smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name N[C@H](CC(=O)N1CCCCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000163597730 334928363 /nfs/dbraw/zinc/92/83/63/334928363.db2.gz YWQSQAPCJZZZAP-WOJBJXKFSA-N 0 3 322.452 4.220 20 0 DIADHN Fc1ccc(OCCN2CCCCC[C@@H]2c2ccco2)cc1 ZINC000078831746 185117956 /nfs/dbraw/zinc/11/79/56/185117956.db2.gz QDFMTQJAGGUMSY-QGZVFWFLSA-N 0 3 303.377 4.415 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(OC)c1)c1ccc(F)cc1 ZINC000079121529 185159607 /nfs/dbraw/zinc/15/96/07/185159607.db2.gz REUQOUQXHKAQBP-INIZCTEOSA-N 0 3 303.377 4.084 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000087407762 185224056 /nfs/dbraw/zinc/22/40/56/185224056.db2.gz MHSWFJVRQWUIMF-SJCJKPOMSA-N 0 3 305.853 4.430 20 0 DIADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccco2)n(-c2ccccc2)n1 ZINC000090602169 185306191 /nfs/dbraw/zinc/30/61/91/185306191.db2.gz HAXMUFVYBBOFDX-GOEBONIOSA-N 0 3 309.413 4.056 20 0 DIADHN CC[C@H](NC[C@@H](C)c1c(C)noc1C)c1cc(F)ccc1F ZINC000090728615 185311656 /nfs/dbraw/zinc/31/16/56/185311656.db2.gz QPYYIBKQFYSHLA-HWPZZCPQSA-N 0 3 308.372 4.414 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](C)c1ccc2ccccc2n1 ZINC000090728950 185311698 /nfs/dbraw/zinc/31/16/98/185311698.db2.gz NPCHYMSSQXCYPH-CHWSQXEVSA-N 0 3 309.413 4.294 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cn(C)nc2C(F)(F)F)c2ccccc21 ZINC000090666532 185308452 /nfs/dbraw/zinc/30/84/52/185308452.db2.gz FWJPOUXZQOWSAY-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cn(C)nc1C(F)(F)F ZINC000090666612 185308506 /nfs/dbraw/zinc/30/85/06/185308506.db2.gz QSMXMJVMSLXARD-SMDDNHRTSA-N 0 3 323.362 4.085 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NCCc1scnc1C ZINC000425517722 334957881 /nfs/dbraw/zinc/95/78/81/334957881.db2.gz WVPDPJOUICVMTN-UHFFFAOYSA-N 0 3 324.877 4.181 20 0 DIADHN CCCCCCC(=O)Nc1ccc(Br)cc1F ZINC000005461557 181246102 /nfs/dbraw/zinc/24/61/02/181246102.db2.gz JLXGJKXMRHDLKS-UHFFFAOYSA-N 0 3 302.187 4.497 20 0 DIADHN COCCCCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000089659215 192837833 /nfs/dbraw/zinc/83/78/33/192837833.db2.gz GJKRWQVVRIDUSF-UHFFFAOYSA-N 0 3 305.368 4.070 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1ccc(F)c(Br)c1 ZINC000308692852 334990648 /nfs/dbraw/zinc/99/06/48/334990648.db2.gz MGYUECZFSHFZNM-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1ccccc1C1(CN[C@H](C)c2cn3ccccc3n2)CC1 ZINC000556232684 370700670 /nfs/dbraw/zinc/70/06/70/370700670.db2.gz BMTJKALLXKVDFO-MRXNPFEDSA-N 0 3 305.425 4.025 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](c2ccccc2C)C2CC2)c1 ZINC000091285468 193032168 /nfs/dbraw/zinc/03/21/68/193032168.db2.gz VSBBTHBBOXMLRL-FQEVSTJZSA-N 0 3 308.425 4.194 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000091412397 193053388 /nfs/dbraw/zinc/05/33/88/193053388.db2.gz UXDZMSVQPMGWDR-ZTFRIQLXSA-N 0 3 320.795 4.055 20 0 DIADHN CC[C@H](N[C@H](C)Cc1c(C)noc1C)c1cc(C)ccc1OC ZINC000091726831 193098115 /nfs/dbraw/zinc/09/81/15/193098115.db2.gz WIFWVKQBKIKHMC-ACJLOTCBSA-N 0 3 316.445 4.280 20 0 DIADHN COc1ccccc1C[C@@H](N[C@@H](C)c1nccs1)C(C)C ZINC000091732847 193099683 /nfs/dbraw/zinc/09/96/83/193099683.db2.gz QURJPOKXFBXRPR-DZGCQCFKSA-N 0 3 304.459 4.070 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000092253025 193180306 /nfs/dbraw/zinc/18/03/06/193180306.db2.gz HQECLMXVIMVKSE-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN C[C@H](NCCOC(C)(C)C)c1cc(Br)ccc1F ZINC000308723154 335002661 /nfs/dbraw/zinc/00/26/61/335002661.db2.gz FIPSEVZPLXMBCS-JTQLQIEISA-N 0 3 318.230 4.054 20 0 DIADHN C[C@@H]1CCC[C@@H](C)C1NCc1nnc(-c2ccccc2Cl)o1 ZINC000182727995 335002763 /nfs/dbraw/zinc/00/27/63/335002763.db2.gz MGSATBAUUXBLNI-VXGBXAGGSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cccnc1CN1CCC(c2nc3ccccc3s2)CC1 ZINC000093158664 193209122 /nfs/dbraw/zinc/20/91/22/193209122.db2.gz DACKEOVGVCKHEK-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN COCc1ccc(CN(C)[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000093364968 193239683 /nfs/dbraw/zinc/23/96/83/193239683.db2.gz ZUCYMVWRKWRSKS-QGZVFWFLSA-N 0 3 316.470 4.107 20 0 DIADHN CC1(C)CCC[C@@H]1NCC(=O)Nc1c(Cl)cccc1Cl ZINC000094324700 193359740 /nfs/dbraw/zinc/35/97/40/193359740.db2.gz UCBVUIHDTWJYPS-LBPRGKRZSA-N 0 3 315.244 4.100 20 0 DIADHN FC(F)(F)CCCCN1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000152358402 335014973 /nfs/dbraw/zinc/01/49/73/335014973.db2.gz WHPOZUGJCYERRO-ZDUSSCGKSA-N 0 3 315.335 4.285 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(NC(=O)Nc2ccccc2)CC1 ZINC000097269419 193702083 /nfs/dbraw/zinc/70/20/83/193702083.db2.gz MSWSAMCJZOEPES-INIZCTEOSA-N 0 3 323.440 4.034 20 0 DIADHN CC[C@]1(CN[C@@H](C)c2ccsc2)OCCc2ccccc21 ZINC000563802091 335020318 /nfs/dbraw/zinc/02/03/18/335020318.db2.gz MHFGVDAIHAQVSN-KBXCAEBGSA-N 0 3 301.455 4.277 20 0 DIADHN C[C@@H](NC[C@H]1CCCO1)c1cc2cc(Br)ccc2o1 ZINC000019963784 182171076 /nfs/dbraw/zinc/17/10/76/182171076.db2.gz RBLWLXLMESOGSX-ZWNOBZJWSA-N 0 3 324.218 4.025 20 0 DIADHN C[C@@H](NCc1cccc(Br)c1)c1ccc(F)cc1 ZINC000020095300 182186138 /nfs/dbraw/zinc/18/61/38/182186138.db2.gz NCDIKSCEFYCGQP-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN C[C@@H](NCc1ccc(Br)cc1)c1ccc(F)cc1 ZINC000020095289 182186235 /nfs/dbraw/zinc/18/62/35/182186235.db2.gz QLARMGOMGMNWRD-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Br)c1)c1ccncc1 ZINC000020121180 182189283 /nfs/dbraw/zinc/18/92/83/182189283.db2.gz QQQUIGUIHFERHK-NEPJUHHUSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1cccc(Br)c1 ZINC000020127549 182190227 /nfs/dbraw/zinc/19/02/27/182190227.db2.gz SNRWAKVGTWGFRB-NEPJUHHUSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@@H](NCc1cccc(Br)c1)c1cccc(F)c1 ZINC000020147756 182192557 /nfs/dbraw/zinc/19/25/57/182192557.db2.gz MRAYIQSDABDMRX-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000518826210 335020884 /nfs/dbraw/zinc/02/08/84/335020884.db2.gz LQTSJVRSHOLWNS-JXFKEZNVSA-N 0 3 324.468 4.137 20 0 DIADHN Clc1ccc(CNCc2cc(Br)cs2)cc1 ZINC000020305865 182205069 /nfs/dbraw/zinc/20/50/69/182205069.db2.gz SEDJQWSHOUBVRE-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](C)c2ccc(C)s2)c1 ZINC000021014625 182260600 /nfs/dbraw/zinc/26/06/00/182260600.db2.gz JPISXBFRKWPFCY-CHWSQXEVSA-N 0 3 302.443 4.427 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(Cl)cc2)cc1OC ZINC000021808618 182292013 /nfs/dbraw/zinc/29/20/13/182292013.db2.gz ZOWGDXNAERIBRC-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000190675549 335034864 /nfs/dbraw/zinc/03/48/64/335034864.db2.gz ZYCVWSKQQWTPRX-CQSZACIVSA-N 0 3 317.864 4.430 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Br)ccc21)c1ccncc1 ZINC000040226409 183047844 /nfs/dbraw/zinc/04/78/44/183047844.db2.gz DWQXTXSFFQGFKY-ZBEGNZNMSA-N 0 3 317.230 4.182 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Br)ccc21)c1ccncc1 ZINC000040226411 183047861 /nfs/dbraw/zinc/04/78/61/183047861.db2.gz DWQXTXSFFQGFKY-BZNIZROVSA-N 0 3 317.230 4.182 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OC)c(OC)c2)s1 ZINC000040491173 183079719 /nfs/dbraw/zinc/07/97/19/183079719.db2.gz AGSWZBBFFZIXCA-LBPRGKRZSA-N 0 3 305.443 4.179 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OC)c(OC)c2)s1 ZINC000040491174 183079987 /nfs/dbraw/zinc/07/99/87/183079987.db2.gz AGSWZBBFFZIXCA-GFCCVEGCSA-N 0 3 305.443 4.179 20 0 DIADHN C[C@@H](NCCc1ccsc1)c1ccccc1Br ZINC000042599018 183289424 /nfs/dbraw/zinc/28/94/24/183289424.db2.gz RFOVORRVRIJGMP-LLVKDONJSA-N 0 3 310.260 4.404 20 0 DIADHN CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1nccs1 ZINC000190679315 335038994 /nfs/dbraw/zinc/03/89/94/335038994.db2.gz FRYUQNIFOJUJPX-UHFFFAOYSA-N 0 3 314.376 4.273 20 0 DIADHN Cn1cncc1CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000105493903 194106583 /nfs/dbraw/zinc/10/65/83/194106583.db2.gz AIJSAQSEAIJBOF-QGZVFWFLSA-N 0 3 303.837 4.095 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(Br)c2)cn1 ZINC000062050815 184202982 /nfs/dbraw/zinc/20/29/82/184202982.db2.gz HHIPWBAZOKMXFG-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](NCCc1nccs1)c1ccc(Cl)c(Cl)c1 ZINC000063133566 184272914 /nfs/dbraw/zinc/27/29/14/184272914.db2.gz NPIZTXNQONRUSB-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CNCc1ccc(C)cc1 ZINC000065154898 184312707 /nfs/dbraw/zinc/31/27/07/184312707.db2.gz FQGANHRESUDCBI-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425544903 335046412 /nfs/dbraw/zinc/04/64/12/335046412.db2.gz CQZAZTSDBNHLKY-FZKQIMNGSA-N 0 3 321.411 4.307 20 0 DIADHN C[C@H](C1CCN(C)CC1)N(C)C(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC000498069072 335052849 /nfs/dbraw/zinc/05/28/49/335052849.db2.gz BJWYNKDEQOUXDK-ZACQAIPSSA-N 0 3 322.537 4.028 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc(C)cs2)c1 ZINC000379126261 335058178 /nfs/dbraw/zinc/05/81/78/335058178.db2.gz NPRDIJFGFMGFBK-UHFFFAOYSA-N 0 3 302.443 4.151 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1ccnc1)c1ccccc1F ZINC000272280928 130016102 /nfs/dbraw/zinc/01/61/02/130016102.db2.gz MSDIUAOFIMJKII-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H]2CCc3cc(C)ccc32)cc1 ZINC000272749798 130059301 /nfs/dbraw/zinc/05/93/01/130059301.db2.gz NDZAGCLZFDKASU-VLIAUNLRSA-N 0 3 308.425 4.292 20 0 DIADHN COCC[C@@H](NC1CC(c2ccc(F)cc2)C1)c1ccco1 ZINC000272828496 130067977 /nfs/dbraw/zinc/06/79/77/130067977.db2.gz DZICOYZWNGMPOU-BDVYOWHSSA-N 0 3 303.377 4.032 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2csc(-c3ccsc3)n2)CCO1 ZINC000273078430 130087784 /nfs/dbraw/zinc/08/77/84/130087784.db2.gz QCGAGHCSSYUPGB-STQMWFEESA-N 0 3 322.499 4.166 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H]1CCCc2c(Cl)cccc21 ZINC000273374698 130113691 /nfs/dbraw/zinc/11/36/91/130113691.db2.gz GXTCIALAOMYCCF-IAGOWNOFSA-N 0 3 315.848 4.118 20 0 DIADHN Fc1ccc([C@@H](NCC2CCSCC2)c2ccccc2)nc1 ZINC000273382343 130113859 /nfs/dbraw/zinc/11/38/59/130113859.db2.gz RHHNPZTZBGTQBG-SFHVURJKSA-N 0 3 316.445 4.043 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCc3c(Cl)cccc32)cnn1C ZINC000273390245 130115522 /nfs/dbraw/zinc/11/55/22/130115522.db2.gz KKJKWSIVYUKRGN-GTNSWQLSSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCCc3c(Cl)cccc32)cnn1C ZINC000273390247 130116175 /nfs/dbraw/zinc/11/61/75/130116175.db2.gz KKJKWSIVYUKRGN-PIGZYNQJSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000273393667 130116302 /nfs/dbraw/zinc/11/63/02/130116302.db2.gz FBIMQLGVWFKIAS-FDQGKXFDSA-N 0 3 303.377 4.298 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)cs1 ZINC000273426364 130120672 /nfs/dbraw/zinc/12/06/72/130120672.db2.gz KFNPQFKFIKFDNO-XNJJOIOASA-N 0 3 320.433 4.162 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)cs1 ZINC000273426362 130120723 /nfs/dbraw/zinc/12/07/23/130120723.db2.gz KFNPQFKFIKFDNO-KCTSRDHCSA-N 0 3 320.433 4.162 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)c1 ZINC000273489223 130128303 /nfs/dbraw/zinc/12/83/03/130128303.db2.gz MXUUNZYEPCEIQG-MJGOQNOKSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CCCc2c(Cl)cccc21 ZINC000273586842 130139767 /nfs/dbraw/zinc/13/97/67/130139767.db2.gz NZDWOKDKWQIRPJ-DIFFPNOSSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cn(C)nc1C(F)F)Cc1ccccc1 ZINC000274445967 130235052 /nfs/dbraw/zinc/23/50/52/130235052.db2.gz CVEPUKZMGKEWHM-ZDUSSCGKSA-N 0 3 319.399 4.158 20 0 DIADHN Cn1cc(CN[C@@H](c2ccccc2)C(C)(C)C)c(C(F)F)n1 ZINC000274466826 130237641 /nfs/dbraw/zinc/23/76/41/130237641.db2.gz IUJGWLFRNQTJSQ-HNNXBMFYSA-N 0 3 307.388 4.235 20 0 DIADHN Cc1ccccc1[C@H](NCc1cn(C)nc1C(F)F)C(C)C ZINC000274464416 130237842 /nfs/dbraw/zinc/23/78/42/130237842.db2.gz IJHYKJMNTSBLPK-OAHLLOKOSA-N 0 3 307.388 4.153 20 0 DIADHN C[C@H](NC[C@H]1Cc2ccccc21)c1nc(C(F)(F)F)cs1 ZINC000274589046 130246737 /nfs/dbraw/zinc/24/67/37/130246737.db2.gz JSXYGCYFFXGSSD-GXSJLCMTSA-N 0 3 312.360 4.152 20 0 DIADHN C[C@@H](NCC1(CCOCc2ccccc2)CC1)c1cncs1 ZINC000274600258 130248751 /nfs/dbraw/zinc/24/87/51/130248751.db2.gz PMMVGONWEBEQPX-OAHLLOKOSA-N 0 3 316.470 4.181 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000274609851 130249673 /nfs/dbraw/zinc/24/96/73/130249673.db2.gz NBGZRLKQSWCDIK-UWVGGRQHSA-N 0 3 318.364 4.427 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1ccc(Cl)cn1 ZINC000274750949 130259218 /nfs/dbraw/zinc/25/92/18/130259218.db2.gz HMKDDOFLZJQHGZ-SUNYJGFJSA-N 0 3 317.864 4.077 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2ccc(Cl)cn2)cs1 ZINC000274857721 130267418 /nfs/dbraw/zinc/26/74/18/130267418.db2.gz CJZUVSFTIJQOKA-NSHDSACASA-N 0 3 309.866 4.208 20 0 DIADHN C[C@H](NCCCCOCc1ccccc1)c1ccc(Cl)cn1 ZINC000274885566 130269659 /nfs/dbraw/zinc/26/96/59/130269659.db2.gz ILWIDDCYEHSTLF-HNNXBMFYSA-N 0 3 318.848 4.383 20 0 DIADHN Cc1nc2ccccc2c(NCc2ccc([C@H](C)O)c(C)n2)c1C ZINC000275382764 130313310 /nfs/dbraw/zinc/31/33/10/130313310.db2.gz BNNFFKDXXZXQBH-HNNXBMFYSA-N 0 3 321.424 4.220 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCC[C@H]1NCc1ccno1 ZINC000275419844 130316944 /nfs/dbraw/zinc/31/69/44/130316944.db2.gz HMRXGJXZCDCNMJ-UKRRQHHQSA-N 0 3 310.319 4.119 20 0 DIADHN Cc1cccc2c1ncc(C)c2NCc1ccc([C@H](C)O)c(C)n1 ZINC000275482715 130326700 /nfs/dbraw/zinc/32/67/00/130326700.db2.gz PTVRHOTWDUZNOK-HNNXBMFYSA-N 0 3 321.424 4.220 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](C)c1cccc(C2CC2)c1 ZINC000340178373 131000008 /nfs/dbraw/zinc/00/00/08/131000008.db2.gz HSWHURGZLMPIDG-INIZCTEOSA-N 0 3 322.452 4.470 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCO2)c1cccc(C2CC2)c1 ZINC000340176872 131001786 /nfs/dbraw/zinc/00/17/86/131001786.db2.gz DXYBFPLHKNUCND-AWEZNQCLSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCc1cscn1 ZINC000283954670 131036109 /nfs/dbraw/zinc/03/61/09/131036109.db2.gz LIOACZDHLNYMLJ-GFCCVEGCSA-N 0 3 309.504 4.267 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000283952911 131036413 /nfs/dbraw/zinc/03/64/13/131036413.db2.gz FWRCHZRFYGKXEE-JBACZVJFSA-N 0 3 323.436 4.280 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000283952921 131036531 /nfs/dbraw/zinc/03/65/31/131036531.db2.gz FWRCHZRFYGKXEE-TYPHKJRUSA-N 0 3 323.436 4.280 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2CCCO[C@H]2c2ccc(C)cc2)no1 ZINC000284303473 131068823 /nfs/dbraw/zinc/06/88/23/131068823.db2.gz YVFPIXDUPORWNX-SUMDDJOVSA-N 0 3 314.429 4.110 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2C)cc1 ZINC000284358255 131073024 /nfs/dbraw/zinc/07/30/24/131073024.db2.gz NRWSHNWMOJCIHJ-CABCVRRESA-N 0 3 315.461 4.319 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2C)cc1 ZINC000284358264 131073478 /nfs/dbraw/zinc/07/34/78/131073478.db2.gz NRWSHNWMOJCIHJ-LSDHHAIUSA-N 0 3 315.461 4.319 20 0 DIADHN COC1CCN(Cc2cnc(-c3ccc(Cl)cc3)s2)CC1 ZINC000284426742 131079875 /nfs/dbraw/zinc/07/98/75/131079875.db2.gz RPDGJQIBPUTYEY-UHFFFAOYSA-N 0 3 322.861 4.074 20 0 DIADHN COc1ccc([C@@H](CN(C)C)N[C@@H](C)c2ccc(C)s2)cc1 ZINC000284448220 131081418 /nfs/dbraw/zinc/08/14/18/131081418.db2.gz UBDUADLRONAWQR-WMLDXEAASA-N 0 3 318.486 4.019 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2sccc2Cl)c1 ZINC000285029679 131132759 /nfs/dbraw/zinc/13/27/59/131132759.db2.gz FGSKPZDJSJKCDR-UHFFFAOYSA-N 0 3 322.861 4.496 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2ccc(F)cc2)cc1 ZINC000285217991 131147942 /nfs/dbraw/zinc/14/79/42/131147942.db2.gz UNNYEJOFLFDMNK-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H]2CCCc3ccccc32)c1 ZINC000285244396 131150745 /nfs/dbraw/zinc/15/07/45/131150745.db2.gz WGGXIZXJBUFRLA-SFHVURJKSA-N 0 3 310.397 4.032 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000285456959 131169813 /nfs/dbraw/zinc/16/98/13/131169813.db2.gz MSGOGLVWLOHQBI-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000285492341 131174510 /nfs/dbraw/zinc/17/45/10/131174510.db2.gz AJEFWLINGYTVNW-ZWOKBUDYSA-N 0 3 310.441 4.209 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnccc2C)c(C)c1OC ZINC000285502045 131174807 /nfs/dbraw/zinc/17/48/07/131174807.db2.gz AGAAPIOMJPPSTJ-CABCVRRESA-N 0 3 314.429 4.127 20 0 DIADHN COCCC[C@H](C)N[C@@H](C)c1ccc(Br)cc1F ZINC000285511270 131176327 /nfs/dbraw/zinc/17/63/27/131176327.db2.gz BEBOZSFELXZBMI-QWRGUYRKSA-N 0 3 318.230 4.054 20 0 DIADHN OCC[C@@H](NCc1cccs1)c1ccc(Cl)c(Cl)c1 ZINC000285670824 131191684 /nfs/dbraw/zinc/19/16/84/131191684.db2.gz UEWANDXFKHZODB-CQSZACIVSA-N 0 3 316.253 4.268 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3ccccc32)c(C)c1OC ZINC000285728600 131197213 /nfs/dbraw/zinc/19/72/13/131197213.db2.gz QNHLJAZBWDECET-KSSFIOAISA-N 0 3 311.425 4.350 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000285731461 131197616 /nfs/dbraw/zinc/19/76/16/131197616.db2.gz QTZZLTURCIBHQM-AWEZNQCLSA-N 0 3 317.502 4.486 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H](c2ncc[nH]2)C2CCCCC2)n1 ZINC000285928263 131210093 /nfs/dbraw/zinc/21/00/93/131210093.db2.gz CTDMPKFYKFJRHE-WFASDCNBSA-N 0 3 318.490 4.189 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H](c2ncc[nH]2)C2CCCCC2)n1 ZINC000285928254 131210136 /nfs/dbraw/zinc/21/01/36/131210136.db2.gz CTDMPKFYKFJRHE-IUODEOHRSA-N 0 3 318.490 4.189 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1 ZINC000285930192 131210242 /nfs/dbraw/zinc/21/02/42/131210242.db2.gz CVFSLIOCJISPFH-UCLAIMLFSA-N 0 3 314.404 4.100 20 0 DIADHN CC(C)CC[C@@H](NCc1ccc(-n2cncn2)cc1)c1ccoc1 ZINC000285998296 131214189 /nfs/dbraw/zinc/21/41/89/131214189.db2.gz IKXHPSBKADFMPW-LJQANCHMSA-N 0 3 324.428 4.127 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(OC)c(OC)c1C)c1ccncc1 ZINC000286019658 131215972 /nfs/dbraw/zinc/21/59/72/131215972.db2.gz JQKPZBLDZZOHAY-WMLDXEAASA-N 0 3 314.429 4.209 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccccc1-n1ccnn1 ZINC000286049604 131217035 /nfs/dbraw/zinc/21/70/35/131217035.db2.gz KEJPYCZYQKORSF-XJKSGUPXSA-N 0 3 324.453 4.057 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000286329783 131230990 /nfs/dbraw/zinc/23/09/90/131230990.db2.gz YJOFZXISQNTQTB-SJKOYZFVSA-N 0 3 316.449 4.029 20 0 DIADHN CN(Cc1cc(-c2ccccc2)no1)C1CCC(F)(F)CC1 ZINC000286894915 131254176 /nfs/dbraw/zinc/25/41/76/131254176.db2.gz IPQDSYDSSPCVCT-UHFFFAOYSA-N 0 3 306.356 4.351 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](CCO)c1ccc(Cl)cc1 ZINC000286967600 131257980 /nfs/dbraw/zinc/25/79/80/131257980.db2.gz IFQCYBREEKVIJX-SWLSCSKDSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1ccc(F)c2ccccc21 ZINC000287171096 131267231 /nfs/dbraw/zinc/26/72/31/131267231.db2.gz CDTRVCNYTVEACF-STQMWFEESA-N 0 3 311.404 4.433 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2ccc3c(c2)CCC3)c1 ZINC000287289791 131273623 /nfs/dbraw/zinc/27/36/23/131273623.db2.gz DEKGRALATFFYMA-CQSZACIVSA-N 0 3 324.424 4.204 20 0 DIADHN Cc1cccc(CCCN[C@@H](C)c2nc3c(s2)CCCC3)n1 ZINC000287387460 131278001 /nfs/dbraw/zinc/27/80/01/131278001.db2.gz INLFYKDFKRTMJP-AWEZNQCLSA-N 0 3 315.486 4.009 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccsc2)c(C)c1OC ZINC000287582621 131288553 /nfs/dbraw/zinc/28/85/53/131288553.db2.gz RBLQOTAUYYZXJB-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN c1ccc2c(c1)C[C@@H](N[C@H]1CC3(CCC3)Oc3ccccc31)CO2 ZINC000340262139 131301815 /nfs/dbraw/zinc/30/18/15/131301815.db2.gz RWORUGSGJOMVNO-AEFFLSMTSA-N 0 3 321.420 4.026 20 0 DIADHN c1ccc2c(c1)C[C@H](N[C@@H]1CC3(CCC3)Oc3ccccc31)CO2 ZINC000340262140 131301861 /nfs/dbraw/zinc/30/18/61/131301861.db2.gz RWORUGSGJOMVNO-FUHWJXTLSA-N 0 3 321.420 4.026 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1 ZINC000287884725 131304335 /nfs/dbraw/zinc/30/43/35/131304335.db2.gz YPPMGPNURLLSCL-KXBFYZLASA-N 0 3 306.413 4.069 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@@H]3CCCSC3)cs2)cc1 ZINC000288392174 131323364 /nfs/dbraw/zinc/32/33/64/131323364.db2.gz HDKLXJXMOPTDIE-LBPRGKRZSA-N 0 3 322.474 4.182 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@H]3CCCSC3)cs2)cc1 ZINC000288392169 131323745 /nfs/dbraw/zinc/32/37/45/131323745.db2.gz HDKLXJXMOPTDIE-GFCCVEGCSA-N 0 3 322.474 4.182 20 0 DIADHN CN(Cc1ccnc(Cl)c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000288564774 131330704 /nfs/dbraw/zinc/33/07/04/131330704.db2.gz ATSLUTPVYZKKGN-TXEJJXNPSA-N 0 3 306.759 4.288 20 0 DIADHN CN(Cc1cnc(Cl)s1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000289084883 131357523 /nfs/dbraw/zinc/35/75/23/131357523.db2.gz TUYWXPRQJYUWRH-DTORHVGOSA-N 0 3 312.788 4.349 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H]1CCCc2[nH]c(=O)ccc21 ZINC000289141785 131361116 /nfs/dbraw/zinc/36/11/16/131361116.db2.gz AIEODXJGIJOCJZ-MRXNPFEDSA-N 0 3 308.381 4.009 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)cc(C)c1O ZINC000289259150 131369728 /nfs/dbraw/zinc/36/97/28/131369728.db2.gz MLHVMFMZTORDEB-RBUKOAKNSA-N 0 3 311.425 4.019 20 0 DIADHN CC(C)C[C@@H](NCc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000289271920 131370273 /nfs/dbraw/zinc/37/02/73/131370273.db2.gz NQHJDUFNNCQKKH-XJKSGUPXSA-N 0 3 304.459 4.073 20 0 DIADHN Cc1cccc([C@H](NCc2cnc([C@H](C)O)s2)C(C)(C)C)c1 ZINC000289271899 131370422 /nfs/dbraw/zinc/37/04/22/131370422.db2.gz NQGYWGLTYOAYGJ-BBRMVZONSA-N 0 3 318.486 4.382 20 0 DIADHN c1c(CN2CCC[C@@H](c3ccncc3)C2)onc1-c1ccccc1 ZINC000289309026 131372379 /nfs/dbraw/zinc/37/23/79/131372379.db2.gz JADVQDYTQMCEPS-GOSISDBHSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000289338966 131375967 /nfs/dbraw/zinc/37/59/67/131375967.db2.gz WQAMXTNVLOMMSO-BFUOFWGJSA-N 0 3 322.456 4.246 20 0 DIADHN FC(F)c1ccc(CN2CC3(CCC3)[C@H]2[C@H]2CCCO2)cc1 ZINC000289447604 131387238 /nfs/dbraw/zinc/38/72/38/131387238.db2.gz FIBCPHOYRXXJBV-HZPDHXFCSA-N 0 3 307.384 4.158 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)NCc2cnc([C@@H](C)O)s2)cc1 ZINC000290193642 131463021 /nfs/dbraw/zinc/46/30/21/131463021.db2.gz CKKMOMHAKJZHMH-ZIAGYGMSSA-N 0 3 318.486 4.041 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(Br)nc1)c1ccncc1 ZINC000290608435 131503042 /nfs/dbraw/zinc/50/30/42/131503042.db2.gz HVCPDRLARDWDJW-SMDDNHRTSA-N 0 3 320.234 4.041 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1nccc2ccccc21 ZINC000290762010 131515391 /nfs/dbraw/zinc/51/53/91/131515391.db2.gz RNBPTLWTZZNAGM-AVYPCKFXSA-N 0 3 306.409 4.008 20 0 DIADHN CC(C)c1ccc(CN[C@@H](C)c2ccc(C(=O)N(C)C)cc2)cc1 ZINC000292096657 131623998 /nfs/dbraw/zinc/62/39/98/131623998.db2.gz JFFDGXNATYOTCT-INIZCTEOSA-N 0 3 324.468 4.363 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(N2CCCOC2=O)cc1)c1ccccc1 ZINC000292516084 131655669 /nfs/dbraw/zinc/65/56/69/131655669.db2.gz UPIIJCJTMYKPKY-CVEARBPZSA-N 0 3 324.424 4.445 20 0 DIADHN COCC[C@@H](NC[C@@H](OC(C)C)c1ccccc1)c1ccco1 ZINC000293134551 131712008 /nfs/dbraw/zinc/71/20/08/131712008.db2.gz LLPIYWLJIIDMLA-IEBWSBKVSA-N 0 3 317.429 4.113 20 0 DIADHN CC[C@H](NCc1ccnc(Br)c1)c1ccccc1 ZINC000293752720 131765419 /nfs/dbraw/zinc/76/54/19/131765419.db2.gz JAWBUWUWUIERRH-AWEZNQCLSA-N 0 3 305.219 4.085 20 0 DIADHN Cc1sccc1CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC000293923366 131783757 /nfs/dbraw/zinc/78/37/57/131783757.db2.gz MUSPPHBGGZXFPF-QGZVFWFLSA-N 0 3 301.455 4.050 20 0 DIADHN O=C(CCCN1CCC(OC2CCCC2)CC1)c1ccccc1 ZINC000297271666 132006965 /nfs/dbraw/zinc/00/69/65/132006965.db2.gz WVNUGYUAYMSJCM-UHFFFAOYSA-N 0 3 315.457 4.073 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H]1CCCc2c(F)cc(F)cc21 ZINC000297275414 132006990 /nfs/dbraw/zinc/00/69/90/132006990.db2.gz KYDVFSCVESKSSD-KZULUSFZSA-N 0 3 302.368 4.396 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2C[C@H](c3ccccc3Cl)C2)c2nccn21 ZINC000297334895 132010767 /nfs/dbraw/zinc/01/07/67/132010767.db2.gz OEFVLAHKQQOGQT-BRXULGCHSA-N 0 3 301.821 4.078 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Oc2ccccc2)cn1)C(C)(C)O ZINC000297442528 132015670 /nfs/dbraw/zinc/01/56/70/132015670.db2.gz WGQWHDJQPIIZAV-KSSFIOAISA-N 0 3 314.429 4.074 20 0 DIADHN CN(Cc1nnc(-c2ccco2)o1)[C@H]1CCC[C@H]1c1ccccc1 ZINC000297467371 132017627 /nfs/dbraw/zinc/01/76/27/132017627.db2.gz IOIXVORJHNFDLI-HOTGVXAUSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H](OC(C)C)c1ccccc1 ZINC000298325258 132056551 /nfs/dbraw/zinc/05/65/51/132056551.db2.gz RAQZVVJRBKGRQD-KRWDZBQOSA-N 0 3 316.445 4.282 20 0 DIADHN CCSc1cccc(NC2CCN(c3ccncc3)CC2)c1 ZINC000298540420 132067939 /nfs/dbraw/zinc/06/79/39/132067939.db2.gz SXRTWROIKPCXQW-UHFFFAOYSA-N 0 3 313.470 4.275 20 0 DIADHN Cc1c2ccccc2oc1[C@H](NCc1ccnc(N)c1)C(C)C ZINC000298894390 132081560 /nfs/dbraw/zinc/08/15/60/132081560.db2.gz LPRGNCLBRUOATM-GOSISDBHSA-N 0 3 309.413 4.205 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC(c2ccncn2)CC1 ZINC000299034986 132087335 /nfs/dbraw/zinc/08/73/35/132087335.db2.gz TYOZSKGRDIHPEV-UHFFFAOYSA-N 0 3 318.424 4.026 20 0 DIADHN FC(F)Oc1cc(CN[C@H]2CCCCc3ccccc32)ccn1 ZINC000340620369 132093381 /nfs/dbraw/zinc/09/33/81/132093381.db2.gz PBKJBXLNESIRGK-INIZCTEOSA-N 0 3 318.367 4.240 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c1 ZINC000299371294 132101546 /nfs/dbraw/zinc/10/15/46/132101546.db2.gz ZBFTUNDWINWNPR-HNNXBMFYSA-N 0 3 308.425 4.039 20 0 DIADHN Cc1nn(C)c2ncc(CN([C@H](C)c3ccccc3)C3CC3)cc12 ZINC000299393872 132103431 /nfs/dbraw/zinc/10/34/31/132103431.db2.gz SJPJQBIEXNXSTA-OAHLLOKOSA-N 0 3 320.440 4.002 20 0 DIADHN CCC[C@H](NCc1ccnc(N)c1)c1cccc(C(F)(F)F)c1 ZINC000299514288 132108988 /nfs/dbraw/zinc/10/89/88/132108988.db2.gz CKFNNJBBMRCSAG-HNNXBMFYSA-N 0 3 323.362 4.314 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000120629730 132148734 /nfs/dbraw/zinc/14/87/34/132148734.db2.gz RDCMHJBMFFXBTR-CHWSQXEVSA-N 0 3 301.361 4.009 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1ccc(-n2ccnc2)cc1 ZINC000120788946 132150677 /nfs/dbraw/zinc/15/06/77/132150677.db2.gz PKQMFDYGAVYRGE-KSSFIOAISA-N 0 3 307.397 4.194 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)c(F)c1 ZINC000121504640 132155913 /nfs/dbraw/zinc/15/59/13/132155913.db2.gz PAVZYJARLIVPGD-NEPJUHHUSA-N 0 3 319.351 4.148 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)c(F)c1 ZINC000121504794 132156106 /nfs/dbraw/zinc/15/61/06/132156106.db2.gz PAVZYJARLIVPGD-VXGBXAGGSA-N 0 3 319.351 4.148 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000122212780 132162301 /nfs/dbraw/zinc/16/23/01/132162301.db2.gz MZVGLLRQAWQPOR-XOBRGWDASA-N 0 3 308.425 4.447 20 0 DIADHN C[C@@H](CN(C)Cc1ccc(Br)cn1)c1ccccc1 ZINC000514099275 335067707 /nfs/dbraw/zinc/06/77/07/335067707.db2.gz MVVFHOVWOZXOTG-ZDUSSCGKSA-N 0 3 319.246 4.080 20 0 DIADHN CCn1cncc1CN1CCCCC[C@H]1c1ccc(OC)cc1 ZINC000417725591 335075881 /nfs/dbraw/zinc/07/58/81/335075881.db2.gz OXFVNSZMVMRVFR-IBGZPJMESA-N 0 3 313.445 4.029 20 0 DIADHN CSc1cc(CN[C@@H](C)c2ccc(OC(C)C)cc2)ccn1 ZINC000563866757 335077025 /nfs/dbraw/zinc/07/70/25/335077025.db2.gz GJDQBTUPRZYMPU-AWEZNQCLSA-N 0 3 316.470 4.442 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425555466 335087256 /nfs/dbraw/zinc/08/72/56/335087256.db2.gz QHQGYHVJQNCSGI-RDTXWAMCSA-N 0 3 303.421 4.168 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425555465 335087295 /nfs/dbraw/zinc/08/72/95/335087295.db2.gz QHQGYHVJQNCSGI-KSSFIOAISA-N 0 3 303.421 4.168 20 0 DIADHN COC[C@@H](C)CN[C@@H](c1cc2ccccc2o1)c1ccccc1 ZINC000525875284 335089505 /nfs/dbraw/zinc/08/95/05/335089505.db2.gz ALIOCSIRZOAVSQ-MGPUTAFESA-N 0 3 309.409 4.394 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H]1C(F)F)[C@@H](C)Oc1ccc(Cl)cc1Cl ZINC000570788471 332816035 /nfs/dbraw/zinc/81/60/35/332816035.db2.gz YZINHCLSEYCETA-LBRZWBMMSA-N 0 3 324.198 4.392 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccc(OC)cc21)c1ccc(OC)cc1 ZINC000173230370 332825724 /nfs/dbraw/zinc/82/57/24/332825724.db2.gz YNBZMXQQMGMFAQ-PMACEKPBSA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)NCc2ccc(N(C)C)nc2)c1 ZINC000570832915 332826800 /nfs/dbraw/zinc/82/68/00/332826800.db2.gz CKQYDEUWGKTCCK-MRXNPFEDSA-N 0 3 311.473 4.197 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3F)cc1F ZINC000317141144 331464634 /nfs/dbraw/zinc/46/46/34/331464634.db2.gz ONUJCRMXAKUQJM-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCc1cscn1 ZINC000108942085 331483954 /nfs/dbraw/zinc/48/39/54/331483954.db2.gz AFFMZJGIWCRSPM-SNVBAGLBSA-N 0 3 309.504 4.099 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2ccccc2)C2CCC2)c1 ZINC000533377034 331511293 /nfs/dbraw/zinc/51/12/93/331511293.db2.gz UWIQUJXDQHIEEB-HXUWFJFHSA-N 0 3 308.425 4.065 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CC=CCC2)c(Br)c1 ZINC000538406682 331522362 /nfs/dbraw/zinc/52/23/62/331522362.db2.gz PIIURAZSNIBZAP-VXGBXAGGSA-N 0 3 310.235 4.217 20 0 DIADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037033582 331533722 /nfs/dbraw/zinc/53/37/22/331533722.db2.gz JGHDNYWJMNSPFT-WBMJQRKESA-N 0 3 306.475 4.033 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000533498937 331550080 /nfs/dbraw/zinc/55/00/80/331550080.db2.gz AZTJWRAKTXZXEE-DOPJRALCSA-N 0 3 301.817 4.196 20 0 DIADHN CCC1(CN[C@H](COC)c2cccc(C(F)(F)F)c2)CC1 ZINC000538018118 331559240 /nfs/dbraw/zinc/55/92/40/331559240.db2.gz OZYXMHDIURJRIF-CQSZACIVSA-N 0 3 301.352 4.173 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](c1ccccc1)c1ccccn1 ZINC000180448821 331569540 /nfs/dbraw/zinc/56/95/40/331569540.db2.gz FURUPMAVHCSKSB-IIBYNOLFSA-N 0 3 318.420 4.097 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Cc2cccc3ccccc32)c1 ZINC000047452665 331573067 /nfs/dbraw/zinc/57/30/67/331573067.db2.gz KFFCKPRBKSQIPS-UHFFFAOYSA-N 0 3 318.420 4.083 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3ccc(O)cc32)cc1F ZINC000322973882 331578450 /nfs/dbraw/zinc/57/84/50/331578450.db2.gz XNOOKLQINUVCRY-SGTLLEGYSA-N 0 3 315.388 4.268 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H]2CCc3ccccc32)n1 ZINC000123512160 331580727 /nfs/dbraw/zinc/58/07/27/331580727.db2.gz AWRGGNVXZDUAQA-FQEVSTJZSA-N 0 3 317.436 4.266 20 0 DIADHN C(=C/c1ccccc1)\CNC[C@@H](c1ccco1)N1CCCCC1 ZINC000075115303 331594639 /nfs/dbraw/zinc/59/46/39/331594639.db2.gz IWQHXHKVZOOZGG-SSVWKNEZSA-N 0 3 310.441 4.110 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2ccc(F)cc2F)cc1 ZINC000530567707 331606851 /nfs/dbraw/zinc/60/68/51/331606851.db2.gz VLQSYHZPMOVADN-OALUTQOASA-N 0 3 317.379 4.283 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1sccc1Br ZINC000087683413 331609977 /nfs/dbraw/zinc/60/99/77/331609977.db2.gz PPAGJIDMPPTCGF-UWVGGRQHSA-N 0 3 320.321 4.447 20 0 DIADHN Fc1cccc(CNC[C@H]2SCCc3ccccc32)c1F ZINC000530674458 331617701 /nfs/dbraw/zinc/61/77/01/331617701.db2.gz BLTNCHJOQMBDBF-MRXNPFEDSA-N 0 3 305.393 4.085 20 0 DIADHN CC(C)OC1CCN(Cc2cc(Cl)cc3cccnc32)CC1 ZINC000530687576 331618740 /nfs/dbraw/zinc/61/87/40/331618740.db2.gz XPQJTFDMEILSJU-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN CC[C@@](C)(NCCCSCC(C)C)c1nc(C)cs1 ZINC000443584807 517793062 /nfs/dbraw/zinc/79/30/62/517793062.db2.gz UFXLLZFPKMOTKK-OAHLLOKOSA-N 0 3 300.537 4.446 20 0 DIADHN Cc1ccc(COc2ccc(CNCc3ccn(C)c3)cc2)cc1 ZINC000530879360 331632045 /nfs/dbraw/zinc/63/20/45/331632045.db2.gz DSDKFSWCELAJQS-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)CC1CCCCCC1 ZINC000530925275 331636443 /nfs/dbraw/zinc/63/64/43/331636443.db2.gz XMFDVKXKXGCHES-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN CC(C)OC1CCN(CCOc2cccc3ccccc32)CC1 ZINC000530925070 331636446 /nfs/dbraw/zinc/63/64/46/331636446.db2.gz HZDPDFZDFNFLBD-UHFFFAOYSA-N 0 3 313.441 4.108 20 0 DIADHN Cc1cccc([C@H](C)CN[C@H](C)c2nc3ccccc3n2C)c1 ZINC000538115281 331643377 /nfs/dbraw/zinc/64/33/77/331643377.db2.gz PIIKMQCFKJHGOB-HZPDHXFCSA-N 0 3 307.441 4.336 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)c(C)c2)c(C)c1OC ZINC000514083307 332921900 /nfs/dbraw/zinc/92/19/00/332921900.db2.gz KEUJHNBIGYLEGQ-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN COc1ccc(CN[C@H]2CCCCc3ccccc32)cc1OC ZINC000074334984 331671003 /nfs/dbraw/zinc/67/10/03/331671003.db2.gz QVMLDYYSKIWZEK-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1sc(C)nc1C ZINC000076866916 331673217 /nfs/dbraw/zinc/67/32/17/331673217.db2.gz ULSIMGBDXOBFLT-AWEZNQCLSA-N 0 3 304.459 4.465 20 0 DIADHN CC[C@H](CN[C@H](C)c1cncs1)Oc1cccc(Cl)c1 ZINC000537954969 331675362 /nfs/dbraw/zinc/67/53/62/331675362.db2.gz BNDMMXPKKBKGCO-DGCLKSJQSA-N 0 3 310.850 4.305 20 0 DIADHN Cc1cc(CN[C@H](C)CC(F)(F)F)sc1Br ZINC000309470149 331676479 /nfs/dbraw/zinc/67/64/79/331676479.db2.gz QVGDWOXGKJTBBA-SSDOTTSWSA-N 0 3 316.186 4.250 20 0 DIADHN FC1(F)CCC[C@H](NCc2csc(Br)c2)C1 ZINC000309524439 331679365 /nfs/dbraw/zinc/67/93/65/331679365.db2.gz UPPZGHUSQZCUOZ-VIFPVBQESA-N 0 3 310.207 4.178 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(OC(C)C)c2)cc1F ZINC000079720868 331682688 /nfs/dbraw/zinc/68/26/88/331682688.db2.gz JYHXWQRKGMZYRE-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN CCOc1ccc(CNCc2ccc(F)cc2C)cc1Cl ZINC000556413726 331702037 /nfs/dbraw/zinc/70/20/37/331702037.db2.gz KPHXNWHSLSUFTF-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN CCC[C@H](CCO)CNCc1ccc(-c2ccc(CC)cc2)o1 ZINC000465008728 332924280 /nfs/dbraw/zinc/92/42/80/332924280.db2.gz YEUMUJPWCRNZQW-QGZVFWFLSA-N 0 3 315.457 4.397 20 0 DIADHN CN(C)[C@@H](CNCc1c(Cl)oc2ccccc21)c1ccco1 ZINC000317348702 331706318 /nfs/dbraw/zinc/70/63/18/331706318.db2.gz HFBBATBSGSOFPO-AWEZNQCLSA-N 0 3 318.804 4.072 20 0 DIADHN COc1ccccc1[C@H](C)NCCOc1ccccc1Cl ZINC000556564377 331715970 /nfs/dbraw/zinc/71/59/70/331715970.db2.gz BXJLSBNKJJQJEA-ZDUSSCGKSA-N 0 3 305.805 4.078 20 0 DIADHN CC1(C)[C@H](N[C@H](c2cccnc2)C2CC2)C[C@@H]1Oc1ccccc1 ZINC000556583434 331716639 /nfs/dbraw/zinc/71/66/39/331716639.db2.gz IWVQFOSIKOQFKA-AABGKKOBSA-N 0 3 322.452 4.368 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1ccccc1CN(C)C ZINC000556782440 331731754 /nfs/dbraw/zinc/73/17/54/331731754.db2.gz KYVBYQCETIGZIN-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN CC(C)Cc1cccc([C@H](C)NCc2ccc(CO)c(F)c2)c1 ZINC000556868765 331744961 /nfs/dbraw/zinc/74/49/61/331744961.db2.gz CBEZZOASVAMDQX-HNNXBMFYSA-N 0 3 315.432 4.367 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@H](c1nccn1C)C1CC1 ZINC000556910324 331752537 /nfs/dbraw/zinc/75/25/37/331752537.db2.gz SZUGIGGSSAWWGR-ABAIWWIYSA-N 0 3 323.893 4.197 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2nc(C)cs2)C12CCCCC2 ZINC000087301581 331764509 /nfs/dbraw/zinc/76/45/09/331764509.db2.gz HLSYZMCHJZXHSJ-RRFJBIMHSA-N 0 3 308.491 4.230 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000557272991 331779764 /nfs/dbraw/zinc/77/97/64/331779764.db2.gz FQIREQCLXTYGNU-YVEFUNNKSA-N 0 3 318.367 4.485 20 0 DIADHN CCC[C@@H](NCCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000317438799 331793825 /nfs/dbraw/zinc/79/38/25/331793825.db2.gz RPLSHFJHXGWGNB-QGZVFWFLSA-N 0 3 322.880 4.173 20 0 DIADHN COc1ncc(CN[C@@H](C)c2cc(C)cc(C)c2)cc1Cl ZINC000557516388 331796709 /nfs/dbraw/zinc/79/67/09/331796709.db2.gz RFKQFTKWUBFRHT-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CCCC(=O)Nc1ccc(SCCN2CCCCC2)cc1 ZINC000580076622 332930154 /nfs/dbraw/zinc/93/01/54/332930154.db2.gz BHXYYPIJRQDATC-UHFFFAOYSA-N 0 3 306.475 4.003 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](c2ccc(F)cc2)C(C)(C)C)n1 ZINC000557886054 331824380 /nfs/dbraw/zinc/82/43/80/331824380.db2.gz IDGQMXFCYVBDTR-KRWDZBQOSA-N 0 3 303.425 4.016 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCCC[C@H]3C)n2)cc1F ZINC000255955386 331828414 /nfs/dbraw/zinc/82/84/14/331828414.db2.gz BNXHIUCACQJDBD-DVOMOZLQSA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000255959024 331829142 /nfs/dbraw/zinc/82/91/42/331829142.db2.gz NFHNGGBEEOADEO-WCFLWFBJSA-N 0 3 305.397 4.269 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccccc2)c2ccc(F)cc2)n1 ZINC000557999609 331831211 /nfs/dbraw/zinc/83/12/11/331831211.db2.gz JSAJRBNOHYCRDB-HXUWFJFHSA-N 0 3 323.415 4.018 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H](c1ccccc1)C1CCC1 ZINC000558103377 331876323 /nfs/dbraw/zinc/87/63/23/331876323.db2.gz MCYFRGUTNSJKGZ-IBGZPJMESA-N 0 3 310.441 4.338 20 0 DIADHN CC[C@H]1CC[C@@H]1NCc1cccnc1Oc1cccc(OC)c1 ZINC000558242260 331886789 /nfs/dbraw/zinc/88/67/89/331886789.db2.gz VYMIODCVBFNVTK-KSSFIOAISA-N 0 3 312.413 4.161 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@H](C)c1nccn1-c1ccccc1 ZINC000558256754 331889607 /nfs/dbraw/zinc/88/96/07/331889607.db2.gz IIGQVVKQWNSPQB-HZPDHXFCSA-N 0 3 323.415 4.293 20 0 DIADHN Fc1ccc([C@H](NC2CC(C(F)(F)F)C2)c2cccnc2)cc1 ZINC000558295225 331894115 /nfs/dbraw/zinc/89/41/15/331894115.db2.gz QSXYSINHZRLZMK-BCLQGDPASA-N 0 3 324.321 4.241 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3ncsc3c2)cc(C)c1O ZINC000565920523 331898183 /nfs/dbraw/zinc/89/81/83/331898183.db2.gz ZPGSGOBJKPOVJU-ZDUSSCGKSA-N 0 3 312.438 4.470 20 0 DIADHN CC(C)CCOc1ccccc1CN[C@@H]1CCC12CCOCC2 ZINC000558621892 331928056 /nfs/dbraw/zinc/92/80/56/331928056.db2.gz JLAAQIWFJSOUNV-LJQANCHMSA-N 0 3 317.473 4.160 20 0 DIADHN CC(C)(CNCc1ccccc1OCC1CC1)C(F)(F)F ZINC000558681072 331935223 /nfs/dbraw/zinc/93/52/23/331935223.db2.gz OMDXRQIBKNVUGH-UHFFFAOYSA-N 0 3 301.352 4.154 20 0 DIADHN C[C@@H](NCCSc1ccc(Cl)cc1)c1ccncc1F ZINC000558733929 331940090 /nfs/dbraw/zinc/94/00/90/331940090.db2.gz KMFVAGPRNYKFTB-LLVKDONJSA-N 0 3 310.825 4.317 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCSC2)c2cccs2)cc1 ZINC000558962203 331961583 /nfs/dbraw/zinc/96/15/83/331961583.db2.gz RFIPGQDUYDJMTK-LRDDRELGSA-N 0 3 307.459 4.319 20 0 DIADHN COc1ccc([C@H](C)NCCC2C[C@H](C)O[C@@H](C)C2)c(F)c1 ZINC000558968136 331961774 /nfs/dbraw/zinc/96/17/74/331961774.db2.gz BAURPPSUMSIESQ-IHRRRGAJSA-N 0 3 309.425 4.079 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2cc(Cl)sc2Cl)CCO1 ZINC000449241147 331967618 /nfs/dbraw/zinc/96/76/18/331967618.db2.gz RMCKNQIMYWLMTD-ZJUUUORDSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)(NCc1cnc(-c2ccccn2)s1)c1cccs1 ZINC000531001262 331990648 /nfs/dbraw/zinc/99/06/48/331990648.db2.gz DBKLXZSOMJKBHF-UHFFFAOYSA-N 0 3 315.467 4.292 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3c(c2)OCCCO3)c(C)s1 ZINC000126088567 331992975 /nfs/dbraw/zinc/99/29/75/331992975.db2.gz AHJNXHWRKAQMDC-ZDUSSCGKSA-N 0 3 317.454 4.377 20 0 DIADHN Cc1ccc(C2CC(N[C@@H](c3ccccc3F)[C@@H](C)O)C2)cc1 ZINC000518197249 331996294 /nfs/dbraw/zinc/99/62/94/331996294.db2.gz GZKWRCQEEBAXQH-SPTDJYCLSA-N 0 3 313.416 4.092 20 0 DIADHN Fc1ccccc1-c1ccc(CNCCOc2ccccc2)o1 ZINC000518268627 332003122 /nfs/dbraw/zinc/00/31/22/332003122.db2.gz NVPFRLQMEWGSFQ-UHFFFAOYSA-N 0 3 311.356 4.254 20 0 DIADHN O[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)C1CCCCC1 ZINC000518278881 332005868 /nfs/dbraw/zinc/00/58/68/332005868.db2.gz KCQZMXSDTXHPJO-GOSISDBHSA-N 0 3 317.404 4.117 20 0 DIADHN Fc1ccc(CNCC2=Cc3ccccc3OC2)cc1Cl ZINC000518278978 332006099 /nfs/dbraw/zinc/00/60/99/332006099.db2.gz PHSLWTPWXGFDHC-UHFFFAOYSA-N 0 3 303.764 4.045 20 0 DIADHN c1c2ccccc2oc1CNCCc1ccc2ccccc2n1 ZINC000518279880 332006248 /nfs/dbraw/zinc/00/62/48/332006248.db2.gz GCNCELWKBBSXTP-UHFFFAOYSA-N 0 3 302.377 4.313 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]CC2CCC(c3ccccc3)CC2)n1 ZINC000518286987 332007352 /nfs/dbraw/zinc/00/73/52/332007352.db2.gz VQKSFDXDIFEUNJ-UHFFFAOYSA-N 0 3 310.441 4.159 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2ccc(C)cc2)s1 ZINC000559260232 332008291 /nfs/dbraw/zinc/00/82/91/332008291.db2.gz VTBMLPQSWXEZIR-UHFFFAOYSA-N 0 3 320.527 4.382 20 0 DIADHN CSCc1cnc(CN[C@H](C)Cc2ccc(C)cc2C)s1 ZINC000559269722 332010785 /nfs/dbraw/zinc/01/07/85/332010785.db2.gz MTANUMGYHCTUJX-CQSZACIVSA-N 0 3 320.527 4.344 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000190935160 332013195 /nfs/dbraw/zinc/01/31/95/332013195.db2.gz QWGYQKLTVZVXLU-NWDGAFQWSA-N 0 3 307.743 4.016 20 0 DIADHN COc1cccc([C@H](C)N(C)C[C@@H]2OCCc3ccccc32)c1 ZINC000584252438 332013554 /nfs/dbraw/zinc/01/35/54/332013554.db2.gz HNCJTFQDNOZGES-YWZLYKJASA-N 0 3 311.425 4.002 20 0 DIADHN CCc1ccc([C@H](CO)NCc2ccc(C3CCC3)cc2)cc1 ZINC000559282020 332014528 /nfs/dbraw/zinc/01/45/28/332014528.db2.gz FCWDOPGMWVSNCO-NRFANRHFSA-N 0 3 309.453 4.340 20 0 DIADHN COc1ccc(F)c(CNC[C@@H]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000559282418 332014784 /nfs/dbraw/zinc/01/47/84/332014784.db2.gz RQFSFBLMNJJKDY-YPMHNXCESA-N 0 3 319.342 4.293 20 0 DIADHN FC(F)(F)Oc1ccccc1CNc1ccnc2ccccc21 ZINC000518348751 332016824 /nfs/dbraw/zinc/01/68/24/332016824.db2.gz ULBCOIJFPBIUOY-UHFFFAOYSA-N 0 3 318.298 4.167 20 0 DIADHN CN(Cc1nc2ccccc2s1)c1ccnc2ccccc21 ZINC000518352652 332017491 /nfs/dbraw/zinc/01/74/91/332017491.db2.gz IWLCVDLWTLFZOQ-UHFFFAOYSA-N 0 3 305.406 4.481 20 0 DIADHN Clc1csc(CNCc2cccc(Br)c2)c1 ZINC000086332577 332020600 /nfs/dbraw/zinc/02/06/00/332020600.db2.gz FUSJLALCICZDAS-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN Cc1csc(CNCC[C@@H](c2ccccc2)C(F)(F)F)n1 ZINC000559308127 332021556 /nfs/dbraw/zinc/02/15/56/332021556.db2.gz OUSNCCXVJCUKOG-ZDUSSCGKSA-N 0 3 314.376 4.277 20 0 DIADHN C[C@H]1C[C@H](NCCN2CCCCCC2)c2ccsc2S1 ZINC000130272820 332023609 /nfs/dbraw/zinc/02/36/09/332023609.db2.gz UISPZALBARJLNJ-ZFWWWQNUSA-N 0 3 310.532 4.139 20 0 DIADHN CCCCCOc1ccc(CN[C@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000559323756 332025316 /nfs/dbraw/zinc/02/53/16/332025316.db2.gz NGNHSKCWLRXFDN-OAHLLOKOSA-N 0 3 314.429 4.207 20 0 DIADHN CC1(C)CCC[C@@]1(O)CNCc1csc(Cl)c1Cl ZINC000559322448 332025480 /nfs/dbraw/zinc/02/54/80/332025480.db2.gz NOPCDKNXZNSGCO-CYBMUJFWSA-N 0 3 308.274 4.086 20 0 DIADHN C[C@H](F)CCNCc1cn(Cc2ccccc2)nc1C(C)(C)C ZINC000559333347 332027736 /nfs/dbraw/zinc/02/77/36/332027736.db2.gz WOGNDEPUXRGECR-HNNXBMFYSA-N 0 3 317.452 4.067 20 0 DIADHN C[C@H](CN[C@@H](C)c1cn2ccccc2n1)Sc1ccccc1 ZINC000569355812 332029211 /nfs/dbraw/zinc/02/92/11/332029211.db2.gz ZMIMJQJIJWEEAY-CABCVRRESA-N 0 3 311.454 4.166 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1CCC2(CCCCC2)O1 ZINC000409447245 332031467 /nfs/dbraw/zinc/03/14/67/332031467.db2.gz FFYBCSBJAQAHEH-QAPCUYQASA-N 0 3 303.446 4.267 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@H]1CCC2(CCCCC2)O1 ZINC000409447243 332031550 /nfs/dbraw/zinc/03/15/50/332031550.db2.gz FFYBCSBJAQAHEH-CRAIPNDOSA-N 0 3 303.446 4.267 20 0 DIADHN CC(C)(C)OC1CCN(C[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000409447238 332031667 /nfs/dbraw/zinc/03/16/67/332031667.db2.gz CXNDTOHVZXYIRR-QGZVFWFLSA-N 0 3 309.494 4.148 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CCC3CCOCC3)nc2c1 ZINC000409448037 332033471 /nfs/dbraw/zinc/03/34/71/332033471.db2.gz XQYAGHCOGBWESO-QGZVFWFLSA-N 0 3 314.429 4.172 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1ccc(Cl)s1 ZINC000426307334 332041373 /nfs/dbraw/zinc/04/13/73/332041373.db2.gz QDEUMNLZHVFXLV-NWDGAFQWSA-N 0 3 324.852 4.470 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)c1 ZINC000426307514 332042406 /nfs/dbraw/zinc/04/24/06/332042406.db2.gz ROFFGNOCUGTXRS-PMUMKWKESA-N 0 3 301.455 4.410 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)c1 ZINC000426307512 332042424 /nfs/dbraw/zinc/04/24/24/332042424.db2.gz ROFFGNOCUGTXRS-DEYYWGMASA-N 0 3 301.455 4.410 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1ccc(F)cc1 ZINC000426310569 332043309 /nfs/dbraw/zinc/04/33/09/332043309.db2.gz NUHGTEIHQDGXMX-NVGCLXPQSA-N 0 3 305.418 4.240 20 0 DIADHN CSc1ccc([C@@H](C)NCCc2nnc(C(C)C)s2)cc1 ZINC000426313883 332045851 /nfs/dbraw/zinc/04/58/51/332045851.db2.gz XNDVMBQIBSYPIW-GFCCVEGCSA-N 0 3 321.515 4.277 20 0 DIADHN CCCc1nc(C)c(CNC[C@H](C)c2cc(F)cc(F)c2)o1 ZINC000449339588 332052200 /nfs/dbraw/zinc/05/22/00/332052200.db2.gz KRSXRDUTRPERJK-NSHDSACASA-N 0 3 308.372 4.107 20 0 DIADHN C[C@@H](NCCc1cccc2[nH]ccc21)c1ccc(F)cc1F ZINC000409843488 332059770 /nfs/dbraw/zinc/05/97/70/332059770.db2.gz OIAMUIWVFTXLED-GFCCVEGCSA-N 0 3 300.352 4.339 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CC(=O)Nc1cc(Cl)ccc1C ZINC000426336489 332060309 /nfs/dbraw/zinc/06/03/09/332060309.db2.gz IILFIZCCWDMPJO-ZDUSSCGKSA-N 0 3 320.820 4.057 20 0 DIADHN CC(F)(F)CCCNCc1c(Cl)cccc1N1CCCC1 ZINC000449349332 332060993 /nfs/dbraw/zinc/06/09/93/332060993.db2.gz FJWLDXKZAXLTIM-UHFFFAOYSA-N 0 3 316.823 4.465 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](Cc2ccccc2C)C2CC2)o1 ZINC000426350143 332069554 /nfs/dbraw/zinc/06/95/54/332069554.db2.gz GNXKRRXUDNLHOX-SFHVURJKSA-N 0 3 312.457 4.355 20 0 DIADHN Cc1cc(CNCc2ccc(F)cc2)ccc1Br ZINC000091467263 332069843 /nfs/dbraw/zinc/06/98/43/332069843.db2.gz OAEKWHLMSMKSAR-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CC(C)N(Cc1ccc(OCC2CC2)cc1)Cc1cccnc1 ZINC000569392716 332074468 /nfs/dbraw/zinc/07/44/68/332074468.db2.gz XCDXXEYRMCSUIY-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000569396718 332075527 /nfs/dbraw/zinc/07/55/27/332075527.db2.gz KHYKJMVHPMRDRY-RHSMWYFYSA-N 0 3 322.374 4.462 20 0 DIADHN CC(C)([NH2+]Cc1ncccc1[O-])c1ccc(Cl)c(Cl)c1 ZINC000569410208 332078160 /nfs/dbraw/zinc/07/81/60/332078160.db2.gz MDTJUJQAVBYQDH-UHFFFAOYSA-N 0 3 311.212 4.119 20 0 DIADHN C[C@@H](NCCCOc1ccc(Cl)cc1)c1cccc(O)c1 ZINC000569426068 332081469 /nfs/dbraw/zinc/08/14/69/332081469.db2.gz VEQOXKLYSWKLOS-CYBMUJFWSA-N 0 3 305.805 4.165 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CN[C@@H](C)c1cn[nH]c1 ZINC000518577490 332083557 /nfs/dbraw/zinc/08/35/57/332083557.db2.gz QWCLYEQUDUUMMJ-JTQLQIEISA-N 0 3 305.834 4.437 20 0 DIADHN C[C@H]1CN(CCOc2ccc(C(F)(F)F)cc2)CCC1(F)F ZINC000569445391 332088091 /nfs/dbraw/zinc/08/80/91/332088091.db2.gz ULQQDKGBRFTTJH-NSHDSACASA-N 0 3 323.305 4.061 20 0 DIADHN CC(C)Cc1ncc(CNC[C@@H]2CCCCC2(F)F)s1 ZINC000449375526 332091058 /nfs/dbraw/zinc/09/10/58/332091058.db2.gz ISAKXPFKEAMQGA-LBPRGKRZSA-N 0 3 302.434 4.257 20 0 DIADHN CC(C)N(CCOCCOc1ccccc1)Cc1cccs1 ZINC000518603167 332092153 /nfs/dbraw/zinc/09/21/53/332092153.db2.gz GOLGJWAUJHPVTA-UHFFFAOYSA-N 0 3 319.470 4.054 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Br)s1)[C@@H]1CCCO1 ZINC000090857043 332095147 /nfs/dbraw/zinc/09/51/47/332095147.db2.gz CLESNQPNBBYQAU-DCAQKATOSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@@H]3CCCCC3(F)F)sc2C1 ZINC000449379352 332096580 /nfs/dbraw/zinc/09/65/80/332096580.db2.gz SWWTUOOJTDUACT-NEPJUHHUSA-N 0 3 314.445 4.183 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000090972104 332097220 /nfs/dbraw/zinc/09/72/20/332097220.db2.gz JEVJLHLUFNQSKN-KRWDZBQOSA-N 0 3 305.780 4.265 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccnc3ccccc13)CCCO2 ZINC000520944712 332097470 /nfs/dbraw/zinc/09/74/70/332097470.db2.gz GUBDCPMTJYZHAX-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](C)CN2CCC(C)CC2)cs1 ZINC000569472925 332099306 /nfs/dbraw/zinc/09/93/06/332099306.db2.gz UQGPANOOUMNJCX-CABCVRRESA-N 0 3 309.523 4.038 20 0 DIADHN C(=C/c1ccccc1)\CN[C@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000520945758 332101080 /nfs/dbraw/zinc/10/10/80/332101080.db2.gz IYVZIOBHWLIAQO-XBGMYJNYSA-N 0 3 321.420 4.212 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C1(CC)CCCCC1)c1ccco1 ZINC000569493935 332103926 /nfs/dbraw/zinc/10/39/26/332103926.db2.gz BHBHXOIQMPGWPP-HZPDHXFCSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc([C@H]4C[C@@H]4C)o3)nc21 ZINC000410323033 332110998 /nfs/dbraw/zinc/11/09/98/332110998.db2.gz KYMDCSNZEILWTQ-ZFWWWQNUSA-N 0 3 310.397 4.185 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(Cl)s3)nc21 ZINC000410319189 332111640 /nfs/dbraw/zinc/11/16/40/332111640.db2.gz UDDHBOPXYATDNW-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3cc(F)ccc3F)nc21 ZINC000410321278 332111830 /nfs/dbraw/zinc/11/18/30/332111830.db2.gz ZJLJIQUFNBAFBO-GFCCVEGCSA-N 0 3 316.351 4.308 20 0 DIADHN Cc1cccc2oc(CCNCc3ccsc3Cl)nc21 ZINC000410331901 332112207 /nfs/dbraw/zinc/11/22/07/332112207.db2.gz BMTHHHUWRLPHOR-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN CCOc1ccc([C@H](C)NCCc2nc3c(cccc3C)o2)cc1 ZINC000410362706 332116386 /nfs/dbraw/zinc/11/63/86/332116386.db2.gz VEEZWMDLHGYXHL-HNNXBMFYSA-N 0 3 324.424 4.428 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(F)cc3Cl)nc21 ZINC000410357031 332116878 /nfs/dbraw/zinc/11/68/78/332116878.db2.gz YXDCAWMBDPACRL-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1ccnn1C(C)C ZINC000410370319 332118650 /nfs/dbraw/zinc/11/86/50/332118650.db2.gz HACDNSIATVBWRU-UHFFFAOYSA-N 0 3 303.425 4.058 20 0 DIADHN CC(C)n1nccc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC000410390943 332121656 /nfs/dbraw/zinc/12/16/56/332121656.db2.gz RLYWBEIQVZBFJI-MRXNPFEDSA-N 0 3 315.486 4.078 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1sccc1Br ZINC000518678539 332125357 /nfs/dbraw/zinc/12/53/57/332125357.db2.gz BYOIYMYYNXRYKC-DLOVCJGASA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H](CN[C@@H]1CCCOc2c(Cl)cccc21)c1nccs1 ZINC000186745104 332945172 /nfs/dbraw/zinc/94/51/72/332945172.db2.gz WSYQMZLWUQNFBW-SMDDNHRTSA-N 0 3 322.861 4.404 20 0 DIADHN CSc1ccc([C@H](CNCc2ccc(Cl)o2)N(C)C)cc1 ZINC000559358061 332126293 /nfs/dbraw/zinc/12/62/93/332126293.db2.gz HQNWWGVKHGOUEZ-HNNXBMFYSA-N 0 3 324.877 4.047 20 0 DIADHN CC(C)C[C@@H](C)CN(Cc1nc2ccccc2c(=O)[nH]1)C(C)C ZINC000410427094 332126579 /nfs/dbraw/zinc/12/65/79/332126579.db2.gz MRJLKSOBOQEWBR-OAHLLOKOSA-N 0 3 315.461 4.228 20 0 DIADHN CC(C)C[C@H](C)CN(Cc1nc2ccccc2c(=O)[nH]1)C(C)C ZINC000410427093 332126599 /nfs/dbraw/zinc/12/65/99/332126599.db2.gz MRJLKSOBOQEWBR-HNNXBMFYSA-N 0 3 315.461 4.228 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1cnc(-c2cccs2)s1)OC ZINC000449399762 332128682 /nfs/dbraw/zinc/12/86/82/332128682.db2.gz DDHKTZUWEAQWNS-WCQYABFASA-N 0 3 310.488 4.022 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCc3ccccc3C2)cs1 ZINC000132326497 332128790 /nfs/dbraw/zinc/12/87/90/332128790.db2.gz ZHUVOHBGHKZXFS-OAHLLOKOSA-N 0 3 300.471 4.088 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)s1 ZINC000132908590 332134551 /nfs/dbraw/zinc/13/45/51/332134551.db2.gz VNPGKOAJPXNEHC-VXGBXAGGSA-N 0 3 317.458 4.213 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccccc2-n2cccn2)s1 ZINC000132909613 332134564 /nfs/dbraw/zinc/13/45/64/332134564.db2.gz AOMCRZXFUURJES-AWEZNQCLSA-N 0 3 311.454 4.347 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC1=CCOCC1 ZINC000449401904 332134643 /nfs/dbraw/zinc/13/46/43/332134643.db2.gz JCKAFEYBOOMMPX-UHFFFAOYSA-N 0 3 311.878 4.277 20 0 DIADHN C[C@H](NCCc1cccnc1)c1cc(Cl)sc1Cl ZINC000133492325 332140453 /nfs/dbraw/zinc/14/04/53/332140453.db2.gz UDIYYEXYVUJGDM-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Fc1ccc(Br)c(CNCCCC(F)(F)F)c1 ZINC000133747071 332141742 /nfs/dbraw/zinc/14/17/42/332141742.db2.gz BIAKLHIFLBBDHS-UHFFFAOYSA-N 0 3 314.120 4.020 20 0 DIADHN CCCc1csc(CN(CCC)[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)n1 ZINC000426416730 332146205 /nfs/dbraw/zinc/14/62/05/332146205.db2.gz LIEFWTPOXXGINJ-USXIJHARSA-N 0 3 322.518 4.121 20 0 DIADHN CCN(CCc1nccs1)Cc1ccc2nc(C)sc2c1 ZINC000426417510 332148031 /nfs/dbraw/zinc/14/80/31/332148031.db2.gz RZWIRFSFYJEEKA-UHFFFAOYSA-N 0 3 317.483 4.126 20 0 DIADHN Clc1cccc(-c2noc(CN3C[C@@H]4CCCC[C@@H]4C3)n2)c1 ZINC000426797787 332154810 /nfs/dbraw/zinc/15/48/10/332154810.db2.gz CLGUNOPDLLMUFK-OKILXGFUSA-N 0 3 317.820 4.012 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1cccnc1C ZINC000584259625 332171708 /nfs/dbraw/zinc/17/17/08/332171708.db2.gz VYBYWJDJHKTRIV-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN2CCC[C@H]2C)on1 ZINC000181127256 332176004 /nfs/dbraw/zinc/17/60/04/332176004.db2.gz VKQHNMNGNFGAOM-CQSZACIVSA-N 0 3 322.408 4.488 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN2CCC[C@@H]2C)on1 ZINC000181127276 332176090 /nfs/dbraw/zinc/17/60/90/332176090.db2.gz VKQHNMNGNFGAOM-AWEZNQCLSA-N 0 3 322.408 4.488 20 0 DIADHN CC[C@H](NC[C@@H]1C[C@@H](C(C)C)c2ccccc21)c1nccn1C ZINC000448026300 332194261 /nfs/dbraw/zinc/19/42/61/332194261.db2.gz HTESXNWUTRWSOH-SNRMKQJTSA-N 0 3 311.473 4.388 20 0 DIADHN c1c2ccccc2oc1[C@@H](NC[C@H]1CCSC1)c1cccnc1 ZINC000448027333 332198220 /nfs/dbraw/zinc/19/82/20/332198220.db2.gz MNMFJMUINWVBOH-KUHUBIRLSA-N 0 3 324.449 4.260 20 0 DIADHN Cc1csc([C@@H](NCCCOc2ccc(F)cc2)C2CC2)n1 ZINC000181617681 332205120 /nfs/dbraw/zinc/20/51/20/332205120.db2.gz FZDCIUOBPUUBAK-INIZCTEOSA-N 0 3 320.433 4.100 20 0 DIADHN COc1cc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)ccn1 ZINC000533831598 332216243 /nfs/dbraw/zinc/21/62/43/332216243.db2.gz RPXATEWQAJKTHT-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H]3[C@@H]4CCO[C@H]4C34CCC4)oc2c1 ZINC000426050845 335095629 /nfs/dbraw/zinc/09/56/29/335095629.db2.gz MWOVUYFBUIJDDZ-JECZMYCTSA-N 0 3 311.425 4.349 20 0 DIADHN CC1(NCc2ncc(-c3ccccc3)s2)Cc2ccccc2C1 ZINC000536383949 332236145 /nfs/dbraw/zinc/23/61/45/332236145.db2.gz RUIJZSHRNJOCJY-UHFFFAOYSA-N 0 3 320.461 4.457 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc3c(c2)COC3)cc1 ZINC000537960287 332239985 /nfs/dbraw/zinc/23/99/85/332239985.db2.gz PDLKLYIRQBYLKW-HNNXBMFYSA-N 0 3 311.425 4.356 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@@H](OC)c2ccc(F)cc2)s1 ZINC000536417942 332241662 /nfs/dbraw/zinc/24/16/62/332241662.db2.gz GHWXYCZICJLBLU-SJKOYZFVSA-N 0 3 307.434 4.315 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@H](OC)c2ccc(F)cc2)s1 ZINC000536417941 332241721 /nfs/dbraw/zinc/24/17/21/332241721.db2.gz GHWXYCZICJLBLU-SJCJKPOMSA-N 0 3 307.434 4.315 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3ccc(C(C)C)cc32)ccn1 ZINC000536427286 332242104 /nfs/dbraw/zinc/24/21/04/332242104.db2.gz UTWJCWAKLYDTFU-LJQANCHMSA-N 0 3 310.441 4.381 20 0 DIADHN COc1cc(CN[C@H]2CCCc3ccc(C(C)C)cc32)ccn1 ZINC000536427281 332242272 /nfs/dbraw/zinc/24/22/72/332242272.db2.gz UTWJCWAKLYDTFU-IBGZPJMESA-N 0 3 310.441 4.381 20 0 DIADHN Cc1cc(CNCc2ccc(Br)cc2)cc(C)c1O ZINC000042372378 332242570 /nfs/dbraw/zinc/24/25/70/332242570.db2.gz RPQYIFQCLZIQDQ-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000363764257 332243027 /nfs/dbraw/zinc/24/30/27/332243027.db2.gz HZCHMGYULFRIQD-TUAOUCFPSA-N 0 3 307.825 4.475 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](C)c1cccc(Cl)c1Cl ZINC000224355674 332249389 /nfs/dbraw/zinc/24/93/89/332249389.db2.gz RQBWASDOWDNTDD-RYUDHWBXSA-N 0 3 304.261 4.443 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCOc2ccccc2Cl)s1 ZINC000358255439 332251490 /nfs/dbraw/zinc/25/14/90/332251490.db2.gz QYWUSAOPOOIHML-JTQLQIEISA-N 0 3 310.850 4.143 20 0 DIADHN FC(F)(F)CCN(CC1CC1)C[C@@H]1CCC2(CCCC2)O1 ZINC000554817234 332251796 /nfs/dbraw/zinc/25/17/96/332251796.db2.gz AKGALMOXFZLGBH-AWEZNQCLSA-N 0 3 305.384 4.143 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H](CC4CC4)C3)on2)cc1 ZINC000559409943 332256032 /nfs/dbraw/zinc/25/60/32/332256032.db2.gz IOPLFXSMUXAJDQ-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN CC(C)[C@@]1(CO)CCCN(C/C=C/c2ccc(Cl)cc2)C1 ZINC000559425681 332257754 /nfs/dbraw/zinc/25/77/54/332257754.db2.gz OWPOJVCDOQFUBF-YZTRRJNXSA-N 0 3 307.865 4.084 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)CC(C)(C)C1CC1)c1ccccc1 ZINC000559541192 332269829 /nfs/dbraw/zinc/26/98/29/332269829.db2.gz LNKBIPLOURGCKJ-OAHLLOKOSA-N 0 3 316.489 4.058 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1ccc(N2CCCC2=O)cc1 ZINC000152275008 332272108 /nfs/dbraw/zinc/27/21/08/332272108.db2.gz NMJYRTXCEMGUCR-KBXCAEBGSA-N 0 3 324.424 4.135 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1ccc(N2CCCC2=O)cc1 ZINC000152275070 332272226 /nfs/dbraw/zinc/27/22/26/332272226.db2.gz NMJYRTXCEMGUCR-RDTXWAMCSA-N 0 3 324.424 4.135 20 0 DIADHN Fc1ccc(CN[C@@H]2C[C@@H](c3cc(F)cc(F)c3)C2)c(F)c1 ZINC000559595902 332273728 /nfs/dbraw/zinc/27/37/28/332273728.db2.gz YNFZOEYNMIAJSN-YGUOUDRMSA-N 0 3 309.306 4.279 20 0 DIADHN Cc1cccc(CN[C@H](Cc2ccccc2Cl)C2CC2)n1 ZINC000559739763 332278243 /nfs/dbraw/zinc/27/82/43/332278243.db2.gz BCSLDABEPWVDCB-GOSISDBHSA-N 0 3 300.833 4.154 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@@H](C)c2ccncc2F)cc1 ZINC000559765794 332279732 /nfs/dbraw/zinc/27/97/32/332279732.db2.gz BWFZEACYJZDEHM-KBPBESRZSA-N 0 3 302.393 4.074 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@H](C)c2ccncc2F)cc1 ZINC000559765796 332279785 /nfs/dbraw/zinc/27/97/85/332279785.db2.gz BWFZEACYJZDEHM-UONOGXRCSA-N 0 3 302.393 4.074 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1cnc2ccccc2c1 ZINC000559775550 332280160 /nfs/dbraw/zinc/28/01/60/332280160.db2.gz DBWLIRDHLQCTHU-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1cccc(C2CC2)c1 ZINC000559788127 332281537 /nfs/dbraw/zinc/28/15/37/332281537.db2.gz TXSRIVUQHMKAQS-DYVFJYSZSA-N 0 3 301.455 4.318 20 0 DIADHN CN(CCCCc1ccccc1)C[C@@H]1OCCc2ccccc21 ZINC000569614830 332282376 /nfs/dbraw/zinc/28/23/76/332282376.db2.gz RZNCDDOSCZJXED-NRFANRHFSA-N 0 3 309.453 4.255 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](CC)c1ccc(C(=O)OC)cc1 ZINC000559842333 332284673 /nfs/dbraw/zinc/28/46/73/332284673.db2.gz MBNCJMVGIYYKNY-ZIAGYGMSSA-N 0 3 317.351 4.245 20 0 DIADHN C[C@H](N[C@H](CO)c1ccsc1)c1ccc(F)c2ccccc21 ZINC000559845815 332285017 /nfs/dbraw/zinc/28/50/17/332285017.db2.gz VCHUWDOPLQKTMC-KPZWWZAWSA-N 0 3 315.413 4.425 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@@H](C)c2ccncc2F)cc1 ZINC000559853853 332285481 /nfs/dbraw/zinc/28/54/81/332285481.db2.gz DTRLXDOGMFEVDY-GJZGRUSLSA-N 0 3 316.420 4.462 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2ccc(Cl)cc2)C(C)C)nn1C ZINC000559858025 332285970 /nfs/dbraw/zinc/28/59/70/332285970.db2.gz RVVUVWNDJKLXMP-RHSMWYFYSA-N 0 3 319.880 4.472 20 0 DIADHN C[C@H](F)CCN[C@H](c1ccc(Br)cc1F)C1CC1 ZINC000559892548 332290028 /nfs/dbraw/zinc/29/00/28/332290028.db2.gz XXVZXNYUIMSTOZ-XPTSAGLGSA-N 0 3 318.205 4.377 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000559900093 332291667 /nfs/dbraw/zinc/29/16/67/332291667.db2.gz SFSKOZJHPJEZDM-YMTOWFKASA-N 0 3 319.779 4.017 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000559900092 332291695 /nfs/dbraw/zinc/29/16/95/332291695.db2.gz SFSKOZJHPJEZDM-XPTSAGLGSA-N 0 3 319.779 4.017 20 0 DIADHN Cc1ccc(C2(CN[C@@H](C)c3cnccn3)CCCC2)c(C)c1 ZINC000559912931 332292009 /nfs/dbraw/zinc/29/20/09/332292009.db2.gz AEPBBVIAHLWDPO-KRWDZBQOSA-N 0 3 309.457 4.256 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@@H]2N[C@@H]1CCC[C@@H]1F ZINC000559915605 332292372 /nfs/dbraw/zinc/29/23/72/332292372.db2.gz YZHJTQJASFHULV-FPMFFAJLSA-N 0 3 316.189 4.056 20 0 DIADHN CSc1ccc(CN(C)[C@H](CCO)c2ccccc2)s1 ZINC000559928902 332294251 /nfs/dbraw/zinc/29/42/51/332294251.db2.gz SXOPTQNNAWGJOO-OAHLLOKOSA-N 0 3 307.484 4.026 20 0 DIADHN Cc1ccc2cc([C@H](C)NCC3([C@H](O)C(C)C)CC3)oc2c1 ZINC000426065552 335099488 /nfs/dbraw/zinc/09/94/88/335099488.db2.gz ZZGAURKHRVROID-KBXCAEBGSA-N 0 3 301.430 4.189 20 0 DIADHN c1ccc(-c2noc(CN(CC3CC3)C3CCCCC3)n2)cc1 ZINC000559986042 332299769 /nfs/dbraw/zinc/29/97/69/332299769.db2.gz LAKBSVRRDKXEPF-UHFFFAOYSA-N 0 3 311.429 4.281 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1cnc(-c2cccs2)s1 ZINC000449491988 332300418 /nfs/dbraw/zinc/30/04/18/332300418.db2.gz IGPYGSIWEYNJKP-SNVBAGLBSA-N 0 3 314.426 4.397 20 0 DIADHN C[C@@H](NCc1cnc(-c2ccc(Cl)cc2)s1)[C@@H]1CCCO1 ZINC000560059108 332307025 /nfs/dbraw/zinc/30/70/25/332307025.db2.gz CBBOFLYOTNLPGK-ABAIWWIYSA-N 0 3 322.861 4.121 20 0 DIADHN Clc1ccc(-c2ncc(CN[C@@H]3CCCSC3)s2)cc1 ZINC000560097433 332310991 /nfs/dbraw/zinc/31/09/91/332310991.db2.gz KLVNPQFFNDIIPD-CYBMUJFWSA-N 0 3 324.902 4.449 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)c1 ZINC000560115246 332311899 /nfs/dbraw/zinc/31/18/99/332311899.db2.gz FWVOUBWTWINRLO-WQDJSCAQSA-N 0 3 310.441 4.025 20 0 DIADHN CCn1c2ccccc2nc1[C@@H]1CCCN1CCCCCF ZINC000560159906 332316633 /nfs/dbraw/zinc/31/66/33/332316633.db2.gz WUNSFKTXBHLSNS-KRWDZBQOSA-N 0 3 303.425 4.333 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC000560183730 332317576 /nfs/dbraw/zinc/31/75/76/332317576.db2.gz NEOLHIAVJFNLRI-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2cccc3ccccc32)n(C)n1 ZINC000417750801 335103492 /nfs/dbraw/zinc/10/34/92/335103492.db2.gz GQWBWFDYVZZSKD-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN CC1(C)CC[C@H]1NCc1ccnc(Oc2ccccc2F)c1 ZINC000560238025 332321605 /nfs/dbraw/zinc/32/16/05/332321605.db2.gz LSVREUMJTJGNCY-MRXNPFEDSA-N 0 3 300.377 4.291 20 0 DIADHN CC1(C)CC[C@@H]1NCc1ccnc(Oc2ccccc2F)c1 ZINC000560238024 332321633 /nfs/dbraw/zinc/32/16/33/332321633.db2.gz LSVREUMJTJGNCY-INIZCTEOSA-N 0 3 300.377 4.291 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)CCC(C)(C)C)c1nnc2ccccn21 ZINC000560243990 332322453 /nfs/dbraw/zinc/32/24/53/332322453.db2.gz IFELUYNIZOMRMO-GOEBONIOSA-N 0 3 302.466 4.231 20 0 DIADHN CC(C)(C)c1ncc(CNCCCSc2ccccc2)cn1 ZINC000560255180 332323597 /nfs/dbraw/zinc/32/35/97/332323597.db2.gz ISLSOPRTPRUFTN-UHFFFAOYSA-N 0 3 315.486 4.046 20 0 DIADHN CCN(Cc1nc2ccccc2c(=O)[nH]1)CC(C(C)C)C(C)C ZINC000560258141 332325437 /nfs/dbraw/zinc/32/54/37/332325437.db2.gz SQJPQWDWXXULIE-UHFFFAOYSA-N 0 3 315.461 4.086 20 0 DIADHN Clc1cc(CN[C@H](c2ccccc2)C2CC2)cc2c1OCO2 ZINC000387527970 332329362 /nfs/dbraw/zinc/32/93/62/332329362.db2.gz PLSSOCIKLWQAJW-QGZVFWFLSA-N 0 3 315.800 4.310 20 0 DIADHN Clc1cc(CN[C@@H](c2ccccc2)C2CC2)cc2c1OCO2 ZINC000387527968 332329540 /nfs/dbraw/zinc/32/95/40/332329540.db2.gz PLSSOCIKLWQAJW-KRWDZBQOSA-N 0 3 315.800 4.310 20 0 DIADHN Cc1cccnc1[C@H](NCC1=Cc2ccccc2OC1)C(C)C ZINC000115622802 332334177 /nfs/dbraw/zinc/33/41/77/332334177.db2.gz AIDYHQZPPSBFOB-LJQANCHMSA-N 0 3 308.425 4.153 20 0 DIADHN CCCc1csc(CN2CC[C@H](Cc3ccccc3)C2)n1 ZINC000426081104 335101883 /nfs/dbraw/zinc/10/18/83/335101883.db2.gz ZKIYADNELPZVMW-MRXNPFEDSA-N 0 3 300.471 4.160 20 0 DIADHN Clc1ccc(Cl)c(CC2CCN(Cc3cnc[nH]3)CC2)c1 ZINC000560445219 332339761 /nfs/dbraw/zinc/33/97/61/332339761.db2.gz WCEVKDZPHOSNFJ-UHFFFAOYSA-N 0 3 324.255 4.171 20 0 DIADHN CC(C)COc1ccc(CN2CCC[C@@H]2c2ccccn2)cc1 ZINC000560444044 332339801 /nfs/dbraw/zinc/33/98/01/332339801.db2.gz QUDNDZPVRJNGEQ-HXUWFJFHSA-N 0 3 310.441 4.454 20 0 DIADHN CCc1ccc(CN2CCC(c3noc(C(C)C)n3)CC2)s1 ZINC000560503333 332347440 /nfs/dbraw/zinc/34/74/40/332347440.db2.gz KZWWWRVVIBQVPJ-UHFFFAOYSA-N 0 3 319.474 4.197 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2C[C@H](C)C[C@H](c3ccccc3)C2)s1 ZINC000391792744 335102379 /nfs/dbraw/zinc/10/23/79/335102379.db2.gz YFTORAFEMATLOT-OSRSDYAFSA-N 0 3 315.486 4.470 20 0 DIADHN CC(C)N(Cc1nc2ccc(Br)cc2o1)CC1CC1 ZINC000583355750 332349026 /nfs/dbraw/zinc/34/90/26/332349026.db2.gz QCECNNCHZCAYTB-UHFFFAOYSA-N 0 3 323.234 4.211 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(OC)c(OC)c1C ZINC000560525057 332351473 /nfs/dbraw/zinc/35/14/73/332351473.db2.gz FFIFRUKRPNRDTK-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@@H](C)Oc2ccccc2Cl)c1 ZINC000560545434 332356662 /nfs/dbraw/zinc/35/66/62/332356662.db2.gz MRYXJFNLKNUZEX-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc(C2(CN[C@@H](C)c3cc(C)ccn3)CCC2)cc1 ZINC000560549550 332358240 /nfs/dbraw/zinc/35/82/40/332358240.db2.gz NKKMZXBZFZJOPU-INIZCTEOSA-N 0 3 310.441 4.171 20 0 DIADHN CC(C)COc1ccc([C@H](C)NCc2cnn3ccccc23)cc1 ZINC000560571795 332362405 /nfs/dbraw/zinc/36/24/05/332362405.db2.gz KNPSUSOOLSDZIK-INIZCTEOSA-N 0 3 323.440 4.220 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1c(F)cccc1N1CCCC1 ZINC000560573943 332362943 /nfs/dbraw/zinc/36/29/43/332362943.db2.gz MODRESVTIOUGRF-CRAIPNDOSA-N 0 3 322.443 4.434 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1c(F)cccc1SC ZINC000560574468 332363312 /nfs/dbraw/zinc/36/33/12/332363312.db2.gz QTNXYAYBGDOTSU-FZKQIMNGSA-N 0 3 319.445 4.413 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cccc(N2CCCC2=O)c1 ZINC000119129364 332364663 /nfs/dbraw/zinc/36/46/63/332364663.db2.gz ALNMMPGVPLLYFI-FOIQADDNSA-N 0 3 320.436 4.152 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1cccc(N2CCCC2=O)c1 ZINC000119129484 332364671 /nfs/dbraw/zinc/36/46/71/332364671.db2.gz ALNMMPGVPLLYFI-YWZLYKJASA-N 0 3 320.436 4.152 20 0 DIADHN Cc1ccc(CN2CC[C@H](COCc3ccccc3)C2)cc1F ZINC000560584834 332365310 /nfs/dbraw/zinc/36/53/10/332365310.db2.gz MKMOUEBEVIYDBQ-IBGZPJMESA-N 0 3 313.416 4.173 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(CSC)cc2)c1 ZINC000560584192 332365447 /nfs/dbraw/zinc/36/54/47/332365447.db2.gz IRBRNOFAPXOEBK-CQSZACIVSA-N 0 3 301.455 4.409 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCc3cc(C)no3)oc21 ZINC000560586553 332365724 /nfs/dbraw/zinc/36/57/24/332365724.db2.gz SNSHDMZDOUUMNG-CYBMUJFWSA-N 0 3 314.385 4.021 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cc1ccccc1)C1CCCC1 ZINC000014703259 332380934 /nfs/dbraw/zinc/38/09/34/332380934.db2.gz KRYSTMKKJJQIAL-UHFFFAOYSA-N 0 3 322.452 4.090 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000120299052 332385262 /nfs/dbraw/zinc/38/52/62/332385262.db2.gz FZFONRTXTZJJKT-UGSOOPFHSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2C1)c1nc2c(s1)CCCC2 ZINC000560875475 332391189 /nfs/dbraw/zinc/39/11/89/332391189.db2.gz PLMOIWNIMRMKLU-CZUORRHYSA-N 0 3 312.482 4.230 20 0 DIADHN CCc1ccc([C@@H](C)CC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000583407999 332391532 /nfs/dbraw/zinc/39/15/32/332391532.db2.gz DECDIJMDOBHDPN-INIZCTEOSA-N 0 3 324.468 4.443 20 0 DIADHN O[C@H]1CC[C@H](N[C@@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000560909362 332393275 /nfs/dbraw/zinc/39/32/75/332393275.db2.gz NITZMGDKVKEVQV-FXPVBKGRSA-N 0 3 320.285 4.325 20 0 DIADHN C[C@H](NC1CCC2(CCOCC2)CC1)c1ccc(F)cc1F ZINC000560911260 332394282 /nfs/dbraw/zinc/39/42/82/332394282.db2.gz MUKLVUQBLNCWHW-ZDUSSCGKSA-N 0 3 309.400 4.355 20 0 DIADHN CC(C)=CCN1CCC[C@H](c2nc(-c3cccc(F)c3)no2)C1 ZINC000560996685 332399942 /nfs/dbraw/zinc/39/99/42/332399942.db2.gz GQWUTXAZIGXDFK-HNNXBMFYSA-N 0 3 315.392 4.021 20 0 DIADHN Cc1cc(C)c(CN[C@@H](CCO)c2ccc(Cl)cc2)cc1C ZINC000584314251 332418826 /nfs/dbraw/zinc/41/88/26/332418826.db2.gz ZYAUSCNNXWSCQN-IBGZPJMESA-N 0 3 317.860 4.479 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCCC(=O)N(C)c1ccccc1 ZINC000561263526 332430865 /nfs/dbraw/zinc/43/08/65/332430865.db2.gz XLKLDOLSGJQKMJ-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN CC(C)(NCc1cccc(-n2cccn2)c1)c1cccc(F)c1 ZINC000150805380 332432024 /nfs/dbraw/zinc/43/20/24/332432024.db2.gz USOOVADLXNRVAJ-UHFFFAOYSA-N 0 3 309.388 4.036 20 0 DIADHN CNCc1cccc(NC(=O)CCCc2ccc(Cl)cc2)c1 ZINC000428295903 332436547 /nfs/dbraw/zinc/43/65/47/332436547.db2.gz UOGUNWSLWAYDQW-UHFFFAOYSA-N 0 3 316.832 4.021 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1nc2ccc(F)cc2s1 ZINC000428850669 332438922 /nfs/dbraw/zinc/43/89/22/332438922.db2.gz OFOLTHPIPXBSPF-ZFWWWQNUSA-N 0 3 322.449 4.119 20 0 DIADHN CCOC1CC2(C1)CCN(c1c(C)cnc3c(C)cccc31)C2 ZINC000561394743 332445784 /nfs/dbraw/zinc/44/57/84/332445784.db2.gz IJZNSVRAIOHVEG-UHFFFAOYSA-N 0 3 310.441 4.247 20 0 DIADHN CCC(C)(C)CC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000427572712 332453831 /nfs/dbraw/zinc/45/38/31/332453831.db2.gz NZFLAMBYFHILGF-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Cn1ncc(CN[C@H](c2ccccc2)C2CCC2)c1C(F)(F)F ZINC000151030702 332483974 /nfs/dbraw/zinc/48/39/74/332483974.db2.gz LLPPRODLBMYOJK-OAHLLOKOSA-N 0 3 323.362 4.070 20 0 DIADHN COc1cccc(CNC2CC3(CCC3)C2)c1OC1CCCC1 ZINC000448067901 332486192 /nfs/dbraw/zinc/48/61/92/332486192.db2.gz SQRQJCWCCONNRC-UHFFFAOYSA-N 0 3 315.457 4.439 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1cccc2cccnc21 ZINC000151034553 332488483 /nfs/dbraw/zinc/48/84/83/332488483.db2.gz IWFYDEAOWUTGQM-YJYMSZOUSA-N 0 3 306.384 4.397 20 0 DIADHN c1ccc(COc2cc(CNC3CC4(CCC4)C3)ccn2)cc1 ZINC000448074284 332493629 /nfs/dbraw/zinc/49/36/29/332493629.db2.gz LIKNUXIRFPSQIR-UHFFFAOYSA-N 0 3 308.425 4.083 20 0 DIADHN C[C@@H](NCc1cccc(-c2ccncc2)c1)c1cccc(O)c1 ZINC000428564919 332500149 /nfs/dbraw/zinc/50/01/49/332500149.db2.gz YFRMBDVQKSKRMG-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(F)ccc2C)c(C)c1OC ZINC000561927942 332517511 /nfs/dbraw/zinc/51/75/11/332517511.db2.gz IFRFSIMQYJYANG-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)Cc2ccccc2F)c(OC)c1 ZINC000323283554 332519914 /nfs/dbraw/zinc/51/99/14/332519914.db2.gz LZCCOCKZMUKYPH-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN COCc1nc(CN[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cs1 ZINC000562100325 332546476 /nfs/dbraw/zinc/54/64/76/332546476.db2.gz QULJVFVQWDREHA-VXGBXAGGSA-N 0 3 324.877 4.225 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1nc2cc(Cl)ccc2n1C ZINC000427879431 332553579 /nfs/dbraw/zinc/55/35/79/332553579.db2.gz SQCAAAWLBZHEGW-VIFPVBQESA-N 0 3 319.758 4.047 20 0 DIADHN C[C@H](CCn1cccn1)N[C@H](C)c1cc(Cl)ccc1Cl ZINC000427890198 332556320 /nfs/dbraw/zinc/55/63/20/332556320.db2.gz ZDGJJVHVZLRYAV-VXGBXAGGSA-N 0 3 312.244 4.319 20 0 DIADHN Cn1ncc2ccc(CN[C@H]3CCCCc4ccccc43)cc21 ZINC000562266543 332565174 /nfs/dbraw/zinc/56/51/74/332565174.db2.gz GUEGJTYVPIYUPA-IBGZPJMESA-N 0 3 305.425 4.131 20 0 DIADHN CC1(C)CC[C@@H](CN[C@@H]2CCCOc3ccc(Cl)cc32)O1 ZINC000427979378 332578661 /nfs/dbraw/zinc/57/86/61/332578661.db2.gz IIGJJPODMDCWDA-DZGCQCFKSA-N 0 3 309.837 4.101 20 0 DIADHN CC1(C)CC[C@@H](CN[C@@H]2CCCOc3cc(Cl)ccc32)O1 ZINC000427977643 332579227 /nfs/dbraw/zinc/57/92/27/332579227.db2.gz ZEMPSCLWXLBZOG-DZGCQCFKSA-N 0 3 309.837 4.101 20 0 DIADHN CC1(C)CC[C@H](CN[C@@H]2CCCOc3cc(Cl)ccc32)O1 ZINC000427977645 332579293 /nfs/dbraw/zinc/57/92/93/332579293.db2.gz ZEMPSCLWXLBZOG-UKRRQHHQSA-N 0 3 309.837 4.101 20 0 DIADHN CC[C@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1OC(F)F ZINC000427985212 332581387 /nfs/dbraw/zinc/58/13/87/332581387.db2.gz FJLLUIHYMOHSDE-OCCSQVGLSA-N 0 3 313.388 4.286 20 0 DIADHN C[C@@H]1CC(C)(C)C[C@@H]1N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000562488555 332598167 /nfs/dbraw/zinc/59/81/67/332598167.db2.gz HYBNUDZUAYNOKE-JEBQAFNWSA-N 0 3 315.436 4.063 20 0 DIADHN Cc1cccn2c(CNCc3csc4ccccc34)cnc12 ZINC000525694137 332615253 /nfs/dbraw/zinc/61/52/53/332615253.db2.gz CGCVAMPRCGVWIX-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000172682857 332630075 /nfs/dbraw/zinc/63/00/75/332630075.db2.gz PURLIAPAPGSSRD-YVEFUNNKSA-N 0 3 303.425 4.306 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@]2(C)CCCc3ccccc32)cs1 ZINC000562866731 332634011 /nfs/dbraw/zinc/63/40/11/332634011.db2.gz OQZMNTDHXQPJOG-FZKQIMNGSA-N 0 3 300.471 4.396 20 0 DIADHN c1nc(C2CC2)sc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000569644591 332653182 /nfs/dbraw/zinc/65/31/82/332653182.db2.gz DHSXCZREPFIYEC-NVXWUHKLSA-N 0 3 314.454 4.030 20 0 DIADHN COc1cncc(CN[C@H](C)c2ccc(F)c3ccccc32)c1 ZINC000569646994 332653389 /nfs/dbraw/zinc/65/33/89/332653389.db2.gz HXHLXKMZSCMKAE-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CSC1(CN2CCC(C(=O)c3ccc(C)cc3C)CC2)CC1 ZINC000569767726 332677580 /nfs/dbraw/zinc/67/75/80/332677580.db2.gz WQBNTZPLFYZCDT-UHFFFAOYSA-N 0 3 317.498 4.094 20 0 DIADHN CCC(O)(CC)C(C)(C)CN[C@H](C)c1cnn(C(C)C)c1C ZINC000565246456 332691326 /nfs/dbraw/zinc/69/13/26/332691326.db2.gz NJZFQZNNXIIMJW-CQSZACIVSA-N 0 3 309.498 4.000 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2NCCC1CCCCCC1 ZINC000570008165 332702640 /nfs/dbraw/zinc/70/26/40/332702640.db2.gz HYVSRJURBPEBJS-INIZCTEOSA-N 0 3 304.482 4.187 20 0 DIADHN COCCOc1ccc([C@H](C)NCc2ccc(Cl)cc2)cc1 ZINC000152909915 332708453 /nfs/dbraw/zinc/70/84/53/332708453.db2.gz XDVDHEDQIWILAF-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](C)c1ccc(F)cc1F ZINC000570323766 332739273 /nfs/dbraw/zinc/73/92/73/332739273.db2.gz PYQAONFKVVYWIQ-ZDUSSCGKSA-N 0 3 304.384 4.297 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1cnccn1 ZINC000152430862 335114046 /nfs/dbraw/zinc/11/40/46/335114046.db2.gz AMXSOYPEKCHSBC-AWEZNQCLSA-N 0 3 315.848 4.293 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)C3CCCCC3)co2)cc1 ZINC000580176772 332984852 /nfs/dbraw/zinc/98/48/52/332984852.db2.gz XIJQTTDMRQPDLX-CQSZACIVSA-N 0 3 314.429 4.409 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(OCC3CCCC3)CC2)c1 ZINC000177477625 332988680 /nfs/dbraw/zinc/98/86/80/332988680.db2.gz UOOWJACPNKOPCS-MRXNPFEDSA-N 0 3 317.473 4.427 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)c1 ZINC000178518790 333014309 /nfs/dbraw/zinc/01/43/09/333014309.db2.gz ZVUWWVFTECFBCB-CWTRNNRKSA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCc3ccc(C)cc32)c1 ZINC000178520094 333014568 /nfs/dbraw/zinc/01/45/68/333014568.db2.gz FBQXSGOFTNBNPG-VLIAUNLRSA-N 0 3 308.425 4.292 20 0 DIADHN Cc1ncncc1[C@H](C)NCCCOc1ccc2ccccc2c1 ZINC000580215689 333015782 /nfs/dbraw/zinc/01/57/82/333015782.db2.gz ADXAITAMXQUZEH-HNNXBMFYSA-N 0 3 321.424 4.058 20 0 DIADHN CCOCCOc1cc(C)ccc1CN[C@H](C)c1cccs1 ZINC000167141616 333015848 /nfs/dbraw/zinc/01/58/48/333015848.db2.gz IGLSKILBEJLIJT-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C(F)F)cc2)cc1OC ZINC000178665809 333018262 /nfs/dbraw/zinc/01/82/62/333018262.db2.gz HGNAXLUKHWSYDU-LBPRGKRZSA-N 0 3 321.367 4.492 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1ccc2[nH]cnc2c1 ZINC000518764549 333018844 /nfs/dbraw/zinc/01/88/44/333018844.db2.gz SDKHGSAGEYMHSC-KRWDZBQOSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1ccc2nc[nH]c2c1 ZINC000518764549 333018845 /nfs/dbraw/zinc/01/88/45/333018845.db2.gz SDKHGSAGEYMHSC-KRWDZBQOSA-N 0 3 309.413 4.268 20 0 DIADHN CC[C@]1(C)C[C@H]1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000466409474 333018882 /nfs/dbraw/zinc/01/88/82/333018882.db2.gz LXSFZTYHJOADCL-PKOBYXMFSA-N 0 3 300.446 4.047 20 0 DIADHN C=Cn1cc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)cn1 ZINC000466477461 333022808 /nfs/dbraw/zinc/02/28/08/333022808.db2.gz MEPJVAQUMCQOJI-HDJSIYSDSA-N 0 3 322.239 4.326 20 0 DIADHN CC(C)[C@@H]1OCCC[C@H]1CN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000580225676 333028342 /nfs/dbraw/zinc/02/83/42/333028342.db2.gz YFKSDDMRVXLMKU-BZSNNMDCSA-N 0 3 319.493 4.072 20 0 DIADHN Fc1ccc(/C=C/CNCCc2nc3ccccc3s2)cc1 ZINC000580245705 333040271 /nfs/dbraw/zinc/04/02/71/333040271.db2.gz ZSHGSFRMFWJBJO-ONEGZZNKSA-N 0 3 312.413 4.281 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@@H](C)c1nccc2ccccc21 ZINC000580249534 333040873 /nfs/dbraw/zinc/04/08/73/333040873.db2.gz IIHOTWZAUNHBCC-IPELMVKDSA-N 0 3 312.457 4.479 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1N[C@H](C)c1nccc2ccccc21 ZINC000580249536 333041007 /nfs/dbraw/zinc/04/10/07/333041007.db2.gz IIHOTWZAUNHBCC-MNEFBYGVSA-N 0 3 312.457 4.479 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC000580253598 333041696 /nfs/dbraw/zinc/04/16/96/333041696.db2.gz FAHLKONIKYMSKI-HXUWFJFHSA-N 0 3 323.484 4.169 20 0 DIADHN CCC[C@H](CNCc1ccc(Br)cc1Cl)OC ZINC000378703033 333046044 /nfs/dbraw/zinc/04/60/44/333046044.db2.gz OIDJXSOHIXDFPE-GFCCVEGCSA-N 0 3 320.658 4.007 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000378716713 333046780 /nfs/dbraw/zinc/04/67/80/333046780.db2.gz AKDUOOAVBRKKKW-RMLUDKJBSA-N 0 3 306.208 4.208 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000378716716 333046787 /nfs/dbraw/zinc/04/67/87/333046787.db2.gz AKDUOOAVBRKKKW-XLKFXECMSA-N 0 3 306.208 4.208 20 0 DIADHN C[C@H](NCCCn1cnc2ccccc21)c1cccc(F)c1F ZINC000183211383 333053073 /nfs/dbraw/zinc/05/30/73/333053073.db2.gz SHMSEMMVOPOBPT-ZDUSSCGKSA-N 0 3 315.367 4.055 20 0 DIADHN CCC[C@@H](CN[C@@H](C)c1ccc(OC(F)(F)F)cc1)OC ZINC000378829516 333055500 /nfs/dbraw/zinc/05/55/00/333055500.db2.gz JFVDHMCFGXOKEL-FZMZJTMJSA-N 0 3 305.340 4.051 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)n2)ccc1F ZINC000580285706 333060418 /nfs/dbraw/zinc/06/04/18/333060418.db2.gz KDKWFTMWBREBIU-UNIGVISCSA-N 0 3 315.392 4.023 20 0 DIADHN CCc1ccc(CCNC2(c3nc(C)cs3)CCC2)cc1 ZINC000460344085 333064322 /nfs/dbraw/zinc/06/43/22/333064322.db2.gz WDBHQBVMMOYPAI-UHFFFAOYSA-N 0 3 300.471 4.225 20 0 DIADHN C[C@@H](CCNCc1cn2cc(Cl)ccc2n1)c1ccccc1 ZINC000378953292 333066766 /nfs/dbraw/zinc/06/67/66/333066766.db2.gz JJTMAQYJYMPRIE-AWEZNQCLSA-N 0 3 313.832 4.271 20 0 DIADHN Cc1ccc(Br)cc1CN(C)[C@H](C)c1ccncc1 ZINC000313769085 333068620 /nfs/dbraw/zinc/06/86/20/333068620.db2.gz DIVGPDGEJNPPFH-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN Cc1ccccc1OCCCN1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000460350889 333069157 /nfs/dbraw/zinc/06/91/57/333069157.db2.gz LNBJNUAAGHTMDA-QFBILLFUSA-N 0 3 310.441 4.242 20 0 DIADHN COc1cccc(-c2nc(CN3[C@H](C)CC[C@H]3C)cs2)c1 ZINC000466686656 333069918 /nfs/dbraw/zinc/06/99/18/333069918.db2.gz OXPYAXOCIKDBFL-CHWSQXEVSA-N 0 3 302.443 4.192 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000460358672 333070883 /nfs/dbraw/zinc/07/08/83/333070883.db2.gz XRUCWXKSBJGUHK-CHWSQXEVSA-N 0 3 305.447 4.103 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCc2ccccc2Cl)C1 ZINC000460359895 333071216 /nfs/dbraw/zinc/07/12/16/333071216.db2.gz CIKZUBFEYMXKCW-RDTXWAMCSA-N 0 3 300.833 4.361 20 0 DIADHN FC(F)(F)C[C@H](NCCC1CCOCC1)c1ccccc1 ZINC000313916717 333076148 /nfs/dbraw/zinc/07/61/48/333076148.db2.gz VBOOGXVSJLSLIF-HNNXBMFYSA-N 0 3 301.352 4.086 20 0 DIADHN CCN(CCCO[C@@H]1CCCCO1)Cc1ccc(Cl)s1 ZINC000460385090 333076204 /nfs/dbraw/zinc/07/62/04/333076204.db2.gz YSYTXDOOJOLRIN-OAHLLOKOSA-N 0 3 317.882 4.157 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)CCCO[C@@H]1CCCCO1 ZINC000460389448 333076422 /nfs/dbraw/zinc/07/64/22/333076422.db2.gz SQMQHLMBHJOXRI-WMLDXEAASA-N 0 3 311.853 4.266 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000189365462 333079850 /nfs/dbraw/zinc/07/98/50/333079850.db2.gz VLYIGBIDQIVUGE-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H](C)c1cccc(Cl)c1 ZINC000314075435 333085947 /nfs/dbraw/zinc/08/59/47/333085947.db2.gz UNSRSKLFBPXIHL-CYBMUJFWSA-N 0 3 313.832 4.349 20 0 DIADHN Fc1ccc(C2(CNCc3cccc(F)c3F)CCC2)cc1 ZINC000518772566 333087524 /nfs/dbraw/zinc/08/75/24/333087524.db2.gz MOUMIJQJYMIBOH-UHFFFAOYSA-N 0 3 305.343 4.315 20 0 DIADHN CCc1noc(C)c1CN(C)CCc1cccc2ccccc21 ZINC000466825957 333089467 /nfs/dbraw/zinc/08/94/67/333089467.db2.gz MRDOAIGYAORFLK-UHFFFAOYSA-N 0 3 308.425 4.373 20 0 DIADHN COc1ccc(CNCc2ccc(C)c(C)n2)c2ccccc12 ZINC000314266501 333097031 /nfs/dbraw/zinc/09/70/31/333097031.db2.gz LXXOBYWTRSEFGH-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1csc(-c2cccc(OC)c2)n1 ZINC000466915342 333098504 /nfs/dbraw/zinc/09/85/04/333098504.db2.gz JFUAGVHGOSDPRE-CZUORRHYSA-N 0 3 316.470 4.439 20 0 DIADHN COc1ccc(C)cc1CNC[C@H](C)Oc1ccccc1Cl ZINC000462736627 333101228 /nfs/dbraw/zinc/10/12/28/333101228.db2.gz NYXGFJYYFVXWDI-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN COc1cc(CNCc2c(C)cc(C)cc2C)c2ccccc2n1 ZINC000462737674 333102770 /nfs/dbraw/zinc/10/27/70/333102770.db2.gz JWJUXHIYZVQXGS-UHFFFAOYSA-N 0 3 320.436 4.458 20 0 DIADHN C[C@H](CNCc1ccc(Cl)c(F)c1)Oc1cccc(F)c1 ZINC000462739123 333103411 /nfs/dbraw/zinc/10/34/11/333103411.db2.gz VIUPSWFPSVFQEP-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN COc1cc(CN[C@H]2C[C@@H]2C2CCCCC2)cc(Cl)c1OC ZINC000462764546 333109788 /nfs/dbraw/zinc/10/97/88/333109788.db2.gz NXFGCCUKBGEPCW-ZBFHGGJFSA-N 0 3 323.864 4.416 20 0 DIADHN COc1cc(CN[C@@H]2C[C@@H]2C2CCCCC2)cc(Cl)c1OC ZINC000462764529 333109824 /nfs/dbraw/zinc/10/98/24/333109824.db2.gz NXFGCCUKBGEPCW-GDBMZVCRSA-N 0 3 323.864 4.416 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1csc(-c2ccccc2F)n1 ZINC000462816002 333118390 /nfs/dbraw/zinc/11/83/90/333118390.db2.gz ZMDPMHKYJVBBGR-SRVKXCTJSA-N 0 3 308.397 4.490 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000462818136 333119659 /nfs/dbraw/zinc/11/96/59/333119659.db2.gz QCHLPPWONHRSLP-QWHCGFSZSA-N 0 3 322.449 4.151 20 0 DIADHN COc1ccccc1C[C@@H](N[C@@H](C)c1csc(C)n1)C(C)C ZINC000462818545 333119861 /nfs/dbraw/zinc/11/98/61/333119861.db2.gz RDOAILPWFAXAQR-XJKSGUPXSA-N 0 3 318.486 4.378 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2cccc(F)c2)cc1F ZINC000487284486 333123731 /nfs/dbraw/zinc/12/37/31/333123731.db2.gz ZXEXKUVJIFMAMP-UHFFFAOYSA-N 0 3 305.368 4.388 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCC[C@H]1O)c1ccc(F)cc1Cl ZINC000540165747 333125432 /nfs/dbraw/zinc/12/54/32/333125432.db2.gz WNWJMIHLCHQQSO-GDZNZVCISA-N 0 3 313.844 4.461 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCC[C@@H]1O)c1ccc(F)cc1Cl ZINC000540165746 333125449 /nfs/dbraw/zinc/12/54/49/333125449.db2.gz WNWJMIHLCHQQSO-DCGLDWPTSA-N 0 3 313.844 4.461 20 0 DIADHN COc1cccc([C@@H](C)NCc2cccc(OCC(F)F)c2)c1 ZINC000177303829 333126924 /nfs/dbraw/zinc/12/69/24/333126924.db2.gz PIRMMJICOWGFGW-CYBMUJFWSA-N 0 3 321.367 4.190 20 0 DIADHN Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)N[C@@H]1CCOC1 ZINC000177974674 333133681 /nfs/dbraw/zinc/13/36/81/333133681.db2.gz QPGODVOCBQTWPY-QMTHXVAHSA-N 0 3 322.861 4.211 20 0 DIADHN CC(C)C[C@H](N[C@H]1C[C@@H](OC(C)C)C1(C)C)c1ccccn1 ZINC000463014232 333139781 /nfs/dbraw/zinc/13/97/81/333139781.db2.gz UXPFJTUUZBUUKQ-OKZBNKHCSA-N 0 3 304.478 4.351 20 0 DIADHN CCN(C/C(C)=C\c1cccc(C(F)(F)F)c1)[C@@H]1CCOC1 ZINC000463032136 333141764 /nfs/dbraw/zinc/14/17/64/333141764.db2.gz IHBDGJMYFXIXBP-RCBBPTIPSA-N 0 3 313.363 4.220 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cn(C)nc2C)c2ccccc12 ZINC000178716742 333142635 /nfs/dbraw/zinc/14/26/35/333142635.db2.gz LXAZINVHVMUSKM-ZIAGYGMSSA-N 0 3 323.440 4.302 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1ccc(OCC(C)C)cc1 ZINC000178719538 333142893 /nfs/dbraw/zinc/14/28/93/333142893.db2.gz XZXJLGSXVZMGOO-HUUCEWRRSA-N 0 3 315.461 4.175 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1ncoc1-c1ccccc1 ZINC000462118316 333143311 /nfs/dbraw/zinc/14/33/11/333143311.db2.gz FDENIVQUOQLPAC-SFHVURJKSA-N 0 3 306.409 4.453 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CC[C@H](n2cccn2)C1 ZINC000463041285 333143446 /nfs/dbraw/zinc/14/34/46/333143446.db2.gz XVRGKNPWVLVQSK-ZDUSSCGKSA-N 0 3 324.255 4.070 20 0 DIADHN CCn1cc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)cn1 ZINC000189578814 333144508 /nfs/dbraw/zinc/14/45/08/333144508.db2.gz CTLOZBWIBWVSQW-NRFANRHFSA-N 0 3 317.436 4.347 20 0 DIADHN CCN[C@H](c1ccc(OC)cc1)c1ccc(C(F)(F)F)cc1 ZINC000314566571 333144511 /nfs/dbraw/zinc/14/45/11/333144511.db2.gz XKADJGVHGARPJU-INIZCTEOSA-N 0 3 309.331 4.413 20 0 DIADHN C[C@@H](NCCc1csc(C(C)(C)C)n1)c1ccc(Cl)nc1 ZINC000179536229 333152305 /nfs/dbraw/zinc/15/23/05/333152305.db2.gz KBGKHMGFMJTVCF-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1cc(F)ccc1F ZINC000518778737 333165132 /nfs/dbraw/zinc/16/51/32/333165132.db2.gz ZITBKFWEJMFEBB-UHFFFAOYSA-N 0 3 305.368 4.084 20 0 DIADHN FC(F)(F)c1cncc(CN[C@H](c2ccccc2)C2CC2)c1 ZINC000463152751 333170771 /nfs/dbraw/zinc/17/07/71/333170771.db2.gz PXQKDXRKESLFCF-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN CCc1ccc(CN(CC)Cc2ccc(OC)c(OC)c2)cc1 ZINC000522711366 333172725 /nfs/dbraw/zinc/17/27/25/333172725.db2.gz XFJHSWBQRKYNNK-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1-c1cccs1)N(CC)CC ZINC000460861521 333172839 /nfs/dbraw/zinc/17/28/39/333172839.db2.gz TVFILAKEOMZKIF-INIZCTEOSA-N 0 3 316.470 4.474 20 0 DIADHN C[C@@H](CN1CCN(C2CCCC2)CC1)c1cccc(Cl)c1 ZINC000189629122 333178545 /nfs/dbraw/zinc/17/85/45/333178545.db2.gz YBHIIIPQMMODKI-HNNXBMFYSA-N 0 3 306.881 4.004 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@H]1c1ccc(Cl)cc1)N(CC)CC ZINC000460869067 333179488 /nfs/dbraw/zinc/17/94/88/333179488.db2.gz FKABYMGZYZKGFK-SJORKVTESA-N 0 3 322.880 4.124 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000461095287 333179969 /nfs/dbraw/zinc/17/99/69/333179969.db2.gz PKWKHJYUPIVFPQ-RTBURBONSA-N 0 3 309.457 4.448 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nc2ccc(Br)cc2o1 ZINC000463210068 333183642 /nfs/dbraw/zinc/18/36/42/333183642.db2.gz BDYALPOQGVHJHL-MFKMUULPSA-N 0 3 323.234 4.211 20 0 DIADHN CCn1nc(CNCCc2ccc(Cl)cc2)c2ccccc21 ZINC000387999189 333192244 /nfs/dbraw/zinc/19/22/44/333192244.db2.gz ZFIYBAVQCYGHQO-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN CCOC1CC(CN2CCC(=Cc3cccc(F)c3)CC2)C1 ZINC000582070827 333198873 /nfs/dbraw/zinc/19/88/73/333198873.db2.gz ZALAYWVZOBYXLF-UHFFFAOYSA-N 0 3 303.421 4.120 20 0 DIADHN CCn1nc(CN[C@H](C)Cc2ccc(C)s2)c2ccccc21 ZINC000388061850 333200043 /nfs/dbraw/zinc/20/00/43/333200043.db2.gz BKMIZPLRGRTVNB-CYBMUJFWSA-N 0 3 313.470 4.147 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)CN(C)Cc2ccccc2)c(C)o1 ZINC000309864348 333203799 /nfs/dbraw/zinc/20/37/99/333203799.db2.gz DZIBDPRVQWKIIC-GDBMZVCRSA-N 0 3 300.446 4.068 20 0 DIADHN CCC[C@@H](NCc1ccc(Br)cc1)c1cccnc1 ZINC000185191918 333205566 /nfs/dbraw/zinc/20/55/66/333205566.db2.gz VWJZHMHTJAWLMF-MRXNPFEDSA-N 0 3 319.246 4.475 20 0 DIADHN COc1ccc(O[C@@H](C)CNCc2ccc(SC)s2)cc1 ZINC000582091951 333210559 /nfs/dbraw/zinc/21/05/59/333210559.db2.gz SNIAWQSTRZHKTD-LBPRGKRZSA-N 0 3 323.483 4.036 20 0 DIADHN CCOc1cccc([C@@H](C)NCC2=Cc3ccccc3OC2)c1 ZINC000518785981 333235537 /nfs/dbraw/zinc/23/55/37/333235537.db2.gz IJMIFJBTRVHGMI-OAHLLOKOSA-N 0 3 309.409 4.212 20 0 DIADHN Fc1ccc([C@@H](NCc2cccc3[nH]ccc32)[C@H]2CCCO2)cc1 ZINC000518786011 333235741 /nfs/dbraw/zinc/23/57/41/333235741.db2.gz KMCCPDSCJKSQCQ-WOJBJXKFSA-N 0 3 324.399 4.317 20 0 DIADHN CC(C)(COCc1ccccc1)NCC1=Cc2ccccc2OC1 ZINC000500430586 333241453 /nfs/dbraw/zinc/24/14/53/333241453.db2.gz WEMHHPURRYTLFX-UHFFFAOYSA-N 0 3 323.436 4.047 20 0 DIADHN CCCCNC(=O)[C@@H]1CCCN([C@H](C)c2ccccc2Cl)C1 ZINC000497373375 333241681 /nfs/dbraw/zinc/24/16/81/333241681.db2.gz YCQUQJHSACVKOG-HUUCEWRRSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC[S@](=O)c1ccccc1 ZINC000497373093 333241758 /nfs/dbraw/zinc/24/17/58/333241758.db2.gz XMSHYLANYXADHY-UGKGYDQZSA-N 0 3 315.482 4.123 20 0 DIADHN CCC(=O)N[C@H]1CCCN([C@@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC000500456180 333243111 /nfs/dbraw/zinc/24/31/11/333243111.db2.gz JOFJGGFDKPKSMM-YJBOKZPZSA-N 0 3 316.489 4.036 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1cc(Cl)cc(Cl)c1 ZINC000500486751 333245262 /nfs/dbraw/zinc/24/52/62/333245262.db2.gz UUAMNFAVWJNKFO-VXGBXAGGSA-N 0 3 310.171 4.243 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc(C)c(C)cc2C)cc1OC ZINC000390202903 333247512 /nfs/dbraw/zinc/24/75/12/333247512.db2.gz BIWFNRYUJKRKDY-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H](c2cccs2)C2CC2)c1 ZINC000514085454 333249551 /nfs/dbraw/zinc/24/95/51/333249551.db2.gz WFUGUGJXRYGLHU-BMIGLBTASA-N 0 3 321.467 4.398 20 0 DIADHN CC(F)(F)CCCNCc1ccc(F)cc1Br ZINC000390232123 333251632 /nfs/dbraw/zinc/25/16/32/333251632.db2.gz HYLSQQFNSSUESZ-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H]2[C@H]2CCCC[C@@]2(C)O)cs1 ZINC000497469713 333254153 /nfs/dbraw/zinc/25/41/53/333254153.db2.gz VWVUBGOUXLIXEQ-JFIYKMOQSA-N 0 3 322.518 4.172 20 0 DIADHN CC(F)(F)CCCNCc1ccc(Br)cc1F ZINC000390252293 333254387 /nfs/dbraw/zinc/25/43/87/333254387.db2.gz URGGIPNWENDIBT-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN C[C@@H]1C[C@@H]1CN[C@@H](c1ccco1)c1ccc(Br)cc1 ZINC000497525615 333259499 /nfs/dbraw/zinc/25/94/99/333259499.db2.gz JZXSXARHEHJTNE-AXAPSJFSSA-N 0 3 320.230 4.377 20 0 DIADHN COCC(C)(C)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000319150716 333265179 /nfs/dbraw/zinc/26/51/79/333265179.db2.gz ZGOMJQUKYCGEOU-GOSISDBHSA-N 0 3 301.405 4.177 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000390328903 333267106 /nfs/dbraw/zinc/26/71/06/333267106.db2.gz ZIOZZWHWMZOHRY-CABCVRRESA-N 0 3 311.429 4.206 20 0 DIADHN CO[C@@H]([C@H](C)N[C@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000388362280 333282272 /nfs/dbraw/zinc/28/22/72/333282272.db2.gz TWSQQGUAXCLYIY-WDMOLILDSA-N 0 3 310.507 4.213 20 0 DIADHN CN(Cc1nc2ccccc2n1C)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000497749963 333286950 /nfs/dbraw/zinc/28/69/50/333286950.db2.gz JULXVPMYYMDFJT-IEBWSBKVSA-N 0 3 319.452 4.341 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccccc1-n1cccn1 ZINC000502247523 333287528 /nfs/dbraw/zinc/28/75/28/333287528.db2.gz IOXFHAAEJRSQGT-SAPNQHFASA-N 0 3 303.409 4.065 20 0 DIADHN COc1ccccc1-c1noc([C@@H](C)NC[C@@H](C)CC(C)C)n1 ZINC000499622933 333289440 /nfs/dbraw/zinc/28/94/40/333289440.db2.gz AICYODJJZKKUAG-UONOGXRCSA-N 0 3 317.433 4.078 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](C)N2CCc3sccc3C2)oc1C ZINC000502421972 333293008 /nfs/dbraw/zinc/29/30/08/333293008.db2.gz ZPONYEOWEGZEFF-ZIAGYGMSSA-N 0 3 318.486 4.055 20 0 DIADHN CC(C)[C@H](NCCCN(C)c1ccccc1)c1ccc(F)cn1 ZINC000497810487 333295476 /nfs/dbraw/zinc/29/54/76/333295476.db2.gz WDVPOFJKNRSGKB-IBGZPJMESA-N 0 3 315.436 4.034 20 0 DIADHN CC(C)[C@H](NCCOC1CCCCCC1)c1ccc(F)cn1 ZINC000497820149 333296293 /nfs/dbraw/zinc/29/62/93/333296293.db2.gz CEOIHLIQXJGNGK-SFHVURJKSA-N 0 3 308.441 4.247 20 0 DIADHN Cc1ccccc1OCCCN[C@H](c1ccc(F)cn1)C(C)C ZINC000497819176 333296350 /nfs/dbraw/zinc/29/63/50/333296350.db2.gz ZAXPHXNERPHEEA-IBGZPJMESA-N 0 3 316.420 4.285 20 0 DIADHN CC(C)[C@H](NCCCOc1ccc(F)cc1)c1ccc(F)cn1 ZINC000497829355 333297482 /nfs/dbraw/zinc/29/74/82/333297482.db2.gz STYQNEAPJITDGE-SFHVURJKSA-N 0 3 320.383 4.116 20 0 DIADHN CS[C@H](CO)[C@@H](C)N[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497825661 333297910 /nfs/dbraw/zinc/29/79/10/333297910.db2.gz GRICUMOYOPFGEL-JLZZUVOBSA-N 0 3 321.486 4.222 20 0 DIADHN CC(C)[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1ccc(F)cn1 ZINC000497830444 333298899 /nfs/dbraw/zinc/29/88/99/333298899.db2.gz YZHVJUWBQMHPDL-WBAXXEDZSA-N 0 3 308.441 4.103 20 0 DIADHN C[C@@H](N[C@H]1CC12CCCC2)c1sccc1Br ZINC000390556001 333299047 /nfs/dbraw/zinc/29/90/47/333299047.db2.gz QKSXVJNTWMGZKV-KOLCDFICSA-N 0 3 300.265 4.494 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@@H](c2ccc(F)cn2)C(C)C)c1 ZINC000497838299 333299526 /nfs/dbraw/zinc/29/95/26/333299526.db2.gz XXKKQGGWQPAGGX-DNVCBOLYSA-N 0 3 316.420 4.283 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@@H](c2ccc(F)cn2)C(C)C)c1 ZINC000497838300 333299648 /nfs/dbraw/zinc/29/96/48/333299648.db2.gz XXKKQGGWQPAGGX-HNAYVOBHSA-N 0 3 316.420 4.283 20 0 DIADHN Cc1ccc(OCCCN[C@H](c2ccc(F)cn2)C(C)C)cc1 ZINC000497844360 333300451 /nfs/dbraw/zinc/30/04/51/333300451.db2.gz BJASVSMIJCFYSK-IBGZPJMESA-N 0 3 316.420 4.285 20 0 DIADHN CC[C@H](NCCCCOCc1ccccc1)c1ccc(F)cn1 ZINC000390588268 333304150 /nfs/dbraw/zinc/30/41/50/333304150.db2.gz NZPOZAJVUFUCPO-SFHVURJKSA-N 0 3 316.420 4.258 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)COc2ccc(F)cc2F)oc1C ZINC000502685974 333304456 /nfs/dbraw/zinc/30/44/56/333304456.db2.gz IEBXODDGQMVLEX-VXGBXAGGSA-N 0 3 309.356 4.293 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccncc2)c2ccccc2)cc1 ZINC000390599410 333304616 /nfs/dbraw/zinc/30/46/16/333304616.db2.gz UCRXAXSYZKOFLJ-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN CSc1ccc(CNCc2ccc(OC(F)F)cc2)cc1 ZINC000020007882 333305192 /nfs/dbraw/zinc/30/51/92/333305192.db2.gz ARJFQWIAPLWWRY-UHFFFAOYSA-N 0 3 309.381 4.300 20 0 DIADHN c1c2ccccc2oc1CNC[C@@H]1CCCN1Cc1ccccc1 ZINC000390603917 333305769 /nfs/dbraw/zinc/30/57/69/333305769.db2.gz ZTRCDVAYZLSQLW-IBGZPJMESA-N 0 3 320.436 4.187 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2ccccc2OC(F)F)C1 ZINC000525781408 333306347 /nfs/dbraw/zinc/30/63/47/333306347.db2.gz WSTIVQFOILLOHI-UONOGXRCSA-N 0 3 315.429 4.394 20 0 DIADHN C[C@@H](CNCc1sccc1Cl)N(C)Cc1ccccc1 ZINC000390646321 333309994 /nfs/dbraw/zinc/30/99/94/333309994.db2.gz QKBCMXBTQHUSEO-ZDUSSCGKSA-N 0 3 308.878 4.012 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H]1C(C)(C)C)c1ccccc1Cl ZINC000525785932 333311511 /nfs/dbraw/zinc/31/15/11/333311511.db2.gz QXNZPPXEKXZRQK-BBRMVZONSA-N 0 3 322.880 4.028 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@H]1C(C)(C)C ZINC000525786785 333312741 /nfs/dbraw/zinc/31/27/41/333312741.db2.gz NCEHAVWBDWNXPB-INIZCTEOSA-N 0 3 302.462 4.006 20 0 DIADHN C[C@@H](CNc1ncccc1C(F)(F)F)N(C)Cc1ccccc1 ZINC000390667334 333312894 /nfs/dbraw/zinc/31/28/94/333312894.db2.gz VJRFAPKSWYBDNH-ZDUSSCGKSA-N 0 3 323.362 4.033 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(CN3CCCC3)c2)cc1C ZINC000497958322 333314419 /nfs/dbraw/zinc/31/44/19/333314419.db2.gz OKNSVFXVNYSPNH-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000503237583 333317179 /nfs/dbraw/zinc/31/71/79/333317179.db2.gz BDMKJPBAQUCZBS-ZYHUDNBSSA-N 0 3 312.335 4.456 20 0 DIADHN Fc1cc(Br)ccc1CNC[C@@H]1CCCC1(F)F ZINC000390711730 333318290 /nfs/dbraw/zinc/31/82/90/333318290.db2.gz WZEDFRQQDDEKAO-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCCC2(F)F)cc1Br ZINC000390719657 333318886 /nfs/dbraw/zinc/31/88/86/333318886.db2.gz ZBKBJNMFWWAEFK-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN CCN(C(=O)CC(C)(C)C)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000498007662 333319466 /nfs/dbraw/zinc/31/94/66/333319466.db2.gz CFLZAMKRGQHOBX-SJLPKXTDSA-N 0 3 316.489 4.107 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC[C@H]1c1ccc(F)cc1 ZINC000110106247 333326448 /nfs/dbraw/zinc/32/64/48/333326448.db2.gz QJHJXHGIWRWYNB-IBGZPJMESA-N 0 3 322.383 4.004 20 0 DIADHN CC(F)(F)CCN[C@@H]1CCc2c1cccc2Br ZINC000383094923 333334347 /nfs/dbraw/zinc/33/43/47/333334347.db2.gz MHUHCGUISBMORK-GFCCVEGCSA-N 0 3 304.178 4.071 20 0 DIADHN Fc1ccc(F)c(CNC[C@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000531212904 333334958 /nfs/dbraw/zinc/33/49/58/333334958.db2.gz CHUPPEOVVWSCTH-CMPLNLGQSA-N 0 3 307.306 4.423 20 0 DIADHN COC(=O)CCC1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC000531241113 333337430 /nfs/dbraw/zinc/33/74/30/333337430.db2.gz BRKMGHRIDSRSDE-CYBMUJFWSA-N 0 3 309.837 4.066 20 0 DIADHN CN(C)Cc1ccc(C(=O)N[C@@H](c2ccccc2)C(C)(C)C)cc1 ZINC000531278078 333339704 /nfs/dbraw/zinc/33/97/04/333339704.db2.gz PLZFVLFZYGEINS-IBGZPJMESA-N 0 3 324.468 4.265 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000531339616 333344574 /nfs/dbraw/zinc/34/45/74/333344574.db2.gz HEKVDQMNILIMTR-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN C/C(=C\c1nc(-c2ccc(CN(C)C)cc2)no1)c1ccccc1 ZINC000531348265 333345257 /nfs/dbraw/zinc/34/52/57/333345257.db2.gz WIZXORWWIWPISC-FYWRMAATSA-N 0 3 319.408 4.359 20 0 DIADHN Cc1cccc2ncc(CNC3(c4ccccc4)CCCC3)n21 ZINC000531381065 333348021 /nfs/dbraw/zinc/34/80/21/333348021.db2.gz UQENWSGMVUYQSV-UHFFFAOYSA-N 0 3 305.425 4.202 20 0 DIADHN Cc1ccc(CCNCc2cnc(-c3cccs3)s2)o1 ZINC000531438283 333353314 /nfs/dbraw/zinc/35/33/14/333353314.db2.gz HSYMZUKWALJUMN-UHFFFAOYSA-N 0 3 304.440 4.105 20 0 DIADHN C[C@H](CCc1ccco1)NCc1ccsc1Br ZINC000388563551 333357734 /nfs/dbraw/zinc/35/77/34/333357734.db2.gz PYXQKFOJYDLRFX-SNVBAGLBSA-N 0 3 314.248 4.215 20 0 DIADHN FC(F)Oc1cccc(CNCc2cc(Cl)cs2)c1 ZINC000193088576 333358553 /nfs/dbraw/zinc/35/85/53/333358553.db2.gz SONSLRACWQPACN-UHFFFAOYSA-N 0 3 303.761 4.293 20 0 DIADHN C[C@H](NCC(=O)Nc1ccc(Cl)cc1Cl)C1CCCC1 ZINC000184669499 333363830 /nfs/dbraw/zinc/36/38/30/333363830.db2.gz LNZSHDBUKJHDDY-JTQLQIEISA-N 0 3 315.244 4.100 20 0 DIADHN CC(C)(CCCO)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000323528319 333365331 /nfs/dbraw/zinc/36/53/31/333365331.db2.gz MLWUNLDACGMMJO-LJQANCHMSA-N 0 3 315.432 4.303 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(Cl)c2)cc1OC ZINC000020556187 333371017 /nfs/dbraw/zinc/37/10/17/333371017.db2.gz NWKZXPXJGNOUAE-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)cc1 ZINC000186606472 333375026 /nfs/dbraw/zinc/37/50/26/333375026.db2.gz BKGKVNZONURGGV-OAHLLOKOSA-N 0 3 313.441 4.164 20 0 DIADHN CC[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(OC)cc1 ZINC000186616060 333375289 /nfs/dbraw/zinc/37/52/89/333375289.db2.gz XNIRGZIHUJWVGV-IBGZPJMESA-N 0 3 313.441 4.164 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(C(C)(C)O)cc2)c(C)s1 ZINC000186613608 333375410 /nfs/dbraw/zinc/37/54/10/333375410.db2.gz ANBFHYWAUVLDJN-ZDUSSCGKSA-N 0 3 303.471 4.443 20 0 DIADHN Cn1cc([C@H](N[C@H]2CS[C@H](C(C)(C)C)C2)C(C)(C)C)cn1 ZINC000488422219 333375576 /nfs/dbraw/zinc/37/55/76/333375576.db2.gz LNFXYDLWLREYLH-ILXRZTDVSA-N 0 3 309.523 4.017 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000186841005 333379572 /nfs/dbraw/zinc/37/95/72/333379572.db2.gz GFAKHBPXKMFVMB-CXAGYDPISA-N 0 3 315.388 4.399 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ncc(Br)cc1F ZINC000186904246 333380580 /nfs/dbraw/zinc/38/05/80/333380580.db2.gz OKVZIRXCFZGLKO-KWBADKCTSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H]1CCCCN1C(=O)CN1CCCCC[C@@H]1c1ccccc1 ZINC000521426322 333381513 /nfs/dbraw/zinc/38/15/13/333381513.db2.gz SLKBBQZHSJFLSW-IEBWSBKVSA-N 0 3 314.473 4.005 20 0 DIADHN COCC[C@H](N[C@H]1CS[C@H](C(C)(C)C)C1)c1ccc(C)o1 ZINC000488521226 333382276 /nfs/dbraw/zinc/38/22/76/333382276.db2.gz JEOBPFSNVKPWKM-YCPHGPKFSA-N 0 3 311.491 4.175 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CCCCC1)c1ccc2ccccc2n1 ZINC000188662739 333384607 /nfs/dbraw/zinc/38/46/07/333384607.db2.gz QIYZCBBCNJGMAR-MGPUTAFESA-N 0 3 312.457 4.217 20 0 DIADHN c1ccc(Oc2ccccc2CN[C@@H]2CCO[C@@H]2C2CC2)cc1 ZINC000575065837 333385626 /nfs/dbraw/zinc/38/56/26/333385626.db2.gz IKQDWYZSIHVVJP-UYAOXDASSA-N 0 3 309.409 4.136 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(Cl)cc1 ZINC000021007602 333386322 /nfs/dbraw/zinc/38/63/22/333386322.db2.gz KLCQVQIARQJFCV-CVEARBPZSA-N 0 3 306.837 4.277 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@@H]1CCCN1Cc1c(Cl)cccc1Cl ZINC000228486555 333386769 /nfs/dbraw/zinc/38/67/69/333386769.db2.gz BIDCNISFRWZRQL-XFBWCDHKSA-N 0 3 314.256 4.119 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cc1 ZINC000319667295 333394498 /nfs/dbraw/zinc/39/44/98/333394498.db2.gz RVXFKMKGKIICSM-GJZGRUSLSA-N 0 3 311.425 4.432 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cc1 ZINC000319667294 333394683 /nfs/dbraw/zinc/39/46/83/333394683.db2.gz RVXFKMKGKIICSM-CABCVRRESA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](NCc1ccsc1)c1ccc(OC(F)(F)F)cc1 ZINC000057359423 333396927 /nfs/dbraw/zinc/39/69/27/333396927.db2.gz RWYKYPXTUDWDQB-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN Cc1ccc(CCNCc2cnc(-c3ccsc3)s2)o1 ZINC000531478930 333414604 /nfs/dbraw/zinc/41/46/04/333414604.db2.gz HUDUIRMWSANFCY-UHFFFAOYSA-N 0 3 304.440 4.105 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CCCc2c(C)ccc(C)c21 ZINC000187059026 333416224 /nfs/dbraw/zinc/41/62/24/333416224.db2.gz ZZDGVEABQUMESJ-YJBOKZPZSA-N 0 3 310.441 4.435 20 0 DIADHN Cc1ncsc1CCNCc1c(Cl)cccc1Cl ZINC000391069136 333418332 /nfs/dbraw/zinc/41/83/32/333418332.db2.gz GAYLQLOFZCTJDH-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CCCCc1ccc([C@@H](C)N[C@H]2CCCc3c2cnn3C)cc1 ZINC000320083508 333424357 /nfs/dbraw/zinc/42/43/57/333424357.db2.gz TZKTXWDRMSHZGX-BEFAXECRSA-N 0 3 311.473 4.491 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc(Br)cs2)ccn1 ZINC000391209501 333424400 /nfs/dbraw/zinc/42/44/00/333424400.db2.gz ULQPXFPYBWJWFD-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1nc(-c2ccccc2)cs1 ZINC000187330350 333430391 /nfs/dbraw/zinc/43/03/91/333430391.db2.gz RVYVQTRNEDRGTL-DPAVQSFQSA-N 0 3 314.454 4.028 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc3ccccc3[nH]c2=O)c(C)s1 ZINC000122556259 333447998 /nfs/dbraw/zinc/44/79/98/333447998.db2.gz CRGHKVWMRWKWQT-GFCCVEGCSA-N 0 3 312.438 4.470 20 0 DIADHN COc1cc(CNCc2cscc2C)cc(Cl)c1OC ZINC000094877882 333460689 /nfs/dbraw/zinc/46/06/89/333460689.db2.gz NEABSYYXKVDPHG-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN CC[C@@H](NCc1cscc1C)c1ccc(OC)c(OC)c1 ZINC000094877412 333460696 /nfs/dbraw/zinc/46/06/96/333460696.db2.gz VLGFRMQNXQPOLY-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1cnc(-c2ccccc2)s1 ZINC000236631010 333513220 /nfs/dbraw/zinc/51/32/20/333513220.db2.gz YKJSYMHYNSIZGO-SFHVURJKSA-N 0 3 318.486 4.087 20 0 DIADHN COCC[C@@H](C)N[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000563256298 333513795 /nfs/dbraw/zinc/51/37/95/333513795.db2.gz SASJMTMAECHSME-BMIGLBTASA-N 0 3 302.245 4.459 20 0 DIADHN N[C@H](CC(=O)Nc1ccccc1OC1CCCC1)c1ccccc1 ZINC000237876161 333523939 /nfs/dbraw/zinc/52/39/39/333523939.db2.gz QCMVHFIZKUEZFU-QGZVFWFLSA-N 0 3 324.424 4.037 20 0 DIADHN C[C@H]1CCCC[C@@H]1CCNCc1ccc(Br)cn1 ZINC000512223725 333526284 /nfs/dbraw/zinc/52/62/84/333526284.db2.gz FIJHFTSVZWKKOQ-QWHCGFSZSA-N 0 3 311.267 4.150 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000531691650 333545774 /nfs/dbraw/zinc/54/57/74/333545774.db2.gz YXSMMRPCQMNNIX-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Cn1nnc2cc(CN[C@H](CC(C)(C)C)c3ccccc3)ccc21 ZINC000531702030 333547801 /nfs/dbraw/zinc/54/78/01/333547801.db2.gz ZUECTSFKZGXQAZ-GOSISDBHSA-N 0 3 322.456 4.235 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000531707933 333548523 /nfs/dbraw/zinc/54/85/23/333548523.db2.gz PSQQSZLCCCPRCV-ZCNNSNEGSA-N 0 3 311.400 4.323 20 0 DIADHN CCCCOCCN[C@H](C)c1oc2ccc(OC)cc2c1C ZINC000531707884 333548665 /nfs/dbraw/zinc/54/86/65/333548665.db2.gz KYVRFJIVPOGAAX-CQSZACIVSA-N 0 3 305.418 4.217 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000531712633 333549531 /nfs/dbraw/zinc/54/95/31/333549531.db2.gz UWEZCUHVZQRWJF-GDBMZVCRSA-N 0 3 316.395 4.284 20 0 DIADHN FC(F)(F)COc1ccccc1CN[C@@H]1CCCC(F)(F)C1 ZINC000531758850 333553986 /nfs/dbraw/zinc/55/39/86/333553986.db2.gz SJPLMOJZZIDMIJ-GFCCVEGCSA-N 0 3 323.305 4.295 20 0 DIADHN CC(C)Cc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000531785845 333558724 /nfs/dbraw/zinc/55/87/24/333558724.db2.gz SVNYMIRTXFCXNF-IBGZPJMESA-N 0 3 313.489 4.496 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@]1(C)CCCO1 ZINC000531789428 333559367 /nfs/dbraw/zinc/55/93/67/333559367.db2.gz BZYBGPCKFVCOHW-FQEVSTJZSA-N 0 3 311.425 4.021 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@H]2CCO[C@@H]2C2CC2)cc1 ZINC000534094766 333560016 /nfs/dbraw/zinc/56/00/16/333560016.db2.gz NAZMYYUKQYZVGL-PZJWPPBQSA-N 0 3 323.436 4.019 20 0 DIADHN CC(C)OC1CCN(Cc2cccc(OC3CCCC3)c2)CC1 ZINC000534342990 333578242 /nfs/dbraw/zinc/57/82/42/333578242.db2.gz WXCOKJWLBZXXOE-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN CCC[C@H](NCc1ccc2c(c1)OCO2)c1ccc(OC)cc1 ZINC000152059713 333588136 /nfs/dbraw/zinc/58/81/36/333588136.db2.gz LEOKZEHWENXMPW-KRWDZBQOSA-N 0 3 313.397 4.055 20 0 DIADHN CN(C)CCS[C@@H](c1ccc(Cl)cc1)C1CCOCC1 ZINC000563411327 333603759 /nfs/dbraw/zinc/60/37/59/333603759.db2.gz CXEYUCFZIGMURR-INIZCTEOSA-N 0 3 313.894 4.103 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1nnc(-c2ccccc2F)s1 ZINC000563464190 333609465 /nfs/dbraw/zinc/60/94/65/333609465.db2.gz QTEFNIUVKBURRX-UHIISALHSA-N 0 3 305.422 4.183 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H]2C[C@@H]2C(F)F)cc1Cl ZINC000563557261 333624790 /nfs/dbraw/zinc/62/47/90/333624790.db2.gz LSVXNMDOOQFYKY-GAFUQQFSSA-N 0 3 303.780 4.431 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000563636220 333643832 /nfs/dbraw/zinc/64/38/32/333643832.db2.gz KHGDTWYIOVQAKS-RDJZCZTQSA-N 0 3 317.864 4.496 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000411226741 333643851 /nfs/dbraw/zinc/64/38/51/333643851.db2.gz IYJYTFXIGIRINK-UHFFFAOYSA-N 0 3 321.852 4.293 20 0 DIADHN O=C(Nc1ccccc1F)c1cccc(CN2CCCCC2)c1 ZINC000411282147 333649165 /nfs/dbraw/zinc/64/91/65/333649165.db2.gz UYHVBWCTEUNLHR-UHFFFAOYSA-N 0 3 312.388 4.064 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N(C)c2ccccc2)c(C)s1 ZINC000411443350 333673126 /nfs/dbraw/zinc/67/31/26/333673126.db2.gz IDENXWHOKFWIRX-UONOGXRCSA-N 0 3 316.470 4.067 20 0 DIADHN CC1(C)CC[C@@H](CN[C@H](CC(F)(F)F)c2ccccc2)O1 ZINC000411457923 333673857 /nfs/dbraw/zinc/67/38/57/333673857.db2.gz OEPJPNLAPNVCSZ-UONOGXRCSA-N 0 3 301.352 4.227 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCCC(=O)Nc2ccccc2F)c1 ZINC000411459504 333674097 /nfs/dbraw/zinc/67/40/97/333674097.db2.gz GLDNNBFKLUTLCC-OAHLLOKOSA-N 0 3 314.404 4.122 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1cc(-c2ccco2)on1 ZINC000411552417 333693194 /nfs/dbraw/zinc/69/31/94/333693194.db2.gz BAUGPSGFJGRUHK-BBRMVZONSA-N 0 3 306.431 4.089 20 0 DIADHN O=C(c1ccc(F)cc1)C1CCN([C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000411662741 333700598 /nfs/dbraw/zinc/70/05/98/333700598.db2.gz DKYGYRYMVGYMMC-WOJBJXKFSA-N 0 3 323.411 4.277 20 0 DIADHN Cc1ccc2ncc(CN3CCC(c4ccncc4)CC3)cc2c1 ZINC000411641858 333700991 /nfs/dbraw/zinc/70/09/91/333700991.db2.gz XMBHQTCCGZEUSC-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000411824217 333708060 /nfs/dbraw/zinc/70/80/60/333708060.db2.gz WTUQHKBLNHNJAO-APPDUMDISA-N 0 3 319.836 4.047 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000412230440 333741008 /nfs/dbraw/zinc/74/10/08/333741008.db2.gz ONNOFGZYRYFQHZ-QFBILLFUSA-N 0 3 314.473 4.076 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000412230442 333741065 /nfs/dbraw/zinc/74/10/65/333741065.db2.gz ONNOFGZYRYFQHZ-VQIMIIECSA-N 0 3 314.473 4.076 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C(C2CCC2)C2CCC2)c(F)c1 ZINC000412567547 333764459 /nfs/dbraw/zinc/76/44/59/333764459.db2.gz DBXDPBUORNAYAH-UHFFFAOYSA-N 0 3 318.436 4.042 20 0 DIADHN COC[C@@H](NCCc1ccccc1C(F)(F)F)c1ccccc1 ZINC000584343112 333805188 /nfs/dbraw/zinc/80/51/88/333805188.db2.gz YTAJJFAOVXHUFJ-QGZVFWFLSA-N 0 3 323.358 4.225 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@H](C)c3cccnc3)c2c1 ZINC000413023303 333827395 /nfs/dbraw/zinc/82/73/95/333827395.db2.gz ARXQHGHWNALISD-CQSZACIVSA-N 0 3 307.397 4.012 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2-n2cccn2)c(C)c1 ZINC000414066546 333890407 /nfs/dbraw/zinc/89/04/07/333890407.db2.gz HDYDCGIMQWKVJQ-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(OC)cc1C)c1c(C)nn(C)c1C ZINC000414069886 333890907 /nfs/dbraw/zinc/89/09/07/333890907.db2.gz AGUBVVCNMGJVSW-FZKQIMNGSA-N 0 3 315.461 4.156 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(OC)cc1C)c1c(C)nn(C)c1C ZINC000414069877 333890911 /nfs/dbraw/zinc/89/09/11/333890911.db2.gz AGUBVVCNMGJVSW-ACJLOTCBSA-N 0 3 315.461 4.156 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)c1ccccc1)c1cc2n(n1)CCC2 ZINC000414077306 333892137 /nfs/dbraw/zinc/89/21/37/333892137.db2.gz RCROTKCLAYBLPS-JKSUJKDBSA-N 0 3 311.473 4.236 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc(OC)cc2C)ccc1F ZINC000414082600 333893062 /nfs/dbraw/zinc/89/30/62/333893062.db2.gz FRFQQUQBOMICHK-AWEZNQCLSA-N 0 3 317.404 4.140 20 0 DIADHN CC[C@H](N[C@@H]1C[C@@H](OC(C)(C)C)C1(C)C)c1c(C)noc1C ZINC000414103659 333896683 /nfs/dbraw/zinc/89/66/83/333896683.db2.gz NSJGDJOYYXPJKM-RRFJBIMHSA-N 0 3 308.466 4.314 20 0 DIADHN Cc1cnccc1CCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414105541 333897185 /nfs/dbraw/zinc/89/71/85/333897185.db2.gz PQPYCZWPJROKTO-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CCCCn1cc(CN[C@H](C)c2ccc(OC)cc2C)c(C)n1 ZINC000414107582 333897563 /nfs/dbraw/zinc/89/75/63/333897563.db2.gz SESFJALNYVIDGA-MRXNPFEDSA-N 0 3 315.461 4.159 20 0 DIADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1csc(-c2ccccc2)n1 ZINC000414122455 333898412 /nfs/dbraw/zinc/89/84/12/333898412.db2.gz BBFGOMRQARZRAI-OBJOEFQTSA-N 0 3 304.484 4.212 20 0 DIADHN C[C@@H](NCCCCCc1ccc(F)cc1)c1cc2n(n1)CCC2 ZINC000414130923 333899921 /nfs/dbraw/zinc/89/99/21/333899921.db2.gz KGEHSHQFJJGQRC-OAHLLOKOSA-N 0 3 315.436 4.032 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000343456811 335166116 /nfs/dbraw/zinc/16/61/16/335166116.db2.gz AIEAWZZVXSUMQZ-RBUKOAKNSA-N 0 3 314.379 4.478 20 0 DIADHN C[C@H](NC[C@H]1CCSC1)c1csc(-c2ccccc2)n1 ZINC000414155893 333904415 /nfs/dbraw/zinc/90/44/15/333904415.db2.gz UECAHNBGSYUFQN-QWHCGFSZSA-N 0 3 304.484 4.214 20 0 DIADHN CCc1ncc(CN[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)o1 ZINC000414156435 333904679 /nfs/dbraw/zinc/90/46/79/333904679.db2.gz WNCCBXSMOINRLT-DZGCQCFKSA-N 0 3 304.821 4.316 20 0 DIADHN C[C@H](CCCCO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414156052 333904682 /nfs/dbraw/zinc/90/46/82/333904682.db2.gz VXSMCKDZWIYHAJ-KGLIPLIRSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414113571 333905374 /nfs/dbraw/zinc/90/53/74/333905374.db2.gz KUOSIWZFPVZFES-DEYYWGMASA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1csc(-c2ccccc2)n1 ZINC000414115198 333905791 /nfs/dbraw/zinc/90/57/91/333905791.db2.gz PFJGJPOXMXAGAS-TZMCWYRMSA-N 0 3 304.484 4.356 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)c(C)c1 ZINC000414170041 333907298 /nfs/dbraw/zinc/90/72/98/333907298.db2.gz VUVSUNPJNRVJDX-UONOGXRCSA-N 0 3 309.413 4.292 20 0 DIADHN CC(C)COc1ccc([C@H](C)NCc2ccc3cncn3c2)cc1 ZINC000414177810 333909070 /nfs/dbraw/zinc/90/90/70/333909070.db2.gz IMRTZBLKCRZVMZ-INIZCTEOSA-N 0 3 323.440 4.220 20 0 DIADHN CO[C@@H]1C[C@H](N[C@H](C)c2sc(C(C)C)nc2C)C12CCC2 ZINC000414185085 333910230 /nfs/dbraw/zinc/91/02/30/333910230.db2.gz OGNLGNKUEMMUQU-KWCYVHTRSA-N 0 3 308.491 4.183 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@H](c3ccc(Cl)cc3)C2)o1 ZINC000414195528 333912142 /nfs/dbraw/zinc/91/21/42/333912142.db2.gz XHLLHMUDKLYBKT-GOEBONIOSA-N 0 3 304.821 4.452 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000343460646 335166522 /nfs/dbraw/zinc/16/65/22/335166522.db2.gz FIRWZOWWGROTCT-QXFUBDJGSA-N 0 3 308.274 4.445 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H](C)c1nc2ccccc2n1C ZINC000414158718 333914516 /nfs/dbraw/zinc/91/45/16/333914516.db2.gz USBMJLWZZXOBMI-HZPDHXFCSA-N 0 3 322.456 4.030 20 0 DIADHN C[C@H](NCc1ccc2cncn2c1)c1ccc(OC(C)(C)C)cc1 ZINC000414161363 333915329 /nfs/dbraw/zinc/91/53/29/333915329.db2.gz BTMKQGQEAPBZCD-HNNXBMFYSA-N 0 3 323.440 4.362 20 0 DIADHN CO[C@@](C)([C@H](C)N[C@H]1CCSc2ccc(F)cc21)C1CC1 ZINC000414239788 333918417 /nfs/dbraw/zinc/91/84/17/333918417.db2.gz ZBASJBWGNQRHLQ-KCTSRDHCSA-N 0 3 309.450 4.156 20 0 DIADHN CCn1ccc(CN[C@@H](C)CC(C)(C)c2c[nH]c3ccccc32)n1 ZINC000414205651 333921299 /nfs/dbraw/zinc/92/12/99/333921299.db2.gz HNJHEPRQNIHCHQ-HNNXBMFYSA-N 0 3 324.472 4.230 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N[C@@H](C)c1nccs1 ZINC000414208804 333921858 /nfs/dbraw/zinc/92/18/58/333921858.db2.gz JSOZSZSDGJSGGH-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN CO[C@@](C)([C@@H](C)N[C@H]1C[C@H](C)Sc2sccc21)C1CC1 ZINC000414229057 333925952 /nfs/dbraw/zinc/92/59/52/333925952.db2.gz DXMIJHDGVPAPOC-VAECKANCSA-N 0 3 311.516 4.467 20 0 DIADHN COc1cc(F)c([C@H](C)NC[C@@H](C)CC(F)(F)F)cc1OC ZINC000414280253 333932641 /nfs/dbraw/zinc/93/26/41/333932641.db2.gz KWXOPSXPTFIVER-UWVGGRQHSA-N 0 3 323.330 4.082 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@@H]2CCCC[C@H]2F)c2ccccc21 ZINC000414317855 333938744 /nfs/dbraw/zinc/93/87/44/333938744.db2.gz WOWAFTAEYYFQCL-ZJPYXAASSA-N 0 3 319.420 4.039 20 0 DIADHN Cc1ccc(CNCC(C)(C)c2c(Cl)cccc2Cl)nn1 ZINC000414343555 333942842 /nfs/dbraw/zinc/94/28/42/333942842.db2.gz YGGZRSXDVRYLFN-UHFFFAOYSA-N 0 3 324.255 4.159 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](CO)C1)c1nc(-c2ccccc2)cs1 ZINC000414350487 333946023 /nfs/dbraw/zinc/94/60/23/333946023.db2.gz ZGQBHPYWXVASPK-SQWLQELKSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCC(F)(F)C1)CCS2 ZINC000343464632 335167032 /nfs/dbraw/zinc/16/70/32/335167032.db2.gz HDLRWPJKQLYNLT-FZMZJTMJSA-N 0 3 313.413 4.400 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC(c2ccncn2)CC1 ZINC000414470797 333990712 /nfs/dbraw/zinc/99/07/12/333990712.db2.gz NBXQHFKCKJAMII-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1cccc(OC2CCCC2)c1 ZINC000343498643 335169550 /nfs/dbraw/zinc/16/95/50/335169550.db2.gz SNGUVEIAGZIJEH-QGZVFWFLSA-N 0 3 303.446 4.009 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cnc(-c2cccs2)s1)C1CC1 ZINC000414504296 333999924 /nfs/dbraw/zinc/99/99/24/333999924.db2.gz RYIUUYIFHIBEJM-BZNIZROVSA-N 0 3 322.499 4.165 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cnc(-c2ccsc2)s1)C1CC1 ZINC000414505081 334000669 /nfs/dbraw/zinc/00/06/69/334000669.db2.gz UIIYXEXOAYFWFW-BZNIZROVSA-N 0 3 322.499 4.165 20 0 DIADHN COCC1CCC(NCc2csc(-c3ccsc3)n2)CC1 ZINC000414508227 334001668 /nfs/dbraw/zinc/00/16/68/334001668.db2.gz CGNANEDSYXCUBM-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN COCC1CCC(NCc2cc(C(F)(F)F)ccc2F)CC1 ZINC000414514380 334003559 /nfs/dbraw/zinc/00/35/59/334003559.db2.gz RPAWZZCWBLTZEL-UHFFFAOYSA-N 0 3 319.342 4.139 20 0 DIADHN CC(C)(CNCc1cnc(-c2ccccc2)s1)OCC1CC1 ZINC000414517824 334005037 /nfs/dbraw/zinc/00/50/37/334005037.db2.gz SQVOUTMRUDATAF-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(-c3cccc(F)c3F)o2)[C@@H](C)O1 ZINC000414518505 334005313 /nfs/dbraw/zinc/00/53/13/334005313.db2.gz SKJOQNZHPRAFRQ-JHJVBQTASA-N 0 3 321.367 4.128 20 0 DIADHN CC(C)Oc1ccc(CN2CC3(CCOCC3)[C@@H]2C(C)C)cc1 ZINC000414519196 334005419 /nfs/dbraw/zinc/00/54/19/334005419.db2.gz CDPRGRWKIKKIPA-IBGZPJMESA-N 0 3 317.473 4.111 20 0 DIADHN CC(C)[C@H]1N(C/C=C/c2ccc(F)c(F)c2)CC12CCOCC2 ZINC000414521643 334006355 /nfs/dbraw/zinc/00/63/55/334006355.db2.gz AHTLNEPRGIBXLZ-OAJJDEHYSA-N 0 3 321.411 4.115 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000414527286 334008304 /nfs/dbraw/zinc/00/83/04/334008304.db2.gz MOJJGJUIEMFMEM-DLBZAZTESA-N 0 3 319.399 4.436 20 0 DIADHN C[C@H](CNCc1csc(-c2ccccc2)n1)CC(F)(F)F ZINC000414536157 334010607 /nfs/dbraw/zinc/01/06/07/334010607.db2.gz YVXZCPWWMZAPSJ-NSHDSACASA-N 0 3 314.376 4.488 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)cs1)c1cccnc1 ZINC000414562423 334019920 /nfs/dbraw/zinc/01/99/20/334019920.db2.gz UUTDLKADHJYPCH-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc(CSC(F)F)o2)CCO1 ZINC000271320264 334021048 /nfs/dbraw/zinc/02/10/48/334021048.db2.gz ARSGZIPENQKGKZ-FZMZJTMJSA-N 0 3 319.417 4.029 20 0 DIADHN Cc1ccsc1CN1CC2(CCOCC2)[C@@H]1c1ccccc1 ZINC000414566532 334021429 /nfs/dbraw/zinc/02/14/29/334021429.db2.gz WXPDURFRYSIGHL-SFHVURJKSA-N 0 3 313.466 4.410 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cnccc1C)c1ccsc1 ZINC000271375460 334022335 /nfs/dbraw/zinc/02/23/35/334022335.db2.gz HRDRUOXACOUWKX-YJBOKZPZSA-N 0 3 317.502 4.185 20 0 DIADHN CC[C@@H](NCC(=O)Nc1c(C)cccc1Cl)c1ccccc1 ZINC000271652266 334025080 /nfs/dbraw/zinc/02/50/80/334025080.db2.gz JKBGLOZYXVZQFE-MRXNPFEDSA-N 0 3 316.832 4.328 20 0 DIADHN c1cc2cccc(CNCc3ccccc3N3CCCCC3)c2[nH]1 ZINC000272329682 334032833 /nfs/dbraw/zinc/03/28/33/334032833.db2.gz RMRORMSCINEIEO-UHFFFAOYSA-N 0 3 319.452 4.448 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@@H](C)C(F)(F)F)CCS2 ZINC000343516279 335173721 /nfs/dbraw/zinc/17/37/21/335173721.db2.gz XTCFYANDQDVFBH-BXKDBHETSA-N 0 3 305.365 4.020 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CCCO)c2ccccc2)cc1C ZINC000272806217 334039824 /nfs/dbraw/zinc/03/98/24/334039824.db2.gz BELNCNBJGJCKFP-VQIMIIECSA-N 0 3 313.441 4.168 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccc(OCc3ccccn3)cc2)C1 ZINC000430276105 334057121 /nfs/dbraw/zinc/05/71/21/334057121.db2.gz LJPDGLCOWAKSJT-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1C[C@H](C)NC[C@H]1C ZINC000414667316 334063347 /nfs/dbraw/zinc/06/33/47/334063347.db2.gz HKBDZPRCYKSTQC-LSDHHAIUSA-N 0 3 317.477 4.148 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2cnn(C(C)C)c2C)c1 ZINC000276614124 334083735 /nfs/dbraw/zinc/08/37/35/334083735.db2.gz ZPEZAFLGAMFUBS-AWEZNQCLSA-N 0 3 317.502 4.486 20 0 DIADHN CCOc1cc(CN[C@@H](CC(F)(F)F)C(C)C)ccc1OC ZINC000438469789 334116053 /nfs/dbraw/zinc/11/60/53/334116053.db2.gz NIOUUFZLEVASBY-ZDUSSCGKSA-N 0 3 319.367 4.161 20 0 DIADHN COc1cc(C)c(CN[C@H](CC(F)(F)F)C(C)C)cc1OC ZINC000438472598 334117397 /nfs/dbraw/zinc/11/73/97/334117397.db2.gz PVJHLHQZAVIOTA-CYBMUJFWSA-N 0 3 319.367 4.079 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@@H]1Cc1cccc(F)c1 ZINC000343581844 335179197 /nfs/dbraw/zinc/17/91/97/335179197.db2.gz YSGIIIZANRWLDL-LJQANCHMSA-N 0 3 315.436 4.119 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1cncc(F)c1 ZINC000366659166 334159736 /nfs/dbraw/zinc/15/97/36/334159736.db2.gz ALYACMDRTZVMPB-ILMHXZGMSA-N 0 3 306.331 4.096 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cn(C(C)C)nc2C)s1 ZINC000343583016 335179406 /nfs/dbraw/zinc/17/94/06/335179406.db2.gz ABXXESYYGAABPU-MRXNPFEDSA-N 0 3 303.475 4.479 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cn(C(C)C)nc2C)s1 ZINC000343583009 335179500 /nfs/dbraw/zinc/17/95/00/335179500.db2.gz ABXXESYYGAABPU-INIZCTEOSA-N 0 3 303.475 4.479 20 0 DIADHN C[C@@H](N[C@@H](C)c1nccc2ccccc21)[C@@H](O)c1ccc(F)cc1 ZINC000289801388 334168081 /nfs/dbraw/zinc/16/80/81/334168081.db2.gz OXTDXGRPHZTRND-LRDNONRASA-N 0 3 324.399 4.147 20 0 DIADHN CCOCCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291168790 334177064 /nfs/dbraw/zinc/17/70/64/334177064.db2.gz JRTLGPZZAVWDAA-GFCCVEGCSA-N 0 3 308.422 4.026 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3cc(N)ccc32)c(Cl)c1 ZINC000414919465 334180265 /nfs/dbraw/zinc/18/02/65/334180265.db2.gz VBJMABXSJRGMIF-GOSISDBHSA-N 0 3 316.832 4.098 20 0 DIADHN CSc1ccc([C@@H](C)NCCOc2ccccc2F)cc1 ZINC000439467513 334181106 /nfs/dbraw/zinc/18/11/06/334181106.db2.gz IYJOYRXJUHNCFZ-CYBMUJFWSA-N 0 3 305.418 4.277 20 0 DIADHN C[C@@H](NCc1cnc2ccccc2c1)[C@H]1OCCc2sccc21 ZINC000291748480 334181463 /nfs/dbraw/zinc/18/14/63/334181463.db2.gz PWMLSTQBZIVCQI-BFUOFWGJSA-N 0 3 324.449 4.088 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(Cl)cc1F ZINC000414926656 334188623 /nfs/dbraw/zinc/18/86/23/334188623.db2.gz LCWCVVGUSZVCLC-QGZVFWFLSA-N 0 3 304.796 4.229 20 0 DIADHN Cc1nc2ccccc2n1C[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000534474903 334271941 /nfs/dbraw/zinc/27/19/41/334271941.db2.gz SNTYGWMIZWLHQG-GOSISDBHSA-N 0 3 323.415 4.148 20 0 DIADHN COc1cc(OC)c([C@@H](C)NCC2CC(F)(F)C2)cc1Cl ZINC000293354699 334197684 /nfs/dbraw/zinc/19/76/84/334197684.db2.gz HCVZJWGXGLUNKV-SECBINFHSA-N 0 3 319.779 4.053 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(OCC2CC2)cc1 ZINC000414935557 334198136 /nfs/dbraw/zinc/19/81/36/334198136.db2.gz UKAURWKZWZDSOT-NRFANRHFSA-N 0 3 322.452 4.225 20 0 DIADHN CCCc1ccc2nccc(NCc3nnc(C)n3C3CC3)c2c1 ZINC000295076517 334217664 /nfs/dbraw/zinc/21/76/64/334217664.db2.gz QSTFWVHRAJGRJC-UHFFFAOYSA-N 0 3 321.428 4.034 20 0 DIADHN Cc1cc(CN2CCC3(CCC(O)CC3)CC2)c2ccccc2n1 ZINC000295085554 334218150 /nfs/dbraw/zinc/21/81/50/334218150.db2.gz IRSZUTJTVUGUTL-UHFFFAOYSA-N 0 3 324.468 4.060 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NC[C@@H]1CCC3(CCCCC3)O1)CCC2 ZINC000340498157 334221358 /nfs/dbraw/zinc/22/13/58/334221358.db2.gz KOYNMJPOYFSCOE-HKUYNNGSSA-N 0 3 315.457 4.241 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)C(C)(C)O ZINC000340502220 334222943 /nfs/dbraw/zinc/22/29/43/334222943.db2.gz LHZWXAMFYYNURW-SWLSCSKDSA-N 0 3 304.459 4.010 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1ccnc1)c1cccc(F)c1 ZINC000187828245 334274158 /nfs/dbraw/zinc/27/41/58/334274158.db2.gz SFUJPGSARVRCCG-GOSISDBHSA-N 0 3 309.388 4.252 20 0 DIADHN CCSc1cccc(CNCc2cccc3c2CCCN3)c1 ZINC000415040881 334260676 /nfs/dbraw/zinc/26/06/76/334260676.db2.gz SQFSFOAORDNHKM-UHFFFAOYSA-N 0 3 312.482 4.447 20 0 DIADHN C[C@H]1CO[C@@H](c2ccccc2)CN1Cc1cccc2cc[nH]c21 ZINC000514092033 334264647 /nfs/dbraw/zinc/26/46/47/334264647.db2.gz BFTPCYKXRDYQCB-HNAYVOBHSA-N 0 3 306.409 4.130 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](Nc2cnn([C@@H](C)C3CC3)c2)C1 ZINC000187608695 334267495 /nfs/dbraw/zinc/26/74/95/334267495.db2.gz UQTBLWZSZBNHJT-GJYPPUQNSA-N 0 3 324.472 4.102 20 0 DIADHN Cc1ccc([C@H](NCc2cccc(C(N)=O)c2)C2CCCC2)cc1 ZINC000187726024 334270064 /nfs/dbraw/zinc/27/00/64/334270064.db2.gz NPOGLALGAVWZBY-HXUWFJFHSA-N 0 3 322.452 4.115 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2C)cc1 ZINC000534496530 334277859 /nfs/dbraw/zinc/27/78/59/334277859.db2.gz UDAGWRPQYFVIFS-BEFAXECRSA-N 0 3 315.482 4.491 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@@H]3CCC(C)(C)O3)oc21 ZINC000187853895 334283391 /nfs/dbraw/zinc/28/33/91/334283391.db2.gz HKIPXGQNAGXCRH-OCCSQVGLSA-N 0 3 303.402 4.050 20 0 DIADHN CCCN(CCC)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000302817032 334290797 /nfs/dbraw/zinc/29/07/97/334290797.db2.gz WQSZPWDTCMXFAJ-UHFFFAOYSA-N 0 3 310.441 4.414 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C(C)C)C1CCCC1 ZINC000110796838 334295278 /nfs/dbraw/zinc/29/52/78/334295278.db2.gz AOAONRNVTKVECW-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000531944617 334300173 /nfs/dbraw/zinc/30/01/73/334300173.db2.gz LGKHBNQJYJKVIR-GHTZIAJQSA-N 0 3 323.436 4.432 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2N[C@@H]1CCCc2cn[nH]c21 ZINC000537962370 334300984 /nfs/dbraw/zinc/30/09/84/334300984.db2.gz WPQKAZBUBIEFBF-LSDHHAIUSA-N 0 3 322.239 4.371 20 0 DIADHN Cc1cc(C)c(CNCc2ccccc2-c2cnn(C)c2)c(C)c1 ZINC000534613158 334301569 /nfs/dbraw/zinc/30/15/69/334301569.db2.gz FLORMHDMHAIXFW-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCCc1ccc2ccccc2n1 ZINC000531949880 334303806 /nfs/dbraw/zinc/30/38/06/334303806.db2.gz NFZHPFQLBMNWSM-INIZCTEOSA-N 0 3 320.436 4.445 20 0 DIADHN C[C@@H](NCC1CCOCC1)c1cc(F)c(Cl)cc1Cl ZINC000111157415 334304833 /nfs/dbraw/zinc/30/48/33/334304833.db2.gz LVRBANGUGZEYNR-SECBINFHSA-N 0 3 306.208 4.210 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1ccc(OC(F)F)cc1 ZINC000531947437 334305522 /nfs/dbraw/zinc/30/55/22/334305522.db2.gz ISIFABOACBLLBA-DIFFPNOSSA-N 0 3 321.342 4.450 20 0 DIADHN COc1ccc(CNCc2cccn2CCC(C)C)c(C)c1 ZINC000531954241 334305720 /nfs/dbraw/zinc/30/57/20/334305720.db2.gz KOWFPKCFZVADRU-UHFFFAOYSA-N 0 3 300.446 4.141 20 0 DIADHN C[C@H](NC[C@@H]1CCCCO1)c1ccc(SCC(F)F)cc1 ZINC000531956240 334307830 /nfs/dbraw/zinc/30/78/30/334307830.db2.gz MLOABCSQBIRALN-JSGCOSHPSA-N 0 3 315.429 4.264 20 0 DIADHN CO[C@@H](CN[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531956703 334308354 /nfs/dbraw/zinc/30/83/54/334308354.db2.gz AOJACWVQBABQMK-MSOLQXFVSA-N 0 3 301.817 4.305 20 0 DIADHN COc1ccc2[nH]cc(C3=CCN([C@H]4C=CCCC4)CC3)c2c1 ZINC000111190967 334309598 /nfs/dbraw/zinc/30/95/98/334309598.db2.gz MEZHDOONNZBCBN-INIZCTEOSA-N 0 3 308.425 4.374 20 0 DIADHN Cc1cc([C@@H](NCc2ccc3c[nH]nc3c2)C2CC2)ccc1F ZINC000534646169 334309914 /nfs/dbraw/zinc/30/99/14/334309914.db2.gz JQKBPBYKBYETST-IBGZPJMESA-N 0 3 309.388 4.251 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNC[C@H]1CCC(C)(C)O1 ZINC000443012772 338442032 /nfs/dbraw/zinc/44/20/32/338442032.db2.gz UFHJFXQUPSDIDH-CQSZACIVSA-N 0 3 301.352 4.061 20 0 DIADHN CC[C@@H](N[C@H](C)CCC=C(C)C)c1nnc2n1CCCCC2 ZINC000531961974 334312601 /nfs/dbraw/zinc/31/26/01/334312601.db2.gz DIZFFZJSZCKVFZ-HZPDHXFCSA-N 0 3 304.482 4.180 20 0 DIADHN CN(CCc1cccs1)Cc1cc(Br)cs1 ZINC000111323465 334320945 /nfs/dbraw/zinc/32/09/45/334320945.db2.gz CJWHLMBYWXWPRI-UHFFFAOYSA-N 0 3 316.289 4.247 20 0 DIADHN CSc1cccc(NC(=O)CN2CCCC23CCCCC3)c1 ZINC000534661776 334321993 /nfs/dbraw/zinc/32/19/93/334321993.db2.gz XZSJRQQWBZFNJV-UHFFFAOYSA-N 0 3 318.486 4.146 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](C)Cc2ccsc2)c1 ZINC000049154799 334322285 /nfs/dbraw/zinc/32/22/85/334322285.db2.gz UWWJOAPQEVDEGE-STQMWFEESA-N 0 3 305.443 4.047 20 0 DIADHN Cc1ccccc1NC(=O)CCN1Cc2ccccc2C(C)(C)C1 ZINC000534662300 334322418 /nfs/dbraw/zinc/32/24/18/334322418.db2.gz OMMRCYFYLHHRLG-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1cccnc1[C@@H](NCCCOc1ccc(F)cc1)C(C)C ZINC000303686491 334329278 /nfs/dbraw/zinc/32/92/78/334329278.db2.gz KPEKMLXZBYTMGM-SFHVURJKSA-N 0 3 316.420 4.285 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1ccccc1N(C)C ZINC000303820683 334332250 /nfs/dbraw/zinc/33/22/50/334332250.db2.gz FNKRHGCJZOIGGA-INIZCTEOSA-N 0 3 300.471 4.324 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000101586601 334333155 /nfs/dbraw/zinc/33/31/55/334333155.db2.gz DBDWPBAFAHYJPB-CYBMUJFWSA-N 0 3 302.805 4.019 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000101586608 334333477 /nfs/dbraw/zinc/33/34/77/334333477.db2.gz WQMKSZVHUPPGOE-CYBMUJFWSA-N 0 3 302.805 4.019 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCC[C@H](C)C1)c1ccccc1 ZINC000303839598 334336254 /nfs/dbraw/zinc/33/62/54/334336254.db2.gz IDAWAAQKTJEUMV-QXAKKESOSA-N 0 3 316.489 4.012 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@H]2CCO[C@H]2C2CC2)c1 ZINC000534829880 334340651 /nfs/dbraw/zinc/34/06/51/334340651.db2.gz VJMIOBKTENUCJN-KBPBESRZSA-N 0 3 319.754 4.016 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000534829882 334340696 /nfs/dbraw/zinc/34/06/96/334340696.db2.gz VJMIOBKTENUCJN-UONOGXRCSA-N 0 3 319.754 4.016 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000534877617 334344822 /nfs/dbraw/zinc/34/48/22/334344822.db2.gz ONKUZECDRQGCOU-ZBFHGGJFSA-N 0 3 322.518 4.074 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000534877614 334344937 /nfs/dbraw/zinc/34/49/37/334344937.db2.gz ONKUZECDRQGCOU-GDBMZVCRSA-N 0 3 322.518 4.074 20 0 DIADHN CCC(CC)[C@H](C)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000534877616 334344950 /nfs/dbraw/zinc/34/49/50/334344950.db2.gz ONKUZECDRQGCOU-HOCLYGCPSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCCN(C3CCCCC3)C2=O)s1 ZINC000443083863 338443645 /nfs/dbraw/zinc/44/36/45/338443645.db2.gz DSQMWQHJMOBWGJ-ZBFHGGJFSA-N 0 3 320.502 4.031 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1Cl)c1cccs1 ZINC000049530787 334345453 /nfs/dbraw/zinc/34/54/53/334345453.db2.gz UKQYJTOFPSCCSL-LBPRGKRZSA-N 0 3 308.834 4.081 20 0 DIADHN CC[C@H](NCC(=O)Nc1cc(Cl)ccc1C)c1cccs1 ZINC000049530561 334345647 /nfs/dbraw/zinc/34/56/47/334345647.db2.gz JEYUDHZKGVPXNK-ZDUSSCGKSA-N 0 3 322.861 4.389 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@H](CCCO)c1ccccc1 ZINC000531976669 334347315 /nfs/dbraw/zinc/34/73/15/334347315.db2.gz IXRUXIQJNVRLSY-QFBILLFUSA-N 0 3 313.441 4.168 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(Br)s1 ZINC000111635076 334348387 /nfs/dbraw/zinc/34/83/87/334348387.db2.gz SBNUBIIGYRKYID-JTQLQIEISA-N 0 3 318.280 4.120 20 0 DIADHN CCSCCN(Cc1ccc(C(F)(F)F)cc1)C1CC1 ZINC000303956685 334348469 /nfs/dbraw/zinc/34/84/69/334348469.db2.gz XPIVEPADKUNETH-UHFFFAOYSA-N 0 3 303.393 4.423 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC[C@H]1c1ccncc1 ZINC000534939921 334349699 /nfs/dbraw/zinc/34/96/99/334349699.db2.gz AJAJQXLJNWMBMN-IBGZPJMESA-N 0 3 310.441 4.304 20 0 DIADHN COc1cccc(CCCN(C)[C@H](C)c2ccccc2F)c1 ZINC000303949861 334349823 /nfs/dbraw/zinc/34/98/23/334349823.db2.gz VJQLXSJESFBJGE-OAHLLOKOSA-N 0 3 301.405 4.460 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H]1CCCN(C2CCCCC2)C1=O ZINC000443086744 338443914 /nfs/dbraw/zinc/44/39/14/338443914.db2.gz OYMVXNFLDVCMNZ-ZBFHGGJFSA-N 0 3 320.502 4.031 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)CCSc1ccccc1F ZINC000534954649 334352505 /nfs/dbraw/zinc/35/25/05/334352505.db2.gz RHWHENRCYVTFNN-INIZCTEOSA-N 0 3 304.434 4.396 20 0 DIADHN C[C@@H](NCCN1CC[C@H](C)C1)c1cc(Cl)sc1Cl ZINC000531983772 334358617 /nfs/dbraw/zinc/35/86/17/334358617.db2.gz NYWOJGBADHRQNG-VHSXEESVSA-N 0 3 307.290 4.047 20 0 DIADHN C[C@@H](NCCN1CC[C@@H](C)C1)c1cc(Cl)sc1Cl ZINC000531983770 334358668 /nfs/dbraw/zinc/35/86/68/334358668.db2.gz NYWOJGBADHRQNG-NXEZZACHSA-N 0 3 307.290 4.047 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1ccc(C(F)(F)F)cc1 ZINC000304444604 334360441 /nfs/dbraw/zinc/36/04/41/334360441.db2.gz JGEWDOOMBYVLPY-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1ccc(C(F)(F)F)cc1 ZINC000304444605 334360450 /nfs/dbraw/zinc/36/04/50/334360450.db2.gz JGEWDOOMBYVLPY-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccnc3ccccc23)cc1 ZINC000535063194 334361980 /nfs/dbraw/zinc/36/19/80/334361980.db2.gz QWRZPIGDFILSHQ-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Cc1ccc(C)c(OCCN2CCC[C@@H]2c2cccc(C)n2)c1 ZINC000531988556 334362013 /nfs/dbraw/zinc/36/20/13/334362013.db2.gz YLNICSYDXSBMIO-LJQANCHMSA-N 0 3 310.441 4.223 20 0 DIADHN OCCC1CCN(Cc2ccc(-c3cccc(F)c3)s2)CC1 ZINC000535069060 334363831 /nfs/dbraw/zinc/36/38/31/334363831.db2.gz LTYWBRJNGLQACD-UHFFFAOYSA-N 0 3 319.445 4.149 20 0 DIADHN Cc1ccc2c(C3=CCN(Cc4cccn4C)CC3)c[nH]c2c1 ZINC000535072959 334364568 /nfs/dbraw/zinc/36/45/68/334364568.db2.gz DFZWXOFWBYKYSU-UHFFFAOYSA-N 0 3 305.425 4.104 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC000535079053 334365213 /nfs/dbraw/zinc/36/52/13/334365213.db2.gz VDOKPOCLDGZDKW-UHFFFAOYSA-N 0 3 317.404 4.475 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000531994142 334367307 /nfs/dbraw/zinc/36/73/07/334367307.db2.gz RNZNSLNMEPITKW-CXAGYDPISA-N 0 3 323.358 4.398 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)o1)C[C@H]1CCCOC1 ZINC000535086291 334368855 /nfs/dbraw/zinc/36/88/55/334368855.db2.gz LFUVCWDUSULPQF-CQSZACIVSA-N 0 3 319.832 4.458 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCCOc1ccc(F)cc1F ZINC000531994728 334369412 /nfs/dbraw/zinc/36/94/12/334369412.db2.gz MAJUZUYSAALDRH-CYBMUJFWSA-N 0 3 321.367 4.011 20 0 DIADHN Cc1cnc(CCN(C)CCCOc2cc(C)ccc2C)s1 ZINC000535115416 334370272 /nfs/dbraw/zinc/37/02/72/334370272.db2.gz GQAIJFPEVPULIX-UHFFFAOYSA-N 0 3 318.486 4.012 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)C[C@@H]1CCC[C@@H]1O ZINC000535116516 334370363 /nfs/dbraw/zinc/37/03/63/334370363.db2.gz KNMCYHGZHFZYLP-ZETOZRRWSA-N 0 3 302.245 4.147 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@H]1CCC[C@H]1O ZINC000535114811 334370404 /nfs/dbraw/zinc/37/04/04/334370404.db2.gz BBEMPFQBOJWFTM-DGCLKSJQSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H](C)Cc1ccc(Cl)cc1Cl ZINC000187915682 334370624 /nfs/dbraw/zinc/37/06/24/334370624.db2.gz DGKLUSQBTSPXOY-JQWIXIFHSA-N 0 3 324.255 4.374 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@@H]1CCCO1 ZINC000535185074 334376370 /nfs/dbraw/zinc/37/63/70/334376370.db2.gz IXJPWKKTHGPOML-WMZOPIPTSA-N 0 3 303.377 4.133 20 0 DIADHN CCC(C)(C)[C@H](C)Nc1cccc(CN2CCC(CO)CC2)c1 ZINC000563682181 334377797 /nfs/dbraw/zinc/37/77/97/334377797.db2.gz ZQHPUJKKQBJWOT-INIZCTEOSA-N 0 3 318.505 4.128 20 0 DIADHN CC(C)c1ccoc1C(=O)N1C[C@H]2CCCN2Cc2ccccc21 ZINC000340883247 334392949 /nfs/dbraw/zinc/39/29/49/334392949.db2.gz MIEWDSRQABWXJF-MRXNPFEDSA-N 0 3 324.424 4.028 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H](C)c1cc2ccccc2o1 ZINC000443202570 338447079 /nfs/dbraw/zinc/44/70/79/338447079.db2.gz XOTARFLLTGUEBM-CRAIPNDOSA-N 0 3 309.409 4.341 20 0 DIADHN CC[C@@H](NCc1ccc2oc(C(=O)OC)cc2c1)c1ccccc1 ZINC000341220299 334419015 /nfs/dbraw/zinc/41/90/15/334419015.db2.gz QDIVVQWGMDRDHP-QGZVFWFLSA-N 0 3 323.392 4.460 20 0 DIADHN C[C@@H]1[C@H](N[C@H]2CCOc3c(F)cccc32)C[C@@H]1c1ccccc1 ZINC000443222584 338447888 /nfs/dbraw/zinc/44/78/88/338447888.db2.gz LCUDRMYSILERAK-ZAUBIYILSA-N 0 3 311.400 4.431 20 0 DIADHN COc1ccccc1/C=C\CN1CCC(c2ccc(C)nc2)CC1 ZINC000341538615 334455826 /nfs/dbraw/zinc/45/58/26/334455826.db2.gz WTOYWCQAZCCJDM-ALCCZGGFSA-N 0 3 322.452 4.291 20 0 DIADHN CC(C)(NCCCCCOc1ccccc1)c1nccs1 ZINC000187930106 334469053 /nfs/dbraw/zinc/46/90/53/334469053.db2.gz KAKKMJRVKFRYLW-UHFFFAOYSA-N 0 3 304.459 4.217 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCc3cc(F)ccc32)cn1 ZINC000341704080 334472064 /nfs/dbraw/zinc/47/20/64/334472064.db2.gz ZXGCRVHODDXRPY-SFHVURJKSA-N 0 3 314.404 4.177 20 0 DIADHN Clc1ccc2c(c1)OCCC[C@H]2NCCOC1CCCC1 ZINC000341760143 334478031 /nfs/dbraw/zinc/47/80/31/334478031.db2.gz JGIZXXAVWVIKQQ-MRXNPFEDSA-N 0 3 309.837 4.103 20 0 DIADHN CC(C)(C)C[C@@H](NCCN1CCCC1=O)c1ccc(Cl)cc1 ZINC000341854542 334487916 /nfs/dbraw/zinc/48/79/16/334487916.db2.gz DIGFMAVPNFZLBN-MRXNPFEDSA-N 0 3 322.880 4.029 20 0 DIADHN FC1(c2cccnc2)CCN(CCCc2ccsc2)CC1 ZINC000342182117 334515318 /nfs/dbraw/zinc/51/53/18/334515318.db2.gz WTZUETHSKMNISU-UHFFFAOYSA-N 0 3 304.434 4.037 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(-n3ccnc3)cc1)CCC2 ZINC000342306264 334527020 /nfs/dbraw/zinc/52/70/20/334527020.db2.gz DCGRMNXWVSSGNS-NRFANRHFSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C12CCC(CC1)C2 ZINC000342572807 334551704 /nfs/dbraw/zinc/55/17/04/334551704.db2.gz BFLMBWHPJKEUNF-UHFFFAOYSA-N 0 3 312.457 4.110 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2CCCc3ccccc32)cc1Cl ZINC000342613667 334554527 /nfs/dbraw/zinc/55/45/27/334554527.db2.gz IRLSRVMDOXHPFG-YVEFUNNKSA-N 0 3 316.832 4.472 20 0 DIADHN CC1(C)CC[C@H]1N[C@@H]1CCOc2c(Br)cccc21 ZINC000342614775 334554640 /nfs/dbraw/zinc/55/46/40/334554640.db2.gz LXUXZXSPDYNBDB-CHWSQXEVSA-N 0 3 310.235 4.051 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](Oc2ccccc2)C1(C)C)c1ccncc1 ZINC000342694400 334562256 /nfs/dbraw/zinc/56/22/56/334562256.db2.gz FHILLJVYUQJACU-FHWLQOOXSA-N 0 3 310.441 4.368 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CCCc3ccc(C(C)C)cc32)n1 ZINC000342819862 334581016 /nfs/dbraw/zinc/58/10/16/334581016.db2.gz FBIBHZZDAVGRFA-GOSISDBHSA-N 0 3 310.441 4.386 20 0 DIADHN C[C@H](NCc1cnc(-c2ccncc2)s1)c1cccs1 ZINC000343083463 334598388 /nfs/dbraw/zinc/59/83/88/334598388.db2.gz ZQVJXGBGWAHFQS-NSHDSACASA-N 0 3 301.440 4.117 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1ccccc1-n1ccnc1)CC2 ZINC000343096587 334599161 /nfs/dbraw/zinc/59/91/61/334599161.db2.gz RRCPISKSFHNEBY-SFHVURJKSA-N 0 3 323.827 4.303 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2cnc(C3CCC3)s2)CCO1 ZINC000343116183 334601582 /nfs/dbraw/zinc/60/15/82/334601582.db2.gz LIAQSFOYOBRWHZ-HIFRSBDPSA-N 0 3 308.491 4.094 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCO)c1cc(Cl)sc1Cl ZINC000188038489 334610770 /nfs/dbraw/zinc/61/07/70/334610770.db2.gz UGVZDTLCBLRJGF-NGZCFLSTSA-N 0 3 308.274 4.257 20 0 DIADHN CC[C@@H](F)CN1CCC(c2c[nH]c3ccc(OC)cc32)CC1 ZINC000440712278 334614601 /nfs/dbraw/zinc/61/46/01/334614601.db2.gz LLTCPLQNIXOEQG-CQSZACIVSA-N 0 3 304.409 4.104 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@@H](c1ccccc1)C1CCC1 ZINC000415913840 334627963 /nfs/dbraw/zinc/62/79/63/334627963.db2.gz RUJUYJBSAKOVBX-IBGZPJMESA-N 0 3 323.440 4.069 20 0 DIADHN CCC1(CC)CCCN(C(=O)Nc2ccccc2CNC)CC1 ZINC000415920242 334628842 /nfs/dbraw/zinc/62/88/42/334628842.db2.gz JMZBWLVGTHQSCP-UHFFFAOYSA-N 0 3 317.477 4.230 20 0 DIADHN CNCc1cccc(NC(=O)N2CC[C@@H](C(C)(C)C)C[C@H]2C)c1 ZINC000415933079 334633682 /nfs/dbraw/zinc/63/36/82/334633682.db2.gz OYYXIHWCUWGLTM-GDBMZVCRSA-N 0 3 317.477 4.085 20 0 DIADHN Cc1nc(C2(N)CCCC2)sc1Cc1c(F)cccc1F ZINC000416402495 334666443 /nfs/dbraw/zinc/66/64/43/334666443.db2.gz PDUUCYJMIKXBSV-UHFFFAOYSA-N 0 3 308.397 4.049 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2ccccc2)c(C)s1 ZINC000164951143 334686383 /nfs/dbraw/zinc/68/63/83/334686383.db2.gz RKKKTKOHSVKYQY-ZDUSSCGKSA-N 0 3 302.443 4.044 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2ccc3ccccc3c2)c1 ZINC000112522964 334717389 /nfs/dbraw/zinc/71/73/89/334717389.db2.gz UQGIUDJAIDUISU-HNNXBMFYSA-N 0 3 318.420 4.050 20 0 DIADHN CCc1cc(N2CCC[C@@H]([C@@H]3CCOC3)C2)c2ccccc2n1 ZINC000443897908 338463169 /nfs/dbraw/zinc/46/31/69/338463169.db2.gz OXXMOZXQDJYGEG-HZPDHXFCSA-N 0 3 310.441 4.050 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](CC(C)C)OC)ccc1SC ZINC000417368840 334764422 /nfs/dbraw/zinc/76/44/22/334764422.db2.gz OVGACVNRJAGHIC-UKRRQHHQSA-N 0 3 311.491 4.129 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@H](C)COCC(F)(F)F)oc2c1 ZINC000425941561 334787364 /nfs/dbraw/zinc/78/73/64/334787364.db2.gz BXTFRJFVLSABNZ-NEPJUHHUSA-N 0 3 315.335 4.359 20 0 DIADHN CC(C)C[C@H]1CCC[C@@H](NCc2nccn2CC(F)(F)F)C1 ZINC000474716719 334790585 /nfs/dbraw/zinc/79/05/85/334790585.db2.gz DCFHMXHWPWZWNO-ZIAGYGMSSA-N 0 3 317.399 4.140 20 0 DIADHN Cc1nc([C@@H](C)NC2CCC(C(=O)OC(C)(C)C)CC2)cs1 ZINC000330639906 334794420 /nfs/dbraw/zinc/79/44/20/334794420.db2.gz CEKVEEXIZGECSH-LMWSTFAQSA-N 0 3 324.490 4.003 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc(C(F)(F)F)s1 ZINC000443996904 338466070 /nfs/dbraw/zinc/46/60/70/338466070.db2.gz KYNQQTCSZXAYBJ-MRVPVSSYSA-N 0 3 320.302 4.229 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)s1 ZINC000443996744 338466139 /nfs/dbraw/zinc/46/61/39/338466139.db2.gz VRFGMELESAILNO-HBNTYKKESA-N 0 3 304.381 4.220 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)Cc1ccccc1SC ZINC000188763042 334871661 /nfs/dbraw/zinc/87/16/61/334871661.db2.gz ACPAAUBGNAJVJX-KBPBESRZSA-N 0 3 316.470 4.094 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H](C)[C@@H]3CC3(C)C)n2)ccc1F ZINC000425970266 334874932 /nfs/dbraw/zinc/87/49/32/334874932.db2.gz ZPTJSUOHPOSORL-DYEKYZERSA-N 0 3 317.408 4.269 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H](C)[C@H]3CC3(C)C)n2)cc1F ZINC000425969380 334875403 /nfs/dbraw/zinc/87/54/03/334875403.db2.gz WNUXPHQKDFHREX-MBNYWOFBSA-N 0 3 317.408 4.269 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000189331757 334891207 /nfs/dbraw/zinc/89/12/07/334891207.db2.gz FXQUJZDLNHRNOD-AEJSXWLSSA-N 0 3 322.663 4.458 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H]2CCCc3ccc(F)cc32)CC1 ZINC000571664281 334908080 /nfs/dbraw/zinc/90/80/80/334908080.db2.gz RILRELLXRNHWIX-LJQANCHMSA-N 0 3 313.420 4.114 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(-c2csc(C)n2)cc1)C1CC1 ZINC000425458116 334912409 /nfs/dbraw/zinc/91/24/09/334912409.db2.gz MBHCUFFTXVZBJL-KPZWWZAWSA-N 0 3 316.470 4.194 20 0 DIADHN c1csc([C@@H](NCc2ccc(-c3ccccn3)nc2)C2CC2)c1 ZINC000425457050 334912431 /nfs/dbraw/zinc/91/24/31/334912431.db2.gz KPFAJPOVYZFQLV-IBGZPJMESA-N 0 3 321.449 4.446 20 0 DIADHN CSCCCN(C)Cc1cn(-c2ccccc2)nc1C(C)C ZINC000343676847 335187509 /nfs/dbraw/zinc/18/75/09/335187509.db2.gz LLVYXWJGKPEQPB-UHFFFAOYSA-N 0 3 317.502 4.181 20 0 DIADHN COc1cncc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)c1 ZINC000343693290 335188600 /nfs/dbraw/zinc/18/86/00/335188600.db2.gz POUZKQRPSPODRI-SFHVURJKSA-N 0 3 316.420 4.496 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccnc(OC3CCC3)c2)c2ccccc21 ZINC000343705644 335189289 /nfs/dbraw/zinc/18/92/89/335189289.db2.gz JDRQZOLWKRKHOP-KUHUBIRLSA-N 0 3 308.425 4.351 20 0 DIADHN Cc1ccc2c(CCNCc3csc(C4CC4)n3)c[nH]c2c1 ZINC000343883593 335199757 /nfs/dbraw/zinc/19/97/57/335199757.db2.gz FNVAYVBYOQLDGQ-UHFFFAOYSA-N 0 3 311.454 4.143 20 0 DIADHN CC(C)c1ncc(CNCCc2ccc3ccccc3n2)s1 ZINC000343941906 335203535 /nfs/dbraw/zinc/20/35/35/335203535.db2.gz IYHBXNPADNMMLO-UHFFFAOYSA-N 0 3 311.454 4.147 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)N[C@@H]1CCCOC1 ZINC000343977013 335204964 /nfs/dbraw/zinc/20/49/64/335204964.db2.gz HPZLQXRTERLPLQ-ZBFHGGJFSA-N 0 3 311.853 4.204 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC[C@H]1COc3ccccc31)CCS2 ZINC000343987947 335205678 /nfs/dbraw/zinc/20/56/78/335205678.db2.gz OQZYEBKJAFQEGY-LRDDRELGSA-N 0 3 315.413 4.128 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN[C@H]3CCCOc4ccccc43)O2)cc1 ZINC000343986025 335205753 /nfs/dbraw/zinc/20/57/53/335205753.db2.gz NGQBDVKVAONDFN-HOJAQTOUSA-N 0 3 323.436 4.410 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1 ZINC000343947175 335207057 /nfs/dbraw/zinc/20/70/57/335207057.db2.gz UQJBLNBBTSYVOC-INIZCTEOSA-N 0 3 319.408 4.428 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@H](C2CC2)C1 ZINC000343961257 335208232 /nfs/dbraw/zinc/20/82/32/335208232.db2.gz TVRZHNRHHAHNCG-RDJZCZTQSA-N 0 3 314.473 4.177 20 0 DIADHN CCC[C@H](NC[C@@H]1CC[C@H](c2ccccc2)O1)c1cccnc1 ZINC000343969153 335208786 /nfs/dbraw/zinc/20/87/86/335208786.db2.gz BSAWWAGDDPUODY-SLFFLAALSA-N 0 3 310.441 4.433 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2cnc(C)s2)c1 ZINC000344043111 335211515 /nfs/dbraw/zinc/21/15/15/335211515.db2.gz WQDIJTZSAGCGOX-YVEFUNNKSA-N 0 3 302.443 4.043 20 0 DIADHN CC[C@@H](N[C@@H](CC)COC)c1cc(F)cc(Br)c1 ZINC000344076752 335215618 /nfs/dbraw/zinc/21/56/18/335215618.db2.gz PDPOSNUBCNNWTK-UONOGXRCSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)N[C@@H]3CCCOC3)cs2)cs1 ZINC000344137488 335220961 /nfs/dbraw/zinc/22/09/61/335220961.db2.gz YREJOXKKMSCVGB-ZWNOBZJWSA-N 0 3 308.472 4.010 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCc3c1cccc3C)CCO2 ZINC000344104779 335223545 /nfs/dbraw/zinc/22/35/45/335223545.db2.gz IXAVDSIVAGUTET-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC3(CCO)CCC3)oc21 ZINC000344277008 335235405 /nfs/dbraw/zinc/23/54/05/335235405.db2.gz BSMYMPIFRODXJF-CQSZACIVSA-N 0 3 317.429 4.035 20 0 DIADHN CC[C@H](N[C@H]1C[C@@H](OCC(C)C)C1(C)C)c1c(C)noc1C ZINC000344277031 335235418 /nfs/dbraw/zinc/23/54/18/335235418.db2.gz BWNGRFBZXKFARD-HRCADAONSA-N 0 3 308.466 4.172 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)Cc2ccsc2C)c1 ZINC000344405256 335246212 /nfs/dbraw/zinc/24/62/12/335246212.db2.gz YXYVODGULKCBBF-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN Cc1cccc(CN(C)CC2CCC(C(F)(F)F)CC2)n1 ZINC000344471613 335251621 /nfs/dbraw/zinc/25/16/21/335251621.db2.gz YGLXNCYQWONNJD-UHFFFAOYSA-N 0 3 300.368 4.191 20 0 DIADHN Cc1cn2c(n1)[C@H](CNCc1cc3cc(C)c(C)cc3o1)CCC2 ZINC000344545652 335260392 /nfs/dbraw/zinc/26/03/92/335260392.db2.gz SFTBRZZJCCQKSW-INIZCTEOSA-N 0 3 323.440 4.222 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2cnc(C3CCC3)s2)o1 ZINC000344600086 335262969 /nfs/dbraw/zinc/26/29/69/335262969.db2.gz FQFSWBIFTUUAOV-LBPRGKRZSA-N 0 3 304.459 4.423 20 0 DIADHN c1cncc(-c2cccc(CNCc3ccc4c(c3)COC4)c2)c1 ZINC000345002703 335290602 /nfs/dbraw/zinc/29/06/02/335290602.db2.gz VDHGFKCDOPMZMB-UHFFFAOYSA-N 0 3 316.404 4.069 20 0 DIADHN CCCCOCCCNCc1cc(F)cc(C(F)(F)F)c1 ZINC000158086872 338476417 /nfs/dbraw/zinc/47/64/17/338476417.db2.gz KZMVEVWDVFBPBZ-UHFFFAOYSA-N 0 3 307.331 4.141 20 0 DIADHN COC[C@H](N[C@H](C)c1nc(-c2ccccc2)cs1)C(C)C ZINC000165961831 335294894 /nfs/dbraw/zinc/29/48/94/335294894.db2.gz VPIYJIPRJHUOET-HIFRSBDPSA-N 0 3 304.459 4.132 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cnn(C(C)C)c2C)C[C@H]1OC ZINC000345273188 335309034 /nfs/dbraw/zinc/30/90/34/335309034.db2.gz NQCJJLFYXUVFDD-KBRIMQKVSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCO[C@@H]2CC(C)C)cnn1C(C)C ZINC000345282329 335313308 /nfs/dbraw/zinc/31/33/08/335313308.db2.gz NKKSTYRDMJMDAR-BMGDILEWSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1c([C@@H](C)NCCCNc2ccccc2F)cnn1C(C)C ZINC000345311561 335315923 /nfs/dbraw/zinc/31/59/23/335315923.db2.gz ZINKRAZHCKYENA-CQSZACIVSA-N 0 3 318.440 4.064 20 0 DIADHN Cc1nn(C)cc1CN[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000345701280 335343088 /nfs/dbraw/zinc/34/30/88/335343088.db2.gz ONTKLEPVOHDRBV-RDTXWAMCSA-N 0 3 309.457 4.118 20 0 DIADHN Cc1csc(C2(NCCCOc3ccc(F)cc3)CCC2)n1 ZINC000345732698 335346679 /nfs/dbraw/zinc/34/66/79/335346679.db2.gz UOULJOQDKAGFHT-UHFFFAOYSA-N 0 3 320.433 4.029 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3cccs3)cc2)CC1 ZINC000444652517 338479113 /nfs/dbraw/zinc/47/91/13/338479113.db2.gz UDOBXFFNMCBRAN-UHFFFAOYSA-N 0 3 301.455 4.152 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2csc(Cl)c2)c1 ZINC000345777096 335350890 /nfs/dbraw/zinc/35/08/90/335350890.db2.gz TZODEWZXJPGZBD-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000346083851 335377130 /nfs/dbraw/zinc/37/71/30/335377130.db2.gz QONHOTGWZAXAIU-PEYYIBSZSA-N 0 3 313.894 4.264 20 0 DIADHN c1csc(CN(Cc2cc(-n3ccnc3)cs2)C2CC2)c1 ZINC000346145582 335381293 /nfs/dbraw/zinc/38/12/93/335381293.db2.gz TUVAKTPKBSOKHE-UHFFFAOYSA-N 0 3 315.467 4.160 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccn2C)cc2cccnc12 ZINC000346185673 335382498 /nfs/dbraw/zinc/38/24/98/335382498.db2.gz VKROAKYXYFUBTI-IBGZPJMESA-N 0 3 305.425 4.219 20 0 DIADHN C[C@@H]1c2ccsc2CCN1Cc1cc(-n2ccnc2)cs1 ZINC000346153825 335382627 /nfs/dbraw/zinc/38/26/27/335382627.db2.gz PZOOVTVIUPKWCH-GFCCVEGCSA-N 0 3 315.467 4.115 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2cc(-n3ccnc3)cs2)c1 ZINC000346198944 335389182 /nfs/dbraw/zinc/38/91/82/335389182.db2.gz FZZGUADSBJHYHQ-HNNXBMFYSA-N 0 3 315.467 4.332 20 0 DIADHN C[C@H](c1cccs1)N(CCn1ccnc1)Cc1ccccc1 ZINC000346305539 335398186 /nfs/dbraw/zinc/39/81/86/335398186.db2.gz INVLIQKLLGQXGJ-MRXNPFEDSA-N 0 3 311.454 4.208 20 0 DIADHN C[C@@H](NCc1ccccc1-c1cnn(C)c1)c1ccc(F)cc1 ZINC000366938383 335400554 /nfs/dbraw/zinc/40/05/54/335400554.db2.gz NKKQOLPVNPLHIU-CQSZACIVSA-N 0 3 309.388 4.077 20 0 DIADHN Cc1cc([C@H](N[C@H]2CCCSC2)c2cccnc2)ccc1F ZINC000346554386 335415009 /nfs/dbraw/zinc/41/50/09/335415009.db2.gz BSOGKCFYUNJNGC-WMZOPIPTSA-N 0 3 316.445 4.104 20 0 DIADHN Cc1cc([C@@H](NC2CCSCC2)c2cccnc2)ccc1F ZINC000346629854 335425403 /nfs/dbraw/zinc/42/54/03/335425403.db2.gz KOEZETDPFVAMPB-GOSISDBHSA-N 0 3 316.445 4.104 20 0 DIADHN CCc1ccccc1CCN[C@H](c1ncc(C)s1)C1CC1 ZINC000444691445 338483050 /nfs/dbraw/zinc/48/30/50/338483050.db2.gz YYMZYBAQFHFZHI-KRWDZBQOSA-N 0 3 300.471 4.297 20 0 DIADHN C[C@@H](NC[C@H]1CCCO1)c1cccc(OCc2ccccc2)c1 ZINC000444729674 338485893 /nfs/dbraw/zinc/48/58/93/338485893.db2.gz SDLWPDDFJWRCPP-OXQOHEQNSA-N 0 3 311.425 4.095 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccccc1OC(F)F ZINC000347349110 335488193 /nfs/dbraw/zinc/48/81/93/335488193.db2.gz VHGUHNYFZZNYCR-AEGPPILISA-N 0 3 313.388 4.286 20 0 DIADHN Cc1cc(CN[C@@H](CCO)c2ccc(Cl)cc2)c(C)s1 ZINC000347441270 335496857 /nfs/dbraw/zinc/49/68/57/335496857.db2.gz XWDNBEBKNRIWSV-INIZCTEOSA-N 0 3 309.862 4.232 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H](CCO)c1ccc(Cl)cc1 ZINC000348221180 335562778 /nfs/dbraw/zinc/56/27/78/335562778.db2.gz GJFDBVPDPULAMZ-RTBURBONSA-N 0 3 315.844 4.349 20 0 DIADHN FC(F)(F)c1csc(CNC[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000348264717 335569163 /nfs/dbraw/zinc/56/91/63/335569163.db2.gz VIBZEJKXCNSTAA-RYUDHWBXSA-N 0 3 312.360 4.055 20 0 DIADHN CC[C@H](N[C@@H]1CC[C@@H](F)C1)c1ccccc1Br ZINC000348312548 335575650 /nfs/dbraw/zinc/57/56/50/335575650.db2.gz FIVSYQMVCBHBFL-GYSYKLTISA-N 0 3 300.215 4.380 20 0 DIADHN C[C@H](CC1CCOCC1)N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000348330200 335582445 /nfs/dbraw/zinc/58/24/45/335582445.db2.gz RZKSHXHGUAJDDB-ZJUUUORDSA-N 0 3 322.396 4.018 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2sc(CC)nc2C)C12CCC2 ZINC000166155322 335593261 /nfs/dbraw/zinc/59/32/61/335593261.db2.gz YNAPINYXINXHRI-YUTCNCBUSA-N 0 3 308.491 4.012 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1csc(Cl)c1 ZINC000191109313 335597665 /nfs/dbraw/zinc/59/76/65/335597665.db2.gz AHJPWPRYSOTUOW-JSGCOSHPSA-N 0 3 324.877 4.482 20 0 DIADHN COC(C)(C)CCN[C@@H](CC(F)(F)F)c1ccccc1C ZINC000444786482 338488747 /nfs/dbraw/zinc/48/87/47/338488747.db2.gz UYCWZENQMRGLHF-AWEZNQCLSA-N 0 3 303.368 4.393 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3cccc(CO)c3)ccc2c1 ZINC000326929272 335652129 /nfs/dbraw/zinc/65/21/29/335652129.db2.gz WMDYGDDQOSRMQJ-OAHLLOKOSA-N 0 3 321.420 4.192 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCC(O)(C(F)(F)F)CC1 ZINC000535577879 335654766 /nfs/dbraw/zinc/65/47/66/335654766.db2.gz ZMCXUPNSTBIGQP-ZDUSSCGKSA-N 0 3 323.358 4.290 20 0 DIADHN CN(C)CCN(Cc1cccs1)Cc1coc2ccccc12 ZINC000349286003 335660617 /nfs/dbraw/zinc/66/06/17/335660617.db2.gz SXZZJUZFQAHWNX-UHFFFAOYSA-N 0 3 314.454 4.058 20 0 DIADHN OC[C@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccsc1 ZINC000349326879 335663624 /nfs/dbraw/zinc/66/36/24/335663624.db2.gz VDEJMQACXGTQJS-MSOLQXFVSA-N 0 3 317.429 4.146 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2CCCC23CCOCC3)cs1 ZINC000367088514 335664076 /nfs/dbraw/zinc/66/40/76/335664076.db2.gz WWYZRWQNORFMFZ-DZGCQCFKSA-N 0 3 308.491 4.266 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H]2CCCC23CCOCC3)cs1 ZINC000367088517 335664268 /nfs/dbraw/zinc/66/42/68/335664268.db2.gz WWYZRWQNORFMFZ-ZFWWWQNUSA-N 0 3 308.491 4.266 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1Cl)[C@@H]1CCCOC1 ZINC000388967978 335667539 /nfs/dbraw/zinc/66/75/39/335667539.db2.gz NCUKTYIPLRJQAH-GRYCIOLGSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@H](CO)c1ccc(F)cc1 ZINC000349380188 335667755 /nfs/dbraw/zinc/66/77/55/335667755.db2.gz OSIQUKZRXWHPHX-RBUKOAKNSA-N 0 3 313.416 4.143 20 0 DIADHN CCC[C@@H](NCCOc1cccc(Cl)c1)c1cccnc1 ZINC000349457667 335673535 /nfs/dbraw/zinc/67/35/35/335673535.db2.gz OTPGCAHGGNTRIS-QGZVFWFLSA-N 0 3 304.821 4.245 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1cc(Cl)ccc1Cl ZINC000388998629 335681873 /nfs/dbraw/zinc/68/18/73/335681873.db2.gz QIPCTPMMJYFSIW-SDDRHHMPSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(Cl)ccc1Cl)[C@H]1CCCOC1 ZINC000388998632 335681897 /nfs/dbraw/zinc/68/18/97/335681897.db2.gz QIPCTPMMJYFSIW-WOPDTQHZSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H]1CCCc2c1cnn2C ZINC000349803939 335701869 /nfs/dbraw/zinc/70/18/69/335701869.db2.gz RNZZXSXFBSZONH-QZTJIDSGSA-N 0 3 309.457 4.082 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)nc1 ZINC000526083335 335716835 /nfs/dbraw/zinc/71/68/35/335716835.db2.gz ICOBWUQKTZDDCS-OAHLLOKOSA-N 0 3 323.362 4.210 20 0 DIADHN CC[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccc(Cl)c1 ZINC000166558696 335749012 /nfs/dbraw/zinc/74/90/12/335749012.db2.gz ZDKRNAZPWPPJDH-FGTMMUONSA-N 0 3 301.817 4.039 20 0 DIADHN CSc1ccc([C@H](C)NC[C@@H]2COc3ccccc32)cc1F ZINC000583588262 335752239 /nfs/dbraw/zinc/75/22/39/335752239.db2.gz WNUCNFFNQPHRAO-GXTWGEPZSA-N 0 3 317.429 4.374 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000519183253 335813089 /nfs/dbraw/zinc/81/30/89/335813089.db2.gz MVBNVIDBVRAVGD-GOEBONIOSA-N 0 3 303.837 4.106 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000519183254 335813224 /nfs/dbraw/zinc/81/32/24/335813224.db2.gz MVBNVIDBVRAVGD-HOCLYGCPSA-N 0 3 303.837 4.106 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc(Cl)ccc2C)c1 ZINC000536216943 335832585 /nfs/dbraw/zinc/83/25/85/335832585.db2.gz VWUGDRKHJVZZEB-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC[C@H](NCCOCc1ccc(OC)cc1)c1cccc(F)c1 ZINC000527358741 335841813 /nfs/dbraw/zinc/84/18/13/335841813.db2.gz AIHSJEZBEUUPMT-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCOC(C)(C)C)CCCS2 ZINC000527359633 335841901 /nfs/dbraw/zinc/84/19/01/335841901.db2.gz CLSGOJKUORMVIZ-HNNXBMFYSA-N 0 3 309.475 4.027 20 0 DIADHN CCCCCn1cc(CNCc2ccc(CC)s2)c(C)n1 ZINC000536607764 335843823 /nfs/dbraw/zinc/84/38/23/335843823.db2.gz LNKKGHKDEWTXRB-UHFFFAOYSA-N 0 3 305.491 4.295 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000468758543 335854898 /nfs/dbraw/zinc/85/48/98/335854898.db2.gz ALVQUHSZMAFDGR-HLIPFELVSA-N 0 3 316.489 4.023 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000417928367 335879915 /nfs/dbraw/zinc/87/99/15/335879915.db2.gz MZNLAMZOWGJPGQ-JCURWCKSSA-N 0 3 303.837 4.043 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cccc(Cl)c2Cl)CCO1 ZINC000536758052 335885040 /nfs/dbraw/zinc/88/50/40/335885040.db2.gz XBFNDCCNXYNVAA-OCCSQVGLSA-N 0 3 302.245 4.287 20 0 DIADHN COc1ccc([C@@H](C)NCCc2ccc(F)cc2F)c(F)c1 ZINC000519797853 335897321 /nfs/dbraw/zinc/89/73/21/335897321.db2.gz JZETWCASUNBOMI-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN Fc1ccc(Br)cc1CNCCCC(F)(F)F ZINC000097988707 335903311 /nfs/dbraw/zinc/90/33/11/335903311.db2.gz XAWHUVTZGZHAGQ-UHFFFAOYSA-N 0 3 314.120 4.020 20 0 DIADHN CC(C)[C@H](CCc1ccccc1)NCc1cn2ccsc2n1 ZINC000532204927 335912005 /nfs/dbraw/zinc/91/20/05/335912005.db2.gz AJNMCULOIOFOSN-KRWDZBQOSA-N 0 3 313.470 4.143 20 0 DIADHN Cc1ccc(C[C@@H](NCc2cn3ccsc3n2)C(C)C)cc1 ZINC000532205001 335912682 /nfs/dbraw/zinc/91/26/82/335912682.db2.gz WOMKVYCSSJOWBM-QGZVFWFLSA-N 0 3 313.470 4.061 20 0 DIADHN COc1ccc(CN[C@@H](CC2CC2)c2ccccc2)cc1OC ZINC000532188829 335912795 /nfs/dbraw/zinc/91/27/95/335912795.db2.gz ZCZDTEOPCQQYIM-SFHVURJKSA-N 0 3 311.425 4.335 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](C)c2ccc(CC)cc2)c1 ZINC000532193978 335913772 /nfs/dbraw/zinc/91/37/72/335913772.db2.gz JOYYCXCTJHKOLN-OAHLLOKOSA-N 0 3 310.441 4.448 20 0 DIADHN CCCCOCCN[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000532218315 335916794 /nfs/dbraw/zinc/91/67/94/335916794.db2.gz NLPZFFWMKFXNHL-LBPRGKRZSA-N 0 3 305.340 4.053 20 0 DIADHN CC[C@H](N[C@H](C)CC(=O)N(CC)CC)c1ccc(Cl)s1 ZINC000532223252 335917705 /nfs/dbraw/zinc/91/77/05/335917705.db2.gz DBJMZUOWQSXSIY-NEPJUHHUSA-N 0 3 316.898 4.089 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccoc1 ZINC000532207108 335917709 /nfs/dbraw/zinc/91/77/09/335917709.db2.gz QCWQDAWMUKERIO-NEPJUHHUSA-N 0 3 313.319 4.417 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3c2cccc3Cl)cn1 ZINC000532230875 335922221 /nfs/dbraw/zinc/92/22/21/335922221.db2.gz BKTGPTJMNMHPED-QGZVFWFLSA-N 0 3 316.832 4.301 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H](C)c1cc2c(s1)CCCC2 ZINC000532248374 335926236 /nfs/dbraw/zinc/92/62/36/335926236.db2.gz SFKSWRQSWZPJSP-PBHICJAKSA-N 0 3 315.482 4.320 20 0 DIADHN CSc1cc(C)ccc1CNCC1=Cc2ccccc2OC1 ZINC000532300617 335929518 /nfs/dbraw/zinc/92/95/18/335929518.db2.gz GGWZNFKWOJIBLO-UHFFFAOYSA-N 0 3 311.450 4.283 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(C)c(C)c1)c1ccco1 ZINC000520221115 335942922 /nfs/dbraw/zinc/94/29/22/335942922.db2.gz ORRZFVYAEGQMNF-SFHVURJKSA-N 0 3 300.446 4.069 20 0 DIADHN COc1cc(CN([C@@H](C)C2CC2)C2CC2)c(SC)cc1OC ZINC000350971545 336001715 /nfs/dbraw/zinc/00/17/15/336001715.db2.gz MZTOHGVEJHMSTF-LBPRGKRZSA-N 0 3 321.486 4.189 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(Br)cc1C ZINC000350998317 336002650 /nfs/dbraw/zinc/00/26/50/336002650.db2.gz WJBJWQBTXKRBCH-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CC[C@H](N[C@H]1CCc2c(cccc2OC)C1)c1nc(C)cs1 ZINC000192442040 336032632 /nfs/dbraw/zinc/03/26/32/336032632.db2.gz BXXOJOBBVAQFMT-HOCLYGCPSA-N 0 3 316.470 4.058 20 0 DIADHN COCCSc1ccc([C@H](C)NCc2scnc2C)cc1 ZINC000351576339 336046703 /nfs/dbraw/zinc/04/67/03/336046703.db2.gz WOFMFFPZHOKEQH-LBPRGKRZSA-N 0 3 322.499 4.041 20 0 DIADHN OC[C@@H]1CCC[C@H](N[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000324061706 336053828 /nfs/dbraw/zinc/05/38/28/336053828.db2.gz WLHXUHMYDULXQC-XPGWFJOJSA-N 0 3 313.416 4.056 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000351758784 336065638 /nfs/dbraw/zinc/06/56/38/336065638.db2.gz QRANLXASSUKUCW-KRWDZBQOSA-N 0 3 301.821 4.069 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cnc(C)nc1)c1ccc(Cl)cc1 ZINC000351761955 336065908 /nfs/dbraw/zinc/06/59/08/336065908.db2.gz YSBUUQQLHZTLNO-SJKOYZFVSA-N 0 3 303.837 4.315 20 0 DIADHN CCOC1CC(CN[C@H](CC(F)(F)F)c2ccccc2)C1 ZINC000351767384 336066203 /nfs/dbraw/zinc/06/62/03/336066203.db2.gz VDCIPQJDPYXCDQ-PESDSKBTSA-N 0 3 301.352 4.085 20 0 DIADHN COc1ccc2[nH]cc(C3=CCN(CC[C@@H](C)F)CC3)c2c1 ZINC000351881581 336079749 /nfs/dbraw/zinc/07/97/49/336079749.db2.gz NMQASJHJFJBNMX-CYBMUJFWSA-N 0 3 302.393 4.014 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@@H](CCO)C(C)(C)C ZINC000480668305 336133128 /nfs/dbraw/zinc/13/31/28/336133128.db2.gz SHBZJECPKYXGSL-WBMJQRKESA-N 0 3 313.869 4.186 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCCC3(CCCCC3)C2)c1 ZINC000331373687 336134117 /nfs/dbraw/zinc/13/41/17/336134117.db2.gz CVTGWVJKIMVTHV-UHFFFAOYSA-N 0 3 315.461 4.032 20 0 DIADHN c1csc([C@H]2CCCN(CCSCc3ccccc3)C2)n1 ZINC000192562522 336137325 /nfs/dbraw/zinc/13/73/25/336137325.db2.gz VMPMXJQGKFHQHO-INIZCTEOSA-N 0 3 318.511 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1nnc(-c2cccs2)o1 ZINC000331875232 336151104 /nfs/dbraw/zinc/15/11/04/336151104.db2.gz FLUDFIVZCKNEMH-FVCCEPFGSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](NCCCCOc1ccccc1)c1cccc(F)c1F ZINC000526113297 336166096 /nfs/dbraw/zinc/16/60/96/336166096.db2.gz PLTNZHKFDKJMJC-AWEZNQCLSA-N 0 3 305.368 4.475 20 0 DIADHN c1coc([C@@H](CN[C@H]2CCCc3occc32)N2CCCCC2)c1 ZINC000192598331 336178310 /nfs/dbraw/zinc/17/83/10/336178310.db2.gz XYTDHGUDWHNHID-DLBZAZTESA-N 0 3 314.429 4.067 20 0 DIADHN Fc1ccc2oc(CN3CC[C@@]4(C3)OCc3ccccc34)cc2c1 ZINC000351976751 336185163 /nfs/dbraw/zinc/18/51/63/336185163.db2.gz WPNYCIIURPQGMH-FQEVSTJZSA-N 0 3 323.367 4.203 20 0 DIADHN Cc1ncc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000352001349 336187478 /nfs/dbraw/zinc/18/74/78/336187478.db2.gz BBLMGKAUSXNNHE-QWHCGFSZSA-N 0 3 303.837 4.062 20 0 DIADHN Cc1ncc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000352001351 336187683 /nfs/dbraw/zinc/18/76/83/336187683.db2.gz BBLMGKAUSXNNHE-STQMWFEESA-N 0 3 303.837 4.062 20 0 DIADHN CCSc1cccc(CN2CCCC3(CCOCC3)C2)c1 ZINC000352003484 336187903 /nfs/dbraw/zinc/18/79/03/336187903.db2.gz QZOXZNPLXVNCLU-UHFFFAOYSA-N 0 3 305.487 4.191 20 0 DIADHN Cc1ccccc1C1CC(N(Cc2cnc(C)nc2)C2CC2)C1 ZINC000352030855 336190565 /nfs/dbraw/zinc/19/05/65/336190565.db2.gz XSFCBVZZQNSVHV-UHFFFAOYSA-N 0 3 307.441 4.004 20 0 DIADHN C[C@@H]1CC[C@@H](NC(=O)Nc2ccc3c(c2)CNC3)c2ccccc21 ZINC000333284200 336201119 /nfs/dbraw/zinc/20/11/19/336201119.db2.gz ICKSHDXQTANZML-BFUOFWGJSA-N 0 3 321.424 4.050 20 0 DIADHN c1cn(-c2ccccc2)nc1CN[C@H]1CC[C@H](c2ccccc2)C1 ZINC000526118300 336202079 /nfs/dbraw/zinc/20/20/79/336202079.db2.gz MVJONSDHVMULLK-OALUTQOASA-N 0 3 317.436 4.298 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OC(F)F)cc2)cn1 ZINC000352246141 336206544 /nfs/dbraw/zinc/20/65/44/336206544.db2.gz MROUCFHWHGYQCZ-GFCCVEGCSA-N 0 3 306.356 4.096 20 0 DIADHN CO[C@@](C)(CN[C@@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC000324391215 336206643 /nfs/dbraw/zinc/20/66/43/336206643.db2.gz NDHKSLHRCDYZSQ-PMACEKPBSA-N 0 3 313.416 4.320 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1sccc1Br ZINC000532495371 336250915 /nfs/dbraw/zinc/25/09/15/336250915.db2.gz OSMFGCLCAXUIHU-OUAUKWLOSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ccc(CN(C)C)cc1NC[C@H](C)c1ccc(F)cc1 ZINC000526124376 336220167 /nfs/dbraw/zinc/22/01/67/336220167.db2.gz SGQSCFUIEGMVNU-AWEZNQCLSA-N 0 3 316.420 4.112 20 0 DIADHN Cc1ccc2c(CN3CCC=C(c4ccco4)C3)ccc(O)c2n1 ZINC000352550729 336224100 /nfs/dbraw/zinc/22/41/00/336224100.db2.gz PZRRWNMLMBDGBH-UHFFFAOYSA-N 0 3 320.392 4.131 20 0 DIADHN CC(C)(C)OC1CC(N[C@H]2CCSc3ccc(F)cc32)C1 ZINC000352566642 336224892 /nfs/dbraw/zinc/22/48/92/336224892.db2.gz FPZDFNKLLGQDJA-PIMMBPRGSA-N 0 3 309.450 4.298 20 0 DIADHN CC[C@H](NC/C(C)=C\c1ccccc1COC)c1ccncc1 ZINC000352619830 336227339 /nfs/dbraw/zinc/22/73/39/336227339.db2.gz XCYKKYLOTFHILW-JDQHYLEWSA-N 0 3 310.441 4.372 20 0 DIADHN CC[C@@H](NC[C@H](c1ccsc1)N(C)C)c1ccccc1F ZINC000352701672 336231208 /nfs/dbraw/zinc/23/12/08/336231208.db2.gz MIGVMNRZNWIPPM-IAGOWNOFSA-N 0 3 306.450 4.231 20 0 DIADHN CCc1ccc(CN[C@@H](Cc2ccccn2)c2ccccc2)cn1 ZINC000352734958 336232998 /nfs/dbraw/zinc/23/29/98/336232998.db2.gz DJUORKUAGQLVIF-NRFANRHFSA-N 0 3 317.436 4.113 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@H](OC)c2ccc(Cl)cc2)cn1 ZINC000352750083 336234755 /nfs/dbraw/zinc/23/47/55/336234755.db2.gz PFHGLVPNUSDLOU-UGSOOPFHSA-N 0 3 318.848 4.163 20 0 DIADHN CC[C@H](N[C@@H](C)CCCCO)c1ccccc1Br ZINC000352784449 336236466 /nfs/dbraw/zinc/23/64/66/336236466.db2.gz UNOJNNCTNZHUJL-WFASDCNBSA-N 0 3 314.267 4.041 20 0 DIADHN Cc1ccc([C@@H](CNCc2ccc3occc3c2)N2CCCC2)o1 ZINC000352783306 336236189 /nfs/dbraw/zinc/23/61/89/336236189.db2.gz XQCUYUDXWCVPOK-GOSISDBHSA-N 0 3 324.424 4.261 20 0 DIADHN CC[C@H](NC/C=C/c1ccc(F)c(F)c1)c1c(C)noc1C ZINC000352791538 336237034 /nfs/dbraw/zinc/23/70/34/336237034.db2.gz PIJFGLBCPHMFLV-JFDDCEBESA-N 0 3 306.356 4.324 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccc(SC)cc1 ZINC000103172144 336238322 /nfs/dbraw/zinc/23/83/22/336238322.db2.gz QZWHAHOXQMEESJ-UHFFFAOYSA-N 0 3 301.455 4.442 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1ccc2c(c1)OCO2 ZINC000042330030 336239067 /nfs/dbraw/zinc/23/90/67/336239067.db2.gz HTKZOLZOOUJSBK-APPDUMDISA-N 0 3 301.411 4.279 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)cc2)c(C)c1 ZINC000042238789 336239430 /nfs/dbraw/zinc/23/94/30/336239430.db2.gz XDQVEPWYMLZAPZ-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1ccccc1Br ZINC000042371728 336239718 /nfs/dbraw/zinc/23/97/18/336239718.db2.gz UFQVBEXWVPMREB-LLVKDONJSA-N 0 3 310.260 4.231 20 0 DIADHN CCc1ccc(CNCc2cccc(Br)c2)s1 ZINC000042419004 336240148 /nfs/dbraw/zinc/24/01/48/336240148.db2.gz FTNAWEHXLHOZDE-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cscc2C(F)(F)F)C12CCC2 ZINC000352811952 336245513 /nfs/dbraw/zinc/24/55/13/336245513.db2.gz VAGZMFKSLYHLPS-CHWSQXEVSA-N 0 3 319.392 4.204 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000532441277 336247304 /nfs/dbraw/zinc/24/73/04/336247304.db2.gz AKKMUNCGEBTGMN-PMUMKWKESA-N 0 3 303.833 4.442 20 0 DIADHN CCC(CC)N[C@H](C)c1nnn(-c2cccc(Cl)c2)c1C ZINC000532442651 336247599 /nfs/dbraw/zinc/24/75/99/336247599.db2.gz BNDCMCJVLMAIPC-LLVKDONJSA-N 0 3 306.841 4.068 20 0 DIADHN C[C@H]1C[C@@H](N[C@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000328476743 336247674 /nfs/dbraw/zinc/24/76/74/336247674.db2.gz OTHXUHICKGLIPQ-NJZAAPMLSA-N 0 3 317.479 4.267 20 0 DIADHN COc1ccccc1OCCN[C@H]1CCc2c1cccc2Cl ZINC000532460362 336248569 /nfs/dbraw/zinc/24/85/69/336248569.db2.gz JDUAUQRGFZBYIL-INIZCTEOSA-N 0 3 317.816 4.005 20 0 DIADHN CC[C@H](NCc1c(Cl)cccc1Cl)C1CCOCC1 ZINC000532463377 336249050 /nfs/dbraw/zinc/24/90/50/336249050.db2.gz GNRSARAQUHXZQV-HNNXBMFYSA-N 0 3 302.245 4.288 20 0 DIADHN CC[C@H](N[C@H](c1nccs1)c1ccccc1)C1CCOCC1 ZINC000532463888 336249111 /nfs/dbraw/zinc/24/91/11/336249111.db2.gz ZBCPICSPRSVQOI-IRXDYDNUSA-N 0 3 316.470 4.027 20 0 DIADHN Oc1ccc(CCN[C@@H](c2ccccc2)c2ccc(F)cc2)cc1 ZINC000324705469 336249746 /nfs/dbraw/zinc/24/97/46/336249746.db2.gz ZPTMRKBUVBCRGF-NRFANRHFSA-N 0 3 321.395 4.453 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H](C)C(C)(C)C)co2)cc1 ZINC000532490719 336250247 /nfs/dbraw/zinc/25/02/47/336250247.db2.gz QWGLPYKZGONBGR-CYBMUJFWSA-N 0 3 302.418 4.217 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCc3ccccc32)[C@@H]2CCCO2)cc1 ZINC000532492572 336250671 /nfs/dbraw/zinc/25/06/71/336250671.db2.gz NFNXFBUQOUNWMD-AABGKKOBSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@@H](Cn1cncn1)N[C@@H](C)c1ccc(C2CCCCC2)cc1 ZINC000532492697 336250728 /nfs/dbraw/zinc/25/07/28/336250728.db2.gz PPNIEFSBCQNEFZ-HOTGVXAUSA-N 0 3 312.461 4.065 20 0 DIADHN Cc1ncc(CN[C@H]2CCCC[C@@H]2Oc2cccc(C)c2)s1 ZINC000532496116 336250797 /nfs/dbraw/zinc/25/07/97/336250797.db2.gz KXCOKUNPRJQTTE-ROUUACIJSA-N 0 3 316.470 4.240 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2ccc(-n3ccnc3)cc2)cn1 ZINC000532511432 336252921 /nfs/dbraw/zinc/25/29/21/336252921.db2.gz CADIHJXHQILKAL-HZPDHXFCSA-N 0 3 323.444 4.062 20 0 DIADHN C[C@H](NCCCOc1ccc2ccccc2c1)c1cncc(F)c1 ZINC000532540707 336254554 /nfs/dbraw/zinc/25/45/54/336254554.db2.gz TURBUCSJWPJFOP-HNNXBMFYSA-N 0 3 324.399 4.494 20 0 DIADHN O=C(CN(CC1CC1)C1CCCCC1)Nc1ccccc1Cl ZINC000532546603 336254809 /nfs/dbraw/zinc/25/48/09/336254809.db2.gz DRNNITUCXWLKSO-UHFFFAOYSA-N 0 3 320.864 4.323 20 0 DIADHN CC[C@@H]1CCCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000532557305 336255412 /nfs/dbraw/zinc/25/54/12/336255412.db2.gz FYHABFGNYXQDJM-QGZVFWFLSA-N 0 3 308.425 4.167 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000532551132 336256303 /nfs/dbraw/zinc/25/63/03/336256303.db2.gz XFOUMAZRCWPECN-YJBOKZPZSA-N 0 3 301.405 4.135 20 0 DIADHN C[C@@H]1CCCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@H]1C ZINC000532584699 336256485 /nfs/dbraw/zinc/25/64/85/336256485.db2.gz QIJDTJNXTJLHCU-SJORKVTESA-N 0 3 322.452 4.413 20 0 DIADHN Cc1ccc(C)c([C@@H](NCCC2(CO)CC2)c2ccccc2)c1 ZINC000395971698 336257369 /nfs/dbraw/zinc/25/73/69/336257369.db2.gz YQBLUJWKUOYVCM-FQEVSTJZSA-N 0 3 309.453 4.145 20 0 DIADHN Fc1cc(CN[C@H]2CCCC(F)(F)C2)ccc1Br ZINC000532627671 336258092 /nfs/dbraw/zinc/25/80/92/336258092.db2.gz JVGCACCNZZOGSV-JTQLQIEISA-N 0 3 322.168 4.256 20 0 DIADHN Fc1cc(Br)ccc1CN[C@H]1CCCC(F)(F)C1 ZINC000532627167 336258107 /nfs/dbraw/zinc/25/81/07/336258107.db2.gz AFTAUKMUPYLRDW-NSHDSACASA-N 0 3 322.168 4.256 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](NCc1cncs1)C(C)(C)C ZINC000532627437 336258153 /nfs/dbraw/zinc/25/81/53/336258153.db2.gz RPWPEVPZMRGWBJ-MRXNPFEDSA-N 0 3 318.486 4.343 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(C(C)(C)C)cc1 ZINC000192706295 336259015 /nfs/dbraw/zinc/25/90/15/336259015.db2.gz KZJPWCWKSNPRSG-KDOFPFPSSA-N 0 3 311.473 4.446 20 0 DIADHN CO[C@@H](C)CNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000443005297 336259876 /nfs/dbraw/zinc/25/98/76/336259876.db2.gz ZLQKZEWYKKKGKG-JTQLQIEISA-N 0 3 314.212 4.378 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000192796803 336262237 /nfs/dbraw/zinc/26/22/37/336262237.db2.gz WGTFKGMXCLCAAD-VBKZILBWSA-N 0 3 309.409 4.260 20 0 DIADHN Brc1ccc([C@@H]2CCCN2C[C@@H]2CCCOC2)cc1 ZINC000532640142 336264075 /nfs/dbraw/zinc/26/40/75/336264075.db2.gz WJPRQIGUTAVZSO-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN Clc1cccc(OCCCN2CCC[C@H]2c2ccc[nH]2)c1 ZINC000532655185 336265965 /nfs/dbraw/zinc/26/59/65/336265965.db2.gz IVDVBKWBWYTOHY-KRWDZBQOSA-N 0 3 304.821 4.274 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2ccccc2)C2CCC2)c1C ZINC000532686396 336267565 /nfs/dbraw/zinc/26/75/65/336267565.db2.gz OSWINUUGLWQSPT-OAQYLSRUSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1cc(CNC2(c3ccc(F)cc3)CC2)c2ccccc2n1 ZINC000532721770 336268449 /nfs/dbraw/zinc/26/84/49/336268449.db2.gz LOMWURVJIKSQOR-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN CC(C)N(CC(=O)N[C@H](C)c1cccc2ccccc21)C1CCC1 ZINC000532734947 336268726 /nfs/dbraw/zinc/26/87/26/336268726.db2.gz KCXJBFAKTGKKKD-MRXNPFEDSA-N 0 3 324.468 4.280 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(Cl)c1)c1ccc(NC(N)=O)cc1 ZINC000192848576 336270049 /nfs/dbraw/zinc/27/00/49/336270049.db2.gz YBHVHNUWKRNBTM-VXGBXAGGSA-N 0 3 317.820 4.242 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccccc2o1)c1ccc(NC(N)=O)cc1 ZINC000192848787 336270228 /nfs/dbraw/zinc/27/02/28/336270228.db2.gz GXSKBUIOCJTIKH-OLZOCXBDSA-N 0 3 323.396 4.335 20 0 DIADHN CS[C@H](C)CNCC1=Cc2cc(Cl)cc(Cl)c2OC1 ZINC000532783345 336271075 /nfs/dbraw/zinc/27/10/75/336271075.db2.gz FEJYBRWAKDRHHD-SECBINFHSA-N 0 3 318.269 4.110 20 0 DIADHN c1sc(CCc2ccccc2)nc1CNC[C@H]1CC=CCC1 ZINC000532785563 336271153 /nfs/dbraw/zinc/27/11/53/336271153.db2.gz NAVRSXSXHHWBDM-KRWDZBQOSA-N 0 3 312.482 4.374 20 0 DIADHN c1sc(CCc2ccccc2)nc1CNC[C@@H]1CC=CCC1 ZINC000532785564 336271160 /nfs/dbraw/zinc/27/11/60/336271160.db2.gz NAVRSXSXHHWBDM-QGZVFWFLSA-N 0 3 312.482 4.374 20 0 DIADHN c1c2ccccc2oc1CNC[C@@H](c1ccccc1)N1CCCC1 ZINC000104907930 336271681 /nfs/dbraw/zinc/27/16/81/336271681.db2.gz LGBXESBAKLGJQZ-FQEVSTJZSA-N 0 3 320.436 4.360 20 0 DIADHN CCN(Cc1ccccn1)[C@H](C)c1ccc(Br)cc1 ZINC000104949068 336271921 /nfs/dbraw/zinc/27/19/21/336271921.db2.gz XDWCMIKENZKGIX-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN CCc1cnc(CCNCc2cscc2C(F)(F)F)s1 ZINC000352836542 336272522 /nfs/dbraw/zinc/27/25/22/336272522.db2.gz MPBKLZQIHWYTSF-UHFFFAOYSA-N 0 3 320.405 4.118 20 0 DIADHN CC(C)(NCc1ccc(OC(F)F)c(F)c1)c1ccccc1 ZINC000532850507 336273046 /nfs/dbraw/zinc/27/30/46/336273046.db2.gz UATGEAKCCSHNID-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NC1CC(OC(C)(C)C)C1 ZINC000352844624 336276539 /nfs/dbraw/zinc/27/65/39/336276539.db2.gz CLFDLFVERSIMMX-DQPZFDDXSA-N 0 3 303.446 4.008 20 0 DIADHN Cc1ccc(C[C@@H](CO)N[C@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000526966335 336277211 /nfs/dbraw/zinc/27/72/11/336277211.db2.gz CDVPPBNJKMCIKI-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccc(C[C@H](CO)N[C@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000526966337 336277216 /nfs/dbraw/zinc/27/72/16/336277216.db2.gz CDVPPBNJKMCIKI-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN COc1ccccc1CCCNC1(c2nccs2)CCCC1 ZINC000182633595 336277645 /nfs/dbraw/zinc/27/76/45/336277645.db2.gz CTJCPNFHZIBFCN-UHFFFAOYSA-N 0 3 316.470 4.143 20 0 DIADHN COCCCC[C@@H](NCc1ccc2[nH]cnc2c1)c1ccccc1 ZINC000526992339 336278202 /nfs/dbraw/zinc/27/82/02/336278202.db2.gz ODBJDVDWDBTPFI-GOSISDBHSA-N 0 3 323.440 4.211 20 0 DIADHN COCCCC[C@@H](NCc1ccc2nc[nH]c2c1)c1ccccc1 ZINC000526992339 336278203 /nfs/dbraw/zinc/27/82/03/336278203.db2.gz ODBJDVDWDBTPFI-GOSISDBHSA-N 0 3 323.440 4.211 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC(n2ccnc2)CC1 ZINC000352851530 336279695 /nfs/dbraw/zinc/27/96/95/336279695.db2.gz CFADJNHELJPPGD-UHFFFAOYSA-N 0 3 315.804 4.120 20 0 DIADHN OCCCCCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000192932159 336285067 /nfs/dbraw/zinc/28/50/67/336285067.db2.gz RSAIHDKQJISOSO-JTQLQIEISA-N 0 3 308.274 4.185 20 0 DIADHN OCCCCCN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000192924495 336284493 /nfs/dbraw/zinc/28/44/93/336284493.db2.gz WJIICMMWYMGZSK-GOSISDBHSA-N 0 3 321.823 4.321 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3ccccc32)c(OC)c1 ZINC000192932393 336285025 /nfs/dbraw/zinc/28/50/25/336285025.db2.gz QDJQOQWFUMFLAG-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000192932291 336285103 /nfs/dbraw/zinc/28/51/03/336285103.db2.gz DINDIUWWAUWECC-KSSFIOAISA-N 0 3 309.409 4.186 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](C)c2cccc(F)c2)c1 ZINC000192941630 336285389 /nfs/dbraw/zinc/28/53/89/336285389.db2.gz ONIHBZCQYFVBNR-CHWSQXEVSA-N 0 3 300.377 4.196 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccccc1)c1ccccc1OC ZINC000192940952 336285407 /nfs/dbraw/zinc/28/54/07/336285407.db2.gz XHACAMPGBNLKGE-OALUTQOASA-N 0 3 312.457 4.039 20 0 DIADHN CCN(C)Cc1cccc(CNc2cccc(F)c2C(C)=O)c1 ZINC000301227386 336295646 /nfs/dbraw/zinc/29/56/46/336295646.db2.gz HHFPJJJIGNQLKX-UHFFFAOYSA-N 0 3 314.404 4.092 20 0 DIADHN CN(C)[C@H](CNc1ccnc2cc(F)ccc21)c1cccs1 ZINC000301286529 336298887 /nfs/dbraw/zinc/29/88/87/336298887.db2.gz RQEVJSSTDNSTRX-MRXNPFEDSA-N 0 3 315.417 4.150 20 0 DIADHN Clc1ccc(NC[C@@H]2CCCN(Cc3cccs3)C2)nc1 ZINC000301456241 336307878 /nfs/dbraw/zinc/30/78/78/336307878.db2.gz SCNPSKZYHNDGID-ZDUSSCGKSA-N 0 3 321.877 4.121 20 0 DIADHN CN1CCC[C@@H](CNc2ccncc2Cl)[C@H]1c1cccs1 ZINC000301554277 336314502 /nfs/dbraw/zinc/31/45/02/336314502.db2.gz MYJIZTRPDBDOFM-LRDDRELGSA-N 0 3 321.877 4.292 20 0 DIADHN Clc1cc(NCC2CCN(Cc3cccs3)CC2)ccn1 ZINC000301617130 336318505 /nfs/dbraw/zinc/31/85/05/336318505.db2.gz KGMXAPVTTCOJJG-UHFFFAOYSA-N 0 3 321.877 4.121 20 0 DIADHN CCN(CC)[C@H](CNc1ncnc2c(C)cccc12)c1ccco1 ZINC000301715138 336325191 /nfs/dbraw/zinc/32/51/91/336325191.db2.gz WXURXQAADSWEFR-MRXNPFEDSA-N 0 3 324.428 4.026 20 0 DIADHN CCCc1cc(N2CCC[C@@H](n3cccn3)C2)c2ccccc2n1 ZINC000301775063 336329008 /nfs/dbraw/zinc/32/90/08/336329008.db2.gz GCQNRXWIBJSJSX-QGZVFWFLSA-N 0 3 320.440 4.225 20 0 DIADHN CN1CC[C@@H](Nc2nc3ccccc3s2)[C@@H]1c1ccccc1 ZINC000301850315 336333969 /nfs/dbraw/zinc/33/39/69/336333969.db2.gz WQFBZJWBSWNPDT-WBVHZDCISA-N 0 3 309.438 4.154 20 0 DIADHN CC(=O)c1ccc(CNc2cc(C)nc3ccccc32)nc1C ZINC000302019612 336343404 /nfs/dbraw/zinc/34/34/04/336343404.db2.gz DNYJPTBRYFWYGJ-UHFFFAOYSA-N 0 3 305.381 4.061 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@H]2OCCC[C@@H]2C1 ZINC000302060327 336346235 /nfs/dbraw/zinc/34/62/35/336346235.db2.gz RSSCCJCQQSFZKB-DNVCBOLYSA-N 0 3 310.441 4.111 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000302060326 336346278 /nfs/dbraw/zinc/34/62/78/336346278.db2.gz RSSCCJCQQSFZKB-BEFAXECRSA-N 0 3 310.441 4.111 20 0 DIADHN CN1CCC[C@@H](CNc2nc(-c3ccccc3Cl)cs2)C1 ZINC000302078804 336347473 /nfs/dbraw/zinc/34/74/73/336347473.db2.gz KNCYXTGWWWISIP-LBPRGKRZSA-N 0 3 321.877 4.217 20 0 DIADHN Cc1nc2ccccc2c(N2CCO[C@H](c3ccco3)C2)c1C ZINC000302091252 336348056 /nfs/dbraw/zinc/34/80/56/336348056.db2.gz PRUSIXMABODBMD-SFHVURJKSA-N 0 3 308.381 4.023 20 0 DIADHN Cc1ccc2nccc(NC3CCN(c4ccccn4)CC3)c2c1 ZINC000302137790 336350215 /nfs/dbraw/zinc/35/02/15/336350215.db2.gz BZYUQHZVTNFEIZ-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@H](c4ccc(F)cc4)C3)c2c1 ZINC000302160320 336351564 /nfs/dbraw/zinc/35/15/64/336351564.db2.gz OWJMBQVMAYRWBD-FQEVSTJZSA-N 0 3 322.383 4.260 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H](c4nccs4)C3)c2c1 ZINC000302165766 336351891 /nfs/dbraw/zinc/35/18/91/336351891.db2.gz RBHOOWXPJABYAB-CQSZACIVSA-N 0 3 309.438 4.384 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@@H](c4cccs4)C3)c2c1 ZINC000302208416 336353957 /nfs/dbraw/zinc/35/39/57/336353957.db2.gz FLZWYRRYVCOZMU-QGZVFWFLSA-N 0 3 310.422 4.183 20 0 DIADHN Cc1ccc2nccc(NC[C@@](C)(O)c3ccc(F)cc3)c2c1 ZINC000302213996 336354207 /nfs/dbraw/zinc/35/42/07/336354207.db2.gz ICCUJOGYQCDRQQ-LJQANCHMSA-N 0 3 310.372 4.002 20 0 DIADHN Cc1cc(N2CC[C@@]3(C2)OCc2ccccc23)c2ccccc2n1 ZINC000302253790 336356283 /nfs/dbraw/zinc/35/62/83/336356283.db2.gz NTINCWJRYIPAPQ-NRFANRHFSA-N 0 3 316.404 4.179 20 0 DIADHN Fc1cccc(F)c1CCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000514105533 336357653 /nfs/dbraw/zinc/35/76/53/336357653.db2.gz RRYMWWOMSKERLP-KRWDZBQOSA-N 0 3 319.399 4.142 20 0 DIADHN Cc1cc(NCCc2nn(C)c3ccccc23)c2ccccc2n1 ZINC000302454205 336359052 /nfs/dbraw/zinc/35/90/52/336359052.db2.gz UCPRAHJYMRZLOM-UHFFFAOYSA-N 0 3 316.408 4.085 20 0 DIADHN FC1(F)CCC[C@@H](CNC2(c3nccs3)CCCC2)C1 ZINC000514115209 336367070 /nfs/dbraw/zinc/36/70/70/336367070.db2.gz QBSSRVYUXISBBR-GFCCVEGCSA-N 0 3 300.418 4.328 20 0 DIADHN OCCCCNc1ccnc2ccc(Oc3ccccc3)cc21 ZINC000302843592 336371846 /nfs/dbraw/zinc/37/18/46/336371846.db2.gz FDQGUONMXRDLOO-UHFFFAOYSA-N 0 3 308.381 4.212 20 0 DIADHN COc1ccc([C@@H](C)[NH2+]Cc2ccc([O-])c3nc(C)ccc23)cc1 ZINC000514123729 336374485 /nfs/dbraw/zinc/37/44/85/336374485.db2.gz WLIMTAKWOHERIM-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(O)c3nc(C)ccc23)cc1 ZINC000514123729 336374486 /nfs/dbraw/zinc/37/44/86/336374486.db2.gz WLIMTAKWOHERIM-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN COc1cccc([C@@H](C)NC[C@H](OC)c2ccc(Cl)cc2)c1 ZINC000514126935 336374498 /nfs/dbraw/zinc/37/44/98/336374498.db2.gz NQMUQUBWDMJJFO-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000514134587 336374513 /nfs/dbraw/zinc/37/45/13/336374513.db2.gz OCCXZMFLOLXWPS-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCCO[C@@H]1CCCCO1 ZINC000514134127 336374545 /nfs/dbraw/zinc/37/45/45/336374545.db2.gz IICBDGHTFXCXHC-SPYBWZPUSA-N 0 3 321.436 4.067 20 0 DIADHN CO[C@H](CNC1(c2ccccc2)CC1)c1ccc(Cl)cc1 ZINC000514138627 336374762 /nfs/dbraw/zinc/37/47/62/336374762.db2.gz QWDDCOZOZOAAKS-QGZVFWFLSA-N 0 3 301.817 4.306 20 0 DIADHN COc1cc([C@@H](C)NCCSC(C)C)ccc1OC(F)F ZINC000514139225 336374839 /nfs/dbraw/zinc/37/48/39/336374839.db2.gz XTIDUIHMNWUHCC-LLVKDONJSA-N 0 3 319.417 4.089 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc(N(C)C)c2)cc1 ZINC000514142699 336375165 /nfs/dbraw/zinc/37/51/65/336375165.db2.gz VOQPILJATYGUFT-AWEZNQCLSA-N 0 3 300.471 4.325 20 0 DIADHN Cc1ccc(C)c(OCCN2C[C@@H](C)C[C@H]2c2cccnc2)c1 ZINC000563907164 336376073 /nfs/dbraw/zinc/37/60/73/336376073.db2.gz YAOSJONRGDUNDA-LPHOPBHVSA-N 0 3 310.441 4.160 20 0 DIADHN Cc1ccc(C)c(OCCN2C[C@H](C)C[C@@H]2c2cccnc2)c1 ZINC000563907166 336376089 /nfs/dbraw/zinc/37/60/89/336376089.db2.gz YAOSJONRGDUNDA-VQIMIIECSA-N 0 3 310.441 4.160 20 0 DIADHN Cc1cccc(CCCNCc2csc(Cl)c2Cl)n1 ZINC000352917395 336376955 /nfs/dbraw/zinc/37/69/55/336376955.db2.gz XDYCBFNLGIHGDV-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN COCc1cccc(CN2CCC(n3c(C)ccc3C)CC2)c1 ZINC000352924481 336377470 /nfs/dbraw/zinc/37/74/70/336377470.db2.gz ZYHQZGJOHDXUOY-UHFFFAOYSA-N 0 3 312.457 4.088 20 0 DIADHN Cc1c(CN2CCC(c3cccc4ccccc43)CC2)cnn1C ZINC000352945524 336378743 /nfs/dbraw/zinc/37/87/43/336378743.db2.gz JRAMJNGUXURLGL-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN COc1ccsc1CN1CCC(n2c(C)ccc2C)CC1 ZINC000352953594 336379002 /nfs/dbraw/zinc/37/90/02/336379002.db2.gz QYMIWMDVWULELM-UHFFFAOYSA-N 0 3 304.459 4.012 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@H]2CCCc3sccc32)cn1 ZINC000352969265 336380083 /nfs/dbraw/zinc/38/00/83/336380083.db2.gz QXSPZKCERNBNMJ-HNNXBMFYSA-N 0 3 316.470 4.488 20 0 DIADHN CC1(C)C[C@@H](N[C@@H]2CC3(CCC3)Oc3ccccc32)C(C)(C)O1 ZINC000352970132 336380156 /nfs/dbraw/zinc/38/01/56/336380156.db2.gz ULBVOKFHGKUYPI-NVXWUHKLSA-N 0 3 315.457 4.369 20 0 DIADHN C[C@H](NCCc1ccccn1)c1cc(F)c(Cl)cc1Cl ZINC000034981134 336384947 /nfs/dbraw/zinc/38/49/47/336384947.db2.gz MLZPMCOHVYFQKB-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN CC[C@@H]1CCCN(C(C)(C)CNC(=O)c2occc2C(C)C)C1 ZINC000514609516 336386240 /nfs/dbraw/zinc/38/62/40/336386240.db2.gz KEEBANOJDJJWAM-OAHLLOKOSA-N 0 3 320.477 4.033 20 0 DIADHN FC(F)(F)C1(CNCc2cnc(C3CCCC3)s2)CC1 ZINC000353037894 336386700 /nfs/dbraw/zinc/38/67/00/336386700.db2.gz XRFKHAUJQPVXLK-UHFFFAOYSA-N 0 3 304.381 4.233 20 0 DIADHN c1ccc2c(c1)CCC[C@@H]2NCc1ccc(N2CCCCC2)nc1 ZINC000353052011 336391694 /nfs/dbraw/zinc/39/16/94/336391694.db2.gz YQPGGEVJPBFDSK-FQEVSTJZSA-N 0 3 321.468 4.239 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cc4[nH]ccc4s3)n2)cc1 ZINC000564000830 336395288 /nfs/dbraw/zinc/39/52/88/336395288.db2.gz QJNDOTRWBZAEDN-UHFFFAOYSA-N 0 3 324.409 4.008 20 0 DIADHN CC1(C)C[C@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CO1 ZINC000418049461 336398059 /nfs/dbraw/zinc/39/80/59/336398059.db2.gz XQXPZFNFGMBXBK-ZDUSSCGKSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@H](CN1CCC(OC2CCC2)CC1)c1cc(F)cc(F)c1 ZINC000418094097 336399278 /nfs/dbraw/zinc/39/92/78/336399278.db2.gz ROFFOUKFNCHRPS-CYBMUJFWSA-N 0 3 309.400 4.102 20 0 DIADHN Fc1ccc(Cl)cc1CNCC1(c2ccccn2)CCC1 ZINC000353088428 336400189 /nfs/dbraw/zinc/40/01/89/336400189.db2.gz LKFKDHWARXJAIX-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3n[nH]cc3c2)cc2cccnc12 ZINC000353105221 336402598 /nfs/dbraw/zinc/40/25/98/336402598.db2.gz ZEBWIPGKCBZPAB-CQSZACIVSA-N 0 3 316.408 4.270 20 0 DIADHN C[S@@](=O)Cc1ccc(CNCc2ccc3ccccc3c2)cc1 ZINC000353122721 336403544 /nfs/dbraw/zinc/40/35/44/336403544.db2.gz WJKALVGOBPCTBB-HSZRJFAPSA-N 0 3 323.461 4.008 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cc(C)c3ncccc3c2)cn1 ZINC000353159217 336405102 /nfs/dbraw/zinc/40/51/02/336405102.db2.gz XOEBGWIWGSOULM-UHFFFAOYSA-N 0 3 305.425 4.272 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)C2CCC(F)(F)CC2)cs1 ZINC000353314575 336411434 /nfs/dbraw/zinc/41/14/34/336411434.db2.gz NAQUULLNFDYZRW-GHMZBOCLSA-N 0 3 318.433 4.154 20 0 DIADHN CC[C@H](NCc1ccccc1N1CCOCC1)c1cccc(C)c1 ZINC000353395937 336415260 /nfs/dbraw/zinc/41/52/60/336415260.db2.gz RPUZBZCHZBHHKO-FQEVSTJZSA-N 0 3 324.468 4.073 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CCC2(CCCC2)CC1 ZINC000353425518 336417119 /nfs/dbraw/zinc/41/71/19/336417119.db2.gz XYWPTKPVWIRYJV-UHFFFAOYSA-N 0 3 313.470 4.361 20 0 DIADHN Cc1ccc(OC2CCN(CCCc3ccc(C)nc3)CC2)cc1 ZINC000353447131 336418292 /nfs/dbraw/zinc/41/82/92/336418292.db2.gz HOXWDTNSRCNOSD-UHFFFAOYSA-N 0 3 324.468 4.174 20 0 DIADHN CCC[C@H](NC[C@]1(CC)OCCc2ccccc21)c1cccnc1 ZINC000353574803 336426196 /nfs/dbraw/zinc/42/61/96/336426196.db2.gz KCKNVRKAPYCLHK-SFTDATJTSA-N 0 3 324.468 4.391 20 0 DIADHN Cc1cc([C@@H](C)NC[C@]2(C)OCCc3sccc32)c(C)o1 ZINC000353632370 336430211 /nfs/dbraw/zinc/43/02/11/336430211.db2.gz SKKXNNNNEGLKCW-PXAZEXFGSA-N 0 3 305.443 4.097 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(C[S@](C)=O)cc2)c1C ZINC000353787603 336440448 /nfs/dbraw/zinc/44/04/48/336440448.db2.gz ADPOWIVXZIPMGW-ZHRRBRCNSA-N 0 3 315.482 4.033 20 0 DIADHN CCC[C@H](NCc1ccc(C[S@@](C)=O)cc1)c1ccccc1 ZINC000353811674 336441747 /nfs/dbraw/zinc/44/17/47/336441747.db2.gz FJTKIMPUEZGDGS-SIKLNZKXSA-N 0 3 315.482 4.196 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(C[S@@](C)=O)cc2)c1 ZINC000353815224 336441985 /nfs/dbraw/zinc/44/19/85/336441985.db2.gz JZRDKCCHDIPNBI-OPAMFIHVSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C[S@@](C)=O)cc2)c(C)c1 ZINC000353814358 336442006 /nfs/dbraw/zinc/44/20/06/336442006.db2.gz ZSUZPCHNLMPPHM-OPAMFIHVSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C[S@](C)=O)cc2)c(C)c1 ZINC000353814356 336442008 /nfs/dbraw/zinc/44/20/08/336442008.db2.gz ZSUZPCHNLMPPHM-AOMKIAJQSA-N 0 3 315.482 4.033 20 0 DIADHN CCc1nc(CCN[C@@H](c2ccc(F)cn2)C(C)C)sc1C ZINC000353839056 336443363 /nfs/dbraw/zinc/44/33/63/336443363.db2.gz BZCCZGAFCIZYBJ-QGZVFWFLSA-N 0 3 321.465 4.077 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000353971429 336451835 /nfs/dbraw/zinc/45/18/35/336451835.db2.gz JUVPPLFZDPVBBI-XCLFUZPHSA-N 0 3 321.424 4.300 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)cnn1C(C)C ZINC000353983489 336452444 /nfs/dbraw/zinc/45/24/44/336452444.db2.gz YFDKLKDNMLPDHW-CHWSQXEVSA-N 0 3 311.433 4.061 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N2[C@H](C)CCC[C@H]2C)c(C)s1 ZINC000354220016 336463881 /nfs/dbraw/zinc/46/38/81/336463881.db2.gz QSMPVFZHRCXNSQ-RJZRQDKASA-N 0 3 322.518 4.194 20 0 DIADHN CCOC(=O)C1(C)CCC(N[C@@H](CC)c2nc(C)cs2)CC1 ZINC000354348645 336467671 /nfs/dbraw/zinc/46/76/71/336467671.db2.gz OPIKUERGBDHZHQ-UUCFBXCCSA-N 0 3 324.490 4.004 20 0 DIADHN Cc1cccc(NC[C@H](c2cccc(C(F)(F)F)c2)N(C)C)n1 ZINC000354797472 336482851 /nfs/dbraw/zinc/48/28/51/336482851.db2.gz WNYHTAAHFXYQLI-OAHLLOKOSA-N 0 3 323.362 4.124 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1sc(CCOC)nc1C ZINC000354987203 336489982 /nfs/dbraw/zinc/48/99/82/336489982.db2.gz DBNPPZTZALDLJQ-MWLCHTKSSA-N 0 3 324.412 4.022 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2csc(C)n2)CCC1 ZINC000355063882 336493636 /nfs/dbraw/zinc/49/36/36/336493636.db2.gz LAPHJDCKMYEEOT-CYBMUJFWSA-N 0 3 316.470 4.233 20 0 DIADHN CCc1nc([C@H](C)NCC[C@@H](C)OCc2ccccc2)cs1 ZINC000355260279 336501231 /nfs/dbraw/zinc/50/12/31/336501231.db2.gz OBZDHESSURANIB-CABCVRRESA-N 0 3 318.486 4.352 20 0 DIADHN O[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1)CC1CCCC1 ZINC000355261195 336501233 /nfs/dbraw/zinc/50/12/33/336501233.db2.gz OVYHSDWUSBFAPX-HOTGVXAUSA-N 0 3 315.379 4.211 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@H](C)O1)c1ccccc1Br ZINC000355278759 336502162 /nfs/dbraw/zinc/50/21/62/336502162.db2.gz RILUDACELWYOQB-HUBLWGQQSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1csc([C@H](C)NCC[C@H](C)OCc2ccccc2)n1 ZINC000355285068 336502523 /nfs/dbraw/zinc/50/25/23/336502523.db2.gz SKCHTABDGYHAQM-GJZGRUSLSA-N 0 3 304.459 4.098 20 0 DIADHN Fc1ccc2c(c1)onc2C1CCN(Cc2ccsc2)CC1 ZINC000356369898 336547590 /nfs/dbraw/zinc/54/75/90/336547590.db2.gz QPDHIVLVDHQYFN-UHFFFAOYSA-N 0 3 316.401 4.408 20 0 DIADHN Clc1cnn(C2CCN(Cc3cc4ccccc4o3)CC2)c1 ZINC000356431043 336549472 /nfs/dbraw/zinc/54/94/72/336549472.db2.gz GBHXLLGSIURLRF-UHFFFAOYSA-N 0 3 315.804 4.120 20 0 DIADHN Cc1nc(N[C@@H](CN2CCCC2)c2ccccc2)sc1C ZINC000357666685 336593846 /nfs/dbraw/zinc/59/38/46/336593846.db2.gz ZZABOVFXTHVNFP-INIZCTEOSA-N 0 3 301.459 4.009 20 0 DIADHN C[C@@H](NCc1cnc2ccccc2c1)c1ccc2c(c1)COC2 ZINC000358051985 336608785 /nfs/dbraw/zinc/60/87/85/336608785.db2.gz WUKOXAISKGMQPZ-CQSZACIVSA-N 0 3 304.393 4.116 20 0 DIADHN CC[C@@H](N[C@@H](CCC(=O)OC)c1ccccc1)c1ccccc1 ZINC000358092330 336611216 /nfs/dbraw/zinc/61/12/16/336611216.db2.gz YLRGNDRKMMKLHL-MOPGFXCFSA-N 0 3 311.425 4.422 20 0 DIADHN CC[C@@H](NCC(C)(C)C[C@H](C)O)c1cc(Cl)ccc1OC ZINC000358149434 336613254 /nfs/dbraw/zinc/61/32/54/336613254.db2.gz BJQNEYGLLDPISS-SWLSCSKDSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@H](NCC(C)(C)C[C@H](C)O)c1cc(Cl)ccc1OC ZINC000358149435 336613337 /nfs/dbraw/zinc/61/33/37/336613337.db2.gz BJQNEYGLLDPISS-WFASDCNBSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@H](O)CCCN[C@@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000358166076 336614405 /nfs/dbraw/zinc/61/44/05/336614405.db2.gz KMSBEUVRMXGSCY-GOEBONIOSA-N 0 3 311.853 4.003 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1c(C)nn(C)c1C ZINC000358201965 336616594 /nfs/dbraw/zinc/61/65/94/336616594.db2.gz LFKGUOQDYASHQN-IAGOWNOFSA-N 0 3 317.864 4.419 20 0 DIADHN C[C@H](N[C@@H]1CSc2ccccc21)c1ccc(-n2ccnc2)cc1 ZINC000358240714 336618903 /nfs/dbraw/zinc/61/89/03/336618903.db2.gz RQPBKCCPZXMYCK-KBXCAEBGSA-N 0 3 321.449 4.370 20 0 DIADHN C[C@H](NCc1ccc2c(c1)COC2)c1cnc2ccsc2c1 ZINC000358288868 336621732 /nfs/dbraw/zinc/62/17/32/336621732.db2.gz BZAPENKNBYFVAT-LBPRGKRZSA-N 0 3 310.422 4.177 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000358301410 336622580 /nfs/dbraw/zinc/62/25/80/336622580.db2.gz QUYPNDWQVAXWKQ-NFAWXSAZSA-N 0 3 302.443 4.028 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](c2cccnc2)C2CC2)s1 ZINC000358365204 336626509 /nfs/dbraw/zinc/62/65/09/336626509.db2.gz IMHBFBKUFJRVDQ-WBMJQRKESA-N 0 3 301.459 4.211 20 0 DIADHN Brc1ccc2c(c1)[C@H](NCc1ccsc1)CC2 ZINC000193161498 336635735 /nfs/dbraw/zinc/63/57/35/336635735.db2.gz UKTVTAWGQKBMOU-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCCOC(C)C)cc2)cs1 ZINC000358821993 336644099 /nfs/dbraw/zinc/64/40/99/336644099.db2.gz CAKFJSNSUILXAN-ZDUSSCGKSA-N 0 3 304.459 4.194 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@H]1CCCc3nn(C)cc31)CC2 ZINC000359002769 336652582 /nfs/dbraw/zinc/65/25/82/336652582.db2.gz MUEBJTDLJBXFQN-RBUKOAKNSA-N 0 3 309.457 4.198 20 0 DIADHN COCCCC[C@H](N[C@H](C)c1cncc(F)c1)c1ccccc1 ZINC000359035382 336654409 /nfs/dbraw/zinc/65/44/09/336654409.db2.gz KHVCGPGSXXQQCZ-BEFAXECRSA-N 0 3 316.420 4.429 20 0 DIADHN CC(C)(C)CC[C@@H](CO)N[C@@H]1CCSc2ccc(F)cc21 ZINC000359075761 336656532 /nfs/dbraw/zinc/65/65/32/336656532.db2.gz BEOZCHNGCWBGTJ-DZGCQCFKSA-N 0 3 311.466 4.139 20 0 DIADHN CCOCCCN[C@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000359273843 336665268 /nfs/dbraw/zinc/66/52/68/336665268.db2.gz JMIYYGAXCZYARK-ZDUSSCGKSA-N 0 3 318.244 4.223 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCCc2ccc(OC)cc21 ZINC000359301559 336666576 /nfs/dbraw/zinc/66/65/76/336666576.db2.gz KFKUURCPCLIINH-VBKZILBWSA-N 0 3 310.441 4.381 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1C1CC1)c1nc(-c2ccncc2)cs1 ZINC000359302632 336666781 /nfs/dbraw/zinc/66/67/81/336666781.db2.gz LKDPQHCNOFSMEC-RCBQFDQVSA-N 0 3 313.470 4.434 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(OC)c(OC)c1 ZINC000359331121 336668066 /nfs/dbraw/zinc/66/80/66/336668066.db2.gz LWZZAEJCOHMTHS-KGLIPLIRSA-N 0 3 314.429 4.073 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1cccc(NC(C)=O)c1 ZINC000359330509 336668082 /nfs/dbraw/zinc/66/80/82/336668082.db2.gz KZAKJADBEQLVNT-KGLIPLIRSA-N 0 3 311.429 4.014 20 0 DIADHN CC[C@H](NC[C@H](c1ccco1)N(C)C)c1cccc(Cl)c1F ZINC000359437692 336673030 /nfs/dbraw/zinc/67/30/30/336673030.db2.gz YTFQOFUTPCTQJF-LSDHHAIUSA-N 0 3 324.827 4.416 20 0 DIADHN CC[C@@H](NCCc1ccncc1C)c1cccc(Cl)c1F ZINC000359437427 336673033 /nfs/dbraw/zinc/67/30/33/336673033.db2.gz YLBFLGBGLDONFS-MRXNPFEDSA-N 0 3 306.812 4.466 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NC[C@H](C)C(F)(F)F)cc1 ZINC000359541904 336676831 /nfs/dbraw/zinc/67/68/31/336676831.db2.gz SFNOEHKDINQLGV-NWDGAFQWSA-N 0 3 311.351 4.030 20 0 DIADHN CC[C@H](NC[C@@H](c1cccs1)N(C)C)c1cc(F)ccc1F ZINC000359592129 336679235 /nfs/dbraw/zinc/67/92/35/336679235.db2.gz NRLDLNBLPOKQPE-HOTGVXAUSA-N 0 3 324.440 4.370 20 0 DIADHN CC[C@@H](NC[C@H](c1cccs1)N(C)C)c1cc(F)ccc1F ZINC000359592130 336679295 /nfs/dbraw/zinc/67/92/95/336679295.db2.gz NRLDLNBLPOKQPE-HZPDHXFCSA-N 0 3 324.440 4.370 20 0 DIADHN C[C@H](NC1CCC2(CCOCC2)CC1)c1ccc(Cl)cn1 ZINC000359627113 336680798 /nfs/dbraw/zinc/68/07/98/336680798.db2.gz BKCRBYKULQZYEZ-ZDUSSCGKSA-N 0 3 308.853 4.125 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(NC(N)=O)cc1)c1ccccc1 ZINC000359716798 336684836 /nfs/dbraw/zinc/68/48/36/336684836.db2.gz QYQHULKIUKDWRK-GOSISDBHSA-N 0 3 311.429 4.054 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1ccc(C)nc1C ZINC000360131508 336702437 /nfs/dbraw/zinc/70/24/37/336702437.db2.gz MCSFSDZJNBJFHO-KDOFPFPSSA-N 0 3 318.848 4.218 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCOc2ccc(F)cc21 ZINC000360247557 336706180 /nfs/dbraw/zinc/70/61/80/336706180.db2.gz CGUBQJJFIAFKAF-YVEFUNNKSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360577501 336715004 /nfs/dbraw/zinc/71/50/04/336715004.db2.gz CLLKKLWDXWGIJF-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c1C ZINC000360589195 336715579 /nfs/dbraw/zinc/71/55/79/336715579.db2.gz PCDOPCRTLIIYAX-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1cc(F)cc2cccnc21 ZINC000360724893 336720380 /nfs/dbraw/zinc/72/03/80/336720380.db2.gz GIAYKPUJZPPPNA-ROUUACIJSA-N 0 3 316.420 4.057 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1cc(F)cc2cccnc21 ZINC000360724892 336720476 /nfs/dbraw/zinc/72/04/76/336720476.db2.gz GIAYKPUJZPPPNA-QZTJIDSGSA-N 0 3 316.420 4.057 20 0 DIADHN CN(CCCNCc1cc2cc(F)ccc2o1)c1ccccc1 ZINC000360832476 336723531 /nfs/dbraw/zinc/72/35/31/336723531.db2.gz KJHLXORFLDJMCG-UHFFFAOYSA-N 0 3 312.388 4.188 20 0 DIADHN CCO[C@@H](CN[C@@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000360886087 336725785 /nfs/dbraw/zinc/72/57/85/336725785.db2.gz UNNWMTYAAJRTMD-VQTJNVASSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1nccn1CCN[C@H](C)c1ccc(-c2cccs2)cc1 ZINC000360933469 336728192 /nfs/dbraw/zinc/72/81/92/336728192.db2.gz POMBZQYUZIUAKM-CQSZACIVSA-N 0 3 311.454 4.271 20 0 DIADHN Fc1ccc2oc(CNCCc3cc(F)cc(F)c3)cc2c1 ZINC000360947555 336728975 /nfs/dbraw/zinc/72/89/75/336728975.db2.gz XNDDZJYDPXNUSZ-UHFFFAOYSA-N 0 3 305.299 4.182 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCCSc1ccccc1 ZINC000360964499 336730011 /nfs/dbraw/zinc/73/00/11/336730011.db2.gz SOFCPBCRVDZYBS-UHFFFAOYSA-N 0 3 303.475 4.044 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cccc(C(F)F)c1 ZINC000360987724 336731083 /nfs/dbraw/zinc/73/10/83/336731083.db2.gz SZGYXEOTHPAASA-INIZCTEOSA-N 0 3 303.352 4.497 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2csc(C)n2)c(Cl)c1 ZINC000361000066 336731881 /nfs/dbraw/zinc/73/18/81/336731881.db2.gz XNNBCOLYBWUYFM-JTQLQIEISA-N 0 3 310.850 4.007 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000361003181 336732176 /nfs/dbraw/zinc/73/21/76/336732176.db2.gz NMLSTFZZUQAKQV-KBXCAEBGSA-N 0 3 309.457 4.027 20 0 DIADHN Cn1nc2c(c1CN[C@H](CC1CCC1)c1ccccc1)CCCC2 ZINC000361002753 336732259 /nfs/dbraw/zinc/73/22/59/336732259.db2.gz LHYNGCUJTHMUCA-HXUWFJFHSA-N 0 3 323.484 4.320 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361176052 336742381 /nfs/dbraw/zinc/74/23/81/336742381.db2.gz BVHFBYZQTFMWLP-CQDKDKBSSA-N 0 3 313.363 4.296 20 0 DIADHN CC(C)CC[C@H](O)CN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000361305871 336749874 /nfs/dbraw/zinc/74/98/74/336749874.db2.gz PTPVEAZAQYMQOE-GJZGRUSLSA-N 0 3 303.368 4.067 20 0 DIADHN C[C@]1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CCOC1 ZINC000361384978 336754700 /nfs/dbraw/zinc/75/47/00/336754700.db2.gz HVBTUFBBEBENIJ-QMTHXVAHSA-N 0 3 320.285 4.449 20 0 DIADHN Cc1cccc2ncc(CN[C@@H](C)c3ccc4ncsc4c3)n21 ZINC000362512522 336801439 /nfs/dbraw/zinc/80/14/39/336801439.db2.gz QZVCSFGOHBJFPV-ZDUSSCGKSA-N 0 3 322.437 4.103 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2ccc3ncsc3c2)s1 ZINC000362516888 336801726 /nfs/dbraw/zinc/80/17/26/336801726.db2.gz UOKVRORIBDICAK-SECBINFHSA-N 0 3 303.456 4.220 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(C2CC2)cc1 ZINC000418114292 336819218 /nfs/dbraw/zinc/81/92/18/336819218.db2.gz NQERYVAXSCVBCS-AWEZNQCLSA-N 0 3 309.409 4.186 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3cnn(C(C)C)c32)cc1 ZINC000368166160 336839839 /nfs/dbraw/zinc/83/98/39/336839839.db2.gz XSKQHTFQOUKFSL-RDTXWAMCSA-N 0 3 313.445 4.201 20 0 DIADHN COCC(C)(C)CCCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000368576802 336848259 /nfs/dbraw/zinc/84/82/59/336848259.db2.gz SPLQQLCGZQVNBC-INIZCTEOSA-N 0 3 307.482 4.074 20 0 DIADHN CC[C@@H](N[C@@H]1CCOc2cc(OC)ccc21)c1cccs1 ZINC000370679254 336891017 /nfs/dbraw/zinc/89/10/17/336891017.db2.gz NJABLBJTTYEZIK-HUUCEWRRSA-N 0 3 303.427 4.321 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1sc(CC)nc1C)c1ccccc1 ZINC000193431475 336896868 /nfs/dbraw/zinc/89/68/68/336896868.db2.gz DDKBVRPHXJEYHV-CJNGLKHVSA-N 0 3 318.486 4.442 20 0 DIADHN CC(C)(CCO)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000483869211 339677327 /nfs/dbraw/zinc/67/73/27/339677327.db2.gz WVSDGWYSOOSVFS-JTQLQIEISA-N 0 3 322.301 4.431 20 0 DIADHN Cc1ccoc1CN[C@@H](C)Cc1ccc(Br)cc1 ZINC000135150022 336913197 /nfs/dbraw/zinc/91/31/97/336913197.db2.gz GIKDHBSYVVWPGY-LBPRGKRZSA-N 0 3 308.219 4.071 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C)c1ccc2ccccc2n1 ZINC000372043332 336919743 /nfs/dbraw/zinc/91/97/43/336919743.db2.gz ULKYAIPQADXOFZ-LZBRWLDZSA-N 0 3 310.441 4.089 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(-c3ccncc3)cc2)c1 ZINC000372097446 336922065 /nfs/dbraw/zinc/92/20/65/336922065.db2.gz ZLDFGGQKIIIULL-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)Nc1ccc(F)cc1Cl ZINC000007379167 336927147 /nfs/dbraw/zinc/92/71/47/336927147.db2.gz LDOWAYQNCDOZAY-VXGBXAGGSA-N 0 3 320.795 4.157 20 0 DIADHN COCCC[C@H](C)N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000372845678 336941093 /nfs/dbraw/zinc/94/10/93/336941093.db2.gz GVYIZSHTLLSMSD-GXFFZTMASA-N 0 3 318.244 4.222 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000373167129 336950361 /nfs/dbraw/zinc/95/03/61/336950361.db2.gz VIJFOWXXTSBSIX-ZVZYQTTQSA-N 0 3 301.455 4.485 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)C[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000583687055 336950997 /nfs/dbraw/zinc/95/09/97/336950997.db2.gz MWGAOQLHNQKFJW-TYNCELHUSA-N 0 3 317.408 4.351 20 0 DIADHN c1ccc([C@@H](NCc2cnc3ccccc3c2)[C@H]2CCOC2)cc1 ZINC000374470428 336989898 /nfs/dbraw/zinc/98/98/98/336989898.db2.gz IZRDLWQALDSHDX-PZJWPPBQSA-N 0 3 318.420 4.102 20 0 DIADHN Clc1ccc(CN[C@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000374495356 336990581 /nfs/dbraw/zinc/99/05/81/336990581.db2.gz IBIRKJAVFPHLKP-XJKSGUPXSA-N 0 3 307.846 4.269 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)CC2 ZINC000374502107 336990874 /nfs/dbraw/zinc/99/08/74/336990874.db2.gz IPKRPMLYMYQKTR-DUXKGJEZSA-N 0 3 323.436 4.050 20 0 DIADHN Clc1ccc([C@@H](NCc2cnc3n2CCCC3)C2CC2)cc1 ZINC000375266052 337006817 /nfs/dbraw/zinc/00/68/17/337006817.db2.gz CZYXHMOIMVFCCA-SFHVURJKSA-N 0 3 315.848 4.114 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnc3n2CCCC3)c2ccccc21 ZINC000375273221 337007065 /nfs/dbraw/zinc/00/70/65/337007065.db2.gz YLVJALZNHPAUQC-OALUTQOASA-N 0 3 309.457 4.194 20 0 DIADHN CCOCCC1(CN[C@H](c2ncc[nH]2)C2CCCCC2)CCC1 ZINC000375890173 337022098 /nfs/dbraw/zinc/02/20/98/337022098.db2.gz RPFDNCASEQZRRJ-KRWDZBQOSA-N 0 3 319.493 4.218 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)c1 ZINC000375896156 337022504 /nfs/dbraw/zinc/02/25/04/337022504.db2.gz UFODPXBAFAMMGX-SUYBPPKGSA-N 0 3 302.368 4.265 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000376125913 337027804 /nfs/dbraw/zinc/02/78/04/337027804.db2.gz ACXYNMJEYUXCQO-JKSUJKDBSA-N 0 3 317.433 4.104 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cncs1 ZINC000122990560 337031505 /nfs/dbraw/zinc/03/15/05/337031505.db2.gz RMMURQHWWUUMPH-QMTHXVAHSA-N 0 3 324.396 4.473 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC(c2c[nH]cn2)CC1 ZINC000376490877 337034740 /nfs/dbraw/zinc/03/47/40/337034740.db2.gz IKQZWWDMAKAPLT-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1)c1ccc(-c2cccnc2)cc1 ZINC000305776556 337036452 /nfs/dbraw/zinc/03/64/52/337036452.db2.gz OQAKIMCYPBKNAH-IERDGZPVSA-N 0 3 318.420 4.133 20 0 DIADHN Cc1cn2c(n1)[C@@H](CN[C@@H](C)c1csc(Cl)c1)CCC2 ZINC000376995235 337044790 /nfs/dbraw/zinc/04/47/90/337044790.db2.gz OHTXPHYRIVEOJW-NWDGAFQWSA-N 0 3 309.866 4.135 20 0 DIADHN FC(F)c1cccc(CN2CC[C@H](Cc3ccncc3)C2)c1 ZINC000377066846 337046204 /nfs/dbraw/zinc/04/62/04/337046204.db2.gz KDBMABWPNNYVCH-MRXNPFEDSA-N 0 3 302.368 4.084 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2c3ccccc3CC23CCOCC3)c1 ZINC000377770998 337060794 /nfs/dbraw/zinc/06/07/94/337060794.db2.gz COCDIQWIWVYWLJ-JXFKEZNVSA-N 0 3 322.452 4.135 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cc(O)cc(C(F)(F)F)c1 ZINC000582456001 337065283 /nfs/dbraw/zinc/06/52/83/337065283.db2.gz WNIPZXLLPDULDF-QGZVFWFLSA-N 0 3 321.342 4.497 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccccc2-c2cnn(C)c2)o1 ZINC000378401938 337071974 /nfs/dbraw/zinc/07/19/74/337071974.db2.gz KVHOTUVAYXTZLC-AWEZNQCLSA-N 0 3 309.413 4.093 20 0 DIADHN c1csc(C2(NC[C@@H]3CCOc4ccccc43)CCCC2)n1 ZINC000378458142 337073352 /nfs/dbraw/zinc/07/33/52/337073352.db2.gz XAHVBUBVJPRQOC-AWEZNQCLSA-N 0 3 314.454 4.068 20 0 DIADHN COCC[C@H](NC1CC(c2ccccc2F)C1)c1ccco1 ZINC000378637225 337077377 /nfs/dbraw/zinc/07/73/77/337077377.db2.gz ZETOEOCGMLMCKC-KVULBXGLSA-N 0 3 303.377 4.032 20 0 DIADHN CSC1(CNCc2cnc(-c3ccc(C)cc3)s2)CC1 ZINC000193655049 337078838 /nfs/dbraw/zinc/07/88/38/337078838.db2.gz XSKPKXNSBVSUJD-UHFFFAOYSA-N 0 3 304.484 4.104 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCCc3nc(C)sc32)ccc1C ZINC000193952298 337080720 /nfs/dbraw/zinc/08/07/20/337080720.db2.gz XOBWADNVCNZSLP-MRXNPFEDSA-N 0 3 316.470 4.278 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCc3cc(OC)ccc32)c1 ZINC000320959747 337082023 /nfs/dbraw/zinc/08/20/23/337082023.db2.gz GKWWOVKVMBYRPL-JLTOFOAXSA-N 0 3 311.425 4.432 20 0 DIADHN COCCCCCN1CCCC[C@H]1c1nc2ccccc2o1 ZINC000360034952 337084157 /nfs/dbraw/zinc/08/41/57/337084157.db2.gz GLTXBOFQBDXSCF-INIZCTEOSA-N 0 3 302.418 4.172 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1cnc2ccsc2c1 ZINC000360061040 337084700 /nfs/dbraw/zinc/08/47/00/337084700.db2.gz RYGVEJDAPQDCJY-SCLBCKFNSA-N 0 3 324.449 4.261 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC[C@@H]1c1cccc(F)c1 ZINC000171919249 337090355 /nfs/dbraw/zinc/09/03/55/337090355.db2.gz PAEVKGVINOUWTK-LJQANCHMSA-N 0 3 322.383 4.004 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)NC(C)(C)CC)c1ccc(Cl)cc1 ZINC000218863949 337091635 /nfs/dbraw/zinc/09/16/35/337091635.db2.gz ZRDQIMUZVIDZBR-WFASDCNBSA-N 0 3 310.869 4.074 20 0 DIADHN Cc1csc(CNCc2ccc(Br)c(F)c2)c1 ZINC000393294027 337091854 /nfs/dbraw/zinc/09/18/54/337091854.db2.gz BKLKBFNKLWKXRB-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN COc1ccc([C@H](N[C@H]2CSC2(C)C)c2ccccc2)cc1 ZINC000393824723 337094057 /nfs/dbraw/zinc/09/40/57/337094057.db2.gz FOLUTBCOKXGMPL-ZWKOTPCHSA-N 0 3 313.466 4.268 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CSC2(C)C)c2ccccc2)cc1 ZINC000393824719 337094060 /nfs/dbraw/zinc/09/40/60/337094060.db2.gz FOLUTBCOKXGMPL-ROUUACIJSA-N 0 3 313.466 4.268 20 0 DIADHN CC(C)[C@H](CO)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000394035207 337096449 /nfs/dbraw/zinc/09/64/49/337096449.db2.gz LLLJAYGCJJJBBH-UWVGGRQHSA-N 0 3 308.224 4.048 20 0 DIADHN Cc1cnn([C@@H]2CCN([C@@H](C)c3ccc(C(C)(C)C)cc3)C2)c1 ZINC000582515563 337099944 /nfs/dbraw/zinc/09/99/44/337099944.db2.gz BVTBWCNJSZPNMC-QFBILLFUSA-N 0 3 311.473 4.497 20 0 DIADHN C[C@@H](NCCCCc1ccc(F)c(F)c1)c1ccncc1F ZINC000582611943 337110849 /nfs/dbraw/zinc/11/08/49/337110849.db2.gz CEFSFQQSOLSZHE-GFCCVEGCSA-N 0 3 308.347 4.172 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCc2ncc(C)cc2C)s1 ZINC000582663052 337117584 /nfs/dbraw/zinc/11/75/84/337117584.db2.gz POAMFLCFNMUJFU-AWEZNQCLSA-N 0 3 303.475 4.007 20 0 DIADHN CSc1ccc(CNCCOc2ccccc2Cl)s1 ZINC000582694696 337123414 /nfs/dbraw/zinc/12/34/14/337123414.db2.gz BYJUISUSDNGDRZ-UHFFFAOYSA-N 0 3 313.875 4.292 20 0 DIADHN C[C@@H](NCCC1CCCCCC1)c1nnc2n1CCCCC2 ZINC000394618372 337123505 /nfs/dbraw/zinc/12/35/05/337123505.db2.gz KOSQPVDWJAULKT-OAHLLOKOSA-N 0 3 304.482 4.016 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@H]1[C@@H]1CCCO1 ZINC000582741219 337128218 /nfs/dbraw/zinc/12/82/18/337128218.db2.gz YTYBAVNINGGDHM-IRXDYDNUSA-N 0 3 311.828 4.055 20 0 DIADHN CCSc1cccc(NC(=O)c2cccc(CN(C)C)c2)c1 ZINC000172622189 337130325 /nfs/dbraw/zinc/13/03/25/337130325.db2.gz ICKKVWXEINRSNP-UHFFFAOYSA-N 0 3 314.454 4.113 20 0 DIADHN C[C@H](NCc1ccccc1-n1ccnc1)c1ccc(F)c(F)c1 ZINC000173349018 337134772 /nfs/dbraw/zinc/13/47/72/337134772.db2.gz VMYOKQZVBBCAQM-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccsc3)s2)[C@H]1C1CC1 ZINC000582757740 337134897 /nfs/dbraw/zinc/13/48/97/337134897.db2.gz UUESIODBWQMRLH-AWEZNQCLSA-N 0 3 304.484 4.492 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccsc3)s2)[C@@H]1C1CC1 ZINC000582757742 337134914 /nfs/dbraw/zinc/13/49/14/337134914.db2.gz UUESIODBWQMRLH-CQSZACIVSA-N 0 3 304.484 4.492 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccccc1N(C)C)CCC2 ZINC000173379705 337135019 /nfs/dbraw/zinc/13/50/19/337135019.db2.gz JMWMYGYLEKGJMK-QGZVFWFLSA-N 0 3 315.486 4.027 20 0 DIADHN CC[C@H](NCc1ccc(NC(N)=O)cc1)c1ccc(Cl)cc1 ZINC000173860789 337138571 /nfs/dbraw/zinc/13/85/71/337138571.db2.gz ZRJRWNNDHXCTHD-INIZCTEOSA-N 0 3 317.820 4.072 20 0 DIADHN Brc1ccccc1CNCCSc1ccccc1 ZINC000037854642 337145058 /nfs/dbraw/zinc/14/50/58/337145058.db2.gz RVNRAQOZSDTNIX-UHFFFAOYSA-N 0 3 322.271 4.331 20 0 DIADHN COc1cccc([C@H](C)N2CCC(OC3CCCC3)CC2)c1 ZINC000175615128 337148383 /nfs/dbraw/zinc/14/83/83/337148383.db2.gz ZGRWMISOCBXCEG-HNNXBMFYSA-N 0 3 303.446 4.180 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(Cn3ccnc3)cc1)CC2 ZINC000177935566 337159236 /nfs/dbraw/zinc/15/92/36/337159236.db2.gz ZMPMJACCJOPMSK-OAQYLSRUSA-N 0 3 317.436 4.017 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@H](C)Oc2ccc(F)cc2)s1 ZINC000178037803 337159898 /nfs/dbraw/zinc/15/98/98/337159898.db2.gz KDTJPXADTNZQHC-WDEREUQCSA-N 0 3 308.422 4.017 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000178050223 337160080 /nfs/dbraw/zinc/16/00/80/337160080.db2.gz AFVWFFDGWIHVML-SUMWQHHRSA-N 0 3 315.388 4.399 20 0 DIADHN Clc1ccc([C@H](NC2CCOCC2)c2cccs2)cc1 ZINC000177898543 337160966 /nfs/dbraw/zinc/16/09/66/337160966.db2.gz IRADTKPOTDXJSH-INIZCTEOSA-N 0 3 307.846 4.260 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@H](C)[C@@H]1C ZINC000177889183 337161050 /nfs/dbraw/zinc/16/10/50/337161050.db2.gz LVMSJEVMRVUITD-BPUTZDHNSA-N 0 3 302.462 4.176 20 0 DIADHN CC[C@@H](N[C@H](C)CCCOC)c1nc(C(F)(F)F)cs1 ZINC000178210357 337161393 /nfs/dbraw/zinc/16/13/93/337161393.db2.gz BRWQPZZJTGXSFP-NXEZZACHSA-N 0 3 310.385 4.018 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2NCC1CCOCC1 ZINC000178278724 337161931 /nfs/dbraw/zinc/16/19/31/337161931.db2.gz UITMGBOAYYPIQR-LLVKDONJSA-N 0 3 320.285 4.449 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1sc(C)nc1C)c1ccco1 ZINC000178139390 337162609 /nfs/dbraw/zinc/16/26/09/337162609.db2.gz GILZKVKOEFSDON-IUODEOHRSA-N 0 3 321.490 4.087 20 0 DIADHN C[C@@H](N[C@H]1CC[C@H](CO)CC1)c1nc(-c2ccccc2)cs1 ZINC000178465278 337162958 /nfs/dbraw/zinc/16/29/58/337162958.db2.gz FDPLHIVTBJOMHS-FMKPAKJESA-N 0 3 316.470 4.012 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000178480853 337163031 /nfs/dbraw/zinc/16/30/31/337163031.db2.gz TXBJZVCSVUDXHF-CYBMUJFWSA-N 0 3 302.443 4.193 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1ccc(-n2cncn2)cc1 ZINC000178495132 337163174 /nfs/dbraw/zinc/16/31/74/337163174.db2.gz WVMFPYCXPVJHNC-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccc(CO)c(F)c2)cc1 ZINC000178563344 337163818 /nfs/dbraw/zinc/16/38/18/337163818.db2.gz RALRJNBJSJQDHT-IBGZPJMESA-N 0 3 301.405 4.121 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCSCc2ccccc2F)s1 ZINC000178319706 337163858 /nfs/dbraw/zinc/16/38/58/337163858.db2.gz SWLMHQNISRYLLU-LLVKDONJSA-N 0 3 324.490 4.483 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1cccs1 ZINC000178322669 337163914 /nfs/dbraw/zinc/16/39/14/337163914.db2.gz WZYIRKNFSPEJFN-CJNGLKHVSA-N 0 3 312.442 4.131 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2ccsc2)N2CCCC2)o1 ZINC000178402052 337164372 /nfs/dbraw/zinc/16/43/72/337164372.db2.gz IBAJLEPUQBXUAB-ZBFHGGJFSA-N 0 3 304.459 4.137 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCO1)c1nc(-c2ccccc2)cs1 ZINC000178403471 337164508 /nfs/dbraw/zinc/16/45/08/337164508.db2.gz AEKZHQITZSLMOK-CXAGYDPISA-N 0 3 302.443 4.030 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NC[C@@H]1CCCOC1 ZINC000178424819 337164588 /nfs/dbraw/zinc/16/45/88/337164588.db2.gz SJQHFVWBTJKNFI-ONGXEEELSA-N 0 3 320.285 4.449 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2NC[C@@H]1CCCOC1 ZINC000178424807 337164648 /nfs/dbraw/zinc/16/46/48/337164648.db2.gz SJQHFVWBTJKNFI-GXSJLCMTSA-N 0 3 320.285 4.449 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccc(-n3cncn3)cc2)cc1 ZINC000178742608 337164990 /nfs/dbraw/zinc/16/49/90/337164990.db2.gz HRFADPKMBAWPIQ-FQEVSTJZSA-N 0 3 320.440 4.071 20 0 DIADHN Cc1ccc2c(CN3CC[C@@H]3c3ccccc3)ccc(O)c2n1 ZINC000582797894 337170313 /nfs/dbraw/zinc/17/03/13/337170313.db2.gz KNGKJZCRIWJJDY-GOSISDBHSA-N 0 3 304.393 4.196 20 0 DIADHN C[C@H](NCC[C@H]1CCCCO1)c1nc(-c2ccccc2)cs1 ZINC000180263446 337175518 /nfs/dbraw/zinc/17/55/18/337175518.db2.gz POIPVZVXQMOTJG-GOEBONIOSA-N 0 3 316.470 4.420 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1cccc(C)c1)c1ccccc1 ZINC000180672377 337177062 /nfs/dbraw/zinc/17/70/62/337177062.db2.gz HUNOBDOBNLIYDP-APWZRJJASA-N 0 3 311.425 4.340 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@@H](CCO)c1ccco1 ZINC000469780829 337184453 /nfs/dbraw/zinc/18/44/53/337184453.db2.gz QTGIGYJCAQSYOX-YPMHNXCESA-N 0 3 313.850 4.029 20 0 DIADHN Cc1cncc(CN[C@H](C)c2cccc(-c3ccncc3)c2)c1 ZINC000181839414 337185053 /nfs/dbraw/zinc/18/50/53/337185053.db2.gz AFCHAQIBWWCTKV-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN CC(C)N(Cc1nnc(-c2cc3c(s2)CCCC3)o1)C(C)C ZINC000183986338 337194324 /nfs/dbraw/zinc/19/43/24/337194324.db2.gz NECZYMVWDITBDL-UHFFFAOYSA-N 0 3 319.474 4.296 20 0 DIADHN C[C@H](CNCc1ccc(Oc2ccccc2)o1)c1nccs1 ZINC000184293049 337197613 /nfs/dbraw/zinc/19/76/13/337197613.db2.gz YWPAJIKKGKHLKQ-CYBMUJFWSA-N 0 3 314.410 4.422 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1nc2ccc(F)cc2s1 ZINC000582941243 337220171 /nfs/dbraw/zinc/22/01/71/337220171.db2.gz AUVRPWCGYSOFMQ-SNVBAGLBSA-N 0 3 306.431 4.218 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1CC(C)=C[C@H](C)C1 ZINC000582995327 337223289 /nfs/dbraw/zinc/22/32/89/337223289.db2.gz DHPSNSNEVLVNQJ-KSSFIOAISA-N 0 3 314.473 4.166 20 0 DIADHN C[C@H](NCc1ccnc2ccccc12)[C@@H]1OCCc2sccc21 ZINC000583000750 337227282 /nfs/dbraw/zinc/22/72/82/337227282.db2.gz MDLBJERLZOAJIF-DJJJIMSYSA-N 0 3 324.449 4.088 20 0 DIADHN COCC1(CCN[C@H](c2ccccc2)c2ccc(F)cc2)CC1 ZINC000583025440 337227579 /nfs/dbraw/zinc/22/75/79/337227579.db2.gz YUTBGFMDPGCFDY-LJQANCHMSA-N 0 3 313.416 4.321 20 0 DIADHN COc1cccc(C2=CCN(CCc3ncc(C)cc3C)CC2)c1 ZINC000583081250 337237084 /nfs/dbraw/zinc/23/70/84/337237084.db2.gz HAFMVIKZHLTHQM-UHFFFAOYSA-N 0 3 322.452 4.039 20 0 DIADHN CC(C)(C)OC1CC(N2CC=C(c3ccc(F)cc3)CC2)C1 ZINC000583105849 337238106 /nfs/dbraw/zinc/23/81/06/337238106.db2.gz AGWIGZAPBKWBKF-UHFFFAOYSA-N 0 3 303.421 4.261 20 0 DIADHN CCSc1cccc(CN(C)C[C@H](C)c2nccs2)c1 ZINC000186415686 337256103 /nfs/dbraw/zinc/25/61/03/337256103.db2.gz JPNROEHUTWPGLA-ZDUSSCGKSA-N 0 3 306.500 4.491 20 0 DIADHN c1ccc(COc2cccc(CN3CCCC4(CC4)C3)c2)nc1 ZINC000186622966 337258135 /nfs/dbraw/zinc/25/81/35/337258135.db2.gz FTDMUMLPYDEKOF-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000321385266 337260073 /nfs/dbraw/zinc/26/00/73/337260073.db2.gz WCGVBHGHZSDELE-MISYRCLQSA-N 0 3 313.416 4.056 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)CC2CCOCC2)c(C)n1 ZINC000187017364 337261154 /nfs/dbraw/zinc/26/11/54/337261154.db2.gz JUYWMWYKOPKVRQ-UHFFFAOYSA-N 0 3 324.468 4.127 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1cc(Br)cs1 ZINC000229856391 337268016 /nfs/dbraw/zinc/26/80/16/337268016.db2.gz WTSIZIDMUKRAOB-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000583203868 337269807 /nfs/dbraw/zinc/26/98/07/337269807.db2.gz XRPVHYGIAKGAPB-GMXVVIOVSA-N 0 3 320.433 4.086 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1cc2ccccc2s1 ZINC000583210653 337272088 /nfs/dbraw/zinc/27/20/88/337272088.db2.gz ZVOBFWWLPDQVEN-CYBMUJFWSA-N 0 3 307.422 4.400 20 0 DIADHN C[C@@H](NCc1ccc(F)nc1)c1ccc(-c2ccncc2)cc1 ZINC000189136034 337277035 /nfs/dbraw/zinc/27/70/35/337277035.db2.gz LONIWTIZMWSWFQ-CQSZACIVSA-N 0 3 307.372 4.134 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NC1CCN(c2ccccc2)CC1 ZINC000189367660 337278967 /nfs/dbraw/zinc/27/89/67/337278967.db2.gz LFMXRWSHGFLDLN-HXUWFJFHSA-N 0 3 322.452 4.077 20 0 DIADHN COc1ccc(CNCC[C@H]2CCC[C@H](C)C2)c(OC)c1OC ZINC000321641102 337280685 /nfs/dbraw/zinc/28/06/85/337280685.db2.gz QHPQQCOZIXDJTR-LSDHHAIUSA-N 0 3 321.461 4.018 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC(c3nc4ccccc4s3)CC2)O1 ZINC000190354052 337286929 /nfs/dbraw/zinc/28/69/29/337286929.db2.gz PGCJJAQPQYXMON-UKRRQHHQSA-N 0 3 316.470 4.043 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@@H]1CCCCC12CCCC2 ZINC000583251646 337288578 /nfs/dbraw/zinc/28/85/78/337288578.db2.gz YKBCLFAZUFRVBZ-QGZVFWFLSA-N 0 3 315.461 4.031 20 0 DIADHN FC(F)(F)CCN(CCc1ccncc1)Cc1ccccc1 ZINC000191245305 337295149 /nfs/dbraw/zinc/29/51/49/337295149.db2.gz UMDLAOALHAOJHM-UHFFFAOYSA-N 0 3 308.347 4.079 20 0 DIADHN CC(C)c1cnc(CNC[C@@H]2CCO[C@H]2c2ccccc2)s1 ZINC000192376565 337304892 /nfs/dbraw/zinc/30/48/92/337304892.db2.gz NYWVHBWSQSSWBF-YJBOKZPZSA-N 0 3 316.470 4.134 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000525951741 337308139 /nfs/dbraw/zinc/30/81/39/337308139.db2.gz DAVPTCBQSUQXMU-IHRRRGAJSA-N 0 3 318.486 4.245 20 0 DIADHN COc1ccccc1N[C@@H]1CCN(Cc2ccccc2)C2(CC2)C1 ZINC000583753243 337329718 /nfs/dbraw/zinc/32/97/18/337329718.db2.gz SXPVCRUTWVYUOE-GOSISDBHSA-N 0 3 322.452 4.304 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N[C@@H](c2ccccc2)C2CC2)cc1C ZINC000218867172 337340281 /nfs/dbraw/zinc/34/02/81/337340281.db2.gz DXAHITCRHFVBMQ-JXFKEZNVSA-N 0 3 322.452 4.371 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N[C@@H](c2ccccc2)C2CC2)cc1C ZINC000218867102 337340292 /nfs/dbraw/zinc/34/02/92/337340292.db2.gz DXAHITCRHFVBMQ-UZLBHIALSA-N 0 3 322.452 4.371 20 0 DIADHN C[C@@H](CCN1CCC[C@@H](OCC(F)(F)F)C1)c1ccccc1 ZINC000583851874 337342726 /nfs/dbraw/zinc/34/27/26/337342726.db2.gz MAPZROSVHSUBLT-GOEBONIOSA-N 0 3 315.379 4.224 20 0 DIADHN c1cc([C@H]2CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)ccn1 ZINC000584496553 337350203 /nfs/dbraw/zinc/35/02/03/337350203.db2.gz IWUBASGJNJSSTF-RBUKOAKNSA-N 0 3 314.473 4.143 20 0 DIADHN CSc1ccc([C@@H](CNc2ccc(Cl)cn2)N(C)C)cc1 ZINC000583859698 337352063 /nfs/dbraw/zinc/35/20/63/337352063.db2.gz AZZREHCTDDDDDB-OAHLLOKOSA-N 0 3 321.877 4.172 20 0 DIADHN CCN(Cc1ccc(-c2cnn(C)c2)s1)Cc1ccccc1 ZINC000584542750 337358348 /nfs/dbraw/zinc/35/83/48/337358348.db2.gz GJFFWQBXBZSZFJ-UHFFFAOYSA-N 0 3 311.454 4.171 20 0 DIADHN COc1ccc([C@@H](NCc2ccc3cnn(C)c3c2)C(C)C)cc1 ZINC000583905755 337383921 /nfs/dbraw/zinc/38/39/21/337383921.db2.gz KIHZITKZXBLPRI-FQEVSTJZSA-N 0 3 323.440 4.069 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC(F)(c2cccnc2)CC1 ZINC000583887554 337384173 /nfs/dbraw/zinc/38/41/73/337384173.db2.gz YEGSNHUSOXLIDS-CQSZACIVSA-N 0 3 302.368 4.243 20 0 DIADHN CCCC1(C(=O)Nc2cc(CN3CCCC3)ccc2C)CC1 ZINC000431105564 337388955 /nfs/dbraw/zinc/38/89/55/337388955.db2.gz BHFPLWNZVJRKBZ-UHFFFAOYSA-N 0 3 300.446 4.110 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC[C@H]2Oc2cccc(C)c2)nc1 ZINC000532931703 337393653 /nfs/dbraw/zinc/39/36/53/337393653.db2.gz UDCJARDIIDPKOW-VQTJNVASSA-N 0 3 310.441 4.178 20 0 DIADHN Cc1csc(CN[C@H]2CCCC[C@H]2Oc2cccc(C)c2)n1 ZINC000532932971 337393785 /nfs/dbraw/zinc/39/37/85/337393785.db2.gz SXXHIMVQVSVAHN-DLBZAZTESA-N 0 3 316.470 4.240 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2CCC3(CCC3)C2)c1 ZINC000123536785 337399772 /nfs/dbraw/zinc/39/97/72/337399772.db2.gz DHMUWXUPOZPAKW-UHFFFAOYSA-N 0 3 320.436 4.315 20 0 DIADHN CCCn1cc(CN2CCC(c3c[nH]c4ccccc34)CC2)cn1 ZINC000123729507 337400889 /nfs/dbraw/zinc/40/08/89/337400889.db2.gz JZUDXGYKRXXLOO-UHFFFAOYSA-N 0 3 322.456 4.154 20 0 DIADHN CCC(=O)N1CC[C@H](N[C@@H](CC(C)C)c2ccc(Cl)cc2)C1 ZINC000126758068 337417704 /nfs/dbraw/zinc/41/77/04/337417704.db2.gz LBAMZUQMJLKMCX-IRXDYDNUSA-N 0 3 322.880 4.028 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2cc(C)sc2C)cn1 ZINC000126788403 337417730 /nfs/dbraw/zinc/41/77/30/337417730.db2.gz WBQMDFMIKMKOQH-CQSZACIVSA-N 0 3 317.502 4.457 20 0 DIADHN CC[C@H](NCCc1nccs1)c1cc(Cl)ccc1OC ZINC000127860708 337422762 /nfs/dbraw/zinc/42/27/62/337422762.db2.gz FRAKUKFNVQEHFX-ZDUSSCGKSA-N 0 3 310.850 4.089 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3cnn(C)c3c1)CCC2 ZINC000564015888 337423110 /nfs/dbraw/zinc/42/31/10/337423110.db2.gz SDFLTXRMWPTRBS-IBGZPJMESA-N 0 3 305.425 4.049 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133833124 337446848 /nfs/dbraw/zinc/44/68/48/337446848.db2.gz WSJKWQZKGYGEHC-XHDPSFHLSA-N 0 3 305.491 4.463 20 0 DIADHN C[C@@H](NC[C@@H]1CCCSC1)c1sccc1Br ZINC000309599698 337455055 /nfs/dbraw/zinc/45/50/55/337455055.db2.gz XIKZEVMZFHVNBN-ZJUUUORDSA-N 0 3 320.321 4.304 20 0 DIADHN C[C@H](NC[C@@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000152511565 337455870 /nfs/dbraw/zinc/45/58/70/337455870.db2.gz HEEHDPIHPZOMAD-GJZGRUSLSA-N 0 3 316.395 4.142 20 0 DIADHN Cc1nc(COc2ccc(CNCc3ccccc3)cc2)cs1 ZINC000061554810 337459613 /nfs/dbraw/zinc/45/96/13/337459613.db2.gz IAKZJTLIKAEFKK-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN CCC[C@H](NCCOc1ccccc1OC)c1ccsc1 ZINC000525954799 337460350 /nfs/dbraw/zinc/46/03/50/337460350.db2.gz COICVMGQPGMYAC-HNNXBMFYSA-N 0 3 305.443 4.267 20 0 DIADHN CC[C@H](C(=O)N[C@H](C)c1cc2ccccc2s1)N(CC)CC ZINC000525958963 337463725 /nfs/dbraw/zinc/46/37/25/337463725.db2.gz DFIGTBZWBJVIFI-UKRRQHHQSA-N 0 3 318.486 4.199 20 0 DIADHN CC[C@H](CN[C@H](Cc1ccc(Cl)cc1)c1ccccn1)OC ZINC000419252912 337478088 /nfs/dbraw/zinc/47/80/88/337478088.db2.gz TVGJRZTWZAWCGM-SJLPKXTDSA-N 0 3 318.848 4.033 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(OC3CCC3)CC1)CCC2 ZINC000419300965 337478750 /nfs/dbraw/zinc/47/87/50/337478750.db2.gz KCWUFHWZXCAWCO-IBGZPJMESA-N 0 3 303.421 4.237 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000419330763 337479934 /nfs/dbraw/zinc/47/99/34/337479934.db2.gz BWRWLQPAOUXJEO-AWEZNQCLSA-N 0 3 305.425 4.371 20 0 DIADHN FC1(F)CC(N[C@H]2CCCc3c(Br)cccc32)C1 ZINC000429453644 337493229 /nfs/dbraw/zinc/49/32/29/337493229.db2.gz NJBPVCNBZARJKQ-ZDUSSCGKSA-N 0 3 316.189 4.214 20 0 DIADHN FC(F)(F)CCCCN1CCNC[C@H]1c1cccc(Cl)c1 ZINC000420310680 337499168 /nfs/dbraw/zinc/49/91/68/337499168.db2.gz GMVXOZKHJUQNDU-AWEZNQCLSA-N 0 3 320.786 4.019 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1ccc(-c2ccccc2)cc1 ZINC000429465729 337499422 /nfs/dbraw/zinc/49/94/22/337499422.db2.gz LESQEFWRYQVNNV-SUMWQHHRSA-N 0 3 305.368 4.020 20 0 DIADHN COc1ccccc1OCCN[C@H](CC(F)F)c1ccccc1 ZINC000420992657 337517946 /nfs/dbraw/zinc/51/79/46/337517946.db2.gz LATFTEZCUKIQHG-OAHLLOKOSA-N 0 3 321.367 4.060 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1cc(Cl)ccc1Cl ZINC000421203420 337523636 /nfs/dbraw/zinc/52/36/36/337523636.db2.gz PYPYMSAWSCSLKL-NSHDSACASA-N 0 3 302.245 4.339 20 0 DIADHN COc1cc(CN[C@@H](CC(F)F)c2ccccc2)ccc1C ZINC000421375540 337528626 /nfs/dbraw/zinc/52/86/26/337528626.db2.gz QHNSMYBBPZTRAB-INIZCTEOSA-N 0 3 305.368 4.490 20 0 DIADHN CC(C)n1cc(C(C)(C)NC[C@H](C)c2cccc(Cl)c2)nn1 ZINC000421405079 337531453 /nfs/dbraw/zinc/53/14/53/337531453.db2.gz QKUCAEXLQBUUIH-ZDUSSCGKSA-N 0 3 320.868 4.141 20 0 DIADHN C[C@@H](C[C@@H]1CCCO1)NCc1ccc(F)c(Cl)c1Cl ZINC000432236912 337533683 /nfs/dbraw/zinc/53/36/83/337533683.db2.gz XSWMLLZDYYUUBQ-ONGXEEELSA-N 0 3 306.208 4.180 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@@H](N[C@@H](C)c2ccc(Cl)s2)C1 ZINC000247129995 337557942 /nfs/dbraw/zinc/55/79/42/337557942.db2.gz QFFXDCRTPQOQMZ-QJQMNWLJSA-N 0 3 319.923 4.132 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2CCCC[C@H]2n2cccn2)s1 ZINC000247208699 337558368 /nfs/dbraw/zinc/55/83/68/337558368.db2.gz VPCZEZLFNKEBBX-AEGPPILISA-N 0 3 318.490 4.045 20 0 DIADHN C[C@H](C[S@@](C)=O)N[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000248492156 337565580 /nfs/dbraw/zinc/56/55/80/337565580.db2.gz OKCVVRDGDQLECK-GIYNXVAASA-N 0 3 315.438 4.004 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1N[C@H]1CCCc2c1cnn2C ZINC000248832666 337567711 /nfs/dbraw/zinc/56/77/11/337567711.db2.gz MRLYZMAVRGPFOW-WNYOCNMUSA-N 0 3 309.457 4.101 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2C[C@H]3OCCC[C@@H]23)c2ccccc2)cc1 ZINC000250098055 337573657 /nfs/dbraw/zinc/57/36/57/337573657.db2.gz QZAOPQIJDZCHAY-MTQWCTHYSA-N 0 3 311.400 4.072 20 0 DIADHN Cc1nc(C)c(CNCc2cccc(COC(C)(C)C)c2)s1 ZINC000076868364 337573980 /nfs/dbraw/zinc/57/39/80/337573980.db2.gz QZSLVWPJFRAZPB-UHFFFAOYSA-N 0 3 318.486 4.365 20 0 DIADHN COC(=O)CCCCCNCc1ccc(-c2ccc(C)cc2)o1 ZINC000571842319 337578892 /nfs/dbraw/zinc/57/88/92/337578892.db2.gz UCIUANLAIWLGFX-UHFFFAOYSA-N 0 3 315.413 4.078 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccccc1Br ZINC000252191344 337587400 /nfs/dbraw/zinc/58/74/00/337587400.db2.gz UYZRJDCUVMHBQA-RNJOBUHISA-N 0 3 312.251 4.056 20 0 DIADHN CCC(CC)[C@H](CCNCc1ncc(C(C)(C)C)s1)OC ZINC000572105172 337591041 /nfs/dbraw/zinc/59/10/41/337591041.db2.gz RGJFHWWWXWCYNV-AWEZNQCLSA-N 0 3 312.523 4.372 20 0 DIADHN Cc1cc(CNC2(c3cccc(Cl)c3)CC2)cc(C)c1O ZINC000572108802 337591138 /nfs/dbraw/zinc/59/11/38/337591138.db2.gz DHNGUUDLHXFSQI-UHFFFAOYSA-N 0 3 301.817 4.441 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2ccc(F)cc2OC(F)F)cn1 ZINC000161634659 337592445 /nfs/dbraw/zinc/59/24/45/337592445.db2.gz AUSPRUZJJNSXHI-GFCCVEGCSA-N 0 3 324.346 4.024 20 0 DIADHN Cn1ncc2ccc(CNCc3cc(Cl)cc(Cl)c3)cc21 ZINC000572214724 337597481 /nfs/dbraw/zinc/59/74/81/337597481.db2.gz RTHMQCBJKWJTSY-UHFFFAOYSA-N 0 3 320.223 4.170 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000162017493 337603413 /nfs/dbraw/zinc/60/34/13/337603413.db2.gz BFMPEGCUKOREJF-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000261537594 337604883 /nfs/dbraw/zinc/60/48/83/337604883.db2.gz QHYZMMMLXQDVRQ-ZWOKBUDYSA-N 0 3 324.468 4.150 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccc(C)c1 ZINC000286136690 337606058 /nfs/dbraw/zinc/60/60/58/337606058.db2.gz OTTIZESIGBEPLC-KBXCAEBGSA-N 0 3 311.429 4.288 20 0 DIADHN CCC(CC)[C@H](O)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000162253363 337610705 /nfs/dbraw/zinc/61/07/05/337610705.db2.gz NPKUHGIXRVHOKS-SUMWQHHRSA-N 0 3 318.486 4.258 20 0 DIADHN Oc1cccc(CN2CCC(c3nc4ccccc4s3)CC2)c1 ZINC000162234401 337611421 /nfs/dbraw/zinc/61/14/21/337611421.db2.gz ICGRTCYLGHXKEP-UHFFFAOYSA-N 0 3 324.449 4.382 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(OC)c(F)c1 ZINC000162290707 337612767 /nfs/dbraw/zinc/61/27/67/337612767.db2.gz ALFHBRKTNDEIQQ-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2nc(C)cs2)cc1 ZINC000162380843 337616940 /nfs/dbraw/zinc/61/69/40/337616940.db2.gz RQCRXUCFQRKBNB-MCIONIFRSA-N 0 3 304.459 4.303 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@H](C)c3ccncc3Cl)c21 ZINC000421825791 337646468 /nfs/dbraw/zinc/64/64/68/337646468.db2.gz PHXUKDBRASINLM-CYBMUJFWSA-N 0 3 313.832 4.418 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2ccncc2Cl)cc1 ZINC000421827632 337646923 /nfs/dbraw/zinc/64/69/23/337646923.db2.gz YRJPQRYCKJIFHJ-ZIAGYGMSSA-N 0 3 318.848 4.416 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1nc(-c2ccccc2)cs1 ZINC000421853818 337650124 /nfs/dbraw/zinc/65/01/24/337650124.db2.gz JKKQRXOBQFASGH-AWEZNQCLSA-N 0 3 316.470 4.156 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1cccc(Cl)c1Cl ZINC000421855832 337650549 /nfs/dbraw/zinc/65/05/49/337650549.db2.gz MOPWWYGFHDTORT-LLVKDONJSA-N 0 3 302.245 4.339 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CCCC2(F)F)C2CC2)c(F)c1 ZINC000421856561 337650565 /nfs/dbraw/zinc/65/05/65/337650565.db2.gz OHSXXSOFWHPQAR-MLGOLLRUSA-N 0 3 313.363 4.310 20 0 DIADHN COc1cccc([C@@H]2C[C@H]2CN[C@@H](C)c2ccncc2Cl)c1 ZINC000421858454 337650817 /nfs/dbraw/zinc/65/08/17/337650817.db2.gz QSDQJAQPFONNLB-JDFRZJQESA-N 0 3 316.832 4.198 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NCC(C)(C)c1ccccn1 ZINC000421859041 337650891 /nfs/dbraw/zinc/65/08/91/337650891.db2.gz RIOATGIYWOJNHV-ZDUSSCGKSA-N 0 3 318.848 4.372 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCCCC2)C1)c1ccncc1Cl ZINC000421840811 337653396 /nfs/dbraw/zinc/65/33/96/337653396.db2.gz HPWGUOLWJRDYDH-ZIAGYGMSSA-N 0 3 308.853 4.268 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CC23CCSCC3)c(F)c1 ZINC000421871141 337657177 /nfs/dbraw/zinc/65/71/77/337657177.db2.gz FUINFXOIQOEZKQ-QWHCGFSZSA-N 0 3 309.450 4.018 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H]2CC23CCSCC3)c(F)c1 ZINC000421871139 337657209 /nfs/dbraw/zinc/65/72/09/337657209.db2.gz FUINFXOIQOEZKQ-OLZOCXBDSA-N 0 3 309.450 4.018 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCc1cc(C)nc(C)c1)CCCO2 ZINC000421876413 337658020 /nfs/dbraw/zinc/65/80/20/337658020.db2.gz JVDBHHKQJQZSBU-IBGZPJMESA-N 0 3 310.441 4.319 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H]2CC23CCSCC3)cs1 ZINC000421895440 337659534 /nfs/dbraw/zinc/65/95/34/337659534.db2.gz YNRRLEUJVWQTGQ-OLZOCXBDSA-N 0 3 310.532 4.450 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C)c1cnccc1N ZINC000422924294 337691768 /nfs/dbraw/zinc/69/17/68/337691768.db2.gz UDNMBDQTCHEZMV-NZRMSGFPSA-N 0 3 315.848 4.195 20 0 DIADHN CC[C@@H](CNC(=O)C(C)(C)[C@H](N)c1ccccc1)c1ccccc1 ZINC000422936218 337692394 /nfs/dbraw/zinc/69/23/94/337692394.db2.gz FFGNAXSQULARKE-QFBILLFUSA-N 0 3 324.468 4.023 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)Nc1ccc2nc(C3CC3)oc2c1 ZINC000422957356 337694894 /nfs/dbraw/zinc/69/48/94/337694894.db2.gz SXCHICNHFGHLCS-LLVKDONJSA-N 0 3 322.412 4.040 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@@H](c1cccnc1)C1CCC1 ZINC000423338001 337712760 /nfs/dbraw/zinc/71/27/60/337712760.db2.gz AQGYAFSDAOMTGJ-VQTJNVASSA-N 0 3 307.441 4.172 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCc3cc(N)ccc31)CCC2 ZINC000423340711 337712823 /nfs/dbraw/zinc/71/28/23/337712823.db2.gz CBWJDCVHRYSAQR-NHCUHLMSSA-N 0 3 322.452 4.322 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc2c(c1)COC2 ZINC000423351736 337713634 /nfs/dbraw/zinc/71/36/34/337713634.db2.gz IXJDHUXSMZTXAK-RBZFPXEDSA-N 0 3 308.425 4.027 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2N[C@H]1CCCc2cc(N)ccc21 ZINC000423352036 337713698 /nfs/dbraw/zinc/71/36/98/337713698.db2.gz JKJLFXKOYPIYNA-VQTJNVASSA-N 0 3 322.452 4.458 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000423359326 337714336 /nfs/dbraw/zinc/71/43/36/337714336.db2.gz UWWKJKQRJAVJSB-AUUYWEPGSA-N 0 3 310.441 4.396 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CNC2)c1cccc(Cl)c1Cl ZINC000423373503 337715317 /nfs/dbraw/zinc/71/53/17/337715317.db2.gz HQMCGLYODVEEHP-NSHDSACASA-N 0 3 321.251 4.447 20 0 DIADHN CC[C@H](NCc1cccc2c1CCCN2)c1ccc(OC)cc1 ZINC000423409640 337716139 /nfs/dbraw/zinc/71/61/39/337716139.db2.gz CBHSDZOSCLBVNQ-IBGZPJMESA-N 0 3 310.441 4.294 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)Oc2ccc(N)cc21)c1cccs1 ZINC000423415274 337716395 /nfs/dbraw/zinc/71/63/95/337716395.db2.gz ALRAXKCSBIULKI-RISCZKNCSA-N 0 3 302.443 4.283 20 0 DIADHN Fc1ccc2c(c1)CCC[C@H]2NCc1cccc2c1CCCN2 ZINC000423416923 337716657 /nfs/dbraw/zinc/71/66/57/337716657.db2.gz RNEBGYXNVXDAMI-HXUWFJFHSA-N 0 3 310.416 4.351 20 0 DIADHN c1cncc([C@@H](NCc2ccc3c(c2)CCCN3)C2CCC2)c1 ZINC000423439688 337719251 /nfs/dbraw/zinc/71/92/51/337719251.db2.gz HYEZLZCPHBIZHA-FQEVSTJZSA-N 0 3 307.441 4.071 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423440338 337719263 /nfs/dbraw/zinc/71/92/63/337719263.db2.gz IOUWITWYNHZVME-OAQYLSRUSA-N 0 3 322.452 4.221 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc3c(c2)CCCN3)C2CC2)cc1 ZINC000423448311 337719732 /nfs/dbraw/zinc/71/97/32/337719732.db2.gz QBURUORHRZYUII-FQEVSTJZSA-N 0 3 310.416 4.425 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCN2)c1cc(F)cc(F)c1 ZINC000423451747 337719993 /nfs/dbraw/zinc/71/99/93/337719993.db2.gz VGVYGEWUKPXWBZ-GFCCVEGCSA-N 0 3 302.368 4.174 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCCN3)CCC2 ZINC000423454714 337720333 /nfs/dbraw/zinc/72/03/33/337720333.db2.gz ZKWPJEWULLMUJC-HXUWFJFHSA-N 0 3 310.416 4.351 20 0 DIADHN N[C@@H](CC(=O)N[C@@H](CC1CCC1)c1ccccc1)c1ccccc1 ZINC000423864171 337728831 /nfs/dbraw/zinc/72/88/31/337728831.db2.gz YCUWQEQIKQJDMD-PMACEKPBSA-N 0 3 322.452 4.124 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H](C)[C@H]1COc2ccccc21 ZINC000536831602 337734544 /nfs/dbraw/zinc/73/45/44/337734544.db2.gz HMTBLRHUALCJDI-RLFYNMQTSA-N 0 3 311.425 4.301 20 0 DIADHN CC[C@H](COC)N[C@@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000536831647 337734552 /nfs/dbraw/zinc/73/45/52/337734552.db2.gz IQGYUTLMACJCMI-QUCCMNQESA-N 0 3 313.441 4.108 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@@H](CO)CC(F)(F)F)CCC2 ZINC000536841859 337737830 /nfs/dbraw/zinc/73/78/30/337737830.db2.gz WQYHVFFWRCVZMC-GDBMZVCRSA-N 0 3 315.379 4.090 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc(OC(F)F)cc2)cn1 ZINC000397050689 337738328 /nfs/dbraw/zinc/73/83/28/337738328.db2.gz YACCNBDJJMPLJV-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N(C)C)c1ccc(Cl)s1 ZINC000035286268 337742670 /nfs/dbraw/zinc/74/26/70/337742670.db2.gz LUNMPTDMGUFZRR-GHMZBOCLSA-N 0 3 314.907 4.417 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H](OC)c2ccc(F)cc2)o1 ZINC000536853494 337745758 /nfs/dbraw/zinc/74/57/58/337745758.db2.gz QWHZMHULEDGNOR-ZJNRKIDTSA-N 0 3 305.393 4.408 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@H](C)c1ccc(F)cc1Cl ZINC000536861832 337746874 /nfs/dbraw/zinc/74/68/74/337746874.db2.gz DUSVYUDBEHZQDO-RKDXNWHRSA-N 0 3 313.722 4.097 20 0 DIADHN COc1ccccc1Oc1ccc(CNCC2(F)CC2)cc1 ZINC000527518199 337748849 /nfs/dbraw/zinc/74/88/49/337748849.db2.gz TYGBQYCRCUFXHU-UHFFFAOYSA-N 0 3 301.361 4.079 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@@H](CO)c2cccc(F)c2)cc1 ZINC000536870563 337752167 /nfs/dbraw/zinc/75/21/67/337752167.db2.gz PSABKXGTNZTGNI-MOPGFXCFSA-N 0 3 301.405 4.162 20 0 DIADHN c1coc([C@H](CNCc2ccc3cc[nH]c3c2)N2CCCCC2)c1 ZINC000527633253 337753230 /nfs/dbraw/zinc/75/32/30/337753230.db2.gz VBHVPTOSAJZCSA-IBGZPJMESA-N 0 3 323.440 4.078 20 0 DIADHN C[C@@H](N[C@H](c1cccc(F)c1)c1ccccn1)[C@@H]1C[C@H]1C1CC1 ZINC000527687703 337755794 /nfs/dbraw/zinc/75/57/94/337755794.db2.gz UMKBXBKDXDNZTG-VYVMZURQSA-N 0 3 310.416 4.334 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1ccc2cc[nH]c2c1 ZINC000527655579 337756341 /nfs/dbraw/zinc/75/63/41/337756341.db2.gz JOGWNKGEWGMVBI-SFHVURJKSA-N 0 3 306.409 4.344 20 0 DIADHN Fc1cccc([C@H]2CC[C@@H](NCc3ccncc3Cl)C2)c1 ZINC000527702769 337757628 /nfs/dbraw/zinc/75/76/28/337757628.db2.gz UEMJUAXVZRHXTG-XJKSGUPXSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1nnsc1CN[C@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000527710489 337758054 /nfs/dbraw/zinc/75/80/54/337758054.db2.gz PNVDWBGZRCUMCF-CVEARBPZSA-N 0 3 301.459 4.053 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1ccc2cc[nH]c2c1 ZINC000527689357 337760026 /nfs/dbraw/zinc/76/00/26/337760026.db2.gz ZQLNZBHTRLTLDO-ORAYPTAESA-N 0 3 312.388 4.173 20 0 DIADHN Clc1ccc2c(c1)C[C@@H]1[C@H](NCc3nccc4ccccc43)[C@H]21 ZINC000527748887 337761252 /nfs/dbraw/zinc/76/12/52/337761252.db2.gz GGVCJXQIVAYDTK-SXLOBPIMSA-N 0 3 320.823 4.316 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC[C@@H]2CCCOC2)o1 ZINC000527739761 337763243 /nfs/dbraw/zinc/76/32/43/337763243.db2.gz OIUAQLRMOCYWMM-HNNXBMFYSA-N 0 3 317.404 4.300 20 0 DIADHN COc1ccc([C@H](NCc2cc(Cl)cs2)C2CC2)cn1 ZINC000527841778 337768009 /nfs/dbraw/zinc/76/80/09/337768009.db2.gz RRQGPDNKLLGKRG-OAHLLOKOSA-N 0 3 308.834 4.046 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527843873 337768242 /nfs/dbraw/zinc/76/82/42/337768242.db2.gz PIEGGVJMKWFSDL-KRWDZBQOSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527849086 337768844 /nfs/dbraw/zinc/76/88/44/337768844.db2.gz ISJYQSABSWGJDE-ZMZPIMSZSA-N 0 3 319.807 4.310 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2ccc(C(=O)NC)cc2)cc1 ZINC000527853299 337769179 /nfs/dbraw/zinc/76/91/79/337769179.db2.gz ULDQMFQOAMFMKG-JKSUJKDBSA-N 0 3 324.468 4.411 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCC2([S@@](C)=O)CCC2)cc1 ZINC000527893540 337772243 /nfs/dbraw/zinc/77/22/43/337772243.db2.gz JZJLDKYDBRWMAB-VFCRVFHLSA-N 0 3 307.503 4.152 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NC[C@H](n1cccn1)C(F)(F)F ZINC000527941131 337773344 /nfs/dbraw/zinc/77/33/44/337773344.db2.gz XHDMCCQWKYFIKI-SNPRPXQTSA-N 0 3 323.362 4.133 20 0 DIADHN COCCC1CCN([C@H](C)c2sc(C(C)C)nc2C)CC1 ZINC000527934700 337776697 /nfs/dbraw/zinc/77/66/97/337776697.db2.gz KHWHLYGDGVSEKB-CQSZACIVSA-N 0 3 310.507 4.384 20 0 DIADHN COCCC1CCN([C@H](C)c2ccccc2OC(F)F)CC1 ZINC000527933988 337776710 /nfs/dbraw/zinc/77/67/10/337776710.db2.gz JUPQEMVACUYFDB-CYBMUJFWSA-N 0 3 313.388 4.098 20 0 DIADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1ncc(Br)s1 ZINC000527985034 337777671 /nfs/dbraw/zinc/77/76/71/337777671.db2.gz MFVFDPZASPKINQ-GHMZBOCLSA-N 0 3 315.280 4.303 20 0 DIADHN Cn1cc2ccc(CN[C@H]3CCCCc4ccccc43)cc2n1 ZINC000527963830 337780574 /nfs/dbraw/zinc/78/05/74/337780574.db2.gz QFNXPCJGKFCLSQ-IBGZPJMESA-N 0 3 305.425 4.131 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3cn(C)nc3c2)cc(C)c1F ZINC000527966976 337780860 /nfs/dbraw/zinc/78/08/60/337780860.db2.gz WLYNEOVMBNDFIF-CQSZACIVSA-N 0 3 311.404 4.180 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccc(F)c(F)c2)C2CC2)cn1 ZINC000528043659 337785629 /nfs/dbraw/zinc/78/56/29/337785629.db2.gz PCALHQYOIJPEPB-VOJFVSQTSA-N 0 3 318.367 4.170 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cncn1C(C)C ZINC000424163599 337788212 /nfs/dbraw/zinc/78/82/12/337788212.db2.gz VOKZVUOUSBBBEL-HNNXBMFYSA-N 0 3 303.475 4.125 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](N[C@@H]2C[C@@]2(F)c2ccccc2)C1 ZINC000424190347 337803797 /nfs/dbraw/zinc/80/37/97/337803797.db2.gz GBYRFJKCIODPKA-LXTVHRRPSA-N 0 3 301.327 4.334 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](NCc3ccc(Cl)cc3Cl)C[C@H]2C1 ZINC000424267740 337813668 /nfs/dbraw/zinc/81/36/68/337813668.db2.gz YMEDHEWDNVUMPM-AIXYUVMFSA-N 0 3 314.256 4.287 20 0 DIADHN CC(C)CCOCCN[C@@H](Cc1ccncc1)c1cccs1 ZINC000424267169 337813672 /nfs/dbraw/zinc/81/36/72/337813672.db2.gz JXRKZWBHFLEMBF-KRWDZBQOSA-N 0 3 318.486 4.079 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCOC3(CCC3)C2)c(Cl)c1 ZINC000424278040 337814523 /nfs/dbraw/zinc/81/45/23/337814523.db2.gz CRNUXJOLMDLWKR-CHWSQXEVSA-N 0 3 309.837 4.101 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2C[C@H]2C2CCC2)c2cccnc2)cc1 ZINC000424284342 337815327 /nfs/dbraw/zinc/81/53/27/337815327.db2.gz CFWKDNRCMXXYIA-IHPCNDPISA-N 0 3 310.416 4.336 20 0 DIADHN COc1ccc([C@H](C)NC[C@]2(C)CCO[C@H]2C2CC2)c(Cl)c1 ZINC000424286843 337815438 /nfs/dbraw/zinc/81/54/38/337815438.db2.gz WNFGOHKPOMIHEV-IGNZVWTISA-N 0 3 323.864 4.204 20 0 DIADHN COc1ccc([C@H](C)NCCC[C@H]2CCCCO2)c(Cl)c1 ZINC000424287256 337815557 /nfs/dbraw/zinc/81/55/57/337815557.db2.gz XIPPQCDCPNIGRB-UONOGXRCSA-N 0 3 311.853 4.349 20 0 DIADHN CSC[C@@H](N[C@@H]1CC12CC2)c1ccc(C(F)(F)F)cc1 ZINC000424321277 337820323 /nfs/dbraw/zinc/82/03/23/337820323.db2.gz KDEPTPIVRQXPEH-CHWSQXEVSA-N 0 3 301.377 4.252 20 0 DIADHN Fc1ccccc1-c1nc2c(s1)[C@@H](N[C@H]1CC13CC3)CCC2 ZINC000424321399 337820493 /nfs/dbraw/zinc/82/04/93/337820493.db2.gz KICJLMUVCMUARV-ZFWWWQNUSA-N 0 3 314.429 4.469 20 0 DIADHN Cc1cccc(CNC2(c3ccc(Cl)cc3)CCOCC2)c1 ZINC000107897760 337832797 /nfs/dbraw/zinc/83/27/97/337832797.db2.gz PAGYOGYQBSLEKU-UHFFFAOYSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCCC[C@@H]2CCC(C)C)c1 ZINC000424437782 337833236 /nfs/dbraw/zinc/83/32/36/337833236.db2.gz ONGKYSLBDJOXPO-GOSISDBHSA-N 0 3 317.477 4.276 20 0 DIADHN Cc1ccc([C@H](NC(=O)Nc2cc(C)cc(CN)c2)C2CC2)cc1 ZINC000424448423 337833726 /nfs/dbraw/zinc/83/37/26/337833726.db2.gz XTVFKWSYIZHXKP-IBGZPJMESA-N 0 3 323.440 4.035 20 0 DIADHN C[C@H](c1nc(-c2c[nH]c3ccccc23)no1)N1[C@H](C)CC[C@H]1C ZINC000445441406 337834296 /nfs/dbraw/zinc/83/42/96/337834296.db2.gz HBCQFSSCOGEPEM-JHJVBQTASA-N 0 3 310.401 4.152 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(OCC2CCCCC2)CC1 ZINC000533290879 337839038 /nfs/dbraw/zinc/83/90/38/337839038.db2.gz UWQBDUZKCIVTOQ-MRXNPFEDSA-N 0 3 302.462 4.204 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(C)ccc2C)cc1 ZINC000056201584 337850528 /nfs/dbraw/zinc/85/05/28/337850528.db2.gz ADUZWWWJNGKBHW-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccccc2Cn2cccn2)c1 ZINC000119219402 337856457 /nfs/dbraw/zinc/85/64/57/337856457.db2.gz LPBWLQJGMCBRHN-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(OC)c(F)c1 ZINC000119550886 337861320 /nfs/dbraw/zinc/86/13/20/337861320.db2.gz ASTRAILPOXCCBP-CQSZACIVSA-N 0 3 317.404 4.222 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000119574719 337861928 /nfs/dbraw/zinc/86/19/28/337861928.db2.gz LYNKPISIOTXXAY-ZDUSSCGKSA-N 0 3 321.367 4.285 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(Cl)sc(Cl)c21)[C@@H]1CCOC1 ZINC000119588473 337862145 /nfs/dbraw/zinc/86/21/45/337862145.db2.gz KNRVFYYJLNRLFJ-IQJOONFLSA-N 0 3 320.285 4.447 20 0 DIADHN CO[C@H](CN[C@H](c1cccnc1)C(C)C)c1ccc(Cl)cc1 ZINC000537013248 337863127 /nfs/dbraw/zinc/86/31/27/337863127.db2.gz WWNKUMFGDXXPLC-MSOLQXFVSA-N 0 3 318.848 4.409 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)cc(OC)c2)C(C)C)cc1 ZINC000537012023 337864495 /nfs/dbraw/zinc/86/44/95/337864495.db2.gz AUJIOTSEIUEBHR-FQEVSTJZSA-N 0 3 313.441 4.499 20 0 DIADHN CC(C)C1(CN[C@@H](C)c2nc3ccc(Cl)cc3n2C)CC1 ZINC000537013391 337865053 /nfs/dbraw/zinc/86/50/53/337865053.db2.gz BEVRXIGVPPUYQZ-LBPRGKRZSA-N 0 3 305.853 4.314 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(OC)cc2F)C(C)C)cc1 ZINC000537013872 337865278 /nfs/dbraw/zinc/86/52/78/337865278.db2.gz UMCFWBXBIMPVLN-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@@H]2CCC[C@@H]2C2CC2)n1 ZINC000537014806 337865793 /nfs/dbraw/zinc/86/57/93/337865793.db2.gz BNYVSUMVEBGXKO-WOJBJXKFSA-N 0 3 323.484 4.184 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2cccc(N(C)C)c2)cc1 ZINC000119911734 337867660 /nfs/dbraw/zinc/86/76/60/337867660.db2.gz HIBLQPQUHBZMIS-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@@H]1CCC[C@@H](F)C1 ZINC000424582091 337873330 /nfs/dbraw/zinc/87/33/30/337873330.db2.gz ILCWXWBOYGEKCB-IAGOWNOFSA-N 0 3 318.436 4.139 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2C)C(C)C)c(C)o1 ZINC000537060336 337885455 /nfs/dbraw/zinc/88/54/55/337885455.db2.gz ZACBEPYIOAMTOZ-GOSISDBHSA-N 0 3 315.413 4.170 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1ccc(C)cc1 ZINC000090879774 337886190 /nfs/dbraw/zinc/88/61/90/337886190.db2.gz IEHVKKXDGKWJPG-JXFKEZNVSA-N 0 3 320.440 4.378 20 0 DIADHN CC(C)n1cc([C@@H](C)NCC2(c3ccc(F)cc3F)CC2)cn1 ZINC000090919231 337887179 /nfs/dbraw/zinc/88/71/79/337887179.db2.gz ZWRBFMHUXMKMBV-CYBMUJFWSA-N 0 3 319.399 4.125 20 0 DIADHN CC(C)[C@H](NCCC(=O)NC1CCCC1)c1ccccc1Cl ZINC000537086022 337887890 /nfs/dbraw/zinc/88/78/90/337887890.db2.gz YKAIWRADHXFYMC-SFHVURJKSA-N 0 3 322.880 4.076 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)N(C)[C@@H](C)c2ccccc2)c1 ZINC000537089065 337888341 /nfs/dbraw/zinc/88/83/41/337888341.db2.gz NVRXZOHGTFYXGI-KRWDZBQOSA-N 0 3 324.468 4.039 20 0 DIADHN CC[C@H](CSC)N[C@@H](CC)c1ccccc1OC(F)F ZINC000537092314 337889118 /nfs/dbraw/zinc/88/91/18/337889118.db2.gz RSMAFSGGSYUGIT-YPMHNXCESA-N 0 3 303.418 4.470 20 0 DIADHN COc1ccc(CNCc2cc(-c3ccccc3)cs2)cn1 ZINC000121473710 337893751 /nfs/dbraw/zinc/89/37/51/337893751.db2.gz XRCOLCCUDTVTQP-UHFFFAOYSA-N 0 3 310.422 4.109 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000122123103 337908031 /nfs/dbraw/zinc/90/80/31/337908031.db2.gz MCKZNLFERFKNJV-HNNXBMFYSA-N 0 3 301.405 4.440 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](c2cccs2)C2CC2)s1 ZINC000537212957 337915760 /nfs/dbraw/zinc/91/57/60/337915760.db2.gz BRMMJUUWNVGKLC-OAHLLOKOSA-N 0 3 321.515 4.292 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@H](CCO)CSC ZINC000537292197 337928479 /nfs/dbraw/zinc/92/84/79/337928479.db2.gz GODSZEXTBAAWLJ-UONOGXRCSA-N 0 3 321.486 4.068 20 0 DIADHN Cc1ccccc1[C@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)C1CC1 ZINC000537292232 337928488 /nfs/dbraw/zinc/92/84/88/337928488.db2.gz XDFBBKYZRVEPPK-VQIMIIECSA-N 0 3 316.489 4.070 20 0 DIADHN C[C@@H](NCC1([C@@H](C)CCO)CCC1)c1ccc(F)cc1Cl ZINC000537308937 337930264 /nfs/dbraw/zinc/93/02/64/337930264.db2.gz RBGATKVRXYIXIA-QWHCGFSZSA-N 0 3 313.844 4.319 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@H](NCc2cnc(-c3ccsc3)s2)C1(C)C ZINC000537386265 337934477 /nfs/dbraw/zinc/93/44/77/337934477.db2.gz IYCFIZPJBXOEOY-LEWSCRJBSA-N 0 3 322.499 4.021 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cccc2cc[nH]c21 ZINC000537386397 337934589 /nfs/dbraw/zinc/93/45/89/337934589.db2.gz PCDAUFCYAOOXAG-DJJJIMSYSA-N 0 3 312.388 4.173 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1cccc(OC(F)(F)F)c1 ZINC000537423286 337935718 /nfs/dbraw/zinc/93/57/18/337935718.db2.gz YQQUTOOKBOLOTQ-LLVKDONJSA-N 0 3 305.340 4.051 20 0 DIADHN COc1ccc(C2(N[C@H](C)c3ccc4c(c3)COC4)CC2)cc1 ZINC000537422906 337935751 /nfs/dbraw/zinc/93/57/51/337935751.db2.gz JXCQEOMUQGCOBA-CQSZACIVSA-N 0 3 309.409 4.065 20 0 DIADHN CCOc1ccc([C@H](C)NC2(c3ccc(OC)cc3)CC2)cc1 ZINC000537422353 337935755 /nfs/dbraw/zinc/93/57/55/337935755.db2.gz GDVCZNQNDBNHOM-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN COc1ccc(CN[C@H]2CCO[C@H](CC(C)C)C2)c(Cl)c1 ZINC000537431974 337936006 /nfs/dbraw/zinc/93/60/06/337936006.db2.gz OXKMOFGMILJYIE-GOEBONIOSA-N 0 3 311.853 4.032 20 0 DIADHN CC[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1ccc(C)cc1 ZINC000537448196 337936640 /nfs/dbraw/zinc/93/66/40/337936640.db2.gz LKOVHPSUGKTDQD-QGZVFWFLSA-N 0 3 309.409 4.454 20 0 DIADHN COCC1(N[C@@H](C)c2csc(-c3csc(C)n3)c2)CC1 ZINC000537449079 337936749 /nfs/dbraw/zinc/93/67/49/337936749.db2.gz INKRKFOYKVLBBY-JTQLQIEISA-N 0 3 308.472 4.010 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)cc1C ZINC000123025913 337939540 /nfs/dbraw/zinc/93/95/40/337939540.db2.gz UZYSXAKGQQDMEB-GJZGRUSLSA-N 0 3 311.429 4.206 20 0 DIADHN Cc1ccc(N[C@H](C)c2ccc(OCCN(C)C)cc2)c(C)c1 ZINC000537520878 337939725 /nfs/dbraw/zinc/93/97/25/337939725.db2.gz PIEYELVQAOFGGX-QGZVFWFLSA-N 0 3 312.457 4.417 20 0 DIADHN Cc1ccc(NC(=O)c2coc3c2cccc3C)c(CN(C)C)c1 ZINC000424668999 337945303 /nfs/dbraw/zinc/94/53/03/337945303.db2.gz ROBZWFSLNKUENQ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN C[C@@]1(NCc2ccc(-n3ccnc3)cc2)CCCc2ccccc21 ZINC000537605600 337947475 /nfs/dbraw/zinc/94/74/75/337947475.db2.gz RWPIHIPPGYQBJQ-OAQYLSRUSA-N 0 3 317.436 4.214 20 0 DIADHN c1csc(-c2ncc(CN3C[C@@H]4CCCC[C@H]4C3)s2)c1 ZINC000537627149 337949973 /nfs/dbraw/zinc/94/99/73/337949973.db2.gz NMAOWMMMXNVZGX-STQMWFEESA-N 0 3 304.484 4.494 20 0 DIADHN CCc1ccc(-c2ccc(CN3CCC(O)(CC)CC3)o2)cc1 ZINC000537618074 337952208 /nfs/dbraw/zinc/95/22/08/337952208.db2.gz OXFLJQHZDPGLJG-UHFFFAOYSA-N 0 3 313.441 4.246 20 0 DIADHN C[C@H](NC[C@@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000537765848 337961764 /nfs/dbraw/zinc/96/17/64/337961764.db2.gz ZOBLCTFFNQKXIR-QLFBSQMISA-N 0 3 316.470 4.276 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2csc(-c3cccs3)n2)CCO1 ZINC000537866304 337963941 /nfs/dbraw/zinc/96/39/41/337963941.db2.gz YJJFYDUMELFCIC-GXTWGEPZSA-N 0 3 322.499 4.165 20 0 DIADHN OC1(CN[C@H](c2cc3ccccc3o2)c2ccccc2)CCC1 ZINC000514766772 337970528 /nfs/dbraw/zinc/97/05/28/337970528.db2.gz QCPHKLLZHKCAED-IBGZPJMESA-N 0 3 307.393 4.027 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1ccccc1OC(F)(F)F ZINC000514833987 337972592 /nfs/dbraw/zinc/97/25/92/337972592.db2.gz SBNRYFBTAKKPBF-GFCCVEGCSA-N 0 3 317.351 4.053 20 0 DIADHN CCC(CC)(CNCc1ccc(F)cc1C(F)(F)F)OC ZINC000314999384 337978783 /nfs/dbraw/zinc/97/87/83/337978783.db2.gz ZSEGKKQFFDTDOD-UHFFFAOYSA-N 0 3 307.331 4.139 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2ncccc2c1)c1ccccc1 ZINC000515094011 337979137 /nfs/dbraw/zinc/97/91/37/337979137.db2.gz XJUUNXYGAHUTLY-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN Brc1ccc(CNCc2coc3ccccc23)cc1 ZINC000083601953 337996617 /nfs/dbraw/zinc/99/66/17/337996617.db2.gz ZHKRLDFMXKVSOB-UHFFFAOYSA-N 0 3 316.198 4.485 20 0 DIADHN CC(C)CCC(=O)NC[C@@H](c1ccccc1)N1CCC(C)CC1 ZINC000515978996 338001824 /nfs/dbraw/zinc/00/18/24/338001824.db2.gz YHOJBGHMZYYWOT-IBGZPJMESA-N 0 3 316.489 4.012 20 0 DIADHN Fc1ccc(CCNCc2cnc(-c3ccco3)s2)cc1F ZINC000515951274 338002051 /nfs/dbraw/zinc/00/20/51/338002051.db2.gz BZKMTZTXBUUHRC-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN Cc1cccc(CCN2CCCC[C@H]2c2nc3ccccc3o2)n1 ZINC000516056089 338003257 /nfs/dbraw/zinc/00/32/57/338003257.db2.gz LTNOPVAHAFZSSJ-SFHVURJKSA-N 0 3 321.424 4.301 20 0 DIADHN Cc1ccc(/C=C/CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000084742779 338004810 /nfs/dbraw/zinc/00/48/10/338004810.db2.gz FMVIWKLIPAERJW-AATRIKPKSA-N 0 3 308.425 4.099 20 0 DIADHN C[C@H](CC1CC1)NCc1cc(Cl)ccc1Br ZINC000085220733 338007526 /nfs/dbraw/zinc/00/75/26/338007526.db2.gz MOUWPLKSHMZMQJ-SECBINFHSA-N 0 3 302.643 4.381 20 0 DIADHN CC(C)[C@@H](C)NC(=O)CN1CCC[C@H]1c1cccc2ccccc21 ZINC000516309190 338009403 /nfs/dbraw/zinc/00/94/03/338009403.db2.gz KNBXKVRRCCCLBO-UZLBHIALSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](NCc1cc(-c2cccnc2)n[nH]1)c1cccc(C2CC2)c1 ZINC000516393103 338011719 /nfs/dbraw/zinc/01/17/19/338011719.db2.gz PZBZWBLFODRKMA-AWEZNQCLSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@@](CO)(NCc1cscc1C(F)(F)F)C1CCCCC1 ZINC000516519569 338014381 /nfs/dbraw/zinc/01/43/81/338014381.db2.gz VZBDZALWFBPENK-AWEZNQCLSA-N 0 3 321.408 4.188 20 0 DIADHN Cc1ccc(F)c(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)c1 ZINC000445451885 338016608 /nfs/dbraw/zinc/01/66/08/338016608.db2.gz LRJIKHQZFQQHJY-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN COc1cccc(CCN[C@@H](C)c2cc3ccc(C)cc3o2)n1 ZINC000426048259 338020210 /nfs/dbraw/zinc/02/02/10/338020210.db2.gz IICOSPMETXELMY-AWEZNQCLSA-N 0 3 310.397 4.038 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)C[C@@H](C)c1nccs1 ZINC000426157913 338021485 /nfs/dbraw/zinc/02/14/85/338021485.db2.gz ZKBOEULTVNWZTI-GFCCVEGCSA-N 0 3 324.877 4.431 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC[C@H]1C1CCOCC1 ZINC000426158233 338021651 /nfs/dbraw/zinc/02/16/51/338021651.db2.gz BRIGCEFKBCLTAK-KRWDZBQOSA-N 0 3 323.864 4.130 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC2(CCOCC2)[C@H]1C ZINC000426170797 338022189 /nfs/dbraw/zinc/02/21/89/338022189.db2.gz QRGJUVAPQHBDEN-CQSZACIVSA-N 0 3 323.864 4.130 20 0 DIADHN CC[C@H](NCCc1nnc(C(C)C)s1)c1ccccc1F ZINC000426293791 338024915 /nfs/dbraw/zinc/02/49/15/338024915.db2.gz RPQHPDVKPIKXGG-AWEZNQCLSA-N 0 3 307.438 4.084 20 0 DIADHN CC(C)c1nnc(CCN[C@H]2CC(C)(C)Cc3occc32)s1 ZINC000426297206 338025194 /nfs/dbraw/zinc/02/51/94/338025194.db2.gz XTXXWYVMHJGKFE-ZDUSSCGKSA-N 0 3 319.474 4.100 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000426301974 338025567 /nfs/dbraw/zinc/02/55/67/338025567.db2.gz GHDOIOIXGPLBQA-DFQSSKMNSA-N 0 3 311.400 4.150 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2oc(CCC)nc2C)C1(C)C ZINC000426300415 338025579 /nfs/dbraw/zinc/02/55/79/338025579.db2.gz FPFYJVWTIAGHOY-HOTGVXAUSA-N 0 3 308.466 4.009 20 0 DIADHN COCC(C)(C)CN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000426326273 338027011 /nfs/dbraw/zinc/02/70/11/338027011.db2.gz XXXSKNHDCQZYQP-IBGZPJMESA-N 0 3 324.424 4.179 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@@H](OCC)C2(CC)CC)o1 ZINC000426328047 338027186 /nfs/dbraw/zinc/02/71/86/338027186.db2.gz AYFWWJJFWFMRGY-HZPDHXFCSA-N 0 3 308.466 4.009 20 0 DIADHN CC[C@H](O)CN[C@H](c1ccccc1)c1cccc2ccccc21 ZINC000426327715 338027188 /nfs/dbraw/zinc/02/71/88/338027188.db2.gz VUBCOLNKCZHUST-GHTZIAJQSA-N 0 3 305.421 4.290 20 0 DIADHN CCc1nc(C)c(CN[C@@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000426340705 338027800 /nfs/dbraw/zinc/02/78/00/338027800.db2.gz UUDWGBJDYWXQIV-INIZCTEOSA-N 0 3 304.409 4.041 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@H](OCC)C23CCCCC3)o1 ZINC000426339136 338027852 /nfs/dbraw/zinc/02/78/52/338027852.db2.gz JGBUESTVXHMXSC-IRXDYDNUSA-N 0 3 320.477 4.153 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)Cc2ccccc2SC)o1 ZINC000426345630 338028100 /nfs/dbraw/zinc/02/81/00/338028100.db2.gz AHRLTGHYVZOPAL-CYBMUJFWSA-N 0 3 318.486 4.378 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2cc3ccccc3n(C)c2=O)c1 ZINC000426353950 338028672 /nfs/dbraw/zinc/02/86/72/338028672.db2.gz XJBQFMMVORWCTG-INIZCTEOSA-N 0 3 320.436 4.006 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1ccc(N2CCCC2)nc1 ZINC000426375311 338029399 /nfs/dbraw/zinc/02/93/99/338029399.db2.gz BSNLEKNHRDLCNF-NBVRZTHBSA-N 0 3 321.468 4.217 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN[C@H](c1ccccc1)C(C)C ZINC000426397450 338030418 /nfs/dbraw/zinc/03/04/18/338030418.db2.gz SELXDGMGMKRZGK-SFHVURJKSA-N 0 3 315.413 4.116 20 0 DIADHN Cc1nc2ccc(CN3CC[C@H](Nc4ccccc4)C3)cc2s1 ZINC000426419308 338031738 /nfs/dbraw/zinc/03/17/38/338031738.db2.gz KJXMZNMECDFYAY-KRWDZBQOSA-N 0 3 323.465 4.291 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@H]3CCC[C@@H]32)cs1 ZINC000426463381 338032907 /nfs/dbraw/zinc/03/29/07/338032907.db2.gz VYIORDHYGQALFA-CJNGLKHVSA-N 0 3 314.454 4.193 20 0 DIADHN Clc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]43)co2)cc1 ZINC000426491127 338033494 /nfs/dbraw/zinc/03/34/94/338033494.db2.gz FJYRXVSYPGUSMZ-LRDDRELGSA-N 0 3 302.805 4.369 20 0 DIADHN COc1ccccc1CN(C)C[C@H](OC(C)C)c1ccccc1 ZINC000428217044 338042786 /nfs/dbraw/zinc/04/27/86/338042786.db2.gz HYLKWITXRWGKLO-FQEVSTJZSA-N 0 3 313.441 4.293 20 0 DIADHN Cc1nc(CN(Cc2cccc3ccccc32)C2CCCC2)n[nH]1 ZINC000428228558 338043081 /nfs/dbraw/zinc/04/30/81/338043081.db2.gz LYJVLBZKFAFRSF-UHFFFAOYSA-N 0 3 320.440 4.211 20 0 DIADHN CC(C)c1nc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)n[nH]1 ZINC000428227798 338043113 /nfs/dbraw/zinc/04/31/13/338043113.db2.gz KKCACEHAOIVEPT-STQMWFEESA-N 0 3 320.868 4.206 20 0 DIADHN CCCCOC1CCN(C[C@H](OC(C)C)c2ccccc2)CC1 ZINC000428229805 338043273 /nfs/dbraw/zinc/04/32/73/338043273.db2.gz XTEXPCXJXILDIQ-FQEVSTJZSA-N 0 3 319.489 4.434 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1oc(CC)nc1C ZINC000428230411 338043365 /nfs/dbraw/zinc/04/33/65/338043365.db2.gz ZCXWWHBZLSPICW-UHFFFAOYSA-N 0 3 304.409 4.139 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@@H](Cc3ccc(F)cc3)C2)o1 ZINC000428243632 338044034 /nfs/dbraw/zinc/04/40/34/338044034.db2.gz NQUZBNASSYXYHP-INIZCTEOSA-N 0 3 316.420 4.139 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@]3(CCCc4ccccc43)C2)cn1 ZINC000428248772 338044254 /nfs/dbraw/zinc/04/42/54/338044254.db2.gz WFVXKDKVEJORCX-LAUBAEHRSA-N 0 3 321.468 4.216 20 0 DIADHN CCCc1nc(C)c(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)o1 ZINC000428250280 338044397 /nfs/dbraw/zinc/04/43/97/338044397.db2.gz ZJMIJRFQFCLLDS-BBRMVZONSA-N 0 3 316.420 4.453 20 0 DIADHN CCc1nc(C)c(CN2CC[C@@H](CSc3ccccc3)C2)o1 ZINC000428252312 338044673 /nfs/dbraw/zinc/04/46/73/338044673.db2.gz GWSQEFCFHLRVJW-OAHLLOKOSA-N 0 3 316.470 4.160 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2oc(CC)nc2C)C12CCCCC2 ZINC000428255857 338044766 /nfs/dbraw/zinc/04/47/66/338044766.db2.gz LWVBGXBESHOLJC-IRXDYDNUSA-N 0 3 320.477 4.105 20 0 DIADHN Cc1cc(CN2CCCC[C@H]2CCCc2ccccc2)ncn1 ZINC000428296996 338046281 /nfs/dbraw/zinc/04/62/81/338046281.db2.gz ZDSZIJWNVMEHSK-FQEVSTJZSA-N 0 3 309.457 4.162 20 0 DIADHN Cc1ccccc1[C@@H](NCc1n[nH]c(C(C)C)n1)C(C)(C)C ZINC000428359837 338048703 /nfs/dbraw/zinc/04/87/03/338048703.db2.gz JPDHPAMTVMNLOG-MRXNPFEDSA-N 0 3 300.450 4.114 20 0 DIADHN O[C@H]1CN(Cc2ccc(-c3ccccc3Cl)s2)CC12CC2 ZINC000428385279 338049718 /nfs/dbraw/zinc/04/97/18/338049718.db2.gz YINKRVUKNVFSGB-INIZCTEOSA-N 0 3 319.857 4.025 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NCc2n[nH]c(C)n2)C(C)C)cc1 ZINC000428387526 338049817 /nfs/dbraw/zinc/04/98/17/338049817.db2.gz GPKSDPYGHZSZDP-FZKQIMNGSA-N 0 3 300.450 4.114 20 0 DIADHN Cc1cc(CN2CCC(c3cccc4ccccc43)CC2)ncn1 ZINC000428402617 338050421 /nfs/dbraw/zinc/05/04/21/338050421.db2.gz SAOWIJAZZQBUKF-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(CC(C)(C)O)C2CC2)o1 ZINC000428409490 338050599 /nfs/dbraw/zinc/05/05/99/338050599.db2.gz VWGUHRVYTZWTBK-UHFFFAOYSA-N 0 3 317.404 4.129 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2oc(C(C)C)nc2C)C1(CC)CC ZINC000428422340 338050784 /nfs/dbraw/zinc/05/07/84/338050784.db2.gz LENYMBQGQVAWBE-CVEARBPZSA-N 0 3 308.466 4.180 20 0 DIADHN CC(C)c1nnc(C[N@@H+]2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000428435095 338051417 /nfs/dbraw/zinc/05/14/17/338051417.db2.gz BROKUMMJCYHUDS-KSZLIROESA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(C[N@H+]2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000428435095 338051418 /nfs/dbraw/zinc/05/14/18/338051418.db2.gz BROKUMMJCYHUDS-KSZLIROESA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)[nH]1 ZINC000428435095 338051419 /nfs/dbraw/zinc/05/14/19/338051419.db2.gz BROKUMMJCYHUDS-KSZLIROESA-N 0 3 324.472 4.086 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@@H]2Cc2cccc(F)c2)o1 ZINC000428453247 338052470 /nfs/dbraw/zinc/05/24/70/338052470.db2.gz FOOYJBXFTQTZOO-QGZVFWFLSA-N 0 3 316.420 4.282 20 0 DIADHN CCN(Cc1cnc2ccc(C)cn12)[C@H](C)Cc1ccsc1 ZINC000428468100 338053744 /nfs/dbraw/zinc/05/37/44/338053744.db2.gz COYUBIYCZWRQDZ-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCC[C@@H]32)c(-c2ccccc2Cl)n1 ZINC000428466096 338053778 /nfs/dbraw/zinc/05/37/78/338053778.db2.gz VYBMRUPHMQVWNO-GUYCJALGSA-N 0 3 315.848 4.115 20 0 DIADHN CCN(CC1=Cc2ccccc2OC1)[C@H](C)Cc1ccsc1 ZINC000428469756 338054017 /nfs/dbraw/zinc/05/40/17/338054017.db2.gz WYGGMDGREUAUDP-OAHLLOKOSA-N 0 3 313.466 4.477 20 0 DIADHN CC(C)O[C@H](CN1CCC[C@H](C(F)(F)F)C1)c1ccccc1 ZINC000428471757 338054136 /nfs/dbraw/zinc/05/41/36/338054136.db2.gz YVGXUWATNYCGEU-JKSUJKDBSA-N 0 3 315.379 4.427 20 0 DIADHN CCN(Cc1cnc2c(C)cccn12)[C@H](C)Cc1ccsc1 ZINC000428471374 338054299 /nfs/dbraw/zinc/05/42/99/338054299.db2.gz XFTHZBJNODTOOP-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN C[C@@](O)(CNCc1ccc(C(F)(F)F)s1)C1CCCCC1 ZINC000428527798 338055557 /nfs/dbraw/zinc/05/55/57/338055557.db2.gz BSZMLDZQQYTYTH-CQSZACIVSA-N 0 3 321.408 4.188 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CN[C@@H]1CC12CCCC2 ZINC000428540948 338055694 /nfs/dbraw/zinc/05/56/94/338055694.db2.gz DIANHBKCVQSOPS-GOSISDBHSA-N 0 3 313.420 4.051 20 0 DIADHN CCOc1cc(CNC2CC(F)(F)C2)ccc1OCC(C)C ZINC000428644762 338057689 /nfs/dbraw/zinc/05/76/89/338057689.db2.gz AIXXIQJCJCHSBC-UHFFFAOYSA-N 0 3 313.388 4.007 20 0 DIADHN Cc1ccc(OCCCN2CC[C@@]3(C)[C@@H](C2)C3(F)F)cc1C ZINC000428705592 338058804 /nfs/dbraw/zinc/05/88/04/338058804.db2.gz CUBCNVJLSXWPRH-SJORKVTESA-N 0 3 309.400 4.049 20 0 DIADHN Cc1ccc(CC2CCN([C@@H](C)c3cnccn3)CC2)cc1C ZINC000428729343 338059893 /nfs/dbraw/zinc/05/98/93/338059893.db2.gz HTSPBVJANRJMRU-KRWDZBQOSA-N 0 3 309.457 4.109 20 0 DIADHN Cc1cc(F)ccc1C1CCN(Cc2ccc(CO)cc2)CC1 ZINC000428731049 338059908 /nfs/dbraw/zinc/05/99/08/338059908.db2.gz XOQSFYJXQRTSST-UHFFFAOYSA-N 0 3 313.416 4.006 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(Cc3ccc(C)c(C)c3)CC2)cn1 ZINC000428754196 338060579 /nfs/dbraw/zinc/06/05/79/338060579.db2.gz YZVSWFGVLXSZGZ-SFHVURJKSA-N 0 3 323.484 4.418 20 0 DIADHN Cc1nn(C)c2ncc(CN(C(C)C)[C@H](C)c3ccccc3)cc12 ZINC000428849331 338062223 /nfs/dbraw/zinc/06/22/23/338062223.db2.gz KGFOODXNASPTGI-MRXNPFEDSA-N 0 3 322.456 4.248 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1nc2ccc(F)cc2s1 ZINC000428855962 338062467 /nfs/dbraw/zinc/06/24/67/338062467.db2.gz KLWRQWVGQWDLPC-ZDUSSCGKSA-N 0 3 314.429 4.465 20 0 DIADHN Cc1cccc(CCNCc2nc3ccc(F)cc3s2)c1 ZINC000428861391 338062876 /nfs/dbraw/zinc/06/28/76/338062876.db2.gz PYNSIWHALBIPDO-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN CN(C)Cc1cccc(NCc2nc3ccc(F)cc3s2)c1 ZINC000428859796 338062906 /nfs/dbraw/zinc/06/29/06/338062906.db2.gz JHNFGUPQQJSANU-UHFFFAOYSA-N 0 3 315.417 4.109 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)(F)F)s1)c1nccs1 ZINC000428864822 338063206 /nfs/dbraw/zinc/06/32/06/338063206.db2.gz AJAXTISYNMMGME-QMMMGPOBSA-N 0 3 306.378 4.117 20 0 DIADHN Fc1ccc2nc(CNCCCNc3ccccc3)sc2c1 ZINC000428876788 338064154 /nfs/dbraw/zinc/06/41/54/338064154.db2.gz PBWLTXHLHZYCFW-UHFFFAOYSA-N 0 3 315.417 4.027 20 0 DIADHN CCOc1ccc(CNCc2ccc(C(F)(F)F)s2)cc1 ZINC000428889348 338064725 /nfs/dbraw/zinc/06/47/25/338064725.db2.gz RBPTWVYMQYWBNV-UHFFFAOYSA-N 0 3 315.360 4.455 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@](C)(c3ccc(F)cc3)C2)o1 ZINC000428973503 338067284 /nfs/dbraw/zinc/06/72/84/338067284.db2.gz YGCSQCJQLUASLB-IBGZPJMESA-N 0 3 316.420 4.238 20 0 DIADHN CC(C)O[C@@H](CN1CC[C@H](CC(F)(F)F)C1)c1ccccc1 ZINC000428985599 338067705 /nfs/dbraw/zinc/06/77/05/338067705.db2.gz KTPIOXIZLMQILW-ZBFHGGJFSA-N 0 3 315.379 4.427 20 0 DIADHN CC(C)O[C@H](CN1CC[C@@H](CC(F)(F)F)C1)c1ccccc1 ZINC000428985597 338067864 /nfs/dbraw/zinc/06/78/64/338067864.db2.gz KTPIOXIZLMQILW-GOEBONIOSA-N 0 3 315.379 4.427 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCOC[C@H](C3CCC3)C1)CC2 ZINC000429031496 338069415 /nfs/dbraw/zinc/06/94/15/338069415.db2.gz ULKBNVRZFQIFEC-QAPCUYQASA-N 0 3 305.849 4.076 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccc(-n3cncn3)cc2)cc1C ZINC000429058294 338070011 /nfs/dbraw/zinc/07/00/11/338070011.db2.gz FZPKYNMXLRKDDB-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN Clc1cccc(S[C@H]2CCN(CCc3cccnc3)C2)c1 ZINC000429123250 338071217 /nfs/dbraw/zinc/07/12/17/338071217.db2.gz SYXOEVZOFQVFGS-KRWDZBQOSA-N 0 3 318.873 4.144 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN(C1CCC1)C1CCC1 ZINC000429121163 338071230 /nfs/dbraw/zinc/07/12/30/338071230.db2.gz DPDRZDGLPNHPRX-UHFFFAOYSA-N 0 3 301.430 4.195 20 0 DIADHN Clc1cccc(S[C@H]2CCN(CCOC3CCC3)C2)c1 ZINC000429133262 338071504 /nfs/dbraw/zinc/07/15/04/338071504.db2.gz YCRMCUVYJMDQQL-INIZCTEOSA-N 0 3 311.878 4.076 20 0 DIADHN CCn1c2ccc(F)cc2nc1CN(C1CCC1)C1CCC1 ZINC000429153455 338072009 /nfs/dbraw/zinc/07/20/09/338072009.db2.gz VIGXWRGKWWSFHE-UHFFFAOYSA-N 0 3 301.409 4.102 20 0 DIADHN Cc1cccnc1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429173051 338072364 /nfs/dbraw/zinc/07/23/64/338072364.db2.gz UIHBLRDSOISMCM-INIZCTEOSA-N 0 3 318.873 4.410 20 0 DIADHN C[C@@H](NCCOc1ccc2ccccc2c1)c1cccc(O)c1 ZINC000429311764 338077356 /nfs/dbraw/zinc/07/73/56/338077356.db2.gz RHEPVNBNSBIRCG-OAHLLOKOSA-N 0 3 307.393 4.275 20 0 DIADHN COC1(C[C@@H](C)N[C@H]2CCOc3c(Cl)cccc32)CCC1 ZINC000429422749 338080415 /nfs/dbraw/zinc/08/04/15/338080415.db2.gz AOUXQLVBLPSREB-DOMZBBRYSA-N 0 3 309.837 4.101 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@@H](N[C@@H]1CC13CCCC3)CCC2 ZINC000429428001 338080645 /nfs/dbraw/zinc/08/06/45/338080645.db2.gz OVDAWBACJDIODY-LSDHHAIUSA-N 0 3 310.363 4.400 20 0 DIADHN FC1(F)CC(N[C@@H]2CCCc3c(Br)cccc32)C1 ZINC000429453642 338081494 /nfs/dbraw/zinc/08/14/94/338081494.db2.gz NJBPVCNBZARJKQ-CYBMUJFWSA-N 0 3 316.189 4.214 20 0 DIADHN Cc1c([C@@H](C)NC2CC(F)(F)C2)cnn1-c1cc(C)ccc1C ZINC000429455567 338081642 /nfs/dbraw/zinc/08/16/42/338081642.db2.gz RTPNMDZMXMECLX-CYBMUJFWSA-N 0 3 319.399 4.246 20 0 DIADHN CCC(C)(C)CN[C@H](C)c1ncc(Br)cc1F ZINC000429460621 338081835 /nfs/dbraw/zinc/08/18/35/338081835.db2.gz ZROKHAXORWRGJJ-SECBINFHSA-N 0 3 303.219 4.070 20 0 DIADHN Cn1ccc(CN[C@@H](Cc2ccccc2F)c2ccccc2)c1 ZINC000429527294 338082898 /nfs/dbraw/zinc/08/28/98/338082898.db2.gz LUWWYYYBTQCNND-FQEVSTJZSA-N 0 3 308.400 4.238 20 0 DIADHN c1cc(C2CCN(C[C@H]3CCC4(CCCCC4)O3)CC2)ccn1 ZINC000429575708 338084774 /nfs/dbraw/zinc/08/47/74/338084774.db2.gz DVXIMQKNYUFWII-LJQANCHMSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)Nc2ccc(Cl)cc2Cl)C1 ZINC000429580161 338084982 /nfs/dbraw/zinc/08/49/82/338084982.db2.gz OFOHUBOPQRIAIN-PWSUYJOCSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000429580576 338084990 /nfs/dbraw/zinc/08/49/90/338084990.db2.gz PQDPLPFUMVBABI-SJLPKXTDSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)Nc2cccc(Cl)c2Cl)C1 ZINC000429582962 338085365 /nfs/dbraw/zinc/08/53/65/338085365.db2.gz HUMHUORFVVSLBP-GHMZBOCLSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)N[C@H](c2cccs2)C(C)(C)C)C1 ZINC000429587851 338085448 /nfs/dbraw/zinc/08/54/48/338085448.db2.gz GSJWNBZEHLNWGU-CKEIUWERSA-N 0 3 322.518 4.072 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)N[C@@H](c2cccs2)C(C)(C)C)C1 ZINC000429587854 338085471 /nfs/dbraw/zinc/08/54/71/338085471.db2.gz GSJWNBZEHLNWGU-KEYYUXOJSA-N 0 3 322.518 4.072 20 0 DIADHN COc1cccc(Cl)c1CN[C@H](C)[C@@H]1COc2ccccc21 ZINC000429689798 338087420 /nfs/dbraw/zinc/08/74/20/338087420.db2.gz PRRSLXFXUXRJPT-DOMZBBRYSA-N 0 3 317.816 4.003 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](Nc2ncc(Cl)cc2F)C1 ZINC000429998944 338093160 /nfs/dbraw/zinc/09/31/60/338093160.db2.gz GWYHAYHPPCZGDW-SWLSCSKDSA-N 0 3 319.811 4.122 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](Nc2ncc(Cl)cc2F)C1 ZINC000429998942 338093163 /nfs/dbraw/zinc/09/31/63/338093163.db2.gz GWYHAYHPPCZGDW-DOMZBBRYSA-N 0 3 319.811 4.122 20 0 DIADHN CC(C)OCCCNCc1cc(Cl)ccc1OCC1CC1 ZINC000430001200 338093170 /nfs/dbraw/zinc/09/31/70/338093170.db2.gz CBAIDVQMXOOMRT-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN CCCN(Cc1cnc(-c2ccccc2OC)nc1)CC(C)C ZINC000430023410 338093702 /nfs/dbraw/zinc/09/37/02/338093702.db2.gz NYEKFHLWDQRHCV-UHFFFAOYSA-N 0 3 313.445 4.020 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(-c3ccccn3)s2)CC12CCC2 ZINC000430083110 338095171 /nfs/dbraw/zinc/09/51/71/338095171.db2.gz GIUGXBFYNAICFP-INIZCTEOSA-N 0 3 313.470 4.216 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@H]2C(C)C)ccc1SC ZINC000430084991 338095392 /nfs/dbraw/zinc/09/53/92/338095392.db2.gz LIHTXXABKZZNOM-QGZVFWFLSA-N 0 3 305.487 4.428 20 0 DIADHN C[C@@]12CCN(Cc3cccc(OC4CCCC4)c3)C[C@@H]1C2(F)F ZINC000430089201 338095633 /nfs/dbraw/zinc/09/56/33/338095633.db2.gz XTWPPNFZTBNBSS-ZWKOTPCHSA-N 0 3 321.411 4.485 20 0 DIADHN CN(CCSc1ncc(C(C)(C)C)o1)Cc1ccccc1 ZINC000430091987 338095768 /nfs/dbraw/zinc/09/57/68/338095768.db2.gz VYSMVQVZFRHDRN-UHFFFAOYSA-N 0 3 304.459 4.196 20 0 DIADHN CC1(C)CN(CCCc2ccc3c(c2)CCO3)[C@H]1c1cccnc1 ZINC000430094329 338096082 /nfs/dbraw/zinc/09/60/82/338096082.db2.gz LGGCTZZTLBYGIO-FQEVSTJZSA-N 0 3 322.452 4.032 20 0 DIADHN COc1ccc([C@@H](C)CN2CC(C)(C)[C@H]2c2cccnc2)cc1 ZINC000430095485 338096333 /nfs/dbraw/zinc/09/63/33/338096333.db2.gz BKWMXBZKSUSAPE-HNAYVOBHSA-N 0 3 310.441 4.277 20 0 DIADHN CC(C)[C@@H]1N(Cc2c[nH]nc2-c2ccccc2F)CC12CCC2 ZINC000430103170 338096704 /nfs/dbraw/zinc/09/67/04/338096704.db2.gz GNHGDZJFZRTFPS-SFHVURJKSA-N 0 3 313.420 4.226 20 0 DIADHN Cc1ccc(CC2CCN(Cc3cncs3)CC2)cc1C ZINC000430105296 338096823 /nfs/dbraw/zinc/09/68/23/338096823.db2.gz NHNGFUPKGNAZDG-UHFFFAOYSA-N 0 3 300.471 4.215 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(-c3ccncc3)s2)CC12CCC2 ZINC000430106457 338096979 /nfs/dbraw/zinc/09/69/79/338096979.db2.gz YNUMLYUTUILKLJ-INIZCTEOSA-N 0 3 313.470 4.216 20 0 DIADHN Cc1[nH]nc2ncc(CN(C(C)C)[C@H](C)c3ccccc3)cc12 ZINC000430108538 338097149 /nfs/dbraw/zinc/09/71/49/338097149.db2.gz VYMXYWUHMWSITE-OAHLLOKOSA-N 0 3 308.429 4.238 20 0 DIADHN Cc1cc(F)ccc1C1CCN(C/C=C\c2ccncc2)CC1 ZINC000430112633 338097447 /nfs/dbraw/zinc/09/74/47/338097447.db2.gz YKJPINGXBBTWLY-IHWYPQMZSA-N 0 3 310.416 4.422 20 0 DIADHN Cc1cc2[nH]c(CN3CCOC[C@H](C4CCC4)C3)cc2c(C)c1 ZINC000430114306 338097621 /nfs/dbraw/zinc/09/76/21/338097621.db2.gz QNTUUAKUTBULHO-QGZVFWFLSA-N 0 3 312.457 4.033 20 0 DIADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000430118092 338097686 /nfs/dbraw/zinc/09/76/86/338097686.db2.gz CSTDAARXTYCIQG-STQMWFEESA-N 0 3 321.873 4.414 20 0 DIADHN COc1ccc(C[C@H](C)CN(C)Cc2occc2C)cc1F ZINC000430122984 338097900 /nfs/dbraw/zinc/09/79/00/338097900.db2.gz JFLDGEXLELJIRV-ZDUSSCGKSA-N 0 3 305.393 4.046 20 0 DIADHN CCCc1nc(C)c(CNCCc2ccc(F)cc2Cl)o1 ZINC000430125636 338098106 /nfs/dbraw/zinc/09/81/06/338098106.db2.gz CGLDPNVJHOCEGJ-UHFFFAOYSA-N 0 3 310.800 4.060 20 0 DIADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc(-c2ccc(Cl)cc2)s1 ZINC000430149951 338099352 /nfs/dbraw/zinc/09/93/52/338099352.db2.gz OOGOROPFFXDJQR-WFASDCNBSA-N 0 3 321.873 4.414 20 0 DIADHN Cn1ccc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)c1 ZINC000430191580 338100293 /nfs/dbraw/zinc/10/02/93/338100293.db2.gz GVDSXLCLHFQEET-MRXNPFEDSA-N 0 3 306.862 4.045 20 0 DIADHN CCc1nc(C)c(CNCCCc2c(F)cccc2Cl)o1 ZINC000430199875 338101005 /nfs/dbraw/zinc/10/10/05/338101005.db2.gz VLYDDOHDJVIPQX-UHFFFAOYSA-N 0 3 310.800 4.060 20 0 DIADHN CC[C@H]1CCN1Cc1cc(OC)c(OC)cc1-c1ccccc1 ZINC000430201896 338101161 /nfs/dbraw/zinc/10/11/61/338101161.db2.gz XBJWXTUXFAANLQ-KRWDZBQOSA-N 0 3 311.425 4.355 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000430208953 338101455 /nfs/dbraw/zinc/10/14/55/338101455.db2.gz VEOOWZBDOCJTIL-GFCCVEGCSA-N 0 3 324.540 4.447 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccc(OCc3ccncc3)cc2)C1 ZINC000430276035 338104017 /nfs/dbraw/zinc/10/40/17/338104017.db2.gz CDALSGATSJFLAA-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN c1ccc(CCN(CCCC2CCCC2)Cc2cccnc2)nc1 ZINC000430337476 338105247 /nfs/dbraw/zinc/10/52/47/338105247.db2.gz FWAVLVAYBSXVEZ-UHFFFAOYSA-N 0 3 323.484 4.492 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2[C@H](C)[C@H]2c2ccccc2)c(CN(C)C)c1 ZINC000430679348 338111169 /nfs/dbraw/zinc/11/11/69/338111169.db2.gz VKOVLWWNFNRIAR-UIAACRFSSA-N 0 3 322.452 4.045 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccccc1Br ZINC000148899986 338113274 /nfs/dbraw/zinc/11/32/74/338113274.db2.gz BJOUSBXMARXFMZ-NILFDRSVSA-N 0 3 312.251 4.057 20 0 DIADHN CN(C)Cc1cccc(NCCSCc2ccccc2)c1 ZINC000430749733 338113498 /nfs/dbraw/zinc/11/34/98/338113498.db2.gz WXYDIKTVLPIPHO-UHFFFAOYSA-N 0 3 300.471 4.094 20 0 DIADHN Cc1ccc(C(C)(C)NCCSCc2ccccc2)cn1 ZINC000430759342 338113919 /nfs/dbraw/zinc/11/39/19/338113919.db2.gz XZBMDUGEWRDSOU-UHFFFAOYSA-N 0 3 300.471 4.148 20 0 DIADHN Cn1cc([C@@H](NCCSCc2ccccc2)C(C)(C)C)cn1 ZINC000430766442 338114265 /nfs/dbraw/zinc/11/42/65/338114265.db2.gz UVPMPICZPNMIRT-QGZVFWFLSA-N 0 3 317.502 4.030 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2cccc(OCc3ccccn3)c2)C1 ZINC000430865358 338117387 /nfs/dbraw/zinc/11/73/87/338117387.db2.gz NQUFBLILJVCRAS-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@@H]1C[C@@H]1c1ccccc1 ZINC000430867367 338117480 /nfs/dbraw/zinc/11/74/80/338117480.db2.gz KABYHVDMYDMBSQ-OTWHNJEPSA-N 0 3 309.457 4.234 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NC[C@]1(C)CCCO1 ZINC000431347415 338128746 /nfs/dbraw/zinc/12/87/46/338128746.db2.gz GLVAORBFIOSITJ-RDJZCZTQSA-N 0 3 309.837 4.021 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NC[C@]1(C)CCCO1 ZINC000431346751 338128748 /nfs/dbraw/zinc/12/87/48/338128748.db2.gz DXQKZXOYJMKYGG-MJGOQNOKSA-N 0 3 303.446 4.182 20 0 DIADHN CSc1ccc(NC(=O)C[C@@H](c2ccccc2)N(C)C)cc1 ZINC000431521648 338133087 /nfs/dbraw/zinc/13/30/87/338133087.db2.gz RNHOCBUGYINZSR-KRWDZBQOSA-N 0 3 314.454 4.040 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431524021 338133108 /nfs/dbraw/zinc/13/31/08/338133108.db2.gz ZYRCVSCRTMCVNV-IBGZPJMESA-N 0 3 310.441 4.442 20 0 DIADHN c1[nH]c(CNC[C@H](c2ccccc2)C2CC2)nc1-c1ccccc1 ZINC000431655846 338135718 /nfs/dbraw/zinc/13/57/18/338135718.db2.gz QLIWWUZOTCAPGH-LJQANCHMSA-N 0 3 317.436 4.360 20 0 DIADHN CC[C@H](NC(=O)C[C@@H](c1ccccc1)N(C)C)c1cccs1 ZINC000431752663 338138107 /nfs/dbraw/zinc/13/81/07/338138107.db2.gz MLNSDDISKGEVAR-HOTGVXAUSA-N 0 3 316.470 4.008 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1[C@H](C)[C@@H]1c1ccccc1 ZINC000432120297 338146147 /nfs/dbraw/zinc/14/61/47/338146147.db2.gz OSDYRCPEVPXFHJ-CDHQVMDDSA-N 0 3 322.452 4.045 20 0 DIADHN CC1(C)Cc2cccc(CNCc3cccc4cccnc43)c2O1 ZINC000161844409 338147503 /nfs/dbraw/zinc/14/75/03/338147503.db2.gz QPUSHYJQNBHUQJ-UHFFFAOYSA-N 0 3 318.420 4.238 20 0 DIADHN CCOCCC1(CNCc2csc(Cl)c2Cl)CC1 ZINC000432255620 338148854 /nfs/dbraw/zinc/14/88/54/338148854.db2.gz CHABJOYGQVTDDP-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN Clc1scc(CNCCCOC2CCCC2)c1Cl ZINC000432236134 338149677 /nfs/dbraw/zinc/14/96/77/338149677.db2.gz QCSCJSVBTPWKHZ-UHFFFAOYSA-N 0 3 308.274 4.494 20 0 DIADHN C[C@]1(CNCc2csc(Cl)c2Cl)CCCC[C@@H]1O ZINC000432246096 338150443 /nfs/dbraw/zinc/15/04/43/338150443.db2.gz AVFGSSZNRBHMSV-GXFFZTMASA-N 0 3 308.274 4.086 20 0 DIADHN CC1(C)[C@H](NCc2csc(Cl)c2Cl)[C@@H]2CCCO[C@H]21 ZINC000432248231 338150543 /nfs/dbraw/zinc/15/05/43/338150543.db2.gz VVRNDLQPNNZQAC-MVWJERBFSA-N 0 3 320.285 4.348 20 0 DIADHN Clc1scc(CN[C@@H]2CCOC3(CCC3)C2)c1Cl ZINC000432248860 338150754 /nfs/dbraw/zinc/15/07/54/338150754.db2.gz ADOWBTNPSPIQFI-SNVBAGLBSA-N 0 3 306.258 4.246 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCC2CC(OC(C)(C)C)C2)o1 ZINC000432249188 338150820 /nfs/dbraw/zinc/15/08/20/338150820.db2.gz DFJNIAABLSMPJN-LYSZQXJVSA-N 0 3 305.462 4.476 20 0 DIADHN CC[C@H](NCc1csc(Cl)c1Cl)C1CCOCC1 ZINC000432250768 338150835 /nfs/dbraw/zinc/15/08/35/338150835.db2.gz MSXLEARICZEFDB-NSHDSACASA-N 0 3 308.274 4.350 20 0 DIADHN COC1CCC(CNCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000432250578 338150883 /nfs/dbraw/zinc/15/08/83/338150883.db2.gz KWLBUKAXSUXLMO-UHFFFAOYSA-N 0 3 320.235 4.427 20 0 DIADHN CCC(CC)(CCO)CNCc1ccc(F)c(Cl)c1Cl ZINC000432251241 338150938 /nfs/dbraw/zinc/15/09/38/338150938.db2.gz RQNBRRGHWFXKGN-UHFFFAOYSA-N 0 3 322.251 4.411 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1csc(Cl)c1Cl ZINC000432250920 338150942 /nfs/dbraw/zinc/15/09/42/338150942.db2.gz OLKGEPRKPKODAK-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN Clc1scc(CN[C@@H]2CCC[C@H]3OCC[C@H]32)c1Cl ZINC000432257099 338151513 /nfs/dbraw/zinc/15/15/13/338151513.db2.gz VKGRORFQBYIEIZ-HBNTYKKESA-N 0 3 306.258 4.102 20 0 DIADHN Clc1scc(CN[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)c1Cl ZINC000432257086 338151571 /nfs/dbraw/zinc/15/15/71/338151571.db2.gz VIPBCAJZVREVHD-WCQGTBRESA-N 0 3 318.269 4.102 20 0 DIADHN C[C@@H]1COCC[C@H]1N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000432411300 338155294 /nfs/dbraw/zinc/15/52/94/338155294.db2.gz XDMQRKXVPKHIOY-IEBDPFPHSA-N 0 3 320.285 4.447 20 0 DIADHN CCC(CC)N(CCOC)Cc1nc2ccc(F)cc2s1 ZINC000432739577 338165263 /nfs/dbraw/zinc/16/52/63/338165263.db2.gz ZFCARKRQOICYKP-UHFFFAOYSA-N 0 3 310.438 4.072 20 0 DIADHN COc1ccc(OC(F)F)c(CN2CC3(CCC3)C[C@@H]2C)c1 ZINC000432787368 338167597 /nfs/dbraw/zinc/16/75/97/338167597.db2.gz GKONWNKLWCDXHH-LBPRGKRZSA-N 0 3 311.372 4.061 20 0 DIADHN CN(Cc1cn2c(n1)CCCC2)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000432793777 338167976 /nfs/dbraw/zinc/16/79/76/338167976.db2.gz RUDSLLMGWXLXAW-CTNGQTDRSA-N 0 3 323.484 4.162 20 0 DIADHN CCC[C@H](CN(C)Cc1cn2c(n1)CCCC2)c1ccccc1 ZINC000432815239 338168907 /nfs/dbraw/zinc/16/89/07/338168907.db2.gz DVGDWPXVRUCSMV-GOSISDBHSA-N 0 3 311.473 4.235 20 0 DIADHN Fc1ccccc1C=C1CCN(CCC2CCOCC2)CC1 ZINC000432823582 338169277 /nfs/dbraw/zinc/16/92/77/338169277.db2.gz PKKLDLYKTFXVBB-UHFFFAOYSA-N 0 3 303.421 4.122 20 0 DIADHN CC(C)[C@@H](O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000432833489 338169929 /nfs/dbraw/zinc/16/99/29/338169929.db2.gz WWXDRLSPNDOCJQ-CVEARBPZSA-N 0 3 315.379 4.249 20 0 DIADHN Cc1ccccc1[C@H](NCc1n[nH]c(C(C)(C)C)n1)C(C)(C)C ZINC000432853371 338170858 /nfs/dbraw/zinc/17/08/58/338170858.db2.gz UKIVIZDROBUNFW-INIZCTEOSA-N 0 3 314.477 4.288 20 0 DIADHN COCCN(Cc1nc2ccc(F)cc2s1)[C@@H]1CC[C@@H](C)C1 ZINC000432928535 338173752 /nfs/dbraw/zinc/17/37/52/338173752.db2.gz SHWKKBIMDZFWHV-TZMCWYRMSA-N 0 3 322.449 4.072 20 0 DIADHN CCN(CCC(=O)Nc1cccc(F)c1C)Cc1cccs1 ZINC000432935472 338174084 /nfs/dbraw/zinc/17/40/84/338174084.db2.gz DHSPOYRTVFEPRN-UHFFFAOYSA-N 0 3 320.433 4.046 20 0 DIADHN COC(=O)c1cc([C@H](C)N(C)C[C@H](C)c2ccccc2)oc1C ZINC000432944853 338174569 /nfs/dbraw/zinc/17/45/69/338174569.db2.gz VLZDOYGBGFDRAR-KBPBESRZSA-N 0 3 315.413 4.171 20 0 DIADHN CCN(CCc1cccs1)[C@@H](C)c1cc(C(=O)OC)c(C)o1 ZINC000432946306 338174664 /nfs/dbraw/zinc/17/46/64/338174664.db2.gz WWQGLPMKFSANKL-LBPRGKRZSA-N 0 3 321.442 4.062 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@H](C)c2cc3cc(Cl)ccc3o2)C1 ZINC000433003234 338175920 /nfs/dbraw/zinc/17/59/20/338175920.db2.gz QYRLMIZZQCDYNY-UHIISALHSA-N 0 3 321.804 4.079 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@@H](C)c2cc3cc(C)c(C)cc3o2)C1 ZINC000433008539 338176030 /nfs/dbraw/zinc/17/60/30/338176030.db2.gz YVZWCCJFXMQANA-OFQRWUPVSA-N 0 3 315.413 4.042 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@@H]2C)ccc1OC(F)F ZINC000433023728 338176938 /nfs/dbraw/zinc/17/69/38/338176938.db2.gz SUJIWDHVVPNHIB-LBPRGKRZSA-N 0 3 311.372 4.061 20 0 DIADHN CN(CCSCc1nc(C2CC2)cs1)Cc1ccccc1 ZINC000433026112 338177120 /nfs/dbraw/zinc/17/71/20/338177120.db2.gz CRFKFUFPSKATQN-UHFFFAOYSA-N 0 3 318.511 4.386 20 0 DIADHN COc1sc(CN(C)[C@@H]2CC[C@H](C)C2)cc1Br ZINC000433040901 338178002 /nfs/dbraw/zinc/17/80/02/338178002.db2.gz BAOVCVCONPBOFJ-VHSXEESVSA-N 0 3 318.280 4.140 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@@H](c4ccccc4)C3)cn21 ZINC000433043393 338178163 /nfs/dbraw/zinc/17/81/63/338178163.db2.gz IYJYZBFQDDUGNI-GOSISDBHSA-N 0 3 305.425 4.022 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H](c3ccccc3)C2)nc1 ZINC000433045007 338178204 /nfs/dbraw/zinc/17/82/04/338178204.db2.gz CJDUURSGCKOKLF-OAHLLOKOSA-N 0 3 320.358 4.480 20 0 DIADHN C[C@H](O)CCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000433073872 338178835 /nfs/dbraw/zinc/17/88/35/338178835.db2.gz UHJFCNJHWDZGGP-WCBMZHEXSA-N 0 3 308.274 4.183 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CC4CCC3CC4)co2)cc1 ZINC000433055508 338179005 /nfs/dbraw/zinc/17/90/05/338179005.db2.gz FAAFZQOBOIAVGH-JRSKDTKFSA-N 0 3 312.413 4.019 20 0 DIADHN c1ccc([C@@H]2CCCN(Cc3nc4cccnc4s3)C2)cc1 ZINC000433058320 338179070 /nfs/dbraw/zinc/17/90/70/338179070.db2.gz AKCXIKPAKHRXQY-OAHLLOKOSA-N 0 3 309.438 4.071 20 0 DIADHN c1ccc([C@H]2CCCN(Cc3nc4cccnc4s3)C2)cc1 ZINC000433058319 338179094 /nfs/dbraw/zinc/17/90/94/338179094.db2.gz AKCXIKPAKHRXQY-HNNXBMFYSA-N 0 3 309.438 4.071 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCC[C@H](c3ccccc3)C2)o1 ZINC000433075966 338180423 /nfs/dbraw/zinc/18/04/23/338180423.db2.gz ISWOBWQWWJGUDJ-HOCLYGCPSA-N 0 3 313.397 4.007 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCC[C@@H](c3ccccc3)C2)o1 ZINC000433075965 338180434 /nfs/dbraw/zinc/18/04/34/338180434.db2.gz ISWOBWQWWJGUDJ-GOEBONIOSA-N 0 3 313.397 4.007 20 0 DIADHN CC[C@@H](NCC1(SC)CC1)c1ccccc1Br ZINC000433081322 338180740 /nfs/dbraw/zinc/18/07/40/338180740.db2.gz GTDYVOIAKBJGSU-CYBMUJFWSA-N 0 3 314.292 4.385 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H]1CCCN(c2ccccc2)C1 ZINC000433089957 338181140 /nfs/dbraw/zinc/18/11/40/338181140.db2.gz GWEBRSYXMRVABF-QZTJIDSGSA-N 0 3 323.484 4.211 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)o1 ZINC000433091992 338181382 /nfs/dbraw/zinc/18/13/82/338181382.db2.gz NDUPGUYDQWPJQK-SJORKVTESA-N 0 3 312.457 4.409 20 0 DIADHN COC1(C[C@H](C)NCc2cc(F)c(Cl)cc2F)CCC1 ZINC000433095962 338181642 /nfs/dbraw/zinc/18/16/42/338181642.db2.gz BZYNSMIBCJJFSA-JTQLQIEISA-N 0 3 303.780 4.056 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](c2ccccc2)C2CCCC2)cn1 ZINC000433097143 338181681 /nfs/dbraw/zinc/18/16/81/338181681.db2.gz KYEQPWSVWLEEIR-HXUWFJFHSA-N 0 3 323.440 4.061 20 0 DIADHN CSC1(CN[C@H](c2cccnc2)c2ccc(F)c(C)c2)CC1 ZINC000433102510 338181894 /nfs/dbraw/zinc/18/18/94/338181894.db2.gz RFILYRAEDSWSOA-KRWDZBQOSA-N 0 3 316.445 4.104 20 0 DIADHN OCC1(CNCc2sccc2Cl)CC(c2ccccc2)C1 ZINC000433173081 338184071 /nfs/dbraw/zinc/18/40/71/338184071.db2.gz FNDNVCBZNBEYBE-UHFFFAOYSA-N 0 3 321.873 4.047 20 0 DIADHN Clc1sccc1CNC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433181654 338184409 /nfs/dbraw/zinc/18/44/09/338184409.db2.gz LUPDGDVKJIEPDM-AWEZNQCLSA-N 0 3 320.889 4.408 20 0 DIADHN OCC1(CNCc2cc3ccccc3o2)CC(c2ccccc2)C1 ZINC000433184206 338184544 /nfs/dbraw/zinc/18/45/44/338184544.db2.gz XRNLYSFUDQKZNG-UHFFFAOYSA-N 0 3 321.420 4.079 20 0 DIADHN Cc1cccc(CNc2cccc3c2OCC[C@@H]3N(C)C)c1C ZINC000433183373 338184650 /nfs/dbraw/zinc/18/46/50/338184650.db2.gz RCBMFYDQCJVKLI-IBGZPJMESA-N 0 3 310.441 4.301 20 0 DIADHN Cc1cc(CNc2cccc3c2OCC[C@H]3N(C)C)ccc1F ZINC000433188158 338184975 /nfs/dbraw/zinc/18/49/75/338184975.db2.gz AXOPPIFJMBHEGL-GOSISDBHSA-N 0 3 314.404 4.131 20 0 DIADHN Cc1ccccc1C[C@@H](C)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000433193827 338185017 /nfs/dbraw/zinc/18/50/17/338185017.db2.gz SLWPUCBWOAEPDJ-UZLBHIALSA-N 0 3 324.468 4.423 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CCCN(c3ccccc3)C2)o1 ZINC000433202109 338185635 /nfs/dbraw/zinc/18/56/35/338185635.db2.gz OOFXRCPFSKFKQA-MBOZVWFJSA-N 0 3 324.468 4.409 20 0 DIADHN Clc1ccccc1CN(CCC1CCOCC1)CC1CC1 ZINC000433202806 338185690 /nfs/dbraw/zinc/18/56/90/338185690.db2.gz UGEIVJHFWNTORQ-UHFFFAOYSA-N 0 3 307.865 4.369 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@@H]3N(C)C)s1 ZINC000433203142 338185752 /nfs/dbraw/zinc/18/57/52/338185752.db2.gz AOLCBHBDGFEKHN-INIZCTEOSA-N 0 3 302.443 4.054 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3ccccc3Cl)cccc21 ZINC000433203356 338185769 /nfs/dbraw/zinc/18/57/69/338185769.db2.gz BJBJCFWWNUVXCD-KRWDZBQOSA-N 0 3 316.832 4.337 20 0 DIADHN CSC1(CNCc2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000433210028 338186138 /nfs/dbraw/zinc/18/61/38/338186138.db2.gz ZTAIONXGYPGAJY-UHFFFAOYSA-N 0 3 309.784 4.344 20 0 DIADHN CCCc1ccccc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000433217965 338186303 /nfs/dbraw/zinc/18/63/03/338186303.db2.gz CHBWWBOZKAMNND-IBGZPJMESA-N 0 3 310.441 4.271 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)C[C@H](c1ccccc1)N(C)C ZINC000433225518 338186593 /nfs/dbraw/zinc/18/65/93/338186593.db2.gz ITHKOOBZVHVCOS-HXUWFJFHSA-N 0 3 324.468 4.117 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N2CC3(CCC3)C[C@@H]2C)c1 ZINC000433256162 338188222 /nfs/dbraw/zinc/18/82/22/338188222.db2.gz JWXOLYQHBHPJBK-KBPBESRZSA-N 0 3 318.486 4.000 20 0 DIADHN Cc1cccc(C)c1CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC000433284860 338189206 /nfs/dbraw/zinc/18/92/06/338189206.db2.gz GQLDVWLNVPPBAG-HXUWFJFHSA-N 0 3 309.453 4.297 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433309830 338191115 /nfs/dbraw/zinc/19/11/15/338191115.db2.gz DJJVPTJXNPOREN-NXEZZACHSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433309833 338191242 /nfs/dbraw/zinc/19/12/42/338191242.db2.gz DJJVPTJXNPOREN-ZJUUUORDSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000433321063 338192104 /nfs/dbraw/zinc/19/21/04/338192104.db2.gz MQHKMBPFMLCVBY-LJQANCHMSA-N 0 3 307.397 4.219 20 0 DIADHN c1cc(-c2ccc(CNCCc3cccc4ccccc43)o2)n[nH]1 ZINC000433325044 338192486 /nfs/dbraw/zinc/19/24/86/338192486.db2.gz GYZZQRIUXRCGKE-UHFFFAOYSA-N 0 3 317.392 4.155 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433327579 338192615 /nfs/dbraw/zinc/19/26/15/338192615.db2.gz AMZHOCIHTHCSHA-VBKZILBWSA-N 0 3 321.424 4.473 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(-c3cc[nH]n3)o2)C2CC2)cc1 ZINC000433339121 338193271 /nfs/dbraw/zinc/19/32/71/338193271.db2.gz JWQFIJABUHZANO-IBGZPJMESA-N 0 3 307.397 4.219 20 0 DIADHN CCC1(CNCc2csc(-c3ccccn3)n2)CCCC1 ZINC000152250555 338201267 /nfs/dbraw/zinc/20/12/67/338201267.db2.gz FRNRIHMDQHVSHV-UHFFFAOYSA-N 0 3 301.459 4.265 20 0 DIADHN CC(C)n1ncnc1CN1C[C@H](c2ccccc2)[C@H]2CCCC[C@H]21 ZINC000434478492 338217286 /nfs/dbraw/zinc/21/72/86/338217286.db2.gz GKZGECPKHIQWFJ-GUDVDZBRSA-N 0 3 324.472 4.017 20 0 DIADHN Clc1cccc(CCN2CCC[C@@H]3COCC[C@@H]32)c1Cl ZINC000434487566 338218007 /nfs/dbraw/zinc/21/80/07/338218007.db2.gz CKKQYZKJQROLIO-HIFRSBDPSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1ccn(C2CCN(Cc3ccc(CC(C)C)cc3)CC2)n1 ZINC000434502482 338218898 /nfs/dbraw/zinc/21/88/98/338218898.db2.gz JSUZAAILFNSJJN-UHFFFAOYSA-N 0 3 311.473 4.227 20 0 DIADHN CC(C)[C@@H](c1ccc(F)cc1)N(C)Cc1cnc2ccccn12 ZINC000434622635 338221542 /nfs/dbraw/zinc/22/15/42/338221542.db2.gz GVYNBPOTLCLWPH-IBGZPJMESA-N 0 3 311.404 4.303 20 0 DIADHN Cc1c(F)cccc1NC(=O)CCN(C)[C@H](C)c1cccs1 ZINC000434653639 338222507 /nfs/dbraw/zinc/22/25/07/338222507.db2.gz BOOARQXTBWRWJS-CYBMUJFWSA-N 0 3 320.433 4.217 20 0 DIADHN CC1(C)CCN(Cc2ccccc2OC(F)F)CCS1 ZINC000434665745 338222903 /nfs/dbraw/zinc/22/29/03/338222903.db2.gz NJKYZLAYEIKQPK-UHFFFAOYSA-N 0 3 301.402 4.006 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(F)c(OC)c2)cc1 ZINC000153380637 338223710 /nfs/dbraw/zinc/22/37/10/338223710.db2.gz GOOPDKLTSFISEA-OLZOCXBDSA-N 0 3 303.377 4.255 20 0 DIADHN Cc1nc(CN2CC[C@@](C)(c3ccc(C)cc3)C2)sc1C ZINC000434740391 338224393 /nfs/dbraw/zinc/22/43/93/338224393.db2.gz YKXWMIHGINMLAV-GOSISDBHSA-N 0 3 300.471 4.232 20 0 DIADHN Cc1ccc([C@]2(C)CCN(Cc3nc4cccnc4s3)C2)cc1 ZINC000434751267 338224832 /nfs/dbraw/zinc/22/48/32/338224832.db2.gz DENXWNRHVWIAAM-LJQANCHMSA-N 0 3 323.465 4.163 20 0 DIADHN C[C@@H]1CC(C)(C)C[C@H]1NCc1nccn1CCc1ccccc1 ZINC000434781408 338225472 /nfs/dbraw/zinc/22/54/72/338225472.db2.gz FTNUWPQEEUPTNU-SJLPKXTDSA-N 0 3 311.473 4.040 20 0 DIADHN C[C@H]1CC(C)(C)C[C@@H]1NCc1nccn1CCc1ccccc1 ZINC000434781409 338225500 /nfs/dbraw/zinc/22/55/00/338225500.db2.gz FTNUWPQEEUPTNU-WMZOPIPTSA-N 0 3 311.473 4.040 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(C)cc2Cl)CC1 ZINC000434793134 338225773 /nfs/dbraw/zinc/22/57/73/338225773.db2.gz JIZDEAHGBUJOCG-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN Clc1scc(CNC[C@@H]2CCCCN2C2CC2)c1Cl ZINC000434795915 338225789 /nfs/dbraw/zinc/22/57/89/338225789.db2.gz SJKFFOIIZXBFCG-LBPRGKRZSA-N 0 3 319.301 4.161 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1cc(-c2ccco2)on1 ZINC000434894475 338226980 /nfs/dbraw/zinc/22/69/80/338226980.db2.gz GCUMXMGQDKVPLU-YOEHRIQHSA-N 0 3 308.381 4.313 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(OCC3CCCC3)CC1)CC2 ZINC000434904775 338227679 /nfs/dbraw/zinc/22/76/79/338227679.db2.gz ORJHIARDIQWNKH-HXUWFJFHSA-N 0 3 317.448 4.484 20 0 DIADHN CC1(C)CN(Cc2ccccc2Oc2cccnc2)CCS1 ZINC000434904044 338227816 /nfs/dbraw/zinc/22/78/16/338227816.db2.gz MFMOCJHGZXMXLA-UHFFFAOYSA-N 0 3 314.454 4.201 20 0 DIADHN Fc1ccc(F)c(CN(CCc2ccccn2)C2CCCC2)c1 ZINC000434908819 338227973 /nfs/dbraw/zinc/22/79/73/338227973.db2.gz FGKIJJNMJLJPFP-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1ccc(-c2cc[nH]n2)o1 ZINC000434987536 338228931 /nfs/dbraw/zinc/22/89/31/338228931.db2.gz UTYYEFXSQKIPQE-QINSGFPZSA-N 0 3 307.397 4.205 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(c2c[nH]cn2)CC1 ZINC000434985900 338228949 /nfs/dbraw/zinc/22/89/49/338228949.db2.gz LFUMWCMKHHHPFL-KRWDZBQOSA-N 0 3 303.837 4.394 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCCC[C@@H]3C3CC3)cccc21 ZINC000435023390 338229775 /nfs/dbraw/zinc/22/97/75/338229775.db2.gz JXQBBHCUPMFPGJ-HHXXYDBFSA-N 0 3 314.473 4.453 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC3CC(c4ccccc4)C3)cccc21 ZINC000435043055 338230695 /nfs/dbraw/zinc/23/06/95/338230695.db2.gz PNDSFYIBKNLPRA-LBXVMSDZSA-N 0 3 322.452 4.430 20 0 DIADHN CCOc1cc(CN(C)Cc2ccccc2)cc(Cl)c1OC ZINC000435247449 338235165 /nfs/dbraw/zinc/23/51/65/338235165.db2.gz WTSJZWUHCQIWGD-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CCn1nc(C)c(CN(C)[C@H](c2ccc(F)cc2)C(C)C)c1C ZINC000435280662 338236359 /nfs/dbraw/zinc/23/63/59/338236359.db2.gz NYQBBUVNGGEVQC-IBGZPJMESA-N 0 3 317.452 4.488 20 0 DIADHN CCN(CC)[C@@H](CNc1ccnc2nc(C)ccc12)c1ccco1 ZINC000435482834 338241032 /nfs/dbraw/zinc/24/10/32/338241032.db2.gz OPYZHFZBXIHPFT-KRWDZBQOSA-N 0 3 324.428 4.026 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](c1ccco1)c1ccccc1 ZINC000154507428 338244398 /nfs/dbraw/zinc/24/43/98/338244398.db2.gz WGFLQVVJRJXTED-MGPUTAFESA-N 0 3 307.393 4.295 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 ZINC000435728836 338246478 /nfs/dbraw/zinc/24/64/78/338246478.db2.gz QVHHHDJGWIQXGJ-YGUOUDRMSA-N 0 3 319.399 4.021 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCC[C@H]2OCCC(C)C)s1 ZINC000435738648 338247089 /nfs/dbraw/zinc/24/70/89/338247089.db2.gz BVXSDGASAPTQAV-HZPDHXFCSA-N 0 3 310.507 4.223 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3cnn(-c4ccccc4)c3)C2)c1 ZINC000435739964 338247152 /nfs/dbraw/zinc/24/71/52/338247152.db2.gz ZJZIUEUUIIQKOT-UAPYVXQJSA-N 0 3 321.399 4.047 20 0 DIADHN COc1ccccc1CN[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 ZINC000435750405 338247930 /nfs/dbraw/zinc/24/79/30/338247930.db2.gz NLSCCQNDNVAUPE-CZIWCDLHSA-N 0 3 303.352 4.009 20 0 DIADHN CCc1ncc(CN[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)s1 ZINC000435750242 338248019 /nfs/dbraw/zinc/24/80/19/338248019.db2.gz MRQWQWUQVVTKTR-YEORSEQZSA-N 0 3 308.397 4.020 20 0 DIADHN CC[C@H](CSC)NCc1ccc(N(C)c2ccccc2)nc1 ZINC000435791787 338249438 /nfs/dbraw/zinc/24/94/38/338249438.db2.gz RZLOMGCOGWBYST-MRXNPFEDSA-N 0 3 315.486 4.081 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435793223 338249533 /nfs/dbraw/zinc/24/95/33/338249533.db2.gz ZRJZUKQEGGCPOH-IYARVYRRSA-N 0 3 303.409 4.113 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435792196 338249594 /nfs/dbraw/zinc/24/95/94/338249594.db2.gz USFXHVLSYNWNEP-IYARVYRRSA-N 0 3 311.473 4.291 20 0 DIADHN CC(C(=O)N(C)c1nc2cc(Cl)ccc2s1)C(F)(F)F ZINC000435977858 338254910 /nfs/dbraw/zinc/25/49/10/338254910.db2.gz HDRBBFYZFHWQHN-ZCFIWIBFSA-N 0 3 322.739 4.111 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000436227815 338262402 /nfs/dbraw/zinc/26/24/02/338262402.db2.gz NCHLPGZJIJIWSH-SFHVURJKSA-N 0 3 324.399 4.483 20 0 DIADHN Cc1ccn2cc(CN[C@H]3CC[C@H](c4ccccc4)C3)nc2c1 ZINC000436232334 338262726 /nfs/dbraw/zinc/26/27/26/338262726.db2.gz NQOUKIHVJNNHMR-ROUUACIJSA-N 0 3 305.425 4.069 20 0 DIADHN Fc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@H]3CCCO3)cc21 ZINC000436250199 338263519 /nfs/dbraw/zinc/26/35/19/338263519.db2.gz UQQIIRBJDNMQNH-UXHICEINSA-N 0 3 324.399 4.317 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](Cc3ccccc3)C2)cs1 ZINC000436250843 338263641 /nfs/dbraw/zinc/26/36/41/338263641.db2.gz ZEVMYPHJPMFHSZ-CVEARBPZSA-N 0 3 300.471 4.207 20 0 DIADHN Fc1cccc2[nH]c(CN[C@@H]3CCCOc4ccccc43)cc21 ZINC000436277055 338265151 /nfs/dbraw/zinc/26/51/51/338265151.db2.gz VYLVCTMBXFTAKY-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1ccc(F)cn1 ZINC000436279410 338265389 /nfs/dbraw/zinc/26/53/89/338265389.db2.gz BCKIOWHFMVRBHE-SUYBPPKGSA-N 0 3 302.368 4.347 20 0 DIADHN CCOCc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1 ZINC000436286373 338266231 /nfs/dbraw/zinc/26/62/31/338266231.db2.gz GXNHSNDYISQHOE-UHFFFAOYSA-N 0 3 312.388 4.133 20 0 DIADHN CCCOc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1 ZINC000436294486 338266811 /nfs/dbraw/zinc/26/68/11/338266811.db2.gz XDYRWIQGIVRLSU-UHFFFAOYSA-N 0 3 312.388 4.386 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccc(SC)cc1 ZINC000436295379 338266979 /nfs/dbraw/zinc/26/69/79/338266979.db2.gz GVVYHOQWPQZZRS-AWEZNQCLSA-N 0 3 300.471 4.259 20 0 DIADHN CSc1ccc(CCN[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000436297564 338267271 /nfs/dbraw/zinc/26/72/71/338267271.db2.gz WFXYWHWYHDGKSB-GFCCVEGCSA-N 0 3 306.862 4.350 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1C[C@H](c3ccccc3)C1)CCCO2 ZINC000436297895 338267286 /nfs/dbraw/zinc/26/72/86/338267286.db2.gz XFVMQUJSQFWHIF-MBOZVWFJSA-N 0 3 323.436 4.445 20 0 DIADHN CCc1nn(C)cc1CN[C@H]1CC(C)(C)CCc2ccccc21 ZINC000436299153 338267359 /nfs/dbraw/zinc/26/73/59/338267359.db2.gz JCNROTCXNGSEES-IBGZPJMESA-N 0 3 311.473 4.176 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1ccn(C)n1 ZINC000436299990 338267387 /nfs/dbraw/zinc/26/73/87/338267387.db2.gz IFMDUGYUYPYDPC-BPUTZDHNSA-N 0 3 303.837 4.060 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](NC(c2ccccc2)c2ccccc2)C1 ZINC000436306223 338268033 /nfs/dbraw/zinc/26/80/33/338268033.db2.gz HPLIORIRDMXSLE-MOPGFXCFSA-N 0 3 323.436 4.097 20 0 DIADHN CN(C)c1ncccc1CN[C@H]1CC(C)(C)CCc2ccccc21 ZINC000436309466 338268245 /nfs/dbraw/zinc/26/82/45/338268245.db2.gz SCRRXJGDVBVCDF-IBGZPJMESA-N 0 3 323.484 4.341 20 0 DIADHN CC(C(=O)N(C)c1nc2cccc(Cl)c2s1)C(F)(F)F ZINC000436383770 338269908 /nfs/dbraw/zinc/26/99/08/338269908.db2.gz CLMRXRLGVHUTAX-ZCFIWIBFSA-N 0 3 322.739 4.111 20 0 DIADHN COCc1cnc(CN[C@H]2CCC[C@H](C(C)(C)C)CC2)s1 ZINC000436401570 338270811 /nfs/dbraw/zinc/27/08/11/338270811.db2.gz WEMBVCNKZSFVKY-KBPBESRZSA-N 0 3 310.507 4.374 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)cn1 ZINC000436414016 338271686 /nfs/dbraw/zinc/27/16/86/338271686.db2.gz OYENIDHOSIDTPQ-PXNSSMCTSA-N 0 3 310.441 4.140 20 0 DIADHN CCOC(C)(C)CNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000436418681 338272018 /nfs/dbraw/zinc/27/20/18/338272018.db2.gz WLXWPPAGROFXQZ-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@H](C)C(F)(F)F)CCCS2 ZINC000437009989 338297703 /nfs/dbraw/zinc/29/77/03/338297703.db2.gz AKXASJZMCFPOLF-GWCFXTLKSA-N 0 3 319.392 4.410 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@@H]1CCC(C)(C)O1)CCCS2 ZINC000437019073 338297950 /nfs/dbraw/zinc/29/79/50/338297950.db2.gz SWILBIRAHWGEJS-HOCLYGCPSA-N 0 3 321.486 4.169 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](CC(F)(F)F)C(C)C)CC2 ZINC000437072419 338299330 /nfs/dbraw/zinc/29/93/30/338299330.db2.gz CKJFKVWHBWRKOA-GJZGRUSLSA-N 0 3 301.352 4.249 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000437083181 338299843 /nfs/dbraw/zinc/29/98/43/338299843.db2.gz GGARHPNYAPTCJB-SZMVWBNQSA-N 0 3 310.441 4.152 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)COC2 ZINC000437086827 338300255 /nfs/dbraw/zinc/30/02/55/338300255.db2.gz SPDBVKRLVBWFKG-NHYWBVRUSA-N 0 3 301.352 4.344 20 0 DIADHN CCOC(C)(C)CN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000437091030 338300299 /nfs/dbraw/zinc/30/02/99/338300299.db2.gz BYKRPYMSOPQOID-SFHVURJKSA-N 0 3 316.420 4.023 20 0 DIADHN Fc1ccc(C2CC(N[C@@H]3CCOc4c(F)cccc43)C2)cc1 ZINC000437095148 338300546 /nfs/dbraw/zinc/30/05/46/338300546.db2.gz QGJNXQDNTJRVRB-LEHRNKBSSA-N 0 3 315.363 4.324 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000437105273 338301210 /nfs/dbraw/zinc/30/12/10/338301210.db2.gz RQXRYKTZJLYOFG-ZWTFIKMHSA-N 0 3 321.408 4.052 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CCCC3(CC3)C2)cn1 ZINC000437136125 338302454 /nfs/dbraw/zinc/30/24/54/338302454.db2.gz JRSDBDOSTOEVFT-UHFFFAOYSA-N 0 3 307.441 4.226 20 0 DIADHN FC(F)(F)CCN(CCSc1ccccc1)CC1CC1 ZINC000437491815 338311567 /nfs/dbraw/zinc/31/15/67/338311567.db2.gz BKONMWCYZQTBSU-UHFFFAOYSA-N 0 3 303.393 4.443 20 0 DIADHN CCc1ccc(CN(C)Cc2c[nH]nc2-c2ccsc2)cc1 ZINC000437579301 338314371 /nfs/dbraw/zinc/31/43/71/338314371.db2.gz HVTJJYCMMLFCDR-UHFFFAOYSA-N 0 3 311.454 4.333 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc2nc(C)ccc2c1 ZINC000437593603 338315515 /nfs/dbraw/zinc/31/55/15/338315515.db2.gz UGIGSEVROFZILZ-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN COC[C@H]1CCN(Cc2ccc(Oc3ccccc3)c(F)c2)C1 ZINC000438064066 338329412 /nfs/dbraw/zinc/32/94/12/338329412.db2.gz JCNKQNADSFLQEM-INIZCTEOSA-N 0 3 315.388 4.086 20 0 DIADHN Cc1ccc2cc(CNCCO[C@H]3CCCC[C@@H]3C)ccc2n1 ZINC000438088248 338330731 /nfs/dbraw/zinc/33/07/31/338330731.db2.gz ONEKMOPLWJAGPL-YWZLYKJASA-N 0 3 312.457 4.228 20 0 DIADHN Cc1ncsc1CN(C)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000438101284 338331707 /nfs/dbraw/zinc/33/17/07/338331707.db2.gz FSWMEHAUODRNNO-WMZOPIPTSA-N 0 3 300.471 4.394 20 0 DIADHN C[C@@]1(c2ccccc2)CCN(Cc2c[nH]nc2-c2ccsc2)C1 ZINC000438119489 338333208 /nfs/dbraw/zinc/33/32/08/338333208.db2.gz PNEZZKIHMIMHGY-LJQANCHMSA-N 0 3 323.465 4.302 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1cc(Cl)ccc1Cl ZINC000156066046 338333441 /nfs/dbraw/zinc/33/34/41/338333441.db2.gz NMBVZNLCZJXNDX-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@@H]3C(C)C)co2)cc1 ZINC000438176658 338334977 /nfs/dbraw/zinc/33/49/77/338334977.db2.gz RGUCHARWEPJCLV-GOSISDBHSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)[C@@H](CNCc1ccc(Cl)cc1F)c1cccnc1 ZINC000438183803 338335462 /nfs/dbraw/zinc/33/54/62/338335462.db2.gz BAZCNXGMHFWYJX-MRXNPFEDSA-N 0 3 306.812 4.404 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)s1 ZINC000438189058 338335759 /nfs/dbraw/zinc/33/57/59/338335759.db2.gz KPFFUZYNYVGROZ-NXEZZACHSA-N 0 3 321.412 4.046 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@](C)(c2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438195101 338336021 /nfs/dbraw/zinc/33/60/21/338336021.db2.gz ZHQGOPJDEJGZBD-LDQXTDLNSA-N 0 3 322.452 4.114 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](Cc2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438193974 338336066 /nfs/dbraw/zinc/33/60/66/338336066.db2.gz VRJRBUUJPVZZIA-HQRMLTQVSA-N 0 3 322.452 4.015 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@](C)(c3ccccc3)C2)sc1C ZINC000438201987 338336489 /nfs/dbraw/zinc/33/64/89/338336489.db2.gz ROJVNOYRUPHFRL-AEFFLSMTSA-N 0 3 300.471 4.360 20 0 DIADHN CN(CC1=Cc2ccccc2OC1)CC1(c2ccccc2)CC1 ZINC000438260411 338338033 /nfs/dbraw/zinc/33/80/33/338338033.db2.gz OHXRKAWXEPGXRH-UHFFFAOYSA-N 0 3 305.421 4.126 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)CC2(c3ccccc3)CC2)o1 ZINC000438264354 338338192 /nfs/dbraw/zinc/33/81/92/338338192.db2.gz ZUHASUHHGUPPAT-UHFFFAOYSA-N 0 3 321.424 4.142 20 0 DIADHN CC1(C)CN(Cc2cc(-c3ccccc3)cs2)CC[C@H]1O ZINC000438334940 338340053 /nfs/dbraw/zinc/34/00/53/338340053.db2.gz NLXWOFOZJSPAGZ-QGZVFWFLSA-N 0 3 301.455 4.008 20 0 DIADHN CC1(C)CCC[C@](O)(CNCc2cnc(C3CCCC3)s2)C1 ZINC000438351168 338340883 /nfs/dbraw/zinc/34/08/83/338340883.db2.gz FVXSVYPZMZQHOX-GOSISDBHSA-N 0 3 322.518 4.222 20 0 DIADHN c1nc(-c2ccccn2)sc1CN1CCCC2(CCCC2)C1 ZINC000438353687 338340895 /nfs/dbraw/zinc/34/08/95/338340895.db2.gz KMISQYSAPVVFJV-UHFFFAOYSA-N 0 3 313.470 4.361 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1ccc(OC(F)F)cc1 ZINC000438482528 338345585 /nfs/dbraw/zinc/34/55/85/338345585.db2.gz LGYSXPRMKFCBAK-GFCCVEGCSA-N 0 3 311.294 4.355 20 0 DIADHN CC[C@@H]1CCN([C@@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000438482297 338345710 /nfs/dbraw/zinc/34/57/10/338345710.db2.gz XVQBHUVVWVXCTN-VQIMIIECSA-N 0 3 308.425 4.098 20 0 DIADHN C[C@H](c1ccc(OC(F)(F)F)cc1)N(C)CCOCC1CC1 ZINC000438515400 338346671 /nfs/dbraw/zinc/34/66/71/338346671.db2.gz OSHQTMYBLMKLOR-GFCCVEGCSA-N 0 3 317.351 4.005 20 0 DIADHN Cc1ccccc1[C@@H](C)NCCC(=O)Nc1cccc(Cl)c1 ZINC000438779773 338352006 /nfs/dbraw/zinc/35/20/06/338352006.db2.gz GJZBGBMKFDYXBU-CQSZACIVSA-N 0 3 316.832 4.328 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCCc2ccc(O)cc21 ZINC000438823998 338352593 /nfs/dbraw/zinc/35/25/93/338352593.db2.gz AKJNJLDEPVKFLW-RTBURBONSA-N 0 3 309.409 4.055 20 0 DIADHN CSc1ccc(CNc2ccc(C)cc2CN(C)C)s1 ZINC000438848795 338353770 /nfs/dbraw/zinc/35/37/70/338353770.db2.gz IOERKPLILIZMPY-UHFFFAOYSA-N 0 3 306.500 4.452 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2C[C@@H]3OCCC[C@@H]23)o1 ZINC000438906998 338355611 /nfs/dbraw/zinc/35/56/11/338355611.db2.gz JDHJWUFBLIWUGP-WDYCEAGBSA-N 0 3 315.388 4.051 20 0 DIADHN C[C@H](c1cccc(C(F)(F)F)c1)N(CC[C@H](C)O)C1CC1 ZINC000438982400 338357655 /nfs/dbraw/zinc/35/76/55/338357655.db2.gz DLMIPTGGHRKGPN-NWDGAFQWSA-N 0 3 301.352 4.002 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1ccccc1OC(F)F ZINC000156402672 338358063 /nfs/dbraw/zinc/35/80/63/338358063.db2.gz RWFMYJRKZNJSCT-RYUDHWBXSA-N 0 3 301.402 4.224 20 0 DIADHN CC(C)[C@@H]1CCC[C@H]1NCc1nccn1CCc1ccccc1 ZINC000438999091 338358086 /nfs/dbraw/zinc/35/80/86/338358086.db2.gz LFWZNTBFPSYOMI-RBUKOAKNSA-N 0 3 311.473 4.040 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@@H](C)NCCC1=CCCCC1 ZINC000439072032 338360203 /nfs/dbraw/zinc/36/02/03/338360203.db2.gz RXTTWXNDXCIHKO-CQSZACIVSA-N 0 3 316.445 4.195 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](N[C@@H]1C[C@@H]3OCCC[C@H]13)CC2 ZINC000439071545 338360253 /nfs/dbraw/zinc/36/02/53/338360253.db2.gz ZFLXSBYWRPMDOV-BEAPCOKYSA-N 0 3 312.240 4.138 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC([C@H]2CCCO2)CC1 ZINC000439284778 338366099 /nfs/dbraw/zinc/36/60/99/338366099.db2.gz MMJJTMSTZNHBQY-ZWKOTPCHSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC(F)(c3cccc(Cl)c3)CC2)O1 ZINC000439325128 338367269 /nfs/dbraw/zinc/36/72/69/338367269.db2.gz SZMZQKDMBRLJIF-CJNGLKHVSA-N 0 3 311.828 4.168 20 0 DIADHN CCSc1cccc(CN2CCC([C@@H]3CCCO3)CC2)c1 ZINC000439780200 338377789 /nfs/dbraw/zinc/37/77/89/338377789.db2.gz JPOMRKCSHQJPIE-SFHVURJKSA-N 0 3 305.487 4.190 20 0 DIADHN Cc1cc2cc(CN3CCC([C@H]4CCCO4)CC3)oc2cc1C ZINC000439783411 338377948 /nfs/dbraw/zinc/37/79/48/338377948.db2.gz RBBANBLEQMYAMY-LJQANCHMSA-N 0 3 313.441 4.441 20 0 DIADHN OCCCCCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000439800722 338378632 /nfs/dbraw/zinc/37/86/32/338378632.db2.gz LBFCEMFDWAAUTF-INIZCTEOSA-N 0 3 315.379 4.395 20 0 DIADHN CC1(C)CCc2ccccc2[C@H](NCc2ccc(CO)cc2)C1 ZINC000440640236 338393861 /nfs/dbraw/zinc/39/38/61/338393861.db2.gz RZHRXRNDAXVMSY-HXUWFJFHSA-N 0 3 309.453 4.372 20 0 DIADHN COC(=O)CC1(CN[C@@H](c2ccccc2)c2ccc(C)cc2)CC1 ZINC000440777102 338397012 /nfs/dbraw/zinc/39/70/12/338397012.db2.gz UFEJMYYSTTYGAQ-FQEVSTJZSA-N 0 3 323.436 4.017 20 0 DIADHN CC[C@H](O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000440787555 338397325 /nfs/dbraw/zinc/39/73/25/338397325.db2.gz WQRKEDPZFNAXTM-SWLSCSKDSA-N 0 3 301.352 4.003 20 0 DIADHN CC[C@@H](F)CN[C@@H](C)c1cccc(OCc2ccccn2)c1 ZINC000440785433 338397411 /nfs/dbraw/zinc/39/74/11/338397411.db2.gz QKZCQBLPLFDWNC-GOEBONIOSA-N 0 3 302.393 4.059 20 0 DIADHN CC[C@@H](F)CNC(C)(C)Cc1ccc(Br)cc1 ZINC000440797110 338397764 /nfs/dbraw/zinc/39/77/64/338397764.db2.gz XVNRBJLGQDYCBP-CYBMUJFWSA-N 0 3 302.231 4.108 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@@H]2C)c(-c2ccccc2)s1 ZINC000441338528 338409197 /nfs/dbraw/zinc/40/91/97/338409197.db2.gz FTOGYPMEOJXLIW-DZGCQCFKSA-N 0 3 316.470 4.235 20 0 DIADHN CC[C@@H](CNCc1ccncc1OCC(F)F)c1ccccc1 ZINC000441349140 338409751 /nfs/dbraw/zinc/40/97/51/338409751.db2.gz OLSHBXNNLPLITB-AWEZNQCLSA-N 0 3 320.383 4.009 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@@H](c1cccs1)N(C)C ZINC000442633226 338426315 /nfs/dbraw/zinc/42/63/15/338426315.db2.gz IFYAFNAIQBWWDH-HIFRSBDPSA-N 0 3 320.527 4.424 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cccc(O)c1)Oc1cccc(F)c1 ZINC000442780862 338430803 /nfs/dbraw/zinc/43/08/03/338430803.db2.gz HFUDTTBWLULJBI-DYVFJYSZSA-N 0 3 303.377 4.040 20 0 DIADHN COc1ccc([C@@H](C)NCCc2ccc3[nH]ccc3c2)c(OC)c1 ZINC000442821484 338433479 /nfs/dbraw/zinc/43/34/79/338433479.db2.gz UYZIRHFKDPNLRW-CQSZACIVSA-N 0 3 324.424 4.078 20 0 DIADHN CCC[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccsc1 ZINC000442818306 338433483 /nfs/dbraw/zinc/43/34/83/338433483.db2.gz GGHTUIVXTGDFED-QGZVFWFLSA-N 0 3 314.454 4.116 20 0 DIADHN CC[C@@H](NCc1nc(COC)sc1-c1ccccc1)C1CC1 ZINC000442850238 338434603 /nfs/dbraw/zinc/43/46/03/338434603.db2.gz CGLBDWZPBJNNKQ-OAHLLOKOSA-N 0 3 316.470 4.235 20 0 DIADHN COCc1nc(CN[C@@H](C)C2CCC2)c(-c2ccccc2)s1 ZINC000442859629 338435455 /nfs/dbraw/zinc/43/54/55/338435455.db2.gz QQGOQRTUTAYGKJ-ZDUSSCGKSA-N 0 3 316.470 4.235 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1nc(COC)sc1-c1ccccc1 ZINC000442861062 338435616 /nfs/dbraw/zinc/43/56/16/338435616.db2.gz CXNTYDWKSMHMQQ-KBPBESRZSA-N 0 3 318.486 4.481 20 0 DIADHN CCO[C@H](CNCc1cc2c(cccc2F)[nH]1)c1ccccc1 ZINC000446826464 338536907 /nfs/dbraw/zinc/53/69/07/338536907.db2.gz JBPOEOIUDKBVPZ-LJQANCHMSA-N 0 3 312.388 4.174 20 0 DIADHN CCO[C@H](CNCc1ccc2ncccc2c1)c1ccccc1 ZINC000446843633 338538074 /nfs/dbraw/zinc/53/80/74/338538074.db2.gz BBODKKBBZQJMFN-HXUWFJFHSA-N 0 3 306.409 4.102 20 0 DIADHN CCO[C@H](CNC/C=C/c1ccccc1OC)c1ccccc1 ZINC000446854247 338538623 /nfs/dbraw/zinc/53/86/23/338538623.db2.gz WAKBORNLXDEEKP-CWUFLNSKSA-N 0 3 311.425 4.076 20 0 DIADHN CCO[C@@H](CNC/C=C\c1ccccc1OC)c1ccccc1 ZINC000446854249 338538637 /nfs/dbraw/zinc/53/86/37/338538637.db2.gz WAKBORNLXDEEKP-DRHVUCGNSA-N 0 3 311.425 4.076 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1ccc(C)cc1)C1CCCC1 ZINC000446928000 338540797 /nfs/dbraw/zinc/54/07/97/338540797.db2.gz JNCNPOMWQUMFDN-FQEVSTJZSA-N 0 3 311.473 4.312 20 0 DIADHN Cc1nccnc1[C@@H](C)NC[C@H]1CCC(C)(C)c2ccccc21 ZINC000446929735 338540876 /nfs/dbraw/zinc/54/08/76/338540876.db2.gz RWCWJRIHQBCFCN-HZPDHXFCSA-N 0 3 309.457 4.291 20 0 DIADHN Cc1nccnc1[C@H](C)NC[C@H]1CCC(C)(C)c2ccccc21 ZINC000446929736 338540950 /nfs/dbraw/zinc/54/09/50/338540950.db2.gz RWCWJRIHQBCFCN-JKSUJKDBSA-N 0 3 309.457 4.291 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000447026293 338543325 /nfs/dbraw/zinc/54/33/25/338543325.db2.gz IDWDCWWNGQTNRK-SCLBCKFNSA-N 0 3 305.368 4.393 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cc(C)oc1C)c1ccco1 ZINC000447538366 338555174 /nfs/dbraw/zinc/55/51/74/338555174.db2.gz BJJYXGWWSXIPRI-RHSMWYFYSA-N 0 3 304.434 4.223 20 0 DIADHN CCO[C@H](CN[C@@H]1CCc2c1cccc2OC)c1ccccc1 ZINC000447564363 338557074 /nfs/dbraw/zinc/55/70/74/338557074.db2.gz GPVIOLFHCAOACE-UYAOXDASSA-N 0 3 311.425 4.050 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCN(c3c(F)cccc3F)C2)c(C)o1 ZINC000447583140 338559124 /nfs/dbraw/zinc/55/91/24/338559124.db2.gz ATDFCQQNWMCIRO-TZMCWYRMSA-N 0 3 320.383 4.104 20 0 DIADHN COc1ccccc1OC[C@H](C)CN[C@H](C)c1cc(C)oc1C ZINC000447590107 338560080 /nfs/dbraw/zinc/56/00/80/338560080.db2.gz ZYFLYLXNESBDMA-UKRRQHHQSA-N 0 3 317.429 4.271 20 0 DIADHN CCSCC[C@H](C)NCc1cscc1Br ZINC000336659971 338563658 /nfs/dbraw/zinc/56/36/58/338563658.db2.gz BRZQFASHOIWTCJ-VIFPVBQESA-N 0 3 308.310 4.132 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)c[nH]1)c1cccc(F)c1 ZINC000447855899 338569465 /nfs/dbraw/zinc/56/94/65/338569465.db2.gz WBTQSZRORCLYMR-UHFFFAOYSA-N 0 3 323.415 4.283 20 0 DIADHN c1cc(CNCCCSc2ccccc2)n(-c2ccccc2)n1 ZINC000447927422 338571494 /nfs/dbraw/zinc/57/14/94/338571494.db2.gz FEPYPGLGIQSFQC-UHFFFAOYSA-N 0 3 323.465 4.144 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cnn3ccccc23)c2ccccc21 ZINC000447989896 338573739 /nfs/dbraw/zinc/57/37/39/338573739.db2.gz QFXULSYLABANOB-RTBURBONSA-N 0 3 305.425 4.309 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1ccccc1Br ZINC000162122373 338576559 /nfs/dbraw/zinc/57/65/59/338576559.db2.gz YUMJEQJXYQSRBH-GHMZBOCLSA-N 0 3 302.281 4.241 20 0 DIADHN c1c2cccnc2oc1CN1CCC(OCc2ccccc2)CC1 ZINC000449007188 338582542 /nfs/dbraw/zinc/58/25/42/338582542.db2.gz CAIDWWDAVUBIJY-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)N[C@@H]3C[C@H](C)O[C@@H]3C)cc2)cs1 ZINC000449074730 338590984 /nfs/dbraw/zinc/59/09/84/338590984.db2.gz UXJWNKHGTXTOKW-APNQJHFZSA-N 0 3 316.470 4.335 20 0 DIADHN Cc1cc(CNCc2cccc(OCC(F)(F)F)c2)cs1 ZINC000449082966 338591722 /nfs/dbraw/zinc/59/17/22/338591722.db2.gz RGFWXWMGWGYKEQ-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN COc1cc(F)cc(CNCc2ccc3[nH]c(C)c(C)c3c2)c1 ZINC000449105458 338593900 /nfs/dbraw/zinc/59/39/00/338593900.db2.gz IAABFZGPVDRUNP-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN CC[C@@H]1C[C@H](NCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000449104741 338593902 /nfs/dbraw/zinc/59/39/02/338593902.db2.gz AWGAXOAGDGQYMO-ZIAGYGMSSA-N 0 3 303.377 4.133 20 0 DIADHN Clc1ccc(C[C@H]2CCC[C@@H]2NCc2cscn2)cc1 ZINC000449105678 338593988 /nfs/dbraw/zinc/59/39/88/338593988.db2.gz DYQLVMZOIAMCDI-CJNGLKHVSA-N 0 3 306.862 4.298 20 0 DIADHN COc1ccccc1[C@H](N[C@@H]1CSC[C@H]1C)c1ccccc1 ZINC000449145771 338596772 /nfs/dbraw/zinc/59/67/72/338596772.db2.gz LXUMOMFDMMBJBJ-LSBZLQRGSA-N 0 3 313.466 4.126 20 0 DIADHN C[C@@H]1CC[C@H](C)[C@@H](NCc2nnc(-c3ccccc3)s2)C1 ZINC000449183468 338600232 /nfs/dbraw/zinc/60/02/32/338600232.db2.gz FXJYYBPNIUUXOD-IPYPFGDCSA-N 0 3 301.459 4.119 20 0 DIADHN c1cc2cccc(CN[C@@H](c3ccccc3)[C@H]3CCOC3)c2o1 ZINC000449202671 338601998 /nfs/dbraw/zinc/60/19/98/338601998.db2.gz IXUDDLRMACADAJ-OALUTQOASA-N 0 3 307.393 4.300 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2Cc2ccc(Cl)cc2)on1 ZINC000449223375 338603987 /nfs/dbraw/zinc/60/39/87/338603987.db2.gz JLRZVOYIBWDVLS-YOEHRIQHSA-N 0 3 304.821 4.137 20 0 DIADHN COCC[C@H](N[C@@H](C)Cc1coc2ccccc12)c1ccco1 ZINC000449233735 338604857 /nfs/dbraw/zinc/60/48/57/338604857.db2.gz ZZLGJKBSBSZQKF-YOEHRIQHSA-N 0 3 313.397 4.324 20 0 DIADHN Clc1cc(CNCCC[C@H]2CCCCO2)c(Cl)s1 ZINC000449245018 338606139 /nfs/dbraw/zinc/60/61/39/338606139.db2.gz XRXOQUJWKASFFU-LLVKDONJSA-N 0 3 308.274 4.494 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cc3cccnc3o2)cc1 ZINC000449249086 338606547 /nfs/dbraw/zinc/60/65/47/338606547.db2.gz KYMVKUYCMUSLNH-CQSZACIVSA-N 0 3 312.438 4.407 20 0 DIADHN OCC[C@H](N[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1ccco1 ZINC000449251565 338606781 /nfs/dbraw/zinc/60/67/81/338606781.db2.gz QEODGPWOZCFHLA-OIISXLGYSA-N 0 3 319.832 4.282 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2cccc(F)c2)no1)C1CCC1 ZINC000449257750 338607513 /nfs/dbraw/zinc/60/75/13/338607513.db2.gz JXGJXYWVPBRXFX-IAQYHMDHSA-N 0 3 303.381 4.105 20 0 DIADHN CCCn1nccc1C1CCN(Cc2csc(C)c2)CC1 ZINC000449305952 338611371 /nfs/dbraw/zinc/61/13/71/338611371.db2.gz IXEADYHQGDUBNU-UHFFFAOYSA-N 0 3 303.475 4.043 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NCC1(C(F)(F)F)CCC1)CC2 ZINC000449323364 338612692 /nfs/dbraw/zinc/61/26/92/338612692.db2.gz UPPTUHZYXKYUKJ-JTQLQIEISA-N 0 3 323.280 4.413 20 0 DIADHN COc1cc([C@H](C)NCc2cc3ccccc3c(OC)c2)ccn1 ZINC000449327644 338613112 /nfs/dbraw/zinc/61/31/12/338613112.db2.gz CDKZWPXPDURETL-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)[C@H]1OCCc2sccc21 ZINC000449342154 338615182 /nfs/dbraw/zinc/61/51/82/338615182.db2.gz ZKQHPQLXYPBWIA-SJKOYZFVSA-N 0 3 313.422 4.286 20 0 DIADHN Cc1ccn2c(CN[C@H](C)c3cccc(C4CC4)c3)cnc2c1 ZINC000449347896 338615907 /nfs/dbraw/zinc/61/59/07/338615907.db2.gz FDTGNIVOIOVSNM-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c(F)cccc32)cc(OC)c1 ZINC000449347878 338615937 /nfs/dbraw/zinc/61/59/37/338615937.db2.gz FCIQZSQRJBOFBA-IBGZPJMESA-N 0 3 315.388 4.010 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(F)cc(F)cc32)cc1 ZINC000449348464 338615944 /nfs/dbraw/zinc/61/59/44/338615944.db2.gz GORQXEGIJFFSKC-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@@H]1[C@@H](NCc2cccc(C(F)(F)F)n2)C[C@@H]1c1ccccc1 ZINC000449400315 338620885 /nfs/dbraw/zinc/62/08/85/338620885.db2.gz IDKWUJRQMLFNIX-RCBQFDQVSA-N 0 3 320.358 4.382 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)c(Cl)c1)c1ccccn1 ZINC000449402854 338621260 /nfs/dbraw/zinc/62/12/60/338621260.db2.gz NGCCXTBJQLWPFT-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN Cc1cc(C)c(CNCc2ccnc(C(F)(F)F)c2)c(C)c1 ZINC000449440133 338624314 /nfs/dbraw/zinc/62/43/14/338624314.db2.gz YEFHHBLZCLBBAN-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN Cc1ccc(O)c(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)n1 ZINC000449448006 338624983 /nfs/dbraw/zinc/62/49/83/338624983.db2.gz DGJZBCHOITXEGC-QGZVFWFLSA-N 0 3 320.795 4.129 20 0 DIADHN Cc1cccc(CCN[C@@H](c2ccccc2)c2ccccn2)c1 ZINC000194610875 338626947 /nfs/dbraw/zinc/62/69/47/338626947.db2.gz KLLJGUPVRSVLRV-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN Clc1cc(CNC[C@@H]2CCOC3(CCC3)C2)c(Cl)s1 ZINC000449472391 338628213 /nfs/dbraw/zinc/62/82/13/338628213.db2.gz ABWVDBFNIYGIFY-SNVBAGLBSA-N 0 3 320.285 4.494 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@@H]2CCc2ccccc2)c2nccn21 ZINC000449568020 338638353 /nfs/dbraw/zinc/63/83/53/338638353.db2.gz AFUICVHLAPXZMU-OWYHZJEWSA-N 0 3 309.457 4.280 20 0 DIADHN C[C@H]1C[C@@H](NCC2CCC(c3ccccc3)CC2)c2nccn21 ZINC000449568884 338638644 /nfs/dbraw/zinc/63/86/44/338638644.db2.gz DSQAZOFFCLMVPH-NHFHULPWSA-N 0 3 309.457 4.453 20 0 DIADHN C[C@H]1C[C@@H](N[C@H]2C[C@@H](C)C[C@H](c3ccccc3)C2)c2nccn21 ZINC000449574425 338639323 /nfs/dbraw/zinc/63/93/23/338639323.db2.gz UORRHGKFVASJOJ-PLOWYNNNSA-N 0 3 309.457 4.451 20 0 DIADHN CCCc1ncc(CNC2CC(c3ccc(Cl)cc3)C2)o1 ZINC000449613753 338641202 /nfs/dbraw/zinc/64/12/02/338641202.db2.gz STXAVLIDTUQVSH-UHFFFAOYSA-N 0 3 304.821 4.316 20 0 DIADHN Clc1cccc(CC2(NCc3cc4cccnc4o3)CC2)c1 ZINC000449680601 338645874 /nfs/dbraw/zinc/64/58/74/338645874.db2.gz UISBUCFTKGMUGA-UHFFFAOYSA-N 0 3 312.800 4.346 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)NCc1cnc(C2CC2)o1 ZINC000449699855 338647047 /nfs/dbraw/zinc/64/70/47/338647047.db2.gz AIULFMILSVFPAW-JTQLQIEISA-N 0 3 310.850 4.378 20 0 DIADHN Cc1ccc(-c2nc(CNCC(C)(C)C(C)(C)O)cs2)cc1 ZINC000449728622 338648009 /nfs/dbraw/zinc/64/80/09/338648009.db2.gz WKHPNEWTFDOIPD-UHFFFAOYSA-N 0 3 318.486 4.005 20 0 DIADHN Cc1cccc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1C ZINC000449764803 338650950 /nfs/dbraw/zinc/65/09/50/338650950.db2.gz DPCNHGNMFZBAIZ-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN Cc1ccc(F)cc1CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000449770494 338651457 /nfs/dbraw/zinc/65/14/57/338651457.db2.gz WNLCRVJKVUMCSZ-KRWDZBQOSA-N 0 3 319.445 4.189 20 0 DIADHN Cc1sccc1CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000449770533 338651511 /nfs/dbraw/zinc/65/15/11/338651511.db2.gz WTBPYZLOIBEASN-HNNXBMFYSA-N 0 3 307.484 4.112 20 0 DIADHN CC(C)CCCC(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000449877358 338656877 /nfs/dbraw/zinc/65/68/77/338656877.db2.gz PXFJVHXLUHMYEJ-QGZVFWFLSA-N 0 3 316.489 4.155 20 0 DIADHN CSc1cccc(C(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC000450270129 338674373 /nfs/dbraw/zinc/67/43/73/338674373.db2.gz LNBYYYVWIRKMFM-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN FC1(F)CCCC[C@@H]1CN1CCN(CC2CCCCC2)CC1 ZINC000450296070 338675948 /nfs/dbraw/zinc/67/59/48/338675948.db2.gz BJAOLQKKPMXEIN-QGZVFWFLSA-N 0 3 314.464 4.010 20 0 DIADHN C[C@H](NCC1=CCCCC1)c1ccc(NC(=O)NC2CC2)cc1 ZINC000450669103 338697514 /nfs/dbraw/zinc/69/75/14/338697514.db2.gz BAXRWNQJDKPSJQ-AWEZNQCLSA-N 0 3 313.445 4.122 20 0 DIADHN Cc1csc([C@H](NC[C@H]2CCCCC2(F)F)C2CC2)n1 ZINC000450687825 338699151 /nfs/dbraw/zinc/69/91/51/338699151.db2.gz BGRZQPCENSRLMK-CHWSQXEVSA-N 0 3 300.418 4.318 20 0 DIADHN C[C@H](NCc1ccn(CC(F)(F)F)n1)[C@@H](C)C1CCCCC1 ZINC000450725503 338701721 /nfs/dbraw/zinc/70/17/21/338701721.db2.gz IKUCEMUKRHLHRR-OLZOCXBDSA-N 0 3 317.399 4.140 20 0 DIADHN Cc1cnc([C@@H](NCCc2ccc(Cl)cc2)C2CC2)s1 ZINC000450725252 338701726 /nfs/dbraw/zinc/70/17/26/338701726.db2.gz IGJXJUVOHNUULY-HNNXBMFYSA-N 0 3 306.862 4.388 20 0 DIADHN C(=C/c1ccccc1)\CCN1CCC(c2noc(C3CC3)n2)CC1 ZINC000450763625 338704311 /nfs/dbraw/zinc/70/43/11/338704311.db2.gz BJLMFCAVMGNDNV-XBXARRHUSA-N 0 3 323.440 4.230 20 0 DIADHN FC1(F)CCC[C@H](CN2CCC(F)(c3ccccn3)CC2)C1 ZINC000450932005 338713134 /nfs/dbraw/zinc/71/31/34/338713134.db2.gz QGOQXFPBENRXHG-AWEZNQCLSA-N 0 3 312.379 4.168 20 0 DIADHN FCC1CCN(Cc2ccccc2Oc2cccnc2)CC1 ZINC000451387280 338732291 /nfs/dbraw/zinc/73/22/91/338732291.db2.gz CUCQAVUDNMEWLW-UHFFFAOYSA-N 0 3 300.377 4.055 20 0 DIADHN FC(F)n1c2ccccc2nc1CN[C@@H]1CCC12CCCC2 ZINC000451459516 338735381 /nfs/dbraw/zinc/73/53/81/338735381.db2.gz QMMANHRXSJNFIY-CQSZACIVSA-N 0 3 305.372 4.244 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCC[C@@H](C)C1)c1nc2ccccc2c(=O)[nH]1 ZINC000452064656 338752511 /nfs/dbraw/zinc/75/25/11/338752511.db2.gz GRZWVZSDALCIBC-CBBWQLFWSA-N 0 3 313.445 4.201 20 0 DIADHN C[C@@H](NC(=O)c1cccc(CN(C)C)c1)c1cccc(C2CC2)c1 ZINC000452193330 338757539 /nfs/dbraw/zinc/75/75/39/338757539.db2.gz HYNDSRZFXAUYKU-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cccc(O)c1)c1ccsc1 ZINC000194848979 338766512 /nfs/dbraw/zinc/76/65/12/338766512.db2.gz MPKYEWNWFHVHDL-KSSFIOAISA-N 0 3 318.486 4.187 20 0 DIADHN C[C@@H](NCCc1nc(C(C)(C)C)cs1)c1cccc(O)c1 ZINC000194885297 338773245 /nfs/dbraw/zinc/77/32/45/338773245.db2.gz XCGDWJKPXGPQTR-GFCCVEGCSA-N 0 3 304.459 4.040 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cccc(O)c1)c1cccc(Cl)c1 ZINC000194928661 338781114 /nfs/dbraw/zinc/78/11/14/338781114.db2.gz KLVCISMMYFFSGC-PXAZEXFGSA-N 0 3 305.805 4.084 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H](C)c1ccc(F)cc1F ZINC000453054216 338788162 /nfs/dbraw/zinc/78/81/62/338788162.db2.gz HAEZPQOABPRDMX-KBPBESRZSA-N 0 3 304.384 4.422 20 0 DIADHN Cc1ccsc1CN[C@H](C)c1cc(-c2ccccc2)nn1C ZINC000453061456 338788878 /nfs/dbraw/zinc/78/88/78/338788878.db2.gz BOUZAASBSFYENI-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ccncn1 ZINC000453081671 338791491 /nfs/dbraw/zinc/79/14/91/338791491.db2.gz CGXZHNLXFSUYMC-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN CC[C@H](NCCc1coc(-c2ccc(C)cc2)n1)c1ccncc1 ZINC000453089192 338792314 /nfs/dbraw/zinc/79/23/14/338792314.db2.gz JPEORXNHMVFJGV-IBGZPJMESA-N 0 3 321.424 4.328 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H]2CCCc3c2cnn3C)c2sccc21 ZINC000453095874 338793188 /nfs/dbraw/zinc/79/31/88/338793188.db2.gz VESAQYSNYTZPPQ-UGFHNGPFSA-N 0 3 301.459 4.087 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H]2CCCc3c2cnn3C)c2sccc21 ZINC000453095868 338793225 /nfs/dbraw/zinc/79/32/25/338793225.db2.gz VESAQYSNYTZPPQ-DFBGVHRSSA-N 0 3 301.459 4.087 20 0 DIADHN Fc1cccc([C@@H](NCCC2CCOCC2)c2ccccc2)c1 ZINC000453098508 338793540 /nfs/dbraw/zinc/79/35/40/338793540.db2.gz VEEMWJWGCDAJHD-FQEVSTJZSA-N 0 3 313.416 4.321 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1ncc(-c2ccccc2)n1C ZINC000453100948 338793758 /nfs/dbraw/zinc/79/37/58/338793758.db2.gz XOHVPFAWUORWGS-JKSUJKDBSA-N 0 3 323.440 4.352 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H]1C[C@H](C)n2ccnc21 ZINC000453102297 338794101 /nfs/dbraw/zinc/79/41/01/338794101.db2.gz XXCKOYOWCACQJY-ZETOZRRWSA-N 0 3 324.255 4.417 20 0 DIADHN Cc1cc([C@@H](C)NCCc2nc3c(cccc3C)o2)cs1 ZINC000453114395 338795472 /nfs/dbraw/zinc/79/54/72/338795472.db2.gz GVJNCRXLIQXNPU-CYBMUJFWSA-N 0 3 300.427 4.399 20 0 DIADHN CCSCC[C@@H](C)N[C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453116892 338795837 /nfs/dbraw/zinc/79/58/37/338795837.db2.gz JUDTUNJJJRIZEQ-CABCVRRESA-N 0 3 317.502 4.269 20 0 DIADHN CCn1ccnc1[C@H](C)NCCCCCOC1CCCCC1 ZINC000453130433 338797675 /nfs/dbraw/zinc/79/76/75/338797675.db2.gz WDULAQNBQHUEFQ-INIZCTEOSA-N 0 3 307.482 4.073 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H](CO)c2cccc(F)c2)c2sccc21 ZINC000453141032 338798800 /nfs/dbraw/zinc/79/88/00/338798800.db2.gz IYSMLVGMMJMKBO-HFBAOOFYSA-N 0 3 305.418 4.149 20 0 DIADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1nc(-c2ccncc2)cs1 ZINC000453143731 338799189 /nfs/dbraw/zinc/79/91/89/338799189.db2.gz LVTXHJZZCSDXEH-SJCJKPOMSA-N 0 3 313.470 4.434 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccccc1Cl)c1ccncc1 ZINC000453157038 338800753 /nfs/dbraw/zinc/80/07/53/338800753.db2.gz XNLJCCDUJSVMQP-CJNGLKHVSA-N 0 3 304.821 4.243 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccncc1 ZINC000453164799 338801594 /nfs/dbraw/zinc/80/15/94/338801594.db2.gz OIYFISRHUIXUDL-OKZBNKHCSA-N 0 3 316.832 4.306 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F)c1ccncn1 ZINC000453168099 338801977 /nfs/dbraw/zinc/80/19/77/338801977.db2.gz SQHCJHSKZZSRJB-AGIUHOORSA-N 0 3 323.362 4.338 20 0 DIADHN CSCC1CCC(NC2(c3nc(C)cs3)CCC2)CC1 ZINC000453171668 338802371 /nfs/dbraw/zinc/80/23/71/338802371.db2.gz HWOHVBLASJGXDZ-UHFFFAOYSA-N 0 3 310.532 4.342 20 0 DIADHN Cc1cc([C@H](C)NCc2cccc(-c3cnn(C)c3)c2)cs1 ZINC000453177638 338803134 /nfs/dbraw/zinc/80/31/34/338803134.db2.gz NMDQKQFGENPMLS-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(-c3cnn(C)c3)c2)cs1 ZINC000453177639 338803227 /nfs/dbraw/zinc/80/32/27/338803227.db2.gz NMDQKQFGENPMLS-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN Clc1ccccc1C[C@H](N[C@@H]1CCOC1)c1ccsc1 ZINC000453180255 338803512 /nfs/dbraw/zinc/80/35/12/338803512.db2.gz VBXLLARDXADVNU-ZBFHGGJFSA-N 0 3 307.846 4.064 20 0 DIADHN CC(C)[C@@H](N[C@H](C)Cc1cnccn1)c1ccc(Cl)cc1F ZINC000453199515 338806795 /nfs/dbraw/zinc/80/67/95/338806795.db2.gz SHDAMNHWNQVWDH-SJKOYZFVSA-N 0 3 321.827 4.187 20 0 DIADHN CSCCCCCN[C@H](C)c1ncc(-c2ccccc2)n1C ZINC000453206348 338807702 /nfs/dbraw/zinc/80/77/02/338807702.db2.gz ULKPUUVDVHSCKG-OAHLLOKOSA-N 0 3 317.502 4.271 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2C[C@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453206462 338807802 /nfs/dbraw/zinc/80/78/02/338807802.db2.gz FAEIKNVOFUGJIP-CUBALJKWSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1ccc(Oc2ccccc2)cn1 ZINC000453208224 338807998 /nfs/dbraw/zinc/80/79/98/338807998.db2.gz BLFYOMRHDZLEQI-XFHUWUJUSA-N 0 3 324.424 4.092 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CC[C@H](C)c3ccsc32)ccn1 ZINC000453214652 338808913 /nfs/dbraw/zinc/80/89/13/338808913.db2.gz FBYPXNFIDWBQNX-HUBLWGQQSA-N 0 3 302.443 4.441 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@H]2C[C@@H](C)n3ccnc32)c2ccccc21 ZINC000453218901 338809529 /nfs/dbraw/zinc/80/95/29/338809529.db2.gz NXTUIMVLQRFPPX-PDWMJMLSSA-N 0 3 309.457 4.406 20 0 DIADHN CC[C@@H](NC[C@@H]1CSc2ccccc21)c1ccc(F)cn1 ZINC000453226326 338810659 /nfs/dbraw/zinc/81/06/59/338810659.db2.gz XPZJRUXAWAJLAI-IUODEOHRSA-N 0 3 302.418 4.151 20 0 DIADHN CCn1ccnc1[C@H](C)NC1(Cc2ccc(Cl)cc2)CCC1 ZINC000453241602 338812827 /nfs/dbraw/zinc/81/28/27/338812827.db2.gz PQXJBWNBPCFYSC-AWEZNQCLSA-N 0 3 317.864 4.372 20 0 DIADHN COc1ncc([C@@H](C)NC[C@H]2CCCCC2(F)F)cc1Cl ZINC000453243440 338813204 /nfs/dbraw/zinc/81/32/04/338813204.db2.gz GAKBQHRWMJJSFS-ZYHUDNBSSA-N 0 3 318.795 4.220 20 0 DIADHN C[C@H](NC1CC(C)(F)C1)c1ccc(F)c(Br)c1 ZINC000453246868 338813772 /nfs/dbraw/zinc/81/37/72/338813772.db2.gz JPOOARFEJNZFQA-LUHBLDKBSA-N 0 3 304.178 4.129 20 0 DIADHN FC1(F)CCCC[C@H]1CN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000453251759 338814509 /nfs/dbraw/zinc/81/45/09/338814509.db2.gz NTIOBAANSRTPTQ-GJZGRUSLSA-N 0 3 311.420 4.446 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2cccc(-n3ccnn3)c2)cc1F ZINC000453260603 338815520 /nfs/dbraw/zinc/81/55/20/338815520.db2.gz QCNVXLNWWBSBDU-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN CC[C@H](COCC(F)(F)F)N[C@@H](CC)c1ccccc1OC ZINC000453265120 338816017 /nfs/dbraw/zinc/81/60/17/338816017.db2.gz WXMQSSNOVFTDSV-OCCSQVGLSA-N 0 3 319.367 4.093 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)c2ccccc21)[C@@H](O)C(F)F ZINC000453267153 338816391 /nfs/dbraw/zinc/81/63/91/338816391.db2.gz GXPKXRMYZDWCJC-CIISACIHSA-N 0 3 311.347 4.034 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)c2ccccc21)[C@H](O)C(F)F ZINC000453267154 338816459 /nfs/dbraw/zinc/81/64/59/338816459.db2.gz GXPKXRMYZDWCJC-HNHYXYPQSA-N 0 3 311.347 4.034 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CCOC3(C)C)oc21 ZINC000453280671 338818048 /nfs/dbraw/zinc/81/80/48/338818048.db2.gz HECVLAAIIADKHG-LRDDRELGSA-N 0 3 303.402 4.050 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)c(Cl)c1)c1cccnc1 ZINC000453285494 338818789 /nfs/dbraw/zinc/81/87/89/338818789.db2.gz JAORONDUWDPZSO-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN Cc1cc(CN[C@H]2CCCOc3cc4c(cc32)CCCC4)ccn1 ZINC000453298167 338820439 /nfs/dbraw/zinc/82/04/39/338820439.db2.gz UJFKWUALEVHNBI-FQEVSTJZSA-N 0 3 322.452 4.272 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc(C)cs1)CCS2 ZINC000453309369 338822198 /nfs/dbraw/zinc/82/21/98/338822198.db2.gz DTKDNOGZXDLUKE-OAHLLOKOSA-N 0 3 305.468 4.392 20 0 DIADHN C[C@H](CC(C)(C)O)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000453312672 338822791 /nfs/dbraw/zinc/82/27/91/338822791.db2.gz HECWQBASFXUFBM-CYBMUJFWSA-N 0 3 319.395 4.193 20 0 DIADHN Cc1cccnc1CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000453312330 338822834 /nfs/dbraw/zinc/82/28/34/338822834.db2.gz QJJRHGSZHFJAIY-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN FC1(F)CCC[C@@H]1CN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000453314939 338823152 /nfs/dbraw/zinc/82/31/52/338823152.db2.gz GBCPTHUQXGYFGP-UKRRQHHQSA-N 0 3 307.384 4.458 20 0 DIADHN Cc1csc(CN[C@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000453317247 338823695 /nfs/dbraw/zinc/82/36/95/338823695.db2.gz LBFOWNITBPSTMY-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN c1ccc([C@H](NC[C@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000453327593 338825447 /nfs/dbraw/zinc/82/54/47/338825447.db2.gz WCXXJWQDCKWTIR-PXNSSMCTSA-N 0 3 322.452 4.110 20 0 DIADHN CC(C)n1cc([C@@H](C)NC2(Cc3ccc(F)cc3)CC2)cn1 ZINC000453336946 338827024 /nfs/dbraw/zinc/82/70/24/338827024.db2.gz FQYFUGDJPJZHMS-CQSZACIVSA-N 0 3 301.409 4.029 20 0 DIADHN Fc1cccc(-n2cccn2)c1CN[C@@H]1CCCc2ccccc21 ZINC000453339933 338827532 /nfs/dbraw/zinc/82/75/32/338827532.db2.gz CYITWAKHZLZAQQ-LJQANCHMSA-N 0 3 321.399 4.179 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCC[C@@H]1CCOC1 ZINC000453351143 338829686 /nfs/dbraw/zinc/82/96/86/338829686.db2.gz HBDDQXSNOUXXQN-UONOGXRCSA-N 0 3 311.853 4.206 20 0 DIADHN C[C@@H](NCC(C)(C)C1(O)CCCC1)c1nccc2ccccc21 ZINC000453359319 338831122 /nfs/dbraw/zinc/83/11/22/338831122.db2.gz ACKOXHFJPNOFPT-OAHLLOKOSA-N 0 3 312.457 4.217 20 0 DIADHN C[C@H]1C[C@@H](NCc2c(F)cccc2-n2cccn2)c2ccccc21 ZINC000453371802 338833468 /nfs/dbraw/zinc/83/34/68/338833468.db2.gz UYRILIFPDIKXIM-IFXJQAMLSA-N 0 3 321.399 4.350 20 0 DIADHN CO[C@@H]([C@H](C)N[C@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000453379616 338834996 /nfs/dbraw/zinc/83/49/96/338834996.db2.gz QVFMCXXRKNDDME-WQGACYEGSA-N 0 3 313.388 4.061 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H](c2ccccn2)C1)c1ccccc1Cl ZINC000453381659 338835288 /nfs/dbraw/zinc/83/52/88/338835288.db2.gz RVGJCQIKPKFXNE-HBUWYVDXSA-N 0 3 316.832 4.306 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1sc(C(C)(C)C)nc1C ZINC000453390210 338836726 /nfs/dbraw/zinc/83/67/26/338836726.db2.gz DBADCYFKMHJBJV-MRVWCRGKSA-N 0 3 310.507 4.357 20 0 DIADHN CC[C@@H](NCCc1cnc(C)cn1)c1ccc(Cl)cc1Cl ZINC000453405858 338839373 /nfs/dbraw/zinc/83/93/73/338839373.db2.gz QPPWTFIHPAQFKV-MRXNPFEDSA-N 0 3 324.255 4.375 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)nn1C ZINC000453414350 338840491 /nfs/dbraw/zinc/84/04/91/338840491.db2.gz YOZROLRRMMIIHB-JLJPHGGASA-N 0 3 317.864 4.369 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000453420387 338840897 /nfs/dbraw/zinc/84/08/97/338840897.db2.gz KHLPZIAZZVZDMD-CXAGYDPISA-N 0 3 303.425 4.063 20 0 DIADHN Cc1ccc(CCN[C@@H]2CCCOc3ccc(Cl)cc32)nc1 ZINC000453434505 338841939 /nfs/dbraw/zinc/84/19/39/338841939.db2.gz UGEVGTMOQQTBDP-QGZVFWFLSA-N 0 3 316.832 4.089 20 0 DIADHN CC[C@@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccc(F)cn1 ZINC000453434421 338841994 /nfs/dbraw/zinc/84/19/94/338841994.db2.gz TYLDYEZRZJYKRU-IIAWOOMASA-N 0 3 320.433 4.243 20 0 DIADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H]1CCC(=O)Nc2ccc(F)cc21 ZINC000453458334 338843457 /nfs/dbraw/zinc/84/34/57/338843457.db2.gz VWYPNPBAHMZNAH-UWWQBHOKSA-N 0 3 304.409 4.013 20 0 DIADHN CC(C)(CNCc1cnc(C(F)(F)F)s1)C1=CCCC1 ZINC000453578674 338848976 /nfs/dbraw/zinc/84/89/76/338848976.db2.gz IZUQSNZROZGRFL-UHFFFAOYSA-N 0 3 304.381 4.388 20 0 DIADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cnc(-c2ccccn2)s1 ZINC000453606207 338850411 /nfs/dbraw/zinc/85/04/11/338850411.db2.gz FKHLLEORKNDQRL-RDJZCZTQSA-N 0 3 301.459 4.119 20 0 DIADHN CCC(C)(C)OCCNCc1c[nH]nc1-c1ccc(Cl)cc1 ZINC000453615877 338850834 /nfs/dbraw/zinc/85/08/34/338850834.db2.gz PFLOSZVJLGIPKN-UHFFFAOYSA-N 0 3 321.852 4.025 20 0 DIADHN CC[C@@H](CC(F)F)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000457433969 338963497 /nfs/dbraw/zinc/96/34/97/338963497.db2.gz DURUFQJKTIVOCJ-HNNXBMFYSA-N 0 3 324.415 4.292 20 0 DIADHN FC1(F)CCC(CN(Cc2ccco2)C[C@H]2CCCO2)CC1 ZINC000459291551 339019743 /nfs/dbraw/zinc/01/97/43/339019743.db2.gz CLWTWOLWJAMWPS-MRXNPFEDSA-N 0 3 313.388 4.086 20 0 DIADHN Clc1cccc(CCN2CCC[C@H](c3nccs3)C2)c1 ZINC000459331154 339022317 /nfs/dbraw/zinc/02/23/17/339022317.db2.gz UUXWUAHNIYFVFJ-AWEZNQCLSA-N 0 3 306.862 4.219 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NCc2cnnn2CC)C(C)C)cc1 ZINC000459342396 339023185 /nfs/dbraw/zinc/02/31/85/339023185.db2.gz FARWYGHGIFLLIK-DNVCBOLYSA-N 0 3 314.477 4.298 20 0 DIADHN c1ccc([C@@H]2CCCN(Cc3cnc(C4CC4)nc3)CC2)cc1 ZINC000459346474 339023330 /nfs/dbraw/zinc/02/33/30/339023330.db2.gz WVSXZIHBDWYTEJ-GOSISDBHSA-N 0 3 307.441 4.124 20 0 DIADHN CCn1nncc1CN[C@@H](c1ccc(C(C)(C)C)cc1)C(C)C ZINC000459352828 339023783 /nfs/dbraw/zinc/02/37/83/339023783.db2.gz SEOVRJJMOTWQOW-GOSISDBHSA-N 0 3 314.477 4.082 20 0 DIADHN C[C@H]1CCCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459353353 339023808 /nfs/dbraw/zinc/02/38/08/339023808.db2.gz RNVGFVWALFBPMK-HNNXBMFYSA-N 0 3 310.445 4.045 20 0 DIADHN CC(C)[C@@H]1CCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459361655 339024720 /nfs/dbraw/zinc/02/47/20/339024720.db2.gz OVFBIYAZHQNJID-IBGZPJMESA-N 0 3 324.472 4.291 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNCc1ccccc1C ZINC000459378665 339025794 /nfs/dbraw/zinc/02/57/94/339025794.db2.gz ZEIRKEWIHMTFEX-UHFFFAOYSA-N 0 3 311.454 4.180 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)nc1)c1cccc2ccccc21 ZINC000459386004 339026218 /nfs/dbraw/zinc/02/62/18/339026218.db2.gz XPSQGYBSZFOWAI-AWEZNQCLSA-N 0 3 303.409 4.358 20 0 DIADHN Cc1nc(C(C)(C)NCC2CCC(F)(F)CC2)sc1C ZINC000459387915 339026404 /nfs/dbraw/zinc/02/64/04/339026404.db2.gz LGQCMXOGRWWMJM-UHFFFAOYSA-N 0 3 302.434 4.410 20 0 DIADHN FC1(F)CCC(CNC2(c3nccs3)CCCC2)CC1 ZINC000459386512 339026440 /nfs/dbraw/zinc/02/64/40/339026440.db2.gz IXNXGXRMOXLHMJ-UHFFFAOYSA-N 0 3 300.418 4.328 20 0 DIADHN CN(C)Cc1cc(CNCc2ccc(C3CC3)cc2)ccc1F ZINC000459404563 339027404 /nfs/dbraw/zinc/02/74/04/339027404.db2.gz DKHIPPCZEOIEOS-UHFFFAOYSA-N 0 3 312.432 4.055 20 0 DIADHN Clc1ccc(C2=CCN(CCc3cn[nH]c3)CC2)cc1Cl ZINC000459406675 339027414 /nfs/dbraw/zinc/02/74/14/339027414.db2.gz JZRDUTMJIJWBFH-UHFFFAOYSA-N 0 3 322.239 4.048 20 0 DIADHN CC(C)c1ccc(C[C@H](C)NCc2cnc(C3CC3)nc2)cc1 ZINC000459429958 339028739 /nfs/dbraw/zinc/02/87/39/339028739.db2.gz DDXIDXGWKIBNJM-HNNXBMFYSA-N 0 3 309.457 4.198 20 0 DIADHN CC(C)OC1CC(N2CCC(c3c(F)cccc3F)CC2)C1 ZINC000459434750 339029153 /nfs/dbraw/zinc/02/91/53/339029153.db2.gz UOYCQUNALFMUQD-UHFFFAOYSA-N 0 3 309.400 4.100 20 0 DIADHN c1cc(CNCc2ccc(C3CC3)cc2)cc(OC2CCC2)n1 ZINC000459461377 339030402 /nfs/dbraw/zinc/03/04/02/339030402.db2.gz TWELCKSGOOYJSP-UHFFFAOYSA-N 0 3 308.425 4.180 20 0 DIADHN Cc1cccc(C2(NCc3cnc(C4CC4)nc3)CCCC2)c1 ZINC000459468636 339031145 /nfs/dbraw/zinc/03/11/45/339031145.db2.gz RRNIRGGQJDJLBY-UHFFFAOYSA-N 0 3 307.441 4.222 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@@H]1NCc1cnc(C2CC2)nc1 ZINC000459471525 339031160 /nfs/dbraw/zinc/03/11/60/339031160.db2.gz BAECTIWJCMSCCP-UYAOXDASSA-N 0 3 321.468 4.403 20 0 DIADHN c1ccc([C@@H](NCc2ccc(C3CC3)cc2)[C@H]2CCOC2)cc1 ZINC000459472237 339031252 /nfs/dbraw/zinc/03/12/52/339031252.db2.gz VPHIRMBKDKBPBZ-LEWJYISDSA-N 0 3 307.437 4.431 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@H]1NCc1cnc(C2CC2)nc1 ZINC000459471522 339031278 /nfs/dbraw/zinc/03/12/78/339031278.db2.gz BAECTIWJCMSCCP-QUCCMNQESA-N 0 3 321.468 4.403 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3cnc(C4CC4)nc3)C2)cc1 ZINC000459510601 339033373 /nfs/dbraw/zinc/03/33/73/339033373.db2.gz WXSCOHUWCZEVQT-DNVCBOLYSA-N 0 3 307.441 4.040 20 0 DIADHN c1ccc2c(c1)CO[C@]21CCN(Cc2ccc(C3CC3)cc2)C1 ZINC000459513527 339033547 /nfs/dbraw/zinc/03/35/47/339033547.db2.gz BVQBJFMSRPJLQN-NRFANRHFSA-N 0 3 305.421 4.195 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cnc(C2CC2)nc1 ZINC000459529392 339034247 /nfs/dbraw/zinc/03/42/47/339034247.db2.gz LVQJNGYSVLTYHA-DNVCBOLYSA-N 0 3 307.441 4.122 20 0 DIADHN C[C@H]1CC[C@H](c2ccccc2)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459551702 339035454 /nfs/dbraw/zinc/03/54/54/339035454.db2.gz XCGBBYBIQWTRNJ-HNAYVOBHSA-N 0 3 307.441 4.327 20 0 DIADHN OCC[C@H](NCc1ccc(C2CC2)cc1)c1ccc(Cl)cc1 ZINC000459564471 339035997 /nfs/dbraw/zinc/03/59/97/339035997.db2.gz RDTXAJVCHGYVQP-IBGZPJMESA-N 0 3 315.844 4.431 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CNCC1=CCCOC1 ZINC000459566691 339036269 /nfs/dbraw/zinc/03/62/69/339036269.db2.gz UZAOFUSWOTWFEG-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CNCC1=CCOCC1 ZINC000459575711 339036853 /nfs/dbraw/zinc/03/68/53/339036853.db2.gz VHGNPRMMGZGESG-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CC(C)(O)C1CN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000459615115 339038622 /nfs/dbraw/zinc/03/86/22/339038622.db2.gz VQXYBXGCBNPDSQ-UHFFFAOYSA-N 0 3 321.873 4.271 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000471607344 339038722 /nfs/dbraw/zinc/03/87/22/339038722.db2.gz YPZWBUYEBHKMGH-WQGACYEGSA-N 0 3 323.433 4.323 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1ccc(Oc2ccccc2)nn1 ZINC000459619485 339038761 /nfs/dbraw/zinc/03/87/61/339038761.db2.gz UOFLJKPPJCHYGD-HNAYVOBHSA-N 0 3 311.429 4.327 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1nnc(-c2ccccc2)s1 ZINC000459618262 339038771 /nfs/dbraw/zinc/03/87/71/339038771.db2.gz SGPIEFSZXRXSDR-SUMWQHHRSA-N 0 3 301.459 4.264 20 0 DIADHN COCCC1(C)CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)C1 ZINC000459635645 339039608 /nfs/dbraw/zinc/03/96/08/339039608.db2.gz PEUJHZXNDAVLNY-ZDUSSCGKSA-N 0 3 315.379 4.167 20 0 DIADHN C[C@H](CCc1ccsc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000459648972 339040358 /nfs/dbraw/zinc/04/03/58/339040358.db2.gz LFGCFLKRSNMMNT-VXGBXAGGSA-N 0 3 306.500 4.365 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2cccc(Br)c2)c1 ZINC000459651103 339040543 /nfs/dbraw/zinc/04/05/43/339040543.db2.gz YNEXEJGTRVYYCY-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C)CCCc2cccnc2)s1 ZINC000459650788 339040605 /nfs/dbraw/zinc/04/06/05/339040605.db2.gz OAUCKWFEQDVFRP-AWEZNQCLSA-N 0 3 303.475 4.163 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)c(C)c2)cn1 ZINC000459660857 339041302 /nfs/dbraw/zinc/04/13/02/339041302.db2.gz LPUSBOARHIGKNA-UHFFFAOYSA-N 0 3 319.246 4.005 20 0 DIADHN c1ncn2cc(CNCc3ccc(C4CCCC4)cc3)ccc12 ZINC000459662204 339041429 /nfs/dbraw/zinc/04/14/29/339041429.db2.gz SSQQSRKIGVQBAN-UHFFFAOYSA-N 0 3 305.425 4.282 20 0 DIADHN CN(Cc1cnc(C2CC2)nc1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000459667899 339041826 /nfs/dbraw/zinc/04/18/26/339041826.db2.gz DKGWYXNGXSWDQQ-RTBURBONSA-N 0 3 307.441 4.122 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1cnc(-c2ccsc2)s1 ZINC000459669212 339041994 /nfs/dbraw/zinc/04/19/94/339041994.db2.gz DHABHIKVJSCAQA-AWEZNQCLSA-N 0 3 322.499 4.263 20 0 DIADHN COC1CCC(NCc2ccc(Oc3ccccc3)o2)CC1 ZINC000459670499 339042112 /nfs/dbraw/zinc/04/21/12/339042112.db2.gz FBMNOJDRRYNSQV-UHFFFAOYSA-N 0 3 301.386 4.119 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)NCc1cnc(C)o1 ZINC000459675316 339042401 /nfs/dbraw/zinc/04/24/01/339042401.db2.gz LTYYODZECMVQLI-ZDUSSCGKSA-N 0 3 313.228 4.401 20 0 DIADHN CN(Cc1nc(-c2ccoc2)no1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000459675803 339042525 /nfs/dbraw/zinc/04/25/25/339042525.db2.gz NAEJKNOTFKLYJX-IAGOWNOFSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1ncc(CN[C@@H]2CC=C(c3ccc(Cl)cc3)CC2)o1 ZINC000459685985 339043085 /nfs/dbraw/zinc/04/30/85/339043085.db2.gz GRKMAHIUKMLGHN-MRXNPFEDSA-N 0 3 302.805 4.362 20 0 DIADHN CCc1nocc1CNCC1(Cc2cccc(Cl)c2)CC1 ZINC000459707421 339043153 /nfs/dbraw/zinc/04/31/53/339043153.db2.gz JFLBENOJDXTXJG-UHFFFAOYSA-N 0 3 304.821 4.003 20 0 DIADHN COc1ccc(CNC2CCC(C(F)F)CC2)c(Cl)c1 ZINC000459708822 339043748 /nfs/dbraw/zinc/04/37/48/339043748.db2.gz JMNXGZMBBKPZRQ-UHFFFAOYSA-N 0 3 303.780 4.262 20 0 DIADHN Cn1c(CN[C@]2(C)CC=CCC2)nc2cc(C(F)(F)F)ccc21 ZINC000459752670 339046355 /nfs/dbraw/zinc/04/63/55/339046355.db2.gz LDNSUWMLBUTYRE-MRXNPFEDSA-N 0 3 323.362 4.181 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@@H](C)Cc2cccc(F)c2)o1 ZINC000459760904 339046817 /nfs/dbraw/zinc/04/68/17/339046817.db2.gz KGOJJVHPQKEBME-ZDUSSCGKSA-N 0 3 304.409 4.138 20 0 DIADHN CCSCC[C@@H](C)NCc1sccc1Br ZINC000165006485 339052748 /nfs/dbraw/zinc/05/27/48/339052748.db2.gz SGQYJNYWVSVBCE-SECBINFHSA-N 0 3 308.310 4.132 20 0 DIADHN CC[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1cccc(Cl)c1F ZINC000461084515 339058913 /nfs/dbraw/zinc/05/89/13/339058913.db2.gz DYQHNRBWHHZZDM-JMGFVUJMSA-N 0 3 311.828 4.333 20 0 DIADHN CC[C@H](NC[C@H](CO)c1ccccc1)c1cccc(Cl)c1F ZINC000461092458 339059014 /nfs/dbraw/zinc/05/90/14/339059014.db2.gz GLTODMGQQILTIL-PBHICJAKSA-N 0 3 321.823 4.296 20 0 DIADHN CCC[C@H](CCO)CN[C@@H](CC)c1cccc(Cl)c1F ZINC000461090863 339059078 /nfs/dbraw/zinc/05/90/78/339059078.db2.gz UJJGNBPPZSIMIR-DOMZBBRYSA-N 0 3 301.833 4.319 20 0 DIADHN CC[C@@H](NCC1(CCO)CCCC1)c1cccc(Cl)c1F ZINC000461094195 339059089 /nfs/dbraw/zinc/05/90/89/339059089.db2.gz KBQCEYKTJYNQEW-OAHLLOKOSA-N 0 3 313.844 4.463 20 0 DIADHN CC[C@H](NC[C@H](O)Cc1ccccc1)c1cccc(Cl)c1F ZINC000461095850 339059146 /nfs/dbraw/zinc/05/91/46/339059146.db2.gz DXDNIKCOFDGJIE-PBHICJAKSA-N 0 3 321.823 4.123 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@@H]1c1cccc(Cl)c1)N(CC)CC ZINC000461351507 339062233 /nfs/dbraw/zinc/06/22/33/339062233.db2.gz IODLHBDAQRUPSX-DLBZAZTESA-N 0 3 322.880 4.124 20 0 DIADHN C[C@@H](CCO)N(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461367397 339062541 /nfs/dbraw/zinc/06/25/41/339062541.db2.gz SAGLAKQURVMTPA-HNNXBMFYSA-N 0 3 319.395 4.397 20 0 DIADHN CC[C@H](CO)NCc1ccccc1Oc1ccc(F)cc1Cl ZINC000461403281 339063253 /nfs/dbraw/zinc/06/32/53/339063253.db2.gz ZMRYVWBIKKNOIU-CQSZACIVSA-N 0 3 323.795 4.132 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1SC(C)C)N(CC)CC ZINC000461447326 339064057 /nfs/dbraw/zinc/06/40/57/339064057.db2.gz VYNARYRJFOOKTN-HNNXBMFYSA-N 0 3 308.491 4.246 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(F)cc1OC(C)(C)C)N(CC)CC ZINC000461482661 339064290 /nfs/dbraw/zinc/06/42/90/339064290.db2.gz CNMODDJWPIJYMK-OAHLLOKOSA-N 0 3 324.440 4.062 20 0 DIADHN CC[C@@H](C(=O)N1CCCCC[C@@H]1c1ccc(C)o1)N(CC)CC ZINC000461654276 339065356 /nfs/dbraw/zinc/06/53/56/339065356.db2.gz HCYLGCKASHNLMH-DLBZAZTESA-N 0 3 320.477 4.152 20 0 DIADHN CC[C@@H](NC(=O)[C@@H](CC)N(CC)CC)c1ccc(Cl)s1 ZINC000461651847 339065389 /nfs/dbraw/zinc/06/53/89/339065389.db2.gz XSCSRKNBDKZPHP-VXGBXAGGSA-N 0 3 316.898 4.089 20 0 DIADHN CC[C@H](C(=O)N1CC[C@H](C)C[C@@H]1c1ccc(C)o1)N(CC)CC ZINC000461751291 339066574 /nfs/dbraw/zinc/06/65/74/339066574.db2.gz QCCLULFSUUFGEB-USXIJHARSA-N 0 3 320.477 4.008 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@H](c2ccccc2)C(C)(C)C)c1 ZINC000461880594 339067830 /nfs/dbraw/zinc/06/78/30/339067830.db2.gz HAYREHFWEQYKJL-LJQANCHMSA-N 0 3 324.468 4.265 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1cn2c(n1)CCCC2 ZINC000461886683 339068020 /nfs/dbraw/zinc/06/80/20/339068020.db2.gz HWQJIMWLVGCYBL-GOSISDBHSA-N 0 3 311.473 4.111 20 0 DIADHN c1nc(CN[C@H](CCc2ccccc2)Cc2ccccc2)cs1 ZINC000462038752 339071305 /nfs/dbraw/zinc/07/13/05/339071305.db2.gz WXLKUFZWVPYLJI-LJQANCHMSA-N 0 3 322.477 4.477 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1ncoc1-c1ccccc1)C2 ZINC000462120026 339072448 /nfs/dbraw/zinc/07/24/48/339072448.db2.gz IPHYDCVCPHOJGV-KRWDZBQOSA-N 0 3 324.811 4.252 20 0 DIADHN Cc1ccoc1CNC[C@@H](c1ccccc1)N1CCC(C)CC1 ZINC000462357073 339076489 /nfs/dbraw/zinc/07/64/89/339076489.db2.gz HEPXYXKQUIZKTI-IBGZPJMESA-N 0 3 312.457 4.151 20 0 DIADHN Cc1ccoc1CN[C@H](C)CCc1ccc(Br)cc1 ZINC000462363227 339076764 /nfs/dbraw/zinc/07/67/64/339076764.db2.gz CZBQVUYMFGEDQH-CYBMUJFWSA-N 0 3 322.246 4.461 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2cccc(C)c2)cc1Cl ZINC000462367446 339076901 /nfs/dbraw/zinc/07/69/01/339076901.db2.gz LVFONIZDSCXWML-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@H](c2cccs2)C1 ZINC000462480304 339079774 /nfs/dbraw/zinc/07/97/74/339079774.db2.gz KYXCJIRNPSEUSZ-INIZCTEOSA-N 0 3 324.449 4.491 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNc1c(C)cnc2c(C)cccc21 ZINC000462502505 339080157 /nfs/dbraw/zinc/08/01/57/339080157.db2.gz NORXBSRDYLMYCE-LBPRGKRZSA-N 0 3 309.413 4.094 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@]2(CCCOC2)C1 ZINC000462511227 339080387 /nfs/dbraw/zinc/08/03/87/339080387.db2.gz NGJDNLHGZBXWML-FQEVSTJZSA-N 0 3 310.441 4.249 20 0 DIADHN COc1cc(C)nc(CN[C@@H]2c3ccccc3CCC[C@H]2C)c1 ZINC000462545567 339081167 /nfs/dbraw/zinc/08/11/67/339081167.db2.gz XQRBGXPCUQMVJQ-VLIAUNLRSA-N 0 3 310.441 4.202 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cnn(C(C)(C)C)c1 ZINC000462555519 339081330 /nfs/dbraw/zinc/08/13/30/339081330.db2.gz SETYRXAQBGQPEL-HNAYVOBHSA-N 0 3 311.473 4.441 20 0 DIADHN CC[C@@H](NCc1nc2ccccc2[nH]1)C(C)(C)c1ccccc1 ZINC000462725464 339084911 /nfs/dbraw/zinc/08/49/11/339084911.db2.gz MJLIPUNWZIGYCV-GOSISDBHSA-N 0 3 307.441 4.409 20 0 DIADHN CC[C@@H](NCc1cn2ccccc2n1)C(C)(C)c1ccccc1 ZINC000462732018 339085262 /nfs/dbraw/zinc/08/52/62/339085262.db2.gz SQCAPMUAOLNOJW-GOSISDBHSA-N 0 3 307.441 4.180 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccc(C)cc2)cc1Cl ZINC000462733966 339085517 /nfs/dbraw/zinc/08/55/17/339085517.db2.gz LGQNXWXRVSWQBP-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)c(F)c1)Oc1ccccc1F ZINC000462737795 339085805 /nfs/dbraw/zinc/08/58/05/339085805.db2.gz XAYXBFAIOVVIGC-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)c(Cl)c1OC ZINC000462738194 339086031 /nfs/dbraw/zinc/08/60/31/339086031.db2.gz YDVMGDZXPVYUOA-VNHYZAJKSA-N 0 3 323.864 4.416 20 0 DIADHN Cc1ccc(O[C@H](C)CNCc2cccc(OC(F)F)c2)cc1 ZINC000462740292 339086195 /nfs/dbraw/zinc/08/61/95/339086195.db2.gz ZHGBOUSHHNRQMS-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@@H](CNCc1cccc(C)c1C)c1ccc(Cl)cc1 ZINC000462739454 339086232 /nfs/dbraw/zinc/08/62/32/339086232.db2.gz AETZEKHQAGMGJE-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN Brc1ccc(CNCCCCC2CCCC2)nc1 ZINC000462745670 339086437 /nfs/dbraw/zinc/08/64/37/339086437.db2.gz LNVSMTLVDMDWIZ-UHFFFAOYSA-N 0 3 311.267 4.294 20 0 DIADHN Brc1ccccc1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC000462752424 339086941 /nfs/dbraw/zinc/08/69/41/339086941.db2.gz ASMCKOOESNYRTJ-NVXWUHKLSA-N 0 3 316.242 4.170 20 0 DIADHN CO[C@H](CNCc1ccccc1Cl)c1cccc(Cl)c1 ZINC000462756500 339087125 /nfs/dbraw/zinc/08/71/25/339087125.db2.gz NUTHGYGQPJINGI-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN CO[C@H](CNCc1ccc(F)c(C)c1)c1cccc(Cl)c1 ZINC000462755830 339087203 /nfs/dbraw/zinc/08/72/03/339087203.db2.gz WTKZYIDDIIONAV-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN C[C@H](CNCc1cc(F)c(F)c(F)c1)c1ccc(F)cc1F ZINC000462755150 339087217 /nfs/dbraw/zinc/08/72/17/339087217.db2.gz KQOQWXDASRBMPJ-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H]2C2CCCCC2)c(Cl)c1OC ZINC000462763095 339087532 /nfs/dbraw/zinc/08/75/32/339087532.db2.gz LBPDCIFFXHQDHR-HUUCEWRRSA-N 0 3 323.864 4.416 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(-c3ccccc3)o2)C12CCC2 ZINC000462765403 339087579 /nfs/dbraw/zinc/08/75/79/339087579.db2.gz SOHFNKMGFHGPDS-RTBURBONSA-N 0 3 311.425 4.384 20 0 DIADHN Clc1ccc2nc(CN[C@@H]3C[C@@H]3C3CCCCC3)cn2c1 ZINC000462768517 339087691 /nfs/dbraw/zinc/08/76/91/339087691.db2.gz YRKDZEFXXFIGJV-HZPDHXFCSA-N 0 3 303.837 4.046 20 0 DIADHN Fc1ccc(-n2cc(CN[C@@H]3C[C@H]3C3CCCCC3)cn2)cc1 ZINC000462769256 339087720 /nfs/dbraw/zinc/08/77/20/339087720.db2.gz QAMDLVLQRRYHDJ-RBUKOAKNSA-N 0 3 313.420 4.070 20 0 DIADHN c1c(CN[C@@H]2CCCC[C@H]2Cc2ccccc2)nc2ccccn12 ZINC000462771538 339087767 /nfs/dbraw/zinc/08/77/67/339087767.db2.gz FRENHMOWCUTNOG-AZUAARDMSA-N 0 3 319.452 4.225 20 0 DIADHN Fc1ccc(-n2cc(CN[C@H]3C[C@H]3C3CCCCC3)cn2)cc1 ZINC000462769255 339087769 /nfs/dbraw/zinc/08/77/69/339087769.db2.gz QAMDLVLQRRYHDJ-OALUTQOASA-N 0 3 313.420 4.070 20 0 DIADHN FC(F)COc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000462773153 339087836 /nfs/dbraw/zinc/08/78/36/339087836.db2.gz LNPHRGKKTGTXRD-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN Cc1ccoc1CNCC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000462775587 339088048 /nfs/dbraw/zinc/08/80/48/339088048.db2.gz QHYNXKFIMCTHFY-UHFFFAOYSA-N 0 3 309.331 4.428 20 0 DIADHN Cc1cc(CNCCOCCC(C)C)c(OC(F)F)cc1C ZINC000462779297 339088144 /nfs/dbraw/zinc/08/81/44/339088144.db2.gz OLYKEILCXVYASG-UHFFFAOYSA-N 0 3 315.404 4.057 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1ccsc1)c1ccc(F)cc1 ZINC000462799168 339089565 /nfs/dbraw/zinc/08/95/65/339089565.db2.gz WHGGHBKVULZYDU-SUMWQHHRSA-N 0 3 307.434 4.186 20 0 DIADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1csc(-c2ccccc2F)n1 ZINC000462804573 339090163 /nfs/dbraw/zinc/09/01/63/339090163.db2.gz DOJGPKGQMDNTEU-MJVIPROJSA-N 0 3 322.474 4.351 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1csc(-c2ccccc2F)n1 ZINC000462808319 339090569 /nfs/dbraw/zinc/09/05/69/339090569.db2.gz CWHYPNHYUZWGPG-VXGBXAGGSA-N 0 3 322.474 4.495 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000462810382 339090876 /nfs/dbraw/zinc/09/08/76/339090876.db2.gz CPRFOEMZLHYAMY-BSIFCXSSSA-N 0 3 323.436 4.280 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1ccccc1OC(F)(F)F)C1CC1 ZINC000462812749 339091147 /nfs/dbraw/zinc/09/11/47/339091147.db2.gz IKWKFWQKEQTQTF-NHYWBVRUSA-N 0 3 317.351 4.051 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000462813825 339091163 /nfs/dbraw/zinc/09/11/63/339091163.db2.gz SQLQTIIIUBGYNY-ICSRJNTNSA-N 0 3 323.484 4.273 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4c(O)cccc43)CC2)cc1 ZINC000462816701 339091562 /nfs/dbraw/zinc/09/15/62/339091562.db2.gz RNQPTVJWVUQRDE-SFHVURJKSA-N 0 3 309.409 4.057 20 0 DIADHN CC[C@@H](NC[C@@](C)(OC)C1CC1)c1cc(Cl)ccc1OC ZINC000462818184 339091662 /nfs/dbraw/zinc/09/16/62/339091662.db2.gz VEDVUQGNAYMDFF-NVXWUHKLSA-N 0 3 311.853 4.204 20 0 DIADHN CC[C@H](NC[C@@](C)(OC)C1CC1)c1cc(Cl)ccc1OC ZINC000462818183 339091675 /nfs/dbraw/zinc/09/16/75/339091675.db2.gz VEDVUQGNAYMDFF-DOTOQJQBSA-N 0 3 311.853 4.204 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3ccc(Cl)cc3)CC2)cs1 ZINC000462820458 339091967 /nfs/dbraw/zinc/09/19/67/339091967.db2.gz VYUHKLHOXXGHPV-LLVKDONJSA-N 0 3 306.862 4.487 20 0 DIADHN C=Cc1ccc(CCN[C@@H](C)c2nc3ccccc3n2C)cc1 ZINC000462823204 339092262 /nfs/dbraw/zinc/09/22/62/339092262.db2.gz ZDZXQSAAQNYFRM-HNNXBMFYSA-N 0 3 305.425 4.110 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC000462831434 339093069 /nfs/dbraw/zinc/09/30/69/339093069.db2.gz CGKKPBVSHWHWQU-ZYSHUDEJSA-N 0 3 310.441 4.215 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2nccc3occc32)CC1 ZINC000462836661 339093507 /nfs/dbraw/zinc/09/35/07/339093507.db2.gz FSOMYKGGMGDLHC-HNNXBMFYSA-N 0 3 321.424 4.465 20 0 DIADHN C[C@H](Nc1cccc(CN2CC[C@@H](O)C2)c1)C1CCCCCC1 ZINC000462869860 339095235 /nfs/dbraw/zinc/09/52/35/339095235.db2.gz IOTVCLCEQSDEGW-OXJNMPFZSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@@H](Nc1cccc(CN2CC[C@@H](O)C2)c1)C1CCCCCC1 ZINC000462869861 339095309 /nfs/dbraw/zinc/09/53/09/339095309.db2.gz IOTVCLCEQSDEGW-OXQOHEQNSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@@H](CC(C)(C)c1c[nH]c2ccccc21)NCc1nccs1 ZINC000462886684 339096339 /nfs/dbraw/zinc/09/63/39/339096339.db2.gz ICKNOVPGYFIAPZ-ZDUSSCGKSA-N 0 3 313.470 4.470 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOc2ccc(C)cc2)cs1 ZINC000462892342 339096489 /nfs/dbraw/zinc/09/64/89/339096489.db2.gz WMUMETVBPWJPKG-CQSZACIVSA-N 0 3 304.459 4.270 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cn(C)nc1C(F)F ZINC000462901939 339096827 /nfs/dbraw/zinc/09/68/27/339096827.db2.gz YULBGLIYZWODFF-DZGCQCFKSA-N 0 3 319.399 4.126 20 0 DIADHN CO[C@@](C)(CNCc1ccc(-c2c(F)cccc2F)o1)C1CC1 ZINC000462903586 339097108 /nfs/dbraw/zinc/09/71/08/339097108.db2.gz DDBVYPYSTGIDTB-SFHVURJKSA-N 0 3 321.367 4.130 20 0 DIADHN C[C@H]1CCN(Cc2cn(C)nc2C(F)F)CC12CCCCC2 ZINC000462908404 339097327 /nfs/dbraw/zinc/09/73/27/339097327.db2.gz YCOMOXWBWMALHQ-ZDUSSCGKSA-N 0 3 311.420 4.150 20 0 DIADHN CO[C@@](C)(CNCc1ccc(-c2cccc(F)c2F)o1)C1CC1 ZINC000462911872 339097610 /nfs/dbraw/zinc/09/76/10/339097610.db2.gz PGCSTTOFRYLQDA-SFHVURJKSA-N 0 3 321.367 4.130 20 0 DIADHN Cc1cc(CCNCc2csc(C3CC3)n2)ccc1Cl ZINC000462915804 339097904 /nfs/dbraw/zinc/09/79/04/339097904.db2.gz WKLKNUFXXHCSAX-UHFFFAOYSA-N 0 3 306.862 4.315 20 0 DIADHN Cc1cc(CCNCc2cnc(C(C)(C)C)nc2)ccc1Cl ZINC000462915569 339097916 /nfs/dbraw/zinc/09/79/16/339097916.db2.gz WFWXPRSRAPAABL-UHFFFAOYSA-N 0 3 317.864 4.068 20 0 DIADHN Cn1cc(CN2CCCCC[C@H]2c2ccccc2)c(C(F)F)n1 ZINC000462938179 339098355 /nfs/dbraw/zinc/09/83/55/339098355.db2.gz IJHKEKMCOWPLDK-INIZCTEOSA-N 0 3 319.399 4.475 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2CC2CCCCC2)c(C(F)F)n1 ZINC000462956805 339099018 /nfs/dbraw/zinc/09/90/18/339099018.db2.gz OCJRJNQODMBTMJ-OAHLLOKOSA-N 0 3 311.420 4.293 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](C)c2nc3c(s2)CCCC3)C1(C)C ZINC000463014687 339100498 /nfs/dbraw/zinc/10/04/98/339100498.db2.gz VKXACEJHERNDEF-UHOFOFEASA-N 0 3 322.518 4.265 20 0 DIADHN C[C@H](CN[C@@H]1CCOc2c(F)ccc(F)c21)c1ccccc1 ZINC000463015025 339100620 /nfs/dbraw/zinc/10/06/20/339100620.db2.gz WUZYOZSJVNNREH-MLGOLLRUSA-N 0 3 303.352 4.182 20 0 DIADHN CCN(Cc1ccc(-c2ccc(F)cc2C)o1)[C@@H]1CCOC1 ZINC000463023380 339100968 /nfs/dbraw/zinc/10/09/68/339100968.db2.gz LHXJKAXKJLCWNL-OAHLLOKOSA-N 0 3 303.377 4.005 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2ncoc2-c2ccccc2)C1(C)C ZINC000463023937 339101019 /nfs/dbraw/zinc/10/10/19/339101019.db2.gz JILSYKJPPWYLDV-SJORKVTESA-N 0 3 314.429 4.023 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2cccnc2)C1(C)C ZINC000463026112 339101060 /nfs/dbraw/zinc/10/10/60/339101060.db2.gz YSSYCWCPOPSQTP-VAMGGRTRSA-N 0 3 324.468 4.353 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2cc(-c3ccccc3)no2)C1(C)C ZINC000463032502 339101996 /nfs/dbraw/zinc/10/19/96/339101996.db2.gz JHXHLUZLLCAFRS-QZTJIDSGSA-N 0 3 314.429 4.023 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2cc(-c3ccccc3)no2)C1(C)C ZINC000463032506 339102027 /nfs/dbraw/zinc/10/20/27/339102027.db2.gz JHXHLUZLLCAFRS-ZWKOTPCHSA-N 0 3 314.429 4.023 20 0 DIADHN Cc1sc(CN[C@H]2C[C@@H](OC(C)C)C2(C)C)nc1C(C)C ZINC000463035540 339102139 /nfs/dbraw/zinc/10/21/39/339102139.db2.gz RALCRUPGRMPSAN-UONOGXRCSA-N 0 3 310.507 4.257 20 0 DIADHN c1cnn([C@H]2CCN(Cc3cc(-c4ccccc4)cs3)C2)c1 ZINC000463038336 339102382 /nfs/dbraw/zinc/10/23/82/339102382.db2.gz PUKSBXIEBJMAMR-KRWDZBQOSA-N 0 3 309.438 4.059 20 0 DIADHN COc1c(O)cccc1CN[C@@H]1c2ccccc2CCC[C@@H]1C ZINC000463055459 339103373 /nfs/dbraw/zinc/10/33/73/339103373.db2.gz JQIKHWODHFHGTQ-LIRRHRJNSA-N 0 3 311.425 4.204 20 0 DIADHN COc1c(O)cccc1CN[C@H]1c2ccccc2CCC[C@@H]1C ZINC000463055457 339103437 /nfs/dbraw/zinc/10/34/37/339103437.db2.gz JQIKHWODHFHGTQ-IFXJQAMLSA-N 0 3 311.425 4.204 20 0 DIADHN c1ccc(COC[C@H]2CCN(c3ccnc4ccccc43)C2)cc1 ZINC000463067525 339103896 /nfs/dbraw/zinc/10/38/96/339103896.db2.gz CCCBHXJACJOBTM-SFHVURJKSA-N 0 3 318.420 4.278 20 0 DIADHN c1coc(CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@@H]2CCCO2)c1 ZINC000463073730 339104377 /nfs/dbraw/zinc/10/43/77/339104377.db2.gz WZRJVJXOHLVRNM-IHPCNDPISA-N 0 3 311.425 4.064 20 0 DIADHN C[C@H](CN(C)Cc1cncc(C(F)(F)F)c1)c1ccccc1 ZINC000463076472 339104529 /nfs/dbraw/zinc/10/45/29/339104529.db2.gz AGXJOKCMVANINK-CYBMUJFWSA-N 0 3 308.347 4.336 20 0 DIADHN COc1cccc(C2=CCN([C@H]3C[C@@H]3c3ccccc3)CC2)c1 ZINC000463077726 339104689 /nfs/dbraw/zinc/10/46/89/339104689.db2.gz LAYHRZBDXHQLTQ-RTWAWAEBSA-N 0 3 305.421 4.340 20 0 DIADHN COc1cccc(C2=CCN([C@H]3C[C@H]3c3ccccc3)CC2)c1 ZINC000463077727 339104758 /nfs/dbraw/zinc/10/47/58/339104758.db2.gz LAYHRZBDXHQLTQ-SFTDATJTSA-N 0 3 305.421 4.340 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2o1)CC(C)(C)C ZINC000463080446 339104977 /nfs/dbraw/zinc/10/49/77/339104977.db2.gz BDNZCDFRUXYGCL-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN CN(Cc1cncc(C(F)(F)F)c1)[C@H]1CCc2ccccc2C1 ZINC000463081878 339105018 /nfs/dbraw/zinc/10/50/18/339105018.db2.gz AFYUMVBLFXGGRA-KRWDZBQOSA-N 0 3 320.358 4.090 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1cncc(C(F)(F)F)c1)C1CC1 ZINC000463081175 339105024 /nfs/dbraw/zinc/10/50/24/339105024.db2.gz ISWRLKAVAILCNI-NEPJUHHUSA-N 0 3 300.368 4.499 20 0 DIADHN C[C@@]1(NCc2cncc(C(F)(F)F)c2)CCCc2ccccc21 ZINC000463081839 339105066 /nfs/dbraw/zinc/10/50/66/339105066.db2.gz GSPULDULZCFIPX-QGZVFWFLSA-N 0 3 320.358 4.442 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1cncc(C(F)(F)F)c1 ZINC000463084343 339105149 /nfs/dbraw/zinc/10/51/49/339105149.db2.gz JURQNCSEHJRNQR-GUYCJALGSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1cncc(C(F)(F)F)c1 ZINC000463084341 339105223 /nfs/dbraw/zinc/10/52/23/339105223.db2.gz JURQNCSEHJRNQR-CXAGYDPISA-N 0 3 320.358 4.478 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]1c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000463126624 339106543 /nfs/dbraw/zinc/10/65/43/339106543.db2.gz JPMBAIXSAGBTGZ-ZLGUVYLKSA-N 0 3 317.436 4.138 20 0 DIADHN c1ccc([C@@H]2C[C@H]2CN2CC(c3nc4ccccc4s3)C2)cc1 ZINC000463157980 339107523 /nfs/dbraw/zinc/10/75/23/339107523.db2.gz BRUYLVWQWIAPPC-RDJZCZTQSA-N 0 3 320.461 4.499 20 0 DIADHN Clc1cccnc1C1CCN([C@@H]2C[C@H]2c2ccccc2)CC1 ZINC000463216453 339109979 /nfs/dbraw/zinc/10/99/79/339109979.db2.gz UXWRAWYNQKWZSQ-FUHWJXTLSA-N 0 3 312.844 4.471 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2CCCC2CCOCC2)cc1 ZINC000463283430 339113320 /nfs/dbraw/zinc/11/33/20/339113320.db2.gz BDQJEXNGPSIBPR-IBGZPJMESA-N 0 3 319.514 4.292 20 0 DIADHN CCCOc1ccc2c(c1)CCN(CCCC1CCOCC1)C2 ZINC000463292075 339113760 /nfs/dbraw/zinc/11/37/60/339113760.db2.gz ZGSFGNRMHVXJPF-UHFFFAOYSA-N 0 3 317.473 4.040 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC)CC(C)(C)C ZINC000463375674 339116184 /nfs/dbraw/zinc/11/61/84/339116184.db2.gz MVKVHIDMKSDLFZ-UHFFFAOYSA-N 0 3 304.478 4.118 20 0 DIADHN C[C@@H](O)CCCN1CCC(c2cccc(Cl)c2Cl)CC1 ZINC000463374159 339116203 /nfs/dbraw/zinc/11/62/03/339116203.db2.gz CMKXQVKPCJDROM-GFCCVEGCSA-N 0 3 316.272 4.334 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@](CO)(c2ccccc2)C1 ZINC000463437654 339117625 /nfs/dbraw/zinc/11/76/25/339117625.db2.gz QLBIRUNFCFMJJV-BEFAXECRSA-N 0 3 315.844 4.037 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000463437656 339117737 /nfs/dbraw/zinc/11/77/37/339117737.db2.gz QLBIRUNFCFMJJV-DNVCBOLYSA-N 0 3 315.844 4.037 20 0 DIADHN c1cnc2sc(CN[C@@H]3CCCCC34CCCC4)nc2c1 ZINC000463439670 339117880 /nfs/dbraw/zinc/11/78/80/339117880.db2.gz BRGHWRILVCNEGL-CQSZACIVSA-N 0 3 301.459 4.284 20 0 DIADHN CC1(C)[C@@H](NCc2cn3ccccc3n2)C[C@H]1c1ccccc1 ZINC000463557613 339121215 /nfs/dbraw/zinc/12/12/15/339121215.db2.gz MTLGFKXSBYIMIS-ROUUACIJSA-N 0 3 305.425 4.006 20 0 DIADHN Fc1ccc(-c2nc3c(s2)CCC[C@H]3NCC2CC2)cc1 ZINC000463712500 339124363 /nfs/dbraw/zinc/12/43/63/339124363.db2.gz VMLWGRSRCFSTBC-CQSZACIVSA-N 0 3 302.418 4.326 20 0 DIADHN COc1ccc(CN[C@H](C)CC(F)(F)F)cc1OCC(C)C ZINC000463730327 339124837 /nfs/dbraw/zinc/12/48/37/339124837.db2.gz QRRSSVDRTUYXRA-GFCCVEGCSA-N 0 3 319.367 4.161 20 0 DIADHN Cc1ccc(F)cc1CNCc1cccc(-c2cccnc2)c1 ZINC000463746898 339125469 /nfs/dbraw/zinc/12/54/69/339125469.db2.gz AMBMXTFVLNXKMF-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN COc1ccccc1-c1cccc(NC(=O)C(C)C(F)(F)F)c1 ZINC000463836580 339126952 /nfs/dbraw/zinc/12/69/52/339126952.db2.gz MVVVGVQKLYFLBP-LLVKDONJSA-N 0 3 323.314 4.499 20 0 DIADHN COC[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@H]1C)c1ccc(F)cc1 ZINC000464066857 339132801 /nfs/dbraw/zinc/13/28/01/339132801.db2.gz IPEOOOCCSKVPSZ-DMSXTEQDSA-N 0 3 313.416 4.295 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1C[C@@H](c2ccccc2)C1(C)C ZINC000464079291 339133514 /nfs/dbraw/zinc/13/35/14/339133514.db2.gz YDHBPQKKEJJWLC-QFBILLFUSA-N 0 3 312.457 4.471 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2cnc(C(C)(C)C)s2)C1(C)C ZINC000464099144 339134430 /nfs/dbraw/zinc/13/44/30/339134430.db2.gz XMGNVMCWRFKNGL-ZIAGYGMSSA-N 0 3 310.507 4.122 20 0 DIADHN CC(C)N(C)CC(=O)N(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464388608 339141090 /nfs/dbraw/zinc/14/10/90/339141090.db2.gz XIEYKVZDXMWWMA-KRWDZBQOSA-N 0 3 324.468 4.213 20 0 DIADHN Cc1ccccc1[C@H]([C@H](C)NC(=O)c1c(C)cccc1C)N(C)C ZINC000464423997 339141701 /nfs/dbraw/zinc/14/17/01/339141701.db2.gz FIMSULZRCQJETL-PXNSSMCTSA-N 0 3 324.468 4.033 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCCc1ccccc1Cl ZINC000464622470 339147186 /nfs/dbraw/zinc/14/71/86/339147186.db2.gz MJDVGDDYZUXMGN-CYBMUJFWSA-N 0 3 319.880 4.493 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(-c3ccccc3)no2)C1 ZINC000464772953 339152225 /nfs/dbraw/zinc/15/22/25/339152225.db2.gz JVRNJBUCUOKTNC-DOTOQJQBSA-N 0 3 316.470 4.448 20 0 DIADHN Cc1nc(CN(C)[C@H](Cc2ccc(F)cc2)C(C)C)oc1C ZINC000464795730 339154223 /nfs/dbraw/zinc/15/42/23/339154223.db2.gz ZSSFWKVYGLOLOB-QGZVFWFLSA-N 0 3 304.409 4.130 20 0 DIADHN CC[C@](C)(NCCCOc1ccccc1C)c1nc(C)cs1 ZINC000464801501 339154601 /nfs/dbraw/zinc/15/46/01/339154601.db2.gz GGUDEIHILOVZCL-SFHVURJKSA-N 0 3 318.486 4.444 20 0 DIADHN C[C@H](NC(=O)CN1C[C@H](C)CC[C@H]1C)c1cccc2ccccc21 ZINC000464817668 339155242 /nfs/dbraw/zinc/15/52/42/339155242.db2.gz HXRJYNLZUSUHDZ-ZACQAIPSSA-N 0 3 324.468 4.137 20 0 DIADHN CCCCOC1CCN(CCCOc2ccccc2C)CC1 ZINC000464846989 339157467 /nfs/dbraw/zinc/15/74/67/339157467.db2.gz BWGDCVPOHYCILT-UHFFFAOYSA-N 0 3 305.462 4.045 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCOCc1ccccc1 ZINC000464851331 339158014 /nfs/dbraw/zinc/15/80/14/339158014.db2.gz MHTXJONEHKCOCU-CQSZACIVSA-N 0 3 305.368 4.174 20 0 DIADHN CCCCOC1CCN(CCSc2ccccc2F)CC1 ZINC000464856902 339158325 /nfs/dbraw/zinc/15/83/25/339158325.db2.gz KDDYDDJPCQBKNY-UHFFFAOYSA-N 0 3 311.466 4.199 20 0 DIADHN CCOC(=O)CCCCN1CC[C@@H](C)C[C@H]1c1ccc(CC)o1 ZINC000464859555 339158462 /nfs/dbraw/zinc/15/84/62/339158462.db2.gz YDKNCOIWQYPBLU-WBVHZDCISA-N 0 3 321.461 4.348 20 0 DIADHN CCN(CCc1cccs1)Cc1nc2cc(F)ccc2o1 ZINC000464865514 339158910 /nfs/dbraw/zinc/15/89/10/339158910.db2.gz ZENOIYKRBBZXGD-UHFFFAOYSA-N 0 3 304.390 4.093 20 0 DIADHN CSCCCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000464867343 339159115 /nfs/dbraw/zinc/15/91/15/339159115.db2.gz VGTIHQKIHHNDSF-AWEZNQCLSA-N 0 3 314.292 4.339 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nc3cc(F)ccc3o2)C1 ZINC000464870940 339159498 /nfs/dbraw/zinc/15/94/98/339159498.db2.gz SSKRCLIXDHYLJX-AWEZNQCLSA-N 0 3 310.372 4.265 20 0 DIADHN Cc1cccc(NC(=O)CNC(C)(C)CCc2ccccc2)c1C ZINC000464873666 339159556 /nfs/dbraw/zinc/15/95/56/339159556.db2.gz IJAMVITUSWCRPE-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1nc2cc(F)ccc2o1 ZINC000464875545 339159714 /nfs/dbraw/zinc/15/97/14/339159714.db2.gz DIOIURAGWAEOKP-UKRRQHHQSA-N 0 3 310.372 4.345 20 0 DIADHN O=C(Nc1ccccc1)[C@H](c1ccccc1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000464890747 339160475 /nfs/dbraw/zinc/16/04/75/339160475.db2.gz UHWLNKBCORVKHQ-NSHGMRRFSA-N 0 3 320.436 4.098 20 0 DIADHN CC(C)CC1(CNC(=O)Nc2cccc(CN(C)C)c2)CCC1 ZINC000464894841 339160828 /nfs/dbraw/zinc/16/08/28/339160828.db2.gz PSJNOBINUPQFLQ-UHFFFAOYSA-N 0 3 317.477 4.086 20 0 DIADHN CO[C@@H](CNCc1cc(C)c(C)cc1OC(F)F)C(C)(C)C ZINC000465010955 339165322 /nfs/dbraw/zinc/16/53/22/339165322.db2.gz KKMISWFRAHLGRS-HNNXBMFYSA-N 0 3 315.404 4.056 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@@](C)(O)C(C)C)o2)cc1 ZINC000465014129 339165491 /nfs/dbraw/zinc/16/54/91/339165491.db2.gz KAAPYYCMIVYIFH-LJQANCHMSA-N 0 3 301.430 4.006 20 0 DIADHN CO[C@H](CNCc1ccc(-c2c(F)cccc2F)o1)C(C)(C)C ZINC000465019415 339165557 /nfs/dbraw/zinc/16/55/57/339165557.db2.gz QRFFJYLDNKHFRA-MRXNPFEDSA-N 0 3 323.383 4.376 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2c(F)cccc2F)o1)C(C)(C)C ZINC000465019094 339165673 /nfs/dbraw/zinc/16/56/73/339165673.db2.gz QRFFJYLDNKHFRA-INIZCTEOSA-N 0 3 323.383 4.376 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1ccc(-c2ccccc2)o1 ZINC000465067471 339167442 /nfs/dbraw/zinc/16/74/42/339167442.db2.gz QENOTGDNBVBFRF-UHFFFAOYSA-N 0 3 315.413 4.158 20 0 DIADHN Cc1nn(C(C)C)cc1CNCC1(c2cccc(Cl)c2)CC1 ZINC000466343082 339199944 /nfs/dbraw/zinc/19/99/44/339199944.db2.gz IDMWVOVSGYWSGP-UHFFFAOYSA-N 0 3 317.864 4.247 20 0 DIADHN CC[C@@H](CNCc1cn(C(C)C)nc1C)Oc1ccccc1C ZINC000466346638 339200203 /nfs/dbraw/zinc/20/02/03/339200203.db2.gz LOMGJNDFCDGERT-SFHVURJKSA-N 0 3 315.461 4.028 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000466359088 339200581 /nfs/dbraw/zinc/20/05/81/339200581.db2.gz IKNDAJALILPTIH-RZDIXWSQSA-N 0 3 317.864 4.462 20 0 DIADHN CN1CCC(Nc2ccc(C(C)(C)C(F)(F)F)cc2)CC1 ZINC000466360761 339200744 /nfs/dbraw/zinc/20/07/44/339200744.db2.gz JFMDOSRKNPYBOQ-UHFFFAOYSA-N 0 3 300.368 4.033 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](c3ccccc3C)C2)c(OC)c1 ZINC000466400639 339202609 /nfs/dbraw/zinc/20/26/09/339202609.db2.gz DYOHRFZMFQUSRI-QAQDUYKDSA-N 0 3 311.425 4.048 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2ccn(-c3ccccc3)n2)C1 ZINC000466402614 339202631 /nfs/dbraw/zinc/20/26/31/339202631.db2.gz YPYWMFBIKKYVPL-UAPYVXQJSA-N 0 3 317.436 4.217 20 0 DIADHN C[C@H](CCNCc1nccn1-c1ccccc1)c1ccccc1 ZINC000466404895 339202760 /nfs/dbraw/zinc/20/27/60/339202760.db2.gz DNOINJDONAPLQF-QGZVFWFLSA-N 0 3 305.425 4.156 20 0 DIADHN OC[C@@H](CNCc1cnc(C2CCCC2)s1)CC1CCCC1 ZINC000466417034 339203514 /nfs/dbraw/zinc/20/35/14/339203514.db2.gz NGUCZGNUYVQPDN-OAHLLOKOSA-N 0 3 322.518 4.079 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cnc3cc(C)ccn23)cc1 ZINC000466416762 339203542 /nfs/dbraw/zinc/20/35/42/339203542.db2.gz MESKZSJGSKJVFG-CQSZACIVSA-N 0 3 311.454 4.215 20 0 DIADHN C[C@H]1CCC[C@H]1CNCc1csc(COc2ccccc2)n1 ZINC000466421097 339203998 /nfs/dbraw/zinc/20/39/98/339203998.db2.gz BTUYIWRMAZJEBS-GJZGRUSLSA-N 0 3 316.470 4.248 20 0 DIADHN Fc1ccc(-n2nccc2CNC2CC(c3ccccc3)C2)cc1 ZINC000466420991 339204000 /nfs/dbraw/zinc/20/40/00/339204000.db2.gz IEDMLZRVLCZHQV-UHFFFAOYSA-N 0 3 321.399 4.047 20 0 DIADHN C[C@H]1CCc2nc(CNC3CC(c4ccccc4)C3)sc2C1 ZINC000466421786 339204029 /nfs/dbraw/zinc/20/40/29/339204029.db2.gz NQUFJLFSCBBNST-JEYLPNPQSA-N 0 3 312.482 4.304 20 0 DIADHN C[C@H]1CC(CNCc2cc(C(F)(F)F)ccc2F)C[C@H](C)O1 ZINC000466426789 339204418 /nfs/dbraw/zinc/20/44/18/339204418.db2.gz XAFAPBIMOKQDNW-QWRGUYRKSA-N 0 3 319.342 4.138 20 0 DIADHN CCC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2CC)C1 ZINC000466485488 339207091 /nfs/dbraw/zinc/20/70/91/339207091.db2.gz VGVGYDSAFLIPHY-JKSUJKDBSA-N 0 3 302.462 4.088 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1NC(C)(C)C)N1CCCCCC1 ZINC000466502668 339207823 /nfs/dbraw/zinc/20/78/23/339207823.db2.gz KBPWTVKQJLEGMN-HNNXBMFYSA-N 0 3 317.477 4.100 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC000466662466 339212358 /nfs/dbraw/zinc/21/23/58/339212358.db2.gz YIDMRBKBAAXEIH-HZPDHXFCSA-N 0 3 308.425 4.312 20 0 DIADHN COc1cccc(-c2nc(CN3[C@H](C)CC[C@@H]3C)cs2)c1 ZINC000466686655 339212968 /nfs/dbraw/zinc/21/29/68/339212968.db2.gz OXPYAXOCIKDBFL-BETUJISGSA-N 0 3 302.443 4.192 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1cc(F)cc2c1OCOC2 ZINC000466688435 339213055 /nfs/dbraw/zinc/21/30/55/339213055.db2.gz ACKQBRLZCXKHDW-QGZVFWFLSA-N 0 3 307.409 4.093 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H](C)C[C@H](C)C1 ZINC000466729865 339214549 /nfs/dbraw/zinc/21/45/49/339214549.db2.gz BOBIIXGRAHHFDO-XQQFMLRXSA-N 0 3 319.836 4.429 20 0 DIADHN CN(CCCCc1ccccc1)Cc1nc2ccc(F)cc2o1 ZINC000466826855 339218288 /nfs/dbraw/zinc/21/82/88/339218288.db2.gz AUUCZQRZQAJQPP-UHFFFAOYSA-N 0 3 312.388 4.422 20 0 DIADHN CCc1noc(C)c1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC000466828067 339218328 /nfs/dbraw/zinc/21/83/28/339218328.db2.gz HBSVCSYJASKOBZ-OAHLLOKOSA-N 0 3 318.848 4.406 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1csc(-c2ccc(OC)cc2)n1 ZINC000466932057 339222050 /nfs/dbraw/zinc/22/20/50/339222050.db2.gz FCEJVRFKMFSCNJ-XJKSGUPXSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1ccc(-c2nc(CNc3ccnc4ccccc43)co2)cc1 ZINC000467007829 339224431 /nfs/dbraw/zinc/22/44/31/339224431.db2.gz SUTCYPMFMLAKLS-UHFFFAOYSA-N 0 3 315.376 4.232 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCc2ccccc2Cl)o1 ZINC000467016220 339224715 /nfs/dbraw/zinc/22/47/15/339224715.db2.gz KRJLHOKNGARGJH-KRWDZBQOSA-N 0 3 319.832 4.459 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCCSCC(C)C)o1 ZINC000467029612 339224975 /nfs/dbraw/zinc/22/49/75/339224975.db2.gz WBXONFBBIUGGRH-MRXNPFEDSA-N 0 3 311.491 4.342 20 0 DIADHN C[C@H](Nc1ccnc2ccccc21)c1cccc(-n2cccn2)c1 ZINC000467029596 339225021 /nfs/dbraw/zinc/22/50/21/339225021.db2.gz VZJCAXOSUXFXNJ-HNNXBMFYSA-N 0 3 314.392 4.016 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)CCC3)o1 ZINC000467033646 339225089 /nfs/dbraw/zinc/22/50/89/339225089.db2.gz KUZUFGAPMUOQEQ-IBGZPJMESA-N 0 3 311.425 4.252 20 0 DIADHN Cc1ccc(C(C)(C)NC[C@H]2CCC3(CCCCC3)O2)cn1 ZINC000467190437 339227541 /nfs/dbraw/zinc/22/75/41/339227541.db2.gz GPGAKVIGDZIDQO-QGZVFWFLSA-N 0 3 302.462 4.097 20 0 DIADHN COC[C@H]1CCCCN(Cc2ccc(Cl)cc2Cl)C1 ZINC000467265661 339228968 /nfs/dbraw/zinc/22/89/68/339228968.db2.gz XRBCMUQOSPANHR-LBPRGKRZSA-N 0 3 302.245 4.242 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H](CC(F)(F)F)C(C)C ZINC000467361503 339234023 /nfs/dbraw/zinc/23/40/23/339234023.db2.gz GEEBWYRIJASHJG-UONOGXRCSA-N 0 3 319.342 4.388 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@H](c2cncc(F)c2)C2CC2)C1(C)C ZINC000467361054 339234059 /nfs/dbraw/zinc/23/40/59/339234059.db2.gz YJOOCFKVACCLOF-KSZLIROESA-N 0 3 320.452 4.245 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H](CC(F)(F)F)C(C)C ZINC000467361501 339234102 /nfs/dbraw/zinc/23/41/02/339234102.db2.gz GEEBWYRIJASHJG-KBPBESRZSA-N 0 3 319.342 4.388 20 0 DIADHN OC[C@H]1C=C[C@@H](N[C@H](c2ccc(Cl)cc2)C2CCCC2)C1 ZINC000467364200 339234289 /nfs/dbraw/zinc/23/42/89/339234289.db2.gz JTAIHOYOWKMXEU-VHSSKADRSA-N 0 3 305.849 4.098 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cccc(OCc2ccccc2)c1 ZINC000467374921 339235059 /nfs/dbraw/zinc/23/50/59/339235059.db2.gz CEAJLMQZXNBKOI-YESZJQIVSA-N 0 3 313.441 4.340 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cccc(OCc2ccccc2)c1 ZINC000467374920 339235171 /nfs/dbraw/zinc/23/51/71/339235171.db2.gz CEAJLMQZXNBKOI-ULQDDVLXSA-N 0 3 313.441 4.340 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC000467377990 339235365 /nfs/dbraw/zinc/23/53/65/339235365.db2.gz QKYQEXYAWYTSHC-CQSZACIVSA-N 0 3 321.770 4.360 20 0 DIADHN OC[C@H](NC1CC(c2ccccc2)C1)c1cccc(Cl)c1F ZINC000467407049 339236740 /nfs/dbraw/zinc/23/67/40/339236740.db2.gz VSLFIGAGKBOITP-KVULBXGLSA-N 0 3 319.807 4.048 20 0 DIADHN Cc1cccc(C[C@H](CO)N[C@@H](C)c2ccc(F)c(Cl)c2)c1 ZINC000467407634 339236744 /nfs/dbraw/zinc/23/67/44/339236744.db2.gz NXNGCOYJRKQTID-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@H](N[C@@H](CO)c1cccc(Cl)c1F)[C@@H](C)c1ccccc1 ZINC000467407906 339236997 /nfs/dbraw/zinc/23/69/97/339236997.db2.gz PEPONVJHPKZIGY-IGCXYCKISA-N 0 3 321.823 4.294 20 0 DIADHN CC[C@@H](N[C@@H](CO)Cc1ccccc1C)c1ccc(Cl)s1 ZINC000467408912 339237020 /nfs/dbraw/zinc/23/70/20/339237020.db2.gz ROGSQUSSPGGCKH-HUUCEWRRSA-N 0 3 323.889 4.354 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@@H](C)c2nc3ccccc3n2C)C1 ZINC000467413991 339237199 /nfs/dbraw/zinc/23/71/99/339237199.db2.gz PVHMXIFGECRPJX-ULQDDVLXSA-N 0 3 319.452 4.479 20 0 DIADHN Cc1ccc(C[C@@H](CO)N[C@@H](C)c2ccc(F)cc2Cl)cc1 ZINC000467417487 339237511 /nfs/dbraw/zinc/23/75/11/339237511.db2.gz GERCHJDVNXOLTG-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](C)CC(=O)OC(C)(C)C)cc1 ZINC000467419769 339237566 /nfs/dbraw/zinc/23/75/66/339237566.db2.gz PAPFSHCSSIKZJT-OLZOCXBDSA-N 0 3 309.475 4.179 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](C)CC(=O)OC(C)(C)C)cc1 ZINC000467419770 339237618 /nfs/dbraw/zinc/23/76/18/339237618.db2.gz PAPFSHCSSIKZJT-STQMWFEESA-N 0 3 309.475 4.179 20 0 DIADHN C[C@@H]1CCC[C@@H]1CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000467445150 339238995 /nfs/dbraw/zinc/23/89/95/339238995.db2.gz JTHSRILETDMQLB-PJIJBLCYSA-N 0 3 316.395 4.475 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@@H]1/C=C/c1ccccc1 ZINC000467484173 339240260 /nfs/dbraw/zinc/24/02/60/339240260.db2.gz RQDMZKOXHDZUIE-YVNCXZRQSA-N 0 3 309.457 4.450 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@H](c2cc(C)cc(C)c2)C1 ZINC000467487045 339240273 /nfs/dbraw/zinc/24/02/73/339240273.db2.gz UICPWIMAHFTETR-SFHVURJKSA-N 0 3 311.473 4.379 20 0 DIADHN C[C@H](CCc1ccccc1)CN1CCCC[C@@H]1c1ccnn1C ZINC000467546423 339241948 /nfs/dbraw/zinc/24/19/48/339241948.db2.gz NZMYSCUKVSNDAR-YLJYHZDGSA-N 0 3 311.473 4.216 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cnn2ccccc12 ZINC000467554824 339242277 /nfs/dbraw/zinc/24/22/77/339242277.db2.gz QYVVKLJLVCMUKF-FUHWJXTLSA-N 0 3 305.425 4.102 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3)C[C@H]2C)cc1O ZINC000467567034 339242881 /nfs/dbraw/zinc/24/28/81/339242881.db2.gz PLXOVGBQHARVAB-CRAIPNDOSA-N 0 3 311.425 4.169 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NC[C@@H](C)N1CCCC[C@H]1C ZINC000467650359 339243909 /nfs/dbraw/zinc/24/39/09/339243909.db2.gz MNHCHBVSWPGDMJ-ZIAGYGMSSA-N 0 3 323.868 4.033 20 0 DIADHN COc1ccc([C@@H](NC2CC(C)C2)c2ccccc2OC)cc1 ZINC000467749536 339245627 /nfs/dbraw/zinc/24/56/27/339245627.db2.gz OUVIOFSMLGHNHB-QJXPYNDKSA-N 0 3 311.425 4.181 20 0 DIADHN c1cnn([C@@H]2CCCC[C@H]2NCc2ccc(-c3ccccc3)o2)c1 ZINC000467761971 339245956 /nfs/dbraw/zinc/24/59/56/339245956.db2.gz HEEIDNZPMMLSBU-RTBURBONSA-N 0 3 321.424 4.417 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2ccc(F)c(C)c2)cc1 ZINC000467762836 339246073 /nfs/dbraw/zinc/24/60/73/339246073.db2.gz DJPMAVYOWSXCHW-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2cc(C)ccc2F)cc1 ZINC000467776919 339246555 /nfs/dbraw/zinc/24/65/55/339246555.db2.gz KPKMUYCDIKVENO-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2cc(C)ccc2F)cc1 ZINC000467776918 339246562 /nfs/dbraw/zinc/24/65/62/339246562.db2.gz KPKMUYCDIKVENO-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN CC[C@H](NCCC(=O)NC1CCCCC1)c1cccc(Cl)c1 ZINC000467836072 339247183 /nfs/dbraw/zinc/24/71/83/339247183.db2.gz FAWOOUOTSIJJJF-KRWDZBQOSA-N 0 3 322.880 4.220 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@H](c2ccsc2)N(C)C)cc1 ZINC000467838315 339247190 /nfs/dbraw/zinc/24/71/90/339247190.db2.gz HEUWXKVHZDKSFM-CXAGYDPISA-N 0 3 320.527 4.424 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@@H](C)c2ccc(F)c(F)c2)c1 ZINC000467862753 339248069 /nfs/dbraw/zinc/24/80/69/339248069.db2.gz IPAIGCQXLFIMIE-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000467981991 339250454 /nfs/dbraw/zinc/25/04/54/339250454.db2.gz UQUKDZQDQKYSGK-HDICACEKSA-N 0 3 322.452 4.093 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cc(C)cc(C)c1)CCO2 ZINC000468028865 339251518 /nfs/dbraw/zinc/25/15/18/339251518.db2.gz JOCFKWPSHYWKDJ-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN CO[C@H](C)[C@H](C)NCc1c(OC(C)C)ccc2ccccc21 ZINC000468042981 339252395 /nfs/dbraw/zinc/25/23/95/339252395.db2.gz PTXIFBQBJPHILN-LSDHHAIUSA-N 0 3 301.430 4.140 20 0 DIADHN CC[C@@]1(C)CCN([C@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000468111294 339254264 /nfs/dbraw/zinc/25/42/64/339254264.db2.gz QVFVSQSBFVKFTK-BEFAXECRSA-N 0 3 302.462 4.259 20 0 DIADHN CC(C)[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)c1ccc(Cl)cc1 ZINC000468234843 339257041 /nfs/dbraw/zinc/25/70/41/339257041.db2.gz PBGGLNVKBZWSKY-DEYYWGMASA-N 0 3 322.880 4.026 20 0 DIADHN Cc1nc(CN2C[C@H](C)[C@H](C)C2)oc1-c1ccc(Cl)cc1 ZINC000468278114 339258670 /nfs/dbraw/zinc/25/86/70/339258670.db2.gz QFCJJFLGSYAFOX-TXEJJXNPSA-N 0 3 304.821 4.391 20 0 DIADHN C[C@H](CCC1CCCC1)N[C@H](C)c1nnc2n1CCCCC2 ZINC000468361900 339260653 /nfs/dbraw/zinc/26/06/53/339260653.db2.gz MJYWZFLOBJVMQU-HUUCEWRRSA-N 0 3 304.482 4.014 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(n2cc(Cl)cn2)CC1 ZINC000468510490 339264958 /nfs/dbraw/zinc/26/49/58/339264958.db2.gz GGLBZPQYADRJJR-UHFFFAOYSA-N 0 3 324.255 4.335 20 0 DIADHN c1c(CN[C@H]2CCC[C@@H]2CCc2ccccc2)nc2n1CCCC2 ZINC000468513508 339265106 /nfs/dbraw/zinc/26/51/06/339265106.db2.gz CMTSEMDTHZYXAK-QUCCMNQESA-N 0 3 323.484 4.111 20 0 DIADHN CCCN(CCc1cccs1)Cc1cnn(CC(C)C)c1 ZINC000468545055 339265898 /nfs/dbraw/zinc/26/58/98/339265898.db2.gz XMILAFRUWPETBZ-UHFFFAOYSA-N 0 3 305.491 4.055 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1cccc(C)c1)c1ccc(C)o1 ZINC000468558756 339266526 /nfs/dbraw/zinc/26/65/26/339266526.db2.gz DQCKUJHKHMBMMV-YJBOKZPZSA-N 0 3 301.430 4.195 20 0 DIADHN CC(C)CCN(C(=O)c1cccc(CN(C)C)c1)C1CCCC1 ZINC000468658812 339268202 /nfs/dbraw/zinc/26/82/02/339268202.db2.gz MEQWDFILWOIUIV-UHFFFAOYSA-N 0 3 316.489 4.179 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cccc(Cl)c2Cl)C1 ZINC000468692897 339268728 /nfs/dbraw/zinc/26/87/28/339268728.db2.gz GRWIADXWTGCPSU-GFCCVEGCSA-N 0 3 302.245 4.242 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ccc(F)cc2C(F)(F)F)C1 ZINC000468695978 339268897 /nfs/dbraw/zinc/26/88/97/339268897.db2.gz UTULZNUWZXGTAH-GFCCVEGCSA-N 0 3 319.342 4.093 20 0 DIADHN Cc1cc(C)cc(OCCCN2CCC(OC(C)(C)C)CC2)c1 ZINC000468758093 339270044 /nfs/dbraw/zinc/27/00/44/339270044.db2.gz ALICIAFJKBNDLA-UHFFFAOYSA-N 0 3 319.489 4.352 20 0 DIADHN C[C@@H](NC[C@@H](Cc1ccccc1)N(C)C)c1csc(Cl)c1 ZINC000468875172 339273215 /nfs/dbraw/zinc/27/32/15/339273215.db2.gz HMLLIZQEAPYIJK-CZUORRHYSA-N 0 3 322.905 4.225 20 0 DIADHN CC(C)CCC[C@H](C)N(CCC(C)C)C(=O)C1CCN(C)CC1 ZINC000468901450 339274155 /nfs/dbraw/zinc/27/41/55/339274155.db2.gz KRUHMYJFLKNMMW-SFHVURJKSA-N 0 3 324.553 4.418 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(C(C)(C)C)c1C ZINC000468922129 339274817 /nfs/dbraw/zinc/27/48/17/339274817.db2.gz KLEXNLPYBXTVFD-CMPLNLGQSA-N 0 3 305.388 4.328 20 0 DIADHN C[C@@H](NC[C@H](c1ccsc1)N(C)C)c1csc(Cl)c1 ZINC000468953516 339275814 /nfs/dbraw/zinc/27/58/14/339275814.db2.gz NGPCJAJOSIGIQS-ZWNOBZJWSA-N 0 3 314.907 4.417 20 0 DIADHN C[C@H](CN[C@@H](C)c1csc(Cl)c1)N1CCC(C)CC1 ZINC000468986437 339276833 /nfs/dbraw/zinc/27/68/33/339276833.db2.gz OZKWJDJRLMSYHX-OLZOCXBDSA-N 0 3 300.899 4.173 20 0 DIADHN Cc1cccc(NC2CCN(CCc3ccccc3F)CC2)c1 ZINC000469008080 339277467 /nfs/dbraw/zinc/27/74/67/339277467.db2.gz NHSRMDGNZUDJHL-UHFFFAOYSA-N 0 3 312.432 4.253 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@@H]2Cc2ccccc2)cnn1C(C)(C)C ZINC000469037733 339278399 /nfs/dbraw/zinc/27/83/99/339278399.db2.gz SQWBLPQTJAOREH-FNHZYXHNSA-N 0 3 311.473 4.228 20 0 DIADHN C[C@@H](NCCOc1ccc(F)cc1F)c1csc(Cl)c1 ZINC000469082741 339279552 /nfs/dbraw/zinc/27/95/52/339279552.db2.gz WWEKKKLBODXPTK-SECBINFHSA-N 0 3 317.788 4.409 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@@H](C)c1csc(Cl)c1 ZINC000469133278 339281034 /nfs/dbraw/zinc/28/10/34/339281034.db2.gz RAVUYTGCSBVNLY-WCQYABFASA-N 0 3 313.850 4.293 20 0 DIADHN FC(F)(F)C(F)(F)COCCN1CCC[C@@H]1c1ccccc1 ZINC000469133119 339281134 /nfs/dbraw/zinc/28/11/34/339281134.db2.gz YZRYKSSLVXCXGZ-CYBMUJFWSA-N 0 3 323.305 4.038 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)c1cccc(CN(C)C)c1)C(C)C ZINC000469139211 339281353 /nfs/dbraw/zinc/28/13/53/339281353.db2.gz KOGJGRNNPASPHK-HXUWFJFHSA-N 0 3 324.468 4.184 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)COc2cccc(F)c2)cnn1C(C)C ZINC000469147600 339281417 /nfs/dbraw/zinc/28/14/17/339281417.db2.gz ZZUOZALXYXKYST-KGLIPLIRSA-N 0 3 319.424 4.030 20 0 DIADHN Cc1c2ccccc2oc1[C@H](N[C@H](C)Cn1cccn1)C(C)C ZINC000469173027 339282064 /nfs/dbraw/zinc/28/20/64/339282064.db2.gz MKXWKERFLHMRIB-RDTXWAMCSA-N 0 3 311.429 4.313 20 0 DIADHN CO[C@@H](CCN[C@H](C)c1ccc(F)cc1Cl)C(F)(F)F ZINC000469299921 339285591 /nfs/dbraw/zinc/28/55/91/339285591.db2.gz HHCOTEUCTNGARW-PELKAZGASA-N 0 3 313.722 4.097 20 0 DIADHN Cc1ccc(CNCC(C)(C)c2cccc(Cl)c2F)nc1 ZINC000469562233 339293303 /nfs/dbraw/zinc/29/33/03/339293303.db2.gz NUSNLEGQPQLMBU-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN Cc1ccc([C@@H]2[C@H](C)CCCN2C[C@H](O)CC(F)(F)F)cc1 ZINC000469599710 339294171 /nfs/dbraw/zinc/29/41/71/339294171.db2.gz YVDXQZBKEUTEOW-BMFZPTHFSA-N 0 3 315.379 4.081 20 0 DIADHN CC(C)[C@@H](c1ccccc1Cl)N(C)C[C@@H](O)CC(F)(F)F ZINC000469610872 339294504 /nfs/dbraw/zinc/29/45/04/339294504.db2.gz HWYZOZBKVOPPMW-FZMZJTMJSA-N 0 3 323.786 4.282 20 0 DIADHN Clc1ccc(C2(NCC[C@H]3CCCO3)CC2)cc1Cl ZINC000469713709 339296089 /nfs/dbraw/zinc/29/60/89/339296089.db2.gz WVJWETFLCSUABK-GFCCVEGCSA-N 0 3 300.229 4.141 20 0 DIADHN COC(C)(C)CCNC1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000469714284 339296121 /nfs/dbraw/zinc/29/61/21/339296121.db2.gz WMZGYKQMAHVXIE-UHFFFAOYSA-N 0 3 302.245 4.387 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CC[C@H](Oc2ccncc2)C1 ZINC000469740358 339297569 /nfs/dbraw/zinc/29/75/69/339297569.db2.gz XGXKSGWTVKURAK-IERDGZPVSA-N 0 3 318.420 4.449 20 0 DIADHN COc1cc(Br)ccc1[C@H](C)N[C@@H]1C=CCCC1 ZINC000469755175 339297815 /nfs/dbraw/zinc/29/78/15/339297815.db2.gz YKUGSWJWROZFOF-WCQYABFASA-N 0 3 310.235 4.217 20 0 DIADHN Cc1c2ccccc2oc1[C@H](NCCOCC(F)F)C(C)C ZINC000469757857 339297822 /nfs/dbraw/zinc/29/78/22/339297822.db2.gz UOIYYJQKRFLCKS-MRXNPFEDSA-N 0 3 311.372 4.310 20 0 DIADHN CC(C)[C@H](NCCOCC(F)F)c1ccc(Cl)cc1F ZINC000469759849 339298043 /nfs/dbraw/zinc/29/80/43/339298043.db2.gz DWUGFQAXGKUSNC-AWEZNQCLSA-N 0 3 309.759 4.048 20 0 DIADHN OCC[C@H](N[C@@H]1CCC[C@H]1CCc1ccccc1)c1ccco1 ZINC000469774329 339298759 /nfs/dbraw/zinc/29/87/59/339298759.db2.gz FDBKMBKTOHCLBX-OTWHNJEPSA-N 0 3 313.441 4.094 20 0 DIADHN COc1cc(CCN[C@H](C)c2csc(C(C)C)n2)ccc1C ZINC000469777077 339299033 /nfs/dbraw/zinc/29/90/33/339299033.db2.gz VHYRUUVWOGYPFI-CQSZACIVSA-N 0 3 318.486 4.477 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC(C)(C)N2CCC[C@@H](C)C2)cs1 ZINC000469782276 339299454 /nfs/dbraw/zinc/29/94/54/339299454.db2.gz ZEDAOGXARMPYDI-HUUCEWRRSA-N 0 3 323.550 4.428 20 0 DIADHN C[C@@H](CCC1CC1)Nc1cccc(CN2CCSCC2)c1 ZINC000469787764 339299983 /nfs/dbraw/zinc/29/99/83/339299983.db2.gz QZRSBCPEBASMCB-HNNXBMFYSA-N 0 3 304.503 4.226 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccccc2-n2cccn2)c1 ZINC000469790410 339300156 /nfs/dbraw/zinc/30/01/56/339300156.db2.gz KZUSXPJIUZVRSZ-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nc2ccc(F)cc2o1 ZINC000469791934 339300256 /nfs/dbraw/zinc/30/02/56/339300256.db2.gz RVWWCZVBNMQLAE-DZGCQCFKSA-N 0 3 308.422 4.121 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H](C)N2CCCC[C@@H]2C)cs1 ZINC000469801877 339301084 /nfs/dbraw/zinc/30/10/84/339301084.db2.gz SVELRVLHHLXHCC-KKUMJFAQSA-N 0 3 309.523 4.180 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H](C)N2CCCC[C@H]2C)cs1 ZINC000469801876 339301145 /nfs/dbraw/zinc/30/11/45/339301145.db2.gz SVELRVLHHLXHCC-KFWWJZLASA-N 0 3 309.523 4.180 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H](C)N2CCCC[C@H]2C)cs1 ZINC000469801875 339301181 /nfs/dbraw/zinc/30/11/81/339301181.db2.gz SVELRVLHHLXHCC-ILXRZTDVSA-N 0 3 309.523 4.180 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cccc3c2NCC3)cc1 ZINC000469886288 339303767 /nfs/dbraw/zinc/30/37/67/339303767.db2.gz AHETXEQDZXVEDE-IBGZPJMESA-N 0 3 308.425 4.000 20 0 DIADHN CC1(C)OCc2cc(CN3CC[C@H]3Cc3ccccc3)ccc2O1 ZINC000469963328 339306038 /nfs/dbraw/zinc/30/60/38/339306038.db2.gz ODALLIMRMVWMND-IBGZPJMESA-N 0 3 323.436 4.149 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CCc1ccc(F)c(F)c1 ZINC000469995256 339307572 /nfs/dbraw/zinc/30/75/72/339307572.db2.gz YBIFOUBTNGMKTJ-ZDUSSCGKSA-N 0 3 307.306 4.170 20 0 DIADHN CC(C)CCC1CCN(Cc2cccc(C(=O)N(C)C)c2)CC1 ZINC000470016341 339308677 /nfs/dbraw/zinc/30/86/77/339308677.db2.gz TYIVEICIHXSQQN-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN C[C@@H](NCCCC1CCOCC1)c1nc(C(C)(C)C)cs1 ZINC000470181801 339313188 /nfs/dbraw/zinc/31/31/88/339313188.db2.gz HBKIZRNFXRLJDC-CYBMUJFWSA-N 0 3 310.507 4.298 20 0 DIADHN C[C@@H](NCCc1ccccc1F)c1nc(C(C)(C)C)cs1 ZINC000470182574 339313261 /nfs/dbraw/zinc/31/32/61/339313261.db2.gz LZOHWGFATIUWCJ-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN Cc1ccn2cc(CNC[C@H](C)c3cccc(Cl)c3)nc2c1 ZINC000470355425 339318105 /nfs/dbraw/zinc/31/81/05/339318105.db2.gz DJIKRCRRUPFTGK-AWEZNQCLSA-N 0 3 313.832 4.189 20 0 DIADHN CCc1noc(CC)c1CNC[C@H](C)c1cccc(Cl)c1 ZINC000470367399 339318492 /nfs/dbraw/zinc/31/84/92/339318492.db2.gz OHOWBZMSWRNHNY-LBPRGKRZSA-N 0 3 306.837 4.346 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H]1CCC[C@@H]1c1ccccc1)n2C ZINC000470538165 339321665 /nfs/dbraw/zinc/32/16/65/339321665.db2.gz OJMGKLZXVFVJMD-MSOLQXFVSA-N 0 3 319.452 4.308 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H](C)CCc1ccsc1)n2C ZINC000470543691 339321748 /nfs/dbraw/zinc/32/17/48/339321748.db2.gz XUSYTRIPCVNCBZ-CQSZACIVSA-N 0 3 313.470 4.054 20 0 DIADHN CC[C@@H](CNCc1nc2cc(C)ccc2n1C)c1ccccc1 ZINC000470542290 339321831 /nfs/dbraw/zinc/32/18/31/339321831.db2.gz NQBRCTRKDPBMIL-INIZCTEOSA-N 0 3 307.441 4.165 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1C[C@@H](c3ccccc3)[C@H]1C)n2C ZINC000470546780 339321911 /nfs/dbraw/zinc/32/19/11/339321911.db2.gz ODGHCKWVIMUGGB-KBAYOESNSA-N 0 3 319.452 4.164 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2ccccc2-n2cccn2)c1 ZINC000470686842 339324752 /nfs/dbraw/zinc/32/47/52/339324752.db2.gz XSFNWOFSAJFBBQ-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN FC(F)c1cccc(CN2CCCN(c3ccccc3)CC2)c1 ZINC000470718164 339325961 /nfs/dbraw/zinc/32/59/61/339325961.db2.gz OXBZBPGTMKUDNN-UHFFFAOYSA-N 0 3 316.395 4.337 20 0 DIADHN CN(CCOc1ccccc1F)Cc1cccc(C(F)F)c1 ZINC000470723485 339326229 /nfs/dbraw/zinc/32/62/29/339326229.db2.gz OKFRSCYGDMYNOU-UHFFFAOYSA-N 0 3 309.331 4.274 20 0 DIADHN FC(F)c1cccc(CN2CC[C@H](Nc3ccccc3)C2)c1 ZINC000470782393 339327888 /nfs/dbraw/zinc/32/78/88/339327888.db2.gz VKQQHDAAFDSLAN-KRWDZBQOSA-N 0 3 302.368 4.311 20 0 DIADHN FC(F)c1cccc(CN2CCC(Cc3cccnc3)CC2)c1 ZINC000470790887 339328214 /nfs/dbraw/zinc/32/82/14/339328214.db2.gz YXOPMJYEIKEIGG-UHFFFAOYSA-N 0 3 316.395 4.474 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2cnn(C)c2)CC1 ZINC000470868638 339329677 /nfs/dbraw/zinc/32/96/77/339329677.db2.gz OUSZMZOJYDWUTF-UHFFFAOYSA-N 0 3 317.864 4.017 20 0 DIADHN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1cccc(C(F)F)c1 ZINC000470872776 339329845 /nfs/dbraw/zinc/32/98/45/339329845.db2.gz KVGREPISNUECFM-UHFFFAOYSA-N 0 3 307.388 4.277 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000470875521 339329972 /nfs/dbraw/zinc/32/99/72/339329972.db2.gz ZFNRGNGYGOTYJL-AWEZNQCLSA-N 0 3 315.848 4.245 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCSCc1ccccc1 ZINC000470940211 339331577 /nfs/dbraw/zinc/33/15/77/339331577.db2.gz LUYKYPHDQVGQJM-OCCSQVGLSA-N 0 3 303.393 4.193 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCSc1ccc(F)cc1 ZINC000470948460 339331653 /nfs/dbraw/zinc/33/16/53/339331653.db2.gz USNXOMMKJUKDLH-MFKMUULPSA-N 0 3 307.356 4.191 20 0 DIADHN Cc1ccccc1OCCCN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000470956110 339332931 /nfs/dbraw/zinc/33/29/31/339332931.db2.gz AUKDCLPYVWZGSK-KGLIPLIRSA-N 0 3 301.352 4.037 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)c1 ZINC000470957318 339332970 /nfs/dbraw/zinc/33/29/70/339332970.db2.gz WSAGOHUPYOQSEZ-IUODEOHRSA-N 0 3 301.352 4.247 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)C(C)(C)CC)co2)cc1 ZINC000471022538 339334698 /nfs/dbraw/zinc/33/46/98/339334698.db2.gz OQWQQLIVJRIPJM-UHFFFAOYSA-N 0 3 302.418 4.361 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000471072375 339336399 /nfs/dbraw/zinc/33/63/99/339336399.db2.gz NLLISVPMBULJRG-FQEVSTJZSA-N 0 3 323.415 4.413 20 0 DIADHN C[C@H](NC(=O)C(C)(C)C1CCCC1)[C@@H](c1ccccc1)N(C)C ZINC000471138958 339338151 /nfs/dbraw/zinc/33/81/51/339338151.db2.gz COCMSUAXWTZLCQ-YJBOKZPZSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000471172621 339339489 /nfs/dbraw/zinc/33/94/89/339339489.db2.gz HWTULFDPBKNAHX-ZBFHGGJFSA-N 0 3 303.837 4.394 20 0 DIADHN CCC(CC)(CC)[NH2+]Cc1nc2c3ccccc3oc2c(=O)[n-]1 ZINC000471233792 339340695 /nfs/dbraw/zinc/34/06/95/339340695.db2.gz FEYJGMKUWBEMKB-UHFFFAOYSA-N 0 3 313.401 4.140 20 0 DIADHN CCC(=O)c1ccc(Cl)c(NC(=O)C(C)C(F)(F)F)c1 ZINC000471419585 339344466 /nfs/dbraw/zinc/34/44/66/339344466.db2.gz PCUFVFAZKFUNOU-ZETCQYMHSA-N 0 3 307.699 4.070 20 0 DIADHN CCC(=O)c1ccc(Cl)c(NC(=O)C(C)C(F)(F)F)c1 ZINC000471419584 339344478 /nfs/dbraw/zinc/34/44/78/339344478.db2.gz PCUFVFAZKFUNOU-SSDOTTSWSA-N 0 3 307.699 4.070 20 0 DIADHN C[C@H](NCCc1ccccc1OC(F)F)c1ccccc1F ZINC000471520553 339346660 /nfs/dbraw/zinc/34/66/60/339346660.db2.gz AAONUHGCGNKFBJ-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@H](CC1CCCC1)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471576584 339347754 /nfs/dbraw/zinc/34/77/54/339347754.db2.gz DOUFSVYBSAEFNI-GJYPPUQNSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](CC1CCCC1)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000471576586 339347768 /nfs/dbraw/zinc/34/77/68/339347768.db2.gz DOUFSVYBSAEFNI-JTDSTZFVSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](CC1CCCC1)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000471576585 339347821 /nfs/dbraw/zinc/34/78/21/339347821.db2.gz DOUFSVYBSAEFNI-GPMSIDNRSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@@H](c1ccccc1)N(C)C ZINC000471577467 339347857 /nfs/dbraw/zinc/34/78/57/339347857.db2.gz KDVSENHAUWPWTA-KSMMKXTCSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@H](C)NCCOc1cccc(Cl)c1 ZINC000471578185 339347946 /nfs/dbraw/zinc/34/79/46/339347946.db2.gz OMDZSLZNGGVVRT-NSHDSACASA-N 0 3 311.834 4.140 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1cc(F)ccc1F ZINC000471577468 339347982 /nfs/dbraw/zinc/34/79/82/339347982.db2.gz KDVSENHAUWPWTA-NJSLBKSFSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H]1SCCc2ccccc21 ZINC000471598122 339348829 /nfs/dbraw/zinc/34/88/29/339348829.db2.gz UGGUMKWIWJMSQM-MLGOLLRUSA-N 0 3 319.495 4.438 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCCc2c(C)ccc(C)c21 ZINC000471620188 339349730 /nfs/dbraw/zinc/34/97/30/339349730.db2.gz PCXCTGHIIQGWLA-SJORKVTESA-N 0 3 315.482 4.374 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1ccc(F)c(F)c1 ZINC000471618587 339349775 /nfs/dbraw/zinc/34/97/75/339349775.db2.gz KJHVPUJRKGONSH-NJSLBKSFSA-N 0 3 318.411 4.307 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCCc2c(C)ccc(C)c21 ZINC000471620187 339349889 /nfs/dbraw/zinc/34/98/89/339349889.db2.gz PCXCTGHIIQGWLA-IRXDYDNUSA-N 0 3 315.482 4.374 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@@H](c1ccccc1)N(C)C ZINC000471618586 339349894 /nfs/dbraw/zinc/34/98/94/339349894.db2.gz KJHVPUJRKGONSH-KSMMKXTCSA-N 0 3 318.411 4.307 20 0 DIADHN CCC(O)(CC)CCN[C@@H]1CCCOc2cc(Cl)ccc21 ZINC000471762436 339353326 /nfs/dbraw/zinc/35/33/26/339353326.db2.gz WTBCGRUGBCHZMR-OAHLLOKOSA-N 0 3 311.853 4.085 20 0 DIADHN CC(C)(C)[C@@H](NCCOCC(F)F)c1cc2ccccc2o1 ZINC000471760875 339353328 /nfs/dbraw/zinc/35/33/28/339353328.db2.gz RZSBCQCKNYUJAT-INIZCTEOSA-N 0 3 311.372 4.391 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000471786361 339353897 /nfs/dbraw/zinc/35/38/97/339353897.db2.gz IBHVTRZDRZVLNN-OUCADQQQSA-N 0 3 300.229 4.138 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H](c2cc3ccccc3o2)c2cccnc2)C1 ZINC000471789633 339354199 /nfs/dbraw/zinc/35/41/99/339354199.db2.gz SSLIIUYCSFMSBL-MBOZVWFJSA-N 0 3 322.408 4.074 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1cc2cccc(OC)c2o1 ZINC000471796626 339354339 /nfs/dbraw/zinc/35/43/39/339354339.db2.gz DWIUMWDUGVYWGE-CYBMUJFWSA-N 0 3 305.418 4.033 20 0 DIADHN C[C@@H]1C[C@H](O)CN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000471810807 339354917 /nfs/dbraw/zinc/35/49/17/339354917.db2.gz MMNHEBVIAZCYCO-NEPJUHHUSA-N 0 3 307.846 4.024 20 0 DIADHN CCC(O)(CC)CCNCc1ccc(Oc2ccccc2)o1 ZINC000471819241 339355098 /nfs/dbraw/zinc/35/50/98/339355098.db2.gz GXHCWSOULOFATB-UHFFFAOYSA-N 0 3 303.402 4.103 20 0 DIADHN CCC(O)(CC)CCNCc1cnc(-c2ccc(C)cc2)s1 ZINC000471827325 339355602 /nfs/dbraw/zinc/35/56/02/339355602.db2.gz PTHGIZJJYSXKCH-UHFFFAOYSA-N 0 3 318.486 4.149 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccccc1C)OC(C)C ZINC000472060671 339361643 /nfs/dbraw/zinc/36/16/43/339361643.db2.gz XBMWVOMYSDMXCZ-HXUWFJFHSA-N 0 3 313.441 4.260 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](CNCc2ncc(Cl)s2)C1 ZINC000472067219 339362041 /nfs/dbraw/zinc/36/20/41/339362041.db2.gz DUWFWADIYWIJSG-BDAKNGLRSA-N 0 3 312.788 4.255 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](c3cnn(C)c3)C(C)(C)C)cc2c1C ZINC000472072229 339362448 /nfs/dbraw/zinc/36/24/48/339362448.db2.gz CUWKOAXOJPRWDI-LJQANCHMSA-N 0 3 324.472 4.395 20 0 DIADHN CCSc1cccc(CNCc2cc(C)cc(OC)c2)c1 ZINC000472072794 339362503 /nfs/dbraw/zinc/36/25/03/339362503.db2.gz GXOTYQIFSSMJNT-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@H]1CNCc1ncc(Cl)s1 ZINC000472074180 339362554 /nfs/dbraw/zinc/36/25/54/339362554.db2.gz LGDCDAXMKLUMPF-DTWKUNHWSA-N 0 3 312.788 4.255 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)[C@H](c1ccccc1)N(C)C ZINC000472075159 339362724 /nfs/dbraw/zinc/36/27/24/339362724.db2.gz QXJYBYNHQFSPAM-BLLLJJGKSA-N 0 3 308.878 4.183 20 0 DIADHN C[C@@H](NCc1cc2ccccc2o1)[C@@H](c1ccccc1)N(C)C ZINC000472080966 339362950 /nfs/dbraw/zinc/36/29/50/339362950.db2.gz VYQJVHNBKBXREM-QRWLVFNGSA-N 0 3 308.425 4.214 20 0 DIADHN COc1ccsc1CN[C@H](C)CSc1ccc(C)cc1 ZINC000472130386 339363719 /nfs/dbraw/zinc/36/37/19/339363719.db2.gz NHQPIQCFIRRPPZ-CYBMUJFWSA-N 0 3 307.484 4.336 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2sccc2OC)C12CCCC2 ZINC000472148081 339364281 /nfs/dbraw/zinc/36/42/81/339364281.db2.gz VSJIMRPSFYNFKS-AEGPPILISA-N 0 3 309.475 4.145 20 0 DIADHN C[C@H]1CN(Cc2nnc(-c3ccc(Cl)cc3)o2)C(C)(C)C1 ZINC000472285984 339368952 /nfs/dbraw/zinc/36/89/52/339368952.db2.gz GUHBMVNNWFGEKL-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H](NCCCO[C@@H]1CCCCO1)c1c(F)cccc1Cl ZINC000472603834 339375086 /nfs/dbraw/zinc/37/50/86/339375086.db2.gz NTPXHQBKEAIBCQ-IUODEOHRSA-N 0 3 315.816 4.063 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@@H]3CCCC3(C)C)n2)cc1F ZINC000472625083 339375533 /nfs/dbraw/zinc/37/55/33/339375533.db2.gz XHAYJMNKWHONMR-IUODEOHRSA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N(C)C[C@H]1CCCO1 ZINC000472670763 339376028 /nfs/dbraw/zinc/37/60/28/339376028.db2.gz SHLYNVRGHRMMII-HUUCEWRRSA-N 0 3 312.251 4.011 20 0 DIADHN C/C(=C/c1ccccc1)CN1CC[C@]2(C1)OCc1ccccc12 ZINC000473119790 339381390 /nfs/dbraw/zinc/38/13/90/339381390.db2.gz MUTOLCDTOYCQHX-WYPWOVKWSA-N 0 3 305.421 4.221 20 0 DIADHN CCn1nc(CN2CC[C@@H](c3ccc(F)cc3)C2)c2ccccc21 ZINC000473141247 339382323 /nfs/dbraw/zinc/38/23/23/339382323.db2.gz NDNNRCXCMBYRIF-MRXNPFEDSA-N 0 3 323.415 4.185 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1cc(O)cc(F)c1 ZINC000473147350 339382876 /nfs/dbraw/zinc/38/28/76/339382876.db2.gz VPRAZHVBYUHBLT-IBGZPJMESA-N 0 3 315.388 4.049 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1cc(O)cc(F)c1 ZINC000473147351 339382903 /nfs/dbraw/zinc/38/29/03/339382903.db2.gz VPRAZHVBYUHBLT-LJQANCHMSA-N 0 3 315.388 4.049 20 0 DIADHN CCOC(=O)CCCCNC(C)(C)c1cccc(Cl)c1F ZINC000473435996 339385296 /nfs/dbraw/zinc/38/52/96/339385296.db2.gz OBKANWQEWUTQHI-UHFFFAOYSA-N 0 3 315.816 4.037 20 0 DIADHN CN(Cc1ccc(Br)o1)[C@H]1C[C@H]1c1ccccc1 ZINC000473918950 339394822 /nfs/dbraw/zinc/39/48/22/339394822.db2.gz MRBMHZIPURSKCE-KBPBESRZSA-N 0 3 306.203 4.030 20 0 DIADHN CCOC1CC(CCNCc2c(Cl)oc3ccccc32)C1 ZINC000474019184 339397282 /nfs/dbraw/zinc/39/72/82/339397282.db2.gz VYKKHZABGYWDFU-UHFFFAOYSA-N 0 3 307.821 4.381 20 0 DIADHN COc1ccccc1[C@H](NCCOC(F)(F)F)C1CCCC1 ZINC000474032941 339397963 /nfs/dbraw/zinc/39/79/63/339397963.db2.gz PVBJEZBOFQALBX-OAHLLOKOSA-N 0 3 317.351 4.053 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@H]1CCc2c1cccc2O ZINC000474037329 339398264 /nfs/dbraw/zinc/39/82/64/339398264.db2.gz QKDGBIOVIMEOLL-RBUKOAKNSA-N 0 3 323.436 4.400 20 0 DIADHN Cc1cc2cc([C@@H](C)NCCOC(F)(F)F)oc2cc1C ZINC000474038466 339398428 /nfs/dbraw/zinc/39/84/28/339398428.db2.gz SCZXYDDPBIQTQO-LLVKDONJSA-N 0 3 301.308 4.237 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)C1CCC(F)(F)CC1 ZINC000474041813 339398592 /nfs/dbraw/zinc/39/85/92/339398592.db2.gz WXHPVEOYLDEOEQ-LLVKDONJSA-N 0 3 300.393 4.103 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@@H]2CCC23CCCC3)cc1OC ZINC000474062843 339400219 /nfs/dbraw/zinc/40/02/19/339400219.db2.gz AROUXVLKQFIWCE-YVEFUNNKSA-N 0 3 307.409 4.216 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC1CCCCC1)c1ccsc1 ZINC000498350454 339409364 /nfs/dbraw/zinc/40/93/64/339409364.db2.gz XUEBMTIMONNUMS-KRWDZBQOSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@@H](NCc1cn2ccccc2n1)[C@H](C)c1ccc(Cl)cc1 ZINC000474472232 339416122 /nfs/dbraw/zinc/41/61/22/339416122.db2.gz DFXHEGQRTIPDKT-UONOGXRCSA-N 0 3 313.832 4.270 20 0 DIADHN CC(C)n1nccc1CNCC(C)(C)c1ccc(F)cc1Cl ZINC000474732208 339424561 /nfs/dbraw/zinc/42/45/61/339424561.db2.gz CHAYSPOLLSMHHM-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN COC[C@H](N[C@@H](C)[C@H](C)c1ccc(Cl)cc1)c1ccco1 ZINC000474811508 339427649 /nfs/dbraw/zinc/42/76/49/339427649.db2.gz RZTRMTHQLPPJJJ-XEZPLFJOSA-N 0 3 307.821 4.402 20 0 DIADHN CCc1noc(CC)c1CNC[C@@H](c1ccccc1)C(C)C ZINC000474813651 339427857 /nfs/dbraw/zinc/42/78/57/339427857.db2.gz AFRVIAXDRMTKMS-MRXNPFEDSA-N 0 3 300.446 4.329 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCC[C@@H](C(C)C)C1)c1nnc2ccccn21 ZINC000474865683 339429024 /nfs/dbraw/zinc/42/90/24/339429024.db2.gz AQSMNHLBDRBCEY-NUJGCVRESA-N 0 3 314.477 4.231 20 0 DIADHN CCc1cccc(F)c1CN[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC000474926844 339431481 /nfs/dbraw/zinc/43/14/81/339431481.db2.gz CGAPCBLVGVDBDR-YLJYHZDGSA-N 0 3 313.416 4.398 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccco1)[C@@H](C)c1ccc(Cl)cc1 ZINC000474927779 339431556 /nfs/dbraw/zinc/43/15/56/339431556.db2.gz REZRICCSBLLYAO-WWGRRREGSA-N 0 3 307.821 4.138 20 0 DIADHN Cc1ccncc1CNCCn1c2ccccc2c2ccccc21 ZINC000474946494 339432862 /nfs/dbraw/zinc/43/28/62/339432862.db2.gz VFIIWWMXVWORPS-UHFFFAOYSA-N 0 3 315.420 4.288 20 0 DIADHN Cc1nnc([C@@H](C)NC[C@H](CC(C)C)c2ccccc2)s1 ZINC000474948864 339433007 /nfs/dbraw/zinc/43/30/07/339433007.db2.gz SDWSNIWQTGMGHS-CJNGLKHVSA-N 0 3 303.475 4.327 20 0 DIADHN C[C@@H]1CC[C@@H](CNC(C)(C)c2cccc(C(F)(F)F)c2)O1 ZINC000475056922 339437611 /nfs/dbraw/zinc/43/76/11/339437611.db2.gz OQEFTFCRUFMVIH-RISCZKNCSA-N 0 3 301.352 4.098 20 0 DIADHN COc1cccc(Cl)c1CNCC1(C)CCC(OC)CC1 ZINC000475198515 339441252 /nfs/dbraw/zinc/44/12/52/339441252.db2.gz QGUYOGLNSULWAT-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN CO[C@H]1CC[C@H](NCc2c(Cl)cccc2SC(C)C)C1 ZINC000475208765 339441673 /nfs/dbraw/zinc/44/16/73/339441673.db2.gz RBCHGASBOUSQQA-STQMWFEESA-N 0 3 313.894 4.498 20 0 DIADHN O[C@H](CN[C@H](CC(F)(F)F)c1ccccc1)C1CCCCC1 ZINC000475309051 339445425 /nfs/dbraw/zinc/44/54/25/339445425.db2.gz FKRZUACDFXDQEK-HZPDHXFCSA-N 0 3 315.379 4.211 20 0 DIADHN CC[C@@H]1C[C@H](CN[C@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000475327875 339446466 /nfs/dbraw/zinc/44/64/66/339446466.db2.gz FYVWDHSGASUWCV-YFVAEKQCSA-N 0 3 324.468 4.274 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@@H](c3cccc(F)c3)C2)s1 ZINC000475549716 339454734 /nfs/dbraw/zinc/45/47/34/339454734.db2.gz FVBALSLCIHOAMA-UKRRQHHQSA-N 0 3 304.434 4.271 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3cnn4ccccc34)C2)cc1 ZINC000475667908 339458791 /nfs/dbraw/zinc/45/87/91/339458791.db2.gz HQYXORXHSNJDND-CZIWCDLHSA-N 0 3 311.816 4.024 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1CCN(CCC(C)(C)C)CC1 ZINC000475757631 339461702 /nfs/dbraw/zinc/46/17/02/339461702.db2.gz SFNUOCKTLREIMF-OAHLLOKOSA-N 0 3 321.509 4.087 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccc(C(=O)C(C)(C)C)cc1 ZINC000476895967 339482743 /nfs/dbraw/zinc/48/27/43/339482743.db2.gz VDLVZIFTEVFCFE-CYBMUJFWSA-N 0 3 318.461 4.021 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)C(C)(C)O ZINC000477849865 339502487 /nfs/dbraw/zinc/50/24/87/339502487.db2.gz CWCFYKXIUWJORZ-BBRMVZONSA-N 0 3 318.486 4.256 20 0 DIADHN CC(C)C[C@H](NC[C@@](C)(O)C1CCCCC1)c1ccccn1 ZINC000477851954 339502612 /nfs/dbraw/zinc/50/26/12/339502612.db2.gz DUGHBXPVQXFUIP-RBUKOAKNSA-N 0 3 304.478 4.090 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CCCCC1)c1ccc(Cl)s1 ZINC000477854570 339502778 /nfs/dbraw/zinc/50/27/78/339502778.db2.gz HOTHBCDISRIAIJ-XHDPSFHLSA-N 0 3 301.883 4.383 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](C(C)C)C(C)(C)O ZINC000477858829 339503032 /nfs/dbraw/zinc/50/30/32/339503032.db2.gz MVBPJZPNUXRSLX-LRDDRELGSA-N 0 3 313.869 4.185 20 0 DIADHN C[C@H](NC[C@H]1CCO[C@@H]1c1ccccc1)c1ccc(Cl)cn1 ZINC000477934328 339505291 /nfs/dbraw/zinc/50/52/91/339505291.db2.gz UPPUCAGFLIJXNU-JCKWVBRZSA-N 0 3 316.832 4.163 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cc(F)ccc1C ZINC000478246657 339511394 /nfs/dbraw/zinc/51/13/94/339511394.db2.gz CJZRSGCOSBOONY-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2ccc([C@H]3C[C@H]3C)o2)CCO1 ZINC000478325455 339513503 /nfs/dbraw/zinc/51/35/03/339513503.db2.gz UIDYLDLDZNRDKX-OVLVRJBISA-N 0 3 305.462 4.476 20 0 DIADHN COC[C@H](NCCC=C(C)C)c1cccc(C(F)(F)F)c1 ZINC000479295052 339530703 /nfs/dbraw/zinc/53/07/03/339530703.db2.gz JKJHGNORTASZAB-HNNXBMFYSA-N 0 3 301.352 4.339 20 0 DIADHN C[C@H](NCCC1=CCCCC1)c1ccc(Br)cn1 ZINC000479367435 339532099 /nfs/dbraw/zinc/53/20/99/339532099.db2.gz ZRCBSQIQFXNTMM-LBPRGKRZSA-N 0 3 309.251 4.385 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@H](c2cc(F)ccc2F)C(C)C)CC1 ZINC000479403194 339533306 /nfs/dbraw/zinc/53/33/06/339533306.db2.gz YUHPFFXBDAJNNU-DLBZAZTESA-N 0 3 311.416 4.049 20 0 DIADHN CC(C)[C@@H](NCC1([C@@H](O)C(C)C)CC1)c1cc(F)ccc1F ZINC000479403197 339533350 /nfs/dbraw/zinc/53/33/50/339533350.db2.gz YUHPFFXBDAJNNU-SJORKVTESA-N 0 3 311.416 4.049 20 0 DIADHN c1nc(-c2ccccn2)sc1CNC1(Cc2ccccc2)CC1 ZINC000479416013 339534047 /nfs/dbraw/zinc/53/40/47/339534047.db2.gz HEPXCNKXWNESLD-UHFFFAOYSA-N 0 3 321.449 4.070 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1ccc(C(C)(C)C)cc1 ZINC000479436471 339535045 /nfs/dbraw/zinc/53/50/45/339535045.db2.gz JKTMZFPXBYQMIV-VHKYSDTDSA-N 0 3 309.453 4.293 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccccc1OC(F)(F)F ZINC000479445965 339535456 /nfs/dbraw/zinc/53/54/56/339535456.db2.gz MYPSYGNSTRLYMP-SECBINFHSA-N 0 3 309.278 4.281 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCc3ccc(F)cc31)CC2 ZINC000479480175 339537296 /nfs/dbraw/zinc/53/72/96/339537296.db2.gz CLUDUFJVCPHJJD-PMACEKPBSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccc(F)cc21)c1ccc2c(c1)OCO2 ZINC000479483832 339537484 /nfs/dbraw/zinc/53/74/84/339537484.db2.gz OPTLZTHFAGMOCN-SJCJKPOMSA-N 0 3 313.372 4.283 20 0 DIADHN COC1(CN[C@H](CC(C)C)c2ccccn2)CCC(C)CC1 ZINC000479489477 339537751 /nfs/dbraw/zinc/53/77/51/339537751.db2.gz MSLOOACXIIZCOO-CUYAVPTFSA-N 0 3 304.478 4.354 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCCc2ccc(F)cc21 ZINC000479493421 339538117 /nfs/dbraw/zinc/53/81/17/339538117.db2.gz ZWMCUZUABDLMLT-MOPGFXCFSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@@H](CO)CCC(C)(C)C)c1cnc2ccsc2c1 ZINC000479513448 339538743 /nfs/dbraw/zinc/53/87/43/339538743.db2.gz IUWFTGMPCFYNBE-GXTWGEPZSA-N 0 3 306.475 4.134 20 0 DIADHN C[C@@H](NCCc1cccc(OCC(F)(F)F)c1)c1ccco1 ZINC000479521691 339539200 /nfs/dbraw/zinc/53/92/00/339539200.db2.gz IJWLICIHOHLJPD-GFCCVEGCSA-N 0 3 313.319 4.114 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCC1)c1ccc(Cl)cc1Cl ZINC000479550097 339540634 /nfs/dbraw/zinc/54/06/34/339540634.db2.gz YZOWYUTTWJCLMK-GWCFXTLKSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H](CO)CCC(C)(C)C)c1ccc2ccccc2n1 ZINC000479556916 339540980 /nfs/dbraw/zinc/54/09/80/339540980.db2.gz WRNIHBBRXFJRID-GOEBONIOSA-N 0 3 300.446 4.073 20 0 DIADHN CCCOc1ccc(CNC[C@@H](C)C(F)(F)F)cc1OCC ZINC000479572405 339541761 /nfs/dbraw/zinc/54/17/61/339541761.db2.gz AVXSLJCPVIQICH-GFCCVEGCSA-N 0 3 319.367 4.162 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)CCC(C)C)nnn1-c1ccccc1 ZINC000479848002 339550116 /nfs/dbraw/zinc/55/01/16/339550116.db2.gz CVYQMNYXMUEUGC-CABCVRRESA-N 0 3 300.450 4.051 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1)c1ccc(-n2cccn2)cc1 ZINC000479873137 339552036 /nfs/dbraw/zinc/55/20/36/339552036.db2.gz JUGRMGMEFFFVFW-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN CC(C)(C)n1cc(CNC2(Cc3cccc(F)c3)CCC2)cn1 ZINC000479874082 339552090 /nfs/dbraw/zinc/55/20/90/339552090.db2.gz OCUZBVMVEGDCPM-UHFFFAOYSA-N 0 3 315.436 4.032 20 0 DIADHN Cc1nc2ccccc2n1CCCN[C@@H](C)c1ccccc1F ZINC000480082709 339558198 /nfs/dbraw/zinc/55/81/98/339558198.db2.gz IRYORIZBLGZFJE-AWEZNQCLSA-N 0 3 311.404 4.225 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2cccc3c2OC(C)(C)C3)CCO1 ZINC000480125889 339559916 /nfs/dbraw/zinc/55/99/16/339559916.db2.gz KIJNXGLZDKQENN-DLBZAZTESA-N 0 3 317.473 4.083 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(Cl)cn1 ZINC000480172253 339561960 /nfs/dbraw/zinc/56/19/60/339561960.db2.gz SAVVTIUJYQIIKP-JOQOYGCGSA-N 0 3 316.832 4.163 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480183484 339562453 /nfs/dbraw/zinc/56/24/53/339562453.db2.gz RSXMFJIWFXBQSB-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN C[C@@H](CO)N[C@@H](C)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480182904 339562467 /nfs/dbraw/zinc/56/24/67/339562467.db2.gz QXUQHTMYEBZEHQ-RYUDHWBXSA-N 0 3 323.795 4.303 20 0 DIADHN C[C@@H](NCCN(C)c1ccccc1)c1ccc2ccccc2n1 ZINC000480195090 339563099 /nfs/dbraw/zinc/56/30/99/339563099.db2.gz JMAOCPVSQCZZNO-MRXNPFEDSA-N 0 3 305.425 4.022 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CN2CCCC2)c2ccccc2)o1 ZINC000480220474 339563994 /nfs/dbraw/zinc/56/39/94/339563994.db2.gz CUFPHDPLQYPSSG-APWZRJJASA-N 0 3 312.457 4.330 20 0 DIADHN CCOc1ccc([C@@H](C)NC[C@@H](c2ccsc2)N(C)C)cc1 ZINC000480250929 339565330 /nfs/dbraw/zinc/56/53/30/339565330.db2.gz IIQRMAIMYHRYAU-KDOFPFPSSA-N 0 3 318.486 4.100 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H]2c3ccccc3CC[C@@H]2C)cn1 ZINC000480253066 339565368 /nfs/dbraw/zinc/56/53/68/339565368.db2.gz VLENNOMKNZSITK-KKSFZXQISA-N 0 3 323.484 4.339 20 0 DIADHN C[C@@H](NC[C@H](c1ccsc1)N(C)C)c1ccc(Cl)s1 ZINC000480273016 339566089 /nfs/dbraw/zinc/56/60/89/339566089.db2.gz FKMMDVWGVXDHJL-ZYHUDNBSSA-N 0 3 314.907 4.417 20 0 DIADHN COc1cc(CNCC=C(C)C)c(-c2ccccc2)cc1OC ZINC000480305291 339568172 /nfs/dbraw/zinc/56/81/72/339568172.db2.gz DPQSEPCQVKZJSS-UHFFFAOYSA-N 0 3 311.425 4.427 20 0 DIADHN Fc1cccc(-c2ccc(CNC[C@@H]3CCCS3)o2)c1F ZINC000480346699 339569999 /nfs/dbraw/zinc/56/99/99/339569999.db2.gz ZQLTUWIJHBBYOB-LBPRGKRZSA-N 0 3 309.381 4.210 20 0 DIADHN COc1ccccc1C1(CNCc2ccsc2Cl)CC1 ZINC000480358515 339570518 /nfs/dbraw/zinc/57/05/18/339570518.db2.gz PRLLYDBZKOXQNI-UHFFFAOYSA-N 0 3 307.846 4.232 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ccc(N(C)C(C)C)nc1)C1CC1 ZINC000480362788 339570676 /nfs/dbraw/zinc/57/06/76/339570676.db2.gz ZHNRFXSRIYTDSI-NRFANRHFSA-N 0 3 323.484 4.476 20 0 DIADHN C[C@@H](NCc1nccn1-c1ccccc1)[C@H](C)c1ccccc1 ZINC000480391359 339571858 /nfs/dbraw/zinc/57/18/58/339571858.db2.gz XUFZCLPARNEWHY-DLBZAZTESA-N 0 3 305.425 4.154 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc(OCc2ccccc2F)nc1 ZINC000480594458 339578798 /nfs/dbraw/zinc/57/87/98/339578798.db2.gz VTWZLEHMIXWGQN-HUUCEWRRSA-N 0 3 314.404 4.173 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(F)cc2N(C)C)s1 ZINC000480613513 339579660 /nfs/dbraw/zinc/57/96/60/339579660.db2.gz JRVHJXFCORVMMC-LBPRGKRZSA-N 0 3 306.450 4.366 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)C(F)(F)F)c1ccc2[nH]c(=O)oc2c1 ZINC000480669968 339582572 /nfs/dbraw/zinc/58/25/72/339582572.db2.gz OMHCEJZJRHLJBL-NOZJJQNGSA-N 0 3 316.323 4.019 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCCC(F)(F)F)c1ccc(C)o1 ZINC000480678026 339583070 /nfs/dbraw/zinc/58/30/70/339583070.db2.gz RQNZHCKYMKKMDY-WCQYABFASA-N 0 3 307.356 4.376 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](c2cnn(C)c2)c2ccc(F)cc2)[C@@H](C)C1 ZINC000480686996 339583274 /nfs/dbraw/zinc/58/32/74/339583274.db2.gz FOTXUTSIBYIVJQ-SPLQCWDRSA-N 0 3 315.436 4.063 20 0 DIADHN CCCC[C@H](CC)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480705570 339584173 /nfs/dbraw/zinc/58/41/73/339584173.db2.gz FCFSBTZXDWWJCA-ZWKOTPCHSA-N 0 3 303.425 4.207 20 0 DIADHN CCc1ccc([C@H](C)NCCCOCCc2ccccc2)o1 ZINC000480914468 339590600 /nfs/dbraw/zinc/59/06/00/339590600.db2.gz QLAWVGXUGKHWAR-INIZCTEOSA-N 0 3 301.430 4.142 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000481166114 339596797 /nfs/dbraw/zinc/59/67/97/339596797.db2.gz CBSHVBDVYXPJIO-WMLDXEAASA-N 0 3 314.429 4.409 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000481166112 339596825 /nfs/dbraw/zinc/59/68/25/339596825.db2.gz CBSHVBDVYXPJIO-PBHICJAKSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2ccc(Oc3ccccc3)nn2)[C@@H]1C ZINC000481176388 339597077 /nfs/dbraw/zinc/59/70/77/339597077.db2.gz JWKUPSNFOUHUCQ-RKVPGOIHSA-N 0 3 311.429 4.183 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nc2ccccc2n1C(F)F ZINC000481185657 339597785 /nfs/dbraw/zinc/59/77/85/339597785.db2.gz WRIYULXRHFZHRS-AWEZNQCLSA-N 0 3 307.388 4.490 20 0 DIADHN C[C@@H](NC[C@@H](C1CC1)N(C)C)c1cc(Cl)sc1Cl ZINC000481315052 339600839 /nfs/dbraw/zinc/60/08/39/339600839.db2.gz XYMHQNPXUCYBMO-KCJUWKMLSA-N 0 3 307.290 4.046 20 0 DIADHN C[C@H](NCCc1c(F)cccc1F)c1cc(F)c(F)c(F)c1 ZINC000481314479 339600876 /nfs/dbraw/zinc/60/08/76/339600876.db2.gz PPKXHIHGIWNBKP-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN C[C@@H](NCCc1cc(Br)ccc1F)c1ccco1 ZINC000481411879 339603688 /nfs/dbraw/zinc/60/36/88/339603688.db2.gz IKYBPHCPMNISCS-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN Cc1ccc([C@H](C)NCCC(=O)N(C)c2ccccc2)c(C)c1 ZINC000481424700 339604007 /nfs/dbraw/zinc/60/40/07/339604007.db2.gz YNGPMDNEYOQJQY-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN c1cncc([C@H](NCCOC2CCCCCC2)C2CCC2)c1 ZINC000481678230 339609545 /nfs/dbraw/zinc/60/95/45/339609545.db2.gz NXJAORQACOQTHZ-LJQANCHMSA-N 0 3 302.462 4.252 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCCOC1CCCC1 ZINC000481731235 339611739 /nfs/dbraw/zinc/61/17/39/339611739.db2.gz DQMSDDPPEQKEAV-CYBMUJFWSA-N 0 3 311.853 4.349 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481737660 339612023 /nfs/dbraw/zinc/61/20/23/339612023.db2.gz OZKWDSUAOFPKQT-UONOGXRCSA-N 0 3 316.420 4.312 20 0 DIADHN COc1ccnc(CN[C@@H](C)C2(c3ccccc3)CCCC2)c1 ZINC000481834560 339614984 /nfs/dbraw/zinc/61/49/84/339614984.db2.gz JMANPDZNXRNKIH-INIZCTEOSA-N 0 3 310.441 4.080 20 0 DIADHN CC(C)[C@@H](C)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000482024018 339620216 /nfs/dbraw/zinc/62/02/16/339620216.db2.gz CZBSFMYBTCATIA-DNVCBOLYSA-N 0 3 307.441 4.297 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](c2ccc(C)o2)N2CCCC2)o1 ZINC000482025145 339620218 /nfs/dbraw/zinc/62/02/18/339620218.db2.gz IBEDVMOFPAHDLK-RDJZCZTQSA-N 0 3 316.445 4.231 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(OCC3CC3)nc2)cc1 ZINC000482111253 339623493 /nfs/dbraw/zinc/62/34/93/339623493.db2.gz AFQPNGBPVSFVBB-OAHLLOKOSA-N 0 3 310.441 4.284 20 0 DIADHN C[C@@H](NC[C@H](O)Cc1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000482554714 339635854 /nfs/dbraw/zinc/63/58/54/339635854.db2.gz PSFJHOUADDQPDK-VQIMIIECSA-N 0 3 321.420 4.201 20 0 DIADHN Cc1cccc([C@@H](C)C(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000482611246 339637407 /nfs/dbraw/zinc/63/74/07/339637407.db2.gz VIKKPGFVOHOXBD-MRXNPFEDSA-N 0 3 310.441 4.107 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H]2CCCOC2)c(OCCC)c1 ZINC000482645332 339638829 /nfs/dbraw/zinc/63/88/29/339638829.db2.gz WHKGTAPQWAZBMX-CVEARBPZSA-N 0 3 321.461 4.094 20 0 DIADHN COc1ccc2oc(CN[C@@H]3c4ccccc4C[C@H]3C)cc2c1 ZINC000482758041 339641783 /nfs/dbraw/zinc/64/17/83/339641783.db2.gz ZAKGGAWFHZOZOR-XCLFUZPHSA-N 0 3 307.393 4.465 20 0 DIADHN CC(C)CCOC1CCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC000482836949 339643971 /nfs/dbraw/zinc/64/39/71/339643971.db2.gz OHAYKVQHPQCEMR-LJQANCHMSA-N 0 3 305.437 4.340 20 0 DIADHN CCN1CCCC[C@H]1CN[C@H]1C[C@H](C)Sc2sccc21 ZINC000482864474 339645022 /nfs/dbraw/zinc/64/50/22/339645022.db2.gz HWAMCIZQZOWLNJ-YDHLFZDLSA-N 0 3 310.532 4.138 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@@H](CC)c1ccccc1OC(F)F ZINC000482892163 339646264 /nfs/dbraw/zinc/64/62/64/339646264.db2.gz XPOYAGKQIGCZEG-GJZGRUSLSA-N 0 3 315.404 4.126 20 0 DIADHN CCC[C@H](O)CN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000482940774 339647458 /nfs/dbraw/zinc/64/74/58/339647458.db2.gz BPKMZCWHDJMHJN-AEFFLSMTSA-N 0 3 313.441 4.077 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1sc(C(C)(C)C)nc1C ZINC000482943376 339647727 /nfs/dbraw/zinc/64/77/27/339647727.db2.gz KHOGWLGAAGTAQC-XWCIJXRUSA-N 0 3 312.523 4.197 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1sc(C(C)(C)C)nc1C ZINC000482943375 339647732 /nfs/dbraw/zinc/64/77/32/339647732.db2.gz KHOGWLGAAGTAQC-PRXAMGSTSA-N 0 3 312.523 4.197 20 0 DIADHN CCC[C@@](C)(O)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000482970376 339648767 /nfs/dbraw/zinc/64/87/67/339648767.db2.gz JRXDEAHKZZMKMD-CXAGYDPISA-N 0 3 304.459 4.012 20 0 DIADHN Clc1cccc(C2CCN(CCc3ccccn3)CC2)c1 ZINC000483118824 339652493 /nfs/dbraw/zinc/65/24/93/339652493.db2.gz LIHJKNFOXLYSMF-UHFFFAOYSA-N 0 3 300.833 4.157 20 0 DIADHN CC(C)C[C@@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccccn1 ZINC000483123715 339652765 /nfs/dbraw/zinc/65/27/65/339652765.db2.gz FNOJSQKKWFGBMB-GHTZIAJQSA-N 0 3 323.484 4.427 20 0 DIADHN CC[C@@H](NC[C@@H](c1c(F)cccc1F)N(C)C)c1cccs1 ZINC000483141830 339653844 /nfs/dbraw/zinc/65/38/44/339653844.db2.gz IDIZXWLBMIAPAN-CABCVRRESA-N 0 3 324.440 4.370 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@@H](N[C@H](C)c2ccco2)C1 ZINC000483165108 339654896 /nfs/dbraw/zinc/65/48/96/339654896.db2.gz BOMJDWZATIQASH-GDBMZVCRSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCCN(c3cccc(F)c3)C2)o1 ZINC000483170363 339654993 /nfs/dbraw/zinc/65/49/93/339654993.db2.gz RDGVOVZSAHRYND-GDBMZVCRSA-N 0 3 302.393 4.047 20 0 DIADHN CSCCCN[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000483226661 339656918 /nfs/dbraw/zinc/65/69/18/339656918.db2.gz KFRQHNOJVYBIGW-GFCCVEGCSA-N 0 3 323.893 4.238 20 0 DIADHN CSC[C@H](C)N[C@@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000483229312 339657111 /nfs/dbraw/zinc/65/71/11/339657111.db2.gz DIWVHRIWWPBFHT-GJZGRUSLSA-N 0 3 317.502 4.200 20 0 DIADHN CCCC(O)(CCC)CN[C@@H]1CCSc2ccc(F)cc21 ZINC000483303325 339660624 /nfs/dbraw/zinc/66/06/24/339660624.db2.gz MAXDEVBEFNULBY-OAHLLOKOSA-N 0 3 311.466 4.284 20 0 DIADHN C[C@@H](CCO)N[C@@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000483315993 339661242 /nfs/dbraw/zinc/66/12/42/339661242.db2.gz MWGYAXVWGGDBRD-UGSOOPFHSA-N 0 3 321.823 4.123 20 0 DIADHN CC(C)[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483356273 339662448 /nfs/dbraw/zinc/66/24/48/339662448.db2.gz LKSXWFWCGIQKSN-VBNZEHGJSA-N 0 3 317.408 4.351 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCC(c2noc(C(C)C)n2)CC1 ZINC000483420136 339664731 /nfs/dbraw/zinc/66/47/31/339664731.db2.gz JWWWXZIJENJKRE-OAHLLOKOSA-N 0 3 313.445 4.442 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@H](C)Oc2ccc(F)cc2)s1 ZINC000483619538 339669677 /nfs/dbraw/zinc/66/96/77/339669677.db2.gz RKSVKBDVQZNJNI-VXGBXAGGSA-N 0 3 322.449 4.271 20 0 DIADHN Cc1nc2c(s1)[C@@H](NC[C@@H](C)Oc1ccc(C)cc1)CCC2 ZINC000483629747 339669882 /nfs/dbraw/zinc/66/98/82/339669882.db2.gz BNPSQOJEZDIPFG-CJNGLKHVSA-N 0 3 316.470 4.194 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc2ccccc2n1)Oc1ccccc1F ZINC000483627340 339669939 /nfs/dbraw/zinc/66/99/39/339669939.db2.gz UVTJZVQOOKWCCP-CABCVRRESA-N 0 3 324.399 4.492 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC000483656242 339670939 /nfs/dbraw/zinc/67/09/39/339670939.db2.gz YOXKSWGXKUEUNQ-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN CCC[C@@H](C)CN[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483660736 339671176 /nfs/dbraw/zinc/67/11/76/339671176.db2.gz WCDSICPYNOCXQQ-YPMHNXCESA-N 0 3 305.397 4.271 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](C)CCc2ccc(C)o2)c1 ZINC000483665138 339671303 /nfs/dbraw/zinc/67/13/03/339671303.db2.gz VBRJJYGWAUBXMP-ZFWWWQNUSA-N 0 3 317.429 4.277 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@H]3CCC[C@@H]3C2)nnn1-c1ccccc1 ZINC000483720568 339672732 /nfs/dbraw/zinc/67/27/32/339672732.db2.gz APBHYXPJQHIMHE-DZOHQFGASA-N 0 3 324.472 4.195 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC000483748836 339674019 /nfs/dbraw/zinc/67/40/19/339674019.db2.gz WRSKTEHIPGBSEG-OUENDJJVSA-N 0 3 323.864 4.203 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1cccc(Br)c1)c1ccco1 ZINC000483814869 339675145 /nfs/dbraw/zinc/67/51/45/339675145.db2.gz GLTGKZCOWLDSTM-NWDGAFQWSA-N 0 3 324.218 4.160 20 0 DIADHN CCC[C@H](CCO)CN[C@@H](C)c1cc(Cl)ccc1OCC ZINC000483848038 339676248 /nfs/dbraw/zinc/67/62/48/339676248.db2.gz KPPJQEUCELZUNI-UONOGXRCSA-N 0 3 313.869 4.188 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CNC1(c2ccc(F)cc2)CC1 ZINC000484085551 339680758 /nfs/dbraw/zinc/68/07/58/339680758.db2.gz XXVSKCOTUUEKIV-UHFFFAOYSA-N 0 3 321.399 4.099 20 0 DIADHN C[C@H](NCCNc1ncc(Cl)cc1Cl)c1cccs1 ZINC000484125738 339681311 /nfs/dbraw/zinc/68/13/11/339681311.db2.gz IAAIPPANLNKRSZ-VIFPVBQESA-N 0 3 316.257 4.213 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccccc1-n1cccn1 ZINC000484125647 339681389 /nfs/dbraw/zinc/68/13/89/339681389.db2.gz HAJZNNBYLBVUOV-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN C[C@@H](NCCNc1ccccn1)c1cc(Cl)ccc1Cl ZINC000484178670 339682516 /nfs/dbraw/zinc/68/25/16/339682516.db2.gz OFSPAZQNJJSFSG-LLVKDONJSA-N 0 3 310.228 4.151 20 0 DIADHN C[C@H](N[C@H]1CCN(c2cccc(Cl)c2)C1)c1ccsc1 ZINC000484288849 339683734 /nfs/dbraw/zinc/68/37/34/339683734.db2.gz FCWUVIDWBFRUDM-WFASDCNBSA-N 0 3 306.862 4.331 20 0 DIADHN CC[C@H](NCC1(CCO)CCCCC1)c1ccc(F)cc1F ZINC000484295289 339683975 /nfs/dbraw/zinc/68/39/75/339683975.db2.gz VSOJMPLLTTUCIK-KRWDZBQOSA-N 0 3 311.416 4.338 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1ccc(F)c(Br)c1 ZINC000484393969 339685912 /nfs/dbraw/zinc/68/59/12/339685912.db2.gz GXFUUGRHIZAREH-ZEGGKSINSA-N 0 3 318.255 4.133 20 0 DIADHN C[C@H](NC[C@@H](CO)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000484479954 339687119 /nfs/dbraw/zinc/68/71/19/339687119.db2.gz ZWXCGOMYIUJAQY-JSGCOSHPSA-N 0 3 324.251 4.420 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccc(F)cc1OC(F)F ZINC000484482722 339687493 /nfs/dbraw/zinc/68/74/93/339687493.db2.gz KAILFNUZXVNING-NWDGAFQWSA-N 0 3 324.346 4.104 20 0 DIADHN C[C@@H](NC[C@@H](CO)c1ccccc1)c1cccc(Cl)c1Cl ZINC000484487583 339687591 /nfs/dbraw/zinc/68/75/91/339687591.db2.gz DLNGBDWMJPDUSJ-OCCSQVGLSA-N 0 3 324.251 4.420 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1ccccc1OC(F)(F)F ZINC000484603835 339690213 /nfs/dbraw/zinc/69/02/13/339690213.db2.gz JDGJTRBWDKDWCM-MNOVXSKESA-N 0 3 305.365 4.131 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2c(F)cccc2F)C1)c1ccc(F)cc1 ZINC000484621827 339690784 /nfs/dbraw/zinc/69/07/84/339690784.db2.gz RXXHJYGJXASWDR-DOMZBBRYSA-N 0 3 320.358 4.033 20 0 DIADHN c1coc(-c2noc(CN[C@@H]3CCCC[C@@H]3c3ccccc3)n2)c1 ZINC000484654817 339691775 /nfs/dbraw/zinc/69/17/75/339691775.db2.gz SAVFUFDPJDIZEI-HZPDHXFCSA-N 0 3 323.396 4.146 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)cn1)c1ccc(Cl)s1 ZINC000498479612 339707605 /nfs/dbraw/zinc/70/76/05/339707605.db2.gz AQEVOKFGXMNNMT-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN CO[C@H](CNCc1cc(F)cc(Cl)c1)c1ccc(F)cc1 ZINC000485693336 339709648 /nfs/dbraw/zinc/70/96/48/339709648.db2.gz HVODJXUHKACGDH-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN Fc1ccc2cc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)[nH]c2c1 ZINC000486708782 339732082 /nfs/dbraw/zinc/73/20/82/339732082.db2.gz KJUJGGSCEWAPBX-PMACEKPBSA-N 0 3 324.399 4.317 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H](OC)c1ccccc1 ZINC000486962293 339740388 /nfs/dbraw/zinc/74/03/88/339740388.db2.gz DBEMUOBTJVNDKB-KRWDZBQOSA-N 0 3 319.832 4.216 20 0 DIADHN C(=C\c1ccncc1)\CNCc1ccccc1OC1CCCC1 ZINC000486962567 339740392 /nfs/dbraw/zinc/74/03/92/339740392.db2.gz DXEIGACXMCOLFF-WAYWQWQTSA-N 0 3 308.425 4.206 20 0 DIADHN Clc1ccc(SCCNC/C=C\c2ccncc2)cc1 ZINC000486966460 339740633 /nfs/dbraw/zinc/74/06/33/339740633.db2.gz LLZJOWHQODFDGS-UPHRSURJSA-N 0 3 304.846 4.130 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2NCc1scnc1C1CC1 ZINC000486989673 339741790 /nfs/dbraw/zinc/74/17/90/339741790.db2.gz NHBFSVXFAKYCPG-CQSZACIVSA-N 0 3 304.846 4.451 20 0 DIADHN Clc1ccc(CCNCc2cnc(-c3ccco3)s2)cc1 ZINC000486991651 339741954 /nfs/dbraw/zinc/74/19/54/339741954.db2.gz XDAJYCHNJCSAEN-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN Cc1cscc1CNCc1c[nH]nc1-c1cccc(Cl)c1 ZINC000487188244 339745728 /nfs/dbraw/zinc/74/57/28/339745728.db2.gz NMHBJJGDSGYGKS-UHFFFAOYSA-N 0 3 317.845 4.390 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1cccc(OC(F)(F)F)c1 ZINC000487318236 339750944 /nfs/dbraw/zinc/75/09/44/339750944.db2.gz FJDBSKUVFPNQPI-DHCBQETCSA-N 0 3 322.330 4.344 20 0 DIADHN CCOc1c(Cl)cccc1CNCC[C@@H]1CCO[C@@H](C)C1 ZINC000487533388 339755847 /nfs/dbraw/zinc/75/58/47/339755847.db2.gz DUFRSWNXNHWZCU-UONOGXRCSA-N 0 3 311.853 4.034 20 0 DIADHN FC(F)(F)[C@@H](CNC/C=C/c1ccncc1)c1ccccc1 ZINC000487558972 339757229 /nfs/dbraw/zinc/75/72/29/339757229.db2.gz ZKUBSUFKVJDKOY-APHBUQMISA-N 0 3 306.331 4.031 20 0 DIADHN FC(F)(F)[C@@H](CNC/C=C\c1ccncc1)c1ccccc1 ZINC000487558975 339757348 /nfs/dbraw/zinc/75/73/48/339757348.db2.gz ZKUBSUFKVJDKOY-AWFCHZOYSA-N 0 3 306.331 4.031 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H](C)c1c(C)noc1C ZINC000487559807 339757382 /nfs/dbraw/zinc/75/73/82/339757382.db2.gz OSPPOMCZCWWRCT-LLVKDONJSA-N 0 3 322.836 4.237 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccccc2Br)CS1 ZINC000488414267 339780514 /nfs/dbraw/zinc/78/05/14/339780514.db2.gz NOTRCTXSHIBCIM-JSGCOSHPSA-N 0 3 314.292 4.069 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CS[C@H](C(C)C)C2)cc1OC ZINC000488419677 339780884 /nfs/dbraw/zinc/78/08/84/339780884.db2.gz HLBMWCFJKKYAMN-YJBOKZPZSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)CS1 ZINC000488419164 339780887 /nfs/dbraw/zinc/78/08/87/339780887.db2.gz GNRCAMWPMCZNGQ-MHORFTMASA-N 0 3 323.477 4.166 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)CS1 ZINC000488419166 339780935 /nfs/dbraw/zinc/78/09/35/339780935.db2.gz GNRCAMWPMCZNGQ-XLAORIBOSA-N 0 3 323.477 4.166 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2nccc3ccccc32)CS1 ZINC000488424646 339781262 /nfs/dbraw/zinc/78/12/62/339781262.db2.gz YJHTZFFACNBRKP-YOEHRIQHSA-N 0 3 300.471 4.245 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H]3CS[C@H](C(C)C)C3)o2)cs1 ZINC000488428031 339781398 /nfs/dbraw/zinc/78/13/98/339781398.db2.gz CMFOQNNFHYPJDR-WBMJQRKESA-N 0 3 322.499 4.331 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H](c2ccccc2)[C@H]2CCCO2)CS1 ZINC000488428243 339781601 /nfs/dbraw/zinc/78/16/01/339781601.db2.gz HDQZELDRJFEWLH-XWTMOSNGSA-N 0 3 319.514 4.417 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2cccc(OC(F)F)c2)CS1 ZINC000488441958 339782495 /nfs/dbraw/zinc/78/24/95/339782495.db2.gz LTCKOHRXSLOIDJ-OCCSQVGLSA-N 0 3 315.429 4.298 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2C[C@@H]2C)c2ccccc2OC)cc1 ZINC000488447371 339782929 /nfs/dbraw/zinc/78/29/29/339782929.db2.gz IWXRLMXGQPFJNL-UVFQYZLESA-N 0 3 311.425 4.039 20 0 DIADHN CCCCCn1cc(CN[C@@H]2CS[C@@H](C(C)(C)C)C2)c(C)n1 ZINC000488456582 339783736 /nfs/dbraw/zinc/78/37/36/339783736.db2.gz HMFLABNDCRCTNG-DLBZAZTESA-N 0 3 323.550 4.392 20 0 DIADHN CCCCn1cc(CN[C@H]2CS[C@@H](C(C)(C)C)C2)c(C)n1 ZINC000488470713 339784524 /nfs/dbraw/zinc/78/45/24/339784524.db2.gz VJGCANDMHQXVRH-HZPDHXFCSA-N 0 3 309.523 4.001 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)C[C@@H]2C=CCCC2)c1 ZINC000488610944 339789324 /nfs/dbraw/zinc/78/93/24/339789324.db2.gz KHJIWHAOULTHEY-MRXNPFEDSA-N 0 3 300.446 4.213 20 0 DIADHN Cc1nc2ccccc2c(N2CCOC[C@@H](C3CCC3)C2)c1C ZINC000488720935 339791306 /nfs/dbraw/zinc/79/13/06/339791306.db2.gz QZZTUHDRGZRKBU-KRWDZBQOSA-N 0 3 310.441 4.105 20 0 DIADHN COC[C@H](NCCSCC(C)C)c1ccc(Cl)cc1 ZINC000488751624 339792464 /nfs/dbraw/zinc/79/24/64/339792464.db2.gz WSIIFOZOCGBSEI-HNNXBMFYSA-N 0 3 301.883 4.006 20 0 DIADHN CC[C@@H](NCC1(c2ccccc2OC)CC1)c1ccc(F)cn1 ZINC000490173098 339827740 /nfs/dbraw/zinc/82/77/40/339827740.db2.gz SKEKNYDDJRWYRV-MRXNPFEDSA-N 0 3 314.404 4.002 20 0 DIADHN COC[C@H](C)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000490183937 339828075 /nfs/dbraw/zinc/82/80/75/339828075.db2.gz DFKAIMJEOMKROT-RDTXWAMCSA-N 0 3 303.833 4.302 20 0 DIADHN c1cn(-c2ccccc2CNCc2ccc3c(c2)CCCC3)cn1 ZINC000490761670 339839690 /nfs/dbraw/zinc/83/96/90/339839690.db2.gz SQMUYRBRMUTWGM-UHFFFAOYSA-N 0 3 317.436 4.041 20 0 DIADHN Cc1ncc(CN[C@H](CSc2ccccc2)C(C)C)s1 ZINC000491061222 339847566 /nfs/dbraw/zinc/84/75/66/339847566.db2.gz QJMYSMZNKDKAOZ-MRXNPFEDSA-N 0 3 306.500 4.358 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1occc1C ZINC000491487701 339864013 /nfs/dbraw/zinc/86/40/13/339864013.db2.gz SSDIVDCFUQLIEP-FMIVXFBMSA-N 0 3 307.340 4.383 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2csc3ccccc23)c1 ZINC000491510444 339864893 /nfs/dbraw/zinc/86/48/93/339864893.db2.gz UJBCAUZKXWRREN-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CCCc1csc(CNC[C@@H]2CCCCC(F)(F)C2)n1 ZINC000491585467 339868006 /nfs/dbraw/zinc/86/80/06/339868006.db2.gz DCJMHXRNGPECOB-GFCCVEGCSA-N 0 3 302.434 4.401 20 0 DIADHN CC[C@@H](NCCCc1c[nH]c2ccccc12)c1ccc(F)cn1 ZINC000492122007 339892068 /nfs/dbraw/zinc/89/20/68/339892068.db2.gz IHPFKDGORKWRAY-QGZVFWFLSA-N 0 3 311.404 4.376 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](C)[C@H]2OCCc3sccc32)c1 ZINC000492191674 339893812 /nfs/dbraw/zinc/89/38/12/339893812.db2.gz BETAWLAVMRIDOI-KBXCAEBGSA-N 0 3 301.455 4.157 20 0 DIADHN OC1(CN[C@H](c2ccc(F)cc2)c2ccc(Cl)cc2)CCC1 ZINC000492269282 339895928 /nfs/dbraw/zinc/89/59/28/339895928.db2.gz LHFOIABDFYMURH-KRWDZBQOSA-N 0 3 319.807 4.073 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cn(C)nc1C(C)(C)C)CCC2 ZINC000492420227 339900239 /nfs/dbraw/zinc/90/02/39/339900239.db2.gz XROYRNWVWNHKDR-GOSISDBHSA-N 0 3 311.473 4.193 20 0 DIADHN Cc1sc2nc([C@H](C)N[C@@H]3CCCC3(C)C)nc(N)c2c1C ZINC000492938943 339912926 /nfs/dbraw/zinc/91/29/26/339912926.db2.gz WYJADQDPZMRHCG-CMPLNLGQSA-N 0 3 318.490 4.120 20 0 DIADHN CC[C@H](CCO)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493011707 339914900 /nfs/dbraw/zinc/91/49/00/339914900.db2.gz VEJMSHYZWMTSSB-MSOLQXFVSA-N 0 3 303.833 4.180 20 0 DIADHN CSCc1cc(F)ccc1CNC1CC(OC(C)(C)C)C1 ZINC000493145121 339918278 /nfs/dbraw/zinc/91/82/78/339918278.db2.gz GFPUAMWNVHDENT-UHFFFAOYSA-N 0 3 311.466 4.124 20 0 DIADHN CCC1(CN[C@H](c2cnn(C)c2)c2cccc(F)c2)CCCC1 ZINC000493307973 339922683 /nfs/dbraw/zinc/92/26/83/339922683.db2.gz XPNYMZXETRWYPM-SFHVURJKSA-N 0 3 315.436 4.209 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nc(-c2ccoc2)no1 ZINC000493573282 339928579 /nfs/dbraw/zinc/92/85/79/339928579.db2.gz OMHATZSUAMITEO-HIFRSBDPSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nc(-c2ccoc2)no1 ZINC000493573281 339928610 /nfs/dbraw/zinc/92/86/10/339928610.db2.gz OMHATZSUAMITEO-DZGCQCFKSA-N 0 3 303.406 4.024 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCN1CCC(C)CC1 ZINC000493576143 339928668 /nfs/dbraw/zinc/92/86/68/339928668.db2.gz WATKMFJPPSIXHW-HNNXBMFYSA-N 0 3 317.502 4.021 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H]1CCc2ccccc2OC1)C(C)C ZINC000493810476 339934015 /nfs/dbraw/zinc/93/40/15/339934015.db2.gz AGJBVAOSIIHVIL-HKUYNNGSSA-N 0 3 310.441 4.071 20 0 DIADHN CCO[C@@H](CCN[C@@H](COC)c1ccc(Cl)cc1)C(C)C ZINC000493909805 339936446 /nfs/dbraw/zinc/93/64/46/339936446.db2.gz XNEXZGABXAJYHC-IRXDYDNUSA-N 0 3 313.869 4.068 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000493917390 339936532 /nfs/dbraw/zinc/93/65/32/339936532.db2.gz QUCNVDAFHIRBKB-ZTNFWEORSA-N 0 3 311.425 4.266 20 0 DIADHN CC[C@@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccc(F)cn1 ZINC000493997715 339938399 /nfs/dbraw/zinc/93/83/99/339938399.db2.gz XYGDDMOIVLRZMX-JKSUJKDBSA-N 0 3 306.425 4.143 20 0 DIADHN CCCc1csc(CNC[C@@H]2CCCO[C@@H]2C(C)(C)C)n1 ZINC000494018911 339939063 /nfs/dbraw/zinc/93/90/63/339939063.db2.gz REZHZXNSRRCYJC-BBRMVZONSA-N 0 3 310.507 4.027 20 0 DIADHN Cc1ccc([C@@H](NCC(C)(C)C[C@H](C)O)c2ccccc2)cc1 ZINC000494021207 339939203 /nfs/dbraw/zinc/93/92/03/339939203.db2.gz UAEROVQYLRLPHN-PXNSSMCTSA-N 0 3 311.469 4.471 20 0 DIADHN CCCc1csc(CNCC2(Cc3ccc(F)cc3)CC2)n1 ZINC000494178135 339942721 /nfs/dbraw/zinc/94/27/21/339942721.db2.gz CGECULIWIBOCAP-UHFFFAOYSA-N 0 3 318.461 4.347 20 0 DIADHN Cc1cscc1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000494467093 339950377 /nfs/dbraw/zinc/95/03/77/339950377.db2.gz QPZZHRUXFHZJRL-YJBOKZPZSA-N 0 3 301.455 4.314 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000494601689 339954816 /nfs/dbraw/zinc/95/48/16/339954816.db2.gz GPFNHZUPWFPILW-ZJNRKIDTSA-N 0 3 305.368 4.392 20 0 DIADHN COc1ccc(C2(N[C@H](C)[C@H](OC)c3ccccc3)CC2)cc1 ZINC000494607403 339955030 /nfs/dbraw/zinc/95/50/30/339955030.db2.gz FCYHNMVBSSZFKJ-BEFAXECRSA-N 0 3 311.425 4.050 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1cc(Br)cs1 ZINC000494783196 339959971 /nfs/dbraw/zinc/95/99/71/339959971.db2.gz FULFTAWDKGSDOS-GXSJLCMTSA-N 0 3 318.280 4.119 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2C)C2CCCC2)ccn1 ZINC000494917139 339964648 /nfs/dbraw/zinc/96/46/48/339964648.db2.gz AHJKKBVIDMFBSV-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C(=O)N(C)C)cc1)c1cccs1 ZINC000494933729 339965628 /nfs/dbraw/zinc/96/56/28/339965628.db2.gz VUBVLWOQJGAMPV-BBRMVZONSA-N 0 3 316.470 4.252 20 0 DIADHN Clc1ccccc1C[C@@H](N[C@H]1CCOC1)c1cccs1 ZINC000494955928 339967056 /nfs/dbraw/zinc/96/70/56/339967056.db2.gz CWCRTMMMTRRUCF-DZGCQCFKSA-N 0 3 307.846 4.064 20 0 DIADHN Cc1cscc1CN[C@H](C[C@@H]1CCOC1)c1ccc(F)cc1 ZINC000494978570 339968373 /nfs/dbraw/zinc/96/83/73/339968373.db2.gz JVHBTCKLNHIYAX-KBXCAEBGSA-N 0 3 319.445 4.453 20 0 DIADHN CCCc1cccc(CNCc2ccnc(SCC)c2)c1 ZINC000495167126 339977428 /nfs/dbraw/zinc/97/74/28/339977428.db2.gz LMOYRMWIFUNRMF-UHFFFAOYSA-N 0 3 300.471 4.436 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N[C@H](C)[C@H](C)c2ccccc2)c(C)c1 ZINC000495407669 339983186 /nfs/dbraw/zinc/98/31/86/339983186.db2.gz JOILTHCCHUGSAK-KSZLIROESA-N 0 3 324.468 4.412 20 0 DIADHN c1nc(CN[C@@H](Cc2ccccc2)C2CC2)oc1-c1ccccc1 ZINC000495490240 339985905 /nfs/dbraw/zinc/98/59/05/339985905.db2.gz DPJRTFRPFWGWSV-IBGZPJMESA-N 0 3 318.420 4.453 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(OCc2cccnc2)cc1 ZINC000496204904 340000554 /nfs/dbraw/zinc/00/05/54/340000554.db2.gz RBSDTAZXMCYRDU-UHFFFAOYSA-N 0 3 318.420 4.293 20 0 DIADHN COc1ccccc1CN[C@H](C)[C@@H](OC)c1ccccc1Cl ZINC000497094537 340020148 /nfs/dbraw/zinc/02/01/48/340020148.db2.gz DYKRPNWCHGRTSS-FZKQIMNGSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)[C@@H](NCCc1cc2ccccc2o1)c1ccc(F)cn1 ZINC000498773571 340023074 /nfs/dbraw/zinc/02/30/74/340023074.db2.gz KAVNVYKWWWPWOQ-LJQANCHMSA-N 0 3 312.388 4.496 20 0 DIADHN Cc1noc(CCN[C@H](c2cc3ccccc3o2)C(C)(C)C)n1 ZINC000498783175 340023126 /nfs/dbraw/zinc/02/31/26/340023126.db2.gz HEAMGHHVNKQEDV-QGZVFWFLSA-N 0 3 313.401 4.044 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN(Cc1cccc(O)c1)CC1CC1 ZINC000498901053 340025403 /nfs/dbraw/zinc/02/54/03/340025403.db2.gz LLNIZIYLRKQZOT-OXQOHEQNSA-N 0 3 317.473 4.200 20 0 DIADHN COC[C@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000498920599 340025961 /nfs/dbraw/zinc/02/59/61/340025961.db2.gz UTVUKWMUCJEUKF-ROUUACIJSA-N 0 3 315.388 4.332 20 0 DIADHN CCO[C@@H](CCN[C@@H](c1ccc(F)cn1)C(C)C)C1CCCC1 ZINC000498941982 340026603 /nfs/dbraw/zinc/02/66/03/340026603.db2.gz LHTVBEZORSSHSS-RBUKOAKNSA-N 0 3 322.468 4.493 20 0 DIADHN CC(C)[C@H](NCC(C)(C)Oc1ccccc1)c1ccc(F)cn1 ZINC000498939087 340026662 /nfs/dbraw/zinc/02/66/62/340026662.db2.gz FHNGKDQPKFKMMD-SFHVURJKSA-N 0 3 316.420 4.365 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1F)C1CCCCC1 ZINC000499054348 340028707 /nfs/dbraw/zinc/02/87/07/340028707.db2.gz JYEHIHDYQXCHSA-UHFFFAOYSA-N 0 3 315.436 4.166 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499071381 340029180 /nfs/dbraw/zinc/02/91/80/340029180.db2.gz UKMLYUHWUYKBJY-RDJZCZTQSA-N 0 3 311.429 4.279 20 0 DIADHN CC[C@](C)(NCCCCC1CCOCC1)c1nc(C)cs1 ZINC000499549282 340039200 /nfs/dbraw/zinc/03/92/00/340039200.db2.gz NYVWAUARLYWAGO-KRWDZBQOSA-N 0 3 310.507 4.263 20 0 DIADHN COc1ccc([C@H](NCc2cnc3ccccc3c2)C2CC2)cc1 ZINC000499608323 340040814 /nfs/dbraw/zinc/04/08/14/340040814.db2.gz DAJDMBUKRBCEAO-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN CCCN(CC(C)C)C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000499653236 340042266 /nfs/dbraw/zinc/04/22/66/340042266.db2.gz APQAGXBBZFDDLC-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN COc1cccc(C2(NCCCC(C)(C)C)CCOCC2)c1F ZINC000499735923 340043789 /nfs/dbraw/zinc/04/37/89/340043789.db2.gz WTACTZLFOMBEGA-UHFFFAOYSA-N 0 3 323.452 4.256 20 0 DIADHN CN(Cc1cnn(C)c1)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000499904199 340046810 /nfs/dbraw/zinc/04/68/10/340046810.db2.gz AFJWIRGWOSLDAV-SFHVURJKSA-N 0 3 317.864 4.437 20 0 DIADHN CN(Cc1cnc[nH]1)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000499914026 340046987 /nfs/dbraw/zinc/04/69/87/340046987.db2.gz UCLCODIVNROFRP-QGZVFWFLSA-N 0 3 303.837 4.426 20 0 DIADHN C[C@H](CCc1ccccc1)N[C@H](CO)c1cccc(Cl)c1F ZINC000499957962 340047550 /nfs/dbraw/zinc/04/75/50/340047550.db2.gz UXSCTVPGFJWCMZ-CXAGYDPISA-N 0 3 321.823 4.123 20 0 DIADHN C[C@@H](CCc1ccccc1)N[C@H](CO)c1cccc(Cl)c1F ZINC000499957965 340047603 /nfs/dbraw/zinc/04/76/03/340047603.db2.gz UXSCTVPGFJWCMZ-SUMWQHHRSA-N 0 3 321.823 4.123 20 0 DIADHN C[C@H](CN(C)Cc1cccn1C)c1ccc(C(F)(F)F)cc1 ZINC000500132664 340050956 /nfs/dbraw/zinc/05/09/56/340050956.db2.gz ZVUXQWNUZKTXEL-CYBMUJFWSA-N 0 3 310.363 4.279 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCOCC2CC2)CC1 ZINC000500149967 340051346 /nfs/dbraw/zinc/05/13/46/340051346.db2.gz CMSSRYOMNIQRQX-UHFFFAOYSA-N 0 3 311.828 4.027 20 0 DIADHN CCOc1ccccc1CN1CCC[C@@H](Oc2ccccc2)C1 ZINC000500208543 340053072 /nfs/dbraw/zinc/05/30/72/340053072.db2.gz CUHLEMQBGMKKTQ-LJQANCHMSA-N 0 3 311.425 4.129 20 0 DIADHN COCc1ccc(CN[C@H](C)Cc2ccc(C(C)C)cc2)o1 ZINC000500227821 340053509 /nfs/dbraw/zinc/05/35/09/340053509.db2.gz IEHXMXGCECJQHL-OAHLLOKOSA-N 0 3 301.430 4.270 20 0 DIADHN CCOC[C@H](C)NC/C(C)=C\c1ccccc1C(F)(F)F ZINC000500356783 340055630 /nfs/dbraw/zinc/05/56/30/340055630.db2.gz JKLZZASPZMKBNF-SUIFULHWSA-N 0 3 301.352 4.123 20 0 DIADHN COc1ccc(CN[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)cc1 ZINC000500394986 340056512 /nfs/dbraw/zinc/05/65/12/340056512.db2.gz GEZFJKZUZRCZCG-UGSOOPFHSA-N 0 3 301.817 4.374 20 0 DIADHN FC1(F)CCC(CNCc2coc(-c3ccccc3)n2)CC1 ZINC000500436112 340058703 /nfs/dbraw/zinc/05/87/03/340058703.db2.gz ZZANCLMLJCXRMN-UHFFFAOYSA-N 0 3 306.356 4.257 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)N[C@@H](C)c1ccc(Cl)cc1 ZINC000500452086 340059176 /nfs/dbraw/zinc/05/91/76/340059176.db2.gz AYIQVFBTOPAADP-WMLDXEAASA-N 0 3 322.880 4.028 20 0 DIADHN Fc1cc(CN[C@@H]2CCCC[C@H]2OC(F)F)ccc1Cl ZINC000500477869 340059215 /nfs/dbraw/zinc/05/92/15/340059215.db2.gz ZUNRXIKVQWZHIB-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCC[C@@H]1CC(C)C ZINC000500479900 340060253 /nfs/dbraw/zinc/06/02/53/340060253.db2.gz QGJVCWPBGRNCQF-GOSISDBHSA-N 0 3 316.489 4.260 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccc(-c2ccccc2)o1 ZINC000500497313 340060727 /nfs/dbraw/zinc/06/07/27/340060727.db2.gz LZVCLTFQIZUWSY-GDBMZVCRSA-N 0 3 307.340 4.197 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H]2CCCC[C@H]2OC(F)F)s1 ZINC000500501998 340060911 /nfs/dbraw/zinc/06/09/11/340060911.db2.gz WMPXBFNYDNDTOE-VXGBXAGGSA-N 0 3 318.433 4.081 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H](NCc2ncnn2C(C)(C)C)CC1 ZINC000500566015 340062597 /nfs/dbraw/zinc/06/25/97/340062597.db2.gz IQJGJLFQXJWOQL-LSDHHAIUSA-N 0 3 306.498 4.118 20 0 DIADHN CCC[C@@H](NCC[C@@H](OCC)C1CCCC1)c1ccccn1 ZINC000500615845 340063678 /nfs/dbraw/zinc/06/36/78/340063678.db2.gz JXBLQXZQWSTRQW-IEBWSBKVSA-N 0 3 304.478 4.498 20 0 DIADHN C[C@@H](COc1ccc(C(C)(C)C)cc1)N[C@@H](C)c1ccccn1 ZINC000500650844 340064537 /nfs/dbraw/zinc/06/45/37/340064537.db2.gz UXNWGTITDIAMIE-HOTGVXAUSA-N 0 3 312.457 4.497 20 0 DIADHN C[C@@H](CN1CCC[C@@H]1c1ncc[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000500979467 340071386 /nfs/dbraw/zinc/07/13/86/340071386.db2.gz BODPOCXKFVQBHO-SWLSCSKDSA-N 0 3 323.362 4.369 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1cccc(C(F)(F)F)c1C ZINC000501001255 340071834 /nfs/dbraw/zinc/07/18/34/340071834.db2.gz QWDPNUQXCCEYRQ-CQSZACIVSA-N 0 3 316.367 4.119 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1cccc(C(F)(F)F)c1C ZINC000501001254 340071875 /nfs/dbraw/zinc/07/18/75/340071875.db2.gz QWDPNUQXCCEYRQ-AWEZNQCLSA-N 0 3 316.367 4.119 20 0 DIADHN C[C@H](CCN[C@@H](C)c1cn(-c2ccccc2)nn1)c1ccccc1 ZINC000501007757 340072109 /nfs/dbraw/zinc/07/21/09/340072109.db2.gz ZWUJMXHXRVJECA-SJORKVTESA-N 0 3 320.440 4.112 20 0 DIADHN C[C@@H](CCN[C@@H](C)c1cn(-c2ccccc2)nn1)c1ccccc1 ZINC000501007756 340072198 /nfs/dbraw/zinc/07/21/98/340072198.db2.gz ZWUJMXHXRVJECA-IRXDYDNUSA-N 0 3 320.440 4.112 20 0 DIADHN C[C@@H](CN1CCOC2(CCC2)C1)c1ccc(C(F)(F)F)cc1 ZINC000501032531 340072815 /nfs/dbraw/zinc/07/28/15/340072815.db2.gz OJERTOVHBHXTJQ-ZDUSSCGKSA-N 0 3 313.363 4.064 20 0 DIADHN c1ccc2c(c1)CO[C@]21CCN(CCSC2CCCCC2)C1 ZINC000501051289 340073145 /nfs/dbraw/zinc/07/31/45/340073145.db2.gz IZFUDLKLDQJYMK-IBGZPJMESA-N 0 3 317.498 4.184 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000501376224 340079398 /nfs/dbraw/zinc/07/93/98/340079398.db2.gz DMTJJGPOBXJWFF-STTHAQSSSA-N 0 3 320.436 4.325 20 0 DIADHN CCOCc1ccc(CNCc2ccc(OC)cc2CC)cc1 ZINC000501378273 340079589 /nfs/dbraw/zinc/07/95/89/340079589.db2.gz PRVIYQFNCSGLLV-UHFFFAOYSA-N 0 3 313.441 4.084 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cccc(OC(F)F)c1 ZINC000501419597 340080484 /nfs/dbraw/zinc/08/04/84/340080484.db2.gz JQSSETCAIDIZBQ-UHFFFAOYSA-N 0 3 321.367 4.149 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@@H](c1nnc2ccccn21)C(C)C ZINC000501421627 340080637 /nfs/dbraw/zinc/08/06/37/340080637.db2.gz NMWNXYIKUXCWEN-XCTGESOMSA-N 0 3 320.440 4.120 20 0 DIADHN CC(C)OC[C@H](C)NCc1ccc(-c2nc3ccccc3[nH]2)cc1 ZINC000501438331 340081045 /nfs/dbraw/zinc/08/10/45/340081045.db2.gz SOUUUGFKAWQSTD-HNNXBMFYSA-N 0 3 323.440 4.133 20 0 DIADHN CCCc1ccccc1NC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000501494270 340082211 /nfs/dbraw/zinc/08/22/11/340082211.db2.gz HGZCORDCIXKESI-JKSUJKDBSA-N 0 3 317.477 4.024 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2ccccc2SC)c1 ZINC000501499817 340082226 /nfs/dbraw/zinc/08/22/26/340082226.db2.gz CENSHNQDDGXXHT-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN C[C@@H]1CCC[C@H]1NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000501520127 340082745 /nfs/dbraw/zinc/08/27/45/340082745.db2.gz LHDTUFJTHXXEGM-CRAIPNDOSA-N 0 3 308.425 4.070 20 0 DIADHN CCCn1nccc1C1CCN([C@H](C)c2ccccc2F)CC1 ZINC000501543737 340083236 /nfs/dbraw/zinc/08/32/36/340083236.db2.gz ABBLPPVUSAETDB-OAHLLOKOSA-N 0 3 315.436 4.373 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](C)[C@H](C)C3)o2)cc1C ZINC000501796354 340088974 /nfs/dbraw/zinc/08/89/74/340088974.db2.gz REAGKKLWRDASOH-BMFZPTHFSA-N 0 3 313.445 4.392 20 0 DIADHN C[C@@H](CN1CCO[C@H]2CCC[C@H]21)c1ccc(C(F)(F)F)cc1 ZINC000501896705 340090309 /nfs/dbraw/zinc/09/03/09/340090309.db2.gz AMBRZOKGVLGSOD-MAZHCROVSA-N 0 3 313.363 4.062 20 0 DIADHN CCC[C@H](C)N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000501898731 340090367 /nfs/dbraw/zinc/09/03/67/340090367.db2.gz ISMTUPZVDMWJAE-TVQRCGJNSA-N 0 3 309.759 4.170 20 0 DIADHN C[C@H]1CCC[C@H]1NCc1nc(-c2c(F)cccc2F)cs1 ZINC000501936784 340091007 /nfs/dbraw/zinc/09/10/07/340091007.db2.gz AZMOTSJSHLLEGG-GXFFZTMASA-N 0 3 308.397 4.367 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc2c(s1)CCC2)c1ccc(F)cc1 ZINC000502010840 340092892 /nfs/dbraw/zinc/09/28/92/340092892.db2.gz AHXCFFJAPMVCMJ-NWDGAFQWSA-N 0 3 304.434 4.225 20 0 DIADHN C[C@@H](NC1CC(c2ccccc2F)C1)c1nc2c(s1)CCC2 ZINC000502016586 340093047 /nfs/dbraw/zinc/09/30/47/340093047.db2.gz LCSFHCIBFMNMQG-PNESKVBLSA-N 0 3 316.445 4.368 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2CCC(C)(C)c3ccccc32)nn1C ZINC000502366268 340100814 /nfs/dbraw/zinc/10/08/14/340100814.db2.gz OATVSTSACZQCLU-HOTGVXAUSA-N 0 3 311.473 4.234 20 0 DIADHN COc1ccc(OC)c(CCCN[C@@H](C)c2cc(C)c(C)o2)c1 ZINC000502404381 340102075 /nfs/dbraw/zinc/10/20/75/340102075.db2.gz GKFZXRYSGZFKOL-AWEZNQCLSA-N 0 3 317.429 4.197 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)oc1C ZINC000502413160 340102462 /nfs/dbraw/zinc/10/24/62/340102462.db2.gz GIIZDBNFMHZPGC-SDDDUWNISA-N 0 3 321.367 4.355 20 0 DIADHN Cc1cc([C@H](C)NCC(c2ccccc2)c2ccccc2)nn1C ZINC000502413165 340102472 /nfs/dbraw/zinc/10/24/72/340102472.db2.gz GJHYWKNRNBNCTN-KRWDZBQOSA-N 0 3 319.452 4.211 20 0 DIADHN C[C@H](NCCc1cccc(F)c1F)c1cnn(C2CCCC2)c1 ZINC000502445340 340103790 /nfs/dbraw/zinc/10/37/90/340103790.db2.gz NCTGBBBXMYTESZ-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cnn(C2CCCC2)c1)Oc1ccccc1 ZINC000502446514 340103911 /nfs/dbraw/zinc/10/39/11/340103911.db2.gz QCAUXMATAFPWAC-HOTGVXAUSA-N 0 3 313.445 4.116 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2C[C@@H](C(C)C)c3ccccc32)nn1C ZINC000502449565 340104005 /nfs/dbraw/zinc/10/40/05/340104005.db2.gz YROQFTZJTZBFEA-BXWFABGCSA-N 0 3 311.473 4.306 20 0 DIADHN CS[C@H](CN[C@H](C)c1cnn(C2CCCC2)c1)C(C)(C)C ZINC000502457604 340104557 /nfs/dbraw/zinc/10/45/57/340104557.db2.gz APMMAQWVSSYEMY-CZUORRHYSA-N 0 3 309.523 4.427 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCN(c3c(F)cccc3F)C2)oc1C ZINC000502466129 340104920 /nfs/dbraw/zinc/10/49/20/340104920.db2.gz VYCXFXNNGYVRJM-OCCSQVGLSA-N 0 3 320.383 4.104 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1c(C)nc2ccccn21)c1cccc(C)c1 ZINC000502483551 340105639 /nfs/dbraw/zinc/10/56/39/340105639.db2.gz JDFMXNRFCYTWQC-UWJYYQICSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1c(C)nc2ccccn21)c1cccc(C)c1 ZINC000502483550 340105734 /nfs/dbraw/zinc/10/57/34/340105734.db2.gz JDFMXNRFCYTWQC-UTKZUKDTSA-N 0 3 319.452 4.366 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(F)cc1 ZINC000502490084 340105863 /nfs/dbraw/zinc/10/58/63/340105863.db2.gz WWKZPXLLDLWZDJ-YJBOKZPZSA-N 0 3 322.468 4.146 20 0 DIADHN C[C@H](NC[C@@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000502509687 340106387 /nfs/dbraw/zinc/10/63/87/340106387.db2.gz HCGDDJWVKQLHQY-NWDGAFQWSA-N 0 3 314.445 4.498 20 0 DIADHN C[C@H](NC[C@H]1CCCC(F)(F)C1)c1nc2c(s1)CCC2 ZINC000502509099 340106434 /nfs/dbraw/zinc/10/64/34/340106434.db2.gz LPLSDBLNXGGULO-QWRGUYRKSA-N 0 3 300.418 4.108 20 0 DIADHN C[C@@H](NC[C@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000502509686 340106484 /nfs/dbraw/zinc/10/64/84/340106484.db2.gz HCGDDJWVKQLHQY-NEPJUHHUSA-N 0 3 314.445 4.498 20 0 DIADHN CCSCCCN[C@@H](C)c1cc(Cl)c(OC)cc1OC ZINC000502593314 340108730 /nfs/dbraw/zinc/10/87/30/340108730.db2.gz BZXZNAJZCHVUFX-NSHDSACASA-N 0 3 317.882 4.151 20 0 DIADHN C[C@H](NCCCOC1CCCCC1)c1cnn(C2CCCC2)c1 ZINC000502686066 340110369 /nfs/dbraw/zinc/11/03/69/340110369.db2.gz SQNLNAYFGHYLMM-INIZCTEOSA-N 0 3 319.493 4.388 20 0 DIADHN Fc1ccc(Oc2ccc(CN[C@H]3CCC34CCC4)cn2)cc1 ZINC000502688528 340110559 /nfs/dbraw/zinc/11/05/59/340110559.db2.gz WVIBUJZSYRCQJV-KRWDZBQOSA-N 0 3 312.388 4.435 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1ccccc1C(C)C ZINC000502693035 340110831 /nfs/dbraw/zinc/11/08/31/340110831.db2.gz HDJPPPJEYXLZLI-MRXNPFEDSA-N 0 3 317.477 4.196 20 0 DIADHN Cc1nc(CCN2CCC[C@H]2c2ccccc2Cl)cs1 ZINC000502695925 340110978 /nfs/dbraw/zinc/11/09/78/340110978.db2.gz ZRUBHWQRZMXCCO-INIZCTEOSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CN2CCCC2)c2ccccc2)oc1C ZINC000502694996 340111008 /nfs/dbraw/zinc/11/10/08/340111008.db2.gz CJGVIPWBRXOQPF-APWZRJJASA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN([C@@H](C)c3ccccc3)C2)oc1C ZINC000502697536 340111141 /nfs/dbraw/zinc/11/11/41/340111141.db2.gz ARSFRRQXTZCSFW-BXWFABGCSA-N 0 3 312.457 4.383 20 0 DIADHN Cc1nc(CCN2CCC(Cc3cccc(F)c3)CC2)cs1 ZINC000502701032 340111455 /nfs/dbraw/zinc/11/14/55/340111455.db2.gz GQWSSSLJCZACEC-UHFFFAOYSA-N 0 3 318.461 4.088 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2cccs2)N2CCCC2)oc1C ZINC000502707476 340111641 /nfs/dbraw/zinc/11/16/41/340111641.db2.gz LUVHQVCPSNHQMP-GDBMZVCRSA-N 0 3 318.486 4.446 20 0 DIADHN Fc1ccc(Oc2ccnc(CN[C@@H]3CCC34CCC4)c2)cc1 ZINC000502709443 340111770 /nfs/dbraw/zinc/11/17/70/340111770.db2.gz QVLIMLIVCOKISL-GOSISDBHSA-N 0 3 312.388 4.435 20 0 DIADHN Cc1nc(CCN2CCC(Cc3ccc(F)cc3)CC2)cs1 ZINC000502716697 340112187 /nfs/dbraw/zinc/11/21/87/340112187.db2.gz QIOWUECMMYQWRF-UHFFFAOYSA-N 0 3 318.461 4.088 20 0 DIADHN COc1ccc(CCCN[C@@H](C)c2cc(C)c(C)o2)cc1OC ZINC000502725519 340112258 /nfs/dbraw/zinc/11/22/58/340112258.db2.gz XLUJKWRYBVBOMZ-AWEZNQCLSA-N 0 3 317.429 4.197 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](C)N(C)Cc2ccccc2)oc1C ZINC000502723715 340112281 /nfs/dbraw/zinc/11/22/81/340112281.db2.gz NSAKMGBYOMKFOU-JKSUJKDBSA-N 0 3 300.446 4.068 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cc(C)c(C)o1)c1ccco1 ZINC000502722741 340112353 /nfs/dbraw/zinc/11/23/53/340112353.db2.gz PKTKSOWWZOIXSC-ZBFHGGJFSA-N 0 3 304.434 4.223 20 0 DIADHN CCN(Cc1ccncc1)[C@H](C)c1cccc(Br)c1 ZINC000502732533 340112542 /nfs/dbraw/zinc/11/25/42/340112542.db2.gz ZJZBIEAOVZSHAX-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN Cc1nc(CCN2CCC[C@@](C)(c3ccccc3)C2)cs1 ZINC000502858113 340114897 /nfs/dbraw/zinc/11/48/97/340114897.db2.gz RPCAULXLQYFHRY-GOSISDBHSA-N 0 3 300.471 4.048 20 0 DIADHN Cc1nc(CCN2C[C@H](C)C[C@H]2c2cccc(F)c2)cs1 ZINC000502888187 340115710 /nfs/dbraw/zinc/11/57/10/340115710.db2.gz MIAIPGMFKXAYDZ-PXAZEXFGSA-N 0 3 304.434 4.216 20 0 DIADHN c1nc(CNC[C@H]2C[C@@H]2c2ccccc2)c(-c2ccccc2)o1 ZINC000503002793 340118391 /nfs/dbraw/zinc/11/83/91/340118391.db2.gz DMFRRCQIGFFYDK-QZTJIDSGSA-N 0 3 304.393 4.235 20 0 DIADHN CC(C)COC[C@H](NC[C@H]1C[C@@H]1c1ccccc1)c1ccco1 ZINC000503008418 340118578 /nfs/dbraw/zinc/11/85/78/340118578.db2.gz CPLZGFLVTHKOGN-QRVBRYPASA-N 0 3 313.441 4.387 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CC[C@@](F)(c3ccccc3C)C2)CCO1 ZINC000503101171 340121035 /nfs/dbraw/zinc/12/10/35/340121035.db2.gz HEMAIEYNLGTASB-SCTDSRPQSA-N 0 3 305.437 4.071 20 0 DIADHN CN(CCCOc1ccc2ccccc2c1)Cc1cccnc1 ZINC000503158333 340122215 /nfs/dbraw/zinc/12/22/15/340122215.db2.gz DCOOXXNOZJDCQK-UHFFFAOYSA-N 0 3 306.409 4.136 20 0 DIADHN CCCC[C@@H](C)C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000503317391 340125250 /nfs/dbraw/zinc/12/52/50/340125250.db2.gz CZXWYYITFIDOOT-CVEARBPZSA-N 0 3 304.478 4.058 20 0 DIADHN CCS[C@@H]1CCC[C@@H]1NCC(=O)Nc1ccccc1C(C)C ZINC000503523895 340129712 /nfs/dbraw/zinc/12/97/12/340129712.db2.gz SJQIFRMEDLGVNJ-DLBZAZTESA-N 0 3 320.502 4.012 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000503577436 340131329 /nfs/dbraw/zinc/13/13/29/340131329.db2.gz MFARNLAJPUEWTR-NHYWBVRUSA-N 0 3 301.352 4.030 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000503577437 340131359 /nfs/dbraw/zinc/13/13/59/340131359.db2.gz MFARNLAJPUEWTR-XHDPSFHLSA-N 0 3 301.352 4.030 20 0 DIADHN CCc1nc2ccccc2c(N2CC[C@@H](C3CCOCC3)C2)c1C ZINC000516593533 340133806 /nfs/dbraw/zinc/13/38/06/340133806.db2.gz MIRRTKMWAKWKKM-QGZVFWFLSA-N 0 3 324.468 4.359 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN1CCOC[C@H](C)C1 ZINC000503868341 340135398 /nfs/dbraw/zinc/13/53/98/340135398.db2.gz AWAVBNVNVYMFKJ-KADHNRKRSA-N 0 3 313.363 4.077 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccc2ccccc2n1 ZINC000503864948 340135432 /nfs/dbraw/zinc/13/54/32/340135432.db2.gz WPIXWWCCBUTKDC-JCURWCKSSA-N 0 3 320.383 4.436 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CC=C(c3ccc(F)cc3)CC2)no1 ZINC000503888786 340135915 /nfs/dbraw/zinc/13/59/15/340135915.db2.gz BUKGQTVDKPADIQ-DYVFJYSZSA-N 0 3 300.377 4.409 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCCC[C@H]1OC(F)F ZINC000503896037 340136013 /nfs/dbraw/zinc/13/60/13/340136013.db2.gz XXQUSYLXJTYQDB-JGGQBBKZSA-N 0 3 313.388 4.205 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cccc(CO)c1)c1ccc(F)cc1 ZINC000503906058 340136299 /nfs/dbraw/zinc/13/62/99/340136299.db2.gz YGIDULHCQQDGEX-LIRRHRJNSA-N 0 3 301.405 4.366 20 0 DIADHN Cc1ncccc1CN[C@@H](C)COc1ccc(C(C)(C)C)cc1 ZINC000504088157 340140622 /nfs/dbraw/zinc/14/06/22/340140622.db2.gz QIMLRRLKJFFHAP-HNNXBMFYSA-N 0 3 312.457 4.245 20 0 DIADHN CCCC1CCC(NC(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000504235767 340143628 /nfs/dbraw/zinc/14/36/28/340143628.db2.gz LLPFOGXBODXUHK-UHFFFAOYSA-N 0 3 317.477 4.229 20 0 DIADHN COc1ccc2c(c1)OC[C@H](N[C@@H](C)c1ccc(Cl)s1)C2 ZINC000504386765 340146749 /nfs/dbraw/zinc/14/67/49/340146749.db2.gz CFSPTCPLBAOTOU-CMPLNLGQSA-N 0 3 323.845 4.064 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CCc2ccccc2C)c1 ZINC000504393129 340146767 /nfs/dbraw/zinc/14/67/67/340146767.db2.gz CENVZMDSJXSVHV-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccccc3Cl)C2)cc1 ZINC000504477170 340148145 /nfs/dbraw/zinc/14/81/45/340148145.db2.gz LXAUWTKAEBVLBZ-HNNXBMFYSA-N 0 3 301.817 4.338 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](C)Oc2cccc(F)c2)ccc1F ZINC000504900042 340155322 /nfs/dbraw/zinc/15/53/22/340155322.db2.gz QFJWJWMGBYNJMK-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)COc2cccc(F)c2)ccc1F ZINC000504906087 340155620 /nfs/dbraw/zinc/15/56/20/340155620.db2.gz SPXKKVUDNCCHKN-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc2c(c1)OCCCO2 ZINC000504911599 340155882 /nfs/dbraw/zinc/15/58/82/340155882.db2.gz IVCDZDBDUUHMSU-CRAIPNDOSA-N 0 3 323.436 4.494 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCC[C@H]3CCCC[C@H]32)cc1 ZINC000505110548 340161790 /nfs/dbraw/zinc/16/17/90/340161790.db2.gz VPPGZGDQFGENSF-BHIYHBOVSA-N 0 3 314.473 4.293 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCC[C@H]3CCCC[C@@H]32)cc1 ZINC000505110549 340161890 /nfs/dbraw/zinc/16/18/90/340161890.db2.gz VPPGZGDQFGENSF-NZSAHSFTSA-N 0 3 314.473 4.293 20 0 DIADHN CC(C(=O)Nc1ccc2cccc(Cl)c2n1)C(F)(F)F ZINC000505446432 340168594 /nfs/dbraw/zinc/16/85/94/340168594.db2.gz SKIFKLMPLNONRU-SSDOTTSWSA-N 0 3 302.683 4.025 20 0 DIADHN CC(=O)Nc1ccc(CN(C)Cc2ccc(C(C)C)cc2)cc1 ZINC000505560501 340171062 /nfs/dbraw/zinc/17/10/62/340171062.db2.gz SUSQPSXJVNTXIB-UHFFFAOYSA-N 0 3 310.441 4.400 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000505597899 340172227 /nfs/dbraw/zinc/17/22/27/340172227.db2.gz WUZXRXDMQLEKOI-SUMDDJOVSA-N 0 3 304.478 4.010 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)CC1CCCCCC1 ZINC000505700932 340175250 /nfs/dbraw/zinc/17/52/50/340175250.db2.gz SMTOQEXELPLYEE-UHFFFAOYSA-N 0 3 324.415 4.196 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cnn(C(C)(C)C)c1)Cc1ccccc1 ZINC000505740852 340176397 /nfs/dbraw/zinc/17/63/97/340176397.db2.gz XSYFBUMWJFOSFZ-INIZCTEOSA-N 0 3 311.473 4.439 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC[C@@H](COc3ccccc3)C1)CC2 ZINC000505841158 340179217 /nfs/dbraw/zinc/17/92/17/340179217.db2.gz UHTWHZZUKRCJPV-QRWLVFNGSA-N 0 3 311.400 4.214 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC[C@H](COc3ccccc3)C1)CC2 ZINC000505841157 340179345 /nfs/dbraw/zinc/17/93/45/340179345.db2.gz UHTWHZZUKRCJPV-MGPUTAFESA-N 0 3 311.400 4.214 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN(C)C(C)C ZINC000505864323 340180092 /nfs/dbraw/zinc/18/00/92/340180092.db2.gz LCRFIINJCHUSAL-UHFFFAOYSA-N 0 3 305.853 4.042 20 0 DIADHN O[C@@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC000505867926 340180266 /nfs/dbraw/zinc/18/02/66/340180266.db2.gz JFINFWLHFQVFGC-CQSZACIVSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1cnc(CN2CCC[C@@H]2c2cccc3ccccc32)cn1 ZINC000505899031 340181077 /nfs/dbraw/zinc/18/10/77/340181077.db2.gz UEDGBFJILJPCED-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1cnc2ccccn12)\c1ccccc1 ZINC000505901626 340181232 /nfs/dbraw/zinc/18/12/32/340181232.db2.gz UMHRDSJBBBIOPA-YUIUBULTSA-N 0 3 303.409 4.012 20 0 DIADHN CC[C@H](C)CCC(=O)NCc1ccccc1CN1CCCCC1 ZINC000505908181 340181395 /nfs/dbraw/zinc/18/13/95/340181395.db2.gz URQRKDJSDXGQCU-KRWDZBQOSA-N 0 3 316.489 4.115 20 0 DIADHN COCCN(Cc1cccs1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC000506031349 340183701 /nfs/dbraw/zinc/18/37/01/340183701.db2.gz PEPXUFDRFISOPN-FUHWJXTLSA-N 0 3 301.455 4.000 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccc(C(F)(F)F)cc1 ZINC000506031654 340183846 /nfs/dbraw/zinc/18/38/46/340183846.db2.gz RDMAXLVJWGCYPO-MRXNPFEDSA-N 0 3 308.347 4.381 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(F)cc2C)cc1OC ZINC000506055776 340184547 /nfs/dbraw/zinc/18/45/47/340184547.db2.gz OFOFUJMZLZEMRC-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN Cc1ccsc1CN1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000506085839 340185240 /nfs/dbraw/zinc/18/52/40/340185240.db2.gz KKTYZKSJRBRYJP-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cccs2)c(OC)c1 ZINC000506099956 340185633 /nfs/dbraw/zinc/18/56/33/340185633.db2.gz SBDKISLFXZYITP-HNNXBMFYSA-N 0 3 303.427 4.102 20 0 DIADHN c1cnn(-c2cccc(CN3CCC[C@@H]3c3cccs3)c2)c1 ZINC000506099756 340185705 /nfs/dbraw/zinc/18/57/05/340185705.db2.gz PYVVPSPKJVADBS-QGZVFWFLSA-N 0 3 309.438 4.271 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cc1ccc(N2CCCC2)nc1 ZINC000506100764 340185820 /nfs/dbraw/zinc/18/58/20/340185820.db2.gz HUJINJGANWCMOD-GOSISDBHSA-N 0 3 323.484 4.483 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2cccs2)cc1OC ZINC000506114967 340186118 /nfs/dbraw/zinc/18/61/18/340186118.db2.gz WBVVHORKLYBVGV-HNNXBMFYSA-N 0 3 317.454 4.411 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)[C@@H]2CCSC2)o1 ZINC000506190461 340188028 /nfs/dbraw/zinc/18/80/28/340188028.db2.gz NCVIYPGJCUAZEU-CQSZACIVSA-N 0 3 305.418 4.331 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000506195265 340188303 /nfs/dbraw/zinc/18/83/03/340188303.db2.gz SITILUTYMTWPHA-HOTGVXAUSA-N 0 3 321.848 4.066 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCSC2)cc1OCCC(C)C ZINC000506202624 340188514 /nfs/dbraw/zinc/18/85/14/340188514.db2.gz JNEKTELXNNPVEN-INIZCTEOSA-N 0 3 323.502 4.057 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000506206738 340188589 /nfs/dbraw/zinc/18/85/89/340188589.db2.gz WCZVJJVVIFUHDR-SFHVURJKSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2cnc(-c3ccccn3)s2)C1 ZINC000506220241 340189042 /nfs/dbraw/zinc/18/90/42/340189042.db2.gz VGFFMJCFPMYOKB-KGLIPLIRSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2cnc(-c3ccccn3)s2)C1 ZINC000506220243 340189148 /nfs/dbraw/zinc/18/91/48/340189148.db2.gz VGFFMJCFPMYOKB-UONOGXRCSA-N 0 3 301.459 4.216 20 0 DIADHN COCCCOc1cccc(CN(C)Cc2cccc(C)c2)c1 ZINC000506232950 340189400 /nfs/dbraw/zinc/18/94/00/340189400.db2.gz MDOKDSOKKCQVCU-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN CCOc1cc(CN(C)[C@@H]2CCSC2)ccc1OCC(C)C ZINC000506234671 340189494 /nfs/dbraw/zinc/18/94/94/340189494.db2.gz SDOBRTRMALPJPP-MRXNPFEDSA-N 0 3 323.502 4.057 20 0 DIADHN Oc1ccc(C2=CCN(Cc3cc4ccccc4o3)CC2)cc1 ZINC000506245966 340189828 /nfs/dbraw/zinc/18/98/28/340189828.db2.gz DBXLUZWIHSURGA-UHFFFAOYSA-N 0 3 305.377 4.428 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H](C)C3CCCC3)n2)ccc1F ZINC000506255336 340190079 /nfs/dbraw/zinc/19/00/79/340190079.db2.gz RGLUQUCTXAKMDS-OLZOCXBDSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@@H](C)C3CCCC3)n2)ccc1F ZINC000506255337 340190144 /nfs/dbraw/zinc/19/01/44/340190144.db2.gz RGLUQUCTXAKMDS-QWHCGFSZSA-N 0 3 317.408 4.413 20 0 DIADHN CCOc1cc(CN(C)[C@@H](C)c2ccc(F)cc2)ccc1OC ZINC000506262132 340190368 /nfs/dbraw/zinc/19/03/68/340190368.db2.gz NQONAPFYYJBWNN-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN(C)C1CCCC1 ZINC000506280283 340190899 /nfs/dbraw/zinc/19/08/99/340190899.db2.gz HTIAIOPJTAKXHU-UHFFFAOYSA-N 0 3 311.473 4.231 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CCC[C@H]1c1ccccc1 ZINC000506278956 340190937 /nfs/dbraw/zinc/19/09/37/340190937.db2.gz DHHHJJGDLFELOH-IBGZPJMESA-N 0 3 303.409 4.414 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1CCC[C@H]1c1ccccc1 ZINC000506278956 340190938 /nfs/dbraw/zinc/19/09/38/340190938.db2.gz DHHHJJGDLFELOH-IBGZPJMESA-N 0 3 303.409 4.414 20 0 DIADHN COCCOc1ccc(CN(C)[C@@H](C)c2ccc(F)cc2)cc1 ZINC000506284423 340191180 /nfs/dbraw/zinc/19/11/80/340191180.db2.gz WPOVOGFZTLSEQC-HNNXBMFYSA-N 0 3 317.404 4.044 20 0 DIADHN CC(C)(C)c1cccc(OCCNCc2ccc3c[nH]nc3c2)c1 ZINC000506285762 340191201 /nfs/dbraw/zinc/19/12/01/340191201.db2.gz SBQKDRUSOXXNOE-UHFFFAOYSA-N 0 3 323.440 4.029 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2cccc3c2OCCCO3)cc1 ZINC000506296296 340191597 /nfs/dbraw/zinc/19/15/97/340191597.db2.gz XXXRMTHESCXXSX-GOSISDBHSA-N 0 3 309.409 4.185 20 0 DIADHN CCN(C)Cc1cn(C(C)(C)C)nc1-c1ccccc1Cl ZINC000506366882 340193361 /nfs/dbraw/zinc/19/33/61/340193361.db2.gz AFCQHDJIDGRDAG-UHFFFAOYSA-N 0 3 305.853 4.410 20 0 DIADHN CCN(Cc1nc2ccccc2[nH]1)[C@@H](C)Cc1ccc(OC)cc1 ZINC000506399687 340194145 /nfs/dbraw/zinc/19/41/45/340194145.db2.gz VGVOZQMMTGQWML-HNNXBMFYSA-N 0 3 323.440 4.025 20 0 DIADHN CN(Cc1ccc2c[nH]nc2c1)Cc1cccc2ccccc21 ZINC000506450051 340194944 /nfs/dbraw/zinc/19/49/44/340194944.db2.gz CTNXUPCKTPKLEX-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN Cc1cccc(C2(NCC(=O)Nc3ccccc3C)CCCC2)c1 ZINC000506463772 340195062 /nfs/dbraw/zinc/19/50/62/340195062.db2.gz RLMMRFBVDLDYMF-UHFFFAOYSA-N 0 3 322.452 4.301 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1cccc2c[nH]nc21 ZINC000506497493 340195734 /nfs/dbraw/zinc/19/57/34/340195734.db2.gz XQCVPPSZDVHXFE-UHFFFAOYSA-N 0 3 307.422 4.410 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2cccc(C)c2)cc1 ZINC000506619231 340197780 /nfs/dbraw/zinc/19/77/80/340197780.db2.gz VVZNXVWOQWJTPX-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2sccc2C)cc1OC ZINC000506620475 340197868 /nfs/dbraw/zinc/19/78/68/340197868.db2.gz XEHVJYIRXTYFBH-HNNXBMFYSA-N 0 3 317.454 4.411 20 0 DIADHN CCOc1ccc(CN(C)[C@@H]2CCc3ccccc32)cc1OC ZINC000506629411 340197991 /nfs/dbraw/zinc/19/79/91/340197991.db2.gz ASPUKHNPRVRWKH-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccccc2N(C)C)c1 ZINC000506645290 340198387 /nfs/dbraw/zinc/19/83/87/340198387.db2.gz HOGVVHVAAGRABA-FQEVSTJZSA-N 0 3 310.441 4.098 20 0 DIADHN Cc1cccc(CN2CCC(C(=O)c3ccccc3)CC2)c1C ZINC000506688866 340199597 /nfs/dbraw/zinc/19/95/97/340199597.db2.gz SKXWQLZCMOQIJD-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN CC(C)(CNCc1ccc(Br)cn1)C1=CCCC1 ZINC000308756140 340200721 /nfs/dbraw/zinc/20/07/21/340200721.db2.gz MXBDOLOZZAOSKH-UHFFFAOYSA-N 0 3 309.251 4.070 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC(C)(C)C3)n2)cc1F ZINC000506852588 340202854 /nfs/dbraw/zinc/20/28/54/340202854.db2.gz XLUDNGBFMIHRKJ-JSGCOSHPSA-N 0 3 317.408 4.413 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)Cc1cccs1 ZINC000506943581 340204034 /nfs/dbraw/zinc/20/40/34/340204034.db2.gz IGHJBXGQRZCXEX-RVDMUPIBSA-N 0 3 301.455 4.430 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)CCC(=O)Nc1ccccc1 ZINC000506945636 340204258 /nfs/dbraw/zinc/20/42/58/340204258.db2.gz IPLHTEKVFKIYTC-HNNXBMFYSA-N 0 3 322.408 4.454 20 0 DIADHN COc1ccc(OC)c([C@@H]2CCCN2Cc2ccsc2C)c1 ZINC000507020743 340205628 /nfs/dbraw/zinc/20/56/28/340205628.db2.gz HSNGIRDUDPELFH-KRWDZBQOSA-N 0 3 317.454 4.411 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CC[C@H](c2ccccc2)C1 ZINC000507085964 340206896 /nfs/dbraw/zinc/20/68/96/340206896.db2.gz NBCLWNPYFNICTN-SFHVURJKSA-N 0 3 303.409 4.066 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CC[C@H](c2ccccc2)C1 ZINC000507106339 340207654 /nfs/dbraw/zinc/20/76/54/340207654.db2.gz XWRPGEACXHPQCF-KRWDZBQOSA-N 0 3 321.449 4.195 20 0 DIADHN CN(Cc1cn(-c2ccccc2)nc1-c1ccccc1)C1CC1 ZINC000507133189 340208902 /nfs/dbraw/zinc/20/89/02/340208902.db2.gz SYEZFUJDULWHLW-UHFFFAOYSA-N 0 3 303.409 4.134 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@H]1c1cccc(C)c1 ZINC000507157844 340210129 /nfs/dbraw/zinc/21/01/29/340210129.db2.gz CIHTUWIPDGGSCR-FQEVSTJZSA-N 0 3 311.473 4.190 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1CC(C)C ZINC000507165221 340210504 /nfs/dbraw/zinc/21/05/04/340210504.db2.gz OIKHJDCVZNTULL-AWEZNQCLSA-N 0 3 312.251 4.251 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2cnn(-c3ccccc3)c2)C1 ZINC000507177763 340211355 /nfs/dbraw/zinc/21/13/55/340211355.db2.gz DMTUEBSKQGAKSQ-LJQANCHMSA-N 0 3 317.436 4.170 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N1CC[C@H](c2ccccc2C)C1 ZINC000507184521 340211695 /nfs/dbraw/zinc/21/16/95/340211695.db2.gz SLPRDMSYSPLMCL-MSOLQXFVSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nc(-c3ccccc3)no2)C1 ZINC000507183762 340211710 /nfs/dbraw/zinc/21/17/10/340211710.db2.gz QGFQWFACGFLFMS-KRWDZBQOSA-N 0 3 319.408 4.035 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000507232105 340214875 /nfs/dbraw/zinc/21/48/75/340214875.db2.gz RJRMGWGKKGMSJJ-GHMZBOCLSA-N 0 3 301.340 4.253 20 0 DIADHN C[C@H](NCC1(c2ccccn2)CCC1)c1ccc(F)c(F)c1 ZINC000507243970 340215254 /nfs/dbraw/zinc/21/52/54/340215254.db2.gz PEDVYFNASYUSDJ-ZDUSSCGKSA-N 0 3 302.368 4.132 20 0 DIADHN Fc1ccc(NC2CCN(Cc3cccc(F)c3)CC2)cc1 ZINC000507259671 340216000 /nfs/dbraw/zinc/21/60/00/340216000.db2.gz QSEAMKYOFZEYDE-UHFFFAOYSA-N 0 3 302.368 4.041 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1cnccn1)c1ccc(Cl)cc1 ZINC000507267385 340216289 /nfs/dbraw/zinc/21/62/89/340216289.db2.gz LVPVGYONEIBNMH-CZUORRHYSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccccc1-c1ccnn1C ZINC000507293829 340216704 /nfs/dbraw/zinc/21/67/04/340216704.db2.gz CASLPSGLTIEDQT-AWEZNQCLSA-N 0 3 311.454 4.342 20 0 DIADHN Cc1cccc(CN(Cc2cnn(CC(F)F)c2)CC(C)C)c1 ZINC000507402817 340218615 /nfs/dbraw/zinc/21/86/15/340218615.db2.gz LCNBNCLJTHHZBO-UHFFFAOYSA-N 0 3 321.415 4.115 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)cc(Cl)c21)[C@@H]1CCCOC1 ZINC000507421720 340218938 /nfs/dbraw/zinc/21/89/38/340218938.db2.gz AFNBYMAOSOWQNI-NVBFEUDRSA-N 0 3 314.256 4.385 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCOc3ccc(F)cc31)[C@@H](C)C2 ZINC000507431349 340219200 /nfs/dbraw/zinc/21/92/00/340219200.db2.gz VRTHNMDSORDQOS-CYFNAFFESA-N 0 3 311.400 4.481 20 0 DIADHN CC(C)CC(CC(C)C)N[C@@H](C(=O)NC1CC1)c1ccccc1 ZINC000507450074 340220231 /nfs/dbraw/zinc/22/02/31/340220231.db2.gz KFOHELDTQIPTCT-LJQANCHMSA-N 0 3 316.489 4.057 20 0 DIADHN CCc1nocc1CN(CCc1ccccc1F)C1CCCC1 ZINC000507460202 340220540 /nfs/dbraw/zinc/22/05/40/340220540.db2.gz MKKJLIOVOVSXKE-UHFFFAOYSA-N 0 3 316.420 4.363 20 0 DIADHN CCCCNC(=O)[C@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000507464612 340220813 /nfs/dbraw/zinc/22/08/13/340220813.db2.gz NYRPSFYQZQBTEF-CABCVRRESA-N 0 3 322.518 4.143 20 0 DIADHN CCCCNC(=O)[C@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000507464614 340220835 /nfs/dbraw/zinc/22/08/35/340220835.db2.gz NYRPSFYQZQBTEF-GJZGRUSLSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](CC)Oc1ccccc1F ZINC000507467105 340220949 /nfs/dbraw/zinc/22/09/49/340220949.db2.gz DVMUIPQRRDWBSA-GOEBONIOSA-N 0 3 316.420 4.291 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000507469801 340221167 /nfs/dbraw/zinc/22/11/67/340221167.db2.gz LFLGZSMWZKSQHI-KGLIPLIRSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000507473571 340221503 /nfs/dbraw/zinc/22/15/03/340221503.db2.gz VHVUJUWCJMMGOB-ABSDTBQOSA-N 0 3 323.484 4.130 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(c2ccccc2OC)CC1 ZINC000507488412 340222256 /nfs/dbraw/zinc/22/22/56/340222256.db2.gz UIRNRKUDFGUHRF-OAHLLOKOSA-N 0 3 310.441 4.035 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCOc1ccc(Cl)cc1 ZINC000507511128 340223485 /nfs/dbraw/zinc/22/34/85/340223485.db2.gz NXALQUKRHKHUPZ-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN Cc1noc([C@H]2CCCN(Cc3ccc(C4CCC4)cc3)C2)n1 ZINC000507513674 340223602 /nfs/dbraw/zinc/22/36/02/340223602.db2.gz XHVNMKVDQYZAAJ-SFHVURJKSA-N 0 3 311.429 4.025 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCOC2(CCCCC2)C1 ZINC000507515464 340223664 /nfs/dbraw/zinc/22/36/64/340223664.db2.gz UYZGQKMXPMEGEG-RDJZCZTQSA-N 0 3 302.462 4.177 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](C)COCc1ccccc1 ZINC000507517196 340223757 /nfs/dbraw/zinc/22/37/57/340223757.db2.gz YRQSVNCHUPUODI-IRXDYDNUSA-N 0 3 312.457 4.148 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@@H]2CCCC[C@@H]2C2CC2)n1 ZINC000507520529 340224026 /nfs/dbraw/zinc/22/40/26/340224026.db2.gz RCZOBSVMSAFMMC-WOJBJXKFSA-N 0 3 323.484 4.184 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCc1c[nH]c2ccccc12 ZINC000507521088 340224253 /nfs/dbraw/zinc/22/42/53/340224253.db2.gz VPDWMUMQPNTOOV-OAHLLOKOSA-N 0 3 307.441 4.409 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](CC)Oc1cccc(F)c1 ZINC000507531241 340224530 /nfs/dbraw/zinc/22/45/30/340224530.db2.gz LWURQMNBFHLIPO-YOEHRIQHSA-N 0 3 316.420 4.291 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccc(Cl)c(Cl)c1 ZINC000300413668 340225411 /nfs/dbraw/zinc/22/54/11/340225411.db2.gz FMBPJCQGTOQAEM-AMFBXLIHSA-N 0 3 300.229 4.212 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@H]1CCCN1Cc1cccc(Cl)c1Cl ZINC000300426013 340225775 /nfs/dbraw/zinc/22/57/75/340225775.db2.gz QMROYCAAXPIQJL-BPLDGKMQSA-N 0 3 314.256 4.119 20 0 DIADHN C[C@H](COc1ccc(C(C)(C)C)cc1)N[C@@H](C)c1ccncc1 ZINC000507619459 340226581 /nfs/dbraw/zinc/22/65/81/340226581.db2.gz HEGKQDNFCZBEQS-CVEARBPZSA-N 0 3 312.457 4.497 20 0 DIADHN CC(C)c1ncc(CN2CC[C@H](Cc3ccccc3)C2)s1 ZINC000507664757 340227625 /nfs/dbraw/zinc/22/76/25/340227625.db2.gz HEERGWODIKRDEI-MRXNPFEDSA-N 0 3 300.471 4.331 20 0 DIADHN CN(Cc1ccccc1)[C@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O ZINC000507686730 340228207 /nfs/dbraw/zinc/22/82/07/340228207.db2.gz HQUPDFHMHCMWJW-IRXDYDNUSA-N 0 3 322.235 4.084 20 0 DIADHN CC[C@H](C)N(CC(=O)N1CCCC[C@H]1CC)Cc1ccccc1 ZINC000507697831 340228591 /nfs/dbraw/zinc/22/85/91/340228591.db2.gz MBIOVURHVINEIL-PKOBYXMFSA-N 0 3 316.489 4.078 20 0 DIADHN c1ccc(OC[C@@H]2CCN(Cc3ccc4ncccc4c3)C2)cc1 ZINC000507713731 340229356 /nfs/dbraw/zinc/22/93/56/340229356.db2.gz LMIOWHCEXBGVHS-GOSISDBHSA-N 0 3 318.420 4.136 20 0 DIADHN CC[C@@H]1C[C@H](CN2CCc3c(F)cc(F)cc3[C@H]2C)CCO1 ZINC000507791807 340231679 /nfs/dbraw/zinc/23/16/79/340231679.db2.gz FWIWYSXZLZXUEU-UMVBOHGHSA-N 0 3 309.400 4.089 20 0 DIADHN CC[C@@H]1C[C@H](CN2CCc3c(F)cc(F)cc3[C@@H]2C)CCO1 ZINC000507791805 340231718 /nfs/dbraw/zinc/23/17/18/340231718.db2.gz FWIWYSXZLZXUEU-GZBFAFLISA-N 0 3 309.400 4.089 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2NC[C@@H]1CCCCO1 ZINC000507832002 340233605 /nfs/dbraw/zinc/23/36/05/340233605.db2.gz WFNXHUWRHVZTDF-XHDPSFHLSA-N 0 3 300.229 4.139 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NC[C@@H]1CCOc2ccccc21 ZINC000507849551 340234027 /nfs/dbraw/zinc/23/40/27/340234027.db2.gz CPTLFSBZJDICSW-YVEFUNNKSA-N 0 3 315.363 4.108 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1cccc2nccn21)\c1ccccc1 ZINC000507877725 340234851 /nfs/dbraw/zinc/23/48/51/340234851.db2.gz SUIRRNRDBORNJJ-JYBLJSLWSA-N 0 3 303.409 4.012 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1cnn2ccccc12)\c1ccccc1 ZINC000507882993 340235255 /nfs/dbraw/zinc/23/52/55/340235255.db2.gz SCXSLBOCFIUEKF-CVTTXWKISA-N 0 3 303.409 4.012 20 0 DIADHN CC(C(=O)N(CC1CCCCC1)c1ccccn1)C(F)(F)F ZINC000507969254 340236660 /nfs/dbraw/zinc/23/66/60/340236660.db2.gz RJRRZGGAFNFUHL-GFCCVEGCSA-N 0 3 314.351 4.193 20 0 DIADHN CC(C)CN(Cc1ccccc1OCc1ccccn1)C1CC1 ZINC000508014445 340237401 /nfs/dbraw/zinc/23/74/01/340237401.db2.gz TWTZUNRKOSZNTA-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN CCC(CC)N(CCOC)Cc1cnc(-c2ccc(C)o2)s1 ZINC000508562763 340246574 /nfs/dbraw/zinc/24/65/74/340246574.db2.gz GFQVCNZONNELNL-UHFFFAOYSA-N 0 3 322.474 4.349 20 0 DIADHN CCCCN(Cc1cccc(C(N)=O)c1)[C@H](C)c1ccccc1 ZINC000508573272 340246732 /nfs/dbraw/zinc/24/67/32/340246732.db2.gz YKKNWUXHGDTSQV-MRXNPFEDSA-N 0 3 310.441 4.149 20 0 DIADHN CCCCN(Cc1cccc(C(N)=O)c1)[C@@H](C)c1ccccc1 ZINC000508573270 340246765 /nfs/dbraw/zinc/24/67/65/340246765.db2.gz YKKNWUXHGDTSQV-INIZCTEOSA-N 0 3 310.441 4.149 20 0 DIADHN Cc1cc(Cl)cc(CN(C)[C@H](CCO)c2ccccc2)c1 ZINC000508594851 340247292 /nfs/dbraw/zinc/24/72/92/340247292.db2.gz LFSOAGHOPLCVLP-GOSISDBHSA-N 0 3 303.833 4.204 20 0 DIADHN CCCN(Cc1cnc2cnccn12)[C@H](CC)c1ccccc1 ZINC000508599003 340247378 /nfs/dbraw/zinc/24/73/78/340247378.db2.gz KGAFTOXOWSFOHO-GOSISDBHSA-N 0 3 308.429 4.093 20 0 DIADHN CC[C@H]1CN(C/C(C)=C/c2ccccc2COC)CCS1 ZINC000508631400 340248878 /nfs/dbraw/zinc/24/88/78/340248878.db2.gz KMTMVXIMHMOLTE-OTMARIDSSA-N 0 3 305.487 4.064 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)c2ccccc2)c(Cl)c1 ZINC000508652531 340249576 /nfs/dbraw/zinc/24/95/76/340249576.db2.gz CKSVIKVNIWMKIS-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1ccc(Sc2ccccn2)o1 ZINC000508686971 340250668 /nfs/dbraw/zinc/25/06/68/340250668.db2.gz ABOKPVZURPHEFI-CYBMUJFWSA-N 0 3 322.499 4.399 20 0 DIADHN CSCC[C@H](C)N(C)Cc1ccc(Sc2ccccn2)o1 ZINC000508686972 340250697 /nfs/dbraw/zinc/25/06/97/340250697.db2.gz ABOKPVZURPHEFI-ZDUSSCGKSA-N 0 3 322.499 4.399 20 0 DIADHN Cc1sccc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC000508720709 340251471 /nfs/dbraw/zinc/25/14/71/340251471.db2.gz SERKNFDAIRXDAE-UHFFFAOYSA-N 0 3 300.471 4.441 20 0 DIADHN c1c(CN[C@@H]2CCCC[C@@H]2c2ccccc2)nc2ccccn12 ZINC000508739041 340252144 /nfs/dbraw/zinc/25/21/44/340252144.db2.gz IXAJJLHZQCDQMB-RTBURBONSA-N 0 3 305.425 4.150 20 0 DIADHN CN(Cc1ccc2c(c1)OCO2)Cc1ccc2ccccc2c1 ZINC000508874983 340255004 /nfs/dbraw/zinc/25/50/04/340255004.db2.gz PLYPULZPLXWMLV-UHFFFAOYSA-N 0 3 305.377 4.201 20 0 DIADHN C[C@H](F)CCN[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC000508964240 340256549 /nfs/dbraw/zinc/25/65/49/340256549.db2.gz JWKLDHIENHKJQF-GJZGRUSLSA-N 0 3 314.404 4.338 20 0 DIADHN Cc1ccc(-c2csc(CN[C@@H](CCO)C(C)(C)C)n2)cc1 ZINC000508977640 340256837 /nfs/dbraw/zinc/25/68/37/340256837.db2.gz LBKQNKSGJUYXHC-INIZCTEOSA-N 0 3 318.486 4.005 20 0 DIADHN C[C@H](F)CCN[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000508991835 340257262 /nfs/dbraw/zinc/25/72/62/340257262.db2.gz RZETWQFYORQMSY-SUMWQHHRSA-N 0 3 306.812 4.357 20 0 DIADHN C[C@@H](F)CCN[C@H](COc1ccccc1F)c1ccccc1 ZINC000508991597 340257399 /nfs/dbraw/zinc/25/73/99/340257399.db2.gz OBLVQTQLFAZCQA-RHSMWYFYSA-N 0 3 305.368 4.284 20 0 DIADHN Cc1nocc1CN(CCc1cccc(F)c1)C1CCCC1 ZINC000509047986 340259410 /nfs/dbraw/zinc/25/94/10/340259410.db2.gz LMQQSZBWPPBBEO-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN CN(CCCOc1ccccc1)Cc1ccccc1OC(F)F ZINC000509058536 340259863 /nfs/dbraw/zinc/25/98/63/340259863.db2.gz UPNPTXMKVKWLAI-UHFFFAOYSA-N 0 3 321.367 4.189 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCOc1ccc2ccccc2c1 ZINC000509078990 340260603 /nfs/dbraw/zinc/26/06/03/340260603.db2.gz BNJLYSLFSLEUDX-MRXNPFEDSA-N 0 3 306.409 4.307 20 0 DIADHN Cc1nccc(CN2CCCC[C@@H]2CCCc2ccccc2)n1 ZINC000509099672 340261297 /nfs/dbraw/zinc/26/12/97/340261297.db2.gz YRQFPVAFYOLPLR-HXUWFJFHSA-N 0 3 309.457 4.162 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@H]1CCCC[C@H]1n1cccn1 ZINC000509128609 340261952 /nfs/dbraw/zinc/26/19/52/340261952.db2.gz WBCUTVVNLVHWCT-JKSUJKDBSA-N 0 3 323.362 4.175 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2o1)C1CCCCC1 ZINC000509277771 340265120 /nfs/dbraw/zinc/26/51/20/340265120.db2.gz DNBRCHKTUIFNPY-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000509293259 340265451 /nfs/dbraw/zinc/26/54/51/340265451.db2.gz HFMJKOHIBKOEKX-JTQLQIEISA-N 0 3 311.223 4.067 20 0 DIADHN CCCN(CCCCOC)Cc1ccccc1Br ZINC000509328110 340266362 /nfs/dbraw/zinc/26/63/62/340266362.db2.gz OTRWIZWYILTXDG-UHFFFAOYSA-N 0 3 314.267 4.088 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(F)c(C)c1 ZINC000509416307 340268883 /nfs/dbraw/zinc/26/88/83/340268883.db2.gz OPVVHYUPBNDQBQ-KRWDZBQOSA-N 0 3 303.352 4.059 20 0 DIADHN Brc1sccc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000509428375 340269197 /nfs/dbraw/zinc/26/91/97/340269197.db2.gz FFZYHTCZYZAWDH-KBPBESRZSA-N 0 3 322.271 4.404 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccc(-c2cnn(C)c2)s1 ZINC000509462520 340270322 /nfs/dbraw/zinc/27/03/22/340270322.db2.gz HTASVJMBZMJDDC-DJJJIMSYSA-N 0 3 323.465 4.172 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2OC1)c1ccc(F)c(F)c1 ZINC000509517083 340272059 /nfs/dbraw/zinc/27/20/59/340272059.db2.gz SFPJAKLHQWTYKS-SWLSCSKDSA-N 0 3 303.352 4.009 20 0 DIADHN CCN(C/C=C/c1ccccc1OC)[C@H](C)c1cccc(O)c1 ZINC000509537525 340272738 /nfs/dbraw/zinc/27/27/38/340272738.db2.gz CSDBHNKEWINTHD-YCABEKBOSA-N 0 3 311.425 4.497 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCCCc1ccccc1 ZINC000509564289 340273575 /nfs/dbraw/zinc/27/35/75/340273575.db2.gz MGTSPMRLZUGGQR-UHFFFAOYSA-N 0 3 313.470 4.159 20 0 DIADHN Cc1cc(F)ccc1CNCc1cccc(OCC(C)C)c1 ZINC000509622836 340274947 /nfs/dbraw/zinc/27/49/47/340274947.db2.gz WVCYLVVKOYDHCY-UHFFFAOYSA-N 0 3 301.405 4.459 20 0 DIADHN C[C@H]1CC[C@H](C)N(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509650947 340275682 /nfs/dbraw/zinc/27/56/82/340275682.db2.gz IUSFKTBDOUVJRP-QWRGUYRKSA-N 0 3 323.234 4.211 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccccc1OC ZINC000509657972 340276028 /nfs/dbraw/zinc/27/60/28/340276028.db2.gz LZRGOZMUWSGBNX-FOWTUZBSSA-N 0 3 311.425 4.035 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cc(C)no2)c2ccccc12 ZINC000509814471 340279981 /nfs/dbraw/zinc/27/99/81/340279981.db2.gz KTJKGINTMVUSSD-GOSISDBHSA-N 0 3 322.408 4.482 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@@H](C)C[C@H](C)C3)cs2)cc1 ZINC000302742754 340280924 /nfs/dbraw/zinc/28/09/24/340280924.db2.gz STRUJQDBDHNJKU-KBPBESRZSA-N 0 3 316.470 4.297 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCOc2ccccc2F)cc1 ZINC000302743854 340281001 /nfs/dbraw/zinc/28/10/01/340281001.db2.gz NSLQDFZZVLRTOF-SFHVURJKSA-N 0 3 315.388 4.050 20 0 DIADHN CCCN(Cc1nc2cc(Br)ccc2o1)CC1CC1 ZINC000509848896 340281514 /nfs/dbraw/zinc/28/15/14/340281514.db2.gz WIQYZNRIORSAGV-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN CCOC1CC(CN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)C1 ZINC000509850299 340281532 /nfs/dbraw/zinc/28/15/32/340281532.db2.gz FUUNIDZWRONGOM-VDEYCQILSA-N 0 3 303.446 4.183 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000510079796 340287690 /nfs/dbraw/zinc/28/76/90/340287690.db2.gz UJPNNMSSWDKAGG-DHZHZOJOSA-N 0 3 315.457 4.001 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN(C)[C@H]1CCCOC1 ZINC000510136630 340290284 /nfs/dbraw/zinc/29/02/84/340290284.db2.gz XMPYFOBEWUWPQM-KRWDZBQOSA-N 0 3 313.441 4.238 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](c3ccccc3)C2)cc1 ZINC000302970635 340291539 /nfs/dbraw/zinc/29/15/39/340291539.db2.gz IJWXSPJETYZGNF-VQIMIIECSA-N 0 3 322.452 4.066 20 0 DIADHN Cc1ccsc1CN1CCC(C(=O)c2ccc(C)cc2)CC1 ZINC000510181157 340291826 /nfs/dbraw/zinc/29/18/26/340291826.db2.gz ANYWHZNBGBVWEG-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN COc1ccccc1[C@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC000510187024 340291859 /nfs/dbraw/zinc/29/18/59/340291859.db2.gz VTQZIOLDVOYJGM-SZZPACECSA-N 0 3 303.352 4.337 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1ccc(C)cn1 ZINC000510197283 340292269 /nfs/dbraw/zinc/29/22/69/340292269.db2.gz YMVOPUGTEXNPLS-QFBILLFUSA-N 0 3 310.441 4.372 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cc(C)nc3ccccc23)c1 ZINC000510222462 340293040 /nfs/dbraw/zinc/29/30/40/340293040.db2.gz LNFZISJXMMDYBO-UHFFFAOYSA-N 0 3 320.436 4.362 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1cncc(C)c1 ZINC000510267407 340294694 /nfs/dbraw/zinc/29/46/94/340294694.db2.gz LFIMOQYULXJLJU-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN C[C@H](NC(C)(C)CNCc1c(F)cccc1F)c1ccccc1 ZINC000510295483 340295318 /nfs/dbraw/zinc/29/53/18/340295318.db2.gz MHDVCCAYVILQNF-AWEZNQCLSA-N 0 3 318.411 4.184 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@H](C)CN2CCC[C@H](C)C2)cc1 ZINC000510377511 340297333 /nfs/dbraw/zinc/29/73/33/340297333.db2.gz MPILCYRAXGIXSH-JKSUJKDBSA-N 0 3 317.477 4.052 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC[C@@H](n3ncc4ccccc43)C1)CC2 ZINC000510423958 340299009 /nfs/dbraw/zinc/29/90/09/340299009.db2.gz IGJQQUSLTSOCJC-XLIONFOSSA-N 0 3 321.399 4.110 20 0 DIADHN CN(Cc1cc2ccccc2nc1N1CCCCC1)CC1CC1 ZINC000510445781 340299723 /nfs/dbraw/zinc/29/97/23/340299723.db2.gz GIKULSKXEAAPQN-UHFFFAOYSA-N 0 3 309.457 4.067 20 0 DIADHN Cc1ccc(C(=O)N[C@H](C)[C@H](c2ccccc2C)N(C)C)c(C)c1 ZINC000510527655 340301853 /nfs/dbraw/zinc/30/18/53/340301853.db2.gz UFHROQSNBQTQBL-YLJYHZDGSA-N 0 3 324.468 4.033 20 0 DIADHN CCC1CCN(Cc2ccc(OCc3ccncc3)cc2)CC1 ZINC000510624838 340304233 /nfs/dbraw/zinc/30/42/33/340304233.db2.gz MYBBVXIUACMTSN-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1coc(-c2ccccc2)n1 ZINC000510658966 340305533 /nfs/dbraw/zinc/30/55/33/340305533.db2.gz ACKXILCFZIEREE-WMLDXEAASA-N 0 3 302.393 4.350 20 0 DIADHN Cc1cnc([C@@H](C)N[C@@H](C)CCc2c(F)cccc2F)s1 ZINC000510665682 340305620 /nfs/dbraw/zinc/30/56/20/340305620.db2.gz COZCZXZONLVTGI-CMPLNLGQSA-N 0 3 310.413 4.402 20 0 DIADHN CC(C)(C)n1cc(CN(C2CC2)[C@@H]2CCc3ccccc32)cn1 ZINC000510662498 340305706 /nfs/dbraw/zinc/30/57/06/340305706.db2.gz LTBBVMIVNLDNMK-LJQANCHMSA-N 0 3 309.457 4.290 20 0 DIADHN COC(C)(C)CN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510672488 340305955 /nfs/dbraw/zinc/30/59/55/340305955.db2.gz VHPYUQFMOZTQKG-SFHVURJKSA-N 0 3 301.405 4.238 20 0 DIADHN CCC1CCN(Cc2ccccc2OCc2ccccn2)CC1 ZINC000510675322 340306109 /nfs/dbraw/zinc/30/61/09/340306109.db2.gz POFNQTDGJPVVJO-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(-n2nc(CN[C@H]3CC[C@@H]3C3CCC3)c3c2CCC3)cc1 ZINC000510685278 340306634 /nfs/dbraw/zinc/30/66/34/340306634.db2.gz KMSDAXUYLIUTNW-MJGOQNOKSA-N 0 3 321.468 4.029 20 0 DIADHN COC[C@H](C)CN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510702212 340307321 /nfs/dbraw/zinc/30/73/21/340307321.db2.gz JIQDIFAPUTVJAA-DNVCBOLYSA-N 0 3 301.405 4.096 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1coc(-c2cccs2)n1 ZINC000510708105 340307444 /nfs/dbraw/zinc/30/74/44/340307444.db2.gz ZRQWJPRZEXSWOX-JSGCOSHPSA-N 0 3 308.422 4.411 20 0 DIADHN Cc1nccn1CCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510729384 340308526 /nfs/dbraw/zinc/30/85/26/340308526.db2.gz DCDNFQQPGBSBKS-HXUWFJFHSA-N 0 3 323.415 4.018 20 0 DIADHN Cc1cccc([C@@H](NCCc2ccccn2)c2cccc(F)c2)c1 ZINC000510733907 340308587 /nfs/dbraw/zinc/30/85/87/340308587.db2.gz KMTMPVBRLDPHCE-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN CC[C@H](CCO)CN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510739653 340308979 /nfs/dbraw/zinc/30/89/79/340308979.db2.gz TYZBBGUJVQNQLU-UZLBHIALSA-N 0 3 315.432 4.222 20 0 DIADHN Cc1cccc([C@@H](NC[C@H]2CCCOC2)c2cccc(F)c2)c1 ZINC000510739730 340309127 /nfs/dbraw/zinc/30/91/27/340309127.db2.gz YDPSXKBEGQQBND-OXQOHEQNSA-N 0 3 313.416 4.240 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCC[C@H]1C1CCC1 ZINC000303176414 340309543 /nfs/dbraw/zinc/30/95/43/340309543.db2.gz JPMGQSFANNKYTP-HNNXBMFYSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCC[C@@H]1C1CCC1 ZINC000303176418 340309599 /nfs/dbraw/zinc/30/95/99/340309599.db2.gz JPMGQSFANNKYTP-OAHLLOKOSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1cc(CN[C@H](C)CCCOc2ccc(Cl)c(C)c2)on1 ZINC000510753299 340309753 /nfs/dbraw/zinc/30/97/53/340309753.db2.gz QXLCTDFROCXDMT-CYBMUJFWSA-N 0 3 322.836 4.282 20 0 DIADHN CCSc1cccc(NC(=O)[C@H](C)N2CCCCCC2)c1 ZINC000510753594 340309764 /nfs/dbraw/zinc/30/97/64/340309764.db2.gz WWNVWCIQAVXHTC-AWEZNQCLSA-N 0 3 306.475 4.002 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCSC2)c2cccc(F)c2)c1 ZINC000510756220 340309846 /nfs/dbraw/zinc/30/98/46/340309846.db2.gz QLSABSWAFNEGJQ-MSOLQXFVSA-N 0 3 301.430 4.319 20 0 DIADHN CC(C)N1CCC[C@@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000510765611 340310276 /nfs/dbraw/zinc/31/02/76/340310276.db2.gz SASAROMYZLWQLJ-HNNXBMFYSA-N 0 3 305.466 4.170 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)C(=O)[C@@H](C)N1CCCCCC1 ZINC000510772252 340310457 /nfs/dbraw/zinc/31/04/57/340310457.db2.gz FKHANVIKOSEDFX-IEBWSBKVSA-N 0 3 316.489 4.107 20 0 DIADHN COc1cccc(CNCC(C)(C)c2ccccc2C)c1OC ZINC000303186204 340310828 /nfs/dbraw/zinc/31/08/28/340310828.db2.gz YIHQFIPXCVRKBG-UHFFFAOYSA-N 0 3 313.441 4.080 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2nc3cc(Br)ccc3o2)C1 ZINC000510790403 340311049 /nfs/dbraw/zinc/31/10/49/340311049.db2.gz HIPDSSLYOQJCSO-JQWIXIFHSA-N 0 3 323.234 4.211 20 0 DIADHN CCCNC(=O)CN[C@@H](c1ccc([C@H](C)CC)cc1)C(C)C ZINC000510789894 340311110 /nfs/dbraw/zinc/31/11/10/340311110.db2.gz CTICVNFBDYGSPL-DNVCBOLYSA-N 0 3 304.478 4.013 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@H](c1ccccc1)c1ccncc1 ZINC000510824635 340312093 /nfs/dbraw/zinc/31/20/93/340312093.db2.gz CYRFXEKJNJVICD-HRAATJIYSA-N 0 3 318.420 4.097 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)c1cccc(O)c1 ZINC000510886697 340313814 /nfs/dbraw/zinc/31/38/14/340313814.db2.gz HWXOLVNGBREPGT-RBFDBLARSA-N 0 3 311.425 4.293 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@@H](C)c2ccsc2)C1 ZINC000510926778 340315078 /nfs/dbraw/zinc/31/50/78/340315078.db2.gz FBNITIMBPOTUBK-DAYGRLMNSA-N 0 3 300.471 4.234 20 0 DIADHN C[C@H]1C[C@@H](N[C@@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000510929977 340315258 /nfs/dbraw/zinc/31/52/58/340315258.db2.gz PVDGHIBGZVIQPH-NJZAAPMLSA-N 0 3 311.516 4.204 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCc2cc(F)ccc21 ZINC000510935105 340315477 /nfs/dbraw/zinc/31/54/77/340315477.db2.gz RHZTXMQNHYSCFH-MSOLQXFVSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1cccc([C@H](NCCOCC(F)F)c2cccc(F)c2)c1 ZINC000510945419 340315896 /nfs/dbraw/zinc/31/58/96/340315896.db2.gz BZHJZSBUAHRWGU-SFHVURJKSA-N 0 3 323.358 4.095 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCc2c1cccc2C ZINC000510957645 340316218 /nfs/dbraw/zinc/31/62/18/340316218.db2.gz IHVLSHXDMXCXPR-RTBURBONSA-N 0 3 311.400 4.407 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCc2ccc(F)cc21 ZINC000510980725 340317098 /nfs/dbraw/zinc/31/70/98/340317098.db2.gz QXTXLOFSDBCXDP-MSOLQXFVSA-N 0 3 315.363 4.238 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccncc1F ZINC000511001954 340317837 /nfs/dbraw/zinc/31/78/37/340317837.db2.gz SINFDFMJNKNBOB-DUVNUKRYSA-N 0 3 302.368 4.347 20 0 DIADHN CC(C)[C@H](NCCCOC(F)F)c1ccc(Cl)cc1F ZINC000511017307 340318341 /nfs/dbraw/zinc/31/83/41/340318341.db2.gz YXJNXYVJMCSEMR-ZDUSSCGKSA-N 0 3 309.759 4.395 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@H](C)c1ccncc1F ZINC000511019062 340318398 /nfs/dbraw/zinc/31/83/98/340318398.db2.gz SICGMVCKBDAKJL-CHWSQXEVSA-N 0 3 304.434 4.224 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@@H](C)c1ccncc1F ZINC000511019063 340318448 /nfs/dbraw/zinc/31/84/48/340318448.db2.gz SICGMVCKBDAKJL-OLZOCXBDSA-N 0 3 304.434 4.224 20 0 DIADHN COCC[C@H](N[C@H](C)c1nc(C(F)(F)F)cs1)C(C)(C)C ZINC000511035760 340318966 /nfs/dbraw/zinc/31/89/66/340318966.db2.gz TWLKHTMZNOOOIB-ZJUUUORDSA-N 0 3 324.412 4.264 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1c(F)cccc1F)c1ccco1 ZINC000511050045 340319307 /nfs/dbraw/zinc/31/93/07/340319307.db2.gz OACGEXYGRPVGAF-DYVFJYSZSA-N 0 3 323.383 4.246 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN[C@@H]1CCC[C@@H]1CCCF ZINC000511053754 340319510 /nfs/dbraw/zinc/31/95/10/340319510.db2.gz YXGVKPVDCQGAQB-TZMCWYRMSA-N 0 3 323.843 4.235 20 0 DIADHN CC(C)[C@@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000511094511 340320673 /nfs/dbraw/zinc/32/06/73/340320673.db2.gz XQONZSXDCMCVPG-DYESRHJHSA-N 0 3 324.468 4.193 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(CCc2cccnc2)CC1 ZINC000511110894 340321148 /nfs/dbraw/zinc/32/11/48/340321148.db2.gz SMSPVMLEAKBCAS-IBGZPJMESA-N 0 3 316.395 4.186 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc(N(C)C)s2)cc1C ZINC000511127306 340321666 /nfs/dbraw/zinc/32/16/66/340321666.db2.gz STUMGLWMYYVSHW-KRWDZBQOSA-N 0 3 315.486 4.163 20 0 DIADHN CC[C@@H](C)CCC(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000511194363 340323655 /nfs/dbraw/zinc/32/36/55/340323655.db2.gz XTYHLGXHEXKKDR-HZPDHXFCSA-N 0 3 304.478 4.058 20 0 DIADHN CC[C@H](C)CCC(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000511194364 340323713 /nfs/dbraw/zinc/32/37/13/340323713.db2.gz XTYHLGXHEXKKDR-JKSUJKDBSA-N 0 3 304.478 4.058 20 0 DIADHN OCCCCCN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000511195919 340323752 /nfs/dbraw/zinc/32/37/52/340323752.db2.gz FIWVOXJJDASUNH-UHFFFAOYSA-N 0 3 314.256 4.245 20 0 DIADHN COCCCN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000511210730 340323947 /nfs/dbraw/zinc/32/39/47/340323947.db2.gz AVEYNYQYLJHWAN-UHFFFAOYSA-N 0 3 300.229 4.119 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@@H](CO)c3ccccc3)ccc2c1 ZINC000303378551 340324019 /nfs/dbraw/zinc/32/40/19/340324019.db2.gz PXUKXAOQMZUMOS-VFNWGFHPSA-N 0 3 321.420 4.233 20 0 DIADHN CCOCCCN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000511366332 340325987 /nfs/dbraw/zinc/32/59/87/340325987.db2.gz IHWLFXPCTOUYPM-SFHVURJKSA-N 0 3 318.848 4.035 20 0 DIADHN CCN(Cc1ccc(C(=O)Nc2ccc(F)cc2)cc1)C1CC1 ZINC000511422886 340327139 /nfs/dbraw/zinc/32/71/39/340327139.db2.gz VOSVKGMOZLSZPU-UHFFFAOYSA-N 0 3 312.388 4.062 20 0 DIADHN CC[C@H]1CCCN1Cc1cn(C)nc1-c1ccccc1Cl ZINC000511475506 340327908 /nfs/dbraw/zinc/32/79/08/340327908.db2.gz UMKGVLUTCKFQHZ-AWEZNQCLSA-N 0 3 303.837 4.115 20 0 DIADHN COC[C@@H](NC1CC(c2ccccc2Cl)C1)c1ccc(C)o1 ZINC000511506053 340328985 /nfs/dbraw/zinc/32/89/85/340328985.db2.gz MRVHULYRCOPKQO-MQBCKMQZSA-N 0 3 319.832 4.465 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(O)cc1)c1c(F)cccc1Cl ZINC000511526082 340329460 /nfs/dbraw/zinc/32/94/60/340329460.db2.gz YSRCGQJCJZFPED-NWDGAFQWSA-N 0 3 307.796 4.467 20 0 DIADHN CCc1ncc(CN2CCC[C@@H]2Cc2ccc(F)cc2)s1 ZINC000511527940 340329463 /nfs/dbraw/zinc/32/94/63/340329463.db2.gz YADXKGSWEMXABW-OAHLLOKOSA-N 0 3 304.434 4.052 20 0 DIADHN COc1cc(CN(C)CCc2ccccc2F)ccc1SC ZINC000511544187 340330006 /nfs/dbraw/zinc/33/00/06/340330006.db2.gz XNVFPSHBAPOPER-UHFFFAOYSA-N 0 3 319.445 4.231 20 0 DIADHN CCc1cccc(NC(=O)c2ccc(CN(CC)C3CC3)cc2)c1 ZINC000511579973 340331109 /nfs/dbraw/zinc/33/11/09/340331109.db2.gz LMTGTRJHEQEVGP-UHFFFAOYSA-N 0 3 322.452 4.486 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000303541350 340332203 /nfs/dbraw/zinc/33/22/03/340332203.db2.gz UAQCHXAJTFFCBF-FUHIMQAGSA-N 0 3 316.489 4.105 20 0 DIADHN CCOC(=O)CCCCCN1CC[C@H]1c1ccc(Cl)cc1 ZINC000511612246 340332350 /nfs/dbraw/zinc/33/23/50/340332350.db2.gz UWEQRZUBBQQAEO-INIZCTEOSA-N 0 3 309.837 4.210 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)s1)C1Cc2ccccc2C1 ZINC000511667395 340334694 /nfs/dbraw/zinc/33/46/94/340334694.db2.gz YQCPYRORJRHPRB-UHFFFAOYSA-N 0 3 320.461 4.409 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NC/C=C/c1ccc(F)c(F)c1 ZINC000511754416 340337302 /nfs/dbraw/zinc/33/73/02/340337302.db2.gz JLBLQIVGGPBJPK-OAJJDEHYSA-N 0 3 315.363 4.351 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ncc(CN(C)C)s2)CC(C)(C)C1 ZINC000511772608 340337749 /nfs/dbraw/zinc/33/77/49/340337749.db2.gz MTPMPWKDFUZQMV-GJZGRUSLSA-N 0 3 323.550 4.147 20 0 DIADHN CC(C)n1ccnc1CN1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 ZINC000511871971 340341148 /nfs/dbraw/zinc/34/11/48/340341148.db2.gz KNYIXORZYYKXNU-GUDVDZBRSA-N 0 3 309.457 4.232 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000511877875 340341425 /nfs/dbraw/zinc/34/14/25/340341425.db2.gz WEOLSHHEBGZVHK-ICSRJNTNSA-N 0 3 322.452 4.425 20 0 DIADHN COC1(CN[C@H](C)c2cc(C)c(Br)s2)CCC1 ZINC000511925079 340342866 /nfs/dbraw/zinc/34/28/66/340342866.db2.gz CJQNPHRIFOPLER-SNVBAGLBSA-N 0 3 318.280 4.039 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)c1ccc(CN(C)C)cc1 ZINC000511952099 340343567 /nfs/dbraw/zinc/34/35/67/340343567.db2.gz IZCOAGIBXKRNSW-HXUWFJFHSA-N 0 3 324.468 4.362 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC/C=C/c1ccc(F)c(F)c1)CCO2 ZINC000511955608 340343666 /nfs/dbraw/zinc/34/36/66/340343666.db2.gz GNHOTTBMFBOFNM-IMLGJKEDSA-N 0 3 319.326 4.231 20 0 DIADHN Cc1cnn(C)c1CN[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000511979500 340344407 /nfs/dbraw/zinc/34/44/07/340344407.db2.gz XEIIXUXTUJIDIS-RHSMWYFYSA-N 0 3 309.457 4.118 20 0 DIADHN CC(C)CC[C@@H](NCc1ncc(CN(C)C)s1)C(C)(C)C ZINC000512038141 340346216 /nfs/dbraw/zinc/34/62/16/340346216.db2.gz IRCIXTFWLYNLRW-OAHLLOKOSA-N 0 3 311.539 4.145 20 0 DIADHN COc1ccc(C2(NC/C=C\c3ccc(F)c(F)c3)CC2)cc1 ZINC000512018124 340345493 /nfs/dbraw/zinc/34/54/93/340345493.db2.gz YAXAYJSCZPKTAQ-IHWYPQMZSA-N 0 3 315.363 4.266 20 0 DIADHN COC[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512021876 340345562 /nfs/dbraw/zinc/34/55/62/340345562.db2.gz DZMILYZVRSJQKD-ZMUQKWFASA-N 0 3 321.342 4.094 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cc(C)nc3ccccc13)CC2 ZINC000512038619 340346241 /nfs/dbraw/zinc/34/62/41/340346241.db2.gz KAMANNGOZZALOG-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC000512067860 340347510 /nfs/dbraw/zinc/34/75/10/340347510.db2.gz OCDMAQKAGAPPBH-INIZCTEOSA-N 0 3 305.853 4.259 20 0 DIADHN CCc1ccc(CNC2(c3ccc(OC)cc3)CCCC2)cn1 ZINC000512073536 340347589 /nfs/dbraw/zinc/34/75/89/340347589.db2.gz RDJFRMXTJPSQLD-UHFFFAOYSA-N 0 3 310.441 4.212 20 0 DIADHN Fc1ccc(/C=C/CNCc2nccc3ccccc32)cc1F ZINC000512082182 340347873 /nfs/dbraw/zinc/34/78/73/340347873.db2.gz HSHFNIMRVUOPCJ-ONEGZZNKSA-N 0 3 310.347 4.316 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000512083608 340348064 /nfs/dbraw/zinc/34/80/64/340348064.db2.gz RHKCRPBCFVYEHT-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN CCc1ccc(-c2nc(CN[C@H]3CSC[C@H]3C)cs2)cc1 ZINC000512148371 340349839 /nfs/dbraw/zinc/34/98/39/340349839.db2.gz NXNKAXPZQRVAEL-WBMJQRKESA-N 0 3 318.511 4.214 20 0 DIADHN C[C@@H]1CCCCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000512170238 340350762 /nfs/dbraw/zinc/35/07/62/340350762.db2.gz KBTYFAKFOJQEFX-GFCCVEGCSA-N 0 3 305.809 4.155 20 0 DIADHN CC[C@H](C)Oc1cccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC000512169879 340350834 /nfs/dbraw/zinc/35/08/34/340350834.db2.gz YYQSUWWDKYIRLP-FZKCQIBNSA-N 0 3 318.461 4.064 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC[C@]2(CC=CCC2)C1 ZINC000512191568 340351651 /nfs/dbraw/zinc/35/16/51/340351651.db2.gz NDJYDNRTNXECIX-HXUWFJFHSA-N 0 3 313.441 4.090 20 0 DIADHN CNC(=O)c1cccc(CN2C[C@@H](C)CC[C@H]2c2ccccc2)c1 ZINC000512199231 340352007 /nfs/dbraw/zinc/35/20/07/340352007.db2.gz VOPDQAJGIUFAIT-JXFKEZNVSA-N 0 3 322.452 4.019 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1nc(-c2ccccc2Cl)cs1 ZINC000512212596 340352506 /nfs/dbraw/zinc/35/25/06/340352506.db2.gz LQVUGUPVEAZVMQ-GWCFXTLKSA-N 0 3 324.902 4.305 20 0 DIADHN C[C@@H]1CCCCCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000512211241 340352548 /nfs/dbraw/zinc/35/25/48/340352548.db2.gz GGGHIOGJRWYTSP-GFCCVEGCSA-N 0 3 306.356 4.384 20 0 DIADHN C[C@H]1CCCCCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000512211243 340352551 /nfs/dbraw/zinc/35/25/51/340352551.db2.gz GGGHIOGJRWYTSP-LBPRGKRZSA-N 0 3 306.356 4.384 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1nc(-c2ccccc2Cl)cs1 ZINC000512212599 340352605 /nfs/dbraw/zinc/35/26/05/340352605.db2.gz LQVUGUPVEAZVMQ-ZWNOBZJWSA-N 0 3 324.902 4.305 20 0 DIADHN COc1ccc(CNCC(C)(C)c2cccs2)c(Cl)c1 ZINC000512245023 340353926 /nfs/dbraw/zinc/35/39/26/340353926.db2.gz RSAHNKHXEQOJIP-UHFFFAOYSA-N 0 3 309.862 4.478 20 0 DIADHN COC[C@@H](CN[C@@H](C)c1ccc(SC2CCCC2)cc1)OC ZINC000512293915 340355904 /nfs/dbraw/zinc/35/59/04/340355904.db2.gz GWRSPXJPXFBPFM-GOEBONIOSA-N 0 3 323.502 4.033 20 0 DIADHN C[C@H]1CCN(Cc2conc2Cc2ccccc2)CC12CCC2 ZINC000512298143 340356130 /nfs/dbraw/zinc/35/61/30/340356130.db2.gz DABAGTLGSUJRJG-INIZCTEOSA-N 0 3 310.441 4.278 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1ccc(Br)cn1 ZINC000512346931 340358378 /nfs/dbraw/zinc/35/83/78/340358378.db2.gz PRKYWKPEHOWXGE-CQSZACIVSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3conc3Cc3ccccc3)C2)C1 ZINC000512355239 340358697 /nfs/dbraw/zinc/35/86/97/340358697.db2.gz UDJQPAWGAWZKKU-OXJNMPFZSA-N 0 3 310.441 4.278 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)[C@H](C)c1cccnc1 ZINC000512426431 340361591 /nfs/dbraw/zinc/36/15/91/340361591.db2.gz GFOZKBPKULUUCD-NWNNTGRJSA-N 0 3 310.441 4.324 20 0 DIADHN Cc1cccc(CCCNCc2cccc(Cl)c2Cl)n1 ZINC000512484350 340363518 /nfs/dbraw/zinc/36/35/18/340363518.db2.gz CAADHAHSVNTGNZ-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC(C)(CO)CC1 ZINC000512542878 340366149 /nfs/dbraw/zinc/36/61/49/340366149.db2.gz WGSXIZIZSPOVFL-UHFFFAOYSA-N 0 3 323.889 4.458 20 0 DIADHN Cc1cccc(CCCNCc2ccc(-c3ccccc3)o2)n1 ZINC000512567680 340367286 /nfs/dbraw/zinc/36/72/86/340367286.db2.gz CMBBKHMMNBHYAG-UHFFFAOYSA-N 0 3 306.409 4.372 20 0 DIADHN COc1ccsc1CNCCn1ccc2cc(Cl)ccc21 ZINC000512575543 340367558 /nfs/dbraw/zinc/36/75/58/340367558.db2.gz YXIOGHVNYZURET-UHFFFAOYSA-N 0 3 320.845 4.155 20 0 DIADHN Fc1ccc2oc(CN3CC[C@H](Nc4ccccc4)C3)cc2c1 ZINC000512581939 340367909 /nfs/dbraw/zinc/36/79/09/340367909.db2.gz KQEFBQNLHCLNIL-KRWDZBQOSA-N 0 3 310.372 4.258 20 0 DIADHN C[C@H](NCCn1ccc2cc(Cl)ccc21)c1cncc(F)c1 ZINC000512583933 340368003 /nfs/dbraw/zinc/36/80/03/340368003.db2.gz VROVVRGUOUZMRB-LBPRGKRZSA-N 0 3 317.795 4.180 20 0 DIADHN CCc1nocc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000512673152 340372454 /nfs/dbraw/zinc/37/24/54/340372454.db2.gz NGPMTBIIKUSMOW-UHFFFAOYSA-N 0 3 304.821 4.270 20 0 DIADHN COc1ccc(C)cc1CN(Cc1cccc(O)c1)CC1CC1 ZINC000512686128 340373167 /nfs/dbraw/zinc/37/31/67/340373167.db2.gz GBAFSRYPJIYSQF-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1c[nH]nc1C(C)(C)C ZINC000512700796 340373646 /nfs/dbraw/zinc/37/36/46/340373646.db2.gz CBZMUCFWNKMQAW-UHFFFAOYSA-N 0 3 305.853 4.035 20 0 DIADHN CCn1cc(CN2CCC(c3cccc4ccccc43)CC2)cn1 ZINC000512709869 340373865 /nfs/dbraw/zinc/37/38/65/340373865.db2.gz IAKHVTYILPXGIJ-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN Cc1ccsc1CN1CCC(Oc2ccc(F)cc2)CC1 ZINC000512710373 340373903 /nfs/dbraw/zinc/37/39/03/340373903.db2.gz RJUAFBBWRWABBT-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN FC(F)OC[C@@H]1CCN(Cc2ccc(-c3ccsc3)cc2)C1 ZINC000512805953 340378605 /nfs/dbraw/zinc/37/86/05/340378605.db2.gz OFHDSCSGVDQOBL-CQSZACIVSA-N 0 3 323.408 4.476 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@H](COC(F)F)C1 ZINC000512842974 340380416 /nfs/dbraw/zinc/38/04/16/340380416.db2.gz DMULCQKNJSBHES-FZMZJTMJSA-N 0 3 303.780 4.352 20 0 DIADHN CCC[C@]1(NCc2ccc(-c3ccccc3F)o2)CCOC1 ZINC000512850317 340380757 /nfs/dbraw/zinc/38/07/57/340380757.db2.gz IHNWYJDTHHXUEL-SFHVURJKSA-N 0 3 303.377 4.135 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1ccc(-c2cccc(F)c2F)o1 ZINC000512862000 340381220 /nfs/dbraw/zinc/38/12/20/340381220.db2.gz RMLDGDCEUHWKQT-QMTHXVAHSA-N 0 3 309.381 4.066 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CSC[C@H]2C)o1 ZINC000512861995 340381244 /nfs/dbraw/zinc/38/12/44/340381244.db2.gz RLSFYWMWVRYGST-BZNIZROVSA-N 0 3 305.418 4.235 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CSC[C@H]2C)o1 ZINC000512861994 340381255 /nfs/dbraw/zinc/38/12/55/340381255.db2.gz RLSFYWMWVRYGST-BDJLRTHQSA-N 0 3 305.418 4.235 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000174069151 340381289 /nfs/dbraw/zinc/38/12/89/340381289.db2.gz PFLQVKUWUPYQSP-OLZOCXBDSA-N 0 3 304.459 4.112 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000512874201 340381890 /nfs/dbraw/zinc/38/18/90/340381890.db2.gz KXUINKQCEUVTLC-XHDPSFHLSA-N 0 3 307.846 4.441 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](N[C@H](c2nccn2C)C2CC2)C1 ZINC000512886516 340382590 /nfs/dbraw/zinc/38/25/90/340382590.db2.gz YVFNLYXUXCSUBI-LDTOTXGLSA-N 0 3 323.484 4.433 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2Cl)C1)c1ccncc1 ZINC000174147714 340382984 /nfs/dbraw/zinc/38/29/84/340382984.db2.gz RRAYOSIIBBCLAM-HOCLYGCPSA-N 0 3 315.848 4.055 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)cc2)c2ccccc2n1 ZINC000174253506 340384822 /nfs/dbraw/zinc/38/48/22/340384822.db2.gz QTXOZIWYGHSZCV-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1cc(CCNCc2ccc(-c3ccccc3Cl)o2)on1 ZINC000512972552 340386329 /nfs/dbraw/zinc/38/63/29/340386329.db2.gz UDXHVLHENBNUOX-UHFFFAOYSA-N 0 3 316.788 4.229 20 0 DIADHN C[C@@H](NCc1ccc(C(F)(F)F)cc1)c1ccc2n[nH]cc2c1 ZINC000512989394 340387070 /nfs/dbraw/zinc/38/70/70/340387070.db2.gz TXRUPMRUOIBZKT-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN CC[C@@H](C)CCC(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000512989367 340387127 /nfs/dbraw/zinc/38/71/27/340387127.db2.gz STRVQMFXTYLLDZ-IAGOWNOFSA-N 0 3 316.489 4.155 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNC[C@H](c1ccco1)N(C)C ZINC000512997709 340387555 /nfs/dbraw/zinc/38/75/55/340387555.db2.gz JOIGBTLKJNJNLC-GWKQRERASA-N 0 3 318.848 4.229 20 0 DIADHN FC(F)(F)C1(CNCc2ccc(Br)cc2)CCC1 ZINC000513001181 340387635 /nfs/dbraw/zinc/38/76/35/340387635.db2.gz BRCZZVXDGQETJW-UHFFFAOYSA-N 0 3 322.168 4.271 20 0 DIADHN Cc1noc(C)c1CNC[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000513001218 340387658 /nfs/dbraw/zinc/38/76/58/340387658.db2.gz CICYRXIQHHXKAJ-MRXNPFEDSA-N 0 3 306.837 4.474 20 0 DIADHN C[C@@H](NCc1cc2ccc(F)cc2[nH]1)c1ccc2n[nH]cc2c1 ZINC000513016697 340388624 /nfs/dbraw/zinc/38/86/24/340388624.db2.gz KVLGOJGBTHSPCE-LLVKDONJSA-N 0 3 308.360 4.034 20 0 DIADHN C[C@H](NCc1cc(F)cc(Cl)c1)c1ccc2n[nH]cc2c1 ZINC000513017779 340388770 /nfs/dbraw/zinc/38/87/70/340388770.db2.gz SUEAEULNVMXMSH-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](C)c2ccc3n[nH]cc3c2)c1 ZINC000513032243 340389639 /nfs/dbraw/zinc/38/96/39/340389639.db2.gz JXTZVCRRFGTPNW-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN Fc1cc(F)cc(O[C@@H]2CCC[C@@H]2NCc2ccsc2)c1 ZINC000513055607 340391297 /nfs/dbraw/zinc/39/12/97/340391297.db2.gz CNMUTJUQMHDFEG-JKSUJKDBSA-N 0 3 309.381 4.116 20 0 DIADHN C[C@H](CN[C@@H](C)c1c(F)cncc1F)c1cccc(Cl)c1 ZINC000513073647 340392073 /nfs/dbraw/zinc/39/20/73/340392073.db2.gz DGEABOIFEHHGES-MNOVXSKESA-N 0 3 310.775 4.468 20 0 DIADHN Cc1ccc(CNCc2ccc(F)c(Br)c2)c(C)c1 ZINC000513074028 340392274 /nfs/dbraw/zinc/39/22/74/340392274.db2.gz HXLATPZAUSLTDD-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](C)c1ccc(Br)cc1F ZINC000513096303 340393151 /nfs/dbraw/zinc/39/31/51/340393151.db2.gz TVZOUSKDNYUNHT-WDEREUQCSA-N 0 3 318.230 4.052 20 0 DIADHN CN(C)c1ncc(CN(Cc2ccc(F)cc2)C(C)(C)C)s1 ZINC000513106604 340393507 /nfs/dbraw/zinc/39/35/07/340393507.db2.gz ONWBXUBXCLKVRW-UHFFFAOYSA-N 0 3 321.465 4.149 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)Cc1c(F)cccc1F ZINC000513122567 340394156 /nfs/dbraw/zinc/39/41/56/340394156.db2.gz OPYMHOSLQNOMHW-UHFFFAOYSA-N 0 3 318.411 4.049 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cl)C1CCC(C)(C)CC1 ZINC000513202677 340396857 /nfs/dbraw/zinc/39/68/57/340396857.db2.gz XSERBPCSGGUSQQ-UHFFFAOYSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1ccn(C2CCN(Cc3ccc(C4CCC4)cc3)CC2)n1 ZINC000513313095 340401863 /nfs/dbraw/zinc/40/18/63/340401863.db2.gz SFWQFFQLNBONPA-UHFFFAOYSA-N 0 3 309.457 4.296 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCCc1cccc2cccnc21 ZINC000513498625 340410941 /nfs/dbraw/zinc/41/09/41/340410941.db2.gz BDITWYQPVGRLNI-SFHVURJKSA-N 0 3 324.374 4.333 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNCc1cccc(CO)c1 ZINC000513532641 340412488 /nfs/dbraw/zinc/41/24/88/340412488.db2.gz NFPFHBPOJBKRLT-ZROIWOOFSA-N 0 3 301.817 4.025 20 0 DIADHN Cc1ccc2oc([N-][C@@H](C[NH+]3CCCC3)c3ccccc3)nc2c1 ZINC000513613923 340415084 /nfs/dbraw/zinc/41/50/84/340415084.db2.gz DYLGWOZNAXWLEO-SFHVURJKSA-N 0 3 321.424 4.385 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cccs2)c(C)c1OC ZINC000513753512 340423373 /nfs/dbraw/zinc/42/33/73/340423373.db2.gz CXNZQYYKZNMBHC-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN COC(=O)[C@H](C)[C@@H](NCc1cc(C)sc1C)c1ccccc1 ZINC000176225134 340425798 /nfs/dbraw/zinc/42/57/98/340425798.db2.gz CNWODSGTMOOACA-CXAGYDPISA-N 0 3 317.454 4.005 20 0 DIADHN C[C@]1(CN[C@@H]2CC3(CCCCC3)Oc3ccccc32)CCCO1 ZINC000513827507 340427169 /nfs/dbraw/zinc/42/71/69/340427169.db2.gz QOQNURYXGRAAMS-IEBWSBKVSA-N 0 3 315.457 4.372 20 0 DIADHN CCc1sc(C(=O)Nc2cc(CN(C)C)ccc2C)cc1C ZINC000177330298 340439299 /nfs/dbraw/zinc/43/92/99/340439299.db2.gz SVDPKOLRCHYRHA-UHFFFAOYSA-N 0 3 316.470 4.241 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccncc1)Oc1ccccc1Cl ZINC000177546151 340441503 /nfs/dbraw/zinc/44/15/03/340441503.db2.gz RBBRZGJJWGNJKB-DZGCQCFKSA-N 0 3 304.821 4.243 20 0 DIADHN Clc1cccc(CN[C@@H]2[C@H]3CCO[C@H]3C23CCC3)c1Cl ZINC000514075381 340444707 /nfs/dbraw/zinc/44/47/07/340444707.db2.gz FCLYFRVBAJWCCT-KCPJHIHWSA-N 0 3 312.240 4.041 20 0 DIADHN CO[C@H](CN1CCC(C(F)F)CC1)c1ccc(Cl)cc1 ZINC000514077604 340444876 /nfs/dbraw/zinc/44/48/76/340444876.db2.gz VRXAZYQAVZAHPI-CQSZACIVSA-N 0 3 303.780 4.005 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@@H](C)Oc2ccccc2C)s1 ZINC000178001039 340446176 /nfs/dbraw/zinc/44/61/76/340446176.db2.gz NRQBDCMBGPGJMR-CHWSQXEVSA-N 0 3 304.459 4.186 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1cccc(Cl)c1Cl)C1CC1 ZINC000311485286 340447717 /nfs/dbraw/zinc/44/77/17/340447717.db2.gz SIDUJQYKCNSQCA-MEBBXXQBSA-N 0 3 302.245 4.459 20 0 DIADHN CC[C@H](NC[C@@](C)(O)C1CC1)c1ccc(Cl)cc1Cl ZINC000311551336 340447852 /nfs/dbraw/zinc/44/78/52/340447852.db2.gz PQKHFPSBUCKILI-LSDHHAIUSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@@H](NC[C@H](CCO)c1ccccc1)c1cc(F)ccc1F ZINC000178647861 340451158 /nfs/dbraw/zinc/45/11/58/340451158.db2.gz MXFXGRXOSKPTPI-HNAYVOBHSA-N 0 3 319.395 4.172 20 0 DIADHN FC(F)c1ccc(CN2CCC(C3CCOCC3)CC2)cc1 ZINC000516900713 340458349 /nfs/dbraw/zinc/45/83/49/340458349.db2.gz SDODEPXKAXLUME-UHFFFAOYSA-N 0 3 309.400 4.263 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000520838370 340463486 /nfs/dbraw/zinc/46/34/86/340463486.db2.gz YYJFGIGHHDZFTB-DYVFJYSZSA-N 0 3 303.837 4.486 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC1=CCCCC1)c1ccsc1 ZINC000517351366 340468313 /nfs/dbraw/zinc/46/83/13/340468313.db2.gz NJLJDZVMMKOUNQ-KRWDZBQOSA-N 0 3 320.502 4.138 20 0 DIADHN COCc1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cc1 ZINC000520881233 340476640 /nfs/dbraw/zinc/47/66/40/340476640.db2.gz HJYBYOKEGMDFCS-FQEVSTJZSA-N 0 3 320.436 4.482 20 0 DIADHN FC(F)n1ccnc1CNC1(Cc2ccccc2)CCCCC1 ZINC000183770009 340489167 /nfs/dbraw/zinc/48/91/67/340489167.db2.gz VUNAFGRBOWHFOF-UHFFFAOYSA-N 0 3 319.399 4.313 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccc(C(F)F)cc1 ZINC000185177994 340498599 /nfs/dbraw/zinc/49/85/99/340498599.db2.gz ZCOPHBDQGZKWGZ-GQCTYLIASA-N 0 3 303.352 4.436 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H](CCO)C2CCCCC2)cs1 ZINC000186391057 340512108 /nfs/dbraw/zinc/51/21/08/340512108.db2.gz SIJYQJQDGHUTBC-HIFRSBDPSA-N 0 3 310.507 4.248 20 0 DIADHN CC[C@@H](NCC(C)(C)c1cccc(Cl)c1F)c1nccn1C ZINC000186566625 340514332 /nfs/dbraw/zinc/51/43/32/340514332.db2.gz HVUIMMOUBZTXRA-CQSZACIVSA-N 0 3 323.843 4.231 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)N[C@H](C)C1CCSCC1 ZINC000187347721 340519186 /nfs/dbraw/zinc/51/91/86/340519186.db2.gz JARMGDUFRMTWQN-KGLIPLIRSA-N 0 3 317.502 4.239 20 0 DIADHN C[C@@](O)(CNCc1cscc1C(F)(F)F)C1CCCCC1 ZINC000521602007 340526012 /nfs/dbraw/zinc/52/60/12/340526012.db2.gz LGINPPFADDWJSR-CQSZACIVSA-N 0 3 321.408 4.188 20 0 DIADHN COc1ccc(CN[C@H](C)COc2cccc(F)c2)c(Cl)c1 ZINC000521814735 340532929 /nfs/dbraw/zinc/53/29/29/340532929.db2.gz WIDKFPUEGDOVOT-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccccc1/C=C/CNCCSc1ccc(C)cc1 ZINC000521818405 340533387 /nfs/dbraw/zinc/53/33/87/340533387.db2.gz KQRLIIBRUZSYRQ-FNORWQNLSA-N 0 3 313.466 4.399 20 0 DIADHN Cc1cccc(CNCc2ccnc(OC3CCCCC3)c2)c1 ZINC000521832795 340535601 /nfs/dbraw/zinc/53/56/01/340535601.db2.gz SSXFGOPZWMEDLQ-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN CC(C)(CNCc1cscn1)c1ccc(C(F)(F)F)cc1 ZINC000190336714 340544408 /nfs/dbraw/zinc/54/44/08/340544408.db2.gz VVSVIAXGGMRHTK-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000223428218 340545744 /nfs/dbraw/zinc/54/57/44/340545744.db2.gz DXRRVCLBYUYIKY-CABCVRRESA-N 0 3 313.894 4.264 20 0 DIADHN Cc1c([C@H](C)NCCO[C@H]2CCCC[C@@H]2C)cnn1C(C)(C)C ZINC000191193225 340551179 /nfs/dbraw/zinc/55/11/79/340551179.db2.gz MTTGWWGZIUVITG-MPGHIAIKSA-N 0 3 321.509 4.192 20 0 DIADHN Cc1c([C@H](C)NC[C@H](C)Oc2ccccc2)cnn1C(C)(C)C ZINC000191366381 340553375 /nfs/dbraw/zinc/55/33/75/340553375.db2.gz RWHJXLJPQKSSIB-GJZGRUSLSA-N 0 3 315.461 4.065 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C)c(F)c1)CCS2 ZINC000191490039 340554692 /nfs/dbraw/zinc/55/46/92/340554692.db2.gz QAZDSARYHYRGSO-KRWDZBQOSA-N 0 3 317.429 4.469 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC(c2ccncc2)CC1 ZINC000191654671 340556945 /nfs/dbraw/zinc/55/69/45/340556945.db2.gz ZUQMYMRXWAKNNW-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CC(C)[C@@](C)(NCc1cc[nH]c1)c1ccc(Br)cc1 ZINC000191667240 340557304 /nfs/dbraw/zinc/55/73/04/340557304.db2.gz NRMIFWMKOCFLCU-MRXNPFEDSA-N 0 3 321.262 4.438 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc2c[nH]nc2c1 ZINC000191724955 340558254 /nfs/dbraw/zinc/55/82/54/340558254.db2.gz QUMFWUSZPLSGRP-ZDUSSCGKSA-N 0 3 305.381 4.468 20 0 DIADHN CC(C)OCCCNCc1cc(Br)ccc1Cl ZINC000225246465 340565890 /nfs/dbraw/zinc/56/58/90/340565890.db2.gz GLHRLHFHDMSDPA-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@H](C)C[C@@H](C)O ZINC000192593569 340567955 /nfs/dbraw/zinc/56/79/55/340567955.db2.gz FUFNJWNOEDITIZ-CHWSQXEVSA-N 0 3 315.910 4.337 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2ccccc2Br)C1 ZINC000227376203 340598403 /nfs/dbraw/zinc/59/84/03/340598403.db2.gz WKTBGKGWQJEKKZ-QWHCGFSZSA-N 0 3 314.292 4.213 20 0 DIADHN C[C@@H]1CCC[C@@H](CCNCc2nccn2Cc2ccccc2)C1 ZINC000522368363 340600075 /nfs/dbraw/zinc/60/00/75/340600075.db2.gz LEKJNIDOUVOTDS-MSOLQXFVSA-N 0 3 311.473 4.237 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H](c2ccccc2Cl)N(C)C)c1 ZINC000522630740 340606192 /nfs/dbraw/zinc/60/61/92/340606192.db2.gz YLGJLYIFCXEPLI-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN COc1ccc(C)cc1CNCC(C)(C)c1cccc(F)c1 ZINC000522841756 340607859 /nfs/dbraw/zinc/60/78/59/340607859.db2.gz NRNFZIIDAYVSII-UHFFFAOYSA-N 0 3 301.405 4.210 20 0 DIADHN CSCCCCN[C@@H](C)c1ccc(Br)cc1F ZINC000229209590 340611772 /nfs/dbraw/zinc/61/17/72/340611772.db2.gz ZRJLPRIVCVCOCK-JTQLQIEISA-N 0 3 320.271 4.382 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCO)c1cccc(Cl)c1Cl ZINC000250120869 340612177 /nfs/dbraw/zinc/61/21/77/340612177.db2.gz VGEIWFOXWFQGBB-COPLHBTASA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@H](NCCOc1ccc(F)cc1)c1ccc(F)cc1F ZINC000523389697 340622798 /nfs/dbraw/zinc/62/27/98/340622798.db2.gz ATSZKTSFPKKTSG-KRWDZBQOSA-N 0 3 309.331 4.224 20 0 DIADHN CCCn1c2ccccc2nc1CNCC1(c2ccccc2)CC1 ZINC000523392166 340622991 /nfs/dbraw/zinc/62/29/91/340622991.db2.gz DEDBLSADUBMWDH-UHFFFAOYSA-N 0 3 319.452 4.268 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2cc(F)c(Cl)cc2Cl)C1 ZINC000231690547 340625259 /nfs/dbraw/zinc/62/52/59/340625259.db2.gz FEWLHDDPSYYZBR-LPEHRKFASA-N 0 3 306.208 4.351 20 0 DIADHN Cc1ccc(-c2ncc(CNCCOC(C)(C)C)s2)cc1 ZINC000523740676 340636802 /nfs/dbraw/zinc/63/68/02/340636802.db2.gz ZFEOSEIKSDNHRB-UHFFFAOYSA-N 0 3 304.459 4.023 20 0 DIADHN CCOC[C@H](N[C@H](C)c1ccc(Br)s1)C(C)C ZINC000233790861 340648938 /nfs/dbraw/zinc/64/89/38/340648938.db2.gz MPRCYRWZPNCGIK-MNOVXSKESA-N 0 3 320.296 4.222 20 0 DIADHN COc1ccc(CNCCC2=CCCCC2)c(OC(F)F)c1 ZINC000524457811 340654756 /nfs/dbraw/zinc/65/47/56/340654756.db2.gz ASBRPHQMPZMALB-UHFFFAOYSA-N 0 3 311.372 4.277 20 0 DIADHN CC[C@H](CC(=O)Nc1ccccc1CNC)c1ccc(C)cc1 ZINC000236939751 340666498 /nfs/dbraw/zinc/66/64/98/340666498.db2.gz PTKHJRMSLJQNLK-MRXNPFEDSA-N 0 3 310.441 4.237 20 0 DIADHN CCCOc1ccccc1Oc1ncccc1CNCC(C)C ZINC000236970869 340666921 /nfs/dbraw/zinc/66/69/21/340666921.db2.gz QOKFFSCUQMDKMO-UHFFFAOYSA-N 0 3 314.429 4.408 20 0 DIADHN COc1ccc(Cl)cc1CNCc1cccc2[nH]ccc21 ZINC000237099187 340668105 /nfs/dbraw/zinc/66/81/05/340668105.db2.gz LJCDFLRDFPYMOJ-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN COC[C@@H](C)NCc1ccc(OC(C)(C)C)cc1C(F)(F)F ZINC000237165767 340668425 /nfs/dbraw/zinc/66/84/25/340668425.db2.gz NHWMZCSJLJXSIW-LLVKDONJSA-N 0 3 319.367 4.007 20 0 DIADHN COc1ccc(CC[C@H](C)Nc2cccc(CN(C)C)c2)cc1 ZINC000237257304 340669484 /nfs/dbraw/zinc/66/94/84/340669484.db2.gz DKCQLFWBUVTCJA-INIZCTEOSA-N 0 3 312.457 4.190 20 0 DIADHN CNCc1cccc(NC(=O)c2oc3c(c2C)c(C)ccc3C)c1 ZINC000237310430 340669773 /nfs/dbraw/zinc/66/97/73/340669773.db2.gz SFCXNSYLHPRMSL-UHFFFAOYSA-N 0 3 322.408 4.330 20 0 DIADHN Cc1ccc(CNC[C@@H](c2cccc(Cl)c2)N2CCCC2)o1 ZINC000237775075 340673772 /nfs/dbraw/zinc/67/37/72/340673772.db2.gz XAXSFYVQBXAEBQ-SFHVURJKSA-N 0 3 318.848 4.168 20 0 DIADHN C[C@@H](Nc1cccc(OCCN(C)C)c1)c1ccc(Cl)cc1 ZINC000315899073 340677355 /nfs/dbraw/zinc/67/73/55/340677355.db2.gz QRFISCPWBUVWIO-CQSZACIVSA-N 0 3 318.848 4.454 20 0 DIADHN C[C@H](NCCOC1CCCCC1)c1ccc(OC(F)F)cc1 ZINC000315951768 340677674 /nfs/dbraw/zinc/67/76/74/340677674.db2.gz BTRGXIFYQYNCON-ZDUSSCGKSA-N 0 3 313.388 4.288 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(Cl)cc2)o1)C(C)C ZINC000524773287 340679921 /nfs/dbraw/zinc/67/99/21/340679921.db2.gz MZBAKKMUCRHNKR-QGZVFWFLSA-N 0 3 307.821 4.361 20 0 DIADHN CC1(C)[C@H](NCc2ccc(Cl)cc2Cl)[C@@H]2CCCO[C@@H]21 ZINC000525195702 340694480 /nfs/dbraw/zinc/69/44/80/340694480.db2.gz ZHPAYBVDNALMDF-CFVMTHIKSA-N 0 3 314.256 4.287 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCSC2)c2ccccc2)cc1 ZINC000393543788 340704482 /nfs/dbraw/zinc/70/44/82/340704482.db2.gz IHMUSCXGIMONIV-PKOBYXMFSA-N 0 3 313.466 4.270 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(-c2ccccc2)cc1)C(=O)NC(C)(C)C ZINC000326010488 340712039 /nfs/dbraw/zinc/71/20/39/340712039.db2.gz LOTDIPIUCVPYRO-HZPDHXFCSA-N 0 3 324.468 4.307 20 0 DIADHN Cc1ccc2sc(CN[C@@H](C)[C@@H]3COc4ccccc43)nc2c1 ZINC000528448181 340719635 /nfs/dbraw/zinc/71/96/35/340719635.db2.gz XOZGJMHKPOIIDT-ZFWWWQNUSA-N 0 3 324.449 4.259 20 0 DIADHN Fc1cccc(CCN[C@@H](c2ccccn2)C2CCC2)c1F ZINC000528656754 340728254 /nfs/dbraw/zinc/72/82/54/340728254.db2.gz HDTUHRBEXZYQKF-GOSISDBHSA-N 0 3 302.368 4.033 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)Cc2cccs2)c(OC)c1 ZINC000036992859 340729537 /nfs/dbraw/zinc/72/95/37/340729537.db2.gz UJXFMPIEAIAORA-OLZOCXBDSA-N 0 3 305.443 4.047 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1cccs1 ZINC000037062098 340742688 /nfs/dbraw/zinc/74/26/88/340742688.db2.gz AFFINLIXEHKIQQ-TZMCWYRMSA-N 0 3 303.427 4.321 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC000529524729 340759077 /nfs/dbraw/zinc/75/90/77/340759077.db2.gz HPRLWNIQMPKMDV-UHFFFAOYSA-N 0 3 300.446 4.195 20 0 DIADHN C[C@H](NCc1ccc(F)cc1Br)C1CC(F)(F)C1 ZINC000529532930 340760097 /nfs/dbraw/zinc/76/00/97/340760097.db2.gz QJMIEBILZPSBRZ-QMMMGPOBSA-N 0 3 322.168 4.112 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccccn1)C1CC(F)(F)C1 ZINC000529536095 340760419 /nfs/dbraw/zinc/76/04/19/340760419.db2.gz JMBCVCUNSTULST-GUYCJALGSA-N 0 3 302.368 4.194 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)c1ccncc1)C1CC(F)(F)C1 ZINC000529538797 340760963 /nfs/dbraw/zinc/76/09/63/340760963.db2.gz RXSONFWJTAUIED-CXAGYDPISA-N 0 3 302.368 4.194 20 0 DIADHN Fc1ccc(CNCCc2nc(C3CCCCC3)cs2)nc1 ZINC000529539754 340761137 /nfs/dbraw/zinc/76/11/37/340761137.db2.gz TXSRRRZFEAXBFI-UHFFFAOYSA-N 0 3 319.449 4.057 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)C2CC(F)(F)C2)c2ccco2)cc1 ZINC000529594946 340764541 /nfs/dbraw/zinc/76/45/41/340764541.db2.gz BBUITFAEFLXIJN-SJCJKPOMSA-N 0 3 321.367 4.401 20 0 DIADHN C[C@H](NC[C@H]1CCCO1)c1ccc(Cl)c(Cl)c1Cl ZINC000037215208 340774790 /nfs/dbraw/zinc/77/47/90/340774790.db2.gz MEJLDIWEWPDKLZ-DTWKUNHWSA-N 0 3 308.636 4.476 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccccc1Br ZINC000037276866 340783677 /nfs/dbraw/zinc/78/36/77/340783677.db2.gz BJOUSBXMARXFMZ-CQDKDKBSSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1ccc(Cl)cc1NC1CCN(c2ccncc2)CC1 ZINC000037374094 340785172 /nfs/dbraw/zinc/78/51/72/340785172.db2.gz RNEWIHQRCKVBBV-UHFFFAOYSA-N 0 3 301.821 4.124 20 0 DIADHN COc1cccc(CNCCC(C)(C)C2CC2)c1OC(F)F ZINC000328462847 340785278 /nfs/dbraw/zinc/78/52/78/340785278.db2.gz VPZHDQWSQSJVMO-UHFFFAOYSA-N 0 3 313.388 4.213 20 0 DIADHN COCCC[C@@H](Cc1ccccc1)NCc1ccc(F)cc1F ZINC000530278914 340786149 /nfs/dbraw/zinc/78/61/49/340786149.db2.gz FWGFNPVFQJEPLN-SFHVURJKSA-N 0 3 319.395 4.092 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1)c1ccc2ccccc2n1 ZINC000530329041 340787800 /nfs/dbraw/zinc/78/78/00/340787800.db2.gz DZAXAOPAJRHGKS-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1)c1cc(F)c(F)c(F)c1 ZINC000530329588 340787852 /nfs/dbraw/zinc/78/78/52/340787852.db2.gz AAJHZWFRNSILOG-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CC[C@@H](N[C@H](c1ccc(C)cc1)c1ccccn1)C1CCOCC1 ZINC000530370795 340789644 /nfs/dbraw/zinc/78/96/44/340789644.db2.gz CBCLSYNZRWTLRN-TZIWHRDSSA-N 0 3 324.468 4.274 20 0 DIADHN COc1ccc([C@H](N[C@H](C)[C@H](C)OC)c2ccc(C)cc2)cc1 ZINC000537939152 340791874 /nfs/dbraw/zinc/79/18/74/340791874.db2.gz FIEALSXIXDAXCJ-GQIGUUNPSA-N 0 3 313.441 4.106 20 0 DIADHN CC[C@@H](COC)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000537940847 340792025 /nfs/dbraw/zinc/79/20/25/340792025.db2.gz YKCYKFQBQGLFEZ-FUHWJXTLSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1cnc(CCN[C@@H](C)c2cc3cc(C)c(C)cc3o2)nc1 ZINC000537953395 340793005 /nfs/dbraw/zinc/79/30/05/340793005.db2.gz RHPOHNYEHIUKGY-HNNXBMFYSA-N 0 3 309.413 4.041 20 0 DIADHN c1ccc2c(c1)OC1(CCC1)C[C@@H]2NCCOC1CCCCC1 ZINC000537968873 340794185 /nfs/dbraw/zinc/79/41/85/340794185.db2.gz AUAVQRFNMSKMJV-SFHVURJKSA-N 0 3 315.457 4.372 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCCOc1ccccc1F ZINC000537971160 340794479 /nfs/dbraw/zinc/79/44/79/340794479.db2.gz BCVJXYQWOBGTFS-LBPRGKRZSA-N 0 3 322.449 4.444 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1nnn(-c2ccccc2)c1C ZINC000537983563 340795554 /nfs/dbraw/zinc/79/55/54/340795554.db2.gz PQOVXCWVEXDGIC-RRFJBIMHSA-N 0 3 300.450 4.051 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cc(F)ccc32)c2ccccc2n1 ZINC000124799224 340800986 /nfs/dbraw/zinc/80/09/86/340800986.db2.gz YGKRGHIOOQPXJO-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000537989650 340806005 /nfs/dbraw/zinc/80/60/05/340806005.db2.gz CEFUHOKTFGRQPN-HXUWFJFHSA-N 0 3 322.452 4.067 20 0 DIADHN COCCOc1ccccc1CN[C@@H](c1ccccc1)C(C)C ZINC000538060654 340808406 /nfs/dbraw/zinc/80/84/06/340808406.db2.gz PQLCTYYIRIRRFC-HXUWFJFHSA-N 0 3 313.441 4.199 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(C(C)(C)C)cc1)c1nccn1C ZINC000538196942 340812935 /nfs/dbraw/zinc/81/29/35/340812935.db2.gz ILINXYZQPNWEPS-HNNXBMFYSA-N 0 3 313.489 4.346 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(C(C)(C)C)cc1)c1nccn1C ZINC000538196943 340812950 /nfs/dbraw/zinc/81/29/50/340812950.db2.gz ILINXYZQPNWEPS-OAHLLOKOSA-N 0 3 313.489 4.346 20 0 DIADHN Cn1ccnc1[C@H](NC[C@H]1CCC(C)(C)c2ccccc21)C1CC1 ZINC000538307097 340817657 /nfs/dbraw/zinc/81/76/57/340817657.db2.gz VOBWBIUCRFSXLV-VQIMIIECSA-N 0 3 323.484 4.316 20 0 DIADHN COc1c(C)cnc(CN[C@@H](c2ccccc2C)C2CC2)c1C ZINC000538393429 340820307 /nfs/dbraw/zinc/82/03/07/340820307.db2.gz YMGIOTVPAWWVCA-LJQANCHMSA-N 0 3 310.441 4.256 20 0 DIADHN COCCCCCN[C@H](C)c1ccc(Br)cc1F ZINC000126435315 340827046 /nfs/dbraw/zinc/82/70/46/340827046.db2.gz RGWNZUIDDMDSAX-LLVKDONJSA-N 0 3 318.230 4.056 20 0 DIADHN CCc1ccccc1N[C@H](C)c1cccc(OCCN(C)C)c1 ZINC000538511074 340830087 /nfs/dbraw/zinc/83/00/87/340830087.db2.gz GCLJGJHHZWUMHU-MRXNPFEDSA-N 0 3 312.457 4.363 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NC2(c3ccc(F)cc3F)CCC2)CCO1 ZINC000538535808 340832099 /nfs/dbraw/zinc/83/20/99/340832099.db2.gz NTAGSKLJDWXAQW-YOEHRIQHSA-N 0 3 309.400 4.137 20 0 DIADHN CCN1CCN(c2ccccc2N[C@H](C)c2cccc(C)c2)CC1 ZINC000538545302 340832370 /nfs/dbraw/zinc/83/23/70/340832370.db2.gz OISHMYCGAQTNBH-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2cc(C)sc2C)cc1 ZINC000127022597 340841406 /nfs/dbraw/zinc/84/14/06/340841406.db2.gz HQHTXDHDHHBMQC-AWEZNQCLSA-N 0 3 318.486 4.274 20 0 DIADHN Cc1cccc(CN[C@@H](C)[C@H](C)c2nc3ccccc3s2)n1 ZINC000127265569 340848019 /nfs/dbraw/zinc/84/80/19/340848019.db2.gz ISZGRPOESCBAGG-KBPBESRZSA-N 0 3 311.454 4.282 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)s1 ZINC000127282185 340848342 /nfs/dbraw/zinc/84/83/42/340848342.db2.gz PHOMSSINBWSXRV-MNOVXSKESA-N 0 3 318.442 4.154 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1ccc(Br)cc1F ZINC000127315906 340849011 /nfs/dbraw/zinc/84/90/11/340849011.db2.gz BLDYKPQSSOCARF-KOLCDFICSA-N 0 3 318.255 4.134 20 0 DIADHN c1cc(-c2nc(CNCCc3ccccc3)cs2)cs1 ZINC000066697168 340850014 /nfs/dbraw/zinc/85/00/14/340850014.db2.gz AKMFHBQNTBAOGR-UHFFFAOYSA-N 0 3 300.452 4.204 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCOC3(CCCCC3)C2)c(F)c1 ZINC000127425392 340850891 /nfs/dbraw/zinc/85/08/91/340850891.db2.gz JLMWQJZJKSNAOL-HUUCEWRRSA-N 0 3 321.436 4.367 20 0 DIADHN CCSCC[C@H](C)NCc1csc(Br)c1 ZINC000127496622 340851934 /nfs/dbraw/zinc/85/19/34/340851934.db2.gz FNCHPQKYAVIAQS-VIFPVBQESA-N 0 3 308.310 4.132 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H](C)c2ccc(F)cc2)n1 ZINC000127641273 340854414 /nfs/dbraw/zinc/85/44/14/340854414.db2.gz IWSVHCODSBFMKP-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CC[C@H](c2ccccc2)C1 ZINC000127648556 340854664 /nfs/dbraw/zinc/85/46/64/340854664.db2.gz VHYBUADKPOKFMI-BZSNNMDCSA-N 0 3 324.472 4.162 20 0 DIADHN CC[C@H](O)CCN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000128140975 340861242 /nfs/dbraw/zinc/86/12/42/340861242.db2.gz UQVHOOCAARVABF-OCCSQVGLSA-N 0 3 323.383 4.253 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H](C(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000539042730 340866654 /nfs/dbraw/zinc/86/66/54/340866654.db2.gz HZAAGPAHUMZHAE-HEHGZKQESA-N 0 3 322.518 4.266 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(C(C)(C)C)cc1)c1cnccn1 ZINC000539042719 340866681 /nfs/dbraw/zinc/86/66/81/340866681.db2.gz HDOUHQVDSKQMPZ-HNNXBMFYSA-N 0 3 311.473 4.403 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000539046778 340867083 /nfs/dbraw/zinc/86/70/83/340867083.db2.gz MGKQDLZYYBBDBL-IERDGZPVSA-N 0 3 324.468 4.313 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCCN(c3ccccc3F)C2)o1 ZINC000539047275 340867266 /nfs/dbraw/zinc/86/72/66/340867266.db2.gz MONDYXQOAPRRBC-GJZGRUSLSA-N 0 3 302.393 4.047 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H]2CCc3c2cccc3Cl)C1 ZINC000539055347 340868548 /nfs/dbraw/zinc/86/85/48/340868548.db2.gz YDZHSVYTMOBSSS-MOPGFXCFSA-N 0 3 312.844 4.104 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1cc2c(s1)CCCC2 ZINC000539055477 340868571 /nfs/dbraw/zinc/86/85/71/340868571.db2.gz BYQZKUUWIRYSSO-TZMCWYRMSA-N 0 3 321.511 4.381 20 0 DIADHN OCCC1(CN[C@@H](c2ccccc2)c2ccc(F)cc2)CCC1 ZINC000539058517 340869048 /nfs/dbraw/zinc/86/90/48/340869048.db2.gz KGTFAISGLZEIPE-IBGZPJMESA-N 0 3 313.416 4.057 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCCOc1ccc(C)cc1)CCC2 ZINC000539067711 340870200 /nfs/dbraw/zinc/87/02/00/340870200.db2.gz JEGBOAYQHJRRAW-HNNXBMFYSA-N 0 3 316.470 4.060 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2N(C)C)cc1Cl ZINC000539072286 340870475 /nfs/dbraw/zinc/87/04/75/340870475.db2.gz GNXZROYHWNDENS-CYBMUJFWSA-N 0 3 318.848 4.265 20 0 DIADHN CC(C)N1CC[C@@H](N[C@H](C)c2ccc(-c3ccccc3)cc2)C1=O ZINC000539085729 340871953 /nfs/dbraw/zinc/87/19/53/340871953.db2.gz UDRMRYDWFBESTI-OXQOHEQNSA-N 0 3 322.452 4.014 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cccc(OC(F)(F)F)c1 ZINC000539103690 340873617 /nfs/dbraw/zinc/87/36/17/340873617.db2.gz AZKYSRZARVFWTJ-LBPRGKRZSA-N 0 3 324.346 4.393 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)Oc1ccccc1C ZINC000539124249 340874556 /nfs/dbraw/zinc/87/45/56/340874556.db2.gz MXJPJRPTPUOQCE-WMZOPIPTSA-N 0 3 315.461 4.281 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCc2c1cccc2Cl ZINC000539123961 340874569 /nfs/dbraw/zinc/87/45/69/340874569.db2.gz IIBRUXYWHLPNKA-HUUCEWRRSA-N 0 3 307.846 4.020 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)C(C)(C)c2ccccc2)c1C ZINC000539257720 340878706 /nfs/dbraw/zinc/87/87/06/340878706.db2.gz XVWCQSRSFNIOHU-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN CCCn1c(C)nnc1CN[C@@H](CC1CCCCC1)C(C)(C)C ZINC000539492508 340886822 /nfs/dbraw/zinc/88/68/22/340886822.db2.gz YZQVZKBBPNBANR-KRWDZBQOSA-N 0 3 320.525 4.471 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000539494709 340887195 /nfs/dbraw/zinc/88/71/95/340887195.db2.gz NAKLWEIMSCGALH-VCTAVGKDSA-N 0 3 312.240 4.041 20 0 DIADHN Fc1cc(F)c(CNCCCc2c[nH]c3ccccc23)cc1F ZINC000539503010 340888017 /nfs/dbraw/zinc/88/80/17/340888017.db2.gz GANDRLBBESMWAE-UHFFFAOYSA-N 0 3 318.342 4.308 20 0 DIADHN CO[C@H](C)c1nc(CNC[C@H](C)CCc2ccccc2)cs1 ZINC000539513306 340888796 /nfs/dbraw/zinc/88/87/96/340888796.db2.gz WCEFKFDELMHWAF-HUUCEWRRSA-N 0 3 318.486 4.209 20 0 DIADHN c1ccc([C@@H](NCc2ccc3ncccc3c2)[C@@H]2CCOC2)cc1 ZINC000539553921 340890422 /nfs/dbraw/zinc/89/04/22/340890422.db2.gz ZHUNNUWADOCTNF-TZIWHRDSSA-N 0 3 318.420 4.102 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NC/C=C/c1ccc(F)cc1 ZINC000539588480 340891392 /nfs/dbraw/zinc/89/13/92/340891392.db2.gz MREPMIWBKYGROY-BNHQJTTASA-N 0 3 317.379 4.344 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2cnc(C3CCCC3)s2)CCO1 ZINC000539712331 340896859 /nfs/dbraw/zinc/89/68/59/340896859.db2.gz DNFGBNNXWDEWDS-JKSUJKDBSA-N 0 3 322.518 4.484 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2ccc(F)cn2)cc1 ZINC000539969570 340911517 /nfs/dbraw/zinc/91/15/17/340911517.db2.gz XEKOZANASDEXKK-KYNGSXCRSA-N 0 3 314.404 4.100 20 0 DIADHN C[C@H](NCCCOC1CCCCC1)c1nc2c(s1)CCCC2 ZINC000540085059 340914642 /nfs/dbraw/zinc/91/46/42/340914642.db2.gz IIDQLVVAVYJUJQ-AWEZNQCLSA-N 0 3 322.518 4.412 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2COc3ccccc3C2)cc1Cl ZINC000540138293 340916182 /nfs/dbraw/zinc/91/61/82/340916182.db2.gz BEDPFHVABQTGTP-SWLSCSKDSA-N 0 3 317.816 4.003 20 0 DIADHN C[C@H](NCCc1ccc(F)c(Br)c1)c1ccoc1 ZINC000540140358 340916323 /nfs/dbraw/zinc/91/63/23/340916323.db2.gz IIAWBMWMPNYDPA-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H](CO)CC(C)(C)C)c(C)c2c1 ZINC000540147568 340917090 /nfs/dbraw/zinc/91/70/90/340917090.db2.gz AMHPQSCRWVMPAA-UONOGXRCSA-N 0 3 319.445 4.198 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000540146906 340917156 /nfs/dbraw/zinc/91/71/56/340917156.db2.gz BYPMDYDXXWMBFL-GUYCJALGSA-N 0 3 323.358 4.398 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(F)cn1)Oc1cccc(Cl)c1 ZINC000540154903 340918144 /nfs/dbraw/zinc/91/81/44/340918144.db2.gz ZTBZWGHBWOQZHA-IUODEOHRSA-N 0 3 322.811 4.382 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000540154510 340918185 /nfs/dbraw/zinc/91/81/85/340918185.db2.gz VBGVEZXYMWEKQP-ZDUSSCGKSA-N 0 3 319.445 4.281 20 0 DIADHN CC[C@H](NCCSCC(F)(F)F)c1cc(C)ccc1OC ZINC000540162983 340919244 /nfs/dbraw/zinc/91/92/44/340919244.db2.gz CUBMGQMQORJUEF-ZDUSSCGKSA-N 0 3 321.408 4.340 20 0 DIADHN Cc1ccc(OCCN[C@@H](C)c2ccc3ccccc3n2)cc1 ZINC000540175064 340920740 /nfs/dbraw/zinc/92/07/40/340920740.db2.gz FQSAPIHJWAKZRL-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN Cc1cc2cc([C@@H](C)NCC(C)(C)C[C@@H](C)O)oc2cc1C ZINC000540182873 340921389 /nfs/dbraw/zinc/92/13/89/340921389.db2.gz FOYSRSFSURYCDT-HUUCEWRRSA-N 0 3 303.446 4.497 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1C)c1ccc(-n2cccn2)cc1 ZINC000540182962 340921418 /nfs/dbraw/zinc/92/14/18/340921418.db2.gz XGIOHMDIMUUNKY-VWKPWSFCSA-N 0 3 317.436 4.456 20 0 DIADHN C[C@@H](Cc1ccsc1)N[C@@H]1CCCOc2ccc(F)cc21 ZINC000540189662 340922413 /nfs/dbraw/zinc/92/24/13/340922413.db2.gz WGBCAQVDZYHECE-BLLLJJGKSA-N 0 3 305.418 4.322 20 0 DIADHN C[C@@H](Cc1ccsc1)N[C@H]1CCCOc2ccc(F)cc21 ZINC000540189663 340922452 /nfs/dbraw/zinc/92/24/52/340922452.db2.gz WGBCAQVDZYHECE-LRDDRELGSA-N 0 3 305.418 4.322 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(OCc2cccnc2)cc1 ZINC000540323164 340926893 /nfs/dbraw/zinc/92/68/93/340926893.db2.gz APNVWQNSSSBTHU-ZDUSSCGKSA-N 0 3 324.346 4.264 20 0 DIADHN C[C@@H](NCc1nc2cc(F)ccc2o1)C(C)(C)c1ccccc1 ZINC000540352911 340928072 /nfs/dbraw/zinc/92/80/72/340928072.db2.gz BXVGAYJNZADOIB-CYBMUJFWSA-N 0 3 312.388 4.423 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)Nc2cccc(C)c2C)c1 ZINC000540416672 340930356 /nfs/dbraw/zinc/93/03/56/340930356.db2.gz KSBDEQDQKITSFS-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Fc1ccccc1[C@H](NCCOCC(F)(F)F)C1CCCC1 ZINC000540424977 340930950 /nfs/dbraw/zinc/93/09/50/340930950.db2.gz QRQCNILORXWOCB-OAHLLOKOSA-N 0 3 319.342 4.226 20 0 DIADHN FC(F)(F)CCN[C@@H](COCc1ccccc1)c1ccccc1 ZINC000540456731 340932009 /nfs/dbraw/zinc/93/20/09/340932009.db2.gz OZRNETBJXWRJIC-KRWDZBQOSA-N 0 3 323.358 4.487 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(Cl)cc1Cl)C(C)(C)C ZINC000540589521 340936452 /nfs/dbraw/zinc/93/64/52/340936452.db2.gz ZAYVTJAIVWYFGI-ZDUSSCGKSA-N 0 3 317.260 4.346 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc3ccccc3n2)C12CCCC2 ZINC000540596648 340937508 /nfs/dbraw/zinc/93/75/08/340937508.db2.gz DQXLQKWWFWHCDR-RTBURBONSA-N 0 3 310.441 4.062 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@@H](CO)CCSC ZINC000540604854 340937988 /nfs/dbraw/zinc/93/79/88/340937988.db2.gz AJLJJLZIHKYLJU-UONOGXRCSA-N 0 3 321.486 4.068 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000540605031 340938116 /nfs/dbraw/zinc/93/81/16/340938116.db2.gz DWCOLQFVMJTSMF-AGRHKRQWSA-N 0 3 317.379 4.454 20 0 DIADHN Cn1ccc(CN[C@H]2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)n1 ZINC000540606676 340938321 /nfs/dbraw/zinc/93/83/21/340938321.db2.gz POYRYDROYVYKEK-CJNGLKHVSA-N 0 3 324.255 4.153 20 0 DIADHN CCOCCC1(CNCc2ccc([C@H]3C[C@@H]3C)o2)CCCC1 ZINC000540611541 340938853 /nfs/dbraw/zinc/93/88/53/340938853.db2.gz KNPMNIRNSSXYMB-RDJZCZTQSA-N 0 3 305.462 4.480 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCN(c3ccc(Cl)cc3)C2)o1 ZINC000540662232 340940839 /nfs/dbraw/zinc/94/08/39/340940839.db2.gz ONIJOFWNHFMYBV-HIFRSBDPSA-N 0 3 304.821 4.171 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cc(C)ccc1F ZINC000540686459 340941392 /nfs/dbraw/zinc/94/13/92/340941392.db2.gz MROYPBWOMOKCKM-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](C)Cc2cccs2)c(F)c1 ZINC000540702360 340942267 /nfs/dbraw/zinc/94/22/67/340942267.db2.gz SERVLJYLZKAIHK-STQMWFEESA-N 0 3 307.434 4.425 20 0 DIADHN C[C@@H](Nc1ccc(F)c(F)c1)c1cccc(OCCN(C)C)c1 ZINC000540746656 340944149 /nfs/dbraw/zinc/94/41/49/340944149.db2.gz XCESMOJPBIVPLT-CYBMUJFWSA-N 0 3 320.383 4.078 20 0 DIADHN COCC1(N[C@@H](C)c2cc3cc(Br)ccc3o2)CC1 ZINC000540749716 340944327 /nfs/dbraw/zinc/94/43/27/340944327.db2.gz LXSRVKOMOMWQBO-JTQLQIEISA-N 0 3 324.218 4.025 20 0 DIADHN Cc1cc(N[C@H](C)c2ccc(OCCN(C)C)cc2)ccc1F ZINC000540787740 340945958 /nfs/dbraw/zinc/94/59/58/340945958.db2.gz VTWWJFKDOMHBFN-OAHLLOKOSA-N 0 3 316.420 4.248 20 0 DIADHN Cc1cc(F)cc(N[C@H](C)c2cccc(OCCN(C)C)c2)c1 ZINC000540839733 340948228 /nfs/dbraw/zinc/94/82/28/340948228.db2.gz NXYUAJOFCTZNAY-OAHLLOKOSA-N 0 3 316.420 4.248 20 0 DIADHN C[C@@H](Cc1ccsc1)N1CCC(Oc2ccc(F)cc2)CC1 ZINC000541225011 340962702 /nfs/dbraw/zinc/96/27/02/340962702.db2.gz KZZXACWKISYJFK-AWEZNQCLSA-N 0 3 319.445 4.362 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc(Cn3cccn3)c1)CC2 ZINC000129463261 340963460 /nfs/dbraw/zinc/96/34/60/340963460.db2.gz COYLVBCJQLOXRI-NRFANRHFSA-N 0 3 317.436 4.017 20 0 DIADHN C[C@@H]1OCC[C@@]1(C)NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000541385593 340969126 /nfs/dbraw/zinc/96/91/26/340969126.db2.gz HDDPQFVGQZWLJR-TVQRCGJNSA-N 0 3 307.743 4.016 20 0 DIADHN C[C@@H](N[C@@H](c1nccn1C)c1ccccc1)C1CCCCCC1 ZINC000541550199 340973732 /nfs/dbraw/zinc/97/37/32/340973732.db2.gz OFCMJMNUCORPJT-VQIMIIECSA-N 0 3 311.473 4.458 20 0 DIADHN Cc1ccc(CCN[C@@H](c2cccnc2)c2ccc(F)c(C)c2)o1 ZINC000541552954 340973894 /nfs/dbraw/zinc/97/38/94/340973894.db2.gz XTZSDRNTDFCNJU-HXUWFJFHSA-N 0 3 324.399 4.352 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)N[C@@H](C)CCC(F)(F)F ZINC000541556611 340974287 /nfs/dbraw/zinc/97/42/87/340974287.db2.gz KUHURYBEDUUGPU-RYUDHWBXSA-N 0 3 313.367 4.438 20 0 DIADHN Clc1cnc(CN[C@H](Cc2ccccc2)C2CC2)c(Cl)c1 ZINC000541618497 340977843 /nfs/dbraw/zinc/97/78/43/340977843.db2.gz LTTMMOTXOGNTJU-MRXNPFEDSA-N 0 3 321.251 4.499 20 0 DIADHN CC[C@H]1CCCCN1C(=O)CN[C@@H](CC(C)C)c1cccs1 ZINC000541627237 340978473 /nfs/dbraw/zinc/97/84/73/340978473.db2.gz PAZJIHMHTKDWLM-HOTGVXAUSA-N 0 3 322.518 4.216 20 0 DIADHN CCc1cnccc1[C@@H](C)NC/C=C\c1ccc(F)cc1F ZINC000541775547 340983804 /nfs/dbraw/zinc/98/38/04/340983804.db2.gz VGFZQHDFWFWXPC-DSYXLKISSA-N 0 3 302.368 4.286 20 0 DIADHN Cc1cccc(C)c1CN[C@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000541952938 340991294 /nfs/dbraw/zinc/99/12/94/340991294.db2.gz XWXLMPYXZMDOKJ-QGZVFWFLSA-N 0 3 323.358 4.145 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cn(C)nc1-c1ccccc1 ZINC000542019467 340993562 /nfs/dbraw/zinc/99/35/62/340993562.db2.gz UZQGVFXCMIVDBS-LGMDPLHJSA-N 0 3 317.436 4.280 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000542158498 340997765 /nfs/dbraw/zinc/99/77/65/340997765.db2.gz CKIAWWGJKKBPMH-IKGGRYGDSA-N 0 3 313.441 4.076 20 0 DIADHN Cc1nc2ccccc2c(N2CCC(OCC3CC3)CC2)c1C ZINC000542207301 340999994 /nfs/dbraw/zinc/99/99/94/340999994.db2.gz WZCMAKDJGIYXTE-UHFFFAOYSA-N 0 3 310.441 4.247 20 0 DIADHN COc1ccc(C2(NCc3cc(C)c4ncccc4c3)CC2)cc1 ZINC000542248825 341001876 /nfs/dbraw/zinc/00/18/76/341001876.db2.gz XLBFOBRYYXYZER-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)C[C@@H]2CC=CCC2)c(Cl)c1 ZINC000542254027 341001994 /nfs/dbraw/zinc/00/19/94/341001994.db2.gz JHFSYMPVQVDLEI-LSDHHAIUSA-N 0 3 320.864 4.264 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)C[C@H]2CC=CCC2)c(Cl)c1 ZINC000542254025 341002163 /nfs/dbraw/zinc/00/21/63/341002163.db2.gz JHFSYMPVQVDLEI-GJZGRUSLSA-N 0 3 320.864 4.264 20 0 DIADHN Clc1ccccc1-c1ccc(CNCC[C@@H]2CCOC2)o1 ZINC000542299834 341003702 /nfs/dbraw/zinc/00/37/02/341003702.db2.gz NLWQRNQTMPXJNF-CYBMUJFWSA-N 0 3 305.805 4.116 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2cc(C(F)(F)F)ccc2F)CCO1 ZINC000542302176 341003760 /nfs/dbraw/zinc/00/37/60/341003760.db2.gz FEBGSQYHMUTYQW-NEPJUHHUSA-N 0 3 319.342 4.139 20 0 DIADHN C[C@@H](CN(C)CCCOc1cccc(Cl)c1)c1nccs1 ZINC000542308810 341003941 /nfs/dbraw/zinc/00/39/41/341003941.db2.gz SABOLEWIPXYZIV-ZDUSSCGKSA-N 0 3 324.877 4.301 20 0 DIADHN C[C@@H]1OCC[C@@]1(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000542316532 341004428 /nfs/dbraw/zinc/00/44/28/341004428.db2.gz UVUKNRRYWLXPOX-TVQRCGJNSA-N 0 3 307.743 4.016 20 0 DIADHN CC[C@H](c1ccncc1)N(C)CCOc1ccccc1Cl ZINC000130198577 341006098 /nfs/dbraw/zinc/00/60/98/341006098.db2.gz YHYZOKGBHMKPQS-MRXNPFEDSA-N 0 3 304.821 4.197 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](NCc2nc(C3CC3)cs2)C1 ZINC000130211719 341006765 /nfs/dbraw/zinc/00/67/65/341006765.db2.gz IVYZAOSMVILRAN-QWRGUYRKSA-N 0 3 304.381 4.231 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2nc(C3CC3)cs2)C1 ZINC000130211512 341006815 /nfs/dbraw/zinc/00/68/15/341006815.db2.gz IVYZAOSMVILRAN-WDEREUQCSA-N 0 3 304.381 4.231 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2ccn(C3CCCC3)n2)C1 ZINC000130225655 341007464 /nfs/dbraw/zinc/00/74/64/341007464.db2.gz JAEBMDPNTRWQBH-CHWSQXEVSA-N 0 3 315.383 4.209 20 0 DIADHN C[C@@H](NCc1ccc(-n2cccn2)cc1)c1cccc(Cl)c1 ZINC000070742727 341008045 /nfs/dbraw/zinc/00/80/45/341008045.db2.gz XIVAATNZIGZBOL-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCCCC1)c1nnc2n1CCCCC2 ZINC000542479885 341009204 /nfs/dbraw/zinc/00/92/04/341009204.db2.gz ZEMCZCXEUPYQGD-LSDHHAIUSA-N 0 3 304.482 4.014 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2scnc2C)c1 ZINC000542483496 341009392 /nfs/dbraw/zinc/00/93/92/341009392.db2.gz OVABBBXAPGGTFX-MLGOLLRUSA-N 0 3 302.443 4.043 20 0 DIADHN CS[C@@H](CNCc1cn(C)nc1C1CCCCC1)C(C)(C)C ZINC000542484891 341009581 /nfs/dbraw/zinc/00/95/81/341009581.db2.gz YQIVORUHYCAYQH-INIZCTEOSA-N 0 3 323.550 4.335 20 0 DIADHN Fc1ccc([C@H](NCC2(C3CC3)CCC2)c2cccnc2)cc1 ZINC000542494501 341010228 /nfs/dbraw/zinc/01/02/28/341010228.db2.gz KDNYDSZKGNEZMF-IBGZPJMESA-N 0 3 310.416 4.480 20 0 DIADHN Fc1ccc([C@@H](NC2CCC(F)(F)CC2)c2cccnc2)cc1 ZINC000542496313 341010420 /nfs/dbraw/zinc/01/04/20/341010420.db2.gz KABOTJTVWHXYRD-QGZVFWFLSA-N 0 3 320.358 4.478 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1ncc(Br)s1 ZINC000130297702 341014600 /nfs/dbraw/zinc/01/46/00/341014600.db2.gz FZZMLTOKGNTONQ-AEJSXWLSSA-N 0 3 305.285 4.381 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1CCO[C@H]1CCCCO1 ZINC000542514383 341011278 /nfs/dbraw/zinc/01/12/78/341011278.db2.gz BJXFEAYQEYCZHS-SJORKVTESA-N 0 3 309.837 4.020 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2cc(F)c(F)c(F)c2)s1 ZINC000130274051 341011851 /nfs/dbraw/zinc/01/18/51/341011851.db2.gz IJHIONFBBZKNAV-SECBINFHSA-N 0 3 314.376 4.016 20 0 DIADHN O=C(CNC1(C2CC2)CC1)N(C1CCCCC1)C1CCCCC1 ZINC000542524221 341012027 /nfs/dbraw/zinc/01/20/27/341012027.db2.gz PSYMYSHAJTTZRD-UHFFFAOYSA-N 0 3 318.505 4.013 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)NC1(C2CC2)CC1 ZINC000542527009 341012255 /nfs/dbraw/zinc/01/22/55/341012255.db2.gz YDELYWVZVWYHBM-ZIAGYGMSSA-N 0 3 300.446 4.059 20 0 DIADHN CCc1ccc(CCN[C@H](c2ncc(C)s2)C2CC2)cc1 ZINC000542531421 341012438 /nfs/dbraw/zinc/01/24/38/341012438.db2.gz MRUWYRLUZFDCRM-KRWDZBQOSA-N 0 3 300.471 4.297 20 0 DIADHN Cc1csc([C@H](NCCCc2ccc(C)cc2)C2CC2)n1 ZINC000542551568 341013135 /nfs/dbraw/zinc/01/31/35/341013135.db2.gz QQDLUMXMMJSVRB-QGZVFWFLSA-N 0 3 300.471 4.433 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)c1C ZINC000542574505 341014282 /nfs/dbraw/zinc/01/42/82/341014282.db2.gz ONTRZRNUPYZPCQ-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN CC(C(=O)Nc1cccc(C(F)F)c1Cl)C(F)(F)F ZINC000542578978 341014561 /nfs/dbraw/zinc/01/45/61/341014561.db2.gz OYQQLTLCMSUZBC-YFKPBYRVSA-N 0 3 301.642 4.415 20 0 DIADHN CC(C)CC1(CN[C@H](c2ccccc2)c2cncnc2)CCC1 ZINC000542661686 341015795 /nfs/dbraw/zinc/01/57/95/341015795.db2.gz CSMXYUFNTKYVBS-LJQANCHMSA-N 0 3 309.457 4.372 20 0 DIADHN Cc1ccc([C@@H](NCCC(=O)Nc2ccccc2)C2CCC2)o1 ZINC000542659791 341015862 /nfs/dbraw/zinc/01/58/62/341015862.db2.gz AGTIZFOTNJFQIN-IBGZPJMESA-N 0 3 312.413 4.048 20 0 DIADHN C[C@@H]1CCCC[C@H]1CCN[C@@H](c1ccccc1)c1cncnc1 ZINC000542663708 341015933 /nfs/dbraw/zinc/01/59/33/341015933.db2.gz YVKSEENWVKKFSC-UWVAXJGDSA-N 0 3 309.457 4.372 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN[C@@H](c2ccccc2)c2cncnc2)C1 ZINC000542664933 341016137 /nfs/dbraw/zinc/01/61/37/341016137.db2.gz XIIPXMDPMDRNEI-UWVAXJGDSA-N 0 3 309.457 4.372 20 0 DIADHN Cc1ccc([C@H](NCCc2nc(C)oc2C)C2CCCC2)o1 ZINC000542664825 341016141 /nfs/dbraw/zinc/01/61/41/341016141.db2.gz UJXMFULIHYJPGP-GOSISDBHSA-N 0 3 302.418 4.256 20 0 DIADHN CC[C@@H](NCC(C)(C)c1c(F)cccc1Cl)c1ccn(C)n1 ZINC000542667359 341016225 /nfs/dbraw/zinc/01/62/25/341016225.db2.gz LEOFFFXKLHRLGT-CQSZACIVSA-N 0 3 323.843 4.231 20 0 DIADHN COC1CCC(CCN[C@H](C)c2nc3c(s2)CCCC3)CC1 ZINC000542666478 341016251 /nfs/dbraw/zinc/01/62/51/341016251.db2.gz ZVXOHXFUNRQKPT-WLYUNCDWSA-N 0 3 322.518 4.268 20 0 DIADHN CC[C@H]1CCCN1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000542698999 341017762 /nfs/dbraw/zinc/01/77/62/341017762.db2.gz CPDLDBHNUPEPTI-LBPRGKRZSA-N 0 3 305.315 4.141 20 0 DIADHN CC[C@H](NCCc1ccc(OC(F)F)cc1)c1ccc(F)cn1 ZINC000542773654 341019819 /nfs/dbraw/zinc/01/98/19/341019819.db2.gz BYCSOSNCGNXWPU-HNNXBMFYSA-N 0 3 324.346 4.106 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2CC[C@H]1C)c1ccc2c(c1)OCCO2 ZINC000542776246 341020028 /nfs/dbraw/zinc/02/00/28/341020028.db2.gz GQHXHEAPTKDRIL-LRAJWGHMSA-N 0 3 323.436 4.432 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2CC[C@@H]1C)c1ccc2c(c1)OCCO2 ZINC000542776242 341020058 /nfs/dbraw/zinc/02/00/58/341020058.db2.gz GQHXHEAPTKDRIL-GXZWQRSESA-N 0 3 323.436 4.432 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2cc3ccccc3o2)s1 ZINC000130372785 341020082 /nfs/dbraw/zinc/02/00/82/341020082.db2.gz VKFUWPVGLCEQLJ-GFCCVEGCSA-N 0 3 300.427 4.345 20 0 DIADHN Cn1ccc(CN[C@@H]2CC3(CCCCC3)Oc3ccccc32)c1 ZINC000130412285 341021144 /nfs/dbraw/zinc/02/11/44/341021144.db2.gz DJZPUPAKSSTTJX-GOSISDBHSA-N 0 3 310.441 4.341 20 0 DIADHN C[C@H](N[C@H](c1cccs1)c1ccc(F)cc1)[C@@H]1CCCOC1 ZINC000542811897 341021696 /nfs/dbraw/zinc/02/16/96/341021696.db2.gz RVZRGSTZXFJJQU-JOQOYGCGSA-N 0 3 319.445 4.381 20 0 DIADHN C[C@]1(NCc2ccc(-n3cccn3)cc2)CCCc2ccccc21 ZINC000542820509 341022092 /nfs/dbraw/zinc/02/20/92/341022092.db2.gz LHCZUHAEGVYHOL-NRFANRHFSA-N 0 3 317.436 4.214 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000542883153 341025257 /nfs/dbraw/zinc/02/52/57/341025257.db2.gz JWOYLAKHPYVLRM-TWOQFEAHSA-N 0 3 314.473 4.088 20 0 DIADHN CO[C@H](C)CN(C)[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000542929989 341026559 /nfs/dbraw/zinc/02/65/59/341026559.db2.gz ILLFMWSPILXMHG-HIFRSBDPSA-N 0 3 318.486 4.455 20 0 DIADHN FC(F)(F)c1cc([C@H](N[C@@H]2CCOC2)C2CC2)ccc1Cl ZINC000543073554 341030614 /nfs/dbraw/zinc/03/06/14/341030614.db2.gz BBBRKXCFYFETNA-BXUZGUMPSA-N 0 3 319.754 4.188 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000543088106 341031230 /nfs/dbraw/zinc/03/12/30/341031230.db2.gz BZZQAVKMRAWBGP-QWQRMKEZSA-N 0 3 303.833 4.178 20 0 DIADHN CC(C)(C)OC(=O)CCCCN(Cc1ccccc1F)C1CC1 ZINC000543140559 341033856 /nfs/dbraw/zinc/03/38/56/341033856.db2.gz PYBCHUHAIRGOKT-UHFFFAOYSA-N 0 3 321.436 4.302 20 0 DIADHN COc1ccccc1C(C)(C)NCCc1cccc(F)c1F ZINC000543140813 341033871 /nfs/dbraw/zinc/03/38/71/341033871.db2.gz UECDSCQXCGLBTA-UHFFFAOYSA-N 0 3 305.368 4.041 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCc1ccccc1Cl ZINC000130652203 341037054 /nfs/dbraw/zinc/03/70/54/341037054.db2.gz CCQFKZWKKLCCMC-INIZCTEOSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2cccc(Cl)c2Cl)CCO1 ZINC000543230678 341037278 /nfs/dbraw/zinc/03/72/78/341037278.db2.gz RHXJCOSADHDZGG-RYUDHWBXSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1ccc(C)c(OCCCN(C)C[C@H](C)c2nccs2)c1 ZINC000543236892 341037391 /nfs/dbraw/zinc/03/73/91/341037391.db2.gz FQVJJBIUPRUTCU-INIZCTEOSA-N 0 3 318.486 4.264 20 0 DIADHN C[C@@]1(NCc2cc(Cl)ccc2Cl)CCO[C@H]1C1CC1 ZINC000543237210 341037397 /nfs/dbraw/zinc/03/73/97/341037397.db2.gz ULTNLVXGJAAHAD-LSDHHAIUSA-N 0 3 300.229 4.041 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@]2(C)CCO[C@@H]2C)o1 ZINC000543243181 341037713 /nfs/dbraw/zinc/03/77/13/341037713.db2.gz HVJKFSJIPXCOCA-ACJLOTCBSA-N 0 3 303.377 4.051 20 0 DIADHN c1ncc(CNCCn2c3ccccc3c3ccccc32)s1 ZINC000543242734 341037725 /nfs/dbraw/zinc/03/77/25/341037725.db2.gz BLGAYDLNIADMFY-UHFFFAOYSA-N 0 3 307.422 4.041 20 0 DIADHN Cc1cc(C)cc(OCCCN(C)C[C@H](C)c2nccs2)c1 ZINC000543241656 341037746 /nfs/dbraw/zinc/03/77/46/341037746.db2.gz IZIBNKDVVHTOPA-INIZCTEOSA-N 0 3 318.486 4.264 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@@H](C(C)(C)C)C2)n1 ZINC000543247947 341038188 /nfs/dbraw/zinc/03/81/88/341038188.db2.gz KAHKJGDGDBFAHK-HUUCEWRRSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cccc(CCN[C@H](c2ccc(F)cc2)c2cccnc2)c1 ZINC000543406058 341042483 /nfs/dbraw/zinc/04/24/83/341042483.db2.gz ZGMWZYSZSCSJDU-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1cccc(CCN[C@@H](c2ccccc2)c2ccc(F)cn2)c1 ZINC000543404606 341042529 /nfs/dbraw/zinc/04/25/29/341042529.db2.gz LHFYVVWOPKCOAG-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCC[C@H]1CCO[C@@H](C)C1 ZINC000543417866 341043549 /nfs/dbraw/zinc/04/35/49/341043549.db2.gz GBYAISKVCJICJL-IHRRRGAJSA-N 0 3 311.853 4.204 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCCS2)c2cccnc2)cc1 ZINC000543428575 341044188 /nfs/dbraw/zinc/04/41/88/341044188.db2.gz AOWNPRCPPHHAGE-MSOLQXFVSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@H](NC1(C2CC2)CC1)C(=O)Nc1ccccc1-c1ccccc1 ZINC000543452476 341045580 /nfs/dbraw/zinc/04/55/80/341045580.db2.gz AMMDIPJNCKNTAR-HNNXBMFYSA-N 0 3 320.436 4.213 20 0 DIADHN C[C@H](NCc1csc(N(C)C2CC2)n1)[C@@H](C)c1ccccc1 ZINC000543472435 341046390 /nfs/dbraw/zinc/04/63/90/341046390.db2.gz WEMDCMQPMMQLIT-KGLIPLIRSA-N 0 3 315.486 4.024 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](C)[C@H](C)c2ccccc2)c1 ZINC000543472824 341046395 /nfs/dbraw/zinc/04/63/95/341046395.db2.gz LYTCWGJXTDYCPF-BBWFWOEESA-N 0 3 310.441 4.104 20 0 DIADHN CC(C)C[C@H](NCC[S@@](=O)c1ccccc1)c1cccs1 ZINC000543484615 341046925 /nfs/dbraw/zinc/04/69/25/341046925.db2.gz CZUURYSSCKPNSH-HRAATJIYSA-N 0 3 321.511 4.233 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)cc1F ZINC000543506385 341048028 /nfs/dbraw/zinc/04/80/28/341048028.db2.gz QQVXSGUCGJTNTO-LBPRGKRZSA-N 0 3 320.433 4.048 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)NCC3CCCC3)n2)ccc1F ZINC000543546522 341048794 /nfs/dbraw/zinc/04/87/94/341048794.db2.gz MFFNEIXAYJWAIX-GFCCVEGCSA-N 0 3 303.381 4.025 20 0 DIADHN C[C@H](CN[C@@H](c1ccccc1)c1cncnc1)Cc1cccs1 ZINC000543592207 341049637 /nfs/dbraw/zinc/04/96/37/341049637.db2.gz UPDZOEYPWBZILI-KXBFYZLASA-N 0 3 323.465 4.096 20 0 DIADHN c1ccc([C@H](N[C@@H]2CC[C@@H]3CCC[C@H]3C2)c2cncnc2)cc1 ZINC000543591158 341049650 /nfs/dbraw/zinc/04/96/50/341049650.db2.gz DSEZNGKELNCHGQ-UUXHPUJUSA-N 0 3 307.441 4.124 20 0 DIADHN c1ccc([C@H](N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)c2cncnc2)cc1 ZINC000543591160 341049737 /nfs/dbraw/zinc/04/97/37/341049737.db2.gz DSEZNGKELNCHGQ-ZSXHRAQDSA-N 0 3 307.441 4.124 20 0 DIADHN C[C@H](CN[C@H](c1ccccc1)c1cncnc1)C1CCCCC1 ZINC000543592226 341049814 /nfs/dbraw/zinc/04/98/14/341049814.db2.gz CGTFLGDGRCXEPP-OXQOHEQNSA-N 0 3 309.457 4.372 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)CCc2ccccc2)C2CCC2)o1 ZINC000543598847 341050392 /nfs/dbraw/zinc/05/03/92/341050392.db2.gz LZWFPMMFVHPIBQ-AZUAARDMSA-N 0 3 313.441 4.013 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C/C=C/c1ccc(F)cc1F ZINC000543630434 341052031 /nfs/dbraw/zinc/05/20/31/341052031.db2.gz NTXZMFUGGSQNMK-BPJJOFIESA-N 0 3 303.352 4.377 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000543630762 341052037 /nfs/dbraw/zinc/05/20/37/341052037.db2.gz ARYJYQROUZYSDW-PHIMTYICSA-N 0 3 305.315 4.140 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C/C=C/c1ccc(F)cc1F ZINC000543630435 341052050 /nfs/dbraw/zinc/05/20/50/341052050.db2.gz NTXZMFUGGSQNMK-DIECRNLCSA-N 0 3 303.352 4.377 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1ccccc1Br ZINC000130893345 341053255 /nfs/dbraw/zinc/05/32/55/341053255.db2.gz JLTMXKDVJUVXTK-AGIUHOORSA-N 0 3 312.251 4.057 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)N[C@@H](C)CC(=O)OC(C)(C)C ZINC000543707382 341054521 /nfs/dbraw/zinc/05/45/21/341054521.db2.gz RDXSCXOHOCPQGB-LSDHHAIUSA-N 0 3 321.461 4.245 20 0 DIADHN CCCCCN(CC(=O)Nc1cccc(SC)c1)C(C)C ZINC000071941630 341055330 /nfs/dbraw/zinc/05/53/30/341055330.db2.gz KURGWNVEVJTXRJ-UHFFFAOYSA-N 0 3 308.491 4.248 20 0 DIADHN Cc1cccc2nc(CN(Cc3ccccc3)[C@@H](C)C3CC3)cn21 ZINC000071952440 341055557 /nfs/dbraw/zinc/05/55/57/341055557.db2.gz DIGPOUKTUCWRJF-KRWDZBQOSA-N 0 3 319.452 4.443 20 0 DIADHN CCn1nc(C)c(CN[C@H](c2ccc(F)c(F)c2)C(C)C)c1C ZINC000543774251 341057999 /nfs/dbraw/zinc/05/79/99/341057999.db2.gz NYZVTYCJFPHATD-SFHVURJKSA-N 0 3 321.415 4.285 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3cnc(-c4ccccc4)nc3)C2)C1 ZINC000543778975 341058382 /nfs/dbraw/zinc/05/83/82/341058382.db2.gz FOYHGTVVCAHHPQ-OXQOHEQNSA-N 0 3 307.441 4.156 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccc3c[nH]nc3c1)[C@H]2C ZINC000543780081 341058480 /nfs/dbraw/zinc/05/84/80/341058480.db2.gz MUPKHKPOIZSUDW-KGLIPLIRSA-N 0 3 321.424 4.079 20 0 DIADHN OC[C@H](NCCCc1cccc2ccccc21)c1cccc(F)c1 ZINC000543837798 341060981 /nfs/dbraw/zinc/06/09/81/341060981.db2.gz JBUQASARNUVLBW-NRFANRHFSA-N 0 3 323.411 4.235 20 0 DIADHN CC(C)S(=O)(=O)c1ccc(CN(C)C2CCCCCC2)cc1 ZINC000543845464 341061447 /nfs/dbraw/zinc/06/14/47/341061447.db2.gz MGPYWHOYIMFAMW-UHFFFAOYSA-N 0 3 323.502 4.023 20 0 DIADHN CO[C@@H](C)CN[C@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000543909897 341063082 /nfs/dbraw/zinc/06/30/82/341063082.db2.gz DIJVBBQQQOFYKC-LSDHHAIUSA-N 0 3 317.404 4.090 20 0 DIADHN CO[C@@H](C)CN[C@@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000543909895 341063089 /nfs/dbraw/zinc/06/30/89/341063089.db2.gz DIJVBBQQQOFYKC-GJZGRUSLSA-N 0 3 317.404 4.090 20 0 DIADHN C[C@@H](CCc1n[nH]c2ccccc21)N[C@@H]1CSc2ccccc21 ZINC000543943526 341063852 /nfs/dbraw/zinc/06/38/52/341063852.db2.gz LGJBSWPMFIETCU-SCLBCKFNSA-N 0 3 323.465 4.321 20 0 DIADHN CCC[C@@H](NCc1nnc(C2CC2)n1C1CC1)C1CCCCC1 ZINC000543978921 341064919 /nfs/dbraw/zinc/06/49/19/341064919.db2.gz FPIRJTUWQPNPCN-QGZVFWFLSA-N 0 3 316.493 4.329 20 0 DIADHN COC[C@H](NC1CC(c2ccc(C)cc2)C1)c1ccc(F)cc1 ZINC000543994914 341065645 /nfs/dbraw/zinc/06/56/45/341065645.db2.gz IVBZDLXGEXTQLJ-UUKMXZOPSA-N 0 3 313.416 4.357 20 0 DIADHN OCc1ccc(CN2CCCCC[C@@H]2/C=C\c2cccs2)o1 ZINC000544032945 341067422 /nfs/dbraw/zinc/06/74/22/341067422.db2.gz VVPPJMNUCCPGKF-YYRKOSNBSA-N 0 3 317.454 4.291 20 0 DIADHN CCSCCNCc1ccc(Oc2ccccc2OC)cc1 ZINC000544058294 341068552 /nfs/dbraw/zinc/06/85/52/341068552.db2.gz WADXVYQTYWMIFY-UHFFFAOYSA-N 0 3 317.454 4.330 20 0 DIADHN Cc1nc2ccccc2c(N2CCC[C@@H]([C@@H]3CCOC3)C2)c1C ZINC000544062069 341068690 /nfs/dbraw/zinc/06/86/90/341068690.db2.gz JVZJOOPDHDHMCZ-IAGOWNOFSA-N 0 3 310.441 4.105 20 0 DIADHN CC(C)[C@H](O)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131262381 341070954 /nfs/dbraw/zinc/07/09/54/341070954.db2.gz NHAITSGKSXMWDV-LDYMZIIASA-N 0 3 310.652 4.314 20 0 DIADHN CC[C@@H]1CN(C(=O)C(C)C(F)(F)F)c2cccc(Cl)c2O1 ZINC000544123411 341071148 /nfs/dbraw/zinc/07/11/48/341071148.db2.gz KWTGUXKUJJIFOT-RKDXNWHRSA-N 0 3 321.726 4.042 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCCCC(=O)OC(C)(C)C)c1 ZINC000544124324 341071240 /nfs/dbraw/zinc/07/12/40/341071240.db2.gz USGNASFYTZZPAX-HNNXBMFYSA-N 0 3 321.461 4.246 20 0 DIADHN Clc1ccccc1CCCN1CCN(C2CCCCC2)CC1 ZINC000544143386 341072028 /nfs/dbraw/zinc/07/20/28/341072028.db2.gz JSSZFUQFGIXNRF-UHFFFAOYSA-N 0 3 320.908 4.223 20 0 DIADHN C[C@@H]1CN(CCCCC(=O)OC(C)(C)C)[C@H]1c1ccccc1 ZINC000544142886 341072031 /nfs/dbraw/zinc/07/20/31/341072031.db2.gz DHNVPAHZBKLTQT-CRAIPNDOSA-N 0 3 303.446 4.191 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ncc(-c3ccccc3)s2)CCO1 ZINC000544166720 341072749 /nfs/dbraw/zinc/07/27/49/341072749.db2.gz WWBYBGPDKBDAIV-GJZGRUSLSA-N 0 3 316.470 4.105 20 0 DIADHN CCCn1c(CNC[C@H](SC)C(C)(C)C)nc2ccccc21 ZINC000544176618 341072880 /nfs/dbraw/zinc/07/28/80/341072880.db2.gz ZCFUAYKAEFZVDW-INIZCTEOSA-N 0 3 319.518 4.314 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000544175459 341072946 /nfs/dbraw/zinc/07/29/46/341072946.db2.gz KLUHVLUAGPPOKK-GJZGRUSLSA-N 0 3 317.404 4.381 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@H](C(C)(C)C)C2)c(C)c1 ZINC000544187690 341073457 /nfs/dbraw/zinc/07/34/57/341073457.db2.gz FIOWYSAQOLXZJQ-SJORKVTESA-N 0 3 316.489 4.307 20 0 DIADHN C[C@H](c1nc(Cc2ccccc2)no1)N1CC[C@@H](C(C)(C)C)C1 ZINC000544186990 341073516 /nfs/dbraw/zinc/07/35/16/341073516.db2.gz OAYRYELYOAMDLK-GDBMZVCRSA-N 0 3 313.445 4.089 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N(C)CCc1ccccc1O ZINC000544190152 341073826 /nfs/dbraw/zinc/07/38/26/341073826.db2.gz LLKWJDFVPRPNRZ-QGZVFWFLSA-N 0 3 305.368 4.296 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3cc(C)cc(C)c3)C2)sc1C ZINC000544191626 341073897 /nfs/dbraw/zinc/07/38/97/341073897.db2.gz IOYSEIQZWFPOGZ-MRXNPFEDSA-N 0 3 300.471 4.366 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N(C)CCc1ccccc1O ZINC000544190150 341073929 /nfs/dbraw/zinc/07/39/29/341073929.db2.gz LLKWJDFVPRPNRZ-KRWDZBQOSA-N 0 3 305.368 4.296 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H](C(C)(C)C)C3)co2)cc1 ZINC000544193508 341074011 /nfs/dbraw/zinc/07/40/11/341074011.db2.gz PWKPARUWGOQGBO-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN Fc1ccc([C@H](NCCc2cccs2)c2cccnc2)cc1 ZINC000544362217 341078076 /nfs/dbraw/zinc/07/80/76/341078076.db2.gz JRRHBPPMKXITCY-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3ccc(C)cc3)C2)c1OC ZINC000544369624 341078655 /nfs/dbraw/zinc/07/86/55/341078655.db2.gz FYMNYNADUJDWHA-QGZVFWFLSA-N 0 3 311.425 4.002 20 0 DIADHN COCc1ccc(CN2C[C@@H](C)C[C@H]2c2cccc(OC)c2)o1 ZINC000544369344 341078659 /nfs/dbraw/zinc/07/86/59/341078659.db2.gz OJZHRQLCJJLUFO-LIRRHRJNSA-N 0 3 315.413 4.018 20 0 DIADHN COCc1ccc(CN2C[C@@H](C)C[C@@H]2c2cccc(OC)c2)o1 ZINC000544369342 341078696 /nfs/dbraw/zinc/07/86/96/341078696.db2.gz OJZHRQLCJJLUFO-IFXJQAMLSA-N 0 3 315.413 4.018 20 0 DIADHN CC(C)[C@@H](NCCCNc1cccc(F)c1)c1ccc(F)cn1 ZINC000544375352 341079016 /nfs/dbraw/zinc/07/90/16/341079016.db2.gz TZRIJOMJFKSEHL-GOSISDBHSA-N 0 3 319.399 4.149 20 0 DIADHN C[C@H](CCO)C1(CN[C@H](c2ccco2)c2ccccc2)CCC1 ZINC000544379646 341079168 /nfs/dbraw/zinc/07/91/68/341079168.db2.gz JAHSULQOUQWVOD-APWZRJJASA-N 0 3 313.441 4.147 20 0 DIADHN C[C@H](CCO)C1(CN[C@@H](c2ccco2)c2ccccc2)CCC1 ZINC000544379652 341079275 /nfs/dbraw/zinc/07/92/75/341079275.db2.gz JAHSULQOUQWVOD-VQIMIIECSA-N 0 3 313.441 4.147 20 0 DIADHN C[C@@H](NC(c1ccc(F)cc1)c1ccc(F)cc1)[C@H]1CCCO1 ZINC000544388045 341079802 /nfs/dbraw/zinc/07/98/02/341079802.db2.gz XWPZOVDIUAOZHA-FZKQIMNGSA-N 0 3 317.379 4.211 20 0 DIADHN CCCn1ccc2cc(NC(=O)[C@@H](C)NC(C)(C)CC)ccc21 ZINC000544389204 341079811 /nfs/dbraw/zinc/07/98/11/341079811.db2.gz SHAWRTOYXPWHPF-CQSZACIVSA-N 0 3 315.461 4.157 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@H](c2ccnn2C)C1 ZINC000544409006 341080893 /nfs/dbraw/zinc/08/08/93/341080893.db2.gz XXBKHSJNRZSCIP-SUMWQHHRSA-N 0 3 319.399 4.029 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)c(F)c1 ZINC000544469782 341083493 /nfs/dbraw/zinc/08/34/93/341083493.db2.gz QVJSWKBDEUESGW-LBPRGKRZSA-N 0 3 320.433 4.048 20 0 DIADHN C[C@H](NCc1nc2ccccc2s1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000544513788 341084604 /nfs/dbraw/zinc/08/46/04/341084604.db2.gz FQMZDDPGRSAYAO-QHRIQVFBSA-N 0 3 324.449 4.339 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](c2ccsc2)N(C)C)C2CCC2)o1 ZINC000544581445 341087150 /nfs/dbraw/zinc/08/71/50/341087150.db2.gz JLPFQFRRUJCCQH-AEFFLSMTSA-N 0 3 318.486 4.383 20 0 DIADHN Cc1ccc(-c2ccc(CN(C)CCc3ccncc3)o2)cc1 ZINC000544597085 341087857 /nfs/dbraw/zinc/08/78/57/341087857.db2.gz MPSBDLBNWZKZNH-UHFFFAOYSA-N 0 3 306.409 4.325 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](C)c1ncc(C)s1 ZINC000544603450 341088274 /nfs/dbraw/zinc/08/82/74/341088274.db2.gz HHRHEPBKAKKDFV-GXTWGEPZSA-N 0 3 304.459 4.223 20 0 DIADHN CCCCn1cc(CNC/C=C\c2ccc(F)cc2F)c(C)n1 ZINC000544610535 341088770 /nfs/dbraw/zinc/08/87/70/341088770.db2.gz QJGQJRFWZMDWPC-WAYWQWQTSA-N 0 3 319.399 4.073 20 0 DIADHN CCc1ccc(CN(C)[C@H]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000544625211 341089764 /nfs/dbraw/zinc/08/97/64/341089764.db2.gz CFKSRYIZTOGFNI-LPHOPBHVSA-N 0 3 317.404 4.333 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(F)c(F)c(F)c1)c1ccccc1 ZINC000131453191 341090047 /nfs/dbraw/zinc/09/00/47/341090047.db2.gz VPZSDTCHTBVGSP-BDJLRTHQSA-N 0 3 309.331 4.142 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000544829935 341095271 /nfs/dbraw/zinc/09/52/71/341095271.db2.gz RCWBUCGWSJWTSS-JSGCOSHPSA-N 0 3 311.351 4.254 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H]1CCCc2cc(OC)ccc21 ZINC000544829037 341095278 /nfs/dbraw/zinc/09/52/78/341095278.db2.gz DKJYDSVDJYUEAW-WFASDCNBSA-N 0 3 301.352 4.393 20 0 DIADHN COc1cc(C)c(CN[C@@H](C)c2c(C)cccc2C)cc1OC ZINC000544835902 341095791 /nfs/dbraw/zinc/09/57/91/341095791.db2.gz BCYHXOUISMEDOZ-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN CC(C)Cn1cc(CN[C@H](C)c2ccc3c(c2)CCCC3)cn1 ZINC000544836983 341095837 /nfs/dbraw/zinc/09/58/37/341095837.db2.gz JQTYNLYBZYDMAJ-MRXNPFEDSA-N 0 3 311.473 4.269 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)c1c(C)cccc1C ZINC000544895896 341097890 /nfs/dbraw/zinc/09/78/90/341097890.db2.gz VJXVFIWOVGETFN-QGZVFWFLSA-N 0 3 324.468 4.464 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000544899197 341098327 /nfs/dbraw/zinc/09/83/27/341098327.db2.gz ZJYNPLRQJXUFOG-HZPDHXFCSA-N 0 3 311.425 4.486 20 0 DIADHN CC1=C(c2nc(-c3ccccc3CN3CCCC3)no2)CCC1 ZINC000544908935 341098974 /nfs/dbraw/zinc/09/89/74/341098974.db2.gz IGMLXMFZKOMVNY-UHFFFAOYSA-N 0 3 309.413 4.290 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCCOC1CCCCCC1)CCC2 ZINC000131604766 341101265 /nfs/dbraw/zinc/10/12/65/341101265.db2.gz MNQWGWBVNXPQAM-HNNXBMFYSA-N 0 3 308.491 4.158 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)NCc1cccn1C ZINC000072756741 341104084 /nfs/dbraw/zinc/10/40/84/341104084.db2.gz BAJQBGSZERYOPQ-GOSISDBHSA-N 0 3 300.446 4.301 20 0 DIADHN CC1(CO)CCN(Cc2ccc(-c3ccccc3F)s2)CC1 ZINC000545166747 341110957 /nfs/dbraw/zinc/11/09/57/341110957.db2.gz PIKWLDFYFRFHCT-UHFFFAOYSA-N 0 3 319.445 4.149 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC2CC(OCc3ccccc3)C2)s1 ZINC000545206645 341113963 /nfs/dbraw/zinc/11/39/63/341113963.db2.gz PZKCOAFXFGTRFX-NVPAJSRCSA-N 0 3 316.470 4.158 20 0 DIADHN Cc1cccn2cc(CN[C@H]3CCC[C@H]3c3ccc(F)cc3)nc12 ZINC000545241640 341116746 /nfs/dbraw/zinc/11/67/46/341116746.db2.gz NJEGTJHCERJFNV-OALUTQOASA-N 0 3 323.415 4.208 20 0 DIADHN COCC[C@@H](C)N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000545246341 341117085 /nfs/dbraw/zinc/11/70/85/341117085.db2.gz XNASMJPPPJRVBD-RDTXWAMCSA-N 0 3 303.833 4.444 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN([C@@H](C)C1CC1)C1CC1 ZINC000073888657 341122916 /nfs/dbraw/zinc/12/29/16/341122916.db2.gz AQNRMVSGFKITGR-NSHDSACASA-N 0 3 302.443 4.474 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN([C@H](C)C1CC1)C1CC1 ZINC000073888661 341122943 /nfs/dbraw/zinc/12/29/43/341122943.db2.gz AQNRMVSGFKITGR-LLVKDONJSA-N 0 3 302.443 4.474 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H]1CCCc2ccc(OC)cc21 ZINC000545459120 341126041 /nfs/dbraw/zinc/12/60/41/341126041.db2.gz GZBYDHDFMPAQDA-WFASDCNBSA-N 0 3 301.352 4.393 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc(Cl)cc2c1OCC2 ZINC000545466814 341126435 /nfs/dbraw/zinc/12/64/35/341126435.db2.gz KDNDRWOPMKUJQT-GFCCVEGCSA-N 0 3 307.743 4.096 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cnn(-c2ccccc2)c1 ZINC000545466797 341126451 /nfs/dbraw/zinc/12/64/51/341126451.db2.gz JPGLHWJWFRPPKU-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CCCOc1cc(CN[C@@H](CC)CC(F)(F)F)ccc1OC ZINC000545467749 341126501 /nfs/dbraw/zinc/12/65/01/341126501.db2.gz ZZMMDOAEUPSQKM-ZDUSSCGKSA-N 0 3 319.367 4.305 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc2cc(OC)ccc2o1 ZINC000545471411 341126526 /nfs/dbraw/zinc/12/65/26/341126526.db2.gz BGMDCZAZPWHKTK-LLVKDONJSA-N 0 3 301.308 4.262 20 0 DIADHN CC[C@@H](COC)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000132564592 341127511 /nfs/dbraw/zinc/12/75/11/341127511.db2.gz FSQSRUXKLRZQIT-ABAIWWIYSA-N 0 3 322.449 4.333 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2ccc(F)cn2)CCC1 ZINC000545519509 341128279 /nfs/dbraw/zinc/12/82/79/341128279.db2.gz GRQWCRUXOWSAJM-AWEZNQCLSA-N 0 3 314.404 4.002 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1c(C)cccc1C ZINC000545519169 341128322 /nfs/dbraw/zinc/12/83/22/341128322.db2.gz BGPBSFXVHXXCCQ-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@@]1(C)C[C@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545531831 341129133 /nfs/dbraw/zinc/12/91/33/341129133.db2.gz FEQGYZGMFALVTR-LPHOPBHVSA-N 0 3 311.429 4.236 20 0 DIADHN C[C@H]1CCC[C@@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545530192 341129151 /nfs/dbraw/zinc/12/91/51/341129151.db2.gz RHIUDARGYHNKLE-HOCLYGCPSA-N 0 3 311.429 4.236 20 0 DIADHN C[C@@H]1CC[C@H](c2nc(-c3ccccc3CN3CCCC3)no2)C1 ZINC000545529796 341129155 /nfs/dbraw/zinc/12/91/55/341129155.db2.gz WPLRGOYRZVEATK-CABCVRRESA-N 0 3 311.429 4.236 20 0 DIADHN CCC1(c2nc(-c3ccccc3CN3CCCC3)no2)CCC1 ZINC000545536194 341129305 /nfs/dbraw/zinc/12/93/05/341129305.db2.gz GVAMZNNJPROZKH-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN CCCCN(CC)[C@H](C)C(=O)Nc1c(CC)cccc1CC ZINC000132604418 341130532 /nfs/dbraw/zinc/13/05/32/341130532.db2.gz YQUMTINTKGGQRN-OAHLLOKOSA-N 0 3 304.478 4.260 20 0 DIADHN CC[C@H](N[C@H](CCO)C(C)(C)C)c1cc(Cl)ccc1OC ZINC000545599193 341132582 /nfs/dbraw/zinc/13/25/82/341132582.db2.gz CHJTWINYIQRHCW-GOEBONIOSA-N 0 3 313.869 4.186 20 0 DIADHN Cc1ccsc1CNCc1ccc(F)c(Br)c1 ZINC000075675498 341180595 /nfs/dbraw/zinc/18/05/95/341180595.db2.gz ZQAAONVDHXUYHE-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN COC(=O)CCC(C)(C)NCc1cnc(C2CCCCC2)s1 ZINC000545872103 341143680 /nfs/dbraw/zinc/14/36/80/341143680.db2.gz RFLFXOKGVCDWOM-UHFFFAOYSA-N 0 3 324.490 4.012 20 0 DIADHN COc1ccc(CN[C@]2(C)CCCc3ccccc32)cc1OC ZINC000545875828 341143823 /nfs/dbraw/zinc/14/38/23/341143823.db2.gz SJOJNDGJDDRGMO-HXUWFJFHSA-N 0 3 311.425 4.045 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC([C@@](C)(O)C(F)(F)F)CC1 ZINC000545932217 341146937 /nfs/dbraw/zinc/14/69/37/341146937.db2.gz QFNOLAOEPUXMRK-JKSUJKDBSA-N 0 3 315.379 4.163 20 0 DIADHN CCc1ccc(CN2CCC[C@@H]2c2ccc(COC)o2)s1 ZINC000545951697 341148207 /nfs/dbraw/zinc/14/82/07/341148207.db2.gz DADMDOMMLYYOFE-MRXNPFEDSA-N 0 3 305.443 4.387 20 0 DIADHN COCC[C@H](C)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000545954156 341148311 /nfs/dbraw/zinc/14/83/11/341148311.db2.gz RNKFGCGRPZFBAM-KBXCAEBGSA-N 0 3 303.833 4.444 20 0 DIADHN C[C@@H](CN1CCCC[C@@H]1c1ccnn1C)c1cccc(Cl)c1 ZINC000545985012 341149727 /nfs/dbraw/zinc/14/97/27/341149727.db2.gz JIUCIKJUBQSRSE-KBXCAEBGSA-N 0 3 317.864 4.404 20 0 DIADHN Cc1ccccc1-c1cccc(CNC(C)(C)c2cnn(C)c2)c1 ZINC000545996002 341150187 /nfs/dbraw/zinc/15/01/87/341150187.db2.gz BCLNSFKPWRQBPP-UHFFFAOYSA-N 0 3 319.452 4.420 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NCC(C)(C)N1CCCCC1 ZINC000133027112 341152090 /nfs/dbraw/zinc/15/20/90/341152090.db2.gz OSLWBEZIKLHVDI-UHFFFAOYSA-N 0 3 323.868 4.034 20 0 DIADHN COc1cccc(C[C@H](NCc2cccn2C)c2ccccc2)c1 ZINC000133111796 341155155 /nfs/dbraw/zinc/15/51/55/341155155.db2.gz FUHASPGNGPOCGE-NRFANRHFSA-N 0 3 320.436 4.107 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)[C@@H](OC)c1ccccc1F ZINC000546197840 341156956 /nfs/dbraw/zinc/15/69/56/341156956.db2.gz SVWVGIBDAZSZCW-AUUYWEPGSA-N 0 3 317.404 4.009 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1cc(Cl)ccc1C ZINC000546228803 341158224 /nfs/dbraw/zinc/15/82/24/341158224.db2.gz QRLGJSKVUVZDDV-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1ccc(Cl)cc1C ZINC000546228231 341158235 /nfs/dbraw/zinc/15/82/35/341158235.db2.gz IJFBTSPWGUKUMV-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000546309831 341162613 /nfs/dbraw/zinc/16/26/13/341162613.db2.gz IIPZUUIBAMCMSV-RDTXWAMCSA-N 0 3 301.430 4.394 20 0 DIADHN COc1cc([C@H](C)NC[C@H]2CCC3(CCCCC3)O2)ccc1F ZINC000564302075 341164385 /nfs/dbraw/zinc/16/43/85/341164385.db2.gz KOMDCRLSVZCIII-GOEBONIOSA-N 0 3 321.436 4.367 20 0 DIADHN COc1cc([C@H](C)NC[C@@H]2CCC3(CCCCC3)O2)ccc1F ZINC000564302076 341164415 /nfs/dbraw/zinc/16/44/15/341164415.db2.gz KOMDCRLSVZCIII-HOCLYGCPSA-N 0 3 321.436 4.367 20 0 DIADHN CC[C@@H](CO)N(Cc1ccccc1)Cc1ccnc2ccccc12 ZINC000564302672 341167426 /nfs/dbraw/zinc/16/74/26/341167426.db2.gz PUROBISKGQNCDH-IBGZPJMESA-N 0 3 320.436 4.008 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@H](C)c1cnc(C)nc1C ZINC000546649315 341177216 /nfs/dbraw/zinc/17/72/16/341177216.db2.gz VYPJRWCAKRGJGJ-GFCCVEGCSA-N 0 3 309.413 4.041 20 0 DIADHN Cc1ccc(-c2cccc(CNC(C)(C)c3cnn(C)c3)c2)cc1 ZINC000546706022 341179614 /nfs/dbraw/zinc/17/96/14/341179614.db2.gz RAWABVAOVNBFCO-UHFFFAOYSA-N 0 3 319.452 4.420 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CN(C)Cc4ccccc43)cc2c1C ZINC000546728677 341180587 /nfs/dbraw/zinc/18/05/87/341180587.db2.gz SPZUWJXNCMDFCZ-NRFANRHFSA-N 0 3 319.452 4.061 20 0 DIADHN COc1cc(CNCc2sccc2C)cc(Cl)c1OC ZINC000075675496 341180697 /nfs/dbraw/zinc/18/06/97/341180697.db2.gz GMHGVDYKFOFMDF-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN c1ccc(-c2noc(CN[C@@H]3CCC[C@H]3c3ccccc3)n2)cc1 ZINC000133659955 341181103 /nfs/dbraw/zinc/18/11/03/341181103.db2.gz XXWDNBASYVONSD-ZWKOTPCHSA-N 0 3 319.408 4.163 20 0 DIADHN COCCC(C)(C)NCc1ccc(-c2cccc(F)c2C)o1 ZINC000546761256 341181813 /nfs/dbraw/zinc/18/18/13/341181813.db2.gz YLZSFQLHBXDZJC-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN COCCC(C)(C)CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000546764803 341181864 /nfs/dbraw/zinc/18/18/64/341181864.db2.gz DBUBVEXCDVEMME-UHFFFAOYSA-N 0 3 323.383 4.377 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCC[C@H]4CCC[C@@H]43)o2)c1 ZINC000075768950 341182662 /nfs/dbraw/zinc/18/26/62/341182662.db2.gz GLXOSPUFYYCEPA-DOMZBBRYSA-N 0 3 317.820 4.155 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC[C@H](C(C)(C)C)C2)s1 ZINC000546801532 341182772 /nfs/dbraw/zinc/18/27/72/341182772.db2.gz SCADMINIGBFYQV-JTQLQIEISA-N 0 3 306.397 4.338 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cc(OC)c(OC)cc1C ZINC000546870108 341184346 /nfs/dbraw/zinc/18/43/46/341184346.db2.gz IHKRBNPGZRISME-RYUDHWBXSA-N 0 3 319.367 4.394 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(OCC(F)F)cc1 ZINC000546880425 341185178 /nfs/dbraw/zinc/18/51/78/341185178.db2.gz UBRGDEOPZCADRQ-LLVKDONJSA-N 0 3 311.294 4.151 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(OC(F)F)c(F)c1 ZINC000546879890 341185201 /nfs/dbraw/zinc/18/52/01/341185201.db2.gz OYOQBXWUFKNVCC-SECBINFHSA-N 0 3 315.257 4.248 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cccc(OC(F)(F)F)c1 ZINC000546881905 341185258 /nfs/dbraw/zinc/18/52/58/341185258.db2.gz NPASAGKDGXZTIY-SNVBAGLBSA-N 0 3 315.257 4.406 20 0 DIADHN CCC(CC)(CCO)CNCc1ccc(-c2ccccc2)o1 ZINC000236631697 341190474 /nfs/dbraw/zinc/19/04/74/341190474.db2.gz JHRBGWFIMFSSSM-UHFFFAOYSA-N 0 3 301.430 4.225 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccnc(N2CCCCC2)c1 ZINC000236705609 341195616 /nfs/dbraw/zinc/19/56/16/341195616.db2.gz DHYMZACPTDTCLR-JXAWBTAJSA-N 0 3 321.468 4.265 20 0 DIADHN CC(C)(CNCc1ccccn1)c1c(Cl)cccc1Cl ZINC000236740295 341197806 /nfs/dbraw/zinc/19/78/06/341197806.db2.gz NXVAAIHHSPPKOP-UHFFFAOYSA-N 0 3 309.240 4.456 20 0 DIADHN CC(C)(CO)CCCNCc1ccc(-c2ccccc2Cl)o1 ZINC000236756980 341198430 /nfs/dbraw/zinc/19/84/30/341198430.db2.gz OICOIDVLZYSBBJ-UHFFFAOYSA-N 0 3 321.848 4.488 20 0 DIADHN CCCC(O)(CCC)CNCc1cnc(-c2cccs2)s1 ZINC000236790071 341200530 /nfs/dbraw/zinc/20/05/30/341200530.db2.gz WOXFMDRQDRYZNT-UHFFFAOYSA-N 0 3 324.515 4.293 20 0 DIADHN CCC[C@](C)(CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000236794718 341200595 /nfs/dbraw/zinc/20/05/95/341200595.db2.gz CVHACMWVZQFWJP-QGZVFWFLSA-N 0 3 307.821 4.241 20 0 DIADHN CC1(CO)CCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000547285437 341203639 /nfs/dbraw/zinc/20/36/39/341203639.db2.gz VYPATDKNIUYXQZ-UHFFFAOYSA-N 0 3 311.425 4.073 20 0 DIADHN CCC(CC)(CNCc1ccc(CO)o1)c1ccc(Cl)cc1 ZINC000236905465 341204148 /nfs/dbraw/zinc/20/41/48/341204148.db2.gz MUEHRQHUPBXTER-UHFFFAOYSA-N 0 3 321.848 4.273 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@H](C)Cn2cccn2)cc1 ZINC000134014754 341204592 /nfs/dbraw/zinc/20/45/92/341204592.db2.gz VNDFOJDBHQZFTI-CABCVRRESA-N 0 3 303.475 4.123 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@@H](C)Cn2cccn2)cc1 ZINC000134014371 341204607 /nfs/dbraw/zinc/20/46/07/341204607.db2.gz VNDFOJDBHQZFTI-GJZGRUSLSA-N 0 3 303.475 4.123 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(OC(C)C)cc1)CC2 ZINC000134022205 341204816 /nfs/dbraw/zinc/20/48/16/341204816.db2.gz QLODTHOEIQGLFF-FQEVSTJZSA-N 0 3 311.425 4.260 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCCC(C)(C)O ZINC000547317887 341205297 /nfs/dbraw/zinc/20/52/97/341205297.db2.gz VEGAZEURFHBKGG-HNNXBMFYSA-N 0 3 313.869 4.186 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)CCc2ccccc2)o1 ZINC000236984459 341205386 /nfs/dbraw/zinc/20/53/86/341205386.db2.gz OBJNARSSRBKXLK-YJBOKZPZSA-N 0 3 301.430 4.140 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(OC2CCCC2)c1 ZINC000547333807 341206535 /nfs/dbraw/zinc/20/65/35/341206535.db2.gz SAAVFDKLSTTYQO-GFCCVEGCSA-N 0 3 301.352 4.439 20 0 DIADHN COC[C@H](Cc1ccccc1)NCc1ccc(C(F)(F)F)cc1 ZINC000237065951 341206623 /nfs/dbraw/zinc/20/66/23/341206623.db2.gz WEIBTMRGNKUPHH-KRWDZBQOSA-N 0 3 323.358 4.053 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCC([C@](C)(O)C(F)(F)F)CC2)C1 ZINC000547344606 341207559 /nfs/dbraw/zinc/20/75/59/341207559.db2.gz DMRLAODKJWUJSS-YCPHGPKFSA-N 0 3 321.427 4.228 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc3c(C)cccn23)cc1 ZINC000237131778 341207819 /nfs/dbraw/zinc/20/78/19/341207819.db2.gz ZKHRYFIDUXRFGR-UHFFFAOYSA-N 0 3 307.441 4.230 20 0 DIADHN COC[C@@H](C)NCc1ccc(-c2ccc(C(F)(F)F)cc2)o1 ZINC000237167454 341208488 /nfs/dbraw/zinc/20/84/88/341208488.db2.gz BOSYBPXOOVVBOA-LLVKDONJSA-N 0 3 313.319 4.090 20 0 DIADHN COC[C@@H](Cc1ccccc1)NCc1ccc(C(F)(F)F)cc1 ZINC000237170989 341208548 /nfs/dbraw/zinc/20/85/48/341208548.db2.gz WEIBTMRGNKUPHH-QGZVFWFLSA-N 0 3 323.358 4.053 20 0 DIADHN C[C@@H](NCc1nc2c(s1)C[C@H](c1ccccc1)CC2)C1CC1 ZINC000547356428 341208673 /nfs/dbraw/zinc/20/86/73/341208673.db2.gz YGLBNAIBUZEBKD-CZUORRHYSA-N 0 3 312.482 4.304 20 0 DIADHN c1ccc(-c2ncc(CNC3CC(c4ccccc4)C3)cn2)cc1 ZINC000547373871 341209619 /nfs/dbraw/zinc/20/96/19/341209619.db2.gz JRXOUMUAURNFKF-UHFFFAOYSA-N 0 3 315.420 4.179 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2Cc2sccc2Cl)C1 ZINC000547398504 341211112 /nfs/dbraw/zinc/21/11/12/341211112.db2.gz MEWMWXSRHKIYIP-DHMWGJHJSA-N 0 3 313.894 4.163 20 0 DIADHN C/C=C\C[C@H](CO)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000547433256 341213039 /nfs/dbraw/zinc/21/30/39/341213039.db2.gz JNGFMVCAYPJWOJ-MPNWYJEXSA-N 0 3 305.805 4.017 20 0 DIADHN Cc1ccc([C@H](CNC/C=C/c2ccccc2)N2CCCC2)o1 ZINC000237626505 341216697 /nfs/dbraw/zinc/21/66/97/341216697.db2.gz BJFLBSRXFLBHQR-VQFGERMISA-N 0 3 310.441 4.028 20 0 DIADHN CS[C@@H]1CCCCN(Cc2cc(-c3ccccc3)no2)C1 ZINC000134549466 341218082 /nfs/dbraw/zinc/21/80/82/341218082.db2.gz YFQHQSGPJHFOSL-MRXNPFEDSA-N 0 3 302.443 4.059 20 0 DIADHN Cc1nc(CN[C@H](C)C2(c3ccccc3)CCCC2)cs1 ZINC000547505844 341218626 /nfs/dbraw/zinc/21/86/26/341218626.db2.gz ZFULOECDXDUCHG-CQSZACIVSA-N 0 3 300.471 4.442 20 0 DIADHN C[C@@H](CN[C@@H]1CCc2c1cccc2Br)C(F)(F)F ZINC000547507224 341218855 /nfs/dbraw/zinc/21/88/55/341218855.db2.gz FMCRHIPICZISQQ-QPUJVOFHSA-N 0 3 322.168 4.224 20 0 DIADHN Fc1ccc(F)c(CNCC2CCC(C(F)(F)F)CC2)c1 ZINC000237751562 341219625 /nfs/dbraw/zinc/21/96/25/341219625.db2.gz IAKOIEKXDMRQTB-UHFFFAOYSA-N 0 3 307.306 4.423 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCCOCC2CC2)o1 ZINC000237791365 341220762 /nfs/dbraw/zinc/22/07/62/341220762.db2.gz ZWONLSNTQCQVDH-UHFFFAOYSA-N 0 3 321.367 4.131 20 0 DIADHN C[C@H](CCc1ccccc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134653812 341221039 /nfs/dbraw/zinc/22/10/39/341221039.db2.gz HJJJKSOFVXQABN-KGLIPLIRSA-N 0 3 300.471 4.304 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](NC[C@@H]1CC=CCC1)c1ccccc1 ZINC000237915162 341222825 /nfs/dbraw/zinc/22/28/25/341222825.db2.gz BFHZDNCFYMPFFH-XLIONFOSSA-N 0 3 320.436 4.312 20 0 DIADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1ccc2ncsc2c1 ZINC000547604240 341222845 /nfs/dbraw/zinc/22/28/45/341222845.db2.gz HAURVJXVWFQYDR-NSHDSACASA-N 0 3 308.410 4.023 20 0 DIADHN C[C@H](NCc1ccc2nc[nH]c2c1)c1ccc2ncsc2c1 ZINC000547604240 341222846 /nfs/dbraw/zinc/22/28/46/341222846.db2.gz HAURVJXVWFQYDR-NSHDSACASA-N 0 3 308.410 4.023 20 0 DIADHN Fc1cc(Cl)cc(CNC2(c3ccccc3)CCOCC2)c1 ZINC000547607901 341223002 /nfs/dbraw/zinc/22/30/02/341223002.db2.gz VYXBLECBLOTAPJ-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN Cc1ncc(CN(C)C2CCC(F)(F)CC2)n1-c1ccccc1 ZINC000564325771 341223140 /nfs/dbraw/zinc/22/31/40/341223140.db2.gz PIXMBGROZNCARM-UHFFFAOYSA-N 0 3 319.399 4.190 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](NCc2noc3c2CCCC3)C1 ZINC000564327464 341225968 /nfs/dbraw/zinc/22/59/68/341225968.db2.gz WKMRYMVZIZEZCQ-JXFKEZNVSA-N 0 3 310.441 4.153 20 0 DIADHN CC1(C)CC(CNCc2csc(COc3ccccc3)n2)C1 ZINC000547717116 341228456 /nfs/dbraw/zinc/22/84/56/341228456.db2.gz WKJDFUAMSINATH-UHFFFAOYSA-N 0 3 316.470 4.248 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000135054599 341229884 /nfs/dbraw/zinc/22/98/84/341229884.db2.gz HNLUHFPZQXSJOM-IHRRRGAJSA-N 0 3 300.471 4.475 20 0 DIADHN C[C@@H]1C[C@@H](C)N1CCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000547769211 341231358 /nfs/dbraw/zinc/23/13/58/341231358.db2.gz MHPXWJXLQNLXQH-HZPDHXFCSA-N 0 3 324.424 4.290 20 0 DIADHN CCSCC[C@@H](C)NCc1cc(Br)ccc1F ZINC000135291159 341235706 /nfs/dbraw/zinc/23/57/06/341235706.db2.gz POITVSVUMSQYGN-SNVBAGLBSA-N 0 3 320.271 4.210 20 0 DIADHN C[C@H](CN[C@H](C)c1cccc(OC(F)(F)F)c1)C(F)(F)F ZINC000547829838 341236063 /nfs/dbraw/zinc/23/60/63/341236063.db2.gz NCHPMRWNBGTXBZ-RKDXNWHRSA-N 0 3 315.257 4.434 20 0 DIADHN CC[C@@H](NCc1ccc(CN(C)C)c(F)c1)c1ccccc1 ZINC000135299531 341236104 /nfs/dbraw/zinc/23/61/04/341236104.db2.gz VPHHCSXFCGDSCX-LJQANCHMSA-N 0 3 300.421 4.128 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000547832613 341236435 /nfs/dbraw/zinc/23/64/35/341236435.db2.gz PCUNFBHPCJXLGY-GOEBONIOSA-N 0 3 317.433 4.395 20 0 DIADHN C[C@@H](NCCc1ccccc1)c1nc2ccccc2n1C(F)F ZINC000548074971 341245872 /nfs/dbraw/zinc/24/58/72/341245872.db2.gz YPKXSEWBYMNRGK-CYBMUJFWSA-N 0 3 315.367 4.325 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCC[C@H](C(F)(F)F)C2)cc1F ZINC000135857049 341247471 /nfs/dbraw/zinc/24/74/71/341247471.db2.gz GBCHEFRIMJVSIS-UWVGGRQHSA-N 0 3 311.269 4.315 20 0 DIADHN Fc1cccc(CC2(NCc3cnc(C4CC4)s3)CCC2)c1 ZINC000548153368 341249764 /nfs/dbraw/zinc/24/97/64/341249764.db2.gz NAOCGCNIJHNOOP-UHFFFAOYSA-N 0 3 316.445 4.415 20 0 DIADHN CC(C)(C)C1CCC([NH2+][C@@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000548176137 341251676 /nfs/dbraw/zinc/25/16/76/341251676.db2.gz PRIQIQHOVFZPNN-OFLPRAFFSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)(C)C1CCC(N[C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000548176137 341251677 /nfs/dbraw/zinc/25/16/77/341251677.db2.gz PRIQIQHOVFZPNN-OFLPRAFFSA-N 0 3 312.461 4.089 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nnc(C4CC4)o3)[C@@H]3CCCC[C@H]23)cc1 ZINC000548275697 341254007 /nfs/dbraw/zinc/25/40/07/341254007.db2.gz TUPQMRDCYTYHSI-FGTMMUONSA-N 0 3 323.440 4.105 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2C)cc1 ZINC000079324182 341256642 /nfs/dbraw/zinc/25/66/42/341256642.db2.gz WUUQDOPBWVTLHG-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(F)ccc2C)cc1 ZINC000079324274 341256658 /nfs/dbraw/zinc/25/66/58/341256658.db2.gz ICKCTFZZLPVZJD-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2C)cc1 ZINC000079324191 341256668 /nfs/dbraw/zinc/25/66/68/341256668.db2.gz WUUQDOPBWVTLHG-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](NC1CCSCC1)c1ccc(Br)cc1F ZINC000136357887 341257296 /nfs/dbraw/zinc/25/72/96/341257296.db2.gz JINBUEBXSYUNTB-VIFPVBQESA-N 0 3 318.255 4.134 20 0 DIADHN Cc1sc2nc([C@H](C)N[C@H]3CCCC[C@H]3C)nc(N)c2c1C ZINC000548442522 341260803 /nfs/dbraw/zinc/26/08/03/341260803.db2.gz VBBJWNMRTVOBBN-CDMKHQONSA-N 0 3 318.490 4.120 20 0 DIADHN O=C(Nc1cccc(CNCCCF)c1)c1ccc(Cl)cc1 ZINC000136509866 341260920 /nfs/dbraw/zinc/26/09/20/341260920.db2.gz ZGPDNOSGVQHFCN-UHFFFAOYSA-N 0 3 320.795 4.042 20 0 DIADHN C[C@@H](NC[C@@H](C)C(F)(F)F)c1ccccc1Br ZINC000548485811 341263290 /nfs/dbraw/zinc/26/32/90/341263290.db2.gz DQOZHODBTVKKIO-RKDXNWHRSA-N 0 3 310.157 4.298 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1NCc1ncccc1C ZINC000548539689 341265830 /nfs/dbraw/zinc/26/58/30/341265830.db2.gz HXBIIUWFBLLKJI-YLJYHZDGSA-N 0 3 310.441 4.178 20 0 DIADHN CCCc1ccccc1NC(=O)c1ccc(CN2CCCC2)cc1 ZINC000548738282 341271341 /nfs/dbraw/zinc/27/13/41/341271341.db2.gz UDPMIXABLLORBE-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN COCc1ccc([C@H]2CCCN2[C@H](C)Cc2ccsc2)o1 ZINC000137078925 341271871 /nfs/dbraw/zinc/27/18/71/341271871.db2.gz DATXCOROPDMYGP-CZUORRHYSA-N 0 3 305.443 4.256 20 0 DIADHN CC(C)COCCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000548776860 341275262 /nfs/dbraw/zinc/27/52/62/341275262.db2.gz SYVDYVDOBAJCFY-HNNXBMFYSA-N 0 3 318.486 4.148 20 0 DIADHN FC(F)(F)C[C@@H](N[C@H]1CCC12CCOCC2)c1ccccc1 ZINC000548861208 341278612 /nfs/dbraw/zinc/27/86/12/341278612.db2.gz PNEYJMYWIQHTTA-CABCVRRESA-N 0 3 313.363 4.229 20 0 DIADHN CC(C)CCOC1CCN(C[C@@H]2CCC3(CCCC3)O2)CC1 ZINC000548910659 341280631 /nfs/dbraw/zinc/28/06/31/341280631.db2.gz HCXKXCRWNUQQSD-SFHVURJKSA-N 0 3 309.494 4.005 20 0 DIADHN Cc1ccc2c(C[NH2+]C(C)(C)c3cccs3)ccc([O-])c2n1 ZINC000548915255 341280890 /nfs/dbraw/zinc/28/08/90/341280890.db2.gz RSCFCKKVEFETNR-UHFFFAOYSA-N 0 3 312.438 4.335 20 0 DIADHN CO[C@H](CN1CCC(C(F)(F)F)CC1)c1ccc(Cl)cc1 ZINC000548918503 341280957 /nfs/dbraw/zinc/28/09/57/341280957.db2.gz AXZGYYSRTOGVOT-CQSZACIVSA-N 0 3 321.770 4.302 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC3(CCCC3)O2)CC[C@H]1C(F)(F)F ZINC000548931496 341281317 /nfs/dbraw/zinc/28/13/17/341281317.db2.gz OJVQYHAAIYVOKQ-UONOGXRCSA-N 0 3 319.411 4.389 20 0 DIADHN COc1cccc(CN2CC[C@H](C(F)(F)F)C3(CCC3)C2)c1 ZINC000548934567 341281575 /nfs/dbraw/zinc/28/15/75/341281575.db2.gz JYVDHGMQOIBLSN-HNNXBMFYSA-N 0 3 313.363 4.250 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CC[C@H](C)[C@H]3CCCC[C@H]32)c1 ZINC000548937706 341281721 /nfs/dbraw/zinc/28/17/21/341281721.db2.gz OHLYCQKPLUUZEN-KVSKMBFKSA-N 0 3 314.473 4.143 20 0 DIADHN COc1ccc2oc(CNCC(C)(C)C(F)(F)F)cc2c1 ZINC000548975336 341282671 /nfs/dbraw/zinc/28/26/71/341282671.db2.gz FVQXOPFCMPDASH-UHFFFAOYSA-N 0 3 301.308 4.120 20 0 DIADHN Cc1cccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC000548990447 341283004 /nfs/dbraw/zinc/28/30/04/341283004.db2.gz XHRJVXJREXXNBP-GOSISDBHSA-N 0 3 302.849 4.041 20 0 DIADHN C[C@@H](NCC(C)(C)C(F)(F)F)c1cnn(C2CCCC2)c1 ZINC000549037680 341284288 /nfs/dbraw/zinc/28/42/88/341284288.db2.gz BBQMPWRCZHUWFH-LLVKDONJSA-N 0 3 303.372 4.237 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC[C@@H]1CCC2(CCCC2)O1 ZINC000549131653 341286557 /nfs/dbraw/zinc/28/65/57/341286557.db2.gz SPWYHUWEDNBZRB-HOCLYGCPSA-N 0 3 319.493 4.089 20 0 DIADHN CC(C)n1nccc1CN1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC000549154597 341287440 /nfs/dbraw/zinc/28/74/40/341287440.db2.gz XIPLUUGNTHDWHZ-REPLKXPHSA-N 0 3 309.457 4.375 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccsc1 ZINC000549222511 341288985 /nfs/dbraw/zinc/28/89/85/341288985.db2.gz MCJJXVPDBGYZMI-WBMJQRKESA-N 0 3 308.878 4.355 20 0 DIADHN CCc1ccccc1CNCc1ccc(C)cc1-c1cnn(C)c1 ZINC000549246042 341289551 /nfs/dbraw/zinc/28/95/51/341289551.db2.gz OXKBHEWULJIPPR-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN Cc1ccsc1CN[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC000549266710 341290143 /nfs/dbraw/zinc/29/01/43/341290143.db2.gz DGARXZZYCZISQA-HNNXBMFYSA-N 0 3 308.878 4.102 20 0 DIADHN CCn1nccc1CCN(Cc1ccccc1)Cc1ccccc1 ZINC000549350256 341292026 /nfs/dbraw/zinc/29/20/26/341292026.db2.gz RKBWIDXWQUWSNR-UHFFFAOYSA-N 0 3 319.452 4.148 20 0 DIADHN COCCN(C[C@@H](OC)c1ccccc1)[C@@H](C)c1ccccc1 ZINC000549349320 341292176 /nfs/dbraw/zinc/29/21/76/341292176.db2.gz XRWCFWNPKVDYKL-FXAWDEMLSA-N 0 3 313.441 4.084 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CS[C@H](C(C)(C)C)C1 ZINC000549408688 341293568 /nfs/dbraw/zinc/29/35/68/341293568.db2.gz PLKPWBVFVUJPIC-PVUWLOKVSA-N 0 3 323.477 4.331 20 0 DIADHN Cc1cc([C@@H]2CCCN(Cc3cc4c(ccc(C)c4C)[nH]3)C2)n[nH]1 ZINC000549422935 341294085 /nfs/dbraw/zinc/29/40/85/341294085.db2.gz TZOWAJFVMRAYJI-MRXNPFEDSA-N 0 3 322.456 4.196 20 0 DIADHN Cc1cc([C@@H]2CCCN(Cc3cc4c(ccc(C)c4C)[nH]3)C2)[nH]n1 ZINC000549422935 341294086 /nfs/dbraw/zinc/29/40/86/341294086.db2.gz TZOWAJFVMRAYJI-MRXNPFEDSA-N 0 3 322.456 4.196 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc3[nH]cnc3c2)CC12CCC2 ZINC000549424564 341294337 /nfs/dbraw/zinc/29/43/37/341294337.db2.gz VHSWZVCRUIJYNU-OAHLLOKOSA-N 0 3 323.362 4.117 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc3nc[nH]c3c2)CC12CCC2 ZINC000549424564 341294338 /nfs/dbraw/zinc/29/43/38/341294338.db2.gz VHSWZVCRUIJYNU-OAHLLOKOSA-N 0 3 323.362 4.117 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc3c[nH]nc3c2)CC12CCC2 ZINC000549424973 341294381 /nfs/dbraw/zinc/29/43/81/341294381.db2.gz MQNKTUURNPSSIC-OAHLLOKOSA-N 0 3 323.362 4.117 20 0 DIADHN COc1cc(OC)cc(C2(F)CCN([C@H]3C=CCCC3)CC2)c1 ZINC000549473769 341295430 /nfs/dbraw/zinc/29/54/30/341295430.db2.gz WFUFWIRMHLQXNN-INIZCTEOSA-N 0 3 319.420 4.073 20 0 DIADHN CCCN(CCC)CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC000549541418 341296997 /nfs/dbraw/zinc/29/69/97/341296997.db2.gz RSFSMTQTDKGKKL-INIZCTEOSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000549552975 341297554 /nfs/dbraw/zinc/29/75/54/341297554.db2.gz PEXDKCJSRXEVNX-NRFANRHFSA-N 0 3 322.452 4.145 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2N[C@H]2C=CCCCCC2)cc1F ZINC000549612764 341298998 /nfs/dbraw/zinc/29/89/98/341298998.db2.gz ZWUXKNNOSQMPDD-WBAXXEDZSA-N 0 3 307.384 4.273 20 0 DIADHN Cc1nc(CN[C@H](C)[C@@H]2C[C@H]2c2ccccc2)nc2ccccc12 ZINC000549625446 341299355 /nfs/dbraw/zinc/29/93/55/341299355.db2.gz MHDBFFNXCLNGIM-CCKFTAQKSA-N 0 3 317.436 4.220 20 0 DIADHN CSc1ccc([C@@H](CNc2ncccc2Cl)N(C)C)cc1 ZINC000549683388 341300393 /nfs/dbraw/zinc/30/03/93/341300393.db2.gz AWRXUJRJOQMZLW-OAHLLOKOSA-N 0 3 321.877 4.172 20 0 DIADHN c1cc(C2CCC2)ccc1CNCc1ccc(OCC2CC2)nc1 ZINC000549726442 341301564 /nfs/dbraw/zinc/30/15/64/341301564.db2.gz NQTFMTCJMGCHIZ-UHFFFAOYSA-N 0 3 322.452 4.428 20 0 DIADHN COC(=O)c1sc2ccccc2c1CN(C)CC(C)(C)C ZINC000549746198 341301959 /nfs/dbraw/zinc/30/19/59/341301959.db2.gz UJRCABLRYJQUOW-UHFFFAOYSA-N 0 3 305.443 4.166 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000245589488 341303877 /nfs/dbraw/zinc/30/38/77/341303877.db2.gz KRIFKCNTAZNMCM-GOPGUHFVSA-N 0 3 322.424 4.122 20 0 DIADHN Fc1ccc(CNCc2coc3ccccc23)c2ncccc12 ZINC000549825682 341304386 /nfs/dbraw/zinc/30/43/86/341304386.db2.gz YFZGNZIWRKPDOX-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN CCc1cccc(C)c1NC(=O)CNC(C)(C)c1ccccc1C ZINC000549867912 341305621 /nfs/dbraw/zinc/30/56/21/341305621.db2.gz HQKSIFCSGARMSC-UHFFFAOYSA-N 0 3 324.468 4.329 20 0 DIADHN COc1ccc(-c2nc(CNC3(C(C)C)CC3)cs2)cc1 ZINC000549869809 341305788 /nfs/dbraw/zinc/30/57/88/341305788.db2.gz LLVQYMUNEJGDDR-UHFFFAOYSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccc(Cl)cc1)c1ccoc1 ZINC000245618316 341305902 /nfs/dbraw/zinc/30/59/02/341305902.db2.gz VZOOGGYGVUAHRS-VUCTXSBTSA-N 0 3 304.821 4.029 20 0 DIADHN C[C@H](NCc1cccc(F)c1)c1ccc(-n2cccn2)c(F)c1 ZINC000549890567 341306031 /nfs/dbraw/zinc/30/60/31/341306031.db2.gz YONPWXXVCCJXPT-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN C[C@@H](NC[C@@H](CO)C1CCCCC1)c1csc(Cl)c1 ZINC000550895689 341326058 /nfs/dbraw/zinc/32/60/58/341326058.db2.gz ROBJEXYMIDGLGC-RISCZKNCSA-N 0 3 301.883 4.241 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccccc3F)o2)[C@@H]2CCC[C@@H]21 ZINC000550079833 341309467 /nfs/dbraw/zinc/30/94/67/341309467.db2.gz QUZUCZGYAMRATI-GOEBONIOSA-N 0 3 314.404 4.491 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CC(C)(C)[C@H]3CCC[C@@H]32)c(C)c1 ZINC000550073279 341309639 /nfs/dbraw/zinc/30/96/39/341309639.db2.gz VHDKIXJTZHKZQF-IRXDYDNUSA-N 0 3 314.473 4.061 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@@H](CSC)C1 ZINC000550105068 341310094 /nfs/dbraw/zinc/31/00/94/341310094.db2.gz HLUHVLPDHWEEGA-OAHLLOKOSA-N 0 3 306.519 4.342 20 0 DIADHN CSCc1cnc(CN[C@H]2CCC[C@@H]2c2ccccc2)s1 ZINC000550142419 341310934 /nfs/dbraw/zinc/31/09/34/341310934.db2.gz OEENRWYENXMRPS-CVEARBPZSA-N 0 3 318.511 4.432 20 0 DIADHN CC[C@H](C)CN(CC)[C@H](C)c1nnc(-c2ccc(C)cc2)o1 ZINC000245730356 341312193 /nfs/dbraw/zinc/31/21/93/341312193.db2.gz CDWNNWVFZBZVMK-DZGCQCFKSA-N 0 3 301.434 4.474 20 0 DIADHN COc1ccc(F)c(CNC[C@@H]2CCCCC(F)(F)C2)c1 ZINC000550217265 341312608 /nfs/dbraw/zinc/31/26/08/341312608.db2.gz RWNWOSNUAVTMNA-GFCCVEGCSA-N 0 3 301.352 4.140 20 0 DIADHN Cc1nc(CNCC[C@@H](c2ccccc2)C(F)(F)F)cs1 ZINC000550225852 341312947 /nfs/dbraw/zinc/31/29/47/341312947.db2.gz USZQPFHZMLZMCD-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2cccc(C(F)(F)F)n2)o1 ZINC000550227022 341312992 /nfs/dbraw/zinc/31/29/92/341312992.db2.gz LEHFYSWKAKDSTM-SMDDNHRTSA-N 0 3 324.346 4.149 20 0 DIADHN FC(F)(F)c1ccnc(CCNCc2sccc2Cl)c1 ZINC000550271039 341314059 /nfs/dbraw/zinc/31/40/59/341314059.db2.gz HMCDGLYXVIPKLU-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN C[C@H](NCc1cc(-n2ccnc2)cs1)c1ccccc1Cl ZINC000550287193 341314403 /nfs/dbraw/zinc/31/44/03/341314403.db2.gz TWBPQLMHOVCIME-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1cccc(F)c1F ZINC000245835699 341315794 /nfs/dbraw/zinc/31/57/94/341315794.db2.gz CMTYOPWHLNBDSV-SLEUVZQESA-N 0 3 303.352 4.180 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000245858484 341316388 /nfs/dbraw/zinc/31/63/88/341316388.db2.gz HKYJBDCWVSEILP-JQAFLVLXSA-N 0 3 309.381 4.207 20 0 DIADHN Fc1cccc(-c2cnc(CN3CC[C@H](CC4CC4)C3)o2)c1 ZINC000550409424 341316483 /nfs/dbraw/zinc/31/64/83/341316483.db2.gz GTXMHLFLDCGLBN-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2c(C)noc2C)C12CCCC2 ZINC000245871834 341316803 /nfs/dbraw/zinc/31/68/03/341316803.db2.gz JNKQYYBBPRPJSJ-OWCLPIDISA-N 0 3 306.450 4.070 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC)c2c(C)noc2C)C12CCCC2 ZINC000245871821 341316810 /nfs/dbraw/zinc/31/68/10/341316810.db2.gz JNKQYYBBPRPJSJ-ARFHVFGLSA-N 0 3 306.450 4.070 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@](CO)(C(C)C)C1 ZINC000550432139 341316906 /nfs/dbraw/zinc/31/69/06/341316906.db2.gz NOYOTRHOSYHJLG-QZTJIDSGSA-N 0 3 311.416 4.146 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccs3)n2)[C@H]2CCC[C@@H]21 ZINC000550433781 341317049 /nfs/dbraw/zinc/31/70/49/341317049.db2.gz VZXWFWGSAPIBGY-KBPBESRZSA-N 0 3 302.443 4.414 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2N[C@H]2CCc3ccccc32)cc1F ZINC000245945598 341319119 /nfs/dbraw/zinc/31/91/19/341319119.db2.gz VRHRFDQAMOEKKT-OTWHNJEPSA-N 0 3 315.363 4.072 20 0 DIADHN CC[C@H](NCc1sccc1C)c1ccc(C(=O)OC)cc1 ZINC000550619158 341319621 /nfs/dbraw/zinc/31/96/21/341319621.db2.gz LAXHLPRLPOETBI-HNNXBMFYSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(C2CC2)c1)c1ccc(NC(N)=O)cc1 ZINC000550665109 341320861 /nfs/dbraw/zinc/32/08/61/341320861.db2.gz FHAHONQRISFCGL-KGLIPLIRSA-N 0 3 323.440 4.466 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccc(C2CC2)c1 ZINC000550665110 341320874 /nfs/dbraw/zinc/32/08/74/341320874.db2.gz FHAHONQRISFCGL-UONOGXRCSA-N 0 3 323.440 4.466 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](C(F)(F)F)C1)c1ccncc1F ZINC000550678444 341321169 /nfs/dbraw/zinc/32/11/69/341321169.db2.gz GODWPFOJLJEDBZ-SRVKXCTJSA-N 0 3 304.331 4.240 20 0 DIADHN CN1CC[C@H](NCc2cc(Cl)ccc2F)[C@H]1c1ccccc1 ZINC000550685493 341321487 /nfs/dbraw/zinc/32/14/87/341321487.db2.gz XQNWMZKJNYKGGH-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(Cl)cc2)o1 ZINC000246013027 341322063 /nfs/dbraw/zinc/32/20/63/341322063.db2.gz IMIRMTIGTRDHOJ-NUTKFTJISA-N 0 3 305.805 4.422 20 0 DIADHN C[C@H](C1CC1)n1cc(N[C@@H]2CCN(C)[C@H](c3ccccc3)C2)cn1 ZINC000550795857 341323174 /nfs/dbraw/zinc/32/31/74/341323174.db2.gz LLSVFDNSXOTEQV-ZTNFWEORSA-N 0 3 324.472 4.102 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccncc1F ZINC000550797168 341323301 /nfs/dbraw/zinc/32/33/01/341323301.db2.gz NLAMUJJWBQOPMJ-WBIUFABUSA-N 0 3 306.331 4.096 20 0 DIADHN C[C@@H](COc1ccccc1C(F)(F)F)NCc1ccsc1 ZINC000550828832 341323769 /nfs/dbraw/zinc/32/37/69/341323769.db2.gz OJPKOLNOJCECMP-NSHDSACASA-N 0 3 315.360 4.324 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000550858507 341324914 /nfs/dbraw/zinc/32/49/14/341324914.db2.gz YXPBWPHXXAPOKF-BDJLRTHQSA-N 0 3 302.805 4.169 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccc(OC(F)F)cc2)ccn1 ZINC000550885436 341325461 /nfs/dbraw/zinc/32/54/61/341325461.db2.gz HAIAKKVYZMNOQR-RYUDHWBXSA-N 0 3 322.355 4.103 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1Cc1ccccc1)c1cn2ccccc2n1 ZINC000550885457 341325514 /nfs/dbraw/zinc/32/55/14/341325514.db2.gz HIZCYGBEHFMGTN-YTQUADARSA-N 0 3 319.452 4.396 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc(OC(F)F)cc2)ccn1 ZINC000550885438 341325533 /nfs/dbraw/zinc/32/55/33/341325533.db2.gz HAIAKKVYZMNOQR-VXGBXAGGSA-N 0 3 322.355 4.103 20 0 DIADHN C[C@H](NCCCc1cccc(Cl)c1)c1cn2ccccc2n1 ZINC000550899021 341326268 /nfs/dbraw/zinc/32/62/68/341326268.db2.gz NXDPFJNAUXLENI-AWEZNQCLSA-N 0 3 313.832 4.271 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](c2ccccc2)C(F)(F)F)ccn1 ZINC000550909600 341326615 /nfs/dbraw/zinc/32/66/15/341326615.db2.gz BKQODCKQODTCCF-IUODEOHRSA-N 0 3 324.346 4.087 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2C[C@H](c3ccccc3Cl)C2)c(C)n1 ZINC000550928158 341326911 /nfs/dbraw/zinc/32/69/11/341326911.db2.gz JOGNYJRGAPMEOI-QEJZJMRPSA-N 0 3 315.848 4.344 20 0 DIADHN CCOc1ccc([C@@H](CC)N[C@H]2CCC[C@H]2F)cc1OCC ZINC000550927498 341326948 /nfs/dbraw/zinc/32/69/48/341326948.db2.gz CURHARVOYKDWJT-OAGGEKHMSA-N 0 3 309.425 4.415 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@H]1CCC[C@H]1F ZINC000550926008 341326985 /nfs/dbraw/zinc/32/69/85/341326985.db2.gz VXTOTRNSJDDITJ-HONMWMINSA-N 0 3 305.422 4.055 20 0 DIADHN F[C@H]1CCC[C@H]1NC1CC(c2cccc(Br)c2)C1 ZINC000550930974 341327194 /nfs/dbraw/zinc/32/71/94/341327194.db2.gz OJFRTEFXZJOFMI-RIXNLZLZSA-N 0 3 312.226 4.175 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1Cl)c1cn2ccccc2n1 ZINC000550939948 341327508 /nfs/dbraw/zinc/32/75/08/341327508.db2.gz HJDKPAVEGHGOFN-LBPRGKRZSA-N 0 3 317.795 4.020 20 0 DIADHN COc1ccccc1C[C@@H](N[C@@H](C)c1ccncc1F)C(C)C ZINC000550939514 341327548 /nfs/dbraw/zinc/32/75/48/341327548.db2.gz BTBFDHKHDRHCHG-KBXCAEBGSA-N 0 3 316.420 4.147 20 0 DIADHN CN(Cc1ccc(Br)s1)C[C@H]1CCC(F)(F)C1 ZINC000551069075 341330912 /nfs/dbraw/zinc/33/09/12/341330912.db2.gz CYWOBPYWMQKXMD-VIFPVBQESA-N 0 3 324.234 4.378 20 0 DIADHN C[C@@H]1CC=CC[C@H]1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000551215052 341334151 /nfs/dbraw/zinc/33/41/51/341334151.db2.gz MKHCVLBIUBJEJG-VQIMIIECSA-N 0 3 312.457 4.213 20 0 DIADHN CC[C@H](C)C[C@H](CC)N[C@@H](C)c1ncc(Br)cn1 ZINC000551221102 341334267 /nfs/dbraw/zinc/33/42/67/341334267.db2.gz ATEGKIAJHAFWHE-GVXVVHGQSA-N 0 3 314.271 4.105 20 0 DIADHN COCC1(NCc2cc(Cl)cc(C(F)(F)F)c2)CCC1 ZINC000551227165 341334399 /nfs/dbraw/zinc/33/43/99/341334399.db2.gz ITTYDWUJWLBFPN-UHFFFAOYSA-N 0 3 307.743 4.018 20 0 DIADHN COCC1(NCc2ccc(-c3cccc(F)c3)s2)CCC1 ZINC000551234758 341334738 /nfs/dbraw/zinc/33/47/38/341334738.db2.gz DQXWPSOHYWCSGE-UHFFFAOYSA-N 0 3 305.418 4.213 20 0 DIADHN CC(C)C(CNCc1nnc(-c2ccccc2)s1)C(C)C ZINC000551239474 341334936 /nfs/dbraw/zinc/33/49/36/341334936.db2.gz HPPRNWDSOJNCTC-UHFFFAOYSA-N 0 3 303.475 4.223 20 0 DIADHN CCc1noc(C)c1CN[C@@H](C)CC(C)(C)c1ccccc1 ZINC000551243448 341335358 /nfs/dbraw/zinc/33/53/58/341335358.db2.gz HRCXWFBCOLVCPS-AWEZNQCLSA-N 0 3 300.446 4.391 20 0 DIADHN CCOC1(CN[C@@H](C)c2nc3c(s2)CCCC3)CCCC1 ZINC000551265284 341336114 /nfs/dbraw/zinc/33/61/14/341336114.db2.gz SCZMXRQXMWTRDS-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccnc(OC)c2)C(C)C)cc1 ZINC000551266666 341336242 /nfs/dbraw/zinc/33/62/42/341336242.db2.gz CIPUJTCEXOSXHV-LIRRHRJNSA-N 0 3 314.429 4.147 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccnc(OC)c2)C(C)C)cc1 ZINC000551266665 341336257 /nfs/dbraw/zinc/33/62/57/341336257.db2.gz CIPUJTCEXOSXHV-KUHUBIRLSA-N 0 3 314.429 4.147 20 0 DIADHN C[C@@H](NC[C@H](CO)C1CCCCC1)c1ccc2ccccc2n1 ZINC000551273897 341336666 /nfs/dbraw/zinc/33/66/66/341336666.db2.gz FJTVRVBTVGHOKU-CRAIPNDOSA-N 0 3 312.457 4.074 20 0 DIADHN c1ccc([C@@H](NC[C@@H]2C[C@H]2C2CCCCC2)c2cncnc2)cc1 ZINC000551274061 341336682 /nfs/dbraw/zinc/33/66/82/341336682.db2.gz ANKJNYSPMFUFKC-SESVDKBCSA-N 0 3 321.468 4.372 20 0 DIADHN C[C@@H](NCC1(c2c(Cl)cccc2Cl)CCC1)c1cn[nH]c1 ZINC000551281530 341337074 /nfs/dbraw/zinc/33/70/74/341337074.db2.gz XMIOHSJKXZVISO-LLVKDONJSA-N 0 3 324.255 4.489 20 0 DIADHN CCc1nnc(CN[C@H]2CCC[C@H]2c2ccc(Cl)cc2)s1 ZINC000564377555 341337131 /nfs/dbraw/zinc/33/71/31/341337131.db2.gz HFMGJTLCAQZAIJ-KBPBESRZSA-N 0 3 321.877 4.180 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1nccn1C ZINC000551296079 341337416 /nfs/dbraw/zinc/33/74/16/341337416.db2.gz GBHUAYZAOPCFSX-KBXCAEBGSA-N 0 3 319.880 4.382 20 0 DIADHN Cc1c([C@H](C)NCCC(C)(C)C2CC2)nnn1-c1ccccc1 ZINC000551296296 341337428 /nfs/dbraw/zinc/33/74/28/341337428.db2.gz IGEYAWPIRBKATJ-AWEZNQCLSA-N 0 3 312.461 4.053 20 0 DIADHN Cc1c([C@@H](C)NCCC(C)(C)C2CC2)nnn1-c1ccccc1 ZINC000551296297 341337479 /nfs/dbraw/zinc/33/74/79/341337479.db2.gz IGEYAWPIRBKATJ-CQSZACIVSA-N 0 3 312.461 4.053 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccncc1F ZINC000551309264 341337929 /nfs/dbraw/zinc/33/79/29/341337929.db2.gz PNRJBUXRRLXELS-AVGNSLFASA-N 0 3 304.796 4.471 20 0 DIADHN C=Cc1ccc(C(=O)N[C@H](C)[C@H](c2ccccc2C)N(C)C)cc1 ZINC000551311763 341338101 /nfs/dbraw/zinc/33/81/01/341338101.db2.gz LRZRVHRPNXABFV-OXQOHEQNSA-N 0 3 322.452 4.059 20 0 DIADHN CSc1ccc([C@H](CN[C@@H](C)c2ccc(C)o2)N(C)C)cc1 ZINC000551310828 341338152 /nfs/dbraw/zinc/33/81/52/341338152.db2.gz MTVDQUIQMUHYAG-YOEHRIQHSA-N 0 3 318.486 4.263 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C(F)=C3CCCC3)n2)cc1 ZINC000551328265 341338650 /nfs/dbraw/zinc/33/86/50/341338650.db2.gz LFOUFKITRHTUOJ-UHFFFAOYSA-N 0 3 301.365 4.053 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Br)s1)[C@@H]1CCCO1 ZINC000246292416 341340441 /nfs/dbraw/zinc/34/04/41/341340441.db2.gz CLESNQPNBBYQAU-VWYCJHECSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1ccc(C(F)(F)F)cn1 ZINC000551387021 341340156 /nfs/dbraw/zinc/34/01/56/341340156.db2.gz LVNJQLDHCVNJQJ-CXAGYDPISA-N 0 3 320.358 4.478 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc2c(c1)CCC=C2 ZINC000551516679 341343434 /nfs/dbraw/zinc/34/34/34/341343434.db2.gz XEXHWEOEFNXIDK-UHFFFAOYSA-N 0 3 320.436 4.268 20 0 DIADHN CCc1noc(CC)c1CN[C@@H](Cc1ccccc1C)C1CC1 ZINC000551532964 341344243 /nfs/dbraw/zinc/34/42/43/341344243.db2.gz GUIWMBNPZOJLLN-IBGZPJMESA-N 0 3 312.457 4.219 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCC[C@@H](O)C(C)C)cc1Cl ZINC000551532921 341344317 /nfs/dbraw/zinc/34/43/17/341344317.db2.gz IXCQZEDRXDIMOP-XJKSGUPXSA-N 0 3 313.869 4.185 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(OC)cc1)c1cccs1 ZINC000551557801 341345267 /nfs/dbraw/zinc/34/52/67/341345267.db2.gz KLRKWBXMEDFBRL-DLBZAZTESA-N 0 3 318.486 4.100 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(OC)cc1)c1cccs1 ZINC000551557804 341345507 /nfs/dbraw/zinc/34/55/07/341345507.db2.gz KLRKWBXMEDFBRL-SJORKVTESA-N 0 3 318.486 4.100 20 0 DIADHN CCCCOc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)cc1 ZINC000551571206 341345915 /nfs/dbraw/zinc/34/59/15/341345915.db2.gz KWLSDDLQKVPOBG-RBUKOAKNSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccnc(OC(F)F)c2)cc1C ZINC000551572846 341346023 /nfs/dbraw/zinc/34/60/23/341346023.db2.gz LFQAAPFUKWPYEP-AWEZNQCLSA-N 0 3 320.383 4.459 20 0 DIADHN c1nc2cc(CNCc3ccc(OC4CCCC4)cc3)ccc2[nH]1 ZINC000551580348 341346394 /nfs/dbraw/zinc/34/63/94/341346394.db2.gz FRWSJIHYCYVHOU-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN c1nc2ccc(CNCc3ccc(OC4CCCC4)cc3)cc2[nH]1 ZINC000551580348 341346395 /nfs/dbraw/zinc/34/63/95/341346395.db2.gz FRWSJIHYCYVHOU-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN Cn1ccc(CN[C@H]2CCCCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000551616786 341347311 /nfs/dbraw/zinc/34/73/11/341347311.db2.gz IOEKCKPEGQLRHE-ROUUACIJSA-N 0 3 317.864 4.280 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1CCC(CC(F)(F)F)CC1 ZINC000551709580 341349563 /nfs/dbraw/zinc/34/95/63/341349563.db2.gz DFUZZIWPDFFNCV-ZFWWWQNUSA-N 0 3 307.400 4.246 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1CCC(CC(F)(F)F)CC1 ZINC000551709577 341349604 /nfs/dbraw/zinc/34/96/04/341349604.db2.gz DFUZZIWPDFFNCV-DZGCQCFKSA-N 0 3 307.400 4.246 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC=C(c2ccccc2)C1 ZINC000551710241 341349655 /nfs/dbraw/zinc/34/96/55/341349655.db2.gz RQTUPYXEOLGFPV-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Cc1ccccc1[C@@H](C)N(Cc1cn2cccnc2n1)CC(C)C ZINC000551722240 341350022 /nfs/dbraw/zinc/35/00/22/341350022.db2.gz AUWZLIGSMHCDLI-QGZVFWFLSA-N 0 3 322.456 4.257 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000246478509 341350356 /nfs/dbraw/zinc/35/03/56/341350356.db2.gz RBKQQLWCESPEDD-GOPGUHFVSA-N 0 3 322.811 4.168 20 0 DIADHN CCC1CC(N[C@@H](Cc2ccccc2)C(=O)Nc2ccccc2)C1 ZINC000551780457 341351123 /nfs/dbraw/zinc/35/11/23/341351123.db2.gz AOLOLTCYTRSGBS-GQOXECLESA-N 0 3 322.452 4.015 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)on1 ZINC000551787471 341351361 /nfs/dbraw/zinc/35/13/61/341351361.db2.gz HJWIARCNZNMTPB-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN COc1ncc([C@@H](C)N[C@H]2CCc3cc(C)ccc32)cc1Cl ZINC000551789151 341351609 /nfs/dbraw/zinc/35/16/09/341351609.db2.gz NPWFJRSFPQKRTG-PXAZEXFGSA-N 0 3 316.832 4.390 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2C[C@H](Oc3ccccc3)C2(C)C)s1 ZINC000551789754 341351699 /nfs/dbraw/zinc/35/16/99/341351699.db2.gz SNWUKULEPDMQBI-SJORKVTESA-N 0 3 316.470 4.096 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)Cc1ccccc1)c1ccc(Cl)s1 ZINC000246513873 341351978 /nfs/dbraw/zinc/35/19/78/341351978.db2.gz UHFIKBRIKVENIO-OBJOEFQTSA-N 0 3 309.862 4.044 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)cc1 ZINC000551805519 341352227 /nfs/dbraw/zinc/35/22/27/341352227.db2.gz GBJLAGGDWUYVTC-SFHVURJKSA-N 0 3 309.457 4.072 20 0 DIADHN CCSc1cccc(CN2CCC(c3c[nH]nc3C)CC2)c1 ZINC000551811832 341352660 /nfs/dbraw/zinc/35/26/60/341352660.db2.gz HTFGMBLGZYZNAL-UHFFFAOYSA-N 0 3 315.486 4.210 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cnc3n1CCCC3)CCC2 ZINC000551812650 341352665 /nfs/dbraw/zinc/35/26/65/341352665.db2.gz OWHHUWMWFNZGBA-KRWDZBQOSA-N 0 3 315.848 4.040 20 0 DIADHN Cc1ccsc1CN1CCCN(c2ccc(F)c(F)c2)CC1 ZINC000551821529 341353012 /nfs/dbraw/zinc/35/30/12/341353012.db2.gz NKLRVSJAXOTSCE-UHFFFAOYSA-N 0 3 322.424 4.047 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1cc(F)cc(F)c1 ZINC000246572650 341353801 /nfs/dbraw/zinc/35/38/01/341353801.db2.gz YDAALPRPNSTFPH-NVGCLXPQSA-N 0 3 303.352 4.180 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@@H](C)c2nc3c(s2)CCCC3)C1 ZINC000246582142 341353908 /nfs/dbraw/zinc/35/39/08/341353908.db2.gz YSOMUGYCQHTAFF-YNEHKIRRSA-N 0 3 310.532 4.347 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000246613436 341354325 /nfs/dbraw/zinc/35/43/25/341354325.db2.gz NEZKZDTUSHQRPU-IUODEOHRSA-N 0 3 301.434 4.392 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H]1COc2ccc(C)cc21 ZINC000552004057 341359113 /nfs/dbraw/zinc/35/91/13/341359113.db2.gz OVKXKTDIGIFFEZ-PDANQNNMSA-N 0 3 323.436 4.268 20 0 DIADHN COc1ccc(-c2cnc(CN([C@@H](C)C3CC3)C3CC3)o2)cc1 ZINC000140503420 341363822 /nfs/dbraw/zinc/36/38/22/341363822.db2.gz DERVXYPJDXJOBI-ZDUSSCGKSA-N 0 3 312.413 4.113 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H](C)CCc2ccc(F)cc2F)n1 ZINC000552045925 341364692 /nfs/dbraw/zinc/36/46/92/341364692.db2.gz TUDUZHHQSQSXAT-CMPLNLGQSA-N 0 3 310.413 4.402 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(CC(C)C)c2)cc1O ZINC000552045923 341364697 /nfs/dbraw/zinc/36/46/97/341364697.db2.gz SYQDBXZVVMOOHT-HNNXBMFYSA-N 0 3 313.441 4.450 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2cc(C)ccn2)cc1 ZINC000552047611 341364922 /nfs/dbraw/zinc/36/49/22/341364922.db2.gz SJUAGWVZZBPBCN-KPFFTGBYSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C1CCC1)C1CCC1 ZINC000552054156 341365465 /nfs/dbraw/zinc/36/54/65/341365465.db2.gz LSMRIUQXHQEZDO-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H](C)[C@H](c2ccccc2C)N(C)C)c1 ZINC000552066526 341366954 /nfs/dbraw/zinc/36/69/54/341366954.db2.gz MIAADUQRSYRCSJ-SQGPQFPESA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H]1CCN(Cc2cnn3ccccc23)[C@H]1c1cccc(F)c1 ZINC000552076715 341367961 /nfs/dbraw/zinc/36/79/61/341367961.db2.gz BAZZDPSJUCUBOL-AUUYWEPGSA-N 0 3 309.388 4.057 20 0 DIADHN CC(C)C[C@H]1CCCN(Cc2cnc(-c3ccncc3)s2)C1 ZINC000552076823 341368015 /nfs/dbraw/zinc/36/80/15/341368015.db2.gz HJGSGJKLQWONAZ-OAHLLOKOSA-N 0 3 315.486 4.463 20 0 DIADHN Cn1ccnc1[C@H](N[C@@H]1CCC[C@H](c2ccccc2)C1)C1CC1 ZINC000552087676 341368611 /nfs/dbraw/zinc/36/86/11/341368611.db2.gz NMGBVIUPQIMYBU-IPMKNSEASA-N 0 3 309.457 4.187 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1cnccc1C ZINC000552087947 341368639 /nfs/dbraw/zinc/36/86/39/341368639.db2.gz XSTOZBSNISZZLL-KRZXBLKESA-N 0 3 324.468 4.392 20 0 DIADHN C[C@@H](NCCn1ccc2cc(Cl)ccc21)c1ccc(F)cn1 ZINC000552088393 341368806 /nfs/dbraw/zinc/36/88/06/341368806.db2.gz JVHWZIPEOVIVNE-GFCCVEGCSA-N 0 3 317.795 4.180 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000552096641 341369402 /nfs/dbraw/zinc/36/94/02/341369402.db2.gz HEIZIVVCNFPDQH-KBPBESRZSA-N 0 3 309.413 4.373 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000552096644 341369506 /nfs/dbraw/zinc/36/95/06/341369506.db2.gz HEIZIVVCNFPDQH-ZIAGYGMSSA-N 0 3 309.413 4.373 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)CN(C)C1CCCCCC1 ZINC000247937560 341371318 /nfs/dbraw/zinc/37/13/18/341371318.db2.gz HKMPOGUHUHOVNU-UHFFFAOYSA-N 0 3 316.489 4.078 20 0 DIADHN FC(F)(F)Oc1ccc(C2CCN(Cc3cc[nH]c3)CC2)cc1 ZINC000552141835 341373009 /nfs/dbraw/zinc/37/30/09/341373009.db2.gz YUXVGDXIXXTVJE-UHFFFAOYSA-N 0 3 324.346 4.293 20 0 DIADHN CCc1ccc(CNCc2ccc(OCCCOC)cc2)s1 ZINC000552174356 341375697 /nfs/dbraw/zinc/37/56/97/341375697.db2.gz MPWNQZNGZNKKJM-UHFFFAOYSA-N 0 3 319.470 4.016 20 0 DIADHN C[C@H](C[S@](C)=O)N[C@H](C)c1ccc(C2CCCCC2)cc1 ZINC000248508779 341379063 /nfs/dbraw/zinc/37/90/63/341379063.db2.gz YJNWWBIKMRFXDV-PZPWOCDFSA-N 0 3 307.503 4.152 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NCc1cc[nH]c1 ZINC000086253081 341379969 /nfs/dbraw/zinc/37/99/69/341379969.db2.gz PEQFNVYHHDOZNF-LLVKDONJSA-N 0 3 315.417 4.437 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccccc2-n2ccnn2)c1 ZINC000552250051 341381329 /nfs/dbraw/zinc/38/13/29/341381329.db2.gz SZODHURXGUNCSG-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(Oc3ccccc3)o2)C[C@H](C)O1 ZINC000248625837 341381896 /nfs/dbraw/zinc/38/18/96/341381896.db2.gz YWVHVHWXWZDUMC-QDMKHBRRSA-N 0 3 301.386 4.118 20 0 DIADHN Cc1cc(F)ccc1CCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000552268337 341382464 /nfs/dbraw/zinc/38/24/64/341382464.db2.gz FLXBDDDEWSWPGP-GOSISDBHSA-N 0 3 315.436 4.311 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)CC(F)(F)F)c(C)c1OC ZINC000552280440 341383870 /nfs/dbraw/zinc/38/38/70/341383870.db2.gz HDLKXJURSSIPPF-KOLCDFICSA-N 0 3 305.340 4.004 20 0 DIADHN Cc1c([C@H](C)NCCO[C@H]2CCCC[C@H]2C)cnn1C(C)C ZINC000248683667 341384150 /nfs/dbraw/zinc/38/41/50/341384150.db2.gz FJKLIKBUUNXRCV-VKJFTORMSA-N 0 3 307.482 4.018 20 0 DIADHN Cc1c([C@H](C)NCCO[C@@H]2CCCC[C@H]2C)cnn1C(C)C ZINC000248683666 341384234 /nfs/dbraw/zinc/38/42/34/341384234.db2.gz FJKLIKBUUNXRCV-RVKKMQEKSA-N 0 3 307.482 4.018 20 0 DIADHN CCn1nc(CN[C@H]2C[C@H](c3ccc(F)cc3)C2)c2ccccc21 ZINC000552293278 341384913 /nfs/dbraw/zinc/38/49/13/341384913.db2.gz WQQJWICFAIEJKU-JCNLHEQBSA-N 0 3 323.415 4.231 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@@H](C)c1cncc(C)c1 ZINC000552317395 341386017 /nfs/dbraw/zinc/38/60/17/341386017.db2.gz AGGNHGFHPMDOEY-AGKXRFJRSA-N 0 3 324.468 4.392 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4c(OC)cccc43)CC2)c1 ZINC000552319380 341386184 /nfs/dbraw/zinc/38/61/84/341386184.db2.gz JUMMKEZMNFQLML-IBGZPJMESA-N 0 3 323.436 4.360 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000552326300 341386744 /nfs/dbraw/zinc/38/67/44/341386744.db2.gz LHLDDHDLPZMPMW-QAPCUYQASA-N 0 3 302.437 4.094 20 0 DIADHN CSCc1ccc(CN[C@H]2CCc3cc(F)ccc32)cc1 ZINC000552333383 341387193 /nfs/dbraw/zinc/38/71/93/341387193.db2.gz CYLXWSHCLPUWNO-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN Cc1cc(CCN[C@@H](C)c2ccc(OCCC(C)C)cc2)on1 ZINC000552338444 341387676 /nfs/dbraw/zinc/38/76/76/341387676.db2.gz FSHUUMMVUWIPIM-INIZCTEOSA-N 0 3 316.445 4.301 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1 ZINC000552339846 341387748 /nfs/dbraw/zinc/38/77/48/341387748.db2.gz FSDIUWNVGDQIHN-HNNXBMFYSA-N 0 3 314.498 4.466 20 0 DIADHN Cc1cc(CCN[C@H](c2ccccc2F)C2CCCC2)on1 ZINC000552340547 341387927 /nfs/dbraw/zinc/38/79/27/341387927.db2.gz YHIYZLNJQIYMGB-SFHVURJKSA-N 0 3 302.393 4.186 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1C[C@H]1CCC2(CCCCC2)O1 ZINC000248854373 341388607 /nfs/dbraw/zinc/38/86/07/341388607.db2.gz QSNMQBMEBOKZQB-SJORKVTESA-N 0 3 317.477 4.045 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C)CN2CCC[C@@H](C)C2)cs1 ZINC000248977434 341391059 /nfs/dbraw/zinc/39/10/59/341391059.db2.gz IAXKVBAMZRYKFO-ILXRZTDVSA-N 0 3 309.523 4.038 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCCO[C@H]2C(C)C)cs1 ZINC000248988760 341391360 /nfs/dbraw/zinc/39/13/60/341391360.db2.gz KOSYDJMUAKOASB-DZKIICNBSA-N 0 3 310.507 4.368 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H](C)N2CCC[C@@H](C)C2)cs1 ZINC000249009173 341391847 /nfs/dbraw/zinc/39/18/47/341391847.db2.gz OICKZXVVIXYMQA-QLFBSQMISA-N 0 3 309.523 4.038 20 0 DIADHN CC1(C)CN(Cc2c(C3CC3)nc3sccn23)[C@H]2CCC[C@H]21 ZINC000552410245 341392133 /nfs/dbraw/zinc/39/21/33/341392133.db2.gz PTFBVNXCIMWZJR-KGLIPLIRSA-N 0 3 315.486 4.284 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000249054012 341392797 /nfs/dbraw/zinc/39/27/97/341392797.db2.gz OOUKUJVWLBWOGF-AEGPPILISA-N 0 3 302.443 4.028 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1sccc1Br ZINC000087667955 341394408 /nfs/dbraw/zinc/39/44/08/341394408.db2.gz FDQVVVHPKGPVGY-BDAKNGLRSA-N 0 3 306.294 4.057 20 0 DIADHN Cc1ccc(C)c(OCCCN2CCC[C@@H]2c2cccn2C)c1 ZINC000552465631 341394876 /nfs/dbraw/zinc/39/48/76/341394876.db2.gz MJQWLOZMWROFQL-LJQANCHMSA-N 0 3 312.457 4.248 20 0 DIADHN COC[C@H](C)CN1CCc2sccc2[C@H]1c1cccs1 ZINC000249171072 341394880 /nfs/dbraw/zinc/39/48/80/341394880.db2.gz BOPHXQUGSBJROH-WBMJQRKESA-N 0 3 307.484 4.040 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2C[C@H]3OCCC[C@@H]23)o1 ZINC000249455777 341397763 /nfs/dbraw/zinc/39/77/63/341397763.db2.gz DKZVUBKFUANTIV-YXJHDRRASA-N 0 3 317.816 4.257 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1C)c1ccccc1OCc1ccccc1 ZINC000552536574 341397919 /nfs/dbraw/zinc/39/79/19/341397919.db2.gz KPECCTXNQTWTKY-JTDSTZFVSA-N 0 3 311.425 4.094 20 0 DIADHN C[C@@H](NCC1(C)CC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000552575562 341399336 /nfs/dbraw/zinc/39/93/36/341399336.db2.gz CFCMTQMWAQFRSR-SECBINFHSA-N 0 3 321.314 4.340 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](C(C)(C)C)C1)c1cc(F)c(F)c(F)c1 ZINC000552578965 341399561 /nfs/dbraw/zinc/39/95/61/341399561.db2.gz MTIGYEAQTBBYKK-JVLSTEMRSA-N 0 3 315.379 4.348 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2C[C@H]3OCCC[C@@H]23)c2ccccc2)cc1 ZINC000249956693 341401950 /nfs/dbraw/zinc/40/19/50/341401950.db2.gz JHACHWSVALBPMN-DOIPELPJSA-N 0 3 307.437 4.242 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000552696026 341402286 /nfs/dbraw/zinc/40/22/86/341402286.db2.gz JATPKLXFWNJZMP-BZDUDZIASA-N 0 3 317.404 4.408 20 0 DIADHN CCOc1cc(CNCc2ccc(F)c(C)c2)cc(Cl)c1O ZINC000552695060 341402308 /nfs/dbraw/zinc/40/23/08/341402308.db2.gz UONHVMLLFXYEQB-UHFFFAOYSA-N 0 3 323.795 4.182 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000552696025 341402340 /nfs/dbraw/zinc/40/23/40/341402340.db2.gz JATPKLXFWNJZMP-BXSJSEAGSA-N 0 3 317.404 4.408 20 0 DIADHN C[C@@H](NCC(C)(C)c1c(Cl)cccc1Cl)c1cnccn1 ZINC000089551500 341405487 /nfs/dbraw/zinc/40/54/87/341405487.db2.gz NOPNIZBUJWAZEA-LLVKDONJSA-N 0 3 324.255 4.412 20 0 DIADHN CC(C)COc1ccc(CNCCOc2ccccc2F)cc1 ZINC000552985553 341411596 /nfs/dbraw/zinc/41/15/96/341411596.db2.gz NCMSDUXTKOSCEM-UHFFFAOYSA-N 0 3 317.404 4.029 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCC(C)(C)C[C@H](C)O ZINC000090086581 341413278 /nfs/dbraw/zinc/41/32/78/341413278.db2.gz DXPFNPWBUPOCOQ-STQMWFEESA-N 0 3 313.869 4.186 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@H](C)Oc2cccc(Cl)c2)cn1 ZINC000090115930 341413528 /nfs/dbraw/zinc/41/35/28/341413528.db2.gz QYVIFUNXUMBIIL-UONOGXRCSA-N 0 3 321.852 4.236 20 0 DIADHN CSC1(CN[C@H](C)c2ccc(F)cc2Cl)CCOCC1 ZINC000090113140 341413557 /nfs/dbraw/zinc/41/35/57/341413557.db2.gz HIOJENHGUKVMFX-LLVKDONJSA-N 0 3 317.857 4.042 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H](C)Oc2ccccc2Cl)cn1 ZINC000090116914 341413590 /nfs/dbraw/zinc/41/35/90/341413590.db2.gz NZNGQZRBLMESRW-KBPBESRZSA-N 0 3 321.852 4.236 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)s1)C1CCCCC1 ZINC000090401670 341415293 /nfs/dbraw/zinc/41/52/93/341415293.db2.gz NVSSKXVLGMBMRS-ZDUSSCGKSA-N 0 3 301.459 4.264 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2ccccc2)C(C)(C)CO)o1 ZINC000090657451 341418247 /nfs/dbraw/zinc/41/82/47/341418247.db2.gz YWBDYSLAXKSRJN-QAPCUYQASA-N 0 3 315.457 4.295 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2ccc(C)cc2)c1 ZINC000090718814 341418693 /nfs/dbraw/zinc/41/86/93/341418693.db2.gz WVSKYJZPUWMBMS-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2cccc(OC(F)F)c2)c1 ZINC000090723909 341418798 /nfs/dbraw/zinc/41/87/98/341418798.db2.gz QNESBPQXPASCFO-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CC[C@H](N[C@@H](C)c1sc(C(C)(C)C)nc1C)[C@@H]1CCCO1 ZINC000090859264 341419696 /nfs/dbraw/zinc/41/96/96/341419696.db2.gz LXCPQTSLBJKSMD-UBHSHLNASA-N 0 3 310.507 4.357 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1)c1ccco1 ZINC000090853067 341419704 /nfs/dbraw/zinc/41/97/04/341419704.db2.gz OQRGPJKLUMFNKE-UXEPBGEESA-N 0 3 322.811 4.168 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)c(C)c1 ZINC000090859946 341419842 /nfs/dbraw/zinc/41/98/42/341419842.db2.gz URPSQIURLIKNJV-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)c1C ZINC000090880051 341420100 /nfs/dbraw/zinc/42/01/00/341420100.db2.gz VDSHKUNJLWRHGX-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccco1 ZINC000090943623 341420414 /nfs/dbraw/zinc/42/04/14/341420414.db2.gz MDHWUHCHQLXCRV-NEPJUHHUSA-N 0 3 308.784 4.002 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)n1 ZINC000553283845 341423308 /nfs/dbraw/zinc/42/33/08/341423308.db2.gz GPOUQYTVGUWZAM-UYHISHBKSA-N 0 3 317.864 4.140 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](c1ccccc1Cl)C(C)C ZINC000091335105 341424841 /nfs/dbraw/zinc/42/48/41/341424841.db2.gz SLUCKTMMDUIMBW-QGZVFWFLSA-N 0 3 306.837 4.474 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H]1CCSc2ccc(Cl)cc21 ZINC000252424776 341426500 /nfs/dbraw/zinc/42/65/00/341426500.db2.gz JIZQSLWTIZGMSV-RRFJBIMHSA-N 0 3 311.878 4.424 20 0 DIADHN C[C@@H](NCCCNc1ccccc1)c1nc2c(s1)CCCC2 ZINC000091726490 341427795 /nfs/dbraw/zinc/42/77/95/341427795.db2.gz FCFOYCOYMOQITI-CQSZACIVSA-N 0 3 315.486 4.175 20 0 DIADHN CC[C@@H](NCCOCc1ccc(OC)cc1)c1cccs1 ZINC000091741308 341428169 /nfs/dbraw/zinc/42/81/69/341428169.db2.gz ZSDYQKKLRDUJOK-MRXNPFEDSA-N 0 3 305.443 4.014 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccc(-n2cccn2)cc1 ZINC000553828949 341436634 /nfs/dbraw/zinc/43/66/34/341436634.db2.gz ONCZNSGAVXEAHC-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@H](NC[C@H](CO)c1ccccc1Cl)c1ccccc1Cl ZINC000554067363 341442254 /nfs/dbraw/zinc/44/22/54/341442254.db2.gz KPTKOXQLNZETEK-QWHCGFSZSA-N 0 3 324.251 4.420 20 0 DIADHN Fc1cc(C(F)(F)F)c(F)cc1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000554089989 341443638 /nfs/dbraw/zinc/44/36/38/341443638.db2.gz ZTVMICVCZLSFQZ-LLHLLMPMSA-N 0 3 305.290 4.262 20 0 DIADHN COc1cc(OC)c([C@H](C)NCCSC(C)C)cc1Cl ZINC000554096255 341444104 /nfs/dbraw/zinc/44/41/04/341444104.db2.gz KKAZMKVCOINXGN-NSHDSACASA-N 0 3 317.882 4.149 20 0 DIADHN CC[C@@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@H]1CCCO1 ZINC000554272638 341448141 /nfs/dbraw/zinc/44/81/41/341448141.db2.gz PQJCEMPDDIGPJV-ZIAGYGMSSA-N 0 3 323.305 4.031 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2N[C@@H]1CC[C@H](F)C1 ZINC000554370219 341451830 /nfs/dbraw/zinc/45/18/30/341451830.db2.gz LOZKMSRVFWPBGG-RWEMILLDSA-N 0 3 316.189 4.056 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@@H]1CC[C@@H]1C1CC1 ZINC000554372153 341451944 /nfs/dbraw/zinc/45/19/44/341451944.db2.gz IYVFOWIEQOTSSJ-IEBWSBKVSA-N 0 3 316.514 4.144 20 0 DIADHN CC(C)(CNCc1ccc(OCC(F)F)cc1)C(F)(F)F ZINC000554655898 341460636 /nfs/dbraw/zinc/46/06/36/341460636.db2.gz SISFJHAXRCDVSY-UHFFFAOYSA-N 0 3 311.294 4.009 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CCC(C)(C)c1ccccc1 ZINC000554791778 341464154 /nfs/dbraw/zinc/46/41/54/341464154.db2.gz GJNAEXOFFWRGNJ-UHFFFAOYSA-N 0 3 324.468 4.445 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2cc(C)c(O)c(C)c2)CC1 ZINC000554854358 341466696 /nfs/dbraw/zinc/46/66/96/341466696.db2.gz NURSZXNOGWRTLM-UHFFFAOYSA-N 0 3 312.457 4.264 20 0 DIADHN C[C@H](Cc1cccs1)N[C@H](C)c1nc2c(s1)CCCC2 ZINC000093371733 341469974 /nfs/dbraw/zinc/46/99/74/341469974.db2.gz TYUKLMBHISFURI-VXGBXAGGSA-N 0 3 306.500 4.365 20 0 DIADHN COCc1ccc(CN[C@H]2CCc3sc(Cl)cc32)cc1 ZINC000554967041 341470745 /nfs/dbraw/zinc/47/07/45/341470745.db2.gz LGAOAXJRTSPRAS-AWEZNQCLSA-N 0 3 307.846 4.325 20 0 DIADHN COCc1ccc(CN[C@@H]2CCc3sc(Cl)cc32)cc1 ZINC000554967050 341470763 /nfs/dbraw/zinc/47/07/63/341470763.db2.gz LGAOAXJRTSPRAS-CQSZACIVSA-N 0 3 307.846 4.325 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cccc(N2CCCC2)c1)c1ccccc1 ZINC000555051986 341473867 /nfs/dbraw/zinc/47/38/67/341473867.db2.gz VCRQJSCPGGWEMY-LAUBAEHRSA-N 0 3 324.468 4.325 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN2CCC(C3CCOCC3)CC2)o1 ZINC000093705080 341482009 /nfs/dbraw/zinc/48/20/09/341482009.db2.gz VQPKOKYCKNZVAS-KBXCAEBGSA-N 0 3 303.446 4.042 20 0 DIADHN COc1ccc(F)c(CNC[C@H](C)Sc2ccccc2)c1 ZINC000555553261 341485187 /nfs/dbraw/zinc/48/51/87/341485187.db2.gz PCSILYNPGRFKJE-ZDUSSCGKSA-N 0 3 305.418 4.105 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2)C(C)(C)C)s1 ZINC000163086804 341488799 /nfs/dbraw/zinc/48/87/99/341488799.db2.gz IPGBLTIIIMQOMR-MRXNPFEDSA-N 0 3 317.454 4.412 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@@H](O)C1CCCCC1 ZINC000163093715 341492198 /nfs/dbraw/zinc/49/21/98/341492198.db2.gz OFGLKQBHVQSQKJ-SWLSCSKDSA-N 0 3 310.507 4.167 20 0 DIADHN CCc1nc(CN[C@H](Cc2ccccc2Cl)C2CC2)cs1 ZINC000556077041 341493548 /nfs/dbraw/zinc/49/35/48/341493548.db2.gz SDEOBCTXBAYVRZ-MRXNPFEDSA-N 0 3 320.889 4.470 20 0 DIADHN CCC1(CNCc2ccc(-c3ccco3)cc2F)CCOCC1 ZINC000556091711 341494038 /nfs/dbraw/zinc/49/40/38/341494038.db2.gz RAAWXPONHVCENT-UHFFFAOYSA-N 0 3 317.404 4.382 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@@H](C)c2cc[nH]c(=O)c2)c1 ZINC000556223896 341497977 /nfs/dbraw/zinc/49/79/77/341497977.db2.gz LMDIYMKOFLHSPO-RYUDHWBXSA-N 0 3 322.355 4.109 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@H](C)c2cc[nH]c(=O)c2)c1 ZINC000556223900 341498012 /nfs/dbraw/zinc/49/80/12/341498012.db2.gz LMDIYMKOFLHSPO-VXGBXAGGSA-N 0 3 322.355 4.109 20 0 DIADHN C[C@H](NCC1(c2ccccc2)CCC1)c1cn2ccccc2n1 ZINC000556238801 341498768 /nfs/dbraw/zinc/49/87/68/341498768.db2.gz ONBWZXVPHWWGTJ-INIZCTEOSA-N 0 3 305.425 4.107 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@H](c1ccc(Br)cc1)C1CC1 ZINC000556257674 341499589 /nfs/dbraw/zinc/49/95/89/341499589.db2.gz JMXLTUIREVUXOB-ZNMIVQPWSA-N 0 3 312.226 4.380 20 0 DIADHN CN1CC[C@H](NCc2cccc(F)c2)[C@H]1c1ccc(Cl)cc1 ZINC000556281006 341500478 /nfs/dbraw/zinc/50/04/78/341500478.db2.gz JQWDTABTRCAUOO-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1c[nH]c3nccnc13)CCC2 ZINC000564536524 341503641 /nfs/dbraw/zinc/50/36/41/341503641.db2.gz IUZVTFKRZODELN-SFHVURJKSA-N 0 3 320.440 4.200 20 0 DIADHN c1ccc2c(c1)cccc2-c1noc([C@@H]2C[C@@H]3CCCC[C@@H]3N2)n1 ZINC000261680062 341504136 /nfs/dbraw/zinc/50/41/36/341504136.db2.gz MNXFNKBWZCJFRP-WBAXXEDZSA-N 0 3 319.408 4.483 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)[C@@H]2CCCO2)cc1OC ZINC000152922769 341512051 /nfs/dbraw/zinc/51/20/51/341512051.db2.gz QAAUMWJBQKXBJB-ZOBUZTSGSA-N 0 3 321.461 4.092 20 0 DIADHN COc1ccc(CNCc2cccc(Br)c2C)cc1 ZINC000565215559 341520238 /nfs/dbraw/zinc/52/02/38/341520238.db2.gz BHVBPTSNVCKCJT-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN Cc1ncc(CN[C@@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)n1C ZINC000565236468 341520889 /nfs/dbraw/zinc/52/08/89/341520889.db2.gz APGRDPFDYUAURO-KBXCAEBGSA-N 0 3 309.457 4.118 20 0 DIADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(SCC(F)(F)F)cc1 ZINC000153059500 341522766 /nfs/dbraw/zinc/52/27/66/341522766.db2.gz YQZQDSMVKOXHDV-DGCLKSJQSA-N 0 3 319.392 4.171 20 0 DIADHN COc1cccc([C@H](C)NC[C@H]2CC[C@H](c3ccccc3)O2)c1 ZINC000565297679 341523077 /nfs/dbraw/zinc/52/30/77/341523077.db2.gz OVDCMFKAXPDDIJ-CWFSZBLJSA-N 0 3 311.425 4.266 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N[C@@H]2CO[C@@H](C)C2)cc1 ZINC000565302896 341523197 /nfs/dbraw/zinc/52/31/97/341523197.db2.gz IPUUFFUYKZEWLQ-MPGHIAIKSA-N 0 3 311.425 4.190 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc(N(C)C)nc2)c2ccccc21 ZINC000565343748 341524542 /nfs/dbraw/zinc/52/45/42/341524542.db2.gz RYXLMOPEOKNFST-RTBURBONSA-N 0 3 309.457 4.122 20 0 DIADHN C[C@@H](NCc1cccc(F)c1)c1ccc(-n2cccn2)c(F)c1 ZINC000565730974 341536449 /nfs/dbraw/zinc/53/64/49/341536449.db2.gz YONPWXXVCCJXPT-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](O)C2CCCCC2)ccc1SC ZINC000163154601 341539256 /nfs/dbraw/zinc/53/92/56/341539256.db2.gz UGXSMXZOKIRMNE-CJNGLKHVSA-N 0 3 323.502 4.009 20 0 DIADHN COc1ncccc1CCN[C@H](C)c1oc2ccccc2c1C ZINC000565863189 341540526 /nfs/dbraw/zinc/54/05/26/341540526.db2.gz QEVCIJPHFZQCDF-CQSZACIVSA-N 0 3 310.397 4.038 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCc2ccc(C)cc2C)c1 ZINC000566025362 341545722 /nfs/dbraw/zinc/54/57/22/341545722.db2.gz KZJQBYXGVAAPFW-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN COC(=O)c1csc([C@H](C)NCc2ccc(C)cc2C)c1 ZINC000566025363 341545725 /nfs/dbraw/zinc/54/57/25/341545725.db2.gz KZJQBYXGVAAPFW-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN CC(C)[C@H](CC1CCCC1)C(=O)N(C)[C@H](C)C1CCN(C)CC1 ZINC000566284037 341553011 /nfs/dbraw/zinc/55/30/11/341553011.db2.gz QXFKPNBRWMYTCG-APWZRJJASA-N 0 3 322.537 4.028 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(F)c(Cl)c1 ZINC000566996575 341573720 /nfs/dbraw/zinc/57/37/20/341573720.db2.gz GYFWUVGNTWQBRL-HNNXBMFYSA-N 0 3 323.770 4.404 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCCC1)c1cccs1 ZINC000154013823 341583021 /nfs/dbraw/zinc/58/30/21/341583021.db2.gz DSWBUQWNDGXCJO-LSDHHAIUSA-N 0 3 304.459 4.219 20 0 DIADHN COc1cccc2cc([C@H](C)NCc3cccc(N(C)C)c3)oc21 ZINC000154183950 341586210 /nfs/dbraw/zinc/58/62/10/341586210.db2.gz MDYWZNVHMOIBSF-AWEZNQCLSA-N 0 3 324.424 4.358 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1ccc(Br)cc1F ZINC000308754207 341587774 /nfs/dbraw/zinc/58/77/74/341587774.db2.gz VRYIDSQLFPJFKI-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1ccc(CN[C@H]2[C@@H]3CCO[C@@H]3C2(C)C)c(OC(C)(C)C)c1 ZINC000567213419 341588186 /nfs/dbraw/zinc/58/81/86/341588186.db2.gz PGHCSMUTJDKLLK-SZMVWBNQSA-N 0 3 317.473 4.075 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)s1 ZINC000567220172 341589255 /nfs/dbraw/zinc/58/92/55/341589255.db2.gz ACRIDMRKMQGJIU-HIFRSBDPSA-N 0 3 321.877 4.316 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](NCCCn1ccnc1)C1CC1 ZINC000567243397 341590905 /nfs/dbraw/zinc/59/09/05/341590905.db2.gz LRUYLHPQYJDKOA-INIZCTEOSA-N 0 3 324.255 4.321 20 0 DIADHN FC(F)(F)CC1CCN(CCOC2CCCCCC2)CC1 ZINC000567251722 341591299 /nfs/dbraw/zinc/59/12/99/341591299.db2.gz GFCDTGOMLVXHLY-UHFFFAOYSA-N 0 3 307.400 4.390 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CCC[C@H]1C1CC1 ZINC000567252155 341591456 /nfs/dbraw/zinc/59/14/56/341591456.db2.gz FYWLYUFDFUXELQ-MAUKXSAKSA-N 0 3 302.437 4.094 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000567325938 341595281 /nfs/dbraw/zinc/59/52/81/341595281.db2.gz MNTHIYSGRVWMSK-MGPUTAFESA-N 0 3 322.452 4.035 20 0 DIADHN Cc1ccc(NC(=O)c2cc(C)cc(Cl)c2)c(CN(C)C)c1 ZINC000154862204 341597166 /nfs/dbraw/zinc/59/71/66/341597166.db2.gz UGSUHQUGDQCJHX-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN COc1ncc(CN[C@@H](C)c2ccc(C3CC3)cc2)cc1Cl ZINC000567363874 341598787 /nfs/dbraw/zinc/59/87/87/341598787.db2.gz PHQFIFDNXAEJCO-LBPRGKRZSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1ccc2c(c1)CCCC2 ZINC000567413850 341602375 /nfs/dbraw/zinc/60/23/75/341602375.db2.gz DRRFDDWYYRPJAG-HNNXBMFYSA-N 0 3 319.452 4.303 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(N2CCOC2=O)c1)c1ccccc1 ZINC000156961294 341629539 /nfs/dbraw/zinc/62/95/39/341629539.db2.gz LFSIKQZLIGPAKE-BEFAXECRSA-N 0 3 324.424 4.445 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1CCc2cc(N)ccc21 ZINC000567915955 341640636 /nfs/dbraw/zinc/64/06/36/341640636.db2.gz DCARNVKIPKDUDQ-SFHVURJKSA-N 0 3 300.833 4.316 20 0 DIADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000158079931 341650931 /nfs/dbraw/zinc/65/09/31/341650931.db2.gz LFIXKLCMULZACJ-BBRMVZONSA-N 0 3 318.486 4.258 20 0 DIADHN COc1cc([C@@H](C)NCCOC2CCCC2)ccc1SC ZINC000163273851 341652772 /nfs/dbraw/zinc/65/27/72/341652772.db2.gz GKIFGCHNINRVTI-CYBMUJFWSA-N 0 3 309.475 4.027 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)NCc1ccn(C)c1 ZINC000158541309 341658853 /nfs/dbraw/zinc/65/88/53/341658853.db2.gz JMVQVRSPACQRRY-GOSISDBHSA-N 0 3 310.466 4.469 20 0 DIADHN Cc1cnccc1CCN[C@H](CC(F)(F)F)c1ccccc1 ZINC000568624479 341676723 /nfs/dbraw/zinc/67/67/23/341676723.db2.gz LIZGDCBYRMSZDB-MRXNPFEDSA-N 0 3 308.347 4.216 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](C)c1cncnc1C ZINC000568651196 341677554 /nfs/dbraw/zinc/67/75/54/341677554.db2.gz NYOKTWGDEGDSQS-CABCVRRESA-N 0 3 301.459 4.007 20 0 DIADHN CC[C@H](NCCc1ccc(OC)c(F)c1)c1ccc(F)cc1F ZINC000569291676 341696792 /nfs/dbraw/zinc/69/67/92/341696792.db2.gz NFXMDKWDLLLELJ-KRWDZBQOSA-N 0 3 323.358 4.396 20 0 DIADHN C[C@H](NC[C@@H](O)C(C)(C)C)c1cc(F)c(Cl)cc1Cl ZINC000324292753 341706349 /nfs/dbraw/zinc/70/63/49/341706349.db2.gz SPKRJRBVJRVGOM-ISVAXAHUSA-N 0 3 308.224 4.190 20 0 DIADHN Cc1cc(CNC[C@@H](C)c2ccc(C(F)(F)F)cc2)nc(C)n1 ZINC000573167345 341719469 /nfs/dbraw/zinc/71/94/69/341719469.db2.gz CTFIJEYJSISQOO-LLVKDONJSA-N 0 3 323.362 4.006 20 0 DIADHN CC(C)CC[C@@H](N[C@H](c1nccn1C)c1ccccc1)C1CC1 ZINC000573187809 341720377 /nfs/dbraw/zinc/72/03/77/341720377.db2.gz RBMFCELZBMFNAB-MOPGFXCFSA-N 0 3 311.473 4.314 20 0 DIADHN CC(C)(C)[C@H]1CC[C@@H]1NCc1nccn1CCc1ccccc1 ZINC000573588487 341732823 /nfs/dbraw/zinc/73/28/23/341732823.db2.gz TYSISYGVZLCVFO-ROUUACIJSA-N 0 3 311.473 4.040 20 0 DIADHN COc1ccc(CN[C@@H](CC(F)(F)F)C(C)C)c(C)c1OC ZINC000573596551 341732983 /nfs/dbraw/zinc/73/29/83/341732983.db2.gz FEVHZJCZXITBST-ZDUSSCGKSA-N 0 3 319.367 4.079 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000574146177 341749930 /nfs/dbraw/zinc/74/99/30/341749930.db2.gz UIOLOVDFSQZBIA-GFCCVEGCSA-N 0 3 305.381 4.468 20 0 DIADHN C[C@@H](CCc1ccc(OC(F)F)cc1)NC1(CF)CCC1 ZINC000574278756 341754082 /nfs/dbraw/zinc/75/40/82/341754082.db2.gz CHXZVPRWMFXABX-LBPRGKRZSA-N 0 3 301.352 4.091 20 0 DIADHN c1cnn(-c2ccccc2CNCc2cccc(C3CC3)c2)c1 ZINC000336868169 341809239 /nfs/dbraw/zinc/80/92/39/341809239.db2.gz RPVNSQUWSGAZED-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3c2ccc(OC)c3F)cc1 ZINC000575901096 341813559 /nfs/dbraw/zinc/81/35/59/341813559.db2.gz BXRHDVZBDZNJSL-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN OCc1ccccc1CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000575991671 341818931 /nfs/dbraw/zinc/81/89/31/341818931.db2.gz SFEVWZFTLVUEMD-IBGZPJMESA-N 0 3 315.844 4.463 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1ccc(-c2c(F)cccc2F)cc1 ZINC000576268874 341837168 /nfs/dbraw/zinc/83/71/68/341837168.db2.gz JKOVZOMOTNCPQT-OLZOCXBDSA-N 0 3 305.368 4.317 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1c2ccccc2O[C@H]1C ZINC000576487664 341848970 /nfs/dbraw/zinc/84/89/70/341848970.db2.gz VNYFALQMBWRHPM-ZMYLFXBPSA-N 0 3 323.436 4.348 20 0 DIADHN CC(C)CC1(C)CCN(Cc2cnn(CC(F)(F)F)c2)CC1 ZINC000576506438 341849671 /nfs/dbraw/zinc/84/96/71/341849671.db2.gz SXUWNDJFBPDGBO-UHFFFAOYSA-N 0 3 317.399 4.094 20 0 DIADHN CCc1cc(CNC[C@@H](C)c2ccc(C(F)(F)F)cc2)on1 ZINC000576741854 341860959 /nfs/dbraw/zinc/86/09/59/341860959.db2.gz LXNOVOWGYXGZEV-LLVKDONJSA-N 0 3 312.335 4.149 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@@H](c1ccccc1)C1CC1 ZINC000192505760 341871887 /nfs/dbraw/zinc/87/18/87/341871887.db2.gz ZFOWGOJUWVBBPG-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN CC1(C)Cc2cccc(CNCCc3ccc(F)cc3F)c2O1 ZINC000580678120 341896371 /nfs/dbraw/zinc/89/63/71/341896371.db2.gz FPIMKBMZPPONLU-UHFFFAOYSA-N 0 3 317.379 4.011 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)c1cc[nH]c(=O)c1 ZINC000577197569 341896531 /nfs/dbraw/zinc/89/65/31/341896531.db2.gz ZVXXWWOZNAPCIE-WDEREUQCSA-N 0 3 310.319 4.218 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3occc32)c2ccccc2n1 ZINC000192907067 341897078 /nfs/dbraw/zinc/89/70/78/341897078.db2.gz ZHEFDGRURLZRGK-MRXNPFEDSA-N 0 3 308.381 4.004 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000176956627 341906757 /nfs/dbraw/zinc/90/67/57/341906757.db2.gz GODCVBWXIINQQT-CQDKDKBSSA-N 0 3 301.434 4.439 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2nc(C(C)(C)C)cs2)n1 ZINC000577391005 341907667 /nfs/dbraw/zinc/90/76/67/341907667.db2.gz MOQYBXZOAUZYSU-CYBMUJFWSA-N 0 3 303.475 4.037 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1cccc2ccccc21 ZINC000177246644 341918096 /nfs/dbraw/zinc/91/80/96/341918096.db2.gz FHAZQZQRZHSFTP-CQSZACIVSA-N 0 3 309.384 4.322 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@H](C)C(=O)N(C)C(C)C)cc1 ZINC000177445913 341920068 /nfs/dbraw/zinc/92/00/68/341920068.db2.gz GTCPQDLJKPRUBD-LSDHHAIUSA-N 0 3 322.518 4.093 20 0 DIADHN CC[C@H](NCCCCc1ccc(Cl)s1)c1ccn(C)n1 ZINC000577659478 341927916 /nfs/dbraw/zinc/92/79/16/341927916.db2.gz IELWAZRPYAXVEH-ZDUSSCGKSA-N 0 3 311.882 4.199 20 0 DIADHN Cc1cc([C@H](C)NC[C@@](C)(Cc2ccccc2)[C@H](C)O)c(C)o1 ZINC000577821102 341939986 /nfs/dbraw/zinc/93/99/86/341939986.db2.gz AIXNIHNBMREXKL-RIFZZMRRSA-N 0 3 315.457 4.177 20 0 DIADHN Clc1cccc([C@H](N[C@H]2CCCOC2)c2ccccc2)c1 ZINC000178118567 341946970 /nfs/dbraw/zinc/94/69/70/341946970.db2.gz INZAOBPSEGGPAF-ZWKOTPCHSA-N 0 3 301.817 4.198 20 0 DIADHN CCc1nc2c(s1)[C@H](NC[C@H](OC)c1ccccc1)CCC2 ZINC000178458125 341954895 /nfs/dbraw/zinc/95/48/95/341954895.db2.gz ASKDPBQYOZCKJS-ZBFHGGJFSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc2c(s1)[C@@H](NC[C@@H](OC)c1ccccc1)CCC2 ZINC000178458155 341954968 /nfs/dbraw/zinc/95/49/68/341954968.db2.gz ASKDPBQYOZCKJS-GOEBONIOSA-N 0 3 316.470 4.060 20 0 DIADHN COc1cccc(C[C@H](NCc2ccoc2)c2ccccc2)c1 ZINC000178474955 341955781 /nfs/dbraw/zinc/95/57/81/341955781.db2.gz BFBIMKABFDPXGX-FQEVSTJZSA-N 0 3 307.393 4.362 20 0 DIADHN C[C@@H](N[C@@H](C)CN1CCCC1=O)c1cccc(-c2ccccc2)c1 ZINC000178489582 341956080 /nfs/dbraw/zinc/95/60/80/341956080.db2.gz ZWNXIAXZOHKLHE-DLBZAZTESA-N 0 3 322.452 4.015 20 0 DIADHN COCC[C@@H](C)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000178606976 341959610 /nfs/dbraw/zinc/95/96/10/341959610.db2.gz UYOFYMZSGCKWDJ-CYBMUJFWSA-N 0 3 305.368 4.069 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@H](c1ccsc1)c1ccc(Cl)cc1 ZINC000178682400 341961775 /nfs/dbraw/zinc/96/17/75/341961775.db2.gz NTCAFIQZGBJBOJ-UVBJJODRSA-N 0 3 307.846 4.258 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2ccc(Br)o2)C1 ZINC000361571509 521727206 /nfs/dbraw/zinc/72/72/06/521727206.db2.gz LWGHQKNNVCOBOX-ZYHUDNBSSA-N 0 3 318.280 4.148 20 0 DIADHN CC1(C)CC[C@@H](NCc2cccc3c2OCCO3)c2ccccc21 ZINC000356892782 517505963 /nfs/dbraw/zinc/50/59/63/517505963.db2.gz JVARGJUHGJMVCA-GOSISDBHSA-N 0 3 323.436 4.360 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412557502 517781624 /nfs/dbraw/zinc/78/16/24/517781624.db2.gz IUDHTQJQRFCRIA-CABCVRRESA-N 0 3 306.425 4.042 20 0 DIADHN COc1cccc(OC)c1CNC[C@H]1CCCCC(F)(F)C1 ZINC000491596312 518166180 /nfs/dbraw/zinc/16/61/80/518166180.db2.gz JFYRILXZDCPGDR-ZDUSSCGKSA-N 0 3 313.388 4.009 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@H]1CC2(CCCC2)Oc2ccccc21 ZINC000090085584 518178511 /nfs/dbraw/zinc/17/85/11/518178511.db2.gz ZPUQADDWKJUIDW-WBVHZDCISA-N 0 3 317.473 4.210 20 0 DIADHN Cc1ccc(C(=O)NCC(C)(C)N[C@H](C)c2ccccc2)c(C)c1 ZINC000517803443 518188356 /nfs/dbraw/zinc/18/83/56/518188356.db2.gz SAVRCIXRNNNUFX-QGZVFWFLSA-N 0 3 324.468 4.163 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@@H](Cc1cccnc1)c1cccc(Cl)c1 ZINC000556257981 518196023 /nfs/dbraw/zinc/19/60/23/518196023.db2.gz TVERPVQAGCFDCC-SQNIBIBYSA-N 0 3 318.823 4.499 20 0 DIADHN Brc1ccc([C@H](NCc2ccoc2)C2CC2)cc1 ZINC000180041713 518216437 /nfs/dbraw/zinc/21/64/37/518216437.db2.gz ZTJZCGIWCYDEJS-OAHLLOKOSA-N 0 3 306.203 4.283 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cccc(OC(F)F)c2)CC1 ZINC000162425760 518868084 /nfs/dbraw/zinc/86/80/84/518868084.db2.gz PIUNISHUZPCWPX-UHFFFAOYSA-N 0 3 313.388 4.068 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCCC[C@@H]2[C@@H]2CCOC2)n1 ZINC000365060164 519083894 /nfs/dbraw/zinc/08/38/94/519083894.db2.gz DUIOJOXMSLTRBV-RBSFLKMASA-N 0 3 322.518 4.126 20 0 DIADHN CC(C)(NCc1ccncc1)c1ccc(OC(F)(F)F)cc1 ZINC000188900167 519324807 /nfs/dbraw/zinc/32/48/07/519324807.db2.gz XDVOSYNQXMTGGO-UHFFFAOYSA-N 0 3 310.319 4.005 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H]2CCCOc3ccccc32)cc1 ZINC000182040180 519732981 /nfs/dbraw/zinc/73/29/81/519732981.db2.gz JYPKUZIFGBRLGE-KUHUBIRLSA-N 0 3 324.424 4.210 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NC2(c3cccc(F)c3)CC2)cc1 ZINC000182197776 519733483 /nfs/dbraw/zinc/73/34/83/519733483.db2.gz VECFDISWUNSKLZ-ZDUSSCGKSA-N 0 3 312.388 4.124 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2c(C)cc(C)cc2C)cc1 ZINC000182032461 519733823 /nfs/dbraw/zinc/73/38/23/519733823.db2.gz DFGBBBDQCNKCII-INIZCTEOSA-N 0 3 310.441 4.421 20 0 DIADHN CC(C)OCCCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000180456616 519761023 /nfs/dbraw/zinc/76/10/23/519761023.db2.gz LTQBTESLUAFPQP-ZDUSSCGKSA-N 0 3 323.868 4.083 20 0 DIADHN CC(C)[C@@H](O)CCN[C@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000338224768 519800599 /nfs/dbraw/zinc/80/05/99/519800599.db2.gz GJRBYYOODITJSE-ROUUACIJSA-N 0 3 317.473 4.210 20 0 DIADHN CC(C)c1ccc(C(=O)N(C)[C@@H]2CCN(C)[C@H](C)C2)cc1Cl ZINC000189321926 519834482 /nfs/dbraw/zinc/83/44/82/519834482.db2.gz RDJRVJJKWALIBG-UKRRQHHQSA-N 0 3 322.880 4.018 20 0 DIADHN CC(C)c1ccc(C(=O)N(C)[C@H]2CCN(C)[C@H](C)C2)cc1Cl ZINC000189321975 519834504 /nfs/dbraw/zinc/83/45/04/519834504.db2.gz RDJRVJJKWALIBG-HIFRSBDPSA-N 0 3 322.880 4.018 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2CCC(CC(=O)N(C)C)CC2)cc1 ZINC000182495434 519839086 /nfs/dbraw/zinc/83/90/86/519839086.db2.gz CMGRLIUNKFUSOI-MRXNPFEDSA-N 0 3 316.489 4.061 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000158882739 519877934 /nfs/dbraw/zinc/87/79/34/519877934.db2.gz SCIJVMYLKXGCJB-ZDUSSCGKSA-N 0 3 302.443 4.338 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H]2CCc3ccccc32)cc1 ZINC000158874519 519877961 /nfs/dbraw/zinc/87/79/61/519877961.db2.gz BECRJAJQUJPMTQ-LJQANCHMSA-N 0 3 308.425 4.202 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H]2CC[C@H](C)c3ccccc32)cc1 ZINC000156541575 519891404 /nfs/dbraw/zinc/89/14/04/519891404.db2.gz VJMCGHSBPYIPNB-YWZLYKJASA-N 0 3 322.452 4.165 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(F)c(F)c1 ZINC000119542751 520213538 /nfs/dbraw/zinc/21/35/38/520213538.db2.gz VEDHHTSNLVUISP-CYBMUJFWSA-N 0 3 305.368 4.352 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000119542645 520214396 /nfs/dbraw/zinc/21/43/96/520214396.db2.gz VEDHHTSNLVUISP-ZDUSSCGKSA-N 0 3 305.368 4.352 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1sc(CC)nc1C ZINC000122612644 520217773 /nfs/dbraw/zinc/21/77/73/520217773.db2.gz GNNLWAYFEJSGDX-CYBMUJFWSA-N 0 3 318.486 4.401 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000191303751 520350829 /nfs/dbraw/zinc/35/08/29/520350829.db2.gz XAWNKBHWVIMXMJ-ZDUSSCGKSA-N 0 3 305.809 4.058 20 0 DIADHN CCCOc1ccc(CN[C@H](CC)c2cccc(OC)c2)cn1 ZINC000156661668 520375561 /nfs/dbraw/zinc/37/55/61/520375561.db2.gz KIBULWZEIYSYJL-GOSISDBHSA-N 0 3 314.429 4.120 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cnc3ccccc3c2)C12CCC2 ZINC000337145682 520408901 /nfs/dbraw/zinc/40/89/01/520408901.db2.gz DYAHNKOIRNKIIU-RBUKOAKNSA-N 0 3 310.441 4.014 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cnc3ccccc3c2)C12CCC2 ZINC000337145681 520568146 /nfs/dbraw/zinc/56/81/46/520568146.db2.gz DYAHNKOIRNKIIU-OALUTQOASA-N 0 3 310.441 4.014 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2cccn2C)cc1OC ZINC000161695567 520894064 /nfs/dbraw/zinc/89/40/64/520894064.db2.gz CMBGSABNIUPBAZ-OAHLLOKOSA-N 0 3 316.445 4.063 20 0 DIADHN CCN(CC1CC1)[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000157951875 520964870 /nfs/dbraw/zinc/96/48/70/520964870.db2.gz SKNZEOPNSFEOIA-NSHDSACASA-N 0 3 305.809 4.183 20 0 DIADHN CCC[C@H](NCCc1nc2c(cccc2C)o1)c1cccnc1 ZINC000337142337 521158256 /nfs/dbraw/zinc/15/82/56/521158256.db2.gz UBBBXHUTEVOVHK-INIZCTEOSA-N 0 3 309.413 4.205 20 0 DIADHN CCC[C@H](NCc1cccc(NC(C)=O)c1)c1ccsc1 ZINC000191203441 521162665 /nfs/dbraw/zinc/16/26/65/521162665.db2.gz FJQFQMZMUDWLFA-KRWDZBQOSA-N 0 3 302.443 4.338 20 0 DIADHN CCC[C@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccccc1 ZINC000268798872 521164508 /nfs/dbraw/zinc/16/45/08/521164508.db2.gz FSEKCHVDEHTAQR-FQEVSTJZSA-N 0 3 322.452 4.210 20 0 DIADHN CCC[C@H](NCc1cncn1C)c1cccc(C(F)(F)F)c1 ZINC000237037210 521165175 /nfs/dbraw/zinc/16/51/75/521165175.db2.gz COAPRIXOBZLFCV-HNNXBMFYSA-N 0 3 311.351 4.070 20 0 DIADHN CCN(CCc1nccs1)C[C@H](OC)c1ccc(Cl)cc1 ZINC000338288730 521182431 /nfs/dbraw/zinc/18/24/31/521182431.db2.gz SGRRHOPMPJBOIE-HNNXBMFYSA-N 0 3 324.877 4.049 20 0 DIADHN CCCC[C@H](N[C@H](C)c1ccnn1C)c1ccc(OC)cc1 ZINC000192817802 521243891 /nfs/dbraw/zinc/24/38/91/521243891.db2.gz PDPGOCLWDMTWEY-PBHICJAKSA-N 0 3 301.434 4.011 20 0 DIADHN CCN(Cc1ccc(C(=O)N(C)C)cc1)Cc1cc(C)ccc1C ZINC000102931621 521299548 /nfs/dbraw/zinc/29/95/48/521299548.db2.gz KRWFUADRFBPQHO-UHFFFAOYSA-N 0 3 324.468 4.027 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1ncc(CSC)s1 ZINC000339293721 521723925 /nfs/dbraw/zinc/72/39/25/521723925.db2.gz NVDWQKOOWNDZST-QWHCGFSZSA-N 0 3 316.561 4.160 20 0 DIADHN CCSc1cc(CN[C@@H](C)c2ccc(OC)cc2)ccn1 ZINC000187798953 521734000 /nfs/dbraw/zinc/73/40/00/521734000.db2.gz XRNRHGAHJMZCAZ-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN COCC[C@@H]1CCCCN([C@@H](C)c2nc3ccccc3o2)C1 ZINC000364151771 521873812 /nfs/dbraw/zinc/87/38/12/521873812.db2.gz VHYWWZBCFMQKRT-GJZGRUSLSA-N 0 3 302.418 4.027 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(O)c2nc(C)ccc12 ZINC000338294568 522090589 /nfs/dbraw/zinc/09/05/89/522090589.db2.gz AWKLKWULDZYGLD-AWEZNQCLSA-N 0 3 321.424 4.057 20 0 DIADHN CNCc1cccc(NC(=O)c2ccccc2SC(C)C)c1 ZINC000237245585 522129866 /nfs/dbraw/zinc/12/98/66/522129866.db2.gz UXARODPEHJCTMF-UHFFFAOYSA-N 0 3 314.454 4.159 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc(C(C)(C)O)cc2)s1 ZINC000186621492 522222554 /nfs/dbraw/zinc/22/25/54/522222554.db2.gz GVSKSYSBVABTCW-GFCCVEGCSA-N 0 3 318.486 4.092 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@H](OC)c2ccccc2)s1 ZINC000131408865 522223728 /nfs/dbraw/zinc/22/37/28/522223728.db2.gz MAVBVEZNDKCCFB-DOMZBBRYSA-N 0 3 304.459 4.052 20 0 DIADHN CCc1nc(CCN[C@@H]2CCCOc3cc(C)ccc32)cs1 ZINC000157064633 522281796 /nfs/dbraw/zinc/28/17/96/522281796.db2.gz NYLXRKDQNHJLOB-MRXNPFEDSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc(CCN[C@H]2CCCOc3ccc(C)cc32)cs1 ZINC000157101603 522285974 /nfs/dbraw/zinc/28/59/74/522285974.db2.gz IXOJKPSLYIOYNR-INIZCTEOSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc(CNCCCc2c[nH]c3ccc(F)cc23)cs1 ZINC000337286272 522341539 /nfs/dbraw/zinc/34/15/39/522341539.db2.gz KLRTXEZJBLJELY-UHFFFAOYSA-N 0 3 317.433 4.048 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC000266134821 522506237 /nfs/dbraw/zinc/50/62/37/522506237.db2.gz FCSLUNWZJMNQIL-CYBMUJFWSA-N 0 3 304.459 4.103 20 0 DIADHN CCc1ncc(CNC2(c3ccc(OC)cc3)CCCC2)s1 ZINC000267597310 522553084 /nfs/dbraw/zinc/55/30/84/522553084.db2.gz CMIJGSXPQDMBHY-UHFFFAOYSA-N 0 3 316.470 4.273 20 0 DIADHN CC[C@H](NCc1ccc(NC(N)=O)cc1)c1ccc(Cl)s1 ZINC000131007421 522650230 /nfs/dbraw/zinc/65/02/30/522650230.db2.gz CGLXDFNGGZZDPB-LBPRGKRZSA-N 0 3 323.849 4.133 20 0 DIADHN CC[C@H](NCc1cn(C)nc1-c1ccccc1)c1ccccc1 ZINC000181029804 522664559 /nfs/dbraw/zinc/66/45/59/522664559.db2.gz LSDMNHSVZBDRGS-IBGZPJMESA-N 0 3 305.425 4.328 20 0 DIADHN COC1CCC(N(C)Cc2cc(-c3ccc(C)cc3)no2)CC1 ZINC000265188188 522683575 /nfs/dbraw/zinc/68/35/75/522683575.db2.gz GRXASQMRYNEZJE-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN COC1CCC(N(C)Cc2csc(-c3ccsc3)n2)CC1 ZINC000265256823 522686462 /nfs/dbraw/zinc/68/64/62/522686462.db2.gz XNNCROJVIMYXOY-UHFFFAOYSA-N 0 3 322.499 4.261 20 0 DIADHN CC[C@H](N[C@@H](CCCO)c1ccccc1)c1cccc(F)c1 ZINC000188061367 522706190 /nfs/dbraw/zinc/70/61/90/522706190.db2.gz CNIJPTMQUYWRNM-OALUTQOASA-N 0 3 301.405 4.380 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccncc1 ZINC000163655207 522738521 /nfs/dbraw/zinc/73/85/21/522738521.db2.gz IZOZLMHNKRHJKA-DYVFJYSZSA-N 0 3 323.440 4.113 20 0 DIADHN CC[C@@H](NCCCOC(F)F)c1ccccc1Br ZINC000338011568 522828419 /nfs/dbraw/zinc/82/84/19/522828419.db2.gz GZPPGYHMTAFAQM-GFCCVEGCSA-N 0 3 322.193 4.119 20 0 DIADHN CC[C@@H](NCCOCC(C)C)c1ccccc1OC(F)F ZINC000337302510 522835895 /nfs/dbraw/zinc/83/58/95/522835895.db2.gz PSTKQQGCZWTSFM-CQSZACIVSA-N 0 3 301.377 4.001 20 0 DIADHN CC[C@@H](NCc1ccc(-n2nc(C)cc2C)nc1)c1ccccc1 ZINC000162777973 522871694 /nfs/dbraw/zinc/87/16/94/522871694.db2.gz JWBYSQUABFZXLN-LJQANCHMSA-N 0 3 320.440 4.125 20 0 DIADHN CC[C@@H](NCc1ccccc1OCCOC)c1ccc(F)cc1 ZINC000265656406 522885057 /nfs/dbraw/zinc/88/50/57/522885057.db2.gz PSYGDJFYMIKPKB-GOSISDBHSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@@H](NCc1ccsc1C)c1ccc2c(c1)OCCO2 ZINC000339200554 522886619 /nfs/dbraw/zinc/88/66/19/522886619.db2.gz STIFXYSSQUNNMP-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN CC[C@@H](N[C@@H](C)Cc1c(C)noc1C)c1cc(F)ccc1F ZINC000152104415 522908110 /nfs/dbraw/zinc/90/81/10/522908110.db2.gz HAMNOSSKLCTIMZ-DYZYQPBXSA-N 0 3 308.372 4.242 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1c(C)nn(C)c1C ZINC000156616235 522914653 /nfs/dbraw/zinc/91/46/53/522914653.db2.gz IZEJGQWGISPUKL-ORAYPTAESA-N 0 3 311.473 4.328 20 0 DIADHN CC[C@@H](N[C@@H]1CCCOc2ccc(OC)cc21)c1ccncc1 ZINC000182225605 522923636 /nfs/dbraw/zinc/92/36/36/522923636.db2.gz ZTEWWSRMYHJQPY-QZTJIDSGSA-N 0 3 312.413 4.045 20 0 DIADHN CC[C@@H](N[C@@H]1CCSc2ccc(F)cc21)c1ccncc1 ZINC000163614067 522927614 /nfs/dbraw/zinc/92/76/14/522927614.db2.gz PCXMLGRWPAFKHI-HZPDHXFCSA-N 0 3 302.418 4.499 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2c1cnn2CC)c1cccc(F)c1 ZINC000364159376 522949005 /nfs/dbraw/zinc/94/90/05/522949005.db2.gz GYVVLAQPMBMZPP-SJORKVTESA-N 0 3 301.409 4.160 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(OC(F)F)cc1 ZINC000130241374 522966055 /nfs/dbraw/zinc/96/60/55/522966055.db2.gz ZTFBPTHAKSLPBN-MRXNPFEDSA-N 0 3 306.356 4.266 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(Cl)c2cccnc12 ZINC000157780603 522992242 /nfs/dbraw/zinc/99/22/42/522992242.db2.gz FNZKYEMKXHZRMK-UHFFFAOYSA-N 0 3 317.845 4.019 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(OC(F)F)cc1 ZINC000130241173 523120577 /nfs/dbraw/zinc/12/05/77/523120577.db2.gz ZTFBPTHAKSLPBN-INIZCTEOSA-N 0 3 306.356 4.266 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000157910665 523459256 /nfs/dbraw/zinc/45/92/56/523459256.db2.gz BUISCTOOIQAZNJ-HZPDHXFCSA-N 0 3 320.440 4.377 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cccc(NC(C)=O)c2)cc1 ZINC000180103540 523901811 /nfs/dbraw/zinc/90/18/11/523901811.db2.gz BXKFVVPODNFUNN-UHFFFAOYSA-N 0 3 310.441 4.232 20 0 DIADHN CO[C@H](CN(Cc1cccnc1)C(C)C)c1ccc(Cl)cc1 ZINC000338276877 523929329 /nfs/dbraw/zinc/92/93/29/523929329.db2.gz OJDWASUCQMHUPB-GOSISDBHSA-N 0 3 318.848 4.333 20 0 DIADHN CCc1ccc(CN[C@H]2CCCOc3ccc(OC)cc32)s1 ZINC000156281232 523947157 /nfs/dbraw/zinc/94/71/57/523947157.db2.gz BRMLEJVPUNEBGH-KRWDZBQOSA-N 0 3 317.454 4.323 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc(N3CCOC3=O)cc2)c1 ZINC000189838032 524070108 /nfs/dbraw/zinc/07/01/08/524070108.db2.gz FORAQUMMBSGVIM-HNNXBMFYSA-N 0 3 324.424 4.056 20 0 DIADHN COc1ccc(CN[C@H]2CCSc3ccc(OC)cc32)cc1 ZINC000191484640 524093099 /nfs/dbraw/zinc/09/30/99/524093099.db2.gz YIFBVYMKLUAJIQ-KRWDZBQOSA-N 0 3 315.438 4.031 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000163519888 524239744 /nfs/dbraw/zinc/23/97/44/524239744.db2.gz DIGSDCDEGZCLPN-YJBOKZPZSA-N 0 3 310.441 4.393 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1CCC[C@@H](c2cccnc2)C1 ZINC000337308051 524316162 /nfs/dbraw/zinc/31/61/62/524316162.db2.gz STCXKCCJRQHSLD-RDTXWAMCSA-N 0 3 314.404 4.045 20 0 DIADHN C[C@H](CN1CCCCC1)N[C@H]1C[C@@H](C)Sc2sccc21 ZINC000336826074 524332851 /nfs/dbraw/zinc/33/28/51/524332851.db2.gz GWWMDZIWDSFSOQ-NFAWXSAZSA-N 0 3 310.532 4.138 20 0 DIADHN C[C@@H](CC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1F ZINC000102604044 524386727 /nfs/dbraw/zinc/38/67/27/524386727.db2.gz YGIWAJWNNASMPL-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000158796253 524460958 /nfs/dbraw/zinc/46/09/58/524460958.db2.gz RVLUHBXIEAEBFA-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cncc(Br)c2)c1 ZINC000182914836 524469516 /nfs/dbraw/zinc/46/95/16/524469516.db2.gz TUJGTOIGODZZHG-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@@H](C)c3ccccc3C)cn2)n1 ZINC000162817883 524486249 /nfs/dbraw/zinc/48/62/49/524486249.db2.gz LNNZUPUTECZKDP-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@H](C)c3cccc(C)c3)cn2)n1 ZINC000162844404 524487051 /nfs/dbraw/zinc/48/70/51/524487051.db2.gz WIWRFBLWMHSMRC-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@H](C)C1 ZINC000119440461 524616234 /nfs/dbraw/zinc/61/62/34/524616234.db2.gz LVHROFVLXNWHEU-LALPHHSUSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@H](C)c1cc(F)cc(F)c1 ZINC000245673104 524622321 /nfs/dbraw/zinc/62/23/21/524622321.db2.gz KNSVXKXHMBZSJD-UEKVPHQBSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(Cl)nc1 ZINC000181436711 524643204 /nfs/dbraw/zinc/64/32/04/524643204.db2.gz KPPZHOZFEQTOQY-WQGACYEGSA-N 0 3 322.811 4.037 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](CCCO)c2ccccc2)c1 ZINC000339276936 524871373 /nfs/dbraw/zinc/87/13/73/524871373.db2.gz UAGQDUIQMRKDIP-SFHVURJKSA-N 0 3 303.833 4.252 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CCC[C@H]1c1ccsc1 ZINC000266427954 525232644 /nfs/dbraw/zinc/23/26/44/525232644.db2.gz KEKWNAVKTRVPOH-KRWDZBQOSA-N 0 3 314.454 4.222 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000336835333 525267625 /nfs/dbraw/zinc/26/76/25/525267625.db2.gz AFSLVGJQKIRRCX-MRVWCRGKSA-N 0 3 322.499 4.159 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000336842054 525267689 /nfs/dbraw/zinc/26/76/89/525267689.db2.gz QSSIVAOFHLRMKN-OSAQELSMSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@H](NC1(c2ccccc2)CCC1)c1ccc([S@@](C)=O)cc1 ZINC000180343596 525285144 /nfs/dbraw/zinc/28/51/44/525285144.db2.gz MPRCBTJTJOEBSE-OYHNWAKOSA-N 0 3 313.466 4.154 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1nnc(-c2ccccc2)o1 ZINC000172307581 525290580 /nfs/dbraw/zinc/29/05/80/525290580.db2.gz XIQNOKXCLPMYOK-CRAIPNDOSA-N 0 3 319.408 4.115 20 0 DIADHN C[C@]1(NCc2nc(-c3ccccc3)c[nH]2)C[C@@H]1c1ccccc1 ZINC000339473003 525298499 /nfs/dbraw/zinc/29/84/99/525298499.db2.gz ONFRFYAWMBYVMC-XLIONFOSSA-N 0 3 303.409 4.113 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H](C)COC(C)C ZINC000337314031 525366778 /nfs/dbraw/zinc/36/67/78/525366778.db2.gz WLQNTLYKPGBFBT-UKRRQHHQSA-N 0 3 303.368 4.392 20 0 DIADHN COc1ccc([C@H](NCc2ccc([C@@H](C)O)cc2)C(C)C)cc1 ZINC000180045911 525374441 /nfs/dbraw/zinc/37/44/41/525374441.db2.gz AMKJWKUNXUUGCU-FOIQADDNSA-N 0 3 313.441 4.235 20 0 DIADHN C[C@@H](NCCCO[C@@H](C)c1ccccc1)c1cncc(F)c1 ZINC000163388427 525440655 /nfs/dbraw/zinc/44/06/55/525440655.db2.gz JYZVFAZAXJROSN-CABCVRRESA-N 0 3 302.393 4.039 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1Cl)c1cnccn1 ZINC000156882724 525443823 /nfs/dbraw/zinc/44/38/23/525443823.db2.gz RWQRBKQBQQBIRF-LLVKDONJSA-N 0 3 310.228 4.067 20 0 DIADHN C[C@H](NCc1ccc(N2CCC(C)CC2)nc1)c1ccccc1 ZINC000129412938 525446479 /nfs/dbraw/zinc/44/64/79/525446479.db2.gz NPCGFHKZMJDZDR-KRWDZBQOSA-N 0 3 309.457 4.169 20 0 DIADHN C[C@@H](NCC[S@](=O)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000127580634 525460794 /nfs/dbraw/zinc/46/07/94/525460794.db2.gz POPCUOSSTORYAP-JLCFBVMHSA-N 0 3 319.470 4.144 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccsc1)c1csc(Cl)c1 ZINC000191303970 525487630 /nfs/dbraw/zinc/48/76/30/525487630.db2.gz BKCJMVREHHWZAO-NOZJJQNGSA-N 0 3 301.864 4.021 20 0 DIADHN Cc1cc([C@H](C)NCc2ccnc(N3CCCC3)c2)c(C)s1 ZINC000131374694 525522373 /nfs/dbraw/zinc/52/23/73/525522373.db2.gz NPXWFOYRBJSDER-AWEZNQCLSA-N 0 3 315.486 4.211 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1cccc(-n2ccnc2)c1 ZINC000190942265 525564682 /nfs/dbraw/zinc/56/46/82/525564682.db2.gz WRCFYWTZFISDKO-KBXCAEBGSA-N 0 3 307.397 4.194 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000182158974 525574579 /nfs/dbraw/zinc/57/45/79/525574579.db2.gz HKYJBDCWVSEILP-AMKSKSKJSA-N 0 3 309.381 4.207 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(F)cc1)CCS2 ZINC000191484084 525576518 /nfs/dbraw/zinc/57/65/18/525576518.db2.gz XCHRFIBUUWCAPP-INIZCTEOSA-N 0 3 303.402 4.161 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]1C1CCC1)c1nc(-c2ccncc2)cs1 ZINC000337985344 525579179 /nfs/dbraw/zinc/57/91/79/525579179.db2.gz FXHYMTKQRLJLQS-APHBMKBZSA-N 0 3 313.470 4.434 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1ncccc1C)C(C)C)CC2 ZINC000120505027 525591811 /nfs/dbraw/zinc/59/18/11/525591811.db2.gz FDUXKZSSHJVYSJ-RTBURBONSA-N 0 3 310.441 4.373 20 0 DIADHN C[C@H](N[C@H](CO)c1ccccc1)c1ccc(OC(C)(C)C)cc1 ZINC000157103394 525595331 /nfs/dbraw/zinc/59/53/31/525595331.db2.gz PVGYKSMHVCYEQR-HNAYVOBHSA-N 0 3 313.441 4.248 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1ccc(NC(=O)C2CC2)cc1 ZINC000265476899 525614103 /nfs/dbraw/zinc/61/41/03/525614103.db2.gz NMXWIRRRJCNTJT-LSDHHAIUSA-N 0 3 308.425 4.447 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1cccc(-c2ccccc2)c1 ZINC000163830247 525621663 /nfs/dbraw/zinc/62/16/63/525621663.db2.gz IVDHLCCWXIVKFG-CVEARBPZSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H]1C1CCC1)c1nc(-c2ccncc2)cs1 ZINC000337986022 525628684 /nfs/dbraw/zinc/62/86/84/525628684.db2.gz FXHYMTKQRLJLQS-MAZHCROVSA-N 0 3 313.470 4.434 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN2CCCC2)on1 ZINC000180937984 525647669 /nfs/dbraw/zinc/64/76/69/525647669.db2.gz XWFBTUVTOKLVQZ-UHFFFAOYSA-N 0 3 308.381 4.099 20 0 DIADHN C[C@@H](N[C@H]1CCCOCC1)c1cc(Cl)ccc1OC(F)F ZINC000183339752 525676574 /nfs/dbraw/zinc/67/65/74/525676574.db2.gz JOSRWZDCEQQJPD-PWSUYJOCSA-N 0 3 319.779 4.161 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cncc(Br)c2)cc1 ZINC000163893048 525735842 /nfs/dbraw/zinc/73/58/42/525735842.db2.gz XSBHBMFUGOZKFH-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1c([C@@H](C)NC[C@H](C)Oc2ccccc2F)cnn1C(C)C ZINC000191315349 525896672 /nfs/dbraw/zinc/89/66/72/525896672.db2.gz XENDDLTUVHFYHF-UONOGXRCSA-N 0 3 319.424 4.030 20 0 DIADHN Cc1c([C@H](C)NCCCNc2cccc(F)c2)cnn1C(C)C ZINC000191496567 525915339 /nfs/dbraw/zinc/91/53/39/525915339.db2.gz ZRAFXFAFLDXHRC-AWEZNQCLSA-N 0 3 318.440 4.064 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCc3cc(F)ccc32)cnn1C(C)(C)C ZINC000191232900 525922602 /nfs/dbraw/zinc/92/26/02/525922602.db2.gz BJLJNCZXUMCITD-KPZWWZAWSA-N 0 3 315.436 4.424 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(N3CCCC3)c2)c(C)c1 ZINC000131464549 525930669 /nfs/dbraw/zinc/93/06/69/525930669.db2.gz YHKVCDBHHDUGEX-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(N3CCCCC3)c2)cc1 ZINC000162478034 525931210 /nfs/dbraw/zinc/93/12/10/525931210.db2.gz QERHFPDPYMJLLF-KRWDZBQOSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)c(C)c1 ZINC000158860489 525941352 /nfs/dbraw/zinc/94/13/52/525941352.db2.gz HFGSTYDNLKIEPS-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182164945 525946718 /nfs/dbraw/zinc/94/67/18/525946718.db2.gz YARGTUIQXXWYPR-XNJJOIOASA-N 0 3 307.340 4.047 20 0 DIADHN CSc1ccc([C@@H](C)NCCc2c(C)noc2C)cc1F ZINC000155976886 526061432 /nfs/dbraw/zinc/06/14/32/526061432.db2.gz FCNPKBFEYGNBMD-SNVBAGLBSA-N 0 3 308.422 4.046 20 0 DIADHN Cc1cnc([C@@H](NCCCCC2CCOCC2)C2CC2)s1 ZINC000337217097 526134446 /nfs/dbraw/zinc/13/44/46/526134446.db2.gz ZZRVJAQCECBWHO-INIZCTEOSA-N 0 3 308.491 4.089 20 0 DIADHN C[C@H](c1ccco1)N(CCc1nc(-c2ccccc2)no1)C1CC1 ZINC000124293943 526146534 /nfs/dbraw/zinc/14/65/34/526146534.db2.gz KOMUVZAMMSMYMX-CQSZACIVSA-N 0 3 323.396 4.098 20 0 DIADHN C[C@H](c1cccs1)N(C)CCc1nc(-c2ccccc2)no1 ZINC000157592446 526154126 /nfs/dbraw/zinc/15/41/26/526154126.db2.gz YIGXUNYXCNXQKK-CYBMUJFWSA-N 0 3 313.426 4.034 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(Br)cc2)c1 ZINC000131088048 526205899 /nfs/dbraw/zinc/20/58/99/526205899.db2.gz PNJXKBSRAOCSMN-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccc(C(=O)Nc2cc(CN3CCCC3)ccc2C)s1 ZINC000128509213 526218192 /nfs/dbraw/zinc/21/81/92/526218192.db2.gz METCYZXNQXUXPH-UHFFFAOYSA-N 0 3 314.454 4.213 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](C)c2cccc(N3CCCC3)c2)c1 ZINC000184386091 526219064 /nfs/dbraw/zinc/21/90/64/526219064.db2.gz LXRZTEJGSATPAC-SJORKVTESA-N 0 3 309.457 4.402 20 0 DIADHN Fc1ccc(C2(NCc3ccc(Cl)cc3)CCOCC2)cc1 ZINC000237743998 526326300 /nfs/dbraw/zinc/32/63/00/526326300.db2.gz YFDOAAWETLBZPG-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NCCc2cccnc2)cc1 ZINC000180571705 526364678 /nfs/dbraw/zinc/36/46/78/526364678.db2.gz SMOXBNKDEYNDAX-HNNXBMFYSA-N 0 3 312.310 4.047 20 0 DIADHN Fc1ccc([C@H]2OCC[C@@H]2N[C@@H]2CCCc3occc32)cc1F ZINC000193070772 526367575 /nfs/dbraw/zinc/36/75/75/526367575.db2.gz DVASFFITVIVKCZ-SOLBZPMBSA-N 0 3 319.351 4.055 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCCC[C@@H]3OC(F)F)cc2c1 ZINC000337295966 526379072 /nfs/dbraw/zinc/37/90/72/526379072.db2.gz NVPGAMAYUJZWNE-ZFWWWQNUSA-N 0 3 313.319 4.212 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000157065322 526435746 /nfs/dbraw/zinc/43/57/46/526435746.db2.gz RVCRGGCNJPODRY-CRAIPNDOSA-N 0 3 313.397 4.119 20 0 DIADHN c1ccc(COc2cc(CN[C@@H]3CCC34CCC4)ccn2)cc1 ZINC000337391231 526473783 /nfs/dbraw/zinc/47/37/83/526473783.db2.gz LBTIIAOZBJAPHK-GOSISDBHSA-N 0 3 308.425 4.083 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1sc(C(C)C)nc1C ZINC000155949821 526499048 /nfs/dbraw/zinc/49/90/48/526499048.db2.gz NJAYGJWRLQGOEL-LLVKDONJSA-N 0 3 307.463 4.073 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1cccc(Cl)c1Cl ZINC000155942538 526499079 /nfs/dbraw/zinc/49/90/79/526499079.db2.gz RQUOCEXMGUYPEZ-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN Cc1noc(C)c1CN[C@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000153943286 526516703 /nfs/dbraw/zinc/51/67/03/526516703.db2.gz SLWZZPNMACZQDC-WDEREUQCSA-N 0 3 315.442 4.183 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@@H]1CCCOc2cc(C)ccc21 ZINC000182224432 526538129 /nfs/dbraw/zinc/53/81/29/526538129.db2.gz CRQWEGYDGJJIBY-SCLBCKFNSA-N 0 3 314.429 4.034 20 0 DIADHN c1cnc2ccc(CN3CCC(OC4CCCC4)CC3)cc2c1 ZINC000127100874 526544759 /nfs/dbraw/zinc/54/47/59/526544759.db2.gz RQUDBKQYRZLVBZ-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN c1cncc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)c1 ZINC000336861492 526548225 /nfs/dbraw/zinc/54/82/25/526548225.db2.gz BJIIVNVPCQYCGS-ROUUACIJSA-N 0 3 300.446 4.100 20 0 DIADHN c1cnn(Cc2ccc(CN[C@@H](c3ccccc3)C3CC3)cc2)c1 ZINC000179990840 526555711 /nfs/dbraw/zinc/55/57/11/526555711.db2.gz RYULWWKHQYTHPL-NRFANRHFSA-N 0 3 317.436 4.172 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNCc1ccc(-c2ccccc2)o1 ZINC000128539013 526576766 /nfs/dbraw/zinc/57/67/66/526576766.db2.gz WAQUTMOJONPELG-CYBMUJFWSA-N 0 3 310.397 4.445 20 0 DIADHN c1csc([C@H]2CCCN(Cc3cnc4ccccc4c3)C2)n1 ZINC000337127629 526587444 /nfs/dbraw/zinc/58/74/44/526587444.db2.gz HAGBFTBILASOTG-INIZCTEOSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@H](O)c1cccc(F)c1 ZINC000157609475 526679693 /nfs/dbraw/zinc/67/96/93/526679693.db2.gz WEYHDFKZIVXKTM-NHYWBVRUSA-N 0 3 322.449 4.098 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@@H](O)c1cccc(F)c1 ZINC000157609784 526680131 /nfs/dbraw/zinc/68/01/31/526680131.db2.gz WEYHDFKZIVXKTM-XHDPSFHLSA-N 0 3 322.449 4.098 20 0 DIADHN Cc1nocc1CN[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F ZINC000339331007 526698331 /nfs/dbraw/zinc/69/83/31/526698331.db2.gz GGHRMBLOAGVKNR-HOCLYGCPSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nc(CN[C@@H](C)CCc2c(F)cccc2F)sc1C ZINC000338001358 526889623 /nfs/dbraw/zinc/88/96/23/526889623.db2.gz WXXWFPZVKIDYNM-JTQLQIEISA-N 0 3 310.413 4.149 20 0 DIADHN Cc1nc(CSCCN(C)C)oc1-c1ccc(Cl)cc1 ZINC000157893565 526912792 /nfs/dbraw/zinc/91/27/92/526912792.db2.gz DFZQBOMQSPYKSE-UHFFFAOYSA-N 0 3 310.850 4.098 20 0 DIADHN Cc1sccc1CN[C@H](C)c1ccc(-n2ccnc2C)cc1 ZINC000339295792 526918650 /nfs/dbraw/zinc/91/86/50/526918650.db2.gz SGCULUMZPIRBSQ-CYBMUJFWSA-N 0 3 311.454 4.401 20 0 DIADHN Cn1cc(CNCc2coc3ccccc23)c(-c2cccs2)n1 ZINC000339413246 527220040 /nfs/dbraw/zinc/22/00/40/527220040.db2.gz VYADAPGXEUZASZ-UHFFFAOYSA-N 0 3 323.421 4.185 20 0 DIADHN O=C(Nc1ccc2[nH]ccc2c1)c1ccc(CN2CCCC2)cc1 ZINC000265070212 527266411 /nfs/dbraw/zinc/26/64/11/527266411.db2.gz VIGGXJWYQPULAT-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(CC(C)C)cc2)C(C)C)cn1 ZINC000338409643 527278762 /nfs/dbraw/zinc/27/87/62/527278762.db2.gz XQBKSGNKFVHTIO-FQEVSTJZSA-N 0 3 311.473 4.470 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2c3cccc(F)c3CC[C@@H]2C)c(C)n1 ZINC000163288275 527310725 /nfs/dbraw/zinc/31/07/25/527310725.db2.gz SGEJBRTXDXGKJZ-MJLGCCKJSA-N 0 3 313.420 4.207 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000338012658 527332772 /nfs/dbraw/zinc/33/27/72/527332772.db2.gz LKMNEZFRPDBZHR-QZTJIDSGSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1nccn1CCCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000338008579 527420850 /nfs/dbraw/zinc/42/08/50/527420850.db2.gz PJRWKGXWOHFZNB-NSHDSACASA-N 0 3 312.244 4.239 20 0 DIADHN Cc1nccn1CCCNCc1ccc(-c2ccccc2)cc1F ZINC000337952146 527420959 /nfs/dbraw/zinc/42/09/59/527420959.db2.gz RVXMNYZTTVIZMG-UHFFFAOYSA-N 0 3 323.415 4.178 20 0 DIADHN Cc1nccn1CCCN[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000338024788 527421951 /nfs/dbraw/zinc/42/19/51/527421951.db2.gz WTINFGJQYZADHL-OAHLLOKOSA-N 0 3 324.255 4.166 20 0 DIADHN Cc1nccn1CCCN[C@H](C)c1ccc(-c2ccccc2)o1 ZINC000338011062 527421973 /nfs/dbraw/zinc/42/19/73/527421973.db2.gz MHYDTYPFROUMEI-OAHLLOKOSA-N 0 3 309.413 4.192 20 0 DIADHN Cc1ncsc1CNC(C)(C)c1cccc(C(F)(F)F)c1 ZINC000266232850 527510773 /nfs/dbraw/zinc/51/07/73/527510773.db2.gz UYTVKGFHNCXPIA-UHFFFAOYSA-N 0 3 314.376 4.495 20 0 DIADHN Cn1nccc1-c1ccccc1CNCc1coc2ccccc12 ZINC000339407107 527590406 /nfs/dbraw/zinc/59/04/06/527590406.db2.gz LDBMGEFQWKXMLN-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN FC(F)(F)c1ccccc1CN(CC1=CCCOC1)C1CC1 ZINC000130138325 527687138 /nfs/dbraw/zinc/68/71/38/527687138.db2.gz MDPFQZQOLUDUTF-UHFFFAOYSA-N 0 3 311.347 4.017 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1cccn1)c1cccc(C)c1 ZINC000323754685 529186383 /nfs/dbraw/zinc/18/63/83/529186383.db2.gz TYOFFLWWFIOEQY-LJQANCHMSA-N 0 3 305.425 4.422 20 0 DIADHN CC(C)CC[C@H](NCc1cccc(-n2cncn2)c1)c1ccoc1 ZINC000286235050 534944880 /nfs/dbraw/zinc/94/48/80/534944880.db2.gz SZYOSMKONOPZLC-IBGZPJMESA-N 0 3 324.428 4.127 20 0 DIADHN CCCCCC(=O)NC1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000299533242 534969667 /nfs/dbraw/zinc/96/96/67/534969667.db2.gz HECIIWCTEDCRED-HNNXBMFYSA-N 0 3 320.452 4.048 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](C)Cn2ccnc2)cc1Cl ZINC000284393792 535018963 /nfs/dbraw/zinc/01/89/63/535018963.db2.gz PIECBOZDFJRTOH-UONOGXRCSA-N 0 3 321.852 4.063 20 0 DIADHN CC(F)(F)c1cccc(NC2CCN(c3ccncc3)CC2)c1 ZINC000298031309 535214930 /nfs/dbraw/zinc/21/49/30/535214930.db2.gz GBFKYAQPQCUAON-UHFFFAOYSA-N 0 3 317.383 4.274 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1ccc2c(c1)OCC(=O)N2)c1ccccc1 ZINC000285539197 535226023 /nfs/dbraw/zinc/22/60/23/535226023.db2.gz FWVMAWKQPKEFSN-JLTOFOAXSA-N 0 3 324.424 4.065 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H](c2ccc(F)cc2)C2CC2)cc1 ZINC000274816955 535326327 /nfs/dbraw/zinc/32/63/27/535326327.db2.gz ZLOFNXUUVLYRNH-IBGZPJMESA-N 0 3 313.416 4.294 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)c1 ZINC000274769510 535472706 /nfs/dbraw/zinc/47/27/06/535472706.db2.gz MGPJGRBPBBMTAP-OAHLLOKOSA-N 0 3 313.441 4.164 20 0 DIADHN CCSc1cc(CN[C@H]2CCc3c2cccc3F)ccn1 ZINC000275149908 535732371 /nfs/dbraw/zinc/73/23/71/535732371.db2.gz VZHJBPKYDWNLRZ-INIZCTEOSA-N 0 3 302.418 4.110 20 0 DIADHN CC[C@@H](NCc1ccc(CO)cc1)c1ccccc1OC(F)F ZINC000272519516 535806082 /nfs/dbraw/zinc/80/60/82/535806082.db2.gz PTUKCXBDPBCCDE-MRXNPFEDSA-N 0 3 321.367 4.021 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@@H](C(F)(F)F)C1)c1cc(F)ccc1F ZINC000287704006 535939573 /nfs/dbraw/zinc/93/95/73/535939573.db2.gz NSYVGQVICOYVSC-DDTOSNHZSA-N 0 3 323.305 4.115 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1-n1ccnn1)c1ccccc1 ZINC000285516000 535942961 /nfs/dbraw/zinc/94/29/61/535942961.db2.gz AXMLTNQZNDDMBE-QAPCUYQASA-N 0 3 306.413 4.069 20 0 DIADHN CCc1cnc(CN[C@H]2CCC[C@@H](c3ncccc3C)C2)s1 ZINC000281741918 536053638 /nfs/dbraw/zinc/05/36/38/536053638.db2.gz VOXQOXJNLJAFLV-CABCVRRESA-N 0 3 315.486 4.225 20 0 DIADHN CN(Cc1ccccc1F)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000273391930 536482711 /nfs/dbraw/zinc/48/27/11/536482711.db2.gz FFGIWOAYSPKUCY-IEBWSBKVSA-N 0 3 317.379 4.317 20 0 DIADHN CCN1CCC[C@@H]1CNCc1ccc(-c2ccccc2Cl)o1 ZINC000035805203 563454092 /nfs/dbraw/zinc/45/40/92/563454092.db2.gz PTXNOLNKOVABCU-CQSZACIVSA-N 0 3 318.848 4.174 20 0 DIADHN Cc1cncn1C1CCN([C@@H]2CCc3c2cccc3Cl)CC1 ZINC000225409645 563753824 /nfs/dbraw/zinc/75/38/24/563753824.db2.gz YMVXVIZBNDXWAK-GOSISDBHSA-N 0 3 315.848 4.169 20 0 DIADHN Cc1ccsc1CNCc1ccc(Br)s1 ZINC000037985177 1042266857 /nfs/dbraw/zinc/26/68/57/1042266857.db2.gz FEJCTDAXHRFXQN-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN CC[C@@](C)(NC[C@@H]1CCCCC1(F)F)c1nc(C)cs1 ZINC000450575162 1045520596 /nfs/dbraw/zinc/52/05/96/1045520596.db2.gz GFSWZZCAVPSXMC-GXTWGEPZSA-N 0 3 302.434 4.492 20 0 DIADHN CC(C)[C@H](NC[C@]1(C)CC1(Cl)Cl)c1ccc(F)cn1 ZINC000834885088 1046698028 /nfs/dbraw/zinc/69/80/28/1046698028.db2.gz SWTUMWBGJCJMMJ-STQMWFEESA-N 0 3 305.224 4.091 20 0 DIADHN Cc1occc1CNCCOc1ccc(Cl)c(Cl)c1 ZINC001178818160 1049100675 /nfs/dbraw/zinc/10/06/75/1049100675.db2.gz XEDRXSFYVCCEEO-UHFFFAOYSA-N 0 3 300.185 4.063 20 0 DIADHN Cc1ccc(Br)cc1CN1CCS[C@@H](C)CC1 ZINC000313748487 1052302954 /nfs/dbraw/zinc/30/29/54/1052302954.db2.gz JKORKEWLBFAVNJ-LBPRGKRZSA-N 0 3 314.292 4.085 20 0 DIADHN CCCCC[C@@H](NCc1nc(Br)c[nH]1)C(C)(C)C ZINC001200470577 1053686972 /nfs/dbraw/zinc/68/69/72/1053686972.db2.gz IFPMZZCGMUPDRE-LLVKDONJSA-N 0 3 316.287 4.257 20 0 DIADHN Cc1sc(CN2C[C@@H]3CCC[C@@H]3C2)cc1Br ZINC001232290578 1054015630 /nfs/dbraw/zinc/01/56/30/1054015630.db2.gz XIHAVKSXXQKHIO-PHIMTYICSA-N 0 3 300.265 4.051 20 0 DIADHN CC1CCC(OC2CCN(C[C@H]3CC3(Cl)Cl)CC2)CC1 ZINC000731103759 1057734396 /nfs/dbraw/zinc/73/43/96/1057734396.db2.gz GYMWUTJGYDYKLF-ROKHWSDSSA-N 0 3 320.304 4.240 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CC[C@H](C(F)(F)F)C2)cc1 ZINC001255768941 1062587196 /nfs/dbraw/zinc/58/71/96/1062587196.db2.gz XJJGPZBGHUOSBD-STQMWFEESA-N 0 3 303.393 4.360 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCCO2)c1ccsc1 ZINC000061360693 1035500153 /nfs/dbraw/zinc/50/01/53/1035500153.db2.gz BCVPQPWNCHOLHY-STQMWFEESA-N 0 3 303.427 4.321 20 0 DIADHN COc1cc(CN[C@H](C)c2ccsc2)ccc1OC(C)C ZINC000061361018 1035503649 /nfs/dbraw/zinc/50/36/49/1035503649.db2.gz KNCODKHEJGOKKF-CYBMUJFWSA-N 0 3 305.443 4.395 20 0 DIADHN COc1cc(C)c(CN[C@H](C)c2ccc(C)c(F)c2)cc1OC ZINC000088765850 1035511910 /nfs/dbraw/zinc/51/19/10/1035511910.db2.gz FNEREFKVMDNWLN-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651951322 1035512385 /nfs/dbraw/zinc/51/23/85/1035512385.db2.gz IBZMPCNZFOGUIS-CDHQVMDDSA-N 0 3 310.441 4.357 20 0 DIADHN CCC(C)(C)C(=O)NC[C@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001625779254 1035537079 /nfs/dbraw/zinc/53/70/79/1035537079.db2.gz WBSYTEJCAHZDBC-GOSISDBHSA-N 0 3 318.505 4.040 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000035100585 1035544313 /nfs/dbraw/zinc/54/43/13/1035544313.db2.gz YAAQHJKQSMREHM-BZNIZROVSA-N 0 3 310.224 4.378 20 0 DIADHN C[C@@H](NCC[C@H]1CC=CCC1)c1nc(Br)cs1 ZINC000858515549 1035609314 /nfs/dbraw/zinc/60/93/14/1035609314.db2.gz OCDDTDRQBZYVQS-MNOVXSKESA-N 0 3 315.280 4.303 20 0 DIADHN FC(F)Oc1cccc(CN2CCC[C@H]2c2ccncc2)c1 ZINC000153994618 1035669142 /nfs/dbraw/zinc/66/91/42/1035669142.db2.gz HAYAYFZJYUBVQW-INIZCTEOSA-N 0 3 304.340 4.020 20 0 DIADHN CC(C)N(C(=O)CN1CCC2(C1)CCCCC2)c1ccccc1 ZINC000607752542 1035708910 /nfs/dbraw/zinc/70/89/10/1035708910.db2.gz NHSKGTKHGKSCLV-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN CCc1ccc2c(CN[C@@H](C)c3ccccc3)cc(=O)oc2c1 ZINC000007379222 1035721319 /nfs/dbraw/zinc/72/13/19/1035721319.db2.gz UACNBUJNDBOCJI-AWEZNQCLSA-N 0 3 307.393 4.206 20 0 DIADHN COc1ccc(C(NCC=C(C)C)c2ccc(OC)cc2)cc1 ZINC000683203049 1035726166 /nfs/dbraw/zinc/72/61/66/1035726166.db2.gz PFWUAJQWYBTOTC-UHFFFAOYSA-N 0 3 311.425 4.349 20 0 DIADHN CCC[C@@H](CNCc1ccc(C)cc1Br)OCC ZINC000705289412 1035736857 /nfs/dbraw/zinc/73/68/57/1035736857.db2.gz DVUITJUCUYLBLO-AWEZNQCLSA-N 0 3 314.267 4.052 20 0 DIADHN Clc1ccccc1CN1CCC(Cc2ccncc2)CC1 ZINC001203385759 1035753260 /nfs/dbraw/zinc/75/32/60/1035753260.db2.gz UXTCQFFEVGUBHR-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@H]2[C@H]2CCCOC2)cs1 ZINC000579828802 1035775895 /nfs/dbraw/zinc/77/58/95/1035775895.db2.gz RBHCRJBIDJUVLF-RDJZCZTQSA-N 0 3 322.518 4.123 20 0 DIADHN Fc1cccc([C@@H]2CCCN2C[C@H]2COC3(CCCCC3)O2)c1 ZINC001208641089 1035780486 /nfs/dbraw/zinc/78/04/86/1035780486.db2.gz YPRGOOWJCJKYQP-ROUUACIJSA-N 0 3 319.420 4.038 20 0 DIADHN Cc1cccc(CN2CCC3(CC2)OCc2ccccc23)c1F ZINC001137677233 1035794011 /nfs/dbraw/zinc/79/40/11/1035794011.db2.gz HYRNNBGQECQQLT-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN COC(=O)[C@H](C)CN(Cc1ccc(Cl)cc1Cl)C(C)C ZINC001208723139 1035824220 /nfs/dbraw/zinc/82/42/20/1035824220.db2.gz MHHVCBXCVJUHNA-LLVKDONJSA-N 0 3 318.244 4.013 20 0 DIADHN CCOCc1cc(CN(C)[C@H](C)c2cccs2)ccc1OC ZINC000179408559 1035870074 /nfs/dbraw/zinc/87/00/74/1035870074.db2.gz YZUYTBUKGMKGLG-CQSZACIVSA-N 0 3 319.470 4.486 20 0 DIADHN CCCCN(Cc1nnc(C2CCC2)n1C)[C@H]1CCC[C@@H](C)C1 ZINC000339650117 1035900198 /nfs/dbraw/zinc/90/01/98/1035900198.db2.gz XBCPQEKMFDILPE-WBVHZDCISA-N 0 3 318.509 4.263 20 0 DIADHN CC(C)c1ccc(CN(C)Cc2c[nH]nc2-c2cccnc2)cc1 ZINC000179604461 1035901087 /nfs/dbraw/zinc/90/10/87/1035901087.db2.gz QJKSRUIYZFKQNU-UHFFFAOYSA-N 0 3 320.440 4.227 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2C)cc1 ZINC000339679202 1035908034 /nfs/dbraw/zinc/90/80/34/1035908034.db2.gz PBHVHLJLJDSSRT-QWHCGFSZSA-N 0 3 303.475 4.252 20 0 DIADHN CCC[C@@H](NCCOCCOC)c1ccc(Cl)cc1Cl ZINC000339684743 1035913679 /nfs/dbraw/zinc/91/36/79/1035913679.db2.gz VQYLCQIIXXVYKT-OAHLLOKOSA-N 0 3 320.260 4.087 20 0 DIADHN COc1cccc([C@H]2CCCCN2C[C@H](C)C(F)(F)F)c1 ZINC001208895186 1035919490 /nfs/dbraw/zinc/91/94/90/1035919490.db2.gz ZVBUIOYKMSULRY-SWLSCSKDSA-N 0 3 301.352 4.421 20 0 DIADHN C[C@H](CN1CCC(c2ccc(F)cc2F)CC1)C(F)(F)F ZINC001208898350 1035921849 /nfs/dbraw/zinc/92/18/49/1035921849.db2.gz PTHZYNWABUTZSK-SNVBAGLBSA-N 0 3 307.306 4.343 20 0 DIADHN C[C@@H](CN1CCC(c2ccc(F)cc2F)CC1)C(F)(F)F ZINC001208898349 1035922610 /nfs/dbraw/zinc/92/26/10/1035922610.db2.gz PTHZYNWABUTZSK-JTQLQIEISA-N 0 3 307.306 4.343 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)c(Cl)c1 ZINC000037051742 1035927282 /nfs/dbraw/zinc/92/72/82/1035927282.db2.gz UMVPYQNDLFVAJO-BMIGLBTASA-N 0 3 324.255 4.455 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)c(Cl)c1 ZINC000037051740 1035927549 /nfs/dbraw/zinc/92/75/49/1035927549.db2.gz UMVPYQNDLFVAJO-MEBBXXQBSA-N 0 3 324.255 4.455 20 0 DIADHN CCN(Cc1ccc(Br)cc1)C[C@H](C)C(F)(F)F ZINC001208904644 1035930495 /nfs/dbraw/zinc/93/04/95/1035930495.db2.gz GYJDQADMBAZUJI-JTQLQIEISA-N 0 3 324.184 4.469 20 0 DIADHN CC[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(Cl)cc1Cl ZINC000037155940 1035953403 /nfs/dbraw/zinc/95/34/03/1035953403.db2.gz HGVZRLRCCCWADB-XHDPSFHLSA-N 0 3 312.244 4.319 20 0 DIADHN CC(C)N(Cc1nc(-c2ccsc2)no1)C1CCCCC1 ZINC000109327250 1035954532 /nfs/dbraw/zinc/95/45/32/1035954532.db2.gz DGXBMQJMIRLKNM-UHFFFAOYSA-N 0 3 305.447 4.341 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccc(Cl)s1 ZINC000037158983 1035956703 /nfs/dbraw/zinc/95/67/03/1035956703.db2.gz ROGQVFRCVGWUDH-VHSXEESVSA-N 0 3 323.849 4.304 20 0 DIADHN CC[C@H](N[C@H](C)Cn1ccnc1)c1ccc(Cl)cc1Cl ZINC000037158365 1035957807 /nfs/dbraw/zinc/95/78/07/1035957807.db2.gz BXMJOYYECRCERD-ABAIWWIYSA-N 0 3 312.244 4.319 20 0 DIADHN CC(C)N(Cc1nnc(-c2cccc(Cl)c2)o1)CC1CC1 ZINC000533495227 1035983059 /nfs/dbraw/zinc/98/30/59/1035983059.db2.gz FBWMWMUEXFWNBJ-UHFFFAOYSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H](c1ccc(S(C)(=O)=O)cc1)N(C)CC1CCCCCC1 ZINC000179942297 1035995233 /nfs/dbraw/zinc/99/52/33/1035995233.db2.gz BEGCMJSMNYFESW-HNNXBMFYSA-N 0 3 323.502 4.053 20 0 DIADHN CCOCC1CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209081219 1036005931 /nfs/dbraw/zinc/00/59/31/1036005931.db2.gz LODONBKEOSGBDI-UHFFFAOYSA-N 0 3 316.272 4.284 20 0 DIADHN CCCO[C@@H]1CCN(CCc2ccc(Cl)cc2Cl)C1 ZINC001209080851 1036006016 /nfs/dbraw/zinc/00/60/16/1036006016.db2.gz HPIQDJLGSDRRGE-CQSZACIVSA-N 0 3 302.245 4.037 20 0 DIADHN CCN(CCc1ccc(Cl)cc1Cl)Cc1ccccn1 ZINC001209081262 1036006929 /nfs/dbraw/zinc/00/69/29/1036006929.db2.gz MLRAZXKAMYHOEU-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CC(C)CCN(C)[C@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001167100165 1036035950 /nfs/dbraw/zinc/03/59/50/1036035950.db2.gz FWMQKNWVHXXABA-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN CCCc1ccccc1NC1CCN(CCC(F)(F)F)CC1 ZINC000180181309 1036049317 /nfs/dbraw/zinc/04/93/17/1036049317.db2.gz UASBOKSRUIMCEJ-UHFFFAOYSA-N 0 3 314.395 4.468 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](c1ccccc1)c1ccccn1 ZINC000180448760 1036061083 /nfs/dbraw/zinc/06/10/83/1036061083.db2.gz FURUPMAVHCSKSB-KKSFZXQISA-N 0 3 318.420 4.097 20 0 DIADHN CC(C)(CCO)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000180684409 1036084228 /nfs/dbraw/zinc/08/42/28/1036084228.db2.gz HHNOOXCRJCGORA-UHFFFAOYSA-N 0 3 319.395 4.052 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(Br)cc2)c1 ZINC000037486725 1036086558 /nfs/dbraw/zinc/08/65/58/1036086558.db2.gz XFRBKKMQTLJGBF-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN CN(Cc1ccc(Cl)s1)[C@@H]1CCN(Cc2ccccc2)C1 ZINC001204438897 1036117562 /nfs/dbraw/zinc/11/75/62/1036117562.db2.gz RIBCJKZPAOGWQN-OAHLLOKOSA-N 0 3 320.889 4.108 20 0 DIADHN CCc1ccc(CNCc2ccccc2OCC(F)F)s1 ZINC001648792750 1036119942 /nfs/dbraw/zinc/11/99/42/1036119942.db2.gz UCTRDYNIKIJPHF-UHFFFAOYSA-N 0 3 311.397 4.244 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(NC(C)=O)c2)s1 ZINC000037900920 1036150545 /nfs/dbraw/zinc/15/05/45/1036150545.db2.gz UVRVNPCHLGIYFL-GFCCVEGCSA-N 0 3 302.443 4.120 20 0 DIADHN CCC[C@H](NCc1cc(F)cc(OC)c1)c1ccc(OC)cc1 ZINC000537951030 1036173024 /nfs/dbraw/zinc/17/30/24/1036173024.db2.gz WPHNSOLVAABYPF-IBGZPJMESA-N 0 3 317.404 4.474 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cccc3nsnc32)c1 ZINC001167138538 1036175976 /nfs/dbraw/zinc/17/59/76/1036175976.db2.gz NUQHYBQDBWAQEU-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2nc3c(s2)CCCC3)C1 ZINC000683344280 1036177943 /nfs/dbraw/zinc/17/79/43/1036177943.db2.gz QCZSIHYQPPMJTG-UHFFFAOYSA-N 0 3 322.518 4.095 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@H]2CC[C@@H](C(F)(F)F)O2)c1 ZINC001167139173 1036178637 /nfs/dbraw/zinc/17/86/37/1036178637.db2.gz XMJRRBUYKPTXCI-PMPSAXMXSA-N 0 3 313.363 4.242 20 0 DIADHN CCOC1CC[NH+](Cc2cc(-c3ccccc3)ccc2[O-])CC1 ZINC000091677426 1036202667 /nfs/dbraw/zinc/20/26/67/1036202667.db2.gz JBMZUHCTGBFZBW-UHFFFAOYSA-N 0 3 311.425 4.060 20 0 DIADHN COc1cc(CN2CCC(c3cccc(Cl)c3)CC2)ccn1 ZINC001209637601 1036237602 /nfs/dbraw/zinc/23/76/02/1036237602.db2.gz KLFARUNHXVCMAR-UHFFFAOYSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000119216551 1036244395 /nfs/dbraw/zinc/24/43/95/1036244395.db2.gz HQPDIMFIQANIHX-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000024252494 1036248355 /nfs/dbraw/zinc/24/83/55/1036248355.db2.gz WIOARYMIHINTBR-MRXNPFEDSA-N 0 3 302.805 4.019 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc3cc[nH]c31)CCCO2 ZINC000340172218 1036268792 /nfs/dbraw/zinc/26/87/92/1036268792.db2.gz GFNUYTPNVGHGBG-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN C[C@H]1CCC[C@H](N(C)CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001167227731 1036293561 /nfs/dbraw/zinc/29/35/61/1036293561.db2.gz XRSSDJUFRNQEPW-YJBOKZPZSA-N 0 3 316.489 4.433 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(OCC(F)(F)F)cc1 ZINC000120013826 1036303370 /nfs/dbraw/zinc/30/33/70/1036303370.db2.gz UAXGKHRLVZUUNV-SECBINFHSA-N 0 3 315.257 4.058 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1CC[C@H](c2ccncc2)C1 ZINC001204472947 1036305086 /nfs/dbraw/zinc/30/50/86/1036305086.db2.gz SABFOQQDHDUFNU-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN CCCN(CC)CC(=O)N(Cc1ccc(C(C)C)cc1)C1CC1 ZINC001167237398 1036306056 /nfs/dbraw/zinc/30/60/56/1036306056.db2.gz DMWLGTVQCIVNEY-UHFFFAOYSA-N 0 3 316.489 4.033 20 0 DIADHN COCCN(C[C@]1(C)CC1(Cl)Cl)[C@@H](C)c1ccccc1 ZINC001167247865 1036318324 /nfs/dbraw/zinc/31/83/24/1036318324.db2.gz FWRIFESEKGHEPT-ZFWWWQNUSA-N 0 3 316.272 4.280 20 0 DIADHN C(c1nc2c(o1)CCCC2)N1CCC[C@@H]1CC1CCCCC1 ZINC001167256320 1036324656 /nfs/dbraw/zinc/32/46/56/1036324656.db2.gz VZKMSNZEPZUNOO-MRXNPFEDSA-N 0 3 302.462 4.488 20 0 DIADHN CCCN(Cc1ccc(OC)cc1Br)CC(C)C ZINC001167256472 1036325088 /nfs/dbraw/zinc/32/50/88/1036325088.db2.gz XORBVXRVFDXBST-UHFFFAOYSA-N 0 3 314.267 4.326 20 0 DIADHN CC[C@H](NCc1nc(-c2ccccc2)cs1)[C@@H]1CCCCO1 ZINC000653875055 1036331341 /nfs/dbraw/zinc/33/13/41/1036331341.db2.gz OREYCDXYONVVKK-RDJZCZTQSA-N 0 3 316.470 4.247 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccccc1CC(C)C)CC2 ZINC001167274139 1036356779 /nfs/dbraw/zinc/35/67/79/1036356779.db2.gz ZFYWFQJGIKEFOZ-UHFFFAOYSA-N 0 3 309.453 4.452 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Br)ccc21)c1cccnc1 ZINC000040226491 1036392319 /nfs/dbraw/zinc/39/23/19/1036392319.db2.gz LKXIUGILAVHZGR-MEDUHNTESA-N 0 3 317.230 4.182 20 0 DIADHN COc1c(Br)cccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001140151148 1036394130 /nfs/dbraw/zinc/39/41/30/1036394130.db2.gz MLSYIUNQAFDFHK-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)c2nc(-c3ccc(F)c(C)c3)no2)C1 ZINC000302928001 1036413998 /nfs/dbraw/zinc/41/39/98/1036413998.db2.gz FBWRHYWVRUFQIU-UONOGXRCSA-N 0 3 317.408 4.367 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2)C(C)C)c(C)c1 ZINC000008002645 1036453514 /nfs/dbraw/zinc/45/35/14/1036453514.db2.gz UDKIZZZNYFTBKA-FQEVSTJZSA-N 0 3 310.441 4.229 20 0 DIADHN Cc1ccc(-c2ncc(CNCc3ccc4c(c3)CCC4)s2)o1 ZINC001648808345 1036475679 /nfs/dbraw/zinc/47/56/79/1036475679.db2.gz LTIBHMFAWCODFT-UHFFFAOYSA-N 0 3 324.449 4.490 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H](O)c1cccc(C)c1 ZINC001167294616 1036486030 /nfs/dbraw/zinc/48/60/30/1036486030.db2.gz UCRHBVCCVBHHFJ-HXUWFJFHSA-N 0 3 315.432 4.470 20 0 DIADHN C[C@@H](CN[C@H]1CCCn2ccnc21)c1c(Cl)cccc1Cl ZINC000655704300 1036490481 /nfs/dbraw/zinc/49/04/81/1036490481.db2.gz AEZZTCKZWNTDJD-FZMZJTMJSA-N 0 3 324.255 4.418 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN[C@@H]1CCc2ccccc21 ZINC000655705055 1036491151 /nfs/dbraw/zinc/49/11/51/1036491151.db2.gz UYGYGARTFUQRDN-OAQYLSRUSA-N 0 3 317.436 4.266 20 0 DIADHN C[C@H](CN[C@H]1CCCn2ccnc21)c1c(Cl)cccc1Cl ZINC000655704302 1036491438 /nfs/dbraw/zinc/49/14/38/1036491438.db2.gz AEZZTCKZWNTDJD-RISCZKNCSA-N 0 3 324.255 4.418 20 0 DIADHN CCn1cc(CN(Cc2ccc3nc(C)ccc3c2)C(C)C)cn1 ZINC000651960170 1036508525 /nfs/dbraw/zinc/50/85/25/1036508525.db2.gz VGBBNXJFNDLZSI-UHFFFAOYSA-N 0 3 322.456 4.170 20 0 DIADHN COc1cc([C@@H](C)NC2(c3ccc(F)cc3)CCCC2)ccn1 ZINC000655741818 1036513331 /nfs/dbraw/zinc/51/33/31/1036513331.db2.gz MYAXMPQERMYPNX-CQSZACIVSA-N 0 3 314.404 4.349 20 0 DIADHN COc1cc([C@H](C)NC2(c3ccc(F)cc3)CCCC2)ccn1 ZINC000655741817 1036514948 /nfs/dbraw/zinc/51/49/48/1036514948.db2.gz MYAXMPQERMYPNX-AWEZNQCLSA-N 0 3 314.404 4.349 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1cccc2ncccc12 ZINC000634687379 1036515100 /nfs/dbraw/zinc/51/51/00/1036515100.db2.gz JFESDJYXRPSUQM-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@H](N[C@H](C1CC1)[C@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000655788616 1036543050 /nfs/dbraw/zinc/54/30/50/1036543050.db2.gz LUNNHGVWUNIQLC-XGWLTEMNSA-N 0 3 320.502 4.020 20 0 DIADHN C[C@H](N[C@H](C1CC1)[C@@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000655788617 1036543106 /nfs/dbraw/zinc/54/31/06/1036543106.db2.gz LUNNHGVWUNIQLC-YLQAJVPDSA-N 0 3 320.502 4.020 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1cccc(C)c1OC ZINC000655810348 1036560468 /nfs/dbraw/zinc/56/04/68/1036560468.db2.gz DCYBXZFCYMZXGN-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1cccc(C)c1OC ZINC000655811893 1036563829 /nfs/dbraw/zinc/56/38/29/1036563829.db2.gz XRZIIJDBHFUIRI-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cccn2c(CN[C@H](C)c3cccc(OC(C)C)c3)cnc12 ZINC001648818912 1036581668 /nfs/dbraw/zinc/58/16/68/1036581668.db2.gz MUQMTNGZCIGNEW-MRXNPFEDSA-N 0 3 323.440 4.281 20 0 DIADHN C[C@H](Cc1ccccn1)NCc1ccc(F)c(Cl)c1Cl ZINC000655843705 1036591746 /nfs/dbraw/zinc/59/17/46/1036591746.db2.gz VGQSCIODBJFDBA-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN COc1nsc(CN[C@@H](C)[C@@H]2CCC[C@H](C)C2)c1Cl ZINC001651223091 1036653176 /nfs/dbraw/zinc/65/31/76/1036653176.db2.gz VQDBZZNPWPHZMV-GARJFASQSA-N 0 3 302.871 4.110 20 0 DIADHN Cc1cc([C@H](C)NCCC(F)(F)F)sc1Br ZINC000309368892 1036655662 /nfs/dbraw/zinc/65/56/62/1036655662.db2.gz BRHJWYSEKQYXRJ-ZETCQYMHSA-N 0 3 316.186 4.422 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2C(C)C)c(Br)cc1F ZINC001651711860 1036660140 /nfs/dbraw/zinc/66/01/40/1036660140.db2.gz AAAYYHMLMVVFQF-SMDDNHRTSA-N 0 3 300.215 4.031 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN[C@@H](C)Cc1ccsc1 ZINC001648895221 1036677214 /nfs/dbraw/zinc/67/72/14/1036677214.db2.gz GKQZODOHLOYROO-NSHDSACASA-N 0 3 318.467 4.494 20 0 DIADHN CC[C@H](NCc1ccc2ccccc2n1)c1ccc(OC)cc1 ZINC000072982386 1036695549 /nfs/dbraw/zinc/69/55/49/1036695549.db2.gz JFDRBFVVTGJFSU-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2N[C@@H]1CCc2ccc(F)cc21 ZINC000579998285 1036701666 /nfs/dbraw/zinc/70/16/66/1036701666.db2.gz UXOPCSSAOJKMEP-IAGOWNOFSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2cccc(OC(F)F)c2)s1 ZINC000076866437 1036704927 /nfs/dbraw/zinc/70/49/27/1036704927.db2.gz AVKOQKZUFPQHAJ-SECBINFHSA-N 0 3 312.385 4.212 20 0 DIADHN Cc1nc(C)c(CNCc2ccccc2C(F)(F)F)s1 ZINC000076867958 1036705417 /nfs/dbraw/zinc/70/54/17/1036705417.db2.gz LYHIEPZOHQEELR-UHFFFAOYSA-N 0 3 300.349 4.069 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccc2c(n1)CCCC2 ZINC000683694179 1036721096 /nfs/dbraw/zinc/72/10/96/1036721096.db2.gz LYPJEIWAKJKPNK-QVKFZJNVSA-N 0 3 306.453 4.374 20 0 DIADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000683693603 1036721324 /nfs/dbraw/zinc/72/13/24/1036721324.db2.gz HNNCNNMZTAJUNT-WKPIXPDZSA-N 0 3 320.433 4.086 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@@H](c2cc[nH]n2)C1 ZINC000683696984 1036722614 /nfs/dbraw/zinc/72/26/14/1036722614.db2.gz UUIALHHZKYDPJA-CYBMUJFWSA-N 0 3 321.827 4.014 20 0 DIADHN CCO[C@H](CNCc1nccc2ccccc21)c1ccccc1 ZINC000683706409 1036740335 /nfs/dbraw/zinc/74/03/35/1036740335.db2.gz HWOMGZGTUJCTJC-HXUWFJFHSA-N 0 3 306.409 4.102 20 0 DIADHN Brc1sccc1CN[C@H]1CCC12CCCC2 ZINC000309587979 1036770534 /nfs/dbraw/zinc/77/05/34/1036770534.db2.gz XAGBGWRIEIOHEI-NSHDSACASA-N 0 3 300.265 4.323 20 0 DIADHN Cc1nccnc1[C@H](C)NC1CC(c2ccccc2Cl)C1 ZINC000340528581 1036787125 /nfs/dbraw/zinc/78/71/25/1036787125.db2.gz AQOTWRYDQDLAOF-HSBZDZAISA-N 0 3 301.821 4.035 20 0 DIADHN Cn1ccnc1[C@H](NC1CC(c2ccccc2Cl)C1)C1CC1 ZINC000340528680 1036788298 /nfs/dbraw/zinc/78/82/98/1036788298.db2.gz BWRGUHOQBJBZPY-MQBCKMQZSA-N 0 3 315.848 4.060 20 0 DIADHN Clc1ccc(CNCCc2ccc(Br)s2)o1 ZINC000063560139 1036844735 /nfs/dbraw/zinc/84/47/35/1036844735.db2.gz WVFMMSYASDUHNT-UHFFFAOYSA-N 0 3 320.639 4.089 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1Cl ZINC000090468892 1036870289 /nfs/dbraw/zinc/87/02/89/1036870289.db2.gz DKSFIMXUCNULBM-ZDUSSCGKSA-N 0 3 322.861 4.281 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1ccc2cnccc2c1 ZINC000683767481 1036871875 /nfs/dbraw/zinc/87/18/75/1036871875.db2.gz JNNQVSFKWBDQCT-IBGZPJMESA-N 0 3 304.393 4.238 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(-c3ccco3)s1)CC2 ZINC000683768486 1036873096 /nfs/dbraw/zinc/87/30/96/1036873096.db2.gz CWZNJNVYBQCUKP-INIZCTEOSA-N 0 3 310.422 4.489 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cnc(-c2ccco2)s1 ZINC000683775508 1036893795 /nfs/dbraw/zinc/89/37/95/1036893795.db2.gz XVXCVUKHHJPSQZ-UHFFFAOYSA-N 0 3 304.337 4.224 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCCN1C[C@H]1CCCO1 ZINC000580099417 1036948126 /nfs/dbraw/zinc/94/81/26/1036948126.db2.gz DYWUWNRZSQRUMO-CZUORRHYSA-N 0 3 313.363 4.411 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H]1COc2c1cccc2Cl ZINC000683816290 1036962571 /nfs/dbraw/zinc/96/25/71/1036962571.db2.gz RNSOMAKGZCRVFX-DQYPLSBCSA-N 0 3 317.816 4.139 20 0 DIADHN C[C@]1(c2ccccc2)CCCN(CCSC(F)(F)F)C1 ZINC001167367384 1036994168 /nfs/dbraw/zinc/99/41/68/1036994168.db2.gz JEFPDFMVBQJUHH-AWEZNQCLSA-N 0 3 303.393 4.293 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)NCc1c[nH]nc1C ZINC000124718874 1037025308 /nfs/dbraw/zinc/02/53/08/1037025308.db2.gz PLPOMRFNYWLSAX-KRWDZBQOSA-N 0 3 311.454 4.162 20 0 DIADHN O[C@H](CN1CCC[C@]2(CC=CCC2)C1)c1ccc(F)cc1Cl ZINC001167382311 1037069103 /nfs/dbraw/zinc/06/91/03/1037069103.db2.gz XTNITZMOBLNXKR-QZTJIDSGSA-N 0 3 323.839 4.335 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@@H]2Cc2ccccc2Cl)o1 ZINC000683899360 1037078915 /nfs/dbraw/zinc/07/89/15/1037078915.db2.gz UQLUMEUNEULMAR-OAHLLOKOSA-N 0 3 304.821 4.152 20 0 DIADHN c1c2ccccc2[nH]c1CN[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000683927414 1037113180 /nfs/dbraw/zinc/11/31/80/1037113180.db2.gz VQYRSEXOAHAPGX-VQTJNVASSA-N 0 3 306.409 4.178 20 0 DIADHN CC[C@@H](C)CN1CCN([C@@H](CC)c2ccc(F)cc2F)CC1 ZINC001167398702 1037129308 /nfs/dbraw/zinc/12/93/08/1037129308.db2.gz VSHMFYQHGUHRJL-KDOFPFPSSA-N 0 3 310.432 4.080 20 0 DIADHN COc1ccc(Cl)c(CN2CC[C@@H](c3cc(C)ccn3)C2)c1 ZINC000580446781 1037137323 /nfs/dbraw/zinc/13/73/23/1037137323.db2.gz WKBFKDNLQDJVBW-CQSZACIVSA-N 0 3 316.832 4.042 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@H]2COc3ccccc32)c1 ZINC000580476929 1037155998 /nfs/dbraw/zinc/15/59/98/1037155998.db2.gz PDFRZQASDNFSOB-LBPRGKRZSA-N 0 3 308.208 4.259 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC(C2CCOCC2)CC1 ZINC000153393565 1037157051 /nfs/dbraw/zinc/15/70/51/1037157051.db2.gz CXKOPDHKHBHRMM-ZDUSSCGKSA-N 0 3 309.400 4.164 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@H](c3nccs3)C2)cc1 ZINC000255565742 1037173379 /nfs/dbraw/zinc/17/33/79/1037173379.db2.gz KLJIYMPHDXJRQC-BGGTVIOKSA-N 0 3 302.418 4.175 20 0 DIADHN CO[C@H]1CCCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000683996037 1037208850 /nfs/dbraw/zinc/20/88/50/1037208850.db2.gz XVTJKLQHTSUYLY-ZDUSSCGKSA-N 0 3 302.245 4.037 20 0 DIADHN Clc1cccc(CCN2CCC3(CCOC3)CC2)c1Cl ZINC000683996153 1037210056 /nfs/dbraw/zinc/21/00/56/1037210056.db2.gz HNMFCKSNRBZUEV-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN Clc1ccc([C@@H]2CCN(CC3(Br)CC3)C2)cc1 ZINC001167420953 1037212879 /nfs/dbraw/zinc/21/28/79/1037212879.db2.gz MUWGBPFVBGHBBH-GFCCVEGCSA-N 0 3 314.654 4.057 20 0 DIADHN N#CC(=C([O-])C1=CCCCC1)c1nc2ccccc2n1C(F)F ZINC000187574862 1037213463 /nfs/dbraw/zinc/21/34/63/1037213463.db2.gz TYTAVMRPHBOVCF-GFCCVEGCSA-N 0 3 315.323 4.108 20 0 DIADHN N#CC(C(=O)C1=CCCCC1)c1nc2ccccc2n1C(F)F ZINC000187574862 1037213474 /nfs/dbraw/zinc/21/34/74/1037213474.db2.gz TYTAVMRPHBOVCF-GFCCVEGCSA-N 0 3 315.323 4.108 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000565725466 1037217762 /nfs/dbraw/zinc/21/77/62/1037217762.db2.gz GSJQNFMRWNPHFO-DYVFJYSZSA-N 0 3 301.409 4.362 20 0 DIADHN COc1ccc(CN(Cc2cc3ccccc3[nH]2)C2CC2)cc1 ZINC000684019895 1037244922 /nfs/dbraw/zinc/24/49/22/1037244922.db2.gz RHABNGLTBXDSPH-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN Oc1cccc(CN(Cc2cc3ccccc3[nH]2)CC2CC2)c1 ZINC000684021698 1037248156 /nfs/dbraw/zinc/24/81/56/1037248156.db2.gz ZKBYLDNWUIBVHC-UHFFFAOYSA-N 0 3 306.409 4.286 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC001167430019 1037251683 /nfs/dbraw/zinc/25/16/83/1037251683.db2.gz QINGQBNVGGLQQW-CHWSQXEVSA-N 0 3 305.853 4.237 20 0 DIADHN COC(=O)c1cccc(CNCc2c(C)cc(Cl)cc2C)c1 ZINC000580740469 1037280224 /nfs/dbraw/zinc/28/02/24/1037280224.db2.gz PQDNAVGSJSZIDM-UHFFFAOYSA-N 0 3 317.816 4.033 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC001167433759 1037292049 /nfs/dbraw/zinc/29/20/49/1037292049.db2.gz LAHNHCMYHAWZSS-JKIFEVAISA-N 0 3 320.864 4.240 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC001167433760 1037292606 /nfs/dbraw/zinc/29/26/06/1037292606.db2.gz LAHNHCMYHAWZSS-KEYYUXOJSA-N 0 3 320.864 4.240 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2CCCc3ccc(SC)cc32)cn1 ZINC000580834549 1037326316 /nfs/dbraw/zinc/32/63/16/1037326316.db2.gz TWGNQMKBVMASJI-FZKQIMNGSA-N 0 3 315.486 4.353 20 0 DIADHN CSc1cccc(F)c1CN[C@H](C)Cc1ccn(C(C)C)n1 ZINC000580849728 1037331729 /nfs/dbraw/zinc/33/17/29/1037331729.db2.gz SDANBRQKPVKHHU-CYBMUJFWSA-N 0 3 321.465 4.046 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCOCC2CCC2)c1 ZINC000580867204 1037335329 /nfs/dbraw/zinc/33/53/29/1037335329.db2.gz YHWDBASEZYJKNL-ZDUSSCGKSA-N 0 3 313.388 4.064 20 0 DIADHN c1ccc(COc2ccc(CN3CCC[C@@H]4CCC[C@@H]43)cn2)cc1 ZINC001167439198 1037363098 /nfs/dbraw/zinc/36/30/98/1037363098.db2.gz UBUMBELBEHEGHF-PMACEKPBSA-N 0 3 322.452 4.425 20 0 DIADHN Cc1cc(CN2CC[C@H](C(=O)c3ccccc3)C2)cc(C)c1F ZINC001143385562 1037372171 /nfs/dbraw/zinc/37/21/71/1037372171.db2.gz WNEZFHWSIOVYHP-SFHVURJKSA-N 0 3 311.400 4.147 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(=O)c3ccccc3)C2)cc(C)c1F ZINC001143385560 1037372310 /nfs/dbraw/zinc/37/23/10/1037372310.db2.gz WNEZFHWSIOVYHP-GOSISDBHSA-N 0 3 311.400 4.147 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H](C)c2cccc(F)c2)n1 ZINC000127681052 1037377294 /nfs/dbraw/zinc/37/72/94/1037377294.db2.gz RBHZYDRRNPAAMR-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cccc(-c2ccccn2)c1 ZINC000127728459 1037379358 /nfs/dbraw/zinc/37/93/58/1037379358.db2.gz HHVGVANVAUTFPY-FQEVSTJZSA-N 0 3 316.404 4.231 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCO[C@]2(CCSC2)C1 ZINC000580950378 1037380083 /nfs/dbraw/zinc/38/00/83/1037380083.db2.gz LSWCZXFWRYHSTH-DNVCBOLYSA-N 0 3 313.466 4.109 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2cn3ccccc3n2)C1 ZINC000580956240 1037383953 /nfs/dbraw/zinc/38/39/53/1037383953.db2.gz ZKNLYESXTXPJHO-HDJSIYSDSA-N 0 3 311.816 4.024 20 0 DIADHN COCC1(CNCc2coc(-c3ccccc3)n2)CCCCC1 ZINC000580993907 1037398377 /nfs/dbraw/zinc/39/83/77/1037398377.db2.gz GYCYNBWYNTXEPJ-UHFFFAOYSA-N 0 3 314.429 4.028 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H]1CCCCC1(C)C)c1ccco1 ZINC000581073118 1037423665 /nfs/dbraw/zinc/42/36/65/1037423665.db2.gz DDRJUNITZMLWRP-HRCADAONSA-N 0 3 320.477 4.041 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3cnn(C)c3c2)C2CCCC2)o1 ZINC000584146800 1037437989 /nfs/dbraw/zinc/43/79/89/1037437989.db2.gz KDHTYZPTSOGHIO-FQEVSTJZSA-N 0 3 323.440 4.496 20 0 DIADHN CNC(=O)c1cccc(CN2CCCC[C@H]2c2ccc(C)cc2)c1 ZINC000581222952 1037483129 /nfs/dbraw/zinc/48/31/29/1037483129.db2.gz DPVNNOHVFLBZES-FQEVSTJZSA-N 0 3 322.452 4.082 20 0 DIADHN CC(C)C(CN[C@@H](c1ccc(F)cc1)c1cnccn1)C(C)C ZINC000581230893 1037487633 /nfs/dbraw/zinc/48/76/33/1037487633.db2.gz BLTYYFBRLONGKK-IBGZPJMESA-N 0 3 315.436 4.223 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000252745376 1037488919 /nfs/dbraw/zinc/48/89/19/1037488919.db2.gz ONXDHNHOPKAOCF-VHSSKADRSA-N 0 3 319.399 4.196 20 0 DIADHN CC(F)(F)CCCNCc1csc(-c2ccc(F)cc2)n1 ZINC000296131769 1037518909 /nfs/dbraw/zinc/51/89/09/1037518909.db2.gz UOHVGHYXDXCGSG-UHFFFAOYSA-N 0 3 314.376 4.474 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2cccc(F)c2)cc1OC ZINC000067690297 1037521005 /nfs/dbraw/zinc/52/10/05/1037521005.db2.gz QLXMCCJBGIKCIT-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CCc1ccc(CN2CCN([C@@H]3C=CCCCCC3)CC2)s1 ZINC001167453488 1037521914 /nfs/dbraw/zinc/52/19/14/1037521914.db2.gz JVHJEDLZEXAMSA-QGZVFWFLSA-N 0 3 318.530 4.317 20 0 DIADHN C[C@H](c1nc(-c2nccc3ccccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276138778 1037534630 /nfs/dbraw/zinc/53/46/30/1037534630.db2.gz BURMZSUYMVYRRK-HZSPNIEDSA-N 0 3 322.412 4.219 20 0 DIADHN Cc1nccc([C@@H]2CCCN(Cc3cccc(C(F)F)c3)C2)n1 ZINC000684203222 1037548251 /nfs/dbraw/zinc/54/82/51/1037548251.db2.gz DYBLKGSSJZLJGT-MRXNPFEDSA-N 0 3 317.383 4.102 20 0 DIADHN CCc1oc2ccccc2c1CN1CC=C(c2ccnn2C)CC1 ZINC000348666884 1037634069 /nfs/dbraw/zinc/63/40/69/1037634069.db2.gz CBWIFVHRDMGQRA-UHFFFAOYSA-N 0 3 321.424 4.018 20 0 DIADHN CC(C)COC1CCN(CCc2ccc(F)cc2Cl)CC1 ZINC000581460520 1037652986 /nfs/dbraw/zinc/65/29/86/1037652986.db2.gz SOIGQMZAYXHUAJ-UHFFFAOYSA-N 0 3 313.844 4.159 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2scnc2Cl)C1 ZINC001167465589 1037672126 /nfs/dbraw/zinc/67/21/26/1037672126.db2.gz YDLPCHIEXCCESR-QWHCGFSZSA-N 0 3 306.862 4.483 20 0 DIADHN OCCC1(CNCc2cc(Cl)sc2Cl)CCCC1 ZINC000449179310 1037693485 /nfs/dbraw/zinc/69/34/85/1037693485.db2.gz WKGRAKQXUGLYMR-UHFFFAOYSA-N 0 3 308.274 4.087 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3[nH]ccc3c2)c(C)c1OC ZINC000581498687 1037695236 /nfs/dbraw/zinc/69/52/36/1037695236.db2.gz GQWJZKFBTVUBMQ-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3[nH]ccc3c2)c(C)c1OC ZINC000581498686 1037696575 /nfs/dbraw/zinc/69/65/75/1037696575.db2.gz GQWJZKFBTVUBMQ-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN Cc1cc(F)c(CNC2CCC(OC(F)F)CC2)c(Cl)c1 ZINC000656637282 1037722902 /nfs/dbraw/zinc/72/29/02/1037722902.db2.gz FLECIBZKJRQOHB-UHFFFAOYSA-N 0 3 321.770 4.428 20 0 DIADHN CC(C)Cn1cc(CN(C2CC2)[C@@H]2CCc3ccccc32)cn1 ZINC000341195098 1037728925 /nfs/dbraw/zinc/72/89/25/1037728925.db2.gz VDQALTMUEHDVHV-HXUWFJFHSA-N 0 3 309.457 4.191 20 0 DIADHN CC1(C)OCc2cc(CN3CC(Cc4ccccc4)C3)ccc2O1 ZINC000656651793 1037743050 /nfs/dbraw/zinc/74/30/50/1037743050.db2.gz GTXQXKGMMHQORZ-UHFFFAOYSA-N 0 3 323.436 4.006 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnc(C)s1)c1cccc(Cl)c1 ZINC000090173385 1037744508 /nfs/dbraw/zinc/74/45/08/1037744508.db2.gz WUXSQBNXAKRHJR-YGRLFVJLSA-N 0 3 310.850 4.143 20 0 DIADHN CSc1ccc(CN2CC[C@H](COc3ccccc3)C2)s1 ZINC000581580009 1037753021 /nfs/dbraw/zinc/75/30/21/1037753021.db2.gz BLJTVGKZXFGAAO-AWEZNQCLSA-N 0 3 319.495 4.371 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1cc(Cl)cc(Cl)c1 ZINC001167473450 1037777047 /nfs/dbraw/zinc/77/70/47/1037777047.db2.gz KCPJGTUCDMXLDC-ZDUSSCGKSA-N 0 3 324.251 4.376 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1cc(Cl)cc(Cl)c1 ZINC001167473449 1037777651 /nfs/dbraw/zinc/77/76/51/1037777651.db2.gz KCPJGTUCDMXLDC-CYBMUJFWSA-N 0 3 324.251 4.376 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1cc(Cl)ccc1Cl ZINC000656683624 1037788534 /nfs/dbraw/zinc/78/85/34/1037788534.db2.gz KHYRACROJCNJRJ-CMPLNLGQSA-N 0 3 300.229 4.356 20 0 DIADHN Cc1ccc2ncc(CN3C[C@@H](C)C(F)(F)[C@H](C)C3)cc2c1 ZINC000625651654 1037824059 /nfs/dbraw/zinc/82/40/59/1037824059.db2.gz CPXQIFWBVZEMIA-ZIAGYGMSSA-N 0 3 304.384 4.266 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000341284487 1037851607 /nfs/dbraw/zinc/85/16/07/1037851607.db2.gz JSIKGPUWCLITFG-WMLDXEAASA-N 0 3 322.880 4.172 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1csc(N(C)C)n1 ZINC000684382128 1037906793 /nfs/dbraw/zinc/90/67/93/1037906793.db2.gz PMQGEYVJGAQJRW-RYUDHWBXSA-N 0 3 323.893 4.144 20 0 DIADHN Cc1cc(C(=O)Nc2ccccc2CN(C)C)c(C)cc1Cl ZINC000684387487 1037915381 /nfs/dbraw/zinc/91/53/81/1037915381.db2.gz CLASVZYZQIEHKH-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1csc(CNCCCCc2ccc(Cl)s2)n1 ZINC000341366730 1037928616 /nfs/dbraw/zinc/92/86/16/1037928616.db2.gz CGRSMSDICPNKBA-UHFFFAOYSA-N 0 3 300.880 4.279 20 0 DIADHN CO[C@@H]1CCC[C@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000684413021 1037958706 /nfs/dbraw/zinc/95/87/06/1037958706.db2.gz LQRQIIVTRMQVJS-NSHGMRRFSA-N 0 3 310.441 4.027 20 0 DIADHN Fc1ccc(CN2CC([C@H]3CCOC3)C2)cc1-c1cccs1 ZINC000684414992 1037961789 /nfs/dbraw/zinc/96/17/89/1037961789.db2.gz XYVXHVNOOMUKEN-AWEZNQCLSA-N 0 3 317.429 4.023 20 0 DIADHN CC[C@H](C)[C@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000602285590 1037977333 /nfs/dbraw/zinc/97/73/33/1037977333.db2.gz GBYVSRJDZPTSEJ-BLLLJJGKSA-N 0 3 307.821 4.097 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](C)CCCc2cccnc2)c(C)s1 ZINC000656900863 1037991029 /nfs/dbraw/zinc/99/10/29/1037991029.db2.gz LYTOEACLOWUQBF-CHWSQXEVSA-N 0 3 303.475 4.217 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](C)CCCc2cccnc2)c(C)s1 ZINC000656900865 1037991076 /nfs/dbraw/zinc/99/10/76/1037991076.db2.gz LYTOEACLOWUQBF-QWHCGFSZSA-N 0 3 303.475 4.217 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)CCCc2cccnc2)c(C)s1 ZINC000656900866 1037991168 /nfs/dbraw/zinc/99/11/68/1037991168.db2.gz LYTOEACLOWUQBF-STQMWFEESA-N 0 3 303.475 4.217 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H](C)c2cc(C)oc2C)cc1 ZINC000581864120 1038039662 /nfs/dbraw/zinc/03/96/62/1038039662.db2.gz OKYOOQSYJOTICJ-CKFHNAJUSA-N 0 3 317.429 4.332 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@H](C)c2cc(C)oc2C)cc1 ZINC000581864122 1038040927 /nfs/dbraw/zinc/04/09/27/1038040927.db2.gz OKYOOQSYJOTICJ-PJIJBLCYSA-N 0 3 317.429 4.332 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(F)cc2F)C1)c1ccccc1F ZINC000602584908 1038048333 /nfs/dbraw/zinc/04/83/33/1038048333.db2.gz KPUURDXHPCZULG-GXTWGEPZSA-N 0 3 320.358 4.033 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc([C@H]4C[C@H]4C)o3)CC2)cn1 ZINC000341528482 1038071513 /nfs/dbraw/zinc/07/15/13/1038071513.db2.gz KEYDCXWFZSRWGZ-KUHUBIRLSA-N 0 3 310.441 4.486 20 0 DIADHN CN(Cc1c(Br)[nH]c2ccccc21)CC1CCC1 ZINC001232142878 1038113124 /nfs/dbraw/zinc/11/31/24/1038113124.db2.gz RSHREKZJWZHACA-UHFFFAOYSA-N 0 3 307.235 4.162 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)s1 ZINC000090418513 1038112726 /nfs/dbraw/zinc/11/27/26/1038112726.db2.gz YRVHYEPUUFZBDY-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN Oc1cccnc1CN1CCCC[C@@H]1c1cccc(Cl)c1 ZINC000581911548 1038134710 /nfs/dbraw/zinc/13/47/10/1038134710.db2.gz ZSRQYCVSJYGLIZ-MRXNPFEDSA-N 0 3 302.805 4.168 20 0 DIADHN CCCCCNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC000061002401 1038160389 /nfs/dbraw/zinc/16/03/89/1038160389.db2.gz BLJVHFPSECJPNN-UHFFFAOYSA-N 0 3 305.853 4.173 20 0 DIADHN CC[C@]1(C)CCCN(Cc2ccc(OCC(F)(F)F)nc2)C1 ZINC001167490631 1038164409 /nfs/dbraw/zinc/16/44/09/1038164409.db2.gz FPIMKIRPUWXBPL-OAHLLOKOSA-N 0 3 316.367 4.035 20 0 DIADHN COc1cccc(CNCCSc2ccc(C)cc2)c1F ZINC000669679023 1038197688 /nfs/dbraw/zinc/19/76/88/1038197688.db2.gz GFBHNBHMRKQYSY-UHFFFAOYSA-N 0 3 305.418 4.025 20 0 DIADHN CCCOc1ccc(CNCc2ccc(C#N)cc2)cc1OCC ZINC000061079349 1038198171 /nfs/dbraw/zinc/19/81/71/1038198171.db2.gz HPKZUWDZUQXLIA-UHFFFAOYSA-N 0 3 324.424 4.036 20 0 DIADHN CC(C)O[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000581979934 1038208261 /nfs/dbraw/zinc/20/82/61/1038208261.db2.gz MOBVBKBCQBSCNR-QFBILLFUSA-N 0 3 315.461 4.281 20 0 DIADHN CCC[C@@H](NCC[C@@H](OC)C(F)(F)F)c1ncccc1Cl ZINC000926248560 1038213769 /nfs/dbraw/zinc/21/37/69/1038213769.db2.gz AVQXIOZPRVLYCX-VXGBXAGGSA-N 0 3 324.774 4.133 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@@H](Cn1cccn1)c1ccccc1 ZINC000669682636 1038214456 /nfs/dbraw/zinc/21/44/56/1038214456.db2.gz UXLWHGQDNDXUPU-RHYRFBSLSA-N 0 3 317.436 4.318 20 0 DIADHN CCC(O)(CC)CCN[C@@H](c1ccc(C)cc1)c1cccnc1 ZINC000926254719 1038226127 /nfs/dbraw/zinc/22/61/27/1038226127.db2.gz KAWDWGAOHVZNGO-IBGZPJMESA-N 0 3 312.457 4.010 20 0 DIADHN CCSC1(CN[C@H](C)c2cc(-c3ccccc3)n(C)n2)CC1 ZINC000599055419 1038229280 /nfs/dbraw/zinc/22/92/80/1038229280.db2.gz QQEVLSMAJYZRBP-CQSZACIVSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1ccc(OCc2nc(CNC[C@H]3CC3(C)C)cs2)cc1 ZINC000603513952 1038234821 /nfs/dbraw/zinc/23/48/21/1038234821.db2.gz QLRNZCYKCABIKV-CQSZACIVSA-N 0 3 316.470 4.166 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC000669687705 1038243850 /nfs/dbraw/zinc/24/38/50/1038243850.db2.gz WNSOYURLSMUUEG-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN Clc1ccccc1CNC[C@@H](c1ccco1)N1CCCCC1 ZINC000060818741 1038245810 /nfs/dbraw/zinc/24/58/10/1038245810.db2.gz HIUMERQULVAFFI-KRWDZBQOSA-N 0 3 318.848 4.250 20 0 DIADHN Clc1ccc([C@H](N[C@@H]2CCCOC2)c2ccccc2)cc1 ZINC000158405280 1038251780 /nfs/dbraw/zinc/25/17/80/1038251780.db2.gz XMZJIMIZJUZRAC-QZTJIDSGSA-N 0 3 301.817 4.198 20 0 DIADHN CC[C@@H](NCC(=O)Nc1c(C)cccc1C)c1ccc(C)cc1 ZINC000341755448 1038258834 /nfs/dbraw/zinc/25/88/34/1038258834.db2.gz OZJQSWTWFODCGN-GOSISDBHSA-N 0 3 310.441 4.291 20 0 DIADHN CNC(=O)c1cccc(CNC(C)(C)c2ccc(C(C)C)cc2)c1 ZINC000603711701 1038269507 /nfs/dbraw/zinc/26/95/07/1038269507.db2.gz GWPFHSSDYNCDRD-UHFFFAOYSA-N 0 3 324.468 4.195 20 0 DIADHN CCCC[C@H](NCc1ccc(OC)nc1)c1ccc(OC)cc1 ZINC000341808599 1038273822 /nfs/dbraw/zinc/27/38/22/1038273822.db2.gz RHFALRNSNFOJTR-SFHVURJKSA-N 0 3 314.429 4.120 20 0 DIADHN COc1ccc(F)c(F)c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001143414042 1038275021 /nfs/dbraw/zinc/27/50/21/1038275021.db2.gz YGEXVHCAYFBTSP-GFCCVEGCSA-N 0 3 313.363 4.078 20 0 DIADHN COc1cc(C)c(CN(C)c2ccnc3ccccc32)cc1OC ZINC000599441780 1038282161 /nfs/dbraw/zinc/28/21/61/1038282161.db2.gz AOCROIUWYGUVMH-UHFFFAOYSA-N 0 3 322.408 4.197 20 0 DIADHN C[C@@H](c1nc(-c2ccc3ncccc3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000276930303 1038285077 /nfs/dbraw/zinc/28/50/77/1038285077.db2.gz OBGAUUDRGPOMBB-MCIONIFRSA-N 0 3 322.412 4.219 20 0 DIADHN C[C@H](c1nc(-c2ccc3ncccc3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000276930296 1038286946 /nfs/dbraw/zinc/28/69/46/1038286946.db2.gz OBGAUUDRGPOMBB-HZSPNIEDSA-N 0 3 322.412 4.219 20 0 DIADHN Cc1ccccc1C1(NCc2cnc(-c3ccccc3)[nH]2)CC1 ZINC000647224446 1038306151 /nfs/dbraw/zinc/30/61/51/1038306151.db2.gz IGFFTRZAEDXWEA-UHFFFAOYSA-N 0 3 303.409 4.164 20 0 DIADHN c1ccc([C@H]2OCCC[C@@H]2CNc2ccnc3ccccc32)cc1 ZINC000599556810 1038313966 /nfs/dbraw/zinc/31/39/66/1038313966.db2.gz HWZNFDHCTKJWLM-DYESRHJHSA-N 0 3 318.420 4.237 20 0 DIADHN Cc1nc(Br)ccc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001232323910 1038319529 /nfs/dbraw/zinc/31/95/29/1038319529.db2.gz NKLXFTFTINJVGC-HIFRSBDPSA-N 0 3 323.278 4.307 20 0 DIADHN C[C@H](CNCc1csc(-c2ccc(F)cc2)n1)CC(F)F ZINC000647225587 1038321302 /nfs/dbraw/zinc/32/13/02/1038321302.db2.gz OFSGHEGQXOIJKM-JTQLQIEISA-N 0 3 314.376 4.330 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cccc(NC(C)=O)c1 ZINC000603942286 1038326638 /nfs/dbraw/zinc/32/66/38/1038326638.db2.gz CXUYPGIOTPTEEH-FQEVSTJZSA-N 0 3 308.425 4.154 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2cccc(-c3ccncc3)c2)nc1 ZINC000535780804 1038332920 /nfs/dbraw/zinc/33/29/20/1038332920.db2.gz BGJMRGQTNKLCGU-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN Cc1cccn2c(CN(C)[C@H]3CCCc4ccccc43)cnc12 ZINC000535781900 1038336206 /nfs/dbraw/zinc/33/62/06/1038336206.db2.gz JCPHKZHDYQBBKR-IBGZPJMESA-N 0 3 305.425 4.152 20 0 DIADHN Cc1noc(C[C@@H](N[C@@H](C)c2ccccc2)c2ccccc2)n1 ZINC000277052658 1038358027 /nfs/dbraw/zinc/35/80/27/1038358027.db2.gz HFCFMVRJNWBZAN-KBXCAEBGSA-N 0 3 307.397 4.013 20 0 DIADHN Cc1noc(C[C@@H](N[C@H](C)c2ccccc2)c2ccccc2)n1 ZINC000277052681 1038359350 /nfs/dbraw/zinc/35/93/50/1038359350.db2.gz HFCFMVRJNWBZAN-RDTXWAMCSA-N 0 3 307.397 4.013 20 0 DIADHN C[C@@H](NC1CC(F)(F)C1)c1ccc(F)c(Br)c1 ZINC000277110740 1038369779 /nfs/dbraw/zinc/36/97/79/1038369779.db2.gz MMNVCWLCVYNWIG-SSDOTTSWSA-N 0 3 308.141 4.037 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000342040118 1038370511 /nfs/dbraw/zinc/37/05/11/1038370511.db2.gz BVFSSWOBMRWRQE-IAGOWNOFSA-N 0 3 316.832 4.032 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)c1ccccc1Cl ZINC000090453611 1038382319 /nfs/dbraw/zinc/38/23/19/1038382319.db2.gz DURMUGJAYWNVTO-DYVFJYSZSA-N 0 3 321.852 4.156 20 0 DIADHN CCCCOCCNCc1ccc(-c2ccc(F)cc2C)o1 ZINC000604415415 1038412336 /nfs/dbraw/zinc/41/23/36/1038412336.db2.gz GNDQRTSWXFSRGD-UHFFFAOYSA-N 0 3 305.393 4.300 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(-c2cccc(F)c2C)o1 ZINC000604416702 1038414267 /nfs/dbraw/zinc/41/42/67/1038414267.db2.gz ZWECLAUQXAWIBY-GOSISDBHSA-N 0 3 319.420 4.281 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC4(CC4)CC3)co2)cc1 ZINC000626113157 1038418966 /nfs/dbraw/zinc/41/89/66/1038418966.db2.gz HFAKNPMPTYIMPK-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(C(=O)OC)oc1C ZINC000604512485 1038423079 /nfs/dbraw/zinc/42/30/79/1038423079.db2.gz HLIMGUNFFCOXLZ-KRWDZBQOSA-N 0 3 315.413 4.348 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H]2c2cccc(C)c2)c(C)o1 ZINC000604512233 1038423985 /nfs/dbraw/zinc/42/39/85/1038423985.db2.gz SLRMKBDMEWECNE-QGZVFWFLSA-N 0 3 313.397 4.020 20 0 DIADHN Cc1ccccc1[C@H](C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC000604703633 1038439232 /nfs/dbraw/zinc/43/92/32/1038439232.db2.gz OBXRTKQJFXUXPN-GOSISDBHSA-N 0 3 324.468 4.083 20 0 DIADHN Cc1ccc2[nH]c(C(=O)Nc3cccc(CN(C)C)c3)cc2c1C ZINC000604860785 1038456407 /nfs/dbraw/zinc/45/64/07/1038456407.db2.gz VUKNNXJUPIIIBL-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(OC)c(OC)c2)s1 ZINC000041202744 1038475642 /nfs/dbraw/zinc/47/56/42/1038475642.db2.gz SZQJDUPSYWUNFA-LBPRGKRZSA-N 0 3 305.443 4.179 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC000605000693 1038476019 /nfs/dbraw/zinc/47/60/19/1038476019.db2.gz SVWGGMXQZVSSDW-SFHVURJKSA-N 0 3 301.409 4.022 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(OC)c(OC)c2)s1 ZINC000041202742 1038476321 /nfs/dbraw/zinc/47/63/21/1038476321.db2.gz SZQJDUPSYWUNFA-GFCCVEGCSA-N 0 3 305.443 4.179 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)CC(C)(C)C)o2)cc1 ZINC000605009133 1038477542 /nfs/dbraw/zinc/47/75/42/1038477542.db2.gz PNXXEHFPBXEUKV-MRXNPFEDSA-N 0 3 301.430 4.142 20 0 DIADHN CCOc1ccccc1CN1CCS[C@@H](c2ccccc2)C1 ZINC000605155121 1038494143 /nfs/dbraw/zinc/49/41/43/1038494143.db2.gz JAYVXUXANUBYMZ-LJQANCHMSA-N 0 3 313.466 4.375 20 0 DIADHN Cc1ccc2cc(CN[C@@H](C)c3ccc4c(c3)OCCO4)[nH]c2c1 ZINC000657288705 1038505372 /nfs/dbraw/zinc/50/53/72/1038505372.db2.gz DHRVAZOSUCHPJH-AWEZNQCLSA-N 0 3 322.408 4.098 20 0 DIADHN COCc1csc(CN[C@@H]2CCSc3ccccc32)c1 ZINC000657293075 1038509491 /nfs/dbraw/zinc/50/94/91/1038509491.db2.gz WGSBLCFKHHOTTI-OAHLLOKOSA-N 0 3 305.468 4.221 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CO[C@@H](CC)C3)oc21 ZINC000657295656 1038512225 /nfs/dbraw/zinc/51/22/25/1038512225.db2.gz GWOZNGHPZOZHKR-QEJZJMRPSA-N 0 3 303.402 4.050 20 0 DIADHN CCCCC1(NCC(=O)Nc2c(Cl)cccc2Cl)CC1 ZINC000934201502 1038512561 /nfs/dbraw/zinc/51/25/61/1038512561.db2.gz XOLLSHGANUSBJB-UHFFFAOYSA-N 0 3 315.244 4.244 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cc(COC)cs2)cc1 ZINC000657295358 1038513192 /nfs/dbraw/zinc/51/31/92/1038513192.db2.gz OELYJVDCIJAWBF-CYBMUJFWSA-N 0 3 305.443 4.144 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(-c3ccncc3)c2)ccn1 ZINC000342288398 1038517659 /nfs/dbraw/zinc/51/76/59/1038517659.db2.gz BLMNETWHJWXPFU-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccc(F)cc1 ZINC000171978095 1038519355 /nfs/dbraw/zinc/51/93/55/1038519355.db2.gz DDEKYBXSVMIZTH-STQMWFEESA-N 0 3 312.388 4.122 20 0 DIADHN Cc1cc(CN[C@@H](CCO)c2ccc(Cl)cc2)ccc1F ZINC000342295910 1038520267 /nfs/dbraw/zinc/52/02/67/1038520267.db2.gz JKFXNDIAKQNRGB-KRWDZBQOSA-N 0 3 307.796 4.001 20 0 DIADHN C[C@H](NCc1ccncc1)c1ccc(Oc2cccnc2)cc1 ZINC000342305752 1038524559 /nfs/dbraw/zinc/52/45/59/1038524559.db2.gz JBGKODZNPDFOAQ-HNNXBMFYSA-N 0 3 305.381 4.120 20 0 DIADHN CCOc1ccc(CNCc2cccc3cc[nH]c32)cc1OCC ZINC000342303351 1038524964 /nfs/dbraw/zinc/52/49/64/1038524964.db2.gz FPWUHNGRIXMPHF-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2nc3cc(F)ccc3o2)C1 ZINC000151882240 1038534840 /nfs/dbraw/zinc/53/48/40/1038534840.db2.gz SCWQJKIQOAHPAR-CHWSQXEVSA-N 0 3 308.422 4.073 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2ccc(-n3cccn3)cc2)cc1 ZINC000025361335 1038547342 /nfs/dbraw/zinc/54/73/42/1038547342.db2.gz PHAMOFDDQBMVIE-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2ccc(-n3cccn3)cc2)cc1 ZINC000025361332 1038547365 /nfs/dbraw/zinc/54/73/65/1038547365.db2.gz PHAMOFDDQBMVIE-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN CC(C)n1ccnc1CNCC1(c2ccc(F)cc2)CCCC1 ZINC000657314111 1038549844 /nfs/dbraw/zinc/54/98/44/1038549844.db2.gz RMXIWFGGEUJEJS-UHFFFAOYSA-N 0 3 315.436 4.205 20 0 DIADHN Cc1cnc([C@@H](C)N[C@H](C)CCc2ccc(F)cc2F)s1 ZINC000582018976 1038551759 /nfs/dbraw/zinc/55/17/59/1038551759.db2.gz OHKIAVOXMCZODE-ZYHUDNBSSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1ccc(CNCc2cncc(Br)c2C)c(C)c1 ZINC000657314951 1038552288 /nfs/dbraw/zinc/55/22/88/1038552288.db2.gz INKBNPFPHIHEJR-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN c1coc([C@H]2CCCCCN2C[C@@H]2COc3ccccc3O2)c1 ZINC000605903237 1038555951 /nfs/dbraw/zinc/55/59/51/1038555951.db2.gz PHUGQVTWXSVEEE-HZPDHXFCSA-N 0 3 313.397 4.037 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2c(C)noc2CC)C12CCCCC2 ZINC001167540306 1038557121 /nfs/dbraw/zinc/55/71/21/1038557121.db2.gz ZAMPBZHYCHOMPU-QZTJIDSGSA-N 0 3 320.477 4.105 20 0 DIADHN O[C@H](C[C@H]1CCCN1C/C=C\c1ccc(F)cc1)c1ccco1 ZINC000255477095 1038560134 /nfs/dbraw/zinc/56/01/34/1038560134.db2.gz PHMDTIZMNQCUBD-AUVNQSNOSA-N 0 3 315.388 4.020 20 0 DIADHN Cc1cccc([C@H](C)NCc2cncc(Br)c2)c1C ZINC000183723940 1038568441 /nfs/dbraw/zinc/56/84/41/1038568441.db2.gz ZAHACFTWIUYAFF-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)(CN[C@H]1CCCc2c(Cl)ccnc21)C(F)(F)F ZINC000926367226 1038569224 /nfs/dbraw/zinc/56/92/24/1038569224.db2.gz KTMXFKPGVGXJPU-NSHDSACASA-N 0 3 306.759 4.291 20 0 DIADHN COCc1ccc(CN[C@H](c2ncccc2C)C(C)(C)C)cc1 ZINC000934288025 1038578071 /nfs/dbraw/zinc/57/80/71/1038578071.db2.gz IIFPTIQMCBALDC-LJQANCHMSA-N 0 3 312.457 4.413 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@H]3CCCO3)cc21 ZINC000657335439 1038579446 /nfs/dbraw/zinc/57/94/46/1038579446.db2.gz JIXXFEDXKJAVBG-RTWAWAEBSA-N 0 3 320.436 4.486 20 0 DIADHN CC[C@@H](NCc1cncc(Br)c1C)c1ccccc1 ZINC000657335618 1038580089 /nfs/dbraw/zinc/58/00/89/1038580089.db2.gz JBQWHHTVKSFGCC-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN c1c2ccncc2sc1CN[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000657336091 1038580320 /nfs/dbraw/zinc/58/03/20/1038580320.db2.gz PCVPFPLHHSKKBQ-MJGOQNOKSA-N 0 3 324.449 4.306 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2c(c1)COC2)C(C)(C)C ZINC000934287254 1038581773 /nfs/dbraw/zinc/58/17/73/1038581773.db2.gz CLSQPYKSHAOODA-LJQANCHMSA-N 0 3 310.441 4.297 20 0 DIADHN FC(F)(F)Oc1cc(Cl)ccc1CN1CC2CC(C2)C1 ZINC001143436548 1038583652 /nfs/dbraw/zinc/58/36/52/1038583652.db2.gz OGBVTONIWZVROA-UHFFFAOYSA-N 0 3 305.727 4.080 20 0 DIADHN CSc1ccc(CCNCc2nc3c(s2)CCCC3)cc1 ZINC000684767418 1038591868 /nfs/dbraw/zinc/59/18/68/1038591868.db2.gz JDBNZSYRVISPLR-UHFFFAOYSA-N 0 3 318.511 4.076 20 0 DIADHN Cc1cc(F)c(CNC2CCN(c3cccs3)CC2)c(F)c1 ZINC000643767518 1038596658 /nfs/dbraw/zinc/59/66/58/1038596658.db2.gz LGJVDDHRGKJALG-UHFFFAOYSA-N 0 3 322.424 4.093 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2ccccc2-n2ccnc2)C1 ZINC000152232552 1038597149 /nfs/dbraw/zinc/59/71/49/1038597149.db2.gz MHVJYNHUKFBVLU-KRWDZBQOSA-N 0 3 321.424 4.269 20 0 DIADHN C[C@@H](NCc1ccccc1-n1ccnc1)c1cc(F)cc(F)c1 ZINC000152246064 1038597746 /nfs/dbraw/zinc/59/77/46/1038597746.db2.gz DWJQOLOXXJWHGA-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2C[C@H](c3ccccc3F)C2)c(C)s1 ZINC000684773901 1038598250 /nfs/dbraw/zinc/59/82/50/1038598250.db2.gz LODNHHYZHONRHD-LERXQTSPSA-N 0 3 304.434 4.496 20 0 DIADHN COc1ccc(C2(NCc3cc4c(cccc4C)[nH]3)CC2)cc1 ZINC000657356838 1038599004 /nfs/dbraw/zinc/59/90/04/1038599004.db2.gz SXRNZXKMMXJWJW-UHFFFAOYSA-N 0 3 306.409 4.264 20 0 DIADHN C[C@@H](NCc1ccccc1-n1ccnc1)c1cc2ccccc2o1 ZINC000152266629 1038600727 /nfs/dbraw/zinc/60/07/27/1038600727.db2.gz ZTWJTCPRBVBZOU-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CC(C)(NCc1cccc2c1OC(C)(C)C2)c1cccs1 ZINC000606470239 1038606672 /nfs/dbraw/zinc/60/66/72/1038606672.db2.gz UXYOWABMAZIGJP-UHFFFAOYSA-N 0 3 301.455 4.487 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000582204061 1038613598 /nfs/dbraw/zinc/61/35/98/1038613598.db2.gz DQNOYBBXAOFCIN-GJZGRUSLSA-N 0 3 314.395 4.195 20 0 DIADHN CCc1ccccc1CNCc1cncc(Br)c1C ZINC000657372868 1038618390 /nfs/dbraw/zinc/61/83/90/1038618390.db2.gz ZFSTVXREWVYVIT-UHFFFAOYSA-N 0 3 319.246 4.005 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](CCCO)c3ccccc3)cc21 ZINC000657372977 1038618416 /nfs/dbraw/zinc/61/84/16/1038618416.db2.gz NBJMJUVYRQJLHP-LJQANCHMSA-N 0 3 308.425 4.080 20 0 DIADHN Cc1c[nH]c(CNCC[C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000657381312 1038623431 /nfs/dbraw/zinc/62/34/31/1038623431.db2.gz BPKZXHLJWFHHEJ-NSHDSACASA-N 0 3 311.351 4.020 20 0 DIADHN CCOc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c(C)c1 ZINC000657386415 1038627711 /nfs/dbraw/zinc/62/77/11/1038627711.db2.gz BHEUEFRFWZYIBT-GOSISDBHSA-N 0 3 315.461 4.004 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1coc(-c2ccccc2OC)n1 ZINC001167562173 1038630686 /nfs/dbraw/zinc/63/06/86/1038630686.db2.gz IBOSOIPGLJFGJP-CQSZACIVSA-N 0 3 302.418 4.218 20 0 DIADHN O=C(CCC1CCCC1)N(CCN1CCCC1)c1ccccc1 ZINC000606815647 1038631223 /nfs/dbraw/zinc/63/12/23/1038631223.db2.gz SSWCGMSEGGMDOR-UHFFFAOYSA-N 0 3 314.473 4.086 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1cccc(Cl)c1 ZINC000582317860 1038631510 /nfs/dbraw/zinc/63/15/10/1038631510.db2.gz MWEDCXWXTLNFTD-GOSISDBHSA-N 0 3 303.833 4.113 20 0 DIADHN CC[C@H](C)CN(CC)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001167563666 1038634187 /nfs/dbraw/zinc/63/41/87/1038634187.db2.gz XGLAOJDNORMBGT-ZDUSSCGKSA-N 0 3 307.388 4.019 20 0 DIADHN CO[C@H](C)c1nc(CNC2CCC(C3CCC3)CC2)cs1 ZINC000657391834 1038634258 /nfs/dbraw/zinc/63/42/58/1038634258.db2.gz OLZAVHQKPDJFPS-LRVUVFPRSA-N 0 3 308.491 4.299 20 0 DIADHN CCOc1cc(C)ccc1CNCc1cc2ccncc2s1 ZINC000657393559 1038635040 /nfs/dbraw/zinc/63/50/40/1038635040.db2.gz WATLVURDGAEXGT-UHFFFAOYSA-N 0 3 312.438 4.293 20 0 DIADHN c1ccc2c(c1)nccc2NCc1ccc(-c2ccncc2)cc1 ZINC000606895010 1038636027 /nfs/dbraw/zinc/63/60/27/1038636027.db2.gz SOJGQJPPEOIFCA-UHFFFAOYSA-N 0 3 311.388 4.331 20 0 DIADHN Cc1nc(CNCCc2cccc(-c3ccccc3)c2)[nH]c1C ZINC000657396953 1038636767 /nfs/dbraw/zinc/63/67/67/1038636767.db2.gz FZEJIGZTELGPMA-UHFFFAOYSA-N 0 3 305.425 4.026 20 0 DIADHN Cc1cnn(CCCCCN2CCc3c(Cl)cccc3C2)c1 ZINC000606896899 1038638020 /nfs/dbraw/zinc/63/80/20/1038638020.db2.gz ATUIAHOZLLFJFD-UHFFFAOYSA-N 0 3 317.864 4.074 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000606900486 1038638555 /nfs/dbraw/zinc/63/85/55/1038638555.db2.gz DZMBHBQZWIGCEC-OAHLLOKOSA-N 0 3 303.381 4.030 20 0 DIADHN COc1ccc(CNCCc2cc3ccccc3o2)c(Cl)c1 ZINC000606912845 1038639373 /nfs/dbraw/zinc/63/93/73/1038639373.db2.gz KKWQSJOVAFYBAW-UHFFFAOYSA-N 0 3 315.800 4.427 20 0 DIADHN Clc1cccc(C2(NCc3cnc4n3CCC4)CCCC2)c1 ZINC000657398974 1038639421 /nfs/dbraw/zinc/63/94/21/1038639421.db2.gz QFJVFKNNLJMIDH-UHFFFAOYSA-N 0 3 315.848 4.042 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1c(C3CCC3)cnn1C)CCC2 ZINC000657421698 1038659994 /nfs/dbraw/zinc/65/99/94/1038659994.db2.gz INBVJLHSGRFCAT-LJQANCHMSA-N 0 3 309.457 4.163 20 0 DIADHN COCc1csc(CN[C@@H]2CCCc3cc(F)ccc32)c1 ZINC000657423738 1038660824 /nfs/dbraw/zinc/66/08/24/1038660824.db2.gz LHOKMFZWKDGTCQ-QGZVFWFLSA-N 0 3 305.418 4.201 20 0 DIADHN Cc1c[nH]c(CN[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)n1 ZINC000657423681 1038661306 /nfs/dbraw/zinc/66/13/06/1038661306.db2.gz LJNLTWQNCCUAPZ-UKRRQHHQSA-N 0 3 323.362 4.163 20 0 DIADHN C[C@H]1CN(Cc2ccc([Si](C)(C)C)cc2)[C@H]1c1ccccc1 ZINC001167575611 1038672211 /nfs/dbraw/zinc/67/22/11/1038672211.db2.gz FAUNUAIHWCNHEG-OXJNMPFZSA-N 0 3 309.529 4.425 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NC(=O)c1cccc(CN(C)C)c1 ZINC000607385297 1038673615 /nfs/dbraw/zinc/67/36/15/1038673615.db2.gz ALSJSSUQOPAVPL-PKOBYXMFSA-N 0 3 316.489 4.083 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)OCCCO3)s1 ZINC000049161794 1038674033 /nfs/dbraw/zinc/67/40/33/1038674033.db2.gz GMUSALWFZSWEIY-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1F)c1ccc(Cl)s1 ZINC000049129230 1038674262 /nfs/dbraw/zinc/67/42/62/1038674262.db2.gz ARJHWBGDUGVJSF-GZMMTYOYSA-N 0 3 317.788 4.064 20 0 DIADHN Fc1ccc(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c(F)c1F ZINC000657436194 1038675113 /nfs/dbraw/zinc/67/51/13/1038675113.db2.gz PRRWHIAUWLTUOH-GOEBONIOSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)c(C)c1 ZINC000607366402 1038675478 /nfs/dbraw/zinc/67/54/78/1038675478.db2.gz SOTMWFXLCCNKKC-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN c1csc(CN(CCc2ccccn2)Cc2cccs2)c1 ZINC000073021565 1038679833 /nfs/dbraw/zinc/67/98/33/1038679833.db2.gz PWBZFRGLAZZUIT-UHFFFAOYSA-N 0 3 314.479 4.450 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1ccc(C)cc1OC ZINC000119859001 1038681845 /nfs/dbraw/zinc/68/18/45/1038681845.db2.gz OPZPIOFERZNKFW-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000657453239 1038693434 /nfs/dbraw/zinc/69/34/34/1038693434.db2.gz TYWSUMJSAOQAPT-WBVHZDCISA-N 0 3 301.409 4.029 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H](NCc2cnn3c2CCC3)C1 ZINC000657459386 1038699168 /nfs/dbraw/zinc/69/91/68/1038699168.db2.gz ZQRUFDIESYOLHM-SFHVURJKSA-N 0 3 309.457 4.023 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NCc1ccc(-c2cccs2)cc1 ZINC000657464189 1038701753 /nfs/dbraw/zinc/70/17/53/1038701753.db2.gz PVQOLKYKJFEZLS-QGZVFWFLSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1c[nH]c(CN[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)n1 ZINC000657465161 1038703993 /nfs/dbraw/zinc/70/39/93/1038703993.db2.gz WNWSEDLRKPSFIB-HUUCEWRRSA-N 0 3 323.362 4.163 20 0 DIADHN CCOCCCN1CCC[C@H]1c1cccc(Br)c1 ZINC000607727279 1038725245 /nfs/dbraw/zinc/72/52/45/1038725245.db2.gz WGUHURWOSWUVDA-HNNXBMFYSA-N 0 3 312.251 4.013 20 0 DIADHN COCc1csc(CNCc2ccc3sccc3c2)c1 ZINC000657467753 1038705251 /nfs/dbraw/zinc/70/52/51/1038705251.db2.gz ACLSSRAWFUPBKE-UHFFFAOYSA-N 0 3 303.452 4.399 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)c(Cl)c2)CC(C)(C)C1 ZINC001168423427 1038711362 /nfs/dbraw/zinc/71/13/62/1038711362.db2.gz JXLHPINZPHCPOY-NHYWBVRUSA-N 0 3 316.272 4.395 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc3nccn32)cc1 ZINC000342675877 1038715138 /nfs/dbraw/zinc/71/51/38/1038715138.db2.gz CLLLMNPYULQENB-IBGZPJMESA-N 0 3 321.424 4.070 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c(c1)ncn2C ZINC000342675841 1038716296 /nfs/dbraw/zinc/71/62/96/1038716296.db2.gz NTJHHNSQVTWJES-LBPRGKRZSA-N 0 3 315.367 4.045 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H]2c2cccc(C)c2C)c1 ZINC000607735945 1038718507 /nfs/dbraw/zinc/71/85/07/1038718507.db2.gz OPKGWWSMBGLNIF-HXUWFJFHSA-N 0 3 322.452 4.387 20 0 DIADHN Cc1ccccc1[C@@H]1CN([C@@H]2CCCc3ccccc32)CCO1 ZINC000607745993 1038719497 /nfs/dbraw/zinc/71/94/97/1038719497.db2.gz CHWOZKFRDJYLHP-RTWAWAEBSA-N 0 3 307.437 4.446 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1 ZINC000684930945 1038719856 /nfs/dbraw/zinc/71/98/56/1038719856.db2.gz OMWBAKBNGLXWEU-SHTZXODSSA-N 0 3 312.482 4.366 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000607742534 1038720084 /nfs/dbraw/zinc/72/00/84/1038720084.db2.gz LTABUKDOXBLQSH-STQMWFEESA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@H](C)CCC[C@@H]1C ZINC000607742589 1038720377 /nfs/dbraw/zinc/72/03/77/1038720377.db2.gz RRTPXITXTBJCAR-CVEARBPZSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1ccccc1[C@@H]1CN([C@H]2CCCc3ccccc32)CCO1 ZINC000607745994 1038720988 /nfs/dbraw/zinc/72/09/88/1038720988.db2.gz CHWOZKFRDJYLHP-SFTDATJTSA-N 0 3 307.437 4.446 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2CC(=O)N(C(C)C)C(C)C)cc1 ZINC000607741460 1038721136 /nfs/dbraw/zinc/72/11/36/1038721136.db2.gz CVAVZGKOTPNPTE-IBGZPJMESA-N 0 3 316.489 4.167 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1C[C@@H](C)CCC[C@H]1C ZINC000607742687 1038721226 /nfs/dbraw/zinc/72/12/26/1038721226.db2.gz GADJTPHYDWDYFY-QWHCGFSZSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cc2ccncc2s1 ZINC000657490475 1038723442 /nfs/dbraw/zinc/72/34/42/1038723442.db2.gz KQPHKEMRUJLOMT-UHFFFAOYSA-N 0 3 311.454 4.279 20 0 DIADHN Cc1ccc(CNCc2ccnn2CC2CC2)c2ccccc12 ZINC000657491172 1038723951 /nfs/dbraw/zinc/72/39/51/1038723951.db2.gz RWSQEDOPGJZDLF-UHFFFAOYSA-N 0 3 305.425 4.045 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(N(C)c2ccccc2)CC1 ZINC001167590657 1038728130 /nfs/dbraw/zinc/72/81/30/1038728130.db2.gz ZPLZHGWMTJHUKZ-UHFFFAOYSA-N 0 3 312.432 4.235 20 0 DIADHN Cc1nc(CNCCCc2c(Cl)cccc2Cl)[nH]c1C ZINC000657504679 1038735713 /nfs/dbraw/zinc/73/57/13/1038735713.db2.gz KBBMIBGGDDVUOM-UHFFFAOYSA-N 0 3 312.244 4.056 20 0 DIADHN c1ccc([C@H](C[C@H]2CCOC2)N[C@H]2CCCc3cccnc32)cc1 ZINC000684959628 1038744267 /nfs/dbraw/zinc/74/42/67/1038744267.db2.gz DQZBYMTWVZTTDH-UXPWSPDFSA-N 0 3 322.452 4.217 20 0 DIADHN FC1(F)CC(NCc2cccc(OCc3ccccc3)c2)C1 ZINC000277771997 1038749327 /nfs/dbraw/zinc/74/93/27/1038749327.db2.gz YEIIXDPWGWXNDM-UHFFFAOYSA-N 0 3 303.352 4.153 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2nc(C3CC3)cs2)cc1 ZINC000342766800 1038763468 /nfs/dbraw/zinc/76/34/68/1038763468.db2.gz XNSWKRPVIAKJSF-MRXNPFEDSA-N 0 3 316.445 4.367 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1F)Oc1ccccc1Cl ZINC000608065528 1038763672 /nfs/dbraw/zinc/76/36/72/1038763672.db2.gz YXKGDEXABXXGEC-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc([C@@H]3C[C@@H]3C)o2)C12CCCC2 ZINC000608072957 1038765126 /nfs/dbraw/zinc/76/51/26/1038765126.db2.gz IDKOYNHJQBFKPO-UNQWWWNPSA-N 0 3 303.446 4.230 20 0 DIADHN CCN(Cc1ccc(F)cc1F)[C@H](COC)Cc1ccccc1 ZINC001167601299 1038765643 /nfs/dbraw/zinc/76/56/43/1038765643.db2.gz ASVYIJHOYAJKNX-SFHVURJKSA-N 0 3 319.395 4.044 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)NCc1csc(COC)n1 ZINC000608057459 1038768432 /nfs/dbraw/zinc/76/84/32/1038768432.db2.gz LJLSLVIZZZSXOC-AWEZNQCLSA-N 0 3 324.877 4.054 20 0 DIADHN C[C@]12CCCC[C@H]1CN2Cc1ccc(-c2ncco2)cc1F ZINC000626103891 1038774428 /nfs/dbraw/zinc/77/44/28/1038774428.db2.gz VOTJKGCIWGSYPX-YJBOKZPZSA-N 0 3 300.377 4.245 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000075021759 1038784479 /nfs/dbraw/zinc/78/44/79/1038784479.db2.gz WLKVRNLXWANZJG-RYUDHWBXSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000075768825 1038828377 /nfs/dbraw/zinc/82/83/77/1038828377.db2.gz APIXLOGUDLBYFR-CJNGLKHVSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000075768826 1038828483 /nfs/dbraw/zinc/82/84/83/1038828483.db2.gz APIXLOGUDLBYFR-BBRMVZONSA-N 0 3 302.443 4.476 20 0 DIADHN O[C@@H](c1ccc(Cl)cc1)C1CCN(CCC(F)(F)F)CC1 ZINC000077284961 1038863274 /nfs/dbraw/zinc/86/32/74/1038863274.db2.gz NEBKLRPYTZSLQQ-AWEZNQCLSA-N 0 3 321.770 4.038 20 0 DIADHN Clc1cccc(CN2CC[C@H](COCc3ccccc3)C2)c1 ZINC000608501182 1038867573 /nfs/dbraw/zinc/86/75/73/1038867573.db2.gz UMZFNWFOYIZADR-SFHVURJKSA-N 0 3 315.844 4.379 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C(F)(F)F)c(C)c1)N(CC)CC ZINC000608557832 1038883459 /nfs/dbraw/zinc/88/34/59/1038883459.db2.gz VORNBCLXKICAKC-AWEZNQCLSA-N 0 3 316.367 4.073 20 0 DIADHN Cc1ccncc1CCNCc1csc(Cl)c1Cl ZINC000657588621 1038891261 /nfs/dbraw/zinc/89/12/61/1038891261.db2.gz MHGOEXJEFGATPL-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN C[C@@H](N(C)CC(=O)Nc1ccc(Cl)cc1Cl)C(C)(C)C ZINC000027132624 1038893229 /nfs/dbraw/zinc/89/32/29/1038893229.db2.gz AFIYQHLQJJOMGA-SNVBAGLBSA-N 0 3 317.260 4.298 20 0 DIADHN CC(C)[C@@H](NCc1ccc(-n2ccnc2)cc1)c1ccccc1F ZINC000657614943 1038912883 /nfs/dbraw/zinc/91/28/83/1038912883.db2.gz LCKGIUFKTQOZCH-HXUWFJFHSA-N 0 3 323.415 4.498 20 0 DIADHN COC(=O)c1coc(CN2CCCCC[C@@H]2c2ccccc2)c1 ZINC000608832412 1038929153 /nfs/dbraw/zinc/92/91/53/1038929153.db2.gz OBFRQGRIANYZON-GOSISDBHSA-N 0 3 313.397 4.184 20 0 DIADHN Oc1cc(CN[C@@H]2CCc3ccccc32)cc(C(F)(F)F)c1 ZINC000657643291 1038941217 /nfs/dbraw/zinc/94/12/17/1038941217.db2.gz ZXAGSTXIDOAMJT-MRXNPFEDSA-N 0 3 307.315 4.188 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1cccc(N(C)C)c1 ZINC000119851602 1038950753 /nfs/dbraw/zinc/95/07/53/1038950753.db2.gz BZEURCJXOOXYBY-CYBMUJFWSA-N 0 3 317.502 4.492 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCOc3c(C)cc(C)cc32)s1 ZINC000119885638 1038952391 /nfs/dbraw/zinc/95/23/91/1038952391.db2.gz RFRXPAGHBGIWBJ-MRXNPFEDSA-N 0 3 316.470 4.380 20 0 DIADHN C[C@@]1(CNCc2ccc(Cl)cc2Br)CC1(F)F ZINC000657664045 1038966962 /nfs/dbraw/zinc/96/69/62/1038966962.db2.gz HGVUFYJESBFCPM-NSHDSACASA-N 0 3 324.596 4.237 20 0 DIADHN C[C@@]1(CNCc2ccc(-c3ccncc3)cc2F)CC1(F)F ZINC000657663140 1038967824 /nfs/dbraw/zinc/96/78/24/1038967824.db2.gz BGRMWARAIOFURX-INIZCTEOSA-N 0 3 306.331 4.023 20 0 DIADHN Cc1cc(CN(CCO)Cc2ccccc2)cc(C(F)(F)F)c1 ZINC001143448023 1038970402 /nfs/dbraw/zinc/97/04/02/1038970402.db2.gz KPZDQAYAYIYMBO-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN CCCOc1c(CNC[C@@]2(C)CC2(F)F)cccc1OCC ZINC000657670291 1038982802 /nfs/dbraw/zinc/98/28/02/1038982802.db2.gz SODASNPUNCIWRO-MRXNPFEDSA-N 0 3 313.388 4.009 20 0 DIADHN C[C@]1(CCNCc2csc(-c3ccccc3)n2)CC1(F)F ZINC000657671469 1038983028 /nfs/dbraw/zinc/98/30/28/1038983028.db2.gz PEBOESBZNGUVGO-HNNXBMFYSA-N 0 3 308.397 4.335 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](c1ncccc1C)C(C)C)CC2 ZINC000120505326 1038995821 /nfs/dbraw/zinc/99/58/21/1038995821.db2.gz FDUXKZSSHJVYSJ-RBUKOAKNSA-N 0 3 310.441 4.373 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)C(C)C ZINC000120647218 1039004459 /nfs/dbraw/zinc/00/44/59/1039004459.db2.gz AZXPVPDPZYSLAO-KBXCAEBGSA-N 0 3 312.413 4.167 20 0 DIADHN O[C@H]1CCC[C@@H](CNCc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000230670327 1039038959 /nfs/dbraw/zinc/03/89/59/1039038959.db2.gz TVDNWAJMZOGYKP-ZJUUUORDSA-N 0 3 322.663 4.288 20 0 DIADHN CCCCOc1ccc(CN[C@H]2CCc3ccc(O)cc32)cc1 ZINC000657692454 1039013435 /nfs/dbraw/zinc/01/34/35/1039013435.db2.gz VISWMPCIGYPTGR-FQEVSTJZSA-N 0 3 311.425 4.348 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(OC(F)(F)F)cc1)CC2 ZINC000657692157 1039018568 /nfs/dbraw/zinc/01/85/68/1039018568.db2.gz OARXSRUUMOYDDU-INIZCTEOSA-N 0 3 323.314 4.068 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC[C@@H]1c1ccccc1 ZINC000534333441 1039032941 /nfs/dbraw/zinc/03/29/41/1039032941.db2.gz XYJLUYAGRVSFCX-OAQYLSRUSA-N 0 3 317.436 4.269 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2cccc(Cl)c2)C[C@H](C)S1 ZINC000534349932 1039034002 /nfs/dbraw/zinc/03/40/02/1039034002.db2.gz WRAVGTFLUIWAHB-TXEJJXNPSA-N 0 3 321.877 4.056 20 0 DIADHN CC(C)(C)c1ccc([C@@H]2CCCN2Cc2ccc(CO)o2)cc1 ZINC000534336859 1039037148 /nfs/dbraw/zinc/03/71/48/1039037148.db2.gz PVYRHMYHGOMDEM-IBGZPJMESA-N 0 3 313.441 4.407 20 0 DIADHN O[C@@H]1CCC[C@H](CNCc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000230670332 1039038677 /nfs/dbraw/zinc/03/86/77/1039038677.db2.gz TVDNWAJMZOGYKP-VHSXEESVSA-N 0 3 322.663 4.288 20 0 DIADHN Clc1ccc(Cl)c(CN2CC[C@H](C3CCOCC3)C2)c1 ZINC000534381475 1039049436 /nfs/dbraw/zinc/04/94/36/1039049436.db2.gz VPJPXUDLNGBSKF-ZDUSSCGKSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2C(=O)C[C@@H](N)c2ccccc2)cc1 ZINC000237900523 1039055265 /nfs/dbraw/zinc/05/52/65/1039055265.db2.gz FOZXSLJFBRFVIC-UXHICEINSA-N 0 3 322.452 4.139 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2C(=O)C[C@H](N)c2ccccc2)cc1 ZINC000237900586 1039057342 /nfs/dbraw/zinc/05/73/42/1039057342.db2.gz FOZXSLJFBRFVIC-PMACEKPBSA-N 0 3 322.452 4.139 20 0 DIADHN CCCCCN[C@@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000007378838 1039093508 /nfs/dbraw/zinc/09/35/08/1039093508.db2.gz RATRJYZSDGJRFZ-LJQANCHMSA-N 0 3 310.441 4.455 20 0 DIADHN CCC(CC)N[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000007388760 1039095873 /nfs/dbraw/zinc/09/58/73/1039095873.db2.gz WTUNRXOLUMUCCQ-SFHVURJKSA-N 0 3 314.404 4.284 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(O)c1)c1ccc(Cl)cc1 ZINC000035257420 1039130740 /nfs/dbraw/zinc/13/07/40/1039130740.db2.gz CBQYVXFXEABNNG-HNNXBMFYSA-N 0 3 305.805 4.295 20 0 DIADHN CCOCc1cc(CN(Cc2ccco2)C(C)C)ccc1OC ZINC000349831116 1039140650 /nfs/dbraw/zinc/14/06/50/1039140650.db2.gz JQARZEFQBCORRM-UHFFFAOYSA-N 0 3 317.429 4.235 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C)[C@@H]1C)c1ccc2ccccc2c1 ZINC000525638263 1039144379 /nfs/dbraw/zinc/14/43/79/1039144379.db2.gz AITIQEUKAUHCTK-ULQDDVLXSA-N 0 3 324.468 4.137 20 0 DIADHN CC(=O)NCCCCCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC000929486492 1039146416 /nfs/dbraw/zinc/14/64/16/1039146416.db2.gz WVTGJFCLUZDEDW-CQSZACIVSA-N 0 3 322.880 4.172 20 0 DIADHN Fc1ccc(COC2CCN(Cc3ccc(F)cc3)CC2)cc1 ZINC000091869365 1039153193 /nfs/dbraw/zinc/15/31/93/1039153193.db2.gz UYQMLUGBIZXMJC-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN CC[C@@H](C)NCc1c(C)nn(-c2cc(C)ccc2C)c1Cl ZINC000743503712 1039155341 /nfs/dbraw/zinc/15/53/41/1039155341.db2.gz IIDZVLBTGNWTIQ-CYBMUJFWSA-N 0 3 305.853 4.339 20 0 DIADHN Clc1ccccc1CN1CCN(CCCC2CCCC2)CC1 ZINC001167655819 1039162869 /nfs/dbraw/zinc/16/28/69/1039162869.db2.gz BKWIIUDGWKTNNI-UHFFFAOYSA-N 0 3 320.908 4.428 20 0 DIADHN Cc1ccc(NC(=O)Cc2cccc(C(C)C)c2)c(CN(C)C)c1 ZINC000457587264 1039170472 /nfs/dbraw/zinc/17/04/72/1039170472.db2.gz ZSTAHYKPKIRPKU-UHFFFAOYSA-N 0 3 324.468 4.361 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(CCc3ccccc3)CC2)cn1 ZINC000428457002 1039173281 /nfs/dbraw/zinc/17/32/81/1039173281.db2.gz GUBYAYNTZQNVRB-QGZVFWFLSA-N 0 3 309.457 4.191 20 0 DIADHN Cc1cc(CN2CCc3ccccc3[C@@H]2Cc2ccccc2)on1 ZINC000535290644 1039173477 /nfs/dbraw/zinc/17/34/77/1039173477.db2.gz URFWNZRYYCUJMC-NRFANRHFSA-N 0 3 318.420 4.325 20 0 DIADHN Cc1ccccc1CN1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000535316511 1039187558 /nfs/dbraw/zinc/18/75/58/1039187558.db2.gz ITLZZJFGSUYURP-SFHVURJKSA-N 0 3 318.424 4.117 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105029 1039198500 /nfs/dbraw/zinc/19/85/00/1039198500.db2.gz UGAFVBYENKSRAD-QZTJIDSGSA-N 0 3 301.817 4.041 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCCC23CCCCC3)n1 ZINC000535383112 1039201268 /nfs/dbraw/zinc/20/12/68/1039201268.db2.gz MIXGMTIMGYBRQI-UHFFFAOYSA-N 0 3 309.457 4.230 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc(Cl)cc1)c1ccccc1 ZINC000657742020 1039211550 /nfs/dbraw/zinc/21/15/50/1039211550.db2.gz KHWVTNIYYCYTTD-ROUUACIJSA-N 0 3 303.833 4.188 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)C1CCC(C)(C)CC1 ZINC001167660103 1039211714 /nfs/dbraw/zinc/21/17/14/1039211714.db2.gz ZIYJQGIDQVTGTK-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)c(C)s1 ZINC000657745725 1039233265 /nfs/dbraw/zinc/23/32/65/1039233265.db2.gz KBNRLEOJCFKQOG-MSOLQXFVSA-N 0 3 303.471 4.213 20 0 DIADHN CC[C@H](NCc1ccnc(OCC(F)(F)F)c1)c1ccccc1 ZINC000155209543 1039241477 /nfs/dbraw/zinc/24/14/77/1039241477.db2.gz TTXFFWSINYDDHS-HNNXBMFYSA-N 0 3 324.346 4.264 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000657746734 1039241898 /nfs/dbraw/zinc/24/18/98/1039241898.db2.gz NDDVQBBGXMECBD-MSOLQXFVSA-N 0 3 319.395 4.472 20 0 DIADHN CC(C)C[C@H](NCc1ccc(OC(C)C)nc1)c1ccncc1 ZINC000647283073 1039244682 /nfs/dbraw/zinc/24/46/82/1039244682.db2.gz IZPWFOPRPPKHEP-SFHVURJKSA-N 0 3 313.445 4.141 20 0 DIADHN Cc1cccc(CN(C)Cc2cn(C)nc2-c2ccccc2)c1 ZINC000539407450 1039254642 /nfs/dbraw/zinc/25/46/42/1039254642.db2.gz HOGKTSHNANMWHC-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2cc3c(s2)CCC3)c1 ZINC000155467472 1039257664 /nfs/dbraw/zinc/25/76/64/1039257664.db2.gz QRLQRHAAJUILHP-LBPRGKRZSA-N 0 3 314.454 4.046 20 0 DIADHN COc1cccc(C2(NCc3ccc(OC(C)C)cc3)CC2)c1 ZINC000150896172 1039271748 /nfs/dbraw/zinc/27/17/48/1039271748.db2.gz YSAWGKCIIGSJMZ-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCO2)c2ccc(Cl)cc2)cc1 ZINC000491259942 1039275595 /nfs/dbraw/zinc/27/55/95/1039275595.db2.gz MYPLVGCVDVNILW-QZTJIDSGSA-N 0 3 319.807 4.337 20 0 DIADHN CO[C@@H](C)c1nc(CNC[C@H](C)CCc2ccccc2)cs1 ZINC000539513304 1039279553 /nfs/dbraw/zinc/27/95/53/1039279553.db2.gz WCEFKFDELMHWAF-CABCVRRESA-N 0 3 318.486 4.209 20 0 DIADHN CN1Cc2cc(Nc3ccnc(C(C)(C)C)c3)ccc2NC1=O ZINC001213168523 1039293261 /nfs/dbraw/zinc/29/32/61/1039293261.db2.gz KREXWXYZOKEMNR-UHFFFAOYSA-N 0 3 310.401 4.100 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2n(n1)CCCC2)C(C)(C)c1ccccc1 ZINC000647287886 1039293968 /nfs/dbraw/zinc/29/39/68/1039293968.db2.gz XANZQAUYVXBCHH-JKSUJKDBSA-N 0 3 311.473 4.236 20 0 DIADHN Cc1ncc(CN[C@@H](C)Cc2ccc(-c3ccccc3)cc2)o1 ZINC000628205437 1039301727 /nfs/dbraw/zinc/30/17/27/1039301727.db2.gz OTGWQIRYJIZJON-HNNXBMFYSA-N 0 3 306.409 4.371 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl)c1cn(C)cn1 ZINC000926489002 1039316431 /nfs/dbraw/zinc/31/64/31/1039316431.db2.gz MBPBPFKASCLRCI-COPLHBTASA-N 0 3 324.255 4.181 20 0 DIADHN Cc1ccoc1CN1CCC(c2nc(-c3ccccc3)no2)CC1 ZINC000093104148 1039318420 /nfs/dbraw/zinc/31/84/20/1039318420.db2.gz IXCVMDWSOZHWHZ-UHFFFAOYSA-N 0 3 323.396 4.018 20 0 DIADHN CC[C@H](NCC[S@@](=O)C(C)(C)C)c1cccc(Cl)c1F ZINC000161697031 1039318673 /nfs/dbraw/zinc/31/86/73/1039318673.db2.gz MVHUXCPIFGWRQZ-RBZFPXEDSA-N 0 3 319.873 4.067 20 0 DIADHN CCCn1cc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)cn1 ZINC000462540844 1039323464 /nfs/dbraw/zinc/32/34/64/1039323464.db2.gz ZLCNMJVGIKVCCB-QUCCMNQESA-N 0 3 311.473 4.342 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccsc1)c1cccc(Cl)c1F ZINC000161706042 1039323692 /nfs/dbraw/zinc/32/36/92/1039323692.db2.gz AHQIOSCUZNKDND-KGLIPLIRSA-N 0 3 313.825 4.315 20 0 DIADHN O=C(CCN1CCC(c2ccccc2F)CC1)c1ccccc1 ZINC000539715788 1039325585 /nfs/dbraw/zinc/32/55/85/1039325585.db2.gz UAXVFXFMVZDHDL-UHFFFAOYSA-N 0 3 311.400 4.278 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1c(C)cccc1Cl)C1CC1 ZINC000536039874 1039338546 /nfs/dbraw/zinc/33/85/46/1039338546.db2.gz SEUYLDOSNYFXNM-TZMCWYRMSA-N 0 3 322.880 4.486 20 0 DIADHN COc1ccccc1/C=C/CN1CC[C@@H](c2ccccc2OC)C1 ZINC000539817832 1039343180 /nfs/dbraw/zinc/34/31/80/1039343180.db2.gz DWFVWFUATOZSHG-PDFOZTTASA-N 0 3 323.436 4.207 20 0 DIADHN CC1(C)CN(Cc2c[nH]nc2-c2ccc(Cl)cc2)CCS1 ZINC000539829504 1039357335 /nfs/dbraw/zinc/35/73/35/1039357335.db2.gz VGSDEHHSYSLDDH-UHFFFAOYSA-N 0 3 321.877 4.058 20 0 DIADHN CC(C)CCO[C@@H]1CCN(Cc2ccc(F)c3cccnc23)C1 ZINC000539837476 1039364742 /nfs/dbraw/zinc/36/47/42/1039364742.db2.gz FFJXBOCAXAQSFA-MRXNPFEDSA-N 0 3 316.420 4.011 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](C)c1ccc(Br)cc1 ZINC000278304423 1039366942 /nfs/dbraw/zinc/36/69/42/1039366942.db2.gz AGXIAGQGHOIJGT-SNVBAGLBSA-N 0 3 323.209 4.142 20 0 DIADHN CN(Cc1cc(Cl)ccc1OC1CCCC1)C[C@H]1CCOC1 ZINC000539862653 1039374431 /nfs/dbraw/zinc/37/44/31/1039374431.db2.gz NRFDRVMWPRVYEN-CQSZACIVSA-N 0 3 323.864 4.130 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](N[C@@H](C)c1ccsc1)CC2 ZINC000669850656 1039383668 /nfs/dbraw/zinc/38/36/68/1039383668.db2.gz DGYUDVDYZTYSAF-NHYWBVRUSA-N 0 3 303.427 4.103 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000539898898 1039385897 /nfs/dbraw/zinc/38/58/97/1039385897.db2.gz KEXGCWJMFWAMJD-LBPRGKRZSA-N 0 3 319.392 4.145 20 0 DIADHN CC(C)CC(=O)CCN1CCC[C@@H](c2nc3ccccc3o2)C1 ZINC000828021189 1039388449 /nfs/dbraw/zinc/38/84/49/1039388449.db2.gz NGSCNUGHFCWXQK-OAHLLOKOSA-N 0 3 314.429 4.013 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccsc1 ZINC000669851201 1039393809 /nfs/dbraw/zinc/39/38/09/1039393809.db2.gz XHXXFIFOTPHFRN-VXGBXAGGSA-N 0 3 314.454 4.390 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H](C)c1ccc(C)nc1C ZINC000669852929 1039401797 /nfs/dbraw/zinc/40/17/97/1039401797.db2.gz GDLYVUWGZNXSFK-SCLBCKFNSA-N 0 3 314.404 4.184 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)CN2CCC[C@@H]2C2CC2)cc1 ZINC000728572195 1039403888 /nfs/dbraw/zinc/40/38/88/1039403888.db2.gz XWBUDQQOOZNLBX-RDTXWAMCSA-N 0 3 300.446 4.013 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@@]3(CCSC3)C2)s1 ZINC000449737829 1039411114 /nfs/dbraw/zinc/41/11/14/1039411114.db2.gz ZUZYIOCWFKLSDQ-MRXNPFEDSA-N 0 3 310.532 4.061 20 0 DIADHN COc1ccc([C@@H](OC)[C@@H](C)NCc2ccccc2Cl)cc1 ZINC000540065960 1039413021 /nfs/dbraw/zinc/41/30/21/1039413021.db2.gz ARUKEVXTNIEWOJ-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN c1coc(CCCNCc2csc(-c3ccsc3)n2)c1 ZINC000657779321 1039416085 /nfs/dbraw/zinc/41/60/85/1039416085.db2.gz AVTGKFVXMSVGNG-UHFFFAOYSA-N 0 3 304.440 4.187 20 0 DIADHN Clc1ccc(OCCCN(Cc2ccccn2)C2CC2)cc1 ZINC000540100025 1039418112 /nfs/dbraw/zinc/41/81/12/1039418112.db2.gz ONLIPWNLAZBBNA-UHFFFAOYSA-N 0 3 316.832 4.169 20 0 DIADHN Cc1cc([C@@H](NCc2ccc(F)nc2C)C2CC2)ccc1F ZINC000278368282 1039420272 /nfs/dbraw/zinc/42/02/72/1039420272.db2.gz JSYZUWCEHGFTHG-SFHVURJKSA-N 0 3 302.368 4.218 20 0 DIADHN COc1ccc([C@H](NCc2ccc(F)nc2C)C(C)C)cc1 ZINC000278370094 1039422161 /nfs/dbraw/zinc/42/21/61/1039422161.db2.gz KFYKVJPTMIZSRJ-GOSISDBHSA-N 0 3 302.393 4.025 20 0 DIADHN COc1cccc(C2(NCc3ccc(F)c(F)c3)CCC2)c1 ZINC000657780913 1039422499 /nfs/dbraw/zinc/42/24/99/1039422499.db2.gz DQSSBENPYNZYJR-UHFFFAOYSA-N 0 3 303.352 4.142 20 0 DIADHN CCCCCn1cc(CN[C@@H]2c3ccccc3C[C@@H]2C)c(C)n1 ZINC000540139454 1039426990 /nfs/dbraw/zinc/42/69/90/1039426990.db2.gz QCRCOJALWPHQBA-YWZLYKJASA-N 0 3 311.473 4.405 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccccc1)c1cc(F)ccc1F ZINC000540155084 1039432720 /nfs/dbraw/zinc/43/27/20/1039432720.db2.gz HXGAZTWYMRXRRZ-MJXNMMHHSA-N 0 3 316.395 4.061 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3cc(C)ccc32)c(C)c1 ZINC000540164835 1039438859 /nfs/dbraw/zinc/43/88/59/1039438859.db2.gz NYHYWCUHDOYTLR-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN COC(C)(C)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000540185510 1039446718 /nfs/dbraw/zinc/44/67/18/1039446718.db2.gz QQKFFPUGCWCEPE-VIFPVBQESA-N 0 3 308.274 4.447 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000780381120 1039450790 /nfs/dbraw/zinc/45/07/90/1039450790.db2.gz ZKOPHOXASJJUIL-ZKYQVNSYSA-N 0 3 321.770 4.036 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1c(C2CCC2)cnn1C ZINC000657796088 1039470098 /nfs/dbraw/zinc/47/00/98/1039470098.db2.gz BWPCVSHTJXCIMQ-LJQANCHMSA-N 0 3 309.457 4.163 20 0 DIADHN CCCCn1cc(CN[C@@H]2c3cc(F)ccc3C[C@H]2C)c(C)n1 ZINC000540321870 1039479138 /nfs/dbraw/zinc/47/91/38/1039479138.db2.gz ZWTSLMFTITUNBP-YJYMSZOUSA-N 0 3 315.436 4.154 20 0 DIADHN COc1cccc(CNCc2ccc(Br)cc2)c1C ZINC000657802239 1039491706 /nfs/dbraw/zinc/49/17/06/1039491706.db2.gz YGTGGDLTBLBOEE-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN COc1cccc(CNCc2ccc(C(F)(F)F)s2)c1C ZINC000657802720 1039493751 /nfs/dbraw/zinc/49/37/51/1039493751.db2.gz ACAQJQPMCZJMJH-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN Cc1cc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c2ccccc2n1 ZINC000540479105 1039502457 /nfs/dbraw/zinc/50/24/57/1039502457.db2.gz VCMSUJPWUFGTHO-IBGZPJMESA-N 0 3 322.456 4.154 20 0 DIADHN C/C(=C/Cl)CSCCCN1CCN(c2ccccc2)CC1 ZINC001307334947 1039502969 /nfs/dbraw/zinc/50/29/69/1039502969.db2.gz YFFKTUBGWPCVIY-PEZBUJJGSA-N 0 3 324.921 4.075 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](c3ccc(F)c(C)c3)C3CC3)n2c1 ZINC000536163973 1039507648 /nfs/dbraw/zinc/50/76/48/1039507648.db2.gz TZXCKIPAIUOKOF-HXUWFJFHSA-N 0 3 323.415 4.331 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1ccc2c(c1)ncn2C ZINC000540507821 1039510682 /nfs/dbraw/zinc/51/06/82/1039510682.db2.gz PFFIDCITNGMINA-UHFFFAOYSA-N 0 3 321.449 4.420 20 0 DIADHN COCc1cc(CN[C@@H](C)c2cc3c(s2)CCC3)ccc1F ZINC000151206585 1039514362 /nfs/dbraw/zinc/51/43/62/1039514362.db2.gz IRLDSNBUYZHQSF-LBPRGKRZSA-N 0 3 319.445 4.373 20 0 DIADHN Cc1nc(F)ccc1CNCc1cccc(COC(C)(C)C)c1 ZINC000278526945 1039528316 /nfs/dbraw/zinc/52/83/16/1039528316.db2.gz RCTOPIBRYOMNMU-UHFFFAOYSA-N 0 3 316.420 4.134 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1C)c1ccccc1 ZINC000278533577 1039533781 /nfs/dbraw/zinc/53/37/81/1039533781.db2.gz UKPPXBKDZFTALQ-KXBFYZLASA-N 0 3 315.461 4.201 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cnn(C(C)C)c1C)c1ccccc1 ZINC000278533576 1039533835 /nfs/dbraw/zinc/53/38/35/1039533835.db2.gz UKPPXBKDZFTALQ-HNAYVOBHSA-N 0 3 315.461 4.201 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cnn(C(C)C)c1C)c1ccccc1 ZINC000278533574 1039533866 /nfs/dbraw/zinc/53/38/66/1039533866.db2.gz UKPPXBKDZFTALQ-DNVCBOLYSA-N 0 3 315.461 4.201 20 0 DIADHN CC(C)[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cc2ccccc2o1 ZINC000540644271 1039535289 /nfs/dbraw/zinc/53/52/89/1039535289.db2.gz HWDSEYFVRSINLF-IOMROCGXSA-N 0 3 321.420 4.378 20 0 DIADHN CCn1nccc1CN[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000540687178 1039543020 /nfs/dbraw/zinc/54/30/20/1039543020.db2.gz HHSCGIWDDVVGBT-KDOFPFPSSA-N 0 3 309.457 4.293 20 0 DIADHN COc1ccc(C2(N[C@@H](C)c3ccccc3N(C)C)CC2)cc1 ZINC000540723207 1039549080 /nfs/dbraw/zinc/54/90/80/1039549080.db2.gz GDGJKUWWBPDPMR-HNNXBMFYSA-N 0 3 310.441 4.101 20 0 DIADHN CC[C@H](NC1(c2ccc(OC)cc2)CC1)c1cccc(OC)c1 ZINC000540723940 1039552805 /nfs/dbraw/zinc/55/28/05/1039552805.db2.gz RFDNXUMBONKIAW-IBGZPJMESA-N 0 3 311.425 4.434 20 0 DIADHN CCc1ccc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000540749536 1039559640 /nfs/dbraw/zinc/55/96/40/1039559640.db2.gz JQVNYZHXTUGMMM-AWEZNQCLSA-N 0 3 309.409 4.318 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2cccnc2)c(Cl)c1 ZINC000584025730 1039566913 /nfs/dbraw/zinc/56/69/13/1039566913.db2.gz UXJONAJXRMSTJF-QGZVFWFLSA-N 0 3 304.796 4.254 20 0 DIADHN Cc1nc(F)ccc1CN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC000278587894 1039570872 /nfs/dbraw/zinc/57/08/72/1039570872.db2.gz ZDFHWQZYWBBXDQ-ADLMAVQZSA-N 0 3 302.368 4.081 20 0 DIADHN COc1cccc(CN[C@H]2CCCOc3cc(C)c(C)cc32)c1 ZINC000158648993 1039571084 /nfs/dbraw/zinc/57/10/84/1039571084.db2.gz JXYQERLNVALAGF-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN Clc1ccc([C@@H](N[C@@H]2CCCn3nccc32)C2CC2)cc1 ZINC000657823720 1039582207 /nfs/dbraw/zinc/58/22/07/1039582207.db2.gz AJJRFYKTBAUWKV-WBVHZDCISA-N 0 3 301.821 4.112 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1cc(F)cc(C(F)(F)F)c1 ZINC000584064135 1039588491 /nfs/dbraw/zinc/58/84/91/1039588491.db2.gz PTRVTJRUEQCOSC-INIZCTEOSA-N 0 3 324.321 4.204 20 0 DIADHN COc1ccc([C@@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657825118 1039590279 /nfs/dbraw/zinc/59/02/79/1039590279.db2.gz MEMPKEAUONVDBN-QUCCMNQESA-N 0 3 323.436 4.086 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)c(C)o1 ZINC000278623939 1039601392 /nfs/dbraw/zinc/60/13/92/1039601392.db2.gz GJTIWOGBOCUQBH-GJZGRUSLSA-N 0 3 309.413 4.494 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(OC)c(F)c2)cc1 ZINC000151621347 1039609558 /nfs/dbraw/zinc/60/95/58/1039609558.db2.gz ULGOKNAMLWOTKZ-AWEZNQCLSA-N 0 3 317.404 4.222 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1ccccc1F ZINC000151658569 1039624085 /nfs/dbraw/zinc/62/40/85/1039624085.db2.gz UKFKZBILURTGQF-LSDHHAIUSA-N 0 3 309.388 4.423 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000541227915 1039637373 /nfs/dbraw/zinc/63/73/73/1039637373.db2.gz DDYDVFAZNLESKK-ZJNRKIDTSA-N 0 3 321.823 4.318 20 0 DIADHN Cc1nn(C)cc1CN1CCCCC[C@@H]1/C=C/c1cccs1 ZINC000541248480 1039649575 /nfs/dbraw/zinc/64/95/75/1039649575.db2.gz XWXWIGSYYLIIJK-OAGJVSPASA-N 0 3 315.486 4.248 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C[C@H]3CC=CCC3)o2)cc1 ZINC000541315773 1039662519 /nfs/dbraw/zinc/66/25/19/1039662519.db2.gz KQHQMWFUVSBWRI-HNNXBMFYSA-N 0 3 312.413 4.138 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N(C)C[C@H]1CC=CCC1 ZINC000541325903 1039664340 /nfs/dbraw/zinc/66/43/40/1039664340.db2.gz SAIQFZVFPWKUSX-GJZGRUSLSA-N 0 3 320.864 4.264 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000541325905 1039665077 /nfs/dbraw/zinc/66/50/77/1039665077.db2.gz SAIQFZVFPWKUSX-HUUCEWRRSA-N 0 3 320.864 4.264 20 0 DIADHN CCc1noc(C)c1CN1C[C@@H](C)C[C@@H]1c1cccc(OC)c1 ZINC000541322049 1039665394 /nfs/dbraw/zinc/66/53/94/1039665394.db2.gz DKZWPHRPSLBRNV-ORAYPTAESA-N 0 3 314.429 4.137 20 0 DIADHN CC[C@H](NCCSc1ccc(C)cc1)c1ccc(F)cn1 ZINC000491316178 1039673229 /nfs/dbraw/zinc/67/32/29/1039673229.db2.gz KTGJUZWSVLZAQF-INIZCTEOSA-N 0 3 304.434 4.362 20 0 DIADHN CSc1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC000541391060 1039676559 /nfs/dbraw/zinc/67/65/59/1039676559.db2.gz SMVVMOHDHVSAGP-UONOGXRCSA-N 0 3 320.502 4.104 20 0 DIADHN O[C@H](CCc1ccccc1)CNCc1ccc(-c2ccccc2)o1 ZINC000541384251 1039677895 /nfs/dbraw/zinc/67/78/95/1039677895.db2.gz REBZCVDDHZOPKU-LJQANCHMSA-N 0 3 321.420 4.030 20 0 DIADHN C[C@@H]1OCC[C@@]1(C)NCc1ccc(-c2ccccc2Cl)o1 ZINC000541385275 1039679152 /nfs/dbraw/zinc/67/91/52/1039679152.db2.gz BOKDZMZPZYMBTC-YVEFUNNKSA-N 0 3 305.805 4.257 20 0 DIADHN CCc1cnc(CN2CCC[C@H]2CCc2ccccc2)s1 ZINC000541537923 1039694276 /nfs/dbraw/zinc/69/42/76/1039694276.db2.gz VVUCWXJSJYVWCS-INIZCTEOSA-N 0 3 300.471 4.303 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657846494 1039696151 /nfs/dbraw/zinc/69/61/51/1039696151.db2.gz YIKHDTKTZXHTST-MLGOLLRUSA-N 0 3 306.356 4.058 20 0 DIADHN C[C@H](N[C@H](c1cccs1)c1ccc(F)cc1)[C@H]1CCCO1 ZINC000541543654 1039697677 /nfs/dbraw/zinc/69/76/77/1039697677.db2.gz QLCLEIWDLMOAPF-MJEQTWJJSA-N 0 3 305.418 4.134 20 0 DIADHN C[C@H](CN[C@H](c1ccco1)c1ccccc1)N1CCC[C@@H](C)C1 ZINC000541546835 1039698091 /nfs/dbraw/zinc/69/80/91/1039698091.db2.gz VSFHMJXERHFJSA-HLIPFELVSA-N 0 3 312.457 4.079 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657850662 1039699115 /nfs/dbraw/zinc/69/91/15/1039699115.db2.gz JYAXAPKRVFBWGU-LBPRGKRZSA-N 0 3 306.356 4.269 20 0 DIADHN COc1cccc(CN(C)Cc2cc(C)c3ncccc3c2)c1 ZINC000541536804 1039700075 /nfs/dbraw/zinc/70/00/75/1039700075.db2.gz OPQBFOKVQBREMO-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3F)cc1OC ZINC000081181381 1039701529 /nfs/dbraw/zinc/70/15/29/1039701529.db2.gz VFZNMEBNWWMOSC-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCC(=O)Nc3ccccc32)cc1 ZINC000541547997 1039701969 /nfs/dbraw/zinc/70/19/69/1039701969.db2.gz AIEJZZDRMIRTFL-SFHVURJKSA-N 0 3 324.424 4.039 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3F)cc1OC ZINC000081181378 1039703096 /nfs/dbraw/zinc/70/30/96/1039703096.db2.gz VFZNMEBNWWMOSC-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@H](NCc1nc(-c2ccoc2)no1)[C@@H](C)C1CCCCC1 ZINC000541601959 1039715238 /nfs/dbraw/zinc/71/52/38/1039715238.db2.gz YBCBPGBWXBKZSZ-OLZOCXBDSA-N 0 3 303.406 4.024 20 0 DIADHN COCC[C@@H](NCCSc1ccc(F)cc1)c1ccc(C)o1 ZINC000541648230 1039721604 /nfs/dbraw/zinc/72/16/04/1039721604.db2.gz FAIHPGQOCILUAO-MRXNPFEDSA-N 0 3 323.433 4.187 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657854974 1039748062 /nfs/dbraw/zinc/74/80/62/1039748062.db2.gz ZHIJHHUHFIKFLX-OALUTQOASA-N 0 3 311.400 4.216 20 0 DIADHN CCN(Cc1ncc(C)o1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000464770382 1039752242 /nfs/dbraw/zinc/75/22/42/1039752242.db2.gz JUMKGGWIZBRDKE-QGZVFWFLSA-N 0 3 304.409 4.211 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(C(F)F)nc1 ZINC000657857901 1039756184 /nfs/dbraw/zinc/75/61/84/1039756184.db2.gz XCHWDFHATPHOHG-BMIGLBTASA-N 0 3 306.331 4.496 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2ccccc2F)cc1 ZINC000086279360 1039757579 /nfs/dbraw/zinc/75/75/79/1039757579.db2.gz LHHPOISTRHBZTF-CQSZACIVSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@@H](O)[C@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC000136821897 1039773626 /nfs/dbraw/zinc/77/36/26/1039773626.db2.gz WGBIVXAAUREYMJ-HIFRSBDPSA-N 0 3 319.445 4.147 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)c1 ZINC000089613678 1039777363 /nfs/dbraw/zinc/77/73/63/1039777363.db2.gz LGPOFXYFOFLOKF-YRVVQQKDSA-N 0 3 308.425 4.229 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)c1 ZINC000089613674 1039778066 /nfs/dbraw/zinc/77/80/66/1039778066.db2.gz LGPOFXYFOFLOKF-PJSUUKDQSA-N 0 3 308.425 4.229 20 0 DIADHN OCc1cccc(CN[C@@H]2CCc3c2cc(Cl)cc3Cl)c1 ZINC000089701631 1039780210 /nfs/dbraw/zinc/78/02/10/1039780210.db2.gz CSXFDLIONJNNCC-QGZVFWFLSA-N 0 3 322.235 4.263 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccccc3n2C(F)F)[C@@H]2CCCC[C@H]21 ZINC000542041861 1039783694 /nfs/dbraw/zinc/78/36/94/1039783694.db2.gz UOTZBHCAUYPODM-VNHYZAJKSA-N 0 3 319.399 4.442 20 0 DIADHN C[C@@H]1CN(Cc2ncc(-c3cccs3)o2)[C@@H]2CCCC[C@@H]21 ZINC000542050993 1039787163 /nfs/dbraw/zinc/78/71/63/1039787163.db2.gz GKGSYUKBBYGVDZ-MGPQQGTHSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccc(F)cn1 ZINC000090956515 1039808480 /nfs/dbraw/zinc/80/84/80/1039808480.db2.gz NJWZMPJHJQHTAU-NSHDSACASA-N 0 3 322.786 4.396 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccc(F)cn1 ZINC000090956516 1039810172 /nfs/dbraw/zinc/81/01/72/1039810172.db2.gz NJWZMPJHJQHTAU-LLVKDONJSA-N 0 3 322.786 4.396 20 0 DIADHN Cc1c(C)c(C)c(CN[C@@H]2CCCc3c2cnn3C)c(C)c1C ZINC000090581061 1039811699 /nfs/dbraw/zinc/81/16/99/1039811699.db2.gz LCACHHFSPNBWON-LJQANCHMSA-N 0 3 311.473 4.129 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](C)c1ccc(SC)cc1 ZINC000090846133 1039818164 /nfs/dbraw/zinc/81/81/64/1039818164.db2.gz CURSDPFDXPDLQY-ZDUSSCGKSA-N 0 3 317.502 4.118 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCCc1cccc(F)c1F ZINC000542252208 1039819083 /nfs/dbraw/zinc/81/90/83/1039819083.db2.gz AAOCMEQUXJVXCD-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CO)c2ccc(CC)cc2)s1 ZINC000090844379 1039819806 /nfs/dbraw/zinc/81/98/06/1039819806.db2.gz DZGKEKPIYOWFML-GUYCJALGSA-N 0 3 303.471 4.257 20 0 DIADHN CCn1nc(C)c(CN[C@H](c2ccc(OC)cc2)C(C)C)c1C ZINC000091318447 1039842672 /nfs/dbraw/zinc/84/26/72/1039842672.db2.gz SIXWYLWQZAGEAQ-IBGZPJMESA-N 0 3 315.461 4.015 20 0 DIADHN FC1(F)CCCC[C@@H](CNCc2ccn(-c3ccccc3)n2)C1 ZINC000491579055 1039862495 /nfs/dbraw/zinc/86/24/95/1039862495.db2.gz MOSHUBCJHMHBQF-OAHLLOKOSA-N 0 3 319.399 4.178 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(F)cn1)Oc1ccccc1Cl ZINC000092766579 1039885345 /nfs/dbraw/zinc/88/53/45/1039885345.db2.gz WSVYZMRCRZLSNN-TZMCWYRMSA-N 0 3 322.811 4.382 20 0 DIADHN COc1c(O)cccc1CN(Cc1ccccc1)[C@@H](C)C1CC1 ZINC000491876013 1039887337 /nfs/dbraw/zinc/88/73/37/1039887337.db2.gz NBJHOIQHFFMKCQ-HNNXBMFYSA-N 0 3 311.425 4.202 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)[C@@H](OC)c1ccccc1 ZINC000491931299 1039892936 /nfs/dbraw/zinc/89/29/36/1039892936.db2.gz JUPVDMDKPYPORZ-SCLBCKFNSA-N 0 3 319.832 4.214 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cnc(-c2ccc(C)o2)s1 ZINC000093761546 1039903021 /nfs/dbraw/zinc/90/30/21/1039903021.db2.gz UDNCUVXLOXJAIP-LBPRGKRZSA-N 0 3 308.472 4.039 20 0 DIADHN CCC[C@H](O)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000491993769 1039904862 /nfs/dbraw/zinc/90/48/62/1039904862.db2.gz AYYURVXTQBXFBI-ROUUACIJSA-N 0 3 303.833 4.180 20 0 DIADHN C[C@H]1C[C@@H](NCc2c(Cl)ccc(Cl)c2Cl)CCO1 ZINC000219909111 1039905831 /nfs/dbraw/zinc/90/58/31/1039905831.db2.gz YERYWMKHGIWCJB-IUCAKERBSA-N 0 3 308.636 4.304 20 0 DIADHN FC(F)(F)c1cccc([C@H](NCc2ccncc2)C2CC2)c1 ZINC000094015039 1039909493 /nfs/dbraw/zinc/90/94/93/1039909493.db2.gz ZYJZXRJKJGZVJY-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1ccc(Cl)cc1)c1ccco1 ZINC000151923287 1039910276 /nfs/dbraw/zinc/91/02/76/1039910276.db2.gz RJICHUSZANLXFS-HZPDHXFCSA-N 0 3 305.805 4.021 20 0 DIADHN COc1ccc(O)c([C@H](C)NCc2cc(F)ccc2Cl)c1 ZINC000189332138 1039911917 /nfs/dbraw/zinc/91/19/17/1039911917.db2.gz TYLTYQMFOYNIQH-JTQLQIEISA-N 0 3 309.768 4.044 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1CCOc2ccc(F)cc21 ZINC000492090196 1039919054 /nfs/dbraw/zinc/91/90/54/1039919054.db2.gz YSLLISUSYWULPK-XVSDVWIESA-N 0 3 315.388 4.015 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1CCOc2ccc(F)cc21 ZINC000492090190 1039919720 /nfs/dbraw/zinc/91/97/20/1039919720.db2.gz YSLLISUSYWULPK-IRWQIABSSA-N 0 3 315.388 4.015 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)[C@@H]1OCCc2sccc21 ZINC000492155987 1039928002 /nfs/dbraw/zinc/92/80/02/1039928002.db2.gz WZNFDYDZSRSBJW-OTYXRUKQSA-N 0 3 313.875 4.255 20 0 DIADHN CCC[C@H](O)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000492179221 1039930227 /nfs/dbraw/zinc/93/02/27/1039930227.db2.gz NVBHIMFPNPUWBC-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cc(C)cc(C(F)(F)F)c1 ZINC001143455799 1039941354 /nfs/dbraw/zinc/94/13/54/1039941354.db2.gz YZLBENALDYZLMA-MRXNPFEDSA-N 0 3 315.379 4.405 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@@H]1CC(C)(C)C ZINC000141508054 1039943108 /nfs/dbraw/zinc/94/31/08/1039943108.db2.gz AWPBIVJYWBBFHG-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN COCC[C@@H](C)CN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926577811 1039988284 /nfs/dbraw/zinc/98/82/84/1039988284.db2.gz LCHJMEDEUMMTPE-KDOFPFPSSA-N 0 3 318.848 4.087 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3ccc(F)cc32)cc1F ZINC000175008117 1040000938 /nfs/dbraw/zinc/00/09/38/1040000938.db2.gz ICCOUCQXHVBODH-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@H](C1CC1)N(Cc1ncc(-c2cccc(F)c2)o1)C1CC1 ZINC000175095077 1040008938 /nfs/dbraw/zinc/00/89/38/1040008938.db2.gz QERWYYNBBDLPNG-GFCCVEGCSA-N 0 3 300.377 4.244 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCC[C@H]4CCC[C@@H]43)o2)c1 ZINC000175268275 1040020242 /nfs/dbraw/zinc/02/02/42/1040020242.db2.gz VUGVDFPJZYHOHX-CJNGLKHVSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1cccc(Cl)c1Cl ZINC000657889511 1040026682 /nfs/dbraw/zinc/02/66/82/1040026682.db2.gz YOYWUHNVTHVAMD-GHMZBOCLSA-N 0 3 300.229 4.356 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1cccc(Cl)c1Cl ZINC000657889520 1040027011 /nfs/dbraw/zinc/02/70/11/1040027011.db2.gz YOYWUHNVTHVAMD-WDEREUQCSA-N 0 3 300.229 4.356 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000492894886 1040036788 /nfs/dbraw/zinc/03/67/88/1040036788.db2.gz SQHOXWWEFCHWSM-AWEZNQCLSA-N 0 3 306.356 4.288 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN1CCCOC[C@@H]1C ZINC000685418870 1040038522 /nfs/dbraw/zinc/03/85/22/1040038522.db2.gz QJYHPFFNCCGCMZ-SSUFTNFISA-N 0 3 313.363 4.220 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2cc3ccncc3s2)s1 ZINC000657890774 1040041918 /nfs/dbraw/zinc/04/19/18/1040041918.db2.gz QBAQHYBGNKJIDH-VIFPVBQESA-N 0 3 303.456 4.220 20 0 DIADHN Cc1cc(CN2C[C@H](C(F)(F)F)CC[C@H]2C)cc(C)c1O ZINC000685426926 1040044272 /nfs/dbraw/zinc/04/42/72/1040044272.db2.gz UMJFJWDKPUHGKQ-TZMCWYRMSA-N 0 3 301.352 4.172 20 0 DIADHN Cc1cc(CN2C[C@@H](C(F)(F)F)CC[C@H]2C)cc(C)c1O ZINC000685426925 1040044502 /nfs/dbraw/zinc/04/45/02/1040044502.db2.gz UMJFJWDKPUHGKQ-OCCSQVGLSA-N 0 3 301.352 4.172 20 0 DIADHN Cc1cccnc1CCNCc1cc(Cl)sc1Cl ZINC000404898579 1040049087 /nfs/dbraw/zinc/04/90/87/1040049087.db2.gz VPHWGHXIKMIEQM-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN c1ccc(OCc2ccncc2)c(CN2CCC3(CCC3)CC2)c1 ZINC000685444375 1040049537 /nfs/dbraw/zinc/04/95/37/1040049537.db2.gz XOTHDPPIPJDIMQ-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN CC[C@H](CN[C@H](CC)c1ccc(F)cn1)Oc1cccc(F)c1 ZINC000493008539 1040056969 /nfs/dbraw/zinc/05/69/69/1040056969.db2.gz KXKAXOIGYVYTGD-NVXWUHKLSA-N 0 3 320.383 4.258 20 0 DIADHN CC(C)Oc1ccc(CN2CCC3(C2)CCOCC3)cc1Cl ZINC000493005438 1040057518 /nfs/dbraw/zinc/05/75/18/1040057518.db2.gz RWZTXDNOYSRSMT-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN CC[C@H]1C[C@H](CN2CCCn3c(cc4ccccc43)C2)CCO1 ZINC000685473762 1040070538 /nfs/dbraw/zinc/07/05/38/1040070538.db2.gz CPRZYRXOVBYTIC-APWZRJJASA-N 0 3 312.457 4.052 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(Oc2cccnc2)cc1 ZINC000685474354 1040075991 /nfs/dbraw/zinc/07/59/91/1040075991.db2.gz YFNDBYWDNNVXIE-OAHLLOKOSA-N 0 3 320.392 4.430 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(C(=O)C(C)(C)C)CC1(C)C ZINC001168447319 1040079016 /nfs/dbraw/zinc/07/90/16/1040079016.db2.gz GYJQYFAZBITKIZ-MRXNPFEDSA-N 0 3 310.526 4.172 20 0 DIADHN CCOC1CCC(NCc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000657901046 1040096748 /nfs/dbraw/zinc/09/67/48/1040096748.db2.gz VGSTWEBGTWRJGB-UHFFFAOYSA-N 0 3 314.429 4.087 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1ccc(-c2cccnc2)cc1 ZINC000657899889 1040097336 /nfs/dbraw/zinc/09/73/36/1040097336.db2.gz YFKBMKFEEVBPQS-BEFAXECRSA-N 0 3 318.424 4.131 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657905427 1040109924 /nfs/dbraw/zinc/10/99/24/1040109924.db2.gz KKZRFKFWSMNEGK-NHYWBVRUSA-N 0 3 318.367 4.366 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccc(Br)cc1F ZINC000310203858 1040112600 /nfs/dbraw/zinc/11/26/00/1040112600.db2.gz ICCPGEBQRLYBCA-SNVBAGLBSA-N 0 3 320.271 4.380 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCCC(C)(C)C2)c1 ZINC000176926465 1040124321 /nfs/dbraw/zinc/12/43/21/1040124321.db2.gz KSFCSWHGZPDGQU-UHFFFAOYSA-N 0 3 306.475 4.082 20 0 DIADHN Cc1nc(C)c(CN(CC(C)C)[C@H]2CCCc3cccnc32)o1 ZINC000685573155 1040137971 /nfs/dbraw/zinc/13/79/71/1040137971.db2.gz MEVCBUNVVDWAPK-KRWDZBQOSA-N 0 3 313.445 4.222 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCCC[C@@H]2Oc2cccc(C)c2)o1 ZINC000685573196 1040139818 /nfs/dbraw/zinc/13/98/18/1040139818.db2.gz UIHRAGGGOYTQDS-ROUUACIJSA-N 0 3 314.429 4.080 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3cccc(Cl)c3)CC2)o1 ZINC000685580415 1040142283 /nfs/dbraw/zinc/14/22/83/1040142283.db2.gz OZPZOWRJHMLJGJ-UHFFFAOYSA-N 0 3 304.821 4.324 20 0 DIADHN C[C@@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@@H]1C ZINC000177901355 1040145734 /nfs/dbraw/zinc/14/57/34/1040145734.db2.gz JMDVESIQNYQDCA-HZPDHXFCSA-N 0 3 308.425 4.022 20 0 DIADHN Cc1c[nH]nc1CNCC(C)(C)c1c(Cl)cccc1Cl ZINC000685615229 1040159541 /nfs/dbraw/zinc/15/95/41/1040159541.db2.gz LRZLRACUJHCPRM-UHFFFAOYSA-N 0 3 312.244 4.092 20 0 DIADHN FCC1(CF)CC(N[C@@H]2COc3c2ccc(Cl)c3Cl)C1 ZINC000657921190 1040171876 /nfs/dbraw/zinc/17/18/76/1040171876.db2.gz BSMVCXXJZSZLQX-LLVKDONJSA-N 0 3 322.182 4.104 20 0 DIADHN c1csc([C@H](Cc2ccccc2)N[C@@H]2CCCn3nccc32)c1 ZINC000657919435 1040173683 /nfs/dbraw/zinc/17/36/83/1040173683.db2.gz RWSQFLAVLXWFAU-SJORKVTESA-N 0 3 323.465 4.353 20 0 DIADHN CC[C@@H](NCc1csc(C)c1)c1ccc(OC)c(OC)c1 ZINC000294340423 1040176369 /nfs/dbraw/zinc/17/63/69/1040176369.db2.gz YLGANSODJPWSRQ-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN Cc1cc([C@@H](N[C@H](C)CCO)c2cccc(Cl)c2)c(C)s1 ZINC000685647758 1040184254 /nfs/dbraw/zinc/18/42/54/1040184254.db2.gz ILGRAGIMRXWNNT-DIFFPNOSSA-N 0 3 323.889 4.468 20 0 DIADHN CCc1ccc([C@H](NCc2cc(OC)ns2)C(C)C)cc1 ZINC000399235906 1040187776 /nfs/dbraw/zinc/18/77/76/1040187776.db2.gz BHQWDKYTYVVHED-QGZVFWFLSA-N 0 3 304.459 4.201 20 0 DIADHN Cc1cccc([C@@H](NC2CC(CF)(CF)C2)c2ccccn2)c1 ZINC000657934718 1040191795 /nfs/dbraw/zinc/19/17/95/1040191795.db2.gz FRYIKDJWBYIHDK-GOSISDBHSA-N 0 3 316.395 4.157 20 0 DIADHN Cc1cccc([C@H](NC2CC(CF)(CF)C2)c2ccccn2)c1 ZINC000657934719 1040191950 /nfs/dbraw/zinc/19/19/50/1040191950.db2.gz FRYIKDJWBYIHDK-SFHVURJKSA-N 0 3 316.395 4.157 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936986 1040195148 /nfs/dbraw/zinc/19/51/48/1040195148.db2.gz SKRIKHSGJMXDEW-PKOBYXMFSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000657938080 1040198741 /nfs/dbraw/zinc/19/87/41/1040198741.db2.gz WXHMOMDUIQBQQD-ZBEGNZNMSA-N 0 3 303.356 4.216 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3C)cc(N2CCCC2)n1 ZINC000685661340 1040201236 /nfs/dbraw/zinc/20/12/36/1040201236.db2.gz GVXKCHJAUOBNGL-HXUWFJFHSA-N 0 3 321.468 4.076 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1CCC(C2CC2)CC1 ZINC000611981168 1040211482 /nfs/dbraw/zinc/21/14/82/1040211482.db2.gz DLDOAGNJIDTJDS-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccncc2s1)c1cncc(F)c1 ZINC000657969408 1040224527 /nfs/dbraw/zinc/22/45/27/1040224527.db2.gz ORHCVUBXCYZBTB-MNOVXSKESA-N 0 3 301.390 4.242 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc(OC(F)F)cc2)cn1 ZINC000892415221 1040225315 /nfs/dbraw/zinc/22/53/15/1040225315.db2.gz DMSNATXRSLPRCZ-UHFFFAOYSA-N 0 3 320.383 4.171 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2ccc(C)s2)C(C)C)c1 ZINC000101545500 1040231677 /nfs/dbraw/zinc/23/16/77/1040231677.db2.gz KCXPQFMYIDNNEN-UHFFFAOYSA-N 0 3 316.470 4.426 20 0 DIADHN CCN(Cc1cccc(NC(C)=O)c1)[C@H](C)c1ccc(F)cc1 ZINC000101545012 1040232762 /nfs/dbraw/zinc/23/27/62/1040232762.db2.gz HIORQUYNQVUXPR-CQSZACIVSA-N 0 3 314.404 4.367 20 0 DIADHN Cc1cccc(-c2ccc(CN[C@@H]3CCCn4nccc43)cc2)c1 ZINC000657975154 1040237074 /nfs/dbraw/zinc/23/70/74/1040237074.db2.gz WZTOLHWBUPGNIN-HXUWFJFHSA-N 0 3 317.436 4.483 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC(C2CCOCC2)CC1 ZINC000093705173 1040248606 /nfs/dbraw/zinc/24/86/06/1040248606.db2.gz JMZIRZCWNSYPCR-UHFFFAOYSA-N 0 3 320.502 4.049 20 0 DIADHN FC(F)(F)c1cccc([C@H](NCc2cccnc2)C2CC2)c1 ZINC000094015206 1040249621 /nfs/dbraw/zinc/24/96/21/1040249621.db2.gz PILAPYLYTGKAPM-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H]1COC2(CCC2)C1 ZINC000657993432 1040255446 /nfs/dbraw/zinc/25/54/46/1040255446.db2.gz HUZVAHFKJWQLEB-DZGCQCFKSA-N 0 3 313.363 4.290 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657995004 1040255863 /nfs/dbraw/zinc/25/58/63/1040255863.db2.gz XYTOXVCPUJHBLN-GUYCJALGSA-N 0 3 320.383 4.448 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1cc2cnccc2o1 ZINC000658032610 1040277373 /nfs/dbraw/zinc/27/73/73/1040277373.db2.gz UWSTVMMWNWASBW-WMLDXEAASA-N 0 3 321.424 4.450 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccnc2N2CCCC2)c(C)c1 ZINC000658099729 1040303294 /nfs/dbraw/zinc/30/32/94/1040303294.db2.gz FLSJHWLPEYPQHL-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN CC[C@H](NCc1cccnc1N1CCCC1)c1ccc(C)cc1 ZINC000658099653 1040304830 /nfs/dbraw/zinc/30/48/30/1040304830.db2.gz DVCWZLAUQUNSGS-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1ncc(Br)s1 ZINC000658113965 1040305341 /nfs/dbraw/zinc/30/53/41/1040305341.db2.gz JWUIYIBOIBRJQE-IUCAKERBSA-N 0 3 305.285 4.381 20 0 DIADHN CC[C@@H](NCc1ccc(-c2cccs2)cc1)c1cnn(C)c1 ZINC000658117345 1040308147 /nfs/dbraw/zinc/30/81/47/1040308147.db2.gz UMMFRUJYTCHVGH-QGZVFWFLSA-N 0 3 311.454 4.390 20 0 DIADHN C[C@H]1C[C@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658105243 1040314412 /nfs/dbraw/zinc/31/44/12/1040314412.db2.gz GVQRAGZQEUWSCI-KXBFYZLASA-N 0 3 307.441 4.020 20 0 DIADHN COC[C@H](N[C@H]1C[C@H](C)c2ccccc21)c1cccc(OC)c1 ZINC000658161047 1040332810 /nfs/dbraw/zinc/33/28/10/1040332810.db2.gz IRIWPDHVBNVSJO-GKCIPKSASA-N 0 3 311.425 4.221 20 0 DIADHN COC[C@@H](N[C@H](C)c1sccc1C)c1cccc(OC)c1 ZINC000658164159 1040340461 /nfs/dbraw/zinc/34/04/61/1040340461.db2.gz MTTUEXMOVAXCCE-CZUORRHYSA-N 0 3 305.443 4.103 20 0 DIADHN C[C@H](NCCO[C@H]1CC1(F)F)c1cc2cc(Cl)ccc2o1 ZINC000658169671 1040342769 /nfs/dbraw/zinc/34/27/69/1040342769.db2.gz OMYAOWAHZGATFF-XPTSAGLGSA-N 0 3 315.747 4.161 20 0 DIADHN Cc1cc([C@H](NCCC(C)(C)F)c2cccnc2)ccc1F ZINC000658190784 1040347984 /nfs/dbraw/zinc/34/79/84/1040347984.db2.gz BGXBLTBDORRXHN-KRWDZBQOSA-N 0 3 304.384 4.346 20 0 DIADHN COC[C@H](NCC[C@]1(C)CC1(F)F)c1cccc(Cl)c1F ZINC000658218991 1040358143 /nfs/dbraw/zinc/35/81/43/1040358143.db2.gz GMKWRNRJSMLJSV-GXTWGEPZSA-N 0 3 321.770 4.192 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H]1CCC(C)(C)c2ccccc21 ZINC000658213820 1040372578 /nfs/dbraw/zinc/37/25/78/1040372578.db2.gz UMUYMXVICOEQFK-GOSISDBHSA-N 0 3 309.457 4.200 20 0 DIADHN CC(C)[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(Cl)cc1 ZINC000658213766 1040373303 /nfs/dbraw/zinc/37/33/03/1040373303.db2.gz UGZTWYJHUWGVDE-GOSISDBHSA-N 0 3 317.864 4.438 20 0 DIADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccc(-c2ccccc2)cn1 ZINC000658216558 1040375090 /nfs/dbraw/zinc/37/50/90/1040375090.db2.gz RVHQQUYKZLSGBL-MDZRGWNJSA-N 0 3 310.441 4.357 20 0 DIADHN CC[C@H](NCc1c(C2CC2)cnn1C)c1cccc(Cl)c1 ZINC000658216036 1040376550 /nfs/dbraw/zinc/37/65/50/1040376550.db2.gz OBXWZWYBLPZNQX-INIZCTEOSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(O)cc21)c1ccc(OC(F)F)cc1 ZINC000658237804 1040377543 /nfs/dbraw/zinc/37/75/43/1040377543.db2.gz DYIJWFFUZHHNOF-PIGZYNQJSA-N 0 3 319.351 4.332 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(O)cc21)c1ccc(OC(F)F)cc1 ZINC000658237797 1040381166 /nfs/dbraw/zinc/38/11/66/1040381166.db2.gz DYIJWFFUZHHNOF-APPDUMDISA-N 0 3 319.351 4.332 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(O)cc21)c1cccc(OC(F)F)c1 ZINC000658239652 1040382751 /nfs/dbraw/zinc/38/27/51/1040382751.db2.gz OCXZMVSYOSZAOF-GTNSWQLSSA-N 0 3 319.351 4.332 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1c(C2CCC2)cnn1C ZINC000658268206 1040393758 /nfs/dbraw/zinc/39/37/58/1040393758.db2.gz KUXKHJBJIKWQEI-KDOFPFPSSA-N 0 3 309.457 4.334 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](O)C(C)C)c(C)n1 ZINC000658288300 1040406802 /nfs/dbraw/zinc/40/68/02/1040406802.db2.gz NTUBUXVLHXLFLO-PWIZWCRZSA-N 0 3 312.457 4.107 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](O)C(C)C)c(C)n1 ZINC000658288299 1040406994 /nfs/dbraw/zinc/40/69/94/1040406994.db2.gz NTUBUXVLHXLFLO-FFZOFVMBSA-N 0 3 312.457 4.107 20 0 DIADHN CO[C@H](CN[C@H](c1ccccc1)c1ccncc1)C1CCCCC1 ZINC000658327385 1040413499 /nfs/dbraw/zinc/41/34/99/1040413499.db2.gz BTQPSNGJFMMXQB-NHCUHLMSSA-N 0 3 324.468 4.356 20 0 DIADHN Cc1nc([C@H](C)NCCc2nsc3ccccc23)c(C)s1 ZINC000658316335 1040416559 /nfs/dbraw/zinc/41/65/59/1040416559.db2.gz DYINYUUASROMMI-JTQLQIEISA-N 0 3 317.483 4.263 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)N[C@@H](C)c1nccn1C ZINC000658335891 1040426809 /nfs/dbraw/zinc/42/68/09/1040426809.db2.gz IYEWGWOPZNNVSQ-GXTWGEPZSA-N 0 3 323.893 4.295 20 0 DIADHN COc1cccc(CN[C@@H]2CCCOc3cc(C)ccc32)c1C ZINC000658352014 1040433219 /nfs/dbraw/zinc/43/32/19/1040433219.db2.gz FRGMSJQSLGDZTP-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cnc3ccsc3c2)c1C ZINC000658354866 1040436129 /nfs/dbraw/zinc/43/61/29/1040436129.db2.gz XPURCLMFZLJGTE-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000658363024 1040439517 /nfs/dbraw/zinc/43/95/17/1040439517.db2.gz YPGVUYLYOVHMLZ-AHIWAGSCSA-N 0 3 323.358 4.478 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](C)O)cc1 ZINC000658361507 1040440096 /nfs/dbraw/zinc/44/00/96/1040440096.db2.gz MCRPGXVXHKPMDE-TWOQFEAHSA-N 0 3 313.441 4.248 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000658361510 1040441281 /nfs/dbraw/zinc/44/12/81/1040441281.db2.gz MCRPGXVXHKPMDE-YRNRMSPPSA-N 0 3 313.441 4.248 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](C)O)cc1Cl ZINC000658361643 1040442024 /nfs/dbraw/zinc/44/20/24/1040442024.db2.gz MQDUMGPUZYAVFA-JCGVRSQUSA-N 0 3 319.832 4.121 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000658361517 1040442096 /nfs/dbraw/zinc/44/20/96/1040442096.db2.gz NVPGFEZVMOELBQ-VEVIJQCQSA-N 0 3 301.455 4.010 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1ccccc1 ZINC000658362833 1040443220 /nfs/dbraw/zinc/44/32/20/1040443220.db2.gz SXJVRVHAAYZHLA-GDZNZVCISA-N 0 3 321.367 4.061 20 0 DIADHN C[C@H](N[C@H]1CCSc2c(Cl)cccc21)c1cc[nH]c(=O)c1 ZINC000340451551 1040508828 /nfs/dbraw/zinc/50/88/28/1040508828.db2.gz YVNGDJRCMVEAAS-HZMBPMFUSA-N 0 3 320.845 4.328 20 0 DIADHN Cc1cc(CN2CCC(F)(F)[C@H](C)C2)cc(OC(F)(F)F)c1 ZINC001143462240 1040532811 /nfs/dbraw/zinc/53/28/11/1040532811.db2.gz FDBYMAHFHSHJIE-LLVKDONJSA-N 0 3 323.305 4.371 20 0 DIADHN O[C@H](CN(CCC(F)(F)F)CC1CC1)c1cccc(Cl)c1 ZINC000177172978 1040563793 /nfs/dbraw/zinc/56/37/93/1040563793.db2.gz POBIHYYUCNNWCI-CQSZACIVSA-N 0 3 321.770 4.038 20 0 DIADHN CN1CCC[C@@H](NCc2ccc(C(F)F)nc2)c2ccccc21 ZINC000631154055 1040568651 /nfs/dbraw/zinc/56/86/51/1040568651.db2.gz PBTZCGHSKOHWFW-OAHLLOKOSA-N 0 3 317.383 4.080 20 0 DIADHN c1ccc(C(NC[C@H]2CC[C@@H](C3CC3)O2)c2ccccc2)cc1 ZINC001167688847 1040586321 /nfs/dbraw/zinc/58/63/21/1040586321.db2.gz GYILCLQXYMLNOC-UXHICEINSA-N 0 3 307.437 4.323 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(F)c(Cl)c1)CC2 ZINC000092837186 1040589281 /nfs/dbraw/zinc/58/92/81/1040589281.db2.gz HOBKFEBSTSUYBI-KRWDZBQOSA-N 0 3 305.780 4.265 20 0 DIADHN CCC[C@H](N[C@H](C)Cc1ccccn1)c1ncccc1Cl ZINC000926669064 1040591815 /nfs/dbraw/zinc/59/18/15/1040591815.db2.gz NKLDYFWWAIIYIZ-CJNGLKHVSA-N 0 3 303.837 4.192 20 0 DIADHN CN(C(=O)c1cccc(CN2CCCCC2)c1)C1(C)CCCC1 ZINC000413752331 1040603059 /nfs/dbraw/zinc/60/30/59/1040603059.db2.gz BSYJSMBHXUSYLM-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN COCc1ccccc1CNCc1ccc(C(F)F)c(F)c1 ZINC000892499891 1040613824 /nfs/dbraw/zinc/61/38/24/1040613824.db2.gz ZYULTVPIHBZJRJ-UHFFFAOYSA-N 0 3 309.331 4.200 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NCCC2CCCCC2)nc1C ZINC000612525286 1040626135 /nfs/dbraw/zinc/62/61/35/1040626135.db2.gz YIKHAVTXQJAALO-ZDUSSCGKSA-N 0 3 324.490 4.249 20 0 DIADHN CC[C@H](C[C@](C)(O)CC)N[C@@H](c1ccccc1)c1ccccn1 ZINC000924547853 1040626185 /nfs/dbraw/zinc/62/61/85/1040626185.db2.gz AAJKVMUDUAIWMN-YZGWKJHDSA-N 0 3 312.457 4.090 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC(C2CCOCC2)CC1 ZINC000153393608 1040653079 /nfs/dbraw/zinc/65/30/79/1040653079.db2.gz CXKOPDHKHBHRMM-CYBMUJFWSA-N 0 3 309.400 4.164 20 0 DIADHN CCC[C@H](NCc1cccc(OC(F)F)c1)c1cccnc1 ZINC000185975936 1040710413 /nfs/dbraw/zinc/71/04/13/1040710413.db2.gz LGFFCCLUUNXHSD-INIZCTEOSA-N 0 3 306.356 4.314 20 0 DIADHN Cc1cn[nH]c1CN([C@@H](C)c1cccc(C(F)(F)F)c1)C1CC1 ZINC000685833549 1040768243 /nfs/dbraw/zinc/76/82/43/1040768243.db2.gz KDZUEUHUCHXFSV-LBPRGKRZSA-N 0 3 323.362 4.463 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN(C)Cc1ccncc1 ZINC000685843359 1040787635 /nfs/dbraw/zinc/78/76/35/1040787635.db2.gz VOPLALMIGUCCMV-KAMYIIQDSA-N 0 3 318.367 4.218 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)c(C)c1 ZINC000414065436 1040811201 /nfs/dbraw/zinc/81/12/01/1040811201.db2.gz OPTYJZQFNZLHSY-KGLIPLIRSA-N 0 3 313.397 4.144 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(Cl)cc1)c1cc2n(n1)CCC2 ZINC000414073256 1040818522 /nfs/dbraw/zinc/81/85/22/1040818522.db2.gz NDFJSUWWOZOUQX-CYBMUJFWSA-N 0 3 317.864 4.111 20 0 DIADHN CC[C@@H](NCc1cnn(C)c1C1CC1)c1ccc(Cl)cc1 ZINC000892531340 1040818747 /nfs/dbraw/zinc/81/87/47/1040818747.db2.gz QCYTUMJDIQTARC-MRXNPFEDSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccn(C)n1 ZINC000414078741 1040831161 /nfs/dbraw/zinc/83/11/61/1040831161.db2.gz RDUQLSLCPFRRED-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN FC1(F)CC[C@@H](NCc2cc(Br)ccc2Cl)C1 ZINC000310207015 1040833621 /nfs/dbraw/zinc/83/36/21/1040833621.db2.gz BVZLGYUKIIGGON-SNVBAGLBSA-N 0 3 324.596 4.380 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)c1ccccc1OC)[C@H]1C[C@@H]1C ZINC000414092082 1040846671 /nfs/dbraw/zinc/84/66/71/1040846671.db2.gz DUSXWHUYVQAGOT-VERUCKTNSA-N 0 3 310.441 4.204 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1csc(-c2ccccc2)n1 ZINC000414094589 1040849756 /nfs/dbraw/zinc/84/97/56/1040849756.db2.gz GLRCGSLYIARVCZ-LSDHHAIUSA-N 0 3 323.465 4.487 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414095303 1040850149 /nfs/dbraw/zinc/85/01/49/1040850149.db2.gz HJKCJJDJJSEELI-HUUCEWRRSA-N 0 3 315.486 4.051 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc(OC)c(F)c1)CC2 ZINC000173230712 1040878345 /nfs/dbraw/zinc/87/83/45/1040878345.db2.gz ZMRHSPVZIKBIKJ-XIKOKIGWSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@H](CC(=O)C(C#N)c1nc2ccccc2o1)c1ccc(F)cc1 ZINC000066516619 1040878450 /nfs/dbraw/zinc/87/84/50/1040878450.db2.gz GXSVNCNYABTYEY-IUODEOHRSA-N 0 3 322.339 4.337 20 0 DIADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1csc(-c2ccccc2)n1 ZINC000414114857 1040879018 /nfs/dbraw/zinc/87/90/18/1040879018.db2.gz ZZUPDEHVKUKERP-HIFRSBDPSA-N 0 3 316.470 4.418 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1csc(-c2ccccc2)n1 ZINC000414115195 1040882940 /nfs/dbraw/zinc/88/29/40/1040882940.db2.gz PFJGJPOXMXAGAS-GXTWGEPZSA-N 0 3 304.484 4.356 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1csc(-c2ccccc2)n1)C(C)(C)O ZINC000414125019 1040891669 /nfs/dbraw/zinc/89/16/69/1040891669.db2.gz COSOBWVLHWOIOV-BBRMVZONSA-N 0 3 318.486 4.256 20 0 DIADHN Cc1cccnc1CCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414156061 1040926940 /nfs/dbraw/zinc/92/69/40/1040926940.db2.gz VYGADCGDEVGFRR-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@@H](NC[C@H]1CCSC1)c1csc(-c2ccccc2)n1 ZINC000414155891 1040927600 /nfs/dbraw/zinc/92/76/00/1040927600.db2.gz UECAHNBGSYUFQN-CHWSQXEVSA-N 0 3 304.484 4.214 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@H]3CCCC[C@H]32)c(C)c1 ZINC000613416765 1040934878 /nfs/dbraw/zinc/93/48/78/1040934878.db2.gz YOVKWNGPMJCQHU-KVSKMBFKSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H]1CCN(Cc2cc(-c3ccco3)on2)[C@@H]2CCCC[C@@H]12 ZINC000613416475 1040936582 /nfs/dbraw/zinc/93/65/82/1040936582.db2.gz VGGYXURJZQGXBQ-VNQPRFMTSA-N 0 3 300.402 4.335 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2Br)c1ccncc1 ZINC000174048897 1040943386 /nfs/dbraw/zinc/94/33/86/1040943386.db2.gz ORFCWYKARCMNRM-MEDUHNTESA-N 0 3 317.230 4.182 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)CCCCc1ccccc1 ZINC000613456607 1040962433 /nfs/dbraw/zinc/96/24/33/1040962433.db2.gz MGINMLMWRODVRB-UHFFFAOYSA-N 0 3 319.452 4.393 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(F)cc2C)cc1OC ZINC000171127987 1040975041 /nfs/dbraw/zinc/97/50/41/1040975041.db2.gz LCDJTNMICVGVGE-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2C[C@H]2c2c(F)cccc2Cl)cn1 ZINC000414190880 1040980033 /nfs/dbraw/zinc/98/00/33/1040980033.db2.gz TXLMSJBGKGHIRU-AXAPSJFSSA-N 0 3 321.827 4.463 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(N2CCOC2=O)cc1)c1cccs1 ZINC000178173804 1040992805 /nfs/dbraw/zinc/99/28/05/1040992805.db2.gz NMNCVNHVBDYOSI-QWHCGFSZSA-N 0 3 316.426 4.117 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1CC(=O)N[C@H](C)c1ccccc1 ZINC000613494209 1041011291 /nfs/dbraw/zinc/01/12/91/1041011291.db2.gz YFCITFYOERTMGG-XLIONFOSSA-N 0 3 322.452 4.009 20 0 DIADHN COC(=O)c1cccc(CN2Cc3cc(C)ccc3CC2(C)C)c1 ZINC001170560233 1041017264 /nfs/dbraw/zinc/01/72/64/1041017264.db2.gz SUDLHLFEYIZIEI-UHFFFAOYSA-N 0 3 323.436 4.119 20 0 DIADHN Oc1cc(Cl)cc(CN2CC[C@H](c3ccc(F)cc3)C2)c1 ZINC001140797911 1041021828 /nfs/dbraw/zinc/02/18/28/1041021828.db2.gz WLFVNECJVZFVJW-AWEZNQCLSA-N 0 3 305.780 4.174 20 0 DIADHN CC[C@@H](NCc1cn2ccnc2s1)c1cccc(Cl)c1 ZINC000892569282 1041033112 /nfs/dbraw/zinc/03/31/12/1041033112.db2.gz YYNYRPFIOJUMBK-CQSZACIVSA-N 0 3 305.834 4.290 20 0 DIADHN C[C@@H](NCC1(c2c(F)cccc2F)CCC1)c1ccccn1 ZINC000414242090 1041042859 /nfs/dbraw/zinc/04/28/59/1041042859.db2.gz PKEUGIFNLQMQLF-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2N[C@H]1CC[C@H](OCCC(C)C)CC1 ZINC000926757804 1041060565 /nfs/dbraw/zinc/06/05/65/1041060565.db2.gz IVFPQVHHZTYFOF-BRWVUGGUSA-N 0 3 320.477 4.324 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OC(C)C)cc2)cnc1F ZINC000892603144 1041095979 /nfs/dbraw/zinc/09/59/79/1041095979.db2.gz JLDDTKQOHGOUFN-CQSZACIVSA-N 0 3 302.393 4.167 20 0 DIADHN Brc1cccc(-c2nc(CNCC3CC3)cs2)c1 ZINC000236580796 1041122732 /nfs/dbraw/zinc/12/27/32/1041122732.db2.gz CFBULPQDSDAHLT-UHFFFAOYSA-N 0 3 323.259 4.072 20 0 DIADHN CCOc1cc(CN[C@@H]2CCCc3sccc32)ccc1OC ZINC000102196744 1041123040 /nfs/dbraw/zinc/12/30/40/1041123040.db2.gz POOXIHPZMOGVFX-OAHLLOKOSA-N 0 3 317.454 4.323 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1nnc(C2CC2)s1 ZINC000414324227 1041127103 /nfs/dbraw/zinc/12/71/03/1041127103.db2.gz KHSWQDAREUGWLN-MRXNPFEDSA-N 0 3 315.486 4.307 20 0 DIADHN Cc1ccccc1C(NCC1=CCCOC1)c1ccccc1C ZINC000186278177 1041129650 /nfs/dbraw/zinc/12/96/50/1041129650.db2.gz FQLYEBLPJQIGMS-UHFFFAOYSA-N 0 3 307.437 4.329 20 0 DIADHN CC(C)c1nc([C@H](C)NCC(C)(C)c2cccnc2)cs1 ZINC000414331636 1041135957 /nfs/dbraw/zinc/13/59/57/1041135957.db2.gz KKGWHYAVPWJPSP-ZDUSSCGKSA-N 0 3 303.475 4.290 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cn(C)c2ccc(F)cc12)c1nccs1 ZINC000414347832 1041154918 /nfs/dbraw/zinc/15/49/18/1041154918.db2.gz NSBIENCSDMQZBT-NWDGAFQWSA-N 0 3 317.433 4.056 20 0 DIADHN CCCCC[C@@H]1CCCCN1C(=O)Nc1ccc2c(c1)CNC2 ZINC000659023071 1041155383 /nfs/dbraw/zinc/15/53/83/1041155383.db2.gz SHAGYQPKCMWPHG-GOSISDBHSA-N 0 3 315.461 4.257 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](CO)C1)c1csc(-c2ccccc2)n1 ZINC000414349090 1041157952 /nfs/dbraw/zinc/15/79/52/1041157952.db2.gz XVPCUJZNWRWENM-SQWLQELKSA-N 0 3 316.470 4.012 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(C(F)(F)F)c(C)c2)s1 ZINC000414356424 1041167168 /nfs/dbraw/zinc/16/71/68/1041167168.db2.gz DTNSGQCGQDHMNB-UHFFFAOYSA-N 0 3 314.376 4.377 20 0 DIADHN Cc1occc1CNc1ccc(CN2CCSCC2)cc1C ZINC000414364428 1041175329 /nfs/dbraw/zinc/17/53/29/1041175329.db2.gz YILUBHGFDZRBSP-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CCc1ncc(CN[C@H]2CCCc3ccc(C(C)C)cc32)cn1 ZINC000414365659 1041181651 /nfs/dbraw/zinc/18/16/51/1041181651.db2.gz MSIQRMXYLNTJPX-IBGZPJMESA-N 0 3 309.457 4.330 20 0 DIADHN CCN(Cc1cscn1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000539850390 1041186613 /nfs/dbraw/zinc/18/66/13/1041186613.db2.gz KFNMUKXWAXVUDC-QGZVFWFLSA-N 0 3 306.450 4.371 20 0 DIADHN CCN(C(=O)CN1CCC[C@H]1c1ccccc1)C1CCCCC1 ZINC000659070360 1041187434 /nfs/dbraw/zinc/18/74/34/1041187434.db2.gz CFYKPRGMGLROKD-IBGZPJMESA-N 0 3 314.473 4.005 20 0 DIADHN Clc1nc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)cs1 ZINC000809578513 1041190421 /nfs/dbraw/zinc/19/04/21/1041190421.db2.gz MBUQTXMJUWINAZ-HIFRSBDPSA-N 0 3 306.862 4.298 20 0 DIADHN CC(C)C[C@H](NCc1cnc([C@H](C)O)s1)c1cccs1 ZINC000809608850 1041195056 /nfs/dbraw/zinc/19/50/56/1041195056.db2.gz VSWKENVTPXGWSW-AAEUAGOBSA-N 0 3 310.488 4.135 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2C[C@@H](C)CC(C)(C)C2)o1 ZINC001131782586 1041200218 /nfs/dbraw/zinc/20/02/18/1041200218.db2.gz WDLMMAUYUMKINU-GDBMZVCRSA-N 0 3 321.461 4.493 20 0 DIADHN CCc1ccc([C@@H](NCc2cnc([C@H](C)O)s2)C(C)C)cc1 ZINC000809601567 1041202292 /nfs/dbraw/zinc/20/22/92/1041202292.db2.gz GGLFJLMOZXEBDC-GUYCJALGSA-N 0 3 318.486 4.246 20 0 DIADHN C[C@H](O)c1ncc(CN[C@H](CC2CCC2)c2ccccc2)s1 ZINC000809635329 1041208611 /nfs/dbraw/zinc/20/86/11/1041208611.db2.gz WBYWYFPFJQHTNA-SUMWQHHRSA-N 0 3 316.470 4.218 20 0 DIADHN Fc1ccc(CNCc2cccc3cccnc32)cc1Cl ZINC000053149551 1041217816 /nfs/dbraw/zinc/21/78/16/1041217816.db2.gz UETACBDGSSCJDO-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CCc1ncc(CN(C2CC2)C2CC(c3ccccc3C)C2)cn1 ZINC000414393224 1041227469 /nfs/dbraw/zinc/22/74/69/1041227469.db2.gz FWVJDJZARCJRIM-UHFFFAOYSA-N 0 3 321.468 4.258 20 0 DIADHN Cc1ccc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)nn1 ZINC000414394654 1041229971 /nfs/dbraw/zinc/22/99/71/1041229971.db2.gz GDHDGHDXHGEYBZ-XYELWLDHSA-N 0 3 307.441 4.243 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)N(Cc2nn(C)c3ccccc23)C1 ZINC000809716308 1041232760 /nfs/dbraw/zinc/23/27/60/1041232760.db2.gz SQAIWOIMEHSNTH-YWZLYKJASA-N 0 3 305.425 4.156 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1ccc(F)nc1C ZINC000809783404 1041253218 /nfs/dbraw/zinc/25/32/18/1041253218.db2.gz BMZDRHAOFYMJFV-KRWDZBQOSA-N 0 3 302.393 4.121 20 0 DIADHN Cc1sc(C2(N)CCCC2)nc1-c1ccc(OC(F)F)cc1 ZINC000647607893 1041253429 /nfs/dbraw/zinc/25/34/29/1041253429.db2.gz HOVNBRWCOVNFHV-UHFFFAOYSA-N 0 3 324.396 4.448 20 0 DIADHN COc1cc(CN2CCCC(F)(F)CC2)ccc1OC(C)C ZINC000628422305 1041269874 /nfs/dbraw/zinc/26/98/74/1041269874.db2.gz WPJSKJJMNBFZLO-UHFFFAOYSA-N 0 3 313.388 4.104 20 0 DIADHN NC1(C(=O)Nc2ccc3oc4ccccc4c3c2)CC2(CCC2)C1 ZINC000659253314 1041280742 /nfs/dbraw/zinc/28/07/42/1041280742.db2.gz JVZWKUCUWMQZAL-UHFFFAOYSA-N 0 3 320.392 4.186 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)C(=O)C[C@@H]1NCc2ccccc21 ZINC000659265462 1041283088 /nfs/dbraw/zinc/28/30/88/1041283088.db2.gz JMVJEYUBAPSHML-FPOVZHCZSA-N 0 3 322.452 4.077 20 0 DIADHN O=C(C[C@H]1NCc2ccccc21)N(CC1CCC1)c1ccccc1 ZINC000659274887 1041286081 /nfs/dbraw/zinc/28/60/81/1041286081.db2.gz GZRZDJLSYZUQOE-HXUWFJFHSA-N 0 3 320.436 4.054 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)C[C@H]1NCc2ccccc21 ZINC000659299378 1041297149 /nfs/dbraw/zinc/29/71/49/1041297149.db2.gz BMFHBRDTXDSQJQ-HXUWFJFHSA-N 0 3 322.452 4.448 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659299377 1041297757 /nfs/dbraw/zinc/29/77/57/1041297757.db2.gz BMFHBRDTXDSQJQ-FQEVSTJZSA-N 0 3 322.452 4.448 20 0 DIADHN CN(C)c1cccc(CN(C2CC2)[C@H]2CCCc3ccccc32)n1 ZINC001167709503 1041305961 /nfs/dbraw/zinc/30/59/61/1041305961.db2.gz YWWIJJPPFOVBTJ-FQEVSTJZSA-N 0 3 321.468 4.190 20 0 DIADHN CC(=O)Oc1ccc(CN[C@H](C)c2cccc(Cl)c2)cc1 ZINC000181422467 1041311989 /nfs/dbraw/zinc/31/19/89/1041311989.db2.gz FCBBRONOGTWQLB-GFCCVEGCSA-N 0 3 303.789 4.116 20 0 DIADHN C[C@H](NCc1cccs1)c1ccc(N2CCCCC2=O)cc1 ZINC000810127146 1041314222 /nfs/dbraw/zinc/31/42/22/1041314222.db2.gz UYHWUDVAAOEQKJ-AWEZNQCLSA-N 0 3 314.454 4.116 20 0 DIADHN N[C@H](CC(=O)Nc1ccc2c(c1)C=CCCC2)c1ccccc1 ZINC000659367270 1041318471 /nfs/dbraw/zinc/31/84/71/1041318471.db2.gz GQKOQSAMOJENKG-LJQANCHMSA-N 0 3 306.409 4.065 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(Cl)cc2)cc1 ZINC000782949893 1041346500 /nfs/dbraw/zinc/34/65/00/1041346500.db2.gz IINNUAJNRLHHEW-UHFFFAOYSA-N 0 3 305.805 4.003 20 0 DIADHN CCOCOc1ccc(CNCc2coc3ccccc23)cc1 ZINC000782959911 1041350965 /nfs/dbraw/zinc/35/09/65/1041350965.db2.gz ORKOZEGYQNJWDR-UHFFFAOYSA-N 0 3 311.381 4.095 20 0 DIADHN Cc1ccc(CN(C)Cc2cc3cc(Cl)cnc3o2)s1 ZINC000648367468 1041352504 /nfs/dbraw/zinc/35/25/04/1041352504.db2.gz SXKBJOPQOGVNRS-UHFFFAOYSA-N 0 3 306.818 4.483 20 0 DIADHN CCOCc1ccccc1CN[C@@H](c1ccccn1)C1CCC1 ZINC000924600933 1041384346 /nfs/dbraw/zinc/38/43/46/1041384346.db2.gz BPKYIBKDPNSRJS-HXUWFJFHSA-N 0 3 310.441 4.249 20 0 DIADHN CN(Cc1ccc2ccccc2c1)[C@@H]1CCCc2c1cnn2C ZINC000135553665 1041386732 /nfs/dbraw/zinc/38/67/32/1041386732.db2.gz CGNSITJXFQWGPJ-LJQANCHMSA-N 0 3 305.425 4.083 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@H]2CCSC2)c1Cl ZINC000226381770 1041393150 /nfs/dbraw/zinc/39/31/50/1041393150.db2.gz KATNUQGJFROXOA-MRVPVSSYSA-N 0 3 310.677 4.490 20 0 DIADHN CC(C)(C)[C@@H](NCc1cn2ccnc2s1)c1ccc(F)cc1 ZINC000892756517 1041395409 /nfs/dbraw/zinc/39/54/09/1041395409.db2.gz BBHAEHBUZLYGNI-HNNXBMFYSA-N 0 3 317.433 4.412 20 0 DIADHN Cc1cc(F)ncc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000892786492 1041402086 /nfs/dbraw/zinc/40/20/86/1041402086.db2.gz RNLVTWYLXMIVSZ-UHFFFAOYSA-N 0 3 302.368 4.227 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cnc(F)cc2C)cc1 ZINC000892913416 1041421013 /nfs/dbraw/zinc/42/10/13/1041421013.db2.gz AXJOLYVDDOUTRO-CYBMUJFWSA-N 0 3 304.434 4.492 20 0 DIADHN COc1cc(CN2CCC[C@@H]2c2cccc3ccccc32)on1 ZINC000659854822 1041457007 /nfs/dbraw/zinc/45/70/07/1041457007.db2.gz XBLBOYNXUJIORW-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN CC(C)[C@H]1N(C(=O)[C@H]2CCCN2C(C)C)CC12CCCCCC2 ZINC001132574396 1041477265 /nfs/dbraw/zinc/47/72/65/1041477265.db2.gz ASIIYDJEVYGHJT-QZTJIDSGSA-N 0 3 320.521 4.067 20 0 DIADHN CCN(Cc1csc(-c2ccc3c(c2)OCO3)n1)C(C)(C)C ZINC001170623592 1041477290 /nfs/dbraw/zinc/47/72/90/1041477290.db2.gz VCJXQFHSPQMNOJ-UHFFFAOYSA-N 0 3 318.442 4.159 20 0 DIADHN CC(C)[C@H]1N(C(=O)[C@@H]2CCCN2C(C)C)CC12CCCCCC2 ZINC001132574398 1041477332 /nfs/dbraw/zinc/47/73/32/1041477332.db2.gz ASIIYDJEVYGHJT-ZWKOTPCHSA-N 0 3 320.521 4.067 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2sc(C)nc2C)cc1 ZINC000177912624 1041488362 /nfs/dbraw/zinc/48/83/62/1041488362.db2.gz FUOBWIPIBOEFNP-GFCCVEGCSA-N 0 3 304.459 4.147 20 0 DIADHN CCOCc1ccccc1CNCc1cc(C)cc(Cl)c1 ZINC000395225162 1041492672 /nfs/dbraw/zinc/49/26/72/1041492672.db2.gz PNLVWBISFLKLTI-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(F)c(C)c2)ccc1O ZINC000271474101 1041517453 /nfs/dbraw/zinc/51/74/53/1041517453.db2.gz MGIWQAJOTICETG-CYBMUJFWSA-N 0 3 303.377 4.089 20 0 DIADHN CCC1(CC)[C@H](NCc2ccnc(Cl)c2Cl)C[C@H]1OC ZINC000811484960 1041522988 /nfs/dbraw/zinc/52/29/88/1041522988.db2.gz QOICMOVOUQWZSB-VXGBXAGGSA-N 0 3 317.260 4.072 20 0 DIADHN CCC(CC)[C@@H](CCNCc1ccnc(Cl)c1Cl)OC ZINC000811484880 1041523312 /nfs/dbraw/zinc/52/33/12/1041523312.db2.gz NZNQHSINVVMBOW-CYBMUJFWSA-N 0 3 319.276 4.319 20 0 DIADHN C[C@@H](CNCc1ccnc(Cl)c1Cl)N(C)c1ccccc1 ZINC000811474156 1041527198 /nfs/dbraw/zinc/52/71/98/1041527198.db2.gz VRKMEUYJOMXEPA-LBPRGKRZSA-N 0 3 324.255 4.003 20 0 DIADHN C[C@](O)(CNC(c1ccccc1)c1ccccc1)c1cccs1 ZINC000180596256 1041535840 /nfs/dbraw/zinc/53/58/40/1041535840.db2.gz UIYIHVDAYGXJNP-FQEVSTJZSA-N 0 3 323.461 4.335 20 0 DIADHN CCS[C@@H]1CCCCN([C@@H](C)c2nc3ccccc3o2)C1 ZINC000181107689 1041544729 /nfs/dbraw/zinc/54/47/29/1041544729.db2.gz PQYBINPPPNFBTQ-UONOGXRCSA-N 0 3 304.459 4.496 20 0 DIADHN CC(=O)Oc1ccc(CN[C@@H](C)c2cc(C)sc2C)cc1 ZINC000182765301 1041573569 /nfs/dbraw/zinc/57/35/69/1041573569.db2.gz GTRZFLXJUGMZJD-LBPRGKRZSA-N 0 3 303.427 4.141 20 0 DIADHN CC(C)(C)OC1CCN(CCc2nsc3ccccc32)CC1 ZINC000660001379 1041578652 /nfs/dbraw/zinc/57/86/52/1041578652.db2.gz OZUQBTBBWFKRDY-UHFFFAOYSA-N 0 3 318.486 4.118 20 0 DIADHN Cc1nc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)c(C)o1 ZINC000660042365 1041589842 /nfs/dbraw/zinc/58/98/42/1041589842.db2.gz NIGONVPKAUHRAJ-MRXNPFEDSA-N 0 3 309.413 4.152 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cccc(SC)c1 ZINC000660064156 1041597185 /nfs/dbraw/zinc/59/71/85/1041597185.db2.gz JYQJYNQRNZYMMN-UHFFFAOYSA-N 0 3 301.455 4.442 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2)C2CCCC2)sn1 ZINC000660071432 1041598347 /nfs/dbraw/zinc/59/83/47/1041598347.db2.gz SAZXJGQDXGGUGQ-KRWDZBQOSA-N 0 3 302.443 4.173 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)cc(F)c2C1)c1c(F)cccc1F ZINC001170669696 1041603419 /nfs/dbraw/zinc/60/34/19/1041603419.db2.gz MRTUJRIQVKQGNY-GXFFZTMASA-N 0 3 323.333 4.451 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000660084817 1041605948 /nfs/dbraw/zinc/60/59/48/1041605948.db2.gz ASEPYRVJLNALJP-FGTMMUONSA-N 0 3 314.473 4.000 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCC[C@@H]1c1nc2ccccc2n1C ZINC000660096498 1041610379 /nfs/dbraw/zinc/61/03/79/1041610379.db2.gz VQWWXJTVQBQEBP-XJKSGUPXSA-N 0 3 321.415 4.392 20 0 DIADHN CC(C)Cc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)cn1 ZINC000893289858 1041632154 /nfs/dbraw/zinc/63/21/54/1041632154.db2.gz DVRUZSMBVPAIEO-CTNGQTDRSA-N 0 3 324.468 4.148 20 0 DIADHN CC(C)Cc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)cn1 ZINC000893289859 1041632431 /nfs/dbraw/zinc/63/24/31/1041632431.db2.gz DVRUZSMBVPAIEO-FPOVZHCZSA-N 0 3 324.468 4.148 20 0 DIADHN CCc1cc(OC)ccc1-n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001170680928 1041632649 /nfs/dbraw/zinc/63/26/49/1041632649.db2.gz IONSYXCVUGAWNP-AWEZNQCLSA-N 0 3 321.424 4.130 20 0 DIADHN CC(C)Oc1cccc(CN[C@H]2CCCc3ccc(O)cc32)c1 ZINC000812718316 1041640765 /nfs/dbraw/zinc/64/07/65/1041640765.db2.gz PNOVTXQJYPMJHB-FQEVSTJZSA-N 0 3 311.425 4.347 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCCc3c(O)cccc31)CCC2 ZINC000812729625 1041641569 /nfs/dbraw/zinc/64/15/69/1041641569.db2.gz QJWHQVLEYRAHJZ-GOEBONIOSA-N 0 3 314.454 4.202 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc(OC2CC2)cc1 ZINC001170686101 1041643379 /nfs/dbraw/zinc/64/33/79/1041643379.db2.gz NHUDBRRGMSWZRW-AWEZNQCLSA-N 0 3 319.408 4.100 20 0 DIADHN C=Cc1ccc(CCNCc2ccnc(Cl)c2Cl)cc1 ZINC000812772889 1041645280 /nfs/dbraw/zinc/64/52/80/1041645280.db2.gz MIOVDCGMBRULJT-UHFFFAOYSA-N 0 3 307.224 4.364 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(SC)c2)cc1F ZINC000660175743 1041647391 /nfs/dbraw/zinc/64/73/91/1041647391.db2.gz AEJZRCDHURHIRF-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN CO/C=C/c1ccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)cc1 ZINC001170686686 1041648514 /nfs/dbraw/zinc/64/85/14/1041648514.db2.gz YYQQUVRXSVWCNE-LHNRBYRGSA-N 0 3 319.408 4.176 20 0 DIADHN CC(C)(C)CCNCc1c(F)ccc(C2OCCO2)c1Cl ZINC000812823586 1041649827 /nfs/dbraw/zinc/64/98/27/1041649827.db2.gz FPJNRLGTZMFBJW-UHFFFAOYSA-N 0 3 315.816 4.050 20 0 DIADHN CC(C)CCCNCc1c(F)ccc(C2OCCO2)c1Cl ZINC000812823657 1041649859 /nfs/dbraw/zinc/64/98/59/1041649859.db2.gz HQGOACWVNYBRGP-UHFFFAOYSA-N 0 3 315.816 4.050 20 0 DIADHN CN(C[C@@H]1CC1(Cl)Cl)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000812882605 1041657707 /nfs/dbraw/zinc/65/77/07/1041657707.db2.gz RYEGUCMRLHICPK-AEJSXWLSSA-N 0 3 304.183 4.233 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)(F)F)c1ccc(F)cc1)C1CCC1 ZINC000660190346 1041657313 /nfs/dbraw/zinc/65/73/13/1041657313.db2.gz TUEINWXGPCTLCW-CABCVRRESA-N 0 3 319.342 4.224 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H]2C[C@H]2c2cccs2)[n-]1 ZINC000417324730 1041657892 /nfs/dbraw/zinc/65/78/92/1041657892.db2.gz DBYVVQPEZYETDR-ZUWCUPBKSA-N 0 3 318.490 4.223 20 0 DIADHN CSc1cccc(CN[C@@H](CCCO)c2ccccc2)c1 ZINC000660198129 1041660778 /nfs/dbraw/zinc/66/07/78/1041660778.db2.gz METWOWUMJPPWAU-SFHVURJKSA-N 0 3 301.455 4.012 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)CCC2 ZINC000584756394 1041662723 /nfs/dbraw/zinc/66/27/23/1041662723.db2.gz GTSUGTLBUJHTBA-RBUKOAKNSA-N 0 3 324.472 4.402 20 0 DIADHN Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)CCC2 ZINC000584756394 1041662727 /nfs/dbraw/zinc/66/27/27/1041662727.db2.gz GTSUGTLBUJHTBA-RBUKOAKNSA-N 0 3 324.472 4.402 20 0 DIADHN c1c2ccccc2oc1[C@@H](NC[C@H]1CC12CC2)c1cccnc1 ZINC000417345890 1041662943 /nfs/dbraw/zinc/66/29/43/1041662943.db2.gz YKFGUWWQOVCQRN-APWZRJJASA-N 0 3 304.393 4.307 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2C)C(C)(C)C)sn1 ZINC000660198954 1041662957 /nfs/dbraw/zinc/66/29/57/1041662957.db2.gz AOBLEFIBZVYDEL-MRXNPFEDSA-N 0 3 304.459 4.337 20 0 DIADHN c1ccc([C@H](C[C@@H]2CCOC2)N[C@@H]2COCc3ccccc32)cc1 ZINC000417368993 1041665214 /nfs/dbraw/zinc/66/52/14/1041665214.db2.gz RPCDWGAVKUXENS-ORYQWCPZSA-N 0 3 323.436 4.015 20 0 DIADHN COc1cc(CN[C@@H](c2cccc(C)c2)C(C)(C)C)sn1 ZINC000660201424 1041666382 /nfs/dbraw/zinc/66/63/82/1041666382.db2.gz IDFKQGWDSBMPFY-INIZCTEOSA-N 0 3 304.459 4.337 20 0 DIADHN CCC[C@@H](N[C@@H]1COCc2ccccc21)c1ccc(OC)cc1 ZINC000417409296 1041668977 /nfs/dbraw/zinc/66/89/77/1041668977.db2.gz VGHNNXVVJNYQCP-WOJBJXKFSA-N 0 3 311.425 4.398 20 0 DIADHN FCc1ccccc1CN1CCC2(CC1)C(F)(F)C2(F)F ZINC000930848917 1041674274 /nfs/dbraw/zinc/67/42/74/1041674274.db2.gz NNHYHWAXMQAGIO-UHFFFAOYSA-N 0 3 305.290 4.023 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2ccc(Cl)nc2)c1 ZINC000188723657 1041688127 /nfs/dbraw/zinc/68/81/27/1041688127.db2.gz AMSVVTVUMQAKBD-GFCCVEGCSA-N 0 3 306.862 4.449 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H](C)c1ccc(F)c2ccccc21 ZINC000584851275 1041691213 /nfs/dbraw/zinc/69/12/13/1041691213.db2.gz WRRARVXNBGOGOR-UONOGXRCSA-N 0 3 309.388 4.489 20 0 DIADHN Cc1cnc(C(C)(C)NCCc2nsc3ccccc23)s1 ZINC000660263279 1041696314 /nfs/dbraw/zinc/69/63/14/1041696314.db2.gz NJHMVRHIHMVNBC-UHFFFAOYSA-N 0 3 317.483 4.129 20 0 DIADHN CC[C@@H](N[C@@H]1CCOc2c(C)cccc21)c1ccc2c(c1)CCO2 ZINC000189378443 1041701579 /nfs/dbraw/zinc/70/15/79/1041701579.db2.gz PBSNNDNVOCFVBS-RTBURBONSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(CC(C)C)cc2)C2CC2)n(C)n1 ZINC000417886728 1041707182 /nfs/dbraw/zinc/70/71/82/1041707182.db2.gz KDRWRTQBFSGBCE-HXUWFJFHSA-N 0 3 311.473 4.168 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC(c2cccnc2)CC1 ZINC000678168920 1041707783 /nfs/dbraw/zinc/70/77/83/1041707783.db2.gz VFGCYZAGMPFHMA-UHFFFAOYSA-N 0 3 318.823 4.296 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2CCCN(C)c3ccccc32)s1 ZINC000651465681 1041719976 /nfs/dbraw/zinc/71/99/76/1041719976.db2.gz BQIIAMNAIFXZME-IUODEOHRSA-N 0 3 301.459 4.073 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccc(F)cc32)ccc1Cl ZINC000651467833 1041721237 /nfs/dbraw/zinc/72/12/37/1041721237.db2.gz IACOEOFPQARIGS-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(Cl)cccc1Cl)c1cn(C)cn1 ZINC000925646736 1041722193 /nfs/dbraw/zinc/72/21/93/1041722193.db2.gz VMLGMKVIJKBEFA-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CCN(CC)Cc1cccc(NC2(C3CCOCC3)CC2)c1F ZINC001167748282 1041730763 /nfs/dbraw/zinc/73/07/63/1041730763.db2.gz HJLBUAZLAOXKFS-UHFFFAOYSA-N 0 3 320.452 4.039 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(-c3cccnc3)cc2)c1 ZINC000364319151 1041742099 /nfs/dbraw/zinc/74/20/99/1041742099.db2.gz UELXBFQHASHGII-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccnc(Cl)c1)[C@@H]1OCCc2sccc21 ZINC000813885932 1041742843 /nfs/dbraw/zinc/74/28/43/1041742843.db2.gz ZGVYZBXAONFACZ-USBNGQNGSA-N 0 3 322.861 4.150 20 0 DIADHN CCOc1ccc(C[C@H](C)CN[C@@H](C)c2nccs2)cc1 ZINC000651489838 1041747678 /nfs/dbraw/zinc/74/76/78/1041747678.db2.gz MGMTUAWWMPISKQ-KBPBESRZSA-N 0 3 304.459 4.071 20 0 DIADHN CCOc1ccc(C[C@@H](C)CN[C@@H](C)c2cscn2)cc1 ZINC000651489958 1041747988 /nfs/dbraw/zinc/74/79/88/1041747988.db2.gz SMPLHVXTGYVGRQ-KGLIPLIRSA-N 0 3 304.459 4.071 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccccc2-n2cccn2)c(C)o1 ZINC000364372232 1041766680 /nfs/dbraw/zinc/76/66/80/1041766680.db2.gz CKYVVWNZQOEPAS-GJZGRUSLSA-N 0 3 309.413 4.494 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccccc2-n2cccn2)c(C)o1 ZINC000364372231 1041767029 /nfs/dbraw/zinc/76/70/29/1041767029.db2.gz CKYVVWNZQOEPAS-CABCVRRESA-N 0 3 309.413 4.494 20 0 DIADHN C[C@@]1(F)CCCN(CCc2cccc(Br)c2)CC1 ZINC001207503922 1041768166 /nfs/dbraw/zinc/76/81/66/1041768166.db2.gz RQHFHVWMJSSESF-OAHLLOKOSA-N 0 3 314.242 4.206 20 0 DIADHN Cc1ccc(CN(C)C[C@@H](O)c2ccc(Cl)c(F)c2)s1 ZINC000759862468 1041783730 /nfs/dbraw/zinc/78/37/30/1041783730.db2.gz JWJZRTVJNRXXLE-OAHLLOKOSA-N 0 3 313.825 4.014 20 0 DIADHN C[C@H]1CN(CCSC2CCCCC2)Cc2ccccc2O1 ZINC001170732805 1041787336 /nfs/dbraw/zinc/78/73/36/1041787336.db2.gz PAVNHBFSPQPNJA-HNNXBMFYSA-N 0 3 305.487 4.335 20 0 DIADHN CC[C@@H](CN[C@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000660431583 1041792622 /nfs/dbraw/zinc/79/26/22/1041792622.db2.gz HHUYEGKIPDQGJI-HTQZYQBOSA-N 0 3 316.339 4.494 20 0 DIADHN Cc1cccn2cc(CN3C[C@H](c4ccc(F)cc4)C[C@H]3C)nc12 ZINC000605764173 1041800078 /nfs/dbraw/zinc/80/00/78/1041800078.db2.gz HWJZINNDZSFELY-NVXWUHKLSA-N 0 3 323.415 4.160 20 0 DIADHN CC(C)(C)c1ccc(CCNCc2nc3ccccc3o2)cc1 ZINC000613750812 1041822517 /nfs/dbraw/zinc/82/25/17/1041822517.db2.gz VLLLCBLWIMDXKQ-UHFFFAOYSA-N 0 3 308.425 4.458 20 0 DIADHN C[C@H](NCC[C@@H]1CCc2ccccc21)c1c(F)cncc1F ZINC000660551741 1041831137 /nfs/dbraw/zinc/83/11/37/1041831137.db2.gz NUGFMKGPFJGVBB-JSGCOSHPSA-N 0 3 302.368 4.131 20 0 DIADHN C[C@@H](NCC[C@@H]1CCc2ccccc21)c1c(F)cncc1F ZINC000660551744 1041831525 /nfs/dbraw/zinc/83/15/25/1041831525.db2.gz NUGFMKGPFJGVBB-OCCSQVGLSA-N 0 3 302.368 4.131 20 0 DIADHN c1ccc2c(CCN3CCC=C(c4cccnc4)C3)nsc2c1 ZINC000660554681 1041832754 /nfs/dbraw/zinc/83/27/54/1041832754.db2.gz YJGDTOPBMVOQGG-UHFFFAOYSA-N 0 3 321.449 4.023 20 0 DIADHN CCC(CC)N(CC)Cc1cc(OC)ccc1Br ZINC001170756126 1041845191 /nfs/dbraw/zinc/84/51/91/1041845191.db2.gz NIBSTNWMRSYUII-UHFFFAOYSA-N 0 3 314.267 4.468 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3ccc(Cl)nc3)C2)cc1 ZINC000814640435 1041846600 /nfs/dbraw/zinc/84/66/00/1041846600.db2.gz GKHZGGSGHGVFKI-KRWDZBQOSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@@H](c2ccccn2)C1 ZINC000660631977 1041846742 /nfs/dbraw/zinc/84/67/42/1041846742.db2.gz RCZTWGIZNBKWHH-DZGCQCFKSA-N 0 3 302.368 4.300 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3cccnc3Cl)C2)cc1 ZINC000814640602 1041847060 /nfs/dbraw/zinc/84/70/60/1041847060.db2.gz MDWPXMVUKSXRAC-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)C[C@@H](O)c1ccccc1F ZINC000026925031 1041849897 /nfs/dbraw/zinc/84/98/97/1041849897.db2.gz QKHABVJTXBIKQO-SUMWQHHRSA-N 0 3 313.372 4.298 20 0 DIADHN COCCCCCN(C)[C@H](C)c1nc2cc(Cl)ccc2[nH]1 ZINC000660709297 1041854961 /nfs/dbraw/zinc/85/49/61/1041854961.db2.gz ITSDHMJMKXHMJY-GFCCVEGCSA-N 0 3 309.841 4.026 20 0 DIADHN COC1(CCN(C)[C@@H](C)c2nc3ccc(Cl)cc3[nH]2)CCC1 ZINC000660707737 1041855881 /nfs/dbraw/zinc/85/58/81/1041855881.db2.gz ILVOQHOCJPVFCV-LBPRGKRZSA-N 0 3 321.852 4.168 20 0 DIADHN C[C@H](CN(C)[C@H](c1nnc[nH]1)c1ccccc1)C1CCCCC1 ZINC000660767894 1041860359 /nfs/dbraw/zinc/86/03/59/1041860359.db2.gz OQHIFVHWHGXBHS-QAPCUYQASA-N 0 3 312.461 4.042 20 0 DIADHN C[C@@H](CN(C)[C@H](c1nnc[nH]1)c1ccccc1)C1CCCCC1 ZINC000660767896 1041861053 /nfs/dbraw/zinc/86/10/53/1041861053.db2.gz OQHIFVHWHGXBHS-YJBOKZPZSA-N 0 3 312.461 4.042 20 0 DIADHN Cc1nc(CN2CCC[C@@]2(C)Cc2cccc(F)c2)c(C)o1 ZINC000660898578 1041868199 /nfs/dbraw/zinc/86/81/99/1041868199.db2.gz IHXOVZUKFYXRES-SFHVURJKSA-N 0 3 302.393 4.028 20 0 DIADHN Cc1nc(CN2CCC[C@]2(C)Cc2cccc(F)c2)c(C)o1 ZINC000660898577 1041868856 /nfs/dbraw/zinc/86/88/56/1041868856.db2.gz IHXOVZUKFYXRES-GOSISDBHSA-N 0 3 302.393 4.028 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN[C@H](C)c1ccccc1 ZINC000651667540 1041872365 /nfs/dbraw/zinc/87/23/65/1041872365.db2.gz GTXULRUFFJRSDU-MRXNPFEDSA-N 0 3 305.425 4.340 20 0 DIADHN CC[C@H](CN[C@@H](Cc1ccccc1)c1ncco1)CC(F)F ZINC000660926148 1041872461 /nfs/dbraw/zinc/87/24/61/1041872461.db2.gz MDCXAZXGIWPZLI-ZFWWWQNUSA-N 0 3 308.372 4.229 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001170768853 1041874309 /nfs/dbraw/zinc/87/43/09/1041874309.db2.gz IQDKEQNJFLUYAW-CYGHRXIMSA-N 0 3 303.446 4.036 20 0 DIADHN Cc1csc(CCN[C@@H](C)c2ccc(-c3ccccc3)cn2)n1 ZINC000651675781 1041878122 /nfs/dbraw/zinc/87/81/22/1041878122.db2.gz BXISYPANONCVPU-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN C(N[C@@H]1CCCC12CCCCC2)c1nnc(C2CC2)n1C1CC1 ZINC000661126619 1041891413 /nfs/dbraw/zinc/89/14/13/1041891413.db2.gz GEPBDRCRHBFDHX-MRXNPFEDSA-N 0 3 314.477 4.083 20 0 DIADHN c1c2cnccc2oc1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000651742104 1041900508 /nfs/dbraw/zinc/90/05/08/1041900508.db2.gz DBRWZLMADUNXHN-DNVCBOLYSA-N 0 3 322.408 4.085 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccnc(Br)c1 ZINC000815008297 1041902621 /nfs/dbraw/zinc/90/26/21/1041902621.db2.gz UDMITPIBTPOXFN-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN COCC1(C)CCN(Cc2ccc(C(F)F)c(F)c2)CC1 ZINC000893434396 1041906033 /nfs/dbraw/zinc/90/60/33/1041906033.db2.gz SPMIFMPEQINBON-UHFFFAOYSA-N 0 3 301.352 4.012 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccc(-c2ccccc2)cn1 ZINC000651768275 1041907032 /nfs/dbraw/zinc/90/70/32/1041907032.db2.gz FNEOTNKYMXCNSJ-MAUKXSAKSA-N 0 3 310.441 4.357 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1nnc(-c2ccc(Cl)cc2)n1C ZINC000661231975 1041908317 /nfs/dbraw/zinc/90/83/17/1041908317.db2.gz TWHFZQMAHLWNBV-LBPRGKRZSA-N 0 3 320.868 4.050 20 0 DIADHN O[C@@H](CNC1(c2ccccc2F)CC1)c1cccc2ccccc21 ZINC000815082903 1041912271 /nfs/dbraw/zinc/91/22/71/1041912271.db2.gz FEWWEULKZQTCCH-FQEVSTJZSA-N 0 3 321.395 4.291 20 0 DIADHN O[C@@H](CN1CCc2cc(F)ccc2C1)c1cccc2ccccc21 ZINC000815081202 1041912815 /nfs/dbraw/zinc/91/28/15/1041912815.db2.gz VCURKGHSKRYEHZ-NRFANRHFSA-N 0 3 321.395 4.071 20 0 DIADHN CSCc1cnc(CNC[C@@H](C)c2cccc(F)c2)s1 ZINC000815119250 1041915576 /nfs/dbraw/zinc/91/55/76/1041915576.db2.gz RQDMJOBGDCLERI-LLVKDONJSA-N 0 3 310.463 4.039 20 0 DIADHN CN(Cc1cc2cnccc2o1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000651815357 1041921231 /nfs/dbraw/zinc/92/12/31/1041921231.db2.gz MBZGFLUTEGORHS-KGLIPLIRSA-N 0 3 312.335 4.381 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1cccc2ccsc21 ZINC000651862694 1041936136 /nfs/dbraw/zinc/93/61/36/1041936136.db2.gz CRMZGJLCPPLETN-UHFFFAOYSA-N 0 3 313.470 4.049 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccc3cnccc3c1)CC2 ZINC000651900926 1041946570 /nfs/dbraw/zinc/94/65/70/1041946570.db2.gz PSJPOXAHWKMKSE-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN Cc1cccc(C)c1CN1CC2(CCOCC2)[C@@H]1c1ccco1 ZINC000661563507 1041955882 /nfs/dbraw/zinc/95/58/82/1041955882.db2.gz YMNVSIHOUDIXDU-IBGZPJMESA-N 0 3 311.425 4.250 20 0 DIADHN COCCOc1cccc(CN[C@@H](C)c2ccc(F)cc2C)c1 ZINC000815560260 1041962762 /nfs/dbraw/zinc/96/27/62/1041962762.db2.gz CAILQXBUCIIYOL-HNNXBMFYSA-N 0 3 317.404 4.010 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2ccc(F)cc2C)cn1 ZINC000815561330 1041963095 /nfs/dbraw/zinc/96/30/95/1041963095.db2.gz DPJVRTRSKDUERG-OAHLLOKOSA-N 0 3 315.436 4.226 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccc(Cn2cccn2)cc1 ZINC000815556164 1041963220 /nfs/dbraw/zinc/96/32/20/1041963220.db2.gz PDAYOBISLURXMZ-INIZCTEOSA-N 0 3 323.415 4.230 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](CCCO)c1ccccc1 ZINC000815582663 1041967058 /nfs/dbraw/zinc/96/70/58/1041967058.db2.gz MHXJYBLSBBINRY-DNVCBOLYSA-N 0 3 301.405 4.299 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(C(C)C)[C@@H](C)c1ccccc1 ZINC001170793602 1041969263 /nfs/dbraw/zinc/96/92/63/1041969263.db2.gz ADFDMOJJXVDEMQ-ROUUACIJSA-N 0 3 318.505 4.351 20 0 DIADHN COc1ccc([C@@H](NCC2(C3CC3)CCC2)c2ccccn2)cc1 ZINC000652170611 1041971544 /nfs/dbraw/zinc/97/15/44/1041971544.db2.gz KRKOXCLPXZWQQE-HXUWFJFHSA-N 0 3 322.452 4.350 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1ccccc1SC(C)(C)C ZINC000815620661 1041976504 /nfs/dbraw/zinc/97/65/04/1041976504.db2.gz XPUXMUJDMPZFST-NEPJUHHUSA-N 0 3 317.445 4.244 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000815627338 1041977373 /nfs/dbraw/zinc/97/73/73/1041977373.db2.gz YTUNNSVCDVKVPN-LIRRHRJNSA-N 0 3 318.411 4.227 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cccnc1Cl ZINC000815632580 1041978683 /nfs/dbraw/zinc/97/86/83/1041978683.db2.gz RJCGEXNJBUSBKX-WHOFXGATSA-N 0 3 304.796 4.471 20 0 DIADHN CC[C@@H](NCCO[C@H](CC)c1ccccc1)c1ccc(F)cn1 ZINC000652236525 1041980673 /nfs/dbraw/zinc/98/06/73/1041980673.db2.gz QEWHNTDSXXCIMU-IEBWSBKVSA-N 0 3 316.420 4.429 20 0 DIADHN CC(C)N(Cc1ccc(OC(F)(F)F)cc1)Cc1ccccn1 ZINC000048273258 1041985490 /nfs/dbraw/zinc/98/54/90/1041985490.db2.gz OUAIJYCPTSDTNL-UHFFFAOYSA-N 0 3 324.346 4.391 20 0 DIADHN Cn1ccnc1[C@@H](Cc1ccccc1)N[C@H]1CCC12CCCC2 ZINC000652275485 1041986749 /nfs/dbraw/zinc/98/67/49/1041986749.db2.gz ORHOHYACRSDMJU-MSOLQXFVSA-N 0 3 309.457 4.016 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@@H](C)COCC2CC2)c1 ZINC000652285766 1041989594 /nfs/dbraw/zinc/98/95/94/1041989594.db2.gz FGJJFDCRTSTBME-STQMWFEESA-N 0 3 313.388 4.062 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@H](C)COCC2CC2)c1 ZINC000652285763 1041989662 /nfs/dbraw/zinc/98/96/62/1041989662.db2.gz FGJJFDCRTSTBME-CHWSQXEVSA-N 0 3 313.388 4.062 20 0 DIADHN CC[C@@H](NCc1csc(COc2ccc(F)cc2)n1)C1CC1 ZINC000661758550 1041989711 /nfs/dbraw/zinc/98/97/11/1041989711.db2.gz TXESYDFBFMYYSM-MRXNPFEDSA-N 0 3 320.433 4.139 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H](CC)C3CC3)co2)cc1 ZINC000661762928 1041993216 /nfs/dbraw/zinc/99/32/16/1041993216.db2.gz XLDHDRHEPZABTH-QGZVFWFLSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1ccccc1-c1nc(CN[C@@H]2CCCOCC2)cs1 ZINC001170803362 1041995386 /nfs/dbraw/zinc/99/53/86/1041995386.db2.gz HKUBQNJATSRQCO-OAHLLOKOSA-N 0 3 316.470 4.031 20 0 DIADHN C[C@H]1C[C@@H](NCC2SCCS2)c2ccsc2S1 ZINC000692827995 1041997619 /nfs/dbraw/zinc/99/76/19/1041997619.db2.gz UYCUMMCJJNMEII-WCBMZHEXSA-N 0 3 303.543 4.069 20 0 DIADHN FC(F)c1cccc(CN2CCC([C@H]3CCCCO3)CC2)c1 ZINC000661867968 1042010666 /nfs/dbraw/zinc/01/06/66/1042010666.db2.gz PDNBZRAWIDVSBS-QGZVFWFLSA-N 0 3 309.400 4.405 20 0 DIADHN FC(F)c1cccc(CN2CCC([C@@H]3CCCCO3)CC2)c1 ZINC000661867964 1042010814 /nfs/dbraw/zinc/01/08/14/1042010814.db2.gz PDNBZRAWIDVSBS-KRWDZBQOSA-N 0 3 309.400 4.405 20 0 DIADHN COc1ccc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)cc1Cl ZINC000661878196 1042014155 /nfs/dbraw/zinc/01/41/55/1042014155.db2.gz MHAYWCBLUHEWGN-RDJZCZTQSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000661876370 1042015107 /nfs/dbraw/zinc/01/51/07/1042015107.db2.gz RAQMHYARCUHCOM-XUWXXGDYSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@@H](NC(=O)[C@@H]1CCN1C1CCCC1)c1cccc2ccccc21 ZINC001135332574 1042015112 /nfs/dbraw/zinc/01/51/12/1042015112.db2.gz JQGMHZJJUKFINI-QRWLVFNGSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@H](NC(=O)[C@@H]1CCN1C1CCCC1)c1cccc2ccccc21 ZINC001135332575 1042015437 /nfs/dbraw/zinc/01/54/37/1042015437.db2.gz JQGMHZJJUKFINI-YWZLYKJASA-N 0 3 322.452 4.034 20 0 DIADHN O=C1CCCC12CCN(C/C=C\c1ccc(Cl)cc1)CC2 ZINC000661958697 1042029588 /nfs/dbraw/zinc/02/95/88/1042029588.db2.gz WXUBHLRIHISHDH-IHWYPQMZSA-N 0 3 303.833 4.188 20 0 DIADHN C[C@H](c1ccccc1Cl)N(CCC1OCCCO1)C1CC1 ZINC000748051485 1042031400 /nfs/dbraw/zinc/03/14/00/1042031400.db2.gz YYASSAOKTVKHLN-CYBMUJFWSA-N 0 3 309.837 4.019 20 0 DIADHN Cc1ccc2nc(CN3C[C@H](c4ccc(F)cc4)C[C@@H]3C)cn2c1 ZINC000605902390 1042031929 /nfs/dbraw/zinc/03/19/29/1042031929.db2.gz BKZHLSSBYFTZLU-DOTOQJQBSA-N 0 3 323.415 4.160 20 0 DIADHN C[C@@H](NCc1ncc(-c2ccccc2F)o1)C1CCC(F)CC1 ZINC000662000246 1042038641 /nfs/dbraw/zinc/03/86/41/1042038641.db2.gz CBVOGRKDOGIVJK-IYXRBSQSSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC(F)CC1)c1nc(-c2cccs2)no1 ZINC000662000172 1042038789 /nfs/dbraw/zinc/03/87/89/1042038789.db2.gz KCNKWCJOJIBBOC-OKZRHMCRSA-N 0 3 323.437 4.366 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC(F)CC1)c1nnc(-c2cccs2)o1 ZINC000662001905 1042038837 /nfs/dbraw/zinc/03/88/37/1042038837.db2.gz AYVQAMXJWGTTNB-ZFDZMSFRSA-N 0 3 323.437 4.366 20 0 DIADHN CCO[C@@H](C)c1nc(CN[C@H](C)C2CCC(F)CC2)cs1 ZINC000662007361 1042041640 /nfs/dbraw/zinc/04/16/40/1042041640.db2.gz VQCHPAFWKZJOJE-KBHBFKLGSA-N 0 3 314.470 4.247 20 0 DIADHN C[C@@H](NCc1ncc(-c2cccc(F)c2)o1)C1CCC(F)CC1 ZINC000662007872 1042041710 /nfs/dbraw/zinc/04/17/10/1042041710.db2.gz YQFDCUABTVSSAV-DNOWBOINSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487372446 1042041794 /nfs/dbraw/zinc/04/17/94/1042041794.db2.gz XJVVZGVYASFHHR-NEPJUHHUSA-N 0 3 321.371 4.025 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC(c3ccon3)CC2)cc1 ZINC000662043115 1042047363 /nfs/dbraw/zinc/04/73/63/1042047363.db2.gz HFSWKWABPZBMRM-UHFFFAOYSA-N 0 3 310.319 4.073 20 0 DIADHN C[C@H](c1ccncc1)N1CCC2(CCc3ccccc3O2)CC1 ZINC000662050661 1042049871 /nfs/dbraw/zinc/04/98/71/1042049871.db2.gz ULBCLYUZYIWJGR-MRXNPFEDSA-N 0 3 308.425 4.002 20 0 DIADHN COc1c(C)cc([C@@H](C)N[C@@H](C)c2cccnc2)cc1Cl ZINC000796670342 1042056039 /nfs/dbraw/zinc/05/60/39/1042056039.db2.gz CNSZMLYMZHPNGG-QWHCGFSZSA-N 0 3 304.821 4.464 20 0 DIADHN Cc1sc2[nH]c([C@@H](C)NC3(CC(C)C)CC3)nc(=O)c2c1C ZINC000662071287 1042056219 /nfs/dbraw/zinc/05/62/19/1042056219.db2.gz ZUHHSXXCRLFXCP-LLVKDONJSA-N 0 3 319.474 4.243 20 0 DIADHN Cc1sccc1CN1CCC(O)(c2cccc(C)c2C)CC1 ZINC000816515994 1042061325 /nfs/dbraw/zinc/06/13/25/1042061325.db2.gz RIOOZCWQEIWTEK-UHFFFAOYSA-N 0 3 315.482 4.157 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ncc(-c2ccc(F)cc2)o1 ZINC000662132487 1042071209 /nfs/dbraw/zinc/07/12/09/1042071209.db2.gz DTSUSHPZGCXQTJ-SFESLNEESA-N 0 3 300.377 4.244 20 0 DIADHN c1ccc(-c2nnc(CN3CCCC[C@@H]3CC3CCC3)o2)cc1 ZINC000662156012 1042073698 /nfs/dbraw/zinc/07/36/98/1042073698.db2.gz JWOCOXTYQOTSBL-QGZVFWFLSA-N 0 3 311.429 4.281 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(CCC1CC1)CC1CC1 ZINC000662179234 1042078657 /nfs/dbraw/zinc/07/86/57/1042078657.db2.gz MROUFEJGTGXOER-UHFFFAOYSA-N 0 3 320.864 4.099 20 0 DIADHN COc1ccc([C@@H]2CCN(c3ccnc4ccccc43)C2)cc1 ZINC000599802492 1042079626 /nfs/dbraw/zinc/07/96/26/1042079626.db2.gz RQTODONTGDBOAW-MRXNPFEDSA-N 0 3 304.393 4.237 20 0 DIADHN C=C/C=C\CCN1CCN(c2ccc(Cl)c(F)c2)C[C@@H]1C ZINC001170845677 1042085572 /nfs/dbraw/zinc/08/55/72/1042085572.db2.gz CLMWCWKPCHIAFT-WSNITJDQSA-N 0 3 308.828 4.122 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H](C)C3CCCC3)o2)cc1 ZINC000184639604 1042086029 /nfs/dbraw/zinc/08/60/29/1042086029.db2.gz HWPIDGWCOHDIHO-CYBMUJFWSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@@H](NCc1nnc(-c2cccc(Cl)c2)o1)C1CCCC1 ZINC000184652381 1042086321 /nfs/dbraw/zinc/08/63/21/1042086321.db2.gz CSRZSVIFMYJJSG-LLVKDONJSA-N 0 3 305.809 4.058 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC001135742269 1042088365 /nfs/dbraw/zinc/08/83/65/1042088365.db2.gz HSZLWZATXTXIOT-UYAOXDASSA-N 0 3 318.505 4.402 20 0 DIADHN Cc1ccc(CN2CCC3(C=Cc4ccccc4O3)CC2)c(C)n1 ZINC000662215842 1042090220 /nfs/dbraw/zinc/09/02/20/1042090220.db2.gz PFSOHJSEJPEEGE-UHFFFAOYSA-N 0 3 320.436 4.139 20 0 DIADHN CC1CCC(N2CCN(C(=O)CC3CCCCCC3)CC2)CC1 ZINC001126217557 1042096643 /nfs/dbraw/zinc/09/66/43/1042096643.db2.gz BNBUJGLUNHGCLH-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cccc(F)c2)cc1OC ZINC000061045955 1042100415 /nfs/dbraw/zinc/10/04/15/1042100415.db2.gz HSVUUELXRGNXHI-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001135853698 1042100382 /nfs/dbraw/zinc/10/03/82/1042100382.db2.gz DNUOTKXTSKZKOB-ZIAGYGMSSA-N 0 3 320.477 4.014 20 0 DIADHN Cc1cc(Cl)cc(Cl)c1CNc1ccc(CN(C)C)cn1 ZINC001167796823 1042107826 /nfs/dbraw/zinc/10/78/26/1042107826.db2.gz VXRCBTOQGHONSK-UHFFFAOYSA-N 0 3 324.255 4.371 20 0 DIADHN FC(F)(F)c1ccncc1CN[C@@H]1CCCc2ccccc21 ZINC000663312670 1042109816 /nfs/dbraw/zinc/10/98/16/1042109816.db2.gz ZISGXQBKJRCMSI-MRXNPFEDSA-N 0 3 306.331 4.268 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CCC[C@H]1C(C)C ZINC000140430134 1042116399 /nfs/dbraw/zinc/11/63/99/1042116399.db2.gz KXMONFFFYPLFPV-KRWDZBQOSA-N 0 3 302.462 4.177 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccncc1Cl)[C@@H](O)c1ccccc1 ZINC000817584145 1042117252 /nfs/dbraw/zinc/11/72/52/1042117252.db2.gz SLHZUIIQJQWWCI-KKXDTOCCSA-N 0 3 318.848 4.144 20 0 DIADHN CC(C)=CCCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817583893 1042117298 /nfs/dbraw/zinc/11/72/98/1042117298.db2.gz MWJWEJWVWZRKAK-GFCCVEGCSA-N 0 3 315.280 4.303 20 0 DIADHN CC(C)=CCCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000817583894 1042117351 /nfs/dbraw/zinc/11/73/51/1042117351.db2.gz MWJWEJWVWZRKAK-LBPRGKRZSA-N 0 3 315.280 4.303 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@H](C)[C@@H](O)c1ccccc1 ZINC000817584429 1042117433 /nfs/dbraw/zinc/11/74/33/1042117433.db2.gz CELNGUVGDLKVDW-VEVIJQCQSA-N 0 3 319.832 4.121 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccncc1Cl)[C@H](O)c1ccccc1 ZINC000817584146 1042117522 /nfs/dbraw/zinc/11/75/22/1042117522.db2.gz SLHZUIIQJQWWCI-MORSLUCNSA-N 0 3 318.848 4.144 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001167799150 1042119722 /nfs/dbraw/zinc/11/97/22/1042119722.db2.gz UBNDNZOYRBBZDS-BBRMVZONSA-N 0 3 308.853 4.179 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001167799152 1042120058 /nfs/dbraw/zinc/12/00/58/1042120058.db2.gz UBNDNZOYRBBZDS-CZUORRHYSA-N 0 3 308.853 4.179 20 0 DIADHN CC(=O)Nc1ccc(CN[C@]2(c3ccccc3)CC2(C)C)cc1 ZINC000663337647 1042125454 /nfs/dbraw/zinc/12/54/54/1042125454.db2.gz CNQNNQKOTNBSJY-FQEVSTJZSA-N 0 3 308.425 4.060 20 0 DIADHN CCn1nccc1CNCc1ccc(-c2ccc(C)cc2)s1 ZINC000663348374 1042128768 /nfs/dbraw/zinc/12/87/68/1042128768.db2.gz UIVGRJXXZAXZJA-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN CCn1nccc1CNC/C(C)=C\c1cccc(C(F)(F)F)c1 ZINC000663349250 1042128878 /nfs/dbraw/zinc/12/88/78/1042128878.db2.gz ULXNFKHOVQHHAC-LCYFTJDESA-N 0 3 323.362 4.115 20 0 DIADHN CC(C)(CNCc1coc(-c2ccccc2)n1)CC(F)(F)F ZINC000663355248 1042130391 /nfs/dbraw/zinc/13/03/91/1042130391.db2.gz JGBKBTUSSOFKOK-UHFFFAOYSA-N 0 3 312.335 4.410 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000165357955 1042146004 /nfs/dbraw/zinc/14/60/04/1042146004.db2.gz NJAVKMKNXQNCLJ-KBPBESRZSA-N 0 3 303.475 4.332 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(N3CCCC3=O)c2)c(C)c1 ZINC000068706384 1042147087 /nfs/dbraw/zinc/14/70/87/1042147087.db2.gz SISZUGUSWDLMTJ-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN Clc1cccc2c1C[C@H](NCc1cccnc1Cl)CC2 ZINC001170897840 1042147330 /nfs/dbraw/zinc/14/73/30/1042147330.db2.gz SSRHHUUURKTFKC-CYBMUJFWSA-N 0 3 307.224 4.036 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)[C@@H](O)c2ccccc2)c(Cl)c1 ZINC000818339189 1042148166 /nfs/dbraw/zinc/14/81/66/1042148166.db2.gz HVAKYCIRAVUKBB-VEVIJQCQSA-N 0 3 319.832 4.121 20 0 DIADHN CC(C)C[C@@H](NCc1cc(N)ccn1)c1ccc(Cl)cc1 ZINC000925772465 1042160117 /nfs/dbraw/zinc/16/01/17/1042160117.db2.gz SEYGIFRPWHCAKX-QGZVFWFLSA-N 0 3 303.837 4.194 20 0 DIADHN COc1ccc(-c2nc(CN(C)C3CCC(C)CC3)co2)cc1 ZINC000636903149 1042165964 /nfs/dbraw/zinc/16/59/64/1042165964.db2.gz RBTKKZMCBGJNDJ-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1ccc([C@@H](NCc2cnccc2C(F)(F)F)C2CC2)cc1 ZINC000663469255 1042180591 /nfs/dbraw/zinc/18/05/91/1042180591.db2.gz DEOPYUJBRCWMIZ-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccnc(Cl)c2)C1(CC)CC ZINC001167820224 1042183660 /nfs/dbraw/zinc/18/36/60/1042183660.db2.gz QZKWKCSVQLCUMD-LSDHHAIUSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cnccc1C(F)(F)F ZINC000663474373 1042184226 /nfs/dbraw/zinc/18/42/26/1042184226.db2.gz NRCZEEQUQSWHOD-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1cnccc1C(F)(F)F ZINC000663474800 1042186190 /nfs/dbraw/zinc/18/61/90/1042186190.db2.gz QWPWQEUHTIJDJL-MEDUHNTESA-N 0 3 306.331 4.124 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cnccc1C(F)(F)F ZINC000663475049 1042186325 /nfs/dbraw/zinc/18/63/25/1042186325.db2.gz SIZNPFDQILLCTO-MRXNPFEDSA-N 0 3 306.331 4.186 20 0 DIADHN Cc1nc2c(s1)CN([C@@H]1CCc3cccc(Cl)c3C1)CC2 ZINC001170934903 1042192634 /nfs/dbraw/zinc/19/26/34/1042192634.db2.gz NXUHPFHBLHGTTO-CYBMUJFWSA-N 0 3 318.873 4.021 20 0 DIADHN FC(F)(F)[C@H]1CCN([C@@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170936026 1042193649 /nfs/dbraw/zinc/19/36/49/1042193649.db2.gz XPUHSBYEHWMLEA-NWDGAFQWSA-N 0 3 303.755 4.082 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1cncnc1)CC(F)(F)F ZINC000663479045 1042194795 /nfs/dbraw/zinc/19/47/95/1042194795.db2.gz YITJELKCLHRYNM-OAHLLOKOSA-N 0 3 323.362 4.134 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCC[C@@H](C)C2)c(Cl)c1 ZINC000026470209 1042195252 /nfs/dbraw/zinc/19/52/52/1042195252.db2.gz UYUMZRKNZJDWKJ-IUODEOHRSA-N 0 3 322.880 4.406 20 0 DIADHN COc1c(C)cnc(CN(Cc2ccc(C)s2)C(C)C)c1C ZINC000303233101 1042199078 /nfs/dbraw/zinc/19/90/78/1042199078.db2.gz YUBGGFDKXAONMB-UHFFFAOYSA-N 0 3 318.486 4.488 20 0 DIADHN Cc1cccc2cc(CNC[C@]3(C)CC3(F)F)c(Cl)nc12 ZINC001203161424 1042202437 /nfs/dbraw/zinc/20/24/37/1042202437.db2.gz NPPMXGHUXBRRRF-HNNXBMFYSA-N 0 3 310.775 4.332 20 0 DIADHN Cc1cc(CNCc2ccc(C(F)F)cc2)c2c(n1)CCCC2 ZINC000893620825 1042210276 /nfs/dbraw/zinc/21/02/76/1042210276.db2.gz FNRGYRCPVPNHGU-UHFFFAOYSA-N 0 3 316.395 4.496 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1ccn(-c2ccccc2)n1 ZINC000303933187 1042212480 /nfs/dbraw/zinc/21/24/80/1042212480.db2.gz RAFDARIGGIKTKP-HXUWFJFHSA-N 0 3 319.452 4.325 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1ncc(Br)cc1F ZINC001170948193 1042218885 /nfs/dbraw/zinc/21/88/85/1042218885.db2.gz FWSVEUQQFPTFCQ-IINYFYTJSA-N 0 3 315.230 4.042 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccc(Br)cc1 ZINC001648935609 1042221725 /nfs/dbraw/zinc/22/17/25/1042221725.db2.gz WPUZKWDHGNVZJH-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN CC(C)C[C@@H](C)OC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000820773645 1042227945 /nfs/dbraw/zinc/22/79/45/1042227945.db2.gz CKPBVBGPIXUFCN-CABCVRRESA-N 0 3 324.490 4.046 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc(C)ccc1F)c1ccsc1 ZINC000604891138 1042230959 /nfs/dbraw/zinc/23/09/59/1042230959.db2.gz PLWSXBAHGLEJEU-SFHVURJKSA-N 0 3 320.477 4.368 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000558254185 1042236181 /nfs/dbraw/zinc/23/61/81/1042236181.db2.gz AXGNTESZLJPHHN-SUMWQHHRSA-N 0 3 320.477 4.403 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(F)c1)c1ccc2c(c1)CCO2 ZINC000178530206 1042240421 /nfs/dbraw/zinc/24/04/21/1042240421.db2.gz IIAQNFLECPUUGJ-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)[C@H]1CCC=CCCC1 ZINC001136411048 1042241710 /nfs/dbraw/zinc/24/17/10/1042241710.db2.gz YBXOONICLQRDHO-IBGZPJMESA-N 0 3 320.521 4.093 20 0 DIADHN CC(=O)Nc1cccc(CN2CCCCC[C@H]2c2ccncc2)c1 ZINC000585748529 1042243018 /nfs/dbraw/zinc/24/30/18/1042243018.db2.gz WKNKIYXVCBKRFU-FQEVSTJZSA-N 0 3 323.440 4.157 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@H](C)c2cncs2)cc1 ZINC000558256247 1042246170 /nfs/dbraw/zinc/24/61/70/1042246170.db2.gz JAAXUIPYBPJVPX-UONOGXRCSA-N 0 3 304.459 4.385 20 0 DIADHN CCc1ccccc1CN[C@@H](c1ccc(F)cc1)[C@H]1CCCO1 ZINC001648940417 1042249511 /nfs/dbraw/zinc/24/95/11/1042249511.db2.gz AKCRZCXIORWCRD-UXHICEINSA-N 0 3 313.416 4.398 20 0 DIADHN CC(C)(C)C[C@@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000605008932 1042249593 /nfs/dbraw/zinc/24/95/93/1042249593.db2.gz DXPFZXLZHAGDCE-CYBMUJFWSA-N 0 3 321.848 4.487 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)CC2CCCCC2)c(C)c1 ZINC000007524269 1042251187 /nfs/dbraw/zinc/25/11/87/1042251187.db2.gz ZXCDEXWSPOHCBK-UHFFFAOYSA-N 0 3 302.462 4.062 20 0 DIADHN CC1(C)OC[C@H](CN2CC3(CCCCC3)[C@@H]2c2ccccc2)O1 ZINC001170966629 1042252489 /nfs/dbraw/zinc/25/24/89/1042252489.db2.gz CDDQFVIHGACSNC-ROUUACIJSA-N 0 3 315.457 4.145 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)CCCC(C)C)N2CCCC2)cc1 ZINC001136479547 1042261190 /nfs/dbraw/zinc/26/11/90/1042261190.db2.gz NINKVDIXRHCRHW-LJQANCHMSA-N 0 3 316.489 4.074 20 0 DIADHN CC[C@H](NC(=O)Nc1cccc(CN(C)C)c1)C1CCCCC1 ZINC000585819484 1042270314 /nfs/dbraw/zinc/27/03/14/1042270314.db2.gz BUOOKZCJJIELIP-SFHVURJKSA-N 0 3 317.477 4.229 20 0 DIADHN CCCOc1ccc(CNCc2ccc(C)c(F)c2)cc1OC ZINC000236826551 1042282309 /nfs/dbraw/zinc/28/23/09/1042282309.db2.gz MMFMYMZUDRPRFL-UHFFFAOYSA-N 0 3 317.404 4.221 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000279213408 1042282661 /nfs/dbraw/zinc/28/26/61/1042282661.db2.gz HFVLNMXDRSPCCB-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccc(Cl)cc1F ZINC001137661579 1042290072 /nfs/dbraw/zinc/29/00/72/1042290072.db2.gz ZBAOSLHXRKUOFI-GORDUTHDSA-N 0 3 304.796 4.409 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCCO[C@@H](c2ccccc2)C1 ZINC000822611402 1042293227 /nfs/dbraw/zinc/29/32/27/1042293227.db2.gz MJYCLQSQMLVUQI-LSDHHAIUSA-N 0 3 314.256 4.034 20 0 DIADHN CC(C)COC[C@H](NCC[C@H]1CC1(Cl)Cl)c1ccco1 ZINC000822841936 1042302634 /nfs/dbraw/zinc/30/26/34/1042302634.db2.gz RJJMXHKUYWPASZ-STQMWFEESA-N 0 3 320.260 4.167 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1ccc2c(c1)OCO2 ZINC000061326610 1042308493 /nfs/dbraw/zinc/30/84/93/1042308493.db2.gz WTCIOPAXNKGVLU-BXUZGUMPSA-N 0 3 301.411 4.205 20 0 DIADHN C[C@H](NCc1cc(Br)cs1)c1ccsc1 ZINC000061361095 1042309411 /nfs/dbraw/zinc/30/94/11/1042309411.db2.gz ITFHQQUARSWXFE-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN FC(F)(F)CC1CCN(CC[C@H]2CC2(Cl)Cl)CC1 ZINC000823032216 1042309519 /nfs/dbraw/zinc/30/95/19/1042309519.db2.gz YAIABKDYEZJKIU-JTQLQIEISA-N 0 3 304.183 4.235 20 0 DIADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1ncc(Br)cc1F ZINC000187283466 1042309933 /nfs/dbraw/zinc/30/99/33/1042309933.db2.gz FZTRLTWRMYQTKI-BXKDBHETSA-N 0 3 315.230 4.213 20 0 DIADHN CC(C)C1(CN[C@@H](C)c2ncc(Br)cc2F)CC1 ZINC000187364225 1042312384 /nfs/dbraw/zinc/31/23/84/1042312384.db2.gz INOKGROPWONWMZ-JTQLQIEISA-N 0 3 315.230 4.070 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)/C=C/[C@]1(C)CC[C@@H](C)C1(C)C ZINC001136692844 1042318868 /nfs/dbraw/zinc/31/88/68/1042318868.db2.gz LSUMWKYVVSWYHB-UEVHFDIWSA-N 0 3 322.537 4.051 20 0 DIADHN c1sc(C2CCCC2)nc1CN1CCC[C@H]1C1CCOCC1 ZINC000348947555 1042325790 /nfs/dbraw/zinc/32/57/90/1042325790.db2.gz JLHRUVKZFRESHL-KRWDZBQOSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1ccc2ncccc2c1 ZINC000279636568 1042325963 /nfs/dbraw/zinc/32/59/63/1042325963.db2.gz ITMUBTMORVHYLQ-UHFFFAOYSA-N 0 3 322.456 4.093 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCO2)c1cccc(Cl)c1 ZINC000021815788 1042326273 /nfs/dbraw/zinc/32/62/73/1042326273.db2.gz NQHOXJRKLBEYNT-OAHLLOKOSA-N 0 3 303.789 4.310 20 0 DIADHN C[C@@H](c1ccco1)N(CCN1CCCc2ccccc21)C1CC1 ZINC001167881239 1042327256 /nfs/dbraw/zinc/32/72/56/1042327256.db2.gz KBTBREOAYMNKTR-INIZCTEOSA-N 0 3 310.441 4.258 20 0 DIADHN CCOc1ccc(O)c(CN[C@H](C)c2ccccc2Cl)c1 ZINC000236851375 1042327266 /nfs/dbraw/zinc/32/72/66/1042327266.db2.gz VHVHKGNFSYHNHF-GFCCVEGCSA-N 0 3 305.805 4.295 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)[C@H](C)N1CCCCCC1)C(C)C ZINC001136737077 1042331226 /nfs/dbraw/zinc/33/12/26/1042331226.db2.gz XHIYDYBIQXQVEY-HKUYNNGSSA-N 0 3 316.489 4.073 20 0 DIADHN CC(C)Cc1ccccc1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC001167888266 1042334361 /nfs/dbraw/zinc/33/43/61/1042334361.db2.gz AMMPWBHDWUPUGV-UHFFFAOYSA-N 0 3 319.452 4.361 20 0 DIADHN OC[C@H]1c2ccccc2CCN1Cc1ccc(C2CCCC2)cc1 ZINC001167889454 1042334675 /nfs/dbraw/zinc/33/46/75/1042334675.db2.gz MRKWLYCJRKPAHX-QFIPXVFZSA-N 0 3 321.464 4.436 20 0 DIADHN CCn1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000112096749 1042339043 /nfs/dbraw/zinc/33/90/43/1042339043.db2.gz PQAHHJPWCUSNFX-KRWDZBQOSA-N 0 3 303.425 4.309 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@@H](CC)c2ccccc2)c1 ZINC000112530185 1042341365 /nfs/dbraw/zinc/34/13/65/1042341365.db2.gz GPRFYDUCLDPXFL-UZLBHIALSA-N 0 3 324.468 4.456 20 0 DIADHN CC[C@@H](NCc1cnccc1C)c1ccc(Br)cc1 ZINC000123227112 1042343362 /nfs/dbraw/zinc/34/33/62/1042343362.db2.gz VNIVVMWALCWQFI-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN OCCC[C@H](NCc1cccc(C(F)F)c1)c1ccccc1 ZINC000279805917 1042343563 /nfs/dbraw/zinc/34/35/63/1042343563.db2.gz WVHODDQMLDHENU-KRWDZBQOSA-N 0 3 305.368 4.228 20 0 DIADHN COCc1cc([C@@H](C)NCc2ccc(Cl)cc2)ccc1OC ZINC000123393103 1042344570 /nfs/dbraw/zinc/34/45/70/1042344570.db2.gz XQKMIKUHFHGVDP-CYBMUJFWSA-N 0 3 319.832 4.346 20 0 DIADHN COC1(C(F)(F)F)CCN(c2ccnc3ccc(C)cc32)CC1 ZINC000418983665 1042369682 /nfs/dbraw/zinc/36/96/82/1042369682.db2.gz KBIFBHAAKCUHCZ-UHFFFAOYSA-N 0 3 324.346 4.091 20 0 DIADHN CCc1nn(C)cc1CNCc1ccc(C2CCCCC2)cc1 ZINC000644890021 1042370424 /nfs/dbraw/zinc/37/04/24/1042370424.db2.gz AIQJPSNSVGAMLR-UHFFFAOYSA-N 0 3 311.473 4.320 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCOCC2CC2)o1 ZINC000237659385 1042379201 /nfs/dbraw/zinc/37/92/01/1042379201.db2.gz IBKKHNSIOOOLML-UHFFFAOYSA-N 0 3 305.805 4.116 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN(C)Cc1ccc(Cl)nc1 ZINC001207679087 1042383128 /nfs/dbraw/zinc/38/31/28/1042383128.db2.gz VMZXKKNHHMMWQK-AWEZNQCLSA-N 0 3 312.885 4.134 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](CC)c1ccncc1)CCC2 ZINC000163713667 1042384751 /nfs/dbraw/zinc/38/47/51/1042384751.db2.gz QDCXKEGTXNBBIE-KBPBESRZSA-N 0 3 301.459 4.219 20 0 DIADHN CCCCCN(Cc1nnc(-c2ccc(OC)cc2)o1)C(C)C ZINC000051709054 1042384847 /nfs/dbraw/zinc/38/48/47/1042384847.db2.gz JRYWWCVOODWDLK-UHFFFAOYSA-N 0 3 317.433 4.146 20 0 DIADHN C[C@H](CNCc1c(Cl)cccc1OC(F)F)CC(F)F ZINC000647225956 1042400952 /nfs/dbraw/zinc/40/09/52/1042400952.db2.gz JUKABSASGYOSDN-QMMMGPOBSA-N 0 3 313.722 4.322 20 0 DIADHN CSc1ccc(CN2CC[C@@H](COCc3ccccc3)C2)o1 ZINC000894053177 1042405690 /nfs/dbraw/zinc/40/56/90/1042405690.db2.gz IFXMWVOWPDWBTI-MRXNPFEDSA-N 0 3 317.454 4.040 20 0 DIADHN Fc1ccc(OC(F)(F)F)cc1CN(CC1CC1)C1CC1 ZINC001143551135 1042406579 /nfs/dbraw/zinc/40/65/79/1042406579.db2.gz PIYXLHFBTJSFAY-UHFFFAOYSA-N 0 3 303.299 4.099 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)o1 ZINC000894088247 1042407262 /nfs/dbraw/zinc/40/72/62/1042407262.db2.gz JKGXYCCLMAAFHN-TZMCWYRMSA-N 0 3 323.483 4.151 20 0 DIADHN CCC[C@@H](NCc1ccc2c(c1)OCO2)c1ccc(OC)cc1 ZINC000152059804 1042408139 /nfs/dbraw/zinc/40/81/39/1042408139.db2.gz LEOKZEHWENXMPW-QGZVFWFLSA-N 0 3 313.397 4.055 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000924859880 1042408277 /nfs/dbraw/zinc/40/82/77/1042408277.db2.gz YOXJOGTWEJLYQV-CRAIPNDOSA-N 0 3 306.413 4.069 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H](C)[C@H](C)c2ccc(Cl)cc2)s1 ZINC000279957282 1042408934 /nfs/dbraw/zinc/40/89/34/1042408934.db2.gz PFXOFSJSBZBKNS-DCAQKATOSA-N 0 3 309.866 4.343 20 0 DIADHN Cc1nnc([C@H](C)N[C@H](C)[C@@H](C)c2ccc(Cl)cc2)s1 ZINC000279957286 1042409128 /nfs/dbraw/zinc/40/91/28/1042409128.db2.gz PFXOFSJSBZBKNS-MXWKQRLJSA-N 0 3 309.866 4.343 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC[C@H](c3nccs3)C2)c1 ZINC000411205637 1042431092 /nfs/dbraw/zinc/43/10/92/1042431092.db2.gz ANNSUKSIYUARHT-BPUTZDHNSA-N 0 3 302.418 4.018 20 0 DIADHN C[C@H]1C[C@@H](NCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000295957331 1042439748 /nfs/dbraw/zinc/43/97/48/1042439748.db2.gz LVVVWNTXMXYITR-IINYFYTJSA-N 0 3 310.228 4.028 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@H]3c3ccncc3)cc2c1 ZINC000411421180 1042446630 /nfs/dbraw/zinc/44/66/30/1042446630.db2.gz RCOUMTXCBJIUDQ-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CCOc1cc(F)c(F)cc1Nc1ccc2c(c1)CN(C)CC2 ZINC001211807438 1042456497 /nfs/dbraw/zinc/45/64/97/1042456497.db2.gz IMGNCLLDQGLAJS-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCOc2cc(C)cc(C)c2)on1 ZINC000052009552 1042461352 /nfs/dbraw/zinc/46/13/52/1042461352.db2.gz QPSFJXUXEPBPKT-GOSISDBHSA-N 0 3 314.429 4.206 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCOc2ccc(C)c(C)c2)on1 ZINC000052010318 1042461807 /nfs/dbraw/zinc/46/18/07/1042461807.db2.gz BTSZWFXXSLPXTA-SFHVURJKSA-N 0 3 314.429 4.206 20 0 DIADHN CN(CC(=O)Nc1c(F)cccc1F)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000419327845 1042470308 /nfs/dbraw/zinc/47/03/08/1042470308.db2.gz DVAVTBYSTAIYSE-SWLSCSKDSA-N 0 3 324.415 4.050 20 0 DIADHN CN(Cc1nnc(-c2ccccc2)o1)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000419332047 1042471316 /nfs/dbraw/zinc/47/13/16/1042471316.db2.gz SMIVQVHIIPIJTQ-HOTGVXAUSA-N 0 3 313.445 4.383 20 0 DIADHN CO[C@@H](C)c1nc(CNC(C)(C)Cc2ccc(C)cc2)cs1 ZINC000419338455 1042471687 /nfs/dbraw/zinc/47/16/87/1042471687.db2.gz SPGBGIKPAAWMJU-AWEZNQCLSA-N 0 3 318.486 4.270 20 0 DIADHN CO[C@H](C)c1nc(CNC(C)(C)Cc2ccc(C)cc2)cs1 ZINC000419338458 1042472019 /nfs/dbraw/zinc/47/20/19/1042472019.db2.gz SPGBGIKPAAWMJU-CQSZACIVSA-N 0 3 318.486 4.270 20 0 DIADHN Cc1sc2[nH]c(CN(C)[C@H]3CCCC[C@H]3C)nc(=O)c2c1C ZINC000253586189 1042474775 /nfs/dbraw/zinc/47/47/75/1042474775.db2.gz TUBWMZLKMJUSFG-MFKMUULPSA-N 0 3 319.474 4.024 20 0 DIADHN CCc1noc(C)c1CN(Cc1ccc(OC)cc1)C(C)(C)C ZINC000571358646 1042475399 /nfs/dbraw/zinc/47/53/99/1042475399.db2.gz COPULZGPVPJTGD-UHFFFAOYSA-N 0 3 316.445 4.355 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000419352185 1042476276 /nfs/dbraw/zinc/47/62/76/1042476276.db2.gz JWJUVAPVTZCJFE-HNNXBMFYSA-N 0 3 322.452 4.416 20 0 DIADHN Cc1cc(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)on1 ZINC000052464817 1042480194 /nfs/dbraw/zinc/48/01/94/1042480194.db2.gz DZSLPGRARFTKLZ-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN CCCCc1ccc(C(=O)NC[C@@H](c2ccccc2)N(C)C)cc1 ZINC000025421607 1042491044 /nfs/dbraw/zinc/49/10/44/1042491044.db2.gz ZNAHWOKQVAIQSP-FQEVSTJZSA-N 0 3 324.468 4.062 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@@H](C)c2ccnnc2)C2CC2)cc1 ZINC000924895621 1042512806 /nfs/dbraw/zinc/51/28/06/1042512806.db2.gz GYLRXXRSJLSPRR-YWZLYKJASA-N 0 3 309.457 4.477 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccccc2OC)cc1 ZINC001203434776 1042515500 /nfs/dbraw/zinc/51/55/00/1042515500.db2.gz OPACOKWUSPTAQH-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN Cc1ccc(C)c(CNCc2cc(F)cc(Br)c2)c1 ZINC000614469547 1042528159 /nfs/dbraw/zinc/52/81/59/1042528159.db2.gz USWIAEDAJUVQNX-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN CC(C)(CO)C(C)(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000513501420 1042548928 /nfs/dbraw/zinc/54/89/28/1042548928.db2.gz SVFBFSQMDKORFM-UHFFFAOYSA-N 0 3 323.786 4.246 20 0 DIADHN COc1ccccc1CCN(C)Cc1cnc(CC(C)C)s1 ZINC000513478422 1042546192 /nfs/dbraw/zinc/54/61/92/1042546192.db2.gz DEXPXFDNPRTFSJ-UHFFFAOYSA-N 0 3 318.486 4.025 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3cnn(-c4ccccc4)c3)C2)c1 ZINC000513485585 1042548296 /nfs/dbraw/zinc/54/82/96/1042548296.db2.gz RJANKLYAABIJGB-GOSISDBHSA-N 0 3 321.399 4.001 20 0 DIADHN C[C@@]1(CNCc2cc(C(F)(F)F)ccc2Cl)CCCO1 ZINC000513502287 1042548624 /nfs/dbraw/zinc/54/86/24/1042548624.db2.gz YKAXDHZYEZAJQG-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1ccc(C)cc1C ZINC000513536737 1042550846 /nfs/dbraw/zinc/55/08/46/1042550846.db2.gz OAYNOEUIVBXSEQ-UHFFFAOYSA-N 0 3 317.477 4.033 20 0 DIADHN CC[C@H](C)[C@@H](NCc1ccnn1CC)c1ccc(Cl)cc1 ZINC000513530881 1042551111 /nfs/dbraw/zinc/55/11/11/1042551111.db2.gz CPFAJTJOZKZIRO-SUMWQHHRSA-N 0 3 305.853 4.433 20 0 DIADHN CC(C)c1nc(CCN[C@H]2CCc3c2nccc3Cl)cs1 ZINC000924907438 1042555064 /nfs/dbraw/zinc/55/50/64/1042555064.db2.gz NTSODKNRSQTEJG-AWEZNQCLSA-N 0 3 321.877 4.135 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCc2c(C)cc(C)cc2C)c1 ZINC000513655358 1042556839 /nfs/dbraw/zinc/55/68/39/1042556839.db2.gz MCPZBAZDDSJNDD-CQSZACIVSA-N 0 3 317.454 4.311 20 0 DIADHN Cc1ccnc([C@H](C)NCCOc2cccc3ccccc32)c1 ZINC000513668126 1042557366 /nfs/dbraw/zinc/55/73/66/1042557366.db2.gz WANVJSJNSDFFPK-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN CC(C)(C)n1cc(CN2CCC[C@@H](Cc3ccccc3)C2)cn1 ZINC000513647469 1042557572 /nfs/dbraw/zinc/55/75/72/1042557572.db2.gz JOMRQLJTBYZOGQ-SFHVURJKSA-N 0 3 311.473 4.093 20 0 DIADHN c1c[nH]c([C@H](NCCCOc2ccccc2)C2CCCCC2)n1 ZINC000513683139 1042559300 /nfs/dbraw/zinc/55/93/00/1042559300.db2.gz GXCATYIJFULBKD-GOSISDBHSA-N 0 3 313.445 4.090 20 0 DIADHN Cc1occc1CNc1cccc(CN2CCSCC2)c1C ZINC000414358143 1042559532 /nfs/dbraw/zinc/55/95/32/1042559532.db2.gz FUIIUJLXCFWVTP-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1cccc(C(F)(F)F)c1 ZINC000280243451 1042568346 /nfs/dbraw/zinc/56/83/46/1042568346.db2.gz WCTCYTXOBSPBGR-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2nn(C)cc21)c1cccc(Cl)c1 ZINC000280279924 1042569963 /nfs/dbraw/zinc/56/99/63/1042569963.db2.gz YKJXXVUJOOYLNL-CVEARBPZSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC(c2cccc(Cl)c2)CC1 ZINC000280286312 1042570254 /nfs/dbraw/zinc/57/02/54/1042570254.db2.gz DWEIFKJKLLUSFZ-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccc(C(F)F)nc1)CCC2 ZINC000631124925 1042571120 /nfs/dbraw/zinc/57/11/20/1042571120.db2.gz VDFAHUWTKDTSDW-HNNXBMFYSA-N 0 3 306.331 4.326 20 0 DIADHN CC[C@@H]1CCN1Cc1c(C)nn(Cc2ccccc2Cl)c1C ZINC000430198087 1042579913 /nfs/dbraw/zinc/57/99/13/1042579913.db2.gz XRHVCYVMHLNEIJ-MRXNPFEDSA-N 0 3 317.864 4.186 20 0 DIADHN CCc1ncc(CN[C@@H](C)c2ccc(F)c3ccccc32)cn1 ZINC000414438112 1042595116 /nfs/dbraw/zinc/59/51/16/1042595116.db2.gz CVXALHREQUUVIC-ZDUSSCGKSA-N 0 3 309.388 4.182 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)c1 ZINC000513716952 1042596591 /nfs/dbraw/zinc/59/65/91/1042596591.db2.gz KBQSBOWHWQLLRT-NVGCLXPQSA-N 0 3 315.438 4.119 20 0 DIADHN C[C@H](NCc1cccc(N)c1)c1cccc(OC(F)(F)F)c1 ZINC000420402737 1042599997 /nfs/dbraw/zinc/59/99/97/1042599997.db2.gz KMROGCAMKDJYMC-NSHDSACASA-N 0 3 310.319 4.018 20 0 DIADHN COc1cc(CNC(C)(C)c2cccc(Cl)c2)cc(OC)c1 ZINC000237100244 1042602490 /nfs/dbraw/zinc/60/24/90/1042602490.db2.gz LBYIPRPWBGDORZ-UHFFFAOYSA-N 0 3 319.832 4.382 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(c3ccncn3)CC2)s1 ZINC000414469332 1042611932 /nfs/dbraw/zinc/61/19/32/1042611932.db2.gz IVTVIMZHFFLYOT-UHFFFAOYSA-N 0 3 315.486 4.215 20 0 DIADHN c1coc(-c2ncc(CN3CCSC4(CCC4)CC3)s2)c1 ZINC000414482456 1042617573 /nfs/dbraw/zinc/61/75/73/1042617573.db2.gz XUNWPNHHNRALQV-UHFFFAOYSA-N 0 3 320.483 4.265 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC[C@H](C4CCCC4)C3)o2)cc1 ZINC000420966323 1042617742 /nfs/dbraw/zinc/61/77/42/1042617742.db2.gz VFAQQIDQHPVRJG-KRWDZBQOSA-N 0 3 311.429 4.057 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2cnc3ccccc3c2)C1 ZINC000420956446 1042617903 /nfs/dbraw/zinc/61/79/03/1042617903.db2.gz YIEJHNVMUFKRQV-CYBMUJFWSA-N 0 3 308.347 4.399 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@H]2CCC[C@H]2C2CC2)s1 ZINC000414486394 1042619620 /nfs/dbraw/zinc/61/96/20/1042619620.db2.gz QRCQRUFLHFMVSS-MNOVXSKESA-N 0 3 304.381 4.078 20 0 DIADHN C[C@H](NC1CCC(c2ccc(O)cc2)CC1)c1nccs1 ZINC000414495863 1042624089 /nfs/dbraw/zinc/62/40/89/1042624089.db2.gz OVHKNROHIIWFAS-OPFPJEHXSA-N 0 3 302.443 4.226 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)C1CC(OC(C)(C)C)C1 ZINC000421373254 1042633158 /nfs/dbraw/zinc/63/31/58/1042633158.db2.gz NISXHUYRUJFEMQ-UHFFFAOYSA-N 0 3 321.509 4.033 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](CC(F)F)c2ccccc2)cc1 ZINC000421380238 1042633931 /nfs/dbraw/zinc/63/39/31/1042633931.db2.gz XWDICUMAJVZISK-KRWDZBQOSA-N 0 3 318.367 4.131 20 0 DIADHN C[C@@]1(CNCc2nc(-c3ccccc3F)cs2)CCCS1 ZINC000647913063 1042643816 /nfs/dbraw/zinc/64/38/16/1042643816.db2.gz MKILINGHBDKGFZ-INIZCTEOSA-N 0 3 322.474 4.325 20 0 DIADHN COCc1cccc(CN2CCC[C@H]2c2ccccc2OC)c1 ZINC000513754879 1042649608 /nfs/dbraw/zinc/64/96/08/1042649608.db2.gz OELUENKQNWAPEJ-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@H](NCCc1nc(C(C)(C)C)cs1)c1ccncc1Cl ZINC000421829079 1042654504 /nfs/dbraw/zinc/65/45/04/1042654504.db2.gz GREYSXWNEWMAGY-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN C[C@H](NCCc1ccc(Cl)s1)c1ccncc1Cl ZINC000421827552 1042654676 /nfs/dbraw/zinc/65/46/76/1042654676.db2.gz YFDWUZVJKALVPU-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](NCCc1nc(C(C)(C)C)cs1)c1ccncc1Cl ZINC000421829080 1042654682 /nfs/dbraw/zinc/65/46/82/1042654682.db2.gz GREYSXWNEWMAGY-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN C[C@@H](NC[C@@H]1SCCc2ccccc21)c1ccncc1Cl ZINC000421834547 1042655375 /nfs/dbraw/zinc/65/53/75/1042655375.db2.gz PAEBGIYZSYEMQE-PXAZEXFGSA-N 0 3 318.873 4.416 20 0 DIADHN COc1ccc([C@H](N[C@@H]2C[C@H]2c2ccco2)C2CC2)c(F)c1 ZINC000421856910 1042657741 /nfs/dbraw/zinc/65/77/41/1042657741.db2.gz OQRYIEDYTPRZFD-QGPMSJSTSA-N 0 3 301.361 4.024 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@@H](C)c2ccncc2Cl)c1 ZINC000421839538 1042658956 /nfs/dbraw/zinc/65/89/56/1042658956.db2.gz XKMQIUFWDJECPG-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccncc1Cl ZINC000421845807 1042659589 /nfs/dbraw/zinc/65/95/89/1042659589.db2.gz XWHUYENTCIQISZ-KBPBESRZSA-N 0 3 308.853 4.268 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2csc(-c3ccccc3)n2)CCO1 ZINC000421849162 1042660155 /nfs/dbraw/zinc/66/01/55/1042660155.db2.gz BJLKQYJFEBXCCF-CWRNSKLLSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1ccnc(N)c1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000894266453 1042665778 /nfs/dbraw/zinc/66/57/78/1042665778.db2.gz NVNMEUXTVAMTKZ-XGWLTEMNSA-N 0 3 315.848 4.113 20 0 DIADHN COC1(C)CCN(Cc2ccc(SC(F)F)cc2)CC1 ZINC000271712368 1042666594 /nfs/dbraw/zinc/66/65/94/1042666594.db2.gz JEWRDNGFWAMOLG-UHFFFAOYSA-N 0 3 301.402 4.002 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CC1)c1ccc2c(c1)OCCCO2 ZINC000271994697 1042674352 /nfs/dbraw/zinc/67/43/52/1042674352.db2.gz MNBZWMZCZXLIHZ-OAHLLOKOSA-N 0 3 309.409 4.188 20 0 DIADHN CC(C)CN(CC(=O)N[C@H](C)c1cccc2ccccc21)C1CC1 ZINC000027110514 1042683708 /nfs/dbraw/zinc/68/37/08/1042683708.db2.gz YDBCULNNVVOIAB-MRXNPFEDSA-N 0 3 324.468 4.137 20 0 DIADHN COc1c(C)cc(CNC(C)(C)c2cccc(F)c2)cc1C ZINC000237128827 1042685692 /nfs/dbraw/zinc/68/56/92/1042685692.db2.gz XWPXLCCTVCJDJN-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN CC[C@H](C)Oc1cccc(N[C@H](C)c2ccc(CN)nc2C)c1 ZINC000423139719 1042698804 /nfs/dbraw/zinc/69/88/04/1042698804.db2.gz WLZMDCADSPZHIE-UONOGXRCSA-N 0 3 313.445 4.199 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(Cl)cn1 ZINC000423354311 1042707193 /nfs/dbraw/zinc/70/71/93/1042707193.db2.gz NGHXKWNRWJDJDR-GTNSWQLSSA-N 0 3 301.821 4.045 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000423351674 1042707946 /nfs/dbraw/zinc/70/79/46/1042707946.db2.gz ITVQVYXLOCMLEF-ABAIWWIYSA-N 0 3 302.443 4.067 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423424038 1042710245 /nfs/dbraw/zinc/71/02/45/1042710245.db2.gz CIKAJGZXPCGBIP-MOPGFXCFSA-N 0 3 322.452 4.457 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1cccc2c1CCCN2 ZINC000423421612 1042710280 /nfs/dbraw/zinc/71/02/80/1042710280.db2.gz YDRSLRVFZPVXDE-LJQANCHMSA-N 0 3 308.425 4.048 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCOc3c(C)cc(C)cc32)c1 ZINC000423489010 1042716428 /nfs/dbraw/zinc/71/64/28/1042716428.db2.gz ZWNURYUJUYJZIA-LJQANCHMSA-N 0 3 310.441 4.198 20 0 DIADHN Clc1ccc(CNC[C@@H]2CCC3(CCC3)O2)c(Cl)c1 ZINC000711438829 1042719268 /nfs/dbraw/zinc/71/92/68/1042719268.db2.gz URNMCXNFONTIAD-ZDUSSCGKSA-N 0 3 300.229 4.185 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)c1ccc2c(c1)CNC2 ZINC000423765130 1042721069 /nfs/dbraw/zinc/72/10/69/1042721069.db2.gz YPWSSRMPGKPJHC-HXUWFJFHSA-N 0 3 322.452 4.068 20 0 DIADHN Cc1cc(F)c(CN[C@H]2CCOC3(CCCCC3)C2)c(F)c1 ZINC000424136927 1042726546 /nfs/dbraw/zinc/72/65/46/1042726546.db2.gz ADZGZDDDSDEEQJ-AWEZNQCLSA-N 0 3 309.400 4.245 20 0 DIADHN FC(F)(F)C1CCC(N[C@@H]2C[C@@]2(F)c2ccccc2)CC1 ZINC000424334601 1042734630 /nfs/dbraw/zinc/73/46/30/1042734630.db2.gz YUTCVRNBEUSKIB-NEXFUWMNSA-N 0 3 301.327 4.334 20 0 DIADHN Fc1cccc2c1CC[C@@H]2NCc1cccc(OCC(F)F)c1 ZINC000513871328 1042759381 /nfs/dbraw/zinc/75/93/81/1042759381.db2.gz OTQRFIFSLOIPPM-KRWDZBQOSA-N 0 3 321.342 4.247 20 0 DIADHN Fc1cccc2c1CC[C@H]2NCc1cccc(OCC(F)F)c1 ZINC000513871329 1042759575 /nfs/dbraw/zinc/75/95/75/1042759575.db2.gz OTQRFIFSLOIPPM-QGZVFWFLSA-N 0 3 321.342 4.247 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1cc(C)no1)c1ccc(Cl)cc1 ZINC000513894021 1042761787 /nfs/dbraw/zinc/76/17/87/1042761787.db2.gz FIEZGNHAOLNVIY-WBVHZDCISA-N 0 3 320.820 4.161 20 0 DIADHN COc1ccc(CN(CCc2nccs2)C(C)C)c(Cl)c1 ZINC000513970434 1042764345 /nfs/dbraw/zinc/76/43/45/1042764345.db2.gz PXVPXYBNBPVGRW-UHFFFAOYSA-N 0 3 324.877 4.258 20 0 DIADHN Cc1nc(C)c(CN2CCC(F)(c3ccccc3)CC2)s1 ZINC000434498017 1042768442 /nfs/dbraw/zinc/76/84/42/1042768442.db2.gz QUISTQUDRBKABE-UHFFFAOYSA-N 0 3 304.434 4.221 20 0 DIADHN CCCCN(Cc1cn2cccnc2n1)[C@H](CC)c1ccccc1 ZINC000514182850 1042771425 /nfs/dbraw/zinc/77/14/25/1042771425.db2.gz JUIUZCWLUBGRRR-LJQANCHMSA-N 0 3 322.456 4.483 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1cc(-c2ccco2)on1 ZINC000514174088 1042772539 /nfs/dbraw/zinc/77/25/39/1042772539.db2.gz JQVYJHKAFVNFJC-SFHVURJKSA-N 0 3 322.408 4.450 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1ncc(C(C)(C)C)o1 ZINC000514189088 1042774024 /nfs/dbraw/zinc/77/40/24/1042774024.db2.gz JNOBAGYWKVPYKV-KRWDZBQOSA-N 0 3 312.457 4.488 20 0 DIADHN CC(C)COC[C@@H](NC[C@@H]1CCCC(F)(F)C1)c1ccco1 ZINC000514235808 1042775982 /nfs/dbraw/zinc/77/59/82/1042775982.db2.gz IWGNSGIGLCZIQY-HUUCEWRRSA-N 0 3 315.404 4.408 20 0 DIADHN Cc1cn[nH]c1CN1CCC(c2ccc(Cl)cc2Cl)CC1 ZINC000430080217 1042779671 /nfs/dbraw/zinc/77/96/71/1042779671.db2.gz BGYFDGVIYBPZPT-UHFFFAOYSA-N 0 3 324.255 4.405 20 0 DIADHN COCCc1ncc(CN(C)[C@H](c2ccccc2)C(C)C)s1 ZINC000638073433 1042788190 /nfs/dbraw/zinc/78/81/90/1042788190.db2.gz MJLZWPKHDWMZRO-SFHVURJKSA-N 0 3 318.486 4.161 20 0 DIADHN OC[C@H]1CCCN([C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000514710907 1042788581 /nfs/dbraw/zinc/78/85/81/1042788581.db2.gz NWUUFVOHMBSIQW-HNAYVOBHSA-N 0 3 315.844 4.134 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C(C)(C)CO)c(C)s1 ZINC000514758782 1042789690 /nfs/dbraw/zinc/78/96/90/1042789690.db2.gz PODTUFUBYRWQET-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN CC(C)n1cncc1CN(C)CCc1cccc2ccccc21 ZINC000425323132 1042792237 /nfs/dbraw/zinc/79/22/37/1042792237.db2.gz IKQKYROXYWXBMW-UHFFFAOYSA-N 0 3 307.441 4.292 20 0 DIADHN CC(C)n1cncc1CN(C[C@H]1CCCO1)C1CCC(C)CC1 ZINC000425337149 1042794108 /nfs/dbraw/zinc/79/41/08/1042794108.db2.gz MWCYUIJWCBDNRJ-FAFZWHIHSA-N 0 3 319.493 4.024 20 0 DIADHN C[C@H](c1ccccc1)N(C)Cc1cc2c(c(Cl)c1)OCO2 ZINC000514849798 1042794394 /nfs/dbraw/zinc/79/43/94/1042794394.db2.gz UQUXPNULRLNFAU-GFCCVEGCSA-N 0 3 303.789 4.262 20 0 DIADHN COC1(CCN2C[C@@H](c3cc(C)ccc3C)OC[C@H]2C)CCC1 ZINC000514953665 1042802369 /nfs/dbraw/zinc/80/23/69/1042802369.db2.gz WFLPPXWQEJAVBG-MJGOQNOKSA-N 0 3 317.473 4.024 20 0 DIADHN CCCCCC(=O)N1CC2(CCN2C[C@@H](CC)CCCC)C1 ZINC001269968381 1042805258 /nfs/dbraw/zinc/80/52/58/1042805258.db2.gz ZJMWGFMWXNQALO-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CO[C@H](CN1CCc2sccc2[C@H]1c1cccs1)C1CC1 ZINC000425388748 1042806129 /nfs/dbraw/zinc/80/61/29/1042806129.db2.gz SUHVTQFZSMJPFD-PBHICJAKSA-N 0 3 319.495 4.182 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000515039885 1042807180 /nfs/dbraw/zinc/80/71/80/1042807180.db2.gz CYRHVXZINPWVDI-HZPDHXFCSA-N 0 3 312.457 4.137 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@@H](N[C@H](C)CC)c1ccco1 ZINC000515396117 1042818392 /nfs/dbraw/zinc/81/83/92/1042818392.db2.gz ITGJJFDFALWQRL-RKVPGOIHSA-N 0 3 308.466 4.041 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2CCCC[C@H]2O)s1 ZINC000515427594 1042819077 /nfs/dbraw/zinc/81/90/77/1042819077.db2.gz UFIUMLVIXCVDDX-CRAIPNDOSA-N 0 3 319.470 4.203 20 0 DIADHN COc1ccc(OCCN2CCC[C@@H]2c2cccc(F)c2)cc1 ZINC000515498006 1042822447 /nfs/dbraw/zinc/82/24/47/1042822447.db2.gz GDXQYWLEFMGOJO-LJQANCHMSA-N 0 3 315.388 4.050 20 0 DIADHN CC1CCC(N(Cc2cc(-c3ccco3)on2)C2CC2)CC1 ZINC000515503145 1042824832 /nfs/dbraw/zinc/82/48/32/1042824832.db2.gz YQBCOBPGYPOULP-UHFFFAOYSA-N 0 3 300.402 4.478 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cn2cccnc2n1)C1CCCC1 ZINC000425456000 1042825758 /nfs/dbraw/zinc/82/57/58/1042825758.db2.gz HKGVZYHZGRXMOR-INIZCTEOSA-N 0 3 320.440 4.235 20 0 DIADHN Fc1ccc(OCCN2CCC[C@H]2c2cccc(F)c2)cc1 ZINC000515554066 1042826483 /nfs/dbraw/zinc/82/64/83/1042826483.db2.gz OOYARIWXWCZPEO-SFHVURJKSA-N 0 3 303.352 4.181 20 0 DIADHN CCCCN(Cc1nc(C(F)F)no1)[C@@H]1CCC[C@@H](C)C1 ZINC000425479443 1042829897 /nfs/dbraw/zinc/82/98/97/1042829897.db2.gz OBWKYFXHROYQMK-VXGBXAGGSA-N 0 3 301.381 4.188 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(Cl)cc2)cc1 ZINC000515717532 1042832291 /nfs/dbraw/zinc/83/22/91/1042832291.db2.gz NETWORMPNZVASG-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CN[C@H]1C[C@@H]1C(F)F ZINC000515702208 1042832332 /nfs/dbraw/zinc/83/23/32/1042832332.db2.gz AGGLGWJCDJODCQ-AAEUAGOBSA-N 0 3 313.294 4.184 20 0 DIADHN CN(CCCCCF)Cc1cc(Br)ccc1F ZINC000516881763 1042858385 /nfs/dbraw/zinc/85/83/85/1042858385.db2.gz MOTKSRJLNZGZBT-UHFFFAOYSA-N 0 3 306.194 4.160 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@@H](c3ccncc3)C2)c1 ZINC000516893959 1042859361 /nfs/dbraw/zinc/85/93/61/1042859361.db2.gz IZPUKKBKNFVPET-MRXNPFEDSA-N 0 3 320.358 4.480 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CC[C@@H](c2cccnc2)C1 ZINC000517027916 1042863751 /nfs/dbraw/zinc/86/37/51/1042863751.db2.gz WPGSVRQEKYBSJY-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(CSC)cc2)cc1O ZINC000290744929 1042869475 /nfs/dbraw/zinc/86/94/75/1042869475.db2.gz QIQXNDYUUPNPIA-CYBMUJFWSA-N 0 3 317.454 4.115 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](c3ccco3)N(C)C)oc2c1 ZINC000425926573 1042875459 /nfs/dbraw/zinc/87/54/59/1042875459.db2.gz XRQDABIIFIYLJY-GDBMZVCRSA-N 0 3 312.413 4.288 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCO[C@@H]2CCCC[C@H]2C)s1 ZINC000517381156 1042877990 /nfs/dbraw/zinc/87/79/90/1042877990.db2.gz WHDPULHUGGNPLV-IVMMDQJWSA-N 0 3 310.507 4.348 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@@H](C)c1ccccc1 ZINC001126458681 1042882468 /nfs/dbraw/zinc/88/24/68/1042882468.db2.gz DUIWZPDHBICCOH-HKUYNNGSSA-N 0 3 316.489 4.249 20 0 DIADHN COCCN(Cc1cnc(-c2ccco2)s1)[C@H]1CC[C@H](C)C1 ZINC000425962350 1042887155 /nfs/dbraw/zinc/88/71/55/1042887155.db2.gz HFPMUJWLQAMGEX-KBPBESRZSA-N 0 3 320.458 4.040 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@H](COC(F)F)C2)s1 ZINC000517627025 1042889176 /nfs/dbraw/zinc/88/91/76/1042889176.db2.gz RCECVZRZAPCFPW-NSHDSACASA-N 0 3 303.418 4.107 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+]C23CCC(C(F)(F)F)(CC2)CC3)c1 ZINC000425970437 1042890719 /nfs/dbraw/zinc/89/07/19/1042890719.db2.gz FWFQDRUHEROWLM-UHFFFAOYSA-N 0 3 317.326 4.276 20 0 DIADHN Cc1nc(CNC23CCC(C(F)(F)F)(CC2)CC3)cs1 ZINC000425996274 1042895863 /nfs/dbraw/zinc/89/58/63/1042895863.db2.gz ZHDZMQYWGWKHGI-UHFFFAOYSA-N 0 3 304.381 4.196 20 0 DIADHN Fc1ccc([C@H](C[C@H]2CCOC2)NCc2ccsc2)cc1 ZINC000293462530 1042902471 /nfs/dbraw/zinc/90/24/71/1042902471.db2.gz QETVBLMZLZYKQY-DYVFJYSZSA-N 0 3 305.418 4.145 20 0 DIADHN CC[C@@H](C)N(Cc1cn2cc(C)ccc2n1)Cc1ccccc1 ZINC000517967869 1042904452 /nfs/dbraw/zinc/90/44/52/1042904452.db2.gz HZOYDIFISZGZKQ-QGZVFWFLSA-N 0 3 307.441 4.443 20 0 DIADHN CC[C@H](C)N(Cc1cn2cc(C)ccc2n1)Cc1ccccc1 ZINC000517967857 1042904459 /nfs/dbraw/zinc/90/44/59/1042904459.db2.gz HZOYDIFISZGZKQ-KRWDZBQOSA-N 0 3 307.441 4.443 20 0 DIADHN Cc1ccc(C2CC(N[C@@H](c3ccccc3F)[C@H](C)O)C2)cc1 ZINC000518197247 1042910840 /nfs/dbraw/zinc/91/08/40/1042910840.db2.gz GZKWRCQEEBAXQH-CYKOAPEFSA-N 0 3 313.416 4.092 20 0 DIADHN CC[C@H](NC[C@H]1CCN(c2ccccc2)C1)c1nc(C)cs1 ZINC000638579763 1042913282 /nfs/dbraw/zinc/91/32/82/1042913282.db2.gz XELPKZHURDTCQP-WBVHZDCISA-N 0 3 315.486 4.019 20 0 DIADHN C[C@H](CCCO)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000296363921 1042917178 /nfs/dbraw/zinc/91/71/78/1042917178.db2.gz IVXFKSOMWCPWFB-OLZOCXBDSA-N 0 3 322.449 4.008 20 0 DIADHN c1ccc2c(c1)nccc2NCCCc1nc2c(s1)CCCC2 ZINC000518897864 1042924170 /nfs/dbraw/zinc/92/41/70/1042924170.db2.gz BKTSMTGYKWSSKS-UHFFFAOYSA-N 0 3 323.465 4.037 20 0 DIADHN Fc1cccc(OCCN2CCC[C@@H]2c2cccc(F)c2)c1 ZINC000518994964 1042928367 /nfs/dbraw/zinc/92/83/67/1042928367.db2.gz SIASBEXKEVCSAC-GOSISDBHSA-N 0 3 303.352 4.181 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1C[C@@H]1CCCCO1 ZINC000519000875 1042928460 /nfs/dbraw/zinc/92/84/60/1042928460.db2.gz WBSCKQJITOHOHZ-KBPBESRZSA-N 0 3 311.828 4.055 20 0 DIADHN Cc1ccc(NC(=O)CN(C2CC2)C2CCC(C)CC2)c(C)c1 ZINC000518994257 1042928579 /nfs/dbraw/zinc/92/85/79/1042928579.db2.gz QKRFJORZZTUESR-UHFFFAOYSA-N 0 3 314.473 4.285 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@@H](CCc1ccccc1)c1ccccc1 ZINC000519032718 1042929853 /nfs/dbraw/zinc/92/98/53/1042929853.db2.gz ZANFJDLPIGNWSR-IBGZPJMESA-N 0 3 318.420 4.251 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1ccccc1F ZINC000519037058 1042930442 /nfs/dbraw/zinc/93/04/42/1042930442.db2.gz NPNDLFRMOWRGGT-PXAZEXFGSA-N 0 3 305.418 4.026 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN(C)Cc1ccc[nH]1 ZINC000519040445 1042930544 /nfs/dbraw/zinc/93/05/44/1042930544.db2.gz JFLVUFDOOIXTPB-JLHYYAGUSA-N 0 3 306.356 4.151 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1cc(C)ccc1C)C1CC1 ZINC000519190234 1042937019 /nfs/dbraw/zinc/93/70/19/1042937019.db2.gz FKLOZVXSSHCUKI-GOEBONIOSA-N 0 3 302.462 4.141 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc(CC)nc2)cc1 ZINC000519229321 1042939542 /nfs/dbraw/zinc/93/95/42/1042939542.db2.gz SRVNQYNUFMHMEB-HXUWFJFHSA-N 0 3 310.441 4.380 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CCCCC[C@H]1/C=C/c1cccs1 ZINC000519476830 1042950751 /nfs/dbraw/zinc/95/07/51/1042950751.db2.gz BQHSEJKYUWCLEB-FEAKQIBJSA-N 0 3 314.454 4.307 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CCCCC[C@H]1/C=C/c1cccs1 ZINC000519476830 1042950753 /nfs/dbraw/zinc/95/07/53/1042950753.db2.gz BQHSEJKYUWCLEB-FEAKQIBJSA-N 0 3 314.454 4.307 20 0 DIADHN Cc1nc([C@H](C)NCc2ccccc2-c2ccc(C)cc2C)n[nH]1 ZINC000894467908 1042960732 /nfs/dbraw/zinc/96/07/32/1042960732.db2.gz ROXGTPXNWWNCEI-HNNXBMFYSA-N 0 3 320.440 4.248 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CC(C)(C)[C@H]1c1ccncc1 ZINC000664832011 1042968695 /nfs/dbraw/zinc/96/86/95/1042968695.db2.gz IGDRARWZKRKEGJ-RBUKOAKNSA-N 0 3 310.441 4.242 20 0 DIADHN COCC[C@H](c1ccccc1)N1CC(C)(C)[C@H]1c1ccncc1 ZINC000664832012 1042969057 /nfs/dbraw/zinc/96/90/57/1042969057.db2.gz IGDRARWZKRKEGJ-RTBURBONSA-N 0 3 310.441 4.242 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCC[C@@H]2c2ccccc2Cl)[n-]1 ZINC000894778280 1042977214 /nfs/dbraw/zinc/97/72/14/1042977214.db2.gz PEAZHRBDDNNYTC-PEYYIBSZSA-N 0 3 318.852 4.144 20 0 DIADHN O=[S@](CCN1CCC(C2CCCCC2)CC1)c1ccccc1 ZINC000729470793 1042978045 /nfs/dbraw/zinc/97/80/45/1042978045.db2.gz QKWQIYRNEAMMLF-JOCHJYFZSA-N 0 3 319.514 4.087 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487380389 1042984080 /nfs/dbraw/zinc/98/40/80/1042984080.db2.gz RELQMCKCNUKCRH-OAHLLOKOSA-N 0 3 321.371 4.025 20 0 DIADHN CCN(C(=O)CN[C@@H](c1ccccc1)C1CCC1)c1ccccc1 ZINC000729474071 1042984644 /nfs/dbraw/zinc/98/46/44/1042984644.db2.gz DBSJINKLMPPAKI-NRFANRHFSA-N 0 3 322.452 4.171 20 0 DIADHN Cc1noc2ncc(CN[C@@H](C)c3cccc(C4CC4)c3)cc12 ZINC000894867891 1042993946 /nfs/dbraw/zinc/99/39/46/1042993946.db2.gz BWQQCPMFLCIDTF-LBPRGKRZSA-N 0 3 307.397 4.259 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccnc(OC(F)F)c2)cc1 ZINC000519704518 1042999369 /nfs/dbraw/zinc/99/93/69/1042999369.db2.gz AROKTWKILDRRPH-UHFFFAOYSA-N 0 3 320.383 4.270 20 0 DIADHN Cc1cccc2c1CCN(Cc1ccc(OC(F)F)cc1)C2 ZINC000729479727 1043000258 /nfs/dbraw/zinc/00/02/58/1043000258.db2.gz DLBBUTUMOLGLPL-UHFFFAOYSA-N 0 3 303.352 4.155 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)Nc1ccccc1CN(C)C ZINC000519860947 1043006626 /nfs/dbraw/zinc/00/66/26/1043006626.db2.gz ZHMVVZWGNXMGKC-MRXNPFEDSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1cnc([C@H](N[C@@H](C)Cc2ccccc2F)C2CC2)s1 ZINC000188077054 1043010431 /nfs/dbraw/zinc/01/04/31/1043010431.db2.gz HXBXDHGIHJOBEI-MEDUHNTESA-N 0 3 304.434 4.263 20 0 DIADHN CC(C)CN(CC(=O)N[C@@H](C)c1ccccc1)Cc1ccccc1 ZINC000520170209 1043021058 /nfs/dbraw/zinc/02/10/58/1043021058.db2.gz GYAIHTAOAWWERY-SFHVURJKSA-N 0 3 324.468 4.022 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCOc1cccc(Br)c1 ZINC000520174791 1043021382 /nfs/dbraw/zinc/02/13/82/1043021382.db2.gz AKFIBUXSBUBKRD-LBPRGKRZSA-N 0 3 324.218 4.114 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC(C)(C)c1cccc(F)c1 ZINC000925097286 1043021670 /nfs/dbraw/zinc/02/16/70/1043021670.db2.gz POEHHWPUIWGWEN-OAHLLOKOSA-N 0 3 302.393 4.067 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccc(Br)cc1 ZINC000520174981 1043022128 /nfs/dbraw/zinc/02/21/28/1043022128.db2.gz NYKGTIVXBCUEDB-UHFFFAOYSA-N 0 3 308.219 4.453 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487385230 1043022428 /nfs/dbraw/zinc/02/24/28/1043022428.db2.gz BOMONRMWVXDSSR-ZDUSSCGKSA-N 0 3 321.371 4.169 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccccc1OC(C)C ZINC000520204863 1043023870 /nfs/dbraw/zinc/02/38/70/1043023870.db2.gz KENIORIYYFJVMJ-FLIBITNWSA-N 0 3 311.425 4.285 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1ccc2[nH]cnc2c1 ZINC000520216048 1043024705 /nfs/dbraw/zinc/02/47/05/1043024705.db2.gz RPNIZPDPXVMYGE-MRXNPFEDSA-N 0 3 309.413 4.203 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1ccc2nc[nH]c2c1 ZINC000520216048 1043024709 /nfs/dbraw/zinc/02/47/09/1043024709.db2.gz RPNIZPDPXVMYGE-MRXNPFEDSA-N 0 3 309.413 4.203 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000441222708 1043028121 /nfs/dbraw/zinc/02/81/21/1043028121.db2.gz BSKWJMPGOSIXJV-BPLDGKMQSA-N 0 3 311.828 4.289 20 0 DIADHN CCN(CC(=O)Nc1sc(C)c(C)c1C)C1CCCCC1 ZINC000441179718 1043029865 /nfs/dbraw/zinc/02/98/65/1043029865.db2.gz VJFSGTGUPDWXGM-UHFFFAOYSA-N 0 3 308.491 4.266 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N(C)CCc1ccc2c(c1)CCO2 ZINC000441232538 1043030260 /nfs/dbraw/zinc/03/02/60/1043030260.db2.gz LTRICWHYENJNHL-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H]3C(C)C)cs2)c1 ZINC000520381697 1043041345 /nfs/dbraw/zinc/04/13/45/1043041345.db2.gz CSNYJRVFSJITKY-KRWDZBQOSA-N 0 3 316.470 4.439 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000794805358 1043049916 /nfs/dbraw/zinc/04/99/16/1043049916.db2.gz XBDPQDJFDUDNOP-MSOLQXFVSA-N 0 3 323.358 4.124 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@@H](c1cc(F)ccc1F)C(C)C ZINC000794805410 1043049954 /nfs/dbraw/zinc/04/99/54/1043049954.db2.gz GNCYIWBPUQMCIR-RBUKOAKNSA-N 0 3 319.395 4.294 20 0 DIADHN COC[C@H]1CCCN(Cc2cc3ccnc(Cl)c3s2)CC1 ZINC000894952244 1043052898 /nfs/dbraw/zinc/05/28/98/1043052898.db2.gz ICWWAEWNZGDWOI-LBPRGKRZSA-N 0 3 324.877 4.198 20 0 DIADHN C[C@H](NCc1ccc(COCC(F)(F)F)cc1)c1ccncc1 ZINC000173849636 1043053355 /nfs/dbraw/zinc/05/33/55/1043053355.db2.gz LLIKCTRFJKMSDC-ZDUSSCGKSA-N 0 3 324.346 4.011 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@@H](C)c2cccc(F)c2)cc1 ZINC000173857675 1043054549 /nfs/dbraw/zinc/05/45/49/1043054549.db2.gz MIPOIMCLMAUPFM-AWEZNQCLSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1csc2ccccc12 ZINC000794809999 1043055534 /nfs/dbraw/zinc/05/55/34/1043055534.db2.gz SVHDHICROCOMCK-LRDDRELGSA-N 0 3 311.454 4.363 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(OCC(F)(F)F)cc1 ZINC000174002185 1043057523 /nfs/dbraw/zinc/05/75/23/1043057523.db2.gz QXYQTKCAONVXGK-OLZOCXBDSA-N 0 3 324.346 4.435 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccncc1 ZINC000174019428 1043059686 /nfs/dbraw/zinc/05/96/86/1043059686.db2.gz NBQJRTQWVLMPCP-YVEFUNNKSA-N 0 3 318.367 4.411 20 0 DIADHN COC[C@@H]1CCCN(Cc2nc3ccccc3c(C)c2Cl)C1 ZINC000112990151 1043063441 /nfs/dbraw/zinc/06/34/41/1043063441.db2.gz BQSDZDUCVPANNW-CQSZACIVSA-N 0 3 318.848 4.055 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N1CC[C@]2(CCOC2)C1 ZINC000152292151 1043070008 /nfs/dbraw/zinc/07/00/08/1043070008.db2.gz BKXYHAYGBJVUMD-HOTGVXAUSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1ccc(C)c(CNCc2cc(Br)cs2)c1 ZINC000070212810 1043071509 /nfs/dbraw/zinc/07/15/09/1043071509.db2.gz PAHBSIQWIZSPJQ-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cccc(F)c1F ZINC000441424823 1043072600 /nfs/dbraw/zinc/07/26/00/1043072600.db2.gz PRFAPPXSBUQFCO-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCc3ccc(F)cc32)c1 ZINC000174988423 1043074473 /nfs/dbraw/zinc/07/44/73/1043074473.db2.gz FIJJRSACWPBCNZ-HXPMCKFVSA-N 0 3 312.388 4.122 20 0 DIADHN CCC[C@H](NCc1ccc(C#N)cc1)c1cccc([N+](=O)[O-])c1 ZINC000320029866 1043083193 /nfs/dbraw/zinc/08/31/93/1043083193.db2.gz GZMOQZCBZIXWRL-SFHVURJKSA-N 0 3 309.369 4.097 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccc(Cl)s1 ZINC000175444891 1043085051 /nfs/dbraw/zinc/08/50/51/1043085051.db2.gz DKUSNXSWLPHHEB-LLVKDONJSA-N 0 3 322.861 4.205 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000120512086 1043085577 /nfs/dbraw/zinc/08/55/77/1043085577.db2.gz NTRSRBBHEFWYMJ-CXAGYDPISA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000320040101 1043091332 /nfs/dbraw/zinc/09/13/32/1043091332.db2.gz UHZGLDFASHZQBL-CJNGLKHVSA-N 0 3 318.848 4.014 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865522685 1043109642 /nfs/dbraw/zinc/10/96/42/1043109642.db2.gz VYVJEYZWUUHDDX-RYUDHWBXSA-N 0 3 317.260 4.072 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(F)cc1F)c1ccc(Cl)s1 ZINC000049129140 1043093282 /nfs/dbraw/zinc/09/32/82/1043093282.db2.gz UBGXHKNQTMYUJC-UFBFGSQYSA-N 0 3 317.788 4.064 20 0 DIADHN CCOCc1ccccc1CNCc1cnc2c(F)cccc2c1 ZINC000865407844 1043094089 /nfs/dbraw/zinc/09/40/89/1043094089.db2.gz NYHNYAMEQYUSEC-UHFFFAOYSA-N 0 3 324.399 4.200 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1sc(C)c(C)c1C ZINC000441435787 1043096814 /nfs/dbraw/zinc/09/68/14/1043096814.db2.gz FSFRMTLLBPLENF-OAHLLOKOSA-N 0 3 308.491 4.266 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnc3c(cnn3C)c2)cc1 ZINC000865452217 1043099693 /nfs/dbraw/zinc/09/96/93/1043099693.db2.gz DTESNZAXVCLQAX-UHFFFAOYSA-N 0 3 322.456 4.117 20 0 DIADHN CC(C)n1cncc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000425342827 1043099823 /nfs/dbraw/zinc/09/98/23/1043099823.db2.gz QLPZYGSZIMVZDH-UHFFFAOYSA-N 0 3 317.864 4.497 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](CCCO)c1ccccc1 ZINC000865473933 1043103128 /nfs/dbraw/zinc/10/31/28/1043103128.db2.gz XSTLCAPNABOGDJ-GOSISDBHSA-N 0 3 303.833 4.252 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(CN(C)C)ccc1C)c1ccccc1 ZINC000177338599 1043106552 /nfs/dbraw/zinc/10/65/52/1043106552.db2.gz FKYDNIHCLJTXBS-GOSISDBHSA-N 0 3 310.441 4.189 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000865513321 1043108834 /nfs/dbraw/zinc/10/88/34/1043108834.db2.gz UKKHKUVJIIUZMS-IBGZPJMESA-N 0 3 310.441 4.500 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3cn(C4CC4)cn3)CC2)cc1 ZINC000865523303 1043109660 /nfs/dbraw/zinc/10/96/60/1043109660.db2.gz IGJHCNDCOQKDBM-QGZVFWFLSA-N 0 3 311.404 4.083 20 0 DIADHN CCCNc1ccc(CNCc2cnc3c(F)cccc3c2)cc1 ZINC000865544654 1043111590 /nfs/dbraw/zinc/11/15/90/1043111590.db2.gz ZYHHMTLLJLETNP-UHFFFAOYSA-N 0 3 323.415 4.486 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000177794219 1043113559 /nfs/dbraw/zinc/11/35/59/1043113559.db2.gz XEJMFAAAKORZBB-AWEZNQCLSA-N 0 3 316.470 4.081 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000177876833 1043115173 /nfs/dbraw/zinc/11/51/73/1043115173.db2.gz JQPRONKAEYKAEQ-AWEZNQCLSA-N 0 3 316.470 4.129 20 0 DIADHN C[C@H](N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccsc1 ZINC000177940265 1043117221 /nfs/dbraw/zinc/11/72/21/1043117221.db2.gz VLHCRYHMBNKYOL-SGTLLEGYSA-N 0 3 315.438 4.321 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1ccc(-n2cccn2)cc1 ZINC000177940676 1043117779 /nfs/dbraw/zinc/11/77/79/1043117779.db2.gz LSVAZNXUIKDKCQ-RDTXWAMCSA-N 0 3 307.397 4.194 20 0 DIADHN COCc1csc(CNCc2cccc(OC(C)(C)C)c2)c1 ZINC000865627387 1043118587 /nfs/dbraw/zinc/11/85/87/1043118587.db2.gz JCCSVTUXSYJNIX-UHFFFAOYSA-N 0 3 319.470 4.362 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCc3cc(Cl)ccc32)cn1 ZINC000178086755 1043119319 /nfs/dbraw/zinc/11/93/19/1043119319.db2.gz RTLZWAWGXLPQDI-SJKOYZFVSA-N 0 3 303.837 4.456 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCc3c2cccc3Cl)cn1 ZINC000178092420 1043121409 /nfs/dbraw/zinc/12/14/09/1043121409.db2.gz YFMNTZSUMGZBNB-YVEFUNNKSA-N 0 3 303.837 4.456 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000178120759 1043121768 /nfs/dbraw/zinc/12/17/68/1043121768.db2.gz ONUWWHMPJWIVBX-PCCBWWKXSA-N 0 3 323.436 4.192 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000178147730 1043122612 /nfs/dbraw/zinc/12/26/12/1043122612.db2.gz INUCXUITHHUCJU-PZPWOCDFSA-N 0 3 301.455 4.144 20 0 DIADHN CC[C@@H](NCc1cccc2cc[nH]c21)c1cccc(OC)c1OC ZINC000865758812 1043131297 /nfs/dbraw/zinc/13/12/97/1043131297.db2.gz ORLMISQGOQAJGD-QGZVFWFLSA-N 0 3 324.424 4.426 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(COC)c1)c1ccc(OC)cc1 ZINC000178483479 1043131825 /nfs/dbraw/zinc/13/18/25/1043131825.db2.gz LDQBABFQLNEHJL-LJQANCHMSA-N 0 3 317.404 4.222 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000178555161 1043133410 /nfs/dbraw/zinc/13/34/10/1043133410.db2.gz VADPQJONOGFREZ-KPZWWZAWSA-N 0 3 301.817 4.170 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000178549022 1043133533 /nfs/dbraw/zinc/13/35/33/1043133533.db2.gz HLKGMORFHCWLEK-CHWSQXEVSA-N 0 3 321.367 4.192 20 0 DIADHN FC(F)(F)CCSCCNCc1sccc1Cl ZINC000865788453 1043133600 /nfs/dbraw/zinc/13/36/00/1043133600.db2.gz RVJIYXWTUZAOGW-UHFFFAOYSA-N 0 3 303.802 4.177 20 0 DIADHN C=Cc1ccc(CCNCc2ncc(C(C)(C)C)s2)cc1 ZINC001202519797 1043134776 /nfs/dbraw/zinc/13/47/76/1043134776.db2.gz KLMSFYGFXYLQDC-UHFFFAOYSA-N 0 3 300.471 4.416 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2cccc(O)c2)cc1OC ZINC000178756068 1043136723 /nfs/dbraw/zinc/13/67/23/1043136723.db2.gz VSQIRQDGCWGVCG-KBPBESRZSA-N 0 3 315.413 4.130 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000178795009 1043137272 /nfs/dbraw/zinc/13/72/72/1043137272.db2.gz VEJYSPXXDUOGCI-NQIIRXRSSA-N 0 3 301.455 4.007 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(C)c2Cl)c(CN(C)C)c1 ZINC000179086585 1043141422 /nfs/dbraw/zinc/14/14/22/1043141422.db2.gz NHGXKJUBWMWGEU-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(Cl)nc1)c1ccco1 ZINC000179115628 1043141535 /nfs/dbraw/zinc/14/15/35/1043141535.db2.gz MACKPGUROOSSLQ-HIFRSBDPSA-N 0 3 321.852 4.062 20 0 DIADHN CC(C)(C)[C@@H](NCc1c[nH]cn1)c1cccc(C(F)(F)F)c1 ZINC000179431810 1043144590 /nfs/dbraw/zinc/14/45/90/1043144590.db2.gz AEGRBOGDAYIZFM-AWEZNQCLSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)(C)[C@@H](NCc1cnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000179431810 1043144595 /nfs/dbraw/zinc/14/45/95/1043144595.db2.gz AEGRBOGDAYIZFM-AWEZNQCLSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)(C)[C@H](NCc1c[nH]cn1)c1cccc(C(F)(F)F)c1 ZINC000179431800 1043144882 /nfs/dbraw/zinc/14/48/82/1043144882.db2.gz AEGRBOGDAYIZFM-CQSZACIVSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)(C)[C@H](NCc1cnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000179431800 1043144884 /nfs/dbraw/zinc/14/48/84/1043144884.db2.gz AEGRBOGDAYIZFM-CQSZACIVSA-N 0 3 311.351 4.306 20 0 DIADHN CC[C@H](NCc1ccc([C@@H](C)O)cc1)c1cc(C)ccc1OC ZINC000179405794 1043145353 /nfs/dbraw/zinc/14/53/53/1043145353.db2.gz IANQIZBUWQNKDJ-BEFAXECRSA-N 0 3 313.441 4.298 20 0 DIADHN COCCC1(CNCc2nc(C3CC3)cs2)CCCCC1 ZINC000189306914 1043145749 /nfs/dbraw/zinc/14/57/49/1043145749.db2.gz MJADWPNOLJYNEA-UHFFFAOYSA-N 0 3 308.491 4.097 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccc3ccccc32)nn1C ZINC000487387859 1043149799 /nfs/dbraw/zinc/14/97/99/1043149799.db2.gz DWRWTIBSBRFCTR-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000120863769 1043151117 /nfs/dbraw/zinc/15/11/17/1043151117.db2.gz RCTHKFNYKDJRHU-IUODEOHRSA-N 0 3 317.408 4.180 20 0 DIADHN CSCc1cccc(CNCc2cnc(-c3ccccc3)[nH]2)c1 ZINC000189477432 1043154852 /nfs/dbraw/zinc/15/48/52/1043154852.db2.gz QHLDGLVZRLFHAA-UHFFFAOYSA-N 0 3 323.465 4.230 20 0 DIADHN CSCc1cccc(CNCc2cc3ccccc3[nH]c2=O)c1 ZINC000189487051 1043155528 /nfs/dbraw/zinc/15/55/28/1043155528.db2.gz RHNLRPTXWNHRBK-UHFFFAOYSA-N 0 3 324.449 4.093 20 0 DIADHN CNC(=O)c1ccc(CN[C@H](C)c2ccc(C3CCC3)cc2)cc1 ZINC000866227510 1043162807 /nfs/dbraw/zinc/16/28/07/1043162807.db2.gz DBPITALJAIEYBD-OAHLLOKOSA-N 0 3 322.452 4.165 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](c1ccccn1)C(C)C)c1ccco1 ZINC000866250061 1043165665 /nfs/dbraw/zinc/16/56/65/1043165665.db2.gz SVKPKCNKKSPTNU-HKUYNNGSSA-N 0 3 315.461 4.044 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000183517112 1043166760 /nfs/dbraw/zinc/16/67/60/1043166760.db2.gz KAAUUICFDFRDOA-ZTFRIQLXSA-N 0 3 320.795 4.055 20 0 DIADHN CC[C@H](C)[C@@H](C)[NH2+][C@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000253557567 1043167486 /nfs/dbraw/zinc/16/74/86/1043167486.db2.gz LGRQRLXHKNFSGJ-JMJZKYOTSA-N 0 3 307.463 4.099 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@@H]1C ZINC000163013830 1043169937 /nfs/dbraw/zinc/16/99/37/1043169937.db2.gz KRLOHWMPNOLDSJ-LALPHHSUSA-N 0 3 319.836 4.304 20 0 DIADHN FC(F)(F)CCN[C@H](CCc1ccccc1)c1ccccn1 ZINC000866279748 1043172989 /nfs/dbraw/zinc/17/29/89/1043172989.db2.gz CZJHKIBQTVBMMW-MRXNPFEDSA-N 0 3 308.347 4.298 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000163375041 1043175962 /nfs/dbraw/zinc/17/59/62/1043175962.db2.gz CUWDHRYBOXJQJW-WFASDCNBSA-N 0 3 319.836 4.448 20 0 DIADHN c1[nH]nc2c1CCC[C@@H]2N[C@@H]1CCc2cccc3cccc1c32 ZINC000866304908 1043176143 /nfs/dbraw/zinc/17/61/43/1043176143.db2.gz BDSFYJDUHMOEMD-MSOLQXFVSA-N 0 3 303.409 4.217 20 0 DIADHN CN(C)c1cccc(CN[C@@H]2CCc3cccc4cccc2c43)n1 ZINC000866309921 1043177679 /nfs/dbraw/zinc/17/76/79/1043177679.db2.gz KOIFONWNEAOPCS-LJQANCHMSA-N 0 3 317.436 4.078 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2cncc(C)c2)cc1OC ZINC000184278859 1043181265 /nfs/dbraw/zinc/18/12/65/1043181265.db2.gz OFYNQCAIFFHGAC-GJZGRUSLSA-N 0 3 314.429 4.127 20 0 DIADHN Cc1cncc([C@@H](C)NCc2cccc(OCC(F)(F)F)c2)c1 ZINC000184280608 1043181341 /nfs/dbraw/zinc/18/13/41/1043181341.db2.gz MIMRZVSYIRDWMQ-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN OC[C@@H](N[C@@H]1CCc2cccc3cccc1c32)c1ccsc1 ZINC000866339390 1043182883 /nfs/dbraw/zinc/18/28/83/1043182883.db2.gz BEVILRDTUZHVGI-QZTJIDSGSA-N 0 3 309.434 4.212 20 0 DIADHN CSCc1cccc(CN[C@H](c2ccccn2)C(C)C)c1 ZINC000866344772 1043184411 /nfs/dbraw/zinc/18/44/11/1043184411.db2.gz YGJUNTSXFORVTB-SFHVURJKSA-N 0 3 300.471 4.432 20 0 DIADHN CSCc1cccc(CN[C@@H](c2ccccn2)C(C)C)c1 ZINC000866344771 1043184694 /nfs/dbraw/zinc/18/46/94/1043184694.db2.gz YGJUNTSXFORVTB-GOSISDBHSA-N 0 3 300.471 4.432 20 0 DIADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000190039764 1043187138 /nfs/dbraw/zinc/18/71/38/1043187138.db2.gz NGGATBHUPXKQNE-BXUZGUMPSA-N 0 3 303.381 4.105 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](C)CCc1cncc2ccccc21 ZINC000866366934 1043189293 /nfs/dbraw/zinc/18/92/93/1043189293.db2.gz DJEPTTZHVHRVDG-CVEARBPZSA-N 0 3 322.456 4.123 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2CCc3cccc4cccc2c43)cn1 ZINC000866371435 1043191234 /nfs/dbraw/zinc/19/12/34/1043191234.db2.gz MBYJVTSMALGCAV-KUHUBIRLSA-N 0 3 305.425 4.394 20 0 DIADHN CC[C@H](O)CN[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184948567 1043193239 /nfs/dbraw/zinc/19/32/39/1043193239.db2.gz BVDFODCKJIGHOK-YGRLFVJLSA-N 0 3 324.877 4.193 20 0 DIADHN CCC[C@H](NCc1ccc(-n2ccnc2)c(F)c1)c1cccnc1 ZINC000185134101 1043194964 /nfs/dbraw/zinc/19/49/64/1043194964.db2.gz CJSNNXWLYOFCCJ-SFHVURJKSA-N 0 3 324.403 4.037 20 0 DIADHN C[C@@H](CCc1cncc2ccccc21)N[C@@H](C)c1cscn1 ZINC000866388363 1043195466 /nfs/dbraw/zinc/19/54/66/1043195466.db2.gz IARJPPDOOPVJBA-KBPBESRZSA-N 0 3 311.454 4.363 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCSC2)c2ccccc2)nc1 ZINC000866402112 1043197804 /nfs/dbraw/zinc/19/78/04/1043197804.db2.gz ICMDBEYYZOGNFP-KDOFPFPSSA-N 0 3 316.445 4.043 20 0 DIADHN Cc1cc(F)cc(CN2CCC3(CC2)OCc2ccccc23)c1 ZINC001143586045 1043198747 /nfs/dbraw/zinc/19/87/47/1043198747.db2.gz JRROLPASYJQRKD-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN CC[C@H](NCc1ccc(C2(C)OCCO2)cc1)c1ccsc1 ZINC000866423309 1043202017 /nfs/dbraw/zinc/20/20/17/1043202017.db2.gz OXLRUTGLNBLAGD-KRWDZBQOSA-N 0 3 317.454 4.209 20 0 DIADHN CCC[C@@H](NCc1cc(OC)nc2ccccc12)c1cccnc1 ZINC000185573675 1043204299 /nfs/dbraw/zinc/20/42/99/1043204299.db2.gz TUVGODDSGHRTJW-GOSISDBHSA-N 0 3 321.424 4.269 20 0 DIADHN Cc1sc(NC(=O)CN2CCC[C@H]2CC(C)C)c(C)c1C ZINC000441520814 1043204641 /nfs/dbraw/zinc/20/46/41/1043204641.db2.gz GVUQFJVVPAUUIC-HNNXBMFYSA-N 0 3 308.491 4.122 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)c2cnc(C)s2)cc1C ZINC000866456398 1043204948 /nfs/dbraw/zinc/20/49/48/1043204948.db2.gz YRJWJWBHFNUKIM-CHWSQXEVSA-N 0 3 304.459 4.489 20 0 DIADHN Fc1ccc([C@H](NCC[C@H]2CCCS2)c2ccccc2)nc1 ZINC000866479877 1043207710 /nfs/dbraw/zinc/20/77/10/1043207710.db2.gz ACQGBKQWTSEYDN-SJLPKXTDSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000866486073 1043210848 /nfs/dbraw/zinc/21/08/48/1043210848.db2.gz XQFFLOAWLCAXPH-VXGBXAGGSA-N 0 3 314.360 4.393 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)C[C@H](O)c2ccc(F)cc2)o1 ZINC000121089236 1043212406 /nfs/dbraw/zinc/21/24/06/1043212406.db2.gz UFZQYKKUJCWLMQ-DCGLDWPTSA-N 0 3 305.393 4.144 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1cc(F)ccc1F ZINC000121100913 1043217197 /nfs/dbraw/zinc/21/71/97/1043217197.db2.gz NIBJCUHGYCUMSJ-FTLABTOESA-N 0 3 323.358 4.267 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H](CCO)c2ccccc2)cs1 ZINC000186352872 1043220204 /nfs/dbraw/zinc/22/02/04/1043220204.db2.gz SAXQKDOXFCFHII-GDBMZVCRSA-N 0 3 318.486 4.083 20 0 DIADHN C[C@H](NCC(C)(C)C(C)(F)F)c1ncc(-c2ccccc2)n1C ZINC000866545266 1043222710 /nfs/dbraw/zinc/22/27/10/1043222710.db2.gz FBBIGOYLYVIMGO-ZDUSSCGKSA-N 0 3 321.415 4.419 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2NCc2nn(C)cc2C(F)F)cc1 ZINC000866567205 1043223435 /nfs/dbraw/zinc/22/34/35/1043223435.db2.gz MMQBTFCNQRMXLW-GOEBONIOSA-N 0 3 319.399 4.092 20 0 DIADHN CC(C)(C)OCc1cc(CNc2ccnc3ccccc32)no1 ZINC000186468536 1043225408 /nfs/dbraw/zinc/22/54/08/1043225408.db2.gz FNMRYVILQQMFMP-UHFFFAOYSA-N 0 3 311.385 4.150 20 0 DIADHN CC[C@@H](NCCc1cc(C)no1)c1ccccc1Br ZINC000353948719 1043228545 /nfs/dbraw/zinc/22/85/45/1043228545.db2.gz WSAXREGWOOXTSP-OAHLLOKOSA-N 0 3 323.234 4.029 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000866610093 1043234419 /nfs/dbraw/zinc/23/44/19/1043234419.db2.gz QNWBGOLZPYNSEI-GMTAPVOTSA-N 0 3 305.365 4.304 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1cncc(F)c1 ZINC000186841609 1043235515 /nfs/dbraw/zinc/23/55/15/1043235515.db2.gz BJSGDHYWCQJBJJ-ABAIWWIYSA-N 0 3 312.310 4.217 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)C(F)(F)F)c1cncc(F)c1 ZINC000186841624 1043235532 /nfs/dbraw/zinc/23/55/32/1043235532.db2.gz BJSGDHYWCQJBJJ-XHDPSFHLSA-N 0 3 312.310 4.217 20 0 DIADHN Cc1cc(C)cc(OCCCN2CCC(C(F)(F)F)CC2)c1 ZINC000441624901 1043235528 /nfs/dbraw/zinc/23/55/28/1043235528.db2.gz XOJNMDKMNUQOAS-UHFFFAOYSA-N 0 3 315.379 4.347 20 0 DIADHN COc1ccccc1CCN(C)Cc1cc(-c2ccccc2)no1 ZINC000520606937 1043236029 /nfs/dbraw/zinc/23/60/29/1043236029.db2.gz WDSAMZVLNUOBPZ-UHFFFAOYSA-N 0 3 322.408 4.025 20 0 DIADHN CC[C@H](C[C@@H](C)O)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000866635527 1043241941 /nfs/dbraw/zinc/24/19/41/1043241941.db2.gz RFTHBGCBEDVBDZ-UMVBOHGHSA-N 0 3 304.459 4.010 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccc(C(C)(C)O)cc1 ZINC000187506505 1043245457 /nfs/dbraw/zinc/24/54/57/1043245457.db2.gz VYYOTKTZIWODAM-UHFFFAOYSA-N 0 3 309.409 4.258 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccs1)CCCS2 ZINC000187695975 1043249223 /nfs/dbraw/zinc/24/92/23/1043249223.db2.gz OOKXYMAPKAGVFC-HNNXBMFYSA-N 0 3 305.468 4.474 20 0 DIADHN Clc1ccc([C@H]2OCC[C@H]2NCc2ccnc(Cl)c2)cc1 ZINC000192022092 1043264907 /nfs/dbraw/zinc/26/49/07/1043264907.db2.gz LKDIGOYHHQHTSV-GDBMZVCRSA-N 0 3 323.223 4.008 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487398866 1043271461 /nfs/dbraw/zinc/27/14/61/1043271461.db2.gz BLCOYFVJANILGN-AAEUAGOBSA-N 0 3 321.371 4.025 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1ccnn1C)c1ccc(OC)cc1 ZINC000192817788 1043274879 /nfs/dbraw/zinc/27/48/79/1043274879.db2.gz PDPGOCLWDMTWEY-WMLDXEAASA-N 0 3 301.434 4.011 20 0 DIADHN Cc1cccc(-c2cccc(CN[C@@H](C)c3ccnn3C)c2)c1 ZINC000192806340 1043274999 /nfs/dbraw/zinc/27/49/99/1043274999.db2.gz GFHLVZUWMBBTNP-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1cccc(-n2cccn2)c1 ZINC000192921024 1043277033 /nfs/dbraw/zinc/27/70/33/1043277033.db2.gz GAYQHBQOKBAFSH-KDOFPFPSSA-N 0 3 307.397 4.194 20 0 DIADHN COc1ccc(CN[C@@H]2CCCNc3ccccc32)cc1Cl ZINC000193025962 1043277725 /nfs/dbraw/zinc/27/77/25/1043277725.db2.gz MUTXCGOXHFOURF-QGZVFWFLSA-N 0 3 316.832 4.385 20 0 DIADHN CN(C)c1cc(CN[C@H]2CCCc3occc32)c2ccccc2n1 ZINC000192918700 1043278503 /nfs/dbraw/zinc/27/85/03/1043278503.db2.gz LEFJTPGVXIBSDN-KRWDZBQOSA-N 0 3 321.424 4.061 20 0 DIADHN CCCNc1ccc(CNCc2c(C)nn(CCC)c2C)cc1 ZINC000193152707 1043279379 /nfs/dbraw/zinc/27/93/79/1043279379.db2.gz XMXPCYSSCSCBNF-UHFFFAOYSA-N 0 3 314.477 4.022 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2sc(C)nc2C)cc1 ZINC000193359671 1043284121 /nfs/dbraw/zinc/28/41/21/1043284121.db2.gz GVVUJJHLZMRCEZ-GFCCVEGCSA-N 0 3 303.475 4.433 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2cnn(C(C)C)c2)cc1 ZINC000193358695 1043284699 /nfs/dbraw/zinc/28/46/99/1043284699.db2.gz WAUPICGQRXKMAU-OAHLLOKOSA-N 0 3 300.450 4.137 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc2c(c1)OCCO2 ZINC000194834057 1043294983 /nfs/dbraw/zinc/29/49/83/1043294983.db2.gz NIEVGABSEUPUGQ-ZDUSSCGKSA-N 0 3 315.438 4.031 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000427694085 1043295598 /nfs/dbraw/zinc/29/55/98/1043295598.db2.gz IKRKSKCTHAHHAB-DCAQKATOSA-N 0 3 317.246 4.459 20 0 DIADHN C[C@H](CCC1CC1)N[C@H](C)c1ncc(Br)cc1F ZINC000427844753 1043307198 /nfs/dbraw/zinc/30/71/98/1043307198.db2.gz CZWAHPGJDVIDIN-NXEZZACHSA-N 0 3 315.230 4.213 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccsc2)cc1OC ZINC000268748072 1043327334 /nfs/dbraw/zinc/32/73/34/1043327334.db2.gz GRHXCDBKUVOKIY-CYBMUJFWSA-N 0 3 305.443 4.396 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCc3cc(C)ccc32)c1 ZINC000268734553 1043327913 /nfs/dbraw/zinc/32/79/13/1043327913.db2.gz CXVNXQCIPVLSMX-XOBRGWDASA-N 0 3 308.425 4.292 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@@H](O)c1ccccc1F ZINC000268770244 1043329140 /nfs/dbraw/zinc/32/91/40/1043329140.db2.gz DOVDYZITLMDBMM-XHDPSFHLSA-N 0 3 322.449 4.098 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(N3CCCCC3)nc2)cc1 ZINC000268763121 1043329437 /nfs/dbraw/zinc/32/94/37/1043329437.db2.gz ICSKXYODBURQJN-QGZVFWFLSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(N3CCCCC3)nc2)cc1 ZINC000268763120 1043329657 /nfs/dbraw/zinc/32/96/57/1043329657.db2.gz ICSKXYODBURQJN-KRWDZBQOSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1ccc(C)nc1 ZINC000268770339 1043330058 /nfs/dbraw/zinc/33/00/58/1043330058.db2.gz LIMYZXZJYMYSLX-CYBMUJFWSA-N 0 3 324.453 4.068 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C[C@@H]2CCCc3ccccc32)c1 ZINC000520801553 1043330705 /nfs/dbraw/zinc/33/07/05/1043330705.db2.gz DZQJYGQCUAELBT-SFHVURJKSA-N 0 3 322.452 4.197 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)s1 ZINC000268855204 1043339321 /nfs/dbraw/zinc/33/93/21/1043339321.db2.gz QPCUYJBCYAWYAT-QAJFTPDKSA-N 0 3 307.484 4.206 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(C(=O)NC3CC3)c2)cc1C ZINC000268856400 1043339421 /nfs/dbraw/zinc/33/94/21/1043339421.db2.gz UQYUVYNNNLUASW-MRXNPFEDSA-N 0 3 322.452 4.046 20 0 DIADHN CC(C)c1ccc(CN[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000268873273 1043340503 /nfs/dbraw/zinc/34/05/03/1043340503.db2.gz VYDFGGZWENZGDL-QRQCRPRQSA-N 0 3 315.482 4.398 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cccc(C(=O)NC3CC3)c2)c1C ZINC000268879348 1043340925 /nfs/dbraw/zinc/34/09/25/1043340925.db2.gz ZNDWPBAGVKSABJ-MRXNPFEDSA-N 0 3 322.452 4.046 20 0 DIADHN c1csc(-c2nc(CN[C@@H]3CCOC4(CCC4)C3)cs2)c1 ZINC000268946170 1043344805 /nfs/dbraw/zinc/34/48/05/1043344805.db2.gz BHARVWBPMOOZQX-GFCCVEGCSA-N 0 3 320.483 4.063 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1ccc(C(F)(F)F)cc1 ZINC000269022865 1043350202 /nfs/dbraw/zinc/35/02/02/1043350202.db2.gz BYYOATFLJFJMES-JECHBYEQSA-N 0 3 321.342 4.014 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccsc1)c1cc2ccccc2o1 ZINC000269001312 1043351200 /nfs/dbraw/zinc/35/12/00/1043351200.db2.gz PGXNSKRVYQHYEZ-PXAZEXFGSA-N 0 3 301.411 4.053 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@H](C)c1sccc1C ZINC000269040177 1043353225 /nfs/dbraw/zinc/35/32/25/1043353225.db2.gz GIOPCFNBECGJEG-OAHLLOKOSA-N 0 3 319.470 4.241 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](C)c1ccc(OC)cc1)CCC2 ZINC000269063255 1043354383 /nfs/dbraw/zinc/35/43/83/1043354383.db2.gz YHDYBQVGUKNTSY-SWLSCSKDSA-N 0 3 316.470 4.442 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1cccc(OC(F)F)c1 ZINC000269066543 1043355466 /nfs/dbraw/zinc/35/54/66/1043355466.db2.gz VVUACCGBWRLODL-SUMWQHHRSA-N 0 3 321.367 4.062 20 0 DIADHN C[C@H](Sc1ccccc1)c1ccc(NC(=O)C(C)(C)N)cc1 ZINC000269107397 1043356696 /nfs/dbraw/zinc/35/66/96/1043356696.db2.gz POXMNGVZAGKRPG-ZDUSSCGKSA-N 0 3 314.454 4.216 20 0 DIADHN Cc1cccc(N2CCN(c3ccnc4ccccc43)CC2)c1C ZINC000521056986 1043356826 /nfs/dbraw/zinc/35/68/26/1043356826.db2.gz CJZIGWALBSKHNS-UHFFFAOYSA-N 0 3 317.436 4.178 20 0 DIADHN CC[C@H](NC(=O)C[C@H](N)c1ccccc1)c1ccc(Cl)s1 ZINC000269478706 1043367915 /nfs/dbraw/zinc/36/79/15/1043367915.db2.gz FFCXIGWWBFLHAL-STQMWFEESA-N 0 3 322.861 4.059 20 0 DIADHN CCCN(Cc1ccc(C(=O)OC)o1)[C@H](CC)c1ccccc1 ZINC000521193462 1043371178 /nfs/dbraw/zinc/37/11/78/1043371178.db2.gz CZMXYQCYWWZHMM-QGZVFWFLSA-N 0 3 315.413 4.430 20 0 DIADHN COC[C@H]1CCN([C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)C1 ZINC000269802630 1043373155 /nfs/dbraw/zinc/37/31/55/1043373155.db2.gz UMQVTIUFJFXPHD-STQMWFEESA-N 0 3 320.820 4.024 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2cccc(F)c2F)c1 ZINC000521183900 1043373389 /nfs/dbraw/zinc/37/33/89/1043373389.db2.gz WZCDCVUYNCAMKQ-MRXNPFEDSA-N 0 3 317.379 4.181 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CNC(C)(C)c1ccccc1 ZINC000270189947 1043381098 /nfs/dbraw/zinc/38/10/98/1043381098.db2.gz AXJUWVPGHBDNDC-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN CSCc1cnc(CN[C@H](C)CCc2ccccc2)s1 ZINC000521278375 1043381004 /nfs/dbraw/zinc/38/10/04/1043381004.db2.gz JVAXVXVVAJDAMO-CYBMUJFWSA-N 0 3 306.500 4.117 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C[C@@H]1CCC2(CCCCC2)O1 ZINC000191005084 1043383196 /nfs/dbraw/zinc/38/31/96/1043383196.db2.gz NWZITSCOWDXPTD-ZWKOTPCHSA-N 0 3 302.462 4.346 20 0 DIADHN CC(C)Oc1cccc([C@H](C)N[C@H](C)c2cccc(CO)c2)c1 ZINC000270411789 1043385086 /nfs/dbraw/zinc/38/50/86/1043385086.db2.gz CIYJRUGRHXSEMB-CVEARBPZSA-N 0 3 313.441 4.378 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](C4CCC4)C3)o2)cc1 ZINC000665284981 1043385314 /nfs/dbraw/zinc/38/53/14/1043385314.db2.gz ZRZMTAIHSHULMW-WMLDXEAASA-N 0 3 311.429 4.228 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@@H](C3CCC3)C2)c(C)c1 ZINC000665286028 1043389088 /nfs/dbraw/zinc/38/90/88/1043389088.db2.gz CNWDKYGQLRZUOS-FUHWJXTLSA-N 0 3 314.473 4.061 20 0 DIADHN C[C@H](NCc1cccc(C(F)(F)F)c1)c1cccc(CO)c1 ZINC000270539949 1043390709 /nfs/dbraw/zinc/39/07/09/1043390709.db2.gz XELWBVSQZOWZMI-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(OC(F)F)c1)c1cccc(CO)c1 ZINC000270544295 1043391134 /nfs/dbraw/zinc/39/11/34/1043391134.db2.gz YIHYONUJUKTSJR-CHWSQXEVSA-N 0 3 321.367 4.192 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000270686732 1043394487 /nfs/dbraw/zinc/39/44/87/1043394487.db2.gz UWFLLQVJFSSEJG-MXYBEHONSA-N 0 3 305.443 4.066 20 0 DIADHN CCN(Cc1ccccn1)[C@H](C)c1ccc(OC(F)F)cc1 ZINC000270697891 1043395343 /nfs/dbraw/zinc/39/53/43/1043395343.db2.gz DUJCACOKKIGYQH-CYBMUJFWSA-N 0 3 306.356 4.266 20 0 DIADHN CCN(Cc1ccc(OC(F)F)cc1)[C@@H](C)c1cccnc1 ZINC000270693830 1043395811 /nfs/dbraw/zinc/39/58/11/1043395811.db2.gz YNAYEGPTMPGUJC-ZDUSSCGKSA-N 0 3 306.356 4.266 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000871870119 1043410285 /nfs/dbraw/zinc/41/02/85/1043410285.db2.gz IGOLLWUDENLRNC-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@@]3(CC[C@@H](C)C3)C2)c1 ZINC000557908882 1043412682 /nfs/dbraw/zinc/41/26/82/1043412682.db2.gz FGTBFZUFFAMDJO-OXFYSEKESA-N 0 3 314.473 4.143 20 0 DIADHN Brc1ccc([C@H](NC2CCC2)c2cccnc2)cc1 ZINC000925377667 1043413867 /nfs/dbraw/zinc/41/38/67/1043413867.db2.gz QZZNZWKPQLAALX-INIZCTEOSA-N 0 3 317.230 4.076 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccc(F)cc1OC(F)F ZINC000442804555 1043421902 /nfs/dbraw/zinc/42/19/02/1043421902.db2.gz ZZBOFQTZPIPJTF-SWHYSGLUSA-N 0 3 317.351 4.035 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@@H]1CCCc2cn[nH]c21 ZINC000271472398 1043423054 /nfs/dbraw/zinc/42/30/54/1043423054.db2.gz HPVAUNVLZYQPSI-IAQYHMDHSA-N 0 3 319.836 4.190 20 0 DIADHN CC[C@@H](NCc1cnn(CC)c1)c1ccc2cc(OC)ccc2c1 ZINC000271473102 1043423322 /nfs/dbraw/zinc/42/33/22/1043423322.db2.gz JIDQVJMJZYPUNU-HXUWFJFHSA-N 0 3 323.440 4.306 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2csc(-c3ccsc3)n2)CCO1 ZINC000271495115 1043425725 /nfs/dbraw/zinc/42/57/25/1043425725.db2.gz JTYHXDXJPVWZPG-DZGCQCFKSA-N 0 3 322.499 4.165 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000271505182 1043426927 /nfs/dbraw/zinc/42/69/27/1043426927.db2.gz MPGJELIGSYLNJL-IYOUNJFTSA-N 0 3 318.848 4.032 20 0 DIADHN Cc1cccc([C@@H](O)CN[C@@H](C)c2cc(-c3ccccc3)no2)c1 ZINC000925554729 1043429117 /nfs/dbraw/zinc/42/91/17/1043429117.db2.gz BFTOXFKCAPEYHA-KXBFYZLASA-N 0 3 322.408 4.034 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1cncs1 ZINC000271510026 1043429015 /nfs/dbraw/zinc/42/90/15/1043429015.db2.gz OOYJQJYQJRGFLI-ZETOZRRWSA-N 0 3 310.850 4.223 20 0 DIADHN CC1(C)C[C@H](NCc2cccc(CO)c2)c2ccccc2S1 ZINC000872035547 1043429151 /nfs/dbraw/zinc/42/91/51/1043429151.db2.gz WWTOSCAJJMUWJW-KRWDZBQOSA-N 0 3 313.466 4.284 20 0 DIADHN C[C@H]([NH2+]C[C@@H]1CCCO[C@@H]1C(C)(C)C)c1cccc([O-])c1F ZINC000872039249 1043430517 /nfs/dbraw/zinc/43/05/17/1043430517.db2.gz RKISJBQMRSGWCM-DCGLDWPTSA-N 0 3 309.425 4.023 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cccc(Br)n1 ZINC000925563331 1043431056 /nfs/dbraw/zinc/43/10/56/1043431056.db2.gz MPJGIUZUXYWJQK-UWVGGRQHSA-N 0 3 319.193 4.073 20 0 DIADHN CC[C@@H](CCC(F)(F)F)NCc1csc([C@@H](C)OC)n1 ZINC000872041866 1043433078 /nfs/dbraw/zinc/43/30/78/1043433078.db2.gz LKOXSOMKOPOIMC-ZJUUUORDSA-N 0 3 310.385 4.061 20 0 DIADHN Cc1ncc(CCN[C@H](c2ccc(C)cc2)c2cccnc2)s1 ZINC000925575833 1043434192 /nfs/dbraw/zinc/43/41/92/1043434192.db2.gz HSAISCWHWSQKKO-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CN[C@@H](C)c1cnn(C)c1 ZINC000442864175 1043435985 /nfs/dbraw/zinc/43/59/85/1043435985.db2.gz ZAGYSMYCTNKMPE-NSHDSACASA-N 0 3 319.861 4.447 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000271582240 1043438494 /nfs/dbraw/zinc/43/84/94/1043438494.db2.gz WZUPGIGQOUYSMD-WIEQDCTASA-N 0 3 301.455 4.144 20 0 DIADHN OC1(CCN[C@H](c2cccc(F)c2)c2cccc(Cl)c2)CC1 ZINC000442864440 1043438787 /nfs/dbraw/zinc/43/87/87/1043438787.db2.gz ZOFUXXDDQSNBQE-KRWDZBQOSA-N 0 3 319.807 4.073 20 0 DIADHN Cc1cc([C@@H](N[C@@H](C)c2cncc(F)c2)C2CC2)ccc1F ZINC000271573587 1043439097 /nfs/dbraw/zinc/43/90/97/1043439097.db2.gz VZTMOZBNDJIANB-SGTLLEGYSA-N 0 3 302.368 4.470 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCO[C@H](C(C)(C)C)C3)CCC2)n1 ZINC000872057673 1043439768 /nfs/dbraw/zinc/43/97/68/1043439768.db2.gz ZWLWHIKWHQXJDG-KGLIPLIRSA-N 0 3 308.491 4.014 20 0 DIADHN C[C@H](NCCCCOc1ccc(Cl)cc1)c1nccs1 ZINC000271577698 1043439796 /nfs/dbraw/zinc/43/97/96/1043439796.db2.gz QXJHGUNEWFDQQK-LBPRGKRZSA-N 0 3 310.850 4.306 20 0 DIADHN CCC[C@H](NCCc1ccncc1C)c1ncccc1Cl ZINC000925631878 1043440393 /nfs/dbraw/zinc/44/03/93/1043440393.db2.gz YBAFZFCCIQAILP-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN CCC[C@@H](NCCc1ccncc1C)c1ncccc1Cl ZINC000925631879 1043440445 /nfs/dbraw/zinc/44/04/45/1043440445.db2.gz YBAFZFCCIQAILP-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cccc(Br)c1 ZINC000271587099 1043441448 /nfs/dbraw/zinc/44/14/48/1043441448.db2.gz ZGUMGMWTJHAABB-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000925644000 1043443324 /nfs/dbraw/zinc/44/33/24/1043443324.db2.gz MPVMHJVGCVPQLT-LIRRHRJNSA-N 0 3 310.441 4.111 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000271646227 1043444964 /nfs/dbraw/zinc/44/49/64/1043444964.db2.gz GZWIHYIEHUEZIP-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(C(F)(F)F)cs1)[C@@H]1CCCOC1 ZINC000872067129 1043447994 /nfs/dbraw/zinc/44/79/94/1043447994.db2.gz OMMXRAVUNYYSCL-MXWKQRLJSA-N 0 3 322.396 4.018 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1cnn(C2CCC2)c1 ZINC000925669620 1043450083 /nfs/dbraw/zinc/45/00/83/1043450083.db2.gz CMSLAUAXMXTGBV-BFUOFWGJSA-N 0 3 313.420 4.475 20 0 DIADHN C[C@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1ccnnc1 ZINC000925680290 1043453021 /nfs/dbraw/zinc/45/30/21/1043453021.db2.gz PYXPGDADQFOJHP-MEDUHNTESA-N 0 3 321.346 4.297 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000925685423 1043453590 /nfs/dbraw/zinc/45/35/90/1043453590.db2.gz MWLWKUMMPBFGEW-CABCVRRESA-N 0 3 312.457 4.283 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2ccsc2)cc1OC ZINC000339141168 1043454574 /nfs/dbraw/zinc/45/45/74/1043454574.db2.gz KMEWQJJIMZICKY-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2ccsc2)cc1OC ZINC000339141169 1043455210 /nfs/dbraw/zinc/45/52/10/1043455210.db2.gz KMEWQJJIMZICKY-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN Fc1cccc2c(CN3CC[C@@H](OCc4ccccc4)C3)c[nH]c21 ZINC001137055665 1043459168 /nfs/dbraw/zinc/45/91/68/1043459168.db2.gz AGDJFVDOFNDIQR-QGZVFWFLSA-N 0 3 324.399 4.098 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000348632528 1043459800 /nfs/dbraw/zinc/45/98/00/1043459800.db2.gz ILDMBPRMQPWKIK-SFHVURJKSA-N 0 3 319.399 4.088 20 0 DIADHN C[C@H](NCc1ccc(C(F)(F)F)s1)c1cccc(CO)c1 ZINC000872107973 1043460769 /nfs/dbraw/zinc/46/07/69/1043460769.db2.gz QCYDILIMYSHGRP-JTQLQIEISA-N 0 3 315.360 4.110 20 0 DIADHN Clc1ccc(C2(NC[C@@H]3CCCCO3)CC2)cc1Cl ZINC000558223037 1043461022 /nfs/dbraw/zinc/46/10/22/1043461022.db2.gz VVKAQDCWCHWIAP-LBPRGKRZSA-N 0 3 300.229 4.141 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccncc3)c2)[C@@H]2CCC[C@H]2O1 ZINC000442965769 1043461378 /nfs/dbraw/zinc/46/13/78/1043461378.db2.gz LGGOWLRQMBNMAZ-WOJBJXKFSA-N 0 3 322.452 4.281 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccnc(OC)c1)c1ccc(OC)cc1 ZINC000348758080 1043464584 /nfs/dbraw/zinc/46/45/84/1043464584.db2.gz YEVMVGCCCDSCSD-KBXCAEBGSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1nc(-c2ccncc2)cs1 ZINC000872121579 1043467322 /nfs/dbraw/zinc/46/73/22/1043467322.db2.gz NGSFEAHHOZACCN-SNVBAGLBSA-N 0 3 315.364 4.026 20 0 DIADHN Fc1cc(C(F)(F)F)cc(C2(CNCc3ccco3)CC2)c1 ZINC000443009716 1043468598 /nfs/dbraw/zinc/46/85/98/1043468598.db2.gz ZMMWRRXLMLNBJM-UHFFFAOYSA-N 0 3 313.294 4.259 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1cccc2ncccc12 ZINC000872124421 1043469075 /nfs/dbraw/zinc/46/90/75/1043469075.db2.gz NAZKXRNCCLWBSF-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1ccc2oc(=O)[nH]c2c1 ZINC000872123930 1043469720 /nfs/dbraw/zinc/46/97/20/1043469720.db2.gz YAKHOEILQGZSLP-WFASDCNBSA-N 0 3 308.381 4.180 20 0 DIADHN Cc1oc2ccccc2c1CN[C@]1(CO)CCCc2ccccc21 ZINC000443063193 1043473288 /nfs/dbraw/zinc/47/32/88/1043473288.db2.gz DAMSFYXKLVFQES-NRFANRHFSA-N 0 3 321.420 4.055 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cc1C ZINC000558255337 1043473474 /nfs/dbraw/zinc/47/34/74/1043473474.db2.gz FFSMCYYSANPCHW-OXJNMPFZSA-N 0 3 314.448 4.396 20 0 DIADHN CO[C@H]1CCN(CCCc2c(Cl)cccc2Cl)[C@@H](C)C1 ZINC000443066057 1043474398 /nfs/dbraw/zinc/47/43/98/1043474398.db2.gz IVRGGEVYSLETGT-STQMWFEESA-N 0 3 316.272 4.425 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)cc1OC ZINC000558258369 1043476133 /nfs/dbraw/zinc/47/61/33/1043476133.db2.gz QHSSAZAMMNMCGF-XBFCOCLRSA-N 0 3 313.388 4.178 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCOc1ccc(Br)cc1 ZINC000521814332 1043476552 /nfs/dbraw/zinc/47/65/52/1043476552.db2.gz VTQKFMVEZOKNNW-LBPRGKRZSA-N 0 3 324.218 4.114 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(-c3ccccc3)cc2F)[C@@H](C)C1 ZINC000443069089 1043477026 /nfs/dbraw/zinc/47/70/26/1043477026.db2.gz OQPKNWVJXUFRQX-KXBFYZLASA-N 0 3 313.416 4.492 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(-c3ccccc3)cc2F)[C@H](C)C1 ZINC000443069087 1043477180 /nfs/dbraw/zinc/47/71/80/1043477180.db2.gz OQPKNWVJXUFRQX-DNVCBOLYSA-N 0 3 313.416 4.492 20 0 DIADHN c1cncc([C@@H]2CCCN2Cc2cccc(-c3ccncc3)c2)c1 ZINC000348893205 1043477563 /nfs/dbraw/zinc/47/75/63/1043477563.db2.gz KGKWYLONPLTKMU-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN FC(F)(F)C1CC(N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000558278021 1043479219 /nfs/dbraw/zinc/47/92/19/1043479219.db2.gz ZIAYVMKWUJGDQU-XUJLQICISA-N 0 3 306.331 4.102 20 0 DIADHN COc1ccccc1C1(CNCc2c(F)cccc2Cl)CC1 ZINC000521827401 1043480343 /nfs/dbraw/zinc/48/03/43/1043480343.db2.gz FNRIUKDWVZUIFY-UHFFFAOYSA-N 0 3 319.807 4.309 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(C(F)(F)F)cc1C ZINC000348919335 1043480703 /nfs/dbraw/zinc/48/07/03/1043480703.db2.gz GBUXBDPHHNRASB-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2cccnc2)cc1 ZINC000348918056 1043481274 /nfs/dbraw/zinc/48/12/74/1043481274.db2.gz BBOVRIYUCMNHBR-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN FC(F)COc1ccc(CN2CCC[C@@H]2c2cccnc2)cc1 ZINC000348937950 1043483168 /nfs/dbraw/zinc/48/31/68/1043483168.db2.gz XFJWQMQWLBFCBS-QGZVFWFLSA-N 0 3 318.367 4.063 20 0 DIADHN COc1ccc2ccccc2c1CNCc1ccc2[nH]cnc2c1 ZINC000521819122 1043484921 /nfs/dbraw/zinc/48/49/21/1043484921.db2.gz SDIQBPJFSPBALV-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN COc1ccc2ccccc2c1CNCc1ccc2nc[nH]c2c1 ZINC000521819122 1043484928 /nfs/dbraw/zinc/48/49/28/1043484928.db2.gz SDIQBPJFSPBALV-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777579175 1043487047 /nfs/dbraw/zinc/48/70/47/1043487047.db2.gz SEMIDQVPORGGBU-QGZVFWFLSA-N 0 3 306.413 4.103 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H](OC3CCC3)CC2)s1 ZINC000872261085 1043487583 /nfs/dbraw/zinc/48/75/83/1043487583.db2.gz ZJZLCCHERHHNKD-OAHLLOKOSA-N 0 3 322.518 4.364 20 0 DIADHN COc1ccccc1OCCN(Cc1ccccc1F)C(C)C ZINC000521822456 1043487862 /nfs/dbraw/zinc/48/78/62/1043487862.db2.gz QDSBXGCUEMXHOT-UHFFFAOYSA-N 0 3 317.404 4.124 20 0 DIADHN CCn1ncc2c1CCC[C@H]2N[C@@H](C)c1coc2ccccc12 ZINC000925887033 1043488926 /nfs/dbraw/zinc/48/89/26/1043488926.db2.gz PTHKYBUEIGOUQS-SUMWQHHRSA-N 0 3 309.413 4.377 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H](CC3CC3)c3ccccc3)[nH]2)cc1 ZINC000777578863 1043489414 /nfs/dbraw/zinc/48/94/14/1043489414.db2.gz OICAVJGJTKDAGQ-SFHVURJKSA-N 0 3 318.424 4.103 20 0 DIADHN Oc1cccc(CN(CCc2ccccc2)Cc2ccncc2)c1 ZINC000349061252 1043489588 /nfs/dbraw/zinc/48/95/88/1043489588.db2.gz HVZIOILXSRKAOA-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@H](OC2CCC2)CC1 ZINC000872280798 1043490400 /nfs/dbraw/zinc/49/04/00/1043490400.db2.gz PLDAXQOKMGIYML-HOCLYGCPSA-N 0 3 314.429 4.312 20 0 DIADHN CCC[C@@H](N[C@H](CO)c1ccc(CC)cc1)c1ccsc1 ZINC000443093448 1043490704 /nfs/dbraw/zinc/49/07/04/1043490704.db2.gz JCOYDNDNWDMPBB-QZTJIDSGSA-N 0 3 303.471 4.475 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2csc(C(F)(F)F)c2)o1 ZINC000925121980 1043492384 /nfs/dbraw/zinc/49/23/84/1043492384.db2.gz DFWMKZWUSMFVHO-ONGXEEELSA-N 0 3 319.348 4.053 20 0 DIADHN Cc1nc(CNC[C@@H](C)c2c(Cl)cccc2Cl)[nH]c1C ZINC000521900830 1043499349 /nfs/dbraw/zinc/49/93/49/1043499349.db2.gz YSIDFJYSTSCXQT-SECBINFHSA-N 0 3 312.244 4.227 20 0 DIADHN Cc1nc(CNC[C@H](C)c2c(Cl)cccc2Cl)[nH]c1C ZINC000521900831 1043500199 /nfs/dbraw/zinc/50/01/99/1043500199.db2.gz YSIDFJYSTSCXQT-VIFPVBQESA-N 0 3 312.244 4.227 20 0 DIADHN CCc1ccc(CN2CC[C@H](CSc3ccccc3)C2)cn1 ZINC000558400547 1043500412 /nfs/dbraw/zinc/50/04/12/1043500412.db2.gz SQCVWQVZULJUNS-KRWDZBQOSA-N 0 3 312.482 4.258 20 0 DIADHN CCN(Cc1cccc(-c2ccncc2)c1)Cc1cccnc1C ZINC000349331179 1043501574 /nfs/dbraw/zinc/50/15/74/1043501574.db2.gz KQNYMERAXLBPGH-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN CSc1ccc(CN(C)Cc2ccccc2N(C)C)cc1 ZINC000506789889 1043503868 /nfs/dbraw/zinc/50/38/68/1043503868.db2.gz NTGUPJUIJBWMFU-UHFFFAOYSA-N 0 3 300.471 4.107 20 0 DIADHN COc1ccc(CN(Cc2cnn(C(C)C)c2)C(C)(C)C)cc1 ZINC000558426184 1043507889 /nfs/dbraw/zinc/50/78/89/1043507889.db2.gz IVSUKPDLEHVXRI-UHFFFAOYSA-N 0 3 315.461 4.273 20 0 DIADHN COC[C@H](N[C@@H]1CS[C@@H](C(C)C)C1)c1cccc(Cl)c1 ZINC000558426443 1043508531 /nfs/dbraw/zinc/50/85/31/1043508531.db2.gz LHDPSFUSERQFOF-HRCADAONSA-N 0 3 313.894 4.147 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](c1cccs1)C(C)(C)CO ZINC000558466616 1043512466 /nfs/dbraw/zinc/51/24/66/1043512466.db2.gz KLWXRYILIGBIGD-INIZCTEOSA-N 0 3 307.434 4.045 20 0 DIADHN CC(C)c1ccc(C(=O)NC[C@H](C)N(C)Cc2ccccc2)cc1 ZINC000521956206 1043514744 /nfs/dbraw/zinc/51/47/44/1043514744.db2.gz LSEPYXKWRDWGHG-KRWDZBQOSA-N 0 3 324.468 4.060 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc3ncccc23)c2ccccc2O1 ZINC000873130805 1043515967 /nfs/dbraw/zinc/51/59/67/1043515967.db2.gz GXQIQMUBYJFSLW-AUUYWEPGSA-N 0 3 304.393 4.237 20 0 DIADHN Fc1ccc2oc(CNCCC[C@H]3CC3(Cl)Cl)nc2c1 ZINC000873134043 1043519431 /nfs/dbraw/zinc/51/94/31/1043519431.db2.gz WUCXLLCRYWQICV-VIFPVBQESA-N 0 3 317.191 4.031 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2ccc3c[nH]nc3c2)c1 ZINC000873164046 1043522325 /nfs/dbraw/zinc/52/23/25/1043522325.db2.gz CWXJHTIAVRFJOL-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN COC1CCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000349789201 1043523681 /nfs/dbraw/zinc/52/36/81/1043523681.db2.gz BNNAGZIDTLFMNS-UHFFFAOYSA-N 0 3 305.418 4.165 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)cc1 ZINC000873186156 1043526141 /nfs/dbraw/zinc/52/61/41/1043526141.db2.gz GYGQPWZOKQSMJE-LBPRGKRZSA-N 0 3 324.346 4.098 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N(C)C[C@H]2CC=CCC2)cc1 ZINC000522030346 1043526546 /nfs/dbraw/zinc/52/65/46/1043526546.db2.gz FGPSHCMZZURLDC-CABCVRRESA-N 0 3 318.486 4.024 20 0 DIADHN CN(c1ccccc1)c1ccc(CNC2(C)CC(F)(F)C2)cn1 ZINC000873197597 1043526708 /nfs/dbraw/zinc/52/67/08/1043526708.db2.gz JDNPLMUDHDDPBC-UHFFFAOYSA-N 0 3 317.383 4.127 20 0 DIADHN CC1(C)CN(Cc2ccc3ncccc3c2)CC2(CCCC2)O1 ZINC000443315438 1043526864 /nfs/dbraw/zinc/52/68/64/1043526864.db2.gz UFTGALNQQXWBNF-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN Clc1ccc(-c2nnc(CN[C@H]3CC4CCC3CC4)o2)cc1 ZINC000522057420 1043529406 /nfs/dbraw/zinc/52/94/06/1043529406.db2.gz CYRXBSZXMKTEFE-QOZQQMKHSA-N 0 3 317.820 4.058 20 0 DIADHN Cc1ccccc1CNCc1ccnc(O[C@@H](C)CC(C)C)c1 ZINC000522061960 1043530743 /nfs/dbraw/zinc/53/07/43/1043530743.db2.gz DHTRTXUKCZAHQZ-KRWDZBQOSA-N 0 3 312.457 4.493 20 0 DIADHN Cn1ccc(CN(Cc2ccccc2C(F)(F)F)C2CC2)c1 ZINC000349919976 1043531967 /nfs/dbraw/zinc/53/19/67/1043531967.db2.gz VRDVNGDRPUULSM-UHFFFAOYSA-N 0 3 308.347 4.209 20 0 DIADHN Cc1ccc([C@H](NCc2cc(N)cc(F)c2)C2CCCC2)o1 ZINC000873288548 1043532937 /nfs/dbraw/zinc/53/29/37/1043532937.db2.gz IEBOQLBMPQRKAD-GOSISDBHSA-N 0 3 302.393 4.330 20 0 DIADHN Cc1cccc([C@@H](NCC[C@H]2CCCCO2)c2ccccn2)c1 ZINC000926120349 1043532978 /nfs/dbraw/zinc/53/29/78/1043532978.db2.gz CXTOCIHYPXRRQW-UYAOXDASSA-N 0 3 310.441 4.028 20 0 DIADHN Cc1ccc([C@@H](NCCC[C@@H]2CCCCO2)c2ccccn2)cc1 ZINC000926174649 1043538329 /nfs/dbraw/zinc/53/83/29/1043538329.db2.gz LRPNXICUWKGUNZ-PZJWPPBQSA-N 0 3 324.468 4.418 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@@H](c2ccccc2)C1)c1cc([O-])cc(F)c1 ZINC000926179847 1043540523 /nfs/dbraw/zinc/54/05/23/1043540523.db2.gz HCSXGMRBOMYOBU-BOFPYLFWSA-N 0 3 315.388 4.102 20 0 DIADHN Cc1sccc1CN1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000350280275 1043541626 /nfs/dbraw/zinc/54/16/26/1043541626.db2.gz VPDURYJJUZAXKZ-INIZCTEOSA-N 0 3 315.438 4.165 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1ccnn1C1CCC1 ZINC000926187423 1043542777 /nfs/dbraw/zinc/54/27/77/1043542777.db2.gz CMWQXAKXBHRMGV-DOMZBBRYSA-N 0 3 301.459 4.398 20 0 DIADHN CCC[C@H](NC[C@]1(C)CCO[C@@H]1C1CC1)c1ncccc1Cl ZINC000926196123 1043545155 /nfs/dbraw/zinc/54/51/55/1043545155.db2.gz HNRNZZJPVYVPKI-JQHSSLGASA-N 0 3 322.880 4.371 20 0 DIADHN COc1ccc([C@H]2CCCCCN2Cc2conc2C)cc1 ZINC000350404324 1043545299 /nfs/dbraw/zinc/54/52/99/1043545299.db2.gz ZRHAZVDYCXIKJY-GOSISDBHSA-N 0 3 300.402 4.109 20 0 DIADHN CCc1nocc1CN1CCC(c2c(C)[nH]c3ccccc32)CC1 ZINC000350560466 1043548267 /nfs/dbraw/zinc/54/82/67/1043548267.db2.gz PPESPVJSIGHSFP-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN CC(C)c1ccc([C@@H](NCC2(CCO)CC2)c2cccnc2)cc1 ZINC000926226924 1043549750 /nfs/dbraw/zinc/54/97/50/1043549750.db2.gz BTQSFWSGLSNTIJ-HXUWFJFHSA-N 0 3 324.468 4.047 20 0 DIADHN Cc1cc(F)ccc1CN1CCC([C@@H](O)c2ccccc2)CC1 ZINC000124602565 1043556771 /nfs/dbraw/zinc/55/67/71/1043556771.db2.gz SAMJIVLEZABHRQ-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN CC(C)n1cc(CN2CCC3(CCCc4ccccc43)CC2)cn1 ZINC001137073015 1043557544 /nfs/dbraw/zinc/55/75/44/1043557544.db2.gz NZGUHIPAYMJDTE-UHFFFAOYSA-N 0 3 323.484 4.334 20 0 DIADHN CC(C)Oc1ccc(CCN[C@H](C)c2cccnc2Cl)cc1 ZINC000124711663 1043563197 /nfs/dbraw/zinc/56/31/97/1043563197.db2.gz VAWRIBBSTUFRRB-CQSZACIVSA-N 0 3 318.848 4.416 20 0 DIADHN CC(C)Oc1ccc(CCN[C@@H](C)c2cccnc2Cl)cc1 ZINC000124711439 1043563292 /nfs/dbraw/zinc/56/32/92/1043563292.db2.gz VAWRIBBSTUFRRB-AWEZNQCLSA-N 0 3 318.848 4.416 20 0 DIADHN Clc1ccc([C@@H](NC[C@H]2CCSC2)c2ccccn2)cc1 ZINC000926324166 1043563281 /nfs/dbraw/zinc/56/32/81/1043563281.db2.gz SLXHRRMXXFOHFB-CXAGYDPISA-N 0 3 318.873 4.167 20 0 DIADHN Cc1ccc([C@H](NC2CC3(C2)CO[C@H](C)C3)c2ccccn2)cc1 ZINC000926331695 1043563355 /nfs/dbraw/zinc/56/33/55/1043563355.db2.gz OAJQOBPCHOEXNP-YEGQGQEUSA-N 0 3 322.452 4.027 20 0 DIADHN Clc1cccc(CCN2CC[C@@H](c3ccncc3)C2)c1Cl ZINC001307822804 1043568938 /nfs/dbraw/zinc/56/89/38/1043568938.db2.gz YXJLJXFSDWBECM-OAHLLOKOSA-N 0 3 321.251 4.420 20 0 DIADHN Cc1cccc([C@@H](NC[C@H]2CCCSC2)c2ccccn2)c1 ZINC000926431629 1043576340 /nfs/dbraw/zinc/57/63/40/1043576340.db2.gz PPQRJLDYNKNBQP-VQIMIIECSA-N 0 3 312.482 4.212 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@H]1CCC[C@H]2C[C@H]21 ZINC000558699733 1043576773 /nfs/dbraw/zinc/57/67/73/1043576773.db2.gz KSNQSWOYLVBXLC-JQHSSLGASA-N 0 3 312.482 4.207 20 0 DIADHN CC(C)(C)CCN1CCCC[C@]12CC(=O)N(CC1CCCC1)C2 ZINC001275127051 1043578424 /nfs/dbraw/zinc/57/84/24/1043578424.db2.gz IXFGOSHGMZTYSP-HXUWFJFHSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H](NC(=O)c1ccc(NC2=NCCC2)cc1)c1ccccc1 ZINC001299438797 1043580325 /nfs/dbraw/zinc/58/03/25/1043580325.db2.gz OZJCIYGQJCQZHR-GOSISDBHSA-N 0 3 321.424 4.172 20 0 DIADHN C[C@@H](CN1CC[C@@H](C(F)(F)F)C2(CCC2)C1)C(F)(F)F ZINC000558739371 1043585290 /nfs/dbraw/zinc/58/52/90/1043585290.db2.gz HWFRJUILHMTEPF-VHSXEESVSA-N 0 3 303.290 4.239 20 0 DIADHN Cc1cc(CNCc2coc3ccccc23)cc(N2CCCC2)n1 ZINC000353206834 1043591414 /nfs/dbraw/zinc/59/14/14/1043591414.db2.gz HERICRKQEWGNIQ-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN COc1cc([C@@H](C)NCc2cnccc2C)cc2ccccc21 ZINC000926524982 1043592663 /nfs/dbraw/zinc/59/26/63/1043592663.db2.gz HLAHQWQNSWLWLK-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CCC[C@@H](NCCc1ncccc1C)c1ncccc1Cl ZINC000926523270 1043593739 /nfs/dbraw/zinc/59/37/39/1043593739.db2.gz AQOJJPVCDQWKCB-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN COc1cc([C@H](C)NCc2cnccc2C)cc2ccccc21 ZINC000926524981 1043593888 /nfs/dbraw/zinc/59/38/88/1043593888.db2.gz HLAHQWQNSWLWLK-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](NCc1cccc(F)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000028925265 1043595416 /nfs/dbraw/zinc/59/54/16/1043595416.db2.gz GGWBJMSEEDCPDZ-ZDUSSCGKSA-N 0 3 312.388 4.025 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@H](c2cccs2)C1)c1cc([O-])cc(F)c1 ZINC000926536615 1043596783 /nfs/dbraw/zinc/59/67/83/1043596783.db2.gz FPRQFAHPGMDSAS-PEYYIBSZSA-N 0 3 321.417 4.164 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1ccnn1C1CCC1 ZINC000926538443 1043597572 /nfs/dbraw/zinc/59/75/72/1043597572.db2.gz FBROJBAGYDMUJW-QAPCUYQASA-N 0 3 324.472 4.230 20 0 DIADHN Fc1cc(Br)cc(F)c1CNC[C@@H]1CC=CCC1 ZINC001648961066 1043631471 /nfs/dbraw/zinc/63/14/71/1043631471.db2.gz XESYFWKYPYYBDX-SNVBAGLBSA-N 0 3 316.189 4.173 20 0 DIADHN CSCCCN(C)Cc1ccc(Sc2ccccn2)o1 ZINC000353327946 1043600449 /nfs/dbraw/zinc/60/04/49/1043600449.db2.gz GBPZZAPMNPZHPJ-UHFFFAOYSA-N 0 3 308.472 4.011 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCOc3c(C)cccc31)CCC2 ZINC000353346374 1043601637 /nfs/dbraw/zinc/60/16/37/1043601637.db2.gz NWWQUQJDQWSDCX-UXHICEINSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@H](CN[C@@H]1COCc2cccc(Cl)c21)CC(F)(F)F ZINC000926584355 1043602224 /nfs/dbraw/zinc/60/22/24/1043602224.db2.gz LNOCAYWKZWJCIM-JOYOIKCWSA-N 0 3 307.743 4.089 20 0 DIADHN CN(C)C[C@@H](NCc1ccc(F)c(F)c1)c1ccc(Cl)cc1 ZINC000558788743 1043602567 /nfs/dbraw/zinc/60/25/67/1043602567.db2.gz AYSBSWGNZJINJE-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000443578860 1043602653 /nfs/dbraw/zinc/60/26/53/1043602653.db2.gz HOLCEOGXUGBUCX-AGIUHOORSA-N 0 3 314.256 4.413 20 0 DIADHN C[C@@H](CN[C@@H]1COCc2cccc(Cl)c21)CC(F)(F)F ZINC000926584352 1043602861 /nfs/dbraw/zinc/60/28/61/1043602861.db2.gz LNOCAYWKZWJCIM-BXKDBHETSA-N 0 3 307.743 4.089 20 0 DIADHN CN(C)C[C@H](NCc1ccc(F)c(F)c1)c1ccc(Cl)cc1 ZINC000558788732 1043603024 /nfs/dbraw/zinc/60/30/24/1043603024.db2.gz AYSBSWGNZJINJE-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1cc2cccc(F)c2o1 ZINC000474099153 1043604028 /nfs/dbraw/zinc/60/40/28/1043604028.db2.gz QTJQVRVAPNSWDN-NWDGAFQWSA-N 0 3 321.392 4.343 20 0 DIADHN Cc1ccc2c(C3=CCN(Cc4cnccc4C)CC3)c[nH]c2c1 ZINC000353450438 1043609247 /nfs/dbraw/zinc/60/92/47/1043609247.db2.gz QCXYZVAKZKXUPV-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CCN(C/C(C)=C\c1ccccc1COC)Cc1ccncc1 ZINC000353488927 1043611327 /nfs/dbraw/zinc/61/13/27/1043611327.db2.gz AAPBLQFGISAEIN-LGMDPLHJSA-N 0 3 310.441 4.153 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)N[C@H]1c2ccccc2C[C@@H]1O ZINC000926667813 1043614408 /nfs/dbraw/zinc/61/44/08/1043614408.db2.gz BYXDQIGEYDZZMW-ZINOCKGTSA-N 0 3 307.393 4.050 20 0 DIADHN CCC[C@H](NC[C@@H](C)c1ccccn1)c1ncccc1Cl ZINC000926676849 1043615756 /nfs/dbraw/zinc/61/57/56/1043615756.db2.gz MXAYVIQXYHIPBR-CJNGLKHVSA-N 0 3 303.837 4.365 20 0 DIADHN Cc1nc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)c(C)s1 ZINC000443611805 1043617808 /nfs/dbraw/zinc/61/78/08/1043617808.db2.gz BOCXERAFQZXPTD-SUMWQHHRSA-N 0 3 300.471 4.213 20 0 DIADHN COCc1csc(CN[C@H](C)c2cc3ccccc3[nH]2)c1 ZINC000926701582 1043618464 /nfs/dbraw/zinc/61/84/64/1043618464.db2.gz ARRDGJKYYDQOIH-GFCCVEGCSA-N 0 3 300.427 4.227 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CCC[C@@H]3CCC[C@@H]32)co1 ZINC000443617110 1043623002 /nfs/dbraw/zinc/62/30/02/1043623002.db2.gz VJBHXVMFYQMBQU-LRDDRELGSA-N 0 3 318.367 4.384 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000443628625 1043627007 /nfs/dbraw/zinc/62/70/07/1043627007.db2.gz NESQXJYEFSNXID-HNNXBMFYSA-N 0 3 306.356 4.240 20 0 DIADHN CN(Cc1coc(-c2c(F)cccc2F)n1)CC1CCCC1 ZINC000443629807 1043627804 /nfs/dbraw/zinc/62/78/04/1043627804.db2.gz IOEVNQIMQIXHAH-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN C(c1nc(C2CCCC2)no1)N1CCC[C@H]1C1CCCCC1 ZINC000443631022 1043628598 /nfs/dbraw/zinc/62/85/98/1043628598.db2.gz KTLYUSSDVCVNSM-INIZCTEOSA-N 0 3 303.450 4.272 20 0 DIADHN CCn1cc(CN(C2CC2)C2CC(c3ccccc3C)C2)cn1 ZINC000353663211 1043629300 /nfs/dbraw/zinc/62/93/00/1043629300.db2.gz CQSJJPPKDVNBAG-UHFFFAOYSA-N 0 3 309.457 4.122 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cnn(C(C)C)c2)C12CCCCC2 ZINC000353666382 1043632131 /nfs/dbraw/zinc/63/21/31/1043632131.db2.gz OUAKSWWCDCIKML-MSOLQXFVSA-N 0 3 319.493 4.024 20 0 DIADHN c1cc2c(s1)CN(Cc1cccc(-c3ccncc3)c1)CC2 ZINC000353678075 1043632257 /nfs/dbraw/zinc/63/22/57/1043632257.db2.gz ZSVWOGJKHYAUTK-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN CSCCCN(Cc1csc(Br)c1)C1CC1 ZINC000443639388 1043635401 /nfs/dbraw/zinc/63/54/01/1043635401.db2.gz NXGNSSIIZBVFMQ-UHFFFAOYSA-N 0 3 320.321 4.228 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@H](C)C[C@H](C)C1 ZINC000443638945 1043635788 /nfs/dbraw/zinc/63/57/88/1043635788.db2.gz FEGOVXPWSVTGMN-HOTGVXAUSA-N 0 3 316.489 4.425 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCn3nccc32)cc2ccccc21 ZINC000926815600 1043637887 /nfs/dbraw/zinc/63/78/87/1043637887.db2.gz GPZJRTMPKCJBJY-KSSFIOAISA-N 0 3 321.424 4.231 20 0 DIADHN C[C@H](NCc1cccc2ncccc12)c1ccnn1C1CCC1 ZINC000926835513 1043640585 /nfs/dbraw/zinc/64/05/85/1043640585.db2.gz WEXJUPIIISQSOW-AWEZNQCLSA-N 0 3 306.413 4.007 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCC2)O1)c1cc(-c2ccccc2)no1 ZINC000926859158 1043644165 /nfs/dbraw/zinc/64/41/65/1043644165.db2.gz FVQWDDFETWIBDM-GDBMZVCRSA-N 0 3 312.413 4.094 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2cccnc2C(F)(F)F)C1 ZINC001307717826 1043644258 /nfs/dbraw/zinc/64/42/58/1043644258.db2.gz OBCQCQWYERIAHX-ZDUSSCGKSA-N 0 3 300.368 4.359 20 0 DIADHN CCC[C@H](NCc1ccc(C[S@](C)=O)cc1)c1ccsc1 ZINC000353806013 1043645536 /nfs/dbraw/zinc/64/55/36/1043645536.db2.gz PDHMPWMKAOEZDW-UWJYYQICSA-N 0 3 321.511 4.258 20 0 DIADHN C[C@@H](NCc1ccc(C[S@@](C)=O)cc1)c1cccc(Cl)c1 ZINC000353812495 1043646224 /nfs/dbraw/zinc/64/62/24/1043646224.db2.gz GRMFWAOHGYAIER-LRTDBIEQSA-N 0 3 321.873 4.069 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)NCc1ccc2c(c1)ncn2C ZINC000926884732 1043648672 /nfs/dbraw/zinc/64/86/72/1043648672.db2.gz IUQKUSDCSYHHIT-ZDUSSCGKSA-N 0 3 319.408 4.479 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1coc2ccccc21 ZINC000926888196 1043648840 /nfs/dbraw/zinc/64/88/40/1043648840.db2.gz FROYGFJRHUGQAT-OAHLLOKOSA-N 0 3 309.409 4.393 20 0 DIADHN CC(C)[C@@H](NCc1cccc2cccnc21)c1ccc(F)cn1 ZINC000353839149 1043651062 /nfs/dbraw/zinc/65/10/62/1043651062.db2.gz DDLIJTQVZZQFOK-GOSISDBHSA-N 0 3 309.388 4.256 20 0 DIADHN CCCn1ccnc1CCCN(C)[C@H](C)c1ccc(F)cc1F ZINC000191505125 1043651479 /nfs/dbraw/zinc/65/14/79/1043651479.db2.gz NGBSPQUDVOJRGS-CQSZACIVSA-N 0 3 321.415 4.197 20 0 DIADHN c1sc(C2CCCC2)nc1CN[C@H]1CCCC12CCOCC2 ZINC000367109265 1043654859 /nfs/dbraw/zinc/65/48/59/1043654859.db2.gz SYWUHTOVQJNPON-INIZCTEOSA-N 0 3 320.502 4.240 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000353901048 1043655107 /nfs/dbraw/zinc/65/51/07/1043655107.db2.gz PLVQEPHJSGAOMX-WMZOPIPTSA-N 0 3 318.486 4.317 20 0 DIADHN CCSc1ccccc1CCN[C@H]1CCCc2oc(C)nc21 ZINC000926918794 1043656233 /nfs/dbraw/zinc/65/62/33/1043656233.db2.gz IJOFVNRZZLVDLI-HNNXBMFYSA-N 0 3 316.470 4.305 20 0 DIADHN Fc1cccc([C@@H]2CCCN2Cc2ccccc2-n2cccn2)c1 ZINC001137099164 1043656610 /nfs/dbraw/zinc/65/66/10/1043656610.db2.gz BDHWTRMFIXNFKG-IBGZPJMESA-N 0 3 321.399 4.349 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H](c3cccnc3)C3CCC3)ccc2O1 ZINC000926921527 1043657126 /nfs/dbraw/zinc/65/71/26/1043657126.db2.gz VJGCCCYLQLFWNI-JLTOFOAXSA-N 0 3 308.425 4.036 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H]3CCCNc4ccccc43)ccc2O1 ZINC000926922442 1043658198 /nfs/dbraw/zinc/65/81/98/1043658198.db2.gz ZMKBDDUKOUPQPL-IFXJQAMLSA-N 0 3 308.425 4.047 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCc3ccccc3C2)n1 ZINC000094965859 1043659042 /nfs/dbraw/zinc/65/90/42/1043659042.db2.gz SNXUUTMWWXZMPS-OAHLLOKOSA-N 0 3 300.471 4.088 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OC)cc2OC)s1 ZINC000051717494 1043660056 /nfs/dbraw/zinc/66/00/56/1043660056.db2.gz IZVMPDHNMCPZHY-GFCCVEGCSA-N 0 3 305.443 4.179 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@@H](C)c1cn(C)nc1C ZINC000926937076 1043660140 /nfs/dbraw/zinc/66/01/40/1043660140.db2.gz JWFQOHAXNKIHDL-AWEZNQCLSA-N 0 3 307.441 4.295 20 0 DIADHN Cc1c2ccccc2oc1CNCC1=Cc2ccccc2OC1 ZINC000522380725 1043660163 /nfs/dbraw/zinc/66/01/63/1043660163.db2.gz NYZNJSDANJRSCR-UHFFFAOYSA-N 0 3 305.377 4.307 20 0 DIADHN C[S@](=O)c1ccc(CN2CCCC3(C2)CCCCCC3)cc1 ZINC000353969736 1043666497 /nfs/dbraw/zinc/66/64/97/1043666497.db2.gz CHTBGAWPPSMPBM-QFIPXVFZSA-N 0 3 319.514 4.360 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2cnc(C3CCC3)s2)C1(C)C ZINC000353963871 1043666822 /nfs/dbraw/zinc/66/68/22/1043666822.db2.gz YLOHEFYPMWMFIZ-RHSMWYFYSA-N 0 3 308.491 4.046 20 0 DIADHN Brc1ccc(CNC/C=C/c2ccccc2)s1 ZINC000051790080 1043667425 /nfs/dbraw/zinc/66/74/25/1043667425.db2.gz XEUOGINRCFFCNS-QPJJXVBHSA-N 0 3 308.244 4.314 20 0 DIADHN Cc1ccc([C@@H](NC[C@@]2(C)CCCCO2)c2cccnc2)cc1 ZINC000927017849 1043668679 /nfs/dbraw/zinc/66/86/79/1043668679.db2.gz ZYQGMKHYIWCHKW-WOJBJXKFSA-N 0 3 310.441 4.028 20 0 DIADHN C[C@H](NCC1(c2ccccn2)CCC1)c1cccc(F)c1F ZINC000353986627 1043669481 /nfs/dbraw/zinc/66/94/81/1043669481.db2.gz KBQNHVPTROGAIC-ZDUSSCGKSA-N 0 3 302.368 4.132 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCS[C@H](C)C2)c2ccccn2)c1 ZINC000927035356 1043670515 /nfs/dbraw/zinc/67/05/15/1043670515.db2.gz VLDZYTFGZHPWRZ-AYBZRNKSSA-N 0 3 312.482 4.353 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000354010916 1043672448 /nfs/dbraw/zinc/67/24/48/1043672448.db2.gz PTLIVTXIXVYYRC-AWEZNQCLSA-N 0 3 316.474 4.001 20 0 DIADHN C[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(Cc2cnn(C)c2)C1 ZINC000354026442 1043673428 /nfs/dbraw/zinc/67/34/28/1043673428.db2.gz TXRSBPDJAMOAHZ-BLLLJJGKSA-N 0 3 323.362 4.022 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1ccc2ncccc2c1 ZINC000354032890 1043673906 /nfs/dbraw/zinc/67/39/06/1043673906.db2.gz FWEQMFYRAJSXMF-HXUWFJFHSA-N 0 3 305.425 4.301 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000354025657 1043674184 /nfs/dbraw/zinc/67/41/84/1043674184.db2.gz HMOHVAKKDRZYKU-GDBMZVCRSA-N 0 3 308.491 4.395 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2cc3ccccc3o2)c2cccnc2)CCO1 ZINC000354021794 1043674202 /nfs/dbraw/zinc/67/42/02/1043674202.db2.gz ZOAATGMTKPZBJE-ZTQAJYAQSA-N 0 3 322.408 4.074 20 0 DIADHN c1ccc([C@@H](C[C@@H]2CCOC2)N[C@H]2CCCc3cccnc32)cc1 ZINC000927060868 1043676116 /nfs/dbraw/zinc/67/61/16/1043676116.db2.gz DQZBYMTWVZTTDH-FFZOFVMBSA-N 0 3 322.452 4.217 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nc4c(s3)CCCC4)C2)o1 ZINC000354054313 1043676354 /nfs/dbraw/zinc/67/63/54/1043676354.db2.gz SAHWFYMTDJOAQF-AWEZNQCLSA-N 0 3 316.470 4.303 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H]1CCCc2cccnc21 ZINC000927063611 1043676919 /nfs/dbraw/zinc/67/69/19/1043676919.db2.gz HXOLEWVVGRXYOE-ROUUACIJSA-N 0 3 308.425 4.135 20 0 DIADHN COc1cccc(CN(Cc2ccc(F)cc2)C(C)(C)C)n1 ZINC000474159674 1043678020 /nfs/dbraw/zinc/67/80/20/1043678020.db2.gz NTFZJQZWPPAURY-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cnc2ccsc2c1)c1cnn(C)c1 ZINC000927066291 1043679509 /nfs/dbraw/zinc/67/95/09/1043679509.db2.gz AYDAROWLKLNHQZ-YVEFUNNKSA-N 0 3 314.458 4.078 20 0 DIADHN CC[C@@H](NCc1cnc2onc(C)c2c1)c1cc(C)ccc1C ZINC000895407073 1043680030 /nfs/dbraw/zinc/68/00/30/1043680030.db2.gz YBVDYAJISGOSBX-GOSISDBHSA-N 0 3 309.413 4.389 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](C2CC2)[C@H]2CCCOC2)cs1 ZINC000927069397 1043680740 /nfs/dbraw/zinc/68/07/40/1043680740.db2.gz MGUPZKRQHLMUHZ-DUVNUKRYSA-N 0 3 308.491 4.122 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1ccc(Cl)c(F)c1)c1cnn(C)c1 ZINC000927067249 1043680930 /nfs/dbraw/zinc/68/09/30/1043680930.db2.gz DYIBSWDJPUHFTC-BDJLRTHQSA-N 0 3 309.816 4.261 20 0 DIADHN CC[C@](C)(NCc1cnc2onc(C)c2c1)c1ccc(F)cc1 ZINC000895417553 1043680931 /nfs/dbraw/zinc/68/09/31/1043680931.db2.gz CETUDYZADLSOBJ-SFHVURJKSA-N 0 3 313.376 4.085 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccns2)C2CCC2)cc1 ZINC000927066797 1043681430 /nfs/dbraw/zinc/68/14/30/1043681430.db2.gz OFYXVOPGHAHTAY-PXAZEXFGSA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)[C@H](N[C@H]1CC(C)(C)Cc2occc21)c1cnn(C)c1 ZINC000927069637 1043683186 /nfs/dbraw/zinc/68/31/86/1043683186.db2.gz LWTAFRLDXYRFLP-RDJZCZTQSA-N 0 3 301.434 4.014 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2ccc(OC)cc2)no1 ZINC000559066908 1043684932 /nfs/dbraw/zinc/68/49/32/1043684932.db2.gz HTTYSFDPJVPGMO-UHFFFAOYSA-N 0 3 317.433 4.003 20 0 DIADHN C[C@H](c1cccs1)N(Cc1ccccc1)C[C@H]1CCCO1 ZINC000559067085 1043684951 /nfs/dbraw/zinc/68/49/51/1043684951.db2.gz YUBOQNZTCLXYEY-NVXWUHKLSA-N 0 3 301.455 4.490 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccc(-c2cnn(C)c2)s1 ZINC000559067646 1043685188 /nfs/dbraw/zinc/68/51/88/1043685188.db2.gz FSCUHRCWRPVCPK-CQSZACIVSA-N 0 3 323.465 4.268 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@]1(c2ccccc2)CCCO1 ZINC000927115702 1043691076 /nfs/dbraw/zinc/69/10/76/1043691076.db2.gz PPKNYKZFOUEVFM-UZLBHIALSA-N 0 3 310.441 4.001 20 0 DIADHN Cc1ccc([C@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c(C)c1 ZINC000777614876 1043691402 /nfs/dbraw/zinc/69/14/02/1043691402.db2.gz MATXKABXSHLCCZ-SFHVURJKSA-N 0 3 323.484 4.228 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(OC)c1C)c1ccsc1 ZINC000927121082 1043692544 /nfs/dbraw/zinc/69/25/44/1043692544.db2.gz BIGFUHPZQZILQX-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN Cc1ccc(C)c([C@@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000777614506 1043693274 /nfs/dbraw/zinc/69/32/74/1043693274.db2.gz GVXAGPPUOGGHHT-GOSISDBHSA-N 0 3 323.484 4.228 20 0 DIADHN C[C@H]1CCN(Cc2cncn2C)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000354317715 1043693458 /nfs/dbraw/zinc/69/34/58/1043693458.db2.gz HGUFFLCVKSHIPE-BLLLJJGKSA-N 0 3 323.362 4.022 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2CC[C@H]1O)c1coc2ccccc21 ZINC000927139858 1043697427 /nfs/dbraw/zinc/69/74/27/1043697427.db2.gz NVGYFBJKHBXUTJ-VIZZQPHQSA-N 0 3 307.393 4.132 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H]2c3ccccc3CC[C@H]2O)cc1 ZINC000927145772 1043699266 /nfs/dbraw/zinc/69/92/66/1043699266.db2.gz YCRIVKNWNWBKJV-ZNOIYHFQSA-N 0 3 313.466 4.108 20 0 DIADHN CCCN(Cc1ccc(Cl)nn1)[C@@H]1CCc2ccccc21 ZINC000876593174 1043699994 /nfs/dbraw/zinc/69/99/94/1043699994.db2.gz MVWAXJFLUBLSMQ-MRXNPFEDSA-N 0 3 301.821 4.030 20 0 DIADHN C[C@@H](NC1([C@@H]2CCCCO2)CC1)c1cnc2ccsc2c1 ZINC000927160539 1043701006 /nfs/dbraw/zinc/70/10/06/1043701006.db2.gz LZXVEXOBKQLOTH-WBMJQRKESA-N 0 3 302.443 4.049 20 0 DIADHN C[C@@H](NC1([C@H]2CCCCO2)CC1)c1ccccc1OC(F)F ZINC000927164376 1043701383 /nfs/dbraw/zinc/70/13/83/1043701383.db2.gz QBQLLIIJKPDRTQ-IUODEOHRSA-N 0 3 311.372 4.040 20 0 DIADHN C[C@@H](NC1([C@H]2CCCCO2)CC1)c1cnc2ccsc2c1 ZINC000927160537 1043701506 /nfs/dbraw/zinc/70/15/06/1043701506.db2.gz LZXVEXOBKQLOTH-MLGOLLRUSA-N 0 3 302.443 4.049 20 0 DIADHN C[C@H](NC1([C@H]2CCCCO2)CC1)c1cnc2ccsc2c1 ZINC000927160532 1043701653 /nfs/dbraw/zinc/70/16/53/1043701653.db2.gz LZXVEXOBKQLOTH-BLLLJJGKSA-N 0 3 302.443 4.049 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)N[C@@H]2CCCSC2)cc1 ZINC000783524637 1043705015 /nfs/dbraw/zinc/70/50/15/1043705015.db2.gz IOGNASTUXZYKAU-CHWSQXEVSA-N 0 3 307.356 4.304 20 0 DIADHN C[C@@H](NCc1ccc(F)cn1)[C@@H](C)c1nc2ccccc2s1 ZINC000354507057 1043705035 /nfs/dbraw/zinc/70/50/35/1043705035.db2.gz RLYUULYUKRZDHD-VXGBXAGGSA-N 0 3 315.417 4.112 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876742022 1043708565 /nfs/dbraw/zinc/70/85/65/1043708565.db2.gz QBOHZXACLSETDO-HXUWFJFHSA-N 0 3 322.408 4.087 20 0 DIADHN COc1cc(CN(C)[C@H]2CCc3cc(Cl)ccc32)ccc1O ZINC000777619238 1043710572 /nfs/dbraw/zinc/71/05/72/1043710572.db2.gz FYJHEMKUDBLBME-INIZCTEOSA-N 0 3 317.816 4.174 20 0 DIADHN Fc1cc(CN2CC[C@H]3CC[C@@H](C2)S3)ccc1C(F)F ZINC000895578489 1043710765 /nfs/dbraw/zinc/71/07/65/1043710765.db2.gz LHOGUADFJGEEQW-NEPJUHHUSA-N 0 3 301.377 4.233 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2Cc2cc3c(cc[nH]c3=O)o2)c1 ZINC000876773262 1043711523 /nfs/dbraw/zinc/71/15/23/1043711523.db2.gz XXISLXRXTKPHSR-SFHVURJKSA-N 0 3 322.408 4.487 20 0 DIADHN Clc1csc(CNC[C@H]2CCCO[C@H]2c2ccccc2)n1 ZINC000876967185 1043728780 /nfs/dbraw/zinc/72/87/80/1043728780.db2.gz CVNFQVCDGHUIID-CJNGLKHVSA-N 0 3 322.861 4.054 20 0 DIADHN CCn1cc(CNC(C)(C)c2ccc3ccccc3c2)c(C)n1 ZINC000895665685 1043731043 /nfs/dbraw/zinc/73/10/43/1043731043.db2.gz IXJRMYLOZKWQKW-UHFFFAOYSA-N 0 3 307.441 4.390 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1c(C)cc(C)cc1C ZINC000355031299 1043739429 /nfs/dbraw/zinc/73/94/29/1043739429.db2.gz AZCSUWPEPRRMEH-MRXNPFEDSA-N 0 3 302.462 4.061 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1C ZINC000355031295 1043740860 /nfs/dbraw/zinc/74/08/60/1043740860.db2.gz AZCSUWPEPRRMEH-INIZCTEOSA-N 0 3 302.462 4.061 20 0 DIADHN CCN(CCC1CC1)CC(=O)Nc1c(C)cccc1C(C)C ZINC000355053778 1043741537 /nfs/dbraw/zinc/74/15/37/1043741537.db2.gz GNFOOFNGJDMRKF-UHFFFAOYSA-N 0 3 302.462 4.179 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1COC(C)(C)C)N(CC)CC ZINC000928395800 1043751139 /nfs/dbraw/zinc/75/11/39/1043751139.db2.gz OLTKTSPMTKBBDA-KRWDZBQOSA-N 0 3 320.477 4.061 20 0 DIADHN Cc1ccc(CNCc2cccc(-c3ccccn3)c2)cc1F ZINC000126437833 1043752723 /nfs/dbraw/zinc/75/27/23/1043752723.db2.gz URUZQRWFPCUOQG-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1ccsc1)c1ccc(F)cc1 ZINC000355220472 1043752705 /nfs/dbraw/zinc/75/27/05/1043752705.db2.gz LGGURHGFSDXIEA-INIZCTEOSA-N 0 3 303.402 4.125 20 0 DIADHN C[C@@H](CCN(Cc1ccccn1)C1CC1)OCc1ccccc1 ZINC000355276139 1043755919 /nfs/dbraw/zinc/75/59/19/1043755919.db2.gz BANFWCZNYDXBLG-KRWDZBQOSA-N 0 3 310.441 4.041 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccccc1Br ZINC000355278768 1043757124 /nfs/dbraw/zinc/75/71/24/1043757124.db2.gz RILUDACELWYOQB-XUJVJEKNSA-N 0 3 312.251 4.057 20 0 DIADHN COCCCC[C@H](NCc1cnn(C(C)C)c1)c1ccccc1 ZINC000355292760 1043757783 /nfs/dbraw/zinc/75/77/83/1043757783.db2.gz NXLPZWZLKULNDG-IBGZPJMESA-N 0 3 315.461 4.112 20 0 DIADHN COCCCC[C@H](NCc1sccc1OC)c1ccccc1 ZINC000355286739 1043758330 /nfs/dbraw/zinc/75/83/30/1043758330.db2.gz HCLDRRDKGIDBFU-INIZCTEOSA-N 0 3 319.470 4.404 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc(C(C)(C)C)s2)c1 ZINC000126481025 1043760258 /nfs/dbraw/zinc/76/02/58/1043760258.db2.gz BRCUZNFYTDLJRC-UHFFFAOYSA-N 0 3 316.470 4.294 20 0 DIADHN Fc1ccccc1C[C@@H](NCc1cccnc1)c1ccccc1 ZINC000191691607 1043761458 /nfs/dbraw/zinc/76/14/58/1043761458.db2.gz KNQYXSGQYWDZNX-HXUWFJFHSA-N 0 3 306.384 4.294 20 0 DIADHN COc1cc(C)c(CN[C@@H]2CCCc3ccc(F)cc32)c(C)n1 ZINC000355478113 1043770324 /nfs/dbraw/zinc/77/03/24/1043770324.db2.gz WDKXNNICUGZVDK-GOSISDBHSA-N 0 3 314.404 4.013 20 0 DIADHN C[C@@]1(CNCc2cc3c(ccnc3Cl)o2)CC1(Cl)Cl ZINC000877565196 1043777244 /nfs/dbraw/zinc/77/72/44/1043777244.db2.gz VCVUPAYYGLQIPW-LBPRGKRZSA-N 0 3 319.619 4.155 20 0 DIADHN C[C@H](c1ccsc1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000355598791 1043778809 /nfs/dbraw/zinc/77/88/09/1043778809.db2.gz QYPBXHCCBJVYOR-GFCCVEGCSA-N 0 3 313.426 4.012 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@H]1CC1(Cl)Cl ZINC000877663506 1043785626 /nfs/dbraw/zinc/78/56/26/1043785626.db2.gz SLLLGPBHVWHWHX-SSDOTTSWSA-N 0 3 304.164 4.050 20 0 DIADHN CC(C)n1cncc1CN[C@H]1CCc2cccc3cccc1c32 ZINC000895933507 1043786600 /nfs/dbraw/zinc/78/66/00/1043786600.db2.gz CMPIKSJDKAIDBJ-IBGZPJMESA-N 0 3 305.425 4.394 20 0 DIADHN COc1cncc(CN[C@H]2CCc3cccc4cccc2c43)c1 ZINC000895937487 1043787449 /nfs/dbraw/zinc/78/74/49/1043787449.db2.gz XEZBVJVLKGYXPJ-IBGZPJMESA-N 0 3 304.393 4.021 20 0 DIADHN C[C@H](O)c1ncc(CN[C@H]2CCc3cccc4cccc2c43)s1 ZINC000895936728 1043787834 /nfs/dbraw/zinc/78/78/34/1043787834.db2.gz VKTDGKBLDZFBCS-SJCJKPOMSA-N 0 3 324.449 4.127 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCC(CCF)CC2)c1 ZINC000877673595 1043789059 /nfs/dbraw/zinc/78/90/59/1043789059.db2.gz GSZXNRBPKCJZLP-UHFFFAOYSA-N 0 3 324.465 4.032 20 0 DIADHN Clc1csc(CN[C@@H](Cc2cccnc2)C2CCCC2)n1 ZINC000877760452 1043794549 /nfs/dbraw/zinc/79/45/49/1043794549.db2.gz GYTCMCIMLMZPKY-AWEZNQCLSA-N 0 3 321.877 4.083 20 0 DIADHN Cc1scc(CNCc2cnc(-c3ccncc3)s2)c1C ZINC000631206922 1043805674 /nfs/dbraw/zinc/80/56/74/1043805674.db2.gz QMFGTHMWARHMQT-UHFFFAOYSA-N 0 3 315.467 4.173 20 0 DIADHN CC(C)(C)c1coc(CN2CCCC[C@@H](C3CCOCC3)C2)n1 ZINC000896035637 1043808512 /nfs/dbraw/zinc/80/85/12/1043808512.db2.gz FPJMRNNFLLXQBB-MRXNPFEDSA-N 0 3 320.477 4.001 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2Cc2c[nH]c3nccnc23)o1 ZINC000356110134 1043811022 /nfs/dbraw/zinc/81/10/22/1043811022.db2.gz AUVNJOXVRBFAGE-CJNGLKHVSA-N 0 3 324.428 4.038 20 0 DIADHN CCn1cc(CN[C@H]2CCc3cccc4cccc2c43)c(C)n1 ZINC000896075357 1043819889 /nfs/dbraw/zinc/81/98/89/1043819889.db2.gz DYGVYRZAZLXJOK-IBGZPJMESA-N 0 3 305.425 4.142 20 0 DIADHN Cn1ncc(CN[C@H]2CCc3cccc4cccc2c43)c1C1CC1 ZINC000896076142 1043821783 /nfs/dbraw/zinc/82/17/83/1043821783.db2.gz MXHKRNNZCIFNQZ-IBGZPJMESA-N 0 3 317.436 4.228 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCCc3ccc(F)cc32)ccc1O ZINC000487444423 1043823964 /nfs/dbraw/zinc/82/39/64/1043823964.db2.gz IIMWRBFQBPXRRK-QGZVFWFLSA-N 0 3 315.388 4.049 20 0 DIADHN CC[C@H]1c2ccccc2CN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000929562101 1043824256 /nfs/dbraw/zinc/82/42/56/1043824256.db2.gz AZIBOQXOMCIJLI-IBGZPJMESA-N 0 3 323.440 4.247 20 0 DIADHN COc1ccccc1-c1nc(CN([C@@H](C)C2CC2)C2CC2)co1 ZINC000877964326 1043833835 /nfs/dbraw/zinc/83/38/35/1043833835.db2.gz FICRUJHSTPQHSL-ZDUSSCGKSA-N 0 3 312.413 4.113 20 0 DIADHN COc1ccccc1-c1nc(CN(C2CC2)C2CCCC2)co1 ZINC000878085270 1043846554 /nfs/dbraw/zinc/84/65/54/1043846554.db2.gz IIRCLVQNNZJDKX-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN FC(F)(F)C1CCN(C[C@H]2CC[C@H](c3ccccc3)O2)CC1 ZINC000878149618 1043851339 /nfs/dbraw/zinc/85/13/39/1043851339.db2.gz ZVQUKBRCDLYRET-HZPDHXFCSA-N 0 3 313.363 4.181 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1nccc2ccccc21 ZINC000289671250 1043860460 /nfs/dbraw/zinc/86/04/60/1043860460.db2.gz JDNLRMCNLDMHIH-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2cnccc2C)cc1 ZINC000356700867 1043862348 /nfs/dbraw/zinc/86/23/48/1043862348.db2.gz ROCPMVWOBKGOSH-CVEARBPZSA-N 0 3 320.440 4.296 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC[C@H]3c3ccncc3)O2)cc1 ZINC000878310038 1043868139 /nfs/dbraw/zinc/86/81/39/1043868139.db2.gz HKXIOFXFPWMAHY-UFYCRDLUSA-N 0 3 308.425 4.139 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC[C@H]3c3ccncc3)O2)cc1 ZINC000878310036 1043869770 /nfs/dbraw/zinc/86/97/70/1043869770.db2.gz HKXIOFXFPWMAHY-AABGKKOBSA-N 0 3 308.425 4.139 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3cc(Cl)ccc32)cc1O ZINC000289762531 1043872126 /nfs/dbraw/zinc/87/21/26/1043872126.db2.gz NAMTVGJRZRYXQY-BZNIZROVSA-N 0 3 317.816 4.392 20 0 DIADHN CCN(Cc1cc(-c2cccs2)on1)[C@@H]1CCOC(C)(C)C1 ZINC000289783117 1043874623 /nfs/dbraw/zinc/87/46/23/1043874623.db2.gz LVSSGNMSKSCKRD-CQSZACIVSA-N 0 3 320.458 4.183 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccccc2C2CCC2)c1 ZINC000930139821 1043880869 /nfs/dbraw/zinc/88/08/69/1043880869.db2.gz SDJSWUDUXAIKQB-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN COc1ccccc1-c1nc(CN(C)C[C@H]2CC=CCC2)co1 ZINC000878403748 1043886098 /nfs/dbraw/zinc/88/60/98/1043886098.db2.gz GUHQNLUUHWVIKO-HNNXBMFYSA-N 0 3 312.413 4.138 20 0 DIADHN Cc1conc1CN[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F ZINC000878411333 1043886127 /nfs/dbraw/zinc/88/61/27/1043886127.db2.gz HZDCCYNFOBDWGX-RYUDHWBXSA-N 0 3 312.335 4.284 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H](C)C2CCCC2)co1 ZINC000878418090 1043890645 /nfs/dbraw/zinc/89/06/45/1043890645.db2.gz IFGAJDJUIXMMQI-CYBMUJFWSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)c(C)nn1 ZINC000930206032 1043893009 /nfs/dbraw/zinc/89/30/09/1043893009.db2.gz XXZVLUVSJWBDKI-GOSISDBHSA-N 0 3 315.436 4.500 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(F)nc1)c1ccc(Br)cc1 ZINC000930209551 1043893558 /nfs/dbraw/zinc/89/35/58/1043893558.db2.gz GOZGHVONKFBINT-QWRGUYRKSA-N 0 3 323.209 4.395 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(C(C)(C)C)cc2)c(C)nn1 ZINC000930217007 1043896857 /nfs/dbraw/zinc/89/68/57/1043896857.db2.gz QJYUWNARIMDBHA-UHFFFAOYSA-N 0 3 311.473 4.416 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCc2ccc(N)nc2)cc1 ZINC000930216133 1043897220 /nfs/dbraw/zinc/89/72/20/1043897220.db2.gz ZJIMNKYHWDOPHN-CYBMUJFWSA-N 0 3 301.459 4.015 20 0 DIADHN Cc1nc(C)c(CNC2(c3cccc(Cl)c3)CCCC2)[nH]1 ZINC000930230385 1043902377 /nfs/dbraw/zinc/90/23/77/1043902377.db2.gz IOTHJBQWTWKZNU-UHFFFAOYSA-N 0 3 303.837 4.239 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)c(C)nn1 ZINC000930237489 1043903145 /nfs/dbraw/zinc/90/31/45/1043903145.db2.gz IHZFYNIKNALHDN-HWOJHCLVSA-N 0 3 315.848 4.234 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccc(F)nc2)C2CC2)cc1 ZINC000930239049 1043903801 /nfs/dbraw/zinc/90/38/01/1043903801.db2.gz JIJXXQSMWZGJCR-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cc([C@H](N[C@H](C)c2ccc(F)nc2)C2CC2)ccc1F ZINC000930240695 1043904401 /nfs/dbraw/zinc/90/44/01/1043904401.db2.gz ZEXOQGLNGBVCCJ-KZULUSFZSA-N 0 3 302.368 4.470 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)[nH]1 ZINC000930239859 1043904457 /nfs/dbraw/zinc/90/44/57/1043904457.db2.gz ZJURAEQNKFDASP-GLZQIGESSA-N 0 3 303.837 4.167 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Br)nc2)s1 ZINC000289974306 1043905277 /nfs/dbraw/zinc/90/52/77/1043905277.db2.gz XOMCFQCYKWCLMN-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc2ccccc21)C(=O)N1CCC(C)CC1 ZINC000098069537 1043906279 /nfs/dbraw/zinc/90/62/79/1043906279.db2.gz MCEPKUYQITVFLQ-DLBZAZTESA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@@H](C)C2(CCC2)C1 ZINC000357023803 1043908345 /nfs/dbraw/zinc/90/83/45/1043908345.db2.gz MFVIEUNZEXHCSU-HUUCEWRRSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@@H](C1CCC1)N(C)Cc1nnc(-c2ccccc2Cl)o1 ZINC000357026942 1043909062 /nfs/dbraw/zinc/90/90/62/1043909062.db2.gz ROEPLSIWGSUXIS-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccc(F)cc1-c1cncc(CN2CCC=C(C)C2)c1 ZINC000930304707 1043909994 /nfs/dbraw/zinc/90/99/94/1043909994.db2.gz BWFGTRLSTIELCR-UHFFFAOYSA-N 0 3 312.388 4.048 20 0 DIADHN CC[C@H](O)CCCNCc1c(Cl)cccc1SC(C)C ZINC000474794343 1043918942 /nfs/dbraw/zinc/91/89/42/1043918942.db2.gz IVEAAPFUVIHXKQ-ZDUSSCGKSA-N 0 3 315.910 4.481 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000035601288 1043920991 /nfs/dbraw/zinc/92/09/91/1043920991.db2.gz OZBLOQIRYVBPRM-BDJLRTHQSA-N 0 3 310.224 4.378 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1coc(-c2ccccc2OC)n1 ZINC000878890035 1043927098 /nfs/dbraw/zinc/92/70/98/1043927098.db2.gz QRRBYQITKOHVAO-CYBMUJFWSA-N 0 3 302.418 4.265 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(F)nc1)c1ccc(OC)c(OC)c1 ZINC000930449602 1043927690 /nfs/dbraw/zinc/92/76/90/1043927690.db2.gz UQTVXLIKFFFWCO-DOMZBBRYSA-N 0 3 318.392 4.040 20 0 DIADHN CSCC[C@@H](C)NCc1cc(Cl)ccc1Br ZINC000127409691 1043928894 /nfs/dbraw/zinc/92/88/94/1043928894.db2.gz DRAGAVZZKKMOOE-SECBINFHSA-N 0 3 322.699 4.334 20 0 DIADHN OCC[C@H](NCc1ccccc1CF)c1ccc(Cl)cc1 ZINC000930487279 1043932927 /nfs/dbraw/zinc/93/29/27/1043932927.db2.gz HCMLOXRKGHVCST-KRWDZBQOSA-N 0 3 307.796 4.023 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001137139577 1043934552 /nfs/dbraw/zinc/93/45/52/1043934552.db2.gz ITBXVKCTJHRDMT-UHFFFAOYSA-N 0 3 315.457 4.199 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCC[C@H]1C1CCC1 ZINC000102926474 1043936157 /nfs/dbraw/zinc/93/61/57/1043936157.db2.gz YXGNPLQLGWBIHW-SFHVURJKSA-N 0 3 300.446 4.013 20 0 DIADHN CCc1cc(CN2CCC3(CCc4ccc(C)cc43)CC2)on1 ZINC001203219368 1043942661 /nfs/dbraw/zinc/94/26/61/1043942661.db2.gz MYKZRAWWMNNRPV-UHFFFAOYSA-N 0 3 310.441 4.025 20 0 DIADHN COC(C)(C)CCNC(C)(C)c1nc2cc(Cl)ccc2o1 ZINC000879159990 1043948092 /nfs/dbraw/zinc/94/80/92/1043948092.db2.gz NJRDZINMROAHGL-UHFFFAOYSA-N 0 3 310.825 4.121 20 0 DIADHN Cc1ccc(-c2nc(CNCCCc3cnccc3C)co2)cc1 ZINC000290429288 1043949724 /nfs/dbraw/zinc/94/97/24/1043949724.db2.gz ROCRZUSZMCEMMW-UHFFFAOYSA-N 0 3 321.424 4.076 20 0 DIADHN C[C@H](NCC[S@@](=O)C(C)(C)C)c1cc(Cl)cc(Cl)c1 ZINC000127573316 1043951543 /nfs/dbraw/zinc/95/15/43/1043951543.db2.gz JMHARPCKIBXBAX-OVWNDWIMSA-N 0 3 322.301 4.191 20 0 DIADHN C[C@@H]1C[C@H](NCc2nccn2C(F)F)C[C@@H](c2ccccc2)C1 ZINC000561435756 1043951786 /nfs/dbraw/zinc/95/17/86/1043951786.db2.gz WTWNMHFYPBAJOE-BPUTZDHNSA-N 0 3 319.399 4.340 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CC(C)(C)CC(F)F)c1 ZINC000879311065 1043956069 /nfs/dbraw/zinc/95/60/69/1043956069.db2.gz NLHOWBBHBBBPDL-UHFFFAOYSA-N 0 3 312.404 4.067 20 0 DIADHN COc1cccc(CN(C)C/C=C/c2ccc(F)c(F)c2)c1 ZINC000520288248 1043957350 /nfs/dbraw/zinc/95/73/50/1043957350.db2.gz IJNUPQPIXQUFRS-GQCTYLIASA-N 0 3 303.352 4.119 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cnc(-c2ccncc2)s1 ZINC000561483749 1043957330 /nfs/dbraw/zinc/95/73/30/1043957330.db2.gz KYVSXQJBQNSKGE-SFHVURJKSA-N 0 3 321.449 4.291 20 0 DIADHN C[C@@H](NCc1csc(CCc2ccccc2)n1)C1CCC1 ZINC000474871356 1043959559 /nfs/dbraw/zinc/95/95/59/1043959559.db2.gz GBHBVPXQALQTJF-CQSZACIVSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1nc(C)c(CN[C@@](C)(Cc2ccccc2)c2ccccc2)[nH]1 ZINC000930737081 1043960020 /nfs/dbraw/zinc/96/00/20/1043960020.db2.gz AGMYQTZXIJYQND-NRFANRHFSA-N 0 3 319.452 4.274 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000357556420 1043961788 /nfs/dbraw/zinc/96/17/88/1043961788.db2.gz WVZAMGHZLHHICU-FZMZJTMJSA-N 0 3 305.809 4.153 20 0 DIADHN Cc1nc(C)c(CN(C)CCCOc2ccc(Cl)cc2)s1 ZINC000357607545 1043967468 /nfs/dbraw/zinc/96/74/68/1043967468.db2.gz KLEVJWZLLAKKFY-UHFFFAOYSA-N 0 3 324.877 4.314 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(CSC)c2)cc1O ZINC000290610285 1043969528 /nfs/dbraw/zinc/96/95/28/1043969528.db2.gz HWIKDWGAMYXVET-ZDUSSCGKSA-N 0 3 317.454 4.115 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCC[C@@H](C(C)C)C1)c1nc(C2CC2)no1 ZINC000474893760 1043970937 /nfs/dbraw/zinc/97/09/37/1043970937.db2.gz OPUSGPLGXIUWIB-OWCLPIDISA-N 0 3 305.466 4.449 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@H]2CSC[C@@H]2C1 ZINC000879558541 1043974852 /nfs/dbraw/zinc/97/48/52/1043974852.db2.gz KBQKZBCUQRLFNL-KBMXLJTQSA-N 0 3 314.454 4.088 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(F)c(C)c2)cc1O ZINC000290692693 1043975507 /nfs/dbraw/zinc/97/55/07/1043975507.db2.gz MUXAGJXHZJHCIV-CHWSQXEVSA-N 0 3 303.377 4.260 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2Cc2cccc(F)c2)sc1C ZINC000357704345 1043975667 /nfs/dbraw/zinc/97/56/67/1043975667.db2.gz CWWWXGSOZHBYBE-MRXNPFEDSA-N 0 3 304.434 4.106 20 0 DIADHN FC(F)(F)c1cncc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c1 ZINC000879673014 1043977985 /nfs/dbraw/zinc/97/79/85/1043977985.db2.gz ZTPGUZUMOJBEMY-VXGBXAGGSA-N 0 3 324.268 4.087 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3sccc32)cc1O ZINC000290736204 1043981448 /nfs/dbraw/zinc/98/14/48/1043981448.db2.gz PPNZOHROCAVVAL-RISCZKNCSA-N 0 3 303.427 4.191 20 0 DIADHN C[C@H](NCc1cc(COC(C)(C)C)on1)C1CCCCCC1 ZINC000474920870 1043984274 /nfs/dbraw/zinc/98/42/74/1043984274.db2.gz JFWITXMXJPIYBL-AWEZNQCLSA-N 0 3 308.466 4.438 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000474924016 1043985259 /nfs/dbraw/zinc/98/52/59/1043985259.db2.gz LVCNQRFNDHNKLL-CHWSQXEVSA-N 0 3 317.408 4.495 20 0 DIADHN CSCc1cc(F)ccc1CN[C@@H](C)c1cnccc1C ZINC000357794770 1043988589 /nfs/dbraw/zinc/98/85/89/1043988589.db2.gz NDRLWXHIDDZZTL-ZDUSSCGKSA-N 0 3 304.434 4.243 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2)no1)C1CCC(F)(F)CC1 ZINC000474945053 1043992267 /nfs/dbraw/zinc/99/22/67/1043992267.db2.gz QUMIMCYTAGGLIK-LBPRGKRZSA-N 0 3 321.371 4.040 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290847131 1043993412 /nfs/dbraw/zinc/99/34/12/1043993412.db2.gz JIDYSOAMKFTRSY-RYUDHWBXSA-N 0 3 317.260 4.072 20 0 DIADHN Fc1ccccc1[C@H](NCc1c[nH]c2nccnc12)C1CCCC1 ZINC000290901158 1044000894 /nfs/dbraw/zinc/00/08/94/1044000894.db2.gz NXJGZZNZTAXYNJ-QGZVFWFLSA-N 0 3 324.403 4.118 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@@](C)(C(F)(F)F)C1 ZINC000880004222 1044005403 /nfs/dbraw/zinc/00/54/03/1044005403.db2.gz ROKWDNPTNCQWGK-MRXNPFEDSA-N 0 3 324.346 4.424 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cn(C)nc2C(F)F)c2ccccc21 ZINC000291000496 1044006459 /nfs/dbraw/zinc/00/64/59/1044006459.db2.gz BXRPCOVPKQQCTA-HZPDHXFCSA-N 0 3 319.399 4.332 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3cccc(C(F)F)c3)C2)n1 ZINC000880012442 1044008214 /nfs/dbraw/zinc/00/82/14/1044008214.db2.gz SHWQSZUANPPJTC-AWEZNQCLSA-N 0 3 308.397 4.379 20 0 DIADHN O=[S@@](CCN1CCCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000358031518 1044009452 /nfs/dbraw/zinc/00/94/52/1044009452.db2.gz IEMMGCBWZSDQMJ-KNQAVFIVSA-N 0 3 313.466 4.021 20 0 DIADHN C[C@H]1C[C@@H](NCCOc2ccccc2F)c2ccsc2S1 ZINC000358082014 1044017425 /nfs/dbraw/zinc/01/74/25/1044017425.db2.gz IRVIKUDSDZQYPU-SMDDNHRTSA-N 0 3 323.458 4.481 20 0 DIADHN CC[C@H](N[C@H](C)CC(=O)OC(C)(C)C)c1cc(F)ccc1F ZINC000358098392 1044019945 /nfs/dbraw/zinc/01/99/45/1044019945.db2.gz OPMYLPRENUXDFR-ABAIWWIYSA-N 0 3 313.388 4.126 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000358138937 1044023501 /nfs/dbraw/zinc/02/35/01/1044023501.db2.gz JIETXGOGFXBCSZ-SUMWQHHRSA-N 0 3 316.832 4.408 20 0 DIADHN c1coc(C2CCN(C[C@H]3CCC4(CCCCCC4)O3)CC2)n1 ZINC000931443123 1044025991 /nfs/dbraw/zinc/02/59/91/1044025991.db2.gz RNXPVWWJGPSGFF-QGZVFWFLSA-N 0 3 318.461 4.126 20 0 DIADHN c1coc(C2CCN(C[C@@H]3CCC4(CCCCCC4)O3)CC2)n1 ZINC000931443121 1044026815 /nfs/dbraw/zinc/02/68/15/1044026815.db2.gz RNXPVWWJGPSGFF-KRWDZBQOSA-N 0 3 318.461 4.126 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(c3ncco3)CC1)CCC2 ZINC000931446245 1044029382 /nfs/dbraw/zinc/02/93/82/1044029382.db2.gz IRELWKWAFGRJGM-KRWDZBQOSA-N 0 3 300.377 4.071 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@@H](c3nccs3)C2)c1 ZINC000880315754 1044029557 /nfs/dbraw/zinc/02/95/57/1044029557.db2.gz UKNDSKZGDKJQHV-GFCCVEGCSA-N 0 3 312.360 4.151 20 0 DIADHN COCC[C@@H](C)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291163252 1044032742 /nfs/dbraw/zinc/03/27/42/1044032742.db2.gz JGDSKQVHBSGFKK-NEPJUHHUSA-N 0 3 308.422 4.025 20 0 DIADHN CCc1nnc(CN2CCC[C@]2(CC)CCc2ccccc2)o1 ZINC000880374032 1044033644 /nfs/dbraw/zinc/03/36/44/1044033644.db2.gz YEYVSVUFZCKCHE-LJQANCHMSA-N 0 3 313.445 4.009 20 0 DIADHN C[C@H]1c2sccc2CCN1Cc1cc2ccccc2[nH]c1=O ZINC000880377774 1044034998 /nfs/dbraw/zinc/03/49/98/1044034998.db2.gz DZPOTALYBNFXAE-LBPRGKRZSA-N 0 3 310.422 4.121 20 0 DIADHN COc1cc(CN[C@@H]2CCCOc3ccc(C)cc32)ccc1C ZINC000358229846 1044037922 /nfs/dbraw/zinc/03/79/22/1044037922.db2.gz XPMNEECWIBABIM-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN CC1(C)CN(CCCO[C@@H]2CCCCO2)[C@@H]1c1cccs1 ZINC000561964452 1044037954 /nfs/dbraw/zinc/03/79/54/1044037954.db2.gz SYJJJLWNGDQEGR-HZPDHXFCSA-N 0 3 309.475 4.064 20 0 DIADHN CC[C@H](NCc1csc(CCC(C)C)n1)[C@@H]1CCCOC1 ZINC000880467390 1044042392 /nfs/dbraw/zinc/04/23/92/1044042392.db2.gz ZQFVSTPNWIUIHY-ZBFHGGJFSA-N 0 3 310.507 4.027 20 0 DIADHN c1nc(CN[C@@H]2CCC[C@]23CCCO3)sc1-c1ccccc1 ZINC000880507731 1044043885 /nfs/dbraw/zinc/04/38/85/1044043885.db2.gz WZICLVSWKZYYHL-AEFFLSMTSA-N 0 3 314.454 4.001 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc(OC)cc1OC ZINC000443859461 1044044120 /nfs/dbraw/zinc/04/41/20/1044044120.db2.gz YNZNDFCFMLTWPB-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cnc2cccc(C)n12 ZINC000443860001 1044044330 /nfs/dbraw/zinc/04/43/30/1044044330.db2.gz HKWCVVKFPITZGR-UHFFFAOYSA-N 0 3 318.424 4.146 20 0 DIADHN CC(C)(C)c1cnc(CN2CCCC[C@H](C3CCOCC3)C2)o1 ZINC000880574084 1044047644 /nfs/dbraw/zinc/04/76/44/1044047644.db2.gz HKDKREWALUAWJM-INIZCTEOSA-N 0 3 320.477 4.001 20 0 DIADHN Cc1cc(CN[C@@H](Cn2ccnc2)c2ccccc2)c(C)s1 ZINC000880572185 1044048202 /nfs/dbraw/zinc/04/82/02/1044048202.db2.gz YDAPKINXGSCQTG-SFHVURJKSA-N 0 3 311.454 4.093 20 0 DIADHN Cc1cccc(C)c1CN[C@H](Cn1ccnc1)c1ccccc1 ZINC000880571171 1044048369 /nfs/dbraw/zinc/04/83/69/1044048369.db2.gz OHDRBROOMANHFF-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](Cn1ccnc1)c1ccccc1 ZINC000880571169 1044048562 /nfs/dbraw/zinc/04/85/62/1044048562.db2.gz OHDRBROOMANHFF-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN Cc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)cc1C ZINC000880570828 1044048990 /nfs/dbraw/zinc/04/89/90/1044048990.db2.gz YYGHYHZLHWLEDU-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000358304531 1044049172 /nfs/dbraw/zinc/04/91/72/1044049172.db2.gz XWUTXURHSGISQG-DEYYWGMASA-N 0 3 319.424 4.030 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CCCC(CC)(CC)CC1 ZINC001296444295 1044052133 /nfs/dbraw/zinc/05/21/33/1044052133.db2.gz GETSJSUYBWLLPW-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1nc(-c2ccccc2)cs1 ZINC000358318127 1044053107 /nfs/dbraw/zinc/05/31/07/1044053107.db2.gz LZILJHCOZUDYJW-CQSZACIVSA-N 0 3 316.470 4.013 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2C[C@@H]2C(F)F)cnn1-c1cc(C)ccc1C ZINC000562066840 1044060715 /nfs/dbraw/zinc/06/07/15/1044060715.db2.gz XUCRGHCMSJJSET-INWMFGNUSA-N 0 3 319.399 4.102 20 0 DIADHN CCc1onc(C)c1CN1CCCSC[C@H]1c1ccccc1 ZINC000931693780 1044061233 /nfs/dbraw/zinc/06/12/33/1044061233.db2.gz FAVNGDHQYBZBGG-KRWDZBQOSA-N 0 3 316.470 4.226 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@@H]2C(F)F)cnn1-c1cc(C)ccc1C ZINC000562066841 1044061746 /nfs/dbraw/zinc/06/17/46/1044061746.db2.gz XUCRGHCMSJJSET-NOLJZWGESA-N 0 3 319.399 4.102 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1c(Cl)ccc2cccnc21 ZINC000358581897 1044083077 /nfs/dbraw/zinc/08/30/77/1044083077.db2.gz PCMFEFFHPDYLTF-GFCCVEGCSA-N 0 3 308.878 4.462 20 0 DIADHN CCOc1ccc(CN[C@@H](c2cccnc2)C2CCC2)cc1F ZINC000281018921 1044090658 /nfs/dbraw/zinc/09/06/58/1044090658.db2.gz ZCLNKNIEFJBGCK-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1)Cc1ccc(F)cc1 ZINC000346320781 1044092000 /nfs/dbraw/zinc/09/20/00/1044092000.db2.gz ZNKMXISKWIPIRR-UHFFFAOYSA-N 0 3 323.415 4.033 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000358760560 1044099191 /nfs/dbraw/zinc/09/91/91/1044099191.db2.gz VJTQUFZDLKWQQR-AEFFLSMTSA-N 0 3 315.436 4.314 20 0 DIADHN CCn1c2ccccc2nc1[C@@H]1CCCN1CCC(C)(C)OC ZINC000346439225 1044102826 /nfs/dbraw/zinc/10/28/26/1044102826.db2.gz RJKJFMFANVRHAW-KRWDZBQOSA-N 0 3 315.461 4.008 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2N[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000562340375 1044103026 /nfs/dbraw/zinc/10/30/26/1044103026.db2.gz FIUYKRZNCRZMME-HOCLYGCPSA-N 0 3 316.474 4.155 20 0 DIADHN c1cc2c(s1)CCC[C@H]2N[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000562340376 1044103490 /nfs/dbraw/zinc/10/34/90/1044103490.db2.gz FIUYKRZNCRZMME-ZBFHGGJFSA-N 0 3 316.474 4.155 20 0 DIADHN CCCOc1ccc(CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281266352 1044112611 /nfs/dbraw/zinc/11/26/11/1044112611.db2.gz OYTJBCXJIVQEKJ-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC000346528629 1044113490 /nfs/dbraw/zinc/11/34/90/1044113490.db2.gz ISJTWFJTIPOTJV-LBPRGKRZSA-N 0 3 308.422 4.054 20 0 DIADHN O[C@H](CN[C@@H](c1ccccn1)C1CC1)c1ccc2ccccc2c1 ZINC000932380180 1044122289 /nfs/dbraw/zinc/12/22/89/1044122289.db2.gz SFSDYJIDFFJYDM-NHCUHLMSSA-N 0 3 318.420 4.009 20 0 DIADHN COCCCC[C@@H](N[C@H](C)c1cncc(F)c1)c1ccccc1 ZINC000359035384 1044123481 /nfs/dbraw/zinc/12/34/81/1044123481.db2.gz KHVCGPGSXXQQCZ-DNVCBOLYSA-N 0 3 316.420 4.429 20 0 DIADHN CC(C)O[C@@H](CN[C@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000932385787 1044123912 /nfs/dbraw/zinc/12/39/12/1044123912.db2.gz MHXBJVXTFAVYJY-PMACEKPBSA-N 0 3 310.441 4.289 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4nc(C)sc43)CC2)cc1 ZINC000346740582 1044136321 /nfs/dbraw/zinc/13/63/21/1044136321.db2.gz NQZHKLUVSCMCAT-MRXNPFEDSA-N 0 3 314.454 4.116 20 0 DIADHN CC[C@H](NCCn1cnc2ccccc21)c1cc(F)ccc1F ZINC000346790027 1044140301 /nfs/dbraw/zinc/14/03/01/1044140301.db2.gz GNTZYFAGJOJYSA-INIZCTEOSA-N 0 3 315.367 4.055 20 0 DIADHN CCC(CC)[C@H](CCNCc1cnc(C(F)(F)F)s1)OC ZINC000443987167 1044148577 /nfs/dbraw/zinc/14/85/77/1044148577.db2.gz JAMLAMJNXVUHRV-LBPRGKRZSA-N 0 3 324.412 4.093 20 0 DIADHN Cc1ccc(CNCc2ccc(CN(C)C)c(F)c2)cc1Cl ZINC000443994127 1044149065 /nfs/dbraw/zinc/14/90/65/1044149065.db2.gz CLBQEQDXUBSDRC-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN COc1ccc(C2(NCc3ccc(C)c(Cl)c3)CC2)cc1 ZINC000443988456 1044151219 /nfs/dbraw/zinc/15/12/19/1044151219.db2.gz OLFHFPXUYHAHNJ-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN FC(F)(F)c1ncc(CNC2(Cc3ccccc3)CC2)s1 ZINC000444001874 1044152338 /nfs/dbraw/zinc/15/23/38/1044152338.db2.gz LRROWJZIJPFFAG-UHFFFAOYSA-N 0 3 312.360 4.027 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)CC2 ZINC000562876601 1044174024 /nfs/dbraw/zinc/17/40/24/1044174024.db2.gz CYRRNHXFTPYQIT-PMACEKPBSA-N 0 3 312.432 4.014 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2ncccc2c1 ZINC000281690896 1044176960 /nfs/dbraw/zinc/17/69/60/1044176960.db2.gz SCCQEDNVAHFKDR-LJQANCHMSA-N 0 3 322.383 4.160 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCC2([C@H](O)c3ccccc3)CC2)o1 ZINC000129872289 1044186003 /nfs/dbraw/zinc/18/60/03/1044186003.db2.gz JXZRDQOXWGRGHE-LSBZLQRGSA-N 0 3 311.425 4.006 20 0 DIADHN CCCCCCN(C)CC(=O)N(CC1CCCCC1)C1CC1 ZINC001141967549 1044191202 /nfs/dbraw/zinc/19/12/02/1044191202.db2.gz ZATHKQBLSFSGPZ-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CC(C)[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccc(F)cc1 ZINC000882880881 1044200840 /nfs/dbraw/zinc/20/08/40/1044200840.db2.gz NAVCQFMHQCKTPO-QGZVFWFLSA-N 0 3 315.344 4.012 20 0 DIADHN Cc1cccc(C)c1CNCc1cc(Br)cs1 ZINC000130400417 1044201231 /nfs/dbraw/zinc/20/12/31/1044201231.db2.gz NODGLEAFBUCAJE-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN c1nc(-c2ccncc2)sc1CNCc1ccc(C2CC2)cc1 ZINC000359791996 1044201757 /nfs/dbraw/zinc/20/17/57/1044201757.db2.gz DZHUCGFLWYNXEG-UHFFFAOYSA-N 0 3 321.449 4.372 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc(Cl)c2N)cc1 ZINC000882881651 1044201920 /nfs/dbraw/zinc/20/19/20/1044201920.db2.gz XNOBZCYSPMSQCI-GFCCVEGCSA-N 0 3 304.821 4.172 20 0 DIADHN CCOc1ccc(CNCc2ccc(C3CC3)cc2)cc1OC ZINC000359812900 1044203071 /nfs/dbraw/zinc/20/30/71/1044203071.db2.gz HNUJLCLXDIIECK-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c(O)cccc32)cc2cccnc12 ZINC000359830216 1044205143 /nfs/dbraw/zinc/20/51/43/1044205143.db2.gz JVZAZZKANCCKSI-LJQANCHMSA-N 0 3 318.420 4.416 20 0 DIADHN CSCC[C@@H](C)NCc1csc(-c2ccc(F)cc2)n1 ZINC000130744431 1044213795 /nfs/dbraw/zinc/21/37/95/1044213795.db2.gz YOWPOQSFOMVBLM-LLVKDONJSA-N 0 3 310.463 4.180 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2coc(C)c2)cc1 ZINC000187246081 1044217059 /nfs/dbraw/zinc/21/70/59/1044217059.db2.gz HHZWTVOJCXOMHI-YVEFUNNKSA-N 0 3 324.380 4.063 20 0 DIADHN CC(F)(F)c1ccc(CNCc2cccc3c2CCOC3)cc1 ZINC000883130974 1044223232 /nfs/dbraw/zinc/22/32/32/1044223232.db2.gz ZGLHGMAEDRKRQL-UHFFFAOYSA-N 0 3 317.379 4.161 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2cnc(C)cc2C)c1C ZINC000883139463 1044225367 /nfs/dbraw/zinc/22/53/67/1044225367.db2.gz NEQOZNZHIITKCW-UHFFFAOYSA-N 0 3 312.457 4.060 20 0 DIADHN O[C@@H]1CCCC[C@H]1N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360041823 1044228879 /nfs/dbraw/zinc/22/88/79/1044228879.db2.gz ZTPUXWKCCZCPDQ-OUAUKWLOSA-N 0 3 320.285 4.325 20 0 DIADHN CCC[C@H](NCc1cccnc1N(C)C)c1ccc(Cl)cc1 ZINC000360045373 1044230157 /nfs/dbraw/zinc/23/01/57/1044230157.db2.gz KMTYKMLKPNNQRL-KRWDZBQOSA-N 0 3 317.864 4.432 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](c2ccccc2)O1)c1cncs1 ZINC000934196281 1044231194 /nfs/dbraw/zinc/23/11/94/1044231194.db2.gz QKOZLZBSQHQPEQ-IMJJTQAJSA-N 0 3 302.443 4.104 20 0 DIADHN CC[C@@H](NCc1ccc(N(CC)CC)nc1)c1ccc(C)cc1 ZINC000360140232 1044239001 /nfs/dbraw/zinc/23/90/01/1044239001.db2.gz NNGJUCOCQCNDSE-LJQANCHMSA-N 0 3 311.473 4.477 20 0 DIADHN CCSc1ccc(CNCc2ccccc2NC(C)=O)cc1 ZINC000883235499 1044241834 /nfs/dbraw/zinc/24/18/34/1044241834.db2.gz DUIANOLTYKLBQS-UHFFFAOYSA-N 0 3 314.454 4.047 20 0 DIADHN Cc1cc(Br)ccc1[C@H](C)NCc1ccnc(F)c1 ZINC000883241878 1044242269 /nfs/dbraw/zinc/24/22/69/1044242269.db2.gz NIPGGHRRGOVLQX-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN C[C@@H](NCCc1ccns1)c1nc(-c2ccccc2)cs1 ZINC000883248071 1044244326 /nfs/dbraw/zinc/24/43/26/1044244326.db2.gz GNUREZPIMJVPTA-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN CC(C)(C)OCCN1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934421973 1044247664 /nfs/dbraw/zinc/24/76/64/1044247664.db2.gz VTWLKXITQDATQB-UHFFFAOYSA-N 0 3 323.864 4.050 20 0 DIADHN C[C@H](NCC1(C)CC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000883257163 1044248045 /nfs/dbraw/zinc/24/80/45/1044248045.db2.gz TZDPINCPMKRKNN-ZDUSSCGKSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1nocc1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000293134432 1044251194 /nfs/dbraw/zinc/25/11/94/1044251194.db2.gz CHHHNHFBRTWQGB-AULYBMBSSA-N 0 3 311.212 4.326 20 0 DIADHN Clc1nccc2oc(CNC[C@H]3CCCC4(CCC4)O3)cc21 ZINC000896917660 1044253791 /nfs/dbraw/zinc/25/37/91/1044253791.db2.gz FRBFDBBAMPJFMZ-GFCCVEGCSA-N 0 3 320.820 4.063 20 0 DIADHN CC[C@H](NCc1ccnc(-c2ccccn2)n1)c1cccc(C)c1 ZINC000883294356 1044259654 /nfs/dbraw/zinc/25/96/54/1044259654.db2.gz WZWQLGLKSMHBLM-SFHVURJKSA-N 0 3 318.424 4.088 20 0 DIADHN CC[C@@H](NCc1ccnc(-c2ccccn2)n1)c1cccc(C)c1 ZINC000883294355 1044261372 /nfs/dbraw/zinc/26/13/72/1044261372.db2.gz WZWQLGLKSMHBLM-GOSISDBHSA-N 0 3 318.424 4.088 20 0 DIADHN CSCC1CCN(Cc2cc3cc(Cl)cnc3o2)CC1 ZINC000896940863 1044267540 /nfs/dbraw/zinc/26/75/40/1044267540.db2.gz LVBIXSBIFZXPFX-UHFFFAOYSA-N 0 3 310.850 4.056 20 0 DIADHN Cc1ccoc1CN[C@H](C)CC(=O)Nc1ccccc1C(C)C ZINC000293261946 1044267572 /nfs/dbraw/zinc/26/75/72/1044267572.db2.gz BZLVHFIXSDMKAF-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN COc1cc(CN[C@H](c2ccncc2)C2CC2)ccc1SC ZINC000934690229 1044267983 /nfs/dbraw/zinc/26/79/83/1044267983.db2.gz AAOPTVJOTZTPEC-SFHVURJKSA-N 0 3 314.454 4.053 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@H](c2ccncc2)C2CC2)c1C ZINC000934687508 1044269564 /nfs/dbraw/zinc/26/95/64/1044269564.db2.gz PHFZTPARRGUSKN-NRFANRHFSA-N 0 3 322.452 4.450 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc(C(C)(C)O)cc1 ZINC000187506459 1044276774 /nfs/dbraw/zinc/27/67/74/1044276774.db2.gz GIKBSYQJJASGSN-UHFFFAOYSA-N 0 3 314.473 4.051 20 0 DIADHN CC[C@@H](N[C@@H]1CCCOc2c(OC)cccc21)c1ccncc1 ZINC000883331044 1044277362 /nfs/dbraw/zinc/27/73/62/1044277362.db2.gz QVUCKLHMUTUZCS-IAGOWNOFSA-N 0 3 312.413 4.045 20 0 DIADHN CCN(CCCN([C@@H](C)c1ccco1)C1CC1)CC(F)(F)F ZINC000475139446 1044283899 /nfs/dbraw/zinc/28/38/99/1044283899.db2.gz NJQPNGCMEMQSEY-ZDUSSCGKSA-N 0 3 318.383 4.079 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@H]1c1ccccc1)c1ccccc1Cl ZINC000563575058 1044284257 /nfs/dbraw/zinc/28/42/57/1044284257.db2.gz YVPHBYYQUFPJQK-FNHZYXHNSA-N 0 3 315.844 4.157 20 0 DIADHN CSCc1cnc(CN[C@H](Cc2ccccc2)C2CC2)s1 ZINC000293438931 1044286089 /nfs/dbraw/zinc/28/60/89/1044286089.db2.gz BUTQCAVBAYLASR-MRXNPFEDSA-N 0 3 318.511 4.117 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360589834 1044288697 /nfs/dbraw/zinc/28/86/97/1044288697.db2.gz XRSTZFWNTVAMHK-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@@H](C)c1cn(C)nc1C)CCC2 ZINC000563625680 1044289294 /nfs/dbraw/zinc/28/92/94/1044289294.db2.gz RSISPXRVRYMXBW-SGTLLEGYSA-N 0 3 315.486 4.179 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883386382 1044300768 /nfs/dbraw/zinc/30/07/68/1044300768.db2.gz FFBVOVLHDIFQET-DOTOQJQBSA-N 0 3 311.454 4.345 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387268 1044300853 /nfs/dbraw/zinc/30/08/53/1044300853.db2.gz UWCYSGQLKZXPSQ-PXNSSMCTSA-N 0 3 305.425 4.284 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](Cn2ccnc2)c2ccccc2)c2ccccc21 ZINC000883387619 1044302605 /nfs/dbraw/zinc/30/26/05/1044302605.db2.gz ALUUTXPBXMOMAF-HBGVWJBISA-N 0 3 317.436 4.463 20 0 DIADHN Fc1ccc(CN[C@@H](C[C@@H]2CCOC2)c2ccc(F)cc2)cc1 ZINC000293582052 1044302530 /nfs/dbraw/zinc/30/25/30/1044302530.db2.gz YPWJHXPBBRLMSU-KXBFYZLASA-N 0 3 317.379 4.222 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc(-n2ccnc2)cc1 ZINC000360689241 1044302681 /nfs/dbraw/zinc/30/26/81/1044302681.db2.gz KSMKBACOYMHBBH-CJNGLKHVSA-N 0 3 323.362 4.035 20 0 DIADHN CCc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)s1 ZINC000883398185 1044306681 /nfs/dbraw/zinc/30/66/81/1044306681.db2.gz FVJCVNIVYCDDHD-SFHVURJKSA-N 0 3 311.454 4.038 20 0 DIADHN COc1ccccc1OCCN1CCCCC[C@H]1c1ccco1 ZINC000523010756 1044312250 /nfs/dbraw/zinc/31/22/50/1044312250.db2.gz SVBWJFDQMXPVBG-INIZCTEOSA-N 0 3 315.413 4.284 20 0 DIADHN CSCc1cnc(CNC[C@H](C)c2ccc(F)cc2)s1 ZINC000293710531 1044314425 /nfs/dbraw/zinc/31/44/25/1044314425.db2.gz XUXDKRKFFWAVAN-NSHDSACASA-N 0 3 310.463 4.039 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1Cl)c1ccc(F)c(F)c1 ZINC000132172292 1044316325 /nfs/dbraw/zinc/31/63/25/1044316325.db2.gz QNNPRBXEGROVSE-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1cc2cc(F)ccc2o1 ZINC000360849079 1044320238 /nfs/dbraw/zinc/32/02/38/1044320238.db2.gz WWRXXIKLOXLHQC-DYVFJYSZSA-N 0 3 305.393 4.257 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(Br)c2)cc1 ZINC000293783868 1044328985 /nfs/dbraw/zinc/32/89/85/1044328985.db2.gz LZLRGCPURANCEY-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CNC(=O)C[C@H](N[C@@H]1C[C@@H](C)c2c1cccc2C)c1ccccc1 ZINC000360920941 1044329514 /nfs/dbraw/zinc/32/95/14/1044329514.db2.gz SDJSMOLKQXWOOL-AYOQOUSVSA-N 0 3 322.452 4.010 20 0 DIADHN CNC(=O)C[C@H](N[C@@H](C)c1cc(C)c(C)cc1C)c1ccccc1 ZINC000360919942 1044330114 /nfs/dbraw/zinc/33/01/14/1044330114.db2.gz ONTFTFMZERXBLY-PXNSSMCTSA-N 0 3 324.468 4.140 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2Cc2ccccc2Cl)cn1 ZINC000360964220 1044335829 /nfs/dbraw/zinc/33/58/29/1044335829.db2.gz OHVPTOPSWJSVIT-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2c1cccc2F)c1ccc(Cl)cc1 ZINC000348233963 1044336861 /nfs/dbraw/zinc/33/68/61/1044336861.db2.gz WOGWDLSHZMGOKM-MSOLQXFVSA-N 0 3 319.807 4.180 20 0 DIADHN COCCC[C@H](Cc1ccccc1)N[C@H](C)c1csc(C)n1 ZINC000360995121 1044341556 /nfs/dbraw/zinc/34/15/56/1044341556.db2.gz HOPUVLQBCKBGRV-RHSMWYFYSA-N 0 3 318.486 4.140 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2ccc(C(F)(F)F)cc2)cnn1C ZINC000192851489 1044341715 /nfs/dbraw/zinc/34/17/15/1044341715.db2.gz MFHLOKRJJQBBKC-QWRGUYRKSA-N 0 3 311.351 4.159 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)cs1 ZINC000360988572 1044342332 /nfs/dbraw/zinc/34/23/32/1044342332.db2.gz ZGBRSBKQUAEKDE-IYOUNJFTSA-N 0 3 317.502 4.102 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H](C(F)(F)F)[C@H]2C)cc1 ZINC000475281321 1044342715 /nfs/dbraw/zinc/34/27/15/1044342715.db2.gz CTRMTBVJYYHQMH-DOMZBBRYSA-N 0 3 323.362 4.158 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CN[C@@H](C)c1csc(C)n1 ZINC000361000588 1044343388 /nfs/dbraw/zinc/34/33/88/1044343388.db2.gz YYEHUUBJDILYSI-SWLSCSKDSA-N 0 3 316.470 4.231 20 0 DIADHN CSCc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1 ZINC000361001991 1044344103 /nfs/dbraw/zinc/34/41/03/1044344103.db2.gz HSWDWVSNZUNEMS-SFHVURJKSA-N 0 3 313.466 4.422 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1F ZINC000361004921 1044344654 /nfs/dbraw/zinc/34/46/54/1044344654.db2.gz SUNVGZBHFPEBGL-QGZVFWFLSA-N 0 3 315.388 4.097 20 0 DIADHN Cc1ccc2cc(CN3CC[C@H](C(F)(F)F)[C@H]3C)ccc2n1 ZINC000475285513 1044345530 /nfs/dbraw/zinc/34/55/30/1044345530.db2.gz MRBAMKNEZFIHMY-DOMZBBRYSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@H](C(F)(F)F)[C@@H]3C)ccc2n1 ZINC000475285515 1044347742 /nfs/dbraw/zinc/34/77/42/1044347742.db2.gz MRBAMKNEZFIHMY-SWLSCSKDSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2cccc(C(F)(F)F)c2)cnn1C ZINC000192854641 1044348878 /nfs/dbraw/zinc/34/88/78/1044348878.db2.gz XNTSUGUFTZGDEL-WDEREUQCSA-N 0 3 311.351 4.159 20 0 DIADHN CCCN(Cc1cccc2nccn21)[C@H]1CCCc2cccnc21 ZINC000361038586 1044351705 /nfs/dbraw/zinc/35/17/05/1044351705.db2.gz QMVPPLJXJQOUIU-SFHVURJKSA-N 0 3 320.440 4.019 20 0 DIADHN CC/C=C/CNCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC001202561244 1044353581 /nfs/dbraw/zinc/35/35/81/1044353581.db2.gz RVBBFRUXGGZRSZ-ONEGZZNKSA-N 0 3 323.362 4.006 20 0 DIADHN CCOc1ccccc1CN1CCC(c2ccc(C)nc2)CC1 ZINC000361057943 1044354189 /nfs/dbraw/zinc/35/41/89/1044354189.db2.gz AOQWQLBTNYHNTQ-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@@H](c3ccc(F)cc3)C2)s1 ZINC000475331606 1044365086 /nfs/dbraw/zinc/36/50/86/1044365086.db2.gz HHHPRMUNNWWOFL-UKRRQHHQSA-N 0 3 304.434 4.271 20 0 DIADHN Fc1ccc([C@@H]2CC[C@@H](NCc3ncc(Cl)s3)C2)cc1 ZINC000475333695 1044365599 /nfs/dbraw/zinc/36/55/99/1044365599.db2.gz OFTLTOHXLZSAAD-DGCLKSJQSA-N 0 3 310.825 4.362 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@H](c3ccc(F)cc3)C2)cs1 ZINC000475331928 1044365816 /nfs/dbraw/zinc/36/58/16/1044365816.db2.gz QJHUZNDVGRZHMR-UKRRQHHQSA-N 0 3 304.434 4.271 20 0 DIADHN c1cn(-c2ccccc2)c(CNCC[C@@H]2CCc3ccccc32)n1 ZINC001202611548 1044369835 /nfs/dbraw/zinc/36/98/35/1044369835.db2.gz KUJGATOUMXMBKJ-SFHVURJKSA-N 0 3 317.436 4.082 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361191650 1044372201 /nfs/dbraw/zinc/37/22/01/1044372201.db2.gz TZWHEFBSRIPNCK-MEDUHNTESA-N 0 3 321.342 4.148 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@H]2NC1CCC(C(F)F)CC1 ZINC000361187800 1044372945 /nfs/dbraw/zinc/37/29/45/1044372945.db2.gz JKLYDEJAEZNTGA-SRHKJQAYSA-N 0 3 317.326 4.202 20 0 DIADHN COC1(CCN[C@@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000294081834 1044374766 /nfs/dbraw/zinc/37/47/66/1044374766.db2.gz LJHJWOSZHMZVFM-IBGZPJMESA-N 0 3 310.441 4.028 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@@H](c3ccc(F)cc3)C2)c1 ZINC000475353998 1044378331 /nfs/dbraw/zinc/37/83/31/1044378331.db2.gz HDTLLNQYXQNTIB-RHSMWYFYSA-N 0 3 303.352 4.096 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCCc2sccc21 ZINC000361240316 1044379743 /nfs/dbraw/zinc/37/97/43/1044379743.db2.gz IIWQICIBUGLDEY-HZPDHXFCSA-N 0 3 315.438 4.248 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@@H]2NC[C@@H]1C[C@H]1c1ccccc1 ZINC000361237457 1044380274 /nfs/dbraw/zinc/38/02/74/1044380274.db2.gz OTULQUNGBJIHGC-ZQIUZPCESA-N 0 3 315.363 4.182 20 0 DIADHN CCCOc1ccc(CNCc2ccsc2C)cc1OC ZINC000294157412 1044385712 /nfs/dbraw/zinc/38/57/12/1044385712.db2.gz PGLJICHHLSGQFK-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN CC(C)=CCC[C@@H](C)CCNCc1nc2c(s1)COCC2 ZINC000897237700 1044387245 /nfs/dbraw/zinc/38/72/45/1044387245.db2.gz AKDFLLPWBPBDHR-CQSZACIVSA-N 0 3 308.491 4.078 20 0 DIADHN FC(F)(F)c1cncc(CNC2(c3ccccc3)CCC2)c1 ZINC000361318976 1044390790 /nfs/dbraw/zinc/39/07/90/1044390790.db2.gz SDYNINHVDFJRBP-UHFFFAOYSA-N 0 3 306.331 4.269 20 0 DIADHN Cn1nccc1CCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000564614356 1044395580 /nfs/dbraw/zinc/39/55/80/1044395580.db2.gz KLMXXKHOLMCVEH-INIZCTEOSA-N 0 3 324.255 4.010 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN1CC(C2CCC2)C1 ZINC000564612265 1044396103 /nfs/dbraw/zinc/39/61/03/1044396103.db2.gz WBISEZZQEOGMGJ-UHFFFAOYSA-N 0 3 323.484 4.089 20 0 DIADHN FC(F)(F)c1cncc(CN[C@@H](c2cccs2)C2CC2)c1 ZINC000361356696 1044396432 /nfs/dbraw/zinc/39/64/32/1044396432.db2.gz TUFBHOGZQOHNBB-CQSZACIVSA-N 0 3 312.360 4.403 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000564670180 1044398403 /nfs/dbraw/zinc/39/84/03/1044398403.db2.gz CMECQVROLAHCDP-SJORKVTESA-N 0 3 315.848 4.118 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@@H]1CCC2(CCCCC2)O1 ZINC000564702663 1044399881 /nfs/dbraw/zinc/39/98/81/1044399881.db2.gz FLAQBGNMUHGZNB-SFHVURJKSA-N 0 3 302.462 4.174 20 0 DIADHN CO[C@]1(C)CCCN(Cc2nc(-c3ccsc3)oc2C)CC1 ZINC000361412388 1044401131 /nfs/dbraw/zinc/40/11/31/1044401131.db2.gz ISECSFWXYVKUMV-QGZVFWFLSA-N 0 3 320.458 4.103 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@H](c2cccnc2)C1 ZINC000564903827 1044407705 /nfs/dbraw/zinc/40/77/05/1044407705.db2.gz BBDIPJJMNYNTIA-KRWDZBQOSA-N 0 3 303.409 4.241 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000193008571 1044408962 /nfs/dbraw/zinc/40/89/62/1044408962.db2.gz SWNCJYBTXVDXRE-WMZOPIPTSA-N 0 3 315.848 4.192 20 0 DIADHN CCN(C)c1ccc(CN(Cc2ccco2)C2CCCC2)cn1 ZINC000361502895 1044410691 /nfs/dbraw/zinc/41/06/91/1044410691.db2.gz ZGZVYKZAKIUJNB-UHFFFAOYSA-N 0 3 313.445 4.076 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCc1nnc(-c2cccc3ccccc32)o1 ZINC000639612054 1044416672 /nfs/dbraw/zinc/41/66/72/1044416672.db2.gz DWLLJCIOYIAVGB-DYVFJYSZSA-N 0 3 307.397 4.168 20 0 DIADHN COCCCCN(Cc1c(C)nsc1C)Cc1ccccc1 ZINC000565162511 1044418701 /nfs/dbraw/zinc/41/87/01/1044418701.db2.gz ILMDQGRHIYLDCV-UHFFFAOYSA-N 0 3 318.486 4.189 20 0 DIADHN Clc1ccc(-c2nnc(CN(CC3CC3)C3CCC3)o2)cc1 ZINC000361576040 1044419638 /nfs/dbraw/zinc/41/96/38/1044419638.db2.gz FDJYLWDWDWFYFW-UHFFFAOYSA-N 0 3 317.820 4.155 20 0 DIADHN C[C@H]1CCSCCN1Cc1cnc(-c2ccsc2)s1 ZINC000361643824 1044427966 /nfs/dbraw/zinc/42/79/66/1044427966.db2.gz NTGPEMPEULIITP-NSHDSACASA-N 0 3 310.513 4.199 20 0 DIADHN C[C@H](NC[C@@H]1CCC=CO1)c1cc(F)c(Cl)cc1Cl ZINC000379980520 1044429948 /nfs/dbraw/zinc/42/99/48/1044429948.db2.gz XEAUQACMRKQDBW-UWVGGRQHSA-N 0 3 304.192 4.476 20 0 DIADHN CCc1ccc(CN2CCC(c3ccc(OC)cc3)CC2)cn1 ZINC000565414020 1044432113 /nfs/dbraw/zinc/43/21/13/1044432113.db2.gz KTCYVOFDZULGMQ-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN Cc1ccc2ncc(CNCc3ccc4sccc4c3)n2c1 ZINC000565451800 1044437356 /nfs/dbraw/zinc/43/73/56/1044437356.db2.gz WWSXPEIWRJNZDL-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2cccnc2)cc1OCC ZINC000112515789 1044440536 /nfs/dbraw/zinc/44/05/36/1044440536.db2.gz FBBWMDSYZPXCPF-LSDHHAIUSA-N 0 3 314.429 4.291 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(F)c(C)c2)c(C)c1OC ZINC000565531953 1044441975 /nfs/dbraw/zinc/44/19/75/1044441975.db2.gz FMUWTVBFBNZFQY-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc([C@H](C)NCc2csc(C)c2)c(C)c1OC ZINC000294662077 1044442952 /nfs/dbraw/zinc/44/29/52/1044442952.db2.gz ALRUWLSRTCMIRF-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cc(Cl)cs1)c1ccccc1 ZINC000565566401 1044443051 /nfs/dbraw/zinc/44/30/51/1044443051.db2.gz LRFLYUBDAPJQFF-HNNXBMFYSA-N 0 3 309.862 4.251 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000565690400 1044450413 /nfs/dbraw/zinc/45/04/13/1044450413.db2.gz SGPPSDMSOGCALL-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1cnc2ccsc2c1 ZINC000361875796 1044455262 /nfs/dbraw/zinc/45/52/62/1044455262.db2.gz BANULQPEHZVYRO-BBRMVZONSA-N 0 3 312.438 4.071 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccccc1 ZINC000565763132 1044455408 /nfs/dbraw/zinc/45/54/08/1044455408.db2.gz HALZORJYBBZEHG-KBXCAEBGSA-N 0 3 302.849 4.294 20 0 DIADHN COc1ccc(C2(NCc3cnc(C)s3)CCCC2)cc1 ZINC000113930865 1044455418 /nfs/dbraw/zinc/45/54/18/1044455418.db2.gz IJFQCSQMXWNHRC-UHFFFAOYSA-N 0 3 302.443 4.019 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(Cl)cc1F ZINC000565779071 1044455580 /nfs/dbraw/zinc/45/55/80/1044455580.db2.gz PFZZMAMKAXLGFI-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000361877944 1044455747 /nfs/dbraw/zinc/45/57/47/1044455747.db2.gz VJRBFARXXJJNSQ-GUYCJALGSA-N 0 3 323.358 4.480 20 0 DIADHN CC[C@@H](CCO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361888177 1044456087 /nfs/dbraw/zinc/45/60/87/1044456087.db2.gz IEFDKDDKTNJALG-WCBMZHEXSA-N 0 3 308.274 4.183 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@@H](c2ccncc2)C1 ZINC000565841793 1044459625 /nfs/dbraw/zinc/45/96/25/1044459625.db2.gz LLDYMEKFJDLWJE-KDOFPFPSSA-N 0 3 302.368 4.300 20 0 DIADHN COCC[C@H](N[C@H]1CCCc2c(O)cccc21)c1ccccc1 ZINC000361930872 1044461609 /nfs/dbraw/zinc/46/16/09/1044461609.db2.gz RXGWPIKOFRQAEU-OALUTQOASA-N 0 3 311.425 4.137 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000361951097 1044462812 /nfs/dbraw/zinc/46/28/12/1044462812.db2.gz GZIWYAKEDFCRSQ-NJZAAPMLSA-N 0 3 304.484 4.355 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCOCc1ccccc1)CCS2 ZINC000361966634 1044465748 /nfs/dbraw/zinc/46/57/48/1044465748.db2.gz NPSPXMPFIKYPDY-KRWDZBQOSA-N 0 3 317.429 4.169 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(F)cc2C1)c1csc(Cl)c1 ZINC000566032550 1044470637 /nfs/dbraw/zinc/47/06/37/1044470637.db2.gz LAFHANXPHLWPDB-ZANVPECISA-N 0 3 311.809 4.195 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(F)cc2C1)c1csc(Cl)c1 ZINC000566032547 1044470822 /nfs/dbraw/zinc/47/08/22/1044470822.db2.gz LAFHANXPHLWPDB-NOZJJQNGSA-N 0 3 311.809 4.195 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CCC[C@H](F)C3)cs2)cc1 ZINC000566217126 1044479292 /nfs/dbraw/zinc/47/92/92/1044479292.db2.gz LUUCTGSNCIODMH-KBPBESRZSA-N 0 3 308.397 4.320 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H](C)c1nccn1-c1ccccc1 ZINC000566401815 1044488673 /nfs/dbraw/zinc/48/86/73/1044488673.db2.gz PEENLEVIEJWHRA-HZPDHXFCSA-N 0 3 323.415 4.293 20 0 DIADHN CCc1nc(C)c(CN2C[C@H](C)C[C@H]2c2cccc(OC)c2)o1 ZINC000428357834 1044488753 /nfs/dbraw/zinc/48/87/53/1044488753.db2.gz FVRIQTDGBHYPJT-DYVFJYSZSA-N 0 3 314.429 4.137 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(Cl)c3cccnc23)CC1(C)C ZINC000295099570 1044489146 /nfs/dbraw/zinc/48/91/46/1044489146.db2.gz UQHWCIGLGFNQTO-MRXNPFEDSA-N 0 3 318.848 4.135 20 0 DIADHN Fc1cccc(-c2cnc(CN3CC[C@H](c4ccccc4)C3)o2)c1 ZINC000475524646 1044489915 /nfs/dbraw/zinc/48/99/15/1044489915.db2.gz AYKNLOQBQCCNDD-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN CCN(Cc1ccncc1)Cc1cc(C)c(OC(F)F)c(C)c1 ZINC000566398659 1044490294 /nfs/dbraw/zinc/49/02/94/1044490294.db2.gz IZLZPESKZXRZAR-UHFFFAOYSA-N 0 3 320.383 4.322 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000475534762 1044493017 /nfs/dbraw/zinc/49/30/17/1044493017.db2.gz WSAJYIRSNWTGFG-CZUORRHYSA-N 0 3 302.393 4.491 20 0 DIADHN c1ccc([C@@H]2CCC[C@H](NCc3nnc(C4CC4)s3)C2)cc1 ZINC000840892405 1044494042 /nfs/dbraw/zinc/49/40/42/1044494042.db2.gz ZQYTUTYXCKQSNQ-CVEARBPZSA-N 0 3 313.470 4.232 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CNCc2cn3ccccc3n2)cc1 ZINC000566525118 1044496113 /nfs/dbraw/zinc/49/61/13/1044496113.db2.gz JRCGKTNMDUUGGB-INIZCTEOSA-N 0 3 323.440 4.015 20 0 DIADHN Cc1cc(CNCC(Cc2ccccc2)Cc2ccccc2)no1 ZINC000566534161 1044496760 /nfs/dbraw/zinc/49/67/60/1044496760.db2.gz SBORAZRYKFRMDM-UHFFFAOYSA-N 0 3 320.436 4.174 20 0 DIADHN Cc1noc(C)c1C[C@H](C)NCc1ccc(-c2ccccc2)o1 ZINC000119825663 1044498551 /nfs/dbraw/zinc/49/85/51/1044498551.db2.gz KYOVNSBMZAGRQB-ZDUSSCGKSA-N 0 3 310.397 4.272 20 0 DIADHN COCCCC[C@H](NCc1sc(C)nc1C)c1ccccc1 ZINC000348317139 1044500356 /nfs/dbraw/zinc/50/03/56/1044500356.db2.gz ADNXTCCTFQGEJH-KRWDZBQOSA-N 0 3 318.486 4.408 20 0 DIADHN COc1ccc2nc(CN3CCC[C@H]4CCC[C@H]43)sc2c1 ZINC000475561784 1044500778 /nfs/dbraw/zinc/50/07/78/1044500778.db2.gz ANDHQTWOKVQCKQ-IUODEOHRSA-N 0 3 302.443 4.069 20 0 DIADHN CCC1(NCc2nnc(-c3ccc(Cl)cc3)o2)CCCC1 ZINC000295264492 1044504658 /nfs/dbraw/zinc/50/46/58/1044504658.db2.gz RPYUGJBVBUBOIJ-UHFFFAOYSA-N 0 3 305.809 4.202 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2cc(C)cc(C)c2)cc1 ZINC000120393223 1044507415 /nfs/dbraw/zinc/50/74/15/1044507415.db2.gz UYIGNCMWIWPTQJ-UZLBHIALSA-N 0 3 313.441 4.086 20 0 DIADHN CC(C)(C)[C@H](O)CN(Cc1cccs1)Cc1cccs1 ZINC000566702183 1044508600 /nfs/dbraw/zinc/50/86/00/1044508600.db2.gz YJUZSVNQMWOWAW-OAHLLOKOSA-N 0 3 309.500 4.219 20 0 DIADHN Cc1cnc(CNC[C@H]2CCCO[C@H]2c2ccc(C)cc2)s1 ZINC000295339032 1044511519 /nfs/dbraw/zinc/51/15/19/1044511519.db2.gz CTWNYKRLFLSTPZ-AEFFLSMTSA-N 0 3 316.470 4.017 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC[C@](C)(OC)CC1 ZINC000475571735 1044512540 /nfs/dbraw/zinc/51/25/40/1044512540.db2.gz NBQHDMIABMYEMG-KRWDZBQOSA-N 0 3 311.853 4.130 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1noc2c1CCCC2 ZINC000121424745 1044513781 /nfs/dbraw/zinc/51/37/81/1044513781.db2.gz PHVBOGGQYDFVIM-ZFWWWQNUSA-N 0 3 314.404 4.071 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cn(C(C)(C)C)nc2C)cc1 ZINC000120921997 1044516965 /nfs/dbraw/zinc/51/69/65/1044516965.db2.gz YDOGRHFWAJSEFC-UONOGXRCSA-N 0 3 315.461 4.367 20 0 DIADHN C[C@H](NCc1ccc(C(=O)N2CCCCC2)cc1)c1ccccc1 ZINC000120955162 1044518230 /nfs/dbraw/zinc/51/82/30/1044518230.db2.gz YRKGGZBQCCEHBZ-KRWDZBQOSA-N 0 3 322.452 4.164 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c(C)s1 ZINC000566815174 1044518691 /nfs/dbraw/zinc/51/86/91/1044518691.db2.gz XYXPGFZAACFAPP-ACJLOTCBSA-N 0 3 320.477 4.458 20 0 DIADHN Cc1cccc2ncc(CN[C@H](C)c3ccc4ncsc4c3)n21 ZINC000362512513 1044522268 /nfs/dbraw/zinc/52/22/68/1044522268.db2.gz QZVCSFGOHBJFPV-CYBMUJFWSA-N 0 3 322.437 4.103 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000475580485 1044523509 /nfs/dbraw/zinc/52/35/09/1044523509.db2.gz YSTIAQGNRZTEFH-LJQANCHMSA-N 0 3 315.413 4.463 20 0 DIADHN Brc1ccc(CN2C[C@@H]3C[C@@H]3C2)c2ccccc12 ZINC001142049621 1044527728 /nfs/dbraw/zinc/52/77/28/1044527728.db2.gz BJMAJLJUOBWTEA-BETUJISGSA-N 0 3 302.215 4.054 20 0 DIADHN Cc1ccc2nc(CN3CCC(F)(F)C(C)(C)C3)ccc2c1 ZINC001137210991 1044533608 /nfs/dbraw/zinc/53/36/08/1044533608.db2.gz IWMQNWCMTZSGJN-UHFFFAOYSA-N 0 3 304.384 4.410 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1cc(O)cc(F)c1 ZINC000567001317 1044534464 /nfs/dbraw/zinc/53/44/64/1044534464.db2.gz OVOSPEBPSKKFGE-GOSISDBHSA-N 0 3 303.377 4.123 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000567065668 1044538978 /nfs/dbraw/zinc/53/89/78/1044538978.db2.gz HBGAZNLGKYUUFI-FUHWJXTLSA-N 0 3 314.473 4.259 20 0 DIADHN CCCC[C@@H](CCC)C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000567142185 1044546554 /nfs/dbraw/zinc/54/65/54/1044546554.db2.gz HVHUUNWULCFCSQ-NVXWUHKLSA-N 0 3 300.450 4.090 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N(C)C[C@H]1CC=CCC1 ZINC000567196900 1044549568 /nfs/dbraw/zinc/54/95/68/1044549568.db2.gz AXPSRCYONZDTAQ-OLZOCXBDSA-N 0 3 303.431 4.147 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2ccc(F)cc2)c(C)c1OC ZINC000567199884 1044551181 /nfs/dbraw/zinc/55/11/81/1044551181.db2.gz HHBGSRKMWZLEJS-AWEZNQCLSA-N 0 3 317.404 4.344 20 0 DIADHN Oc1cccnc1CN(Cc1cc(F)ccc1F)C1CCCC1 ZINC000567225708 1044551596 /nfs/dbraw/zinc/55/15/96/1044551596.db2.gz XRYIBOXAPTUFQU-UHFFFAOYSA-N 0 3 318.367 4.010 20 0 DIADHN CN(C)C[C@@H](N[C@@H]1CCCc2sccc21)c1ccc(F)cc1 ZINC000348337554 1044555760 /nfs/dbraw/zinc/55/57/60/1044555760.db2.gz TXPYXCYBPPKDBE-IAGOWNOFSA-N 0 3 318.461 4.157 20 0 DIADHN CCOc1ccccc1CN(Cc1ccc(O)cc1)CC1CC1 ZINC000567281881 1044556388 /nfs/dbraw/zinc/55/63/88/1044556388.db2.gz SDIOQMUFVAFBHV-UHFFFAOYSA-N 0 3 311.425 4.203 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(n1)CCCC3)[C@@H](C)C2 ZINC000567280690 1044557059 /nfs/dbraw/zinc/55/70/59/1044557059.db2.gz OLGVYRRIRFNPQG-YCRPNKLZSA-N 0 3 306.453 4.292 20 0 DIADHN Cc1ccc2nc(CN(C)CCOc3ccccc3)ccc2c1 ZINC001137215629 1044558233 /nfs/dbraw/zinc/55/82/33/1044558233.db2.gz DZZNFQGVDOHWHI-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ncc(C)s2)C12CCCCC2 ZINC000135775429 1044560619 /nfs/dbraw/zinc/56/06/19/1044560619.db2.gz TWHPTMIQRIZNNE-SOUVJXGZSA-N 0 3 308.491 4.230 20 0 DIADHN COc1ccc(CN(C)C/C=C/c2ccc(F)c(F)c2)cc1 ZINC000567370438 1044561267 /nfs/dbraw/zinc/56/12/67/1044561267.db2.gz IQDXWIGHIMJKEU-ONEGZZNKSA-N 0 3 303.352 4.119 20 0 DIADHN COc1ccc(CNCc2ccccc2C)cc1Br ZINC000037486694 1044561438 /nfs/dbraw/zinc/56/14/38/1044561438.db2.gz NVFDYZATZVSNGK-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000362923295 1044564803 /nfs/dbraw/zinc/56/48/03/1044564803.db2.gz RJRBUMVWOAZVMC-QGZVFWFLSA-N 0 3 310.372 4.180 20 0 DIADHN Clc1cccc([C@@H]2CCCN2CCO[C@@H]2CCCCO2)c1 ZINC000444590938 1044570985 /nfs/dbraw/zinc/57/09/85/1044570985.db2.gz AUOLDJDHHVXRPQ-DLBZAZTESA-N 0 3 309.837 4.020 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(OC(C)(C)C)cc2)cn1 ZINC000295877896 1044570983 /nfs/dbraw/zinc/57/09/83/1044570983.db2.gz GTAAKCKLFWNGKP-CABCVRRESA-N 0 3 315.461 4.492 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2Cn2cccn2)cs1 ZINC000295912149 1044574044 /nfs/dbraw/zinc/57/40/44/1044574044.db2.gz JHJGEAZKIVBSSP-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN C[C@@H](N[C@H]1CCn2ccnc21)C(c1ccccc1)c1ccccc1 ZINC000295974721 1044578673 /nfs/dbraw/zinc/57/86/73/1044578673.db2.gz MXIISMISMBLGPU-APWZRJJASA-N 0 3 317.436 4.138 20 0 DIADHN C[C@@H](N[C@@H]1CCn2ccnc21)C(c1ccccc1)c1ccccc1 ZINC000295974728 1044579749 /nfs/dbraw/zinc/57/97/49/1044579749.db2.gz MXIISMISMBLGPU-VQIMIIECSA-N 0 3 317.436 4.138 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)cs1 ZINC000296039685 1044583733 /nfs/dbraw/zinc/58/37/33/1044583733.db2.gz QNBBTFYHOFHSRN-KBPBESRZSA-N 0 3 312.442 4.049 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H](C)c2cnn(CC)c2)cc1 ZINC000296118496 1044591611 /nfs/dbraw/zinc/59/16/11/1044591611.db2.gz ZXLHCDRTCALSAF-HZPDHXFCSA-N 0 3 315.461 4.494 20 0 DIADHN Cc1n[nH]c(CN[C@@H](Cc2ccccc2)c2ccccc2)c1C ZINC000885898826 1044592781 /nfs/dbraw/zinc/59/27/81/1044592781.db2.gz YNTNRESVEZXBCX-IBGZPJMESA-N 0 3 305.425 4.100 20 0 DIADHN CCN(Cc1csc(-c2ncccn2)n1)[C@H]1CCCC[C@H]1C ZINC000444666520 1044593316 /nfs/dbraw/zinc/59/33/16/1044593316.db2.gz WXYHVMSABACOIC-HIFRSBDPSA-N 0 3 316.474 4.001 20 0 DIADHN Cc1n[nH]c(CN[C@@H](CCc2ccccc2)c2ccccc2)c1C ZINC000885928609 1044593763 /nfs/dbraw/zinc/59/37/63/1044593763.db2.gz CGKZCSDKLFZRFQ-FQEVSTJZSA-N 0 3 319.452 4.490 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000568019482 1044596433 /nfs/dbraw/zinc/59/64/33/1044596433.db2.gz AJVOWHVZQDLYGF-ZDUSSCGKSA-N 0 3 317.399 4.029 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCOC3(CCCCC3)C2)cs1 ZINC000885980232 1044597891 /nfs/dbraw/zinc/59/78/91/1044597891.db2.gz WLXWDSIBCLRERL-KBPBESRZSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1n[nH]c(CNC(C)(C)c2ccc(OCC(C)C)cc2)c1C ZINC000885975718 1044598039 /nfs/dbraw/zinc/59/80/39/1044598039.db2.gz VIFFMPIFODDBIA-UHFFFAOYSA-N 0 3 315.461 4.086 20 0 DIADHN Cc1[nH]nc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)c1C ZINC000885982128 1044598120 /nfs/dbraw/zinc/59/81/20/1044598120.db2.gz VQLUKMGMTJAULB-CYBMUJFWSA-N 0 3 310.228 4.110 20 0 DIADHN CSC[C@H]1CCCN(Cc2nc(C(C)C)n3ccccc23)C1 ZINC000568033444 1044599265 /nfs/dbraw/zinc/59/92/65/1044599265.db2.gz NFTNGCQOBHAXNH-HNNXBMFYSA-N 0 3 317.502 4.033 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000363253196 1044599447 /nfs/dbraw/zinc/59/94/47/1044599447.db2.gz JYEDKDLEZCUAER-LEWSCRJBSA-N 0 3 317.820 4.039 20 0 DIADHN Cc1[nH]nc(CN[C@@H](c2ccccc2F)C2CCCC2)c1C ZINC000886016539 1044600043 /nfs/dbraw/zinc/60/00/43/1044600043.db2.gz GWSPNLSYAOUDHB-GOSISDBHSA-N 0 3 301.409 4.187 20 0 DIADHN Cc1cc([C@H](C)NCc2cn(C)nc2-c2ccccc2)cs1 ZINC000296249423 1044600588 /nfs/dbraw/zinc/60/05/88/1044600588.db2.gz BCYNIOWEVMBPPH-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN CCN(Cc1nccn1Cc1ccccc1)[C@H]1CCCC[C@@H]1C ZINC000444679486 1044601414 /nfs/dbraw/zinc/60/14/14/1044601414.db2.gz VCQRXYYXRIUVRL-HKUYNNGSSA-N 0 3 311.473 4.332 20 0 DIADHN Fc1ccccc1SCCN1CC[C@H](CC(F)(F)F)C1 ZINC000444698178 1044605926 /nfs/dbraw/zinc/60/59/26/1044605926.db2.gz CFJZYWWWVHPMKS-LLVKDONJSA-N 0 3 307.356 4.192 20 0 DIADHN FC(F)(F)C[C@@H]1CCN(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000444700470 1044609011 /nfs/dbraw/zinc/60/90/11/1044609011.db2.gz GGBSEDKLWNAILR-NSHDSACASA-N 0 3 311.269 4.480 20 0 DIADHN C[C@@H](CCCO)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000296363928 1044610800 /nfs/dbraw/zinc/61/08/00/1044610800.db2.gz IVXFKSOMWCPWFB-STQMWFEESA-N 0 3 322.449 4.008 20 0 DIADHN Cc1cnn(CCCCCN(C)Cc2cc(Cl)ccc2F)c1 ZINC000444709455 1044612043 /nfs/dbraw/zinc/61/20/43/1044612043.db2.gz SOTLAVHUBCEQME-UHFFFAOYSA-N 0 3 323.843 4.286 20 0 DIADHN Cc1ncncc1[C@H](C)NC1CC(F)(c2cccc(Cl)c2)C1 ZINC000568294116 1044615807 /nfs/dbraw/zinc/61/58/07/1044615807.db2.gz ZZDBLFKZSMKRIF-VWDMACCTSA-N 0 3 319.811 4.116 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC[C@@H](C(C)C)C2)c(C)c1 ZINC000444754572 1044619881 /nfs/dbraw/zinc/61/98/81/1044619881.db2.gz UFANMZXVBQGAJF-QZTJIDSGSA-N 0 3 316.489 4.307 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H](C(C)C)C3)co2)cc1 ZINC000444754613 1044620741 /nfs/dbraw/zinc/62/07/41/1044620741.db2.gz UPTDTIJATGDBTD-MRXNPFEDSA-N 0 3 314.429 4.218 20 0 DIADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000568401212 1044621287 /nfs/dbraw/zinc/62/12/87/1044621287.db2.gz HGWFPXJIJSHDGL-LZWOXQAQSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](CO)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000631669971 1044622515 /nfs/dbraw/zinc/62/25/15/1044622515.db2.gz SFIZJKKXCTUSQX-XHNCKOQMSA-N 0 3 308.636 4.068 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cncc3ccccc32)cn1 ZINC000778639701 1044622326 /nfs/dbraw/zinc/62/23/26/1044622326.db2.gz RMZCYZUKLVVAEM-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN Cc1cc(-c2noc(CN(CC3CCC3)C(C)C)n2)ccc1F ZINC000444768770 1044623052 /nfs/dbraw/zinc/62/30/52/1044623052.db2.gz GHIDMBUBTXEXHM-UHFFFAOYSA-N 0 3 317.408 4.195 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CCC[C@H](C(C)C)C3)o2)cc1 ZINC000444772542 1044624184 /nfs/dbraw/zinc/62/41/84/1044624184.db2.gz NXPBFUOHXSSTQR-RDJZCZTQSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1ccc([C@@H](NCc2n[nH]c(C3CC3)n2)C2CCCC2)cc1 ZINC000296514254 1044625172 /nfs/dbraw/zinc/62/51/72/1044625172.db2.gz PPLBZZPRHFMTHT-SFHVURJKSA-N 0 3 310.445 4.012 20 0 DIADHN COc1cccc(-c2nc(CN3CCCC34CCC4)cs2)c1 ZINC000444777173 1044625969 /nfs/dbraw/zinc/62/59/69/1044625969.db2.gz DYTBCWXINGIECB-UHFFFAOYSA-N 0 3 314.454 4.337 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@H]1CC[C@H](C3CC3)O1)CC2 ZINC000886267769 1044628867 /nfs/dbraw/zinc/62/88/67/1044628867.db2.gz UWMJSYFXETUCEN-YLJYHZDGSA-N 0 3 324.468 4.084 20 0 DIADHN Cc1cc(C)n(CCN2CCCC[C@@H]2c2cccc(Cl)c2)n1 ZINC000444794956 1044630435 /nfs/dbraw/zinc/63/04/35/1044630435.db2.gz UBULNRMSCLJOLR-GOSISDBHSA-N 0 3 317.864 4.381 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@@H](C)c1cnccc1N)CCC2 ZINC000568499886 1044632022 /nfs/dbraw/zinc/63/20/22/1044632022.db2.gz SXENGBGFEAGPLE-VBKZILBWSA-N 0 3 309.457 4.346 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)C2CC2)cc1OC ZINC000195732294 1044632129 /nfs/dbraw/zinc/63/21/29/1044632129.db2.gz MULUZZAIWZZXDP-UHFFFAOYSA-N 0 3 315.388 4.008 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(C(F)(F)F)c1)CC2 ZINC000296584758 1044632278 /nfs/dbraw/zinc/63/22/78/1044632278.db2.gz UPTMOXYLZKSONH-HNNXBMFYSA-N 0 3 306.331 4.186 20 0 DIADHN CSc1ccc(CN2CCC[C@H](c3nccs3)C2)cc1 ZINC000195350930 1044633113 /nfs/dbraw/zinc/63/31/13/1044633113.db2.gz DQWPNTAHAUQSJI-AWEZNQCLSA-N 0 3 304.484 4.245 20 0 DIADHN Clc1ccc([C@H]2C[C@H](N[C@H]3CCn4ccnc43)C2)cc1Cl ZINC000296606233 1044634512 /nfs/dbraw/zinc/63/45/12/1044634512.db2.gz YDEWHVHUWNIKDH-HUBLWGQQSA-N 0 3 322.239 4.171 20 0 DIADHN Clc1ccc([C@H]2C[C@H](N[C@@H]3CCn4ccnc43)C2)cc1Cl ZINC000296606234 1044634530 /nfs/dbraw/zinc/63/45/30/1044634530.db2.gz YDEWHVHUWNIKDH-LALPHHSUSA-N 0 3 322.239 4.171 20 0 DIADHN CC(C)(C)c1nc(CNC[C@]2(C)CCOC3(CCC3)C2)cs1 ZINC000886345119 1044634653 /nfs/dbraw/zinc/63/46/53/1044634653.db2.gz RZXCAAOHCKVSPX-QGZVFWFLSA-N 0 3 322.518 4.270 20 0 DIADHN C[C@H](NCc1cc[nH]c1)c1cccc(SC(F)(F)F)c1 ZINC000886365984 1044636906 /nfs/dbraw/zinc/63/69/06/1044636906.db2.gz UUQFTRORSSZMNO-JTQLQIEISA-N 0 3 300.349 4.477 20 0 DIADHN Cc1ccc([C@H]2[C@@H](C)CCCN2CCOCC(F)(F)F)cc1 ZINC000523452723 1044636902 /nfs/dbraw/zinc/63/69/02/1044636902.db2.gz YRMLGMIUFVEGNR-GOEBONIOSA-N 0 3 315.379 4.347 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)O)c1ccc(Oc2ccccc2)cn1 ZINC000296646436 1044637743 /nfs/dbraw/zinc/63/77/43/1044637743.db2.gz YMYUADBZZUUDFA-LSDHHAIUSA-N 0 3 314.429 4.074 20 0 DIADHN CC(C)c1nc(CN[C@H](c2cccs2)C2CCCC2)n[nH]1 ZINC000296650496 1044637781 /nfs/dbraw/zinc/63/77/81/1044637781.db2.gz YVWPMWCIFXJRSB-HNNXBMFYSA-N 0 3 304.463 4.011 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2cn3ccsc3n2)C1 ZINC000523439957 1044639089 /nfs/dbraw/zinc/63/90/89/1044639089.db2.gz UWXOCNGROBEFEA-GJZGRUSLSA-N 0 3 311.454 4.082 20 0 DIADHN Cc1ccccc1NC(=O)CN1C[C@H](c2ccccc2C)C[C@H]1C ZINC000523441146 1044639176 /nfs/dbraw/zinc/63/91/76/1044639176.db2.gz DBLBVFIZCJBSIC-QZTJIDSGSA-N 0 3 322.452 4.120 20 0 DIADHN CC(C)[C@H](Cc1ccccc1)NCc1nnc(-c2ccccc2)o1 ZINC000886423590 1044642104 /nfs/dbraw/zinc/64/21/04/1044642104.db2.gz HXAUKRBMDKNDLZ-SFHVURJKSA-N 0 3 321.424 4.094 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@H](C)c1ccccc1SCC ZINC000568732995 1044646378 /nfs/dbraw/zinc/64/63/78/1044646378.db2.gz PELYJGQXEOXTQZ-LSDHHAIUSA-N 0 3 322.518 4.002 20 0 DIADHN C[C@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccn1 ZINC000886489172 1044647033 /nfs/dbraw/zinc/64/70/33/1044647033.db2.gz BJXXVKKCDNNJAJ-SGTLLEGYSA-N 0 3 309.388 4.430 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(OC(C)C)CC1 ZINC000568782205 1044648465 /nfs/dbraw/zinc/64/84/65/1044648465.db2.gz XXFNWLKWHHFECN-UHFFFAOYSA-N 0 3 305.462 4.111 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4cccc(Cl)c4[nH]c32)[nH]n1 ZINC000886530891 1044654327 /nfs/dbraw/zinc/65/43/27/1044654327.db2.gz SJGCKXQPWNJNQW-OAHLLOKOSA-N 0 3 314.820 4.020 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4cccc(Cl)c4[nH]c32)n[nH]1 ZINC000886530891 1044654341 /nfs/dbraw/zinc/65/43/41/1044654341.db2.gz SJGCKXQPWNJNQW-OAHLLOKOSA-N 0 3 314.820 4.020 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000568831575 1044654920 /nfs/dbraw/zinc/65/49/20/1044654920.db2.gz GNARHFUJGBRYCQ-LNSITVRQSA-N 0 3 318.852 4.007 20 0 DIADHN Fc1cncc(CN2CCC[C@@]3(CCCc4ccccc43)C2)c1 ZINC000568861523 1044656089 /nfs/dbraw/zinc/65/60/89/1044656089.db2.gz MPGPTWFFDSVJAL-FQEVSTJZSA-N 0 3 310.416 4.091 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@H](C)c2cscn2)cc1OC ZINC000568883767 1044656914 /nfs/dbraw/zinc/65/69/14/1044656914.db2.gz SYTWDPPPMQZKHT-JHJVBQTASA-N 0 3 320.458 4.003 20 0 DIADHN Fc1cc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c(F)cn1 ZINC000297059225 1044657700 /nfs/dbraw/zinc/65/77/00/1044657700.db2.gz YYRLKMMKRRCEDI-YEORSEQZSA-N 0 3 308.759 4.049 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c3cccc(Cl)c3[nH]c21)c1cn[nH]c1 ZINC000886557344 1044660432 /nfs/dbraw/zinc/66/04/32/1044660432.db2.gz YWPDCVZKZFPJKB-ZUZCIYMTSA-N 0 3 314.820 4.273 20 0 DIADHN CC[C@@H](CO)N[C@@H](c1cc(C)sc1C)c1cccc(Cl)c1 ZINC000568920699 1044660468 /nfs/dbraw/zinc/66/04/68/1044660468.db2.gz PWGDWJRRRJQEHT-DOTOQJQBSA-N 0 3 323.889 4.468 20 0 DIADHN Cc1cncc(CN[C@H]2CCCc3c4cc(F)ccc4[nH]c32)c1 ZINC000886558251 1044660839 /nfs/dbraw/zinc/66/08/39/1044660839.db2.gz FGDAQOBRHIWPGY-SFHVURJKSA-N 0 3 309.388 4.178 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H]1CCc2cccnc21 ZINC000886590409 1044665623 /nfs/dbraw/zinc/66/56/23/1044665623.db2.gz UWJUYAWEPMHICQ-NJAPINKUSA-N 0 3 316.832 4.088 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H]1CCc2cccnc21 ZINC000886590408 1044666153 /nfs/dbraw/zinc/66/61/53/1044666153.db2.gz UWJUYAWEPMHICQ-IWEFOYFVSA-N 0 3 316.832 4.088 20 0 DIADHN COC[C@H](NC[C@@H](OC)c1ccccc1)c1cccc(Cl)c1 ZINC000569030202 1044668239 /nfs/dbraw/zinc/66/82/39/1044668239.db2.gz FKJZXVABJRQKQR-ZWKOTPCHSA-N 0 3 319.832 4.005 20 0 DIADHN FC1(c2ccccn2)CCN(CCSc2ccccc2)CC1 ZINC000569036415 1044668705 /nfs/dbraw/zinc/66/87/05/1044668705.db2.gz CPXLQNNOWBGNAR-UHFFFAOYSA-N 0 3 316.445 4.135 20 0 DIADHN COC(=O)c1cncc([C@@H](C)N[C@H](C)c2cccc(C3CC3)c2)c1 ZINC000886616045 1044671266 /nfs/dbraw/zinc/67/12/66/1044671266.db2.gz TVHMSWCJRXFYJU-ZIAGYGMSSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1nc(CN[C@H](c2cccc(Cl)c2)C2CCCCC2)n[nH]1 ZINC000569075087 1044672262 /nfs/dbraw/zinc/67/22/62/1044672262.db2.gz CYDFMYQIXVNENL-KRWDZBQOSA-N 0 3 318.852 4.178 20 0 DIADHN CCn1cc(CN(C)CCCSc2ccccc2Cl)cn1 ZINC000523670432 1044673067 /nfs/dbraw/zinc/67/30/67/1044673067.db2.gz NXUZJPRNTMMWJB-UHFFFAOYSA-N 0 3 323.893 4.171 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1ccnc(F)c1 ZINC000886646542 1044674787 /nfs/dbraw/zinc/67/47/87/1044674787.db2.gz MGVJUPVDLWUWBN-INIZCTEOSA-N 0 3 313.351 4.008 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(C)(c2nc(C)no2)CC1 ZINC000364697543 1044675268 /nfs/dbraw/zinc/67/52/68/1044675268.db2.gz OOHVGMBNIPRUKF-INIZCTEOSA-N 0 3 317.408 4.022 20 0 DIADHN Clc1ccc(C2CC(NCc3cc(C4CC4)no3)C2)cc1 ZINC000886684012 1044679716 /nfs/dbraw/zinc/67/97/16/1044679716.db2.gz CANXLZXPGSIQQW-UHFFFAOYSA-N 0 3 302.805 4.241 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1cc(-c2ccco2)on1 ZINC000523788607 1044685379 /nfs/dbraw/zinc/68/53/79/1044685379.db2.gz DTDKPOMUVPZIPC-GDBMZVCRSA-N 0 3 308.381 4.313 20 0 DIADHN CCCOc1cccc(CN[C@H](CCCO)c2ccccc2)c1 ZINC000077466788 1044699199 /nfs/dbraw/zinc/69/91/99/1044699199.db2.gz ZNZFUOTVKMUMRV-HXUWFJFHSA-N 0 3 313.441 4.079 20 0 DIADHN Cc1ccccc1[C@H](C)CC(=O)Nc1ccccc1CN(C)C ZINC000569644044 1044702093 /nfs/dbraw/zinc/70/20/93/1044702093.db2.gz UNTYARQDLPRLMG-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN CCCOc1ccc(CN2CCC(OC3CCC3)CC2)cc1 ZINC000569794347 1044711467 /nfs/dbraw/zinc/71/14/67/1044711467.db2.gz RQDCHXGSCATRFZ-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN CO[C@H]1CCCN(Cc2nc(-c3ccc(C)cc3)cs2)CC1 ZINC000367212716 1044713043 /nfs/dbraw/zinc/71/30/43/1044713043.db2.gz SDORCFWYUMUSGR-INIZCTEOSA-N 0 3 316.470 4.119 20 0 DIADHN CCc1nc([C@H](C)N[C@H](CC)c2cccc3ccccc32)n[nH]1 ZINC000886920524 1044720987 /nfs/dbraw/zinc/72/09/87/1044720987.db2.gz AEPFBFVJGBVJCP-SUMWQHHRSA-N 0 3 308.429 4.322 20 0 DIADHN Clc1ccncc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001137232183 1044725905 /nfs/dbraw/zinc/72/59/05/1044725905.db2.gz GZMFNWLOBONYDX-UHFFFAOYSA-N 0 3 310.828 4.296 20 0 DIADHN CC1(C)CN([C@@H]2CCCc3ccc(F)cc32)[C@H]1[C@@H]1CCCO1 ZINC000570087995 1044730423 /nfs/dbraw/zinc/73/04/23/1044730423.db2.gz KXARGLAXFBSFQW-SQNIBIBYSA-N 0 3 303.421 4.093 20 0 DIADHN COc1ccccc1SC[C@H](C)CN[C@H](C)c1nccs1 ZINC000089515596 1044739452 /nfs/dbraw/zinc/73/94/52/1044739452.db2.gz XOKYRWSOGUVQEF-CHWSQXEVSA-N 0 3 322.499 4.231 20 0 DIADHN c1csc([C@H](NCc2cnc3n2CCCC3)C2CCCC2)c1 ZINC000367436884 1044741649 /nfs/dbraw/zinc/74/16/49/1044741649.db2.gz HPMFEMFAHPZINX-GOSISDBHSA-N 0 3 315.486 4.302 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(OCC(F)F)c2)cc1 ZINC000089543648 1044742425 /nfs/dbraw/zinc/74/24/25/1044742425.db2.gz VLVPIBVVRCHGED-ZDUSSCGKSA-N 0 3 321.367 4.190 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2cc(C)cc(C)c2)c2ccccc21 ZINC000367572104 1044747500 /nfs/dbraw/zinc/74/75/00/1044747500.db2.gz ZHVVYLYQAXDADS-OXJNMPFZSA-N 0 3 322.452 4.452 20 0 DIADHN COC1(CN(Cc2cccnc2)Cc2ccccc2C)CCCC1 ZINC000570319801 1044748604 /nfs/dbraw/zinc/74/86/04/1044748604.db2.gz XEIVGIUZECGMDL-UHFFFAOYSA-N 0 3 324.468 4.351 20 0 DIADHN C[C@@H](NC1(C2CC2)CCC1)c1nnc(-c2cccc(Cl)c2)o1 ZINC000887145314 1044753705 /nfs/dbraw/zinc/75/37/05/1044753705.db2.gz XVTXBONWNUAXRH-LLVKDONJSA-N 0 3 317.820 4.373 20 0 DIADHN C[C@H](NC1(C2CC2)CCC1)c1nnc(-c2cccc(Cl)c2)o1 ZINC000887145315 1044753882 /nfs/dbraw/zinc/75/38/82/1044753882.db2.gz XVTXBONWNUAXRH-NSHDSACASA-N 0 3 317.820 4.373 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC(n2cc(Cl)cn2)CC1 ZINC000367856855 1044755810 /nfs/dbraw/zinc/75/58/10/1044755810.db2.gz UQFFSXXNAFUQTO-LBPRGKRZSA-N 0 3 307.800 4.074 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cnccc2Cl)cc1 ZINC001137240889 1044758957 /nfs/dbraw/zinc/75/89/57/1044758957.db2.gz XYZQLGXKNNGSFK-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000445574629 1044764971 /nfs/dbraw/zinc/76/49/71/1044764971.db2.gz NOENXBQTAFBELD-SQWYCQTGSA-N 0 3 314.256 4.147 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC000368158657 1044768077 /nfs/dbraw/zinc/76/80/77/1044768077.db2.gz INOUJRYVLNBNIN-OALUTQOASA-N 0 3 309.457 4.427 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C/C=C/c1ccc(F)c(F)c1 ZINC000570603776 1044769237 /nfs/dbraw/zinc/76/92/37/1044769237.db2.gz HJMRGVOBTXXUQE-MUBLQREKSA-N 0 3 303.352 4.377 20 0 DIADHN Clc1ccsc1CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000570606957 1044770042 /nfs/dbraw/zinc/77/00/42/1044770042.db2.gz JPVWKJGPTXQRRG-CQSZACIVSA-N 0 3 318.873 4.039 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H]3CCCSC3)cs2)cc1 ZINC000570654868 1044772273 /nfs/dbraw/zinc/77/22/73/1044772273.db2.gz AISZVSCRZHVVTI-CQSZACIVSA-N 0 3 318.511 4.351 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cscc2C(F)(F)F)C1 ZINC000356440608 1044773942 /nfs/dbraw/zinc/77/39/42/1044773942.db2.gz QCZCOBBQXIWULS-LLVKDONJSA-N 0 3 307.381 4.015 20 0 DIADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1Cl ZINC000368423179 1044775777 /nfs/dbraw/zinc/77/57/77/1044775777.db2.gz BJIBXELQNODDNY-ZANVPECISA-N 0 3 310.228 4.445 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2coc(-c3ccc(C)cc3)n2)C1 ZINC000156192105 1044777230 /nfs/dbraw/zinc/77/72/30/1044777230.db2.gz UNJVGFGLJYBYLQ-DOTOQJQBSA-N 0 3 316.470 4.414 20 0 DIADHN Cc1cscc1CNCc1c[nH]nc1-c1c(F)cccc1F ZINC000368471448 1044778517 /nfs/dbraw/zinc/77/85/17/1044778517.db2.gz RLXVUECLDZPUDJ-UHFFFAOYSA-N 0 3 319.380 4.015 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN([C@@H]2CCCc3ccccc32)C1 ZINC000524251472 1044779560 /nfs/dbraw/zinc/77/95/60/1044779560.db2.gz DPCLAEGJJHKTTN-GOEBONIOSA-N 0 3 313.363 4.107 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@H](C)c2ccc(F)c(F)c2)cc1 ZINC000124935022 1044796391 /nfs/dbraw/zinc/79/63/91/1044796391.db2.gz TUGLNPCOIWMSCD-GFCCVEGCSA-N 0 3 318.367 4.116 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000369707400 1044816102 /nfs/dbraw/zinc/81/61/02/1044816102.db2.gz SKPHERDORIRQEF-XNRPHZJLSA-N 0 3 301.821 4.035 20 0 DIADHN Cc1n[nH]cc1CN[C@H]1CCCc2nc(-c3ccccc3)sc21 ZINC000263726955 1044823689 /nfs/dbraw/zinc/82/36/89/1044823689.db2.gz XHYIAFQTINXMSR-HNNXBMFYSA-N 0 3 324.453 4.009 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC2(C1)CCCCC2 ZINC000445805941 1044823886 /nfs/dbraw/zinc/82/38/86/1044823886.db2.gz QALUHPOFGOKLIR-UHFFFAOYSA-N 0 3 309.457 4.088 20 0 DIADHN CCOCC(C)(C)CNCc1csc(-c2ccc(C)cc2)n1 ZINC000571718974 1044824086 /nfs/dbraw/zinc/82/40/86/1044824086.db2.gz VSXVBGDIRAXLPE-UHFFFAOYSA-N 0 3 318.486 4.271 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC=C(c3ccccn3)C1)CCC2 ZINC000571843360 1044829396 /nfs/dbraw/zinc/82/93/96/1044829396.db2.gz IQNNRXCCOCKEQW-HXUWFJFHSA-N 0 3 308.400 4.387 20 0 DIADHN CCc1nc(CNCCCc2c(F)cccc2Cl)cs1 ZINC000571969595 1044836258 /nfs/dbraw/zinc/83/62/58/1044836258.db2.gz DAGABNUQBSVKGR-UHFFFAOYSA-N 0 3 312.841 4.220 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C1CCC(C)(C)CC1)c1ccco1 ZINC000571988307 1044837167 /nfs/dbraw/zinc/83/71/67/1044837167.db2.gz AIZYDODJKFQXDV-ZBFHGGJFSA-N 0 3 320.477 4.041 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1ccccc1OC(F)F ZINC000445878855 1044839574 /nfs/dbraw/zinc/83/95/74/1044839574.db2.gz WSJJAXPPUZYSIE-LLVKDONJSA-N 0 3 311.294 4.355 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCCCCC(C)(C)C)c1 ZINC000524540231 1044862895 /nfs/dbraw/zinc/86/28/95/1044862895.db2.gz ZQZAWNQGXAELTP-UHFFFAOYSA-N 0 3 305.466 4.086 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H]2c2ccc(F)cc2)s1 ZINC000371288694 1044864870 /nfs/dbraw/zinc/86/48/70/1044864870.db2.gz DHIJZZUGQFKCPR-HUUCEWRRSA-N 0 3 319.449 4.227 20 0 DIADHN Clc1ccsc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000572677394 1044868847 /nfs/dbraw/zinc/86/88/47/1044868847.db2.gz HEEQVWYPIGSKBD-UKRRQHHQSA-N 0 3 307.846 4.411 20 0 DIADHN CCn1nc(C)c(CNCc2cc(C)cc3c(C)c(C)[nH]c32)c1C ZINC000264367526 1044872272 /nfs/dbraw/zinc/87/22/72/1044872272.db2.gz KUSVTHMZRHGFHF-UHFFFAOYSA-N 0 3 324.472 4.216 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1cnc(C2CCCC2)s1 ZINC000337289199 1044881152 /nfs/dbraw/zinc/88/11/52/1044881152.db2.gz LTJGVCKRUDEULY-CHWSQXEVSA-N 0 3 316.417 4.051 20 0 DIADHN CC(C)n1ccc(CNCC(c2ccccc2)c2ccccc2)n1 ZINC000572864896 1044881771 /nfs/dbraw/zinc/88/17/71/1044881771.db2.gz OAVXKWALBVHSPH-UHFFFAOYSA-N 0 3 319.452 4.386 20 0 DIADHN CCCCN(CC(=O)N1CCCC[C@H]1C)[C@H](C)c1ccccc1 ZINC000572981516 1044888262 /nfs/dbraw/zinc/88/82/62/1044888262.db2.gz MMEWUVPVPVEUJG-QZTJIDSGSA-N 0 3 316.489 4.251 20 0 DIADHN Fc1ccc(CNCc2ccc(-c3ccncc3)cc2)cc1F ZINC000371762623 1044888826 /nfs/dbraw/zinc/88/88/26/1044888826.db2.gz MNBQVBZQKSGOLC-UHFFFAOYSA-N 0 3 310.347 4.317 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)cs1 ZINC000283002587 1044890019 /nfs/dbraw/zinc/89/00/19/1044890019.db2.gz GJJXAXBAAFENEO-PYTWLRIVSA-N 0 3 316.470 4.132 20 0 DIADHN CC[C@H]1CCN([C@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000371895850 1044895356 /nfs/dbraw/zinc/89/53/56/1044895356.db2.gz IZNYOSYLILBLMT-NEPJUHHUSA-N 0 3 305.809 4.183 20 0 DIADHN Cc1nc2ccc(Nc3ccc(Br)nc3C)cc2[nH]1 ZINC001213071299 1044898681 /nfs/dbraw/zinc/89/86/81/1044898681.db2.gz KJUTYGRXMIJLQR-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN CCSCCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000337441244 1044901520 /nfs/dbraw/zinc/90/15/20/1044901520.db2.gz YLEPTXJRSDISPQ-QGZVFWFLSA-N 0 3 304.434 4.043 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000573289333 1044901631 /nfs/dbraw/zinc/90/16/31/1044901631.db2.gz BDUWLKPWHFAPCR-LXIYXOSZSA-N 0 3 305.393 4.462 20 0 DIADHN CCCN(CCCc1cncn1C)Cc1c(F)cccc1Cl ZINC000573383094 1044906519 /nfs/dbraw/zinc/90/65/19/1044906519.db2.gz HESMABPYQHQQAV-UHFFFAOYSA-N 0 3 323.843 4.057 20 0 DIADHN CCOc1cc(CN[C@@H]2CCc3c2cccc3Cl)ccc1O ZINC000573468331 1044909436 /nfs/dbraw/zinc/90/94/36/1044909436.db2.gz RHTHPJBJPVXBHC-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000524712771 1044910148 /nfs/dbraw/zinc/91/01/48/1044910148.db2.gz AAOUATNYUICGJQ-QGZVFWFLSA-N 0 3 316.489 4.478 20 0 DIADHN CCCCOC1CCN(Cc2ccccc2OC(C)C)CC1 ZINC000524751515 1044910687 /nfs/dbraw/zinc/91/06/87/1044910687.db2.gz MLUITLUSRSMKSX-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN CCCN(Cc1csc(C)n1)[C@H]1CCc2ccccc2C1 ZINC000524753918 1044914896 /nfs/dbraw/zinc/91/48/96/1044914896.db2.gz IRBOZSUVEHXHOY-SFHVURJKSA-N 0 3 300.471 4.221 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cc(F)ccc1F ZINC000337545036 1044915628 /nfs/dbraw/zinc/91/56/28/1044915628.db2.gz KZLYNTOULDYEEQ-BFHYXJOUSA-N 0 3 305.315 4.166 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000524756316 1044917518 /nfs/dbraw/zinc/91/75/18/1044917518.db2.gz XQJABSOMXASSGI-HUUCEWRRSA-N 0 3 301.352 4.181 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@H]3C(C)(C)C)o2)cc1 ZINC000524755843 1044917598 /nfs/dbraw/zinc/91/75/98/1044917598.db2.gz IXBAWKBGTPGBMN-KRWDZBQOSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](c2ccc(F)cc2)C(C)C)c(C)n1 ZINC000337551960 1044918271 /nfs/dbraw/zinc/91/82/71/1044918271.db2.gz PAFUQASSTFQSDE-ACJLOTCBSA-N 0 3 301.409 4.280 20 0 DIADHN CC(C)CN(Cc1ccc(F)cn1)[C@@H]1CCCc2cccnc21 ZINC000372749242 1044921425 /nfs/dbraw/zinc/92/14/25/1044921425.db2.gz LURZNLRUVMSCKY-GOSISDBHSA-N 0 3 313.420 4.151 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)n1 ZINC000573762551 1044922295 /nfs/dbraw/zinc/92/22/95/1044922295.db2.gz AWLIZWNUXFWUDE-OAHLLOKOSA-N 0 3 323.465 4.379 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cccc(NC(C)=O)c1 ZINC000337623369 1044928569 /nfs/dbraw/zinc/92/85/69/1044928569.db2.gz IDHBTYWYGOSUGR-UHFFFAOYSA-N 0 3 316.832 4.321 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)c1ccc(F)c(F)c1)CCC2 ZINC000133292460 1044933430 /nfs/dbraw/zinc/93/34/30/1044933430.db2.gz WBOKONTXMZQPQZ-OTYXRUKQSA-N 0 3 308.397 4.458 20 0 DIADHN COc1ccc(CN2CCC(n3c(C)ccc3C)CC2)cc1F ZINC000337671918 1044937248 /nfs/dbraw/zinc/93/72/48/1044937248.db2.gz KBOOTVRCPAVLNC-UHFFFAOYSA-N 0 3 316.420 4.090 20 0 DIADHN CC(C)(C)[C@@H](CCC1CCCC1)NCc1nnc2n1CCCC2 ZINC000574117205 1044941029 /nfs/dbraw/zinc/94/10/29/1044941029.db2.gz LUQBUBXRMWUILA-MRXNPFEDSA-N 0 3 318.509 4.089 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000574365821 1044949950 /nfs/dbraw/zinc/94/99/50/1044949950.db2.gz ICPCHOTXHWEDCK-CQSZACIVSA-N 0 3 307.397 4.395 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N(C)CCCN(C)Cc1ccco1 ZINC000574409117 1044952819 /nfs/dbraw/zinc/95/28/19/1044952819.db2.gz FQUSOMVXAWEZPW-CQSZACIVSA-N 0 3 322.399 4.073 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)cs1 ZINC000373244162 1044956178 /nfs/dbraw/zinc/95/61/78/1044956178.db2.gz PWPATBPXMXPCFN-DDHJSBNISA-N 0 3 308.491 4.121 20 0 DIADHN CC[N@H+](Cc1ncccc1[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000574556537 1044959083 /nfs/dbraw/zinc/95/90/83/1044959083.db2.gz AWYOJTIFXQEDSU-LBPRGKRZSA-N 0 3 324.346 4.389 20 0 DIADHN CC[N@@H+](Cc1ncccc1[O-])[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000574556537 1044959102 /nfs/dbraw/zinc/95/91/02/1044959102.db2.gz AWYOJTIFXQEDSU-LBPRGKRZSA-N 0 3 324.346 4.389 20 0 DIADHN C[C@H]1CN(CCCOc2cccc(Cl)c2)CCC1(F)F ZINC000574559757 1044960253 /nfs/dbraw/zinc/96/02/53/1044960253.db2.gz LRFJONNUYLIISW-LBPRGKRZSA-N 0 3 303.780 4.086 20 0 DIADHN CC[C@]1(CO)CCCN(Cc2ccc(-c3ccccc3F)o2)C1 ZINC000428445577 1044973912 /nfs/dbraw/zinc/97/39/12/1044973912.db2.gz GPTPAPZXIKCZNL-IBGZPJMESA-N 0 3 317.404 4.070 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc(C)cc2C)cc1Cl ZINC000575004943 1044981374 /nfs/dbraw/zinc/98/13/74/1044981374.db2.gz MDXBHYDWNIODKF-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000925183465 1044984168 /nfs/dbraw/zinc/98/41/68/1044984168.db2.gz IVWCFHHCPHEGNO-QWRGUYRKSA-N 0 3 308.809 4.063 20 0 DIADHN CCN(Cc1ccnc(N)c1)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000411201525 1044985221 /nfs/dbraw/zinc/98/52/21/1044985221.db2.gz RGEZTUIFIVMLMU-GFCCVEGCSA-N 0 3 323.362 4.266 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(CC(C)C)cc2)C(C)C)n1C ZINC000575138603 1044988312 /nfs/dbraw/zinc/98/83/12/1044988312.db2.gz GZJAKHKEOQFRCH-FQEVSTJZSA-N 0 3 313.489 4.414 20 0 DIADHN FC(F)(F)c1ccccc1CN(CCOCC1CC1)C1CC1 ZINC000525119604 1044989056 /nfs/dbraw/zinc/98/90/56/1044989056.db2.gz SRJGEDJGBNDMNM-UHFFFAOYSA-N 0 3 313.363 4.096 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CC[C@H](c2ccccc2)[C@H](C)C1 ZINC000525125558 1044991073 /nfs/dbraw/zinc/99/10/73/1044991073.db2.gz FWCDGABIAHWISA-UWVAXJGDSA-N 0 3 322.452 4.139 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H](C(C)C)c3ccccc32)cc1O ZINC000575310700 1044992767 /nfs/dbraw/zinc/99/27/67/1044992767.db2.gz LWVAAMJLQYOUGI-ZWKOTPCHSA-N 0 3 311.425 4.375 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@H]2c2cccc(C)c2C)o1 ZINC000525123209 1044993153 /nfs/dbraw/zinc/99/31/53/1044993153.db2.gz YTRFWSFYFOYDQC-KRWDZBQOSA-N 0 3 313.397 4.020 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1ncc(-c2ccccc2F)o1 ZINC000525123794 1044993330 /nfs/dbraw/zinc/99/33/30/1044993330.db2.gz ANOAEVPJRWYKPS-UONOGXRCSA-N 0 3 302.393 4.491 20 0 DIADHN CC1(O)CCN([C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411321071 1044994426 /nfs/dbraw/zinc/99/44/26/1044994426.db2.gz UCDPROVXLXAJCB-GOSISDBHSA-N 0 3 315.844 4.276 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCCc2c(OC)cccc21 ZINC000575741997 1045004577 /nfs/dbraw/zinc/00/45/77/1045004577.db2.gz GFQRGROIEYKQDF-AUUYWEPGSA-N 0 3 310.441 4.381 20 0 DIADHN CC[C@@H](NCc1csc(C(=O)OC)c1)c1ccc(C)cc1 ZINC000411436121 1045006186 /nfs/dbraw/zinc/00/61/86/1045006186.db2.gz GNYBSBTZFJBDQA-OAHLLOKOSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1F)Oc1cccc(Cl)c1 ZINC000525181996 1045008373 /nfs/dbraw/zinc/00/83/73/1045008373.db2.gz OLGRNWSXALGSAS-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2cccnc2)CS1 ZINC000373802548 1045010158 /nfs/dbraw/zinc/01/01/58/1045010158.db2.gz ZLTLPMZAWRHZKG-CEXWTWQISA-N 0 3 312.482 4.291 20 0 DIADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1ccccc1C(F)(F)F ZINC000525194951 1045010572 /nfs/dbraw/zinc/01/05/72/1045010572.db2.gz RZSOMWGJBFLSBN-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc3ccc(C)cc3c2)cc1 ZINC000411462033 1045011237 /nfs/dbraw/zinc/01/12/37/1045011237.db2.gz QQTCOEWNHIUICQ-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](c2ccc(CC(C)C)cc2)C2CC2)n[nH]1 ZINC000411487677 1045012375 /nfs/dbraw/zinc/01/23/75/1045012375.db2.gz JRPYMGLPKKXCKL-UGSOOPFHSA-N 0 3 312.461 4.114 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](c3cccnc3)C3CC3)cc2c1 ZINC000411507589 1045012424 /nfs/dbraw/zinc/01/24/24/1045012424.db2.gz RZFXJFQAIBESBR-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(N3CCCC3)nc2)s1 ZINC000151055451 1045013610 /nfs/dbraw/zinc/01/36/10/1045013610.db2.gz JDISAFKUILUZLR-AWEZNQCLSA-N 0 3 315.486 4.157 20 0 DIADHN COCC1(CCN[C@@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000575868269 1045013655 /nfs/dbraw/zinc/01/36/55/1045013655.db2.gz ZGPPNRWDQLDVTB-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](NCc1ccc(N2CCCCC2=O)cc1)c1cccs1 ZINC000151042518 1045014772 /nfs/dbraw/zinc/01/47/72/1045014772.db2.gz OHUYYXACACUODH-AWEZNQCLSA-N 0 3 314.454 4.116 20 0 DIADHN CCOc1ccc([C@@H](CC)NCc2cccnc2)cc1OCC ZINC000151100957 1045016484 /nfs/dbraw/zinc/01/64/84/1045016484.db2.gz LDGSEXOJJUERFS-QGZVFWFLSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1sc([C@H](C)NCC[C@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000411539313 1045017508 /nfs/dbraw/zinc/01/75/08/1045017508.db2.gz MBLLRGPSKZTELX-QWRGUYRKSA-N 0 3 324.877 4.193 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1C/C=C\c1ccc(F)c(F)c1 ZINC000575906816 1045018177 /nfs/dbraw/zinc/01/81/77/1045018177.db2.gz OOPRQGNXMNDSRW-XBNAYTBKSA-N 0 3 302.368 4.154 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc(F)c(COC)c2)s1 ZINC000151174908 1045018599 /nfs/dbraw/zinc/01/85/99/1045018599.db2.gz FTHWGRRPDADKBM-NSHDSACASA-N 0 3 322.449 4.150 20 0 DIADHN Cc1sc([C@@H](C)NCC[C@@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000411539311 1045018987 /nfs/dbraw/zinc/01/89/87/1045018987.db2.gz MBLLRGPSKZTELX-GHMZBOCLSA-N 0 3 324.877 4.193 20 0 DIADHN Clc1cccnc1C1CCN([C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000411634502 1045019772 /nfs/dbraw/zinc/01/97/72/1045019772.db2.gz UXWRAWYNQKWZSQ-SJLPKXTDSA-N 0 3 312.844 4.471 20 0 DIADHN Clc1cccc(C2=CCN(CCc3cncs3)CC2)c1 ZINC000411659481 1045022128 /nfs/dbraw/zinc/02/21/28/1045022128.db2.gz PIHPYRRFGFGTOZ-UHFFFAOYSA-N 0 3 304.846 4.128 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CCCCC1)c1ncc[nH]1 ZINC000576010169 1045026227 /nfs/dbraw/zinc/02/62/27/1045026227.db2.gz UJFAXTRKUPLKRC-CQSZACIVSA-N 0 3 301.409 4.102 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2cccnc2-n2ccnc2)cc1 ZINC000151473010 1045026440 /nfs/dbraw/zinc/02/64/40/1045026440.db2.gz AXJRWGCKKYPQGN-MRXNPFEDSA-N 0 3 320.440 4.242 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2cccnc2-n2ccnc2)cc1 ZINC000151473085 1045026694 /nfs/dbraw/zinc/02/66/94/1045026694.db2.gz AXJRWGCKKYPQGN-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@@]4(C)[C@@H](C3)C4(F)F)cc2c1 ZINC000411742475 1045028716 /nfs/dbraw/zinc/02/87/16/1045028716.db2.gz DNEOEYXPZWIMMI-SJORKVTESA-N 0 3 302.368 4.020 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000151681087 1045029737 /nfs/dbraw/zinc/02/97/37/1045029737.db2.gz KYNVPHZFRXLQNM-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN CCc1ccc(-c2ccc(CNCCc3c(C)noc3C)o2)cc1 ZINC000525290075 1045030408 /nfs/dbraw/zinc/03/04/08/1045030408.db2.gz YOOJNDCUDCZMOA-UHFFFAOYSA-N 0 3 324.424 4.446 20 0 DIADHN Clc1ccc(/C=C/CN2CCC(c3ccncn3)CC2)cc1 ZINC000411826391 1045030762 /nfs/dbraw/zinc/03/07/62/1045030762.db2.gz JXRAKLCFITUFLY-OWOJBTEDSA-N 0 3 313.832 4.023 20 0 DIADHN COc1ncccc1CN[C@@H](c1ccc(C)cc1)C1CCCC1 ZINC000446756415 1045031096 /nfs/dbraw/zinc/03/10/96/1045031096.db2.gz IAJZPTFDMGQWRS-LJQANCHMSA-N 0 3 310.441 4.420 20 0 DIADHN Fc1ccc(CCN(Cc2ccccn2)C2CC2)c(Cl)c1 ZINC000576080286 1045031692 /nfs/dbraw/zinc/03/16/92/1045031692.db2.gz WVTDLCXXWOSDEG-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN COc1ncccc1CN[C@H](c1ccc(C)cc1)C1CCCC1 ZINC000446756414 1045031703 /nfs/dbraw/zinc/03/17/03/1045031703.db2.gz IAJZPTFDMGQWRS-IBGZPJMESA-N 0 3 310.441 4.420 20 0 DIADHN c1sc2ccccc2c1CN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000576076661 1045032736 /nfs/dbraw/zinc/03/27/36/1045032736.db2.gz HQYWLZYFOOTICU-FUHWJXTLSA-N 0 3 313.466 4.435 20 0 DIADHN CC[C@H]1CCN([C@H](C)c2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000446737680 1045033876 /nfs/dbraw/zinc/03/38/76/1045033876.db2.gz YNOVGQAUMAMBIS-NEPJUHHUSA-N 0 3 305.809 4.183 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)c(Cl)c1)c1ccccc1 ZINC000411890332 1045035506 /nfs/dbraw/zinc/03/55/06/1045035506.db2.gz JCSGOMQBOUYWON-QGZVFWFLSA-N 0 3 317.816 4.368 20 0 DIADHN Cc1cccc([C@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)n1 ZINC000576124356 1045035639 /nfs/dbraw/zinc/03/56/39/1045035639.db2.gz PGDGYTWLXUDZES-FUHWJXTLSA-N 0 3 300.446 4.019 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nc(-c2ccsc2)no1 ZINC000525328820 1045038866 /nfs/dbraw/zinc/03/88/66/1045038866.db2.gz NKVHGCKUNSZLTF-TZMCWYRMSA-N 0 3 305.447 4.199 20 0 DIADHN CSC(C)(C)CN[C@H](c1ccc(C)cc1)c1ccccn1 ZINC000925186888 1045040013 /nfs/dbraw/zinc/04/00/13/1045040013.db2.gz IOEDJZBOUXIYFG-QGZVFWFLSA-N 0 3 300.471 4.211 20 0 DIADHN O[C@@H]1C[C@@H](c2ccccc2)N(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000411954167 1045040725 /nfs/dbraw/zinc/04/07/25/1045040725.db2.gz USTZFTJEIUEAQR-SJORKVTESA-N 0 3 321.342 4.013 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc([S@](C)=O)cc1 ZINC000152453118 1045041835 /nfs/dbraw/zinc/04/18/35/1045041835.db2.gz YYOFQFAUWXJAQG-OYMPBJRDSA-N 0 3 321.873 4.489 20 0 DIADHN CC(C)n1cc(CN(C)[C@@H]2CCCC[C@H]2c2ccccc2)nn1 ZINC000412004065 1045043147 /nfs/dbraw/zinc/04/31/47/1045043147.db2.gz BWRCIPQXWCYAKT-RBUKOAKNSA-N 0 3 312.461 4.017 20 0 DIADHN C=Cn1cc(CN[C@H]2C[C@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000446810113 1045043826 /nfs/dbraw/zinc/04/38/26/1045043826.db2.gz VUJLESGJHMHKEW-QAPCUYQASA-N 0 3 307.441 4.373 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000152556537 1045043990 /nfs/dbraw/zinc/04/39/90/1045043990.db2.gz FWFUSKIUPZNVBM-OYMPBJRDSA-N 0 3 321.873 4.489 20 0 DIADHN C=Cn1cc(CN[C@@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000446810112 1045044294 /nfs/dbraw/zinc/04/42/94/1045044294.db2.gz VUJLESGJHMHKEW-MAUKXSAKSA-N 0 3 307.441 4.373 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000152712196 1045046058 /nfs/dbraw/zinc/04/60/58/1045046058.db2.gz KDWFOJQFWUNIEX-DOQJBMMISA-N 0 3 315.482 4.398 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(OC)cc2)cc1F ZINC000152805170 1045048210 /nfs/dbraw/zinc/04/82/10/1045048210.db2.gz XGXBIFMFVXYAHO-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CCC3(CCO3)CC2)cc1 ZINC000374326333 1045048569 /nfs/dbraw/zinc/04/85/69/1045048569.db2.gz OMLGKVFHAYVTIV-UHFFFAOYSA-N 0 3 317.376 4.053 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccnc(N2CCCC2)c1 ZINC000152805421 1045048845 /nfs/dbraw/zinc/04/88/45/1045048845.db2.gz SHTNLZCRTFVFOJ-APWZRJJASA-N 0 3 321.468 4.328 20 0 DIADHN CCOC1CC(N[C@@H](CC(F)(F)F)c2ccccc2C)C1 ZINC000446832497 1045050319 /nfs/dbraw/zinc/05/03/19/1045050319.db2.gz BPVMGZUUANIUCZ-PIMMBPRGSA-N 0 3 301.352 4.146 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCC[C@@H]2C(F)(F)F)c(F)cn1 ZINC000576345792 1045053486 /nfs/dbraw/zinc/05/34/86/1045053486.db2.gz OSQMSCBLRVQEGN-XHVZSJERSA-N 0 3 320.330 4.001 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1 ZINC000576427297 1045056685 /nfs/dbraw/zinc/05/66/85/1045056685.db2.gz QGIWMDYBRJNFPC-HOTGVXAUSA-N 0 3 303.352 4.105 20 0 DIADHN CC[C@H](NCc1ccc2nc[nH]c2c1)c1cc(F)ccc1F ZINC000525402070 1045061077 /nfs/dbraw/zinc/06/10/77/1045061077.db2.gz SXOACQJQJLJTIN-HNNXBMFYSA-N 0 3 301.340 4.082 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1C1CC1)c1nc(-c2cccc(F)c2)no1 ZINC000576522757 1045061897 /nfs/dbraw/zinc/06/18/97/1045061897.db2.gz IEOJGWQGORJONH-XZJROXQQSA-N 0 3 315.392 4.105 20 0 DIADHN CC[C@H]1CC[C@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000412230438 1045066375 /nfs/dbraw/zinc/06/63/75/1045066375.db2.gz ONNOFGZYRYFQHZ-LPHOPBHVSA-N 0 3 314.473 4.076 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)C2CC2)c(C)c1OC ZINC000576623124 1045067877 /nfs/dbraw/zinc/06/78/77/1045067877.db2.gz ZOEMXYOBINZZSG-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc(-c2ccc(Cl)cc2)no1 ZINC000576718383 1045073857 /nfs/dbraw/zinc/07/38/57/1045073857.db2.gz RBOKFWRQQLIQMF-DWZYQQQCSA-N 0 3 317.820 4.229 20 0 DIADHN Brc1ccsc1CN1CC[C@H](c2ccco2)C1 ZINC000600687416 1045128656 /nfs/dbraw/zinc/12/86/56/1045128656.db2.gz LVYPZQLCFSILGJ-JTQLQIEISA-N 0 3 312.232 4.093 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1ccc(F)c(C2CC2)c1 ZINC000487526378 1045077040 /nfs/dbraw/zinc/07/70/40/1045077040.db2.gz ODEIACOURNROIK-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN FC(F)COc1ccc(CN2CC[C@H](CC(F)(F)F)C2)cc1 ZINC000446977387 1045078989 /nfs/dbraw/zinc/07/89/89/1045078989.db2.gz NPODQMFMYNKFNF-GFCCVEGCSA-N 0 3 323.305 4.105 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cnc(C(C)(C)C)s1 ZINC000576907444 1045087290 /nfs/dbraw/zinc/08/72/90/1045087290.db2.gz GLVDGUFGQIAFJC-UHFFFAOYSA-N 0 3 317.502 4.290 20 0 DIADHN C[C@H]1CCC[C@H](CC(=O)Nc2ccc(CN(C)C)cc2F)C1 ZINC000412559138 1045091757 /nfs/dbraw/zinc/09/17/57/1045091757.db2.gz MOQIDOJIDMFRQJ-KBPBESRZSA-N 0 3 306.425 4.042 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC(Oc2ccncc2C)CC1 ZINC000447088872 1045092169 /nfs/dbraw/zinc/09/21/69/1045092169.db2.gz UQOXPHVONUZFRP-SAPNQHFASA-N 0 3 322.452 4.337 20 0 DIADHN Cc1ccc2cc(CN(C)Cc3cc(C(C)(C)C)[nH]n3)ccc2n1 ZINC000447066114 1045093250 /nfs/dbraw/zinc/09/32/50/1045093250.db2.gz DLTKKCHOENFUCO-UHFFFAOYSA-N 0 3 322.456 4.196 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)[C@H]1[C@@H]1CCCO1 ZINC000577109978 1045100210 /nfs/dbraw/zinc/10/02/10/1045100210.db2.gz HTPTZZNNNNGNPC-PMACEKPBSA-N 0 3 322.452 4.138 20 0 DIADHN COc1cc(CN2CCC[C@]3(C2)OCc2ccccc23)ccc1C ZINC000577142625 1045102975 /nfs/dbraw/zinc/10/29/75/1045102975.db2.gz RUFNQWYAKZADDT-OAQYLSRUSA-N 0 3 323.436 4.025 20 0 DIADHN CCN(Cc1cccc(OC(F)F)c1)[C@H](C)c1cccnc1 ZINC000577248290 1045110846 /nfs/dbraw/zinc/11/08/46/1045110846.db2.gz UPSBCFJUSDHFRV-CYBMUJFWSA-N 0 3 306.356 4.266 20 0 DIADHN Cc1ccc(F)cc1CN1CCC([C@H](O)c2ccccc2)CC1 ZINC000778995308 1045111180 /nfs/dbraw/zinc/11/11/80/1045111180.db2.gz UGMOSCPESWCBMR-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN1Cc2ccccc2C2(CC2)C1 ZINC000361138407 1045116527 /nfs/dbraw/zinc/11/65/27/1045116527.db2.gz ACKGAXNGLMATQJ-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN Cc1ccc(C)c([C@H](NC[C@@H](O)c2ccco2)c2ccccc2)c1 ZINC000779006900 1045119272 /nfs/dbraw/zinc/11/92/72/1045119272.db2.gz QWYQBCKOHAGXNI-TZIWHRDSSA-N 0 3 321.420 4.309 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccccc1F ZINC000779006646 1045120672 /nfs/dbraw/zinc/12/06/72/1045120672.db2.gz UHYFDKPBVSGKBK-IAGOWNOFSA-N 0 3 307.796 4.253 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)CC(=O)Nc1ccccc1Cl ZINC000447384029 1045125757 /nfs/dbraw/zinc/12/57/57/1045125757.db2.gz LQRNUHAFXFPWAO-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1ccc(-c2nnc(CN(C)[C@@H](C)C3CCCCC3)o2)cc1 ZINC000447397431 1045129274 /nfs/dbraw/zinc/12/92/74/1045129274.db2.gz OXHRXEPPPQTUQK-HNNXBMFYSA-N 0 3 313.445 4.446 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2N[C@@H]1CCc2cc(F)ccc21 ZINC000577539376 1045135615 /nfs/dbraw/zinc/13/56/15/1045135615.db2.gz VPARIBPVOKAFMU-IAGOWNOFSA-N 0 3 315.363 4.238 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc3c(c2)OCO3)c2ccccc21 ZINC000447515122 1045135883 /nfs/dbraw/zinc/13/58/83/1045135883.db2.gz JKKHLNLRSIMTFX-QZTJIDSGSA-N 0 3 309.409 4.390 20 0 DIADHN c1ccc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)nc1 ZINC000525972138 1045139126 /nfs/dbraw/zinc/13/91/26/1045139126.db2.gz KTZRKMCRHULKIV-WMZOPIPTSA-N 0 3 300.446 4.100 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cc(C)oc1C)c1ccco1 ZINC000447538368 1045143306 /nfs/dbraw/zinc/14/33/06/1045143306.db2.gz BJJYXGWWSXIPRI-YOEHRIQHSA-N 0 3 304.434 4.223 20 0 DIADHN CC(C)c1nc([C@H](C)NCCN2CCCC(C)(C)C2)cs1 ZINC000447549824 1045145530 /nfs/dbraw/zinc/14/55/30/1045145530.db2.gz GBCAHYSYTGALGP-AWEZNQCLSA-N 0 3 309.523 4.039 20 0 DIADHN CC(C)[C@@H](Cc1ccccc1)NCc1csc(C2CC2)n1 ZINC000779023431 1045156604 /nfs/dbraw/zinc/15/66/04/1045156604.db2.gz DAVJZJGHWXNXOR-QGZVFWFLSA-N 0 3 300.471 4.377 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCc3c2ccc(OC)c3F)c1 ZINC000577676533 1045157053 /nfs/dbraw/zinc/15/70/53/1045157053.db2.gz INYSRLVKTVUVTK-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000447581024 1045158140 /nfs/dbraw/zinc/15/81/40/1045158140.db2.gz RVOSGGNIFWNVSC-LXIYXOSZSA-N 0 3 305.393 4.462 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000779024537 1045162124 /nfs/dbraw/zinc/16/21/24/1045162124.db2.gz MSADRMIVGGMCMV-UWVGGRQHSA-N 0 3 317.246 4.316 20 0 DIADHN C[C@H](CN[C@@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000779024539 1045162176 /nfs/dbraw/zinc/16/21/76/1045162176.db2.gz MSADRMIVGGMCMV-ZJUUUORDSA-N 0 3 317.246 4.316 20 0 DIADHN CCC1(CN[C@@H](c2cccc(C)c2)c2ccccn2)CCOCC1 ZINC000925198982 1045162756 /nfs/dbraw/zinc/16/27/56/1045162756.db2.gz ZOXANJDMTJMDJT-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN CC(C)n1cc([C@@H](C)NCc2cc3c(s2)CCCC3)cn1 ZINC000557262285 1045163942 /nfs/dbraw/zinc/16/39/42/1045163942.db2.gz KGPRTFDRAWAAHT-CYBMUJFWSA-N 0 3 303.475 4.255 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](CC)c2cccc(OC)c2)cc1 ZINC000557266166 1045164256 /nfs/dbraw/zinc/16/42/56/1045164256.db2.gz NXWWDNUQBNPHDN-WOJBJXKFSA-N 0 3 313.441 4.032 20 0 DIADHN Cc1ncc(CN(C)CCCc2c(F)cccc2Cl)s1 ZINC000447728519 1045168494 /nfs/dbraw/zinc/16/84/94/1045168494.db2.gz FDDOTQHPOOQUDS-UHFFFAOYSA-N 0 3 312.841 4.309 20 0 DIADHN Cc1cccc(CN(C)CCCc2c(F)cccc2Cl)n1 ZINC000447726359 1045168584 /nfs/dbraw/zinc/16/85/84/1045168584.db2.gz GLYSYNNGRXLXLZ-UHFFFAOYSA-N 0 3 306.812 4.247 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@H]2CCC3(CCCC3)O2)s1 ZINC000577772452 1045169256 /nfs/dbraw/zinc/16/92/56/1045169256.db2.gz UTDDQCWAJBVGBV-DZGCQCFKSA-N 0 3 308.491 4.245 20 0 DIADHN CC(C)c1ccc([C@@H](NC[C@@H]2CCCO2)c2cccnc2)cc1 ZINC000924541641 1045175796 /nfs/dbraw/zinc/17/57/96/1045175796.db2.gz DWXSXVFLRFLIBZ-VQTJNVASSA-N 0 3 310.441 4.063 20 0 DIADHN CC1(C)CC2(CC(N[C@@H](c3ccccc3)c3ccccn3)C2)CO1 ZINC000924549539 1045176497 /nfs/dbraw/zinc/17/64/97/1045176497.db2.gz VKEXNMJYICWZBB-BIXGBWIQSA-N 0 3 322.452 4.108 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cc(O)cc(F)c2)C2CC2)cc1 ZINC000924589616 1045179996 /nfs/dbraw/zinc/17/99/96/1045179996.db2.gz LFPYTFYVSCRAPM-BUXKBTBVSA-N 0 3 315.388 4.342 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000447845180 1045181830 /nfs/dbraw/zinc/18/18/30/1045181830.db2.gz QTTOZPJZGUUEMY-DOTOQJQBSA-N 0 3 315.486 4.231 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924597642 1045182240 /nfs/dbraw/zinc/18/22/40/1045182240.db2.gz QSURRJMTKYMELI-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN CCCN(Cc1ccccc1OC(F)F)C[C@H]1CCCCO1 ZINC000577871864 1045182538 /nfs/dbraw/zinc/18/25/38/1045182538.db2.gz JIDDHFRPMABVFF-OAHLLOKOSA-N 0 3 313.388 4.069 20 0 DIADHN CCCN(Cc1ccccc1OC(F)F)C[C@@H]1CCCCO1 ZINC000577871863 1045183198 /nfs/dbraw/zinc/18/31/98/1045183198.db2.gz JIDDHFRPMABVFF-HNNXBMFYSA-N 0 3 313.388 4.069 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3ccccc3c(OC)c2)cn1 ZINC000924616821 1045183211 /nfs/dbraw/zinc/18/32/11/1045183211.db2.gz WHRDQWNELCUIRD-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1C/C=C/c1ccc(F)c(F)c1 ZINC000577901918 1045186722 /nfs/dbraw/zinc/18/67/22/1045186722.db2.gz RZABWAGOHVBYCZ-RCFWFJCLSA-N 0 3 317.383 4.155 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1c(C)noc1C)c1ccsc1 ZINC000924659598 1045186739 /nfs/dbraw/zinc/18/67/39/1045186739.db2.gz QNQGSYCEAMZIRC-BLLLJJGKSA-N 0 3 321.490 4.087 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1c(C)noc1C)c1ccsc1 ZINC000924659599 1045186858 /nfs/dbraw/zinc/18/68/58/1045186858.db2.gz QNQGSYCEAMZIRC-LRDDRELGSA-N 0 3 321.490 4.087 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@]1(CC)OCCc2ccccc21 ZINC000577921550 1045188407 /nfs/dbraw/zinc/18/84/07/1045188407.db2.gz JQGAGAIQPGJRRG-HRAATJIYSA-N 0 3 324.468 4.173 20 0 DIADHN COc1ccc(C)cc1CCNCc1ncc(C(C)(C)C)s1 ZINC000447927375 1045189928 /nfs/dbraw/zinc/18/99/28/1045189928.db2.gz FBMDDXJEPHLMRL-UHFFFAOYSA-N 0 3 318.486 4.090 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CCOc3ccccc32)s1 ZINC000447946845 1045190350 /nfs/dbraw/zinc/19/03/50/1045190350.db2.gz YITOIHGWRNNQGU-ZDUSSCGKSA-N 0 3 316.470 4.097 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCc3cccc(C)c32)c1 ZINC000924700454 1045190617 /nfs/dbraw/zinc/19/06/17/1045190617.db2.gz XGCVQKCRXHCQMX-GOSISDBHSA-N 0 3 308.425 4.121 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1cc2cc(Cl)cnc2o1 ZINC000648423732 1045192346 /nfs/dbraw/zinc/19/23/46/1045192346.db2.gz XYVILKIQEFZXOO-MLGOLLRUSA-N 0 3 322.836 4.166 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1F)c1ccc(Cl)cc1 ZINC000048331007 1045192587 /nfs/dbraw/zinc/19/25/87/1045192587.db2.gz YRVNAVFVYHLEHE-ZUZCIYMTSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2F)c1ccc(C(C)(C)O)cc1 ZINC000924842665 1045204473 /nfs/dbraw/zinc/20/44/73/1045204473.db2.gz HIXCPPJQFYTIPN-YJYMSZOUSA-N 0 3 313.416 4.391 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1ccnn1CC1CCC1 ZINC000924857539 1045205149 /nfs/dbraw/zinc/20/51/49/1045205149.db2.gz SUAIJIUSLFKCJC-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN CCCOc1ccc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000527238647 1045206831 /nfs/dbraw/zinc/20/68/31/1045206831.db2.gz JUIKUQDIPAQRAD-WFASDCNBSA-N 0 3 301.352 4.248 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NC1(c2ccccc2)CCC1 ZINC000578095744 1045207503 /nfs/dbraw/zinc/20/75/03/1045207503.db2.gz CHDWMTBMQPMVPT-KRWDZBQOSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1ccc(CN[C@H](CN(C)C)c2ccc(F)cc2)cc1Cl ZINC000578095683 1045207556 /nfs/dbraw/zinc/20/75/56/1045207556.db2.gz AAUBGUHOVKWEDV-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN CCc1ccccc1CN(Cc1ccc(O)c(OC)c1)C1CC1 ZINC000527324859 1045215402 /nfs/dbraw/zinc/21/54/02/1045215402.db2.gz DDFPHSISTOAZJX-UHFFFAOYSA-N 0 3 311.425 4.128 20 0 DIADHN COc1cc([C@H](C)NCc2scnc2C)cc2ccccc21 ZINC000924972234 1045216026 /nfs/dbraw/zinc/21/60/26/1045216026.db2.gz XVCCCHVWZPRLJV-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cn(C)nc2C)cc2ccccc21 ZINC000924951262 1045216085 /nfs/dbraw/zinc/21/60/85/1045216085.db2.gz RQCUCVQSCBQWEN-UONOGXRCSA-N 0 3 323.440 4.302 20 0 DIADHN CC[C@@](C)(O)CN[C@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000924994593 1045217831 /nfs/dbraw/zinc/21/78/31/1045217831.db2.gz XIODMDQOQLJONC-WOJBJXKFSA-N 0 3 312.457 4.045 20 0 DIADHN CCOc1ccc(CN2CC[C@@](CF)(C(F)(F)F)C2)c(C)c1 ZINC001138430570 1045219170 /nfs/dbraw/zinc/21/91/70/1045219170.db2.gz BXNPFGJKDNLLBG-HNNXBMFYSA-N 0 3 319.342 4.118 20 0 DIADHN c1cc(CN2CCC[C@H]2c2ccccc2)n(-c2ccccc2)n1 ZINC000448212430 1045219309 /nfs/dbraw/zinc/21/93/09/1045219309.db2.gz KMPAPRZUVRXGIH-FQEVSTJZSA-N 0 3 303.409 4.209 20 0 DIADHN COC[C@@H](N[C@@H](C)Cc1ccccc1F)c1ccc(F)c(F)c1 ZINC000527354683 1045220118 /nfs/dbraw/zinc/22/01/18/1045220118.db2.gz ZBBAZEYWWGLCMX-KPZWWZAWSA-N 0 3 323.358 4.012 20 0 DIADHN CC(C)c1ccc([C@H](NC[C@@](C)(O)C2CC2)c2cccnc2)cc1 ZINC000925036464 1045221203 /nfs/dbraw/zinc/22/12/03/1045221203.db2.gz DBVMNQSIFXNQDB-LEWJYISDSA-N 0 3 324.468 4.045 20 0 DIADHN C[C@H](CNCc1nc(C(C)(C)C)cs1)c1ccc(F)cc1 ZINC000527361083 1045222220 /nfs/dbraw/zinc/22/22/20/1045222220.db2.gz WXJLYKDSCUNQIW-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN C[C@H](NC1CC2(C1)COC(C)(C)C2)c1nc2ccccc2s1 ZINC000925072295 1045224637 /nfs/dbraw/zinc/22/46/37/1045224637.db2.gz XAWTZBGNSRDJMW-ZVLZOBCMSA-N 0 3 316.470 4.295 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000527484756 1045225919 /nfs/dbraw/zinc/22/59/19/1045225919.db2.gz WNBFDBAYCSRMHE-KBPBESRZSA-N 0 3 318.486 4.103 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cn2c(n1)CCCC2)Sc1ccccc1 ZINC000925161771 1045231427 /nfs/dbraw/zinc/23/14/27/1045231427.db2.gz LGUGFLPDMASTJO-GJZGRUSLSA-N 0 3 315.486 4.051 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1cc2ccccc2[nH]1 ZINC000925144923 1045231642 /nfs/dbraw/zinc/23/16/42/1045231642.db2.gz QDWQZTCYDIFLJC-UGSOOPFHSA-N 0 3 322.408 4.351 20 0 DIADHN CCC[C@H](NCC1(CCO)CCCC1)c1ncccc1Cl ZINC000925189797 1045232627 /nfs/dbraw/zinc/23/26/27/1045232627.db2.gz SZPCXPUOWRPSSF-HNNXBMFYSA-N 0 3 310.869 4.109 20 0 DIADHN CCCc1nc(CN(CCC)[C@@H]2[C@H]3CCO[C@H]3C2(C)C)cs1 ZINC000578374350 1045238038 /nfs/dbraw/zinc/23/80/38/1045238038.db2.gz PFZBGFDRCLFUQB-DJIMGWMZSA-N 0 3 322.518 4.121 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H](C)CCCO)c2cccnc2)cc1 ZINC000925257086 1045238838 /nfs/dbraw/zinc/23/88/38/1045238838.db2.gz LFHAMRAJHVHLDP-UZLBHIALSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000578395866 1045238832 /nfs/dbraw/zinc/23/88/32/1045238832.db2.gz UZIVHYUORYUHNJ-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](CN(C)C)c1ccc(F)cc1 ZINC000578395865 1045239076 /nfs/dbraw/zinc/23/90/76/1045239076.db2.gz UZIVHYUORYUHNJ-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN COc1ccc(CN2C[C@@H](C)[C@H]2c2ccccc2)c(C)c1OC ZINC000578400747 1045241151 /nfs/dbraw/zinc/24/11/51/1045241151.db2.gz NLZKGRRZZSEEAU-KUHUBIRLSA-N 0 3 311.425 4.205 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](CC)c2ccncc2)cc1OC ZINC000578401738 1045241319 /nfs/dbraw/zinc/24/13/19/1045241319.db2.gz YDVFLPFFOPLIOF-KRWDZBQOSA-N 0 3 314.429 4.072 20 0 DIADHN CCCOCc1ccccc1CNCc1ccc2cc[nH]c2c1 ZINC000527640012 1045243574 /nfs/dbraw/zinc/24/35/74/1045243574.db2.gz HFMBYJNYONICPI-UHFFFAOYSA-N 0 3 308.425 4.384 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H](Cc1ccccc1)c1cccs1 ZINC000578453197 1045243773 /nfs/dbraw/zinc/24/37/73/1045243773.db2.gz JFRFJEWPRMCZDM-CRAIPNDOSA-N 0 3 323.465 4.481 20 0 DIADHN Cc1cc(CNCc2ccc(OC3CCCCC3)cc2)ccn1 ZINC000527650566 1045244077 /nfs/dbraw/zinc/24/40/77/1045244077.db2.gz QJJSORWEQLBYFN-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN Fc1ccc([C@H](NCc2ccc3cc[nH]c3c2)[C@H]2CCCO2)cc1 ZINC000527649935 1045246789 /nfs/dbraw/zinc/24/67/89/1045246789.db2.gz RHYMEXDXTOTJPD-UXHICEINSA-N 0 3 324.399 4.317 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2ccncc2Cl)cc1 ZINC000527671429 1045247222 /nfs/dbraw/zinc/24/72/22/1045247222.db2.gz XHNPMWKNFSYOFQ-MSOLQXFVSA-N 0 3 316.832 4.053 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1ccc2cc[nH]c2c1 ZINC000527692254 1045249727 /nfs/dbraw/zinc/24/97/27/1045249727.db2.gz MKQXNAVKOLQJCW-ZDUSSCGKSA-N 0 3 310.445 4.279 20 0 DIADHN CC(C)(CNCc1ccsc1Br)C(F)(F)F ZINC000527703591 1045252309 /nfs/dbraw/zinc/25/23/09/1045252309.db2.gz ADHRTXUXCGYQMP-UHFFFAOYSA-N 0 3 316.186 4.189 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)[C@@H]1C[C@H]1C1CC1 ZINC000527701853 1045252331 /nfs/dbraw/zinc/25/23/31/1045252331.db2.gz SIPKNXNMRVBGHT-IFFSRLJSSA-N 0 3 304.381 4.247 20 0 DIADHN CC(C)Oc1ccc(CN2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)cc1 ZINC000578560846 1045254771 /nfs/dbraw/zinc/25/47/71/1045254771.db2.gz FICOXVXHNBUFSY-OALUTQOASA-N 0 3 315.457 4.007 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccccc2CC(C)C)cc1 ZINC000527735681 1045255059 /nfs/dbraw/zinc/25/50/59/1045255059.db2.gz YWRKWAZYCODZIO-UHFFFAOYSA-N 0 3 310.441 4.133 20 0 DIADHN FC1(F)CC(CCNCc2ccsc2Br)C1 ZINC000527716357 1045256452 /nfs/dbraw/zinc/25/64/52/1045256452.db2.gz OHANPXZBMYQOAB-UHFFFAOYSA-N 0 3 310.207 4.036 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@@H]3CCCOC3)cs2)cc1 ZINC000527738587 1045258106 /nfs/dbraw/zinc/25/81/06/1045258106.db2.gz KUEAZEUPBLQIGH-HNNXBMFYSA-N 0 3 316.470 4.025 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-c2ccccc2)o1)c1cc[nH]c(=O)c1 ZINC000578650599 1045260187 /nfs/dbraw/zinc/26/01/87/1045260187.db2.gz ZROPCPJHASETQB-KGLIPLIRSA-N 0 3 308.381 4.459 20 0 DIADHN Cc1cccc([C@@H](NCc2ccc(-c3cc[nH]n3)o2)C(C)C)c1 ZINC000527811286 1045262891 /nfs/dbraw/zinc/26/28/91/1045262891.db2.gz REAGCCPYNPULIT-IBGZPJMESA-N 0 3 309.413 4.465 20 0 DIADHN Cc1cccn2c(CN[C@@H]3CCc4cc(Cl)ccc43)cnc12 ZINC000527794423 1045263489 /nfs/dbraw/zinc/26/34/89/1045263489.db2.gz DBYOPFVXNXPCRQ-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN c1coc([C@H](CNc2nccc3ccccc32)N2CCCC2)c1 ZINC000527828161 1045265376 /nfs/dbraw/zinc/26/53/76/1045265376.db2.gz OGDIBWYQNCQYDI-KRWDZBQOSA-N 0 3 307.397 4.077 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2cccc(C(=O)N(C)C)c2)c1 ZINC000527844613 1045268838 /nfs/dbraw/zinc/26/88/38/1045268838.db2.gz HCXXLLRUZJUPQF-INIZCTEOSA-N 0 3 324.468 4.363 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCc3cc(F)c(Cl)cc32)s1 ZINC000527865719 1045270263 /nfs/dbraw/zinc/27/02/63/1045270263.db2.gz LBZOAWCLJRIZAE-AWEZNQCLSA-N 0 3 310.825 4.330 20 0 DIADHN C[C@H](N[C@@H](C)c1cc(F)cc(F)c1)c1ccc2n[nH]cc2c1 ZINC000578798603 1045273258 /nfs/dbraw/zinc/27/32/58/1045273258.db2.gz WIUJCHXDSNFOPL-QWRGUYRKSA-N 0 3 301.340 4.253 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2cccc(C(C)C)c2)cn1 ZINC000527891318 1045274525 /nfs/dbraw/zinc/27/45/25/1045274525.db2.gz APXBLTTWZBPZJL-AWEZNQCLSA-N 0 3 311.429 4.014 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2ccc(C)n(C)c2=O)cc1 ZINC000527899412 1045275489 /nfs/dbraw/zinc/27/54/89/1045275489.db2.gz VJMHGDMADASCDS-GOEBONIOSA-N 0 3 312.457 4.058 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)Nc1c(CC)cccc1CC ZINC000578854946 1045276330 /nfs/dbraw/zinc/27/63/30/1045276330.db2.gz RFBFOKGVMDNXHJ-OAHLLOKOSA-N 0 3 304.478 4.260 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)N[C@H](CO)CC(F)(F)F)cc1 ZINC000527877199 1045276919 /nfs/dbraw/zinc/27/69/19/1045276919.db2.gz XLDXLWJMYUCKFX-HUBLWGQQSA-N 0 3 303.368 4.164 20 0 DIADHN CCOCC(C)(C)CNCc1csc(-c2cccs2)n1 ZINC000578913150 1045280395 /nfs/dbraw/zinc/28/03/95/1045280395.db2.gz NDAMJWACMDPMRK-UHFFFAOYSA-N 0 3 310.488 4.024 20 0 DIADHN CCc1noc(C)c1CNC1CC(c2cccc(Cl)c2)C1 ZINC000578916792 1045280574 /nfs/dbraw/zinc/28/05/74/1045280574.db2.gz DUDDPOYRGWQQGS-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN CCn1nncc1CN[C@@H](c1ccc(C)cc1)C1CCCCC1 ZINC000527914942 1045282659 /nfs/dbraw/zinc/28/26/59/1045282659.db2.gz LHHTVLJNNIVUFN-LJQANCHMSA-N 0 3 312.461 4.018 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21)c1ccc(Cl)cn1 ZINC000527948430 1045284960 /nfs/dbraw/zinc/28/49/60/1045284960.db2.gz WEXPWWYCQIREFN-ZNXFUKJZSA-N 0 3 319.235 4.377 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@@H](c2cccnc2)C1 ZINC000579008409 1045288707 /nfs/dbraw/zinc/28/87/07/1045288707.db2.gz OKYWZSPAXJMMAX-MRXNPFEDSA-N 0 3 313.470 4.185 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](c1ccccn1)C1CCC1)CC2 ZINC000527961918 1045289755 /nfs/dbraw/zinc/28/97/55/1045289755.db2.gz CDCIPGWFWFMMJP-ICSRJNTNSA-N 0 3 308.425 4.209 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000527991139 1045291172 /nfs/dbraw/zinc/29/11/72/1045291172.db2.gz HKBBSBVZHSMDEP-BZNIZROVSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1ncccc1CN[C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000527988921 1045291243 /nfs/dbraw/zinc/29/12/43/1045291243.db2.gz WHXLVOXWRBZRCO-INIZCTEOSA-N 0 3 322.330 4.066 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1cccc(F)c1)c1ccccc1F ZINC000779124767 1045294367 /nfs/dbraw/zinc/29/43/67/1045294367.db2.gz RHOXWUDTGFLEQK-UUWFMWQGSA-N 0 3 305.368 4.128 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)N[C@@H](C)c2ncccc2F)cc1 ZINC000528035847 1045296395 /nfs/dbraw/zinc/29/63/95/1045296395.db2.gz LRHQHQGOBHJNPR-DLTLXFJOSA-N 0 3 314.404 4.072 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@]2(C)OCCc3sccc32)o1 ZINC000579412998 1045314109 /nfs/dbraw/zinc/31/41/09/1045314109.db2.gz DXTSMRDRYHJASE-PXAZEXFGSA-N 0 3 305.443 4.042 20 0 DIADHN CN(C)c1ccccc1CN(C)Cc1cccc(C(F)F)c1 ZINC000579521132 1045319846 /nfs/dbraw/zinc/31/98/46/1045319846.db2.gz QBUMGDYVNBIUPC-UHFFFAOYSA-N 0 3 304.384 4.322 20 0 DIADHN O=C1CCC[C@H]1CCS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000579591746 1045323886 /nfs/dbraw/zinc/32/38/86/1045323886.db2.gz MBRHTHSDGUHWFD-ZWKOTPCHSA-N 0 3 317.498 4.144 20 0 DIADHN COCc1cccc(CNCc2ccccc2N2CCCCC2)c1 ZINC000779151035 1045325888 /nfs/dbraw/zinc/32/58/88/1045325888.db2.gz MKFLNGOJEQXHJK-UHFFFAOYSA-N 0 3 324.468 4.113 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2cnn3ccccc23)cc1 ZINC000579624380 1045326457 /nfs/dbraw/zinc/32/64/57/1045326457.db2.gz ZQNRTIFKHGJRQQ-INIZCTEOSA-N 0 3 323.440 4.364 20 0 DIADHN COc1ncc([C@@H](C)NC/C=C/c2ccccc2)cc1Cl ZINC000579620940 1045326580 /nfs/dbraw/zinc/32/65/80/1045326580.db2.gz JUDMXMSIQPVGGQ-YSKGHYERSA-N 0 3 302.805 4.108 20 0 DIADHN CC(C)Oc1cccc(CN(C)Cc2cc3cccnc3o2)c1 ZINC000449036640 1045336617 /nfs/dbraw/zinc/33/66/17/1045336617.db2.gz KQZONBVBECFKJP-UHFFFAOYSA-N 0 3 310.397 4.247 20 0 DIADHN Fc1ccc([C@H](NCc2ccc(F)nc2)C2CCCC2)cc1 ZINC000189016127 1045341514 /nfs/dbraw/zinc/34/15/14/1045341514.db2.gz NHCNRESNRIKOPM-GOSISDBHSA-N 0 3 302.368 4.381 20 0 DIADHN Clc1ccc(C[C@@H]2CCC[C@@H]2NCc2nccs2)cc1 ZINC000449085524 1045342326 /nfs/dbraw/zinc/34/23/26/1045342326.db2.gz OBUNWWZYJQVASD-ZFWWWQNUSA-N 0 3 306.862 4.298 20 0 DIADHN c1csc([C@@H](NCc2ccnn2C2CCCC2)C2CC2)c1 ZINC000449070643 1045344568 /nfs/dbraw/zinc/34/45/68/1045344568.db2.gz KYRVXUFWTWCTQT-KRWDZBQOSA-N 0 3 301.459 4.301 20 0 DIADHN CCOc1cc(CNCc2cccc3ccoc32)ccc1OC ZINC000449141758 1045352320 /nfs/dbraw/zinc/35/23/20/1045352320.db2.gz MIJTWKRYGOPQBE-UHFFFAOYSA-N 0 3 311.381 4.130 20 0 DIADHN Cc1cnc(CNCCCO[C@H]2CCCc3ccccc32)s1 ZINC000449158800 1045355512 /nfs/dbraw/zinc/35/55/12/1045355512.db2.gz VKVDQSXPCDLRGA-KRWDZBQOSA-N 0 3 316.470 4.025 20 0 DIADHN Cc1cnc(CNCCCO[C@@H]2CCCc3ccccc32)s1 ZINC000449158801 1045356277 /nfs/dbraw/zinc/35/62/77/1045356277.db2.gz VKVDQSXPCDLRGA-QGZVFWFLSA-N 0 3 316.470 4.025 20 0 DIADHN CSCC1CCC(NCc2csc(-c3ccco3)n2)CC1 ZINC000449189256 1045357010 /nfs/dbraw/zinc/35/70/10/1045357010.db2.gz MCOZSQPZQGZZPS-UHFFFAOYSA-N 0 3 322.499 4.415 20 0 DIADHN Cc1ccc2sc(CN[C@@H](C)[C@H]3COc4ccccc43)nc2c1 ZINC000528448174 1045357887 /nfs/dbraw/zinc/35/78/87/1045357887.db2.gz XOZGJMHKPOIIDT-DZGCQCFKSA-N 0 3 324.449 4.259 20 0 DIADHN C[C@@H]1CC[C@H](C)[C@H](NCc2nnc(-c3ccccc3)s2)C1 ZINC000449183471 1045358934 /nfs/dbraw/zinc/35/89/34/1045358934.db2.gz FXJYYBPNIUUXOD-VNHYZAJKSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1cc2cccnc2o1 ZINC000449179345 1045359006 /nfs/dbraw/zinc/35/90/06/1045359006.db2.gz VPSPDAOOLPKDQW-KZULUSFZSA-N 0 3 310.372 4.380 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCOC3(CCC3)C2)c2ccccn2)c1 ZINC000449206184 1045361022 /nfs/dbraw/zinc/36/10/22/1045361022.db2.gz MUHPGBSXCRPULP-QUCCMNQESA-N 0 3 322.452 4.171 20 0 DIADHN Cc1ccccc1-c1ccccc1CNC[C@@](C)(O)C(F)(F)F ZINC000449209817 1045361369 /nfs/dbraw/zinc/36/13/69/1045361369.db2.gz GCMSLLMWQJTGFA-QGZVFWFLSA-N 0 3 323.358 4.065 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2ccncc2)cc2ccccc21 ZINC000449242404 1045368659 /nfs/dbraw/zinc/36/86/59/1045368659.db2.gz XIEGYPOVABGPGP-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CO[C@H](CCNCc1cc(Cl)sc1Cl)C(F)(F)F ZINC000449270995 1045370017 /nfs/dbraw/zinc/37/00/17/1045370017.db2.gz DYCTYNWXPCQPCW-SSDOTTSWSA-N 0 3 322.179 4.112 20 0 DIADHN O[C@H](CN1CCCCC[C@@H]1c1ccco1)c1ccccc1F ZINC000076804323 1045373177 /nfs/dbraw/zinc/37/31/77/1045373177.db2.gz KREIUIZQNRUBSM-IAGOWNOFSA-N 0 3 303.377 4.069 20 0 DIADHN Cc1cnc(CNCCCCc2ccc(Cl)s2)s1 ZINC000449312052 1045376909 /nfs/dbraw/zinc/37/69/09/1045376909.db2.gz LGWPAWQDQGGNBX-UHFFFAOYSA-N 0 3 300.880 4.279 20 0 DIADHN C[C@H](CN1CCC=C(c2ccncc2)C1)c1cc(F)cc(F)c1 ZINC000449317661 1045376998 /nfs/dbraw/zinc/37/69/98/1045376998.db2.gz DAKWXXROPYRSDX-CQSZACIVSA-N 0 3 314.379 4.253 20 0 DIADHN C[C@H](CN1CCC[C@@H]1c1cccnc1)c1cc(F)cc(F)c1 ZINC000449317149 1045377202 /nfs/dbraw/zinc/37/72/02/1045377202.db2.gz BICHAVWFUQCBSI-FZKQIMNGSA-N 0 3 302.368 4.300 20 0 DIADHN COc1cccc2c(CN3CC4(CCC4(F)F)C3)cccc12 ZINC000449334174 1045381513 /nfs/dbraw/zinc/38/15/13/1045381513.db2.gz INMRKWRJMNAAIY-UHFFFAOYSA-N 0 3 303.352 4.080 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)Cc2coc3ccccc23)o1 ZINC000449338139 1045381828 /nfs/dbraw/zinc/38/18/28/1045381828.db2.gz FDDYKXRYLMUHEK-ZDUSSCGKSA-N 0 3 312.413 4.403 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)Cc2coc3ccccc23)o1 ZINC000449338138 1045382578 /nfs/dbraw/zinc/38/25/78/1045382578.db2.gz FDDYKXRYLMUHEK-CYBMUJFWSA-N 0 3 312.413 4.403 20 0 DIADHN CCc1ncc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)s1 ZINC000449357397 1045384965 /nfs/dbraw/zinc/38/49/65/1045384965.db2.gz ACXZVMKZJIANDZ-AXFHLTTASA-N 0 3 306.397 4.257 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@H+](Cc1ccon1)C1CCCC1 ZINC000077284053 1045384981 /nfs/dbraw/zinc/38/49/81/1045384981.db2.gz FENNFFNZSPENPD-UHFFFAOYSA-N 0 3 322.408 4.478 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@@H+](Cc1ccon1)C1CCCC1 ZINC000077284053 1045384986 /nfs/dbraw/zinc/38/49/86/1045384986.db2.gz FENNFFNZSPENPD-UHFFFAOYSA-N 0 3 322.408 4.478 20 0 DIADHN Clc1scc(CNCCCC2CCOCC2)c1Cl ZINC000449355362 1045385709 /nfs/dbraw/zinc/38/57/09/1045385709.db2.gz YIMMWPZGIZIDEC-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN Clc1ccccc1-c1ccc(CNCC2=CCOCC2)o1 ZINC000449400183 1045389933 /nfs/dbraw/zinc/38/99/33/1045389933.db2.gz AIFGGTVXDRBFAI-UHFFFAOYSA-N 0 3 303.789 4.036 20 0 DIADHN CSCC1CCC(NCc2ncc(C(F)(F)F)s2)CC1 ZINC000449423362 1045392001 /nfs/dbraw/zinc/39/20/01/1045392001.db2.gz NUWZBLIWYBMRQB-UHFFFAOYSA-N 0 3 324.437 4.173 20 0 DIADHN CCc1nc(CN[C@H]2CCCC[C@H]2CC(F)(F)F)cs1 ZINC000449431438 1045392539 /nfs/dbraw/zinc/39/25/39/1045392539.db2.gz DFYCZAUAEIXPNG-JQWIXIFHSA-N 0 3 306.397 4.306 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(F)c3cccnc23)c2ccccc2O1 ZINC000449426910 1045392640 /nfs/dbraw/zinc/39/26/40/1045392640.db2.gz QSOCLLAEJLKEJL-FZKQIMNGSA-N 0 3 322.383 4.376 20 0 DIADHN CC[C@@H]1CCC[C@H]1CNCc1csc(-c2ccccn2)n1 ZINC000449434754 1045392668 /nfs/dbraw/zinc/39/26/68/1045392668.db2.gz SLKSHUYZSCCJJN-KGLIPLIRSA-N 0 3 301.459 4.121 20 0 DIADHN Cc1ccc(CN[C@@H](CCO)c2cccc(C(F)(F)F)c2)cc1 ZINC000449435306 1045393362 /nfs/dbraw/zinc/39/33/62/1045393362.db2.gz ARJVRJZNZIKUNU-KRWDZBQOSA-N 0 3 323.358 4.227 20 0 DIADHN FCC1(NCc2ccc(F)c(-c3cccs3)c2)CCOCC1 ZINC000449447330 1045394427 /nfs/dbraw/zinc/39/44/27/1045394427.db2.gz YSUPZSUWDYWLFL-UHFFFAOYSA-N 0 3 323.408 4.163 20 0 DIADHN CO[C@](C)(CNCc1cnc(C2CCC2)s1)c1ccccc1 ZINC000449484415 1045401276 /nfs/dbraw/zinc/40/12/76/1045401276.db2.gz MIEDJFOJHRUGOR-GOSISDBHSA-N 0 3 316.470 4.062 20 0 DIADHN Cc1cccnc1CCCNCc1ncc(-c2ccccc2)s1 ZINC000449483749 1045401404 /nfs/dbraw/zinc/40/14/04/1045401404.db2.gz KGACYVDWSWZBNV-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN CCO[C@H]1CCN(Cc2cc(Cl)cc3cccnc32)C[C@H]1C ZINC000449496378 1045403016 /nfs/dbraw/zinc/40/30/16/1045403016.db2.gz PWCCHXYYTJPOFT-DYVFJYSZSA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@H]1CCN(Cc2c(Cl)oc3ccccc32)C[C@H]1C ZINC000449502915 1045404913 /nfs/dbraw/zinc/40/49/13/1045404913.db2.gz PERLXITUVBJZCK-DOMZBBRYSA-N 0 3 307.821 4.333 20 0 DIADHN CCC[C@@H](NC[C@H](C)c1ccncc1)c1ncccc1Cl ZINC000925220523 1045405521 /nfs/dbraw/zinc/40/55/21/1045405521.db2.gz FKGQRQCYOGTPIU-XJKSGUPXSA-N 0 3 303.837 4.365 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(F)cc3)C2(C)C)oc1C ZINC000528741063 1045406784 /nfs/dbraw/zinc/40/67/84/1045406784.db2.gz DZVQNWHAOYQLRT-INIZCTEOSA-N 0 3 302.393 4.199 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(F)cc3)C2(C)C)c(C)o1 ZINC000528745173 1045408123 /nfs/dbraw/zinc/40/81/23/1045408123.db2.gz OOBBVXWXSQCKGP-INIZCTEOSA-N 0 3 302.393 4.199 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CCC(F)(F)C[C@@H]3C)cc2)n1 ZINC000528769456 1045413047 /nfs/dbraw/zinc/41/30/47/1045413047.db2.gz NXEZHZWZPCSLQB-HNNXBMFYSA-N 0 3 319.399 4.109 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(C)CCC(F)(F)CC1 ZINC000528782226 1045414591 /nfs/dbraw/zinc/41/45/91/1045414591.db2.gz IENGSFDIJJDTRX-UHFFFAOYSA-N 0 3 324.415 4.211 20 0 DIADHN CN(Cc1cnc(-c2ccsc2)s1)[C@H](CO)CC(C)(C)C ZINC000449584029 1045416714 /nfs/dbraw/zinc/41/67/14/1045416714.db2.gz JBKMMCINJZOCGM-ZDUSSCGKSA-N 0 3 324.515 4.101 20 0 DIADHN CC(C)Sc1ccc(C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449661751 1045425389 /nfs/dbraw/zinc/42/53/89/1045425389.db2.gz DEHJFYSDKONEAG-UHFFFAOYSA-N 0 3 312.438 4.043 20 0 DIADHN Fc1ccc2cc(CN3CCC[C@@]4(CCSC4)C3)[nH]c2c1 ZINC000449733200 1045431630 /nfs/dbraw/zinc/43/16/30/1045431630.db2.gz OAJMKRSIUAKXNH-QGZVFWFLSA-N 0 3 304.434 4.026 20 0 DIADHN Cc1ccccc1CN[C@H](c1cccs1)C1(CO)CCC1 ZINC000449765037 1045434286 /nfs/dbraw/zinc/43/42/86/1045434286.db2.gz FYHBJXHYQSHEME-QGZVFWFLSA-N 0 3 301.455 4.050 20 0 DIADHN COc1cccnc1CNC[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000449748803 1045434630 /nfs/dbraw/zinc/43/46/30/1045434630.db2.gz NLLXURPCSQVTKH-LBPRGKRZSA-N 0 3 324.346 4.002 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)cc1C ZINC000449766970 1045435370 /nfs/dbraw/zinc/43/53/70/1045435370.db2.gz PDOAKEVEHKRBPN-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN CCc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)cc1 ZINC000449766631 1045435414 /nfs/dbraw/zinc/43/54/14/1045435414.db2.gz OALOSGVAKDLMEH-SFHVURJKSA-N 0 3 315.482 4.304 20 0 DIADHN COC[C@H]1CCCN(Cc2nc(-c3ccccc3)cs2)CC1 ZINC000449771171 1045435482 /nfs/dbraw/zinc/43/54/82/1045435482.db2.gz FJZXXPDWCBBZMG-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN Cc1cc(CN[C@@H](c2cccs2)C2(CO)CCC2)cs1 ZINC000449768111 1045435892 /nfs/dbraw/zinc/43/58/92/1045435892.db2.gz RULGSGMGVNIFLY-HNNXBMFYSA-N 0 3 307.484 4.112 20 0 DIADHN Cc1ccc(NC(=O)c2cccc3c2CCCC3)c(CN(C)C)c1 ZINC000449861433 1045444661 /nfs/dbraw/zinc/44/46/61/1045444661.db2.gz PODQWYXISYRWNJ-UHFFFAOYSA-N 0 3 322.452 4.188 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(C)c3c2CCC3)c(CN(C)C)c1 ZINC000449861037 1045444754 /nfs/dbraw/zinc/44/47/54/1045444754.db2.gz MYVQVYFKUPLNRY-UHFFFAOYSA-N 0 3 322.452 4.106 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cccc(OC)c2Cl)C1 ZINC001307495665 1045476271 /nfs/dbraw/zinc/47/62/71/1045476271.db2.gz PNVGJNHFVGDLBG-ZIAGYGMSSA-N 0 3 313.894 4.455 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc3cc[nH]c3c2)cc1OC ZINC000529518222 1045487258 /nfs/dbraw/zinc/48/72/58/1045487258.db2.gz BLXCSICTNIWQBZ-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@@H]1[C@H]1CCCOC1 ZINC000529611879 1045500781 /nfs/dbraw/zinc/50/07/81/1045500781.db2.gz ZETYPXOWYAZACG-QWHCGFSZSA-N 0 3 319.392 4.158 20 0 DIADHN CC(=O)c1ccc(CN2CCC[C@H]2c2cccc(N(C)C)c2)cc1 ZINC000450441452 1045505510 /nfs/dbraw/zinc/50/55/10/1045505510.db2.gz QZWSYCYCFOTWHS-NRFANRHFSA-N 0 3 322.452 4.292 20 0 DIADHN O=C(CCCN1CCC12CCC2)c1ccc(Br)cc1 ZINC000450468117 1045510687 /nfs/dbraw/zinc/51/06/87/1045510687.db2.gz LBMKEMZVGVVTPW-UHFFFAOYSA-N 0 3 322.246 4.040 20 0 DIADHN Fc1ccc(CN2CCC23CCC3)cc1CN1CCC12CCC2 ZINC000450466042 1045510929 /nfs/dbraw/zinc/51/09/29/1045510929.db2.gz FNUNDUSXBSRMJP-UHFFFAOYSA-N 0 3 314.448 4.083 20 0 DIADHN c1ccc([C@@H](NC[C@@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000193444567 1045513059 /nfs/dbraw/zinc/51/30/59/1045513059.db2.gz SZCKOMZNABSHTO-GHTZIAJQSA-N 0 3 300.405 4.101 20 0 DIADHN Cc1noc(C)c1CCN(C)[C@@H](C)c1sc(C(C)C)nc1C ZINC000121105818 1045514467 /nfs/dbraw/zinc/51/44/67/1045514467.db2.gz HHGVFZZFGTXBMD-ZDUSSCGKSA-N 0 3 321.490 4.415 20 0 DIADHN O=C1CCC(CN2CCc3cc(-c4ccccc4)oc3C2)CC1 ZINC000450575277 1045520792 /nfs/dbraw/zinc/52/07/92/1045520792.db2.gz HDMRNWKUQCHYSO-UHFFFAOYSA-N 0 3 309.409 4.064 20 0 DIADHN O=C1CCC(CN2CCCC[C@@H]2c2nc3ccccc3o2)CC1 ZINC000450588807 1045523415 /nfs/dbraw/zinc/52/34/15/1045523415.db2.gz CGBBGNPYYSZTLK-QGZVFWFLSA-N 0 3 312.413 4.114 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H](C)c1cnn(C)c1C ZINC001119795114 1045525887 /nfs/dbraw/zinc/52/58/87/1045525887.db2.gz QECGQGGFDXONKC-CQSZACIVSA-N 0 3 307.441 4.295 20 0 DIADHN COCc1ccc([C@H]2CCCN2C[C@H]2CCCC3(CCC3)O2)o1 ZINC001119819604 1045526458 /nfs/dbraw/zinc/52/64/58/1045526458.db2.gz QQVLZEYRNIVOLR-NVXWUHKLSA-N 0 3 319.445 4.055 20 0 DIADHN COCc1ccc([C@@H]2CCCN2C[C@@H]2CCCC3(CCC3)O2)o1 ZINC001119819605 1045527220 /nfs/dbraw/zinc/52/72/20/1045527220.db2.gz QQVLZEYRNIVOLR-RDJZCZTQSA-N 0 3 319.445 4.055 20 0 DIADHN Cc1ncc(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)o1 ZINC000628150120 1045530597 /nfs/dbraw/zinc/53/05/97/1045530597.db2.gz DFWLMWOFZYBFRM-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1cnc(C2CC2)o1 ZINC000628180203 1045536117 /nfs/dbraw/zinc/53/61/17/1045536117.db2.gz FXRNHKRIVJPQGA-GFCCVEGCSA-N 0 3 304.821 4.268 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@H](C3CCOCC3)C2)cs1 ZINC000628186809 1045539289 /nfs/dbraw/zinc/53/92/89/1045539289.db2.gz WWDHJOFRYHLYSO-ZDUSSCGKSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1ccc([C@@H](C)CNCc2nc(C)c3ccccc3n2)cc1 ZINC000628211140 1045543812 /nfs/dbraw/zinc/54/38/12/1045543812.db2.gz XVECYTYQLGVUMK-HNNXBMFYSA-N 0 3 305.425 4.140 20 0 DIADHN Clc1cc(Cl)cc(CCNCc2cnc(C3CC3)o2)c1 ZINC000628209989 1045544221 /nfs/dbraw/zinc/54/42/21/1045544221.db2.gz CAYWMIUXCLNVNS-UHFFFAOYSA-N 0 3 311.212 4.191 20 0 DIADHN Cc1nc(C)c(CNC[C@H](C)c2c(Cl)cccc2Cl)o1 ZINC000628210789 1045544371 /nfs/dbraw/zinc/54/43/71/1045544371.db2.gz JSLISLNIBXNDFA-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2c(Cl)cccc2Cl)o1 ZINC000628212430 1045544831 /nfs/dbraw/zinc/54/48/31/1045544831.db2.gz ARZAJUYVLZDWGF-UHFFFAOYSA-N 0 3 313.228 4.357 20 0 DIADHN COC[C@@H](NCCC(C)(F)F)c1cccc(C(F)(F)F)c1 ZINC000450676205 1045550459 /nfs/dbraw/zinc/55/04/59/1045550459.db2.gz WRQAOBFIBXSSCZ-GFCCVEGCSA-N 0 3 311.294 4.028 20 0 DIADHN Cc1nc(C)c(CNCCc2nc(C3CCCCC3)cs2)o1 ZINC000628233467 1045551751 /nfs/dbraw/zinc/55/17/51/1045551751.db2.gz DPWXDISPJDJUFS-UHFFFAOYSA-N 0 3 319.474 4.128 20 0 DIADHN Cc1cnc([C@@H](NC[C@@H]2CCCCC2(F)F)C2CC2)s1 ZINC000450731043 1045554187 /nfs/dbraw/zinc/55/41/87/1045554187.db2.gz YLWPZFWJLIYOEG-STQMWFEESA-N 0 3 300.418 4.318 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2ccccc2OC2CC2)C1 ZINC000450749076 1045556536 /nfs/dbraw/zinc/55/65/36/1045556536.db2.gz YCVYBJZTECEZKF-BEFAXECRSA-N 0 3 308.425 4.206 20 0 DIADHN C[C@@H](N[C@H](C)C1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000628254067 1045556895 /nfs/dbraw/zinc/55/68/95/1045556895.db2.gz LBJSTHRAYLRWLZ-RNFRBKRXSA-N 0 3 314.323 4.246 20 0 DIADHN Cc1nc(C)c(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)o1 ZINC000628258793 1045558076 /nfs/dbraw/zinc/55/80/76/1045558076.db2.gz TUYMRAPQALVKOZ-CTYIDZIISA-N 0 3 324.346 4.346 20 0 DIADHN Cc1ncc(CN(C)[C@H](C)Cc2ccccc2C(F)(F)F)o1 ZINC000628276671 1045562276 /nfs/dbraw/zinc/56/22/76/1045562276.db2.gz KMVDBWRIICGLTM-LLVKDONJSA-N 0 3 312.335 4.065 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1cccc(Cl)c1)c1cccs1 ZINC000750834887 1045562354 /nfs/dbraw/zinc/56/23/54/1045562354.db2.gz XHRMNNGWQMEVSD-JKSUJKDBSA-N 0 3 321.873 4.490 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2[nH]ccc2c1)c1cccs1 ZINC000628304355 1045566691 /nfs/dbraw/zinc/56/66/91/1045566691.db2.gz RWOSBROEDPBCJE-KRWDZBQOSA-N 0 3 314.454 4.079 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2C[C@H](C)C(F)(F)[C@@H](C)C2)cc1 ZINC000628390749 1045574071 /nfs/dbraw/zinc/57/40/71/1045574071.db2.gz NRJYUHFFGLXLIQ-KBPBESRZSA-N 0 3 319.399 4.108 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000008118420 1045575650 /nfs/dbraw/zinc/57/56/50/1045575650.db2.gz FZURIOYOMLDJHO-OLZOCXBDSA-N 0 3 302.805 4.018 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c(O)cccc32)ccc1Cl ZINC000450838575 1045578079 /nfs/dbraw/zinc/57/80/79/1045578079.db2.gz FLYAFBXWWJOOBP-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN O=C(CCCN1CC[C@H](CC(F)(F)F)C1)c1ccc(F)cc1 ZINC000450861048 1045580804 /nfs/dbraw/zinc/58/08/04/1045580804.db2.gz PGCREFNYMBRYTL-GFCCVEGCSA-N 0 3 317.326 4.063 20 0 DIADHN COCCN(CC/C=C/c1ccccc1)Cc1scnc1C ZINC000450884652 1045588334 /nfs/dbraw/zinc/58/83/34/1045588334.db2.gz SLDBVGIPQSHFDD-UXBLZVDNSA-N 0 3 316.470 4.003 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000628494955 1045589581 /nfs/dbraw/zinc/58/95/81/1045589581.db2.gz DYPSTFBEJKNIBV-AKBQLVTESA-N 0 3 306.475 4.173 20 0 DIADHN C[C@H](O)CCCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000451042041 1045615326 /nfs/dbraw/zinc/61/53/26/1045615326.db2.gz KSUGSHKAHINPJD-ZUZCIYMTSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1F)c1cccc2ccccc21 ZINC000008269315 1045590827 /nfs/dbraw/zinc/59/08/27/1045590827.db2.gz IWWNBXYVTRXLPF-AWEZNQCLSA-N 0 3 322.383 4.268 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@H](O)c1ccccc1Cl ZINC000800346823 1045609697 /nfs/dbraw/zinc/60/96/97/1045609697.db2.gz IMCBJWXKQVLGRC-KRWDZBQOSA-N 0 3 315.800 4.252 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C[C@@H](O)c2ccc(F)cc2)oc1C ZINC000800478807 1045625793 /nfs/dbraw/zinc/62/57/93/1045625793.db2.gz AADGBIYAGBIUFA-GDZNZVCISA-N 0 3 305.393 4.198 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1ccncc1Br ZINC001168127332 1045627908 /nfs/dbraw/zinc/62/79/08/1045627908.db2.gz VZRYHTHKBJAMJI-WFASDCNBSA-N 0 3 311.267 4.245 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000026466510 1045628714 /nfs/dbraw/zinc/62/87/14/1045628714.db2.gz SYOHFQYVAKADHN-XHSDSOJGSA-N 0 3 302.462 4.115 20 0 DIADHN CCc1nc(C)c(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)o1 ZINC000451146001 1045633914 /nfs/dbraw/zinc/63/39/14/1045633914.db2.gz LJJQVOCDBJLDSC-MVWJERBFSA-N 0 3 304.356 4.097 20 0 DIADHN Cc1cc(Cl)nc(CN[C@H]2c3ccccc3CC[C@H]2C)c1 ZINC000800496875 1045634099 /nfs/dbraw/zinc/63/40/99/1045634099.db2.gz YQISQVUKSBLXLY-FZKQIMNGSA-N 0 3 300.833 4.457 20 0 DIADHN CCCCCC[C@H]1CCC[C@H]1NCc1ncnn1C(C)(C)C ZINC000800498873 1045635400 /nfs/dbraw/zinc/63/54/00/1045635400.db2.gz AQPRDILOFHTLHO-JKSUJKDBSA-N 0 3 306.498 4.262 20 0 DIADHN CCc1cc(N2CCC[C@@H]2c2cc(C)on2)c2ccccc2n1 ZINC000751862164 1045639073 /nfs/dbraw/zinc/63/90/73/1045639073.db2.gz XGJFJAOBSWMGSP-GOSISDBHSA-N 0 3 307.397 4.435 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000451207947 1045645381 /nfs/dbraw/zinc/64/53/81/1045645381.db2.gz BRNGBEHVSSSKIH-KGLIPLIRSA-N 0 3 322.880 4.015 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000451207948 1045646314 /nfs/dbraw/zinc/64/63/14/1045646314.db2.gz BRNGBEHVSSSKIH-UONOGXRCSA-N 0 3 322.880 4.015 20 0 DIADHN CC[C@](C)(NC[C@@H]1CCC2(CCCC2)O1)c1nc(C)cs1 ZINC000530358216 1045651403 /nfs/dbraw/zinc/65/14/03/1045651403.db2.gz CBVRYMMJAARIBC-HOCLYGCPSA-N 0 3 308.491 4.158 20 0 DIADHN Cc1ccccc1[C@H](O)CNC1c2ccccc2-c2ccccc21 ZINC000779406028 1045670833 /nfs/dbraw/zinc/67/08/33/1045670833.db2.gz STQJRKJHMSBTBV-OAQYLSRUSA-N 0 3 315.416 4.388 20 0 DIADHN Cc1sc([C@@H](C)N[C@H](C)CCO)nc1-c1ccc(Cl)cc1 ZINC000779406898 1045672208 /nfs/dbraw/zinc/67/22/08/1045672208.db2.gz NFNMYJOIEFDCAZ-GHMZBOCLSA-N 0 3 324.877 4.193 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@H]1CCC3(CCCC3)O1)CCC2 ZINC000530516455 1045681807 /nfs/dbraw/zinc/68/18/07/1045681807.db2.gz NMEREIJJYWGGBP-ZBFHGGJFSA-N 0 3 320.502 4.253 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(OC2CCCC2)cc1)N(CC)CC ZINC000530573414 1045692551 /nfs/dbraw/zinc/69/25/51/1045692551.db2.gz ZSEVJWFJBYRHGF-SFHVURJKSA-N 0 3 318.461 4.067 20 0 DIADHN CCO[C@@H]1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)C[C@@H]1C ZINC000451522424 1045693096 /nfs/dbraw/zinc/69/30/96/1045693096.db2.gz HENDMXIYAMQIBV-HNAYVOBHSA-N 0 3 317.473 4.061 20 0 DIADHN Cc1c(Cl)ccc(CNCC(C)(C)[C@@H](O)C(C)C)c1Cl ZINC001461416904 1045710210 /nfs/dbraw/zinc/71/02/10/1045710210.db2.gz PHEABQLEBOHEPY-HNNXBMFYSA-N 0 3 318.288 4.435 20 0 DIADHN CC[C@@H](F)CN[C@H](CCOC)c1cccc(C(F)(F)F)c1 ZINC000451689572 1045715190 /nfs/dbraw/zinc/71/51/90/1045715190.db2.gz JKNGWIVLTKNCPR-ZIAGYGMSSA-N 0 3 307.331 4.121 20 0 DIADHN CC(C)N(C)Cc1cc(-c2cc3ccccc3nc2Cl)no1 ZINC000901401868 1045723347 /nfs/dbraw/zinc/72/33/47/1045723347.db2.gz XBXZFOBZQQBMIM-UHFFFAOYSA-N 0 3 315.804 4.383 20 0 DIADHN Cc1nccn1CCN1CCCC[C@H]1c1ccc(Cl)cc1 ZINC000451738074 1045724127 /nfs/dbraw/zinc/72/41/27/1045724127.db2.gz RNMNVBWKKLGIAD-KRWDZBQOSA-N 0 3 303.837 4.072 20 0 DIADHN Cc1ccccc1OCCN(CCC(F)(F)F)CC1CC1 ZINC000753139783 1045730607 /nfs/dbraw/zinc/73/06/07/1045730607.db2.gz WJFPVMUTFWQVGX-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN CO[C@H](CN[C@H](c1ccccn1)C(C)C)c1ccc(Cl)cc1 ZINC000801531118 1045733537 /nfs/dbraw/zinc/73/35/37/1045733537.db2.gz LYSSEFONOVCCRS-MSOLQXFVSA-N 0 3 318.848 4.409 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccn1)C(C)C)c1ccc(Cl)cc1 ZINC000801531124 1045734364 /nfs/dbraw/zinc/73/43/64/1045734364.db2.gz LYSSEFONOVCCRS-ZWKOTPCHSA-N 0 3 318.848 4.409 20 0 DIADHN c1coc([C@H]2N(CCCCC3CCOCC3)CC23CCC3)c1 ZINC000451854050 1045734926 /nfs/dbraw/zinc/73/49/26/1045734926.db2.gz MPNUSLUJYFFRHL-GOSISDBHSA-N 0 3 303.446 4.404 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1ccc(Cl)nc1Cl ZINC000801544570 1045735505 /nfs/dbraw/zinc/73/55/05/1045735505.db2.gz NVRAPZHAJRANQL-CHWSQXEVSA-N 0 3 317.260 4.072 20 0 DIADHN CCOC(=O)CC(C)(C)CCN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451858546 1045736880 /nfs/dbraw/zinc/73/68/80/1045736880.db2.gz RUKGFEVKYGWPDJ-KRWDZBQOSA-N 0 3 319.445 4.176 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000451903556 1045744753 /nfs/dbraw/zinc/74/47/53/1045744753.db2.gz IZRCNGQELDUFRY-YUTCNCBUSA-N 0 3 321.770 4.036 20 0 DIADHN c1ccc([C@@H]2CCCN2CCOc2cccc3ccccc32)nc1 ZINC000530962862 1045761169 /nfs/dbraw/zinc/76/11/69/1045761169.db2.gz KJKUMSWCMUXFEY-FQEVSTJZSA-N 0 3 318.420 4.451 20 0 DIADHN CSc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000530967321 1045764590 /nfs/dbraw/zinc/76/45/90/1045764590.db2.gz DNQICFGALHMTEQ-INIZCTEOSA-N 0 3 303.475 4.019 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H](C)[C@@H]3CCC[C@@H](C)C3)o2)c(C)o1 ZINC000452068381 1045766301 /nfs/dbraw/zinc/76/63/01/1045766301.db2.gz MZIVJVQFWYEKAZ-OSAQELSMSA-N 0 3 317.433 4.251 20 0 DIADHN CCc1cccc(CN[C@H](c2cccs2)C2(CO)CCC2)c1 ZINC000452062979 1045767263 /nfs/dbraw/zinc/76/72/63/1045767263.db2.gz VGDDBYFAGUYQOH-GOSISDBHSA-N 0 3 315.482 4.304 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(C)=C1CCCC1)c1ccsc1 ZINC000753581768 1045768648 /nfs/dbraw/zinc/76/86/48/1045768648.db2.gz SAZSCQOPHZMKCU-KRWDZBQOSA-N 0 3 320.502 4.138 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CC[C@H](CCCO)C1 ZINC000452126904 1045775215 /nfs/dbraw/zinc/77/52/15/1045775215.db2.gz VFWGBKBUXYSYNG-NEPJUHHUSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000237509423 1045785719 /nfs/dbraw/zinc/78/57/19/1045785719.db2.gz KAZLOZMKGZSEDR-LBPRGKRZSA-N 0 3 324.346 4.182 20 0 DIADHN CSC(C)(C)CN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000753662621 1045786075 /nfs/dbraw/zinc/78/60/75/1045786075.db2.gz JQUKEHOCZONRSK-QGZVFWFLSA-N 0 3 318.461 4.350 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](c2ccccc2)c2ccc(F)cn2)C1 ZINC000753664781 1045786850 /nfs/dbraw/zinc/78/68/50/1045786850.db2.gz RCBVSRKZLRNWOS-LZLYRXPVSA-N 0 3 316.445 4.184 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](CO)c2ccccc2C)cc1C ZINC000753671704 1045786873 /nfs/dbraw/zinc/78/68/73/1045786873.db2.gz DJOSCVOKISGFLD-LPHOPBHVSA-N 0 3 313.441 4.005 20 0 DIADHN COc1ccc(CN(CC2CC2)C2CCC2)c(Cl)c1OC ZINC000475674425 1045787018 /nfs/dbraw/zinc/78/70/18/1045787018.db2.gz QDQYYFARDOYHTB-UHFFFAOYSA-N 0 3 309.837 4.122 20 0 DIADHN COc1ccc(CNCc2ccc(CC(F)(F)F)cc2)cc1 ZINC000631029816 1045797497 /nfs/dbraw/zinc/79/74/97/1045797497.db2.gz GDTXTTUJJGVTTN-UHFFFAOYSA-N 0 3 309.331 4.090 20 0 DIADHN Cc1scc(CNCc2ccnc(N3CCCCC3)c2)c1C ZINC000631044497 1045800000 /nfs/dbraw/zinc/80/00/00/1045800000.db2.gz KEHOZFUPYJUBMB-UHFFFAOYSA-N 0 3 315.486 4.040 20 0 DIADHN COCc1ccccc1CNCc1ccc(CC(F)(F)F)cc1 ZINC000631043748 1045801380 /nfs/dbraw/zinc/80/13/80/1045801380.db2.gz YLUDXRBGETWQJP-UHFFFAOYSA-N 0 3 323.358 4.228 20 0 DIADHN COc1cncc(CN[C@H](C)c2ccc(OC(C)C)cc2)c1C ZINC000631045566 1045803833 /nfs/dbraw/zinc/80/38/33/1045803833.db2.gz BJRMBRKHLPOBSG-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2csc(C)c2C)c1 ZINC000631048357 1045804134 /nfs/dbraw/zinc/80/41/34/1045804134.db2.gz XUNAGWIVLVFVNZ-UHFFFAOYSA-N 0 3 316.470 4.393 20 0 DIADHN OCC[C@@H](NCc1ccc(CC(F)(F)F)cc1)c1ccccc1 ZINC000631078594 1045813699 /nfs/dbraw/zinc/81/36/99/1045813699.db2.gz VDJNNTDHDSFKLQ-QGZVFWFLSA-N 0 3 323.358 4.005 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1csc2ccccc12 ZINC000925243168 1045815116 /nfs/dbraw/zinc/81/51/16/1045815116.db2.gz BFLBQJKWYIAQNK-OEPMTFCVSA-N 0 3 309.434 4.210 20 0 DIADHN Clc1c2ccccc2oc1CN[C@H]1CCO[C@H](C2CC2)C1 ZINC000631111718 1045817925 /nfs/dbraw/zinc/81/79/25/1045817925.db2.gz BLEPZJFIBDIQPI-WFASDCNBSA-N 0 3 305.805 4.133 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631104287 1045818765 /nfs/dbraw/zinc/81/87/65/1045818765.db2.gz DNTAJCAVOPHAKS-ZBEGNZNMSA-N 0 3 324.346 4.024 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccc(C(F)F)nc1 ZINC000631103070 1045819873 /nfs/dbraw/zinc/81/98/73/1045819873.db2.gz YCTJICYPDNNZKW-PXAZEXFGSA-N 0 3 302.368 4.432 20 0 DIADHN FC(F)(F)c1ccc(CNCc2cccc3c2CCOC3)cc1 ZINC000631245259 1045841841 /nfs/dbraw/zinc/84/18/41/1045841841.db2.gz LDGZGXQJJYQWLS-UHFFFAOYSA-N 0 3 321.342 4.068 20 0 DIADHN Cc1scc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)c1C ZINC000631248698 1045842622 /nfs/dbraw/zinc/84/26/22/1045842622.db2.gz WCIQBNYRRBHKHC-JTQLQIEISA-N 0 3 302.399 4.063 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000631249665 1045843462 /nfs/dbraw/zinc/84/34/62/1045843462.db2.gz IGZGLKZRXICWMT-SECBINFHSA-N 0 3 320.439 4.168 20 0 DIADHN COc1cc(CN2CCS[C@H]3CCCC[C@@H]32)ccc1SC ZINC000531470425 1045853083 /nfs/dbraw/zinc/85/30/83/1045853083.db2.gz XMHQAYLHUJJJSL-HOCLYGCPSA-N 0 3 323.527 4.277 20 0 DIADHN COc1ccccc1C(C)(C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828286808 1045900362 /nfs/dbraw/zinc/90/03/62/1045900362.db2.gz HACWQBVZIGNQBA-CQSZACIVSA-N 0 3 302.245 4.104 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(C2CCC2)cc1 ZINC000631567161 1045870957 /nfs/dbraw/zinc/87/09/57/1045870957.db2.gz VCPRIVNFIFIVLL-IFXJQAMLSA-N 0 3 309.457 4.416 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000631576991 1045873043 /nfs/dbraw/zinc/87/30/43/1045873043.db2.gz NGOXUZXQVZFQIO-PWRODBHTSA-N 0 3 323.436 4.192 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2ccc(C3CCC3)cc2)c1 ZINC000631572709 1045874710 /nfs/dbraw/zinc/87/47/10/1045874710.db2.gz DTGBKXLIBXEGGI-OAHLLOKOSA-N 0 3 322.452 4.165 20 0 DIADHN CC[C@@H](NCc1ccnc(N(C)C)c1)c1ccc(Cl)s1 ZINC000754334294 1045876360 /nfs/dbraw/zinc/87/63/60/1045876360.db2.gz QRLLXGUNYOTHNV-GFCCVEGCSA-N 0 3 309.866 4.103 20 0 DIADHN CC(C)c1cc(CN[C@H](C)CCc2c[nH]c3ccccc23)on1 ZINC000631582030 1045876914 /nfs/dbraw/zinc/87/69/14/1045876914.db2.gz JMBVSDUJDQZSKX-CQSZACIVSA-N 0 3 311.429 4.390 20 0 DIADHN CCC[C@H](N[C@H](CCCO)c1ccccc1)c1cc(C)ccn1 ZINC000631581564 1045878028 /nfs/dbraw/zinc/87/80/28/1045878028.db2.gz GQPFFZHHRORGIA-MOPGFXCFSA-N 0 3 312.457 4.335 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(F)(F)F)c1cccc(OC)c1OC ZINC000631619663 1045889250 /nfs/dbraw/zinc/88/92/50/1045889250.db2.gz WMESFVPKPHYBOA-CMPLNLGQSA-N 0 3 305.340 4.085 20 0 DIADHN CN1CCC[C@H](N[C@H]2CCCc3ncccc32)c2ccccc21 ZINC000631634397 1045893609 /nfs/dbraw/zinc/89/36/09/1045893609.db2.gz VZFNPEUCGYYYOE-OALUTQOASA-N 0 3 307.441 4.020 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1ccc(OC)c(F)c1 ZINC000902041523 1045895180 /nfs/dbraw/zinc/89/51/80/1045895180.db2.gz FBDSEFBGLZZIKK-ZDUSSCGKSA-N 0 3 302.393 4.035 20 0 DIADHN c1ccc(CC[C@@H](N[C@@H]2COC3(CCC3)C2)c2ccccn2)cc1 ZINC000631647945 1045897217 /nfs/dbraw/zinc/89/72/17/1045897217.db2.gz YAFIKLQXDRXWAC-AZUAARDMSA-N 0 3 322.452 4.057 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000631666334 1045900281 /nfs/dbraw/zinc/90/02/81/1045900281.db2.gz XIEDKPDPTMBFJZ-RTBURBONSA-N 0 3 308.425 4.102 20 0 DIADHN OC[C@H](N[C@H]1CCc2ccccc21)c1cccc(C(F)(F)F)c1 ZINC000631659444 1045900460 /nfs/dbraw/zinc/90/04/60/1045900460.db2.gz NAFCUVOYNAKXSV-IRXDYDNUSA-N 0 3 321.342 4.016 20 0 DIADHN OC[C@@H](N[C@@H]1CCc2ccccc21)c1cccc(C(F)(F)F)c1 ZINC000631659443 1045900742 /nfs/dbraw/zinc/90/07/42/1045900742.db2.gz NAFCUVOYNAKXSV-IAGOWNOFSA-N 0 3 321.342 4.016 20 0 DIADHN CCC[C@H](CCO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000631668594 1045900937 /nfs/dbraw/zinc/90/09/37/1045900937.db2.gz ZSSGITVWWVKXCI-UKRRQHHQSA-N 0 3 304.459 4.012 20 0 DIADHN c1cncc([C@H](NCc2ccc3cccnc3c2)C2CCC2)c1 ZINC000631681879 1045902374 /nfs/dbraw/zinc/90/23/74/1045902374.db2.gz BTOKUABJNBRXQP-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN CCC[C@@H](CCO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000631669902 1045903298 /nfs/dbraw/zinc/90/32/98/1045903298.db2.gz RZZWJXVTQNEJCN-ZFWWWQNUSA-N 0 3 304.459 4.012 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1c(Cl)cncc1Cl)CC2 ZINC001137604145 1045903573 /nfs/dbraw/zinc/90/35/73/1045903573.db2.gz MJNGRFWCOGRJFK-UHFFFAOYSA-N 0 3 321.198 4.400 20 0 DIADHN CCn1nc(C)c(CN[C@@H](C)c2cc3c(s2)CCCC3)c1C ZINC000531709955 1045904212 /nfs/dbraw/zinc/90/42/12/1045904212.db2.gz BVUMTVLOIIKRRU-ZDUSSCGKSA-N 0 3 317.502 4.311 20 0 DIADHN COCCOc1ccc([C@@H](C)NCc2csc(C)c2C)cc1 ZINC000631683953 1045904677 /nfs/dbraw/zinc/90/46/77/1045904677.db2.gz OKNFGONZKWCKKT-CQSZACIVSA-N 0 3 319.470 4.241 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1cccc(OC(F)F)c1 ZINC000902067123 1045904894 /nfs/dbraw/zinc/90/48/94/1045904894.db2.gz KRVKOJYJDCUJHR-ZDUSSCGKSA-N 0 3 320.383 4.489 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc3cccnc3c1)CCC2 ZINC000631684191 1045905035 /nfs/dbraw/zinc/90/50/35/1045905035.db2.gz PNJJENYJYNLRNF-HNNXBMFYSA-N 0 3 323.465 4.421 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccccc2C(C)C)s1 ZINC000754661510 1045905277 /nfs/dbraw/zinc/90/52/77/1045905277.db2.gz IGWOJSLCTXXGIB-AWEZNQCLSA-N 0 3 316.470 4.469 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H](C)c1cnc(C)s1 ZINC000631706852 1045906552 /nfs/dbraw/zinc/90/65/52/1045906552.db2.gz BNMHOAUSVZGZSR-XHDPSFHLSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2)s1 ZINC000754667807 1045906776 /nfs/dbraw/zinc/90/67/76/1045906776.db2.gz LUSSFVIYHMIXQL-ZIAGYGMSSA-N 0 3 302.443 4.043 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2F)s1 ZINC000754668234 1045907411 /nfs/dbraw/zinc/90/74/11/1045907411.db2.gz NDZKSINQUNWOLS-QWHCGFSZSA-N 0 3 320.433 4.182 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2cc3ccncc3s2)s1 ZINC000631707866 1045907775 /nfs/dbraw/zinc/90/77/75/1045907775.db2.gz LIPZQJPMQRCXRZ-NXEZZACHSA-N 0 3 303.456 4.473 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(C)cc(C)c2)s1 ZINC000754667959 1045907995 /nfs/dbraw/zinc/90/79/95/1045907995.db2.gz MTXMCNSKGFSASF-GJZGRUSLSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(F)ccc2F)s1 ZINC000754668428 1045908500 /nfs/dbraw/zinc/90/85/00/1045908500.db2.gz DLGPEGIGNHGFGQ-WDEREUQCSA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc3c1CCOC3)CCCO2 ZINC000631728955 1045908558 /nfs/dbraw/zinc/90/85/58/1045908558.db2.gz BUQOSZPXPZBHNA-HXUWFJFHSA-N 0 3 323.436 4.071 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1csc(Cl)c1 ZINC000631728992 1045908652 /nfs/dbraw/zinc/90/86/52/1045908652.db2.gz CTRAVNOZBQEXNO-NSHDSACASA-N 0 3 307.846 4.325 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@H](C)c1ccc(C)s1 ZINC000754671868 1045909506 /nfs/dbraw/zinc/90/95/06/1045909506.db2.gz RLTCBWDPPBWDOY-KGLIPLIRSA-N 0 3 316.470 4.297 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)N(c2ccccc2)C(C)C)s1 ZINC000754673346 1045910303 /nfs/dbraw/zinc/91/03/03/1045910303.db2.gz WTAIYEOYLXWZAL-OAHLLOKOSA-N 0 3 316.470 4.149 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccc(C)cc1OC ZINC000531739100 1045910933 /nfs/dbraw/zinc/91/09/33/1045910933.db2.gz KCACWQMZACOHLX-RDTXWAMCSA-N 0 3 311.425 4.350 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2cccc3ncccc23)cc1 ZINC001137628096 1045911622 /nfs/dbraw/zinc/91/16/22/1045911622.db2.gz NSJIWQFLZYMDHW-IBGZPJMESA-N 0 3 318.420 4.026 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000631736234 1045912591 /nfs/dbraw/zinc/91/25/91/1045912591.db2.gz CIIXXNFOFLYFDN-WDEREUQCSA-N 0 3 302.399 4.315 20 0 DIADHN Cc1nc([C@H](C)NCC2([C@H]3CCCCO3)CCC2)c(C)s1 ZINC000631730919 1045912803 /nfs/dbraw/zinc/91/28/03/1045912803.db2.gz FFINZIDSQYDQDZ-SWLSCSKDSA-N 0 3 308.491 4.150 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2C[C@H]2C(F)(F)F)ccc1SC ZINC000631757497 1045918115 /nfs/dbraw/zinc/91/81/15/1045918115.db2.gz ZXHHGTMJINHCPA-JMJZKYOTSA-N 0 3 305.365 4.019 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000531757339 1045918742 /nfs/dbraw/zinc/91/87/42/1045918742.db2.gz LAJMWJJJNFHVDE-LJQANCHMSA-N 0 3 311.473 4.332 20 0 DIADHN FC[C@H](N[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1)c1ccc(F)cc1 ZINC000631797837 1045919752 /nfs/dbraw/zinc/91/97/52/1045919752.db2.gz IFAMWAHNYQNECP-AHCXZYCDSA-N 0 3 309.400 4.021 20 0 DIADHN FC[C@H](N[C@@H]1CCC[C@H]([C@H]2CCOC2)C1)c1ccc(F)cc1 ZINC000631797840 1045920396 /nfs/dbraw/zinc/92/03/96/1045920396.db2.gz IFAMWAHNYQNECP-ONIAQPFYSA-N 0 3 309.400 4.021 20 0 DIADHN FC[C@H](N[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1)c1ccc(F)cc1 ZINC000631797838 1045920731 /nfs/dbraw/zinc/92/07/31/1045920731.db2.gz IFAMWAHNYQNECP-ATLSCFEFSA-N 0 3 309.400 4.021 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCCCC2)nc1)c1ccncc1 ZINC000453058952 1045920997 /nfs/dbraw/zinc/92/09/97/1045920997.db2.gz HSEJYFRTMHYXSA-IBGZPJMESA-N 0 3 324.472 4.098 20 0 DIADHN Cc1c([C@@H](C)NCc2cccc3[nH]ccc32)cnn1C(C)(C)C ZINC000631806967 1045921308 /nfs/dbraw/zinc/92/13/08/1045921308.db2.gz GDOIQOXTNLNAEP-CYBMUJFWSA-N 0 3 310.445 4.279 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@@H]1CCCn3nccc31)CCC2 ZINC000631809658 1045922376 /nfs/dbraw/zinc/92/23/76/1045922376.db2.gz LUYBHDXFJZDBPN-IAGOWNOFSA-N 0 3 313.470 4.107 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCn3nccc32)c2ccccc12 ZINC000631808444 1045922661 /nfs/dbraw/zinc/92/26/61/1045922661.db2.gz DJXDUTRDZICZTP-KDOFPFPSSA-N 0 3 321.424 4.231 20 0 DIADHN CC(C)(C)OC1CC(N2CC=C(c3ccc(Cl)nc3)CC2)C1 ZINC000828621041 1045922571 /nfs/dbraw/zinc/92/25/71/1045922571.db2.gz XNQXBHVILRXTQA-UHFFFAOYSA-N 0 3 320.864 4.170 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H](C)c1c(F)cccc1F ZINC000453062726 1045923325 /nfs/dbraw/zinc/92/33/25/1045923325.db2.gz POYIMQVTSNJBHH-ZIAGYGMSSA-N 0 3 304.384 4.422 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H]2CCCn3nccc32)cc1 ZINC000631810515 1045924010 /nfs/dbraw/zinc/92/40/10/1045924010.db2.gz URVBRSVKESRTCZ-YJBOKZPZSA-N 0 3 313.445 4.248 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1cc2c(s1)CCCC2 ZINC000631810101 1045924541 /nfs/dbraw/zinc/92/45/41/1045924541.db2.gz QHGAYEHVANWUEU-OCCSQVGLSA-N 0 3 301.459 4.009 20 0 DIADHN Cc1cccc(C2(CN[C@@H]3C[C@@H](C)n4ccnc43)CCCC2)c1 ZINC000453098929 1045925681 /nfs/dbraw/zinc/92/56/81/1045925681.db2.gz VLCPOBVADBKTEF-SJLPKXTDSA-N 0 3 309.457 4.299 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(C)(C)c1cccc(Cl)c1 ZINC000453080111 1045928045 /nfs/dbraw/zinc/92/80/45/1045928045.db2.gz DCDHRJCLAMHVTG-CYBMUJFWSA-N 0 3 305.853 4.185 20 0 DIADHN CCCCCC[C@@H](C)NC(=O)CNC(C)(C)c1cccs1 ZINC000754829502 1045929322 /nfs/dbraw/zinc/92/93/22/1045929322.db2.gz DHVPTLPALXLKEF-CQSZACIVSA-N 0 3 310.507 4.048 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(C)(C)c1ccccc1Cl ZINC000453089162 1045931711 /nfs/dbraw/zinc/93/17/11/1045931711.db2.gz JVBULOIOCTVZEM-CYBMUJFWSA-N 0 3 305.853 4.185 20 0 DIADHN Cc1ccccc1C1(CN[C@H]2CCn3ccnc32)CCCCC1 ZINC000453089570 1045931814 /nfs/dbraw/zinc/93/18/14/1045931814.db2.gz KEPVSEWTZUHTBL-SFHVURJKSA-N 0 3 309.457 4.128 20 0 DIADHN CC[C@H](NCc1cccc(Cn2ccnc2)c1)c1ccsc1 ZINC000453093237 1045932045 /nfs/dbraw/zinc/93/20/45/1045932045.db2.gz TUEVDZQTQVFBPH-SFHVURJKSA-N 0 3 311.454 4.234 20 0 DIADHN C[C@@H](CN[C@H](C)c1ccncn1)c1c(Cl)cccc1Cl ZINC000453096190 1045933893 /nfs/dbraw/zinc/93/38/93/1045933893.db2.gz POLANMYKKWCPOZ-WDEREUQCSA-N 0 3 310.228 4.238 20 0 DIADHN COc1ccc(CN[C@H]2CC[C@H](C)c3ccsc32)cc1O ZINC000453097203 1045936814 /nfs/dbraw/zinc/93/68/14/1045936814.db2.gz QOVJPJWZLODVMD-FZMZJTMJSA-N 0 3 303.427 4.191 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc(OC(F)F)c1)CC2 ZINC001204817538 1045938627 /nfs/dbraw/zinc/93/86/27/1045938627.db2.gz IKOAVKKEUYXMKP-UHFFFAOYSA-N 0 3 303.352 4.155 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3c[nH]c4ccc(Cl)cc34)C[C@@H]21 ZINC001137708042 1045939701 /nfs/dbraw/zinc/93/97/01/1045939701.db2.gz FWZAIBLHUJBZEY-YGRLFVJLSA-N 0 3 310.775 4.298 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@H]1CCCC[C@H]1C ZINC000453120307 1045944405 /nfs/dbraw/zinc/94/44/05/1045944405.db2.gz CTNHHLSZAVQHSW-WCVJEAGWSA-N 0 3 304.482 4.041 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000453126210 1045947228 /nfs/dbraw/zinc/94/72/28/1045947228.db2.gz IAZKFLNHCHTUIQ-CABCVRRESA-N 0 3 314.454 4.420 20 0 DIADHN CC[C@H](NCc1ccccc1-n1nc(C)cc1C)c1ccncc1 ZINC000453145145 1045947851 /nfs/dbraw/zinc/94/78/51/1045947851.db2.gz NNQJTMMSOYSQHZ-IBGZPJMESA-N 0 3 320.440 4.125 20 0 DIADHN C[C@H]1CN(Cc2ccc(F)nc2)CCc2ccc(Cl)cc21 ZINC001137741649 1045952248 /nfs/dbraw/zinc/95/22/48/1045952248.db2.gz NIOKALOFJLFADY-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN c1ccc([C@@H]2CCN2Cc2cccc(-c3cncnc3)c2)cc1 ZINC001137770353 1045963326 /nfs/dbraw/zinc/96/33/26/1045963326.db2.gz ICBYCTJPXPCCBU-FQEVSTJZSA-N 0 3 301.393 4.091 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2csc(C)c2)c2ccccc21 ZINC000453184935 1045972086 /nfs/dbraw/zinc/97/20/86/1045972086.db2.gz UXGLTCVHTZCXHM-GUYCJALGSA-N 0 3 314.454 4.205 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H](C2CC2)C2CCCC2)no1 ZINC000453194187 1045974961 /nfs/dbraw/zinc/97/49/61/1045974961.db2.gz ARCNVTQUMIEJKL-KRWDZBQOSA-N 0 3 306.450 4.048 20 0 DIADHN Clc1nc(CN2CC[C@@H](c3cccnc3)C2)cc2ccccc21 ZINC000829523257 1045984021 /nfs/dbraw/zinc/98/40/21/1045984021.db2.gz USGZGMREMDQLHL-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN FCc1ccc(CN2CCC(F)(c3ccccn3)CC2)cc1 ZINC000829542284 1045986118 /nfs/dbraw/zinc/98/61/18/1045986118.db2.gz LJFSDLHYQLENOI-UHFFFAOYSA-N 0 3 302.368 4.012 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CC[C@@H](C)c3ccsc32)ccn1 ZINC000453214655 1045986460 /nfs/dbraw/zinc/98/64/60/1045986460.db2.gz FBYPXNFIDWBQNX-XUJVJEKNSA-N 0 3 302.443 4.441 20 0 DIADHN Cc1cccc(C)c1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001137852485 1045986444 /nfs/dbraw/zinc/98/64/44/1045986444.db2.gz CJYOLHXOHOQLFT-UHFFFAOYSA-N 0 3 307.437 4.273 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccnc(OC(F)F)c2)c2sccc21 ZINC000453218707 1045989505 /nfs/dbraw/zinc/98/95/05/1045989505.db2.gz VVRYMACSLLSMNO-MFKMUULPSA-N 0 3 324.396 4.473 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccncc1 ZINC000453236942 1045998718 /nfs/dbraw/zinc/99/87/18/1045998718.db2.gz ZLVXCXGCVLDKJU-KBXCAEBGSA-N 0 3 324.403 4.208 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@@H](C)c2ccsc21 ZINC000453237551 1045999690 /nfs/dbraw/zinc/99/96/90/1045999690.db2.gz ZMDMOBIIUZMYAE-XUJVJEKNSA-N 0 3 302.443 4.441 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2c(F)cc(F)cc21)c1ccncc1 ZINC000453244467 1046001239 /nfs/dbraw/zinc/00/12/39/1046001239.db2.gz FDLPYFBBTQNQHN-MSOLQXFVSA-N 0 3 302.368 4.478 20 0 DIADHN CC[C@H](NCc1ccnc(C(F)(F)F)c1)c1cccc(OC)c1 ZINC000453277155 1046012379 /nfs/dbraw/zinc/01/23/79/1046012379.db2.gz AQIJMTUKAQAICT-HNNXBMFYSA-N 0 3 324.346 4.350 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)ccn1 ZINC000453279062 1046014574 /nfs/dbraw/zinc/01/45/74/1046014574.db2.gz DQZCIJAHIUXASH-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccnc(C)c1)CCCS2 ZINC000453278357 1046015017 /nfs/dbraw/zinc/01/50/17/1046015017.db2.gz CTDFLLUZDWESOH-QGZVFWFLSA-N 0 3 314.454 4.115 20 0 DIADHN CC[C@H](NCc1ccnc(C)c1)c1ccc(OC(F)F)cc1 ZINC000453280727 1046015132 /nfs/dbraw/zinc/01/51/32/1046015132.db2.gz GMVIWEKEQRUIMT-INIZCTEOSA-N 0 3 306.356 4.232 20 0 DIADHN Cc1ccc2nc(Cl)c(CN(C)CC3CCOCC3)cc2c1 ZINC001137918886 1046016470 /nfs/dbraw/zinc/01/64/70/1046016470.db2.gz TVSNOJVPIXQWNB-UHFFFAOYSA-N 0 3 318.848 4.055 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnccc2C)c2ccccc12 ZINC000453329620 1046022958 /nfs/dbraw/zinc/02/29/58/1046022958.db2.gz RHEGWQSAHNXXMU-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H](Cc1cnn(C)c1)N[C@@H](C)c1cc(Cl)sc1Cl ZINC000453328805 1046023211 /nfs/dbraw/zinc/02/32/11/1046023211.db2.gz CKHGVGSFOHRGEY-IUCAKERBSA-N 0 3 318.273 4.070 20 0 DIADHN C[C@H](N[C@@H]1CCOC1(C)C)c1nc(-c2ccccc2)cs1 ZINC000453274056 1046023452 /nfs/dbraw/zinc/02/34/52/1046023452.db2.gz APFZMZBDDDMQBA-SWLSCSKDSA-N 0 3 302.443 4.028 20 0 DIADHN CC(C)Oc1ccc(CN2CCC=C(c3cccnc3)C2)cc1 ZINC000829892359 1046024099 /nfs/dbraw/zinc/02/40/99/1046024099.db2.gz BWJGOCRWEYMETE-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN C[C@@H](NCC(C)(C)C1CCC1)c1ncc(Br)s1 ZINC000453308788 1046029221 /nfs/dbraw/zinc/02/92/21/1046029221.db2.gz OLLZODZHYVSVDS-SECBINFHSA-N 0 3 317.296 4.383 20 0 DIADHN CC(C)[C@H](C(=O)NCC1(C2CCCCC2)CCCCC1)N(C)C ZINC001137954312 1046029598 /nfs/dbraw/zinc/02/95/98/1046029598.db2.gz GCVFFPBFFPRZHM-GOSISDBHSA-N 0 3 322.537 4.220 20 0 DIADHN COC[C@H]1CCCCN1Cc1ccc(SC(F)(F)F)cc1 ZINC001137952920 1046030793 /nfs/dbraw/zinc/03/07/93/1046030793.db2.gz FJXWFDNSYZONAM-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN FC[C@@H]1CCCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137955112 1046031190 /nfs/dbraw/zinc/03/11/90/1046031190.db2.gz GZUITWPWFPBZKH-LBPRGKRZSA-N 0 3 307.356 4.480 20 0 DIADHN C[C@@H](NCc1ccc(N(C)C)nc1)c1csc2ccccc21 ZINC000756025560 1046039455 /nfs/dbraw/zinc/03/94/55/1046039455.db2.gz LNNCXCADBISTDX-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](O)c3cccc(F)c3)oc2c1 ZINC000756033113 1046040938 /nfs/dbraw/zinc/04/09/38/1046040938.db2.gz UYXQOSBVDWXRFU-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H]3COC4(CCCC4)O3)oc2c1 ZINC000756043728 1046045247 /nfs/dbraw/zinc/04/52/47/1046045247.db2.gz UOEBRDXTMVWLTJ-ZBFHGGJFSA-N 0 3 315.413 4.078 20 0 DIADHN CCC1(CN[C@H](C)c2csc(-c3ccccc3F)n2)COC1 ZINC000453350234 1046052895 /nfs/dbraw/zinc/05/28/95/1046052895.db2.gz GDIJELCHYQUNHV-GFCCVEGCSA-N 0 3 320.433 4.026 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCc3c1cc(F)cc3F)CCC2 ZINC000779753001 1046057571 /nfs/dbraw/zinc/05/75/71/1046057571.db2.gz AKLWRNAJMAVOJK-GDBMZVCRSA-N 0 3 320.408 4.384 20 0 DIADHN CC(C)(C)OC(=O)C1(C)CCN(Cc2ccc(CF)cc2)CC1 ZINC000830295978 1046057971 /nfs/dbraw/zinc/05/79/71/1046057971.db2.gz HNEONNOXFWOKQZ-UHFFFAOYSA-N 0 3 321.436 4.100 20 0 DIADHN CCCNC(=O)[C@H]1CCCCN1Cc1ccc(C)c2ccccc12 ZINC001138017146 1046060312 /nfs/dbraw/zinc/06/03/12/1046060312.db2.gz ZIOOGWCFZXEALH-HXUWFJFHSA-N 0 3 324.468 4.029 20 0 DIADHN Cc1occc1CN[C@H](CCc1cccnc1)c1ccccc1 ZINC000902772897 1046066808 /nfs/dbraw/zinc/06/68/08/1046066808.db2.gz VZPARJNLFRRPGJ-HXUWFJFHSA-N 0 3 306.409 4.447 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H]1CCCN(C)c2ccccc21 ZINC000453366720 1046068745 /nfs/dbraw/zinc/06/87/45/1046068745.db2.gz MJQKGFSGNJXABP-YJBOKZPZSA-N 0 3 310.441 4.317 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H]1COc2ccccc2C1)c1nc(C)cs1 ZINC000902796684 1046071003 /nfs/dbraw/zinc/07/10/03/1046071003.db2.gz SYHRYCSMYYCRTR-KBMXLJTQSA-N 0 3 316.470 4.132 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1ccccn1 ZINC000902791918 1046071859 /nfs/dbraw/zinc/07/18/59/1046071859.db2.gz ZULRUYZULPAHLS-OXJNMPFZSA-N 0 3 320.440 4.459 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CC[C@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453417210 1046082609 /nfs/dbraw/zinc/08/26/09/1046082609.db2.gz ZXGNWVHQVNCRNK-QCPWZWHMSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccc2c(c1)OCO2 ZINC000453393994 1046084457 /nfs/dbraw/zinc/08/44/57/1046084457.db2.gz ZUXMTQGOWLQQSN-WMLDXEAASA-N 0 3 324.424 4.037 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2cccc(OCc3ccccc3)c2)C1 ZINC001138080256 1046085012 /nfs/dbraw/zinc/08/50/12/1046085012.db2.gz SVXSJJVKPPOGIU-HXUWFJFHSA-N 0 3 323.436 4.067 20 0 DIADHN C[C@@H](NC[C@@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000453420060 1046085680 /nfs/dbraw/zinc/08/56/80/1046085680.db2.gz LKGCOZKHMDTMIM-NXEZZACHSA-N 0 3 322.396 4.018 20 0 DIADHN CN(CCCCOc1ccccc1)Cc1ccc(Cl)nc1 ZINC000080914476 1046093675 /nfs/dbraw/zinc/09/36/75/1046093675.db2.gz VGXDZDLEYTYPFL-UHFFFAOYSA-N 0 3 304.821 4.026 20 0 DIADHN O[C@H](CN1CC2(CC=CC2)[C@H]1c1ccccc1)c1cccc(F)c1 ZINC000830749907 1046096218 /nfs/dbraw/zinc/09/62/18/1046096218.db2.gz SSSGCOADMDLHCQ-WOJBJXKFSA-N 0 3 323.411 4.252 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2ccoc21)c1cccs1 ZINC000453600640 1046097819 /nfs/dbraw/zinc/09/78/19/1046097819.db2.gz QOZJFJQUNBSURM-KRWDZBQOSA-N 0 3 315.438 4.344 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccc2ncccc2c1 ZINC001138112538 1046100216 /nfs/dbraw/zinc/10/02/16/1046100216.db2.gz PKXCQUHIPCUYSM-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3c(F)ccc(C)c3F)C2)cc1 ZINC001138121001 1046101859 /nfs/dbraw/zinc/10/18/59/1046101859.db2.gz SJYDAXPYTXYYLX-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]nc2-c2cccs2)CCC1(F)F ZINC001138151148 1046108078 /nfs/dbraw/zinc/10/80/78/1046108078.db2.gz IJKVFDNQWFVVQX-NSHDSACASA-N 0 3 311.401 4.005 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccccc1-n1ccnc1 ZINC000532206627 1046108635 /nfs/dbraw/zinc/10/86/35/1046108635.db2.gz RXLCYHYXKBYJBV-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1c[nH]nc1-c1cccs1)CC2 ZINC001138151127 1046109134 /nfs/dbraw/zinc/10/91/34/1046109134.db2.gz HNGJCZXTWMJZTP-UHFFFAOYSA-N 0 3 323.412 4.150 20 0 DIADHN Fc1cccc(-c2nnc(CN3CCCC[C@H]3C3CCC3)o2)c1 ZINC000830989546 1046111111 /nfs/dbraw/zinc/11/11/11/1046111111.db2.gz VXRZKVAMGXDPSR-INIZCTEOSA-N 0 3 315.392 4.030 20 0 DIADHN COCCOc1ccccc1CN[C@@H](C)c1cc(C)ccc1C ZINC000532212375 1046114853 /nfs/dbraw/zinc/11/48/53/1046114853.db2.gz GHSLTPZHHOGWRL-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@H](OC)c1ccccc1 ZINC000532212596 1046114942 /nfs/dbraw/zinc/11/49/42/1046114942.db2.gz GHXCDSRKCWUAQW-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN CCn1nc(C)c(CN[C@@H](CC(C)C)c2cccs2)c1C ZINC000532213546 1046117553 /nfs/dbraw/zinc/11/75/53/1046117553.db2.gz XGCGSDIDOPVZHJ-INIZCTEOSA-N 0 3 305.491 4.458 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1sccc1Br ZINC000532224728 1046119149 /nfs/dbraw/zinc/11/91/49/1046119149.db2.gz WNZXIPJSZSWYJH-QWRGUYRKSA-N 0 3 320.296 4.366 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](CO)c2ccccc2C)cc1 ZINC000532249879 1046121037 /nfs/dbraw/zinc/12/10/37/1046121037.db2.gz TWXPYJCTVABSMC-JXFKEZNVSA-N 0 3 313.441 4.168 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000532230779 1046121901 /nfs/dbraw/zinc/12/19/01/1046121901.db2.gz JUBBFDYTOZHUSB-CYBMUJFWSA-N 0 3 304.821 4.375 20 0 DIADHN CC[C@@H](N[C@H](CO)c1ccccc1C)c1ccc(Cl)s1 ZINC000532249343 1046121974 /nfs/dbraw/zinc/12/19/74/1046121974.db2.gz RGQURJXSUJIQIR-ZIAGYGMSSA-N 0 3 309.862 4.484 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2cccc(F)c2)o1)C(C)(C)C ZINC000831170401 1046122571 /nfs/dbraw/zinc/12/25/71/1046122571.db2.gz RTYAFWMPENYPFJ-CQSZACIVSA-N 0 3 305.397 4.180 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@@H](C)c1cncc(F)c1 ZINC000902947853 1046123603 /nfs/dbraw/zinc/12/36/03/1046123603.db2.gz NBMMWFNBADSLNR-WTOJCKNJSA-N 0 3 314.404 4.204 20 0 DIADHN Cc1csc(CN2CCC[C@@H]2CCCc2ccccc2)n1 ZINC000532268144 1046126261 /nfs/dbraw/zinc/12/62/61/1046126261.db2.gz VBSWGIGCHCTLIX-KRWDZBQOSA-N 0 3 300.471 4.439 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2ccccc2Cl)C2CCC2)o1 ZINC000756741892 1046126274 /nfs/dbraw/zinc/12/62/74/1046126274.db2.gz YOKWNCGYSNHGSR-FUHWJXTLSA-N 0 3 319.832 4.406 20 0 DIADHN Cc1ncccc1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC000237613642 1046128725 /nfs/dbraw/zinc/12/87/25/1046128725.db2.gz CPSHRABXWWBLFT-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)C[C@H](O)c2ccco2)cc1 ZINC000195736813 1046135773 /nfs/dbraw/zinc/13/57/73/1046135773.db2.gz XRIXKIAPLRUVMA-FQEVSTJZSA-N 0 3 321.420 4.324 20 0 DIADHN CCO[C@@H]1CCC[C@H](N[C@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000902976088 1046136269 /nfs/dbraw/zinc/13/62/69/1046136269.db2.gz MNHRVDLIJFLGHC-OUAUKWLOSA-N 0 3 322.396 4.160 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](OC(C)(C)C)C1)c1ccc(C(F)(F)F)cn1 ZINC000903037618 1046144247 /nfs/dbraw/zinc/14/42/47/1046144247.db2.gz MVJVWEPNFVSMSL-WCFLWFBJSA-N 0 3 316.367 4.097 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C(F)(F)F)cn1)[C@@H]1CCCCO1 ZINC000903045059 1046146050 /nfs/dbraw/zinc/14/60/50/1046146050.db2.gz PNAUXJZTIZRTAI-WHOFXGATSA-N 0 3 316.367 4.099 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2ccc(F)c(C)c2)c1 ZINC000903057615 1046150924 /nfs/dbraw/zinc/15/09/24/1046150924.db2.gz DRCUYLKHCZOVNR-CQSZACIVSA-N 0 3 315.388 4.048 20 0 DIADHN Cc1ccccc1CN[C@@H](c1ccccc1F)C1CCOCC1 ZINC000903057432 1046151253 /nfs/dbraw/zinc/15/12/53/1046151253.db2.gz HSXSELGJWAGXDH-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN Fc1ccc(CN[C@H](c2ccccc2F)C2CCOCC2)cc1 ZINC000903056024 1046151586 /nfs/dbraw/zinc/15/15/86/1046151586.db2.gz PKWFNUKUEPGNQK-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN CCc1cccc2c(CN(C)Cc3ccc4c(c3)OCO4)c[nH]c21 ZINC001138361619 1046156458 /nfs/dbraw/zinc/15/64/58/1046156458.db2.gz AUTJAEPIVAUQFZ-UHFFFAOYSA-N 0 3 322.408 4.091 20 0 DIADHN CCc1cccc2c(CN3CCC(=O)[C@@H](C)C34CCCC4)c[nH]c21 ZINC001138365148 1046157241 /nfs/dbraw/zinc/15/72/41/1046157241.db2.gz ZCQOCPCQRSRIGI-OAHLLOKOSA-N 0 3 324.468 4.454 20 0 DIADHN Cn1c2ccccc2nc1CN1CCC[C@H](c2ccccc2)CC1 ZINC001138380417 1046160379 /nfs/dbraw/zinc/16/03/79/1046160379.db2.gz DDKVKWGHOGUGKK-SFHVURJKSA-N 0 3 319.452 4.343 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2nc3cc(F)ccc3o2)CCO1 ZINC000634974660 1046167594 /nfs/dbraw/zinc/16/75/94/1046167594.db2.gz HOEVNKFCYBHUCS-WMLDXEAASA-N 0 3 320.408 4.040 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccn1)C1CC1)c1cccc(Cl)c1 ZINC000903119524 1046168410 /nfs/dbraw/zinc/16/84/10/1046168410.db2.gz IIWDEYNRDSXCOK-QZTJIDSGSA-N 0 3 316.832 4.163 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000779933286 1046170170 /nfs/dbraw/zinc/17/01/70/1046170170.db2.gz KGMDYIHNSGRHGG-NWDGAFQWSA-N 0 3 324.346 4.411 20 0 DIADHN Cc1c(CN2CCC3(CCCOC3)CC2)[nH]c2ccc(F)cc12 ZINC001138414033 1046171350 /nfs/dbraw/zinc/17/13/50/1046171350.db2.gz MFIGUEJKSMQQOE-UHFFFAOYSA-N 0 3 316.420 4.008 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CCC(c2cccnc2)CC1 ZINC001138414349 1046171443 /nfs/dbraw/zinc/17/14/43/1046171443.db2.gz YRLSGOYEXYFRLH-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(CC2CCC2)c1 ZINC000903152303 1046176219 /nfs/dbraw/zinc/17/62/19/1046176219.db2.gz MXKMHMRZCBMDBM-RISCZKNCSA-N 0 3 303.372 4.065 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1ccc(C)c(F)c1 ZINC001168153128 1046184364 /nfs/dbraw/zinc/18/43/64/1046184364.db2.gz DOYCMNHPUZBNOO-INIZCTEOSA-N 0 3 306.425 4.117 20 0 DIADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000475728046 1046186257 /nfs/dbraw/zinc/18/62/57/1046186257.db2.gz JHYNKUCPOMZIQK-FRRDWIJNSA-N 0 3 319.836 4.256 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(C)cc2)cc1 ZINC001138450829 1046187257 /nfs/dbraw/zinc/18/72/57/1046187257.db2.gz JPCWGIIXPSKFDW-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN Cc1c2ccccc2sc1CN1CCc2c(O)cccc2C1 ZINC001138458831 1046189335 /nfs/dbraw/zinc/18/93/35/1046189335.db2.gz KEJXCKAFLHZQGU-UHFFFAOYSA-N 0 3 309.434 4.474 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc3ncccc3c2)c(C)s1 ZINC000903191866 1046189747 /nfs/dbraw/zinc/18/97/47/1046189747.db2.gz CJJFQVVANBZODZ-LBPRGKRZSA-N 0 3 311.454 4.201 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000475738790 1046190242 /nfs/dbraw/zinc/19/02/42/1046190242.db2.gz KUZMTKVRXKREOB-OIISXLGYSA-N 0 3 316.489 4.423 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001168153971 1046190963 /nfs/dbraw/zinc/19/09/63/1046190963.db2.gz JFJXVDWBJXAQAS-INIZCTEOSA-N 0 3 320.502 4.392 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000475742123 1046193054 /nfs/dbraw/zinc/19/30/54/1046193054.db2.gz LNPVXSPIQITLHF-JHJVBQTASA-N 0 3 319.836 4.256 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccc(F)cc3)C2)cc1Cl ZINC001138475440 1046193456 /nfs/dbraw/zinc/19/34/56/1046193456.db2.gz NQBZVBLXURENDK-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc(CN2CCCC3(CC(F)C3)CC2)cc1Cl ZINC001138475436 1046193507 /nfs/dbraw/zinc/19/35/07/1046193507.db2.gz NPWPGSCQNGXTNS-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1csc(-c2ccn(C)n2)n1 ZINC001168154440 1046195144 /nfs/dbraw/zinc/19/51/44/1046195144.db2.gz MIVOXHQXHIEXEY-HNNXBMFYSA-N 0 3 318.490 4.088 20 0 DIADHN CC1CCC(N(C)Cc2ncc(-c3cccc(F)c3)o2)CC1 ZINC000475748423 1046197382 /nfs/dbraw/zinc/19/73/82/1046197382.db2.gz HKZBLIQIZATNKW-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc([C@H](C)NCc2c[nH]c(=O)c3ccccc23)c(C)s1 ZINC000903230635 1046198162 /nfs/dbraw/zinc/19/81/62/1046198162.db2.gz BZJBGOZEABSXRQ-LBPRGKRZSA-N 0 3 312.438 4.470 20 0 DIADHN Cc1ccc(CNCc2ccc3oc(=O)oc3c2)c2ccccc12 ZINC001202834403 1046200864 /nfs/dbraw/zinc/20/08/64/1046200864.db2.gz YXKDPBWJHKMCOF-UHFFFAOYSA-N 0 3 319.360 4.137 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(C(F)F)nc1)c1ccc2c(c1)CCO2 ZINC000903234946 1046202392 /nfs/dbraw/zinc/20/23/92/1046202392.db2.gz SUVGLPGVTPSPQN-NEPJUHHUSA-N 0 3 318.367 4.366 20 0 DIADHN COc1ccc2[nH]cc(CN3CC=C(c4ccccc4)CC3)c2c1 ZINC001138510105 1046206237 /nfs/dbraw/zinc/20/62/37/1046206237.db2.gz OOKKTYWPABFING-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc3ccccc3c2)c(C)o1 ZINC000321123389 1046208015 /nfs/dbraw/zinc/20/80/15/1046208015.db2.gz LNWQULRTZGLVAM-XOBRGWDASA-N 0 3 309.409 4.434 20 0 DIADHN CC(C)(NC[C@@H](O)c1cccc(Cl)c1)c1ccccc1F ZINC000795235663 1046211785 /nfs/dbraw/zinc/21/17/85/1046211785.db2.gz LOSLRVUENMSNAY-MRXNPFEDSA-N 0 3 307.796 4.037 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3ccccn3)CC2)s1 ZINC001138526279 1046212671 /nfs/dbraw/zinc/21/26/71/1046212671.db2.gz RYUGRBRNZCUADE-UHFFFAOYSA-N 0 3 316.470 4.139 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H]2CCCC[C@@H]2O)c2ccccn2)c1 ZINC000903262990 1046214115 /nfs/dbraw/zinc/21/41/15/1046214115.db2.gz IFDXTFLBURKTAN-DZFGPLHGSA-N 0 3 324.468 4.010 20 0 DIADHN CCCc1ccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)s1 ZINC001138528832 1046214525 /nfs/dbraw/zinc/21/45/25/1046214525.db2.gz JKPUXDNJQNSUHJ-ROUUACIJSA-N 0 3 324.509 4.099 20 0 DIADHN C[C@@H](NCc1cnn(CCF)c1)c1cccc(-c2ccccc2)c1 ZINC000903273704 1046217515 /nfs/dbraw/zinc/21/75/15/1046217515.db2.gz GFFNWTXZOKTYOW-MRXNPFEDSA-N 0 3 323.415 4.370 20 0 DIADHN CCC[C@H](NCc1cnn(CCF)c1)c1cc(C)sc1C ZINC000903274168 1046217562 /nfs/dbraw/zinc/21/75/62/1046217562.db2.gz JEOILPSYIKDRTF-INIZCTEOSA-N 0 3 309.454 4.162 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2cnn(CCF)c2)cc1 ZINC000903275249 1046218452 /nfs/dbraw/zinc/21/84/52/1046218452.db2.gz YKFRPNFJSQSXAC-CABCVRRESA-N 0 3 303.425 4.217 20 0 DIADHN FCCn1cc(CN[C@H]2CCCCc3ccc(Cl)cc32)cn1 ZINC000903273934 1046218617 /nfs/dbraw/zinc/21/86/17/1046218617.db2.gz GDNBLEPHIWIOBN-KRWDZBQOSA-N 0 3 321.827 4.063 20 0 DIADHN CC1(C)C[C@H]1CNCc1oc2ccccc2c1Br ZINC001308260617 1046222578 /nfs/dbraw/zinc/22/25/78/1046222578.db2.gz LMEIICMGHGPFJJ-JTQLQIEISA-N 0 3 308.219 4.331 20 0 DIADHN CC1(C)C[C@H](N[C@H]2COCc3cccc(Cl)c32)CCS1 ZINC000903282643 1046222934 /nfs/dbraw/zinc/22/29/34/1046222934.db2.gz FJJDYPMWCJATFR-OCCSQVGLSA-N 0 3 311.878 4.175 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)s1)c1ccncc1 ZINC000038001863 1046226627 /nfs/dbraw/zinc/22/66/27/1046226627.db2.gz DJJSPPWXWWFSOQ-ZJUUUORDSA-N 0 3 311.248 4.317 20 0 DIADHN Cc1cc(CN2CCC[C@H](Oc3cccc(C)n3)C2)c(C)s1 ZINC001138569224 1046230507 /nfs/dbraw/zinc/23/05/07/1046230507.db2.gz CIZQLLOGCHWMTH-KRWDZBQOSA-N 0 3 316.470 4.112 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)CC(C)(C)O3)cc1 ZINC000321451043 1046231910 /nfs/dbraw/zinc/23/19/10/1046231910.db2.gz BJZDPCWMCXCJAO-AWEZNQCLSA-N 0 3 311.425 4.260 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(c2cccs2)CC1 ZINC001138578406 1046234068 /nfs/dbraw/zinc/23/40/68/1046234068.db2.gz IIIABCXTEMTSQI-UHFFFAOYSA-N 0 3 303.475 4.148 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccccc1N1CCCC1 ZINC001138609872 1046238051 /nfs/dbraw/zinc/23/80/51/1046238051.db2.gz HTXAUBQNNKYLGU-QGZVFWFLSA-N 0 3 306.453 4.406 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccccc2N2CCCCC2)C1 ZINC001138604990 1046238182 /nfs/dbraw/zinc/23/81/82/1046238182.db2.gz ASJMYGUQBOGAEP-OAHLLOKOSA-N 0 3 312.379 4.061 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](c1ncccn1)C1CC1 ZINC000903324809 1046238871 /nfs/dbraw/zinc/23/88/71/1046238871.db2.gz RTLIDUPZIIKNGN-SJORKVTESA-N 0 3 315.848 4.192 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccccc1N1CCCC1)CC2 ZINC001138610812 1046238882 /nfs/dbraw/zinc/23/88/82/1046238882.db2.gz VAHYWAWWALFXRI-UHFFFAOYSA-N 0 3 306.453 4.154 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@H](c1ncccn1)C1CC1 ZINC000903324808 1046239550 /nfs/dbraw/zinc/23/95/50/1046239550.db2.gz RTLIDUPZIIKNGN-IRXDYDNUSA-N 0 3 315.848 4.192 20 0 DIADHN C[C@@]1(F)CCCCN(Cc2ccccc2N2CCCCC2)C1 ZINC001138605165 1046239736 /nfs/dbraw/zinc/23/97/36/1046239736.db2.gz MZAFKCKDUWLNCH-LJQANCHMSA-N 0 3 304.453 4.391 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H](C)c1cccc(CO)c1)C(C)(C)C ZINC000903331638 1046243115 /nfs/dbraw/zinc/24/31/15/1046243115.db2.gz ZQKWQOFDUCFXBO-KXBFYZLASA-N 0 3 312.457 4.320 20 0 DIADHN CCN(C[C@@H](O)c1ccc(C)cc1)[C@H](C)c1nc2ccccc2o1 ZINC000245554272 1046244276 /nfs/dbraw/zinc/24/42/76/1046244276.db2.gz SBJCTGRBNWEWAT-CRAIPNDOSA-N 0 3 324.424 4.253 20 0 DIADHN CC[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903334986 1046245965 /nfs/dbraw/zinc/24/59/65/1046245965.db2.gz JKDOUPHLHYXWHY-PKOBYXMFSA-N 0 3 324.424 4.060 20 0 DIADHN c1ccc(C2CCC(N[C@@H](c3ncccn3)C3CC3)CC2)cc1 ZINC000903334355 1046246541 /nfs/dbraw/zinc/24/65/41/1046246541.db2.gz XYKURSXAXNHGDR-NKELODKYSA-N 0 3 307.441 4.244 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000903337261 1046248524 /nfs/dbraw/zinc/24/85/24/1046248524.db2.gz BUWYVPSJTIONLD-JXFKEZNVSA-N 0 3 324.424 4.045 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H](c1ccccn1)C1CC1 ZINC000903335430 1046248581 /nfs/dbraw/zinc/24/85/81/1046248581.db2.gz PCDYFSSIKRDBKR-PXNSSMCTSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H]1CCN(Cc2cccn2-c2ccccc2F)CCC1(F)F ZINC001138632402 1046248586 /nfs/dbraw/zinc/24/85/86/1046248586.db2.gz WABWFQRDRMMOMD-CQSZACIVSA-N 0 3 322.374 4.484 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H](c1ccccn1)C1CC1 ZINC000903339804 1046250359 /nfs/dbraw/zinc/25/03/59/1046250359.db2.gz MYVBSPWBLDRYQQ-QUCCMNQESA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000903338198 1046251593 /nfs/dbraw/zinc/25/15/93/1046251593.db2.gz GPXAZPQCTRCITH-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN CCCn1ncc(CN2CC[C@H](c3cccc(Cl)c3)C2)c1C ZINC001138640552 1046251666 /nfs/dbraw/zinc/25/16/66/1046251666.db2.gz WFHFDXVUOUOPBJ-INIZCTEOSA-N 0 3 317.864 4.244 20 0 DIADHN CCCn1ncc(CN2CCC(Cc3ccccc3)CC2)c1C ZINC001138637239 1046251914 /nfs/dbraw/zinc/25/19/14/1046251914.db2.gz XRBZXBUWPRPKDA-UHFFFAOYSA-N 0 3 311.473 4.056 20 0 DIADHN CCCn1ncc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c1C ZINC001138640553 1046252488 /nfs/dbraw/zinc/25/24/88/1046252488.db2.gz WFHFDXVUOUOPBJ-MRXNPFEDSA-N 0 3 317.864 4.244 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)C[C@@H](O)c1ccccc1 ZINC000245676384 1046255496 /nfs/dbraw/zinc/25/54/96/1046255496.db2.gz QSLCYKBFGKEOMW-GDBMZVCRSA-N 0 3 318.486 4.301 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@H](C)c1cc(F)ccc1F ZINC000245708535 1046262706 /nfs/dbraw/zinc/26/27/06/1046262706.db2.gz SKXHYXLTEUICLY-VFVRVIDISA-N 0 3 305.368 4.128 20 0 DIADHN COc1ccc([C@H](N[C@H](C)[C@H]2CC2(F)F)c2ccccc2)cc1 ZINC000666229219 1046263841 /nfs/dbraw/zinc/26/38/41/1046263841.db2.gz DEHGLYBHVTZYFN-FSPWUOQZSA-N 0 3 317.379 4.418 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc(CCO)cc1 ZINC000903395051 1046265401 /nfs/dbraw/zinc/26/54/01/1046265401.db2.gz ADBSLWUJSCCZDX-HNNXBMFYSA-N 0 3 309.409 4.127 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](C)c1cnn(CC2CCC2)c1 ZINC000903403911 1046266635 /nfs/dbraw/zinc/26/66/35/1046266635.db2.gz HTYGYRXPDVFFIL-KGLIPLIRSA-N 0 3 303.475 4.465 20 0 DIADHN c1ccc2c(c1)CNC[C@@H]2c1nc(C2CCCCCCC2)no1 ZINC000321324082 1046268157 /nfs/dbraw/zinc/26/81/57/1046268157.db2.gz TWBKWODYODPZHJ-KRWDZBQOSA-N 0 3 311.429 4.133 20 0 DIADHN CC(C)OC1CCN(Cc2c(Cl)[nH]c3ccccc32)CC1 ZINC001138688030 1046268977 /nfs/dbraw/zinc/26/89/77/1046268977.db2.gz PUPQWTGNSFGHMF-UHFFFAOYSA-N 0 3 306.837 4.211 20 0 DIADHN COCCC1CCN(Cc2c(Cl)[nH]c3ccccc32)CC1 ZINC001138687649 1046269332 /nfs/dbraw/zinc/26/93/32/1046269332.db2.gz JNPUCAOPQRYMJK-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCc3n[nH]cc32)cc2ccccc21 ZINC000903413300 1046269231 /nfs/dbraw/zinc/26/92/31/1046269231.db2.gz KGFVAWNKDYIYFG-ACJLOTCBSA-N 0 3 321.424 4.300 20 0 DIADHN CNc1ncccc1CN1CCCC[C@H]1c1ccc(C)c(C)c1 ZINC001138695027 1046272289 /nfs/dbraw/zinc/27/22/89/1046272289.db2.gz RYXMBYJIBONZOW-IBGZPJMESA-N 0 3 309.457 4.467 20 0 DIADHN CC[C@H](NCc1c(C)nc2ccccn21)c1cc(C)ccc1OC ZINC000903422201 1046273694 /nfs/dbraw/zinc/27/36/94/1046273694.db2.gz KQAPTGVSZVMVHT-KRWDZBQOSA-N 0 3 323.440 4.201 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1cc2c(s1)CCC2 ZINC000903423240 1046275607 /nfs/dbraw/zinc/27/56/07/1046275607.db2.gz UTDCOZVVKPCKLQ-ZDUSSCGKSA-N 0 3 311.454 4.044 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(c2ccccn2)CC1 ZINC001138720126 1046275689 /nfs/dbraw/zinc/27/56/89/1046275689.db2.gz PESMCQSWGUBEQP-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H](c1ccncc1)C1CC1)CCS2 ZINC000903428739 1046276612 /nfs/dbraw/zinc/27/66/12/1046276612.db2.gz PXGGXSSGFOOBNA-FUHWJXTLSA-N 0 3 314.429 4.499 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2N[C@@H](c1ccncc1)C1CC1 ZINC000903428618 1046276880 /nfs/dbraw/zinc/27/68/80/1046276880.db2.gz PPFZWTQQQPKCBE-DLBZAZTESA-N 0 3 314.429 4.499 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000903427772 1046276901 /nfs/dbraw/zinc/27/69/01/1046276901.db2.gz JWWFHDHYTYAGMY-SJCJKPOMSA-N 0 3 318.367 4.485 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000903429006 1046277971 /nfs/dbraw/zinc/27/79/71/1046277971.db2.gz RMSAHTHGGOZBEL-VLIAUNLRSA-N 0 3 324.424 4.045 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001138723307 1046278771 /nfs/dbraw/zinc/27/87/71/1046278771.db2.gz NNWCNAMGUBHIEW-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1nccc2ccccc21 ZINC000903430396 1046280154 /nfs/dbraw/zinc/28/01/54/1046280154.db2.gz ZMPRRGNAMLNRMK-XOBRGWDASA-N 0 3 303.409 4.432 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](c1ccncc1)C1CC1)CCC2 ZINC000903429394 1046280430 /nfs/dbraw/zinc/28/04/30/1046280430.db2.gz UAHQIYUKFYAWSE-WBVHZDCISA-N 0 3 313.470 4.219 20 0 DIADHN COc1cccc(CN(C)Cc2c(C)cc(OC)c(C)c2C)c1 ZINC001138728756 1046281690 /nfs/dbraw/zinc/28/16/90/1046281690.db2.gz LKCOYUQFHQIQJF-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CCC[C@H]2CCO2)c1 ZINC000637876472 1046282213 /nfs/dbraw/zinc/28/22/13/1046282213.db2.gz SZWNWBNVTSHWLE-GUYCJALGSA-N 0 3 319.495 4.326 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1ccc(C(F)F)nc1 ZINC000637926743 1046286679 /nfs/dbraw/zinc/28/66/79/1046286679.db2.gz QZHKPFSMFAGZOC-UHFFFAOYSA-N 0 3 320.383 4.440 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC000666272134 1046287083 /nfs/dbraw/zinc/28/70/83/1046287083.db2.gz QAGKJLOZLLYGCR-QAQDUYKDSA-N 0 3 314.473 4.293 20 0 DIADHN CCCCc1ccc(CN2CCC(C)(C(=O)OCC)CC2)s1 ZINC001138757148 1046288843 /nfs/dbraw/zinc/28/88/43/1046288843.db2.gz TYWQUDJAHVNDPP-UHFFFAOYSA-N 0 3 323.502 4.256 20 0 DIADHN CCCCc1ccc(CN2CCc3cc(CO)ccc3C2)s1 ZINC001138753548 1046289150 /nfs/dbraw/zinc/28/91/50/1046289150.db2.gz WIQKIXVSYJWYMC-UHFFFAOYSA-N 0 3 315.482 4.141 20 0 DIADHN Cc1ccc(CN(CCC[C@@H]2CCO2)Cc2ccco2)s1 ZINC000637976973 1046290192 /nfs/dbraw/zinc/29/01/92/1046290192.db2.gz NEGUTEKFLXVXFK-OAHLLOKOSA-N 0 3 305.443 4.221 20 0 DIADHN CCOc1ccccc1CCN[C@H](C)c1cccnc1Cl ZINC000089551066 1046290335 /nfs/dbraw/zinc/29/03/35/1046290335.db2.gz LXNREQUYWLLITQ-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN CCC1(C(=O)Nc2cccc(CN(C)C)c2)CCC(F)(F)CC1 ZINC000666283837 1046291876 /nfs/dbraw/zinc/29/18/76/1046291876.db2.gz DHACOXJRSRIWNW-UHFFFAOYSA-N 0 3 324.415 4.292 20 0 DIADHN Fc1cccc(CCN(Cc2ccc(C(F)F)nc2)C2CC2)c1 ZINC000638085688 1046293704 /nfs/dbraw/zinc/29/37/04/1046293704.db2.gz XWCHMCQUADLWQH-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN Clc1c2ccccc2oc1CN1CCC2(C1)CCOCC2 ZINC000638152408 1046296595 /nfs/dbraw/zinc/29/65/95/1046296595.db2.gz DOZRCEPMLGCVAZ-UHFFFAOYSA-N 0 3 305.805 4.089 20 0 DIADHN CCN(CC)c1ncc(CN(Cc2ccccc2)C(C)C)s1 ZINC001138797662 1046296789 /nfs/dbraw/zinc/29/67/89/1046296789.db2.gz ZYXJUSBEANPCEN-UHFFFAOYSA-N 0 3 317.502 4.400 20 0 DIADHN CCN(CC)c1ncc(CN(C)CCCc2ccccc2)s1 ZINC001138800430 1046296949 /nfs/dbraw/zinc/29/69/49/1046296949.db2.gz WETGBMIJCLGQJO-UHFFFAOYSA-N 0 3 317.502 4.054 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3ccc4occc4c3)C2)cc1 ZINC001138821734 1046302407 /nfs/dbraw/zinc/30/24/07/1046302407.db2.gz DQPNMDYJZOIKIF-SFHVURJKSA-N 0 3 311.356 4.225 20 0 DIADHN Clc1cccc2c(CN3CCC4(CCCOC4)CC3)c[nH]c21 ZINC001138836921 1046307499 /nfs/dbraw/zinc/30/74/99/1046307499.db2.gz SFCYGFQWGARZAL-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN CCN(Cc1cc2ccc(C)cc2nc1Cl)C1CCOCC1 ZINC001138859868 1046311285 /nfs/dbraw/zinc/31/12/85/1046311285.db2.gz VASZWQWJWMSLDK-UHFFFAOYSA-N 0 3 318.848 4.198 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1cnc2ccccc2n1 ZINC001138865469 1046312193 /nfs/dbraw/zinc/31/21/93/1046312193.db2.gz ARYZFDAFVOPOKD-UHFFFAOYSA-N 0 3 305.425 4.432 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cnc4ccccc4n3)C2)c1 ZINC001138865398 1046312243 /nfs/dbraw/zinc/31/22/43/1046312243.db2.gz ITOJRGUPVDSEMM-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cnc3ccccc3n2)C1 ZINC001138866921 1046315372 /nfs/dbraw/zinc/31/53/72/1046315372.db2.gz XVICGOYAALNLLP-AWEZNQCLSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccc(F)cc2)Cc2ccccc21 ZINC001138878687 1046315858 /nfs/dbraw/zinc/31/58/58/1046315858.db2.gz PWRTXCKGNIHRMG-CQSZACIVSA-N 0 3 321.399 4.335 20 0 DIADHN C[C@H](NCc1cccnc1Oc1cccc(F)c1)[C@H]1CC1(F)F ZINC000638361399 1046317128 /nfs/dbraw/zinc/31/71/28/1046317128.db2.gz PHFFVTXQHSUZOB-XHDPSFHLSA-N 0 3 322.330 4.146 20 0 DIADHN CN(Cc1cc(Br)cn1C)[C@H]1C=CCCCCC1 ZINC001168185011 1046317625 /nfs/dbraw/zinc/31/76/25/1046317625.db2.gz ZJSZTSCEGYFZFT-AWEZNQCLSA-N 0 3 311.267 4.108 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2cccc(F)c2N)cc1 ZINC000638375200 1046318586 /nfs/dbraw/zinc/31/85/86/1046318586.db2.gz FMEADVITOMTXEQ-ZDUSSCGKSA-N 0 3 302.393 4.046 20 0 DIADHN c1ccc([C@H]2OCCC[C@@H]2CNCc2nc3ccccc3o2)cc1 ZINC000638416383 1046321030 /nfs/dbraw/zinc/32/10/30/1046321030.db2.gz WHRNQSWAPARFCN-OXQOHEQNSA-N 0 3 322.408 4.085 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCC2(C1)CCCCC2 ZINC001138934444 1046330818 /nfs/dbraw/zinc/33/08/18/1046330818.db2.gz DEYKIEIJAODUMA-UHFFFAOYSA-N 0 3 303.837 4.324 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001138935885 1046331333 /nfs/dbraw/zinc/33/13/33/1046331333.db2.gz LFESAZBUDSJJNK-WFASDCNBSA-N 0 3 303.837 4.323 20 0 DIADHN CC(C)(N[C@H](c1nnc[nH]1)C1CCCCC1)c1cccc(F)c1 ZINC000638629039 1046333157 /nfs/dbraw/zinc/33/31/57/1046333157.db2.gz MUXPQWUNNVHUHH-INIZCTEOSA-N 0 3 316.424 4.090 20 0 DIADHN Clc1cccc(C2(CNCc3cc(C4CC4)no3)CC2)c1 ZINC000638657080 1046334224 /nfs/dbraw/zinc/33/42/24/1046334224.db2.gz VNNYKGVGIARMEG-UHFFFAOYSA-N 0 3 302.805 4.027 20 0 DIADHN CN(CCCc1ccccc1)Cc1c2cc[nH]c2ncc1Cl ZINC001138950458 1046334662 /nfs/dbraw/zinc/33/46/62/1046334662.db2.gz BINZJFXXDYSOSG-UHFFFAOYSA-N 0 3 313.832 4.233 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H](O)c1ccccc1Cl ZINC000090077203 1046335430 /nfs/dbraw/zinc/33/54/30/1046335430.db2.gz IIZFKOYSXNGTIJ-GUYCJALGSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1F)c1cc2ccccc2o1 ZINC000090078593 1046335502 /nfs/dbraw/zinc/33/55/02/1046335502.db2.gz SZQUGMHFMDVPMP-XHDPSFHLSA-N 0 3 317.335 4.095 20 0 DIADHN CC(C)C[C@H](NC[C@@H](O)c1ccccc1Cl)c1ccccn1 ZINC000090077588 1046336154 /nfs/dbraw/zinc/33/61/54/1046336154.db2.gz NYCZMESTBKRBBM-ZWKOTPCHSA-N 0 3 318.848 4.145 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@@H]2CCC(C)=C(C)C2)cs1 ZINC000638719783 1046338639 /nfs/dbraw/zinc/33/86/39/1046338639.db2.gz GLCMNJJTHDQSEU-RBSFLKMASA-N 0 3 308.491 4.465 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cccc2cnccc21 ZINC000666417237 1046339739 /nfs/dbraw/zinc/33/97/39/1046339739.db2.gz ZMCXCWQHAPXFNI-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN(C)CCc1ccncc1 ZINC001138959820 1046339906 /nfs/dbraw/zinc/33/99/06/1046339906.db2.gz LLSIEWBAEDPEKX-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CC[C@H]2O[C@@H](C)C[C@H]2C1 ZINC001138959449 1046341590 /nfs/dbraw/zinc/34/15/90/1046341590.db2.gz XAIWTKNHPXKYQF-SUNYJGFJSA-N 0 3 323.864 4.127 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1ccc2ncccc2c1 ZINC000903949151 1046342660 /nfs/dbraw/zinc/34/26/60/1046342660.db2.gz ZGRGQBGHJOITJX-IBGZPJMESA-N 0 3 303.409 4.270 20 0 DIADHN CCC(=O)Oc1ccc(CN2CCC[C@H]2c2cccs2)cc1 ZINC001138964386 1046343562 /nfs/dbraw/zinc/34/35/62/1046343562.db2.gz PPZSVRDPUOCSDE-INIZCTEOSA-N 0 3 315.438 4.401 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](c1nccn1C)C1CC1 ZINC000638787669 1046343642 /nfs/dbraw/zinc/34/36/42/1046343642.db2.gz JLTWISBCRJXOQR-WBVHZDCISA-N 0 3 315.486 4.032 20 0 DIADHN CCC(=O)Oc1ccc(CN(C)Cc2ccc(CC)cc2)cc1 ZINC001138965193 1046343961 /nfs/dbraw/zinc/34/39/61/1046343961.db2.gz KFHQMDHGVMCZDB-UHFFFAOYSA-N 0 3 311.425 4.196 20 0 DIADHN CCC(=O)Oc1ccc(CN(C)Cc2cccc(Cl)c2)cc1 ZINC001138964473 1046344978 /nfs/dbraw/zinc/34/49/78/1046344978.db2.gz SFAQBYBVXSLXMH-UHFFFAOYSA-N 0 3 317.816 4.287 20 0 DIADHN c1cn2c(CN3C4CCC3CC4)c(-c3ccccc3)nc2s1 ZINC000903976807 1046345817 /nfs/dbraw/zinc/34/58/17/1046345817.db2.gz LBIXJLKUDWWSPE-UHFFFAOYSA-N 0 3 309.438 4.190 20 0 DIADHN CCCOC1CCN(Cc2ccc(OCC(C)C)cc2)CC1 ZINC001138971295 1046347662 /nfs/dbraw/zinc/34/76/62/1046347662.db2.gz IAYXCJYYWYOLGP-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN C[C@@H](NCc1ccccc1OCc1ccccc1)[C@@H]1CC1(F)F ZINC000666445172 1046348097 /nfs/dbraw/zinc/34/80/97/1046348097.db2.gz QQITWBQTCBNUEW-PBHICJAKSA-N 0 3 317.379 4.399 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)[C@H](C)C2 ZINC000638960536 1046352175 /nfs/dbraw/zinc/35/21/75/1046352175.db2.gz HXFOHAGSVQBBQM-NIKGAXFTSA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)[C@H](C)C2 ZINC000638960536 1046352181 /nfs/dbraw/zinc/35/21/81/1046352181.db2.gz HXFOHAGSVQBBQM-NIKGAXFTSA-N 0 3 324.472 4.258 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CC[C@H](c2ccncc2)C1 ZINC001139009206 1046354318 /nfs/dbraw/zinc/35/43/18/1046354318.db2.gz FZWMNKUKCSHUIJ-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN COc1ccc(CNCc2ccc(SC)cc2)cc1Cl ZINC000718897666 1046371451 /nfs/dbraw/zinc/37/14/51/1046371451.db2.gz CJBTWAKINLZQIE-UHFFFAOYSA-N 0 3 307.846 4.360 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2cc3ccccc3o2)C1 ZINC001139062470 1046374204 /nfs/dbraw/zinc/37/42/04/1046374204.db2.gz XRGPZVGSPHFYBT-AWEZNQCLSA-N 0 3 301.283 4.157 20 0 DIADHN CC(=O)C1CCN(Cc2cccc(-c3ccc(F)cc3)c2)CC1 ZINC001139068608 1046378908 /nfs/dbraw/zinc/37/89/08/1046378908.db2.gz VUEDDKCBUOMHBF-UHFFFAOYSA-N 0 3 311.400 4.294 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccccc1N1CCCCC1 ZINC000759767928 1046379043 /nfs/dbraw/zinc/37/90/43/1046379043.db2.gz PWGXYRJAFXSMFK-UHFFFAOYSA-N 0 3 312.432 4.448 20 0 DIADHN Cc1ccnc(N[C@@H](c2nnc[nH]2)C2CCCCC2)c1C1CC1 ZINC000639310354 1046379742 /nfs/dbraw/zinc/37/97/42/1046379742.db2.gz GGZPKRONIQYEAD-MRXNPFEDSA-N 0 3 311.433 4.119 20 0 DIADHN Cc1ccnc(N[C@H](c2nnc[nH]2)C2CCCCC2)c1C1CC1 ZINC000639310352 1046380630 /nfs/dbraw/zinc/38/06/30/1046380630.db2.gz GGZPKRONIQYEAD-INIZCTEOSA-N 0 3 311.433 4.119 20 0 DIADHN Nc1c(F)cccc1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC000639352319 1046382296 /nfs/dbraw/zinc/38/22/96/1046382296.db2.gz HGMYQSOZEUFYBB-INIZCTEOSA-N 0 3 304.796 4.229 20 0 DIADHN CC[C@H](NCc1cccn(C(F)F)c1=O)c1cc(C)ccc1C ZINC000639353601 1046383582 /nfs/dbraw/zinc/38/35/82/1046383582.db2.gz VCQTUWYTFLKOAM-INIZCTEOSA-N 0 3 320.383 4.101 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2nnc(-c3cccc(F)c3)o2)C1 ZINC000832726832 1046385250 /nfs/dbraw/zinc/38/52/50/1046385250.db2.gz FDEIOHBSWVZGMH-ZDUSSCGKSA-N 0 3 317.408 4.134 20 0 DIADHN O[C@H]1CCN(Cc2ccc3occc3c2)[C@H](c2ccccc2)C1 ZINC000639365377 1046385674 /nfs/dbraw/zinc/38/56/74/1046385674.db2.gz COGWEFLQLKYLKG-OALUTQOASA-N 0 3 307.393 4.131 20 0 DIADHN COc1ccc(Cl)cc1CNCCSc1ccccc1 ZINC000037979115 1046387499 /nfs/dbraw/zinc/38/74/99/1046387499.db2.gz MGCAGDAZBWEXCZ-UHFFFAOYSA-N 0 3 307.846 4.231 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@H](C(F)(F)F)C3)cc21 ZINC001139123106 1046393708 /nfs/dbraw/zinc/39/37/08/1046393708.db2.gz RGFVECIQIZJKGF-HNNXBMFYSA-N 0 3 310.363 4.436 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000780570598 1046394168 /nfs/dbraw/zinc/39/41/68/1046394168.db2.gz PMZTWJLHXZFCGG-ZDUSSCGKSA-N 0 3 303.789 4.382 20 0 DIADHN CCn1ccc2c1cccc2CN1CCOc2ccccc2C1 ZINC001139153184 1046394407 /nfs/dbraw/zinc/39/44/07/1046394407.db2.gz FVUDTEFWNAHQIR-UHFFFAOYSA-N 0 3 306.409 4.056 20 0 DIADHN COc1ccc2c(c1)c(CN1CC[C@H]1c1ccccc1)cn2C ZINC001139147994 1046395246 /nfs/dbraw/zinc/39/52/46/1046395246.db2.gz SYZRVZIQHWYBTQ-IBGZPJMESA-N 0 3 306.409 4.134 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCC2([C@@H](O)c3ccccc3)CC2)o1 ZINC000246647349 1046402006 /nfs/dbraw/zinc/40/20/06/1046402006.db2.gz JXZRDQOXWGRGHE-BJZITVGISA-N 0 3 311.425 4.006 20 0 DIADHN Cc1cc(CNC2(c3ccc(F)cc3)CCOCC2)c(C)s1 ZINC000170971723 1046403916 /nfs/dbraw/zinc/40/39/16/1046403916.db2.gz NTSRSDHASFMDMC-UHFFFAOYSA-N 0 3 319.445 4.300 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCCCCCC2)c(F)c1 ZINC000748805656 1046405116 /nfs/dbraw/zinc/40/51/16/1046405116.db2.gz OTOSFYYTDSJLCR-UHFFFAOYSA-N 0 3 306.425 4.117 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2c(ccn2C)c1 ZINC001139189393 1046405956 /nfs/dbraw/zinc/40/59/56/1046405956.db2.gz AQVBHEYARSOXTN-HNNXBMFYSA-N 0 3 308.425 4.380 20 0 DIADHN CC[C@H]1CCN(Cc2nnc(-c3cccc4ccccc43)o2)C1 ZINC000639513247 1046406916 /nfs/dbraw/zinc/40/69/16/1046406916.db2.gz ICYMMRRDPYCYFR-AWEZNQCLSA-N 0 3 307.397 4.122 20 0 DIADHN CCCCC(CCCC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258388476 1046407150 /nfs/dbraw/zinc/40/71/50/1046407150.db2.gz GAVLKYHMFSDLMB-UHFFFAOYSA-N 0 3 317.399 4.469 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3ccnc(Cl)c3)C2)cc1 ZINC000748803169 1046407779 /nfs/dbraw/zinc/40/77/79/1046407779.db2.gz RTGVUQRTBHGADM-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C1CCCCCCC1 ZINC000748805550 1046408025 /nfs/dbraw/zinc/40/80/25/1046408025.db2.gz KSAURNKVLIQADZ-UHFFFAOYSA-N 0 3 302.462 4.287 20 0 DIADHN COc1ccc([C@H](C)NCc2c(C)cc(C)nc2C)cc1F ZINC000639562712 1046411356 /nfs/dbraw/zinc/41/13/56/1046411356.db2.gz PHORDIYVAZGEIC-ZDUSSCGKSA-N 0 3 302.393 4.005 20 0 DIADHN CC[C@@H]1COCCN1Cc1c2ccccc2[nH]c1-c1ccccc1 ZINC001139199243 1046411408 /nfs/dbraw/zinc/41/14/08/1046411408.db2.gz CRJUZYFLVFXDRR-QGZVFWFLSA-N 0 3 320.436 4.446 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccccc2-n2cccn2)c(C)n1 ZINC000639564619 1046413196 /nfs/dbraw/zinc/41/31/96/1046413196.db2.gz JIKUEHWAOQREJW-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(N(C)C)nc1)c1cccs1 ZINC000151755869 1046416097 /nfs/dbraw/zinc/41/60/97/1046416097.db2.gz MUXVFAQXUDZHIX-OAHLLOKOSA-N 0 3 303.475 4.086 20 0 DIADHN CN(Cc1ccc2nonc2c1)Cc1ccc2ccccc2c1 ZINC001139223378 1046417311 /nfs/dbraw/zinc/41/73/11/1046417311.db2.gz LRBDOHBSPBPOLN-UHFFFAOYSA-N 0 3 303.365 4.008 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1cccc2c1CCOC2 ZINC000639625735 1046417892 /nfs/dbraw/zinc/41/78/92/1046417892.db2.gz BSXXKVCNIYYKMB-CQSZACIVSA-N 0 3 313.466 4.332 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000639620380 1046418351 /nfs/dbraw/zinc/41/83/51/1046418351.db2.gz CTZWFGDEBLELFM-GXTWGEPZSA-N 0 3 324.346 4.423 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(Oc2ccccc2)nc1 ZINC001139248295 1046419455 /nfs/dbraw/zinc/41/94/55/1046419455.db2.gz YJFNWNJQAFEXQM-JJTKIYQPSA-N 0 3 324.424 4.016 20 0 DIADHN Clc1nc(-c2ccccc2)[nH]c1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001139278516 1046424280 /nfs/dbraw/zinc/42/42/80/1046424280.db2.gz CQIDCMHQTLDYCR-HUUCEWRRSA-N 0 3 315.848 4.352 20 0 DIADHN CC[C@H](NCc1oc(-c2ccccc2)nc1C)[C@H]1CCCCO1 ZINC000639747819 1046425424 /nfs/dbraw/zinc/42/54/24/1046425424.db2.gz ABEGZWOFVMMFDI-DLBZAZTESA-N 0 3 314.429 4.087 20 0 DIADHN CC[C@H](NCc1oc(-c2ccccc2)nc1C)[C@@H]1CCCCO1 ZINC000639747827 1046425508 /nfs/dbraw/zinc/42/55/08/1046425508.db2.gz ABEGZWOFVMMFDI-IRXDYDNUSA-N 0 3 314.429 4.087 20 0 DIADHN CC[C@@H](NCc1oc(-c2ccccc2)nc1C)[C@@H]1CCCCO1 ZINC000639747830 1046425733 /nfs/dbraw/zinc/42/57/33/1046425733.db2.gz ABEGZWOFVMMFDI-SJORKVTESA-N 0 3 314.429 4.087 20 0 DIADHN C[C@@H]1COCCN(Cc2ccccc2Oc2ccc(F)cc2)C1 ZINC001139297541 1046425933 /nfs/dbraw/zinc/42/59/33/1046425933.db2.gz FQENAYMGCJFFMM-HNNXBMFYSA-N 0 3 315.388 4.086 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccccc2Oc2ccc(F)cc2)C1 ZINC001139301794 1046427643 /nfs/dbraw/zinc/42/76/43/1046427643.db2.gz BSAYZLNZCLXNTG-GOSISDBHSA-N 0 3 315.388 4.229 20 0 DIADHN CCC(CC)N(C)Cc1cc(C(=O)c2ccc(F)cc2)cn1C ZINC001139317549 1046429386 /nfs/dbraw/zinc/42/93/86/1046429386.db2.gz IQNHSYVPBYFXBN-UHFFFAOYSA-N 0 3 316.420 4.016 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000090920852 1046430574 /nfs/dbraw/zinc/43/05/74/1046430574.db2.gz JRWDRRPICJZGHC-NVXWUHKLSA-N 0 3 322.811 4.039 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2F)CC1)c1cccnc1Cl ZINC000090919179 1046430925 /nfs/dbraw/zinc/43/09/25/1046430925.db2.gz UFEOWVJONOTWQS-NSHDSACASA-N 0 3 322.786 4.396 20 0 DIADHN c1cnc([C@H]2CCCN2CCc2ccc3ccccc3c2)nc1 ZINC000639842554 1046432382 /nfs/dbraw/zinc/43/23/82/1046432382.db2.gz GYGUILODXNKNGN-LJQANCHMSA-N 0 3 303.409 4.009 20 0 DIADHN c1cc(N2CCCCC2)ncc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001139344683 1046433589 /nfs/dbraw/zinc/43/35/89/1046433589.db2.gz KEOPTMYQTWCKHA-RBUKOAKNSA-N 0 3 313.489 4.227 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cc(Cl)cnc1Cl)CC2 ZINC001139352758 1046436460 /nfs/dbraw/zinc/43/64/60/1046436460.db2.gz YUQYSQQVRONKOC-UHFFFAOYSA-N 0 3 321.198 4.400 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139363627 1046438608 /nfs/dbraw/zinc/43/86/08/1046438608.db2.gz IGWBGSFBZLDECX-STQMWFEESA-N 0 3 323.893 4.409 20 0 DIADHN C[C@@H]1CCN(Cc2cccn2Cc2cnc(Cl)s2)[C@@H](C)C1 ZINC001139367668 1046438855 /nfs/dbraw/zinc/43/88/55/1046438855.db2.gz QRCSVKJESKUYQZ-OLZOCXBDSA-N 0 3 323.893 4.267 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCO[C@@H]2C(C)(C)C)c(C)s1 ZINC000676839529 1046440642 /nfs/dbraw/zinc/44/06/42/1046440642.db2.gz MRKKYKWAQTUYBH-PJODQICGSA-N 0 3 310.507 4.252 20 0 DIADHN CCC[C@@H](NCC(=O)N(CC)c1ccccc1)c1ccccc1 ZINC000781353726 1046440798 /nfs/dbraw/zinc/44/07/98/1046440798.db2.gz KYHAOHRCABHVLF-LJQANCHMSA-N 0 3 310.441 4.171 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(-c2cnccn2)cc1 ZINC001139392827 1046441943 /nfs/dbraw/zinc/44/19/43/1046441943.db2.gz ACNGDAUNQZQNRI-VMPITWQZSA-N 0 3 315.420 4.289 20 0 DIADHN Cc1cccc2c1CCN(Cc1ccc(-c3cnccn3)cc1)C2 ZINC001139392042 1046441972 /nfs/dbraw/zinc/44/19/72/1046441972.db2.gz YOCKCRNAGSPHRW-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN FC(F)(F)CCCCN1CCCO[C@@H](c2ccccc2)C1 ZINC000676887140 1046442967 /nfs/dbraw/zinc/44/29/67/1046442967.db2.gz JPOAYRFUPWESJM-OAHLLOKOSA-N 0 3 301.352 4.183 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC[C@]4(CCCO4)C3)o2)cc1 ZINC001139414916 1046447563 /nfs/dbraw/zinc/44/75/63/1046447563.db2.gz FAFNVZKJMVGBGA-GOSISDBHSA-N 0 3 317.816 4.355 20 0 DIADHN O=c1ccc(CN2CC[C@H](c3ccc(Cl)c(Cl)c3)C2)c[nH]1 ZINC001139468094 1046452974 /nfs/dbraw/zinc/45/29/74/1046452974.db2.gz RBIVGEKOGFRMOP-ZDUSSCGKSA-N 0 3 323.223 4.084 20 0 DIADHN O=c1ccc(CN2CC[C@@H](c3ccc(Cl)c(Cl)c3)C2)c[nH]1 ZINC001139468093 1046453226 /nfs/dbraw/zinc/45/32/26/1046453226.db2.gz RBIVGEKOGFRMOP-CYBMUJFWSA-N 0 3 323.223 4.084 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2ccc(F)cc2)cc1OC ZINC000124064111 1046453438 /nfs/dbraw/zinc/45/34/38/1046453438.db2.gz MPKWTOUELOKCES-QGZVFWFLSA-N 0 3 317.404 4.474 20 0 DIADHN CC[C@@H](NCc1cccc(OCCOC)c1)c1ccc(F)cc1 ZINC000124057724 1046453560 /nfs/dbraw/zinc/45/35/60/1046453560.db2.gz QNZGBSKUUIXKSG-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2NCc1ccc(-c2ccsc2)cc1 ZINC000640220254 1046453736 /nfs/dbraw/zinc/45/37/36/1046453736.db2.gz KXSDIPZLUHGJGU-KRWDZBQOSA-N 0 3 309.438 4.236 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCC[C@H](C4CC4)C3)o2)c1 ZINC000677051141 1046457776 /nfs/dbraw/zinc/45/77/76/1046457776.db2.gz FSIYYMQHWCCWFY-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN CSc1ccc(CN[C@@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677044178 1046458776 /nfs/dbraw/zinc/45/87/76/1046458776.db2.gz XSLNTXBJLZBCAT-QGZVFWFLSA-N 0 3 305.393 4.464 20 0 DIADHN Clc1ccc(CNC[C@@H]2OCCc3ccccc32)c(Cl)c1 ZINC000028540457 1046459183 /nfs/dbraw/zinc/45/91/83/1046459183.db2.gz GKWSJNNWQHBFKF-KRWDZBQOSA-N 0 3 322.235 4.397 20 0 DIADHN c1ccc(-c2ccc(CN3CC(c4ccccc4)C3)cn2)cc1 ZINC001139519627 1046461013 /nfs/dbraw/zinc/46/10/13/1046461013.db2.gz UYQNALWQAPMIDO-UHFFFAOYSA-N 0 3 300.405 4.348 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(SC(F)F)cc1 ZINC000781632042 1046464269 /nfs/dbraw/zinc/46/42/69/1046464269.db2.gz PHLAARIHUKELHG-UHFFFAOYSA-N 0 3 322.424 4.357 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CC[C@@H]4CCC[C@@H]4C3)c2c1 ZINC001139538636 1046466099 /nfs/dbraw/zinc/46/60/99/1046466099.db2.gz PJVCDLABUFBVRS-NWDGAFQWSA-N 0 3 323.362 4.204 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccccc3)C2)cnc1Cl ZINC001139543683 1046469211 /nfs/dbraw/zinc/46/92/11/1046469211.db2.gz GUGYPPIVVBYQBB-KRWDZBQOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccoc1CNc1cccc(CN2CCSCC2)c1C ZINC000125603882 1046470264 /nfs/dbraw/zinc/47/02/64/1046470264.db2.gz OWIHMBODHILIAD-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN COc1ccc(CN2CC3CC(C3)C2)c(OCc2ccccc2)c1 ZINC001139559105 1046470458 /nfs/dbraw/zinc/47/04/58/1046470458.db2.gz GLHZCGRPNSPYLR-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(c2ccccn2)CC1 ZINC000677188149 1046473295 /nfs/dbraw/zinc/47/32/95/1046473295.db2.gz OCGRNXGAIUFJPW-UHFFFAOYSA-N 0 3 324.468 4.496 20 0 DIADHN CO[C@@H]1CCN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139574370 1046476688 /nfs/dbraw/zinc/47/66/88/1046476688.db2.gz XFMVZOISGLBJQJ-OAHLLOKOSA-N 0 3 301.817 4.228 20 0 DIADHN C[C@@H]1C[C@@H](O)CCN1Cc1ccccc1-c1ccccc1Cl ZINC001139577347 1046477251 /nfs/dbraw/zinc/47/72/51/1046477251.db2.gz XGPAAPGHTYXBFX-ZBFHGGJFSA-N 0 3 315.844 4.352 20 0 DIADHN COC(CN(C)Cc1ccccc1-c1ccccc1Cl)OC ZINC001139577265 1046477276 /nfs/dbraw/zinc/47/72/76/1046477276.db2.gz UGMXIELPYAORBL-UHFFFAOYSA-N 0 3 319.832 4.058 20 0 DIADHN Cc1cnc(Cl)c(CN2CC[C@H](Cc3ccccc3)C2)c1 ZINC001139591564 1046478201 /nfs/dbraw/zinc/47/82/01/1046478201.db2.gz WGAHKZSNQVHKEM-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3cccnc3)C2)cc1Cl ZINC001139595902 1046480708 /nfs/dbraw/zinc/48/07/08/1046480708.db2.gz NKLBABXMLBPUIL-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1ccco1)c1ccc(Cl)cc1F ZINC000781877754 1046483521 /nfs/dbraw/zinc/48/35/21/1046483521.db2.gz IUFGDLGXZHNQTF-GDBMZVCRSA-N 0 3 311.784 4.092 20 0 DIADHN C[C@H](c1cccc2ccccc21)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640992502 1046483933 /nfs/dbraw/zinc/48/39/33/1046483933.db2.gz RNLZVSIEZKDPSE-FZKQIMNGSA-N 0 3 308.429 4.087 20 0 DIADHN CCOc1ccc(C)cc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001139607672 1046484032 /nfs/dbraw/zinc/48/40/32/1046484032.db2.gz ZZAAZZMPMZVHCP-CALCHBBNSA-N 0 3 307.437 4.480 20 0 DIADHN COC(CN[C@@H](C)c1ccccc1-c1ccc(Cl)cc1)OC ZINC000781902301 1046484134 /nfs/dbraw/zinc/48/41/34/1046484134.db2.gz AVNAKCRPCSFFJX-ZDUSSCGKSA-N 0 3 319.832 4.277 20 0 DIADHN COC(CN[C@@H](CC(C)C)c1cccc(C(F)(F)F)c1)OC ZINC000781902334 1046484910 /nfs/dbraw/zinc/48/49/10/1046484910.db2.gz DFMKZKMWGJIZFK-AWEZNQCLSA-N 0 3 319.367 4.001 20 0 DIADHN COc1cc(CN2CC[C@@H](c3ccc(F)cc3)C2)ccc1Cl ZINC001139615083 1046485918 /nfs/dbraw/zinc/48/59/18/1046485918.db2.gz ZBXRWIOMGQLHQD-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2ccncc2)cc1CC ZINC001139623418 1046488216 /nfs/dbraw/zinc/48/82/16/1046488216.db2.gz IEJLRXPUTJOKSD-IBGZPJMESA-N 0 3 310.441 4.380 20 0 DIADHN Cc1csc(CN2CCC[C@H](c3ccccn3)C2)c1Cl ZINC001137291183 1046489799 /nfs/dbraw/zinc/48/97/99/1046489799.db2.gz HPCLYRSBDDEBHL-ZDUSSCGKSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C1CC1)C1CCCCC1 ZINC000677437444 1046496260 /nfs/dbraw/zinc/49/62/60/1046496260.db2.gz PBOPHGDSHUKGAX-UHFFFAOYSA-N 0 3 320.864 4.384 20 0 DIADHN CC(=O)N1CC[C@H](NCc2coc3ccccc23)c2ccccc21 ZINC000677427377 1046496528 /nfs/dbraw/zinc/49/65/28/1046496528.db2.gz PLJBYYRSPWUDCS-SFHVURJKSA-N 0 3 320.392 4.020 20 0 DIADHN CN(C)c1cc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)ccn1 ZINC000782174261 1046497468 /nfs/dbraw/zinc/49/74/68/1046497468.db2.gz FFPPVJKGSFQAGR-SFHVURJKSA-N 0 3 315.436 4.164 20 0 DIADHN CN(C)c1cc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)ccn1 ZINC000782174260 1046498140 /nfs/dbraw/zinc/49/81/40/1046498140.db2.gz FFPPVJKGSFQAGR-GOSISDBHSA-N 0 3 315.436 4.164 20 0 DIADHN Cc1cc(O)cc(C)c1CN1CCC(C(=O)c2ccccc2)CC1 ZINC001139712151 1046504991 /nfs/dbraw/zinc/50/49/91/1046504991.db2.gz NAWUFVUFXZARCM-UHFFFAOYSA-N 0 3 323.436 4.104 20 0 DIADHN CC(C)[C@@H](NCc1ccncc1N)c1cccc(C(F)(F)F)c1 ZINC000641714459 1046507641 /nfs/dbraw/zinc/50/76/41/1046507641.db2.gz QTNDCOQSLQQRNV-MRXNPFEDSA-N 0 3 323.362 4.170 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc3cccnc3c2)c1 ZINC001139740132 1046508514 /nfs/dbraw/zinc/50/85/14/1046508514.db2.gz KTEPEYYJIGFFQE-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CCC(CC)(NCc1ccncc1N)c1ccc(Cl)cc1 ZINC000641725777 1046508502 /nfs/dbraw/zinc/50/85/02/1046508502.db2.gz BMFFBKQVSOTBPF-UHFFFAOYSA-N 0 3 303.837 4.122 20 0 DIADHN Fc1ccc(C2CCN(Cc3cnc4cccnc4c3)CC2)cc1 ZINC001139741406 1046509612 /nfs/dbraw/zinc/50/96/12/1046509612.db2.gz JUVLMTCLJMBXGN-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC1(C)CC[C@@H]2C[C@H](NCc3ccncc3N)c3cccc1c32 ZINC000641746397 1046509701 /nfs/dbraw/zinc/50/97/01/1046509701.db2.gz WNZRUQRQWIHQGX-ACJLOTCBSA-N 0 3 307.441 4.053 20 0 DIADHN Fc1ccc(CC2CN(Cc3cc(F)cc4cccnc43)C2)cc1 ZINC000677701015 1046512859 /nfs/dbraw/zinc/51/28/59/1046512859.db2.gz XGWXBCJOVWWFND-UHFFFAOYSA-N 0 3 324.374 4.188 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3ccc(C)cn23)cc1 ZINC001137308847 1046512927 /nfs/dbraw/zinc/51/29/27/1046512927.db2.gz ITTATOKGDILUME-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Br)cc2C)cn1 ZINC000641786758 1046514814 /nfs/dbraw/zinc/51/48/14/1046514814.db2.gz FGBGCOLPAPXPFI-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN c1nc(-c2ccccc2)sc1CN1CC(Cc2ccccc2)C1 ZINC000677716888 1046515326 /nfs/dbraw/zinc/51/53/26/1046515326.db2.gz JABGMAXESFERJJ-UHFFFAOYSA-N 0 3 320.461 4.485 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC[C@@H](CF)C3)s2)cc1 ZINC001139791756 1046515858 /nfs/dbraw/zinc/51/58/58/1046515858.db2.gz PCLWDKKUEOAVGB-ZDUSSCGKSA-N 0 3 320.433 4.000 20 0 DIADHN COc1ccc(-c2ncc(CN(C)C3CC(C)C3)s2)cc1 ZINC001139789138 1046516098 /nfs/dbraw/zinc/51/60/98/1046516098.db2.gz AHKJOOREUKNRID-UHFFFAOYSA-N 0 3 302.443 4.049 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1ccccc1C(F)F)CC2 ZINC001139800520 1046517931 /nfs/dbraw/zinc/51/79/31/1046517931.db2.gz OGKBMANXWUMXEX-UHFFFAOYSA-N 0 3 307.384 4.350 20 0 DIADHN FC(F)c1ccccc1CN1CC[C@H](OCc2ccccc2)C1 ZINC001139800248 1046518299 /nfs/dbraw/zinc/51/82/99/1046518299.db2.gz HKYAECKGABZNSZ-KRWDZBQOSA-N 0 3 317.379 4.415 20 0 DIADHN CN(Cc1ccc2c(c1)OCCO2)Cc1ccccc1C(F)F ZINC001139795521 1046520109 /nfs/dbraw/zinc/52/01/09/1046520109.db2.gz HYWMIHXZNYBNOZ-UHFFFAOYSA-N 0 3 319.351 4.027 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1ccccc1C(F)F ZINC001139801975 1046521834 /nfs/dbraw/zinc/52/18/34/1046521834.db2.gz HRMOEHHIEIUEPS-UHFFFAOYSA-N 0 3 319.351 4.375 20 0 DIADHN FC(F)c1ccccc1CN1CCC(c2ccncc2)CC1 ZINC001139801590 1046522080 /nfs/dbraw/zinc/52/20/80/1046522080.db2.gz YLIDUJWLKBJHKG-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)c1ccccc1N(C)C)CCC2 ZINC000359893455 1046528106 /nfs/dbraw/zinc/52/81/06/1046528106.db2.gz DRKHELOFDWHMSQ-SWLSCSKDSA-N 0 3 315.486 4.246 20 0 DIADHN Fc1cc(CN(CCc2ccccc2)Cc2ccccc2)ccn1 ZINC001139834386 1046530552 /nfs/dbraw/zinc/53/05/52/1046530552.db2.gz GDOSPWRNFKUBHY-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN CCC[C@@H](C(=O)N(c1ccccc1)C1CCN(C)CC1)C(C)C ZINC000677886558 1046533809 /nfs/dbraw/zinc/53/38/09/1046533809.db2.gz SRWSACKXXMNISP-LJQANCHMSA-N 0 3 316.489 4.186 20 0 DIADHN CSCc1cccc(CNCc2cncc3ccccc32)c1 ZINC000782535228 1046534894 /nfs/dbraw/zinc/53/48/94/1046534894.db2.gz MEAZLDZFMBODSF-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN C[C@H]1CN(Cc2cccc3c4ccccc4oc32)CCCC1=O ZINC001139858215 1046535306 /nfs/dbraw/zinc/53/53/06/1046535306.db2.gz ZQJWLZCPVKGOBH-AWEZNQCLSA-N 0 3 307.393 4.387 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2cscn2)CCCC1 ZINC000536624758 1046536951 /nfs/dbraw/zinc/53/69/51/1046536951.db2.gz HTJQEPLSFVLJBN-CQSZACIVSA-N 0 3 316.470 4.314 20 0 DIADHN c1ccc2c(c1)oc1c2cccc1CN1CCOC[C@H]1C1CC1 ZINC001139858933 1046537773 /nfs/dbraw/zinc/53/77/73/1046537773.db2.gz ZZEXYVFWGJEXLS-SFHVURJKSA-N 0 3 307.393 4.197 20 0 DIADHN CN(Cc1cc2ccccc2n1C)Cc1cccc2cccnc21 ZINC001139869976 1046537933 /nfs/dbraw/zinc/53/79/33/1046537933.db2.gz BVVPAPKMPMAINK-UHFFFAOYSA-N 0 3 315.420 4.359 20 0 DIADHN COc1cc([C@H](C)NCc2ccc3cccnc3c2)ccc1F ZINC000677966238 1046540780 /nfs/dbraw/zinc/54/07/80/1046540780.db2.gz JRYWDMOIHGUXPI-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CCC2(CCOC2)CC1 ZINC001139883655 1046542440 /nfs/dbraw/zinc/54/24/40/1046542440.db2.gz BRSNZZDPIJSRHX-UHFFFAOYSA-N 0 3 313.363 4.016 20 0 DIADHN CCOCOc1ccc(CNCc2cccc(Cl)c2)cc1 ZINC000782951287 1046546909 /nfs/dbraw/zinc/54/69/09/1046546909.db2.gz XTJMBXIKOCQATF-UHFFFAOYSA-N 0 3 305.805 4.003 20 0 DIADHN CC(=O)n1cc(CN(C)Cc2cccc(C)c2)c2ccccc21 ZINC001204405905 1046548583 /nfs/dbraw/zinc/54/85/83/1046548583.db2.gz DBBMDRNWURIFDF-UHFFFAOYSA-N 0 3 306.409 4.242 20 0 DIADHN CC(C)CCN(CC(=O)N[C@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000642094151 1046561173 /nfs/dbraw/zinc/56/11/73/1046561173.db2.gz UOWHIQLXDRRUME-CQSZACIVSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@H]1CCCCN1Cc1c[nH]c2cccc(Br)c12 ZINC001139964460 1046561039 /nfs/dbraw/zinc/56/10/39/1046561039.db2.gz KDULSKRXXPMKSY-NSHDSACASA-N 0 3 307.235 4.305 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1nn(C)c2ccccc12 ZINC000678140065 1046563948 /nfs/dbraw/zinc/56/39/48/1046563948.db2.gz HJUAEGSKAYIAFF-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc3ccccc3cn2)cc1 ZINC001140001762 1046564711 /nfs/dbraw/zinc/56/47/11/1046564711.db2.gz ZQSWRDXOQDQUMS-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2cc3ccccc3cn2)C1 ZINC001139997176 1046564762 /nfs/dbraw/zinc/56/47/62/1046564762.db2.gz AJDDCTGCMAYBCR-FQEVSTJZSA-N 0 3 318.420 4.197 20 0 DIADHN c1ccc(C2CCN(Cc3cc4ccccc4cn3)CC2)nc1 ZINC001139999116 1046566449 /nfs/dbraw/zinc/56/64/49/1046566449.db2.gz QOGKYEPQGSJHJM-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CC(=O)Nc1nc2ccc(Nc3ccnc(C4CC4)c3)cc2s1 ZINC001212940452 1046570230 /nfs/dbraw/zinc/57/02/30/1046570230.db2.gz DWKVELPLJSZJEK-UHFFFAOYSA-N 0 3 324.409 4.271 20 0 DIADHN c1nc(C2CCCCC2)sc1CN(C[C@@H]1CCOC1)C1CC1 ZINC000536632035 1046570203 /nfs/dbraw/zinc/57/02/03/1046570203.db2.gz NNJKALDGGUOBET-AWEZNQCLSA-N 0 3 320.502 4.192 20 0 DIADHN CCN(Cc1ccccc1F)Cc1ccccc1-c1cncnc1 ZINC001140022843 1046570987 /nfs/dbraw/zinc/57/09/87/1046570987.db2.gz WPNJDOVVLPURRF-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN CC(C)=CCC[C@@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000454283839 1046572822 /nfs/dbraw/zinc/57/28/22/1046572822.db2.gz BOPGVBFINSUOGH-OAHLLOKOSA-N 0 3 303.450 4.005 20 0 DIADHN Oc1ccc(F)c(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001140051912 1046574393 /nfs/dbraw/zinc/57/43/93/1046574393.db2.gz XYGPMTZGFMGPSF-CYBMUJFWSA-N 0 3 305.780 4.174 20 0 DIADHN COCc1ccc(CN(Cc2cccc(OC)c2)CC(C)C)o1 ZINC000678157535 1046574568 /nfs/dbraw/zinc/57/45/68/1046574568.db2.gz ZCKHHHXTMKEFAZ-UHFFFAOYSA-N 0 3 317.429 4.093 20 0 DIADHN Cc1cc(C)c(CN2CCC(Oc3ccc(Cl)cc3)CC2)[nH]1 ZINC001137323080 1046574773 /nfs/dbraw/zinc/57/47/73/1046574773.db2.gz XBYSYXSHXDZJPW-UHFFFAOYSA-N 0 3 318.848 4.328 20 0 DIADHN C1=CCN(Cc2c[nH]c3c2cccc3OCc2ccccc2)C1 ZINC001140030158 1046574792 /nfs/dbraw/zinc/57/47/92/1046574792.db2.gz XGUUGEAUNJYDBA-UHFFFAOYSA-N 0 3 304.393 4.119 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000642189958 1046577344 /nfs/dbraw/zinc/57/73/44/1046577344.db2.gz QFTIUGMLBKOBAG-BBRMVZONSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(C)cc(CN(CCCO)Cc2cccc(Cl)c2)c1 ZINC001168265274 1046577408 /nfs/dbraw/zinc/57/74/08/1046577408.db2.gz QLANUDZWSXTUAR-UHFFFAOYSA-N 0 3 317.860 4.341 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1n[nH]c2ccc(OC)cc21 ZINC001140069371 1046581557 /nfs/dbraw/zinc/58/15/57/1046581557.db2.gz TZCFPNWGPLWNAT-FQEVSTJZSA-N 0 3 321.424 4.081 20 0 DIADHN COc1ccc2[nH]nc(CN3CCC4(CCCCC4)CC3)c2c1 ZINC001140065165 1046582276 /nfs/dbraw/zinc/58/22/76/1046582276.db2.gz BJPYPPJZZGIOGY-UHFFFAOYSA-N 0 3 313.445 4.118 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC4(CCCCC4)CC3)c2c1 ZINC001140065165 1046582290 /nfs/dbraw/zinc/58/22/90/1046582290.db2.gz BJPYPPJZZGIOGY-UHFFFAOYSA-N 0 3 313.445 4.118 20 0 DIADHN COc1c(Cl)cccc1CN(C)CCc1cccc(F)c1 ZINC001140063038 1046583455 /nfs/dbraw/zinc/58/34/55/1046583455.db2.gz LRFDKNBWXOPIMR-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ccc(O)cc3Cl)C2)c1 ZINC001140086714 1046584720 /nfs/dbraw/zinc/58/47/20/1046584720.db2.gz DUPUNBIBVZLTAU-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@H](CC(C)C)c1ccccc1 ZINC000642253408 1046586830 /nfs/dbraw/zinc/58/68/30/1046586830.db2.gz JPELICNGENCIQP-SJLPKXTDSA-N 0 3 304.478 4.010 20 0 DIADHN Oc1ccc(CN2CC[C@@H](c3cccc(F)c3)C2)c(Cl)c1 ZINC001140083005 1046586730 /nfs/dbraw/zinc/58/67/30/1046586730.db2.gz FEDQFWXYTRPEND-CYBMUJFWSA-N 0 3 305.780 4.174 20 0 DIADHN FC[C@H]1CCCN(Cc2cnc(-c3ccc(F)cc3)s2)C1 ZINC001140095702 1046588079 /nfs/dbraw/zinc/58/80/79/1046588079.db2.gz DUIKOWJECHADJV-GFCCVEGCSA-N 0 3 308.397 4.131 20 0 DIADHN CCOCOc1ccc(CN2Cc3ccccc3[C@@H](C)C2)cc1 ZINC000783388167 1046588026 /nfs/dbraw/zinc/58/80/26/1046588026.db2.gz QCEJKZAJTGIKTR-INIZCTEOSA-N 0 3 311.425 4.179 20 0 DIADHN CCN(Cc1[nH]c(C)cc1C)Cc1cccc(Br)c1 ZINC001137331154 1046616012 /nfs/dbraw/zinc/61/60/12/1046616012.db2.gz RYUSUZKREZTOMZ-UHFFFAOYSA-N 0 3 321.262 4.416 20 0 DIADHN CCOCOc1ccc(CN2CCC[C@H]2c2ccsc2)cc1 ZINC000783409829 1046591052 /nfs/dbraw/zinc/59/10/52/1046591052.db2.gz QKJSJTJSJVQWHJ-SFHVURJKSA-N 0 3 317.454 4.458 20 0 DIADHN CC(C)CC[C@@H](NCc1cncn1C)c1ccc(Cl)cc1 ZINC000236609823 1046591205 /nfs/dbraw/zinc/59/12/05/1046591205.db2.gz NDASNOHYCISWTR-QGZVFWFLSA-N 0 3 305.853 4.341 20 0 DIADHN CN(CCCc1cc(-c2ccc(F)cc2)no1)Cc1ccco1 ZINC000678233953 1046594127 /nfs/dbraw/zinc/59/41/27/1046594127.db2.gz JWWKAIRAPIDPPH-UHFFFAOYSA-N 0 3 314.360 4.138 20 0 DIADHN CCc1nc(CCNCc2ccc([C@H]3C[C@H]3C)o2)sc1C ZINC000237039247 1046594965 /nfs/dbraw/zinc/59/49/65/1046594965.db2.gz CBAWPWAYGQGOIG-RISCZKNCSA-N 0 3 304.459 4.063 20 0 DIADHN CC(C)(C)n1cc(CN[C@H](c2ccc(F)cc2)C2CCC2)cn1 ZINC000105431128 1046597704 /nfs/dbraw/zinc/59/77/04/1046597704.db2.gz LLIZNEBAGHCVKP-SFHVURJKSA-N 0 3 315.436 4.408 20 0 DIADHN CCOCOc1ccc(CN2Cc3ccccc3C[C@@H]2C)cc1 ZINC000783490955 1046598614 /nfs/dbraw/zinc/59/86/14/1046598614.db2.gz WSSQHUHDVJUPSS-INIZCTEOSA-N 0 3 311.425 4.006 20 0 DIADHN CCOc1c(Cl)cc(CN2CCC[C@H]2C2CC2)cc1OC ZINC000678239502 1046599440 /nfs/dbraw/zinc/59/94/40/1046599440.db2.gz GMDUROQOQLDJBQ-HNNXBMFYSA-N 0 3 309.837 4.122 20 0 DIADHN CCOc1c(Cl)cc(CN2CCC[C@@H]2C2CC2)cc1OC ZINC000678239503 1046599814 /nfs/dbraw/zinc/59/98/14/1046599814.db2.gz GMDUROQOQLDJBQ-OAHLLOKOSA-N 0 3 309.837 4.122 20 0 DIADHN Clc1cccc2c1OCC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000237894036 1046600398 /nfs/dbraw/zinc/60/03/98/1046600398.db2.gz FBAUIHUPNPPMMD-KRWDZBQOSA-N 0 3 312.800 4.435 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1cccc2cnccc21 ZINC001140145212 1046600698 /nfs/dbraw/zinc/60/06/98/1046600698.db2.gz PMVBBHZBLFOONX-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000678259520 1046601910 /nfs/dbraw/zinc/60/19/10/1046601910.db2.gz SUTZWMYOUXTWTR-QGZVFWFLSA-N 0 3 309.384 4.091 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3nc(C)ccc3c2)cc1F ZINC000783508696 1046602729 /nfs/dbraw/zinc/60/27/29/1046602729.db2.gz CLKJOXWEGVKRHW-UHFFFAOYSA-N 0 3 324.399 4.323 20 0 DIADHN c1coc([C@@H]2CCN(Cc3cnc(-c4cccs4)s3)C2)c1 ZINC000678262719 1046604584 /nfs/dbraw/zinc/60/45/84/1046604584.db2.gz UWDFPJXIOWGBGS-GFCCVEGCSA-N 0 3 316.451 4.454 20 0 DIADHN CC1(C)Cc2cc(CNC3(c4ccc(F)cc4)CC3)ccc2O1 ZINC000729685981 1046605733 /nfs/dbraw/zinc/60/57/33/1046605733.db2.gz YRTFUVLTHZOHCR-UHFFFAOYSA-N 0 3 311.400 4.318 20 0 DIADHN C[C@@H]1COCCCN1Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC000678275030 1046606572 /nfs/dbraw/zinc/60/65/72/1046606572.db2.gz SPQPXIPZWTXQRN-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN CCCN(Cc1cscc1Cl)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000678276295 1046608797 /nfs/dbraw/zinc/60/87/97/1046608797.db2.gz DSDDHJFNWKVTGZ-BPLDGKMQSA-N 0 3 313.894 4.427 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCOc3ccccc32)[C@@H](c2ccccc2)CO1 ZINC000678279118 1046609440 /nfs/dbraw/zinc/60/94/40/1046609440.db2.gz HZWZDKUTNRLSKY-IMFGXOCKSA-N 0 3 323.436 4.015 20 0 DIADHN CCCN(Cc1cscc1Cl)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000678276298 1046609659 /nfs/dbraw/zinc/60/96/59/1046609659.db2.gz DSDDHJFNWKVTGZ-YUELXQCFSA-N 0 3 313.894 4.427 20 0 DIADHN O[C@H](CN1CC2(CCC2)[C@@H]1c1ccccc1)c1ccc(F)cc1 ZINC000834378031 1046612079 /nfs/dbraw/zinc/61/20/79/1046612079.db2.gz LJUVYFGPVAKPKZ-MOPGFXCFSA-N 0 3 311.400 4.086 20 0 DIADHN C[C@@H](c1cccs1)N(C)C[C@@H](O)c1ccc(F)cc1Cl ZINC000248422960 1046612271 /nfs/dbraw/zinc/61/22/71/1046612271.db2.gz FIUFAVGBQNCOKH-IINYFYTJSA-N 0 3 313.825 4.267 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@H](c3nccs3)C2)o1 ZINC000245550647 1046613730 /nfs/dbraw/zinc/61/37/30/1046613730.db2.gz AGUZEEZPDJANLS-NFAWXSAZSA-N 0 3 302.443 4.239 20 0 DIADHN Cc1cc(C)cc([C@H](N[C@@H]2CCS[C@H]2C)c2cccnc2)c1 ZINC000783636831 1046616862 /nfs/dbraw/zinc/61/68/62/1046616862.db2.gz CIFRKKGQYGOVAI-KFKAGJAMSA-N 0 3 312.482 4.271 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)C[C@@H]1COc2ccccc2O1 ZINC000642524711 1046617136 /nfs/dbraw/zinc/61/71/36/1046617136.db2.gz PIJZJTFDDGMQOW-XJKSGUPXSA-N 0 3 317.816 4.173 20 0 DIADHN c1c2ccccc2sc1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000678314475 1046618078 /nfs/dbraw/zinc/61/80/78/1046618078.db2.gz FPXFPAFJYFMXEM-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN CCc1ccc(NC(=O)[C@H]2CCCN2C2CCCC2)cc1Cl ZINC001140226239 1046619518 /nfs/dbraw/zinc/61/95/18/1046619518.db2.gz FRSIMASYAHLVRQ-QGZVFWFLSA-N 0 3 320.864 4.248 20 0 DIADHN Oc1cccc2[nH]cc(CN3CCC[C@@H]3c3ccc(F)cc3)c21 ZINC001140230631 1046620424 /nfs/dbraw/zinc/62/04/24/1046620424.db2.gz ZDHRAFPOGPNDKK-QGZVFWFLSA-N 0 3 310.372 4.350 20 0 DIADHN FC(F)(F)CCN(Cc1nccc2ccccc21)CC1CC1 ZINC000678335918 1046620631 /nfs/dbraw/zinc/62/06/31/1046620631.db2.gz PBKUDFFHHOKTMA-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2c[nH]c3cccc(O)c23)c1 ZINC001140234564 1046621639 /nfs/dbraw/zinc/62/16/39/1046621639.db2.gz CQUIPARPMHQNJO-SFHVURJKSA-N 0 3 322.408 4.219 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2c[nH]c3cccc(O)c23)cc1 ZINC001140233862 1046621669 /nfs/dbraw/zinc/62/16/69/1046621669.db2.gz WHOATQDICOLPIJ-GOSISDBHSA-N 0 3 322.408 4.219 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2c[nH]c3cccc(O)c23)cc1 ZINC001140235700 1046621708 /nfs/dbraw/zinc/62/17/08/1046621708.db2.gz MTVFYBWWKBNJAJ-CYBMUJFWSA-N 0 3 310.397 4.075 20 0 DIADHN CCCc1ccc(CNCc2ccc(N3CCCCC3)nc2)cc1 ZINC000642622929 1046625342 /nfs/dbraw/zinc/62/53/42/1046625342.db2.gz XWKICGZAGSYJEN-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccc(-n3ccnc3)nc2)c2sccc21 ZINC000834501478 1046625663 /nfs/dbraw/zinc/62/56/63/1046625663.db2.gz BRADPICOOODXTQ-BBRMVZONSA-N 0 3 324.453 4.057 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H]3CCC[C@@H](C)C3)co2)cc1 ZINC000642636419 1046625624 /nfs/dbraw/zinc/62/56/24/1046625624.db2.gz RHCZICAWROQZHE-RHSMWYFYSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cccc(OC(F)(F)F)c1 ZINC000678362520 1046625662 /nfs/dbraw/zinc/62/56/62/1046625662.db2.gz FWXTXAGFYIQODX-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@@H](CNCc1ncc(-c2ccccc2)s1)c1ccncc1 ZINC000678360690 1046625909 /nfs/dbraw/zinc/62/59/09/1046625909.db2.gz MMNHRPXXOHIMSI-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@@H](NC[C@@H](C)C(C)(C)C)c1nc(Br)cs1 ZINC000834590820 1046632454 /nfs/dbraw/zinc/63/24/54/1046632454.db2.gz YXQAROIMHCUCIK-RKDXNWHRSA-N 0 3 305.285 4.238 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nc(C)c3ccccc3n2)C1 ZINC000532943541 1046629125 /nfs/dbraw/zinc/62/91/25/1046629125.db2.gz RFLDAWRAVMKHEH-KRWDZBQOSA-N 0 3 317.436 4.236 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccc(C)cc1Cl)C1CC1 ZINC000532947635 1046631191 /nfs/dbraw/zinc/63/11/91/1046631191.db2.gz HSGPDLAJUAUXOC-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3c(s2)CCCC3)c(C)n1 ZINC000678413716 1046631491 /nfs/dbraw/zinc/63/14/91/1046631491.db2.gz KYLXOTLYMSUNNA-ZDUSSCGKSA-N 0 3 300.471 4.490 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2n1C ZINC000532980078 1046635200 /nfs/dbraw/zinc/63/52/00/1046635200.db2.gz GWMHTBXEWIPQIJ-GDBMZVCRSA-N 0 3 323.415 4.090 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccccc2-n2cccn2)c(C)n1 ZINC000678429865 1046637360 /nfs/dbraw/zinc/63/73/60/1046637360.db2.gz ZDFVSAAJFZSMPN-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN CCSCC[C@@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000834611666 1046638318 /nfs/dbraw/zinc/63/83/18/1046638318.db2.gz NIYWWCRWOQYLFE-BDAKNGLRSA-N 0 3 323.325 4.088 20 0 DIADHN CCn1ccnc1CN(CCc1ccc(F)cc1)C1CCCC1 ZINC000533023927 1046639511 /nfs/dbraw/zinc/63/95/11/1046639511.db2.gz TVOIZODAKIUWSU-UHFFFAOYSA-N 0 3 315.436 4.029 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC001140297268 1046644651 /nfs/dbraw/zinc/64/46/51/1046644651.db2.gz UYORGTZZRLBGQU-WHOFXGATSA-N 0 3 322.836 4.396 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)CC1CCCCC1 ZINC000533067335 1046647016 /nfs/dbraw/zinc/64/70/16/1046647016.db2.gz WHLXPBLJQABBQI-UHFFFAOYSA-N 0 3 302.462 4.144 20 0 DIADHN Cc1cnc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)s1 ZINC000678522023 1046649927 /nfs/dbraw/zinc/64/99/27/1046649927.db2.gz RZHSSKJAZCGXAA-OAHLLOKOSA-N 0 3 311.454 4.312 20 0 DIADHN C[C@H](NCC1(C2CC2)CCC1)c1nc(Br)cs1 ZINC000834689290 1046650116 /nfs/dbraw/zinc/65/01/16/1046650116.db2.gz MBNYGGMITPUEIA-VIFPVBQESA-N 0 3 315.280 4.137 20 0 DIADHN Cc1cnc(CN2CCCC[C@H]2CCc2ccccc2)s1 ZINC000678531911 1046651743 /nfs/dbraw/zinc/65/17/43/1046651743.db2.gz SATAOYSMUIPYCA-KRWDZBQOSA-N 0 3 300.471 4.439 20 0 DIADHN CC(C)(C)OC(=O)C[C@H]1CCCN(CCCCC(F)(F)F)C1 ZINC000533098810 1046653072 /nfs/dbraw/zinc/65/30/72/1046653072.db2.gz HSXJHTJBHBQQQH-CYBMUJFWSA-N 0 3 323.399 4.163 20 0 DIADHN CC[C@H](N[C@H](C)CC(=O)Nc1ccccc1)c1ccccc1F ZINC001203024543 1046654726 /nfs/dbraw/zinc/65/47/26/1046654726.db2.gz CNABXOUEAPVFCX-KDOFPFPSSA-N 0 3 314.404 4.284 20 0 DIADHN C[C@H](N[C@H]1CC2CCC1CC2)c1nc(Br)cs1 ZINC000834729461 1046656848 /nfs/dbraw/zinc/65/68/48/1046656848.db2.gz GJWCEJKWSLBWNR-PMUOWJKOSA-N 0 3 315.280 4.135 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140329878 1046658776 /nfs/dbraw/zinc/65/87/76/1046658776.db2.gz CUPJGAZSUBSTIV-CQSZACIVSA-N 0 3 309.413 4.073 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2[nH]nc3ccc(C)cc32)cc1 ZINC001140329878 1046658783 /nfs/dbraw/zinc/65/87/83/1046658783.db2.gz CUPJGAZSUBSTIV-CQSZACIVSA-N 0 3 309.413 4.073 20 0 DIADHN CCOc1ccccc1CCN[C@H](C)c1nc(C)sc1C ZINC000678582137 1046660455 /nfs/dbraw/zinc/66/04/55/1046660455.db2.gz DPARPCAOQYRHAG-GFCCVEGCSA-N 0 3 304.459 4.052 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](CC1CC1)c1ccccc1 ZINC000678581266 1046661035 /nfs/dbraw/zinc/66/10/35/1046661035.db2.gz PGQKIXWIDXYGQB-HXUWFJFHSA-N 0 3 322.452 4.319 20 0 DIADHN CO[C@@H]1CCOc2c(Nc3ccc4nc(C)[nH]c4c3)cccc21 ZINC001213076659 1046663000 /nfs/dbraw/zinc/66/30/00/1046663000.db2.gz WYIOVSUFRVKEAP-QGZVFWFLSA-N 0 3 309.369 4.085 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H]1CCCc2ccc(C)cc21 ZINC000678587494 1046663926 /nfs/dbraw/zinc/66/39/26/1046663926.db2.gz CZJOLYGIXHCAJT-RBUKOAKNSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1cc2ccccc2o1 ZINC000678586280 1046664637 /nfs/dbraw/zinc/66/46/37/1046664637.db2.gz XSAQONWJJGQOGO-VBKZILBWSA-N 0 3 307.393 4.397 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1cc(F)c(F)c(F)c1 ZINC000678589866 1046666247 /nfs/dbraw/zinc/66/62/47/1046666247.db2.gz RSQLSHWBVPUDFI-GTNSWQLSSA-N 0 3 321.342 4.069 20 0 DIADHN c1ccc2cc(C3CCN(Cc4ccncn4)CC3)ccc2c1 ZINC001140347441 1046668013 /nfs/dbraw/zinc/66/80/13/1046668013.db2.gz JIESKYUQNJZFDQ-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(F)cc1F)c1ccc(F)cc1 ZINC000678594932 1046669640 /nfs/dbraw/zinc/66/96/40/1046669640.db2.gz NNZLHDOHEOGEPR-APPDUMDISA-N 0 3 309.331 4.142 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@@H](OC)c1ccccc1Cl ZINC000678596834 1046670741 /nfs/dbraw/zinc/67/07/41/1046670741.db2.gz RPMRDWJJLXCZRH-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN CCN(Cc1ccc(-c2cncnc2)cc1)Cc1cccc(F)c1 ZINC001140357138 1046672287 /nfs/dbraw/zinc/67/22/87/1046672287.db2.gz RAJGCSYSCURAJZ-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN c1ccc([C@H]2CCN2Cc2ccc(-c3cncnc3)cc2)cc1 ZINC001140353458 1046672407 /nfs/dbraw/zinc/67/24/07/1046672407.db2.gz IQMUSCHIRGXPSZ-HXUWFJFHSA-N 0 3 301.393 4.091 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1sc(N2CCCC2)nc1Cl ZINC001140366132 1046674093 /nfs/dbraw/zinc/67/40/93/1046674093.db2.gz QQKGSQOJZFSZCU-LBPRGKRZSA-N 0 3 313.898 4.017 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)NCc1cc(C)ncn1 ZINC000678605321 1046675484 /nfs/dbraw/zinc/67/54/84/1046675484.db2.gz PJOPQNMEMAUXAD-AWEZNQCLSA-N 0 3 321.877 4.099 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H]2CCc3cc(Cl)ccc32)C1 ZINC000678606903 1046676763 /nfs/dbraw/zinc/67/67/63/1046676763.db2.gz XTZBNVOHDYFYRR-MOPGFXCFSA-N 0 3 312.844 4.104 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000678608505 1046677294 /nfs/dbraw/zinc/67/72/94/1046677294.db2.gz XBURNVUCILAMCG-WCBMZHEXSA-N 0 3 322.663 4.458 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000678611618 1046678081 /nfs/dbraw/zinc/67/80/81/1046678081.db2.gz NAJWOFZCZPCDNJ-UVWXRNBGSA-N 0 3 308.422 4.017 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3ccc(F)cc3F)CC2)c(C)s1 ZINC000678609161 1046679093 /nfs/dbraw/zinc/67/90/93/1046679093.db2.gz GRKMNSOLISAXKG-JTQLQIEISA-N 0 3 322.424 4.421 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC000533288430 1046686589 /nfs/dbraw/zinc/68/65/89/1046686589.db2.gz IJYFKRVELOYWEF-KRWDZBQOSA-N 0 3 302.462 4.205 20 0 DIADHN Brc1ccc2oc(CN3CCCCCCC3)nc2c1 ZINC000795850030 1046687235 /nfs/dbraw/zinc/68/72/35/1046687235.db2.gz DWIKTJSFSUNLNS-UHFFFAOYSA-N 0 3 323.234 4.356 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CCC[C@H]3CCC[C@@H]32)cn1 ZINC001140398829 1046688938 /nfs/dbraw/zinc/68/89/38/1046688938.db2.gz AFZDGMWSIVOQCZ-QAPCUYQASA-N 0 3 311.404 4.047 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CCC[C@@H]3CCC[C@@H]32)cn1 ZINC001140398830 1046689126 /nfs/dbraw/zinc/68/91/26/1046689126.db2.gz AFZDGMWSIVOQCZ-YJBOKZPZSA-N 0 3 311.404 4.047 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CN(C)Cc3ccccc32)C2CC2)cc1 ZINC000678695508 1046689182 /nfs/dbraw/zinc/68/91/82/1046689182.db2.gz JRTCVKVCKAUPIS-SFTDATJTSA-N 0 3 306.453 4.222 20 0 DIADHN c1ccc(CC2CCN(Cc3n[nH]c4ccccc34)CC2)cc1 ZINC001137344147 1046689718 /nfs/dbraw/zinc/68/97/18/1046689718.db2.gz ZPLBLVASOYMSOU-UHFFFAOYSA-N 0 3 305.425 4.018 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2[nH]nc3ccccc32)c1 ZINC001137348802 1046693190 /nfs/dbraw/zinc/69/31/90/1046693190.db2.gz PTYMWUFODLBYQV-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CC(C)Oc1ncccc1CN[C@H]1c2ccccc2CC[C@H]1C ZINC000678766492 1046695133 /nfs/dbraw/zinc/69/51/33/1046695133.db2.gz SVODBAYIHACYHO-DNVCBOLYSA-N 0 3 310.441 4.282 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2c3ccccc3CC[C@H]2C)cn1 ZINC000678765750 1046695385 /nfs/dbraw/zinc/69/53/85/1046695385.db2.gz DYTKDMWXJVQPCU-FOIQADDNSA-N 0 3 310.441 4.282 20 0 DIADHN C[C@@H](Cc1ccc(-c2ccccc2)cc1)N[C@@H](C)c1nccn1C ZINC000678768440 1046696202 /nfs/dbraw/zinc/69/62/02/1046696202.db2.gz ZJHCDQUOEQEBGM-IRXDYDNUSA-N 0 3 319.452 4.369 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccc(OCC2CC2)nc1 ZINC000678768996 1046696873 /nfs/dbraw/zinc/69/68/73/1046696873.db2.gz YCMPJNQSHMSACI-YCRPNKLZSA-N 0 3 322.452 4.284 20 0 DIADHN C[C@H](Cc1ccc(-c2ccccc2)cc1)N[C@@H](C)c1nccn1C ZINC000678768441 1046697357 /nfs/dbraw/zinc/69/73/57/1046697357.db2.gz ZJHCDQUOEQEBGM-SJORKVTESA-N 0 3 319.452 4.369 20 0 DIADHN c1ccc(CN(Cc2n[nH]c3ccccc23)C2CCCCC2)nc1 ZINC001137349763 1046697937 /nfs/dbraw/zinc/69/79/37/1046697937.db2.gz JIGMHTZAHPEPCJ-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN c1ccc(CN(Cc2[nH]nc3ccccc32)C2CCCCC2)nc1 ZINC001137349763 1046697947 /nfs/dbraw/zinc/69/79/47/1046697947.db2.gz JIGMHTZAHPEPCJ-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN CC(C)[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccc(F)cn1 ZINC000834885087 1046698461 /nfs/dbraw/zinc/69/84/61/1046698461.db2.gz SWTUMWBGJCJMMJ-QWHCGFSZSA-N 0 3 305.224 4.091 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccc2ccccc2n1 ZINC000834885124 1046700798 /nfs/dbraw/zinc/70/07/98/1046700798.db2.gz TUHHHQICJUXQBO-IAQYHMDHSA-N 0 3 309.240 4.469 20 0 DIADHN CN(CC[C@@H](O)c1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC000795969837 1046701109 /nfs/dbraw/zinc/70/11/09/1046701109.db2.gz QXUOFOJXJJZZCM-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](CC2CC2)c2ccccc2)cc1 ZINC000678778153 1046701447 /nfs/dbraw/zinc/70/14/47/1046701447.db2.gz YAGKNMDJRIWNDX-LJQANCHMSA-N 0 3 308.425 4.065 20 0 DIADHN CS[C@@H](CNCc1c(Cl)n(C)nc1C(C)C)C(C)(C)C ZINC000784624585 1046701626 /nfs/dbraw/zinc/70/16/26/1046701626.db2.gz AHHRVURGXPCFSS-LBPRGKRZSA-N 0 3 317.930 4.064 20 0 DIADHN CC1(F)CCN(Cc2cnc(-c3cccc(F)c3)s2)CC1 ZINC001140416420 1046704066 /nfs/dbraw/zinc/70/40/66/1046704066.db2.gz CUQHDXPBXMSUBN-UHFFFAOYSA-N 0 3 308.397 4.273 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCN1C(=O)OC(C)(C)C)c1ccco1 ZINC000834893489 1046704848 /nfs/dbraw/zinc/70/48/48/1046704848.db2.gz OEZWEYDMMDARGI-LSDHHAIUSA-N 0 3 322.449 4.110 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cnc(-c3cccc(F)c3)s2)C1 ZINC001140416380 1046705041 /nfs/dbraw/zinc/70/50/41/1046705041.db2.gz BLNBKFNEEUHFDP-INIZCTEOSA-N 0 3 308.397 4.131 20 0 DIADHN CC(C)c1ccc([C@H](C)OC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000784729307 1046708512 /nfs/dbraw/zinc/70/85/12/1046708512.db2.gz NMRZOGGIBWNMJU-HNNXBMFYSA-N 0 3 315.457 4.289 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1ccnc(Cl)c1 ZINC000834902584 1046712292 /nfs/dbraw/zinc/71/22/92/1046712292.db2.gz HHKJUEGLLKDZFN-CJNGLKHVSA-N 0 3 315.848 4.357 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1ccc(Cl)nc1 ZINC000834902577 1046712474 /nfs/dbraw/zinc/71/24/74/1046712474.db2.gz HGOSJRWGDZSQPQ-CJNGLKHVSA-N 0 3 315.848 4.357 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccc(Cl)nc1 ZINC000834902581 1046712833 /nfs/dbraw/zinc/71/28/33/1046712833.db2.gz HGOSJRWGDZSQPQ-XJKSGUPXSA-N 0 3 315.848 4.357 20 0 DIADHN COc1cc(CN[C@H]2CCCc3nc(C)sc32)ccc1Cl ZINC000834903675 1046714184 /nfs/dbraw/zinc/71/41/84/1046714184.db2.gz LJXAHIDAKHBUEI-ZDUSSCGKSA-N 0 3 322.861 4.281 20 0 DIADHN CCc1nn(C)cc1CN1CCCCC[C@@H]1c1ccc(C)o1 ZINC000678832298 1046714236 /nfs/dbraw/zinc/71/42/36/1046714236.db2.gz GCNNTKMYAHQXDC-QGZVFWFLSA-N 0 3 301.434 4.001 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc2c(s1)CCC2 ZINC000678835844 1046716353 /nfs/dbraw/zinc/71/63/53/1046716353.db2.gz OMPYHJHOGBCOQK-OAHLLOKOSA-N 0 3 300.471 4.085 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2c(s1)CCC2 ZINC000678838381 1046717939 /nfs/dbraw/zinc/71/79/39/1046717939.db2.gz VYSZQGHEFPHXLQ-ZBFHGGJFSA-N 0 3 312.482 4.085 20 0 DIADHN Clc1cccc2[nH]cc(CN3CCC(OC4CCC4)CC3)c21 ZINC001140433147 1046719385 /nfs/dbraw/zinc/71/93/85/1046719385.db2.gz RHLGKVHMMAKEQN-UHFFFAOYSA-N 0 3 318.848 4.355 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H](C)c2ccc(C)s2)c1 ZINC000796702198 1046720051 /nfs/dbraw/zinc/72/00/51/1046720051.db2.gz KRYRJPPKZGSKNM-GHMZBOCLSA-N 0 3 309.456 4.316 20 0 DIADHN CC(C)CC[C@H](NC[C@H](O)c1cccc(F)c1)c1ccoc1 ZINC000796708223 1046721701 /nfs/dbraw/zinc/72/17/01/1046721701.db2.gz QJOHHMBIFDUEHO-ROUUACIJSA-N 0 3 305.393 4.219 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CCCCC1)c1ccc(=O)[nH]n1 ZINC000796718649 1046722651 /nfs/dbraw/zinc/72/26/51/1046722651.db2.gz XEQFXMPZAHAUDA-LIRRHRJNSA-N 0 3 311.429 4.154 20 0 DIADHN Cc1ccc(Cl)nc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC001140447748 1046727934 /nfs/dbraw/zinc/72/79/34/1046727934.db2.gz KKFRSQHFDZWGFE-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(C)cc2)o1)C1CCCCC1 ZINC000678895978 1046728382 /nfs/dbraw/zinc/72/83/82/1046728382.db2.gz WIMVAFFORGTTKE-KRWDZBQOSA-N 0 3 313.445 4.494 20 0 DIADHN Cc1ccc(Cl)nc1CN1CCC[C@@H](c2ccccc2)C1 ZINC001140447758 1046728565 /nfs/dbraw/zinc/72/85/65/1046728565.db2.gz KMXAVPHAKALPDN-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)N(Cc1nc(-c2ccc(Cl)cc2)no1)CC1CC1 ZINC000533495368 1046733374 /nfs/dbraw/zinc/73/33/74/1046733374.db2.gz SWZKAXMATWVPNJ-UHFFFAOYSA-N 0 3 305.809 4.010 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(C(C)C)no2)C12CCCCC2 ZINC000678926373 1046733253 /nfs/dbraw/zinc/73/32/53/1046733253.db2.gz AISNIUBJJXXTHK-DLBZAZTESA-N 0 3 306.450 4.016 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H](OC(C)C)c1ccccc1 ZINC000644681837 1046734365 /nfs/dbraw/zinc/73/43/65/1046734365.db2.gz BXQNFNNXMYRKJH-GUYCJALGSA-N 0 3 302.418 4.108 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H](OC(C)C)c1ccccc1 ZINC000644681838 1046735492 /nfs/dbraw/zinc/73/54/92/1046735492.db2.gz BXQNFNNXMYRKJH-SUMWQHHRSA-N 0 3 302.418 4.108 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccccc3)[C@H]2C)nc2ccccc12 ZINC000533484566 1046735750 /nfs/dbraw/zinc/73/57/50/1046735750.db2.gz PZQKWOXOAGTJAS-APWZRJJASA-N 0 3 317.436 4.316 20 0 DIADHN CC[C@@H](O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000533507660 1046737799 /nfs/dbraw/zinc/73/77/99/1046737799.db2.gz WQRKEDPZFNAXTM-DOMZBBRYSA-N 0 3 301.352 4.003 20 0 DIADHN CC(C)CC[C@H](NC[C@H](O)c1c(F)cccc1F)c1ccoc1 ZINC000796814962 1046738559 /nfs/dbraw/zinc/73/85/59/1046738559.db2.gz BHMDAKTZOVADLO-IRXDYDNUSA-N 0 3 323.383 4.358 20 0 DIADHN C[C@@H]1SCC[C@@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001203039767 1046739474 /nfs/dbraw/zinc/73/94/74/1046739474.db2.gz UJBQZSPITPZBGT-UFBFGSQYSA-N 0 3 309.784 4.342 20 0 DIADHN c1csc(-c2ncc(CN3CCC(C4CC4)CC3)s2)c1 ZINC000644838645 1046743164 /nfs/dbraw/zinc/74/31/64/1046743164.db2.gz VHEQLHAZFIRQPU-UHFFFAOYSA-N 0 3 304.484 4.494 20 0 DIADHN COc1ccccc1OCCCN[C@@H](C)c1cccc(F)c1F ZINC000678946311 1046743758 /nfs/dbraw/zinc/74/37/58/1046743758.db2.gz UQBXGUCOIAASTC-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN CC[NH+](CC)Cc1ccccc1Nc1cc(F)c([O-])c(F)c1 ZINC001211993687 1046744433 /nfs/dbraw/zinc/74/44/33/1046744433.db2.gz AIWBNRGVJXWYET-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccccc2OC(F)F)C1 ZINC000533538496 1046745610 /nfs/dbraw/zinc/74/56/10/1046745610.db2.gz YNPBIRVRQYUGOR-ZDUSSCGKSA-N 0 3 301.402 4.006 20 0 DIADHN CC(C)CC[C@H](NC[C@H]1COC2(CCCC2)O1)c1ccoc1 ZINC000796856374 1046747259 /nfs/dbraw/zinc/74/72/59/1046747259.db2.gz UEBOMDZCKXAKIK-IRXDYDNUSA-N 0 3 307.434 4.032 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1cccc2c1CCC2=O ZINC001211993995 1046747290 /nfs/dbraw/zinc/74/72/90/1046747290.db2.gz ITDOIQJWYRQLSV-UHFFFAOYSA-N 0 3 308.425 4.401 20 0 DIADHN CC(C)Oc1ccc([C@H]2CCC[C@@H]2NCc2cscn2)cc1 ZINC000679000215 1046752854 /nfs/dbraw/zinc/75/28/54/1046752854.db2.gz YHAMQHHIWIVUKI-MSOLQXFVSA-N 0 3 316.470 4.356 20 0 DIADHN COc1ncc(CN(Cc2ccccc2)C(C)C)cc1Cl ZINC000533558757 1046752963 /nfs/dbraw/zinc/75/29/63/1046752963.db2.gz OQQTVLBYDOFWDJ-UHFFFAOYSA-N 0 3 304.821 4.154 20 0 DIADHN C[C@H](N[C@H]1CCCc2c(Cl)sc(Cl)c21)C(C)(C)O ZINC000679016400 1046757826 /nfs/dbraw/zinc/75/78/26/1046757826.db2.gz NERUYYITZPUIRA-CBAPKCEASA-N 0 3 308.274 4.181 20 0 DIADHN Cc1csc(C2(N[C@H]3Cc4ccc(Cl)cc4C3)CCC2)n1 ZINC000679024327 1046759709 /nfs/dbraw/zinc/75/97/09/1046759709.db2.gz YLUBKKFBWRFPLA-HNNXBMFYSA-N 0 3 318.873 4.241 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C1(C)CCCCCC1 ZINC001140515272 1046760494 /nfs/dbraw/zinc/76/04/94/1046760494.db2.gz ZHQBTXFYDAOLAY-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN c1nc(CN[C@@H](CCc2ccccc2)Cc2ccccc2)co1 ZINC000679049289 1046764097 /nfs/dbraw/zinc/76/40/97/1046764097.db2.gz ROCCZYFNIPUTHB-IBGZPJMESA-N 0 3 306.409 4.008 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1c(O)cccc1C(C)=O ZINC001211996439 1046765028 /nfs/dbraw/zinc/76/50/28/1046765028.db2.gz ZGBFCTOYGLRFEI-UHFFFAOYSA-N 0 3 312.413 4.180 20 0 DIADHN CCn1cc(CNCc2sc3c(cccc3Cl)c2C)cn1 ZINC000645156468 1046769035 /nfs/dbraw/zinc/76/90/35/1046769035.db2.gz BGXFMMYLFVQZND-UHFFFAOYSA-N 0 3 319.861 4.369 20 0 DIADHN CCc1ccc([C@H](O)CN[C@H](C)c2ccc3ccccc3n2)cc1 ZINC000797014763 1046770107 /nfs/dbraw/zinc/77/01/07/1046770107.db2.gz DWZPNFMNVYRYPF-QVKFZJNVSA-N 0 3 320.436 4.181 20 0 DIADHN Cc1sccc1CNCc1cccc(OCc2ccccn2)c1 ZINC000645146268 1046770290 /nfs/dbraw/zinc/77/02/90/1046770290.db2.gz GETPVDQIMOSHOI-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN C[C@H]1CN(Cc2ccccn2)CC[C@H]1Nc1ccc(C2CC2)cc1 ZINC000679089100 1046772648 /nfs/dbraw/zinc/77/26/48/1046772648.db2.gz GECQGDIVHZDBKV-HRAATJIYSA-N 0 3 321.468 4.282 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](C)[C@@H]1CCCCO1 ZINC000679092032 1046775051 /nfs/dbraw/zinc/77/50/51/1046775051.db2.gz KDNGLDAYSRUHNI-XEZPLFJOSA-N 0 3 311.853 4.347 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1ccncc1F ZINC001258675338 1046779944 /nfs/dbraw/zinc/77/99/44/1046779944.db2.gz PWFJDIYLXCDODN-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@H]1CCCCO1 ZINC000432253313 1046784034 /nfs/dbraw/zinc/78/40/34/1046784034.db2.gz KCVMHMDTSLMWHC-BXKDBHETSA-N 0 3 306.208 4.180 20 0 DIADHN COCCN(Cc1cc(Cl)ccc1C(F)(F)F)C(C)C ZINC001140566558 1046785624 /nfs/dbraw/zinc/78/56/24/1046785624.db2.gz KWIUIBOGKLNIJD-UHFFFAOYSA-N 0 3 309.759 4.216 20 0 DIADHN COc1ccc2nc(CN[C@@H]3C[C@H]3CC(C)(C)C)sc2c1 ZINC001203048117 1046787614 /nfs/dbraw/zinc/78/76/14/1046787614.db2.gz FXZMGPVLNXUWRU-SMDDNHRTSA-N 0 3 304.459 4.219 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2nc3c(s2)CCCC3)C12CCC2 ZINC000246510417 1046809894 /nfs/dbraw/zinc/80/98/94/1046809894.db2.gz TZHDUGHUNKPAPR-DAXOMENPSA-N 0 3 320.502 4.020 20 0 DIADHN CC(C)[C@H](NCc1ccc(CO)cc1)c1cc2ccccc2o1 ZINC000679321241 1046809739 /nfs/dbraw/zinc/80/97/39/1046809739.db2.gz PRGXRZIHZJNOEI-FQEVSTJZSA-N 0 3 309.409 4.412 20 0 DIADHN [O-]c1ccc(Nc2ccccc2C[NH+]2CCCC2)c(F)c1F ZINC001212008918 1046814872 /nfs/dbraw/zinc/81/48/72/1046814872.db2.gz HCKSOGICPYLJPH-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN CC(C)(C)C1CCN(Cc2ccc(OC(F)F)c(O)c2)CC1 ZINC001140625368 1046816683 /nfs/dbraw/zinc/81/66/83/1046816683.db2.gz YNWRBXZSMJJDTN-UHFFFAOYSA-N 0 3 313.388 4.252 20 0 DIADHN COc1ccc2c(c1)CN(Cc1csc3ccccc13)CC2 ZINC001140636474 1046822482 /nfs/dbraw/zinc/82/24/82/1046822482.db2.gz KRZFBKFLIZRIMD-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CCC[C@H]3CCOC3)nc2c1 ZINC000645835754 1046822745 /nfs/dbraw/zinc/82/27/45/1046822745.db2.gz YGDZUNUDZBAPFV-DOTOQJQBSA-N 0 3 314.429 4.172 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCC[C@H]3CCC[C@H]32)o1 ZINC000247181978 1046824562 /nfs/dbraw/zinc/82/45/62/1046824562.db2.gz BJQXYUVACAADKS-IUODEOHRSA-N 0 3 317.820 4.155 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(OCC2CC2)c(F)c1 ZINC000679393591 1046835738 /nfs/dbraw/zinc/83/57/38/1046835738.db2.gz HZJFXTWLCUOPEF-ZDUSSCGKSA-N 0 3 315.388 4.171 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@@H](C)[C@@H]1C ZINC000248344647 1046840587 /nfs/dbraw/zinc/84/05/87/1046840587.db2.gz LVMSJEVMRVUITD-KBMXLJTQSA-N 0 3 302.462 4.176 20 0 DIADHN Cc1[nH]nc(CNc2cc(O[C@@H](C)c3ccccc3)ccn2)c1C ZINC001203055739 1046841065 /nfs/dbraw/zinc/84/10/65/1046841065.db2.gz RMBHMSXUYFVGIT-HNNXBMFYSA-N 0 3 322.412 4.174 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)CC1(C)CCC1 ZINC001276326215 1046842852 /nfs/dbraw/zinc/84/28/52/1046842852.db2.gz NKJAGCWODQOMQI-OKZBNKHCSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H]1CCN(Cc2cc3ccccc3[nH]c2=O)[C@H](c2ccco2)C1 ZINC000076184295 1046844711 /nfs/dbraw/zinc/84/47/11/1046844711.db2.gz NMPMMEDZJQEPFV-KDOFPFPSSA-N 0 3 322.408 4.094 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3C)C2)cc(Cl)n1 ZINC000797590188 1046857018 /nfs/dbraw/zinc/85/70/18/1046857018.db2.gz NEZGMPVMERQNRM-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN Nc1ccc(Cl)cc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC001140717199 1046872815 /nfs/dbraw/zinc/87/28/15/1046872815.db2.gz OKDWYCWWGIKQBJ-CYBMUJFWSA-N 0 3 304.796 4.051 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](OC)c1ccccc1Cl ZINC000679577820 1046873648 /nfs/dbraw/zinc/87/36/48/1046873648.db2.gz VPANRSOXZHRBEW-SUMWQHHRSA-N 0 3 318.848 4.336 20 0 DIADHN COc1ccc(CN2CC[C@@H]3CCC[C@H]3C2)c(Br)c1 ZINC001140757087 1046888509 /nfs/dbraw/zinc/88/85/09/1046888509.db2.gz DNXMAGKMOAERAZ-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3c(Cl)ncc4ccccc43)C[C@@H]21 ZINC001140721715 1046875925 /nfs/dbraw/zinc/87/59/25/1046875925.db2.gz XIOOHXFNKVLOHN-DOMZBBRYSA-N 0 3 322.786 4.365 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1c(Cl)ncc2ccccc21 ZINC001140722116 1046876046 /nfs/dbraw/zinc/87/60/46/1046876046.db2.gz LNUQBYYPBZBRIV-HNNXBMFYSA-N 0 3 318.848 4.279 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1n[nH]c2ccccc21)\c1ccccc1 ZINC000679582583 1046876878 /nfs/dbraw/zinc/87/68/78/1046876878.db2.gz DELOELCAGGIOJD-GZKZCVOOSA-N 0 3 303.409 4.241 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1[nH]nc2ccccc21)\c1ccccc1 ZINC000679582583 1046876895 /nfs/dbraw/zinc/87/68/95/1046876895.db2.gz DELOELCAGGIOJD-GZKZCVOOSA-N 0 3 303.409 4.241 20 0 DIADHN CC(C)(O)CN(Cc1ccc(C(C)(C)C)[nH]1)Cc1ccccc1 ZINC001137379113 1046881048 /nfs/dbraw/zinc/88/10/48/1046881048.db2.gz LGCATPMDDKUGBH-UHFFFAOYSA-N 0 3 314.473 4.085 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1cccc(-n2cccn2)c1 ZINC000679584451 1046881649 /nfs/dbraw/zinc/88/16/49/1046881649.db2.gz PGYBMCZHURSVFP-HIFRSBDPSA-N 0 3 323.362 4.035 20 0 DIADHN Cn1cnc2ccc(CN3CCC[C@@H]3c3ccc(F)cc3)cc21 ZINC001140740394 1046882897 /nfs/dbraw/zinc/88/28/97/1046882897.db2.gz JVYXMLOWQFSBCC-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)NC1CSC1 ZINC000679626039 1046886016 /nfs/dbraw/zinc/88/60/16/1046886016.db2.gz OBCJCMBLXCQAPY-SECBINFHSA-N 0 3 308.447 4.024 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccc(F)cc3C)CC2)cc1 ZINC001140780393 1046893978 /nfs/dbraw/zinc/89/39/78/1046893978.db2.gz ZGXRCRSWWHMSMY-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccc(C)nc1N1CCCC1 ZINC000679723078 1046893943 /nfs/dbraw/zinc/89/39/43/1046893943.db2.gz IHKZBCOQZDGNJD-HNNXBMFYSA-N 0 3 315.486 4.211 20 0 DIADHN Cc1ccc(CN[C@@H]2c3ccccc3C[C@@H]2C)c(N2CCCC2)n1 ZINC000679722772 1046895356 /nfs/dbraw/zinc/89/53/56/1046895356.db2.gz FREDSBSZMPJWLC-YWZLYKJASA-N 0 3 321.468 4.013 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1cc2ccccc2[nH]1 ZINC000679769282 1046902928 /nfs/dbraw/zinc/90/29/28/1046902928.db2.gz YFZUEBWHJBGJSG-GOSISDBHSA-N 0 3 310.372 4.311 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2ccccc2C)s1 ZINC000303250317 1046903604 /nfs/dbraw/zinc/90/36/04/1046903604.db2.gz BDXOGPKITADATH-CQSZACIVSA-N 0 3 304.459 4.140 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3ccc(OC)cc32)cc1 ZINC000161669377 1046909456 /nfs/dbraw/zinc/90/94/56/1046909456.db2.gz FFEIETWBTJFYCK-FQEVSTJZSA-N 0 3 311.425 4.261 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3ccc(OC)cc32)cc1 ZINC000161669235 1046909966 /nfs/dbraw/zinc/90/99/66/1046909966.db2.gz FFEIETWBTJFYCK-HXUWFJFHSA-N 0 3 311.425 4.261 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2cnc3cc[nH]cc2-3)c1 ZINC001140806936 1046911298 /nfs/dbraw/zinc/91/12/98/1046911298.db2.gz YBCQUKKZQGEDKL-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2c[nH]c3ccncc23)c1 ZINC001140806936 1046911312 /nfs/dbraw/zinc/91/13/12/1046911312.db2.gz YBCQUKKZQGEDKL-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN CO[C@@H]1CCCN([C@H](C)c2ccccc2Br)CC1 ZINC000679953179 1046917056 /nfs/dbraw/zinc/91/70/56/1046917056.db2.gz MFAIIMOFPRZLNW-CHWSQXEVSA-N 0 3 312.251 4.011 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc3c(c2)OCCCO3)s1 ZINC000161962743 1046919839 /nfs/dbraw/zinc/91/98/39/1046919839.db2.gz QXSRJJYZFQIOHJ-ZDUSSCGKSA-N 0 3 317.454 4.323 20 0 DIADHN C[C@@H]1c2ccccc2OCCN1Cc1cccc2cnccc21 ZINC000679983141 1046920434 /nfs/dbraw/zinc/92/04/34/1046920434.db2.gz KYCCXJOSIHCLIX-OAHLLOKOSA-N 0 3 304.393 4.190 20 0 DIADHN C[C@@H](Cc1ccsc1)N1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000679988908 1046922528 /nfs/dbraw/zinc/92/25/28/1046922528.db2.gz CSWSVQGASGYKTK-HDMKZQKVSA-N 0 3 301.455 4.141 20 0 DIADHN Cc1n[nH]cc1CN1CCCCC[C@@H]1/C=C/c1cccs1 ZINC000680003022 1046927545 /nfs/dbraw/zinc/92/75/45/1046927545.db2.gz KRSQLMXWSQQNSC-ROJDOSBLSA-N 0 3 301.459 4.238 20 0 DIADHN [O-]c1ccc(CN(Cc2ccccc2)C2CCC2)c(F)c1F ZINC001140892383 1046944088 /nfs/dbraw/zinc/94/40/88/1046944088.db2.gz KWVDSBAZKUYRIH-UHFFFAOYSA-N 0 3 303.352 4.225 20 0 DIADHN [O-]c1ccc(C[N@H+](Cc2ccccc2)C2CCC2)c(F)c1F ZINC001140892383 1046944094 /nfs/dbraw/zinc/94/40/94/1046944094.db2.gz KWVDSBAZKUYRIH-UHFFFAOYSA-N 0 3 303.352 4.225 20 0 DIADHN [O-]c1ccc(C[N@@H+](Cc2ccccc2)C2CCC2)c(F)c1F ZINC001140892383 1046944106 /nfs/dbraw/zinc/94/41/06/1046944106.db2.gz KWVDSBAZKUYRIH-UHFFFAOYSA-N 0 3 303.352 4.225 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cccc(Cl)c2)cc1N ZINC001140900877 1046945644 /nfs/dbraw/zinc/94/56/44/1046945644.db2.gz CQTFWGMITNABRL-QGZVFWFLSA-N 0 3 316.832 4.268 20 0 DIADHN CC(C)C[C@@H](NCc1ccnn1CC(C)C)c1cccs1 ZINC000647174081 1046949409 /nfs/dbraw/zinc/94/94/09/1046949409.db2.gz MUALBANTHKMGMO-MRXNPFEDSA-N 0 3 305.491 4.478 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(OC(F)(F)F)cc1 ZINC000237503361 1046951204 /nfs/dbraw/zinc/95/12/04/1046951204.db2.gz WNAHUHHRQOUVNW-LLVKDONJSA-N 0 3 311.303 4.142 20 0 DIADHN CC(C)Cn1nccc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000647178462 1046952378 /nfs/dbraw/zinc/95/23/78/1046952378.db2.gz UHBDEJOIGXQHCC-UHFFFAOYSA-N 0 3 315.436 4.237 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@H](c2ccccn2)C1 ZINC000798415857 1046952554 /nfs/dbraw/zinc/95/25/54/1046952554.db2.gz IEHLJXZJYFSHEH-MRXNPFEDSA-N 0 3 303.409 4.241 20 0 DIADHN C[C@@H](CNCc1c(F)cccc1OC(F)(F)F)CC(F)F ZINC000647226505 1046956819 /nfs/dbraw/zinc/95/68/19/1046956819.db2.gz KGKBZWDOYOINMA-MRVPVSSYSA-N 0 3 315.257 4.105 20 0 DIADHN C[C@@H](CNCc1csc(-c2cccs2)n1)CC(F)F ZINC000647225802 1046957725 /nfs/dbraw/zinc/95/77/25/1046957725.db2.gz BKPPALNXROKFSS-SECBINFHSA-N 0 3 302.415 4.253 20 0 DIADHN Cc1cccc(C2(NCc3cnc4ccc(C)cn34)CCC2)c1 ZINC000647236748 1046958603 /nfs/dbraw/zinc/95/86/03/1046958603.db2.gz CDTVSISYHYEWMF-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN FC1CCC(CNCc2csc(-c3ccsc3)n2)CC1 ZINC000647253021 1046961050 /nfs/dbraw/zinc/96/10/50/1046961050.db2.gz JDCACCJPMCCKRD-UHFFFAOYSA-N 0 3 310.463 4.490 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3CCS[C@@H]3C)cs2)cc1 ZINC000647258216 1046962710 /nfs/dbraw/zinc/96/27/10/1046962710.db2.gz XCVXUMQMPJRVAV-DOMZBBRYSA-N 0 3 304.484 4.102 20 0 DIADHN c1sc(COc2ccccc2)nc1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000647256460 1046962824 /nfs/dbraw/zinc/96/28/24/1046962824.db2.gz SUHLDSQSCRMOFN-RBSFLKMASA-N 0 3 314.454 4.000 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3CCS[C@H]3C)cs2)cc1 ZINC000647258218 1046962856 /nfs/dbraw/zinc/96/28/56/1046962856.db2.gz XCVXUMQMPJRVAV-SWLSCSKDSA-N 0 3 304.484 4.102 20 0 DIADHN C[C@H]1SCC[C@@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000647257392 1046962910 /nfs/dbraw/zinc/96/29/10/1046962910.db2.gz ASILRIHVKJFAKK-YGRLFVJLSA-N 0 3 324.902 4.447 20 0 DIADHN C[C@H](CN[C@H](c1cccnc1)c1ccc(F)cc1F)C1CC1 ZINC000680338517 1046965846 /nfs/dbraw/zinc/96/58/46/1046965846.db2.gz DCMCYLIBRJXTEY-KZULUSFZSA-N 0 3 302.368 4.085 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000680339287 1046966137 /nfs/dbraw/zinc/96/61/37/1046966137.db2.gz XYEDCQWLEUVLPC-UTKZUKDTSA-N 0 3 318.395 4.240 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3nccc4ccccc43)C2)c1 ZINC000680335393 1046966428 /nfs/dbraw/zinc/96/64/28/1046966428.db2.gz XQKCSBJLQCNXCN-GOSISDBHSA-N 0 3 322.383 4.027 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC([C@@H]2CCOC2)CC1 ZINC000680333188 1046966682 /nfs/dbraw/zinc/96/66/82/1046966682.db2.gz KGKQAQAZAMUBBU-CQSZACIVSA-N 0 3 319.832 4.335 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3ncccc3C(F)(F)F)C2)cc1 ZINC000680333995 1046966742 /nfs/dbraw/zinc/96/67/42/1046966742.db2.gz JXXGDLXWLJUOQQ-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3ncccc3C(F)(F)F)C2)cc1 ZINC000680333994 1046966847 /nfs/dbraw/zinc/96/68/47/1046966847.db2.gz JXXGDLXWLJUOQQ-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@H](CN[C@H](c1ccccc1)c1ccc(F)cn1)c1ccncc1 ZINC000680341787 1046968776 /nfs/dbraw/zinc/96/87/76/1046968776.db2.gz DOXVZUVCICXURA-FOIQADDNSA-N 0 3 321.399 4.098 20 0 DIADHN C#CCOc1ccc(CN[C@@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000237473593 1046969431 /nfs/dbraw/zinc/96/94/31/1046969431.db2.gz CZVGCMNMONKONG-ZDUSSCGKSA-N 0 3 317.791 4.342 20 0 DIADHN CC[C@@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1cccc(Cl)c1 ZINC000680350504 1046969429 /nfs/dbraw/zinc/96/94/29/1046969429.db2.gz IRDZDSSJQSPEHJ-CQSZACIVSA-N 0 3 315.804 4.163 20 0 DIADHN CC[C@@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccc(Cl)cc1 ZINC000680349887 1046969973 /nfs/dbraw/zinc/96/99/73/1046969973.db2.gz AEYXFSFEBFTJJM-CQSZACIVSA-N 0 3 315.804 4.163 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc3c(c2)OCCO3)CC1 ZINC000647315824 1046975877 /nfs/dbraw/zinc/97/58/77/1046975877.db2.gz AXZSUBFRTBHXMT-OAHLLOKOSA-N 0 3 309.409 4.106 20 0 DIADHN CC[C@H]1C[C@H](NCc2cc(F)c(C(F)(F)F)cc2F)CCO1 ZINC000648588295 1046976835 /nfs/dbraw/zinc/97/68/35/1046976835.db2.gz GBSRDMBKHNAMQI-MNOVXSKESA-N 0 3 323.305 4.031 20 0 DIADHN C[C@H](NC1(c2cccc(F)c2)CCC1)c1ccc2c(c1)OCO2 ZINC000647330432 1046980828 /nfs/dbraw/zinc/98/08/28/1046980828.db2.gz JCPKBZAKHUSENW-ZDUSSCGKSA-N 0 3 313.372 4.284 20 0 DIADHN Cc1sc([C@@H](C)NC[C@@H](C)CO)nc1-c1ccc(Cl)cc1 ZINC000680425613 1046982663 /nfs/dbraw/zinc/98/26/63/1046982663.db2.gz NFZWMYONQLXIGS-GHMZBOCLSA-N 0 3 324.877 4.051 20 0 DIADHN Cc1sc([C@H](C)NC[C@@H](C)CO)nc1-c1ccc(Cl)cc1 ZINC000680425614 1046983310 /nfs/dbraw/zinc/98/33/10/1046983310.db2.gz NFZWMYONQLXIGS-MNOVXSKESA-N 0 3 324.877 4.051 20 0 DIADHN CC[C@H](NCCc1cccc(-c2ccccc2)c1)c1ccn(C)n1 ZINC000680466665 1046984305 /nfs/dbraw/zinc/98/43/05/1046984305.db2.gz XIKPDHWMZHMUCI-FQEVSTJZSA-N 0 3 319.452 4.371 20 0 DIADHN CC[C@@H](NCCc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000680460416 1046985080 /nfs/dbraw/zinc/98/50/80/1046985080.db2.gz ZHKHEPVGDMVUJV-CQSZACIVSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@H](NCCc1ccc(-c2ccccc2)cc1)c1ccn(C)n1 ZINC000680467553 1046985699 /nfs/dbraw/zinc/98/56/99/1046985699.db2.gz QDAODZBTWMETMD-FQEVSTJZSA-N 0 3 319.452 4.371 20 0 DIADHN CC(C)OCCN[C@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000680470081 1046985751 /nfs/dbraw/zinc/98/57/51/1046985751.db2.gz NFNNXAWQEOUUOQ-KRWDZBQOSA-N 0 3 303.446 4.228 20 0 DIADHN COc1ccc(CN2CCC[C@@H](CCCc3ccccc3)C2)cn1 ZINC001168275503 1046986506 /nfs/dbraw/zinc/98/65/06/1046986506.db2.gz LZIMTEAEVGSRBA-LJQANCHMSA-N 0 3 324.468 4.325 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1n[nH]c3ccccc31)CCC2 ZINC000648605953 1046986827 /nfs/dbraw/zinc/98/68/27/1046986827.db2.gz PDUMZJYETBIVGV-MRXNPFEDSA-N 0 3 313.351 4.008 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1[nH]nc3ccccc31)CCC2 ZINC000648605953 1046986840 /nfs/dbraw/zinc/98/68/40/1046986840.db2.gz PDUMZJYETBIVGV-MRXNPFEDSA-N 0 3 313.351 4.008 20 0 DIADHN CC(C)(C)c1ccc([C@@H](O)CN[C@@H]2CCCc3cccnc32)cc1 ZINC000798929120 1046986854 /nfs/dbraw/zinc/98/68/54/1046986854.db2.gz LPUMEWSDZUVMPO-MOPGFXCFSA-N 0 3 324.468 4.080 20 0 DIADHN CC(C)(C)c1ccc([C@H](O)CN[C@@H]2CCCc3cccnc32)cc1 ZINC000798929123 1046987305 /nfs/dbraw/zinc/98/73/05/1046987305.db2.gz LPUMEWSDZUVMPO-RTBURBONSA-N 0 3 324.468 4.080 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1ccnn1-c1ccccc1 ZINC000798932440 1046988862 /nfs/dbraw/zinc/98/88/62/1046988862.db2.gz UYLCEXXWRNMRMI-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ncoc1CN1CCC(Cc2cccc(Cl)c2)CC1 ZINC001141051765 1046990702 /nfs/dbraw/zinc/99/07/02/1046990702.db2.gz OYKQQOODMAIWEG-UHFFFAOYSA-N 0 3 304.821 4.091 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NC1(c2cccc(Cl)c2)CCC1 ZINC000647349418 1046990880 /nfs/dbraw/zinc/99/08/80/1046990880.db2.gz ONCWJUWZIAZIQY-INIZCTEOSA-N 0 3 315.848 4.120 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CCS[C@@H]2C)c2cccnc2)ccc1F ZINC000647359891 1046993048 /nfs/dbraw/zinc/99/30/48/1046993048.db2.gz MOEKBJNPMCAHKH-XWIAVFTESA-N 0 3 316.445 4.102 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CC[C@H](c2ccccn2)C1 ZINC000798965745 1046994066 /nfs/dbraw/zinc/99/40/66/1046994066.db2.gz YLFAFDSLKJVGJK-AWEZNQCLSA-N 0 3 318.823 4.296 20 0 DIADHN Cc1ccc2nccc(N3CC(c4nc5ccccc5[nH]4)C3)c2c1 ZINC000680622290 1046995606 /nfs/dbraw/zinc/99/56/06/1046995606.db2.gz OCDPBVZLBARRFR-UHFFFAOYSA-N 0 3 314.392 4.023 20 0 DIADHN CCCCc1ncc(CN2CC[C@@H](c3cccc(Cl)c3)C2)[nH]1 ZINC001141072794 1046999223 /nfs/dbraw/zinc/99/92/23/1046999223.db2.gz ZDTRGDDAKCCEHS-OAHLLOKOSA-N 0 3 317.864 4.395 20 0 DIADHN CCCCc1nc(CN2CCC(C)(c3ccccc3)CC2)c[nH]1 ZINC001141073052 1046999485 /nfs/dbraw/zinc/99/94/85/1046999485.db2.gz CCXPXQSLUFAQKX-UHFFFAOYSA-N 0 3 311.473 4.306 20 0 DIADHN Cc1cc(CN(C)[C@H](C)c2cccc(Br)c2)ccn1 ZINC001137419607 1046999946 /nfs/dbraw/zinc/99/99/46/1046999946.db2.gz CQHALLQXTMSGHV-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1CCC2(CC2)C1 ZINC001141080978 1047000029 /nfs/dbraw/zinc/00/00/29/1047000029.db2.gz MMCCLYZJZJPQIS-UHFFFAOYSA-N 0 3 323.436 4.260 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CC[C@@H]3CCCCO3)nc2c1 ZINC000347400411 1047000394 /nfs/dbraw/zinc/00/03/94/1047000394.db2.gz OYVACGAOZZLSDH-GOEBONIOSA-N 0 3 316.470 4.392 20 0 DIADHN CCCCN(CC)Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141082672 1047001408 /nfs/dbraw/zinc/00/14/08/1047001408.db2.gz PRFRXOZQVCHIBO-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN Fc1ccc(CNC2(c3ccccc3)CCOCC2)cc1Cl ZINC000533741905 1047004249 /nfs/dbraw/zinc/00/42/49/1047004249.db2.gz NMEWHMNMMJDGJR-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN CC(C)=CCN(CC[C@H]1CCOC1=O)Cc1ccc(Cl)cc1 ZINC000799199770 1047010557 /nfs/dbraw/zinc/01/05/57/1047010557.db2.gz HNJQRGADYBHBCM-INIZCTEOSA-N 0 3 321.848 4.061 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN(Cc1cc3ccccc3o1)CC2 ZINC000533764253 1047011674 /nfs/dbraw/zinc/01/16/74/1047011674.db2.gz VHGSXEKQORSDIC-UHFFFAOYSA-N 0 3 319.404 4.236 20 0 DIADHN Cc1nnc(C2CCN(Cc3cccc(C(C)(C)C)c3)CC2)o1 ZINC001141106134 1047016034 /nfs/dbraw/zinc/01/60/34/1047016034.db2.gz ORBVSAYWLKOMHW-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H](CC2CC2)c2ccccc2)[nH]n1 ZINC000799338355 1047017800 /nfs/dbraw/zinc/01/78/00/1047017800.db2.gz LEWJSWSJBGGRCK-AWEZNQCLSA-N 0 3 309.335 4.060 20 0 DIADHN FC(F)(F)c1cc(CN[C@H](CC2CC2)c2ccccc2)[nH]n1 ZINC000799338356 1047018937 /nfs/dbraw/zinc/01/89/37/1047018937.db2.gz LEWJSWSJBGGRCK-CQSZACIVSA-N 0 3 309.335 4.060 20 0 DIADHN Clc1ncccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001137421087 1047021686 /nfs/dbraw/zinc/02/16/86/1047021686.db2.gz HTCKLAVWOWYVPU-UHFFFAOYSA-N 0 3 310.828 4.296 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC[C@H](c2ccccn2)C1 ZINC000647951573 1047024080 /nfs/dbraw/zinc/02/40/80/1047024080.db2.gz ZYWZRHUSPJMLLR-AWEZNQCLSA-N 0 3 318.823 4.296 20 0 DIADHN CC1(C)CCc2cc(CN3C[C@H]4CC[C@@H](C3)C4(F)F)ccc2O1 ZINC001141181474 1047036729 /nfs/dbraw/zinc/03/67/29/1047036729.db2.gz GOQRPLJSYSWPHM-IYBDPMFKSA-N 0 3 321.411 4.267 20 0 DIADHN CCc1nn(C)c(CC)c1CNC1(c2ccc(C)cc2)CCC1 ZINC000648076692 1047036994 /nfs/dbraw/zinc/03/69/94/1047036994.db2.gz DZBKGOQAQONMFQ-UHFFFAOYSA-N 0 3 311.473 4.022 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(-c3ncccn3)cc2)c1 ZINC001141185255 1047037369 /nfs/dbraw/zinc/03/73/69/1047037369.db2.gz HBNIRQCPTRYQJH-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN Cc1ccc(CN2CCC(CC(=O)OC(C)(C)C)CC2)c(F)c1 ZINC001141195184 1047041710 /nfs/dbraw/zinc/04/17/10/1047041710.db2.gz DJBSUSMHZKKPGE-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)c(CN(C)C)c1 ZINC000648288471 1047042710 /nfs/dbraw/zinc/04/27/10/1047042710.db2.gz ZFBHSNTTXGPBNL-GOEBONIOSA-N 0 3 302.462 4.067 20 0 DIADHN Cc1ccc(CN2CCC(n3cnc4ccccc43)CC2)c(F)c1 ZINC001141197014 1047043964 /nfs/dbraw/zinc/04/39/64/1047043964.db2.gz ACWDSAFFYMCSII-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccc(Cl)cc2)C[C@H](C)S1 ZINC000536655797 1047046682 /nfs/dbraw/zinc/04/66/82/1047046682.db2.gz SEGRVIQNUCCVSR-RYUDHWBXSA-N 0 3 321.877 4.056 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccc(Cl)cc2)C[C@@H](C)S1 ZINC000536655799 1047047168 /nfs/dbraw/zinc/04/71/68/1047047168.db2.gz SEGRVIQNUCCVSR-VXGBXAGGSA-N 0 3 321.877 4.056 20 0 DIADHN Clc1cnc2oc(CN3CCS[C@H]4CCCC[C@@H]43)cc2c1 ZINC000648378047 1047050762 /nfs/dbraw/zinc/05/07/62/1047050762.db2.gz OUJCNGPMKDCJMT-GJZGRUSLSA-N 0 3 322.861 4.341 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(Cl)cc2C)cc1OC ZINC001141231609 1047054977 /nfs/dbraw/zinc/05/49/77/1047054977.db2.gz ZUCXYPONLVFXSB-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN OCCCN(Cc1ccc(Cl)o1)[C@@H]1CCCc2ccccc21 ZINC000536665617 1047056609 /nfs/dbraw/zinc/05/66/09/1047056609.db2.gz UKTROOIJIQVYQM-QGZVFWFLSA-N 0 3 319.832 4.195 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cc2cc(Cl)cnc2o1 ZINC000648536258 1047064476 /nfs/dbraw/zinc/06/44/76/1047064476.db2.gz FJHPMRPVDSJOAV-UHFFFAOYSA-N 0 3 306.715 4.302 20 0 DIADHN Clc1cnc(NC[C@H](c2cccs2)N2CCCC2)s1 ZINC000680888055 1047060882 /nfs/dbraw/zinc/06/08/82/1047060882.db2.gz VHLKHJDWSNORDI-SNVBAGLBSA-N 0 3 313.879 4.107 20 0 DIADHN CCN(CC)[C@H](CNc1ncc(Cl)s1)c1ccsc1 ZINC000680892400 1047062855 /nfs/dbraw/zinc/06/28/55/1047062855.db2.gz ZPOWUVDWTSNKNI-LLVKDONJSA-N 0 3 315.895 4.353 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000536670979 1047063306 /nfs/dbraw/zinc/06/33/06/1047063306.db2.gz FQSWLACUBQDVSR-IAGOWNOFSA-N 0 3 315.486 4.183 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCCCC[C@H]1c1ccco1 ZINC000536672490 1047065533 /nfs/dbraw/zinc/06/55/33/1047065533.db2.gz OBMORKSNYZIVBP-SFHVURJKSA-N 0 3 315.461 4.255 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2cccc(N(C)C)c2)CC1 ZINC001141257347 1047065761 /nfs/dbraw/zinc/06/57/61/1047065761.db2.gz AIEVATBAKZHHSY-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN C[C@H]1c2ccsc2CCN1CCCOc1ccc(F)cc1 ZINC000680926317 1047067280 /nfs/dbraw/zinc/06/72/80/1047067280.db2.gz VPKKYLUFUZPSRO-ZDUSSCGKSA-N 0 3 305.418 4.275 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000648563121 1047067915 /nfs/dbraw/zinc/06/79/15/1047067915.db2.gz SDHQWOUGHRTZJQ-QWFCFKBJSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1CCC1(C)C ZINC000536678048 1047068387 /nfs/dbraw/zinc/06/83/87/1047068387.db2.gz UEGHEIBWVCSZHN-UHFFFAOYSA-N 0 3 303.837 4.127 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCCO2)c1ccsc1 ZINC000648571136 1047069443 /nfs/dbraw/zinc/06/94/43/1047069443.db2.gz NQAPBIJKKKJECO-CYBMUJFWSA-N 0 3 303.427 4.150 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@]1(C)CC=C(C)CC1 ZINC000611981629 1047072590 /nfs/dbraw/zinc/07/25/90/1047072590.db2.gz KCFAQKYTICQVDF-IBGZPJMESA-N 0 3 300.446 4.132 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CSc3ccccc32)c(C)s1 ZINC000648611366 1047073075 /nfs/dbraw/zinc/07/30/75/1047073075.db2.gz UMRYSKKMOZSGLR-GWCFXTLKSA-N 0 3 304.484 4.300 20 0 DIADHN FC(F)(F)c1cccnc1CNC[C@@H]1CCCCC1(F)F ZINC000648634257 1047076171 /nfs/dbraw/zinc/07/61/71/1047076171.db2.gz ZJPJWYVSAARZDN-JTQLQIEISA-N 0 3 308.294 4.016 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccc(F)cc1)c1ccccc1Cl ZINC000799992964 1047080270 /nfs/dbraw/zinc/08/02/70/1047080270.db2.gz RDLZRBNIFTUKMZ-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1cccc(F)c1)c1ccccc1Cl ZINC000799995638 1047081169 /nfs/dbraw/zinc/08/11/69/1047081169.db2.gz RGNINOKJKIRSGJ-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc3cnccc32)c2ccccc2O1 ZINC000648698734 1047083097 /nfs/dbraw/zinc/08/30/97/1047083097.db2.gz ONXXLJWSTBHCQO-AUUYWEPGSA-N 0 3 304.393 4.237 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](CN2CCCCC2)c2ccccc2)c1 ZINC000681052429 1047087214 /nfs/dbraw/zinc/08/72/14/1047087214.db2.gz PLEAZFXTMQZYED-WIYYLYMNSA-N 0 3 323.484 4.268 20 0 DIADHN CO[C@H](CN[C@H](C)c1cncc(C)c1)c1ccccc1Cl ZINC000681053197 1047087934 /nfs/dbraw/zinc/08/79/34/1047087934.db2.gz MBYRANCIIBPIML-CXAGYDPISA-N 0 3 304.821 4.082 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3cc(C)c(Cl)cc3O)C2)cn1 ZINC000827506882 1047090074 /nfs/dbraw/zinc/09/00/74/1047090074.db2.gz NJECJLWSFNHXFW-OAHLLOKOSA-N 0 3 316.832 4.047 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1c3ccccc3CC[C@H]1C)CCO2 ZINC000681056751 1047090844 /nfs/dbraw/zinc/09/08/44/1047090844.db2.gz DNMBXIOAGHHRAT-RFVSGWPVSA-N 0 3 323.436 4.432 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1coc(-c2ccc(OC)cc2)n1 ZINC000536744172 1047091971 /nfs/dbraw/zinc/09/19/71/1047091971.db2.gz OQIGQUIFBKHJIM-XJKSGUPXSA-N 0 3 300.402 4.113 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1coc(-c2ccc(OC)cc2)n1 ZINC000536744171 1047092011 /nfs/dbraw/zinc/09/20/11/1047092011.db2.gz OQIGQUIFBKHJIM-CZUORRHYSA-N 0 3 300.402 4.113 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1nc(-c2ccccc2)no1 ZINC001115917996 1047092974 /nfs/dbraw/zinc/09/29/74/1047092974.db2.gz RMXKCRXSKZXECE-UHFFFAOYSA-N 0 3 321.424 4.190 20 0 DIADHN CCC(CC)N(Cc1nc2sc(C)c(C)c2c(N)n1)C1CC1 ZINC000536756662 1047097252 /nfs/dbraw/zinc/09/72/52/1047097252.db2.gz NKYLMFQIHKZHSA-UHFFFAOYSA-N 0 3 318.490 4.043 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2cc(Cl)ccc2Cl)c(C)o1 ZINC000536770142 1047099814 /nfs/dbraw/zinc/09/98/14/1047099814.db2.gz CZSIFRZKYABMOQ-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000536829338 1047103156 /nfs/dbraw/zinc/10/31/56/1047103156.db2.gz YECYBTYBEZILAW-ACJLOTCBSA-N 0 3 303.833 4.287 20 0 DIADHN CSc1cc(CN[C@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000536822015 1047104155 /nfs/dbraw/zinc/10/41/55/1047104155.db2.gz QYSBRVLJPJTHNY-HNNXBMFYSA-N 0 3 304.846 4.234 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000536834884 1047105789 /nfs/dbraw/zinc/10/57/89/1047105789.db2.gz SLSTWMQKWSJPMZ-OALUTQOASA-N 0 3 315.844 4.213 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000536843405 1047108574 /nfs/dbraw/zinc/10/85/74/1047108574.db2.gz DODLASGWZHWAOS-CXAGYDPISA-N 0 3 323.358 4.318 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1cc(Cl)sc1Cl ZINC000536854927 1047112976 /nfs/dbraw/zinc/11/29/76/1047112976.db2.gz XKTKZYNUKSSOQA-SECBINFHSA-N 0 3 308.274 4.258 20 0 DIADHN CC[C@H](COC)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000536859436 1047113291 /nfs/dbraw/zinc/11/32/91/1047113291.db2.gz VDJATQJHCYZRHX-CQSZACIVSA-N 0 3 324.877 4.287 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H](C)c1cccc(OC)c1 ZINC000536861287 1047114805 /nfs/dbraw/zinc/11/48/05/1047114805.db2.gz CQBUGWWELVOEDL-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cccc(OC)c1 ZINC000536871184 1047116358 /nfs/dbraw/zinc/11/63/58/1047116358.db2.gz PFMHTCMYELPEOB-SUMWQHHRSA-N 0 3 313.397 4.226 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(Br)c(F)c1 ZINC000536878072 1047118722 /nfs/dbraw/zinc/11/87/22/1047118722.db2.gz OBVYGOFLSCUATJ-ZETCQYMHSA-N 0 3 314.120 4.191 20 0 DIADHN O=C(CCCN1CCCO[C@H](c2ccccc2)C1)c1ccccc1 ZINC000649287197 1047120294 /nfs/dbraw/zinc/12/02/94/1047120294.db2.gz NMDBSMTWJHAFOO-NRFANRHFSA-N 0 3 323.436 4.113 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000681549048 1047130568 /nfs/dbraw/zinc/13/05/68/1047130568.db2.gz XPFBKLWZTNEQOJ-MRXNPFEDSA-N 0 3 316.489 4.186 20 0 DIADHN Fc1ccc(CCN2CCC(Nc3ccccc3)CC2)cc1F ZINC001116344521 1047130603 /nfs/dbraw/zinc/13/06/03/1047130603.db2.gz ZFRWYWUDUCUOKG-UHFFFAOYSA-N 0 3 316.395 4.084 20 0 DIADHN Cc1cc([C@@H](NCc2ccccc2N(C)C)C2CC2)ccc1F ZINC000537015753 1047131671 /nfs/dbraw/zinc/13/16/71/1047131671.db2.gz JWPKIJRBIOABRM-FQEVSTJZSA-N 0 3 312.432 4.441 20 0 DIADHN CC[C@@H]1C[C@H](C)CC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000681600246 1047133005 /nfs/dbraw/zinc/13/30/05/1047133005.db2.gz AANCAJJQNSYPNC-CPUCHLNUSA-N 0 3 308.466 4.294 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000537029789 1047133020 /nfs/dbraw/zinc/13/30/20/1047133020.db2.gz MRHKCWGVNIAAHE-XEZPLFJOSA-N 0 3 310.869 4.074 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)N(Cc2ccccc2)C(C)C)cc1 ZINC000537027661 1047133564 /nfs/dbraw/zinc/13/35/64/1047133564.db2.gz IXVILSAPDNTISG-SFHVURJKSA-N 0 3 324.468 4.083 20 0 DIADHN O=C(Nc1cccc(Cl)c1)C(C[C@@H]1CCCO1)C(F)(F)F ZINC000649476958 1047136290 /nfs/dbraw/zinc/13/62/90/1047136290.db2.gz XQNGJTIVMWGVLT-NWDGAFQWSA-N 0 3 321.726 4.026 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2)c1 ZINC000537115788 1047140403 /nfs/dbraw/zinc/14/04/03/1047140403.db2.gz RJVFSJHAZPJNNT-MSOLQXFVSA-N 0 3 324.468 4.001 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1n[nH]c3ccccc31)CC2 ZINC000681699991 1047141263 /nfs/dbraw/zinc/14/12/63/1047141263.db2.gz CVQUDWVOMOAJGO-SFHVURJKSA-N 0 3 305.425 4.463 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1[nH]nc3ccccc31)CC2 ZINC000681699991 1047141271 /nfs/dbraw/zinc/14/12/71/1047141271.db2.gz CVQUDWVOMOAJGO-SFHVURJKSA-N 0 3 305.425 4.463 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2c(F)cccc2N2CCCC2)C1 ZINC001116445723 1047141574 /nfs/dbraw/zinc/14/15/74/1047141574.db2.gz WPQAQCBFMIXVFF-CABCVRRESA-N 0 3 322.493 4.142 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@H](F)C(F)(F)CC3)ccc2n1 ZINC001141473075 1047143878 /nfs/dbraw/zinc/14/38/78/1047143878.db2.gz ZELDNEOESVBKRK-MRXNPFEDSA-N 0 3 308.347 4.112 20 0 DIADHN CCC[C@@H](NCc1cnc(C(F)(F)F)nc1)c1ccccc1 ZINC000649573404 1047145024 /nfs/dbraw/zinc/14/50/24/1047145024.db2.gz BZKFTLPFALRLOM-CQSZACIVSA-N 0 3 309.335 4.126 20 0 DIADHN COc1ccc2cc(CN(C)C[C@@H]3CC3(Cl)Cl)ccc2c1 ZINC000838524664 1047145559 /nfs/dbraw/zinc/14/55/59/1047145559.db2.gz AOOBZTMONKSIFZ-HNNXBMFYSA-N 0 3 324.251 4.474 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@@H]2CC2(Cl)Cl)cc1 ZINC000838504795 1047145861 /nfs/dbraw/zinc/14/58/61/1047145861.db2.gz XVGVGKBBNLPPQV-GXTWGEPZSA-N 0 3 300.229 4.026 20 0 DIADHN Cc1ccc2cc(CN3CCOC[C@@H]3C3CCCCC3)ccc2n1 ZINC001141484844 1047147501 /nfs/dbraw/zinc/14/75/01/1047147501.db2.gz CFNLLIHHSIGZGV-OAQYLSRUSA-N 0 3 324.468 4.324 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2C[C@H]2CC2(Cl)Cl)cc1 ZINC000838527302 1047147893 /nfs/dbraw/zinc/14/78/93/1047147893.db2.gz OZNQZHRXCVLRJJ-HIFRSBDPSA-N 0 3 314.256 4.416 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1ccc(Cl)c(F)c1 ZINC001116489780 1047148666 /nfs/dbraw/zinc/14/86/66/1047148666.db2.gz MVMJHAFMHYECJM-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN CC[C@@H](OCCN[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000649582356 1047149051 /nfs/dbraw/zinc/14/90/51/1047149051.db2.gz BETGXRHITHKUIL-KBXCAEBGSA-N 0 3 302.393 4.039 20 0 DIADHN CC[C@H](N[C@H](C)C[C@H](O)c1ccco1)c1ccc(F)cc1F ZINC000305699129 1047149167 /nfs/dbraw/zinc/14/91/67/1047149167.db2.gz CPFZLEDXHOJRNB-RLCCDNCMSA-N 0 3 309.356 4.111 20 0 DIADHN CC[C@@H](OCCN[C@H](C)c1cncc(F)c1)c1ccccc1 ZINC000649582359 1047149291 /nfs/dbraw/zinc/14/92/91/1047149291.db2.gz BETGXRHITHKUIL-RDTXWAMCSA-N 0 3 302.393 4.039 20 0 DIADHN CC[C@H](N[C@@H](C)C[C@H](O)c1ccco1)c1ccc(F)cc1F ZINC000305699131 1047149303 /nfs/dbraw/zinc/14/93/03/1047149303.db2.gz CPFZLEDXHOJRNB-UVBJJODRSA-N 0 3 309.356 4.111 20 0 DIADHN Fc1cccc2c1CC[C@H]2N1CCC(n2cc(Cl)cn2)CC1 ZINC001116509231 1047150199 /nfs/dbraw/zinc/15/01/99/1047150199.db2.gz MVIXBLURMWLPFH-QGZVFWFLSA-N 0 3 319.811 4.000 20 0 DIADHN Cc1cncc(CCN2CCCC[C@H]2c2cccc(Cl)c2)n1 ZINC000649593792 1047150830 /nfs/dbraw/zinc/15/08/30/1047150830.db2.gz BALXZRVJHULWGD-SFHVURJKSA-N 0 3 315.848 4.208 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@@]2(C)CC2(Cl)Cl)s1 ZINC000827772425 1047154561 /nfs/dbraw/zinc/15/45/61/1047154561.db2.gz PPLCBZJLNACFNF-BXKDBHETSA-N 0 3 307.290 4.337 20 0 DIADHN Cc1nnsc1CN(C)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000649629950 1047155777 /nfs/dbraw/zinc/15/57/77/1047155777.db2.gz YMHBUHUVXAUEEA-HOTGVXAUSA-N 0 3 301.459 4.005 20 0 DIADHN O=C(CN[C@H](c1ccccc1)C1CC1)Nc1ccccc1Cl ZINC000537289712 1047159613 /nfs/dbraw/zinc/15/96/13/1047159613.db2.gz IESNAPPQCTTWRG-GOSISDBHSA-N 0 3 314.816 4.019 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)N[C@@H](C)c1ccc(F)cc1Cl ZINC000305749466 1047161028 /nfs/dbraw/zinc/16/10/28/1047161028.db2.gz QWIYVSVSXYNBKW-ZETOZRRWSA-N 0 3 311.784 4.235 20 0 DIADHN CC[C@H](C)c1ccc(-c2nc([C@@H]3CN4CCC3CC4)no2)cc1 ZINC001116612421 1047161203 /nfs/dbraw/zinc/16/12/03/1047161203.db2.gz OFXUIJYAYMPEJQ-SUMWQHHRSA-N 0 3 311.429 4.059 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)ncn1 ZINC000649684833 1047163510 /nfs/dbraw/zinc/16/35/10/1047163510.db2.gz DEMOJGYOCSVLKN-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CC(C)(CF)NCc1ccc(OCc2ccccc2F)cc1 ZINC001116626779 1047163564 /nfs/dbraw/zinc/16/35/64/1047163564.db2.gz DQFRMVHLBPQEAO-UHFFFAOYSA-N 0 3 305.368 4.242 20 0 DIADHN CC(C)(C)CCCN1C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000682029594 1047164130 /nfs/dbraw/zinc/16/41/30/1047164130.db2.gz UGLQEECVCYBLRB-AOOOYVTPSA-N 0 3 305.306 4.485 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1cnc3ccccc3c1)CC2 ZINC000649709437 1047166538 /nfs/dbraw/zinc/16/65/38/1047166538.db2.gz MFCUNUUXTDHREC-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN Cc1cc(CN2CCC3(CC2)C(F)(F)C3(F)F)c(C)s1 ZINC000649709127 1047167758 /nfs/dbraw/zinc/16/77/58/1047167758.db2.gz AAYCUQVGZAGQCM-UHFFFAOYSA-N 0 3 307.356 4.231 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCC1=CCCOC1 ZINC000537319242 1047168010 /nfs/dbraw/zinc/16/80/10/1047168010.db2.gz WRXVXIDSUWYMIH-QGZVFWFLSA-N 0 3 323.864 4.372 20 0 DIADHN Cc1cnc([C@H](C)N2CCc3ccc(Cl)cc3[C@H](C)C2)cn1 ZINC000649747069 1047170753 /nfs/dbraw/zinc/17/07/53/1047170753.db2.gz PVCHCEBSSLXRLS-OCCSQVGLSA-N 0 3 315.848 4.161 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@H](C)c1cccc(F)c1F ZINC000537345596 1047172900 /nfs/dbraw/zinc/17/29/00/1047172900.db2.gz YCJBTSFCSQLFHK-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN CN1CC[C@H](NCc2ccsc2Cl)[C@H]1c1ccc(F)cc1 ZINC001116765749 1047175895 /nfs/dbraw/zinc/17/58/95/1047175895.db2.gz LMEFNSZPLVOTPX-LSDHHAIUSA-N 0 3 324.852 4.076 20 0 DIADHN CCOc1cc(C[NH2+]CCSC(C)(C)C)cc(Cl)c1[O-] ZINC000838937254 1047178267 /nfs/dbraw/zinc/17/82/67/1047178267.db2.gz WPVADBKFEITCFL-UHFFFAOYSA-N 0 3 317.882 4.066 20 0 DIADHN C[C@H](NC1(C2CCC2)CC1)c1nc2ccccc2n1C(F)F ZINC000649810103 1047178441 /nfs/dbraw/zinc/17/84/41/1047178441.db2.gz XNGSRRDGWNIUHI-NSHDSACASA-N 0 3 305.372 4.415 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cncnc1 ZINC001137670415 1047186176 /nfs/dbraw/zinc/18/61/76/1047186176.db2.gz PKEVRWAGGPMXQU-UHFFFAOYSA-N 0 3 317.436 4.131 20 0 DIADHN CCC[C@@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1ccsc1 ZINC000682376525 1047188721 /nfs/dbraw/zinc/18/87/21/1047188721.db2.gz SMZJJMDFTPXHFO-SJORKVTESA-N 0 3 320.502 4.113 20 0 DIADHN COc1cccc(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)c1 ZINC000649917617 1047191240 /nfs/dbraw/zinc/19/12/40/1047191240.db2.gz VGXQQUMEZBHMLS-ACRUOGEOSA-N 0 3 323.436 4.190 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)cc1 ZINC000649916893 1047191273 /nfs/dbraw/zinc/19/12/73/1047191273.db2.gz RORFJOBSGGAYNH-ACRUOGEOSA-N 0 3 323.436 4.190 20 0 DIADHN CC[C@H]1CCCC[C@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000476051310 1047194587 /nfs/dbraw/zinc/19/45/87/1047194587.db2.gz QNUQLFZNZGFOOU-DOTOQJQBSA-N 0 3 302.462 4.212 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C(=O)OC)cs1)c1ccc(F)cc1 ZINC001117140033 1047195165 /nfs/dbraw/zinc/19/51/65/1047195165.db2.gz YNAOEXRUKYAATF-ABAIWWIYSA-N 0 3 321.417 4.476 20 0 DIADHN CC1(c2ccccc2)CC(NCc2cc(F)cnc2Cl)C1 ZINC000839121626 1047196059 /nfs/dbraw/zinc/19/60/59/1047196059.db2.gz XONZTWNHAPFIFB-UHFFFAOYSA-N 0 3 304.796 4.084 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1nc(Cl)ccc1Cl ZINC000839144229 1047198056 /nfs/dbraw/zinc/19/80/56/1047198056.db2.gz VHGBENGXWYJUQM-GFCCVEGCSA-N 0 3 324.255 4.284 20 0 DIADHN F[C@@H]1CCN(Cc2c[nH]c3cc(Cl)ccc23)CCC1(F)F ZINC001141577913 1047199878 /nfs/dbraw/zinc/19/98/78/1047199878.db2.gz YCEFHVQMBWOXJA-CQSZACIVSA-N 0 3 316.754 4.391 20 0 DIADHN Cc1ccccc1[C@@H](O)C1CCN(Cc2ccc(F)cc2)CC1 ZINC000796409152 1047202253 /nfs/dbraw/zinc/20/22/53/1047202253.db2.gz TVSVBGXMSNFWQF-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc3c(c2)OCCCO3)c1 ZINC000682532079 1047202350 /nfs/dbraw/zinc/20/23/50/1047202350.db2.gz CYCCBFOHTYIRIT-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H](c2ccnn2C)C(C)C)cs1 ZINC000682644905 1047208866 /nfs/dbraw/zinc/20/88/66/1047208866.db2.gz DVPWKHOPOLCQGD-UONOGXRCSA-N 0 3 320.506 4.090 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc3cc(OC)ccc3c2)c1 ZINC000682777607 1047223978 /nfs/dbraw/zinc/22/39/78/1047223978.db2.gz DTKDTVHLDDUQJW-UHFFFAOYSA-N 0 3 308.425 4.302 20 0 DIADHN CCCC(C)(C)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001141610627 1047224287 /nfs/dbraw/zinc/22/42/87/1047224287.db2.gz ODQHXJSDYLFNPA-OAHLLOKOSA-N 0 3 310.507 4.074 20 0 DIADHN CN(C)CCN(C(=O)C1CC(C)(C)CC(C)(C)C1)C1CCCC1 ZINC001126777463 1047229921 /nfs/dbraw/zinc/22/99/21/1047229921.db2.gz GAPLENIGLRNNLB-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CCCCOCc2ccccc2)c1 ZINC001648991051 1047231129 /nfs/dbraw/zinc/23/11/29/1047231129.db2.gz FZGLRVXVNXDWGY-UHFFFAOYSA-N 0 3 319.832 4.132 20 0 DIADHN Cc1cccc(CCN2CCC[C@H]2c2ccc(F)c(F)c2)n1 ZINC000827919415 1047234792 /nfs/dbraw/zinc/23/47/92/1047234792.db2.gz IUPIQJOFWPIGHO-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN C[C@@H](NCC1=Cc2cc(Cl)cc(Cl)c2OC1)c1ccc[nH]1 ZINC000760444040 1047236621 /nfs/dbraw/zinc/23/66/21/1047236621.db2.gz DCTBBQHXIWUIRT-SNVBAGLBSA-N 0 3 323.223 4.448 20 0 DIADHN CCCCCOc1cc(CN[C@H](C)c2ccc[nH]2)ccc1OC ZINC000760444723 1047236838 /nfs/dbraw/zinc/23/68/38/1047236838.db2.gz FTZQJGMRYCDOIO-OAHLLOKOSA-N 0 3 316.445 4.443 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC[C@@H](c2ccccn2)C1 ZINC001141628938 1047236905 /nfs/dbraw/zinc/23/69/05/1047236905.db2.gz PBBABSIVANZDMI-MRXNPFEDSA-N 0 3 321.399 4.149 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001141631744 1047238384 /nfs/dbraw/zinc/23/83/84/1047238384.db2.gz WVJBIYBXYSVHBV-MRXNPFEDSA-N 0 3 316.395 4.478 20 0 DIADHN C[C@@H](NCc1cccn1C1CC1)c1cccc(OC(F)F)c1 ZINC001117744131 1047239129 /nfs/dbraw/zinc/23/91/29/1047239129.db2.gz WMLMQRYHABLYJQ-GFCCVEGCSA-N 0 3 306.356 4.275 20 0 DIADHN Clc1ccc(CN2CCC[C@H]2C2CCOCC2)c(Cl)c1 ZINC000537466683 1047240211 /nfs/dbraw/zinc/24/02/11/1047240211.db2.gz GKZOLGFXYPSEOK-INIZCTEOSA-N 0 3 314.256 4.384 20 0 DIADHN C[C@@H]1CCN(Cc2ccc3cc(F)ccc3n2)CCC1(F)F ZINC001141639902 1047243169 /nfs/dbraw/zinc/24/31/69/1047243169.db2.gz GLZFPUCJWHBKIB-GFCCVEGCSA-N 0 3 308.347 4.241 20 0 DIADHN Fc1ccc2nc(CN3CC[C@H](Oc4ccccc4)C3)ccc2c1 ZINC001141646170 1047244575 /nfs/dbraw/zinc/24/45/75/1047244575.db2.gz HGKLLKGKJQWFRC-IBGZPJMESA-N 0 3 322.383 4.027 20 0 DIADHN C[C@@H](NCC[C@H](O)c1ccccc1)c1nc2ccccc2s1 ZINC000839551505 1047246127 /nfs/dbraw/zinc/24/61/27/1047246127.db2.gz DZFVCCPZDGOUTR-CJNGLKHVSA-N 0 3 312.438 4.071 20 0 DIADHN CC[C@@H](NCc1cnc(-c2ccccc2)s1)c1ccncc1 ZINC001117826191 1047248140 /nfs/dbraw/zinc/24/81/40/1047248140.db2.gz GHKCHKBRGFGBHD-QGZVFWFLSA-N 0 3 309.438 4.446 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(Cl)c3cccnc23)c1 ZINC000682998967 1047251680 /nfs/dbraw/zinc/25/16/80/1047251680.db2.gz CZEVKAKXNGIZSK-UHFFFAOYSA-N 0 3 313.832 4.342 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2ccc(-c3ncco3)cc2F)C1 ZINC001117993420 1047251705 /nfs/dbraw/zinc/25/17/05/1047251705.db2.gz VQBFKWHOIIZBAN-CABCVRRESA-N 0 3 320.433 4.197 20 0 DIADHN CCOc1cc(CN2CC[C@H](CC(C)C)C2)cc(Cl)c1O ZINC001204875976 1047252424 /nfs/dbraw/zinc/25/24/24/1047252424.db2.gz ULFNBGFCAHRXMP-CYBMUJFWSA-N 0 3 311.853 4.312 20 0 DIADHN Cc1ccnc(CN(C)CCCc2cc(-c3ccccc3)no2)c1 ZINC000683006418 1047253082 /nfs/dbraw/zinc/25/30/82/1047253082.db2.gz MDVRPNMDLCIGNJ-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2ccc(-c3ncco3)cc2F)C1 ZINC001117993423 1047253853 /nfs/dbraw/zinc/25/38/53/1047253853.db2.gz VQBFKWHOIIZBAN-LSDHHAIUSA-N 0 3 320.433 4.197 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CC[C@@H](C4CCC4)C3)o2)c1 ZINC000839677264 1047257334 /nfs/dbraw/zinc/25/73/34/1047257334.db2.gz RBQZSCCEIIPVBK-RHSMWYFYSA-N 0 3 311.429 4.228 20 0 DIADHN C[C@H]1CCc2nc(CNC[C@@H]3C[C@H]3c3ccccc3)sc2C1 ZINC001118169985 1047271564 /nfs/dbraw/zinc/27/15/64/1047271564.db2.gz GUPJNQLKTUTTQW-BPUTZDHNSA-N 0 3 312.482 4.161 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@@H]3C[C@H]3c3ccccc3)sc2C1 ZINC001118169989 1047272472 /nfs/dbraw/zinc/27/24/72/1047272472.db2.gz GUPJNQLKTUTTQW-KBMXLJTQSA-N 0 3 312.482 4.161 20 0 DIADHN Cc1nc([C@H](C)NCC[C@@H]2CCc3ccccc3N2)c(C)s1 ZINC000650760797 1047279774 /nfs/dbraw/zinc/27/97/74/1047279774.db2.gz MIFQMKSAPVKZBV-LRDDRELGSA-N 0 3 315.486 4.228 20 0 DIADHN C[C@@H]1CC2(CN1Cc1cc(Cl)ccc1Cl)CCOCC2 ZINC001307868459 1047280720 /nfs/dbraw/zinc/28/07/20/1047280720.db2.gz DZINKPIEDWHTPW-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cccnc3Cl)C2)c(F)c1 ZINC000897882154 1047295818 /nfs/dbraw/zinc/29/58/18/1047295818.db2.gz KIXIUXZHLNKEBB-AULYBMBSSA-N 0 3 308.759 4.049 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)C(F)(F)C3(F)F)s1 ZINC000651901518 1047331702 /nfs/dbraw/zinc/33/17/02/1047331702.db2.gz QDCMDTYDPRLFAJ-UHFFFAOYSA-N 0 3 307.356 4.177 20 0 DIADHN CCC[C@](C)(NCc1cc(-c2cccnc2)n[nH]1)c1ccccc1 ZINC000897925601 1047299942 /nfs/dbraw/zinc/29/99/42/1047299942.db2.gz JGSOJSCIWFSCIM-FQEVSTJZSA-N 0 3 320.440 4.277 20 0 DIADHN CCC[C@@](C)(NCc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000897925262 1047300413 /nfs/dbraw/zinc/30/04/13/1047300413.db2.gz FVBLYWCIHWKDJW-CXAGYDPISA-N 0 3 304.459 4.002 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cnn(-c2ccccc2)c1 ZINC000391527383 1047304510 /nfs/dbraw/zinc/30/45/10/1047304510.db2.gz ZUHDMUBKRQADPT-MGPUTAFESA-N 0 3 303.409 4.210 20 0 DIADHN CC(C)(C)OC1CC(N2CC[C@H](c3c(F)cccc3F)C2)C1 ZINC000482540055 1047307693 /nfs/dbraw/zinc/30/76/93/1047307693.db2.gz VWCJYGDPBJMIBB-HSBZDZAISA-N 0 3 309.400 4.100 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2nccnc2c1)C(C)(C)C ZINC000897993830 1047307873 /nfs/dbraw/zinc/30/78/73/1047307873.db2.gz KAOKZTQZJLLBBM-IBGZPJMESA-N 0 3 320.440 4.210 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1ccc3cc[nH]c3c1)CCC2 ZINC001118805340 1047310536 /nfs/dbraw/zinc/31/05/36/1047310536.db2.gz YBALXDYXXOYLKH-QGZVFWFLSA-N 0 3 308.429 4.113 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc(F)c(OC)c2)cc1F ZINC001118803229 1047311185 /nfs/dbraw/zinc/31/11/85/1047311185.db2.gz BUPWLFRBFYYNAV-UHFFFAOYSA-N 0 3 321.367 4.007 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2nc3cc(C)ccc3s2)C1 ZINC001118817019 1047312234 /nfs/dbraw/zinc/31/22/34/1047312234.db2.gz VMZCDPKCSMKGNK-OLZOCXBDSA-N 0 3 306.500 4.321 20 0 DIADHN C[C@@H](NC1(c2c(Cl)cccc2Cl)CC1)C1CCOCC1 ZINC000537485475 1047313394 /nfs/dbraw/zinc/31/33/94/1047313394.db2.gz BQWMEMSWFRSVJR-LLVKDONJSA-N 0 3 314.256 4.387 20 0 DIADHN CC(C)(NCC(=O)Nc1ccc2ccccc2c1)c1ccccc1 ZINC000725800930 1047317078 /nfs/dbraw/zinc/31/70/78/1047317078.db2.gz UJYNYGAPUGOUOV-UHFFFAOYSA-N 0 3 318.420 4.303 20 0 DIADHN c1ccc2c(c1)CCCC[C@@H]2NCc1cccc2c1OCCO2 ZINC000725852466 1047321872 /nfs/dbraw/zinc/32/18/72/1047321872.db2.gz OGHGUUHXKXMBHJ-SFHVURJKSA-N 0 3 309.409 4.015 20 0 DIADHN FC(F)(F)c1cnc(CN2C[C@H]3CCCC[C@@H]3C2)c(Cl)c1 ZINC001142539021 1047322628 /nfs/dbraw/zinc/32/26/28/1047322628.db2.gz RNBSYCJPZXMUGG-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN COC[C@@H](CC(C)(C)C)N[C@@H](c1ccccc1)c1ccncc1 ZINC000651704229 1047324454 /nfs/dbraw/zinc/32/44/54/1047324454.db2.gz AMMVKJMEVUKFSP-MOPGFXCFSA-N 0 3 312.457 4.212 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651716096 1047325284 /nfs/dbraw/zinc/32/52/84/1047325284.db2.gz KUQZEXHEMGANFT-YWZLYKJASA-N 0 3 312.457 4.196 20 0 DIADHN C[C@H](NCc1cccc2[nH]ccc21)c1cnn(CC2CCC2)c1 ZINC000898178043 1047325374 /nfs/dbraw/zinc/32/53/74/1047325374.db2.gz WVXSXWJAYWOGKX-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN C[C@H](NCc1cc2ccccc2[nH]1)c1cnn(CC2CCC2)c1 ZINC000898183026 1047325618 /nfs/dbraw/zinc/32/56/18/1047325618.db2.gz XEOKGSJHDXIYSP-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN C[C@H](NCc1cccn1C1CC1)c1ccc(NC(=O)C2CC2)cc1 ZINC001119340306 1047327516 /nfs/dbraw/zinc/32/75/16/1047327516.db2.gz WRJYIRWNIOAMRH-AWEZNQCLSA-N 0 3 323.440 4.022 20 0 DIADHN COC1([C@H](C)N[C@@H](C)c2ccc(-c3ccccc3)cn2)CCC1 ZINC000651831281 1047330744 /nfs/dbraw/zinc/33/07/44/1047330744.db2.gz KGFQNBSWXZSDGR-HOTGVXAUSA-N 0 3 310.441 4.357 20 0 DIADHN COc1ccc(CNC2(c3ccccc3C)CCC2)cc1OC ZINC000651861002 1047331396 /nfs/dbraw/zinc/33/13/96/1047331396.db2.gz DQBZPJCOIMPKQR-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)CSc2ccccc2)cc1O ZINC000651875682 1047333066 /nfs/dbraw/zinc/33/30/66/1047333066.db2.gz WOXXONARVMFAHV-AWEZNQCLSA-N 0 3 317.454 4.013 20 0 DIADHN C[C@@H]1CN(Cc2sccc2Oc2ccccc2)CC[C@@]1(C)O ZINC001141994537 1047335022 /nfs/dbraw/zinc/33/50/22/1047335022.db2.gz ORYUIGOUGPFBPE-RDTXWAMCSA-N 0 3 317.454 4.133 20 0 DIADHN CCCCCN(C(=O)c1ccc(NC2=NCCC2)cc1)C(C)C ZINC001141996014 1047337882 /nfs/dbraw/zinc/33/78/82/1047337882.db2.gz GIJTVXKTBDLUMM-UHFFFAOYSA-N 0 3 315.461 4.332 20 0 DIADHN FC(F)(F)Cc1ccc(CN[C@H](c2ccncc2)C2CC2)cc1 ZINC000898317581 1047338833 /nfs/dbraw/zinc/33/88/33/1047338833.db2.gz JLLJFRTYSAJDEM-KRWDZBQOSA-N 0 3 320.358 4.427 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3c(c2)OCO3)c2ccccc2n1 ZINC000105417041 1047338991 /nfs/dbraw/zinc/33/89/91/1047338991.db2.gz OIGZPIPDGUUJHG-AWEZNQCLSA-N 0 3 320.392 4.123 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)NCc1csc(-c2ccccc2)n1 ZINC000105447603 1047341566 /nfs/dbraw/zinc/34/15/66/1047341566.db2.gz WZWUVFQYTOXTFC-CQSZACIVSA-N 0 3 324.449 4.237 20 0 DIADHN CC(C)C[C@@H](NCc1cccnc1N(C)C)c1ccc(F)cc1 ZINC000652139227 1047343833 /nfs/dbraw/zinc/34/38/33/1047343833.db2.gz FCLHHQDTOBOLPP-GOSISDBHSA-N 0 3 315.436 4.164 20 0 DIADHN Cc1ccc(-c2ccc(CNc3cc(CN(C)C)ccn3)o2)cc1 ZINC000537505852 1047347164 /nfs/dbraw/zinc/34/71/64/1047347164.db2.gz PAHITWPPHJASBS-UHFFFAOYSA-N 0 3 321.424 4.324 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H]1N[C@@H](C)c1nc2ccccc2n1C ZINC000652240129 1047347802 /nfs/dbraw/zinc/34/78/02/1047347802.db2.gz QBKFLLUDKCFPIA-QILLFSRXSA-N 0 3 305.425 4.088 20 0 DIADHN CC[C@H](OCCN[C@H](C)c1cnc(C)s1)c1ccccc1 ZINC000652236635 1047349216 /nfs/dbraw/zinc/34/92/16/1047349216.db2.gz URRXGGVEIWDWBE-CJNGLKHVSA-N 0 3 304.459 4.270 20 0 DIADHN C[C@H](N[C@@H]1CCOc2c(Cl)c(Cl)ccc21)[C@H]1CC1(F)F ZINC000840879600 1047350346 /nfs/dbraw/zinc/35/03/46/1047350346.db2.gz QLUXGTZENVSEEB-JVUFJMBOSA-N 0 3 322.182 4.450 20 0 DIADHN C[C@H](NCCC1(CO)CCC1)c1csc(-c2ccccc2)n1 ZINC000652313134 1047353809 /nfs/dbraw/zinc/35/38/09/1047353809.db2.gz JZSGJZZFVPYCQE-AWEZNQCLSA-N 0 3 316.470 4.013 20 0 DIADHN Cc1occc1CN[C@@H](C)[C@@H](O)c1c(Cl)cccc1Cl ZINC000840901715 1047357199 /nfs/dbraw/zinc/35/71/99/1047357199.db2.gz OCSTXPLAIFRVFI-BJOHPYRUSA-N 0 3 314.212 4.107 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)cc1F ZINC000057627946 1047359912 /nfs/dbraw/zinc/35/99/12/1047359912.db2.gz MVFDFVXCOTYAFL-SFHVURJKSA-N 0 3 305.368 4.460 20 0 DIADHN Clc1ccc(CN(Cc2ccccc2)C2CCCCC2)nn1 ZINC001142060232 1047363027 /nfs/dbraw/zinc/36/30/27/1047363027.db2.gz ZWCHFWPVFXABTI-UHFFFAOYSA-N 0 3 315.848 4.465 20 0 DIADHN CC(C)[C@@H](C)N1Cc2ccc(NC(=S)NC(C)(C)C)cc2C1 ZINC000726495726 1047363935 /nfs/dbraw/zinc/36/39/35/1047363935.db2.gz QYLMDDTXZJKAFZ-CYBMUJFWSA-N 0 3 319.518 4.132 20 0 DIADHN CSCc1ccc(CNCc2ccc3cccnc3c2)cc1 ZINC000796514671 1047366091 /nfs/dbraw/zinc/36/60/91/1047366091.db2.gz OZPZDOLTYAJMOS-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN COC[C@H]1CCN1Cc1ccc(-c2ccccc2Cl)cc1 ZINC001142102061 1047381287 /nfs/dbraw/zinc/38/12/87/1047381287.db2.gz MQEQOCSPJVNICK-MRXNPFEDSA-N 0 3 301.817 4.228 20 0 DIADHN Clc1ccc(CC2(N[C@@H]3CCCn4ccnc43)CCC2)cc1 ZINC000655768970 1047389846 /nfs/dbraw/zinc/38/98/46/1047389846.db2.gz AHTGBJQEEHNWNQ-MRXNPFEDSA-N 0 3 315.848 4.126 20 0 DIADHN Cc1cccc(CNCc2cc(F)cc(Br)c2)c1 ZINC000108163826 1047394186 /nfs/dbraw/zinc/39/41/86/1047394186.db2.gz BDJJWBMRNJRXJC-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CC(C)c1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000533201264 1047395892 /nfs/dbraw/zinc/39/58/92/1047395892.db2.gz UGDMKACDKXPRCD-UHFFFAOYSA-N 0 3 309.409 4.318 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1cccnc1Cl ZINC000726970899 1047397109 /nfs/dbraw/zinc/39/71/09/1047397109.db2.gz WPYNQIFMPFBJNC-UHFFFAOYSA-N 0 3 302.849 4.436 20 0 DIADHN c1ccc([C@H]2CSCCN2CCc2noc3ccccc23)cc1 ZINC000653556700 1047399573 /nfs/dbraw/zinc/39/95/73/1047399573.db2.gz DOCBWSGIPCBCPT-GOSISDBHSA-N 0 3 324.449 4.160 20 0 DIADHN CC[C@](C)(NCCc1noc2ccccc12)c1nc(C)cs1 ZINC000653582863 1047402586 /nfs/dbraw/zinc/40/25/86/1047402586.db2.gz AISOMLIYQCYDQM-KRWDZBQOSA-N 0 3 315.442 4.050 20 0 DIADHN CCCN(C(=O)[C@H](CC)N(C)C)[C@H](C)c1cc2ccccc2o1 ZINC001142229580 1047408999 /nfs/dbraw/zinc/40/89/99/1047408999.db2.gz NRKPBRDODKLTPH-ZBFHGGJFSA-N 0 3 316.445 4.073 20 0 DIADHN Cc1cnc(C(C)(C)NCCCc2c(F)cccc2F)s1 ZINC000653614977 1047409883 /nfs/dbraw/zinc/40/98/83/1047409883.db2.gz UKZCHQVELNIJIM-UHFFFAOYSA-N 0 3 310.413 4.187 20 0 DIADHN Cn1cc([C@H](NCCC(C)(C)C2CC2)c2ccc(F)cc2)cn1 ZINC000653621260 1047410114 /nfs/dbraw/zinc/41/01/14/1047410114.db2.gz DHUUMNLFOPQMOX-GOSISDBHSA-N 0 3 315.436 4.065 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC([C@H]2CCCO2)CC1 ZINC000653634797 1047410700 /nfs/dbraw/zinc/41/07/00/1047410700.db2.gz JIYQUHFPVUBJDY-HXUWFJFHSA-N 0 3 317.473 4.210 20 0 DIADHN Cc1ncsc1CNCc1cc(-c2ccccc2)cs1 ZINC000071213008 1047420062 /nfs/dbraw/zinc/42/00/62/1047420062.db2.gz CEUPXKFEKRIQHQ-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@@H]1C(C)C ZINC000117349998 1047422028 /nfs/dbraw/zinc/42/20/28/1047422028.db2.gz AUVXKPYMPXOKFH-QGZVFWFLSA-N 0 3 302.462 4.006 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccccc1F)c1ccccc1F ZINC000653792794 1047423018 /nfs/dbraw/zinc/42/30/18/1047423018.db2.gz XSEWRKGUXIZWKL-MRXNPFEDSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC4(C3)CCCOC4)cc2)cc1 ZINC001142301666 1047425971 /nfs/dbraw/zinc/42/59/71/1047425971.db2.gz WDQZLJILEYRQSX-UHFFFAOYSA-N 0 3 307.437 4.274 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc(-c2ccccc2)cc1 ZINC000749561419 1047426467 /nfs/dbraw/zinc/42/64/67/1047426467.db2.gz KAJXUKJTJIRNBB-IBGZPJMESA-N 0 3 308.425 4.167 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000212439065 1047427876 /nfs/dbraw/zinc/42/78/76/1047427876.db2.gz RXMXYQPKQDTZFP-GFCCVEGCSA-N 0 3 307.796 4.476 20 0 DIADHN CC(C)COC1CCN(Cc2ccccc2OC(C)C)CC1 ZINC000055905864 1047428075 /nfs/dbraw/zinc/42/80/75/1047428075.db2.gz ZFNZQRYXQYKAHM-UHFFFAOYSA-N 0 3 305.462 4.111 20 0 DIADHN Cc1cccc(N(C)C(=O)Nc2cccc(CN(C)C)c2)c1C ZINC000653936506 1047436451 /nfs/dbraw/zinc/43/64/51/1047436451.db2.gz ZRBMCEJXEIGWPQ-UHFFFAOYSA-N 0 3 311.429 4.033 20 0 DIADHN CCCc1ccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)cc1 ZINC001142372314 1047437868 /nfs/dbraw/zinc/43/78/68/1047437868.db2.gz ZXZWIZFBOOPNLC-PMACEKPBSA-N 0 3 318.480 4.037 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(Cl)c(F)c2)c1 ZINC000213539797 1047442662 /nfs/dbraw/zinc/44/26/62/1047442662.db2.gz OIRWRUNSZUHAGZ-GFCCVEGCSA-N 0 3 307.796 4.476 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)[C@H]2CCCCC[C@@H]21)c1ccccc1 ZINC000749942501 1047450422 /nfs/dbraw/zinc/45/04/22/1047450422.db2.gz WDHLNAPTIQPBFV-OKZBNKHCSA-N 0 3 314.473 4.112 20 0 DIADHN C[C@@H](c1ccccn1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000750035781 1047454940 /nfs/dbraw/zinc/45/49/40/1047454940.db2.gz IMINLBLSDIZCOP-HNNXBMFYSA-N 0 3 303.409 4.413 20 0 DIADHN CC[C@@H](N[C@H]1COCc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000841761621 1047455440 /nfs/dbraw/zinc/45/54/40/1047455440.db2.gz PXTJGUVZDNVHHC-UXHICEINSA-N 0 3 323.436 4.324 20 0 DIADHN CCOCCN(C)[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000119441837 1047457226 /nfs/dbraw/zinc/45/72/26/1047457226.db2.gz APURMPWFXYHRKM-CQSZACIVSA-N 0 3 304.459 4.148 20 0 DIADHN Cc1ccc([C@H](CNC(=O)[C@H](C)CCC(C)(C)C)N(C)C)cc1 ZINC001142539495 1047465044 /nfs/dbraw/zinc/46/50/44/1047465044.db2.gz RDWNKDCJYKXXPU-AEFFLSMTSA-N 0 3 318.505 4.176 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1cc(C)ccc1OC ZINC000119546211 1047466243 /nfs/dbraw/zinc/46/62/43/1047466243.db2.gz KBFPTMMOIVLRRC-INIZCTEOSA-N 0 3 313.441 4.391 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)[C@@H](C)CCC(C)(C)C)N(C)C)cc1 ZINC001142539496 1047466557 /nfs/dbraw/zinc/46/65/57/1047466557.db2.gz RDWNKDCJYKXXPU-FUHWJXTLSA-N 0 3 318.505 4.176 20 0 DIADHN CC[C@@H](C)CCCCC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001142539835 1047467086 /nfs/dbraw/zinc/46/70/86/1047467086.db2.gz ZNFARVYROPJYKR-APWZRJJASA-N 0 3 318.505 4.320 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1ncc(C(F)(F)F)cc1Cl ZINC001142555037 1047467847 /nfs/dbraw/zinc/46/78/47/1047467847.db2.gz HVXUKXFVPRJTAE-ZDUSSCGKSA-N 0 3 306.759 4.374 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccccc1OC(F)F ZINC000119777122 1047471148 /nfs/dbraw/zinc/47/11/48/1047471148.db2.gz DDLLISROSJXRFN-SJKOYZFVSA-N 0 3 303.352 4.311 20 0 DIADHN CC(C)Oc1cccc(CN(C)[C@@H](CCO)c2ccccc2)c1 ZINC000537624102 1047474659 /nfs/dbraw/zinc/47/46/59/1047474659.db2.gz RKNBJXIMEPDWFR-FQEVSTJZSA-N 0 3 313.441 4.029 20 0 DIADHN CN(Cc1ccc(F)c(Cl)c1)[C@H](CCO)c1ccccc1 ZINC000537626189 1047481032 /nfs/dbraw/zinc/48/10/32/1047481032.db2.gz ITBHQRVEPQZIQG-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1cc(CNC2CCC(c3ccc(Cl)cc3)CC2)no1 ZINC001168334759 1047482522 /nfs/dbraw/zinc/48/25/22/1047482522.db2.gz WLXSEEBSKFYVKF-UHFFFAOYSA-N 0 3 304.821 4.452 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000537625158 1047483272 /nfs/dbraw/zinc/48/32/72/1047483272.db2.gz OVQMZYQPBZDMRT-CYBMUJFWSA-N 0 3 307.821 4.335 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089913009 1047493062 /nfs/dbraw/zinc/49/30/62/1047493062.db2.gz BEWBYRXNLVOWTK-MLGOLLRUSA-N 0 3 317.433 4.347 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089910163 1047493989 /nfs/dbraw/zinc/49/39/89/1047493989.db2.gz RLCUUVWGLOJJFL-WBMJQRKESA-N 0 3 317.433 4.347 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1nccn1C(F)F ZINC000120599555 1047498567 /nfs/dbraw/zinc/49/85/67/1047498567.db2.gz ZFJMIHATBFZKQL-HNNXBMFYSA-N 0 3 307.388 4.121 20 0 DIADHN c1ccc(-c2cccc(CN3CCc4ccccc4C3)c2)nc1 ZINC001142828948 1047500682 /nfs/dbraw/zinc/50/06/82/1047500682.db2.gz PPUZMGKSMQQXDM-UHFFFAOYSA-N 0 3 300.405 4.307 20 0 DIADHN F[C@@H]1CCN(Cc2cccc(-c3ccccn3)c2)CCC1(F)F ZINC001142828880 1047500799 /nfs/dbraw/zinc/50/07/99/1047500799.db2.gz LJWUGPMDWHPTEF-QGZVFWFLSA-N 0 3 320.358 4.318 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)c2)CC(C)(C)O1 ZINC001142849040 1047503133 /nfs/dbraw/zinc/50/31/33/1047503133.db2.gz KFTKSSOLBLWTTK-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN C[C@@H]1CN(Cc2cnn(C(C)(C)C)c2)CC[C@H]1c1ccccc1 ZINC000537632782 1047510361 /nfs/dbraw/zinc/51/03/61/1047510361.db2.gz QFYRYELYXFVGQA-VQIMIIECSA-N 0 3 311.473 4.264 20 0 DIADHN OC1CCC(N[C@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000090800073 1047510813 /nfs/dbraw/zinc/51/08/13/1047510813.db2.gz NITZMGDKVKEVQV-AMUVOQDHSA-N 0 3 320.285 4.325 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@@H]1CCc2c1cccc2OC ZINC000121447896 1047520033 /nfs/dbraw/zinc/52/00/33/1047520033.db2.gz AGUNAIDUICUYES-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN Cn1nc(-c2ccccc2)cc1CN1CCC[C@@H]1c1ccccc1 ZINC001143009479 1047524462 /nfs/dbraw/zinc/52/44/62/1047524462.db2.gz AVYDDKCKGJTESN-OAQYLSRUSA-N 0 3 317.436 4.424 20 0 DIADHN Cc1cccc(CCN(C)Cc2cc(-c3ccccc3)nn2C)c1 ZINC001143012271 1047524945 /nfs/dbraw/zinc/52/49/45/1047524945.db2.gz LSZNOWUIYJXPDU-UHFFFAOYSA-N 0 3 319.452 4.070 20 0 DIADHN Clc1ccc(C2CCC(N3CCOCC34CC4)CC2)cc1 ZINC001168346762 1047526015 /nfs/dbraw/zinc/52/60/15/1047526015.db2.gz DCBIKAPEGVYUJU-UHFFFAOYSA-N 0 3 305.849 4.231 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2CCc3c2cccc3OC)s1 ZINC000121891894 1047532140 /nfs/dbraw/zinc/53/21/40/1047532140.db2.gz UKGNGGWREINZOR-XHDPSFHLSA-N 0 3 316.470 4.361 20 0 DIADHN CC(C)C[C@H](NCc1cc2ccccc2[nH]c1=O)c1ccccc1 ZINC000121953396 1047533145 /nfs/dbraw/zinc/53/31/45/1047533145.db2.gz YMOOBDDXFCBOMN-FQEVSTJZSA-N 0 3 320.436 4.405 20 0 DIADHN C[C@H](N(C)CC(=O)N[C@H](c1cccs1)C(C)(C)C)C1(C)CC1 ZINC000476598512 1047536991 /nfs/dbraw/zinc/53/69/91/1047536991.db2.gz PQPPDPRPWWOIFA-XJKSGUPXSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2scc3c2OCCO3)cc1 ZINC001143187616 1047539886 /nfs/dbraw/zinc/53/98/86/1047539886.db2.gz YDOVAKHPZPJHAE-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2scc3c2OCCO3)cc1 ZINC001143187615 1047539955 /nfs/dbraw/zinc/53/99/55/1047539955.db2.gz YDOVAKHPZPJHAE-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN CC(C)N(Cc1scc2c1OCCO2)[C@@H](C)c1ccccc1 ZINC001143194157 1047540037 /nfs/dbraw/zinc/54/00/37/1047540037.db2.gz ZGPJECNPERAEKJ-AWEZNQCLSA-N 0 3 317.454 4.491 20 0 DIADHN Cc1cccc(CN(Cc2cc3cccnc3[nH]2)C2CC2)c1C ZINC001143229618 1047543322 /nfs/dbraw/zinc/54/33/22/1047543322.db2.gz XNWGZCHFUOIDPU-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccccc2F)s1 ZINC000093049349 1047543316 /nfs/dbraw/zinc/54/33/16/1047543316.db2.gz OXRIXQDUPBCAPS-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN CC(C)c1ccc2c(c1)CCCN2C(=O)c1ccc2c(c1)CNC2 ZINC000843377985 1047544803 /nfs/dbraw/zinc/54/48/03/1047544803.db2.gz CNDAHSZFCKKLNR-UHFFFAOYSA-N 0 3 320.436 4.006 20 0 DIADHN CN1CCN(Cc2ccc(C(F)F)cc2)C[C@@H]1c1ccccc1 ZINC001143251028 1047545295 /nfs/dbraw/zinc/54/52/95/1047545295.db2.gz JTSWRWCGWMRYDQ-GOSISDBHSA-N 0 3 316.395 4.113 20 0 DIADHN CCN(Cc1cccc(-c2ccncc2)c1)Cc1ccccn1 ZINC000093338820 1047545487 /nfs/dbraw/zinc/54/54/87/1047545487.db2.gz ZASJXPVYJKDIME-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN CC(C)c1ncc(CN(C)[C@@H](C)Cc2ccc(O)cc2)s1 ZINC000093324586 1047545715 /nfs/dbraw/zinc/54/57/15/1047545715.db2.gz PZXMSESZBCOOLH-ZDUSSCGKSA-N 0 3 304.459 4.035 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1Cc1cccc2nonc21 ZINC001203136238 1047547314 /nfs/dbraw/zinc/54/73/14/1047547314.db2.gz MDBLBXZBRAUFDW-INIZCTEOSA-N 0 3 313.788 4.213 20 0 DIADHN CN(Cc1c(Cl)cccc1C(F)(F)F)CC1CCOCC1 ZINC001143282637 1047547536 /nfs/dbraw/zinc/54/75/36/1047547536.db2.gz BNFDAGMPXRQURB-UHFFFAOYSA-N 0 3 321.770 4.217 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2c[nH]nc2-c2ccccc2)n1 ZINC000093445055 1047547714 /nfs/dbraw/zinc/54/77/14/1047547714.db2.gz FLLHUULEQAZDLE-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CC=CC2)c(C)o1 ZINC000843433112 1047551786 /nfs/dbraw/zinc/55/17/86/1047551786.db2.gz SCAOMBBLHBLPES-IBGZPJMESA-N 0 3 311.425 4.056 20 0 DIADHN C[C@H](C1CC1)N(C)Cc1ccc(Br)cc1Cl ZINC000060876773 1047553652 /nfs/dbraw/zinc/55/36/52/1047553652.db2.gz VYXKVUDVTDLFQB-SECBINFHSA-N 0 3 302.643 4.333 20 0 DIADHN COc1cccc(CN(C)CCc2cccs2)c1OC(C)C ZINC000537646620 1047559770 /nfs/dbraw/zinc/55/97/70/1047559770.db2.gz FMKBKLOPRGLUFK-UHFFFAOYSA-N 0 3 319.470 4.218 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1ncc(-c2cccc(F)c2)o1 ZINC000476666085 1047562748 /nfs/dbraw/zinc/56/27/48/1047562748.db2.gz IQSPZJGXTYXLBD-XJKSGUPXSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)c(C)s1 ZINC000666958446 1047576560 /nfs/dbraw/zinc/57/65/60/1047576560.db2.gz JYWOUHAGIRQIDY-MRXNPFEDSA-N 0 3 316.470 4.339 20 0 DIADHN COc1ccc(CN(Cc2cnc(C)s2)[C@H](C)C2CC2)cc1 ZINC000098134664 1047577547 /nfs/dbraw/zinc/57/75/47/1047577547.db2.gz YNJULURNMBWIDS-CYBMUJFWSA-N 0 3 316.470 4.261 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)CC(C)C ZINC000123244219 1047580096 /nfs/dbraw/zinc/58/00/96/1047580096.db2.gz TYSCJIWLJCONDL-UHFFFAOYSA-N 0 3 307.825 4.258 20 0 DIADHN C[C@@H](N[C@H](C)c1cccs1)C(=O)Nc1ccc(Cl)cc1 ZINC000192678536 1047586138 /nfs/dbraw/zinc/58/61/38/1047586138.db2.gz MGXVYMGPDCWHNY-GHMZBOCLSA-N 0 3 308.834 4.079 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2ncccc2c1 ZINC000130876307 1047588225 /nfs/dbraw/zinc/58/82/25/1047588225.db2.gz CCIVXOHRUSSELK-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2C[C@@H](C)C[C@H](C)C2)c1 ZINC001151012611 1047589261 /nfs/dbraw/zinc/58/92/61/1047589261.db2.gz UKICWXQVWODQLV-KBPBESRZSA-N 0 3 302.462 4.067 20 0 DIADHN CCC[C@H](NC[C@H]1CCC[C@H](C(F)(F)F)O1)c1ccccn1 ZINC000926914326 1047589643 /nfs/dbraw/zinc/58/96/43/1047589643.db2.gz HPNQKTAHFYBPOY-VNHYZAJKSA-N 0 3 316.367 4.012 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2C[C@H]2CC(C)(C)C)c1 ZINC001151013263 1047590453 /nfs/dbraw/zinc/59/04/53/1047590453.db2.gz ZSHNTVIDCMYXBT-DOTOQJQBSA-N 0 3 302.462 4.067 20 0 DIADHN CSc1ccc([C@@H](C)NCc2c(C)nc3ccccn32)cc1 ZINC000315124739 1047597649 /nfs/dbraw/zinc/59/76/49/1047597649.db2.gz AZPSCZIOISCBQE-CYBMUJFWSA-N 0 3 311.454 4.215 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc2ccsc21)N1CCCCC1 ZINC001151936993 1047604094 /nfs/dbraw/zinc/60/40/94/1047604094.db2.gz SOJSMLPAYUTHHB-MRXNPFEDSA-N 0 3 316.470 4.350 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N(C)CC1CCCC1 ZINC000060211387 1047604666 /nfs/dbraw/zinc/60/46/66/1047604666.db2.gz DGPFKVGJJRVTSS-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2sccc2[nH]1)C(C)C ZINC001152274310 1047609338 /nfs/dbraw/zinc/60/93/38/1047609338.db2.gz IPLBQJKANMXHNH-UHFFFAOYSA-N 0 3 310.403 4.258 20 0 DIADHN CCCC1CCC(N[C@@H](C)c2nnc3n2CCCCC3)CC1 ZINC000315550370 1047609757 /nfs/dbraw/zinc/60/97/57/1047609757.db2.gz MWEJICMIGNWMJE-FHERZECASA-N 0 3 304.482 4.014 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1ccn(C2CCCC2)n1 ZINC000477286317 1047610384 /nfs/dbraw/zinc/61/03/84/1047610384.db2.gz RSVGZOQIJMQOBZ-FUHWJXTLSA-N 0 3 309.457 4.376 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H](c4ccccc4)C3)co2)cc1 ZINC000477293506 1047611745 /nfs/dbraw/zinc/61/17/45/1047611745.db2.gz AFBAEDIXYGHNJD-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN CCC(CC)N(CCOC)Cc1nc(-c2ccccc2)oc1C ZINC000477412922 1047619305 /nfs/dbraw/zinc/61/93/05/1047619305.db2.gz PNPWOVIBWFXGCH-UHFFFAOYSA-N 0 3 316.445 4.287 20 0 DIADHN CC(C)c1cccc(NC(=O)CN2CCC[C@H]2C2CCC2)c1 ZINC000477651280 1047629691 /nfs/dbraw/zinc/62/96/91/1047629691.db2.gz ZMJBAEZZZCUEIV-SFHVURJKSA-N 0 3 300.446 4.013 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@H](C)c2ccccc21)N1CCCCCC1 ZINC001153856958 1047633704 /nfs/dbraw/zinc/63/37/04/1047633704.db2.gz ADFQFOBXZCXIDA-IRXDYDNUSA-N 0 3 314.473 4.181 20 0 DIADHN Cc1csc(CCN[C@H](c2ccc(C)cc2)c2cccnc2)n1 ZINC000924644662 1047638532 /nfs/dbraw/zinc/63/85/32/1047638532.db2.gz QPUUZYNOISJWND-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@H](C)C3(CCC3)C2)c1C ZINC000537841652 1047640249 /nfs/dbraw/zinc/64/02/49/1047640249.db2.gz RQWDSQOQRFZPSZ-RDJZCZTQSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@H](NCCCc1cccc(F)c1)c1nc2c(s1)CCCC2 ZINC000537889113 1047642991 /nfs/dbraw/zinc/64/29/91/1047642991.db2.gz VHQAWIJOANCAIJ-ZDUSSCGKSA-N 0 3 318.461 4.444 20 0 DIADHN CCCCCCN(C)CC(=O)NCc1ccc(C(C)(C)C)s1 ZINC001154308318 1047644876 /nfs/dbraw/zinc/64/48/76/1047644876.db2.gz SHKOJUNCHDQPIJ-UHFFFAOYSA-N 0 3 324.534 4.174 20 0 DIADHN C[C@@H](NCCOc1ncccc1Cl)c1ccccc1Cl ZINC000537963591 1047647834 /nfs/dbraw/zinc/64/78/34/1047647834.db2.gz PQDBCWYLFTYMPF-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN C[C@@H]1CN(C)C[C@H]1N[C@H](c1cc2ccccc2o1)c1ccccc1 ZINC000537972800 1047651749 /nfs/dbraw/zinc/65/17/49/1047651749.db2.gz CRCKVHUGJIZIME-FPDPHYFHSA-N 0 3 320.436 4.062 20 0 DIADHN C[C@@H]1CN(C)C[C@H]1N[C@@H](c1cc2ccccc2o1)c1ccccc1 ZINC000537972801 1047651854 /nfs/dbraw/zinc/65/18/54/1047651854.db2.gz CRCKVHUGJIZIME-FQELHTAISA-N 0 3 320.436 4.062 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(OCC(F)F)cc1 ZINC000538003245 1047652884 /nfs/dbraw/zinc/65/28/84/1047652884.db2.gz YHXOWWRRAWYULZ-ZDUSSCGKSA-N 0 3 320.383 4.139 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1cc(C)c(C)cc1C ZINC000538018104 1047654298 /nfs/dbraw/zinc/65/42/98/1047654298.db2.gz KZMOQISTMVEOEE-NVXWUHKLSA-N 0 3 303.471 4.366 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](CO)c2sccc2C)cc1 ZINC000538020072 1047655438 /nfs/dbraw/zinc/65/54/38/1047655438.db2.gz ALZTYMKNRCCDIC-YOEHRIQHSA-N 0 3 319.470 4.230 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)N[C@@H]1CCCc2c1cnn2C ZINC000924651245 1047655482 /nfs/dbraw/zinc/65/54/82/1047655482.db2.gz PRWWEMCRFVOSJY-MLGOLLRUSA-N 0 3 309.413 4.203 20 0 DIADHN COc1ccc([C@@H](NCc2ccnc(SC)c2)C(C)C)cc1 ZINC000538114317 1047659647 /nfs/dbraw/zinc/65/96/47/1047659647.db2.gz FPUFLEKJQDEPSE-SFHVURJKSA-N 0 3 316.470 4.299 20 0 DIADHN COc1cc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)ccn1 ZINC000538205582 1047661576 /nfs/dbraw/zinc/66/15/76/1047661576.db2.gz WNYMPJVPXINGMN-SFHVURJKSA-N 0 3 316.832 4.375 20 0 DIADHN c1sc2ccccc2c1C[C@@H]1CCCN(Cc2cncnc2)C1 ZINC000344917812 1047661637 /nfs/dbraw/zinc/66/16/37/1047661637.db2.gz YQCQMKVIBZWTGX-HNNXBMFYSA-N 0 3 323.465 4.146 20 0 DIADHN COCCSc1ccc([C@@H](C)NCCC(F)(F)F)cc1 ZINC000538204936 1047661887 /nfs/dbraw/zinc/66/18/87/1047661887.db2.gz OBKUBKWXDWGOFC-LLVKDONJSA-N 0 3 307.381 4.028 20 0 DIADHN Cc1ccc([C@@H](NCCOc2ncccc2F)C2CCCC2)o1 ZINC000538295681 1047667611 /nfs/dbraw/zinc/66/76/11/1047667611.db2.gz CWGSUHIYTDWCBO-KRWDZBQOSA-N 0 3 318.392 4.022 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1nccc2cc(Cl)ccc21 ZINC001155248562 1047673525 /nfs/dbraw/zinc/67/35/25/1047673525.db2.gz VMYIIPHOUHYEDF-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc2cccc(Cl)c2n1 ZINC001155255312 1047674018 /nfs/dbraw/zinc/67/40/18/1047674018.db2.gz RMWZPXJRQOOVFN-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)N[C@H](C)c1cccc(CO)c1 ZINC000538451905 1047678887 /nfs/dbraw/zinc/67/88/87/1047678887.db2.gz DTHZNKUZIJMXGK-HZPDHXFCSA-N 0 3 313.441 4.378 20 0 DIADHN C[C@H](NCCc1nc2c(s1)CCCC2)c1cccc(F)c1F ZINC000538457055 1047679635 /nfs/dbraw/zinc/67/96/35/1047679635.db2.gz LYCSETLOOFPCIP-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN CCCC[C@@H](CC)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643465 1047686022 /nfs/dbraw/zinc/68/60/22/1047686022.db2.gz RVZGATXJZSXPHL-PBHICJAKSA-N 0 3 324.896 4.275 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000538533869 1047686187 /nfs/dbraw/zinc/68/61/87/1047686187.db2.gz CUXMHCSYSVXFQR-AEFFLSMTSA-N 0 3 314.429 4.025 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000538719663 1047699190 /nfs/dbraw/zinc/69/91/90/1047699190.db2.gz ZDEJUPMULHKNIJ-UHFFFAOYSA-N 0 3 317.404 4.131 20 0 DIADHN Cc1ccc([C@H]2[C@H](C)CCCN2Cc2cnc3cnccn23)cc1 ZINC000538720483 1047699371 /nfs/dbraw/zinc/69/93/71/1047699371.db2.gz BUMLZRCNHPWPCE-OXQOHEQNSA-N 0 3 320.440 4.011 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000538723488 1047700651 /nfs/dbraw/zinc/70/06/51/1047700651.db2.gz HLCPHXWMLRERTH-KBXCAEBGSA-N 0 3 315.461 4.111 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1ncc(-c2ccccc2)s1 ZINC000538850389 1047715189 /nfs/dbraw/zinc/71/51/89/1047715189.db2.gz DSKUVQGXSNHSIW-SFHVURJKSA-N 0 3 318.486 4.087 20 0 DIADHN Fc1ccc(Cl)nc1NC[C@H](c1ccccc1)N1CCCC1 ZINC001156531601 1047715906 /nfs/dbraw/zinc/71/59/06/1047715906.db2.gz OWKREJXNWZFGTM-OAHLLOKOSA-N 0 3 319.811 4.123 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cc2ccccc2o1 ZINC000538879524 1047718149 /nfs/dbraw/zinc/71/81/49/1047718149.db2.gz ZTCVNVLZLFUXDL-ORAYPTAESA-N 0 3 313.372 4.438 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@H]1CCC[C@H](C)C1 ZINC000538912822 1047719115 /nfs/dbraw/zinc/71/91/15/1047719115.db2.gz DGEVDENDNCTNHN-KBPBESRZSA-N 0 3 324.415 4.052 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@]2(C)CCCOC2)o1 ZINC000538968512 1047721412 /nfs/dbraw/zinc/72/14/12/1047721412.db2.gz PHKTVHRQXIOHKV-SFHVURJKSA-N 0 3 303.377 4.053 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@]2(C)CCCOC2)o1 ZINC000538968499 1047721966 /nfs/dbraw/zinc/72/19/66/1047721966.db2.gz PHKTVHRQXIOHKV-GOSISDBHSA-N 0 3 303.377 4.053 20 0 DIADHN CC(C)N(CCOc1ccc(F)cc1Cl)Cc1cccnc1 ZINC000538999432 1047724603 /nfs/dbraw/zinc/72/46/03/1047724603.db2.gz ZSHQDCHACCENRH-UHFFFAOYSA-N 0 3 322.811 4.164 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc(F)cc1N(C)C ZINC000539040266 1047726648 /nfs/dbraw/zinc/72/66/48/1047726648.db2.gz VKHRUHHNRFWKSU-KZULUSFZSA-N 0 3 316.395 4.369 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H]2CC(C)(C)c3ccccc32)C1 ZINC000539055415 1047727985 /nfs/dbraw/zinc/72/79/85/1047727985.db2.gz ACHITHOIHXSXSR-VQTJNVASSA-N 0 3 306.453 4.185 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1cc2c(s1)CCCC2 ZINC000539055474 1047728068 /nfs/dbraw/zinc/72/80/68/1047728068.db2.gz BYQZKUUWIRYSSO-GXTWGEPZSA-N 0 3 321.511 4.381 20 0 DIADHN Cc1ccc(C[C@H](NCc2nnc(C(C)C)s2)C(C)C)cc1 ZINC000539057467 1047729353 /nfs/dbraw/zinc/72/93/53/1047729353.db2.gz GSILZKTXPWTFNP-INIZCTEOSA-N 0 3 317.502 4.327 20 0 DIADHN Cc1cc(Cl)cc(Cl)c1CNc1cc(CN(C)C)ccn1 ZINC001156992509 1047731696 /nfs/dbraw/zinc/73/16/96/1047731696.db2.gz LVNNTVTVQHWZIX-UHFFFAOYSA-N 0 3 324.255 4.371 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2csc(C(C)(C)C)n2)o1 ZINC000539046214 1047731897 /nfs/dbraw/zinc/73/18/97/1047731897.db2.gz FVMYSYLUFGFBEW-GFCCVEGCSA-N 0 3 306.475 4.489 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CCc3c2cccc3Cl)cc1 ZINC000539046485 1047732213 /nfs/dbraw/zinc/73/22/13/1047732213.db2.gz YQRGADVXSFQFOZ-MOPGFXCFSA-N 0 3 315.844 4.213 20 0 DIADHN Cc1ccccc1OCCN[C@H](C)c1ccc2ccccc2n1 ZINC000539092110 1047735822 /nfs/dbraw/zinc/73/58/22/1047735822.db2.gz WVQOVQCWLZUXKF-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN CCCC[C@@H](COC)N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000539214120 1047741387 /nfs/dbraw/zinc/74/13/87/1047741387.db2.gz ZLRHLXALPSSDMK-OCCSQVGLSA-N 0 3 323.868 4.083 20 0 DIADHN COc1cc(F)cc(CN[C@@H](c2ccc(F)cc2)C2CC2)c1 ZINC000539215457 1047741851 /nfs/dbraw/zinc/74/18/51/1047741851.db2.gz OSYLVSHUWKJMAD-GOSISDBHSA-N 0 3 303.352 4.214 20 0 DIADHN CCCCn1cc(CN[C@H](c2ccc(F)cc2)C2CC2)c(C)n1 ZINC000539216189 1047742338 /nfs/dbraw/zinc/74/23/38/1047742338.db2.gz HLWNNAHWMTYKSK-IBGZPJMESA-N 0 3 315.436 4.372 20 0 DIADHN CCC(CC)[C@H](NCC(=O)N1CCC[C@H](C)C1)c1cccs1 ZINC000539277151 1047744272 /nfs/dbraw/zinc/74/42/72/1047744272.db2.gz NYBRETORULJJCS-KSSFIOAISA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cc(C)cc(C)c2)c(C)c1 ZINC000539317981 1047745937 /nfs/dbraw/zinc/74/59/37/1047745937.db2.gz LRIPBZMRLKUPSE-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CC1CCC(C)(C)CC1 ZINC001157557736 1047745987 /nfs/dbraw/zinc/74/59/87/1047745987.db2.gz QJZJEPOULKBKSW-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN C[C@@H](N[C@H]1CCS[C@H](C)C1)c1ncc(-c2ccccc2)n1C ZINC000927029544 1047751778 /nfs/dbraw/zinc/75/17/78/1047751778.db2.gz PYMYFAVFCKVHHB-FMKPAKJESA-N 0 3 315.486 4.022 20 0 DIADHN C[C@@H](N[C@@H]1CCS[C@H](C)C1)c1ncc(-c2ccccc2)n1C ZINC000927029546 1047751832 /nfs/dbraw/zinc/75/18/32/1047751832.db2.gz PYMYFAVFCKVHHB-IIAWOOMASA-N 0 3 315.486 4.022 20 0 DIADHN Cc1cccc2c(C)cc(N3CCN[C@H](c4ccccc4)C3)nc12 ZINC001157759622 1047752983 /nfs/dbraw/zinc/75/29/83/1047752983.db2.gz RLMYKNBGSFDDFA-IBGZPJMESA-N 0 3 317.436 4.003 20 0 DIADHN Clc1cccc2ccnc(N3CCN[C@H](c4ccccc4)C3)c12 ZINC001157764650 1047753005 /nfs/dbraw/zinc/75/30/05/1047753005.db2.gz DIHSMXRPXRARLW-KRWDZBQOSA-N 0 3 323.827 4.039 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C)nc2)cc2ccccc21 ZINC000924694748 1047756486 /nfs/dbraw/zinc/75/64/86/1047756486.db2.gz HUGQBQMUDIVQNG-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN c1ccc2c(c1)cc(N1CCCC1)nc2NC(C1CC1)C1CC1 ZINC001157921714 1047764326 /nfs/dbraw/zinc/76/43/26/1047764326.db2.gz XXAJZCWAWFWIKZ-UHFFFAOYSA-N 0 3 307.441 4.436 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)CCOc1ccccc1)CCC2 ZINC000478043234 1047766086 /nfs/dbraw/zinc/76/60/86/1047766086.db2.gz CCAQEVSOYRGOJE-INIZCTEOSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@@H](CCO)CNCc1c(Cl)cccc1Oc1ccccc1 ZINC000478261942 1047777745 /nfs/dbraw/zinc/77/77/45/1047777745.db2.gz URJNXEJUTBTQAF-AWEZNQCLSA-N 0 3 319.832 4.240 20 0 DIADHN CCC[C@H](NC(=O)c1ccc(NC2=NCCC2)cc1)C(C)(C)C ZINC001158428985 1047778966 /nfs/dbraw/zinc/77/89/66/1047778966.db2.gz BBXOIVFJZUCFER-INIZCTEOSA-N 0 3 315.461 4.235 20 0 DIADHN Cn1cc([C@@H](NCCCC2CCCC2)c2ccc(F)cc2)cn1 ZINC000478309871 1047779481 /nfs/dbraw/zinc/77/94/81/1047779481.db2.gz QZGKABFRQIHMJF-IBGZPJMESA-N 0 3 315.436 4.209 20 0 DIADHN C[C@@H]1C[C@H](Nc2ccc(CN(C)C3CC3)cn2)c2ccccc21 ZINC001158474995 1047783881 /nfs/dbraw/zinc/78/38/81/1047783881.db2.gz AAFXGBDHRBMEDY-KUHUBIRLSA-N 0 3 307.441 4.336 20 0 DIADHN C[C@H]1C[C@@H](Nc2ncccc2CN2CCCC2)c2ccccc21 ZINC001158477542 1047784948 /nfs/dbraw/zinc/78/49/48/1047784948.db2.gz SHNFJDTWFBTHMA-HNAYVOBHSA-N 0 3 307.441 4.338 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000478435862 1047785580 /nfs/dbraw/zinc/78/55/80/1047785580.db2.gz VYFROQKGTIATAH-NFFDBFGFSA-N 0 3 317.408 4.351 20 0 DIADHN CCCN(C)[C@H](CNC(=O)CCC1CC1)c1ccc(Cl)cc1 ZINC000552682748 1047786985 /nfs/dbraw/zinc/78/69/85/1047786985.db2.gz UERUMWGRWJXKLS-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccc(OC(F)F)cc1)c1cnn(C)c1 ZINC000927065229 1047787008 /nfs/dbraw/zinc/78/70/08/1047787008.db2.gz FWRAFQVJFKELKR-WBMJQRKESA-N 0 3 323.387 4.069 20 0 DIADHN C[C@@H]1CN(c2cc(C3CC3)ccn2)[C@H](C)CN1Cc1ccccc1 ZINC001158524127 1047788139 /nfs/dbraw/zinc/78/81/39/1047788139.db2.gz QDUMNLFWVWUMAP-IAGOWNOFSA-N 0 3 321.468 4.058 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1nccc2ccccc21)c1cnn(C)c1 ZINC000927070716 1047798501 /nfs/dbraw/zinc/79/85/01/1047798501.db2.gz QBVLGQROXLJETG-RDTXWAMCSA-N 0 3 308.429 4.016 20 0 DIADHN COc1cc(Nc2ccnc(CN)c2)ccc1Oc1ccccc1 ZINC001159296716 1047826958 /nfs/dbraw/zinc/82/69/58/1047826958.db2.gz QZFDIPUIJPFJNV-UHFFFAOYSA-N 0 3 321.380 4.085 20 0 DIADHN Cc1ccc(OCc2ccccc2)cc1Nc1ccnc(CN)c1 ZINC001159297050 1047827305 /nfs/dbraw/zinc/82/73/05/1047827305.db2.gz YYEMYXJPGFTLMP-UHFFFAOYSA-N 0 3 319.408 4.171 20 0 DIADHN c1c(CN2CC[C@H]2Cc2ccccc2)onc1-c1ccccc1 ZINC000479095947 1047835702 /nfs/dbraw/zinc/83/57/02/1047835702.db2.gz FPPSASAYNKWSBU-SFHVURJKSA-N 0 3 304.393 4.159 20 0 DIADHN COCc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000479123188 1047840653 /nfs/dbraw/zinc/84/06/53/1047840653.db2.gz ZWALDSBAPVZCCG-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN Nc1cc(F)ccc1Nc1cccc(CN2CCCCC2)c1F ZINC001159443104 1047846573 /nfs/dbraw/zinc/84/65/73/1047846573.db2.gz JZFGZQXTNXWGGT-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2cc(F)ccc2Br)C1 ZINC001197890170 1047894192 /nfs/dbraw/zinc/89/41/92/1047894192.db2.gz BUIZMPIVZLZDIY-VXGBXAGGSA-N 0 3 314.242 4.456 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2ccc(F)cc2C)ccc1C ZINC001197611758 1047847017 /nfs/dbraw/zinc/84/70/17/1047847017.db2.gz UTIVLXXCEZOXKX-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN C[C@@H](CN(C)[C@@H](C)c1nc(-c2cccs2)no1)C(C)(C)C ZINC001197601943 1047852282 /nfs/dbraw/zinc/85/22/82/1047852282.db2.gz MJENIYIHBDYYBI-RYUDHWBXSA-N 0 3 307.463 4.473 20 0 DIADHN C[C@H](CN(C)[C@@H](C)C(=O)Nc1ccccc1Cl)C(C)(C)C ZINC001197600897 1047853384 /nfs/dbraw/zinc/85/33/84/1047853384.db2.gz FSDUQVVCXSAEFH-OLZOCXBDSA-N 0 3 310.869 4.281 20 0 DIADHN C[C@H](CCCc1ccccc1)C(=O)Nc1ccccc1CN(C)C ZINC000553468446 1047855625 /nfs/dbraw/zinc/85/56/25/1047855625.db2.gz KATWSIOHVRGFFS-QGZVFWFLSA-N 0 3 324.468 4.346 20 0 DIADHN CN(C)c1ccccc1CN1CC[C@H](c2c(F)cccc2F)C1 ZINC001197639157 1047866114 /nfs/dbraw/zinc/86/61/14/1047866114.db2.gz MEWYQZFUWNJEEG-HNNXBMFYSA-N 0 3 316.395 4.020 20 0 DIADHN Cc1cccc(Nc2ccncc2C)c1NC(=O)OC(C)(C)C ZINC001174538895 1047866814 /nfs/dbraw/zinc/86/68/14/1047866814.db2.gz UPYFUAQGDFJGOJ-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(OC)s2)CC[C@@H]1c1ccccc1 ZINC001204358888 1047870325 /nfs/dbraw/zinc/87/03/25/1047870325.db2.gz MWXHTLLKHQILND-PBHICJAKSA-N 0 3 316.470 4.167 20 0 DIADHN OC[C@H](NC1CCC(=C2CCC2)CC1)c1ccc(F)cc1F ZINC001183011074 1047874516 /nfs/dbraw/zinc/87/45/16/1047874516.db2.gz KJWBRIDEOGYIOG-SFHVURJKSA-N 0 3 307.384 4.011 20 0 DIADHN CCc1nc(CNCCCCc2ccc(F)c(F)c2)cs1 ZINC000479396916 1047879621 /nfs/dbraw/zinc/87/96/21/1047879621.db2.gz VTJDPYZALRLWKQ-UHFFFAOYSA-N 0 3 310.413 4.096 20 0 DIADHN c1ccc(CN2CCC=C(Nc3ccc4c(c3)NCCC4)C2)cc1 ZINC001159604338 1047882214 /nfs/dbraw/zinc/88/22/14/1047882214.db2.gz MFFPQCMORVXISA-UHFFFAOYSA-N 0 3 319.452 4.246 20 0 DIADHN COC(=O)c1csc(CN[C@@H]2CCCc3c(C)cccc32)c1 ZINC001183053013 1047885013 /nfs/dbraw/zinc/88/50/13/1047885013.db2.gz FQGAYDJQDSPWEP-QGZVFWFLSA-N 0 3 315.438 4.010 20 0 DIADHN Cc1ccc([C@H](C)Nc2cc(N3CCCC3)nc(C)n2)c(C)c1 ZINC001159631450 1047887487 /nfs/dbraw/zinc/88/74/87/1047887487.db2.gz HZBCHBQTNQKTEW-HNNXBMFYSA-N 0 3 310.445 4.175 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccc(F)cc1Br ZINC000479443811 1047891882 /nfs/dbraw/zinc/89/18/82/1047891882.db2.gz HHMJPXWOUHQPOF-MRVPVSSYSA-N 0 3 322.168 4.284 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1ccc(F)cc1Br ZINC000479443814 1047891969 /nfs/dbraw/zinc/89/19/69/1047891969.db2.gz HHMJPXWOUHQPOF-QMMMGPOBSA-N 0 3 322.168 4.284 20 0 DIADHN Cc1c([C@@H](C)NCCC2(C)CC2)nnn1-c1cccc(Cl)c1 ZINC000479443796 1047893212 /nfs/dbraw/zinc/89/32/12/1047893212.db2.gz ZVFMVFMGOBOVDQ-GFCCVEGCSA-N 0 3 318.852 4.070 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccc(F)cc1OC(F)F ZINC000479450872 1047894535 /nfs/dbraw/zinc/89/45/35/1047894535.db2.gz JRTWOOIQSLBOCZ-MRVPVSSYSA-N 0 3 309.278 4.123 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2cc(OC)c(O)cc2Cl)C1 ZINC001183169954 1047898805 /nfs/dbraw/zinc/89/88/05/1047898805.db2.gz GKHRRQUXMNBMQO-OOPCZODUSA-N 0 3 309.837 4.232 20 0 DIADHN CCN(Cc1cccs1)C[C@@H](O)c1ccc(F)cc1Cl ZINC000774579218 1047899633 /nfs/dbraw/zinc/89/96/33/1047899633.db2.gz GXNQQMUWZQFDRU-OAHLLOKOSA-N 0 3 313.825 4.096 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1nnc(-c2ccccc2)s1 ZINC000479460440 1047901295 /nfs/dbraw/zinc/90/12/95/1047901295.db2.gz KDZRZYDRJXUOKU-ZBFHGGJFSA-N 0 3 313.470 4.287 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCc3ccc(F)cc31)CC2 ZINC000479486006 1047906119 /nfs/dbraw/zinc/90/61/19/1047906119.db2.gz CLUDUFJVCPHJJD-WOJBJXKFSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H]1CN(C)c2ccccc2CN1Cc1ccc2ccccc2n1 ZINC001174621756 1047910178 /nfs/dbraw/zinc/91/01/78/1047910178.db2.gz FLNYKDDBZDHYGI-INIZCTEOSA-N 0 3 317.436 4.075 20 0 DIADHN CC(C)Oc1cccc(CN2Cc3ccccc3N(C)C[C@@H]2C)c1 ZINC001174621661 1047910956 /nfs/dbraw/zinc/91/09/56/1047910956.db2.gz AEQLMFSGQHDPFT-KRWDZBQOSA-N 0 3 324.468 4.314 20 0 DIADHN CC[C@H](NC[C@H]1CCCC2(CCC2)O1)c1cc(F)ccc1OC ZINC001197970780 1047911463 /nfs/dbraw/zinc/91/14/63/1047911463.db2.gz ZDNYHKGEUCOYLK-WBVHZDCISA-N 0 3 321.436 4.367 20 0 DIADHN FCCC1CCN(Cc2ccccc2CC(F)(F)F)CC1 ZINC001183247155 1047911766 /nfs/dbraw/zinc/91/17/66/1047911766.db2.gz ACOCYILKWZFTMV-UHFFFAOYSA-N 0 3 303.343 4.363 20 0 DIADHN C[C@]1(CNCc2noc3ccc(F)cc23)C[C@@H]1c1ccccc1 ZINC001183410892 1047922172 /nfs/dbraw/zinc/92/21/72/1047922172.db2.gz XCVFSPYJXRBSNB-VQIMIIECSA-N 0 3 310.372 4.250 20 0 DIADHN CCCc1cccc(CNCc2ccc(OCC)c(OC)c2)c1 ZINC000479547771 1047925701 /nfs/dbraw/zinc/92/57/01/1047925701.db2.gz XSMHABDGIGIGKC-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN Cc1c(F)cccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001174670631 1047929243 /nfs/dbraw/zinc/92/92/43/1047929243.db2.gz LNFSGRZTSPNEFC-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CCc1nc(C)c(CN2CCC(CCc3ccccc3)CC2)[nH]1 ZINC001203243377 1047930240 /nfs/dbraw/zinc/93/02/40/1047930240.db2.gz KEDJIVUKEJMJGB-UHFFFAOYSA-N 0 3 311.473 4.125 20 0 DIADHN Fc1ccc(CCN[C@@H]2c3ccccc3CC[C@H]2F)cc1F ZINC001183490549 1047931386 /nfs/dbraw/zinc/93/13/86/1047931386.db2.gz IPIWSYIUCKSSNX-SJLPKXTDSA-N 0 3 305.343 4.123 20 0 DIADHN C(c1nc2c(s1)CCCC2)N1CCC[C@H](C2CCC2)CC1 ZINC001183470838 1047931564 /nfs/dbraw/zinc/93/15/64/1047931564.db2.gz DDXGIRSURUJMHR-HNNXBMFYSA-N 0 3 304.503 4.424 20 0 DIADHN CCCc1cccc(CNCc2ccc(N3CCCCC3)nc2)c1 ZINC000479563982 1047932149 /nfs/dbraw/zinc/93/21/49/1047932149.db2.gz FWKVGLLUBKVMAT-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN CNc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1 ZINC001159829294 1047933029 /nfs/dbraw/zinc/93/30/29/1047933029.db2.gz RVNNGKCVJDMTAV-RTWAWAEBSA-N 0 3 319.452 4.461 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](C)c2ccc3c(c2)CCO3)c1 ZINC001198261498 1047937634 /nfs/dbraw/zinc/93/76/34/1047937634.db2.gz YWVYPQLXVNROSD-OAHLLOKOSA-N 0 3 311.425 4.260 20 0 DIADHN Cc1ccc(CNC[C@H](C)C(F)(F)F)c(Br)c1 ZINC000479606209 1047939002 /nfs/dbraw/zinc/93/90/02/1047939002.db2.gz JQMZJFKORJLRLU-VIFPVBQESA-N 0 3 310.157 4.046 20 0 DIADHN Fc1ccc(CN(CCc2ccccn2)Cc2ccccc2)cc1 ZINC000479595506 1047940061 /nfs/dbraw/zinc/94/00/61/1047940061.db2.gz SLWPVJKAEUZSDA-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN Cc1ccc(CN[C@H](C)Cc2ccccc2Cl)c(Cl)n1 ZINC001183550193 1047941958 /nfs/dbraw/zinc/94/19/58/1047941958.db2.gz HWQKNCOGEWYQCT-GFCCVEGCSA-N 0 3 309.240 4.418 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@H]1CCC[C@H](C)C1 ZINC001159891586 1047942081 /nfs/dbraw/zinc/94/20/81/1047942081.db2.gz NVFFJCPETWKETR-FJIDUMEYSA-N 0 3 308.510 4.067 20 0 DIADHN C[C@]1(Cc2cccs2)CCCN1Cc1nc2c(o1)CCCC2 ZINC001198298719 1047942311 /nfs/dbraw/zinc/94/23/11/1047942311.db2.gz BPOQCIPLJHBYFX-GOSISDBHSA-N 0 3 316.470 4.212 20 0 DIADHN CCc1ccc(CNC[C@H]2CC(c3ccc(C)c(C)c3)=NO2)cc1 ZINC001183607568 1047944623 /nfs/dbraw/zinc/94/46/23/1047944623.db2.gz SXSWJMQKUXRMIY-HXUWFJFHSA-N 0 3 322.452 4.149 20 0 DIADHN CCN(Cc1cc(COC)cs1)[C@@H](C)c1cccc(O)c1 ZINC000667532337 1047945726 /nfs/dbraw/zinc/94/57/26/1047945726.db2.gz JBEVCCPDAVCWFF-ZDUSSCGKSA-N 0 3 305.443 4.183 20 0 DIADHN CCC[C@H](C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1)C(C)C ZINC000479636162 1047946653 /nfs/dbraw/zinc/94/66/53/1047946653.db2.gz ICVIAAYOLKZBON-QRQLOZEOSA-N 0 3 316.489 4.010 20 0 DIADHN CCC[C@H]1CCCN1Cc1nc2cc(Br)ccc2o1 ZINC001198430573 1047955269 /nfs/dbraw/zinc/95/52/69/1047955269.db2.gz RPURAWOHZFQYIF-LBPRGKRZSA-N 0 3 323.234 4.355 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@]1(C)CCCc2ccccc21 ZINC000553877922 1047956661 /nfs/dbraw/zinc/95/66/61/1047956661.db2.gz BLQYUCMHRYOUMT-HXUWFJFHSA-N 0 3 310.441 4.048 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CC(C)(C)CCC2(C)C)c1 ZINC001174724620 1047957186 /nfs/dbraw/zinc/95/71/86/1047957186.db2.gz JFPGXEYNAQQELA-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000553908890 1047964623 /nfs/dbraw/zinc/96/46/23/1047964623.db2.gz BHBMRKXKTILMSS-RHSMWYFYSA-N 0 3 324.896 4.178 20 0 DIADHN CN1CCc2ccc(Nc3ccc(C(F)(F)F)cc3)cc2C1 ZINC001174774430 1047967670 /nfs/dbraw/zinc/96/76/70/1047967670.db2.gz LIVGJYBTNXJDNP-UHFFFAOYSA-N 0 3 306.331 4.437 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC001174781249 1047973065 /nfs/dbraw/zinc/97/30/65/1047973065.db2.gz VVDDCXGGVLDVQY-WCQYABFASA-N 0 3 321.371 4.168 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CC[C@@H](Cc2nccs2)C1 ZINC001198572293 1047974758 /nfs/dbraw/zinc/97/47/58/1047974758.db2.gz CRPVDMRXRGLVOW-BLLLJJGKSA-N 0 3 318.873 4.348 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC[C@H](c3ccn(C)n3)C2)c(C)c1 ZINC001183885557 1047975903 /nfs/dbraw/zinc/97/59/03/1047975903.db2.gz LLDWVKRGROUZNJ-FNQPIQQGSA-N 0 3 323.484 4.238 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@@H](Cc2nccs2)C1 ZINC001198575899 1047976022 /nfs/dbraw/zinc/97/60/22/1047976022.db2.gz ZDPWYICTIGDLCI-ZDUSSCGKSA-N 0 3 306.862 4.170 20 0 DIADHN Fc1cc(Br)cc([C@@H](NC/C=C\Cl)C2CC2)c1 ZINC000775871763 1047978466 /nfs/dbraw/zinc/97/84/66/1047978466.db2.gz MKLAVLZQGQPQSE-RRROIERXSA-N 0 3 318.617 4.381 20 0 DIADHN CC1(C)CN(CCCOCC(F)(F)F)[C@H]1c1cccs1 ZINC000553990583 1047979595 /nfs/dbraw/zinc/97/95/95/1047979595.db2.gz AYWYYBXJUSMJCH-LBPRGKRZSA-N 0 3 307.381 4.100 20 0 DIADHN C[C@H](NC[C@@]1(c2ccccc2)CCCO1)c1ccc(Cl)cn1 ZINC000927122001 1047985877 /nfs/dbraw/zinc/98/58/77/1047985877.db2.gz XWWUWFCQTXRLCR-KBXCAEBGSA-N 0 3 316.832 4.092 20 0 DIADHN C[C@@H](NC[C@@H](c1cccs1)N1CCC(C)CC1)c1ccco1 ZINC000479873362 1047989266 /nfs/dbraw/zinc/98/92/66/1047989266.db2.gz MNAXPOAHZKLTQI-CVEARBPZSA-N 0 3 318.486 4.465 20 0 DIADHN C[C@@H](NC[C@H](c1cccc(F)c1)N(C)C)c1ccc(F)cc1F ZINC000479874999 1047989705 /nfs/dbraw/zinc/98/97/05/1047989705.db2.gz PARPSSAZCTYMKW-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN CC1(C)CCN(Cc2nccn2C2CC2)C[C@@H]1c1ccccc1 ZINC001184035224 1047993273 /nfs/dbraw/zinc/99/32/73/1047993273.db2.gz MILOAFDYRRUNLZ-GOSISDBHSA-N 0 3 309.457 4.234 20 0 DIADHN CCOC(=O)[C@@H]1[C@H](C)C[C@@H](C)N(Cc2ccccc2Cl)[C@H]1C ZINC001198667478 1047994995 /nfs/dbraw/zinc/99/49/95/1047994995.db2.gz MWKSZMCYJISDAU-VWPFQQQWSA-N 0 3 323.864 4.138 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2ccc(F)cc2)C1 ZINC001203915406 1047995819 /nfs/dbraw/zinc/99/58/19/1047995819.db2.gz SWJLDULTWTVUQZ-MRXNPFEDSA-N 0 3 317.429 4.201 20 0 DIADHN CSCC1CCN(Cc2ccccc2OCC(C)C)CC1 ZINC001184097691 1047997364 /nfs/dbraw/zinc/99/73/64/1047997364.db2.gz NAAPOTGWRTYLCR-UHFFFAOYSA-N 0 3 307.503 4.296 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(C)cc1 ZINC000554086865 1048005265 /nfs/dbraw/zinc/00/52/65/1048005265.db2.gz RLJDZXNKWYFFCC-PMACEKPBSA-N 0 3 314.448 4.478 20 0 DIADHN Clc1cccc(OCCCN2CCCC[C@@]23CCCOC3)c1 ZINC001198827614 1048006375 /nfs/dbraw/zinc/00/63/75/1048006375.db2.gz FMNOIOKVTFHJSC-SFHVURJKSA-N 0 3 323.864 4.144 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc4cccnc43)cccc21 ZINC001174861218 1048006370 /nfs/dbraw/zinc/00/63/70/1048006370.db2.gz LOTILANKTLLXSM-GOSISDBHSA-N 0 3 319.408 4.364 20 0 DIADHN NCc1cnccc1Nc1ccc(Cl)cc1-c1ccccc1 ZINC001160246552 1048009222 /nfs/dbraw/zinc/00/92/22/1048009222.db2.gz ICCQVGRVWKFXKG-UHFFFAOYSA-N 0 3 309.800 4.026 20 0 DIADHN Nc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)ccc1F ZINC001160243411 1048009712 /nfs/dbraw/zinc/00/97/12/1048009712.db2.gz MFBUWPOZIWGTQB-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Nc1cc(Cl)ccc1NC1=CCCN(Cc2ccccc2)C1 ZINC001160263904 1048014382 /nfs/dbraw/zinc/01/43/82/1048014382.db2.gz MBQGCZOSVRUNPN-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN Nc1cc(Cl)ccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001160263725 1048014852 /nfs/dbraw/zinc/01/48/52/1048014852.db2.gz DRSGRCVHKQMBAL-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN Cc1ccc(CSCCNCc2cnc(Cl)c(F)c2)cc1 ZINC001198899623 1048015066 /nfs/dbraw/zinc/01/50/66/1048015066.db2.gz CWVDNOUNESLQFP-UHFFFAOYSA-N 0 3 324.852 4.206 20 0 DIADHN Nc1c(Cl)cccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001160265829 1048015498 /nfs/dbraw/zinc/01/54/98/1048015498.db2.gz FGTBWHQWHRFWTE-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN CCCCCCNCc1cnn(Cc2ccccc2)c1Cl ZINC001198935321 1048018669 /nfs/dbraw/zinc/01/86/69/1048018669.db2.gz MPFGPVVFOXVXQB-UHFFFAOYSA-N 0 3 305.853 4.255 20 0 DIADHN CC(C)c1nc([C@H](C)NCC[C@H]2CCC3(CCC3)O2)cs1 ZINC000927129462 1048020473 /nfs/dbraw/zinc/02/04/73/1048020473.db2.gz PCLHJDQWFGOHOB-UONOGXRCSA-N 0 3 308.491 4.409 20 0 DIADHN CC1(C)C[C@H](NCc2cc3ccccc3nc2Cl)C(C)(C)O1 ZINC000775927638 1048025253 /nfs/dbraw/zinc/02/52/53/1048025253.db2.gz NEJIFLCGKKXHCY-HNNXBMFYSA-N 0 3 318.848 4.324 20 0 DIADHN CN(CC(=O)Nc1ccccc1C(C)(C)C)CC1CCCC1 ZINC000064021009 1048025598 /nfs/dbraw/zinc/02/55/98/1048025598.db2.gz APKPUYWVDASOLF-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN CCN(CCNCc1ccsc1Cl)c1cccc(C)c1 ZINC001199001790 1048026218 /nfs/dbraw/zinc/02/62/18/1048026218.db2.gz QCANCTNYMZUHSC-UHFFFAOYSA-N 0 3 308.878 4.326 20 0 DIADHN COc1ccc([C@@H](NCc2cccc3c2OCC3)C(C)C)cc1 ZINC001199045870 1048030448 /nfs/dbraw/zinc/03/04/48/1048030448.db2.gz WUAYSFXNILMEHZ-IBGZPJMESA-N 0 3 311.425 4.117 20 0 DIADHN COc1ccsc1CNCc1ccc2cc(OC)ccc2c1 ZINC001199067859 1048032095 /nfs/dbraw/zinc/03/20/95/1048032095.db2.gz HMNORHKXWNYVGV-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN Cc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)cc1N ZINC001160329314 1048033897 /nfs/dbraw/zinc/03/38/97/1048033897.db2.gz PHPOTWJWUXWAOB-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN FC(F)COc1ccc(CNCc2ccc(Cl)s2)cc1 ZINC001199078492 1048034229 /nfs/dbraw/zinc/03/42/29/1048034229.db2.gz QSOWDFPBOBIKFR-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H](c1nc(C)no1)C(C)C ZINC001184437988 1048036252 /nfs/dbraw/zinc/03/62/52/1048036252.db2.gz DKOJXWQYJZTIPD-MSOLQXFVSA-N 0 3 315.461 4.466 20 0 DIADHN CC(C)(C)c1nc(CNCCCCOc2ccccc2)cs1 ZINC001199151942 1048039519 /nfs/dbraw/zinc/03/95/19/1048039519.db2.gz GHZGIDHWPQHOAP-UHFFFAOYSA-N 0 3 318.486 4.389 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2ccccc2n1C(F)F)[C@H]1CCCCO1 ZINC001184450886 1048042045 /nfs/dbraw/zinc/04/20/45/1048042045.db2.gz LFINHYUWTXTUNZ-TYNCELHUSA-N 0 3 323.387 4.040 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cccc(OC3CC3)c2F)CC1 ZINC000667618039 1048050615 /nfs/dbraw/zinc/05/06/15/1048050615.db2.gz JHUKYWVKLXFAOC-INIZCTEOSA-N 0 3 321.436 4.148 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)CCCC(F)(F)F)o1 ZINC000480171257 1048078053 /nfs/dbraw/zinc/07/80/53/1048078053.db2.gz PZQIXHDJIGZBQO-YPMHNXCESA-N 0 3 307.356 4.240 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(F)c(F)c3F)cccc21 ZINC001174969021 1048056451 /nfs/dbraw/zinc/05/64/51/1048056451.db2.gz HNWMPSMKLBKOFY-CQSZACIVSA-N 0 3 322.330 4.233 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cc(C)sc2C)c1 ZINC001199353371 1048056868 /nfs/dbraw/zinc/05/68/68/1048056868.db2.gz GXRKYNVHBPZTPF-UHFFFAOYSA-N 0 3 302.443 4.003 20 0 DIADHN N=C(Nc1cc(N2CCCC2)ccn1)c1cccc2ccccc21 ZINC001160505964 1048062368 /nfs/dbraw/zinc/06/23/68/1048062368.db2.gz YWKANFISIOPIRE-UHFFFAOYSA-N 0 3 316.408 4.272 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCO[C@H](C(C)(C)C)C1 ZINC000480128681 1048066186 /nfs/dbraw/zinc/06/61/86/1048066186.db2.gz VSCDKUWULZYRIN-WBMJQRKESA-N 0 3 311.853 4.032 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)O[C@@H](C)CC(C)C)N(C)C)cc1 ZINC001184639366 1048070812 /nfs/dbraw/zinc/07/08/12/1048070812.db2.gz GFFAYUIXGLGLSI-MAUKXSAKSA-N 0 3 320.477 4.013 20 0 DIADHN COc1ccc(CN(C2CC2)[C@@H]2CCCc3ccccc32)nc1 ZINC000775981116 1048070987 /nfs/dbraw/zinc/07/09/87/1048070987.db2.gz YIHBVPZYYYJNGW-HXUWFJFHSA-N 0 3 308.425 4.132 20 0 DIADHN C[C@H](NC[C@H]1SCCc2ccccc21)c1ccc(Cl)cn1 ZINC000480177912 1048080141 /nfs/dbraw/zinc/08/01/41/1048080141.db2.gz HQJVRFDWCHQZEN-YVEFUNNKSA-N 0 3 318.873 4.416 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000776003968 1048081150 /nfs/dbraw/zinc/08/11/50/1048081150.db2.gz YUCDKZUKSUYIDR-IUODEOHRSA-N 0 3 320.868 4.286 20 0 DIADHN C[C@H](COCc1ccccc1)CN1CC(C)(C)[C@@H]1c1cccnc1 ZINC001174983370 1048081258 /nfs/dbraw/zinc/08/12/58/1048081258.db2.gz IDBVXEJYWGPSJO-PXNSSMCTSA-N 0 3 324.468 4.317 20 0 DIADHN CC1(C)CN(CCc2ccc(Cl)cc2)[C@H]1c1ccncc1 ZINC001174982988 1048081545 /nfs/dbraw/zinc/08/15/45/1048081545.db2.gz BURRXVKMICQACJ-KRWDZBQOSA-N 0 3 300.833 4.361 20 0 DIADHN Cc1cc(C)cc(OCCN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480196739 1048083533 /nfs/dbraw/zinc/08/35/33/1048083533.db2.gz KNSNNPWVJWMSPJ-CQSZACIVSA-N 0 3 304.821 4.081 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000554373128 1048083883 /nfs/dbraw/zinc/08/38/83/1048083883.db2.gz ZUGYHUACVDPGGG-BEFAXECRSA-N 0 3 300.421 4.088 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)Nc1ccccc1CN(C)C ZINC001184683129 1048084018 /nfs/dbraw/zinc/08/40/18/1048084018.db2.gz NGVHOSXZGHDNSP-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000480201804 1048088516 /nfs/dbraw/zinc/08/85/16/1048088516.db2.gz WLAFDRXIDJDCJJ-CABCVRRESA-N 0 3 318.848 4.470 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480191660 1048088764 /nfs/dbraw/zinc/08/87/64/1048088764.db2.gz HHSXBITYBZAUGO-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN CCCN(CCC)C(=O)C[C@@H](N)c1ccc(Cl)cc1Cl ZINC001160860978 1048092218 /nfs/dbraw/zinc/09/22/18/1048092218.db2.gz HOPPBVCNYPIOLQ-CQSZACIVSA-N 0 3 317.260 4.032 20 0 DIADHN Cc1ccc(C[C@]2(C)CCCN2Cc2coc(C3CC3)n2)cc1 ZINC001175036574 1048102122 /nfs/dbraw/zinc/10/21/22/1048102122.db2.gz SJRKXKDESGBXHR-FQEVSTJZSA-N 0 3 310.441 4.458 20 0 DIADHN COc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)c(C)c1 ZINC001199783613 1048102134 /nfs/dbraw/zinc/10/21/34/1048102134.db2.gz GVIRHVJAMCCRCT-PMACEKPBSA-N 0 3 311.425 4.014 20 0 DIADHN CCOC(C)(C)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480261230 1048104507 /nfs/dbraw/zinc/10/45/07/1048104507.db2.gz HEYDYDXMWWMNRK-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(N(C)C(C)C)nc2)c1 ZINC000480285282 1048113265 /nfs/dbraw/zinc/11/32/65/1048113265.db2.gz PDNQEVAGVBJSTB-UHFFFAOYSA-N 0 3 311.473 4.339 20 0 DIADHN Cc1[nH]nc(CNCc2ccccc2Oc2ccccc2)c1C ZINC001199874917 1048115067 /nfs/dbraw/zinc/11/50/67/1048115067.db2.gz NEKSRYUMKIKTAR-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN Fc1cccc(-c2ccc(CNCCc3cscn3)o2)c1F ZINC000480304675 1048117147 /nfs/dbraw/zinc/11/71/47/1048117147.db2.gz AKCYRPBXKWXLOZ-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN CC(C)=CCNCc1cc2ccccc2nc1N1CCCCC1 ZINC000480309188 1048118831 /nfs/dbraw/zinc/11/88/31/1048118831.db2.gz VYLCKZITJJKGQY-UHFFFAOYSA-N 0 3 309.457 4.281 20 0 DIADHN C[C@@H]1C[C@@]1(NCc1ccc2[nH]cnc2c1)c1ccc(Cl)cc1 ZINC000554547622 1048125050 /nfs/dbraw/zinc/12/50/50/1048125050.db2.gz MAGCKQJUDKEEDM-XIKOKIGWSA-N 0 3 311.816 4.241 20 0 DIADHN C[C@@H]1C[C@@]1(NCc1ccc2nc[nH]c2c1)c1ccc(Cl)cc1 ZINC000554547622 1048125053 /nfs/dbraw/zinc/12/50/53/1048125053.db2.gz MAGCKQJUDKEEDM-XIKOKIGWSA-N 0 3 311.816 4.241 20 0 DIADHN CCN(CCCN[C@H](C)c1ccc(Cl)cn1)c1ccccc1 ZINC000480325758 1048125328 /nfs/dbraw/zinc/12/53/28/1048125328.db2.gz IHWLLSPOHHRQKY-OAHLLOKOSA-N 0 3 317.864 4.302 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1ccc2ncccc2c1 ZINC001199972700 1048128670 /nfs/dbraw/zinc/12/86/70/1048128670.db2.gz YPDOKJJURLAXMO-LJQANCHMSA-N 0 3 304.393 4.238 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H]3OCCC[C@H]3C2)cc1Cl ZINC000249196143 1048128762 /nfs/dbraw/zinc/12/87/62/1048128762.db2.gz BSJLVYHZQCYCJQ-RDJZCZTQSA-N 0 3 323.864 4.128 20 0 DIADHN Brc1cccc(CN(C[C@@H]2CCC=CO2)C2CC2)c1 ZINC000774835470 1048133806 /nfs/dbraw/zinc/13/38/06/1048133806.db2.gz NSPZTZFIZPOBIU-INIZCTEOSA-N 0 3 322.246 4.106 20 0 DIADHN Cc1cccc([C@H]2CCCCN2Cc2cnc3n2CCC3)c1C ZINC000667671200 1048138852 /nfs/dbraw/zinc/13/88/52/1048138852.db2.gz KRRPTCCPVLMXPZ-LJQANCHMSA-N 0 3 309.457 4.173 20 0 DIADHN Cc1ccccc1CNc1nc2cccc(Br)c2[nH]1 ZINC001161620645 1048146346 /nfs/dbraw/zinc/14/63/46/1048146346.db2.gz IABYCAUPRWFNIA-UHFFFAOYSA-N 0 3 316.202 4.246 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc(OCC2CC2)cc1 ZINC000480444941 1048147023 /nfs/dbraw/zinc/14/70/23/1048147023.db2.gz MORWAGBGPLVSEV-HXUWFJFHSA-N 0 3 323.436 4.348 20 0 DIADHN CC(C)Cc1ncc(CNC[C@H]2CCC3(CCCCC3)O2)s1 ZINC000480479627 1048151382 /nfs/dbraw/zinc/15/13/82/1048151382.db2.gz UMNNJVLCVJFNPU-OAHLLOKOSA-N 0 3 322.518 4.313 20 0 DIADHN CC(C)P(CCNC(=O)c1ncccc1C1CCC1)C(C)C ZINC001185295291 1048160431 /nfs/dbraw/zinc/16/04/31/1048160431.db2.gz BTPJUMUPIZDFNQ-UHFFFAOYSA-N 0 3 320.417 4.378 20 0 DIADHN c1nc(C2CCCC2)sc1CNCCNc1ccccc1 ZINC001200901153 1048161217 /nfs/dbraw/zinc/16/12/17/1048161217.db2.gz GNKFPASGNLAUOS-UHFFFAOYSA-N 0 3 301.459 4.002 20 0 DIADHN CCOC(=O)c1ccc(CN(CC)Cc2ccc(F)cc2)cc1 ZINC001142599904 1048170040 /nfs/dbraw/zinc/17/00/40/1048170040.db2.gz ZDRQRJFHVDNYCA-UHFFFAOYSA-N 0 3 315.388 4.025 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](CO)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000927153004 1048174606 /nfs/dbraw/zinc/17/46/06/1048174606.db2.gz SFIZJKKXCTUSQX-IWSPIJDZSA-N 0 3 308.636 4.068 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000554746677 1048176969 /nfs/dbraw/zinc/17/69/69/1048176969.db2.gz BVTJUNHIJYXWIL-HNNXBMFYSA-N 0 3 311.429 4.137 20 0 DIADHN Cc1ccc(C)c(Nc2ccc(N3CCN(C)CC3)cc2C)c1 ZINC001175165246 1048178800 /nfs/dbraw/zinc/17/88/00/1048178800.db2.gz UBEGNEIENDFEND-UHFFFAOYSA-N 0 3 309.457 4.107 20 0 DIADHN CN(C)Cc1ccnc(NC2(CC3CC3)CCC(F)(F)CC2)c1 ZINC001161898324 1048190500 /nfs/dbraw/zinc/19/05/00/1048190500.db2.gz YFIHIKMMEGCCTG-UHFFFAOYSA-N 0 3 323.431 4.303 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1C[C@H]1CCC2(CCCC2)O1 ZINC000554812019 1048192218 /nfs/dbraw/zinc/19/22/18/1048192218.db2.gz JVVRMEQDANEBBI-MGPQQGTHSA-N 0 3 305.384 4.141 20 0 DIADHN O[C@@H](CNCc1cc2ccccc2o1)c1cc2ccccc2s1 ZINC001201140645 1048199150 /nfs/dbraw/zinc/19/91/50/1048199150.db2.gz JHODAMBKTPMLSS-INIZCTEOSA-N 0 3 323.417 4.471 20 0 DIADHN COc1ccc(CNCc2cc(C)cc(Br)c2)cc1 ZINC000774941834 1048232472 /nfs/dbraw/zinc/23/24/72/1048232472.db2.gz FRACCZDGTDHTCC-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CCc1nc(CN[C@@H](c2ccc(C(C)(C)C)cc2)C(C)C)n[nH]1 ZINC000554852520 1048207850 /nfs/dbraw/zinc/20/78/50/1048207850.db2.gz HWWVCATXTAVMNY-GOSISDBHSA-N 0 3 314.477 4.152 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1ccc(Cl)cn1 ZINC000480667641 1048208857 /nfs/dbraw/zinc/20/88/57/1048208857.db2.gz RXDRHRKPJJQCGL-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN C[C@H](CN[C@H]1CCCOc2ccc(Cl)cc21)C(F)(F)F ZINC000480660889 1048210081 /nfs/dbraw/zinc/21/00/81/1048210081.db2.gz JEUGNXBEIGMKRP-SKDRFNHKSA-N 0 3 307.743 4.342 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)cc1Cl ZINC000774914008 1048211333 /nfs/dbraw/zinc/21/13/33/1048211333.db2.gz UIXCVJVDBUDBCY-RDJZCZTQSA-N 0 3 319.832 4.330 20 0 DIADHN CC[C@H](N[C@@H](CO)Cc1ccccc1)c1cccc(Cl)c1F ZINC000480671069 1048213210 /nfs/dbraw/zinc/21/32/10/1048213210.db2.gz DXFYZRVEJDWQEJ-PBHICJAKSA-N 0 3 321.823 4.123 20 0 DIADHN COCCC1(CNc2cc(C)cc(C)n2)CCC(F)(F)CC1 ZINC001162006362 1048214581 /nfs/dbraw/zinc/21/45/81/1048214581.db2.gz WQCAEDQHANYBJP-UHFFFAOYSA-N 0 3 312.404 4.343 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NC[C@@H](C)C(F)(F)F)c1 ZINC000480674116 1048215613 /nfs/dbraw/zinc/21/56/13/1048215613.db2.gz UBYQUPWRRUEISO-ZJUUUORDSA-N 0 3 311.294 4.445 20 0 DIADHN CN(Cc1ccc(NCC2(C)CCC(F)(F)CC2)nc1)C1CC1 ZINC001162031086 1048217176 /nfs/dbraw/zinc/21/71/76/1048217176.db2.gz XMUZYNRCLHOZJJ-UHFFFAOYSA-N 0 3 323.431 4.303 20 0 DIADHN C[C@H](CC1CCCC1)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721407 1048225612 /nfs/dbraw/zinc/22/56/12/1048225612.db2.gz VPDUJNPVJRKJGU-KUHUBIRLSA-N 0 3 315.436 4.207 20 0 DIADHN CN(c1cc(N)ccn1)c1c(F)cccc1OCc1ccccc1 ZINC001175279150 1048226773 /nfs/dbraw/zinc/22/67/73/1048226773.db2.gz QLTCFSRELDKJPA-UHFFFAOYSA-N 0 3 323.371 4.150 20 0 DIADHN OC[C@H]1CC[C@H](NCc2c(Cl)ccc(Cl)c2Cl)CC1 ZINC001201287695 1048227985 /nfs/dbraw/zinc/22/79/85/1048227985.db2.gz CGGGHBQUVAIDDH-MGCOHNPYSA-N 0 3 322.663 4.288 20 0 DIADHN Cc1ccccc1CN[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC000554936952 1048233819 /nfs/dbraw/zinc/23/38/19/1048233819.db2.gz BSDSCRDOQPUZPZ-SFHVURJKSA-N 0 3 302.849 4.041 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NC[C@@H](O)c1ccco1 ZINC000776153581 1048234343 /nfs/dbraw/zinc/23/43/43/1048234343.db2.gz FSHVWKDSKFNZNZ-XJKSGUPXSA-N 0 3 313.397 4.281 20 0 DIADHN C[C@H](NCCOc1cccnc1)c1cc2cc(Cl)ccc2o1 ZINC000776156548 1048239275 /nfs/dbraw/zinc/23/92/75/1048239275.db2.gz LIMTUQDOPZNVMK-LBPRGKRZSA-N 0 3 316.788 4.211 20 0 DIADHN CC[C@@H](NCC(=O)N(CC)c1ccccc1)c1ccc(C)cc1 ZINC000776156430 1048239299 /nfs/dbraw/zinc/23/92/99/1048239299.db2.gz MOKZOANXHGJLMQ-LJQANCHMSA-N 0 3 310.441 4.089 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@@H]3N(C)C)ccc1F ZINC001175257671 1048240499 /nfs/dbraw/zinc/24/04/99/1048240499.db2.gz LRXUWUNMLQZKGM-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CC1=C[C@H](C)C[C@@H](CN[C@@H](c2nc(C3CC3)no2)C(C)C)C1 ZINC000554972535 1048243812 /nfs/dbraw/zinc/24/38/12/1048243812.db2.gz OCXSEEUBAKYHTM-JGGQBBKZSA-N 0 3 303.450 4.226 20 0 DIADHN C[NH+]1CCC(c2ccc(Nc3c(F)ccc([O-])c3F)cc2)CC1 ZINC001175264231 1048244190 /nfs/dbraw/zinc/24/41/90/1048244190.db2.gz CRHYWKWFMPXGMS-UHFFFAOYSA-N 0 3 318.367 4.223 20 0 DIADHN Cc1ccc(CN2C[C@H]3[C@H](C[C@@H]3c3ccccc3)C2)nc1Cl ZINC001175312521 1048259236 /nfs/dbraw/zinc/25/92/36/1048259236.db2.gz VWZIKALUXWDPSJ-NXHRZFHOSA-N 0 3 312.844 4.279 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2cc3cc(Cl)ccc3o2)o1 ZINC000776162719 1048259752 /nfs/dbraw/zinc/25/97/52/1048259752.db2.gz JSEUWRIKJSIHMS-SMDDNHRTSA-N 0 3 319.788 4.372 20 0 DIADHN C[C@H](NC/C=C/Cl)c1ccc(OCc2cccnc2)cc1 ZINC000774970393 1048260712 /nfs/dbraw/zinc/26/07/12/1048260712.db2.gz DAZMPVZQFRVPLX-WMKWSGJFSA-N 0 3 302.805 4.064 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@@H](C2CCOCC2)C1 ZINC000480875169 1048261479 /nfs/dbraw/zinc/26/14/79/1048261479.db2.gz LZWGZJRCNMVBQN-TZMCWYRMSA-N 0 3 311.828 4.289 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@H]1CCCC(F)(F)C1 ZINC001201417552 1048262247 /nfs/dbraw/zinc/26/22/47/1048262247.db2.gz YVCYEJSRXKLFSY-ZDUSSCGKSA-N 0 3 303.780 4.406 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cncc2ccccc21 ZINC000776166905 1048262342 /nfs/dbraw/zinc/26/23/42/1048262342.db2.gz GYSGHZSOOPRSFC-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2cc(C)ccc2C)cn1 ZINC000480888648 1048263118 /nfs/dbraw/zinc/26/31/18/1048263118.db2.gz IOTSTPBRMARXDS-QGZVFWFLSA-N 0 3 311.473 4.395 20 0 DIADHN CC(C)P(CCNC(F)(F)c1cnc(Cl)cn1)C(C)C ZINC001162359047 1048263736 /nfs/dbraw/zinc/26/37/36/1048263736.db2.gz VFMYAYUTSDCKTB-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN C[C@H](NCc1cnc2ccccn12)c1csc2ccccc12 ZINC000776167947 1048263943 /nfs/dbraw/zinc/26/39/43/1048263943.db2.gz HCPVVVOGTKBQCU-ZDUSSCGKSA-N 0 3 307.422 4.400 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1ccnc(Cl)c1 ZINC000776171197 1048266577 /nfs/dbraw/zinc/26/65/77/1048266577.db2.gz ZAKNJZVEULKXSI-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](CC(F)(F)F)c1ccccc1 ZINC000480902663 1048267324 /nfs/dbraw/zinc/26/73/24/1048267324.db2.gz SQKNILAEHHKYCR-GJZGRUSLSA-N 0 3 303.368 4.475 20 0 DIADHN CC(C)P(CCNC(F)(F)c1cnc(Cl)nc1)C(C)C ZINC001162359129 1048267936 /nfs/dbraw/zinc/26/79/36/1048267936.db2.gz XOPTUBOFWPHIHZ-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN Cc1ccc(CN[C@H](CCO)c2ccc(Cl)c(F)c2)c(C)c1 ZINC001175332535 1048267743 /nfs/dbraw/zinc/26/77/43/1048267743.db2.gz FVLZZZOZIPETHN-GOSISDBHSA-N 0 3 321.823 4.309 20 0 DIADHN C[C@H](NC[C@@H]1COC2(CCCCC2)O1)c1cc2ccccc2o1 ZINC000776169950 1048267797 /nfs/dbraw/zinc/26/77/97/1048267797.db2.gz GDRVXGBAUGZUEW-GOEBONIOSA-N 0 3 315.413 4.159 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC(C)(C)c1ccc(F)cc1 ZINC000776170162 1048267862 /nfs/dbraw/zinc/26/78/62/1048267862.db2.gz ZKFALBLKNHBGQN-UHFFFAOYSA-N 0 3 317.452 4.419 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NC[C@H]1CC1(Cl)Cl ZINC000774983291 1048268567 /nfs/dbraw/zinc/26/85/67/1048268567.db2.gz RAACVXHGLDGWHK-VXGBXAGGSA-N 0 3 302.245 4.318 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NC[C@@H]1CC1(Cl)Cl ZINC000774983290 1048269422 /nfs/dbraw/zinc/26/94/22/1048269422.db2.gz RAACVXHGLDGWHK-RYUDHWBXSA-N 0 3 302.245 4.318 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001201469863 1048271027 /nfs/dbraw/zinc/27/10/27/1048271027.db2.gz CRCLUROUIAVPPA-UXHICEINSA-N 0 3 305.425 4.123 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(F)cc2N(C)C)c1 ZINC000480906540 1048271757 /nfs/dbraw/zinc/27/17/57/1048271757.db2.gz DVGWRXVZCHNBLC-ZIAGYGMSSA-N 0 3 316.420 4.312 20 0 DIADHN CCCC1(CNCc2nccc(C)c2Br)CCC1 ZINC001201442783 1048274345 /nfs/dbraw/zinc/27/43/45/1048274345.db2.gz NVPKVSDOSPSGJD-UHFFFAOYSA-N 0 3 311.267 4.213 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@@H](C)c1ccccc1OC(C)C ZINC000774991526 1048276700 /nfs/dbraw/zinc/27/67/00/1048276700.db2.gz MOTYDXVJPVPBAF-LPHOPBHVSA-N 0 3 313.441 4.166 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)cs1)c1cc(C)ccc1C ZINC001175362242 1048278517 /nfs/dbraw/zinc/27/85/17/1048278517.db2.gz SOGWWPYYMZZIKJ-QGZVFWFLSA-N 0 3 317.454 4.392 20 0 DIADHN COc1cc(CNC(C)(C)c2ccccc2)ccc1SC ZINC000065275063 1048281189 /nfs/dbraw/zinc/28/11/89/1048281189.db2.gz NTTOXSSYVICIIK-UHFFFAOYSA-N 0 3 301.455 4.442 20 0 DIADHN CC(C)=CCC[C@H](C)CN1CCC(C(=O)C(F)(F)F)CC1 ZINC001201490913 1048283387 /nfs/dbraw/zinc/28/33/87/1048283387.db2.gz PSPKVTYRHLKQBN-ZDUSSCGKSA-N 0 3 305.384 4.212 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4ncccc4c3)cccc21 ZINC001175378058 1048288689 /nfs/dbraw/zinc/28/86/89/1048288689.db2.gz KUBWGGJHUFEIAE-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN C[C@H](COc1cccc(F)c1)N[C@H](C)c1ccc2ccccc2n1 ZINC000481032094 1048291062 /nfs/dbraw/zinc/29/10/62/1048291062.db2.gz DRNHVBARBNGVMS-HUUCEWRRSA-N 0 3 324.399 4.492 20 0 DIADHN Oc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201474785 1048293618 /nfs/dbraw/zinc/29/36/18/1048293618.db2.gz LFJTXVCOQPODTG-MOPGFXCFSA-N 0 3 306.409 4.125 20 0 DIADHN Cc1csc(N=C2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001201470701 1048294217 /nfs/dbraw/zinc/29/42/17/1048294217.db2.gz VEKMICVONOINMY-SJORKVTESA-N 0 3 311.454 4.184 20 0 DIADHN Cc1cccc(N)c1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201478013 1048296656 /nfs/dbraw/zinc/29/66/56/1048296656.db2.gz QVASCZLKPYYENP-MOPGFXCFSA-N 0 3 319.452 4.310 20 0 DIADHN [O-]c1cccc(F)c1N=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccccc1 ZINC001201475542 1048297163 /nfs/dbraw/zinc/29/71/63/1048297163.db2.gz VQKRFBGLHLJOBO-SJORKVTESA-N 0 3 324.399 4.264 20 0 DIADHN [O-]c1cccc(F)c1N=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccccc1 ZINC001201475542 1048297176 /nfs/dbraw/zinc/29/71/76/1048297176.db2.gz VQKRFBGLHLJOBO-SJORKVTESA-N 0 3 324.399 4.264 20 0 DIADHN Oc1cccc(F)c1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201475542 1048297193 /nfs/dbraw/zinc/29/71/93/1048297193.db2.gz VQKRFBGLHLJOBO-SJORKVTESA-N 0 3 324.399 4.264 20 0 DIADHN COCCOc1ccccc1CN[C@@H](C)c1cc(C)cc(C)c1 ZINC000481043354 1048297882 /nfs/dbraw/zinc/29/78/82/1048297882.db2.gz UKTDRDHJPMTVGC-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CC(C)CC[C@H](N[C@H]1CCCn2nc(C(C)C)nc21)C1CC1 ZINC000555232956 1048302670 /nfs/dbraw/zinc/30/26/70/1048302670.db2.gz RAJBQQGSBABLCB-HOTGVXAUSA-N 0 3 304.482 4.041 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1ccc(Cl)c(F)c1 ZINC000555242070 1048304699 /nfs/dbraw/zinc/30/46/99/1048304699.db2.gz GXQITZXQKXDQRU-NSHDSACASA-N 0 3 317.795 4.217 20 0 DIADHN c1ccc(COC[C@@H](NC[C@@H]2CCC=CO2)c2ccccc2)cc1 ZINC000775024596 1048313247 /nfs/dbraw/zinc/31/32/47/1048313247.db2.gz QVFHRAKDEGQNDL-LEWJYISDSA-N 0 3 323.436 4.227 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000555327668 1048317328 /nfs/dbraw/zinc/31/73/28/1048317328.db2.gz OCSSHIFIVYBXKT-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN Fc1ccc([C@@H]2CSCCN2CCc2ccccc2)cc1 ZINC001201603700 1048330816 /nfs/dbraw/zinc/33/08/16/1048330816.db2.gz NIRMZERGQBIXHD-SFHVURJKSA-N 0 3 301.430 4.158 20 0 DIADHN CC[C@@H](NCc1c[nH]c(-c2ccccc2)n1)c1ccc(F)cc1 ZINC001201616909 1048333325 /nfs/dbraw/zinc/33/33/25/1048333325.db2.gz CSGZESATFDGXQJ-GOSISDBHSA-N 0 3 309.388 4.457 20 0 DIADHN CC[C@@H](NCc1cnc(-c2ccccc2)[nH]1)c1ccc(F)cc1 ZINC001201616909 1048333341 /nfs/dbraw/zinc/33/33/41/1048333341.db2.gz CSGZESATFDGXQJ-GOSISDBHSA-N 0 3 309.388 4.457 20 0 DIADHN CC[C@@H](NCc1ccc(-c2cnn(C)c2)cc1)c1ccc(F)cc1 ZINC001201626125 1048336120 /nfs/dbraw/zinc/33/61/20/1048336120.db2.gz HVRIHYCOPSPMQY-HXUWFJFHSA-N 0 3 323.415 4.467 20 0 DIADHN CN1Cc2c(Nc3cncs3)cccc2[C@H](c2ccccc2)C1 ZINC001175502051 1048337334 /nfs/dbraw/zinc/33/73/34/1048337334.db2.gz HNERPFTWLRMNPC-INIZCTEOSA-N 0 3 321.449 4.464 20 0 DIADHN C[C@H](N[C@H]1C=CCCCCC1)c1ccc(Br)cn1 ZINC000555462480 1048355256 /nfs/dbraw/zinc/35/52/56/1048355256.db2.gz KZPWAJIXDBEMNU-JSGCOSHPSA-N 0 3 309.251 4.384 20 0 DIADHN Cn1c(NC2=CCN(Cc3ccccc3)CC2)cc2ccccc21 ZINC001175504579 1048338792 /nfs/dbraw/zinc/33/87/92/1048338792.db2.gz BCFRSZODEWXVBF-UHFFFAOYSA-N 0 3 317.436 4.380 20 0 DIADHN Fc1cnc(NC2=CCN(Cc3ccccc3)CC2)c(Cl)c1 ZINC001175505583 1048339344 /nfs/dbraw/zinc/33/93/44/1048339344.db2.gz VAFGAUICSBPQEG-UHFFFAOYSA-N 0 3 317.795 4.076 20 0 DIADHN Fc1cnc(N=C2CCN(Cc3ccccc3)CC2)c(Cl)c1 ZINC001175505583 1048339361 /nfs/dbraw/zinc/33/93/61/1048339361.db2.gz VAFGAUICSBPQEG-UHFFFAOYSA-N 0 3 317.795 4.076 20 0 DIADHN COc1cc(C)cc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175505857 1048340441 /nfs/dbraw/zinc/34/04/41/1048340441.db2.gz IWJOJYRHUILGHZ-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN Cc1cc(Cl)ncc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505058 1048340824 /nfs/dbraw/zinc/34/08/24/1048340824.db2.gz KRYRWUSWIYPCDB-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@@H]1c1cccn1C ZINC000555405743 1048342038 /nfs/dbraw/zinc/34/20/38/1048342038.db2.gz XANQCAJELJRXRB-HXUWFJFHSA-N 0 3 311.473 4.443 20 0 DIADHN c1nc2cc(NC3=CCN(Cc4ccccc4)CC3)ccc2o1 ZINC001175505342 1048341941 /nfs/dbraw/zinc/34/19/41/1048341941.db2.gz LVJJERLZBKRKHZ-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN FC(F)c1ccc(NC2=CCN(Cc3ccccc3)CC2)cn1 ZINC001175505274 1048341984 /nfs/dbraw/zinc/34/19/84/1048341984.db2.gz SQXUSBFMPPFKHM-UHFFFAOYSA-N 0 3 315.367 4.221 20 0 DIADHN COc1cc(NC2=CCN(Cc3ccccc3)CC2)ccc1F ZINC001175505434 1048342349 /nfs/dbraw/zinc/34/23/49/1048342349.db2.gz BGSHRTGKVRRPJX-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN Nc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(Cl)c1 ZINC001175506076 1048342868 /nfs/dbraw/zinc/34/28/68/1048342868.db2.gz MYGIDJHFHQDREC-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN c1noc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc12 ZINC001175506563 1048344237 /nfs/dbraw/zinc/34/42/37/1048344237.db2.gz GPGCJCVLKHGNAE-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN FC(F)(F)c1cnc2c(c1)CN(CCCc1ccccc1)CC2 ZINC001201663257 1048345359 /nfs/dbraw/zinc/34/53/59/1048345359.db2.gz CPDFYGLCEUVFGW-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN CC[C@H](CO)NCc1cc(F)ccc1Oc1ccc(Cl)cc1 ZINC001201655523 1048346199 /nfs/dbraw/zinc/34/61/99/1048346199.db2.gz MOBKFXPKJCSTOO-OAHLLOKOSA-N 0 3 323.795 4.132 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(-c2ccccc2)cn1 ZINC001162759716 1048349302 /nfs/dbraw/zinc/34/93/02/1048349302.db2.gz UFYIIWQFOUZIGP-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cncc(-c2ccccc2)c1 ZINC001162767632 1048354793 /nfs/dbraw/zinc/35/47/93/1048354793.db2.gz ZFCYREVDZIIREI-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(-c2ccncc2)cc1 ZINC001162776898 1048357562 /nfs/dbraw/zinc/35/75/62/1048357562.db2.gz FXXKZMRQYLESFJ-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN CCOc1c(C)cc(C)cc1-n1c2ccccc2nc1[C@H](C)N ZINC001162779329 1048357697 /nfs/dbraw/zinc/35/76/97/1048357697.db2.gz XUDNIBMDYBLNME-AWEZNQCLSA-N 0 3 309.413 4.061 20 0 DIADHN CN1CCC=C(Nc2ccc3oc(-c4ccc(N)cc4)cc3c2)C1 ZINC001175582502 1048357739 /nfs/dbraw/zinc/35/77/39/1048357739.db2.gz FNRNXNIWLHBTBV-UHFFFAOYSA-N 0 3 319.408 4.313 20 0 DIADHN CCOc1ccccc1[C@H](CC)NC[C@H]1CC1(Cl)Cl ZINC000775088950 1048380120 /nfs/dbraw/zinc/38/01/20/1048380120.db2.gz XBMDCGXWGQJEJR-YPMHNXCESA-N 0 3 302.245 4.320 20 0 DIADHN Clc1ccc2nc([C@H]3CCCN3C[C@@H]3CCC=CO3)[nH]c2c1 ZINC000775097833 1048381251 /nfs/dbraw/zinc/38/12/51/1048381251.db2.gz VYGRWRAAZJVYDH-XJKSGUPXSA-N 0 3 317.820 4.046 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000481398243 1048391840 /nfs/dbraw/zinc/39/18/40/1048391840.db2.gz DMBNQDHKMIMZIS-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1[nH]c2ccc(CN[C@@H](C)c3ccc4c(c3)OCO4)cc2c1C ZINC000481414397 1048393198 /nfs/dbraw/zinc/39/31/98/1048393198.db2.gz DJTDGJFFAGKSPJ-AWEZNQCLSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)CCN[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000481427855 1048398993 /nfs/dbraw/zinc/39/89/93/1048398993.db2.gz ZAKFENRQVFQZOL-LJQANCHMSA-N 0 3 307.441 4.298 20 0 DIADHN Fc1ccc(C2(CNCc3coc(-c4ccccc4)n3)CC2)cc1 ZINC000155522616 1048402371 /nfs/dbraw/zinc/40/23/71/1048402371.db2.gz CQHNUAHDBRHMHI-UHFFFAOYSA-N 0 3 322.383 4.302 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)CC1(C)CC1 ZINC000555596627 1048402751 /nfs/dbraw/zinc/40/27/51/1048402751.db2.gz WQPMCKJNQCNURZ-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000555619950 1048409189 /nfs/dbraw/zinc/40/91/89/1048409189.db2.gz RPSUERXVAZPTHR-ZDUSSCGKSA-N 0 3 315.417 4.232 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@H](CC4CC4)C3)nc2c1 ZINC000555697373 1048422858 /nfs/dbraw/zinc/42/28/58/1048422858.db2.gz YWNNGMOKAHOEHI-GFCCVEGCSA-N 0 3 324.346 4.469 20 0 DIADHN c1ccc(C2CCC(CNC3(c4ncccn4)CCC3)CC2)cc1 ZINC001175724204 1048426752 /nfs/dbraw/zinc/42/67/52/1048426752.db2.gz JMIFSISLCVILEN-UHFFFAOYSA-N 0 3 321.468 4.419 20 0 DIADHN CC1(C)CN(Cc2ccc(Oc3ccccc3)nn2)[C@H]2CCC[C@H]21 ZINC000555721838 1048426884 /nfs/dbraw/zinc/42/68/84/1048426884.db2.gz XHQSPZWMCNAOFC-MSOLQXFVSA-N 0 3 323.440 4.279 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC(C)(C)[C@H]3CCC[C@@H]32)s1 ZINC000555721410 1048430260 /nfs/dbraw/zinc/43/02/60/1048430260.db2.gz OKEZTTADHFFZFF-QWRGUYRKSA-N 0 3 318.408 4.481 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4ccncc4c3)cccc21 ZINC001175708674 1048437501 /nfs/dbraw/zinc/43/75/01/1048437501.db2.gz UPZCVKVLLJUQIV-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(C)c(F)c(F)c3)C2)c1 ZINC001143611000 1048438733 /nfs/dbraw/zinc/43/87/33/1048438733.db2.gz MEKHFIXINHDRGU-MRXNPFEDSA-N 0 3 317.379 4.271 20 0 DIADHN Cc1cc(C)c2nc(N[C@H]3CNCc4ccsc43)cc(C)c2c1 ZINC001163221195 1048439351 /nfs/dbraw/zinc/43/93/51/1048439351.db2.gz NYKVECHWZOIWNJ-INIZCTEOSA-N 0 3 323.465 4.478 20 0 DIADHN CC1(C)CCc2cc(N[C@H]3CNCc4ccsc43)ccc2O1 ZINC001163228860 1048440684 /nfs/dbraw/zinc/44/06/84/1048440684.db2.gz DQOZFCUIOYJEQV-HNNXBMFYSA-N 0 3 314.454 4.108 20 0 DIADHN Fc1ccc(N[C@@H]2CNCc3ccsc32)cc1C(F)(F)F ZINC001163227169 1048441167 /nfs/dbraw/zinc/44/11/67/1048441167.db2.gz QXZOTDCZBSCLPJ-GFCCVEGCSA-N 0 3 316.323 4.162 20 0 DIADHN COc1ccc(N[C@H]2CNCc3ccsc32)c2ccccc12 ZINC001163229618 1048441327 /nfs/dbraw/zinc/44/13/27/1048441327.db2.gz QCMYYVLQUMWCLY-INIZCTEOSA-N 0 3 310.422 4.166 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccccc1OC1CCCC1)CNC2 ZINC001163229038 1048441845 /nfs/dbraw/zinc/44/18/45/1048441845.db2.gz OOMJPIPWPCLXEA-MRXNPFEDSA-N 0 3 314.454 4.326 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CCCC[C@@H](C)C1 ZINC000481621601 1048442108 /nfs/dbraw/zinc/44/21/08/1048442108.db2.gz KOVQFYGRTRWUNC-CVEARBPZSA-N 0 3 316.489 4.260 20 0 DIADHN Cc1cc(N[C@H]2CNCc3ccsc32)c(OC(C)C)cc1C ZINC001163235684 1048442332 /nfs/dbraw/zinc/44/23/32/1048442332.db2.gz CUJZPZLHXOBTIU-INIZCTEOSA-N 0 3 316.470 4.409 20 0 DIADHN c1csc(-c2ccc(N[C@@H]3CNCc4ccsc43)cn2)c1 ZINC001163240595 1048443319 /nfs/dbraw/zinc/44/33/19/1048443319.db2.gz WDLSCWAANWWLJH-CQSZACIVSA-N 0 3 313.451 4.128 20 0 DIADHN CC1(C)CCNc2cc(N[C@H]3CNCc4ccsc43)ccc21 ZINC001163240848 1048443335 /nfs/dbraw/zinc/44/33/35/1048443335.db2.gz XANHTHYSNIGZNH-INIZCTEOSA-N 0 3 313.470 4.098 20 0 DIADHN Fc1cccc(CC2(NCc3nc4ccccc4o3)CCC2)c1 ZINC001202083551 1048444223 /nfs/dbraw/zinc/44/42/23/1048444223.db2.gz GMYDTYDXOHIGPD-UHFFFAOYSA-N 0 3 310.372 4.222 20 0 DIADHN COc1cc(Nc2ccc3c(c2)CN(C)CC3)ccc1Cl ZINC001175777952 1048450051 /nfs/dbraw/zinc/45/00/51/1048450051.db2.gz RKSOOGLTZYCZNB-UHFFFAOYSA-N 0 3 302.805 4.080 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc(C(C)C)n3)cc2)CC1 ZINC001175783375 1048452044 /nfs/dbraw/zinc/45/20/44/1048452044.db2.gz JZKILZYPVRFGSR-UHFFFAOYSA-N 0 3 324.472 4.091 20 0 DIADHN Fc1cccc(F)c1CNCCN1CCC2(CCCCC2)CC1 ZINC001202150133 1048455000 /nfs/dbraw/zinc/45/50/00/1048455000.db2.gz FQRZNTFXHJNSCY-UHFFFAOYSA-N 0 3 322.443 4.101 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc2nccnc2c1 ZINC001202135883 1048460605 /nfs/dbraw/zinc/46/06/05/1048460605.db2.gz UCSVBIOVWRDJEC-CYBMUJFWSA-N 0 3 309.438 4.203 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481700305 1048462336 /nfs/dbraw/zinc/46/23/36/1048462336.db2.gz AQIHXDDRHFZBBS-YWZLYKJASA-N 0 3 311.400 4.408 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1N[C@H]1CN2CCC1CC2 ZINC001163394875 1048465745 /nfs/dbraw/zinc/46/57/45/1048465745.db2.gz MGHRNKFFQPQKLO-SFHVURJKSA-N 0 3 310.441 4.133 20 0 DIADHN COc1cc(F)cc(CNCc2ccc(C(C)(C)C)s2)c1 ZINC000776253544 1048466642 /nfs/dbraw/zinc/46/66/42/1048466642.db2.gz XLEHIUCGMGAQOY-UHFFFAOYSA-N 0 3 307.434 4.483 20 0 DIADHN Cc1cc(C2OCCO2)c(C)cc1CN[C@@H](C)c1ccsc1 ZINC001202158158 1048467582 /nfs/dbraw/zinc/46/75/82/1048467582.db2.gz JYVCQXWRLCKTEX-AWEZNQCLSA-N 0 3 317.454 4.261 20 0 DIADHN CCc1cccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC001202151172 1048474657 /nfs/dbraw/zinc/47/46/57/1048474657.db2.gz QYFNORMLYSLXTQ-LJQANCHMSA-N 0 3 316.876 4.295 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)cc1 ZINC000924768545 1048480705 /nfs/dbraw/zinc/48/07/05/1048480705.db2.gz GPMQNSKULUVOEW-CQSZACIVSA-N 0 3 315.482 4.487 20 0 DIADHN CCC1(CC)CCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001175898014 1048484239 /nfs/dbraw/zinc/48/42/39/1048484239.db2.gz ITFNGUKJSSWVDC-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@H]3N(C)C)cc(C)c1N ZINC001175939459 1048489688 /nfs/dbraw/zinc/48/96/88/1048489688.db2.gz YOYUFGYLLWFKDD-QGZVFWFLSA-N 0 3 311.429 4.014 20 0 DIADHN COc1ccc(CNCC2(OC)CCC(C)CC2)c(Cl)c1 ZINC001202271443 1048496071 /nfs/dbraw/zinc/49/60/71/1048496071.db2.gz UUIHJSQJAWPCHD-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN COc1cccc(CCNc2ccc3cnc(C)cc3c2)c1OC ZINC001163694708 1048504977 /nfs/dbraw/zinc/50/49/77/1048504977.db2.gz IKKAAIIBESWJOQ-UHFFFAOYSA-N 0 3 322.408 4.215 20 0 DIADHN CCOc1ccc(NC(=O)[C@H](C)N(C)C[C@H](C)C(C)(C)C)cc1 ZINC001175983813 1048518489 /nfs/dbraw/zinc/51/84/89/1048518489.db2.gz QXXBGZAQWAPFBC-GJZGRUSLSA-N 0 3 320.477 4.026 20 0 DIADHN Cc1c(C)n(-c2ccc3c(c2)[N-]C(=S)C3)c2ccc(C[NH3+])cc12 ZINC001163762151 1048521103 /nfs/dbraw/zinc/52/11/03/1048521103.db2.gz ZXRIZCKYFQNORJ-UHFFFAOYSA-N 0 3 321.449 4.001 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CN[C@H]1CC[C@@H]1C ZINC001202314784 1048521857 /nfs/dbraw/zinc/52/18/57/1048521857.db2.gz KKFQQYDDIORFIJ-BONVTDFDSA-N 0 3 324.255 4.376 20 0 DIADHN CC1CCC(NCc2cc(F)c(F)cc2Br)CC1 ZINC001202314577 1048521892 /nfs/dbraw/zinc/52/18/92/1048521892.db2.gz JLHRTQXYZNGHGP-UHFFFAOYSA-N 0 3 318.205 4.396 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2ccc(Cl)cc2)c1 ZINC001202374115 1048534431 /nfs/dbraw/zinc/53/44/31/1048534431.db2.gz AYJKGDSAYHEQSG-UHFFFAOYSA-N 0 3 303.793 4.046 20 0 DIADHN Cc1cc(F)ccc1CN1CC[C@@H](c2c(F)cccc2F)C1 ZINC001176019982 1048537197 /nfs/dbraw/zinc/53/71/97/1048537197.db2.gz BJVKYGDUDHNGRZ-CQSZACIVSA-N 0 3 305.343 4.402 20 0 DIADHN Cc1ncsc1CCCN1CC[C@H](c2c(F)cccc2F)C1 ZINC001176020483 1048537312 /nfs/dbraw/zinc/53/73/12/1048537312.db2.gz ISXLEVNEFJDWGH-ZDUSSCGKSA-N 0 3 322.424 4.152 20 0 DIADHN C[C@@]1(CN2CC[C@H](c3c(F)cccc3F)C2)CC1(Cl)Cl ZINC001176021421 1048537885 /nfs/dbraw/zinc/53/78/85/1048537885.db2.gz PLLRDMHZMJPRAZ-HZMBPMFUSA-N 0 3 320.210 4.338 20 0 DIADHN FC(F)(F)c1ccc2oc(CNC3(C4CC4)CCC3)nc2c1 ZINC001176036084 1048538951 /nfs/dbraw/zinc/53/89/51/1048538951.db2.gz ITKFGVXRLXLBBO-UHFFFAOYSA-N 0 3 310.319 4.269 20 0 DIADHN FC(F)(F)CCCN(Cc1ccccc1)Cc1cccnc1 ZINC001202415057 1048543228 /nfs/dbraw/zinc/54/32/28/1048543228.db2.gz ILTVZUGNODVOLZ-UHFFFAOYSA-N 0 3 308.347 4.426 20 0 DIADHN Cc1cc2[nH]c(CN3CC[C@H](C)[C@@H](n4ccnc4)C3)cc2c(C)c1 ZINC000482005949 1048543645 /nfs/dbraw/zinc/54/36/45/1048543645.db2.gz LPJRAZQGVKMTCO-YWZLYKJASA-N 0 3 322.456 4.064 20 0 DIADHN Fc1ccc(OC2CCN(CCCC(F)(F)F)CC2)c(F)c1 ZINC001202415425 1048543820 /nfs/dbraw/zinc/54/38/20/1048543820.db2.gz KYPSZTURHZKAQP-UHFFFAOYSA-N 0 3 323.305 4.151 20 0 DIADHN Fc1cccc(OC2CCN(CCCC(F)(F)F)CC2)c1 ZINC001202416520 1048543941 /nfs/dbraw/zinc/54/39/41/1048543941.db2.gz XUCGYNANEYOWAZ-UHFFFAOYSA-N 0 3 305.315 4.011 20 0 DIADHN Cc1cc(F)c(CNCC[C@]2(C)CC2(Cl)Cl)c(F)c1 ZINC001202417619 1048545373 /nfs/dbraw/zinc/54/53/73/1048545373.db2.gz MGROPCSXBRQBNY-CYBMUJFWSA-N 0 3 308.199 4.337 20 0 DIADHN CNC(=O)C[C@@H](N[C@H](C)c1ccc(C(C)C)cc1)c1ccccc1 ZINC000482060306 1048551410 /nfs/dbraw/zinc/55/14/10/1048551410.db2.gz KDWMFOQUIKIHRV-OXQOHEQNSA-N 0 3 324.468 4.338 20 0 DIADHN Fc1ccc(S[C@H]2CCN(CCCC(F)(F)F)C2)cc1 ZINC001202443355 1048553544 /nfs/dbraw/zinc/55/35/44/1048553544.db2.gz SDBLGYIJXONDKB-ZDUSSCGKSA-N 0 3 307.356 4.335 20 0 DIADHN Cc1ccc2cc(CN3C[C@H](C)C[C@@H]3c3cccnc3)[nH]c2c1 ZINC000667986233 1048557047 /nfs/dbraw/zinc/55/70/47/1048557047.db2.gz VEPJUMNNVYQDBP-FOIQADDNSA-N 0 3 305.425 4.454 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(OCC3CC3)nc2)c1C ZINC000482117124 1048559140 /nfs/dbraw/zinc/55/91/40/1048559140.db2.gz BKIWOGKLLGWZCM-INIZCTEOSA-N 0 3 310.441 4.338 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(OCC3CC3)nc2)c2ccccc21 ZINC000482127347 1048562474 /nfs/dbraw/zinc/56/24/74/1048562474.db2.gz ONBQINCFOXRXHL-IBGZPJMESA-N 0 3 322.452 4.383 20 0 DIADHN Fc1cccc(C2CC(NCc3nc4c(s3)CCCC4)C2)c1 ZINC000776349112 1048573464 /nfs/dbraw/zinc/57/34/64/1048573464.db2.gz GUGIVKORXMYPLS-UHFFFAOYSA-N 0 3 316.445 4.197 20 0 DIADHN COCc1csc(CN2CC[C@H](c3c(F)cccc3F)C2)c1 ZINC000825536824 1048580148 /nfs/dbraw/zinc/58/01/48/1048580148.db2.gz OBZIHNJCASMSKI-ZDUSSCGKSA-N 0 3 323.408 4.162 20 0 DIADHN CO[C@H](CNC(C)(C)c1ccc(F)cc1F)C1CCCCC1 ZINC001176176577 1048580311 /nfs/dbraw/zinc/58/03/11/1048580311.db2.gz QSEARNCFWSSIBG-QGZVFWFLSA-N 0 3 311.416 4.385 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC(OCC(C)C)CC1 ZINC000338918584 1048581137 /nfs/dbraw/zinc/58/11/37/1048581137.db2.gz BUFBCSDYGMLWMX-UHFFFAOYSA-N 0 3 318.505 4.404 20 0 DIADHN CCCN(Cc1cnn2ccccc12)[C@H]1CCCc2cccnc21 ZINC000482286313 1048583956 /nfs/dbraw/zinc/58/39/56/1048583956.db2.gz VQIRCLLXDNCGKU-IBGZPJMESA-N 0 3 320.440 4.019 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)C[C@@H]2CCCO2)o1 ZINC000482297397 1048585059 /nfs/dbraw/zinc/58/50/59/1048585059.db2.gz OQHDWIABNVJYQE-AWEZNQCLSA-N 0 3 303.377 4.005 20 0 DIADHN COCOc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001176187686 1048586298 /nfs/dbraw/zinc/58/62/98/1048586298.db2.gz CWCQEGYQALMVKQ-UHFFFAOYSA-N 0 3 304.365 4.245 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)c1ccc3c(c1)COC3)CCC2 ZINC000780747322 1048588785 /nfs/dbraw/zinc/58/87/85/1048588785.db2.gz WUVFPZVZIRDRCE-BZNIZROVSA-N 0 3 314.454 4.210 20 0 DIADHN Cc1csc(CNCc2ccc(OCc3ccncc3)cc2)c1 ZINC001202475399 1048599179 /nfs/dbraw/zinc/59/91/79/1048599179.db2.gz UYHUVHIDNMFCKB-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN Cc1nc(C2CCN(Cc3c(C)cccc3Cl)CC2)[nH]c1C ZINC001176200462 1048615789 /nfs/dbraw/zinc/61/57/89/1048615789.db2.gz BYPRGANAXVBWLJ-UHFFFAOYSA-N 0 3 317.864 4.368 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1cc2c(s1)CCCC2 ZINC000482482898 1048616230 /nfs/dbraw/zinc/61/62/30/1048616230.db2.gz HISPUBYISJPLJR-WCQYABFASA-N 0 3 303.475 4.081 20 0 DIADHN C[C@@H](CCC1CCCCC1)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000482500541 1048618184 /nfs/dbraw/zinc/61/81/84/1048618184.db2.gz ILJJRXSYQMGVOU-MAUKXSAKSA-N 0 3 312.461 4.233 20 0 DIADHN Cc1cccc(C)c1CNCc1cncc(Br)c1C ZINC000850194119 1048622265 /nfs/dbraw/zinc/62/22/65/1048622265.db2.gz XJAXKIODKGEIDI-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN COc1cc(C)nc(CN[C@@H]2CCc3cccc4cccc2c43)c1 ZINC001176335864 1048628136 /nfs/dbraw/zinc/62/81/36/1048628136.db2.gz QPJGLLODBQELLZ-HXUWFJFHSA-N 0 3 318.420 4.329 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(CC(C)C)cc2)C2CC2)c1C ZINC001649005108 1048628160 /nfs/dbraw/zinc/62/81/60/1048628160.db2.gz MKSHXGWIHABDKV-HXUWFJFHSA-N 0 3 311.473 4.466 20 0 DIADHN Clc1ccc(OCc2cccnc2)c(CN[C@@H]2C=CCC2)c1 ZINC000850357932 1048639158 /nfs/dbraw/zinc/63/91/58/1048639158.db2.gz BYIHYGZETSQBLK-QGZVFWFLSA-N 0 3 314.816 4.122 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1C[C@H](O)c2ccccc21 ZINC000850375991 1048644354 /nfs/dbraw/zinc/64/43/54/1048644354.db2.gz VGDBNNAFLYRAFY-XTDHROAVSA-N 0 3 323.436 4.004 20 0 DIADHN CC1(C)[C@@H](CNCc2ccc(CSC(F)F)o2)C1(F)F ZINC000850394451 1048645982 /nfs/dbraw/zinc/64/59/82/1048645982.db2.gz ROJFHJVILHAKJA-SNVBAGLBSA-N 0 3 311.344 4.116 20 0 DIADHN CCCCn1nc(C)c(CNC[C@H]2C(C)(C)C2(F)F)c1Cl ZINC000850394605 1048646123 /nfs/dbraw/zinc/64/61/23/1048646123.db2.gz WEPAXMGDNKQAJG-LBPRGKRZSA-N 0 3 319.827 4.026 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cc(Cl)cs1)c1ccccc1 ZINC000850396522 1048646139 /nfs/dbraw/zinc/64/61/39/1048646139.db2.gz XKFFWDHVTWFGSZ-HZPDHXFCSA-N 0 3 309.862 4.249 20 0 DIADHN CC[C@H](NCCOC1CCCCC1)c1cc(F)ccc1OC ZINC001176311982 1048647874 /nfs/dbraw/zinc/64/78/74/1048647874.db2.gz QDMGZLTUIVWWCL-KRWDZBQOSA-N 0 3 309.425 4.224 20 0 DIADHN Cc1cc(CNC[C@H](O)c2ccc(Cl)c(Cl)c2)c(C)o1 ZINC000850399610 1048648534 /nfs/dbraw/zinc/64/85/34/1048648534.db2.gz NTJQSJCQTVNYSX-HNNXBMFYSA-N 0 3 314.212 4.026 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850425451 1048652485 /nfs/dbraw/zinc/65/24/85/1048652485.db2.gz UABCHTARPJFUGX-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)cn1 ZINC000850433707 1048656521 /nfs/dbraw/zinc/65/65/21/1048656521.db2.gz LWXYIAYGDFGQEN-GJZGRUSLSA-N 0 3 313.445 4.201 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000850449980 1048659834 /nfs/dbraw/zinc/65/98/34/1048659834.db2.gz YCJZXPBJAMKZQN-VBKZILBWSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H]1CN(c2cccc(C3CC3)n2)[C@@H](C)CN1Cc1ccccc1 ZINC001165203556 1048664847 /nfs/dbraw/zinc/66/48/47/1048664847.db2.gz YKGFOSPKBOBGFE-SJORKVTESA-N 0 3 321.468 4.058 20 0 DIADHN CC(C)c1cccc(CNCc2ccc3c(c2)CCC(=O)N3C)c1 ZINC000775324818 1048669203 /nfs/dbraw/zinc/66/92/03/1048669203.db2.gz AKAIQPHQKZGYSN-UHFFFAOYSA-N 0 3 322.452 4.009 20 0 DIADHN Cc1csc(C[C@H]2CCN([C@H](C)c3ccccc3F)C2)n1 ZINC001176431664 1048670428 /nfs/dbraw/zinc/67/04/28/1048670428.db2.gz IEJMQUUYLPICSE-ZIAGYGMSSA-N 0 3 304.434 4.216 20 0 DIADHN Cc1csc(C[C@@H]2CCN(Cc3ccc(Cl)cc3C)C2)n1 ZINC001176431382 1048670630 /nfs/dbraw/zinc/67/06/30/1048670630.db2.gz CKSPPUJKWGDZMS-AWEZNQCLSA-N 0 3 320.889 4.478 20 0 DIADHN COc1ccc2oc(CN[C@H]3c4ccccc4C[C@H]3C)cc2c1 ZINC000482758042 1048672701 /nfs/dbraw/zinc/67/27/01/1048672701.db2.gz ZAKGGAWFHZOZOR-ZUOKHONESA-N 0 3 307.393 4.465 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](O)c1cccc2ccccc21 ZINC000850517606 1048674152 /nfs/dbraw/zinc/67/41/52/1048674152.db2.gz XOFCOOZVADCROZ-YWZLYKJASA-N 0 3 320.436 4.181 20 0 DIADHN C[C@@H](N[C@H]1C=CCC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000850511926 1048674906 /nfs/dbraw/zinc/67/49/06/1048674906.db2.gz QSNCQGWRXSTTSO-ZJUUUORDSA-N 0 3 319.298 4.259 20 0 DIADHN CC[C@@H](NC[C@H](O)c1cccc2ccccc21)c1ccc(F)cn1 ZINC000850516921 1048675132 /nfs/dbraw/zinc/67/51/32/1048675132.db2.gz PIGOHDRKHDNFPM-QUCCMNQESA-N 0 3 324.399 4.148 20 0 DIADHN CC(C)(C)c1ccc(CN2CCc3cc(CO)ccc3C2)cc1 ZINC001204397000 1048675312 /nfs/dbraw/zinc/67/53/12/1048675312.db2.gz OBYFDKWHZZAMNX-UHFFFAOYSA-N 0 3 309.453 4.035 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000850524093 1048676742 /nfs/dbraw/zinc/67/67/42/1048676742.db2.gz UQUOYHCUIGQDOU-CVEARBPZSA-N 0 3 324.424 4.236 20 0 DIADHN CCc1ccc(CN2CCC(n3cnc4ccccc43)CC2)o1 ZINC001204397629 1048677302 /nfs/dbraw/zinc/67/73/02/1048677302.db2.gz ZLOGLMLSSDIQRT-UHFFFAOYSA-N 0 3 309.413 4.029 20 0 DIADHN O[C@@H]1C[C@@H](N[C@H]2CCCc3c(Cl)cccc32)c2ccccc21 ZINC000850526712 1048680787 /nfs/dbraw/zinc/68/07/87/1048680787.db2.gz CWDHOGMCWYTBKI-IPMKNSEASA-N 0 3 313.828 4.485 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2C[C@H](O)c3ccccc32)cc1C ZINC000850527009 1048681548 /nfs/dbraw/zinc/68/15/48/1048681548.db2.gz DSUUUXRWCTVLJC-KYNGSXCRSA-N 0 3 311.425 4.141 20 0 DIADHN COc1ccc([C@H](N[C@H]2C[C@H](O)c3ccccc32)C(C)C)cc1 ZINC000850530420 1048683085 /nfs/dbraw/zinc/68/30/85/1048683085.db2.gz YTHYJNHGKFTXDZ-SLFFLAALSA-N 0 3 311.425 4.160 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850533350 1048684272 /nfs/dbraw/zinc/68/42/72/1048684272.db2.gz WMIUZYHPYQDXRI-CYBMUJFWSA-N 0 3 317.454 4.070 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2CCc3ccc(O)cc32)cc1C ZINC000850543305 1048686693 /nfs/dbraw/zinc/68/66/93/1048686693.db2.gz HNWYGZSCVIOZNN-IFXJQAMLSA-N 0 3 311.425 4.356 20 0 DIADHN C[C@@H](NCC1SCCS1)c1ccccc1OC(F)(F)F ZINC000850562226 1048692545 /nfs/dbraw/zinc/69/25/45/1048692545.db2.gz YJAUOOFRORIUQI-SECBINFHSA-N 0 3 323.405 4.042 20 0 DIADHN Cc1cc2cc(NCC3CN(Cc4ccccc4)C3)ccc2[nH]1 ZINC001165468276 1048689972 /nfs/dbraw/zinc/68/99/72/1048689972.db2.gz DUDGVHKDWKKHPA-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1nc2ccccc2s1)C1CCCC1 ZINC000850561312 1048692785 /nfs/dbraw/zinc/69/27/85/1048692785.db2.gz NLUBKNIGOGBJPG-WFASDCNBSA-N 0 3 304.459 4.152 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C)[C@@H]1C ZINC000482862913 1048693747 /nfs/dbraw/zinc/69/37/47/1048693747.db2.gz FERCMSKXVQOWGN-FZKCQIBNSA-N 0 3 302.462 4.257 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@H](O)CCC(C)C ZINC000482869265 1048695347 /nfs/dbraw/zinc/69/53/47/1048695347.db2.gz RRSZLGOHXQGNSB-GDBMZVCRSA-N 0 3 317.395 4.375 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@H](O)CCC(C)C ZINC000482869270 1048695368 /nfs/dbraw/zinc/69/53/68/1048695368.db2.gz RRSZLGOHXQGNSB-ZBFHGGJFSA-N 0 3 317.395 4.375 20 0 DIADHN Cc1ccccc1CN1CCC(C(=O)c2ccccc2F)CC1 ZINC001203713601 1048698552 /nfs/dbraw/zinc/69/85/52/1048698552.db2.gz UNKWGOZFXFIRCH-UHFFFAOYSA-N 0 3 311.400 4.229 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](O)CNCc1cc(Cl)ccc1Cl ZINC001203235449 1048701317 /nfs/dbraw/zinc/70/13/17/1048701317.db2.gz QFDDNBIMGVAAMW-SJCJKPOMSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(c4ccncc4)CC3)cc21 ZINC000668155980 1048702845 /nfs/dbraw/zinc/70/28/45/1048702845.db2.gz DFIUMPZAPNAYJY-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CO[C@]1(C)CCCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000483032822 1048723641 /nfs/dbraw/zinc/72/36/41/1048723641.db2.gz XKEWVKUHEQVEFZ-MRXNPFEDSA-N 0 3 316.272 4.427 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1cc2ccc(C)cc2[nH]1 ZINC000668172099 1048707686 /nfs/dbraw/zinc/70/76/86/1048707686.db2.gz DLGPITIDCSZZBH-GOSISDBHSA-N 0 3 308.429 4.153 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1cc2ccc(C)cc2[nH]1 ZINC000668172100 1048707874 /nfs/dbraw/zinc/70/78/74/1048707874.db2.gz DLGPITIDCSZZBH-SFHVURJKSA-N 0 3 308.429 4.153 20 0 DIADHN Cc1ccncc1CN(C)CCc1cc(Cl)ccc1Cl ZINC000483005271 1048719578 /nfs/dbraw/zinc/71/95/78/1048719578.db2.gz SCKOSNGEVHKCHK-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CCc1ccc(NC(=O)CN(C2CC2)C2CCC(C)CC2)cc1 ZINC001190399942 1048728747 /nfs/dbraw/zinc/72/87/47/1048728747.db2.gz RYRROEQMZQLMKJ-UHFFFAOYSA-N 0 3 314.473 4.231 20 0 DIADHN Cc1cc(C)nc(CN2CCC(Cc3cccc(F)c3)CC2)c1 ZINC001190375539 1048731147 /nfs/dbraw/zinc/73/11/47/1048731147.db2.gz QBNITVJNFOWTHW-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN CC(C)(C)OC(=O)NCCN1CCC(CC2CCCCC2)CC1 ZINC001176579635 1048733674 /nfs/dbraw/zinc/73/36/74/1048733674.db2.gz YNVDHIBUGGFEMP-UHFFFAOYSA-N 0 3 324.509 4.194 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(NC/C=C/c2ccccc2)nc1 ZINC001166096618 1048733599 /nfs/dbraw/zinc/73/35/99/1048733599.db2.gz ATEPOIWKDGQLIP-QPGLYAHGSA-N 0 3 307.441 4.364 20 0 DIADHN CN(Cc1coc(-c2cccc(F)c2)n1)[C@H]1CCC(C)(C)C1 ZINC000483101599 1048734406 /nfs/dbraw/zinc/73/44/06/1048734406.db2.gz CSCPTEZAPIIZBM-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN CN(Cc1nnc(-c2cccc(Cl)c2)o1)[C@@H]1CCC(C)(C)C1 ZINC000483098770 1048734581 /nfs/dbraw/zinc/73/45/81/1048734581.db2.gz DHFNHTBGZAOVLF-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2cc(F)ccc21 ZINC000775384042 1048735023 /nfs/dbraw/zinc/73/50/23/1048735023.db2.gz BRIMNBKRRPDRMB-IBGZPJMESA-N 0 3 312.432 4.449 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000483119844 1048737682 /nfs/dbraw/zinc/73/76/82/1048737682.db2.gz MXAQLVIAUKGTNT-AWEZNQCLSA-N 0 3 322.880 4.406 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H]3CCC(C)(C)C3)co2)cc1 ZINC000483110263 1048737967 /nfs/dbraw/zinc/73/79/67/1048737967.db2.gz BIGGNLXGYWPVBT-INIZCTEOSA-N 0 3 314.429 4.361 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1c(C)cc(C)cc1C)c1ccccc1 ZINC000775387872 1048739533 /nfs/dbraw/zinc/73/95/33/1048739533.db2.gz OLEXHQQMKWJWDE-OAQYLSRUSA-N 0 3 324.468 4.465 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1c(C)cc(C)cc1C)c1ccccc1 ZINC000775387871 1048739838 /nfs/dbraw/zinc/73/98/38/1048739838.db2.gz OLEXHQQMKWJWDE-NRFANRHFSA-N 0 3 324.468 4.465 20 0 DIADHN CN(Cc1coc(-c2ccc(F)cc2)n1)[C@@H]1CCC(C)(C)C1 ZINC000483113374 1048740830 /nfs/dbraw/zinc/74/08/30/1048740830.db2.gz VDNOADCZGITIAO-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN CN(Cc1coc(-c2ccc(F)cc2)n1)[C@H]1CCC(C)(C)C1 ZINC000483113372 1048741029 /nfs/dbraw/zinc/74/10/29/1048741029.db2.gz VDNOADCZGITIAO-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@@](C)(NCc1ccc(C(=O)OC)c(F)c1)c1ccccc1 ZINC000775388390 1048741376 /nfs/dbraw/zinc/74/13/76/1048741376.db2.gz QXCVBONRQPKBTH-LJQANCHMSA-N 0 3 315.388 4.027 20 0 DIADHN CCN(CC(=O)Nc1cc(Cl)ccc1Cl)CC1CCC1 ZINC000483131524 1048741833 /nfs/dbraw/zinc/74/18/33/1048741833.db2.gz RCZGJUKMUZBSKA-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CCN(CC(=O)Nc1ccccc1C(C)(C)C)CC1CCC1 ZINC000483128800 1048741905 /nfs/dbraw/zinc/74/19/05/1048741905.db2.gz QPTJPOXUMMZKDT-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1cc2ccccc2o1 ZINC000483127553 1048742479 /nfs/dbraw/zinc/74/24/79/1048742479.db2.gz NGNJYFFLBWXZPG-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN C[C@@](CO)(Cc1ccc(Cl)cc1)NCc1ccccc1Cl ZINC001176599355 1048749922 /nfs/dbraw/zinc/74/99/22/1048749922.db2.gz PCAGUOGXWDWTLN-KRWDZBQOSA-N 0 3 324.251 4.077 20 0 DIADHN O=C(CN(C1CC1)C1CCCCC1)Nc1ccc(F)c(Cl)c1 ZINC001190519434 1048749992 /nfs/dbraw/zinc/74/99/92/1048749992.db2.gz MHISGJVNUQGBPR-UHFFFAOYSA-N 0 3 324.827 4.215 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccc(OCC2CC2)cc1 ZINC000483176096 1048749916 /nfs/dbraw/zinc/74/99/16/1048749916.db2.gz WKWDDIAKXITXHH-FQEVSTJZSA-N 0 3 310.441 4.151 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC2(CCCC2)CC1 ZINC001166443463 1048750805 /nfs/dbraw/zinc/75/08/05/1048750805.db2.gz FCVXNEJOQKCPDR-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN COC1(CN(C)[C@@H](C)c2nc3ccccc3s2)CCCC1 ZINC000483168452 1048751770 /nfs/dbraw/zinc/75/17/70/1048751770.db2.gz MMVUKGBHHBNEBK-ZDUSSCGKSA-N 0 3 304.459 4.248 20 0 DIADHN C[C@H]1CCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@H]1C ZINC000483201553 1048755613 /nfs/dbraw/zinc/75/56/13/1048755613.db2.gz RMMHNFHBKSYHQW-QWRGUYRKSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000775397302 1048756545 /nfs/dbraw/zinc/75/65/45/1048756545.db2.gz SHHBBIOKFIKCCX-PXAZEXFGSA-N 0 3 323.358 4.105 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000775397303 1048757725 /nfs/dbraw/zinc/75/77/25/1048757725.db2.gz SHHBBIOKFIKCCX-SJCJKPOMSA-N 0 3 323.358 4.105 20 0 DIADHN C[C@@H]1CC[C@H](C)N(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483222598 1048758984 /nfs/dbraw/zinc/75/89/84/1048758984.db2.gz UKCONUHWXUIJOM-MNOVXSKESA-N 0 3 312.335 4.467 20 0 DIADHN COCCN(Cc1csc(C)c1)Cc1ccc(C)cc1C ZINC001190588934 1048760345 /nfs/dbraw/zinc/76/03/45/1048760345.db2.gz GVFGLLVEYNLFSW-UHFFFAOYSA-N 0 3 303.471 4.322 20 0 DIADHN FC(F)CC1C[C@H]2CC[C@@H](C1)N2Cc1cccc2nsnc21 ZINC001176700504 1048763813 /nfs/dbraw/zinc/76/38/13/1048763813.db2.gz RNCBMELNLNQGLV-VGPLMAKISA-N 0 3 323.412 4.090 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CCC[C@@H](C)CC1 ZINC001190660479 1048766038 /nfs/dbraw/zinc/76/60/38/1048766038.db2.gz KBHFYUAHVSNKDE-CVEARBPZSA-N 0 3 310.441 4.289 20 0 DIADHN CCN(C)c1ccc(CN(CC)[C@H](C)c2ccc(F)cc2)cn1 ZINC000483262510 1048766831 /nfs/dbraw/zinc/76/68/31/1048766831.db2.gz BEHFOWQHEBXYPB-OAHLLOKOSA-N 0 3 315.436 4.260 20 0 DIADHN CC[C@H](NC(=O)CN1CCC[C@H](C)CC1)c1ccc(Cl)cc1 ZINC001190660521 1048767300 /nfs/dbraw/zinc/76/73/00/1048767300.db2.gz LPHTXQYSTXHPOB-YOEHRIQHSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)N(Cc1cccc(N(C)C)c1)Cc1ccccc1F ZINC001190634967 1048769757 /nfs/dbraw/zinc/76/97/57/1048769757.db2.gz CEKNCXXHMKRMPY-UHFFFAOYSA-N 0 3 300.421 4.302 20 0 DIADHN Cc1cccc(-c2noc(CN(CC3CC3)CC3CCC3)n2)c1 ZINC000483276969 1048770853 /nfs/dbraw/zinc/77/08/53/1048770853.db2.gz BRPWDSDJOUEYDH-UHFFFAOYSA-N 0 3 311.429 4.057 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC2CC2)C2CCC2)c(Cl)c1 ZINC000483307165 1048776708 /nfs/dbraw/zinc/77/67/08/1048776708.db2.gz LWGMFHHOYPLMIE-UHFFFAOYSA-N 0 3 320.864 4.160 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1CC1CCC1 ZINC001190691799 1048778014 /nfs/dbraw/zinc/77/80/14/1048778014.db2.gz PRWWLGAXIBUONO-HNNXBMFYSA-N 0 3 324.262 4.395 20 0 DIADHN CCN(CCC(F)(F)F)C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001190692350 1048778314 /nfs/dbraw/zinc/77/83/14/1048778314.db2.gz WBLBPGLPHWEHIG-LSDHHAIUSA-N 0 3 301.352 4.181 20 0 DIADHN COc1ccc(-c2cnc(CN(CC3CC3)C3CCC3)o2)cc1 ZINC000483308068 1048778508 /nfs/dbraw/zinc/77/85/08/1048778508.db2.gz MVHIXUFVPNYVQE-UHFFFAOYSA-N 0 3 312.413 4.115 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cnn(C(C)(C)C)c1 ZINC001190682595 1048778432 /nfs/dbraw/zinc/77/84/32/1048778432.db2.gz JIWIREJYTLMAOG-UHFFFAOYSA-N 0 3 321.468 4.466 20 0 DIADHN O[C@@H](CCNC1(c2ccccc2Cl)CC1)c1ccccc1 ZINC000829483720 1048780894 /nfs/dbraw/zinc/78/08/94/1048780894.db2.gz KVSYCGJVALAPDG-KRWDZBQOSA-N 0 3 301.817 4.042 20 0 DIADHN CCC[C@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483412142 1048790852 /nfs/dbraw/zinc/79/08/52/1048790852.db2.gz WSWXYFMNGLIYGF-JTQLQIEISA-N 0 3 300.324 4.467 20 0 DIADHN CCN(Cc1nc(-c2ccc(F)c(C)c2)no1)[C@@H](C)C(C)C ZINC000483411935 1048792111 /nfs/dbraw/zinc/79/21/11/1048792111.db2.gz WIHIOIOMHQBHSA-ZDUSSCGKSA-N 0 3 305.397 4.051 20 0 DIADHN C[C@@H](Cc1cccnc1)NCc1cc(Cl)cc(Cl)c1F ZINC001176864268 1048795504 /nfs/dbraw/zinc/79/55/04/1048795504.db2.gz GMYHUQIQQPZLAC-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCSCC[C@@H]3C)s2)cc1 ZINC000483420913 1048795784 /nfs/dbraw/zinc/79/57/84/1048795784.db2.gz NOBFKKVFCDCHPX-AWEZNQCLSA-N 0 3 318.511 4.446 20 0 DIADHN COc1ccc([C@H]2CCCN2CC[C@H]2CCCC2(F)F)nc1 ZINC001176871472 1048795807 /nfs/dbraw/zinc/79/58/07/1048795807.db2.gz LWTFGOYQFIDHCS-CZUORRHYSA-N 0 3 310.388 4.053 20 0 DIADHN C[C@@H](c1cccs1)N(Cc1ccccc1)C[C@@H]1CCC(=O)O1 ZINC000851739663 1048797671 /nfs/dbraw/zinc/79/76/71/1048797671.db2.gz NZTLIYGMSGMVFH-HOCLYGCPSA-N 0 3 315.438 4.017 20 0 DIADHN Cc1cc(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)c(C)s1 ZINC001176932222 1048802321 /nfs/dbraw/zinc/80/23/21/1048802321.db2.gz GEINOOWKSVXORE-YJYMSZOUSA-N 0 3 315.482 4.240 20 0 DIADHN Clc1cccc(CNC2([C@H]3CCCO3)CCC2)c1Cl ZINC001176939088 1048805078 /nfs/dbraw/zinc/80/50/78/1048805078.db2.gz BECHAIVRQIJDJO-CYBMUJFWSA-N 0 3 300.229 4.185 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ncccc1C)c1ccc(Cl)cc1 ZINC001176897209 1048807193 /nfs/dbraw/zinc/80/71/93/1048807193.db2.gz KTEALLYCZCKTOK-BBRMVZONSA-N 0 3 304.821 4.082 20 0 DIADHN CC[C@@H]1CCCN(CC(=O)Nc2cccc3ccccc32)CC1 ZINC001190967591 1048811104 /nfs/dbraw/zinc/81/11/04/1048811104.db2.gz WQJDWGKYQLKHIO-MRXNPFEDSA-N 0 3 310.441 4.290 20 0 DIADHN ClC(Cl)=CCN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000851903937 1048811182 /nfs/dbraw/zinc/81/11/82/1048811182.db2.gz QOKOYFJXXVKFQQ-GXTWGEPZSA-N 0 3 300.229 4.063 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C)[C@@H](c1ccccc1)C(C)C ZINC001190954945 1048814086 /nfs/dbraw/zinc/81/40/86/1048814086.db2.gz SMFXKPPOZKMNQM-YLJYHZDGSA-N 0 3 318.505 4.209 20 0 DIADHN CCC(C)(C)CCNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001203352175 1048819635 /nfs/dbraw/zinc/81/96/35/1048819635.db2.gz SJSADASFCBJQQZ-UHFFFAOYSA-N 0 3 317.399 4.154 20 0 DIADHN CC(C)CN(C[C@@H]1CCC(=O)O1)[C@@H](C)c1ccccc1Cl ZINC000852023289 1048821072 /nfs/dbraw/zinc/82/10/72/1048821072.db2.gz KLBLOWABFLLCHH-KBPBESRZSA-N 0 3 309.837 4.065 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](C)Oc2ccccc2F)c(F)c1 ZINC000483605472 1048823341 /nfs/dbraw/zinc/82/33/41/1048823341.db2.gz KTCNFIMYGCPLGO-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CCc2c(cnn2-c2ccccc2)C1 ZINC001166990953 1048824318 /nfs/dbraw/zinc/82/43/18/1048824318.db2.gz VHTCTCJVWNQDNJ-IAGOWNOFSA-N 0 3 309.457 4.057 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CCc2c(cnn2-c2ccccc2)C1 ZINC001166990957 1048824467 /nfs/dbraw/zinc/82/44/67/1048824467.db2.gz VHTCTCJVWNQDNJ-SJORKVTESA-N 0 3 309.457 4.057 20 0 DIADHN Cc1cc(Oc2cc(Cl)ccc2CNC[C@H](C)O)ccc1F ZINC001177093114 1048829236 /nfs/dbraw/zinc/82/92/36/1048829236.db2.gz NRZIKCVIXOQPND-LBPRGKRZSA-N 0 3 323.795 4.050 20 0 DIADHN COc1ccc(CN2CCS[C@@H](C)[C@H]2c2ccccc2)cc1 ZINC001191030057 1048830686 /nfs/dbraw/zinc/83/06/86/1048830686.db2.gz LKDDIXYCCYVTIW-KXBFYZLASA-N 0 3 313.466 4.374 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@H](C)c2cc(F)ccc2F)cc1 ZINC000483649562 1048831733 /nfs/dbraw/zinc/83/17/33/1048831733.db2.gz WQYITLPBAGYPKB-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@@H](C)c2cc(F)ccc2F)c1 ZINC000483628510 1048832031 /nfs/dbraw/zinc/83/20/31/1048832031.db2.gz PZMGBXPSFHWZLW-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1ccccc1SC(F)F ZINC000852293663 1048834966 /nfs/dbraw/zinc/83/49/66/1048834966.db2.gz OSPSHUAATXDWRG-NSHDSACASA-N 0 3 316.417 4.060 20 0 DIADHN O=C(CCN1CCC[C@@H]1c1ccsc1)OCc1ccccc1 ZINC000776579718 1048835091 /nfs/dbraw/zinc/83/50/91/1048835091.db2.gz ONEAXYBTHTYXBP-QGZVFWFLSA-N 0 3 315.438 4.019 20 0 DIADHN O[C@H](CCN1CC2(CCCC2)[C@H]1c1ccco1)c1ccccc1 ZINC000852427449 1048839772 /nfs/dbraw/zinc/83/97/72/1048839772.db2.gz YSUXTQVERSWDOH-IEBWSBKVSA-N 0 3 311.425 4.320 20 0 DIADHN Cc1cc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)cnc1Br ZINC001191079655 1048842247 /nfs/dbraw/zinc/84/22/47/1048842247.db2.gz ZGHUZNNKIWBNSD-SNPRPXQTSA-N 0 3 323.278 4.306 20 0 DIADHN Cc1cc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)cnc1Br ZINC001191079649 1048843894 /nfs/dbraw/zinc/84/38/94/1048843894.db2.gz ZGHUZNNKIWBNSD-BPLDGKMQSA-N 0 3 323.278 4.306 20 0 DIADHN Fc1cccc(CN2CCc3ccccc3CC2)c1OC(F)F ZINC001191048159 1048844802 /nfs/dbraw/zinc/84/48/02/1048844802.db2.gz MSZOUMGXBDMVEL-UHFFFAOYSA-N 0 3 321.342 4.028 20 0 DIADHN CCc1cnc(CNC[C@@]2(c3ccccc3)CC2(C)C)s1 ZINC001203215727 1048847485 /nfs/dbraw/zinc/84/74/85/1048847485.db2.gz MCKFJGMBOZJCTE-GOSISDBHSA-N 0 3 300.471 4.163 20 0 DIADHN CCC[C@H](CNCc1cc2ccccc2cc1OC)OCC ZINC001203248933 1048852762 /nfs/dbraw/zinc/85/27/62/1048852762.db2.gz KYCBWULMAYELAH-GOSISDBHSA-N 0 3 301.430 4.143 20 0 DIADHN COC(=O)c1sccc1CN1CCCCC[C@@H]1CC(C)C ZINC001191111794 1048853282 /nfs/dbraw/zinc/85/32/82/1048853282.db2.gz HOZSMTFKZXDORR-OAHLLOKOSA-N 0 3 309.475 4.325 20 0 DIADHN CCC[C@@H](NCc1c(C)cc(CO)cc1C)c1cc(C)ccn1 ZINC000903304176 1048854589 /nfs/dbraw/zinc/85/45/89/1048854589.db2.gz ZMRSVYMXXSAECA-LJQANCHMSA-N 0 3 312.457 4.130 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@]1(C)CCCc2ccccc21 ZINC000483808554 1048861678 /nfs/dbraw/zinc/86/16/78/1048861678.db2.gz YRDHKVCHXANQJO-OAQYLSRUSA-N 0 3 317.436 4.280 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@H]2CCCC(C)(C)O2)s1 ZINC001191146689 1048863616 /nfs/dbraw/zinc/86/36/16/1048863616.db2.gz XNBQDKWCSUOIAB-ZIAGYGMSSA-N 0 3 310.507 4.354 20 0 DIADHN CCNc1nc(CN2C[C@H](c3ccccc3C)C[C@@H]2C)cs1 ZINC001191155669 1048865320 /nfs/dbraw/zinc/86/53/20/1048865320.db2.gz IURYQGDHCTUIIH-LSDHHAIUSA-N 0 3 315.486 4.261 20 0 DIADHN Cn1cc(CNCc2ccc3c(c2)CCC3)c(C2CCCCC2)n1 ZINC001177283384 1048867803 /nfs/dbraw/zinc/86/78/03/1048867803.db2.gz YIBZVQBHTMKCMD-UHFFFAOYSA-N 0 3 323.484 4.246 20 0 DIADHN CCN1CCN(c2ccc(NC[C@@H]3CCCC[C@@H]3C)cc2)CC1 ZINC001177379020 1048876743 /nfs/dbraw/zinc/87/67/43/1048876743.db2.gz IXFUFPBZUKGTAP-ROUUACIJSA-N 0 3 315.505 4.067 20 0 DIADHN CC[C@@](C)(NCc1cccc(Cl)c1N)c1ccc(F)cc1 ZINC001203358413 1048879847 /nfs/dbraw/zinc/87/98/47/1048879847.db2.gz SRSUUDDEGOWWQO-QGZVFWFLSA-N 0 3 306.812 4.476 20 0 DIADHN C[C@@H](NCc1cc2cnccc2o1)c1ccc2c(c1)CCCO2 ZINC000853094540 1048880945 /nfs/dbraw/zinc/88/09/45/1048880945.db2.gz FLTYXVPFKZYJKS-CYBMUJFWSA-N 0 3 308.381 4.004 20 0 DIADHN CC[C@@](C)(NCc1ccc(OC)c(F)c1)c1ccc(F)cc1 ZINC001203356642 1048881533 /nfs/dbraw/zinc/88/15/33/1048881533.db2.gz BPNPYTJGNDXVLB-GOSISDBHSA-N 0 3 305.368 4.388 20 0 DIADHN CCCn1cc(CN[C@@H]2CCc3cc(Cl)ccc32)c(C)n1 ZINC001203363036 1048882794 /nfs/dbraw/zinc/88/27/94/1048882794.db2.gz JRHOXKNFQBXNAC-QGZVFWFLSA-N 0 3 303.837 4.032 20 0 DIADHN Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c(F)c1 ZINC001203374512 1048885594 /nfs/dbraw/zinc/88/55/94/1048885594.db2.gz XXYNYACQEVNONJ-MSOLQXFVSA-N 0 3 319.807 4.407 20 0 DIADHN CC(C)(CNCc1c(Cl)cncc1Cl)[C@H]1CCCCO1 ZINC001203384981 1048885807 /nfs/dbraw/zinc/88/58/07/1048885807.db2.gz RSPLDFURJSSSTD-CQSZACIVSA-N 0 3 317.260 4.073 20 0 DIADHN Fc1ccc(Cl)cc1CNCCOc1cccc(Cl)c1 ZINC001177412057 1048886185 /nfs/dbraw/zinc/88/61/85/1048886185.db2.gz YRSHCPUTWNHFJU-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN CC(C)(CNCc1c(Cl)cncc1Cl)[C@@H]1CCCCO1 ZINC001203384980 1048887649 /nfs/dbraw/zinc/88/76/49/1048887649.db2.gz RSPLDFURJSSSTD-AWEZNQCLSA-N 0 3 317.260 4.073 20 0 DIADHN C[C@@H]1CCN(C[C@@H]2CCC3(CCC3)CO2)[C@H](c2ccco2)C1 ZINC001191216005 1048888962 /nfs/dbraw/zinc/88/89/62/1048888962.db2.gz XLCCQYBSGZFIGY-IKGGRYGDSA-N 0 3 303.446 4.402 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000853239474 1048889871 /nfs/dbraw/zinc/88/98/71/1048889871.db2.gz BRYWHFMWEVBQQX-VLIAUNLRSA-N 0 3 323.436 4.445 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)c(C)n1 ZINC000853242463 1048890824 /nfs/dbraw/zinc/89/08/24/1048890824.db2.gz PVMHKIGADPLOLX-ZBFHGGJFSA-N 0 3 310.441 4.435 20 0 DIADHN CC(C)n1ccnc1CNCC1(c2cccc(Cl)c2)CCC1 ZINC001203402028 1048891301 /nfs/dbraw/zinc/89/13/01/1048891301.db2.gz CBSSIUVVEWJTFG-UHFFFAOYSA-N 0 3 317.864 4.329 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cccnc21)c1ccc2c(c1)CCCO2 ZINC000853240792 1048891734 /nfs/dbraw/zinc/89/17/34/1048891734.db2.gz IGZUSXMDQPRDAX-RDTXWAMCSA-N 0 3 308.425 4.135 20 0 DIADHN CCn1ccc(CNCC2(c3ccc(F)cc3)CCCCC2)n1 ZINC001177487866 1048896576 /nfs/dbraw/zinc/89/65/76/1048896576.db2.gz SHYXQDXFWLMKJI-UHFFFAOYSA-N 0 3 315.436 4.034 20 0 DIADHN COc1ccccc1CN(Cc1ccccn1)C1CCCCC1 ZINC001203437759 1048900936 /nfs/dbraw/zinc/90/09/36/1048900936.db2.gz JWXAWLJMPFOEAU-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN O[C@H](CCNCc1ccc(F)c(Cl)c1Cl)C1CCCC1 ZINC001203429280 1048901496 /nfs/dbraw/zinc/90/14/96/1048901496.db2.gz XIYMIQUAXRTAKK-CYBMUJFWSA-N 0 3 320.235 4.163 20 0 DIADHN COc1ccccc1CN1CCC(Sc2ccccc2)CC1 ZINC001203438146 1048901619 /nfs/dbraw/zinc/90/16/19/1048901619.db2.gz UFLZGKQAHQYBKL-UHFFFAOYSA-N 0 3 313.466 4.452 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3ccc(F)cc3[nH]c2=O)s1 ZINC001177496773 1048902158 /nfs/dbraw/zinc/90/21/58/1048902158.db2.gz PDUSLMFXWFUHQR-NSHDSACASA-N 0 3 316.401 4.300 20 0 DIADHN COc1cccc(CN2CCC3(CCCCC3)CC2)c1OC ZINC001203439397 1048902827 /nfs/dbraw/zinc/90/28/27/1048902827.db2.gz DPZADMGIEYTABK-UHFFFAOYSA-N 0 3 303.446 4.250 20 0 DIADHN COc1ccccc1CN1CCC2(CC1)O[C@@H](C)c1ccccc12 ZINC001203439780 1048904158 /nfs/dbraw/zinc/90/41/58/1048904158.db2.gz ZNEXKMQIHQDHJV-INIZCTEOSA-N 0 3 323.436 4.278 20 0 DIADHN CC(C)(C)OCCCN1CC=C(c2ccc(Cl)nc2)CC1 ZINC000773671880 1048905017 /nfs/dbraw/zinc/90/50/17/1048905017.db2.gz ZULSTDNILFWOGM-UHFFFAOYSA-N 0 3 308.853 4.029 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cnn(Cc2ccccc2)c1 ZINC000484139140 1048905505 /nfs/dbraw/zinc/90/55/05/1048905505.db2.gz WFPSOICPGIVPND-KRWDZBQOSA-N 0 3 319.452 4.345 20 0 DIADHN CSc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001203470149 1048910195 /nfs/dbraw/zinc/91/01/95/1048910195.db2.gz BOTSLKMLBTWHKM-LJQANCHMSA-N 0 3 323.465 4.136 20 0 DIADHN CCSc1ccc(CNCc2ccc3c(c2)C[C@H](C)O3)cc1 ZINC001203485209 1048912587 /nfs/dbraw/zinc/91/25/87/1048912587.db2.gz JAMBQJONSJVCSL-AWEZNQCLSA-N 0 3 313.466 4.412 20 0 DIADHN COc1cc(C)c(CNCc2ccccc2C(F)(F)F)c(C)n1 ZINC001177548785 1048914210 /nfs/dbraw/zinc/91/42/10/1048914210.db2.gz RLWZJMQPXPXYHS-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccccc2)c(SC)cc1OC ZINC000077988124 1048914857 /nfs/dbraw/zinc/91/48/57/1048914857.db2.gz BQFWWCDTDSXXKU-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(F)cc1C)c1ccc(F)cc1F ZINC000775448027 1048915939 /nfs/dbraw/zinc/91/59/39/1048915939.db2.gz AWYYTHVMODTILO-MSOLQXFVSA-N 0 3 323.358 4.187 20 0 DIADHN C[C@H](CNCc1nccn1C1CC1)c1c(Cl)cccc1Cl ZINC001177587121 1048920336 /nfs/dbraw/zinc/92/03/36/1048920336.db2.gz YCBXUBMWKLZEJT-LLVKDONJSA-N 0 3 324.255 4.418 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CC[C@@H](CSc2ccccc2)C1 ZINC001191341263 1048921017 /nfs/dbraw/zinc/92/10/17/1048921017.db2.gz LSPGNMAZINRZQB-LSDHHAIUSA-N 0 3 316.445 4.396 20 0 DIADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1(C)C ZINC000484299181 1048922334 /nfs/dbraw/zinc/92/23/34/1048922334.db2.gz DVESZGHNXBUERH-APHBMKBZSA-N 0 3 316.470 4.274 20 0 DIADHN Cc1ccccc1C1(CNCc2ccc(F)c(F)c2F)CC1 ZINC001177604986 1048923610 /nfs/dbraw/zinc/92/36/10/1048923610.db2.gz WFQUIIRCOSQAOR-UHFFFAOYSA-N 0 3 305.343 4.234 20 0 DIADHN c1cc2c(cc1CNCc1ccc(OCC3CC3)nc1)CCCC2 ZINC001177607862 1048923638 /nfs/dbraw/zinc/92/36/38/1048923638.db2.gz DZQQRCWHKKVSLC-UHFFFAOYSA-N 0 3 322.452 4.039 20 0 DIADHN C[C@H](NCc1csc(CCc2ccccc2)n1)C(C)(C)C ZINC000484310039 1048924822 /nfs/dbraw/zinc/92/48/22/1048924822.db2.gz OMCRPOCURKQTDJ-AWEZNQCLSA-N 0 3 302.487 4.453 20 0 DIADHN CCOc1ccccc1CN1CCC[C@@H]1c1cccc(OC)c1 ZINC001203543925 1048925154 /nfs/dbraw/zinc/92/51/54/1048925154.db2.gz KNSIMPGYFISJEY-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC001203553558 1048925954 /nfs/dbraw/zinc/92/59/54/1048925954.db2.gz IEUQJXWNEBWXGN-AYOQOUSVSA-N 0 3 315.457 4.055 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC001203553560 1048926239 /nfs/dbraw/zinc/92/62/39/1048926239.db2.gz IEUQJXWNEBWXGN-SNRMKQJTSA-N 0 3 315.457 4.055 20 0 DIADHN Cc1ccc(CNC[C@H](O)c2ccc3ccccc3c2)c(F)c1 ZINC001177664212 1048928593 /nfs/dbraw/zinc/92/85/93/1048928593.db2.gz NNQADGVOVVUUKZ-FQEVSTJZSA-N 0 3 309.384 4.111 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H]1CCc2cc(C)ccc21 ZINC001177646886 1048930163 /nfs/dbraw/zinc/93/01/63/1048930163.db2.gz SWUDDHGGTNLZDG-OAQYLSRUSA-N 0 3 317.436 4.266 20 0 DIADHN CCOc1ccccc1CNCc1cc2c(s1)CCCC2 ZINC001177729792 1048932618 /nfs/dbraw/zinc/93/26/18/1048932618.db2.gz WWTMBWJKBRDVPB-UHFFFAOYSA-N 0 3 301.455 4.315 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cccc3nsnc32)s1 ZINC001203581188 1048934511 /nfs/dbraw/zinc/93/45/11/1048934511.db2.gz JOKXPKBKLKHQOD-UHFFFAOYSA-N 0 3 317.483 4.340 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001177706954 1048935304 /nfs/dbraw/zinc/93/53/04/1048935304.db2.gz FLWKAXNQEDWTHB-IRXDYDNUSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)COCC(F)(F)F)oc2cc1C ZINC001177708209 1048935332 /nfs/dbraw/zinc/93/53/32/1048935332.db2.gz WATBEAYZJIMXCM-LBPRGKRZSA-N 0 3 315.335 4.107 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc(-c2cnn(C)c2)cc1 ZINC001203597034 1048937275 /nfs/dbraw/zinc/93/72/75/1048937275.db2.gz ACXUHIKPUBQSGO-OAHLLOKOSA-N 0 3 323.415 4.385 20 0 DIADHN Fc1ccc2oc(CNCc3cccc4ncccc34)cc2c1 ZINC001203582965 1048938239 /nfs/dbraw/zinc/93/82/39/1048938239.db2.gz MJEYRMWPEGUNBW-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN CN(CCOC1CCCCCC1)Cc1cc2ccccc2[nH]1 ZINC001191408874 1048938340 /nfs/dbraw/zinc/93/83/40/1048938340.db2.gz QWECPAIDJNWYBX-UHFFFAOYSA-N 0 3 300.446 4.339 20 0 DIADHN C[C@@H](CNCc1nc2c(s1)C[C@H](C)CC2)c1ccc(F)cc1 ZINC001177742330 1048939172 /nfs/dbraw/zinc/93/91/72/1048939172.db2.gz HKFXQOJCPXNSGI-OLZOCXBDSA-N 0 3 318.461 4.300 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1ccc(OC)nc1 ZINC001203603721 1048940304 /nfs/dbraw/zinc/94/03/04/1048940304.db2.gz VCVKDXIIZHRJHQ-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN CSc1ccc(CNCCc2nc(C(C)(C)C)cs2)o1 ZINC001177779072 1048944362 /nfs/dbraw/zinc/94/43/62/1048944362.db2.gz AVEKNFIXJOROOG-UHFFFAOYSA-N 0 3 310.488 4.088 20 0 DIADHN CCNc1nc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)cs1 ZINC001191475961 1048950003 /nfs/dbraw/zinc/95/00/03/1048950003.db2.gz QKCFYIQLPYEERP-GDBMZVCRSA-N 0 3 315.486 4.028 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CCc1ccccc1Cl ZINC001191486903 1048951189 /nfs/dbraw/zinc/95/11/89/1048951189.db2.gz VKQVKHOKGGLQMZ-GOSISDBHSA-N 0 3 302.849 4.448 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCc1cccc(F)c1F ZINC001177839709 1048951326 /nfs/dbraw/zinc/95/13/26/1048951326.db2.gz CNVXIRLNXQNWFT-UHFFFAOYSA-N 0 3 324.802 4.017 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2nc(C)cs2)C1(CC)CC ZINC000776724234 1048956932 /nfs/dbraw/zinc/95/69/32/1048956932.db2.gz ZVOXSCVKDRGAQV-QLFBSQMISA-N 0 3 310.507 4.476 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccc(F)c2)s1)c1cnn(C)c1 ZINC001177910906 1048960834 /nfs/dbraw/zinc/96/08/34/1048960834.db2.gz FGCFKMZYYPJBEN-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC001203683188 1048960985 /nfs/dbraw/zinc/96/09/85/1048960985.db2.gz ROYNRHWZVUQWJJ-PYJNHQTQSA-N 0 3 302.443 4.093 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1cc2c(s1)CCC2 ZINC000484513387 1048962715 /nfs/dbraw/zinc/96/27/15/1048962715.db2.gz VBQWKTBRJUUJQY-ZDUSSCGKSA-N 0 3 301.455 4.405 20 0 DIADHN COC(C)(C)CNCc1c(Cl)cccc1SC(C)C ZINC001177928218 1048964708 /nfs/dbraw/zinc/96/47/08/1048964708.db2.gz DENSOWVPGRXGOK-UHFFFAOYSA-N 0 3 301.883 4.355 20 0 DIADHN COCC[C@H]1CCCCN1Cc1ccccc1C(F)(F)F ZINC001203692831 1048964882 /nfs/dbraw/zinc/96/48/82/1048964882.db2.gz BBFPKSZPPSEGFA-CQSZACIVSA-N 0 3 301.352 4.096 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2ccc(Oc3ccccc3)o2)C1(C)C ZINC001177928145 1048964949 /nfs/dbraw/zinc/96/49/49/1048964949.db2.gz ARHINZHWMBHHIV-APWZRJJASA-N 0 3 315.413 4.365 20 0 DIADHN CCCCn1nc(C)c(CNCC[C@@H]2CC[C@H]3C[C@H]32)c1Cl ZINC001203692146 1048965244 /nfs/dbraw/zinc/96/52/44/1048965244.db2.gz UWBFAGCCDKHGOI-KKUMJFAQSA-N 0 3 309.885 4.171 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N(C)[C@H]1CCN(C)C1 ZINC001191631611 1048970599 /nfs/dbraw/zinc/97/05/99/1048970599.db2.gz UHKQYZJEUOGEKP-HNNXBMFYSA-N 0 3 317.477 4.101 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cccc(O)c1)c1cccc(Cl)c1 ZINC000194928643 1048972867 /nfs/dbraw/zinc/97/28/67/1048972867.db2.gz KLVCISMMYFFSGC-SJCJKPOMSA-N 0 3 305.805 4.084 20 0 DIADHN Cc1ccccc1CN1C[C@@H]2C[C@H](C1)c1cc3nccnc3cc12 ZINC001203709873 1048973010 /nfs/dbraw/zinc/97/30/10/1048973010.db2.gz SFTHTHZKCNIDKD-CALCHBBNSA-N 0 3 315.420 4.025 20 0 DIADHN BrC1(CN(C2CC2)[C@H]2CCCc3ccccc32)CC1 ZINC001191646432 1048977138 /nfs/dbraw/zinc/97/71/38/1048977138.db2.gz MRQZOXQNYUKXTD-INIZCTEOSA-N 0 3 320.274 4.456 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(C)nc2)c(C)c1C ZINC001203723703 1048977881 /nfs/dbraw/zinc/97/78/81/1048977881.db2.gz NTEGMAPVEDEGRJ-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](OC(=O)OC(C)(C)C)C2)c(C)c1 ZINC001203740861 1048985772 /nfs/dbraw/zinc/98/57/72/1048985772.db2.gz LGSMGFGQFJQXRC-MRXNPFEDSA-N 0 3 319.445 4.138 20 0 DIADHN Cc1ccc(Br)cc1CN[C@@H](C)c1cccc(O)c1 ZINC000194966784 1048996027 /nfs/dbraw/zinc/99/60/27/1048996027.db2.gz GBBUTAUUCOOCLU-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN CC1(CNCc2c(Cl)cncc2Cl)Cc2ccccc2C1 ZINC001178187922 1048996546 /nfs/dbraw/zinc/99/65/46/1048996546.db2.gz BUCDMTUNQJYICI-UHFFFAOYSA-N 0 3 321.251 4.283 20 0 DIADHN Fc1cccc(CN2CCC3(CCc4ccccc4O3)CC2)c1 ZINC001203788782 1048996915 /nfs/dbraw/zinc/99/69/15/1048996915.db2.gz DEPRXJKGVAWTDS-UHFFFAOYSA-N 0 3 311.400 4.186 20 0 DIADHN Cc1cnc(CN[C@@H]2CCCC[C@@H]2Oc2cccc(C)c2)s1 ZINC000484652822 1048998998 /nfs/dbraw/zinc/99/89/98/1048998998.db2.gz VWQBHKRKEPNDIP-SJORKVTESA-N 0 3 316.470 4.240 20 0 DIADHN CC1(C)CC[C@H](NCc2nc(Cl)ccc2Br)C1 ZINC001178208276 1048999655 /nfs/dbraw/zinc/99/96/55/1048999655.db2.gz KTTICZLMAULZFL-VIFPVBQESA-N 0 3 317.658 4.166 20 0 DIADHN c1cc(-c2noc(CN[C@H]3CCCC[C@@H]3c3ccccc3)n2)co1 ZINC000484634445 1049000560 /nfs/dbraw/zinc/00/05/60/1049000560.db2.gz CATXXTAOXPARBN-SJORKVTESA-N 0 3 323.396 4.146 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(CC)cn2)C1(CC)CC ZINC001191822285 1049006761 /nfs/dbraw/zinc/00/67/61/1049006761.db2.gz UFXFQHNQCCBSAQ-MSOLQXFVSA-N 0 3 304.478 4.060 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(OC(C)C)cc2)cn1 ZINC000194981592 1049007473 /nfs/dbraw/zinc/00/74/73/1049007473.db2.gz GVVXABSDHMDJIJ-GJZGRUSLSA-N 0 3 301.434 4.102 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@@H]4CCCO[C@@H]4C3)c2)cc1 ZINC001203811987 1049007667 /nfs/dbraw/zinc/00/76/67/1049007667.db2.gz ZYTCRMLBGGVGEV-GHTZIAJQSA-N 0 3 323.436 4.480 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC001203812782 1049008013 /nfs/dbraw/zinc/00/80/13/1049008013.db2.gz CHXGLYMPYLYILE-FQEVSTJZSA-N 0 3 311.425 4.478 20 0 DIADHN Cc1ccc(NC2CCN(Cc3ccncc3)CC2)cc1Cl ZINC000776781930 1049009639 /nfs/dbraw/zinc/00/96/39/1049009639.db2.gz BAGWGGCVWYYKSA-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN CCCCC1CCN(Cc2ccc(OC(C)=O)c(OC)c2)CC1 ZINC001203827823 1049012025 /nfs/dbraw/zinc/01/20/25/1049012025.db2.gz KXNGDVATEYSSJE-UHFFFAOYSA-N 0 3 319.445 4.023 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1cnc(-c3ccccc3)[nH]1)CCC2 ZINC001178285765 1049012421 /nfs/dbraw/zinc/01/24/21/1049012421.db2.gz SRJJNMVLYWUOTK-HNNXBMFYSA-N 0 3 324.453 4.009 20 0 DIADHN COc1cccc(CN2CCC(c3ccc(F)cc3F)CC2)c1 ZINC001203825454 1049012786 /nfs/dbraw/zinc/01/27/86/1049012786.db2.gz ORJCLYBUAUTQHC-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccc([C@@H](OC)[C@@H](C)NCc2ccc(C)cc2F)cc1 ZINC001178331831 1049016929 /nfs/dbraw/zinc/01/69/29/1049016929.db2.gz XOKFNTHJVABXNC-KUHUBIRLSA-N 0 3 317.404 4.009 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2csc(C3CC3)n2)CCO1 ZINC001178352334 1049018797 /nfs/dbraw/zinc/01/87/97/1049018797.db2.gz ZMLPXRNBUHWYIK-ZBFHGGJFSA-N 0 3 308.491 4.094 20 0 DIADHN CCC[C@H](O)CCNCc1c(Cl)cccc1SC(C)C ZINC001203891273 1049023323 /nfs/dbraw/zinc/02/33/23/1049023323.db2.gz ZJQVSODYWNJPMI-ZDUSSCGKSA-N 0 3 315.910 4.481 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3ccc(C)cc3)cc2)CC1 ZINC000776807291 1049025996 /nfs/dbraw/zinc/02/59/96/1049025996.db2.gz VXJXJMBHAXZICF-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN CC[C@@](C)(NC[C@H]1CCCC2(CCC2)O1)c1nc(C)cs1 ZINC001191969333 1049026457 /nfs/dbraw/zinc/02/64/57/1049026457.db2.gz NCWFBJNVEKPVHD-GDBMZVCRSA-N 0 3 308.491 4.158 20 0 DIADHN CC[C@H](NCc1cc(Cl)cc(Cl)c1OC)[C@H]1CCCO1 ZINC001178398945 1049026745 /nfs/dbraw/zinc/02/67/45/1049026745.db2.gz ZVGPYXAYXZRJIZ-UONOGXRCSA-N 0 3 318.244 4.049 20 0 DIADHN CC[C@@H](NCc1cc(Cl)cc(Cl)c1OC)[C@@H]1CCCO1 ZINC001178398944 1049027146 /nfs/dbraw/zinc/02/71/46/1049027146.db2.gz ZVGPYXAYXZRJIZ-KGLIPLIRSA-N 0 3 318.244 4.049 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3cnn(C(C)C)c32)ccc1F ZINC001178430332 1049028594 /nfs/dbraw/zinc/02/85/94/1049028594.db2.gz FMTWLFPCTGZKKE-QGZVFWFLSA-N 0 3 301.409 4.079 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)COC2)c1ccc2ncsc2c1 ZINC000776808890 1049031109 /nfs/dbraw/zinc/03/11/09/1049031109.db2.gz PLAJGIYKPRNNIO-GFCCVEGCSA-N 0 3 310.422 4.177 20 0 DIADHN CCOc1cncc(CN[C@@H](C)c2ccc3c(c2)CCCC3)c1 ZINC001178439529 1049032085 /nfs/dbraw/zinc/03/20/85/1049032085.db2.gz DMTJTBQIXREMPS-HNNXBMFYSA-N 0 3 310.441 4.210 20 0 DIADHN O=c1[nH]c2cc(F)ccc2cc1CN[C@@H]1CCCc2ccccc21 ZINC001203927742 1049034975 /nfs/dbraw/zinc/03/49/75/1049034975.db2.gz UTUKXLJTVCQUFF-GOSISDBHSA-N 0 3 322.383 4.247 20 0 DIADHN Cc1ccc(CN[C@@H](Cc2ccccc2)c2ccccn2)cc1 ZINC001203934815 1049035226 /nfs/dbraw/zinc/03/52/26/1049035226.db2.gz HBOYHFQWRBMOPA-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@]4(CCO4)C3)cc2)cc1 ZINC001203944877 1049040057 /nfs/dbraw/zinc/04/00/57/1049040057.db2.gz VLGQNDSOOZIFNA-FQEVSTJZSA-N 0 3 309.409 4.234 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC(c3cccs3)CC2)cc1 ZINC001203949138 1049040591 /nfs/dbraw/zinc/04/05/91/1049040591.db2.gz IDIUURDIRKESMY-UHFFFAOYSA-N 0 3 315.438 4.053 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@H]1CCO[C@H](C)C1 ZINC001178473288 1049042665 /nfs/dbraw/zinc/04/26/65/1049042665.db2.gz OYVROVKYWSREKM-OCCSQVGLSA-N 0 3 320.458 4.006 20 0 DIADHN CC1(C)CC2(CC(NCc3c(Cl)cccc3Cl)C2)CO1 ZINC001203952705 1049042828 /nfs/dbraw/zinc/04/28/28/1049042828.db2.gz BIWUSKPHUUIYLA-UHFFFAOYSA-N 0 3 314.256 4.431 20 0 DIADHN COc1cc(CNC/C=C\Cl)c(-c2ccccc2)cc1OC ZINC001203960417 1049043211 /nfs/dbraw/zinc/04/32/11/1049043211.db2.gz BNJGKIOZWYLNGY-TWGQIWQCSA-N 0 3 317.816 4.213 20 0 DIADHN O[C@H](CCc1ccccc1)CNCc1cc(Cl)ccc1Cl ZINC001178469567 1049043707 /nfs/dbraw/zinc/04/37/07/1049043707.db2.gz PXXUQNKLOHRJMC-MRXNPFEDSA-N 0 3 324.251 4.077 20 0 DIADHN FC(F)(F)[C@@H](CNCc1ccc(Cl)nc1)c1ccccc1 ZINC000776816832 1049044073 /nfs/dbraw/zinc/04/40/73/1049044073.db2.gz RQPDWNVNWLXOAR-ZDUSSCGKSA-N 0 3 314.738 4.171 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](c1ccccc1)C1CCC1 ZINC001192156767 1049046033 /nfs/dbraw/zinc/04/60/33/1049046033.db2.gz BOZDACBRCRRZCU-JXFKEZNVSA-N 0 3 316.489 4.010 20 0 DIADHN CSc1ccc(CNCc2ccc(CC(C)C)nc2)cc1 ZINC001178518847 1049050847 /nfs/dbraw/zinc/05/08/47/1049050847.db2.gz BLMMPIFNDQIVDU-UHFFFAOYSA-N 0 3 300.471 4.292 20 0 DIADHN FC[C@@H]1CN(Cc2ccc3ccccc3c2)C[C@H]1C(F)(F)F ZINC001203998223 1049057603 /nfs/dbraw/zinc/05/76/03/1049057603.db2.gz XKZBZHMSSRWSJH-HZPDHXFCSA-N 0 3 311.322 4.420 20 0 DIADHN O[C@]1(C(F)(F)F)CCCCN(Cc2ccc3ccccc3c2)C1 ZINC001203997610 1049058749 /nfs/dbraw/zinc/05/87/49/1049058749.db2.gz BFIKPJSHXDMNEO-QGZVFWFLSA-N 0 3 323.358 4.119 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2csc(C(F)(F)F)n2)[C@@H]1C ZINC001178594736 1049059911 /nfs/dbraw/zinc/05/99/11/1049059911.db2.gz JTWZDKUEUGIUIT-MXWKQRLJSA-N 0 3 306.397 4.324 20 0 DIADHN C[C@@H]1CCC[C@H]1CNCc1nc(Cl)ccc1Br ZINC001178558229 1049061498 /nfs/dbraw/zinc/06/14/98/1049061498.db2.gz ZSNLFNCZYHXQNF-ZJUUUORDSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@H]1CCC[C@@H]1CNCc1nc(Cl)ccc1Br ZINC001178558228 1049061857 /nfs/dbraw/zinc/06/18/57/1049061857.db2.gz ZSNLFNCZYHXQNF-VHSXEESVSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@H]1CCC[C@@H]1CNCc1ncc(Br)cc1Cl ZINC001178557716 1049062043 /nfs/dbraw/zinc/06/20/43/1049062043.db2.gz SHGIVEMTWXBPNH-VHSXEESVSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@H]1CCC[C@H]1CNCc1nc(Cl)ccc1Br ZINC001178558227 1049062416 /nfs/dbraw/zinc/06/24/16/1049062416.db2.gz ZSNLFNCZYHXQNF-UWVGGRQHSA-N 0 3 317.658 4.023 20 0 DIADHN COC[C@@H](C)N(Cc1ccc(C)s1)Cc1cccc(OC)c1 ZINC001204033505 1049064734 /nfs/dbraw/zinc/06/47/34/1049064734.db2.gz DEOHEPJVJDIXTH-CQSZACIVSA-N 0 3 319.470 4.102 20 0 DIADHN COc1cccc2c1CCC[C@@H](NCc1c(F)cccc1F)C2 ZINC001204028551 1049065409 /nfs/dbraw/zinc/06/54/09/1049065409.db2.gz QDIKNNBHZIQZCQ-CQSZACIVSA-N 0 3 317.379 4.011 20 0 DIADHN c1[nH]c2ccccc2c1CN1CCSC[C@@H]1c1ccccc1 ZINC001204041876 1049068725 /nfs/dbraw/zinc/06/87/25/1049068725.db2.gz DTJZDHWQSRRXHA-LJQANCHMSA-N 0 3 308.450 4.458 20 0 DIADHN CSc1ccc(CNCCCOc2cccc(C)c2C)o1 ZINC001178624478 1049069433 /nfs/dbraw/zinc/06/94/33/1049069433.db2.gz NFBGCELZBVRAPE-UHFFFAOYSA-N 0 3 305.443 4.177 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CNCCC(F)F ZINC001204039011 1049069590 /nfs/dbraw/zinc/06/95/90/1049069590.db2.gz RDWQWTITZZMMPM-LBPRGKRZSA-N 0 3 302.384 4.053 20 0 DIADHN CC[C@H]1C[C@@H](CN2CCc3[nH]c4ccc(C)cc4c3C2)CCO1 ZINC000780758812 1049070024 /nfs/dbraw/zinc/07/00/24/1049070024.db2.gz WSNIIUZGSKZFLI-HOTGVXAUSA-N 0 3 312.457 4.040 20 0 DIADHN COc1cc(CNCc2ccc3ncccc3c2)ccc1Cl ZINC001178640161 1049070814 /nfs/dbraw/zinc/07/08/14/1049070814.db2.gz NMFIASHTVBHHQC-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(OCc3ccccc3)c2)C[C@@H]1C ZINC001192262288 1049071802 /nfs/dbraw/zinc/07/18/02/1049071802.db2.gz SWYPDNRNFPQUKF-SJORKVTESA-N 0 3 310.441 4.139 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(F)cc2)cc1OC ZINC000078483831 1049074892 /nfs/dbraw/zinc/07/48/92/1049074892.db2.gz NESDXRIHQGURDW-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2C[C@H]2Cc2ccccc2)c(F)c1 ZINC001204063416 1049076934 /nfs/dbraw/zinc/07/69/34/1049076934.db2.gz HFPXNZYIMDUABR-CVEARBPZSA-N 0 3 301.380 4.242 20 0 DIADHN Cc1ccnc(CNCC(C)(C)C2CCC2)c1Br ZINC001178737777 1049081541 /nfs/dbraw/zinc/08/15/41/1049081541.db2.gz ZOQXHHDICJAHLE-UHFFFAOYSA-N 0 3 311.267 4.068 20 0 DIADHN C[C@]1(CNCc2ccccc2OCC(F)F)CC1(Cl)Cl ZINC001178748013 1049081935 /nfs/dbraw/zinc/08/19/35/1049081935.db2.gz RCNRFVYDDFQEOT-CYBMUJFWSA-N 0 3 324.198 4.004 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCN(C)c1ccccc1 ZINC001192302397 1049082101 /nfs/dbraw/zinc/08/21/01/1049082101.db2.gz JGRRHWXDNUJJMF-CVEARBPZSA-N 0 3 312.432 4.270 20 0 DIADHN Cc1cccc([C@H](O)CN2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)c1 ZINC001192302763 1049083397 /nfs/dbraw/zinc/08/33/97/1049083397.db2.gz LNYXSWMCTYUQPE-QEEYODRMSA-N 0 3 313.416 4.175 20 0 DIADHN c1ccc2c(CN3CCOC[C@H]3C3CCCCC3)ccnc2c1 ZINC001204090714 1049084667 /nfs/dbraw/zinc/08/46/67/1049084667.db2.gz QZAIZCJEOBICJL-FQEVSTJZSA-N 0 3 310.441 4.016 20 0 DIADHN Cc1cc(CCNCc2nc3cc(F)ccc3o2)ccc1Cl ZINC001178791363 1049088581 /nfs/dbraw/zinc/08/85/81/1049088581.db2.gz ZABZUMXUTPSBOF-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN CCCOc1cccc(CN(C)Cc2cccc(N(C)C)c2)c1 ZINC001192369694 1049088836 /nfs/dbraw/zinc/08/88/36/1049088836.db2.gz MIGJJCOVBCJYFZ-UHFFFAOYSA-N 0 3 312.457 4.173 20 0 DIADHN CC(=O)c1cc(Nc2cccc(CN3CCCCC3)c2)ccc1O ZINC001204118765 1049089110 /nfs/dbraw/zinc/08/91/10/1049089110.db2.gz QQQAQSYNKUZDPP-UHFFFAOYSA-N 0 3 324.424 4.324 20 0 DIADHN CCOC(OCC)[C@H](C)NC/C=C/c1c(C)cc(C)cc1C ZINC001204135855 1049090173 /nfs/dbraw/zinc/09/01/73/1049090173.db2.gz IQCSXWNWUSHSJX-FVNWOWOISA-N 0 3 305.462 4.002 20 0 DIADHN c1nn(CC2CCC2)cc1CN1CCC(C2CCCC2)CC1 ZINC001204153726 1049098254 /nfs/dbraw/zinc/09/82/54/1049098254.db2.gz JNFXDBKUPILDQO-UHFFFAOYSA-N 0 3 301.478 4.085 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(C(F)(F)F)cc1 ZINC000173347567 1049098718 /nfs/dbraw/zinc/09/87/18/1049098718.db2.gz BYYOATFLJFJMES-MZPVMMEZSA-N 0 3 321.342 4.014 20 0 DIADHN CC[C@H](CNCc1cc(Cl)c(OC(C)C)cc1Cl)OC ZINC001178855919 1049099912 /nfs/dbraw/zinc/09/99/12/1049099912.db2.gz SZYABTKGPKFEAK-GFCCVEGCSA-N 0 3 320.260 4.295 20 0 DIADHN Cc1ccnc(CNCC2(C)CCCCC2)c1Br ZINC001178844833 1049100018 /nfs/dbraw/zinc/10/00/18/1049100018.db2.gz PJRJOKJXANFUOK-UHFFFAOYSA-N 0 3 311.267 4.213 20 0 DIADHN Cc1ccoc1CNCCOc1ccc(Cl)c(Cl)c1 ZINC001178818126 1049101373 /nfs/dbraw/zinc/10/13/73/1049101373.db2.gz WLMBBGYETGHNBI-UHFFFAOYSA-N 0 3 300.185 4.063 20 0 DIADHN CC(C)c1ccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)cc1 ZINC001204168910 1049102283 /nfs/dbraw/zinc/10/22/83/1049102283.db2.gz ADYYMSQYLYALQC-VQTJNVASSA-N 0 3 318.480 4.208 20 0 DIADHN CC(C)c1ccc(CN2CCCC[C@](O)(C(F)(F)F)C2)cc1 ZINC001204167752 1049102413 /nfs/dbraw/zinc/10/24/13/1049102413.db2.gz YLSXTHFKNCBZKR-MRXNPFEDSA-N 0 3 315.379 4.089 20 0 DIADHN CC(=O)C1(c2ccccc2)CCN(Cc2ccc(C)cc2)CC1 ZINC001204172242 1049104828 /nfs/dbraw/zinc/10/48/28/1049104828.db2.gz ZVXZDXDKMGEYFX-UHFFFAOYSA-N 0 3 307.437 4.118 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856780579 1049106294 /nfs/dbraw/zinc/10/62/94/1049106294.db2.gz KEHYKTZGLHYYCV-JTQLQIEISA-N 0 3 324.774 4.220 20 0 DIADHN CCOc1cc(CN(CC)Cc2cccc(F)c2)ccc1OC ZINC001204189798 1049106765 /nfs/dbraw/zinc/10/67/65/1049106765.db2.gz CWWZGRCNPVXQQT-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN CC[C@H]1CCCC[C@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623210 1049108512 /nfs/dbraw/zinc/10/85/12/1049108512.db2.gz NGQMXTYMFQCQJV-DOTOQJQBSA-N 0 3 313.445 4.482 20 0 DIADHN CCCC[C@H](CC)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623793 1049108523 /nfs/dbraw/zinc/10/85/23/1049108523.db2.gz OZJFATVDKAOTST-HNNXBMFYSA-N 0 3 301.434 4.482 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CCC1)c1ccc2c(c1)CCO2 ZINC000776897757 1049110010 /nfs/dbraw/zinc/11/00/10/1049110010.db2.gz WQAFOPHXZTXUCR-CQSZACIVSA-N 0 3 311.400 4.491 20 0 DIADHN C[C@H]1CN(Cc2cnn(C3CCC3)c2)CC[C@H]1c1ccccc1 ZINC001204206021 1049111045 /nfs/dbraw/zinc/11/10/45/1049111045.db2.gz YFGFOSXBSAFIGG-OXJNMPFZSA-N 0 3 309.457 4.234 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@H](Cc2ccccc2)[C@H]1C ZINC001204213456 1049111220 /nfs/dbraw/zinc/11/12/20/1049111220.db2.gz RBHGQSTWKRKNFE-UKRRQHHQSA-N 0 3 317.864 4.079 20 0 DIADHN FC(F)(F)c1nc(CNC[C@@H]2CCC[C@H]2C2CC2)cs1 ZINC001178950104 1049112653 /nfs/dbraw/zinc/11/26/53/1049112653.db2.gz LDBFNXBTEVQAOB-JQWIXIFHSA-N 0 3 304.381 4.078 20 0 DIADHN Cc1ccc(CNCc2cnn(CC3CCC3)c2)c2ccccc12 ZINC001178959529 1049114752 /nfs/dbraw/zinc/11/47/52/1049114752.db2.gz ZHBWWLUGBUWWRF-UHFFFAOYSA-N 0 3 319.452 4.435 20 0 DIADHN CC[C@H]1CCC[C@@]1(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904625788 1049115939 /nfs/dbraw/zinc/11/59/39/1049115939.db2.gz QPOAYJJEFGWXKM-QFBILLFUSA-N 0 3 313.445 4.266 20 0 DIADHN CC[C@@H]1CCC[C@@]1(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904625789 1049116185 /nfs/dbraw/zinc/11/61/85/1049116185.db2.gz QPOAYJJEFGWXKM-VQIMIIECSA-N 0 3 313.445 4.266 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@H](C)c2cc(Cl)ccc2F)c1 ZINC001192575861 1049117758 /nfs/dbraw/zinc/11/77/58/1049117758.db2.gz WXJSLWUXTWUAQE-FZKQIMNGSA-N 0 3 321.823 4.480 20 0 DIADHN CC[C@H](N[C@H](c1ccccc1)C1CC1)C(=O)Nc1ccc(C)cc1 ZINC001192584856 1049118765 /nfs/dbraw/zinc/11/87/65/1049118765.db2.gz LMXUIEQEKAKSBP-VQTJNVASSA-N 0 3 322.452 4.453 20 0 DIADHN C[C@@H]1Cc2cc(CNC3(c4ccc(Cl)cc4)CC3)ccc2O1 ZINC001178986080 1049119306 /nfs/dbraw/zinc/11/93/06/1049119306.db2.gz GOBNAAPKRXLVRA-CYBMUJFWSA-N 0 3 313.828 4.442 20 0 DIADHN COCCC1(CN[C@H]2C[C@@]2(F)c2ccccc2)CCCCC1 ZINC001178999126 1049120413 /nfs/dbraw/zinc/12/04/13/1049120413.db2.gz XTNKRQXAAASKQP-PKOBYXMFSA-N 0 3 305.437 4.200 20 0 DIADHN CC(C)N(Cc1ccoc1)C[C@H](O)c1ccc2ccccc2c1 ZINC001204244190 1049121927 /nfs/dbraw/zinc/12/19/27/1049121927.db2.gz TVBGODMUCFWREA-FQEVSTJZSA-N 0 3 309.409 4.377 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1ccc(F)c(Cl)c1Cl ZINC001179085980 1049128424 /nfs/dbraw/zinc/12/84/24/1049128424.db2.gz KBJCJZHPABAAQL-VXGBXAGGSA-N 0 3 306.208 4.180 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000775542098 1049128568 /nfs/dbraw/zinc/12/85/68/1049128568.db2.gz HWNBHGRHWBCFQN-RTBURBONSA-N 0 3 319.395 4.294 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1csc(CCc2ccccc2)n1 ZINC001192689789 1049130795 /nfs/dbraw/zinc/13/07/95/1049130795.db2.gz LGNZGPDNCYKCIZ-HUUCEWRRSA-N 0 3 302.487 4.453 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775543086 1049131150 /nfs/dbraw/zinc/13/11/50/1049131150.db2.gz RHUSJHPJAVOSJT-ZWKOTPCHSA-N 0 3 323.358 4.124 20 0 DIADHN CCCCCCOc1ccccc1CN1CCOC[C@H](C)C1 ZINC001204298549 1049131558 /nfs/dbraw/zinc/13/15/58/1049131558.db2.gz SZAFKBDCAVHDBB-QGZVFWFLSA-N 0 3 305.462 4.114 20 0 DIADHN CC[C@H](C)CCNCc1nc(-c2cccc(OC)c2)cs1 ZINC001179105054 1049132292 /nfs/dbraw/zinc/13/22/92/1049132292.db2.gz FHBAYBFDEUDUSK-ZDUSSCGKSA-N 0 3 304.459 4.345 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H](C(C)C)CC2)[nH]c1C(C)C ZINC001204298621 1049132537 /nfs/dbraw/zinc/13/25/37/1049132537.db2.gz JAVIDTJJMCDRIZ-HNNXBMFYSA-N 0 3 320.477 4.183 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@H]2OCC[C@H]2C1 ZINC001204294522 1049132957 /nfs/dbraw/zinc/13/29/57/1049132957.db2.gz RVFONTIVJUISHR-AZUAARDMSA-N 0 3 317.473 4.257 20 0 DIADHN O[C@H](CNCc1ccsc1Cl)c1cccc2ccccc21 ZINC001179123569 1049133577 /nfs/dbraw/zinc/13/35/77/1049133577.db2.gz VHEODJRTIFZAMN-MRXNPFEDSA-N 0 3 317.841 4.378 20 0 DIADHN Cc1ccc([C@@H](C)NC(=O)c2cccc(CN3CCCC3)c2)cc1 ZINC000139259056 1049133556 /nfs/dbraw/zinc/13/35/56/1049133556.db2.gz WFPWWAHQDFAMOA-QGZVFWFLSA-N 0 3 322.452 4.082 20 0 DIADHN CCCOc1ccc(CN2CCC3(CC(F)(F)C3)CC2)cc1 ZINC001204304586 1049133846 /nfs/dbraw/zinc/13/38/46/1049133846.db2.gz IAVTUNMBYKKMEU-UHFFFAOYSA-N 0 3 309.400 4.487 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@](C)(O)[C@@H](C)C1 ZINC001204298979 1049134137 /nfs/dbraw/zinc/13/41/37/1049134137.db2.gz YXZXVCIFFPLRFN-FXAWDEMLSA-N 0 3 319.489 4.239 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1c(C)cc(OC)nc1C ZINC001204299364 1049134732 /nfs/dbraw/zinc/13/47/32/1049134732.db2.gz WFGPODVYPIZIMX-IBGZPJMESA-N 0 3 310.441 4.216 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(Cc2cccc3c2OCC3)C1 ZINC001204305258 1049136783 /nfs/dbraw/zinc/13/67/83/1049136783.db2.gz AQFBZSMHSUZUCJ-AUUYWEPGSA-N 0 3 311.400 4.344 20 0 DIADHN Fc1ccc2c(c1)C[C@@H]1[C@@H](NCc3ccc(F)cc3Cl)[C@H]21 ZINC001179211078 1049140994 /nfs/dbraw/zinc/14/09/94/1049140994.db2.gz REVLYZHDMCAYCE-USXIJHARSA-N 0 3 305.755 4.046 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CNCC1=CCCC1 ZINC001179200845 1049141160 /nfs/dbraw/zinc/14/11/60/1049141160.db2.gz XYKIQCZBYSZHIW-UHFFFAOYSA-N 0 3 309.457 4.370 20 0 DIADHN COc1cccc(-c2csc(CNC3(CC(C)C)CC3)n2)c1 ZINC001179215357 1049141260 /nfs/dbraw/zinc/14/12/60/1049141260.db2.gz BQSIWQALCNFOBO-UHFFFAOYSA-N 0 3 316.470 4.487 20 0 DIADHN Cn1cc(CN2CCSC[C@H]2c2ccccc2)c2ccccc21 ZINC001204323756 1049142472 /nfs/dbraw/zinc/14/24/72/1049142472.db2.gz GCNVJLMZYWECQX-FQEVSTJZSA-N 0 3 322.477 4.468 20 0 DIADHN Cn1cc(CN2CCCC3(CC(F)C3)CC2)c2ccccc21 ZINC001204323820 1049142812 /nfs/dbraw/zinc/14/28/12/1049142812.db2.gz HLUOCSZLLHNWBK-UHFFFAOYSA-N 0 3 300.421 4.283 20 0 DIADHN COCCN(Cc1ccccc1OCc1ccccc1)C(C)C ZINC001204331257 1049145195 /nfs/dbraw/zinc/14/51/95/1049145195.db2.gz CXEDMHWDONOLKC-UHFFFAOYSA-N 0 3 313.441 4.122 20 0 DIADHN C[C@@]1(CF)CCN(Cc2ccccc2OCc2ccccc2)C1 ZINC001204330918 1049145312 /nfs/dbraw/zinc/14/53/12/1049145312.db2.gz LTFZKZPBICFYBV-FQEVSTJZSA-N 0 3 313.416 4.447 20 0 DIADHN C[C@]1(CF)CCN(Cc2ccccc2OCc2ccccc2)C1 ZINC001204330919 1049145394 /nfs/dbraw/zinc/14/53/94/1049145394.db2.gz LTFZKZPBICFYBV-HXUWFJFHSA-N 0 3 313.416 4.447 20 0 DIADHN C[C@@H](NCc1nccn1-c1ccccc1)C(C)(C)c1ccccc1 ZINC001192900281 1049147304 /nfs/dbraw/zinc/14/73/04/1049147304.db2.gz BESYELZVVPGNKJ-QGZVFWFLSA-N 0 3 319.452 4.328 20 0 DIADHN CCCc1noc(C2CCN(Cc3ccc(C)c(C)c3)CC2)n1 ZINC001204355907 1049149844 /nfs/dbraw/zinc/14/98/44/1049149844.db2.gz XYWSPVOJWAQOBN-UHFFFAOYSA-N 0 3 313.445 4.019 20 0 DIADHN C[C@@H](CC1CCC1)NCc1nc2cc(Br)ccc2o1 ZINC001179285591 1049150527 /nfs/dbraw/zinc/15/05/27/1049150527.db2.gz NEJLFHVCRZOVSK-JTQLQIEISA-N 0 3 323.234 4.259 20 0 DIADHN COc1ccc(CNCc2ccnc(-c3ccccc3)c2)cc1 ZINC001179299930 1049150729 /nfs/dbraw/zinc/15/07/29/1049150729.db2.gz ZNEZCNLWOFVXED-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN CCOc1ccc(CN(Cc2ccccc2)C2CC2)cc1OC ZINC001204362965 1049150957 /nfs/dbraw/zinc/15/09/57/1049150957.db2.gz UQDOAIIXSRMYEP-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN CCOc1ccc(CN(C)C/C=C/c2ccccc2)cc1OC ZINC001204362333 1049151087 /nfs/dbraw/zinc/15/10/87/1049151087.db2.gz MPELOLVYKHOKGQ-DHZHZOJOSA-N 0 3 311.425 4.239 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@H](Oc3cccnc3)C2)cc1 ZINC001204398244 1049155709 /nfs/dbraw/zinc/15/57/09/1049155709.db2.gz WKQMPOPRFCTRNT-IBGZPJMESA-N 0 3 310.441 4.032 20 0 DIADHN C[C@]1(CNCc2csc(-c3ccc(F)cc3)n2)C[C@H]2C[C@H]2C1 ZINC001179316370 1049155806 /nfs/dbraw/zinc/15/58/06/1049155806.db2.gz UGFKOWQOWXDYPI-UOIKSKOESA-N 0 3 316.445 4.475 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3ccc(Cl)cc32)cnc1F ZINC001179343748 1049158930 /nfs/dbraw/zinc/15/89/30/1049158930.db2.gz MMDGQYURRGIPFA-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN FC[C@@H](NC1CCc2ccccc2CC1)c1ccc(F)cc1 ZINC001179401583 1049159351 /nfs/dbraw/zinc/15/93/51/1049159351.db2.gz HOSOICZKFUCDIL-LJQANCHMSA-N 0 3 301.380 4.374 20 0 DIADHN Brc1ccc(CN2CCC[C@H]2C2CCCCC2)nc1 ZINC000485017262 1049160067 /nfs/dbraw/zinc/16/00/67/1049160067.db2.gz LYLCJBHHIJLUSY-INIZCTEOSA-N 0 3 323.278 4.389 20 0 DIADHN CC(C)c1nc(CNCC(C)(C)C(C)(F)F)c2ccccn21 ZINC001179369885 1049160948 /nfs/dbraw/zinc/16/09/48/1049160948.db2.gz NLTWPGWSLGFONR-UHFFFAOYSA-N 0 3 309.404 4.229 20 0 DIADHN Fc1ccc(CN2CCC[C@@H]2Cc2ccccn2)c(Cl)c1 ZINC001204436258 1049162385 /nfs/dbraw/zinc/16/23/85/1049162385.db2.gz BFMFUPPFOLSIRH-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN C[C@H]1CN(CCCCc2cccc(C(F)(F)F)c2)[C@@H](C)CO1 ZINC001204430347 1049162646 /nfs/dbraw/zinc/16/26/46/1049162646.db2.gz HWSLMAGPLHENHJ-KBPBESRZSA-N 0 3 315.379 4.137 20 0 DIADHN Clc1cccc(C2(CNCc3nccn3C3CC3)CCC2)c1 ZINC001179382046 1049162906 /nfs/dbraw/zinc/16/29/06/1049162906.db2.gz LYAPQIYJFUGBFV-UHFFFAOYSA-N 0 3 315.848 4.083 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001204446403 1049163832 /nfs/dbraw/zinc/16/38/32/1049163832.db2.gz JUSWRKNCPNCTOG-ZWKOTPCHSA-N 0 3 309.457 4.111 20 0 DIADHN Cc1ccc(CNC[C@@]2(C)OCCc3ccccc32)c(Cl)c1 ZINC001179447774 1049166343 /nfs/dbraw/zinc/16/63/43/1049166343.db2.gz RAPKNXADZOUHFL-LJQANCHMSA-N 0 3 315.844 4.226 20 0 DIADHN CC(C)Oc1ccc(CN2CCC3(CCC(=O)CC3)CC2)cc1 ZINC001204457223 1049166469 /nfs/dbraw/zinc/16/64/69/1049166469.db2.gz FNFBWLNKAHRVSN-UHFFFAOYSA-N 0 3 315.457 4.199 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c1 ZINC001179425903 1049167689 /nfs/dbraw/zinc/16/76/89/1049167689.db2.gz QQNRUWUAUZJUHY-HUUCEWRRSA-N 0 3 317.326 4.211 20 0 DIADHN CN1CCN(CC/C=C\c2ccccc2)[C@H](c2ccccc2)C1 ZINC001193021605 1049167760 /nfs/dbraw/zinc/16/77/60/1049167760.db2.gz KJGGSCZAMXISQW-UOMAQRANSA-N 0 3 306.453 4.079 20 0 DIADHN CN1CCN(CC/C=C\c2ccccc2)[C@@H](c2ccccc2)C1 ZINC001193021604 1049168085 /nfs/dbraw/zinc/16/80/85/1049168085.db2.gz KJGGSCZAMXISQW-PRUHCIRGSA-N 0 3 306.453 4.079 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCc2ccns2)o1 ZINC001179454075 1049168792 /nfs/dbraw/zinc/16/87/92/1049168792.db2.gz VYDZRHGNYUECFB-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1CC[C@H](c2ccccn2)C1 ZINC001204471332 1049171478 /nfs/dbraw/zinc/17/14/78/1049171478.db2.gz GBGBDCUADWNMBJ-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NC[C@H]2CCCCO2)cc1 ZINC001193070473 1049171751 /nfs/dbraw/zinc/17/17/51/1049171751.db2.gz QXPZVKRRNRGHGC-HUUCEWRRSA-N 0 3 301.352 4.147 20 0 DIADHN Clc1ccc2nc(NCc3c[nH]c(-c4ccccc4)n3)[nH]c2c1 ZINC001179516216 1049172346 /nfs/dbraw/zinc/17/23/46/1049172346.db2.gz TWZUBZWFCXXTTQ-UHFFFAOYSA-N 0 3 323.787 4.219 20 0 DIADHN Clc1ccc2[nH]c(NCc3cnc(-c4ccccc4)[nH]3)nc2c1 ZINC001179516216 1049172357 /nfs/dbraw/zinc/17/23/57/1049172357.db2.gz TWZUBZWFCXXTTQ-UHFFFAOYSA-N 0 3 323.787 4.219 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccc(C(C)(C)O)cc1 ZINC000775568841 1049173198 /nfs/dbraw/zinc/17/31/98/1049173198.db2.gz LRUDHLKMFZRCFE-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN Cc1cc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)oc1C ZINC001204542076 1049185088 /nfs/dbraw/zinc/18/50/88/1049185088.db2.gz RLFCDRVQZROGNS-MRXNPFEDSA-N 0 3 311.425 4.479 20 0 DIADHN Cc1cc(CN(CCCCCCO)Cc2ccccc2)oc1C ZINC001204539829 1049185700 /nfs/dbraw/zinc/18/57/00/1049185700.db2.gz RHABXFYSWQYSEH-UHFFFAOYSA-N 0 3 315.457 4.451 20 0 DIADHN OC[C@@H](CCc1ccccc1)NCc1ccc(Cl)cc1Cl ZINC001179616768 1049186104 /nfs/dbraw/zinc/18/61/04/1049186104.db2.gz WFHXWLHBYZYTBT-MRXNPFEDSA-N 0 3 324.251 4.077 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1ccc(Sc2ccccn2)o1 ZINC000568789123 1049188433 /nfs/dbraw/zinc/18/84/33/1049188433.db2.gz REXYQSCIXJPRSX-ZDUSSCGKSA-N 0 3 322.499 4.399 20 0 DIADHN COc1cc(CN2CC[C@@H](c3cccc(Cl)c3)C2)ccc1F ZINC001204558294 1049190723 /nfs/dbraw/zinc/19/07/23/1049190723.db2.gz JOKALGHLQILWFX-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3cc(Cl)ccc3Cl)C[C@@H]21 ZINC001204567312 1049192582 /nfs/dbraw/zinc/19/25/82/1049192582.db2.gz QZVDCEPCUGCEJD-DIOULYMOSA-N 0 3 314.256 4.240 20 0 DIADHN Cc1c(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)cnn1C ZINC001204573254 1049192660 /nfs/dbraw/zinc/19/26/60/1049192660.db2.gz AJQYVDCWFINXTC-MRXNPFEDSA-N 0 3 323.362 4.084 20 0 DIADHN CC/C=C/CCN1CCN(C(=O)OC(C)(C)C)C[C@@H]1CCC ZINC001193283222 1049194741 /nfs/dbraw/zinc/19/47/41/1049194741.db2.gz QJVRNOZVLBEZJA-FDMDGMSGSA-N 0 3 310.482 4.064 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(C)[C@@H](CCO)c1ccccc1 ZINC000339006537 1049196783 /nfs/dbraw/zinc/19/67/83/1049196783.db2.gz PRUWZFBCRHFPQF-FQEVSTJZSA-N 0 3 312.457 4.069 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@H]2C(C)(C)C)cc(Cl)c1[O-] ZINC001204609274 1049198776 /nfs/dbraw/zinc/19/87/76/1049198776.db2.gz RHQSDLHTSZIRJB-HNNXBMFYSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@H]2C(C)(C)C)cc(Cl)c1[O-] ZINC001204609274 1049198778 /nfs/dbraw/zinc/19/87/78/1049198778.db2.gz RHQSDLHTSZIRJB-HNNXBMFYSA-N 0 3 311.853 4.455 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC001179768582 1049201406 /nfs/dbraw/zinc/20/14/06/1049201406.db2.gz SCMWMOCYHYXUAF-OUJBWJOFSA-N 0 3 301.764 4.220 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@@H]2CCCC(C)(C)C2)cc1 ZINC001204617561 1049203149 /nfs/dbraw/zinc/20/31/49/1049203149.db2.gz BBYFDOMEYYSOJI-MRXNPFEDSA-N 0 3 302.462 4.082 20 0 DIADHN CC[C@@H]1CCC[C@@H](CNCc2ncccc2Br)C1 ZINC001179793201 1049206921 /nfs/dbraw/zinc/20/69/21/1049206921.db2.gz HESZRLXOMHULCQ-CHWSQXEVSA-N 0 3 311.267 4.150 20 0 DIADHN CN(C)c1cc(CN2CCCC3(CCCCC3)CC2)ccn1 ZINC001193438125 1049207224 /nfs/dbraw/zinc/20/72/24/1049207224.db2.gz POVNCAZUXSCLPX-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN CC[C@@H](CNCc1ncccc1Br)c1ccccc1 ZINC001179793656 1049207677 /nfs/dbraw/zinc/20/76/77/1049207677.db2.gz MLWHZQIFZOBZFJ-ZDUSSCGKSA-N 0 3 319.246 4.128 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000485228666 1049209484 /nfs/dbraw/zinc/20/94/84/1049209484.db2.gz HBIKXZDOUWJFPC-KRWDZBQOSA-N 0 3 314.404 4.204 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@@]3(CCOC3)Oc3ccccc32)c(C)c1 ZINC001179834804 1049212246 /nfs/dbraw/zinc/21/22/46/1049212246.db2.gz VSPNERYARXRPSJ-TZIWHRDSSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1c(C)c(C)c(CN[C@](C)(CO)c2ccccc2)c(C)c1C ZINC001193507798 1049219089 /nfs/dbraw/zinc/21/90/89/1049219089.db2.gz KSTUVHBDCRDIIZ-OAQYLSRUSA-N 0 3 311.469 4.226 20 0 DIADHN Fc1ccc([C@@H](NCc2ccnc(F)c2)C2CCCC2)cc1 ZINC000858115159 1049219285 /nfs/dbraw/zinc/21/92/85/1049219285.db2.gz FRZBOMVLUPYURR-SFHVURJKSA-N 0 3 302.368 4.381 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H](C(C)C)C3)co2)c1 ZINC001193507273 1049220302 /nfs/dbraw/zinc/22/03/02/1049220302.db2.gz IREZDRZEEMCDEF-MRXNPFEDSA-N 0 3 314.429 4.218 20 0 DIADHN C[C@H]1CN(Cc2cc3ccc(F)cc3[nH]c2=O)[C@H]1c1ccccc1 ZINC001204680501 1049221989 /nfs/dbraw/zinc/22/19/89/1049221989.db2.gz DJLDJETZMZTJAQ-ORAYPTAESA-N 0 3 322.383 4.273 20 0 DIADHN Cc1cc(CNCCC(C)(F)F)c(Br)cc1F ZINC000858171148 1049224474 /nfs/dbraw/zinc/22/44/74/1049224474.db2.gz VIUNUARBPNHYGC-UHFFFAOYSA-N 0 3 310.157 4.032 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(Cc2cccn2C2CC2)CC1 ZINC001179879120 1049224588 /nfs/dbraw/zinc/22/45/88/1049224588.db2.gz WPCTXUJQEOJXBL-OAQYLSRUSA-N 0 3 323.484 4.092 20 0 DIADHN COc1cccc(-c2nc(CN3CCCCC[C@@H]3C)co2)c1 ZINC001193574065 1049225588 /nfs/dbraw/zinc/22/55/88/1049225588.db2.gz DDPIQJXRLAKPCC-AWEZNQCLSA-N 0 3 300.402 4.115 20 0 DIADHN CO[C@@H](CN[C@H](CC1CCC1)c1ccccc1)C(F)(F)F ZINC000777006856 1049226564 /nfs/dbraw/zinc/22/65/64/1049226564.db2.gz KAMFYURVVDTSNL-CABCVRRESA-N 0 3 301.352 4.085 20 0 DIADHN Clc1cccc(-c2ccc(CN3CC[C@]4(CCCO4)C3)o2)c1 ZINC001204692867 1049227221 /nfs/dbraw/zinc/22/72/21/1049227221.db2.gz CDBYEVANZGWWQT-GOSISDBHSA-N 0 3 317.816 4.355 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204695622 1049229565 /nfs/dbraw/zinc/22/95/65/1049229565.db2.gz WZWJSQLSXDUIIB-HNNXBMFYSA-N 0 3 305.805 4.211 20 0 DIADHN Cc1ccc(CNCc2ccccc2-n2ccnc2)cc1Cl ZINC000195438948 1049229641 /nfs/dbraw/zinc/22/96/41/1049229641.db2.gz HSNICSNPVAHOMT-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000858250784 1049232122 /nfs/dbraw/zinc/23/21/22/1049232122.db2.gz HOJKKZUXSYHCBN-ZDUSSCGKSA-N 0 3 316.832 4.174 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cn(C(C)C)nc2C)C1(CC)CC ZINC001179951534 1049235535 /nfs/dbraw/zinc/23/55/35/1049235535.db2.gz KMZWEDIIBNKUAO-MSOLQXFVSA-N 0 3 321.509 4.188 20 0 DIADHN Cc1ncccc1CNCc1ccc(SC(F)(F)F)cc1 ZINC000858273954 1049235813 /nfs/dbraw/zinc/23/58/13/1049235813.db2.gz SNUKEIOBFQNTCZ-UHFFFAOYSA-N 0 3 312.360 4.292 20 0 DIADHN COc1ccc(CN(CC2CCCCC2)C2CC2)c(F)c1OC ZINC001204714022 1049237524 /nfs/dbraw/zinc/23/75/24/1049237524.db2.gz LACRLAWZUPMIOB-UHFFFAOYSA-N 0 3 321.436 4.388 20 0 DIADHN Cc1csc(CNCCc2c[nH]c3cc(F)c(Cl)cc23)n1 ZINC000858315780 1049239227 /nfs/dbraw/zinc/23/92/27/1049239227.db2.gz ASZQHEDXKOSXJZ-UHFFFAOYSA-N 0 3 323.824 4.058 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@H](c1ccco1)N(C)C ZINC000195615628 1049241054 /nfs/dbraw/zinc/24/10/54/1049241054.db2.gz WZUYSLOOHFWJLQ-GOEBONIOSA-N 0 3 312.413 4.288 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@@H](C)c1ccns1 ZINC000858318217 1049241316 /nfs/dbraw/zinc/24/13/16/1049241316.db2.gz MMFHMLJUTLTUQT-MMRAYRKESA-N 0 3 324.396 4.499 20 0 DIADHN CN(Cc1cccc2c1OCC2)Cc1cccc2ccccc21 ZINC001179970443 1049242264 /nfs/dbraw/zinc/24/22/64/1049242264.db2.gz ZONXXTGGPSHVMZ-UHFFFAOYSA-N 0 3 303.405 4.407 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H]1CCc2ccc(Cl)cc21 ZINC000858321294 1049243135 /nfs/dbraw/zinc/24/31/35/1049243135.db2.gz YAEOBNKYKNZKAG-INIZCTEOSA-N 0 3 311.816 4.073 20 0 DIADHN CC[C@@H]1CN(C)c2ccccc2CN1Cc1cc2cnccc2o1 ZINC001179975358 1049243458 /nfs/dbraw/zinc/24/34/58/1049243458.db2.gz WPAQQBFVVZTJIA-QGZVFWFLSA-N 0 3 321.424 4.058 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3CCc4ccc(Cl)cc43)n2c1 ZINC000858321001 1049243890 /nfs/dbraw/zinc/24/38/90/1049243890.db2.gz PNRGIBWNNCLIAH-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@H]1CC(C)(C)OC1(C)C ZINC001193664149 1049244214 /nfs/dbraw/zinc/24/42/14/1049244214.db2.gz XUVJAEAMCYPDOG-HNNXBMFYSA-N 0 3 311.853 4.174 20 0 DIADHN CN(Cc1ccc(-c2cccc(F)c2F)o1)C[C@@H]1CCCCO1 ZINC001204736222 1049246205 /nfs/dbraw/zinc/24/62/05/1049246205.db2.gz KFVSXXIRJJMUME-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN COc1ccc(F)cc1CN(Cc1ccccc1)CC(C)C ZINC001179993570 1049246840 /nfs/dbraw/zinc/24/68/40/1049246840.db2.gz NBVSGVGLFCFKGU-UHFFFAOYSA-N 0 3 301.405 4.493 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CCC[C@@]3(CCO3)C2)o1 ZINC001204738640 1049246925 /nfs/dbraw/zinc/24/69/25/1049246925.db2.gz LJEZVCIWQRQJHP-GOSISDBHSA-N 0 3 317.816 4.355 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cc3ccncc3s1)C2 ZINC001180002043 1049249292 /nfs/dbraw/zinc/24/92/92/1049249292.db2.gz JFDGGVOFQPTGRV-UHFFFAOYSA-N 0 3 324.449 4.253 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(Cl)cc2c1OCC2 ZINC001179955950 1049249478 /nfs/dbraw/zinc/24/94/78/1049249478.db2.gz BXCSVJDCLVZYGG-LBPRGKRZSA-N 0 3 313.894 4.238 20 0 DIADHN Cc1ccc(Br)cc1[C@@H](C)N[C@H](C)c1ccc[nH]1 ZINC000858381910 1049250872 /nfs/dbraw/zinc/25/08/72/1049250872.db2.gz JTXIRCZMECUHBG-VXGBXAGGSA-N 0 3 307.235 4.497 20 0 DIADHN CCC[C@@H](O)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC001193703650 1049251476 /nfs/dbraw/zinc/25/14/76/1049251476.db2.gz KRPVROGNBPPEAY-HZPDHXFCSA-N 0 3 315.379 4.393 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN(C)CC(=O)Nc1cc(F)cc(F)c1 ZINC001204749635 1049251622 /nfs/dbraw/zinc/25/16/22/1049251622.db2.gz DIMGJZJYBCJRJR-KGLIPLIRSA-N 0 3 324.415 4.052 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001179963289 1049251940 /nfs/dbraw/zinc/25/19/40/1049251940.db2.gz YNXZYHMYAMDHMW-FZMZJTMJSA-N 0 3 321.408 4.402 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCCO[C@@H](C)C1 ZINC001204750289 1049252892 /nfs/dbraw/zinc/25/28/92/1049252892.db2.gz ZFOHYEALKWTTMO-NSHDSACASA-N 0 3 309.862 4.474 20 0 DIADHN [O-]c1ccc(C[N@H+](C2CC2)[C@@H]2CCc3ccccc32)c(F)c1F ZINC001179966570 1049254468 /nfs/dbraw/zinc/25/44/68/1049254468.db2.gz OTUOYEIUDWTBJN-MRXNPFEDSA-N 0 3 315.363 4.322 20 0 DIADHN [O-]c1ccc(C[N@@H+](C2CC2)[C@@H]2CCc3ccccc32)c(F)c1F ZINC001179966570 1049254475 /nfs/dbraw/zinc/25/44/75/1049254475.db2.gz OTUOYEIUDWTBJN-MRXNPFEDSA-N 0 3 315.363 4.322 20 0 DIADHN C[C@@]1(CCN[C@@H]2CCCc3scnc32)CC1(Cl)Cl ZINC000858423986 1049256652 /nfs/dbraw/zinc/25/66/52/1049256652.db2.gz ROEPGQXIESWTOP-BXKDBHETSA-N 0 3 305.274 4.084 20 0 DIADHN CCCOc1ccc(-c2cccc(CN(C)C)n2)cc1Cl ZINC001204786284 1049262798 /nfs/dbraw/zinc/26/27/98/1049262798.db2.gz RMLNQWAYENABGR-UHFFFAOYSA-N 0 3 304.821 4.252 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C(C)=O)cc1)c1ccc(F)cc1 ZINC000858495039 1049264403 /nfs/dbraw/zinc/26/44/03/1049264403.db2.gz SECMDHPPMCSIDK-LJQANCHMSA-N 0 3 314.404 4.049 20 0 DIADHN CCCc1ccc(CN2CCN(C)c3ccccc3C2)s1 ZINC001204791284 1049264796 /nfs/dbraw/zinc/26/47/96/1049264796.db2.gz TYGYAKSXEKCWKC-UHFFFAOYSA-N 0 3 300.471 4.153 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccsc1)C(=O)N(C)c1ccc(C)cc1 ZINC001193798315 1049266153 /nfs/dbraw/zinc/26/61/53/1049266153.db2.gz SEFCKIRTUCRDPO-YOEHRIQHSA-N 0 3 316.470 4.149 20 0 DIADHN CCN(Cc1ccc(C)cc1F)[C@@H](COC)Cc1ccccc1 ZINC001204795899 1049266684 /nfs/dbraw/zinc/26/66/84/1049266684.db2.gz FCLZPYXLASQAFW-LJQANCHMSA-N 0 3 315.432 4.214 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000858495850 1049266886 /nfs/dbraw/zinc/26/68/86/1049266886.db2.gz FUUMCYZGXGZDLE-ZDUSSCGKSA-N 0 3 316.832 4.174 20 0 DIADHN CCCCOC1CCN(Cc2ccc(C(C)C)nc2C)CC1 ZINC000339011539 1049268303 /nfs/dbraw/zinc/26/83/03/1049268303.db2.gz VAXMMXCTFNAEGU-UHFFFAOYSA-N 0 3 304.478 4.295 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)c1 ZINC001193806822 1049268491 /nfs/dbraw/zinc/26/84/91/1049268491.db2.gz CZFHHCYFKKEBAM-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN CCn1cc([C@@H](C)NCc2cn(C(F)F)c3ccccc23)cn1 ZINC000858535928 1049270826 /nfs/dbraw/zinc/27/08/26/1049270826.db2.gz GMSILVQKBSMQFL-GFCCVEGCSA-N 0 3 318.371 4.104 20 0 DIADHN C[C@H]1CSCCN1Cc1cccc(COc2ccccc2)c1 ZINC001204817859 1049274783 /nfs/dbraw/zinc/27/47/83/1049274783.db2.gz XYYCBQOIRKUTFE-INIZCTEOSA-N 0 3 313.466 4.203 20 0 DIADHN CC(C)C[C@@]1(CO)CCCN1Cc1cc(Cl)ccc1Cl ZINC001194020857 1049300257 /nfs/dbraw/zinc/30/02/57/1049300257.db2.gz RCEQWNCHNAUZPW-MRXNPFEDSA-N 0 3 316.272 4.366 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3cncc(Cl)c3)C2)cc1 ZINC001193933582 1049279265 /nfs/dbraw/zinc/27/92/65/1049279265.db2.gz WALLSEPRDSUKNT-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cc2ccccc2n1C ZINC001180136685 1049281094 /nfs/dbraw/zinc/28/10/94/1049281094.db2.gz JRZKAFURUWLMKT-HXUWFJFHSA-N 0 3 307.441 4.244 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2ccns2)cc1 ZINC000858577461 1049281524 /nfs/dbraw/zinc/28/15/24/1049281524.db2.gz QCJCLEAENYIXOM-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN CCO[C@@H]1C[C@@H]([N@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098626 1049286278 /nfs/dbraw/zinc/28/62/78/1049286278.db2.gz NNYNMZMGRZEHEC-QZTJIDSGSA-N 0 3 321.436 4.091 20 0 DIADHN CCO[C@@H]1C[C@@H]([N@@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098626 1049286292 /nfs/dbraw/zinc/28/62/92/1049286292.db2.gz NNYNMZMGRZEHEC-QZTJIDSGSA-N 0 3 321.436 4.091 20 0 DIADHN OCCCN(Cc1ccccc1)Cc1ccc(C(F)F)c(F)c1 ZINC001180184112 1049297648 /nfs/dbraw/zinc/29/76/48/1049297648.db2.gz MMAWGWAWXARYBN-UHFFFAOYSA-N 0 3 323.358 4.148 20 0 DIADHN Cc1cc(CN2CCCC[C@@H]2Cc2ccc(F)cc2)cnc1F ZINC001180183776 1049298387 /nfs/dbraw/zinc/29/83/87/1049298387.db2.gz HADBGTUGCYXXED-GOSISDBHSA-N 0 3 316.395 4.265 20 0 DIADHN CCn1cc(CN(C2CC2)[C@H]2CCCc3ccccc32)c(C)n1 ZINC001180158645 1049302547 /nfs/dbraw/zinc/30/25/47/1049302547.db2.gz ZLLZRWBCJHURLR-FQEVSTJZSA-N 0 3 309.457 4.253 20 0 DIADHN Fc1cccn2cc(CN(CC3CCCCC3)C3CC3)nc12 ZINC001180162289 1049303024 /nfs/dbraw/zinc/30/30/24/1049303024.db2.gz ZHNJUOYDGKQJKG-UHFFFAOYSA-N 0 3 301.409 4.018 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@@H]2CCC(C)(C)C2)c1Cl ZINC001194041920 1049305780 /nfs/dbraw/zinc/30/57/80/1049305780.db2.gz ICCLXDZLCSTDTI-CYBMUJFWSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)CCC(=O)OC(C)(C)C)cc1C ZINC001204261387 1049313127 /nfs/dbraw/zinc/31/31/27/1049313127.db2.gz DDAFAYLLUWYURJ-CMDGGOBGSA-N 0 3 317.473 4.289 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC[C@@H](Oc2ncccc2F)C1 ZINC001194066803 1049314693 /nfs/dbraw/zinc/31/46/93/1049314693.db2.gz QJTCADGLBJEROK-AEFFLSMTSA-N 0 3 314.404 4.215 20 0 DIADHN Brc1cccc([C@@H]2CCCN2CCC[C@@H]2CCO2)c1 ZINC001180245190 1049318899 /nfs/dbraw/zinc/31/88/99/1049318899.db2.gz RHRXCSHHZYRARA-CVEARBPZSA-N 0 3 324.262 4.155 20 0 DIADHN Fc1cc(F)cc(CNC[C@H](c2ccccc2)C(F)(F)F)c1 ZINC000485695912 1049319875 /nfs/dbraw/zinc/31/98/75/1049319875.db2.gz QITNIXSOJKVXON-OAHLLOKOSA-N 0 3 315.285 4.401 20 0 DIADHN COc1ccsc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC000485724260 1049326055 /nfs/dbraw/zinc/32/60/55/1049326055.db2.gz JAFUTNUZIPIECC-GFCCVEGCSA-N 0 3 315.360 4.192 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(O)ccc2Cl)C12CCCCC2 ZINC001194092921 1049327161 /nfs/dbraw/zinc/32/71/61/1049327161.db2.gz RXLCJMMHXYTQPY-IRXDYDNUSA-N 0 3 323.864 4.263 20 0 DIADHN Cc1c(C)c(-c2ccc3c(c2)C(C(C)C)=NCC3)ccc1CO ZINC001204992262 1049332691 /nfs/dbraw/zinc/33/26/91/1049332691.db2.gz ZUUMCKZXLAKJTH-UHFFFAOYSA-N 0 3 307.437 4.464 20 0 DIADHN Cc1ccc(CN(Cc2ccc3oc(=O)oc3c2)C(C)C)s1 ZINC001180281171 1049333513 /nfs/dbraw/zinc/33/35/13/1049333513.db2.gz XPZWTPAEEGZKKQ-UHFFFAOYSA-N 0 3 317.410 4.167 20 0 DIADHN Cc1ccc(CN(Cc2cnc3onc(C)c3c2)C(C)C)s1 ZINC001180279899 1049333761 /nfs/dbraw/zinc/33/37/61/1049333761.db2.gz DHHIJZBOGXEMHC-UHFFFAOYSA-N 0 3 315.442 4.312 20 0 DIADHN c1cc(CN(Cc2ccccc2)CC2CCC2)n(CC2CCC2)n1 ZINC001180285055 1049337758 /nfs/dbraw/zinc/33/77/58/1049337758.db2.gz TXLZAUMOZXBSCB-UHFFFAOYSA-N 0 3 323.484 4.486 20 0 DIADHN [O-]c1ccc(C[NH2+]CC2(Cc3ccccc3)CCCC2)cc1F ZINC001180345553 1049341644 /nfs/dbraw/zinc/34/16/44/1049341644.db2.gz YJIGMYWOKLDVPY-UHFFFAOYSA-N 0 3 313.416 4.424 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccc(F)cc3)CC2)cnc1F ZINC001180322619 1049341993 /nfs/dbraw/zinc/34/19/93/1049341993.db2.gz KUSQKIQOMNCNQD-MRXNPFEDSA-N 0 3 316.395 4.438 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1nc2c(s1)C(C)(C)CC(C)(C)C2 ZINC001194213301 1049343973 /nfs/dbraw/zinc/34/39/73/1049343973.db2.gz OOSVIAUZHYFZPZ-YPMHNXCESA-N 0 3 324.559 4.234 20 0 DIADHN CCN(Cc1ccc(OC)cc1Br)C1CCCC1 ZINC001194222485 1049346457 /nfs/dbraw/zinc/34/64/57/1049346457.db2.gz ULQCHJDNMGUMGW-UHFFFAOYSA-N 0 3 312.251 4.222 20 0 DIADHN Cc1oc2ccccc2c1CN1CCN(c2ccc(F)cc2)CC1 ZINC001180375538 1049347740 /nfs/dbraw/zinc/34/77/40/1049347740.db2.gz UDMGWURTYIAHMU-UHFFFAOYSA-N 0 3 324.399 4.203 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)N[C@@H](C)[C@H](C)CC)n2)cc1 ZINC001205656995 1049348483 /nfs/dbraw/zinc/34/84/83/1049348483.db2.gz WLNWSKJLHBIWGF-RDBSUJKOSA-N 0 3 301.434 4.384 20 0 DIADHN CCCOc1cccc(CNCc2ccc(-c3cnco3)cc2)c1 ZINC000906519765 1049350886 /nfs/dbraw/zinc/35/08/86/1049350886.db2.gz FCGXXDCNAYWONZ-UHFFFAOYSA-N 0 3 322.408 4.420 20 0 DIADHN c1ncc(-c2ccc(CN[C@@H](c3cccnc3)C3CCC3)cc2)o1 ZINC000906526581 1049353114 /nfs/dbraw/zinc/35/31/14/1049353114.db2.gz UZTNHOKHSAHVQX-HXUWFJFHSA-N 0 3 319.408 4.368 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4cccnc4c3)[nH]c2c1 ZINC001203362505 1049355230 /nfs/dbraw/zinc/35/52/30/1049355230.db2.gz CDRKMQVTQYILBQ-UHFFFAOYSA-N 0 3 301.393 4.314 20 0 DIADHN Fc1ccc(CN2CCN(c3ccccc3)CC2)c2ccccc12 ZINC001180372147 1049355821 /nfs/dbraw/zinc/35/58/21/1049355821.db2.gz LDFVFBAXVDACGR-UHFFFAOYSA-N 0 3 320.411 4.301 20 0 DIADHN c1cc2cc(CN3CCSC[C@@H]3c3ccccc3)ccc2[nH]1 ZINC001205059763 1049360777 /nfs/dbraw/zinc/36/07/77/1049360777.db2.gz DHKCXCIBCZOOKD-LJQANCHMSA-N 0 3 308.450 4.458 20 0 DIADHN CN(Cc1ccc(F)c(-c2cccs2)c1)CC1=CCCOC1 ZINC000668568972 1049368399 /nfs/dbraw/zinc/36/83/99/1049368399.db2.gz QGHBBOCXWNZGBK-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN Fc1c(CN2CC[C@@H]3CCCC[C@H]3C2)cccc1OC1CC1 ZINC001205083147 1049369020 /nfs/dbraw/zinc/36/90/20/1049369020.db2.gz UURNMGBWKORNIT-GJZGRUSLSA-N 0 3 303.421 4.379 20 0 DIADHN CC(C)c1cnc(N[C@@H]2CCN(C)[C@@H]2c2ccccc2)s1 ZINC000485972436 1049371164 /nfs/dbraw/zinc/37/11/64/1049371164.db2.gz BHERYWSNYWBWSZ-GDBMZVCRSA-N 0 3 301.459 4.124 20 0 DIADHN COc1ccccc1/C=C/C(=O)NCCP(C(C)C)C(C)C ZINC001180533455 1049372946 /nfs/dbraw/zinc/37/29/46/1049372946.db2.gz ASPTXDUDWGEKGU-ZHACJKMWSA-N 0 3 321.401 4.123 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(C(=O)OC)c(Cl)c1 ZINC001205101969 1049377176 /nfs/dbraw/zinc/37/71/76/1049377176.db2.gz ZHXJUAZSKGUDHK-UHFFFAOYSA-N 0 3 317.816 4.149 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC001194447944 1049379379 /nfs/dbraw/zinc/37/93/79/1049379379.db2.gz ZPKOXGGCKAKJBN-JLZZUVOBSA-N 0 3 323.864 4.032 20 0 DIADHN C[C@H]1C[C@H]1CN(Cc1cc(Br)ccc1F)C1CC1 ZINC001180558503 1049381140 /nfs/dbraw/zinc/38/11/40/1049381140.db2.gz ONLRAHXTQDYVTC-QWRGUYRKSA-N 0 3 312.226 4.209 20 0 DIADHN C[C@H]1C[C@@H]1CN(Cc1cc(Br)ccc1F)C1CC1 ZINC001180558505 1049381185 /nfs/dbraw/zinc/38/11/85/1049381185.db2.gz ONLRAHXTQDYVTC-WDEREUQCSA-N 0 3 312.226 4.209 20 0 DIADHN Cc1cc(C)cc(CCN2CCN(c3ccc(C)c(C)c3)CC2)c1 ZINC001180546780 1049381228 /nfs/dbraw/zinc/38/12/28/1049381228.db2.gz SDLWBQPPINDGQA-UHFFFAOYSA-N 0 3 322.496 4.285 20 0 DIADHN CN(CCSC1CCCCC1)C[C@H]1OCCc2ccccc21 ZINC001194488600 1049382754 /nfs/dbraw/zinc/38/27/54/1049382754.db2.gz RBLWKZDIINRMST-LJQANCHMSA-N 0 3 319.514 4.298 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](OCCCc2ccccc2)C1 ZINC001205120073 1049383726 /nfs/dbraw/zinc/38/37/26/1049383726.db2.gz DBVXEEJHAFQRSN-ICSRJNTNSA-N 0 3 324.468 4.256 20 0 DIADHN C[C@H]1Cc2cc(CN(C)Cc3cccc(Cl)c3)ccc2O1 ZINC001180566550 1049384491 /nfs/dbraw/zinc/38/44/91/1049384491.db2.gz PLYFPNAKTXDXIG-ZDUSSCGKSA-N 0 3 301.817 4.295 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000486091896 1049387903 /nfs/dbraw/zinc/38/79/03/1049387903.db2.gz YCIBEGNVEAREAZ-IAGOWNOFSA-N 0 3 308.466 4.294 20 0 DIADHN CO[C@H](CN[C@H](CC(F)(F)F)c1ccc(C)cc1)C1CC1 ZINC001180594280 1049389162 /nfs/dbraw/zinc/38/91/62/1049389162.db2.gz QTTGMDCFMXSYBT-HUUCEWRRSA-N 0 3 301.352 4.003 20 0 DIADHN COc1ccc(OC(=O)NCCP(C(C)C)C(C)C)cc1 ZINC001194561480 1049390664 /nfs/dbraw/zinc/39/06/64/1049390664.db2.gz CIEJZJOFMJGHJE-UHFFFAOYSA-N 0 3 311.362 4.082 20 0 DIADHN COc1cc(Cl)cc(-c2cncc(CN3CCCCC3)c2)c1 ZINC001205140641 1049392666 /nfs/dbraw/zinc/39/26/66/1049392666.db2.gz NPIGBFZMCWGFEQ-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cccc(Cl)c1C ZINC001205155567 1049395563 /nfs/dbraw/zinc/39/55/63/1049395563.db2.gz ADLAYUCYWJQCAS-UHFFFAOYSA-N 0 3 303.833 4.297 20 0 DIADHN Clc1cccc(CN2CCC(COc3cccnc3)CC2)c1 ZINC001203798126 1049395748 /nfs/dbraw/zinc/39/57/48/1049395748.db2.gz YLDQRDKTLRGRDD-UHFFFAOYSA-N 0 3 316.832 4.026 20 0 DIADHN CC(C)c1nc(CN2CCC[C@H](CCF)C2)c2ccccn21 ZINC000668591621 1049396010 /nfs/dbraw/zinc/39/60/10/1049396010.db2.gz CYGPQDLEARMXNY-OAHLLOKOSA-N 0 3 303.425 4.029 20 0 DIADHN CCOC(=O)[C@@H]1C2CCC(CC2)N1C[C@@H](C)C1CCCCC1 ZINC001194590385 1049396151 /nfs/dbraw/zinc/39/61/51/1049396151.db2.gz WGLCVZLOCLXZJY-LTDKPHRXSA-N 0 3 307.478 4.009 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)c2ccccc2C)cc1C ZINC001194606908 1049398182 /nfs/dbraw/zinc/39/81/82/1049398182.db2.gz AFPGOLIFQLDOPJ-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCCC(F)(F)F)[C@H]2C ZINC001180750703 1049401536 /nfs/dbraw/zinc/40/15/36/1049401536.db2.gz FQIKERCXAGJCQP-NEPJUHHUSA-N 0 3 301.352 4.345 20 0 DIADHN COc1cc(C)c(CN2CC=C(c3cccc(C)c3)CC2)c(C)n1 ZINC001180759036 1049403178 /nfs/dbraw/zinc/40/31/78/1049403178.db2.gz NTWUUWRJDPAYMC-UHFFFAOYSA-N 0 3 322.452 4.305 20 0 DIADHN Oc1cccc(CNCc2cccc(COc3ccccc3)c2)c1 ZINC000129110891 1049403465 /nfs/dbraw/zinc/40/34/65/1049403465.db2.gz DTJQZMOMQRURFR-UHFFFAOYSA-N 0 3 319.404 4.261 20 0 DIADHN COc1c(C)cnc(CN(C)[C@H](C)c2ccc3c(c2)CCC3)c1C ZINC001194640855 1049403637 /nfs/dbraw/zinc/40/36/37/1049403637.db2.gz JARDNSJGAWJIJQ-MRXNPFEDSA-N 0 3 324.468 4.389 20 0 DIADHN C[C@H](CN(C)Cc1ccc(F)cc1F)c1cc(F)cc(F)c1 ZINC001205185697 1049405210 /nfs/dbraw/zinc/40/52/10/1049405210.db2.gz QOPZMKYEJNLGGZ-LLVKDONJSA-N 0 3 311.322 4.479 20 0 DIADHN COCCCC1(CNCc2c(Cl)cccc2OC)CCC1 ZINC001180885022 1049409959 /nfs/dbraw/zinc/40/99/59/1049409959.db2.gz NZKIRJKEBONLQD-UHFFFAOYSA-N 0 3 311.853 4.035 20 0 DIADHN CCC1(CC)CN(Cc2cnc(CC(C)C)s2)CCS1 ZINC001205262459 1049419371 /nfs/dbraw/zinc/41/93/71/1049419371.db2.gz UQFTZHGWYYMRHR-UHFFFAOYSA-N 0 3 312.548 4.449 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@@H](c2ccc(CC)cc2)C1 ZINC001194715429 1049420704 /nfs/dbraw/zinc/42/07/04/1049420704.db2.gz OFUOCJDAEWUQNM-MOPGFXCFSA-N 0 3 317.473 4.016 20 0 DIADHN c1cc(CN2CCCC3(CCCC3)C2)n(CCc2ccccc2)n1 ZINC001180970932 1049424933 /nfs/dbraw/zinc/42/49/33/1049424933.db2.gz WYVGPJVPTSXDKL-UHFFFAOYSA-N 0 3 323.484 4.282 20 0 DIADHN CC[C@@H]1CCN(Cc2cc(Br)c(C)s2)[C@@H]1C ZINC001181041700 1049430430 /nfs/dbraw/zinc/43/04/30/1049430430.db2.gz BCKQJIRHKADVEB-MWLCHTKSSA-N 0 3 302.281 4.439 20 0 DIADHN COC1(CCN(C)[C@H](C)c2nc3ccccc3s2)CCC1 ZINC000339022853 1049430542 /nfs/dbraw/zinc/43/05/42/1049430542.db2.gz WPUWHCJVVWFZJF-CYBMUJFWSA-N 0 3 304.459 4.248 20 0 DIADHN Cc1ccc2cc(CN3CCC([C@H]4CCCCO4)CC3)[nH]c2c1 ZINC000668627156 1049432254 /nfs/dbraw/zinc/43/22/54/1049432254.db2.gz DZNXJIOYVROEPJ-HXUWFJFHSA-N 0 3 312.457 4.257 20 0 DIADHN C[C@H](CCC1CCCC1)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001205311036 1049434120 /nfs/dbraw/zinc/43/41/20/1049434120.db2.gz ASOFXSOEIRESOB-DYVFJYSZSA-N 0 3 322.880 4.205 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)cc1F ZINC000668631167 1049434489 /nfs/dbraw/zinc/43/44/89/1049434489.db2.gz OGSBCRXESAJFDE-AEFFLSMTSA-N 0 3 321.436 4.006 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H]([C@H]3CCCCO3)C2)s1 ZINC000668629854 1049436505 /nfs/dbraw/zinc/43/65/05/1049436505.db2.gz CSQRFHQBTDVUTH-GOEBONIOSA-N 0 3 322.518 4.222 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1cccc(Br)c1OC ZINC001181102880 1049438563 /nfs/dbraw/zinc/43/85/63/1049438563.db2.gz TWSAFLQWXRFPGY-FZMZJTMJSA-N 0 3 312.251 4.078 20 0 DIADHN CCN(CCc1ccccn1)[C@H](C)c1cccc(Cl)c1F ZINC000775621254 1049445303 /nfs/dbraw/zinc/44/53/03/1049445303.db2.gz QNHFQIICLRUCRJ-CYBMUJFWSA-N 0 3 306.812 4.500 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3sccc3Cl)C2)c1 ZINC001205353844 1049447245 /nfs/dbraw/zinc/44/72/45/1049447245.db2.gz MBUNPJCUPRTNFF-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN CC(C)(C)n1ncnc1CNCC1CCC2(CCCCC2)CC1 ZINC001181222666 1049455072 /nfs/dbraw/zinc/45/50/72/1049455072.db2.gz JSRDVRUUULTOFF-UHFFFAOYSA-N 0 3 318.509 4.263 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCO2)c1cc2ccccc2[nH]1 ZINC000775629215 1049456952 /nfs/dbraw/zinc/45/69/52/1049456952.db2.gz UHEOOVJYRZYCBQ-CQSZACIVSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccccc1OCCCN1CCc2cccc(Cl)c2C1 ZINC001195014388 1049457206 /nfs/dbraw/zinc/45/72/06/1049457206.db2.gz OPBJAEOAWXKQKJ-UHFFFAOYSA-N 0 3 315.844 4.476 20 0 DIADHN CSc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1 ZINC000486700830 1049457334 /nfs/dbraw/zinc/45/73/34/1049457334.db2.gz RIAQFYVQVWGNGC-UHFFFAOYSA-N 0 3 300.402 4.319 20 0 DIADHN CC(C)(NCc1cc2ccc(F)cc2[nH]1)c1cccc(F)c1 ZINC000486717289 1049459404 /nfs/dbraw/zinc/45/94/04/1049459404.db2.gz OCXIDEABHGHYSK-UHFFFAOYSA-N 0 3 300.352 4.471 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001195028592 1049463280 /nfs/dbraw/zinc/46/32/80/1049463280.db2.gz KSNQSWOYLVBXLC-BPQIPLTHSA-N 0 3 312.482 4.207 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1nc(-c2cccs2)no1 ZINC000486776673 1049468980 /nfs/dbraw/zinc/46/89/80/1049468980.db2.gz CQZKSDPGFZJXLR-QWHCGFSZSA-N 0 3 305.447 4.199 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1CC(=O)Nc1ccccc1CC ZINC000486799198 1049473784 /nfs/dbraw/zinc/47/37/84/1049473784.db2.gz DXNIZLWAMYNMTD-CRAIPNDOSA-N 0 3 302.462 4.088 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1CC(=O)Nc1ccccc1CC ZINC000486799199 1049473847 /nfs/dbraw/zinc/47/38/47/1049473847.db2.gz DXNIZLWAMYNMTD-MAUKXSAKSA-N 0 3 302.462 4.088 20 0 DIADHN CN(Cc1ccnn1CCc1ccccc1)[C@@H]1CCC(C)(C)C1 ZINC001181410403 1049476664 /nfs/dbraw/zinc/47/66/64/1049476664.db2.gz KWAWZWUACXHVIW-GOSISDBHSA-N 0 3 311.473 4.136 20 0 DIADHN CC(C)CN(C(=O)c1cccc(C(C)C)c1)C1CCN(C)CC1 ZINC000668691814 1049479509 /nfs/dbraw/zinc/47/95/09/1049479509.db2.gz SNNZVZGOIQSCOJ-UHFFFAOYSA-N 0 3 316.489 4.002 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCCCn2cccn2)c(F)c1 ZINC001195125326 1049479699 /nfs/dbraw/zinc/47/96/99/1049479699.db2.gz YLXXGHSUXCMMPW-GOSISDBHSA-N 0 3 319.399 4.169 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CC[C@H](C2CCC2)C1 ZINC001195145972 1049481967 /nfs/dbraw/zinc/48/19/67/1049481967.db2.gz AHWBBJYHAMXKQS-ZFWWWQNUSA-N 0 3 320.864 4.097 20 0 DIADHN CC(C)C1(C)CCN(Cc2ccc(F)c(F)c2N(C)C)CC1 ZINC001205486345 1049483031 /nfs/dbraw/zinc/48/30/31/1049483031.db2.gz LXWDFPJFYXBJRF-UHFFFAOYSA-N 0 3 310.432 4.289 20 0 DIADHN COc1ccc(C2(NCc3ccnc(F)c3C)CCCC2)cc1 ZINC000862278450 1049484478 /nfs/dbraw/zinc/48/44/78/1049484478.db2.gz DETKSADVQZRKHT-UHFFFAOYSA-N 0 3 314.404 4.097 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1ccnc(F)c1C ZINC000862300417 1049486498 /nfs/dbraw/zinc/48/64/98/1049486498.db2.gz OWPYEAWVRUWCEZ-MLGOLLRUSA-N 0 3 322.811 4.048 20 0 DIADHN Cc1cc([C@H](NCc2ccnc(F)c2C)C2CC2)ccc1F ZINC000862291562 1049486965 /nfs/dbraw/zinc/48/69/65/1049486965.db2.gz RAETWVWCDLXPRH-QGZVFWFLSA-N 0 3 302.368 4.218 20 0 DIADHN Cc1ccc(CNCCc2nc3c(cccc3C)o2)c(Cl)c1 ZINC001205510216 1049489516 /nfs/dbraw/zinc/48/95/16/1049489516.db2.gz XBIIGPJKFVLQNM-UHFFFAOYSA-N 0 3 314.816 4.430 20 0 DIADHN CC[C@@H](NC/C=C/c1ccncc1)c1ccccc1OC(F)F ZINC000486929286 1049492455 /nfs/dbraw/zinc/49/24/55/1049492455.db2.gz OXSTWOKBVWFODT-SQRWCISFSA-N 0 3 318.367 4.437 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2F)no1)[C@@H]1CCC[C@H](C)C1 ZINC001195176738 1049492682 /nfs/dbraw/zinc/49/26/82/1049492682.db2.gz CREVLWKEJXYNLC-MELADBBJSA-N 0 3 317.408 4.180 20 0 DIADHN C[C@H](COc1ccc(F)cc1F)NCc1sccc1Cl ZINC000486934230 1049493497 /nfs/dbraw/zinc/49/34/97/1049493497.db2.gz KANKCDBJUOEFQC-SECBINFHSA-N 0 3 317.788 4.237 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1nc2c(s1)C[C@H](C)CC2 ZINC001205541444 1049494937 /nfs/dbraw/zinc/49/49/37/1049494937.db2.gz PWUFJYMQKJBFOQ-KDOFPFPSSA-N 0 3 322.518 4.049 20 0 DIADHN C[C@H]1CCCN(Cc2cnc(CCc3ccccc3)s2)C1 ZINC001205551148 1049496723 /nfs/dbraw/zinc/49/67/23/1049496723.db2.gz MEZHHYNFURBKSL-HNNXBMFYSA-N 0 3 300.471 4.160 20 0 DIADHN COc1cccc(OC)c1CN1C[C@H](C)C[C@@H]1c1ccccc1 ZINC001181622088 1049497298 /nfs/dbraw/zinc/49/72/98/1049497298.db2.gz OXZXUSFXDNUZDX-CRAIPNDOSA-N 0 3 311.425 4.287 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1ccccc1OC(F)F ZINC000486960234 1049499028 /nfs/dbraw/zinc/49/90/28/1049499028.db2.gz YOYCZZRNTBBGRN-DSYXLKISSA-N 0 3 304.340 4.047 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1ccccc1OC(F)F ZINC000486960236 1049499342 /nfs/dbraw/zinc/49/93/42/1049499342.db2.gz YOYCZZRNTBBGRN-IHVVCDCBSA-N 0 3 304.340 4.047 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CCC=C(c2ccccc2)C1 ZINC001181676201 1049503936 /nfs/dbraw/zinc/50/39/36/1049503936.db2.gz WVYFWIAZDSASRR-UHFFFAOYSA-N 0 3 310.416 4.181 20 0 DIADHN Cc1cccc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)c1C ZINC001205598356 1049507081 /nfs/dbraw/zinc/50/70/81/1049507081.db2.gz CKJKTXJYIYJYGP-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC[C@@H](NC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000339043231 1049511345 /nfs/dbraw/zinc/51/13/45/1049511345.db2.gz WHKKMICRFJJVBV-GOSISDBHSA-N 0 3 311.429 4.021 20 0 DIADHN NC(=O)[C@H](NC1CCCCCCCCCCC1)c1ccccc1 ZINC001205615908 1049512057 /nfs/dbraw/zinc/51/20/57/1049512057.db2.gz XYHCYAFAXSYZLK-LJQANCHMSA-N 0 3 316.489 4.476 20 0 DIADHN COc1ccccc1OC(=O)NCCP(C(C)C)C(C)C ZINC001195296285 1049513095 /nfs/dbraw/zinc/51/30/95/1049513095.db2.gz LLMQSIDDLZRQED-UHFFFAOYSA-N 0 3 311.362 4.082 20 0 DIADHN CSC(C)(C)CCNCc1c(F)cccc1OC(F)F ZINC001205621761 1049513302 /nfs/dbraw/zinc/51/33/02/1049513302.db2.gz NAJTXXWUDOKQLJ-UHFFFAOYSA-N 0 3 307.381 4.048 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccnc(Cl)c1)c1ccco1 ZINC000119098192 1049515155 /nfs/dbraw/zinc/51/51/55/1049515155.db2.gz NSFMIORJHXCFOZ-DZGCQCFKSA-N 0 3 321.852 4.062 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@H](C2CCCC2)C1 ZINC001195305213 1049515760 /nfs/dbraw/zinc/51/57/60/1049515760.db2.gz AZTLRZDRFAICGW-HIFRSBDPSA-N 0 3 320.864 4.179 20 0 DIADHN C[C@@H]1CCN(CCc2cc(Cl)c3c(c2)OCCCO3)[C@H](C)C1 ZINC001195349894 1049517336 /nfs/dbraw/zinc/51/73/36/1049517336.db2.gz HPTJHDGCACRHKT-ZIAGYGMSSA-N 0 3 323.864 4.164 20 0 DIADHN CCC[C@H](NCc1ccc(Cl)cc1F)[C@@H](O)c1ccccc1 ZINC001205642903 1049520441 /nfs/dbraw/zinc/52/04/41/1049520441.db2.gz QJIPMIATUFZLAM-ROUUACIJSA-N 0 3 321.823 4.471 20 0 DIADHN CCC[C@@H](NCc1ccc(Cl)cc1F)[C@H](O)c1ccccc1 ZINC001205642902 1049520944 /nfs/dbraw/zinc/52/09/44/1049520944.db2.gz QJIPMIATUFZLAM-QZTJIDSGSA-N 0 3 321.823 4.471 20 0 DIADHN CCC(C)(C)CCN1CCc2nccc(Br)c2C1 ZINC001195412985 1049521996 /nfs/dbraw/zinc/52/19/96/1049521996.db2.gz JXEMWMJGBSYHDL-UHFFFAOYSA-N 0 3 311.267 4.029 20 0 DIADHN C[C@@]1(Cc2cccc(F)c2)CCCN1Cc1cccc(Cl)n1 ZINC001195405014 1049523571 /nfs/dbraw/zinc/52/35/71/1049523571.db2.gz SCYSYSGJZRHJBD-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN Cc1ccc(NC(=O)C2CCC(C3CC3)CC2)c(CN(C)C)c1 ZINC000668751423 1049524169 /nfs/dbraw/zinc/52/41/69/1049524169.db2.gz OWMXUDAEMORTRJ-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1ccc(NC(=O)C2[C@@H]3CCCCCC[C@@H]23)c(CN(C)C)c1 ZINC000668751137 1049524645 /nfs/dbraw/zinc/52/46/45/1049524645.db2.gz MTDAPAWBBPGZLY-IAGOWNOFSA-N 0 3 314.473 4.212 20 0 DIADHN C[C@@H](N[C@H]1CC=C(c2ccc(Cl)cc2)CC1)c1nccn1C ZINC000668747111 1049524673 /nfs/dbraw/zinc/52/46/73/1049524673.db2.gz BSKHMNYGRXOLBR-DYVFJYSZSA-N 0 3 315.848 4.360 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cc(C)ccc1F ZINC001205661948 1049526595 /nfs/dbraw/zinc/52/65/95/1049526595.db2.gz OGRWMRCQPDPQQI-HNNXBMFYSA-N 0 3 301.405 4.206 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)CCc1ncc(C)s1 ZINC000339052262 1049537021 /nfs/dbraw/zinc/53/70/21/1049537021.db2.gz GLBBJXKPQFMHGT-UHFFFAOYSA-N 0 3 317.502 4.207 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CN2CC3(C2)CCCC3)c1 ZINC001205706193 1049537751 /nfs/dbraw/zinc/53/77/51/1049537751.db2.gz AHKPCBOOEFROKC-UHFFFAOYSA-N 0 3 316.445 4.410 20 0 DIADHN CC(C)C[C@@H](C)CNCc1cc(F)c(F)cc1Br ZINC001205723012 1049540794 /nfs/dbraw/zinc/54/07/94/1049540794.db2.gz ZTGTVUDTCARNCF-SNVBAGLBSA-N 0 3 320.221 4.499 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000487291080 1049546456 /nfs/dbraw/zinc/54/64/56/1049546456.db2.gz LIRMZLPFELJAOZ-VXGBXAGGSA-N 0 3 307.825 4.429 20 0 DIADHN Clc1ccsc1CN[C@H]1CCCN(c2ccccc2)C1 ZINC000487310098 1049547541 /nfs/dbraw/zinc/54/75/41/1049547541.db2.gz XDRYYQCTGKIGQG-ZDUSSCGKSA-N 0 3 306.862 4.160 20 0 DIADHN CN(Cc1coc2ccccc12)[C@@H](CO)c1ccc(Cl)cc1 ZINC001181931984 1049548426 /nfs/dbraw/zinc/54/84/26/1049548426.db2.gz ADHCAJCAMCZXKQ-KRWDZBQOSA-N 0 3 315.800 4.252 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1cccnc1Br ZINC001181919489 1049548615 /nfs/dbraw/zinc/54/86/15/1049548615.db2.gz FZAGMAHXOAJTIQ-OAHLLOKOSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1cnc(-c2ccco2)s1 ZINC000487307856 1049548704 /nfs/dbraw/zinc/54/87/04/1049548704.db2.gz GWOPSXKEYHNDKP-SJCJKPOMSA-N 0 3 310.422 4.426 20 0 DIADHN C[C@@H]1CC[C@H](c2ccccc2)N(Cc2ccnn2CC2CC2)C1 ZINC001205750346 1049552090 /nfs/dbraw/zinc/55/20/90/1049552090.db2.gz LENACMMQFNABJL-OXQOHEQNSA-N 0 3 309.457 4.266 20 0 DIADHN C[S@@](=O)c1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1 ZINC000085310358 1049552348 /nfs/dbraw/zinc/55/23/48/1049552348.db2.gz RAHZDKAAEWHOAC-NRFANRHFSA-N 0 3 321.873 4.102 20 0 DIADHN C[C@H]1CC[C@H](c2ccccc2)N(Cc2ccnn2CC2CC2)C1 ZINC001205750345 1049552897 /nfs/dbraw/zinc/55/28/97/1049552897.db2.gz LENACMMQFNABJL-OXJNMPFZSA-N 0 3 309.457 4.266 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000042247717 1049558353 /nfs/dbraw/zinc/55/83/53/1049558353.db2.gz LNQPCNZHBPNXCU-OWCLPIDISA-N 0 3 316.489 4.116 20 0 DIADHN C[C@@H]1CN(CCSC(F)(F)F)CCc2ccc(F)cc21 ZINC001195704780 1049559348 /nfs/dbraw/zinc/55/93/48/1049559348.db2.gz QSHXFFBTNYLFNK-SNVBAGLBSA-N 0 3 307.356 4.040 20 0 DIADHN COc1ccc(-c2ccc3cc(O)ccc3c2)cc1CN(C)C ZINC001205777065 1049560948 /nfs/dbraw/zinc/56/09/48/1049560948.db2.gz AFAFCJPLOBPQFE-UHFFFAOYSA-N 0 3 307.393 4.283 20 0 DIADHN Clc1ccc2c(c1)OCCC[C@@H]2NC/C=C/c1ccncc1 ZINC000487573518 1049561085 /nfs/dbraw/zinc/56/10/85/1049561085.db2.gz LPQAPKPXIDZHME-DUXHTMCSSA-N 0 3 314.816 4.252 20 0 DIADHN Cc1ccc(CN2CCC[C@H]3O[C@@H](c4ccccc4)C[C@H]32)c(C)n1 ZINC001195712887 1049562355 /nfs/dbraw/zinc/56/23/55/1049562355.db2.gz PIASUVCBUWZIMV-NJDAHSKKSA-N 0 3 322.452 4.193 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cccc(OC3CC3)c2F)[C@H]1C(C)C ZINC001205789895 1049564941 /nfs/dbraw/zinc/56/49/41/1049564941.db2.gz WTDDIZNJVRYJJG-LPHOPBHVSA-N 0 3 305.437 4.479 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H](c1ccccc1)[C@@H](C)O ZINC000668788257 1049566198 /nfs/dbraw/zinc/56/61/98/1049566198.db2.gz YAOSEEJFRZVFOM-KDOFPFPSSA-N 0 3 303.833 4.169 20 0 DIADHN CC1=CC[C@H](NCc2nc3ccc(Br)cc3o2)CC1 ZINC001182061976 1049566528 /nfs/dbraw/zinc/56/65/28/1049566528.db2.gz IIZNKOWGEVQMRU-LBPRGKRZSA-N 0 3 321.218 4.179 20 0 DIADHN CSC1CC(N[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)C1 ZINC001182055248 1049567130 /nfs/dbraw/zinc/56/71/30/1049567130.db2.gz YVKSBEHJGMBPSL-UEJVZZJDSA-N 0 3 324.799 4.298 20 0 DIADHN C[C@@H]1CN(C[C@@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)[C@@H]1C ZINC001182062065 1049567929 /nfs/dbraw/zinc/56/79/29/1049567929.db2.gz KDSMRJUWVLMOSP-RBSFLKMASA-N 0 3 312.498 4.046 20 0 DIADHN CCOc1cc(C[NH2+]C2CC(C(C)(C)C)C2)cc(Cl)c1[O-] ZINC001182062724 1049568131 /nfs/dbraw/zinc/56/81/31/1049568131.db2.gz OHQQJASJLIYWAH-UHFFFAOYSA-N 0 3 311.853 4.359 20 0 DIADHN Cc1cccnc1CN1CCC2(CC1)OC(C)(C)c1ccccc12 ZINC001205807631 1049569516 /nfs/dbraw/zinc/56/95/16/1049569516.db2.gz QNUJXAWJSUIPOQ-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN Fc1cccnc1CNC1(Cc2ccc(Cl)cc2)CCC1 ZINC001182085451 1049570361 /nfs/dbraw/zinc/57/03/61/1049570361.db2.gz FHHPAMOIKQOYDA-UHFFFAOYSA-N 0 3 304.796 4.129 20 0 DIADHN CC[C@@H](C)N1CCN(Cc2ccc(F)c(Cl)c2Cl)CC1 ZINC001205813052 1049570642 /nfs/dbraw/zinc/57/06/42/1049570642.db2.gz RJFICOLNNBPNAQ-LLVKDONJSA-N 0 3 319.251 4.049 20 0 DIADHN Cc1cc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)cc(C)n1 ZINC001182086168 1049570604 /nfs/dbraw/zinc/57/06/04/1049570604.db2.gz IHOSLPQIALEEOR-GOSISDBHSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN1CC(C)(C)[C@H]1C(C)C ZINC001182098756 1049573918 /nfs/dbraw/zinc/57/39/18/1049573918.db2.gz IINXDHFKVPYSQF-GOSISDBHSA-N 0 3 315.436 4.186 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN1CCCC[C@H]1C1CCC1 ZINC001195825799 1049576635 /nfs/dbraw/zinc/57/66/35/1049576635.db2.gz RQBRYZQDRVZZCE-NRFANRHFSA-N 0 3 323.484 4.280 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CN(CC(=O)OC(C)(C)C)C1CCC1 ZINC001206485047 1049580408 /nfs/dbraw/zinc/58/04/08/1049580408.db2.gz QHRBWGUPKAHTCZ-DOTOQJQBSA-N 0 3 307.478 4.175 20 0 DIADHN COc1ccc(CN2CCS[C@H](c3ccccc3)C2)cc1C ZINC001195863528 1049580788 /nfs/dbraw/zinc/58/07/88/1049580788.db2.gz UNTURCKWWMKESW-IBGZPJMESA-N 0 3 313.466 4.294 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)/C(F)=C/C1CCCCC1 ZINC000774023638 1049588726 /nfs/dbraw/zinc/58/87/26/1049588726.db2.gz IVWHMXWMLPHYEO-BOPFTXTBSA-N 0 3 318.436 4.429 20 0 DIADHN COCCN(Cc1coc(-c2cccs2)n1)[C@H]1CCC[C@@H]1C ZINC000487971038 1049590195 /nfs/dbraw/zinc/59/01/95/1049590195.db2.gz JHEBBAUGDUNCAH-ZFWWWQNUSA-N 0 3 320.458 4.040 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)N1C2CCC1CC2)c1ccccc1 ZINC001182234978 1049591996 /nfs/dbraw/zinc/59/19/96/1049591996.db2.gz LGPAJXGCTLBQPV-CTWPCTMYSA-N 0 3 314.473 4.003 20 0 DIADHN CCCCN(CC)[C@H](C(=O)N1C2CCC1CC2)c1ccccc1 ZINC001182234976 1049592049 /nfs/dbraw/zinc/59/20/49/1049592049.db2.gz LGPAJXGCTLBQPV-ACBHZAAOSA-N 0 3 314.473 4.003 20 0 DIADHN COCCN(Cc1ncc(-c2cccs2)o1)[C@@H]1CCC[C@H]1C ZINC000488039332 1049592790 /nfs/dbraw/zinc/59/27/90/1049592790.db2.gz CSPMNQDXSRVZJH-ZIAGYGMSSA-N 0 3 320.458 4.040 20 0 DIADHN CCCCN(CC)[C@H](C(=O)N[C@@H]1CC=CCC1)c1ccccc1 ZINC001182238164 1049595055 /nfs/dbraw/zinc/59/50/55/1049595055.db2.gz UZIJYQSMUNUBOZ-MOPGFXCFSA-N 0 3 314.473 4.075 20 0 DIADHN C[C@]1(Cc2ccccc2Cl)CCCN1Cc1ccnc(F)c1 ZINC001196021310 1049603826 /nfs/dbraw/zinc/60/38/26/1049603826.db2.gz FXRQEIUHUCCGOB-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN COc1ccc([C@@H](NCCc2ccns2)c2ccccc2)cc1 ZINC001196023158 1049604563 /nfs/dbraw/zinc/60/45/63/1049604563.db2.gz RVZHBLWQIOONLL-IBGZPJMESA-N 0 3 324.449 4.073 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC3(CCCC3)CC2)cc1F ZINC001196038946 1049607793 /nfs/dbraw/zinc/60/77/93/1049607793.db2.gz HGNSVHTYBGCONY-UHFFFAOYSA-N 0 3 318.436 4.119 20 0 DIADHN Cc1cc(F)ccc1NC(=S)Nc1ccccc1CN(C)C ZINC001196126889 1049616500 /nfs/dbraw/zinc/61/65/00/1049616500.db2.gz SYOSHLUMMRLVSL-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC001196135352 1049617862 /nfs/dbraw/zinc/61/78/62/1049617862.db2.gz VQYGLUZMMRECIL-KKSFZXQISA-N 0 3 321.420 4.248 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2cccc(OC(C)C)c2)c(C)o1 ZINC000774200638 1049623501 /nfs/dbraw/zinc/62/35/01/1049623501.db2.gz CZVMVGCOYOIKPB-AUUYWEPGSA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2cccc(OC(C)C)c2)c(C)o1 ZINC000774200647 1049624726 /nfs/dbraw/zinc/62/47/26/1049624726.db2.gz CZVMVGCOYOIKPB-KUHUBIRLSA-N 0 3 317.429 4.068 20 0 DIADHN CCN(Cc1cccc(Br)c1)[C@@H](C)c1ccccn1 ZINC000488281057 1049625107 /nfs/dbraw/zinc/62/51/07/1049625107.db2.gz MYKXUYUWHLEWRM-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2ccc(F)cc2F)cc1 ZINC001182343621 1049629851 /nfs/dbraw/zinc/62/98/51/1049629851.db2.gz FHBCVSOYSAZJET-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN CSCCCN[C@H](C)c1cc(F)c(Br)cc1F ZINC001196226424 1049631466 /nfs/dbraw/zinc/63/14/66/1049631466.db2.gz DIVFRCIECSWDNW-MRVPVSSYSA-N 0 3 324.234 4.131 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CC[C@@H](C2CCC2)C1 ZINC001182356877 1049635221 /nfs/dbraw/zinc/63/52/21/1049635221.db2.gz PVZISZXLHUJMQB-CQSZACIVSA-N 0 3 302.443 4.334 20 0 DIADHN CC[C@H](N[C@H]1CCCc2occc21)[C@@H](O)c1c(F)cccc1F ZINC000774257062 1049637926 /nfs/dbraw/zinc/63/79/26/1049637926.db2.gz FXFDCWCECMAFJP-RLFYNMQTSA-N 0 3 321.367 4.037 20 0 DIADHN COc1cccnc1CN[C@H]1CCC[C@@H]1c1ccccc1Cl ZINC001182387332 1049640919 /nfs/dbraw/zinc/64/09/19/1049640919.db2.gz FNLAVOALUCCKSK-ZBFHGGJFSA-N 0 3 316.832 4.170 20 0 DIADHN CCOc1cccc(CN2CC(c3ccccc3)(C(C)C)C2)c1 ZINC001182425057 1049643824 /nfs/dbraw/zinc/64/38/24/1049643824.db2.gz YTEDFCFVJJUETB-UHFFFAOYSA-N 0 3 309.453 4.495 20 0 DIADHN CCCCC[C@H]1CCCCN1CCCS(=O)(=O)C(C)(C)C ZINC001196328756 1049644093 /nfs/dbraw/zinc/64/40/93/1049644093.db2.gz GXBZTXYLLJFSAO-INIZCTEOSA-N 0 3 317.539 4.025 20 0 DIADHN COC(=O)c1occc1CN1CCC[C@H]1CC1CCCCC1 ZINC000488384968 1049644744 /nfs/dbraw/zinc/64/47/44/1049644744.db2.gz NMOXAAZZKQSQLN-INIZCTEOSA-N 0 3 305.418 4.001 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2COC3(CCCCCC3)O2)c(C)o1 ZINC000774304025 1049644782 /nfs/dbraw/zinc/64/47/82/1049644782.db2.gz UEOAHXIFBXTSEM-GDBMZVCRSA-N 0 3 307.434 4.013 20 0 DIADHN CCc1ccc(CCN2CCN(c3ccc(C)cc3C)CC2)cc1 ZINC001196339676 1049645864 /nfs/dbraw/zinc/64/58/64/1049645864.db2.gz VJGQPAFHCSBVAA-UHFFFAOYSA-N 0 3 322.496 4.231 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccccc2Br)CS1 ZINC000488414266 1049651490 /nfs/dbraw/zinc/65/14/90/1049651490.db2.gz NOTRCTXSHIBCIM-GXTWGEPZSA-N 0 3 314.292 4.069 20 0 DIADHN c1ccc(SC2CC(N[C@@H]3COCc4ccccc43)C2)cc1 ZINC001182443267 1049652025 /nfs/dbraw/zinc/65/20/25/1049652025.db2.gz IEKISTAXKWPBEC-GXOAENINSA-N 0 3 311.450 4.171 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CCCc3ccc(C)cc32)cn1 ZINC000339068314 1049655006 /nfs/dbraw/zinc/65/50/06/1049655006.db2.gz DNUJPRFTXOWYHA-HXUWFJFHSA-N 0 3 323.484 4.403 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@H](C4CCCCC4)C3)cn21 ZINC001196410018 1049655064 /nfs/dbraw/zinc/65/50/64/1049655064.db2.gz LFQSGQKTNFTCHC-SFHVURJKSA-N 0 3 311.473 4.435 20 0 DIADHN CC(C)(NC[C@H]1CC2(CCC2)CO1)c1nc2ccccc2s1 ZINC001196489195 1049660108 /nfs/dbraw/zinc/66/01/08/1049660108.db2.gz MPCIYMKLPRXSAJ-CYBMUJFWSA-N 0 3 316.470 4.080 20 0 DIADHN Nc1ccnc2cc(-c3cc(F)cc(N4CCCC4)c3)ccc12 ZINC001206130706 1049662188 /nfs/dbraw/zinc/66/21/88/1049662188.db2.gz RRNFUACQYFRWGR-UHFFFAOYSA-N 0 3 307.372 4.223 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H](C(F)(F)F)C2)[n-]1 ZINC000488504197 1049663283 /nfs/dbraw/zinc/66/32/83/1049663283.db2.gz VWWQWOYARZIOAT-NNYUYHANSA-N 0 3 318.387 4.090 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000774436406 1049663317 /nfs/dbraw/zinc/66/33/17/1049663317.db2.gz QUPUKCUJHCPHDZ-SFHVURJKSA-N 0 3 315.844 4.345 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CC[C@H](N(C)c2ccccc2)C1 ZINC001196505942 1049663453 /nfs/dbraw/zinc/66/34/53/1049663453.db2.gz JUNLTIBTZTWGOY-PBHICJAKSA-N 0 3 316.395 4.237 20 0 DIADHN CCCOc1ccc(-c2ncccc2CN2CCCC2)c(F)c1 ZINC001206136332 1049663807 /nfs/dbraw/zinc/66/38/07/1049663807.db2.gz JOGSUXSBOYMXSJ-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@@H](C)c2ccccc2)[n-]1 ZINC000488493383 1049664592 /nfs/dbraw/zinc/66/45/92/1049664592.db2.gz FYZZSMKCMNNURS-XGUBFFRZSA-N 0 3 300.450 4.161 20 0 DIADHN Brc1ccc([C@@H]2CCCN2C[C@@H]2CC=CCC2)nc1 ZINC001206160315 1049672499 /nfs/dbraw/zinc/67/24/99/1049672499.db2.gz YNZQTYXBNDDOKF-CJNGLKHVSA-N 0 3 321.262 4.337 20 0 DIADHN C/C=C/C[C@@H]1CCCN(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC001196604259 1049672530 /nfs/dbraw/zinc/67/25/30/1049672530.db2.gz DFTWQOAKZKZXPE-IOHGLXEESA-N 0 3 311.828 4.191 20 0 DIADHN CC(C)Oc1cc(-c2cc(N3CCCC3)ccn2)ccc1F ZINC001206163393 1049673711 /nfs/dbraw/zinc/67/37/11/1049673711.db2.gz RZWXKHPVUQKDDY-UHFFFAOYSA-N 0 3 300.377 4.275 20 0 DIADHN CCN(CCc1ccccc1)[C@H](C)C(=O)Nc1cc(C)ccc1C ZINC000488557124 1049674428 /nfs/dbraw/zinc/67/44/28/1049674428.db2.gz SZRVNSKILLCGLZ-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](N[C@H]2CCOc3c(F)cccc32)CS1 ZINC000488550571 1049674519 /nfs/dbraw/zinc/67/45/19/1049674519.db2.gz YOLPZXHTEMBVFC-BYCMXARLSA-N 0 3 309.450 4.159 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](O)c2cc3ccccc3s2)o1 ZINC000120162727 1049677112 /nfs/dbraw/zinc/67/71/12/1049677112.db2.gz PTZXSBGBELITNX-GXTWGEPZSA-N 0 3 301.411 4.187 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@H]1C[C@]1(F)c1ccccc1 ZINC001182500418 1049678847 /nfs/dbraw/zinc/67/88/47/1049678847.db2.gz SITWXTGIUMHPCD-BVGQSLNGSA-N 0 3 303.808 4.498 20 0 DIADHN CCN(CC(C)C)[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000488591541 1049680744 /nfs/dbraw/zinc/68/07/44/1049680744.db2.gz GJUZTSNZVYVSKA-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN CC1(C)C[C@H](c2ccccc2)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC001182507361 1049681485 /nfs/dbraw/zinc/68/14/85/1049681485.db2.gz BPPCTKSXVOUYQX-MRXNPFEDSA-N 0 3 323.392 4.359 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccc3c(c2)CCC3)C2CC2)cn1 ZINC001196667815 1049681764 /nfs/dbraw/zinc/68/17/64/1049681764.db2.gz YVZWXBMVPBXXKZ-LHSJRXKWSA-N 0 3 322.452 4.381 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H]2CCOc3c(F)cccc32)CS1 ZINC000488596340 1049682404 /nfs/dbraw/zinc/68/24/04/1049682404.db2.gz YOLPZXHTEMBVFC-GLQYFDAESA-N 0 3 309.450 4.159 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)CC(=O)N1CCCCCC1 ZINC000488676613 1049687158 /nfs/dbraw/zinc/68/71/58/1049687158.db2.gz ZIKKPGDODMJNPW-FQEVSTJZSA-N 0 3 316.489 4.027 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)CC(=O)Nc1ccccc1 ZINC000488724392 1049688502 /nfs/dbraw/zinc/68/85/02/1049688502.db2.gz JZCOANUHLISMJM-HXUWFJFHSA-N 0 3 310.441 4.263 20 0 DIADHN CC1(C)[C@H](c2cccc(F)c2)CCN1Cc1cc(C2CC2)no1 ZINC001196799185 1049690111 /nfs/dbraw/zinc/69/01/11/1049690111.db2.gz RHGBXKQFOSENTF-KRWDZBQOSA-N 0 3 314.404 4.459 20 0 DIADHN Cc1occc1CN1CCC(Cc2cccc(F)c2F)CC1 ZINC001206255777 1049691068 /nfs/dbraw/zinc/69/10/68/1049691068.db2.gz DPKIZDQYRJGJAC-UHFFFAOYSA-N 0 3 305.368 4.321 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H]1CCc2c1cccc2O ZINC000774535047 1049705070 /nfs/dbraw/zinc/70/50/70/1049705070.db2.gz VISUMDWYOFVTIG-MRXNPFEDSA-N 0 3 306.365 4.048 20 0 DIADHN Cn1cc(CN2CCC(C3CCCCC3)CC2)c(C2CC2)n1 ZINC001182753487 1049706420 /nfs/dbraw/zinc/70/64/20/1049706420.db2.gz IWXJQUZUTGDQCX-UHFFFAOYSA-N 0 3 301.478 4.090 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2ccc(Cl)cc2)CC1 ZINC001197030838 1049716588 /nfs/dbraw/zinc/71/65/88/1049716588.db2.gz FUEXWDNKESIGAS-UHFFFAOYSA-N 0 3 318.848 4.400 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccc(OCC3CC3)cc1)C2 ZINC001206351737 1049717585 /nfs/dbraw/zinc/71/75/85/1049717585.db2.gz XMHFTEMSNFFJKO-UHFFFAOYSA-N 0 3 307.437 4.342 20 0 DIADHN CCC[C@H](C)CCCN1CCN(Cc2cccc(OC)c2)CC1 ZINC001197078179 1049720043 /nfs/dbraw/zinc/72/00/43/1049720043.db2.gz SFPVKMHXDJZRMN-SFHVURJKSA-N 0 3 318.505 4.029 20 0 DIADHN Cc1occc1CN1CCCC[C@@H]1CCC(=O)OC(C)(C)C ZINC001206384845 1049723568 /nfs/dbraw/zinc/72/35/68/1049723568.db2.gz OIKJJWPFJGMRGT-MRXNPFEDSA-N 0 3 307.434 4.064 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(F)c3cccnc23)cc1C ZINC000489062858 1049726371 /nfs/dbraw/zinc/72/63/71/1049726371.db2.gz BESPMRWFNDLYEC-UHFFFAOYSA-N 0 3 324.399 4.323 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN1CCN(c2c(F)cccc2F)CC1 ZINC001206418238 1049731977 /nfs/dbraw/zinc/73/19/77/1049731977.db2.gz ATNHQGJDIXCDPQ-JKSUJKDBSA-N 0 3 322.443 4.303 20 0 DIADHN Cc1ccc(CN2Cc3ccccc3N3CCC[C@@H]3C2)cc1F ZINC001197264030 1049733604 /nfs/dbraw/zinc/73/36/04/1049733604.db2.gz JWZULPGVGCTOEY-GOSISDBHSA-N 0 3 310.416 4.119 20 0 DIADHN Cc1nccc(CN2CC[C@H](c3ccccc3Cl)C2(C)C)n1 ZINC001197316429 1049737268 /nfs/dbraw/zinc/73/72/68/1049737268.db2.gz NTCSIULBFPZHMO-MRXNPFEDSA-N 0 3 315.848 4.207 20 0 DIADHN CCN(CC1CC1)[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000489251021 1049737342 /nfs/dbraw/zinc/73/73/42/1049737342.db2.gz DDSHXDUEKJZBOK-LJQANCHMSA-N 0 3 308.425 4.098 20 0 DIADHN CC[C@H](C)CN(CC)[C@@H](C)c1nc(-c2ccc(OC)cc2)no1 ZINC000489334254 1049744988 /nfs/dbraw/zinc/74/49/88/1049744988.db2.gz SUCHFAAUZDBZRS-KBPBESRZSA-N 0 3 317.433 4.174 20 0 DIADHN CC[C@@H](C)CN(CC)[C@H](C)c1nc(-c2ccccc2C)no1 ZINC000489329898 1049745625 /nfs/dbraw/zinc/74/56/25/1049745625.db2.gz QDTCFTLPTHUWBZ-UKRRQHHQSA-N 0 3 301.434 4.474 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1CCc2ccccc21 ZINC000156046182 1049750581 /nfs/dbraw/zinc/75/05/81/1049750581.db2.gz QZUHDHCKSUEXGU-QGZVFWFLSA-N 0 3 304.393 4.161 20 0 DIADHN CN1CCc2ccc(NC3=CC[C@H](C(F)(F)F)CC3)cc2C1 ZINC001197425639 1049751373 /nfs/dbraw/zinc/75/13/73/1049751373.db2.gz LFFRTBQJSBSTRW-AWEZNQCLSA-N 0 3 310.363 4.333 20 0 DIADHN CSCc1ccc(CN2C[C@H](C)OC3(CCCC3)C2)cc1 ZINC001206544821 1049752569 /nfs/dbraw/zinc/75/25/69/1049752569.db2.gz OHZQCHACTOPFDA-HNNXBMFYSA-N 0 3 305.487 4.083 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CCCC[C@H]2C2CCC2)s1 ZINC001206552069 1049754044 /nfs/dbraw/zinc/75/40/44/1049754044.db2.gz GJRCXALAPUFOEY-LBPRGKRZSA-N 0 3 313.898 4.017 20 0 DIADHN CC[C@@H]1COC(C)(C)CN1Cc1cccc(CC2CCC2)c1 ZINC001206568677 1049759418 /nfs/dbraw/zinc/75/94/18/1049759418.db2.gz NWFHXIMCDDREMG-LJQANCHMSA-N 0 3 301.474 4.419 20 0 DIADHN CCN(CC)Cc1cc(-c2cc3n[nH]cc3c(F)c2)ccc1F ZINC001206609064 1049768735 /nfs/dbraw/zinc/76/87/35/1049768735.db2.gz OUGFHZSHUMXXKW-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(N(C)C)c2)cc1Cl ZINC000489748417 1049781045 /nfs/dbraw/zinc/78/10/45/1049781045.db2.gz ZHMDQHNBXBUQSC-UHFFFAOYSA-N 0 3 318.848 4.047 20 0 DIADHN CC(C)C1CC(N[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001206720549 1049783098 /nfs/dbraw/zinc/78/30/98/1049783098.db2.gz JWLBMJRYRODXSF-QIMFLAQGSA-N 0 3 302.245 4.051 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1CCc2ccc(O)cc21 ZINC001206716073 1049783898 /nfs/dbraw/zinc/78/38/98/1049783898.db2.gz ZZDPZRDCIMBQBF-SFHVURJKSA-N 0 3 301.817 4.440 20 0 DIADHN CN(Cc1nnc(-c2cccc(Cl)c2)o1)C1(C)CCCC1 ZINC000489847009 1049784284 /nfs/dbraw/zinc/78/42/84/1049784284.db2.gz OOVUDERLIGXJSM-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc(C)cc1OC ZINC001206763212 1049793622 /nfs/dbraw/zinc/79/36/22/1049793622.db2.gz XGYQTFZOWZBOCB-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN COc1cc(C)ccc1CN1CCC[C@H](Oc2ccccc2)C1 ZINC001206762980 1049793783 /nfs/dbraw/zinc/79/37/83/1049793783.db2.gz SAOSYVKZGDZGPS-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc(C)cc2OC)c1 ZINC001206771240 1049796304 /nfs/dbraw/zinc/79/63/04/1049796304.db2.gz ZTYHHCDAGNHWLT-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)cc(C)n1 ZINC001206777916 1049798425 /nfs/dbraw/zinc/79/84/25/1049798425.db2.gz WWTOFZBRHIWCPE-QGZVFWFLSA-N 0 3 316.395 4.356 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3nc(-c4ccco4)no3)C2)cc1 ZINC000490077514 1049799266 /nfs/dbraw/zinc/79/92/66/1049799266.db2.gz FDRMLSZTPKVJFM-GDBMZVCRSA-N 0 3 323.396 4.016 20 0 DIADHN CCCN([C@H](C)C(=O)Nc1ccccc1C)[C@@H]1C=CCCC1 ZINC000490077642 1049799926 /nfs/dbraw/zinc/79/99/26/1049799926.db2.gz DZDGUDQOJBXPGU-IAGOWNOFSA-N 0 3 300.446 4.143 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3cn4ccsc4n3)C2)cc1 ZINC000490085215 1049802040 /nfs/dbraw/zinc/80/20/40/1049802040.db2.gz FFBOSPDNHCKTGS-GOEBONIOSA-N 0 3 311.454 4.082 20 0 DIADHN CC1CCC(CN[C@H](c2cnn(C)c2)c2cccc(F)c2)CC1 ZINC000490149306 1049803481 /nfs/dbraw/zinc/80/34/81/1049803481.db2.gz IQQVKOYNIUQMQF-ZOJXTTQQSA-N 0 3 315.436 4.065 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN(C)C1CCCCC1 ZINC001206804133 1049804166 /nfs/dbraw/zinc/80/41/66/1049804166.db2.gz OZLSAPWYRIXLRI-UHFFFAOYSA-N 0 3 321.465 4.048 20 0 DIADHN COc1ccc(-c2cc(CN3CCCCC[C@@H]3C)no2)cc1 ZINC001206825392 1049807350 /nfs/dbraw/zinc/80/73/50/1049807350.db2.gz IZEIKSNWKMAGOL-AWEZNQCLSA-N 0 3 300.402 4.115 20 0 DIADHN CCn1nccc1CN1CCC[C@@]1(C)Cc1ccccc1Cl ZINC001206837447 1049811637 /nfs/dbraw/zinc/81/16/37/1049811637.db2.gz FMMZMZFHMGFLCP-SFHVURJKSA-N 0 3 317.864 4.154 20 0 DIADHN Cc1ccnc(CN2CCC(n3ccc4ccccc43)CC2)c1 ZINC001206853575 1049814315 /nfs/dbraw/zinc/81/43/15/1049814315.db2.gz AIWCCODUSQLBBK-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN Cc1ccnc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)c1 ZINC001206852638 1049815663 /nfs/dbraw/zinc/81/56/63/1049815663.db2.gz DFRQOBQANKARLO-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN Cc1ncsc1CN1CCC(Cc2ccc(F)cc2F)CC1 ZINC001206991456 1049817079 /nfs/dbraw/zinc/81/70/79/1049817079.db2.gz HHMOSERFZXBLRJ-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN Cc1ncsc1CN1CCC2(CC1)O[C@@H](C)c1ccccc12 ZINC001206989000 1049817467 /nfs/dbraw/zinc/81/74/67/1049817467.db2.gz JDCGRBNSHUMLRQ-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN O=c1[nH]cccc1CN1CC[C@@H](c2cccc(Cl)c2Cl)C1 ZINC001207005958 1049818826 /nfs/dbraw/zinc/81/88/26/1049818826.db2.gz XPLDRRATOJBLQP-LLVKDONJSA-N 0 3 323.223 4.084 20 0 DIADHN C[C@H](Cc1ccccc1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000490285095 1049820212 /nfs/dbraw/zinc/82/02/12/1049820212.db2.gz IAUMQAGXVSSGOZ-OAHLLOKOSA-N 0 3 321.424 4.144 20 0 DIADHN Fc1cncc(CN(CCc2ccccc2)Cc2ccccc2)c1 ZINC001207023512 1049820566 /nfs/dbraw/zinc/82/05/66/1049820566.db2.gz IKJKAPMFAMRWJX-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@](C)(CC)c1ccc(F)cc1 ZINC001207093936 1049834734 /nfs/dbraw/zinc/83/47/34/1049834734.db2.gz NZQVGZJZLUOYRC-IBGZPJMESA-N 0 3 317.452 4.099 20 0 DIADHN COc1c(O)cccc1CN1CC[C@@H](c2ccccc2)[C@@H](C)C1 ZINC000490545113 1049838509 /nfs/dbraw/zinc/83/85/09/1049838509.db2.gz MGHIIFSFKOUDPW-MAUKXSAKSA-N 0 3 311.425 4.026 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1cnn(C2CCC2)c1 ZINC000924854426 1049839601 /nfs/dbraw/zinc/83/96/01/1049839601.db2.gz HEKDPVDYERQDFM-AWEZNQCLSA-N 0 3 306.413 4.007 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@H]1c1cccc(C)c1C ZINC000490571604 1049842908 /nfs/dbraw/zinc/84/29/08/1049842908.db2.gz UZGXUADKGSNWPF-SFHVURJKSA-N 0 3 311.425 4.355 20 0 DIADHN CN(CCCC(=O)c1cccnc1)Cc1cccc(C(F)F)c1 ZINC001207133830 1049843852 /nfs/dbraw/zinc/84/38/52/1049843852.db2.gz YVPKMLSKIGNMFH-UHFFFAOYSA-N 0 3 318.367 4.114 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc3c(c2)CCCC3)cn1 ZINC000490601703 1049845951 /nfs/dbraw/zinc/84/59/51/1049845951.db2.gz NTDMDUBOBZSUPG-UHFFFAOYSA-N 0 3 310.441 4.037 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](CC)c1cc(C)ccc1C ZINC001207142374 1049846464 /nfs/dbraw/zinc/84/64/64/1049846464.db2.gz QFBWCZOBVJFWKM-GOSISDBHSA-N 0 3 313.489 4.403 20 0 DIADHN Fc1ccc(N2CCN(CCC3CCCCC3)CC2)c(F)c1 ZINC001207186569 1049855673 /nfs/dbraw/zinc/85/56/73/1049855673.db2.gz WLUDBCSEILKOGN-UHFFFAOYSA-N 0 3 308.416 4.057 20 0 DIADHN CN(CCOCc1ccccc1)Cc1ccccc1C(F)(F)F ZINC001207236167 1049867379 /nfs/dbraw/zinc/86/73/79/1049867379.db2.gz YVMOQTYNSSQFAB-UHFFFAOYSA-N 0 3 323.358 4.354 20 0 DIADHN C[C@H](CN1CCC[C@H](CF)C1)c1cccc(Br)c1 ZINC001207315163 1049879475 /nfs/dbraw/zinc/87/94/75/1049879475.db2.gz QIFMRVXDNFRQBV-CHWSQXEVSA-N 0 3 314.242 4.234 20 0 DIADHN C[C@H](NCCc1ccns1)c1ccc(-c2ccccc2)cn1 ZINC001207326817 1049880625 /nfs/dbraw/zinc/88/06/25/1049880625.db2.gz UPHGJRBXIPQMSW-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN Cc1nc(Cl)c(CCN2CCC[C@H](C)[C@@H](C)C2)c(Cl)n1 ZINC001207335243 1049881104 /nfs/dbraw/zinc/88/11/04/1049881104.db2.gz YOCQPZHOWCZYJU-QWRGUYRKSA-N 0 3 316.276 4.002 20 0 DIADHN CCCN(Cc1cccc(O)c1OC)[C@H]1CCc2ccccc21 ZINC000491073806 1049882076 /nfs/dbraw/zinc/88/20/76/1049882076.db2.gz BGWCSZOOYQCTIZ-SFHVURJKSA-N 0 3 311.425 4.300 20 0 DIADHN CC[C@@](C)(O)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000491058930 1049883379 /nfs/dbraw/zinc/88/33/79/1049883379.db2.gz JAEBNXZSXPNIRU-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN Brc1ccc(CCN2Cc3ccccc3C2)cc1 ZINC001207379439 1049886538 /nfs/dbraw/zinc/88/65/38/1049886538.db2.gz YBVDEOVDSPJELJ-UHFFFAOYSA-N 0 3 302.215 4.007 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@@H](C3CC3)C2)cs1 ZINC000491140248 1049886792 /nfs/dbraw/zinc/88/67/92/1049886792.db2.gz OCRSKYCRFYHOFT-CYBMUJFWSA-N 0 3 302.418 4.181 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@H](C4CC4)C3)nc2c1 ZINC000491172911 1049889222 /nfs/dbraw/zinc/88/92/22/1049889222.db2.gz JKXDWOBJLVKHHB-NSHDSACASA-N 0 3 310.319 4.079 20 0 DIADHN CC[C@H](CCC(F)(F)F)NCc1noc2c1CC(C)(C)CC2 ZINC001207415142 1049890735 /nfs/dbraw/zinc/89/07/35/1049890735.db2.gz KOGXSGFPHSPPNX-LLVKDONJSA-N 0 3 318.383 4.400 20 0 DIADHN Clc1cccc(CCN2CC[C@H](OCc3ccccc3)C2)c1 ZINC001207422458 1049890975 /nfs/dbraw/zinc/89/09/75/1049890975.db2.gz FXOGYJUWBOAQLQ-IBGZPJMESA-N 0 3 315.844 4.174 20 0 DIADHN COc1ccc(CCN2CCC[C@@H]2c2ccc(F)cc2F)cc1 ZINC001207477076 1049895769 /nfs/dbraw/zinc/89/57/69/1049895769.db2.gz SMYSDOXAQHEOJZ-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccccc1CCN1CCC[C@H]1c1c(F)cccc1F ZINC001207460031 1049895977 /nfs/dbraw/zinc/89/59/77/1049895977.db2.gz FGBZYSCUMMUJEM-KRWDZBQOSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccc(CCN2CCC[C@H]2c2cc(F)ccc2F)cc1 ZINC001207477574 1049897705 /nfs/dbraw/zinc/89/77/05/1049897705.db2.gz NRQKZLISZUFGNP-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccccc1CCCN1CCSc2ccccc2C1 ZINC001207497403 1049899316 /nfs/dbraw/zinc/89/93/16/1049899316.db2.gz XIYRTZFMNZWMEK-UHFFFAOYSA-N 0 3 301.430 4.366 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2ccc(C)nc2C)cc1 ZINC000586795533 1049899735 /nfs/dbraw/zinc/89/97/35/1049899735.db2.gz WIJLKWULKDOOLJ-AWEZNQCLSA-N 0 3 300.471 4.412 20 0 DIADHN Clc1cccc(CCCN2CCc3nc(Cl)ccc3C2)c1 ZINC001207590755 1049913179 /nfs/dbraw/zinc/91/31/79/1049913179.db2.gz VXOOLWKFQYVCLI-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCOC[C@@H](C)C1 ZINC001207631305 1049918272 /nfs/dbraw/zinc/91/82/72/1049918272.db2.gz MQQXVRIJOYFXNQ-NSHDSACASA-N 0 3 309.862 4.331 20 0 DIADHN Cc1cc(F)c(CN[C@@H](C)[C@H]2COc3ccccc3C2)c(F)c1 ZINC001207655644 1049921522 /nfs/dbraw/zinc/92/15/22/1049921522.db2.gz YTLWEMXCZAUSDD-DZGCQCFKSA-N 0 3 317.379 4.003 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)NCc1cc(C2CC2)n(C)n1 ZINC001207662633 1049923613 /nfs/dbraw/zinc/92/36/13/1049923613.db2.gz RHKZFRJMIQWCTB-OAHLLOKOSA-N 0 3 311.473 4.144 20 0 DIADHN COc1ccc(Br)c(CN(CC(C)C)C(C)C)c1 ZINC000087798229 1049924862 /nfs/dbraw/zinc/92/48/62/1049924862.db2.gz JBDQZFXLHDAJOT-UHFFFAOYSA-N 0 3 314.267 4.324 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1)C1CC1 ZINC001207669986 1049925286 /nfs/dbraw/zinc/92/52/86/1049925286.db2.gz RAHYKNFAGLSDDU-IINYFYTJSA-N 0 3 305.809 4.229 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN1CCC[C@H]1c1nccs1 ZINC001207678395 1049926036 /nfs/dbraw/zinc/92/60/36/1049926036.db2.gz LFOYLUSUKZJESV-GJZGRUSLSA-N 0 3 310.507 4.247 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN1CCC[C@@H]1c1nccs1 ZINC001207678396 1049926182 /nfs/dbraw/zinc/92/61/82/1049926182.db2.gz LFOYLUSUKZJESV-HUUCEWRRSA-N 0 3 310.507 4.247 20 0 DIADHN Cc1cccc(C)c1CN(C)Cc1ccc(N(C)C(C)C)nc1 ZINC001207680113 1049926517 /nfs/dbraw/zinc/92/65/17/1049926517.db2.gz WAGSSFMQFIZFMY-UHFFFAOYSA-N 0 3 311.473 4.175 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCC(C)(C)C(F)(F)F)c1 ZINC000571227295 1049927355 /nfs/dbraw/zinc/92/73/55/1049927355.db2.gz WNJXKIZELADEGR-UHFFFAOYSA-N 0 3 311.294 4.275 20 0 DIADHN Cc1c(F)nccc1CN1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001207732994 1049931993 /nfs/dbraw/zinc/93/19/93/1049931993.db2.gz UEMCTUOILRPEPV-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Fc1nccc(Cl)c1CNCCC1CCC(F)(F)CC1 ZINC001207762966 1049936850 /nfs/dbraw/zinc/93/68/50/1049936850.db2.gz GPTHGULBZQYCMI-UHFFFAOYSA-N 0 3 306.759 4.179 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H](N[C@@H](C(N)=O)c2ccc(Cl)cc2)C1 ZINC001207793797 1049938512 /nfs/dbraw/zinc/93/85/12/1049938512.db2.gz VHJHFDIJCHGWTM-KBRIMQKVSA-N 0 3 322.880 4.061 20 0 DIADHN CCc1ccc(CN2CCC(Oc3ccncc3C)CC2)s1 ZINC000571609981 1049940237 /nfs/dbraw/zinc/94/02/37/1049940237.db2.gz DVQLCLYVUYPTFY-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CC[C@H]1CCCC[C@H]1CNCc1ncccc1Br ZINC001207799342 1049940306 /nfs/dbraw/zinc/94/03/06/1049940306.db2.gz ZSFKVIORLGZZEE-STQMWFEESA-N 0 3 311.267 4.150 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CC3(C2)CC(F)(F)C3)c1 ZINC001207842150 1049945922 /nfs/dbraw/zinc/94/59/22/1049945922.db2.gz UHMOORSYXYVNCZ-UHFFFAOYSA-N 0 3 319.317 4.369 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)CN2CCc3cnccc3C2)cc1 ZINC001207930892 1049955531 /nfs/dbraw/zinc/95/55/31/1049955531.db2.gz CNCMIKQXSLXBHK-QGZVFWFLSA-N 0 3 308.469 4.442 20 0 DIADHN CC(C)c1ccc(C[C@H](C)CN2CCC(=O)[C@H](C)CC2)cc1 ZINC001207931581 1049957750 /nfs/dbraw/zinc/95/77/50/1049957750.db2.gz HNZIZOKDRGXGFM-DLBZAZTESA-N 0 3 301.474 4.290 20 0 DIADHN Cc1ccc(CCCN2CCC(C(=O)c3ccccc3)CC2)o1 ZINC001207936580 1049958343 /nfs/dbraw/zinc/95/83/43/1049958343.db2.gz AMFKJCMYAPJKOS-UHFFFAOYSA-N 0 3 311.425 4.116 20 0 DIADHN Clc1ccc([C@H]2CCN(C[C@H]3CCCCO3)C2)cc1Cl ZINC001207956396 1049963793 /nfs/dbraw/zinc/96/37/93/1049963793.db2.gz KSIYWZLLQWNCJB-UONOGXRCSA-N 0 3 314.256 4.352 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCC(=O)[C@H](F)CC2)CC1 ZINC001207941772 1049964529 /nfs/dbraw/zinc/96/45/29/1049964529.db2.gz SVAAUKDDFLGKTE-QZTJIDSGSA-N 0 3 307.453 4.462 20 0 DIADHN CSc1ccc2c(c1)[C@@H](NCc1scnc1C)CCC2 ZINC000571800662 1049968425 /nfs/dbraw/zinc/96/84/25/1049968425.db2.gz IFCGXPKCBKYYQZ-HNNXBMFYSA-N 0 3 304.484 4.341 20 0 DIADHN CS[C@H](C)CCN(Cc1ccccc1)Cc1ccccn1 ZINC001208138560 1049976684 /nfs/dbraw/zinc/97/66/84/1049976684.db2.gz KZDYSPHJFKPTCJ-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN CS[C@@H](C)CCN1CCn2cccc2[C@H]1c1ccccc1 ZINC001208139184 1049976899 /nfs/dbraw/zinc/97/68/99/1049976899.db2.gz PQONZXHUULLOKW-MAUKXSAKSA-N 0 3 300.471 4.035 20 0 DIADHN Clc1ccc(CNCCc2csc(-c3ccccc3)n2)o1 ZINC000588240134 1049977373 /nfs/dbraw/zinc/97/73/73/1049977373.db2.gz IMZMKTFCSDDEMJ-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCN(C)C[C@H]2c2ccccc2)o1 ZINC001208153128 1049978155 /nfs/dbraw/zinc/97/81/55/1049978155.db2.gz XSEXZUVCBWSZBN-LPHOPBHVSA-N 0 3 312.457 4.070 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCc3nc(Cl)ccc3C2)o1 ZINC001208153681 1049978944 /nfs/dbraw/zinc/97/89/44/1049978944.db2.gz BZEURTNTDFHOOI-GFCCVEGCSA-N 0 3 304.821 4.188 20 0 DIADHN CCCCCCN1CCN(CC[C@H](C)c2ccc(C)o2)CC1 ZINC001208154778 1049979193 /nfs/dbraw/zinc/97/91/93/1049979193.db2.gz HVSNTWRBKKZNOG-KRWDZBQOSA-N 0 3 306.494 4.280 20 0 DIADHN COc1cccc(CN(C)CC[C@H](C)c2ccc(C)o2)c1OC ZINC001208169913 1049980856 /nfs/dbraw/zinc/98/08/56/1049980856.db2.gz WBDJDSLEDNXKOV-AWEZNQCLSA-N 0 3 317.429 4.231 20 0 DIADHN CC/C=C\CCCCCN1CCCn2cnc(COCC)c2C1 ZINC001208190576 1049983276 /nfs/dbraw/zinc/98/32/76/1049983276.db2.gz JZVPECJPTHOLBG-WAYWQWQTSA-N 0 3 319.493 4.152 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001208202156 1049984513 /nfs/dbraw/zinc/98/45/13/1049984513.db2.gz KUNVDXUWZOKAHO-BZSNNMDCSA-N 0 3 323.521 4.442 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCC[C@H]1c1ncccn1 ZINC001208214151 1049986695 /nfs/dbraw/zinc/98/66/95/1049986695.db2.gz ROHHCXJYOFTMLU-IRXDYDNUSA-N 0 3 319.493 4.235 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCc2cccnc2C1 ZINC001208219958 1049987393 /nfs/dbraw/zinc/98/73/93/1049987393.db2.gz RXYBLRROJJSVTD-INIZCTEOSA-N 0 3 304.478 4.061 20 0 DIADHN C[C@@H](NCc1cccc(OCC#N)c1)c1cnc2ccsc2c1 ZINC000089630624 1049994710 /nfs/dbraw/zinc/99/47/10/1049994710.db2.gz KPTSCWKAPDFPGF-CYBMUJFWSA-N 0 3 323.421 4.049 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)[C@@H]1CCCc2ccccc21 ZINC000588779754 1049996002 /nfs/dbraw/zinc/99/60/02/1049996002.db2.gz GLBLWXPXQBXNHC-OAQYLSRUSA-N 0 3 317.436 4.448 20 0 DIADHN C[C@H](CCN1CCC(C(=O)C(F)(F)F)CC1)CC(C)(C)C ZINC001208662009 1049999340 /nfs/dbraw/zinc/99/93/40/1049999340.db2.gz AHTNBCYQNQAICR-GFCCVEGCSA-N 0 3 307.400 4.292 20 0 DIADHN Cc1noc(C)c1CCN(Cc1ccccc1F)C1CCC1 ZINC000166404967 1050000330 /nfs/dbraw/zinc/00/03/30/1050000330.db2.gz FKYMQVBIOCVTND-UHFFFAOYSA-N 0 3 302.393 4.028 20 0 DIADHN CC(C)(CCN1CCc2nc(C3CC3)ncc2C1)c1ccccc1 ZINC001208669704 1050000463 /nfs/dbraw/zinc/00/04/63/1050000463.db2.gz QOLAKKQBFARMJS-UHFFFAOYSA-N 0 3 321.468 4.080 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCc3cnccc3C2)cc1 ZINC001208685471 1050001229 /nfs/dbraw/zinc/00/12/29/1050001229.db2.gz BUNQQTZGIIEGEM-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N1CCC(C)(C)CC1)c1ccc(Cl)cc1 ZINC000588904638 1050008518 /nfs/dbraw/zinc/00/85/18/1050008518.db2.gz HPMFFBDYXIUQOL-KGLIPLIRSA-N 0 3 322.880 4.028 20 0 DIADHN F[C@@H]1CN(CCCc2ccc(Cl)c(Cl)c2)C[C@@H]2C[C@@H]21 ZINC001208833380 1050013564 /nfs/dbraw/zinc/01/35/64/1050013564.db2.gz LZLZSQCQSZTOFT-SLEUVZQESA-N 0 3 302.220 4.216 20 0 DIADHN C[C@]1(CF)CCN(CCCc2ccccc2Br)C1 ZINC001208847566 1050016423 /nfs/dbraw/zinc/01/64/23/1050016423.db2.gz MYKVJJFSXWDJQJ-OAHLLOKOSA-N 0 3 314.242 4.063 20 0 DIADHN CCn1c2ccccc2c2cc(NC3=CCN(C)CC3)ccc21 ZINC001208855467 1050017558 /nfs/dbraw/zinc/01/75/58/1050017558.db2.gz AWJWCRCELUIHQH-UHFFFAOYSA-N 0 3 305.425 4.446 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(CCCc2ccccc2Cl)C1 ZINC001208870778 1050018171 /nfs/dbraw/zinc/01/81/71/1050018171.db2.gz DPIJPPXRDPGJTH-AWEZNQCLSA-N 0 3 323.761 4.497 20 0 DIADHN Clc1ccc([C@@H]2CCN(C[C@@H]3CCC=CO3)C2)cc1Cl ZINC001208880494 1050019728 /nfs/dbraw/zinc/01/97/28/1050019728.db2.gz MJSODEYCHANVLS-KGLIPLIRSA-N 0 3 312.240 4.475 20 0 DIADHN COc1ccccc1C1CCN(C[C@H](C)C(F)(F)F)CC1 ZINC001208904312 1050021749 /nfs/dbraw/zinc/02/17/49/1050021749.db2.gz DNQFSFBNCDXHEI-LBPRGKRZSA-N 0 3 301.352 4.073 20 0 DIADHN C[C@@H]1CCN(CCc2c(Cl)cccc2Cl)CC[C@]1(C)O ZINC001209105163 1050035275 /nfs/dbraw/zinc/03/52/75/1050035275.db2.gz KMTOQIKEHCIVGK-WBMJQRKESA-N 0 3 316.272 4.019 20 0 DIADHN FC(F)(F)c1cccc(CCN2CC[C@H](C(F)(F)F)C2)c1 ZINC001209117887 1050037110 /nfs/dbraw/zinc/03/71/10/1050037110.db2.gz LTOVPUOOSDNUHS-LBPRGKRZSA-N 0 3 311.269 4.132 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2ccncc2)[C@H]1C1CC1 ZINC000572656758 1050038656 /nfs/dbraw/zinc/03/86/56/1050038656.db2.gz JUFHSIZQKQXLGC-FQEVSTJZSA-N 0 3 322.452 4.281 20 0 DIADHN COc1cccc([C@H]2CCCN2CCc2cc(F)cc(F)c2)c1 ZINC001209139671 1050039400 /nfs/dbraw/zinc/03/94/00/1050039400.db2.gz DBSBJNZAZPAIQC-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1cc(F)cc(CCN2CCC(c3cccs3)CC2)c1 ZINC001209134134 1050039858 /nfs/dbraw/zinc/03/98/58/1050039858.db2.gz BKMYDEBSQQIGEA-UHFFFAOYSA-N 0 3 307.409 4.448 20 0 DIADHN O=C(c1ccccc1F)C1CCN(CCc2cccs2)CC1 ZINC001209228665 1050046039 /nfs/dbraw/zinc/04/60/39/1050046039.db2.gz LZPKZINCLFXROT-UHFFFAOYSA-N 0 3 317.429 4.025 20 0 DIADHN Fc1ccc([C@@H]2CCN(CCc3cc(F)c(F)cc3F)C2)cc1 ZINC001209252236 1050046962 /nfs/dbraw/zinc/04/69/62/1050046962.db2.gz JGJNEAGQBPIDQR-CQSZACIVSA-N 0 3 323.333 4.275 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CCc2ccc(F)c(F)c2)cn1 ZINC001209246850 1050047180 /nfs/dbraw/zinc/04/71/80/1050047180.db2.gz VKCRYJWZVRXPGD-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN Fc1cc(F)c(CCN2CCC3(CC[C@@H](F)C3)CC2)cc1F ZINC001209253753 1050047858 /nfs/dbraw/zinc/04/78/58/1050047858.db2.gz WUOOHQWQHPFGOZ-CYBMUJFWSA-N 0 3 315.354 4.251 20 0 DIADHN CN(CCCCOCc1ccccc1)Cc1nc2ccccc2o1 ZINC001209265010 1050048807 /nfs/dbraw/zinc/04/88/07/1050048807.db2.gz ILYOHRXCKKJMLS-UHFFFAOYSA-N 0 3 324.424 4.257 20 0 DIADHN C[C@@H](CN(C)Cc1cn2cc(Cl)ccc2n1)c1ccccc1 ZINC000589606700 1050048872 /nfs/dbraw/zinc/04/88/72/1050048872.db2.gz MFDLRZMEUHGTOC-AWEZNQCLSA-N 0 3 313.832 4.223 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1nc(C)no1 ZINC000589611991 1050049446 /nfs/dbraw/zinc/04/94/46/1050049446.db2.gz SJKMBRYPRHUZLA-QGZVFWFLSA-N 0 3 301.434 4.001 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCC[C@H]1c1ccncc1 ZINC001209284914 1050050481 /nfs/dbraw/zinc/05/04/81/1050050481.db2.gz KOEMJCARACQESR-KRWDZBQOSA-N 0 3 320.358 4.480 20 0 DIADHN COc1ccc2ccccc2c1CNCc1[nH]nc2ccccc21 ZINC000589638953 1050050882 /nfs/dbraw/zinc/05/08/82/1050050882.db2.gz IXTQQQYKBIEPMJ-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN CCOC(=O)CCCCCCN1CCc2cccc(Cl)c2C1 ZINC001209351009 1050052908 /nfs/dbraw/zinc/05/29/08/1050052908.db2.gz QKNCXGOVHPHBRR-UHFFFAOYSA-N 0 3 323.864 4.212 20 0 DIADHN Cc1cc(CN[C@H](C)c2sc(-c3ccccc3)nc2C)n[nH]1 ZINC000589647017 1050053491 /nfs/dbraw/zinc/05/34/91/1050053491.db2.gz XMAUAXFJCJTESY-GFCCVEGCSA-N 0 3 312.442 4.001 20 0 DIADHN Clc1ccc(C2(NCc3n[nH]c4ccccc43)CCC2)cc1 ZINC000589647436 1050053669 /nfs/dbraw/zinc/05/36/69/1050053669.db2.gz ZBMJHZQPCPBBAZ-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN Clc1ccc(C2(NCc3[nH]nc4ccccc43)CCC2)cc1 ZINC000589647436 1050053674 /nfs/dbraw/zinc/05/36/74/1050053674.db2.gz ZBMJHZQPCPBBAZ-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OCc3ccccc3)cc2)n[nH]1 ZINC000589647666 1050053889 /nfs/dbraw/zinc/05/38/89/1050053889.db2.gz UAQDOJMUAOQSFC-MRXNPFEDSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3nc(-c4ccccc4)sc32)n[nH]1 ZINC000589648709 1050054613 /nfs/dbraw/zinc/05/46/13/1050054613.db2.gz KULWKDZHRIXHIG-HNNXBMFYSA-N 0 3 324.453 4.009 20 0 DIADHN Cc1cccc(N2CCN(Cc3c[nH]c4c3cccc4C)CC2)c1 ZINC001209482199 1050062306 /nfs/dbraw/zinc/06/23/06/1050062306.db2.gz IESCHBPJAQUEBR-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc3c(cn2)OCCC3)c1 ZINC001209498746 1050063606 /nfs/dbraw/zinc/06/36/06/1050063606.db2.gz AMVOYBZSCQGIIR-IBGZPJMESA-N 0 3 308.425 4.052 20 0 DIADHN COc1cccc2c1CCN(Cc1c[nH]c3c1cccc3C)C2 ZINC001209492768 1050064140 /nfs/dbraw/zinc/06/41/40/1050064140.db2.gz JBRXMXHIUQQLFP-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cc3c(cn2)OCCC3)cc1 ZINC001209502642 1050065189 /nfs/dbraw/zinc/06/51/89/1050065189.db2.gz JZJCNNNGTRMUQP-LJQANCHMSA-N 0 3 308.425 4.052 20 0 DIADHN Cc1n[nH]cc1CN1CCC(c2cccc(C(F)(F)F)c2)CC1 ZINC001209555968 1050068671 /nfs/dbraw/zinc/06/86/71/1050068671.db2.gz OFDCHKNSLAJTHW-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CC(C)CN(Cc1nn(C)c2ccccc12)Cc1ccccc1 ZINC001209578961 1050069538 /nfs/dbraw/zinc/06/95/38/1050069538.db2.gz LNKJIXCFXJKMKS-UHFFFAOYSA-N 0 3 307.441 4.232 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cnc4ccccc4c3)CC2)[nH]1 ZINC001209577987 1050069711 /nfs/dbraw/zinc/06/97/11/1050069711.db2.gz ZOCGZWOXFAGSEV-UHFFFAOYSA-N 0 3 319.452 4.326 20 0 DIADHN Cc1cc(Cl)ncc1CN(C)CCc1ccccc1Cl ZINC001209636650 1050072578 /nfs/dbraw/zinc/07/25/78/1050072578.db2.gz ZBBJAHMISUGTBT-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cnc(Cl)cc3C)C2)c1 ZINC001209635150 1050073099 /nfs/dbraw/zinc/07/30/99/1050073099.db2.gz BNTFSYNSPJQNDM-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN COc1ccccc1CN(C)Cc1cccc2[nH]cc(Cl)c21 ZINC001209654287 1050073611 /nfs/dbraw/zinc/07/36/11/1050073611.db2.gz IGBZQOWXYOQKNE-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2cccc3ccccc32)ccn1 ZINC001209644465 1050074091 /nfs/dbraw/zinc/07/40/91/1050074091.db2.gz YRKIWBPAWKFZQC-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCOc1cccc(F)c1 ZINC000590063962 1050076270 /nfs/dbraw/zinc/07/62/70/1050076270.db2.gz BZIDBZWHAADLQP-SFHVURJKSA-N 0 3 315.388 4.050 20 0 DIADHN Fc1ccc2c(CN3CC[C@@H]3COCc3ccccc3)c[nH]c2c1 ZINC001209691701 1050076633 /nfs/dbraw/zinc/07/66/33/1050076633.db2.gz ICRWPFDOIPEZHB-GOSISDBHSA-N 0 3 324.399 4.098 20 0 DIADHN Fc1ccc2c(CN3CCCC4(CC(F)C4)CC3)c[nH]c2c1 ZINC001209694466 1050077054 /nfs/dbraw/zinc/07/70/54/1050077054.db2.gz POLIRAQEABUKAL-UHFFFAOYSA-N 0 3 304.384 4.411 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2c[nH]c3cc(F)ccc23)C1 ZINC001209696910 1050077094 /nfs/dbraw/zinc/07/70/94/1050077094.db2.gz YUSGKUZMDUPSNM-HNNXBMFYSA-N 0 3 322.383 4.012 20 0 DIADHN Fc1ccc2c(CN3CC[C@H]3COCc3ccccc3)c[nH]c2c1 ZINC001209691704 1050077140 /nfs/dbraw/zinc/07/71/40/1050077140.db2.gz ICRWPFDOIPEZHB-SFHVURJKSA-N 0 3 324.399 4.098 20 0 DIADHN Fc1ccc2c(CN3CCC4(CC[C@@H](F)C4)CC3)c[nH]c2c1 ZINC001209693713 1050077158 /nfs/dbraw/zinc/07/71/58/1050077158.db2.gz AVNKWBKPDBZPMM-OAHLLOKOSA-N 0 3 304.384 4.411 20 0 DIADHN CSCc1cnc(CNCCC(C)(C)c2ccccc2)s1 ZINC000590183072 1050080658 /nfs/dbraw/zinc/08/06/58/1050080658.db2.gz ZLPNYXCRQNOJGY-UHFFFAOYSA-N 0 3 320.527 4.464 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]nc1-c1ccccc1)CC2 ZINC001209762859 1050081134 /nfs/dbraw/zinc/08/11/34/1050081134.db2.gz DPUSIPRVYPKVCK-QGZVFWFLSA-N 0 3 313.420 4.181 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]nc1-c1ccccc1)CC2 ZINC001209762857 1050081188 /nfs/dbraw/zinc/08/11/88/1050081188.db2.gz DPUSIPRVYPKVCK-KRWDZBQOSA-N 0 3 313.420 4.181 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1[nH]nc3ccc(Cl)cc31)CC2 ZINC001209757912 1050081773 /nfs/dbraw/zinc/08/17/73/1050081773.db2.gz DEYMALOSWVCTBI-CYBMUJFWSA-N 0 3 321.827 4.321 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccsc1)c1ccc(C(=O)OC)cc1 ZINC000590254411 1050083718 /nfs/dbraw/zinc/08/37/18/1050083718.db2.gz GNCPCVCVKMTGAD-MLGOLLRUSA-N 0 3 303.427 4.337 20 0 DIADHN CCCC(C)(C)NCc1csc(-c2ccc(OC)cc2)n1 ZINC000590322595 1050086543 /nfs/dbraw/zinc/08/65/43/1050086543.db2.gz HYZQMJVWCKJOFW-UHFFFAOYSA-N 0 3 304.459 4.487 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC4(C3)CCCCC4)nn2)cc1 ZINC000499058350 1050090298 /nfs/dbraw/zinc/09/02/98/1050090298.db2.gz XECCUERKLFRURN-UHFFFAOYSA-N 0 3 323.440 4.425 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(C)cc1OC)c1ccccc1 ZINC000572946138 1050096016 /nfs/dbraw/zinc/09/60/16/1050096016.db2.gz GLBPWUKUHROVEX-JXFKEZNVSA-N 0 3 313.441 4.432 20 0 DIADHN CCCC[C@H](CNC(=O)OC(C)(C)C)N[C@H](C)c1ccco1 ZINC000224564160 1050103667 /nfs/dbraw/zinc/10/36/67/1050103667.db2.gz HWZCOJQRBPJCCP-ZIAGYGMSSA-N 0 3 310.438 4.014 20 0 DIADHN C=Cc1cccc(Nc2sc(N)c3c2CN(CCC)CC3)c1 ZINC001209918952 1050106083 /nfs/dbraw/zinc/10/60/83/1050106083.db2.gz YESAKAJLPQOQBB-UHFFFAOYSA-N 0 3 313.470 4.485 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H]3C3CC3)cs2)c1 ZINC000590787888 1050107652 /nfs/dbraw/zinc/10/76/52/1050107652.db2.gz MJSYUOZBSZCYAZ-KRWDZBQOSA-N 0 3 314.454 4.193 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@@H]2C2CC2)cs1 ZINC000590788230 1050107794 /nfs/dbraw/zinc/10/77/94/1050107794.db2.gz WVWDBYFARKQEHP-MRXNPFEDSA-N 0 3 302.418 4.324 20 0 DIADHN CC[C@@H](CC(=O)NC[C@@H](c1cccs1)N1CCCC1)C(C)C ZINC000591108783 1050124637 /nfs/dbraw/zinc/12/46/37/1050124637.db2.gz DOOGYCDBWGNKLM-HOTGVXAUSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1cnccc1CCN(Cc1ccco1)Cc1ccccc1 ZINC000573115832 1050133026 /nfs/dbraw/zinc/13/30/26/1050133026.db2.gz RBFASEOTUGIORB-UHFFFAOYSA-N 0 3 306.409 4.228 20 0 DIADHN Cc1sccc1CN1CCN(c2ccc(C)c(C)c2)C[C@@H]1C ZINC001204722207 1050136530 /nfs/dbraw/zinc/13/65/30/1050136530.db2.gz RLSVNPVVZCYVGJ-INIZCTEOSA-N 0 3 314.498 4.384 20 0 DIADHN Cc1cc2n[nH]cc2cc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001210107201 1050137689 /nfs/dbraw/zinc/13/76/89/1050137689.db2.gz WGEXAYWIQVGFNU-SFHVURJKSA-N 0 3 322.412 4.000 20 0 DIADHN CC[C@@H](Cc1ccccc1C)C(=O)Nc1ccccc1CN(C)C ZINC000573199197 1050140589 /nfs/dbraw/zinc/14/05/89/1050140589.db2.gz OISTYHLJGAQHDH-KRWDZBQOSA-N 0 3 324.468 4.264 20 0 DIADHN CC(C)c1cc(CN[C@H]2CCc3c(Cl)cccc3C2)on1 ZINC001171024615 1050147901 /nfs/dbraw/zinc/14/79/01/1050147901.db2.gz KPIKFOZFZYYSGB-ZDUSSCGKSA-N 0 3 304.821 4.099 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)OCCCCO3)s1 ZINC000823697364 1050148183 /nfs/dbraw/zinc/14/81/83/1050148183.db2.gz JNYAEIAKJFKEMP-AWEZNQCLSA-N 0 3 317.454 4.459 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2cccs2)cc1F ZINC000770291097 1050148310 /nfs/dbraw/zinc/14/83/10/1050148310.db2.gz GZJDUMWZEWISLS-RDJZCZTQSA-N 0 3 319.445 4.284 20 0 DIADHN Cn1c(Cl)cnc1CN[C@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000823757879 1050152896 /nfs/dbraw/zinc/15/28/96/1050152896.db2.gz QZXFIJBRSDPUGZ-JSGCOSHPSA-N 0 3 324.255 4.153 20 0 DIADHN C[C@@H](CCC1CCCCC1)NC(=O)C(C)(C)N1CCCCC1 ZINC001136773876 1050153161 /nfs/dbraw/zinc/15/31/61/1050153161.db2.gz MJLHZGDUOOFVKM-INIZCTEOSA-N 0 3 308.510 4.116 20 0 DIADHN c1ccc(OCC2CC2)c(CNc2ccnc3ccccc32)c1 ZINC000573291187 1050154018 /nfs/dbraw/zinc/15/40/18/1050154018.db2.gz FEUWYLFZOCYCOX-UHFFFAOYSA-N 0 3 304.393 4.058 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1cc2ccccc2s1 ZINC000823812592 1050155841 /nfs/dbraw/zinc/15/58/41/1050155841.db2.gz MURQARJTFROXKI-LBPRGKRZSA-N 0 3 311.454 4.363 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2ccc(C)cc2)no1)C1CC1 ZINC000591474361 1050157531 /nfs/dbraw/zinc/15/75/31/1050157531.db2.gz AFUOMCGQSNYBDJ-GJZGRUSLSA-N 0 3 313.445 4.444 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1cccc(SC)c1)C1CC1 ZINC000591475640 1050157706 /nfs/dbraw/zinc/15/77/06/1050157706.db2.gz RYZGKBYDXRILOH-KGLIPLIRSA-N 0 3 320.502 4.246 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2cccs2)no1)C1CC1 ZINC000591476091 1050158232 /nfs/dbraw/zinc/15/82/32/1050158232.db2.gz FBVVHWUUEGHLBU-NWDGAFQWSA-N 0 3 305.447 4.197 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2ccc(C)cc2)no1)C1CC1 ZINC000591474362 1050158400 /nfs/dbraw/zinc/15/84/00/1050158400.db2.gz AFUOMCGQSNYBDJ-HUUCEWRRSA-N 0 3 313.445 4.444 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)cc1C)C1CC1 ZINC000591475271 1050158691 /nfs/dbraw/zinc/15/86/91/1050158691.db2.gz MYLYXEDIGOJDEY-GOEBONIOSA-N 0 3 302.462 4.141 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc4cc[nH]c43)cccc21 ZINC001210214647 1050161385 /nfs/dbraw/zinc/16/13/85/1050161385.db2.gz PQVZJSWLRVTRAY-QGZVFWFLSA-N 0 3 307.397 4.297 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1c[nH+]ccc1N1CCCCC1 ZINC001210221223 1050163764 /nfs/dbraw/zinc/16/37/64/1050163764.db2.gz YJONGKLBEYKXFW-UHFFFAOYSA-N 0 3 303.793 4.175 20 0 DIADHN Cc1cnccc1CCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000573320223 1050165314 /nfs/dbraw/zinc/16/53/14/1050165314.db2.gz PJBVDTCGCPOBLF-QGZVFWFLSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1cc(CN[C@@H](C)[C@@H](O)c2c(F)cccc2Cl)cs1 ZINC000823949958 1050166175 /nfs/dbraw/zinc/16/61/75/1050166175.db2.gz ZVELDMISRPLCND-ZUZCIYMTSA-N 0 3 313.825 4.061 20 0 DIADHN Cc1cc(CN[C@@H](C)[C@H](O)c2c(F)cccc2Cl)cs1 ZINC000823949956 1050166261 /nfs/dbraw/zinc/16/62/61/1050166261.db2.gz ZVELDMISRPLCND-BONVTDFDSA-N 0 3 313.825 4.061 20 0 DIADHN CC1(C)CN(Cc2cc3ccccc3s2)[C@@H]1[C@H]1CCCO1 ZINC000573409498 1050175980 /nfs/dbraw/zinc/17/59/80/1050175980.db2.gz VJEGIHSREUETEA-NVXWUHKLSA-N 0 3 301.455 4.291 20 0 DIADHN CC1(C)CN(Cc2cc3ccccc3s2)[C@H]1[C@@H]1CCCO1 ZINC000573409499 1050176582 /nfs/dbraw/zinc/17/65/82/1050176582.db2.gz VJEGIHSREUETEA-RDJZCZTQSA-N 0 3 301.455 4.291 20 0 DIADHN FC(F)(F)[C@H]1CCCN([C@@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171044571 1050182345 /nfs/dbraw/zinc/18/23/45/1050182345.db2.gz LXODBBWPEXOMKW-QWHCGFSZSA-N 0 3 317.782 4.472 20 0 DIADHN C[C@@H](NCc1cn(C)nc1C(F)F)c1csc2ccccc21 ZINC000770667572 1050182430 /nfs/dbraw/zinc/18/24/30/1050182430.db2.gz HSTHRPXQYUHLAS-SNVBAGLBSA-N 0 3 321.396 4.423 20 0 DIADHN CC(C)(NC[C@@H](O)c1ccccc1)c1cccc(Cl)c1F ZINC000770685806 1050184017 /nfs/dbraw/zinc/18/40/17/1050184017.db2.gz AGKQLUULQKESJW-OAHLLOKOSA-N 0 3 307.796 4.037 20 0 DIADHN Cc1nc2c(s1)CN([C@H]1CCc3c(Cl)cccc3C1)CC2 ZINC001171048681 1050187197 /nfs/dbraw/zinc/18/71/97/1050187197.db2.gz CNMWJTBYXQGTIX-ZDUSSCGKSA-N 0 3 318.873 4.021 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cnc(Cl)c(C)c1 ZINC000770745911 1050191655 /nfs/dbraw/zinc/19/16/55/1050191655.db2.gz IIMHREFVVJIIFF-MLGOLLRUSA-N 0 3 322.811 4.048 20 0 DIADHN FC(F)(F)[C@H]1CCN([C@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171050019 1050191831 /nfs/dbraw/zinc/19/18/31/1050191831.db2.gz PGZJXUQYSNWMNO-RYUDHWBXSA-N 0 3 303.755 4.082 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cc(C)c(OC(F)F)c(C)c1 ZINC000770750311 1050192421 /nfs/dbraw/zinc/19/24/21/1050192421.db2.gz MRLQYTIWFQSKPI-CQSZACIVSA-N 0 3 320.383 4.459 20 0 DIADHN COc1ccc2c(c1)CN([C@H]1CCc3c(Cl)cccc3C1)C2 ZINC001171051006 1050192954 /nfs/dbraw/zinc/19/29/54/1050192954.db2.gz UVQFTHYCBHQZCQ-INIZCTEOSA-N 0 3 313.828 4.222 20 0 DIADHN C[C@@H]1CN(CCCOc2ccc(Cl)cc2)CCC1(F)F ZINC000573607867 1050218129 /nfs/dbraw/zinc/21/81/29/1050218129.db2.gz XQBBEOMONQKAPR-GFCCVEGCSA-N 0 3 303.780 4.086 20 0 DIADHN CC1(C)CC[C@@H](NCc2n[nH]c3ccccc32)c2ccccc21 ZINC000591713002 1050200885 /nfs/dbraw/zinc/20/08/85/1050200885.db2.gz FDCBRVLMXHKKCI-QGZVFWFLSA-N 0 3 305.425 4.465 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnc3ccccn23)cc1 ZINC000591725263 1050201954 /nfs/dbraw/zinc/20/19/54/1050201954.db2.gz XEUZVAIRWQPSAW-UHFFFAOYSA-N 0 3 307.441 4.483 20 0 DIADHN C[C@H]([C@@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cnc[nH]1 ZINC001136873468 1050207793 /nfs/dbraw/zinc/20/77/93/1050207793.db2.gz RAGUGOGDJWQQQP-OXQOHEQNSA-N 0 3 321.424 4.050 20 0 DIADHN Cc1csc(CNCc2cc(Br)c(C)s2)c1 ZINC000379272075 1050207785 /nfs/dbraw/zinc/20/77/85/1050207785.db2.gz MRCVDXHJEYCOBI-UHFFFAOYSA-N 0 3 316.289 4.479 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccnc(Cl)c3F)C2)cc1 ZINC000824432951 1050210120 /nfs/dbraw/zinc/21/01/20/1050210120.db2.gz FNEZJXRSSNPMTM-MQMHXKEQSA-N 0 3 308.759 4.049 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1C[C@@H](O)c1ccc(F)cc1F ZINC000734002490 1050211554 /nfs/dbraw/zinc/21/15/54/1050211554.db2.gz NZMNFQWYNOMLPH-RTBURBONSA-N 0 3 317.379 4.008 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1C[C@H](O)c1ccc(F)cc1F ZINC000734002480 1050212863 /nfs/dbraw/zinc/21/28/63/1050212863.db2.gz NZMNFQWYNOMLPH-MOPGFXCFSA-N 0 3 317.379 4.008 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccccc1SC(F)F ZINC000733997016 1050214272 /nfs/dbraw/zinc/21/42/72/1050214272.db2.gz OYQRSHODFCIXKM-UHFFFAOYSA-N 0 3 316.417 4.060 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccc(SC(F)F)cc1 ZINC000733997248 1050214513 /nfs/dbraw/zinc/21/45/13/1050214513.db2.gz VLTHGGGDFZUJMV-UHFFFAOYSA-N 0 3 316.417 4.060 20 0 DIADHN Cc1ccn2c(CN(Cc3ccccc3)C3CCC3)cnc2c1 ZINC001136892361 1050221588 /nfs/dbraw/zinc/22/15/88/1050221588.db2.gz FFMFXKINUSJEPP-UHFFFAOYSA-N 0 3 305.425 4.197 20 0 DIADHN CC1(CC(=O)N(CCN2CCCC2)c2ccccc2)CCCC1 ZINC001136887382 1050221759 /nfs/dbraw/zinc/22/17/59/1050221759.db2.gz RKFZXOPANYGCPX-UHFFFAOYSA-N 0 3 314.473 4.086 20 0 DIADHN Cc1ccc(C2CC(NCc3cc(F)cnc3Cl)C2)cc1 ZINC000824538304 1050225754 /nfs/dbraw/zinc/22/57/54/1050225754.db2.gz NYHGQBFQXSLVSR-UHFFFAOYSA-N 0 3 304.796 4.218 20 0 DIADHN CC(=O)Nc1cccc(CNC2(c3ccc(C)cc3)CCC2)c1 ZINC000646131206 1050227759 /nfs/dbraw/zinc/22/77/59/1050227759.db2.gz DCTFLVZQKUWPRA-UHFFFAOYSA-N 0 3 308.425 4.122 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1cncc2ccccc21 ZINC000824715072 1050237567 /nfs/dbraw/zinc/23/75/67/1050237567.db2.gz HLVJXDZEADXZLW-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN COc1ccc2oc(CNC[C@]3(C)CC3(Cl)Cl)cc2c1 ZINC000824765312 1050241169 /nfs/dbraw/zinc/24/11/69/1050241169.db2.gz KABICEASWIHHOW-AWEZNQCLSA-N 0 3 314.212 4.115 20 0 DIADHN C[C@]1(CN[C@@H]2CCc3cc(F)c(F)c(F)c32)CC1(Cl)Cl ZINC000824780504 1050243139 /nfs/dbraw/zinc/24/31/39/1050243139.db2.gz QUNJTOALRJIJDV-NOZJJQNGSA-N 0 3 324.173 4.265 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCc3cc(F)ccc3C2)c1F ZINC001168401424 1050243079 /nfs/dbraw/zinc/24/30/79/1050243079.db2.gz VRWQOOJWJLOXKN-HNNXBMFYSA-N 0 3 305.343 4.059 20 0 DIADHN Cn1ccnc1-c1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001210431265 1050244012 /nfs/dbraw/zinc/24/40/12/1050244012.db2.gz IMSNLGWVEAWRIB-UHFFFAOYSA-N 0 3 304.397 4.493 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc(OCC(F)F)cc1 ZINC001136940659 1050247899 /nfs/dbraw/zinc/24/78/99/1050247899.db2.gz HNQHXBAOJODNOU-UHFFFAOYSA-N 0 3 323.358 4.492 20 0 DIADHN CCO[C@@H](CCN[C@@H](C)c1cccnc1Cl)C1CCCC1 ZINC000157609251 1050251912 /nfs/dbraw/zinc/25/19/12/1050251912.db2.gz SNNGSWVBGHXROR-BBRMVZONSA-N 0 3 310.869 4.371 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc3c(C)cccn23)c1 ZINC001136958364 1050254023 /nfs/dbraw/zinc/25/40/23/1050254023.db2.gz OTWWQIGWCRKEIR-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1Cl ZINC000157619252 1050255010 /nfs/dbraw/zinc/25/50/10/1050255010.db2.gz WQFNEDIHIPTDBC-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1ccc2c(CN3CCC4(CC(F)(F)C4)CC3)c[nH]c2c1 ZINC001136969627 1050255375 /nfs/dbraw/zinc/25/53/75/1050255375.db2.gz VTIZZWGYGZEBTL-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN Cc1ccc2c(CN3CCN(c4cccc(C)c4)CC3)c[nH]c2c1 ZINC001136969657 1050256208 /nfs/dbraw/zinc/25/62/08/1050256208.db2.gz WREFEDXCNOAFFS-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN COc1cccc2c1CCN(Cc1c[nH]c3cc(C)ccc13)C2 ZINC001136975599 1050259973 /nfs/dbraw/zinc/25/99/73/1050259973.db2.gz NNVCLQXVRPKGFJ-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1ccc2c(CN3CCC(=O)[C@@H](C)C34CCCC4)c[nH]c2c1 ZINC001136977254 1050267610 /nfs/dbraw/zinc/26/76/10/1050267610.db2.gz VMCZBDYSFHCAKN-OAHLLOKOSA-N 0 3 310.441 4.200 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCC(Oc4ccccc4)CC3)c21 ZINC001136979983 1050268913 /nfs/dbraw/zinc/26/89/13/1050268913.db2.gz XOJLVIUGJGWMAM-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@@H]2c2ccccc2Cl)co1 ZINC000825057471 1050269619 /nfs/dbraw/zinc/26/96/19/1050269619.db2.gz PBZACHDMUTUINW-GDBMZVCRSA-N 0 3 304.821 4.316 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCC[C@H](Oc4ccccc4)C3)c21 ZINC001136985071 1050273526 /nfs/dbraw/zinc/27/35/26/1050273526.db2.gz YOCLUTQUNYDEEN-KRWDZBQOSA-N 0 3 324.399 4.350 20 0 DIADHN Fc1cccc2[nH]cc(CN3CC[C@@H](OCc4ccccc4)C3)c21 ZINC001136985077 1050273542 /nfs/dbraw/zinc/27/35/42/1050273542.db2.gz YQKUSVMCMFFNLM-QGZVFWFLSA-N 0 3 324.399 4.098 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136985050 1050274797 /nfs/dbraw/zinc/27/47/97/1050274797.db2.gz YBLMCGAMYPZXNG-INIZCTEOSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1cc(CN[C@H]2CCCN(C)c3ccccc32)cnc1Cl ZINC000825113105 1050275612 /nfs/dbraw/zinc/27/56/12/1050275612.db2.gz ZBMHQIMMADEUHP-INIZCTEOSA-N 0 3 315.848 4.104 20 0 DIADHN Cc1ccc(OCCN2CCSC[C@H]2c2ccccc2)cc1 ZINC000734488043 1050276481 /nfs/dbraw/zinc/27/64/81/1050276481.db2.gz MUVMNHHYYQSLOQ-IBGZPJMESA-N 0 3 313.466 4.164 20 0 DIADHN CC1(C)OCCC12CCN(Cc1c[nH]c3cccc(F)c13)CC2 ZINC001136987459 1050277374 /nfs/dbraw/zinc/27/73/74/1050277374.db2.gz YIYQCDRDONHSNR-UHFFFAOYSA-N 0 3 316.420 4.088 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Cl)cc(Cl)c2C1)c1ccno1 ZINC001171074945 1050281577 /nfs/dbraw/zinc/28/15/77/1050281577.db2.gz WEJOCKKDLSCCDR-BXKDBHETSA-N 0 3 311.212 4.190 20 0 DIADHN Cc1cc(CN2CCC(Cc3cccc(F)c3F)CC2)ccn1 ZINC001171077871 1050285461 /nfs/dbraw/zinc/28/54/61/1050285461.db2.gz IOTKJXNVCBMPLB-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1c(CN2CCc3ccccc3C2)sc2nc(C)nc(C)c12 ZINC000771928164 1050296379 /nfs/dbraw/zinc/29/63/79/1050296379.db2.gz QGTRCKLCZVKJOT-UHFFFAOYSA-N 0 3 323.465 4.175 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1ccc2[nH]ccc2c1 ZINC000573981377 1050298959 /nfs/dbraw/zinc/29/89/59/1050298959.db2.gz ORDVSBZUEFSSTI-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN CC(C)(NCc1cc2c(c(Cl)c1)OCO2)c1cccs1 ZINC000573961241 1050301745 /nfs/dbraw/zinc/30/17/45/1050301745.db2.gz MLUUWXVJRCJFDL-UHFFFAOYSA-N 0 3 309.818 4.155 20 0 DIADHN Cc1cccc([C@H](C)N2CC[C@]3(C2)CC(=O)c2ccccc2O3)c1 ZINC001171086719 1050304784 /nfs/dbraw/zinc/30/47/84/1050304784.db2.gz IIVYICGFKURXHS-HRAATJIYSA-N 0 3 321.420 4.166 20 0 DIADHN Clc1ccc2c(c1)CC[C@H](NCc1nc3ccccc3o1)C2 ZINC001171090845 1050311772 /nfs/dbraw/zinc/31/17/72/1050311772.db2.gz KYCUOJUVIJGWND-HNNXBMFYSA-N 0 3 312.800 4.128 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCc3cc(Cl)ccc3C2)cs1 ZINC001171093079 1050319918 /nfs/dbraw/zinc/31/99/18/1050319918.db2.gz BSXNOOLTWZZVTJ-BONVTDFDSA-N 0 3 306.862 4.313 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(F)c(Cl)c1F ZINC001143628196 1050320668 /nfs/dbraw/zinc/32/06/68/1050320668.db2.gz ZOFXKAQBRSOVAA-UHFFFAOYSA-N 0 3 324.802 4.468 20 0 DIADHN CC(C)(C)[C@H]1CCCN(C[C@H](O)c2c(F)cccc2F)CC1 ZINC000734836295 1050325552 /nfs/dbraw/zinc/32/55/52/1050325552.db2.gz DWLHFWRKWWWASY-BBRMVZONSA-N 0 3 311.416 4.146 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cn1cc(Cl)cn1 ZINC000058539276 1050334893 /nfs/dbraw/zinc/33/48/93/1050334893.db2.gz FTKSYWOODPIFIC-DLBZAZTESA-N 0 3 303.837 4.152 20 0 DIADHN FC(F)(F)Oc1ccc(Cl)cc1CN1CC2CC(C2)C1 ZINC001143706719 1050341015 /nfs/dbraw/zinc/34/10/15/1050341015.db2.gz KTASVMUVHFQQFY-UHFFFAOYSA-N 0 3 305.727 4.080 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H](O)c2ccc(C(C)(C)C)cc2)c1 ZINC000735084924 1050344510 /nfs/dbraw/zinc/34/45/10/1050344510.db2.gz XSLHRNWVPWPHOQ-LJQANCHMSA-N 0 3 315.432 4.255 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2ccccc2Cl)s1 ZINC000592818545 1050345686 /nfs/dbraw/zinc/34/56/86/1050345686.db2.gz LSCMBVDTAFHTSA-GFCCVEGCSA-N 0 3 324.877 4.485 20 0 DIADHN Cc1cccc(OC[C@H](C)N[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480252917 1050351039 /nfs/dbraw/zinc/35/10/39/1050351039.db2.gz KXDGEISPTJFPTA-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Fc1ccc(CCN(Cc2ccc(Cl)nc2)C2CC2)cc1 ZINC000735169515 1050351892 /nfs/dbraw/zinc/35/18/92/1050351892.db2.gz WKUNJIGSYOSYKG-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN Fc1ccc(CN2CCCc3sccc3C2)c2ncccc12 ZINC000592982167 1050356745 /nfs/dbraw/zinc/35/67/45/1050356745.db2.gz GGYGHOOXXVONEO-UHFFFAOYSA-N 0 3 312.413 4.384 20 0 DIADHN COCCCCCN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000498416031 1050361538 /nfs/dbraw/zinc/36/15/38/1050361538.db2.gz VLYSWMIEYNUYGU-HXUWFJFHSA-N 0 3 324.424 4.324 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1ccnc(Cl)c1 ZINC000772458214 1050361757 /nfs/dbraw/zinc/36/17/57/1050361757.db2.gz WSRSOGQJXQAQMG-OWQGQXMQSA-N 0 3 316.832 4.163 20 0 DIADHN Fc1cc(OC(F)(F)F)ccc1CN1CCC2(CCC2)C1 ZINC001143791293 1050362044 /nfs/dbraw/zinc/36/20/44/1050362044.db2.gz ILCAOBJDDHYZSQ-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN C[C@@]1(O)CCCN(Cc2ccc(C(F)(F)F)c(Cl)c2)CC1 ZINC001143804095 1050366534 /nfs/dbraw/zinc/36/65/34/1050366534.db2.gz LXVQMAHTPJOODX-CQSZACIVSA-N 0 3 321.770 4.096 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc(C(C)C)cc1 ZINC000574082131 1050368918 /nfs/dbraw/zinc/36/89/18/1050368918.db2.gz QLVWLLNOHYBLOW-UHFFFAOYSA-N 0 3 312.457 4.244 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000178320714 1050378670 /nfs/dbraw/zinc/37/86/70/1050378670.db2.gz FCGCJRDAELZKQW-YVEFUNNKSA-N 0 3 303.425 4.306 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cn2ccccc2n1 ZINC000574219231 1050379174 /nfs/dbraw/zinc/37/91/74/1050379174.db2.gz KJEBBQLUHOVHMM-FRFSOERESA-N 0 3 309.388 4.070 20 0 DIADHN O[C@@H](CNCc1sccc1Cl)c1cc(F)cc(Cl)c1 ZINC000785608986 1050393194 /nfs/dbraw/zinc/39/31/94/1050393194.db2.gz IJSOUOWWTDUGQP-LBPRGKRZSA-N 0 3 320.216 4.017 20 0 DIADHN O[C@@H](CNCc1cc2ccccc2o1)c1cc(F)cc(Cl)c1 ZINC000785608938 1050393882 /nfs/dbraw/zinc/39/38/82/1050393882.db2.gz HUZRCDSAVILQQJ-INIZCTEOSA-N 0 3 319.763 4.049 20 0 DIADHN CCCCN(Cn1ncc2c1cccc2Br)C1CC1 ZINC000735481838 1050398103 /nfs/dbraw/zinc/39/81/03/1050398103.db2.gz VJAFOJCRDPRGDX-UHFFFAOYSA-N 0 3 322.250 4.021 20 0 DIADHN O[C@H](CNCc1ccc(Cl)s1)c1cc(F)cc(Cl)c1 ZINC000785616747 1050398880 /nfs/dbraw/zinc/39/88/80/1050398880.db2.gz WSJAISMBVQSJTL-GFCCVEGCSA-N 0 3 320.216 4.017 20 0 DIADHN Cc1c(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)cccc1C(F)(F)F ZINC001143922652 1050408119 /nfs/dbraw/zinc/40/81/19/1050408119.db2.gz NYULEIMAUAQSQZ-HZUKXOBISA-N 0 3 313.363 4.013 20 0 DIADHN Cc1c(CN(C)C[C@@H]2CCCCO2)cccc1C(F)(F)F ZINC001143924041 1050408247 /nfs/dbraw/zinc/40/82/47/1050408247.db2.gz BYIIVCPJWOJICX-AWEZNQCLSA-N 0 3 301.352 4.015 20 0 DIADHN Fc1ccc(SCCN2CCCc3sccc3C2)cc1 ZINC000593439694 1050414282 /nfs/dbraw/zinc/41/42/82/1050414282.db2.gz BLJOAGAMZCJRPD-UHFFFAOYSA-N 0 3 307.459 4.428 20 0 DIADHN CN(C)CCN(C(=O)/C=C/C1CCCCCC1)C1CCCCC1 ZINC001143963747 1050419972 /nfs/dbraw/zinc/41/99/72/1050419972.db2.gz AEBJMZUVIXOJIA-CCEZHUSRSA-N 0 3 320.521 4.236 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@]2(CC2(F)F)C1 ZINC000844444735 1050429497 /nfs/dbraw/zinc/42/94/97/1050429497.db2.gz TWKUXPNWGFZTRV-KRWDZBQOSA-N 0 3 308.416 4.389 20 0 DIADHN CN(Cc1cc(Cl)cc(C(F)(F)F)c1)C[C@@H]1CCCCO1 ZINC001143991863 1050432322 /nfs/dbraw/zinc/43/23/22/1050432322.db2.gz UAFFUBUMYMDJSS-AWEZNQCLSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@@H](N[C@H]1CCN(C(=O)OC(C)(C)C)C1)c1ccccc1Cl ZINC000226370407 1050437678 /nfs/dbraw/zinc/43/76/78/1050437678.db2.gz KNJNFNFIQAVJOM-OLZOCXBDSA-N 0 3 324.852 4.000 20 0 DIADHN Cc1ccc([C@H](C)N(C)C[C@@H]2CN(c3ccccc3)C(=O)O2)cc1 ZINC000735919589 1050439615 /nfs/dbraw/zinc/43/96/15/1050439615.db2.gz HMXMFMCWDATDGY-QFBILLFUSA-N 0 3 324.424 4.013 20 0 DIADHN Cc1cccc([C@H](O)CN2CCC[C@@H]2c2ccc(F)cc2F)c1 ZINC001171132065 1050448201 /nfs/dbraw/zinc/44/82/01/1050448201.db2.gz CBUDOQKPSXOPMS-RTBURBONSA-N 0 3 317.379 4.144 20 0 DIADHN CN1CCc2ccc(Nc3cncc(-c4ccccc4)c3)cc2C1 ZINC001211024091 1050451889 /nfs/dbraw/zinc/45/18/89/1050451889.db2.gz TYBKYYLJPFGBCE-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3ccc(Cl)nc3)C2)cc1 ZINC000786127455 1050457001 /nfs/dbraw/zinc/45/70/01/1050457001.db2.gz ANLVFEQKBGGKNX-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CC[C@H]4OCC[C@H]43)c2)cc1 ZINC001144090018 1050458179 /nfs/dbraw/zinc/45/81/79/1050458179.db2.gz ZYOJROZAJDSVQM-WOJBJXKFSA-N 0 3 309.409 4.151 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(Cl)c1CNCCCC1CC1 ZINC000736360509 1050468577 /nfs/dbraw/zinc/46/85/77/1050468577.db2.gz GKQGDTDZWDJIHQ-UHFFFAOYSA-N 0 3 321.827 4.253 20 0 DIADHN CCc1cc(CN2CCC[C@@H]2c2cccnc2)cc(CC)c1O ZINC001144138207 1050477131 /nfs/dbraw/zinc/47/71/31/1050477131.db2.gz SJCLVDKDLDOLQK-LJQANCHMSA-N 0 3 310.441 4.249 20 0 DIADHN Cc1ccc2ncc(CN3CCSC4(CCC4)CC3)cc2c1 ZINC001171143177 1050478385 /nfs/dbraw/zinc/47/83/85/1050478385.db2.gz JCGXXVOCSLUPCV-UHFFFAOYSA-N 0 3 312.482 4.405 20 0 DIADHN CN(Cc1n[nH]c2ccc(F)cc21)Cc1cccc2ccccc21 ZINC001144196430 1050490160 /nfs/dbraw/zinc/49/01/60/1050490160.db2.gz GVHZECQBCNCOHE-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN CN(Cc1[nH]nc2ccc(F)cc21)Cc1cccc2ccccc21 ZINC001144196430 1050490168 /nfs/dbraw/zinc/49/01/68/1050490168.db2.gz GVHZECQBCNCOHE-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2n[nH]c3ccc(F)cc32)cc1 ZINC001144196518 1050491469 /nfs/dbraw/zinc/49/14/69/1050491469.db2.gz JYUVCKKCEDDAJM-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2n[nH]c(CN(Cc3ccccc3)C3CCC3)c2c1 ZINC001144201132 1050493252 /nfs/dbraw/zinc/49/32/52/1050493252.db2.gz VVNTYBHGMTUWCW-UHFFFAOYSA-N 0 3 309.388 4.257 20 0 DIADHN Fc1ccc2[nH]nc(CN(Cc3ccccc3)C3CCC3)c2c1 ZINC001144201132 1050493264 /nfs/dbraw/zinc/49/32/64/1050493264.db2.gz VVNTYBHGMTUWCW-UHFFFAOYSA-N 0 3 309.388 4.257 20 0 DIADHN CCCCCCC(=O)OCC1CCN(Cc2ccco2)CC1 ZINC000772689103 1050518051 /nfs/dbraw/zinc/51/80/51/1050518051.db2.gz AVPYZQAZYODJGI-UHFFFAOYSA-N 0 3 307.434 4.005 20 0 DIADHN CC[C@@H](NC1CC(OCc2ccccc2)C1)c1nc(C)cs1 ZINC000786833317 1050518806 /nfs/dbraw/zinc/51/88/06/1050518806.db2.gz YBGMMMVRCIGWPV-OFLPRAFFSA-N 0 3 316.470 4.240 20 0 DIADHN C[C@H](NCC[C@@H]1CCc2ccccc2N1)c1cccnc1Cl ZINC000844925497 1050522534 /nfs/dbraw/zinc/52/25/34/1050522534.db2.gz QOQTYINDMIDHQF-ZFWWWQNUSA-N 0 3 315.848 4.203 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000738320838 1050533402 /nfs/dbraw/zinc/53/34/02/1050533402.db2.gz SQJMPTJBISWVSA-CYBMUJFWSA-N 0 3 301.402 4.002 20 0 DIADHN C[C@@H](NC[C@H](O)c1cc(F)cc(Cl)c1)c1ccccc1F ZINC000787023563 1050538616 /nfs/dbraw/zinc/53/86/16/1050538616.db2.gz CYKABTNOWJKGGE-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1ccc(F)nc1C ZINC000787088253 1050550423 /nfs/dbraw/zinc/55/04/23/1050550423.db2.gz LTNPFIHQBIJTKZ-GOSISDBHSA-N 0 3 314.404 4.047 20 0 DIADHN Cc1nc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)sc1C ZINC001144378398 1050552450 /nfs/dbraw/zinc/55/24/50/1050552450.db2.gz JLACOEQRHUVKPK-INIZCTEOSA-N 0 3 318.461 4.354 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@@H](C)N(C)C[C@H]1CC=CCC1 ZINC001168405668 1050554635 /nfs/dbraw/zinc/55/46/35/1050554635.db2.gz ZFKQPTFFQLCUTP-CABCVRRESA-N 0 3 320.864 4.264 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@@H](C)N(C)C[C@@H]1CC=CCC1 ZINC001168405671 1050555292 /nfs/dbraw/zinc/55/52/92/1050555292.db2.gz ZFKQPTFFQLCUTP-HUUCEWRRSA-N 0 3 320.864 4.264 20 0 DIADHN Clc1ccc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c[nH]c2n1 ZINC001144416895 1050567943 /nfs/dbraw/zinc/56/79/43/1050567943.db2.gz XABPAIYBVQCPMP-BETUJISGSA-N 0 3 323.827 4.303 20 0 DIADHN Clc1ccc2c(CN3CCC[C@@H]4CCCC[C@H]43)c[nH]c2n1 ZINC001144415595 1050568354 /nfs/dbraw/zinc/56/83/54/1050568354.db2.gz KNLZRFDQJJOGBF-SWLSCSKDSA-N 0 3 303.837 4.371 20 0 DIADHN Clc1ccc2c(CN3CCC[C@@H]4CCCC[C@@H]43)c[nH]c2n1 ZINC001144415596 1050568530 /nfs/dbraw/zinc/56/85/30/1050568530.db2.gz KNLZRFDQJJOGBF-WFASDCNBSA-N 0 3 303.837 4.371 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]c3nc(Cl)ccc13)CC2 ZINC001144416361 1050569364 /nfs/dbraw/zinc/56/93/64/1050569364.db2.gz GYEMHZTURGCLON-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1nc(F)ccc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC000787189734 1050573563 /nfs/dbraw/zinc/57/35/63/1050573563.db2.gz QDLNGHCCCMNAJX-OAHLLOKOSA-N 0 3 316.445 4.143 20 0 DIADHN Cc1nc(F)ccc1CN1CC[C@H](CSc2ccccc2)C1 ZINC000787189733 1050573855 /nfs/dbraw/zinc/57/38/55/1050573855.db2.gz QDLNGHCCCMNAJX-HNNXBMFYSA-N 0 3 316.445 4.143 20 0 DIADHN Cc1nc2ccc(Nc3cnnc4ccc(Cl)cc43)cc2[nH]1 ZINC001213072533 1050576376 /nfs/dbraw/zinc/57/63/76/1050576376.db2.gz YOWDULDSOZQEJC-UHFFFAOYSA-N 0 3 309.760 4.212 20 0 DIADHN CC(=O)Nc1cc(Nc2ccc3nc(C)[nH]c3c2)ccc1Cl ZINC001213074260 1050578571 /nfs/dbraw/zinc/57/85/71/1050578571.db2.gz MDXJGSNYBLIZHH-UHFFFAOYSA-N 0 3 314.776 4.227 20 0 DIADHN CC(=O)c1cc(Nc2ccc3nc(C)[nH]c3c2)cc(C(C)=O)c1 ZINC001213076101 1050579921 /nfs/dbraw/zinc/57/99/21/1050579921.db2.gz FKOZEGMUEGBJIG-UHFFFAOYSA-N 0 3 307.353 4.020 20 0 DIADHN Cc1cn(-c2ccc(Nc3ccc4nc(C)[nH]c4c3)cc2F)cn1 ZINC001213076492 1050580443 /nfs/dbraw/zinc/58/04/43/1050580443.db2.gz UGLOQDMFAKULBH-UHFFFAOYSA-N 0 3 321.359 4.248 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2CC[C@@H](c3ccc(F)cc3)C2)cc1F ZINC000780531113 1050581903 /nfs/dbraw/zinc/58/19/03/1050581903.db2.gz YSBWLUQBMQGQCJ-ZBFHGGJFSA-N 0 3 303.352 4.096 20 0 DIADHN CSCc1cnc(CNC2CCC3(CC3(F)F)CC2)s1 ZINC000594985465 1050588788 /nfs/dbraw/zinc/58/87/88/1050588788.db2.gz WBASLOWDTVAFOZ-UHFFFAOYSA-N 0 3 318.458 4.064 20 0 DIADHN CC[C@H]1CCCCCN1Cc1cc2c(C)nn(C)c2nc1Cl ZINC000739927310 1050594902 /nfs/dbraw/zinc/59/49/02/1050594902.db2.gz UFELZJYTSYGYQQ-AWEZNQCLSA-N 0 3 320.868 4.085 20 0 DIADHN COc1ncc(CN(C(C)C)[C@@H](C)c2ccccc2)cc1F ZINC001144473533 1050595697 /nfs/dbraw/zinc/59/56/97/1050595697.db2.gz YRINCVIOUYTWCF-AWEZNQCLSA-N 0 3 302.393 4.201 20 0 DIADHN Cc1nccn1CCN1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC000766243712 1050597725 /nfs/dbraw/zinc/59/77/25/1050597725.db2.gz PGXUQGPASOWDHV-MRXNPFEDSA-N 0 3 323.362 4.047 20 0 DIADHN CCSC1(CN[C@@H](C)c2ncc(-c3ccccc3)n2C)CC1 ZINC000595091877 1050611295 /nfs/dbraw/zinc/61/12/95/1050611295.db2.gz KJSTYTGXETVRCA-AWEZNQCLSA-N 0 3 315.486 4.023 20 0 DIADHN CCn1ccc(CNCC(CC)(CC)c2ccc(Cl)cc2)n1 ZINC001649010353 1050620818 /nfs/dbraw/zinc/62/08/18/1050620818.db2.gz UUPLOFBTWCUTMO-UHFFFAOYSA-N 0 3 319.880 4.404 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1ncc(CSC)s1 ZINC000574996991 1050626114 /nfs/dbraw/zinc/62/61/14/1050626114.db2.gz DEZODFBKTUODPE-CQSZACIVSA-N 0 3 306.500 4.117 20 0 DIADHN CN1CCc2ccc(Nc3ccc(OCC(F)F)cc3)cc2C1 ZINC001213312025 1050627220 /nfs/dbraw/zinc/62/72/20/1050627220.db2.gz PAMVOUJAYUWXMG-UHFFFAOYSA-N 0 3 318.367 4.062 20 0 DIADHN Cn1ncc2ccc(CNCc3ccc(C(C)(C)C)s3)cc21 ZINC000575053879 1050628826 /nfs/dbraw/zinc/62/88/26/1050628826.db2.gz KBDTXWHKNCVWOE-UHFFFAOYSA-N 0 3 313.470 4.222 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cccc(F)c1OC(F)F ZINC000595373602 1050631394 /nfs/dbraw/zinc/63/13/94/1050631394.db2.gz NZTJJGOZCJEBLC-JTQLQIEISA-N 0 3 307.381 4.001 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)N[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000845949705 1050632709 /nfs/dbraw/zinc/63/27/09/1050632709.db2.gz WCBMPJLQYFHVPY-SWHYSGLUSA-N 0 3 323.795 4.161 20 0 DIADHN C[C@H](NC(=O)CN1[C@H](C)CCC[C@H]1C)c1ccc2ccccc2c1 ZINC000595571671 1050640619 /nfs/dbraw/zinc/64/06/19/1050640619.db2.gz OGKJGRCUASHHNX-ZACQAIPSSA-N 0 3 324.468 4.280 20 0 DIADHN Oc1ccc(CN[C@@H]2CCSc3ccc(Cl)cc32)cc1 ZINC000035047137 1050647610 /nfs/dbraw/zinc/64/76/10/1050647610.db2.gz BLCPLWJNDLUPBD-OAHLLOKOSA-N 0 3 305.830 4.372 20 0 DIADHN O=C(Oc1ccccc1-c1ccccc1)C1CCN(C2CC2)CC1 ZINC000788180066 1050654110 /nfs/dbraw/zinc/65/41/10/1050654110.db2.gz LNUBJPBWSFIHPS-UHFFFAOYSA-N 0 3 321.420 4.133 20 0 DIADHN C[C@@]1(CCNCc2coc(-c3ccccc3)n2)C[C@@]1(F)Cl ZINC000846041145 1050657908 /nfs/dbraw/zinc/65/79/08/1050657908.db2.gz ZAUUSFIAHQHSMW-CVEARBPZSA-N 0 3 308.784 4.136 20 0 DIADHN C[C@]1(CCNCc2coc(-c3ccccc3)n2)C[C@]1(F)Cl ZINC000846041155 1050657948 /nfs/dbraw/zinc/65/79/48/1050657948.db2.gz ZAUUSFIAHQHSMW-JKSUJKDBSA-N 0 3 308.784 4.136 20 0 DIADHN CC(C)(C)OC(=O)CCCCN1CC[C@@H]1c1ccc(F)cc1 ZINC000595699829 1050663401 /nfs/dbraw/zinc/66/34/01/1050663401.db2.gz AVOHRSXQQPFQJE-MRXNPFEDSA-N 0 3 307.409 4.085 20 0 DIADHN FC1(F)C[C@@]12CCCN(Cc1ccc(OCC3CC3)cc1)C2 ZINC000846104939 1050670098 /nfs/dbraw/zinc/67/00/98/1050670098.db2.gz NLTITRBOTHYKMY-QGZVFWFLSA-N 0 3 307.384 4.097 20 0 DIADHN ClC1(Cl)C[C@H]1CCCNCc1nc2c(s1)CCCC2 ZINC000846106134 1050673005 /nfs/dbraw/zinc/67/30/05/1050673005.db2.gz XXSTVEMDJOOZLH-SNVBAGLBSA-N 0 3 319.301 4.086 20 0 DIADHN C[C@@H](CO)N(Cc1cc2ccccc2nc1Cl)C1CCCC1 ZINC000788466181 1050683112 /nfs/dbraw/zinc/68/31/12/1050683112.db2.gz FZLGYJYZGZTYKN-ZDUSSCGKSA-N 0 3 318.848 4.014 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc(OC)c(COC)c2)c1 ZINC000846208243 1050686152 /nfs/dbraw/zinc/68/61/52/1050686152.db2.gz GLXLJGLXMOZJQF-HNNXBMFYSA-N 0 3 313.441 4.255 20 0 DIADHN Brc1c[nH]c(CN2CCC[C@H]2C2CCCCC2)c1 ZINC001231575718 1050689428 /nfs/dbraw/zinc/68/94/28/1050689428.db2.gz PNAJTSJNDFMNTD-HNNXBMFYSA-N 0 3 311.267 4.322 20 0 DIADHN CC(C)CC[C@@H](C)N[C@@H](C)c1nc(Br)ccc1F ZINC000788498291 1050692147 /nfs/dbraw/zinc/69/21/47/1050692147.db2.gz MXIDCWUIZKQUCB-MNOVXSKESA-N 0 3 317.246 4.459 20 0 DIADHN CC(C)[C@@H](NCc1cscc1Cl)[C@H](O)c1ccccc1 ZINC000788536167 1050698668 /nfs/dbraw/zinc/69/86/68/1050698668.db2.gz FDQGJLNCXRQIIE-HZPDHXFCSA-N 0 3 309.862 4.249 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1COCc2cccc(Cl)c21 ZINC000788564204 1050703824 /nfs/dbraw/zinc/70/38/24/1050703824.db2.gz SMPNOAMGGSJDIZ-YVEFUNNKSA-N 0 3 319.807 4.271 20 0 DIADHN CCC(CC)CN[C@H](C)c1nc(Br)ccc1F ZINC000788596247 1050710796 /nfs/dbraw/zinc/71/07/96/1050710796.db2.gz YFEFIVVROKYSFR-SECBINFHSA-N 0 3 303.219 4.070 20 0 DIADHN C[C@@H](CN[C@@H]1COCc2cccc(Cl)c21)c1ccc(F)cc1 ZINC000788595695 1050710865 /nfs/dbraw/zinc/71/08/65/1050710865.db2.gz UWABQWAAFZRKFH-YVEFUNNKSA-N 0 3 319.807 4.444 20 0 DIADHN CC(C)c1nc(CCN[C@H]2CCc3ccc(Cl)nc32)cs1 ZINC000788587379 1050711403 /nfs/dbraw/zinc/71/14/03/1050711403.db2.gz IBOJVNYONGQACL-ZDUSSCGKSA-N 0 3 321.877 4.135 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@H]2CCc3ccc(Cl)nc32)c1 ZINC000788606922 1050714274 /nfs/dbraw/zinc/71/42/74/1050714274.db2.gz ATMYBVHXDYNSQW-BBRMVZONSA-N 0 3 316.832 4.088 20 0 DIADHN C[C@H](Cc1cccs1)N[C@H]1COCc2cccc(Cl)c21 ZINC000788650102 1050716273 /nfs/dbraw/zinc/71/62/73/1050716273.db2.gz WFVNNLVCLMPDQP-ABAIWWIYSA-N 0 3 307.846 4.194 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C(C)C)[C@H](O)c2ccccc2)c(C)s1 ZINC000788620811 1050719365 /nfs/dbraw/zinc/71/93/65/1050719365.db2.gz KKFYBCGGMAGULG-ULFGMLNVSA-N 0 3 318.486 4.169 20 0 DIADHN CC(C)C(=O)NCCN[C@@H](C)c1ccccc1SC(C)(C)C ZINC000788642033 1050722571 /nfs/dbraw/zinc/72/25/71/1050722571.db2.gz CCNWXFJSWBUPSL-AWEZNQCLSA-N 0 3 322.518 4.000 20 0 DIADHN C[C@@H](NC(c1cccs1)c1cccs1)c1cc[nH]c(=O)c1 ZINC000788644418 1050723783 /nfs/dbraw/zinc/72/37/83/1050723783.db2.gz NIEMBBMRYYNXTG-LLVKDONJSA-N 0 3 316.451 4.350 20 0 DIADHN CCC[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccc(F)cc1F ZINC000788631289 1050723843 /nfs/dbraw/zinc/72/38/43/1050723843.db2.gz LFGZFXMESJUCGS-BDJLRTHQSA-N 0 3 306.356 4.257 20 0 DIADHN CCOCCC1(CN[C@H]2COCc3cccc(Cl)c32)CCC1 ZINC000788666411 1050728226 /nfs/dbraw/zinc/72/82/26/1050728226.db2.gz BYSBSNZVVAJWFZ-INIZCTEOSA-N 0 3 323.864 4.098 20 0 DIADHN Cc1ccccc1[C@@H](C)N(C)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000741783358 1050738309 /nfs/dbraw/zinc/73/83/09/1050738309.db2.gz ZYWQLDPOAOOEMO-SJLPKXTDSA-N 0 3 324.424 4.013 20 0 DIADHN Cc1ccccc1[C@@H](C)N(C)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000741783354 1050738435 /nfs/dbraw/zinc/73/84/35/1050738435.db2.gz ZYWQLDPOAOOEMO-AEFFLSMTSA-N 0 3 324.424 4.013 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H]2COCc3cccc(Cl)c32)n1 ZINC000788741834 1050744873 /nfs/dbraw/zinc/74/48/73/1050744873.db2.gz VYRZQSXVJVISLP-IINYFYTJSA-N 0 3 322.861 4.070 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c(F)c(F)cc(Br)c2F)C1 ZINC001170091490 1050761776 /nfs/dbraw/zinc/76/17/76/1050761776.db2.gz GUIHDLAOQPPDHI-HTQZYQBOSA-N 0 3 322.168 4.145 20 0 DIADHN C[C@H](NCC1(C)OCCCO1)c1ccccc1SC(C)(C)C ZINC000788781462 1050753199 /nfs/dbraw/zinc/75/31/99/1050753199.db2.gz GVKCTEYCVKLACI-AWEZNQCLSA-N 0 3 323.502 4.381 20 0 DIADHN COC(C)(C)[C@@H](C)N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000788780306 1050753714 /nfs/dbraw/zinc/75/37/14/1050753714.db2.gz CSLPQFWDNPATKR-BXKDBHETSA-N 0 3 318.244 4.220 20 0 DIADHN Cc1ncc(C(F)(F)F)cc1Nc1ccc2c(c1)CN(C)CC2 ZINC001213835295 1050759321 /nfs/dbraw/zinc/75/93/21/1050759321.db2.gz PCLLBNDJOGDEEM-UHFFFAOYSA-N 0 3 321.346 4.140 20 0 DIADHN CCCOc1ccc(CNCc2ccc(Cl)nc2)c(C)c1 ZINC000788970845 1050766927 /nfs/dbraw/zinc/76/69/27/1050766927.db2.gz WVHCHTHXWHDRLJ-UHFFFAOYSA-N 0 3 304.821 4.122 20 0 DIADHN COc1ccc([C@H](NCc2cnc(Cl)c(F)c2)C2CC2)cc1 ZINC000846850212 1050771529 /nfs/dbraw/zinc/77/15/29/1050771529.db2.gz PMIHGLQZHMRGMX-MRXNPFEDSA-N 0 3 320.795 4.124 20 0 DIADHN CN(C/C=C/c1ccc(Cl)cc1)[C@@H]1CCCc2c1cnn2C ZINC000180208584 1050775947 /nfs/dbraw/zinc/77/59/47/1050775947.db2.gz NLBASEMFKCFHQE-LAQIPUCWSA-N 0 3 315.848 4.096 20 0 DIADHN CN(C/C=C/c1ccc(Cl)cc1)[C@H]1CCCc2c1cnn2C ZINC000180208597 1050777096 /nfs/dbraw/zinc/77/70/96/1050777096.db2.gz NLBASEMFKCFHQE-BDUNBXCCSA-N 0 3 315.848 4.096 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1CCCCOC(C)(C)C ZINC000789320634 1050816524 /nfs/dbraw/zinc/81/65/24/1050816524.db2.gz MHGDHNQYWRTTAB-ZIFCJYIRSA-N 0 3 319.489 4.432 20 0 DIADHN Cc1ccc(CNCc2ccc(OCC(F)F)cc2)cc1F ZINC000742366426 1050821672 /nfs/dbraw/zinc/82/16/72/1050821672.db2.gz DSZCRWJOGRBUKI-UHFFFAOYSA-N 0 3 309.331 4.068 20 0 DIADHN Cc1ccc(N2CCC[C@@H](NCc3cc(F)ccc3F)C2)cc1 ZINC000742380902 1050825765 /nfs/dbraw/zinc/82/57/65/1050825765.db2.gz RVEQUISFZUYJOU-QGZVFWFLSA-N 0 3 316.395 4.032 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N[C@@H](CC(C)C)c1ccccc1C ZINC001146489150 1050826557 /nfs/dbraw/zinc/82/65/57/1050826557.db2.gz RDODSPYDQAKHEU-RBUKOAKNSA-N 0 3 316.489 4.073 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)c1cccc(-n2ccnc2)c1 ZINC000742391472 1050829758 /nfs/dbraw/zinc/82/97/58/1050829758.db2.gz JNKFNWDWMKKGNI-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(F)ccc32)cc1Cl ZINC000742369260 1050831726 /nfs/dbraw/zinc/83/17/26/1050831726.db2.gz QSIBAQWBSDECSN-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN Cc1ccc(C)c(NC(=O)c2ccc(Nc3nccn3C)cc2)c1 ZINC001214153667 1050833073 /nfs/dbraw/zinc/83/30/73/1050833073.db2.gz YSXDEGGIJWOSIC-UHFFFAOYSA-N 0 3 320.396 4.033 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1c[nH]nc1C)c1ccc(OC)cc1 ZINC000180424643 1050834117 /nfs/dbraw/zinc/83/41/17/1050834117.db2.gz HJEGYCKPCVICIT-SCLBCKFNSA-N 0 3 301.434 4.309 20 0 DIADHN CC(C)CC[C@H](NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)C(C)(C)C ZINC001146764727 1050838692 /nfs/dbraw/zinc/83/86/92/1050838692.db2.gz GRZHDWZGRTWHGK-YVSFHVDLSA-N 0 3 310.526 4.215 20 0 DIADHN CC(C)P(CCNC(=O)CC1Cc2ccccc2C1)C(C)C ZINC001146811633 1050841206 /nfs/dbraw/zinc/84/12/06/1050841206.db2.gz ZNOOYMULAAIBHL-UHFFFAOYSA-N 0 3 319.429 4.206 20 0 DIADHN CCS[C@@H]1CCC[C@@H]1NCc1cc(-c2ccc(F)cc2)no1 ZINC001170118496 1050879246 /nfs/dbraw/zinc/87/92/46/1050879246.db2.gz QYBZOUCTPAEWEW-DOTOQJQBSA-N 0 3 320.433 4.245 20 0 DIADHN C[C@]1(Cc2ccc(F)cc2)CCCN1Cc1cccc(Cl)n1 ZINC001171307122 1050882918 /nfs/dbraw/zinc/88/29/18/1050882918.db2.gz LHFMXOAQSGMZHO-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN CCN(CC)[C@H](CNC(=O)/C=C(\C)C(C)(C)C)c1ccsc1 ZINC000742538893 1050883404 /nfs/dbraw/zinc/88/34/04/1050883404.db2.gz JPRSHJIFLQSQFT-WSYSLRRZSA-N 0 3 322.518 4.240 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(Cl)cc3O)cccc21 ZINC001214413836 1050895636 /nfs/dbraw/zinc/89/56/36/1050895636.db2.gz PMPNFHNBVKVVEL-HNNXBMFYSA-N 0 3 318.804 4.174 20 0 DIADHN COCOc1cccc(F)c1Nc1ccnc(C(C)(C)C)c1 ZINC001214432806 1050901051 /nfs/dbraw/zinc/90/10/51/1050901051.db2.gz GPQIFTPELFRJFB-UHFFFAOYSA-N 0 3 304.365 4.245 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](c2nc3ccccc3n2C)c2ccccc2)C1 ZINC001170124920 1050904895 /nfs/dbraw/zinc/90/48/95/1050904895.db2.gz YQQVYRALRJSNMB-OXFYSEKESA-N 0 3 319.452 4.441 20 0 DIADHN FC(F)Sc1ccc(CN2CCC[C@H](n3ccnc3)C2)cc1 ZINC000772747867 1050912002 /nfs/dbraw/zinc/91/20/02/1050912002.db2.gz VSLBKQDMENTDAG-AWEZNQCLSA-N 0 3 323.412 4.035 20 0 DIADHN CC[C@@H](NCc1cc(C)ccc1F)[C@H](O)c1c(F)cccc1F ZINC000742711854 1050918395 /nfs/dbraw/zinc/91/83/95/1050918395.db2.gz BKIZMEINUHRMBF-AEFFLSMTSA-N 0 3 323.358 4.014 20 0 DIADHN CC[C@@H](C(=O)N(C)[C@H](C)c1ccc(-c2ccccc2)cc1)N(C)C ZINC001148294812 1050931868 /nfs/dbraw/zinc/93/18/68/1050931868.db2.gz OEMZJBAFCSHDKH-UZLBHIALSA-N 0 3 324.468 4.213 20 0 DIADHN CC(C)c1ccc(C(=O)N(C)CCN(C)Cc2ccccc2)cc1 ZINC001148318519 1050934702 /nfs/dbraw/zinc/93/47/02/1050934702.db2.gz PIMWOLNNWBKNNO-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN Fc1ccccc1-n1ccc(CN2CC[C@H](C3CCCC3)C2)n1 ZINC001171330696 1050937214 /nfs/dbraw/zinc/93/72/14/1050937214.db2.gz DSFWAZXSQQKGAQ-INIZCTEOSA-N 0 3 313.420 4.024 20 0 DIADHN [O-]c1ccc(Cl)c(Nc2c[nH+]ccc2N2CCCCC2)c1F ZINC001214558187 1050942983 /nfs/dbraw/zinc/94/29/83/1050942983.db2.gz RLLNZIUTELFZFP-UHFFFAOYSA-N 0 3 321.783 4.314 20 0 DIADHN C[C@H](CNCc1ncc(C(C)(C)C)s1)c1cccc(F)c1 ZINC000790469118 1050967124 /nfs/dbraw/zinc/96/71/24/1050967124.db2.gz MZEDEEGZRZFWFM-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN CS[C@H]1CC[C@@H](NCc2c(F)cccc2OC(F)(F)F)C1 ZINC000790549752 1050971243 /nfs/dbraw/zinc/97/12/43/1050971243.db2.gz ZTYDZMGQSFLSCE-ZJUUUORDSA-N 0 3 323.355 4.098 20 0 DIADHN CC(C)CCCC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001148952697 1050981858 /nfs/dbraw/zinc/98/18/58/1050981858.db2.gz OZPAWPKNCASXPZ-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN COc1ccc(F)c(Nc2ccnc(C(C)(C)C)c2)c1OC ZINC001214693894 1050982122 /nfs/dbraw/zinc/98/21/22/1050982122.db2.gz DPWJIAMADPXXSF-UHFFFAOYSA-N 0 3 304.365 4.279 20 0 DIADHN CCN(Cc1ccncc1)Cc1cccc(Br)c1C ZINC000348130697 1050991124 /nfs/dbraw/zinc/99/11/24/1050991124.db2.gz XCUUBYDRPYXSSZ-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN Cc1nc(CCN2CCS[C@@H](C)[C@@H]2c2ccccc2)cs1 ZINC000348159577 1050996316 /nfs/dbraw/zinc/99/63/16/1050996316.db2.gz KDNWRHDAAASXOA-SUMWQHHRSA-N 0 3 318.511 4.173 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC000180435895 1051000798 /nfs/dbraw/zinc/00/07/98/1051000798.db2.gz DXTRLKHTTFFHSL-QAPCUYQASA-N 0 3 313.397 4.073 20 0 DIADHN Fc1ccc(-c2cc(CN3CCCC4(CCC4)C3)on2)cc1 ZINC000348180799 1051001768 /nfs/dbraw/zinc/00/17/68/1051001768.db2.gz MCIURRNXYDZCII-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN(C)Cc2ccc(Cl)s2)c1 ZINC000743251540 1051004275 /nfs/dbraw/zinc/00/42/75/1051004275.db2.gz SURXPVRFOOCRSM-OAHLLOKOSA-N 0 3 309.862 4.184 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000348221178 1051004296 /nfs/dbraw/zinc/00/42/96/1051004296.db2.gz GJFDBVPDPULAMZ-OALUTQOASA-N 0 3 315.844 4.349 20 0 DIADHN C[C@H](NC[C@@H](O)c1cccc(Cl)c1)c1ccccc1Cl ZINC000743250503 1051004522 /nfs/dbraw/zinc/00/45/22/1051004522.db2.gz ZUQCJTJQLZBVFC-MEDUHNTESA-N 0 3 310.224 4.378 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](CCO)c1ccc(Cl)cc1 ZINC000348207611 1051004832 /nfs/dbraw/zinc/00/48/32/1051004832.db2.gz PUVVRQDMAWMYMR-RDTXWAMCSA-N 0 3 303.833 4.423 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(C3CC3)cc2)cc1 ZINC000790995071 1051014644 /nfs/dbraw/zinc/01/46/44/1051014644.db2.gz JLISWDZYQITZND-UHFFFAOYSA-N 0 3 311.425 4.227 20 0 DIADHN CC(C)C[C@H]1CCCN1CN1C(=O)C[C@H]1c1ccc(Cl)cc1 ZINC000743360795 1051016836 /nfs/dbraw/zinc/01/68/36/1051016836.db2.gz ZRXRNZPIADOWBV-SJORKVTESA-N 0 3 320.864 4.081 20 0 DIADHN CCCCN(CCCC)CN1C(=O)C[C@@H]1c1ccc(Cl)cc1 ZINC000743353524 1051017086 /nfs/dbraw/zinc/01/70/86/1051017086.db2.gz BZYKFJYIUHGEMB-QGZVFWFLSA-N 0 3 322.880 4.473 20 0 DIADHN CCCCN(CCCC)CN1C(=O)[C@H](C)[C@@H]1c1ccccc1 ZINC000743354896 1051017416 /nfs/dbraw/zinc/01/74/16/1051017416.db2.gz YELVUEYLXWTGMW-SJLPKXTDSA-N 0 3 302.462 4.066 20 0 DIADHN C[C@@H](C[C@H](O)c1cccs1)NCc1ccsc1Cl ZINC000790980386 1051019302 /nfs/dbraw/zinc/01/93/02/1051019302.db2.gz MYWMRPCFPVSPJR-ONGXEEELSA-N 0 3 301.864 4.065 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000180921133 1051019938 /nfs/dbraw/zinc/01/99/38/1051019938.db2.gz ZAQYQBPFESIUMF-PNCBDVDHSA-N 0 3 303.837 4.419 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1Cl)c1ccc(F)cc1 ZINC000773010855 1051021800 /nfs/dbraw/zinc/02/18/00/1051021800.db2.gz GRODEJAUWCXPDH-ZUZCIYMTSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccc(F)cc1)NCc1ccsc1Cl ZINC000790981179 1051021606 /nfs/dbraw/zinc/02/16/06/1051021606.db2.gz SRQKRGUQGOOPIR-IINYFYTJSA-N 0 3 313.825 4.142 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cn2ccc(-c3cccs3)n2)C1 ZINC000743398058 1051022309 /nfs/dbraw/zinc/02/23/09/1051022309.db2.gz FXOZLLCYNVJJOJ-KBPBESRZSA-N 0 3 321.515 4.175 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1Cl)c1cccc(F)c1 ZINC000773018501 1051023774 /nfs/dbraw/zinc/02/37/74/1051023774.db2.gz WKNHIYZMODENBN-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1ncc(Nc2ccc(Cl)c(OC(F)(F)F)c2)n1C ZINC001214905380 1051023940 /nfs/dbraw/zinc/02/39/40/1051023940.db2.gz NTVRHTSIJBGKQT-UHFFFAOYSA-N 0 3 305.687 4.024 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cn2cc(-c3ccccc3)cn2)C1 ZINC000743398415 1051024120 /nfs/dbraw/zinc/02/41/20/1051024120.db2.gz HRRQDOKLJCXDFI-MSOLQXFVSA-N 0 3 315.486 4.114 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ncc(Br)s1 ZINC000713719187 1051084648 /nfs/dbraw/zinc/08/46/48/1051084648.db2.gz QJQBSYHJWFRYKQ-MNOVXSKESA-N 0 3 317.296 4.210 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CC)[C@H](O)c2c(F)cccc2F)o1 ZINC000773025751 1051028793 /nfs/dbraw/zinc/02/87/93/1051028793.db2.gz CESASMDWGDPMAB-POHZPAGDSA-N 0 3 323.383 4.283 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1F)[C@@H](O)c1c(F)cccc1F ZINC000773030218 1051030494 /nfs/dbraw/zinc/03/04/94/1051030494.db2.gz MAHCGDOIQQJWRR-SDDDUWNISA-N 0 3 323.358 4.267 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1c(F)cccc1Cl)c1ccsc1 ZINC000773041495 1051034616 /nfs/dbraw/zinc/03/46/16/1051034616.db2.gz KUJZPYLJUPQWQF-YHAQOWFVSA-N 0 3 313.825 4.313 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3cccc(C)c3)cc2)CC1 ZINC000743462812 1051034751 /nfs/dbraw/zinc/03/47/51/1051034751.db2.gz MLVKFEZJIBDYMX-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cc2ccccc2nc1Cl ZINC000400388225 1051035014 /nfs/dbraw/zinc/03/50/14/1051035014.db2.gz MHZZROYUHBEYHE-AWEZNQCLSA-N 0 3 306.862 4.216 20 0 DIADHN CCCCNCc1c(C)nn(-c2ccc(Cl)cc2)c1Cl ZINC000743503661 1051038982 /nfs/dbraw/zinc/03/89/82/1051038982.db2.gz PXFWTQAJHAZYPL-UHFFFAOYSA-N 0 3 312.244 4.377 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1c(Cl)cccc1Cl)c1ccoc1 ZINC000773047452 1051040412 /nfs/dbraw/zinc/04/04/12/1051040412.db2.gz VBNKNXSBHPDKBR-AMJWSMQMSA-N 0 3 314.212 4.359 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN(C[C@@H](O)c2ccccc2)C2CC2)o1 ZINC000791441567 1051049110 /nfs/dbraw/zinc/04/91/10/1051049110.db2.gz DDNRISAHKHWCFH-ZOCIIQOWSA-N 0 3 311.425 4.101 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2cccnc2Cl)c1 ZINC000773075596 1051050570 /nfs/dbraw/zinc/05/05/70/1051050570.db2.gz XUAWBOMIHYTBAY-CQSZACIVSA-N 0 3 318.848 4.417 20 0 DIADHN Cc1cccc([C@@H](O)CN[C@H](C)c2ccc(F)cc2Cl)c1 ZINC000773073577 1051051595 /nfs/dbraw/zinc/05/15/95/1051051595.db2.gz ZLKXQDILNBBEED-PXAZEXFGSA-N 0 3 307.796 4.172 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000791564042 1051062208 /nfs/dbraw/zinc/06/22/08/1051062208.db2.gz XDWHOYKLHQYHTQ-OAHLLOKOSA-N 0 3 301.402 4.002 20 0 DIADHN COCCCC[C@@H](NCc1ccc(Cl)nc1)c1ccccc1 ZINC000791612725 1051063091 /nfs/dbraw/zinc/06/30/91/1051063091.db2.gz VQMXSAYGHUVGRF-QGZVFWFLSA-N 0 3 318.848 4.383 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000743803098 1051065396 /nfs/dbraw/zinc/06/53/96/1051065396.db2.gz IGDRPOFXDQTFPY-SJORKVTESA-N 0 3 320.477 4.091 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cccnc3Cl)C2)c(C)c1 ZINC000791603816 1051068252 /nfs/dbraw/zinc/06/82/52/1051068252.db2.gz HKXOHQPKOKMKDR-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](O)c1ccc(C)cc1 ZINC000743843500 1051068387 /nfs/dbraw/zinc/06/83/87/1051068387.db2.gz ZTHRHKOVWWTTGJ-IBGZPJMESA-N 0 3 301.405 4.080 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCc1csc(C(C)(C)C)n1 ZINC000773134123 1051073732 /nfs/dbraw/zinc/07/37/32/1051073732.db2.gz VURANVLRIMFTLG-NSHDSACASA-N 0 3 321.490 4.193 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000743850989 1051074546 /nfs/dbraw/zinc/07/45/46/1051074546.db2.gz FRNLJMFRAXCLPR-CZUORRHYSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1cccc(Cl)n1 ZINC000743850029 1051075447 /nfs/dbraw/zinc/07/54/47/1051075447.db2.gz MQZZOLIEUSUCQL-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)Cc1cccnc1Cl ZINC000743856667 1051076527 /nfs/dbraw/zinc/07/65/27/1051076527.db2.gz GOERSSORLMIZCH-KRWDZBQOSA-N 0 3 302.849 4.497 20 0 DIADHN C[C@@H](NCC1(C)OCCO1)c1ccc(SC2CCCC2)cc1 ZINC000773140883 1051078187 /nfs/dbraw/zinc/07/81/87/1051078187.db2.gz WSAACOWVWHKBRJ-CQSZACIVSA-N 0 3 321.486 4.135 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(C[C@@H]2CC2(Cl)Cl)C1 ZINC000743857393 1051080785 /nfs/dbraw/zinc/08/07/85/1051080785.db2.gz ULBGAOQMSVLPOZ-UHIISALHSA-N 0 3 302.220 4.402 20 0 DIADHN COc1cccc(CN(Cc2cccc(Cl)n2)CC(C)C)c1 ZINC000743842795 1051083102 /nfs/dbraw/zinc/08/31/02/1051083102.db2.gz JRKFUOJAPXSJSB-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC000743869959 1051084423 /nfs/dbraw/zinc/08/44/23/1051084423.db2.gz YLSPYPIODODZDA-RYUDHWBXSA-N 0 3 319.301 4.494 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC000743869957 1051085030 /nfs/dbraw/zinc/08/50/30/1051085030.db2.gz YLSPYPIODODZDA-NEPJUHHUSA-N 0 3 319.301 4.494 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ncc(Br)s1 ZINC000713719189 1051085354 /nfs/dbraw/zinc/08/53/54/1051085354.db2.gz QJQBSYHJWFRYKQ-WDEREUQCSA-N 0 3 317.296 4.210 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCC2(CCCC2)C1 ZINC000743863995 1051085358 /nfs/dbraw/zinc/08/53/58/1051085358.db2.gz DYHLCOJSHRERAX-AWEZNQCLSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1ccc(C)c(CN2CC[C@@H](O)[C@H]2c2ccc(Cl)cc2)c1 ZINC001171399986 1051086342 /nfs/dbraw/zinc/08/63/42/1051086342.db2.gz QOFSLZBUZTVENB-RTBURBONSA-N 0 3 315.844 4.265 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC2(CCCC2)C1 ZINC000743863996 1051086657 /nfs/dbraw/zinc/08/66/57/1051086657.db2.gz DYHLCOJSHRERAX-CQSZACIVSA-N 0 3 320.864 4.242 20 0 DIADHN CC[C@H](C)C[C@H](N)C(=O)Nc1ccccc1-c1cccs1 ZINC000401043603 1051101876 /nfs/dbraw/zinc/10/18/76/1051101876.db2.gz ZTKPFAGSACXRHN-JSGCOSHPSA-N 0 3 302.443 4.117 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(C)s2)c(OC)c1 ZINC000036922104 1051101909 /nfs/dbraw/zinc/10/19/09/1051101909.db2.gz PKEYBKRNGBKLOF-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN Fc1cccc2c1CC[C@H](N1Cc3ccc(Cl)cc3C1)C2 ZINC001171443077 1051125700 /nfs/dbraw/zinc/12/57/00/1051125700.db2.gz WEBXZLUJFLQUIF-INIZCTEOSA-N 0 3 301.792 4.352 20 0 DIADHN Cc1ncc(CN2CCC(c3cc4ccccc4[nH]3)CC2)s1 ZINC000744220907 1051134091 /nfs/dbraw/zinc/13/40/91/1051134091.db2.gz KLPJDRUNCCXRGD-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CC1(C)CN(C[C@@H]2CC2(Cl)Cl)CC[C@@H]1C(F)(F)F ZINC000792361759 1051134540 /nfs/dbraw/zinc/13/45/40/1051134540.db2.gz OGLRKQIKCBXOFO-IUCAKERBSA-N 0 3 304.183 4.091 20 0 DIADHN COCCN(Cc1cc(Cl)nc(Cl)c1)[C@@H]1CC[C@H](C)C1 ZINC000792464970 1051137752 /nfs/dbraw/zinc/13/77/52/1051137752.db2.gz PWAHIAHFUURMDP-WCQYABFASA-N 0 3 317.260 4.025 20 0 DIADHN COCCN(Cc1cc(Cl)nc(Cl)c1)[C@H]1CC[C@H](C)C1 ZINC000792464968 1051137796 /nfs/dbraw/zinc/13/77/96/1051137796.db2.gz PWAHIAHFUURMDP-AAEUAGOBSA-N 0 3 317.260 4.025 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NCc2cccc3ncoc32)n1 ZINC001171455640 1051138398 /nfs/dbraw/zinc/13/83/98/1051138398.db2.gz FIQYVKVCHBICNW-AWEZNQCLSA-N 0 3 322.412 4.214 20 0 DIADHN CCNc1nc(CN2CC[C@H]([C@H]3CCCC3(C)C)C2)cs1 ZINC001171460919 1051141326 /nfs/dbraw/zinc/14/13/26/1051141326.db2.gz YKOVABLWQYGVLI-DZGCQCFKSA-N 0 3 307.507 4.223 20 0 DIADHN CCNc1nc(CN2CC[C@@H]([C@@H]3CCCC3(C)C)C2)cs1 ZINC001171460920 1051142179 /nfs/dbraw/zinc/14/21/79/1051142179.db2.gz YKOVABLWQYGVLI-HIFRSBDPSA-N 0 3 307.507 4.223 20 0 DIADHN Fc1cc2c(cc1F)C[C@H](N1CCC[C@@H](C(F)(F)F)C1)CC2 ZINC001171486062 1051144266 /nfs/dbraw/zinc/14/42/66/1051144266.db2.gz AFOHJIKZDGHRFB-CHWSQXEVSA-N 0 3 319.317 4.096 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@H](c2cccnc2)C1 ZINC001171491709 1051145930 /nfs/dbraw/zinc/14/59/30/1051145930.db2.gz XYGFPEDRRNQWIG-AWEZNQCLSA-N 0 3 321.251 4.420 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC001171539053 1051153910 /nfs/dbraw/zinc/15/39/10/1051153910.db2.gz YUBCQDPCSGHTSZ-IBGZPJMESA-N 0 3 324.468 4.421 20 0 DIADHN CC[C@@H]1C[C@H](N2CCc3c(cccc3C(F)(F)F)C2)CCO1 ZINC001170235884 1051158293 /nfs/dbraw/zinc/15/82/93/1051158293.db2.gz PPPCMAIUZYPEFM-ZIAGYGMSSA-N 0 3 313.363 4.021 20 0 DIADHN CC[C@@H]1C[C@@H](N2CCc3ccc(C(F)(F)F)cc3C2)CCO1 ZINC001170227899 1051161220 /nfs/dbraw/zinc/16/12/20/1051161220.db2.gz QJSJZLXAUMBXLO-JKSUJKDBSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1cccc2c1CC[C@H](NCc1c(F)ccc(F)c1F)C2 ZINC001171550872 1051163327 /nfs/dbraw/zinc/16/33/27/1051163327.db2.gz LZQAQSFZVCMELB-ZDUSSCGKSA-N 0 3 305.343 4.059 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@@H]1COc2ccc(F)cc21 ZINC001170256614 1051164277 /nfs/dbraw/zinc/16/42/77/1051164277.db2.gz NEPFXDBALHWONC-BDJLRTHQSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cccc2c1CC[C@H](NCc1c(Cl)cncc1Cl)C2 ZINC001171555904 1051165315 /nfs/dbraw/zinc/16/53/15/1051165315.db2.gz DBYDKQBYOPSYBN-ZDUSSCGKSA-N 0 3 321.251 4.344 20 0 DIADHN CN1CC(CNc2ccc(-c3ccccc3)cc2C(F)(F)F)C1 ZINC001170258430 1051166419 /nfs/dbraw/zinc/16/64/19/1051166419.db2.gz KFEVQVJAQRAICW-UHFFFAOYSA-N 0 3 320.358 4.346 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@H](CO)c1ccccc1Cl ZINC001170260015 1051166509 /nfs/dbraw/zinc/16/65/09/1051166509.db2.gz GLJTYYQBICVMNZ-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cccc2c1CC[C@@H](NCc1nccc(Cl)c1Cl)C2 ZINC001171560339 1051166586 /nfs/dbraw/zinc/16/65/86/1051166586.db2.gz RHKRWIGYLHCSSD-CYBMUJFWSA-N 0 3 321.251 4.344 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1CC[C@](CF)(C(F)(F)F)C1 ZINC001170265019 1051167589 /nfs/dbraw/zinc/16/75/89/1051167589.db2.gz CUXBMWYKGBRVIH-SMDDNHRTSA-N 0 3 323.761 4.495 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1cn(CC2CCC2)cn1 ZINC001170262696 1051168189 /nfs/dbraw/zinc/16/81/89/1051168189.db2.gz GAIHHADKRLEQBW-CQSZACIVSA-N 0 3 317.864 4.057 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1ccc(F)c(F)c1F ZINC001170249999 1051172215 /nfs/dbraw/zinc/17/22/15/1051172215.db2.gz FZGLQXCEDMNHRZ-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CC2CCCC2)cc1C ZINC001171631675 1051175315 /nfs/dbraw/zinc/17/53/15/1051175315.db2.gz PJNNIIMJFPWYKY-IBGZPJMESA-N 0 3 314.473 4.287 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CNC(C)(C)c1ccc(Cl)cc1 ZINC001170254747 1051175531 /nfs/dbraw/zinc/17/55/31/1051175531.db2.gz WMMJQNFXPVDPJW-AWEZNQCLSA-N 0 3 324.896 4.058 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CCC[C@@H]2CC2CCCC2)n1 ZINC001171631995 1051175491 /nfs/dbraw/zinc/17/54/91/1051175491.db2.gz SYFWQATZLGSYGP-LJQANCHMSA-N 0 3 309.457 4.417 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1CCc2cc(O)ccc2C1 ZINC001170278615 1051181490 /nfs/dbraw/zinc/18/14/90/1051181490.db2.gz WKIVAHMWALZCMX-CYBMUJFWSA-N 0 3 301.817 4.035 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3c(c2)OCCO3)cc1 ZINC000063994089 1051182194 /nfs/dbraw/zinc/18/21/94/1051182194.db2.gz AKSJOXTYQUGAPM-GOSISDBHSA-N 0 3 309.409 4.103 20 0 DIADHN Cc1ccc(CC[C@H](C)NCC(=O)c2ccc(F)cc2F)cc1 ZINC001171657723 1051183366 /nfs/dbraw/zinc/18/33/66/1051183366.db2.gz KNVCTKGGPHBVTO-AWEZNQCLSA-N 0 3 317.379 4.067 20 0 DIADHN COc1ccc(F)c(CN[C@@H](C)CCc2ccc(C)cc2)c1F ZINC001171659429 1051185158 /nfs/dbraw/zinc/18/51/58/1051185158.db2.gz CEALJPBFNRWNIR-AWEZNQCLSA-N 0 3 319.395 4.393 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@H](C)CCc1ccc(C)cc1 ZINC001171665314 1051186936 /nfs/dbraw/zinc/18/69/36/1051186936.db2.gz MONPGLOTEIHOBS-OAHLLOKOSA-N 0 3 323.440 4.006 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCC(=O)c2cc(F)cc(F)c2)cc1 ZINC001171664852 1051187140 /nfs/dbraw/zinc/18/71/40/1051187140.db2.gz GLVROGPOWXJKFL-CQSZACIVSA-N 0 3 317.379 4.067 20 0 DIADHN COC[C@@H](CN1CCCC[C@@H]1c1nc2ccccc2[nH]1)C(C)C ZINC001170352143 1051187581 /nfs/dbraw/zinc/18/75/81/1051187581.db2.gz RISQAHUCKZIFMY-CRAIPNDOSA-N 0 3 315.461 4.009 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCC(=O)c2cc(F)ccc2F)cc1 ZINC001171667135 1051189150 /nfs/dbraw/zinc/18/91/50/1051189150.db2.gz UFCWQOWKVTXKBY-CQSZACIVSA-N 0 3 317.379 4.067 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@H](CO)c2ccccc2Cl)cc1 ZINC001171667074 1051189898 /nfs/dbraw/zinc/18/98/98/1051189898.db2.gz TVVJUUZMOCKKLH-HNAYVOBHSA-N 0 3 317.860 4.293 20 0 DIADHN Cc1cccc(CCCN2C[C@@H](C)OC3(CCCCC3)C2)c1 ZINC001171636054 1051195367 /nfs/dbraw/zinc/19/53/67/1051195367.db2.gz JRHZMKMGQROLIG-GOSISDBHSA-N 0 3 301.474 4.351 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170400625 1051201663 /nfs/dbraw/zinc/20/16/63/1051201663.db2.gz VXTOUKUGTDMBGD-ULCPSODYSA-N 0 3 320.235 4.282 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2C[C@H]3CCCC[C@H]32)c1 ZINC001171756693 1051208729 /nfs/dbraw/zinc/20/87/29/1051208729.db2.gz RHVGKGFPRGEGCN-UYAOXDASSA-N 0 3 320.436 4.313 20 0 DIADHN Fc1cccc2c1C[C@H](N[C@@H](c1ccccc1)c1ccccn1)C2 ZINC001171778818 1051212634 /nfs/dbraw/zinc/21/26/34/1051212634.db2.gz XEGBNPQMHXBYFS-UTKZUKDTSA-N 0 3 318.395 4.067 20 0 DIADHN Fc1cccc2c1C[C@@H](N[C@H](c1ccccc1)c1ccccn1)C2 ZINC001171778814 1051213261 /nfs/dbraw/zinc/21/32/61/1051213261.db2.gz XEGBNPQMHXBYFS-LAUBAEHRSA-N 0 3 318.395 4.067 20 0 DIADHN C[C@H](N[C@H]1Cc2cccc(F)c2C1)c1c(F)cccc1Cl ZINC001171780702 1051217625 /nfs/dbraw/zinc/21/76/25/1051217625.db2.gz OXRDSEJLMZALDE-JQWIXIFHSA-N 0 3 307.771 4.436 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1[C@@H]1Cc2cccc(F)c2C1 ZINC001171780765 1051218196 /nfs/dbraw/zinc/21/81/96/1051218196.db2.gz PZRZUWBHNGXJRO-XJKSGUPXSA-N 0 3 321.399 4.049 20 0 DIADHN C[C@@H](N[C@@H]1Cc2cccc(F)c2C1)c1cccc(F)c1Cl ZINC001171789713 1051220222 /nfs/dbraw/zinc/22/02/22/1051220222.db2.gz BKSAJNUCOHARRR-ZYHUDNBSSA-N 0 3 307.771 4.436 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2[C@H]2Cc3cccc(F)c3C2)c1 ZINC001171804720 1051222439 /nfs/dbraw/zinc/22/24/39/1051222439.db2.gz HTKCODPUPYCALX-IFXJQAMLSA-N 0 3 317.354 4.408 20 0 DIADHN C[C@]12CCN(Cc3cnc4ccccc4c3)C[C@H]1C2(Cl)Cl ZINC000792909962 1051224546 /nfs/dbraw/zinc/22/45/46/1051224546.db2.gz UWCASHZMKSMNDI-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN Fc1ccc([C@@H]2CCCN2[C@@H]2Cc3cccc(F)c3C2)c(F)c1 ZINC001171808795 1051225400 /nfs/dbraw/zinc/22/54/00/1051225400.db2.gz DRJKETKLIJDUIQ-KUHUBIRLSA-N 0 3 317.354 4.408 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@H](C)[C@@H](C)C1 ZINC001248778058 1051226731 /nfs/dbraw/zinc/22/67/31/1051226731.db2.gz KMGHKHMZKGAHHR-RYUDHWBXSA-N 0 3 311.267 4.021 20 0 DIADHN C[C@@]12CCN(Cc3cncc4ccccc43)C[C@@H]1C2(Cl)Cl ZINC000792912527 1051227701 /nfs/dbraw/zinc/22/77/01/1051227701.db2.gz JZFANHCJCFFNHA-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2[C@@H]2Cc3cccc(F)c3C2)c1 ZINC001171811989 1051228583 /nfs/dbraw/zinc/22/85/83/1051228583.db2.gz KHALFNKADZLONI-VQIMIIECSA-N 0 3 317.354 4.408 20 0 DIADHN COCC[C@@H](NC/C=C/Cl)c1ccc(Cl)c(Cl)c1 ZINC000792926742 1051230468 /nfs/dbraw/zinc/23/04/68/1051230468.db2.gz NFRUERULEVBBMO-ZABGRMTBSA-N 0 3 308.636 4.413 20 0 DIADHN FC(F)(F)c1cccc(C2CCN(Cc3cccnc3)CC2)c1 ZINC001204075102 1051231680 /nfs/dbraw/zinc/23/16/80/1051231680.db2.gz ABGNKUZEOZCTHI-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN OCC[C@H]1CCCCCN1Cc1cc2ccccc2nc1Cl ZINC000792928389 1051231924 /nfs/dbraw/zinc/23/19/24/1051231924.db2.gz SLZHOTSQQRJMFP-MRXNPFEDSA-N 0 3 318.848 4.015 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(C(F)F)cc1 ZINC000792965290 1051235666 /nfs/dbraw/zinc/23/56/66/1051235666.db2.gz MDAVPXBZABLLMZ-UHFFFAOYSA-N 0 3 304.384 4.370 20 0 DIADHN COC(=O)[C@@H](c1ccccc1C)N1CCCC2(CCCC2)CC1 ZINC001171915371 1051238531 /nfs/dbraw/zinc/23/85/31/1051238531.db2.gz DILNNSDYLGCTDE-GOSISDBHSA-N 0 3 315.457 4.255 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CC[C@@H](C)[C@H](F)C1 ZINC001171971056 1051262044 /nfs/dbraw/zinc/26/20/44/1051262044.db2.gz UFQAQFYPMGMXKD-LALPHHSUSA-N 0 3 303.343 4.316 20 0 DIADHN COCCCC[C@H](NCc1ccc(F)nc1C)c1ccccc1 ZINC000793231144 1051263801 /nfs/dbraw/zinc/26/38/01/1051263801.db2.gz AGCGCBXGJAITBM-SFHVURJKSA-N 0 3 316.420 4.177 20 0 DIADHN CCC[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)[C@H](O)c1ccccc1 ZINC000793236644 1051267725 /nfs/dbraw/zinc/26/77/25/1051267725.db2.gz JJPUGBHJOFIGAC-XUMLPFHTSA-N 0 3 313.441 4.395 20 0 DIADHN Fc1cccc(Cl)c1CC1CCN(Cc2ccncc2)CC1 ZINC001204080658 1051269496 /nfs/dbraw/zinc/26/94/96/1051269496.db2.gz RDPRGFDGDQVWOI-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN CCC[C@@H](NCc1cscc1Cl)[C@@H](O)c1ccccc1 ZINC000793245455 1051273785 /nfs/dbraw/zinc/27/37/85/1051273785.db2.gz XYHFGIHTIASEFI-CVEARBPZSA-N 0 3 309.862 4.393 20 0 DIADHN CCCCCCOc1ccc(CN2CC([C@H]3CCOC3)C2)cc1 ZINC000793255759 1051274210 /nfs/dbraw/zinc/27/42/10/1051274210.db2.gz AARYMYWUKFCFLO-SFHVURJKSA-N 0 3 317.473 4.114 20 0 DIADHN Nc1ccc2ccn(-c3cccc(CN4CCCCC4)c3F)c2c1 ZINC001215677669 1051295144 /nfs/dbraw/zinc/29/51/44/1051295144.db2.gz QYXSEQBWNZQVGW-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CC[C@H](CC(C)C)C3)o2)c1 ZINC000745946910 1051295424 /nfs/dbraw/zinc/29/54/24/1051295424.db2.gz MVIFORAUPLDALI-JKSUJKDBSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CC[C@H](CC(C)C)C3)o2)c1 ZINC000745946909 1051296268 /nfs/dbraw/zinc/29/62/68/1051296268.db2.gz MVIFORAUPLDALI-HZPDHXFCSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cccc(N)c1Nc1cccc(CN2CCCCC2)c1F ZINC001215677440 1051296750 /nfs/dbraw/zinc/29/67/50/1051296750.db2.gz PRXYYMMFLHZEIU-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(C(F)(F)F)ccc1CO ZINC001215684040 1051300068 /nfs/dbraw/zinc/30/00/68/1051300068.db2.gz BSMDNIPQKRSVTH-UHFFFAOYSA-N 0 3 324.346 4.003 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NC[C@@H](O)c2ccco2)cc1Cl ZINC000793524098 1051307327 /nfs/dbraw/zinc/30/73/27/1051307327.db2.gz JWDGINRIBSWHJC-SWLSCSKDSA-N 0 3 323.820 4.104 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NC[C@@H](O)c2ccco2)cc1Cl ZINC000793524096 1051308094 /nfs/dbraw/zinc/30/80/94/1051308094.db2.gz JWDGINRIBSWHJC-IUODEOHRSA-N 0 3 323.820 4.104 20 0 DIADHN c1ccc2cc(CN[C@@H]3CSc4ccccc4C3)ncc2c1 ZINC001172184241 1051309282 /nfs/dbraw/zinc/30/92/82/1051309282.db2.gz KAQOUPHJKGXABX-SFHVURJKSA-N 0 3 306.434 4.041 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)n(C)n1 ZINC000793537759 1051313288 /nfs/dbraw/zinc/31/32/88/1051313288.db2.gz AHPDDPHMXYPXRZ-QCTLCKQISA-N 0 3 317.864 4.430 20 0 DIADHN Cc1cnc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001215711312 1051319683 /nfs/dbraw/zinc/31/96/83/1051319683.db2.gz MRMWRVKHSWOTCX-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN COc1cc(F)c(Nc2ccnc(C(C)(C)C)c2)cc1OC ZINC001215720213 1051321482 /nfs/dbraw/zinc/32/14/82/1051321482.db2.gz QAOHVWGMPTUKHM-UHFFFAOYSA-N 0 3 304.365 4.279 20 0 DIADHN c1ccc2c(c1)Oc1ccccc1C2NC1C[C@H]2CC[C@@H](C1)O2 ZINC001172224561 1051322160 /nfs/dbraw/zinc/32/21/60/1051322160.db2.gz SYDPGYOGPWDVCL-GOOCMWNKSA-N 0 3 307.393 4.181 20 0 DIADHN COc1c(F)cccc1[C@H](C)N[C@@H]1CSc2ccccc2C1 ZINC001172193735 1051323440 /nfs/dbraw/zinc/32/34/40/1051323440.db2.gz ONANWBBTTMHZRW-JSGCOSHPSA-N 0 3 317.429 4.202 20 0 DIADHN COc1c(F)cccc1[C@@H](C)N[C@@H]1CSc2ccccc2C1 ZINC001172193736 1051324216 /nfs/dbraw/zinc/32/42/16/1051324216.db2.gz ONANWBBTTMHZRW-OCCSQVGLSA-N 0 3 317.429 4.202 20 0 DIADHN CCN(CC)Cc1ccc(F)c(Nc2ncccc2C(C)=O)c1 ZINC001215724115 1051324290 /nfs/dbraw/zinc/32/42/90/1051324290.db2.gz HENXXCIBGZZZGH-UHFFFAOYSA-N 0 3 315.392 4.009 20 0 DIADHN CC[C@H](CNCc1occc1Br)CC(F)(F)F ZINC000402634250 1051325241 /nfs/dbraw/zinc/32/52/41/1051325241.db2.gz ZBXOOCAEOHKKON-QMMMGPOBSA-N 0 3 314.145 4.110 20 0 DIADHN C[C@]12CCN(Cc3nccc4ccccc43)C[C@H]1C2(Cl)Cl ZINC000793609777 1051326832 /nfs/dbraw/zinc/32/68/32/1051326832.db2.gz BXJDAJLPGVTRCN-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN CC1(C)C[C@H](NCc2ccccc2Oc2ccc(F)cc2)CO1 ZINC001172277744 1051327685 /nfs/dbraw/zinc/32/76/85/1051327685.db2.gz YZBGFBCXTCNYCB-INIZCTEOSA-N 0 3 315.388 4.275 20 0 DIADHN C[C@@H](c1ccccc1)N(C[C@@H](O)c1c(F)cccc1F)C1CC1 ZINC000746115669 1051328553 /nfs/dbraw/zinc/32/85/53/1051328553.db2.gz WSANNZYHHHNKTC-SCLBCKFNSA-N 0 3 317.379 4.224 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1cc(-c2ccccc2)on1 ZINC001172327680 1051337489 /nfs/dbraw/zinc/33/74/89/1051337489.db2.gz NTXWOQOULYEVPK-LSDHHAIUSA-N 0 3 302.418 4.025 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1cc(-c2ccccc2)no1 ZINC001172318384 1051340378 /nfs/dbraw/zinc/34/03/78/1051340378.db2.gz ITIKZKTUEWMOCH-GJZGRUSLSA-N 0 3 302.418 4.025 20 0 DIADHN CC(C)C[NH2+]Cc1ccc(Nc2c(F)cc([O-])cc2F)cc1 ZINC001215746729 1051340756 /nfs/dbraw/zinc/34/07/56/1051340756.db2.gz PDCVXGZCIOVOFZ-UHFFFAOYSA-N 0 3 306.356 4.160 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1c(Cl)ccc(C)c1F ZINC001172322161 1051342265 /nfs/dbraw/zinc/34/22/65/1051342265.db2.gz SJFMHOJDAZMBQS-QWHCGFSZSA-N 0 3 301.833 4.471 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1cc(Cl)c(F)cc1F ZINC001172325184 1051344129 /nfs/dbraw/zinc/34/41/29/1051344129.db2.gz RRGDLMGBZAAYHM-WDEREUQCSA-N 0 3 305.796 4.302 20 0 DIADHN C[C@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@H]1CCCCO1 ZINC000379150917 1051351794 /nfs/dbraw/zinc/35/17/94/1051351794.db2.gz BZZWAHIRDYRTCB-SUMWQHHRSA-N 0 3 303.377 4.133 20 0 DIADHN CCC(CC)CN[C@H](C)c1ncc(Br)cc1F ZINC000187180351 1051352635 /nfs/dbraw/zinc/35/26/35/1051352635.db2.gz UCTRQOQCGUWSES-SECBINFHSA-N 0 3 303.219 4.070 20 0 DIADHN CCCCCC[C@@H](CCC)NCc1nc(C(=O)OC)cs1 ZINC001172379256 1051354695 /nfs/dbraw/zinc/35/46/95/1051354695.db2.gz SMJBXHLWNZSVDU-CYBMUJFWSA-N 0 3 312.479 4.158 20 0 DIADHN CC(C)Oc1cnc(F)c(Nc2ccnc(C3CCC3)c2)c1 ZINC001215782885 1051366967 /nfs/dbraw/zinc/36/69/67/1051366967.db2.gz HLNIJENMFIXRHU-UHFFFAOYSA-N 0 3 301.365 4.414 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1cc(-c2ccccc2F)no1 ZINC001172353411 1051370506 /nfs/dbraw/zinc/37/05/06/1051370506.db2.gz WPFYBYRIXUUCAR-KBPBESRZSA-N 0 3 320.408 4.164 20 0 DIADHN CSc1cc(Nc2ccc3c(c2)CN(C)CC3)ccc1F ZINC001215797408 1051377136 /nfs/dbraw/zinc/37/71/36/1051377136.db2.gz XEYJSLVCURLZOH-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN CC(C)OCC[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172435411 1051382650 /nfs/dbraw/zinc/38/26/50/1051382650.db2.gz GMUJTAWVJCXJPJ-CQSZACIVSA-N 0 3 304.459 4.103 20 0 DIADHN CCOCC[C@@H](C)N[C@@H](C)c1ccc(F)cc1Br ZINC001172411004 1051383046 /nfs/dbraw/zinc/38/30/46/1051383046.db2.gz CZQNMYPKOYFGQE-MNOVXSKESA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccccc1Br ZINC000384005180 1051383890 /nfs/dbraw/zinc/38/38/90/1051383890.db2.gz NKYXSZIFLJMDMF-UWVGGRQHSA-N 0 3 304.178 4.288 20 0 DIADHN CCOCC[C@H](C)NCc1csc(-c2cccc(Cl)c2)n1 ZINC001172419748 1051385095 /nfs/dbraw/zinc/38/50/95/1051385095.db2.gz JPFUUJAVNZWWSG-LBPRGKRZSA-N 0 3 324.877 4.368 20 0 DIADHN CCCCCC[C@@H](CCC)N1CC(N2CCC(F)(F)C2)C1 ZINC001172386625 1051391087 /nfs/dbraw/zinc/39/10/87/1051391087.db2.gz MFHHLARAHZKQLW-OAHLLOKOSA-N 0 3 302.453 4.151 20 0 DIADHN CCCCCC[C@@H](CCC)NCc1ncccc1C(=O)OC ZINC001172392704 1051394228 /nfs/dbraw/zinc/39/42/28/1051394228.db2.gz ZQSMJRUNQAESQD-OAHLLOKOSA-N 0 3 306.450 4.097 20 0 DIADHN Cc1nc([C@H](C)NCCc2cccc3cccnc32)c(C)s1 ZINC000387762595 1051394600 /nfs/dbraw/zinc/39/46/00/1051394600.db2.gz UYPBHGVMVDJRJQ-LBPRGKRZSA-N 0 3 311.454 4.201 20 0 DIADHN CC(C)OCC[C@@H](C)N(Cc1ccccc1)Cc1ccccn1 ZINC001172442185 1051407883 /nfs/dbraw/zinc/40/78/83/1051407883.db2.gz GJWQIICRFWOLMZ-GOSISDBHSA-N 0 3 312.457 4.287 20 0 DIADHN CC(C)OCC[C@H](C)N1CCSC[C@H]1c1ccc(F)cc1 ZINC001172450140 1051409912 /nfs/dbraw/zinc/40/99/12/1051409912.db2.gz ZRPVLCVTADNUCE-YOEHRIQHSA-N 0 3 311.466 4.119 20 0 DIADHN CC(C)COCC[C@H](C)NCc1ccsc1Br ZINC001172458947 1051412843 /nfs/dbraw/zinc/41/28/43/1051412843.db2.gz HENVNTOMTGECBN-NSHDSACASA-N 0 3 320.296 4.051 20 0 DIADHN COc1cccc(OC)c1-c1ccccc1Nc1cnc(C)n1C ZINC001215863834 1051422110 /nfs/dbraw/zinc/42/21/10/1051422110.db2.gz GAHGHNNJEURBMG-UHFFFAOYSA-N 0 3 323.396 4.156 20 0 DIADHN Cc1ccoc1CNC[C@H](O)c1ccc(-c2ccccc2)cc1 ZINC000766471707 1051428118 /nfs/dbraw/zinc/42/81/18/1051428118.db2.gz KFTVHYLLVRQTBU-IBGZPJMESA-N 0 3 307.393 4.078 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCCC1=CCCCCC1 ZINC000766465592 1051430856 /nfs/dbraw/zinc/43/08/56/1051430856.db2.gz ALGWSNCHVMPIML-UHFFFAOYSA-N 0 3 302.249 4.097 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172551128 1051434530 /nfs/dbraw/zinc/43/45/30/1051434530.db2.gz MKMQYZAIYKRFID-HUUCEWRRSA-N 0 3 317.379 4.399 20 0 DIADHN c1coc(CN2CCN([C@H]3CCC[C@@H](c4ccccc4)C3)CC2)c1 ZINC001172551218 1051435056 /nfs/dbraw/zinc/43/50/56/1051435056.db2.gz FYIFNLIONXSXPB-UXHICEINSA-N 0 3 324.468 4.124 20 0 DIADHN c1ccc([C@@H]2CC[C@H](N3CCc4cnc(C5CC5)nc4C3)C2)cc1 ZINC001172565939 1051444676 /nfs/dbraw/zinc/44/46/76/1051444676.db2.gz QJJBERSLPKHDTN-MJGOQNOKSA-N 0 3 319.452 4.048 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)cs1)c1ccc(C)cc1 ZINC001168824452 1051446585 /nfs/dbraw/zinc/44/65/85/1051446585.db2.gz AYXSHVZASAOAEQ-MRXNPFEDSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@@H](CCc1c(F)cc(Cl)cc1F)N(C)Cc1ccno1 ZINC001172576327 1051450254 /nfs/dbraw/zinc/45/02/54/1051450254.db2.gz COFJKUYRFBURSB-JTQLQIEISA-N 0 3 314.763 4.059 20 0 DIADHN Cc1ccc(CN)nc1N(C)[C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172538590 1051451540 /nfs/dbraw/zinc/45/15/40/1051451540.db2.gz QCEAURHUAZIWQC-MJGOQNOKSA-N 0 3 309.457 4.011 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538982 1051451963 /nfs/dbraw/zinc/45/19/63/1051451963.db2.gz YRKACIVZQKUEEE-UWVAXJGDSA-N 0 3 320.436 4.304 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538980 1051452465 /nfs/dbraw/zinc/45/24/65/1051452465.db2.gz YRKACIVZQKUEEE-HLIPFELVSA-N 0 3 320.436 4.304 20 0 DIADHN COc1ccc(C[C@H](C)CN(C)Cc2ccc(F)cc2F)cc1 ZINC001168847669 1051455391 /nfs/dbraw/zinc/45/53/91/1051455391.db2.gz FXUYMFCMCIHLOA-AWEZNQCLSA-N 0 3 319.395 4.284 20 0 DIADHN COc1ccc(C[C@@H](C)CN(C)Cc2ccc(F)cc2F)cc1 ZINC001168847670 1051456052 /nfs/dbraw/zinc/45/60/52/1051456052.db2.gz FXUYMFCMCIHLOA-CQSZACIVSA-N 0 3 319.395 4.284 20 0 DIADHN CN(CCCOc1cccc(C(C)(C)C)c1)Cc1cccnc1 ZINC001168894556 1051459346 /nfs/dbraw/zinc/45/93/46/1051459346.db2.gz TYXOZGBXSFJFBT-UHFFFAOYSA-N 0 3 312.457 4.280 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2cnc3c(cnn3C(C)C)c2)c1 ZINC001168925999 1051462658 /nfs/dbraw/zinc/46/26/58/1051462658.db2.gz WSHJAOKXXQLDNS-INIZCTEOSA-N 0 3 322.456 4.480 20 0 DIADHN CC[C@H]1CC[C@H](C2CCC(N3CC(N4CC(F)C4)C3)CC2)CC1 ZINC001172586272 1051462736 /nfs/dbraw/zinc/46/27/36/1051462736.db2.gz IFHSIASLZIOZHX-CSGOCMEYSA-N 0 3 322.512 4.100 20 0 DIADHN CC[C@H]1CC[C@H](C2CCC(n3cnc4c3CNCC4)CC2)CC1 ZINC001172586257 1051462994 /nfs/dbraw/zinc/46/29/94/1051462994.db2.gz HYTDBGZICGCZQI-MJYONLJCSA-N 0 3 315.505 4.477 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCC(=O)Nc2cc(C)cc(C)c2)c1 ZINC001168925499 1051463127 /nfs/dbraw/zinc/46/31/27/1051463127.db2.gz QCBVZXKXPLLWQY-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CC1(C)CC(N[C@@H](c2ccccc2)c2ccccn2)CC(C)(C)O1 ZINC001172648027 1051471163 /nfs/dbraw/zinc/47/11/63/1051471163.db2.gz SSFGBUYNMVNOPE-IBGZPJMESA-N 0 3 324.468 4.497 20 0 DIADHN CN(Cc1nc2ccccc2s1)C1CC(C)(C)OC(C)(C)C1 ZINC001172656746 1051472872 /nfs/dbraw/zinc/47/28/72/1051472872.db2.gz PYDZGSHXBFUVEN-UHFFFAOYSA-N 0 3 318.486 4.464 20 0 DIADHN CO[C@H](CN[C@H](CC(F)(F)F)c1ccc(C)cc1)C1CCC1 ZINC001168968027 1051481091 /nfs/dbraw/zinc/48/10/91/1051481091.db2.gz DQTVHNWJUDSZNF-HZPDHXFCSA-N 0 3 315.379 4.393 20 0 DIADHN CCCCCCN1CCN([C@@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172720772 1051482547 /nfs/dbraw/zinc/48/25/47/1051482547.db2.gz WZNXBQPAKBFQHQ-LJQANCHMSA-N 0 3 320.908 4.005 20 0 DIADHN Cc1cc(C)c(-c2nc(-c3ccc(CN(C)C)cc3)no2)cc1C ZINC001215960017 1051485444 /nfs/dbraw/zinc/48/54/44/1051485444.db2.gz ZPHYZKCLCLEHEG-UHFFFAOYSA-N 0 3 321.424 4.390 20 0 DIADHN c1ccc(-c2nnc(CNC3CCC4(CCCC4)CC3)o2)cc1 ZINC001172725518 1051486526 /nfs/dbraw/zinc/48/65/26/1051486526.db2.gz WMKPKYKEQJEBLB-UHFFFAOYSA-N 0 3 311.429 4.329 20 0 DIADHN CCc1nc([C@@H](C)NCC2([C@H]3CCCCO3)CCC2)cs1 ZINC001168991145 1051491186 /nfs/dbraw/zinc/49/11/86/1051491186.db2.gz ARPFUJYOPCHCJT-UKRRQHHQSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169049690 1051495907 /nfs/dbraw/zinc/49/59/07/1051495907.db2.gz JCGFEKNYBJMTSD-FXAWDEMLSA-N 0 3 322.452 4.235 20 0 DIADHN Cc1nc2ccc(Nc3cc(N)cc(C(F)(F)F)c3)cc2[nH]1 ZINC001213074091 1051496404 /nfs/dbraw/zinc/49/64/04/1051496404.db2.gz CHQSWSGVFRQGCW-UHFFFAOYSA-N 0 3 306.291 4.216 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172714321 1051497355 /nfs/dbraw/zinc/49/73/55/1051497355.db2.gz WUIPSDKJQOLCJG-XHDPSFHLSA-N 0 3 319.807 4.306 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC(c2ccccc2F)CC1 ZINC001172761398 1051498358 /nfs/dbraw/zinc/49/83/58/1051498358.db2.gz FEGYIGCPQRQCNY-OAHLLOKOSA-N 0 3 321.436 4.125 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@@H]1c1cccc(F)c1 ZINC001172758952 1051498849 /nfs/dbraw/zinc/49/88/49/1051498849.db2.gz LEIGGSXNECJZLR-RHSMWYFYSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CC[C@H](c2ccccc2Cl)C1 ZINC001172758369 1051499128 /nfs/dbraw/zinc/49/91/28/1051499128.db2.gz GTEJDXXOBWJYRL-CABCVRRESA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)NCc1cc(Cl)ccc1Cl ZINC001172757229 1051499329 /nfs/dbraw/zinc/49/93/29/1051499329.db2.gz VBHROYUHUOGVIT-NSHDSACASA-N 0 3 318.244 4.203 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)NC(=N)c1cccnc1Cl ZINC001169061703 1051501764 /nfs/dbraw/zinc/50/17/64/1051501764.db2.gz NKUGGAOYNUCUJU-HNNXBMFYSA-N 0 3 315.848 4.307 20 0 DIADHN COCCCOc1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC001213074314 1051508830 /nfs/dbraw/zinc/50/88/30/1051508830.db2.gz OECATSBZKNRRQD-UHFFFAOYSA-N 0 3 311.385 4.030 20 0 DIADHN Cc1nc(CNC2CCC(Cc3ccccc3)CC2)cs1 ZINC001172817575 1051510510 /nfs/dbraw/zinc/51/05/10/1051510510.db2.gz XDALFPQTNWOMPW-UHFFFAOYSA-N 0 3 300.471 4.343 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(Cl)s1 ZINC001169102541 1051511592 /nfs/dbraw/zinc/51/15/92/1051511592.db2.gz OMAMZEXJYSAADS-GFCCVEGCSA-N 0 3 323.845 4.414 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)N1CCO[C@H](c2ccncc2)C1 ZINC001169083829 1051513265 /nfs/dbraw/zinc/51/32/65/1051513265.db2.gz GUHAGAUMXOPSRK-RTWAWAEBSA-N 0 3 324.468 4.112 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCC[C@H](C(F)F)C1 ZINC001172867797 1051525982 /nfs/dbraw/zinc/52/59/82/1051525982.db2.gz AHGIZDJPTWXSCK-PWSUYJOCSA-N 0 3 305.771 4.387 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000766605288 1051526344 /nfs/dbraw/zinc/52/63/44/1051526344.db2.gz TWKPZLUEAWRKTH-SDDRHHMPSA-N 0 3 318.269 4.301 20 0 DIADHN CCc1ccc([C@@H](COC)NCC[C@H]2CCc3ccccc32)o1 ZINC001169110708 1051530872 /nfs/dbraw/zinc/53/08/72/1051530872.db2.gz DBTDKHQJVBUMJV-VQIMIIECSA-N 0 3 313.441 4.239 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1nc2ccccc2o1 ZINC001172853995 1051538350 /nfs/dbraw/zinc/53/83/50/1051538350.db2.gz FNNUNWOXTIYGIC-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NC1(c2ccccn2)CC1 ZINC001172855599 1051540745 /nfs/dbraw/zinc/54/07/45/1051540745.db2.gz FBIDMEQXMSUPPQ-GFCCVEGCSA-N 0 3 304.796 4.084 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CCC2(CCCO2)CC1 ZINC001172855556 1051541566 /nfs/dbraw/zinc/54/15/66/1051541566.db2.gz GGHLIXYHYXDMQU-CYBMUJFWSA-N 0 3 311.828 4.055 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C)Cc2ccc(F)cc2Cl)n1 ZINC001172856840 1051542856 /nfs/dbraw/zinc/54/28/56/1051542856.db2.gz OUFNYERNMJYTDL-LLVKDONJSA-N 0 3 310.775 4.042 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC001169179727 1051545873 /nfs/dbraw/zinc/54/58/73/1051545873.db2.gz YUGUXHFIIBWNDS-QPSCCSFWSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1CCOC1CCCCCC1 ZINC001169178403 1051546558 /nfs/dbraw/zinc/54/65/58/1051546558.db2.gz PYKDLZGQGXFAOE-KBPBESRZSA-N 0 3 307.400 4.389 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N[C@@H]1COc2ccc(F)cc21 ZINC001172862218 1051548079 /nfs/dbraw/zinc/54/80/79/1051548079.db2.gz XVXJJXMKZUDHLL-QLJPJBMISA-N 0 3 323.770 4.273 20 0 DIADHN CC(C)N(C)Cc1cc(Cl)ccc1OCc1cccnc1 ZINC000177993672 1051557708 /nfs/dbraw/zinc/55/77/08/1051557708.db2.gz DQDPDBJTUWQRIM-UHFFFAOYSA-N 0 3 304.821 4.154 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N(C)Cc1ccncc1 ZINC001172874935 1051559605 /nfs/dbraw/zinc/55/96/05/1051559605.db2.gz GPTNRXHYYOTZPZ-ZDUSSCGKSA-N 0 3 306.862 4.348 20 0 DIADHN COc1ccc(CN[C@@H](C)CSc2ccc(Cl)cc2)nc1 ZINC001172879509 1051561787 /nfs/dbraw/zinc/56/17/87/1051561787.db2.gz NQXHVXKASRCBDQ-LBPRGKRZSA-N 0 3 322.861 4.014 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](C)c2ccc(F)nc2)cc1 ZINC000930195758 1051562276 /nfs/dbraw/zinc/56/22/76/1051562276.db2.gz VCRIEWAPGHTFKP-KGLIPLIRSA-N 0 3 302.393 4.420 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H](N1CCc3cc(F)c(F)cc3C1)C2 ZINC001168448773 1051562587 /nfs/dbraw/zinc/56/25/87/1051562587.db2.gz PXTGOCBGTHBINP-QGZVFWFLSA-N 0 3 317.354 4.020 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N[C@@H]1CCc2cccnc21 ZINC001172884217 1051567244 /nfs/dbraw/zinc/56/72/44/1051567244.db2.gz WRIDYRIRDXYVQJ-MLGOLLRUSA-N 0 3 318.873 4.493 20 0 DIADHN CCc1cccc(-c2noc(-c3ccc(CN(C)C)cc3)n2)c1 ZINC001216083991 1051570287 /nfs/dbraw/zinc/57/02/87/1051570287.db2.gz GWQHBWNUWZYZLG-UHFFFAOYSA-N 0 3 307.397 4.028 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1cccc(F)c1)C1CCCC1 ZINC000178446365 1051573410 /nfs/dbraw/zinc/57/34/10/1051573410.db2.gz ROLHNEAEUHTRFA-DJJJIMSYSA-N 0 3 315.436 4.450 20 0 DIADHN Cc1cc(Nc2ccc3c(c2)CN(C)CC3)cc(Cl)c1O ZINC001216088667 1051573797 /nfs/dbraw/zinc/57/37/97/1051573797.db2.gz ASFFXUSAIJQNHL-UHFFFAOYSA-N 0 3 302.805 4.086 20 0 DIADHN CO[C@H]1CCC[C@@H](N2CCc3cccc(C(F)(F)F)c3C2)C1 ZINC001172942233 1051578756 /nfs/dbraw/zinc/57/87/56/1051578756.db2.gz IRQMTIOKMFLSQV-KGLIPLIRSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1ccsc1CN1CC2(CC(OCc3ccccc3)C2)C1 ZINC001204024414 1051600000 /nfs/dbraw/zinc/60/00/00/1051600000.db2.gz WFNSNHFCNBEMDG-UHFFFAOYSA-N 0 3 313.466 4.238 20 0 DIADHN C[C@H](Cc1cccc(F)c1)NCc1cc(F)c(F)cc1Cl ZINC001168458701 1051602466 /nfs/dbraw/zinc/60/24/66/1051602466.db2.gz IFNXRZZHYPVBKM-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3CCCC[C@H](C)C3)n2)ccc1F ZINC001169394998 1051606207 /nfs/dbraw/zinc/60/62/07/1051606207.db2.gz CIXRZVLFMBDRRL-JSGCOSHPSA-N 0 3 317.408 4.367 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)c3ccsc3)o2)cc1 ZINC000152519646 1051608555 /nfs/dbraw/zinc/60/85/55/1051608555.db2.gz YFSJANQXGDJRMV-KRWDZBQOSA-N 0 3 313.422 4.140 20 0 DIADHN CC(C)Oc1ccc(CN2CCC[C@H]3COCC[C@@H]32)cc1Cl ZINC000493332428 1051611458 /nfs/dbraw/zinc/61/14/58/1051611458.db2.gz BTUCCEUURKUJQT-RDJZCZTQSA-N 0 3 323.864 4.128 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2[C@@H]2CCc3ncsc3C2)c1 ZINC001173135323 1051619432 /nfs/dbraw/zinc/61/94/32/1051619432.db2.gz BHACEOSVXYVNTB-WBMJQRKESA-N 0 3 320.408 4.116 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1[C@H]1CCc2ncsc2C1 ZINC001173139961 1051621969 /nfs/dbraw/zinc/62/19/69/1051621969.db2.gz DFAYZNLNIDONFB-XHDPSFHLSA-N 0 3 320.408 4.116 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nnc(-c2ccccc2)o1 ZINC000493443741 1051622742 /nfs/dbraw/zinc/62/27/42/1051622742.db2.gz UMXBWYLJJZEPRQ-DOTOQJQBSA-N 0 3 313.445 4.431 20 0 DIADHN Fc1ccc([C@@H]2CCCN2[C@H]2CCc3ncsc3C2)c(F)c1 ZINC001173141182 1051623153 /nfs/dbraw/zinc/62/31/53/1051623153.db2.gz NCOCUKJIBHJOIH-LRDDRELGSA-N 0 3 320.408 4.116 20 0 DIADHN C[C@H](N[C@@H]1CCc2ncsc2C1)c1c(F)cccc1Cl ZINC001173141091 1051623406 /nfs/dbraw/zinc/62/34/06/1051623406.db2.gz NZTOCEGUKGIQSZ-VHSXEESVSA-N 0 3 310.825 4.144 20 0 DIADHN C[C@@H]1CCCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001169461096 1051625090 /nfs/dbraw/zinc/62/50/90/1051625090.db2.gz CLZUFYKCMDQBMC-LLVKDONJSA-N 0 3 322.250 4.090 20 0 DIADHN C[C@]1(F)CCN(C2CCC(Oc3ccccc3)CC2)C[C@@H]1F ZINC001173199501 1051630995 /nfs/dbraw/zinc/63/09/95/1051630995.db2.gz FLWHZFKNMVQINB-KXIUCKCTSA-N 0 3 309.400 4.149 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCC[C@@H]3Cc3ccccc3)oc2c1 ZINC000493570968 1051634494 /nfs/dbraw/zinc/63/44/94/1051634494.db2.gz DDSPGMAHQQNCHX-WBVHZDCISA-N 0 3 324.399 4.468 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)NCc1ccon1 ZINC001173204798 1051643357 /nfs/dbraw/zinc/64/33/57/1051643357.db2.gz LCMIMGDFBRCOKW-MRXNPFEDSA-N 0 3 306.409 4.375 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)NCc1ccn(C)n1 ZINC001173204324 1051643480 /nfs/dbraw/zinc/64/34/80/1051643480.db2.gz GIQTURZSUOXSPB-KRWDZBQOSA-N 0 3 319.452 4.120 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000493841836 1051656117 /nfs/dbraw/zinc/65/61/17/1051656117.db2.gz GPFNHZUPWFPILW-JCGVRSQUSA-N 0 3 305.368 4.392 20 0 DIADHN CC[C@@H]1CC[C@H](N[C@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001173263570 1051663705 /nfs/dbraw/zinc/66/37/05/1051663705.db2.gz MXWLTYMIHOVFCJ-IFUGULHKSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@H]1CC[C@@H](NCc2csc(-c3cccc(OC)c3)n2)C1 ZINC001173270371 1051666818 /nfs/dbraw/zinc/66/68/18/1051666818.db2.gz BCWHMDPJJFIVSA-DZGCQCFKSA-N 0 3 316.470 4.487 20 0 DIADHN CC[C@@H]1CC[C@@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001173269550 1051667063 /nfs/dbraw/zinc/66/70/63/1051667063.db2.gz XCFPXMHWLBETDY-ZYHUDNBSSA-N 0 3 317.326 4.196 20 0 DIADHN CC[C@@H]1CC[C@H](NCc2csc(-c3cccc(OC)c3)n2)C1 ZINC001173270372 1051667708 /nfs/dbraw/zinc/66/77/08/1051667708.db2.gz BCWHMDPJJFIVSA-HIFRSBDPSA-N 0 3 316.470 4.487 20 0 DIADHN CCSC[C@H](C)NCc1cc(-c2cccc(Cl)c2)no1 ZINC001173297157 1051677287 /nfs/dbraw/zinc/67/72/87/1051677287.db2.gz QQBGPDVRJBHKMI-NSHDSACASA-N 0 3 310.850 4.226 20 0 DIADHN CCSC[C@@H](C)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001173297873 1051680485 /nfs/dbraw/zinc/68/04/85/1051680485.db2.gz XUADYWIIWTYLDM-HIFRSBDPSA-N 0 3 306.500 4.158 20 0 DIADHN CCCc1csc(CNCCc2ccc(F)cc2Cl)n1 ZINC000494025722 1051681017 /nfs/dbraw/zinc/68/10/17/1051681017.db2.gz DREDLTUXSQKUJW-UHFFFAOYSA-N 0 3 312.841 4.220 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCN1CCOC1CCC1 ZINC000805740452 1051682081 /nfs/dbraw/zinc/68/20/81/1051682081.db2.gz LGDCWYGJACUVNC-MRXNPFEDSA-N 0 3 313.363 4.411 20 0 DIADHN CC(C)CC1(CN[C@H](c2cnn(C)c2)c2cccc(F)c2)CC1 ZINC000494040922 1051685437 /nfs/dbraw/zinc/68/54/37/1051685437.db2.gz VEFLJMGIMINELY-SFHVURJKSA-N 0 3 315.436 4.065 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccc2c(c1)COC2 ZINC000805835028 1051687379 /nfs/dbraw/zinc/68/73/79/1051687379.db2.gz KVORHMIGGVZYNK-LJQANCHMSA-N 0 3 311.425 4.309 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000805838493 1051688523 /nfs/dbraw/zinc/68/85/23/1051688523.db2.gz COGOEBBXKZYNOB-RDJZCZTQSA-N 0 3 319.445 4.312 20 0 DIADHN C[C@H]1CCN(Cc2c(Cl)ccc3cccnc32)CCS1 ZINC000494098356 1051688692 /nfs/dbraw/zinc/68/86/92/1051688692.db2.gz MWGXIVXCXLNVIL-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN CCc1cccc(COC(=O)c2ccc(CN(CC)CC)o2)c1 ZINC001130384567 1051690754 /nfs/dbraw/zinc/69/07/54/1051690754.db2.gz DKRILIBSXRQWTF-UHFFFAOYSA-N 0 3 315.413 4.041 20 0 DIADHN C[C@H]1CCN(Cc2cc(-c3ccc(Cl)cc3)no2)CCS1 ZINC000494104793 1051690736 /nfs/dbraw/zinc/69/07/36/1051690736.db2.gz FLWJATCJCGIFLP-LBPRGKRZSA-N 0 3 322.861 4.322 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173338825 1051698912 /nfs/dbraw/zinc/69/89/12/1051698912.db2.gz KJPBJJKYUNPMCN-QAPCUYQASA-N 0 3 312.457 4.471 20 0 DIADHN NCc1cc(-c2ccncc2)nn1[C@@H]1CCCC2(CCCCC2)C1 ZINC001173338905 1051699236 /nfs/dbraw/zinc/69/92/36/1051699236.db2.gz CHNIFBXBGFHKMK-QGZVFWFLSA-N 0 3 324.472 4.469 20 0 DIADHN CCCc1csc(CNCCc2ccc(OC)cc2Cl)n1 ZINC000494188510 1051702302 /nfs/dbraw/zinc/70/23/02/1051702302.db2.gz KJZJWWBHMCNYJQ-UHFFFAOYSA-N 0 3 324.877 4.090 20 0 DIADHN FC(F)(F)CN1CCN([C@H]2CCCC3(CCCCC3)C2)CC1 ZINC001173344355 1051703182 /nfs/dbraw/zinc/70/31/82/1051703182.db2.gz VKWQCGJENOEVCV-HNNXBMFYSA-N 0 3 318.427 4.059 20 0 DIADHN CCCc1csc(CNCC2(c3ccc(F)cc3F)CC2)n1 ZINC000494199994 1051703551 /nfs/dbraw/zinc/70/35/51/1051703551.db2.gz ABFIRBFMKMFMSJ-UHFFFAOYSA-N 0 3 322.424 4.195 20 0 DIADHN OCc1ccc(CN2CCCC[C@@H]2c2cc3ccccc3[nH]2)cc1 ZINC000182871980 1051704436 /nfs/dbraw/zinc/70/44/36/1051704436.db2.gz YZDLDCUKYXXBBC-OAQYLSRUSA-N 0 3 320.436 4.387 20 0 DIADHN CC(C)(C)C(=O)N1CCN([C@@H]2CCCC3(CCCCC3)C2)CC1 ZINC001173349016 1051707291 /nfs/dbraw/zinc/70/72/91/1051707291.db2.gz MZCMZXHCKVBPOZ-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CCCN([C@H](C)CSCC)[C@H](C)C(=O)Nc1ccccc1C ZINC001173315293 1051707838 /nfs/dbraw/zinc/70/78/38/1051707838.db2.gz PETMRIBRWJJTLO-HZPDHXFCSA-N 0 3 322.518 4.176 20 0 DIADHN C[C@H](NC1Cc2cc(F)c(F)cc2C1)c1cc(F)ccc1F ZINC001173475916 1051723344 /nfs/dbraw/zinc/72/33/44/1051723344.db2.gz LVKJYTXQNXYDSY-VIFPVBQESA-N 0 3 309.306 4.061 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001169942360 1051731786 /nfs/dbraw/zinc/73/17/86/1051731786.db2.gz NHMWQIVMJSJSDR-UHFFFAOYSA-N 0 3 310.441 4.413 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806132349 1051733223 /nfs/dbraw/zinc/73/32/23/1051733223.db2.gz VLAOFQSXNDFMEQ-MRXNPFEDSA-N 0 3 316.832 4.049 20 0 DIADHN Clc1ccc2c(n1)CC[C@@H]2NCc1cccc2cccnc21 ZINC000806135762 1051735222 /nfs/dbraw/zinc/73/52/22/1051735222.db2.gz ONHQBXCXUFNMPQ-HNNXBMFYSA-N 0 3 309.800 4.060 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H]2CCc3nc(Cl)ccc32)c1 ZINC000806135141 1051735561 /nfs/dbraw/zinc/73/55/61/1051735561.db2.gz GWPFJQCDFQENDD-WBMJQRKESA-N 0 3 316.832 4.472 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806135100 1051735929 /nfs/dbraw/zinc/73/59/29/1051735929.db2.gz FVGYOTYBZMKUJG-NHYWBVRUSA-N 0 3 302.805 4.082 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H]3CCCC[C@H]3C)o2)cc1 ZINC000184585849 1051739873 /nfs/dbraw/zinc/73/98/73/1051739873.db2.gz BYGQXHFCBHWWAV-CJNGLKHVSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)n1cnc2c1CNCC2 ZINC001173547101 1051740081 /nfs/dbraw/zinc/74/00/81/1051740081.db2.gz PARJGIDADDUTDV-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN COc1c(F)ccc(Nc2ccnc(C(C)(C)C)c2)c1OC ZINC001216391786 1051741282 /nfs/dbraw/zinc/74/12/82/1051741282.db2.gz SETQPJNUCSFNIY-UHFFFAOYSA-N 0 3 304.365 4.279 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806128986 1051741258 /nfs/dbraw/zinc/74/12/58/1051741258.db2.gz ADQLZAFDWCKHEF-WBMJQRKESA-N 0 3 316.832 4.472 20 0 DIADHN CC[C@H](N[C@@H]1CCc2nc(Cl)ccc21)c1ccc(OC)cc1 ZINC000806128766 1051745216 /nfs/dbraw/zinc/74/52/16/1051745216.db2.gz WXONVKGOJWSCJS-JKSUJKDBSA-N 0 3 316.832 4.472 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H]1CCc3nc(Cl)ccc31)CC2 ZINC000806145072 1051752023 /nfs/dbraw/zinc/75/20/23/1051752023.db2.gz CBERDQKOMOAKPB-GOEBONIOSA-N 0 3 302.780 4.139 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCc2nc(Cl)ccc21 ZINC000806143276 1051752724 /nfs/dbraw/zinc/75/27/24/1051752724.db2.gz IRBYRQRPFVCXEP-GJZGRUSLSA-N 0 3 314.816 4.008 20 0 DIADHN FC(F)c1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000185180266 1051757084 /nfs/dbraw/zinc/75/70/84/1051757084.db2.gz XLROPIYRYAWRMG-UHFFFAOYSA-N 0 3 301.336 4.190 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(O)cc3Cl)cccc21 ZINC001216430645 1051761666 /nfs/dbraw/zinc/76/16/66/1051761666.db2.gz SCWIFKHBTTWHTJ-MRXNPFEDSA-N 0 3 318.804 4.174 20 0 DIADHN Clc1ccc2c(n1)CC[C@H]2NCc1ccc2ncccc2c1 ZINC000806162709 1051765115 /nfs/dbraw/zinc/76/51/15/1051765115.db2.gz DJRIVNZDXAATMF-MRXNPFEDSA-N 0 3 309.800 4.060 20 0 DIADHN Cc1nc(CNC2CCC3(CCc4ccccc43)CC2)cs1 ZINC001173684797 1051770000 /nfs/dbraw/zinc/77/00/00/1051770000.db2.gz ULFIHCBWNGNGDX-UHFFFAOYSA-N 0 3 312.482 4.368 20 0 DIADHN Fc1cccnc1CNC1CCC2(CCc3ccccc32)CC1 ZINC001173684789 1051770324 /nfs/dbraw/zinc/77/03/24/1051770324.db2.gz TXKHTCGVBTVAQF-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN O=C(CNC1CCC2(CCc3ccccc32)CC1)c1ccccc1 ZINC001173688164 1051780787 /nfs/dbraw/zinc/78/07/87/1051780787.db2.gz XQFOHAHCHADTRX-UHFFFAOYSA-N 0 3 319.448 4.286 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(F)cc(C(C)(C)O)c2)ccn1 ZINC001216489193 1051788391 /nfs/dbraw/zinc/78/83/91/1051788391.db2.gz JPZZDWIRZAHTKB-UHFFFAOYSA-N 0 3 302.393 4.489 20 0 DIADHN Cc1cc(CNC[C@H](O)c2ccc(C(C)(C)C)cc2)c(C)o1 ZINC000767371421 1051798571 /nfs/dbraw/zinc/79/85/71/1051798571.db2.gz WUKBVCAFJMDITM-SFHVURJKSA-N 0 3 301.430 4.017 20 0 DIADHN CCCC(CCC)C(=O)N1c2ccccc2CC[C@H]1CN(C)C ZINC001129365193 1051799627 /nfs/dbraw/zinc/79/96/27/1051799627.db2.gz YQKBJIOTOTXLNB-SFHVURJKSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1ccc(CCN(CCC(=O)C2CC2)Cc2ccco2)cc1 ZINC000767409772 1051800327 /nfs/dbraw/zinc/80/03/27/1051800327.db2.gz OBGHSXVWOGSGKP-UHFFFAOYSA-N 0 3 311.425 4.002 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H](c2ccccc2)N(C)C)cs1 ZINC000186207818 1051800441 /nfs/dbraw/zinc/80/04/41/1051800441.db2.gz XLYSJKVJXUZJTO-RHSMWYFYSA-N 0 3 317.502 4.220 20 0 DIADHN COc1cccc(CCN[C@H](C)c2csc(C(C)C)n2)c1 ZINC000186249718 1051801734 /nfs/dbraw/zinc/80/17/34/1051801734.db2.gz RTLFDYQFMCVGJI-CYBMUJFWSA-N 0 3 304.459 4.168 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCN(C)Cc2ccccc2)cs1 ZINC000186268751 1051805215 /nfs/dbraw/zinc/80/52/15/1051805215.db2.gz HAPMJBLRYXLICJ-OAHLLOKOSA-N 0 3 317.502 4.049 20 0 DIADHN C[C@H](NCC1(CCOCc2ccccc2)CC1)c1nccs1 ZINC000186374998 1051812919 /nfs/dbraw/zinc/81/29/19/1051812919.db2.gz DGNPJYLHYWXOFF-HNNXBMFYSA-N 0 3 316.470 4.181 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC2(CCO)CCCCC2)cs1 ZINC000186378352 1051813575 /nfs/dbraw/zinc/81/35/75/1051813575.db2.gz DOLVOLLAGHZCPU-CQSZACIVSA-N 0 3 310.507 4.250 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001168463724 1051817848 /nfs/dbraw/zinc/81/78/48/1051817848.db2.gz CCXHJUGNHYIMJR-ZBEGNZNMSA-N 0 3 321.342 4.148 20 0 DIADHN CC(C)OC1CC(N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000186559971 1051822420 /nfs/dbraw/zinc/82/24/20/1051822420.db2.gz UZWQYIZVHUWYNA-IUDNXUCKSA-N 0 3 316.470 4.417 20 0 DIADHN CCOc1ccccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001173850527 1051825469 /nfs/dbraw/zinc/82/54/69/1051825469.db2.gz QRVMHDBNQQFEGM-KRWDZBQOSA-N 0 3 312.413 4.214 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CCC[C@H](C3CC3)C2)no1 ZINC000186820250 1051831515 /nfs/dbraw/zinc/83/15/15/1051831515.db2.gz LOWSWZYGLUHWCU-LSDHHAIUSA-N 0 3 306.450 4.048 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3c(F)cccc3F)cccc21 ZINC001173860885 1051832636 /nfs/dbraw/zinc/83/26/36/1051832636.db2.gz JWSAOUQLRGACJU-OAHLLOKOSA-N 0 3 304.340 4.094 20 0 DIADHN C[C@H](N[C@H](c1ccco1)c1ccccc1)C1CCSCC1 ZINC000186950416 1051833926 /nfs/dbraw/zinc/83/39/26/1051833926.db2.gz OJFJPFTUFALTLZ-KSSFIOAISA-N 0 3 301.455 4.490 20 0 DIADHN CCOC(CN1CC[C@@H](C)C[C@@H]1c1ccc(CC)o1)OCC ZINC000767791246 1051851871 /nfs/dbraw/zinc/85/18/71/1051851871.db2.gz CVURRHHIVGSFIV-GDBMZVCRSA-N 0 3 309.450 4.014 20 0 DIADHN CCCOc1ccc(CNCc2ccc(COC)cc2)c(C)c1 ZINC000188792055 1051853158 /nfs/dbraw/zinc/85/31/58/1051853158.db2.gz TUPCNBFCRGPSPN-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN FC(F)(F)CCN1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000767877619 1051862305 /nfs/dbraw/zinc/86/23/05/1051862305.db2.gz VBEVYDBMZKDASF-LLVKDONJSA-N 0 3 311.269 4.447 20 0 DIADHN CC(=O)c1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)cc1 ZINC001173898790 1051864393 /nfs/dbraw/zinc/86/43/93/1051864393.db2.gz LVFLSHWIVILKTN-GOSISDBHSA-N 0 3 310.397 4.018 20 0 DIADHN COc1cc(Nc2ccnc(C(C)(C)C)c2)cc(OC)c1OC ZINC001173904359 1051867702 /nfs/dbraw/zinc/86/77/02/1051867702.db2.gz CIQPZJVLUHBFOS-UHFFFAOYSA-N 0 3 316.401 4.149 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1coc(C2CC2)n1 ZINC000742713742 1051875915 /nfs/dbraw/zinc/87/59/15/1051875915.db2.gz JPDFFYSLJQPWQG-KRWDZBQOSA-N 0 3 302.393 4.048 20 0 DIADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1nc(Br)ccc1F ZINC000798182838 1051877071 /nfs/dbraw/zinc/87/70/71/1051877071.db2.gz KJOYRIWPTDJRFJ-MWLCHTKSSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000768096164 1051880383 /nfs/dbraw/zinc/88/03/83/1051880383.db2.gz HOUSZGNDPXJOOE-RYUDHWBXSA-N 0 3 307.796 4.383 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2N[C@H]1CCCc2nc(C)ncc21 ZINC000768102867 1051881430 /nfs/dbraw/zinc/88/14/30/1051881430.db2.gz CSHTVWKAQBLUDU-FASAQXTFSA-N 0 3 307.441 4.309 20 0 DIADHN C[C@](O)(CNCc1ccc(-c2ccccc2)o1)c1cccs1 ZINC000153042738 1051884644 /nfs/dbraw/zinc/88/46/44/1051884644.db2.gz LLDFGNCSAOZHSL-SFHVURJKSA-N 0 3 313.422 4.005 20 0 DIADHN C[C@@](O)(CNCc1ccc(-c2ccccc2)o1)c1cccs1 ZINC000153042621 1051884782 /nfs/dbraw/zinc/88/47/82/1051884782.db2.gz LLDFGNCSAOZHSL-GOSISDBHSA-N 0 3 313.422 4.005 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCCCCC3)c(Cl)nc21 ZINC000768142907 1051885311 /nfs/dbraw/zinc/88/53/11/1051885311.db2.gz IDCFGRSXEALNIF-UHFFFAOYSA-N 0 3 320.868 4.432 20 0 DIADHN COC1(C[C@@H](C)N[C@@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000494392015 1051889278 /nfs/dbraw/zinc/88/92/78/1051889278.db2.gz JSVYRESADZMLKD-ZJUUUORDSA-N 0 3 322.396 4.160 20 0 DIADHN CCCC[C@H](C)N(CCCC)Cc1cn(-c2ccccc2)nn1 ZINC001170520764 1051889687 /nfs/dbraw/zinc/88/96/87/1051889687.db2.gz ZYUYTVXJHVICSS-KRWDZBQOSA-N 0 3 314.477 4.448 20 0 DIADHN COC[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1cccc(Cl)c1 ZINC000829935693 1051897867 /nfs/dbraw/zinc/89/78/67/1051897867.db2.gz NTRLGTQGVSSXMO-QWHCGFSZSA-N 0 3 322.663 4.201 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(F)cc3C)cc2)CC1 ZINC001173968820 1051898969 /nfs/dbraw/zinc/89/89/69/1051898969.db2.gz WPJBLFNYZAAHJJ-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN CN(C)Cc1ccccc1Nc1cnc2c(ccc(F)c2F)c1 ZINC001173929027 1051899678 /nfs/dbraw/zinc/89/96/78/1051899678.db2.gz SKGKYZGWAWLKIF-UHFFFAOYSA-N 0 3 313.351 4.318 20 0 DIADHN Cc1cnn(-c2ccccc2F)c1Nc1ccccc1CN(C)C ZINC001173928612 1051900067 /nfs/dbraw/zinc/90/00/67/1051900067.db2.gz GHPGVTRNXCYBOL-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN Cc1cccc(-c2cc(Nc3ccccc3CN(C)C)n(C)n2)c1 ZINC001173929115 1051900558 /nfs/dbraw/zinc/90/05/58/1051900558.db2.gz WCAZKFVNWDDCMD-UHFFFAOYSA-N 0 3 320.440 4.201 20 0 DIADHN COc1cccc2cc(Nc3ccccc3CN(C)C)cnc21 ZINC001173928616 1051900809 /nfs/dbraw/zinc/90/08/09/1051900809.db2.gz GJFMYTDONTXEJE-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN COc1ccc(Nc2ccccc2CN(C)C)c2ccncc12 ZINC001173930222 1051901613 /nfs/dbraw/zinc/90/16/13/1051901613.db2.gz AMGMONWLHIWUOW-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(F)c(Br)c1 ZINC001173929387 1051902084 /nfs/dbraw/zinc/90/20/84/1051902084.db2.gz FOGUVWKXDYIVKS-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(F)c1N1CCCC1 ZINC001173930237 1051902725 /nfs/dbraw/zinc/90/27/25/1051902725.db2.gz ATFUWQDWSJEXTG-UHFFFAOYSA-N 0 3 313.420 4.231 20 0 DIADHN COc1ccc(CN(C)Cc2ccnc3ccccc23)cc1F ZINC000768198621 1051903913 /nfs/dbraw/zinc/90/39/13/1051903913.db2.gz BQMMXSYUXSBRGQ-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CC(C)OC(=O)c1cccc(Nc2ccccc2CN(C)C)c1 ZINC001173933001 1051904296 /nfs/dbraw/zinc/90/42/96/1051904296.db2.gz XGQBULPIKZWLQV-UHFFFAOYSA-N 0 3 312.413 4.057 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(Cl)c(Cl)cc1N ZINC001173931960 1051905423 /nfs/dbraw/zinc/90/54/23/1051905423.db2.gz OOMSNYROVMWPQR-UHFFFAOYSA-N 0 3 310.228 4.381 20 0 DIADHN CC(=O)Nc1cc(Nc2ccccc2CN(C)C)ccc1Cl ZINC001173931871 1051905726 /nfs/dbraw/zinc/90/57/26/1051905726.db2.gz KVWSILCUMQEXDM-UHFFFAOYSA-N 0 3 317.820 4.104 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1cncs1 ZINC000768253665 1051908461 /nfs/dbraw/zinc/90/84/61/1051908461.db2.gz CBCVSEKBOTYPDI-KDOFPFPSSA-N 0 3 324.449 4.194 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4[nH]ccc4c3)cccc21 ZINC001173939198 1051908745 /nfs/dbraw/zinc/90/87/45/1051908745.db2.gz GLTDYMPVXBKVIA-SFHVURJKSA-N 0 3 307.397 4.297 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(-n3cccn3)cc2)ccc1F ZINC001170527718 1051914427 /nfs/dbraw/zinc/91/44/27/1051914427.db2.gz QCXLPAAPXQOXDO-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C1CCCCCCC1)c1ccco1 ZINC000807680875 1051920012 /nfs/dbraw/zinc/92/00/12/1051920012.db2.gz XKASMTCQNCCFCY-NVXWUHKLSA-N 0 3 320.477 4.186 20 0 DIADHN c1ccc(OC2CCN(Cc3ccnc4ccccc34)CC2)cc1 ZINC000768527839 1051937902 /nfs/dbraw/zinc/93/79/02/1051937902.db2.gz AQRZULHGAGESHO-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(O)(c2ccccc2)CC1 ZINC000768526920 1051938592 /nfs/dbraw/zinc/93/85/92/1051938592.db2.gz SLKQDTKICKRYKO-UHFFFAOYSA-N 0 3 315.844 4.132 20 0 DIADHN Cc1ccnc(C2CCN(Cc3ccc(Cl)cc3C)CC2)n1 ZINC000768527986 1051938844 /nfs/dbraw/zinc/93/88/44/1051938844.db2.gz BTZDNLMPGHPWGZ-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN COc1ccc(OC)c(OC)c1Nc1ccnc(C(C)(C)C)c1 ZINC001174053842 1051942969 /nfs/dbraw/zinc/94/29/69/1051942969.db2.gz JTTLQBQSYYYHGG-UHFFFAOYSA-N 0 3 316.401 4.149 20 0 DIADHN C[C@H](NC(=O)OC(C)(C)C)[C@H]1CCCCN1Cc1cccs1 ZINC000768545275 1051944615 /nfs/dbraw/zinc/94/46/15/1051944615.db2.gz XEGSOVRQDVRBLZ-DZGCQCFKSA-N 0 3 324.490 4.016 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccnn1-c1ccccc1 ZINC000467366792 1051948355 /nfs/dbraw/zinc/94/83/55/1051948355.db2.gz BBWLMOOQGFYSLN-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)cc1F ZINC001174021011 1051952375 /nfs/dbraw/zinc/95/23/75/1051952375.db2.gz IFPYVVQPMPPVGT-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN Cc1c([C@H](C)NCCOc2ccc(Cl)cc2)cnn1C(C)C ZINC000191220664 1051966547 /nfs/dbraw/zinc/96/65/47/1051966547.db2.gz YJOLQBINLBMGEB-ZDUSSCGKSA-N 0 3 321.852 4.155 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCCC3(C)C)co2)cc1 ZINC000191297145 1051968740 /nfs/dbraw/zinc/96/87/40/1051968740.db2.gz HNTNRRWVRRGNKX-MRXNPFEDSA-N 0 3 300.402 4.019 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1cnn(C(C)C)c1C ZINC000191342347 1051969961 /nfs/dbraw/zinc/96/99/61/1051969961.db2.gz OAQFHNSVAPENLT-UGSOOPFHSA-N 0 3 313.445 4.119 20 0 DIADHN CC[C@@H](NC1CC(OCc2ccccc2)C1)c1c(C)noc1C ZINC000494595260 1051981793 /nfs/dbraw/zinc/98/17/93/1051981793.db2.gz MFNFKLNSVKMMEL-DAWZGUTISA-N 0 3 314.429 4.080 20 0 DIADHN C[C@H]1CCSCCN1Cc1ccc(Cl)c2cccnc12 ZINC000191818983 1051988999 /nfs/dbraw/zinc/98/89/99/1051988999.db2.gz DKRDQSYIHHOWFB-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1ccc2c(ccc(C)c2CN[C@H](CO)c2ccc(F)cc2)c1 ZINC001170547594 1051992006 /nfs/dbraw/zinc/99/20/06/1051992006.db2.gz ALJPNARAIJEMOF-OAQYLSRUSA-N 0 3 323.411 4.419 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2cnc(-c3ccccc3)o2)C1 ZINC001170547618 1051992165 /nfs/dbraw/zinc/99/21/65/1051992165.db2.gz AVIBQYFFXZNQKQ-MRXNPFEDSA-N 0 3 322.383 4.470 20 0 DIADHN COc1c(O)cccc1CNC1(c2ccccc2)CCCCC1 ZINC000494632513 1051994909 /nfs/dbraw/zinc/99/49/09/1051994909.db2.gz LSBQDPAUZVNBRU-UHFFFAOYSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OC(F)F)cc2)cc(C)c1O ZINC000494662357 1051999773 /nfs/dbraw/zinc/99/97/73/1051999773.db2.gz CLBIWPWFAMNHSC-ZDUSSCGKSA-N 0 3 321.367 4.461 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(-c3ccccc3)s2)CCS1 ZINC000192176866 1052002661 /nfs/dbraw/zinc/00/26/61/1052002661.db2.gz TUTYQHBBLBETMX-CYBMUJFWSA-N 0 3 304.484 4.138 20 0 DIADHN C[C@@H]1CCN(Cc2cc(Cl)cc3cccnc32)CCS1 ZINC000192176688 1052003722 /nfs/dbraw/zinc/00/37/22/1052003722.db2.gz ADOHSDGQFRLKOQ-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN O[C@@H](c1ccccc1)[C@H]1CCCCN1Cc1cc(F)cc(F)c1 ZINC000769242619 1052008086 /nfs/dbraw/zinc/00/80/86/1052008086.db2.gz JZYYSSVCZOIJQA-MOPGFXCFSA-N 0 3 317.379 4.053 20 0 DIADHN C[C@H](NCc1cnc(C2CCCC2)s1)[C@H](O)c1ccccc1 ZINC000808445358 1052021982 /nfs/dbraw/zinc/02/19/82/1052021982.db2.gz OQGTTZRDZPBIJE-GUYCJALGSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1cnc(-c2ccco2)s1 ZINC000193015165 1052025347 /nfs/dbraw/zinc/02/53/47/1052025347.db2.gz VCHQHMPYWVXDTK-DZGCQCFKSA-N 0 3 320.458 4.088 20 0 DIADHN CC[C@@H](NCc1cc(Cl)cs1)c1ccc2c(c1)OCCO2 ZINC000193033243 1052028597 /nfs/dbraw/zinc/02/85/97/1052028597.db2.gz ICBNONYTISZEPT-CQSZACIVSA-N 0 3 323.845 4.414 20 0 DIADHN Cc1cc(CN2CCC(OC3CCC(C)CC3)CC2)c(C)o1 ZINC000769384870 1052036999 /nfs/dbraw/zinc/03/69/99/1052036999.db2.gz QHCXTKKSRHXFMG-UHFFFAOYSA-N 0 3 305.462 4.456 20 0 DIADHN COC[C@@H](NCc1cccc2[nH]ccc21)c1ccc(Cl)cc1 ZINC000193279251 1052038106 /nfs/dbraw/zinc/03/81/06/1052038106.db2.gz QOBARLCFNSUIDH-GOSISDBHSA-N 0 3 314.816 4.299 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCCC[C@@H]3C)c(Cl)nc21 ZINC000769404017 1052039121 /nfs/dbraw/zinc/03/91/21/1052039121.db2.gz HMVQUUVSYXYEPM-ZDUSSCGKSA-N 0 3 320.868 4.430 20 0 DIADHN CCCOc1ccc(F)cc1Nc1ccccc1CN(C)C ZINC001212200032 1052041552 /nfs/dbraw/zinc/04/15/52/1052041552.db2.gz HIKAHQLGYQCGQU-UHFFFAOYSA-N 0 3 302.393 4.420 20 0 DIADHN Clc1ccccc1C[C@@H](NCc1c[nH]cn1)c1ccccc1 ZINC000193386341 1052042359 /nfs/dbraw/zinc/04/23/59/1052042359.db2.gz LXRVZHXGVOPMJS-GOSISDBHSA-N 0 3 311.816 4.137 20 0 DIADHN Clc1ccccc1C[C@@H](NCc1cnc[nH]1)c1ccccc1 ZINC000193386341 1052042374 /nfs/dbraw/zinc/04/23/74/1052042374.db2.gz LXRVZHXGVOPMJS-GOSISDBHSA-N 0 3 311.816 4.137 20 0 DIADHN CCC1(O)CCN(Cc2ccc(SC(F)(F)F)cc2)CC1 ZINC000769487390 1052051551 /nfs/dbraw/zinc/05/15/51/1052051551.db2.gz WKORUFFFHWOTLU-UHFFFAOYSA-N 0 3 319.392 4.035 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1C[C@H](c2ccccc2)C[C@@H]1C ZINC000769445448 1052056308 /nfs/dbraw/zinc/05/63/08/1052056308.db2.gz JADDBHHYDKCGBN-DZGCQCFKSA-N 0 3 317.864 4.014 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000195538997 1052057928 /nfs/dbraw/zinc/05/79/28/1052057928.db2.gz YBNANOPDNRMSGM-DLBZAZTESA-N 0 3 310.441 4.087 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2C)cc1 ZINC000195538942 1052058704 /nfs/dbraw/zinc/05/87/04/1052058704.db2.gz BDKLSOXQIJIODW-MSOLQXFVSA-N 0 3 324.468 4.001 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cncc2ccccc21 ZINC000769538970 1052079041 /nfs/dbraw/zinc/07/90/41/1052079041.db2.gz ZXLBZDWMAAZVPS-HXUWFJFHSA-N 0 3 305.425 4.301 20 0 DIADHN CC(C)Oc1cccc(CN(C)Cc2ccc3c(c2)COC3)c1 ZINC000769537154 1052079202 /nfs/dbraw/zinc/07/92/02/1052079202.db2.gz IAOQECMTACJUCW-UHFFFAOYSA-N 0 3 311.425 4.136 20 0 DIADHN c1ccc([C@H](NCc2cccc3c2OCO3)C2CCCC2)cc1 ZINC000195719272 1052084226 /nfs/dbraw/zinc/08/42/26/1052084226.db2.gz KQVSJDFLHLDGLL-IBGZPJMESA-N 0 3 309.409 4.436 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(N2CCCCC2)c1 ZINC001174304585 1052088490 /nfs/dbraw/zinc/08/84/90/1052088490.db2.gz HXXSCMGXYAIXPF-UHFFFAOYSA-N 0 3 309.457 4.482 20 0 DIADHN Cc1ncc(Nc2cccc(OCc3ccccc3F)c2)n1C ZINC001174310447 1052091907 /nfs/dbraw/zinc/09/19/07/1052091907.db2.gz IGMXIZDXJQZQSB-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN Cc1c(O)ccc(NC2=CCCN(Cc3ccccc3)C2)c1C ZINC001174395079 1052118391 /nfs/dbraw/zinc/11/83/91/1052118391.db2.gz QOLHBSYQUZQTDY-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN Cc1cc(NC2=CCCN(Cc3ccccc3)C2)c(C)cc1N ZINC001174395088 1052118540 /nfs/dbraw/zinc/11/85/40/1052118540.db2.gz RCFJFIVZPIRTDO-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN Oc1ccc(NC2=CCCN(Cc3ccccc3)C2)cc1Cl ZINC001174396110 1052118701 /nfs/dbraw/zinc/11/87/01/1052118701.db2.gz CXWSFDGKIJWFSK-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN Cc1cc(NC2=CCCN(Cc3ccccc3)C2)c(C)cc1O ZINC001174396075 1052119038 /nfs/dbraw/zinc/11/90/38/1052119038.db2.gz CLILTDHMASSSPU-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN Cc1nc2c(cccc2NC2=CCCN(Cc3ccccc3)C2)o1 ZINC001174397439 1052119050 /nfs/dbraw/zinc/11/90/50/1052119050.db2.gz ZUNXUJSJEAUTMH-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](C)Sc1ccccc1 ZINC000769870084 1052123110 /nfs/dbraw/zinc/12/31/10/1052123110.db2.gz BGKYKVLMOWFFHS-STQMWFEESA-N 0 3 304.459 4.377 20 0 DIADHN c1ccc([C@H]2CN[C@H](c3nnc(CC4CCCCC4)o3)C2)cc1 ZINC001217714466 1052123885 /nfs/dbraw/zinc/12/38/85/1052123885.db2.gz JBLSRWVKWPCVHG-SJORKVTESA-N 0 3 311.429 4.011 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCc1csc(C(C)C)n1 ZINC000769875592 1052123797 /nfs/dbraw/zinc/12/37/97/1052123797.db2.gz WSDPSZLBLSMGHM-LLVKDONJSA-N 0 3 307.463 4.019 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H]1SCCc2ccccc21 ZINC000769872295 1052124268 /nfs/dbraw/zinc/12/42/68/1052124268.db2.gz FLASOXJAMZYYTB-YVEFUNNKSA-N 0 3 316.470 4.227 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H]1SCCc2ccccc21 ZINC000769872291 1052124595 /nfs/dbraw/zinc/12/45/95/1052124595.db2.gz FLASOXJAMZYYTB-SJCJKPOMSA-N 0 3 316.470 4.227 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@H](C)c2c(CC)noc2C)C1(C)C ZINC000769872471 1052124630 /nfs/dbraw/zinc/12/46/30/1052124630.db2.gz GJQHSNKYVOKGRK-UHOFOFEASA-N 0 3 308.466 4.180 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H]1SCCc2ccccc21 ZINC000769872293 1052124860 /nfs/dbraw/zinc/12/48/60/1052124860.db2.gz FLASOXJAMZYYTB-SJKOYZFVSA-N 0 3 316.470 4.227 20 0 DIADHN CCCCOc1ccc(-c2nnc([C@@H](NC)[C@@H](C)CC)o2)cc1 ZINC001217722843 1052126725 /nfs/dbraw/zinc/12/67/25/1052126725.db2.gz GZPWIUAUPHJASU-BBRMVZONSA-N 0 3 317.433 4.222 20 0 DIADHN CC(C)(Cl)c1ccc(C(C)(C)O[C@H]2CCNC[C@@H]2F)cc1 ZINC001217793809 1052143039 /nfs/dbraw/zinc/14/30/39/1052143039.db2.gz GIYHJBMUOVFJTG-GJZGRUSLSA-N 0 3 313.844 4.112 20 0 DIADHN CCN1CCN(c2ccc(Nc3cc(C)sc3C)cc2)CC1 ZINC001174422443 1052151607 /nfs/dbraw/zinc/15/16/07/1052151607.db2.gz WWPKOSBBRKVGHM-UHFFFAOYSA-N 0 3 315.486 4.250 20 0 DIADHN OCCCCCCCN1CCCC[C@H]1c1ccc(F)cc1F ZINC000770236576 1052157067 /nfs/dbraw/zinc/15/70/67/1052157067.db2.gz LJHJELLNTOATNG-SFHVURJKSA-N 0 3 311.416 4.435 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N[C@H](c1ncccc1F)C1CC1 ZINC001168472903 1052159186 /nfs/dbraw/zinc/15/91/86/1052159186.db2.gz ICYHUNLCMKZSHB-PXAZEXFGSA-N 0 3 302.368 4.032 20 0 DIADHN CC1(C)N[C@@H](CO[C@@H](/C=C/c2ccccc2)c2ccccc2)CO1 ZINC001217891622 1052165866 /nfs/dbraw/zinc/16/58/66/1052165866.db2.gz AARDONVJYBDEOI-JAPHFTBRSA-N 0 3 323.436 4.182 20 0 DIADHN c1ccc(OCCCCCO[C@H]2CNCc3ccccc32)cc1 ZINC001217941128 1052175091 /nfs/dbraw/zinc/17/50/91/1052175091.db2.gz OLIWPRVBLAUTLJ-FQEVSTJZSA-N 0 3 311.425 4.097 20 0 DIADHN c1sc(CO[C@@H]2CNCc3ccccc32)nc1-c1ccccc1 ZINC001217937724 1052175240 /nfs/dbraw/zinc/17/52/40/1052175240.db2.gz GBKNKRVZUPBKJZ-GOSISDBHSA-N 0 3 322.433 4.171 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(Cl)cs3)C2)cc1 ZINC000494943910 1052182192 /nfs/dbraw/zinc/18/21/92/1052182192.db2.gz AOHKFRSHGVISQU-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN Clc1csc(CN2CC[C@@H](COCc3ccccc3)C2)c1 ZINC000494961045 1052183792 /nfs/dbraw/zinc/18/37/92/1052183792.db2.gz PUUWXRPVVPHAEJ-OAHLLOKOSA-N 0 3 321.873 4.440 20 0 DIADHN Clc1ccc([C@H]2CSCCN2C[C@@H]2CCSC2)cc1 ZINC000494964912 1052187527 /nfs/dbraw/zinc/18/75/27/1052187527.db2.gz UASMBKAJBFRCOO-SWLSCSKDSA-N 0 3 313.919 4.183 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218070110 1052192546 /nfs/dbraw/zinc/19/25/46/1052192546.db2.gz LNHAKZZQBUJVKZ-ZWKOTPCHSA-N 0 3 317.429 4.058 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218070107 1052192672 /nfs/dbraw/zinc/19/26/72/1052192672.db2.gz LNHAKZZQBUJVKZ-ROUUACIJSA-N 0 3 317.429 4.058 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1ccc(Oc2ccc(Cl)cc2)cc1 ZINC001218065388 1052193007 /nfs/dbraw/zinc/19/30/07/1052193007.db2.gz FKKPKWKJEUNFHR-IAGOWNOFSA-N 0 3 321.779 4.211 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1ccc(C(F)(F)F)cc1 ZINC001218191875 1052205519 /nfs/dbraw/zinc/20/55/19/1052205519.db2.gz AECJSVVUSJDLGI-NSHDSACASA-N 0 3 307.315 4.449 20 0 DIADHN CN(C/C=C\c1ccncc1)Cc1ccc(OC(F)(F)F)cc1 ZINC000495075258 1052208327 /nfs/dbraw/zinc/20/83/27/1052208327.db2.gz PPZCQKWGIPJOQW-IHWYPQMZSA-N 0 3 322.330 4.125 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2C/C=C/c2ccncc2)cc1 ZINC000495088072 1052213814 /nfs/dbraw/zinc/21/38/14/1052213814.db2.gz DHTPDGJPSDJXFV-GECPCNCSSA-N 0 3 308.425 4.331 20 0 DIADHN O[C@H](CN1CCC[C@H]1/C=C\c1ccccc1)c1ccccc1F ZINC000780399862 1052215548 /nfs/dbraw/zinc/21/55/48/1052215548.db2.gz CXHCWCPQPABTPE-VLTCRDJCSA-N 0 3 311.400 4.037 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1nc(-c2ccccc2)cs1 ZINC000250104648 1052219757 /nfs/dbraw/zinc/21/97/57/1052219757.db2.gz RVYVQTRNEDRGTL-MKVSYHDVSA-N 0 3 314.454 4.028 20 0 DIADHN Cc1ncc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)cn1 ZINC000495147788 1052235359 /nfs/dbraw/zinc/23/53/59/1052235359.db2.gz APELKXWNEKYRRN-OAQYLSRUSA-N 0 3 315.420 4.229 20 0 DIADHN CNc1ccc(O[C@@H]2CCN(Cc3ccccc3Cl)C2)cc1 ZINC001218410987 1052235484 /nfs/dbraw/zinc/23/54/84/1052235484.db2.gz SNYAZEVWTUPAEU-QGZVFWFLSA-N 0 3 316.832 4.035 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc3c(c1)OCCO3)CC2 ZINC000495158049 1052239606 /nfs/dbraw/zinc/23/96/06/1052239606.db2.gz OSKQJUOMMOJYAA-IBGZPJMESA-N 0 3 323.436 4.358 20 0 DIADHN Cc1ccc(-c2ccc(O[C@H]3CNCCC3(F)F)cc2)cc1 ZINC001218449846 1052239678 /nfs/dbraw/zinc/23/96/78/1052239678.db2.gz WLDRWFUAXXZLMW-KRWDZBQOSA-N 0 3 303.352 4.038 20 0 DIADHN CCCCC(=O)c1ccc(O[C@H]2CNCc3ccccc32)cc1 ZINC001218485721 1052245990 /nfs/dbraw/zinc/24/59/90/1052245990.db2.gz MPASZTBBGOTUIH-FQEVSTJZSA-N 0 3 309.409 4.283 20 0 DIADHN Cc1nc(-c2ccccc2O[C@@H]2CNCc3ccccc32)cs1 ZINC001218485634 1052246453 /nfs/dbraw/zinc/24/64/53/1052246453.db2.gz DSLUSKLQEGUPRS-LJQANCHMSA-N 0 3 322.433 4.342 20 0 DIADHN Clc1ccc2cc(O[C@@H]3CNCc4ccccc43)ccc2n1 ZINC001218484779 1052246522 /nfs/dbraw/zinc/24/65/22/1052246522.db2.gz WJCVQVQNXCWPLC-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1cc(Cl)c(O[C@@H]2CNCc3ccccc32)c(Cl)c1 ZINC001218486086 1052246971 /nfs/dbraw/zinc/24/69/71/1052246971.db2.gz OHZNZOSOQIXKCR-CQSZACIVSA-N 0 3 312.171 4.356 20 0 DIADHN Clc1cc(O[C@@H]2CNCc3ccccc32)c2ccccc2n1 ZINC001218488472 1052247047 /nfs/dbraw/zinc/24/70/47/1052247047.db2.gz XNOCSWSHJZHROM-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN COc1cccc2c(O[C@H]3CNCc4ccccc43)cccc12 ZINC001218487094 1052247356 /nfs/dbraw/zinc/24/73/56/1052247356.db2.gz HJDJFNMOVCXDHJ-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN Fc1c(O[C@@H]2CNCc3ccccc32)cccc1C(F)(F)F ZINC001218489059 1052247491 /nfs/dbraw/zinc/24/74/91/1052247491.db2.gz ZSSDKJDKJURTCZ-CQSZACIVSA-N 0 3 311.278 4.068 20 0 DIADHN CCCc1cc(F)c(O[C@H]2CNCc3ccccc32)c(F)c1 ZINC001218485819 1052248273 /nfs/dbraw/zinc/24/82/73/1052248273.db2.gz BHFLTKXHVHEAGP-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN Cc1cc(C)c(O[C@H]2CNCc3ccccc32)c2ncccc12 ZINC001218487504 1052248401 /nfs/dbraw/zinc/24/84/01/1052248401.db2.gz UQMWRPKVZASNMW-SFHVURJKSA-N 0 3 304.393 4.075 20 0 DIADHN Clc1ccnc2ccc(O[C@H]3CNCc4ccccc43)cc12 ZINC001218487356 1052248465 /nfs/dbraw/zinc/24/84/65/1052248465.db2.gz ILJXFSRIVZGIAX-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN Oc1ccccc1-c1ccccc1O[C@H]1CNCc2ccccc21 ZINC001218490192 1052249000 /nfs/dbraw/zinc/24/90/00/1052249000.db2.gz XPNWZKTVPCISAY-NRFANRHFSA-N 0 3 317.388 4.283 20 0 DIADHN Clc1ccc2nc(O[C@H]3CNCc4ccccc43)sc2c1 ZINC001218491446 1052249327 /nfs/dbraw/zinc/24/93/27/1052249327.db2.gz XERDJOVWQSPBDM-AWEZNQCLSA-N 0 3 316.813 4.173 20 0 DIADHN COc1cc2ccccc2cc1O[C@@H]1CNCc2ccccc21 ZINC001218493141 1052249609 /nfs/dbraw/zinc/24/96/09/1052249609.db2.gz ILOYYMYSGBNGMC-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1ccc2nc(O[C@@H]3CNCc4ccccc43)sc2c1 ZINC001218491452 1052249865 /nfs/dbraw/zinc/24/98/65/1052249865.db2.gz XERDJOVWQSPBDM-CQSZACIVSA-N 0 3 316.813 4.173 20 0 DIADHN CC(C)Oc1ccc(O[C@@H]2CNCc3ccccc32)cc1Cl ZINC001218493114 1052250251 /nfs/dbraw/zinc/25/02/51/1052250251.db2.gz HXCNMKZQEZUMIB-GOSISDBHSA-N 0 3 317.816 4.351 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)c2cc3c(s2)CNCC3)cc1 ZINC001218808593 1052255322 /nfs/dbraw/zinc/25/53/22/1052255322.db2.gz GVNGFEOUOCURIO-LBPRGKRZSA-N 0 3 314.454 4.160 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)c2csc3c2CCNC3)cc1 ZINC001218809160 1052255642 /nfs/dbraw/zinc/25/56/42/1052255642.db2.gz NYKPGTQWVMWMOQ-GFCCVEGCSA-N 0 3 314.454 4.160 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)[C@@H](N)Cc2ccc(C)cc2C)cc1 ZINC001218809089 1052256407 /nfs/dbraw/zinc/25/64/07/1052256407.db2.gz LUMXIQCRFMDBLV-YWZLYKJASA-N 0 3 324.468 4.325 20 0 DIADHN C=Cc1ccc(NC(=O)[C@@H]2CCC[C@@H](c3ccccc3)N2)cc1 ZINC001218842145 1052259060 /nfs/dbraw/zinc/25/90/60/1052259060.db2.gz VLZHIWKRQFZQEE-OALUTQOASA-N 0 3 306.409 4.152 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H]2CCC[C@H](c3ccccc3)N2)cc1C ZINC001219007078 1052265930 /nfs/dbraw/zinc/26/59/30/1052265930.db2.gz GELJOYCOLTWOKZ-MOPGFXCFSA-N 0 3 322.452 4.434 20 0 DIADHN Cc1cn2c(nc(C)c2CNCc2cc(Cl)ccc2C)s1 ZINC000188864306 1052274089 /nfs/dbraw/zinc/27/40/89/1052274089.db2.gz YPPSEZVPDWKZKG-UHFFFAOYSA-N 0 3 319.861 4.264 20 0 DIADHN CC(C)CN(Cc1nc(-c2cccc(Cl)c2)no1)C(C)C ZINC000642329144 1052277571 /nfs/dbraw/zinc/27/75/71/1052277571.db2.gz BNUXLQCKLPZVMH-UHFFFAOYSA-N 0 3 307.825 4.256 20 0 DIADHN CC(C)(C)Sc1ccccc1NC(=O)[C@@H](N)C1CCCCC1 ZINC001219223803 1052278868 /nfs/dbraw/zinc/27/88/68/1052278868.db2.gz OHGSPEIGPPKDLB-INIZCTEOSA-N 0 3 320.502 4.423 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@H](C(F)(F)F)C3)ccc2n1 ZINC000189546987 1052282293 /nfs/dbraw/zinc/28/22/93/1052282293.db2.gz XPLNPYDNLGKVRT-HNNXBMFYSA-N 0 3 308.347 4.318 20 0 DIADHN CCCOc1ccc(OCCN(C)[C@H](C)c2ccco2)cc1 ZINC000302944203 1052308373 /nfs/dbraw/zinc/30/83/73/1052308373.db2.gz BTQYMKLLUFEXJP-OAHLLOKOSA-N 0 3 303.402 4.140 20 0 DIADHN Clc1cccc(CN2CCCN(c3ccccc3)CC2)c1 ZINC000302986633 1052310014 /nfs/dbraw/zinc/31/00/14/1052310014.db2.gz QZRRAVUDCMIVEA-UHFFFAOYSA-N 0 3 300.833 4.052 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2cc(C)ccc2C)s1 ZINC000303302222 1052321611 /nfs/dbraw/zinc/32/16/11/1052321611.db2.gz MZWDNNAHBYPUOD-OAHLLOKOSA-N 0 3 318.486 4.449 20 0 DIADHN CC(C)(C)CC(C)(C)NC(=O)[C@H]1CCC[C@H](c2ccccc2)N1 ZINC001220288919 1052327155 /nfs/dbraw/zinc/32/71/55/1052327155.db2.gz NDBYIKZHSBJAAG-IAGOWNOFSA-N 0 3 316.489 4.201 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N1CCC[C@@]2(CC=CCC2)C1 ZINC000303547332 1052331195 /nfs/dbraw/zinc/33/11/95/1052331195.db2.gz JFMURYMXWZWDNG-XLIONFOSSA-N 0 3 312.457 4.144 20 0 DIADHN CC(C)[C@H](CNCc1cc(F)ccc1F)Nc1ccccc1 ZINC000303718615 1052339957 /nfs/dbraw/zinc/33/99/57/1052339957.db2.gz RFDOEWXMLWUQSH-SFHVURJKSA-N 0 3 304.384 4.191 20 0 DIADHN Fc1ccc2nc(CN[C@@H](Cc3ccccc3)C3CC3)oc2c1 ZINC000495484546 1052358947 /nfs/dbraw/zinc/35/89/47/1052358947.db2.gz LGFQBVQZXYUACC-KRWDZBQOSA-N 0 3 310.372 4.078 20 0 DIADHN CCN(Cc1ccccc1C)Cc1cc(=O)oc2cc(C)ccc12 ZINC000915218026 1052369056 /nfs/dbraw/zinc/36/90/56/1052369056.db2.gz IFFNLUSNEAMNEG-UHFFFAOYSA-N 0 3 321.420 4.432 20 0 DIADHN c1ccc2c(c1)SCC[C@@H]2NCc1cccc2c1NCCC2 ZINC000316514722 1052369173 /nfs/dbraw/zinc/36/91/73/1052369173.db2.gz ROZAJWJBNSOFBQ-KRWDZBQOSA-N 0 3 310.466 4.371 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)N[C@@H](C)CCCC(C)C)s1 ZINC000754677469 1052370115 /nfs/dbraw/zinc/37/01/15/1052370115.db2.gz VXKKKLWDVPXSJK-ZFWWWQNUSA-N 0 3 310.507 4.038 20 0 DIADHN CCC1(CC)CCN(Cc2ccc(C(=O)OC)c(F)c2)CC1 ZINC000318257100 1052403424 /nfs/dbraw/zinc/40/34/24/1052403424.db2.gz ALQQXFOEXWHRSI-UHFFFAOYSA-N 0 3 307.409 4.015 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000308691132 1052403723 /nfs/dbraw/zinc/40/37/23/1052403723.db2.gz GZTCKOFGOYSREL-APPDUMDISA-N 0 3 319.836 4.047 20 0 DIADHN CC(=O)Oc1ccc(CN2CCCCC[C@@H]2c2ccco2)cc1 ZINC000762630033 1052410247 /nfs/dbraw/zinc/41/02/47/1052410247.db2.gz STZAEIHLEOUNOY-GOSISDBHSA-N 0 3 313.397 4.322 20 0 DIADHN C[C@H](NC[C@H]1CC=CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000762634655 1052410867 /nfs/dbraw/zinc/41/08/67/1052410867.db2.gz NTFQVXCYQLCRQZ-STQMWFEESA-N 0 3 317.820 4.397 20 0 DIADHN C[C@@H](NC[C@@H]1CC=CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000762634652 1052410881 /nfs/dbraw/zinc/41/08/81/1052410881.db2.gz NTFQVXCYQLCRQZ-CHWSQXEVSA-N 0 3 317.820 4.397 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1ccccc1)c1c(F)cccc1F ZINC000762635933 1052411049 /nfs/dbraw/zinc/41/10/49/1052411049.db2.gz WRHVZEPXAXSFNO-ZWKOTPCHSA-N 0 3 317.379 4.225 20 0 DIADHN C[C@@H](NCCc1ccc([N+](=O)[O-])cc1)c1c(F)cccc1Cl ZINC000319322388 1052422778 /nfs/dbraw/zinc/42/27/78/1052422778.db2.gz LNYZJMIOJBMNKR-LLVKDONJSA-N 0 3 322.767 4.281 20 0 DIADHN c1coc(-c2nc(CNC[C@H]3C[C@H]3c3ccccc3)cs2)c1 ZINC000236589876 1052426976 /nfs/dbraw/zinc/42/69/76/1052426976.db2.gz MRUHEZFSZFETAT-ZBFHGGJFSA-N 0 3 310.422 4.296 20 0 DIADHN CC(C)COc1ccccc1CNCc1cccc2cc[nH]c21 ZINC000236654743 1052428548 /nfs/dbraw/zinc/42/85/48/1052428548.db2.gz YRLJHSBIIAZUGQ-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN c1sc(CNCCCOc2ccccc2)nc1-c1ccccc1 ZINC000236731041 1052429917 /nfs/dbraw/zinc/42/99/17/1052429917.db2.gz WBYAKKUEQZTPEB-UHFFFAOYSA-N 0 3 324.449 4.369 20 0 DIADHN CC(C)(CO)NCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000236757939 1052430481 /nfs/dbraw/zinc/43/04/81/1052430481.db2.gz WNGBPBUFHRMZKV-UHFFFAOYSA-N 0 3 314.212 4.114 20 0 DIADHN Oc1ccc(CN2CC(c3cccc(C(F)(F)F)c3)C2)cc1 ZINC000648807961 1052438955 /nfs/dbraw/zinc/43/89/55/1052438955.db2.gz ZVAZSCRSJNBUAN-UHFFFAOYSA-N 0 3 307.315 4.010 20 0 DIADHN COc1ccccc1/C=C/CNC(C)(C)c1ccccc1OC ZINC000237296372 1052439118 /nfs/dbraw/zinc/43/91/18/1052439118.db2.gz PJNGJAGEKUEUOX-PKNBQFBNSA-N 0 3 311.425 4.242 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCc2cccc3[nH]ccc32)c1 ZINC000237414384 1052439999 /nfs/dbraw/zinc/43/99/99/1052439999.db2.gz YPXMKBTXZMHODO-ZDUSSCGKSA-N 0 3 310.397 4.036 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000237406201 1052440722 /nfs/dbraw/zinc/44/07/22/1052440722.db2.gz UJRCFQHOKRMXQV-RHSMWYFYSA-N 0 3 322.408 4.042 20 0 DIADHN Cc1ccc(CNCCOc2ccccc2-c2ccccc2)o1 ZINC000237768326 1052445713 /nfs/dbraw/zinc/44/57/13/1052445713.db2.gz YFAGKLUVPWAVLZ-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN FC(F)Oc1ccccc1CNCc1cccc2[nH]ccc21 ZINC000237843143 1052445940 /nfs/dbraw/zinc/44/59/40/1052445940.db2.gz QOGPWAJRGHDDAS-UHFFFAOYSA-N 0 3 302.324 4.059 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC000648810282 1052447253 /nfs/dbraw/zinc/44/72/53/1052447253.db2.gz ZYCFGWNQFXTWRS-JKSUJKDBSA-N 0 3 302.462 4.067 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)c(O)c(C)c2)cc1F ZINC000315796767 1052453316 /nfs/dbraw/zinc/45/33/16/1052453316.db2.gz ZYYREZVRZAVKPZ-ZDUSSCGKSA-N 0 3 303.377 4.008 20 0 DIADHN CCCCOc1ccc(-c2ccc3nc(N)[nH]c3c2)c(F)c1F ZINC001222210418 1052461842 /nfs/dbraw/zinc/46/18/42/1052461842.db2.gz RVSAOQGXAPWDEU-UHFFFAOYSA-N 0 3 317.339 4.269 20 0 DIADHN CCCCOc1ccc(-c2ccc3[nH]c(N)nc3c2)c(F)c1F ZINC001222210418 1052461845 /nfs/dbraw/zinc/46/18/45/1052461845.db2.gz RVSAOQGXAPWDEU-UHFFFAOYSA-N 0 3 317.339 4.269 20 0 DIADHN COCc1ccc(Cl)c(-c2ncccc2CN2CCCC2)c1 ZINC001222256865 1052470108 /nfs/dbraw/zinc/47/01/08/1052470108.db2.gz RCNIHJQAPNMKSR-UHFFFAOYSA-N 0 3 316.832 4.144 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCCC2(CC2)CC1 ZINC000626113062 1052472236 /nfs/dbraw/zinc/47/22/36/1052472236.db2.gz BRPPLCPNFQWQFR-UHFFFAOYSA-N 0 3 300.446 4.015 20 0 DIADHN CCOc1cccc(CN[C@H]2CCc3c2cc(F)cc3F)c1 ZINC000154592534 1052474971 /nfs/dbraw/zinc/47/49/71/1052474971.db2.gz CRUMMUJMMYIUBO-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN CC(C)[C@@H](NCc1cnn2ccccc12)c1ccc(Cl)cc1 ZINC000393500334 1052485517 /nfs/dbraw/zinc/48/55/17/1052485517.db2.gz KGSRJUGGWKFPOZ-GOSISDBHSA-N 0 3 313.832 4.475 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccc(C(C)(C)C)cc1 ZINC000393575368 1052487509 /nfs/dbraw/zinc/48/75/09/1052487509.db2.gz PTGVWBURTGTGLK-OAHLLOKOSA-N 0 3 307.441 4.483 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1C(C)(C)C)c1ccccc1 ZINC000102676670 1052507439 /nfs/dbraw/zinc/50/74/39/1052507439.db2.gz XDUBNEPDILJFQK-HNNXBMFYSA-N 0 3 310.441 4.273 20 0 DIADHN CCOC(CN1CCCCC[C@@H]1c1ccc(CC)o1)OCC ZINC000763158607 1052516943 /nfs/dbraw/zinc/51/69/43/1052516943.db2.gz OKUHILMUPKCXMQ-MRXNPFEDSA-N 0 3 309.450 4.158 20 0 DIADHN COc1ccc(C(=O)C2CCN(Cc3cccc(C)c3)CC2)cc1 ZINC000054886747 1052523683 /nfs/dbraw/zinc/52/36/83/1052523683.db2.gz AAKQBSGTEVEOPA-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1cn(C2CC2)cn1 ZINC001649025657 1052533970 /nfs/dbraw/zinc/53/39/70/1052533970.db2.gz VDXMJTKPWVXEEA-NSHDSACASA-N 0 3 324.255 4.246 20 0 DIADHN Cc1cc(C)cc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001222775092 1052534281 /nfs/dbraw/zinc/53/42/81/1052534281.db2.gz MAXFSIAOZMHBPQ-QUCCMNQESA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CN(C)Cc2ccsc2)c(-c2ccnn2C)c1 ZINC000670261759 1052539306 /nfs/dbraw/zinc/53/93/06/1052539306.db2.gz LFFNTPOUUINCDE-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001222853966 1052540140 /nfs/dbraw/zinc/54/01/40/1052540140.db2.gz IWCSWBHMWPMCOL-UYAOXDASSA-N 0 3 324.468 4.441 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)Nc1cc(Cl)ccc1F ZINC000107070414 1052543738 /nfs/dbraw/zinc/54/37/38/1052543738.db2.gz XBPCRLJVNILAOK-RYUDHWBXSA-N 0 3 320.795 4.157 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)NC[C@@H](C)C(C)(C)C)n2)c1 ZINC000670270140 1052545063 /nfs/dbraw/zinc/54/50/63/1052545063.db2.gz ZXLOSUMPPHINRS-CHWSQXEVSA-N 0 3 317.433 4.078 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc(-c2cccc(F)c2)no1)C(C)(C)C ZINC000670269575 1052546227 /nfs/dbraw/zinc/54/62/27/1052546227.db2.gz QIHJVFJDTGMZTC-NEPJUHHUSA-N 0 3 305.397 4.209 20 0 DIADHN CCc1cccc(NC(=S)Nc2cccc(CN(C)C)c2)c1 ZINC000916010465 1052581673 /nfs/dbraw/zinc/58/16/73/1052581673.db2.gz DDQTWHKAFQAGLV-UHFFFAOYSA-N 0 3 313.470 4.120 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](O[C@@H]2CCc3ccccc32)C1 ZINC001223419724 1052599051 /nfs/dbraw/zinc/59/90/51/1052599051.db2.gz JEVNJGGCBYSDOK-PLMTUMEDSA-N 0 3 322.452 4.311 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H]1CCc2ccc(Cl)cc21 ZINC000763395636 1052622488 /nfs/dbraw/zinc/62/24/88/1052622488.db2.gz NBFWSEGIVQEMNT-ROUUACIJSA-N 0 3 313.832 4.093 20 0 DIADHN C=C(O[C@@H]1CCCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223639628 1052622798 /nfs/dbraw/zinc/62/27/98/1052622798.db2.gz SXPUVLBZUFSXPH-QFBILLFUSA-N 0 3 308.425 4.295 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000763396477 1052623257 /nfs/dbraw/zinc/62/32/57/1052623257.db2.gz UPAIPLDOTDDHQZ-XIKOKIGWSA-N 0 3 301.817 4.170 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000763396475 1052623307 /nfs/dbraw/zinc/62/33/07/1052623307.db2.gz UPAIPLDOTDDHQZ-SGTLLEGYSA-N 0 3 301.817 4.170 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000763409585 1052624963 /nfs/dbraw/zinc/62/49/63/1052624963.db2.gz IVBJYYJDSXUDLB-NXOUGTEYSA-N 0 3 317.379 4.054 20 0 DIADHN FC(F)Oc1ccccc1CNCCSc1ccccc1 ZINC000037854594 1052629656 /nfs/dbraw/zinc/62/96/56/1052629656.db2.gz IXSHPXOHFRSKJJ-UHFFFAOYSA-N 0 3 309.381 4.170 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2cccc(O)c2)c2ccccc2n1 ZINC000124763907 1052643714 /nfs/dbraw/zinc/64/37/14/1052643714.db2.gz XHNYOFUUMOIHFK-HNNXBMFYSA-N 0 3 306.409 4.442 20 0 DIADHN Cc1cc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)no1 ZINC000124870059 1052643749 /nfs/dbraw/zinc/64/37/49/1052643749.db2.gz RJSIUCOKBXFMAW-INIZCTEOSA-N 0 3 306.409 4.293 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000125820045 1052655106 /nfs/dbraw/zinc/65/51/06/1052655106.db2.gz XXGLCCSREBFYPD-INIZCTEOSA-N 0 3 308.425 4.024 20 0 DIADHN C[C@H](O[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccc(F)cc1 ZINC001224008406 1052659779 /nfs/dbraw/zinc/65/97/79/1052659779.db2.gz CLJIYGKEXSHZTH-RLFYNMQTSA-N 0 3 314.404 4.134 20 0 DIADHN Fc1c(Cl)cccc1CN1C[C@@H]2CNc3ccccc3[C@H]2C1 ZINC001224118368 1052670006 /nfs/dbraw/zinc/67/00/06/1052670006.db2.gz ILMUVMBOBWPATD-ZFWWWQNUSA-N 0 3 316.807 4.120 20 0 DIADHN Fc1cccc(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)c1Cl ZINC001224118483 1052670428 /nfs/dbraw/zinc/67/04/28/1052670428.db2.gz JRHYODJJQIRAKR-ZFWWWQNUSA-N 0 3 316.807 4.120 20 0 DIADHN Fc1cc(Cl)ccc1CN1C[C@@H]2CNc3ccccc3[C@H]2C1 ZINC001224120093 1052670451 /nfs/dbraw/zinc/67/04/51/1052670451.db2.gz ZPDPEEILBWSDDJ-BBRMVZONSA-N 0 3 316.807 4.120 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccccc1Cl)c1ccccc1F ZINC000917012640 1052680603 /nfs/dbraw/zinc/68/06/03/1052680603.db2.gz KDCGFLMQQAPETA-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2cccc(Cl)c2)cn1 ZINC000126844083 1052682364 /nfs/dbraw/zinc/68/23/64/1052682364.db2.gz IOEPUISBKRULSD-AWEZNQCLSA-N 0 3 317.864 4.432 20 0 DIADHN Cc1cccnc1CN(CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000127014972 1052685396 /nfs/dbraw/zinc/68/53/96/1052685396.db2.gz JDEOIYORNXWVMU-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1C(C)C)c1ccccc1 ZINC000066109905 1052685497 /nfs/dbraw/zinc/68/54/97/1052685497.db2.gz JNYXIXSWWYSENS-SFHVURJKSA-N 0 3 310.441 4.489 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1C(C)C)c1ccccc1 ZINC000066109906 1052685797 /nfs/dbraw/zinc/68/57/97/1052685797.db2.gz JNYXIXSWWYSENS-GOSISDBHSA-N 0 3 310.441 4.489 20 0 DIADHN C[C@H](NCCCn1cccn1)c1cc(F)c(Cl)cc1Cl ZINC000127096642 1052687971 /nfs/dbraw/zinc/68/79/71/1052687971.db2.gz FGJDCJNKYMPFGS-JTQLQIEISA-N 0 3 316.207 4.070 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OCC2CCC(C)CC2)C1 ZINC001224314971 1052688158 /nfs/dbraw/zinc/68/81/58/1052688158.db2.gz SFVRNQWVQTUBDF-CHPDAQJUSA-N 0 3 316.489 4.450 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@@H](O)c2ccc3ccccc3c2)on1 ZINC000917063442 1052688858 /nfs/dbraw/zinc/68/88/58/1052688858.db2.gz DMQCOHMCTMYULI-RTBURBONSA-N 0 3 322.408 4.007 20 0 DIADHN CN(CCCOc1cccc(Cl)c1)C[C@H]1CC1(Cl)Cl ZINC000917085879 1052697353 /nfs/dbraw/zinc/69/73/53/1052697353.db2.gz ARAPWGDCHSCYJE-LLVKDONJSA-N 0 3 322.663 4.235 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1ccccc1-n1ccc(C)n1 ZINC000127574345 1052698645 /nfs/dbraw/zinc/69/86/45/1052698645.db2.gz FCOPECSZKGIGND-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN COc1ccc(CNCc2ccc(C)c(Br)c2)cc1 ZINC000127837496 1052702816 /nfs/dbraw/zinc/70/28/16/1052702816.db2.gz FERYVGWXQQIUFA-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1ccns1 ZINC000925434011 1052702846 /nfs/dbraw/zinc/70/28/46/1052702846.db2.gz DMVSRBBJRBSJBN-RWSFTLGLSA-N 0 3 310.850 4.223 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1nccs1 ZINC000127889198 1052703373 /nfs/dbraw/zinc/70/33/73/1052703373.db2.gz CMSCTFRFZZKRPU-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN C[C@]1(CNCc2nc(-c3ccccc3)cs2)CCCS1 ZINC000127882671 1052703633 /nfs/dbraw/zinc/70/36/33/1052703633.db2.gz LUWPMOPYSCNVOW-MRXNPFEDSA-N 0 3 304.484 4.185 20 0 DIADHN C[C@@H](NC1CC2(C1)COC(C)(C)C2)c1nc2c(s1)CCCC2 ZINC000925437345 1052720861 /nfs/dbraw/zinc/72/08/61/1052720861.db2.gz BOAKFQFVOGMCQQ-WHENESEISA-N 0 3 320.502 4.020 20 0 DIADHN CN(C)c1ccc(CNCc2ccccc2-c2ccccc2)cn1 ZINC000917394705 1052723809 /nfs/dbraw/zinc/72/38/09/1052723809.db2.gz PBIONGGNVJWSHH-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN Cc1ccccc1CCO[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001224870671 1052731673 /nfs/dbraw/zinc/73/16/73/1052731673.db2.gz NKTBPEOPBZJXDV-ICSRJNTNSA-N 0 3 324.468 4.175 20 0 DIADHN Cc1cccc(CCO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001224872443 1052732437 /nfs/dbraw/zinc/73/24/37/1052732437.db2.gz IQGSWYWOOLTXJT-UYAOXDASSA-N 0 3 324.468 4.175 20 0 DIADHN Cc1cc2cc([C@@H](C)NC[C@@H](O)c3ccsc3)oc2cc1C ZINC000154437450 1052734581 /nfs/dbraw/zinc/73/45/81/1052734581.db2.gz ATZIOSBFKGEYIW-CZUORRHYSA-N 0 3 315.438 4.495 20 0 DIADHN C[C@H](CCO[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001224994649 1052740942 /nfs/dbraw/zinc/74/09/42/1052740942.db2.gz LNPOFIXLUCAXRY-WSTZPKSXSA-N 0 3 324.468 4.427 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OCC2=CCCCC2)C1 ZINC001224996064 1052741446 /nfs/dbraw/zinc/74/14/46/1052741446.db2.gz BKKWULXCAGPPFN-SJLPKXTDSA-N 0 3 300.446 4.124 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1ccc(Cl)cc1Cl ZINC000154505343 1052753971 /nfs/dbraw/zinc/75/39/71/1052753971.db2.gz MFHHDRDGSOHEQP-YMTOWFKASA-N 0 3 316.253 4.439 20 0 DIADHN CC[C@H]1CCC[C@@H](NCc2csc(-c3ccccn3)n2)C1 ZINC000068589871 1052754096 /nfs/dbraw/zinc/75/40/96/1052754096.db2.gz AWJFFKVCCLDEKE-UONOGXRCSA-N 0 3 301.459 4.264 20 0 DIADHN CCN1CCC[C@H](Oc2ccccc2OCc2ccccc2)C1 ZINC001225217119 1052757124 /nfs/dbraw/zinc/75/71/24/1052757124.db2.gz YLZAISLBPXXJJF-SFHVURJKSA-N 0 3 311.425 4.129 20 0 DIADHN CC(C)c1cccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001225239402 1052761099 /nfs/dbraw/zinc/76/10/99/1052761099.db2.gz BXLIMZNACQJHKO-VQIMIIECSA-N 0 3 310.441 4.419 20 0 DIADHN COc1ccc(C)cc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001225322216 1052773809 /nfs/dbraw/zinc/77/38/09/1052773809.db2.gz BVSLUHKIIKXDOM-GOSISDBHSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N(C)Cc1cccc(C(N)=O)c1 ZINC000918191681 1052786559 /nfs/dbraw/zinc/78/65/59/1052786559.db2.gz AQXYDLWHLRTTIJ-HNNXBMFYSA-N 0 3 318.420 4.132 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@H](C)c2ccccc2)c1 ZINC000129414675 1052788744 /nfs/dbraw/zinc/78/87/44/1052788744.db2.gz AXVBEBRZRMMQTK-JKSUJKDBSA-N 0 3 310.441 4.066 20 0 DIADHN Cc1ccc2[nH]ccc2c1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001225438163 1052789620 /nfs/dbraw/zinc/78/96/20/1052789620.db2.gz UVWCCYNTNNNOKT-HZPDHXFCSA-N 0 3 321.424 4.086 20 0 DIADHN c1cc2cc(OC3CCN(Cc4ccccc4)CC3)cnc2s1 ZINC001225452270 1052790887 /nfs/dbraw/zinc/79/08/87/1052790887.db2.gz VQIVFZITHJDLIE-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CN(CCc1cscn1)Cc1cc(Cl)cc(Cl)c1 ZINC000556104710 1052799388 /nfs/dbraw/zinc/79/93/88/1052799388.db2.gz IVIGAFOUQKKRDG-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN CC(C)c1ncccc1OC1CCN(Cc2ccccc2)CC1 ZINC001225523745 1052799637 /nfs/dbraw/zinc/79/96/37/1052799637.db2.gz JDVPKSIAVNKBKF-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)c1ncccc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001225524135 1052799930 /nfs/dbraw/zinc/79/99/30/1052799930.db2.gz KJWRDAFYXLGMCC-SFHVURJKSA-N 0 3 310.441 4.248 20 0 DIADHN CC(=O)c1ccccc1O[C@@H]1CCN(Cc2ccccc2)C[C@H]1C ZINC001225573736 1052804134 /nfs/dbraw/zinc/80/41/34/1052804134.db2.gz IVTFVDFJMJYQBQ-OXQOHEQNSA-N 0 3 323.436 4.179 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](C)c1ccncc1F ZINC000556120237 1052804717 /nfs/dbraw/zinc/80/47/17/1052804717.db2.gz MLPVLZMZRQOHJA-KBPBESRZSA-N 0 3 304.434 4.442 20 0 DIADHN C[C@@H](c1ccncc1)N(C)CCSc1ccc(F)cc1F ZINC000660141569 1052809115 /nfs/dbraw/zinc/80/91/15/1052809115.db2.gz VOJPYJRVEKVGMP-LBPRGKRZSA-N 0 3 308.397 4.145 20 0 DIADHN CCCN(Cc1ccccc1)[C@H](C)C(=O)N1CCCC[C@H]1CC ZINC000556136748 1052809193 /nfs/dbraw/zinc/80/91/93/1052809193.db2.gz RJZZFVAUTOGHJU-IEBWSBKVSA-N 0 3 316.489 4.078 20 0 DIADHN C[C@@H](CCN[C@H](c1nnc[nH]1)c1ccc(F)cc1)CC(C)(C)C ZINC000556178682 1052817245 /nfs/dbraw/zinc/81/72/45/1052817245.db2.gz LKHOURISVKAQQQ-BBRMVZONSA-N 0 3 318.440 4.085 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)COc1cccc(F)c1 ZINC000918749293 1052829454 /nfs/dbraw/zinc/82/94/54/1052829454.db2.gz RLRCMSIHLRGLIA-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CC(=O)c1cccc(O[C@H]2CCN(Cc3ccccc3)C[C@H]2C)c1 ZINC001225814665 1052834283 /nfs/dbraw/zinc/83/42/83/1052834283.db2.gz QCCGFRQDFPKPOF-IERDGZPVSA-N 0 3 323.436 4.179 20 0 DIADHN Fc1ccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)c(F)c1 ZINC000556354044 1052842441 /nfs/dbraw/zinc/84/24/41/1052842441.db2.gz VOKDLURZDJTIED-HNNXBMFYSA-N 0 3 319.355 4.094 20 0 DIADHN C[C@H](NCCc1nc2c(s1)CCCC2)c1ccc(F)cc1F ZINC000498486542 1052842559 /nfs/dbraw/zinc/84/25/59/1052842559.db2.gz GMHGVUWWDHYARJ-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN Fc1cccc(O[C@H]2CCCN(Cc3ccccc3)C2)c1F ZINC001225922269 1052848111 /nfs/dbraw/zinc/84/81/11/1052848111.db2.gz HXERWFJXYALAOH-HNNXBMFYSA-N 0 3 303.352 4.008 20 0 DIADHN Fc1cc(F)c(Cl)c(OC2CCN(C3CC3)CC2)c1Cl ZINC001226000655 1052858195 /nfs/dbraw/zinc/85/81/95/1052858195.db2.gz ZVCBUHHVJUHJHU-UHFFFAOYSA-N 0 3 322.182 4.277 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(CC(F)(F)F)CC2)c1 ZINC000556506480 1052858473 /nfs/dbraw/zinc/85/84/73/1052858473.db2.gz VOBQEXKQLPJXGJ-GFCCVEGCSA-N 0 3 301.352 4.421 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc(C)cc2)cc1OC ZINC000071854580 1052861624 /nfs/dbraw/zinc/86/16/24/1052861624.db2.gz OWJWDVGJJSFQSN-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CC(=O)c1ccc2ccccc2c1O[C@H]1CCCN(C(C)C)C1 ZINC001226030045 1052862141 /nfs/dbraw/zinc/86/21/41/1052862141.db2.gz BMHZVVRAJAMSBG-KRWDZBQOSA-N 0 3 311.425 4.294 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000556609856 1052871495 /nfs/dbraw/zinc/87/14/95/1052871495.db2.gz VLWUFXJGCUTQME-IAGOWNOFSA-N 0 3 320.502 4.334 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H](Cc3ccccc3)C2)cn1 ZINC000556601907 1052871573 /nfs/dbraw/zinc/87/15/73/1052871573.db2.gz OITOELDKWCPGEK-GOSISDBHSA-N 0 3 323.484 4.229 20 0 DIADHN CC1=CC[C@H](Nc2cccc(CN3CCSCC3)c2)CC1 ZINC000556813266 1052885750 /nfs/dbraw/zinc/88/57/50/1052885750.db2.gz MLFKGVPHBLWBQN-KRWDZBQOSA-N 0 3 302.487 4.146 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000925471865 1052887452 /nfs/dbraw/zinc/88/74/52/1052887452.db2.gz BKXQJYXOTKVWJP-QWRGUYRKSA-N 0 3 308.809 4.063 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000925471861 1052887769 /nfs/dbraw/zinc/88/77/69/1052887769.db2.gz BKXQJYXOTKVWJP-GHMZBOCLSA-N 0 3 308.809 4.063 20 0 DIADHN CCc1cnc(CN[C@@H](C)CCc2ccc(F)cc2F)s1 ZINC000556870987 1052891603 /nfs/dbraw/zinc/89/16/03/1052891603.db2.gz QFWKJCYLWHXUTG-NSHDSACASA-N 0 3 310.413 4.095 20 0 DIADHN Cn1ccnc1[C@H](NC1CC(c2ccc(Cl)cc2)C1)C1CC1 ZINC000556911462 1052897403 /nfs/dbraw/zinc/89/74/03/1052897403.db2.gz NGJDGBZXWQBNRC-BDVYOWHSSA-N 0 3 315.848 4.060 20 0 DIADHN CC[C@@H](NC1(c2cccc(OC)c2)CC1)c1ccc(OC)cc1 ZINC000556915722 1052899242 /nfs/dbraw/zinc/89/92/42/1052899242.db2.gz TVOJXEWNAJCLMA-LJQANCHMSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC2(CCOCC2)[C@H]1C1CC1 ZINC000556950677 1052903270 /nfs/dbraw/zinc/90/32/70/1052903270.db2.gz KUUIHNSBEWUIAR-QGZVFWFLSA-N 0 3 305.849 4.039 20 0 DIADHN CC(C)(NCc1ccc2c(c1)COC2)c1ccc(F)c(F)c1 ZINC000763445839 1052904469 /nfs/dbraw/zinc/90/44/69/1052904469.db2.gz NCYBMRPJFBOQIJ-UHFFFAOYSA-N 0 3 303.352 4.020 20 0 DIADHN FC(F)(F)Oc1ccc(C2CCN(CC3(F)CC3)CC2)cc1 ZINC000556961214 1052905594 /nfs/dbraw/zinc/90/55/94/1052905594.db2.gz GJNDOODOBATOPB-UHFFFAOYSA-N 0 3 317.326 4.267 20 0 DIADHN C[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)[C@@H](C)C1CCCCC1 ZINC000557051641 1052917844 /nfs/dbraw/zinc/91/78/44/1052917844.db2.gz KOYBPABYZHZJAC-ZIAGYGMSSA-N 0 3 315.461 4.016 20 0 DIADHN Cc1ccc(N(C)C(=O)c2cccc(CN3CCCC3)c2)cc1C ZINC000920083521 1052918018 /nfs/dbraw/zinc/91/80/18/1052918018.db2.gz RNHIKRQWKJGHGG-UHFFFAOYSA-N 0 3 322.452 4.176 20 0 DIADHN c1ccc(CN2CCC(Oc3cnc4ccccc4c3)CC2)cc1 ZINC001226659878 1052919828 /nfs/dbraw/zinc/91/98/28/1052919828.db2.gz CCAZZZGRYHVHKZ-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN C[C@@H](c1ccccn1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930118851 1052920360 /nfs/dbraw/zinc/92/03/60/1052920360.db2.gz IRNNGZSDDAGUSD-YSVLISHTSA-N 0 3 302.368 4.123 20 0 DIADHN C[NH+](C)CC[C@H](Oc1cccc([O-])c1Cl)c1cccs1 ZINC001226712561 1052924384 /nfs/dbraw/zinc/92/43/84/1052924384.db2.gz SZVBHJDKSFIKBH-LBPRGKRZSA-N 0 3 311.834 4.179 20 0 DIADHN CCCCC(=O)c1ccc(OC2CCN(C(C)C)CC2)cc1 ZINC001226771961 1052929441 /nfs/dbraw/zinc/92/94/41/1052929441.db2.gz GZQINCIJCWIDIF-UHFFFAOYSA-N 0 3 303.446 4.311 20 0 DIADHN CCCCC(=O)c1ccc(O[C@@H]2[C@@H]3CCC[C@H]2CN(C)C3)cc1 ZINC001226772044 1052929566 /nfs/dbraw/zinc/92/95/66/1052929566.db2.gz KHMKTMLMUWUCQB-XEMDLRDOSA-N 0 3 315.457 4.169 20 0 DIADHN CCCCC(=O)c1ccc(O[C@H]2CCCN(C(C)C)C2)cc1 ZINC001226772348 1052929656 /nfs/dbraw/zinc/92/96/56/1052929656.db2.gz UMYBHWQQLZKZAR-SFHVURJKSA-N 0 3 303.446 4.311 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNCc1cccc(C)c1 ZINC000920413294 1052938550 /nfs/dbraw/zinc/93/85/50/1052938550.db2.gz UKKAQZNFRVGORP-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN Cc1cc(Cl)ccc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001226881736 1052941678 /nfs/dbraw/zinc/94/16/78/1052941678.db2.gz ZCUHJCVJBQYJQM-GOEBONIOSA-N 0 3 316.832 4.258 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC[C@H](c2ccnc(C)n2)C1 ZINC000649251931 1052949879 /nfs/dbraw/zinc/94/98/79/1052949879.db2.gz IUQNVVWFVVSPBA-HNNXBMFYSA-N 0 3 315.848 4.126 20 0 DIADHN CC1CCN([C@H](CNc2nccs2)c2cccs2)CC1 ZINC000920960357 1052956421 /nfs/dbraw/zinc/95/64/21/1052956421.db2.gz HLFDENWMTHABAK-CYBMUJFWSA-N 0 3 307.488 4.090 20 0 DIADHN CC(=O)c1cc(C)ccc1O[C@@H](CCN(C)C)c1cccs1 ZINC001227085923 1052959390 /nfs/dbraw/zinc/95/93/90/1052959390.db2.gz ZIDBTUVGIHVNAY-KRWDZBQOSA-N 0 3 317.454 4.331 20 0 DIADHN CC(=O)c1cc(C)ccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001227085256 1052959506 /nfs/dbraw/zinc/95/95/06/1052959506.db2.gz CTFGPNDANFGDNC-IBGZPJMESA-N 0 3 324.332 4.387 20 0 DIADHN Clc1cc(O[C@@H]2CCN(Cc3ccccc3)C2)cnc1Cl ZINC001227226644 1052974701 /nfs/dbraw/zinc/97/47/01/1052974701.db2.gz UDTBYRBVPCOKGB-CYBMUJFWSA-N 0 3 323.223 4.042 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1cc(Cl)cc(Cl)c1 ZINC000609440771 1052978869 /nfs/dbraw/zinc/97/88/69/1052978869.db2.gz OYGYKDSXZISMGE-JTQLQIEISA-N 0 3 318.244 4.203 20 0 DIADHN CC(=O)c1ccc(O[C@@H]2CCN(Cc3ccccc3)C[C@@H]2C)cc1 ZINC001227463473 1052993526 /nfs/dbraw/zinc/99/35/26/1052993526.db2.gz BGJKVOSUWZDGIQ-HRAATJIYSA-N 0 3 323.436 4.179 20 0 DIADHN COC(=O)c1cccc(CN2CCCCC[C@H]2c2ccco2)c1 ZINC000609916202 1052999391 /nfs/dbraw/zinc/99/93/91/1052999391.db2.gz HNLNIEKAJNMUCX-KRWDZBQOSA-N 0 3 313.397 4.184 20 0 DIADHN CCc1ccccc1O[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001227677957 1053007584 /nfs/dbraw/zinc/00/75/84/1053007584.db2.gz AWIIFVPUAFRRIV-WMZOPIPTSA-N 0 3 310.441 4.248 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cccc3c2CCCC3)C1 ZINC001227688196 1053008359 /nfs/dbraw/zinc/00/83/59/1053008359.db2.gz OPWSQSNSQGMPRM-FUHWJXTLSA-N 0 3 322.452 4.175 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(C)cc2)cc1 ZINC000615329791 1053013288 /nfs/dbraw/zinc/01/32/88/1053013288.db2.gz LRAGAFIEGRMRDV-JKSUJKDBSA-N 0 3 310.441 4.235 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(Cl)c2)cc1 ZINC000615329278 1053013326 /nfs/dbraw/zinc/01/33/26/1053013326.db2.gz GQBNTKJQXJQYGX-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1noc(C)c1CNCCOc1ccccc1-c1ccccc1 ZINC000237615934 1053015188 /nfs/dbraw/zinc/01/51/88/1053015188.db2.gz WEILEPOADZCHMK-UHFFFAOYSA-N 0 3 322.408 4.127 20 0 DIADHN Cc1nn(Cc2ccc(F)cc2)c(Cl)c1CNC1CCCC1 ZINC000237607604 1053015346 /nfs/dbraw/zinc/01/53/46/1053015346.db2.gz CABOHELEVVBNQI-UHFFFAOYSA-N 0 3 321.827 4.065 20 0 DIADHN CC(C)Oc1cc(-c2cc(CN(C)C)ccn2)ccc1Cl ZINC001236408601 1053016634 /nfs/dbraw/zinc/01/66/34/1053016634.db2.gz FXLCYEJTJDNBGU-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN Cc1ccc(C(C)C)c(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c1 ZINC001227946013 1053031204 /nfs/dbraw/zinc/03/12/04/1053031204.db2.gz VRMUBARYBJQROV-HDICACEKSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1cc2cc(CNC[C@@](C)(O)c3ccsc3)oc2cc1C ZINC000237909749 1053039247 /nfs/dbraw/zinc/03/92/47/1053039247.db2.gz QKDIWRJVUJTDMY-GOSISDBHSA-N 0 3 315.438 4.108 20 0 DIADHN CCCOc1ccccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001228183758 1053051161 /nfs/dbraw/zinc/05/11/61/1053051161.db2.gz XQBSFABNOYOPNQ-SFHVURJKSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1cc(O[C@H]2CC[C@H](N3CCOCC3)CC2)ccc1C(C)C ZINC001228199639 1053052347 /nfs/dbraw/zinc/05/23/47/1053052347.db2.gz NKVWUTHTPORKMM-IYARVYRRSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1ccc(O[C@H]2CCN(C(C)C)C2)c(C(=O)c2ccccc2)c1 ZINC001228224990 1053054542 /nfs/dbraw/zinc/05/45/42/1053054542.db2.gz PKPGAZDAIWJAMQ-SFHVURJKSA-N 0 3 323.436 4.088 20 0 DIADHN Cc1nc2ccc(OC3CCN(Cc4ccccc4)CC3)cc2o1 ZINC001228339015 1053078237 /nfs/dbraw/zinc/07/82/37/1053078237.db2.gz PXHOWUQTUVHORO-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CC(=O)c1ccc(C)c(O[C@H](CCN(C)C)c2ccccc2)c1 ZINC001228351206 1053081419 /nfs/dbraw/zinc/08/14/19/1053081419.db2.gz DYJWWFGFGOOLSU-LJQANCHMSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1nc2ccc(Nc3cnc(C(F)(F)F)cc3C)cc2[nH]1 ZINC001213070257 1053099359 /nfs/dbraw/zinc/09/93/59/1053099359.db2.gz HFUQTQSSMSCJPL-UHFFFAOYSA-N 0 3 306.291 4.337 20 0 DIADHN COC1CC(CN2CCC[C@H]2c2ccc(Br)cc2)C1 ZINC000859086948 1053118741 /nfs/dbraw/zinc/11/87/41/1053118741.db2.gz RIXLWABFAKSREV-MOQPWLNLSA-N 0 3 324.262 4.011 20 0 DIADHN CC[C@H](C(=O)Nc1cc(SC)ccc1Cl)N(CC)CC ZINC000617362571 1053126340 /nfs/dbraw/zinc/12/63/40/1053126340.db2.gz WSUQFXRFBOUSJI-CQSZACIVSA-N 0 3 314.882 4.121 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1cccc(Cl)c1)c1cccc(F)c1 ZINC001317892356 1053138457 /nfs/dbraw/zinc/13/84/57/1053138457.db2.gz RBHZNDZAOANAAS-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000353440469 1053141909 /nfs/dbraw/zinc/14/19/09/1053141909.db2.gz KINFXRSPHKDQCV-RDJZCZTQSA-N 0 3 303.837 4.427 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000353440463 1053142607 /nfs/dbraw/zinc/14/26/07/1053142607.db2.gz KINFXRSPHKDQCV-DOTOQJQBSA-N 0 3 303.837 4.427 20 0 DIADHN CC1(C)CC[C@@H](O)[C@H](NCc2ccc(F)c(Cl)c2Cl)C1 ZINC001560553927 1053150650 /nfs/dbraw/zinc/15/06/50/1053150650.db2.gz ACUKNQUFXSEPKD-VXGBXAGGSA-N 0 3 320.235 4.162 20 0 DIADHN Cc1nc(Cl)ccc1OC1CCN(Cc2ccccc2)CC1 ZINC001228702175 1053162478 /nfs/dbraw/zinc/16/24/78/1053162478.db2.gz LFLKWINPSDTWQI-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN COc1ccccc1C1(NCc2cccc3[nH]ccc32)CCC1 ZINC001318554908 1053175077 /nfs/dbraw/zinc/17/50/77/1053175077.db2.gz JJVVFVIKOAZWMW-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2C[C@H]3OCCC[C@@H]23)c1 ZINC000249845582 1053194416 /nfs/dbraw/zinc/19/44/16/1053194416.db2.gz OKMBMMGDJPAGCC-IACUBPJLSA-N 0 3 319.754 4.016 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Sc3ccccc3)cc2)CC[C@H]1O ZINC000249875415 1053195060 /nfs/dbraw/zinc/19/50/60/1053195060.db2.gz GUAXFAPFAILRJH-DNVCBOLYSA-N 0 3 313.466 4.041 20 0 DIADHN Fc1cc2[nH]ccc2cc1OC1CCN(Cc2ccccc2)CC1 ZINC001228883497 1053199072 /nfs/dbraw/zinc/19/90/72/1053199072.db2.gz FOTYGUBCHCCUAK-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN COc1ccnc(CN[C@@H]2CCc3cccc4cccc2c43)c1 ZINC001351591058 1053200050 /nfs/dbraw/zinc/20/00/50/1053200050.db2.gz LDAVUGIVRHOOBZ-LJQANCHMSA-N 0 3 304.393 4.021 20 0 DIADHN C[C@H](NCC(C)(C)c1c(Cl)cccc1Cl)c1cnccn1 ZINC000089551499 1053205146 /nfs/dbraw/zinc/20/51/46/1053205146.db2.gz NOPNIZBUJWAZEA-NSHDSACASA-N 0 3 324.255 4.412 20 0 DIADHN Cc1ccc(C[C@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000181432983 1053208272 /nfs/dbraw/zinc/20/82/72/1053208272.db2.gz ZXPDWBOVOJNYCR-GOEBONIOSA-N 0 3 318.376 4.191 20 0 DIADHN CN1CCc2cc(O[C@@H](c3ccccc3)C(F)(F)F)ccc2C1 ZINC001228936642 1053208546 /nfs/dbraw/zinc/20/85/46/1053208546.db2.gz KTNSRECNAHUINP-KRWDZBQOSA-N 0 3 321.342 4.357 20 0 DIADHN CN1CCc2cc(O[C@@H]3CCc4c3cc(F)cc4F)ccc2C1 ZINC001228945950 1053212056 /nfs/dbraw/zinc/21/20/56/1053212056.db2.gz YMSLSOMWVKUMKB-LJQANCHMSA-N 0 3 315.363 4.019 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc(-c3ccoc3)c2)c1 ZINC000089718698 1053212600 /nfs/dbraw/zinc/21/26/00/1053212600.db2.gz SFYAIUZNGKIYAL-UHFFFAOYSA-N 0 3 320.392 4.261 20 0 DIADHN Fc1ccc([C@@H]2CCN2CCCc2ccc3c(c2)CCO3)cc1 ZINC001474734584 1053215740 /nfs/dbraw/zinc/21/57/40/1053215740.db2.gz BYEMVURKTOKBDJ-IBGZPJMESA-N 0 3 311.400 4.140 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nnc(-c2cc(C)oc2C)o1)C1CC1 ZINC000089893188 1053221070 /nfs/dbraw/zinc/22/10/70/1053221070.db2.gz IAEKTKPQTNLBGS-YPMHNXCESA-N 0 3 317.433 4.345 20 0 DIADHN Cc1scc(CN[C@@H]2CCCc3cnn(C(C)C)c32)c1C ZINC001351781847 1053224141 /nfs/dbraw/zinc/22/41/41/1053224141.db2.gz NMQYLZGFICUEEI-MRXNPFEDSA-N 0 3 303.475 4.310 20 0 DIADHN CC(C)(C)C(=O)CCN1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000801591114 1053227471 /nfs/dbraw/zinc/22/74/71/1053227471.db2.gz AACUTAAFOBOOOQ-LBPRGKRZSA-N 0 3 305.384 4.046 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cs1 ZINC000381683301 1053231349 /nfs/dbraw/zinc/23/13/49/1053231349.db2.gz DKVHAWGOBBKGJI-MNOVXSKESA-N 0 3 301.415 4.050 20 0 DIADHN Fc1cccc2c1CC[C@H]2N1CCC(Nc2ccccc2)CC1 ZINC001319387064 1053239266 /nfs/dbraw/zinc/23/92/66/1053239266.db2.gz ORYHPOUNLZKZQN-HXUWFJFHSA-N 0 3 310.416 4.390 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N(C)c1ccccc1-c1ccccc1 ZINC001351916701 1053243393 /nfs/dbraw/zinc/24/33/93/1053243393.db2.gz BSAMXKMEWYZPKG-HXUWFJFHSA-N 0 3 322.452 4.189 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccco1 ZINC000090943620 1053248051 /nfs/dbraw/zinc/24/80/51/1053248051.db2.gz MDHWUHCHQLXCRV-RYUDHWBXSA-N 0 3 308.784 4.002 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1O)c1cc(F)c(Cl)cc1Cl ZINC000251830858 1053248150 /nfs/dbraw/zinc/24/81/50/1053248150.db2.gz ZSEUXGWMNFQBGU-MCCGJVOXSA-N 0 3 306.208 4.087 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2cc3ccccc3nc2Cl)C1 ZINC001229128784 1053252761 /nfs/dbraw/zinc/25/27/61/1053252761.db2.gz DBZCFBFQMYPRNH-CQSZACIVSA-N 0 3 304.821 4.140 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CC[C@@H]3CCCC[C@@H]3C2)c1 ZINC001319681767 1053264928 /nfs/dbraw/zinc/26/49/28/1053264928.db2.gz JEXKIUWFDIETOH-UONOGXRCSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCOc1ccccc1F ZINC000252370665 1053264918 /nfs/dbraw/zinc/26/49/18/1053264918.db2.gz YPFFGQVTFICSCN-GFCCVEGCSA-N 0 3 309.331 4.176 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC001319783650 1053273469 /nfs/dbraw/zinc/27/34/69/1053273469.db2.gz TZMNIOPHJPSOSD-DLBZAZTESA-N 0 3 310.441 4.186 20 0 DIADHN CC[C@H](NCC[C@@H](C)[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000252728950 1053275825 /nfs/dbraw/zinc/27/58/25/1053275825.db2.gz DNAZFSZCPDZDKJ-UOTLPGLASA-N 0 3 322.301 4.191 20 0 DIADHN Clc1cnc(O[C@@H]2CCN(Cc3ccccc3)C2)cc1Cl ZINC001229221088 1053277554 /nfs/dbraw/zinc/27/75/54/1053277554.db2.gz NLERMOHMWWWBQA-CYBMUJFWSA-N 0 3 323.223 4.042 20 0 DIADHN Cc1c(Br)cccc1CN(C)[C@H](C)c1ccncc1 ZINC000091989180 1053278852 /nfs/dbraw/zinc/27/88/52/1053278852.db2.gz JYZMGQZJHYZRQT-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN CN1CCC[C@H](NCc2cnc3ccccc3c2)c2ccccc21 ZINC000449655534 1053283194 /nfs/dbraw/zinc/28/31/94/1053283194.db2.gz ZHCFMKFMCNIUKV-FQEVSTJZSA-N 0 3 317.436 4.296 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(CF)cc2)cc1OC ZINC001319874206 1053283628 /nfs/dbraw/zinc/28/36/28/1053283628.db2.gz YNNBYNWZCHGWEY-UHFFFAOYSA-N 0 3 317.404 4.195 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCC[S@](=O)C(C)(C)C ZINC000181764918 1053289007 /nfs/dbraw/zinc/28/90/07/1053289007.db2.gz CTESISWSVHNPGV-XMHCIUCPSA-N 0 3 321.486 4.193 20 0 DIADHN C[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1cccs1 ZINC001229306707 1053295609 /nfs/dbraw/zinc/29/56/09/1053295609.db2.gz PGCNWVQLRMTCPR-CYBMUJFWSA-N 0 3 303.427 4.023 20 0 DIADHN CCOc1ccc2c(c1)CN(Cc1cccc3cnccc31)CC2 ZINC001320019178 1053295783 /nfs/dbraw/zinc/29/57/83/1053295783.db2.gz GEEMTHNCKWKTNF-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN CO[C@H](CN[C@@H]1CCc2c1c(F)ccc2F)c1ccc(F)cc1 ZINC001320024887 1053295831 /nfs/dbraw/zinc/29/58/31/1053295831.db2.gz DZTHWLUEJWPVBJ-IAGOWNOFSA-N 0 3 321.342 4.069 20 0 DIADHN Cc1nc(C(C)(C)NCCc2ccc(F)cc2F)sc1C ZINC001320103754 1053306252 /nfs/dbraw/zinc/30/62/52/1053306252.db2.gz NSPJRKLKQSKVTC-UHFFFAOYSA-N 0 3 310.413 4.106 20 0 DIADHN CC(C)(CNCc1ccn(-c2ccccc2)n1)c1ccccc1F ZINC001200002277 1053311639 /nfs/dbraw/zinc/31/16/39/1053311639.db2.gz VTIBOGXVUGYQJQ-UHFFFAOYSA-N 0 3 323.415 4.079 20 0 DIADHN COc1ccc(CNCC2(c3c(F)cccc3F)CCCC2)o1 ZINC001200009664 1053313905 /nfs/dbraw/zinc/31/39/05/1053313905.db2.gz IFNKSHTUNPXYEG-UHFFFAOYSA-N 0 3 321.367 4.168 20 0 DIADHN CN(C)c1cc(CN2C[C@@H]3CCCC[C@]32c2ccccc2)ccn1 ZINC001320221669 1053315219 /nfs/dbraw/zinc/31/52/19/1053315219.db2.gz XLFDZHNTFVAHAA-FPOVZHCZSA-N 0 3 321.468 4.049 20 0 DIADHN CCCN(Cc1ccc(CO)o1)[C@H](C)c1cc2ccccc2o1 ZINC000092470573 1053324988 /nfs/dbraw/zinc/32/49/88/1053324988.db2.gz NCPJAKZEXZIDGF-CQSZACIVSA-N 0 3 313.397 4.491 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2ccccn2)C1(C)C ZINC001320508524 1053340393 /nfs/dbraw/zinc/34/03/93/1053340393.db2.gz AAQDIUIGHLKIQM-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cc(C)ccc32)ccc1Cl ZINC001200067906 1053349202 /nfs/dbraw/zinc/34/92/02/1053349202.db2.gz PMQSWZMHZQSGAB-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN Fc1ccc(CCN(CCC(F)(F)F)CC2CC2)c(F)c1 ZINC001320841748 1053365327 /nfs/dbraw/zinc/36/53/27/1053365327.db2.gz AOXOAVLNNGNOGV-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000554998029 1053402139 /nfs/dbraw/zinc/40/21/39/1053402139.db2.gz GZQXVNQOQJXDPZ-KXUCPTDWSA-N 0 3 307.768 4.015 20 0 DIADHN CC(C)(NCc1nnc(-c2cccc(F)c2)o1)C1CCCCC1 ZINC001320896423 1053371722 /nfs/dbraw/zinc/37/17/22/1053371722.db2.gz OOBIEINTBBWKJL-UHFFFAOYSA-N 0 3 317.408 4.324 20 0 DIADHN CC(C)(NCc1nc(-c2cccs2)no1)C1CCCCC1 ZINC001320896098 1053373362 /nfs/dbraw/zinc/37/33/62/1053373362.db2.gz KICXYUKIEHEDSN-UHFFFAOYSA-N 0 3 305.447 4.247 20 0 DIADHN Oc1cc(/C=C/c2ccccc2)cc(O[C@@H]2CN3CCC2CC3)c1 ZINC001229670048 1053379517 /nfs/dbraw/zinc/37/95/17/1053379517.db2.gz GNTVRHZHOONBPU-IRUYWQDXSA-N 0 3 321.420 4.036 20 0 DIADHN CN(C)Cc1ccccc1O[C@@H](c1ccccc1)c1ccccn1 ZINC001229701929 1053387610 /nfs/dbraw/zinc/38/76/10/1053387610.db2.gz MRFVGJQRYZEDTE-NRFANRHFSA-N 0 3 318.420 4.312 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1)c1nc2c(s1)CCCC2 ZINC000093368266 1053396121 /nfs/dbraw/zinc/39/61/21/1053396121.db2.gz FXQANSOBPHUSQR-LBPRGKRZSA-N 0 3 304.434 4.054 20 0 DIADHN Cn1cc(-c2ccc(CN[C@H]3CCc4ccc(F)cc43)cc2)cn1 ZINC001321500356 1053416546 /nfs/dbraw/zinc/41/65/46/1053416546.db2.gz HNADLMAWLMOOPH-FQEVSTJZSA-N 0 3 321.399 4.003 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001435045894 1053426723 /nfs/dbraw/zinc/42/67/23/1053426723.db2.gz RYZQUDNHIFRDLF-FUHWJXTLSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1ccc(NC(=O)CCC[Si](C)(C)C)c(CN(C)C)c1 ZINC000847494625 1053435418 /nfs/dbraw/zinc/43/54/18/1053435418.db2.gz GWXHNVXGPDREAL-UHFFFAOYSA-N 0 3 306.526 4.114 20 0 DIADHN C[C@@H](C(=O)N1CCN(C2CCC(C)CC2)CC1)[C@H](C)C(C)(C)C ZINC001435171775 1053462968 /nfs/dbraw/zinc/46/29/68/1053462968.db2.gz YRWWNOWLILHSJN-UMQBVGHOSA-N 0 3 322.537 4.028 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccccc2N(C)C)cc1F ZINC000093941611 1053464972 /nfs/dbraw/zinc/46/49/72/1053464972.db2.gz UHBOYPXXKNMQNE-ZIAGYGMSSA-N 0 3 316.420 4.312 20 0 DIADHN CC(C)n1ncc2cc(CN3CC[C@H]4CCCC[C@@H]4C3)cnc21 ZINC001322235092 1053472481 /nfs/dbraw/zinc/47/24/81/1053472481.db2.gz DPOXEGWZGYCEBR-IAGOWNOFSA-N 0 3 312.461 4.024 20 0 DIADHN CC[C@H](C)c1nnc([C@@H]2CCCN(Cc3cccc(F)c3)C2)o1 ZINC001322255615 1053473955 /nfs/dbraw/zinc/47/39/55/1053473955.db2.gz INRKBKZZVULLDL-DZGCQCFKSA-N 0 3 317.408 4.102 20 0 DIADHN CC(C)C1CCC(N2CCN(C/C(Cl)=C\Cl)CC2)CC1 ZINC000763566823 1053488706 /nfs/dbraw/zinc/48/87/06/1053488706.db2.gz WJHYMLYBHHNVIT-RVDMUPIBSA-N 0 3 319.320 4.138 20 0 DIADHN CC[C@H](C)CNC(=S)Nc1ccccc1CN1CCCCC1 ZINC001200258804 1053504320 /nfs/dbraw/zinc/50/43/20/1053504320.db2.gz IIONONICCLBZQW-HNNXBMFYSA-N 0 3 319.518 4.005 20 0 DIADHN CC1(C)CC[C@@H](NCc2ccc3oc(=O)oc3c2)c2ccccc21 ZINC001200257465 1053504350 /nfs/dbraw/zinc/50/43/50/1053504350.db2.gz ZBJOFYNFLIIZLQ-MRXNPFEDSA-N 0 3 323.392 4.288 20 0 DIADHN CC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000182754900 1053510648 /nfs/dbraw/zinc/51/06/48/1053510648.db2.gz YMTRZPIWXZVNTG-JJRVBVJISA-N 0 3 322.408 4.169 20 0 DIADHN C[C@@H](CNCc1nc(C(F)(F)F)cs1)Cc1ccccc1 ZINC000152683447 1053514395 /nfs/dbraw/zinc/51/43/95/1053514395.db2.gz VEVBNSIULQAAFM-LLVKDONJSA-N 0 3 314.376 4.130 20 0 DIADHN c1cc2c(cccc2CNCc2ccccc2OCC2CC2)[nH]1 ZINC000152754032 1053524208 /nfs/dbraw/zinc/52/42/08/1053524208.db2.gz QUSDYJICVYVISU-UHFFFAOYSA-N 0 3 306.409 4.247 20 0 DIADHN FC(F)(F)Oc1cccc(CNCc2ccc3c(c2)CCC3)c1 ZINC001322602682 1053524813 /nfs/dbraw/zinc/52/48/13/1053524813.db2.gz YHSZQJQJWQTJME-UHFFFAOYSA-N 0 3 321.342 4.364 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@@H](C)c1ccc(F)cc1F ZINC001322630295 1053528951 /nfs/dbraw/zinc/52/89/51/1053528951.db2.gz PTCJCEFSINNZBO-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN Cc1cc(F)c(F)cc1OC1CCN(Cc2ccccc2)CC1 ZINC001230445490 1053539394 /nfs/dbraw/zinc/53/93/94/1053539394.db2.gz HKUIHDWHCMYYON-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN Cc1ccc(CN[C@H](C)COc2ccc(F)cc2)c(Cl)c1 ZINC001200315286 1053548819 /nfs/dbraw/zinc/54/88/19/1053548819.db2.gz WTRFQTIIVUHMRR-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1occc1CN[C@@H](C)CC(=O)Nc1ccccc1C(C)C ZINC000619766545 1053552717 /nfs/dbraw/zinc/55/27/17/1053552717.db2.gz USUOTXLWMXJEFR-AWEZNQCLSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1ccc(CNC(=S)NCCP(C(C)C)C(C)C)cc1 ZINC001200322489 1053554858 /nfs/dbraw/zinc/55/48/58/1053554858.db2.gz DXIXNMHOBIFDDT-UHFFFAOYSA-N 0 3 324.474 4.258 20 0 DIADHN CC(C)OC(=O)c1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000619783304 1053559236 /nfs/dbraw/zinc/55/92/36/1053559236.db2.gz QGJAINWYMPFADM-CYBMUJFWSA-N 0 3 303.427 4.164 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@H]1CCCC(F)(F)C1 ZINC001323351263 1053575932 /nfs/dbraw/zinc/57/59/32/1053575932.db2.gz ZHVMQJUZLFBCME-NSHDSACASA-N 0 3 302.796 4.074 20 0 DIADHN CN(CCc1ccccc1)Cc1csc(Br)c1 ZINC000404206802 1053596275 /nfs/dbraw/zinc/59/62/75/1053596275.db2.gz UPUWHCBOSZFQFS-UHFFFAOYSA-N 0 3 310.260 4.185 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)[nH]n1 ZINC001323779968 1053600095 /nfs/dbraw/zinc/60/00/95/1053600095.db2.gz KOSWOOQPVHFANL-AWEZNQCLSA-N 0 3 323.362 4.117 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)n[nH]1 ZINC001323779968 1053600106 /nfs/dbraw/zinc/60/01/06/1053600106.db2.gz KOSWOOQPVHFANL-AWEZNQCLSA-N 0 3 323.362 4.117 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C(CC)CC)C1CC1 ZINC000620119485 1053606903 /nfs/dbraw/zinc/60/69/03/1053606903.db2.gz FOLNOFZQMAODGW-UHFFFAOYSA-N 0 3 302.462 4.149 20 0 DIADHN C[C@H]([NH2+]Cc1ccc([O-])c(F)c1)c1c[nH]c2cc(F)ccc21 ZINC000153380326 1053607031 /nfs/dbraw/zinc/60/70/31/1053607031.db2.gz AINGKXCBXQWIGY-JTQLQIEISA-N 0 3 302.324 4.003 20 0 DIADHN CCC(CC)N(Cc1nc(-c2ccc(F)cc2)no1)C1CC1 ZINC000620119416 1053607747 /nfs/dbraw/zinc/60/77/47/1053607747.db2.gz BGGZECMHHOBEBX-UHFFFAOYSA-N 0 3 303.381 4.029 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)[C@@H]1CCOC(C)(C)C1 ZINC001323936819 1053611397 /nfs/dbraw/zinc/61/13/97/1053611397.db2.gz NVZUVWPPSFWHAE-ZIAGYGMSSA-N 0 3 322.518 4.047 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H]1CCCc2cnn(C(C)C)c21 ZINC001323966674 1053612821 /nfs/dbraw/zinc/61/28/21/1053612821.db2.gz GSMUCKYIDRSYHS-RTBURBONSA-N 0 3 309.457 4.427 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(Nc2ccccc2Cl)CC1 ZINC001324270730 1053639258 /nfs/dbraw/zinc/63/92/58/1053639258.db2.gz RSQJIQIAYOIPOE-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN FC(F)c1cccc(CNCc2c[nH]nc2-c2ccsc2)c1 ZINC001324272061 1053640070 /nfs/dbraw/zinc/64/00/70/1053640070.db2.gz ITWURCMPJVOGCS-UHFFFAOYSA-N 0 3 319.380 4.366 20 0 DIADHN Cc1ccc(CC2CCN([C@H](C)c3cnc(C)cn3)CC2)cc1 ZINC001324368562 1053647927 /nfs/dbraw/zinc/64/79/27/1053647927.db2.gz AZVZXUOZXVVCLL-QGZVFWFLSA-N 0 3 309.457 4.109 20 0 DIADHN Cc1ccc(CC2CCN([C@@H](C)c3cnc(C)cn3)CC2)cc1 ZINC001324368512 1053648584 /nfs/dbraw/zinc/64/85/84/1053648584.db2.gz AZVZXUOZXVVCLL-KRWDZBQOSA-N 0 3 309.457 4.109 20 0 DIADHN Cc1cnc2ccccc2c1N[C@@H]1CCCc2cn(C(C)C)nc21 ZINC001324394275 1053650109 /nfs/dbraw/zinc/65/01/09/1053650109.db2.gz VQWBWEYHLWBGMI-GOSISDBHSA-N 0 3 320.440 4.232 20 0 DIADHN CCN(CC(=O)Nc1ccccc1-c1ccccc1)C(C)(C)C ZINC001324438793 1053654750 /nfs/dbraw/zinc/65/47/50/1053654750.db2.gz UFDCQNLLZITEOS-UHFFFAOYSA-N 0 3 310.441 4.413 20 0 DIADHN Cc1ccc(CNCCN2c3ccccc3CC[C@@H]2C)c(F)c1 ZINC001200439644 1053663541 /nfs/dbraw/zinc/66/35/41/1053663541.db2.gz SWLULAGNJZEVJP-INIZCTEOSA-N 0 3 312.432 4.065 20 0 DIADHN C[C@H](C[NH2+][C@H](C)c1ccccc1[O-])Oc1ccccc1Cl ZINC000183613316 1053691461 /nfs/dbraw/zinc/69/14/61/1053691461.db2.gz GEGHDLBLNBLAAY-CHWSQXEVSA-N 0 3 305.805 4.164 20 0 DIADHN Fc1ccc(F)c(CNC[C@H]2CCc3ccccc32)c1Cl ZINC001200486684 1053697005 /nfs/dbraw/zinc/69/70/05/1053697005.db2.gz OQNUMHGOQVNLKL-GFCCVEGCSA-N 0 3 307.771 4.438 20 0 DIADHN Cc1cc(Cl)c(OC2CCN(C3CC3)CC2)c(Cl)c1 ZINC001231219576 1053704374 /nfs/dbraw/zinc/70/43/74/1053704374.db2.gz APYDTRZPFHIQQI-UHFFFAOYSA-N 0 3 300.229 4.307 20 0 DIADHN Clc1ccc(CNCCN2Cc3ccccc3C2)c(Cl)c1 ZINC000620755331 1053728297 /nfs/dbraw/zinc/72/82/97/1053728297.db2.gz PMSPYPGAGLEKIQ-UHFFFAOYSA-N 0 3 321.251 4.099 20 0 DIADHN C[C@H](COCC(F)(F)F)NCc1ccc(-c2ccccc2)o1 ZINC000620759392 1053733362 /nfs/dbraw/zinc/73/33/62/1053733362.db2.gz WYGZYOVLXXIOCK-GFCCVEGCSA-N 0 3 313.319 4.004 20 0 DIADHN O[C@H](CNC1c2ccccc2-c2ccccc21)c1ccccc1F ZINC000183711979 1053738257 /nfs/dbraw/zinc/73/82/57/1053738257.db2.gz VCGPAPLZDRCFKM-HXUWFJFHSA-N 0 3 319.379 4.219 20 0 DIADHN CC[C@@H](NCc1cccc2nccn21)c1ccc(Cl)s1 ZINC001200533476 1053743824 /nfs/dbraw/zinc/74/38/24/1053743824.db2.gz BQIGNWHHUDSGNK-GFCCVEGCSA-N 0 3 305.834 4.290 20 0 DIADHN CC(C)n1ncc2cc(CN(C)[C@@H](C)c3ccccc3)cnc21 ZINC000154727339 1053748098 /nfs/dbraw/zinc/74/80/98/1053748098.db2.gz OXGRGIBOZVLJLX-HNNXBMFYSA-N 0 3 308.429 4.205 20 0 DIADHN COc1ccc(C[C@H](C)NCc2cc(-c3ccccc3)on2)cc1 ZINC000154752598 1053748177 /nfs/dbraw/zinc/74/81/77/1053748177.db2.gz IXRWWSNKBKYSNX-HNNXBMFYSA-N 0 3 322.408 4.071 20 0 DIADHN c1csc(-c2ccc(CN3CC(N4CCCCC4)C3)cc2)c1 ZINC001231431376 1053751634 /nfs/dbraw/zinc/75/16/34/1053751634.db2.gz SCMIGCHHSPOALF-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN CC[C@](C)(NCc1cc2ccc(F)cc2[nH]c1=O)c1ccccc1 ZINC001200551443 1053755023 /nfs/dbraw/zinc/75/50/23/1053755023.db2.gz GYMOFLTTXCSOSG-FQEVSTJZSA-N 0 3 324.399 4.495 20 0 DIADHN CN(C)c1ccc2cc(CN3CC[C@@H](C(F)(F)F)C3)ccc2c1 ZINC001231442016 1053755750 /nfs/dbraw/zinc/75/57/50/1053755750.db2.gz IFTVNRRUHUVNCL-MRXNPFEDSA-N 0 3 322.374 4.290 20 0 DIADHN Fc1ccccc1OCCNCc1ccc(-c2ccccc2)o1 ZINC000620851318 1053759807 /nfs/dbraw/zinc/75/98/07/1053759807.db2.gz CZUQNDFNDONBPZ-UHFFFAOYSA-N 0 3 311.356 4.254 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CCc1ccc(F)cc1F ZINC001326162597 1053759988 /nfs/dbraw/zinc/75/99/88/1053759988.db2.gz MLEFKBNZQJZMBA-ZDUSSCGKSA-N 0 3 307.306 4.170 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(/C=C/c3ccccc3)cc2)C1 ZINC001231488499 1053766023 /nfs/dbraw/zinc/76/60/23/1053766023.db2.gz MGKJZQOAEXGESC-KBOKABMXSA-N 0 3 307.437 4.324 20 0 DIADHN Cc1ncccc1CN(CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000155213503 1053768835 /nfs/dbraw/zinc/76/88/35/1053768835.db2.gz MYUZHSFJDLMJBO-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN Cc1ncsc1CN(CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000155213951 1053770103 /nfs/dbraw/zinc/77/01/03/1053770103.db2.gz ZDGZROXEJVGCMD-MRXNPFEDSA-N 0 3 315.486 4.382 20 0 DIADHN CCc1ccc(CN2CC[C@@H](Oc3cccc(F)c3)C2)s1 ZINC001231503783 1053772245 /nfs/dbraw/zinc/77/22/45/1053772245.db2.gz OFSKHOBOSBCFTH-OAHLLOKOSA-N 0 3 305.418 4.103 20 0 DIADHN CCCc1noc(C2CCN(Cc3ccc(CC)s3)CC2)n1 ZINC001231502522 1053772567 /nfs/dbraw/zinc/77/25/67/1053772567.db2.gz KZKCZSLILGSXSY-UHFFFAOYSA-N 0 3 319.474 4.026 20 0 DIADHN COc1cc(Br)ccc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001231512856 1053777202 /nfs/dbraw/zinc/77/72/02/1053777202.db2.gz PIJFUPPIBPVTSK-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN CC(C)Oc1ccc(CN2CCC(c3ccccc3)CC2)cn1 ZINC001231533012 1053779172 /nfs/dbraw/zinc/77/91/72/1053779172.db2.gz CVRJREKQUURTAN-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN Cc1ccccc1C[N@H+](C)Cc1ccc([O-])c(C(F)(F)F)c1 ZINC001231524151 1053780712 /nfs/dbraw/zinc/78/07/12/1053780712.db2.gz GTOSOQZGXIYGNC-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1ccccc1C[N@@H+](C)Cc1ccc([O-])c(C(F)(F)F)c1 ZINC001231524151 1053780722 /nfs/dbraw/zinc/78/07/22/1053780722.db2.gz GTOSOQZGXIYGNC-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1ccc(CNC(C)(C)c2ccc(OCC(C)C)cc2)cn1 ZINC000155846197 1053790693 /nfs/dbraw/zinc/79/06/93/1053790693.db2.gz MXUCBLNDBYWNKH-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H]1CCCNc3ccccc31)CCC2 ZINC001326563893 1053791461 /nfs/dbraw/zinc/79/14/61/1053791461.db2.gz ZIUPKFKVIQQLEB-RTBURBONSA-N 0 3 324.472 4.378 20 0 DIADHN C#CCCCN[C@@H](c1ccco1)c1ccc(Br)cc1 ZINC000183826035 1053793720 /nfs/dbraw/zinc/79/37/20/1053793720.db2.gz OBMMDGLQTJQOMF-MRXNPFEDSA-N 0 3 318.214 4.135 20 0 DIADHN CCCCCC[C@H](CC)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001436158206 1053798021 /nfs/dbraw/zinc/79/80/21/1053798021.db2.gz PTWCSCSPWPCBIU-DLBZAZTESA-N 0 3 310.526 4.220 20 0 DIADHN COc1cc(F)ccc1CN(C)CCc1ccccc1Cl ZINC001231603853 1053801893 /nfs/dbraw/zinc/80/18/93/1053801893.db2.gz PREWEZPUJWSULV-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1cc(C)c(CN2CC(c3cccnc3)C2)cc1C(C)C ZINC001231627533 1053808128 /nfs/dbraw/zinc/80/81/28/1053808128.db2.gz DAAHTEKSRSYBFS-UHFFFAOYSA-N 0 3 310.441 4.121 20 0 DIADHN CC[C@H](N)C(=O)Nc1ccc(SC2CCCCC2)cc1C ZINC000156492677 1053814401 /nfs/dbraw/zinc/81/44/01/1053814401.db2.gz DARHPYKNYBDCJT-HNNXBMFYSA-N 0 3 306.475 4.096 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccc(Cl)s1)c1ccco1 ZINC000156557105 1053817451 /nfs/dbraw/zinc/81/74/51/1053817451.db2.gz QTOTXHZFRIEOFD-YPMHNXCESA-N 0 3 313.850 4.293 20 0 DIADHN Cc1sc2ccccc2c1CN1CCC[C@H]1c1cnccn1 ZINC001231649381 1053817598 /nfs/dbraw/zinc/81/75/98/1053817598.db2.gz NWEUIVUKOWLQRA-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN CCOc1ccc(CN2CCC(C)(c3ccccc3)CC2)cn1 ZINC001231655249 1053824062 /nfs/dbraw/zinc/82/40/62/1053824062.db2.gz BTWKAAXJTIYRIW-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN Cc1ccc([O-])c(C[NH+]2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC001231669652 1053829133 /nfs/dbraw/zinc/82/91/33/1053829133.db2.gz MUNONMCBNOXYRM-UHFFFAOYSA-N 0 3 316.832 4.129 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cc(Cl)sc2Cl)CCO1 ZINC000312771096 1053831743 /nfs/dbraw/zinc/83/17/43/1053831743.db2.gz RKVRAOGVDDFATL-WDEREUQCSA-N 0 3 308.274 4.348 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc2c(c1)CCC2 ZINC001327152702 1053838400 /nfs/dbraw/zinc/83/84/00/1053838400.db2.gz FTOIJJRAYKKRRD-SFHVURJKSA-N 0 3 311.400 4.100 20 0 DIADHN Cc1cc(Cl)cc(C)c1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC001327376211 1053858195 /nfs/dbraw/zinc/85/81/95/1053858195.db2.gz LYTVCKIWNWBDAZ-IHRRRGAJSA-N 0 3 308.853 4.157 20 0 DIADHN CSc1ccccc1CN1CC[C@@H](Oc2cccc(F)c2)C1 ZINC001231788013 1053860251 /nfs/dbraw/zinc/86/02/51/1053860251.db2.gz SUTGAVIHSDWFSE-QGZVFWFLSA-N 0 3 317.429 4.201 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001231799422 1053862348 /nfs/dbraw/zinc/86/23/48/1053862348.db2.gz RPINKWUOXAAXEC-APWZRJJASA-N 0 3 307.441 4.217 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001231798034 1053862488 /nfs/dbraw/zinc/86/24/88/1053862488.db2.gz JEBWYBUQZIZTBI-FUHWJXTLSA-N 0 3 307.441 4.074 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001231801988 1053864560 /nfs/dbraw/zinc/86/45/60/1053864560.db2.gz RWLVMBDRALVVSM-IEBWSBKVSA-N 0 3 321.468 4.464 20 0 DIADHN Fc1ccc(-c2nc(CNC3CC4(CC4(F)F)C3)cs2)cc1 ZINC001327843911 1053882826 /nfs/dbraw/zinc/88/28/26/1053882826.db2.gz DQOSJNSMNBKOBF-UHFFFAOYSA-N 0 3 324.371 4.227 20 0 DIADHN COc1ccccc1[C@H](C)NCc1ccc2nc(C)ccc2c1 ZINC000275670014 1053890863 /nfs/dbraw/zinc/89/08/63/1053890863.db2.gz XEFUHDRNHQBLJS-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1ccncc1F ZINC000671123451 1053902492 /nfs/dbraw/zinc/90/24/92/1053902492.db2.gz UDYJUJNRDGDJIO-WHOFXGATSA-N 0 3 304.796 4.471 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1ccnc(C(F)F)c1 ZINC001231888314 1053905134 /nfs/dbraw/zinc/90/51/34/1053905134.db2.gz FAYFODHFCWZYEJ-UHFFFAOYSA-N 0 3 310.775 4.347 20 0 DIADHN FC(F)c1cc(CN2CCC[C@@H](Cc3ccccc3)C2)ccn1 ZINC001231890117 1053907191 /nfs/dbraw/zinc/90/71/91/1053907191.db2.gz UIVZFMAJOPOORP-INIZCTEOSA-N 0 3 316.395 4.474 20 0 DIADHN COc1cccc2c(CN3CCc4cc(C)ccc4C3)c[nH]c21 ZINC001231898741 1053909118 /nfs/dbraw/zinc/90/91/18/1053909118.db2.gz ZHHZKNDXAABIEV-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1cccc2c(CN3CCC4(CC[C@H](F)C4)CC3)c[nH]c21 ZINC001231899917 1053909323 /nfs/dbraw/zinc/90/93/23/1053909323.db2.gz YNJVXWFXDUSRCY-HNNXBMFYSA-N 0 3 316.420 4.281 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CC3CCC2CC3)cn1 ZINC001231903331 1053912884 /nfs/dbraw/zinc/91/28/84/1053912884.db2.gz LOHRBBSRNPZZDL-UHFFFAOYSA-N 0 3 304.743 4.128 20 0 DIADHN Cc1cc(CN2CCC3(C=Cc4ccccc43)CC2)cnc1F ZINC001231925125 1053915323 /nfs/dbraw/zinc/91/53/23/1053915323.db2.gz LXSJSDYJJSHJFI-UHFFFAOYSA-N 0 3 308.400 4.090 20 0 DIADHN Brc1ccc(CN2CCCCCC2)c2ncccc12 ZINC001231953484 1053920014 /nfs/dbraw/zinc/92/00/14/1053920014.db2.gz RLUQNSZHPOCWJE-UHFFFAOYSA-N 0 3 319.246 4.373 20 0 DIADHN COCCC1CCN(Cc2ccc3ccnc(Cl)c3c2)CC1 ZINC001231978060 1053924872 /nfs/dbraw/zinc/92/48/72/1053924872.db2.gz YDQIEFOBXDOHIO-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CC(C)CN(Cc1ncc(Br)cc1F)CC(C)C ZINC001231987365 1053931276 /nfs/dbraw/zinc/93/12/76/1053931276.db2.gz SCVALWVKKULTIZ-UHFFFAOYSA-N 0 3 317.246 4.097 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4o3)CC2)cc(C)n1 ZINC001231995260 1053932684 /nfs/dbraw/zinc/93/26/84/1053932684.db2.gz KUSSFKHXSXXQJX-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1cc2c[nH]ccc-2n1 ZINC001232013744 1053939126 /nfs/dbraw/zinc/93/91/26/1053939126.db2.gz DOBHFDAYYZNYCW-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2cc3c[nH]ccc-3n2)c(F)c1 ZINC001232015069 1053939493 /nfs/dbraw/zinc/93/94/93/1053939493.db2.gz AEGNKJCHMMBTBJ-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2cc3cnccc3[nH]2)c(F)c1 ZINC001232015069 1053939507 /nfs/dbraw/zinc/93/95/07/1053939507.db2.gz AEGNKJCHMMBTBJ-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2Cc2cc3c[nH]ccc-3n2)c1 ZINC001232014612 1053942204 /nfs/dbraw/zinc/94/22/04/1053942204.db2.gz SLBUKXXHVBRVTE-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2Cc2cc3cnccc3[nH]2)c1 ZINC001232014612 1053942217 /nfs/dbraw/zinc/94/22/17/1053942217.db2.gz SLBUKXXHVBRVTE-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2cc3c[nH]ccc-3n2)c1 ZINC001232018152 1053942699 /nfs/dbraw/zinc/94/26/99/1053942699.db2.gz FWCPKPFWPBEQDM-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2cc3cnccc3[nH]2)c1 ZINC001232018152 1053942713 /nfs/dbraw/zinc/94/27/13/1053942713.db2.gz FWCPKPFWPBEQDM-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN CC1(C)CCCN1Cc1c[nH]c2c1cccc2Br ZINC001232015879 1053944469 /nfs/dbraw/zinc/94/44/69/1053944469.db2.gz BJVROOHCODNXGA-UHFFFAOYSA-N 0 3 307.235 4.305 20 0 DIADHN CCOc1cncc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000621326733 1053944836 /nfs/dbraw/zinc/94/48/36/1053944836.db2.gz RLICTFFZFRCKDM-GOSISDBHSA-N 0 3 302.393 4.106 20 0 DIADHN CC[C@@H]1CCCN1Cc1c[nH]c2c1cccc2Br ZINC001232018787 1053945017 /nfs/dbraw/zinc/94/50/17/1053945017.db2.gz BSZDFSHZOPMAFV-GFCCVEGCSA-N 0 3 307.235 4.305 20 0 DIADHN CCOc1cncc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000621326978 1053946519 /nfs/dbraw/zinc/94/65/19/1053946519.db2.gz ZYRLOTRNNXXXAN-GOSISDBHSA-N 0 3 316.832 4.375 20 0 DIADHN CCN(CCOc1ccccc1OC)[C@H](C)c1ccccc1F ZINC000621300182 1053946707 /nfs/dbraw/zinc/94/67/07/1053946707.db2.gz ZYKZVNGRRHVGME-OAHLLOKOSA-N 0 3 317.404 4.296 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC2(CC1)C(F)(F)C2(F)F ZINC001328680345 1053947616 /nfs/dbraw/zinc/94/76/16/1053947616.db2.gz VCNPVLJMCOFMGJ-UHFFFAOYSA-N 0 3 324.321 4.414 20 0 DIADHN COc1cccc2[nH]cc(CN3CCc4cc(C)ccc4C3)c21 ZINC001232040023 1053947894 /nfs/dbraw/zinc/94/78/94/1053947894.db2.gz PYSKKCPSOWIQNH-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CCN(Cc1c[nH]c2cccc(OC)c12)Cc1cccc(F)c1 ZINC001232038155 1053948380 /nfs/dbraw/zinc/94/83/80/1053948380.db2.gz JEPXLMHVNWAOCR-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN CCOc1cncc(CN[C@H](CC(C)C)c2cccs2)c1 ZINC000621330273 1053948904 /nfs/dbraw/zinc/94/89/04/1053948904.db2.gz FSMYXIGSRRPOBF-MRXNPFEDSA-N 0 3 304.459 4.419 20 0 DIADHN Oc1cncc(CN2CCC(c3ccc4ccccc4c3)CC2)c1 ZINC001232042731 1053948971 /nfs/dbraw/zinc/94/89/71/1053948971.db2.gz WTSGPJMMHZXMFG-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN [O-]c1cncc(C[NH+]2CCC(c3ccc4ccccc4c3)CC2)c1 ZINC001232042731 1053948985 /nfs/dbraw/zinc/94/89/85/1053948985.db2.gz WTSGPJMMHZXMFG-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN CCOc1cncc(CN[C@@H](CC(C)C)c2cccs2)c1 ZINC000621330272 1053949351 /nfs/dbraw/zinc/94/93/51/1053949351.db2.gz FSMYXIGSRRPOBF-INIZCTEOSA-N 0 3 304.459 4.419 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc3c(c2)CCCO3)ccc1F ZINC001328713576 1053949613 /nfs/dbraw/zinc/94/96/13/1053949613.db2.gz ZPORXXRAOYODQP-ZDUSSCGKSA-N 0 3 315.388 4.010 20 0 DIADHN Fc1ccc(CC2CCN(Cc3cc4ncccc4[nH]3)CC2)cc1 ZINC001232064052 1053951205 /nfs/dbraw/zinc/95/12/05/1053951205.db2.gz AEFMYQWZDYJYMJ-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCC(C)(C(=O)OC)CC2)cc1 ZINC001232080151 1053954933 /nfs/dbraw/zinc/95/49/33/1053954933.db2.gz CIZSXLPKZYGMAK-UHFFFAOYSA-N 0 3 317.473 4.149 20 0 DIADHN CCCCN(Cc1cccc(C(N)=O)c1)[C@H](C)c1ccc(C)o1 ZINC000621317763 1053956231 /nfs/dbraw/zinc/95/62/31/1053956231.db2.gz YPQBQEDHOSSRNG-OAHLLOKOSA-N 0 3 314.429 4.050 20 0 DIADHN Cc1nc(CN(CCc2ccc(F)cc2)CC(C)C)oc1C ZINC000621316705 1053957628 /nfs/dbraw/zinc/95/76/28/1053957628.db2.gz VLJHSWVVRCEBFW-UHFFFAOYSA-N 0 3 304.409 4.131 20 0 DIADHN Cc1ccc(CN2CCC(Sc3ccccc3)CC2)c(C)n1 ZINC001232084782 1053958046 /nfs/dbraw/zinc/95/80/46/1053958046.db2.gz VBNITPKUTOBDGF-UHFFFAOYSA-N 0 3 312.482 4.455 20 0 DIADHN Cn1ccc(CNC2(c3ccc(C(F)(F)F)cc3)CCC2)c1 ZINC000621376090 1053958768 /nfs/dbraw/zinc/95/87/68/1053958768.db2.gz QEIZGSUYELHYBR-UHFFFAOYSA-N 0 3 308.347 4.213 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2cc3ccncc3[nH]2)CC1 ZINC001232093546 1053961778 /nfs/dbraw/zinc/96/17/78/1053961778.db2.gz DJPVZPXKJLSBCP-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Cc1ccccc1O[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001200774949 1053963670 /nfs/dbraw/zinc/96/36/70/1053963670.db2.gz RQFPKQUTYYIGJX-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1CCCC12CC2 ZINC001232140693 1053971115 /nfs/dbraw/zinc/97/11/15/1053971115.db2.gz ZDIYXZKDHKULBB-UHFFFAOYSA-N 0 3 305.219 4.059 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CC[C@H]3[C@@H](C2)C3(F)F)c1Cl ZINC001329161233 1053971269 /nfs/dbraw/zinc/97/12/69/1053971269.db2.gz LTKZBDMOPMDFQX-FKTZTGRPSA-N 0 3 307.718 4.142 20 0 DIADHN c1nc(-c2cccnc2)oc1CN1CCC[C@H]1c1ccccc1 ZINC001232140181 1053971697 /nfs/dbraw/zinc/97/16/97/1053971697.db2.gz VJCIJOKFSCZFNJ-SFHVURJKSA-N 0 3 305.381 4.074 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1CCC2(CC2)CC1 ZINC001232141897 1053972019 /nfs/dbraw/zinc/97/20/19/1053972019.db2.gz VOOUKPKHJWBOKU-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN CN(C)Cc1cc(F)cc(CN2Cc3ccc(Cl)cc3C2)c1 ZINC001232148951 1053972749 /nfs/dbraw/zinc/97/27/49/1053972749.db2.gz HATCVBSHBANEQK-UHFFFAOYSA-N 0 3 318.823 4.057 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1CCC2(CCC2)C1 ZINC001232143403 1053973109 /nfs/dbraw/zinc/97/31/09/1053973109.db2.gz CLODADOQYYDJMO-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN CN(Cc1c(Br)[nH]c2ccccc21)C1CCCC1 ZINC001232143161 1053973329 /nfs/dbraw/zinc/97/33/29/1053973329.db2.gz ZFTCLECAXIQQML-UHFFFAOYSA-N 0 3 307.235 4.305 20 0 DIADHN c1ccc(NC2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC001329233223 1053974516 /nfs/dbraw/zinc/97/45/16/1053974516.db2.gz ZNABIJKLPMGMFI-UHFFFAOYSA-N 0 3 303.409 4.316 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](n2ccnc2)C1 ZINC000674322176 1053976782 /nfs/dbraw/zinc/97/67/82/1053976782.db2.gz LTTNCOTXZGRTJB-IAGOWNOFSA-N 0 3 303.837 4.325 20 0 DIADHN Fc1cccc2c(CN3CC[C@@H](Oc4ccccc4)C3)ccnc12 ZINC001232209172 1053988973 /nfs/dbraw/zinc/98/89/73/1053988973.db2.gz LLOBNZJKPPADIA-QGZVFWFLSA-N 0 3 322.383 4.027 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCN(Cc3cnsc3)C2)cc1 ZINC001232230455 1053994710 /nfs/dbraw/zinc/99/47/10/1053994710.db2.gz JYAIJEOZZFPDOQ-ZDUSSCGKSA-N 0 3 312.360 4.151 20 0 DIADHN FC1(F)CC12CC(NCc1ccc(Sc3ccccn3)o1)C2 ZINC001329558809 1053995695 /nfs/dbraw/zinc/99/56/95/1053995695.db2.gz WHYDQWDXINBRHQ-UHFFFAOYSA-N 0 3 322.380 4.103 20 0 DIADHN CN(CCc1ccccc1F)Cc1ccnc(C(C)(C)C)c1 ZINC001232221534 1053996116 /nfs/dbraw/zinc/99/61/16/1053996116.db2.gz ZGHGNCSJQUCOSS-UHFFFAOYSA-N 0 3 300.421 4.193 20 0 DIADHN FC1(F)CCCN(Cc2cccn2Cc2ccccc2)CC1 ZINC001232231036 1053999890 /nfs/dbraw/zinc/99/98/90/1053999890.db2.gz GEFKLQZKEJUCQP-UHFFFAOYSA-N 0 3 304.384 4.158 20 0 DIADHN CN(Cc1ccnc(C(F)(F)F)c1)[C@H]1CCc2ccccc21 ZINC001232242690 1054003476 /nfs/dbraw/zinc/00/34/76/1054003476.db2.gz FKZGXWOPOQFPLF-HNNXBMFYSA-N 0 3 306.331 4.220 20 0 DIADHN CCCCN(CC(=O)N[C@@H](C)C(C)C)[C@@H](C)c1ccccc1 ZINC000621736166 1054004990 /nfs/dbraw/zinc/00/49/90/1054004990.db2.gz BYDDXILIAHVXDX-IRXDYDNUSA-N 0 3 304.478 4.010 20 0 DIADHN COCc1cccc(CN2CCC[C@H]2c2cc(C)cc(C)c2)n1 ZINC001232260631 1054005804 /nfs/dbraw/zinc/00/58/04/1054005804.db2.gz OJKPHMDXZWOTBX-FQEVSTJZSA-N 0 3 310.441 4.182 20 0 DIADHN CCOCCN(Cc1ccc(F)cc1)[C@H]1CCc2ccccc21 ZINC000621739088 1054007504 /nfs/dbraw/zinc/00/75/04/1054007504.db2.gz GMNADFKWHSFWMI-FQEVSTJZSA-N 0 3 313.416 4.352 20 0 DIADHN c1cc(CC2CN(Cc3cc4ccncc4s3)C2)cs1 ZINC000621741347 1054007543 /nfs/dbraw/zinc/00/75/43/1054007543.db2.gz NOFFGDAEOWRXMF-UHFFFAOYSA-N 0 3 300.452 4.032 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1nccc2ccccc21 ZINC001329955463 1054012604 /nfs/dbraw/zinc/01/26/04/1054012604.db2.gz JXLKEAMZXCPHGL-UONOGXRCSA-N 0 3 314.429 4.006 20 0 DIADHN Clc1csc(CN2CCCN(Cc3ccccc3)CC2)c1 ZINC001232281356 1054013410 /nfs/dbraw/zinc/01/34/10/1054013410.db2.gz HQRBSPKPELKABU-UHFFFAOYSA-N 0 3 320.889 4.109 20 0 DIADHN CC(C)c1noc(CCN[C@@H](c2ccc(F)cc2F)C(C)C)n1 ZINC001330066653 1054019062 /nfs/dbraw/zinc/01/90/62/1054019062.db2.gz FVJBIINWPUVELC-MRXNPFEDSA-N 0 3 323.387 4.001 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)c(C)n1 ZINC001232334962 1054022777 /nfs/dbraw/zinc/02/27/77/1054022777.db2.gz QNVQSZSRLHQYQN-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2Cc2ccc(F)cc2)cn1 ZINC001232331988 1054023653 /nfs/dbraw/zinc/02/36/53/1054023653.db2.gz ZXKVEVPXQVDWQV-KRWDZBQOSA-N 0 3 316.445 4.150 20 0 DIADHN CSc1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC001232333599 1054024205 /nfs/dbraw/zinc/02/42/05/1054024205.db2.gz UVNZXWZUMVZTCT-HNNXBMFYSA-N 0 3 318.873 4.446 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccc(N)nc1C ZINC001232342016 1054024851 /nfs/dbraw/zinc/02/48/51/1054024851.db2.gz IBYSAKFPNHSXRM-MRXNPFEDSA-N 0 3 313.470 4.031 20 0 DIADHN CSc1cc(C)c(CN2CC[C@H](c3cccc(F)c3)C2)cn1 ZINC001232348633 1054026773 /nfs/dbraw/zinc/02/67/73/1054026773.db2.gz TZYUXCVSAZQEGG-HNNXBMFYSA-N 0 3 316.445 4.241 20 0 DIADHN COc1cccc(CN2CC[C@@H]3CCC[C@H]3C2)c1Br ZINC001237860917 1054050981 /nfs/dbraw/zinc/05/09/81/1054050981.db2.gz PXYASDIYQIXIFG-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN COc1cc(C)c(CN2CC[C@@H](c3cccc(Cl)c3)C2)cn1 ZINC001232353946 1054028144 /nfs/dbraw/zinc/02/81/44/1054028144.db2.gz YXTFOPWJKAUFLB-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CSc1cc(C)c(CN2C[C@@H]3C[C@H](C2)c2ccccc23)cn1 ZINC001232350867 1054028552 /nfs/dbraw/zinc/02/85/52/1054028552.db2.gz XLJSGMBKMUNKDS-GASCZTMLSA-N 0 3 310.466 4.199 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccc(OCc3ccccc3)nc2)C1 ZINC001232358788 1054029895 /nfs/dbraw/zinc/02/98/95/1054029895.db2.gz RKMAJCKNBXQUPU-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ccc(CN3CCCC4(CCC4)C3)cn2)cc1 ZINC001232359909 1054031909 /nfs/dbraw/zinc/03/19/09/1054031909.db2.gz SSRDGTUYXZLJKR-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCC3(CC3)C2)c1 ZINC001232379866 1054032947 /nfs/dbraw/zinc/03/29/47/1054032947.db2.gz RINXUWADEJNGID-UHFFFAOYSA-N 0 3 323.436 4.260 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001232531256 1054063370 /nfs/dbraw/zinc/06/33/70/1054063370.db2.gz WIMSOFXMLOGNLQ-XJKSGUPXSA-N 0 3 323.278 4.307 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CCC3(CC(F)C3)CC2)c1 ZINC001232385679 1054035118 /nfs/dbraw/zinc/03/51/18/1054035118.db2.gz FGPWTMHHYDDMSM-UHFFFAOYSA-N 0 3 321.436 4.197 20 0 DIADHN c1oc2ccccc2c1CNCc1ccccc1N1CCCC1 ZINC001330432215 1054036478 /nfs/dbraw/zinc/03/64/78/1054036478.db2.gz NKQCRTJKMFTTIC-UHFFFAOYSA-N 0 3 306.409 4.323 20 0 DIADHN Cc1cccc(CN2CCC3(CCCOC3)CC2)c1OC(C)C ZINC001232394980 1054037235 /nfs/dbraw/zinc/03/72/35/1054037235.db2.gz MWOPNEVPCKMCED-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c(F)cccc32)ccc1F ZINC001330534812 1054041154 /nfs/dbraw/zinc/04/11/54/1054041154.db2.gz CMYYSBOUOAUBEI-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN CC(C)(C)N(Cc1cn2cc(F)ccc2n1)Cc1ccccc1 ZINC001232409232 1054041322 /nfs/dbraw/zinc/04/13/22/1054041322.db2.gz HERQPJHEZBYFPR-UHFFFAOYSA-N 0 3 311.404 4.274 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc(F)c(C)c(F)c2)cc1 ZINC001232422531 1054042398 /nfs/dbraw/zinc/04/23/98/1054042398.db2.gz CHSSBWRZYLMPSB-CYBMUJFWSA-N 0 3 305.368 4.475 20 0 DIADHN CCCN(Cc1cc(OCc2ccccc2)no1)[C@H](C)CC ZINC001232449348 1054044872 /nfs/dbraw/zinc/04/48/72/1054044872.db2.gz XUSVXQXNTHZXQL-OAHLLOKOSA-N 0 3 302.418 4.264 20 0 DIADHN C[C@@H]1CCCN(Cc2cc(OCc3ccccc3)no2)C[C@@H]1C ZINC001232444448 1054046735 /nfs/dbraw/zinc/04/67/35/1054046735.db2.gz GZIIWGBJAYOEDO-CVEARBPZSA-N 0 3 314.429 4.122 20 0 DIADHN C[C@@H]1CCCN(Cc2cc(OCc3ccccc3)no2)C[C@H]1C ZINC001232444450 1054046818 /nfs/dbraw/zinc/04/68/18/1054046818.db2.gz GZIIWGBJAYOEDO-HZPDHXFCSA-N 0 3 314.429 4.122 20 0 DIADHN C[C@H]1CCCCCN1Cc1cc(OCc2ccccc2)no1 ZINC001232448334 1054047770 /nfs/dbraw/zinc/04/77/70/1054047770.db2.gz VWALCOWLPFTFCA-HNNXBMFYSA-N 0 3 300.402 4.018 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1c(C)cccc1F ZINC001232483612 1054055524 /nfs/dbraw/zinc/05/55/24/1054055524.db2.gz LXATUIMMHVHWOC-OAHLLOKOSA-N 0 3 301.405 4.206 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(CCc2ccccc2)CC1 ZINC001232510930 1054058831 /nfs/dbraw/zinc/05/88/31/1054058831.db2.gz NEAJKGSKPCYSJT-UHFFFAOYSA-N 0 3 312.432 4.253 20 0 DIADHN COc1cc(Cl)ccc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001232501858 1054058847 /nfs/dbraw/zinc/05/88/47/1054058847.db2.gz HOTZUTYLIQJAQM-UHFFFAOYSA-N 0 3 323.864 4.272 20 0 DIADHN COc1cc(Cl)ccc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001232501663 1054059173 /nfs/dbraw/zinc/05/91/73/1054059173.db2.gz ZGQUQWMSANNFCR-HNNXBMFYSA-N 0 3 311.828 4.453 20 0 DIADHN Fc1ccnc(CN(Cc2ccccc2)C2CCSCC2)c1 ZINC001232500216 1054059378 /nfs/dbraw/zinc/05/93/78/1054059378.db2.gz ZSHCQPPOXYGKLN-UHFFFAOYSA-N 0 3 316.445 4.119 20 0 DIADHN CCN(Cc1ccccc1)Cc1cccc(Br)c1N ZINC001232522703 1054062847 /nfs/dbraw/zinc/06/28/47/1054062847.db2.gz AJISMFJLGDNLBU-UHFFFAOYSA-N 0 3 319.246 4.053 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@@H](C)[C@@H](C)C1 ZINC001232528607 1054064347 /nfs/dbraw/zinc/06/43/47/1054064347.db2.gz IAYJSKFRDHOPPW-NEPJUHHUSA-N 0 3 311.267 4.021 20 0 DIADHN C[C@H]1CCCCCN1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232539768 1054065256 /nfs/dbraw/zinc/06/52/56/1054065256.db2.gz OLZCVJVRFUZAOY-AWEZNQCLSA-N 0 3 319.449 4.193 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCc3c4cc(F)ccc4[nH]c32)s1 ZINC001331230037 1054066778 /nfs/dbraw/zinc/06/67/78/1054066778.db2.gz QJGHQNHTZISWNE-MRXNPFEDSA-N 0 3 315.417 4.239 20 0 DIADHN FCc1cccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)n1 ZINC001232540038 1054066630 /nfs/dbraw/zinc/06/66/30/1054066630.db2.gz WSHDXMNLUZVLLG-CQSZACIVSA-N 0 3 304.796 4.194 20 0 DIADHN C[C@H]1CN(Cc2cccc(CF)n2)CCc2ccc(Cl)cc21 ZINC001232537179 1054067619 /nfs/dbraw/zinc/06/76/19/1054067619.db2.gz BDSWYIMOZCCCNH-ZDUSSCGKSA-N 0 3 318.823 4.366 20 0 DIADHN c1cc2cc(CN3CCC(Cc4ccccc4)CC3)ncc2o1 ZINC001232548331 1054068974 /nfs/dbraw/zinc/06/89/74/1054068974.db2.gz AFNQOAFQRYEMJC-UHFFFAOYSA-N 0 3 306.409 4.283 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232543488 1054069718 /nfs/dbraw/zinc/06/97/18/1054069718.db2.gz NFWJDXNVIAPYCD-OAHLLOKOSA-N 0 3 319.449 4.193 20 0 DIADHN COc1ccc(C2CCN(Cc3cc4ccoc4cn3)CC2)cc1 ZINC001232552810 1054069748 /nfs/dbraw/zinc/06/97/48/1054069748.db2.gz GGSZCHIOUPWZFM-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(N3CCCC3=O)cc2)cs1 ZINC000294249372 1054072963 /nfs/dbraw/zinc/07/29/63/1054072963.db2.gz PVSJGXTUKFJBGL-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2cc(OCc3ccccc3)ccn2)C1 ZINC001232588471 1054076406 /nfs/dbraw/zinc/07/64/06/1054076406.db2.gz TUDGRCPKAFMGSM-IRXDYDNUSA-N 0 3 310.441 4.139 20 0 DIADHN C[C@@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)[C@H]1C ZINC001232589318 1054076475 /nfs/dbraw/zinc/07/64/75/1054076475.db2.gz BPRHFROQJQWFEC-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@@H]1CCN(Cc2cc(OCc3ccccc3)ccn2)[C@@H](C)C1 ZINC001232588448 1054076519 /nfs/dbraw/zinc/07/65/19/1054076519.db2.gz SSYVTNFULAUVIR-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN CC[C@@H](NCc1cc(OC)c(OC)c(OC)c1)c1ccsc1 ZINC000294394524 1054077193 /nfs/dbraw/zinc/07/71/93/1054077193.db2.gz KFEGTTSDPQVCOZ-CQSZACIVSA-N 0 3 321.442 4.015 20 0 DIADHN c1ccc(COc2ccnc(CN3CC[C@H]4CCC[C@H]4C3)c2)cc1 ZINC001232586844 1054077556 /nfs/dbraw/zinc/07/75/56/1054077556.db2.gz GRWZTMNRSCHJJM-MOPGFXCFSA-N 0 3 322.452 4.283 20 0 DIADHN CC[C@@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)C1 ZINC001232586321 1054077850 /nfs/dbraw/zinc/07/78/50/1054077850.db2.gz ANRUOPNSVGTGOF-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN Clc1cc(-n2cccn2)ccc1CN1CCCC2(CCC2)C1 ZINC001232595260 1054078657 /nfs/dbraw/zinc/07/86/57/1054078657.db2.gz CYFOIJIHNXECND-UHFFFAOYSA-N 0 3 315.848 4.292 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1nccc2ccccc21 ZINC001331531822 1054081910 /nfs/dbraw/zinc/08/19/10/1054081910.db2.gz DNQQSPDFNCGWRC-VBKZILBWSA-N 0 3 324.399 4.240 20 0 DIADHN c1cc2ccc(CN3CCC[C@@H](Oc4ccncc4)C3)cc2s1 ZINC001232615594 1054083796 /nfs/dbraw/zinc/08/37/96/1054083796.db2.gz YMIYHLUPCDSKSP-GOSISDBHSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccoc1 ZINC000184580080 1054087132 /nfs/dbraw/zinc/08/71/32/1054087132.db2.gz ODVCCIAAYOOOAL-MGPUTAFESA-N 0 3 307.393 4.331 20 0 DIADHN c1csc(C2CCN(Cc3cncc4cnccc43)CC2)c1 ZINC001232650920 1054090706 /nfs/dbraw/zinc/09/07/06/1054090706.db2.gz IAJVWEIYCUGSCI-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CNc1ccccc1CN1CCC(Oc2ccccc2C)CC1 ZINC001232667042 1054096273 /nfs/dbraw/zinc/09/62/73/1054096273.db2.gz QJAPRJMGUHBMBA-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN Brc1ccc(CN2CCC3(CCCCC3)CC2)[nH]1 ZINC001232684791 1054101957 /nfs/dbraw/zinc/10/19/57/1054101957.db2.gz XPCXLFDEVCVQGZ-UHFFFAOYSA-N 0 3 311.267 4.324 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@H](C)c1ccc(C)cc1 ZINC000167656285 1054105256 /nfs/dbraw/zinc/10/52/56/1054105256.db2.gz JKFGROAKYMVFFF-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN c1nc2ccc(CN3CCC(c4ccncc4)CC3)cc2s1 ZINC001232741304 1054112474 /nfs/dbraw/zinc/11/24/74/1054112474.db2.gz NPFYHJWUOHQGTI-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1c(CN2CC[C@@H](Cc3ccccc3)C2)ccnc1Cl ZINC001232752217 1054115456 /nfs/dbraw/zinc/11/54/56/1054115456.db2.gz FDMPHCBXSWYCLA-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN OCCc1ccc(CN2CCC[C@H]2c2cccc(Cl)c2)cc1 ZINC001232780692 1054118782 /nfs/dbraw/zinc/11/87/82/1054118782.db2.gz LULYFYVZEZSIQJ-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN OCCc1ccc(CN2CCCC[C@H]2c2ccccc2F)cc1 ZINC001232778612 1054118949 /nfs/dbraw/zinc/11/89/49/1054118949.db2.gz VNTLYYHDSSIAKZ-FQEVSTJZSA-N 0 3 313.416 4.088 20 0 DIADHN COc1cc(CNCc2ccc3[nH]ccc3c2)ccc1OC(C)C ZINC000848525508 1054124601 /nfs/dbraw/zinc/12/46/01/1054124601.db2.gz RWLOKQJWNRWAQU-UHFFFAOYSA-N 0 3 324.424 4.254 20 0 DIADHN COc1cc(O)cc(CN(Cc2cccc(C)c2C)C2CC2)c1 ZINC001232808794 1054125308 /nfs/dbraw/zinc/12/53/08/1054125308.db2.gz IQHZTBONHRKDFR-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN COc1cc(O)cc(CN2CCC[C@H]2c2cc(C)cc(C)c2)c1 ZINC001232808638 1054125592 /nfs/dbraw/zinc/12/55/92/1054125592.db2.gz GZTZJRPZKIGBBD-FQEVSTJZSA-N 0 3 311.425 4.355 20 0 DIADHN CC(C)(O)c1ccc(CN2CCCC[C@H]2c2ccccc2)cn1 ZINC001232823391 1054125725 /nfs/dbraw/zinc/12/57/25/1054125725.db2.gz WRNQTQOVJWWZOV-SFHVURJKSA-N 0 3 310.441 4.036 20 0 DIADHN Clc1cc2[nH]cc(CN3CCC4(CCCC4)CC3)c2cn1 ZINC001232820061 1054125982 /nfs/dbraw/zinc/12/59/82/1054125982.db2.gz HFTVQRWRALASRO-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2ccc(C(C)(C)O)nc2)c1 ZINC001232827232 1054127179 /nfs/dbraw/zinc/12/71/79/1054127179.db2.gz ARUUPCBVPNPNLE-IBGZPJMESA-N 0 3 324.468 4.263 20 0 DIADHN COc1ccc(CNCc2cccc3ccoc32)c(C)c1OC ZINC001332796469 1054128121 /nfs/dbraw/zinc/12/81/21/1054128121.db2.gz BXNHGTQGBFBLCL-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN C=Cc1ccc(C(=O)N(c2ccccc2)C2CCN(C)CC2)cc1 ZINC000671181506 1054129062 /nfs/dbraw/zinc/12/90/62/1054129062.db2.gz MIABIYXEFUNCAH-UHFFFAOYSA-N 0 3 320.436 4.071 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000848533201 1054129250 /nfs/dbraw/zinc/12/92/50/1054129250.db2.gz OYYAEGRVCGJQSF-AWEZNQCLSA-N 0 3 309.816 4.090 20 0 DIADHN CC(C)c1ccccc1CNCc1ccnc(N2CCCCC2)c1 ZINC000848529566 1054129463 /nfs/dbraw/zinc/12/94/63/1054129463.db2.gz XABPPTZYDLJCIS-UHFFFAOYSA-N 0 3 323.484 4.485 20 0 DIADHN COc1cc(Cl)cc(C[NH2+]C2(c3ccccc3)CCC2)c1[O-] ZINC000184706738 1054129688 /nfs/dbraw/zinc/12/96/88/1054129688.db2.gz LVAANQWTJGTIPW-UHFFFAOYSA-N 0 3 317.816 4.223 20 0 DIADHN CCCC(=O)NC1CCN([C@H](CC)c2ccc(Cl)cc2)CC1 ZINC000622260866 1054131620 /nfs/dbraw/zinc/13/16/20/1054131620.db2.gz HKVSJJCTXGKRJG-QGZVFWFLSA-N 0 3 322.880 4.172 20 0 DIADHN C[C@H](NCc1cnc(Cl)n1C)c1cc2ccccc2s1 ZINC000848536623 1054132466 /nfs/dbraw/zinc/13/24/66/1054132466.db2.gz ULAHYJMIXWRIBG-JTQLQIEISA-N 0 3 305.834 4.139 20 0 DIADHN Cn1c(Cl)ncc1CNC1(c2ccc(Cl)cc2)CCCC1 ZINC000848537790 1054132765 /nfs/dbraw/zinc/13/27/65/1054132765.db2.gz NYINZVUAIWWLNJ-UHFFFAOYSA-N 0 3 324.255 4.286 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](NCc1cnc(Cl)n1C)c1ccc(Cl)cc1 ZINC000848539561 1054135441 /nfs/dbraw/zinc/13/54/41/1054135441.db2.gz BKIABWBVSJZGMX-ONERCXAPSA-N 0 3 324.255 4.214 20 0 DIADHN Cc1cccc([C@@H](NCc2cnc(Cl)n2C)C(C)(C)C)c1 ZINC000848538984 1054135694 /nfs/dbraw/zinc/13/56/94/1054135694.db2.gz BZVSJWSPOVWSNK-OAHLLOKOSA-N 0 3 305.853 4.259 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1c[nH]c2ccc(O)cc12 ZINC001232872374 1054135809 /nfs/dbraw/zinc/13/58/09/1054135809.db2.gz WXSCIVROWKGDRF-CYBMUJFWSA-N 0 3 310.397 4.075 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3cc(C)cc(O)c3)C2)cc1 ZINC001232884540 1054137032 /nfs/dbraw/zinc/13/70/32/1054137032.db2.gz PDBGRQBBIJBQSS-HXUWFJFHSA-N 0 3 311.425 4.052 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2ccccc2C(C)C)c2ccccc21 ZINC000848543455 1054137335 /nfs/dbraw/zinc/13/73/35/1054137335.db2.gz DWOBZMQLALCCDV-HXUWFJFHSA-N 0 3 322.452 4.398 20 0 DIADHN FCC1CCN(Cc2ccc(-c3cccc(F)c3)nc2)CC1 ZINC001232888141 1054140104 /nfs/dbraw/zinc/14/01/04/1054140104.db2.gz CNPRBIDIQBYXTB-UHFFFAOYSA-N 0 3 302.368 4.069 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H]2CCCc3cn(C4CCCC4)nc32)CS1 ZINC000848547284 1054140369 /nfs/dbraw/zinc/14/03/69/1054140369.db2.gz MLOXICXZDDBWGF-KMFMINBZSA-N 0 3 319.518 4.249 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H]2CCCc3cn(C4CCCC4)nc32)CS1 ZINC000848547281 1054140659 /nfs/dbraw/zinc/14/06/59/1054140659.db2.gz MLOXICXZDDBWGF-FRFSOERESA-N 0 3 319.518 4.249 20 0 DIADHN CSC1CCN(Cc2ccc(-c3cccc(F)c3)nc2)CC1 ZINC001232888309 1054141897 /nfs/dbraw/zinc/14/18/97/1054141897.db2.gz JSBOVEMQMYOMHV-UHFFFAOYSA-N 0 3 316.445 4.215 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@@H]1NCc1cnc(Cl)n1C ZINC000848547592 1054142052 /nfs/dbraw/zinc/14/20/52/1054142052.db2.gz SAPAKAPZUAYHND-NVXWUHKLSA-N 0 3 317.864 4.123 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)NC(C)(C)c1ccc2ccccc2c1 ZINC001438152399 1054145750 /nfs/dbraw/zinc/14/57/50/1054145750.db2.gz IOVUJSVDKMSEFR-IBGZPJMESA-N 0 3 324.468 4.064 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(C(F)F)nc1)c1ccc(F)cc1 ZINC001333322471 1054150022 /nfs/dbraw/zinc/15/00/22/1054150022.db2.gz XUAVTWMEDYCNDR-BDJLRTHQSA-N 0 3 324.346 4.197 20 0 DIADHN Fc1cc(OCc2ccccc2)ccc1CN1C[C@H]2CC[C@@H]1C2 ZINC001232922602 1054150744 /nfs/dbraw/zinc/15/07/44/1054150744.db2.gz LNXCRSLDRGPUBM-FUHWJXTLSA-N 0 3 311.400 4.389 20 0 DIADHN CN(Cc1ccc2ncoc2c1)Cc1cccc2cccnc21 ZINC001232942921 1054152086 /nfs/dbraw/zinc/15/20/86/1054152086.db2.gz PEYKWFHAADJLDU-UHFFFAOYSA-N 0 3 303.365 4.008 20 0 DIADHN c1nc2ccc(CN3CCC(OCc4ccccc4)CC3)cc2o1 ZINC001232941801 1054153590 /nfs/dbraw/zinc/15/35/90/1054153590.db2.gz NDJNROCNLZINSD-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN CCCO[C@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232948269 1054155418 /nfs/dbraw/zinc/15/54/18/1054155418.db2.gz QLTOIAZLIRYGOT-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN FC[C@@H]1CCCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232948080 1054155550 /nfs/dbraw/zinc/15/55/50/1054155550.db2.gz MWXSUAQFPRXSLF-LBPRGKRZSA-N 0 3 307.356 4.480 20 0 DIADHN CCN(Cc1cccs1)Cc1cnc(OC)c2ccccc12 ZINC001232950372 1054156742 /nfs/dbraw/zinc/15/67/42/1054156742.db2.gz DKONLLUGBHXSHV-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc(OC(F)F)nc1 ZINC001232953131 1054158193 /nfs/dbraw/zinc/15/81/93/1054158193.db2.gz PVCOKWAZUPBZCK-UHFFFAOYSA-N 0 3 306.356 4.094 20 0 DIADHN C[C@H](NC1CC2(C1)COC(C)(C)C2)c1nc(C(C)(C)C)cs1 ZINC001333560562 1054160144 /nfs/dbraw/zinc/16/01/44/1054160144.db2.gz VEPCBQQJTXOQIN-ZVLZOBCMSA-N 0 3 322.518 4.439 20 0 DIADHN CC1(NC(=O)c2cccc(CN3CCCCC3)c2)CCCCC1 ZINC000622324059 1054163798 /nfs/dbraw/zinc/16/37/98/1054163798.db2.gz ATSSRTJDEHAKHC-UHFFFAOYSA-N 0 3 314.473 4.125 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc(C4CC4)cc3)CC2)n1 ZINC001232986547 1054165845 /nfs/dbraw/zinc/16/58/45/1054165845.db2.gz JVHMRXUJLCTKGL-UHFFFAOYSA-N 0 3 322.452 4.311 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232985923 1054166250 /nfs/dbraw/zinc/16/62/50/1054166250.db2.gz CINFORDGCSDCOW-HXUWFJFHSA-N 0 3 305.421 4.269 20 0 DIADHN CN1CCN(Cc2ccc(C3CC3)cc2)C[C@@H]1c1ccccc1 ZINC001232983737 1054166826 /nfs/dbraw/zinc/16/68/26/1054166826.db2.gz RNWCGYJWTBGBNI-OAQYLSRUSA-N 0 3 306.453 4.053 20 0 DIADHN CCC[N@@H+](Cc1cc([O-])cc(Br)c1)CC(C)C ZINC001233000358 1054169184 /nfs/dbraw/zinc/16/91/84/1054169184.db2.gz IZZWSBJOVNJOOS-UHFFFAOYSA-N 0 3 300.240 4.023 20 0 DIADHN CCC[N@H+](Cc1cc([O-])cc(Br)c1)CC(C)C ZINC001233000358 1054169191 /nfs/dbraw/zinc/16/91/91/1054169191.db2.gz IZZWSBJOVNJOOS-UHFFFAOYSA-N 0 3 300.240 4.023 20 0 DIADHN Cc1cc(C)c(CN2CCC(Sc3ccccc3)CC2)cn1 ZINC001233012976 1054171239 /nfs/dbraw/zinc/17/12/39/1054171239.db2.gz QXWCCKAKQSZNJE-UHFFFAOYSA-N 0 3 312.482 4.455 20 0 DIADHN Cc1cccc(Nc2cccc(NC(=O)[C@H](C)NC3CC3)c2C)c1 ZINC001333791537 1054171670 /nfs/dbraw/zinc/17/16/70/1054171670.db2.gz XVKZDQKUKVFPAQ-HNNXBMFYSA-N 0 3 323.440 4.126 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3cnc(C)cc3C)C2)cc1 ZINC001233006850 1054171590 /nfs/dbraw/zinc/17/15/90/1054171590.db2.gz JIFLQINYNOOPQQ-FQEVSTJZSA-N 0 3 310.441 4.050 20 0 DIADHN C[C@@H]1CCCN(Cc2cnn(-c3cccc(C(F)(F)F)c3)c2)C1 ZINC001233037496 1054173523 /nfs/dbraw/zinc/17/35/23/1054173523.db2.gz ANHOBFMWVSOVET-CYBMUJFWSA-N 0 3 323.362 4.123 20 0 DIADHN CCN(Cc1cccc(OC)c1NC(=O)OC(C)(C)C)C1CC1 ZINC001233124770 1054187363 /nfs/dbraw/zinc/18/73/63/1054187363.db2.gz QKHVRDJQEGMPFX-UHFFFAOYSA-N 0 3 320.433 4.027 20 0 DIADHN CCN(Cc1n[nH]c2cc(F)ccc21)Cc1ccc(Cl)cc1 ZINC001233127118 1054188373 /nfs/dbraw/zinc/18/83/73/1054188373.db2.gz SAMWTDVVDZFMMT-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc43)CC2)cnc1C ZINC001233155855 1054191632 /nfs/dbraw/zinc/19/16/32/1054191632.db2.gz CZIYFPUAUSTHED-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN CCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@@H](CC)c1ccccc1 ZINC001355647668 1054194045 /nfs/dbraw/zinc/19/40/45/1054194045.db2.gz YTMGNUBRIXFNCT-OALUTQOASA-N 0 3 316.489 4.249 20 0 DIADHN Cc1c[nH]c(=O)c(CN(C)Cc2ccc(Cl)c(Cl)c2)c1 ZINC001233190284 1054198016 /nfs/dbraw/zinc/19/80/16/1054198016.db2.gz FAIIVQWUBQPTNB-UHFFFAOYSA-N 0 3 311.212 4.034 20 0 DIADHN Cc1c[nH]c(=O)c(CN(Cc2ccccc2)Cc2ccccc2)c1 ZINC001233195497 1054198233 /nfs/dbraw/zinc/19/82/33/1054198233.db2.gz LMGNCOCGBPJUND-UHFFFAOYSA-N 0 3 318.420 4.298 20 0 DIADHN COC(=O)C1CCN(Cc2ccc(-c3cccc(C)c3)cc2)CC1 ZINC001233223722 1054207370 /nfs/dbraw/zinc/20/73/70/1054207370.db2.gz QXBRFWPMVZUEED-UHFFFAOYSA-N 0 3 323.436 4.047 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)cc1O ZINC001233233135 1054209767 /nfs/dbraw/zinc/20/97/67/1054209767.db2.gz AWFOKYLVQZIXNA-OAHLLOKOSA-N 0 3 301.817 4.344 20 0 DIADHN Fc1ccc(CN2CC3CC(C3)C2)c(OCc2ccccc2)c1 ZINC001233245945 1054216407 /nfs/dbraw/zinc/21/64/07/1054216407.db2.gz QUJPKSDCKXBUCK-UHFFFAOYSA-N 0 3 311.400 4.247 20 0 DIADHN CSc1cccc(Cl)c1CN1CC(C)(C)C[C@]1(C)CO ZINC001233350388 1054246299 /nfs/dbraw/zinc/24/62/99/1054246299.db2.gz FUVAUFYBIQSGGE-MRXNPFEDSA-N 0 3 313.894 4.045 20 0 DIADHN C[C@H]1CN(Cc2ccc(Cl)o2)CC[C@@]1(C)c1cccc(O)c1 ZINC001233316431 1054229083 /nfs/dbraw/zinc/22/90/83/1054229083.db2.gz HTMBBNWRMPHGND-SCLBCKFNSA-N 0 3 319.832 4.438 20 0 DIADHN Cc1cnsc1CN1CCC(CCc2ccccc2)CC1 ZINC001233320765 1054232095 /nfs/dbraw/zinc/23/20/95/1054232095.db2.gz MLOVCOAFIIHISK-UHFFFAOYSA-N 0 3 300.471 4.296 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CC3(C2)CCCC3)cc1 ZINC001233336810 1054239863 /nfs/dbraw/zinc/23/98/63/1054239863.db2.gz BENMAAFRYLXGJT-UHFFFAOYSA-N 0 3 316.445 4.410 20 0 DIADHN Oc1ccc(CN(CCCC(F)(F)F)Cc2ccco2)cc1 ZINC001336655619 1054241194 /nfs/dbraw/zinc/24/11/94/1054241194.db2.gz FGIPOSCWYIORBX-UHFFFAOYSA-N 0 3 313.319 4.330 20 0 DIADHN CC[C@H](C(=O)N1CCCC[C@@H]1c1ccc(C(C)C)cc1)N(C)C ZINC000622472052 1054241715 /nfs/dbraw/zinc/24/17/15/1054241715.db2.gz QMWYNGHBDIPSEY-RTBURBONSA-N 0 3 316.489 4.204 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC001233350396 1054245327 /nfs/dbraw/zinc/24/53/27/1054245327.db2.gz GAXPAOPLGVKTMC-IUODEOHRSA-N 0 3 311.878 4.063 20 0 DIADHN Cc1c([C@H](C)NCc2c(C)ccc3cc(C)ccc32)cnn1C ZINC001336775668 1054246837 /nfs/dbraw/zinc/24/68/37/1054246837.db2.gz NWHWQLYSYQTDDH-HNNXBMFYSA-N 0 3 307.441 4.349 20 0 DIADHN CCCN(Cc1cnc2cccc(Cl)n12)Cc1ccccc1 ZINC001233358308 1054249336 /nfs/dbraw/zinc/24/93/36/1054249336.db2.gz BICGIPZPQMJDQC-UHFFFAOYSA-N 0 3 313.832 4.400 20 0 DIADHN Nc1ccc(CN2CCC(c3ccccc3)CC2)c(Cl)c1 ZINC001233374141 1054251118 /nfs/dbraw/zinc/25/11/18/1054251118.db2.gz REYIDAGBQZQTCZ-UHFFFAOYSA-N 0 3 300.833 4.302 20 0 DIADHN Nc1ccc(CN2CCC(c3cccs3)CC2)c(Cl)c1 ZINC001233376249 1054251640 /nfs/dbraw/zinc/25/16/40/1054251640.db2.gz YLDSZHJKEXVZEK-UHFFFAOYSA-N 0 3 306.862 4.363 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3ccc(OC(C)(C)C)cc3)C2)o1 ZINC001233369002 1054253812 /nfs/dbraw/zinc/25/38/12/1054253812.db2.gz SHIVRCSWDPBWEN-INIZCTEOSA-N 0 3 314.429 4.150 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3ccc(OC(C)(C)C)cc3)C2)o1 ZINC001233369003 1054254375 /nfs/dbraw/zinc/25/43/75/1054254375.db2.gz SHIVRCSWDPBWEN-MRXNPFEDSA-N 0 3 314.429 4.150 20 0 DIADHN Nc1cc(F)c(F)cc1CN1CCC[C@H](c2ccccc2)CC1 ZINC001233381579 1054255477 /nfs/dbraw/zinc/25/54/77/1054255477.db2.gz KHBMFQZTVFSTMJ-HNNXBMFYSA-N 0 3 316.395 4.317 20 0 DIADHN COc1ccc(CN2CC[C@H](C3CCCCC3)C2)c(Cl)c1O ZINC001233400877 1054258697 /nfs/dbraw/zinc/25/86/97/1054258697.db2.gz CDIKQMIZNZLYFG-AWEZNQCLSA-N 0 3 323.864 4.456 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)NCc3ccncc3)cc2)cs1 ZINC001356024355 1054263321 /nfs/dbraw/zinc/26/33/21/1054263321.db2.gz YIOXZSSUXYZMLO-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC001233432279 1054270281 /nfs/dbraw/zinc/27/02/81/1054270281.db2.gz DRUHFVMGSSQZDM-HOTGVXAUSA-N 0 3 304.434 4.036 20 0 DIADHN CN(Cc1cc(Br)c(Cl)cc1F)C(C)(C)C ZINC001233440811 1054273383 /nfs/dbraw/zinc/27/33/83/1054273383.db2.gz DIWKSXZFTOXKQU-UHFFFAOYSA-N 0 3 308.622 4.472 20 0 DIADHN c1ccc(CN2CC[C@@H](Oc3ccccc3OCC3CC3)C2)cc1 ZINC001233512669 1054286943 /nfs/dbraw/zinc/28/69/43/1054286943.db2.gz PROONZZPTPLYGX-LJQANCHMSA-N 0 3 323.436 4.129 20 0 DIADHN C[C@@H](c1cccs1)N(C)C[C@H](O)c1ccc(F)cc1Cl ZINC000184969887 1054290908 /nfs/dbraw/zinc/29/09/08/1054290908.db2.gz FIUFAVGBQNCOKH-HZMBPMFUSA-N 0 3 313.825 4.267 20 0 DIADHN CN(Cc1ccn(-c2cccc(F)c2)n1)C1CCCCCC1 ZINC000622585566 1054293611 /nfs/dbraw/zinc/29/36/11/1054293611.db2.gz XILIGHHWFKJABT-UHFFFAOYSA-N 0 3 301.409 4.166 20 0 DIADHN Fc1cccc(-n2ccc(CN3CC[C@@H](c4ccccc4)C3)n2)c1 ZINC000622588185 1054296184 /nfs/dbraw/zinc/29/61/84/1054296184.db2.gz OOXYPYXXZXKGMX-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN C=Cc1ccc(OC2CCN(Cc3ccccc3)CC2)c(OC)c1 ZINC001233567312 1054297662 /nfs/dbraw/zinc/29/76/62/1054297662.db2.gz DMOPUGJUSJBOOX-UHFFFAOYSA-N 0 3 323.436 4.382 20 0 DIADHN CC[C@H](NCCn1ccnc1C)c1ccc(Cl)cc1Cl ZINC000336839535 1054331762 /nfs/dbraw/zinc/33/17/62/1054331762.db2.gz OWGOHXPFOGJQLX-HNNXBMFYSA-N 0 3 312.244 4.239 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccc(C(F)F)cc2)c(F)c1 ZINC000622704047 1054338715 /nfs/dbraw/zinc/33/87/15/1054338715.db2.gz MCKJJKFQZVFOEC-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN CC(C)N1CCC(Oc2nc3cccc(Cl)c3s2)CC1 ZINC001233748441 1054351130 /nfs/dbraw/zinc/35/11/30/1054351130.db2.gz WHAUYFOZYNFINI-UHFFFAOYSA-N 0 3 310.850 4.201 20 0 DIADHN O=C(Nc1cccc(F)c1)c1cccc(CN2CCCCC2)c1 ZINC001440577985 1054357687 /nfs/dbraw/zinc/35/76/87/1054357687.db2.gz WGSNVJBSBDFVBN-UHFFFAOYSA-N 0 3 312.388 4.064 20 0 DIADHN CCCN(Cc1nccn1CC(F)(F)F)CC1CCCCC1 ZINC000171380521 1054360971 /nfs/dbraw/zinc/36/09/71/1054360971.db2.gz ITWUQVPMQQBTLQ-UHFFFAOYSA-N 0 3 317.399 4.238 20 0 DIADHN CCCCc1ccc([C@@H](NC(=O)[C@@H](CC)N(C)C)C(C)C)cc1 ZINC001440649960 1054361475 /nfs/dbraw/zinc/36/14/75/1054361475.db2.gz YQSOBTOCAQMNPL-MOPGFXCFSA-N 0 3 318.505 4.183 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C2(C3CCCCC3)CC2)c1 ZINC001440818676 1054368529 /nfs/dbraw/zinc/36/85/29/1054368529.db2.gz XHZIPTVWOMHTGH-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN CC(C)OCCCN1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000171499854 1054372300 /nfs/dbraw/zinc/37/23/00/1054372300.db2.gz TWNZQMDHLVYIRO-UHFFFAOYSA-N 0 3 323.864 4.050 20 0 DIADHN C[C@@H]([C@H](Oc1cccc([O-])c1F)c1ccccc1)[NH+]1CCCC1 ZINC001233867693 1054377240 /nfs/dbraw/zinc/37/72/40/1054377240.db2.gz HVBLENTXSOPPBZ-LIRRHRJNSA-N 0 3 315.388 4.136 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)c(Cl)c1 ZINC000171673022 1054379112 /nfs/dbraw/zinc/37/91/12/1054379112.db2.gz GNXBLURPQYOWOM-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN CCCC[C@@H](C(=O)Nc1cc(CN(C)C)ccc1OC)C(C)C ZINC000622781460 1054383570 /nfs/dbraw/zinc/38/35/70/1054383570.db2.gz UMZDGGWSACWXRB-MRXNPFEDSA-N 0 3 320.477 4.158 20 0 DIADHN CCC(CC)[C@H](C)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001441321426 1054388887 /nfs/dbraw/zinc/38/88/87/1054388887.db2.gz VHJFEAXJWSKCNB-YOEHRIQHSA-N 0 3 324.534 4.320 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(CC)(CC)CC)c1ccsc1 ZINC001441322618 1054388958 /nfs/dbraw/zinc/38/89/58/1054388958.db2.gz XOAHBBDOHDSPIC-INIZCTEOSA-N 0 3 324.534 4.464 20 0 DIADHN CC(C)[C@@H](C)N(Cc1csc(-c2ccccn2)n1)C1CC1 ZINC000171883272 1054394474 /nfs/dbraw/zinc/39/44/74/1054394474.db2.gz HVIVLPATUOMKIJ-CYBMUJFWSA-N 0 3 301.459 4.214 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@H]2c2cccc(F)c2)c2ccccc12 ZINC001233999910 1054409621 /nfs/dbraw/zinc/40/96/21/1054409621.db2.gz UMDUTLOZIMVGLK-IBGZPJMESA-N 0 3 322.383 4.417 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2c[nH]c(=O)c3ccccc23)cc1 ZINC001234007974 1054412303 /nfs/dbraw/zinc/41/23/03/1054412303.db2.gz NXRIAFNSYHLDCH-CQSZACIVSA-N 0 3 322.408 4.142 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)[C@H]1CCCCC1(C)C ZINC001442263031 1054417170 /nfs/dbraw/zinc/41/71/70/1054417170.db2.gz PXJJXZZJZXKIMX-GOSISDBHSA-N 0 3 322.537 4.173 20 0 DIADHN CC(C)(NCc1cccc(OC(F)F)c1)c1cccc(F)c1 ZINC000172342737 1054424016 /nfs/dbraw/zinc/42/40/16/1054424016.db2.gz BKUYKKSKFOIKBU-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCCc2cnn(C(C)C)c21 ZINC001342637200 1054426607 /nfs/dbraw/zinc/42/66/07/1054426607.db2.gz LDWGWPBRCOGWTC-RBUKOAKNSA-N 0 3 309.457 4.427 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccccc2)c2ccccn2)cc1 ZINC000172414432 1054428294 /nfs/dbraw/zinc/42/82/94/1054428294.db2.gz XPWDAGDZGYSIMS-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN CCCCC[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)c1ccccc1 ZINC001443160008 1054440600 /nfs/dbraw/zinc/44/06/00/1054440600.db2.gz JRMXKBUQBRJLPL-LNLFQRSKSA-N 0 3 316.489 4.297 20 0 DIADHN Cc1cccc(N(C)c2ccc(CN3CC[C@@]34CCOC4)cc2)c1 ZINC001234178558 1054448564 /nfs/dbraw/zinc/44/85/64/1054448564.db2.gz GUKRXGXUPJLCQN-NRFANRHFSA-N 0 3 322.452 4.128 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC(c2cn[nH]c2)CC1 ZINC000447743306 1054455730 /nfs/dbraw/zinc/45/57/30/1054455730.db2.gz SUOXOLDHSLNKIO-UHFFFAOYSA-N 0 3 321.827 4.014 20 0 DIADHN COc1cc(CNCc2ccsc2C)cc(Cl)c1OC ZINC001343949567 1054459008 /nfs/dbraw/zinc/45/90/08/1054459008.db2.gz FXWHIZQHEIFVDM-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN CSc1ccc(F)cc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001234221020 1054460482 /nfs/dbraw/zinc/46/04/82/1054460482.db2.gz HLXBFBOCIOXFDX-INIZCTEOSA-N 0 3 317.429 4.201 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NC[C@H]1CCCCN1CC ZINC001443869637 1054462678 /nfs/dbraw/zinc/46/26/78/1054462678.db2.gz JETUILASCJPWIZ-IEBWSBKVSA-N 0 3 310.526 4.364 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@]3(C2)CCCOC3)c1 ZINC000671254100 1054463226 /nfs/dbraw/zinc/46/32/26/1054463226.db2.gz RAUICZAGMLVIPZ-MRXNPFEDSA-N 0 3 314.256 4.038 20 0 DIADHN Cc1cccc(NC[C@H]2CCCN2Cc2ccccc2Cl)n1 ZINC001344076079 1054464131 /nfs/dbraw/zinc/46/41/31/1054464131.db2.gz RUDGWGCNSLCSLQ-MRXNPFEDSA-N 0 3 315.848 4.120 20 0 DIADHN Clc1ccc(Cl)c(CCN2CC[C@@]3(C2)CCCOC3)c1 ZINC000671254671 1054464631 /nfs/dbraw/zinc/46/46/31/1054464631.db2.gz LYDIVJZUQWGAKX-MRXNPFEDSA-N 0 3 314.256 4.038 20 0 DIADHN Cn1cc(-c2ccc(CN(Cc3cccs3)C3CC3)cc2)cn1 ZINC001344367203 1054465303 /nfs/dbraw/zinc/46/53/03/1054465303.db2.gz UMGDBKGYBDZPKG-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1OC1CCC(C)(C)CC1 ZINC001234238660 1054467179 /nfs/dbraw/zinc/46/71/79/1054467179.db2.gz NAZYAIMYYWGIJZ-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC001234242310 1054469341 /nfs/dbraw/zinc/46/93/41/1054469341.db2.gz AOZYWRVCXYNUCJ-UMVBOHGHSA-N 0 3 309.425 4.099 20 0 DIADHN CCC1CCC(Oc2c(F)cc(CN(C)C)cc2OC)CC1 ZINC001234243831 1054471350 /nfs/dbraw/zinc/47/13/50/1054471350.db2.gz LPGGCVFCKQWWRQ-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN CSc1ccc(F)c(O[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC001234245973 1054471800 /nfs/dbraw/zinc/47/18/00/1054471800.db2.gz WAXFKSCPMXFFBN-OAHLLOKOSA-N 0 3 317.429 4.201 20 0 DIADHN CC[C@H]1CC[C@H](Oc2c(F)cc(CN(C)C)cc2OC)CC1 ZINC001234243830 1054471855 /nfs/dbraw/zinc/47/18/55/1054471855.db2.gz LPGGCVFCKQWWRQ-CTYIDZIISA-N 0 3 309.425 4.244 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(-n2cccn2)c1)c1ccccc1F ZINC000173204241 1054472523 /nfs/dbraw/zinc/47/25/23/1054472523.db2.gz UBZMVKKCBWTXNM-LSDHHAIUSA-N 0 3 309.388 4.423 20 0 DIADHN CN(CCCO)Cc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001344578307 1054474727 /nfs/dbraw/zinc/47/47/27/1054474727.db2.gz DKPUODGWBISORW-UHFFFAOYSA-N 0 3 314.212 4.068 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC001444868796 1054490395 /nfs/dbraw/zinc/49/03/95/1054490395.db2.gz SXJCXOOBNVAOJE-ZNMIVQPWSA-N 0 3 302.462 4.011 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@@H](C)C(F)(F)F)cc1OC ZINC000441145832 1054497349 /nfs/dbraw/zinc/49/73/49/1054497349.db2.gz ITDINBDXXNXYJG-YPMHNXCESA-N 0 3 317.351 4.039 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@H](C)C(F)(F)F)cc1OC ZINC000441145829 1054497706 /nfs/dbraw/zinc/49/77/06/1054497706.db2.gz ITDINBDXXNXYJG-AAEUAGOBSA-N 0 3 317.351 4.039 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@H](C)c2cccc(C)c2)c1 ZINC000173936947 1054498528 /nfs/dbraw/zinc/49/85/28/1054498528.db2.gz ZTNIHKTWUWYDPK-DLBZAZTESA-N 0 3 324.468 4.374 20 0 DIADHN CC[C@@H]1CCC[C@@]1(C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC001445286698 1054500819 /nfs/dbraw/zinc/50/08/19/1054500819.db2.gz BUFBQJHFNUYHQU-VQIMIIECSA-N 0 3 302.462 4.212 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1csc(-c2ccc(C)cc2)n1 ZINC000174554038 1054512518 /nfs/dbraw/zinc/51/25/18/1054512518.db2.gz YNZOFTAYOQMSOC-AWEZNQCLSA-N 0 3 318.486 4.412 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCC(=O)N2 ZINC000174994976 1054518009 /nfs/dbraw/zinc/51/80/09/1054518009.db2.gz SPHBEEGHSPIFDI-CWTRNNRKSA-N 0 3 324.399 4.049 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCC(=O)N2 ZINC000174994961 1054518639 /nfs/dbraw/zinc/51/86/39/1054518639.db2.gz SPHBEEGHSPIFDI-HXPMCKFVSA-N 0 3 324.399 4.049 20 0 DIADHN CN1CCc2c(cccc2O[C@H]2CCc3ccc(Cl)cc32)C1 ZINC001234421283 1054518871 /nfs/dbraw/zinc/51/88/71/1054518871.db2.gz CNWOBOGFIUUIFE-IBGZPJMESA-N 0 3 313.828 4.394 20 0 DIADHN C[C@@H](Oc1cccc2c1CCN(C)C2)c1cccc(F)c1F ZINC001234424071 1054519441 /nfs/dbraw/zinc/51/94/41/1054519441.db2.gz ONUCHQGEOAHDHG-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN CN1CCc2c(cccc2O[C@H]2CC[C@H](C(F)(F)F)CC2)C1 ZINC001234423419 1054519581 /nfs/dbraw/zinc/51/95/81/1054519581.db2.gz KEQZSCZRTBKFFE-HDJSIYSDSA-N 0 3 313.363 4.174 20 0 DIADHN FC(F)Oc1ccc(Cl)cc1CN(CC1CC1)C1CC1 ZINC001234441020 1054524566 /nfs/dbraw/zinc/52/45/66/1054524566.db2.gz HIDCEVKVAZLACY-UHFFFAOYSA-N 0 3 301.764 4.316 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC000671296347 1054529451 /nfs/dbraw/zinc/52/94/51/1054529451.db2.gz CORRUCKWYIOAOO-JCURWCKSSA-N 0 3 314.429 4.188 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H](C)CCC(C)(C)C)c1 ZINC001446186824 1054529804 /nfs/dbraw/zinc/52/98/04/1054529804.db2.gz WJZDSHJYJNHNJY-HNNXBMFYSA-N 0 3 304.478 4.458 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)c1 ZINC001446183231 1054530302 /nfs/dbraw/zinc/53/03/02/1054530302.db2.gz OYZDMSLMOLWPFQ-BWTSREIZSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC000671296349 1054530567 /nfs/dbraw/zinc/53/05/67/1054530567.db2.gz CORRUCKWYIOAOO-ZLIFDBKOSA-N 0 3 314.429 4.188 20 0 DIADHN c1nc2cccc(O[C@@H]3CCCN(Cc4ccccc4)C3)c2s1 ZINC001234474860 1054530743 /nfs/dbraw/zinc/53/07/43/1054530743.db2.gz SUODFLMQJFXLQB-MRXNPFEDSA-N 0 3 324.449 4.340 20 0 DIADHN COc1ccccc1Oc1ccc(CNCc2cc[nH]c2)cc1 ZINC000175708857 1054531092 /nfs/dbraw/zinc/53/10/92/1054531092.db2.gz CSXZWGJZEPENEN-UHFFFAOYSA-N 0 3 308.381 4.105 20 0 DIADHN COc1cc(C)c(CN2CC[C@H]2C)cc1OCc1ccccc1 ZINC001234487158 1054533538 /nfs/dbraw/zinc/53/35/38/1054533538.db2.gz SALUAGADICBQFH-MRXNPFEDSA-N 0 3 311.425 4.177 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1ccc(Cl)c(F)c1 ZINC001347099169 1054556976 /nfs/dbraw/zinc/55/69/76/1054556976.db2.gz TZLQOYAGAZCDHR-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN CCCCCC(C)(C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001358004111 1054580653 /nfs/dbraw/zinc/58/06/53/1054580653.db2.gz NVHFEHCVXBNECG-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CC[C@@H](CN(CC)C(=O)CN(C)CC(C)(C)C)c1ccccc1 ZINC001448021397 1054584541 /nfs/dbraw/zinc/58/45/41/1054584541.db2.gz NXKJBJLTCIUWDL-KRWDZBQOSA-N 0 3 318.505 4.007 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(C)cc32)cc1OC1CC1 ZINC000623408394 1054588316 /nfs/dbraw/zinc/58/83/16/1054588316.db2.gz UOQLQNBRQFMRJY-LJQANCHMSA-N 0 3 323.436 4.322 20 0 DIADHN COc1ccc(CNCc2coc3ccccc23)cc1OC1CC1 ZINC000623419806 1054589379 /nfs/dbraw/zinc/58/93/79/1054589379.db2.gz XTUUXAWMGCAMRG-UHFFFAOYSA-N 0 3 323.392 4.272 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@@H](C)CCCO)cc1Cl ZINC000623444924 1054596960 /nfs/dbraw/zinc/59/69/60/1054596960.db2.gz XCBQOBYYCGZFSR-NSHDSACASA-N 0 3 320.260 4.031 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(C2CCC2)cc1)N1[C@H](C)CC[C@H]1C ZINC001448618791 1054600943 /nfs/dbraw/zinc/60/09/43/1054600943.db2.gz XLIHGKAKJKGVAQ-KFWWJZLASA-N 0 3 300.446 4.154 20 0 DIADHN C[C@@H]1C[C@H](CCNC(=O)C(C)(C)N2CCCCC2)CC(C)(C)C1 ZINC001448729244 1054606596 /nfs/dbraw/zinc/60/65/96/1054606596.db2.gz TUCYRZHCTVNTIE-SJORKVTESA-N 0 3 322.537 4.220 20 0 DIADHN C[C@@H]1C[C@@H](CCNC(=O)C(C)(C)N2CCCCC2)CC(C)(C)C1 ZINC001448729232 1054607034 /nfs/dbraw/zinc/60/70/34/1054607034.db2.gz TUCYRZHCTVNTIE-IAGOWNOFSA-N 0 3 322.537 4.220 20 0 DIADHN C[C@H]1C[C@@H](CCNC(=O)C(C)(C)N2CCCCC2)CC(C)(C)C1 ZINC001448729226 1054607099 /nfs/dbraw/zinc/60/70/99/1054607099.db2.gz TUCYRZHCTVNTIE-DLBZAZTESA-N 0 3 322.537 4.220 20 0 DIADHN CC(C)(CNCc1csc(C(F)(F)F)c1)C(F)(F)F ZINC000623515743 1054611988 /nfs/dbraw/zinc/61/19/88/1054611988.db2.gz NLUIBYDYBFRXGD-UHFFFAOYSA-N 0 3 305.287 4.445 20 0 DIADHN C[C@@H](NCCN1C[C@H](C)C[C@@H](C)C1)c1nc(C(C)(C)C)cs1 ZINC001473356035 1054626076 /nfs/dbraw/zinc/62/60/76/1054626076.db2.gz PTBRBLMXVAKPGI-RBSFLKMASA-N 0 3 323.550 4.069 20 0 DIADHN CCSCc1ccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1 ZINC001449732957 1054627422 /nfs/dbraw/zinc/62/74/22/1054627422.db2.gz OWGPWLVNQNPMPZ-KKUMJFAQSA-N 0 3 320.502 4.140 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001449893052 1054632860 /nfs/dbraw/zinc/63/28/60/1054632860.db2.gz JEXLVSISUNSTHA-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CC[C@H]1CCCN([C@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000348000463 1054640686 /nfs/dbraw/zinc/64/06/86/1054640686.db2.gz AJIVLSITNMYJAR-SJORKVTESA-N 0 3 302.462 4.061 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)Nc1ccccc1CN(C)C ZINC001450219830 1054642231 /nfs/dbraw/zinc/64/22/31/1054642231.db2.gz QSNCPLKXNXKBSM-UHFFFAOYSA-N 0 3 304.478 4.395 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]c(-c3ccccc3F)c2)CCC1(F)F ZINC001235036501 1054642837 /nfs/dbraw/zinc/64/28/37/1054642837.db2.gz UQAIYPJZHIJFFA-GFCCVEGCSA-N 0 3 308.347 4.298 20 0 DIADHN CN(CCOc1ccccc1)Cc1c[nH]c(-c2ccccc2F)c1 ZINC001235037780 1054643660 /nfs/dbraw/zinc/64/36/60/1054643660.db2.gz IWTOWMSUFVWXPF-UHFFFAOYSA-N 0 3 324.399 4.332 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C1CC(C(C)(C)C)C1 ZINC001450359090 1054646283 /nfs/dbraw/zinc/64/62/83/1054646283.db2.gz OSKQLKAXNPGVFS-UHFFFAOYSA-N 0 3 317.477 4.115 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000178292486 1054648924 /nfs/dbraw/zinc/64/89/24/1054648924.db2.gz VXLYSZMTTURKCQ-PIGZYNQJSA-N 0 3 303.837 4.184 20 0 DIADHN COCc1cc(CN[C@@H](C)c2cccc(C)c2C)ccc1F ZINC000178483055 1054652208 /nfs/dbraw/zinc/65/22/08/1054652208.db2.gz OXEKNAGXUJIMDC-HNNXBMFYSA-N 0 3 301.405 4.440 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1nc2c(s1)CCCC2 ZINC000623697128 1054657078 /nfs/dbraw/zinc/65/70/78/1054657078.db2.gz WQLZFOGLJCKSNT-UHFFFAOYSA-N 0 3 302.434 4.183 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnc3ccccn23)c2ccccc21 ZINC000623706369 1054659128 /nfs/dbraw/zinc/65/91/28/1054659128.db2.gz XATRHNFKQLDEML-OALUTQOASA-N 0 3 305.425 4.309 20 0 DIADHN CCc1ccc([C@H](COC)NCCCc2ccccc2Cl)o1 ZINC001473366301 1054662406 /nfs/dbraw/zinc/66/24/06/1054662406.db2.gz CSHQVSYEORNJCZ-KRWDZBQOSA-N 0 3 321.848 4.405 20 0 DIADHN c1cc(-c2csc(CN3CC[C@@H]4CCCO[C@H]4C3)c2)cs1 ZINC001235174848 1054673390 /nfs/dbraw/zinc/67/33/90/1054673390.db2.gz CJLFXCJRKDIGBU-GUYCJALGSA-N 0 3 319.495 4.478 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2c(C)cc(C)cc2C)c1 ZINC000179584341 1054690652 /nfs/dbraw/zinc/69/06/52/1054690652.db2.gz GPKBFAHGVGCOHK-UHFFFAOYSA-N 0 3 324.468 4.384 20 0 DIADHN Oc1ccc(C2CCN(Cc3cc4cccc(F)c4[nH]3)CC2)cc1 ZINC001235255105 1054693038 /nfs/dbraw/zinc/69/30/38/1054693038.db2.gz ZOWFJWPEMMCFDL-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN CCc1cccc(CN2CCC[C@]3(CCc4c3cccc4F)C2)n1 ZINC001235270395 1054695065 /nfs/dbraw/zinc/69/50/65/1054695065.db2.gz JDCKTTUDQLBZBA-NRFANRHFSA-N 0 3 324.443 4.263 20 0 DIADHN C[C@H](c1ccncc1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000179678355 1054696020 /nfs/dbraw/zinc/69/60/20/1054696020.db2.gz RZBJZNZAZJTJDG-CYBMUJFWSA-N 0 3 324.346 4.172 20 0 DIADHN Cc1ccc(-c2cccc(CN3C[C@H]4CC[C@@H](C3)C4=O)c2)cc1 ZINC001235314328 1054700003 /nfs/dbraw/zinc/70/00/03/1054700003.db2.gz JBHCPLHBJWOGOJ-BGYRXZFFSA-N 0 3 305.421 4.073 20 0 DIADHN CCC(CC)[C@H](NCc1cccc(C(=O)NC)c1)c1ccccc1 ZINC000179792436 1054702661 /nfs/dbraw/zinc/70/26/61/1054702661.db2.gz UIPOPQNJSDXLDW-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@@H]1CCCOc2cc(F)ccc21 ZINC000179968028 1054719774 /nfs/dbraw/zinc/71/97/74/1054719774.db2.gz WDIYLENCYJLCLE-QZTJIDSGSA-N 0 3 315.363 4.456 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cnc3ccccn13)CCC2 ZINC000623751365 1054720258 /nfs/dbraw/zinc/72/02/58/1054720258.db2.gz UYQKVKSBANSLPS-KRWDZBQOSA-N 0 3 311.816 4.155 20 0 DIADHN COc1cc(CN2CCC[C@H](c3ccccc3)C2)cc(F)c1F ZINC001235436486 1054723473 /nfs/dbraw/zinc/72/34/73/1054723473.db2.gz HHCQFOIETWUOHB-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN COc1cncc(CN2CC[C@H](c3cccc(Cl)c3)C2)c1C ZINC001235470065 1054731046 /nfs/dbraw/zinc/73/10/46/1054731046.db2.gz GWVODOUBKHXTEL-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CCN(Cc1c[nH]c2cnc(Cl)cc12)Cc1cccc(F)c1 ZINC001235514778 1054732242 /nfs/dbraw/zinc/73/22/42/1054732242.db2.gz LYUQMPNBKFNTBP-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2cc(C)cc(Cl)c2)C[C@@H]1C ZINC001235541667 1054739705 /nfs/dbraw/zinc/73/97/05/1054739705.db2.gz WUJNYMSEKKQBLZ-GOEBONIOSA-N 0 3 323.864 4.060 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2cc(C)cc(Cl)c2)C[C@H]1C ZINC001235541675 1054741851 /nfs/dbraw/zinc/74/18/51/1054741851.db2.gz WUJNYMSEKKQBLZ-ZBFHGGJFSA-N 0 3 323.864 4.060 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1CCC[C@@H](C)C1)c1nnnn1C1CCCCC1 ZINC001473553601 1054742799 /nfs/dbraw/zinc/74/27/99/1054742799.db2.gz LQIJFDYYZCZNSV-QKPAOTATSA-N 0 3 319.497 4.044 20 0 DIADHN C[C@@H]1CN(Cc2ccccn2)CC[C@@H]1Nc1cccc(Cl)c1 ZINC000180371963 1054753496 /nfs/dbraw/zinc/75/34/96/1054753496.db2.gz MSSSFVGTPXHTFE-KDOFPFPSSA-N 0 3 315.848 4.058 20 0 DIADHN CCC[C@H]1CCCCN1Cc1c(C)cncc1Br ZINC001235641156 1054756078 /nfs/dbraw/zinc/75/60/78/1054756078.db2.gz BMNJKQVWPLNZHC-ZDUSSCGKSA-N 0 3 311.267 4.307 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001235627678 1054756367 /nfs/dbraw/zinc/75/63/67/1054756367.db2.gz AJJBTXMCSUXSOA-ZBFHGGJFSA-N 0 3 304.434 4.036 20 0 DIADHN CN(Cc1ccc(Cl)nc1)Cc1ccccc1-c1ccncc1 ZINC001235620004 1054756699 /nfs/dbraw/zinc/75/66/99/1054756699.db2.gz GJPBOKTXLGPDJJ-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN CCN(Cc1ccccn1)Cc1ccccc1-c1ccncc1 ZINC001235628273 1054761480 /nfs/dbraw/zinc/76/14/80/1054761480.db2.gz NQILLHXWEQLZLQ-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001235633623 1054761691 /nfs/dbraw/zinc/76/16/91/1054761691.db2.gz ZPIDVQUVBOAZFO-WBVHZDCISA-N 0 3 318.461 4.426 20 0 DIADHN COc1ccc(F)cc1CNCC1(C(F)(F)F)CCCC1 ZINC000623787997 1054762784 /nfs/dbraw/zinc/76/27/84/1054762784.db2.gz KEPRTLNBZXLUGS-UHFFFAOYSA-N 0 3 305.315 4.047 20 0 DIADHN C[C@H](CNc1ccc2ccccc2n1)N1CCc2sccc2C1 ZINC000519175285 1054765881 /nfs/dbraw/zinc/76/58/81/1054765881.db2.gz BUNVQILTJWTZAW-CQSZACIVSA-N 0 3 323.465 4.155 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](c2cccc(C)c2)C(C)(C)C)c1 ZINC000180799440 1054777236 /nfs/dbraw/zinc/77/72/36/1054777236.db2.gz FNRSRCVSFXEELN-IBGZPJMESA-N 0 3 324.468 4.232 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000180804451 1054778777 /nfs/dbraw/zinc/77/87/77/1054778777.db2.gz DLQZOMLXTFIGKM-ZDUSSCGKSA-N 0 3 324.346 4.172 20 0 DIADHN CSc1ccsc1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000180858120 1054780786 /nfs/dbraw/zinc/78/07/86/1054780786.db2.gz UVWUQSDMXYIEPO-UHFFFAOYSA-N 0 3 320.483 4.092 20 0 DIADHN Cc1cccc(CN(Cc2nc3ccc(F)cc3[nH]2)C2CC2)c1C ZINC001235700462 1054782719 /nfs/dbraw/zinc/78/27/19/1054782719.db2.gz LDHKAEFCNLCCMV-UHFFFAOYSA-N 0 3 323.415 4.483 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2[nH]1)Cc1cccc(Cl)c1 ZINC001235699629 1054783359 /nfs/dbraw/zinc/78/33/59/1054783359.db2.gz FQAMKBXYZYPPBB-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN COc1cc(CNCc2ccccc2CC(F)(F)F)ccc1C ZINC000623821251 1054784714 /nfs/dbraw/zinc/78/47/14/1054784714.db2.gz NQBUVZZBRDYKST-UHFFFAOYSA-N 0 3 323.358 4.398 20 0 DIADHN Nc1cnccc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001235714063 1054784928 /nfs/dbraw/zinc/78/49/28/1054784928.db2.gz GGUXISUVAOKCIY-UHFFFAOYSA-N 0 3 317.436 4.197 20 0 DIADHN Cc1csc([C@@H](C)NCCCSc2ccc(F)cc2)n1 ZINC000623850540 1054786355 /nfs/dbraw/zinc/78/63/55/1054786355.db2.gz KDEBEXZPXXHMKS-GFCCVEGCSA-N 0 3 310.463 4.424 20 0 DIADHN CCc1cnc(CNCCc2c[nH]c3c2cccc3Cl)s1 ZINC000623834374 1054786595 /nfs/dbraw/zinc/78/65/95/1054786595.db2.gz WOYKALFDOBRAEQ-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN CCC(F)(F)c1ccccc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC001454989004 1054787462 /nfs/dbraw/zinc/78/74/62/1054787462.db2.gz BONIZKSMJBQBGW-HZSPNIEDSA-N 0 3 324.415 4.388 20 0 DIADHN CCOc1cccc(F)c1CNCCc1ccc2[nH]ccc2c1 ZINC001474124642 1054788181 /nfs/dbraw/zinc/78/81/81/1054788181.db2.gz WDGHLLMGTJMAQO-UHFFFAOYSA-N 0 3 312.388 4.038 20 0 DIADHN Cc1csc([C@H](C)NCCCCOc2ccc(C)cc2)n1 ZINC000623865991 1054793929 /nfs/dbraw/zinc/79/39/29/1054793929.db2.gz HSMHHBANARFDMB-HNNXBMFYSA-N 0 3 304.459 4.270 20 0 DIADHN Cc1csc([C@@H](C)NCCc2ccc(C(F)(F)F)cc2)n1 ZINC000623851809 1054794797 /nfs/dbraw/zinc/79/47/97/1054794797.db2.gz IMBUQUBIRSIWMV-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN CSCC(C)(C)NCc1cc2cccc(C)c2nc1Cl ZINC001474290672 1054797312 /nfs/dbraw/zinc/79/73/12/1054797312.db2.gz SIPNXYWCHZGTKI-UHFFFAOYSA-N 0 3 308.878 4.428 20 0 DIADHN CC[C@@H](C)N[C@@H](CNc1nc2cccc(F)c2o1)c1ccco1 ZINC000624210217 1054797862 /nfs/dbraw/zinc/79/78/62/1054797862.db2.gz GKJVVKIZUVMTJT-RISCZKNCSA-N 0 3 317.364 4.101 20 0 DIADHN Cc1csc([C@@H](C)NCCCCOCc2ccccc2)n1 ZINC000623881371 1054799409 /nfs/dbraw/zinc/79/94/09/1054799409.db2.gz LSMNJPQNGVQFPN-OAHLLOKOSA-N 0 3 304.459 4.099 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H]2CCCc3scnc32)cc1 ZINC000623878299 1054799984 /nfs/dbraw/zinc/79/99/84/1054799984.db2.gz DUXWKPUWHBJMDJ-CJNGLKHVSA-N 0 3 316.470 4.140 20 0 DIADHN C[C@H](CN[C@H]1CCCc2scnc21)c1ccc(F)cc1F ZINC000623900833 1054807904 /nfs/dbraw/zinc/80/79/04/1054807904.db2.gz SUSSQRGIDPXFHU-YGRLFVJLSA-N 0 3 308.397 4.192 20 0 DIADHN Cc1csc([C@@H](C)NCC2(Sc3ccccc3)CC2)n1 ZINC000623891480 1054809439 /nfs/dbraw/zinc/80/94/39/1054809439.db2.gz NBLCVAPQCNCYPZ-CYBMUJFWSA-N 0 3 304.484 4.427 20 0 DIADHN Cc1csc([C@H](C)NCCCc2nc3c(s2)CCCC3)n1 ZINC000623885191 1054809873 /nfs/dbraw/zinc/80/98/73/1054809873.db2.gz SUSFJFRZJHWHKI-LBPRGKRZSA-N 0 3 321.515 4.070 20 0 DIADHN CCOc1cc(CN2CCC3(CCOC3(C)C)CC2)ccc1F ZINC001235807614 1054810124 /nfs/dbraw/zinc/81/01/24/1054810124.db2.gz QMXDFYYVZNZQAS-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN Cc1cc(CN2CCC3(CCCC3)CC2)cnc1Br ZINC001235820252 1054811578 /nfs/dbraw/zinc/81/15/78/1054811578.db2.gz XBGFJVIFVPGHAA-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN Cc1nc(F)ccc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001235817240 1054812033 /nfs/dbraw/zinc/81/20/33/1054812033.db2.gz FUQCQWKLJGPZQL-LBPRGKRZSA-N 0 3 318.823 4.344 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@H](CCc2ccccc2)C1 ZINC001235818165 1054812425 /nfs/dbraw/zinc/81/24/25/1054812425.db2.gz DZQORXACHVYYDB-GOSISDBHSA-N 0 3 312.432 4.374 20 0 DIADHN Cc1cc(CN2CCC[C@H]3CCCC[C@@H]32)cnc1Br ZINC001235827342 1054814516 /nfs/dbraw/zinc/81/45/16/1054814516.db2.gz JPVBNYWWDIFZQR-CABCVRRESA-N 0 3 323.278 4.307 20 0 DIADHN Fc1ccc(CC2(CN[C@@H]3CCCc4scnc43)CC2)cc1 ZINC000623908163 1054814983 /nfs/dbraw/zinc/81/49/83/1054814983.db2.gz QTMQQSSYOZFBHP-OAHLLOKOSA-N 0 3 316.445 4.272 20 0 DIADHN Cc1cc(CN2CCC[C@@H]3CCCC[C@H]32)cnc1Br ZINC001235827349 1054815691 /nfs/dbraw/zinc/81/56/91/1054815691.db2.gz JPVBNYWWDIFZQR-LSDHHAIUSA-N 0 3 323.278 4.307 20 0 DIADHN C[C@@H](NCCCC(F)(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000623901900 1054816616 /nfs/dbraw/zinc/81/66/16/1054816616.db2.gz WMFMPBCLHNNZRW-GFCCVEGCSA-N 0 3 311.351 4.080 20 0 DIADHN CC[C@@H](N[C@H](CO)c1cccc(F)c1)c1cc(C)ccc1C ZINC000623915766 1054818082 /nfs/dbraw/zinc/81/80/82/1054818082.db2.gz QZVMBWBVGKIDIJ-RTBURBONSA-N 0 3 301.405 4.217 20 0 DIADHN CCC[C@H](N[C@@H](CO)CC(F)(F)F)c1cc(C)sc1C ZINC000623926066 1054820077 /nfs/dbraw/zinc/82/00/77/1054820077.db2.gz XQTICGDBWKXHEI-YPMHNXCESA-N 0 3 309.397 4.109 20 0 DIADHN CCC[C@@H](N[C@H](CO)CC(F)(F)F)c1cc(C)sc1C ZINC000623926065 1054820405 /nfs/dbraw/zinc/82/04/05/1054820405.db2.gz XQTICGDBWKXHEI-WCQYABFASA-N 0 3 309.397 4.109 20 0 DIADHN Cc1nc2cc(CN3CC[C@@H](C)C(F)(F)CC3)ccc2s1 ZINC001235851468 1054823783 /nfs/dbraw/zinc/82/37/83/1054823783.db2.gz YQBFVPHKZJRWCK-LLVKDONJSA-N 0 3 310.413 4.472 20 0 DIADHN C[C@H](N[C@H]1CCCc2c3cc(Cl)ccc3[nH]c21)c1cn[nH]c1 ZINC000623925554 1054827123 /nfs/dbraw/zinc/82/71/23/1054827123.db2.gz IQLBYWVACARODV-QFYYESIMSA-N 0 3 314.820 4.273 20 0 DIADHN Cc1csc([C@@H](C)NCC2(c3ccc(F)cc3F)CC2)n1 ZINC000623925421 1054828424 /nfs/dbraw/zinc/82/84/24/1054828424.db2.gz DQYPJSOISJUSSA-LLVKDONJSA-N 0 3 308.397 4.112 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(F)c(CO)c2F)cc1F ZINC001235896327 1054834455 /nfs/dbraw/zinc/83/44/55/1054834455.db2.gz BYRJEVQONSKXGA-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@H]2CCCc3scnc32)CCO1 ZINC000623956635 1054834461 /nfs/dbraw/zinc/83/44/61/1054834461.db2.gz KWFSCDAGWXIUFU-ILXRZTDVSA-N 0 3 308.491 4.094 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(F)c(CO)c2F)ccc1F ZINC001235896794 1054834838 /nfs/dbraw/zinc/83/48/38/1054834838.db2.gz OEXAUXJXOHQTGM-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN C[C@@H]1CCCC[N@@H+]1Cc1ccc(-c2cc([O-])cc(Cl)c2)nc1 ZINC001235899403 1054837767 /nfs/dbraw/zinc/83/77/67/1054837767.db2.gz HNPTZMRJVVDFJG-CYBMUJFWSA-N 0 3 316.832 4.482 20 0 DIADHN C[C@@H]1CCCC[N@H+]1Cc1ccc(-c2cc([O-])cc(Cl)c2)nc1 ZINC001235899403 1054837775 /nfs/dbraw/zinc/83/77/75/1054837775.db2.gz HNPTZMRJVVDFJG-CYBMUJFWSA-N 0 3 316.832 4.482 20 0 DIADHN c1nc2c(s1)CCC[C@H]2N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000623960616 1054837970 /nfs/dbraw/zinc/83/79/70/1054837970.db2.gz BMDUOGYZKBPPIH-OAGGEKHMSA-N 0 3 314.454 4.030 20 0 DIADHN CC(C)(C)OC1CC(CCN[C@@H]2CCCc3scnc32)C1 ZINC000623970813 1054841691 /nfs/dbraw/zinc/84/16/91/1054841691.db2.gz ALDANLRCBFVSND-JXQTWKCFSA-N 0 3 308.491 4.094 20 0 DIADHN COc1cc(CCN[C@@H]2CCCc3scnc32)ccc1Cl ZINC000623990277 1054846591 /nfs/dbraw/zinc/84/65/91/1054846591.db2.gz YZLXSFKZJJHDIA-CYBMUJFWSA-N 0 3 322.861 4.015 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000623998109 1054850396 /nfs/dbraw/zinc/85/03/96/1054850396.db2.gz GSZWWVSLHILSBR-QZTJIDSGSA-N 0 3 322.452 4.271 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)c1 ZINC000624005298 1054853178 /nfs/dbraw/zinc/85/31/78/1054853178.db2.gz MGTQJBPKBFNVCM-RTBURBONSA-N 0 3 322.452 4.271 20 0 DIADHN Cc1cc(F)ccc1C[C@H](C)N[C@H]1CCCc2scnc21 ZINC000624010225 1054855580 /nfs/dbraw/zinc/85/55/80/1054855580.db2.gz KRWCEUQQFLIMBP-WFASDCNBSA-N 0 3 304.434 4.189 20 0 DIADHN FC(F)(F)[C@H](CN[C@H](CC1CC1)c1ccccc1)n1cccn1 ZINC000624042729 1054863830 /nfs/dbraw/zinc/86/38/30/1054863830.db2.gz ABIUDDCGVWNJEI-CVEARBPZSA-N 0 3 323.362 4.118 20 0 DIADHN CCC[C@H](NC[C@H](n1cccn1)C(F)(F)F)c1ccccc1 ZINC000624041830 1054864728 /nfs/dbraw/zinc/86/47/28/1054864728.db2.gz JZFZMKYKYARDJQ-GJZGRUSLSA-N 0 3 311.351 4.118 20 0 DIADHN Nc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)cc1F ZINC001235949631 1054868183 /nfs/dbraw/zinc/86/81/83/1054868183.db2.gz FFQCLIJPTXKGQA-CQSZACIVSA-N 0 3 304.796 4.051 20 0 DIADHN FC1(F)[C@@H]2C[C@@H](NCc3csc(-c4cccs4)n3)C[C@@H]21 ZINC001350136751 1054875626 /nfs/dbraw/zinc/87/56/26/1054875626.db2.gz TUAVUARTBBICDN-UIKWRGBMSA-N 0 3 312.410 4.005 20 0 DIADHN CC(C)CC[C@H](CO)N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000624110841 1054879565 /nfs/dbraw/zinc/87/95/65/1054879565.db2.gz HLFNPQQPZPLTJD-VQIMIIECSA-N 0 3 312.457 4.196 20 0 DIADHN Cn1ccc2c1ccnc2-c1cccc(CN2CCCCC2)c1F ZINC001236003203 1054889350 /nfs/dbraw/zinc/88/93/50/1054889350.db2.gz TZKRHMATQYGVFD-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CC[C@H](OCCC(C)C)CC2)cs1 ZINC000624147307 1054890753 /nfs/dbraw/zinc/89/07/53/1054890753.db2.gz ZETAWDLACXVAIV-BPUTZDHNSA-N 0 3 310.507 4.476 20 0 DIADHN Cc1nc(C)c(C)c(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236004488 1054890813 /nfs/dbraw/zinc/89/08/13/1054890813.db2.gz JFEFLTMINZMJJV-UHFFFAOYSA-N 0 3 313.420 4.194 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2ncccc2n1 ZINC001236005942 1054891054 /nfs/dbraw/zinc/89/10/54/1054891054.db2.gz VGSKRSVIOPAKOK-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CC(C)c1ccc(-c2cccc(CN3CCCCC3)c2F)nn1 ZINC001236004783 1054891240 /nfs/dbraw/zinc/89/12/40/1054891240.db2.gz PRCZLWIYAWFUGA-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc2cnccc21 ZINC001236003820 1054892286 /nfs/dbraw/zinc/89/22/86/1054892286.db2.gz GUWYFEDVLMQBNK-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cnc(-c2cccc(CN3CCCCC3)c2F)c(C)c1 ZINC001236003824 1054892376 /nfs/dbraw/zinc/89/23/76/1054892376.db2.gz HAGKGDFEUTUWGT-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1nc(F)ccc1-c1cccc(CN2CCCCC2)c1F ZINC001236011501 1054894069 /nfs/dbraw/zinc/89/40/69/1054894069.db2.gz HTQHYSLRNQHCKH-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COc1cncc(-c2cccc(CN3CCCCC3)c2F)c1C ZINC001236015179 1054896519 /nfs/dbraw/zinc/89/65/19/1054896519.db2.gz LOBDUSOJZOBWNN-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1ncc(C)cc1-c1cccc(CN2CCCCC2)c1F ZINC001236014831 1054896564 /nfs/dbraw/zinc/89/65/64/1054896564.db2.gz HUMOQCKIRSLWIU-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cn2c(cccc2-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236012975 1054897668 /nfs/dbraw/zinc/89/76/68/1054897668.db2.gz WFGOODBONGJVLS-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2c(c1)CCN2 ZINC001236013037 1054898405 /nfs/dbraw/zinc/89/84/05/1054898405.db2.gz YVFIIZIONSTARJ-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2c(c1)NCC2 ZINC001236021387 1054899298 /nfs/dbraw/zinc/89/92/98/1054899298.db2.gz QEBQZBNBKIQAAQ-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccc(-c2ccccc2)cn1 ZINC000624183700 1054921819 /nfs/dbraw/zinc/92/18/19/1054921819.db2.gz ZYZXSSWRAVELNM-YXTCSXCUSA-N 0 3 310.441 4.211 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](C)c1cc2cc(Cl)ccc2o1 ZINC000181587820 1054922120 /nfs/dbraw/zinc/92/21/20/1054922120.db2.gz RKLVIPADSNLESR-RYUDHWBXSA-N 0 3 322.836 4.042 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@@H](C)c1cc2cc(Cl)ccc2o1 ZINC000181587806 1054922405 /nfs/dbraw/zinc/92/24/05/1054922405.db2.gz RKLVIPADSNLESR-NEPJUHHUSA-N 0 3 322.836 4.042 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2cccnc2)C12CCC2 ZINC000181681155 1054930215 /nfs/dbraw/zinc/93/02/15/1054930215.db2.gz HBEOONKUKIQNSJ-AQNXPRMDSA-N 0 3 322.452 4.108 20 0 DIADHN CCC[C@H](NCc1ccc(C(=O)OC)s1)c1ccccc1 ZINC000181779629 1054936487 /nfs/dbraw/zinc/93/64/87/1054936487.db2.gz XSCKBUOVAHQCOY-HNNXBMFYSA-N 0 3 303.427 4.166 20 0 DIADHN Nc1ccc2c(c1)CCN(Cc1ccc(F)c3ccccc13)C2 ZINC001236105567 1054949265 /nfs/dbraw/zinc/94/92/65/1054949265.db2.gz PIDCJQLREQISSO-UHFFFAOYSA-N 0 3 306.384 4.119 20 0 DIADHN Clc1ccc(C[C@@H](NCc2cn[nH]c2)c2ccccc2)cc1 ZINC000624217189 1054958686 /nfs/dbraw/zinc/95/86/86/1054958686.db2.gz GPGMUXSBULYALV-GOSISDBHSA-N 0 3 311.816 4.137 20 0 DIADHN CCc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)o1 ZINC000182110164 1054961241 /nfs/dbraw/zinc/96/12/41/1054961241.db2.gz QBVCUXGFOZKWOX-SJORKVTESA-N 0 3 305.805 4.115 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccoc1 ZINC000624225519 1054981710 /nfs/dbraw/zinc/98/17/10/1054981710.db2.gz WORSUFOXGLIWSR-FZMZJTMJSA-N 0 3 308.784 4.002 20 0 DIADHN CSc1ccc(NC(=O)CN[C@@H](C)c2ccc(C)cc2)cc1 ZINC000182339954 1054983444 /nfs/dbraw/zinc/98/34/44/1054983444.db2.gz HQVFUFYZGNCMAD-AWEZNQCLSA-N 0 3 314.454 4.006 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c(C)n1 ZINC001236189492 1054987480 /nfs/dbraw/zinc/98/74/80/1054987480.db2.gz FFQVSXQLYHBZHG-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN C[C@H]([C@H](C)C(=O)N1CCN(CC2CCCCC2)CC1)C(C)(C)C ZINC001535620459 1054992182 /nfs/dbraw/zinc/99/21/82/1054992182.db2.gz XPVUGKZCZLGUTO-DLBZAZTESA-N 0 3 322.537 4.029 20 0 DIADHN c1[nH]c2ccccc2c1[C@@H]1CCCN1Cc1ncn2ccccc12 ZINC001236194022 1054993293 /nfs/dbraw/zinc/99/32/93/1054993293.db2.gz VKSUFULTLADUMS-IBGZPJMESA-N 0 3 316.408 4.153 20 0 DIADHN COc1cncc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c1C ZINC001236196361 1054995622 /nfs/dbraw/zinc/99/56/22/1054995622.db2.gz YIWKUQGLGOTOKM-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2Cl)o1)C1CCCCC1 ZINC000182700208 1055003420 /nfs/dbraw/zinc/00/34/20/1055003420.db2.gz KAPBPBWNEIBKOS-GFCCVEGCSA-N 0 3 319.836 4.448 20 0 DIADHN c1ccc2c(c1)CC[C@H]2Nc1cccc(CN2CCSCC2)c1 ZINC000182804756 1055009665 /nfs/dbraw/zinc/00/96/65/1055009665.db2.gz LPWQIRMVJBQREW-HXUWFJFHSA-N 0 3 324.493 4.335 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cc(C)cc(C)c2)s1 ZINC000182924469 1055018442 /nfs/dbraw/zinc/01/84/42/1055018442.db2.gz RJMKTUVTFZHYPT-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN COc1cnc(-c2ccc(CN3CCCCC3)c(F)c2)c(C)c1 ZINC001236232428 1055020968 /nfs/dbraw/zinc/02/09/68/1055020968.db2.gz NDWUWYXFCKKIRU-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCc1cc(-c2ccc(CN3CCCCC3)c(F)c2)nc(C)n1 ZINC001236231251 1055022351 /nfs/dbraw/zinc/02/23/51/1055022351.db2.gz HLONSIKKBJXUIX-UHFFFAOYSA-N 0 3 313.420 4.139 20 0 DIADHN CC(C)c1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nn1 ZINC001236233775 1055025122 /nfs/dbraw/zinc/02/51/22/1055025122.db2.gz UJEBBZINPYGKMP-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1cc(-c2nccc(C(F)F)n2)ccc1CN1CCCCC1 ZINC001236244186 1055028858 /nfs/dbraw/zinc/02/88/58/1055028858.db2.gz ILGYOLIRHVMWGK-UHFFFAOYSA-N 0 3 321.346 4.206 20 0 DIADHN Fc1cc(-c2ncc(C(F)F)cn2)ccc1CN1CCCCC1 ZINC001236245967 1055028952 /nfs/dbraw/zinc/02/89/52/1055028952.db2.gz XAKYGDKNTZSHOO-UHFFFAOYSA-N 0 3 321.346 4.206 20 0 DIADHN FC(F)(F)[C@@H]1CC=C(c2ccc(CN3CCCC3)cn2)CC1 ZINC001236248120 1055031334 /nfs/dbraw/zinc/03/13/34/1055031334.db2.gz BNLXYZLODJFELQ-OAHLLOKOSA-N 0 3 310.363 4.423 20 0 DIADHN Fc1cc(-c2cccn3ccnc23)ccc1CN1CCCCC1 ZINC001236248002 1055031358 /nfs/dbraw/zinc/03/13/58/1055031358.db2.gz BQWFSWLHQOLLPV-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN Cc1ncc(-c2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001236248971 1055032116 /nfs/dbraw/zinc/03/21/16/1055032116.db2.gz MTBBBAARDZOKCP-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1nc(F)ccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236249537 1055033062 /nfs/dbraw/zinc/03/30/62/1055033062.db2.gz TUYCAIXGRPEZLP-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN FC(F)(F)[C@H]1CC=C(c2ncccc2CN2CCCC2)CC1 ZINC001236250461 1055033452 /nfs/dbraw/zinc/03/34/52/1055033452.db2.gz WTIOELAVVUGRGT-HNNXBMFYSA-N 0 3 310.363 4.423 20 0 DIADHN Fc1cc(-c2cncnc2C2CC2)ccc1CN1CCCCC1 ZINC001236249675 1055033618 /nfs/dbraw/zinc/03/36/18/1055033618.db2.gz ALQACWDCSLYHET-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Fc1ccc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236249710 1055033680 /nfs/dbraw/zinc/03/36/80/1055033680.db2.gz BCRPFINLLZPMEP-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cn2ccnc12 ZINC001236249699 1055034075 /nfs/dbraw/zinc/03/40/75/1055034075.db2.gz ZUSAZCDSNRPRHR-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccccc1)c1cccc(F)c1F ZINC000183276983 1055036868 /nfs/dbraw/zinc/03/68/68/1055036868.db2.gz OUIRWKXBLDTULL-ULFGMLNVSA-N 0 3 303.352 4.146 20 0 DIADHN CC(C)Cc1ncc(CN(C)C[C@@H](C)c2nccs2)s1 ZINC000183254639 1055038353 /nfs/dbraw/zinc/03/83/53/1055038353.db2.gz XOMRLDJSVIOIJH-GFCCVEGCSA-N 0 3 309.504 4.034 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2cccc(F)c2F)cs1 ZINC000183253327 1055038971 /nfs/dbraw/zinc/03/89/71/1055038971.db2.gz IALLZFWPADXREH-LLVKDONJSA-N 0 3 310.413 4.438 20 0 DIADHN CC(C)C(=O)Nc1ccc(-c2cc(N3CCCCC3)ccn2)cc1 ZINC001236260993 1055042352 /nfs/dbraw/zinc/04/23/52/1055042352.db2.gz HWWDMIYOHJLVKL-UHFFFAOYSA-N 0 3 323.440 4.333 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000183517846 1055048476 /nfs/dbraw/zinc/04/84/76/1055048476.db2.gz MWBMYHIXVDYFKJ-ZYHUDNBSSA-N 0 3 311.882 4.019 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CCc3ccncc3)s2)cc1 ZINC000183755289 1055057021 /nfs/dbraw/zinc/05/70/21/1055057021.db2.gz VGEPFRLLGQHNGY-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN Fc1ccc([C@@H](NCC2(C3CC3)CCC2)c2ccccc2)nc1 ZINC000183807473 1055058019 /nfs/dbraw/zinc/05/80/19/1055058019.db2.gz FJVUCJXZWRMRFO-IBGZPJMESA-N 0 3 310.416 4.480 20 0 DIADHN O=C(Nc1ccc(-c2cncc3cc[nH]c32)cc1)c1ccccc1 ZINC001236335078 1055061591 /nfs/dbraw/zinc/06/15/91/1055061591.db2.gz ZEERIWUMEFUNJR-UHFFFAOYSA-N 0 3 313.360 4.482 20 0 DIADHN Nc1ccc(C2CCN(Cc3cccc(Cl)c3)CC2)cc1 ZINC001236334686 1055062793 /nfs/dbraw/zinc/06/27/93/1055062793.db2.gz CJJNTGKNDMNELZ-UHFFFAOYSA-N 0 3 300.833 4.302 20 0 DIADHN Nc1ccc(C2CCN(Cc3cc4cccc(F)c4[nH]3)CC2)cc1 ZINC001236337316 1055066201 /nfs/dbraw/zinc/06/62/01/1055066201.db2.gz TXMLHOPKTCCZDF-UHFFFAOYSA-N 0 3 323.415 4.269 20 0 DIADHN CC[C@@H](NCCCOc1ccc(F)cc1)c1nc(C)cs1 ZINC000184340465 1055073599 /nfs/dbraw/zinc/07/35/99/1055073599.db2.gz SSRKCBMDTUXXLR-OAHLLOKOSA-N 0 3 308.422 4.100 20 0 DIADHN Nc1cccc2c1CCN(Cc1ccc(-c3ccccc3)o1)C2 ZINC001236365466 1055075365 /nfs/dbraw/zinc/07/53/65/1055075365.db2.gz KUHUBDPQEYHHOI-UHFFFAOYSA-N 0 3 304.393 4.087 20 0 DIADHN Cc1nccn1CCCCN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000184502000 1055077563 /nfs/dbraw/zinc/07/75/63/1055077563.db2.gz FMUMPKIJQFLEHV-CYBMUJFWSA-N 0 3 320.506 4.077 20 0 DIADHN C[C@@H](N[C@H]1CCCc2n[nH]cc21)c1cccc(C(F)(F)F)c1 ZINC000367617457 1055080016 /nfs/dbraw/zinc/08/00/16/1055080016.db2.gz NITDJDBGZBXJOD-YGRLFVJLSA-N 0 3 309.335 4.157 20 0 DIADHN CC[C@@H](NCCCOc1ccc(C)c(C)c1)c1nccs1 ZINC000185064264 1055087554 /nfs/dbraw/zinc/08/75/54/1055087554.db2.gz YOHCVIIEPYSNGG-MRXNPFEDSA-N 0 3 304.459 4.270 20 0 DIADHN CSc1ccc(Cl)cc1CN(C)CCc1ccccn1 ZINC001238673434 1055107722 /nfs/dbraw/zinc/10/77/22/1055107722.db2.gz YHXCTQZHNYJOKQ-UHFFFAOYSA-N 0 3 306.862 4.131 20 0 DIADHN CCCOc1ccc(Cl)cc1-c1cccc(CN(C)C)n1 ZINC001236450133 1055119764 /nfs/dbraw/zinc/11/97/64/1055119764.db2.gz CRBJGKIHEVWXBI-UHFFFAOYSA-N 0 3 304.821 4.252 20 0 DIADHN COc1ccc2cc(CN(C)[C@@H](C)c3cccnc3)ccc2c1 ZINC000194298918 1055120590 /nfs/dbraw/zinc/12/05/90/1055120590.db2.gz FWISLSDDBVNQIH-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1nnc(-c2ccccc2Cl)o1 ZINC000194374655 1055121682 /nfs/dbraw/zinc/12/16/82/1055121682.db2.gz CNUUOCIKGPJYTI-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN C=Cn1cc(CN[C@@H](c2ccc(C(F)(F)F)cc2)C(C)C)cn1 ZINC000194392344 1055124551 /nfs/dbraw/zinc/12/45/51/1055124551.db2.gz BEKLKIXUSKXKGT-MRXNPFEDSA-N 0 3 323.362 4.489 20 0 DIADHN CC(C)c1nccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236472156 1055131376 /nfs/dbraw/zinc/13/13/76/1055131376.db2.gz UNIBVBPVUUFOSH-MOPGFXCFSA-N 0 3 319.452 4.420 20 0 DIADHN COc1cncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1C ZINC001236473715 1055134070 /nfs/dbraw/zinc/13/40/70/1055134070.db2.gz GFHHHQRGNBOFKJ-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN CSc1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001236475188 1055135804 /nfs/dbraw/zinc/13/58/04/1055135804.db2.gz MMAMKPODEFIQMH-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN CC[C@@H](C)CN(CC)[C@H](C)c1nnc(-c2cccc(C)c2)o1 ZINC000764283275 1055147369 /nfs/dbraw/zinc/14/73/69/1055147369.db2.gz PMTDWUNSAOYAEQ-UKRRQHHQSA-N 0 3 301.434 4.474 20 0 DIADHN CC[C@H](C)CN(CC)[C@H](C)c1nnc(-c2cccc(C)c2)o1 ZINC000764283270 1055147968 /nfs/dbraw/zinc/14/79/68/1055147968.db2.gz PMTDWUNSAOYAEQ-DZGCQCFKSA-N 0 3 301.434 4.474 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)OC ZINC000381125841 1055150285 /nfs/dbraw/zinc/15/02/85/1055150285.db2.gz GCVWCIXQMHJAMH-SCLBCKFNSA-N 0 3 305.393 4.236 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N(CC)CCc1ccccn1 ZINC000764310459 1055154330 /nfs/dbraw/zinc/15/43/30/1055154330.db2.gz JYQSRFVTSIXCBJ-GOSISDBHSA-N 0 3 304.384 4.376 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN([C@H](C)C1CC1)C1CC1 ZINC000195533833 1055155501 /nfs/dbraw/zinc/15/55/01/1055155501.db2.gz XSYCJGRHMKQQSC-CYBMUJFWSA-N 0 3 301.430 4.051 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@@H]2CCC[C@H](C)C2)c1Cl ZINC000381589242 1055173322 /nfs/dbraw/zinc/17/33/22/1055173322.db2.gz DEHSGLPBOFLNBO-GXTWGEPZSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccc(F)cc3F)CC2)o1 ZINC001236594384 1055200784 /nfs/dbraw/zinc/20/07/84/1055200784.db2.gz KFHVNSUOHGBOEX-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2C[C@@H]2C(F)F)sc1Br ZINC000382250151 1055208207 /nfs/dbraw/zinc/20/82/07/1055208207.db2.gz UVRMPOAZDBXVFN-FXQIFTODSA-N 0 3 310.207 4.123 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H](c3ccccc3)C2)n1 ZINC001236639731 1055209015 /nfs/dbraw/zinc/20/90/15/1055209015.db2.gz RSIOWRJDTLCPCQ-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN Fc1cc(CN2CCCC3(CC(F)C3)CC2)c2c(c1)CCCO2 ZINC001236631191 1055209082 /nfs/dbraw/zinc/20/90/82/1055209082.db2.gz YXFDLSRRUQSUPK-UHFFFAOYSA-N 0 3 321.411 4.255 20 0 DIADHN FC(F)(F)c1cc(CN(CC2CC2)C2CC2)cnc1Cl ZINC001236632058 1055209378 /nfs/dbraw/zinc/20/93/78/1055209378.db2.gz RSBHPZPMUACAJL-UHFFFAOYSA-N 0 3 304.743 4.128 20 0 DIADHN COc1cccc(CCCN(C)Cc2cc(Cl)cc(C)n2)c1 ZINC001236648226 1055212040 /nfs/dbraw/zinc/21/20/40/1055212040.db2.gz TVPDUOMHERFTGL-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cc(Cl)cc(C)n1 ZINC001236647325 1055212526 /nfs/dbraw/zinc/21/25/26/1055212526.db2.gz LOPVEFWLMMBLKX-AWEZNQCLSA-N 0 3 318.848 4.115 20 0 DIADHN Cc1c(F)ccc(CN2CC[C@@H](c3cccnc3)C2)c1Cl ZINC001236712769 1055236331 /nfs/dbraw/zinc/23/63/31/1055236331.db2.gz XIKVJYGFLQQMFD-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN Oc1ccc(CN2CCC3(CCC3)CC2)c(OC(F)(F)F)c1 ZINC001236721794 1055240786 /nfs/dbraw/zinc/24/07/86/1055240786.db2.gz KXGAWAWESSPEPQ-UHFFFAOYSA-N 0 3 315.335 4.057 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc4occc43)CC2)cc1 ZINC001236736204 1055245295 /nfs/dbraw/zinc/24/52/95/1055245295.db2.gz ZQZBMFSUKOAMMZ-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN FC[C@@H]1CN(Cc2cccc3occc32)C[C@H]1C(F)(F)F ZINC001236734167 1055245643 /nfs/dbraw/zinc/24/56/43/1055245643.db2.gz HJHZGKZRUCYETB-DGCLKSJQSA-N 0 3 301.283 4.013 20 0 DIADHN Fc1ccc(-c2nc(CNCC3CC(F)(F)C3)cs2)cc1 ZINC000383098978 1055245420 /nfs/dbraw/zinc/24/54/20/1055245420.db2.gz DTMBRJRGCLJJCX-UHFFFAOYSA-N 0 3 312.360 4.084 20 0 DIADHN CC(C)OCCN(CCOC(C)C)Cc1cccc2occc21 ZINC001236744244 1055246849 /nfs/dbraw/zinc/24/68/49/1055246849.db2.gz WZEMNFCSYDJZQI-UHFFFAOYSA-N 0 3 319.445 4.085 20 0 DIADHN Cc1cccnc1O[C@H]1CCCN(Cc2cccc3occc32)C1 ZINC001236744712 1055247803 /nfs/dbraw/zinc/24/78/03/1055247803.db2.gz DDVBJKRWMWZCRS-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN O=C(Nc1ccccc1)[C@@H]1CCCN1Cc1cccc2ccoc21 ZINC001236743578 1055248626 /nfs/dbraw/zinc/24/86/26/1055248626.db2.gz QQHWFOGOFQROII-SFHVURJKSA-N 0 3 320.392 4.036 20 0 DIADHN COC(=O)c1cc(F)cc(CN(Cc2ccccc2)C(C)C)c1 ZINC001236774409 1055253739 /nfs/dbraw/zinc/25/37/39/1055253739.db2.gz SROLKKQXMSBJNB-UHFFFAOYSA-N 0 3 315.388 4.023 20 0 DIADHN CCCCc1ccc([C@@H](NC(=O)CN(CC)CC)C(C)C)cc1 ZINC001587370382 1055279283 /nfs/dbraw/zinc/27/92/83/1055279283.db2.gz SQFLLLJSKWLDKQ-FQEVSTJZSA-N 0 3 318.505 4.184 20 0 DIADHN Cc1cc(Br)sc1CN1C[C@@H]2CCC[C@H]2C1 ZINC001236828479 1055281188 /nfs/dbraw/zinc/28/11/88/1055281188.db2.gz HTNQLGAICFSPPN-QWRGUYRKSA-N 0 3 300.265 4.051 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccnc(OC2CCCC2)c1 ZINC000764902868 1055290186 /nfs/dbraw/zinc/29/01/86/1055290186.db2.gz SLWQMMAKHMJMKD-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1c2ccccc2[C@H](C)CC1(C)C ZINC001537360238 1055291320 /nfs/dbraw/zinc/29/13/20/1055291320.db2.gz KPZIPJXRUYMFBY-CRAIPNDOSA-N 0 3 314.473 4.178 20 0 DIADHN Cc1cccc(CN2CCC(Cc3ccncc3)CC2)c1Cl ZINC001236854869 1055291716 /nfs/dbraw/zinc/29/17/16/1055291716.db2.gz BKMFQRKBWHAUJI-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1cccc(CN2CC[C@H](Oc3ccncc3C)C2)c1Cl ZINC001236857610 1055295003 /nfs/dbraw/zinc/29/50/03/1055295003.db2.gz ZMRPZIQONYQDDC-INIZCTEOSA-N 0 3 316.832 4.005 20 0 DIADHN Clc1cc2ccccc2nc1CN1CC[C@H](c2ccncc2)C1 ZINC001236875373 1055302008 /nfs/dbraw/zinc/30/20/08/1055302008.db2.gz PYHUTSPTEQMEFD-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN Fc1ccc(CN2CC[C@H](F)C(F)(F)CC2)c2occc21 ZINC001236889698 1055302788 /nfs/dbraw/zinc/30/27/88/1055302788.db2.gz DPWJXCMRBICXGY-ZDUSSCGKSA-N 0 3 301.283 4.141 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236889745 1055303208 /nfs/dbraw/zinc/30/32/08/1055303208.db2.gz FMAQMYKMTCNNCM-CQSZACIVSA-N 0 3 319.273 4.296 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@](C)(O)c2ccccc2Cl)o1 ZINC000764980663 1055303566 /nfs/dbraw/zinc/30/35/66/1055303566.db2.gz PAXSSUKNFPIQDR-RVZJWNSFSA-N 0 3 319.832 4.054 20 0 DIADHN C[C@@](O)(CNCc1cc2ccccc2o1)c1ccccc1Cl ZINC000764980691 1055305704 /nfs/dbraw/zinc/30/57/04/1055305704.db2.gz QAGKEDHWSHIRMV-GOSISDBHSA-N 0 3 315.800 4.084 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236902861 1055306281 /nfs/dbraw/zinc/30/62/81/1055306281.db2.gz WQVJDOTYUGXELE-HNNXBMFYSA-N 0 3 323.367 4.277 20 0 DIADHN Clc1cc(CN2CCC23CCCC3)ccc1-n1ccnc1 ZINC001236906815 1055311481 /nfs/dbraw/zinc/31/14/81/1055311481.db2.gz NLCRKJQHAPPORR-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCc3cc(C)ccc32)c1 ZINC000765048296 1055322136 /nfs/dbraw/zinc/32/21/36/1055322136.db2.gz GJBICTCTHYLWTB-LJQANCHMSA-N 0 3 308.425 4.121 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@H]4OCCC[C@@H]4C3)c2)cc1 ZINC001236991268 1055329931 /nfs/dbraw/zinc/32/99/31/1055329931.db2.gz MBMRAIOLNVPJCM-TZIWHRDSSA-N 0 3 322.452 4.058 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3ccccc3Cl)nc2)C1 ZINC001237012200 1055338799 /nfs/dbraw/zinc/33/87/99/1055338799.db2.gz BAOHPOZLWNMUHT-HNNXBMFYSA-N 0 3 316.832 4.013 20 0 DIADHN CN(Cc1ccc(-c2ccccc2OC(F)(F)F)nc1)C1CC1 ZINC001237017265 1055340901 /nfs/dbraw/zinc/34/09/01/1055340901.db2.gz QHQUFBDIOFUZBP-UHFFFAOYSA-N 0 3 322.330 4.241 20 0 DIADHN CN(Cc1cccc(-c2ccc(OC(F)(F)F)cc2)n1)C1CC1 ZINC001237024231 1055341723 /nfs/dbraw/zinc/34/17/23/1055341723.db2.gz UKCZRALWBZWCJZ-UHFFFAOYSA-N 0 3 322.330 4.241 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1ccc(-c2ccc(Cl)cc2)nc1 ZINC001237021196 1055342008 /nfs/dbraw/zinc/34/20/08/1055342008.db2.gz SGAINOSFLUVNBJ-XIRDDKMYSA-N 0 3 316.807 4.334 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CC[C@@]2(C1)CCCCO2 ZINC001237024161 1055342776 /nfs/dbraw/zinc/34/27/76/1055342776.db2.gz ZKFIJXCTXOVIRK-LJQANCHMSA-N 0 3 303.446 4.009 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@H](O)c1ccc(C)cc1 ZINC000765271731 1055351139 /nfs/dbraw/zinc/35/11/39/1055351139.db2.gz PGPCDBSZKXHWCI-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000765271920 1055352019 /nfs/dbraw/zinc/35/20/19/1055352019.db2.gz QLAIIHHZPRMIPJ-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2cccc3c2CCN3)c1 ZINC001237058327 1055354899 /nfs/dbraw/zinc/35/48/99/1055354899.db2.gz ZJQLHGHGUPNUJG-LJQANCHMSA-N 0 3 314.379 4.270 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC(C(F)(F)F)CC2)c1 ZINC001237066665 1055357822 /nfs/dbraw/zinc/35/78/22/1055357822.db2.gz WLYSUEZIBNQOJE-UHFFFAOYSA-N 0 3 309.331 4.320 20 0 DIADHN Cc1nc([C@H]2CCCN(Cc3ccc(C4CCC4)cc3)C2)no1 ZINC001237104120 1055371525 /nfs/dbraw/zinc/37/15/25/1055371525.db2.gz ATNGULJHVNNHCR-SFHVURJKSA-N 0 3 311.429 4.025 20 0 DIADHN COc1cccc2c(CN3CCc4ccc(C)cc4C3)ccnc12 ZINC001237113999 1055376922 /nfs/dbraw/zinc/37/69/22/1055376922.db2.gz TXHPNHZUGFODFY-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccnc2c(Cl)cccc12 ZINC001237144505 1055382664 /nfs/dbraw/zinc/38/26/64/1055382664.db2.gz QPYIKDDWCGOTQU-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccnc3c(Cl)cccc13)C2 ZINC001237147185 1055383516 /nfs/dbraw/zinc/38/35/16/1055383516.db2.gz XOWHXUXNNDVIQV-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN Cc1c(CN(C)Cc2cccc3cccnc32)ccc(F)c1F ZINC001237157536 1055386240 /nfs/dbraw/zinc/38/62/40/1055386240.db2.gz FZRSUVJYFIRMRD-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN Cc1c(CN2CCC[C@H](c3ccccn3)C2)ccc(F)c1F ZINC001237157806 1055388407 /nfs/dbraw/zinc/38/84/07/1055388407.db2.gz DDJVTJQSWOGVRW-HNNXBMFYSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1sc(=O)[nH]c1Cl ZINC001237157467 1055389028 /nfs/dbraw/zinc/38/90/28/1055389028.db2.gz GQXIPMOVVQSKIC-LBPRGKRZSA-N 0 3 308.834 4.148 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000765429394 1055390563 /nfs/dbraw/zinc/39/05/63/1055390563.db2.gz VFXHHSMIXHYFJJ-ZWKOTPCHSA-N 0 3 309.409 4.104 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000765439792 1055390776 /nfs/dbraw/zinc/39/07/76/1055390776.db2.gz LLNWIZLZNQIQBU-PCCBWWKXSA-N 0 3 307.437 4.492 20 0 DIADHN C[C@@H](COc1ccccc1)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000765440906 1055393284 /nfs/dbraw/zinc/39/32/84/1055393284.db2.gz VALGKRLBFKWKSA-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN COc1ccccc1CC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237178469 1055393928 /nfs/dbraw/zinc/39/39/28/1055393928.db2.gz WPQPAYPZTXCGJB-UHFFFAOYSA-N 0 3 317.454 4.032 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@@H](C)c1cccnc1Cl ZINC000765460197 1055396291 /nfs/dbraw/zinc/39/62/91/1055396291.db2.gz XDBRNOWQHQPZIT-ZDUSSCGKSA-N 0 3 318.848 4.372 20 0 DIADHN c1ccc2c(c1)sc1ccc(CN3CC[C@H]4OCC[C@H]43)cc12 ZINC001237194115 1055397690 /nfs/dbraw/zinc/39/76/90/1055397690.db2.gz AHPHFYIZHLYBAH-IAGOWNOFSA-N 0 3 309.434 4.418 20 0 DIADHN CCC[C@@H](CN[C@H](C)c1cc(Br)ccc1F)OC ZINC000385937484 1055399744 /nfs/dbraw/zinc/39/97/44/1055399744.db2.gz FJYHMJPBLDTQTR-PWSUYJOCSA-N 0 3 318.230 4.054 20 0 DIADHN COc1cccc(CN2CC[C@H](c3cccc(F)c3)C2)c1Cl ZINC001237194194 1055400806 /nfs/dbraw/zinc/40/08/06/1055400806.db2.gz UBFLITJALPPZLA-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN COc1cccc(CN2CCC3(CCOC3(C)C)CC2)c1Cl ZINC001237198618 1055402205 /nfs/dbraw/zinc/40/22/05/1055402205.db2.gz VWXXCMAJTCZVEF-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN Cc1ccc([C@H](NCc2cccc3[nH]c(=O)oc32)C2CC2)cc1 ZINC000921518695 1055405500 /nfs/dbraw/zinc/40/55/00/1055405500.db2.gz WMVBTOGGGTTXEU-KRWDZBQOSA-N 0 3 308.381 4.083 20 0 DIADHN Cc1csc([C@@H](NC[C@@H]2CC3(CO2)CCCCC3)C2CC2)n1 ZINC001168541527 1055405544 /nfs/dbraw/zinc/40/55/44/1055405544.db2.gz JQZFZJNUKDEXNT-HOTGVXAUSA-N 0 3 320.502 4.232 20 0 DIADHN Cc1csc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@@H]3C2)c1 ZINC001237234362 1055405987 /nfs/dbraw/zinc/40/59/87/1055405987.db2.gz MAWLYPUELYYFOT-RDJZCZTQSA-N 0 3 318.873 4.494 20 0 DIADHN Cc1sccc1CN1CC[C@@H]2Nc3ccc(Cl)cc3[C@H]2C1 ZINC001237245810 1055406372 /nfs/dbraw/zinc/40/63/72/1055406372.db2.gz AEXXMJJVHCUZCP-WBVHZDCISA-N 0 3 318.873 4.494 20 0 DIADHN Clc1ccnc2ccc(CN3CCC4(CCCO4)CC3)cc12 ZINC001237269834 1055409339 /nfs/dbraw/zinc/40/93/39/1055409339.db2.gz JKVGGNWCUIUTQZ-UHFFFAOYSA-N 0 3 316.832 4.033 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccc2[nH]c(=O)oc21)c1ccccc1 ZINC000921526049 1055412728 /nfs/dbraw/zinc/41/27/28/1055412728.db2.gz YYWWDFPBDHAJOY-KRWDZBQOSA-N 0 3 310.397 4.410 20 0 DIADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001237280403 1055414965 /nfs/dbraw/zinc/41/49/65/1055414965.db2.gz HPRXDCUDAZGNEW-AWEZNQCLSA-N 0 3 305.780 4.174 20 0 DIADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001237280403 1055414974 /nfs/dbraw/zinc/41/49/74/1055414974.db2.gz HPRXDCUDAZGNEW-AWEZNQCLSA-N 0 3 305.780 4.174 20 0 DIADHN Oc1cc(F)cc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001237280403 1055414985 /nfs/dbraw/zinc/41/49/85/1055414985.db2.gz HPRXDCUDAZGNEW-AWEZNQCLSA-N 0 3 305.780 4.174 20 0 DIADHN Cc1cc(Br)c(CN2C[C@@H]3CCCC[C@H]3C2)cc1O ZINC001237298416 1055421234 /nfs/dbraw/zinc/42/12/34/1055421234.db2.gz KXKUMLICDMAUQT-STQMWFEESA-N 0 3 324.262 4.085 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)Nc1ccccc1)c1ccc(F)cc1 ZINC000011101496 1055421919 /nfs/dbraw/zinc/42/19/19/1055421919.db2.gz JDTYBGQBWKDMJW-RDTXWAMCSA-N 0 3 314.404 4.140 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000011047298 1055422602 /nfs/dbraw/zinc/42/26/02/1055422602.db2.gz CRPWQTUNYCQFOY-CXAGYDPISA-N 0 3 316.832 4.408 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NC(=O)[C@H](CC)N(C)C)C(C)C)cc1 ZINC001588067166 1055423930 /nfs/dbraw/zinc/42/39/30/1055423930.db2.gz JVJZIPZRPMIVSO-SNRMKQJTSA-N 0 3 318.505 4.354 20 0 DIADHN CC[C@H](C(=O)N[C@@H](c1ccc([C@@H](C)CC)cc1)C(C)C)N(C)C ZINC001588067165 1055424373 /nfs/dbraw/zinc/42/43/73/1055424373.db2.gz JVJZIPZRPMIVSO-KFKAGJAMSA-N 0 3 318.505 4.354 20 0 DIADHN COc1ccc(-c2ccccc2)c(CN2[C@@H]3CC[C@H]2CC(=O)C3)c1 ZINC001237309572 1055424383 /nfs/dbraw/zinc/42/43/83/1055424383.db2.gz YXSANIUKBGSFMC-HDICACEKSA-N 0 3 321.420 4.058 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC(OC(C)(C)C)CC1 ZINC000765524561 1055429692 /nfs/dbraw/zinc/42/96/92/1055429692.db2.gz IJBVQCZKXZPLBP-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN CSc1ccc(CN2CCC3(Cc4ccccc4N3)CC2)cc1 ZINC001237332330 1055429664 /nfs/dbraw/zinc/42/96/64/1055429664.db2.gz BZNFJMKUJRWBFX-UHFFFAOYSA-N 0 3 324.493 4.411 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC(CF)CC1 ZINC001237342768 1055433135 /nfs/dbraw/zinc/43/31/35/1055433135.db2.gz PTKHKWOQUPZZTF-UHFFFAOYSA-N 0 3 322.424 4.215 20 0 DIADHN CC1(C)CC[C@@H](CN[C@H](c2ccc(F)cc2F)C2CC2)OC1 ZINC001168542583 1055434756 /nfs/dbraw/zinc/43/47/56/1055434756.db2.gz OQQZXLRFMVSVIK-YOEHRIQHSA-N 0 3 309.400 4.211 20 0 DIADHN COc1cccc(CCN(C)Cc2cnc(-c3ccccc3)o2)c1 ZINC001237368782 1055441144 /nfs/dbraw/zinc/44/11/44/1055441144.db2.gz NAQLVSRNYUDIQP-UHFFFAOYSA-N 0 3 322.408 4.025 20 0 DIADHN CC1CC(N(C)Cc2cccc(F)c2NC(=O)OC(C)(C)C)C1 ZINC001237367860 1055441266 /nfs/dbraw/zinc/44/12/66/1055441266.db2.gz XWULEEOATXZZCU-UHFFFAOYSA-N 0 3 322.424 4.403 20 0 DIADHN C[C@H]1CCN(Cc2cnc(-c3ccccc3)o2)CCC1(F)F ZINC001237376485 1055444646 /nfs/dbraw/zinc/44/46/46/1055444646.db2.gz XSLPLPARUNMIMV-ZDUSSCGKSA-N 0 3 306.356 4.209 20 0 DIADHN Brc1ccncc1CN1CCC[C@H]1C1CCCCC1 ZINC001237393003 1055445028 /nfs/dbraw/zinc/44/50/28/1055445028.db2.gz OQTJIQMFGGLSAW-INIZCTEOSA-N 0 3 323.278 4.389 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1cccc(C)c1C)C1CC1 ZINC001237413361 1055450716 /nfs/dbraw/zinc/45/07/16/1055450716.db2.gz GXTQCJHKMCNVIM-UHFFFAOYSA-N 0 3 322.452 4.426 20 0 DIADHN CCc1nc(C2CCN(Cc3cccc(C(C)C)c3)CC2)no1 ZINC001237460496 1055455426 /nfs/dbraw/zinc/45/54/26/1055455426.db2.gz RFVRKFCZPOTJID-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN CCc1nnc(C2CCN(Cc3cccc(C(C)C)c3)CC2)o1 ZINC001237462748 1055455523 /nfs/dbraw/zinc/45/55/23/1055455523.db2.gz ORJRRAJJYQHJGY-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CCCc2ccccc2C1 ZINC001237463394 1055455629 /nfs/dbraw/zinc/45/56/29/1055455629.db2.gz WNAXAMDFDRZXFW-UHFFFAOYSA-N 0 3 311.816 4.165 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N(C)Cc1ccccc1N ZINC001237466755 1055456643 /nfs/dbraw/zinc/45/66/43/1055456643.db2.gz XOBGPABDWQEIFV-LBPRGKRZSA-N 0 3 319.246 4.224 20 0 DIADHN CCCOC1CCN(Cc2cccc(-c3ccccc3)n2)CC1 ZINC001237550225 1055473226 /nfs/dbraw/zinc/47/32/26/1055473226.db2.gz OMFVFVGOSYXCPW-UHFFFAOYSA-N 0 3 310.441 4.140 20 0 DIADHN CCn1cncc1CN1CCC(CCCc2ccccc2)CC1 ZINC001237588751 1055479641 /nfs/dbraw/zinc/47/96/41/1055479641.db2.gz WDPFZNAKFUHLHI-UHFFFAOYSA-N 0 3 311.473 4.138 20 0 DIADHN Cc1c(CN2CCOC[C@@H]2C2CC2)cccc1-c1ccccc1 ZINC001237586456 1055479867 /nfs/dbraw/zinc/47/98/67/1055479867.db2.gz XHQNUIHCZXFXOS-OAQYLSRUSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccnc(OC(F)F)c1 ZINC001237608676 1055485803 /nfs/dbraw/zinc/48/58/03/1055485803.db2.gz KPECRERSSAQGPJ-MRXNPFEDSA-N 0 3 318.367 4.329 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccnc(OC(F)F)c2)cc1 ZINC001237615915 1055486464 /nfs/dbraw/zinc/48/64/64/1055486464.db2.gz UHSJBYBIXDOLKO-INIZCTEOSA-N 0 3 318.367 4.329 20 0 DIADHN COc1cccc2c1CN(Cc1cccc3nccc(C)c13)CC2 ZINC001237624610 1055488943 /nfs/dbraw/zinc/48/89/43/1055488943.db2.gz WFIWBKWOILLUEI-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CCCC(C)(C)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001537981192 1055489918 /nfs/dbraw/zinc/48/99/18/1055489918.db2.gz NBGSBIQMRXVCOU-GOSISDBHSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccnc2cccc(CN3CCOC[C@H]3C3CCCCC3)c12 ZINC001237635983 1055490529 /nfs/dbraw/zinc/49/05/29/1055490529.db2.gz KZKPDWGJTCOFTP-FQEVSTJZSA-N 0 3 324.468 4.324 20 0 DIADHN CCOc1ccc(CN(C)CCc2ccc(F)cc2)c(F)c1 ZINC001237635236 1055490601 /nfs/dbraw/zinc/49/06/01/1055490601.db2.gz RASRGAVWIXNISH-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN COc1ccc(SCCN2CC[C@](C)(C(F)(F)F)C2)cc1 ZINC001621511319 1055492083 /nfs/dbraw/zinc/49/20/83/1055492083.db2.gz XWRLZSOHMVBPBZ-AWEZNQCLSA-N 0 3 319.392 4.062 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC001620864331 1055492267 /nfs/dbraw/zinc/49/22/67/1055492267.db2.gz WMPXRZRFOZXLFB-NSHDSACASA-N 0 3 320.795 4.288 20 0 DIADHN COC[C@@H](C)NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001620865806 1055492705 /nfs/dbraw/zinc/49/27/05/1055492705.db2.gz NMSICBMDNOQCJH-CQSZACIVSA-N 0 3 301.430 4.369 20 0 DIADHN CCc1nc(C)c(CNC2CC(c3cccc(Cl)c3)C2)o1 ZINC000386517238 1055493886 /nfs/dbraw/zinc/49/38/86/1055493886.db2.gz UAELJOGRXIMNPX-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN CCCOc1cccc(CCNCc2ccc(F)c(F)c2F)c1 ZINC001620867533 1055494297 /nfs/dbraw/zinc/49/42/97/1055494297.db2.gz PLPFLGKVBMINDQ-UHFFFAOYSA-N 0 3 323.358 4.225 20 0 DIADHN c1nn(CC2CCC2)cc1CNCc1csc2ccccc12 ZINC000921542631 1055494170 /nfs/dbraw/zinc/49/41/70/1055494170.db2.gz QZGCYJQHDIVDCU-UHFFFAOYSA-N 0 3 311.454 4.188 20 0 DIADHN CCc1ccc(CN[C@](C)(CC)COc2ncccc2Cl)o1 ZINC001620868745 1055495937 /nfs/dbraw/zinc/49/59/37/1055495937.db2.gz NRJDXTSNEZCENY-QGZVFWFLSA-N 0 3 322.836 4.228 20 0 DIADHN CCC1(CC)[C@@H](NCc2cccc(OC3CC3)c2F)C[C@@H]1OC ZINC001620872668 1055499266 /nfs/dbraw/zinc/49/92/66/1055499266.db2.gz BBBLNKOLBZQCLJ-IRXDYDNUSA-N 0 3 321.436 4.050 20 0 DIADHN Cc1cc(CN[C@@H]2c3ccccc3CC[C@@H]2C)cnc1Cl ZINC001620877280 1055505686 /nfs/dbraw/zinc/50/56/86/1055505686.db2.gz WTJJCAYMSGYAEP-SJCJKPOMSA-N 0 3 300.833 4.457 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCCOc3cc(F)ccc32)cc1 ZINC001620883230 1055508973 /nfs/dbraw/zinc/50/89/73/1055508973.db2.gz RNMSJACTPKLCKW-PFOXWKGBSA-N 0 3 315.363 4.482 20 0 DIADHN CCC(CC)[C@H]1C[C@@H]([NH2+]Cc2cc([O-])cc(Cl)c2)CCO1 ZINC001620883354 1055509008 /nfs/dbraw/zinc/50/90/08/1055509008.db2.gz WEIJBIWFHJCCEK-DOTOQJQBSA-N 0 3 311.853 4.119 20 0 DIADHN CC[C@H](NCc1cnc2onc(C)c2c1)c1ccc(C)c(F)c1 ZINC001620884536 1055510150 /nfs/dbraw/zinc/51/01/50/1055510150.db2.gz JYUJLQGGSIVUFY-KRWDZBQOSA-N 0 3 313.376 4.220 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CCC1)c1ccc2c(c1)CCC2 ZINC001620888240 1055512664 /nfs/dbraw/zinc/51/26/64/1055512664.db2.gz SKNFMOSEBMCHBM-OAHLLOKOSA-N 0 3 309.457 4.023 20 0 DIADHN CC[C@H](NCc1ccc(Cl)c(C)c1Cl)[C@@H]1CCCO1 ZINC001620889207 1055513527 /nfs/dbraw/zinc/51/35/27/1055513527.db2.gz KUUUVQOYIWQFIJ-KBPBESRZSA-N 0 3 302.245 4.349 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)c(C)c1Cl)[C@H]1CCCO1 ZINC001620889210 1055514015 /nfs/dbraw/zinc/51/40/15/1055514015.db2.gz KUUUVQOYIWQFIJ-ZIAGYGMSSA-N 0 3 302.245 4.349 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CNCc1c(F)cccc1F ZINC001620894878 1055518672 /nfs/dbraw/zinc/51/86/72/1055518672.db2.gz IPDGBVPBQXYIEU-CQSZACIVSA-N 0 3 317.379 4.183 20 0 DIADHN Cc1c(Cl)cccc1CN(C)Cc1ccc2c(c1)OCO2 ZINC001237735246 1055518706 /nfs/dbraw/zinc/51/87/06/1055518706.db2.gz NMMNHPJHWHZWGA-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN CCc1cc(CN2CCC(c3ccn(C)n3)CC2)ccc1Cl ZINC001237741465 1055518903 /nfs/dbraw/zinc/51/89/03/1055518903.db2.gz KLHPLEDDXVTTKG-UHFFFAOYSA-N 0 3 317.864 4.016 20 0 DIADHN COc1cc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)sn1 ZINC001620894667 1055519120 /nfs/dbraw/zinc/51/91/20/1055519120.db2.gz ALRAJSGCYDIKBK-YJBOKZPZSA-N 0 3 316.470 4.201 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1ccc3ncccc3c1)CCC2 ZINC001620893664 1055519403 /nfs/dbraw/zinc/51/94/03/1055519403.db2.gz SQCSCVOBOUELGG-LJQANCHMSA-N 0 3 320.440 4.179 20 0 DIADHN CC[C@H](C)[C@H](C(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000386653126 1055521036 /nfs/dbraw/zinc/52/10/36/1055521036.db2.gz QVEYUSDRIOQFCB-LIRRHRJNSA-N 0 3 308.425 4.058 20 0 DIADHN CCCc1cc(C(=O)Nc2ccccc2CN(C)C)sc1C ZINC000024812697 1055522127 /nfs/dbraw/zinc/52/21/27/1055522127.db2.gz GUQFIFYRVZJADT-UHFFFAOYSA-N 0 3 316.470 4.323 20 0 DIADHN CS[C@H](CNCc1nc2ccccc2n1C(C)C)C(C)(C)C ZINC001620898667 1055523836 /nfs/dbraw/zinc/52/38/36/1055523836.db2.gz AOTNIBBBARATKA-MRXNPFEDSA-N 0 3 319.518 4.485 20 0 DIADHN COc1cccc(CN2CCCC[C@@H](C)C2)c1Br ZINC001237857668 1055544765 /nfs/dbraw/zinc/54/47/65/1055544765.db2.gz LUSQGZATIPVJOS-GFCCVEGCSA-N 0 3 312.251 4.080 20 0 DIADHN COc1cccc(CN2CCC[C@H](C)CC2)c1Br ZINC001237859029 1055544808 /nfs/dbraw/zinc/54/48/08/1055544808.db2.gz WOCAPRJYHPBVAH-LBPRGKRZSA-N 0 3 312.251 4.080 20 0 DIADHN Cc1c[nH]c(CNCC2(c3ccc(F)cc3Cl)CCCC2)n1 ZINC001620908739 1055534447 /nfs/dbraw/zinc/53/44/47/1055534447.db2.gz NEQUDWFONJYLEG-UHFFFAOYSA-N 0 3 321.827 4.112 20 0 DIADHN Cc1nc(CN2CCC[C@@H](CCc3ccccc3)C2)ccc1F ZINC001237823483 1055536374 /nfs/dbraw/zinc/53/63/74/1055536374.db2.gz IYEHYTHCUQEHBA-SFHVURJKSA-N 0 3 312.432 4.374 20 0 DIADHN Cc1ccc([C@H](O)CNCc2ccc(Cl)c(C)c2Cl)cc1 ZINC001620916042 1055536589 /nfs/dbraw/zinc/53/65/89/1055536589.db2.gz ZWESELICNXMRRP-MRXNPFEDSA-N 0 3 324.251 4.433 20 0 DIADHN Cc1cccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c1C ZINC001620914625 1055536661 /nfs/dbraw/zinc/53/66/61/1055536661.db2.gz ZOSBVKQZQKVJJQ-LJQANCHMSA-N 0 3 316.876 4.349 20 0 DIADHN COC(=O)c1ccc(-c2cccc(CN3CC4(CCC4)C3)c2)cc1 ZINC001237810591 1055536897 /nfs/dbraw/zinc/53/68/97/1055536897.db2.gz RJINNPPQUBNDPN-UHFFFAOYSA-N 0 3 321.420 4.126 20 0 DIADHN CN(Cc1cccc(-c2ccccn2)n1)[C@@H]1CCc2ccccc21 ZINC001237843769 1055539497 /nfs/dbraw/zinc/53/94/97/1055539497.db2.gz ZGSNZZJRZLRIAB-OAQYLSRUSA-N 0 3 315.420 4.263 20 0 DIADHN Cc1ccc(CN(C)Cc2cccc(-c3ccccn3)n2)cc1 ZINC001237833626 1055539577 /nfs/dbraw/zinc/53/95/77/1055539577.db2.gz ZHRJUGATIMEULW-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN CCc1ncc(CN2CCC[C@H](c3cccc(OC)c3)C2)s1 ZINC001237831580 1055541539 /nfs/dbraw/zinc/54/15/39/1055541539.db2.gz YUNSMQWPMLCWNS-HNNXBMFYSA-N 0 3 316.470 4.094 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3Cl)C2)s1 ZINC001237826185 1055541631 /nfs/dbraw/zinc/54/16/31/1055541631.db2.gz HGRKSCZNARTXQN-LBPRGKRZSA-N 0 3 306.862 4.348 20 0 DIADHN COc1ccoc1CN1CCC2(CCc3ccc(C)cc32)CC1 ZINC001237867577 1055543072 /nfs/dbraw/zinc/54/30/72/1055543072.db2.gz XQTWZVLVGHYBQF-UHFFFAOYSA-N 0 3 311.425 4.077 20 0 DIADHN COc1cccc(CN2CCC[C@@H](C)CC2)c1Br ZINC001237859028 1055545610 /nfs/dbraw/zinc/54/56/10/1055545610.db2.gz WOCAPRJYHPBVAH-GFCCVEGCSA-N 0 3 312.251 4.080 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H]3CCCc4ccc(F)cc43)ccc2O1 ZINC001620925623 1055545999 /nfs/dbraw/zinc/54/59/99/1055545999.db2.gz RBBLAOQRYDIGKW-BFUOFWGJSA-N 0 3 311.400 4.316 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CC[C@H](c2ccccc2)C1 ZINC001237874333 1055546524 /nfs/dbraw/zinc/54/65/24/1055546524.db2.gz UQVJEWUBGFMIKT-INIZCTEOSA-N 0 3 321.424 4.008 20 0 DIADHN CC(C)C1=NCCc2ccc(CN(C)Cc3ccccc3F)cc21 ZINC001237880132 1055546670 /nfs/dbraw/zinc/54/66/70/1055546670.db2.gz FOQXAIGOUICENI-UHFFFAOYSA-N 0 3 324.443 4.459 20 0 DIADHN Clc1ccc(CNCCn2ccc3cc(Cl)ccc32)nc1 ZINC001620933049 1055547273 /nfs/dbraw/zinc/54/72/73/1055547273.db2.gz DIVDAMGFULBSGQ-UHFFFAOYSA-N 0 3 320.223 4.133 20 0 DIADHN Cc1ncccc1CN(C)Cc1ccc2c(c1)C(C(C)C)=NCC2 ZINC001237888775 1055550333 /nfs/dbraw/zinc/55/03/33/1055550333.db2.gz DSAVOBSMCMZBTD-UHFFFAOYSA-N 0 3 321.468 4.023 20 0 DIADHN CC[C@H](C)N(C)Cc1ccc(Br)c(Cl)c1F ZINC001237892095 1055551628 /nfs/dbraw/zinc/55/16/28/1055551628.db2.gz GFLIKXBKIGNYBV-QMMMGPOBSA-N 0 3 308.622 4.472 20 0 DIADHN CN(Cc1cccc(F)c1)Cc1ccccc1C1CCOCC1 ZINC001237900040 1055555253 /nfs/dbraw/zinc/55/52/53/1055555253.db2.gz SCYTXYVARBCARO-UHFFFAOYSA-N 0 3 313.416 4.352 20 0 DIADHN C[C@@]1(F)CCCCN(Cc2ccccc2C2CCOCC2)C1 ZINC001237898122 1055556052 /nfs/dbraw/zinc/55/60/52/1055556052.db2.gz IVSIGSQGVSTBSD-LJQANCHMSA-N 0 3 305.437 4.295 20 0 DIADHN CC(=O)C1CCN(Cc2ccc(COc3ccccc3)cc2)CC1 ZINC001237921986 1055560537 /nfs/dbraw/zinc/56/05/37/1055560537.db2.gz XZDBRTPRSYGCLC-UHFFFAOYSA-N 0 3 323.436 4.067 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2CSc3ccccc32)c(F)c1 ZINC001620948393 1055563579 /nfs/dbraw/zinc/56/35/79/1055563579.db2.gz FFOZOGRVFZPGRL-GFCCVEGCSA-N 0 3 305.393 4.252 20 0 DIADHN CCOc1ccc(CN(CC)Cc2cccc(OC)c2)cc1F ZINC001237961660 1055566803 /nfs/dbraw/zinc/56/68/03/1055566803.db2.gz ZFFVWEDWEGGUIY-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN Oc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@H]4C3)cs2)cc1 ZINC001237986916 1055577410 /nfs/dbraw/zinc/57/74/10/1055577410.db2.gz UGIOSKGKMAVPDE-ZFWWWQNUSA-N 0 3 314.454 4.138 20 0 DIADHN Oc1ccc(-c2nc(CN3CC[C@H]4CCC[C@@H]4C3)cs2)cc1 ZINC001237986915 1055578663 /nfs/dbraw/zinc/57/86/63/1055578663.db2.gz UGIOSKGKMAVPDE-UKRRQHHQSA-N 0 3 314.454 4.138 20 0 DIADHN CN(Cc1cccc(N2CCCCC2)n1)[C@H]1CCc2ccccc21 ZINC001238008410 1055580082 /nfs/dbraw/zinc/58/00/82/1055580082.db2.gz PIQZYRYUDQVUHR-FQEVSTJZSA-N 0 3 321.468 4.191 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2coc(-c3cccs3)n2)C1 ZINC000921595361 1055581658 /nfs/dbraw/zinc/58/16/58/1055581658.db2.gz LFTXDAQYGAMHGL-YPMHNXCESA-N 0 3 308.472 4.167 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1n[nH]c3cc(Cl)ccc31)C2 ZINC001237999687 1055582294 /nfs/dbraw/zinc/58/22/94/1055582294.db2.gz WNCNYCQPAJPBFI-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN CCc1ccc([C@H](CC(C)C)NCc2c(C)nn(C)c2C)cc1 ZINC000671658558 1055584681 /nfs/dbraw/zinc/58/46/81/1055584681.db2.gz HHTOKMDCLUGRGS-FQEVSTJZSA-N 0 3 313.489 4.476 20 0 DIADHN COc1ncc(C2CC2)cc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001238030432 1055589221 /nfs/dbraw/zinc/58/92/21/1055589221.db2.gz GSYNVRLGFUXKRZ-CALCHBBNSA-N 0 3 320.436 4.054 20 0 DIADHN COc1ccc(C(F)(F)F)c(CN2CCC[C@@](C)(F)CC2)c1 ZINC001238049321 1055593395 /nfs/dbraw/zinc/59/33/95/1055593395.db2.gz YTHMTVPKYKAOKX-OAHLLOKOSA-N 0 3 319.342 4.428 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(Oc3ccccc3)cc2C)C1 ZINC001238053671 1055593599 /nfs/dbraw/zinc/59/35/99/1055593599.db2.gz LOBUSQMPXAYXSN-INIZCTEOSA-N 0 3 311.425 4.254 20 0 DIADHN COCC1(CNCc2c(F)ccc(F)c2Cl)CCCCC1 ZINC001620960173 1055600953 /nfs/dbraw/zinc/60/09/53/1055600953.db2.gz XMQKWOBUOQKKOX-UHFFFAOYSA-N 0 3 317.807 4.305 20 0 DIADHN CN(Cc1ccc(Cl)c(Cl)c1)Cc1cccc2cncn21 ZINC001238105103 1055610038 /nfs/dbraw/zinc/61/00/38/1055610038.db2.gz CFZNSANLATUADF-UHFFFAOYSA-N 0 3 320.223 4.273 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@H]1CC[C@H](C)O1 ZINC001620961090 1055615184 /nfs/dbraw/zinc/61/51/84/1055615184.db2.gz QPMXHODDKJIJAT-HNAYVOBHSA-N 0 3 311.425 4.019 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cccc(-c3cccs3)n1)C2 ZINC001238208829 1055619579 /nfs/dbraw/zinc/61/95/79/1055619579.db2.gz COUXOSQDUSQDRK-OAHLLOKOSA-N 0 3 306.381 4.041 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cccc(-c2cc[nH]n2)c1 ZINC001238202250 1055620154 /nfs/dbraw/zinc/62/01/54/1055620154.db2.gz GWYWICCBKXZYQN-UHFFFAOYSA-N 0 3 309.388 4.238 20 0 DIADHN Cc1c(Cl)ccc(CN2CCC3(C[C@@H](F)CO3)CC2)c1C ZINC001238220834 1055624099 /nfs/dbraw/zinc/62/40/99/1055624099.db2.gz WZPLZVQUSQVNSH-OAHLLOKOSA-N 0 3 311.828 4.050 20 0 DIADHN CC(C)c1cc(CN2C[C@@H]3C(=O)CCC[C@@H]3C2)ccc1Cl ZINC001238223847 1055624231 /nfs/dbraw/zinc/62/42/31/1055624231.db2.gz CTXNPTZYWHNFME-ZBFHGGJFSA-N 0 3 305.849 4.264 20 0 DIADHN O=C(c1c[nH]c2ccccc12)[C@H](NCC1CCC1)c1ccccc1 ZINC000030449856 1055627989 /nfs/dbraw/zinc/62/79/89/1055627989.db2.gz ZTQNNHRZOQNGMY-HXUWFJFHSA-N 0 3 318.420 4.482 20 0 DIADHN c1nn(CC2CCC2)cc1CNCc1cccc2ccccc21 ZINC000921619066 1055629023 /nfs/dbraw/zinc/62/90/23/1055629023.db2.gz AIZLOHYYSZOHIX-UHFFFAOYSA-N 0 3 305.425 4.126 20 0 DIADHN CCOc1cc(Br)cc(CN2C[C@@H]3CCC[C@H]3C2)c1 ZINC001238245942 1055630007 /nfs/dbraw/zinc/63/00/07/1055630007.db2.gz CDIMNDHOLMGETK-KBPBESRZSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN(C)C[C@H]1CCCCO1 ZINC001238254435 1055630376 /nfs/dbraw/zinc/63/03/76/1055630376.db2.gz AGQFYHYBVRCYSX-CYBMUJFWSA-N 0 3 302.245 4.303 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001238369309 1055645537 /nfs/dbraw/zinc/64/55/37/1055645537.db2.gz JLSSMHZJZRXDSN-IAGOWNOFSA-N 0 3 310.441 4.139 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001238369310 1055645933 /nfs/dbraw/zinc/64/59/33/1055645933.db2.gz JLSSMHZJZRXDSN-IRXDYDNUSA-N 0 3 310.441 4.139 20 0 DIADHN Cc1cc(CN2CCCC3(CC(F)C3)CC2)cnc1OC(C)C ZINC001238388021 1055648108 /nfs/dbraw/zinc/64/81/08/1055648108.db2.gz VEDTVIDYSNVOCG-UHFFFAOYSA-N 0 3 320.452 4.281 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccncc3)C2)c(Cl)c1 ZINC001238398433 1055650199 /nfs/dbraw/zinc/65/01/99/1055650199.db2.gz BFTYNBBSMNQLAO-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1cnc(CN2CCC[C@@H](Oc3ccccc3C)C2)c(C)c1 ZINC001238429586 1055657970 /nfs/dbraw/zinc/65/79/70/1055657970.db2.gz NMDOVZFZLJBPCF-GOSISDBHSA-N 0 3 310.441 4.050 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C(C)(C)C)cnc1F ZINC001238448491 1055660662 /nfs/dbraw/zinc/66/06/62/1055660662.db2.gz SZXSHPAQOUXAFQ-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CC[C@H](CC(=O)N[C@H](C)CN(C)Cc1ccccc1)C(C)(C)C ZINC001596033779 1055661684 /nfs/dbraw/zinc/66/16/84/1055661684.db2.gz GWRJNIHBTWXYPK-SJLPKXTDSA-N 0 3 318.505 4.086 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CCOCC3)CC2)ccc1F ZINC001238458687 1055662058 /nfs/dbraw/zinc/66/20/58/1055662058.db2.gz RZCWOUNTRGTGAL-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN COc1c(CN2CCC3(CC[C@@H](F)C3)CC2)ccc(F)c1F ZINC001238473524 1055667938 /nfs/dbraw/zinc/66/79/38/1055667938.db2.gz REILUJZNFVHJRZ-CYBMUJFWSA-N 0 3 313.363 4.078 20 0 DIADHN CN(Cc1ccc(-c2cccc(C(F)(F)F)c2)nc1)C1CC1 ZINC001238508911 1055675641 /nfs/dbraw/zinc/67/56/41/1055675641.db2.gz MODDVRJQWCWUKL-UHFFFAOYSA-N 0 3 306.331 4.362 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc(NC(C)=O)cc2)cc1 ZINC000042202593 1055676793 /nfs/dbraw/zinc/67/67/93/1055676793.db2.gz VCMHVPUFUSLWRH-CYBMUJFWSA-N 0 3 314.454 4.218 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@@H](c1ccccc1)C1CCC1 ZINC000104701271 1055678214 /nfs/dbraw/zinc/67/82/14/1055678214.db2.gz TXKSFHLQZYZCPJ-JXFKEZNVSA-N 0 3 322.452 4.453 20 0 DIADHN C=Cc1ccc(CNc2cc(CN3CCCCC3)ccn2)cc1 ZINC001168574075 1055681002 /nfs/dbraw/zinc/68/10/02/1055681002.db2.gz GWHZOEXMWGGVJG-UHFFFAOYSA-N 0 3 307.441 4.323 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccc(F)c(-c2ccncc2)c1 ZINC001238550706 1055684020 /nfs/dbraw/zinc/68/40/20/1055684020.db2.gz DOWFJUPLHFSTQY-UHFFFAOYSA-N 0 3 322.383 4.225 20 0 DIADHN Fc1ccc(CN2CCC[C@]3(CCO3)C2)cc1-c1ccccc1 ZINC001238552127 1055684960 /nfs/dbraw/zinc/68/49/60/1055684960.db2.gz PBRIIURLYUQTCN-FQEVSTJZSA-N 0 3 311.400 4.248 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(C)C(C)(C)C2(C)C)c1 ZINC001596233773 1055685265 /nfs/dbraw/zinc/68/52/65/1055685265.db2.gz XKCITALXIHOLOK-UHFFFAOYSA-N 0 3 302.462 4.067 20 0 DIADHN Cc1cc(F)cc(Br)c1CN1C[C@H]2CCC[C@@H]2C1 ZINC001238568063 1055689037 /nfs/dbraw/zinc/68/90/37/1055689037.db2.gz ZNDLFEHFLAMWMR-VXGBXAGGSA-N 0 3 312.226 4.129 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccccc2-c2ccc(F)cc2F)C1 ZINC001238586260 1055691434 /nfs/dbraw/zinc/69/14/34/1055691434.db2.gz HIJUYCMFLKEQGU-AWEZNQCLSA-N 0 3 315.363 4.043 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CCCC3)CC2)cnc1F ZINC001238605474 1055693794 /nfs/dbraw/zinc/69/37/94/1055693794.db2.gz JSSOTTJBOZMDEQ-UHFFFAOYSA-N 0 3 306.425 4.164 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001238604274 1055693908 /nfs/dbraw/zinc/69/39/08/1055693908.db2.gz QBGFEZLWUJZLFU-VQIMIIECSA-N 0 3 322.452 4.379 20 0 DIADHN Cc1cc(CN2CC[C@H]3CCCO[C@@H]3C2)cnc1-c1ccccc1 ZINC001238607477 1055695897 /nfs/dbraw/zinc/69/58/97/1055695897.db2.gz AZKRKSLDYVHGCS-UYAOXDASSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN(C)CCc2cccnc2)cnc1-c1ccccc1 ZINC001238614347 1055698251 /nfs/dbraw/zinc/69/82/51/1055698251.db2.gz GVCXNMCZXFXZAW-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@@H]3OCCC[C@@H]3C2)c1 ZINC001238618017 1055698779 /nfs/dbraw/zinc/69/87/79/1055698779.db2.gz FPZGQXGISFLXED-QUCCMNQESA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3F)C2)cnc1C1CC1 ZINC001238618910 1055701458 /nfs/dbraw/zinc/70/14/58/1055701458.db2.gz XBTMAOWNQJQAKJ-KRWDZBQOSA-N 0 3 310.416 4.396 20 0 DIADHN CN(C)Cc1ccccc1CNC(=O)CCC1CCCCCC1 ZINC001565610132 1055713590 /nfs/dbraw/zinc/71/35/90/1055713590.db2.gz LMGOBOQBPIURRP-UHFFFAOYSA-N 0 3 316.489 4.115 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H]2O[C@@H](C)C[C@H]2C1 ZINC001238670561 1055713705 /nfs/dbraw/zinc/71/37/05/1055713705.db2.gz NEGCVRRNNLRLDY-SLEUVZQESA-N 0 3 311.878 4.061 20 0 DIADHN c1cnn(-c2ccc(CN(Cc3cccs3)C3CC3)cc2)c1 ZINC000045007040 1055716205 /nfs/dbraw/zinc/71/62/05/1055716205.db2.gz VFXKGCXLZDRGAU-UHFFFAOYSA-N 0 3 309.438 4.098 20 0 DIADHN CSc1cc(C)cc(CN2CC[C@](CF)(C(F)(F)F)C2)c1 ZINC001238675000 1055717285 /nfs/dbraw/zinc/71/72/85/1055717285.db2.gz JBLCVYQYCFQMQY-CQSZACIVSA-N 0 3 321.383 4.441 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC001238673104 1055717880 /nfs/dbraw/zinc/71/78/80/1055717880.db2.gz TTZMNHZSYCCFDB-IUODEOHRSA-N 0 3 311.878 4.063 20 0 DIADHN CCOc1cccc(CN2C[C@@H]3CCC[C@@H]3C2)c1Br ZINC001238691237 1055723810 /nfs/dbraw/zinc/72/38/10/1055723810.db2.gz YSOCKXAFFYSQJG-BETUJISGSA-N 0 3 324.262 4.080 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000624314799 1055724318 /nfs/dbraw/zinc/72/43/18/1055724318.db2.gz PSAUPBXCQTVYET-QFBILLFUSA-N 0 3 310.416 4.480 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1ccc(F)cc1OC(C)C ZINC001238701710 1055724892 /nfs/dbraw/zinc/72/48/92/1055724892.db2.gz FFFLGWKJRMHKFD-QGZVFWFLSA-N 0 3 309.425 4.002 20 0 DIADHN CCOc1ccc(C)c(CN(C)CCC(=O)c2ccccc2)c1 ZINC001238706882 1055727707 /nfs/dbraw/zinc/72/77/07/1055727707.db2.gz HMWOTSKNJFJSBB-UHFFFAOYSA-N 0 3 311.425 4.099 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3C[C@H](C)C[C@H](C)C3)n2)cc1F ZINC000045840361 1055730115 /nfs/dbraw/zinc/73/01/15/1055730115.db2.gz WQFPSFXPTIEZRV-MBNYWOFBSA-N 0 3 317.408 4.223 20 0 DIADHN COc1c(CN2C3CCC2CC3)cccc1OCc1ccccc1 ZINC001238744014 1055736735 /nfs/dbraw/zinc/73/67/35/1055736735.db2.gz DYZWXJNLWNFDNB-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN CCCCCCN(C)CC(=O)N(CC)[C@H](C)c1ccc(F)cc1 ZINC001596734050 1055740892 /nfs/dbraw/zinc/74/08/92/1055740892.db2.gz VUOGPXXBNBUNRP-MRXNPFEDSA-N 0 3 322.468 4.247 20 0 DIADHN C[C@@H]1CCCN(Cc2cccnc2OCc2ccccc2)[C@H]1C ZINC001238772063 1055747805 /nfs/dbraw/zinc/74/78/05/1055747805.db2.gz AQRZLXLISMBJJR-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN c1ccc(COc2ncccc2CN2CCCCCCC2)cc1 ZINC001238772642 1055748611 /nfs/dbraw/zinc/74/86/11/1055748611.db2.gz FOUFJXGTVQJACZ-UHFFFAOYSA-N 0 3 310.441 4.427 20 0 DIADHN C[C@@H]1CCN(Cc2cccnc2OCc2ccccc2)[C@H](C)C1 ZINC001238772175 1055748715 /nfs/dbraw/zinc/74/87/15/1055748715.db2.gz CWLASDJZFPDPAQ-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN C[C@H](NCc1cccc(C(=O)N2CCCCC2)c1)c1ccccc1 ZINC000172468435 1055753102 /nfs/dbraw/zinc/75/31/02/1055753102.db2.gz YVJKSTNXMRHDBI-KRWDZBQOSA-N 0 3 322.452 4.164 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)c(F)cn1 ZINC001238800756 1055754320 /nfs/dbraw/zinc/75/43/20/1055754320.db2.gz WYCCVNVUBFXBAH-OAHLLOKOSA-N 0 3 324.415 4.112 20 0 DIADHN C[C@@H](C(=O)NCC1CCC(C(C)(C)C)CC1)N1CCCCCC1 ZINC001566291446 1055758318 /nfs/dbraw/zinc/75/83/18/1055758318.db2.gz MCPWNISQZUDTJV-AOCRQIFASA-N 0 3 322.537 4.220 20 0 DIADHN C/C(=C/c1ccc(C)cc1)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001566424453 1055771718 /nfs/dbraw/zinc/77/17/18/1055771718.db2.gz SQWFPVTVBQXICU-ATVHPVEESA-N 0 3 322.452 4.407 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(C3CCCCC3)CC2)c1 ZINC001566424754 1055774012 /nfs/dbraw/zinc/77/40/12/1055774012.db2.gz AZRZTVXWTIFXPF-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN CCCN(C)CC(=O)N[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC001566538885 1055775521 /nfs/dbraw/zinc/77/55/21/1055775521.db2.gz MMRGGRANWAABKE-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(-c2cc3ccccc3[nH]c2=O)nc1 ZINC001238878869 1055777374 /nfs/dbraw/zinc/77/73/74/1055777374.db2.gz DYKOPPBKNBSGTO-LJQANCHMSA-N 0 3 319.408 4.159 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001597081221 1055784066 /nfs/dbraw/zinc/78/40/66/1055784066.db2.gz HWDDQGRYNBZQTJ-KRWDZBQOSA-N 0 3 310.526 4.029 20 0 DIADHN CCCCCCN(C)CC(=O)NC1CC(C)(C)CC(C)(C)C1 ZINC001566947024 1055787188 /nfs/dbraw/zinc/78/71/88/1055787188.db2.gz GKUORLPTPLBGGG-UHFFFAOYSA-N 0 3 310.526 4.220 20 0 DIADHN Cc1cc(-c2ccc3c(N)ccnc3c2)cnc1OCC(C)C ZINC001238923249 1055790201 /nfs/dbraw/zinc/79/02/01/1055790201.db2.gz DLXRURQBVPWQGA-UHFFFAOYSA-N 0 3 307.397 4.222 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000624330246 1055791093 /nfs/dbraw/zinc/79/10/93/1055791093.db2.gz QUAOOGRYSYYYQX-SJKOYZFVSA-N 0 3 301.821 4.167 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C(F)(F)F)cc2)cc1 ZINC000057943954 1055794148 /nfs/dbraw/zinc/79/41/48/1055794148.db2.gz XMUPZGYLOCRIHT-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN Cc1ccccc1CN(C)Cc1cc2c(c(Cl)c1)OCO2 ZINC000057947166 1055794814 /nfs/dbraw/zinc/79/48/14/1055794814.db2.gz LQMLSXATJILODU-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN C[C@@H](NC(=O)C1CCC(C)(C)CC1)[C@H](c1ccccc1)N(C)C ZINC001539174089 1055796717 /nfs/dbraw/zinc/79/67/17/1055796717.db2.gz YSRUCMMFASURGY-CRAIPNDOSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@@H](NCCc1c[nH]c2c1cccc2Cl)c1nccs1 ZINC000624331776 1055799915 /nfs/dbraw/zinc/79/99/15/1055799915.db2.gz JGUZJCMEHUXMCH-SNVBAGLBSA-N 0 3 305.834 4.171 20 0 DIADHN CCOc1cc(C)c(-c2ccc(CN3CCCC3)c(F)c2)cn1 ZINC001238978030 1055811157 /nfs/dbraw/zinc/81/11/57/1055811157.db2.gz AAMUWRWFCIZSHX-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CO[C@H](CNCc1ccc(-c2cccc(F)c2F)o1)CC(C)C ZINC001620974271 1055811540 /nfs/dbraw/zinc/81/15/40/1055811540.db2.gz HNHYGLBBUXNYCL-AWEZNQCLSA-N 0 3 323.383 4.376 20 0 DIADHN Cc1ncc(CNCc2ccc3occc3c2)n1-c1ccccc1 ZINC000671716405 1055815697 /nfs/dbraw/zinc/81/56/97/1055815697.db2.gz UESYZXXTRRZKTL-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(CN)nc2)cc1C(F)(F)F ZINC001238998122 1055817949 /nfs/dbraw/zinc/81/79/49/1055817949.db2.gz NCHHFBNKVBCCPU-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN Cc1ccc(OCCN(C)Cc2ccc(OC(F)F)cc2)cc1 ZINC000058887111 1055820734 /nfs/dbraw/zinc/82/07/34/1055820734.db2.gz WTECZGZMWZPSDP-UHFFFAOYSA-N 0 3 321.367 4.107 20 0 DIADHN OCCc1cccc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001239035797 1055828797 /nfs/dbraw/zinc/82/87/97/1055828797.db2.gz WDYJYVGZFUEOID-UHFFFAOYSA-N 0 3 313.416 4.013 20 0 DIADHN CC(C)c1ccc(C(=O)N(CCN(C)C)c2ccccc2)cc1 ZINC000060212224 1055831279 /nfs/dbraw/zinc/83/12/79/1055831279.db2.gz ZYGBODSKKNLNHY-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN CN(C)CCN(C(=O)c1ccc(C(C)(C)C)cc1)c1ccccc1 ZINC000060208507 1055831580 /nfs/dbraw/zinc/83/15/80/1055831580.db2.gz UUPMKABYNBLFSB-UHFFFAOYSA-N 0 3 324.468 4.193 20 0 DIADHN CO[C@@H](CNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC000060528412 1055839499 /nfs/dbraw/zinc/83/94/99/1055839499.db2.gz FFLXVKCNGHFBLT-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN CO[C@H](CNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC000060528413 1055839884 /nfs/dbraw/zinc/83/98/84/1055839884.db2.gz OVQZXAGPGNNCQY-OAHLLOKOSA-N 0 3 310.224 4.471 20 0 DIADHN O=C(Nc1ccccc1Cl)c1cccc(CN2CCCC2)c1 ZINC000060549241 1055841694 /nfs/dbraw/zinc/84/16/94/1055841694.db2.gz BHUGDLXVXHJWHM-UHFFFAOYSA-N 0 3 314.816 4.188 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)[C@H](C)CCC(C)(C)C ZINC001568921815 1055848669 /nfs/dbraw/zinc/84/86/69/1055848669.db2.gz XGRLXFMHNOIDOT-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CCC1CCCCCC1 ZINC001568921081 1055849661 /nfs/dbraw/zinc/84/96/61/1055849661.db2.gz OSZCNYFIGPRNFJ-UHFFFAOYSA-N 0 3 322.537 4.462 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2Cc3[nH]c4ccccc4c3C2)cs1 ZINC000282229590 1055849854 /nfs/dbraw/zinc/84/98/54/1055849854.db2.gz IMANHNZEFLBKHQ-NWDGAFQWSA-N 0 3 311.454 4.005 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H]1CCCC[C@H]1CC ZINC001569753182 1055871072 /nfs/dbraw/zinc/87/10/72/1055871072.db2.gz LEXOASKPXITXTN-GDBMZVCRSA-N 0 3 317.477 4.259 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H]1CCCC[C@@H]1CC ZINC001569753185 1055871793 /nfs/dbraw/zinc/87/17/93/1055871793.db2.gz LEXOASKPXITXTN-HOCLYGCPSA-N 0 3 317.477 4.259 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001569752413 1055872041 /nfs/dbraw/zinc/87/20/41/1055872041.db2.gz ALJVHTGBGJRDJZ-UONOGXRCSA-N 0 3 319.493 4.361 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc(C2CCC2)c1)N1CCCCC1 ZINC001569773713 1055872727 /nfs/dbraw/zinc/87/27/27/1055872727.db2.gz FRCARDUVANTYAC-IBGZPJMESA-N 0 3 314.473 4.403 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc(C2CCC2)c1)N1CCCCC1 ZINC001569773714 1055872983 /nfs/dbraw/zinc/87/29/83/1055872983.db2.gz FRCARDUVANTYAC-LJQANCHMSA-N 0 3 314.473 4.403 20 0 DIADHN c1cn(C2CC2)c(CNCC2CCC(c3ccccc3)CC2)n1 ZINC001649245232 1055880137 /nfs/dbraw/zinc/88/01/37/1055880137.db2.gz SHSGCTGZJBFPJE-UHFFFAOYSA-N 0 3 309.457 4.282 20 0 DIADHN Nc1ccnc2cc(-c3cccc(C(=O)C(F)(F)F)c3)ccc12 ZINC001239391188 1055886029 /nfs/dbraw/zinc/88/60/29/1055886029.db2.gz APEDNEBXIDDLHO-UHFFFAOYSA-N 0 3 316.282 4.229 20 0 DIADHN Cc1ccc(CNCCCOc2ccc(Cl)cc2Cl)o1 ZINC000063319509 1055887259 /nfs/dbraw/zinc/88/72/59/1055887259.db2.gz LNISHOWVWFMUGH-UHFFFAOYSA-N 0 3 314.212 4.454 20 0 DIADHN CNc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)n1 ZINC001239436318 1055889539 /nfs/dbraw/zinc/88/95/39/1055889539.db2.gz JPZROFIWVNVCCQ-UHFFFAOYSA-N 0 3 317.383 4.054 20 0 DIADHN CC1(C)CN(Cc2ccnn2-c2ccc(F)cc2)CC(C)(C)C1 ZINC001205237695 1055894711 /nfs/dbraw/zinc/89/47/11/1055894711.db2.gz MYSIMPHSLUUNMA-UHFFFAOYSA-N 0 3 315.436 4.270 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C[C@H]2CCCCC2(C)C)c(F)c1 ZINC001570311958 1055895378 /nfs/dbraw/zinc/89/53/78/1055895378.db2.gz BSVBJKWTOUBWTL-OAHLLOKOSA-N 0 3 320.452 4.432 20 0 DIADHN Cc1ccc(CNC(=O)C(C)(C)N2CCCCC2)c2ccccc12 ZINC001570365098 1055899392 /nfs/dbraw/zinc/89/93/92/1055899392.db2.gz MCDOWJYBRXCQFI-UHFFFAOYSA-N 0 3 324.468 4.029 20 0 DIADHN CCN(CC)[C@@H](CNc1nc2ccccc2s1)c1ccco1 ZINC000064114352 1055911699 /nfs/dbraw/zinc/91/16/99/1055911699.db2.gz GGNFKEIAPSZWLX-AWEZNQCLSA-N 0 3 315.442 4.384 20 0 DIADHN COCC1CCC(NC2(c3c(F)cccc3F)CCC2)CC1 ZINC000624345424 1055912540 /nfs/dbraw/zinc/91/25/40/1055912540.db2.gz HOZOFVZCNHDSJR-UHFFFAOYSA-N 0 3 309.400 4.139 20 0 DIADHN c1ccc(-c2ccccc2-c2cnccc2N2CCOCC2)cc1 ZINC001239506860 1055917963 /nfs/dbraw/zinc/91/79/63/1055917963.db2.gz LDAZAZBEAGWGCP-UHFFFAOYSA-N 0 3 316.404 4.252 20 0 DIADHN C[C@H]1Cc2ccccc2N1CCNCc1ccc(F)cc1Cl ZINC001620750564 1055923989 /nfs/dbraw/zinc/92/39/89/1055923989.db2.gz FBRDCXGMSYMLCI-ZDUSSCGKSA-N 0 3 318.823 4.020 20 0 DIADHN C[C@@H]1Cc2ccccc2N1CCNCc1ccc(F)cc1Cl ZINC001620750563 1055924583 /nfs/dbraw/zinc/92/45/83/1055924583.db2.gz FBRDCXGMSYMLCI-CYBMUJFWSA-N 0 3 318.823 4.020 20 0 DIADHN CC(C)CCOCCNCc1cnc(-c2ccccc2F)s1 ZINC001620752669 1055925487 /nfs/dbraw/zinc/92/54/87/1055925487.db2.gz YFWGWEVZZTXDLF-UHFFFAOYSA-N 0 3 322.449 4.102 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671738858 1055926552 /nfs/dbraw/zinc/92/65/52/1055926552.db2.gz AEGQQWRKEIPYON-JTQLQIEISA-N 0 3 302.761 4.038 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccs1 ZINC000671743070 1055935045 /nfs/dbraw/zinc/93/50/45/1055935045.db2.gz XAFWZFFARCKEGT-CMPLNLGQSA-N 0 3 302.399 4.397 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccccc3OC)c(C)c2)CC1 ZINC001239537823 1055937399 /nfs/dbraw/zinc/93/73/99/1055937399.db2.gz HJRFVJSSYHALIT-UHFFFAOYSA-N 0 3 308.425 4.175 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1ccc2[nH]c(=O)oc2c1 ZINC000671744098 1055938471 /nfs/dbraw/zinc/93/84/71/1055938471.db2.gz BILXFCJLYPUROJ-ZUZCIYMTSA-N 0 3 312.344 4.011 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1ccc2[nH]c(=O)oc2c1 ZINC000671743031 1055938702 /nfs/dbraw/zinc/93/87/02/1055938702.db2.gz NLPZTXAMLCJOBV-QWHCGFSZSA-N 0 3 322.408 4.434 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccc2[nH]c(=O)oc2c1 ZINC000671744097 1055939707 /nfs/dbraw/zinc/93/97/07/1055939707.db2.gz BILXFCJLYPUROJ-MEBBXXQBSA-N 0 3 312.344 4.011 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cccc(OC(F)F)c1 ZINC000065234978 1055943976 /nfs/dbraw/zinc/94/39/76/1055943976.db2.gz JXDJBAUYHYRFAW-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@H](CC(C)(C)C)C2)c(C)c1 ZINC001618599660 1055952362 /nfs/dbraw/zinc/95/23/62/1055952362.db2.gz QOZNMHMRHPCMDJ-IRXDYDNUSA-N 0 3 316.489 4.389 20 0 DIADHN CN(C)c1ncc(CN2CCCC3(CC3)CC2)c2ccccc21 ZINC001620467877 1055954081 /nfs/dbraw/zinc/95/40/81/1055954081.db2.gz NXYBYTFCIWPIIU-UHFFFAOYSA-N 0 3 309.457 4.067 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001621121400 1055958549 /nfs/dbraw/zinc/95/85/49/1055958549.db2.gz FJCQKVZJAPXGNS-NEPJUHHUSA-N 0 3 321.408 4.402 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@H]1CCOc2ccccc21 ZINC001620786238 1055958890 /nfs/dbraw/zinc/95/88/90/1055958890.db2.gz BPGYXYXAWBIRAX-LLVKDONJSA-N 0 3 323.223 4.044 20 0 DIADHN CO[C@@H](CNCc1ccccc1-c1ccccc1C)C(F)(F)F ZINC001620786794 1055959777 /nfs/dbraw/zinc/95/97/77/1055959777.db2.gz WIPPOPXSQNBSPV-KRWDZBQOSA-N 0 3 323.358 4.329 20 0 DIADHN C[C@@H](NCc1ccc(C2CCCC2)cc1)C(=O)Nc1ccccc1 ZINC001620786651 1055959825 /nfs/dbraw/zinc/95/98/25/1055959825.db2.gz QANIWTQTPUBEKI-MRXNPFEDSA-N 0 3 322.452 4.461 20 0 DIADHN CC(C)n1cc([C@H](C)NCc2cccc(-c3ccccn3)c2)cn1 ZINC001620786373 1055960190 /nfs/dbraw/zinc/96/01/90/1055960190.db2.gz GMUADSATDSMUEJ-INIZCTEOSA-N 0 3 320.440 4.377 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H]3CSC[C@@H]3C2)c1 ZINC001620506668 1055961770 /nfs/dbraw/zinc/96/17/70/1055961770.db2.gz KOSREOZBAVUUML-STQMWFEESA-N 0 3 316.297 4.221 20 0 DIADHN CCc1cccc(CNCc2c[nH]nc2-c2cccc(C)c2)c1 ZINC001620992231 1055962044 /nfs/dbraw/zinc/96/20/44/1055962044.db2.gz BNJLUAZLIFZXAR-UHFFFAOYSA-N 0 3 305.425 4.237 20 0 DIADHN C[C@@H](COCC1CC1)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001620996003 1055965378 /nfs/dbraw/zinc/96/53/78/1055965378.db2.gz FYPKONZIZPQBKA-LBPRGKRZSA-N 0 3 321.367 4.130 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cc(C)ccn1)Oc1cccc(F)c1 ZINC000671750247 1055965938 /nfs/dbraw/zinc/96/59/38/1055965938.db2.gz OOUMALHUCWLMCW-HOCLYGCPSA-N 0 3 302.393 4.037 20 0 DIADHN COc1ccc(C2(NCc3cccc4c3OCC4)CCCC2)cc1 ZINC001620790750 1055966594 /nfs/dbraw/zinc/96/65/94/1055966594.db2.gz ONRPBOXWNPOGLR-UHFFFAOYSA-N 0 3 323.436 4.189 20 0 DIADHN CC[C@@H](C(=O)N1CC[C@H](C)Sc2ccccc21)N(CC)CC ZINC001540083884 1055967229 /nfs/dbraw/zinc/96/72/29/1055967229.db2.gz GQBWZZJOGCMHNX-GJZGRUSLSA-N 0 3 320.502 4.024 20 0 DIADHN Cc1cc(CNCCC(C)(C)F)c(Br)cc1F ZINC001620998235 1055967707 /nfs/dbraw/zinc/96/77/07/1055967707.db2.gz QBSLCHKIUZAPIO-UHFFFAOYSA-N 0 3 306.194 4.124 20 0 DIADHN CC(C)(CCN1CCc2nc[nH]c2[C@H]1c1ccccc1)C1CC1 ZINC001620597908 1055972220 /nfs/dbraw/zinc/97/22/20/1055972220.db2.gz KHFPHVSHZVUYGP-LJQANCHMSA-N 0 3 309.457 4.184 20 0 DIADHN Cc1cccnc1[C@H](C)NC[C@H]1CCC[C@@H](c2ccccc2)O1 ZINC001620664506 1055976959 /nfs/dbraw/zinc/97/69/59/1055976959.db2.gz HINUBDHDEUXXGB-UHOSZYNNSA-N 0 3 310.441 4.351 20 0 DIADHN Fc1cccc(-n2ccc(CNC3(C4CCCCC4)CC3)n2)c1 ZINC001620653601 1055977400 /nfs/dbraw/zinc/97/74/00/1055977400.db2.gz IXJSAKIAWPLLFP-UHFFFAOYSA-N 0 3 313.420 4.214 20 0 DIADHN Cc1ccc(SCCN2CCCC[C@@]23CCCOC3)cc1C ZINC001620684608 1055979622 /nfs/dbraw/zinc/97/96/22/1055979622.db2.gz OSZAWZUQAZTYKD-IBGZPJMESA-N 0 3 319.514 4.431 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1F ZINC000671752984 1055980868 /nfs/dbraw/zinc/98/08/68/1055980868.db2.gz OZFIWSMJZGFOSY-NWDGAFQWSA-N 0 3 314.360 4.393 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CNCc1cccc(Cl)c1Cl ZINC001621017041 1055983234 /nfs/dbraw/zinc/98/32/34/1055983234.db2.gz GWENTWVCSYZUQQ-MLGOLLRUSA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cccc2c1CCC2)c1ccccc1 ZINC001621017707 1055983409 /nfs/dbraw/zinc/98/34/09/1055983409.db2.gz ZPTBZGPEZOATHT-LEWJYISDSA-N 0 3 309.453 4.023 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cccc2c1CCC2)c1ccccc1 ZINC001621017710 1055983963 /nfs/dbraw/zinc/98/39/63/1055983963.db2.gz ZPTBZGPEZOATHT-SFTDATJTSA-N 0 3 309.453 4.023 20 0 DIADHN CO[C@H](CNCc1ccccc1OCC(C)C)C1CCCCC1 ZINC001621018613 1055984999 /nfs/dbraw/zinc/98/49/99/1055984999.db2.gz DLBONVOCSOGTGH-HXUWFJFHSA-N 0 3 319.489 4.406 20 0 DIADHN CC(C)CCCNCc1cc(Br)cnc1Cl ZINC001620709604 1055985364 /nfs/dbraw/zinc/98/53/64/1055985364.db2.gz QBSKAAKBNXAQTO-UHFFFAOYSA-N 0 3 305.647 4.023 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNCCOCCF)o2)cc1 ZINC001621019684 1055987026 /nfs/dbraw/zinc/98/70/26/1055987026.db2.gz VIJVHSNYJOGRID-UHFFFAOYSA-N 0 3 319.420 4.320 20 0 DIADHN CCOc1cccc(F)c1CNC[C@H]1CCCO[C@H]1C(C)(C)C ZINC001620798273 1055992653 /nfs/dbraw/zinc/99/26/53/1055992653.db2.gz ZBMZTGBMUZUDLP-RDTXWAMCSA-N 0 3 323.452 4.155 20 0 DIADHN Cc1ccnc(CNC[C@@H]2CCC[C@@H](C)C2)c1Br ZINC001620748163 1055993344 /nfs/dbraw/zinc/99/33/44/1055993344.db2.gz LPYSIMZLDPHACB-DGCLKSJQSA-N 0 3 311.267 4.068 20 0 DIADHN COC1(CNCc2cc(Cl)cc(Cl)c2F)CCCC1 ZINC001621034660 1055996203 /nfs/dbraw/zinc/99/62/03/1055996203.db2.gz IULZHMLXRNUGQB-UHFFFAOYSA-N 0 3 306.208 4.181 20 0 DIADHN CCCCOc1cc(CNC(C)(C)/C=C/Cl)ccc1OC ZINC001621076165 1055996533 /nfs/dbraw/zinc/99/65/33/1055996533.db2.gz OAAJKUPHFDKZDS-MDZDMXLPSA-N 0 3 311.853 4.495 20 0 DIADHN Clc1cccc(C2(CNCc3cnc(C4CC4)o3)CCC2)c1 ZINC001621035498 1055997000 /nfs/dbraw/zinc/99/70/00/1055997000.db2.gz VSKQYPLWFHBRAM-UHFFFAOYSA-N 0 3 316.832 4.417 20 0 DIADHN Cc1cc(C)cc(CCNCc2nc3c(s2)C[C@H](C)CC3)c1 ZINC001620804271 1055998913 /nfs/dbraw/zinc/99/89/13/1055998913.db2.gz ZRBAMKCIAYQALY-CYBMUJFWSA-N 0 3 314.498 4.217 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@@H]1C[C@H]1C(F)(F)F ZINC001621042112 1056001811 /nfs/dbraw/zinc/00/18/11/1056001811.db2.gz NTVUCJCGELMECO-REIMVRAHSA-N 0 3 321.289 4.232 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(F)c(C(F)F)c2)c1 ZINC001649104402 1056005026 /nfs/dbraw/zinc/00/50/26/1056005026.db2.gz HHTRZVQNJHJXMH-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN FC1(F)CCC[C@H]1CCNCc1ccsc1Br ZINC001621046574 1056006528 /nfs/dbraw/zinc/00/65/28/1056006528.db2.gz KSPFHNTUWBCBHC-JTQLQIEISA-N 0 3 324.234 4.426 20 0 DIADHN CO[C@@H](CNCc1cccc(C)c1F)c1cccc(Cl)c1 ZINC001620813624 1056006818 /nfs/dbraw/zinc/00/68/18/1056006818.db2.gz PXZUPLJGTBIKTJ-INIZCTEOSA-N 0 3 307.796 4.265 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H](C)Oc1ccccc1C ZINC001620816099 1056009563 /nfs/dbraw/zinc/00/95/63/1056009563.db2.gz RPJNSZUURWYJOQ-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN CC1=NO[C@H](CNCc2ccc(Sc3ccccc3)cc2)C1 ZINC001621051785 1056011110 /nfs/dbraw/zinc/01/11/10/1056011110.db2.gz SDCUMAFVXTWTFD-INIZCTEOSA-N 0 3 312.438 4.092 20 0 DIADHN CC1(C)CCc2onc(CNC3CCC4(CCC4)CC3)c2C1 ZINC001621054340 1056012931 /nfs/dbraw/zinc/01/29/31/1056012931.db2.gz HOQXRUAFAOJGCS-UHFFFAOYSA-N 0 3 302.462 4.392 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@@H]2CC(C)(C)CO2)o1 ZINC001621057013 1056013783 /nfs/dbraw/zinc/01/37/83/1056013783.db2.gz NMPANGLMEFELEV-INIZCTEOSA-N 0 3 317.404 4.299 20 0 DIADHN CC(C)c1nc(CNCCc2cccc3cccnc32)cs1 ZINC001649107226 1056014495 /nfs/dbraw/zinc/01/44/95/1056014495.db2.gz RSXMXWMKPYWNBF-UHFFFAOYSA-N 0 3 311.454 4.147 20 0 DIADHN CCN(CC)Cc1cccc(-c2c(OC)cccc2OC)c1F ZINC001239673957 1056018368 /nfs/dbraw/zinc/01/83/68/1056018368.db2.gz BMYFJHKMDROYRR-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN Cc1ccc(CN(CCc2ccncc2)Cc2ccco2)s1 ZINC000069020031 1056019149 /nfs/dbraw/zinc/01/91/49/1056019149.db2.gz CZYQGQNZWMAHKS-UHFFFAOYSA-N 0 3 312.438 4.289 20 0 DIADHN COc1cccc(OC)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001239674466 1056020008 /nfs/dbraw/zinc/02/00/08/1056020008.db2.gz JUXOLNMREHLEDO-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CC(C)(C)CCCCC(=O)N1CCN(C2CCCCC2)CC1 ZINC001583140458 1056027415 /nfs/dbraw/zinc/02/74/15/1056027415.db2.gz XSTCCDGLIVTVMV-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CCC[C@@H](O)CN(Cc1ccc(F)cc1)Cc1ccc(F)cc1 ZINC000035718520 1056029597 /nfs/dbraw/zinc/02/95/97/1056029597.db2.gz PSAWZFOTXVUOOI-LJQANCHMSA-N 0 3 319.395 4.128 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2ccc(Cl)o2)cc1 ZINC000072746419 1056031761 /nfs/dbraw/zinc/03/17/61/1056031761.db2.gz SWNGVLFDOXWBSV-WBVHZDCISA-N 0 3 305.805 4.251 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)C[C@@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001583170149 1056032547 /nfs/dbraw/zinc/03/25/47/1056032547.db2.gz QMQIGAGTTXMKJV-IRXDYDNUSA-N 0 3 322.537 4.172 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)C[C@H](C)CC(C)C)N(C)C)cc1 ZINC001540450990 1056033971 /nfs/dbraw/zinc/03/39/71/1056033971.db2.gz JDHUWNWWNOJOLL-VQIMIIECSA-N 0 3 318.505 4.040 20 0 DIADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1ccc(F)c(F)c1N(C)C ZINC001621334033 1056038395 /nfs/dbraw/zinc/03/83/95/1056038395.db2.gz AOIVVHBMTFWDAI-RHSMWYFYSA-N 0 3 310.432 4.143 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)c1 ZINC001621334408 1056038747 /nfs/dbraw/zinc/03/87/47/1056038747.db2.gz MHQGTPXVMZIZNU-RDJZCZTQSA-N 0 3 323.864 4.239 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)c1 ZINC001583263857 1056048282 /nfs/dbraw/zinc/04/82/82/1056048282.db2.gz QDUGHQYYOIRLSU-JYJNAYRXSA-N 0 3 302.462 4.034 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)c1 ZINC001583263859 1056048498 /nfs/dbraw/zinc/04/84/98/1056048498.db2.gz QDUGHQYYOIRLSU-OAGGEKHMSA-N 0 3 302.462 4.034 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CCC[C@H]2c2ccccc2)c1 ZINC001540627557 1056053667 /nfs/dbraw/zinc/05/36/67/1056053667.db2.gz GVZRPJCAVVHLRX-VQTJNVASSA-N 0 3 322.452 4.271 20 0 DIADHN C[C@@H]1CN(Cc2c3ccccc3[nH]c2-c2ccccc2)C[C@H](C)O1 ZINC000005696365 1056055293 /nfs/dbraw/zinc/05/52/93/1056055293.db2.gz DYEGKECCXPFKRG-IYBDPMFKSA-N 0 3 320.436 4.444 20 0 DIADHN CC[C@H](CNCc1ccccn1)Oc1ccccc1C(F)(F)F ZINC000084675087 1056058688 /nfs/dbraw/zinc/05/86/88/1056058688.db2.gz KYOZUOSMRRUSHX-CQSZACIVSA-N 0 3 324.346 4.048 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCCC2)C(=O)Nc1ccccc1 ZINC000007744421 1056065123 /nfs/dbraw/zinc/06/51/23/1056065123.db2.gz TYNDCHHFSMRERO-HOTGVXAUSA-N 0 3 322.452 4.243 20 0 DIADHN CCc1nc(OC)ccc1-c1cccc(CN2CCCC2)c1F ZINC001239778342 1056069591 /nfs/dbraw/zinc/06/95/91/1056069591.db2.gz DKTIKIGFDBUHIF-UHFFFAOYSA-N 0 3 314.404 4.055 20 0 DIADHN CCN(CC)Cc1cc(-c2ccccc2NC(C)=O)ccc1F ZINC001239782809 1056074415 /nfs/dbraw/zinc/07/44/15/1056074415.db2.gz ZNXMQTBTCREFLT-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1F)[C@@H](O)c1c(F)cccc1F ZINC001620818305 1056084623 /nfs/dbraw/zinc/08/46/23/1056084623.db2.gz SCRFRRYOFCZLHO-SJLPKXTDSA-N 0 3 323.358 4.014 20 0 DIADHN COc1ccc2cc(-c3cnccc3/C=C/N(C)C)ccc2c1 ZINC001239843943 1056105386 /nfs/dbraw/zinc/10/53/86/1056105386.db2.gz XBVHJBLZZXJUFC-PKNBQFBNSA-N 0 3 304.393 4.443 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001542197496 1056110592 /nfs/dbraw/zinc/11/05/92/1056110592.db2.gz CDNGJIZZUMSRRH-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN C[C@H](C(=O)N(C)c1ccc(C(C)(C)C)cc1)N1CCCCCC1 ZINC001542239017 1056112604 /nfs/dbraw/zinc/11/26/04/1056112604.db2.gz LSBUKCVDNMRCEN-MRXNPFEDSA-N 0 3 316.489 4.211 20 0 DIADHN CC(C)c1ccccc1-c1cccc2cc([C@@H]3CNCCO3)cn21 ZINC001239865947 1056117146 /nfs/dbraw/zinc/11/71/46/1056117146.db2.gz KZBHXRBRQDFWLQ-NRFANRHFSA-N 0 3 320.436 4.391 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)COC(C)(C)O3)c(C)c1 ZINC000186367398 1056127873 /nfs/dbraw/zinc/12/78/73/1056127873.db2.gz OXXVNXUOCVAEJL-UHFFFAOYSA-N 0 3 311.425 4.238 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccnc1-c1ccccc1 ZINC001239887965 1056132705 /nfs/dbraw/zinc/13/27/05/1056132705.db2.gz FCOOSLNQIAKARG-SDNWHVSQSA-N 0 3 301.393 4.343 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC001620819965 1056151828 /nfs/dbraw/zinc/15/18/28/1056151828.db2.gz HFJMPSZBBJYWGX-GOEBONIOSA-N 0 3 313.363 4.486 20 0 DIADHN CC(C)CNC(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001239917806 1056158758 /nfs/dbraw/zinc/15/87/58/1056158758.db2.gz WJVHCJDKNMSGOY-UHFFFAOYSA-N 0 3 320.436 4.322 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccccc1Cl)c1ccccc1F ZINC000765812774 1056160216 /nfs/dbraw/zinc/16/02/16/1056160216.db2.gz ZFQOOGKDABGWCT-PXAZEXFGSA-N 0 3 307.796 4.037 20 0 DIADHN CCCCCCN(C)CC(=O)N(Cc1ccccc1F)C(C)C ZINC001583763697 1056161835 /nfs/dbraw/zinc/16/18/35/1056161835.db2.gz FJMGTALZXKBXHZ-UHFFFAOYSA-N 0 3 322.468 4.075 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1C)C1CCC1 ZINC000660423700 1056162931 /nfs/dbraw/zinc/16/29/31/1056162931.db2.gz OSCVNDGLVWRTKC-CVEARBPZSA-N 0 3 315.379 4.393 20 0 DIADHN CCO[C@H](CCNCc1cscc1Br)C(C)C ZINC000352754183 1056164506 /nfs/dbraw/zinc/16/45/06/1056164506.db2.gz HGALXFHVTVIRKT-CYBMUJFWSA-N 0 3 320.296 4.051 20 0 DIADHN CCCCCC[C@@H](CC)CN(C)C(=O)[C@@H]1CCCCN1CC ZINC001611606421 1056176384 /nfs/dbraw/zinc/17/63/84/1056176384.db2.gz YKDAFXIAZRLLJV-MSOLQXFVSA-N 0 3 310.526 4.316 20 0 DIADHN CCc1ccc([C@@H](CNc2nc3cc(C)ccc3o2)N(C)C)cc1 ZINC001611706137 1056180712 /nfs/dbraw/zinc/18/07/12/1056180712.db2.gz LQJRVPLRQBIWHF-GOSISDBHSA-N 0 3 323.440 4.413 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2ccc(OC(C)C)cc2)c1 ZINC000090972153 1056186374 /nfs/dbraw/zinc/18/63/74/1056186374.db2.gz FTOWXDFXISGHOU-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN C[C@H](CN1CCC[C@H](C)C1)Nc1nc(C2CCCCC2)ns1 ZINC001611860921 1056199723 /nfs/dbraw/zinc/19/97/23/1056199723.db2.gz MNGHBQLSGYPVSQ-UONOGXRCSA-N 0 3 322.522 4.118 20 0 DIADHN CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001543359471 1056207588 /nfs/dbraw/zinc/20/75/88/1056207588.db2.gz RWNWGYSQKLYFSZ-OAHLLOKOSA-N 0 3 322.880 4.068 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCCOC1CC1 ZINC001168621985 1056211332 /nfs/dbraw/zinc/21/13/32/1056211332.db2.gz DKPKCXKCSZFEDD-MRXNPFEDSA-N 0 3 311.853 4.204 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001583966880 1056222245 /nfs/dbraw/zinc/22/22/45/1056222245.db2.gz VXIVUDXKYLYKHG-KZNAEPCWSA-N 0 3 322.537 4.076 20 0 DIADHN CCC1(CC)[C@H](N[C@H]2CCCc3cn(C(C)C)nc32)C[C@H]1OC ZINC000765821398 1056223426 /nfs/dbraw/zinc/22/34/26/1056223426.db2.gz OSRSVMZEAJHUJN-GVDBMIGSSA-N 0 3 319.493 4.025 20 0 DIADHN COc1cc(F)ccc1-c1cccc(CN2CCCC2)c1F ZINC001240000785 1056238166 /nfs/dbraw/zinc/23/81/66/1056238166.db2.gz QPXYDOCIVBHDEG-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3ccc(C)cc32)cc1OC ZINC000106479674 1056246028 /nfs/dbraw/zinc/24/60/28/1056246028.db2.gz WDFJZUQVRXOTDW-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(-c3ccc(C)cc3)s2)[C@@H](C)C1 ZINC000282283039 1056254663 /nfs/dbraw/zinc/25/46/63/1056254663.db2.gz LELBBRKSFVDODJ-HOCLYGCPSA-N 0 3 316.470 4.118 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c(F)c1 ZINC001240025201 1056260507 /nfs/dbraw/zinc/26/05/07/1056260507.db2.gz XUIMUFSTLOAZFH-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN O=C(Nc1ccccc1)c1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001240047418 1056282277 /nfs/dbraw/zinc/28/22/77/1056282277.db2.gz NIDVCJKWQNYZKA-UHFFFAOYSA-N 0 3 313.360 4.482 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1csc2ccccc12 ZINC000765836427 1056285168 /nfs/dbraw/zinc/28/51/68/1056285168.db2.gz WKNHQFAOAMMSQJ-BLLLJJGKSA-N 0 3 311.454 4.363 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1csc2ccccc12 ZINC000765836438 1056285997 /nfs/dbraw/zinc/28/59/97/1056285997.db2.gz WKNHQFAOAMMSQJ-MLGOLLRUSA-N 0 3 311.454 4.363 20 0 DIADHN FC(F)(F)OCCN[C@@H]1CCSc2c(Cl)cccc21 ZINC000353311922 1056301724 /nfs/dbraw/zinc/30/17/24/1056301724.db2.gz HUGFBJBHMSWFHC-SNVBAGLBSA-N 0 3 311.756 4.003 20 0 DIADHN CC(C)NC(=O)c1cccc(-c2cccc(C3=NCCC3)c2)c1 ZINC001240083539 1056310963 /nfs/dbraw/zinc/31/09/63/1056310963.db2.gz FRNNSAAFLTUHFX-UHFFFAOYSA-N 0 3 306.409 4.075 20 0 DIADHN C[C@H]1CCCCN1c1cccc(N[C@@H]2CCNc3ccccc32)n1 ZINC001168640437 1056314236 /nfs/dbraw/zinc/31/42/36/1056314236.db2.gz INHPUNPCMFMFIJ-MAUKXSAKSA-N 0 3 322.456 4.429 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCSc2ccccc21 ZINC000765847896 1056315700 /nfs/dbraw/zinc/31/57/00/1056315700.db2.gz CQRRLIIYLPLNNQ-KRWDZBQOSA-N 0 3 312.482 4.469 20 0 DIADHN Fc1cccc(CNC2(c3ccc(Cl)cc3)CCOCC2)c1 ZINC000107899091 1056320963 /nfs/dbraw/zinc/32/09/63/1056320963.db2.gz KDISJKKEMNRXSF-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN CC[C@@H](NCc1ccccc1N(C)CC)c1ccc(OC)cc1 ZINC000765851061 1056327635 /nfs/dbraw/zinc/32/76/35/1056327635.db2.gz XTYIIBIXRUFZIF-LJQANCHMSA-N 0 3 312.457 4.392 20 0 DIADHN CC[C@H]1CCCC[C@H]1C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001543934178 1056329432 /nfs/dbraw/zinc/32/94/32/1056329432.db2.gz OYDWOSQCLFCMIN-RBUKOAKNSA-N 0 3 322.537 4.173 20 0 DIADHN CC1CCN(C(=O)c2cccc(-c3cncc4cc[nH]c43)c2)CC1 ZINC001240107949 1056330667 /nfs/dbraw/zinc/33/06/67/1056330667.db2.gz ZIJJUTXOWMWBRV-UHFFFAOYSA-N 0 3 319.408 4.102 20 0 DIADHN COC[C@H](N[C@H](C)C1CCC(C(F)(F)F)CC1)c1ccco1 ZINC000474811484 1056358041 /nfs/dbraw/zinc/35/80/41/1056358041.db2.gz RUCFTDHINHCDLL-RYSNWHEDSA-N 0 3 319.367 4.314 20 0 DIADHN CC[C@H](C(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1)N(C)C ZINC001544088358 1056358097 /nfs/dbraw/zinc/35/80/97/1056358097.db2.gz XPYVSYMINLRTOW-MGPUTAFESA-N 0 3 324.468 4.232 20 0 DIADHN COc1ccc(-c2ccc(CNCc3ccc(C)nc3)cc2)cc1 ZINC000156077188 1056359179 /nfs/dbraw/zinc/35/91/79/1056359179.db2.gz IBKAMGKOKKDUNC-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CN(Cc1ccccc1Br)C[C@@H]1CCC(F)(F)C1 ZINC000404182810 1056389652 /nfs/dbraw/zinc/38/96/52/1056389652.db2.gz ZHRZEVMJSYVHDY-LLVKDONJSA-N 0 3 318.205 4.316 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnn(CCF)c1 ZINC000921731188 1056390819 /nfs/dbraw/zinc/39/08/19/1056390819.db2.gz CCFXASXUDHKMLY-GOSISDBHSA-N 0 3 303.425 4.038 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@@H]2CCCc3cccnc32)nc1 ZINC001168655157 1056391058 /nfs/dbraw/zinc/39/10/58/1056391058.db2.gz KWBVMPNYYZUEEO-QZTJIDSGSA-N 0 3 322.456 4.123 20 0 DIADHN CCC(CC)[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001544268831 1056397100 /nfs/dbraw/zinc/39/71/00/1056397100.db2.gz OFVZUNVBPDZJHB-GQIGUUNPSA-N 0 3 316.489 4.153 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC[C@H]3C(C)C)co2)cc1 ZINC000303670013 1056398424 /nfs/dbraw/zinc/39/84/24/1056398424.db2.gz JJKMNFKRCHAWMD-SFHVURJKSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CNCC1(C)CC1 ZINC001620825501 1056402448 /nfs/dbraw/zinc/40/24/48/1056402448.db2.gz WUUMCLUHVDQXJO-UHFFFAOYSA-N 0 3 324.255 4.377 20 0 DIADHN c1ccc(Oc2cccc(-c3ccc4c(n3)CNCC4)c2)cc1 ZINC001240272231 1056406804 /nfs/dbraw/zinc/40/68/04/1056406804.db2.gz YEQNZAKSUFDGBQ-UHFFFAOYSA-N 0 3 302.377 4.187 20 0 DIADHN Cn1cc(-c2ccc(C(F)(F)F)cc2CN2CCCCC2)cn1 ZINC001240297578 1056417482 /nfs/dbraw/zinc/41/74/82/1056417482.db2.gz JHETYRCZPSAQQQ-UHFFFAOYSA-N 0 3 323.362 4.092 20 0 DIADHN Cc1csc(CS[C@@H]2CCCN(Cc3ccccc3)C2)n1 ZINC001614553191 1056439988 /nfs/dbraw/zinc/43/99/88/1056439988.db2.gz URHCOURMYRRMLN-MRXNPFEDSA-N 0 3 318.511 4.349 20 0 DIADHN C[C@@H](S[C@@H]1CCCN(Cc2ccccc2)C1)C(=O)C(C)(C)C ZINC001614553036 1056440372 /nfs/dbraw/zinc/44/03/72/1056440372.db2.gz OKHSNSVTPAYBTO-NVXWUHKLSA-N 0 3 319.514 4.388 20 0 DIADHN CC(C)n1cnc2cc(-c3ccc(CN(C)C4CC4)cn3)ccc21 ZINC001240369182 1056455658 /nfs/dbraw/zinc/45/56/58/1056455658.db2.gz ZFJMEAGRRBZYKX-UHFFFAOYSA-N 0 3 320.440 4.273 20 0 DIADHN Cc1csc2nc(C3=CCCN(Cc4ccccc4)C3)ncc12 ZINC001240383509 1056463942 /nfs/dbraw/zinc/46/39/42/1056463942.db2.gz FOTNWJRQKLOGHA-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CC[C@@H](CNCc1cc(F)ccc1F)Oc1cccc(F)c1 ZINC001620827033 1056464022 /nfs/dbraw/zinc/46/40/22/1056464022.db2.gz BLRYBRXCJBGDGU-HNNXBMFYSA-N 0 3 309.331 4.051 20 0 DIADHN Fc1ccc2c(cnnc2C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240385196 1056465726 /nfs/dbraw/zinc/46/57/26/1056465726.db2.gz ZPUGBJJAEXXUGS-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Fc1ccc2cnc(C3=CCCN(Cc4ccccc4)C3)nc2c1 ZINC001240385334 1056466302 /nfs/dbraw/zinc/46/63/02/1056466302.db2.gz NPEVOSPPYYROFV-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1cc2ncnc(C3=CCCN(Cc4ccccc4)C3)c2s1 ZINC001240385596 1056466712 /nfs/dbraw/zinc/46/67/12/1056466712.db2.gz AHFJXVRPNLCZJO-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1nsc2nc(C3=CCCN(Cc4ccccc4)C3)ccc12 ZINC001240385744 1056466941 /nfs/dbraw/zinc/46/69/41/1056466941.db2.gz IJXYKNRGJUYUOJ-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN c1ccc(CN2CCC=C(c3cc(C4CCC4)ncn3)C2)cc1 ZINC001240386598 1056468266 /nfs/dbraw/zinc/46/82/66/1056468266.db2.gz PSODWOQTWSFYAP-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN CC[C@@H]1CO[C@@H](C)CN1Cc1ccc(-c2ccsc2)cc1 ZINC001615163449 1056470720 /nfs/dbraw/zinc/47/07/20/1056470720.db2.gz CFYSNHDHRJQNCL-KBXCAEBGSA-N 0 3 301.455 4.414 20 0 DIADHN FC(F)c1cncc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240388511 1056471122 /nfs/dbraw/zinc/47/11/22/1056471122.db2.gz NJTSJUVNEIICRV-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@H]1CCC[C@H](C(F)(F)F)O1 ZINC001615180904 1056471414 /nfs/dbraw/zinc/47/14/14/1056471414.db2.gz KWPUHAAEMFTSSU-NQBHXWOUSA-N 0 3 307.381 4.241 20 0 DIADHN CCN(Cc1cccc(Cl)c1)C[C@H]1COC2(CCCCC2)O1 ZINC001615175563 1056471614 /nfs/dbraw/zinc/47/16/14/1056471614.db2.gz GGTVCUHAETWNST-KRWDZBQOSA-N 0 3 323.864 4.238 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@H]1CCC[C@@H](C(F)(F)F)O1 ZINC001615180906 1056472712 /nfs/dbraw/zinc/47/27/12/1056472712.db2.gz KWPUHAAEMFTSSU-WZRBSPASSA-N 0 3 307.381 4.241 20 0 DIADHN CSCc1ccc(CN[C@H](CCCO)c2ccccc2)cc1 ZINC000921770048 1056479056 /nfs/dbraw/zinc/47/90/56/1056479056.db2.gz AYCONACJQYQLJZ-LJQANCHMSA-N 0 3 315.482 4.153 20 0 DIADHN COc1ccc(CN(Cc2cc(C)ns2)[C@H](C)C2CC2)cc1 ZINC001615230508 1056479700 /nfs/dbraw/zinc/47/97/00/1056479700.db2.gz YMYCITWMLMZSRJ-CQSZACIVSA-N 0 3 316.470 4.261 20 0 DIADHN C[C@@H]1CCC[C@H](CCN(Cc2ccco2)C[C@@H]2CCCO2)C1 ZINC001615245609 1056482228 /nfs/dbraw/zinc/48/22/28/1056482228.db2.gz LLCHVBLVJHVIFB-LMMKCTJWSA-N 0 3 305.462 4.477 20 0 DIADHN O=c1[nH]c2cccc(CN[C@@H](CC3CC3)c3ccccc3)c2o1 ZINC000921785356 1056488288 /nfs/dbraw/zinc/48/82/88/1056488288.db2.gz DOQLVNVODZVAEK-KRWDZBQOSA-N 0 3 308.381 4.164 20 0 DIADHN COc1cccc(-c2nc(CN(C)[C@@H]3CCC[C@@H](C)C3)co2)c1 ZINC001615308542 1056492168 /nfs/dbraw/zinc/49/21/68/1056492168.db2.gz PEAKLVWZEGHYPW-RHSMWYFYSA-N 0 3 314.429 4.361 20 0 DIADHN CCCN(CCc1scnc1C)Cc1ccc(F)cc1F ZINC001615313566 1056492227 /nfs/dbraw/zinc/49/22/27/1056492227.db2.gz FXZHJPNFWBUIHW-UHFFFAOYSA-N 0 3 310.413 4.184 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001615309443 1056492725 /nfs/dbraw/zinc/49/27/25/1056492725.db2.gz XWVUQGMAVNGERX-GXTWGEPZSA-N 0 3 309.837 4.122 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H](O)[C@H](C)c1ccccc1 ZINC001615327243 1056493350 /nfs/dbraw/zinc/49/33/50/1056493350.db2.gz WNYDMUGWXDIFQA-OXQOHEQNSA-N 0 3 315.432 4.201 20 0 DIADHN C[C@H]1CCC[C@@H](CCN2CCN(c3ccc(Cl)cn3)CC2)C1 ZINC001615337144 1056494361 /nfs/dbraw/zinc/49/43/61/1056494361.db2.gz IEWSWUZZCQYHNZ-HOTGVXAUSA-N 0 3 321.896 4.073 20 0 DIADHN COC(=O)CCN(Cc1c(Cl)oc2ccccc21)CC(C)C ZINC001615346271 1056496379 /nfs/dbraw/zinc/49/63/79/1056496379.db2.gz CCJDOQAWMXRRLZ-UHFFFAOYSA-N 0 3 323.820 4.107 20 0 DIADHN COc1ccc(Br)c(CN(C)C(C2CC2)C2CC2)c1 ZINC001615351284 1056497727 /nfs/dbraw/zinc/49/77/27/1056497727.db2.gz KIOUSEYIXDTCLA-UHFFFAOYSA-N 0 3 324.262 4.078 20 0 DIADHN CC(C)N1CCN(c2ccc(-c3ccc4occc4c3)cn2)CC1 ZINC001240423954 1056498898 /nfs/dbraw/zinc/49/88/98/1056498898.db2.gz PWQALPRSVKPRFT-UHFFFAOYSA-N 0 3 321.424 4.025 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1CC3(CCC3)CO1)C2 ZINC001615363429 1056499326 /nfs/dbraw/zinc/49/93/26/1056499326.db2.gz PXUJAAWWDAXDPO-IBGZPJMESA-N 0 3 313.485 4.301 20 0 DIADHN COc1ccc2c(c1)CCCN([C@@H]1CCc3ccc(F)cc31)C2 ZINC001615435879 1056509641 /nfs/dbraw/zinc/50/96/41/1056509641.db2.gz LILRGAUVBGHMCL-HXUWFJFHSA-N 0 3 311.400 4.270 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1cc(C)cc(C)c1)C1CC1 ZINC001615468748 1056512814 /nfs/dbraw/zinc/51/28/14/1056512814.db2.gz BQIXMWKPLXXUNL-CVEARBPZSA-N 0 3 302.462 4.141 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000014934938 1056513043 /nfs/dbraw/zinc/51/30/43/1056513043.db2.gz STOXUIDSEQSFFP-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@@H]2CC3(CCC3)CO2)cc1 ZINC001615485207 1056519303 /nfs/dbraw/zinc/51/93/03/1056519303.db2.gz OAVUEEJKTLJEBZ-ZWKOTPCHSA-N 0 3 317.498 4.044 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@H]2CC3(CCC3)CO2)cc1 ZINC001615485201 1056519361 /nfs/dbraw/zinc/51/93/61/1056519361.db2.gz OAVUEEJKTLJEBZ-MSOLQXFVSA-N 0 3 317.498 4.044 20 0 DIADHN CC[C@H]1CCCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)CC1 ZINC001615496998 1056521108 /nfs/dbraw/zinc/52/11/08/1056521108.db2.gz QWNLZHLRGJFZLC-INIZCTEOSA-N 0 3 316.489 4.435 20 0 DIADHN C[C@@H](NCc1ccnn1C1CCC1)c1cccc(N2CCCC2)c1 ZINC000921833283 1056528352 /nfs/dbraw/zinc/52/83/52/1056528352.db2.gz UPQCHRHOMCZSIS-MRXNPFEDSA-N 0 3 324.472 4.059 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1C[C@H](C)CCC[C@@H]1C ZINC001615543253 1056532139 /nfs/dbraw/zinc/53/21/39/1056532139.db2.gz HABNJHAFQVYHAR-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)C1(CC)CCC1 ZINC001272929366 1056532160 /nfs/dbraw/zinc/53/21/60/1056532160.db2.gz ZPRPBNIBSYFKKU-KURKYZTESA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1C[C@@H](C)CCC[C@H]1C ZINC001615543247 1056533453 /nfs/dbraw/zinc/53/34/53/1056533453.db2.gz HABNJHAFQVYHAR-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN O=C(c1cccc(CN2CCC[C@@H]3CCC[C@H]32)c1)C(F)(F)F ZINC001615563718 1056535710 /nfs/dbraw/zinc/53/57/10/1056535710.db2.gz XXHVDFQMWFASDH-DZGCQCFKSA-N 0 3 311.347 4.196 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C(C)C)c1)c1ccc2c(c1)CCO2 ZINC000765943395 1056537234 /nfs/dbraw/zinc/53/72/34/1056537234.db2.gz BPCZADGUWCXCLV-KDOFPFPSSA-N 0 3 313.445 4.201 20 0 DIADHN C[C@H](c1cccnc1)N1CCN(CCC2CCCCCC2)CC1 ZINC001615596195 1056543355 /nfs/dbraw/zinc/54/33/55/1056543355.db2.gz VQTYXJDXXQWKEC-GOSISDBHSA-N 0 3 315.505 4.121 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001545004002 1056544382 /nfs/dbraw/zinc/54/43/82/1056544382.db2.gz RRKNDDMUOPFUMR-MRXNPFEDSA-N 0 3 310.526 4.029 20 0 DIADHN C[C@@H]1c2c(F)cccc2CCN1CCCN(C)c1ccccc1 ZINC001615630293 1056546416 /nfs/dbraw/zinc/54/64/16/1056546416.db2.gz LAWGSQZCRPFOPK-MRXNPFEDSA-N 0 3 312.432 4.271 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2Cc3cccc(Cl)c3C2)c1F ZINC001168683891 1056547112 /nfs/dbraw/zinc/54/71/12/1056547112.db2.gz BEXWBADRDFCZFM-LBPRGKRZSA-N 0 3 307.771 4.184 20 0 DIADHN Fc1ccc(-c2ccn3ccnc3c2)cc1CN1CCCCC1 ZINC001240518779 1056548781 /nfs/dbraw/zinc/54/87/81/1056548781.db2.gz VBRYRIOYUDCKKR-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN Fc1ccc(CN[C@@H]2Cc3cccc(Cl)c3C2)c(F)c1F ZINC001168684019 1056549727 /nfs/dbraw/zinc/54/97/27/1056549727.db2.gz CPBXRJZYABMZLR-LLVKDONJSA-N 0 3 311.734 4.014 20 0 DIADHN CCCN(Cc1ccns1)[C@@H]1C[C@@H](OCC)C12CCCCC2 ZINC001615712361 1056564039 /nfs/dbraw/zinc/56/40/39/1056564039.db2.gz CQGUNQIZISESQQ-IAGOWNOFSA-N 0 3 322.518 4.483 20 0 DIADHN CC(C)[C@@H](CO)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC001615700444 1056564508 /nfs/dbraw/zinc/56/45/08/1056564508.db2.gz OJODCHNDRZQEIX-MRXNPFEDSA-N 0 3 323.889 4.421 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(CC)cn2)C12CCCCC2 ZINC001615720743 1056567296 /nfs/dbraw/zinc/56/72/96/1056567296.db2.gz NGRJTCVWFZKOJH-RBUKOAKNSA-N 0 3 316.489 4.204 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2coc(-c3ccccc3F)n2)C1 ZINC001615727933 1056569371 /nfs/dbraw/zinc/56/93/71/1056569371.db2.gz HHBVYJVKBMFKNY-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN CC1(C)C[C@@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC000921864078 1056572905 /nfs/dbraw/zinc/57/29/05/1056572905.db2.gz RSVLEVJKMQIWKM-MRXNPFEDSA-N 0 3 308.381 4.046 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CC[C@@H]2Cc2ccccc2)n1 ZINC001615796560 1056575350 /nfs/dbraw/zinc/57/53/50/1056575350.db2.gz FYINVTPGNHGZLQ-GOSISDBHSA-N 0 3 310.441 4.076 20 0 DIADHN CC[C@H](C)[C@H](C(=O)OC)N1CC[C@@H](c2ccccc2)[C@H](CC)C1 ZINC001615811417 1056578245 /nfs/dbraw/zinc/57/82/45/1056578245.db2.gz GBXYTJQTXLOSHH-QFHJOOASSA-N 0 3 317.473 4.090 20 0 DIADHN C[C@H](NC(=O)CCCCC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC001585552089 1056578219 /nfs/dbraw/zinc/57/82/19/1056578219.db2.gz IASCCOOWTURQAP-LPHOPBHVSA-N 0 3 318.505 4.401 20 0 DIADHN CC[C@H](C)[C@H](C(=O)OC)N1CC[C@H](c2ccccc2)[C@@H](CC)C1 ZINC001615811416 1056580130 /nfs/dbraw/zinc/58/01/30/1056580130.db2.gz GBXYTJQTXLOSHH-PBWTXFEYSA-N 0 3 317.473 4.090 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CCC[C@@H](C2CCCCC2)C1 ZINC001585616378 1056594614 /nfs/dbraw/zinc/59/46/14/1056594614.db2.gz IGKVTBFNVRRTPT-MOPGFXCFSA-N 0 3 320.521 4.070 20 0 DIADHN COc1cc(C)nc(CN2C[C@H]3CCCC[C@@]32c2ccccc2)c1 ZINC001615910267 1056595815 /nfs/dbraw/zinc/59/58/15/1056595815.db2.gz NXJUDPNQXLXKGW-WIYYLYMNSA-N 0 3 322.452 4.300 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccccc1C)c1ccc(F)cc1F ZINC000765952629 1056599025 /nfs/dbraw/zinc/59/90/25/1056599025.db2.gz NBPVZFGCKVRRSY-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1cccc(C)c1C ZINC001615988508 1056604164 /nfs/dbraw/zinc/60/41/64/1056604164.db2.gz HMJBHFPLWRXTEB-QAPCUYQASA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](CN1CCCCC[C@@H]1c1ccco1)NC(=O)OC(C)(C)C ZINC000785457436 1056604625 /nfs/dbraw/zinc/60/46/25/1056604625.db2.gz NCUWSUKDSYOOHE-LSDHHAIUSA-N 0 3 322.449 4.110 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCc3ccccc3[C@H]2CO)cc1 ZINC001616059402 1056609420 /nfs/dbraw/zinc/60/94/20/1056609420.db2.gz INQBPDQTWDZRIQ-OAQYLSRUSA-N 0 3 323.480 4.466 20 0 DIADHN Cc1cccc(CCNCc2c(Cl)oc3ccccc32)n1 ZINC000355224348 1056613831 /nfs/dbraw/zinc/61/38/31/1056613831.db2.gz RMVZDIUAPVMORD-UHFFFAOYSA-N 0 3 300.789 4.122 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@H](C)c1ccc(F)cc1Cl ZINC000765955127 1056624208 /nfs/dbraw/zinc/62/42/08/1056624208.db2.gz REEJPKCKNSWMFR-SJKOYZFVSA-N 0 3 307.796 4.172 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N([C@@H](C)c2nc(C(C)C)no2)C1 ZINC000076387486 1056624715 /nfs/dbraw/zinc/62/47/15/1056624715.db2.gz MAYKGFQPWRZLFN-OWCLPIDISA-N 0 3 313.445 4.440 20 0 DIADHN FCCn1cc(CN[C@@H](CC2CCCC2)c2ccccc2)cn1 ZINC000921926149 1056627580 /nfs/dbraw/zinc/62/75/80/1056627580.db2.gz XZMLAAUZBPQFAD-IBGZPJMESA-N 0 3 315.436 4.264 20 0 DIADHN COc1cc(-c2cncc(CN3CCCCC3)c2)cc(F)c1F ZINC001240662158 1056629949 /nfs/dbraw/zinc/62/99/49/1056629949.db2.gz IAVGPWSNIUSCSH-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@H]2CCC(C)C)c(C)c1 ZINC001616308250 1056631712 /nfs/dbraw/zinc/63/17/12/1056631712.db2.gz DZEYNWPMTULLNR-SFHVURJKSA-N 0 3 316.489 4.451 20 0 DIADHN CC(C)CC[C@H]1CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001616310050 1056631815 /nfs/dbraw/zinc/63/18/15/1056631815.db2.gz XCYKRSQOYSPZJR-MRXNPFEDSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2c2cc(C)ccc2C)cc1 ZINC001616322826 1056633108 /nfs/dbraw/zinc/63/31/08/1056633108.db2.gz QWKBXLCLIAQQEF-HXUWFJFHSA-N 0 3 322.452 4.387 20 0 DIADHN Cc1ccc(CCN2CCc3c(F)cc(F)cc3[C@H]2C)cc1 ZINC001616330284 1056635253 /nfs/dbraw/zinc/63/52/53/1056635253.db2.gz ALWADKZGMZHRPZ-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN FC(F)COCCN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001616323528 1056635513 /nfs/dbraw/zinc/63/55/13/1056635513.db2.gz VOTHNVXGQIODMX-QXPKXGMISA-N 0 3 309.400 4.226 20 0 DIADHN Fc1ccccc1CN(C[C@@H]1C[C@@H]2CCC[C@H]2O1)C1CCC1 ZINC001616338516 1056637737 /nfs/dbraw/zinc/63/77/37/1056637737.db2.gz LFDZFZHHNDXFNF-UCLAIMLFSA-N 0 3 303.421 4.138 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N(C)CCC(=O)OC(C)(C)C ZINC001616363360 1056643418 /nfs/dbraw/zinc/64/34/18/1056643418.db2.gz GKVADMOKKQXILC-NSHDSACASA-N 0 3 315.816 4.204 20 0 DIADHN Cc1cc([C@H](NCc2ccnn2C2CCC2)C2CC2)ccc1F ZINC000921936194 1056649023 /nfs/dbraw/zinc/64/90/23/1056649023.db2.gz BROWMXMMFWKKHZ-LJQANCHMSA-N 0 3 313.420 4.297 20 0 DIADHN C[C@H](NC(=O)C1CCCCCCC1)[C@H](c1ccccc1)N(C)C ZINC001128190517 1056650616 /nfs/dbraw/zinc/65/06/16/1056650616.db2.gz VCTHQKWVYJAJNG-QFBILLFUSA-N 0 3 316.489 4.155 20 0 DIADHN COc1ncccc1CN1CCCC[C@@H]1CCCc1ccccc1 ZINC001616506286 1056666186 /nfs/dbraw/zinc/66/61/86/1056666186.db2.gz RGKYCBWOZZTBRC-HXUWFJFHSA-N 0 3 324.468 4.468 20 0 DIADHN COc1ccc(-c2nc(CN(C3CC3)C3CCCC3)co2)cc1 ZINC000064809240 1056673966 /nfs/dbraw/zinc/67/39/66/1056673966.db2.gz YAPIMHFKMXKLAN-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN CCC[C@@H]1CN([C@@H](C)c2ccncc2)CCN1Cc1ccccc1 ZINC001616577590 1056681590 /nfs/dbraw/zinc/68/15/90/1056681590.db2.gz YWSFTYKATYKVQC-GHTZIAJQSA-N 0 3 323.484 4.129 20 0 DIADHN c1ccc([C@@H](NCC2=NOCC2)[C@H]2CCCc3ccccc32)cc1 ZINC001616615706 1056687677 /nfs/dbraw/zinc/68/76/77/1056687677.db2.gz KJSHCSGPOGABFF-LEWJYISDSA-N 0 3 320.436 4.214 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccc(F)cc1F)C1CC1)c1ccccc1 ZINC001616653347 1056694976 /nfs/dbraw/zinc/69/49/76/1056694976.db2.gz GZOZOPKFBAEVKD-RBUKOAKNSA-N 0 3 317.379 4.393 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2cc(C)cnc2Cl)CCO1 ZINC000921974976 1056698980 /nfs/dbraw/zinc/69/89/80/1056698980.db2.gz WETATOLEFPCADC-HOTGVXAUSA-N 0 3 310.869 4.117 20 0 DIADHN [O-]c1ccc(-c2ccc(SC3CC[NH2+]CC3)cc2)cc1F ZINC001240789207 1056699940 /nfs/dbraw/zinc/69/99/40/1056699940.db2.gz UXTXLQVWTARTAH-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@@H]1CCCCC1(F)F ZINC001616690789 1056701400 /nfs/dbraw/zinc/70/14/00/1056701400.db2.gz GSZGIXWYISNFLK-RYUDHWBXSA-N 0 3 301.352 4.310 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@H]1CCCCC1(F)F ZINC001616690787 1056701672 /nfs/dbraw/zinc/70/16/72/1056701672.db2.gz GSZGIXWYISNFLK-NWDGAFQWSA-N 0 3 301.352 4.310 20 0 DIADHN CCOc1cccc(CNCc2ccc3[nH]c(C)c(C)c3c2)c1 ZINC000065013497 1056702458 /nfs/dbraw/zinc/70/24/58/1056702458.db2.gz MKOJUNAUAMNNBJ-UHFFFAOYSA-N 0 3 308.425 4.473 20 0 DIADHN CC[C@H](NCCN(C)c1ccccc1)c1cc(F)ccc1F ZINC001616721397 1056706144 /nfs/dbraw/zinc/70/61/44/1056706144.db2.gz ZFEMIYKLHYQMEO-SFHVURJKSA-N 0 3 304.384 4.142 20 0 DIADHN CC[C@@H](NCCN(C)c1ccccc1)c1cc(F)ccc1F ZINC001616721396 1056706343 /nfs/dbraw/zinc/70/63/43/1056706343.db2.gz ZFEMIYKLHYQMEO-GOSISDBHSA-N 0 3 304.384 4.142 20 0 DIADHN CC[C@H](NC[C@@H]1CCC2(CCC2)CO1)c1cc(F)ccc1F ZINC001616720404 1056706522 /nfs/dbraw/zinc/70/65/22/1056706522.db2.gz DCYNSUGAJKCCBA-YOEHRIQHSA-N 0 3 309.400 4.355 20 0 DIADHN CC[C@H](NC[C@H]1CCC2(CCC2)CO1)c1cc(F)ccc1F ZINC001616720401 1056706677 /nfs/dbraw/zinc/70/66/77/1056706677.db2.gz DCYNSUGAJKCCBA-PBHICJAKSA-N 0 3 309.400 4.355 20 0 DIADHN c1ccc([C@@H](CC2CCC2)NCc2cccc3c2OCCO3)cc1 ZINC001616807566 1056721469 /nfs/dbraw/zinc/72/14/69/1056721469.db2.gz KVUBQWJRGQGFPK-LJQANCHMSA-N 0 3 323.436 4.479 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccccc1Br ZINC000656689598 1056727614 /nfs/dbraw/zinc/72/76/14/1056727614.db2.gz RVSXBZPCJSDWNG-BXKDBHETSA-N 0 3 304.178 4.145 20 0 DIADHN CN(Cc1ncc(-c2cccs2)o1)C1CCC(F)(F)CC1 ZINC000672578541 1056727902 /nfs/dbraw/zinc/72/79/02/1056727902.db2.gz PAJXPAOUOLPVCB-UHFFFAOYSA-N 0 3 312.385 4.413 20 0 DIADHN Fc1cc(Br)c(CN[C@H]2CC[C@@H]2C2CC2)cc1F ZINC000672614116 1056733642 /nfs/dbraw/zinc/73/36/42/1056733642.db2.gz VLLGJJVPUIQCRA-YGRLFVJLSA-N 0 3 316.189 4.006 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc2ncsc2c1 ZINC000922014746 1056737345 /nfs/dbraw/zinc/73/73/45/1056737345.db2.gz LAPCLXPXXHAQAM-CHWSQXEVSA-N 0 3 324.449 4.471 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1C ZINC000672616623 1056742392 /nfs/dbraw/zinc/74/23/92/1056742392.db2.gz KVDWWGCPVRSBDC-OXQOHEQNSA-N 0 3 314.448 4.396 20 0 DIADHN CCSc1ccccc1CN1CCC[C@@H]1c1c(C)n[nH]c1C ZINC001616951587 1056746333 /nfs/dbraw/zinc/74/63/33/1056746333.db2.gz PCZRUSDKYPXSNF-MRXNPFEDSA-N 0 3 315.486 4.476 20 0 DIADHN CC(C)Cn1cc(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001240894280 1056747221 /nfs/dbraw/zinc/74/72/21/1056747221.db2.gz VJJQTYQHPAFICN-UHFFFAOYSA-N 0 3 315.436 4.331 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(O)(c2ccccc2)CC1 ZINC001616980433 1056750487 /nfs/dbraw/zinc/75/04/87/1056750487.db2.gz ATVXAKAKJQZWAO-UHFFFAOYSA-N 0 3 315.844 4.132 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](CO)NCc1cccc(Cl)c1Cl ZINC001616998816 1056757220 /nfs/dbraw/zinc/75/72/20/1056757220.db2.gz SQIWEQSDFMXQEO-BLLLJJGKSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](NC(=O)CC1CCCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001128297792 1056758078 /nfs/dbraw/zinc/75/80/78/1056758078.db2.gz AMRJWRQYEHSAMZ-UZLBHIALSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ncsc1CCCN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC001616999665 1056758118 /nfs/dbraw/zinc/75/81/18/1056758118.db2.gz YULXMMLKIGWTHZ-GFCCVEGCSA-N 0 3 323.531 4.489 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC001617039799 1056764981 /nfs/dbraw/zinc/76/49/81/1056764981.db2.gz KROXVXXYMRGGSJ-RDBSUJKOSA-N 0 3 317.408 4.353 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2cccc(CN(C)C)c2)CC1 ZINC001617057898 1056769321 /nfs/dbraw/zinc/76/93/21/1056769321.db2.gz NHOFBYAUXQVYOV-IEBWSBKVSA-N 0 3 316.489 4.083 20 0 DIADHN c1ccc(CC[C@H]2CN([C@H]3CCCc4ccccc43)CCO2)cc1 ZINC001617073459 1056771370 /nfs/dbraw/zinc/77/13/70/1056771370.db2.gz TWZMAMVUFYDYPI-UNMCSNQZSA-N 0 3 321.464 4.398 20 0 DIADHN FC1(F)CC(NCc2cccc(COc3ccccc3)c2)C1 ZINC000922058711 1056771731 /nfs/dbraw/zinc/77/17/31/1056771731.db2.gz AMTMZJJYUKOTFU-UHFFFAOYSA-N 0 3 303.352 4.153 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CC[C@@H](C)C(C)(C)C1 ZINC001617081629 1056773324 /nfs/dbraw/zinc/77/33/24/1056773324.db2.gz REUAEDNHKIVRNW-AEFFLSMTSA-N 0 3 316.489 4.104 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000672806784 1056778485 /nfs/dbraw/zinc/77/84/85/1056778485.db2.gz RCBVPXNYBISWSF-KBPBESRZSA-N 0 3 322.412 4.317 20 0 DIADHN CCOc1c(CNCCC2=CCCCC2)c(C)nn1CC(C)C ZINC000672814917 1056780957 /nfs/dbraw/zinc/78/09/57/1056780957.db2.gz MXMVVRBVDRTISM-UHFFFAOYSA-N 0 3 319.493 4.226 20 0 DIADHN CC(C)c1ccc(C(=O)N(CCN(C)C)C2CCCCC2)cc1 ZINC000065746171 1056787426 /nfs/dbraw/zinc/78/74/26/1056787426.db2.gz VSKGEVYMZMBNBY-UHFFFAOYSA-N 0 3 316.489 4.147 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1c[nH]c(=O)c2ccccc12 ZINC001617183039 1056788806 /nfs/dbraw/zinc/78/88/06/1056788806.db2.gz QBZBTCXNIHWJRG-FOWTUZBSSA-N 0 3 318.420 4.476 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1Cl)c1ccc(F)cc1F ZINC000132162037 1056791579 /nfs/dbraw/zinc/79/15/79/1056791579.db2.gz MBQWCXASGIRRQD-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1nc(C)c(CN2CCC(Nc3cccc(C)c3)CC2)s1 ZINC000172833531 1056794115 /nfs/dbraw/zinc/79/41/15/1056794115.db2.gz KEEQUGWWHBEVRW-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@@H]3CCC[C@H](C)C3)CCO2)cc1 ZINC001617218479 1056797589 /nfs/dbraw/zinc/79/75/89/1056797589.db2.gz YXJPKNKDLXUTKP-HQRMLTQVSA-N 0 3 301.474 4.449 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CCC(C(C)(C)C)CC1 ZINC001617237101 1056798173 /nfs/dbraw/zinc/79/81/73/1056798173.db2.gz LBPDFIWYRWBDJG-GOSISDBHSA-N 0 3 316.489 4.470 20 0 DIADHN CC(C)(C)C1CCN(C[C@@H](O)c2ccc(Cl)c(F)c2)CC1 ZINC001617237662 1056800087 /nfs/dbraw/zinc/80/00/87/1056800087.db2.gz ZRVPQJDSZXNFFJ-MRXNPFEDSA-N 0 3 313.844 4.271 20 0 DIADHN CC(C)(C)C1CCN(C[C@H](O)c2ccc(Cl)c(F)c2)CC1 ZINC001617237661 1056800218 /nfs/dbraw/zinc/80/02/18/1056800218.db2.gz ZRVPQJDSZXNFFJ-INIZCTEOSA-N 0 3 313.844 4.271 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1ccncc1Br ZINC001617252098 1056802158 /nfs/dbraw/zinc/80/21/58/1056802158.db2.gz LTLKSMNYKPZHEA-WFASDCNBSA-N 0 3 311.267 4.245 20 0 DIADHN CC(C)[C@H]1CCCN(C[C@@H](O)c2ccccc2C(F)(F)F)C1 ZINC001617275220 1056805246 /nfs/dbraw/zinc/80/52/46/1056805246.db2.gz WFVHFCGDFLZNGJ-XJKSGUPXSA-N 0 3 315.379 4.107 20 0 DIADHN CC(C)[C@H]1CCCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001617283938 1056806486 /nfs/dbraw/zinc/80/64/86/1056806486.db2.gz NJAIOVBDKNLJOV-KRWDZBQOSA-N 0 3 310.441 4.146 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2ccc3c(c2)OCCCO3)c1 ZINC000065891062 1056809983 /nfs/dbraw/zinc/80/99/83/1056809983.db2.gz HLFJPAOYIIDWGC-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1cc(C)c2c(c1)CN(CCO[C@H]1CCCC[C@H]1C)CC2 ZINC001617301798 1056809858 /nfs/dbraw/zinc/80/98/58/1056809858.db2.gz IEEDAEQAODYBNB-UZLBHIALSA-N 0 3 301.474 4.257 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(OCc2ccccn2)cc1 ZINC000065949305 1056816105 /nfs/dbraw/zinc/81/61/05/1056816105.db2.gz KICTXCJOKGPGNA-UHFFFAOYSA-N 0 3 318.420 4.293 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2C)[C@@H]1C ZINC001617359380 1056820106 /nfs/dbraw/zinc/82/01/06/1056820106.db2.gz GQLMFXVBVFFPDE-HZSPNIEDSA-N 0 3 308.853 4.096 20 0 DIADHN CC[C@H](NC(=O)CN1CC[C@@H](CC)[C@@H]1C)c1ccc(Cl)cc1 ZINC001617361226 1056820256 /nfs/dbraw/zinc/82/02/56/1056820256.db2.gz SKGLUHUZKSPAJJ-VBQJREDUSA-N 0 3 322.880 4.028 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@@H](CC)N(CC)CC)cc1 ZINC001586909217 1056829878 /nfs/dbraw/zinc/82/98/78/1056829878.db2.gz NPTFQMJEYGKBGE-GOSISDBHSA-N 0 3 304.478 4.478 20 0 DIADHN COc1cc(F)cc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001241031335 1056831218 /nfs/dbraw/zinc/83/12/18/1056831218.db2.gz LHOFFAASNLNIKV-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN Cc1nc(CN[C@@H]2CCCO[C@@H]2CC(C)C)sc1C(C)(C)C ZINC001617430251 1056832177 /nfs/dbraw/zinc/83/21/77/1056832177.db2.gz UKCRSAJTPWBSSE-HUUCEWRRSA-N 0 3 324.534 4.432 20 0 DIADHN CC(C)c1ncc(CN(C)CCC2C[C@@H](C)C[C@H](C)C2)cn1 ZINC001617448827 1056834903 /nfs/dbraw/zinc/83/49/03/1056834903.db2.gz HETKEVSLBORIAL-HOTGVXAUSA-N 0 3 303.494 4.494 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)C(=O)Nc1ccc2ccccc2c1 ZINC001617483177 1056840230 /nfs/dbraw/zinc/84/02/30/1056840230.db2.gz KSPMPNOCWNSQPF-HNNXBMFYSA-N 0 3 310.441 4.289 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(F)c1F ZINC001241045239 1056841718 /nfs/dbraw/zinc/84/17/18/1056841718.db2.gz YUACWZFXIHNAAG-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CN(CCSC1CCCCC1)Cc1cc(C(C)(C)C)[nH]n1 ZINC001617561713 1056849933 /nfs/dbraw/zinc/84/99/33/1056849933.db2.gz HBKDCLDPGJJWEQ-UHFFFAOYSA-N 0 3 309.523 4.205 20 0 DIADHN CC(C)CCN(Cc1cnn(C)c1)Cc1ccc(Cl)cc1 ZINC001617627418 1056859460 /nfs/dbraw/zinc/85/94/60/1056859460.db2.gz COSHGFBMLDGLPE-UHFFFAOYSA-N 0 3 305.853 4.122 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)C[C@H]1CCC2(CCC2)O1 ZINC001617653588 1056865429 /nfs/dbraw/zinc/86/54/29/1056865429.db2.gz FVFFKTSQZLAVIV-IAGOWNOFSA-N 0 3 323.864 4.061 20 0 DIADHN CCCC[C@](C)(NCCF)c1cccc(Br)c1 ZINC001617679045 1056867751 /nfs/dbraw/zinc/86/77/51/1056867751.db2.gz HRDAKUOLYVZSTC-AWEZNQCLSA-N 0 3 302.231 4.414 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc(F)c(F)c3)CC2)c(C)n1 ZINC000681402381 1056870195 /nfs/dbraw/zinc/87/01/95/1056870195.db2.gz IKYJGGYPJINTDP-UHFFFAOYSA-N 0 3 316.395 4.356 20 0 DIADHN Cc1cc(-c2noc(CNC3[C@@H](C)CCC[C@@H]3C)n2)ccc1F ZINC001617799382 1056885570 /nfs/dbraw/zinc/88/55/70/1056885570.db2.gz KMJZZDSHGYFWON-RYUDHWBXSA-N 0 3 317.408 4.098 20 0 DIADHN COc1ccc(COCCN2CCC=C(c3ccccc3)C2)cc1 ZINC001617828662 1056889423 /nfs/dbraw/zinc/88/94/23/1056889423.db2.gz NXSPNBSMVVEGOI-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN CN1CCc2ccc(-c3cc(C(F)(F)F)ccc3F)cc2C1 ZINC001241102248 1056894993 /nfs/dbraw/zinc/89/49/93/1056894993.db2.gz XJDRDKUPJFFAMK-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN Cc1ccccc1[C@H](C)N(CC(=O)NCC(C)(C)C)CC(C)C ZINC001617887279 1056898315 /nfs/dbraw/zinc/89/83/15/1056898315.db2.gz DSJLYDLHPUBPGB-KRWDZBQOSA-N 0 3 318.505 4.176 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC001617918347 1056902054 /nfs/dbraw/zinc/90/20/54/1056902054.db2.gz MPOCDSXKJXXPSL-QWHCGFSZSA-N 0 3 305.853 4.237 20 0 DIADHN CCCCN(Cc1nc(C)c(C)s1)[C@@H]1CCCC[C@H]1OC ZINC001617926907 1056902810 /nfs/dbraw/zinc/90/28/10/1056902810.db2.gz ZMCGXAFTUYFJJJ-HZPDHXFCSA-N 0 3 310.507 4.320 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2c(F)cc([O-])cc2F)ccc1OC ZINC001241125448 1056909764 /nfs/dbraw/zinc/90/97/64/1056909764.db2.gz XEXNYUKLHZFADV-UHFFFAOYSA-N 0 3 321.367 4.188 20 0 DIADHN Cc1sc(NC(=O)CN2CCCCCCCC2)c(C)c1C ZINC001617969063 1056910007 /nfs/dbraw/zinc/91/00/07/1056910007.db2.gz RRZFAUPIJHKCKT-UHFFFAOYSA-N 0 3 308.491 4.268 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(F)cc1C(F)(F)F ZINC001241126615 1056911713 /nfs/dbraw/zinc/91/17/13/1056911713.db2.gz VPYURTQHSMYTMH-SOFGYWHQSA-N 0 3 310.294 4.439 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2ccccc2Br)O1 ZINC000682760678 1056917847 /nfs/dbraw/zinc/91/78/47/1056917847.db2.gz LPKQPKRAJNTZLW-DVOMOZLQSA-N 0 3 324.262 4.154 20 0 DIADHN Cc1ccccc1OCCCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC001618122556 1056926029 /nfs/dbraw/zinc/92/60/29/1056926029.db2.gz NEVFXLYPTIOOAR-UHFFFAOYSA-N 0 3 323.436 4.017 20 0 DIADHN CC[C@@]1(NCc2ccc(-c3ccc(F)cc3C)o2)CCOC1 ZINC000682952692 1056926611 /nfs/dbraw/zinc/92/66/11/1056926611.db2.gz YUAPZAGHNQXTLM-GOSISDBHSA-N 0 3 303.377 4.053 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc(SC)cc2)c1 ZINC000657300961 1056927456 /nfs/dbraw/zinc/92/74/56/1056927456.db2.gz QZBVYBFEOMQCSS-LBPRGKRZSA-N 0 3 307.484 4.467 20 0 DIADHN CC(C)(CCN1CC(C)(C)O[C@@](C)(C(F)(F)F)C1)C1CC1 ZINC001618170818 1056928627 /nfs/dbraw/zinc/92/86/27/1056928627.db2.gz AOBOOFREOJRKSB-OAHLLOKOSA-N 0 3 307.400 4.245 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NCc1ccc(-c2cccs2)cc1 ZINC000657309070 1056928673 /nfs/dbraw/zinc/92/86/73/1056928673.db2.gz IDVJVBXPVCPZHS-QGZVFWFLSA-N 0 3 323.465 4.316 20 0 DIADHN OCc1ccc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001241154975 1056930466 /nfs/dbraw/zinc/93/04/66/1056930466.db2.gz RDHSDQCXRHDHLO-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN Cc1ccc([C@H](C)NCc2cncc(Br)c2C)cc1 ZINC000657315754 1056931579 /nfs/dbraw/zinc/93/15/79/1056931579.db2.gz AJXAYCQTKWTZDF-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1Cc1nc2c(o1)CCCC2 ZINC001618196806 1056932854 /nfs/dbraw/zinc/93/28/54/1056932854.db2.gz ULPUQOOAUQYKOY-BFYDXBDKSA-N 0 3 310.441 4.320 20 0 DIADHN C[C@@H](CNCc1cc2n(n1)CCC2)c1c(Cl)cccc1Cl ZINC000657343092 1056935181 /nfs/dbraw/zinc/93/51/81/1056935181.db2.gz WWWQCFQDKRPRAF-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN CCC[C@H](C)CCCN(Cc1ccc(C(N)=O)cc1F)C1CC1 ZINC001618228625 1056936775 /nfs/dbraw/zinc/93/67/75/1056936775.db2.gz PXQATHZGLILTEI-AWEZNQCLSA-N 0 3 320.452 4.105 20 0 DIADHN CCOc1cc(-c2nccc3c2CCN3)cc(C(F)(F)F)c1 ZINC001241161493 1056936948 /nfs/dbraw/zinc/93/69/48/1056936948.db2.gz UUPQWASDAAPSLT-UHFFFAOYSA-N 0 3 308.303 4.134 20 0 DIADHN Nc1ccncc1-c1c(F)cc(OCc2ccccc2)cc1F ZINC001241174182 1056945909 /nfs/dbraw/zinc/94/59/09/1056945909.db2.gz OHYKCFYLKQXHQI-UHFFFAOYSA-N 0 3 312.319 4.018 20 0 DIADHN CCOc1cc(C)c(-c2ccc(CN(C)C3CC3)cn2)c(C)c1 ZINC001241200585 1056955814 /nfs/dbraw/zinc/95/58/14/1056955814.db2.gz MZVZYIIYFRLEMW-UHFFFAOYSA-N 0 3 310.441 4.358 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H](C)c2ccccc2C)c(CN(C)C)c1 ZINC000116202355 1056968891 /nfs/dbraw/zinc/96/88/91/1056968891.db2.gz ADQABDJJYBLMDV-QGZVFWFLSA-N 0 3 324.468 4.497 20 0 DIADHN Clc1cccc2c1SCC[C@@H]2NCCOC1CCCC1 ZINC000358084615 1056969449 /nfs/dbraw/zinc/96/94/49/1056969449.db2.gz SGGYLCOTTAZNBD-HNNXBMFYSA-N 0 3 311.878 4.426 20 0 DIADHN Cc1cc(OCc2ccccc2)ccc1-c1ccnc(CN)c1 ZINC001241255318 1056982209 /nfs/dbraw/zinc/98/22/09/1056982209.db2.gz PRBTYIAROFCZLJ-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CCOc1cccc(F)c1-c1cnccc1CN1CCCCC1 ZINC001241253800 1056982343 /nfs/dbraw/zinc/98/23/43/1056982343.db2.gz WDJOBSHDJQEWKF-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(C(F)(F)F)c(Cl)c2)n1 ZINC001241288559 1056997718 /nfs/dbraw/zinc/99/77/18/1056997718.db2.gz PDCHNYUFHTXSHR-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H](c3ccccn3)C3CCC3)ccc2O1 ZINC000922286431 1056998566 /nfs/dbraw/zinc/99/85/66/1056998566.db2.gz XSVINQKHUYAEHU-VLIAUNLRSA-N 0 3 308.425 4.036 20 0 DIADHN [O-]c1ccc(F)c(-c2ccccc2C[NH+]2CCCCC2)c1F ZINC001241292940 1057000392 /nfs/dbraw/zinc/00/03/92/1057000392.db2.gz OHJMOFYOUWAZRG-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN([C@H]2Cc3cccc(Cl)c3C2)C1 ZINC001168721852 1057000997 /nfs/dbraw/zinc/00/09/97/1057000997.db2.gz RXSSIDPSUFFAHV-SMDDNHRTSA-N 0 3 321.745 4.031 20 0 DIADHN [O-]c1ccc(F)c(-c2ccc(SC3CC[NH2+]CC3)cc2)c1F ZINC001241295425 1057002328 /nfs/dbraw/zinc/00/23/28/1057002328.db2.gz QQKDENUULQOZCH-UHFFFAOYSA-N 0 3 321.392 4.182 20 0 DIADHN CCC(CC)(CNCc1ccnn1C1CCC1)C(F)(F)F ZINC000922314140 1057005510 /nfs/dbraw/zinc/00/55/10/1057005510.db2.gz JATZPAXVGGHSSP-UHFFFAOYSA-N 0 3 303.372 4.066 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1cc2cnccc2o1 ZINC000922320986 1057006266 /nfs/dbraw/zinc/00/62/66/1057006266.db2.gz RHOLWMUVJPNPNN-QWHCGFSZSA-N 0 3 308.381 4.002 20 0 DIADHN Cc1nc2sc(-c3ccccc3)cc2c(C2=CCN(C)CC2)n1 ZINC001241312967 1057009876 /nfs/dbraw/zinc/00/98/76/1057009876.db2.gz QJMZQRJRKHPUQJ-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN CSC1CCC(CNCc2coc(-c3ccccc3)n2)CC1 ZINC000922419743 1057022796 /nfs/dbraw/zinc/02/27/96/1057022796.db2.gz VUSXWPPZVGQWHQ-UHFFFAOYSA-N 0 3 316.470 4.353 20 0 DIADHN CCc1cc(CN[C@H](C)c2ccc(SC(C)C)cc2)n[nH]1 ZINC000765992320 1057031998 /nfs/dbraw/zinc/03/19/98/1057031998.db2.gz IMDGEXGDOTVSFE-CYBMUJFWSA-N 0 3 303.475 4.323 20 0 DIADHN Fc1ccc(/C=C\CN[C@H](c2ccccn2)C2CC2)c(F)c1 ZINC000922584590 1057037681 /nfs/dbraw/zinc/03/76/81/1057037681.db2.gz LPJZIYBCIZDILZ-JXCVUKRWSA-N 0 3 300.352 4.114 20 0 DIADHN COc1ccc([C@H](C)NCc2scnc2C2CC2)cc1C ZINC000922672114 1057047062 /nfs/dbraw/zinc/04/70/62/1057047062.db2.gz MNDKECOBUAVRJL-LBPRGKRZSA-N 0 3 302.443 4.188 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3c(cnn3C)c2)c1F ZINC001241440665 1057049838 /nfs/dbraw/zinc/04/98/38/1057049838.db2.gz XOQYCVXPYOKHNS-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc3c2cnn3C)c1F ZINC001241451974 1057052112 /nfs/dbraw/zinc/05/21/12/1057052112.db2.gz AHMFMLHNPMDKCJ-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1c(C)nn(C)c1C ZINC000922720817 1057052081 /nfs/dbraw/zinc/05/20/81/1057052081.db2.gz RWKNTHAOEBWTAT-UHFFFAOYSA-N 0 3 307.441 4.042 20 0 DIADHN Cn1ncc2cccc(-c3ccc(F)c(CN4CCCCC4)c3)c21 ZINC001241458228 1057053216 /nfs/dbraw/zinc/05/32/16/1057053216.db2.gz IGMLURYXPQQALZ-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN C[C@H](NCCc1nsc2ccccc12)c1cccc(F)c1F ZINC001168734076 1057053414 /nfs/dbraw/zinc/05/34/14/1057053414.db2.gz QWVKRDHHSJRBOD-NSHDSACASA-N 0 3 318.392 4.468 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc3cnn(C)c32)c1F ZINC001241458820 1057054646 /nfs/dbraw/zinc/05/46/46/1057054646.db2.gz SCBLFEXGSFDRRC-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(C(F)(F)F)cc2)c1 ZINC000155634754 1057055281 /nfs/dbraw/zinc/05/52/81/1057055281.db2.gz JQKJMYZUQQFLCR-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN OCC[C@@H](NCc1cc2ccccc2[nH]1)c1ccc(Cl)cc1 ZINC000673615699 1057060661 /nfs/dbraw/zinc/06/06/61/1057060661.db2.gz ZOSQLOJCEYKZSG-QGZVFWFLSA-N 0 3 314.816 4.035 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc([S@@](C)=O)cc2)cc1F ZINC001241491581 1057065664 /nfs/dbraw/zinc/06/56/64/1057065664.db2.gz ZKSHHBVVQFPMML-JOCHJYFZSA-N 0 3 319.445 4.072 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2CCSC3(CCC3)C2)s1 ZINC000922840343 1057066619 /nfs/dbraw/zinc/06/66/19/1057066619.db2.gz MGASJRCRPFUTQN-LBPRGKRZSA-N 0 3 310.532 4.349 20 0 DIADHN CC(C)Oc1cccc(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501820 1057070649 /nfs/dbraw/zinc/07/06/49/1057070649.db2.gz FDQPRZFQQBSCTH-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Fc1ccc2nc(C3=CCN(Cc4ccccc4)CC3)cnc2c1 ZINC001241501882 1057072006 /nfs/dbraw/zinc/07/20/06/1057072006.db2.gz XHMQEAXMKRYIMW-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN FC(F)c1cccnc1C1=CCN(Cc2ccccc2)CC1 ZINC001241502941 1057072373 /nfs/dbraw/zinc/07/23/73/1057072373.db2.gz ZEACMSGZPXZSQT-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN CCSc1ccnc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241503430 1057072905 /nfs/dbraw/zinc/07/29/05/1057072905.db2.gz RYSKLQJEXXXRFS-UHFFFAOYSA-N 0 3 310.466 4.483 20 0 DIADHN COc1cc2cc[nH]c2cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504149 1057073792 /nfs/dbraw/zinc/07/37/92/1057073792.db2.gz PBTPUJMWALOEDX-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3cccnc32)c2ccccc12 ZINC000922879591 1057073780 /nfs/dbraw/zinc/07/37/80/1057073780.db2.gz LNTSLYWUTXUHGQ-IBGZPJMESA-N 0 3 318.420 4.411 20 0 DIADHN CC[C@H](N[C@H](C(=O)N1CCCC1)C(C)C)c1cccc(Cl)c1 ZINC000673626909 1057078809 /nfs/dbraw/zinc/07/88/09/1057078809.db2.gz GPWWPPJLVQYQAL-IRXDYDNUSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000673629916 1057080055 /nfs/dbraw/zinc/08/00/55/1057080055.db2.gz WVRUFDUHJDBHAR-OAHLLOKOSA-N 0 3 303.409 4.303 20 0 DIADHN COc1ccc(-c2ccc(F)c(OC)c2Cl)cc1CN(C)C ZINC001241513001 1057080163 /nfs/dbraw/zinc/08/01/63/1057080163.db2.gz IBAGPYPXROCZEI-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN OCc1cccc(-c2cccc3c2CN(Cc2ccccc2)C3)c1 ZINC001241510670 1057080337 /nfs/dbraw/zinc/08/03/37/1057080337.db2.gz VUTCVCBDMJVEJY-UHFFFAOYSA-N 0 3 315.416 4.362 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCC3)c(F)c2)cc2c[nH]nc21 ZINC001241516911 1057082006 /nfs/dbraw/zinc/08/20/06/1057082006.db2.gz AMPMLLNCMCQWCY-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N1Cc2ccccc2-c2ccccc21 ZINC000673642155 1057085616 /nfs/dbraw/zinc/08/56/16/1057085616.db2.gz QAKIKNAVHBBLMS-IYBDPMFKSA-N 0 3 320.436 4.073 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@H]2C2CCC2)co1 ZINC000625140694 1057091378 /nfs/dbraw/zinc/09/13/78/1057091378.db2.gz VZIRYBOHUSXGCE-KRWDZBQOSA-N 0 3 300.377 4.245 20 0 DIADHN CN1CCC=C(c2nnc(-c3ccccc3)c3ccccc32)C1 ZINC001241568076 1057093280 /nfs/dbraw/zinc/09/32/80/1057093280.db2.gz OAEPZSGAISDEBY-UHFFFAOYSA-N 0 3 301.393 4.016 20 0 DIADHN CN1CCC=C(c2ccc(-c3nnc(-c4ccccc4)o3)cc2)C1 ZINC001241570774 1057094274 /nfs/dbraw/zinc/09/42/74/1057094274.db2.gz PQDIGCPCDDVPMA-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN COc1ccc([C@H](NCc2cnc(C)s2)C2CCC2)cc1 ZINC000922891608 1057099588 /nfs/dbraw/zinc/09/95/88/1057099588.db2.gz MKUAPXGMLYLLBJ-QGZVFWFLSA-N 0 3 302.443 4.091 20 0 DIADHN CN(CCC(=O)c1cccs1)[C@H]1Cc2cccc(Cl)c2C1 ZINC001168739421 1057104420 /nfs/dbraw/zinc/10/44/20/1057104420.db2.gz OSEQHHQYDNTVFJ-ZDUSSCGKSA-N 0 3 319.857 4.074 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NCCOCc1ccccc1 ZINC000361971331 1057104438 /nfs/dbraw/zinc/10/44/38/1057104438.db2.gz LMOTYOZWPAAHAV-KRWDZBQOSA-N 0 3 317.429 4.169 20 0 DIADHN Cn1cc2ccc(-c3ccc(CN4CCCCC4)c(F)c3)cc2n1 ZINC001241604911 1057106376 /nfs/dbraw/zinc/10/63/76/1057106376.db2.gz LHPSZQUPDQZUJD-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)C1CCC(C)CC1 ZINC000302596948 1057106632 /nfs/dbraw/zinc/10/66/32/1057106632.db2.gz TVFTWSLEDNZGBT-UHFFFAOYSA-N 0 3 302.462 4.259 20 0 DIADHN CCc1nn(C)cc1CN[C@@H](C)c1ccc(F)c2ccccc21 ZINC000673707397 1057116588 /nfs/dbraw/zinc/11/65/88/1057116588.db2.gz ABJIHLMEVUFFQR-ZDUSSCGKSA-N 0 3 311.404 4.126 20 0 DIADHN c1cnn(C[C@H](N[C@@H]2CCCc3ccccc32)c2ccccc2)c1 ZINC000673713149 1057120257 /nfs/dbraw/zinc/12/02/57/1057120257.db2.gz JHXAYOBLHGEHDP-RTWAWAEBSA-N 0 3 317.436 4.292 20 0 DIADHN CC(C)Oc1ccc(-c2ncccc2CN2CCCC2)c(F)c1 ZINC001241649974 1057122137 /nfs/dbraw/zinc/12/21/37/1057122137.db2.gz ZWCZWLXXRSETOB-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(F)c1 ZINC001241647477 1057122219 /nfs/dbraw/zinc/12/22/19/1057122219.db2.gz CXRLBKRLXUKNHJ-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN CNCc1cc(-c2ccc3c(c2)C(C)(C)c2ccccc2-3)ncn1 ZINC001241671927 1057131334 /nfs/dbraw/zinc/13/13/34/1057131334.db2.gz CJKXQIZSDDLJLN-UHFFFAOYSA-N 0 3 315.420 4.169 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000766029244 1057131533 /nfs/dbraw/zinc/13/15/33/1057131533.db2.gz PSMSVEVHDZPMNX-FJNPEDAXSA-N 0 3 323.358 4.267 20 0 DIADHN CCO[C@H](CN[C@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000625375601 1057131804 /nfs/dbraw/zinc/13/18/04/1057131804.db2.gz UASWCEUUFOZOFF-HZPDHXFCSA-N 0 3 315.379 4.393 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000766029245 1057131862 /nfs/dbraw/zinc/13/18/62/1057131862.db2.gz PSMSVEVHDZPMNX-NBHSMZAVSA-N 0 3 323.358 4.267 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2ccnc(CN)c2)c1 ZINC001241686394 1057137548 /nfs/dbraw/zinc/13/75/48/1057137548.db2.gz WZJMZAGZSPSGDY-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1Cc2cccc(Cl)c2C1 ZINC001168745235 1057140884 /nfs/dbraw/zinc/14/08/84/1057140884.db2.gz UZJRYYVTMYNDJX-ZDUSSCGKSA-N 0 3 307.771 4.217 20 0 DIADHN Fc1ccc(-c2ccc3[nH]cnc3c2)cc1CN1CCCCC1 ZINC001241705109 1057142531 /nfs/dbraw/zinc/14/25/31/1057142531.db2.gz QMJWODGUPILJHJ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCOc1ccc(-c2ccc(F)c(CN(CC)CC)c2)cn1 ZINC001241707891 1057143506 /nfs/dbraw/zinc/14/35/06/1057143506.db2.gz VYEHTFMULHQCSO-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCCc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000766041327 1057148653 /nfs/dbraw/zinc/14/86/53/1057148653.db2.gz BHFOXMFAYZDPMB-AUUYWEPGSA-N 0 3 309.457 4.466 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCCc3nc(C)ncc32)cc(C)c1F ZINC000766043793 1057152612 /nfs/dbraw/zinc/15/26/12/1057152612.db2.gz LIXNINOVCSOHJX-DYVFJYSZSA-N 0 3 313.420 4.269 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc(C(C)C)cn3)cc2)CC1 ZINC001241741183 1057153032 /nfs/dbraw/zinc/15/30/32/1057153032.db2.gz XYJMUAKOXFEJFT-UHFFFAOYSA-N 0 3 309.457 4.014 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4cccnc4c3)cc2)CC1 ZINC001241743456 1057153834 /nfs/dbraw/zinc/15/38/34/1057153834.db2.gz LLQGNQHUUZNMCC-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCN1CCN(c2ccc(-c3cc(C)c(C)cc3OC)cc2)CC1 ZINC001241744760 1057154341 /nfs/dbraw/zinc/15/43/41/1057154341.db2.gz DALQATYJMHHCCO-UHFFFAOYSA-N 0 3 324.468 4.121 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnc(OC)cc2C)c1F ZINC001241775524 1057162229 /nfs/dbraw/zinc/16/22/29/1057162229.db2.gz AMBQSNGJXOIVFB-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)C1CCCCCC1 ZINC000014705152 1057168396 /nfs/dbraw/zinc/16/83/96/1057168396.db2.gz GYJVQYQRBLWORA-UHFFFAOYSA-N 0 3 302.462 4.151 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H]1CC[C@H](C)c2ccccc21 ZINC000766046372 1057171295 /nfs/dbraw/zinc/17/12/95/1057171295.db2.gz XAXIWGRNVXQOGI-MRFFXTKBSA-N 0 3 307.441 4.391 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@H](CC2CC2)c2ccccc2)c1 ZINC001168750780 1057173355 /nfs/dbraw/zinc/17/33/55/1057173355.db2.gz MLSLHKXCPLONAK-HXUWFJFHSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H](C)CC(C)(C)C ZINC000674002224 1057174808 /nfs/dbraw/zinc/17/48/08/1057174808.db2.gz GTOKMPHWPFQHQM-CQSZACIVSA-N 0 3 304.478 4.458 20 0 DIADHN CC1(C)CN(CCC(=O)Nc2ccccc2)[C@@H]1c1ccccc1 ZINC000674009738 1057176627 /nfs/dbraw/zinc/17/66/27/1057176627.db2.gz MNNKHVMDCHCOGA-LJQANCHMSA-N 0 3 308.425 4.098 20 0 DIADHN C[C@H]1CN(Cc2ccc(F)c3cccnc23)C[C@H](C)C1(F)F ZINC000625647494 1057181551 /nfs/dbraw/zinc/18/15/51/1057181551.db2.gz MLQCLQGWDAHGNK-RYUDHWBXSA-N 0 3 308.347 4.097 20 0 DIADHN Cc1cccc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)n1 ZINC000625655115 1057182421 /nfs/dbraw/zinc/18/24/21/1057182421.db2.gz KUUFCMBAXMFKPE-HNNXBMFYSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1ccnc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)c1 ZINC000625655396 1057182609 /nfs/dbraw/zinc/18/26/09/1057182609.db2.gz NAUCEUJDEARAID-HNNXBMFYSA-N 0 3 302.368 4.048 20 0 DIADHN COc1cccc(-c2ncccc2CN2CCCC2)c1Cl ZINC001241857533 1057193239 /nfs/dbraw/zinc/19/32/39/1057193239.db2.gz MHHSPPDDGORXGQ-UHFFFAOYSA-N 0 3 302.805 4.006 20 0 DIADHN C[C@H](CNCc1csc(-c2ccccc2)n1)c1ccncc1 ZINC000674069866 1057198355 /nfs/dbraw/zinc/19/83/55/1057198355.db2.gz JPPCGTAEUQJBES-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@H](CNCc1nc(-c2ccccc2)cs1)c1ccncc1 ZINC000674069059 1057198694 /nfs/dbraw/zinc/19/86/94/1057198694.db2.gz BKCJGWWRYRZUQQ-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN CCOCC(C)(C)CNCc1c(Cl)cccc1OC(F)F ZINC000674091050 1057203905 /nfs/dbraw/zinc/20/39/05/1057203905.db2.gz LWMVOFMSASWRSS-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(F)c3ccccc32)c1 ZINC001241901008 1057204519 /nfs/dbraw/zinc/20/45/19/1057204519.db2.gz VZDYXOLYWKMHEG-UHFFFAOYSA-N 0 3 324.399 4.119 20 0 DIADHN CCCCOC(=O)Nc1ccc(-c2ccc3[nH]c(N)nc3c2)cc1 ZINC001241933883 1057216840 /nfs/dbraw/zinc/21/68/40/1057216840.db2.gz WSJWGWIFBWXFRF-UHFFFAOYSA-N 0 3 324.384 4.161 20 0 DIADHN CCCCOC(=O)Nc1ccc(-c2ccc3nc(N)[nH]c3c2)cc1 ZINC001241933883 1057216845 /nfs/dbraw/zinc/21/68/45/1057216845.db2.gz WSJWGWIFBWXFRF-UHFFFAOYSA-N 0 3 324.384 4.161 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCSCC2)c2ccco2)cc1 ZINC000658032235 1057234157 /nfs/dbraw/zinc/23/41/57/1057234157.db2.gz QCOYGVGHRCLZAH-QAPCUYQASA-N 0 3 317.454 4.253 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(COC(C)(C)C)cc1)N(CC)CC ZINC000674525336 1057251911 /nfs/dbraw/zinc/25/19/11/1057251911.db2.gz ZIJKAWIHNWZFGG-QGZVFWFLSA-N 0 3 320.477 4.061 20 0 DIADHN c1csc(Cn2cc(-c3ccc(C4=NCCC4)cc3)cn2)c1 ZINC001242092209 1057261403 /nfs/dbraw/zinc/26/14/03/1057261403.db2.gz DVZNBSUFYJGOHX-UHFFFAOYSA-N 0 3 307.422 4.243 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658101698 1057267713 /nfs/dbraw/zinc/26/77/13/1057267713.db2.gz RGVOSXUUYABRDM-OXJNMPFZSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658101699 1057267900 /nfs/dbraw/zinc/26/79/00/1057267900.db2.gz RGVOSXUUYABRDM-OXQOHEQNSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1-c1ccc2c(c1)COC21CNC1 ZINC001242130428 1057274102 /nfs/dbraw/zinc/27/41/02/1057274102.db2.gz COHJINOLEKCQHL-UHFFFAOYSA-N 0 3 319.326 4.010 20 0 DIADHN c1csc(-c2ccn(CN(CC3CCCCC3)C3CC3)n2)n1 ZINC000766070605 1057283195 /nfs/dbraw/zinc/28/31/95/1057283195.db2.gz TWBGCLBXILJZBU-UHFFFAOYSA-N 0 3 316.474 4.009 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3c(c2)CCC3=O)cc1F ZINC001242158728 1057284802 /nfs/dbraw/zinc/28/48/02/1057284802.db2.gz NKOQPNUCKKWSIN-UHFFFAOYSA-N 0 3 311.400 4.463 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)nc1 ZINC000674865975 1057293596 /nfs/dbraw/zinc/29/35/96/1057293596.db2.gz WOMZOUOJGWRCOX-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](c1ccccn1)C(C)C)CCC2 ZINC000766080246 1057294676 /nfs/dbraw/zinc/29/46/76/1057294676.db2.gz BYKQMXPNVIOXCI-HOTGVXAUSA-N 0 3 301.459 4.211 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H](c1ccccn1)C(C)C ZINC000766081732 1057295607 /nfs/dbraw/zinc/29/56/07/1057295607.db2.gz PNWDEGLOXSDCGW-QUCCMNQESA-N 0 3 310.441 4.455 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1ccc(F)cc1[O-] ZINC001242219902 1057298305 /nfs/dbraw/zinc/29/83/05/1057298305.db2.gz WKVNFVFRSGHWNR-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1cc(Cl)cc(-c2cncc(CN3CCCC3)c2)c1F ZINC001242226466 1057301580 /nfs/dbraw/zinc/30/15/80/1057301580.db2.gz DOGGMNBFDBIGTM-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN CCN(C[C@@H]1COc2ccccc2O1)[C@@H](C)c1ccc(F)cc1 ZINC000675042543 1057312121 /nfs/dbraw/zinc/31/21/21/1057312121.db2.gz YEBIMIGJIPJVIC-WMLDXEAASA-N 0 3 315.388 4.049 20 0 DIADHN Cc1cc2n[nH]cc2cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001242283848 1057320390 /nfs/dbraw/zinc/32/03/90/1057320390.db2.gz CGNRAUILEWJYFZ-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CC(C)(NCc1cc2ccccc2s1)C(=O)Nc1ccccc1 ZINC000675156237 1057322235 /nfs/dbraw/zinc/32/22/35/1057322235.db2.gz HQZIRMSTTKYYAZ-UHFFFAOYSA-N 0 3 324.449 4.408 20 0 DIADHN Fc1cc(F)c(-c2ncccc2CN2CCCC2)cc1Cl ZINC001242290881 1057323779 /nfs/dbraw/zinc/32/37/79/1057323779.db2.gz LKIWNMZVXHYSDR-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN COc1ccnc(CN[C@H](C)[C@H](C)c2ccc(Cl)cc2)c1F ZINC000658225524 1057327288 /nfs/dbraw/zinc/32/72/88/1057327288.db2.gz UQVZUDLAVFSLMO-NWDGAFQWSA-N 0 3 322.811 4.165 20 0 DIADHN CC1(O)CCC(NCc2c(Cl)ccc(Cl)c2Cl)CC1 ZINC000703567168 1057355017 /nfs/dbraw/zinc/35/50/17/1057355017.db2.gz YHQRVRUIYXKOMG-UHFFFAOYSA-N 0 3 322.663 4.430 20 0 DIADHN CN1CCC(Oc2ccnc(C3=CCC(C)(C)CC3)c2)CC1 ZINC001242327847 1057335216 /nfs/dbraw/zinc/33/52/16/1057335216.db2.gz FINPKYSHOJOXDA-UHFFFAOYSA-N 0 3 300.446 4.148 20 0 DIADHN CC1(C)CC=C(c2cccc3cc([C@@H]4CNCCO4)cn32)CC1 ZINC001242328884 1057335349 /nfs/dbraw/zinc/33/53/49/1057335349.db2.gz ARZTZJGHWCQEFS-IBGZPJMESA-N 0 3 310.441 4.194 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccccc1N1CCCC1 ZINC000675310063 1057340744 /nfs/dbraw/zinc/34/07/44/1057340744.db2.gz RWVJSWDMWJDBAU-FMIVXFBMSA-N 0 3 306.453 4.432 20 0 DIADHN COc1ccc(-c2cccc(F)c2[O-])cc1C[NH+]1CCCCC1 ZINC001242354236 1057343452 /nfs/dbraw/zinc/34/34/52/1057343452.db2.gz VBCAZRLPMKLSBJ-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccccc1 ZINC000658288427 1057355433 /nfs/dbraw/zinc/35/54/33/1057355433.db2.gz OYQJJDYKXJJEQX-AQNXPRMDSA-N 0 3 313.416 4.161 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(C)c(CO)c2)cc1F ZINC001242386509 1057356121 /nfs/dbraw/zinc/35/61/21/1057356121.db2.gz OGVFBDFYUIAVHU-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC(F)F)nc2)c1F ZINC001242421484 1057362630 /nfs/dbraw/zinc/36/26/30/1057362630.db2.gz NBFCJADEEGANDV-UHFFFAOYSA-N 0 3 324.346 4.331 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)N(C(C)C)C2CCCC2)c1C ZINC001168757419 1057367942 /nfs/dbraw/zinc/36/79/42/1057367942.db2.gz DPLPWPHMSOUCLZ-QGZVFWFLSA-N 0 3 316.489 4.134 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnn(C(F)(F)F)c2)cc1F ZINC001242465441 1057374480 /nfs/dbraw/zinc/37/44/80/1057374480.db2.gz CGIHCMWRGUIUKY-UHFFFAOYSA-N 0 3 315.314 4.007 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1c1ccccc1Cl)c1nccn1C ZINC000658335542 1057378342 /nfs/dbraw/zinc/37/83/42/1057378342.db2.gz BIPKMMWFOYFODS-DUVNUKRYSA-N 0 3 303.837 4.060 20 0 DIADHN CCc1ccc(CN[C@H](CN2CCCCC2)c2ccccc2)o1 ZINC000675473033 1057379099 /nfs/dbraw/zinc/37/90/99/1057379099.db2.gz MTWMBSCQXWSFPO-HXUWFJFHSA-N 0 3 312.457 4.159 20 0 DIADHN Cc1nc(CNCCc2cccc(-c3ccccc3)c2)cs1 ZINC000675476065 1057380359 /nfs/dbraw/zinc/38/03/59/1057380359.db2.gz ALOGNKOXXHHZEW-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(-c2ccccc2)c1C)c1ccncc1 ZINC000766145678 1057382316 /nfs/dbraw/zinc/38/23/16/1057382316.db2.gz RIQGZBXQCBPGQV-FOIQADDNSA-N 0 3 320.440 4.378 20 0 DIADHN Cn1ccnc1-c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001242497584 1057385546 /nfs/dbraw/zinc/38/55/46/1057385546.db2.gz PWGGOKTVHRLUPK-UHFFFAOYSA-N 0 3 301.393 4.337 20 0 DIADHN COc1ncc(Cl)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001242510178 1057388334 /nfs/dbraw/zinc/38/83/34/1057388334.db2.gz UMTKZNUMGRQDDN-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3ncncc3c2)cc1F ZINC001242512814 1057389527 /nfs/dbraw/zinc/38/95/27/1057389527.db2.gz OWVAMTJWZKDPNX-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccnc2C(F)(F)F)s1 ZINC000704270563 1057389536 /nfs/dbraw/zinc/38/95/36/1057389536.db2.gz AQYAMLYKTQHLQM-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN CCc1ncccc1-c1ncc(C2=CCN(CC)CC2)cc1C ZINC001242520728 1057392681 /nfs/dbraw/zinc/39/26/81/1057392681.db2.gz CTVYUSBMMVHGSB-UHFFFAOYSA-N 0 3 307.441 4.123 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cc1F ZINC000675638242 1057394562 /nfs/dbraw/zinc/39/45/62/1057394562.db2.gz XEOBRUAKQNWBRE-GOSISDBHSA-N 0 3 324.399 4.483 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](c1ccccc1)C1CC1 ZINC000675735989 1057403012 /nfs/dbraw/zinc/40/30/12/1057403012.db2.gz WZSGWCSYPNATRF-HKUYNNGSSA-N 0 3 309.409 4.260 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCOc3c(OC)cccc32)cc1 ZINC000675725766 1057405354 /nfs/dbraw/zinc/40/53/54/1057405354.db2.gz GQTGKPXQPWOGKO-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1ccc2c(c1)CCC(=O)N2 ZINC000675726657 1057405441 /nfs/dbraw/zinc/40/54/41/1057405441.db2.gz BERMSEABFUZRTQ-NEPJUHHUSA-N 0 3 300.427 4.045 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc(C)cc1C ZINC000675761717 1057407702 /nfs/dbraw/zinc/40/77/02/1057407702.db2.gz IXCPZJOKMUSZCC-QAPCUYQASA-N 0 3 311.425 4.486 20 0 DIADHN C[C@H](NCCN1Cc2ccccc2C1)c1ccc(F)cc1Cl ZINC000675781201 1057410498 /nfs/dbraw/zinc/41/04/98/1057410498.db2.gz XKNISBUPODIOLN-ZDUSSCGKSA-N 0 3 318.823 4.146 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CSCc2ccccc21 ZINC000675782298 1057413279 /nfs/dbraw/zinc/41/32/79/1057413279.db2.gz DJJJATXSJWTRJQ-MSOLQXFVSA-N 0 3 311.450 4.260 20 0 DIADHN COC[C@@H](N[C@@H](c1ccccc1)c1cccc(Cl)c1)C1CC1 ZINC000675786180 1057414336 /nfs/dbraw/zinc/41/43/36/1057414336.db2.gz NCHLJMQNAOXHSY-MOPGFXCFSA-N 0 3 315.844 4.444 20 0 DIADHN C[C@H](N[C@@H]1CSCc2ccccc21)c1ccc2c(c1)COC2 ZINC000675783456 1057414462 /nfs/dbraw/zinc/41/44/62/1057414462.db2.gz ZWRUVVLBGAIIKD-ORAYPTAESA-N 0 3 311.450 4.356 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CSCc3ccccc31)CC2 ZINC000675783057 1057414469 /nfs/dbraw/zinc/41/44/69/1057414469.db2.gz SFNJCCIWNQKMJB-RTBURBONSA-N 0 3 311.450 4.260 20 0 DIADHN C[C@@H](N[C@@H](CN1CCCCC1)c1ccccc1)c1cncs1 ZINC000675810166 1057417294 /nfs/dbraw/zinc/41/72/94/1057417294.db2.gz OKJCCTWKCKJXSR-WBVHZDCISA-N 0 3 315.486 4.021 20 0 DIADHN C[C@H](N[C@@H](CN1CCCCC1)c1ccccc1)c1cncs1 ZINC000675810163 1057417513 /nfs/dbraw/zinc/41/75/13/1057417513.db2.gz OKJCCTWKCKJXSR-RDJZCZTQSA-N 0 3 315.486 4.021 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CN2CCCCC2)c2ccccc2)o1 ZINC000675809249 1057417781 /nfs/dbraw/zinc/41/77/81/1057417781.db2.gz DZSBCESLOQNOTN-PKOBYXMFSA-N 0 3 312.457 4.466 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(-n2cccn2)cc1)c1ccccn1 ZINC000675815758 1057418989 /nfs/dbraw/zinc/41/89/89/1057418989.db2.gz DYFGUCZNAAOHOG-UZLBHIALSA-N 0 3 320.440 4.459 20 0 DIADHN CCCN1CCCC[C@@H]1c1ccc(-c2ccnc(C(C)=O)c2)nc1 ZINC001242609553 1057422823 /nfs/dbraw/zinc/42/28/23/1057422823.db2.gz OWNHVNNWNVZRNH-HXUWFJFHSA-N 0 3 323.440 4.283 20 0 DIADHN CC[C@H](NCc1cc(C)n[nH]1)c1ccc2cc(OC)ccc2c1 ZINC000675893099 1057426844 /nfs/dbraw/zinc/42/68/44/1057426844.db2.gz BIQXZQPVYRTQPB-IBGZPJMESA-N 0 3 309.413 4.121 20 0 DIADHN Cc1cc(CN[C@@H](Cc2ccc(C)cc2)c2ccc(C)cc2)[nH]n1 ZINC000675893573 1057427074 /nfs/dbraw/zinc/42/70/74/1057427074.db2.gz PJQHFWBGOXJVOQ-NRFANRHFSA-N 0 3 319.452 4.409 20 0 DIADHN CC[C@@H](NCc1cc(C)n[nH]1)c1ccc2cc(OC)ccc2c1 ZINC000675893100 1057427146 /nfs/dbraw/zinc/42/71/46/1057427146.db2.gz BIQXZQPVYRTQPB-LJQANCHMSA-N 0 3 309.413 4.121 20 0 DIADHN Cc1cc(CN[C@@H]2CCCSc3ccc(Cl)cc32)n[nH]1 ZINC000675893276 1057427361 /nfs/dbraw/zinc/42/73/61/1057427361.db2.gz HHNRDUHPPPONQY-CQSZACIVSA-N 0 3 307.850 4.088 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](Cn1cccn1)c1ccccc1 ZINC000675899036 1057427919 /nfs/dbraw/zinc/42/79/19/1057427919.db2.gz BMHLSUYJIULALK-DOTOQJQBSA-N 0 3 311.454 4.345 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](Cn2cccn2)c2ccccc2)cc1 ZINC000675900069 1057428357 /nfs/dbraw/zinc/42/83/57/1057428357.db2.gz PDJXZQAUUULGBO-FXAWDEMLSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](Cn2cccn2)c2ccccc2)s1 ZINC000675899946 1057428512 /nfs/dbraw/zinc/42/85/12/1057428512.db2.gz ONJZVAKVARPZBZ-DOTOQJQBSA-N 0 3 311.454 4.345 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](Cn2cccn2)c2ccccc2)cc1 ZINC000675900070 1057428550 /nfs/dbraw/zinc/42/85/50/1057428550.db2.gz PDJXZQAUUULGBO-PXNSSMCTSA-N 0 3 305.425 4.284 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccoc1 ZINC000675883821 1057429410 /nfs/dbraw/zinc/42/94/10/1057429410.db2.gz DAXVXWPSXCPHHF-DOMZBBRYSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccco1 ZINC000675884322 1057429432 /nfs/dbraw/zinc/42/94/32/1057429432.db2.gz JRICGSQZFVQDML-JSGCOSHPSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1ccc(F)cc1 ZINC000675921636 1057430819 /nfs/dbraw/zinc/43/08/19/1057430819.db2.gz ZDUHOZCTYKNEEC-BEFAXECRSA-N 0 3 323.415 4.194 20 0 DIADHN Cc1ncc(CN[C@@H]2CCc3ccc(C)cc32)n1-c1ccccc1 ZINC000675920531 1057431042 /nfs/dbraw/zinc/43/10/42/1057431042.db2.gz SMNXKWZPXCWFLF-OAQYLSRUSA-N 0 3 317.436 4.266 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1c2ccccc2C[C@@H]1C ZINC000675902872 1057433311 /nfs/dbraw/zinc/43/33/11/1057433311.db2.gz JYLONKMOKQWBLU-GMVOTWDCSA-N 0 3 309.409 4.042 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1ccc(C)c(C)c1 ZINC000675903231 1057433982 /nfs/dbraw/zinc/43/39/82/1057433982.db2.gz AXPRDODCYNXMJZ-HNAYVOBHSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnn2CCc2ccccc2)cc1 ZINC000675930158 1057436800 /nfs/dbraw/zinc/43/68/00/1057436800.db2.gz HJNSOUSZALYVEC-SFHVURJKSA-N 0 3 319.452 4.285 20 0 DIADHN COCc1cccc(CN(C)Cc2ccc(C(F)(F)F)cc2)c1 ZINC000676413142 1057470509 /nfs/dbraw/zinc/47/05/09/1057470509.db2.gz MXLZGWGISYWIPS-UHFFFAOYSA-N 0 3 323.358 4.484 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2nc(C)sc2C)cc1 ZINC000676491184 1057475782 /nfs/dbraw/zinc/47/57/82/1057475782.db2.gz YGRYGFWHFKODCE-OLZOCXBDSA-N 0 3 318.486 4.440 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2nc(C)sc2C)CC1 ZINC000676491178 1057475808 /nfs/dbraw/zinc/47/58/08/1057475808.db2.gz YDHOSESADPOYTH-LBPRGKRZSA-N 0 3 316.470 4.151 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3cc(F)ccc23)c(C)s1 ZINC000676489563 1057479304 /nfs/dbraw/zinc/47/93/04/1057479304.db2.gz KDSKVYLOSDGACR-JTQLQIEISA-N 0 3 317.433 4.274 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3cc(F)ccc23)c(C)s1 ZINC000676489567 1057479333 /nfs/dbraw/zinc/47/93/33/1057479333.db2.gz KDSKVYLOSDGACR-SNVBAGLBSA-N 0 3 317.433 4.274 20 0 DIADHN CN(Cc1ccc(-c2cc(F)c(Cl)c(F)c2)nc1)C1CC1 ZINC001242797854 1057480198 /nfs/dbraw/zinc/48/01/98/1057480198.db2.gz LNSXYFHNOOALIW-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN CCc1sc(-c2nnc(CN(C)C(C)(C)CC)o2)cc1C ZINC000676701673 1057495343 /nfs/dbraw/zinc/49/53/43/1057495343.db2.gz HHSMCOPRMBEXEL-UHFFFAOYSA-N 0 3 307.463 4.289 20 0 DIADHN CN(Cc1ccc(C(C)(C)C)cc1)[C@@H]1CCCc2c1cnn2C ZINC000676717175 1057497741 /nfs/dbraw/zinc/49/77/41/1057497741.db2.gz FKIMJUXBOGILSW-GOSISDBHSA-N 0 3 311.473 4.227 20 0 DIADHN C[C@H]1C[C@H](NCc2ncc(Br)s2)CC(C)(C)C1 ZINC000708806797 1057501342 /nfs/dbraw/zinc/50/13/42/1057501342.db2.gz FPSYXDUHYZSOTP-UWVGGRQHSA-N 0 3 317.296 4.210 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H]3CCCC34CCCCC4)o2)cc1 ZINC000313008345 1057503744 /nfs/dbraw/zinc/50/37/44/1057503744.db2.gz KWAZFRVUHNDKRI-MRXNPFEDSA-N 0 3 311.429 4.329 20 0 DIADHN c1ccc(-c2nnc(CN[C@H]3CCCC34CCCCC4)o2)cc1 ZINC000313008343 1057503802 /nfs/dbraw/zinc/50/38/02/1057503802.db2.gz KWAZFRVUHNDKRI-INIZCTEOSA-N 0 3 311.429 4.329 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000625773142 1057514638 /nfs/dbraw/zinc/51/46/38/1057514638.db2.gz JSPKRRQSZJQVAN-IMJJTQAJSA-N 0 3 314.454 4.373 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC)nc2C)ccc1F ZINC001242930689 1057521004 /nfs/dbraw/zinc/52/10/04/1057521004.db2.gz VHKDXOSLXQKXHS-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Clc1ccc2cccnc2c1CNC1([C@H]2CCCCO2)CC1 ZINC000923030787 1057521535 /nfs/dbraw/zinc/52/15/35/1057521535.db2.gz SBKZKQDTSZSOPW-MRXNPFEDSA-N 0 3 316.832 4.080 20 0 DIADHN c1nc(-c2ccccc2)sc1CNC1([C@H]2CCCCO2)CC1 ZINC000923026522 1057522102 /nfs/dbraw/zinc/52/21/02/1057522102.db2.gz AOIIXIGLVXZLEP-MRXNPFEDSA-N 0 3 314.454 4.001 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnc(OC)c(Cl)c2)c1F ZINC001242934149 1057522196 /nfs/dbraw/zinc/52/21/96/1057522196.db2.gz OBBLHTFCJWYRSH-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN COC[C@@H](N[C@@H]1CCOc2c(Cl)c(Cl)ccc21)C(C)C ZINC000766162431 1057528884 /nfs/dbraw/zinc/52/88/84/1057528884.db2.gz LIXZACOFJVPACW-CHWSQXEVSA-N 0 3 318.244 4.078 20 0 DIADHN CN(C)Cc1cnc(CN2CCC[C@@H]2CCC2CCCC2)s1 ZINC000347912640 1057530427 /nfs/dbraw/zinc/53/04/27/1057530427.db2.gz MEMQDMXLDKRGLD-MRXNPFEDSA-N 0 3 321.534 4.140 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000785482456 1057544877 /nfs/dbraw/zinc/54/48/77/1057544877.db2.gz MNYJVFFYVJERNR-CQSZACIVSA-N 0 3 321.399 4.442 20 0 DIADHN COc1ccc(-c2cc(C(F)(F)F)ccc2N)cc1CN(C)C ZINC001243005235 1057545262 /nfs/dbraw/zinc/54/52/62/1057545262.db2.gz MZUBCNMEHPZUPZ-UHFFFAOYSA-N 0 3 324.346 4.025 20 0 DIADHN CC(C)OC1CC(N2CCc3cc(C(F)(F)F)ccc3C2)C1 ZINC000626007919 1057546954 /nfs/dbraw/zinc/54/69/54/1057546954.db2.gz AHQFRMJSFFDSJK-UHFFFAOYSA-N 0 3 313.363 4.020 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(CO)c2C)c1F ZINC001243017094 1057547787 /nfs/dbraw/zinc/54/77/87/1057547787.db2.gz RLHWGRINOFBSKB-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CC(C)(C)c1ccc([C@H]2CCCN2Cc2cnc(N)s2)cc1 ZINC000556795845 1057554031 /nfs/dbraw/zinc/55/40/31/1057554031.db2.gz OBVKOMJZRCXXGS-MRXNPFEDSA-N 0 3 315.486 4.360 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)cc1F ZINC000671750401 1057557211 /nfs/dbraw/zinc/55/72/11/1057557211.db2.gz JSNHBEHQPYQZHL-NWDGAFQWSA-N 0 3 314.360 4.393 20 0 DIADHN [O-]c1cc(-c2ccc3[nH]cc(CC[NH+]4CCCC4)c3c2)ccc1F ZINC001243048552 1057557481 /nfs/dbraw/zinc/55/74/81/1057557481.db2.gz KPAGSUMWKHRAIV-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671760967 1057558603 /nfs/dbraw/zinc/55/86/03/1057558603.db2.gz PVABDQJJAGONQG-NSHDSACASA-N 0 3 322.364 4.439 20 0 DIADHN COc1ccc(CNCc2ccc(-c3ccccc3)s2)cn1 ZINC000075756730 1057563513 /nfs/dbraw/zinc/56/35/13/1057563513.db2.gz BCHNGSXRNLJPIM-UHFFFAOYSA-N 0 3 310.422 4.109 20 0 DIADHN c1nc(C2CC2)c(CNCCOc2ccc3ccccc3c2)s1 ZINC000486943073 1057571171 /nfs/dbraw/zinc/57/11/71/1057571171.db2.gz XMJMLBSYGRHRDH-UHFFFAOYSA-N 0 3 324.449 4.342 20 0 DIADHN CO[C@@H](CNCc1scnc1C1CC1)c1cccc(Cl)c1 ZINC000487273888 1057572238 /nfs/dbraw/zinc/57/22/38/1057572238.db2.gz NTPVIMMHGIWUFK-AWEZNQCLSA-N 0 3 322.861 4.151 20 0 DIADHN CC(C)c1ccccc1OCCNCc1scnc1C1CC1 ZINC000487284409 1057572892 /nfs/dbraw/zinc/57/28/92/1057572892.db2.gz ZHGSYYMPHZOERW-UHFFFAOYSA-N 0 3 316.470 4.313 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1scnc1C1CC1 ZINC000487545380 1057574285 /nfs/dbraw/zinc/57/42/85/1057574285.db2.gz YADVMZXIVNWEKY-APPDUMDISA-N 0 3 320.433 4.025 20 0 DIADHN OCc1cccc(-c2ccc(CN3CCCCC3)c(F)c2)c1F ZINC001243145053 1057585134 /nfs/dbraw/zinc/58/51/34/1057585134.db2.gz SXWBJEPCNPSCFK-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC000611319562 1057590204 /nfs/dbraw/zinc/59/02/04/1057590204.db2.gz CATHIJPOCWEOJQ-ZFWWWQNUSA-N 0 3 323.864 4.312 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC000611321575 1057590515 /nfs/dbraw/zinc/59/05/15/1057590515.db2.gz PYEZGSBOPRAKSS-HIFRSBDPSA-N 0 3 323.864 4.312 20 0 DIADHN Cc1ccccc1CNCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000611443196 1057600370 /nfs/dbraw/zinc/60/03/70/1057600370.db2.gz ULJLHMGFAAWNNY-UHFFFAOYSA-N 0 3 311.425 4.240 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OCOC)cc2)cc1F ZINC001243211150 1057605776 /nfs/dbraw/zinc/60/57/76/1057605776.db2.gz KSYRHABJYGZFSZ-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN CCCOc1ccc(-c2cc(N3CCCC3)ccn2)cc1F ZINC001243219839 1057609595 /nfs/dbraw/zinc/60/95/95/1057609595.db2.gz PNKSTBLPQKQBIR-UHFFFAOYSA-N 0 3 300.377 4.277 20 0 DIADHN c1nc(C2CC2)c(CN2CCC(Cc3ccccc3)CC2)s1 ZINC000494424727 1057618648 /nfs/dbraw/zinc/61/86/48/1057618648.db2.gz JNXFTUIAKGOPQU-UHFFFAOYSA-N 0 3 312.482 4.475 20 0 DIADHN CC(C)CCOC1CCN(Cc2scnc2C2CC2)CC1 ZINC000495000107 1057619454 /nfs/dbraw/zinc/61/94/54/1057619454.db2.gz WNFBRHAPLZMLDV-UHFFFAOYSA-N 0 3 308.491 4.048 20 0 DIADHN CCCN(CCC)C(=O)c1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001243257508 1057622826 /nfs/dbraw/zinc/62/28/26/1057622826.db2.gz QEHQXHHBAOHHHX-UHFFFAOYSA-N 0 3 321.424 4.492 20 0 DIADHN CC(C)Oc1cccc(F)c1-c1cncc(CN2CCCC2)c1 ZINC001243265102 1057625800 /nfs/dbraw/zinc/62/58/00/1057625800.db2.gz BXRGIIDGPRTELE-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN COc1ccc(-c2c(F)cccc2OC(C)C)cc1CN(C)C ZINC001243265738 1057627058 /nfs/dbraw/zinc/62/70/58/1057627058.db2.gz JPNIRZPUBBYRGV-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN COc1cccc(OC)c1-c1ccccc1-c1nccc(N)c1C ZINC001243329917 1057648655 /nfs/dbraw/zinc/64/86/55/1057648655.db2.gz NFWPVLUKVWQVEQ-UHFFFAOYSA-N 0 3 320.392 4.323 20 0 DIADHN CCN(CC)[C@H](CNCc1ccoc1)c1ccccc1Cl ZINC000727463160 1057654519 /nfs/dbraw/zinc/65/45/19/1057654519.db2.gz CXQZNRSWNXQWDN-QGZVFWFLSA-N 0 3 306.837 4.106 20 0 DIADHN CCCOCc1ccccc1CNCc1cnc2ccccc2c1 ZINC000727467350 1057655467 /nfs/dbraw/zinc/65/54/67/1057655467.db2.gz TUFAMYQDWYOQQQ-UHFFFAOYSA-N 0 3 320.436 4.451 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc3cccnc3c2)c1 ZINC000727467708 1057655762 /nfs/dbraw/zinc/65/57/62/1057655762.db2.gz BAOYAOGKEMCEOY-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN CCC[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccsc1 ZINC000526947860 1057659988 /nfs/dbraw/zinc/65/99/88/1057659988.db2.gz VWURPHYKCPJNKA-ZWKOTPCHSA-N 0 3 300.471 4.366 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnc(F)c(C)c3)c(C)c2)CC1 ZINC001243395930 1057670613 /nfs/dbraw/zinc/67/06/13/1057670613.db2.gz OEEBQBFITCEFOU-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN CCCOc1ccc(F)cc1-c1ccc(OC)c(CN(C)C)c1 ZINC001243402665 1057672050 /nfs/dbraw/zinc/67/20/50/1057672050.db2.gz CTLGOBUVWLWBQI-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1CN(C)Cc2ccccc21 ZINC000534531715 1057672992 /nfs/dbraw/zinc/67/29/92/1057672992.db2.gz XNCULHBURLMAIR-LJQANCHMSA-N 0 3 314.860 4.233 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2cccc3ccccc32)C1 ZINC000534531198 1057673079 /nfs/dbraw/zinc/67/30/79/1057673079.db2.gz OYICOSZWIAKGBQ-NRFANRHFSA-N 0 3 302.421 4.116 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)s1 ZINC000536842248 1057673915 /nfs/dbraw/zinc/67/39/15/1057673915.db2.gz XNGKJLFNBCALSZ-DYVFJYSZSA-N 0 3 300.471 4.148 20 0 DIADHN C[C@@H](NCCc1c(Cl)cccc1Cl)c1ccc(F)cn1 ZINC000536157635 1057673995 /nfs/dbraw/zinc/67/39/95/1057673995.db2.gz IKLCZXZCPVCLAF-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(CNc3ccccc3)cc2)c1 ZINC001243439328 1057684732 /nfs/dbraw/zinc/68/47/32/1057684732.db2.gz CFQFAYOSUHCDGK-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN C[C@H](NCC(C)(C)c1cccc(C(F)(F)F)c1)c1ncc[nH]1 ZINC000286121593 1057687345 /nfs/dbraw/zinc/68/73/45/1057687345.db2.gz ODSKMIGBKJKDGL-NSHDSACASA-N 0 3 311.351 4.057 20 0 DIADHN CC(C)[C@@H](NCc1cncn1C)c1ccc(Cl)c(Cl)c1 ZINC000289926824 1057690709 /nfs/dbraw/zinc/69/07/09/1057690709.db2.gz VEIXATJIDANJQW-OAHLLOKOSA-N 0 3 312.244 4.214 20 0 DIADHN CCN(CC(=O)Nc1ccc(C)cc1Cl)C1CCCCC1 ZINC000027284590 1057692656 /nfs/dbraw/zinc/69/26/56/1057692656.db2.gz VBBMJVXVPDOHHF-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN Nc1ccc(Cl)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001243486629 1057693772 /nfs/dbraw/zinc/69/37/72/1057693772.db2.gz VBGKAVDLHOJRGZ-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN Nc1ccc(Cl)cc1-c1cccc(CN2CCCC2)c1F ZINC001243486684 1057693854 /nfs/dbraw/zinc/69/38/54/1057693854.db2.gz WARMCGQTTXNSTN-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730020620 1057701003 /nfs/dbraw/zinc/70/10/03/1057701003.db2.gz CRMWJUZIRQRZEE-ZIAGYGMSSA-N 0 3 318.486 4.103 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730020623 1057701009 /nfs/dbraw/zinc/70/10/09/1057701009.db2.gz CUEZIEUBEGBETF-CHWSQXEVSA-N 0 3 318.486 4.245 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730020627 1057701030 /nfs/dbraw/zinc/70/10/30/1057701030.db2.gz CUEZIEUBEGBETF-QWHCGFSZSA-N 0 3 318.486 4.245 20 0 DIADHN Clc1cc(CN2CCC[C@H](Cc3ccccc3)C2)ccn1 ZINC000730062393 1057701766 /nfs/dbraw/zinc/70/17/66/1057701766.db2.gz NEHFCSUOERHRBF-MRXNPFEDSA-N 0 3 300.833 4.190 20 0 DIADHN CCOc1cc(-c2cncc(CN3CCCC3)c2)c(F)cc1F ZINC001243530071 1057704373 /nfs/dbraw/zinc/70/43/73/1057704373.db2.gz JZNPGCUUCOFEHU-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC[N@H+]1CC=C(c2cnc(-c3cccc([O-])c3F)c(C)c2)CC1 ZINC001243544543 1057709241 /nfs/dbraw/zinc/70/92/41/1057709241.db2.gz RLFSYAJDAVQFBH-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN CC[N@@H+]1CC=C(c2cnc(-c3cccc([O-])c3F)c(C)c2)CC1 ZINC001243544543 1057709244 /nfs/dbraw/zinc/70/92/44/1057709244.db2.gz RLFSYAJDAVQFBH-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN [O-]c1cccc(-c2ccc(-c3c[nH]c([C@@H]4CCC[NH2+]4)n3)cc2)c1F ZINC001243547393 1057710319 /nfs/dbraw/zinc/71/03/19/1057710319.db2.gz QWWMNHQNHGQWBN-HNNXBMFYSA-N 0 3 323.371 4.013 20 0 DIADHN CCN(CC)Cc1cc(-c2cnn(C(C)(C)C)c2)ccc1F ZINC001243566231 1057716102 /nfs/dbraw/zinc/71/61/02/1057716102.db2.gz LMGQPAWVTDUOEY-UHFFFAOYSA-N 0 3 303.425 4.286 20 0 DIADHN COc1c(Cl)ccc(-c2ccc(CN(C)C3CC3)cn2)c1F ZINC001243573688 1057719481 /nfs/dbraw/zinc/71/94/81/1057719481.db2.gz MGHZYXYHAGADLF-UHFFFAOYSA-N 0 3 320.795 4.144 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)c(OC)c2)cc1C ZINC001119683185 1057738949 /nfs/dbraw/zinc/73/89/49/1057738949.db2.gz HWZVTBASBCRCLR-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CCN(CCc1ccccc1)Cn1ccc(-c2cccs2)n1 ZINC000731659508 1057749748 /nfs/dbraw/zinc/74/97/48/1057749748.db2.gz HNSQGXDFVQTANM-UHFFFAOYSA-N 0 3 311.454 4.134 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@H](c4ccccc4)C3)n2)c1 ZINC000731661089 1057749987 /nfs/dbraw/zinc/74/99/87/1057749987.db2.gz ANWYXZFQEUHCAI-INIZCTEOSA-N 0 3 309.438 4.059 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cn2ccc(-c3ccsc3)n2)C1 ZINC000731660049 1057750303 /nfs/dbraw/zinc/75/03/03/1057750303.db2.gz NMWFAOWNRQUXKD-INIZCTEOSA-N 0 3 323.465 4.367 20 0 DIADHN C[C@@H](CN(C)Cn1ccc(-c2ccccc2)n1)c1ccccc1 ZINC000731660290 1057750379 /nfs/dbraw/zinc/75/03/79/1057750379.db2.gz GWPMNQVHFKQAOK-KRWDZBQOSA-N 0 3 305.425 4.243 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1ccc(Cl)nc1 ZINC000731751831 1057753514 /nfs/dbraw/zinc/75/35/14/1057753514.db2.gz DHUSPLNVYKPWDI-QGZVFWFLSA-N 0 3 316.832 4.253 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2ncsc2c1)c1ccc(F)nc1 ZINC001119822198 1057756865 /nfs/dbraw/zinc/75/68/65/1057756865.db2.gz GBXSMKSGDRHMCH-WDEREUQCSA-N 0 3 301.390 4.242 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(C)cc(CO)c2)c1F ZINC001243704069 1057757231 /nfs/dbraw/zinc/75/72/31/1057757231.db2.gz JTXXAIRLVXDTEI-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN COc1c(C)cnc(CN([C@H](C)c2ccccc2)C2CC2)c1C ZINC000731890737 1057757565 /nfs/dbraw/zinc/75/75/65/1057757565.db2.gz YPGXHTNIZIKHER-MRXNPFEDSA-N 0 3 310.441 4.433 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(C)cc(CO)c2)ccc1F ZINC001243704822 1057758038 /nfs/dbraw/zinc/75/80/38/1057758038.db2.gz SQQQHWUHVDUQSX-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CC[C@H](c4ccco4)C3)o2)c1 ZINC000732205545 1057770537 /nfs/dbraw/zinc/77/05/37/1057770537.db2.gz JOFLOYITXJJYOX-ZBFHGGJFSA-N 0 3 323.396 4.189 20 0 DIADHN CO[C@@H]1CC=C(c2ccc(F)c(CN3CCCCC3)c2)CC1 ZINC001243815956 1057786120 /nfs/dbraw/zinc/78/61/20/1057786120.db2.gz MRYIDRQOJYXWQE-GOSISDBHSA-N 0 3 303.421 4.394 20 0 DIADHN Fc1cc(F)c(Cl)c(-c2cncc(CN3CCCC3)c2)c1 ZINC001243841971 1057790621 /nfs/dbraw/zinc/79/06/21/1057790621.db2.gz NMXDFCSURDYQFJ-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN COc1cccc2c1OC[C@H](N[C@H](C)c1ccccc1Cl)C2 ZINC000732919800 1057791037 /nfs/dbraw/zinc/79/10/37/1057791037.db2.gz XHTODPGEWNYTOR-TZMCWYRMSA-N 0 3 317.816 4.003 20 0 DIADHN Fc1ncc(C2CC2)cc1-c1cnccc1CN1CCCCC1 ZINC001243874013 1057796408 /nfs/dbraw/zinc/79/64/08/1057796408.db2.gz LBNJUWXWVYBUPX-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN CO[C@@H](CN[C@H](Cc1ccccc1)c1ccccc1)C(F)(F)F ZINC000733323496 1057811663 /nfs/dbraw/zinc/81/16/63/1057811663.db2.gz IPKBTBLNWMLXHA-SJORKVTESA-N 0 3 323.358 4.137 20 0 DIADHN Fc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cc(F)n1 ZINC001243974264 1057825504 /nfs/dbraw/zinc/82/55/04/1057825504.db2.gz LGLNZXIMFRIRGM-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Fc1ccc(-c2ccc(-c3ncc[nH]3)cc2)cc1CN1CCCC1 ZINC001243979259 1057828109 /nfs/dbraw/zinc/82/81/09/1057828109.db2.gz GHIZCFCQTHARST-UHFFFAOYSA-N 0 3 321.399 4.479 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(C(F)(F)F)cc2Cl)c1 ZINC001243987256 1057830111 /nfs/dbraw/zinc/83/01/11/1057830111.db2.gz YUEQPMXOHRLXGY-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN COc1ccc(-c2ccc(OCC(F)F)cc2)cc1CN(C)C ZINC001244065767 1057854974 /nfs/dbraw/zinc/85/49/74/1057854974.db2.gz CKODLNFGOSXIIB-UHFFFAOYSA-N 0 3 321.367 4.068 20 0 DIADHN Cc1cc(C2CC2)cc(F)c1-c1ccc2c(c1)COC21CNC1 ZINC001244129392 1057879916 /nfs/dbraw/zinc/87/99/16/1057879916.db2.gz QNGVFPVNYHYCNR-UHFFFAOYSA-N 0 3 309.384 4.007 20 0 DIADHN Nc1c(F)c(F)c(F)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244178619 1057892602 /nfs/dbraw/zinc/89/26/02/1057892602.db2.gz IHBVGYWOZTUOGM-UHFFFAOYSA-N 0 3 324.321 4.088 20 0 DIADHN Nc1cc(Cl)ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001244200183 1057899470 /nfs/dbraw/zinc/89/94/70/1057899470.db2.gz DLFCBVZKPQKXIH-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN O=c1[nH]ccc2ccc(-c3ccc(CN4CCCC4)c(F)c3)cc21 ZINC001244314298 1057932159 /nfs/dbraw/zinc/93/21/59/1057932159.db2.gz AFNFDFMWHWTESS-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN OCc1cc(F)c(-c2ccccc2CN2CCCCC2)c(F)c1 ZINC001244362793 1057944115 /nfs/dbraw/zinc/94/41/15/1057944115.db2.gz WWPPWZHIPVTSRH-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN Nc1ccc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001244400404 1057950654 /nfs/dbraw/zinc/95/06/54/1057950654.db2.gz SPPDVUHMXGNZKV-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN CN(C)c1ccc(-c2cc(N3CCCC3)ccn2)cc1Cl ZINC001244411824 1057953651 /nfs/dbraw/zinc/95/36/51/1057953651.db2.gz VARHHFWFBCPVJF-UHFFFAOYSA-N 0 3 301.821 4.068 20 0 DIADHN Oc1cc(Cl)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244470983 1057968900 /nfs/dbraw/zinc/96/89/00/1057968900.db2.gz DQILVAXPZQIBJY-UHFFFAOYSA-N 0 3 305.780 4.448 20 0 DIADHN CC[NH+](CC)Cc1cncc(-c2cc(C)c([O-])c(Cl)c2)c1 ZINC001244551312 1057993716 /nfs/dbraw/zinc/99/37/16/1057993716.db2.gz YJONYVIHBIEJIB-UHFFFAOYSA-N 0 3 304.821 4.258 20 0 DIADHN COc1ccc(C)c(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001244567740 1057997630 /nfs/dbraw/zinc/99/76/30/1057997630.db2.gz QQMULXZEXLVLLI-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN OCc1c(Cl)cccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244578308 1058000723 /nfs/dbraw/zinc/00/07/23/1058000723.db2.gz IYJANEXIALYXOZ-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN Cc1cc(Cl)c(N)c(-c2cncc(CN3CCCCC3)c2)c1 ZINC001244580292 1058001185 /nfs/dbraw/zinc/00/11/85/1058001185.db2.gz VHXOBYKKBSBBED-UHFFFAOYSA-N 0 3 315.848 4.279 20 0 DIADHN COc1c(F)cc(-c2ccc3c(N)ccnc3c2)cc1Cl ZINC001244699562 1058029874 /nfs/dbraw/zinc/02/98/74/1058029874.db2.gz STKVODCLWIOCGV-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN Cc1c(F)cncc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001244834563 1058055863 /nfs/dbraw/zinc/05/58/63/1058055863.db2.gz LTWRICYIUZYAJO-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1-c1ccc2c(n1)CNCC2 ZINC001244835212 1058056399 /nfs/dbraw/zinc/05/63/99/1058056399.db2.gz QXGWWCRJMOYKEW-UHFFFAOYSA-N 0 3 300.405 4.370 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc(C4CCC4)c3)c2)CC1 ZINC001244979662 1058085522 /nfs/dbraw/zinc/08/55/22/1058085522.db2.gz HNZWSGDTNSNWLF-UHFFFAOYSA-N 0 3 322.452 4.489 20 0 DIADHN c1cc(-c2ccc3c(c2)COC32CNC2)cc(C2CCCC2)c1 ZINC001244984695 1058086897 /nfs/dbraw/zinc/08/68/97/1058086897.db2.gz OTWZPNUMFQOBJB-UHFFFAOYSA-N 0 3 305.421 4.340 20 0 DIADHN CN1CCc2ccc(-c3c(Cl)cc(CO)cc3Cl)cc2C1 ZINC001245009157 1058091206 /nfs/dbraw/zinc/09/12/06/1058091206.db2.gz AKQHWENMIXLECU-UHFFFAOYSA-N 0 3 322.235 4.141 20 0 DIADHN COc1ccc(-c2cc(F)c(F)cc2Cl)cc1CN(C)C ZINC001245017111 1058094093 /nfs/dbraw/zinc/09/40/93/1058094093.db2.gz MVHCWONUDLENLL-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(OC)ncc2Cl)ccc1F ZINC001245039002 1058100557 /nfs/dbraw/zinc/10/05/57/1058100557.db2.gz GWUOVEGYYVXTHR-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(Cl)cc(CO)c2)ccc1F ZINC001245092873 1058110926 /nfs/dbraw/zinc/11/09/26/1058110926.db2.gz CJLMPPNJKCDXNT-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCOc1ccc(Cl)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001245105791 1058115225 /nfs/dbraw/zinc/11/52/25/1058115225.db2.gz YBTCLRLFFPTDEJ-UHFFFAOYSA-N 0 3 316.832 4.395 20 0 DIADHN CCOc1cc(-c2ccc(CN(CC)CC)c(F)c2)ccn1 ZINC001245269102 1058137284 /nfs/dbraw/zinc/13/72/84/1058137284.db2.gz LOOINPITJYMWQZ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CC(C)(C)Oc1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001245424692 1058164527 /nfs/dbraw/zinc/16/45/27/1058164527.db2.gz MKDYHPPWXRNUSK-SFHVURJKSA-N 0 3 315.457 4.132 20 0 DIADHN COc1cccc2c1cccc2C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245425404 1058164622 /nfs/dbraw/zinc/16/46/22/1058164622.db2.gz VANARMFTBQNOEF-QGZVFWFLSA-N 0 3 323.436 4.117 20 0 DIADHN COc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245427056 1058164795 /nfs/dbraw/zinc/16/47/95/1058164795.db2.gz VPBQWFSKDCMAHX-KRWDZBQOSA-N 0 3 323.436 4.117 20 0 DIADHN Cc1cc2ccccc2c(C2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001245430864 1058165388 /nfs/dbraw/zinc/16/53/88/1058165388.db2.gz VSFARTWMBGRXCW-IBGZPJMESA-N 0 3 307.437 4.416 20 0 DIADHN Cc1c(N)c(F)ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001245464927 1058171673 /nfs/dbraw/zinc/17/16/73/1058171673.db2.gz WJQMCWDAHDLFCN-UHFFFAOYSA-N 0 3 302.368 4.118 20 0 DIADHN Nc1cc(F)cc(-c2ccc(F)c(CN3CCCC3)c2)c1Cl ZINC001245465817 1058173304 /nfs/dbraw/zinc/17/33/04/1058173304.db2.gz DSWMABBSGCDZDG-UHFFFAOYSA-N 0 3 322.786 4.463 20 0 DIADHN C[C@H]1CC[C@@H](OC(=O)C2(C)CCN(Cc3ccccc3)CC2)C1 ZINC001527758822 1058231064 /nfs/dbraw/zinc/23/10/64/1058231064.db2.gz FGLCFRQFNHZWTJ-FUHWJXTLSA-N 0 3 315.457 4.021 20 0 DIADHN CC(C)c1cnc(CN2CC3(CCOCC3)[C@@H]3CCC[C@H]32)s1 ZINC001548850402 1058268931 /nfs/dbraw/zinc/26/89/31/1058268931.db2.gz ZYPPFAPXTHBQQX-HUUCEWRRSA-N 0 3 320.502 4.048 20 0 DIADHN O=C1CCCCc2ccc(-c3ncccc3CN3CCCC3)cc21 ZINC001245597222 1058271516 /nfs/dbraw/zinc/27/15/16/1058271516.db2.gz JGNVEQDBXKVTAE-UHFFFAOYSA-N 0 3 320.436 4.254 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1cnn3c1CCCC3)CCC2 ZINC001549034558 1058279371 /nfs/dbraw/zinc/27/93/71/1058279371.db2.gz UEIZPICRGHEJGF-KXBFYZLASA-N 0 3 309.457 4.256 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2N[C@H](C)c1cnn2c1CCCC2 ZINC001549192569 1058286790 /nfs/dbraw/zinc/28/67/90/1058286790.db2.gz FJVIAAZAERAAIV-BEFAXECRSA-N 0 3 309.457 4.256 20 0 DIADHN CC(C)CCCCC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001517812218 1058287909 /nfs/dbraw/zinc/28/79/09/1058287909.db2.gz BBZAYQOJZSVDLZ-QFBILLFUSA-N 0 3 304.478 4.010 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N(c1ccccc1)C1CCCCC1 ZINC001517861886 1058291696 /nfs/dbraw/zinc/29/16/96/1058291696.db2.gz BHTMUXFTMMZSSH-IBGZPJMESA-N 0 3 314.473 4.225 20 0 DIADHN CC1(C)CCc2onc(CN[C@H]3CCC[C@H](C(F)F)C3)c2C1 ZINC001549919375 1058309833 /nfs/dbraw/zinc/30/98/33/1058309833.db2.gz FZIGGSKLSMGIIY-RYUDHWBXSA-N 0 3 312.404 4.103 20 0 DIADHN [O-]c1cccc(F)c1-c1ccc(SC2CC[NH2+]CC2)cc1 ZINC001245657409 1058314398 /nfs/dbraw/zinc/31/43/98/1058314398.db2.gz MHLSNJXTZPFNSW-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN Cc1cc(CN[C@H]2CCCO[C@H]2c2ccc(Cl)cc2)sn1 ZINC001550129967 1058318375 /nfs/dbraw/zinc/31/83/75/1058318375.db2.gz RFHSIDSUMGSYJY-HOTGVXAUSA-N 0 3 322.861 4.115 20 0 DIADHN Oc1cccc2c1CCC[C@H]2N[C@@H](c1ccncc1)C1CCC1 ZINC001550243271 1058327141 /nfs/dbraw/zinc/32/71/41/1058327141.db2.gz JXFGSVMZGZXKPB-UYAOXDASSA-N 0 3 308.425 4.296 20 0 DIADHN Cc1cc(-c2ccc3c(c2)C(C(C)C)=NCC3)c(C)cc1CO ZINC001245702135 1058367412 /nfs/dbraw/zinc/36/74/12/1058367412.db2.gz OVOUEKWVJCOTLQ-UHFFFAOYSA-N 0 3 307.437 4.464 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(C)c(CO)cc2C)cc1F ZINC001245702879 1058370514 /nfs/dbraw/zinc/37/05/14/1058370514.db2.gz QGJIWLMZWXMJNG-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](c3ccccc3)O[C@H](C)C2)s1 ZINC001551259143 1058383387 /nfs/dbraw/zinc/38/33/87/1058383387.db2.gz PTSIFASZFHSYPW-DYVFJYSZSA-N 0 3 316.470 4.112 20 0 DIADHN C[C@H]1CN(Cc2ccc3c(c2)COC3)CC[C@H](c2ccccc2)O1 ZINC001551261466 1058384465 /nfs/dbraw/zinc/38/44/65/1058384465.db2.gz WQOSRPLCPDISME-HRAATJIYSA-N 0 3 323.436 4.069 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@@H](C)c1cncc(C)c1 ZINC001551394485 1058391828 /nfs/dbraw/zinc/39/18/28/1058391828.db2.gz UXOJNYRNCGUJCB-KPHUOKFYSA-N 0 3 310.441 4.373 20 0 DIADHN Fc1c(Cl)ccc(-c2cncc(CN3CCCC3)c2)c1F ZINC001245742029 1058422272 /nfs/dbraw/zinc/42/22/72/1058422272.db2.gz YCGMPCGKOXNJPB-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN CSc1ccc(F)c(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001245748995 1058431178 /nfs/dbraw/zinc/43/11/78/1058431178.db2.gz ZVVKNEWJVNJPCI-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN Cc1ccc2c(ccc(C)c2C(=O)NC[C@H]2CCN2C(C)(C)C)c1 ZINC001528503523 1058440044 /nfs/dbraw/zinc/44/00/44/1058440044.db2.gz LWYKCXSTASANEZ-QGZVFWFLSA-N 0 3 324.468 4.059 20 0 DIADHN CCC[C@@H](CC)C(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001528553912 1058453437 /nfs/dbraw/zinc/45/34/37/1058453437.db2.gz XSOICBQKKJIUPW-MRXNPFEDSA-N 0 3 324.896 4.057 20 0 DIADHN COc1c(F)c(Cl)ccc1-c1ccc2c(N)ccnc2c1 ZINC001245805005 1058488311 /nfs/dbraw/zinc/48/83/11/1058488311.db2.gz SUJDDWBHKZJRKK-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN CN(C)CCN(C(=O)CC1CCC(C)(C)CC1)c1ccccc1 ZINC001528735201 1058505444 /nfs/dbraw/zinc/50/54/44/1058505444.db2.gz DILRXCONFBGHFL-UHFFFAOYSA-N 0 3 316.489 4.188 20 0 DIADHN CC(C)Oc1c(F)cc(-c2cc(N(C)C)ccn2)cc1Cl ZINC001245868233 1058575066 /nfs/dbraw/zinc/57/50/66/1058575066.db2.gz OXYMAWIIANLBLZ-UHFFFAOYSA-N 0 3 308.784 4.394 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC)nc2Cl)ccc1F ZINC001245878678 1058586725 /nfs/dbraw/zinc/58/67/25/1058586725.db2.gz KGUPXMYMVAVNPS-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN C[C@H]1CCC[C@@]1(C)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001556617952 1058620123 /nfs/dbraw/zinc/62/01/23/1058620123.db2.gz UADBUKLVYBLGHU-OXJNMPFZSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@@H]1CCC[C@]1(C)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001556617954 1058620751 /nfs/dbraw/zinc/62/07/51/1058620751.db2.gz UADBUKLVYBLGHU-UZLBHIALSA-N 0 3 322.537 4.172 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC001556804592 1058646320 /nfs/dbraw/zinc/64/63/20/1058646320.db2.gz HVXHIYUCIXTJJO-KBPBESRZSA-N 0 3 317.477 4.115 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001520724509 1058671351 /nfs/dbraw/zinc/67/13/51/1058671351.db2.gz IZQVJNDWGBNTEI-FUHWJXTLSA-N 0 3 316.489 4.112 20 0 DIADHN CCC1(C(=O)Nc2ccc(CN(C)C)cc2OC)CCCCC1 ZINC001556950057 1058672452 /nfs/dbraw/zinc/67/24/52/1058672452.db2.gz GWLPOERGRUIZQU-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN CCCN(C(=O)C=C1CCC(C(C)(C)C)CC1)[C@H]1CCN(C)C1 ZINC001557162824 1058708091 /nfs/dbraw/zinc/70/80/91/1058708091.db2.gz BWRBTUNPPSHHDZ-ZVAWYAOSSA-N 0 3 320.521 4.092 20 0 DIADHN CC(C)[C@H](C(=O)NC[C@]1(C)CC[C@H](C)C1(C)C)N1CCCCC1 ZINC001557414653 1058748110 /nfs/dbraw/zinc/74/81/10/1058748110.db2.gz NXOMWBGZJRTQEJ-QKLQHJQFSA-N 0 3 322.537 4.076 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H]1CCCC12CCCCC2 ZINC001557484660 1058756838 /nfs/dbraw/zinc/75/68/38/1058756838.db2.gz QBHXBPUOYHOPRP-KRWDZBQOSA-N 0 3 308.510 4.118 20 0 DIADHN CC1CCC(N2CCN(C(=O)C3CCCCCCC3)CC2)CC1 ZINC001529585777 1058767823 /nfs/dbraw/zinc/76/78/23/1058767823.db2.gz WQWROVZUDWEYRS-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H]1CCCc2c(C)cccc21 ZINC001557647670 1058780679 /nfs/dbraw/zinc/78/06/79/1058780679.db2.gz CCLSQVUEZRONJZ-LJQANCHMSA-N 0 3 316.489 4.001 20 0 DIADHN CCC1(CC)CCCN1C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001558213901 1058850795 /nfs/dbraw/zinc/85/07/95/1058850795.db2.gz JQPDOIRXSFDTFP-UHFFFAOYSA-N 0 3 313.445 4.086 20 0 DIADHN CCC[C@@](C)(NC(=O)[C@@H](C)N1CCCCCC1)c1ccccc1 ZINC001558780711 1058910344 /nfs/dbraw/zinc/91/03/44/1058910344.db2.gz VLERIVMVZRMEEH-YLJYHZDGSA-N 0 3 316.489 4.083 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)NC[C@@H](N[C@@H](C)CC)c1ccco1 ZINC001558979397 1058932559 /nfs/dbraw/zinc/93/25/59/1058932559.db2.gz OZVYDUVPAILWKQ-VVLHAWIVSA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)c1ccco1 ZINC001558980460 1058934180 /nfs/dbraw/zinc/93/41/80/1058934180.db2.gz QXINBBJRLZDHTL-YHUYYLMFSA-N 0 3 322.493 4.143 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCC=CCCC2)c(CN(C)C)c1 ZINC001521892107 1059022576 /nfs/dbraw/zinc/02/25/76/1059022576.db2.gz NGIVOHCYBDWFLE-MRXNPFEDSA-N 0 3 300.446 4.132 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC001530839151 1059160972 /nfs/dbraw/zinc/16/09/72/1059160972.db2.gz IVYNEBSHQOZMON-WMZOPIPTSA-N 0 3 314.473 4.036 20 0 DIADHN Cc1cc2[nH]c([C@H](N)Cc3ccccc3)nc2cc1C(F)(F)F ZINC001247015418 1059244142 /nfs/dbraw/zinc/24/41/42/1059244142.db2.gz QLRNZBGVGUSXEJ-CYBMUJFWSA-N 0 3 319.330 4.133 20 0 DIADHN FC(F)(F)c1ccc2c(c1)nc([C@H]1CCCCN1)n2C1CC1 ZINC001247039988 1059258610 /nfs/dbraw/zinc/25/86/10/1059258610.db2.gz CDZLQBULPGZCOO-GFCCVEGCSA-N 0 3 309.335 4.205 20 0 DIADHN Cc1cc(C)c(CN(C)CC2CC2)c(OCc2ccccc2)n1 ZINC001559488483 1059259345 /nfs/dbraw/zinc/25/93/45/1059259345.db2.gz JRHFDQBOURLFCG-UHFFFAOYSA-N 0 3 310.441 4.119 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@H]2[C@H](c3ccccc3)C2(F)F)on1 ZINC001559486491 1059260610 /nfs/dbraw/zinc/26/06/10/1059260610.db2.gz UPDNYLCIVNGYFU-ZOBUZTSGSA-N 0 3 318.367 4.169 20 0 DIADHN COC(=O)/C(C)=C/CN1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 ZINC001559487314 1059260669 /nfs/dbraw/zinc/26/06/69/1059260669.db2.gz ZGPHGQVMWDMLGM-NKAIQICCSA-N 0 3 315.457 4.240 20 0 DIADHN Fc1ccc2nc([C@@H]3CCCCN3)n(Cc3ccccc3)c2c1 ZINC001247040817 1059261224 /nfs/dbraw/zinc/26/12/24/1059261224.db2.gz CEVMVKVVBOOSLU-KRWDZBQOSA-N 0 3 309.388 4.038 20 0 DIADHN COC(=O)/C(C)=C\CN1CCC[C@H]1c1ccc(C(C)(C)C)cc1 ZINC001559487315 1059262794 /nfs/dbraw/zinc/26/27/94/1059262794.db2.gz ZGPHGQVMWDMLGM-NZHZHZHDSA-N 0 3 315.457 4.240 20 0 DIADHN COC(=O)c1cc(CN(C)[C@H](C)c2cccs2)ccc1C ZINC001559486266 1059263043 /nfs/dbraw/zinc/26/30/43/1059263043.db2.gz LGQQWMWXZIHOEJ-CYBMUJFWSA-N 0 3 303.427 4.036 20 0 DIADHN Cc1cccc(CCCN2C[C@@H](C)O[C@@H](c3ccccc3)C2)c1 ZINC001559496393 1059274291 /nfs/dbraw/zinc/27/42/91/1059274291.db2.gz MJQKNKASAWIAIY-WIYYLYMNSA-N 0 3 309.453 4.390 20 0 DIADHN CC(C)CCN(Cc1cnn(-c2ccccc2)n1)C1CCCC1 ZINC001559503821 1059286596 /nfs/dbraw/zinc/28/65/96/1059286596.db2.gz DKNKAIROAGHCER-UHFFFAOYSA-N 0 3 312.461 4.058 20 0 DIADHN CCCCN(Cc1ccc2nonc2c1)[C@H](C)c1ccc(C)o1 ZINC001559504177 1059291447 /nfs/dbraw/zinc/29/14/47/1059291447.db2.gz JBRXFVYIAOFBMC-CQSZACIVSA-N 0 3 313.401 4.488 20 0 DIADHN CCCN(CC)CC(=O)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001559505709 1059294549 /nfs/dbraw/zinc/29/45/49/1059294549.db2.gz LVMZQQQJCDTERU-QGZVFWFLSA-N 0 3 322.468 4.151 20 0 DIADHN COc1ncc(CN(Cc2ccco2)C(C)C)c2ccccc21 ZINC001559506168 1059295268 /nfs/dbraw/zinc/29/52/68/1059295268.db2.gz WHBQSLNCAHVQMM-UHFFFAOYSA-N 0 3 310.397 4.247 20 0 DIADHN COc1ccc(CN(Cc2ccccc2F)CC(C)C)cc1 ZINC001559505879 1059298789 /nfs/dbraw/zinc/29/87/89/1059298789.db2.gz PZKIUPYQBUSKIR-UHFFFAOYSA-N 0 3 301.405 4.493 20 0 DIADHN CN(CCC1CCCCC1)Cc1cccc(Br)n1 ZINC001559513490 1059305161 /nfs/dbraw/zinc/30/51/61/1059305161.db2.gz AQTZOKXBWKPQNJ-UHFFFAOYSA-N 0 3 311.267 4.246 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ccn(CCc2ccccc2)n1 ZINC001559519412 1059314943 /nfs/dbraw/zinc/31/49/43/1059314943.db2.gz CCIBWCRJQMJSLG-FQEVSTJZSA-N 0 3 311.473 4.136 20 0 DIADHN CC[C@@H](CC(=O)NC[C@H](C)N(C)Cc1ccccc1)C(C)(C)C ZINC001531482949 1059329147 /nfs/dbraw/zinc/32/91/47/1059329147.db2.gz KCKHGSHPYJZYIB-WMZOPIPTSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C(C)C)CC2)cc1C ZINC001559546565 1059352857 /nfs/dbraw/zinc/35/28/57/1059352857.db2.gz ZDUCACYELNAIMT-KRWDZBQOSA-N 0 3 302.462 4.000 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C(C)C)CC2)cc1C ZINC001559546566 1059353558 /nfs/dbraw/zinc/35/35/58/1059353558.db2.gz ZDUCACYELNAIMT-QGZVFWFLSA-N 0 3 302.462 4.000 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1ncccc1Cl ZINC001559548540 1059355516 /nfs/dbraw/zinc/35/55/16/1059355516.db2.gz SOWUQZBAGNIAMN-INIZCTEOSA-N 0 3 300.833 4.251 20 0 DIADHN COc1ncccc1CN(CC1CCC1)[C@H](C)c1ccccc1 ZINC001559553062 1059365321 /nfs/dbraw/zinc/36/53/21/1059365321.db2.gz SMIJIYDXIOLVAO-MRXNPFEDSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2cncc(Cl)c2)C1 ZINC001559554838 1059368577 /nfs/dbraw/zinc/36/85/77/1059368577.db2.gz MEICJFHAUSJGMW-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2cncc(Cl)c2)C1 ZINC001559554840 1059369695 /nfs/dbraw/zinc/36/96/95/1059369695.db2.gz MEICJFHAUSJGMW-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2Cc2cnn(CCF)c2)c1C ZINC001559562407 1059381531 /nfs/dbraw/zinc/38/15/31/1059381531.db2.gz BTFPGSPWLIDACX-IBGZPJMESA-N 0 3 315.436 4.197 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@](C)(CC)C1 ZINC001559562629 1059384370 /nfs/dbraw/zinc/38/43/70/1059384370.db2.gz GKXZJKPWGAFJKP-ICSRJNTNSA-N 0 3 316.489 4.249 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(OC)ccc2Cl)C1 ZINC001559564325 1059385877 /nfs/dbraw/zinc/38/58/77/1059385877.db2.gz NDNBYSRKNRUIAX-ZFWWWQNUSA-N 0 3 313.894 4.455 20 0 DIADHN COc1cc(CN2CCCC[C@@H]2c2cccc(C)c2C)ccn1 ZINC001559563506 1059386017 /nfs/dbraw/zinc/38/60/17/1059386017.db2.gz XZQSNLBQWCWWOP-LJQANCHMSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1ccc2ncc(CN3CCS[C@H](C(C)C)C3)cc2c1 ZINC001559563772 1059388669 /nfs/dbraw/zinc/38/86/69/1059388669.db2.gz CEUZLQKGSIVXTA-SFHVURJKSA-N 0 3 300.471 4.117 20 0 DIADHN CCCCOC(=O)[C@H](C)N1CCC[C@@H]1[C@H](CC)c1ccccc1 ZINC001559565878 1059394190 /nfs/dbraw/zinc/39/41/90/1059394190.db2.gz UFTGNVISHHXCPA-QXAKKESOSA-N 0 3 317.473 4.376 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCC[C@H]1C(C)(C)C ZINC001559576256 1059409031 /nfs/dbraw/zinc/40/90/31/1059409031.db2.gz WEKMHWKHQAWZKF-HNNXBMFYSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@H](C)CN(CC)Cc1ccc(OC)cc1Br ZINC001559579498 1059412420 /nfs/dbraw/zinc/41/24/20/1059412420.db2.gz LJCWGIGJRDJFDJ-LBPRGKRZSA-N 0 3 314.267 4.326 20 0 DIADHN Fc1cccc([C@H]2CCN2CC2([C@H]3CCCCO3)CCC2)c1 ZINC001559581878 1059414668 /nfs/dbraw/zinc/41/46/68/1059414668.db2.gz SKYVWBHHQFPNEV-QZTJIDSGSA-N 0 3 303.421 4.312 20 0 DIADHN Cc1cnc(CN2CCC[C@]3(CCCc4ccccc43)C2)s1 ZINC001559583541 1059415404 /nfs/dbraw/zinc/41/54/04/1059415404.db2.gz KGRUCEPWOPYDEG-LJQANCHMSA-N 0 3 312.482 4.322 20 0 DIADHN C[C@H](N(C)Cc1cc(Br)ccc1F)C1(C)CC1 ZINC001559587890 1059421596 /nfs/dbraw/zinc/42/15/96/1059421596.db2.gz LWXYYNZRICKTQJ-JTQLQIEISA-N 0 3 300.215 4.209 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)Cc2cccs2)c1Cl ZINC001559589007 1059422654 /nfs/dbraw/zinc/42/26/54/1059422654.db2.gz OVFIXKKPUDSSMY-LBPRGKRZSA-N 0 3 309.862 4.473 20 0 DIADHN CCC1(CC)CCN([C@H](C)C(=O)Nc2cccc(Cl)c2C)C1 ZINC001559596615 1059431936 /nfs/dbraw/zinc/43/19/36/1059431936.db2.gz PJJMAGHZTPWRPM-CQSZACIVSA-N 0 3 322.880 4.488 20 0 DIADHN Clc1ccc(C2=CCN(C[C@H]3CC[C@@H](C4CC4)O3)CC2)cc1 ZINC001559618331 1059447508 /nfs/dbraw/zinc/44/75/08/1059447508.db2.gz AZUDPHUACVXHQT-MOPGFXCFSA-N 0 3 317.860 4.387 20 0 DIADHN Clc1ccc(C2=CCN(C[C@@H]3CC[C@H](C4CC4)O3)CC2)cc1 ZINC001559618333 1059449304 /nfs/dbraw/zinc/44/93/04/1059449304.db2.gz AZUDPHUACVXHQT-RBUKOAKNSA-N 0 3 317.860 4.387 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2)C2CCCC2)cc1 ZINC001559620065 1059450987 /nfs/dbraw/zinc/45/09/87/1059450987.db2.gz HRDGXAADMQDQGG-OAQYLSRUSA-N 0 3 322.452 4.455 20 0 DIADHN c1c2c(oc1-c1ccccc1)CN(CCC[C@@H]1CCOC1)CC2 ZINC001559633145 1059461050 /nfs/dbraw/zinc/46/10/50/1059461050.db2.gz WLFVLODNSYPBII-MRXNPFEDSA-N 0 3 311.425 4.121 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(OC)c2F)no1 ZINC001247416545 1059468730 /nfs/dbraw/zinc/46/87/30/1059468730.db2.gz ATWXHARUNOJENP-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(Cl)cnc2C)no1 ZINC001247417896 1059470225 /nfs/dbraw/zinc/47/02/25/1059470225.db2.gz TUQYBZZWJSUWAJ-LBPRGKRZSA-N 0 3 322.840 4.276 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(F)cc(F)c2)no1 ZINC001247418979 1059470502 /nfs/dbraw/zinc/47/05/02/1059470502.db2.gz QBUWTJNYIJTBIQ-NSHDSACASA-N 0 3 309.360 4.198 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(F)c2F)no1 ZINC001247418266 1059470628 /nfs/dbraw/zinc/47/06/28/1059470628.db2.gz IFOHVOOPRCRJCX-NSHDSACASA-N 0 3 309.360 4.198 20 0 DIADHN C[C@@H](CCc1ccccc1)N1CCO[C@](C)(c2ccccc2)C1 ZINC001559646525 1059470856 /nfs/dbraw/zinc/47/08/56/1059470856.db2.gz MTVCRIWJRKPSRT-RXVVDRJESA-N 0 3 309.453 4.255 20 0 DIADHN Cc1cccc(CCCN2CCO[C@](C)(c3ccccc3)C2)c1 ZINC001559646166 1059471181 /nfs/dbraw/zinc/47/11/81/1059471181.db2.gz DRMNQONTFJLDFI-NRFANRHFSA-N 0 3 309.453 4.175 20 0 DIADHN COC(=O)CN(CC1CCC(C(C)(C)C)CC1)C1CCCC1 ZINC001559647243 1059473294 /nfs/dbraw/zinc/47/32/94/1059473294.db2.gz CLCKITHRCXEZSI-UHFFFAOYSA-N 0 3 309.494 4.257 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H]2CCC2CCCC2)c1 ZINC001559652648 1059481430 /nfs/dbraw/zinc/48/14/30/1059481430.db2.gz QHAMWFNCBUHMKV-IBGZPJMESA-N 0 3 314.473 4.368 20 0 DIADHN CN1CCN(Cc2ccc(Cl)c3ccccc23)C(C)(C)C1 ZINC001559654339 1059482452 /nfs/dbraw/zinc/48/24/52/1059482452.db2.gz HCJNVEXELFODTD-UHFFFAOYSA-N 0 3 302.849 4.019 20 0 DIADHN CC(C)(C)c1nc(CN2CCC[C@H]2CCC2CCCCC2)n[nH]1 ZINC001559652425 1059482619 /nfs/dbraw/zinc/48/26/19/1059482619.db2.gz LKSFNDKTLXQPFV-INIZCTEOSA-N 0 3 318.509 4.427 20 0 DIADHN CC(=O)OC1CCN(Cc2cc(Cl)cc3ccccc32)CC1 ZINC001559655275 1059485346 /nfs/dbraw/zinc/48/53/46/1059485346.db2.gz SADIHVXASMRXRB-UHFFFAOYSA-N 0 3 317.816 4.021 20 0 DIADHN CC(C)OCCN1CCc2ccccc2[C@@H](c2ccccc2)[C@@H]1C ZINC001559661354 1059493588 /nfs/dbraw/zinc/49/35/88/1059493588.db2.gz UCWWZGXPLZHXHE-PGRDOPGGSA-N 0 3 323.480 4.490 20 0 DIADHN CCC[C@H](C)CCCN1CCc2ccc(C(=O)OC)cc2C1 ZINC001559674754 1059502997 /nfs/dbraw/zinc/50/29/97/1059502997.db2.gz SCNZDOSNHFESHH-HNNXBMFYSA-N 0 3 303.446 4.048 20 0 DIADHN CCC[C@H](NC[C@@H](O)CC1CCCC1)c1ccc(F)cc1F ZINC001559681868 1059509911 /nfs/dbraw/zinc/50/99/11/1059509911.db2.gz SGBSOCXZHLHSAE-YJBOKZPZSA-N 0 3 311.416 4.337 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccc(F)cc2Cl)CC12CCC2 ZINC001559682218 1059510758 /nfs/dbraw/zinc/51/07/58/1059510758.db2.gz BVHVFCZXTPVRHW-MLGOLLRUSA-N 0 3 311.828 4.025 20 0 DIADHN Fc1ccc(C2(NCCSc3ccncc3)CCC2)c(F)c1 ZINC001559695484 1059522473 /nfs/dbraw/zinc/52/24/73/1059522473.db2.gz ZWGCNZYSQVBRNS-UHFFFAOYSA-N 0 3 320.408 4.121 20 0 DIADHN CC[C@H](NCC(=O)Nc1cccc(C)c1)c1cccc(Cl)c1 ZINC001559698418 1059526142 /nfs/dbraw/zinc/52/61/42/1059526142.db2.gz XEXUXOFXHLHVPM-KRWDZBQOSA-N 0 3 316.832 4.328 20 0 DIADHN CCCCC[C@H](C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001247542988 1059528002 /nfs/dbraw/zinc/52/80/02/1059528002.db2.gz QGWYJYVPWOFAHR-AWEZNQCLSA-N 0 3 301.434 4.482 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2cc(F)ccc2C)cc1OC ZINC001559703106 1059529690 /nfs/dbraw/zinc/52/96/90/1059529690.db2.gz MYXJGVFTYDAWOD-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN c1ccc(C[C@@H]2CN([C@H]3CCCc4ccccc43)CCO2)cc1 ZINC001559705969 1059531816 /nfs/dbraw/zinc/53/18/16/1059531816.db2.gz DMQVGRCBVDDEGL-CTNGQTDRSA-N 0 3 307.437 4.008 20 0 DIADHN CCCCCC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001532159622 1059539139 /nfs/dbraw/zinc/53/91/39/1059539139.db2.gz VNWBRAPSLLEZDZ-QGZVFWFLSA-N 0 3 324.896 4.419 20 0 DIADHN CC(C)(C)[C@@H]1CCN(C/C=C/c2ccc(Cl)cc2)C[C@H]1O ZINC001559715487 1059541201 /nfs/dbraw/zinc/54/12/01/1059541201.db2.gz CREPEEJLQUWAEM-ADDHZHGLSA-N 0 3 307.865 4.082 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)OC(F)(F)O3)s1 ZINC001559724006 1059553605 /nfs/dbraw/zinc/55/36/05/1059553605.db2.gz MOLFQASRDJABRL-JTQLQIEISA-N 0 3 311.353 4.229 20 0 DIADHN CC(C)c1ccc(CC2CCN(Cc3cccnc3N)CC2)cc1 ZINC001559723427 1059553750 /nfs/dbraw/zinc/55/37/50/1059553750.db2.gz UUTFYHWVHCJLNC-UHFFFAOYSA-N 0 3 323.484 4.242 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC[C@H](CC(=O)OC(C)C)C1 ZINC001559723633 1059554478 /nfs/dbraw/zinc/55/44/78/1059554478.db2.gz WWJSBZBFXRBBAE-CRAIPNDOSA-N 0 3 321.436 4.331 20 0 DIADHN C[C@H](NCC1(O)CCCCCC1)c1nc(C(C)(C)C)cs1 ZINC001559724806 1059555032 /nfs/dbraw/zinc/55/50/32/1059555032.db2.gz HRJZZWKEHRSLBZ-ZDUSSCGKSA-N 0 3 310.507 4.177 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCOCCC(C)C)c(C)c2c1 ZINC001559725240 1059559497 /nfs/dbraw/zinc/55/94/97/1059559497.db2.gz QVTCVYOHAIOCKV-OAHLLOKOSA-N 0 3 319.445 4.463 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc([Si](C)(C)C)cc1 ZINC001559740793 1059572544 /nfs/dbraw/zinc/57/25/44/1059572544.db2.gz DKRAMKHOVXQSIF-OAHLLOKOSA-N 0 3 312.533 4.040 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(F)c2cccnc12 ZINC001559740981 1059574117 /nfs/dbraw/zinc/57/41/17/1059574117.db2.gz GVUWAPZZNRMZRM-ZDUSSCGKSA-N 0 3 309.388 4.182 20 0 DIADHN Cc1cc(CN[C@@H](CN2CCCCC2)c2ccccc2)cs1 ZINC001559742543 1059575621 /nfs/dbraw/zinc/57/56/21/1059575621.db2.gz PTXLNTAXZBONFY-IBGZPJMESA-N 0 3 314.498 4.373 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN([C@H](C)c2cccc(C)c2)C1 ZINC001559754531 1059587234 /nfs/dbraw/zinc/58/72/34/1059587234.db2.gz AJYGLPRSUJFTLR-UKRRQHHQSA-N 0 3 301.352 4.099 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccs1)C(=O)Nc1ccc(C)cc1 ZINC001559755062 1059590930 /nfs/dbraw/zinc/59/09/30/1059590930.db2.gz QIPHXYJIFOQLAO-DZGCQCFKSA-N 0 3 302.443 4.124 20 0 DIADHN O=C(CN[C@H]1CCC[C@@H]1Cc1ccccc1)c1ccccc1F ZINC001559758536 1059591837 /nfs/dbraw/zinc/59/18/37/1059591837.db2.gz FCTXJIKFBSTKJD-APWZRJJASA-N 0 3 311.400 4.009 20 0 DIADHN CC(C)c1nsc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)n1 ZINC001559758849 1059594708 /nfs/dbraw/zinc/59/47/08/1059594708.db2.gz KDFBIHQOYZKPMN-HZPDHXFCSA-N 0 3 315.486 4.163 20 0 DIADHN CO[C@H](CN1CCc2cc(F)cc(C)c2C1)c1ccc(F)cc1 ZINC001559784761 1059617554 /nfs/dbraw/zinc/61/75/54/1059617554.db2.gz GGSNCLDJLBDCLT-LJQANCHMSA-N 0 3 317.379 4.019 20 0 DIADHN COc1cc(CN[C@H]2CC(C)(C)CCc3ccccc32)sn1 ZINC001559782462 1059619337 /nfs/dbraw/zinc/61/93/37/1059619337.db2.gz PBGRBIAAMFUCMD-INIZCTEOSA-N 0 3 316.470 4.345 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(c2ccc(F)c(OC)c2)CC1 ZINC001559788157 1059621805 /nfs/dbraw/zinc/62/18/05/1059621805.db2.gz WTZLKDGDTBWQKU-MRXNPFEDSA-N 0 3 322.468 4.173 20 0 DIADHN COc1cncc(/C=C/CCN(C)Cc2cc(C)ccc2F)c1 ZINC001559795921 1059627836 /nfs/dbraw/zinc/62/78/36/1059627836.db2.gz UEGRSNJXUBVWMR-GQCTYLIASA-N 0 3 314.404 4.073 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3nc4ccccc4n3C)C2)cc1 ZINC001559797966 1059633326 /nfs/dbraw/zinc/63/33/26/1059633326.db2.gz FEEUSWNIUXGNJV-FUHWJXTLSA-N 0 3 319.452 4.260 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3nc4ccccc4n3C)C2)cc1 ZINC001559797967 1059635334 /nfs/dbraw/zinc/63/53/34/1059635334.db2.gz FEEUSWNIUXGNJV-SJLPKXTDSA-N 0 3 319.452 4.260 20 0 DIADHN C[C@H](CN1CCCC[C@@H]1C(=O)OCc1ccccc1)C(C)(C)C ZINC001559804258 1059640973 /nfs/dbraw/zinc/64/09/73/1059640973.db2.gz FRPYHOHQBJFFPM-SJLPKXTDSA-N 0 3 317.473 4.267 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C)[C@@H](C)C2CCCCC2)c1 ZINC001559806454 1059642897 /nfs/dbraw/zinc/64/28/97/1059642897.db2.gz WSMAMYVPUIDDOI-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2c(F)cccc2F)C12CCCCC2 ZINC001559810922 1059647256 /nfs/dbraw/zinc/64/72/56/1059647256.db2.gz AOBDQMYSHRCHKS-SJORKVTESA-N 0 3 309.400 4.182 20 0 DIADHN CCCC[C@H](C(=O)N(CCN(C)C)Cc1ccccc1)C(C)C ZINC001524834195 1059647404 /nfs/dbraw/zinc/64/74/04/1059647404.db2.gz ZUYJZXKBDNNXGS-IBGZPJMESA-N 0 3 318.505 4.039 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCCN(c2ccccc2F)CC1 ZINC001559814388 1059649896 /nfs/dbraw/zinc/64/98/96/1059649896.db2.gz IHZPYHWQNRWDMI-HNNXBMFYSA-N 0 3 316.395 4.238 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@H]1[C@@H]1CCCO1 ZINC001559833934 1059665268 /nfs/dbraw/zinc/66/52/68/1059665268.db2.gz PZEGSWIDMSVWLR-HOTGVXAUSA-N 0 3 314.256 4.179 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc(C)c(C(C)(C)C)s2)C12CCC2 ZINC001559845738 1059674159 /nfs/dbraw/zinc/67/41/59/1059674159.db2.gz QCPIPSUBIPNVKZ-UONOGXRCSA-N 0 3 322.518 4.186 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)cc(Cl)c2F)[C@H]2CCO[C@H]21 ZINC001559850117 1059676086 /nfs/dbraw/zinc/67/60/86/1059676086.db2.gz QFIQKPBFVVXJIA-LERXQTSPSA-N 0 3 318.219 4.036 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCOC[C@@H](C2CCC2)C1 ZINC001559862662 1059682339 /nfs/dbraw/zinc/68/23/39/1059682339.db2.gz XNNLXYLTZBPSNN-IBGZPJMESA-N 0 3 317.473 4.067 20 0 DIADHN C[C@H](NC[C@@H](O)[C@@H](C)c1ccccc1)c1ccccc1Cl ZINC001559862882 1059682668 /nfs/dbraw/zinc/68/26/68/1059682668.db2.gz FVNIXFGWUNVNSD-SUNYJGFJSA-N 0 3 303.833 4.155 20 0 DIADHN CCC[C@@H](C)CCCN1[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]1C ZINC001559861231 1059683695 /nfs/dbraw/zinc/68/36/95/1059683695.db2.gz CUFQVPZYGGHTJF-ZACQAIPSSA-N 0 3 310.526 4.170 20 0 DIADHN COc1cccc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)c1 ZINC001559863819 1059686559 /nfs/dbraw/zinc/68/65/59/1059686559.db2.gz KZGGCLWOZKDLSA-SFHVURJKSA-N 0 3 317.816 4.002 20 0 DIADHN CCCC[C@H](C(=O)N(CCN(C)C)Cc1ccsc1)C(C)C ZINC001524972828 1059699966 /nfs/dbraw/zinc/69/99/66/1059699966.db2.gz NAYLBVBSPYMUJK-KRWDZBQOSA-N 0 3 324.534 4.101 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C(C)(C)C)C[C@@H]2C)c(C)o1 ZINC001559880078 1059701626 /nfs/dbraw/zinc/70/16/26/1059701626.db2.gz XIQZOWBMLAONCS-SWLSCSKDSA-N 0 3 307.434 4.021 20 0 DIADHN CCSc1ccccc1CN1C[C@@H](O)C[C@H]1c1ccccc1 ZINC001559901113 1059717881 /nfs/dbraw/zinc/71/78/81/1059717881.db2.gz IUERVWKPSMHUKC-ROUUACIJSA-N 0 3 313.466 4.107 20 0 DIADHN Cc1ccc(CN2CCC(Cc3c(F)cccc3F)CC2)cn1 ZINC001559903758 1059722717 /nfs/dbraw/zinc/72/27/17/1059722717.db2.gz KTCFWYKPANHAQI-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Fc1ccc(F)c(C[C@H]2CCCN2CCOC2CCCCC2)c1 ZINC001559920637 1059733668 /nfs/dbraw/zinc/73/36/68/1059733668.db2.gz QRDQUFQTZYCKFI-QGZVFWFLSA-N 0 3 323.427 4.321 20 0 DIADHN COC(=O)c1sccc1CN1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC001559935414 1059749313 /nfs/dbraw/zinc/74/93/13/1059749313.db2.gz ITAKIWBGQAZQOB-ZFWWWQNUSA-N 0 3 321.486 4.183 20 0 DIADHN Cn1c2ccccc2nc1CN1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC001559935779 1059750762 /nfs/dbraw/zinc/75/07/62/1059750762.db2.gz TUBPNRQXXYIVJP-HZPDHXFCSA-N 0 3 311.473 4.222 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1cccc(F)c1)c1ccccc1F ZINC001559951990 1059760344 /nfs/dbraw/zinc/76/03/44/1059760344.db2.gz VZNVKSOJUUDYRV-QGZVFWFLSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CC2CCCC2)c(C)c1 ZINC001559952133 1059761522 /nfs/dbraw/zinc/76/15/22/1059761522.db2.gz ATRDJLDFYWQLOH-SFHVURJKSA-N 0 3 314.473 4.287 20 0 DIADHN COC(=O)c1cc(CNC2(c3ccccc3C)CCC2)ccc1C ZINC001559963017 1059767951 /nfs/dbraw/zinc/76/79/51/1059767951.db2.gz GETXPEDUWHQOHA-UHFFFAOYSA-N 0 3 323.436 4.259 20 0 DIADHN CC(C)CCOCCN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001559965785 1059773914 /nfs/dbraw/zinc/77/39/14/1059773914.db2.gz KRKVGKJKDSRTAW-HNNXBMFYSA-N 0 3 309.881 4.364 20 0 DIADHN CCc1ccccc1CN1CCC2(CC1)C(F)(F)C2(F)F ZINC001559970089 1059775359 /nfs/dbraw/zinc/77/53/59/1059775359.db2.gz BAPUIFNMPCMDKS-UHFFFAOYSA-N 0 3 301.327 4.115 20 0 DIADHN Clc1ccc(-c2cc(CN3C[C@H]4CCCC[C@H]43)on2)cc1 ZINC001559970616 1059776778 /nfs/dbraw/zinc/77/67/78/1059776778.db2.gz BJVLAJRECRTFGY-CXAGYDPISA-N 0 3 302.805 4.369 20 0 DIADHN CCc1ccc(CN(C)[C@H](C)CSc2ccccc2)nc1 ZINC001559973396 1059779969 /nfs/dbraw/zinc/77/99/69/1059779969.db2.gz JVFMTOVZEROHNB-OAHLLOKOSA-N 0 3 300.471 4.257 20 0 DIADHN CC1(C)CCC[C@@H](CN2CCS[C@@H](c3ccccc3)C2)O1 ZINC001559974205 1059781088 /nfs/dbraw/zinc/78/10/88/1059781088.db2.gz TUCAOFCOBIMLFV-DLBZAZTESA-N 0 3 305.487 4.124 20 0 DIADHN CC1(C)CCC[C@H](CN2CCS[C@H](c3ccccc3)C2)O1 ZINC001559974208 1059781162 /nfs/dbraw/zinc/78/11/62/1059781162.db2.gz TUCAOFCOBIMLFV-SJORKVTESA-N 0 3 305.487 4.124 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCS[C@H](c2ccccc2)C1 ZINC001559974168 1059781290 /nfs/dbraw/zinc/78/12/90/1059781290.db2.gz RJFDGIZUBMXPOI-QRQLOZEOSA-N 0 3 319.514 4.372 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCc2oc(-c3ccccc3)cc2C1 ZINC001559975469 1059786465 /nfs/dbraw/zinc/78/64/65/1059786465.db2.gz PRDOBPYNFKSMQD-SFHVURJKSA-N 0 3 313.441 4.366 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1nc(Cl)ccc1Cl ZINC001559983948 1059789617 /nfs/dbraw/zinc/78/96/17/1059789617.db2.gz KLCVIQKTBUDOIG-IINYFYTJSA-N 0 3 307.224 4.206 20 0 DIADHN COC(=O)[C@@H](c1ccccc1C)N1CCC(C)(C)CC(C)(C)C1 ZINC001559986927 1059795304 /nfs/dbraw/zinc/79/53/04/1059795304.db2.gz AQKRYLPJBPBAAZ-QGZVFWFLSA-N 0 3 317.473 4.357 20 0 DIADHN CCSCCN[C@H](C)c1cc(F)c(Br)cc1F ZINC001560009496 1059815408 /nfs/dbraw/zinc/81/54/08/1059815408.db2.gz YBPZEXITBOKFCB-MRVPVSSYSA-N 0 3 324.234 4.131 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001560013443 1059820047 /nfs/dbraw/zinc/82/00/47/1059820047.db2.gz UAIXCJSNOMBGSF-XUJVJEKNSA-N 0 3 316.272 4.443 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4c(c3)CCC4)CC2)c(C)c1 ZINC001560019276 1059829366 /nfs/dbraw/zinc/82/93/66/1059829366.db2.gz WARFLQJPRXCYGY-UHFFFAOYSA-N 0 3 320.480 4.114 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H]3C[C@@H]3C2)c(OCc2ccccc2)n1 ZINC001560018945 1059830249 /nfs/dbraw/zinc/83/02/49/1059830249.db2.gz LNAUBWROLDAISR-RTBURBONSA-N 0 3 322.452 4.119 20 0 DIADHN CCCCC[C@H]1CCCCN1Cc1csc(C(=O)OC)c1 ZINC001560017732 1059830392 /nfs/dbraw/zinc/83/03/92/1059830392.db2.gz RYPNDSPIDMWSJX-HNNXBMFYSA-N 0 3 309.475 4.470 20 0 DIADHN Fc1ccc([C@@H]2CCCN(C[C@H]3CCC4(CCC4)O3)C2)cc1F ZINC001560022984 1059833780 /nfs/dbraw/zinc/83/37/80/1059833780.db2.gz KGLSNKHNRGLZHY-HZPDHXFCSA-N 0 3 321.411 4.246 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cc(Cl)cn3ccnc13)CC2 ZINC001248451754 1059839366 /nfs/dbraw/zinc/83/93/66/1059839366.db2.gz KGVYCNADNRCFRE-OAHLLOKOSA-N 0 3 321.827 4.092 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](C3CCCCC3)C2)c1 ZINC001560024771 1059839658 /nfs/dbraw/zinc/83/96/58/1059839658.db2.gz SWHXFMBGIDWBFE-GOSISDBHSA-N 0 3 314.473 4.226 20 0 DIADHN COC1CCN(Cc2cccc(-c3ccc(Cl)cc3)n2)CC1 ZINC001248504631 1059857134 /nfs/dbraw/zinc/85/71/34/1059857134.db2.gz LKNCCTSCVXRYKC-UHFFFAOYSA-N 0 3 316.832 4.013 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1c2ccoc2ccc1F ZINC001248545439 1059877791 /nfs/dbraw/zinc/87/77/91/1059877791.db2.gz BIADZDAGNVLEBM-UHFFFAOYSA-N 0 3 301.336 4.386 20 0 DIADHN COc1cccc2c1CN(Cc1cccc3nc(C)ccc13)CC2 ZINC001248551213 1059882050 /nfs/dbraw/zinc/88/20/50/1059882050.db2.gz WYGZQYZKDOUBAI-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN Cc1cncc([C@H]2CCCN2CCc2c(F)cccc2F)c1 ZINC001560086032 1059897802 /nfs/dbraw/zinc/89/78/02/1059897802.db2.gz SMSISYAGZABFHI-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CO[C@H](CN1CCc2ccc(Cl)c(C)c2C1)C1CCCC1 ZINC001560089319 1059900550 /nfs/dbraw/zinc/90/05/50/1059900550.db2.gz IGBPFWHRGZYZHL-GOSISDBHSA-N 0 3 307.865 4.212 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CCN(C)c2ncccc2C1 ZINC001560094349 1059902498 /nfs/dbraw/zinc/90/24/98/1059902498.db2.gz MRWHQUVYQUTNCK-MRXNPFEDSA-N 0 3 317.436 4.248 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2ccccc2CF)CC1 ZINC001560106177 1059911912 /nfs/dbraw/zinc/91/19/12/1059911912.db2.gz DWDVOTDQXFUYHZ-QGZVFWFLSA-N 0 3 312.432 4.035 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3nc(C)cs3)C2(C)C)c1 ZINC001560111947 1059915944 /nfs/dbraw/zinc/91/59/44/1059915944.db2.gz MGAFXNFEEJMCPG-MRXNPFEDSA-N 0 3 316.470 4.228 20 0 DIADHN C[C@@H]1CN(CC(=O)N[C@H](c2cccs2)C(C)(C)C)C(C)(C)C1 ZINC001560107430 1059916580 /nfs/dbraw/zinc/91/65/80/1059916580.db2.gz QNKWAINYNTWQGU-XJKSGUPXSA-N 0 3 322.518 4.072 20 0 DIADHN COc1c(CN(C)CC2CC(F)(F)C2)ccc(Cl)c1Cl ZINC001248641831 1059921349 /nfs/dbraw/zinc/92/13/49/1059921349.db2.gz SIDAYRBXZGKZFX-UHFFFAOYSA-N 0 3 324.198 4.479 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CC3)C2)cc(OC(C)C)c1 ZINC001248650404 1059925191 /nfs/dbraw/zinc/92/51/91/1059925191.db2.gz MUEJITKTLQZZLW-UHFFFAOYSA-N 0 3 303.446 4.247 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)C[C@@H](C)C(C)(C)C ZINC001560128123 1059929381 /nfs/dbraw/zinc/92/93/81/1059929381.db2.gz BMTNDGYHDQZCRP-GFCCVEGCSA-N 0 3 310.869 4.201 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H]([C@@H]3CCCO3)C2)c1 ZINC001560130633 1059935111 /nfs/dbraw/zinc/93/51/11/1059935111.db2.gz LCBCPINFVVZXNX-CJNGLKHVSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1noc2ccc(CN3CC[C@H](Oc4ccccc4C)C3)cc12 ZINC001248702355 1059943536 /nfs/dbraw/zinc/94/35/36/1059943536.db2.gz HTHNOAVRIVJFIP-KRWDZBQOSA-N 0 3 322.408 4.098 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc3onc(C)c3c2)c1 ZINC001248706509 1059943735 /nfs/dbraw/zinc/94/37/35/1059943735.db2.gz WHILBDLLXQIABG-LJQANCHMSA-N 0 3 322.408 4.482 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@H](Cc2nccs2)C1 ZINC001560155938 1059950490 /nfs/dbraw/zinc/95/04/90/1059950490.db2.gz YKOCZBAQRQEABD-CQSZACIVSA-N 0 3 304.434 4.045 20 0 DIADHN CCC[C@H]1CCc2ccccc2N1C(=O)[C@@H]1CCCN1C(C)C ZINC001533637771 1059952271 /nfs/dbraw/zinc/95/22/71/1059952271.db2.gz GRBKPKIHIIMGMI-HKUYNNGSSA-N 0 3 314.473 4.007 20 0 DIADHN CCC[C@@H]1CCc2ccccc2N1C(=O)[C@@H]1CCCN1C(C)C ZINC001533637799 1059952478 /nfs/dbraw/zinc/95/24/78/1059952478.db2.gz GRBKPKIHIIMGMI-MJGOQNOKSA-N 0 3 314.473 4.007 20 0 DIADHN CC[C@@H](NCCN(C)c1ccccc1)c1cc(F)ccc1OC ZINC001560158494 1059954310 /nfs/dbraw/zinc/95/43/10/1059954310.db2.gz MYHWPZVEOFCDGT-GOSISDBHSA-N 0 3 316.420 4.011 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1cccc(Cl)c1)c1ccc(F)cc1 ZINC001248724691 1059956485 /nfs/dbraw/zinc/95/64/85/1059956485.db2.gz CHSOASFEJFOYNY-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CC(C)OCCN1CC[C@H](c2cc(F)c(F)c(F)c2)C1(C)C ZINC001560164092 1059957185 /nfs/dbraw/zinc/95/71/85/1059957185.db2.gz KXTACPQPXQLHAE-CYBMUJFWSA-N 0 3 315.379 4.097 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2[C@H](C)c2ccccc2)cc1 ZINC001560163229 1059957783 /nfs/dbraw/zinc/95/77/83/1059957783.db2.gz LIDHVKUNKRCJHH-YLJYHZDGSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(-c2ccn(C)n2)cc1 ZINC001248765566 1059969917 /nfs/dbraw/zinc/96/99/17/1059969917.db2.gz JCANSIOPRMKNQO-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3ccn(C)n3)cc2)cc1 ZINC001248765503 1059971354 /nfs/dbraw/zinc/97/13/54/1059971354.db2.gz HJANKRUWLXTODB-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN C[C@H]1CN(Cc2ncc(C(F)(F)F)cc2F)CCC[C@@H]1C ZINC001248786896 1059977277 /nfs/dbraw/zinc/97/72/77/1059977277.db2.gz IQCQXMFFUVMZKP-QWRGUYRKSA-N 0 3 304.331 4.108 20 0 DIADHN Cc1cc(CN2CCC(Oc3cccc(F)c3)CC2)cs1 ZINC001248803391 1059984448 /nfs/dbraw/zinc/98/44/48/1059984448.db2.gz WIRHYUWORIVVGN-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3cnc4ccccc4c3Cl)C[C@H]2C1 ZINC001248810969 1059986618 /nfs/dbraw/zinc/98/66/18/1059986618.db2.gz FUSZBDZOBWTTSA-TXEJJXNPSA-N 0 3 322.786 4.365 20 0 DIADHN COc1ccccc1OC1CCN(Cc2csc(C)c2)CC1 ZINC001248803580 1059987070 /nfs/dbraw/zinc/98/70/70/1059987070.db2.gz GSNWEIDPIUHCTG-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN CCCc1ccc(CN2CCC[C@@H]2c2ccc(OC)cn2)cc1 ZINC001560216254 1059991297 /nfs/dbraw/zinc/99/12/97/1059991297.db2.gz YVTHMEQTSPKOIV-HXUWFJFHSA-N 0 3 310.441 4.380 20 0 DIADHN Clc1c(CN2CC[C@H](c3ccncc3)C2)cnc2ccccc12 ZINC001248812320 1059992121 /nfs/dbraw/zinc/99/21/21/1059992121.db2.gz DXDVCFOTZFSSKJ-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN Fc1ccc(CN2CCC(Oc3ccccc3)CC2)c2[nH]ccc21 ZINC001248814971 1059994150 /nfs/dbraw/zinc/99/41/50/1059994150.db2.gz GWFMBHTVMMBJNO-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN Clc1c(CN2CC[C@@H](c3cccnc3)C2)cnc2ccccc12 ZINC001248813261 1059994429 /nfs/dbraw/zinc/99/44/29/1059994429.db2.gz PDFCJEAECRMEEE-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cnc2ccccc2c1Cl ZINC001248812474 1059994698 /nfs/dbraw/zinc/99/46/98/1059994698.db2.gz JWTCJNQAOVTNBU-HNNXBMFYSA-N 0 3 318.848 4.279 20 0 DIADHN COc1ccc2c(OC)ccc(CN(C)C3CC(F)(F)C3)c2c1 ZINC001248825687 1060001023 /nfs/dbraw/zinc/00/10/23/1060001023.db2.gz MYQSPFKYJOSEMZ-UHFFFAOYSA-N 0 3 321.367 4.087 20 0 DIADHN Cc1noc([C@@H](NC[C@@H]2CC[C@@H](c3ccccc3)C2)C(C)C)n1 ZINC001560243073 1060008273 /nfs/dbraw/zinc/00/82/73/1060008273.db2.gz NRPJKMXKAZMIRD-NXHRZFHOSA-N 0 3 313.445 4.249 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001248845070 1060015213 /nfs/dbraw/zinc/01/52/13/1060015213.db2.gz YCOFZDQWQSDHMO-NWDGAFQWSA-N 0 3 323.362 4.204 20 0 DIADHN CCN(CCNCc1cnc(C(C)C)s1)c1cccc(C)c1 ZINC001560250378 1060015687 /nfs/dbraw/zinc/01/56/87/1060015687.db2.gz PBVDHZCBCHALEL-UHFFFAOYSA-N 0 3 317.502 4.191 20 0 DIADHN CC(C)(C)c1ccc(OCCNCc2cc3cnccc3o2)cc1 ZINC001560250154 1060016223 /nfs/dbraw/zinc/01/62/23/1060016223.db2.gz DILRWWXRCOCHDU-UHFFFAOYSA-N 0 3 324.424 4.294 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCc1cccc(F)c1 ZINC001560252855 1060018736 /nfs/dbraw/zinc/01/87/36/1060018736.db2.gz PLJPNJQNLQJSLC-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2coc(-c3ccc(F)cc3)n2)CC1 ZINC001248856779 1060021704 /nfs/dbraw/zinc/02/17/04/1060021704.db2.gz UZLYFAARFYTAHA-WJYNOGRTSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H]4CCCC[C@H]4C3)co2)cc1 ZINC001248855247 1060023272 /nfs/dbraw/zinc/02/32/72/1060023272.db2.gz BUPJLCJGSWQLRQ-ZBFHGGJFSA-N 0 3 314.404 4.493 20 0 DIADHN CCc1cccc(Cl)c1CNCCN(C)c1ccccc1 ZINC001560259716 1060029064 /nfs/dbraw/zinc/02/90/64/1060029064.db2.gz ZEJFOHACAGWPQQ-UHFFFAOYSA-N 0 3 302.849 4.128 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(Cl)c(C)n3)C2)cc1 ZINC001248876089 1060030883 /nfs/dbraw/zinc/03/08/83/1060030883.db2.gz HMZIROGCCXIRLE-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1nc(CN2CCC[C@@H](c3ccccc3)C2)ccc1Cl ZINC001248873753 1060033089 /nfs/dbraw/zinc/03/30/89/1060033089.db2.gz JZHUPLITKZQFLH-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2c(C)cc(C)cc2C)c1 ZINC001560271479 1060044451 /nfs/dbraw/zinc/04/44/51/1060044451.db2.gz MKBTVIKGQAWIIP-UHFFFAOYSA-N 0 3 310.441 4.250 20 0 DIADHN CC[C@H](CNCc1cccc(C)c1F)Oc1cccc(C)c1 ZINC001560272266 1060045243 /nfs/dbraw/zinc/04/52/43/1060045243.db2.gz BOFYOOAJWAICHZ-QGZVFWFLSA-N 0 3 301.405 4.390 20 0 DIADHN CCN1CCN(c2ccc(NCC(C(C)C)C(C)C)cc2)CC1 ZINC001560279229 1060056095 /nfs/dbraw/zinc/05/60/95/1060056095.db2.gz JGRGDRPDTVIXFS-UHFFFAOYSA-N 0 3 317.521 4.169 20 0 DIADHN Cc1cc(Oc2ccc(CN[C@H](C)CO)c(Cl)c2)ccc1F ZINC001560289816 1060069445 /nfs/dbraw/zinc/06/94/45/1060069445.db2.gz SFBRMMZECJRBGM-GFCCVEGCSA-N 0 3 323.795 4.050 20 0 DIADHN CCn1cnc(Cl)c1CNCCC(C)(C)c1ccccc1 ZINC001560290122 1060069583 /nfs/dbraw/zinc/06/95/83/1060069583.db2.gz JWDICENVSNWHHO-UHFFFAOYSA-N 0 3 305.853 4.014 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)s1 ZINC001560294380 1060076491 /nfs/dbraw/zinc/07/64/91/1060076491.db2.gz XLBCKVFPJOOKHV-MSOLQXFVSA-N 0 3 301.455 4.320 20 0 DIADHN Cc1cccc(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)c1C ZINC001560295940 1060081896 /nfs/dbraw/zinc/08/18/96/1060081896.db2.gz VBMMYDGZFRBPBW-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(Br)nc2)c1 ZINC001560316851 1060104443 /nfs/dbraw/zinc/10/44/43/1060104443.db2.gz PTQCMZXYOBYFRB-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc3c(s2)C[C@H](C)CC3)s1 ZINC001560342211 1060128385 /nfs/dbraw/zinc/12/83/85/1060128385.db2.gz KQMHSTXSKQCEIU-VXGBXAGGSA-N 0 3 320.527 4.359 20 0 DIADHN C[C@@H]1CCCN(Cc2sc(Cl)c3ccccc32)[C@H]1CO ZINC001249043057 1060129076 /nfs/dbraw/zinc/12/90/76/1060129076.db2.gz IMUBYBKATDYUSZ-RISCZKNCSA-N 0 3 309.862 4.148 20 0 DIADHN OCC[C@@H](NCc1cccc(-c2cccnc2)c1)c1cccs1 ZINC001560344961 1060131205 /nfs/dbraw/zinc/13/12/05/1060131205.db2.gz PBDNVXKEQCVHSW-GOSISDBHSA-N 0 3 324.449 4.023 20 0 DIADHN C[C@H]1CN(Cc2sc(Cl)c3ccccc32)CC[C@]1(C)O ZINC001249049349 1060132123 /nfs/dbraw/zinc/13/21/23/1060132123.db2.gz DVRKJHPMPBTIFI-ZBEGNZNMSA-N 0 3 309.862 4.148 20 0 DIADHN C[C@@H]1CCN(Cc2sc(Cl)c3ccccc32)C[C@]1(C)O ZINC001249044341 1060132162 /nfs/dbraw/zinc/13/21/62/1060132162.db2.gz RMOXRQBYPBOBIP-BZNIZROVSA-N 0 3 309.862 4.148 20 0 DIADHN O=C1CCC[C@@H]2CN(Cc3sc(Cl)c4ccccc43)C[C@@H]12 ZINC001249074654 1060145072 /nfs/dbraw/zinc/14/50/72/1060145072.db2.gz CHUNLKSIBWSUSJ-BXUZGUMPSA-N 0 3 319.857 4.356 20 0 DIADHN Cc1cc(-c2noc([C@@H]3CCCN3CC3CC3)n2)ccc1Cl ZINC001249073817 1060145672 /nfs/dbraw/zinc/14/56/72/1060145672.db2.gz WOGSWLUANHVLTB-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN Fc1cc(Cl)cc(-c2noc([C@@H]3CCCN3CC3CC3)n2)c1 ZINC001249072924 1060146626 /nfs/dbraw/zinc/14/66/26/1060146626.db2.gz NDKQZCNWYCWVTO-AWEZNQCLSA-N 0 3 321.783 4.076 20 0 DIADHN Cc1cc(Oc2ccc(CN[C@@H](C)CO)c(Cl)c2)ccc1F ZINC001560361329 1060147529 /nfs/dbraw/zinc/14/75/29/1060147529.db2.gz SFBRMMZECJRBGM-LBPRGKRZSA-N 0 3 323.795 4.050 20 0 DIADHN CC(C)C1(CNCc2cnn(Cc3ccccc3)c2Cl)CC1 ZINC001560364996 1060150748 /nfs/dbraw/zinc/15/07/48/1060150748.db2.gz HIXFXYFHOMAAFI-UHFFFAOYSA-N 0 3 317.864 4.111 20 0 DIADHN C[C@]1(CNCc2ccc(Cl)cc2Cl)CCCC[C@@H]1O ZINC001560368080 1060155723 /nfs/dbraw/zinc/15/57/23/1060155723.db2.gz DYJGBDPVQHEDLU-LSDHHAIUSA-N 0 3 302.245 4.024 20 0 DIADHN Cc1cccc(C(NCc2cc[nH]c(=O)c2)c2cccc(C)c2)c1 ZINC001560370116 1060158008 /nfs/dbraw/zinc/15/80/08/1060158008.db2.gz VYNWZJDHCDYDLQ-UHFFFAOYSA-N 0 3 318.420 4.283 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)c3cccc(C)c3)o2)cc1 ZINC001560391657 1060175835 /nfs/dbraw/zinc/17/58/35/1060175835.db2.gz NKFOQWGROIPYOQ-HXUWFJFHSA-N 0 3 321.420 4.387 20 0 DIADHN Cc1cccc(CN[C@@H]2CCCC(F)(F)C2)c1Br ZINC001560396006 1060180368 /nfs/dbraw/zinc/18/03/68/1060180368.db2.gz JMSXQDJHQCSYCZ-GFCCVEGCSA-N 0 3 318.205 4.425 20 0 DIADHN C[C@H]1CCC[C@@H](NCc2nnc(-c3ccccc3)s2)CC1 ZINC001560394441 1060181237 /nfs/dbraw/zinc/18/12/37/1060181237.db2.gz XWRVITHUHCZWGB-DZGCQCFKSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1ccc(F)c(CNCCOCc2ccc(Cl)cc2)c1 ZINC001560398455 1060183417 /nfs/dbraw/zinc/18/34/17/1060183417.db2.gz SEUBFAFTYXKDLJ-UHFFFAOYSA-N 0 3 307.796 4.094 20 0 DIADHN Cc1cccc(C)c1OC[C@H](NCc1ccco1)C(C)(C)C ZINC001560397748 1060183643 /nfs/dbraw/zinc/18/36/43/1060183643.db2.gz KYYCFSKZPWSMCV-KRWDZBQOSA-N 0 3 301.430 4.480 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1csc(COc2ccccc2)n1 ZINC001560397504 1060183818 /nfs/dbraw/zinc/18/38/18/1060183818.db2.gz AVIPZFBVVAELGJ-WMLDXEAASA-N 0 3 316.470 4.390 20 0 DIADHN CCc1ccccc1Oc1ccc(Cl)cc1CNC[C@H](C)O ZINC001560399869 1060185823 /nfs/dbraw/zinc/18/58/23/1060185823.db2.gz FSFGPNZXWUUQGQ-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN COc1cc(CNc2cc(C)cc(C)n2)cc(C(F)(F)F)c1 ZINC001560405595 1060190994 /nfs/dbraw/zinc/19/09/94/1060190994.db2.gz INBWWWUNPBARIH-UHFFFAOYSA-N 0 3 310.319 4.338 20 0 DIADHN Fc1ccc(Oc2cccc(Cl)c2)c(CN[C@H]2CCOC2)c1 ZINC001560411239 1060195465 /nfs/dbraw/zinc/19/54/65/1060195465.db2.gz SUXGNGSYFOXTMV-HNNXBMFYSA-N 0 3 321.779 4.150 20 0 DIADHN Cc1cc(CNCc2cccc(C(=O)OC(C)(C)C)c2)cs1 ZINC001560416324 1060200378 /nfs/dbraw/zinc/20/03/78/1060200378.db2.gz QEGLQNGNPCSECM-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN COc1cccc(CNC/C=C/c2ccccc2)c1OC(F)F ZINC001560417291 1060202690 /nfs/dbraw/zinc/20/26/90/1060202690.db2.gz XTWNLZPMJBAKNJ-RMKNXTFCSA-N 0 3 319.351 4.100 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CCc3ccccc3C2)s1 ZINC001560420422 1060204322 /nfs/dbraw/zinc/20/43/22/1060204322.db2.gz DUHHRRCSNGTWDD-AWEZNQCLSA-N 0 3 314.498 4.335 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cc3ccc(F)cc3[nH]c2=O)cc1 ZINC001560426080 1060213129 /nfs/dbraw/zinc/21/31/29/1060213129.db2.gz PNEPCRSSFVMPAS-CYBMUJFWSA-N 0 3 324.399 4.493 20 0 DIADHN CCC(C)(C)CNCc1csc(-c2ccc(OC)cc2)n1 ZINC001560439285 1060226227 /nfs/dbraw/zinc/22/62/27/1060226227.db2.gz FFXIREUJEQRYTK-UHFFFAOYSA-N 0 3 304.459 4.345 20 0 DIADHN c1c(CNC2CCC3(CCCCC3)CC2)nn2c1CCCC2 ZINC001560441060 1060229115 /nfs/dbraw/zinc/22/91/15/1060229115.db2.gz OQPATDUGPCCGPS-UHFFFAOYSA-N 0 3 301.478 4.202 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H](NCc2cn(C(C)(C)C)nn2)CC1 ZINC001560441956 1060230586 /nfs/dbraw/zinc/23/05/86/1060230586.db2.gz IEVSROPXSAFPIF-HUUCEWRRSA-N 0 3 306.498 4.118 20 0 DIADHN CC(C)C(C)(C)CNCc1nc(Cl)ccc1Br ZINC001560442934 1060232244 /nfs/dbraw/zinc/23/22/44/1060232244.db2.gz GAGSDROZEDGNOX-UHFFFAOYSA-N 0 3 319.674 4.269 20 0 DIADHN CCC(O)(CC)CCNCc1cc2cccc(C)c2nc1Cl ZINC001560441192 1060232303 /nfs/dbraw/zinc/23/23/03/1060232303.db2.gz SXUDCKMXFSIPIK-UHFFFAOYSA-N 0 3 320.864 4.227 20 0 DIADHN CC(C)C(C)(C)CNCc1c(F)cccc1OC(F)(F)F ZINC001560443276 1060232455 /nfs/dbraw/zinc/23/24/55/1060232455.db2.gz WJQYNQUXTPQYKS-UHFFFAOYSA-N 0 3 307.331 4.496 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCC2(CCCCC2)CC1 ZINC001249402627 1060236526 /nfs/dbraw/zinc/23/65/26/1060236526.db2.gz OVGKJXVHFQVJPJ-MRXNPFEDSA-N 0 3 303.494 4.480 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN(C)CCc1ccccc1Cl ZINC001249407132 1060238455 /nfs/dbraw/zinc/23/84/55/1060238455.db2.gz BBWNXUCVVVSKHB-AWEZNQCLSA-N 0 3 319.880 4.262 20 0 DIADHN COc1ccc2[nH]c(CN(C)C(C)(C)Cc3ccccc3)nc2c1 ZINC001249414942 1060241857 /nfs/dbraw/zinc/24/18/57/1060241857.db2.gz CUGIMZNBFZWRAF-UHFFFAOYSA-N 0 3 323.440 4.025 20 0 DIADHN COc1ccc2nc(CN3CCC[C@@H]3c3ccccc3C)[nH]c2c1 ZINC001249412335 1060242675 /nfs/dbraw/zinc/24/26/75/1060242675.db2.gz DLSPQDJKBMZPCP-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN CCc1cccc2cc(CNC[C@@H](O)c3ccc(C)cc3)oc21 ZINC001560454373 1060246174 /nfs/dbraw/zinc/24/61/74/1060246174.db2.gz UZPRFVKOTMLIJS-LJQANCHMSA-N 0 3 309.409 4.127 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@H]1CCC(F)(F)C1 ZINC001560457136 1060246687 /nfs/dbraw/zinc/24/66/87/1060246687.db2.gz SEHCUIUWMXICDA-NSHDSACASA-N 0 3 312.385 4.237 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1C[C@H](c2ccccc2F)C1 ZINC001560457282 1060247833 /nfs/dbraw/zinc/24/78/33/1060247833.db2.gz XRPNLVZIEJSARA-HDJSIYSDSA-N 0 3 317.379 4.399 20 0 DIADHN Cc1cc(CN[C@@H]2CCC2(C)C)c(Br)cc1F ZINC001560468841 1060257608 /nfs/dbraw/zinc/25/76/08/1060257608.db2.gz NLVBHHYNFDLLDO-CYBMUJFWSA-N 0 3 300.215 4.175 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1ccn(Cc2ccccc2)n1 ZINC001560468512 1060258924 /nfs/dbraw/zinc/25/89/24/1060258924.db2.gz CGOWDWWTWDPXRF-FXAWDEMLSA-N 0 3 309.457 4.013 20 0 DIADHN CC(C)(c1ccc(F)cc1)C1(NCc2ccc(Cl)cn2)CC1 ZINC001560471028 1060262123 /nfs/dbraw/zinc/26/21/23/1060262123.db2.gz NDXBOXJIUJNSKJ-UHFFFAOYSA-N 0 3 318.823 4.474 20 0 DIADHN Oc1ccc(Oc2cccc(CN3CCC(F)CC3)c2)cc1 ZINC001249501608 1060265122 /nfs/dbraw/zinc/26/51/22/1060265122.db2.gz BVCFRUYGYVFSCC-UHFFFAOYSA-N 0 3 301.361 4.118 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1ccc(-c2cccc(F)c2F)o1)OC ZINC001560479375 1060268661 /nfs/dbraw/zinc/26/86/61/1060268661.db2.gz IWJSMYZVOUCAGM-SJKOYZFVSA-N 0 3 323.383 4.376 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1cc2cccc(C)c2nc1Cl)OC ZINC001560479495 1060269087 /nfs/dbraw/zinc/26/90/87/1060269087.db2.gz OUKOVPXXNYKGPB-WBMJQRKESA-N 0 3 320.864 4.347 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CCC[C@@H](C)[C@@H](C)C2)cn1 ZINC001249512516 1060270951 /nfs/dbraw/zinc/27/09/51/1060270951.db2.gz SIQWTNZXOLYCJX-NEPJUHHUSA-N 0 3 300.368 4.277 20 0 DIADHN CC[C@H]1CCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249519134 1060271790 /nfs/dbraw/zinc/27/17/90/1060271790.db2.gz MERUYKDPWQJSMM-LBPRGKRZSA-N 0 3 322.250 4.090 20 0 DIADHN CC1(C)CCCC[C@H]1CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001534449369 1060274404 /nfs/dbraw/zinc/27/44/04/1060274404.db2.gz ZLKDNEYUTIMSLM-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1cc(F)cc2cc[nH]c21 ZINC001249525483 1060275041 /nfs/dbraw/zinc/27/50/41/1060275041.db2.gz PWQOOFPZMUKMGH-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN CC1(C)CCCC[C@@H]1CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001534449370 1060275338 /nfs/dbraw/zinc/27/53/38/1060275338.db2.gz ZLKDNEYUTIMSLM-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CC/C=C\CNCc1ccc(Br)cc1SC ZINC001560485215 1060276632 /nfs/dbraw/zinc/27/66/32/1060276632.db2.gz NJGKVJRRQVWLQZ-PLNGDYQASA-N 0 3 300.265 4.227 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H](O)c1ccc(Cl)cc1 ZINC001560485951 1060277140 /nfs/dbraw/zinc/27/71/40/1060277140.db2.gz MYFBMVCEXJEXEP-QGZVFWFLSA-N 0 3 324.251 4.379 20 0 DIADHN Cc1scc(CN[C@H](CCO)c2ccc(Cl)cc2)c1C ZINC001560489853 1060281780 /nfs/dbraw/zinc/28/17/80/1060281780.db2.gz DENIIHSVDUAFFR-MRXNPFEDSA-N 0 3 309.862 4.232 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@@H]1NC/C=C\c1ccc(F)cc1F ZINC001560492516 1060288993 /nfs/dbraw/zinc/28/89/93/1060288993.db2.gz YSHMRYDFXNMXLQ-BFCFHPCHSA-N 0 3 301.336 4.090 20 0 DIADHN Cc1cc(NC2=CC[C@@H](N3CCOCC3)CC2)ccc1C(C)C ZINC001249559945 1060290249 /nfs/dbraw/zinc/29/02/49/1060290249.db2.gz YWVCXZGJAIOEMA-LJQANCHMSA-N 0 3 314.473 4.299 20 0 DIADHN c1ccc2cc(NC3=CC[C@H](N4CCOCC4)CC3)ccc2c1 ZINC001249559283 1060291570 /nfs/dbraw/zinc/29/15/70/1060291570.db2.gz QYCATDGTOMQXRR-FQEVSTJZSA-N 0 3 308.425 4.020 20 0 DIADHN CC(C)c1cccc(F)c1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249561730 1060292353 /nfs/dbraw/zinc/29/23/53/1060292353.db2.gz RQSPRANUMPVNKL-INIZCTEOSA-N 0 3 318.436 4.130 20 0 DIADHN c1cc2cc(NC3=CC[C@@H](N4CCOCC4)CC3)ccc2s1 ZINC001249567428 1060294996 /nfs/dbraw/zinc/29/49/96/1060294996.db2.gz KJVHCEFJFYUGHS-QGZVFWFLSA-N 0 3 314.454 4.082 20 0 DIADHN Cn1ncc(CN[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)c1Cl ZINC001560505203 1060301508 /nfs/dbraw/zinc/30/15/08/1060301508.db2.gz OEBZIRLOMIRLLJ-DOMZBBRYSA-N 0 3 324.255 4.153 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@@H]1CCC[C@H](F)C1 ZINC001560515598 1060312238 /nfs/dbraw/zinc/31/22/38/1060312238.db2.gz CTQDPTIDWYDDGG-DTWKUNHWSA-N 0 3 322.168 4.098 20 0 DIADHN C[C@H](CNCc1cc(Cl)ccc1Cl)C(=O)OC(C)(C)C ZINC001560516395 1060316204 /nfs/dbraw/zinc/31/62/04/1060316204.db2.gz CREIKHMLYNEBJI-SNVBAGLBSA-N 0 3 318.244 4.061 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CNC1CC2(CCC2)C1 ZINC001560521803 1060320949 /nfs/dbraw/zinc/32/09/49/1060320949.db2.gz QKWJJNNSHSYQBQ-UHFFFAOYSA-N 0 3 310.228 4.063 20 0 DIADHN Fc1ccc(CNCC2(c3ccccc3F)CCC2)c(F)c1F ZINC001560522739 1060323911 /nfs/dbraw/zinc/32/39/11/1060323911.db2.gz DIQQVRBOOYNZCA-UHFFFAOYSA-N 0 3 323.333 4.455 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)NCc1ccn(-c2ccccc2)n1 ZINC001560529504 1060328200 /nfs/dbraw/zinc/32/82/00/1060328200.db2.gz HPLCSYKHFKCILZ-SFHVURJKSA-N 0 3 323.415 4.122 20 0 DIADHN c1c(CNCCc2ccc(C3CCCCC3)cc2)nn2c1CCC2 ZINC001560532083 1060334535 /nfs/dbraw/zinc/33/45/35/1060334535.db2.gz UCGXWNAEVPXVIZ-UHFFFAOYSA-N 0 3 323.484 4.209 20 0 DIADHN Cn1c(CNC2(c3ccc(F)cc3)CCC2)cc2ccccc21 ZINC001560543480 1060353043 /nfs/dbraw/zinc/35/30/43/1060353043.db2.gz WHMXNGQIUZXNHF-UHFFFAOYSA-N 0 3 308.400 4.486 20 0 DIADHN C[C@@H](CC1CCC1)NCc1cnn(Cc2ccccc2)c1Cl ZINC001560549112 1060358125 /nfs/dbraw/zinc/35/81/25/1060358125.db2.gz QBVSHALYAGDPKQ-AWEZNQCLSA-N 0 3 317.864 4.253 20 0 DIADHN Cc1cc(CNCC(C)(C)F)cc(C)c1OCc1ccccn1 ZINC001560553143 1060364096 /nfs/dbraw/zinc/36/40/96/1060364096.db2.gz WQJHUZAKTLRJCF-UHFFFAOYSA-N 0 3 316.420 4.115 20 0 DIADHN CCSc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1 ZINC001560570913 1060381647 /nfs/dbraw/zinc/38/16/47/1060381647.db2.gz ZMGHVUROPCNXDT-UHFFFAOYSA-N 0 3 324.449 4.342 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@H]1CCCC1(F)F ZINC001560570795 1060381696 /nfs/dbraw/zinc/38/16/96/1060381696.db2.gz WAIPUGTXZUNWGG-GFCCVEGCSA-N 0 3 301.352 4.140 20 0 DIADHN CCc1ccc(CN[C@H]2CCCOc3c(OC)cccc32)s1 ZINC001560573109 1060384183 /nfs/dbraw/zinc/38/41/83/1060384183.db2.gz MLAKPAFFLHIUDP-INIZCTEOSA-N 0 3 317.454 4.323 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001560576372 1060390416 /nfs/dbraw/zinc/39/04/16/1060390416.db2.gz HTYAHJSEFDOHDL-HOCLYGCPSA-N 0 3 302.462 4.272 20 0 DIADHN CCOc1ccccc1CNC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC001560580843 1060394808 /nfs/dbraw/zinc/39/48/08/1060394808.db2.gz JAWIZQIISCVDLP-SJLPKXTDSA-N 0 3 317.379 4.224 20 0 DIADHN Fc1ccc(/C=C\CNCc2nccc3c2CCCC3)cc1F ZINC001560587810 1060400488 /nfs/dbraw/zinc/40/04/88/1060400488.db2.gz CMPAFZXHAMTBHL-ARJAWSKDSA-N 0 3 314.379 4.042 20 0 DIADHN CCCN(Cc1cc(OC)c(Cl)c(F)c1F)CC1CC1 ZINC001249788764 1060401206 /nfs/dbraw/zinc/40/12/06/1060401206.db2.gz WOEUEFITRYULSD-UHFFFAOYSA-N 0 3 303.780 4.249 20 0 DIADHN CCOc1cc(CNC(C)(C)/C=C/Cl)ccc1OC(F)F ZINC001560595311 1060406824 /nfs/dbraw/zinc/40/68/24/1060406824.db2.gz VSLWUFVGAGKOKI-BQYQJAHWSA-N 0 3 319.779 4.307 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCCc4ccccc4C3)nc2c1 ZINC001560606742 1060420088 /nfs/dbraw/zinc/42/00/88/1060420088.db2.gz AYUPQCDPNDBVOO-INIZCTEOSA-N 0 3 310.372 4.004 20 0 DIADHN Cc1ncc(CN[C@@H]2CCc3cc(F)ccc32)n1-c1ccccc1 ZINC001560609126 1060421702 /nfs/dbraw/zinc/42/17/02/1060421702.db2.gz XBTRSHRFLMQIBJ-HXUWFJFHSA-N 0 3 321.399 4.097 20 0 DIADHN CCOc1cccc(CNCc2ccc(-c3cnco3)cc2)c1 ZINC001560610581 1060423671 /nfs/dbraw/zinc/42/36/71/1060423671.db2.gz AJXVACAGVNEIAQ-UHFFFAOYSA-N 0 3 308.381 4.030 20 0 DIADHN CC1(C)C(C)(C)C1(C)NCc1ccc(OC(F)F)cc1F ZINC001560610290 1060424286 /nfs/dbraw/zinc/42/42/86/1060424286.db2.gz QSFKQLCNGKRRRQ-UHFFFAOYSA-N 0 3 301.352 4.341 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)c1ncccc1C ZINC001560617978 1060428756 /nfs/dbraw/zinc/42/87/56/1060428756.db2.gz HBDBYMVAEFPFHP-OKACTXMXSA-N 0 3 310.441 4.291 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3COC[C@H]3C3CC3)o2)cc1 ZINC001560615486 1060429456 /nfs/dbraw/zinc/42/94/56/1060429456.db2.gz RKQFUUXNXYADRE-DLBZAZTESA-N 0 3 317.816 4.115 20 0 DIADHN CCOC(=O)CN(CC(C)C)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC001560630679 1060441661 /nfs/dbraw/zinc/44/16/61/1060441661.db2.gz FYXAYHUVYBCERB-UYAOXDASSA-N 0 3 317.473 4.018 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@@H]1CC(=O)c1ccccc1 ZINC001560632172 1060443622 /nfs/dbraw/zinc/44/36/22/1060443622.db2.gz PRUZCEOSMWWZBE-LJQANCHMSA-N 0 3 311.400 4.372 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2nccn2C2CC2)cc1 ZINC001560631897 1060445280 /nfs/dbraw/zinc/44/52/80/1060445280.db2.gz LHDSPHLYWCEHNU-OALUTQOASA-N 0 3 309.457 4.295 20 0 DIADHN CCN(Cc1ccc2c(c1)OC(F)(F)O2)Cc1ccccc1C ZINC001560637776 1060450834 /nfs/dbraw/zinc/45/08/34/1060450834.db2.gz OOOCMLPWZSCOMT-UHFFFAOYSA-N 0 3 319.351 4.339 20 0 DIADHN Cc1cc(CN2CCC[C@@]3(CCCc4ccccc43)C2)cnc1F ZINC001560638598 1060456407 /nfs/dbraw/zinc/45/64/07/1060456407.db2.gz LFJKFXXJNHLTFE-NRFANRHFSA-N 0 3 324.443 4.399 20 0 DIADHN CCC(CC)N(CCOC)Cc1ccc(OC(F)F)cc1F ZINC001560642589 1060462169 /nfs/dbraw/zinc/46/21/69/1060462169.db2.gz YGSIHQUDSDNRRV-UHFFFAOYSA-N 0 3 319.367 4.064 20 0 DIADHN COc1ccc(C(=O)Cc2cccc(CN3CCCCC3)c2)cc1 ZINC001249903778 1060468599 /nfs/dbraw/zinc/46/85/99/1060468599.db2.gz ALVMLBQFJHYFGK-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CCN(Cc1nnn(-c2ccccc2)c1C1CC1)[C@H](C)C(C)C ZINC001560659589 1060484293 /nfs/dbraw/zinc/48/42/93/1060484293.db2.gz MTOFZFPRARJAAD-OAHLLOKOSA-N 0 3 312.461 4.011 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1nc2cc(F)ccc2o1 ZINC001560663714 1060489803 /nfs/dbraw/zinc/48/98/03/1060489803.db2.gz SUAWUXQEOALFDX-LLVKDONJSA-N 0 3 304.390 4.091 20 0 DIADHN CN(C)c1cc(F)cc(CN2CCC(c3cccs3)CC2)c1 ZINC001249951429 1060500815 /nfs/dbraw/zinc/50/08/15/1060500815.db2.gz CIYPYIFVFHUVFM-UHFFFAOYSA-N 0 3 318.461 4.333 20 0 DIADHN CN(C)c1cc(F)cc(CN2CC[C@H](c3ccccc3F)C2)c1 ZINC001249952116 1060501898 /nfs/dbraw/zinc/50/18/98/1060501898.db2.gz BMYPCCVFXDRKOK-HNNXBMFYSA-N 0 3 316.395 4.020 20 0 DIADHN CCOC(=O)CC(C)(C)CN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC001560674331 1060504277 /nfs/dbraw/zinc/50/42/77/1060504277.db2.gz WTIOKTZMBUFXDN-MRXNPFEDSA-N 0 3 323.864 4.456 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)c[nH]1 ZINC001560673425 1060506495 /nfs/dbraw/zinc/50/64/95/1060506495.db2.gz PGJKALLCXMYOEI-LPHOPBHVSA-N 0 3 317.436 4.455 20 0 DIADHN CCN1C[C@H](C)N(Cc2ccc(Cl)c(C)c2Cl)C[C@H]1C ZINC001560676580 1060509891 /nfs/dbraw/zinc/50/98/91/1060509891.db2.gz GWJBIZOGUSJPBS-NEPJUHHUSA-N 0 3 315.288 4.216 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CCc1ccc(F)cc1F ZINC001560675144 1060511573 /nfs/dbraw/zinc/51/15/73/1060511573.db2.gz CYKVXSWTLBPWOV-GOSISDBHSA-N 0 3 304.384 4.073 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CCC[C@@H]2CCO2)cc1 ZINC001560675791 1060512598 /nfs/dbraw/zinc/51/25/98/1060512598.db2.gz NIYAJXIDMFYDGU-CVEARBPZSA-N 0 3 324.262 4.155 20 0 DIADHN c1ccc(COc2cc(CN3CCCCC34CC4)ccn2)cc1 ZINC001249977024 1060515236 /nfs/dbraw/zinc/51/52/36/1060515236.db2.gz XYLQAIPKLMAZFM-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN c1ccc(COc2cc(CN3CCC[C@H]4CCC[C@H]43)ccn2)cc1 ZINC001249976231 1060516912 /nfs/dbraw/zinc/51/69/12/1060516912.db2.gz QLFGBPVGKXNRNJ-WOJBJXKFSA-N 0 3 322.452 4.425 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCC[C@H]1C(=O)OC(C)(C)C ZINC001560683954 1060526836 /nfs/dbraw/zinc/52/68/36/1060526836.db2.gz SLHKHBLJRHEMFL-WBVHZDCISA-N 0 3 309.494 4.399 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1C[C@@H]1C[C@H]1C ZINC001560701052 1060554432 /nfs/dbraw/zinc/55/44/32/1060554432.db2.gz ZJKLQSLKPYGJNO-XUJVJEKNSA-N 0 3 324.262 4.251 20 0 DIADHN COc1ccc(F)cc1CN(CCc1ccc(F)cc1)C1CC1 ZINC001560701359 1060559119 /nfs/dbraw/zinc/55/91/19/1060559119.db2.gz KOIDUGWMBPXJFO-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN CC(C)Cc1ccc(CN2CC[C@@H](COc3ccccc3)C2)cn1 ZINC001560706237 1060564143 /nfs/dbraw/zinc/56/41/43/1060564143.db2.gz DVLYRQFBXKVLGO-LJQANCHMSA-N 0 3 324.468 4.181 20 0 DIADHN C[C@]1(CN2CCN(c3ccccc3F)CC2)C[C@@H]1c1ccccc1 ZINC001560715420 1060572626 /nfs/dbraw/zinc/57/26/26/1060572626.db2.gz HLADXGLHZPZEHM-WIYYLYMNSA-N 0 3 324.443 4.142 20 0 DIADHN Cc1cc(F)ncc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC001560714606 1060573479 /nfs/dbraw/zinc/57/34/79/1060573479.db2.gz SCZKPINUECVWNG-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN COc1cc(C)c(Br)cc1CN1CCC2(CC2)CC1 ZINC001250079149 1060591603 /nfs/dbraw/zinc/59/16/03/1060591603.db2.gz HFJQFXQKVXATFN-UHFFFAOYSA-N 0 3 324.262 4.142 20 0 DIADHN CO[C@](C)(CN(Cc1ccco1)Cc1cccs1)C1CC1 ZINC001560728023 1060592444 /nfs/dbraw/zinc/59/24/44/1060592444.db2.gz DHSZLCROPSMOMN-QGZVFWFLSA-N 0 3 305.443 4.158 20 0 DIADHN CO[C@@](C)(CN(Cc1ccco1)Cc1cccs1)C1CC1 ZINC001560728022 1060593126 /nfs/dbraw/zinc/59/31/26/1060593126.db2.gz DHSZLCROPSMOMN-KRWDZBQOSA-N 0 3 305.443 4.158 20 0 DIADHN COc1cc(F)c(C)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001250112233 1060618930 /nfs/dbraw/zinc/61/89/30/1060618930.db2.gz CXYRDFWXBOCTSM-OAHLLOKOSA-N 0 3 309.400 4.247 20 0 DIADHN COc1cc(F)c(C)cc1CN(C)CC/C=C/c1cccnc1 ZINC001250113044 1060618994 /nfs/dbraw/zinc/61/89/94/1060618994.db2.gz JDVGRWVCGMOILL-QPJJXVBHSA-N 0 3 314.404 4.073 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2ccc3c(n2)CCC3)c1 ZINC001560753221 1060620834 /nfs/dbraw/zinc/62/08/34/1060620834.db2.gz ZEQXWABCBVWQAQ-YCRPNKLZSA-N 0 3 322.452 4.162 20 0 DIADHN CC(C)OC[C@H]1CN(CC2CCC3(CCCCC3)CC2)CCO1 ZINC001560768889 1060631925 /nfs/dbraw/zinc/63/19/25/1060631925.db2.gz MBFMEILRHCSQSB-LJQANCHMSA-N 0 3 323.521 4.253 20 0 DIADHN CCN1CCN(c2cccc(NC[C@H]3CCCC[C@H]3C)c2)CC1 ZINC001560774677 1060636061 /nfs/dbraw/zinc/63/60/61/1060636061.db2.gz PAHGOOLSOJPSTQ-QZTJIDSGSA-N 0 3 315.505 4.067 20 0 DIADHN CC[C@H](C[C@@H](C)CC)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001560774736 1060638770 /nfs/dbraw/zinc/63/87/70/1060638770.db2.gz QWJWHWYLULWLIQ-ZWKOTPCHSA-N 0 3 317.521 4.455 20 0 DIADHN CC(C)C1(C)CCN(Cc2ccnn2-c2ccc(F)cc2)CC1 ZINC001560775410 1060639154 /nfs/dbraw/zinc/63/91/54/1060639154.db2.gz JAWNBMVRDURHNT-UHFFFAOYSA-N 0 3 315.436 4.270 20 0 DIADHN C[C@@H](CNc1cc(N(C)C)c(Br)cn1)C(C)(C)C ZINC001560835500 1060692972 /nfs/dbraw/zinc/69/29/72/1060692972.db2.gz QKAQBPYMCYXNKD-JTQLQIEISA-N 0 3 314.271 4.004 20 0 DIADHN COC(=O)C(C)(C)CCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC001560793026 1060651265 /nfs/dbraw/zinc/65/12/65/1060651265.db2.gz CKFSNRLNEYDRDN-JTQLQIEISA-N 0 3 318.244 4.233 20 0 DIADHN Fc1cc(OCc2ccccc2)c(F)cc1CCN1CCCC1 ZINC001250163845 1060654710 /nfs/dbraw/zinc/65/47/10/1060654710.db2.gz GXNCRAABVHGLSP-UHFFFAOYSA-N 0 3 317.379 4.182 20 0 DIADHN CCn1cncc1CN1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC001560798658 1060658121 /nfs/dbraw/zinc/65/81/21/1060658121.db2.gz MKRREPMEWHQQAZ-UHFFFAOYSA-N 0 3 317.864 4.110 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001560801101 1060661381 /nfs/dbraw/zinc/66/13/81/1060661381.db2.gz FXFJCTNUFXXABM-YPMHNXCESA-N 0 3 324.877 4.075 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001250187960 1060664616 /nfs/dbraw/zinc/66/46/16/1060664616.db2.gz RXTNCNULHCRZHR-UHFFFAOYSA-N 0 3 317.473 4.317 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCSC[C@H]1c1cnn(C)c1 ZINC001560805881 1060666968 /nfs/dbraw/zinc/66/69/68/1060666968.db2.gz FEGVWLPAKWZMHD-WMZOPIPTSA-N 0 3 321.534 4.283 20 0 DIADHN CC[C@@](C)(CN(Cc1cccnc1)Cc1ccccc1C)OC ZINC001560809413 1060668018 /nfs/dbraw/zinc/66/80/18/1060668018.db2.gz KOJUPXGFUTWHEH-FQEVSTJZSA-N 0 3 312.457 4.207 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1cccc(Br)c1OC ZINC001560814637 1060673496 /nfs/dbraw/zinc/67/34/96/1060673496.db2.gz DCFDDNWRAUITHN-YPMHNXCESA-N 0 3 312.251 4.221 20 0 DIADHN CN(Cc1cccc(C2CC2)c1)C[C@@H]1OCCc2ccccc21 ZINC001560842121 1060697354 /nfs/dbraw/zinc/69/73/54/1060697354.db2.gz NHUYSWVJANHVBL-NRFANRHFSA-N 0 3 307.437 4.310 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)C[C@@H]2C)cc(C)c1O ZINC001560845030 1060699997 /nfs/dbraw/zinc/69/99/97/1060699997.db2.gz ONIPEFHEKORWDK-GXTWGEPZSA-N 0 3 301.352 4.172 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC001560849663 1060708656 /nfs/dbraw/zinc/70/86/56/1060708656.db2.gz ZMFJXELOWGHWNK-FQEVSTJZSA-N 0 3 324.468 4.030 20 0 DIADHN O=C(Cc1cccc(CN2CCCCC2)c1)c1cccc(F)c1 ZINC001250235315 1060716925 /nfs/dbraw/zinc/71/69/25/1060716925.db2.gz DVUVXFJCBZYDRY-UHFFFAOYSA-N 0 3 311.400 4.237 20 0 DIADHN CN1CCC(c2ccc(CC(=O)c3cccc(F)c3)cc2)CC1 ZINC001250236194 1060717189 /nfs/dbraw/zinc/71/71/89/1060717189.db2.gz MDSBZGUANHZKLU-UHFFFAOYSA-N 0 3 311.400 4.060 20 0 DIADHN CO[C@H](CNCc1ccncc1OCCC(C)(C)C)CC(C)C ZINC001560870783 1060730651 /nfs/dbraw/zinc/73/06/51/1060730651.db2.gz PRFUOPNBXMJQRY-KRWDZBQOSA-N 0 3 322.493 4.047 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@H](C)[C@H]2C)c(Br)cc1F ZINC001560893057 1060757296 /nfs/dbraw/zinc/75/72/96/1060757296.db2.gz FLQAVZXBNMCIPD-SDDRHHMPSA-N 0 3 314.242 4.373 20 0 DIADHN COc1cc(C)ccc1CN[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC001560880025 1060741718 /nfs/dbraw/zinc/74/17/18/1060741718.db2.gz UIRKEXXJIYZBFH-CZUORRHYSA-N 0 3 319.807 4.442 20 0 DIADHN Cc1csc2nc(C)c(CN3CC4(CCCC4)[C@H]3C(C)C)n12 ZINC001560884550 1060747516 /nfs/dbraw/zinc/74/75/16/1060747516.db2.gz ZJGGSJHQZHPAMT-MRXNPFEDSA-N 0 3 317.502 4.413 20 0 DIADHN Brc1ccsc1CN1CC[C@H](C2CCC2)C1 ZINC001560886172 1060749212 /nfs/dbraw/zinc/74/92/12/1060749212.db2.gz WXPSXKMLPZTCEN-NSHDSACASA-N 0 3 300.265 4.133 20 0 DIADHN Fc1ccc2nc(CN3CC[C@H](C4CCCC4)C3)sc2c1 ZINC001560894957 1060756406 /nfs/dbraw/zinc/75/64/06/1060756406.db2.gz ZENAOWPUWLPESY-ZDUSSCGKSA-N 0 3 304.434 4.448 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@H]1CCc2cccc(Cl)c2C1 ZINC001560897434 1060758871 /nfs/dbraw/zinc/75/88/71/1060758871.db2.gz AORCLZOAJZHLLW-SNRMKQJTSA-N 0 3 315.819 4.424 20 0 DIADHN COc1ccnc(CN[C@@H]2CCCC[C@@H]2C2CCCCC2)c1F ZINC001560936948 1060798463 /nfs/dbraw/zinc/79/84/63/1060798463.db2.gz OIIXJAICXYHJLT-HZPDHXFCSA-N 0 3 320.452 4.458 20 0 DIADHN CC(C)COc1ccccc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC001560936595 1060799541 /nfs/dbraw/zinc/79/95/41/1060799541.db2.gz DMCLTMOMFSHYTP-SJORKVTESA-N 0 3 305.487 4.191 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)C2)C[C@H](CC(F)(F)F)O1 ZINC001560941502 1060804773 /nfs/dbraw/zinc/80/47/73/1060804773.db2.gz QITYBNSQTMHSRT-MCIONIFRSA-N 0 3 307.400 4.245 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CN2CC(CC3CC3)C2)c1 ZINC001560945836 1060809493 /nfs/dbraw/zinc/80/94/93/1060809493.db2.gz KZUOROJMBGBFIL-UHFFFAOYSA-N 0 3 316.445 4.266 20 0 DIADHN Fc1cccc(-c2ccc(CN3CC(CC4CC4)C3)o2)c1F ZINC001560945774 1060810774 /nfs/dbraw/zinc/81/07/74/1060810774.db2.gz JAAPNVXNFZPMTM-UHFFFAOYSA-N 0 3 303.352 4.457 20 0 DIADHN CC(C)(CNCc1ncccc1F)c1ccc2ccccc2c1 ZINC001560954488 1060819411 /nfs/dbraw/zinc/81/94/11/1060819411.db2.gz RJWORCKCYVYWTC-UHFFFAOYSA-N 0 3 308.400 4.441 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN1CCC(C)(F)CC1 ZINC001560953984 1060819550 /nfs/dbraw/zinc/81/95/50/1060819550.db2.gz CPEBLTBGGNVGRB-UHFFFAOYSA-N 0 3 315.436 4.122 20 0 DIADHN COc1cc(CN2CC3(C2)CCCC3)cc2c1NC(C)(C)C=C2 ZINC001250476815 1060833829 /nfs/dbraw/zinc/83/38/29/1060833829.db2.gz VVEFTQSPHJYVBQ-UHFFFAOYSA-N 0 3 312.457 4.289 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC001560972272 1060838227 /nfs/dbraw/zinc/83/82/27/1060838227.db2.gz IEIDBFNTKATCPN-SJLPKXTDSA-N 0 3 313.466 4.033 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@H]2CSC[C@H]2C1 ZINC001560972790 1060838958 /nfs/dbraw/zinc/83/89/58/1060838958.db2.gz YKEMUBHKUJYJPR-DLBZAZTESA-N 0 3 305.487 4.050 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC([C@H]2CCC[C@H](C)C2)C1 ZINC001560977889 1060842027 /nfs/dbraw/zinc/84/20/27/1060842027.db2.gz LEUUGMNGGCVQRE-YOEHRIQHSA-N 0 3 303.494 4.339 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1cc(O)ccc1Cl ZINC001560986357 1060850372 /nfs/dbraw/zinc/85/03/72/1060850372.db2.gz AVJISJMAXRIILT-LLVKDONJSA-N 0 3 310.224 4.420 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H](C)[C@H]1CCCCO1 ZINC001560989431 1060853567 /nfs/dbraw/zinc/85/35/67/1060853567.db2.gz CMMJYCWZYPNRCI-CZUORRHYSA-N 0 3 311.853 4.174 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2C)cc2c1OCC=C2 ZINC001250510147 1060861859 /nfs/dbraw/zinc/86/18/59/1060861859.db2.gz LQXVEBJICFCINR-UHFFFAOYSA-N 0 3 309.409 4.041 20 0 DIADHN COc1cccc(CN2CCC3(CCC3)CC2)c1OCC1CC1 ZINC001250525216 1060872919 /nfs/dbraw/zinc/87/29/19/1060872919.db2.gz OOKOACPRWHOMCU-UHFFFAOYSA-N 0 3 315.457 4.250 20 0 DIADHN COc1cccc(CN2CCC[C@@H]3CCC[C@@H]32)c1OCC1CC1 ZINC001250529691 1060875087 /nfs/dbraw/zinc/87/50/87/1060875087.db2.gz DZPDOWPOMFBRTH-WMZOPIPTSA-N 0 3 315.457 4.249 20 0 DIADHN Cc1ccnc(N)c1CN1CCC(CC2CCCCC2)CC1 ZINC001561027007 1060881793 /nfs/dbraw/zinc/88/17/93/1060881793.db2.gz SDAICKVCOJALON-UHFFFAOYSA-N 0 3 301.478 4.155 20 0 DIADHN Clc1ccnc(Cl)c1CN[C@H]1CCCc2ccccc2C1 ZINC001561032234 1060884963 /nfs/dbraw/zinc/88/49/63/1060884963.db2.gz VGAFLVQNERIEEQ-AWEZNQCLSA-N 0 3 321.251 4.426 20 0 DIADHN C[C@@]12CSC[C@@H]1CN(Cc1ccc(Oc3ccccc3)o1)C2 ZINC001561037898 1060890847 /nfs/dbraw/zinc/89/08/47/1060890847.db2.gz YDWBZSSTPVQINK-KBXCAEBGSA-N 0 3 315.438 4.257 20 0 DIADHN COC(=O)[C@H](CNCc1ccc(C)cc1Cl)CC1CCCC1 ZINC001561040048 1060891660 /nfs/dbraw/zinc/89/16/60/1060891660.db2.gz IXGFCGKAYMIYKB-INIZCTEOSA-N 0 3 323.864 4.108 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1csc(NC(=O)OC(C)(C)C)n1 ZINC001561038420 1060891729 /nfs/dbraw/zinc/89/17/29/1060891729.db2.gz MOMXYEPDCDOFJQ-QWRGUYRKSA-N 0 3 313.467 4.014 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1csc(NC(=O)OC(C)(C)C)n1 ZINC001561038419 1060891908 /nfs/dbraw/zinc/89/19/08/1060891908.db2.gz MOMXYEPDCDOFJQ-MNOVXSKESA-N 0 3 313.467 4.014 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@H](C(N)=O)c1ccc(Cl)cc1 ZINC001561047092 1060895356 /nfs/dbraw/zinc/89/53/56/1060895356.db2.gz FNKNYBVECUBSPS-IRXDYDNUSA-N 0 3 322.880 4.205 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1ncccc1Br ZINC001561048575 1060899106 /nfs/dbraw/zinc/89/91/06/1060899106.db2.gz YTMOKDQJDGTZPU-OLZOCXBDSA-N 0 3 313.283 4.395 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1cccc(CC3CCC3)c1)C2 ZINC001561053760 1060901353 /nfs/dbraw/zinc/90/13/53/1060901353.db2.gz KKVKJJYKEMZMNV-UHFFFAOYSA-N 0 3 307.437 4.293 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2C[C@H]2C2CCCC2)cc(Cl)c1[O-] ZINC001561052015 1060902307 /nfs/dbraw/zinc/90/23/07/1060902307.db2.gz PVNMPTLNEVLTEA-CABCVRRESA-N 0 3 323.864 4.360 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1cc(C)nc3c1CCCC3)C2 ZINC001561055498 1060904433 /nfs/dbraw/zinc/90/44/33/1060904433.db2.gz NZBAMGIQJJNQQC-UHFFFAOYSA-N 0 3 320.480 4.444 20 0 DIADHN Cc1c2[nH]c([C@H](C)Cc3ccc4c(c3)OCO4)nc2ccc1F ZINC001250567915 1060905102 /nfs/dbraw/zinc/90/51/02/1060905102.db2.gz OKDUBJKXTDHGSW-SNVBAGLBSA-N 0 3 312.344 4.085 20 0 DIADHN Cc1ccc([C@@H](C)Cc2nc3ccc(N4CCCC4)cc3[nH]2)o1 ZINC001250568844 1060908014 /nfs/dbraw/zinc/90/80/14/1060908014.db2.gz GGAHUKFOFVIQJK-ZDUSSCGKSA-N 0 3 309.413 4.411 20 0 DIADHN CCOC(=O)[C@H](CC)N(CC1CCC(C(C)C)CC1)C1CC1 ZINC001462122983 1060944533 /nfs/dbraw/zinc/94/45/33/1060944533.db2.gz XEQFJKDNKMLLGJ-HTWSVDAQSA-N 0 3 309.494 4.255 20 0 DIADHN Nc1ccncc1-c1nc2ccc(F)cc2n1C1CCCCC1 ZINC001250628502 1060958909 /nfs/dbraw/zinc/95/89/09/1060958909.db2.gz MBGQOGIFKVNGRV-UHFFFAOYSA-N 0 3 310.376 4.155 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CN2CCC(C3CCCC3)CC2)O1 ZINC001473201533 1060964321 /nfs/dbraw/zinc/96/43/21/1060964321.db2.gz AGDGMDDRDDUQPY-CVEARBPZSA-N 0 3 319.411 4.389 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCC(F)(F)F)C1(C)C ZINC001473207120 1060974666 /nfs/dbraw/zinc/97/46/66/1060974666.db2.gz XOQSSUOGZXBZKE-UHFFFAOYSA-N 0 3 301.352 4.248 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC[C@@H](CC)C1 ZINC001473208511 1060976698 /nfs/dbraw/zinc/97/66/98/1060976698.db2.gz DUFALEWKERWXCF-CQSZACIVSA-N 0 3 315.413 4.232 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1CC[C@](C)(CCc2ccccc2)C1 ZINC001473212104 1060979750 /nfs/dbraw/zinc/97/97/50/1060979750.db2.gz DUQRBNJCIQPREJ-ICSRJNTNSA-N 0 3 317.473 4.063 20 0 DIADHN CO[C@@H](CN(C)Cc1cc2ccccc2o1)c1ccc(F)cc1 ZINC001473215196 1060983984 /nfs/dbraw/zinc/98/39/84/1060983984.db2.gz HGUJYKGVIFNGRC-IBGZPJMESA-N 0 3 313.372 4.391 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC001473218551 1060991358 /nfs/dbraw/zinc/99/13/58/1060991358.db2.gz SAVOFURADPQYMZ-CABCVRRESA-N 0 3 321.436 4.331 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1C[C@@H](C)c1ccc(F)cc1 ZINC001462173995 1060994271 /nfs/dbraw/zinc/99/42/71/1060994271.db2.gz WYFGLPGTYOGSNI-DNVCBOLYSA-N 0 3 321.436 4.127 20 0 DIADHN CCCC1CCC(N(C)Cc2c(OC)cccc2OC)CC1 ZINC001462177986 1060997479 /nfs/dbraw/zinc/99/74/79/1060997479.db2.gz JYZCKAHWWHFXKM-UHFFFAOYSA-N 0 3 305.462 4.495 20 0 DIADHN Fc1cccnc1CN[C@H]1CCC[C@@H]1c1ccccc1Cl ZINC001462184705 1061005150 /nfs/dbraw/zinc/00/51/50/1061005150.db2.gz SHWZFFOUFARISI-CJNGLKHVSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@H](CCSc1ccccc1)N1CCc2ccc(O)cc2C1 ZINC001473245507 1061021295 /nfs/dbraw/zinc/02/12/95/1061021295.db2.gz SLESAHKCDMKKAI-OAHLLOKOSA-N 0 3 313.466 4.321 20 0 DIADHN CCCC[C@H](NCc1cn(-c2ccccc2C)nn1)C1CCC1 ZINC001462198156 1061022206 /nfs/dbraw/zinc/02/22/06/1061022206.db2.gz FNESSHWFEABOSY-SFHVURJKSA-N 0 3 312.461 4.024 20 0 DIADHN CCCCN(CCCO)Cc1ccc(Cl)c(C)c1Cl ZINC001462201088 1061024651 /nfs/dbraw/zinc/02/46/51/1061024651.db2.gz UDZOYWSMQXSUOP-UHFFFAOYSA-N 0 3 304.261 4.286 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@@H]1CCCC3(CCC3)O1)CCC2 ZINC001473249336 1061026035 /nfs/dbraw/zinc/02/60/35/1061026035.db2.gz ZTXLBQDAHMRRQP-HOCLYGCPSA-N 0 3 320.502 4.253 20 0 DIADHN CC1=CC[C@H](NCc2cc(F)c(F)cc2Br)CC1 ZINC001462216620 1061033421 /nfs/dbraw/zinc/03/34/21/1061033421.db2.gz HUYFFIXQSNHSQJ-NSHDSACASA-N 0 3 316.189 4.316 20 0 DIADHN CSc1cccc(F)c1CN[C@@H](C)Cc1ccccc1F ZINC001462217990 1061035496 /nfs/dbraw/zinc/03/54/96/1061035496.db2.gz ARAIYBCIPVKNAY-LBPRGKRZSA-N 0 3 307.409 4.408 20 0 DIADHN CO[C@H](CC(C)C)CN1CCc2cc(-c3ccccc3)oc2C1 ZINC001473260144 1061035462 /nfs/dbraw/zinc/03/54/62/1061035462.db2.gz RCPTZHPQHPTZGY-GOSISDBHSA-N 0 3 313.441 4.366 20 0 DIADHN CC1(C)CO[C@H](CN2CCc3cc(-c4ccccc4)oc3C2)C1 ZINC001473260200 1061037767 /nfs/dbraw/zinc/03/77/67/1061037767.db2.gz TUJHYHNBRZASDO-KRWDZBQOSA-N 0 3 311.425 4.120 20 0 DIADHN CCCN(CCN1CCCCC1)Cc1ncc(C(C)(C)C)s1 ZINC001462222931 1061038008 /nfs/dbraw/zinc/03/80/08/1061038008.db2.gz ROWGVYJNKGMHLX-UHFFFAOYSA-N 0 3 323.550 4.139 20 0 DIADHN CC/C=C\CCN(CCC)CC(=O)Nc1ccc(Cl)cc1 ZINC001473261983 1061038175 /nfs/dbraw/zinc/03/81/75/1061038175.db2.gz PUIXFCKSWKSOFP-WAYWQWQTSA-N 0 3 308.853 4.347 20 0 DIADHN CCOc1cc(CN2CCC=C(c3ccccc3)C2)ccc1O ZINC001462225776 1061040836 /nfs/dbraw/zinc/04/08/36/1061040836.db2.gz UMIBDKHYXMFEMU-UHFFFAOYSA-N 0 3 309.409 4.080 20 0 DIADHN C[C@H](CO)N(Cc1ccc(F)c(Cl)c1Cl)CC1CCC1 ZINC001462232209 1061044524 /nfs/dbraw/zinc/04/45/24/1061044524.db2.gz PSYAHGZBWCIBFB-SNVBAGLBSA-N 0 3 320.235 4.115 20 0 DIADHN CC[C@@]1(C)CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001473279042 1061051277 /nfs/dbraw/zinc/05/12/77/1061051277.db2.gz QXADSBWKUDGVTR-CABCVRRESA-N 0 3 302.245 4.149 20 0 DIADHN Cc1cc(CN2CC(c3ccc(Cl)cc3)C2)cc(C)c1O ZINC001462246717 1061052374 /nfs/dbraw/zinc/05/23/74/1061052374.db2.gz GAUXIWZEJINCFJ-UHFFFAOYSA-N 0 3 301.817 4.262 20 0 DIADHN Cc1cc(C)cc(C2=CCN(Cc3ccc4c[nH]nc4c3)CC2)c1 ZINC001473285684 1061055759 /nfs/dbraw/zinc/05/57/59/1061055759.db2.gz QQVZCDJRYQASPC-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462256322 1061057769 /nfs/dbraw/zinc/05/77/69/1061057769.db2.gz WPAFKBWZLLTAGB-BONVTDFDSA-N 0 3 323.333 4.451 20 0 DIADHN CCCSC[C@@H](C)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462254569 1061058101 /nfs/dbraw/zinc/05/81/01/1061058101.db2.gz NHOYQEGKNCJVPC-BXKDBHETSA-N 0 3 303.393 4.213 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCSC[C@@H]2C2CC2)c(C)c1 ZINC001462261502 1061059773 /nfs/dbraw/zinc/05/97/73/1061059773.db2.gz UXSMSJDDRRHTDS-VZSQWMKGSA-N 0 3 301.499 4.452 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCSC[C@@H]2C2CC2)c(C)c1 ZINC001462261493 1061060277 /nfs/dbraw/zinc/06/02/77/1061060277.db2.gz UXSMSJDDRRHTDS-PHWHVTFISA-N 0 3 301.499 4.452 20 0 DIADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CCCCC1(C)OCCO1 ZINC001473287404 1061060391 /nfs/dbraw/zinc/06/03/91/1061060391.db2.gz MEJPTNAQQNIUIT-GJZGRUSLSA-N 0 3 321.436 4.067 20 0 DIADHN C[C@H]1CCC[C@@H](CCN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001473295114 1061062765 /nfs/dbraw/zinc/06/27/65/1061062765.db2.gz HLKXQUHUUMLPCQ-STQMWFEESA-N 0 3 317.399 4.087 20 0 DIADHN C[C@H]1CCC[C@H](CCN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001473295111 1061063277 /nfs/dbraw/zinc/06/32/77/1061063277.db2.gz HLKXQUHUUMLPCQ-QWHCGFSZSA-N 0 3 317.399 4.087 20 0 DIADHN Cc1[nH]nc(CN2CCc3ccccc3[C@@H]2c2ccccc2)c1C ZINC001462272295 1061066179 /nfs/dbraw/zinc/06/61/79/1061066179.db2.gz BVWZMKWIQWUTST-NRFANRHFSA-N 0 3 317.436 4.174 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(F)cc2Cl)[C@@H]1SC ZINC001462277619 1061071713 /nfs/dbraw/zinc/07/17/13/1061071713.db2.gz YBKLHKOKSUCLQS-UVCAVOOOSA-N 0 3 317.857 4.039 20 0 DIADHN C[C@H](NCCc1ccc2c(c1)CCO2)c1c(F)cccc1Cl ZINC001473316454 1061081723 /nfs/dbraw/zinc/08/17/23/1061081723.db2.gz YUUFQZQOCSVSKG-LBPRGKRZSA-N 0 3 319.807 4.307 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)NCCC2CCCCC2)c1 ZINC001473332464 1061092184 /nfs/dbraw/zinc/09/21/84/1061092184.db2.gz YTZBKFSCGVVGFN-KRWDZBQOSA-N 0 3 316.489 4.041 20 0 DIADHN CC(C)c1nsc(CN[C@@H](Cc2ccc(F)cc2)C(C)C)n1 ZINC001473335738 1061095192 /nfs/dbraw/zinc/09/51/92/1061095192.db2.gz JXQZOLNOZPOSCG-HNNXBMFYSA-N 0 3 321.465 4.158 20 0 DIADHN Cc1cccc([C@H](C)N[C@H]2CCCN(c3ccccc3)C2=O)c1C ZINC001473334776 1061095630 /nfs/dbraw/zinc/09/56/30/1061095630.db2.gz MAZCYPJAKCLBCB-PXNSSMCTSA-N 0 3 322.452 4.150 20 0 DIADHN CCC1(CC)CN(Cc2c(C)nn(-c3ccccc3C)c2C)C1 ZINC001462311353 1061098208 /nfs/dbraw/zinc/09/82/08/1061098208.db2.gz ABTPWDPGOWRVMX-UHFFFAOYSA-N 0 3 311.473 4.420 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccccc1)C(=O)N(C)c1ccc(C)cc1 ZINC001473336381 1061098263 /nfs/dbraw/zinc/09/82/63/1061098263.db2.gz IDRBVPGFNACKKS-QFBILLFUSA-N 0 3 310.441 4.087 20 0 DIADHN CCC(CC)CN1CCO[C@H](c2ccc(OC(F)F)cc2)C1 ZINC001473347591 1061102707 /nfs/dbraw/zinc/10/27/07/1061102707.db2.gz IEKIEBGJWQFMRU-INIZCTEOSA-N 0 3 313.388 4.098 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(Cc2cccc(F)c2)CC1 ZINC001473344878 1061103170 /nfs/dbraw/zinc/10/31/70/1061103170.db2.gz HBPNQRKMPFYUGU-QGZVFWFLSA-N 0 3 306.469 4.160 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2Cc2cnn(CCF)c2)cc1 ZINC001473349042 1061105905 /nfs/dbraw/zinc/10/59/05/1061105905.db2.gz MJODVDLUXVGSPT-IBGZPJMESA-N 0 3 315.436 4.313 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCC(=O)N(C(C)C)C2CCCC2)c1 ZINC001473350063 1061106086 /nfs/dbraw/zinc/10/60/86/1061106086.db2.gz VDTYALYWVGXAOQ-QGZVFWFLSA-N 0 3 316.489 4.134 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3ccns3)C2)cc1 ZINC001473353907 1061108371 /nfs/dbraw/zinc/10/83/71/1061108371.db2.gz COLGABNEJMWWFP-MRXNPFEDSA-N 0 3 300.471 4.331 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3ccncc3F)C2)cc1 ZINC001473355182 1061109756 /nfs/dbraw/zinc/10/97/56/1061109756.db2.gz QVYWIVLOSZLIRU-KRWDZBQOSA-N 0 3 312.432 4.409 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)c1 ZINC001462337884 1061115280 /nfs/dbraw/zinc/11/52/80/1061115280.db2.gz XAASMKQCLVGYBA-MLGOLLRUSA-N 0 3 323.770 4.273 20 0 DIADHN CC(C)C[C@H](C)CN(Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC001473370722 1061118761 /nfs/dbraw/zinc/11/87/61/1061118761.db2.gz ACHMKWZDXAHXNR-HOCLYGCPSA-N 0 3 317.395 4.094 20 0 DIADHN CO[C@H](CN1C[C@@H](C(F)(F)F)CC[C@H]1C)c1ccc(F)cc1 ZINC001473373602 1061120019 /nfs/dbraw/zinc/12/00/19/1061120019.db2.gz FBSNYNSAWHXYRN-OSAQELSMSA-N 0 3 319.342 4.176 20 0 DIADHN CO[C@H](CN1CCc2cc(Cl)ccc2C1)C1CCCCC1 ZINC001473377526 1061122379 /nfs/dbraw/zinc/12/23/79/1061122379.db2.gz XWRNGXWXPNSLSR-GOSISDBHSA-N 0 3 307.865 4.293 20 0 DIADHN C[C@H]1CCCC[C@@H]1CN1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001473377739 1061122668 /nfs/dbraw/zinc/12/26/68/1061122668.db2.gz HKMNBUSUEFOOJT-UONOGXRCSA-N 0 3 300.421 4.491 20 0 DIADHN Cc1cccc2c1CN(CCc1c(F)cccc1Cl)CC2 ZINC001473381459 1061124492 /nfs/dbraw/zinc/12/44/92/1061124492.db2.gz PLGOYZJKOXYISI-UHFFFAOYSA-N 0 3 303.808 4.388 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC001473381557 1061125048 /nfs/dbraw/zinc/12/50/48/1061125048.db2.gz NJJDRUYAUVCFHZ-BBRMVZONSA-N 0 3 300.402 4.019 20 0 DIADHN CCNc1ccccc1CN1CCC[C@@H](CC(F)(F)F)C1 ZINC001462354574 1061125910 /nfs/dbraw/zinc/12/59/10/1061125910.db2.gz JZIBDGHRASQAQU-ZDUSSCGKSA-N 0 3 300.368 4.283 20 0 DIADHN COC(=O)c1oc([C@H](C)N2CCC(C(C)(C)C)CC2)cc1C ZINC001473383553 1061127584 /nfs/dbraw/zinc/12/75/84/1061127584.db2.gz DZUAVYSNIJBCFQ-ZDUSSCGKSA-N 0 3 307.434 4.194 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2ncc(-c3ccccc3F)o2)C1 ZINC001473393477 1061132417 /nfs/dbraw/zinc/13/24/17/1061132417.db2.gz VNTGGMOISNTJHW-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN CC[C@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)[C@@H]1C ZINC001473401894 1061136799 /nfs/dbraw/zinc/13/67/99/1061136799.db2.gz FKYWMUHCWWVFDY-NEPJUHHUSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@@H]1CC(CCN2CCC(C(=O)C(F)(F)F)CC2)C[C@@H](C)C1 ZINC001473398676 1061136951 /nfs/dbraw/zinc/13/69/51/1061136951.db2.gz DGHMAYKPFWMVLA-STQMWFEESA-N 0 3 319.411 4.292 20 0 DIADHN C[C@H]1C[C@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C[C@@H](C)C1 ZINC001473398677 1061137219 /nfs/dbraw/zinc/13/72/19/1061137219.db2.gz DGHMAYKPFWMVLA-WDNDVIMCSA-N 0 3 319.411 4.292 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCCCC1CC1)c1ccccc1 ZINC001473402319 1061137412 /nfs/dbraw/zinc/13/74/12/1061137412.db2.gz NPEJJVOSYMOZHK-HXUWFJFHSA-N 0 3 319.452 4.443 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN(CCC2=CCCCC2)CC1 ZINC001473405432 1061139615 /nfs/dbraw/zinc/13/96/15/1061139615.db2.gz GATMYZYPZMMOEB-INIZCTEOSA-N 0 3 304.503 4.317 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001473410621 1061145057 /nfs/dbraw/zinc/14/50/57/1061145057.db2.gz MXDYHGHAOXNAOY-QMTHXVAHSA-N 0 3 315.244 4.052 20 0 DIADHN COC(=O)[C@H]1C[C@@H](C)CCN1CC1CCC(C(C)(C)C)CC1 ZINC001473411248 1061145544 /nfs/dbraw/zinc/14/55/44/1061145544.db2.gz UPPHMEUGRZLMCO-ZGRYLRDCSA-N 0 3 309.494 4.112 20 0 DIADHN COC(=O)[C@@H]1C[C@H](C)CCN1CC1CCC(C(C)(C)C)CC1 ZINC001473411247 1061145720 /nfs/dbraw/zinc/14/57/20/1061145720.db2.gz UPPHMEUGRZLMCO-VXLLPVPCSA-N 0 3 309.494 4.112 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2ncccc2C(F)(F)F)C1 ZINC001473421502 1061149816 /nfs/dbraw/zinc/14/98/16/1061149816.db2.gz FHRKKRDATGBBIH-GFCCVEGCSA-N 0 3 300.368 4.359 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@@H]1CC(C)(C)CO1 ZINC001473429007 1061156415 /nfs/dbraw/zinc/15/64/15/1061156415.db2.gz LPKVJPZOXHKJOZ-ZFWWWQNUSA-N 0 3 315.379 4.393 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(Cl)cc1Cl)C1CC1 ZINC001473431690 1061157443 /nfs/dbraw/zinc/15/74/43/1061157443.db2.gz CQMJKZYSNFVJCA-ZUZCIYMTSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1[C@H]1C=CCCCCC1 ZINC001473441523 1061159876 /nfs/dbraw/zinc/15/98/76/1061159876.db2.gz SFJFDXSXLKVHJJ-IRXDYDNUSA-N 0 3 302.437 4.225 20 0 DIADHN CCC1(CC)[C@H](NCc2cnc(-c3ccccc3)o2)C[C@@H]1OC ZINC001473446335 1061163644 /nfs/dbraw/zinc/16/36/44/1061163644.db2.gz CJGOWLJHFPFRCD-SJORKVTESA-N 0 3 314.429 4.025 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2nc3ccccc3s2)C1(C)C ZINC001473458022 1061171802 /nfs/dbraw/zinc/17/18/02/1061171802.db2.gz GEGBLEQBQQTQOR-HOTGVXAUSA-N 0 3 318.486 4.370 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1ccncc1C ZINC001473461564 1061172088 /nfs/dbraw/zinc/17/20/88/1061172088.db2.gz AGHNRCKMBRBADD-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN CC1=CC[C@H](N[C@H](CO)c2cccc(Cl)c2Cl)CC1 ZINC001462454521 1061184112 /nfs/dbraw/zinc/18/41/12/1061184112.db2.gz SOFJQHDNJFLTSM-SMDDNHRTSA-N 0 3 300.229 4.115 20 0 DIADHN CCCNC(=O)CCCN1CCCC[C@H]1c1ccc(Cl)cc1 ZINC001473473354 1061180376 /nfs/dbraw/zinc/18/03/76/1061180376.db2.gz HPTVDTCXILSZPO-KRWDZBQOSA-N 0 3 322.880 4.173 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCCCCCCC2)n1 ZINC001473479328 1061181600 /nfs/dbraw/zinc/18/16/00/1061181600.db2.gz QUWRZKYFMQNFQW-UHFFFAOYSA-N 0 3 303.381 4.032 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCCCCCCC2)cc1F ZINC001473478134 1061181996 /nfs/dbraw/zinc/18/19/96/1061181996.db2.gz KDGQNKYFXNQSHN-HNNXBMFYSA-N 0 3 306.425 4.117 20 0 DIADHN CC1=CC[C@@H](N[C@H](CO)c2cccc(Cl)c2Cl)CC1 ZINC001462454518 1061184472 /nfs/dbraw/zinc/18/44/72/1061184472.db2.gz SOFJQHDNJFLTSM-BXUZGUMPSA-N 0 3 300.229 4.115 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc3cc(C)ccc3s2)C12CCC2 ZINC001473482089 1061185534 /nfs/dbraw/zinc/18/55/34/1061185534.db2.gz CTKVTKHEPVNRJM-HZPDHXFCSA-N 0 3 316.470 4.042 20 0 DIADHN Fc1ccc(CN2CCC=C(c3ccccn3)C2)c2ncccc12 ZINC001473494938 1061190284 /nfs/dbraw/zinc/19/02/84/1061190284.db2.gz CMDPOJQTKXROIP-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@H](C2CCCCC2)C1 ZINC001462469567 1061192451 /nfs/dbraw/zinc/19/24/51/1061192451.db2.gz SJBQCJLXUHBLBG-AWEZNQCLSA-N 0 3 309.885 4.418 20 0 DIADHN O[C@@H](CN1CCC2(CCCC2)CC1)c1ccc(F)cc1Cl ZINC001473497667 1061193954 /nfs/dbraw/zinc/19/39/54/1061193954.db2.gz KHMGJDGZFFGKRQ-INIZCTEOSA-N 0 3 311.828 4.169 20 0 DIADHN Fc1cccc(/C=C/CN2CCC(Cc3nccs3)CC2)c1 ZINC001462483581 1061203287 /nfs/dbraw/zinc/20/32/87/1061203287.db2.gz DLBXFRRXIDBAED-DUXPYHPUSA-N 0 3 316.445 4.250 20 0 DIADHN CCn1c2ccc(C)cc2nc1-c1cc(F)cc(CN(C)C)c1 ZINC001251069969 1061216347 /nfs/dbraw/zinc/21/63/47/1061216347.db2.gz FASQKYUBYFHROE-UHFFFAOYSA-N 0 3 311.404 4.232 20 0 DIADHN CC(C)C1CC(NCc2c(Cl)cccc2OC(F)F)C1 ZINC001462511906 1061218640 /nfs/dbraw/zinc/21/86/40/1061218640.db2.gz LVZXHWINHACVKQ-UHFFFAOYSA-N 0 3 303.780 4.466 20 0 DIADHN CC(C)(C)c1cccc(CN2CC(C)(C)[C@@H]2[C@@H]2CCCO2)c1 ZINC001473522990 1061219046 /nfs/dbraw/zinc/21/90/46/1061219046.db2.gz KQTRZNPHLGJSHS-ROUUACIJSA-N 0 3 301.474 4.374 20 0 DIADHN CC(C)CC1(C)CCN(C[C@H](O)c2ccc(F)c(F)c2)CC1 ZINC001473523512 1061222305 /nfs/dbraw/zinc/22/23/05/1061222305.db2.gz UKNNISHBPFQZAS-KRWDZBQOSA-N 0 3 311.416 4.146 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1cc(C(C)C)ccc1OC ZINC001473540141 1061234835 /nfs/dbraw/zinc/23/48/35/1061234835.db2.gz ASZJAFJPGQWDJR-SFHVURJKSA-N 0 3 305.462 4.210 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCC2(CCCCO2)CC1 ZINC001462530384 1061240156 /nfs/dbraw/zinc/24/01/56/1061240156.db2.gz VASPCDFKIAKPKI-UHFFFAOYSA-N 0 3 323.864 4.274 20 0 DIADHN CC[C@@H](NC(=O)CN1CC(C)(C)C[C@H]1C)c1ccc(Cl)cc1 ZINC001473554947 1061243166 /nfs/dbraw/zinc/24/31/66/1061243166.db2.gz RGWXSLCFEGVGCI-CZUORRHYSA-N 0 3 322.880 4.028 20 0 DIADHN FC(F)(F)c1sccc1CNC1([C@H]2CCCCO2)CCC1 ZINC001462534300 1061243405 /nfs/dbraw/zinc/24/34/05/1061243405.db2.gz PJVDTBUCGXWMDV-GFCCVEGCSA-N 0 3 319.392 4.348 20 0 DIADHN Cc1ccc(CC(C)(C)NCc2ccn(C3CCCC3)n2)cc1 ZINC001473565733 1061254885 /nfs/dbraw/zinc/25/48/85/1061254885.db2.gz VZQGGWBPVYUBCY-UHFFFAOYSA-N 0 3 311.473 4.418 20 0 DIADHN CC[C@@](C)(C(=O)OC)N(Cc1c(C)cc(C)cc1C)CC1CC1 ZINC001473571963 1061257348 /nfs/dbraw/zinc/25/73/48/1061257348.db2.gz VJZPVOTVQFSFOK-FQEVSTJZSA-N 0 3 317.473 4.166 20 0 DIADHN CC1(C)CCc2onc(CNC[C@H]3C[C@@H]3c3ccccc3)c2C1 ZINC001462562034 1061264971 /nfs/dbraw/zinc/26/49/71/1061264971.db2.gz WJSXEYTYWHBXGV-HZPDHXFCSA-N 0 3 310.441 4.083 20 0 DIADHN Cc1cnc(CCNC2(c3ccccc3Cl)CC2)c(C)c1 ZINC001473579380 1061266172 /nfs/dbraw/zinc/26/61/72/1061266172.db2.gz CYKANWRZWCOBJD-UHFFFAOYSA-N 0 3 300.833 4.173 20 0 DIADHN O=C(CN1CCC[C@@H]1CC1CCCC1)Nc1cccc(Cl)c1 ZINC001473595841 1061276590 /nfs/dbraw/zinc/27/65/90/1061276590.db2.gz PERDYKDEAYKQKJ-QGZVFWFLSA-N 0 3 320.864 4.323 20 0 DIADHN C[C@@H](NCc1nn(-c2ccc(F)cc2)c2c1CCC2)C(C)(C)C ZINC001473603975 1061278504 /nfs/dbraw/zinc/27/85/04/1061278504.db2.gz ZACBWGNDSGQGRZ-CYBMUJFWSA-N 0 3 315.436 4.024 20 0 DIADHN C[C@H]1CN(C[C@H]2CC3(CO2)CCCCC3)CC2(CCCCC2)O1 ZINC001473596864 1061278819 /nfs/dbraw/zinc/27/88/19/1061278819.db2.gz DIBLRYCAXOEMLJ-ZWKOTPCHSA-N 0 3 321.505 4.149 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCCC[C@H]1C1CCC1 ZINC001473614096 1061288708 /nfs/dbraw/zinc/28/87/08/1061288708.db2.gz XDHSEZQOFKQLFW-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN Fc1cccc(CCN2CCS[C@@H](c3ccccc3)C2)c1 ZINC001473618208 1061290295 /nfs/dbraw/zinc/29/02/95/1061290295.db2.gz POJVXDMZEOFSJD-GOSISDBHSA-N 0 3 301.430 4.158 20 0 DIADHN CCCCN(Cc1c(C)oc(C)c1C)Cc1ccc(=O)[nH]c1 ZINC001462603506 1061292939 /nfs/dbraw/zinc/29/29/39/1061292939.db2.gz NNLXKHKHRNMCRF-UHFFFAOYSA-N 0 3 302.418 4.108 20 0 DIADHN Fc1ccccc1C[C@H]1CCCCN1CCCOC(F)(F)F ZINC001473619569 1061295848 /nfs/dbraw/zinc/29/58/48/1061295848.db2.gz GULNHIVDXKNGBQ-CQSZACIVSA-N 0 3 319.342 4.149 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN(C)Cc2c(C)cccc2C)o1 ZINC001462620176 1061302645 /nfs/dbraw/zinc/30/26/45/1061302645.db2.gz XICQQSFDMLTKFV-UHFFFAOYSA-N 0 3 323.440 4.237 20 0 DIADHN Cc1cccc(C)c1[C@H]1CCC[N@@H+]1Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001473634103 1061304042 /nfs/dbraw/zinc/30/40/42/1061304042.db2.gz CRVSXVFOIKDKRO-QGZVFWFLSA-N 0 3 323.440 4.014 20 0 DIADHN Cc1cccc(C)c1[C@H]1CCC[N@H+]1Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001473634103 1061304056 /nfs/dbraw/zinc/30/40/56/1061304056.db2.gz CRVSXVFOIKDKRO-QGZVFWFLSA-N 0 3 323.440 4.014 20 0 DIADHN CCn1cc(CN2CCC(c3ccc4[nH]ccc4c3)CC2)c(C)n1 ZINC001462635461 1061310301 /nfs/dbraw/zinc/31/03/01/1061310301.db2.gz DPFZLJXTALZJJW-UHFFFAOYSA-N 0 3 322.456 4.072 20 0 DIADHN CCOc1cncc(CN2CCC(c3cc(C)ccc3C)CC2)c1 ZINC001462639215 1061312723 /nfs/dbraw/zinc/31/27/23/1061312723.db2.gz SRMPSTIMHOKWPG-UHFFFAOYSA-N 0 3 324.468 4.477 20 0 DIADHN CC1(C)CO[C@H](c2cccc(Cl)c2)CN1C[Si](C)(C)C ZINC001473647246 1061312826 /nfs/dbraw/zinc/31/28/26/1061312826.db2.gz IVDMPAAPUDYNGM-HNNXBMFYSA-N 0 3 311.929 4.369 20 0 DIADHN Cc1nc(F)ccc1CN1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001462638877 1061313627 /nfs/dbraw/zinc/31/36/27/1061313627.db2.gz VRISUEXAONAMCC-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN C[C@H](CC(=O)N1CCN(C2CCC(C)CC2)CC1)CC(C)(C)C ZINC001456418126 1061314629 /nfs/dbraw/zinc/31/46/29/1061314629.db2.gz MMBAFDHXMLGZPI-LXPRWKDFSA-N 0 3 322.537 4.172 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(CC(=O)Nc2cccc3ccccc32)C1 ZINC001473649795 1061318214 /nfs/dbraw/zinc/31/82/14/1061318214.db2.gz NVLBPYRFAMQNKA-HZPDHXFCSA-N 0 3 310.441 4.289 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)[C@@H]1CCN(C2CCC2)C1=O ZINC001473659191 1061319687 /nfs/dbraw/zinc/31/96/87/1061319687.db2.gz GFGVNBOGCXAVDI-SJLPKXTDSA-N 0 3 308.510 4.068 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)[C@H]1CCN(C2CCC2)C1=O ZINC001473659186 1061320570 /nfs/dbraw/zinc/32/05/70/1061320570.db2.gz GFGVNBOGCXAVDI-AEFFLSMTSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1ccc([C@@H](C)CNCc2ncccc2Br)cc1 ZINC001462662993 1061324724 /nfs/dbraw/zinc/32/47/24/1061324724.db2.gz NPDWJHWVIKOPMS-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)Nc1c(C)cc(C)cc1C ZINC001473663709 1061324837 /nfs/dbraw/zinc/32/48/37/1061324837.db2.gz MYXCYFZNLNOSEZ-DLBZAZTESA-N 0 3 302.462 4.203 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCc2ccnc(N(C)C)c2C1 ZINC001462669270 1061329426 /nfs/dbraw/zinc/32/94/26/1061329426.db2.gz SUBSTWIUEAHHJT-KRWDZBQOSA-N 0 3 315.505 4.278 20 0 DIADHN CC(C)O[C@H](CN1CC2(CCCC2)OC[C@H]1C)c1ccccc1 ZINC001473669911 1061334583 /nfs/dbraw/zinc/33/45/83/1061334583.db2.gz YCGNJHWQASOZME-IEBWSBKVSA-N 0 3 317.473 4.186 20 0 DIADHN COc1cccc(CN2CCC3(C=Cc4ccccc4O3)CC2)c1 ZINC001473678989 1061337898 /nfs/dbraw/zinc/33/78/98/1061337898.db2.gz LBRHTJFEBUYUEC-UHFFFAOYSA-N 0 3 321.420 4.136 20 0 DIADHN Fc1cccc(CCN2CCC3(C=Cc4ccccc4O3)CC2)c1 ZINC001473679324 1061343598 /nfs/dbraw/zinc/34/35/98/1061343598.db2.gz QDAPEYVVHTVVNH-UHFFFAOYSA-N 0 3 323.411 4.309 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(Cl)cc3)C2)cc1F ZINC001473688685 1061346164 /nfs/dbraw/zinc/34/61/64/1061346164.db2.gz FOTGPSDELQKEAY-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN CCCOc1cccc(CN(CC)[C@@H](CO)c2ccccc2)c1 ZINC001473745642 1061386812 /nfs/dbraw/zinc/38/68/12/1061386812.db2.gz AVWXXUKNWMRXHP-FQEVSTJZSA-N 0 3 313.441 4.031 20 0 DIADHN Clc1oc2ccccc2c1CN[C@@H]1CCC[C@]12CCCO2 ZINC001473750633 1061392283 /nfs/dbraw/zinc/39/22/83/1061392283.db2.gz XBYRPUNQDDGMRU-WBVHZDCISA-N 0 3 305.805 4.278 20 0 DIADHN CCc1ccc(CN2CCN([C@H](C)c3cccc(C)c3)CC2)cc1 ZINC001473756271 1061394599 /nfs/dbraw/zinc/39/45/99/1061394599.db2.gz XOMPNPVTPOPXGS-LJQANCHMSA-N 0 3 322.496 4.436 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](c3c(F)cccc3F)C2)c(C)n1 ZINC001473782585 1061408608 /nfs/dbraw/zinc/40/86/08/1061408608.db2.gz XDCRXPDNASMBAN-OAHLLOKOSA-N 0 3 316.395 4.275 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(c2ccn(C)n2)CC1 ZINC001473809755 1061417882 /nfs/dbraw/zinc/41/78/82/1061417882.db2.gz SFFTZZIQDNSQLH-GOSISDBHSA-N 0 3 317.864 4.404 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(c2ncccn2)CC1 ZINC001473811544 1061417996 /nfs/dbraw/zinc/41/79/96/1061417996.db2.gz QPABGMUKLMEKSH-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1cnc(CN2CC[C@H](c3ccc(Cl)cc3)C2(C)C)nc1 ZINC001473816278 1061419673 /nfs/dbraw/zinc/41/96/73/1061419673.db2.gz AQMVYDAUQOMZBR-MRXNPFEDSA-N 0 3 315.848 4.207 20 0 DIADHN C[C@]1(Cc2ccc(Cl)s2)CCCN1Cc1cncs1 ZINC001473846793 1061435345 /nfs/dbraw/zinc/43/53/45/1061435345.db2.gz QHRARXYUTFFMQU-CQSZACIVSA-N 0 3 312.891 4.455 20 0 DIADHN Clc1oc2ccccc2c1CN[C@@H]1CCOC12CCCC2 ZINC001473867080 1061439601 /nfs/dbraw/zinc/43/96/01/1061439601.db2.gz QPCZQZNAAAKTOU-OAHLLOKOSA-N 0 3 305.805 4.278 20 0 DIADHN Fc1cccc(Cl)c1CN1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC001473871181 1061442882 /nfs/dbraw/zinc/44/28/82/1061442882.db2.gz UVDKAOAYKCMLPW-KGLIPLIRSA-N 0 3 311.828 4.118 20 0 DIADHN CC(C)CCNCc1c(F)c(Cl)ccc1Br ZINC001473910847 1061457623 /nfs/dbraw/zinc/45/76/23/1061457623.db2.gz ANPHWWITCXSWGS-UHFFFAOYSA-N 0 3 308.622 4.377 20 0 DIADHN Cc1nc(CNCCc2c(C)cc(C(C)(C)C)cc2C)[nH]c1C ZINC001473915337 1061463271 /nfs/dbraw/zinc/46/32/71/1061463271.db2.gz SUHYAIGUBHSGBY-UHFFFAOYSA-N 0 3 313.489 4.273 20 0 DIADHN CCCCOc1ccccc1CNCCOc1ccc(F)cc1 ZINC001473929452 1061477948 /nfs/dbraw/zinc/47/79/48/1061477948.db2.gz IICDXYXJFWIDIX-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN Cc1ccc(OCCCC[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001473937711 1061481637 /nfs/dbraw/zinc/48/16/37/1061481637.db2.gz IAMKZQHKSPOMRS-UHFFFAOYSA-N 0 3 319.832 4.303 20 0 DIADHN Fc1cccc(OCCNCc2cc(Cl)ccc2Cl)c1 ZINC001473948495 1061489965 /nfs/dbraw/zinc/48/99/65/1061489965.db2.gz LQWPXJHDTPHFJS-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Fc1ccc(/C=C/CN[C@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC001473961059 1061500645 /nfs/dbraw/zinc/50/06/45/1061500645.db2.gz RLAPYDHPHFXUAK-KGETVQGISA-N 0 3 311.400 4.349 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2ccc(F)c(F)c2)c1C ZINC001473959264 1061502472 /nfs/dbraw/zinc/50/24/72/1061502472.db2.gz UNUYDNORGLYVRZ-UHFFFAOYSA-N 0 3 319.395 4.326 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@H](C)COc2ccccc2)c1 ZINC001473962582 1061504069 /nfs/dbraw/zinc/50/40/69/1061504069.db2.gz NDZAOWNRKOYMSW-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN Cc1ncccc1CN[C@H]1CCCc2cc(OC(F)F)ccc21 ZINC001473963436 1061505077 /nfs/dbraw/zinc/50/50/77/1061505077.db2.gz BMMWSURKKBTIEX-KRWDZBQOSA-N 0 3 318.367 4.159 20 0 DIADHN Cc1cccc(C(C)(C)C[NH2+]Cc2cc([O-])cc(Cl)c2)c1 ZINC001473966309 1061510282 /nfs/dbraw/zinc/51/02/82/1061510282.db2.gz UHZCLDFRFKKRIQ-UHFFFAOYSA-N 0 3 303.833 4.421 20 0 DIADHN CN(C)[C@@H](CNC(=O)CC1CCC(C)(C)CC1)c1ccccc1 ZINC001463693323 1061510731 /nfs/dbraw/zinc/51/07/31/1061510731.db2.gz QCZRRSHIWANSAG-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H](O)C2CCCCC2)c1Cl ZINC001473974913 1061517235 /nfs/dbraw/zinc/51/72/35/1061517235.db2.gz QMQZUOXMRXGDKY-HNNXBMFYSA-N 0 3 316.272 4.333 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001463764469 1061517892 /nfs/dbraw/zinc/51/78/92/1061517892.db2.gz LELXTYMTOFYJBY-OAHLLOKOSA-N 0 3 321.424 4.124 20 0 DIADHN CCC[C@H](C(=O)NC[C@H](c1ccccc1)N1CCCC1)C(C)C ZINC001463768022 1061518499 /nfs/dbraw/zinc/51/84/99/1061518499.db2.gz ZJZCCWHMSZTTJU-RBUKOAKNSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H](Cc1cccs1)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001473991680 1061534807 /nfs/dbraw/zinc/53/48/07/1061534807.db2.gz QYXROZMYPUMOFD-RYUDHWBXSA-N 0 3 306.500 4.050 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H]1CCCc2ccccc21 ZINC001463952003 1061537259 /nfs/dbraw/zinc/53/72/59/1061537259.db2.gz CPZSLYUHPSKXCS-IBGZPJMESA-N 0 3 316.489 4.035 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](c2ccccc2C)C2CC2)c1 ZINC001474001586 1061543238 /nfs/dbraw/zinc/54/32/38/1061543238.db2.gz WWYJDICVMDVYOL-HXUWFJFHSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@H]1CCCC[C@H]1CCNCc1cccnc1Br ZINC001474004310 1061544727 /nfs/dbraw/zinc/54/47/27/1061544727.db2.gz IATINVFJNWXTHE-STQMWFEESA-N 0 3 311.267 4.150 20 0 DIADHN CC(C)(C)C[C@@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474003346 1061545506 /nfs/dbraw/zinc/54/55/06/1061545506.db2.gz UCGXESOJJIMTQC-OAHLLOKOSA-N 0 3 321.848 4.487 20 0 DIADHN CCCC[C@H](COC)NCc1cc2cccc(C)c2nc1Cl ZINC001474013100 1061554456 /nfs/dbraw/zinc/55/44/56/1061554456.db2.gz KLLMXMRHQCZABO-MRXNPFEDSA-N 0 3 320.864 4.491 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nnc(-c2ccc(OCC)cc2)o1 ZINC001252042935 1061560814 /nfs/dbraw/zinc/56/08/14/1061560814.db2.gz DZIFPIDQBVXGAP-AWEZNQCLSA-N 0 3 317.433 4.318 20 0 DIADHN CCCCC1(C(=O)NC[C@@H](c2ccsc2)N(CC)CC)CC1 ZINC001464141846 1061561435 /nfs/dbraw/zinc/56/14/35/1061561435.db2.gz RVZCQUPZVPWASV-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN COc1cc(C)ccc1CNC[C@H](OC)c1cccc(Cl)c1 ZINC001474023152 1061561609 /nfs/dbraw/zinc/56/16/09/1061561609.db2.gz RLKIUTRLDQKFGW-SFHVURJKSA-N 0 3 319.832 4.134 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NCc1cccc2ccccc21 ZINC001474025674 1061565924 /nfs/dbraw/zinc/56/59/24/1061565924.db2.gz WPLAFQIMGAFHRY-HXUWFJFHSA-N 0 3 319.404 4.462 20 0 DIADHN CCOc1cccc(F)c1CN[C@@H]1C[C@@H](OCC)C1(CC)CC ZINC001474028352 1061569158 /nfs/dbraw/zinc/56/91/58/1061569158.db2.gz NPBYCHYLDJGLOC-QZTJIDSGSA-N 0 3 323.452 4.298 20 0 DIADHN Cc1cc2cc(CNCCOCc3ccccc3)oc2cc1C ZINC001474032168 1061573957 /nfs/dbraw/zinc/57/39/57/1061573957.db2.gz BMNQUVUFRLGWSL-UHFFFAOYSA-N 0 3 309.409 4.356 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOCc1ccccc1 ZINC001474032888 1061577617 /nfs/dbraw/zinc/57/76/17/1061577617.db2.gz WGELYSQXZBGCKR-UHFFFAOYSA-N 0 3 301.430 4.192 20 0 DIADHN C[C@@H]1CCCC[C@H]1CNc1cccc(CN2CCSCC2)c1 ZINC001474049762 1061597098 /nfs/dbraw/zinc/59/70/98/1061597098.db2.gz PNWZMHLSDSASGH-AEFFLSMTSA-N 0 3 318.530 4.474 20 0 DIADHN CCC(CC)(CC)CNCc1ncc(Br)cc1F ZINC001474066510 1061612962 /nfs/dbraw/zinc/61/29/62/1061612962.db2.gz XNJAUAISPKCVJE-UHFFFAOYSA-N 0 3 317.246 4.289 20 0 DIADHN Cc1cccc(C)c1OC[C@H](NCc1cnsc1)C(C)(C)C ZINC001474070423 1061616715 /nfs/dbraw/zinc/61/67/15/1061616715.db2.gz QLJUACIULHVIQJ-INIZCTEOSA-N 0 3 318.486 4.343 20 0 DIADHN COc1cc(F)cc(CNCc2ccc(F)c3ccccc23)c1 ZINC001474073272 1061618422 /nfs/dbraw/zinc/61/84/22/1061618422.db2.gz KEQBWDKXGYTBMV-UHFFFAOYSA-N 0 3 313.347 4.416 20 0 DIADHN CC[C@H](NC/C=C\c1ccccc1OC)c1c(C)noc1C ZINC001474075906 1061622197 /nfs/dbraw/zinc/62/21/97/1061622197.db2.gz HMHJBEJJUOSQGV-YHWKNFMLSA-N 0 3 300.402 4.054 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](CC)c1ccc(C)cc1 ZINC001464674241 1061622347 /nfs/dbraw/zinc/62/23/47/1061622347.db2.gz JORVXTDLSUJHAA-GOSISDBHSA-N 0 3 304.478 4.074 20 0 DIADHN CCN(CC)CC(=O)N[C@H](CC1CCCCC1)c1ccccc1 ZINC001464683262 1061623567 /nfs/dbraw/zinc/62/35/67/1061623567.db2.gz LIDMGEWYIPYYRQ-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1ccc(OC)cc1F ZINC001474080997 1061627320 /nfs/dbraw/zinc/62/73/20/1061627320.db2.gz LXONWGKMMFQKNE-HNNXBMFYSA-N 0 3 319.445 4.495 20 0 DIADHN COc1cc(C)cc(CNCc2cccc(OC(C)(C)C)c2)c1 ZINC001474082716 1061630294 /nfs/dbraw/zinc/63/02/94/1061630294.db2.gz YWFCAPYGMXCEFP-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@@H]3CCCCO3)cs2)cc1 ZINC001474084505 1061631529 /nfs/dbraw/zinc/63/15/29/1061631529.db2.gz XJVZPHZLZLIUGQ-KRWDZBQOSA-N 0 3 316.470 4.167 20 0 DIADHN CC(C)=C(C)CC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001464787293 1061634733 /nfs/dbraw/zinc/63/47/33/1061634733.db2.gz IMYLTHYMTPJEFZ-IBGZPJMESA-N 0 3 314.473 4.076 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccccc1N1CCCCC1 ZINC001474092045 1061640597 /nfs/dbraw/zinc/64/05/97/1061640597.db2.gz BIRNCWRSOKFDPV-UHFFFAOYSA-N 0 3 312.432 4.414 20 0 DIADHN Cc1cnc2ccccc2c1N1CCN(c2ccc(F)cc2)CC1 ZINC001252421041 1061641597 /nfs/dbraw/zinc/64/15/97/1061641597.db2.gz UUYVZPTUKWKIMD-UHFFFAOYSA-N 0 3 321.399 4.009 20 0 DIADHN CCn1nc(C)c(CNCC2(C3CCCCC3)CCCCC2)n1 ZINC001474096652 1061642646 /nfs/dbraw/zinc/64/26/46/1061642646.db2.gz LFGXDFIKSQYWER-UHFFFAOYSA-N 0 3 318.509 4.227 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cnn(C2CCC2)c1 ZINC001474104182 1061650368 /nfs/dbraw/zinc/65/03/68/1061650368.db2.gz IDLVHQZHGROQNA-MGPUTAFESA-N 0 3 309.457 4.411 20 0 DIADHN C=Cc1ccc(CCNCc2ccsc2Br)cc1 ZINC001474156293 1061698638 /nfs/dbraw/zinc/69/86/38/1061698638.db2.gz ZDEHFIBJDYAYQJ-UHFFFAOYSA-N 0 3 322.271 4.486 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)c1ccc(C(C)(C)C)s1 ZINC001465015133 1061661749 /nfs/dbraw/zinc/66/17/49/1061661749.db2.gz VKKVFXNOMIXGKO-CQSZACIVSA-N 0 3 322.518 4.040 20 0 DIADHN COc1ccc(CNC[C@H]2CCC3(CCCCC3)O2)c(Cl)c1 ZINC001474112947 1061661997 /nfs/dbraw/zinc/66/19/97/1061661997.db2.gz GNOHTMBBUKPZFO-MRXNPFEDSA-N 0 3 323.864 4.320 20 0 DIADHN CCC(O)(CC)CCNCc1cc(C(F)(F)F)ccc1Cl ZINC001474113656 1061663188 /nfs/dbraw/zinc/66/31/88/1061663188.db2.gz BTFHXAVUTHNUCF-UHFFFAOYSA-N 0 3 323.786 4.390 20 0 DIADHN COc1nsc(CN[C@H]2CCC[C@@H](C(C)C)CC2)c1Cl ZINC001474120266 1061668807 /nfs/dbraw/zinc/66/88/07/1061668807.db2.gz WJSHBVFFQPCLHA-NEPJUHHUSA-N 0 3 316.898 4.500 20 0 DIADHN CSCc1cccc(CNCc2ccc(CC(C)C)nc2)c1 ZINC001474119100 1061668924 /nfs/dbraw/zinc/66/89/24/1061668924.db2.gz RWMVGFIBKRLZBW-UHFFFAOYSA-N 0 3 314.498 4.433 20 0 DIADHN CC1(C)SC[C@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC001474122555 1061671413 /nfs/dbraw/zinc/67/14/13/1061671413.db2.gz DHGNCNKROBPTNZ-LLVKDONJSA-N 0 3 309.784 4.342 20 0 DIADHN COC[C@H](NC/C=C/c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC001474128774 1061673099 /nfs/dbraw/zinc/67/30/99/1061673099.db2.gz HCDFNYUWQBEBJT-DCKQQPRJSA-N 0 3 319.807 4.470 20 0 DIADHN CCO[C@H](CNCc1cccc(Cl)c1F)c1ccccc1 ZINC001474129963 1061677204 /nfs/dbraw/zinc/67/72/04/1061677204.db2.gz AXGGBMXFMBCUAU-MRXNPFEDSA-N 0 3 307.796 4.347 20 0 DIADHN Cc1cccc(OCCCNCc2cc(F)ccc2F)c1C ZINC001474135106 1061681979 /nfs/dbraw/zinc/68/19/79/1061681979.db2.gz QPEASGVKGADTMJ-UHFFFAOYSA-N 0 3 305.368 4.140 20 0 DIADHN Fc1ccc(CC2(NCc3nc4c(s3)CCCC4)CC2)cc1 ZINC001474146737 1061692865 /nfs/dbraw/zinc/69/28/65/1061692865.db2.gz ASGRUPOTDHZITI-UHFFFAOYSA-N 0 3 316.445 4.026 20 0 DIADHN Cc1ccc(F)c(CCNCc2c(Cl)cccc2N(C)C)c1 ZINC001474148486 1061693538 /nfs/dbraw/zinc/69/35/38/1061693538.db2.gz ABYVSLKOVDZPBJ-UHFFFAOYSA-N 0 3 320.839 4.186 20 0 DIADHN CC(C)(CNCc1cc(Cl)ccc1Cl)c1ccccn1 ZINC001474151487 1061695860 /nfs/dbraw/zinc/69/58/60/1061695860.db2.gz QUKXJSCQQBUOIP-UHFFFAOYSA-N 0 3 309.240 4.456 20 0 DIADHN Cc1cc(CNC2(C(C)C)CC2)c(Br)cc1F ZINC001474155971 1061697689 /nfs/dbraw/zinc/69/76/89/1061697689.db2.gz SEKHNMKFLBAOPB-UHFFFAOYSA-N 0 3 300.215 4.175 20 0 DIADHN CC(C)(C)C1CC(NCc2cc(O)ccc2Br)C1 ZINC001474161387 1061703094 /nfs/dbraw/zinc/70/30/94/1061703094.db2.gz QARFIGITYMMSSF-UHFFFAOYSA-N 0 3 312.251 4.069 20 0 DIADHN CC[C@@H](CNCc1cc(Cl)ccc1OC1CCCC1)OC ZINC001474168267 1061711578 /nfs/dbraw/zinc/71/15/78/1061711578.db2.gz XWFRCVVFJICJLK-HNNXBMFYSA-N 0 3 311.853 4.176 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)[C@@H](O)c3ccccc3)o2)cc1 ZINC001474168385 1061713287 /nfs/dbraw/zinc/71/32/87/1061713287.db2.gz UYDXXQFLSMNAPM-IIBYNOLFSA-N 0 3 321.420 4.467 20 0 DIADHN CCC[C@H](O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC001252787284 1061719195 /nfs/dbraw/zinc/71/91/95/1061719195.db2.gz MHTFTYDYOIENQH-XJKSGUPXSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CC[C@H](c3cccc(Cl)c3)C2)n1 ZINC001474185043 1061732908 /nfs/dbraw/zinc/73/29/08/1061732908.db2.gz MGYSRLJNWWCQHF-GOEBONIOSA-N 0 3 316.832 4.175 20 0 DIADHN CC[C@@H](NCc1nccc(C)c1Br)[C@H]1CC1(C)C ZINC001474184855 1061733938 /nfs/dbraw/zinc/73/39/38/1061733938.db2.gz ZDHPNSBZKPWXST-VXGBXAGGSA-N 0 3 311.267 4.067 20 0 DIADHN FC1(F)Oc2ccc(CNCc3cccc(C4CC4)c3)cc2O1 ZINC001474188869 1061736229 /nfs/dbraw/zinc/73/62/29/1061736229.db2.gz FNTVWOVTBBJBDM-UHFFFAOYSA-N 0 3 317.335 4.175 20 0 DIADHN Fc1ccc(Cl)cc1CNC[C@H]1CSc2ccccc2O1 ZINC001474192480 1061741389 /nfs/dbraw/zinc/74/13/89/1061741389.db2.gz SLKPZSOQPGVDDG-ZDUSSCGKSA-N 0 3 323.820 4.122 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@@H]1CCC[C@@H](F)C1 ZINC001474191283 1061742320 /nfs/dbraw/zinc/74/23/20/1061742320.db2.gz OYKWJLDAHDCYSE-NXEZZACHSA-N 0 3 322.168 4.098 20 0 DIADHN CC[C@@H](OCCNCc1ccc(F)c(OC)c1)c1ccccc1 ZINC001474200650 1061749575 /nfs/dbraw/zinc/74/95/75/1061749575.db2.gz HAVOTTWISOQWJW-GOSISDBHSA-N 0 3 317.404 4.092 20 0 DIADHN C[C@]1(CCNCc2c(F)ccc(F)c2Cl)C[C@@]1(F)Cl ZINC001474200112 1061750060 /nfs/dbraw/zinc/75/00/60/1061750060.db2.gz IOCTYZITQDAQMS-STQMWFEESA-N 0 3 312.162 4.413 20 0 DIADHN CCC[C@@H](C)[C@@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001474199521 1061751296 /nfs/dbraw/zinc/75/12/96/1061751296.db2.gz GRUNZDPSVUHBNR-MLGOLLRUSA-N 0 3 320.864 4.083 20 0 DIADHN CC[C@@H](OCCNCc1cc(F)cc(OC)c1)c1ccccc1 ZINC001474200596 1061751334 /nfs/dbraw/zinc/75/13/34/1061751334.db2.gz FURIVMRRRYDOEZ-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](C)CCNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC001474203363 1061758215 /nfs/dbraw/zinc/75/82/15/1061758215.db2.gz NYAIABNXXIEASL-LBPRGKRZSA-N 0 3 318.442 4.065 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1nc(C(C)(C)C)cs1 ZINC001474206290 1061759373 /nfs/dbraw/zinc/75/93/73/1061759373.db2.gz CHIWOLWZZDXAJI-UHFFFAOYSA-N 0 3 312.523 4.108 20 0 DIADHN CS[C@H]1C[C@H](NCc2cc(Br)ccc2Cl)C1 ZINC001474225845 1061765566 /nfs/dbraw/zinc/76/55/66/1061765566.db2.gz YQDAISFTFZKABI-XYPYZODXSA-N 0 3 320.683 4.086 20 0 DIADHN Clc1ccc(OC[C@@H]2CCCO2)c(CNCC2=CCCC2)c1 ZINC001474214842 1061771164 /nfs/dbraw/zinc/77/11/64/1061771164.db2.gz FQABLSNGBAXFLE-KRWDZBQOSA-N 0 3 321.848 4.098 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)c1 ZINC001474216612 1061775991 /nfs/dbraw/zinc/77/59/91/1061775991.db2.gz ZJFGTTWHKDBTBO-HYVNUMGLSA-N 0 3 305.755 4.046 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1ccnn1-c1ccccc1 ZINC001474220973 1061781476 /nfs/dbraw/zinc/78/14/76/1061781476.db2.gz WEBUQJUXNQJQFJ-OAQYLSRUSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1ccnn1-c1ccccc1 ZINC001474220972 1061782297 /nfs/dbraw/zinc/78/22/97/1061782297.db2.gz WEBUQJUXNQJQFJ-NRFANRHFSA-N 0 3 317.436 4.348 20 0 DIADHN CO[C@@H](CNCc1cccc(OC2CC2)c1F)C1CCCCC1 ZINC001474223399 1061783046 /nfs/dbraw/zinc/78/30/46/1061783046.db2.gz ZGSGCZYRKKRHJL-SFHVURJKSA-N 0 3 321.436 4.052 20 0 DIADHN CS[C@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)C1 ZINC001474225505 1061786866 /nfs/dbraw/zinc/78/68/66/1061786866.db2.gz KSHYZHBRPOSEAK-XYPYZODXSA-N 0 3 309.784 4.342 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC001474225269 1061787861 /nfs/dbraw/zinc/78/78/61/1061787861.db2.gz ACKNKRIXSUNRFK-GOSISDBHSA-N 0 3 324.811 4.371 20 0 DIADHN COc1cc(CNCc2ccc3cccnc3c2)ccc1Cl ZINC001474233211 1061794304 /nfs/dbraw/zinc/79/43/04/1061794304.db2.gz RPKFYOAPDPQTOL-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@H](C)c1ccc2ccccc2n1 ZINC001253085245 1061795423 /nfs/dbraw/zinc/79/54/23/1061795423.db2.gz BXBMAFFBAGWOKT-HUUCEWRRSA-N 0 3 324.399 4.492 20 0 DIADHN CC(C)[C@H](NCc1ccc(C(C)(C)C)s1)[C@H](O)C(F)(F)F ZINC001474231747 1061795526 /nfs/dbraw/zinc/79/55/26/1061795526.db2.gz CRFBEWKWEVSXBX-STQMWFEESA-N 0 3 323.424 4.083 20 0 DIADHN OC[C@@H](NC/C=C\c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC001474236442 1061798852 /nfs/dbraw/zinc/79/88/52/1061798852.db2.gz AIZGNJDFNCXOGV-CTEOGUNLSA-N 0 3 321.342 4.042 20 0 DIADHN C[C@](O)(CN1CCC[C@@H]1c1ccc(F)cc1F)c1ccccc1 ZINC001253103236 1061802676 /nfs/dbraw/zinc/80/26/76/1061802676.db2.gz BHXGGDNAUFEVSH-MOPGFXCFSA-N 0 3 317.379 4.009 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)c1 ZINC001474258880 1061824898 /nfs/dbraw/zinc/82/48/98/1061824898.db2.gz RHXOAIKNXXCDAE-NVXWUHKLSA-N 0 3 305.343 4.273 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1cnc(-c2ccccc2)[nH]1 ZINC001474259628 1061827583 /nfs/dbraw/zinc/82/75/83/1061827583.db2.gz CLHAOMGJERYMTN-AWEZNQCLSA-N 0 3 309.388 4.375 20 0 DIADHN C[C@@H](O)CCNCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001253163547 1061828503 /nfs/dbraw/zinc/82/85/03/1061828503.db2.gz SOHASDJLESBESL-SNVBAGLBSA-N 0 3 314.212 4.114 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC001465899575 1061836676 /nfs/dbraw/zinc/83/66/76/1061836676.db2.gz ISKFXMDJXMFCQS-KPFFTGBYSA-N 0 3 322.537 4.362 20 0 DIADHN c1nc(C2CCCC2)sc1CNC[C@H]1CCCC2(CCC2)O1 ZINC001474285360 1061857000 /nfs/dbraw/zinc/85/70/00/1061857000.db2.gz ZRLBJXNMKBKOMS-OAHLLOKOSA-N 0 3 320.502 4.382 20 0 DIADHN C[C@@H](NC/C=C\c1ccc(F)cc1)c1cnn(CC2CCC2)c1 ZINC001474295253 1061865099 /nfs/dbraw/zinc/86/50/99/1061865099.db2.gz NVMJPNQUZWBYLU-OMVNSRBRSA-N 0 3 313.420 4.186 20 0 DIADHN Clc1ccc(CNC[C@H]2OCc3ccccc32)c(Cl)c1 ZINC001474299372 1061866035 /nfs/dbraw/zinc/86/60/35/1061866035.db2.gz AEDZVAFEMSLXNA-MRXNPFEDSA-N 0 3 308.208 4.355 20 0 DIADHN CN1CCN(Cc2cccc(C3CC3)c2)[C@H](c2ccccc2)C1 ZINC001474307826 1061876880 /nfs/dbraw/zinc/87/68/80/1061876880.db2.gz UJBXADAGBBGRSD-NRFANRHFSA-N 0 3 306.453 4.053 20 0 DIADHN CN(Cc1ccccc1)Cc1cccc(C(=O)OC(C)(C)C)c1 ZINC001474308726 1061878540 /nfs/dbraw/zinc/87/85/40/1061878540.db2.gz YGGQLVONLAZEEH-UHFFFAOYSA-N 0 3 311.425 4.274 20 0 DIADHN Cc1cc(Cl)cc(CN(Cc2ccccc2)[C@H](C)CO)c1 ZINC001474312611 1061882535 /nfs/dbraw/zinc/88/25/35/1061882535.db2.gz NGJFXBBMCJYXBY-OAHLLOKOSA-N 0 3 303.833 4.031 20 0 DIADHN Fc1cccc2c1CCN(CCCCCOc1ccccc1)C2 ZINC001474310055 1061883663 /nfs/dbraw/zinc/88/36/63/1061883663.db2.gz PPKMOAUSNXUAJQ-UHFFFAOYSA-N 0 3 313.416 4.433 20 0 DIADHN CC(C)[C@H]1c2ccccc2CCN1Cc1cccc2c1OCO2 ZINC001474319992 1061899005 /nfs/dbraw/zinc/89/90/05/1061899005.db2.gz WZVUKVQTELDXHU-IBGZPJMESA-N 0 3 309.409 4.171 20 0 DIADHN COc1cccc(CN(CCc2cccc(F)c2)C2CC2)c1F ZINC001474321878 1061902405 /nfs/dbraw/zinc/90/24/05/1061902405.db2.gz VAZUTKOWZXNTAP-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN O=c1cc(CN2Cc3ccccc3C[C@@H]2c2ccccc2)cc[nH]1 ZINC001474323671 1061906109 /nfs/dbraw/zinc/90/61/09/1061906109.db2.gz RHSOIUZAUZZCBW-HXUWFJFHSA-N 0 3 316.404 4.087 20 0 DIADHN CCCCC[C@H](O)CN[C@@H](c1ccncc1)c1ccccc1C ZINC001253518041 1061909831 /nfs/dbraw/zinc/90/98/31/1061909831.db2.gz ITXALRFYDFAVSZ-ICSRJNTNSA-N 0 3 312.457 4.010 20 0 DIADHN CC(C)[C@H]1CCN(Cc2csc(-c3ccc(F)cc3)n2)C1 ZINC001474330918 1061919344 /nfs/dbraw/zinc/91/93/44/1061919344.db2.gz JWYISHCUDFPVSC-AWEZNQCLSA-N 0 3 304.434 4.427 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCc2cccc(Cl)c2)n1 ZINC001474328770 1061920775 /nfs/dbraw/zinc/92/07/75/1061920775.db2.gz RGXCMHCYYLFJOG-GOSISDBHSA-N 0 3 300.833 4.423 20 0 DIADHN CCCCC[C@H](O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001253547251 1061921926 /nfs/dbraw/zinc/92/19/26/1061921926.db2.gz GJYVVKSANBLDJV-ZDUSSCGKSA-N 0 3 323.786 4.390 20 0 DIADHN CCOc1cc(CN(CCC(C)C)C2CC2)c(F)cc1OC ZINC001474332090 1061924181 /nfs/dbraw/zinc/92/41/81/1061924181.db2.gz SIXDKDMMMZAMJC-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN CCCCC[C@@H](O)CN[C@H](c1ccccc1)c1ccc(O)cc1 ZINC001253558250 1061928068 /nfs/dbraw/zinc/92/80/68/1061928068.db2.gz UMVFLCXGPMHIRS-WOJBJXKFSA-N 0 3 313.441 4.012 20 0 DIADHN CCCCC[C@@H](O)CN[C@H](CC(C)C)c1nc2ccccc2[nH]1 ZINC001253558109 1061928239 /nfs/dbraw/zinc/92/82/39/1061928239.db2.gz SOAMDEZEEMIENT-CRAIPNDOSA-N 0 3 317.477 4.181 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)nc1Cl)C(C1CC1)C1CC1 ZINC001474336501 1061929589 /nfs/dbraw/zinc/92/95/89/1061929589.db2.gz YTJDZJRKVJKVSN-UHFFFAOYSA-N 0 3 318.770 4.374 20 0 DIADHN CCCc1cccc(CN(C)Cc2ccc(C(=O)OC)cc2)c1 ZINC001474339003 1061937543 /nfs/dbraw/zinc/93/75/43/1061937543.db2.gz ABKLXWWFTHXRRS-UHFFFAOYSA-N 0 3 311.425 4.058 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1cc(F)cc(Cl)c1 ZINC001474343577 1061945350 /nfs/dbraw/zinc/94/53/50/1061945350.db2.gz RDOHWIFFLJFLTP-SFHVURJKSA-N 0 3 321.823 4.252 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@H]2CCCC[C@@H]2C)c1C ZINC001474348787 1061956405 /nfs/dbraw/zinc/95/64/05/1061956405.db2.gz ONNQNZATTOBXBR-DOTOQJQBSA-N 0 3 302.462 4.000 20 0 DIADHN O[C@@H](c1ccccc1)[C@@H]1CCCCN1Cc1cc(Cl)cs1 ZINC001474351138 1061963436 /nfs/dbraw/zinc/96/34/36/1061963436.db2.gz RZIFAQSUCIMGRY-IRXDYDNUSA-N 0 3 321.873 4.490 20 0 DIADHN O[C@H](c1ccccc1)[C@H]1CCCCN1Cc1cc(Cl)cs1 ZINC001474351137 1061964868 /nfs/dbraw/zinc/96/48/68/1061964868.db2.gz RZIFAQSUCIMGRY-IAGOWNOFSA-N 0 3 321.873 4.490 20 0 DIADHN COc1cc(CN2CC[C@H](OCC(C)C)C2)cc2ccccc21 ZINC001474354334 1061966288 /nfs/dbraw/zinc/96/62/88/1061966288.db2.gz PGVNVVLJSOVPSZ-SFHVURJKSA-N 0 3 313.441 4.095 20 0 DIADHN COc1ccc(CN2CC[C@@H](OCC(C)C)C2)cc1C(C)(C)C ZINC001474355073 1061966521 /nfs/dbraw/zinc/96/65/21/1061966521.db2.gz YILOLVIACMCWSP-QGZVFWFLSA-N 0 3 319.489 4.240 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@H](c2ccccc2)C[C@H]1C ZINC001474355545 1061969028 /nfs/dbraw/zinc/96/90/28/1061969028.db2.gz NSLVBXYFGLVJQL-TZMCWYRMSA-N 0 3 303.837 4.004 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@@H](c2ccccc2C)C[C@H]1C ZINC001474359678 1061980353 /nfs/dbraw/zinc/98/03/53/1061980353.db2.gz BMQLQLYHTFLEIG-CABCVRRESA-N 0 3 317.864 4.243 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)[C@@H]2CCCc3c2cnn3C)cc1C ZINC001474359603 1061981781 /nfs/dbraw/zinc/98/17/81/1061981781.db2.gz YGQICPHQVSXHQR-AQKVLALTSA-N 0 3 323.484 4.368 20 0 DIADHN CCc1cccc(F)c1CN1CCCO[C@H](c2ccccc2)C1 ZINC001474371264 1062005910 /nfs/dbraw/zinc/00/59/10/1062005910.db2.gz PQPPWDAYOZUXGV-FQEVSTJZSA-N 0 3 313.416 4.352 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474370488 1062007793 /nfs/dbraw/zinc/00/77/93/1062007793.db2.gz UNGVHKBKLSJTOX-CQSZACIVSA-N 0 3 302.443 4.448 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C[C@@H]1C ZINC001474370202 1062009155 /nfs/dbraw/zinc/00/91/55/1062009155.db2.gz QDOHYLDVEBYQFW-NEPJUHHUSA-N 0 3 319.342 4.245 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2cccnc2)C1(C)C ZINC001254011258 1062011668 /nfs/dbraw/zinc/01/16/68/1062011668.db2.gz ZEUJTXQHEQHXDO-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN CCCN(Cc1c2c(nn1C)CCC2)[C@H](CC)c1ccccc1 ZINC001474373942 1062016977 /nfs/dbraw/zinc/01/69/77/1062016977.db2.gz HXWQFCUCLCIGJP-LJQANCHMSA-N 0 3 311.473 4.272 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)ncc1Br ZINC001474390413 1062042993 /nfs/dbraw/zinc/04/29/93/1062042993.db2.gz CZDJFTZNAYSMFT-DUVNUKRYSA-N 0 3 323.278 4.163 20 0 DIADHN Cc1cc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)ccc1C1CC1 ZINC001459227769 1062047922 /nfs/dbraw/zinc/04/79/22/1062047922.db2.gz VTRWNHGKBUTHIR-LJQANCHMSA-N 0 3 318.436 4.023 20 0 DIADHN C[C@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCC1CCCCC1 ZINC001474395285 1062050342 /nfs/dbraw/zinc/05/03/42/1062050342.db2.gz QTZRYILHJZZMFV-HOTGVXAUSA-N 0 3 324.509 4.287 20 0 DIADHN C[C@@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCC1CCCCC1 ZINC001474395283 1062050696 /nfs/dbraw/zinc/05/06/96/1062050696.db2.gz QTZRYILHJZZMFV-CVEARBPZSA-N 0 3 324.509 4.287 20 0 DIADHN Fc1cccc(CN2CCCCCC2)c1OCC(F)(F)F ZINC001474399648 1062053770 /nfs/dbraw/zinc/05/37/70/1062053770.db2.gz HAMIUIFIKBBJBW-UHFFFAOYSA-N 0 3 305.315 4.143 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@@H]3CCCC[C@@H]3C2)cc1OC ZINC001474405061 1062063618 /nfs/dbraw/zinc/06/36/18/1062063618.db2.gz RHRBSPAOEDSIKV-UONOGXRCSA-N 0 3 323.864 4.369 20 0 DIADHN COc1ccc(OCCN(C)Cc2ccc(C)c(Cl)c2)cc1 ZINC001474407417 1062067833 /nfs/dbraw/zinc/06/78/33/1062067833.db2.gz WDCMLZKQZKLFNI-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN CCNc1ccccc1CN(Cc1ccc(OC)cc1)C1CC1 ZINC001474416253 1062084843 /nfs/dbraw/zinc/08/48/43/1062084843.db2.gz XEKKPWSGWMSZRN-UHFFFAOYSA-N 0 3 310.441 4.292 20 0 DIADHN c1cc(-c2ccc(CN3CCCC[C@@H]3c3ccccc3)o2)n[nH]1 ZINC001474416578 1062088240 /nfs/dbraw/zinc/08/82/40/1062088240.db2.gz HYRVVLKWDCIRGP-GOSISDBHSA-N 0 3 307.397 4.397 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CNCc1nc(Cl)cs1 ZINC001474420512 1062090274 /nfs/dbraw/zinc/09/02/74/1062090274.db2.gz WVLHZAHZAGPLET-GFCCVEGCSA-N 0 3 322.861 4.015 20 0 DIADHN Cc1n[nH]c(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)c1C ZINC001474419263 1062091822 /nfs/dbraw/zinc/09/18/22/1062091822.db2.gz YWYSKTVVTMEHEA-AWEZNQCLSA-N 0 3 323.362 4.035 20 0 DIADHN CCCN(C[C@@H](C)OC)[C@H](C)c1ccccc1Br ZINC001459330049 1062092955 /nfs/dbraw/zinc/09/29/55/1062092955.db2.gz FJDCDCUWRBAIGC-CHWSQXEVSA-N 0 3 314.267 4.257 20 0 DIADHN Fc1cccc([C@H]2CCCN2C[C@@H]2CCC3(CCC3)CO2)c1 ZINC001459340601 1062095958 /nfs/dbraw/zinc/09/59/58/1062095958.db2.gz UXLBVTVEWWMKRB-ZWKOTPCHSA-N 0 3 303.421 4.312 20 0 DIADHN Fc1ccc(/C=C\CNCc2cc(Cl)cc3c2OCC3)cc1 ZINC001474425680 1062098575 /nfs/dbraw/zinc/09/85/75/1062098575.db2.gz JGFXFHJRASVACO-UPHRSURJSA-N 0 3 317.791 4.217 20 0 DIADHN FC(F)(F)SCCN1CCC(COc2ccccc2)CC1 ZINC001459347165 1062103266 /nfs/dbraw/zinc/10/32/66/1062103266.db2.gz UUZSKLIVHNITJR-UHFFFAOYSA-N 0 3 319.392 4.030 20 0 DIADHN C[C@@H](c1c(F)cccc1F)N1CCN(CCC(C)(C)C)CC1 ZINC001459350537 1062104708 /nfs/dbraw/zinc/10/47/08/1062104708.db2.gz YEXPERRZJXNETP-AWEZNQCLSA-N 0 3 310.432 4.080 20 0 DIADHN C[C@]1(c2ccc(F)cc2)CN(C2CCC3(CC3)CC2)CCO1 ZINC001254445488 1062106404 /nfs/dbraw/zinc/10/64/04/1062106404.db2.gz OAROQQPWLPZBKW-GOSISDBHSA-N 0 3 303.421 4.096 20 0 DIADHN Cc1ccc(CCCN(Cc2ccco2)C[C@H]2CCCO2)cc1 ZINC001459354080 1062107554 /nfs/dbraw/zinc/10/75/54/1062107554.db2.gz IMKJQKZXBOQXAY-HXUWFJFHSA-N 0 3 313.441 4.202 20 0 DIADHN CC(C)[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Cl)cc1F ZINC001474434172 1062109993 /nfs/dbraw/zinc/10/99/93/1062109993.db2.gz PBKZSIUXFHZDCL-XJKSGUPXSA-N 0 3 311.828 4.477 20 0 DIADHN c1c(CNC2CCc3ccccc3CC2)noc1-c1ccccc1 ZINC001254452421 1062113376 /nfs/dbraw/zinc/11/33/76/1062113376.db2.gz KHPQLEMNTVPGQU-UHFFFAOYSA-N 0 3 318.420 4.379 20 0 DIADHN COC1(CN2CCc3cc(Cl)ccc3C2)CCCCCC1 ZINC001474438131 1062115180 /nfs/dbraw/zinc/11/51/80/1062115180.db2.gz DJTZJGSVYZWRRW-UHFFFAOYSA-N 0 3 307.865 4.438 20 0 DIADHN c1ccc([C@H]2CN(C3CCc4ccccc4CC3)CCO2)cc1 ZINC001254461039 1062119432 /nfs/dbraw/zinc/11/94/32/1062119432.db2.gz WEBNETTUQOKNMF-OAQYLSRUSA-N 0 3 307.437 4.008 20 0 DIADHN C[C@H]1CCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)CC1 ZINC001459384990 1062120033 /nfs/dbraw/zinc/12/00/33/1062120033.db2.gz IQRKOQOQIDWPHT-NSHDSACASA-N 0 3 312.335 4.469 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1c(Cl)cccc1OC ZINC001474444017 1062121193 /nfs/dbraw/zinc/12/11/93/1062121193.db2.gz HPWFAZASADUUKF-JKSUJKDBSA-N 0 3 311.853 4.032 20 0 DIADHN CO[C@@]1(C)CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001474491469 1062170936 /nfs/dbraw/zinc/17/09/36/1062170936.db2.gz IACOVUWDTVWDGP-INIZCTEOSA-N 0 3 316.272 4.427 20 0 DIADHN C[C@H](c1ccco1)N(C)CCCN(C(=O)OC(C)(C)C)C1CC1 ZINC001459386695 1062125667 /nfs/dbraw/zinc/12/56/67/1062125667.db2.gz IVNHBEAFJFTQAC-CQSZACIVSA-N 0 3 322.449 4.062 20 0 DIADHN Fc1ccc(Br)cc1CN(CCC1CC1)C1CC1 ZINC001459398250 1062128472 /nfs/dbraw/zinc/12/84/72/1062128472.db2.gz KQLVGZZBLXGYOE-UHFFFAOYSA-N 0 3 312.226 4.353 20 0 DIADHN Cc1nc(CCN2CCc3cc(C(C)(C)C)ccc3C2)cs1 ZINC001459395036 1062129359 /nfs/dbraw/zinc/12/93/59/1062129359.db2.gz GJAQIHSROLEGPR-UHFFFAOYSA-N 0 3 314.498 4.350 20 0 DIADHN Clc1ccc(-n2ccc(CN3CCC[C@@H]3C3CCC3)n2)cc1 ZINC001459429476 1062141241 /nfs/dbraw/zinc/14/12/41/1062141241.db2.gz SJXWKRFRKMNVFV-GOSISDBHSA-N 0 3 315.848 4.290 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](C)c1ccc(SC)cc1 ZINC001467540109 1062142540 /nfs/dbraw/zinc/14/25/40/1062142540.db2.gz WDBWMEAQBJMUSS-HNNXBMFYSA-N 0 3 322.518 4.098 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc(Cl)cc1F ZINC001474464016 1062142944 /nfs/dbraw/zinc/14/29/44/1062142944.db2.gz FATFHMDBFULQMZ-SJCJKPOMSA-N 0 3 307.796 4.345 20 0 DIADHN CC[C@@]1(C)COCCN1C[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC001474463701 1062144357 /nfs/dbraw/zinc/14/43/57/1062144357.db2.gz VWOWLSOMSPZLAY-BBRMVZONSA-N 0 3 315.379 4.310 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCCO[C@@H](c3ccccc3)C2)c1 ZINC001459458900 1062150670 /nfs/dbraw/zinc/15/06/70/1062150670.db2.gz RJCJMXJQEYUPTG-XUVXKRRUSA-N 0 3 311.400 4.145 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001459462999 1062155316 /nfs/dbraw/zinc/15/53/16/1062155316.db2.gz GWNJEOOCTRNXSV-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@@]3(CC=CCC3)C2)c1 ZINC001459476053 1062159790 /nfs/dbraw/zinc/15/97/90/1062159790.db2.gz FDTUEHBHUGOXBY-IBGZPJMESA-N 0 3 300.446 4.191 20 0 DIADHN CN(CCCC1CCCC1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC001459489680 1062165873 /nfs/dbraw/zinc/16/58/73/1062165873.db2.gz VHKNCNXTQYUKBW-LJQANCHMSA-N 0 3 314.473 4.084 20 0 DIADHN Clc1ccc(CN2CCN(C3CCC3)CC2)c2ccccc12 ZINC001459490534 1062166075 /nfs/dbraw/zinc/16/60/75/1062166075.db2.gz SQWFGXSLSRLLEJ-UHFFFAOYSA-N 0 3 314.860 4.163 20 0 DIADHN Clc1csc(CNCCCc2cccc(Cl)c2)n1 ZINC001474487345 1062168103 /nfs/dbraw/zinc/16/81/03/1062168103.db2.gz MHQZHWVDHTXZCD-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN Cn1c(CN[C@@H](c2ccccc2)C(C)(C)CO)cc2ccccc21 ZINC001474490047 1062168188 /nfs/dbraw/zinc/16/81/88/1062168188.db2.gz OYFJLALSLOOZLA-FQEVSTJZSA-N 0 3 322.452 4.028 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1cc(O)cc(C(F)(F)F)c1 ZINC001459493156 1062169923 /nfs/dbraw/zinc/16/99/23/1062169923.db2.gz UZZGCGJAOIHXHB-OAHLLOKOSA-N 0 3 301.352 4.422 20 0 DIADHN CO[C@]1(C)CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001474491470 1062169997 /nfs/dbraw/zinc/16/99/97/1062169997.db2.gz IACOVUWDTVWDGP-MRXNPFEDSA-N 0 3 316.272 4.427 20 0 DIADHN COCc1c(C)cc(C)c(CN(C)Cc2ccsc2)c1C ZINC001474492183 1062170650 /nfs/dbraw/zinc/17/06/50/1062170650.db2.gz PJKUYFIGOXRYHT-UHFFFAOYSA-N 0 3 303.471 4.452 20 0 DIADHN CN(Cc1nc2ccc(Cl)cc2n1C)[C@@H]1CCC(C)(C)C1 ZINC001474491252 1062171018 /nfs/dbraw/zinc/17/10/18/1062171018.db2.gz GJJUQTNQCKCDIL-CYBMUJFWSA-N 0 3 305.853 4.237 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@H](C)CCC[C@H]2C)c1 ZINC001459506213 1062171762 /nfs/dbraw/zinc/17/17/62/1062171762.db2.gz JKZTZDAFMZYJAE-CHWSQXEVSA-N 0 3 309.837 4.137 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCc2cccnc2)cc1F ZINC001459508901 1062173351 /nfs/dbraw/zinc/17/33/51/1062173351.db2.gz HZPQTJSAKZKDDR-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@H](C)[C@H]2CC3CCC2CC3)[nH]1 ZINC001474494033 1062173524 /nfs/dbraw/zinc/17/35/24/1062173524.db2.gz IIRDIOVXYKDYIF-BRDRWCTMSA-N 0 3 304.482 4.184 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1C[C@H](C)CCC[C@H]1C ZINC001459513485 1062176634 /nfs/dbraw/zinc/17/66/34/1062176634.db2.gz UNXUNPGXHYYTGL-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccccc1CN(Cc1cccnc1)C[C@]1(C)CCCCO1 ZINC001474499204 1062179969 /nfs/dbraw/zinc/17/99/69/1062179969.db2.gz NFBAPZDDHYEROO-NRFANRHFSA-N 0 3 324.468 4.351 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2ncccc2Cl)C1 ZINC001459535289 1062194122 /nfs/dbraw/zinc/19/41/22/1062194122.db2.gz ZHYWJQNDFZSUCK-HUUCEWRRSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)[C@@H](CO)N(Cc1ccccc1)Cc1ccccc1Cl ZINC001459559326 1062207218 /nfs/dbraw/zinc/20/72/18/1062207218.db2.gz FLDWKLYDQLIDBW-LJQANCHMSA-N 0 3 317.860 4.359 20 0 DIADHN CC[C@H](C)N1CCN(Cc2ccc(Cl)c(C)c2Cl)CC1 ZINC001474525635 1062208124 /nfs/dbraw/zinc/20/81/24/1062208124.db2.gz KCPGFWDIERBRTL-LBPRGKRZSA-N 0 3 315.288 4.218 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCS[C@@H](C(C)C)C1 ZINC001459561530 1062212230 /nfs/dbraw/zinc/21/22/30/1062212230.db2.gz NILHNALARXYHFV-QGZVFWFLSA-N 0 3 316.470 4.223 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1CCCC(C)(C)CC1 ZINC001459562125 1062213253 /nfs/dbraw/zinc/21/32/53/1062213253.db2.gz SUOFBQLOUAVMQO-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(CC=C(Cl)Cl)CC1 ZINC001459573001 1062216744 /nfs/dbraw/zinc/21/67/44/1062216744.db2.gz GIWBIOBITKOGNG-HNNXBMFYSA-N 0 3 313.272 4.074 20 0 DIADHN CC[C@H]1CCC[C@H]1CNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474533546 1062217543 /nfs/dbraw/zinc/21/75/43/1062217543.db2.gz VBMSCNDYYFCJDP-RDJZCZTQSA-N 0 3 324.472 4.061 20 0 DIADHN CCSc1ccccc1CN(Cc1ccccc1)[C@H](C)CO ZINC001459570992 1062217807 /nfs/dbraw/zinc/21/78/07/1062217807.db2.gz JXXXUQGKQWXJMP-MRXNPFEDSA-N 0 3 315.482 4.182 20 0 DIADHN Fc1nccc(Cl)c1CNC[C@@H]1CCCCC(F)(F)C1 ZINC001474539243 1062228282 /nfs/dbraw/zinc/22/82/82/1062228282.db2.gz HOAYPOOQXHDPJI-SNVBAGLBSA-N 0 3 306.759 4.179 20 0 DIADHN Cc1nccnc1CN(Cc1ccccc1)[C@H]1CCCC1(C)C ZINC001459604155 1062240098 /nfs/dbraw/zinc/24/00/98/1062240098.db2.gz RBDXDGCUULQVJB-IBGZPJMESA-N 0 3 309.457 4.366 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CC(C)(C)[C@H]1C(C)C ZINC001474548444 1062243546 /nfs/dbraw/zinc/24/35/46/1062243546.db2.gz ATJZOTSGCLKJOX-LJQANCHMSA-N 0 3 311.473 4.356 20 0 DIADHN CC[C@@H]1CN(C(C)=O)[C@H](CC)CN1Cc1ccccc1C(C)C ZINC001459606766 1062244814 /nfs/dbraw/zinc/24/48/14/1062244814.db2.gz UQLRSMCMQROUSY-RTBURBONSA-N 0 3 316.489 4.031 20 0 DIADHN COc1ncc(CN2CC[C@@H](c3ccccc3)[C@H]2C)cc1Cl ZINC001459618055 1062249070 /nfs/dbraw/zinc/24/90/70/1062249070.db2.gz AQLGLUNIUKVXDX-CZUORRHYSA-N 0 3 316.832 4.122 20 0 DIADHN OC1(CCN(Cc2cc(F)ccc2F)C2CCCC2)CCC1 ZINC001459626567 1062262531 /nfs/dbraw/zinc/26/25/31/1062262531.db2.gz UPTFMKGFBMVPIZ-UHFFFAOYSA-N 0 3 309.400 4.015 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CC[C@H](c2ccccc2)[C@@H](CC)C1 ZINC001459625283 1062264680 /nfs/dbraw/zinc/26/46/80/1062264680.db2.gz HCIRKRXVULBBML-YTQUADARSA-N 0 3 317.473 4.234 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1ncccc1Cl ZINC001459631220 1062266169 /nfs/dbraw/zinc/26/61/69/1062266169.db2.gz LEFRGZHPCAZGKE-GOEBONIOSA-N 0 3 300.833 4.188 20 0 DIADHN CCCSC[C@@H](C)N[C@H](C)c1nccn1-c1ccccc1 ZINC001474562071 1062268583 /nfs/dbraw/zinc/26/85/83/1062268583.db2.gz RJTIVBSHXDFNFN-HUUCEWRRSA-N 0 3 303.475 4.055 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccc2nccnc2c1 ZINC001474563504 1062269109 /nfs/dbraw/zinc/26/91/09/1062269109.db2.gz QTZWQMSRWJNQCJ-LJQANCHMSA-N 0 3 321.424 4.222 20 0 DIADHN CC(C)CC1CCN(Cc2ccc(-c3ccccn3)nc2)CC1 ZINC001459658588 1062279272 /nfs/dbraw/zinc/27/92/72/1062279272.db2.gz UXQHCCZBZSTXHI-UHFFFAOYSA-N 0 3 309.457 4.402 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001468226191 1062280007 /nfs/dbraw/zinc/28/00/07/1062280007.db2.gz ZWLXZIYFVCPBAD-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN CC(C)=CCC[C@H](C)CCNC(C)(C)c1cn(C(C)C)nn1 ZINC001474586725 1062295847 /nfs/dbraw/zinc/29/58/47/1062295847.db2.gz UZIOYINJLICJNV-INIZCTEOSA-N 0 3 306.498 4.456 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCc2ccc(F)cc2F)o1 ZINC001255000965 1062295988 /nfs/dbraw/zinc/29/59/88/1062295988.db2.gz BPAQSZYAKINBBK-KRWDZBQOSA-N 0 3 321.367 4.084 20 0 DIADHN CC(C)c1nc([C@H](C)NCCc2ccc(N(C)C)cc2)cs1 ZINC001255018960 1062300038 /nfs/dbraw/zinc/30/00/38/1062300038.db2.gz MKCZGVUIUPTJNR-AWEZNQCLSA-N 0 3 317.502 4.226 20 0 DIADHN C[C@H](CCc1ccccc1F)N[C@H]1C[C@@]1(F)c1ccccc1 ZINC001474592355 1062305791 /nfs/dbraw/zinc/30/57/91/1062305791.db2.gz XPGZNNCYOYTCEE-MDASCCDHSA-N 0 3 301.380 4.374 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2cccc(F)c2)cnc1F ZINC001474593801 1062309289 /nfs/dbraw/zinc/30/92/89/1062309289.db2.gz KUSYHEPHQVNLDY-LJQANCHMSA-N 0 3 316.395 4.265 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001459707711 1062323458 /nfs/dbraw/zinc/32/34/58/1062323458.db2.gz FEIFXRMUGFDUKM-JSGCOSHPSA-N 0 3 311.372 4.409 20 0 DIADHN Cc1csc2nc(C)c(CN3CC4(CC=CC4)[C@H]3C(C)C)n12 ZINC001474610362 1062325726 /nfs/dbraw/zinc/32/57/26/1062325726.db2.gz YVFMESYEIGWFDG-MRXNPFEDSA-N 0 3 315.486 4.189 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC3(CC=CC3)[C@H]2C(C)C)cn1 ZINC001474609839 1062327513 /nfs/dbraw/zinc/32/75/13/1062327513.db2.gz MLJISGCMLBCNCX-HXUWFJFHSA-N 0 3 321.468 4.357 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1ccsc1Cl ZINC001468497588 1062333078 /nfs/dbraw/zinc/33/30/78/1062333078.db2.gz CQOVIMVFUQHVEM-UHFFFAOYSA-N 0 3 323.849 4.071 20 0 DIADHN CCOc1ccc(CN2CCCC[C@@H]2Cc2ccccc2F)o1 ZINC001474616327 1062334412 /nfs/dbraw/zinc/33/44/12/1062334412.db2.gz MMWCPFGZFVLLCO-MRXNPFEDSA-N 0 3 317.404 4.415 20 0 DIADHN CC(C)SCCNCc1cccc(Oc2ccc(F)cc2)n1 ZINC001474614742 1062336097 /nfs/dbraw/zinc/33/60/97/1062336097.db2.gz BCUKPGIXWURKKF-UHFFFAOYSA-N 0 3 320.433 4.244 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2cccc(OC3CC3)c2F)CS1 ZINC001474619136 1062341088 /nfs/dbraw/zinc/34/10/88/1062341088.db2.gz OEMLHRJDXGJYNR-BBRMVZONSA-N 0 3 323.477 4.377 20 0 DIADHN CCCc1cccc(CNCc2ccc(NC(=O)C3CC3)cc2)c1 ZINC001255184188 1062354542 /nfs/dbraw/zinc/35/45/42/1062354542.db2.gz LMNRVORRSQFHDK-UHFFFAOYSA-N 0 3 322.452 4.277 20 0 DIADHN OC[C@H](NC1CCC2(CCCCC2)CC1)c1ccc(F)cc1F ZINC001474639775 1062365117 /nfs/dbraw/zinc/36/51/17/1062365117.db2.gz ODKFHRXEPCHGFT-SFHVURJKSA-N 0 3 323.427 4.481 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](N2CCOc3ccc(O)cc3C2)C1 ZINC001474642489 1062368466 /nfs/dbraw/zinc/36/84/66/1062368466.db2.gz YHCGENFSTXUHMY-RXVVDRJESA-N 0 3 323.436 4.097 20 0 DIADHN C[C@@H]1CN(C[C@H]2CC(C)(C)OC2(C)C)C[C@H](c2ccsc2)O1 ZINC001459757448 1062368763 /nfs/dbraw/zinc/36/87/63/1062368763.db2.gz UFZVNYQQNUEGEA-FVQBIDKESA-N 0 3 323.502 4.104 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@@H](C3CCCCC3)C2)o1 ZINC001474644213 1062370218 /nfs/dbraw/zinc/37/02/18/1062370218.db2.gz LVKSKZOJHSJXJB-MRXNPFEDSA-N 0 3 319.445 4.249 20 0 DIADHN CCCC[C@H](CC)CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001468646891 1062370822 /nfs/dbraw/zinc/37/08/22/1062370822.db2.gz ONLPQBFXAIDRNX-MAUKXSAKSA-N 0 3 322.468 4.151 20 0 DIADHN COc1cc(C)ccc1Oc1ccccc1CN1C[C@@H]2C[C@@H]2C1 ZINC001474648056 1062375234 /nfs/dbraw/zinc/37/52/34/1062375234.db2.gz YKIFPJXSKYXDQH-CALCHBBNSA-N 0 3 309.409 4.248 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1cc(C(=O)OC)ccc1F ZINC001459767839 1062378096 /nfs/dbraw/zinc/37/80/96/1062378096.db2.gz MTDLXFYRVNLYKY-MRXNPFEDSA-N 0 3 307.409 4.157 20 0 DIADHN Cc1cccc([C@@H](C)N2CCO[C@]3(CCc4ccccc43)C2)c1 ZINC001459769047 1062378710 /nfs/dbraw/zinc/37/87/10/1062378710.db2.gz HTPHCBOPNOPDTR-DYESRHJHSA-N 0 3 307.437 4.230 20 0 DIADHN CC[C@]1(C)CCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001459769398 1062379406 /nfs/dbraw/zinc/37/94/06/1062379406.db2.gz LVLMYKLLPGVFBA-HXUWFJFHSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cccc([C@@H](C)N2CCO[C@@]3(CCc4ccccc43)C2)c1 ZINC001459769052 1062379663 /nfs/dbraw/zinc/37/96/63/1062379663.db2.gz HTPHCBOPNOPDTR-UTKZUKDTSA-N 0 3 307.437 4.230 20 0 DIADHN CCN1CCN(Cc2ccc(Cl)c3ccccc23)C[C@@H]1C ZINC001459768307 1062379769 /nfs/dbraw/zinc/37/97/69/1062379769.db2.gz HTOWORXAMMMLCJ-AWEZNQCLSA-N 0 3 302.849 4.019 20 0 DIADHN COc1ccc(-c2nc(CNC3CCC(C)(C)CC3)co2)cc1 ZINC001255301136 1062383416 /nfs/dbraw/zinc/38/34/16/1062383416.db2.gz GWRZTIJCLFQVHI-UHFFFAOYSA-N 0 3 314.429 4.409 20 0 DIADHN CC[C@@]1(C)CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001459770475 1062385836 /nfs/dbraw/zinc/38/58/36/1062385836.db2.gz SGTPUTSGPHZHPW-GUTXKFCHSA-N 0 3 305.384 4.046 20 0 DIADHN FC(F)(F)c1cccc(C2CCC(NCc3ncc[nH]3)CC2)c1 ZINC001474653993 1062387162 /nfs/dbraw/zinc/38/71/62/1062387162.db2.gz MSQJQAZCJOFNEX-UHFFFAOYSA-N 0 3 323.362 4.245 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C[C@@H]1C ZINC001459778766 1062392794 /nfs/dbraw/zinc/39/27/94/1062392794.db2.gz YMWUJNWEEUQMEX-ZETOZRRWSA-N 0 3 302.245 4.005 20 0 DIADHN CCCC[C@H](C)NCc1csc(-c2ccc(OC)cc2)n1 ZINC001255311971 1062393319 /nfs/dbraw/zinc/39/33/19/1062393319.db2.gz GDWFPCGKZWPKND-ZDUSSCGKSA-N 0 3 304.459 4.487 20 0 DIADHN Cc1cc(Cl)ccc1-c1nnc(C2CCN(C3CC3)CC2)o1 ZINC001255318301 1062393839 /nfs/dbraw/zinc/39/38/39/1062393839.db2.gz XFRBNCAOSSXPQZ-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@@H]3CCCC(C)(C)C3)CCO2)cc1 ZINC001474669372 1062400042 /nfs/dbraw/zinc/40/00/42/1062400042.db2.gz AWWCNRLVFUUUPE-APWZRJJASA-N 0 3 317.473 4.285 20 0 DIADHN C[C@@H]1OCCN(CCCCc2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001459795578 1062405360 /nfs/dbraw/zinc/40/53/60/1062405360.db2.gz HSEIEPFYWRFBJC-STQMWFEESA-N 0 3 316.272 4.425 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)CC1(O)CCCC1 ZINC001459799009 1062408052 /nfs/dbraw/zinc/40/80/52/1062408052.db2.gz YFGFXNNKCKWWNQ-LLVKDONJSA-N 0 3 302.245 4.291 20 0 DIADHN CCCN(Cc1ccoc1)Cc1cccc(Br)c1 ZINC000723718236 1062409443 /nfs/dbraw/zinc/40/94/43/1062409443.db2.gz AEDPIZLZSLUVCG-UHFFFAOYSA-N 0 3 308.219 4.454 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@H](c2ccccc2C)C2CC2)c1 ZINC001459832118 1062433969 /nfs/dbraw/zinc/43/39/69/1062433969.db2.gz GDQBRNJXLLGOOM-NRFANRHFSA-N 0 3 322.452 4.291 20 0 DIADHN COC(=O)c1csc(CN[C@H](c2ccccc2C)C2CC2)c1 ZINC001459836561 1062435604 /nfs/dbraw/zinc/43/56/04/1062435604.db2.gz OHHSVPPOWBFREV-KRWDZBQOSA-N 0 3 315.438 4.084 20 0 DIADHN CC(C)CC[C@H](NCc1nc(N)c2ccccc2n1)C(C)(C)C ZINC001459836469 1062436177 /nfs/dbraw/zinc/43/61/77/1062436177.db2.gz OCJRPKIEZPTTEH-INIZCTEOSA-N 0 3 314.477 4.153 20 0 DIADHN C[C@@H](CCN1CCS[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC001474708982 1062436268 /nfs/dbraw/zinc/43/62/68/1062436268.db2.gz CHFYWKNGNYIRQQ-GXTWGEPZSA-N 0 3 303.393 4.160 20 0 DIADHN COc1ccc([C@H](CCO)NCc2cccc3ccccc32)cc1 ZINC001459841085 1062442289 /nfs/dbraw/zinc/44/22/89/1062442289.db2.gz QKKRKNZVRWLGBF-NRFANRHFSA-N 0 3 321.420 4.062 20 0 DIADHN C[C@@H](CCNC1(c2ccccc2F)CC1)OCc1ccccc1 ZINC001459846800 1062444878 /nfs/dbraw/zinc/44/48/78/1062444878.db2.gz YHRAQEJFBKLWAM-INIZCTEOSA-N 0 3 313.416 4.400 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@@H]1CC[C@H](C2CC2)O1 ZINC001474729120 1062449940 /nfs/dbraw/zinc/44/99/40/1062449940.db2.gz ZKURDDXAIVWEGS-SWLSCSKDSA-N 0 3 305.805 4.133 20 0 DIADHN CC(C)(C)CNC(=O)CN[C@@H](CC1CCCC1)c1ccccc1 ZINC001459857586 1062452794 /nfs/dbraw/zinc/45/27/94/1062452794.db2.gz JYWQWHGMGJZIKY-SFHVURJKSA-N 0 3 316.489 4.060 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc(C(F)F)c1)CC2 ZINC001255461468 1062453852 /nfs/dbraw/zinc/45/38/52/1062453852.db2.gz RHFXEBYUQCHNNE-KRWDZBQOSA-N 0 3 303.352 4.410 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@H](C)c2cccc(C)c2C)cc1 ZINC001459859938 1062454181 /nfs/dbraw/zinc/45/41/81/1062454181.db2.gz IHQRCUPXAXNNIS-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@@H]1C)c1ccccc1 ZINC001459863213 1062457483 /nfs/dbraw/zinc/45/74/83/1062457483.db2.gz HVKGEZDLLQVMHM-YTQUADARSA-N 0 3 316.489 4.155 20 0 DIADHN CCC[C@H](NCc1cc(C(=O)OC)cs1)c1ccccc1 ZINC001459864071 1062458486 /nfs/dbraw/zinc/45/84/86/1062458486.db2.gz LNAPLIDXPDWEIL-INIZCTEOSA-N 0 3 303.427 4.166 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)[C@H]1CCCN(C)C1 ZINC001255488632 1062458319 /nfs/dbraw/zinc/45/83/19/1062458319.db2.gz FVTLXQLMIPAHFJ-LBPRGKRZSA-N 0 3 308.878 4.081 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2C1CCC(C(C)(C)C)CC1 ZINC001255499558 1062460561 /nfs/dbraw/zinc/46/05/61/1062460561.db2.gz CWXJMVXJOQKEHF-UHFFFAOYSA-N 0 3 315.461 4.281 20 0 DIADHN Cc1cccc2c1[C@@H](NC1CCC(C(C)(C)C)CC1)C(=O)N2 ZINC001255495715 1062462002 /nfs/dbraw/zinc/46/20/02/1062462002.db2.gz CCOFLXKMNYHASM-MQBCKMQZSA-N 0 3 300.446 4.183 20 0 DIADHN CCN1C[C@@H](C)N(Cc2cc(Cl)cc(Cl)c2F)C[C@@H]1C ZINC001459872679 1062462732 /nfs/dbraw/zinc/46/27/32/1062462732.db2.gz GWGJNWGNEKAEGI-WDEREUQCSA-N 0 3 319.251 4.047 20 0 DIADHN Cc1ccc([C@H](C)CN2CCc3cc(F)cc(F)c3C2)cc1 ZINC001474759633 1062464979 /nfs/dbraw/zinc/46/49/79/1062464979.db2.gz RUNNOORMRBSSGS-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN CSCCN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC001459923307 1062496395 /nfs/dbraw/zinc/49/63/95/1062496395.db2.gz VBBUJEWURNJZQB-UHFFFAOYSA-N 0 3 302.270 4.446 20 0 DIADHN COC[C@@H](C)NCc1ccccc1Oc1ccc(Cl)cc1 ZINC001255564126 1062482567 /nfs/dbraw/zinc/48/25/67/1062482567.db2.gz USUSPASPXONWIO-CYBMUJFWSA-N 0 3 305.805 4.257 20 0 DIADHN COC[C@H](C)NCc1ccccc1Oc1ccc(Cl)cc1 ZINC001255564127 1062482832 /nfs/dbraw/zinc/48/28/32/1062482832.db2.gz USUSPASPXONWIO-ZDUSSCGKSA-N 0 3 305.805 4.257 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(C(=O)c2ccccc2)cc1 ZINC001255633250 1062489230 /nfs/dbraw/zinc/48/92/30/1062489230.db2.gz KKROOFCPHQSIQB-CYBMUJFWSA-N 0 3 321.342 4.348 20 0 DIADHN C[C@@H](CC(F)(F)F)NC1(c2cccc(Br)c2)CC1 ZINC001255642314 1062495149 /nfs/dbraw/zinc/49/51/49/1062495149.db2.gz XPSOSZQHXLDSTA-VIFPVBQESA-N 0 3 322.168 4.369 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H](c1ccccc1)[C@@H](O)c1ccccc1 ZINC001255640117 1062495486 /nfs/dbraw/zinc/49/54/86/1062495486.db2.gz OYJRDHFPNZZHCX-JQFCIGGWSA-N 0 3 323.358 4.392 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC(Oc2ccc(F)cc2)CC1 ZINC001255657481 1062501263 /nfs/dbraw/zinc/50/12/63/1062501263.db2.gz HXIDBOPQFVLGPJ-NSHDSACASA-N 0 3 305.315 4.010 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC(OCc2ccc(F)cc2)CC1 ZINC001255658754 1062501960 /nfs/dbraw/zinc/50/19/60/1062501960.db2.gz VDMNGIYYKXMRHQ-GFCCVEGCSA-N 0 3 319.342 4.148 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN([C@H](C)CC(F)(F)F)C1 ZINC001255658276 1062502440 /nfs/dbraw/zinc/50/24/40/1062502440.db2.gz PMYWQJNOGOEXPJ-KGLIPLIRSA-N 0 3 301.352 4.179 20 0 DIADHN COc1ccccc1S[C@@H]1CCN([C@@H](C)CC(F)(F)F)C1 ZINC001255660703 1062502664 /nfs/dbraw/zinc/50/26/64/1062502664.db2.gz KTBFCMAMYHKXMW-NWDGAFQWSA-N 0 3 319.392 4.203 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001255662735 1062505671 /nfs/dbraw/zinc/50/56/71/1062505671.db2.gz RQHHMQIMWMFFMP-WBMJQRKESA-N 0 3 315.354 4.446 20 0 DIADHN C[C@@H]1COc2ccccc2CN1CCc1nsc2ccccc21 ZINC001459935018 1062507315 /nfs/dbraw/zinc/50/73/15/1062507315.db2.gz MISVOYFJTDQIIN-CQSZACIVSA-N 0 3 324.449 4.122 20 0 DIADHN Fc1cccc(Cl)c1CN1CCN(CCCC2CCC2)CC1 ZINC001459937927 1062507376 /nfs/dbraw/zinc/50/73/76/1062507376.db2.gz UHOUVOYMJZRCGE-UHFFFAOYSA-N 0 3 324.871 4.177 20 0 DIADHN COc1cccc2c1CCN([C@@H](C)c1ccc3c(c1)CCC3)C2 ZINC001459934801 1062509309 /nfs/dbraw/zinc/50/93/09/1062509309.db2.gz PYJIGJVDKDDEGP-HNNXBMFYSA-N 0 3 307.437 4.303 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255686199 1062514927 /nfs/dbraw/zinc/51/49/27/1062514927.db2.gz DSUYAWUMPGCITP-QJPTWQEYSA-N 0 3 311.351 4.350 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255691644 1062517941 /nfs/dbraw/zinc/51/79/41/1062517941.db2.gz ZXXYFJUFJOWZQS-KBPBESRZSA-N 0 3 323.362 4.302 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255689179 1062518514 /nfs/dbraw/zinc/51/85/14/1062518514.db2.gz JRKWLCMUBYBAQO-NXEZZACHSA-N 0 3 323.305 4.184 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001459949035 1062519384 /nfs/dbraw/zinc/51/93/84/1062519384.db2.gz CBRXSBOAZGVSGQ-CRAIPNDOSA-N 0 3 316.489 4.433 20 0 DIADHN C[C@H](CN1CCN(C(=O)OC(C)(C)C)[C@H](C)C1)C1CCCCC1 ZINC001459952984 1062522856 /nfs/dbraw/zinc/52/28/56/1062522856.db2.gz NNSSFCYKDFEHGN-HZPDHXFCSA-N 0 3 324.509 4.144 20 0 DIADHN C[C@@H]1C(=O)CCN([C@H]2CCC[C@H](C(F)(F)F)C2)C12CCCC2 ZINC001255726836 1062548406 /nfs/dbraw/zinc/54/84/06/1062548406.db2.gz SILPQAWDRPWPDZ-RDBSUJKOSA-N 0 3 317.395 4.331 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@@H]1COc2ccccc21 ZINC001255728294 1062550869 /nfs/dbraw/zinc/55/08/69/1062550869.db2.gz QCNOXZOHLGVRBZ-MLGOLLRUSA-N 0 3 303.402 4.030 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cc(F)ccc1F ZINC001255732631 1062553784 /nfs/dbraw/zinc/55/37/84/1062553784.db2.gz OLTMPUFCMHAKBT-LLVKDONJSA-N 0 3 311.372 4.374 20 0 DIADHN Clc1cnn(CCN2CCC(c3cccc(Cl)c3)CC2)c1 ZINC001460003521 1062562112 /nfs/dbraw/zinc/56/21/12/1062562112.db2.gz HTXICOOVGXRQEV-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN CSCCCN(C)Cc1ccccc1OCc1ccccc1 ZINC001460008589 1062565533 /nfs/dbraw/zinc/56/55/33/1062565533.db2.gz SQQDJNNLAMIGBD-UHFFFAOYSA-N 0 3 315.482 4.451 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CCc2ccsc2C1 ZINC001255745146 1062567562 /nfs/dbraw/zinc/56/75/62/1062567562.db2.gz QQRMSSIYDGOFSP-LBPRGKRZSA-N 0 3 307.459 4.426 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CC(c2ccccc2)C1 ZINC001255748497 1062569285 /nfs/dbraw/zinc/56/92/85/1062569285.db2.gz QUYKPGRUFGSPLQ-AWEZNQCLSA-N 0 3 301.430 4.406 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CCc2ccsc2C1 ZINC001255745145 1062570522 /nfs/dbraw/zinc/57/05/22/1062570522.db2.gz QQRMSSIYDGOFSP-GFCCVEGCSA-N 0 3 307.459 4.426 20 0 DIADHN CCc1ccc(NC(=O)CN(C)[C@H](C)C2CCCCC2)cc1 ZINC001460015390 1062572323 /nfs/dbraw/zinc/57/23/23/1062572323.db2.gz JFGIVRQWKRLQMO-OAHLLOKOSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2ccc(Cl)cn2)cc1 ZINC001255752945 1062572704 /nfs/dbraw/zinc/57/27/04/1062572704.db2.gz MRYKLSHYDMNVDL-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN(CC1CC1)CC1CCC1 ZINC001460014534 1062572950 /nfs/dbraw/zinc/57/29/50/1062572950.db2.gz GKTZPXZZAWXXLD-UHFFFAOYSA-N 0 3 323.484 4.138 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H](C(C)(C)C)C1 ZINC001460022331 1062576093 /nfs/dbraw/zinc/57/60/93/1062576093.db2.gz KYYCNOWKUJHNBF-AWEZNQCLSA-N 0 3 322.880 4.345 20 0 DIADHN COc1ccc(F)c(CN[C@H](C)CSc2ccc(C)cc2)c1 ZINC001255757176 1062579586 /nfs/dbraw/zinc/57/95/86/1062579586.db2.gz WBWNUPIVEOJPJO-CQSZACIVSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@@H]2COCc3ccccc32)cc1 ZINC001255756320 1062579636 /nfs/dbraw/zinc/57/96/36/1062579636.db2.gz RBWJYVRHXFPFHO-DNVCBOLYSA-N 0 3 313.466 4.337 20 0 DIADHN COc1cc(F)ccc1CN[C@@H](C)CSc1ccc(C)cc1 ZINC001255759012 1062582142 /nfs/dbraw/zinc/58/21/42/1062582142.db2.gz LUFYOBRITGJSCX-AWEZNQCLSA-N 0 3 319.445 4.413 20 0 DIADHN COc1cc(F)ccc1CN[C@H](C)CSc1ccc(C)cc1 ZINC001255759013 1062582924 /nfs/dbraw/zinc/58/29/24/1062582924.db2.gz LUFYOBRITGJSCX-CQSZACIVSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(CC(=O)Nc3cccc(C)c3)C2)cc1 ZINC001460030659 1062586204 /nfs/dbraw/zinc/58/62/04/1062586204.db2.gz PXABYJOZMGKWIJ-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccc([Si](C)(C)C)cc1 ZINC001460036601 1062588667 /nfs/dbraw/zinc/58/86/67/1062588667.db2.gz FWWLBCOWCDICSR-IUODEOHRSA-N 0 3 315.455 4.005 20 0 DIADHN Cc1nc(CN2CCC[C@]2(CO)CC(C)C)sc1C(C)(C)C ZINC001460047016 1062601405 /nfs/dbraw/zinc/60/14/05/1062601405.db2.gz JLNUUCZQMPPAPN-GOSISDBHSA-N 0 3 324.534 4.122 20 0 DIADHN CC(C)c1cccc(CN2CCN(C)[C@H](Cc3ccccc3)C2)c1 ZINC001460071473 1062615864 /nfs/dbraw/zinc/61/58/64/1062615864.db2.gz ODFMECISMQCLDY-JOCHJYFZSA-N 0 3 322.496 4.169 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1cnc(Cl)cc1Cl ZINC001255835939 1062622123 /nfs/dbraw/zinc/62/21/23/1062622123.db2.gz IPIZVXBUEGSJSK-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CCc1onc(C)c1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC001460093035 1062631862 /nfs/dbraw/zinc/63/18/62/1062631862.db2.gz HPFWXRUGZKQLRP-UHFFFAOYSA-N 0 3 320.383 4.203 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NCc1cc(F)c(F)cc1Cl ZINC001255860341 1062634837 /nfs/dbraw/zinc/63/48/37/1062634837.db2.gz FTCYRFWKMAAKJK-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN Fc1ccc(CN(CCc2ccns2)CC2CC2)c(Cl)c1 ZINC001460100301 1062638137 /nfs/dbraw/zinc/63/81/37/1062638137.db2.gz OPWSZJYPUXWXGU-UHFFFAOYSA-N 0 3 324.852 4.390 20 0 DIADHN Fc1ccc(CN(CC[C@H]2CCCO2)CC2CC2)c(Cl)c1 ZINC001460103360 1062640583 /nfs/dbraw/zinc/64/05/83/1062640583.db2.gz ZHAKVCQESOAOMU-MRXNPFEDSA-N 0 3 311.828 4.260 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccccc1F)C(C)C ZINC001469907679 1062654370 /nfs/dbraw/zinc/65/43/70/1062654370.db2.gz YDUIUXLOMKUVKH-LJQANCHMSA-N 0 3 322.468 4.151 20 0 DIADHN CCc1ccc(NC(=O)CNC(C)(C)c2ccccc2C)cc1 ZINC001460142752 1062659632 /nfs/dbraw/zinc/65/96/32/1062659632.db2.gz CEDBQVUNTZYNNY-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN COC/C(C)=C\CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC001460145969 1062665003 /nfs/dbraw/zinc/66/50/03/1062665003.db2.gz VSUPIBBKJFXJKW-ORDXOSSNSA-N 0 3 311.878 4.099 20 0 DIADHN CN(CC(=O)N(C)C1CC(C)(C)CC(C)(C)C1)CC(C)(C)C ZINC001469944826 1062666417 /nfs/dbraw/zinc/66/64/17/1062666417.db2.gz YRAOJSWDCIXEHV-UHFFFAOYSA-N 0 3 310.526 4.028 20 0 DIADHN C[C@@H](CN1CC(C)(C)O[C@@](C)(C(F)(F)F)C1)CC(C)(C)C ZINC001460158424 1062670527 /nfs/dbraw/zinc/67/05/27/1062670527.db2.gz RBZANEIYFKSDQI-IUODEOHRSA-N 0 3 309.416 4.491 20 0 DIADHN C[C@@H]1CN(CCSCc2ccccc2)Cc2ccccc2O1 ZINC001460171597 1062677674 /nfs/dbraw/zinc/67/76/74/1062677674.db2.gz KGOHKGKDPHGMNG-MRXNPFEDSA-N 0 3 313.466 4.203 20 0 DIADHN COc1cccc(SCCNC(C)(C)c2ccccc2F)c1 ZINC001460175423 1062678430 /nfs/dbraw/zinc/67/84/30/1062678430.db2.gz URMNUCGAMLWQOT-UHFFFAOYSA-N 0 3 319.445 4.451 20 0 DIADHN NCc1nn([C@@H]2CCC[C@H](c3ccccc3)C2)c2ccccc12 ZINC001256106388 1062703785 /nfs/dbraw/zinc/70/37/85/1062703785.db2.gz HMHDARCLIHXMSZ-DLBZAZTESA-N 0 3 305.425 4.394 20 0 DIADHN Cc1ccc(CN)nc1N(C)[C@@H]1CCC[C@H](c2ccccc2)C1 ZINC001256106479 1062704379 /nfs/dbraw/zinc/70/43/79/1062704379.db2.gz QCEAURHUAZIWQC-PKOBYXMFSA-N 0 3 309.457 4.011 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC001460223017 1062708375 /nfs/dbraw/zinc/70/83/75/1062708375.db2.gz KUKIERZQSVIKNL-ZDUSSCGKSA-N 0 3 307.821 4.477 20 0 DIADHN Cn1ncc2c1CCCN(Cc1ccc(-c3ccsc3)cc1)C2 ZINC001460238945 1062718862 /nfs/dbraw/zinc/71/88/62/1062718862.db2.gz RAPIUTDZIIFNFE-UHFFFAOYSA-N 0 3 323.465 4.097 20 0 DIADHN CC[C@H](NCC(=O)NCCCC1CCCCC1)c1ccccc1 ZINC001460245859 1062725364 /nfs/dbraw/zinc/72/53/64/1062725364.db2.gz OTPXXRIMOWGXOH-IBGZPJMESA-N 0 3 316.489 4.204 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2ccn(-c3ccc(Cl)cc3)n2)C[C@H]1C ZINC001460262848 1062737825 /nfs/dbraw/zinc/73/78/25/1062737825.db2.gz FZCNVXRVDLUKAG-MGPQQGTHSA-N 0 3 303.837 4.002 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC12CCCC2 ZINC001256182735 1062743449 /nfs/dbraw/zinc/74/34/49/1062743449.db2.gz MSEWFUYXCOZPMU-ZDUSSCGKSA-N 0 3 308.263 4.399 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CC2CCC1CC2 ZINC001256191072 1062745416 /nfs/dbraw/zinc/74/54/16/1062745416.db2.gz QQNVBJDHXDWZSQ-FUJMWEONSA-N 0 3 308.263 4.255 20 0 DIADHN Fc1cccc(F)c1CCCN1CCSC[C@H]1C1CCC1 ZINC001460272394 1062744776 /nfs/dbraw/zinc/74/47/76/1062744776.db2.gz RZIKWKQVNLITFT-KRWDZBQOSA-N 0 3 311.441 4.115 20 0 DIADHN CCc1ccc(CN(Cc2ccnc(F)c2)CC(C)C)cc1 ZINC001460278861 1062749812 /nfs/dbraw/zinc/74/98/12/1062749812.db2.gz PGAGMJNNGZMMQL-UHFFFAOYSA-N 0 3 300.421 4.441 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@H]1CC[C@@H](C2CC2)O1 ZINC001460282339 1062752574 /nfs/dbraw/zinc/75/25/74/1062752574.db2.gz FIOVLCFYIIMWRC-ZBFHGGJFSA-N 0 3 323.864 4.131 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@H]1CC[C@H](C2CC2)O1 ZINC001460282334 1062753350 /nfs/dbraw/zinc/75/33/50/1062753350.db2.gz FIOVLCFYIIMWRC-GDBMZVCRSA-N 0 3 323.864 4.131 20 0 DIADHN C[C@]1(Cc2ccccc2F)CCCN1CCSC(F)(F)F ZINC001460289119 1062760028 /nfs/dbraw/zinc/76/00/28/1062760028.db2.gz ICJWYJHVBJLLCL-CQSZACIVSA-N 0 3 321.383 4.476 20 0 DIADHN Clc1cccc(CCN2CC[C@@H](c3cccnc3)C2)c1Cl ZINC001460289801 1062762673 /nfs/dbraw/zinc/76/26/73/1062762673.db2.gz NCCWRKQOEYKJAC-OAHLLOKOSA-N 0 3 321.251 4.420 20 0 DIADHN CC(C)N(C[C@H]1COc2ccccc2O1)[C@H](C)c1ccsc1 ZINC001460307890 1062771509 /nfs/dbraw/zinc/77/15/09/1062771509.db2.gz YMKDMTSAUGTIBY-ZBFHGGJFSA-N 0 3 317.454 4.360 20 0 DIADHN Fc1ccc(CCC2CCN(Cc3ccccn3)CC2)c(F)c1 ZINC001460313761 1062777016 /nfs/dbraw/zinc/77/70/16/1062777016.db2.gz MIOBPKJTZZKFQO-UHFFFAOYSA-N 0 3 316.395 4.205 20 0 DIADHN CC(C)CC[C@@H](C)NCC(=O)c1cccc(Br)c1 ZINC001256351531 1062779153 /nfs/dbraw/zinc/77/91/53/1062779153.db2.gz QZUFDRJIXQETPL-GFCCVEGCSA-N 0 3 312.251 4.046 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccccc1N1CCCC1 ZINC001256332957 1062780250 /nfs/dbraw/zinc/78/02/50/1062780250.db2.gz YJCYYCDWBVYBIN-UKRRQHHQSA-N 0 3 312.379 4.060 20 0 DIADHN C[C@@H]1c2ccccc2CN1C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001470467567 1062786672 /nfs/dbraw/zinc/78/66/72/1062786672.db2.gz IGZMXLWQSDHWJW-CQSZACIVSA-N 0 3 319.408 4.008 20 0 DIADHN Cn1cc(-c2ccc(CNCc3ccc(Cl)cc3)cc2)cn1 ZINC001256370716 1062792025 /nfs/dbraw/zinc/79/20/25/1062792025.db2.gz SWYYYUYJOSPMPT-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN CC[C@@H]1CN(C[C@@H](C)CSc2ccccc2C)[C@H](C)CO1 ZINC001460341188 1062792255 /nfs/dbraw/zinc/79/22/55/1062792255.db2.gz RAVUDDVCKKXQNS-DJIMGWMZSA-N 0 3 307.503 4.223 20 0 DIADHN CC(C)[C@H](NCc1cccc(N(C)C)c1)c1ccccc1F ZINC001460360100 1062809696 /nfs/dbraw/zinc/80/96/96/1062809696.db2.gz JEVCSZYPTRJARS-IBGZPJMESA-N 0 3 300.421 4.379 20 0 DIADHN CC(C)c1ccc2c(c1)CN(Cc1cccc3c1CCOC3)CC2 ZINC001460362183 1062810260 /nfs/dbraw/zinc/81/02/60/1062810260.db2.gz LFPPBWTWVPZESL-UHFFFAOYSA-N 0 3 321.464 4.441 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CC[C@H](C3CCCCC3)C2)n1 ZINC001460364416 1062812501 /nfs/dbraw/zinc/81/25/01/1062812501.db2.gz JEBLTEWLPRLJHN-SFHVURJKSA-N 0 3 309.457 4.275 20 0 DIADHN C[C@@H](Cc1ccccn1)N(Cc1ccccc1)Cc1cccnc1 ZINC001256417669 1062812296 /nfs/dbraw/zinc/81/22/96/1062812296.db2.gz WZLMJZPEHKZTEO-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@@H]1c1c(F)cccc1F ZINC001256427002 1062819646 /nfs/dbraw/zinc/81/96/46/1062819646.db2.gz UFURMQQXIGFPGW-CXAGYDPISA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@]1(Cc2ccccc2Cl)CCCN1Cc1ccc(F)cn1 ZINC001460408872 1062838990 /nfs/dbraw/zinc/83/89/90/1062838990.db2.gz YFXHSQXXQLYKFI-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccccc1Cc1ccccc1 ZINC001460411341 1062839187 /nfs/dbraw/zinc/83/91/87/1062839187.db2.gz ZWSUPKOMECOFLE-QGZVFWFLSA-N 0 3 324.468 4.336 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCC(=O)NCCC(C)(C)C)cc1 ZINC001460413655 1062845983 /nfs/dbraw/zinc/84/59/83/1062845983.db2.gz BHWLBFSZISTYFC-UHFFFAOYSA-N 0 3 318.505 4.187 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC001460449308 1062861984 /nfs/dbraw/zinc/86/19/84/1062861984.db2.gz MPOCDSXKJXXPSL-CHWSQXEVSA-N 0 3 305.853 4.237 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@@H](C3CCC3)C2)c(C)c1 ZINC001460461929 1062863759 /nfs/dbraw/zinc/86/37/59/1062863759.db2.gz NYWUZTRDFGVSBT-FUHWJXTLSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1nsc(C)c1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC001460508874 1062888178 /nfs/dbraw/zinc/88/81/78/1062888178.db2.gz HLLJEBVOFIUZOD-CQSZACIVSA-N 0 3 322.424 4.418 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CCCCc1ccccc1 ZINC001460521241 1062895746 /nfs/dbraw/zinc/89/57/46/1062895746.db2.gz PUDMLIHZWDJTCB-UHFFFAOYSA-N 0 3 312.457 4.162 20 0 DIADHN CCC(CC)CCCN(C)Cc1cncc(Br)c1 ZINC001460537006 1062902462 /nfs/dbraw/zinc/90/24/62/1062902462.db2.gz KQIABGVZXZSQJM-UHFFFAOYSA-N 0 3 313.283 4.492 20 0 DIADHN Cc1ccc(C[C@@]2(C)CCCN2Cc2ncc(C3CC3)o2)cc1 ZINC001460558960 1062909639 /nfs/dbraw/zinc/90/96/39/1062909639.db2.gz OGEMTYDIYRWQIA-HXUWFJFHSA-N 0 3 310.441 4.458 20 0 DIADHN C[C@]12CCCC[C@H]1CN2Cc1cncc(OCc2ccccc2)c1 ZINC001460564237 1062913924 /nfs/dbraw/zinc/91/39/24/1062913924.db2.gz AILYPOIZCNGJON-FPOVZHCZSA-N 0 3 322.452 4.425 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCCC2(CC2)CC1 ZINC001460563085 1062915693 /nfs/dbraw/zinc/91/56/93/1062915693.db2.gz XMVIBMAITSLKPR-AWEZNQCLSA-N 0 3 320.864 4.242 20 0 DIADHN CCc1ccc(C2CCN(Cc3ccc(N(C)C)nc3)CC2)cc1 ZINC001460569019 1062916286 /nfs/dbraw/zinc/91/62/86/1062916286.db2.gz VECWTDZGCUXJRI-UHFFFAOYSA-N 0 3 323.484 4.090 20 0 DIADHN CC/C=C\CCN(C)Cc1ccc(Br)cc1F ZINC001460574150 1062916516 /nfs/dbraw/zinc/91/65/16/1062916516.db2.gz DXSGOZUAEPVNEX-PLNGDYQASA-N 0 3 300.215 4.376 20 0 DIADHN CC(C)=CCCN(C)Cc1ccc(Br)cc1F ZINC001460581866 1062919912 /nfs/dbraw/zinc/91/99/12/1062919912.db2.gz WCASPYFUINPXRI-UHFFFAOYSA-N 0 3 300.215 4.376 20 0 DIADHN CC[C@@](C)(NCc1ccc(C(=O)OC)s1)c1ccc(F)cc1 ZINC001460600396 1062924200 /nfs/dbraw/zinc/92/42/00/1062924200.db2.gz RPKVPKVMXFJPGR-QGZVFWFLSA-N 0 3 321.417 4.089 20 0 DIADHN CC1(C)[C@H](c2cccc(F)c2)CCN1Cc1cccc(F)n1 ZINC001460602808 1062928299 /nfs/dbraw/zinc/92/82/99/1062928299.db2.gz JUWIXJQKXAGADF-INIZCTEOSA-N 0 3 302.368 4.128 20 0 DIADHN CC(C)N(C(=O)CN(C)C[C@@H](C)C1CCCCC1)C1CCCC1 ZINC001460603046 1062930173 /nfs/dbraw/zinc/93/01/73/1062930173.db2.gz XCWRRSNOWRDEAP-QGZVFWFLSA-N 0 3 322.537 4.314 20 0 DIADHN COc1cccc2c1CC[C@@H](N1Cc3cccc(Cl)c3C1)C2 ZINC001256654692 1062932994 /nfs/dbraw/zinc/93/29/94/1062932994.db2.gz RAXTZNBOMIDSDQ-OAHLLOKOSA-N 0 3 313.828 4.222 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1ccc(F)cc1)C1CCCCC1 ZINC001460625341 1062947036 /nfs/dbraw/zinc/94/70/36/1062947036.db2.gz GJKCKIPXKLDVKZ-IBGZPJMESA-N 0 3 315.436 4.279 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC001460640689 1062956517 /nfs/dbraw/zinc/95/65/17/1062956517.db2.gz LUEODHJLCWEJCQ-CALCHBBNSA-N 0 3 310.466 4.132 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@H]1c1cc(F)ccc1F ZINC001256744200 1062961949 /nfs/dbraw/zinc/96/19/49/1062961949.db2.gz ZGAPNCPFFXYROL-UGSOOPFHSA-N 0 3 302.368 4.128 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC001460654499 1062964739 /nfs/dbraw/zinc/96/47/39/1062964739.db2.gz OBGXLIPXSDCBRP-RDJZCZTQSA-N 0 3 305.487 4.004 20 0 DIADHN CC(C)(NCc1cccc(Cl)c1Cl)[C@@H]1CCCCO1 ZINC001460658602 1062965239 /nfs/dbraw/zinc/96/52/39/1062965239.db2.gz DZROLZMSCXSARG-ZDUSSCGKSA-N 0 3 302.245 4.431 20 0 DIADHN CCC(O)(CC)C(C)(C)NCc1nc(C)c(C(C)(C)C)s1 ZINC001460666534 1062971760 /nfs/dbraw/zinc/97/17/60/1062971760.db2.gz KHLOIJOTJOBSEV-UHFFFAOYSA-N 0 3 312.523 4.168 20 0 DIADHN Fc1ccc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c(Cl)c1 ZINC001460669698 1062974726 /nfs/dbraw/zinc/97/47/26/1062974726.db2.gz FNHDBFQUJZSGIS-DGCLKSJQSA-N 0 3 307.718 4.466 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256785969 1062976471 /nfs/dbraw/zinc/97/64/71/1062976471.db2.gz MOYJTRLODCZGIA-URLQWDBASA-N 0 3 321.424 4.149 20 0 DIADHN C[C@H](NCc1ccc([Si](C)(C)C)cc1)c1cc2cnccc2o1 ZINC001460675513 1062980514 /nfs/dbraw/zinc/98/05/14/1062980514.db2.gz IUNFXNRWTSYJAH-AWEZNQCLSA-N 0 3 324.500 4.224 20 0 DIADHN CC[C@H](NCc1csc(C2CCCCC2)n1)[C@H]1CCCOC1 ZINC001460694352 1062990779 /nfs/dbraw/zinc/99/07/79/1062990779.db2.gz UXXCDKDTJGCREL-RDJZCZTQSA-N 0 3 322.518 4.486 20 0 DIADHN Cc1cc(C)cc(CN2CCN([C@H](C)c3ccccc3)CC2)c1 ZINC001460710072 1063002790 /nfs/dbraw/zinc/00/27/90/1063002790.db2.gz YYNAZASYAFYWAM-LJQANCHMSA-N 0 3 308.469 4.182 20 0 DIADHN Cc1cc(C)cc(CN2CCN([C@@H](C)c3ccccc3)CC2)c1 ZINC001460710063 1063004608 /nfs/dbraw/zinc/00/46/08/1063004608.db2.gz YYNAZASYAFYWAM-IBGZPJMESA-N 0 3 308.469 4.182 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256832486 1063006052 /nfs/dbraw/zinc/00/60/52/1063006052.db2.gz ZRIPEAJIJQYPEC-SJLPKXTDSA-N 0 3 317.379 4.317 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC(C)(F)CC1 ZINC001256837944 1063010707 /nfs/dbraw/zinc/01/07/07/1063010707.db2.gz JGNUUAFBMQZQMT-GFCCVEGCSA-N 0 3 314.242 4.204 20 0 DIADHN CCCC1(CCC)CCCN1CC(=O)Nc1ccc(F)cc1F ZINC001460722162 1063014929 /nfs/dbraw/zinc/01/49/29/1063014929.db2.gz RKYGTONLJIXBEM-UHFFFAOYSA-N 0 3 324.415 4.338 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NC[C@@H](O)c1cc2ccccc2o1 ZINC001460743422 1063032247 /nfs/dbraw/zinc/03/22/47/1063032247.db2.gz JUMDTWCJFBLIKN-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN([C@H](C)c1cccc(C)c1)CC2 ZINC001460743119 1063032892 /nfs/dbraw/zinc/03/28/92/1063032892.db2.gz HUXVMNHJIYJOAS-OAHLLOKOSA-N 0 3 308.425 4.073 20 0 DIADHN CC(C)(C)Oc1cccc(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)n1 ZINC001460762867 1063047653 /nfs/dbraw/zinc/04/76/53/1063047653.db2.gz NXGPKSCWUNNQFB-LAUBAEHRSA-N 0 3 322.452 4.032 20 0 DIADHN CC[C@@H](CC(=O)N(CCN(C)C)Cc1ccsc1)C(C)(C)C ZINC001460771000 1063049949 /nfs/dbraw/zinc/04/99/49/1063049949.db2.gz KBULEKVNEGDANH-INIZCTEOSA-N 0 3 324.534 4.101 20 0 DIADHN CC[C@H](CC(=O)N(CCN(C)C)Cc1ccsc1)C(C)(C)C ZINC001460771003 1063050283 /nfs/dbraw/zinc/05/02/83/1063050283.db2.gz KBULEKVNEGDANH-MRXNPFEDSA-N 0 3 324.534 4.101 20 0 DIADHN Cc1cc(C)n(CCN(CCCC(C)C)Cc2ccc(C)o2)n1 ZINC001460776834 1063053694 /nfs/dbraw/zinc/05/36/94/1063053694.db2.gz BBSZORGAFNTKKU-UHFFFAOYSA-N 0 3 317.477 4.340 20 0 DIADHN C[C@@H](NCC1([C@@H]2CCCCO2)CCC1)c1c(F)cccc1F ZINC001460810844 1063075053 /nfs/dbraw/zinc/07/50/53/1063075053.db2.gz ZNXIWQJDFXDLSM-CJNGLKHVSA-N 0 3 309.400 4.355 20 0 DIADHN Cc1nc(CC2CCN([C@@H](C)c3ccc(Cl)cc3)CC2)no1 ZINC001460812733 1063076412 /nfs/dbraw/zinc/07/64/12/1063076412.db2.gz DSGVLNLCBYSEFH-LBPRGKRZSA-N 0 3 319.836 4.047 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCC[C@H](C2CCOCC2)C1 ZINC001460831185 1063087671 /nfs/dbraw/zinc/08/76/71/1063087671.db2.gz JNQZVSAEXCAOOR-HIFRSBDPSA-N 0 3 309.400 4.164 20 0 DIADHN C[C@@H]1CC(N2CCc3ccc(C(F)(F)F)cc3C2)C[C@@H](C)O1 ZINC001257096809 1063108648 /nfs/dbraw/zinc/10/86/48/1063108648.db2.gz JKAIWZIFTHOMOS-VXGBXAGGSA-N 0 3 313.363 4.020 20 0 DIADHN C[C@@H]1C[C@@H](N2CCc3cccc(C(F)(F)F)c3C2)C[C@H](C)O1 ZINC001257102185 1063112308 /nfs/dbraw/zinc/11/23/08/1063112308.db2.gz LGMPSFCNRNINAJ-DABQJJPHSA-N 0 3 313.363 4.020 20 0 DIADHN CCC[C@@H]1CCCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001460897151 1063123749 /nfs/dbraw/zinc/12/37/49/1063123749.db2.gz DECGIDLCOWXPAZ-MRXNPFEDSA-N 0 3 303.837 4.290 20 0 DIADHN CCC[C@H](CC)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001257251195 1063159975 /nfs/dbraw/zinc/15/99/75/1063159975.db2.gz KYAKIYRBHPEYRI-NSHDSACASA-N 0 3 305.315 4.196 20 0 DIADHN CN(C)c1ccccc1CNCc1cccc(C(F)(F)F)c1 ZINC001460999428 1063173885 /nfs/dbraw/zinc/17/38/85/1063173885.db2.gz CUXNOEXDLUINBE-UHFFFAOYSA-N 0 3 308.347 4.061 20 0 DIADHN C[C@H](NCc1cc2ccnc(Cl)c2s1)c1cccnc1 ZINC001461014448 1063187997 /nfs/dbraw/zinc/18/79/97/1063187997.db2.gz AEUHFWFVANWHIU-JTQLQIEISA-N 0 3 303.818 4.196 20 0 DIADHN C[C@@H](NCc1cc2ccnc(Cl)c2s1)c1cccnc1 ZINC001461014450 1063189247 /nfs/dbraw/zinc/18/92/47/1063189247.db2.gz AEUHFWFVANWHIU-SNVBAGLBSA-N 0 3 303.818 4.196 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NC/C=C\c2cccc(F)c2)c1 ZINC001461022056 1063195105 /nfs/dbraw/zinc/19/51/05/1063195105.db2.gz LTQQVKJZESEMCH-VSKVDJIOSA-N 0 3 312.388 4.148 20 0 DIADHN CCCOc1ccc(CNCc2cc(F)ccc2C)cc1OC ZINC001461032924 1063202982 /nfs/dbraw/zinc/20/29/82/1063202982.db2.gz XRKJFLJYJMVWOU-UHFFFAOYSA-N 0 3 317.404 4.221 20 0 DIADHN CC[C@@H](CNCc1cc(C)ccc1F)Oc1cccc(C)c1 ZINC001461051849 1063217665 /nfs/dbraw/zinc/21/76/65/1063217665.db2.gz AFPFJZCARAQLAR-KRWDZBQOSA-N 0 3 301.405 4.390 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2ccc3c(c2)CCC3)c1 ZINC001461052916 1063219171 /nfs/dbraw/zinc/21/91/71/1063219171.db2.gz YQXQBTIFBKZWFF-UHFFFAOYSA-N 0 3 322.452 4.204 20 0 DIADHN CCCOc1ccc(CNCc2ccc3c(c2)CCCC3)cn1 ZINC001461053994 1063222598 /nfs/dbraw/zinc/22/25/98/1063222598.db2.gz QSTPWGXYJICYCA-UHFFFAOYSA-N 0 3 310.441 4.039 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(Br)nc2)cc1 ZINC001461061308 1063224162 /nfs/dbraw/zinc/22/41/62/1063224162.db2.gz PFNHPIXULLWJKX-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccc(F)c(CNCCOc2cccc3ccccc32)c1 ZINC001461068534 1063232199 /nfs/dbraw/zinc/23/21/99/1063232199.db2.gz VJPXLMNSZNEHTK-UHFFFAOYSA-N 0 3 309.384 4.456 20 0 DIADHN CCCCCC[C@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257368068 1063234992 /nfs/dbraw/zinc/23/49/92/1063234992.db2.gz IEZKETLKQSYJLY-NSHDSACASA-N 0 3 303.372 4.079 20 0 DIADHN CCCCCC1CCC(N2CCc3onc(COC)c3C2)CC1 ZINC001257372501 1063239753 /nfs/dbraw/zinc/23/97/53/1063239753.db2.gz GAGNMESSBGVIDY-UHFFFAOYSA-N 0 3 320.477 4.318 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1ccc(Cl)cc1F ZINC001257380796 1063244170 /nfs/dbraw/zinc/24/41/70/1063244170.db2.gz DJVIPEYQLRTZIU-GFCCVEGCSA-N 0 3 315.816 4.081 20 0 DIADHN Cc1ccc(CN[C@H](C)COc2cccc(F)c2)c(Cl)c1 ZINC001461092341 1063247024 /nfs/dbraw/zinc/24/70/24/1063247024.db2.gz FAOTXVKRRBNVCP-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)N[C@H](C)CCC=C(C)C ZINC001478675577 1063247095 /nfs/dbraw/zinc/24/70/95/1063247095.db2.gz YXCJNQXXVHBQIU-GOSISDBHSA-N 0 3 323.525 4.029 20 0 DIADHN CC(C)(CCNCc1nccn1-c1ccccc1)c1ccccc1 ZINC001461094232 1063252010 /nfs/dbraw/zinc/25/20/10/1063252010.db2.gz VVDCTSVVYKDCFT-UHFFFAOYSA-N 0 3 319.452 4.330 20 0 DIADHN CCC(C)(C)C1CCC(NCc2nnc3cc(C)ccn32)CC1 ZINC001257403198 1063252217 /nfs/dbraw/zinc/25/22/17/1063252217.db2.gz SITGLTIAKBWUJY-UHFFFAOYSA-N 0 3 314.477 4.122 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1cccc(F)c1 ZINC001257398927 1063253039 /nfs/dbraw/zinc/25/30/39/1063253039.db2.gz UJWGKJLZOYXEHU-MAUKXSAKSA-N 0 3 321.436 4.475 20 0 DIADHN CCCC[C@@H](CC)NCC(=O)c1cccc(Br)c1 ZINC001257409163 1063258625 /nfs/dbraw/zinc/25/86/25/1063258625.db2.gz UXARDMNZRZDSTO-CQSZACIVSA-N 0 3 312.251 4.190 20 0 DIADHN CCCC[C@H](CC)NCc1coc(-c2cccc(OC)c2)n1 ZINC001257416549 1063266570 /nfs/dbraw/zinc/26/65/70/1063266570.db2.gz MJKLLIWRHWMNAF-HNNXBMFYSA-N 0 3 302.418 4.409 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc(F)c(OC)c2)c1 ZINC001461118744 1063268640 /nfs/dbraw/zinc/26/86/40/1063268640.db2.gz GHJKWHFWAMXCNP-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OCC(F)F)cc2)cc1 ZINC001461120667 1063269870 /nfs/dbraw/zinc/26/98/70/1063269870.db2.gz KDTILBDJUMUFQD-ZDUSSCGKSA-N 0 3 321.367 4.190 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OCC(F)F)cc2)cc1 ZINC001461120664 1063270618 /nfs/dbraw/zinc/27/06/18/1063270618.db2.gz KDTILBDJUMUFQD-CYBMUJFWSA-N 0 3 321.367 4.190 20 0 DIADHN Fc1cc(Br)c(F)c(CNC2CCCCC2)c1F ZINC001257448904 1063281759 /nfs/dbraw/zinc/28/17/59/1063281759.db2.gz LDVAIVYYCONJMR-UHFFFAOYSA-N 0 3 322.168 4.289 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)n1nc(-c2ccncc2)cc1CN ZINC001257467787 1063289813 /nfs/dbraw/zinc/28/98/13/1063289813.db2.gz ALHBHYPCASCSFH-CABCVRRESA-N 0 3 300.450 4.181 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1cc(OC2CCC2)ncn1 ZINC001257470127 1063291767 /nfs/dbraw/zinc/29/17/67/1063291767.db2.gz BDIHNMFZCXPAAJ-HUUCEWRRSA-N 0 3 305.466 4.102 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1cn(Cc2ccncc2)cn1 ZINC001257473697 1063294180 /nfs/dbraw/zinc/29/41/80/1063294180.db2.gz CAFNJSQSZOGNFO-IAGOWNOFSA-N 0 3 314.477 4.021 20 0 DIADHN Cc1ncoc1CNCC(C)(C)c1c(Cl)cccc1Cl ZINC001461163383 1063301528 /nfs/dbraw/zinc/30/15/28/1063301528.db2.gz YXLHOJOSUCXFPO-UHFFFAOYSA-N 0 3 313.228 4.357 20 0 DIADHN CC(C)n1cc([C@H](C)NC/C=C/c2ccc(F)cc2F)cn1 ZINC001461186449 1063319735 /nfs/dbraw/zinc/31/97/35/1063319735.db2.gz RANRGVCTJNKQGI-IHVVCDCBSA-N 0 3 305.372 4.106 20 0 DIADHN CC(C)Cc1ccc(CNC(C)(C)c2cccc(F)c2)cn1 ZINC001461202418 1063325829 /nfs/dbraw/zinc/32/58/29/1063325829.db2.gz MAUCHAXSLKWVON-UHFFFAOYSA-N 0 3 300.421 4.444 20 0 DIADHN CC(C)(C)c1nc(CNC[C@H]2CCc3ccccc32)cs1 ZINC001461210162 1063334513 /nfs/dbraw/zinc/33/45/13/1063334513.db2.gz QLPZPOSNNURLHU-CQSZACIVSA-N 0 3 300.471 4.260 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H](C)c1ccc(F)c(F)c1 ZINC001461217308 1063340542 /nfs/dbraw/zinc/34/05/42/1063340542.db2.gz VVFDGLOIHCOGJX-VGVVRCBHSA-N 0 3 303.352 4.337 20 0 DIADHN Fc1cccc(N2CCC(NCc3cscc3Cl)CC2)c1 ZINC001461226308 1063347590 /nfs/dbraw/zinc/34/75/90/1063347590.db2.gz XVMPUTXABAKJCC-UHFFFAOYSA-N 0 3 324.852 4.299 20 0 DIADHN O=C(N[C@@H]1CCCN2CCCC[C@H]12)c1ccc(C2=CCCC2)cc1 ZINC001461229395 1063348571 /nfs/dbraw/zinc/34/85/71/1063348571.db2.gz JVDGXSMDCUJQJK-WOJBJXKFSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1cc(CNCc2ccc(-c3cccc(Cl)c3)s2)n[nH]1 ZINC001461230130 1063352682 /nfs/dbraw/zinc/35/26/82/1063352682.db2.gz IMHPNCAIIZEGIM-UHFFFAOYSA-N 0 3 317.845 4.390 20 0 DIADHN CCc1ccccc1CNCc1cc(Cl)cc(Cl)c1N ZINC001461233709 1063356321 /nfs/dbraw/zinc/35/63/21/1063356321.db2.gz QMINDMWCNFLMRB-UHFFFAOYSA-N 0 3 309.240 4.428 20 0 DIADHN CC(C)(N[C@@H]1CCCSC1)c1ccccc1Br ZINC001257662188 1063360748 /nfs/dbraw/zinc/36/07/48/1063360748.db2.gz ZFKVTHMMMDEDRT-LLVKDONJSA-N 0 3 314.292 4.169 20 0 DIADHN Cc1cc(CNCC2(c3cccc(C(F)(F)F)c3)CCC2)on1 ZINC001461248717 1063362496 /nfs/dbraw/zinc/36/24/96/1063362496.db2.gz RKXSDTHAPOVZFV-UHFFFAOYSA-N 0 3 324.346 4.213 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001461250457 1063367254 /nfs/dbraw/zinc/36/72/54/1063367254.db2.gz WLLMMVBHVTZOTK-XHDPSFHLSA-N 0 3 320.235 4.426 20 0 DIADHN c1ccc2c(c1)CCS[C@@H]2CNCc1nccc2c1CCCC2 ZINC001461258115 1063371110 /nfs/dbraw/zinc/37/11/10/1063371110.db2.gz SDNFZVWCZOZVJO-HXUWFJFHSA-N 0 3 324.493 4.081 20 0 DIADHN COc1ccc(O[C@H](C)CNCc2ccc(F)cc2Cl)cc1 ZINC001461260631 1063372279 /nfs/dbraw/zinc/37/22/79/1063372279.db2.gz DMZQWIMYPBCSQR-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Fc1cc(CNCCOCc2ccccc2)ccc1C(F)F ZINC001461267142 1063379139 /nfs/dbraw/zinc/37/91/39/1063379139.db2.gz VWJQZKZIOIEDPN-UHFFFAOYSA-N 0 3 309.331 4.070 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2cscc2Cl)C1(C)C ZINC001461281344 1063386541 /nfs/dbraw/zinc/38/65/41/1063386541.db2.gz AJLINBQBDDJRJH-KBPBESRZSA-N 0 3 301.883 4.475 20 0 DIADHN Clc1ccc(CN(C[C@@H]2CC[C@H](C3CC3)O2)C2CC2)cc1 ZINC001473107931 1063405868 /nfs/dbraw/zinc/40/58/68/1063405868.db2.gz DPGKCXHKTULEHA-ZWKOTPCHSA-N 0 3 305.849 4.262 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1cccc2c1CCOC2 ZINC001473105717 1063406390 /nfs/dbraw/zinc/40/63/90/1063406390.db2.gz QZPAPZHRZWOPNL-SFHVURJKSA-N 0 3 313.466 4.330 20 0 DIADHN COC/C(C)=C/CN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC001473110001 1063410072 /nfs/dbraw/zinc/41/00/72/1063410072.db2.gz IWUZZRBSFKYIPW-UKTHLTGXSA-N 0 3 313.363 4.263 20 0 DIADHN CC1(C)C[C@H](NCc2ccnn2CC2CCC2)c2ccccc21 ZINC001461315024 1063411614 /nfs/dbraw/zinc/41/16/14/1063411614.db2.gz WQKSTPYEUJSRNA-IBGZPJMESA-N 0 3 309.457 4.195 20 0 DIADHN C[C@H](c1ccccc1F)N(C)CCCN(C)c1ccccc1 ZINC001473112252 1063413019 /nfs/dbraw/zinc/41/30/19/1063413019.db2.gz NBDRJLXPOAYYRH-MRXNPFEDSA-N 0 3 300.421 4.345 20 0 DIADHN CCC(C)(C)CCN1CCN(C(=O)CCC2CCCCC2)CC1 ZINC001473115033 1063416027 /nfs/dbraw/zinc/41/60/27/1063416027.db2.gz KZVFPLBQCCMQKM-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN Fc1cccc(CN(C[C@@H]2CCC3(CCCC3)O2)C2CC2)c1 ZINC001473113671 1063416416 /nfs/dbraw/zinc/41/64/16/1063416416.db2.gz WIXFPIMQMZCVDJ-SFHVURJKSA-N 0 3 303.421 4.282 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cc(F)cc(F)c2)cc1 ZINC001473116619 1063419051 /nfs/dbraw/zinc/41/90/51/1063419051.db2.gz JFGMZYXIJUHBBV-SFHVURJKSA-N 0 3 305.343 4.311 20 0 DIADHN CC(C)OC1CCC(N2CCc3c(Cl)cccc3C2)CC1 ZINC001257883051 1063421532 /nfs/dbraw/zinc/42/15/32/1063421532.db2.gz MUQDFFTZWHFSAH-UHFFFAOYSA-N 0 3 307.865 4.434 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCCC[C@H]1CC ZINC001473119430 1063422533 /nfs/dbraw/zinc/42/25/33/1063422533.db2.gz OUJGOOMNKKJBPM-CQSZACIVSA-N 0 3 315.413 4.374 20 0 DIADHN CCN(Cc1ccc2ccccc2c1)[C@@H]1CCCN(C(C)C)C1=O ZINC001473121377 1063426122 /nfs/dbraw/zinc/42/61/22/1063426122.db2.gz PQAVJBQEMKDEDN-HXUWFJFHSA-N 0 3 324.468 4.061 20 0 DIADHN CCCN(CC(=O)Nc1ccc(C)cc1)[C@@H]1CCc2ccccc21 ZINC001473124670 1063427052 /nfs/dbraw/zinc/42/70/52/1063427052.db2.gz SOMPABKCBSFEIB-HXUWFJFHSA-N 0 3 322.452 4.333 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CC[C@H](c2ccccc2)C1 ZINC001473123662 1063427134 /nfs/dbraw/zinc/42/71/34/1063427134.db2.gz FZMURMLACLTUSW-ICSRJNTNSA-N 0 3 322.452 4.202 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CC[C@@H](c2ccccc2)C1 ZINC001473123664 1063427417 /nfs/dbraw/zinc/42/74/17/1063427417.db2.gz FZMURMLACLTUSW-UYAOXDASSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1cccc([C@@H](O)CNCc2ccc(Cl)c(C)c2Cl)c1 ZINC001461334335 1063428179 /nfs/dbraw/zinc/42/81/79/1063428179.db2.gz PJTXXVVFRIEYIG-INIZCTEOSA-N 0 3 324.251 4.433 20 0 DIADHN CO[C@H]1C[C@@H](CN(Cc2ccccc2)Cc2ccccc2F)C1 ZINC001473126036 1063429922 /nfs/dbraw/zinc/42/99/22/1063429922.db2.gz AZDSFVDPUNDORF-IZAXUBKRSA-N 0 3 313.416 4.253 20 0 DIADHN CC1(C)CC[C@H](CNCc2nccn2C2CC2)c2ccccc21 ZINC001461353968 1063437824 /nfs/dbraw/zinc/43/78/24/1063437824.db2.gz JNCLXIYPGKXCSM-OAHLLOKOSA-N 0 3 309.457 4.163 20 0 DIADHN CCCCCC/C(=C/c1ccccc1)CNCC1(C)OCCO1 ZINC001461356515 1063439529 /nfs/dbraw/zinc/43/95/29/1063439529.db2.gz HFWLDNRQQOLQEN-CYVLTUHYSA-N 0 3 317.473 4.393 20 0 DIADHN CC[C@H]1CO[C@@](C)(CCC(C)C)N1C1CCC2(COC2)CC1 ZINC001257910979 1063439808 /nfs/dbraw/zinc/43/98/08/1063439808.db2.gz PBMLNBFMIVNSIV-WMZOPIPTSA-N 0 3 309.494 4.209 20 0 DIADHN CC[C@@H]1CO[C@@](C)(CCC(C)C)N1C1CCC2(COC2)CC1 ZINC001257910976 1063440542 /nfs/dbraw/zinc/44/05/42/1063440542.db2.gz PBMLNBFMIVNSIV-AEFFLSMTSA-N 0 3 309.494 4.209 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001473133059 1063442290 /nfs/dbraw/zinc/44/22/90/1063442290.db2.gz NXTBPAJGEDHJDV-VNQPRFMTSA-N 0 3 313.363 4.095 20 0 DIADHN OCc1ccc(F)c(CN2CCCCC[C@@H]2c2ccccc2)c1 ZINC001473136815 1063444654 /nfs/dbraw/zinc/44/46/54/1063444654.db2.gz KFJLIMQDTUBPLQ-HXUWFJFHSA-N 0 3 313.416 4.435 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc3cccnc3o1)CCC2 ZINC001461362849 1063444868 /nfs/dbraw/zinc/44/48/68/1063444868.db2.gz WIBYPEPFEORXPM-SFHVURJKSA-N 0 3 308.381 4.004 20 0 DIADHN COc1ccc(CNc2cc(C)cc(C)n2)cc1OCC(C)C ZINC001461361772 1063445475 /nfs/dbraw/zinc/44/54/75/1063445475.db2.gz TZNPKALIFKAZAB-UHFFFAOYSA-N 0 3 314.429 4.354 20 0 DIADHN CC[C@@H](N[C@H]1COc2ccc(Cl)cc2C1)c1ccc(C)o1 ZINC001257952843 1063446244 /nfs/dbraw/zinc/44/62/44/1063446244.db2.gz KTJGVCASGALNBE-HUUCEWRRSA-N 0 3 305.805 4.286 20 0 DIADHN Clc1ccsc1CN[C@H]1COc2ccc(Cl)cc2C1 ZINC001257953565 1063446800 /nfs/dbraw/zinc/44/68/00/1063446800.db2.gz QXVTUFSSCXSDFP-LLVKDONJSA-N 0 3 314.237 4.148 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Cl)[C@@H](O)Cc1ccccc1 ZINC001461365842 1063447054 /nfs/dbraw/zinc/44/70/54/1063447054.db2.gz SBEZAFKQBQYXLN-SJCJKPOMSA-N 0 3 324.251 4.075 20 0 DIADHN Cc1cc(C)c(CCNCc2nc(-c3ccccc3)c[nH]2)c(C)c1 ZINC001461368201 1063449052 /nfs/dbraw/zinc/44/90/52/1063449052.db2.gz FDEFECCCOSLGQD-UHFFFAOYSA-N 0 3 319.452 4.334 20 0 DIADHN CCC(C)(C)c1ccc(CNCc2cccc(F)c2N)cc1 ZINC001461368699 1063450265 /nfs/dbraw/zinc/45/02/65/1063450265.db2.gz HNNNCHTUDYKNQV-UHFFFAOYSA-N 0 3 300.421 4.385 20 0 DIADHN CN1CCN([C@H]2CCc3c2cccc3Cl)Cc2ccccc21 ZINC001473145174 1063451001 /nfs/dbraw/zinc/45/10/01/1063451001.db2.gz DTAIQJCLILJLMO-IBGZPJMESA-N 0 3 312.844 4.279 20 0 DIADHN CCCOc1cccc(CN(C)Cc2ccc(OC)c(C)c2)c1 ZINC001473148462 1063452989 /nfs/dbraw/zinc/45/29/89/1063452989.db2.gz ZVFOFILBXQVEJN-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN NCc1nn(C2CCC(c3ccccc3)CC2)c2ccccc12 ZINC001257989706 1063453140 /nfs/dbraw/zinc/45/31/40/1063453140.db2.gz TTXLVLDCPUUDLR-UHFFFAOYSA-N 0 3 305.425 4.394 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cc(OC)ccc1F ZINC001461372871 1063454163 /nfs/dbraw/zinc/45/41/63/1063454163.db2.gz CVYIYLSETSEWRS-HNNXBMFYSA-N 0 3 319.445 4.495 20 0 DIADHN Cc1noc([C@@H]2CCCN2C2CCC(c3ccccc3)CC2)n1 ZINC001257999037 1063457569 /nfs/dbraw/zinc/45/75/69/1063457569.db2.gz ZWXUTGRXFCJKDC-ABHNRTSZSA-N 0 3 311.429 4.241 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCN(C)c1ccccc1 ZINC001473153869 1063459732 /nfs/dbraw/zinc/45/97/32/1063459732.db2.gz JIEXKWREFGOHFZ-CQSZACIVSA-N 0 3 304.384 4.094 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2C[C@@H]3CCC[C@@H]3O2)cc1 ZINC001473159486 1063462597 /nfs/dbraw/zinc/46/25/97/1063462597.db2.gz LHFYHVRZIYPXIV-VJANTYMQSA-N 0 3 317.498 4.043 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2c3ccccc3CC[C@H]2C)c1 ZINC001461384992 1063464182 /nfs/dbraw/zinc/46/41/82/1063464182.db2.gz DXRZLLJZWIEFGH-JLTOFOAXSA-N 0 3 311.425 4.117 20 0 DIADHN c1cc(CNCCn2c3ccccc3c3ccccc32)sn1 ZINC001461384466 1063464471 /nfs/dbraw/zinc/46/44/71/1063464471.db2.gz SKTVSSYLVIGJDK-UHFFFAOYSA-N 0 3 307.422 4.041 20 0 DIADHN CC(C)C[C@@H](C)CN(C)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC001473162179 1063465296 /nfs/dbraw/zinc/46/52/96/1063465296.db2.gz ILKCKOKWAHNBMD-PBHICJAKSA-N 0 3 322.880 4.059 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)CC1 ZINC001473160510 1063465477 /nfs/dbraw/zinc/46/54/77/1063465477.db2.gz SMTKHBDBPGXURW-HUUCEWRRSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)c1nc(CNC[C@H]2CCc3ccccc3C2)cs1 ZINC001461387055 1063467494 /nfs/dbraw/zinc/46/74/94/1063467494.db2.gz CQLDXVRPLMEPAN-AWEZNQCLSA-N 0 3 300.471 4.161 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cccc(C)c1F ZINC001461394898 1063471487 /nfs/dbraw/zinc/47/14/87/1063471487.db2.gz XTYTXOCNXWPZOH-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cccc(C)c1F ZINC001461394900 1063472520 /nfs/dbraw/zinc/47/25/20/1063472520.db2.gz XTYTXOCNXWPZOH-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN Cc1ccc(Br)cc1CN1CC[C@@H](c2ccco2)C1 ZINC001473168684 1063473670 /nfs/dbraw/zinc/47/36/70/1063473670.db2.gz RJVQPYVVTYWNAH-CYBMUJFWSA-N 0 3 320.230 4.340 20 0 DIADHN CC(C)n1ncnc1CNCC1(C2CCCCC2)CCCCC1 ZINC001461407257 1063479558 /nfs/dbraw/zinc/47/95/58/1063479558.db2.gz JPATZIORSHFWLQ-UHFFFAOYSA-N 0 3 318.509 4.479 20 0 DIADHN CCc1cnc(CNC[C@@]2(C)CCCc3ccccc32)s1 ZINC001461408671 1063479878 /nfs/dbraw/zinc/47/98/78/1063479878.db2.gz IVOTUWPDWSMBTN-GOSISDBHSA-N 0 3 300.471 4.089 20 0 DIADHN CN(C)c1ncc(CN2CCC[C@H]3CCC[C@@H]32)c2ccccc21 ZINC001473173406 1063480093 /nfs/dbraw/zinc/48/00/93/1063480093.db2.gz YOYHKNIKCMFTLN-BEFAXECRSA-N 0 3 309.457 4.065 20 0 DIADHN O[C@@H](CNCc1ccccc1F)c1ccc(-c2ccccc2)cc1 ZINC001461411583 1063481523 /nfs/dbraw/zinc/48/15/23/1063481523.db2.gz SAPVIICVKPTGNJ-NRFANRHFSA-N 0 3 321.395 4.316 20 0 DIADHN CCCCOc1ccc(CN[C@H](C)c2ccc[nH]2)cc1OC ZINC001461417952 1063486227 /nfs/dbraw/zinc/48/62/27/1063486227.db2.gz WTMRLCHENADBAY-CQSZACIVSA-N 0 3 302.418 4.053 20 0 DIADHN CC[C@@H](O)[C@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001473181712 1063490355 /nfs/dbraw/zinc/49/03/55/1063490355.db2.gz PBSSWTKJSQQOQK-HUUCEWRRSA-N 0 3 316.272 4.161 20 0 DIADHN CO[C@H](CN1CCc2cccc(F)c2[C@H]1C)C1CCCCC1 ZINC001473182431 1063490401 /nfs/dbraw/zinc/49/04/01/1063490401.db2.gz DJWZETJIZRUMFK-RDTXWAMCSA-N 0 3 305.437 4.340 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1cn(Cc2ccccc2)cn1 ZINC001258061676 1063491366 /nfs/dbraw/zinc/49/13/66/1063491366.db2.gz OQAXWRJJIHISNL-QZTJIDSGSA-N 0 3 313.489 4.482 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1C[C@H](O)c1ccc(Cl)c(Cl)c1 ZINC001473187571 1063496404 /nfs/dbraw/zinc/49/64/04/1063496404.db2.gz STKLJOAEOWMZRA-RWSFTLGLSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@]2(C)CCO[C@@H]2C2CC2)c1Cl ZINC001461432666 1063498529 /nfs/dbraw/zinc/49/85/29/1063498529.db2.gz RSEJZYHSCUYUMQ-CVEARBPZSA-N 0 3 314.256 4.349 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001473188330 1063498413 /nfs/dbraw/zinc/49/84/13/1063498413.db2.gz ZKMGCQNFBOKIRL-RFQIPJPRSA-N 0 3 305.384 4.045 20 0 DIADHN COc1ccnc(CN2CCCC[C@H]2c2cccc(C)c2C)c1 ZINC001473187215 1063498540 /nfs/dbraw/zinc/49/85/40/1063498540.db2.gz OCJYANNKZAVWGN-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2ccc3c(n2)CCC3)cc1 ZINC001461434149 1063501306 /nfs/dbraw/zinc/50/13/06/1063501306.db2.gz BDESMGRUXZRIOV-HNNXBMFYSA-N 0 3 312.482 4.149 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1cn(Cc2cccc(F)c2)cn1 ZINC001258087926 1063510245 /nfs/dbraw/zinc/51/02/45/1063510245.db2.gz MRHJGCJPEZQKGR-MRXNPFEDSA-N 0 3 315.436 4.295 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CN[C@@H]1CSC1(C)C ZINC001461453886 1063515555 /nfs/dbraw/zinc/51/55/55/1063515555.db2.gz BBVBKBVEVKYPTQ-SUMWQHHRSA-N 0 3 324.509 4.292 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1ccc(Oc2ccccc2)o1 ZINC001461459507 1063519969 /nfs/dbraw/zinc/51/99/69/1063519969.db2.gz CTDXZWRJQFSOQL-OAHLLOKOSA-N 0 3 317.429 4.349 20 0 DIADHN Cc1ccccc1-n1cc(CNC(C)(C)C2CCCCC2)nn1 ZINC001461461537 1063524056 /nfs/dbraw/zinc/52/40/56/1063524056.db2.gz HZCMLVMQEMKVGP-UHFFFAOYSA-N 0 3 312.461 4.024 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1ccc(-c2ccccc2)o1 ZINC001461466341 1063525522 /nfs/dbraw/zinc/52/55/22/1063525522.db2.gz XDNIJHMRKWNTIY-MRXNPFEDSA-N 0 3 301.430 4.223 20 0 DIADHN C[C@H]1C[C@H](N2CCc3c(cnn3-c3ccccc3)C2)C[C@@H](C)C1 ZINC001258166872 1063537325 /nfs/dbraw/zinc/53/73/25/1063537325.db2.gz BOIITNQZQKCDOJ-MNZLEMJZSA-N 0 3 309.457 4.055 20 0 DIADHN COc1cccc([C@H]2C[C@H]([NH2+]Cc3cc([O-])cc(Cl)c3)C2)c1 ZINC001461502535 1063541972 /nfs/dbraw/zinc/54/19/72/1063541972.db2.gz QNGPNMIPROHJPD-KOMQPUFPSA-N 0 3 317.816 4.090 20 0 DIADHN C[C@H](Cc1ccco1)NCc1cc(F)c(Cl)cc1Cl ZINC001258171421 1063542965 /nfs/dbraw/zinc/54/29/65/1063542965.db2.gz YKLIIDYANOOKEM-SECBINFHSA-N 0 3 302.176 4.446 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1cc(F)ccc1OC(F)(F)F ZINC001258170444 1063544292 /nfs/dbraw/zinc/54/42/92/1063544292.db2.gz FPTKRLVJVYCGJB-JTQLQIEISA-N 0 3 317.282 4.038 20 0 DIADHN Cc1ccccc1[C@@H](N[C@@H](C)Cc1ccco1)c1ccncc1 ZINC001258170648 1063544319 /nfs/dbraw/zinc/54/43/19/1063544319.db2.gz JAJYPJUEUWKTDX-JXFKEZNVSA-N 0 3 306.409 4.293 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1cc(-c2cccc(Cl)c2)no1 ZINC001258177687 1063545929 /nfs/dbraw/zinc/54/59/29/1063545929.db2.gz CKADPJDEMGVLID-LBPRGKRZSA-N 0 3 316.788 4.309 20 0 DIADHN C[C@H](Cc1ccco1)NCc1cc(-c2cccc(Cl)c2)no1 ZINC001258177686 1063546414 /nfs/dbraw/zinc/54/64/14/1063546414.db2.gz CKADPJDEMGVLID-GFCCVEGCSA-N 0 3 316.788 4.309 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1c(F)cccc1C(F)(F)F ZINC001258178640 1063547261 /nfs/dbraw/zinc/54/72/61/1063547261.db2.gz FDPRSYHTCOAHGM-JTQLQIEISA-N 0 3 301.283 4.158 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1cc(Cl)cc(Cl)c1OC)OC ZINC001461519774 1063553356 /nfs/dbraw/zinc/55/33/56/1063553356.db2.gz KUYMINNBMZFSDY-IINYFYTJSA-N 0 3 320.260 4.153 20 0 DIADHN C[C@@H](Cc1ccco1)N1CCSC[C@@H]1c1ccc(F)cc1 ZINC001258191401 1063555488 /nfs/dbraw/zinc/55/54/88/1063555488.db2.gz BFNAOUXPALFLNN-SUMWQHHRSA-N 0 3 305.418 4.140 20 0 DIADHN CN(C)c1ccc(F)cc1CN[C@H]1CCCc2c(F)cccc21 ZINC001461541715 1063568014 /nfs/dbraw/zinc/56/80/14/1063568014.db2.gz ZEMANRSNYRCWSH-SFHVURJKSA-N 0 3 316.395 4.198 20 0 DIADHN C[C@H]1CCc2nc(CNCC(C)(C)C3=CCCC3)sc2C1 ZINC001461560507 1063582467 /nfs/dbraw/zinc/58/24/67/1063582467.db2.gz QPQWMGSSJSIHCT-ZDUSSCGKSA-N 0 3 304.503 4.494 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCCC(C)(C)C3)co2)c1 ZINC001258281422 1063583923 /nfs/dbraw/zinc/58/39/23/1063583923.db2.gz HSQONPYZBJJSSF-HNNXBMFYSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@@]1(NCc2nc3cc(Br)ccc3o2)CC=CCC1 ZINC001461570143 1063584474 /nfs/dbraw/zinc/58/44/74/1063584474.db2.gz BNUAMTLOGUMFNB-OAHLLOKOSA-N 0 3 321.218 4.179 20 0 DIADHN C[C@]1(NCc2nc3cc(Br)ccc3o2)CC=CCC1 ZINC001461570139 1063584538 /nfs/dbraw/zinc/58/45/38/1063584538.db2.gz BNUAMTLOGUMFNB-HNNXBMFYSA-N 0 3 321.218 4.179 20 0 DIADHN COC1([C@@H](C)NCc2ccc(Cl)c(C)c2Cl)CCC1 ZINC001461574846 1063589490 /nfs/dbraw/zinc/58/94/90/1063589490.db2.gz AJGZFCYMKAWEMD-LLVKDONJSA-N 0 3 302.245 4.349 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2cccnc2Cl)CCC1 ZINC001461578453 1063590870 /nfs/dbraw/zinc/59/08/70/1063590870.db2.gz QJZGEXGFQBWBQP-UHFFFAOYSA-N 0 3 322.786 4.225 20 0 DIADHN CC(C)n1c(CNCC(C)(C)c2cccnc2)nc2ccccc21 ZINC001461582496 1063593301 /nfs/dbraw/zinc/59/33/01/1063593301.db2.gz VRAXDGRERNGRSY-UHFFFAOYSA-N 0 3 322.456 4.080 20 0 DIADHN Clc1cccc([C@@H]2CC[C@@H](NCc3nccn3C3CC3)C2)c1 ZINC001461584998 1063595038 /nfs/dbraw/zinc/59/50/38/1063595038.db2.gz LVCCEZMLXKXTKD-GDBMZVCRSA-N 0 3 315.848 4.297 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCC(=O)[C@@H](C)CC1 ZINC001258306114 1063600310 /nfs/dbraw/zinc/60/03/10/1063600310.db2.gz RIFVWAFUGOCBQK-LSDHHAIUSA-N 0 3 323.502 4.371 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCC(=O)[C@H](C)CC1 ZINC001258306113 1063600968 /nfs/dbraw/zinc/60/09/68/1063600968.db2.gz RIFVWAFUGOCBQK-HUUCEWRRSA-N 0 3 323.502 4.371 20 0 DIADHN CSC(C)(C)C[C@H](C)N1CCc2ccc(C(C)=O)cc2C1 ZINC001258347103 1063620920 /nfs/dbraw/zinc/62/09/20/1063620920.db2.gz UTSGCQAPKJIHGU-ZDUSSCGKSA-N 0 3 305.487 4.168 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1cnc(-c2ccc(C)o2)s1 ZINC001461634858 1063626501 /nfs/dbraw/zinc/62/65/01/1063626501.db2.gz RFMQTCKVTSEIMO-AWEZNQCLSA-N 0 3 320.458 4.006 20 0 DIADHN Clc1cc(Cl)cc(CNCc2cccc3n[nH]cc32)c1 ZINC001461636875 1063628443 /nfs/dbraw/zinc/62/84/43/1063628443.db2.gz WSRHBRMHBAGHGT-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN COc1ccc(CC[C@H](C)n2c3ccccc3nc2[C@H](C)N)cc1 ZINC001258387659 1063630874 /nfs/dbraw/zinc/63/08/74/1063630874.db2.gz GYBFALJJVKMXCK-GJZGRUSLSA-N 0 3 323.440 4.258 20 0 DIADHN Brc1ccc2oc(CNCC3=CCCC3)cc2c1 ZINC001461644227 1063631875 /nfs/dbraw/zinc/63/18/75/1063631875.db2.gz TZSKBQXNSYCWCA-UHFFFAOYSA-N 0 3 306.203 4.395 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](CF)c2ccccc2)cc1 ZINC001258390524 1063632700 /nfs/dbraw/zinc/63/27/00/1063632700.db2.gz NQCZAWNGCVONKJ-HNAYVOBHSA-N 0 3 301.405 4.317 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CNCC1CC=CC1 ZINC001461647041 1063634806 /nfs/dbraw/zinc/63/48/06/1063634806.db2.gz KTPDEJDSMMCPDE-UHFFFAOYSA-N 0 3 318.617 4.297 20 0 DIADHN CN(C)CCN(C(=O)CC(C)(C)C1CCCCC1)C1CCCC1 ZINC001515017595 1063639563 /nfs/dbraw/zinc/63/95/63/1063639563.db2.gz MWGGAJGNOIQKMI-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCC(CC)(CC)C(F)(F)F ZINC001461696917 1063662739 /nfs/dbraw/zinc/66/27/39/1063662739.db2.gz LMUMGBLULYMPIL-UHFFFAOYSA-N 0 3 311.779 4.084 20 0 DIADHN COc1ccc(CC[C@@H](C)N2Cc3ccc(OC)cc3C2)cc1 ZINC001258407592 1063643069 /nfs/dbraw/zinc/64/30/69/1063643069.db2.gz FZSUUWJAHNSKLO-OAHLLOKOSA-N 0 3 311.425 4.041 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H]3CCc4ccc(Cl)cc43)ccc2O1 ZINC001461668731 1063647993 /nfs/dbraw/zinc/64/79/93/1063647993.db2.gz FGISDLWHBIURPJ-KPZWWZAWSA-N 0 3 313.828 4.441 20 0 DIADHN Cc1ccc(CNCc2cn(C(F)F)c3ccccc23)cn1 ZINC001461674388 1063651001 /nfs/dbraw/zinc/65/10/01/1063651001.db2.gz CYJDJHJBWCCSEC-UHFFFAOYSA-N 0 3 301.340 4.030 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2)[C@H](C)O)cc2ccccc21 ZINC001461673509 1063651631 /nfs/dbraw/zinc/65/16/31/1063651631.db2.gz ZMQDLIMMSGNGEL-YCRPNKLZSA-N 0 3 321.420 4.060 20 0 DIADHN COc1cc(CNCc2ccnc(-c3ccccc3)c2)ccc1F ZINC001461676990 1063653051 /nfs/dbraw/zinc/65/30/51/1063653051.db2.gz KXNSPZAHSZWPCK-UHFFFAOYSA-N 0 3 322.383 4.186 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2cccnc2C)cc1 ZINC001461677268 1063653338 /nfs/dbraw/zinc/65/33/38/1063653338.db2.gz UVXFLUVRPPZFGS-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N(CCN(C)C)Cc1cccs1 ZINC001515160835 1063653334 /nfs/dbraw/zinc/65/33/34/1063653334.db2.gz TXSWQBIRDHSBKD-MRXNPFEDSA-N 0 3 324.534 4.101 20 0 DIADHN CCN(C)c1ccc(CN[C@](C)(CC)c2ccc(F)cc2)cn1 ZINC001461680019 1063655033 /nfs/dbraw/zinc/65/50/33/1063655033.db2.gz ASVXUAKSLHICMF-LJQANCHMSA-N 0 3 315.436 4.092 20 0 DIADHN Fc1ccc(Cl)c(CNC2CCN(c3ccccc3)CC2)c1 ZINC001258504961 1063675401 /nfs/dbraw/zinc/67/54/01/1063675401.db2.gz WUUWGHXKJXEPEV-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN Fc1cccc(CNC2CCN(c3ccccc3)CC2)c1Cl ZINC001258505275 1063675683 /nfs/dbraw/zinc/67/56/83/1063675683.db2.gz FECJJIOVXKGBSN-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCCC[C@@H]1c1ccccc1F ZINC001258495525 1063675753 /nfs/dbraw/zinc/67/57/53/1063675753.db2.gz JFYIFKBKKLMAQP-WMLDXEAASA-N 0 3 307.409 4.085 20 0 DIADHN FC(F)(F)c1ccc(CNC2CCN(c3ccccc3)CC2)o1 ZINC001258509726 1063678758 /nfs/dbraw/zinc/67/87/58/1063678758.db2.gz CVFQQHWLOKQWPX-UHFFFAOYSA-N 0 3 324.346 4.057 20 0 DIADHN Clc1ccc2c(c1)CN(C1CCN(c3ccccc3)CC1)C2 ZINC001258514964 1063680396 /nfs/dbraw/zinc/68/03/96/1063680396.db2.gz ABWNUXACAPUHRK-UHFFFAOYSA-N 0 3 312.844 4.325 20 0 DIADHN CCCC1CCC(n2nc(C(F)(F)F)c3c2CNCC3)CC1 ZINC001258534330 1063686707 /nfs/dbraw/zinc/68/67/07/1063686707.db2.gz WJDGOULEILHRLY-UHFFFAOYSA-N 0 3 315.383 4.079 20 0 DIADHN CCCC1CCC(N2Cc3cccc(C(=O)OC)c3C2)CC1 ZINC001258534177 1063687705 /nfs/dbraw/zinc/68/77/05/1063687705.db2.gz OUJUFKHIGDGVIS-UHFFFAOYSA-N 0 3 301.430 4.148 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(F)cc2)c2c(n1)CCCC2 ZINC001461753490 1063697728 /nfs/dbraw/zinc/69/77/28/1063697728.db2.gz IPXZATJICDDMFR-UHFFFAOYSA-N 0 3 312.432 4.433 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC(C)(C)C3)co2)cc1 ZINC001258598049 1063700841 /nfs/dbraw/zinc/70/08/41/1063700841.db2.gz CYMIOUZLOWNTFH-CQSZACIVSA-N 0 3 300.402 4.019 20 0 DIADHN CC1(C)CC[C@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001258599305 1063702897 /nfs/dbraw/zinc/70/28/97/1063702897.db2.gz YDIAHELQBFTDBE-NSHDSACASA-N 0 3 317.326 4.196 20 0 DIADHN c1cn(-c2ccccc2)c(CNCCC2(c3ccccc3)CC2)n1 ZINC001461765317 1063703997 /nfs/dbraw/zinc/70/39/97/1063703997.db2.gz KFLVDGNESSUHID-UHFFFAOYSA-N 0 3 317.436 4.084 20 0 DIADHN CSc1ccc(CNCc2c[nH]c(=O)c3ccccc23)s1 ZINC001461777664 1063709858 /nfs/dbraw/zinc/70/98/58/1063709858.db2.gz NCRWNXFISHPKSY-UHFFFAOYSA-N 0 3 316.451 4.014 20 0 DIADHN CCCO[C@H]1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258681493 1063749423 /nfs/dbraw/zinc/74/94/23/1063749423.db2.gz GDKGEAGIZAYEBC-DOMZBBRYSA-N 0 3 316.272 4.425 20 0 DIADHN Cc1cc(CN[C@@]2(C)CCOc3ccccc32)ccc1Cl ZINC001461778340 1063710979 /nfs/dbraw/zinc/71/09/79/1063710979.db2.gz CYRMGUAZDWBTNR-SFHVURJKSA-N 0 3 301.817 4.436 20 0 DIADHN CC(C)c1nc(CN[C@@H]2CCCc3ccccc3C2)cs1 ZINC001461788511 1063718150 /nfs/dbraw/zinc/71/81/50/1063718150.db2.gz FCJRUNZNQRSCMO-MRXNPFEDSA-N 0 3 300.471 4.304 20 0 DIADHN CC(C)c1nc(CN[C@H]2CCCc3ccccc3C2)cs1 ZINC001461788510 1063718710 /nfs/dbraw/zinc/71/87/10/1063718710.db2.gz FCJRUNZNQRSCMO-INIZCTEOSA-N 0 3 300.471 4.304 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC001258633984 1063718861 /nfs/dbraw/zinc/71/88/61/1063718861.db2.gz NBIUGRUQZHDPRS-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@H]1CCOC12CCCCC2 ZINC001461794104 1063720416 /nfs/dbraw/zinc/72/04/16/1063720416.db2.gz BUIRYDUZYWIKTB-KRWDZBQOSA-N 0 3 323.864 4.320 20 0 DIADHN CCOCOc1ccc(CN[C@H](C)c2ccc(F)cc2)cc1 ZINC001461795455 1063723293 /nfs/dbraw/zinc/72/32/93/1063723293.db2.gz DETLYJJLJPKWCJ-CQSZACIVSA-N 0 3 303.377 4.049 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCC(=O)c1cc(F)ccc1F ZINC001258652895 1063725517 /nfs/dbraw/zinc/72/55/17/1063725517.db2.gz DLLDPKVQTYAEGN-INIZCTEOSA-N 0 3 317.379 4.149 20 0 DIADHN Cc1nc2ccccn2c1CNCc1cccc(CC2CCC2)c1 ZINC001461810866 1063730405 /nfs/dbraw/zinc/73/04/05/1063730405.db2.gz FJNHIZPAASQDRY-UHFFFAOYSA-N 0 3 319.452 4.275 20 0 DIADHN C[C@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1csc2ccccc21 ZINC001461813845 1063732109 /nfs/dbraw/zinc/73/21/09/1063732109.db2.gz NSYLFJNRQDUWNN-NSHDSACASA-N 0 3 323.421 4.334 20 0 DIADHN CCCCC[C@@H](CCC)N1CCc2cnc(SC)nc2C1 ZINC001258673194 1063741505 /nfs/dbraw/zinc/74/15/05/1063741505.db2.gz JNKOJCYHJGYTBK-OAHLLOKOSA-N 0 3 307.507 4.306 20 0 DIADHN COCc1c(C)cc(C)c(CN(C)Cc2cccc(O)c2)c1C ZINC001461836895 1063743035 /nfs/dbraw/zinc/74/30/35/1063743035.db2.gz DAGCEXLONJJFNP-UHFFFAOYSA-N 0 3 313.441 4.096 20 0 DIADHN CC(C)[C@@H]1C[C@H]1NCc1cc(Br)ccc1Cl ZINC001461836613 1063744380 /nfs/dbraw/zinc/74/43/80/1063744380.db2.gz GSEXBCPKSMJHJM-WCQYABFASA-N 0 3 302.643 4.237 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C1 ZINC001461843050 1063745163 /nfs/dbraw/zinc/74/51/63/1063745163.db2.gz GXHXHIINEWOLFY-MRXNPFEDSA-N 0 3 315.844 4.211 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@H](C)CC[C@@H]3C)n2)c1 ZINC001461841085 1063745292 /nfs/dbraw/zinc/74/52/92/1063745292.db2.gz FOKFNPBVONAJHP-KGLIPLIRSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2ccnn2C2CCC2)cc1 ZINC001461840888 1063747302 /nfs/dbraw/zinc/74/73/02/1063747302.db2.gz BXPBCBIISXOSQB-UHFFFAOYSA-N 0 3 311.473 4.326 20 0 DIADHN CCOC1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)CC1 ZINC001258681425 1063749234 /nfs/dbraw/zinc/74/92/34/1063749234.db2.gz DUVLCBWPKDUQGW-GFCCVEGCSA-N 0 3 316.272 4.425 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CCC[C@@]2(CCO2)C1 ZINC001258684296 1063750464 /nfs/dbraw/zinc/75/04/64/1063750464.db2.gz RBJTWKGDJCOUDL-MLGOLLRUSA-N 0 3 314.256 4.179 20 0 DIADHN COCCN(Cc1cc(F)cc(OC)c1)[C@H](C)c1ccccc1 ZINC001461869103 1063758972 /nfs/dbraw/zinc/75/89/72/1063758972.db2.gz DYMJXMBXBNLICJ-OAHLLOKOSA-N 0 3 317.404 4.044 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N(C)c1nc(CN)ccc1C ZINC001258701183 1063759467 /nfs/dbraw/zinc/75/94/67/1063759467.db2.gz GIDBZBDESKFQAN-LJQANCHMSA-N 0 3 311.473 4.086 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N[C@H]1C(=O)Nc2cccc(C)c21 ZINC001258701337 1063760050 /nfs/dbraw/zinc/76/00/50/1063760050.db2.gz GZWBYKCRESODRZ-YLJYHZDGSA-N 0 3 322.452 4.379 20 0 DIADHN CCCC[C@H](Cc1ccccc1)NCc1ncc(F)cc1F ZINC001258706033 1063763640 /nfs/dbraw/zinc/76/36/40/1063763640.db2.gz MQSHKRFCSPRPNM-MRXNPFEDSA-N 0 3 304.384 4.251 20 0 DIADHN Cn1cc(CN2CCC(c3ccccc3)CC2)c(C2CCCC2)n1 ZINC001461884159 1063776238 /nfs/dbraw/zinc/77/62/38/1063776238.db2.gz YSDHDTUUKKBFFC-UHFFFAOYSA-N 0 3 323.484 4.457 20 0 DIADHN C[C@H](CN1CCC(c2ccccn2)CC1)c1cc(F)cc(F)c1 ZINC001461905742 1063788372 /nfs/dbraw/zinc/78/83/72/1063788372.db2.gz HKRIRXRKQLCUFS-CQSZACIVSA-N 0 3 316.395 4.343 20 0 DIADHN CCn1cc(CN2CCC[C@H]2Cc2ccccc2Cl)c(C)n1 ZINC001461908632 1063790622 /nfs/dbraw/zinc/79/06/22/1063790622.db2.gz AEUHXVDNADFROM-KRWDZBQOSA-N 0 3 317.864 4.072 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N1C[C@@H](CF)[C@H](C(F)(F)F)C1 ZINC001258745647 1063794675 /nfs/dbraw/zinc/79/46/75/1063794675.db2.gz SPOQWJAYTOSRDA-ZKYQVNSYSA-N 0 3 323.761 4.351 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N1CC[C@@H](F)C(F)(F)CC1 ZINC001258745817 1063795670 /nfs/dbraw/zinc/79/56/70/1063795670.db2.gz WKLVUKDPGNCNEG-SMDDNHRTSA-N 0 3 305.771 4.340 20 0 DIADHN CCSc1cccc(CN(C)CCOCc2ccccc2)c1 ZINC001461924084 1063807710 /nfs/dbraw/zinc/80/77/10/1063807710.db2.gz ARGWBDSRAHIDNX-UHFFFAOYSA-N 0 3 315.482 4.447 20 0 DIADHN CCCC[C@H](CCC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258767686 1063814146 /nfs/dbraw/zinc/81/41/46/1063814146.db2.gz XDJZHEIAMHDYML-NSHDSACASA-N 0 3 303.372 4.079 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cnc3ccc(C)cn23)c(C)c1 ZINC001461940376 1063825871 /nfs/dbraw/zinc/82/58/71/1063825871.db2.gz TWTURNTVVFNIGG-KRWDZBQOSA-N 0 3 307.441 4.453 20 0 DIADHN O=c1ccc(CN(Cc2ccccc2Cl)C2CCCC2)c[nH]1 ZINC001461951012 1063835911 /nfs/dbraw/zinc/83/59/11/1063835911.db2.gz XANXSPMBMKXNOV-UHFFFAOYSA-N 0 3 316.832 4.385 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccc(F)cc3)o2)CCS1 ZINC001461958362 1063838247 /nfs/dbraw/zinc/83/82/47/1063838247.db2.gz JNETYZLNPKTDDI-UHFFFAOYSA-N 0 3 305.418 4.413 20 0 DIADHN CCN(Cc1cccc(C)c1F)[C@H](COC)Cc1ccccc1 ZINC001461955930 1063838983 /nfs/dbraw/zinc/83/89/83/1063838983.db2.gz FUYZEFPZZISOKM-IBGZPJMESA-N 0 3 315.432 4.214 20 0 DIADHN COc1ccc(CN2CCC[C@@H](CCCc3ccccc3)C2)nc1 ZINC001461960087 1063840884 /nfs/dbraw/zinc/84/08/84/1063840884.db2.gz OQOAKRZDBLLQBG-LJQANCHMSA-N 0 3 324.468 4.325 20 0 DIADHN C[C@@H]1CCC[C@@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001258811105 1063841008 /nfs/dbraw/zinc/84/10/08/1063841008.db2.gz VUIWSYMZVUDKPM-ZYHUDNBSSA-N 0 3 300.229 4.344 20 0 DIADHN C[C@@H]1CCC[C@@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001258812993 1063842538 /nfs/dbraw/zinc/84/25/38/1063842538.db2.gz BEKYGYGZTFHWGQ-ZYHUDNBSSA-N 0 3 317.326 4.196 20 0 DIADHN CSc1ncc(Cl)c(CNC(CC(C)C)CC(C)C)n1 ZINC001258832118 1063855548 /nfs/dbraw/zinc/85/55/48/1063855548.db2.gz FJAAAEIYZWQGJJ-UHFFFAOYSA-N 0 3 315.914 4.402 20 0 DIADHN Cc1cc(Br)cc(CN2CC[C@H](c3ccco3)C2)c1 ZINC001461981586 1063855978 /nfs/dbraw/zinc/85/59/78/1063855978.db2.gz HQQJXGLYEDFOET-AWEZNQCLSA-N 0 3 320.230 4.340 20 0 DIADHN CC(C)CC(CC(C)C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258836441 1063858108 /nfs/dbraw/zinc/85/81/08/1063858108.db2.gz XRCJXZZDTWVFHV-UHFFFAOYSA-N 0 3 317.399 4.181 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@@H](c1ccccc1)c1cccnn1 ZINC001258843294 1063861883 /nfs/dbraw/zinc/86/18/83/1063861883.db2.gz CZTAFKUQALNUCY-FPOVZHCZSA-N 0 3 317.436 4.177 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1c(Cl)cncc1Cl ZINC001258841552 1063862306 /nfs/dbraw/zinc/86/23/06/1063862306.db2.gz NWJCGJHXDULMBN-ZDUSSCGKSA-N 0 3 309.240 4.499 20 0 DIADHN CCCN(Cc1cn(-c2ccccc2Cl)nc1C)C1CC1 ZINC001461992885 1063863998 /nfs/dbraw/zinc/86/39/98/1063863998.db2.gz PFVOKXHRRNNUDF-UHFFFAOYSA-N 0 3 303.837 4.209 20 0 DIADHN COc1ccccc1C[C@H](C)N1CCc2ccc(Cl)cc2C1 ZINC001258886800 1063873281 /nfs/dbraw/zinc/87/32/81/1063873281.db2.gz ULMKXGJEBSFXII-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN COc1ccccc1C[C@H](C)N1CCc2cccc(Cl)c2C1 ZINC001258895616 1063874798 /nfs/dbraw/zinc/87/47/98/1063874798.db2.gz RNJGDTALPKDVLX-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN C[C@H]1CCCCN1Cc1cnc(CCc2ccccc2)s1 ZINC001462017099 1063881402 /nfs/dbraw/zinc/88/14/02/1063881402.db2.gz QBHXGCBYLJNXBA-HNNXBMFYSA-N 0 3 300.471 4.303 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@@H]2CCC[C@@H](C)C2)cc(Cl)c1[O-] ZINC001462020938 1063883185 /nfs/dbraw/zinc/88/31/85/1063883185.db2.gz RJBLCDOUOLZSGM-TZMCWYRMSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@@H]2CCC[C@@H](C)C2)cc(Cl)c1[O-] ZINC001462020938 1063883193 /nfs/dbraw/zinc/88/31/93/1063883193.db2.gz RJBLCDOUOLZSGM-TZMCWYRMSA-N 0 3 311.853 4.455 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1cccc2c1CCOC2 ZINC001462035337 1063893224 /nfs/dbraw/zinc/89/32/24/1063893224.db2.gz CBGPIUMEHQRRNZ-ZDUSSCGKSA-N 0 3 317.379 4.231 20 0 DIADHN CCCc1ccc(CN(C)Cc2ccc(O)c(OC)c2)s1 ZINC001462037883 1063896315 /nfs/dbraw/zinc/89/63/15/1063896315.db2.gz VGJXELQBHSJVOE-UHFFFAOYSA-N 0 3 305.443 4.047 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)N[C@H](C)Cc2ccno2)cc1 ZINC001462052406 1063903518 /nfs/dbraw/zinc/90/35/18/1063903518.db2.gz MDKKKDIPPIHSJA-IUODEOHRSA-N 0 3 312.335 4.197 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnn(-c3ccccc3)c2C2CC2)C[C@@H]1C ZINC001462063547 1063910176 /nfs/dbraw/zinc/91/01/76/1063910176.db2.gz OEYNNEYZNMAUHV-VYDXJSESSA-N 0 3 324.472 4.059 20 0 DIADHN c1ccc(CC[C@@H]2CN(CCC3CCCCC3)CCO2)cc1 ZINC001462063962 1063911352 /nfs/dbraw/zinc/91/13/52/1063911352.db2.gz XSCHZNLOEIQZQB-HXUWFJFHSA-N 0 3 301.474 4.290 20 0 DIADHN C[C@@H]1CCN(Cc2cc3c(ccnc3Cl)o2)CC12CCC2 ZINC001462068756 1063912293 /nfs/dbraw/zinc/91/22/93/1063912293.db2.gz NNAHRHOBQQZNRH-GFCCVEGCSA-N 0 3 304.821 4.493 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccc2c(c1)CCCO2 ZINC001462097106 1063927901 /nfs/dbraw/zinc/92/79/01/1063927901.db2.gz XNFXJGOPBUUMIN-OAHLLOKOSA-N 0 3 313.363 4.174 20 0 DIADHN Cc1cc(C)c2c(c1)CN(Cc1cc(C)nc3c1CCCC3)CC2 ZINC001462111374 1063934199 /nfs/dbraw/zinc/93/41/99/1063934199.db2.gz OGROXGJSBXOJJN-UHFFFAOYSA-N 0 3 320.480 4.444 20 0 DIADHN CC(C)C(CN[C@@H](C)c1nc(-c2cccc(F)c2)no1)C(C)C ZINC001462120597 1063937719 /nfs/dbraw/zinc/93/77/19/1063937719.db2.gz ONBMJLFYAQDUBP-ZDUSSCGKSA-N 0 3 319.424 4.455 20 0 DIADHN Cc1cccc(CN2CCC[C@]3(C2)OCc2ccccc23)c1F ZINC001462119293 1063938430 /nfs/dbraw/zinc/93/84/30/1063938430.db2.gz HOUYRBSONSUYKS-HXUWFJFHSA-N 0 3 311.400 4.156 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1cccc(C(F)F)c1 ZINC001261852916 1063949473 /nfs/dbraw/zinc/94/94/73/1063949473.db2.gz SGGZPYBOTRTLIZ-QGZVFWFLSA-N 0 3 303.352 4.410 20 0 DIADHN CC[C@@](C)(O)CN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000570203831 1063951342 /nfs/dbraw/zinc/95/13/42/1063951342.db2.gz MOESYFGGOFNVPK-LSDHHAIUSA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)(C)Oc1ccc(CCNCc2cc(C3CC3)no2)cc1 ZINC001262010190 1063953354 /nfs/dbraw/zinc/95/33/54/1063953354.db2.gz XEYZVIBVMRDVDP-UHFFFAOYSA-N 0 3 314.429 4.062 20 0 DIADHN Cc1csc([C@H](N[C@@H]2C[C@@H]2c2cccc(F)c2)C2CC2)n1 ZINC001262495122 1063966363 /nfs/dbraw/zinc/96/63/63/1063966363.db2.gz SROWLUYDWAIBDN-BZUAXINKSA-N 0 3 302.418 4.187 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](CO)C1)c1cc(Cl)sc1Cl ZINC000380711761 1063988789 /nfs/dbraw/zinc/98/87/89/1063988789.db2.gz CNLNGMFHQUYQQJ-LPEHRKFASA-N 0 3 308.274 4.257 20 0 DIADHN CCCN(Cc1ccc(Br)o1)Cc1ccccc1 ZINC000384363129 1064005659 /nfs/dbraw/zinc/00/56/59/1064005659.db2.gz POKHZFNSCUIUDS-UHFFFAOYSA-N 0 3 308.219 4.454 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1ccccc1-c1ccccc1 ZINC001264121294 1064021073 /nfs/dbraw/zinc/02/10/73/1064021073.db2.gz DUAREKHVXNFQDA-IBGZPJMESA-N 0 3 308.425 4.165 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC001264158444 1064026677 /nfs/dbraw/zinc/02/66/77/1064026677.db2.gz PPBMWJMRMHQILJ-LJQANCHMSA-N 0 3 320.452 4.135 20 0 DIADHN CC1(C)CN(Cc2ccc(Br)cc2)[C@@H]2CCC[C@@H]21 ZINC000386062427 1064034662 /nfs/dbraw/zinc/03/46/62/1064034662.db2.gz JMWCPDQIRDNASN-LSDHHAIUSA-N 0 3 308.263 4.460 20 0 DIADHN Nc1ccc(Cl)cc1CN1CCC(Cc2ccccc2)CC1 ZINC000386757677 1064059818 /nfs/dbraw/zinc/05/98/18/1064059818.db2.gz FUTUMQKNWYBGGW-UHFFFAOYSA-N 0 3 314.860 4.377 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1ccsc1Br ZINC000388524739 1064111303 /nfs/dbraw/zinc/11/13/03/1064111303.db2.gz ZAKMOUWDPZEKFV-SNVBAGLBSA-N 0 3 324.234 4.426 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1ccn(Cc2ccccc2)n1 ZINC000389936537 1064143446 /nfs/dbraw/zinc/14/34/46/1064143446.db2.gz MSBIMEQNGPBLOB-HXUWFJFHSA-N 0 3 319.452 4.042 20 0 DIADHN Cc1cccc(CNC(C)(C)COCc2ccccc2)c1F ZINC000389957350 1064143809 /nfs/dbraw/zinc/14/38/09/1064143809.db2.gz HOSSQTNNLJMRCK-UHFFFAOYSA-N 0 3 301.405 4.219 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c(C)c1 ZINC000615337453 1064250314 /nfs/dbraw/zinc/25/03/14/1064250314.db2.gz SGUZEQZVDOXFIX-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN C[C@@H]1C[C@H](NCc2ncc(Cl)n2C)C[C@@H](c2ccccc2)C1 ZINC000393310686 1064270549 /nfs/dbraw/zinc/27/05/49/1064270549.db2.gz QYQSWYPJCNNSIZ-BPUTZDHNSA-N 0 3 317.864 4.136 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC001279286239 1064342442 /nfs/dbraw/zinc/34/24/42/1064342442.db2.gz IATSKKGAAAUVBI-ZACQAIPSSA-N 0 3 321.534 4.008 20 0 DIADHN CCCC[C@H](CC)CN1C[C@@H]2COC[C@H](C1)N2CCCC(C)C ZINC001279521064 1064352636 /nfs/dbraw/zinc/35/26/36/1064352636.db2.gz ZGJMDLQYLLIPHD-SLFFLAALSA-N 0 3 324.553 4.024 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@@H]2COC[C@H](C1)N2CCCC(C)C ZINC001279521063 1064354537 /nfs/dbraw/zinc/35/45/37/1064354537.db2.gz ZGJMDLQYLLIPHD-AQNXPRMDSA-N 0 3 324.553 4.024 20 0 DIADHN CCCC[C@H](CC)C[N@@H+]1CCC12CN(Cc1occc1[S-])C2 ZINC001279692479 1064364015 /nfs/dbraw/zinc/36/40/15/1064364015.db2.gz DNBDYTPMVGZZJP-HNNXBMFYSA-N 0 3 322.518 4.045 20 0 DIADHN CCCC[C@H](CC)C[N@H+]1CCC12CN(Cc1occc1[S-])C2 ZINC001279692479 1064364024 /nfs/dbraw/zinc/36/40/24/1064364024.db2.gz DNBDYTPMVGZZJP-HNNXBMFYSA-N 0 3 322.518 4.045 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C[C@H]2CCCCC2(C)C)c1 ZINC001290473333 1064694385 /nfs/dbraw/zinc/69/43/85/1064694385.db2.gz QOEZJPFLZXQTOZ-MRXNPFEDSA-N 0 3 302.462 4.293 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NCCN1CCC[C@@H](C)C1 ZINC001290891868 1064716926 /nfs/dbraw/zinc/71/69/26/1064716926.db2.gz QUFUJZHALSUEBL-IEBWSBKVSA-N 0 3 310.526 4.221 20 0 DIADHN Cc1cccc([C@@H](NC(=O)CN(C)CC(C)(C)C)C(C)(C)C)c1 ZINC001291930408 1064751638 /nfs/dbraw/zinc/75/16/38/1064751638.db2.gz ZTZQEONVBQUBNX-GOSISDBHSA-N 0 3 318.505 4.176 20 0 DIADHN C[C@@H]1CCCC[C@H]1CC(=O)N(CCN(C)C)CC1CCCCC1 ZINC001292112347 1064760667 /nfs/dbraw/zinc/76/06/67/1064760667.db2.gz IWAYLOJAYWPCSN-MJGOQNOKSA-N 0 3 322.537 4.173 20 0 DIADHN CC(C)CCc1ccc(NC(=O)CN2[C@H](C)CC[C@H]2C)cc1 ZINC001292369339 1064770917 /nfs/dbraw/zinc/77/09/17/1064770917.db2.gz MRMWDFHEOAWDNY-HZPDHXFCSA-N 0 3 302.462 4.087 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CCC=CCCC1 ZINC001294377696 1064865776 /nfs/dbraw/zinc/86/57/76/1064865776.db2.gz ZOTRXFMRBYCEQV-KRWDZBQOSA-N 0 3 300.446 4.132 20 0 DIADHN CN(C)Cc1cccc(C(=O)NCC(C)(C)C2CCCCC2)c1 ZINC001295172851 1064903444 /nfs/dbraw/zinc/90/34/44/1064903444.db2.gz HSBISPHEJONPCL-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CCCC1CCCC1 ZINC001297734860 1064987537 /nfs/dbraw/zinc/98/75/37/1064987537.db2.gz ZOTJHMZGRQEGCP-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C[C@H](C)C1CCCCC1 ZINC001297733833 1064988424 /nfs/dbraw/zinc/98/84/24/1064988424.db2.gz PTVQGEFABNODCK-SFHVURJKSA-N 0 3 322.537 4.317 20 0 DIADHN CN1CCN(C(=O)C(C)(C)C2CCCCC2)CC12CCCCC2 ZINC001298170180 1064998330 /nfs/dbraw/zinc/99/83/30/1064998330.db2.gz MFIRTSMVJDNDJJ-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001299106255 1065032128 /nfs/dbraw/zinc/03/21/28/1065032128.db2.gz QZPJBOQWEWHWET-UONOGXRCSA-N 0 3 320.477 4.014 20 0 DIADHN CC(C)c1nsc(NCCN2CCC3(CCCCC3)CC2)n1 ZINC001307104635 1065264579 /nfs/dbraw/zinc/26/45/79/1065264579.db2.gz BDMFKZHEQUFNGL-UHFFFAOYSA-N 0 3 322.522 4.120 20 0 DIADHN C[C@@H]1C[C@H](C)CN(CCSc2c(F)cc(F)cc2F)C1 ZINC001307294012 1065330604 /nfs/dbraw/zinc/33/06/04/1065330604.db2.gz FCBYSLDZZMDYCB-PHIMTYICSA-N 0 3 303.393 4.174 20 0 DIADHN C[C@H]1C[C@H](C)CN(CCSc2c(F)cc(F)cc2F)C1 ZINC001307294013 1065331386 /nfs/dbraw/zinc/33/13/86/1065331386.db2.gz FCBYSLDZZMDYCB-QWRGUYRKSA-N 0 3 303.393 4.174 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2ccc(F)c(C)c2)no1 ZINC001307412632 1065374355 /nfs/dbraw/zinc/37/43/55/1065374355.db2.gz ODIPUTPCVIBYST-UHFFFAOYSA-N 0 3 319.424 4.442 20 0 DIADHN Cc1ccc(C)c(OC2CCN(CCc3cccc(C)n3)CC2)c1 ZINC001307428407 1065389082 /nfs/dbraw/zinc/38/90/82/1065389082.db2.gz DALIMVXKHFZDQU-UHFFFAOYSA-N 0 3 324.468 4.093 20 0 DIADHN c1ccc(CN(CCOC2CCCCC2)C[C@@H]2CCCO2)cc1 ZINC001307431306 1065390676 /nfs/dbraw/zinc/39/06/76/1065390676.db2.gz WSJBSLGSXHULOD-FQEVSTJZSA-N 0 3 317.473 4.017 20 0 DIADHN CCN(Cc1ccc2ccccc2c1)Cc1cccc(N(C)C)n1 ZINC001307429902 1065390986 /nfs/dbraw/zinc/39/09/86/1065390986.db2.gz YIFDFYJGTKXOBK-UHFFFAOYSA-N 0 3 319.452 4.323 20 0 DIADHN COCCN(CCc1ccccc1)Cc1c(C)cccc1Cl ZINC001307433077 1065393428 /nfs/dbraw/zinc/39/34/28/1065393428.db2.gz BNCWPOLAJAOGMU-UHFFFAOYSA-N 0 3 317.860 4.340 20 0 DIADHN CC(C)N(CCN(C)c1ccccc1)Cc1ccccc1F ZINC001307437115 1065396295 /nfs/dbraw/zinc/39/62/95/1065396295.db2.gz URAKZZASHVTBKI-UHFFFAOYSA-N 0 3 300.421 4.173 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1cnc(N(C)C)c2ccccc12 ZINC001307436517 1065397703 /nfs/dbraw/zinc/39/77/03/1065397703.db2.gz ZTWYVPICJVWUBM-UHFFFAOYSA-N 0 3 323.440 4.304 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccccc1N(C)C ZINC001307437643 1065398731 /nfs/dbraw/zinc/39/87/31/1065398731.db2.gz FWQZUBUZQHXVLB-UHFFFAOYSA-N 0 3 302.849 4.428 20 0 DIADHN CCCN(Cc1coc(-c2c(F)cccc2F)n1)CC(C)C ZINC001307439816 1065399650 /nfs/dbraw/zinc/39/96/50/1065399650.db2.gz GTWCXYNZXCMZEN-UHFFFAOYSA-N 0 3 308.372 4.488 20 0 DIADHN CC[C@H]1CN(CCSc2cccc(Cl)c2)CCS1 ZINC001307498822 1065441530 /nfs/dbraw/zinc/44/15/30/1065441530.db2.gz FLWUAZGDLBVANS-ZDUSSCGKSA-N 0 3 301.908 4.260 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2C)CC1 ZINC001307467396 1065416853 /nfs/dbraw/zinc/41/68/53/1065416853.db2.gz GOFKLHDVRRRRMD-HUUCEWRRSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@@H](c3ccccc3)C[C@@H]2C)c1 ZINC001307473519 1065421031 /nfs/dbraw/zinc/42/10/31/1065421031.db2.gz YTXHDFMRJIIYDR-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)CC2)c(C)c1 ZINC001307474675 1065423010 /nfs/dbraw/zinc/42/30/10/1065423010.db2.gz WXALVBTVGSZEBR-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN CO[C@H](CN1CCc2ccccc2CC1)c1ccc(Cl)cc1 ZINC001307475773 1065423461 /nfs/dbraw/zinc/42/34/61/1065423461.db2.gz HLPYHYBFFOQIRJ-LJQANCHMSA-N 0 3 315.844 4.128 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@H](C)CCC[C@@H]2C)co1 ZINC001307476898 1065423719 /nfs/dbraw/zinc/42/37/19/1065423719.db2.gz AVHBYRVRPDDMEG-CABCVRRESA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1CC(=O)Nc1ccc2ccccc2c1 ZINC001307479123 1065425606 /nfs/dbraw/zinc/42/56/06/1065425606.db2.gz OOQHGYOBMFNCFM-JKSUJKDBSA-N 0 3 310.441 4.289 20 0 DIADHN CCn1cc(CN(C)CCCCc2cccc(Cl)c2)cn1 ZINC001307479883 1065426027 /nfs/dbraw/zinc/42/60/27/1065426027.db2.gz RNFQOLAFSOSDBE-UHFFFAOYSA-N 0 3 305.853 4.011 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@H](c2ccccc2C)C[C@H]1C ZINC001307488029 1065432596 /nfs/dbraw/zinc/43/25/96/1065432596.db2.gz FECHEHLHDJFGDX-JFIYKMOQSA-N 0 3 317.473 4.293 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)CC[C@@H]3C)co2)cc1 ZINC001307492188 1065436442 /nfs/dbraw/zinc/43/64/42/1065436442.db2.gz HRZYSXVWQWFOCZ-CABCVRRESA-N 0 3 314.429 4.361 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)CC[C@H]3C)co2)cc1 ZINC001307492191 1065437380 /nfs/dbraw/zinc/43/73/80/1065437380.db2.gz HRZYSXVWQWFOCZ-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN CCCOC(=O)[C@@H](C)N(CC)[C@H](Cc1ccc(F)cc1)C(C)C ZINC001307501450 1065444305 /nfs/dbraw/zinc/44/43/05/1065444305.db2.gz RXNFDVGTHQFDAG-CRAIPNDOSA-N 0 3 323.452 4.056 20 0 DIADHN COc1ncc(CN2Cc3ccccc3C[C@@H]2C)c2ccccc21 ZINC001307505070 1065449089 /nfs/dbraw/zinc/44/90/89/1065449089.db2.gz FTTSVSDMYIEHED-HNNXBMFYSA-N 0 3 318.420 4.190 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccc(F)cc1F ZINC001307518396 1065457208 /nfs/dbraw/zinc/45/72/08/1065457208.db2.gz CLHNNFHSQKIWLF-GDBMZVCRSA-N 0 3 301.380 4.418 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1ccc(F)cc1F ZINC001307518398 1065457845 /nfs/dbraw/zinc/45/78/45/1065457845.db2.gz CLHNNFHSQKIWLF-HOCLYGCPSA-N 0 3 301.380 4.418 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3ccccc3)[C@H]2C)nc1Cl ZINC001307518731 1065458042 /nfs/dbraw/zinc/45/80/42/1065458042.db2.gz VXDFESIZXPGGNP-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1c(F)cccc1F ZINC001307520249 1065460124 /nfs/dbraw/zinc/46/01/24/1065460124.db2.gz VXXRBBPAULPAQE-GDBMZVCRSA-N 0 3 301.380 4.418 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)[C@@H](C)C1(C)CC1 ZINC001307521169 1065460339 /nfs/dbraw/zinc/46/03/39/1065460339.db2.gz MWXXDKAHIRKRJR-ZDUSSCGKSA-N 0 3 315.413 4.230 20 0 DIADHN Cc1cc(CN2CCC[C@H](CC(=O)OC(C)(C)C)C2)cs1 ZINC001307523884 1065462612 /nfs/dbraw/zinc/46/26/12/1065462612.db2.gz QSLIYGRLUXDXLK-CQSZACIVSA-N 0 3 309.475 4.000 20 0 DIADHN CC(C)CCC1CCN([C@@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC001307533111 1065469253 /nfs/dbraw/zinc/46/92/53/1065469253.db2.gz BQHAWFQMONIZHN-LJQANCHMSA-N 0 3 320.521 4.068 20 0 DIADHN CC(C)[C@H](NCc1cn[nH]n1)c1ccc(C2CCCCC2)cc1 ZINC001307538113 1065472310 /nfs/dbraw/zinc/47/23/10/1065472310.db2.gz BZQWPLNYZRXCLB-IBGZPJMESA-N 0 3 312.461 4.339 20 0 DIADHN CC(C)[C@H](NCc1c[nH]nn1)c1ccc(C2CCCCC2)cc1 ZINC001307538113 1065472318 /nfs/dbraw/zinc/47/23/18/1065472318.db2.gz BZQWPLNYZRXCLB-IBGZPJMESA-N 0 3 312.461 4.339 20 0 DIADHN CC(C)Cc1ccc([C@H](NC[C@@H](O)C(F)(F)F)C(C)C)cc1 ZINC001307539946 1065472607 /nfs/dbraw/zinc/47/26/07/1065472607.db2.gz VTRZFBUCHYEJKS-HZPDHXFCSA-N 0 3 317.395 4.095 20 0 DIADHN COC(=O)C1(N(C)CCCCc2cccc(Cl)c2)CCCC1 ZINC001307543521 1065474972 /nfs/dbraw/zinc/47/49/72/1065474972.db2.gz NUGZLAXIIPUMAB-UHFFFAOYSA-N 0 3 323.864 4.080 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001307552145 1065478868 /nfs/dbraw/zinc/47/88/68/1065478868.db2.gz SDUQMRPHIKXSGS-HZPDHXFCSA-N 0 3 324.896 4.459 20 0 DIADHN CCO[C@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC001307552104 1065478967 /nfs/dbraw/zinc/47/89/67/1065478967.db2.gz PCHVYPWDAXVEHL-WOJBJXKFSA-N 0 3 313.416 4.320 20 0 DIADHN CCCOC(=O)[C@@H](C)N(CC(C)C)[C@@H](CC)c1ccccc1 ZINC001307554134 1065480477 /nfs/dbraw/zinc/48/04/77/1065480477.db2.gz CZTNTUVOWQBQEO-AEFFLSMTSA-N 0 3 305.462 4.437 20 0 DIADHN C[C@H]1C[C@H](CCN2CC(c3nc4ccccc4[nH]3)C2)C[C@@H](C)C1 ZINC001307557187 1065482690 /nfs/dbraw/zinc/48/26/90/1065482690.db2.gz WDBKHYWTXJUQFA-ZSHCYNCHSA-N 0 3 311.473 4.425 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1ccc(Cl)cc1OC ZINC001307561607 1065484090 /nfs/dbraw/zinc/48/40/90/1065484090.db2.gz JTFXVACWTNLVBR-LBPRGKRZSA-N 0 3 301.883 4.312 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1c(C)nn(C)c1Cl ZINC001307573653 1065489740 /nfs/dbraw/zinc/48/97/40/1065489740.db2.gz IHEXGKRNWYGOQH-INIZCTEOSA-N 0 3 319.880 4.225 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CCC(C)C)c(C)c1 ZINC001307578884 1065493263 /nfs/dbraw/zinc/49/32/63/1065493263.db2.gz KEZDWMNTIVDNDQ-QGZVFWFLSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1ccncc1Br ZINC001307578948 1065493874 /nfs/dbraw/zinc/49/38/74/1065493874.db2.gz LIJYYIOPABSLSW-CQSZACIVSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCO[C@](C)(c3ccccc3)C2)C1 ZINC001307580652 1065493923 /nfs/dbraw/zinc/49/39/23/1065493923.db2.gz XCERLLQUPNKAKU-GGPKGHCWSA-N 0 3 301.474 4.450 20 0 DIADHN CCSc1ccccc1CN(CCCO)Cc1ccccc1 ZINC001307579135 1065494427 /nfs/dbraw/zinc/49/44/27/1065494427.db2.gz KOGDHDFJGLDLLO-UHFFFAOYSA-N 0 3 315.482 4.183 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001307579211 1065494628 /nfs/dbraw/zinc/49/46/28/1065494628.db2.gz MHPZWGJEKQVZPW-QAPCUYQASA-N 0 3 316.489 4.451 20 0 DIADHN CN(CCCC(C)(C)C)Cc1cc(Br)cn1C ZINC001307582891 1065496681 /nfs/dbraw/zinc/49/66/81/1065496681.db2.gz KFODTYYINASCJV-UHFFFAOYSA-N 0 3 301.272 4.046 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H]1CCC(C)(C)CO1 ZINC001307589198 1065501349 /nfs/dbraw/zinc/50/13/49/1065501349.db2.gz SONABAVDNUTHKG-JKIFEVAISA-N 0 3 305.437 4.339 20 0 DIADHN Cc1cc(CN2C[C@H](C)N(Cc3ccccc3)C[C@@H]2C)cs1 ZINC001307589113 1065502149 /nfs/dbraw/zinc/50/21/49/1065502149.db2.gz KOOZLEODORAWCH-HOTGVXAUSA-N 0 3 314.498 4.151 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cccc(F)c1)c1ccc(F)cc1 ZINC001307601427 1065506399 /nfs/dbraw/zinc/50/63/99/1065506399.db2.gz WQSXRDFGVQJDQO-SJKOYZFVSA-N 0 3 318.367 4.033 20 0 DIADHN Cc1ccc(N2CCN(CC/C=C\c3ccccc3)CC2)cc1 ZINC001307601424 1065507520 /nfs/dbraw/zinc/50/75/20/1065507520.db2.gz HUIMCMSIDMWCEA-UITAMQMPSA-N 0 3 306.453 4.221 20 0 DIADHN CCCCCC[C@H](O)CN1CCc2cc(OCCC)ccc2C1 ZINC001307597068 1065507808 /nfs/dbraw/zinc/50/78/08/1065507808.db2.gz XJHIHJIKMKOVMB-IBGZPJMESA-N 0 3 319.489 4.165 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCN(C(=O)C(C)(C)C)CC2(C)C)C1 ZINC001307610873 1065515040 /nfs/dbraw/zinc/51/50/40/1065515040.db2.gz ODAJVDRWWYZPAB-IAGOWNOFSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@H]1CCC[C@H](CCN2CCN(C(=O)C(C)(C)C)CC2(C)C)C1 ZINC001307610871 1065515418 /nfs/dbraw/zinc/51/54/18/1065515418.db2.gz ODAJVDRWWYZPAB-DLBZAZTESA-N 0 3 322.537 4.172 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@H](c2ccccc2C)C2CC2)cc1 ZINC001307614542 1065519477 /nfs/dbraw/zinc/51/94/77/1065519477.db2.gz IPWHIHPZJUAPQY-OAQYLSRUSA-N 0 3 322.452 4.237 20 0 DIADHN O=c1[nH]cc(CNC2(c3cccc(Cl)c3)CC2)c2ccccc12 ZINC001307625284 1065523727 /nfs/dbraw/zinc/52/37/27/1065523727.db2.gz PMPKBSIKOXIRBL-UHFFFAOYSA-N 0 3 324.811 4.373 20 0 DIADHN CC[C@@H](NCCN(C)Cc1ccccc1)c1cc(F)ccc1F ZINC001307626281 1065524413 /nfs/dbraw/zinc/52/44/13/1065524413.db2.gz ZGCOVRSTRPVKCI-LJQANCHMSA-N 0 3 318.411 4.138 20 0 DIADHN C[C@@H](NCc1cccc(N)c1)c1ccc(F)c(Br)c1 ZINC001307631207 1065528039 /nfs/dbraw/zinc/52/80/39/1065528039.db2.gz BOOVCFHEUQXDMC-SNVBAGLBSA-N 0 3 323.209 4.021 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C)cc1)C(=O)N(C)c1ccc(C)cc1 ZINC001307631505 1065528775 /nfs/dbraw/zinc/52/87/75/1065528775.db2.gz VMCBQYWRAVKLKG-XLIONFOSSA-N 0 3 324.468 4.396 20 0 DIADHN C[C@H](NCc1ccc2c(c1)COC2)c1ccc(Cl)c(F)c1 ZINC001307636067 1065532052 /nfs/dbraw/zinc/53/20/52/1065532052.db2.gz BLIYEQZSBGQHMN-NSHDSACASA-N 0 3 305.780 4.360 20 0 DIADHN C[C@H]1CN(C(=O)OC(C)(C)C)CCN1CC1CCC(C)(C)CC1 ZINC001307651957 1065541669 /nfs/dbraw/zinc/54/16/69/1065541669.db2.gz WWSDUNCGMKZEDP-HNNXBMFYSA-N 0 3 324.509 4.144 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)NCc1cccnc1N ZINC001307666712 1065550682 /nfs/dbraw/zinc/55/06/82/1065550682.db2.gz GTMNXGKQFZZXCN-KRWDZBQOSA-N 0 3 323.465 4.107 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccccc1N(C)C)[C@@H]2C ZINC001307672567 1065552910 /nfs/dbraw/zinc/55/29/10/1065552910.db2.gz FGZILZOYJGVEIU-JKSUJKDBSA-N 0 3 324.468 4.269 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1ncc(Br)cc1Cl ZINC001307682397 1065558862 /nfs/dbraw/zinc/55/88/62/1065558862.db2.gz JABXFMIVFPQKLZ-CABZTGNLSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CCC[C@H](C(C)C)C2)n1 ZINC001307693268 1065565116 /nfs/dbraw/zinc/56/51/16/1065565116.db2.gz UUKMTIHTCHJMLC-HOTGVXAUSA-N 0 3 313.445 4.474 20 0 DIADHN C[C@@H](CN1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1)C1CCCCC1 ZINC001307695639 1065567323 /nfs/dbraw/zinc/56/73/23/1065567323.db2.gz NNSSFCYKDFEHGN-HOTGVXAUSA-N 0 3 324.509 4.144 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307706975 1065574407 /nfs/dbraw/zinc/57/44/07/1065574407.db2.gz UBMWWCBDRUCTNM-SUMWQHHRSA-N 0 3 303.837 4.146 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC[C@H](OCC2CC2)C1 ZINC001307705517 1065575552 /nfs/dbraw/zinc/57/55/52/1065575552.db2.gz NDMDKLPFDKCPDK-KBXCAEBGSA-N 0 3 305.849 4.218 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1ccccc1CC(C)C ZINC001307711219 1065578758 /nfs/dbraw/zinc/57/87/58/1065578758.db2.gz RUOKORYMYAFUIQ-QGZVFWFLSA-N 0 3 303.471 4.078 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC001307714489 1065581525 /nfs/dbraw/zinc/58/15/25/1065581525.db2.gz FRZSWZAUAOZARA-RDGPPVDQSA-N 0 3 315.457 4.129 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@H](C(C)(C)C)C2)c1 ZINC001307717211 1065583840 /nfs/dbraw/zinc/58/38/40/1065583840.db2.gz HPDAIVYFVNDJRC-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@@H]1CCC[C@H](C)C1 ZINC001307728275 1065589170 /nfs/dbraw/zinc/58/91/70/1065589170.db2.gz KFNBFCDJQXQFRX-NBMJBFSESA-N 0 3 315.457 4.191 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@H]1CCC[C@@H](C)C1 ZINC001307728276 1065589373 /nfs/dbraw/zinc/58/93/73/1065589373.db2.gz KFNBFCDJQXQFRX-NEPXVJNWSA-N 0 3 315.457 4.191 20 0 DIADHN COc1ncc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)c2ccccc21 ZINC001307733517 1065593368 /nfs/dbraw/zinc/59/33/68/1065593368.db2.gz IMXLDZGEUGMYHD-IAQYHMDHSA-N 0 3 324.346 4.016 20 0 DIADHN CC(C)COc1cccc(CN2CC[C@H](C(F)(F)F)[C@H]2C)c1 ZINC001307735848 1065593412 /nfs/dbraw/zinc/59/34/12/1065593412.db2.gz YRNXRCGTWKCPSI-CJNGLKHVSA-N 0 3 315.379 4.494 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)CCOCCC(C)C ZINC001307736987 1065594533 /nfs/dbraw/zinc/59/45/33/1065594533.db2.gz RNBWRMYJXZMPNF-KRWDZBQOSA-N 0 3 313.869 4.022 20 0 DIADHN CCc1ccc([C@H]2CCCN(Cc3ncc(C)s3)C2)cc1 ZINC001307748573 1065603079 /nfs/dbraw/zinc/60/30/79/1065603079.db2.gz SCFSYCIAGACKQF-KRWDZBQOSA-N 0 3 300.471 4.394 20 0 DIADHN CCS[C@H]1CCC[C@H]1NCc1ccc(Cl)nc1Cl ZINC001307753788 1065606355 /nfs/dbraw/zinc/60/63/55/1065606355.db2.gz JNKZZEUCUVRSLO-MNOVXSKESA-N 0 3 305.274 4.152 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2sccc2Cl)C1(C)C ZINC001307756925 1065607984 /nfs/dbraw/zinc/60/79/84/1065607984.db2.gz KRLLZWSTWDKGQU-KGLIPLIRSA-N 0 3 301.883 4.475 20 0 DIADHN c1coc(C2=CCCN(C[C@@H]3CC4(CO3)CCCCC4)C2)c1 ZINC001307759502 1065609621 /nfs/dbraw/zinc/60/96/21/1065609621.db2.gz KLSUTWHKPRBNDV-KRWDZBQOSA-N 0 3 301.430 4.108 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2ccn(-c3ccccc3F)n2)C1 ZINC001307768656 1065614091 /nfs/dbraw/zinc/61/40/91/1065614091.db2.gz TYOVKRWDBZVWFM-LSDHHAIUSA-N 0 3 301.409 4.022 20 0 DIADHN CC(C)c1cccc(CN(Cc2cccc(C(N)=O)c2)C2CC2)c1 ZINC001307773684 1065614927 /nfs/dbraw/zinc/61/49/27/1065614927.db2.gz MPSBLORYQDXPMA-UHFFFAOYSA-N 0 3 322.452 4.074 20 0 DIADHN CO[C@@H]1[C@H](C)[C@@H](NCc2cc(Cl)sc2Cl)C1(C)C ZINC001307777742 1065618160 /nfs/dbraw/zinc/61/81/60/1065618160.db2.gz IMGZCGZSQYNAHJ-AVPPRXQKSA-N 0 3 308.274 4.204 20 0 DIADHN Cc1ccc(CN(C)[C@H](CO)c2ccc(Cl)cc2)cc1C ZINC001307790303 1065622146 /nfs/dbraw/zinc/62/21/46/1065622146.db2.gz YGNFNQWEGUUXRC-GOSISDBHSA-N 0 3 303.833 4.122 20 0 DIADHN C[C@H]1CN(c2cccc(F)c2)CCN1CC/C=C\c1ccccc1 ZINC001307809541 1065631971 /nfs/dbraw/zinc/63/19/71/1065631971.db2.gz WADZJXCTODVKIY-CXKPLTABSA-N 0 3 324.443 4.440 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)c1 ZINC001307812316 1065633469 /nfs/dbraw/zinc/63/34/69/1065633469.db2.gz FAVMLGKMURVXJY-UHFFFAOYSA-N 0 3 308.469 4.462 20 0 DIADHN C[C@H]1CCC[C@@H](CCN2CCC3(C2)Oc2ccccc2O3)C1 ZINC001307812235 1065633845 /nfs/dbraw/zinc/63/38/45/1065633845.db2.gz JHFYDDXQTRHNFK-HOTGVXAUSA-N 0 3 301.430 4.076 20 0 DIADHN CC1(C)CN(CCOC2CCCCCC2)Cc2ccccc2O1 ZINC001307811375 1065634318 /nfs/dbraw/zinc/63/43/18/1065634318.db2.gz BCCXDFJOGXIVNZ-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN CC(C)CC1(C)CCN([C@H]2CCCN(CC(C)(C)C)C2=O)CC1 ZINC001307814924 1065635640 /nfs/dbraw/zinc/63/56/40/1065635640.db2.gz BVFSMNLLYGVOPW-KRWDZBQOSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1cc(CN2CC[C@@H](C3CCC3)C2)ccc1Br ZINC001307846802 1065650529 /nfs/dbraw/zinc/65/05/29/1065650529.db2.gz ZXZOGYUCNNMNPB-OAHLLOKOSA-N 0 3 308.263 4.380 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2cc(C(F)(F)F)co2)C1(C)C ZINC001307838005 1065646863 /nfs/dbraw/zinc/64/68/63/1065646863.db2.gz QTVGMQGSPHTOEW-QWHCGFSZSA-N 0 3 319.367 4.370 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cccc(Cl)c1Cl)C1CC1 ZINC001307845457 1065649778 /nfs/dbraw/zinc/64/97/78/1065649778.db2.gz POCIPHIDHSTFIJ-MEBBXXQBSA-N 0 3 302.245 4.287 20 0 DIADHN OCC[C@@H]1CCCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC001307853819 1065654393 /nfs/dbraw/zinc/65/43/93/1065654393.db2.gz DWDYMAQDQQYRHR-CYBMUJFWSA-N 0 3 316.272 4.020 20 0 DIADHN CCOc1ccc(CN2CC(C)(C)C[C@@H]2c2ccccc2)nc1 ZINC001307863741 1065658673 /nfs/dbraw/zinc/65/86/73/1065658673.db2.gz RUMAAPTUTJAQPD-LJQANCHMSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1nc(CN2CCC[C@]2(C)Cc2cccc(F)c2)sc1C ZINC001307866978 1065660345 /nfs/dbraw/zinc/66/03/45/1065660345.db2.gz NFKMFUSBLUHCMP-GOSISDBHSA-N 0 3 318.461 4.496 20 0 DIADHN Fc1cccc(C2CCN(C[C@@H]3CCC4(CCC4)O3)CC2)c1F ZINC001307873889 1065664789 /nfs/dbraw/zinc/66/47/89/1065664789.db2.gz IVBRFPPRAYGHQL-HNNXBMFYSA-N 0 3 321.411 4.246 20 0 DIADHN CC(C)(C)OC(=O)CN(C[C@@H]1CCCCC1(C)C)C1CCC1 ZINC001307888249 1065668781 /nfs/dbraw/zinc/66/87/81/1065668781.db2.gz ZGJGADPMFTZLIA-HNNXBMFYSA-N 0 3 309.494 4.399 20 0 DIADHN CCC[C@@H](C)[C@H](CO)NCc1nc(C2CCCCC2)cs1 ZINC001307895570 1065670675 /nfs/dbraw/zinc/67/06/75/1065670675.db2.gz WCEZBVFHKFEBQL-HIFRSBDPSA-N 0 3 310.507 4.078 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCc2ccccc2[C@H](C)C1 ZINC001307898875 1065670948 /nfs/dbraw/zinc/67/09/48/1065670948.db2.gz FTRJCAXEFMUUSS-MBOZVWFJSA-N 0 3 301.474 4.244 20 0 DIADHN CC[C@H](NCCC(=O)Nc1cccc(F)c1)c1ccccc1F ZINC001307898022 1065671352 /nfs/dbraw/zinc/67/13/52/1065671352.db2.gz VZNVKSOJUUDYRV-KRWDZBQOSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001307901680 1065672942 /nfs/dbraw/zinc/67/29/42/1065672942.db2.gz MTKNIUPJXLVJBG-FUHWJXTLSA-N 0 3 313.441 4.439 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccc3c(c1)CCC3)CC2 ZINC001307903038 1065672968 /nfs/dbraw/zinc/67/29/68/1065672968.db2.gz LTWWDNSBWQRUEJ-UHFFFAOYSA-N 0 3 313.338 4.042 20 0 DIADHN COc1ccc(C[C@@H]2CCCCN2Cc2nc(C)cs2)cc1 ZINC001307905495 1065675835 /nfs/dbraw/zinc/67/58/35/1065675835.db2.gz NNLWTGSGDFMVRP-INIZCTEOSA-N 0 3 316.470 4.057 20 0 DIADHN Clc1cc(CCN2CCC23CCCC3)cc2c1OCCCO2 ZINC001307905024 1065675955 /nfs/dbraw/zinc/67/59/55/1065675955.db2.gz QBHXBNOEIAUTNM-UHFFFAOYSA-N 0 3 321.848 4.062 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N(C)Cc1ccc(OC)nc1 ZINC001307922530 1065682295 /nfs/dbraw/zinc/68/22/95/1065682295.db2.gz RXXVNNYOWAVSDZ-INIZCTEOSA-N 0 3 304.821 4.327 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC)[C@@H](C)CC)co2)cc1 ZINC001307922656 1065684047 /nfs/dbraw/zinc/68/40/47/1065684047.db2.gz AEIASFONZIOFTD-AWEZNQCLSA-N 0 3 302.418 4.361 20 0 DIADHN CCCCC[C@H]1CCCCN1Cc1ccc(C(=O)OC)s1 ZINC001307949177 1065694538 /nfs/dbraw/zinc/69/45/38/1065694538.db2.gz APDHHNQLBXOFHZ-AWEZNQCLSA-N 0 3 309.475 4.470 20 0 DIADHN CCCCC[C@@H]1CCCCN1Cc1ccc(C(=O)OC)s1 ZINC001307949178 1065695849 /nfs/dbraw/zinc/69/58/49/1065695849.db2.gz APDHHNQLBXOFHZ-CQSZACIVSA-N 0 3 309.475 4.470 20 0 DIADHN O=c1[nH]cc(CNC2(c3ccc(F)cc3)CCC2)c2ccccc12 ZINC001307954142 1065697136 /nfs/dbraw/zinc/69/71/36/1065697136.db2.gz HGOSYZSVEZRBIO-UHFFFAOYSA-N 0 3 322.383 4.249 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N(CCC1CC1)CC1CC1 ZINC001307952561 1065697497 /nfs/dbraw/zinc/69/74/97/1065697497.db2.gz BKYWBMHUTJKTRI-LBPRGKRZSA-N 0 3 317.458 4.371 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001307952311 1065697602 /nfs/dbraw/zinc/69/76/02/1065697602.db2.gz REFUXHAUNMBTFQ-DZGCQCFKSA-N 0 3 308.853 4.240 20 0 DIADHN Fc1ccc([C@@H]2CCCN(C[C@@H]3CC[C@H](C4CC4)O3)C2)cc1F ZINC001307956286 1065699542 /nfs/dbraw/zinc/69/95/42/1065699542.db2.gz NSYAVVHIYGFAIU-JTDSTZFVSA-N 0 3 321.411 4.102 20 0 DIADHN C[C@H](c1ccc2c(c1)CCC2)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC001307968412 1065704584 /nfs/dbraw/zinc/70/45/84/1065704584.db2.gz GHKKTKMZOCPKAO-OAHLLOKOSA-N 0 3 323.484 4.054 20 0 DIADHN C[C@H]1CC(F)(F)CCN1CCC1(C(=O)OC(C)(C)C)CCC1 ZINC001307983346 1065711759 /nfs/dbraw/zinc/71/17/59/1065711759.db2.gz XNEZUWZVGFTRGN-ZDUSSCGKSA-N 0 3 317.420 4.008 20 0 DIADHN CC1(C)[C@H](c2cccc(F)c2)CCN1Cc1cncc(F)c1 ZINC001307989922 1065714450 /nfs/dbraw/zinc/71/44/50/1065714450.db2.gz TXMIIODEFZYRGQ-KRWDZBQOSA-N 0 3 302.368 4.128 20 0 DIADHN COc1ccc(CN2CCC[C@H](OC3CCC3)CC2)cc1Cl ZINC001308013209 1065724801 /nfs/dbraw/zinc/72/48/01/1065724801.db2.gz SNVXTNXOTNKIFV-INIZCTEOSA-N 0 3 323.864 4.272 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCc2ccc(F)c(F)c2)c1 ZINC001308021225 1065728843 /nfs/dbraw/zinc/72/88/43/1065728843.db2.gz ZLPJGSUMDDALSP-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001308027065 1065730227 /nfs/dbraw/zinc/73/02/27/1065730227.db2.gz AGFVZBLGWONFJV-CHWSQXEVSA-N 0 3 319.754 4.335 20 0 DIADHN CC(C)(C)[C@H]1CSCCN1CCCc1c(F)cccc1F ZINC001308033153 1065732558 /nfs/dbraw/zinc/73/25/58/1065732558.db2.gz FZJGFGCFIHQMFK-MRXNPFEDSA-N 0 3 313.457 4.361 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3cccc(Cl)c3)C2(C)C)cn1 ZINC001308051697 1065742214 /nfs/dbraw/zinc/74/22/14/1065742214.db2.gz GKJHOSAYOADBRQ-QGZVFWFLSA-N 0 3 315.848 4.207 20 0 DIADHN OC1(C[C@@H]2CCCCN2Cc2ccc(F)c(F)c2)CCCCC1 ZINC001308065807 1065747475 /nfs/dbraw/zinc/74/74/75/1065747475.db2.gz IHUCBSIRMXYOIM-INIZCTEOSA-N 0 3 323.427 4.405 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)C[C@@H](C)C(C)(C)C)cc1F ZINC001308062256 1065747798 /nfs/dbraw/zinc/74/77/98/1065747798.db2.gz MRLPRXVQPYOIIQ-KGLIPLIRSA-N 0 3 308.441 4.075 20 0 DIADHN CC(C)(NC[C@@H]1CCCC2(CCC2)O1)c1ccc(F)cc1F ZINC001308080564 1065753681 /nfs/dbraw/zinc/75/36/81/1065753681.db2.gz LOXNAYISDZTKFF-AWEZNQCLSA-N 0 3 309.400 4.281 20 0 DIADHN CC[C@@H](NCCSC(F)(F)F)c1cc(F)ccc1OC ZINC001308090092 1065757295 /nfs/dbraw/zinc/75/72/95/1065757295.db2.gz LANCDHPAFCBXSY-LLVKDONJSA-N 0 3 311.344 4.128 20 0 DIADHN Cn1nccc1[C@H]1CCCN1Cc1ccc(-c2cccs2)cc1 ZINC001308108911 1065764345 /nfs/dbraw/zinc/76/43/45/1065764345.db2.gz PALQIJQTKVWTEB-GOSISDBHSA-N 0 3 323.465 4.486 20 0 DIADHN C[C@]1(C2CC2)COCCN1Cc1ccc(-c2ccsc2)cc1 ZINC001308139374 1065777869 /nfs/dbraw/zinc/77/78/69/1065777869.db2.gz DNWANPWBVBJOGS-LJQANCHMSA-N 0 3 313.466 4.416 20 0 DIADHN Fc1ccc(Cl)cc1CN1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC001308144553 1065779712 /nfs/dbraw/zinc/77/97/12/1065779712.db2.gz AOSWJUXPZGGEGA-KGLIPLIRSA-N 0 3 311.828 4.118 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2ccc(Cl)cc2)c2ccccc21 ZINC001308158470 1065783855 /nfs/dbraw/zinc/78/38/55/1065783855.db2.gz QTDGNOPSDRKHJM-KUHUBIRLSA-N 0 3 315.844 4.215 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2ccccc2C2CC2)c2ccccc21 ZINC001308157989 1065785565 /nfs/dbraw/zinc/78/55/65/1065785565.db2.gz KTPFKJSJHUHEIY-AOMKIAJQSA-N 0 3 321.464 4.439 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(c2cncn2C)CC1 ZINC001308167887 1065787199 /nfs/dbraw/zinc/78/71/99/1065787199.db2.gz XLGNLBDZZQANIZ-CYBMUJFWSA-N 0 3 303.837 4.014 20 0 DIADHN Cc1cc(CNCCOc2ccc(C(C)(C)C)cc2)c(C)o1 ZINC001308183300 1065793548 /nfs/dbraw/zinc/79/35/48/1065793548.db2.gz HOUJEBNWCOKARH-UHFFFAOYSA-N 0 3 301.430 4.363 20 0 DIADHN Fc1ccc(CNCCOc2ccc(Cl)cc2)c(Cl)c1 ZINC001308183625 1065795247 /nfs/dbraw/zinc/79/52/47/1065795247.db2.gz LWZKHTGEGAEQFX-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN CCC[C@H](NCc1ccc(Br)nc1)c1ccccc1 ZINC001308184148 1065795418 /nfs/dbraw/zinc/79/54/18/1065795418.db2.gz XUIUWCHSXWDHAS-HNNXBMFYSA-N 0 3 319.246 4.475 20 0 DIADHN Cc1cccc(CNCCc2ccccc2F)c1Br ZINC001308183730 1065795628 /nfs/dbraw/zinc/79/56/28/1065795628.db2.gz LGJITXXTUCKJMG-UHFFFAOYSA-N 0 3 322.221 4.229 20 0 DIADHN CCc1cccc(CNCc2ccc(OC(F)(F)F)cc2)c1 ZINC001308189225 1065799961 /nfs/dbraw/zinc/79/99/61/1065799961.db2.gz XAEXKQMSDMSFQO-UHFFFAOYSA-N 0 3 309.331 4.437 20 0 DIADHN CC(C)c1cnc(CNCCCCOc2ccccc2)s1 ZINC001308195272 1065803545 /nfs/dbraw/zinc/80/35/45/1065803545.db2.gz WAPQWOUZTRMZFI-UHFFFAOYSA-N 0 3 304.459 4.215 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1cccc2c1OC(C)(C)C2 ZINC001308200864 1065810249 /nfs/dbraw/zinc/81/02/49/1065810249.db2.gz OYWNKXSHRCANTH-QAPCUYQASA-N 0 3 317.473 4.085 20 0 DIADHN CCCOc1ccc(CNCc2cc(F)cc(OCC)c2)cc1 ZINC001308205786 1065814874 /nfs/dbraw/zinc/81/48/74/1065814874.db2.gz PEXYSWFEXUOIGO-UHFFFAOYSA-N 0 3 317.404 4.303 20 0 DIADHN c1cc2c(c(CNCc3ccc(OC4CCCC4)nc3)c1)CCC2 ZINC001308208432 1065818037 /nfs/dbraw/zinc/81/80/37/1065818037.db2.gz CMRYJXGEVLPUQB-UHFFFAOYSA-N 0 3 322.452 4.182 20 0 DIADHN COc1cccc([C@H](C)NCc2cnc3c(F)cccc3c2)c1 ZINC001308216454 1065824277 /nfs/dbraw/zinc/82/42/77/1065824277.db2.gz RYPUCHOEWSYZLL-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1c(Cl)cccc1N(C)C ZINC001308219732 1065826122 /nfs/dbraw/zinc/82/61/22/1065826122.db2.gz MWGYEYMWJMHHEA-ZDUSSCGKSA-N 0 3 320.839 4.266 20 0 DIADHN Cc1ccoc1CN[C@H](C)COc1ccc(C(C)(C)C)cc1 ZINC001308223003 1065829173 /nfs/dbraw/zinc/82/91/73/1065829173.db2.gz IUTAGHKVYIHWCU-OAHLLOKOSA-N 0 3 301.430 4.443 20 0 DIADHN CO[C@H](CNCc1cc(Cl)ccc1Cl)c1ccccc1 ZINC001308223333 1065830883 /nfs/dbraw/zinc/83/08/83/1065830883.db2.gz OPPGROYDYHUIGD-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)cc1C ZINC001308229246 1065836456 /nfs/dbraw/zinc/83/64/56/1065836456.db2.gz XNWZUKACIPWGSB-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(F)cc1Cl)c1ccco1 ZINC001308231442 1065838216 /nfs/dbraw/zinc/83/82/16/1065838216.db2.gz JIWDWMLURZPLJT-INIZCTEOSA-N 0 3 324.827 4.245 20 0 DIADHN Cc1ccc(CNCCN2c3ccccc3C[C@H]2C)c(Cl)c1 ZINC001308233266 1065840648 /nfs/dbraw/zinc/84/06/48/1065840648.db2.gz GDEBCGZKCBPKSX-OAHLLOKOSA-N 0 3 314.860 4.189 20 0 DIADHN COc1ccccc1CN[C@H](CN1CCCCC1)c1ccccc1 ZINC001308245253 1065849627 /nfs/dbraw/zinc/84/96/27/1065849627.db2.gz VXSXZBXUZVYVED-HXUWFJFHSA-N 0 3 324.468 4.012 20 0 DIADHN c1cc(CNCc2ccc(C3CC3)cc2)cc(OCC2CC2)n1 ZINC001308247077 1065852542 /nfs/dbraw/zinc/85/25/42/1065852542.db2.gz QNILAAFHUQUFBE-UHFFFAOYSA-N 0 3 308.425 4.038 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc(N(C)C)s1)C(C)C ZINC001308262379 1065863226 /nfs/dbraw/zinc/86/32/26/1065863226.db2.gz TVWMNTMTKDPGQB-MRXNPFEDSA-N 0 3 303.475 4.004 20 0 DIADHN CC[C@@H](C)[C@@](C)(O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001308266746 1065866280 /nfs/dbraw/zinc/86/62/80/1065866280.db2.gz WPTIIYRMSJRXKH-YGRLFVJLSA-N 0 3 323.786 4.246 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC001308267409 1065867192 /nfs/dbraw/zinc/86/71/92/1065867192.db2.gz WSWHDDXVSGSSBF-DPZKZMLUSA-N 0 3 317.864 4.177 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc3c(s2)CCCC3)c(C)c1 ZINC001308269186 1065867377 /nfs/dbraw/zinc/86/73/77/1065867377.db2.gz KRESMIUUVKDYHB-OAHLLOKOSA-N 0 3 314.498 4.360 20 0 DIADHN COc1ccc(F)cc1CNC[C@H](C)Oc1ccc(Cl)cc1 ZINC001308285313 1065882685 /nfs/dbraw/zinc/88/26/85/1065882685.db2.gz OUTAQVRNQMSVJQ-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1cccc(CNC[C@H](C)Oc2ccc(Cl)cc2)c1F ZINC001308283708 1065883566 /nfs/dbraw/zinc/88/35/66/1065883566.db2.gz BUAVAYWGKZYBIG-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN CO[C@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)C1(C)C ZINC001308297822 1065893457 /nfs/dbraw/zinc/89/34/57/1065893457.db2.gz LYCYIUOFYYAURN-OLZOCXBDSA-N 0 3 321.770 4.262 20 0 DIADHN COc1ccc([C@H](C)NC/C=C\c2ccc(F)cc2F)cc1F ZINC001308298613 1065895033 /nfs/dbraw/zinc/89/50/33/1065895033.db2.gz UXDQCZDMMFDESG-MVZIDQBPSA-N 0 3 321.342 4.477 20 0 DIADHN COc1cc(CNCc2ccc3c(c2)COC3)cc2ccccc21 ZINC001308312013 1065903844 /nfs/dbraw/zinc/90/38/44/1065903844.db2.gz VVFVJKCNFAHYLD-UHFFFAOYSA-N 0 3 319.404 4.168 20 0 DIADHN COc1nsc(CNCC2CCC(C(C)C)CC2)c1Cl ZINC001308317033 1065909243 /nfs/dbraw/zinc/90/92/43/1065909243.db2.gz XHXAOOWKGLNSIP-UHFFFAOYSA-N 0 3 316.898 4.357 20 0 DIADHN Cc1cccc([C@@H](O)CNCc2cc(Cl)ccc2Cl)c1 ZINC001308326245 1065914330 /nfs/dbraw/zinc/91/43/30/1065914330.db2.gz UQMQAKXHQZXXTN-INIZCTEOSA-N 0 3 310.224 4.125 20 0 DIADHN Cc1ccc(Oc2cc(Cl)ccc2CN[C@@H](C)CO)c(C)c1 ZINC001308325382 1065914509 /nfs/dbraw/zinc/91/45/09/1065914509.db2.gz DNVGRIQYVGBXIG-AWEZNQCLSA-N 0 3 319.832 4.220 20 0 DIADHN Cc1cc(C)nc(NCc2cccc(Oc3ccccn3)c2)c1 ZINC001308340199 1065924626 /nfs/dbraw/zinc/92/46/26/1065924626.db2.gz SXQMXSCJVOCUGE-UHFFFAOYSA-N 0 3 305.381 4.498 20 0 DIADHN CC(C)C[C@H](C)NCc1cnn(Cc2ccccc2)c1Cl ZINC001308343824 1065928093 /nfs/dbraw/zinc/92/80/93/1065928093.db2.gz WMJABIIUJZHGSS-AWEZNQCLSA-N 0 3 305.853 4.109 20 0 DIADHN CCCn1cc(CN[C@H](CC)c2ccc(C)c(F)c2)c(C)n1 ZINC001308350984 1065933274 /nfs/dbraw/zinc/93/32/74/1065933274.db2.gz UEQGONSPGVSRQI-GOSISDBHSA-N 0 3 303.425 4.290 20 0 DIADHN C[C@H](NCc1ccc(Cl)c(Br)c1)c1ccc[nH]1 ZINC001308359476 1065937522 /nfs/dbraw/zinc/93/75/22/1065937522.db2.gz YKJCNHWLKDSJBV-VIFPVBQESA-N 0 3 313.626 4.281 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)nc1)c1ccc2c(c1)CCC2 ZINC001308357721 1065938169 /nfs/dbraw/zinc/93/81/69/1065938169.db2.gz XHAZSFKTTVQXSR-GFCCVEGCSA-N 0 3 302.368 4.359 20 0 DIADHN Cc1coc(CNC[C@H](O)c2ccc(-c3ccccc3)cc2)c1 ZINC001308363835 1065942212 /nfs/dbraw/zinc/94/22/12/1065942212.db2.gz ZHKBSBRDHKAYNL-FQEVSTJZSA-N 0 3 307.393 4.078 20 0 DIADHN Cc1cc(C)cc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC001308385740 1065954721 /nfs/dbraw/zinc/95/47/21/1065954721.db2.gz OZEKKJHVIHDOJY-LJQANCHMSA-N 0 3 316.876 4.349 20 0 DIADHN CCC[C@@H](NCc1cccc(Cl)c1F)[C@H](O)c1ccccc1 ZINC001308393507 1065960367 /nfs/dbraw/zinc/96/03/67/1065960367.db2.gz WUPPQRTULXILLS-SJLPKXTDSA-N 0 3 321.823 4.471 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CN[C@H]1CC[C@@H]1C ZINC001308398389 1065964001 /nfs/dbraw/zinc/96/40/01/1065964001.db2.gz ZMMSFOLVXVMHEV-LRDDRELGSA-N 0 3 317.864 4.339 20 0 DIADHN COc1cccc(-c2csc(CN[C@H]3CCC3(C)C)n2)c1 ZINC001308401029 1065964205 /nfs/dbraw/zinc/96/42/05/1065964205.db2.gz HJMSEGVSNOUINZ-HNNXBMFYSA-N 0 3 302.443 4.097 20 0 DIADHN CC[C@@H]1C[C@H]1CNCc1oc2ccccc2c1Br ZINC001308403583 1065965038 /nfs/dbraw/zinc/96/50/38/1065965038.db2.gz VCHCIEMPRXCXPN-MNOVXSKESA-N 0 3 308.219 4.331 20 0 DIADHN CNc1ccccc1CNCc1ccc(OC2CCCC2)cc1 ZINC001308402312 1065965235 /nfs/dbraw/zinc/96/52/35/1065965235.db2.gz ZSPOSACFKTUBGX-UHFFFAOYSA-N 0 3 310.441 4.340 20 0 DIADHN CC(C)(c1ccc(F)cc1)C1(NCc2cn3ccccc3n2)CC1 ZINC001308405727 1065966791 /nfs/dbraw/zinc/96/67/91/1065966791.db2.gz XEVFIKJYNDMEAZ-UHFFFAOYSA-N 0 3 323.415 4.073 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1ccc(-c2ccc(C)cc2)o1)OC ZINC001308410369 1065969375 /nfs/dbraw/zinc/96/93/75/1065969375.db2.gz GSNFJVSIKFKQIN-DNVCBOLYSA-N 0 3 301.430 4.406 20 0 DIADHN Cc1cccc(CNC[C@@H]2CCCC2(F)F)c1Br ZINC001308409863 1065969644 /nfs/dbraw/zinc/96/96/44/1065969644.db2.gz WTTWZBXOHLPLOA-LBPRGKRZSA-N 0 3 318.205 4.283 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1ccc(-c2ccc(C)cc2)o1)OC ZINC001308410367 1065969699 /nfs/dbraw/zinc/96/96/99/1065969699.db2.gz GSNFJVSIKFKQIN-BEFAXECRSA-N 0 3 301.430 4.406 20 0 DIADHN CCC[C@H](CNCc1cc(Cl)ccc1OCC1CC1)OC ZINC001308414017 1065970767 /nfs/dbraw/zinc/97/07/67/1065970767.db2.gz GYKOETLZNKFCGO-MRXNPFEDSA-N 0 3 311.853 4.034 20 0 DIADHN CCC[C@@H](CNCc1cc(Cl)ccc1OCC1CC1)OC ZINC001308414014 1065971527 /nfs/dbraw/zinc/97/15/27/1065971527.db2.gz GYKOETLZNKFCGO-INIZCTEOSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1nn(C)c(CNCC(C)(C)c2ccc(C)cc2C)c1Cl ZINC001308416516 1065972672 /nfs/dbraw/zinc/97/26/72/1065972672.db2.gz ICQVCXCZFGWKJK-UHFFFAOYSA-N 0 3 319.880 4.066 20 0 DIADHN COc1cc(Cl)c(CN[C@H](C)C2(OC)CCC2)cc1Cl ZINC001308439294 1065989611 /nfs/dbraw/zinc/98/96/11/1065989611.db2.gz KRJOTRGZWAEDIC-SNVBAGLBSA-N 0 3 318.244 4.049 20 0 DIADHN CCCCn1nc(C)c(CNC[C@@H]2C[C@H]2C2CCC2)c1Cl ZINC001308444155 1065991548 /nfs/dbraw/zinc/99/15/48/1065991548.db2.gz YUXWTLAZAHKROR-GJZGRUSLSA-N 0 3 309.885 4.171 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@@H]2CC23CC3)cc1Cl ZINC001308444271 1065991763 /nfs/dbraw/zinc/99/17/63/1065991763.db2.gz FCXYBNBJWYCKTN-CQSZACIVSA-N 0 3 300.229 4.423 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNCCOCC2CCC2)c1 ZINC001308444922 1065992640 /nfs/dbraw/zinc/99/26/40/1065992640.db2.gz SHCFVROIBWBFII-UHFFFAOYSA-N 0 3 321.770 4.265 20 0 DIADHN OCc1ccc(CNCc2ccc(-c3cccs3)cc2)cc1 ZINC001308446447 1065993297 /nfs/dbraw/zinc/99/32/97/1065993297.db2.gz SEZUBLKIYFIHTC-UHFFFAOYSA-N 0 3 309.434 4.197 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)NCc2ccc(C)cc2Cl)cc1 ZINC001308446595 1065994203 /nfs/dbraw/zinc/99/42/03/1065994203.db2.gz ABVDFSHRTYKVGL-RDTXWAMCSA-N 0 3 303.833 4.169 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@@H]1CCC[C@H](F)C1 ZINC001308447030 1065994294 /nfs/dbraw/zinc/99/42/94/1065994294.db2.gz HYAMGPJYYJMQOF-VHSXEESVSA-N 0 3 309.278 4.095 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(F)c(F)c1N(C)C)CC2 ZINC001308453619 1065998136 /nfs/dbraw/zinc/99/81/36/1065998136.db2.gz SUZZJYSJBPUDRF-KRWDZBQOSA-N 0 3 316.395 4.116 20 0 DIADHN CCc1cccc(CNCc2ccc(OCC(F)F)cc2)c1 ZINC001308453877 1065998628 /nfs/dbraw/zinc/99/86/28/1065998628.db2.gz VNHOCYWWBOANRO-UHFFFAOYSA-N 0 3 305.368 4.183 20 0 DIADHN CC[C@H](OCCNCc1cc(F)cc(F)c1)c1ccccc1 ZINC001308455718 1066000227 /nfs/dbraw/zinc/00/02/27/1066000227.db2.gz ODQVLMFGJUNZRL-SFHVURJKSA-N 0 3 305.368 4.222 20 0 DIADHN CSCC1(CCNCc2c(F)ccc(F)c2Cl)CC1 ZINC001308459786 1066001615 /nfs/dbraw/zinc/00/16/15/1066001615.db2.gz LGJZQPAOASYEPJ-UHFFFAOYSA-N 0 3 305.821 4.241 20 0 DIADHN C[C@@H](COc1c(F)cccc1F)NCc1sccc1Cl ZINC001308460850 1066003875 /nfs/dbraw/zinc/00/38/75/1066003875.db2.gz LVJLBLUEMAAXLN-VIFPVBQESA-N 0 3 317.788 4.237 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cccc(F)c2F)C12CCC2 ZINC001308467008 1066008356 /nfs/dbraw/zinc/00/83/56/1066008356.db2.gz SVQZILHIAAXYMJ-CABCVRRESA-N 0 3 309.400 4.181 20 0 DIADHN Fc1ccc2c(c1)C[C@@H]1[C@@H](NCc3cc(Cl)ccc3F)[C@H]21 ZINC001308470477 1066009616 /nfs/dbraw/zinc/00/96/16/1066009616.db2.gz AYOXELQAHHBPKO-USXIJHARSA-N 0 3 305.755 4.046 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CC12CCCCC2 ZINC001308478662 1066017431 /nfs/dbraw/zinc/01/74/31/1066017431.db2.gz VNFBQCIMCFZTQP-GOSISDBHSA-N 0 3 302.437 4.238 20 0 DIADHN Brc1c2ccccc2oc1CN[C@H]1[C@@H]2CCC[C@@H]21 ZINC001308480488 1066018807 /nfs/dbraw/zinc/01/88/07/1066018807.db2.gz ZHTWABOLYQMFGA-RTUWITSCSA-N 0 3 306.203 4.083 20 0 DIADHN Nc1c(Cl)cccc1CN[C@H]1CCc2ccc(Cl)cc21 ZINC001308482633 1066020664 /nfs/dbraw/zinc/02/06/64/1066020664.db2.gz RMUUEIQBGXWURF-HNNXBMFYSA-N 0 3 307.224 4.353 20 0 DIADHN CC1(C)CCC[C@@](O)(CNCc2ccsc2Cl)CC1 ZINC001308487891 1066022779 /nfs/dbraw/zinc/02/27/79/1066022779.db2.gz NKTVHIZSSSTUGL-HNNXBMFYSA-N 0 3 301.883 4.213 20 0 DIADHN CC[C@@](C)(NCc1ccc(OC)c(OC)c1)c1ccc(F)cc1 ZINC001308489278 1066022974 /nfs/dbraw/zinc/02/29/74/1066022974.db2.gz XSBBAWJQMWMOMH-LJQANCHMSA-N 0 3 317.404 4.258 20 0 DIADHN CC[C@](C)(NCc1ccc(OC)c(OC)c1)c1ccc(F)cc1 ZINC001308489277 1066023653 /nfs/dbraw/zinc/02/36/53/1066023653.db2.gz XSBBAWJQMWMOMH-IBGZPJMESA-N 0 3 317.404 4.258 20 0 DIADHN CCC[C@@H](CCO)NCc1c(Cl)cccc1SC(C)C ZINC001308491409 1066025020 /nfs/dbraw/zinc/02/50/20/1066025020.db2.gz XHIQSJWFNGGGRW-ZDUSSCGKSA-N 0 3 315.910 4.481 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H]3CCC4(CCC4)O3)o2)cc1 ZINC001308500553 1066030055 /nfs/dbraw/zinc/03/00/55/1066030055.db2.gz LMRDTHBEUFAJAY-SFHVURJKSA-N 0 3 311.425 4.446 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H]3CCC4(CCC4)O3)o2)cc1 ZINC001308500551 1066031322 /nfs/dbraw/zinc/03/13/22/1066031322.db2.gz LMRDTHBEUFAJAY-GOSISDBHSA-N 0 3 311.425 4.446 20 0 DIADHN CC(C)COc1ccccc1CNC[C@H]1CCC(C)(C)CO1 ZINC001308503617 1066033079 /nfs/dbraw/zinc/03/30/79/1066033079.db2.gz YHWNEZYKEUJYGL-QGZVFWFLSA-N 0 3 305.462 4.016 20 0 DIADHN Cc1nc(CNCCC[C@H]2C=CCC2)sc1Br ZINC001308508800 1066035113 /nfs/dbraw/zinc/03/51/13/1066035113.db2.gz NNDQVPRUHDASQS-NSHDSACASA-N 0 3 315.280 4.050 20 0 DIADHN CC1(C)CO[C@H](CNCc2ccc(-c3cccc(F)c3F)o2)C1 ZINC001308511276 1066037025 /nfs/dbraw/zinc/03/70/25/1066037025.db2.gz PTJLRKQKNNCEOB-ZDUSSCGKSA-N 0 3 321.367 4.130 20 0 DIADHN C[C@]1(NCc2ccc(OCC3CC3)cc2)CCOc2ccccc21 ZINC001308531979 1066051178 /nfs/dbraw/zinc/05/11/78/1066051178.db2.gz QWQIDGXUOVCRSZ-NRFANRHFSA-N 0 3 323.436 4.263 20 0 DIADHN O[C@@H]1CCc2ccccc2[C@@H]1NCc1cc2ccccc2s1 ZINC001308533024 1066051465 /nfs/dbraw/zinc/05/14/65/1066051465.db2.gz PMKMEDNULBJHMI-MJGOQNOKSA-N 0 3 309.434 4.039 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@H]2CCC(C)(C)CO2)s1 ZINC001308537502 1066053981 /nfs/dbraw/zinc/05/39/81/1066053981.db2.gz YNAAWOYYQHRCBE-CYBMUJFWSA-N 0 3 310.507 4.126 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2CCCc3ccccc3C2)c1 ZINC001308539612 1066055794 /nfs/dbraw/zinc/05/57/94/1066055794.db2.gz NWRDJNVOLRMREU-QGZVFWFLSA-N 0 3 301.817 4.083 20 0 DIADHN CSCc1cnc(CN[C@@H]2CCCc3ccccc3C2)s1 ZINC001308538122 1066055912 /nfs/dbraw/zinc/05/59/12/1066055912.db2.gz NWOPWPZFCQZPED-OAHLLOKOSA-N 0 3 318.511 4.043 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@]1(C)CCCCO1 ZINC001308538244 1066056368 /nfs/dbraw/zinc/05/63/68/1066056368.db2.gz IABMKHKKSHZAPG-HNNXBMFYSA-N 0 3 318.244 4.051 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3CCS[C@@H](C)C3)cs2)cc1 ZINC001308540296 1066057128 /nfs/dbraw/zinc/05/71/28/1066057128.db2.gz RRZQNXSFYXQVHC-ZFWWWQNUSA-N 0 3 318.511 4.492 20 0 DIADHN CC(C)C1CCC(CN[C@@H](CO)c2cc(F)ccc2F)CC1 ZINC001308552955 1066065684 /nfs/dbraw/zinc/06/56/84/1066065684.db2.gz ZMPXNJOTRMPCGE-JRSKDTKFSA-N 0 3 311.416 4.050 20 0 DIADHN CCOC(OCC)[C@H](C)NCc1ccc(-c2ccccc2)cc1 ZINC001308558488 1066067506 /nfs/dbraw/zinc/06/75/06/1066067506.db2.gz KZSKAPNXVXRNJI-INIZCTEOSA-N 0 3 313.441 4.231 20 0 DIADHN CC(C)[C@@H]1C[C@H]1NCc1cc(Cl)ccc1Br ZINC001308557978 1066067966 /nfs/dbraw/zinc/06/79/66/1066067966.db2.gz WJYVLXROSZZANJ-WCQYABFASA-N 0 3 302.643 4.237 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCC(C)(C)SC ZINC001308560756 1066070895 /nfs/dbraw/zinc/07/08/95/1066070895.db2.gz RLQQTWXGLNTTDH-UHFFFAOYSA-N 0 3 301.883 4.312 20 0 DIADHN CCOc1ccc(Cl)cc1CN(C)CCc1cccs1 ZINC001308567512 1066077033 /nfs/dbraw/zinc/07/70/33/1066077033.db2.gz UOUTYKVJKZPJGE-UHFFFAOYSA-N 0 3 309.862 4.475 20 0 DIADHN CCc1ccc(N2CCN(Cc3cccc4c3CCC4)CC2)cc1 ZINC001308567997 1066077876 /nfs/dbraw/zinc/07/78/76/1066077876.db2.gz LTTMIVBGFZHCKQ-UHFFFAOYSA-N 0 3 320.480 4.060 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nccc(C)c1Br ZINC001308572793 1066083572 /nfs/dbraw/zinc/08/35/72/1066083572.db2.gz JSURGXOXPGUGOG-CYBMUJFWSA-N 0 3 311.267 4.307 20 0 DIADHN CSc1ccccc1NC(=O)CN(C)C[C@@H]1CCCC[C@H]1C ZINC001308572162 1066083714 /nfs/dbraw/zinc/08/37/14/1066083714.db2.gz ZGYBVDGFCLDIAF-CABCVRRESA-N 0 3 320.502 4.105 20 0 DIADHN CSc1ccccc1NC(=O)CN(C)C[C@@H]1CCCC[C@@H]1C ZINC001308572163 1066085453 /nfs/dbraw/zinc/08/54/53/1066085453.db2.gz ZGYBVDGFCLDIAF-GJZGRUSLSA-N 0 3 320.502 4.105 20 0 DIADHN Cc1ccc(CN(CCc2cccc(F)c2)C2CC2)c(F)c1 ZINC001308573534 1066087262 /nfs/dbraw/zinc/08/72/62/1066087262.db2.gz IOAQWZFVIMLPKF-UHFFFAOYSA-N 0 3 301.380 4.480 20 0 DIADHN CNc1ccc(C)cc1CN1CCC(Oc2ccc(C)cc2)CC1 ZINC001308581997 1066099388 /nfs/dbraw/zinc/09/93/88/1066099388.db2.gz LYZWUVBWQZNTNP-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN C[C@H]1CCCN(Cc2ccc(Sc3ccccn3)o2)CC1 ZINC001308590565 1066103226 /nfs/dbraw/zinc/10/32/26/1066103226.db2.gz XLDYTLQEVLLXIV-AWEZNQCLSA-N 0 3 302.443 4.448 20 0 DIADHN Cc1c(CN2CCC(Cc3cccc(F)c3)CC2)ccnc1F ZINC001308592519 1066105729 /nfs/dbraw/zinc/10/57/29/1066105729.db2.gz MBEBMNDRYBMEGL-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cc(CN2CCCC23CCCCC3)ncc1Br ZINC001308596321 1066107519 /nfs/dbraw/zinc/10/75/19/1066107519.db2.gz NSNZKYIHUWDIBE-UHFFFAOYSA-N 0 3 323.278 4.451 20 0 DIADHN C[C@H]1CCCC[C@H]1CN1CCN(c2ccccc2Cl)CC1 ZINC001308601092 1066110766 /nfs/dbraw/zinc/11/07/66/1066110766.db2.gz PGRHUCFBDHVCJU-HOTGVXAUSA-N 0 3 306.881 4.288 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1cc2ccc(F)cc2[nH]c1=O ZINC001308598847 1066110827 /nfs/dbraw/zinc/11/08/27/1066110827.db2.gz ABJRXRRQXMWQPG-ZDUSSCGKSA-N 0 3 322.383 4.026 20 0 DIADHN COc1cc(CN2CCC[C@](C)(c3ccccc3)C2)ccc1F ZINC001308606817 1066113637 /nfs/dbraw/zinc/11/36/37/1066113637.db2.gz DCRGGIFWNHZDQH-FQEVSTJZSA-N 0 3 313.416 4.388 20 0 DIADHN Cc1ccc2cc(CN(C)Cc3cccc(N(C)C)c3)[nH]c2c1 ZINC001308607403 1066114991 /nfs/dbraw/zinc/11/49/91/1066114991.db2.gz NFVSUKPZQUHKPX-UHFFFAOYSA-N 0 3 307.441 4.174 20 0 DIADHN CSC(C)(C)CCN1C[C@H](c2ccccc2C)OC[C@@H]1C ZINC001308608729 1066115100 /nfs/dbraw/zinc/11/51/00/1066115100.db2.gz HYIWXMYYPJLDJK-DOTOQJQBSA-N 0 3 307.503 4.289 20 0 DIADHN Cc1noc2ncc(CN(C)[C@@H](C)c3ccc(C)cc3C)cc12 ZINC001308613857 1066118853 /nfs/dbraw/zinc/11/88/53/1066118853.db2.gz KMCNPFYMRSDRKW-HNNXBMFYSA-N 0 3 309.413 4.341 20 0 DIADHN Cc1ccc(C2=CCN(Cc3ccc(-c4cc[nH]n4)o3)CC2)cc1 ZINC001308616086 1066120616 /nfs/dbraw/zinc/12/06/16/1066120616.db2.gz VOJVESQQWBMVQH-UHFFFAOYSA-N 0 3 319.408 4.267 20 0 DIADHN Cc1ccc(C2=CCN(Cc3cccc4c3OCC4)CC2)cc1 ZINC001308615857 1066120625 /nfs/dbraw/zinc/12/06/25/1066120625.db2.gz UNBDKXCGIDKUKY-UHFFFAOYSA-N 0 3 305.421 4.219 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1sc(N2CCCC2)nc1Cl ZINC001308618801 1066121609 /nfs/dbraw/zinc/12/16/09/1066121609.db2.gz HVOAJJZERYUNAE-VXGBXAGGSA-N 0 3 313.898 4.017 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1ccc2nccnc2c1 ZINC001308628454 1066124225 /nfs/dbraw/zinc/12/42/25/1066124225.db2.gz XCBYSOTUAOCBIH-UHFFFAOYSA-N 0 3 319.433 4.477 20 0 DIADHN CC(C)Cn1nccc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001308633318 1066128761 /nfs/dbraw/zinc/12/87/61/1066128761.db2.gz JSGGPKBEMTWUJA-INIZCTEOSA-N 0 3 317.864 4.182 20 0 DIADHN Cc1ccnc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)c1Br ZINC001308634665 1066130121 /nfs/dbraw/zinc/13/01/21/1066130121.db2.gz NGBDTHAPMDGNCB-NFAWXSAZSA-N 0 3 323.278 4.306 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc(CC(C)C)nc3)CC2)cn1 ZINC001308637104 1066131619 /nfs/dbraw/zinc/13/16/19/1066131619.db2.gz OQAJGJVRSUQBLD-UHFFFAOYSA-N 0 3 323.484 4.363 20 0 DIADHN COc1c(C)cnc(CN[C@H]2c3ccccc3CC[C@@H]2C)c1C ZINC001308639629 1066132459 /nfs/dbraw/zinc/13/24/59/1066132459.db2.gz STWZAVSOUNDVHO-ORAYPTAESA-N 0 3 310.441 4.120 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC001308641553 1066132520 /nfs/dbraw/zinc/13/25/20/1066132520.db2.gz HHBOVIUPSYTDJV-APPDUMDISA-N 0 3 323.795 4.051 20 0 DIADHN c1nn(CC2CCC2)cc1CN1CCC(Cc2ccccc2)CC1 ZINC001308647210 1066135679 /nfs/dbraw/zinc/13/56/79/1066135679.db2.gz JVCKMBRSIHDCDA-UHFFFAOYSA-N 0 3 323.484 4.138 20 0 DIADHN CCSc1cccc(CN2CC[C@H](C(C)(C)C)[C@@H](O)C2)c1 ZINC001308651647 1066137761 /nfs/dbraw/zinc/13/77/61/1066137761.db2.gz ADZZFRGNTHZCNL-IRXDYDNUSA-N 0 3 307.503 4.028 20 0 DIADHN CNc1ccc(C)cc1CN1CCSC[C@H]1c1ccccc1 ZINC001308651315 1066138008 /nfs/dbraw/zinc/13/80/08/1066138008.db2.gz UBVPVVREEVOMJM-IBGZPJMESA-N 0 3 312.482 4.327 20 0 DIADHN CN(CCOc1ccccc1Cl)Cc1cc2ccccc2[nH]1 ZINC001308653702 1066139263 /nfs/dbraw/zinc/13/92/63/1066139263.db2.gz LGACYWZZCJXPBF-UHFFFAOYSA-N 0 3 314.816 4.332 20 0 DIADHN CC[C@](C)(CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001308661627 1066142058 /nfs/dbraw/zinc/14/20/58/1066142058.db2.gz BIQNXMPCTUQYGB-LSDHHAIUSA-N 0 3 303.368 4.393 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)nc1 ZINC001308667091 1066145462 /nfs/dbraw/zinc/14/54/62/1066145462.db2.gz GYOPWVZMBAARIT-UYAOXDASSA-N 0 3 310.441 4.140 20 0 DIADHN Fc1cc2c(c(F)c1)[C@H](NC1CCC(C(F)(F)F)CC1)CO2 ZINC001308683363 1066154202 /nfs/dbraw/zinc/15/42/02/1066154202.db2.gz BSYZMXNADOYTHQ-HSLLBKHCSA-N 0 3 321.289 4.109 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cc(O)cc(Cl)c3)C2)cc1 ZINC001308684516 1066155061 /nfs/dbraw/zinc/15/50/61/1066155061.db2.gz GRLLUEHZCQYRDQ-MRXNPFEDSA-N 0 3 301.817 4.344 20 0 DIADHN Clc1cncc(CNCCC2Cc3ccccc3C2)c1Cl ZINC001308685000 1066155162 /nfs/dbraw/zinc/15/51/62/1066155162.db2.gz GLTPHGHDMRXBCG-UHFFFAOYSA-N 0 3 321.251 4.283 20 0 DIADHN CCC1(CC)CCN(Cc2cc(OC)ccc2OCOC)CC1 ZINC001308698652 1066186263 /nfs/dbraw/zinc/18/62/63/1066186263.db2.gz UGKJENURMUFUBM-UHFFFAOYSA-N 0 3 321.461 4.080 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCOCC2(CCCC2)C1 ZINC001308699611 1066187816 /nfs/dbraw/zinc/18/78/16/1066187816.db2.gz BGNVJUDNCKFOBN-UHFFFAOYSA-N 0 3 307.865 4.349 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2cccc(F)c2)no1)C1CCCC1 ZINC001308705653 1066190405 /nfs/dbraw/zinc/19/04/05/1066190405.db2.gz QHSKKLJXBPJJRV-WBMJQRKESA-N 0 3 317.408 4.495 20 0 DIADHN C[C@@H](CNCc1cc(COC(C)(C)C)on1)C1CCCCC1 ZINC001308706396 1066191372 /nfs/dbraw/zinc/19/13/72/1066191372.db2.gz JPCJPXWCGNEJBE-AWEZNQCLSA-N 0 3 308.466 4.296 20 0 DIADHN CCOc1cc(CN(C)[C@H]2CCC(C)(C)C2)c(F)cc1OC ZINC001308734653 1066208324 /nfs/dbraw/zinc/20/83/24/1066208324.db2.gz DVLACFVTLQBJHL-AWEZNQCLSA-N 0 3 309.425 4.244 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C2CCC3(CCCC3)CC2)[n-]1 ZINC001308736511 1066211321 /nfs/dbraw/zinc/21/13/21/1066211321.db2.gz NGSMEPHDEFJEAY-UONOGXRCSA-N 0 3 304.482 4.472 20 0 DIADHN C[C@H](CCC1CCCC1)NCc1ccn(Cc2ccccc2)n1 ZINC001308754079 1066227257 /nfs/dbraw/zinc/22/72/57/1066227257.db2.gz COVQUOWTFXBVIW-QGZVFWFLSA-N 0 3 311.473 4.380 20 0 DIADHN Cc1ccc([C@H](C)CNCc2ccn(Cc3ccccc3)n2)cc1 ZINC001308754290 1066228680 /nfs/dbraw/zinc/22/86/80/1066228680.db2.gz DTQZOKFTLUCSFF-GOSISDBHSA-N 0 3 319.452 4.133 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccnn2-c2ccc(F)cc2)[C@H]1C(C)C ZINC001308762523 1066235272 /nfs/dbraw/zinc/23/52/72/1066235272.db2.gz DSWYWCBRZIQWLU-OALUTQOASA-N 0 3 315.436 4.124 20 0 DIADHN Cc1c(Cl)cccc1CN(C)[C@@H](CO)c1ccc(Cl)cc1 ZINC001308779067 1066253332 /nfs/dbraw/zinc/25/33/32/1066253332.db2.gz XSRGBHZNOUHMAD-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN CC(C)C1CCC(CN2CCN(C)Cc3ccccc32)CC1 ZINC001308783019 1066257614 /nfs/dbraw/zinc/25/76/14/1066257614.db2.gz RUFNMKUGWAQDQN-UHFFFAOYSA-N 0 3 300.490 4.401 20 0 DIADHN CC(C)[C@@H]1N(Cc2cc3cc(Cl)cnc3o2)CC12CCC2 ZINC001308789836 1066264612 /nfs/dbraw/zinc/26/46/12/1066264612.db2.gz BIKYYNOQOVEGEO-HNNXBMFYSA-N 0 3 304.821 4.492 20 0 DIADHN CC(C)[C@@H]1CN(CCCc2c(F)cccc2Cl)CCCO1 ZINC001308792320 1066268843 /nfs/dbraw/zinc/26/88/43/1066268843.db2.gz VIEQCOZQICIHSL-KRWDZBQOSA-N 0 3 313.844 4.159 20 0 DIADHN CC1(CNCc2nnn(-c3ccccc3)c2C2CC2)CCCCC1 ZINC001308797306 1066272573 /nfs/dbraw/zinc/27/25/73/1066272573.db2.gz WYIRRJZXBRURPU-UHFFFAOYSA-N 0 3 324.472 4.205 20 0 DIADHN C[C@H]1C[C@H](C(C)(C)C)CCN1Cc1cc(-c2ccccn2)n[nH]1 ZINC001308805302 1066282419 /nfs/dbraw/zinc/28/24/19/1066282419.db2.gz VNRGEJSKNKKTDT-LSDHHAIUSA-N 0 3 312.461 4.118 20 0 DIADHN Fc1cccc(Cl)c1CC1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1 ZINC001308808235 1066284382 /nfs/dbraw/zinc/28/43/82/1066284382.db2.gz ROWNKCHPPLXFDD-VHDGCEQUSA-N 0 3 307.840 4.390 20 0 DIADHN COC(=O)c1occc1CN1CC[C@H](CC(C)(C)C)C1(C)C ZINC001308814656 1066288545 /nfs/dbraw/zinc/28/85/45/1066288545.db2.gz GSQZQHBVXIWXQE-CQSZACIVSA-N 0 3 307.434 4.103 20 0 DIADHN COc1cc(CN2C[C@H](C)[C@H](C)[C@H]2C)cc(C(F)(F)F)c1 ZINC001308826376 1066302250 /nfs/dbraw/zinc/30/22/50/1066302250.db2.gz RIPUQZOJHVEYDR-SDDRHHMPSA-N 0 3 301.352 4.190 20 0 DIADHN CC(C)C1(c2ccccc2)CN(Cc2nccc3c2CCCC3)C1 ZINC001308826688 1066302716 /nfs/dbraw/zinc/30/27/16/1066302716.db2.gz WNSYRZUNVIPKSL-UHFFFAOYSA-N 0 3 320.480 4.370 20 0 DIADHN Cc1nn(C)c(CN2CC[C@H]([C@@H]3CCCC3(C)C)C2)c1Cl ZINC001308835552 1066313155 /nfs/dbraw/zinc/31/31/55/1066313155.db2.gz XVGFCGFCTLHQIQ-KBPBESRZSA-N 0 3 309.885 4.030 20 0 DIADHN Cc1ccc(CN2CCc3ccc(F)cc3[C@H](C)C2)c(F)c1 ZINC001308843340 1066319651 /nfs/dbraw/zinc/31/96/51/1066319651.db2.gz OXVGDCSZYRHXJO-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN CCCn1cncc1CN1CCC2(CCc3ccccc3C2)CC1 ZINC001308849501 1066325949 /nfs/dbraw/zinc/32/59/49/1066325949.db2.gz WCAIIRBZAPGXEC-UHFFFAOYSA-N 0 3 323.484 4.064 20 0 DIADHN Cc1ccnc(CN2CCCC[C@@H]2C2CCC2)c1Br ZINC001308850202 1066328625 /nfs/dbraw/zinc/32/86/25/1066328625.db2.gz HJNSNYZKHSNCJS-OAHLLOKOSA-N 0 3 323.278 4.307 20 0 DIADHN CCOc1ccc(CN2CCC(C3CCC3)CC2)cc1OC ZINC001308853964 1066332684 /nfs/dbraw/zinc/33/26/84/1066332684.db2.gz BFXSNEVBPXOMHK-UHFFFAOYSA-N 0 3 303.446 4.106 20 0 DIADHN Nc1c(F)cccc1CN1CCCC[C@H]1Cc1ccccc1F ZINC001308852927 1066334573 /nfs/dbraw/zinc/33/45/73/1066334573.db2.gz WYXAPXSIYZEOFY-INIZCTEOSA-N 0 3 316.395 4.144 20 0 DIADHN CC1(C)CC[C@H](N[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001308870463 1066351460 /nfs/dbraw/zinc/35/14/60/1066351460.db2.gz CQCBVMQWFBNNNY-GXFFZTMASA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CN1CC[C@@H]2C[C@@H]2C1 ZINC001308874870 1066353652 /nfs/dbraw/zinc/35/36/52/1066353652.db2.gz XBFWTEQICRTASG-QZTJIDSGSA-N 0 3 323.484 4.071 20 0 DIADHN COC(=O)c1ccc(CN2CC([C@@H]3CCC[C@@H](C)C3)C2)cc1C ZINC001308912479 1066389539 /nfs/dbraw/zinc/38/95/39/1066389539.db2.gz QFENXOHUBDCGSF-RHSMWYFYSA-N 0 3 315.457 4.040 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2cccc3c2CCC3)CC1 ZINC001308919899 1066399749 /nfs/dbraw/zinc/39/97/49/1066399749.db2.gz WAEVXTKXNONFFL-SFHVURJKSA-N 0 3 320.480 4.054 20 0 DIADHN Cc1csc([C@@H]2CCN(C/C=C/c3ccc(F)cc3)C2)n1 ZINC001308927696 1066402555 /nfs/dbraw/zinc/40/25/55/1066402555.db2.gz JBYHRUIPYVZGLR-XYBNCVKDSA-N 0 3 302.418 4.093 20 0 DIADHN FC(F)(F)c1cc(Cl)nc(CN[C@H]2CCC23CCC3)c1 ZINC001308928386 1066403153 /nfs/dbraw/zinc/40/31/53/1066403153.db2.gz OKDTUVKYIHZGAV-NSHDSACASA-N 0 3 304.743 4.176 20 0 DIADHN CCCCCCN(Cc1ccc(C)o1)Cc1ccc(=O)[nH]c1 ZINC001308947018 1066413852 /nfs/dbraw/zinc/41/38/52/1066413852.db2.gz CPRIGMHWHDIXNJ-UHFFFAOYSA-N 0 3 302.418 4.271 20 0 DIADHN CCO[C@H]1CCN(Cc2ccc(Oc3cccc(F)c3)cc2)C1 ZINC001308961146 1066421913 /nfs/dbraw/zinc/42/19/13/1066421913.db2.gz QOVXEUCFGVAZQG-IBGZPJMESA-N 0 3 315.388 4.229 20 0 DIADHN ClC1(Cl)C[C@@H]1CN[C@H](Cc1ccccc1)c1ccccn1 ZINC001308967838 1066425275 /nfs/dbraw/zinc/42/52/75/1066425275.db2.gz KQAWZLJVRMFISK-GDBMZVCRSA-N 0 3 321.251 4.149 20 0 DIADHN CC(C)=CCC[C@@H](C)CCNCc1cc(C(F)(F)F)n(C)n1 ZINC001308973001 1066427516 /nfs/dbraw/zinc/42/75/16/1066427516.db2.gz STJSTPZEERUZBQ-CYBMUJFWSA-N 0 3 317.399 4.301 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@@H](COC)c2ccc(F)cc2)C1(C)C ZINC001308976800 1066429806 /nfs/dbraw/zinc/42/98/06/1066429806.db2.gz IVZLDWACRIPASG-RCCFBDPRSA-N 0 3 323.452 4.087 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)CC1CCSCC1 ZINC001308982258 1066431813 /nfs/dbraw/zinc/43/18/13/1066431813.db2.gz BPOVBFZJUPVEBI-CYBMUJFWSA-N 0 3 324.559 4.233 20 0 DIADHN C[C@H](CN1CCc2cc(O)ccc2C1)c1cccc(Cl)c1 ZINC001308984637 1066433337 /nfs/dbraw/zinc/43/33/37/1066433337.db2.gz LQFOJHLUHFDDEM-CYBMUJFWSA-N 0 3 301.817 4.207 20 0 DIADHN C[C@@H](N[C@@H]1CCC12CCC2)c1nc2ccccc2n1C(F)F ZINC001308990534 1066434463 /nfs/dbraw/zinc/43/44/63/1066434463.db2.gz YLGXXZKECQQSGD-BXUZGUMPSA-N 0 3 305.372 4.415 20 0 DIADHN CC(C)OC1CC(N[C@H](C)c2ccc(OCC3CC3)c(F)c2)C1 ZINC000428085321 334934545 /nfs/dbraw/zinc/93/45/45/334934545.db2.gz RPVGNJAXJNAVEH-NVPAJSRCSA-N 0 3 321.436 4.221 20 0 DIADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccco2)n(-c2ccccc2)n1 ZINC000090602165 185306246 /nfs/dbraw/zinc/30/62/46/185306246.db2.gz HAXMUFVYBBOFDX-GDBMZVCRSA-N 0 3 309.413 4.056 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3ccc(F)cc32)cc1OC ZINC000081181392 192233660 /nfs/dbraw/zinc/23/36/60/192233660.db2.gz LEBTUJDPXLXORE-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN CCC[C@H](N)C(=O)Nc1ccc(Sc2ccccc2)cc1C ZINC000236952702 202089399 /nfs/dbraw/zinc/08/93/99/202089399.db2.gz BFJFWQAORHYSMA-INIZCTEOSA-N 0 3 314.454 4.212 20 0 DIADHN Cc1c(CN[C@@H](c2ccc(Cl)c(Cl)c2)C2CC2)cnn1C ZINC000091406980 193051752 /nfs/dbraw/zinc/05/17/52/193051752.db2.gz YPTIBDKWZZYSLM-MRXNPFEDSA-N 0 3 324.255 4.276 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000091412745 193052687 /nfs/dbraw/zinc/05/26/87/193052687.db2.gz KLRNGZOKRQJROJ-YMRXKLBXSA-N 0 3 320.795 4.055 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000091412391 193053496 /nfs/dbraw/zinc/05/34/96/193053496.db2.gz UXDZMSVQPMGWDR-SSKCKEOISA-N 0 3 320.795 4.055 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2CN[C@@H](C)c2nccs2)cc1 ZINC000091731305 193097974 /nfs/dbraw/zinc/09/79/74/193097974.db2.gz BISGUOQQGULURU-USXIJHARSA-N 0 3 316.470 4.270 20 0 DIADHN C[C@H]1CCC[C@H](C)C1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000182725674 335002711 /nfs/dbraw/zinc/00/27/11/335002711.db2.gz LGEXWLIBFYCSCB-RYUDHWBXSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H]1CCC[C@H](C)C1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000182955019 335005206 /nfs/dbraw/zinc/00/52/06/335005206.db2.gz LTFUCZBGQXNQGU-RYUDHWBXSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](C)c3cc(C)cc(C)c3)c(C)n2n1 ZINC000093406158 193246086 /nfs/dbraw/zinc/24/60/86/193246086.db2.gz WNQXXGZJUGJOMZ-HOTGVXAUSA-N 0 3 322.456 4.375 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2cccc(Cl)c2)cc1 ZINC000017314576 182082713 /nfs/dbraw/zinc/08/27/13/182082713.db2.gz ZNTHAKNDFSVKQQ-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1)c1nc2ccccc2s1 ZINC000019904142 182162230 /nfs/dbraw/zinc/16/22/30/182162230.db2.gz VUZVGTPWJZZZKK-GFCCVEGCSA-N 0 3 300.402 4.329 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(Br)c2)cc1 ZINC000019908987 182163986 /nfs/dbraw/zinc/16/39/86/182163986.db2.gz BPUIYCGIPCFXQB-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN Fc1ccc(CNCc2ccc(F)c(Br)c2)cc1 ZINC000019943996 182168768 /nfs/dbraw/zinc/16/87/68/182168768.db2.gz DWLOQJBKPASRRU-UHFFFAOYSA-N 0 3 312.157 4.017 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(Br)cc1 ZINC000020121179 182188924 /nfs/dbraw/zinc/18/89/24/182188924.db2.gz JXHNWRLZCNSNNH-NEPJUHHUSA-N 0 3 305.219 4.256 20 0 DIADHN Brc1ccc(CN[C@H]2CCc3ccccc32)cc1 ZINC000020129635 182189678 /nfs/dbraw/zinc/18/96/78/182189678.db2.gz GTLQPGHNHPJREJ-INIZCTEOSA-N 0 3 302.215 4.226 20 0 DIADHN Fc1ccc(CN[C@@H]2CCc3ccccc32)cc1Br ZINC000020129968 182190087 /nfs/dbraw/zinc/19/00/87/182190087.db2.gz IPASBONILSYLDI-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1cc(F)ccc1Br ZINC000020555919 182231424 /nfs/dbraw/zinc/23/14/24/182231424.db2.gz HPMSSVUVPVVHJL-YGRLFVJLSA-N 0 3 300.215 4.257 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCCO2)c1cccs1 ZINC000020556320 182231665 /nfs/dbraw/zinc/23/16/65/182231665.db2.gz XNBQMPTUHJBSHO-QWHCGFSZSA-N 0 3 303.427 4.321 20 0 DIADHN CCCOc1ccc(Br)cc1CN[C@@H](C)CC ZINC000020929281 182254537 /nfs/dbraw/zinc/25/45/37/182254537.db2.gz TZERWMBDKSOGCQ-NSHDSACASA-N 0 3 300.240 4.126 20 0 DIADHN CCCOc1ccc(CNCc2ccc(F)cc2)cc1OCC ZINC000020933359 182255024 /nfs/dbraw/zinc/25/50/24/182255024.db2.gz CBJWYJUTTQEYKC-UHFFFAOYSA-N 0 3 317.404 4.303 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@@H]1c1cccn1C ZINC000563815382 335025233 /nfs/dbraw/zinc/02/52/33/335025233.db2.gz NKOZMXPHNUCYSA-LJQANCHMSA-N 0 3 312.457 4.397 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425543064 335036219 /nfs/dbraw/zinc/03/62/19/335036219.db2.gz ATQQIXLPMHCPMJ-UGSOOPFHSA-N 0 3 321.411 4.307 20 0 DIADHN COc1cccc(CNCc2cccc(COC(C)(C)C)c2)c1 ZINC000101932714 193849691 /nfs/dbraw/zinc/84/96/91/193849691.db2.gz SNQNPEOIXBLZQK-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000042545788 183282559 /nfs/dbraw/zinc/28/25/59/183282559.db2.gz AURYHMSXOKUYNT-DTWKUNHWSA-N 0 3 307.652 4.002 20 0 DIADHN CC(C)(C)C[C@@H](NCc1ccc(C(N)=O)cc1)c1ccccc1 ZINC000042956924 183322768 /nfs/dbraw/zinc/32/27/68/183322768.db2.gz XCKAMSXDIUNMOS-GOSISDBHSA-N 0 3 310.441 4.053 20 0 DIADHN Cc1ccc2cc([C@@H](C)N[C@@H]3CCN(c4ccccn4)C3)oc2c1 ZINC000426037023 335042860 /nfs/dbraw/zinc/04/28/60/335042860.db2.gz SNNHKMUDYPVODA-NVXWUHKLSA-N 0 3 321.424 4.066 20 0 DIADHN C[C@H]1C[C@H]1NCc1cccc(NC(=O)c2ccccc2Cl)c1 ZINC000105437813 194104346 /nfs/dbraw/zinc/10/43/46/194104346.db2.gz RQFALVVXGMMTKU-YVEFUNNKSA-N 0 3 314.816 4.090 20 0 DIADHN COc1ccc(-c2nc(CN([C@@H](C)C3CC3)C3CC3)co2)cc1 ZINC000047909591 183608557 /nfs/dbraw/zinc/60/85/57/183608557.db2.gz NIKGIOSOILHADN-ZDUSSCGKSA-N 0 3 312.413 4.113 20 0 DIADHN Cc1csc(CCNCc2ccc(Cl)cc2Cl)n1 ZINC000057399174 184037481 /nfs/dbraw/zinc/03/74/81/184037481.db2.gz QWXRZLQTLMRSLU-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CN(C)Cc1cccc(NCc2cnc(C(C)(C)C)s2)c1 ZINC000059181107 184135335 /nfs/dbraw/zinc/13/53/35/184135335.db2.gz SQWMDGZCTCXMDE-UHFFFAOYSA-N 0 3 303.475 4.114 20 0 DIADHN CCN(CC)c1ncc(CN[C@H]2CCCc3sccc32)s1 ZINC000061360082 184168918 /nfs/dbraw/zinc/16/89/18/184168918.db2.gz NSFORCIPCZDVDW-AWEZNQCLSA-N 0 3 321.515 4.218 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H](CO)c3ccccc3)oc2c1 ZINC000426039139 335045904 /nfs/dbraw/zinc/04/59/04/335045904.db2.gz JCVLBEDWHOGCEU-YJBOKZPZSA-N 0 3 309.409 4.168 20 0 DIADHN CC(C)CCOc1ccc([C@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000271428460 129910861 /nfs/dbraw/zinc/91/08/61/129910861.db2.gz CVFJFYLUUDXVER-LSDHHAIUSA-N 0 3 301.434 4.246 20 0 DIADHN C[C@@H](NCCCO[C@H]1CCCc2ccccc21)c1cncs1 ZINC000271433936 129912834 /nfs/dbraw/zinc/91/28/34/129912834.db2.gz FDFCPFFHXQKHTC-PBHICJAKSA-N 0 3 316.470 4.278 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1cncs1 ZINC000271510024 129926871 /nfs/dbraw/zinc/92/68/71/129926871.db2.gz OOYJQJYQJRGFLI-RWSFTLGLSA-N 0 3 310.850 4.223 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1cccc(F)c1)c1ccc2ccccc2n1 ZINC000271520223 129928559 /nfs/dbraw/zinc/92/85/59/129928559.db2.gz QBRRYTFMGJIBRF-YRVVQQKDSA-N 0 3 324.399 4.147 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272676166 130052441 /nfs/dbraw/zinc/05/24/41/130052441.db2.gz UPTQYHNDDBTQEW-YZGWKJHDSA-N 0 3 324.468 4.354 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2CCc3c2cccc3F)cc1 ZINC000272782680 130063476 /nfs/dbraw/zinc/06/34/76/130063476.db2.gz WFPHVRLTRUCKOX-BUXKBTBVSA-N 0 3 312.388 4.122 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(-n3cccn3)cc2)cc1C ZINC000272787311 130063938 /nfs/dbraw/zinc/06/39/38/130063938.db2.gz AHIYSWMCIBRYCP-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(-n3cccn3)cc2)cc1C ZINC000272787306 130064425 /nfs/dbraw/zinc/06/44/25/130064425.db2.gz AHIYSWMCIBRYCP-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2nc(-c3ccccc3)cs2)CCO1 ZINC000273007778 130081088 /nfs/dbraw/zinc/08/10/88/130081088.db2.gz BKCVRUIYCNCBIP-HUUCEWRRSA-N 0 3 316.470 4.105 20 0 DIADHN CCC[C@H](NC[C@H](O)c1c(F)cccc1Cl)c1cccnc1 ZINC000273450736 130123343 /nfs/dbraw/zinc/12/33/43/130123343.db2.gz FZXOVPJUUGGDTE-HOTGVXAUSA-N 0 3 322.811 4.039 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1c(F)cccc1Cl)c1cccnc1 ZINC000273450734 130124370 /nfs/dbraw/zinc/12/43/70/130124370.db2.gz FZXOVPJUUGGDTE-CVEARBPZSA-N 0 3 322.811 4.039 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)c1cccnc1 ZINC000273485586 130127946 /nfs/dbraw/zinc/12/79/46/130127946.db2.gz NHDCVKPQQUNMAR-JVJLVOBOSA-N 0 3 316.470 4.011 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@H](c3ccccc3)C2)cs1 ZINC000274309319 130225796 /nfs/dbraw/zinc/22/57/96/130225796.db2.gz TWLZKHLGGXQRAE-MJEQTWJJSA-N 0 3 302.443 4.022 20 0 DIADHN Cn1cc(CN[C@H](c2ccccc2)C(C)(C)C)c(C(F)F)n1 ZINC000274466830 130237978 /nfs/dbraw/zinc/23/79/78/130237978.db2.gz IUJGWLFRNQTJSQ-OAHLLOKOSA-N 0 3 307.388 4.235 20 0 DIADHN C[C@H](NCc1cn(C)nc1C(F)F)c1cccc2ccccc21 ZINC000274497514 130241214 /nfs/dbraw/zinc/24/12/14/130241214.db2.gz QTBFZMQFDNFHHD-LBPRGKRZSA-N 0 3 315.367 4.362 20 0 DIADHN OCC[C@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000274491259 130241300 /nfs/dbraw/zinc/24/13/00/130241300.db2.gz SPROVDNEOMJKBJ-MSOLQXFVSA-N 0 3 315.388 4.068 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1cccnc1Cl ZINC000274571883 130245762 /nfs/dbraw/zinc/24/57/62/130245762.db2.gz IXGRRAQCLZLAFM-GRDNDAEWSA-N 0 3 317.864 4.077 20 0 DIADHN C[C@@H](NCC1(CCOCc2ccccc2)CC1)c1cscn1 ZINC000274591368 130247126 /nfs/dbraw/zinc/24/71/26/130247126.db2.gz NLHKWAHHLNAMDQ-OAHLLOKOSA-N 0 3 316.470 4.181 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2ccc(Cl)cn2)cs1 ZINC000274857711 130267448 /nfs/dbraw/zinc/26/74/48/130267448.db2.gz CJZUVSFTIJQOKA-LLVKDONJSA-N 0 3 309.866 4.208 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(Cl)cn1)c1ccco1 ZINC000274926738 130275520 /nfs/dbraw/zinc/27/55/20/130275520.db2.gz QATIJZBLOJXSQY-CZUORRHYSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1ncc([C@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)c(C)n1 ZINC000274985904 130281195 /nfs/dbraw/zinc/28/11/95/130281195.db2.gz KUTUPQZZTAGQBQ-ZBEGNZNMSA-N 0 3 323.362 4.090 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](NCc1cncc(F)c1)c1ccc(Cl)cc1 ZINC000275040675 130286559 /nfs/dbraw/zinc/28/65/59/130286559.db2.gz GVPGTNFGJSSEGS-NVGVWMPQSA-N 0 3 304.796 4.361 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H](Cc2ccccc2)C(C)C)cs1 ZINC000275275896 130301121 /nfs/dbraw/zinc/30/11/21/130301121.db2.gz CXSBUIMUFIOAOO-YOEHRIQHSA-N 0 3 318.486 4.207 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCC[C@@H]1NCc1ccno1 ZINC000275419841 130316459 /nfs/dbraw/zinc/31/64/59/130316459.db2.gz HMRXGJXZCDCNMJ-HIFRSBDPSA-N 0 3 310.319 4.119 20 0 DIADHN CN(C)C1(CNC(=O)N(c2ccccc2)c2ccccc2)CCC1 ZINC000275468029 130323233 /nfs/dbraw/zinc/32/32/33/130323233.db2.gz LCUKZHCTKBMYSN-UHFFFAOYSA-N 0 3 323.440 4.019 20 0 DIADHN CCSc1cc(CNCc2ccc3nc(C)ccc3c2)ccn1 ZINC000275685675 130364733 /nfs/dbraw/zinc/36/47/33/130364733.db2.gz ZVKMTMJLELDQGB-UHFFFAOYSA-N 0 3 323.465 4.340 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC[C@@](C)(c3ccccc3)C2)s1 ZINC000275708019 130368129 /nfs/dbraw/zinc/36/81/29/130368129.db2.gz LRMOPVOGHNOZAN-YLQAJVPDSA-N 0 3 301.459 4.008 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC[C@](C)(c3ccccc3)C2)s1 ZINC000275708012 130368384 /nfs/dbraw/zinc/36/83/84/130368384.db2.gz LRMOPVOGHNOZAN-NUTKFTJISA-N 0 3 301.459 4.008 20 0 DIADHN Cc1cccc2cc(C(=O)Nc3ccccc3CCN(C)C)oc21 ZINC000275850499 130398214 /nfs/dbraw/zinc/39/82/14/130398214.db2.gz NOTJZDUWQRHQHI-UHFFFAOYSA-N 0 3 322.408 4.098 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](C)c1ccc(Br)cn1 ZINC000279771181 130762634 /nfs/dbraw/zinc/76/26/34/130762634.db2.gz KGIRIPNBARJUCW-VXGBXAGGSA-N 0 3 323.234 4.109 20 0 DIADHN COCCC[C@H](NCc1cccc(OC)c1)c1ccc(F)cc1 ZINC000282012242 130896931 /nfs/dbraw/zinc/89/69/31/130896931.db2.gz RIGTUVWKMPIPEH-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccncc1F)c1ccsc1 ZINC000340178365 131000595 /nfs/dbraw/zinc/00/05/95/131000595.db2.gz ZQGDQTGZNVUODS-DYVFJYSZSA-N 0 3 321.465 4.016 20 0 DIADHN C[C@H](NCCCO[C@@H](C)c1ccccc1)c1ccncc1F ZINC000340178888 131008178 /nfs/dbraw/zinc/00/81/78/131008178.db2.gz CITNUYWABYJZML-GJZGRUSLSA-N 0 3 302.393 4.039 20 0 DIADHN CC[C@@H](NCc1ccc(C)s1)c1ccc(C(=O)OC)cc1 ZINC000340185453 131019106 /nfs/dbraw/zinc/01/91/06/131019106.db2.gz LSDQZZKWFJMXTP-MRXNPFEDSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@@H](c1nc(-c2cccc3ncccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000283759609 131019726 /nfs/dbraw/zinc/01/97/26/131019726.db2.gz BFZLGZJBAZSKRW-MJBXVCDLSA-N 0 3 322.412 4.219 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)c1cc[nH]c1C1CC1 ZINC000283858680 131029652 /nfs/dbraw/zinc/02/96/52/131029652.db2.gz GOJMFXKZEONRPH-UHFFFAOYSA-N 0 3 323.440 4.130 20 0 DIADHN Cc1ccsc1-c1nnc(SCC[C@@H]2CCCCN2C)o1 ZINC000284248737 131062872 /nfs/dbraw/zinc/06/28/72/131062872.db2.gz OFYGUBCUQMSQKW-LBPRGKRZSA-N 0 3 323.487 4.073 20 0 DIADHN CC[C@H](NCc1ccnc(OC(F)F)c1)c1ccc(F)cc1 ZINC000284428008 131080089 /nfs/dbraw/zinc/08/00/89/131080089.db2.gz ONXOCGRBQWTLHV-AWEZNQCLSA-N 0 3 310.319 4.063 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H](c2ccccc2)C2CCC2)cn1 ZINC000284432113 131080131 /nfs/dbraw/zinc/08/01/31/131080131.db2.gz SBOAZGNSXUPNPP-SFHVURJKSA-N 0 3 309.457 4.405 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000284475053 131084792 /nfs/dbraw/zinc/08/47/92/131084792.db2.gz UOEMZNGWAKBINU-HUUCEWRRSA-N 0 3 301.434 4.011 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2ccc(Cl)s2)c1 ZINC000284989348 131128812 /nfs/dbraw/zinc/12/88/12/131128812.db2.gz FBBSNZYROXWMNQ-JTQLQIEISA-N 0 3 324.833 4.431 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](C)c2cccc(C3CC3)c2)c1 ZINC000340195722 131130851 /nfs/dbraw/zinc/13/08/51/131130851.db2.gz BBYNPCVRWUCUSK-OAHLLOKOSA-N 0 3 322.452 4.165 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc3ncccc3c1)CCC2 ZINC000285057236 131134440 /nfs/dbraw/zinc/13/44/40/131134440.db2.gz ISVQSUUZVBPQPO-FQEVSTJZSA-N 0 3 304.393 4.108 20 0 DIADHN c1nc(CN2C[C@@H](c3ccccc3)[C@@H]3CCC[C@@H]32)oc1C1CC1 ZINC000285339413 131158980 /nfs/dbraw/zinc/15/89/80/131158980.db2.gz CPWGWQDGLNMPKQ-BZSNNMDCSA-N 0 3 308.425 4.320 20 0 DIADHN CCOc1cc(CNCc2ccc3[nH]c(C)cc3c2)ccc1OC ZINC000285427034 131167449 /nfs/dbraw/zinc/16/74/49/131167449.db2.gz HAMUJTSPRDXIQQ-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1ccc(CN(C)C)cc1 ZINC000285428527 131167759 /nfs/dbraw/zinc/16/77/59/131167759.db2.gz LLMLIWPCTWJJMZ-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN C[C@H](NC1CCC(C(F)(F)F)CC1)c1c(F)cncc1F ZINC000285567806 131181509 /nfs/dbraw/zinc/18/15/09/131181509.db2.gz GKTFFQQCFOOZPX-IDKOKCKLSA-N 0 3 308.294 4.132 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000285717169 131195678 /nfs/dbraw/zinc/19/56/78/131195678.db2.gz PWMCXFPKHYGQBS-PXAZEXFGSA-N 0 3 315.438 4.337 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1cncs1 ZINC000285821980 131204545 /nfs/dbraw/zinc/20/45/45/131204545.db2.gz XEKGAYQGEGOPIY-NZVBXONLSA-N 0 3 324.396 4.072 20 0 DIADHN Cc1csc([C@H](C)CN[C@H](c2ncc[nH]2)C2CCCCC2)n1 ZINC000285928250 131210271 /nfs/dbraw/zinc/21/02/71/131210271.db2.gz CTDMPKFYKFJRHE-DOMZBBRYSA-N 0 3 318.490 4.189 20 0 DIADHN CCS[C@H]1CCC[C@@H]1NCc1csc(-c2ccoc2)n1 ZINC000285958620 131212056 /nfs/dbraw/zinc/21/20/56/131212056.db2.gz DEQXOZBZQDAXCJ-KBPBESRZSA-N 0 3 308.472 4.167 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H](C)c2ccc(C)cc2)c1 ZINC000286100415 131219637 /nfs/dbraw/zinc/21/96/37/131219637.db2.gz NEYDRSORPFOPKJ-QWHCGFSZSA-N 0 3 303.427 4.255 20 0 DIADHN OC1CCC(N[C@@H](c2cccs2)c2ccc(Cl)cc2)CC1 ZINC000286159828 131223121 /nfs/dbraw/zinc/22/31/21/131223121.db2.gz PUDMSIUYEFXQJO-VMBOVVBDSA-N 0 3 321.873 4.384 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000286329777 131230677 /nfs/dbraw/zinc/23/06/77/131230677.db2.gz YJOFZXISQNTQTB-SJCJKPOMSA-N 0 3 316.449 4.029 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)c1 ZINC000286354846 131232439 /nfs/dbraw/zinc/23/24/39/131232439.db2.gz ZKXQGQGSNWACJP-FDQGKXFDSA-N 0 3 316.832 4.224 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccccc2-n2ccnn2)ccc1F ZINC000286354866 131232550 /nfs/dbraw/zinc/23/25/50/131232550.db2.gz ZLAFBVPIJRHUDB-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN OCCC[C@H](NC/C=C/c1ccc(F)c(F)c1)c1ccccc1 ZINC000286451605 131237178 /nfs/dbraw/zinc/23/71/78/131237178.db2.gz JVLPHJJANIYBMF-OQBYNLHGSA-N 0 3 317.379 4.081 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)CCOCc2ccccc2)cs1 ZINC000286932485 131256548 /nfs/dbraw/zinc/25/65/48/131256548.db2.gz GHVBGYWJEWMCKA-KGLIPLIRSA-N 0 3 304.459 4.098 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000287065692 131262221 /nfs/dbraw/zinc/26/22/21/131262221.db2.gz NTNDTNCWWOCPKQ-PXAZEXFGSA-N 0 3 307.796 4.253 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000287201823 131268900 /nfs/dbraw/zinc/26/89/00/131268900.db2.gz WIGULDQPMSVISP-ZWKOTPCHSA-N 0 3 301.817 4.041 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1cccc(-n2ccnn2)c1 ZINC000287687771 131294286 /nfs/dbraw/zinc/29/42/86/131294286.db2.gz MZJUBOGEAUTWPF-SUMWQHHRSA-N 0 3 324.453 4.057 20 0 DIADHN Clc1ccccc1-c1ccc(CNCC2=CCCOC2)o1 ZINC000288523307 131329049 /nfs/dbraw/zinc/32/90/49/131329049.db2.gz UXVRUHKLSXIIKK-UHFFFAOYSA-N 0 3 303.789 4.036 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H](c2ccccc2)C2CCCC2)s1 ZINC000289044701 131353972 /nfs/dbraw/zinc/35/39/72/131353972.db2.gz AYMSWRZPHFKWEA-GUYCJALGSA-N 0 3 316.470 4.218 20 0 DIADHN CCCN(Cc1cnc([C@H](C)O)s1)[C@@H]1CCc2ccccc21 ZINC000289060817 131355308 /nfs/dbraw/zinc/35/53/08/131355308.db2.gz JAHKXWFQEYGBSC-SUMWQHHRSA-N 0 3 316.470 4.096 20 0 DIADHN C[C@@H](NCc1ccc([S@@](C)=O)cc1)c1cccc(C2CC2)c1 ZINC000340304273 131358194 /nfs/dbraw/zinc/35/81/94/131358194.db2.gz TYBOYVDTCNZBQN-JLCFBVMHSA-N 0 3 313.466 4.152 20 0 DIADHN OCC[C@@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000289130870 131360599 /nfs/dbraw/zinc/36/05/99/131360599.db2.gz DZBLTQRNTOYLFM-UYAOXDASSA-N 0 3 320.436 4.259 20 0 DIADHN O[C@H](c1ccccc1)[C@@H](NCCC(F)(F)F)c1ccccc1 ZINC000289136550 131360899 /nfs/dbraw/zinc/36/08/99/131360899.db2.gz YEOYEEWOVKLCBD-JKSUJKDBSA-N 0 3 309.331 4.003 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H](C)CC(C)(C)c2ccccc2)s1 ZINC000289238540 131367864 /nfs/dbraw/zinc/36/78/64/131367864.db2.gz KWRPKIMKXMGKLM-KBPBESRZSA-N 0 3 318.486 4.042 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)cnn1C ZINC000289326400 131374232 /nfs/dbraw/zinc/37/42/32/131374232.db2.gz VFZZLIJQGLXZMX-ORAYPTAESA-N 0 3 322.456 4.246 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@@H](c2ccncc2)C1 ZINC000289321509 131374439 /nfs/dbraw/zinc/37/44/39/131374439.db2.gz KCLBXCMMRTWCSL-GOEBONIOSA-N 0 3 307.397 4.163 20 0 DIADHN CSc1ccc(CN[C@@H](c2cccs2)C(C)(C)CO)cc1 ZINC000289392862 131382531 /nfs/dbraw/zinc/38/25/31/131382531.db2.gz CVVXMSJGYJMTSB-INIZCTEOSA-N 0 3 321.511 4.319 20 0 DIADHN CSc1ccc(CN[C@H](c2cccs2)C(C)(C)CO)cc1 ZINC000289393920 131382580 /nfs/dbraw/zinc/38/25/80/131382580.db2.gz CVVXMSJGYJMTSB-MRXNPFEDSA-N 0 3 321.511 4.319 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H](C)c2ccc(OC)c(O)c2)c1 ZINC000289577267 131396935 /nfs/dbraw/zinc/39/69/35/131396935.db2.gz DPPVUXBSWYCRHA-UONOGXRCSA-N 0 3 315.413 4.211 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289579048 131398469 /nfs/dbraw/zinc/39/84/69/131398469.db2.gz AJQDVHOYAZNSHP-VNHYZAJKSA-N 0 3 318.486 4.116 20 0 DIADHN c1cc2cc(CNCc3ccc(N4CCCC4)nc3)ccc2s1 ZINC000289909362 131432707 /nfs/dbraw/zinc/43/27/07/131432707.db2.gz RNYDOGXRXBDZNC-UHFFFAOYSA-N 0 3 323.465 4.186 20 0 DIADHN Cc1cnc([C@H](C)CNCc2ccnc(Cl)c2Cl)s1 ZINC000290865924 131523344 /nfs/dbraw/zinc/52/33/44/131523344.db2.gz KXULQMPKLYOORF-MRVPVSSYSA-N 0 3 316.257 4.047 20 0 DIADHN C[C@H](NC[C@H]1CCCCC1(F)F)c1nc2ccccc2n1C ZINC000295706246 131916491 /nfs/dbraw/zinc/91/64/91/131916491.db2.gz JTLMKNJQNIADQS-QWHCGFSZSA-N 0 3 307.388 4.049 20 0 DIADHN Cc1ncc([C@@H](C)NC2CC(c3ccccc3Cl)C2)c(C)n1 ZINC000340531227 131944675 /nfs/dbraw/zinc/94/46/75/131944675.db2.gz JOGNYJRGAPMEOI-LRVUVFPRSA-N 0 3 315.848 4.344 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000296563069 131971759 /nfs/dbraw/zinc/97/17/59/131971759.db2.gz SKEHGQQPJIZXPH-BZNIZROVSA-N 0 3 306.331 4.124 20 0 DIADHN C[C@@H](NCCCCCCO)c1ccc(Oc2ccccc2)cn1 ZINC000297129321 132000927 /nfs/dbraw/zinc/00/09/27/132000927.db2.gz YXPNRIMXHSBZAS-MRXNPFEDSA-N 0 3 314.429 4.077 20 0 DIADHN C[C@H](NCCCCCCO)c1ccc(Oc2ccccc2)cn1 ZINC000297129315 132001110 /nfs/dbraw/zinc/00/11/10/132001110.db2.gz YXPNRIMXHSBZAS-INIZCTEOSA-N 0 3 314.429 4.077 20 0 DIADHN C[C@@H](NCC[C@H](C)CCO)c1csc(-c2ccccc2F)n1 ZINC000297195767 132003683 /nfs/dbraw/zinc/00/36/83/132003683.db2.gz FRPZVMMWBDAZMU-QWHCGFSZSA-N 0 3 322.449 4.008 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(Oc2ccccc2)cn1)C(C)(C)O ZINC000297442530 132015711 /nfs/dbraw/zinc/01/57/11/132015711.db2.gz WGQWHDJQPIIZAV-RDTXWAMCSA-N 0 3 314.429 4.074 20 0 DIADHN COCC1CCN(Cc2ccc([C@@H](C)C(F)(F)F)cc2)CC1 ZINC000297536938 132021200 /nfs/dbraw/zinc/02/12/00/132021200.db2.gz PQTXFMXWYKUYIM-CYBMUJFWSA-N 0 3 315.379 4.211 20 0 DIADHN c1cn2c(n1)[C@H](NCC1(Sc3ccccc3)CCCC1)CC2 ZINC000297674396 132028622 /nfs/dbraw/zinc/02/86/22/132028622.db2.gz LELGTHRECWZTFB-MRXNPFEDSA-N 0 3 313.470 4.023 20 0 DIADHN Clc1ccc2c(n1)CCC[C@H]2NCc1cccc2cccnc21 ZINC000297831194 132036092 /nfs/dbraw/zinc/03/60/92/132036092.db2.gz RUEMVSYLPVUFEE-MRXNPFEDSA-N 0 3 323.827 4.450 20 0 DIADHN c1ccc(N2CCCCCC2)c(NC2CCN(C3CC3)CC2)c1 ZINC000297928726 132040453 /nfs/dbraw/zinc/04/04/53/132040453.db2.gz UHRPZXVLMBSZEK-UHFFFAOYSA-N 0 3 313.489 4.106 20 0 DIADHN CCOC1(C)CCN([C@H](C)c2ccc(OC(F)F)cc2)CC1 ZINC000298316335 132055817 /nfs/dbraw/zinc/05/58/17/132055817.db2.gz QMMGVZPCVGQSPE-CYBMUJFWSA-N 0 3 313.388 4.240 20 0 DIADHN CC[C@H]([NH2+]Cc1cc(=O)oc2c(C)c([O-])ccc12)c1ccccc1 ZINC000299433376 132105726 /nfs/dbraw/zinc/10/57/26/132105726.db2.gz VOIHKKRNJJHEOR-KRWDZBQOSA-N 0 3 323.392 4.048 20 0 DIADHN CC(C)c1nc(C2CCN(CCCc3ccccc3)CC2)no1 ZINC000299669785 132114718 /nfs/dbraw/zinc/11/47/18/132114718.db2.gz OTIGOWHRZRODEU-UHFFFAOYSA-N 0 3 313.445 4.005 20 0 DIADHN CC(C)[C@H](NCc1ccnc(N)c1)c1cccc(C(F)(F)F)c1 ZINC000299677546 132115903 /nfs/dbraw/zinc/11/59/03/132115903.db2.gz MTUHGJOHSZNCGJ-INIZCTEOSA-N 0 3 323.362 4.170 20 0 DIADHN C[C@H]1C[C@@H](NCCCNc2ccccn2)c2ccsc2S1 ZINC000163810251 132123183 /nfs/dbraw/zinc/12/31/83/132123183.db2.gz VUJQWDGJAZIHFK-GXTWGEPZSA-N 0 3 319.499 4.160 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](C)c3ccc(C)cc3)c(C)n2n1 ZINC000119636470 132134922 /nfs/dbraw/zinc/13/49/22/132134922.db2.gz BPUINAMKGFVWSJ-GJZGRUSLSA-N 0 3 308.429 4.066 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OC(F)(F)F)cc1)c1ccncc1 ZINC000120249691 132142883 /nfs/dbraw/zinc/14/28/83/132142883.db2.gz QJEIUNCHARGZBZ-NWDGAFQWSA-N 0 3 310.319 4.392 20 0 DIADHN CCOc1ccc(CNCc2ccc(Br)cc2)cc1 ZINC000042352239 132152229 /nfs/dbraw/zinc/15/22/29/132152229.db2.gz HQIMDMNUDFVFQV-UHFFFAOYSA-N 0 3 320.230 4.138 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000340692958 132157059 /nfs/dbraw/zinc/15/70/59/132157059.db2.gz XHVANBRUKZWUCW-HNNXBMFYSA-N 0 3 317.433 4.491 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](c3ccccc3)C(C)C)c(C)n2n1 ZINC000121632255 132157105 /nfs/dbraw/zinc/15/71/05/132157105.db2.gz LBAHEDAOUKFVNS-FOIQADDNSA-N 0 3 322.456 4.394 20 0 DIADHN COc1cc(CN(CCCSCC(C)C)C2CC2)ccc1O ZINC000340773078 132187121 /nfs/dbraw/zinc/18/71/21/132187121.db2.gz KWCPKKVJOFTPLS-UHFFFAOYSA-N 0 3 323.502 4.145 20 0 DIADHN Cc1sc(CCNCc2cccc(O)c2)nc1-c1ccccc1 ZINC000152414129 335068607 /nfs/dbraw/zinc/06/86/07/335068607.db2.gz ZDQDIVGJUYQXQX-UHFFFAOYSA-N 0 3 324.449 4.156 20 0 DIADHN C[C@@H](NC1CCC(C)CC1)c1nc(-c2cccc(F)c2)no1 ZINC000184639903 335082266 /nfs/dbraw/zinc/08/22/66/335082266.db2.gz IVIGOUAZTUROIU-MQYJIDSJSA-N 0 3 303.381 4.105 20 0 DIADHN CC(C)c1ccc(CN(C)Cc2ccccc2C(=O)N(C)C)cc1 ZINC000417742417 335085470 /nfs/dbraw/zinc/08/54/70/335085470.db2.gz KDZUMQPNSNSIAS-UHFFFAOYSA-N 0 3 324.468 4.144 20 0 DIADHN FC(F)c1cccc(CN2CC3(CCC3)[C@H]2C2CCOCC2)c1 ZINC000425557979 335089482 /nfs/dbraw/zinc/08/94/82/335089482.db2.gz WYVFNNOGUGHWTI-QGZVFWFLSA-N 0 3 321.411 4.405 20 0 DIADHN Cc1sccc1CN[C@H](c1ccc2c(c1)OCCO2)C(C)C ZINC000570616542 332781964 /nfs/dbraw/zinc/78/19/64/332781964.db2.gz VEKVQWZBXQMEAG-SFHVURJKSA-N 0 3 317.454 4.315 20 0 DIADHN Cc1sccc1CN[C@@H](c1ccc2c(c1)OCCO2)C(C)C ZINC000570616541 332782127 /nfs/dbraw/zinc/78/21/27/332782127.db2.gz VEKVQWZBXQMEAG-GOSISDBHSA-N 0 3 317.454 4.315 20 0 DIADHN Cc1cccc2ncc(CNC(C)(C)c3cccc(Cl)c3)n21 ZINC000531141923 332792727 /nfs/dbraw/zinc/79/27/27/332792727.db2.gz XIXATISFOWCHPH-UHFFFAOYSA-N 0 3 313.832 4.321 20 0 DIADHN CCOCC(C)(C)NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000570700903 332803486 /nfs/dbraw/zinc/80/34/86/332803486.db2.gz BXDULSAJHPUULN-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN CC[C@H](CN[C@H](Cc1cccnc1)c1ccc(Cl)cc1)OC ZINC000570763927 332812537 /nfs/dbraw/zinc/81/25/37/332812537.db2.gz MEMMZNUKLOASIO-QZTJIDSGSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2ccc(Br)cc2)c1 ZINC000570832919 332826660 /nfs/dbraw/zinc/82/66/60/332826660.db2.gz CXKHZYLHPXROGR-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)cc1OC ZINC000537980357 332853073 /nfs/dbraw/zinc/85/30/73/332853073.db2.gz RZAAAGOBWGJCSR-PJSUUKDQSA-N 0 3 311.425 4.288 20 0 DIADHN Cc1ccc([C@H](CNc2ccnc3ccsc32)N(C)C)cc1 ZINC000462376395 332868444 /nfs/dbraw/zinc/86/84/44/332868444.db2.gz QOXHAQWAPSDRIL-KRWDZBQOSA-N 0 3 311.454 4.320 20 0 DIADHN Fc1ccc(CN[C@H]2CCO[C@H]2C2CC2)cc1-c1cccs1 ZINC000318549152 332904550 /nfs/dbraw/zinc/90/45/50/332904550.db2.gz KLWMPBHPFUUSIK-WMZOPIPTSA-N 0 3 317.429 4.211 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3F)cc1F ZINC000317141149 331464699 /nfs/dbraw/zinc/46/46/99/331464699.db2.gz ONUJCRMXAKUQJM-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCC(C)(C)c2cccs2)c1 ZINC000317174481 331471197 /nfs/dbraw/zinc/47/11/97/331471197.db2.gz WSBZATWAHHDANP-CYBMUJFWSA-N 0 3 319.470 4.394 20 0 DIADHN COc1ccc2nc(CN[C@@H](C)Cc3ccsc3)sc2c1 ZINC000530494311 331496214 /nfs/dbraw/zinc/49/62/14/331496214.db2.gz RBKMVWQOBLPCNU-NSHDSACASA-N 0 3 318.467 4.087 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000583985179 331515197 /nfs/dbraw/zinc/51/51/97/331515197.db2.gz WCHONYNAZBXLRM-BFHYXJOUSA-N 0 3 303.299 4.053 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1cc2c(s1)CCC2 ZINC000537946924 331520677 /nfs/dbraw/zinc/52/06/77/331520677.db2.gz ARQOJWPVFMNKFD-DYVFJYSZSA-N 0 3 312.482 4.074 20 0 DIADHN CC(C)(C)C[C@@H](CO)N[C@@H]1CC2(CCCC2)Oc2ccccc21 ZINC000537947087 331521395 /nfs/dbraw/zinc/52/13/95/331521395.db2.gz HQQLQZINROIBSG-DOTOQJQBSA-N 0 3 317.473 4.210 20 0 DIADHN CC(C)(C)C[C@H](CO)N[C@@H]1CC2(CCCC2)Oc2ccccc21 ZINC000537947088 331521446 /nfs/dbraw/zinc/52/14/46/331521446.db2.gz HQQLQZINROIBSG-NVXWUHKLSA-N 0 3 317.473 4.210 20 0 DIADHN C[C@@H](NCCSCC(F)(F)F)c1cc(F)c(F)c(F)c1 ZINC000537949551 331561589 /nfs/dbraw/zinc/56/15/89/331561589.db2.gz LAQRDPAWWCWQIF-SSDOTTSWSA-N 0 3 317.298 4.050 20 0 DIADHN Cc1noc(C)c1CN[C@@H](CSc1ccccc1)C(C)C ZINC000180233577 331564324 /nfs/dbraw/zinc/56/43/24/331564324.db2.gz WJCHEWOGKMNZMH-KRWDZBQOSA-N 0 3 304.459 4.198 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CO)CCC(C)(C)C)cc1Cl ZINC000530529567 331572255 /nfs/dbraw/zinc/57/22/55/331572255.db2.gz KVNZJJICDANNCR-GXTWGEPZSA-N 0 3 313.869 4.186 20 0 DIADHN CC(C)CSCCCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000537950708 331586606 /nfs/dbraw/zinc/58/66/06/331586606.db2.gz JHDJHMSULXCXMC-CYBMUJFWSA-N 0 3 312.548 4.452 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Br)ccc21)c1cccnc1 ZINC000040226497 331618524 /nfs/dbraw/zinc/61/85/24/331618524.db2.gz LKXIUGILAVHZGR-BZNIZROVSA-N 0 3 317.230 4.182 20 0 DIADHN CC(C)SCCN[C@@H]1CCc2sc(Br)cc21 ZINC000309306469 331649125 /nfs/dbraw/zinc/64/91/25/331649125.db2.gz ZLWFQDJJBKYVIH-SNVBAGLBSA-N 0 3 320.321 4.229 20 0 DIADHN Brc1sccc1CN[C@@H]1CCC12CCCC2 ZINC000309587978 331683341 /nfs/dbraw/zinc/68/33/41/331683341.db2.gz XAGBGWRIEIOHEI-LLVKDONJSA-N 0 3 300.265 4.323 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000584063703 331659102 /nfs/dbraw/zinc/65/91/02/331659102.db2.gz ZMOULDIEVNKKDE-CPOIFUIOSA-N 0 3 315.848 4.486 20 0 DIADHN COc1cc(CNCc2sccc2C)ccc1OC(C)C ZINC000075675458 331672423 /nfs/dbraw/zinc/67/24/23/331672423.db2.gz LZWRVVZVTFMSRE-UHFFFAOYSA-N 0 3 305.443 4.142 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(OC)c(O)c2)C1(CC)CC ZINC000564424756 331672695 /nfs/dbraw/zinc/67/26/95/331672695.db2.gz YNBRZUAKXCYCBI-FSPWUOQZSA-N 0 3 321.461 4.035 20 0 DIADHN F[C@H]1CC[C@@H](NCc2ccc(Br)cc2Cl)C1 ZINC000309495915 331677413 /nfs/dbraw/zinc/67/74/13/331677413.db2.gz KDCGSWMXFGYCLU-WDEREUQCSA-N 0 3 306.606 4.083 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000078971197 331678469 /nfs/dbraw/zinc/67/84/69/331678469.db2.gz STIFDZKPHOMWAO-GHMZBOCLSA-N 0 3 305.324 4.105 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2cc(F)cc(F)c2)cc1 ZINC000533756183 331681165 /nfs/dbraw/zinc/68/11/65/331681165.db2.gz LVMLYSMSQARUFI-MOPGFXCFSA-N 0 3 317.379 4.283 20 0 DIADHN Brc1ccsc1CN[C@@H]1CCC12CCCC2 ZINC000309561421 331681529 /nfs/dbraw/zinc/68/15/29/331681529.db2.gz OVLDALRDXFKCBV-GFCCVEGCSA-N 0 3 300.265 4.323 20 0 DIADHN CC(C)n1cc(CNCC(C)(C)c2ccc(F)cc2Cl)cn1 ZINC000556386274 331685493 /nfs/dbraw/zinc/68/54/93/331685493.db2.gz MGMVOALLAJGMQK-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1sccc1Br ZINC000309776147 331705167 /nfs/dbraw/zinc/70/51/67/331705167.db2.gz LRYONORDVOCQHV-ICCXJUOJSA-N 0 3 300.265 4.179 20 0 DIADHN FC1(c2cccnc2)CCN(CCSC2CCCCC2)CC1 ZINC000556545569 331714864 /nfs/dbraw/zinc/71/48/64/331714864.db2.gz QIXCTZRRDRMOFQ-UHFFFAOYSA-N 0 3 322.493 4.408 20 0 DIADHN CCc1ccc2occ(C(=O)Nc3ccccc3CN(C)C)c2c1 ZINC000556782707 331731749 /nfs/dbraw/zinc/73/17/49/331731749.db2.gz RJGOXSWNSKYQQA-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN CC(C)c1ccc(CN[C@@H](C)c2ccccc2-n2ccnn2)cc1 ZINC000556872962 331745883 /nfs/dbraw/zinc/74/58/83/331745883.db2.gz RPGXTHVYDMRMFU-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN COC1(CN[C@H](c2ncc[nH]2)C2CCCCC2)CCC(C)CC1 ZINC000556879571 331747148 /nfs/dbraw/zinc/74/71/48/331747148.db2.gz FSFGLLSZUVXANO-RMXPPQQLSA-N 0 3 319.493 4.216 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CCC12CCCC2 ZINC000556913331 331752855 /nfs/dbraw/zinc/75/28/55/331752855.db2.gz YUOUTWSYGYAROP-SFHVURJKSA-N 0 3 302.437 4.238 20 0 DIADHN Cc1cccc(CCNCc2ccccc2Br)c1 ZINC000051668942 331757898 /nfs/dbraw/zinc/75/78/98/331757898.db2.gz CUJKKXPMJMXHHT-UHFFFAOYSA-N 0 3 304.231 4.090 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCCC1)c1ccc(F)cc1 ZINC000557099308 331769793 /nfs/dbraw/zinc/76/97/93/331769793.db2.gz WYNDOMGZLFLWNV-CRAIPNDOSA-N 0 3 316.420 4.297 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCCC1)c1ccc(F)cc1 ZINC000557099311 331769912 /nfs/dbraw/zinc/76/99/12/331769912.db2.gz WYNDOMGZLFLWNV-YJBOKZPZSA-N 0 3 316.420 4.297 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)NC1CCCC1)c1ccc(Cl)cc1 ZINC000557655123 331806819 /nfs/dbraw/zinc/80/68/19/331806819.db2.gz XQTNIHXUPAUPTI-SUMWQHHRSA-N 0 3 322.880 4.074 20 0 DIADHN C[C@H](NCCc1cnn(C)c1)c1cc2c(ccc3ccccc23)o1 ZINC000557770381 331814860 /nfs/dbraw/zinc/81/48/60/331814860.db2.gz ZCXRBYMKFTVKFF-AWEZNQCLSA-N 0 3 319.408 4.213 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@H]3CCCC[C@H]3O)oc21 ZINC000557783181 331815812 /nfs/dbraw/zinc/81/58/12/331815812.db2.gz SRWQXWBYGHACSO-NUEKZKHPSA-N 0 3 317.429 4.033 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccncc2C)cc1OC ZINC000565873381 331839606 /nfs/dbraw/zinc/83/96/06/331839606.db2.gz GNUGVYNAKXDWFI-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN Fc1ccc(F)c(CNC2CC(c3cc(F)cc(F)c3)C2)c1 ZINC000584139933 331841629 /nfs/dbraw/zinc/84/16/29/331841629.db2.gz KHKQQNKSRFSJAY-UHFFFAOYSA-N 0 3 309.306 4.279 20 0 DIADHN C[C@@H](N[C@H]1CCCC12CCC2)c1nc(-c2cccc(F)c2)no1 ZINC000584212650 331868800 /nfs/dbraw/zinc/86/88/00/331868800.db2.gz YLIGSOPVOPQBKJ-DOMZBBRYSA-N 0 3 315.392 4.249 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@H]2NCc2cncc(F)c2)c1 ZINC000558117299 331877879 /nfs/dbraw/zinc/87/78/79/331877879.db2.gz PGYZVSNTBDFXOX-MOPGFXCFSA-N 0 3 314.404 4.009 20 0 DIADHN Cc1ccc(-c2ccc(CNCCCc3nccs3)o2)cc1 ZINC000558193470 331883288 /nfs/dbraw/zinc/88/32/88/331883288.db2.gz YMTMPJXDLWMSIZ-UHFFFAOYSA-N 0 3 312.438 4.434 20 0 DIADHN CC[C@@H]1CC[C@H]1NCc1cccnc1Oc1cccc(F)c1 ZINC000558226541 331885027 /nfs/dbraw/zinc/88/50/27/331885027.db2.gz PNWIIJDDYPFCCG-CXAGYDPISA-N 0 3 300.377 4.291 20 0 DIADHN COC1(CN[C@H](C)c2ccncc2)CCC(c2ccccc2)CC1 ZINC000558261137 331890843 /nfs/dbraw/zinc/89/08/43/331890843.db2.gz ZGJMLLXBSCBSCT-MDMXATFFSA-N 0 3 324.468 4.475 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@H](C)c1nccc2ccccc21 ZINC000558493279 331912984 /nfs/dbraw/zinc/91/29/84/331912984.db2.gz NCOSIGHFCBJYAM-BEFAXECRSA-N 0 3 300.446 4.073 20 0 DIADHN CC(C)(CNCc1sccc1Br)C(F)(F)F ZINC000381144123 331948942 /nfs/dbraw/zinc/94/89/42/331948942.db2.gz NFKGFTWXZCNVGA-UHFFFAOYSA-N 0 3 316.186 4.189 20 0 DIADHN CCCC(=O)Nc1cccc(CN[C@H]2CS[C@@H](C(C)C)C2)c1 ZINC000558989789 331964757 /nfs/dbraw/zinc/96/47/57/331964757.db2.gz UIDVITTZCBUBND-IAGOWNOFSA-N 0 3 320.502 4.045 20 0 DIADHN CC(C)n1cncc1CNCCc1ccc(Cl)cc1Cl ZINC000090207529 331988388 /nfs/dbraw/zinc/98/83/88/331988388.db2.gz UQJGXAWAVAGXCF-UHFFFAOYSA-N 0 3 312.244 4.103 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2cnc(-c3ccsc3)s2)C1(C)C ZINC000531001161 331990599 /nfs/dbraw/zinc/99/05/99/331990599.db2.gz FDRZMSUNIBSGET-CZUORRHYSA-N 0 3 322.499 4.165 20 0 DIADHN CC(C)[C@H](Nc1cccc(CN2CCSCC2)c1)C1CC1 ZINC000539687488 331990633 /nfs/dbraw/zinc/99/06/33/331990633.db2.gz FDNSZIQTACWOCW-SFHVURJKSA-N 0 3 304.503 4.082 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccccc2)cc(OC)c1OC ZINC000127247731 332000622 /nfs/dbraw/zinc/00/06/22/332000622.db2.gz IMPNFUOQNQDWPG-UONOGXRCSA-N 0 3 315.413 4.124 20 0 DIADHN c1cc(-c2ccccc2)oc1CNCCn1cnc2ccccc21 ZINC000518266374 332002982 /nfs/dbraw/zinc/00/29/82/332002982.db2.gz SKCCWILPLYQQKY-UHFFFAOYSA-N 0 3 317.392 4.086 20 0 DIADHN CCOc1ccccc1CNCCCSc1ccccc1 ZINC000518272180 332004167 /nfs/dbraw/zinc/00/41/67/332004167.db2.gz UQSIBNZWELLMSI-UHFFFAOYSA-N 0 3 301.455 4.357 20 0 DIADHN COCCC1(CN[C@@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC000090536180 332005196 /nfs/dbraw/zinc/00/51/96/332005196.db2.gz DOGFVQZDSKOGRK-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN COCCC1(CN[C@H](C)c2cccc(Cl)c2Cl)CC1 ZINC000090536707 332005371 /nfs/dbraw/zinc/00/53/71/332005371.db2.gz JTXAEQGMEBXZQZ-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN CC(C)COc1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC000518277598 332005513 /nfs/dbraw/zinc/00/55/13/332005513.db2.gz YGNXDLFPZLQRCI-UHFFFAOYSA-N 0 3 323.436 4.287 20 0 DIADHN CCCC[C@H](CC)C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000518290649 332007748 /nfs/dbraw/zinc/00/77/48/332007748.db2.gz KNMGBECVSMMAIQ-SJORKVTESA-N 0 3 318.505 4.448 20 0 DIADHN CCc1nocc1CNCC1(c2c(F)cccc2F)CCCC1 ZINC000559258275 332008086 /nfs/dbraw/zinc/00/80/86/332008086.db2.gz PZKWVCYIEHPXGU-UHFFFAOYSA-N 0 3 320.383 4.117 20 0 DIADHN Clc1ccc(Br)c(CN[C@@H]2CC=CCC2)c1 ZINC000085220778 332009880 /nfs/dbraw/zinc/00/98/80/332009880.db2.gz DGIFEYCOUUKOHQ-GFCCVEGCSA-N 0 3 300.627 4.301 20 0 DIADHN Cc1cccc(C)c1CCNCc1conc1Cc1ccccc1 ZINC000559281725 332015316 /nfs/dbraw/zinc/01/53/16/332015316.db2.gz OIYUAYHMROKFDZ-UHFFFAOYSA-N 0 3 320.436 4.215 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](CO)SC)c1ccc(Cl)c(Cl)c1 ZINC000223879308 332019545 /nfs/dbraw/zinc/01/95/45/332019545.db2.gz MWOUUCRUQKCDJB-BIGNPOOSSA-N 0 3 322.301 4.147 20 0 DIADHN Cc1nocc1CNCC1(c2cccc(Cl)c2F)CCCC1 ZINC000559300133 332020649 /nfs/dbraw/zinc/02/06/49/332020649.db2.gz KZQBSLPNNGOBTC-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN Cc1cccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000559306294 332021147 /nfs/dbraw/zinc/02/11/47/332021147.db2.gz DZUVDIPSWKEFTM-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1)c1ccc(-n2cccn2)c(F)c1 ZINC000559308483 332021882 /nfs/dbraw/zinc/02/18/82/332021882.db2.gz NKNHOVOYMQRKAC-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN COC1(CCNCc2ccccc2OC2CCCC2)CCC1 ZINC000559313988 332023235 /nfs/dbraw/zinc/02/32/35/332023235.db2.gz CAXAOPBZPVDMGA-UHFFFAOYSA-N 0 3 303.446 4.057 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)s1 ZINC000559333220 332027939 /nfs/dbraw/zinc/02/79/39/332027939.db2.gz JBCYWECRCYQECG-ZDUSSCGKSA-N 0 3 315.417 4.232 20 0 DIADHN CC(C)N(Cc1cccnc1)C[C@@H]1CCC2(CCCCC2)O1 ZINC000409447280 332031394 /nfs/dbraw/zinc/03/13/94/332031394.db2.gz UHRVWDVPUGGBPV-SFHVURJKSA-N 0 3 302.462 4.174 20 0 DIADHN CC(C)[C@H](NCc1cncc(Br)c1)c1ccccc1 ZINC000131647371 332031778 /nfs/dbraw/zinc/03/17/78/332031778.db2.gz QBLKXKJGYVJYPK-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN COC(C)(C)CCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000409446856 332032542 /nfs/dbraw/zinc/03/25/42/332032542.db2.gz NNWLERZASLLHMC-CQSZACIVSA-N 0 3 304.459 4.248 20 0 DIADHN CC[C@@H](CCO)N[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131827675 332038993 /nfs/dbraw/zinc/03/89/93/332038993.db2.gz XDFKJNZCHSWVMO-BDAKNGLRSA-N 0 3 310.652 4.458 20 0 DIADHN CC[C@@H](CCO)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131827230 332039023 /nfs/dbraw/zinc/03/90/23/332039023.db2.gz XDFKJNZCHSWVMO-IUCAKERBSA-N 0 3 310.652 4.458 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1ccc(Cl)s1 ZINC000426307335 332041385 /nfs/dbraw/zinc/04/13/85/332041385.db2.gz QDEUMNLZHVFXLV-RYUDHWBXSA-N 0 3 324.852 4.470 20 0 DIADHN CCCn1nccc1C1CCN(c2ccnc3ccccc32)CC1 ZINC000409642964 332041821 /nfs/dbraw/zinc/04/18/21/332041821.db2.gz AFSXBFFVVLFQIT-UHFFFAOYSA-N 0 3 320.440 4.225 20 0 DIADHN CCCOc1c(CNCCc2ccccc2)cccc1OCC ZINC000409646418 332043651 /nfs/dbraw/zinc/04/36/51/332043651.db2.gz DXGZDDDVQUEEKH-UHFFFAOYSA-N 0 3 313.441 4.206 20 0 DIADHN CC(C(=O)N1CCc2cc(-c3ccccc3)ccc21)C(F)(F)F ZINC000409674248 332046480 /nfs/dbraw/zinc/04/64/80/332046480.db2.gz CQHAIWXSZMUQKK-LBPRGKRZSA-N 0 3 319.326 4.441 20 0 DIADHN CCc1nc(C)c(CN[C@@H](CSc2ccccc2)C(C)C)o1 ZINC000426321299 332052574 /nfs/dbraw/zinc/05/25/74/332052574.db2.gz CSEAIDIHJJDCQO-INIZCTEOSA-N 0 3 318.486 4.452 20 0 DIADHN CCN(CC(=O)N[C@@H](c1ccc(F)cc1)C(C)C)[C@H](C)C(C)C ZINC000520059785 332053179 /nfs/dbraw/zinc/05/31/79/332053179.db2.gz SKQAEFHFPOXATK-DNVCBOLYSA-N 0 3 322.468 4.005 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCC[C@@H]3C)n2)ccc1F ZINC000409872749 332062192 /nfs/dbraw/zinc/06/21/92/332062192.db2.gz CJLWDLUBAPPJTG-WBIUFABUSA-N 0 3 303.381 4.023 20 0 DIADHN CC[C@@H](NC[C@@H](OC)C1CC1)c1ccc(Cl)cc1Cl ZINC000381240037 332064887 /nfs/dbraw/zinc/06/48/87/332064887.db2.gz RTTKCOURODNQJL-HUUCEWRRSA-N 0 3 302.245 4.459 20 0 DIADHN CCN(Cc1ccc(C(=O)N[C@@H](C)c2ccccc2)cc1)C1CC1 ZINC000409940727 332066301 /nfs/dbraw/zinc/06/63/01/332066301.db2.gz CTRKVXMNUYDORB-INIZCTEOSA-N 0 3 322.452 4.162 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CC(=O)Nc1ccc(C(C)C)cc1 ZINC000426349938 332069193 /nfs/dbraw/zinc/06/91/93/332069193.db2.gz WFTYLTMVBYFQFA-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(O)c2)ccc1Br ZINC000091469510 332070008 /nfs/dbraw/zinc/07/00/08/332070008.db2.gz SITYEHHGLRPOSO-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCc1cccc(OC(F)(F)F)c1 ZINC000091704061 332080752 /nfs/dbraw/zinc/08/07/52/332080752.db2.gz JNBXGHJIKHKISN-CYBMUJFWSA-N 0 3 324.346 4.216 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCCSCc1ccccc1 ZINC000091703764 332080934 /nfs/dbraw/zinc/08/09/34/332080934.db2.gz PSYABZIWMXNOIB-INIZCTEOSA-N 0 3 300.471 4.398 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3cnc(-c4ccco4)s3)C2)C1 ZINC000426155350 332087120 /nfs/dbraw/zinc/08/71/20/332087120.db2.gz WTVVGXVDADTEHC-CXAGYDPISA-N 0 3 302.443 4.415 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C1(CC)CCCCC1)c1ccco1 ZINC000569493933 332104015 /nfs/dbraw/zinc/10/40/15/332104015.db2.gz BHBHXOIQMPGWPP-CVEARBPZSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cnc3ccccc3c2)cc1 ZINC000410310656 332109287 /nfs/dbraw/zinc/10/92/87/332109287.db2.gz NFBVFVYMKPKUNK-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1cnc2ccccc2c1 ZINC000410299686 332109524 /nfs/dbraw/zinc/10/95/24/332109524.db2.gz KVJSDBKKSPCLQN-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3ccc(F)cc3F)nc21 ZINC000410315378 332109868 /nfs/dbraw/zinc/10/98/68/332109868.db2.gz AUDLBCWKTUFNAO-LBPRGKRZSA-N 0 3 316.351 4.308 20 0 DIADHN COCCN(Cc1cnc2ccccc2c1)[C@H](C)c1ccccc1 ZINC000410338977 332112798 /nfs/dbraw/zinc/11/27/98/332112798.db2.gz QHJPPOWOCHWTSO-QGZVFWFLSA-N 0 3 320.436 4.444 20 0 DIADHN Clc1ccc2oc(C3CCN(Cc4ccco4)CC3)nc2c1 ZINC000091859941 332113564 /nfs/dbraw/zinc/11/35/64/332113564.db2.gz LDMVTZLRFTVODB-UHFFFAOYSA-N 0 3 316.788 4.454 20 0 DIADHN Cc1cccc2oc(CCN[C@@H]3CCCc4ccc(F)cc43)nc21 ZINC000410362794 332116356 /nfs/dbraw/zinc/11/63/56/332116356.db2.gz VSXQCFVHQPIPOL-QGZVFWFLSA-N 0 3 324.399 4.485 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3ccccc3N(C)C)nc21 ZINC000410359073 332117215 /nfs/dbraw/zinc/11/72/15/332117215.db2.gz LSUZAYYATSRMJD-OAHLLOKOSA-N 0 3 323.440 4.096 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](c3cccnc3)C(C)C)nc21 ZINC000410364721 332118401 /nfs/dbraw/zinc/11/84/01/332118401.db2.gz ZQZHPJLWOHWQSS-GOSISDBHSA-N 0 3 309.413 4.061 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2cnc3ccccc3c2)C1 ZINC000410372804 332118922 /nfs/dbraw/zinc/11/89/22/332118922.db2.gz VZFWSLLTGVSCHR-KRWDZBQOSA-N 0 3 306.384 4.363 20 0 DIADHN CCN(Cc1ccc(OC)c(O)c1)[C@@H](C)c1ccc(F)cc1 ZINC000092123668 332119691 /nfs/dbraw/zinc/11/96/91/332119691.db2.gz MKKPWQOXJRAGPQ-ZDUSSCGKSA-N 0 3 303.377 4.123 20 0 DIADHN CCSCC[C@H](C)N[C@H](C)c1ccccc1OC(F)F ZINC000132252661 332123829 /nfs/dbraw/zinc/12/38/29/332123829.db2.gz JJFRHRSGSIYHKB-NWDGAFQWSA-N 0 3 303.418 4.470 20 0 DIADHN Cc1noc([C@H]2CCCN2C[C@@H](CC(C)C)c2ccccc2)n1 ZINC000569531911 332126638 /nfs/dbraw/zinc/12/66/38/332126638.db2.gz PEGVFKYAMPICQX-QZTJIDSGSA-N 0 3 313.445 4.345 20 0 DIADHN CC[C@@H](O)CCN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000132763717 332132997 /nfs/dbraw/zinc/13/29/97/332132997.db2.gz NITUXQXQOOSCJQ-DTWKUNHWSA-N 0 3 310.652 4.458 20 0 DIADHN C[C@@H](CCc1ccsc1)NCc1cccc(C(F)(F)F)n1 ZINC000449401623 332134772 /nfs/dbraw/zinc/13/47/72/332134772.db2.gz NKTAFXNRUPXJQG-NSHDSACASA-N 0 3 314.376 4.273 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000426777611 332135784 /nfs/dbraw/zinc/13/57/84/332135784.db2.gz OVFHTTYDWGRVSA-ZIAGYGMSSA-N 0 3 302.443 4.334 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000426777003 332135792 /nfs/dbraw/zinc/13/57/92/332135792.db2.gz JOYUJYXBFILBGG-ZACQAIPSSA-N 0 3 314.473 4.259 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2cccc(C(F)(F)F)n2)CS1 ZINC000449402832 332136580 /nfs/dbraw/zinc/13/65/80/332136580.db2.gz SWMHMGKBDIEJOB-YPMHNXCESA-N 0 3 318.408 4.110 20 0 DIADHN Cc1ccc2c(c1)nc(CNCC[C@H](C)c1ccccc1)n2C ZINC000569553049 332136784 /nfs/dbraw/zinc/13/67/84/332136784.db2.gz TWGKFUBWFVGDOK-INIZCTEOSA-N 0 3 307.441 4.165 20 0 DIADHN Cc1ccc(CN(C)CCCc2cc(-c3ccccc3)no2)o1 ZINC000092664092 332138457 /nfs/dbraw/zinc/13/84/57/332138457.db2.gz VPBFFLOGWLVQQT-UHFFFAOYSA-N 0 3 310.397 4.308 20 0 DIADHN CC(C)Oc1ccc(CCNCc2cccc(F)c2F)cc1 ZINC000520953483 332141648 /nfs/dbraw/zinc/14/16/48/332141648.db2.gz JCDIRBBCEAXXMO-UHFFFAOYSA-N 0 3 305.368 4.084 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccc3nc(C)sc3c2)C1 ZINC000426414157 332144224 /nfs/dbraw/zinc/14/42/24/332144224.db2.gz AYRGNGHXYIPAQV-AWEZNQCLSA-N 0 3 306.500 4.322 20 0 DIADHN COc1cccc(Cl)c1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000573602743 332146193 /nfs/dbraw/zinc/14/61/93/332146193.db2.gz UCHOYNRZDHZSOY-HUUCEWRRSA-N 0 3 301.817 4.242 20 0 DIADHN COc1cccc(Cl)c1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000573602749 332146220 /nfs/dbraw/zinc/14/62/20/332146220.db2.gz UCHOYNRZDHZSOY-LSDHHAIUSA-N 0 3 301.817 4.242 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428708535 332153570 /nfs/dbraw/zinc/15/35/70/332153570.db2.gz IDEFQEJFYWBKFX-YVLXSGLVSA-N 0 3 317.326 4.326 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000426789498 332153683 /nfs/dbraw/zinc/15/36/83/332153683.db2.gz VJHNEMCKVYKQPP-KBPBESRZSA-N 0 3 302.443 4.334 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2C[C@@H]3CCCC[C@H]3C2)c(C)c1 ZINC000426802873 332155316 /nfs/dbraw/zinc/15/53/16/332155316.db2.gz YJQIQMAAKFLHJX-BZSNNMDCSA-N 0 3 314.473 4.061 20 0 DIADHN FC(F)(F)Oc1ccc(CN[C@H](c2cccnc2)C2CC2)cc1 ZINC000533921760 332946825 /nfs/dbraw/zinc/94/68/25/332946825.db2.gz SNTWLFMNRWOZBI-INIZCTEOSA-N 0 3 322.330 4.221 20 0 DIADHN CCN(CC)Cc1cc(-c2c(OC)ccc3ccccc32)no1 ZINC000180930023 332164897 /nfs/dbraw/zinc/16/48/97/332164897.db2.gz FOJJZOCRAUYRKA-UHFFFAOYSA-N 0 3 310.397 4.345 20 0 DIADHN Cc1cccc(CN[C@@H](CO)c2ccc(C(F)(F)F)cc2)c1C ZINC000533920570 332946897 /nfs/dbraw/zinc/94/68/97/332946897.db2.gz BSIXOOVLRMUIKZ-KRWDZBQOSA-N 0 3 323.358 4.145 20 0 DIADHN CCc1nc(C)c(CN[C@H]2CCCC[C@@H]2Cc2ccccc2)o1 ZINC000428422082 332169451 /nfs/dbraw/zinc/16/94/51/332169451.db2.gz MSALZIBLEVBEPF-MSOLQXFVSA-N 0 3 312.457 4.437 20 0 DIADHN CCCN(C)Cc1cc(-c2c(OC)ccc3ccccc32)no1 ZINC000181040044 332173184 /nfs/dbraw/zinc/17/31/84/332173184.db2.gz NDCUJCQWIZZRFE-UHFFFAOYSA-N 0 3 310.397 4.345 20 0 DIADHN C[C@H](NC[C@@]1(CO)C[C@H]1c1ccccc1)c1ccc(Cl)s1 ZINC000584261505 332173931 /nfs/dbraw/zinc/17/39/31/332173931.db2.gz HECKYHJWFOUNRH-RVSPLBMKSA-N 0 3 321.873 4.218 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccco1 ZINC000181247882 332188077 /nfs/dbraw/zinc/18/80/77/332188077.db2.gz ABDVHOJAAMOSLB-RYUDHWBXSA-N 0 3 308.784 4.002 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H]2N(C)CCCC2(C)C)cs1 ZINC000448023806 332189853 /nfs/dbraw/zinc/18/98/53/332189853.db2.gz VCTXXCFUFRKBIC-HIFRSBDPSA-N 0 3 309.523 4.038 20 0 DIADHN c1c2ccccc2oc1[C@H](NC[C@@H]1CCSC1)c1cccnc1 ZINC000448027332 332198407 /nfs/dbraw/zinc/19/84/07/332198407.db2.gz MNMFJMUINWVBOH-IFXJQAMLSA-N 0 3 324.449 4.260 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1nc2c(s1)CN(C(C)C)CC2 ZINC000449443805 332198446 /nfs/dbraw/zinc/19/84/46/332198446.db2.gz VZAMLJYZDGRZTD-OAHLLOKOSA-N 0 3 321.534 4.134 20 0 DIADHN FCC1(NCc2ccc(-c3ccccc3F)s2)CCOCC1 ZINC000449446695 332201164 /nfs/dbraw/zinc/20/11/64/332201164.db2.gz TYQJYNNUHPUURP-UHFFFAOYSA-N 0 3 323.408 4.163 20 0 DIADHN CCCc1nc(C)c(CN(CCC)CCc2cccs2)o1 ZINC000428433657 332202886 /nfs/dbraw/zinc/20/28/86/332202886.db2.gz IDPWJFACOVJLLR-UHFFFAOYSA-N 0 3 306.475 4.452 20 0 DIADHN Cc1csc([C@H](NCCSc2ccccc2F)C2CC2)n1 ZINC000181618271 332205104 /nfs/dbraw/zinc/20/51/04/332205104.db2.gz FSCRHUCIPGQVEJ-OAHLLOKOSA-N 0 3 322.474 4.424 20 0 DIADHN C[C@H](CN1CCCCC1)Nc1ncc(C(F)(F)F)cc1Cl ZINC000047873381 332210738 /nfs/dbraw/zinc/21/07/38/332210738.db2.gz IZFDTLDMYHRYJN-SNVBAGLBSA-N 0 3 321.774 4.040 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H](C)[C@H](O)Cc3ccccc3)oc2c1 ZINC000426072683 335098894 /nfs/dbraw/zinc/09/88/94/335098894.db2.gz MQXHOIXGEDQFQM-TXPKVOOTSA-N 0 3 323.436 4.384 20 0 DIADHN CCCCN1CCCC[C@H]1CNC(=O)c1sccc1C1CC1 ZINC000109977593 332218036 /nfs/dbraw/zinc/21/80/36/332218036.db2.gz MOVCANNEEXHASO-HNNXBMFYSA-N 0 3 320.502 4.010 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)CCCc2ccccc2)c(C)c1 ZINC000533881682 332232154 /nfs/dbraw/zinc/23/21/54/332232154.db2.gz OPKYYYVCNQDUBR-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccccc1C(F)(F)F ZINC000367518892 332233853 /nfs/dbraw/zinc/23/38/53/332233853.db2.gz KUCANGCIKXDNEW-HZMBPMFUSA-N 0 3 309.335 4.157 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H]1CCCc2ccc(Cl)cc21 ZINC000536499195 332253068 /nfs/dbraw/zinc/25/30/68/332253068.db2.gz SCXCAJZOAGFLRF-IRXDYDNUSA-N 0 3 315.848 4.118 20 0 DIADHN CCc1nc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)cs1 ZINC000531104582 332263783 /nfs/dbraw/zinc/26/37/83/332263783.db2.gz OYXJUBREOBVXTK-GDBMZVCRSA-N 0 3 300.471 4.159 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000426067732 335097543 /nfs/dbraw/zinc/09/75/43/335097543.db2.gz URJHDTYKXFUNNI-ZJUUUORDSA-N 0 3 306.397 4.404 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cc(Cl)cc3cccnc32)CCCO1 ZINC000559497002 332265142 /nfs/dbraw/zinc/26/51/42/332265142.db2.gz FYYHFHAYGGBGFJ-KRWDZBQOSA-N 0 3 318.848 4.135 20 0 DIADHN CCC[C@@H](N[C@@H](CO)CC(F)(F)F)c1ccc(Cl)cc1 ZINC000358349428 332268302 /nfs/dbraw/zinc/26/83/02/332268302.db2.gz HSGVQZMAPWZTAU-CHWSQXEVSA-N 0 3 309.759 4.084 20 0 DIADHN CC[C@@H](NCc1ccc(C)c(F)c1)c1ccc(C(=O)OC)cc1 ZINC000559735076 332278045 /nfs/dbraw/zinc/27/80/45/332278045.db2.gz WOUIEEGDRDMYGY-GOSISDBHSA-N 0 3 315.388 4.162 20 0 DIADHN Cc1ccc2cc([C@H](C)NCCOc3ncccc3F)oc2c1 ZINC000426070712 335098384 /nfs/dbraw/zinc/09/83/84/335098384.db2.gz FANLQFQNSZASID-ZDUSSCGKSA-N 0 3 314.360 4.005 20 0 DIADHN COc1ccc([C@H](C)CCN[C@H](C)c2ccncc2F)cc1 ZINC000559765797 332279663 /nfs/dbraw/zinc/27/96/63/332279663.db2.gz BWFZEACYJZDEHM-ZIAGYGMSSA-N 0 3 302.393 4.074 20 0 DIADHN COc1ccc([C@H](C)CCN[C@@H](C)c2ccncc2F)cc1 ZINC000559765795 332279723 /nfs/dbraw/zinc/27/97/23/332279723.db2.gz BWFZEACYJZDEHM-KGLIPLIRSA-N 0 3 302.393 4.074 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1cccc(C2CC2)c1 ZINC000559788130 332281531 /nfs/dbraw/zinc/28/15/31/332281531.db2.gz TXSRIVUQHMKAQS-SUMWQHHRSA-N 0 3 301.455 4.318 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2CCc2cscn2)o1 ZINC000559793113 332281743 /nfs/dbraw/zinc/28/17/43/332281743.db2.gz KBBXGKVNPHFYDH-MRXNPFEDSA-N 0 3 304.459 4.458 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccsc1)c1ccc(F)c2ccccc21 ZINC000559845816 332284829 /nfs/dbraw/zinc/28/48/29/332284829.db2.gz VCHUWDOPLQKTMC-KZULUSFZSA-N 0 3 315.413 4.425 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2ccc(Cl)cc2)C(C)C)nn1C ZINC000559858029 332286017 /nfs/dbraw/zinc/28/60/17/332286017.db2.gz RVVUVWNDJKLXMP-YOEHRIQHSA-N 0 3 319.880 4.472 20 0 DIADHN C[C@H](CC1CCOCC1)NCc1ccc(-c2ccco2)cc1F ZINC000448033546 332287217 /nfs/dbraw/zinc/28/72/17/332287217.db2.gz CSJDZQBERJKXRN-CQSZACIVSA-N 0 3 317.404 4.381 20 0 DIADHN COc1ccncc1CN[C@@H]1CCCC[C@H](c2ccccc2)C1 ZINC000559871290 332287718 /nfs/dbraw/zinc/28/77/18/332287718.db2.gz LHPQCMYTQMJLES-PKOBYXMFSA-N 0 3 310.441 4.296 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(-n2cccn2)c(F)c1)c1cccs1 ZINC000559879515 332287735 /nfs/dbraw/zinc/28/77/35/332287735.db2.gz UCGQIFOVGMPTKY-CHWSQXEVSA-N 0 3 315.417 4.485 20 0 DIADHN CCCCOCCNCc1c(OC(F)F)ccc2ccccc21 ZINC000559876605 332288195 /nfs/dbraw/zinc/28/81/95/332288195.db2.gz CCSXWINXAYPHQS-UHFFFAOYSA-N 0 3 323.383 4.348 20 0 DIADHN CCCCOc1ccc(CCN[C@@H](C)c2ccncc2F)cc1 ZINC000559895529 332290804 /nfs/dbraw/zinc/29/08/04/332290804.db2.gz WJKPLPUIHQVZAY-HNNXBMFYSA-N 0 3 316.420 4.293 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1sc(-c2ccccc2)nc1C)C1CC1 ZINC000559897738 332291116 /nfs/dbraw/zinc/29/11/16/332291116.db2.gz PIZJGWQJGSKXKH-LRDDRELGSA-N 0 3 316.470 4.194 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCC3([C@H](O)C(C)C)CC3)oc2c1 ZINC000426065555 335099520 /nfs/dbraw/zinc/09/95/20/335099520.db2.gz ZZGAURKHRVROID-RDTXWAMCSA-N 0 3 301.430 4.189 20 0 DIADHN C=Cn1cc(CN[C@H](C)Cc2c(Cl)cccc2Cl)cn1 ZINC000560021351 332302596 /nfs/dbraw/zinc/30/25/96/332302596.db2.gz DMSWDSRMCUHBPU-LLVKDONJSA-N 0 3 310.228 4.011 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2cccs2)cc1OC ZINC000048416882 332303500 /nfs/dbraw/zinc/30/35/00/332303500.db2.gz TYXWRDHDKYARML-AWEZNQCLSA-N 0 3 305.443 4.396 20 0 DIADHN COc1ccncc1CN[C@H](c1ccccc1C)C1CCCC1 ZINC000560056065 332306791 /nfs/dbraw/zinc/30/67/91/332306791.db2.gz PDZQPTMULJATFN-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN COc1cc(CN2CCC(OC(C)C)CC2)cc2ccccc21 ZINC000560103440 332311219 /nfs/dbraw/zinc/31/12/19/332311219.db2.gz WMMXESAISDSOBB-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](CC(F)(F)F)c2ccc(F)cc2)C[C@H](C)O1 ZINC000560116662 332312129 /nfs/dbraw/zinc/31/21/29/332312129.db2.gz UGWLBUZDVCVSGA-BAESOJJISA-N 0 3 319.342 4.365 20 0 DIADHN CCn1c(CNC[C@@H]2CSc3ccccc32)nc2ccccc21 ZINC000560139892 332313687 /nfs/dbraw/zinc/31/36/87/332313687.db2.gz XILVOGPXFASALD-CQSZACIVSA-N 0 3 323.465 4.035 20 0 DIADHN COc1cccc(CNC[C@H]2CCCC2(F)F)c1OC(C)C ZINC000449500069 332316028 /nfs/dbraw/zinc/31/60/28/332316028.db2.gz UKCTUJHBMQWYMC-CQSZACIVSA-N 0 3 313.388 4.007 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc2sccc2s1 ZINC000560180651 332317293 /nfs/dbraw/zinc/31/72/93/332317293.db2.gz IPLSBLJYQZFHOX-UHFFFAOYSA-N 0 3 316.451 4.277 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1ccc(C(F)(F)F)cn1 ZINC000560196482 332318774 /nfs/dbraw/zinc/31/87/74/332318774.db2.gz WKSDQFGMVGLSTQ-LLVKDONJSA-N 0 3 314.273 4.167 20 0 DIADHN CC(C)[C@H](N[C@H](C)CCC(C)(C)C)c1nnc2ccccn21 ZINC000560243992 332322317 /nfs/dbraw/zinc/32/23/17/332322317.db2.gz IFELUYNIZOMRMO-ZBFHGGJFSA-N 0 3 302.466 4.231 20 0 DIADHN C[C@@H](CCC(C)(C)C)Nc1ccccc1CN1CCC(O)CC1 ZINC000560243927 332322412 /nfs/dbraw/zinc/32/24/12/332322412.db2.gz GNJAGVMRDYQTSS-INIZCTEOSA-N 0 3 318.505 4.270 20 0 DIADHN COC[C@@H](N[C@H](C)c1ccc(OC(C)C)c(Cl)c1)C1CC1 ZINC000560245826 332322893 /nfs/dbraw/zinc/32/28/93/332322893.db2.gz WOLHMJSREJFDSB-MLGOLLRUSA-N 0 3 311.853 4.203 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cnc3n2CCCC3)cc1 ZINC000560266749 332325451 /nfs/dbraw/zinc/32/54/51/332325451.db2.gz QPSRJQLPSKYQJT-CQSZACIVSA-N 0 3 315.486 4.182 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(OC(F)F)c2)cc1C ZINC000560267459 332325856 /nfs/dbraw/zinc/32/58/56/332325856.db2.gz DCEXZUGOGGEYQE-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN CCc1nn(C)c(CC)c1CNC(C)(C)c1ccc(Cl)cc1 ZINC000560265926 332326234 /nfs/dbraw/zinc/32/62/34/332326234.db2.gz MFWSUXUAWCGBNJ-UHFFFAOYSA-N 0 3 319.880 4.223 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2cnc(C(C)(C)C)nc2)C1 ZINC000560274352 332326690 /nfs/dbraw/zinc/32/66/90/332326690.db2.gz VNCGCMOIBAPXPV-QAQDUYKDSA-N 0 3 309.457 4.118 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)F)cc1)Oc1ccccc1F ZINC000525989565 332333273 /nfs/dbraw/zinc/33/32/73/332333273.db2.gz LFZBZKNCZUXFST-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@@H](CCc1ccco1)NCc1c(F)cccc1N1CCCC1 ZINC000560356143 332333508 /nfs/dbraw/zinc/33/35/08/332333508.db2.gz VNCCHIBAFCZVKM-HNNXBMFYSA-N 0 3 316.420 4.130 20 0 DIADHN Cc1cccnc1[C@@H](NCC1=Cc2ccccc2OC1)C(C)C ZINC000115622804 332334259 /nfs/dbraw/zinc/33/42/59/332334259.db2.gz AIDYHQZPPSBFOB-IBGZPJMESA-N 0 3 308.425 4.153 20 0 DIADHN CCOC1CC(CN[C@H](c2cccs2)c2ccc(F)cc2)C1 ZINC000560434068 332339411 /nfs/dbraw/zinc/33/94/11/332339411.db2.gz TVHYTTSHUVVMRZ-AUCFXJAVSA-N 0 3 319.445 4.381 20 0 DIADHN CCc1cc(CCCN[C@@H](C)c2c(F)cccc2Cl)on1 ZINC000583348277 332344263 /nfs/dbraw/zinc/34/42/63/332344263.db2.gz HOIZMTQKQDAZCG-NSHDSACASA-N 0 3 310.800 4.313 20 0 DIADHN Fc1cccnc1CNCC(c1ccccc1)c1ccccc1 ZINC000560490795 332345682 /nfs/dbraw/zinc/34/56/82/332345682.db2.gz IKMICVAMKZJJMG-UHFFFAOYSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@@]1(CNCc2sccc2Cl)OCCc2sccc21 ZINC000583351113 332346644 /nfs/dbraw/zinc/34/66/44/332346644.db2.gz KXEWYISLVNNEQQ-AWEZNQCLSA-N 0 3 313.875 4.041 20 0 DIADHN CSCc1ccc(CNCc2cccc(-n3cccn3)c2)cc1 ZINC000560504445 332347233 /nfs/dbraw/zinc/34/72/33/332347233.db2.gz UWXWZFDWZFWCRN-UHFFFAOYSA-N 0 3 323.465 4.025 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C)cc2C)c(C)c1OC ZINC000560521881 332350125 /nfs/dbraw/zinc/35/01/25/332350125.db2.gz FPVOIYBMDUIZRH-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@@H](C)Oc2ccc(Cl)cc2)c1 ZINC000560525854 332350976 /nfs/dbraw/zinc/35/09/76/332350976.db2.gz BHTUAFOCPRSPFB-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(OC)c(OC)c1C ZINC000560525058 332351393 /nfs/dbraw/zinc/35/13/93/332351393.db2.gz FFIFRUKRPNRDTK-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000387550155 332351414 /nfs/dbraw/zinc/35/14/14/332351414.db2.gz SWRSAQUZDKYVFL-SFHVURJKSA-N 0 3 310.441 4.316 20 0 DIADHN COc1ccc([C@H]2C[C@H](N[C@@H](C)c3cc(C)ccn3)C2)cc1F ZINC000560535338 332354213 /nfs/dbraw/zinc/35/42/13/332354213.db2.gz ZVWQSBMEJUYNPX-BPUTZDHNSA-N 0 3 314.404 4.135 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2ccccc2OC(F)(F)F)c1 ZINC000560545482 332356596 /nfs/dbraw/zinc/35/65/96/332356596.db2.gz NLKKMXYEFBSOSL-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN CCn1c2ccccc2nc1CN[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000560556209 332359371 /nfs/dbraw/zinc/35/93/71/332359371.db2.gz HHLHVZJVJIEUDU-JCNLHEQBSA-N 0 3 323.415 4.231 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1c(F)cccc1N1CCCC1 ZINC000560572707 332362780 /nfs/dbraw/zinc/36/27/80/332362780.db2.gz UKIAHNASNBXSND-LBPRGKRZSA-N 0 3 318.358 4.247 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1c(F)cccc1SC ZINC000560574466 332363306 /nfs/dbraw/zinc/36/33/06/332363306.db2.gz QTNXYAYBGDOTSU-ACJLOTCBSA-N 0 3 319.445 4.413 20 0 DIADHN C[C@H](N[C@H](c1nccn1C)C1CC1)[C@@H](C)c1ccc(Cl)cc1 ZINC000560575877 332363634 /nfs/dbraw/zinc/36/36/34/332363634.db2.gz TVCFHKJRSUGBLW-IGCXYCKISA-N 0 3 317.864 4.306 20 0 DIADHN CC(C)C[C@H](NCCc1ccc(F)c(F)c1F)c1ccccn1 ZINC000566343056 332363653 /nfs/dbraw/zinc/36/36/53/332363653.db2.gz LYAQNQDXVRHOAH-INIZCTEOSA-N 0 3 322.374 4.418 20 0 DIADHN CC[C@@H](NCc1ccc(OCCCOC)cc1)c1cccs1 ZINC000560576480 332364059 /nfs/dbraw/zinc/36/40/59/332364059.db2.gz YMFGLURRYQYVLB-QGZVFWFLSA-N 0 3 319.470 4.404 20 0 DIADHN CC[C@H](NCc1ccc(OCCCOC)cc1)c1cccs1 ZINC000560576479 332364067 /nfs/dbraw/zinc/36/40/67/332364067.db2.gz YMFGLURRYQYVLB-KRWDZBQOSA-N 0 3 319.470 4.404 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CSC[C@H]3C)oc21 ZINC000560584255 332365379 /nfs/dbraw/zinc/36/53/79/332365379.db2.gz BZBQQNWSYHRPJR-MBNYWOFBSA-N 0 3 305.443 4.234 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1cc(Cl)ccc1OC(F)F ZINC000560585809 332365587 /nfs/dbraw/zinc/36/55/87/332365587.db2.gz HKBXLPQAURMBIV-VDDIYKPWSA-N 0 3 321.820 4.344 20 0 DIADHN C[C@@H]1C[C@H]1CNCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000560604378 332367392 /nfs/dbraw/zinc/36/73/92/332367392.db2.gz MQTOHKRMSDFHDY-BMFZPTHFSA-N 0 3 312.482 4.161 20 0 DIADHN COc1cc2c(cc1CN[C@@H]1c3ccccc3C[C@H]1C)O[C@@H](C)C2 ZINC000564696775 332384688 /nfs/dbraw/zinc/38/46/88/332384688.db2.gz LIULFGZVIXHWTL-YPENRWOSSA-N 0 3 323.436 4.042 20 0 DIADHN FC(F)(F)CCCNCc1c(Cl)cccc1N1CCCC1 ZINC000560760295 332384939 /nfs/dbraw/zinc/38/49/39/332384939.db2.gz GGJDDKVMRXZFRG-UHFFFAOYSA-N 0 3 320.786 4.372 20 0 DIADHN C[C@@H](Cc1cccc(O)c1)NCc1c(Cl)cccc1Cl ZINC000560817659 332388160 /nfs/dbraw/zinc/38/81/60/332388160.db2.gz ZDEAFXGDKKUSGN-NSHDSACASA-N 0 3 310.224 4.420 20 0 DIADHN c1ccc2cc(CCN(Cc3cccnc3)C3CC3)ccc2c1 ZINC000560845860 332390264 /nfs/dbraw/zinc/39/02/64/332390264.db2.gz GCNUHQSULPPGTH-UHFFFAOYSA-N 0 3 302.421 4.442 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cccnc1)Oc1cccc(Cl)c1 ZINC000560912680 332394267 /nfs/dbraw/zinc/39/42/67/332394267.db2.gz WFUMOCOXWBXCGL-BBRMVZONSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1ccncc1CCCN(C)[C@H](C)c1cc(F)ccc1F ZINC000574605452 332397317 /nfs/dbraw/zinc/39/73/17/332397317.db2.gz OGSBTYXWLYJKJM-CQSZACIVSA-N 0 3 304.384 4.294 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C1(C)CCC1)c1ccc(Cl)cc1 ZINC000560968381 332397943 /nfs/dbraw/zinc/39/79/43/332397943.db2.gz STDGRKZNGXKZEY-MRXNPFEDSA-N 0 3 322.880 4.029 20 0 DIADHN FC(F)n1c2ccccc2nc1SCCN1CCCCC1 ZINC000560982549 332398182 /nfs/dbraw/zinc/39/81/82/332398182.db2.gz LCAOKWYVCWBOSY-UHFFFAOYSA-N 0 3 311.401 4.009 20 0 DIADHN FC(F)(F)c1ccccc1CNc1ccnc2ccccc21 ZINC000387575347 332400500 /nfs/dbraw/zinc/40/05/00/332400500.db2.gz XWMWCUQCEXXIAL-UHFFFAOYSA-N 0 3 302.299 4.288 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000561209515 332424495 /nfs/dbraw/zinc/42/44/95/332424495.db2.gz HXKJNVUMVMKWLJ-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@H](NCCCc1ccc(Cl)cc1)c1cn2ccccc2n1 ZINC000561283994 332432500 /nfs/dbraw/zinc/43/25/00/332432500.db2.gz GNJKTKHDPCASFW-AWEZNQCLSA-N 0 3 313.832 4.271 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H]1CCC[C@H](C)C1 ZINC000427491209 332436302 /nfs/dbraw/zinc/43/63/02/332436302.db2.gz TWNQQPDGGZFDMW-YJBOKZPZSA-N 0 3 314.473 4.356 20 0 DIADHN Fc1ccc2c(c1)onc2C1CCN(Cc2cccs2)CC1 ZINC000561400053 332446035 /nfs/dbraw/zinc/44/60/35/332446035.db2.gz CAMNPNAXKHMURY-UHFFFAOYSA-N 0 3 316.401 4.408 20 0 DIADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccccc1Br)OC ZINC000394450201 332452331 /nfs/dbraw/zinc/45/23/31/332452331.db2.gz OKMUVRVAJBDICZ-HUBLWGQQSA-N 0 3 314.267 4.161 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c1 ZINC000561575889 332466138 /nfs/dbraw/zinc/46/61/38/332466138.db2.gz COSGNLWELGBCDS-ZWKOTPCHSA-N 0 3 321.342 4.032 20 0 DIADHN CSC[C@H](C)N[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000150976404 332466461 /nfs/dbraw/zinc/46/64/61/332466461.db2.gz OUYSOANENMDPBV-RYUDHWBXSA-N 0 3 323.893 4.236 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2oc(C(C)C)nc2C)C12CCCCC2 ZINC000428948722 332467730 /nfs/dbraw/zinc/46/77/30/332467730.db2.gz VABODQZPFFURQX-SJORKVTESA-N 0 3 320.477 4.324 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CC(C)(C)c3ccccc32)cc1O ZINC000569629609 332469638 /nfs/dbraw/zinc/46/96/38/332469638.db2.gz RPLMDIQAEUVWFS-DYVFJYSZSA-N 0 3 311.425 4.474 20 0 DIADHN CC[C@H](N[C@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000427717500 332498272 /nfs/dbraw/zinc/49/82/72/332498272.db2.gz BVAIVHLEMJPXLJ-SKDRFNHKSA-N 0 3 317.246 4.459 20 0 DIADHN COc1ccc(C[C@@H](C)NC2(c3nc(C)cs3)CCC2)cc1 ZINC000561826009 332502917 /nfs/dbraw/zinc/50/29/17/332502917.db2.gz MGDQVXLRDUTBSU-CYBMUJFWSA-N 0 3 316.470 4.060 20 0 DIADHN Cn1cccc1CN1CCC(c2c[nH]c3ccc(F)cc32)CC1 ZINC000561983805 332527060 /nfs/dbraw/zinc/52/70/60/332527060.db2.gz UBUUHBZDRCGQLJ-UHFFFAOYSA-N 0 3 311.404 4.025 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ncc(Br)cc1F ZINC000427844755 332538572 /nfs/dbraw/zinc/53/85/72/332538572.db2.gz CZWAHPGJDVIDIN-VHSXEESVSA-N 0 3 315.230 4.213 20 0 DIADHN CC[C@H](N[C@H]1CCn2ccnc2C1)c1ccc(Cl)cc1Cl ZINC000427848972 332538966 /nfs/dbraw/zinc/53/89/66/332538966.db2.gz YKFXZKVCYMXQEY-WFASDCNBSA-N 0 3 324.255 4.246 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1ccc(SCC(F)F)cc1 ZINC000427858307 332543674 /nfs/dbraw/zinc/54/36/74/332543674.db2.gz SLRGLYGCVLQVPV-NWDGAFQWSA-N 0 3 303.418 4.119 20 0 DIADHN C[C@H](COc1cccc(F)c1)N[C@H](C)c1nccc2ccccc21 ZINC000562095703 332545753 /nfs/dbraw/zinc/54/57/53/332545753.db2.gz JPEAYHYRCAQNBH-HUUCEWRRSA-N 0 3 324.399 4.492 20 0 DIADHN COCc1nc(CN[C@@H](C)[C@H](C)c2ccc(Cl)cc2)cs1 ZINC000562100324 332546479 /nfs/dbraw/zinc/54/64/79/332546479.db2.gz QULJVFVQWDREHA-RYUDHWBXSA-N 0 3 324.877 4.225 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(F)c(COC)c2)cc1 ZINC000151179474 332550998 /nfs/dbraw/zinc/55/09/98/332550998.db2.gz KSCUUUVDPYZZIO-AWEZNQCLSA-N 0 3 301.405 4.385 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(F)c(COC)c2)s1 ZINC000151226392 332564079 /nfs/dbraw/zinc/56/40/79/332564079.db2.gz JZARGIPARIUGTA-GFCCVEGCSA-N 0 3 307.434 4.447 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1cccc(C(F)(F)F)c1 ZINC000427960366 332573508 /nfs/dbraw/zinc/57/35/08/332573508.db2.gz ULAQCVBUHQWDKO-BXUZGUMPSA-N 0 3 301.352 4.314 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1cccc(C(F)(F)F)c1 ZINC000427960367 332573511 /nfs/dbraw/zinc/57/35/11/332573511.db2.gz ULAQCVBUHQWDKO-FZMZJTMJSA-N 0 3 301.352 4.314 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1ccccn1 ZINC000151407010 332575446 /nfs/dbraw/zinc/57/54/46/332575446.db2.gz VDLRGPUHXSNUFU-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN CCOc1ccc([C@@H](C)NC[C@@H]2CCC(C)(C)O2)cc1OCC ZINC000427974061 332578352 /nfs/dbraw/zinc/57/83/52/332578352.db2.gz PHBVBSMLUKADIZ-ZBFHGGJFSA-N 0 3 321.461 4.092 20 0 DIADHN CC1(C)CC[C@H](CN[C@@H]2CCCOc3ccc(Cl)cc32)O1 ZINC000427979380 332578670 /nfs/dbraw/zinc/57/86/70/332578670.db2.gz IIGJJPODMDCWDA-UKRRQHHQSA-N 0 3 309.837 4.101 20 0 DIADHN CC1(C)CC[C@@H](CN[C@H]2CCCOc3cc(Cl)ccc32)O1 ZINC000427977646 332579256 /nfs/dbraw/zinc/57/92/56/332579256.db2.gz ZEMPSCLWXLBZOG-ZFWWWQNUSA-N 0 3 309.837 4.101 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC[C@H]3CCC(C)(C)O3)oc21 ZINC000427982847 332579791 /nfs/dbraw/zinc/57/97/91/332579791.db2.gz RSDJKPLEXPCHPZ-UKRRQHHQSA-N 0 3 317.429 4.440 20 0 DIADHN CC[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1OC(F)F ZINC000427985211 332581412 /nfs/dbraw/zinc/58/14/12/332581412.db2.gz FJLLUIHYMOHSDE-JSGCOSHPSA-N 0 3 313.388 4.286 20 0 DIADHN CO[C@H](CNCc1ccc(F)cc1F)c1ccc(Cl)cc1 ZINC000525695154 332618293 /nfs/dbraw/zinc/61/82/93/332618293.db2.gz YQNZQFSALXNGJG-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC(C)(C)C[C@H](C)O)o1 ZINC000525696836 332621074 /nfs/dbraw/zinc/62/10/74/332621074.db2.gz FWKMGUSOCLPIFY-ZDUSSCGKSA-N 0 3 319.420 4.281 20 0 DIADHN Cc1cc(OCCN2CCC(OC(C)C)CC2)ccc1C(C)C ZINC000562742070 332622443 /nfs/dbraw/zinc/62/24/43/332622443.db2.gz PFDPJCPNNALNDN-UHFFFAOYSA-N 0 3 319.489 4.387 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@@H](C)c2ccc(F)cc2F)cn1 ZINC000151879061 332629514 /nfs/dbraw/zinc/62/95/14/332629514.db2.gz YRKIUNZPTFAXSD-CHWSQXEVSA-N 0 3 307.388 4.197 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cnc(OC)c(Cl)c1 ZINC000562847431 332631972 /nfs/dbraw/zinc/63/19/72/332631972.db2.gz UCBQLJFBLULGKE-PSASIEDQSA-N 0 3 310.747 4.125 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cnc(OC)c(Cl)c1 ZINC000562847438 332631991 /nfs/dbraw/zinc/63/19/91/332631991.db2.gz UCBQLJFBLULGKE-WPRPVWTQSA-N 0 3 310.747 4.125 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@H]2CCCc3occc32)cc1 ZINC000562944382 332649811 /nfs/dbraw/zinc/64/98/11/332649811.db2.gz PUDWFFPVNRAETK-XVGQJIODSA-N 0 3 315.413 4.031 20 0 DIADHN CCCN(Cc1ccc(C)cc1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000569691966 332660591 /nfs/dbraw/zinc/66/05/91/332660591.db2.gz NUHWFTWLCSNVML-UHFFFAOYSA-N 0 3 323.440 4.042 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1cccc(OC(F)F)c1 ZINC000537978398 332663456 /nfs/dbraw/zinc/66/34/56/332663456.db2.gz SEXALKWFAHUPBP-GTNSWQLSSA-N 0 3 321.342 4.450 20 0 DIADHN Cc1nc2ccc(CN[C@H]3COc4c(C)cccc4C3)cc2s1 ZINC000584320831 332670920 /nfs/dbraw/zinc/67/09/20/332670920.db2.gz OATAARYNBSALFQ-MRXNPFEDSA-N 0 3 324.449 4.006 20 0 DIADHN CSc1cc(CN[C@H]2c3cccc(F)c3CC[C@H]2C)ccn1 ZINC000537935393 332702797 /nfs/dbraw/zinc/70/27/97/332702797.db2.gz MPDVLOGWMDOTAW-KZULUSFZSA-N 0 3 316.445 4.356 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(F)cc2)C2CCCC2)c1 ZINC000570076706 332709796 /nfs/dbraw/zinc/70/97/96/332709796.db2.gz FQKSCCTYZAPUGS-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)[nH]c1C ZINC000570292186 332736589 /nfs/dbraw/zinc/73/65/89/332736589.db2.gz IGAIQSUYWFKWSI-HOCLYGCPSA-N 0 3 303.837 4.106 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](c2cccs2)C(C)(C)CO)c1 ZINC000570435263 332754753 /nfs/dbraw/zinc/75/47/53/332754753.db2.gz SJEFZUCLZPZGPT-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN COc1cncc(CN[C@@H](c2cccc(F)c2)C2CCCC2)c1 ZINC000580120619 332949326 /nfs/dbraw/zinc/94/93/26/332949326.db2.gz FPOJGMMPNJSZCA-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cc3c(s1)CCCC3)CCC2 ZINC000580142131 332955083 /nfs/dbraw/zinc/95/50/83/332955083.db2.gz LGULXINYMPEVCZ-GOSISDBHSA-N 0 3 313.466 4.500 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@@H](c2ccsc2)C1 ZINC000462506799 332955139 /nfs/dbraw/zinc/95/51/39/332955139.db2.gz XWRATFFMDDDDCQ-QGZVFWFLSA-N 0 3 324.449 4.491 20 0 DIADHN c1ccc2c(c1)nccc2N1CCC(OCC2CCCC2)CC1 ZINC000176779754 332955204 /nfs/dbraw/zinc/95/52/04/332955204.db2.gz FPUXACSFRFJDRL-UHFFFAOYSA-N 0 3 310.441 4.410 20 0 DIADHN CC(C)n1cc([C@H](C)NCCCO[C@@H](C)c2ccccc2)cn1 ZINC000163392458 332960318 /nfs/dbraw/zinc/96/03/18/332960318.db2.gz VCDXLCCRIFOUBO-IRXDYDNUSA-N 0 3 315.461 4.283 20 0 DIADHN CCOC[C@@H](C)N[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000580153559 332965497 /nfs/dbraw/zinc/96/54/97/332965497.db2.gz XGHIHEBFSMTJBU-IAGOWNOFSA-N 0 3 313.441 4.341 20 0 DIADHN CCCn1cc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)cn1 ZINC000462540845 332976396 /nfs/dbraw/zinc/97/63/96/332976396.db2.gz ZLCNMJVGIKVCCB-UYAOXDASSA-N 0 3 311.473 4.342 20 0 DIADHN Cc1ccc(CNCCOc2ccccc2C(F)(F)F)s1 ZINC000053563288 332978317 /nfs/dbraw/zinc/97/83/17/332978317.db2.gz OGRKNHMXKJUMNG-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](CO)c3ccccc3)o2)cc1 ZINC000531151072 332983820 /nfs/dbraw/zinc/98/38/20/332983820.db2.gz DNHCLRAHTBZNII-IBGZPJMESA-N 0 3 321.420 4.121 20 0 DIADHN Fc1ccccc1-c1cnc(CN2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000177546682 332990681 /nfs/dbraw/zinc/99/06/81/332990681.db2.gz QJURUKBGQJEAJF-KBPBESRZSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H]2CCCC[C@@H]2C1 ZINC000177579307 332992898 /nfs/dbraw/zinc/99/28/98/332992898.db2.gz ZLLPUPCFDBCWMJ-IAGOWNOFSA-N 0 3 314.473 4.179 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCC[C@H]([C@H]2CCOC2)C1 ZINC000466362337 333013852 /nfs/dbraw/zinc/01/38/52/333013852.db2.gz LZOJYKINNCDBIJ-SOUVJXGZSA-N 0 3 323.864 4.034 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1sccc1Br ZINC000381710529 333023354 /nfs/dbraw/zinc/02/33/54/333023354.db2.gz QHIKJJOOPKFOCP-QMMMGPOBSA-N 0 3 310.207 4.036 20 0 DIADHN CCOCCCCN[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000180163581 333027092 /nfs/dbraw/zinc/02/70/92/333027092.db2.gz DFPHQVFMPCGHFU-HXUWFJFHSA-N 0 3 313.441 4.191 20 0 DIADHN CC(C)[C@@H]1OCCC[C@H]1CN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000580225677 333028394 /nfs/dbraw/zinc/02/83/94/333028394.db2.gz YFKSDDMRVXLMKU-KSZLIROESA-N 0 3 319.493 4.072 20 0 DIADHN C[C@@H](NCC(=O)Nc1cc(Cl)ccc1Cl)C1CCCC1 ZINC000180436643 333028483 /nfs/dbraw/zinc/02/84/83/333028483.db2.gz IJKZNGQLYGIHRR-SNVBAGLBSA-N 0 3 315.244 4.100 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1cccc(C(F)(F)F)c1 ZINC000181548145 333030911 /nfs/dbraw/zinc/03/09/11/333030911.db2.gz BFJPRBFRVWKFSJ-LLVKDONJSA-N 0 3 323.314 4.285 20 0 DIADHN C[C@H](NCCCc1cccc(C(F)(F)F)c1)c1nccs1 ZINC000540086186 333036869 /nfs/dbraw/zinc/03/68/69/333036869.db2.gz ADVVKAPLBIJIHL-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN CC[C@@H](CO)N(Cc1cc(Cl)cs1)Cc1ccccc1 ZINC000580244814 333039990 /nfs/dbraw/zinc/03/99/90/333039990.db2.gz XVFNLCWNLJBJKF-HNNXBMFYSA-N 0 3 309.862 4.175 20 0 DIADHN CCC[C@H](CNCc1ccc(Cl)cc1Br)OC ZINC000378714379 333046731 /nfs/dbraw/zinc/04/67/31/333046731.db2.gz XFJUIQOMTBMFCN-GFCCVEGCSA-N 0 3 320.658 4.007 20 0 DIADHN C[C@@H](NCCCn1cnc2ccccc21)c1cccc(F)c1F ZINC000183211402 333053075 /nfs/dbraw/zinc/05/30/75/333053075.db2.gz SHMSEMMVOPOBPT-CYBMUJFWSA-N 0 3 315.367 4.055 20 0 DIADHN C[C@@H](CCNCc1ccn(-c2ccccc2)n1)c1ccccc1 ZINC000378870626 333058626 /nfs/dbraw/zinc/05/86/26/333058626.db2.gz MRNQOMSHXWRANZ-KRWDZBQOSA-N 0 3 305.425 4.156 20 0 DIADHN C[C@H](NC1CCN(c2ccccc2)CC1)c1c(F)cccc1F ZINC000378893852 333061347 /nfs/dbraw/zinc/06/13/47/333061347.db2.gz VBIWWOQSIJZIDQ-AWEZNQCLSA-N 0 3 316.395 4.284 20 0 DIADHN COc1ccc(OC)c(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000378923079 333064968 /nfs/dbraw/zinc/06/49/68/333064968.db2.gz ZKNPRALVYCZYPV-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCO[C@@H]2CCCC[C@H]2C)C1 ZINC000460362427 333071566 /nfs/dbraw/zinc/07/15/66/333071566.db2.gz YGAKVZLZQREMNK-PSBWJHGTSA-N 0 3 302.462 4.060 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCO[C@H]2CCCC[C@H]2C)C1 ZINC000460362428 333071665 /nfs/dbraw/zinc/07/16/65/333071665.db2.gz YGAKVZLZQREMNK-RWQQGDIJSA-N 0 3 302.462 4.060 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(CCSc2ccccc2F)C1 ZINC000460365785 333072244 /nfs/dbraw/zinc/07/22/44/333072244.db2.gz PSJDHRXDONHEOF-PBHICJAKSA-N 0 3 316.445 4.396 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(CCSc2ccccc2F)C1 ZINC000460365790 333072276 /nfs/dbraw/zinc/07/22/76/333072276.db2.gz PSJDHRXDONHEOF-YOEHRIQHSA-N 0 3 316.445 4.396 20 0 DIADHN c1coc([C@H]2CCCCCN2CCCO[C@H]2CCCCO2)c1 ZINC000460382701 333075785 /nfs/dbraw/zinc/07/57/85/333075785.db2.gz ARZOYEFLFYREJS-AEFFLSMTSA-N 0 3 307.434 4.130 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)CCCO[C@@H]1CCCCO1 ZINC000460389447 333076517 /nfs/dbraw/zinc/07/65/17/333076517.db2.gz SQMQHLMBHJOXRI-RHSMWYFYSA-N 0 3 311.853 4.266 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccccc1OC(F)F ZINC000518771673 333079666 /nfs/dbraw/zinc/07/96/66/333079666.db2.gz KZVJFXRNRUTETG-SDNWHVSQSA-N 0 3 303.352 4.481 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H](C)[C@H](C)C1 ZINC000466739846 333081564 /nfs/dbraw/zinc/08/15/64/333081564.db2.gz RSYZRQONCNKSMJ-TUAOUCFPSA-N 0 3 305.809 4.039 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@H](CC(C)C)C2)n1 ZINC000466747471 333082607 /nfs/dbraw/zinc/08/26/07/333082607.db2.gz JSFCLFYSBDZDFP-JKSUJKDBSA-N 0 3 313.445 4.474 20 0 DIADHN C[C@H](NCCCn1cnc2ccccc21)c1ccccc1Cl ZINC000314022767 333082719 /nfs/dbraw/zinc/08/27/19/333082719.db2.gz JGMOBQJCFMZFPC-AWEZNQCLSA-N 0 3 313.832 4.431 20 0 DIADHN C[C@@H](CCc1ccc2c(c1)OCO2)NCc1ccccc1Cl ZINC000314250595 333096229 /nfs/dbraw/zinc/09/62/29/333096229.db2.gz XLJDDVUKILHXBO-ZDUSSCGKSA-N 0 3 317.816 4.180 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1ccc(OCc2ccc(C)cc2)cc1 ZINC000466909635 333097870 /nfs/dbraw/zinc/09/78/70/333097870.db2.gz MWGBVJZDNPEMSH-IRXDYDNUSA-N 0 3 313.441 4.087 20 0 DIADHN O[C@H](CN[C@H](c1cccc(Cl)c1)C1CCCC1)C(F)(F)F ZINC000461384761 333100871 /nfs/dbraw/zinc/10/08/71/333100871.db2.gz YFCPYCLSMXDPJP-KGLIPLIRSA-N 0 3 321.770 4.084 20 0 DIADHN Cc1sc2[n-]c(C[NH2+][C@@]3(C)CCCC[C@@H]3C)nc(=O)c2c1C ZINC000308688066 333100974 /nfs/dbraw/zinc/10/09/74/333100974.db2.gz BMDFKBIMPJWEQW-BTDLBPIBSA-N 0 3 319.474 4.072 20 0 DIADHN COc1cc(CNCc2cc(C)c(C)cc2C)c2ccccc2n1 ZINC000462736982 333102238 /nfs/dbraw/zinc/10/22/38/333102238.db2.gz OINKQIYGQXDXLU-UHFFFAOYSA-N 0 3 320.436 4.458 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000461390175 333102810 /nfs/dbraw/zinc/10/28/10/333102810.db2.gz QTTOBNFMBKTDMU-GFCCVEGCSA-N 0 3 319.474 4.153 20 0 DIADHN CO[C@H](CNCc1cc(C)ccc1C)c1ccc(Cl)cc1 ZINC000462745223 333106942 /nfs/dbraw/zinc/10/69/42/333106942.db2.gz YLJLBJFUEXRMIE-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN COc1cc(CN[C@@H]2C[C@H]2C2CCCCC2)cc(Cl)c1OC ZINC000462764534 333109847 /nfs/dbraw/zinc/10/98/47/333109847.db2.gz NXFGCCUKBGEPCW-GOEBONIOSA-N 0 3 323.864 4.416 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCCN(Cc2ccccc2)CC1 ZINC000514332205 333114471 /nfs/dbraw/zinc/11/44/71/333114471.db2.gz PASHWKUGINVARH-QGZVFWFLSA-N 0 3 312.432 4.095 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCCCCO ZINC000192906362 333114834 /nfs/dbraw/zinc/11/48/34/333114834.db2.gz JWUBOIOQDLLLMX-UHFFFAOYSA-N 0 3 301.883 4.093 20 0 DIADHN C[C@H](NC[C@]1(C)CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462802564 333115721 /nfs/dbraw/zinc/11/57/21/333115721.db2.gz LKVQKMNLIYUWSE-SJCJKPOMSA-N 0 3 320.433 4.026 20 0 DIADHN C[C@@H](O)[C@](C)(CNCc1sccc1Cl)Cc1ccccc1 ZINC000487175586 333116003 /nfs/dbraw/zinc/11/60/03/333116003.db2.gz VQPIYSBCQSXPBX-DYVFJYSZSA-N 0 3 323.889 4.121 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCN(CC(C)(C)C)C2=O)c(C)s1 ZINC000460670621 333122664 /nfs/dbraw/zinc/12/26/64/333122664.db2.gz PISBLJMJQLRHRF-BBRMVZONSA-N 0 3 322.518 4.053 20 0 DIADHN C[C@@H](NCC[S@](=O)C(C)(C)C)c1cccc2ccccc21 ZINC000177346712 333127552 /nfs/dbraw/zinc/12/75/52/333127552.db2.gz PYGQIPNSCALLSM-SZNDQCEHSA-N 0 3 303.471 4.038 20 0 DIADHN C[C@@H](NCC[S@@](=O)C(C)(C)C)c1cccc2ccccc21 ZINC000177346681 333127741 /nfs/dbraw/zinc/12/77/41/333127741.db2.gz PYGQIPNSCALLSM-SPLOXXLWSA-N 0 3 303.471 4.038 20 0 DIADHN c1cc2nccc(N3CCCN(Cc4ccccc4)CC3)c2s1 ZINC000462096435 333133907 /nfs/dbraw/zinc/13/39/07/333133907.db2.gz DGYFQQPFEPCWTM-UHFFFAOYSA-N 0 3 323.465 4.009 20 0 DIADHN C[C@H](C(=O)Nc1ccc(CN(C)C)cc1)c1cccc(Cl)c1 ZINC000460763315 333137343 /nfs/dbraw/zinc/13/73/43/333137343.db2.gz OQQASDCSOASBEI-ZDUSSCGKSA-N 0 3 316.832 4.144 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1ccc(OCC(C)C)cc1 ZINC000178719520 333143046 /nfs/dbraw/zinc/14/30/46/333143046.db2.gz XZXJLGSXVZMGOO-LSDHHAIUSA-N 0 3 315.461 4.175 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCc2csc(C(C)(C)C)n2)s1 ZINC000179535680 333152221 /nfs/dbraw/zinc/15/22/21/333152221.db2.gz NFFYDJLXBLXSIQ-JTQLQIEISA-N 0 3 323.531 4.407 20 0 DIADHN CCCC[C@@H](CC)CC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000522672825 333161304 /nfs/dbraw/zinc/16/13/04/333161304.db2.gz CPSUGCSYQWDXSO-AEFFLSMTSA-N 0 3 304.478 4.012 20 0 DIADHN C[C@@H](NCCc1cscn1)c1cc2cc(Cl)ccc2o1 ZINC000181911412 333173398 /nfs/dbraw/zinc/17/33/98/333173398.db2.gz ZXHCBRKSKDPKPO-SNVBAGLBSA-N 0 3 306.818 4.436 20 0 DIADHN CC[C@@H](C(=O)N(C)[C@H](C)c1cc2ccccc2o1)N(CC)CC ZINC000460863124 333174529 /nfs/dbraw/zinc/17/45/29/333174529.db2.gz PPDGGJQXLVWWKQ-ZBFHGGJFSA-N 0 3 316.445 4.073 20 0 DIADHN CC[C@@H](C(=O)N(C)[C@@H](C)c1cc2ccccc2o1)N(CC)CC ZINC000460863115 333174647 /nfs/dbraw/zinc/17/46/47/333174647.db2.gz PPDGGJQXLVWWKQ-HOCLYGCPSA-N 0 3 316.445 4.073 20 0 DIADHN CCOCC(C)(C)NCc1ccc(Br)c(Cl)c1 ZINC000379149102 335127599 /nfs/dbraw/zinc/12/75/99/335127599.db2.gz DYFUNCXNPOBVRE-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CCN(CC)CC(=O)N[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000522749303 333187607 /nfs/dbraw/zinc/18/76/07/333187607.db2.gz WZXXKUPKXKJJLF-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)CN(C)Cc2ccccc2)c(C)o1 ZINC000309864350 333203847 /nfs/dbraw/zinc/20/38/47/333203847.db2.gz DZIBDPRVQWKIIC-HOCLYGCPSA-N 0 3 300.446 4.068 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@H](c3ccccc3)[C@H]2C)[n-]1 ZINC000582098224 333217227 /nfs/dbraw/zinc/21/72/27/333217227.db2.gz WKOXHJBSBUGJNI-SSRUIJIPSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H]2C[C@H](c3ccccc3)[C@H]2C)[nH]1 ZINC000582098224 333217229 /nfs/dbraw/zinc/21/72/29/333217229.db2.gz WKOXHJBSBUGJNI-SSRUIJIPSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@H](c3ccccc3)[C@@H]2C)[n-]1 ZINC000582098222 333217298 /nfs/dbraw/zinc/21/72/98/333217298.db2.gz WKOXHJBSBUGJNI-CUINURIISA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H]2C[C@H](c3ccccc3)[C@@H]2C)[nH]1 ZINC000582098222 333217299 /nfs/dbraw/zinc/21/72/99/333217299.db2.gz WKOXHJBSBUGJNI-CUINURIISA-N 0 3 312.461 4.161 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1ccccc1-n1ccnn1 ZINC000514084906 333234315 /nfs/dbraw/zinc/23/43/15/333234315.db2.gz SLAQPXRRMXSDLK-UGSOOPFHSA-N 0 3 324.453 4.131 20 0 DIADHN Fc1ccc([C@H](NCc2cccc3[nH]ccc32)[C@@H]2CCCO2)cc1 ZINC000518786008 333235730 /nfs/dbraw/zinc/23/57/30/333235730.db2.gz KMCCPDSCJKSQCQ-PMACEKPBSA-N 0 3 324.399 4.317 20 0 DIADHN C/C=C\c1ccc(NC(=O)N(CCC)CCN2CCCC2)cc1 ZINC000497345387 333238775 /nfs/dbraw/zinc/23/87/75/333238775.db2.gz XZUQHUBVMMVIRV-CLTKARDFSA-N 0 3 315.461 4.059 20 0 DIADHN CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Oc2ccccc2)cc1 ZINC000497348277 333239091 /nfs/dbraw/zinc/23/90/91/333239091.db2.gz WGSZMCVDRSCJCK-CDXJDZJCSA-N 0 3 323.436 4.457 20 0 DIADHN CC1(C)CCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000582107772 333246293 /nfs/dbraw/zinc/24/62/93/333246293.db2.gz KWIKSFGFSIIAFO-UHFFFAOYSA-N 0 3 310.445 4.045 20 0 DIADHN CCC[C@H](NCC[C@@H](OCC)C1CCCC1)c1ccccn1 ZINC000500615847 333250570 /nfs/dbraw/zinc/25/05/70/333250570.db2.gz JXBLQXZQWSTRQW-PKOBYXMFSA-N 0 3 304.478 4.498 20 0 DIADHN COc1c(C)cnc(CN[C@H](c2ccccc2)C2CCC2)c1C ZINC000110031067 333253537 /nfs/dbraw/zinc/25/35/37/333253537.db2.gz OQZAKSPTOQYAQB-LJQANCHMSA-N 0 3 310.441 4.338 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC[C@@H](c2ccnn2C)C1 ZINC000497497314 333256153 /nfs/dbraw/zinc/25/61/53/333256153.db2.gz VYENQYKTHWNDNL-UKRRQHHQSA-N 0 3 303.837 4.014 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@H](c2ccnn2C)C1 ZINC000497495747 333256680 /nfs/dbraw/zinc/25/66/80/333256680.db2.gz NTKWQUPBPDJGGX-OCCSQVGLSA-N 0 3 321.827 4.153 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)N(C)c1ccccc1)c1ccc(F)cn1 ZINC000497519248 333258057 /nfs/dbraw/zinc/25/80/57/333258057.db2.gz BEWFFUNLBYPUEN-HNAYVOBHSA-N 0 3 315.436 4.032 20 0 DIADHN Cc1cccc(C)c1OCCN[C@@H](c1ccc(F)cn1)C(C)C ZINC000497519125 333258172 /nfs/dbraw/zinc/25/81/72/333258172.db2.gz NIFZZRVMPNFMBK-GOSISDBHSA-N 0 3 316.420 4.203 20 0 DIADHN CCCNC(=O)CCN[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497539342 333260948 /nfs/dbraw/zinc/26/09/48/333260948.db2.gz VQYDVMPIQMTNQH-SFHVURJKSA-N 0 3 316.445 4.026 20 0 DIADHN CC(C)[C@@H](NCCSc1ccccc1)c1ccc(F)cn1 ZINC000497552842 333262005 /nfs/dbraw/zinc/26/20/05/333262005.db2.gz HPJHZAGQBZMTFU-QGZVFWFLSA-N 0 3 304.434 4.300 20 0 DIADHN CCOC(=O)CC1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC000497615567 333269932 /nfs/dbraw/zinc/26/99/32/333269932.db2.gz RDEPLUAZQJMLQN-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000501388255 333272617 /nfs/dbraw/zinc/27/26/17/333272617.db2.gz OHOHIHUHECCUKK-KXBFYZLASA-N 0 3 301.405 4.318 20 0 DIADHN C[C@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@@H]1CCCOC1 ZINC000503898422 333274048 /nfs/dbraw/zinc/27/40/48/333274048.db2.gz APSLKBUKPWXVAY-WDEREUQCSA-N 0 3 321.770 4.264 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccccc1)c1nc2ccccc2n1C ZINC000390393946 333276247 /nfs/dbraw/zinc/27/62/47/333276247.db2.gz FAQICQLIMBTRNJ-JKSUJKDBSA-N 0 3 307.441 4.245 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H](C)c2ccccc2)cc(Cl)c1[O-] ZINC000497671059 333276559 /nfs/dbraw/zinc/27/65/59/333276559.db2.gz PZTJDWMSAIQHAO-CYBMUJFWSA-N 0 3 319.832 4.338 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000497696829 333279780 /nfs/dbraw/zinc/27/97/80/333279780.db2.gz QWSLJPVJLULXQV-DZGCQCFKSA-N 0 3 300.471 4.304 20 0 DIADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccccc1C(F)(F)F)C1CC1 ZINC000388358734 333280924 /nfs/dbraw/zinc/28/09/24/333280924.db2.gz RUSIYVVHCPFIGK-ZETOZRRWSA-N 0 3 301.352 4.170 20 0 DIADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccccc1C(F)(F)F)C1CC1 ZINC000388358731 333280961 /nfs/dbraw/zinc/28/09/61/333280961.db2.gz RUSIYVVHCPFIGK-PGUXBMHVSA-N 0 3 301.352 4.170 20 0 DIADHN Cc1ccccc1C[C@@H](C)N[C@H](C)c1nc2c(s1)CCC2 ZINC000502015140 333282829 /nfs/dbraw/zinc/28/28/29/333282829.db2.gz HCOBTHPIDDORCG-ZIAGYGMSSA-N 0 3 300.471 4.222 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3ccccc32)cc1OC ZINC000120514776 333289663 /nfs/dbraw/zinc/28/96/63/333289663.db2.gz RZPMITZNUSUPKK-KBXCAEBGSA-N 0 3 311.425 4.432 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000502388472 333290957 /nfs/dbraw/zinc/29/09/57/333290957.db2.gz FAHKPFJKKAJBGT-JCGVRSQUSA-N 0 3 305.393 4.462 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](C)N2CCc3sccc3C2)oc1C ZINC000502421971 333292884 /nfs/dbraw/zinc/29/28/84/333292884.db2.gz ZPONYEOWEGZEFF-UONOGXRCSA-N 0 3 318.486 4.055 20 0 DIADHN C[C@@H](N[C@@H](C)CCn1cccn1)c1cccc(Cl)c1Cl ZINC000497814144 333296001 /nfs/dbraw/zinc/29/60/01/333296001.db2.gz WBJYAXLITJDVIS-NWDGAFQWSA-N 0 3 312.244 4.319 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@H](C)c2cc(C)c(C)o2)cc1 ZINC000502458682 333298845 /nfs/dbraw/zinc/29/88/45/333298845.db2.gz DMOUDDYDQDZPCB-ZIAGYGMSSA-N 0 3 303.402 4.023 20 0 DIADHN FC(F)Oc1ccccc1CNC[C@H]1CCCCC1(F)F ZINC000390559320 333299520 /nfs/dbraw/zinc/29/95/20/333299520.db2.gz AHITVITYKDUQFT-GFCCVEGCSA-N 0 3 305.315 4.203 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@H](c2ccc(F)cn2)C(C)C)c1 ZINC000497838301 333299684 /nfs/dbraw/zinc/29/96/84/333299684.db2.gz XXKKQGGWQPAGGX-KXBFYZLASA-N 0 3 316.420 4.283 20 0 DIADHN C[C@@H](C[C@@H](O)c1cccs1)NCc1sccc1Cl ZINC000193195830 333300154 /nfs/dbraw/zinc/30/01/54/333300154.db2.gz AODPLUPXMGBJDF-GXSJLCMTSA-N 0 3 301.864 4.065 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)NCc1sccc1Cl ZINC000193195840 333300163 /nfs/dbraw/zinc/30/01/63/333300163.db2.gz AODPLUPXMGBJDF-MWLCHTKSSA-N 0 3 301.864 4.065 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)N[C@@H](C)CC(=O)OC(C)(C)C)c1 ZINC000497850517 333301406 /nfs/dbraw/zinc/30/14/06/333301406.db2.gz BENCGKMLTOLYBQ-LSDHHAIUSA-N 0 3 321.461 4.245 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)Oc1cccc(F)c1)c1ccc(F)cn1 ZINC000497852649 333301723 /nfs/dbraw/zinc/30/17/23/333301723.db2.gz JWQRCDJCVSLNCP-ACJLOTCBSA-N 0 3 320.383 4.114 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CCc2cccs2)c1 ZINC000497876838 333304291 /nfs/dbraw/zinc/30/42/91/333304291.db2.gz NWJZSJDZDLFWOV-UHFFFAOYSA-N 0 3 316.470 4.161 20 0 DIADHN COC[C@@H](NCCSc1ccccc1F)c1ccc(F)cc1 ZINC000540475702 333304800 /nfs/dbraw/zinc/30/48/00/333304800.db2.gz BIYUPDJTHGMPDG-MRXNPFEDSA-N 0 3 323.408 4.034 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2ccccc2OC(F)F)C1 ZINC000525781407 333306541 /nfs/dbraw/zinc/30/65/41/333306541.db2.gz WSTIVQFOILLOHI-KGLIPLIRSA-N 0 3 315.429 4.394 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1ccccc1OC(F)F ZINC000390623156 333307855 /nfs/dbraw/zinc/30/78/55/333307855.db2.gz HIUKFDAVOJMCCG-GHMZBOCLSA-N 0 3 305.315 4.374 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1ccsc1Br ZINC000388456716 333310610 /nfs/dbraw/zinc/31/06/10/333310610.db2.gz CBTZNEZZPDRVBY-SECBINFHSA-N 0 3 310.207 4.036 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@H]2CCCCC2(F)F)s1 ZINC000390649830 333310700 /nfs/dbraw/zinc/31/07/00/333310700.db2.gz JHGUXWZWONZPAX-NSHDSACASA-N 0 3 302.434 4.356 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@@H]1C(C)(C)C)c1ccccc1Cl ZINC000525785934 333311606 /nfs/dbraw/zinc/31/16/06/333311606.db2.gz QXNZPPXEKXZRQK-CZUORRHYSA-N 0 3 322.880 4.028 20 0 DIADHN CCc1ccc(NC(=O)c2cccc(CN3CCCC3)c2)cc1 ZINC000497951412 333313861 /nfs/dbraw/zinc/31/38/61/333313861.db2.gz LNZDXQZJXHUXFW-UHFFFAOYSA-N 0 3 308.425 4.097 20 0 DIADHN CC[C@H](CSC)N[C@H]1CCc2sc(Br)cc21 ZINC000390681304 333314680 /nfs/dbraw/zinc/31/46/80/333314680.db2.gz XOIQZAMMPVUWCN-SCZZXKLOSA-N 0 3 320.321 4.229 20 0 DIADHN CC[C@@H](CSC)N[C@@H]1CCc2sc(Br)cc21 ZINC000390681305 333314682 /nfs/dbraw/zinc/31/46/82/333314682.db2.gz XOIQZAMMPVUWCN-WCBMZHEXSA-N 0 3 320.321 4.229 20 0 DIADHN CCN(C(=O)CCC(C)C)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000497959689 333314748 /nfs/dbraw/zinc/31/47/48/333314748.db2.gz YUFYYWIMSUKHHG-IEBWSBKVSA-N 0 3 316.489 4.107 20 0 DIADHN Fc1cc(CNC[C@@H]2CCCC2(F)F)ccc1Br ZINC000390717274 333318233 /nfs/dbraw/zinc/31/82/33/333318233.db2.gz YMGYMUQZZSWLIR-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN CCCCCC(=O)N(CC)[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000498007649 333319642 /nfs/dbraw/zinc/31/96/42/333319642.db2.gz BZJVRIFXQPUEFC-PKOBYXMFSA-N 0 3 316.489 4.251 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000391914301 335133510 /nfs/dbraw/zinc/13/35/10/335133510.db2.gz PNQQFBBFDBLYEJ-IAGOWNOFSA-N 0 3 324.468 4.309 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1ccc(Oc2ccccc2)o1 ZINC000503575017 333322311 /nfs/dbraw/zinc/32/23/11/333322311.db2.gz YHEHGTBBDSPOAW-CQSZACIVSA-N 0 3 303.402 4.365 20 0 DIADHN Cc1cc(CNC[C@H](C)Cc2ccc(C(C)(C)C)cc2)no1 ZINC000533937307 333322769 /nfs/dbraw/zinc/32/27/69/333322769.db2.gz RTFGBNYNXADSQA-CQSZACIVSA-N 0 3 300.446 4.249 20 0 DIADHN COC1(CN[C@H](C)c2ccccc2OC(F)F)CCCCC1 ZINC000319617695 333323433 /nfs/dbraw/zinc/32/34/33/333323433.db2.gz LBXGNTIWTRHYFR-CYBMUJFWSA-N 0 3 313.388 4.288 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@H](c2cccnc2)C1 ZINC000563073553 333324077 /nfs/dbraw/zinc/32/40/77/333324077.db2.gz WOYVBRAOFQVLOE-DOMZBBRYSA-N 0 3 304.796 4.425 20 0 DIADHN Cc1ccc2nc(CN3CC[C@H](c4ccccc4)[C@@H]3C)cn2c1 ZINC000531202540 333330693 /nfs/dbraw/zinc/33/06/93/333330693.db2.gz VWDAVQJZCSRZKZ-LPHOPBHVSA-N 0 3 305.425 4.021 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000531206555 333331056 /nfs/dbraw/zinc/33/10/56/333331056.db2.gz RXXUMLUPWHNUDI-GOSISDBHSA-N 0 3 315.461 4.084 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000537937798 333335716 /nfs/dbraw/zinc/33/57/16/333335716.db2.gz ARKMFNZJXDPCKJ-NHYWBVRUSA-N 0 3 301.833 4.173 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](CC)c1ccc(CC)cc1 ZINC000537938552 333336884 /nfs/dbraw/zinc/33/68/84/333336884.db2.gz DWIXRVGBSXBCDH-GOSISDBHSA-N 0 3 313.489 4.348 20 0 DIADHN CC(C)(CNCc1c[nH]c(-c2ccccc2)n1)Oc1ccccc1 ZINC000531251310 333337842 /nfs/dbraw/zinc/33/78/42/333337842.db2.gz XHHLPEWSVRHQPP-UHFFFAOYSA-N 0 3 321.424 4.024 20 0 DIADHN CC(C)(CNCc1cnc(-c2ccccc2)[nH]1)Oc1ccccc1 ZINC000531251310 333337844 /nfs/dbraw/zinc/33/78/44/333337844.db2.gz XHHLPEWSVRHQPP-UHFFFAOYSA-N 0 3 321.424 4.024 20 0 DIADHN CC[C@H](N[C@H](C)c1oc2ccc(OC)cc2c1C)[C@@H]1CCCO1 ZINC000537939168 333339548 /nfs/dbraw/zinc/33/95/48/333339548.db2.gz GMERPKIQPAQPPP-SKDZVZGDSA-N 0 3 317.429 4.358 20 0 DIADHN Brc1ccc2c(c1)CC[C@H]2NCc1ccccc1 ZINC000040226125 333341536 /nfs/dbraw/zinc/34/15/36/333341536.db2.gz ZPFVZBMCCRHXAX-MRXNPFEDSA-N 0 3 302.215 4.226 20 0 DIADHN CCn1c2ccccc2c2cc(CNCc3ccn(C)c3)ccc21 ZINC000531356302 333345791 /nfs/dbraw/zinc/34/57/91/333345791.db2.gz JRUPZLJVRISDEN-UHFFFAOYSA-N 0 3 317.436 4.443 20 0 DIADHN COC1CCC(CNCc2csc(-c3cccs3)n2)CC1 ZINC000531414746 333350517 /nfs/dbraw/zinc/35/05/17/333350517.db2.gz DVVKVHHNAXRMIG-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN Fc1ccc(F)c(CN(CCc2ccncc2)C2CCCC2)c1 ZINC000531441480 333353881 /nfs/dbraw/zinc/35/38/81/333353881.db2.gz PWMUFFYDMRDYOY-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1cnn(C)c1 ZINC000537941485 333353978 /nfs/dbraw/zinc/35/39/78/333353978.db2.gz SCJQLQJLQQCHDT-DOTOQJQBSA-N 0 3 317.502 4.258 20 0 DIADHN C[C@H](CNCc1ccc(CSC(F)F)o1)c1nccs1 ZINC000184288732 333354480 /nfs/dbraw/zinc/35/44/80/333354480.db2.gz GMCZKHDJZJOQRL-SECBINFHSA-N 0 3 318.414 4.085 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000397302511 333358560 /nfs/dbraw/zinc/35/85/60/333358560.db2.gz OXEVVNDSNNGTGE-YMTOWFKASA-N 0 3 306.208 4.210 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)C3CCCC3)co2)cc1 ZINC000184626216 333362621 /nfs/dbraw/zinc/36/26/21/333362621.db2.gz BTQCTSVMZSHQEP-ZDUSSCGKSA-N 0 3 300.402 4.019 20 0 DIADHN Fc1cccc(CN[C@@H]2CCc3ccc(Br)cc32)c1 ZINC000388623429 333363083 /nfs/dbraw/zinc/36/30/83/333363083.db2.gz JBDZHQUDOLLISY-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN Cc1cccc(CN2CCC(Oc3cncc(Cl)c3)CC2)c1 ZINC000583478457 333366926 /nfs/dbraw/zinc/36/69/26/333366926.db2.gz GBYYARNIXMYMSH-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN CCc1oc2ccccc2c1CNCCc1csc(C)n1 ZINC000221824393 333368354 /nfs/dbraw/zinc/36/83/54/333368354.db2.gz KNRPECNZSQGORK-UHFFFAOYSA-N 0 3 300.427 4.092 20 0 DIADHN CCCCOCCN[C@H](C)c1ccc(F)c(Br)c1 ZINC000040506932 333372534 /nfs/dbraw/zinc/37/25/34/333372534.db2.gz BQFMXHGTHGPGOO-LLVKDONJSA-N 0 3 318.230 4.056 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@@H](C)CC(F)(F)F)oc21 ZINC000221872531 333373071 /nfs/dbraw/zinc/37/30/71/333373071.db2.gz GWGHRCZOJIGPGU-VHSXEESVSA-N 0 3 301.308 4.433 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCOc3c(Cl)cccc32)CS1 ZINC000488429735 333376415 /nfs/dbraw/zinc/37/64/15/333376415.db2.gz FEAQTURQZYOSHT-UGFHNGPFSA-N 0 3 311.878 4.283 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cccc(F)c1 ZINC000186839778 333379536 /nfs/dbraw/zinc/37/95/36/333379536.db2.gz MVEXCBLKRKSYSU-LRDDRELGSA-N 0 3 301.361 4.356 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000186840943 333379723 /nfs/dbraw/zinc/37/97/23/333379723.db2.gz GFAKHBPXKMFVMB-GUYCJALGSA-N 0 3 315.388 4.399 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(OC)c1)c1cccc(F)c1 ZINC000186835042 333379903 /nfs/dbraw/zinc/37/99/03/333379903.db2.gz LFBSWUWRWZAEKS-INIZCTEOSA-N 0 3 303.377 4.084 20 0 DIADHN C[C@@H]1CCCCN1C(=O)CN1CCCCC[C@H]1c1ccccc1 ZINC000521426323 333381592 /nfs/dbraw/zinc/38/15/92/333381592.db2.gz SLKBBQZHSJFLSW-MJGOQNOKSA-N 0 3 314.473 4.005 20 0 DIADHN Cc1nc(CCN[C@H](C)c2oc3ccccc3c2C)cs1 ZINC000221951293 333384150 /nfs/dbraw/zinc/38/41/50/333384150.db2.gz STEOVCPAAPAYAF-GFCCVEGCSA-N 0 3 300.427 4.399 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CCCCC1)c1nc2c(s1)CCCC2 ZINC000188665520 333384770 /nfs/dbraw/zinc/38/47/70/333384770.db2.gz HZMRYOOHJIPGOW-SCLBCKFNSA-N 0 3 322.518 4.004 20 0 DIADHN CC[C@H](NC[C@H](c1ccco1)N(C)C)c1ccc(Cl)cc1 ZINC000021007600 333386362 /nfs/dbraw/zinc/38/63/62/333386362.db2.gz KLCQVQIARQJFCV-JKSUJKDBSA-N 0 3 306.837 4.277 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCSc2ccccc21)c1cccs1 ZINC000021500996 333388475 /nfs/dbraw/zinc/38/84/75/333388475.db2.gz KLGWTBBFSDNPLR-HUUCEWRRSA-N 0 3 318.511 4.178 20 0 DIADHN CC[C@H](NC[C@@H](c1ccco1)N(C)C)c1cccc(Cl)c1 ZINC000021518957 333388725 /nfs/dbraw/zinc/38/87/25/333388725.db2.gz FNJWFKXFONYAKZ-HOTGVXAUSA-N 0 3 306.837 4.277 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccco1)N(C)C)c1cccc(Cl)c1 ZINC000021518956 333388927 /nfs/dbraw/zinc/38/89/27/333388927.db2.gz FNJWFKXFONYAKZ-CVEARBPZSA-N 0 3 306.837 4.277 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](c2ccco2)N2CCCC2)s1 ZINC000021816451 333390680 /nfs/dbraw/zinc/39/06/80/333390680.db2.gz CQJWRAVTBGXAKU-CABCVRRESA-N 0 3 304.459 4.137 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1cnc(C2CC2)s1 ZINC000563106182 333391334 /nfs/dbraw/zinc/39/13/34/333391334.db2.gz CHVRLABABUGUMI-LBPRGKRZSA-N 0 3 304.434 4.223 20 0 DIADHN Cc1cc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)n(C)n1 ZINC000417801960 335137757 /nfs/dbraw/zinc/13/77/57/335137757.db2.gz AACMGJWKNWEZEE-ZIAGYGMSSA-N 0 3 305.853 4.006 20 0 DIADHN Cc1cc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)n(C)n1 ZINC000417801952 335137797 /nfs/dbraw/zinc/13/77/97/335137797.db2.gz AACMGJWKNWEZEE-UONOGXRCSA-N 0 3 305.853 4.006 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2cnc(CCc3ccccc3)s2)C1 ZINC000563121666 333392917 /nfs/dbraw/zinc/39/29/17/333392917.db2.gz ARGAXEQDAOJJMD-JKSUJKDBSA-N 0 3 318.461 4.299 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc2ccccc2c1 ZINC000122328033 333394522 /nfs/dbraw/zinc/39/45/22/333394522.db2.gz IOICCACFWQROET-AUUYWEPGSA-N 0 3 305.425 4.302 20 0 DIADHN Cc1cnc([C@@H](C)S[C@H]2CCCN(Cc3ccccc3)C2)o1 ZINC000563128723 333395156 /nfs/dbraw/zinc/39/51/56/333395156.db2.gz VNOYDFHZLJCICE-WBVHZDCISA-N 0 3 316.470 4.442 20 0 DIADHN COc1cccc([C@@H](C)NCc2c(C)c3ccccc3n2C)c1 ZINC000323564562 333398457 /nfs/dbraw/zinc/39/84/57/333398457.db2.gz WERWZGIREFRWEF-OAHLLOKOSA-N 0 3 308.425 4.346 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc3c(c2)OCCCO3)c1 ZINC000319708526 333398732 /nfs/dbraw/zinc/39/87/32/333398732.db2.gz DQZGEDMCILHIPR-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ccnc(N[C@@H]2CCN(Cc3ccccc3)CC2(C)C)c1 ZINC000390851795 333399707 /nfs/dbraw/zinc/39/97/07/333399707.db2.gz HERVFEUUJJNJIR-GOSISDBHSA-N 0 3 309.457 4.103 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2cnc(C3CCCCC3)s2)C1(C)C ZINC000531479482 333414798 /nfs/dbraw/zinc/41/47/98/333414798.db2.gz LVMBZFIDCJNFJK-HUUCEWRRSA-N 0 3 308.491 4.094 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2c3ccccc3C[C@@H]2O)C2CCC2)cc1 ZINC000187053523 333416058 /nfs/dbraw/zinc/41/60/58/333416058.db2.gz XRPNUCOPJKZOOQ-PCCBWWKXSA-N 0 3 307.437 4.084 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccccc2-n2cccn2)c1 ZINC000391179720 333422838 /nfs/dbraw/zinc/42/28/38/333422838.db2.gz JYLWZIVXMOENLN-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN c1csc(CCCNC(c2ccccc2)c2ccccc2)n1 ZINC000391186048 333423400 /nfs/dbraw/zinc/42/34/00/333423400.db2.gz WBJFUXDJUJLVBF-UHFFFAOYSA-N 0 3 308.450 4.455 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2ccco2)N2CCCC2)c(C)c1 ZINC000320079993 333423848 /nfs/dbraw/zinc/42/38/48/333423848.db2.gz VXVNLCMVWJJLIS-PKOBYXMFSA-N 0 3 312.457 4.384 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3ccnc(C)c3)ccc2c1 ZINC000391200956 333423927 /nfs/dbraw/zinc/42/39/27/333423927.db2.gz PGINRXPMKWVVGB-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCCCc1ccc([C@H](C)N[C@H]2CCCc3c2cnn3C)cc1 ZINC000320083512 333424276 /nfs/dbraw/zinc/42/42/76/333424276.db2.gz TZKTXWDRMSHZGX-KXBFYZLASA-N 0 3 311.473 4.491 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc(N(C)C)n2)C2CCC2)cc1 ZINC000187400201 333433080 /nfs/dbraw/zinc/43/30/80/333433080.db2.gz FJNHPQZQGQEBTC-FQEVSTJZSA-N 0 3 309.457 4.087 20 0 DIADHN CCc1cnc(CNCCCc2c[nH]c3ccc(F)cc23)s1 ZINC000337288551 333445226 /nfs/dbraw/zinc/44/52/26/333445226.db2.gz LNICGZUWZPFKMB-UHFFFAOYSA-N 0 3 317.433 4.048 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N(C)C)c1cccc(Cl)c1 ZINC000021792908 333451475 /nfs/dbraw/zinc/45/14/75/333451475.db2.gz YWVXXEPISNAYPF-WFASDCNBSA-N 0 3 308.878 4.355 20 0 DIADHN Cc1ccc(C[C@H](C)C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000182302754 333452454 /nfs/dbraw/zinc/45/24/54/333452454.db2.gz NPNXFRQHLLGFET-KRWDZBQOSA-N 0 3 324.468 4.182 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccc(F)cc1 ZINC000123047210 333465734 /nfs/dbraw/zinc/46/57/34/333465734.db2.gz AQBVBZGVKGEGLP-SJKOYZFVSA-N 0 3 315.392 4.118 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccc(F)cc1 ZINC000123046893 333465769 /nfs/dbraw/zinc/46/57/69/333465769.db2.gz AQBVBZGVKGEGLP-YVEFUNNKSA-N 0 3 315.392 4.118 20 0 DIADHN CCCOc1ccc([C@@H](C)NC[C@@H]2CCCO2)cc1OCCC ZINC000320256707 333469319 /nfs/dbraw/zinc/46/93/19/333469319.db2.gz BTNWNZHWSRSICB-WBVHZDCISA-N 0 3 321.461 4.094 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000571015965 333480547 /nfs/dbraw/zinc/48/05/47/333480547.db2.gz FJUOTWKCNLWDRO-LLVKDONJSA-N 0 3 323.843 4.149 20 0 DIADHN CCCc1csc(CNCCc2ccc(OC(C)C)cc2)n1 ZINC000571020633 333481402 /nfs/dbraw/zinc/48/14/02/333481402.db2.gz GEIWPOMSQYGCPD-UHFFFAOYSA-N 0 3 318.486 4.215 20 0 DIADHN COc1ccc(CCN[C@H](C)c2ccccc2Cl)cc1OC ZINC000216442775 333504023 /nfs/dbraw/zinc/50/40/23/333504023.db2.gz WDWFQTFVGQZRNI-CYBMUJFWSA-N 0 3 319.832 4.251 20 0 DIADHN FC(F)C1CCN(Cc2ccc(OCC(F)(F)F)cc2)CC1 ZINC000531726483 333544704 /nfs/dbraw/zinc/54/47/04/333544704.db2.gz IWRHUEFGUPEIBM-UHFFFAOYSA-N 0 3 323.305 4.105 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](c1ccsc1)N(C)C ZINC000531688928 333545065 /nfs/dbraw/zinc/54/50/65/333545065.db2.gz LGVUPVZPYIJJSK-PBHICJAKSA-N 0 3 318.486 4.019 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccccc1OC(F)(F)F ZINC000531693253 333546248 /nfs/dbraw/zinc/54/62/48/333546248.db2.gz ANERYSOHUKJLAO-LBPRGKRZSA-N 0 3 317.351 4.195 20 0 DIADHN COCc1ccc(CNCCc2ccc(C(C)(C)C)cc2)o1 ZINC000531702119 333547842 /nfs/dbraw/zinc/54/78/42/333547842.db2.gz YYJMWSMVDLDRGN-UHFFFAOYSA-N 0 3 301.430 4.056 20 0 DIADHN CO[C@H](CN[C@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000531701961 333547848 /nfs/dbraw/zinc/54/78/48/333547848.db2.gz SADMWRJLRSKWKC-ZWKOTPCHSA-N 0 3 301.817 4.305 20 0 DIADHN CCc1ccc(C(C)(C)CNCc2ccc(COC)o2)cc1 ZINC000531701549 333547973 /nfs/dbraw/zinc/54/79/73/333547973.db2.gz ZIWVAKLUFBHGHU-UHFFFAOYSA-N 0 3 301.430 4.056 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2c3cccc(F)c3CC[C@H]2C)c1C ZINC000531710297 333548869 /nfs/dbraw/zinc/54/88/69/333548869.db2.gz QCUPXGMNGKXYTB-BLVKFPJESA-N 0 3 315.436 4.072 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C(C)C)c1)c1cccc(OC)c1 ZINC000531717842 333550386 /nfs/dbraw/zinc/55/03/86/333550386.db2.gz CGTYFGJSKMPHCI-KBXCAEBGSA-N 0 3 301.434 4.275 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc(Cl)ccc2F)c1 ZINC000533972388 333552697 /nfs/dbraw/zinc/55/26/97/333552697.db2.gz BOHROSKKSDWGMM-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN COC1([C@H](C)N[C@@H](C)c2sccc2Br)CCC1 ZINC000563346311 333593657 /nfs/dbraw/zinc/59/36/57/333593657.db2.gz MHBRDDZJVFVJKE-UWVGGRQHSA-N 0 3 318.280 4.119 20 0 DIADHN Clc1ccc(-c2ncc(CN[C@H]3[C@@H]4CCC[C@@H]43)s2)cc1 ZINC000563442261 333607341 /nfs/dbraw/zinc/60/73/41/333607341.db2.gz HKNPGUYQKHXZQN-FICVDOATSA-N 0 3 304.846 4.352 20 0 DIADHN C[C@H](NC[C@@]1(CO)C[C@@H]1c1ccccc1)c1ccccc1Cl ZINC000563575059 333628421 /nfs/dbraw/zinc/62/84/21/333628421.db2.gz YVPHBYYQUFPJQK-POZUXBRTSA-N 0 3 315.844 4.157 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000563636219 333643860 /nfs/dbraw/zinc/64/38/60/333643860.db2.gz KHGDTWYIOVQAKS-NVXWUHKLSA-N 0 3 317.864 4.496 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCCCSCC(C)C ZINC000545924412 333651705 /nfs/dbraw/zinc/65/17/05/333651705.db2.gz BTOQPXWTJDBCCU-CQSZACIVSA-N 0 3 311.539 4.376 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2ccccc2F)c(C)s1 ZINC000411440271 333672378 /nfs/dbraw/zinc/67/23/78/333672378.db2.gz BJMQNQKDYSLCBJ-GFCCVEGCSA-N 0 3 320.433 4.183 20 0 DIADHN COc1cccc([C@H](C)NCc2cnc3ccc(C)cc3c2)c1 ZINC000411461381 333674781 /nfs/dbraw/zinc/67/47/81/333674781.db2.gz PLEJTOXYEYPKBK-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccc(Cl)cc1)c1cccs1 ZINC000411541484 333690635 /nfs/dbraw/zinc/69/06/35/333690635.db2.gz WRBOKXQJWRXYHC-NSHDSACASA-N 0 3 308.834 4.081 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1cc(-c2ccco2)on1 ZINC000411552418 333692949 /nfs/dbraw/zinc/69/29/49/333692949.db2.gz BAUGPSGFJGRUHK-CJNGLKHVSA-N 0 3 306.431 4.089 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc2ccccc2n1C(F)F ZINC000411814184 333707553 /nfs/dbraw/zinc/70/75/53/333707553.db2.gz CJFPHTHVJOOPIF-NDBYEHHHSA-N 0 3 305.372 4.271 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc2ccccc2n1C(F)F ZINC000411814181 333707603 /nfs/dbraw/zinc/70/76/03/333707603.db2.gz CJFPHTHVJOOPIF-FVCCEPFGSA-N 0 3 305.372 4.271 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1c(Cl)ccc2cccnc21)C1CC1 ZINC000411956290 333716796 /nfs/dbraw/zinc/71/67/96/333716796.db2.gz VHCNWQYYJSADEM-KZULUSFZSA-N 0 3 318.848 4.181 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cccc(N2CCC2=O)c1 ZINC000442823884 335155923 /nfs/dbraw/zinc/15/59/23/335155923.db2.gz SDWSEJNDPWSYHX-BEFAXECRSA-N 0 3 320.436 4.070 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cccc(N2CCC2=O)c1 ZINC000442823886 335155933 /nfs/dbraw/zinc/15/59/33/335155933.db2.gz SDWSEJNDPWSYHX-HNAYVOBHSA-N 0 3 320.436 4.070 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1cccc(OC(C)C)c1 ZINC000124643391 333727103 /nfs/dbraw/zinc/72/71/03/333727103.db2.gz DQDNDYFUOOFMQV-HNNXBMFYSA-N 0 3 323.440 4.281 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)cn1 ZINC000534451229 333772419 /nfs/dbraw/zinc/77/24/19/333772419.db2.gz YHJOXWJUTIGXME-UHFFFAOYSA-N 0 3 311.473 4.150 20 0 DIADHN Cc1ccc(CCNCc2ccc(F)c(C(F)(F)F)c2)o1 ZINC000534453742 333772834 /nfs/dbraw/zinc/77/28/34/333772834.db2.gz SXDPDQDOJRTQOP-UHFFFAOYSA-N 0 3 301.283 4.078 20 0 DIADHN Clc1ccc(CN[C@@H]2CCO[C@@H]2c2cccnc2)cc1Cl ZINC000343411500 335162878 /nfs/dbraw/zinc/16/28/78/335162878.db2.gz VMIRXNZYMUWYNZ-HZPDHXFCSA-N 0 3 323.223 4.008 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)on1 ZINC000571450905 333790720 /nfs/dbraw/zinc/79/07/20/333790720.db2.gz GYWOTUBMUZPEHA-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN CCC(O)(CC)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414078034 333892434 /nfs/dbraw/zinc/89/24/34/333892434.db2.gz QQUVJHBAZCFDRZ-ZDUSSCGKSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@@H](NC[C@@H](C)c1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000414082487 333893178 /nfs/dbraw/zinc/89/31/78/333893178.db2.gz VEACQJDCZFASBD-GHMZBOCLSA-N 0 3 312.244 4.181 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000414096155 333895473 /nfs/dbraw/zinc/89/54/73/333895473.db2.gz HJHHNURGBGCIRV-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN CO[C@@H]1CCC[C@H]1CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414095735 333895479 /nfs/dbraw/zinc/89/54/79/333895479.db2.gz HRCFOPXMUQNHGI-JLJPHGGASA-N 0 3 316.470 4.276 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ccc(Oc3ccccc3)cn2)C1 ZINC000414132960 333900209 /nfs/dbraw/zinc/90/02/09/333900209.db2.gz BGJFLABRAMBOPU-RKVPGOIHSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1)c1nc2c(s1)CCC2 ZINC000414141283 333901737 /nfs/dbraw/zinc/90/17/37/333901737.db2.gz IFOAGMSRNIUTCF-SDADXPQNSA-N 0 3 312.482 4.475 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC[C@@H](c3cccc(Cl)c3)C2)s1 ZINC000414145462 333902580 /nfs/dbraw/zinc/90/25/80/333902580.db2.gz UOWLFEWDQCYADX-ZBINZKHDSA-N 0 3 321.877 4.487 20 0 DIADHN CC[C@@H](N[C@H](C)c1csc(-c2ccccc2)n1)[C@@H](O)CC ZINC000414152577 333903686 /nfs/dbraw/zinc/90/36/86/333903686.db2.gz QZCCJXUWVYSSHP-XPKDYRNWSA-N 0 3 304.459 4.010 20 0 DIADHN CCC(CC)(CO)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414113401 333905159 /nfs/dbraw/zinc/90/51/59/333905159.db2.gz YOZPHZWWCDBPEX-AWEZNQCLSA-N 0 3 318.486 4.259 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1ccc2cncn2c1 ZINC000414176120 333908842 /nfs/dbraw/zinc/90/88/42/333908842.db2.gz HEDLONZWBCCZPE-LBPRGKRZSA-N 0 3 314.458 4.073 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000414184564 333910283 /nfs/dbraw/zinc/91/02/83/333910283.db2.gz FWFUSKIUPZNVBM-SQHYZVFZSA-N 0 3 321.873 4.489 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CS[C@H](C)C2)c2cccnc2)ccc1F ZINC000414236475 333917449 /nfs/dbraw/zinc/91/74/49/333917449.db2.gz VSDXMDQWVHMJHI-SKDZVZGDSA-N 0 3 316.445 4.102 20 0 DIADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000414237323 333917684 /nfs/dbraw/zinc/91/76/84/333917684.db2.gz WOCPJRQBWYXYRK-RBSFLKMASA-N 0 3 314.454 4.419 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@@H]2CS[C@@H](C)C2)c1 ZINC000414239526 333918123 /nfs/dbraw/zinc/91/81/23/333918123.db2.gz YWCSCHKZZDMLDA-SRVKXCTJSA-N 0 3 301.402 4.141 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@@H]2CS[C@H](C)C2)c1 ZINC000414239529 333918175 /nfs/dbraw/zinc/91/81/75/333918175.db2.gz YWCSCHKZZDMLDA-WOPDTQHZSA-N 0 3 301.402 4.141 20 0 DIADHN Clc1c(Br)cccc1CNC1CCSCC1 ZINC000414211202 333922164 /nfs/dbraw/zinc/92/21/64/333922164.db2.gz OIHFPLJDHBNNHL-UHFFFAOYSA-N 0 3 320.683 4.088 20 0 DIADHN COCC[C@@H](C)CN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000414214103 333922772 /nfs/dbraw/zinc/92/27/72/333922772.db2.gz YSSBHPBYPMTVJV-QRWLVFNGSA-N 0 3 324.424 4.179 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@H]1CCSc2ccccc21 ZINC000414220564 333923712 /nfs/dbraw/zinc/92/37/12/333923712.db2.gz KDHFNJGSJUMFIV-SCTDSRPQSA-N 0 3 311.450 4.126 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)nn1C ZINC000414224646 333924762 /nfs/dbraw/zinc/92/47/62/333924762.db2.gz ASWVWEYNUGTNSX-YSVLISHTSA-N 0 3 317.864 4.369 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@@H](N[C@@H](C)c2nccs2)C1 ZINC000414225072 333925025 /nfs/dbraw/zinc/92/50/25/333925025.db2.gz RVHKAPVEGRGKSH-SOUVJXGZSA-N 0 3 301.459 4.224 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1nc(-c2ccccc2)cs1 ZINC000414256410 333928380 /nfs/dbraw/zinc/92/83/80/333928380.db2.gz CRKZIMMTUQRMBY-HLPPOEQASA-N 0 3 316.470 4.274 20 0 DIADHN COc1cc(F)c([C@H](C)NC[C@H](C)CC(F)(F)F)cc1OC ZINC000414280255 333932825 /nfs/dbraw/zinc/93/28/25/333932825.db2.gz KWXOPSXPTFIVER-ZJUUUORDSA-N 0 3 323.330 4.082 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000414311639 333937541 /nfs/dbraw/zinc/93/75/41/333937541.db2.gz YOHZTFODVROLMX-IBGZPJMESA-N 0 3 313.420 4.199 20 0 DIADHN C[C@H](Cc1cn(C)c2ccc(F)cc12)N[C@@H](C)c1nccs1 ZINC000414347831 333943422 /nfs/dbraw/zinc/94/34/22/333943422.db2.gz NSBIENCSDMQZBT-NEPJUHHUSA-N 0 3 317.433 4.056 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H]2C[C@H]2c2ccco2)cc1OCC ZINC000414346705 333943485 /nfs/dbraw/zinc/94/34/85/333943485.db2.gz VAVWKKCUZHZEGJ-NUEKZKHPSA-N 0 3 315.413 4.284 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)cn1 ZINC000414357913 333944827 /nfs/dbraw/zinc/94/48/27/333944827.db2.gz KRULAHBVHYZOBX-XHBKTUGNSA-N 0 3 315.848 4.179 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1C[C@@](C)(OC)C1(C)C)CCS2 ZINC000343470322 335167668 /nfs/dbraw/zinc/16/76/68/335167668.db2.gz RONMYQBTBVKKDB-QGPMSJSTSA-N 0 3 321.486 4.025 20 0 DIADHN CCCC[C@H](COC)N[C@@H]1CCSc2ccc(OC)cc21 ZINC000343472735 335167677 /nfs/dbraw/zinc/16/76/77/335167677.db2.gz VZFXFYQISRUPKY-CZUORRHYSA-N 0 3 309.475 4.027 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)CC(F)(F)F)CCS2 ZINC000343472582 335167872 /nfs/dbraw/zinc/16/78/72/335167872.db2.gz UYLZJGNSZDLNLX-JOYOIKCWSA-N 0 3 305.365 4.163 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CC(C)(C)OC1(C)C)CCS2 ZINC000343475222 335167998 /nfs/dbraw/zinc/16/79/98/335167998.db2.gz YBVKKCUGPIIEKM-GOEBONIOSA-N 0 3 321.486 4.168 20 0 DIADHN CN(C)Cc1ccc(NC2CCC(C(F)F)CC2)c(F)c1 ZINC000414499274 333998672 /nfs/dbraw/zinc/99/86/72/333998672.db2.gz OJXRBYQAIAJOFQ-UHFFFAOYSA-N 0 3 300.368 4.123 20 0 DIADHN C[C@H](CCCC(F)(F)F)Nc1ccc(CN(C)C)cc1F ZINC000414502084 333999387 /nfs/dbraw/zinc/99/93/87/333999387.db2.gz TZHBYUHKDIYBOC-LLVKDONJSA-N 0 3 306.347 4.420 20 0 DIADHN C[C@@H]1C[C@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CS1 ZINC000414503828 334000374 /nfs/dbraw/zinc/00/03/74/334000374.db2.gz WXAKKGOEOOJOFR-MFKMUULPSA-N 0 3 324.902 4.447 20 0 DIADHN CC[C@H](CNCc1ncc(CSC)s1)CC(F)(F)F ZINC000414505738 334000896 /nfs/dbraw/zinc/00/08/96/334000896.db2.gz AJSXLWOHSMNFLJ-VIFPVBQESA-N 0 3 312.426 4.074 20 0 DIADHN CSc1ccc(CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000343502637 335169864 /nfs/dbraw/zinc/16/98/64/335169864.db2.gz ZAOVFZABJREEBN-QGZVFWFLSA-N 0 3 302.418 4.184 20 0 DIADHN F[C@@H]1CCCC[C@H]1NCc1ccc(OCc2ccncc2)cc1 ZINC000414512617 334003274 /nfs/dbraw/zinc/00/32/74/334003274.db2.gz NHEJUNZOPUOYSJ-RTBURBONSA-N 0 3 314.404 4.031 20 0 DIADHN C[C@H](CNCc1nc2ccc(F)cc2s1)CC(F)(F)F ZINC000414516180 334004371 /nfs/dbraw/zinc/00/43/71/334004371.db2.gz OOCAAKOVMYCVEZ-QMMMGPOBSA-N 0 3 306.328 4.114 20 0 DIADHN CC(C)[C@@H]1N(C/C=C/c2ccc(F)c(F)c2)CC12CCOCC2 ZINC000414521645 334006397 /nfs/dbraw/zinc/00/63/97/334006397.db2.gz AHTLNEPRGIBXLZ-WHLIDYPQSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)[C@H]1N(CCc2cccc(Cl)c2)CC12CCOCC2 ZINC000414542072 334013608 /nfs/dbraw/zinc/01/36/08/334013608.db2.gz QZOSUUXCRCKTCJ-QGZVFWFLSA-N 0 3 307.865 4.020 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc(CSC(F)F)o2)CCO1 ZINC000271320268 334021145 /nfs/dbraw/zinc/02/11/45/334021145.db2.gz ARSGZIPENQKGKZ-SMDDNHRTSA-N 0 3 319.417 4.029 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cnccc1C)c1ccsc1 ZINC000271375457 334022107 /nfs/dbraw/zinc/02/21/07/334022107.db2.gz HRDRUOXACOUWKX-QAPCUYQASA-N 0 3 317.502 4.185 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc2c(c1)COC2 ZINC000271415248 334022867 /nfs/dbraw/zinc/02/28/67/334022867.db2.gz ARSMLOUSGSQZRE-VBKZILBWSA-N 0 3 323.436 4.454 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CCC[C@H](CO)C2)o1 ZINC000414567184 334023255 /nfs/dbraw/zinc/02/32/55/334023255.db2.gz GKUCXJHCLHHDEL-HOCLYGCPSA-N 0 3 317.404 4.035 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc([S@](C)=O)cc1 ZINC000271483506 334023968 /nfs/dbraw/zinc/02/39/68/334023968.db2.gz NSSFRKVCCLNVNQ-XJWDEFRUSA-N 0 3 313.466 4.323 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc4c(c3)COC4)CC2)c1 ZINC000272269533 334032420 /nfs/dbraw/zinc/03/24/20/334032420.db2.gz MZYCVOVRGPYHDS-CQSZACIVSA-N 0 3 309.409 4.065 20 0 DIADHN COc1ccccc1[C@@H]1CCN([C@H](C)c2nc3ccccc3o2)C1 ZINC000272504422 334035849 /nfs/dbraw/zinc/03/58/49/334035849.db2.gz JEZRZBIEHVBQTE-HUUCEWRRSA-N 0 3 322.408 4.387 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(Cn3ccnc3)cc2)c2ccccc21 ZINC000343526512 335174919 /nfs/dbraw/zinc/17/49/19/335174919.db2.gz JRIFTSPHGMBXHH-IIBYNOLFSA-N 0 3 317.436 4.270 20 0 DIADHN C[C@H](c1cccs1)N(CCC1OCCO1)Cc1ccccc1 ZINC000274305822 334059383 /nfs/dbraw/zinc/05/93/83/334059383.db2.gz CFDFTUMXDPPJRR-OAHLLOKOSA-N 0 3 317.454 4.074 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1cnc2ccccc2c1 ZINC000343531903 335175530 /nfs/dbraw/zinc/17/55/30/335175530.db2.gz PFIQYOBGCFQTEG-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC(OCC3CC3)CC1)CC2 ZINC000343532040 335175561 /nfs/dbraw/zinc/17/55/61/335175561.db2.gz FECYCLDLXICRKT-SFHVURJKSA-N 0 3 305.849 4.218 20 0 DIADHN C[C@H]1C[C@H](NCc2cccc(Cn3ccnc3)c2)c2ccccc21 ZINC000343508963 335172635 /nfs/dbraw/zinc/17/26/35/335172635.db2.gz IPMWKRMNJLWXSF-KKSFZXQISA-N 0 3 317.436 4.270 20 0 DIADHN CCCC[C@@H](NCc1ccc(NC(C)=O)nc1)c1ccccc1 ZINC000278981405 334104415 /nfs/dbraw/zinc/10/44/15/334104415.db2.gz DAYLXMXMEZXDQJ-GOSISDBHSA-N 0 3 311.429 4.061 20 0 DIADHN C[C@H](O)CCCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000279103798 334105689 /nfs/dbraw/zinc/10/56/89/334105689.db2.gz OPEUNFJKOALSBL-KBXCAEBGSA-N 0 3 303.833 4.180 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000279328362 334107983 /nfs/dbraw/zinc/10/79/83/334107983.db2.gz SYKCNKBLIVBXJU-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN CC(C)c1ncc(CNC[C@H](N)c2ccc(C(C)C)cc2)s1 ZINC000414841319 334112974 /nfs/dbraw/zinc/11/29/74/334112974.db2.gz HSPZCSHSHJPDJV-KRWDZBQOSA-N 0 3 317.502 4.180 20 0 DIADHN CO[C@]1(C)CCCN([C@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000280566507 334118304 /nfs/dbraw/zinc/11/83/04/334118304.db2.gz RPEDLZCEAKSFOK-DNVCBOLYSA-N 0 3 314.429 4.294 20 0 DIADHN CO[C@]1(C)CCCN([C@@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000280566508 334118407 /nfs/dbraw/zinc/11/84/07/334118407.db2.gz RPEDLZCEAKSFOK-HNAYVOBHSA-N 0 3 314.429 4.294 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2c(F)cncc2F)cc1 ZINC000285738419 334149608 /nfs/dbraw/zinc/14/96/08/334149608.db2.gz RGKOJQVAYOLNHO-QWHCGFSZSA-N 0 3 320.383 4.040 20 0 DIADHN Cc1cccc([C@H](NCC2=CCCOC2)c2cccc(F)c2)c1 ZINC000287006724 334155880 /nfs/dbraw/zinc/15/58/80/334155880.db2.gz KKSRPYJBBVUFMQ-FQEVSTJZSA-N 0 3 311.400 4.160 20 0 DIADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1nc(-c2ccccc2)cs1 ZINC000287323322 334157330 /nfs/dbraw/zinc/15/73/30/334157330.db2.gz FNKLLEGNBBWSMN-OBJOEFQTSA-N 0 3 304.484 4.212 20 0 DIADHN C[C@H](N[C@H]1CSC[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000287323306 334157363 /nfs/dbraw/zinc/15/73/63/334157363.db2.gz FNKLLEGNBBWSMN-DYEKYZERSA-N 0 3 304.484 4.212 20 0 DIADHN Cn1ccnc1[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)C1CC1 ZINC000366658997 334159588 /nfs/dbraw/zinc/15/95/88/334159588.db2.gz ADGXUCKXNPCWHF-DJIMGWMZSA-N 0 3 315.848 4.060 20 0 DIADHN C[C@@H](N[C@@H](C)c1nccc2ccccc21)[C@H](O)c1ccc(F)cc1 ZINC000289801391 334168007 /nfs/dbraw/zinc/16/80/07/334168007.db2.gz OXTDXGRPHZTRND-MNVSYLFESA-N 0 3 324.399 4.147 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccccc1C(F)(F)F ZINC000414906311 334169610 /nfs/dbraw/zinc/16/96/10/334169610.db2.gz AQWRDZKVPOZGGH-QGZVFWFLSA-N 0 3 320.358 4.455 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3cc(N)ccc32)cc1Cl ZINC000414911000 334173329 /nfs/dbraw/zinc/17/33/29/334173329.db2.gz CZGMHBGAFYXAMR-QGZVFWFLSA-N 0 3 316.832 4.098 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H]2CCCc3cc(N)ccc32)c1 ZINC000414913549 334175211 /nfs/dbraw/zinc/17/52/11/334175211.db2.gz JAYVIRUCFRHLSK-HXUWFJFHSA-N 0 3 310.441 4.223 20 0 DIADHN CCOCCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291168793 334176977 /nfs/dbraw/zinc/17/69/77/334176977.db2.gz JRTLGPZZAVWDAA-LBPRGKRZSA-N 0 3 308.422 4.026 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCCc3cc(N)ccc32)cc1 ZINC000414919076 334179420 /nfs/dbraw/zinc/17/94/20/334179420.db2.gz UEWISVUMRVAQDV-FQEVSTJZSA-N 0 3 310.441 4.223 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(C(F)(F)F)cc1 ZINC000414920471 334181057 /nfs/dbraw/zinc/18/10/57/334181057.db2.gz XTHNTUCEKQWPRS-QGZVFWFLSA-N 0 3 320.358 4.455 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc(-n2ccnn2)cc1 ZINC000343668489 335181212 /nfs/dbraw/zinc/18/12/12/335181212.db2.gz NQKBZUPRUFNYQU-QEEYODRMSA-N 0 3 318.424 4.166 20 0 DIADHN COc1ccc2oc(CN[C@@H]3CCCc4cc(N)ccc43)cc2c1 ZINC000414932010 334195420 /nfs/dbraw/zinc/19/54/20/334195420.db2.gz QPACMLHVNPKKKU-LJQANCHMSA-N 0 3 322.408 4.191 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN[C@H](C)c1cn[nH]c1 ZINC000293353863 334197437 /nfs/dbraw/zinc/19/74/37/334197437.db2.gz UAUUCNFAUNDXBB-SNVBAGLBSA-N 0 3 305.834 4.437 20 0 DIADHN CC[C@H](NCc1ccc(OC(C)C)nc1)c1cccc(F)c1 ZINC000187782869 334272149 /nfs/dbraw/zinc/27/21/49/334272149.db2.gz BALWZMKZJLYJII-KRWDZBQOSA-N 0 3 302.393 4.249 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cccc(Cl)c1F ZINC000340450660 334205213 /nfs/dbraw/zinc/20/52/13/334205213.db2.gz WKGQDLGPMZXFTR-IINYFYTJSA-N 0 3 308.784 4.382 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@H]1C)c1ncc(Br)s1 ZINC000534495548 334277556 /nfs/dbraw/zinc/27/75/56/334277556.db2.gz AWSSCUUEHKDKHI-VWYCJHECSA-N 0 3 317.296 4.383 20 0 DIADHN CC[C@H](NCc1ccc(-n2cccn2)cc1)c1cccc(F)c1 ZINC000187776776 334272613 /nfs/dbraw/zinc/27/26/13/334272613.db2.gz MOCHHXBJFCPYTI-IBGZPJMESA-N 0 3 309.388 4.252 20 0 DIADHN Cc1cc2cc([C@H](C)NCCc3nccnc3C)oc2cc1C ZINC000294958827 334215098 /nfs/dbraw/zinc/21/50/98/334215098.db2.gz JCHGVWCYVPMWLB-HNNXBMFYSA-N 0 3 309.413 4.041 20 0 DIADHN CCCc1ccc2nccc(NCc3nnc(C(C)C)n3C)c2c1 ZINC000295064751 334216808 /nfs/dbraw/zinc/21/68/08/334216808.db2.gz PTEUYIQWKNWTML-UHFFFAOYSA-N 0 3 323.444 4.051 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc3c(c2)CCCN3)cc1 ZINC000414970353 334217095 /nfs/dbraw/zinc/21/70/95/334217095.db2.gz GMIYVBZCVGHUAX-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN CCCOc1cccc(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414969042 334217695 /nfs/dbraw/zinc/21/76/95/334217695.db2.gz DNDXBUMBRQMYFW-UHFFFAOYSA-N 0 3 310.441 4.123 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000295245465 334221120 /nfs/dbraw/zinc/22/11/20/334221120.db2.gz PQFQDMRUNUMRDK-JLJPHGGASA-N 0 3 301.409 4.029 20 0 DIADHN Fc1ccc(CNCc2ccc3c(c2)CCCN3)c2ncccc12 ZINC000414979306 334224465 /nfs/dbraw/zinc/22/44/65/334224465.db2.gz NOGKEDDJTJDXBK-UHFFFAOYSA-N 0 3 321.399 4.022 20 0 DIADHN COc1ccc2oc(CNCc3ccc4c(c3)CCCN4)cc2c1 ZINC000414980085 334224775 /nfs/dbraw/zinc/22/47/75/334224775.db2.gz OWSUFEJUEQJIOM-UHFFFAOYSA-N 0 3 322.408 4.089 20 0 DIADHN CC[C@@H](NCc1cccc(Cn2cccn2)c1)c1cccc(F)c1 ZINC000187808777 334273830 /nfs/dbraw/zinc/27/38/30/334273830.db2.gz DBCYPQUIGXQEMF-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)CCCN3)cc1Cl ZINC000414982724 334227128 /nfs/dbraw/zinc/22/71/28/334227128.db2.gz SHHSFYIARJRBPH-UHFFFAOYSA-N 0 3 300.833 4.296 20 0 DIADHN Fc1ccc(CNCc2ccc3c(c2)CCCN3)cc1Cl ZINC000414987898 334229306 /nfs/dbraw/zinc/22/93/06/334229306.db2.gz ZKFQAGKILYNSOQ-UHFFFAOYSA-N 0 3 304.796 4.127 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1cc2ccccc2s1 ZINC000187825645 334274292 /nfs/dbraw/zinc/27/42/92/334274292.db2.gz ZYHPRFYJQWBZMT-GFCCVEGCSA-N 0 3 315.413 4.384 20 0 DIADHN CCSc1cc(CN[C@H]2CCCc3cc(F)ccc32)ccn1 ZINC000187788517 334274666 /nfs/dbraw/zinc/27/46/66/334274666.db2.gz CIFQHIBKAAYHNE-KRWDZBQOSA-N 0 3 316.445 4.500 20 0 DIADHN CC(F)(F)CCN[C@H]1CCc2c1c(Br)ccc2F ZINC000453226299 334241661 /nfs/dbraw/zinc/24/16/61/334241661.db2.gz XPINONKKBYHDQS-NSHDSACASA-N 0 3 322.168 4.210 20 0 DIADHN Cc1ccc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)cc1C ZINC000415018871 334259118 /nfs/dbraw/zinc/25/91/18/334259118.db2.gz CZFJZMQGPYNKGV-GOSISDBHSA-N 0 3 310.441 4.278 20 0 DIADHN Cc1ccc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)c(C)c1 ZINC000415023133 334259703 /nfs/dbraw/zinc/25/97/03/334259703.db2.gz KLZBLDPFQQDWSU-SFHVURJKSA-N 0 3 310.441 4.278 20 0 DIADHN Cc1ccsc1CN[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000415024684 334259892 /nfs/dbraw/zinc/25/98/92/334259892.db2.gz NZBSPSDOXZBKBT-AWEZNQCLSA-N 0 3 302.443 4.031 20 0 DIADHN Fc1cc2cccnc2c(CNCc2cccc3c2CCCN3)c1 ZINC000415043153 334260982 /nfs/dbraw/zinc/26/09/82/334260982.db2.gz VQPDMCOUWJBWSN-UHFFFAOYSA-N 0 3 321.399 4.022 20 0 DIADHN CC[C@@H]1CN(Cc2cc(-c3ccccc3)cs2)CCCO1 ZINC000467555831 334267981 /nfs/dbraw/zinc/26/79/81/334267981.db2.gz WJAVFLVGVKWUCL-QGZVFWFLSA-N 0 3 301.455 4.416 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1cc(Cl)ccc1Cl ZINC000537961658 334271176 /nfs/dbraw/zinc/27/11/76/334271176.db2.gz RZUJGOIFRKQCCR-LLVKDONJSA-N 0 3 302.245 4.197 20 0 DIADHN CCSc1ccc([C@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000534497423 334277801 /nfs/dbraw/zinc/27/78/01/334277801.db2.gz VSWAGUKJJJMMEL-YOEHRIQHSA-N 0 3 318.486 4.345 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ncc(Br)s1 ZINC000534495956 334277814 /nfs/dbraw/zinc/27/78/14/334277814.db2.gz BKOZKJKKCNWSQG-YTWAJWBKSA-N 0 3 317.296 4.381 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](CO)c2ccccc2C)cc1 ZINC000534496531 334277940 /nfs/dbraw/zinc/27/79/40/334277940.db2.gz UDAGWRPQYFVIFS-DNVCBOLYSA-N 0 3 315.482 4.491 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1c(C)cccc1Cl ZINC000534548645 334281289 /nfs/dbraw/zinc/28/12/89/334281289.db2.gz XTZPCHOMLREAMU-UHFFFAOYSA-N 0 3 310.869 4.345 20 0 DIADHN CC[C@H](NCc1cccc2c1OCCCO2)c1cccs1 ZINC000048417176 334286040 /nfs/dbraw/zinc/28/60/40/334286040.db2.gz GZRXLTDXDHZKME-AWEZNQCLSA-N 0 3 303.427 4.150 20 0 DIADHN CC[C@@H](NCc1cccc2c1OCCCO2)c1cccs1 ZINC000048417179 334286044 /nfs/dbraw/zinc/28/60/44/334286044.db2.gz GZRXLTDXDHZKME-CQSZACIVSA-N 0 3 303.427 4.150 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCC(=O)N(C)Cc2ccccc2)cc1 ZINC000302758057 334295000 /nfs/dbraw/zinc/29/50/00/334295000.db2.gz SGYGAPLUZGCBQO-QGZVFWFLSA-N 0 3 324.468 4.119 20 0 DIADHN CCOc1cc(CN(C)[C@@H]2C=CCCC2)ccc1OC(F)F ZINC000110930873 334297805 /nfs/dbraw/zinc/29/78/05/334297805.db2.gz WMJMNJNCDBRUJE-CQSZACIVSA-N 0 3 311.372 4.227 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)ncn2C)c1cc2ccccc2o1 ZINC000443001045 338441471 /nfs/dbraw/zinc/44/14/71/338441471.db2.gz LPBQHCVRYJTEKJ-CYBMUJFWSA-N 0 3 305.381 4.170 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC[C@H]2c2ccc[nH]2)cc1 ZINC000110982571 334298891 /nfs/dbraw/zinc/29/88/91/334298891.db2.gz WCGZJHHKDDWLIO-HNNXBMFYSA-N 0 3 310.319 4.250 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(Br)c2)cc1 ZINC000048817690 334299147 /nfs/dbraw/zinc/29/91/47/334299147.db2.gz UJJYTCPGLMNJCG-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN C[C@H](NCCCOc1ccccc1)c1ccc2ccccc2n1 ZINC000531945810 334301132 /nfs/dbraw/zinc/30/11/32/334301132.db2.gz BOTBKBXERSSZMC-INIZCTEOSA-N 0 3 306.409 4.355 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1nc(-c2ccco2)no1 ZINC000111056829 334301138 /nfs/dbraw/zinc/30/11/38/334301138.db2.gz IXDILWYRBLWDJB-QWHCGFSZSA-N 0 3 303.406 4.024 20 0 DIADHN CO[C@H](CN[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531956704 334308446 /nfs/dbraw/zinc/30/84/46/334308446.db2.gz AOJACWVQBABQMK-QZTJIDSGSA-N 0 3 301.817 4.305 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(c2nc3ccccc3o2)CC1 ZINC000303275568 334311338 /nfs/dbraw/zinc/31/13/38/334311338.db2.gz KVNYWMZWPPEXNT-GOSISDBHSA-N 0 3 321.424 4.101 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](OC)c2ccccc2)cc1Cl ZINC000531957530 334313443 /nfs/dbraw/zinc/31/34/43/334313443.db2.gz SCJBVSZSSPPROI-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1c(C)cccc1C)C1CC1 ZINC000111227091 334316642 /nfs/dbraw/zinc/31/66/42/334316642.db2.gz DMPWKGLPBXDQHK-XJKSGUPXSA-N 0 3 302.462 4.141 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1cccc(N(C)C)c1 ZINC000531961059 334317072 /nfs/dbraw/zinc/31/70/72/334317072.db2.gz QJBXYDMSUKVUBX-JLTOFOAXSA-N 0 3 312.432 4.305 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC23CCCCC3)c(C)c1 ZINC000534664822 334318559 /nfs/dbraw/zinc/31/85/59/334318559.db2.gz AGONHILRURDXRL-UHFFFAOYSA-N 0 3 300.446 4.041 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000531962568 334320530 /nfs/dbraw/zinc/32/05/30/334320530.db2.gz YGXDHYXVKDBNTH-QHAWAJNXSA-N 0 3 307.437 4.492 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN(CCCO)CC(C)(C)C)c1C ZINC000303380986 334322100 /nfs/dbraw/zinc/32/21/00/334322100.db2.gz NWKZNLFIUOBVDK-UHFFFAOYSA-N 0 3 319.489 4.045 20 0 DIADHN CC(C)C[C@H](NCC(=O)Nc1ccc(F)cc1)c1cccs1 ZINC000531964376 334323247 /nfs/dbraw/zinc/32/32/47/334323247.db2.gz POWIPMYDMYSHSP-HNNXBMFYSA-N 0 3 320.433 4.203 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1ccccc1Cl ZINC000101586599 334333128 /nfs/dbraw/zinc/33/31/28/334333128.db2.gz YFIKOGRUHZQFGV-CYBMUJFWSA-N 0 3 302.805 4.019 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCC[C@H](C)C1)c1ccsc1 ZINC000303833657 334333465 /nfs/dbraw/zinc/33/34/65/334333465.db2.gz BVLYUCSHGHKDSO-YQQAZPJKSA-N 0 3 322.518 4.074 20 0 DIADHN CCSCCN(Cc1cccc(Br)c1)C1CC1 ZINC000303853651 334338448 /nfs/dbraw/zinc/33/84/48/334338448.db2.gz FBWNYDOFROIEJG-UHFFFAOYSA-N 0 3 314.292 4.167 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCc2ccc(OC)cc2)s1 ZINC000303952648 334348117 /nfs/dbraw/zinc/34/81/17/334348117.db2.gz WDSPQOFYOMCRNN-CQSZACIVSA-N 0 3 318.486 4.258 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCc2ccc(OC)cc2)s1 ZINC000303952647 334348129 /nfs/dbraw/zinc/34/81/29/334348129.db2.gz WDSPQOFYOMCRNN-AWEZNQCLSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](NCCOCC(F)(F)F)c1ccc(C(C)(C)C)cc1 ZINC000111636819 334348335 /nfs/dbraw/zinc/34/83/35/334348335.db2.gz WCXVDZBDVUNDRF-GFCCVEGCSA-N 0 3 303.368 4.214 20 0 DIADHN FC(F)(F)C1(C(F)(F)F)CCN(CCC2CCCC2)C1 ZINC000303955349 334348417 /nfs/dbraw/zinc/34/84/17/334348417.db2.gz WYZCAROSNJEHHH-UHFFFAOYSA-N 0 3 303.290 4.383 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccccc1C(F)(F)F ZINC000111634865 334348426 /nfs/dbraw/zinc/34/84/26/334348426.db2.gz ABVVMXVXJXDEBD-LBPRGKRZSA-N 0 3 301.352 4.315 20 0 DIADHN C[C@@H](NCCOCC(F)(F)F)c1ccc(Cl)c(Cl)c1 ZINC000111637292 334348646 /nfs/dbraw/zinc/34/86/46/334348646.db2.gz IQSJEFVNCJSJSY-MRVPVSSYSA-N 0 3 316.150 4.223 20 0 DIADHN Cc1c(Cl)cc(F)c(NC(=O)C(C)C(F)(F)F)c1F ZINC000534925991 334349067 /nfs/dbraw/zinc/34/90/67/334349067.db2.gz PNBBEVRBWSJRCL-RXMQYKEDSA-N 0 3 301.642 4.064 20 0 DIADHN C[C@H](NCCc1cscn1)c1ccc(Cl)cc1Cl ZINC000111626509 334349489 /nfs/dbraw/zinc/34/94/89/334349489.db2.gz VOMCWNKNRGZLFE-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN OCCC[C@H](N[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531979388 334350762 /nfs/dbraw/zinc/35/07/62/334350762.db2.gz HKJNFOSRUAUSFV-RBUKOAKNSA-N 0 3 315.844 4.431 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000534973568 334351266 /nfs/dbraw/zinc/35/12/66/334351266.db2.gz VPNLZZFZPOYWLZ-APPDUMDISA-N 0 3 303.837 4.110 20 0 DIADHN FC(F)C1CCN(Cc2ccc(OC(F)(F)F)cc2)CC1 ZINC000531981888 334355310 /nfs/dbraw/zinc/35/53/10/334355310.db2.gz LTMTZCDJRODELA-UHFFFAOYSA-N 0 3 309.278 4.062 20 0 DIADHN CC(C)Oc1ccccc1CN(C)[C@H](C)Cc1ccc(O)cc1 ZINC000531982428 334356223 /nfs/dbraw/zinc/35/62/23/334356223.db2.gz GVLGSHXWCWIICF-MRXNPFEDSA-N 0 3 313.441 4.242 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCSc2ccc(F)cc2)n1 ZINC000531988358 334361735 /nfs/dbraw/zinc/36/17/35/334361735.db2.gz LVRQERIYEICPOQ-GOSISDBHSA-N 0 3 316.445 4.458 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)c(F)c1 ZINC000535065579 334362832 /nfs/dbraw/zinc/36/28/32/334362832.db2.gz VQTMKPJTPFBUFE-LBPRGKRZSA-N 0 3 320.795 4.159 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCc3ccccc32)cc1OC ZINC000535068742 334363668 /nfs/dbraw/zinc/36/36/68/334363668.db2.gz QNEYEECVIFJGLE-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN FC(F)(F)Cn1cc(CN[C@H](CC2CC2)c2ccccc2)cn1 ZINC000531990181 334365564 /nfs/dbraw/zinc/36/55/64/334365564.db2.gz IMGLWKKSCAUBHP-MRXNPFEDSA-N 0 3 323.362 4.076 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C(C)C)c2)C1(C)C ZINC000531995067 334369449 /nfs/dbraw/zinc/36/94/49/334369449.db2.gz VMGDYFUHRXCHPR-USXIJHARSA-N 0 3 307.482 4.098 20 0 DIADHN Cc1cnc(CCN(C)[C@@H](C)c2ccc(F)c(Cl)c2)s1 ZINC000535115158 334370401 /nfs/dbraw/zinc/37/04/01/334370401.db2.gz DQGSTXGOHXTBEZ-NSHDSACASA-N 0 3 312.841 4.480 20 0 DIADHN Cc1n[nH]cc1CCCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000050182406 334370468 /nfs/dbraw/zinc/37/04/68/334370468.db2.gz ASQGNPBIXMASPB-NSHDSACASA-N 0 3 312.244 4.308 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@H](CC)c2cccc(C)c2)c1 ZINC000514097878 334373403 /nfs/dbraw/zinc/37/34/03/334373403.db2.gz BYXMRZXHQSYCTH-HXUWFJFHSA-N 0 3 324.468 4.376 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@@H]1CCCO1 ZINC000535185047 334376333 /nfs/dbraw/zinc/37/63/33/334376333.db2.gz IXJPWKKTHGPOML-AEFFLSMTSA-N 0 3 303.377 4.133 20 0 DIADHN O=C(CN[C@@H](c1ccc(Cl)cc1)C1CC1)Nc1ccccc1 ZINC000050273505 334378316 /nfs/dbraw/zinc/37/83/16/334378316.db2.gz ANAHDVBMBLUJDH-GOSISDBHSA-N 0 3 314.816 4.019 20 0 DIADHN COCC[C@H](N[C@H](C)c1ccc(OC)c(F)c1)c1ccccc1 ZINC000341011593 334399533 /nfs/dbraw/zinc/39/95/33/334399533.db2.gz IPGMTHDDPZWBOK-KDOFPFPSSA-N 0 3 317.404 4.263 20 0 DIADHN CCCC[C@@H](COC)N[C@H](C)c1ccc(Br)s1 ZINC000443207254 338447264 /nfs/dbraw/zinc/44/72/64/338447264.db2.gz YVIJZJRHXXIJAI-MNOVXSKESA-N 0 3 320.296 4.366 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000341284488 334428972 /nfs/dbraw/zinc/42/89/72/334428972.db2.gz JSIKGPUWCLITFG-YOEHRIQHSA-N 0 3 322.880 4.172 20 0 DIADHN Fc1cccc(C2CC(N[C@H]3CCOc4c(F)cccc43)C2)c1 ZINC000443208298 338447462 /nfs/dbraw/zinc/44/74/62/338447462.db2.gz AMYWBRMPIOFMPG-RWKZGTHUSA-N 0 3 315.363 4.324 20 0 DIADHN C[C@@H]1[C@H](N[C@H]2CCOc3c(F)cccc32)C[C@H]1c1ccccc1 ZINC000443222582 338447885 /nfs/dbraw/zinc/44/78/85/338447885.db2.gz LCUDRMYSILERAK-KRDRHDLTSA-N 0 3 311.400 4.431 20 0 DIADHN CSc1ccc([C@H](C)NCc2cnc3cccc(C)n23)cc1 ZINC000341515591 334450101 /nfs/dbraw/zinc/45/01/01/334450101.db2.gz WGAOFIOMTBICKR-AWEZNQCLSA-N 0 3 311.454 4.215 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cc(-n2ccnc2)cs1 ZINC000341555755 334457835 /nfs/dbraw/zinc/45/78/35/334457835.db2.gz LKOQPHKTFUWACX-UHFFFAOYSA-N 0 3 323.421 4.278 20 0 DIADHN COC[C@H](NC[C@H](C)c1nc(C)cs1)c1cccc(Cl)c1 ZINC000353671734 334489084 /nfs/dbraw/zinc/48/90/84/334489084.db2.gz BUQKSHXIZUQBSO-NHYWBVRUSA-N 0 3 324.877 4.186 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC000342161203 334518280 /nfs/dbraw/zinc/51/82/80/334518280.db2.gz URPYEBRWHVSBSQ-UHFFFAOYSA-N 0 3 310.416 4.031 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCCO2)c1cccc(F)c1 ZINC000187939163 334520950 /nfs/dbraw/zinc/52/09/50/334520950.db2.gz DCCVFZGIZRPAMP-QGZVFWFLSA-N 0 3 315.388 4.228 20 0 DIADHN c1nc(CNC[C@H]2CCCSC2)sc1-c1ccccc1 ZINC000342283202 334524206 /nfs/dbraw/zinc/52/42/06/334524206.db2.gz PREUXANWSZRRFW-CYBMUJFWSA-N 0 3 304.484 4.043 20 0 DIADHN Cc1cc(CNCc2cccc3cc[nH]c32)cc2cccnc12 ZINC000342282161 334524343 /nfs/dbraw/zinc/52/43/43/334524343.db2.gz OHGCMPWXFFPATM-UHFFFAOYSA-N 0 3 301.393 4.314 20 0 DIADHN COc1cc(CNCc2cccc3cc[nH]c32)ccc1SC ZINC000342303295 334526493 /nfs/dbraw/zinc/52/64/93/334526493.db2.gz RCJSIQRGNZOAFD-UHFFFAOYSA-N 0 3 312.438 4.188 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnn(-c3ccccc3)c1)CCC2 ZINC000342317479 334531770 /nfs/dbraw/zinc/53/17/70/334531770.db2.gz RGFRJUMXCUGUQH-NRFANRHFSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(-c3cc[nH]n3)o1)CCC2 ZINC000342316193 334531838 /nfs/dbraw/zinc/53/18/38/334531838.db2.gz PVMJOLILPSCORV-QGZVFWFLSA-N 0 3 307.397 4.145 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(N(C)C(C)C)nc1)CCC2 ZINC000342320166 334532102 /nfs/dbraw/zinc/53/21/02/334532102.db2.gz JEBOJAQZNRJYEF-HXUWFJFHSA-N 0 3 323.484 4.402 20 0 DIADHN CCCn1nc(C)c(CN[C@@H]2CCCc3ccc(C)cc32)c1C ZINC000342318354 334532117 /nfs/dbraw/zinc/53/21/17/334532117.db2.gz ZKPMELWWEDMVJV-HXUWFJFHSA-N 0 3 311.473 4.386 20 0 DIADHN c1ccc([C@H]2CC[C@H](CNCc3ccc4ncccc4c3)O2)cc1 ZINC000342436603 334541007 /nfs/dbraw/zinc/54/10/07/334541007.db2.gz YUZNQCWUFSPJEO-TZIWHRDSSA-N 0 3 318.420 4.245 20 0 DIADHN CC[C@H](COC)N[C@@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000342807056 334578585 /nfs/dbraw/zinc/57/85/85/334578585.db2.gz VTPPEEQRRGKAMX-DOMZBBRYSA-N 0 3 320.260 4.086 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2coc3ccccc23)CC1 ZINC000342849472 334582592 /nfs/dbraw/zinc/58/25/92/334582592.db2.gz SUFOJVGMWQNAEA-UHFFFAOYSA-N 0 3 310.372 4.289 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1cnc(-c2ccco2)s1 ZINC000572497887 338455056 /nfs/dbraw/zinc/45/50/56/338455056.db2.gz BBSFJUVXSIZELJ-UHFFFAOYSA-N 0 3 322.474 4.070 20 0 DIADHN CCC1(C)CCC(N[C@H](C)c2nnc3n2CCCCC3)CC1 ZINC000343139518 334602908 /nfs/dbraw/zinc/60/29/08/334602908.db2.gz GPIVILXPSOIUOU-KSTDHSDQSA-N 0 3 304.482 4.014 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@@H]1CCCC[C@H]1C(C)(C)C ZINC000415897122 334625576 /nfs/dbraw/zinc/62/55/76/334625576.db2.gz FBVVIBNIUMDQPO-NVXWUHKLSA-N 0 3 317.477 4.132 20 0 DIADHN CCCC1CCC(N(C)C(=O)Nc2ccccc2CNC)CC1 ZINC000415918106 334628605 /nfs/dbraw/zinc/62/86/05/334628605.db2.gz FCQORNRYELOXLN-UHFFFAOYSA-N 0 3 317.477 4.229 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000415999440 334638592 /nfs/dbraw/zinc/63/85/92/334638592.db2.gz VGKNJBXHOHAMHN-LJQANCHMSA-N 0 3 323.440 4.151 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H](c2ccccc2)C2CCC2)[nH]n1 ZINC000440829013 334640294 /nfs/dbraw/zinc/64/02/94/334640294.db2.gz HYLZZOIWNQSKFI-HNNXBMFYSA-N 0 3 309.335 4.060 20 0 DIADHN CN(C)[C@@H](CC(=O)N1CCCC12CCCCC2)c1ccccc1 ZINC000416184229 334654206 /nfs/dbraw/zinc/65/42/06/334654206.db2.gz NEULRLXBPMHPSK-SFHVURJKSA-N 0 3 314.473 4.005 20 0 DIADHN CC[C@H](NC[C@@H]1C(C)=CCC[C@H]1C)c1nnc2n1CCCCC2 ZINC000443638046 338458507 /nfs/dbraw/zinc/45/85/07/338458507.db2.gz CSERELOXLZRUOW-ZACQAIPSSA-N 0 3 316.493 4.038 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)[C@H]2CCC[C@@H]2C(C)(C)C)c1 ZINC000416287381 334660369 /nfs/dbraw/zinc/66/03/69/334660369.db2.gz JTSUQUYSHFOFSS-ROUUACIJSA-N 0 3 316.489 4.035 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC1(Cc2ccccc2)CCCC1 ZINC000188439452 334712701 /nfs/dbraw/zinc/71/27/01/334712701.db2.gz LUAONTJSNCGCQF-QGZVFWFLSA-N 0 3 309.457 4.239 20 0 DIADHN C[C@@H](NCc1ccc(NC(N)=O)cc1)c1cccc2ccccc21 ZINC000112520209 334716656 /nfs/dbraw/zinc/71/66/56/334716656.db2.gz VUYBGTJTQAMKCX-CQSZACIVSA-N 0 3 319.408 4.181 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(F)cc2Cl)c1 ZINC000535418358 334729428 /nfs/dbraw/zinc/72/94/28/334729428.db2.gz ACZADGHOHSRUJA-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@H]2CCO[C@@H]2C2CC2)o1 ZINC000535541162 334740141 /nfs/dbraw/zinc/74/01/41/334740141.db2.gz BBAXUJGCBNVKCV-FUHWJXTLSA-N 0 3 317.816 4.257 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCCCC1)c1nccc2ccccc21 ZINC000417366338 334745045 /nfs/dbraw/zinc/74/50/45/334745045.db2.gz NJJOOCSKHPYKNP-CRAIPNDOSA-N 0 3 312.457 4.217 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H]2C[C@H]2C2CCCCC2)s1 ZINC000443984077 338465429 /nfs/dbraw/zinc/46/54/29/338465429.db2.gz FUJAFYXADNCBRB-NWDGAFQWSA-N 0 3 304.381 4.220 20 0 DIADHN Cc1ccc(CNC[C@H](c2ccsc2)N(C)C)cc1Cl ZINC000443990636 338465780 /nfs/dbraw/zinc/46/57/80/338465780.db2.gz UIWUZQLAVZWNHH-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccsc2)N(C)C)cc1Cl ZINC000443990631 338465794 /nfs/dbraw/zinc/46/57/94/338465794.db2.gz UIWUZQLAVZWNHH-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN CC(C)n1cncc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000425335364 334803023 /nfs/dbraw/zinc/80/30/23/334803023.db2.gz HYEVEAYQADYOIS-UHFFFAOYSA-N 0 3 317.864 4.497 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)C(C)C ZINC000157883426 338466281 /nfs/dbraw/zinc/46/62/81/338466281.db2.gz YSZXGAXBYQZTPW-KRWDZBQOSA-N 0 3 305.853 4.123 20 0 DIADHN C[C@@H](N[C@@H](Cc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000157882520 338466316 /nfs/dbraw/zinc/46/63/16/338466316.db2.gz LZZMCCSDBQJRQL-UZLBHIALSA-N 0 3 305.425 4.055 20 0 DIADHN Cc1csc(CNC23CCC(C(F)(F)F)(CC2)CC3)n1 ZINC000425957044 334864424 /nfs/dbraw/zinc/86/44/24/334864424.db2.gz GYQHLXXXDNGPDZ-UHFFFAOYSA-N 0 3 304.381 4.196 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2ccccc2N(C)C)c1 ZINC000188717624 334872810 /nfs/dbraw/zinc/87/28/10/334872810.db2.gz FKKNRGSJXPFVBB-HNNXBMFYSA-N 0 3 314.498 4.466 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCc3cc(F)ccc32)c1 ZINC000188723276 334873142 /nfs/dbraw/zinc/87/31/42/334873142.db2.gz KISNJDKFGYLLHY-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000188725332 334873158 /nfs/dbraw/zinc/87/31/58/334873158.db2.gz GHJKJZIXWOVHSG-ZDUSSCGKSA-N 0 3 315.438 4.129 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)Cc1ccc(Cl)cc1 ZINC000188798313 334875564 /nfs/dbraw/zinc/87/55/64/334875564.db2.gz DVWNDHRPUAPCAN-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCc4cc(F)ccc43)ccc2n1 ZINC000189292667 334889744 /nfs/dbraw/zinc/88/97/44/334889744.db2.gz NXGWCADMRHVVKG-FQEVSTJZSA-N 0 3 306.384 4.459 20 0 DIADHN CCOc1ccc(CNCc2cccc(CSC)c2)cc1 ZINC000189475550 334897177 /nfs/dbraw/zinc/89/71/77/334897177.db2.gz VWKFLOZEFQOHRM-UHFFFAOYSA-N 0 3 301.455 4.238 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCCC(=O)Nc3ccccc3)oc2c1 ZINC000426012439 334901399 /nfs/dbraw/zinc/90/13/99/334901399.db2.gz DZDDHDSTYCIEOT-OAHLLOKOSA-N 0 3 322.408 4.421 20 0 DIADHN c1csc([C@H](NCc2ccc(-c3ccccn3)nc2)C2CC2)c1 ZINC000425457051 334912413 /nfs/dbraw/zinc/91/24/13/334912413.db2.gz KPFAJPOVYZFQLV-LJQANCHMSA-N 0 3 321.449 4.446 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1C[C@H](C)c3ccccc31)CCO2 ZINC000343827885 335196004 /nfs/dbraw/zinc/19/60/04/335196004.db2.gz KXUCDQGLYYVWPI-BKTGTZMESA-N 0 3 309.409 4.357 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2cncc(C)c2)cc1OC ZINC000343840180 335196187 /nfs/dbraw/zinc/19/61/87/335196187.db2.gz NMSRLRSPJQDBPR-LSDHHAIUSA-N 0 3 314.429 4.209 20 0 DIADHN CC(C)[C@H]1C[C@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000343868122 335198700 /nfs/dbraw/zinc/19/87/00/335198700.db2.gz WALQSROWJOEVLA-HBNTYKKESA-N 0 3 322.396 4.016 20 0 DIADHN O=C(Nc1ccc(F)c(C2CC2)c1)[C@H]1CCCN1C1CCCC1 ZINC000343908633 335201172 /nfs/dbraw/zinc/20/11/72/335201172.db2.gz RKKXMGMIXQKVMH-GOSISDBHSA-N 0 3 316.420 4.049 20 0 DIADHN Fc1ccc2oc(CNCCc3ccc4ccccc4n3)cc2c1 ZINC000343916852 335201908 /nfs/dbraw/zinc/20/19/08/335201908.db2.gz IEFQIJABGCXHDM-UHFFFAOYSA-N 0 3 320.367 4.452 20 0 DIADHN c1ccc2c(c1)OC[C@H]2CN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000343926443 335202438 /nfs/dbraw/zinc/20/24/38/335202438.db2.gz IZRUWDMVUNVSCA-QAPCUYQASA-N 0 3 321.420 4.199 20 0 DIADHN CCCC[C@H](NCc1ccccc1OCCO)c1ccccc1 ZINC000343981668 335205185 /nfs/dbraw/zinc/20/51/85/335205185.db2.gz PSZHYGBAYNWNRU-IBGZPJMESA-N 0 3 313.441 4.079 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC[C@@H]1COc3ccccc31)CCS2 ZINC000343987959 335205801 /nfs/dbraw/zinc/20/58/01/335205801.db2.gz OQZYEBKJAFQEGY-WBMJQRKESA-N 0 3 315.413 4.128 20 0 DIADHN O=C(CN[C@@H](CC1CCC1)c1ccccc1)Nc1ccccc1 ZINC000344002757 335207027 /nfs/dbraw/zinc/20/70/27/335207027.db2.gz BLIZMBNLIDBQJM-IBGZPJMESA-N 0 3 308.425 4.146 20 0 DIADHN COc1cncc(CN[C@@H]2CC(C)(C)CCc3ccccc32)c1 ZINC000343946482 335207216 /nfs/dbraw/zinc/20/72/16/335207216.db2.gz STCGGLDQCHJZFD-LJQANCHMSA-N 0 3 310.441 4.284 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H]1CCCc2ccc(F)cc21 ZINC000344033049 335210779 /nfs/dbraw/zinc/21/07/79/335210779.db2.gz KMHIQXPERUPTPN-SFHVURJKSA-N 0 3 323.415 4.151 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H]2CCOc3c(Cl)cccc32)C12CCC2 ZINC000344038732 335211378 /nfs/dbraw/zinc/21/13/78/335211378.db2.gz BHBIGHLFJKXYAC-OWCLPIDISA-N 0 3 321.848 4.101 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(-c3csc(C)n3)o2)C1(C)C ZINC000344069452 335215026 /nfs/dbraw/zinc/21/50/26/335215026.db2.gz CHBSXIWFBJMQDY-CVEARBPZSA-N 0 3 320.458 4.005 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc(C(C)C)cs2)C12CCCC2 ZINC000344077791 335215674 /nfs/dbraw/zinc/21/56/74/335215674.db2.gz QMGXKNVUENYYQP-HUUCEWRRSA-N 0 3 308.491 4.094 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCc3ccc(C)cc31)CCO2 ZINC000344119724 335218940 /nfs/dbraw/zinc/21/89/40/335218940.db2.gz VIQMDTRMPBPQNH-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc3c(c1)CCC3)CCO2 ZINC000344101713 335223061 /nfs/dbraw/zinc/22/30/61/335223061.db2.gz NAZTVEXPZPAYEZ-VBKZILBWSA-N 0 3 323.436 4.358 20 0 DIADHN C[C@H](Cc1cccc(O)c1)NCc1nc(-c2ccccc2)cs1 ZINC000344204627 335225205 /nfs/dbraw/zinc/22/52/05/335225205.db2.gz BEAPHZZWLRTVGU-CQSZACIVSA-N 0 3 324.449 4.237 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC)c2c(C)noc2C)C12CCCCC2 ZINC000344279322 335235903 /nfs/dbraw/zinc/23/59/03/335235903.db2.gz DYNCLOUXCPCMES-GVDBMIGSSA-N 0 3 320.477 4.460 20 0 DIADHN C[C@H](NCc1cc(-n2ccnc2)cs1)c1ccc(F)cc1F ZINC000344309315 335239331 /nfs/dbraw/zinc/23/93/31/335239331.db2.gz TUACRIPMYVUSGS-NSHDSACASA-N 0 3 319.380 4.063 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc(C3CC3)cs2)C1(CC)CC ZINC000344312717 335239800 /nfs/dbraw/zinc/23/98/00/335239800.db2.gz XRIWGQJOCSKCLX-GJZGRUSLSA-N 0 3 308.491 4.094 20 0 DIADHN CCCCOc1ccc(CN[C@@H](CCO)c2ccccc2)cc1 ZINC000344433995 335253605 /nfs/dbraw/zinc/25/36/05/335253605.db2.gz ALBDXRUPVNEAKL-FQEVSTJZSA-N 0 3 313.441 4.079 20 0 DIADHN CC[C@@H](NCc1cccc(Br)c1)c1ccncc1 ZINC000344544343 335260408 /nfs/dbraw/zinc/26/04/08/335260408.db2.gz FTVDGBFDWOUXPR-OAHLLOKOSA-N 0 3 305.219 4.085 20 0 DIADHN Cc1cn2c(n1)[C@@H](CNCc1cc3cc(C)c(C)cc3o1)CCC2 ZINC000344545655 335260710 /nfs/dbraw/zinc/26/07/10/335260710.db2.gz SFTBRZZJCCQKSW-MRXNPFEDSA-N 0 3 323.440 4.222 20 0 DIADHN COC1CCC(CNCc2csc(-c3ccsc3)n2)CC1 ZINC000344602753 335263225 /nfs/dbraw/zinc/26/32/25/335263225.db2.gz MXFABIQHXUURKI-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN CCc1ncc(CN[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)s1 ZINC000344605307 335263605 /nfs/dbraw/zinc/26/36/05/335263605.db2.gz RVTALDWFMQDNBV-SJLPKXTDSA-N 0 3 316.470 4.024 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2cnc(C3CCCC3)s2)C1(C)C ZINC000344717449 335275271 /nfs/dbraw/zinc/27/52/71/335275271.db2.gz AHUCJBYPKIZSIS-PBHICJAKSA-N 0 3 308.491 4.094 20 0 DIADHN CO[C@H](CCNCc1c(Cl)oc2ccccc21)C(F)(F)F ZINC000344802975 335278453 /nfs/dbraw/zinc/27/84/53/335278453.db2.gz ZPKWQJOFDDQHLG-GFCCVEGCSA-N 0 3 321.726 4.143 20 0 DIADHN Clc1cc2cccnc2c(CNCc2ccc3c(c2)COC3)c1 ZINC000344965822 335288307 /nfs/dbraw/zinc/28/83/07/335288307.db2.gz NYAQHRLWHSTGCQ-UHFFFAOYSA-N 0 3 324.811 4.208 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000345021809 335292233 /nfs/dbraw/zinc/29/22/33/335292233.db2.gz CLLVRFNOKNSKFK-UZLBHIALSA-N 0 3 313.416 4.392 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)N[C@@H](C)Cn1cccn1 ZINC000345181824 335305638 /nfs/dbraw/zinc/30/56/38/335305638.db2.gz IPYGHSCKWPXGGK-WMZOPIPTSA-N 0 3 315.461 4.047 20 0 DIADHN C[C@@H](NCCc1c[nH]c2ccc(F)cc12)c1cccc(F)c1F ZINC000345181608 335305649 /nfs/dbraw/zinc/30/56/49/335305649.db2.gz FBZNQRYHVDUIMG-LLVKDONJSA-N 0 3 318.342 4.479 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cnn(C(C)C)c2C)C[C@H]1OC ZINC000345273178 335308935 /nfs/dbraw/zinc/30/89/35/335308935.db2.gz NQCJJLFYXUVFDD-IAOVAPTHSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1[nH]c2ccccc2c1CCNCc1csc(C2CC2)n1 ZINC000113333489 335324960 /nfs/dbraw/zinc/32/49/60/335324960.db2.gz WNRHLOXKNOCXKT-UHFFFAOYSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1ccccc1[C@H](NCc1cncn1C)[C@H]1CCC[C@H](C)C1 ZINC000113346244 335325080 /nfs/dbraw/zinc/32/50/80/335325080.db2.gz DJXQCRHPZUAOAE-RIFZZMRRSA-N 0 3 311.473 4.386 20 0 DIADHN Cc1cc(CCNCc2cnc(C3CCC3)s2)cc(C)c1O ZINC000345467301 335327623 /nfs/dbraw/zinc/32/76/23/335327623.db2.gz XQFGKYUUIWONAY-UHFFFAOYSA-N 0 3 316.470 4.065 20 0 DIADHN CCn1cc(CN[C@H]2C[C@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000345708984 335349372 /nfs/dbraw/zinc/34/93/72/335349372.db2.gz QRVNPFJIJQBUNQ-QAPCUYQASA-N 0 3 309.457 4.293 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cccc2cccnc21 ZINC000345710462 335349472 /nfs/dbraw/zinc/34/94/72/335349472.db2.gz RKWJFCJEYRFTLH-XOBRGWDASA-N 0 3 324.399 4.240 20 0 DIADHN Brc1cccc([C@@H]2CCCN2CC[C@H]2CCCO2)c1 ZINC000345802415 335351910 /nfs/dbraw/zinc/35/19/10/335351910.db2.gz WSSHPIFVNOMMSY-CVEARBPZSA-N 0 3 324.262 4.155 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2csc(COc3ccccc3)n2)C1 ZINC000345826783 335353683 /nfs/dbraw/zinc/35/36/83/335353683.db2.gz IDSLQMGCNUVOJA-ZIAGYGMSSA-N 0 3 302.443 4.000 20 0 DIADHN C[C@@H]1CC[C@H](NCc2csc(COc3ccccc3)n2)C1 ZINC000345826781 335353784 /nfs/dbraw/zinc/35/37/84/335353784.db2.gz IDSLQMGCNUVOJA-KGLIPLIRSA-N 0 3 302.443 4.000 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CC[C@H]2CCCO2)cc1 ZINC000345832724 335354312 /nfs/dbraw/zinc/35/43/12/335354312.db2.gz AATQBZOOCRFIDJ-CVEARBPZSA-N 0 3 324.262 4.155 20 0 DIADHN CN(Cc1ccccc1)Cc1cccc(-c2nc(C3CC3)no2)c1 ZINC000345953816 335363782 /nfs/dbraw/zinc/36/37/82/335363782.db2.gz HMUILHUVULLOHJ-UHFFFAOYSA-N 0 3 319.408 4.246 20 0 DIADHN Cc1cc(CN2CCC(OCC(C)C)CC2)cc2cccnc12 ZINC000346171070 335377503 /nfs/dbraw/zinc/37/75/03/335377503.db2.gz KTLDCRWCJVLVED-UHFFFAOYSA-N 0 3 312.457 4.180 20 0 DIADHN Cc1cc(CN(C)[C@@H](CCO)c2ccccc2)cc2cccnc12 ZINC000346195310 335388998 /nfs/dbraw/zinc/38/89/98/335388998.db2.gz HZWFLSXKXAHCFH-FQEVSTJZSA-N 0 3 320.436 4.099 20 0 DIADHN CC(C(=O)Nc1ccc(Oc2cccnc2)cc1)C(F)(F)F ZINC000346201283 335389590 /nfs/dbraw/zinc/38/95/90/335389590.db2.gz MPJDDQLKESCOLT-SNVBAGLBSA-N 0 3 310.275 4.011 20 0 DIADHN C[C@@H](c1ccccc1)N(CCn1ccnc1)Cc1ccccc1 ZINC000346304221 335397888 /nfs/dbraw/zinc/39/78/88/335397888.db2.gz HCDBNCJINHDVKF-SFHVURJKSA-N 0 3 305.425 4.147 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2cnc(C3CCC3)s2)CCO1 ZINC000346668315 335428010 /nfs/dbraw/zinc/42/80/10/335428010.db2.gz KZTFIKXJRIJBPW-LSDHHAIUSA-N 0 3 308.491 4.094 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NCC[C@H]1CCOC1 ZINC000346869035 335451268 /nfs/dbraw/zinc/45/12/68/335451268.db2.gz KDXPNIBZYLQAGZ-ONGXEEELSA-N 0 3 320.285 4.449 20 0 DIADHN CC1(C)CCC[C@H](c2noc(C3CCN(C4CC4)CC3)n2)C1 ZINC000347267311 335484762 /nfs/dbraw/zinc/48/47/62/335484762.db2.gz QGSSMDXJYJENGR-AWEZNQCLSA-N 0 3 303.450 4.095 20 0 DIADHN CCc1cccc(CN[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347427584 335495612 /nfs/dbraw/zinc/49/56/12/335495612.db2.gz JMMMYWKDABHZOB-GOSISDBHSA-N 0 3 303.833 4.116 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NC(=O)c1ccc2c(c1)CNC2 ZINC000347551999 335506402 /nfs/dbraw/zinc/50/64/02/335506402.db2.gz KPKSQHAFBDLQOL-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN CC[C@@H](NCC(=O)Nc1c(C)cccc1C)c1cccs1 ZINC000347606366 335509947 /nfs/dbraw/zinc/50/99/47/335509947.db2.gz HSAZLPGCSPLSIA-CQSZACIVSA-N 0 3 302.443 4.044 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN[C@@H]1CCCNc2ccccc21 ZINC000347925850 335539201 /nfs/dbraw/zinc/53/92/01/335539201.db2.gz SGISFFZPWCNOIK-LZQZEXGQSA-N 0 3 302.462 4.118 20 0 DIADHN O=C(CCN1CCC(OC2CCCCC2)CC1)c1ccccc1 ZINC000348119063 335549437 /nfs/dbraw/zinc/54/94/37/335549437.db2.gz YZQSGMGBSABGFB-UHFFFAOYSA-N 0 3 315.457 4.073 20 0 DIADHN C[C@@H](NCc1csc(C2CCCCC2)n1)[C@@H]1CCCOC1 ZINC000348150644 335557765 /nfs/dbraw/zinc/55/77/65/335557765.db2.gz ZASJPWUNMROGER-UKRRQHHQSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2N[C@H]1C[C@@H]1C(F)F ZINC000348271414 335570044 /nfs/dbraw/zinc/57/00/44/335570044.db2.gz IYJBFXSKHJTOSY-AVGNSLFASA-N 0 3 301.764 4.105 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2N[C@@H]1C[C@@H]1C(F)F ZINC000348271416 335570138 /nfs/dbraw/zinc/57/01/38/335570138.db2.gz IYJBFXSKHJTOSY-RWMBFGLXSA-N 0 3 301.764 4.105 20 0 DIADHN FC(F)[C@@H]1C[C@@H]1N[C@H]1CCCc2c(Br)cccc21 ZINC000348300286 335574126 /nfs/dbraw/zinc/57/41/26/335574126.db2.gz ITSKUZUSQBQKAP-WXHSDQCUSA-N 0 3 316.189 4.070 20 0 DIADHN C[C@@H](NC[C@@H](c1cccs1)N(C)C)c1csc(Cl)c1 ZINC000191118059 335598168 /nfs/dbraw/zinc/59/81/68/335598168.db2.gz XFDNFUFUPHBZDY-PWSUYJOCSA-N 0 3 314.907 4.417 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@H](CO)c1ccc(F)cc1 ZINC000349380192 335667708 /nfs/dbraw/zinc/66/77/08/335667708.db2.gz OSIQUKZRXWHPHX-RTBURBONSA-N 0 3 313.416 4.143 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@H]2COc3ccccc32)cc1F ZINC000583588264 335752082 /nfs/dbraw/zinc/75/20/82/335752082.db2.gz WNUCNFFNQPHRAO-OCCSQVGLSA-N 0 3 317.429 4.374 20 0 DIADHN COCCSc1ccc([C@@H](C)N[C@@H](C)c2ccncc2)cc1 ZINC000350518120 335758886 /nfs/dbraw/zinc/75/88/86/335758886.db2.gz UACVIHQPZMMHPK-CABCVRRESA-N 0 3 316.470 4.232 20 0 DIADHN COc1cccc(C(C)(C)CN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480194365 335841376 /nfs/dbraw/zinc/84/13/76/335841376.db2.gz AUKZWYNGEOPCQX-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN Fc1cccnc1CN[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000519527649 335871589 /nfs/dbraw/zinc/87/15/89/335871589.db2.gz KPKDXFZURSCBIH-UKRRQHHQSA-N 0 3 304.796 4.300 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cccc(Cl)c2Cl)CCO1 ZINC000536758053 335885035 /nfs/dbraw/zinc/88/50/35/335885035.db2.gz XBFNDCCNXYNVAA-TZMCWYRMSA-N 0 3 302.245 4.287 20 0 DIADHN Cc1cnc(CN[C@H](CSc2ccccc2)C(C)C)s1 ZINC000519734235 335892279 /nfs/dbraw/zinc/89/22/79/335892279.db2.gz HYEVRFYQUBYZEQ-OAHLLOKOSA-N 0 3 306.500 4.358 20 0 DIADHN C[C@H](NC[C@@H]1CCCCO1)c1cc(Cl)ccc1OC(F)F ZINC000532205305 335913755 /nfs/dbraw/zinc/91/37/55/335913755.db2.gz DQDSSNDBAWJNCY-JQWIXIFHSA-N 0 3 319.779 4.161 20 0 DIADHN COc1ccc([C@@H](C)NCCc2csc(C)n2)cc1Cl ZINC000532197330 335914057 /nfs/dbraw/zinc/91/40/57/335914057.db2.gz PIVKPZJERRYOKK-SNVBAGLBSA-N 0 3 310.850 4.007 20 0 DIADHN C[C@H](N[C@@H](CC1CC1)c1ccccc1)c1ccc(NC(N)=O)cc1 ZINC000532228391 335920703 /nfs/dbraw/zinc/92/07/03/335920703.db2.gz QRBRXLZREHUDLZ-LIRRHRJNSA-N 0 3 323.440 4.369 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000532249806 335926605 /nfs/dbraw/zinc/92/66/05/335926605.db2.gz KOOJYGFIZVCWSM-KKSFZXQISA-N 0 3 309.453 4.258 20 0 DIADHN C[C@@H](NC1CC1)c1ccc(Br)cc1Br ZINC000041640560 335930196 /nfs/dbraw/zinc/93/01/96/335930196.db2.gz UWMRQIHACONNGD-SSDOTTSWSA-N 0 3 319.040 4.025 20 0 DIADHN C[C@H](COc1ccc(F)cc1F)NCc1cc2ccccc2o1 ZINC000520201707 335936698 /nfs/dbraw/zinc/93/66/98/335936698.db2.gz CCTYGDBKSIZFDN-GFCCVEGCSA-N 0 3 317.335 4.268 20 0 DIADHN CSc1ccc2c(c1)[C@H](NCc1ccc3cncn3c1)CCC2 ZINC000520230563 335941980 /nfs/dbraw/zinc/94/19/80/335941980.db2.gz ULRSESJJYDKYNY-LJQANCHMSA-N 0 3 323.465 4.223 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)c(O)c(C)c2)C2CC2)cc1 ZINC000520422075 335976338 /nfs/dbraw/zinc/97/63/38/335976338.db2.gz WPFZOEBGGUUXFZ-IBGZPJMESA-N 0 3 311.425 4.259 20 0 DIADHN C/C(=C\c1ccccc1)CNCC(=O)Nc1c(C)cc(C)cc1C ZINC000520447679 335977907 /nfs/dbraw/zinc/97/79/07/335977907.db2.gz WRHRKIBWVXNESO-FOWTUZBSSA-N 0 3 322.452 4.243 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)cc1CN(C(C)C)C1CC1 ZINC000351003643 336003670 /nfs/dbraw/zinc/00/36/70/336003670.db2.gz URUSXVOJBYQCSJ-UHFFFAOYSA-N 0 3 303.837 4.207 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1sc(C)nc1C ZINC000351145825 336017995 /nfs/dbraw/zinc/01/79/95/336017995.db2.gz ORVAQJNABHGZAU-MEDUHNTESA-N 0 3 324.877 4.279 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1sc(C)nc1C ZINC000351145826 336018048 /nfs/dbraw/zinc/01/80/48/336018048.db2.gz ORVAQJNABHGZAU-ZBEGNZNMSA-N 0 3 324.877 4.279 20 0 DIADHN CC[C@H](C)[C@H](NCc1cc(C)nn1C)c1ccc(Cl)cc1 ZINC000417952738 336023452 /nfs/dbraw/zinc/02/34/52/336023452.db2.gz CTKKLDVFOHXNRD-SJCJKPOMSA-N 0 3 305.853 4.259 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cncn1CC)c1ccc(Cl)cc1 ZINC000417953531 336025491 /nfs/dbraw/zinc/02/54/91/336025491.db2.gz DVANIYIQQJFUGA-SUMWQHHRSA-N 0 3 305.853 4.433 20 0 DIADHN CC[C@H](NC[C@H]1CCCn2cc(C)nc21)c1ccc(F)cc1F ZINC000351292998 336026233 /nfs/dbraw/zinc/02/62/33/336026233.db2.gz WSPVVSIPKOVDNQ-DYVFJYSZSA-N 0 3 319.399 4.088 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)Cc3ccccc3)cc2)n1 ZINC000216424416 336036019 /nfs/dbraw/zinc/03/60/19/336036019.db2.gz SLWROGDYAGHBTK-UHFFFAOYSA-N 0 3 305.425 4.121 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1cc(Br)ccc1F ZINC000211109569 336043164 /nfs/dbraw/zinc/04/31/64/336043164.db2.gz PZPMVFYSNYDOST-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN Cc1n[nH]c(C)c1CN(Cc1cccc(F)c1)C1CCCC1 ZINC000351663853 336056101 /nfs/dbraw/zinc/05/61/01/336056101.db2.gz HIBOCPOOCYJKBA-UHFFFAOYSA-N 0 3 301.409 4.110 20 0 DIADHN Cc1nn(C)c(C)c1CN(Cc1cccc(F)c1)C1CCCC1 ZINC000351666710 336056543 /nfs/dbraw/zinc/05/65/43/336056543.db2.gz XGCSHOGHNKGGPA-UHFFFAOYSA-N 0 3 315.436 4.121 20 0 DIADHN Cc1ncc(CN2CCCC[C@@H]2CCCc2ccccc2)cn1 ZINC000351731596 336063220 /nfs/dbraw/zinc/06/32/20/336063220.db2.gz WNIWEQYWBHCOGD-HXUWFJFHSA-N 0 3 309.457 4.162 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(C(F)(F)F)cc2)C(C)C)cn1 ZINC000351758737 336065727 /nfs/dbraw/zinc/06/57/27/336065727.db2.gz QHGLHYIFBQPNLH-MRXNPFEDSA-N 0 3 323.362 4.291 20 0 DIADHN C[C@@H](F)CCN(CCc1ccccn1)Cc1ccc(F)cc1 ZINC000351890436 336103525 /nfs/dbraw/zinc/10/35/25/336103525.db2.gz MYWVKNDAEQASQX-OAHLLOKOSA-N 0 3 304.384 4.014 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(-c2ccccc2)cc1)c1ccco1 ZINC000324201581 336109184 /nfs/dbraw/zinc/10/91/84/336109184.db2.gz STWBYZJPTCBPHD-FQEVSTJZSA-N 0 3 320.436 4.339 20 0 DIADHN C[C@H]1C[C@H](NCc2ccccc2-n2cccn2)c2ccccc21 ZINC000324266151 336125948 /nfs/dbraw/zinc/12/59/48/336125948.db2.gz MPUOAOCNJZXVKX-KXBFYZLASA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@H](c2ccco2)N2CCCC2)c2ccccc21 ZINC000324283442 336129607 /nfs/dbraw/zinc/12/96/07/336129607.db2.gz QGGYHISSYJHVLK-MNEFBYGVSA-N 0 3 310.441 4.255 20 0 DIADHN NC(=O)C[C@@H]1CCCCN1Cc1ccc(Cl)c2cccnc12 ZINC000332236839 336164466 /nfs/dbraw/zinc/16/44/66/336164466.db2.gz SNJMCVDJLDLZTE-ZDUSSCGKSA-N 0 3 317.820 4.168 20 0 DIADHN NC(=O)C[C@H]1CCCCN1Cc1c(Cl)ccc2cccnc21 ZINC000332322223 336168264 /nfs/dbraw/zinc/16/82/64/336168264.db2.gz RVKVGINMMPBWCS-CYBMUJFWSA-N 0 3 317.820 4.168 20 0 DIADHN NC(=O)C[C@@H]1CCCCN1Cc1c(Cl)ccc2cccnc21 ZINC000332322225 336168387 /nfs/dbraw/zinc/16/83/87/336168387.db2.gz RVKVGINMMPBWCS-ZDUSSCGKSA-N 0 3 317.820 4.168 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2-n2cccn2)cc1C ZINC000194374597 338516550 /nfs/dbraw/zinc/51/65/50/338516550.db2.gz HIVYSURWCOMRES-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN CC[C@H]1CCCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC000351963193 336183763 /nfs/dbraw/zinc/18/37/63/336183763.db2.gz CNAKMAZNXNCVOO-ZDUSSCGKSA-N 0 3 309.475 4.048 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC=C(c2c[nH]c3cc(C)ccc23)CC1 ZINC000351965569 336184087 /nfs/dbraw/zinc/18/40/87/336184087.db2.gz UEJSEASMLXSSLF-UHFFFAOYSA-N 0 3 320.440 4.106 20 0 DIADHN CC(C)C[C@H](NCc1ccc2c(c1)oc(=O)n2C)c1ccccc1 ZINC000351986094 336186180 /nfs/dbraw/zinc/18/61/80/336186180.db2.gz FLVPQDKVLKGWEI-KRWDZBQOSA-N 0 3 324.424 4.009 20 0 DIADHN Cc1cc([C@@H]2CCCN2Cc2cccc(-c3ccncc3)c2)on1 ZINC000352097122 336194784 /nfs/dbraw/zinc/19/47/84/336194784.db2.gz NOGIZDGWUAJMKI-IBGZPJMESA-N 0 3 319.408 4.382 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1cccc(C)n1 ZINC000352240029 336205771 /nfs/dbraw/zinc/20/57/71/336205771.db2.gz BYSMITVQIFTZEG-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1cccc(C)n1 ZINC000352240030 336205855 /nfs/dbraw/zinc/20/58/55/336205855.db2.gz BYSMITVQIFTZEG-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN C[C@H]1C[C@H](Nc2cccc(OCCN(C)C)c2)c2ccccc21 ZINC000324397726 336210064 /nfs/dbraw/zinc/21/00/64/336210064.db2.gz OFVJKZZNWAWQHP-YWZLYKJASA-N 0 3 310.441 4.287 20 0 DIADHN CC[C@@H](N[C@H](C)CSC)c1ccccc1Br ZINC000167902687 336278049 /nfs/dbraw/zinc/27/80/49/336278049.db2.gz MDJWDMYTAUOEBO-ZWNOBZJWSA-N 0 3 302.281 4.241 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC[C@H](c4ncc[nH]4)C3)o2)cc1 ZINC000352339130 336213189 /nfs/dbraw/zinc/21/31/89/336213189.db2.gz ZULCYIFQDCPXFN-KRWDZBQOSA-N 0 3 321.424 4.358 20 0 DIADHN Cc1ccncc1CN(C)CCn1ccc2cc(Cl)ccc21 ZINC000352339783 336213329 /nfs/dbraw/zinc/21/33/29/336213329.db2.gz AUWKECYLHTZYNY-UHFFFAOYSA-N 0 3 313.832 4.130 20 0 DIADHN COCCCC[C@@H](NCc1scnc1C)c1ccccc1 ZINC000352365060 336214375 /nfs/dbraw/zinc/21/43/75/336214375.db2.gz MLKSRNGQYMCEEE-MRXNPFEDSA-N 0 3 304.459 4.099 20 0 DIADHN Cc1oc2ccccc2c1CN1CCC(OCC(C)C)CC1 ZINC000352370570 336214792 /nfs/dbraw/zinc/21/47/92/336214792.db2.gz ADNJFVXQFQZHFB-UHFFFAOYSA-N 0 3 301.430 4.378 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc2c(c1)OCCO2 ZINC000324423952 336215565 /nfs/dbraw/zinc/21/55/65/336215565.db2.gz SLDMEGUJRWDEMV-GLJUWKHASA-N 0 3 309.409 4.357 20 0 DIADHN CCOC1CC(CN[C@@H](CC(F)(F)F)c2ccccc2C)C1 ZINC000352413904 336216916 /nfs/dbraw/zinc/21/69/16/336216916.db2.gz RHLVDYSREHAESN-XUJLQICISA-N 0 3 315.379 4.393 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@H]2[C@@H]2CCCO2)c2ccccc2n1 ZINC000352433734 336218346 /nfs/dbraw/zinc/21/83/46/336218346.db2.gz WZOQFQZWOLVXTL-VQTJNVASSA-N 0 3 322.452 4.077 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H]3Cc4ccccc4O3)oc21 ZINC000324433327 336218583 /nfs/dbraw/zinc/21/85/83/336218583.db2.gz ACVQKSZLXRLXMQ-XJKSGUPXSA-N 0 3 323.392 4.096 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc3sccc3c2)cc1 ZINC000352465809 336220668 /nfs/dbraw/zinc/22/06/68/336220668.db2.gz OXQVMBIPMTXXEZ-UHFFFAOYSA-N 0 3 310.422 4.150 20 0 DIADHN CC1(c2nc3ccccc3s2)CCN(Cc2cccnc2)CC1 ZINC000352571817 336225219 /nfs/dbraw/zinc/22/52/19/336225219.db2.gz ZHULDWHFTKAATE-UHFFFAOYSA-N 0 3 323.465 4.245 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@H](c1ccc(Br)cc1)C1CC1 ZINC000563902365 336226104 /nfs/dbraw/zinc/22/61/04/336226104.db2.gz JMXLTUIREVUXOB-ILXRZTDVSA-N 0 3 312.226 4.380 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@@H](c1ccc(Br)cc1)C1CC1 ZINC000563902375 336226106 /nfs/dbraw/zinc/22/61/06/336226106.db2.gz JMXLTUIREVUXOB-QLFBSQMISA-N 0 3 312.226 4.380 20 0 DIADHN CC[C@H](NC/C(C)=C/c1ccccc1COC)c1ccncc1 ZINC000352619833 336227385 /nfs/dbraw/zinc/22/73/85/336227385.db2.gz XCYKKYLOTFHILW-YFIXDKARSA-N 0 3 310.441 4.372 20 0 DIADHN CC[C@@H](NCCc1csc(C(C)C)n1)c1ccc(F)cn1 ZINC000352721258 336232507 /nfs/dbraw/zinc/23/25/07/336232507.db2.gz LTGWYURFLBZPJE-CQSZACIVSA-N 0 3 307.438 4.084 20 0 DIADHN CC[C@@H](NC[C@@H](c1cccnc1)C(C)C)c1ccc(F)cn1 ZINC000352782603 336236231 /nfs/dbraw/zinc/23/62/31/336236231.db2.gz IMFFTOLQTNJYDS-IAGOWNOFSA-N 0 3 301.409 4.096 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ncc(CN(C)C)s1)C(C)C ZINC000352794138 336237144 /nfs/dbraw/zinc/23/71/44/336237144.db2.gz UWVRSTIUNPTFRC-SFHVURJKSA-N 0 3 317.502 4.000 20 0 DIADHN CC[C@@H](NCCC1(CO)CC1)c1ccc(Cl)cc1Cl ZINC000395843413 336237572 /nfs/dbraw/zinc/23/75/72/336237572.db2.gz HLPZXLNZZBKZLK-CQSZACIVSA-N 0 3 302.245 4.197 20 0 DIADHN OCC[C@@H](N[C@@H]1C=CCCC1)c1ccc(Cl)c(Cl)c1 ZINC000352797157 336237574 /nfs/dbraw/zinc/23/75/74/336237574.db2.gz HXQNHSPGUYMEGD-IUODEOHRSA-N 0 3 300.229 4.115 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc([S@](C)=O)cc1 ZINC000352800392 336238739 /nfs/dbraw/zinc/23/87/39/336238739.db2.gz ZLHUOAIRGJSZDI-DMZKTXOQSA-N 0 3 313.422 4.021 20 0 DIADHN C[C@H](C1CC1)N(Cc1coc(-c2ccc(F)c(F)c2)n1)C1CC1 ZINC000103226454 336238830 /nfs/dbraw/zinc/23/88/30/336238830.db2.gz JDOWQKLJZQUOAY-LLVKDONJSA-N 0 3 318.367 4.383 20 0 DIADHN CC(C)SCCN[C@@H](CCO)c1ccc(Cl)c(Cl)c1 ZINC000352801924 336239367 /nfs/dbraw/zinc/23/93/67/336239367.db2.gz UNTUFUHRGFZQNF-AWEZNQCLSA-N 0 3 322.301 4.148 20 0 DIADHN Cc1ccc(CNCc2cccc(Br)c2)cc1C ZINC000042418859 336240134 /nfs/dbraw/zinc/24/01/34/336240134.db2.gz AFJXXSWSAPAJCO-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN CO[C@H](CNCc1cnc(C(C)C)s1)c1ccc(Cl)cc1 ZINC000532328842 336240566 /nfs/dbraw/zinc/24/05/66/336240566.db2.gz VNXCGWLERLKBFH-OAHLLOKOSA-N 0 3 324.877 4.397 20 0 DIADHN CCC[C@@H](NCc1ccc2[nH]cnc2c1)c1ccc(F)cc1F ZINC000532328708 336240614 /nfs/dbraw/zinc/24/06/14/336240614.db2.gz KSWZNNSKQCARIF-MRXNPFEDSA-N 0 3 315.367 4.472 20 0 DIADHN CCC[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc(F)cc1F ZINC000532328708 336240615 /nfs/dbraw/zinc/24/06/15/336240615.db2.gz KSWZNNSKQCARIF-MRXNPFEDSA-N 0 3 315.367 4.472 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@H](C)C(=O)NC(C)(C)C)cc1 ZINC000103499561 336242044 /nfs/dbraw/zinc/24/20/44/336242044.db2.gz IDFNBYRYTARFOZ-ZIAGYGMSSA-N 0 3 322.518 4.141 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCc2ccncc2)o1 ZINC000532428357 336246767 /nfs/dbraw/zinc/24/67/67/336246767.db2.gz RAHHZOVTPIEYQW-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN c1cnc2c(c1)cccc2CN1CCOC2(C1)CCCCCC2 ZINC000532427311 336246867 /nfs/dbraw/zinc/24/68/67/336246867.db2.gz BPVPGFLAUSMAFQ-UHFFFAOYSA-N 0 3 310.441 4.160 20 0 DIADHN CC(C)[C@@H](CCc1ccccc1)NCc1cn2ccccc2n1 ZINC000532441010 336247404 /nfs/dbraw/zinc/24/74/04/336247404.db2.gz OQXXLSFWNLBUIY-LJQANCHMSA-N 0 3 307.441 4.081 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N1CCC(C)CC1)c1ccoc1 ZINC000532441934 336247474 /nfs/dbraw/zinc/24/74/74/336247474.db2.gz JMIZMHFREIWCRS-NVXWUHKLSA-N 0 3 318.486 4.465 20 0 DIADHN c1coc([C@@H](CN[C@H]2CCc3ccccc32)N2CCCCC2)c1 ZINC000532461078 336248707 /nfs/dbraw/zinc/24/87/07/336248707.db2.gz UVWTXHQMJLCBSM-RBUKOAKNSA-N 0 3 310.441 4.084 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCCOc1ccccc1 ZINC000532461278 336248834 /nfs/dbraw/zinc/24/88/34/336248834.db2.gz UISZXOWHNPDYTE-ZDUSSCGKSA-N 0 3 304.459 4.305 20 0 DIADHN CCN(CCc1ccccc1)Cc1cnc(-c2ccccc2)nc1 ZINC000532475344 336249813 /nfs/dbraw/zinc/24/98/13/336249813.db2.gz HCRRCOXNWKKBPV-UHFFFAOYSA-N 0 3 317.436 4.208 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1cncn1)c1ccc(C2CCCCC2)cc1 ZINC000532492699 336250675 /nfs/dbraw/zinc/25/06/75/336250675.db2.gz PPNIEFSBCQNEFZ-JKSUJKDBSA-N 0 3 312.461 4.065 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](C)c1cccc(-c2ccccc2)c1 ZINC000532475498 336251125 /nfs/dbraw/zinc/25/11/25/336251125.db2.gz HSGHROKSZKEEAX-IRXDYDNUSA-N 0 3 324.468 4.309 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cc(F)c(F)c(F)c2)C12CCC2 ZINC000532522647 336252695 /nfs/dbraw/zinc/25/26/95/336252695.db2.gz VOZIECHAVODLOI-WKPIXPDZSA-N 0 3 313.363 4.102 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCC[C@H]2NCc2cc[nH]n2)cc1 ZINC000532513086 336252926 /nfs/dbraw/zinc/25/29/26/336252926.db2.gz AUDXGGMPMUWLEG-JKSUJKDBSA-N 0 3 323.362 4.245 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccoc1)Oc1cccc(Br)c1 ZINC000532530882 336253859 /nfs/dbraw/zinc/25/38/59/336253859.db2.gz UCMMJRBZIKOKOD-RYUDHWBXSA-N 0 3 324.218 4.160 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1ccc(C)cc1)c1ccc(C)o1 ZINC000532548018 336254904 /nfs/dbraw/zinc/25/49/04/336254904.db2.gz QQKPDHNGUQEEAE-YJBOKZPZSA-N 0 3 301.430 4.195 20 0 DIADHN CCc1cccc(OCCN2CCC[C@@H]2c2cccc(C)n2)c1 ZINC000532537116 336255225 /nfs/dbraw/zinc/25/52/25/336255225.db2.gz YUMLYCUTKDJINB-HXUWFJFHSA-N 0 3 310.441 4.168 20 0 DIADHN COc1ccc([C@H](NCCc2ccncc2)c2ccccc2)cc1 ZINC000324737658 336255516 /nfs/dbraw/zinc/25/55/16/336255516.db2.gz ZDPBDYZNZGGGIX-OAQYLSRUSA-N 0 3 318.420 4.012 20 0 DIADHN C[C@H]1CCCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@H]1C ZINC000532584698 336256403 /nfs/dbraw/zinc/25/64/03/336256403.db2.gz QIJDTJNXTJLHCU-IRXDYDNUSA-N 0 3 322.452 4.413 20 0 DIADHN Fc1ccc(Br)cc1CN[C@@H]1CCCC(F)(F)C1 ZINC000532627839 336257815 /nfs/dbraw/zinc/25/78/15/336257815.db2.gz MCWHBBXXGAXFAK-LLVKDONJSA-N 0 3 322.168 4.256 20 0 DIADHN COc1cc(CN[C@H]2CCCC(F)(F)C2)cc(Cl)c1OC ZINC000532627845 336257970 /nfs/dbraw/zinc/25/79/70/336257970.db2.gz MOSLHAPPHYATCZ-NSHDSACASA-N 0 3 319.779 4.025 20 0 DIADHN CCC[C@@H](NCc1csc(-c2ccccn2)n1)C1CCC1 ZINC000324813196 336258816 /nfs/dbraw/zinc/25/88/16/336258816.db2.gz PYIPGDMOBIHXKZ-OAHLLOKOSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1cc(CN[C@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)n(C)n1 ZINC000417969976 336259309 /nfs/dbraw/zinc/25/93/09/336259309.db2.gz QOGFWCBEANITGG-KSSFIOAISA-N 0 3 309.457 4.118 20 0 DIADHN CO[C@H](C)CNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000443005301 336259819 /nfs/dbraw/zinc/25/98/19/336259819.db2.gz ZLQKZEWYKKKGKG-SNVBAGLBSA-N 0 3 314.212 4.378 20 0 DIADHN CN(Cc1cscc1Br)C(C1CC1)C1CC1 ZINC000580363217 336260168 /nfs/dbraw/zinc/26/01/68/336260168.db2.gz XEAYFZLNXHFWIZ-UHFFFAOYSA-N 0 3 300.265 4.131 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000192784988 336261751 /nfs/dbraw/zinc/26/17/51/336261751.db2.gz ANLDVWGQPQRZSR-DYVFJYSZSA-N 0 3 315.388 4.399 20 0 DIADHN CCCn1c(CN[C@@H]2CCCC(F)(F)C2)nc2ccccc21 ZINC000532628907 336263071 /nfs/dbraw/zinc/26/30/71/336263071.db2.gz WLCDBAFNYBGZRH-CYBMUJFWSA-N 0 3 307.388 4.114 20 0 DIADHN CCn1c(CNC[C@@H]2CCCCC(F)(F)C2)nc2ccccc21 ZINC000580387739 336263077 /nfs/dbraw/zinc/26/30/77/336263077.db2.gz DDTXCTOJXXRXMC-CQSZACIVSA-N 0 3 321.415 4.361 20 0 DIADHN Brc1cccc([C@@H]2CCCN2C[C@@H]2CCCOC2)c1 ZINC000532640544 336264353 /nfs/dbraw/zinc/26/43/53/336264353.db2.gz SQYSRWHQCSEKBI-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccnn1C)c1c(Cl)cccc1Cl ZINC000192803652 336264706 /nfs/dbraw/zinc/26/47/06/336264706.db2.gz MJBKNEBFSCDXBL-QWRGUYRKSA-N 0 3 312.244 4.181 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](c2ccccc2)C2CCC2)c1 ZINC000532701647 336267080 /nfs/dbraw/zinc/26/70/80/336267080.db2.gz MVSMLUHZIDCNNL-OAQYLSRUSA-N 0 3 322.452 4.373 20 0 DIADHN CCOCCN1CCCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000532687466 336267462 /nfs/dbraw/zinc/26/74/62/336267462.db2.gz RUCUHZQZSGSBOS-HNNXBMFYSA-N 0 3 301.352 4.269 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C2CCC2)c(C)o1 ZINC000532686673 336267473 /nfs/dbraw/zinc/26/74/73/336267473.db2.gz RDKFQSDNOMZQFU-GOSISDBHSA-N 0 3 313.397 4.006 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)c1C ZINC000532686395 336267509 /nfs/dbraw/zinc/26/75/09/336267509.db2.gz OSWINUUGLWQSPT-NRFANRHFSA-N 0 3 322.452 4.373 20 0 DIADHN CC[C@@H](NCCCOc1c(C)cccc1C)c1nccs1 ZINC000542524401 336267695 /nfs/dbraw/zinc/26/76/95/336267695.db2.gz AFKFJGRJUBZZDV-OAHLLOKOSA-N 0 3 304.459 4.270 20 0 DIADHN Clc1ccc(-c2noc(CN(CC3CC3)CC3CC3)n2)cc1 ZINC000532709253 336267927 /nfs/dbraw/zinc/26/79/27/336267927.db2.gz XTCQMWTTZQXSNL-UHFFFAOYSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1cccnc1[C@H](NCCO[C@@H]1CCCC[C@@H]1C)C(C)C ZINC000532709228 336267968 /nfs/dbraw/zinc/26/79/68/336267968.db2.gz VGDZMQVDQYDIOF-CGTJXYLNSA-N 0 3 304.478 4.272 20 0 DIADHN Cc1cccnc1[C@H](NCCO[C@H]1CCCC[C@H]1C)C(C)C ZINC000532709227 336268015 /nfs/dbraw/zinc/26/80/15/336268015.db2.gz VGDZMQVDQYDIOF-BPQIPLTHSA-N 0 3 304.478 4.272 20 0 DIADHN Cc1csc(C2(NCCCOc3ccccc3)CCCC2)n1 ZINC000532717782 336268141 /nfs/dbraw/zinc/26/81/41/336268141.db2.gz VNXDMEGXUSQRHF-UHFFFAOYSA-N 0 3 316.470 4.280 20 0 DIADHN CCCCN(CC)CC(=O)N(C)[C@H](C)c1cc2ccccc2o1 ZINC000532756748 336269861 /nfs/dbraw/zinc/26/98/61/336269861.db2.gz VXSGQDGLTIEFFY-OAHLLOKOSA-N 0 3 316.445 4.074 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)cc1 ZINC000192849144 336270393 /nfs/dbraw/zinc/27/03/93/336270393.db2.gz DYNOUCGTPDJMFB-ZIAGYGMSSA-N 0 3 311.429 4.151 20 0 DIADHN Cc1cc(C)c(CNC[C@H](c2ccco2)N2CCCC2)cc1C ZINC000104863467 336271020 /nfs/dbraw/zinc/27/10/20/336271020.db2.gz MOJVBNNINPVVTA-LJQANCHMSA-N 0 3 312.457 4.132 20 0 DIADHN c1c2ccccc2oc1CNC[C@H](c1ccccc1)N1CCCC1 ZINC000104907927 336271701 /nfs/dbraw/zinc/27/17/01/336271701.db2.gz LGBXESBAKLGJQZ-HXUWFJFHSA-N 0 3 320.436 4.360 20 0 DIADHN CCN(CCCOc1ccc(Cl)cc1)CCc1nccs1 ZINC000532821121 336272253 /nfs/dbraw/zinc/27/22/53/336272253.db2.gz GPEXAANVFUDJFJ-UHFFFAOYSA-N 0 3 324.877 4.130 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccccc1C(C)(C)C ZINC000532844939 336273491 /nfs/dbraw/zinc/27/34/91/336273491.db2.gz JJVSXCRORNAPSW-VOBQZIQPSA-N 0 3 309.453 4.293 20 0 DIADHN O[C@H]1CCCC[C@H]1CCCNCc1ccc(-c2ccccc2)o1 ZINC000532879607 336274083 /nfs/dbraw/zinc/27/40/83/336274083.db2.gz FYGZMYZUKUTLBX-LPHOPBHVSA-N 0 3 313.441 4.368 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000182629062 336276600 /nfs/dbraw/zinc/27/66/00/336276600.db2.gz MBMSQODSCPSZJL-IRXDYDNUSA-N 0 3 324.468 4.036 20 0 DIADHN Cc1ccc(C[C@@H](CO)N[C@@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000526966305 336277254 /nfs/dbraw/zinc/27/72/54/336277254.db2.gz CDVPPBNJKMCIKI-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN COc1ccccc1CCCNC(C)(C)c1nc(C)c(C)s1 ZINC000182634185 336277575 /nfs/dbraw/zinc/27/75/75/336277575.db2.gz DWDGJAVWNSYJJU-UHFFFAOYSA-N 0 3 318.486 4.226 20 0 DIADHN COCCC1(NCc2cnc(C3CCCCC3)s2)CCC1 ZINC000526992785 336278256 /nfs/dbraw/zinc/27/82/56/336278256.db2.gz STMYAPKVLLWUED-UHFFFAOYSA-N 0 3 308.491 4.240 20 0 DIADHN Cc1ccc(CCN[C@@H](c2cc(F)ccc2F)C(C)C)cn1 ZINC000527033636 336280083 /nfs/dbraw/zinc/28/00/83/336280083.db2.gz CBRRHGWSSXOFAJ-GOSISDBHSA-N 0 3 304.384 4.198 20 0 DIADHN Fc1ccc(CCNCc2cnc(C3CCCC3)s2)cc1F ZINC000527037218 336280208 /nfs/dbraw/zinc/28/02/08/336280208.db2.gz YKESQZQZSKGOEY-UHFFFAOYSA-N 0 3 322.424 4.411 20 0 DIADHN Cc1c([C@H](C)NCCOc2ccc(C)cc2C)cnn1C(C)C ZINC000527043257 336280785 /nfs/dbraw/zinc/28/07/85/336280785.db2.gz JEZNOCFFDLICFG-INIZCTEOSA-N 0 3 315.461 4.119 20 0 DIADHN CO[C@@H]1CC[C@@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000527065357 336282103 /nfs/dbraw/zinc/28/21/03/336282103.db2.gz FGOHVPXLVJIZHL-UKRRQHHQSA-N 0 3 322.861 4.121 20 0 DIADHN CCC(O)(CC)CCNCc1cnc(C2CCCCC2)s1 ZINC000527065384 336282152 /nfs/dbraw/zinc/28/21/52/336282152.db2.gz OGJIYGHRRGYYNE-UHFFFAOYSA-N 0 3 310.507 4.222 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000352865423 336283434 /nfs/dbraw/zinc/28/34/34/336283434.db2.gz FGUSDLNDNWQBSJ-DZGCQCFKSA-N 0 3 308.491 4.395 20 0 DIADHN OCCCCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000192932144 336285046 /nfs/dbraw/zinc/28/50/46/336285046.db2.gz RSAIHDKQJISOSO-SNVBAGLBSA-N 0 3 308.274 4.185 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000192946689 336285651 /nfs/dbraw/zinc/28/56/51/336285651.db2.gz ZIGGJPYHPSTRMF-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN CC(=O)c1c(F)cccc1NC[C@H](c1ccc(C)cc1)N(C)C ZINC000301116692 336290790 /nfs/dbraw/zinc/29/07/90/336290790.db2.gz UWBMMQCBBDQKCM-GOSISDBHSA-N 0 3 314.404 4.052 20 0 DIADHN c1coc([C@H](CNc2ccnc3ccsc32)N2CCCC2)c1 ZINC000301364444 336302648 /nfs/dbraw/zinc/30/26/48/336302648.db2.gz PNWDCFUVEKOMTH-HNNXBMFYSA-N 0 3 313.426 4.138 20 0 DIADHN c1coc([C@@H](CNc2ccnc3ccsc32)N2CCCC2)c1 ZINC000301364445 336302690 /nfs/dbraw/zinc/30/26/90/336302690.db2.gz PNWDCFUVEKOMTH-OAHLLOKOSA-N 0 3 313.426 4.138 20 0 DIADHN Cc1cc(NCc2ccccn2)c2cc(OC(F)F)ccc2n1 ZINC000301372681 336303194 /nfs/dbraw/zinc/30/31/94/336303194.db2.gz USQKNSMQOBUOIZ-UHFFFAOYSA-N 0 3 315.323 4.152 20 0 DIADHN CN1CCC[C@H](CNc2ccc(Cl)cn2)[C@H]1c1cccs1 ZINC000301443632 336307161 /nfs/dbraw/zinc/30/71/61/336307161.db2.gz NHYWSFHETPOGIF-WBMJQRKESA-N 0 3 321.877 4.292 20 0 DIADHN CC(C)(C)N1CCC(Nc2ncc(-c3ccccc3)s2)CC1 ZINC000301512661 336311577 /nfs/dbraw/zinc/31/15/77/336311577.db2.gz KXDLZILNQFUIAY-UHFFFAOYSA-N 0 3 315.486 4.485 20 0 DIADHN Cc1cc2ccccc2nc1NC[C@@H](c1cccs1)N(C)C ZINC000301537225 336313238 /nfs/dbraw/zinc/31/32/38/336313238.db2.gz AUTXFVXOOUXYHT-INIZCTEOSA-N 0 3 311.454 4.320 20 0 DIADHN Clc1cccnc1NCC1CCN(Cc2cccs2)CC1 ZINC000301560935 336315034 /nfs/dbraw/zinc/31/50/34/336315034.db2.gz KGTYLIOEIQGZHL-UHFFFAOYSA-N 0 3 321.877 4.121 20 0 DIADHN Cc1ccccc1CN1CCC(Nc2ncccc2Cl)CC1 ZINC000301581522 336316256 /nfs/dbraw/zinc/31/62/56/336316256.db2.gz TVGROCJSCZMPJD-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN CC(C)(C)c1nc(CCN[C@@H]2CCCc3occc32)cs1 ZINC000193063128 336318066 /nfs/dbraw/zinc/31/80/66/336318066.db2.gz SQUZAFBVOQXQGL-CQSZACIVSA-N 0 3 304.459 4.243 20 0 DIADHN Clc1cc(NC[C@H]2CCCN(Cc3cccs3)C2)ccn1 ZINC000301640526 336319995 /nfs/dbraw/zinc/31/99/95/336319995.db2.gz YNNHYSATOVCNPT-CYBMUJFWSA-N 0 3 321.877 4.121 20 0 DIADHN CCc1cc(NC[C@](C)(O)c2ccsc2)c2ccccc2n1 ZINC000301806238 336331286 /nfs/dbraw/zinc/33/12/86/336331286.db2.gz VQQCDTGZJGBCJJ-SFHVURJKSA-N 0 3 312.438 4.178 20 0 DIADHN CCc1cc(N[C@@H](C)C[C@@H](O)c2ccco2)c2ccccc2n1 ZINC000301891825 336336575 /nfs/dbraw/zinc/33/65/75/336336575.db2.gz MEVRKCOTPIYHFN-SCLBCKFNSA-N 0 3 310.397 4.314 20 0 DIADHN CCc1cc(N[C@@H](C)C[C@H](O)c2ccco2)c2ccccc2n1 ZINC000301891828 336336598 /nfs/dbraw/zinc/33/65/98/336336598.db2.gz MEVRKCOTPIYHFN-UGSOOPFHSA-N 0 3 310.397 4.314 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)CCSC)c1ccc(Cl)cc1 ZINC000514101893 336341934 /nfs/dbraw/zinc/34/19/34/336341934.db2.gz IDIMAKDJXGQOHK-SWLSCSKDSA-N 0 3 301.883 4.101 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(Cl)c3ccccc32)n(C)n1 ZINC000418011637 336343369 /nfs/dbraw/zinc/34/33/69/336343369.db2.gz RCQKNIZMWUCPEC-CYBMUJFWSA-N 0 3 313.832 4.386 20 0 DIADHN Cc1ccc(CSCCN[C@@H](C)c2cc(C)ccn2)cc1 ZINC000514103298 336345817 /nfs/dbraw/zinc/34/58/17/336345817.db2.gz BHWFYOILUFFPMJ-INIZCTEOSA-N 0 3 300.471 4.282 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@@H](C)[C@H](n3ccnc3)C2)c1C ZINC000302095204 336348146 /nfs/dbraw/zinc/34/81/46/336348146.db2.gz RONAPDPJWLJPCF-AUUYWEPGSA-N 0 3 320.440 4.136 20 0 DIADHN Cc1nc2ccccc2c(N2CCO[C@H](c3ccsc3)C2)c1C ZINC000302096008 336348382 /nfs/dbraw/zinc/34/83/82/336348382.db2.gz RZTXNCMOWPNIRE-SFHVURJKSA-N 0 3 324.449 4.491 20 0 DIADHN Cc1nc2ccccc2c(NCc2nccn2CC(C)C)c1C ZINC000302099533 336348491 /nfs/dbraw/zinc/34/84/91/336348491.db2.gz UNSFFSOEAXOOCR-UHFFFAOYSA-N 0 3 308.429 4.316 20 0 DIADHN Cc1nc2ccccc2c(N(C)Cc2nccn2C(F)F)c1C ZINC000302105107 336348725 /nfs/dbraw/zinc/34/87/25/336348725.db2.gz XADHIGMNPXIIPS-UHFFFAOYSA-N 0 3 316.355 4.080 20 0 DIADHN Cc1ccc2nccc(N[C@H]3CCO[C@@H]3c3ccccc3)c2c1 ZINC000302142498 336350569 /nfs/dbraw/zinc/35/05/69/336350569.db2.gz FSMOFAJPVXWCDX-VQTJNVASSA-N 0 3 304.393 4.485 20 0 DIADHN Cc1ccc2nccc(N[C@H]3CCO[C@H]3c3ccccc3)c2c1 ZINC000302142496 336350641 /nfs/dbraw/zinc/35/06/41/336350641.db2.gz FSMOFAJPVXWCDX-PMACEKPBSA-N 0 3 304.393 4.485 20 0 DIADHN Cc1ccc2nccc(NCc3cn4cc(Cl)ccc4n3)c2c1 ZINC000302175598 336352418 /nfs/dbraw/zinc/35/24/18/336352418.db2.gz WUJBJSKJBNKJKL-UHFFFAOYSA-N 0 3 322.799 4.456 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@@H](c4ccsc4)C3)c2c1 ZINC000302208571 336353997 /nfs/dbraw/zinc/35/39/97/336353997.db2.gz FPJDEPQRSKHAQT-GOSISDBHSA-N 0 3 310.422 4.183 20 0 DIADHN CCOc1c(OC)cc(CN2CCC[C@@H]2C(C)(C)C)cc1OC ZINC000514104992 336354724 /nfs/dbraw/zinc/35/47/24/336354724.db2.gz NYYRTYNMGMCITO-QGZVFWFLSA-N 0 3 321.461 4.113 20 0 DIADHN Cc1ccc2nccc(NCCc3nn(C)c4ccccc34)c2c1 ZINC000302342077 336357305 /nfs/dbraw/zinc/35/73/05/336357305.db2.gz BYKPDKQWCAWWFT-UHFFFAOYSA-N 0 3 316.408 4.085 20 0 DIADHN Cc1cc(NCc2ccc(C(C)(C)O)cc2)c2ccccc2n1 ZINC000302380095 336357766 /nfs/dbraw/zinc/35/77/66/336357766.db2.gz HUOMNUAENCDZOL-UHFFFAOYSA-N 0 3 306.409 4.383 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cnc(-c2ccsc2)s1 ZINC000463725614 339124808 /nfs/dbraw/zinc/12/48/08/339124808.db2.gz JPWIVYFSESSQCU-MRVPVSSYSA-N 0 3 306.378 4.302 20 0 DIADHN FC1(F)CCC[C@H](CNC2(c3nccs3)CCCC2)C1 ZINC000514115210 336367035 /nfs/dbraw/zinc/36/70/35/336367035.db2.gz QBSSRVYUXISBBR-LBPRGKRZSA-N 0 3 300.418 4.328 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1cccn1C)c1ccc(Cl)cc1 ZINC000514114747 336368364 /nfs/dbraw/zinc/36/83/64/336368364.db2.gz JYKUVFVOKNTLCA-QZTJIDSGSA-N 0 3 318.848 4.203 20 0 DIADHN Cc1ccc2nccc(NCc3ccc(OC(F)F)cn3)c2c1 ZINC000302835389 336371347 /nfs/dbraw/zinc/37/13/47/336371347.db2.gz VFYDRPSEKDTFAQ-UHFFFAOYSA-N 0 3 315.323 4.152 20 0 DIADHN c1ccc(Oc2ccc3nccc(N[C@@H]4CCOC4)c3c2)cc1 ZINC000302852551 336371924 /nfs/dbraw/zinc/37/19/24/336371924.db2.gz QGSLQZUFENQXTI-CQSZACIVSA-N 0 3 306.365 4.228 20 0 DIADHN CC1(CNc2ccnc3ccc(Oc4ccccc4)cc32)COC1 ZINC000302883080 336373112 /nfs/dbraw/zinc/37/31/12/336373112.db2.gz JFEXQXZZRYUSIW-UHFFFAOYSA-N 0 3 320.392 4.476 20 0 DIADHN Cc1cnc(NC[C@H]2CCCN(C)[C@@H]2c2cccs2)s1 ZINC000302887277 336373212 /nfs/dbraw/zinc/37/32/12/336373212.db2.gz NUGYWJDPBVPMFN-OCCSQVGLSA-N 0 3 307.488 4.008 20 0 DIADHN CCCOc1ccc([C@@H](C)NCCSC(C)C)cc1OC ZINC000514134319 336374533 /nfs/dbraw/zinc/37/45/33/336374533.db2.gz KSDVKFQTNGSEFD-CQSZACIVSA-N 0 3 311.491 4.276 20 0 DIADHN CCC(CC)[C@H](NCc1ccc(OC)cn1)c1cccs1 ZINC000514131163 336375054 /nfs/dbraw/zinc/37/50/54/336375054.db2.gz UJPQXSDBSODQIG-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN CN(C)CCOc1cccc(N[C@@H](c2ccccc2)C2CC2)c1 ZINC000320872409 336376132 /nfs/dbraw/zinc/37/61/32/336376132.db2.gz WKZCKOMHRBTMPP-FQEVSTJZSA-N 0 3 310.441 4.190 20 0 DIADHN CCC[C@H](CCO)CN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000152436959 336376166 /nfs/dbraw/zinc/37/61/66/336376166.db2.gz YTVZNLAEGBNLIZ-UONOGXRCSA-N 0 3 315.404 4.046 20 0 DIADHN Cc1cccc(CCCNCc2ncc(-c3ccccc3)s2)n1 ZINC000352923660 336377344 /nfs/dbraw/zinc/37/73/44/336377344.db2.gz IJYMGQPKCROXPV-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN Fc1ccc(OC2CCN(Cc3cccc4[nH]ccc43)CC2)cc1 ZINC000352949388 336378914 /nfs/dbraw/zinc/37/89/14/336378914.db2.gz XIVKPMOHPCFRNS-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN COc1cccc(C2=CCN(Cc3sc(C)nc3C)CC2)c1 ZINC000352958310 336379298 /nfs/dbraw/zinc/37/92/98/336379298.db2.gz QSGAQVAQNWBHCB-UHFFFAOYSA-N 0 3 314.454 4.058 20 0 DIADHN FC(F)Oc1ccc(Br)cc1CNC1CCCC1 ZINC000034991147 336384881 /nfs/dbraw/zinc/38/48/81/336384881.db2.gz GXRUNWIMSMPTMM-UHFFFAOYSA-N 0 3 320.177 4.083 20 0 DIADHN CC(C)c1ccc(C(=O)Nc2ccccc2CN(C)C)s1 ZINC000514639031 336387324 /nfs/dbraw/zinc/38/73/24/336387324.db2.gz INEFWUYGVPZFAS-UHFFFAOYSA-N 0 3 302.443 4.185 20 0 DIADHN CC[C@@H](CNCc1nc2ccccc2n1CC)CC(F)(F)F ZINC000402655247 336387554 /nfs/dbraw/zinc/38/75/54/336387554.db2.gz ILOMNZGCMPCXTN-GFCCVEGCSA-N 0 3 313.367 4.124 20 0 DIADHN CCCc1csc(CN[C@H]2C[C@H](c3ccc(F)cc3)C2)n1 ZINC000563947824 336388300 /nfs/dbraw/zinc/38/83/00/336388300.db2.gz KYPRVVFOKFHTTO-SAZUREKKSA-N 0 3 304.434 4.271 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(Cl)c1)c1c(C)nn(C)c1C ZINC000152468553 336388644 /nfs/dbraw/zinc/38/86/44/336388644.db2.gz DXQUGPKCDWTXQG-BDJLRTHQSA-N 0 3 305.853 4.492 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@@H](CO)c1ccc(F)cc1 ZINC000563988481 336390259 /nfs/dbraw/zinc/39/02/59/336390259.db2.gz BUAYUCOFOYLQET-FQEVSTJZSA-N 0 3 309.384 4.111 20 0 DIADHN Cc1nc2ccc(CNCC3(C(F)(F)F)CC3)cc2s1 ZINC000353046861 336390600 /nfs/dbraw/zinc/39/06/00/336390600.db2.gz LMYVFIWNAFTNMJ-UHFFFAOYSA-N 0 3 300.349 4.037 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C(C)(C)C1CCCC1)c1ccco1 ZINC000563993470 336393935 /nfs/dbraw/zinc/39/39/35/336393935.db2.gz NGKQIAFMSUMHGA-HOCLYGCPSA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C(C)(C)C1CCCC1)c1ccco1 ZINC000563993468 336394005 /nfs/dbraw/zinc/39/40/05/336394005.db2.gz NGKQIAFMSUMHGA-GDBMZVCRSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@@H](NCCO[C@@H]1CCCCO1)c1ccc(Cl)c(Cl)c1 ZINC000193083064 336395650 /nfs/dbraw/zinc/39/56/50/336395650.db2.gz CGJUOTBDNFVXFC-IAQYHMDHSA-N 0 3 318.244 4.187 20 0 DIADHN Fc1ccc2oc(CN3CCC(OC4CCC4)CC3)cc2c1 ZINC000418088926 336398878 /nfs/dbraw/zinc/39/88/78/336398878.db2.gz HZEZANRKAFDQNL-UHFFFAOYSA-N 0 3 303.377 4.105 20 0 DIADHN Cc1cc2cc(CNCc3c[nH]nc3-c3cccs3)ccc2[nH]1 ZINC000353131938 336404005 /nfs/dbraw/zinc/40/40/05/336404005.db2.gz ZVRSZFBFZBKOSO-UHFFFAOYSA-N 0 3 322.437 4.218 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1cccn1)c1cccc(C)c1 ZINC000353436559 336417856 /nfs/dbraw/zinc/41/78/56/336417856.db2.gz PEVFMRYKBNFLQG-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN CC[C@@H](NCc1cccc(N2CCCC2=O)c1)c1cccc(C)c1 ZINC000353458393 336418791 /nfs/dbraw/zinc/41/87/91/336418791.db2.gz CPPHUWFCVOUMAQ-HXUWFJFHSA-N 0 3 322.452 4.363 20 0 DIADHN CC(C)CC[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccoc1 ZINC000353455068 336418861 /nfs/dbraw/zinc/41/88/61/336418861.db2.gz OHRIHZSEVXXTJA-IRXDYDNUSA-N 0 3 304.434 4.242 20 0 DIADHN CC[C@H](NCc1ccccc1OCCOC)c1cccc(C)c1 ZINC000353458607 336418885 /nfs/dbraw/zinc/41/88/85/336418885.db2.gz CXXHIXBRLGUQLS-IBGZPJMESA-N 0 3 313.441 4.261 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1cccc(C)c1 ZINC000353518602 336421987 /nfs/dbraw/zinc/42/19/87/336421987.db2.gz LELJJRJCQNTNCM-HXUWFJFHSA-N 0 3 314.448 4.437 20 0 DIADHN Cc1sccc1CN1CCC(Cc2ccccc2CO)CC1 ZINC000353535164 336423242 /nfs/dbraw/zinc/42/32/42/336423242.db2.gz VIQQMBCJDIAJFD-UHFFFAOYSA-N 0 3 315.482 4.004 20 0 DIADHN COc1ccsc1CN1CCC(OC2CCC(C)CC2)CC1 ZINC000353562432 336425079 /nfs/dbraw/zinc/42/50/79/336425079.db2.gz AETFAGHAGJRAKE-UHFFFAOYSA-N 0 3 323.502 4.316 20 0 DIADHN CC[C@@H](CN[C@H](C)c1csc(-c2csc(C)n2)c1)OC ZINC000353573442 336426077 /nfs/dbraw/zinc/42/60/77/336426077.db2.gz HUOCILKPEGFEOH-MFKMUULPSA-N 0 3 310.488 4.256 20 0 DIADHN C[C@H](CN[C@@H](C[C@H]1CCCO1)c1ccc(F)cc1)C(F)(F)F ZINC000353593666 336427477 /nfs/dbraw/zinc/42/74/77/336427477.db2.gz DMEPUXMOXFVWEH-DFBGVHRSSA-N 0 3 319.342 4.224 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N[C@@H](CCC(C)C)c2ccoc2)C1 ZINC000353595797 336427619 /nfs/dbraw/zinc/42/76/19/336427619.db2.gz OYHDPZCYVIBPNW-OIISXLGYSA-N 0 3 307.434 4.078 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)N[C@@H]2CCCc3nn(C)cc32)c1 ZINC000353601598 336427974 /nfs/dbraw/zinc/42/79/74/336427974.db2.gz BIAHHPZFLZWGPJ-DNVCBOLYSA-N 0 3 311.473 4.347 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@]2(C)OCCc3sccc32)c(C)o1 ZINC000353632372 336430284 /nfs/dbraw/zinc/43/02/84/336430284.db2.gz SKKXNNNNEGLKCW-SJKOYZFVSA-N 0 3 305.443 4.097 20 0 DIADHN CSc1ccc(OCCNC(C)(C)c2ccc(C)nc2)cc1 ZINC000353672555 336433868 /nfs/dbraw/zinc/43/38/68/336433868.db2.gz XCICEVNAQATTPL-UHFFFAOYSA-N 0 3 316.470 4.016 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccccc1Cn1ccnc1 ZINC000353674856 336433894 /nfs/dbraw/zinc/43/38/94/336433894.db2.gz YJIIXAPBJKCTOU-NRFANRHFSA-N 0 3 317.436 4.017 20 0 DIADHN COc1ccc2nc(CN[C@H]3CCC[C@@H](SC)C3)sc2c1 ZINC000353777152 336439976 /nfs/dbraw/zinc/43/99/76/336439976.db2.gz LTUJCBMFKCJFJI-WCQYABFASA-N 0 3 322.499 4.069 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCC1(C(F)(F)F)CCC1)CCCO2 ZINC000353948876 336450862 /nfs/dbraw/zinc/45/08/62/336450862.db2.gz XXUSZCRQZQBROH-CYBMUJFWSA-N 0 3 317.326 4.362 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CC3(CCC3)Oc3ccccc32)CC1 ZINC000353957819 336451208 /nfs/dbraw/zinc/45/12/08/336451208.db2.gz RRLVBHBELARETB-ZDUSSCGKSA-N 0 3 311.347 4.365 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000353971428 336451795 /nfs/dbraw/zinc/45/17/95/336451795.db2.gz JUVPPLFZDPVBBI-RNODOKPDSA-N 0 3 321.424 4.300 20 0 DIADHN COc1ccc([C@@H](C)NCC2(c3ccccn3)CCC2)c(F)c1 ZINC000353987267 336452861 /nfs/dbraw/zinc/45/28/61/336452861.db2.gz OIURLGPOTMFOLU-CQSZACIVSA-N 0 3 314.404 4.002 20 0 DIADHN CC[C@H](NCC(=O)N(C)c1ccccc1)c1cccc(Cl)c1 ZINC000195673458 336457250 /nfs/dbraw/zinc/45/72/50/336457250.db2.gz AIFGYUDFFSAJQZ-KRWDZBQOSA-N 0 3 316.832 4.044 20 0 DIADHN CCC[C@H](N[C@H](C)CCn1cccn1)c1ccc(F)cc1F ZINC000354085751 336458366 /nfs/dbraw/zinc/45/83/66/336458366.db2.gz KOBOFECYIVNDHW-DYVFJYSZSA-N 0 3 307.388 4.071 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@]2(C)C[C@@H]2c2ccccc2)cn1 ZINC000354115933 336460029 /nfs/dbraw/zinc/46/00/29/336460029.db2.gz IICRAQLKNWQPNM-XLIONFOSSA-N 0 3 309.457 4.058 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000354989457 336490357 /nfs/dbraw/zinc/49/03/57/336490357.db2.gz ZJOKMQQMNBYPHU-OCCSQVGLSA-N 0 3 311.351 4.254 20 0 DIADHN CC[C@@H](NCC[C@H](C)OCc1ccccc1)c1nc(C)cs1 ZINC000355276582 336501827 /nfs/dbraw/zinc/50/18/27/336501827.db2.gz IFEMOPUMNQQYBT-DOTOQJQBSA-N 0 3 318.486 4.488 20 0 DIADHN Fc1ccc(CNCc2ccc3ncccc3c2)c2ncccc12 ZINC000355283324 336502357 /nfs/dbraw/zinc/50/23/57/336502357.db2.gz DKWTWVZKTKXJDK-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cccc(-c2ccccn2)c1 ZINC000356312021 336545875 /nfs/dbraw/zinc/54/58/75/336545875.db2.gz OOCJAXBHXFSLBQ-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1cscc1C(F)(F)F ZINC000356320249 336546239 /nfs/dbraw/zinc/54/62/39/336546239.db2.gz OHJPOTFQHUULBI-NXEZZACHSA-N 0 3 315.307 4.017 20 0 DIADHN C[C@H]1CCCCCN1C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000357789046 336598567 /nfs/dbraw/zinc/59/85/67/336598567.db2.gz JHRTYYMETOFMPI-KRWDZBQOSA-N 0 3 314.473 4.077 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(-c2cccnc2)cc1 ZINC000357796069 336598964 /nfs/dbraw/zinc/59/89/64/336598964.db2.gz PGLQKYAPJVUXNM-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN Cc1ccncc1[C@H](C)NCCSCc1ccccc1F ZINC000357801748 336599397 /nfs/dbraw/zinc/59/93/97/336599397.db2.gz XKHXVWGEXSJRAY-AWEZNQCLSA-N 0 3 304.434 4.113 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CC(C)(C)Oc3cc(F)ccc32)CCO1 ZINC000357841889 336601006 /nfs/dbraw/zinc/60/10/06/336601006.db2.gz SAMBLTOTJJEWQL-OIISXLGYSA-N 0 3 321.436 4.221 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NC[C@H]1CCCCO1 ZINC000358014913 336606049 /nfs/dbraw/zinc/60/60/49/336606049.db2.gz DHDZDLAZCRQNLS-CRAIPNDOSA-N 0 3 303.446 4.182 20 0 DIADHN CC(C)C[C@@H](N[C@H](C)CN1CCCC1=O)c1ccc(Cl)cc1 ZINC000358014830 336606081 /nfs/dbraw/zinc/60/60/81/336606081.db2.gz COAWAUWSNJZVCM-RHSMWYFYSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCCOC1CCCC1)CCCO2 ZINC000358024684 336607125 /nfs/dbraw/zinc/60/71/25/336607125.db2.gz RQHFDFSQTHRTNG-GOSISDBHSA-N 0 3 303.446 4.066 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1cnc3ccccc3c1)CCC2 ZINC000358046557 336608433 /nfs/dbraw/zinc/60/84/33/336608433.db2.gz LLSIAASWAYQTMF-INIZCTEOSA-N 0 3 309.438 4.167 20 0 DIADHN COC(=O)CC[C@H](N[C@H]1CCc2ccc(C)cc21)c1ccccc1 ZINC000358081858 336610612 /nfs/dbraw/zinc/61/06/12/336610612.db2.gz IZOBEGYHGHYATF-PMACEKPBSA-N 0 3 323.436 4.266 20 0 DIADHN CC[C@H](O)CCCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000358152626 336613563 /nfs/dbraw/zinc/61/35/63/336613563.db2.gz HBBWGQVQLYLRBT-ZFWWWQNUSA-N 0 3 304.459 4.012 20 0 DIADHN CCOC[C@H](C)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000358161814 336614050 /nfs/dbraw/zinc/61/40/50/336614050.db2.gz RBGWCGYNTJMGIU-HNAYVOBHSA-N 0 3 311.425 4.192 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCCC(F)(F)F)CCCO2 ZINC000358187570 336615782 /nfs/dbraw/zinc/61/57/82/336615782.db2.gz KCMYZXXXLQNKTO-LBPRGKRZSA-N 0 3 307.743 4.404 20 0 DIADHN CO[C@@H](CN[C@H]1CCCOc2ccc(F)cc21)c1ccccc1 ZINC000358212611 336617114 /nfs/dbraw/zinc/61/71/14/336617114.db2.gz AIELHKFHECCPAX-HKUYNNGSSA-N 0 3 315.388 4.017 20 0 DIADHN CO[C@H](CN[C@H]1CCSc2ccc(F)cc21)c1ccccc1 ZINC000358216117 336617337 /nfs/dbraw/zinc/61/73/37/336617337.db2.gz HGALGMMSQGEQEG-DLBZAZTESA-N 0 3 317.429 4.340 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@H](OC)c1ccccc1)CCC2 ZINC000358220411 336617582 /nfs/dbraw/zinc/61/75/82/336617582.db2.gz KOVZCESOXBYPHS-PMACEKPBSA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@@H](NCC(CC)(CC)CO)c1ccccc1OC(F)F ZINC000358249812 336619368 /nfs/dbraw/zinc/61/93/68/336619368.db2.gz JKSFQRIVXQAHRC-CQSZACIVSA-N 0 3 315.404 4.127 20 0 DIADHN CCC[C@H](NCc1ccc2c(c1)COC2)c1ccc(OC)cc1 ZINC000358298903 336622557 /nfs/dbraw/zinc/62/25/57/336622557.db2.gz MLISXKDSKMCZQU-FQEVSTJZSA-N 0 3 311.425 4.356 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000358304534 336622897 /nfs/dbraw/zinc/62/28/97/336622897.db2.gz XWUTXURHSGISQG-IYOUNJFTSA-N 0 3 319.424 4.030 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc(C)cc(OC)c1)CCC2 ZINC000358347510 336625249 /nfs/dbraw/zinc/62/52/49/336625249.db2.gz UDYYKRXALUAWEF-HXUWFJFHSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@](O)(CN[C@@H]1CCCCc2ccc(Cl)cc21)C(F)(F)F ZINC000358351633 336625589 /nfs/dbraw/zinc/62/55/89/336625589.db2.gz HXZBGOMYVXDLTF-ZIAGYGMSSA-N 0 3 321.770 4.010 20 0 DIADHN CC[C@@H](NCCc1c[nH]c2ncccc12)c1cc(F)ccc1F ZINC000358351168 336625611 /nfs/dbraw/zinc/62/56/11/336625611.db2.gz LBBWZSCZDUOYND-QGZVFWFLSA-N 0 3 315.367 4.125 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](c2cccnc2)C2CC2)cc1F ZINC000358374999 336627107 /nfs/dbraw/zinc/62/71/07/336627107.db2.gz GNUCBLRBZIQFAA-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN CCC[C@@H](N[C@@H](CO)c1sccc1C)c1ccc(OC)cc1 ZINC000358411385 336628929 /nfs/dbraw/zinc/62/89/29/336628929.db2.gz XDDMCSVJHQDSAP-SJORKVTESA-N 0 3 319.470 4.230 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(SC)c(OC)c1 ZINC000358503727 336632835 /nfs/dbraw/zinc/63/28/35/336632835.db2.gz FIERSOUFUWJGLC-ZDUSSCGKSA-N 0 3 316.470 4.225 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H](c1ccccc1)C1CCCC1 ZINC000358753016 336641839 /nfs/dbraw/zinc/64/18/39/336641839.db2.gz PYPGIMXOGJPFTO-AZUAARDMSA-N 0 3 309.457 4.319 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000358760562 336642253 /nfs/dbraw/zinc/64/22/53/336642253.db2.gz VJTQUFZDLKWQQR-SJLPKXTDSA-N 0 3 315.436 4.314 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@H]2CC[C@H](c3ccccc3)O2)cn1 ZINC000367322281 336642414 /nfs/dbraw/zinc/64/24/14/336642414.db2.gz PHFLGGIRKRBBHX-ATZDWAIDSA-N 0 3 313.445 4.035 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCC[C@H]2N[C@H]1CC12CCCC2 ZINC000358992374 336651693 /nfs/dbraw/zinc/65/16/93/336651693.db2.gz VXPIYOQGUUDPDK-MJGOQNOKSA-N 0 3 307.441 4.172 20 0 DIADHN CC(C)(C)CC[C@H](CO)N[C@H]1CCSc2ccc(F)cc21 ZINC000359075762 336656530 /nfs/dbraw/zinc/65/65/30/336656530.db2.gz BEOZCHNGCWBGTJ-HIFRSBDPSA-N 0 3 311.466 4.139 20 0 DIADHN OC[C@H](CC1CCC1)N[C@@H]1CCSc2ccc(Cl)cc21 ZINC000359089932 336657240 /nfs/dbraw/zinc/65/72/40/336657240.db2.gz ZCMQEOCQIIMWLF-DZGCQCFKSA-N 0 3 311.878 4.018 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC000359210108 336663002 /nfs/dbraw/zinc/66/30/02/336663002.db2.gz ITDUSZHEMVAVSF-UONOGXRCSA-N 0 3 311.429 4.014 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCCc2cc(OC)ccc21 ZINC000359322554 336667660 /nfs/dbraw/zinc/66/76/60/336667660.db2.gz HNQOGLNHHIIXLI-XOBRGWDASA-N 0 3 310.441 4.381 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000359348077 336668695 /nfs/dbraw/zinc/66/86/95/336668695.db2.gz UEUSDHYHYKZHKE-CVEARBPZSA-N 0 3 320.440 4.242 20 0 DIADHN CC[C@H](NC[C@H](c1ccsc1)N(C)C)c1ccc(F)cc1F ZINC000359665998 336682375 /nfs/dbraw/zinc/68/23/75/336682375.db2.gz UZWXJYVJTLVOBH-DLBZAZTESA-N 0 3 324.440 4.370 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CCSc2ccccc21)c1ccsc1 ZINC000359681041 336683187 /nfs/dbraw/zinc/68/31/87/336683187.db2.gz DFQPHLPZJAXRMV-HOTGVXAUSA-N 0 3 318.511 4.178 20 0 DIADHN Fc1cccc(-c2ccc(CNCCc3nccs3)o2)c1F ZINC000359733830 336685629 /nfs/dbraw/zinc/68/56/29/336685629.db2.gz IHPXHBFJAVJZCI-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN COC1(CNCc2ccc(-c3csc(C)n3)o2)CCCCC1 ZINC000359969395 336695885 /nfs/dbraw/zinc/69/58/85/336695885.db2.gz HMBOUVVDXWQBQE-UHFFFAOYSA-N 0 3 320.458 4.150 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCOc3ccc(C)cc32)c1 ZINC000360029218 336698366 /nfs/dbraw/zinc/69/83/66/336698366.db2.gz KOSMDVFZLBQZHT-LJQANCHMSA-N 0 3 311.425 4.397 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1ccc(C)nc1C ZINC000360131494 336702453 /nfs/dbraw/zinc/70/24/53/336702453.db2.gz MCSFSDZJNBJFHO-KBXCAEBGSA-N 0 3 318.848 4.218 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c(C)c1 ZINC000360578032 336715002 /nfs/dbraw/zinc/71/50/02/336715002.db2.gz FNSZUAFTGMOPIJ-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN O=C1CC[C@H](N[C@H]2C[C@H]2C2CCCCC2)c2cc(F)ccc2N1 ZINC000360616363 336716286 /nfs/dbraw/zinc/71/62/86/336716286.db2.gz UJWFIOHTZFZODL-ZVZYQTTQSA-N 0 3 316.420 4.158 20 0 DIADHN CCO[C@H](CN[C@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000360886085 336725810 /nfs/dbraw/zinc/72/58/10/336725810.db2.gz UNNWMTYAAJRTMD-UXHICEINSA-N 0 3 310.441 4.290 20 0 DIADHN Fc1ccc(OCCCNCc2cc3cc(F)ccc3o2)cc1 ZINC000360941649 336728597 /nfs/dbraw/zinc/72/85/97/336728597.db2.gz FTLGJKIRCFABFL-UHFFFAOYSA-N 0 3 317.335 4.270 20 0 DIADHN CC[C@@H](NCCC(=O)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000360947189 336728907 /nfs/dbraw/zinc/72/89/07/336728907.db2.gz MCEYVBAZDLLKGJ-QGZVFWFLSA-N 0 3 322.880 4.173 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H]1CCCc2ccc(O)cc21 ZINC000360997934 336731756 /nfs/dbraw/zinc/73/17/56/336731756.db2.gz OOPRLVNPVMBMJE-LSDHHAIUSA-N 0 3 301.352 4.336 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000361003189 336732200 /nfs/dbraw/zinc/73/22/00/336732200.db2.gz NMLSTFZZUQAKQV-RDTXWAMCSA-N 0 3 309.457 4.027 20 0 DIADHN CSc1ccccc1CN1CCC(c2ccc(C)nc2)CC1 ZINC000361053996 336735442 /nfs/dbraw/zinc/73/54/42/336735442.db2.gz AXOGNPVDQTYDSU-UHFFFAOYSA-N 0 3 312.482 4.492 20 0 DIADHN Cc1ccc(C2CCN([C@H]3CCc4ccc(F)cc43)CC2)cn1 ZINC000361063192 336736403 /nfs/dbraw/zinc/73/64/03/336736403.db2.gz OUVUGHHGYBUOBV-FQEVSTJZSA-N 0 3 310.416 4.396 20 0 DIADHN CC(C)(NCc1cncc(C(F)(F)F)c1)c1cccs1 ZINC000361329193 336751462 /nfs/dbraw/zinc/75/14/62/336751462.db2.gz XEEYQXJPMWWRGA-UHFFFAOYSA-N 0 3 300.349 4.187 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1cncc(C(F)(F)F)c1 ZINC000361376177 336754209 /nfs/dbraw/zinc/75/42/09/336754209.db2.gz FZUCOUBIWQZHER-KBPBESRZSA-N 0 3 318.408 4.254 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1cncc(C(F)(F)F)c1 ZINC000361376178 336754404 /nfs/dbraw/zinc/75/44/04/336754404.db2.gz FZUCOUBIWQZHER-KGLIPLIRSA-N 0 3 318.408 4.254 20 0 DIADHN CC[C@@H](NCc1cccc(-n2ccnc2)c1)c1cccc(OC)c1 ZINC000361483058 336758475 /nfs/dbraw/zinc/75/84/75/336758475.db2.gz BUYAHYVIDZQSNP-HXUWFJFHSA-N 0 3 321.424 4.122 20 0 DIADHN c1ccc(N2CCC[C@H](N[C@H]3CCCOc4ccccc43)C2)cc1 ZINC000361485787 336758872 /nfs/dbraw/zinc/75/88/72/336758872.db2.gz PBLCVTYLTPDQGA-PXNSSMCTSA-N 0 3 322.452 4.159 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2cccc(-n3ccnc3)c2)C1 ZINC000361492448 336759107 /nfs/dbraw/zinc/75/91/07/336759107.db2.gz IBZQQVNHBYSCHM-GOSISDBHSA-N 0 3 321.424 4.269 20 0 DIADHN CCN(CC)C(=O)[C@@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361835054 336774835 /nfs/dbraw/zinc/77/48/35/336774835.db2.gz SOFBEKGUQGDEPS-RHSMWYFYSA-N 0 3 322.468 4.150 20 0 DIADHN OCC[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000361880558 336776492 /nfs/dbraw/zinc/77/64/92/336776492.db2.gz NKBYKDKSSDNDCX-ROUUACIJSA-N 0 3 301.817 4.041 20 0 DIADHN CC(C)[C@@H](CO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361920264 336778005 /nfs/dbraw/zinc/77/80/05/336778005.db2.gz YGMDPYSOGXQXLX-NXEZZACHSA-N 0 3 308.274 4.039 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@@H]2SCCc3ccccc32)s1 ZINC000361967126 336780272 /nfs/dbraw/zinc/78/02/72/336780272.db2.gz QNZNGLKIZQEKEW-BZNIZROVSA-N 0 3 318.511 4.441 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2CCc3ccc(C)cc32)c2ccccc21 ZINC000362032457 336782698 /nfs/dbraw/zinc/78/26/98/336782698.db2.gz MIQSQYWHWCMBHT-PMACEKPBSA-N 0 3 320.436 4.070 20 0 DIADHN COc1ccc([C@@H]2CCCC[C@H]2NCc2cscn2)cc1F ZINC000362203642 336788015 /nfs/dbraw/zinc/78/80/15/336788015.db2.gz WSZPXUDZIZXWMY-GOEBONIOSA-N 0 3 320.433 4.107 20 0 DIADHN CN1CCC(CC(=O)N[C@@H](CC(C)(C)C)c2ccccc2)CC1 ZINC000362571474 336804389 /nfs/dbraw/zinc/80/43/89/336804389.db2.gz YHLQHKXLPSXCHH-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN CSc1cccc(NC(=O)c2ccccc2CN(C)C)c1C ZINC000362973136 336816880 /nfs/dbraw/zinc/81/68/80/336816880.db2.gz SCPIIVVUWPNYLP-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2cccc(C)c2C)c2ccccc21 ZINC000367548352 336824812 /nfs/dbraw/zinc/82/48/12/336824812.db2.gz BWBBXTJWFWSLED-OXQOHEQNSA-N 0 3 322.452 4.452 20 0 DIADHN C[C@H](NCc1cnc2ccccn12)c1ccc(F)c2ccccc21 ZINC000582264024 336829959 /nfs/dbraw/zinc/82/99/59/336829959.db2.gz RYYMGKRQGXTGSD-AWEZNQCLSA-N 0 3 319.383 4.477 20 0 DIADHN CCC[C@@H](NC[C@@]1(C)OCCc2sccc21)c1ccccn1 ZINC000368056219 336836961 /nfs/dbraw/zinc/83/69/61/336836961.db2.gz RCKZURHKVIOOJK-CRAIPNDOSA-N 0 3 316.470 4.062 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCO[C@@H](C(C)C)C2)c2ccccn2)cc1 ZINC000368444639 336844805 /nfs/dbraw/zinc/84/48/05/336844805.db2.gz ZVCGGYCLMRYBSK-NRSPTQNISA-N 0 3 324.468 4.273 20 0 DIADHN CC(C)c1csc(CN[C@H]2CCC[C@H](c3cccnc3)C2)n1 ZINC000368516021 336846513 /nfs/dbraw/zinc/84/65/13/336846513.db2.gz AWAQISXVOMCUDK-HOCLYGCPSA-N 0 3 315.486 4.478 20 0 DIADHN FC(F)(F)c1ccccc1CNCc1cccc2cc[nH]c21 ZINC000389601753 336874514 /nfs/dbraw/zinc/87/45/14/336874514.db2.gz LXBPIEBNSPJAEW-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](c1cccnc1)C1CCC1)CCC2 ZINC000370209400 336879627 /nfs/dbraw/zinc/87/96/27/336879627.db2.gz HIDBIRVODUEFTG-DLBZAZTESA-N 0 3 313.470 4.355 20 0 DIADHN CC[C@H](N[C@@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000119120691 336880580 /nfs/dbraw/zinc/88/05/80/336880580.db2.gz VKLIVEDERADWKV-ZWKOTPCHSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1nn(C)c2ncc(CN[C@@H](C)c3ccc(C4CC4)cc3)cc12 ZINC000418123842 336882507 /nfs/dbraw/zinc/88/25/07/336882507.db2.gz YUGSWBOHJPDYBT-ZDUSSCGKSA-N 0 3 320.440 4.005 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(-c3ccncc3)cc2)c1 ZINC000372097445 336922078 /nfs/dbraw/zinc/92/20/78/336922078.db2.gz ZLDFGGQKIIIULL-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN C[C@@H](CCNCc1ccn(Cc2ccccc2)n1)c1ccccc1 ZINC000389950060 336927404 /nfs/dbraw/zinc/92/74/04/336927404.db2.gz WSOIOPODTUKLIA-SFHVURJKSA-N 0 3 319.452 4.215 20 0 DIADHN Clc1sc(CN[C@H]2C=CCCC2)cc1Br ZINC000389974292 336930237 /nfs/dbraw/zinc/93/02/37/336930237.db2.gz DPYJAUDTRSUTAQ-QMMMGPOBSA-N 0 3 306.656 4.362 20 0 DIADHN C[C@H](NCCCOCc1ccccc1)c1cccc(F)c1F ZINC000390251633 336935951 /nfs/dbraw/zinc/93/59/51/336935951.db2.gz UHVCNNZFZMKSLI-AWEZNQCLSA-N 0 3 305.368 4.222 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1CCCc2nc(C)sc21 ZINC000373283483 336955434 /nfs/dbraw/zinc/95/54/34/336955434.db2.gz HIDQEUOWQQZQPE-VMGRFDJRSA-N 0 3 316.470 4.195 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCc3c2cccc3C)c1 ZINC000121614693 336969099 /nfs/dbraw/zinc/96/90/99/336969099.db2.gz WYTKPFGTHPXMHV-XOBRGWDASA-N 0 3 308.425 4.292 20 0 DIADHN CC(C)C[C@H](NC(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000303930199 336979161 /nfs/dbraw/zinc/97/91/61/336979161.db2.gz VKJFWYMOGYODOR-FQEVSTJZSA-N 0 3 324.468 4.265 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CCOCC1)c1cccc(O)c1 ZINC000374238675 336984558 /nfs/dbraw/zinc/98/45/58/336984558.db2.gz PSMBBMRVPCOWSF-YWZLYKJASA-N 0 3 311.425 4.211 20 0 DIADHN Clc1ccccc1CN[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000374469439 336989986 /nfs/dbraw/zinc/98/99/86/336989986.db2.gz GRSSBUPPBJVEIL-AEFFLSMTSA-N 0 3 301.817 4.207 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1cc(F)cc(F)c1 ZINC000374485247 336990324 /nfs/dbraw/zinc/99/03/24/336990324.db2.gz CYMYAHSNRFFUOM-RFUYNDQBSA-N 0 3 317.379 4.393 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccccc1N(C)C ZINC000374504785 336990972 /nfs/dbraw/zinc/99/09/72/336990972.db2.gz MOSQXCNOHCGMMC-DJPFJPOOSA-N 0 3 324.468 4.181 20 0 DIADHN CCC[C@H](N[C@H]1CCCc2cnn(C(C)C)c21)c1cccnc1 ZINC000375170809 337005021 /nfs/dbraw/zinc/00/50/21/337005021.db2.gz JTFOXYRDFKKRJT-ROUUACIJSA-N 0 3 312.461 4.367 20 0 DIADHN CCCc1nc(CN2CCC[C@@H]2[C@H]2CCCC[C@@]2(C)O)cs1 ZINC000375485326 337011951 /nfs/dbraw/zinc/01/19/51/337011951.db2.gz UQZBRALIKJBRBX-JFIYKMOQSA-N 0 3 322.518 4.001 20 0 DIADHN FC(F)(F)C1CCC(CN2CCCC[C@@H]2c2cc[nH]n2)CC1 ZINC000375629533 337015174 /nfs/dbraw/zinc/01/51/74/337015174.db2.gz IODIVGKWAZGPTQ-SSDMNJCBSA-N 0 3 315.383 4.305 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H](c1cccnc1)C1CCC1)CCC2 ZINC000375637543 337015396 /nfs/dbraw/zinc/01/53/96/337015396.db2.gz XNPOJJLEJPGUBW-RTBURBONSA-N 0 3 324.472 4.367 20 0 DIADHN CCOCCC1(CN[C@@H](c2ncc[nH]2)C2CCCCC2)CCC1 ZINC000375890183 337022111 /nfs/dbraw/zinc/02/21/11/337022111.db2.gz RPFDNCASEQZRRJ-QGZVFWFLSA-N 0 3 319.493 4.218 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)c1 ZINC000375896155 337022416 /nfs/dbraw/zinc/02/24/16/337022416.db2.gz UFODPXBAFAMMGX-JDFRZJQESA-N 0 3 302.368 4.265 20 0 DIADHN CC(C)CCc1nc(CN[C@H]2CCCC23CCOCC3)cs1 ZINC000376740875 337040270 /nfs/dbraw/zinc/04/02/70/337040270.db2.gz ZRYBCZAHWKRVKV-INIZCTEOSA-N 0 3 322.518 4.171 20 0 DIADHN Cn1ccc(CNCc2cccc(COCc3ccccc3)c2)c1 ZINC000123237895 337041183 /nfs/dbraw/zinc/04/11/83/337041183.db2.gz INWGVSADFSLSKX-UHFFFAOYSA-N 0 3 320.436 4.032 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccccc2-c2cnn(C)c2)c2ccccc21 ZINC000377522281 337054159 /nfs/dbraw/zinc/05/41/59/337054159.db2.gz CUQWGSWPUJAAFQ-VFNWGFHPSA-N 0 3 317.436 4.425 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc(Cl)nc1 ZINC000377796036 337061260 /nfs/dbraw/zinc/06/12/60/337061260.db2.gz KGYISUSCQQWEPR-XYPHTWIQSA-N 0 3 316.832 4.306 20 0 DIADHN CSc1ccc(CN2CCC(n3cc(Cl)cn3)CC2)cc1 ZINC000378590867 337076105 /nfs/dbraw/zinc/07/61/05/337076105.db2.gz RCYNQZUBJCOVON-UHFFFAOYSA-N 0 3 321.877 4.096 20 0 DIADHN CSC1(CN[C@@H](C)c2cc(C)ccc2OC(F)F)CC1 ZINC000193830141 337079460 /nfs/dbraw/zinc/07/94/60/337079460.db2.gz AUBOOVLFMBAUKR-NSHDSACASA-N 0 3 301.402 4.143 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccccc2COC)cc1 ZINC000193893647 337079865 /nfs/dbraw/zinc/07/98/65/337079865.db2.gz NXZHSJXDGQFWEN-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN C[C@@H](NCc1ccsc1)c1cc(Br)cs1 ZINC000193972465 337080924 /nfs/dbraw/zinc/08/09/24/337080924.db2.gz YGPSNKJGEKWGEV-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1cc(Br)cs1 ZINC000493918017 339936619 /nfs/dbraw/zinc/93/66/19/339936619.db2.gz WSUGHVAKHKEPFT-JQWIXIFHSA-N 0 3 320.296 4.366 20 0 DIADHN Fc1c(Cl)cccc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000360058460 337084562 /nfs/dbraw/zinc/08/45/62/337084562.db2.gz LTFUBCRRDLZYDZ-MAUKXSAKSA-N 0 3 319.807 4.347 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1cnc2ccsc2c1 ZINC000360061041 337084740 /nfs/dbraw/zinc/08/47/40/337084740.db2.gz RYGVEJDAPQDCJY-UGSOOPFHSA-N 0 3 324.449 4.261 20 0 DIADHN c1cnn(Cc2ccc(CN[C@H]3CCCc4ccccc43)cc2)c1 ZINC000171975535 337090640 /nfs/dbraw/zinc/09/06/40/337090640.db2.gz VMZDJVOBUMVSDD-NRFANRHFSA-N 0 3 317.436 4.099 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)NC(C)(C)CC)c1ccc(Cl)cc1 ZINC000218864020 337091622 /nfs/dbraw/zinc/09/16/22/337091622.db2.gz ZRDQIMUZVIDZBR-IUODEOHRSA-N 0 3 310.869 4.074 20 0 DIADHN COC(C)(C)CN(C)Cc1ccc(-c2cccc(F)c2F)o1 ZINC000582461648 337091782 /nfs/dbraw/zinc/09/17/82/337091782.db2.gz HTCPPFZLKAITEX-UHFFFAOYSA-N 0 3 309.356 4.082 20 0 DIADHN Cc1ncncc1[C@@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000583704138 337092330 /nfs/dbraw/zinc/09/23/30/337092330.db2.gz WDPQNCBYBQXHQL-LLVKDONJSA-N 0 3 321.827 4.206 20 0 DIADHN Cc1ccc2c(CN3CC[C@H](C(F)(F)F)[C@@H]3C)ccc(O)c2n1 ZINC000583705678 337092668 /nfs/dbraw/zinc/09/26/68/337092668.db2.gz NWJAULKKCMZFDM-FZMZJTMJSA-N 0 3 324.346 4.022 20 0 DIADHN Cc1csc(CNCc2cc(Cl)c3c(c2)OCCCO3)c1 ZINC000393373349 337094468 /nfs/dbraw/zinc/09/44/68/337094468.db2.gz QESCOPRLFZDRCF-UHFFFAOYSA-N 0 3 323.845 4.161 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1ccc2c(n1)CCC2 ZINC000582472403 337095254 /nfs/dbraw/zinc/09/52/54/337095254.db2.gz PSQDZTBCKGCUIH-LJQANCHMSA-N 0 3 324.472 4.028 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCC[C@@H]2F)sc1Br ZINC000393942915 337098779 /nfs/dbraw/zinc/09/87/79/337098779.db2.gz AZXWBKABQGIIJU-LPEHRKFASA-N 0 3 306.244 4.360 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1cnc(-c2ccco2)s1 ZINC000582522307 337099738 /nfs/dbraw/zinc/09/97/38/337099738.db2.gz ULJBXJPQQAHBBB-LLVKDONJSA-N 0 3 304.440 4.185 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc3cnn(C)c3c2)cc1 ZINC000582520603 337100205 /nfs/dbraw/zinc/10/02/05/337100205.db2.gz MOHVQQPIMPFLPI-CYBMUJFWSA-N 0 3 311.454 4.146 20 0 DIADHN CN1CC[C@@H](NCc2ccc(Cl)c(F)c2)[C@H]1c1ccccc1 ZINC000582635218 337116679 /nfs/dbraw/zinc/11/66/79/337116679.db2.gz MMKIKFOPGVFHTI-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1-n1cccn1)c1ccc(F)cc1 ZINC000177184215 337154485 /nfs/dbraw/zinc/15/44/85/337154485.db2.gz JFQLJNVNLPEQRS-GJZGRUSLSA-N 0 3 309.388 4.423 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccccc1-n1cccn1 ZINC000177193211 337154681 /nfs/dbraw/zinc/15/46/81/337154681.db2.gz FMXPFWZWOKFJIN-DNVCBOLYSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1ccccc1-n1cccn1 ZINC000177210288 337154899 /nfs/dbraw/zinc/15/48/99/337154899.db2.gz OJKTUKVTJSIINC-WMLDXEAASA-N 0 3 307.397 4.194 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC[C@H](c3nccs3)C1)CC2 ZINC000177697437 337158465 /nfs/dbraw/zinc/15/84/65/337158465.db2.gz XOWMVNKKWHYIGN-XJKSGUPXSA-N 0 3 302.418 4.149 20 0 DIADHN CC[C@H](NCc1ccc(Cn2ccnc2)cc1)c1ccc(F)cc1 ZINC000177936087 337159256 /nfs/dbraw/zinc/15/92/56/337159256.db2.gz LIKYPIQKUKENPI-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NC[C@H]1CCCOC1 ZINC000178424836 337164562 /nfs/dbraw/zinc/16/45/62/337164562.db2.gz SJQHFVWBTJKNFI-KOLCDFICSA-N 0 3 320.285 4.449 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000178718146 337164711 /nfs/dbraw/zinc/16/47/11/337164711.db2.gz AQARYTCDIWNKEA-GJZGRUSLSA-N 0 3 320.440 4.202 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1nc(-c2ccccc2)cs1 ZINC000178436953 337164762 /nfs/dbraw/zinc/16/47/62/337164762.db2.gz AKDQSHJRTDBIJQ-JSGCOSHPSA-N 0 3 304.484 4.356 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1nc(-c2ccccc2)cs1 ZINC000178436963 337164813 /nfs/dbraw/zinc/16/48/13/337164813.db2.gz AKDQSHJRTDBIJQ-OCCSQVGLSA-N 0 3 304.484 4.356 20 0 DIADHN c1nc(CN[C@H](c2ccccc2)[C@@H]2CCCc3ccccc32)c[nH]1 ZINC000178957242 337166665 /nfs/dbraw/zinc/16/66/65/337166665.db2.gz HUVTYOVBNPCROP-NHCUHLMSSA-N 0 3 317.436 4.361 20 0 DIADHN c1ncc(CN[C@H](c2ccccc2)[C@@H]2CCCc3ccccc32)[nH]1 ZINC000178957242 337166666 /nfs/dbraw/zinc/16/66/66/337166666.db2.gz HUVTYOVBNPCROP-NHCUHLMSSA-N 0 3 317.436 4.361 20 0 DIADHN N[C@@H](CC(=O)N[C@H](c1ccccc1)C1CCCC1)c1ccccc1 ZINC000178811043 337167204 /nfs/dbraw/zinc/16/72/04/337167204.db2.gz MYAVNJLZRVMYTQ-PZJWPPBQSA-N 0 3 322.452 4.124 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccc3ccccc3n2)C12CCC2 ZINC000178819444 337167273 /nfs/dbraw/zinc/16/72/73/337167273.db2.gz HYUJUXSBLDBAPA-KYNGSXCRSA-N 0 3 310.441 4.233 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1cn(C)nc1-c1cccs1 ZINC000179714054 337169299 /nfs/dbraw/zinc/16/92/99/337169299.db2.gz MERSJVZTRHLZBV-LBPRGKRZSA-N 0 3 317.483 4.403 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1cccn1)c1ccccc1F ZINC000180027683 337173276 /nfs/dbraw/zinc/17/32/76/337173276.db2.gz MFFUAVJHCGHGST-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@H](NCc1cccc(CN(C)C)c1)c1ccccc1F ZINC000180043664 337173473 /nfs/dbraw/zinc/17/34/73/337173473.db2.gz ZEKAPZLHOMOJFE-IBGZPJMESA-N 0 3 300.421 4.128 20 0 DIADHN CC[C@@H](NCc1cccc(Cn2ccnc2)c1)c1ccccc1F ZINC000180082583 337173524 /nfs/dbraw/zinc/17/35/24/337173524.db2.gz QALVLXBOTSLWLR-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1nc(C)c(CCN[C@@H](c2ccccc2)c2ccccn2)s1 ZINC000180505984 337176017 /nfs/dbraw/zinc/17/60/17/337176017.db2.gz BZPDOISYGWTGGK-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN CC[C@@H](NC[C@@H](CCO)c1ccccc1)c1ccccc1F ZINC000180607183 337176608 /nfs/dbraw/zinc/17/66/08/337176608.db2.gz QQLCQOPDSCAPSS-VQIMIIECSA-N 0 3 301.405 4.033 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1cccs1)c1ccccc1 ZINC000180672957 337177068 /nfs/dbraw/zinc/17/70/68/337177068.db2.gz FPNCYOPCOGEKNK-ZFWWWQNUSA-N 0 3 303.427 4.093 20 0 DIADHN C[C@H](N[C@H]1CCCOCC1)c1nc(-c2ccccc2)cs1 ZINC000180687223 337177272 /nfs/dbraw/zinc/17/72/72/337177272.db2.gz BLTIJFDRXBESNX-ZFWWWQNUSA-N 0 3 302.443 4.030 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000181458955 337182292 /nfs/dbraw/zinc/18/22/92/337182292.db2.gz OBFKVSZTOBTAJA-HOTGVXAUSA-N 0 3 316.493 4.185 20 0 DIADHN CC[C@H](NC[C@@H](C)c1c(C)noc1C)c1ccccc1OC ZINC000181629530 337183404 /nfs/dbraw/zinc/18/34/04/337183404.db2.gz XJDLLISGFIFCQD-WBMJQRKESA-N 0 3 302.418 4.144 20 0 DIADHN CC[C@@H](NC[C@@H](C)c1c(C)noc1C)c1ccccc1OC ZINC000181629549 337183409 /nfs/dbraw/zinc/18/34/09/337183409.db2.gz XJDLLISGFIFCQD-MLGOLLRUSA-N 0 3 302.418 4.144 20 0 DIADHN Cc1cncc(CN[C@@H](C)[C@H](C)c2nc3ccccc3s2)c1 ZINC000181834467 337184835 /nfs/dbraw/zinc/18/48/35/337184835.db2.gz NFDUUNBIZDKEAH-KBPBESRZSA-N 0 3 311.454 4.282 20 0 DIADHN CC[C@@H](NCc1cncc(C)c1)c1ccc(OC(F)F)cc1 ZINC000181838003 337184934 /nfs/dbraw/zinc/18/49/34/337184934.db2.gz ZIYVZKUBTCGHIH-MRXNPFEDSA-N 0 3 306.356 4.232 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc(Cl)cc2)cc1 ZINC000181913168 337185343 /nfs/dbraw/zinc/18/53/43/337185343.db2.gz PCCVRRYOILKWBL-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2CCN(c3ccc(Cl)cc3)C2)s1 ZINC000183336908 337191378 /nfs/dbraw/zinc/19/13/78/337191378.db2.gz WGAVWYARXDKYHR-RISCZKNCSA-N 0 3 321.877 4.034 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2CCN(c3ccc(Cl)cc3)C2)s1 ZINC000183336926 337191392 /nfs/dbraw/zinc/19/13/92/337191392.db2.gz WGAVWYARXDKYHR-FZMZJTMJSA-N 0 3 321.877 4.034 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN([C@H](C)C2CC2)C2CC2)s1 ZINC000183569658 337192372 /nfs/dbraw/zinc/19/23/72/337192372.db2.gz XZGFIQBVSZJORW-MRVPVSSYSA-N 0 3 304.381 4.233 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)CCc2cccs2)s1 ZINC000183591395 337193604 /nfs/dbraw/zinc/19/36/04/337193604.db2.gz OQOORGCKNPHLJE-UHFFFAOYSA-N 0 3 320.405 4.206 20 0 DIADHN C[C@@H](CN(C)Cc1ccccc1C(F)(F)F)c1nccs1 ZINC000183989587 337194296 /nfs/dbraw/zinc/19/42/96/337194296.db2.gz KZIBTDXDLQVOQP-NSHDSACASA-N 0 3 314.376 4.397 20 0 DIADHN C[C@@H](NCCC[C@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000183999118 337194426 /nfs/dbraw/zinc/19/44/26/337194426.db2.gz NAZDMWWFOXUWOD-ZIAGYGMSSA-N 0 3 308.491 4.022 20 0 DIADHN Cc1cncc([C@H](C)NCc2cccc(-c3ccccn3)c2)c1 ZINC000184419334 337197181 /nfs/dbraw/zinc/19/71/81/337197181.db2.gz DMGSWZAQLVGHET-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN Cc1cnc([C@H](C)CNCc2cccc3c2OC(C)(C)C3)s1 ZINC000184346290 337198026 /nfs/dbraw/zinc/19/80/26/337198026.db2.gz XRYIKCLOZYDTGX-GFCCVEGCSA-N 0 3 316.470 4.058 20 0 DIADHN Cc1oc2ccccc2c1C(=O)Nc1cccc(CN(C)C)c1 ZINC000185069749 337202791 /nfs/dbraw/zinc/20/27/91/337202791.db2.gz GQSAMDHGVCAGMX-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1cc(C)cc(Cl)c1 ZINC000395180079 337209333 /nfs/dbraw/zinc/20/93/33/337209333.db2.gz QLBAIBMASFCIEC-UHFFFAOYSA-N 0 3 318.848 4.331 20 0 DIADHN C[C@@H](N[C@@H](CO)C1CCCC1)c1cc(Cl)sc1Cl ZINC000395302690 337211762 /nfs/dbraw/zinc/21/17/62/337211762.db2.gz VRHQCNMSDTUOFC-KCJUWKMLSA-N 0 3 308.274 4.257 20 0 DIADHN C[C@@H](N[C@H](CO)C1CCCC1)c1cc(Cl)sc1Cl ZINC000395302696 337211836 /nfs/dbraw/zinc/21/18/36/337211836.db2.gz VRHQCNMSDTUOFC-LDYMZIIASA-N 0 3 308.274 4.257 20 0 DIADHN CN(CCC1CCCCCC1)Cc1ccc([S@](C)=O)cc1 ZINC000582991173 337223119 /nfs/dbraw/zinc/22/31/19/337223119.db2.gz CWJCEHVCWMDPTH-NRFANRHFSA-N 0 3 307.503 4.216 20 0 DIADHN C[C@@H](NCc1ccnc2ccccc12)[C@H]1OCCc2sccc21 ZINC000583000749 337227268 /nfs/dbraw/zinc/22/72/68/337227268.db2.gz MDLBJERLZOAJIF-BFUOFWGJSA-N 0 3 324.449 4.088 20 0 DIADHN CCCN(C/C=C\c1ccc(F)cc1F)CCc1ccccn1 ZINC000584378817 337232901 /nfs/dbraw/zinc/23/29/01/337232901.db2.gz ZVSYQPKXWCREHR-WAYWQWQTSA-N 0 3 316.395 4.328 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1ncc(Br)s1 ZINC000583171137 337251328 /nfs/dbraw/zinc/25/13/28/337251328.db2.gz FOMZLRYQJYAHNY-CHWFTXMASA-N 0 3 317.296 4.381 20 0 DIADHN C[C@H]1CN(Cc2cccc(OC3CCCC3)c2)CCC1(F)F ZINC000583174739 337252725 /nfs/dbraw/zinc/25/27/25/337252725.db2.gz IPWAVFRBGYGMMM-AWEZNQCLSA-N 0 3 309.400 4.485 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCCc2ccc(F)cc2C)c1 ZINC000321274683 337254515 /nfs/dbraw/zinc/25/45/15/337254515.db2.gz MVABPHQYTXJEKF-AWEZNQCLSA-N 0 3 317.404 4.045 20 0 DIADHN CNC(=O)c1ccc(CN(C)[C@H](C)c2c(C)cc(C)cc2C)cc1 ZINC000532907909 337259313 /nfs/dbraw/zinc/25/93/13/337259313.db2.gz DATUUBMSYANCJM-QGZVFWFLSA-N 0 3 324.468 4.164 20 0 DIADHN O=C(Nc1ccccc1)c1ccc(CN2CCCC3(CC3)C2)cc1 ZINC000186951537 337260629 /nfs/dbraw/zinc/26/06/29/337260629.db2.gz ZHMRSGCSXASGSZ-UHFFFAOYSA-N 0 3 320.436 4.315 20 0 DIADHN COc1cccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000321421577 337263510 /nfs/dbraw/zinc/26/35/10/337263510.db2.gz ZZNQPFMCLGZIKV-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)c(C)n1 ZINC000187201847 337263769 /nfs/dbraw/zinc/26/37/69/337263769.db2.gz BIXACYYZSBXTMP-QGZVFWFLSA-N 0 3 321.424 4.219 20 0 DIADHN Cc1cccc(NC2CCN(Cc3ccc(C)nc3C)CC2)c1 ZINC000187268184 337264596 /nfs/dbraw/zinc/26/45/96/337264596.db2.gz MALVUKUARFRYDG-UHFFFAOYSA-N 0 3 309.457 4.083 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000187444127 337266009 /nfs/dbraw/zinc/26/60/09/337266009.db2.gz SYFQJYKODLEYJF-MRXNPFEDSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1cc(Br)cs1 ZINC000229856397 337267935 /nfs/dbraw/zinc/26/79/35/337267935.db2.gz WTSIZIDMUKRAOB-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C)C1)c1cc(F)c(Cl)cc1Cl ZINC000300414836 337271060 /nfs/dbraw/zinc/27/10/60/337271060.db2.gz PMOPQNGGVPDRRK-KXUCPTDWSA-N 0 3 306.208 4.351 20 0 DIADHN CCCN(CC(=O)Nc1c(Cl)cccc1Cl)C(C)C ZINC000583211677 337272802 /nfs/dbraw/zinc/27/28/02/337272802.db2.gz CHZXRBFPHDBPNB-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc(C3CC3)cc2)cc1 ZINC000188732476 337274544 /nfs/dbraw/zinc/27/45/44/337274544.db2.gz PJSGXIQPKPZFFJ-CQSZACIVSA-N 0 3 308.425 4.373 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@@H]1CCC[C@H](C)C1 ZINC000321568004 337277487 /nfs/dbraw/zinc/27/74/87/337277487.db2.gz HGKRUWXGCLBJGD-GJZGRUSLSA-N 0 3 321.461 4.018 20 0 DIADHN Cc1noc(C2CCN(C[C@H](C)c3cccc(Cl)c3)CC2)n1 ZINC000189725966 337282404 /nfs/dbraw/zinc/28/24/04/337282404.db2.gz NAKDGCVGEUVUQS-LBPRGKRZSA-N 0 3 319.836 4.015 20 0 DIADHN Cc1cccc2nc(C3CCN([C@H](C)c4ccccn4)CC3)oc21 ZINC000189806196 337283153 /nfs/dbraw/zinc/28/31/53/337283153.db2.gz KZWZJXKLGHPDCE-OAHLLOKOSA-N 0 3 321.424 4.472 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H]4CCCC[C@H]43)nn2)cc1 ZINC000498956634 337286510 /nfs/dbraw/zinc/28/65/10/337286510.db2.gz OZJNOTWUOAHHRU-QFBILLFUSA-N 0 3 323.440 4.424 20 0 DIADHN C[C@H]1CC[C@H](CN2CCC(c3nc4ccccc4s3)CC2)O1 ZINC000190354065 337286918 /nfs/dbraw/zinc/28/69/18/337286918.db2.gz PGCJJAQPQYXMON-DZGCQCFKSA-N 0 3 316.470 4.043 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(N(C)C(C)C)nc2)c(C)s1 ZINC000190726496 337289128 /nfs/dbraw/zinc/28/91/28/337289128.db2.gz NUTYBIPLUPKHEG-CQSZACIVSA-N 0 3 317.502 4.455 20 0 DIADHN CC[C@H](NCCc1cccc2cccnc21)c1ccc(F)cn1 ZINC000321801498 337291192 /nfs/dbraw/zinc/29/11/92/337291192.db2.gz XFDSGJYVAPJJSK-KRWDZBQOSA-N 0 3 309.388 4.052 20 0 DIADHN COc1ccc(CCC2CCN([C@H](C)c3cccnc3)CC2)cc1 ZINC000532914417 337298379 /nfs/dbraw/zinc/29/83/79/337298379.db2.gz OHCPIOWNPUBONI-QGZVFWFLSA-N 0 3 324.468 4.496 20 0 DIADHN COCCC(C)(C)NCc1ccc(Br)cc1Cl ZINC000397897837 337299821 /nfs/dbraw/zinc/29/98/21/337299821.db2.gz JFFXHVITOCXKRL-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN C[C@@H]1CCSCCN1Cc1ccc(-c2ccncc2)cc1F ZINC000191813086 337300215 /nfs/dbraw/zinc/30/02/15/337300215.db2.gz OIQXPLXJERWBOE-CQSZACIVSA-N 0 3 316.445 4.215 20 0 DIADHN C[C@H]1CCSCCN1Cc1c[nH]nc1-c1cccc(Cl)c1 ZINC000191811173 337300372 /nfs/dbraw/zinc/30/03/72/337300372.db2.gz QODQAOFSQACHSD-LBPRGKRZSA-N 0 3 321.877 4.058 20 0 DIADHN c1cn(-c2ccc(CNCc3ccc(C4CC4)cc3)cc2)cn1 ZINC000191682538 337300459 /nfs/dbraw/zinc/30/04/59/337300459.db2.gz UNPFAOJNPSXBMK-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN COc1ccc(C)cc1N[C@@H]1CCN(C)[C@@H](c2ccccc2)C1 ZINC000583277108 337301033 /nfs/dbraw/zinc/30/10/33/337301033.db2.gz GJZPINQMPQKEIT-IEBWSBKVSA-N 0 3 310.441 4.251 20 0 DIADHN Fc1cc(OCCN2CCC[C@@H]2c2ccncc2)ccc1Cl ZINC000192134151 337303058 /nfs/dbraw/zinc/30/30/58/337303058.db2.gz QJIAUUYTDISKTH-QGZVFWFLSA-N 0 3 320.795 4.090 20 0 DIADHN CC[C@@H](NCc1ccc(-n2ccnc2)cc1C)c1c(C)noc1C ZINC000192179607 337303762 /nfs/dbraw/zinc/30/37/62/337303762.db2.gz SCEMIEMWIOQZOA-GOSISDBHSA-N 0 3 324.428 4.026 20 0 DIADHN COc1cc(C[N@H+](CCC(C)C)C2CCCC2)cc(OC)c1[O-] ZINC000321936681 337305728 /nfs/dbraw/zinc/30/57/28/337305728.db2.gz WDLOBQFEZHKSBE-UHFFFAOYSA-N 0 3 321.461 4.200 20 0 DIADHN COc1cc(C[N@@H+](CCC(C)C)C2CCCC2)cc(OC)c1[O-] ZINC000321936681 337305729 /nfs/dbraw/zinc/30/57/29/337305729.db2.gz WDLOBQFEZHKSBE-UHFFFAOYSA-N 0 3 321.461 4.200 20 0 DIADHN CC(C)[C@@H](CN(C)Cc1ccccc1)N[C@H](C)c1ccoc1 ZINC000398080012 337305732 /nfs/dbraw/zinc/30/57/32/337305732.db2.gz GPIUIXKNUDYEKN-VQIMIIECSA-N 0 3 300.446 4.087 20 0 DIADHN COCC[C@@H](NC1CC(c2cc(F)cc(F)c2)C1)c1ccco1 ZINC000583719883 337317709 /nfs/dbraw/zinc/31/77/09/337317709.db2.gz HDTPVJPOWMFITJ-QWFMPGPPSA-N 0 3 321.367 4.171 20 0 DIADHN CCc1ccc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)nc1 ZINC000584423296 337324430 /nfs/dbraw/zinc/32/44/30/337324430.db2.gz CKFRTFJSYFXEKE-HXUWFJFHSA-N 0 3 309.457 4.047 20 0 DIADHN CCOc1ccc(-c2noc(CN(CC(C)C)C(C)C)n2)cc1 ZINC000532922440 337326767 /nfs/dbraw/zinc/32/67/67/337326767.db2.gz ULNFFLNNAFUUAV-UHFFFAOYSA-N 0 3 317.433 4.002 20 0 DIADHN COc1ccccc1N[C@H]1CCN(Cc2ccccc2)C2(CC2)C1 ZINC000583753244 337329673 /nfs/dbraw/zinc/32/96/73/337329673.db2.gz SXPVCRUTWVYUOE-SFHVURJKSA-N 0 3 322.452 4.304 20 0 DIADHN CCc1ccc([C@H](C)NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)cc1 ZINC000218797347 337341128 /nfs/dbraw/zinc/34/11/28/337341128.db2.gz CZMXKUMHQUTLJE-ULQDDVLXSA-N 0 3 324.468 4.166 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1coc(-c2ccc(F)cc2)n1 ZINC000219703904 337351185 /nfs/dbraw/zinc/35/11/85/337351185.db2.gz SUJLFWFACJZSHN-GUYCJALGSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1ccc(CNCc2ccc(CN(C)C)c(F)c2)c(Cl)c1 ZINC000584534567 337357787 /nfs/dbraw/zinc/35/77/87/337357787.db2.gz VYDBZRZWSGQYIA-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN CCCN(C/C=C\c1ccc(F)c(F)c1)CCc1ccccn1 ZINC000584557137 337360822 /nfs/dbraw/zinc/36/08/22/337360822.db2.gz LHSPEWDAKOJWSU-WAYWQWQTSA-N 0 3 316.395 4.328 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@]2(C)OCCc3sccc32)oc1C ZINC000584559420 337361268 /nfs/dbraw/zinc/36/12/68/337361268.db2.gz PMBYEKSVXHQWJO-SJKOYZFVSA-N 0 3 305.443 4.097 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1ccc(F)c(F)c1 ZINC000584568818 337364818 /nfs/dbraw/zinc/36/48/18/337364818.db2.gz YJNGGENKJCNOTR-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN COc1ccc2oc(CNCc3cccc4cccnc43)cc2c1 ZINC000124549936 337406130 /nfs/dbraw/zinc/40/61/30/337406130.db2.gz BUUZKMLFYMVKCM-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN CCC(=O)N1CC[C@@H](N[C@H](CC(C)C)c2ccc(Cl)cc2)C1 ZINC000126758743 337417771 /nfs/dbraw/zinc/41/77/71/337417771.db2.gz LBAMZUQMJLKMCX-IAGOWNOFSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H](NCc1ccc(NCCOC)cc1)c1ccc(F)cc1 ZINC000127187701 337419590 /nfs/dbraw/zinc/41/95/90/337419590.db2.gz ODSICQMEQMWXLI-LJQANCHMSA-N 0 3 316.420 4.125 20 0 DIADHN C[C@H](NCCc1nccs1)c1nc(-c2ccccc2)cs1 ZINC000127982479 337423459 /nfs/dbraw/zinc/42/34/59/337423459.db2.gz ZAZPIGBQJDLRCY-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@@H](NC[C@@H]1CCN(c2ccccc2)C1)c1cc(F)ccc1F ZINC000152503613 337451617 /nfs/dbraw/zinc/45/16/17/337451617.db2.gz MPHRNNLDZXZUPA-CABCVRRESA-N 0 3 316.395 4.142 20 0 DIADHN CCc1nc(CCNCc2ccc([C@@H]3C[C@@H]3C)o2)sc1C ZINC000237039063 337467272 /nfs/dbraw/zinc/46/72/72/337467272.db2.gz CBAWPWAYGQGOIG-SMDDNHRTSA-N 0 3 304.459 4.063 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC(OC2CCC2)CC1 ZINC000418978188 337473556 /nfs/dbraw/zinc/47/35/56/337473556.db2.gz YJHCGILHTMCPTJ-UHFFFAOYSA-N 0 3 310.441 4.390 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1)CC(C)C ZINC000419222665 337476671 /nfs/dbraw/zinc/47/66/71/337476671.db2.gz BTWBYGOZBKBLNK-CABCVRRESA-N 0 3 303.368 4.331 20 0 DIADHN COc1cccc(OCCN[C@H](CC(F)F)c2ccccc2)c1 ZINC000420991209 337517981 /nfs/dbraw/zinc/51/79/81/337517981.db2.gz IEJQGCHPZPTXAR-QGZVFWFLSA-N 0 3 321.367 4.060 20 0 DIADHN CN(CCN[C@H](CC(F)F)c1ccccc1)c1ccccc1 ZINC000421000584 337518561 /nfs/dbraw/zinc/51/85/61/337518561.db2.gz WDMZTKMJRBCBAT-QGZVFWFLSA-N 0 3 304.384 4.109 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCCC2(O)CCC2)o1 ZINC000421382907 337529530 /nfs/dbraw/zinc/52/95/30/337529530.db2.gz YWJOMJMDIWKGOA-UHFFFAOYSA-N 0 3 317.404 4.179 20 0 DIADHN CC[C@@H]1C[C@H](NCc2cc(Cl)cc(Cl)c2OC)CCO1 ZINC000421396214 337530872 /nfs/dbraw/zinc/53/08/72/337530872.db2.gz YWUQMCQADJWOLP-CHWSQXEVSA-N 0 3 318.244 4.049 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H]1CCCCO1 ZINC000432253316 337541983 /nfs/dbraw/zinc/54/19/83/337541983.db2.gz KCVMHMDTSLMWHC-SKDRFNHKSA-N 0 3 306.208 4.180 20 0 DIADHN Fc1ccc(CN[C@H]2CCOC3(CCC3)C2)c(Cl)c1Cl ZINC000432250354 337538816 /nfs/dbraw/zinc/53/88/16/337538816.db2.gz IQRTUIJYLOCNHR-NSHDSACASA-N 0 3 318.219 4.324 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)c1cccs1 ZINC000246817061 337555356 /nfs/dbraw/zinc/55/53/56/337555356.db2.gz AYIXMURMAGTNSD-UFAGZECESA-N 0 3 307.484 4.287 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@@H](N[C@@H](C)c2csc(Cl)c2)C1 ZINC000248739898 337567109 /nfs/dbraw/zinc/56/71/09/337567109.db2.gz YANAOWGVJCGQOA-VCLWLLERSA-N 0 3 319.923 4.132 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2ccccc2OC(F)F)c(C)c1 ZINC000571996433 337585771 /nfs/dbraw/zinc/58/57/71/337585771.db2.gz AANQZWBYNHHZOX-CQSZACIVSA-N 0 3 320.383 4.193 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2ccccc2OC(F)(F)F)cn1 ZINC000161552326 337590945 /nfs/dbraw/zinc/59/09/45/337590945.db2.gz DPBVNDQJNPGVHW-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN COc1cc(F)c(CN[C@H](C)CCCC(F)(F)F)cc1OC ZINC000572125637 337591754 /nfs/dbraw/zinc/59/17/54/337591754.db2.gz CXIQXSFLQJYVIK-SNVBAGLBSA-N 0 3 323.330 4.054 20 0 DIADHN CC[C@H](NCCCCOc1ccccc1)c1nc(C)cs1 ZINC000527111249 337600947 /nfs/dbraw/zinc/60/09/47/337600947.db2.gz YELXGMLNJOWZSB-INIZCTEOSA-N 0 3 304.459 4.351 20 0 DIADHN CC(C)SCCN[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000514154801 337603387 /nfs/dbraw/zinc/60/33/87/337603387.db2.gz YHBVODFDGNKLCQ-LLVKDONJSA-N 0 3 307.381 4.377 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000162017367 337603422 /nfs/dbraw/zinc/60/34/22/337603422.db2.gz BFMPEGCUKOREJF-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@H]1CC[C@H](F)C1 ZINC000572327129 337608725 /nfs/dbraw/zinc/60/87/25/337608725.db2.gz WCDCCHUPTOXPNU-QWRGUYRKSA-N 0 3 305.224 4.040 20 0 DIADHN C[C@H](CC(=O)Nc1ccc2c(c1F)CCNC2)C1CCCCC1 ZINC000261751429 337609798 /nfs/dbraw/zinc/60/97/98/337609798.db2.gz PHZLXBIDQQAHLS-CYBMUJFWSA-N 0 3 318.436 4.016 20 0 DIADHN COc1cccc(Cl)c1CN[C@H](C)[C@H]1COc2ccccc21 ZINC000429689800 337637998 /nfs/dbraw/zinc/63/79/98/337637998.db2.gz PRRSLXFXUXRJPT-IUODEOHRSA-N 0 3 317.816 4.003 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H](C)[C@@H]1COc2ccccc21 ZINC000429689806 337638046 /nfs/dbraw/zinc/63/80/46/337638046.db2.gz PRRSLXFXUXRJPT-WFASDCNBSA-N 0 3 317.816 4.003 20 0 DIADHN Cc1cccc(OC[C@H](C)N[C@H](C)c2ccncc2Cl)c1 ZINC000421823944 337646180 /nfs/dbraw/zinc/64/61/80/337646180.db2.gz GBTPAFFLPSMLJN-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@H](C)c1ccncc1Cl ZINC000421828543 337646998 /nfs/dbraw/zinc/64/69/98/337646998.db2.gz DFFVWLFFMVCCFW-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](NC[C@@H]1CCO[C@H]1c1ccccc1)c1ccncc1Cl ZINC000421854729 337650266 /nfs/dbraw/zinc/65/02/66/337650266.db2.gz LYWLPJYVJSROLP-XUWXXGDYSA-N 0 3 316.832 4.163 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)Cc2ccc(F)cc2Cl)o1 ZINC000421856582 337650555 /nfs/dbraw/zinc/65/05/55/337650555.db2.gz OKEAHLCOPVVYTH-NSHDSACASA-N 0 3 324.827 4.449 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccc(F)cc2OC(F)F)CCO1 ZINC000421859244 337651112 /nfs/dbraw/zinc/65/11/12/337651112.db2.gz QMXMCBSKOBJLIE-RAIGVLPGSA-N 0 3 317.351 4.035 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H]1CCO[C@@H](CC)C1 ZINC000421859605 337651281 /nfs/dbraw/zinc/65/12/81/337651281.db2.gz QSZKYZDFMHOMBU-QEJZJMRPSA-N 0 3 311.853 4.347 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](c2ccccc2)C1)c1ccncc1Cl ZINC000421834309 337651916 /nfs/dbraw/zinc/65/19/16/337651916.db2.gz CAJSPIAJLSNHIO-JCKWVBRZSA-N 0 3 316.832 4.306 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCC(F)(F)C2)C2CC2)c(F)c1 ZINC000421841065 337653425 /nfs/dbraw/zinc/65/34/25/337653425.db2.gz IAOMVQWMIWORRN-MLGOLLRUSA-N 0 3 313.363 4.453 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1cc(C)nc(C)c1 ZINC000421863188 337656319 /nfs/dbraw/zinc/65/63/19/337656319.db2.gz XBIARJYRTALBMC-IBGZPJMESA-N 0 3 310.441 4.219 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](CC(F)F)c1ccccc1 ZINC000421876739 337658010 /nfs/dbraw/zinc/65/80/10/337658010.db2.gz LAPDASSOZYRJFE-OCCSQVGLSA-N 0 3 313.388 4.093 20 0 DIADHN C[C@H](NC[C@@H]1CC12CCSCC2)c1nc2c(s1)CCCC2 ZINC000421877638 337658182 /nfs/dbraw/zinc/65/81/82/337658182.db2.gz LAZSWUADCAJIBF-STQMWFEESA-N 0 3 322.543 4.206 20 0 DIADHN FC(F)C[C@H](N[C@@H]1CCc2ccccc2OC1)c1ccccc1 ZINC000421878846 337658311 /nfs/dbraw/zinc/65/83/11/337658311.db2.gz OBKWACRRYNEGHN-SJORKVTESA-N 0 3 317.379 4.366 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(CN)nc1C)c1ccc(Cl)s1 ZINC000422724964 337684108 /nfs/dbraw/zinc/68/41/08/337684108.db2.gz AAILEHRAIDKIMH-BXUZGUMPSA-N 0 3 323.893 4.366 20 0 DIADHN CNCc1ccccc1NC(=O)[C@@H](C)c1cccc(CC(C)C)c1 ZINC000422731026 337684520 /nfs/dbraw/zinc/68/45/20/337684520.db2.gz GGRTWPRQVCKFOH-INIZCTEOSA-N 0 3 324.468 4.347 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000422754399 337685349 /nfs/dbraw/zinc/68/53/49/337685349.db2.gz JNWWJGCELSEYNQ-CMPLNLGQSA-N 0 3 321.827 4.053 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422913335 337691116 /nfs/dbraw/zinc/69/11/16/337691116.db2.gz PQAATPMPSNXNPE-INIZCTEOSA-N 0 3 316.832 4.313 20 0 DIADHN Cc1cc2cc(NC(=O)C(C)(C)[C@H](N)c3ccccc3)ccc2[nH]1 ZINC000422984185 337693633 /nfs/dbraw/zinc/69/36/33/337693633.db2.gz XKLPFFYKMGUNKF-GOSISDBHSA-N 0 3 321.424 4.141 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@H](c1cccnc1)C1CCC1 ZINC000423338000 337712745 /nfs/dbraw/zinc/71/27/45/337712745.db2.gz AQGYAFSDAOMTGJ-UXHICEINSA-N 0 3 307.441 4.172 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)cc1 ZINC000423353317 337713772 /nfs/dbraw/zinc/71/37/72/337713772.db2.gz LRWFMVBDZUPDLS-JLTOFOAXSA-N 0 3 310.441 4.396 20 0 DIADHN c1ccc2c(c1)NCCC[C@H]2NCc1cccc2c1CCCN2 ZINC000423417839 337716598 /nfs/dbraw/zinc/71/65/98/337716598.db2.gz TVYINECBKKMPAG-HXUWFJFHSA-N 0 3 307.441 4.081 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CN)c2ccc3ccccc3c2)c(C)o1 ZINC000423389820 337718188 /nfs/dbraw/zinc/71/81/88/337718188.db2.gz JTWXKMDJPAUFDP-XOBRGWDASA-N 0 3 308.425 4.400 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)CCCN2)c1cccc(OC)c1 ZINC000423432943 337718940 /nfs/dbraw/zinc/71/89/40/337718940.db2.gz BVCMQIPTJKUSOE-LJQANCHMSA-N 0 3 310.441 4.294 20 0 DIADHN Fc1ccc2c(c1)CCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423444355 337719553 /nfs/dbraw/zinc/71/95/53/337719553.db2.gz LSFOWYRQLQWZPS-HXUWFJFHSA-N 0 3 310.416 4.351 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCCN3)CCC2 ZINC000423450193 337719845 /nfs/dbraw/zinc/71/98/45/337719845.db2.gz SQDVGNPWXPNBAC-OAQYLSRUSA-N 0 3 322.452 4.221 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1ccc2c(c1)CCCN2 ZINC000423453392 337720160 /nfs/dbraw/zinc/72/01/60/337720160.db2.gz XIUFBSUMLZKRFJ-HNNXBMFYSA-N 0 3 310.441 4.294 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2cc3c(s2)CCCC3)c1 ZINC000423482381 337721985 /nfs/dbraw/zinc/72/19/85/337721985.db2.gz RLCYYHPXCHSOKJ-ZDUSSCGKSA-N 0 3 300.471 4.368 20 0 DIADHN N[C@H](CC(=O)N[C@H](CC1CCC1)c1ccccc1)c1ccccc1 ZINC000423864174 337728823 /nfs/dbraw/zinc/72/88/23/337728823.db2.gz YCUWQEQIKQJDMD-WOJBJXKFSA-N 0 3 322.452 4.124 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000536831046 337734379 /nfs/dbraw/zinc/73/43/79/337734379.db2.gz FQPCUNHXWJEKFU-JLTOFOAXSA-N 0 3 320.436 4.218 20 0 DIADHN CC[C@@H](COC)N[C@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000536831639 337734540 /nfs/dbraw/zinc/73/45/40/337734540.db2.gz IQGYUTLMACJCMI-AZUAARDMSA-N 0 3 313.441 4.108 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCc3ccc(Cl)cc32)c1 ZINC000536838031 337736353 /nfs/dbraw/zinc/73/63/53/337736353.db2.gz JIWCDXUXHHWGLE-SFHVURJKSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1ccccc1C[C@@H](CO)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000527214689 337738419 /nfs/dbraw/zinc/73/84/19/337738419.db2.gz KHZWTEPNJGTOHV-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C1CCCCCC1)c1nnc2ccccn21 ZINC000527232687 337739446 /nfs/dbraw/zinc/73/94/46/337739446.db2.gz YTBLTZNYNBITHO-MAUKXSAKSA-N 0 3 314.477 4.375 20 0 DIADHN C[C@@H](NC[C@@H](c1cccs1)N(C)C)c1ccc(Cl)s1 ZINC000035286266 337742688 /nfs/dbraw/zinc/74/26/88/337742688.db2.gz LUNMPTDMGUFZRR-MNOVXSKESA-N 0 3 314.907 4.417 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(Cl)s1 ZINC000035286059 337742672 /nfs/dbraw/zinc/74/26/72/337742672.db2.gz JKQGCJQRXDAYPW-OLZOCXBDSA-N 0 3 324.877 4.482 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1cc(Cl)cc(Cl)c1 ZINC000536844858 337743266 /nfs/dbraw/zinc/74/32/66/337743266.db2.gz KHEHWDAIQHEYJA-AMFBXLIHSA-N 0 3 300.229 4.212 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)cc2N(C)C)c(C)c1 ZINC000536850078 337745103 /nfs/dbraw/zinc/74/51/03/337745103.db2.gz DHXJMGSMIFDICP-CQSZACIVSA-N 0 3 316.420 4.060 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)N[C@H](C)COCC(F)(F)F ZINC000536865323 337747327 /nfs/dbraw/zinc/74/73/27/337747327.db2.gz XCHMSXQXQZTHJP-PWSUYJOCSA-N 0 3 315.335 4.359 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(OC(F)(F)F)cc2)ccn1 ZINC000527540499 337750328 /nfs/dbraw/zinc/75/03/28/337750328.db2.gz ZBFGAPIBWGJLPS-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN CCCOc1ccc(CNCc2ccc3cc[nH]c3c2)cc1OC ZINC000527636755 337753300 /nfs/dbraw/zinc/75/33/00/337753300.db2.gz RGJWBCFEOKYQHF-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc3cc[nH]c3c2)cc1OC ZINC000527640979 337753791 /nfs/dbraw/zinc/75/37/91/337753791.db2.gz OWLLZESCMCFFAS-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@H]1COc2ccccc21 ZINC000527711165 337758192 /nfs/dbraw/zinc/75/81/92/337758192.db2.gz QKUYNOUCPVZQIB-NSHDSACASA-N 0 3 313.344 4.033 20 0 DIADHN CC(C)(NCc1ncc(Cl)cc1Br)C1CCC1 ZINC000527715025 337758491 /nfs/dbraw/zinc/75/84/91/337758491.db2.gz CWBKRMLVYTYRPB-UHFFFAOYSA-N 0 3 317.658 4.166 20 0 DIADHN CN1CCC[C@@H](NCc2ccc3cc[nH]c3c2)c2ccccc21 ZINC000527720201 337758954 /nfs/dbraw/zinc/75/89/54/337758954.db2.gz WKVJRCAMFDIWLJ-GOSISDBHSA-N 0 3 305.425 4.229 20 0 DIADHN CC[C@@H](NCc1cnc(N(C)C)s1)c1cc(C)ccc1C ZINC000527819243 337763448 /nfs/dbraw/zinc/76/34/48/337763448.db2.gz IGSPYKKEPPXNHW-MRXNPFEDSA-N 0 3 303.475 4.067 20 0 DIADHN CC[C@H](NCc1cnc([C@@H](C)O)s1)c1cc(C)ccc1C ZINC000527817863 337763458 /nfs/dbraw/zinc/76/34/58/337763458.db2.gz DAZXJBZKIHATTD-CJNGLKHVSA-N 0 3 304.459 4.054 20 0 DIADHN Cc1nn(C)c2ncc(CN[C@@H](c3cccc(C)c3)C(C)C)cc12 ZINC000527814868 337765947 /nfs/dbraw/zinc/76/59/47/337765947.db2.gz YHCRFXNRHQWJCO-LJQANCHMSA-N 0 3 322.456 4.072 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)sc2C)C2CC2)cn1 ZINC000527840063 337767825 /nfs/dbraw/zinc/76/78/25/337767825.db2.gz CHCSKRPHFWYMLM-QGZVFWFLSA-N 0 3 302.443 4.009 20 0 DIADHN C[C@@H](NCCc1cccs1)c1cc(-c2ccccc2)n(C)n1 ZINC000527843177 337768283 /nfs/dbraw/zinc/76/82/83/337768283.db2.gz CNZJYMJJEVQLGG-CQSZACIVSA-N 0 3 311.454 4.042 20 0 DIADHN Cc1cc(C)c(CN[C@H]2CCc3cc(F)c(Cl)cc32)cn1 ZINC000527904485 337769864 /nfs/dbraw/zinc/76/98/64/337769864.db2.gz CLSFSKQDQRUNRF-KRWDZBQOSA-N 0 3 304.796 4.268 20 0 DIADHN CC[C@H](N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12)c1ccc(F)cn1 ZINC000527947284 337773784 /nfs/dbraw/zinc/77/37/84/337773784.db2.gz UXOWJTHGNSWBPF-CWLKWCNXSA-N 0 3 316.807 4.253 20 0 DIADHN CN1CCC(Nc2cccc3cccc(Br)c32)CC1 ZINC000527996321 337778849 /nfs/dbraw/zinc/77/88/49/337778849.db2.gz PNSGAEPNSGEDBV-UHFFFAOYSA-N 0 3 319.246 4.108 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](c1ccccn1)C1CCC1)CC2 ZINC000527961917 337780256 /nfs/dbraw/zinc/78/02/56/337780256.db2.gz CDCIPGWFWFMMJP-AZUAARDMSA-N 0 3 308.425 4.209 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000527970174 337781353 /nfs/dbraw/zinc/78/13/53/337781353.db2.gz WKFRKGIOXAELFS-YSSFQJQWSA-N 0 3 310.441 4.289 20 0 DIADHN CCc1ccc([C@H](NCC2COC2)c2ccccc2Cl)cc1 ZINC000528023468 337784321 /nfs/dbraw/zinc/78/43/21/337784321.db2.gz DYXUTHKHBFJKOQ-IBGZPJMESA-N 0 3 315.844 4.228 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCc3ccccc32)C2CC2)cn1 ZINC000528045476 337785973 /nfs/dbraw/zinc/78/59/73/337785973.db2.gz ZGUIFUFGAASLNC-AZUAARDMSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H](NCCn1cccn1)c1ccc(-c2ccccc2F)s1 ZINC000536874824 337788742 /nfs/dbraw/zinc/78/87/42/337788742.db2.gz FOBXFSFMXUMNSZ-CYBMUJFWSA-N 0 3 315.417 4.102 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1)c1cc(F)c(F)c(F)c1 ZINC000536878143 337794448 /nfs/dbraw/zinc/79/44/48/337794448.db2.gz RRILVVYYMVEYPN-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN COCCOC[C@H](C)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000536879666 337799105 /nfs/dbraw/zinc/79/91/05/337799105.db2.gz ZCZLJKBMBLZSIT-DLBZAZTESA-N 0 3 313.441 4.056 20 0 DIADHN Clc1ccc(CNC[C@@H](c2ccco2)N2CCCCC2)s1 ZINC000105187428 337803493 /nfs/dbraw/zinc/80/34/93/337803493.db2.gz SGQFSBMQDUHMLE-AWEZNQCLSA-N 0 3 324.877 4.311 20 0 DIADHN CC(C)CCOCCN[C@H](Cc1ccncc1)c1cccs1 ZINC000424267172 337813708 /nfs/dbraw/zinc/81/37/08/337813708.db2.gz JXRKZWBHFLEMBF-QGZVFWFLSA-N 0 3 318.486 4.079 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](C)c2nccs2)c(Cl)c1 ZINC000424278989 337814579 /nfs/dbraw/zinc/81/45/79/337814579.db2.gz JTMXALXRDVULKW-GHMZBOCLSA-N 0 3 310.850 4.259 20 0 DIADHN C[C@@H](CN[C@@H](Cc1ccncc1)c1cccs1)C(F)(F)F ZINC000424282641 337815176 /nfs/dbraw/zinc/81/51/76/337815176.db2.gz QUWXQHDOYUAHOO-AAEUAGOBSA-N 0 3 314.376 4.215 20 0 DIADHN COc1ccc([C@H](C)NC[C@]2(C)CCO[C@@H]2C2CC2)c(Cl)c1 ZINC000424286845 337815450 /nfs/dbraw/zinc/81/54/50/337815450.db2.gz WNFGOHKPOMIHEV-RZAIGCCYSA-N 0 3 323.864 4.204 20 0 DIADHN COc1ccc([C@H](C)NCC[C@@H]2CCO[C@@H](C)C2)c(Cl)c1 ZINC000424286436 337815504 /nfs/dbraw/zinc/81/55/04/337815504.db2.gz VZMVXYXKZJCWRU-MELADBBJSA-N 0 3 311.853 4.204 20 0 DIADHN Cc1ccc(CCNCc2ccc(-c3ccncc3)cc2F)o1 ZINC000533105977 337817663 /nfs/dbraw/zinc/81/76/63/337817663.db2.gz CTSRTJAIOCOZSV-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN CC(C)CCOCCN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000045094009 337818932 /nfs/dbraw/zinc/81/89/32/337818932.db2.gz OYYHIAAJUKASFT-ZDUSSCGKSA-N 0 3 301.377 4.001 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)N[C@@H]1CC12CC2 ZINC000424325569 337821349 /nfs/dbraw/zinc/82/13/49/337821349.db2.gz NWVAQYTVDMODAP-QMTHXVAHSA-N 0 3 302.418 4.461 20 0 DIADHN COc1ccc([C@H](C)NCC(C)(C)c2cccnc2)c(Cl)c1 ZINC000424328711 337821539 /nfs/dbraw/zinc/82/15/39/337821539.db2.gz FQQHMSRJCDULMG-ZDUSSCGKSA-N 0 3 318.848 4.372 20 0 DIADHN COc1ccc([C@@H](C)NCC(C)(C)c2cccnc2)c(Cl)c1 ZINC000424328710 337821571 /nfs/dbraw/zinc/82/15/71/337821571.db2.gz FQQHMSRJCDULMG-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN C[C@H](N[C@@H]1CC12CC2)c1cc2cc(Br)ccc2o1 ZINC000424329009 337821576 /nfs/dbraw/zinc/82/15/76/337821576.db2.gz RMBXWDVMEITMBA-LKFCYVNXSA-N 0 3 306.203 4.398 20 0 DIADHN C[C@@H](N[C@H]1CC12CC2)c1cc2cc(Br)ccc2o1 ZINC000424329011 337821628 /nfs/dbraw/zinc/82/16/28/337821628.db2.gz RMBXWDVMEITMBA-OTYXRUKQSA-N 0 3 306.203 4.398 20 0 DIADHN COc1ccc(-c2nc(C)c([C@H](C)N[C@H]3CC34CC4)s2)cc1 ZINC000424335257 337822705 /nfs/dbraw/zinc/82/27/05/337822705.db2.gz ZPRVEACDJTVPRC-NHYWBVRUSA-N 0 3 314.454 4.330 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000536904296 337846419 /nfs/dbraw/zinc/84/64/19/337846419.db2.gz ISBFHCOMTHFFTH-JKSUJKDBSA-N 0 3 321.873 4.411 20 0 DIADHN CCCc1ccc([C@H](C)N[C@@H](CO)c2sccc2C)cc1 ZINC000536911716 337847793 /nfs/dbraw/zinc/84/77/93/337847793.db2.gz XEIWAYCPRFOAKG-YOEHRIQHSA-N 0 3 303.471 4.393 20 0 DIADHN Cc1ccccc1OCCCN1CCC(OC(C)(C)C)CC1 ZINC000119013970 337852686 /nfs/dbraw/zinc/85/26/86/337852686.db2.gz UZSGJEJMOKLWAI-UHFFFAOYSA-N 0 3 305.462 4.043 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccccc1OC)c1ccco1 ZINC000119083617 337854298 /nfs/dbraw/zinc/85/42/98/337854298.db2.gz PXGIVKWVVZUSSU-WBVHZDCISA-N 0 3 316.445 4.022 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(OC)cc1)c1ccco1 ZINC000119110263 337854499 /nfs/dbraw/zinc/85/44/99/337854499.db2.gz HEEFDXVYHJSSDY-YJBOKZPZSA-N 0 3 316.445 4.022 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(F)c(CN(C)C)c2)c2ccccc21 ZINC000564144617 337858392 /nfs/dbraw/zinc/85/83/92/337858392.db2.gz MSAROJAIOLMCJC-VLIAUNLRSA-N 0 3 312.432 4.225 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C)c(OC)c2)C(C)C)cc1 ZINC000536999908 337861359 /nfs/dbraw/zinc/86/13/59/337861359.db2.gz FOGVMQQAPYIBRK-FQEVSTJZSA-N 0 3 313.441 4.499 20 0 DIADHN COc1ccc([C@H](NCc2ccc(OC)cc2C)C2CC2)cc1 ZINC000537013152 337863076 /nfs/dbraw/zinc/86/30/76/337863076.db2.gz RZGHPIZEEXBSAL-HXUWFJFHSA-N 0 3 311.425 4.253 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000120267444 337873104 /nfs/dbraw/zinc/87/31/04/337873104.db2.gz DPIBGXSJTCKKPB-QAPCUYQASA-N 0 3 316.445 4.020 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@H]1CCC[C@H](F)C1 ZINC000424582093 337873300 /nfs/dbraw/zinc/87/33/00/337873300.db2.gz ILCWXWBOYGEKCB-IRXDYDNUSA-N 0 3 318.436 4.139 20 0 DIADHN CCCC[C@@H](CC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000058637779 337879384 /nfs/dbraw/zinc/87/93/84/337879384.db2.gz PWJDPYRGQNKTHY-CVEARBPZSA-N 0 3 322.518 4.218 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(F)c(CN(C)C)c2)cc1 ZINC000120841528 337881329 /nfs/dbraw/zinc/88/13/29/337881329.db2.gz DSPABUMKIIGWKB-HNNXBMFYSA-N 0 3 314.448 4.301 20 0 DIADHN CCc1ccc([C@H](N[C@@H]2CCCc3c2cnn3C)C(C)C)cc1 ZINC000121058017 337883140 /nfs/dbraw/zinc/88/31/40/337883140.db2.gz MAVJSJASYDXIMA-UYAOXDASSA-N 0 3 311.473 4.347 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(F)cc1N(C)C ZINC000544833139 337884275 /nfs/dbraw/zinc/88/42/75/337884275.db2.gz PYRFBBUHXZFHBX-PWSUYJOCSA-N 0 3 306.347 4.273 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCCOC[C@@H]2CCCO2)cc1 ZINC000121126342 337886502 /nfs/dbraw/zinc/88/65/02/337886502.db2.gz UVQRVKRMCUKNJS-RDJZCZTQSA-N 0 3 323.502 4.033 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2ccnc2)cc1)c1c(C)noc1C ZINC000090886631 337886765 /nfs/dbraw/zinc/88/67/65/337886765.db2.gz SYFGAQVOSBWIKQ-UGSOOPFHSA-N 0 3 324.428 4.279 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1cc(F)cc(OC)c1 ZINC000090972148 337887663 /nfs/dbraw/zinc/88/76/63/337887663.db2.gz ICEOBAMMYXGECK-IBGZPJMESA-N 0 3 315.388 4.010 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000537099884 337890342 /nfs/dbraw/zinc/89/03/42/337890342.db2.gz UQKBMDIMMTXXAO-QZTJIDSGSA-N 0 3 324.468 4.163 20 0 DIADHN C[C@H](NC[C@H](O)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000537114059 337890990 /nfs/dbraw/zinc/89/09/90/337890990.db2.gz XOMVUWNHNQPQGJ-GXTWGEPZSA-N 0 3 324.251 4.248 20 0 DIADHN COC[C@H](NCCCc1cccc(F)c1)c1ccc(F)c(F)c1 ZINC000537117232 337891434 /nfs/dbraw/zinc/89/14/34/337891434.db2.gz WZZBPSGXKYBTID-SFHVURJKSA-N 0 3 323.358 4.014 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccccc2OCC2CC2)CC1 ZINC000092454311 337904444 /nfs/dbraw/zinc/90/44/44/337904444.db2.gz ACCFPHPRFKGKFC-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1cccc(N2CCCC2)c1 ZINC000537174230 337906541 /nfs/dbraw/zinc/90/65/41/337906541.db2.gz BILLZSZWPZNFOZ-QGZVFWFLSA-N 0 3 304.478 4.000 20 0 DIADHN Cn1cc(CN[C@H]2CCCC(F)(F)C2)c(C2CCCCC2)n1 ZINC000541382955 337907993 /nfs/dbraw/zinc/90/79/93/337907993.db2.gz DTGPYJQSZHQXBS-HNNXBMFYSA-N 0 3 311.420 4.135 20 0 DIADHN CC[C@H](NCc1ccccc1COC)c1cc(C)ccc1OC ZINC000093371473 337915782 /nfs/dbraw/zinc/91/57/82/337915782.db2.gz XBPFNGOGYHKSAB-IBGZPJMESA-N 0 3 313.441 4.391 20 0 DIADHN C[C@@H](N[C@@H]1CCCOc2ccccc21)c1ccccc1N(C)C ZINC000093943983 337923082 /nfs/dbraw/zinc/92/30/82/337923082.db2.gz CTEQDGLQCMHGJS-CRAIPNDOSA-N 0 3 310.441 4.317 20 0 DIADHN COC[C@@H](C)N[C@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000537222006 337925019 /nfs/dbraw/zinc/92/50/19/337925019.db2.gz YDVQSMCFTWCQJO-OTYXRUKQSA-N 0 3 321.770 4.434 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H](CO)CC(C)(C)C)oc21 ZINC000537305961 337930082 /nfs/dbraw/zinc/93/00/82/337930082.db2.gz DDZDKSTYDRINPO-DZGCQCFKSA-N 0 3 319.445 4.279 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)N[C@@H](C)COCCOC ZINC000537308623 337930286 /nfs/dbraw/zinc/93/02/86/337930286.db2.gz UFAQNKJPPSYVKY-LSDHHAIUSA-N 0 3 319.445 4.006 20 0 DIADHN FC1(F)CCC[C@@H](NCc2ccccc2Br)C1 ZINC000537325888 337931144 /nfs/dbraw/zinc/93/11/44/337931144.db2.gz SGISLWKJPGDJPA-LLVKDONJSA-N 0 3 304.178 4.117 20 0 DIADHN C[C@H](Nc1ccc(Cl)cc1)c1ccc(OCCN(C)C)cc1 ZINC000537452682 337937164 /nfs/dbraw/zinc/93/71/64/337937164.db2.gz VRKGVWIHWCODMI-AWEZNQCLSA-N 0 3 318.848 4.454 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537481074 337937552 /nfs/dbraw/zinc/93/75/52/337937552.db2.gz GVGNVQSFBBVFQF-PBFPGSCMSA-N 0 3 309.400 4.135 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H]2Cc3ccccc3O2)cc1Cl ZINC000537466995 337938533 /nfs/dbraw/zinc/93/85/33/337938533.db2.gz ONXNVNLBWUZINS-IUODEOHRSA-N 0 3 317.816 4.003 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc3cccnc31)CCCO2 ZINC000098172981 337960208 /nfs/dbraw/zinc/96/02/08/337960208.db2.gz HHTIPVDNQYCKNJ-SFHVURJKSA-N 0 3 322.383 4.377 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1nc2c(s1)CCCC2 ZINC000537883482 337964399 /nfs/dbraw/zinc/96/43/99/337964399.db2.gz SWQXXFIDRLMCGG-QWHCGFSZSA-N 0 3 304.459 4.287 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H](C)c1nc2c(s1)CCCC2 ZINC000537884903 337964472 /nfs/dbraw/zinc/96/44/72/337964472.db2.gz QFCTXZJJCXAGRZ-CHWSQXEVSA-N 0 3 318.461 4.443 20 0 DIADHN CCc1cnccc1[C@@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000514244809 337967821 /nfs/dbraw/zinc/96/78/21/337967821.db2.gz NLYKDPHYIQMSTM-FZKQIMNGSA-N 0 3 318.848 4.336 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](C)Sc2ccccc2)cc1O ZINC000514820711 337971182 /nfs/dbraw/zinc/97/11/82/337971182.db2.gz NRJQUBOBCIXABL-ZIAGYGMSSA-N 0 3 317.454 4.232 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@H]2CCCCC23CCCC3)s1 ZINC000314959654 337976484 /nfs/dbraw/zinc/97/64/84/337976484.db2.gz OGDMWJRMARQOOO-JSGCOSHPSA-N 0 3 322.474 4.083 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCOC1)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000162818184 337977528 /nfs/dbraw/zinc/97/75/28/337977528.db2.gz SAZOZKDOHUEJPB-SGMGOOAPSA-N 0 3 320.820 4.071 20 0 DIADHN CCc1ccccc1CNCc1ccc(F)c(Br)c1 ZINC000084200640 338001459 /nfs/dbraw/zinc/00/14/59/338001459.db2.gz SLNAHYYNAKHCBP-UHFFFAOYSA-N 0 3 322.221 4.440 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC(CCCn2ccnc2)CC1 ZINC000515712177 337996394 /nfs/dbraw/zinc/99/63/94/337996394.db2.gz PBQYNIVZTFAXRC-XBXARRHUSA-N 0 3 309.457 4.089 20 0 DIADHN Cc1cc2cc(CNCc3ccc4cnn(C)c4c3)oc2cc1C ZINC000515936575 338000778 /nfs/dbraw/zinc/00/07/78/338000778.db2.gz DJAUFXKVYZIGSP-UHFFFAOYSA-N 0 3 319.408 4.226 20 0 DIADHN CCCOc1ccc2c(c1)CCN(CCCc1cnccc1C)C2 ZINC000516038542 338002823 /nfs/dbraw/zinc/00/28/23/338002823.db2.gz QFCUBZCJRWUVFV-UHFFFAOYSA-N 0 3 324.468 4.170 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCC2)c1)c1ccccc1C ZINC000516270607 338009236 /nfs/dbraw/zinc/00/92/36/338009236.db2.gz FPUVMKHEQDVFHQ-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000516291350 338010050 /nfs/dbraw/zinc/01/00/50/338010050.db2.gz RGULMWDKKWJXHV-NEFCLYRVSA-N 0 3 313.363 4.419 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(Cl)s1)c1ccccc1 ZINC000516348395 338010441 /nfs/dbraw/zinc/01/04/41/338010441.db2.gz VZFRVGJUMSRQAB-HNNXBMFYSA-N 0 3 309.862 4.251 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)cn1 ZINC000085784313 338011267 /nfs/dbraw/zinc/01/12/67/338011267.db2.gz YSAHRVOKQQYUOV-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN Cc1ccnc([C@H]2CCN(Cc3cc(-c4ccccc4)no3)C2)c1 ZINC000516488412 338012674 /nfs/dbraw/zinc/01/26/74/338012674.db2.gz CRCLHBMRASMSOA-KRWDZBQOSA-N 0 3 319.408 4.035 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@@H]1O)c1ccc(Cl)cc1Cl ZINC000085974598 338012775 /nfs/dbraw/zinc/01/27/75/338012775.db2.gz MIUYPAOHAFKVOR-RWSFTLGLSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccco1)C1(c2ccccc2)CCCC1 ZINC000516508234 338014100 /nfs/dbraw/zinc/01/41/00/338014100.db2.gz CPYXUIVTWHSINJ-FUHWJXTLSA-N 0 3 313.441 4.193 20 0 DIADHN Clc1csc(CNCc2ccc(Br)cc2)c1 ZINC000086332173 338015119 /nfs/dbraw/zinc/01/51/19/338015119.db2.gz AESCUYHTKGMWDJ-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N(C)CCc1cnccn1 ZINC000445453837 338017119 /nfs/dbraw/zinc/01/71/19/338017119.db2.gz YCHHBFAHBHRZAD-MRXNPFEDSA-N 0 3 324.255 4.409 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1cc(F)cc(F)c1 ZINC000426287982 338024625 /nfs/dbraw/zinc/02/46/25/338024625.db2.gz BVGOZORJLPCSPR-LRDDRELGSA-N 0 3 320.358 4.033 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1ccc(F)cc1F ZINC000426289991 338024790 /nfs/dbraw/zinc/02/47/90/338024790.db2.gz NZRGAXKVWDLSGU-GXTWGEPZSA-N 0 3 320.358 4.033 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000426299213 338025385 /nfs/dbraw/zinc/02/53/85/338025385.db2.gz TXKFBASFMCGYFP-KRWDZBQOSA-N 0 3 318.436 4.432 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000426301976 338025666 /nfs/dbraw/zinc/02/56/66/338025666.db2.gz GHDOIOIXGPLBQA-RLLQIKCJSA-N 0 3 311.400 4.150 20 0 DIADHN CC[C@H](NCC(C)(C)COC)c1ccccc1Br ZINC000426304225 338025727 /nfs/dbraw/zinc/02/57/27/338025727.db2.gz QQSQDNGIHIUACN-AWEZNQCLSA-N 0 3 314.267 4.162 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1csc(Cl)c1 ZINC000426308947 338026083 /nfs/dbraw/zinc/02/60/83/338026083.db2.gz YAIQLMWDOHEQDF-WCQYABFASA-N 0 3 324.852 4.470 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)[C@H]1OCCc2sccc21 ZINC000426309353 338026104 /nfs/dbraw/zinc/02/61/04/338026104.db2.gz ZYPAPADGTQRDNM-LIXBPZJASA-N 0 3 317.429 4.167 20 0 DIADHN CC[C@H](O)CN[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000426319492 338026699 /nfs/dbraw/zinc/02/66/99/338026699.db2.gz QMJMELMMFIWWTK-ZFWWWQNUSA-N 0 3 303.377 4.040 20 0 DIADHN CCc1nc(C)c(CN[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)o1 ZINC000426321390 338026854 /nfs/dbraw/zinc/02/68/54/338026854.db2.gz CONMJXXSTWPVID-NEPJUHHUSA-N 0 3 306.837 4.481 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1ccc(F)c(F)c1 ZINC000426321399 338026951 /nfs/dbraw/zinc/02/69/51/338026951.db2.gz CPRQXKUHMSMACR-TZMCWYRMSA-N 0 3 320.358 4.033 20 0 DIADHN CCCc1nc(C)c(CN[C@H](Cc2ccc(C)cc2)C2CC2)o1 ZINC000426340162 338027916 /nfs/dbraw/zinc/02/79/16/338027916.db2.gz PFUXOYYIRAQMEV-GOSISDBHSA-N 0 3 312.457 4.355 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](C)[C@H]1OCCc2sccc21 ZINC000426341986 338027939 /nfs/dbraw/zinc/02/79/39/338027939.db2.gz HKMXTOVSGMYMON-SOVGHPHASA-N 0 3 313.466 4.336 20 0 DIADHN COCC(C)(C)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000426344228 338027943 /nfs/dbraw/zinc/02/79/43/338027943.db2.gz SRMGIARDCJKKFA-LBPRGKRZSA-N 0 3 322.449 4.272 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](C)[C@@H]1OCCc2sccc21 ZINC000426341984 338027984 /nfs/dbraw/zinc/02/79/84/338027984.db2.gz HKMXTOVSGMYMON-FMEYXAORSA-N 0 3 313.466 4.336 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)[C@@H]1OCCc2sccc21 ZINC000426343947 338028056 /nfs/dbraw/zinc/02/80/56/338028056.db2.gz GZPSWYNLYHCVDQ-PBQZTSCYSA-N 0 3 317.429 4.167 20 0 DIADHN CCCc1nc(C)c(CNC2CC(c3ccccc3F)C2)o1 ZINC000426346725 338028146 /nfs/dbraw/zinc/02/81/46/338028146.db2.gz IVUYNLUPYBUFNO-UHFFFAOYSA-N 0 3 302.393 4.110 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)[C@@H]1OCCc2sccc21 ZINC000426353281 338028574 /nfs/dbraw/zinc/02/85/74/338028574.db2.gz PDWSHNWCJIRMSL-JKDFXYPNSA-N 0 3 305.418 4.240 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)[nH]1)Cc1cccc2[nH]ccc21 ZINC000426385206 338029966 /nfs/dbraw/zinc/02/99/66/338029966.db2.gz LXQGJVHKVLCADW-UHFFFAOYSA-N 0 3 316.408 4.190 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1ccc2c(c1)ncn2C ZINC000426396416 338030383 /nfs/dbraw/zinc/03/03/83/338030383.db2.gz PCVJBMKENJGFFC-LFIBNONCSA-N 0 3 305.425 4.109 20 0 DIADHN CCCn1nc(C)c(CN(C)Cc2cccc3[nH]ccc32)c1C ZINC000426405629 338030930 /nfs/dbraw/zinc/03/09/30/338030930.db2.gz RUKQKNDSMAMILP-UHFFFAOYSA-N 0 3 310.445 4.023 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2CC[C@H]3CCC[C@H]32)n1 ZINC000426473251 338033308 /nfs/dbraw/zinc/03/33/08/338033308.db2.gz DOYXGPRYXJMNKJ-IAQYHMDHSA-N 0 3 320.408 4.463 20 0 DIADHN COCCN(CC[C@H](C)c1ccccc1)Cc1scnc1C ZINC000426559386 338034659 /nfs/dbraw/zinc/03/46/59/338034659.db2.gz MHNSOEGWHJFCLI-HNNXBMFYSA-N 0 3 318.486 4.094 20 0 DIADHN COCCN(CC[C@@H](C)c1ccccc1)Cc1scnc1C ZINC000426559389 338034732 /nfs/dbraw/zinc/03/47/32/338034732.db2.gz MHNSOEGWHJFCLI-OAHLLOKOSA-N 0 3 318.486 4.094 20 0 DIADHN COc1ccccc1CN(C)C[C@@H](OC(C)C)c1ccccc1 ZINC000428217045 338042823 /nfs/dbraw/zinc/04/28/23/338042823.db2.gz HYLKWITXRWGKLO-HXUWFJFHSA-N 0 3 313.441 4.293 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@](C)(c3ccccc3)C2)o1 ZINC000428217111 338042843 /nfs/dbraw/zinc/04/28/43/338042843.db2.gz IDAAPDANQZRJNK-FQEVSTJZSA-N 0 3 312.457 4.489 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@@H](Cc2ccc(F)cc2)C1 ZINC000428242571 338043866 /nfs/dbraw/zinc/04/38/66/338043866.db2.gz VTMJLAJIHYECFB-INIZCTEOSA-N 0 3 316.420 4.310 20 0 DIADHN Cc1cc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)ncn1 ZINC000428252559 338044683 /nfs/dbraw/zinc/04/46/83/338044683.db2.gz JEGSJTQZVADGCL-YVNCXZRQSA-N 0 3 307.441 4.243 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2oc(CC)nc2C)C12CCCCC2 ZINC000428255858 338044758 /nfs/dbraw/zinc/04/47/58/338044758.db2.gz LWVBGXBESHOLJC-SJORKVTESA-N 0 3 320.477 4.105 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNCCC1=CCCC1 ZINC000428282601 338045475 /nfs/dbraw/zinc/04/54/75/338045475.db2.gz QNBBLQSBGPQHER-UHFFFAOYSA-N 0 3 300.427 4.416 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@@H](COc2ccccc2)C1 ZINC000428320390 338047146 /nfs/dbraw/zinc/04/71/46/338047146.db2.gz TULUHYQRMIGOGB-MRXNPFEDSA-N 0 3 314.429 4.007 20 0 DIADHN CCc1nc(C)c(CN[C@H](CC)C(C)(C)c2ccccc2)o1 ZINC000428351410 338048314 /nfs/dbraw/zinc/04/83/14/338048314.db2.gz IDEHRTLTECTBOR-QGZVFWFLSA-N 0 3 300.446 4.391 20 0 DIADHN CC[C@@H](NC[C@H](OC(C)C)c1ccccc1)c1c(C)noc1C ZINC000428349103 338048355 /nfs/dbraw/zinc/04/83/55/338048355.db2.gz ATMUTVAQBYKDJU-MSOLQXFVSA-N 0 3 316.445 4.498 20 0 DIADHN c1cncc(-c2cccc(CN3CCC[C@H](OCC4CC4)C3)c2)c1 ZINC000428407375 338050519 /nfs/dbraw/zinc/05/05/19/338050519.db2.gz YZZMOUXDHFTHHD-NRFANRHFSA-N 0 3 322.452 4.140 20 0 DIADHN CCCc1nc(C)c(CN(CC)[C@@H](C)Cc2ccsc2)o1 ZINC000428433732 338051148 /nfs/dbraw/zinc/05/11/48/338051148.db2.gz UQQQRYGNHLBRKV-ZDUSSCGKSA-N 0 3 306.475 4.450 20 0 DIADHN CC(C)c1nnc(C[N@@H+]2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)[n-]1 ZINC000428435099 338051380 /nfs/dbraw/zinc/05/13/80/338051380.db2.gz BROKUMMJCYHUDS-KURKYZTESA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(C[N@H+]2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)[n-]1 ZINC000428435099 338051381 /nfs/dbraw/zinc/05/13/81/338051381.db2.gz BROKUMMJCYHUDS-KURKYZTESA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)[nH]1 ZINC000428435099 338051382 /nfs/dbraw/zinc/05/13/82/338051382.db2.gz BROKUMMJCYHUDS-KURKYZTESA-N 0 3 324.472 4.086 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@@H](OC(C)C)c2ccccc2)on1 ZINC000428451404 338052427 /nfs/dbraw/zinc/05/24/27/338052427.db2.gz ATLDHQBLSAIPNG-PKOBYXMFSA-N 0 3 314.429 4.286 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@H]3CCC[C@H]32)cc1Cl ZINC000428456329 338052774 /nfs/dbraw/zinc/05/27/74/338052774.db2.gz UPLHXGSGXFIDCB-DGCLKSJQSA-N 0 3 301.764 4.316 20 0 DIADHN COc1ccc(CCCN(C)Cc2oc(C(C)C)nc2C)cc1 ZINC000428459314 338053130 /nfs/dbraw/zinc/05/31/30/338053130.db2.gz PCLKAIZYTLSUMW-UHFFFAOYSA-N 0 3 316.445 4.180 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2[nH]ccc21)c1ccccc1 ZINC000428469721 338053919 /nfs/dbraw/zinc/05/39/19/338053919.db2.gz WKZDWQHINBRDEM-LJQANCHMSA-N 0 3 308.425 4.017 20 0 DIADHN CCN(Cc1cnc2cc(C)ccn12)[C@H](C)Cc1ccsc1 ZINC000428468502 338054010 /nfs/dbraw/zinc/05/40/10/338054010.db2.gz FPBUVVILDQBIIR-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN[C@@H]1CC12CCCC2 ZINC000428530046 338055528 /nfs/dbraw/zinc/05/55/28/338055528.db2.gz ACFKSGOTKAIFFP-LJQANCHMSA-N 0 3 308.425 4.180 20 0 DIADHN CCC(C)(C)CNCc1nc2cc(C(F)(F)F)ccc2n1C ZINC000428532635 338055597 /nfs/dbraw/zinc/05/55/97/338055597.db2.gz HJRFMSPFLSHMHJ-UHFFFAOYSA-N 0 3 313.367 4.118 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CNC1CC(F)(F)C1 ZINC000428651021 338057782 /nfs/dbraw/zinc/05/77/82/338057782.db2.gz WMUZOQBOOYBKAZ-UHFFFAOYSA-N 0 3 313.294 4.329 20 0 DIADHN Cc1nc2ccccc2c(N(C)Cc2cn3c(n2)CCCC3)c1C ZINC000428691718 338058539 /nfs/dbraw/zinc/05/85/39/338058539.db2.gz NLUHZGQCCDANEC-UHFFFAOYSA-N 0 3 320.440 4.021 20 0 DIADHN Cc1ccc(SCCN2CC[C@]3(C)[C@H](C2)C3(F)F)cc1C ZINC000428741078 338060162 /nfs/dbraw/zinc/06/01/62/338060162.db2.gz XQQCNGISMIJUGC-JKSUJKDBSA-N 0 3 311.441 4.373 20 0 DIADHN CO[C@H](CN1CC[C@]2(C)[C@H](C1)C2(F)F)c1ccc(Cl)cc1 ZINC000428739350 338060172 /nfs/dbraw/zinc/06/01/72/338060172.db2.gz HTUPRUXEKRLUCA-QLFBSQMISA-N 0 3 315.791 4.005 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C(C)C)cc2)s1)[C@H](C)CO ZINC000428844488 338061900 /nfs/dbraw/zinc/06/19/00/338061900.db2.gz XEORQGMHRYCZAF-CQSZACIVSA-N 0 3 318.486 4.136 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2nc3ccc(F)cc3s2)C1(C)C ZINC000428846703 338061951 /nfs/dbraw/zinc/06/19/51/338061951.db2.gz CTSCJXINOWKHAJ-GJZGRUSLSA-N 0 3 322.449 4.117 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(C(F)(F)F)s2)C12CCC2 ZINC000428848388 338062086 /nfs/dbraw/zinc/06/20/86/338062086.db2.gz IYIOMXVTLBOZKH-NWDGAFQWSA-N 0 3 319.392 4.204 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(C(F)(F)F)s2)C12CCC2 ZINC000428848389 338062088 /nfs/dbraw/zinc/06/20/88/338062088.db2.gz IYIOMXVTLBOZKH-RYUDHWBXSA-N 0 3 319.392 4.204 20 0 DIADHN C[C@@H](CNCc1nc2ccc(F)cc2s1)Cc1cccs1 ZINC000428862666 338063062 /nfs/dbraw/zinc/06/30/62/338063062.db2.gz OGDIPKWXDNTKGT-LLVKDONJSA-N 0 3 320.458 4.465 20 0 DIADHN C[C@H](CNCc1nc2ccc(F)cc2s1)Cc1cccs1 ZINC000428862669 338063126 /nfs/dbraw/zinc/06/31/26/338063126.db2.gz OGDIPKWXDNTKGT-NSHDSACASA-N 0 3 320.458 4.465 20 0 DIADHN C[C@H](CNCc1ccc(C(F)(F)F)s1)N1CCCC[C@@H]1C ZINC000428866645 338063194 /nfs/dbraw/zinc/06/31/94/338063194.db2.gz YMFIGQJZKKQISB-NWDGAFQWSA-N 0 3 320.424 4.119 20 0 DIADHN CO[C@H](CNCc1ccc(C(F)(F)F)s1)c1ccccc1 ZINC000428866498 338063279 /nfs/dbraw/zinc/06/32/79/338063279.db2.gz HOLGXFVMZKDQTD-CYBMUJFWSA-N 0 3 315.360 4.244 20 0 DIADHN CC(C)N(CC[S@@](=O)c1ccccc1)[C@H](C)c1ccccc1 ZINC000428874061 338064052 /nfs/dbraw/zinc/06/40/52/338064052.db2.gz YGRUZUDLXSPOKZ-VGOFRKELSA-N 0 3 315.482 4.266 20 0 DIADHN CCC(CC)[C@H](CCNCc1nc2ccc(F)cc2s1)OC ZINC000428874991 338064062 /nfs/dbraw/zinc/06/40/62/338064062.db2.gz CGWOMMKTCHPWLB-HNNXBMFYSA-N 0 3 324.465 4.366 20 0 DIADHN COc1ccc(C(=O)C2CCN(CCCC(C)C)CC2)cc1 ZINC000428944303 338065950 /nfs/dbraw/zinc/06/59/50/338065950.db2.gz SIDSSNXTRKOJMF-UHFFFAOYSA-N 0 3 303.446 4.026 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)[C@H](C)Cc1ccccc1C ZINC000428978759 338067662 /nfs/dbraw/zinc/06/76/62/338067662.db2.gz PBYAIDFIKOOEBF-OAHLLOKOSA-N 0 3 300.446 4.478 20 0 DIADHN CC(C)O[C@H](CN1CC[C@H](CC(F)(F)F)C1)c1ccccc1 ZINC000428985596 338067833 /nfs/dbraw/zinc/06/78/33/338067833.db2.gz KTPIOXIZLMQILW-GDBMZVCRSA-N 0 3 315.379 4.427 20 0 DIADHN Cc1sc(NC(=O)CN(C2CCC2)C2CCC2)c(C)c1C ZINC000429130928 338071372 /nfs/dbraw/zinc/07/13/72/338071372.db2.gz VVZOFAYXGOPJGV-UHFFFAOYSA-N 0 3 306.475 4.019 20 0 DIADHN COCC[C@H](c1ccccc1)N1Cc2ccccc2O[C@H](C)C1 ZINC000429127515 338071390 /nfs/dbraw/zinc/07/13/90/338071390.db2.gz CHVBUNKBVCTGCT-VQIMIIECSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)nc1 ZINC000429129156 338071456 /nfs/dbraw/zinc/07/14/56/338071456.db2.gz QYQPWJDSPQMKCG-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1ncsc1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429173413 338072352 /nfs/dbraw/zinc/07/23/52/338072352.db2.gz NKRFFMUTIBIZAD-CQSZACIVSA-N 0 3 324.902 4.472 20 0 DIADHN Cc1ccc2c(CNC(C)(C)c3ccccc3F)ccc(O)c2n1 ZINC000429258722 338075126 /nfs/dbraw/zinc/07/51/26/338075126.db2.gz VSGJQIPVMPTLRK-UHFFFAOYSA-N 0 3 324.399 4.413 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cnc(-c3ccccc3)s2)C1 ZINC000429289895 338076436 /nfs/dbraw/zinc/07/64/36/338076436.db2.gz FHLAJXMSXCRHJM-CQSZACIVSA-N 0 3 318.511 4.385 20 0 DIADHN c1ccc(CCN(CC[C@@H]2CCCCO2)Cc2cccnc2)cc1 ZINC000429294958 338076896 /nfs/dbraw/zinc/07/68/96/338076896.db2.gz OGRUQTCLNVOAOT-NRFANRHFSA-N 0 3 324.468 4.086 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc(C)ccc2OC(F)F)C1 ZINC000429313718 338077363 /nfs/dbraw/zinc/07/73/63/338077363.db2.gz XZFKWWJJJMAKBE-ZDUSSCGKSA-N 0 3 315.429 4.171 20 0 DIADHN COC1(C[C@H](C)N[C@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000429429868 338080647 /nfs/dbraw/zinc/08/06/47/338080647.db2.gz LJYQTZCFVXQFGZ-FXAWDEMLSA-N 0 3 324.468 4.417 20 0 DIADHN COC1(C[C@@H](C)N[C@@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000429429870 338080715 /nfs/dbraw/zinc/08/07/15/338080715.db2.gz LJYQTZCFVXQFGZ-XLIONFOSSA-N 0 3 324.468 4.417 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC23CCCC3)cnn1-c1ccc(F)cc1 ZINC000429435608 338080933 /nfs/dbraw/zinc/08/09/33/338080933.db2.gz ZFFKAMNYHXLQGW-SCLBCKFNSA-N 0 3 313.420 4.303 20 0 DIADHN COC1(C[C@@H](C)NCc2cc(C)ccc2OC(F)F)CCC1 ZINC000429436546 338080950 /nfs/dbraw/zinc/08/09/50/338080950.db2.gz WGFPKXSYRRXCMU-CYBMUJFWSA-N 0 3 313.388 4.034 20 0 DIADHN Cc1ccncc1[C@@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000429444120 338081223 /nfs/dbraw/zinc/08/12/23/338081223.db2.gz HIGZVIRFCMXLRB-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN FC1(F)CC(N[C@@H](Cc2cccnc2)c2ccc(Cl)cc2)C1 ZINC000429445376 338081255 /nfs/dbraw/zinc/08/12/55/338081255.db2.gz BSSFBNHAORDAHD-INIZCTEOSA-N 0 3 322.786 4.406 20 0 DIADHN C[C@H](NC1CC(F)(F)C1)c1ccc(Br)c(F)c1 ZINC000429451854 338081574 /nfs/dbraw/zinc/08/15/74/338081574.db2.gz PSBIRENQEJNXAN-ZETCQYMHSA-N 0 3 308.141 4.037 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1cccc(-c2ccccc2)c1 ZINC000429454863 338081581 /nfs/dbraw/zinc/08/15/81/338081581.db2.gz URGIKVYQXXQUKX-SUMWQHHRSA-N 0 3 305.368 4.020 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1cccc(-c2ccccc2)c1 ZINC000429454860 338081611 /nfs/dbraw/zinc/08/16/11/338081611.db2.gz URGIKVYQXXQUKX-CXAGYDPISA-N 0 3 305.368 4.020 20 0 DIADHN FC1(F)CC(N[C@@H](c2ccc(Br)s2)C2CC2)C1 ZINC000429457156 338081613 /nfs/dbraw/zinc/08/16/13/338081613.db2.gz SUZDWWXXEMCZFR-LLVKDONJSA-N 0 3 322.218 4.349 20 0 DIADHN C[C@@H]1C[C@H](NC2CC(F)(F)C2)c2cccc(Br)c21 ZINC000429460249 338081737 /nfs/dbraw/zinc/08/17/37/338081737.db2.gz BOBFNBZRDMSWPZ-PELKAZGASA-N 0 3 316.189 4.385 20 0 DIADHN C[C@H]1C[C@H](NC2CC(F)(F)C2)c2cccc(Br)c21 ZINC000429460255 338081786 /nfs/dbraw/zinc/08/17/86/338081786.db2.gz BOBFNBZRDMSWPZ-UFBFGSQYSA-N 0 3 316.189 4.385 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1ccc(-c2ccccc2)s1 ZINC000429461903 338081962 /nfs/dbraw/zinc/08/19/62/338081962.db2.gz NLVHUEOVKQIALT-AAEUAGOBSA-N 0 3 311.397 4.082 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1ccc(-c2cccs2)cc1 ZINC000429466362 338082027 /nfs/dbraw/zinc/08/20/27/338082027.db2.gz YOSFBJJGFFNRGS-RISCZKNCSA-N 0 3 311.397 4.082 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000429518281 338082824 /nfs/dbraw/zinc/08/28/24/338082824.db2.gz LOGMRCJDGKHWDK-DOTOQJQBSA-N 0 3 320.820 4.042 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CC[C@H](C)C2)c(Cl)c1 ZINC000429558723 338084062 /nfs/dbraw/zinc/08/40/62/338084062.db2.gz JZOBYWWHMNYWDN-SMDDNHRTSA-N 0 3 308.853 4.016 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC(c2ccncc2)CC1 ZINC000429561968 338084160 /nfs/dbraw/zinc/08/41/60/338084160.db2.gz XVECEWWNRIDXIP-UHFFFAOYSA-N 0 3 318.823 4.296 20 0 DIADHN C[C@H]1CC[C@@H](N(C)Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000429562156 338084164 /nfs/dbraw/zinc/08/41/64/338084164.db2.gz YRNWTKNVVJTXJD-SMDDNHRTSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H]1CC[C@@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000429580012 338085115 /nfs/dbraw/zinc/08/51/15/338085115.db2.gz YVIYPBNBNFWYBM-LSDHHAIUSA-N 0 3 302.462 4.043 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)[C@@H]3CC[C@H](C)C3)co2)cc1 ZINC000429598642 338085690 /nfs/dbraw/zinc/08/56/90/338085690.db2.gz PSQSDAWJBVTDJF-WMLDXEAASA-N 0 3 314.429 4.361 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000429597149 338085696 /nfs/dbraw/zinc/08/56/96/338085696.db2.gz JQCGKCWISHYRTM-AAEUAGOBSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1ccc2c(C[NH2+][C@H](C)c3ccccc3C)ccc([O-])c2n1 ZINC000429700710 338087692 /nfs/dbraw/zinc/08/76/92/338087692.db2.gz RIVPCIJNMKQHBN-OAHLLOKOSA-N 0 3 306.409 4.408 20 0 DIADHN Cc1cccc([C@H](C)N2CCC(Oc3ccncc3C)CC2)c1 ZINC000429721722 338088506 /nfs/dbraw/zinc/08/85/06/338088506.db2.gz RXBPGYJVYYGIPJ-KRWDZBQOSA-N 0 3 310.441 4.303 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCSCC[C@@H]2C)c1C ZINC000429760650 338089322 /nfs/dbraw/zinc/08/93/22/338089322.db2.gz NLUOABNPFJMHLC-AWEZNQCLSA-N 0 3 305.487 4.142 20 0 DIADHN Cc1ccc(CNC[C@@H]2CCC(F)(F)C2)c(Br)c1 ZINC000430044377 338094537 /nfs/dbraw/zinc/09/45/37/338094537.db2.gz VJWWNMOVSSKNII-LLVKDONJSA-N 0 3 318.205 4.283 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](Nc2ncc(Cl)cc2F)C1 ZINC000429998943 338093120 /nfs/dbraw/zinc/09/31/20/338093120.db2.gz GWYHAYHPPCZGDW-IUODEOHRSA-N 0 3 319.811 4.122 20 0 DIADHN FC1(F)CC[C@@H](CNCc2ncc(-c3ccccc3)s2)C1 ZINC000430036048 338094154 /nfs/dbraw/zinc/09/41/54/338094154.db2.gz CYLUXQBETJRUAI-GFCCVEGCSA-N 0 3 308.397 4.335 20 0 DIADHN Fc1ccc(CNC[C@H]2CCC(F)(F)C2)c(Br)c1 ZINC000430044783 338094532 /nfs/dbraw/zinc/09/45/32/338094532.db2.gz WIVDEFQFDNLUFD-VIFPVBQESA-N 0 3 322.168 4.113 20 0 DIADHN COc1ccc(CN2CC3(CCC3)[C@H]2C(C)C)c(C)c1OC ZINC000430090212 338095748 /nfs/dbraw/zinc/09/57/48/338095748.db2.gz NCXRCRWBHSOYIG-GOSISDBHSA-N 0 3 303.446 4.023 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC2(CCC2)[C@@H]1C(C)C ZINC000430091417 338095871 /nfs/dbraw/zinc/09/58/71/338095871.db2.gz XAELGGFSCQCVKS-KRWDZBQOSA-N 0 3 303.494 4.265 20 0 DIADHN CC(C)[C@H]1N(Cc2cn(C)nc2-c2ccccc2)CC12CCC2 ZINC000430093269 338095969 /nfs/dbraw/zinc/09/59/69/338095969.db2.gz DLWCRDOBTFAWKB-LJQANCHMSA-N 0 3 309.457 4.098 20 0 DIADHN CC(C)[C@H](NCc1csc(Cl)c1Cl)C1(CO)CCC1 ZINC000430101575 338096774 /nfs/dbraw/zinc/09/67/74/338096774.db2.gz CQWCJPBNBQNFMC-LBPRGKRZSA-N 0 3 322.301 4.332 20 0 DIADHN CCCCOc1ccccc1CN1CCC(C)(OCC)CC1 ZINC000430104305 338096930 /nfs/dbraw/zinc/09/69/30/338096930.db2.gz MSUZWEUUMLDUPI-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)[C@H](C)[C@@H](N)c2ccccc2)c(C)c1 ZINC000430133741 338098449 /nfs/dbraw/zinc/09/84/49/338098449.db2.gz YXLGJXWOOAHUGZ-FUHIMQAGSA-N 0 3 324.468 4.159 20 0 DIADHN COCC(C)(C)N(C)Cc1ccc(-c2cccc(F)c2F)o1 ZINC000430134337 338098483 /nfs/dbraw/zinc/09/84/83/338098483.db2.gz OPIGREFUWVPQLU-UHFFFAOYSA-N 0 3 309.356 4.082 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)[C@H](C)[C@H](N)c2ccccc2)c(C)c1 ZINC000430133742 338098532 /nfs/dbraw/zinc/09/85/32/338098532.db2.gz YXLGJXWOOAHUGZ-UWVAXJGDSA-N 0 3 324.468 4.159 20 0 DIADHN CCCc1nc(C)c(CNCCc2ccc(C(C)C)cc2)o1 ZINC000430145442 338099130 /nfs/dbraw/zinc/09/91/30/338099130.db2.gz DJMNTBJSYYJONT-UHFFFAOYSA-N 0 3 300.446 4.391 20 0 DIADHN CCSc1cccc(CN2CCOC[C@@H](C3CCC3)C2)c1 ZINC000430147390 338099142 /nfs/dbraw/zinc/09/91/42/338099142.db2.gz OOCIYTMQWANAJB-KRWDZBQOSA-N 0 3 305.487 4.047 20 0 DIADHN CSC[C@H]1CCCN(Cc2cnc(-c3ccc(C)o3)s2)C1 ZINC000430192328 338100443 /nfs/dbraw/zinc/10/04/43/338100443.db2.gz BJVMOLVLOJDWAX-ZDUSSCGKSA-N 0 3 322.499 4.287 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc3nc(C)sc3c2)C1 ZINC000430196789 338100825 /nfs/dbraw/zinc/10/08/25/338100825.db2.gz SJYNJTFHXMRNEU-CQSZACIVSA-N 0 3 306.500 4.180 20 0 DIADHN CSC[C@H]1CCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000430208955 338101516 /nfs/dbraw/zinc/10/15/16/338101516.db2.gz VEOOWZBDOCJTIL-LBPRGKRZSA-N 0 3 324.540 4.447 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc3c(c2)CCCC3)CC1 ZINC000430232426 338102497 /nfs/dbraw/zinc/10/24/97/338102497.db2.gz GUTZBXRAFOJDNS-UHFFFAOYSA-N 0 3 301.474 4.345 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)[C@H](C)[C@H](N)c2ccccc2)c1 ZINC000430407405 338106547 /nfs/dbraw/zinc/10/65/47/338106547.db2.gz IRCYJYUIJCYYEX-UWVAXJGDSA-N 0 3 324.468 4.159 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2[C@H](C)[C@@H]2c2ccccc2)c(CN(C)C)c1 ZINC000430679343 338111119 /nfs/dbraw/zinc/11/11/19/338111119.db2.gz VKOVLWWNFNRIAR-CDHQVMDDSA-N 0 3 322.452 4.045 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2[C@H](c3ccccc3)[C@@H]2C)c(CN(C)C)c1 ZINC000430679347 338111128 /nfs/dbraw/zinc/11/11/28/338111128.db2.gz VKOVLWWNFNRIAR-RYGJVYDSSA-N 0 3 322.452 4.045 20 0 DIADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000430754124 338113786 /nfs/dbraw/zinc/11/37/86/338113786.db2.gz MONKQRNQEFVMNA-MNOVXSKESA-N 0 3 300.418 4.108 20 0 DIADHN CCc1cnccc1[C@@H](C)NCCSCc1ccccc1 ZINC000430779184 338114549 /nfs/dbraw/zinc/11/45/49/338114549.db2.gz ZHNTZFWEBSWNDU-OAHLLOKOSA-N 0 3 300.471 4.228 20 0 DIADHN CCc1cnccc1[C@H](C)NCCSCc1ccccc1 ZINC000430779183 338114598 /nfs/dbraw/zinc/11/45/98/338114598.db2.gz ZHNTZFWEBSWNDU-HNNXBMFYSA-N 0 3 300.471 4.228 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NCC[C@H](O)C(C)C ZINC000431330412 338128068 /nfs/dbraw/zinc/12/80/68/338128068.db2.gz AZFDYSUMPBSIQM-ROUUACIJSA-N 0 3 305.462 4.020 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NC[C@@]1(C)CCCO1 ZINC000431347413 338128683 /nfs/dbraw/zinc/12/86/83/338128683.db2.gz GLVAORBFIOSITJ-DOTOQJQBSA-N 0 3 309.837 4.021 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3cc4c(cc32)CCC4)cc1 ZINC000431347039 338128751 /nfs/dbraw/zinc/12/87/51/338128751.db2.gz DPRYOMWCITWJII-FQEVSTJZSA-N 0 3 323.436 4.187 20 0 DIADHN CCc1ccccc1C(=O)Nc1cccc(CN(CC)CC)c1 ZINC000431504689 338132729 /nfs/dbraw/zinc/13/27/29/338132729.db2.gz GRWXJVPNJHGYSK-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN CSc1ccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)cc1 ZINC000431518394 338133083 /nfs/dbraw/zinc/13/30/83/338133083.db2.gz APKRMVWUHOZIQA-KRWDZBQOSA-N 0 3 318.486 4.144 20 0 DIADHN CSc1cccc(NC(=O)C[C@@H](c2ccccc2)N(C)C)c1 ZINC000431649007 338135476 /nfs/dbraw/zinc/13/54/76/338135476.db2.gz SIQZGPOKLBCFOB-KRWDZBQOSA-N 0 3 314.454 4.040 20 0 DIADHN CCc1ccc([C@@H](C)NC(=O)C[C@@H](c2ccccc2)N(C)C)cc1 ZINC000431660105 338135801 /nfs/dbraw/zinc/13/58/01/338135801.db2.gz LZEVHPSALIYLCL-UZLBHIALSA-N 0 3 324.468 4.119 20 0 DIADHN CC[C@H](NC(=O)C[C@H](c1ccccc1)N(C)C)c1cccs1 ZINC000431752665 338138100 /nfs/dbraw/zinc/13/81/00/338138100.db2.gz MLNSDDISKGEVAR-JKSUJKDBSA-N 0 3 316.470 4.008 20 0 DIADHN Oc1ccc(CCNCc2csc(Cl)c2Cl)cc1 ZINC000432234085 338149398 /nfs/dbraw/zinc/14/93/98/338149398.db2.gz CAMWPXAKVUMARZ-UHFFFAOYSA-N 0 3 302.226 4.093 20 0 DIADHN Cc1cc(C)n(CCCNCc2csc(Cl)c2Cl)n1 ZINC000432242274 338150185 /nfs/dbraw/zinc/15/01/85/338150185.db2.gz YEBUIAPNIRDQCP-UHFFFAOYSA-N 0 3 318.273 4.048 20 0 DIADHN CC1(C)[C@H](NCc2csc(Cl)c2Cl)[C@H]2CCCO[C@@H]21 ZINC000432248230 338150637 /nfs/dbraw/zinc/15/06/37/338150637.db2.gz VVRNDLQPNNZQAC-JLLWLGSASA-N 0 3 320.285 4.348 20 0 DIADHN C[C@@H](Cc1cccc(O)c1)NCc1csc(Cl)c1Cl ZINC000432248550 338150816 /nfs/dbraw/zinc/15/08/16/338150816.db2.gz XGWCTUJQBSWBIU-VIFPVBQESA-N 0 3 316.253 4.481 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2ccsc2Cl)C1 ZINC000432251211 338150833 /nfs/dbraw/zinc/15/08/33/338150833.db2.gz RKPFXYIFYJNGQL-UHFFFAOYSA-N 0 3 301.883 4.475 20 0 DIADHN CC[C@@H](NCc1csc(Cl)c1Cl)C1CCOCC1 ZINC000432250767 338150980 /nfs/dbraw/zinc/15/09/80/338150980.db2.gz MSXLEARICZEFDB-LLVKDONJSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432252193 338151142 /nfs/dbraw/zinc/15/11/42/338151142.db2.gz DWUUMKKIDQBTFP-DGCLKSJQSA-N 0 3 320.235 4.426 20 0 DIADHN C[C@H]1C[C@H](CCNCc2csc(Cl)c2Cl)CCO1 ZINC000432253764 338151192 /nfs/dbraw/zinc/15/11/92/338151192.db2.gz LGPBPUPFDSEUPF-VHSXEESVSA-N 0 3 308.274 4.350 20 0 DIADHN COCCC1(CNCc2csc(Cl)c2Cl)CCC1 ZINC000432254770 338151375 /nfs/dbraw/zinc/15/13/75/338151375.db2.gz VKJUWPYBDVKBKV-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN C[C@]1(NCc2ccc(F)c(Cl)c2Cl)CCO[C@@H]1C1CC1 ZINC000432258260 338151601 /nfs/dbraw/zinc/15/16/01/338151601.db2.gz YZBYTUKXKGYCFD-CABCVRRESA-N 0 3 318.219 4.180 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H]1CCCOC1 ZINC000432260473 338151701 /nfs/dbraw/zinc/15/17/01/338151701.db2.gz XSDANHYBNFSCLT-MWLCHTKSSA-N 0 3 306.208 4.037 20 0 DIADHN C[C@@H](NC[C@@H]1CCCN(c2ccccc2)C1)c1ccccc1F ZINC000432507787 338157892 /nfs/dbraw/zinc/15/78/92/338157892.db2.gz VPHSNFGMWZHMEF-SJORKVTESA-N 0 3 312.432 4.393 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2cccc3ccccc32)cn1 ZINC000432507999 338157980 /nfs/dbraw/zinc/15/79/80/338157980.db2.gz XEEZXPDXOYRNDC-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]([C@@H]3CCOC3)C2)s1 ZINC000432722318 338164157 /nfs/dbraw/zinc/16/41/57/338164157.db2.gz KPCQPCHWFLVGSG-NWDGAFQWSA-N 0 3 319.392 4.015 20 0 DIADHN COC1CCC(N(C)Cc2ccc(C(F)(F)F)s2)CC1 ZINC000432743308 338165715 /nfs/dbraw/zinc/16/57/15/338165715.db2.gz UNNDLOWVCWBPOQ-UHFFFAOYSA-N 0 3 307.381 4.156 20 0 DIADHN COc1ccc(OC(F)F)c(CN2CC3(CCC3)C[C@H]2C)c1 ZINC000432787367 338167563 /nfs/dbraw/zinc/16/75/63/338167563.db2.gz GKONWNKLWCDXHH-GFCCVEGCSA-N 0 3 311.372 4.061 20 0 DIADHN CCOC(=O)c1csc(CN[C@@H]2CCCC[C@H]2C(C)(C)C)n1 ZINC000432831238 338169712 /nfs/dbraw/zinc/16/97/12/338169712.db2.gz IXILFAAQRXBXRT-CHWSQXEVSA-N 0 3 324.490 4.014 20 0 DIADHN Fc1ccc2nc(CNC34CC5CC(CC(C5)C3)C4)sc2c1 ZINC000432915207 338172707 /nfs/dbraw/zinc/17/27/07/338172707.db2.gz KDGMWFPMRDGJTF-UHFFFAOYSA-N 0 3 316.445 4.494 20 0 DIADHN COCC[C@H]1CCCCN(Cc2ccc(C(F)(F)F)s2)C1 ZINC000432915669 338172824 /nfs/dbraw/zinc/17/28/24/338172824.db2.gz GHCSVIKLRWWYRW-GFCCVEGCSA-N 0 3 321.408 4.406 20 0 DIADHN COCCN(Cc1nc2ccc(F)cc2s1)[C@@H]1CC[C@H](C)C1 ZINC000432928529 338173749 /nfs/dbraw/zinc/17/37/49/338173749.db2.gz SHWKKBIMDZFWHV-GXTWGEPZSA-N 0 3 322.449 4.072 20 0 DIADHN COCCN(Cc1nc2ccc(F)cc2s1)[C@H]1CC[C@@H](C)C1 ZINC000432928533 338173767 /nfs/dbraw/zinc/17/37/67/338173767.db2.gz SHWKKBIMDZFWHV-OCCSQVGLSA-N 0 3 322.449 4.072 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@H](c2cc3ccccc3o2)C(C)C)C1 ZINC000433011723 338176166 /nfs/dbraw/zinc/17/61/66/338176166.db2.gz GWZDIHJRKJEVKP-DAYGRLMNSA-N 0 3 315.413 4.061 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@@H](c2ccccc2)c2ccc(C)cc2)C1 ZINC000433014275 338176362 /nfs/dbraw/zinc/17/63/62/338176362.db2.gz RIARUTNNZDAKNC-ZCNNSNEGSA-N 0 3 323.436 4.016 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@@H]2C)c(SC)cc1OC ZINC000433019803 338176598 /nfs/dbraw/zinc/17/65/98/338176598.db2.gz AMPAVLIWUFTLHW-ZDUSSCGKSA-N 0 3 321.486 4.190 20 0 DIADHN Cc1ccc(CN2CCC(c3ncccc3Cl)CC2)s1 ZINC000433041350 338178015 /nfs/dbraw/zinc/17/80/15/338178015.db2.gz CHMLMWKVPWGMJL-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN Fc1cccc(-c2nc(CN[C@@H]3CC4CCC3CC4)co2)c1 ZINC000433053007 338178823 /nfs/dbraw/zinc/17/88/23/338178823.db2.gz OKZDDMIGOSIGKX-QWQCLYJRSA-N 0 3 300.377 4.149 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCC1(CO)CC2(CCC2)C1 ZINC000433061825 338179518 /nfs/dbraw/zinc/17/95/18/338179518.db2.gz QSQIUGCOGSFJHX-ZDUSSCGKSA-N 0 3 322.518 4.168 20 0 DIADHN C[C@@H](NCCC[C@H](C)O)c1ccc2ccccc2c1OC(F)F ZINC000433067295 338179821 /nfs/dbraw/zinc/17/98/21/338179821.db2.gz MTNUETLGRDESFY-QWHCGFSZSA-N 0 3 323.383 4.253 20 0 DIADHN CC[C@H](NCC1(c2ccc(C)c(C)c2)CCC1)c1nccn1C ZINC000433076332 338180458 /nfs/dbraw/zinc/18/04/58/338180458.db2.gz KCGWRVGQLPRZDT-SFHVURJKSA-N 0 3 311.473 4.200 20 0 DIADHN CCC[C@@H](NCc1cccn(CC)c1=O)c1ccc(Cl)cc1 ZINC000433076537 338180495 /nfs/dbraw/zinc/18/04/95/338180495.db2.gz OIYODDJHYPCOMX-QGZVFWFLSA-N 0 3 318.848 4.153 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2cccn(CC)c2=O)cc1 ZINC000433074650 338180500 /nfs/dbraw/zinc/18/05/00/338180500.db2.gz WKJWDNFEPQWMCM-MRXNPFEDSA-N 0 3 312.457 4.062 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCC[C@H](c3ccccc3)C2)o1 ZINC000433075967 338180522 /nfs/dbraw/zinc/18/05/22/338180522.db2.gz ISWOBWQWWJGUDJ-ZBFHGGJFSA-N 0 3 313.397 4.007 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N[C@H]2CC3CCC2CC3)n1 ZINC000433084976 338180840 /nfs/dbraw/zinc/18/08/40/338180840.db2.gz UUKQXIGZANKDJR-VXTDNTOSSA-N 0 3 311.429 4.274 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N[C@H]2CC3CCC2CC3)n1 ZINC000433084974 338180938 /nfs/dbraw/zinc/18/09/38/338180938.db2.gz UUKQXIGZANKDJR-GIOUXUJVSA-N 0 3 311.429 4.274 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3nc(C)c(C)s3)C2)cc1 ZINC000433083229 338180959 /nfs/dbraw/zinc/18/09/59/338180959.db2.gz OFZTTWBIVYGSIR-QGZVFWFLSA-N 0 3 300.471 4.312 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)o1 ZINC000433091991 338181299 /nfs/dbraw/zinc/18/12/99/338181299.db2.gz NDUPGUYDQWPJQK-IRXDYDNUSA-N 0 3 312.457 4.409 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@@H]2CCCCN2C2CCCC2)c1 ZINC000433117948 338182326 /nfs/dbraw/zinc/18/23/26/338182326.db2.gz QDWRKXAOAGRHRY-SFHVURJKSA-N 0 3 300.446 4.039 20 0 DIADHN CC1(C)CC(NCc2cc(Oc3ccc(F)cc3)ccn2)C1 ZINC000433179933 338184325 /nfs/dbraw/zinc/18/43/25/338184325.db2.gz ZCAFFQKIVJOJMV-UHFFFAOYSA-N 0 3 300.377 4.291 20 0 DIADHN Cc1cnc(C2CCN(CCCCOC(C)(C)C)CC2)s1 ZINC000433178778 338184476 /nfs/dbraw/zinc/18/44/76/338184476.db2.gz GJCDUUIBQQYWRI-UHFFFAOYSA-N 0 3 310.507 4.226 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3ccc(Cl)s3)cccc21 ZINC000433187006 338184731 /nfs/dbraw/zinc/18/47/31/338184731.db2.gz MLGULZHXGNZFNT-AWEZNQCLSA-N 0 3 322.861 4.399 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3ccc(Cl)cc3)cccc21 ZINC000433188463 338184922 /nfs/dbraw/zinc/18/49/22/338184922.db2.gz ABFZGXCCKJGMQA-QGZVFWFLSA-N 0 3 316.832 4.337 20 0 DIADHN Cc1ccccc1C[C@H](C)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000433193824 338185032 /nfs/dbraw/zinc/18/50/32/338185032.db2.gz SLWPUCBWOAEPDJ-JXFKEZNVSA-N 0 3 324.468 4.423 20 0 DIADHN Cc1cccc(C)c1CNc1cccc2c1OCC[C@H]2N(C)C ZINC000433191789 338185099 /nfs/dbraw/zinc/18/50/99/338185099.db2.gz WLXFCDIQVYUJAW-LJQANCHMSA-N 0 3 310.441 4.301 20 0 DIADHN Cc1cccc(C)c1CNc1cccc2c1OCC[C@@H]2N(C)C ZINC000433191788 338185127 /nfs/dbraw/zinc/18/51/27/338185127.db2.gz WLXFCDIQVYUJAW-IBGZPJMESA-N 0 3 310.441 4.301 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3cccc(Cl)c3)cccc21 ZINC000433191203 338185149 /nfs/dbraw/zinc/18/51/49/338185149.db2.gz ZRIDQWZCLZTNOO-QGZVFWFLSA-N 0 3 316.832 4.337 20 0 DIADHN CC(C)(C)c1nc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)n[nH]1 ZINC000433200593 338185505 /nfs/dbraw/zinc/18/55/05/338185505.db2.gz IXNFCCUCWYZRCL-BJWYYQGGSA-N 0 3 324.472 4.013 20 0 DIADHN CC(C)[C@H](O)CN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000433202090 338185629 /nfs/dbraw/zinc/18/56/29/338185629.db2.gz ZBJAKUNRXMLCTK-UKRRQHHQSA-N 0 3 302.245 4.051 20 0 DIADHN Cc1ccc(F)cc1CNc1cccc2c1OCC[C@@H]2N(C)C ZINC000433206312 338185844 /nfs/dbraw/zinc/18/58/44/338185844.db2.gz GYIOJNHZSCOSGC-SFHVURJKSA-N 0 3 314.404 4.131 20 0 DIADHN CSC1(CNCc2c(Cl)cccc2N2CCCC2)CCC1 ZINC000433206925 338185970 /nfs/dbraw/zinc/18/59/70/338185970.db2.gz IUZHMUGOCRHDLB-UHFFFAOYSA-N 0 3 324.921 4.316 20 0 DIADHN Cc1ccc(F)c(CNc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC000433210072 338186018 /nfs/dbraw/zinc/18/60/18/338186018.db2.gz ZVFXXMDRNXUKQP-GOSISDBHSA-N 0 3 314.404 4.131 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC[C@@H]3C[C@@H]3c3ccccc3)cccc21 ZINC000433209657 338186050 /nfs/dbraw/zinc/18/60/50/338186050.db2.gz SJXXLINXXNYFOP-ILZDJORESA-N 0 3 322.452 4.287 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433324717 338192349 /nfs/dbraw/zinc/19/23/49/338192349.db2.gz FUINGFITILKGQS-MNOVXSKESA-N 0 3 306.397 4.466 20 0 DIADHN Cc1cnc(C2CCN(CCO[C@@H]3CCCC[C@@H]3C)CC2)s1 ZINC000433275998 338189018 /nfs/dbraw/zinc/18/90/18/338189018.db2.gz RYLAVGJFBCYFKK-WMLDXEAASA-N 0 3 322.518 4.226 20 0 DIADHN CN(C)[C@@H](CC(=O)Nc1ccc(Cl)c(F)c1)c1ccccc1 ZINC000433301439 338190506 /nfs/dbraw/zinc/19/05/06/338190506.db2.gz GCAFXHTWDMAXEX-INIZCTEOSA-N 0 3 320.795 4.111 20 0 DIADHN Cc1cc(C)cc(C[C@@H](C)NCc2ccc(-c3cc[nH]n3)o2)c1 ZINC000433305763 338190783 /nfs/dbraw/zinc/19/07/83/338190783.db2.gz OSDVXTWZIAQQFI-OAHLLOKOSA-N 0 3 309.413 4.007 20 0 DIADHN Clc1ccc(CCCNCc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000433310488 338191135 /nfs/dbraw/zinc/19/11/35/338191135.db2.gz BYCXMGOJHZWKKG-UHFFFAOYSA-N 0 3 315.804 4.046 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2ncc(C(F)(F)F)s2)C1 ZINC000433312432 338191591 /nfs/dbraw/zinc/19/15/91/338191591.db2.gz QRZBUZKIRQBJJL-GHMZBOCLSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433327584 338192751 /nfs/dbraw/zinc/19/27/51/338192751.db2.gz AMZHOCIHTHCSHA-XOBRGWDASA-N 0 3 321.424 4.473 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433329312 338192828 /nfs/dbraw/zinc/19/28/28/338192828.db2.gz UAWJQKCQMZGPTH-ORAYPTAESA-N 0 3 307.397 4.083 20 0 DIADHN CC[C@H](C)[C@@H](C)N(C(=O)C[C@@H](c1ccccc1)N(C)C)C1CC1 ZINC000433363027 338193807 /nfs/dbraw/zinc/19/38/07/338193807.db2.gz QKQIXRHKVZOQDM-FCEWJHQRSA-N 0 3 316.489 4.105 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCN(C(C)C)C1=O)c1ccc(Cl)cc1 ZINC000433778985 338201647 /nfs/dbraw/zinc/20/16/47/338201647.db2.gz FBAXJPCHHXDXGJ-SJORKVTESA-N 0 3 322.880 4.026 20 0 DIADHN CC(C)n1ncnc1CN1C[C@H](c2ccccc2)[C@H]2CCCC[C@@H]21 ZINC000434478498 338217355 /nfs/dbraw/zinc/21/73/55/338217355.db2.gz GKZGECPKHIQWFJ-QRVBRYPASA-N 0 3 324.472 4.017 20 0 DIADHN Clc1cccc(CCN2CCC[C@H](c3ncc[nH]3)C2)c1Cl ZINC000434490540 338218178 /nfs/dbraw/zinc/21/81/78/338218178.db2.gz YRVQZTSVJLCURF-ZDUSSCGKSA-N 0 3 324.255 4.139 20 0 DIADHN COc1cc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)ccn1 ZINC000434613029 338220998 /nfs/dbraw/zinc/22/09/98/338220998.db2.gz ZDPWKIAKRWNSIS-SFHVURJKSA-N 0 3 302.393 4.058 20 0 DIADHN CCNCc1nc(-c2ccccc2C(F)(F)F)c(C)s1 ZINC000434660107 338222527 /nfs/dbraw/zinc/22/25/27/338222527.db2.gz AWVWCADHFNNYKV-UHFFFAOYSA-N 0 3 300.349 4.247 20 0 DIADHN NC1(c2nc(COc3ccc(Cl)cc3)cs2)CCCC1 ZINC000434661505 338222678 /nfs/dbraw/zinc/22/26/78/338222678.db2.gz ITKICLMLBCQGGY-UHFFFAOYSA-N 0 3 308.834 4.104 20 0 DIADHN CC1(C)CCN(Cc2cccc(OC(F)F)c2)CCS1 ZINC000434675465 338223233 /nfs/dbraw/zinc/22/32/33/338223233.db2.gz ZDGJEHOSQAWRQJ-UHFFFAOYSA-N 0 3 301.402 4.006 20 0 DIADHN CCc1cccc(CN2CCC(c3ncc4n3CCCC4)CC2)c1 ZINC000434692156 338223728 /nfs/dbraw/zinc/22/37/28/338223728.db2.gz WBSVCKXMZKGDNW-UHFFFAOYSA-N 0 3 323.484 4.161 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(c2ccnn2CC)CC1 ZINC000434788999 338225713 /nfs/dbraw/zinc/22/57/13/338225713.db2.gz ZVYVXHLYUJRPSY-SFHVURJKSA-N 0 3 315.436 4.373 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccccc2SC)CC1 ZINC000434795268 338225878 /nfs/dbraw/zinc/22/58/78/338225878.db2.gz PQSYZIWDWPXNGH-UHFFFAOYSA-N 0 3 315.486 4.005 20 0 DIADHN CCOC(=O)CCCCN1CC=C(c2ccc(Cl)cc2)CC1 ZINC000434891624 338226836 /nfs/dbraw/zinc/22/68/36/338226836.db2.gz JJJFAADZHSERJT-UHFFFAOYSA-N 0 3 321.848 4.163 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CC[C@H](c2ccccc2)[C@H]1C ZINC000434903842 338227431 /nfs/dbraw/zinc/22/74/31/338227431.db2.gz MWMZRLOQCXPHRG-AOIWGVFYSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1cccc2nc(CN3CC[C@H](c4ccccc4)[C@H]3C)cn21 ZINC000434901242 338227572 /nfs/dbraw/zinc/22/75/72/338227572.db2.gz UAMLMUAKLXMJOQ-APWZRJJASA-N 0 3 305.425 4.021 20 0 DIADHN Clc1ccc(SCCN2CCC(c3c[nH]cn3)CC2)cc1 ZINC000434981503 338228562 /nfs/dbraw/zinc/22/85/62/338228562.db2.gz KQHJXGDMCMBMQB-UHFFFAOYSA-N 0 3 321.877 4.035 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1ccc(-c2cc[nH]n2)o1 ZINC000434987535 338229106 /nfs/dbraw/zinc/22/91/06/338229106.db2.gz UTYYEFXSQKIPQE-NTCAYCPXSA-N 0 3 307.397 4.205 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCC[C@H]3C3CC3)cccc21 ZINC000435028123 338230024 /nfs/dbraw/zinc/23/00/24/338230024.db2.gz OUTQNIYPNXEOSR-YXJHDRRASA-N 0 3 300.446 4.062 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCC[C@@H]3C3CC3)cccc21 ZINC000435028119 338230042 /nfs/dbraw/zinc/23/00/42/338230042.db2.gz OUTQNIYPNXEOSR-QGPMSJSTSA-N 0 3 300.446 4.062 20 0 DIADHN CC1(C)CCN(Cc2ccc(OCC(F)F)cc2)CCS1 ZINC000435045780 338230799 /nfs/dbraw/zinc/23/07/99/338230799.db2.gz LVYAQQGJJVDOCB-UHFFFAOYSA-N 0 3 315.429 4.048 20 0 DIADHN COc1cc(CN2CCSC(C)(C)CC2)ccc1OC(C)C ZINC000435049640 338231059 /nfs/dbraw/zinc/23/10/59/338231059.db2.gz HERSHSPPSHXPTI-UHFFFAOYSA-N 0 3 323.502 4.200 20 0 DIADHN CN(CCCCCO)Cc1ccc(-c2ccccc2Cl)o1 ZINC000435053132 338231139 /nfs/dbraw/zinc/23/11/39/338231139.db2.gz MHSLENSJACPKJV-UHFFFAOYSA-N 0 3 307.821 4.194 20 0 DIADHN Cn1cc(CN2CCSC(C)(C)CC2)c(C2CCCCC2)n1 ZINC000435053163 338231217 /nfs/dbraw/zinc/23/12/17/338231217.db2.gz MXGYJXXORLRWSP-UHFFFAOYSA-N 0 3 321.534 4.185 20 0 DIADHN CC(C)N(Cc1ccc(-c2cc[nH]n2)o1)Cc1ccc(F)cc1 ZINC000435132297 338232839 /nfs/dbraw/zinc/23/28/39/338232839.db2.gz IRGVXTOESNIKFH-UHFFFAOYSA-N 0 3 313.376 4.219 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC000435242324 338235162 /nfs/dbraw/zinc/23/51/62/338235162.db2.gz KKOALETXAKDPJI-CYBMUJFWSA-N 0 3 321.873 4.273 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](c1ccco1)c1ccccc1 ZINC000154507322 338244410 /nfs/dbraw/zinc/24/44/10/338244410.db2.gz WGFLQVVJRJXTED-QRWLVFNGSA-N 0 3 307.393 4.295 20 0 DIADHN CSc1ccc(CCNCc2coc(-c3ccccc3)n2)cc1 ZINC000435746322 338247688 /nfs/dbraw/zinc/24/76/88/338247688.db2.gz WDDAXCCWXJPFFY-UHFFFAOYSA-N 0 3 324.449 4.396 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@@H](CO)c1ccccc1)CCC2 ZINC000572482965 338248064 /nfs/dbraw/zinc/24/80/64/338248064.db2.gz IMPISJIRXZXDGG-OALUTQOASA-N 0 3 313.466 4.109 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1cncc(F)c1 ZINC000435781000 338248848 /nfs/dbraw/zinc/24/88/48/338248848.db2.gz HLAGSMWGANDGRU-BNLOLNQZSA-N 0 3 304.796 4.471 20 0 DIADHN Fc1cncc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)c1 ZINC000435781028 338248865 /nfs/dbraw/zinc/24/88/65/338248865.db2.gz HPJJANOTHGJAFE-SAZUREKKSA-N 0 3 324.321 4.275 20 0 DIADHN COc1ccc2oc(CN[C@H]3C[C@H](c4ccccc4)C3)cc2c1 ZINC000435789521 338249271 /nfs/dbraw/zinc/24/92/71/338249271.db2.gz JBGBEMGQUQWXLV-JCNLHEQBSA-N 0 3 307.393 4.477 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2ccnn2-c2ccccc2)C1 ZINC000435788192 338249347 /nfs/dbraw/zinc/24/93/47/338249347.db2.gz DHWMIFCRWLOVLE-WKILWMFISA-N 0 3 321.399 4.047 20 0 DIADHN COc1ccc2nc(CN[C@H]3C[C@H](c4ccccc4)C3)sc2c1 ZINC000435792182 338249504 /nfs/dbraw/zinc/24/95/04/338249504.db2.gz UNOUVNMBXQBUGB-SHTZXODSSA-N 0 3 324.449 4.341 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc(N2CCCC2)nc1 ZINC000154668543 338249899 /nfs/dbraw/zinc/24/98/99/338249899.db2.gz DSPYFEPRAATXHH-UHFFFAOYSA-N 0 3 309.457 4.092 20 0 DIADHN CC(C)c1ccccc1NC(=O)NCCN1CCCC(C)(C)C1 ZINC000436111838 338258643 /nfs/dbraw/zinc/25/86/43/338258643.db2.gz PZPVAYQXZBDKLC-UHFFFAOYSA-N 0 3 317.477 4.054 20 0 DIADHN FC(F)(F)CCNCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000436251074 338263716 /nfs/dbraw/zinc/26/37/16/338263716.db2.gz HYMULXZZBHQQEP-UHFFFAOYSA-N 0 3 305.649 4.401 20 0 DIADHN c1cc(-c2n[nH]cc2CN[C@H]2CCCc3ccccc32)cs1 ZINC000436263851 338264383 /nfs/dbraw/zinc/26/43/83/338264383.db2.gz IEQMEQIUZWBDRO-KRWDZBQOSA-N 0 3 309.438 4.305 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1ccc(Cl)cn1 ZINC000436280400 338265514 /nfs/dbraw/zinc/26/55/14/338265514.db2.gz DAZFMNBVWICHCQ-YRGRVCCFSA-N 0 3 304.796 4.471 20 0 DIADHN Cn1ncc(Cl)c1CNC1CCC(C)(c2ccccc2)CC1 ZINC000436293720 338266740 /nfs/dbraw/zinc/26/67/40/338266740.db2.gz DUMBIZAOWCPGEB-UHFFFAOYSA-N 0 3 317.864 4.064 20 0 DIADHN CCn1cccc(CN[C@H]2CC(C)(C)CCc3ccccc32)c1=O ZINC000436296073 338267158 /nfs/dbraw/zinc/26/71/58/338267158.db2.gz QXXQNDFKUQIFSL-IBGZPJMESA-N 0 3 324.468 4.062 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000436300522 338267447 /nfs/dbraw/zinc/26/74/47/338267447.db2.gz VMHMSSUZGQNOGI-KRWDZBQOSA-N 0 3 310.372 4.093 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1nc2ccccc2n1C ZINC000436305001 338267893 /nfs/dbraw/zinc/26/78/93/338267893.db2.gz GJYHVIKMDJGRNM-RBSFLKMASA-N 0 3 323.415 4.309 20 0 DIADHN CCOC(C)(C)CNCc1cc(C(F)(F)F)ccc1Cl ZINC000436407417 338271152 /nfs/dbraw/zinc/27/11/52/338271152.db2.gz SIOMDZPMECMWJL-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@H]1CCC(C)(C)O1)CCCS2 ZINC000437019075 338297991 /nfs/dbraw/zinc/29/79/91/338297991.db2.gz SWILBIRAHWGEJS-ZBFHGGJFSA-N 0 3 321.486 4.169 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccsc1)c1cc2ccccc2s1 ZINC000437031008 338298500 /nfs/dbraw/zinc/29/85/00/338298500.db2.gz VIMGBIDKSMENMD-FZMZJTMJSA-N 0 3 303.452 4.347 20 0 DIADHN C[C@@H](NCCc1cn(C)c2cc(Cl)ccc12)c1ccco1 ZINC000437035735 338298699 /nfs/dbraw/zinc/29/86/99/338298699.db2.gz XGRZVVDYUHZVQO-GFCCVEGCSA-N 0 3 302.805 4.318 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC[C@@H](C)C2)nnn1-c1cccc(Cl)c1 ZINC000437062422 338299032 /nfs/dbraw/zinc/29/90/32/338299032.db2.gz CNHNSTAEKPZXFQ-XUJVJEKNSA-N 0 3 318.852 4.068 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1ccc(Br)c(F)c1 ZINC000437079604 338299748 /nfs/dbraw/zinc/29/97/48/338299748.db2.gz QTUYSYXRHUTWSK-JTQLQIEISA-N 0 3 318.230 4.054 20 0 DIADHN COc1cnccc1[C@H](C)NC1CCC(c2ccccc2)CC1 ZINC000437084362 338299819 /nfs/dbraw/zinc/29/98/19/338299819.db2.gz VLYNLHWDYUPLQO-ZLPCBKJTSA-N 0 3 310.441 4.467 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@@H](C)c1ccc2c(c1)COC2 ZINC000437088802 338300179 /nfs/dbraw/zinc/30/01/79/338300179.db2.gz ZAIGAFWXLWKPRV-INIZCTEOSA-N 0 3 324.468 4.412 20 0 DIADHN CC(C)[C@H](NCc1ccccc1N(C)C(C)C)c1cccnc1 ZINC000437088888 338300307 /nfs/dbraw/zinc/30/03/07/338300307.db2.gz SAFJDKJIENZAHN-FQEVSTJZSA-N 0 3 311.473 4.413 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC(C)(C)OCC)oc21 ZINC000437091272 338300341 /nfs/dbraw/zinc/30/03/41/338300341.db2.gz CDIIRXINIKHELF-ZDUSSCGKSA-N 0 3 305.418 4.297 20 0 DIADHN CC[C@@H](CO)N[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000437090046 338300359 /nfs/dbraw/zinc/30/03/59/338300359.db2.gz ASIQPIKMEOWDOM-ZFWWWQNUSA-N 0 3 303.377 4.040 20 0 DIADHN CC[C@H](N[C@H](CO)Cc1ccccc1)c1cccc(Cl)c1F ZINC000437092264 338300518 /nfs/dbraw/zinc/30/05/18/338300518.db2.gz DXFYZRVEJDWQEJ-YOEHRIQHSA-N 0 3 321.823 4.123 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)c1 ZINC000437109982 338301537 /nfs/dbraw/zinc/30/15/37/338301537.db2.gz KNYZPWNPRGNFOL-BXUZGUMPSA-N 0 3 319.367 4.331 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000437110751 338301616 /nfs/dbraw/zinc/30/16/16/338301616.db2.gz NCCHRKFQAXCGJG-YPMHNXCESA-N 0 3 317.351 4.085 20 0 DIADHN FCCNCc1ccc(-c2ccc(C(F)(F)F)cc2)s1 ZINC000437264857 338305204 /nfs/dbraw/zinc/30/52/04/338305204.db2.gz ZWVICZORUQUNCY-UHFFFAOYSA-N 0 3 303.324 4.493 20 0 DIADHN Fc1ccccc1CN(CC[C@H]1CCOC1)Cc1ccccc1 ZINC000437575921 338314009 /nfs/dbraw/zinc/31/40/09/338314009.db2.gz HELGDPHEUCGYEY-SFHVURJKSA-N 0 3 313.416 4.255 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCCCOc2ccccc2)on1 ZINC000437584384 338314884 /nfs/dbraw/zinc/31/48/84/338314884.db2.gz VWMBBVTZXXVAOT-SFHVURJKSA-N 0 3 314.429 4.369 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CC[C@H]2CCOC2)c1 ZINC000437594766 338315467 /nfs/dbraw/zinc/31/54/67/338315467.db2.gz WWXOBGRUPRXEIX-SUMWQHHRSA-N 0 3 319.495 4.184 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CC[C@@H]2CCOC2)c1 ZINC000437594755 338315510 /nfs/dbraw/zinc/31/55/10/338315510.db2.gz WWXOBGRUPRXEIX-CXAGYDPISA-N 0 3 319.495 4.184 20 0 DIADHN Cc1ccc(CN(CC[C@@H]2CCOC2)Cc2ccco2)s1 ZINC000437703221 338318853 /nfs/dbraw/zinc/31/88/53/338318853.db2.gz UYERKSLOPSBUJM-OAHLLOKOSA-N 0 3 305.443 4.078 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]nc2-c2ccsc2)CC12CCC2 ZINC000437783787 338321155 /nfs/dbraw/zinc/32/11/55/338321155.db2.gz IOWZZHVSYDQRAK-CYBMUJFWSA-N 0 3 301.459 4.150 20 0 DIADHN Cc1cccc(NC(=O)Nc2ccccc2CCN(C)C)c1C ZINC000437922351 338325258 /nfs/dbraw/zinc/32/52/58/338325258.db2.gz TXXHPSWRAMUWRO-UHFFFAOYSA-N 0 3 311.429 4.052 20 0 DIADHN Cn1c2ccccc2nc1CN[C@@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000437986744 338327443 /nfs/dbraw/zinc/32/74/43/338327443.db2.gz OWIDMQAZRUHOMH-ZWKOTPCHSA-N 0 3 319.452 4.074 20 0 DIADHN Cn1c2ccccc2nc1CN[C@H]1CC[C@H](Cc2ccccc2)C1 ZINC000437986738 338327505 /nfs/dbraw/zinc/32/75/05/338327505.db2.gz OWIDMQAZRUHOMH-MSOLQXFVSA-N 0 3 319.452 4.074 20 0 DIADHN Cc1ccc2cc(CNCCO[C@H]3CCCC[C@H]3C)ccc2n1 ZINC000438088245 338330633 /nfs/dbraw/zinc/33/06/33/338330633.db2.gz ONEKMOPLWJAGPL-QRWLVFNGSA-N 0 3 312.457 4.228 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC3(CCCC3)CC2)cs1 ZINC000438099996 338331448 /nfs/dbraw/zinc/33/14/48/338331448.db2.gz XVGTXTNLJDPCBV-UHFFFAOYSA-N 0 3 301.459 4.294 20 0 DIADHN CCS[C@H]1CCCCN(Cc2c[nH]nc2-c2ccsc2)C1 ZINC000438117550 338333024 /nfs/dbraw/zinc/33/30/24/338333024.db2.gz VXICRQSMDRZQDW-HNNXBMFYSA-N 0 3 321.515 4.246 20 0 DIADHN CCc1ccc([C@H](COC)NC[C@H](C)c2ccc(F)cc2)o1 ZINC000438185038 338335437 /nfs/dbraw/zinc/33/54/37/338335437.db2.gz BSCDREGQPQIVAH-GUYCJALGSA-N 0 3 305.393 4.062 20 0 DIADHN CCc1ccc([C@@H](COC)NC[C@@H](C)c2ccc(F)cc2)o1 ZINC000438184804 338335492 /nfs/dbraw/zinc/33/54/92/338335492.db2.gz BSCDREGQPQIVAH-CXAGYDPISA-N 0 3 305.393 4.062 20 0 DIADHN Cc1cnc([C@@H](NC[C@H](C)c2ccc(F)cc2)C2CC2)s1 ZINC000438187975 338335703 /nfs/dbraw/zinc/33/57/03/338335703.db2.gz JVTCLCFCQUATMF-ZBEGNZNMSA-N 0 3 304.434 4.435 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CC[C@@H](c2ccccc2)C1 ZINC000438190360 338335872 /nfs/dbraw/zinc/33/58/72/338335872.db2.gz VHYBUADKPOKFMI-SQNIBIBYSA-N 0 3 324.472 4.162 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCc4c3cccc4F)ccc2n1 ZINC000438199863 338336272 /nfs/dbraw/zinc/33/62/72/338336272.db2.gz JDZQGMXGYROXOS-FQEVSTJZSA-N 0 3 306.384 4.459 20 0 DIADHN CCOc1ccc(CNCc2ccc3nc(C)ccc3c2)cc1 ZINC000438199839 338336277 /nfs/dbraw/zinc/33/62/77/338336277.db2.gz JDKBZUIVDLNWDM-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN CC(C)c1nc(CCNCc2ccc(Cl)cc2F)cs1 ZINC000438199764 338336323 /nfs/dbraw/zinc/33/63/23/338336323.db2.gz IXJHAYFJYYRPFS-UHFFFAOYSA-N 0 3 312.841 4.391 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@](C)(c3ccccc3)C2)cs1 ZINC000438213717 338336863 /nfs/dbraw/zinc/33/68/63/338336863.db2.gz KVTKZTBHHIFQHW-YJBOKZPZSA-N 0 3 300.471 4.306 20 0 DIADHN CC(C)N(C)c1ccccc1CNCC1=Cc2ccccc2OC1 ZINC000438334378 338339934 /nfs/dbraw/zinc/33/99/34/338339934.db2.gz KTTKEQLXXVJOQK-UHFFFAOYSA-N 0 3 322.452 4.097 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3cccc(F)c3)s2)CC[C@@H]1O ZINC000438346409 338340501 /nfs/dbraw/zinc/34/05/01/338340501.db2.gz LBQIEPICWZUDKU-KRWDZBQOSA-N 0 3 319.445 4.147 20 0 DIADHN CCOc1ccc(CNCc2ccccc2N(C)C(C)C)cc1 ZINC000438345498 338340570 /nfs/dbraw/zinc/34/05/70/338340570.db2.gz NAQBVDLIAGSYQX-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN CC1(C)CCCCN(Cc2cnc(-c3ccccn3)s2)C1 ZINC000438363456 338341323 /nfs/dbraw/zinc/34/13/23/338341323.db2.gz HOUMMKXZQIKBJT-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN Cn1cc(CN2CCCC3(CCCC3)C2)c(-c2cccs2)n1 ZINC000438376129 338342100 /nfs/dbraw/zinc/34/21/00/338342100.db2.gz RUGHWJYKGYBPIL-UHFFFAOYSA-N 0 3 315.486 4.305 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc(Cl)cc2c1OCC2 ZINC000438466628 338344629 /nfs/dbraw/zinc/34/46/29/338344629.db2.gz NQGZCOXXCSHHIO-ZDUSSCGKSA-N 0 3 321.770 4.342 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc(C2CCC2)s1 ZINC000438475426 338345212 /nfs/dbraw/zinc/34/52/12/338345212.db2.gz CAELNMUNYIQWOK-LBPRGKRZSA-N 0 3 306.397 4.477 20 0 DIADHN CC[C@@H]1CCN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000438478883 338345243 /nfs/dbraw/zinc/34/52/43/338345243.db2.gz AJAMNDGRMLIPEO-MRXNPFEDSA-N 0 3 308.425 4.171 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc2c(cccc2F)[nH]1 ZINC000438477650 338345368 /nfs/dbraw/zinc/34/53/68/338345368.db2.gz KBSNSXHRXNTGQU-AWEZNQCLSA-N 0 3 302.315 4.374 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCOc3c(F)cccc32)cc1 ZINC000438481469 338345480 /nfs/dbraw/zinc/34/54/80/338345480.db2.gz IGNPXEPROUZHKX-RCAFFNEUSA-N 0 3 301.336 4.091 20 0 DIADHN COc1ccc(CN[C@H](CC(F)(F)F)C(C)C)c(Cl)c1 ZINC000438481662 338345519 /nfs/dbraw/zinc/34/55/19/338345519.db2.gz IZXBMATYGMFULT-CYBMUJFWSA-N 0 3 309.759 4.415 20 0 DIADHN Fc1cccc2c1OCC[C@H]2NCCCCOc1ccccc1 ZINC000438495855 338346165 /nfs/dbraw/zinc/34/61/65/338346165.db2.gz RJEQEDINWNRVOT-GOSISDBHSA-N 0 3 315.388 4.098 20 0 DIADHN COc1ccc2ccccc2c1CNC[C@@H]1CCCCS1 ZINC000156338648 338352686 /nfs/dbraw/zinc/35/26/86/338352686.db2.gz BKUKNGMGFRJOKC-HNNXBMFYSA-N 0 3 301.455 4.224 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2C[C@@H]3OCCC[C@H]23)o1 ZINC000438906996 338355556 /nfs/dbraw/zinc/35/55/56/338355556.db2.gz JDHJWUFBLIWUGP-SUMDDJOVSA-N 0 3 315.388 4.051 20 0 DIADHN C[C@@H](O)CCN([C@H](C)c1cccc(C(F)(F)F)c1)C1CC1 ZINC000438982406 338357707 /nfs/dbraw/zinc/35/77/07/338357707.db2.gz DLMIPTGGHRKGPN-VXGBXAGGSA-N 0 3 301.352 4.002 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2Cc2cccc(F)c2F)C1 ZINC000439006189 338358277 /nfs/dbraw/zinc/35/82/77/338358277.db2.gz SWFORFHKPYUPPX-UWIFEVILSA-N 0 3 323.427 4.116 20 0 DIADHN Cc1nc(CCN2CC[C@@H](c3ccccc3)C[C@H]2C)cs1 ZINC000439313663 338366743 /nfs/dbraw/zinc/36/67/43/338366743.db2.gz ICVREGRJHZWRAP-RHSMWYFYSA-N 0 3 300.471 4.262 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(C[C@@H]2CCCCO2)CC1 ZINC000439321385 338367130 /nfs/dbraw/zinc/36/71/30/338367130.db2.gz PBGVLQDWXZKXTE-INIZCTEOSA-N 0 3 311.828 4.170 20 0 DIADHN CC[C@H]1CN([C@H](C)c2ccc(C(F)(F)F)cc2)CCCO1 ZINC000439470672 338370543 /nfs/dbraw/zinc/37/05/43/338370543.db2.gz PTXUQDRZBQGWPQ-DOMZBBRYSA-N 0 3 301.352 4.267 20 0 DIADHN C[C@@H](CCO)NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439477807 338371066 /nfs/dbraw/zinc/37/10/66/338371066.db2.gz MOGPKOBDSIASLQ-AWEZNQCLSA-N 0 3 301.430 4.105 20 0 DIADHN CCCCOc1ccc(CN2CCC([C@@H]3CCCO3)CC2)cc1 ZINC000439782013 338377904 /nfs/dbraw/zinc/37/79/04/338377904.db2.gz LMALADRMKDWZJN-FQEVSTJZSA-N 0 3 317.473 4.257 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC([C@H]2CCCO2)CC1 ZINC000439785554 338377990 /nfs/dbraw/zinc/37/79/90/338377990.db2.gz VNOQJDOLEGPTAU-LJQANCHMSA-N 0 3 311.425 4.338 20 0 DIADHN Cc1sc(NC(=O)CN(C(C)C)C2CCCC2)c(C)c1C ZINC000439962983 338381880 /nfs/dbraw/zinc/38/18/80/338381880.db2.gz LTFQOCJYAASWNB-UHFFFAOYSA-N 0 3 308.491 4.265 20 0 DIADHN CSc1ccccc1[C@H](C)NCCCC(=O)OC(C)(C)C ZINC000440620605 338392823 /nfs/dbraw/zinc/39/28/23/338392823.db2.gz GPTDEYKFFYDJMM-ZDUSSCGKSA-N 0 3 309.475 4.181 20 0 DIADHN Cc1cc(N(C)Cc2cnc(C(C)C)nc2)c2ccccc2n1 ZINC000440665270 338394739 /nfs/dbraw/zinc/39/47/39/338394739.db2.gz GVSGSBMHYHNBHS-UHFFFAOYSA-N 0 3 306.413 4.093 20 0 DIADHN CC[C@](C)(NCCCOc1cccc(Cl)c1)c1nccs1 ZINC000440694723 338395314 /nfs/dbraw/zinc/39/53/14/338395314.db2.gz DMTYHYHMEFJSHW-INIZCTEOSA-N 0 3 324.877 4.480 20 0 DIADHN COCc1nc(CN[C@H](C)CC(C)C)c(-c2ccccc2)s1 ZINC000441342258 338409471 /nfs/dbraw/zinc/40/94/71/338409471.db2.gz YMAWDFHXUUVJGI-CQSZACIVSA-N 0 3 318.486 4.481 20 0 DIADHN CCn1cc(CNCc2sc3ccc(Cl)cc3c2C)cn1 ZINC000441357949 338410193 /nfs/dbraw/zinc/41/01/93/338410193.db2.gz OSCVPCARPFVYNO-UHFFFAOYSA-N 0 3 319.861 4.369 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C1(CC(C)C)CC1)c1ccsc1 ZINC000442299942 338423220 /nfs/dbraw/zinc/42/32/20/338423220.db2.gz BMNLBNUNHZFUON-MRXNPFEDSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H](NCCSCc1ccccc1F)c1cccc(O)c1 ZINC000442638130 338426473 /nfs/dbraw/zinc/42/64/73/338426473.db2.gz ZQSHBFFXTJMQPF-CYBMUJFWSA-N 0 3 305.418 4.115 20 0 DIADHN Cc1ccc(CSCCN[C@@H](C)c2cccc(O)c2)cc1 ZINC000442647869 338426666 /nfs/dbraw/zinc/42/66/66/338426666.db2.gz KYHGOPFMQCPUGV-HNNXBMFYSA-N 0 3 301.455 4.285 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccccc1SC)c1ccccc1 ZINC000442723029 338429147 /nfs/dbraw/zinc/42/91/47/338429147.db2.gz WNJKXTBQGPWZQZ-WMLDXEAASA-N 0 3 301.455 4.447 20 0 DIADHN CSc1ccccc1[C@@H](C)NCCCC(=O)OC(C)(C)C ZINC000442780532 338430786 /nfs/dbraw/zinc/43/07/86/338430786.db2.gz GPTDEYKFFYDJMM-CYBMUJFWSA-N 0 3 309.475 4.181 20 0 DIADHN Cc1cnc([C@H](N[C@H]2Cc3[nH]c4ccccc4c3C2)C2CC2)s1 ZINC000442792341 338431670 /nfs/dbraw/zinc/43/16/70/338431670.db2.gz NZDITTXPOOXNFT-FZKQIMNGSA-N 0 3 323.465 4.141 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H](C)c1ccccc1SC ZINC000442796251 338432141 /nfs/dbraw/zinc/43/21/41/338432141.db2.gz VRVOSFXPGSJDJT-DOTOQJQBSA-N 0 3 315.482 4.317 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000442801609 338432455 /nfs/dbraw/zinc/43/24/55/338432455.db2.gz NGGUWQIYHFXKOO-NOLJZWGESA-N 0 3 313.388 4.205 20 0 DIADHN COCc1nc(CN[C@@H](C)CC2CC2)c(-c2ccccc2)s1 ZINC000442860025 338435444 /nfs/dbraw/zinc/43/54/44/338435444.db2.gz RLOICWZWCNLLSI-ZDUSSCGKSA-N 0 3 316.470 4.235 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ccccc1SC)C(=O)OC ZINC000442862209 338435702 /nfs/dbraw/zinc/43/57/02/338435702.db2.gz FJFYMTWPOKZKEK-CYBMUJFWSA-N 0 3 309.475 4.039 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccccc1N(C)C ZINC000442868700 338436463 /nfs/dbraw/zinc/43/64/63/338436463.db2.gz RAPWXAZHVLVTBS-CQSZACIVSA-N 0 3 300.471 4.325 20 0 DIADHN c1ccc2c(c1)C=C(CN[C@H]1CCSc3ccccc31)CO2 ZINC000171049940 338526734 /nfs/dbraw/zinc/52/67/34/338526734.db2.gz BCBLWNJLNADZSB-KRWDZBQOSA-N 0 3 309.434 4.289 20 0 DIADHN CCO[C@@H](CNCc1cnc(C(C)(C)C)s1)c1ccccc1 ZINC000194464877 338532447 /nfs/dbraw/zinc/53/24/47/338532447.db2.gz CVKCUDQXFGCQLG-INIZCTEOSA-N 0 3 318.486 4.308 20 0 DIADHN CCO[C@H](CNCc1cc(F)cc2cccnc21)c1ccccc1 ZINC000194469473 338534290 /nfs/dbraw/zinc/53/42/90/338534290.db2.gz LETOSYFDIZTLSZ-LJQANCHMSA-N 0 3 324.399 4.241 20 0 DIADHN C[C@H](CNCc1nc2ccccc2n1C1CC1)c1ccccc1 ZINC000446758215 338535236 /nfs/dbraw/zinc/53/52/36/338535236.db2.gz ZITDSIFFYJJDDT-OAHLLOKOSA-N 0 3 305.425 4.265 20 0 DIADHN CC(C)(C)[C@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000446834081 338537393 /nfs/dbraw/zinc/53/73/93/338537393.db2.gz VOIANTJUCVOTQK-INIZCTEOSA-N 0 3 307.821 4.097 20 0 DIADHN Fc1ccccc1C1CC(NCc2ccc3c(n2)CCCC3)C1 ZINC000446894320 338539912 /nfs/dbraw/zinc/53/99/12/338539912.db2.gz ZYSPEHPFHNNOJU-UHFFFAOYSA-N 0 3 310.416 4.135 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccc(F)cc1)c1cccc(F)c1 ZINC000446932770 338541099 /nfs/dbraw/zinc/54/10/99/338541099.db2.gz JJIRUQVWFGRWFU-QZTJIDSGSA-N 0 3 305.368 4.393 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000446945077 338541607 /nfs/dbraw/zinc/54/16/07/338541607.db2.gz YPONXANRWQZLMF-GFCCVEGCSA-N 0 3 321.827 4.206 20 0 DIADHN Cc1cccc(CN2CCC(Oc3ccncc3C)CC2)c1C ZINC000447091511 338545084 /nfs/dbraw/zinc/54/50/84/338545084.db2.gz SKJPYPVLSUGAMV-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN C[C@@H](CC1CCCCC1)NCc1cnc([C@H]2CCCO2)s1 ZINC000447094068 338545223 /nfs/dbraw/zinc/54/52/23/338545223.db2.gz OQWHEBAVNHMHAK-XJKSGUPXSA-N 0 3 308.491 4.443 20 0 DIADHN CCOC(=O)CCCCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000447324353 338549644 /nfs/dbraw/zinc/54/96/44/338549644.db2.gz MMYKPCPGOOQXAS-NSHDSACASA-N 0 3 318.244 4.377 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc3c(c2)OCCO3)c2ccccc21 ZINC000447516303 338553542 /nfs/dbraw/zinc/55/35/42/338553542.db2.gz NYAVYOACPORUGT-OALUTQOASA-N 0 3 323.436 4.432 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc3c(c2)OCCO3)c2ccccc21 ZINC000447516305 338553574 /nfs/dbraw/zinc/55/35/74/338553574.db2.gz NYAVYOACPORUGT-RTBURBONSA-N 0 3 323.436 4.432 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@H](C)C(C)(C)c2ccccc2)c1 ZINC000447531157 338554669 /nfs/dbraw/zinc/55/46/69/338554669.db2.gz QOTFWADAIWUESG-UONOGXRCSA-N 0 3 315.413 4.083 20 0 DIADHN CNC(=O)c1ccc(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)cc1 ZINC000447536708 338555001 /nfs/dbraw/zinc/55/50/01/338555001.db2.gz PLVIVDNCZPZDAL-PMACEKPBSA-N 0 3 322.452 4.020 20 0 DIADHN CC(C)[C@H]1C[C@H](NCCOCC(F)(F)F)c2ccccc21 ZINC000447537410 338555136 /nfs/dbraw/zinc/55/51/36/338555136.db2.gz VSHAQJMRTUVFNH-CABCVRRESA-N 0 3 301.352 4.040 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cccnc2N(C)C)c2ccccc21 ZINC000447541322 338555511 /nfs/dbraw/zinc/55/55/11/338555511.db2.gz GBPNCCPIAFCNLK-MOPGFXCFSA-N 0 3 309.457 4.122 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)c(C)o1 ZINC000447559647 338556789 /nfs/dbraw/zinc/55/67/89/338556789.db2.gz AJYCSUIEPAMLJU-YVEFUNNKSA-N 0 3 322.399 4.128 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cccc(F)c1F)c1ccccc1 ZINC000447568292 338557556 /nfs/dbraw/zinc/55/75/56/338557556.db2.gz ISGJCDPWMGIPDU-GUYCJALGSA-N 0 3 305.368 4.393 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H](C)[C@@H]1CCCO1 ZINC000447576374 338558261 /nfs/dbraw/zinc/55/82/61/338558261.db2.gz AZJPSEHALMUAPG-QEJZJMRPSA-N 0 3 301.352 4.146 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H]1CCCc2occc21 ZINC000447577692 338558359 /nfs/dbraw/zinc/55/83/59/338558359.db2.gz BAKWYNGNASZNLM-NJAPINKUSA-N 0 3 303.377 4.162 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H]1CCCc2occc21 ZINC000447577693 338558385 /nfs/dbraw/zinc/55/83/85/338558385.db2.gz BAKWYNGNASZNLM-PUECVXPYSA-N 0 3 303.377 4.162 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCCOCC(F)(F)C(F)F ZINC000447598593 338561266 /nfs/dbraw/zinc/56/12/66/338561266.db2.gz VVNVESJNPSEVLC-AAEUAGOBSA-N 0 3 319.342 4.050 20 0 DIADHN C=Cn1cc(CNCC(C)(C)c2cccc(C(F)(F)F)c2)cn1 ZINC000447817475 338567727 /nfs/dbraw/zinc/56/77/27/338567727.db2.gz QJYXLMFQTAYJMW-UHFFFAOYSA-N 0 3 323.362 4.070 20 0 DIADHN Cc1ccc(CCNCc2nc(-c3ccccc3)c[nH]2)c(C)c1 ZINC000447847462 338569154 /nfs/dbraw/zinc/56/91/54/338569154.db2.gz FKRNUQSQIQCGCY-UHFFFAOYSA-N 0 3 305.425 4.026 20 0 DIADHN CS[C@@H](CNCc1ncc(C(C)(C)C)s1)C(C)(C)C ZINC000447900090 338571142 /nfs/dbraw/zinc/57/11/42/338571142.db2.gz ZTYOOTXNHCFUCF-NSHDSACASA-N 0 3 300.537 4.308 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1ncc(C(C)(C)C)s1 ZINC000447939009 338572127 /nfs/dbraw/zinc/57/21/27/338572127.db2.gz DDDYPPXASPDHNY-LBPRGKRZSA-N 0 3 306.450 4.301 20 0 DIADHN C[C@H](CNCc1ncc(C(C)(C)C)s1)Cc1cccs1 ZINC000447945200 338572409 /nfs/dbraw/zinc/57/24/09/338572409.db2.gz VPBGBUTWGDYNCG-LBPRGKRZSA-N 0 3 308.516 4.471 20 0 DIADHN COc1cccc(NC2CCN(Cc3csc(C)c3)CC2)c1 ZINC000449035561 338586239 /nfs/dbraw/zinc/58/62/39/338586239.db2.gz PFVLVDCLCHLQSM-UHFFFAOYSA-N 0 3 316.470 4.142 20 0 DIADHN C[C@H](OCCCNCc1cc2cccnc2o1)c1ccccc1 ZINC000449068475 338590221 /nfs/dbraw/zinc/59/02/21/338590221.db2.gz SMNMAAYVMWXSFD-HNNXBMFYSA-N 0 3 310.397 4.085 20 0 DIADHN CCCN[C@@H](C)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000449091422 338592509 /nfs/dbraw/zinc/59/25/09/338592509.db2.gz QNPGXHSOLWGLRP-ZDUSSCGKSA-N 0 3 306.475 4.048 20 0 DIADHN Cc1noc(C)c1CN[C@@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000449099125 338593396 /nfs/dbraw/zinc/59/33/96/338593396.db2.gz SNPXYUSIFYIKLU-GOEBONIOSA-N 0 3 304.821 4.371 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000449104739 338593978 /nfs/dbraw/zinc/59/39/78/338593978.db2.gz AWGAXOAGDGQYMO-UONOGXRCSA-N 0 3 303.377 4.133 20 0 DIADHN Cc1csc([C@@H](C)N[C@H](C)Cc2coc3ccccc23)n1 ZINC000449119294 338594928 /nfs/dbraw/zinc/59/49/28/338594928.db2.gz XYHMAWYWFCDXFQ-DGCLKSJQSA-N 0 3 300.427 4.480 20 0 DIADHN CC[C@](C)(NC[C@@H](C)c1cc(F)cc(F)c1)c1nccs1 ZINC000449157594 338597734 /nfs/dbraw/zinc/59/77/34/338597734.db2.gz QQITUPYXPXVVNH-BZNIZROVSA-N 0 3 310.413 4.440 20 0 DIADHN COc1cc(CNCc2sc(C)nc2C)cc2ccccc21 ZINC000449174859 338599467 /nfs/dbraw/zinc/59/94/67/338599467.db2.gz NHNGYTGMIRTTKM-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN Cc1cnc(C(C)(C)NC[C@@H](C)c2cc(F)cc(F)c2)s1 ZINC000449181903 338599983 /nfs/dbraw/zinc/59/99/83/338599983.db2.gz JAKUJPVDLZFIAQ-SNVBAGLBSA-N 0 3 310.413 4.358 20 0 DIADHN Clc1cc(CN[C@@H]2CCC[C@H]3OCC[C@H]32)c(Cl)s1 ZINC000449181520 338600006 /nfs/dbraw/zinc/60/00/06/338600006.db2.gz HWPZLBPXYSYLJJ-HBNTYKKESA-N 0 3 306.258 4.102 20 0 DIADHN Fc1ccc(CN[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)nc1 ZINC000449188856 338600696 /nfs/dbraw/zinc/60/06/96/338600696.db2.gz GLEKCHQXPHQPMT-CZUORRHYSA-N 0 3 304.796 4.300 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2)s1)C1CCCC1 ZINC000449191833 338601076 /nfs/dbraw/zinc/60/10/76/338601076.db2.gz TUDZBAIRHUKPKN-HNNXBMFYSA-N 0 3 301.459 4.264 20 0 DIADHN CC[C@@H](CSC)NCc1cnc(CCc2ccccc2)s1 ZINC000449200747 338601934 /nfs/dbraw/zinc/60/19/34/338601934.db2.gz FHGFSSHNHXTSIO-HNNXBMFYSA-N 0 3 320.527 4.160 20 0 DIADHN c1c2cccnc2oc1CNC1(CCOCc2ccccc2)CC1 ZINC000449208023 338602435 /nfs/dbraw/zinc/60/24/35/338602435.db2.gz OWLRTOPQKNTCIA-UHFFFAOYSA-N 0 3 322.408 4.057 20 0 DIADHN Cc1cc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)cs1 ZINC000449206085 338602497 /nfs/dbraw/zinc/60/24/97/338602497.db2.gz PGPCPDVOFMAVAH-CVEARBPZSA-N 0 3 307.846 4.330 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)on1 ZINC000449223200 338603967 /nfs/dbraw/zinc/60/39/67/338603967.db2.gz JLRZVOYIBWDVLS-RHSMWYFYSA-N 0 3 304.821 4.137 20 0 DIADHN Fc1ccc(C2CCC(NCc3ccncc3F)CC2)cc1 ZINC000449234345 338605040 /nfs/dbraw/zinc/60/50/40/338605040.db2.gz PPXRYWFZAACSSB-UHFFFAOYSA-N 0 3 302.368 4.176 20 0 DIADHN CC(C)COc1ccccc1CN[C@H]1CCO[C@@H](C(C)C)C1 ZINC000449248506 338606595 /nfs/dbraw/zinc/60/65/95/338606595.db2.gz HSSWXIVHNHJBEW-PKOBYXMFSA-N 0 3 305.462 4.015 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H](C)Cc1coc2ccccc12 ZINC000449268554 338608498 /nfs/dbraw/zinc/60/84/98/338608498.db2.gz HSKRKPRCQWTZJE-KGLIPLIRSA-N 0 3 310.397 4.118 20 0 DIADHN C[C@@H]1C[C@H](NCc2cnc(-c3ccc(Cl)cc3)s2)[C@H](C)O1 ZINC000449309584 338611644 /nfs/dbraw/zinc/61/16/44/338611644.db2.gz BNJOJTAJKKLEQZ-ZETOZRRWSA-N 0 3 322.861 4.119 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1CCCc2c(F)cccc21 ZINC000449348427 338615927 /nfs/dbraw/zinc/61/59/27/338615927.db2.gz GGXVBXCYIIRBSQ-SFHVURJKSA-N 0 3 301.409 4.079 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cccc(C3CC3)c2)cc1 ZINC000449349913 338616352 /nfs/dbraw/zinc/61/63/52/338616352.db2.gz KYWMPMXBGDCISP-CQSZACIVSA-N 0 3 309.409 4.201 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1cccc3c[nH]nc31)CCC2 ZINC000449353204 338616902 /nfs/dbraw/zinc/61/69/02/338616902.db2.gz YXDQMKJXHSZZAV-KRWDZBQOSA-N 0 3 313.351 4.008 20 0 DIADHN CSc1cc(Br)ccc1CNCCC(C)(F)F ZINC000449354911 338617195 /nfs/dbraw/zinc/61/71/95/338617195.db2.gz SQIHUMZPWPWYSZ-UHFFFAOYSA-N 0 3 324.234 4.306 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CSc3ccccc32)s1 ZINC000449360263 338617631 /nfs/dbraw/zinc/61/76/31/338617631.db2.gz HVKWXEGQVVPLKE-GFCCVEGCSA-N 0 3 318.511 4.420 20 0 DIADHN CC(C)Cc1ncc(CNC[C@@H]2CSc3ccccc32)s1 ZINC000449365990 338618075 /nfs/dbraw/zinc/61/80/75/338618075.db2.gz QPVQRQJXYSRBGZ-CYBMUJFWSA-N 0 3 318.511 4.321 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@H]1CCn2ccnc21 ZINC000449370067 338618495 /nfs/dbraw/zinc/61/84/95/338618495.db2.gz IBIRLVNVJQQUQA-HIFRSBDPSA-N 0 3 324.255 4.246 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccnc(C)c1 ZINC000449400815 338620917 /nfs/dbraw/zinc/62/09/17/338620917.db2.gz DKIWGRFWHFIJSR-LCYFTJDESA-N 0 3 318.367 4.185 20 0 DIADHN Cc1csc(CNCc2cn(C)nc2C2CCCCC2)c1 ZINC000449473798 338628314 /nfs/dbraw/zinc/62/83/14/338628314.db2.gz CJYBIOFUNMWOGK-UHFFFAOYSA-N 0 3 303.475 4.128 20 0 DIADHN Cc1csc(CNCc2ccc(C)cc2-c2cnn(C)c2)c1 ZINC000449479553 338629146 /nfs/dbraw/zinc/62/91/46/338629146.db2.gz MJMZBKWQALTGAH-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN Clc1cccc(CNC[C@@H]2CCOC3(CCC3)C2)c1Cl ZINC000449479673 338629152 /nfs/dbraw/zinc/62/91/52/338629152.db2.gz VUALOVZFHRPFBY-GFCCVEGCSA-N 0 3 314.256 4.432 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1cnc(-c2cccs2)s1 ZINC000449491987 338630833 /nfs/dbraw/zinc/63/08/33/338630833.db2.gz IGPYGSIWEYNJKP-JTQLQIEISA-N 0 3 314.426 4.397 20 0 DIADHN Cc1ccc(OC(F)F)c(CNC[C@H]2CCCC2(F)F)c1 ZINC000449493212 338631010 /nfs/dbraw/zinc/63/10/10/338631010.db2.gz KTKFEIZNVIWJGA-GFCCVEGCSA-N 0 3 305.315 4.121 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@H]3CCCC3(F)F)s2)o1 ZINC000449497369 338631676 /nfs/dbraw/zinc/63/16/76/338631676.db2.gz RDFBACYYMWCMRT-LLVKDONJSA-N 0 3 312.385 4.237 20 0 DIADHN CCn1ccnc1[C@@H](C)NC1CCC(c2ccc(F)cc2)CC1 ZINC000449563031 338637961 /nfs/dbraw/zinc/63/79/61/338637961.db2.gz QMBLZRORTBPIOY-MXWWQKGMSA-N 0 3 315.436 4.419 20 0 DIADHN CCn1ccnc1[C@H](C)NC1CCC(c2ccc(F)cc2)CC1 ZINC000449563032 338638150 /nfs/dbraw/zinc/63/81/50/338638150.db2.gz QMBLZRORTBPIOY-NXVRBGIVSA-N 0 3 315.436 4.419 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@H]2c2ccccc2Cl)c2nccn21 ZINC000449570004 338638727 /nfs/dbraw/zinc/63/87/27/338638727.db2.gz IUEPRCRWUTWPQJ-UOJCXKCYSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@@H]1C[C@H](NCCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000449571733 338638817 /nfs/dbraw/zinc/63/88/17/338638817.db2.gz LYLDBPNVVYFYPO-ABAIWWIYSA-N 0 3 324.255 4.418 20 0 DIADHN C[C@@H]1C[C@H](NC2CC(c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449573308 338638998 /nfs/dbraw/zinc/63/89/98/338638998.db2.gz QKOXXAAGHWLFSW-SNBTVBLJSA-N 0 3 301.821 4.078 20 0 DIADHN CCCc1ncc(CN[C@H](C)CCc2ccc(F)cc2F)o1 ZINC000449609385 338640685 /nfs/dbraw/zinc/64/06/85/338640685.db2.gz BGZCOTLBKDMEBE-GFCCVEGCSA-N 0 3 308.372 4.016 20 0 DIADHN CCc1ncc(CN[C@@H](C)[C@H](C)c2nc3ccccc3s2)o1 ZINC000449610705 338640904 /nfs/dbraw/zinc/64/09/04/338640904.db2.gz RSSBBSOGBPGODS-RYUDHWBXSA-N 0 3 315.442 4.129 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3ncc(C(C)C)s3)C2)c1 ZINC000449616216 338641466 /nfs/dbraw/zinc/64/14/66/338641466.db2.gz CCNUJMYFXWAUQC-SHTZXODSSA-N 0 3 316.470 4.311 20 0 DIADHN COc1ccc(C)cc1CN[C@H]1CCO[C@H](c2cccs2)C1 ZINC000449619456 338641893 /nfs/dbraw/zinc/64/18/93/338641893.db2.gz CLNNDVSXBIITQE-RDJZCZTQSA-N 0 3 317.454 4.075 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000449629699 338642899 /nfs/dbraw/zinc/64/28/99/338642899.db2.gz UNTCUJDDPDQYRO-GDBMZVCRSA-N 0 3 305.418 4.206 20 0 DIADHN COc1cc(C)ccc1CN[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000449633743 338643181 /nfs/dbraw/zinc/64/31/81/338643181.db2.gz ZFPIPXXKICCOED-NVXWUHKLSA-N 0 3 317.454 4.075 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@H](C)[C@@H](N)c2ccccc2)c1 ZINC000162609641 338644091 /nfs/dbraw/zinc/64/40/91/338644091.db2.gz HAIWHVXFEHRGQQ-AUUYWEPGSA-N 0 3 310.441 4.086 20 0 DIADHN CN1CCC[C@H](NCc2cccc3[nH]ccc32)c2ccccc21 ZINC000449650913 338644342 /nfs/dbraw/zinc/64/43/42/338644342.db2.gz MIKSIPRAMUHLFW-IBGZPJMESA-N 0 3 305.425 4.229 20 0 DIADHN Cc1nocc1CN[C@H]1CCC[C@@H](c2ccc(Cl)cc2)C1 ZINC000449730815 338648295 /nfs/dbraw/zinc/64/82/95/338648295.db2.gz GHSSXHRBAZWDPH-PBHICJAKSA-N 0 3 304.821 4.452 20 0 DIADHN Cc1cccc(CN[C@H](c2cccs2)C2(CO)CCC2)c1 ZINC000449765069 338650938 /nfs/dbraw/zinc/65/09/38/338650938.db2.gz GDXZHIDFLKORPZ-QGZVFWFLSA-N 0 3 301.455 4.050 20 0 DIADHN CSc1cccc(C(=O)Nc2ccc(C)cc2CN(C)C)c1 ZINC000449862232 338656159 /nfs/dbraw/zinc/65/61/59/338656159.db2.gz WEPMKDRZZCAYDD-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CCc1cc(CCCN[C@H](C)c2ccc(F)cc2Cl)on1 ZINC000450669525 338697570 /nfs/dbraw/zinc/69/75/70/338697570.db2.gz CASIHFRNZHDPFO-LLVKDONJSA-N 0 3 310.800 4.313 20 0 DIADHN COc1cccc([C@H](C)N2CCC(F)(c3ccccn3)CC2)c1 ZINC000450928661 338712862 /nfs/dbraw/zinc/71/28/62/338712862.db2.gz HDRIAWOBCDQILT-HNNXBMFYSA-N 0 3 314.404 4.112 20 0 DIADHN FC1(c2cccnc2)CCN(CC/C=C/c2ccccc2)CC1 ZINC000450933977 338713142 /nfs/dbraw/zinc/71/31/42/338713142.db2.gz QMAYZCBGTJIRFJ-RUDMXATFSA-N 0 3 310.416 4.446 20 0 DIADHN CC(C)[C@@H](NCc1cccnc1N)c1ccc(C(C)(C)C)cc1 ZINC000450932950 338713184 /nfs/dbraw/zinc/71/31/84/338713184.db2.gz DHCWXDXXZOLRNR-GOSISDBHSA-N 0 3 311.473 4.448 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](C)c1cccc(C2CC2)c1 ZINC000451111936 338721556 /nfs/dbraw/zinc/72/15/56/338721556.db2.gz HZRBAPCCVWTMAH-OAHLLOKOSA-N 0 3 308.425 4.162 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccccc1F ZINC000451239459 338726892 /nfs/dbraw/zinc/72/68/92/338726892.db2.gz FZVQIFOAHKJUFZ-WOSRLPQWSA-N 0 3 305.418 4.458 20 0 DIADHN Cc1cncc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)c1 ZINC000451356671 338731017 /nfs/dbraw/zinc/73/10/17/338731017.db2.gz RSCBFXMZLPBAOD-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN FCC1CCN(Cc2cc(-c3ccc(Cl)cc3)no2)CC1 ZINC000451390341 338732301 /nfs/dbraw/zinc/73/23/01/338732301.db2.gz ZRZLSRVEZOSVNC-UHFFFAOYSA-N 0 3 308.784 4.177 20 0 DIADHN c1ccc(Oc2ccc(CN[C@H]3CCC34CCCC4)nn2)cc1 ZINC000451452156 338735138 /nfs/dbraw/zinc/73/51/38/338735138.db2.gz CFLZCZQSAGSJJB-KRWDZBQOSA-N 0 3 309.413 4.081 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](O)C1)c1cc(F)c(Cl)cc1Cl ZINC000163391899 338737383 /nfs/dbraw/zinc/73/73/83/338737383.db2.gz RFZUHOHMYBIZPX-AXFHLTTASA-N 0 3 320.235 4.334 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCN(C)c3ccccc32)c1 ZINC000451868456 338746720 /nfs/dbraw/zinc/74/67/20/338746720.db2.gz LZKUMPYXDCVXHI-KXBFYZLASA-N 0 3 310.441 4.317 20 0 DIADHN O=C(Nc1ccc2cc[nH]c2c1)c1cccc(CN2CCCC2)c1 ZINC000194819243 338762100 /nfs/dbraw/zinc/76/21/00/338762100.db2.gz VKIUWIZKHRVYIO-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](C)Cc1c(C)noc1C ZINC000194896378 338775623 /nfs/dbraw/zinc/77/56/23/338775623.db2.gz LAYXVUHXTKMJSI-NEPJUHHUSA-N 0 3 304.459 4.295 20 0 DIADHN COc1ccc(CN[C@H]2CC[C@@H](C)c3ccsc32)cc1OC ZINC000453053212 338787928 /nfs/dbraw/zinc/78/79/28/338787928.db2.gz FJUNMYXPFIMYIW-DOMZBBRYSA-N 0 3 317.454 4.494 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)c1cc(-c2ccccc2)nn1C ZINC000453060634 338788799 /nfs/dbraw/zinc/78/87/99/338788799.db2.gz RYHVQAGSUQEJEV-HOTGVXAUSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@@H]1C[C@H](NCCc2cccc(Cl)c2Cl)c2nccn21 ZINC000453072996 338790413 /nfs/dbraw/zinc/79/04/13/338790413.db2.gz AGYGLOLCWCUBIA-MFKMUULPSA-N 0 3 310.228 4.028 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccsc1)N(CC)CC)c1ccncc1 ZINC000453077591 338790868 /nfs/dbraw/zinc/79/08/68/338790868.db2.gz JTBSYFSQKGHHJG-MSOLQXFVSA-N 0 3 317.502 4.267 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1ccncn1 ZINC000453082313 338791533 /nfs/dbraw/zinc/79/15/33/338791533.db2.gz FTPVLCQPXMAAKU-CQSZACIVSA-N 0 3 315.848 4.293 20 0 DIADHN CCC(O)(CC)CN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453093841 338793007 /nfs/dbraw/zinc/79/30/07/338793007.db2.gz SCKKBSJDXNOSKG-OAHLLOKOSA-N 0 3 314.429 4.076 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCCCCOC1CCCCC1 ZINC000453130435 338797652 /nfs/dbraw/zinc/79/76/52/338797652.db2.gz WDULAQNBQHUEFQ-MRXNPFEDSA-N 0 3 307.482 4.073 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](CO)c2cccc(F)c2)c2sccc21 ZINC000453141035 338798768 /nfs/dbraw/zinc/79/87/68/338798768.db2.gz IYSMLVGMMJMKBO-XFBWCDHKSA-N 0 3 305.418 4.149 20 0 DIADHN CC[C@@H](N[C@H]1CCN(c2ccccc2OC)C1)c1ccsc1 ZINC000453145203 338799383 /nfs/dbraw/zinc/79/93/83/338799383.db2.gz RVCONNKSFKMOJI-JKSUJKDBSA-N 0 3 316.470 4.076 20 0 DIADHN CC[C@@H](N[C@H](CCC(=O)OC)c1ccccc1)c1ccsc1 ZINC000453151045 338800166 /nfs/dbraw/zinc/80/01/66/338800166.db2.gz AGNGPFABORGDDV-IAGOWNOFSA-N 0 3 317.454 4.483 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1ncoc1-c1ccccc1 ZINC000453156658 338800785 /nfs/dbraw/zinc/80/07/85/338800785.db2.gz ZZJIAQQNTKLEFU-MRXNPFEDSA-N 0 3 321.424 4.238 20 0 DIADHN Clc1ccccc1C[C@@H](N[C@@H]1CCOC1)c1ccsc1 ZINC000453179673 338803505 /nfs/dbraw/zinc/80/35/05/338803505.db2.gz VBXLLARDXADVNU-GDBMZVCRSA-N 0 3 307.846 4.064 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1ncc(-c2ccccc2)n1C ZINC000453200532 338806891 /nfs/dbraw/zinc/80/68/91/338806891.db2.gz ZDWGLEFRQRLXAX-GOEBONIOSA-N 0 3 315.486 4.023 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(C)(C)c1cccc(Cl)c1F ZINC000453202183 338807174 /nfs/dbraw/zinc/80/71/74/338807174.db2.gz SYHUDHBEZCUIDI-GFCCVEGCSA-N 0 3 323.843 4.324 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H]2CCCc3nn(C)cc32)c2sccc21 ZINC000453204464 338807493 /nfs/dbraw/zinc/80/74/93/338807493.db2.gz BUSQNAUMYNDBEZ-DJSGYFEHSA-N 0 3 301.459 4.087 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1ccc(Oc2ccccc2)cn1 ZINC000453208220 338808000 /nfs/dbraw/zinc/80/80/00/338808000.db2.gz BLFYOMRHDZLEQI-GVXRKXQCSA-N 0 3 324.424 4.092 20 0 DIADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453219320 338809534 /nfs/dbraw/zinc/80/95/34/338809534.db2.gz QMYOSWXQOZTXJW-KGLIPLIRSA-N 0 3 319.399 4.173 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453219330 338809590 /nfs/dbraw/zinc/80/95/90/338809590.db2.gz QMYOSWXQOZTXJW-ZIAGYGMSSA-N 0 3 319.399 4.173 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCCc3c(F)cccc32)cn1 ZINC000453222734 338809970 /nfs/dbraw/zinc/80/99/70/338809970.db2.gz ARKRBKGGVQZVOW-ACJLOTCBSA-N 0 3 301.409 4.331 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@H]1CCn2ccnc21 ZINC000453224239 338810139 /nfs/dbraw/zinc/81/01/39/338810139.db2.gz MKRKBGVLBUUXLI-WMZOPIPTSA-N 0 3 317.864 4.228 20 0 DIADHN COc1cc(OC)c([C@H](C)NCCCC(C)(F)F)cc1Cl ZINC000453223866 338810345 /nfs/dbraw/zinc/81/03/45/338810345.db2.gz BPSYMDYAUILMED-JTQLQIEISA-N 0 3 321.795 4.443 20 0 DIADHN CC[C@H](NC[C@H]1CSc2ccccc21)c1ccc(F)cn1 ZINC000453226333 338810664 /nfs/dbraw/zinc/81/06/64/338810664.db2.gz XPZJRUXAWAJLAI-WFASDCNBSA-N 0 3 302.418 4.151 20 0 DIADHN C[C@H](NC1CC(C)(F)C1)c1cc(Br)ccc1F ZINC000453230908 338811283 /nfs/dbraw/zinc/81/12/83/338811283.db2.gz FJRKCPUFMZXIHH-LUHBLDKBSA-N 0 3 304.178 4.129 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccncn1 ZINC000453231407 338811444 /nfs/dbraw/zinc/81/14/44/338811444.db2.gz YDGWEJUUOHPNHJ-UXIGCNINSA-N 0 3 321.346 4.092 20 0 DIADHN CC(F)(F)CCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000453238551 338812512 /nfs/dbraw/zinc/81/25/12/338812512.db2.gz QPXDXOZZYBQJKE-MRXNPFEDSA-N 0 3 308.347 4.335 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@H](CC)COCC(F)(F)F ZINC000453252504 338814463 /nfs/dbraw/zinc/81/44/63/338814463.db2.gz GZDJSCIWPFFBQP-CHWSQXEVSA-N 0 3 319.367 4.093 20 0 DIADHN Cc1c([C@H](C)NC[C@@H]2CCCCC2(F)F)cnn1C(C)(C)C ZINC000453253573 338814632 /nfs/dbraw/zinc/81/46/32/338814632.db2.gz QAIOYOVPLYAEFS-JSGCOSHPSA-N 0 3 313.436 4.423 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1C[C@@H](C)Oc3ccccc31)CC2 ZINC000453268369 338816507 /nfs/dbraw/zinc/81/65/07/338816507.db2.gz JTLOLCYWDACBQP-UPRAQXHNSA-N 0 3 309.409 4.184 20 0 DIADHN CC[C@H](N[C@H](C)c1cc2cc(Cl)ccc2o1)[C@H](O)C(F)F ZINC000453268549 338816515 /nfs/dbraw/zinc/81/65/15/338816515.db2.gz KNGYHCIVANNWNI-YKHWKNEFSA-N 0 3 317.763 4.141 20 0 DIADHN CO[C@@H](CN[C@H](C)c1nccc2ccccc21)Cc1ccccc1 ZINC000453268288 338816573 /nfs/dbraw/zinc/81/65/73/338816573.db2.gz ODYFHQCJNQIPRK-VQIMIIECSA-N 0 3 320.436 4.143 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Cl)c(Cl)c1)[C@@H](O)C(F)F ZINC000453273785 338817106 /nfs/dbraw/zinc/81/71/06/338817106.db2.gz VBVCHQOQQOPSIS-VSYUYLJXSA-N 0 3 312.187 4.049 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1ccnc(C)c1 ZINC000453285559 338818689 /nfs/dbraw/zinc/81/86/89/338818689.db2.gz JKJLLYDWQXPREO-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1ncc(C(F)(F)F)cn1 ZINC000453290060 338819319 /nfs/dbraw/zinc/81/93/19/338819319.db2.gz AIHHONTVPZRYSP-QWHCGFSZSA-N 0 3 315.383 4.190 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)c(Cl)c1)c1ccncc1 ZINC000453298131 338820424 /nfs/dbraw/zinc/82/04/24/338820424.db2.gz UGMLZAZVKVOQHA-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc2ccccc2n1)Cc1ccccc1 ZINC000453297653 338820474 /nfs/dbraw/zinc/82/04/74/338820474.db2.gz VAGAJIXUFPLMEO-LPHOPBHVSA-N 0 3 320.436 4.143 20 0 DIADHN C[C@H](NC[C@@H]1CCOC2(CCC2)C1)c1ccc(F)cc1Cl ZINC000453316189 338823420 /nfs/dbraw/zinc/82/34/20/338823420.db2.gz JTZNWPNWBOMGIQ-QWHCGFSZSA-N 0 3 311.828 4.479 20 0 DIADHN CC[C@H](NCC1(CF)CCOCC1)c1cccc(Cl)c1F ZINC000453316482 338823488 /nfs/dbraw/zinc/82/34/88/338823488.db2.gz WYAZKCIUUWSGMD-AWEZNQCLSA-N 0 3 317.807 4.286 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(C)cs1)CCCO2 ZINC000453320196 338824325 /nfs/dbraw/zinc/82/43/25/338824325.db2.gz OPGBQMXRGUFSJQ-INIZCTEOSA-N 0 3 303.427 4.069 20 0 DIADHN C[C@@H](N[C@H](c1ccc(F)c(Cl)c1)C1CC1)c1cnn(C)c1 ZINC000453321730 338824501 /nfs/dbraw/zinc/82/45/01/338824501.db2.gz OPVIRBOEGDRWLH-HWPZZCPQSA-N 0 3 307.800 4.015 20 0 DIADHN COc1ccccc1[C@H](NCc1cnccc1C)C1CCCC1 ZINC000453325371 338825268 /nfs/dbraw/zinc/82/52/68/338825268.db2.gz MBCOFHLGMSWAIP-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1c([C@@H](C)NC2(Cc3ccc(F)cc3)CC2)cnn1C(C)C ZINC000453334669 338826554 /nfs/dbraw/zinc/82/65/54/338826554.db2.gz CPENFPZRMVIEKA-CQSZACIVSA-N 0 3 315.436 4.337 20 0 DIADHN CCn1ccnc1CN[C@@H](C)CC(C)(C)c1c[nH]c2ccccc21 ZINC000453337552 338826993 /nfs/dbraw/zinc/82/69/93/338826993.db2.gz YRWZEAJEJFIXJQ-HNNXBMFYSA-N 0 3 324.472 4.230 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccc2c(c1)COC2 ZINC000453338351 338827215 /nfs/dbraw/zinc/82/72/15/338827215.db2.gz BNPHFGOLLZVYOS-FOIQADDNSA-N 0 3 322.452 4.339 20 0 DIADHN CCC[C@@H](N[C@H]1C[C@H](c2cccc(OC)c2)C1)c1ccccn1 ZINC000453345873 338828471 /nfs/dbraw/zinc/82/84/71/338828471.db2.gz DWDKQAXQYHJESP-MBOZVWFJSA-N 0 3 310.441 4.467 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCN(C)c3ccccc31)CC2 ZINC000453353367 338829848 /nfs/dbraw/zinc/82/98/48/338829848.db2.gz HTCYBOZWDDICKC-WOJBJXKFSA-N 0 3 322.452 4.243 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H]1CCCN(C)c2ccccc21 ZINC000453355341 338830466 /nfs/dbraw/zinc/83/04/66/338830466.db2.gz IKISSMLBGRKDRX-HIFRSBDPSA-N 0 3 316.470 4.379 20 0 DIADHN CC(C)C[C@H](NCC(C)(C)C1(O)CCCC1)c1ccccn1 ZINC000453359363 338831208 /nfs/dbraw/zinc/83/12/08/338831208.db2.gz AGWVNWFIRULPKH-KRWDZBQOSA-N 0 3 304.478 4.090 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCCN(C)c2ccccc21 ZINC000453366631 338832549 /nfs/dbraw/zinc/83/25/49/338832549.db2.gz MDGYOPRIWCSCAI-RTBURBONSA-N 0 3 322.452 4.243 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H](Cc1ccccc1Cl)C1CC1 ZINC000453372032 338833464 /nfs/dbraw/zinc/83/34/64/338833464.db2.gz WMFUREQDUWWCMV-DYVFJYSZSA-N 0 3 317.864 4.228 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H]1C[C@@H](c2cccc(OC)c2)C1 ZINC000453371706 338833542 /nfs/dbraw/zinc/83/35/42/338833542.db2.gz OALDNBBJIREIGN-BPLDGKMQSA-N 0 3 317.454 4.362 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1cnc(C)cc1C ZINC000453374703 338834048 /nfs/dbraw/zinc/83/40/48/338834048.db2.gz TXJJZZKYOGETGM-IBGZPJMESA-N 0 3 310.441 4.219 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H](c2ccncc2)C1)c1ccccc1Cl ZINC000453375049 338834092 /nfs/dbraw/zinc/83/40/92/338834092.db2.gz XHJLMGWHVWUHRP-DHSIGJKJSA-N 0 3 316.832 4.306 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cccc(OC(F)(F)F)c2)cn1 ZINC000453379366 338834866 /nfs/dbraw/zinc/83/48/66/338834866.db2.gz WBSSFBDLINATDQ-CYBMUJFWSA-N 0 3 324.346 4.448 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1C[C@H](c2cccc(OC)c2)C1 ZINC000453382688 338835540 /nfs/dbraw/zinc/83/55/40/338835540.db2.gz SXEUGBYQPJULLF-WBAXXEDZSA-N 0 3 310.441 4.249 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H](CC)[C@H](O)CC)oc21 ZINC000453389406 338836655 /nfs/dbraw/zinc/83/66/55/338836655.db2.gz XNPSONGKZOIYRX-NWANDNLSSA-N 0 3 305.418 4.032 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C(C)(C)CO)c(C)c1 ZINC000453570522 338848441 /nfs/dbraw/zinc/84/84/41/338848441.db2.gz AKZGXVYFIHNODC-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN FC1(F)CC(CCNCc2sccc2Br)C1 ZINC000453582148 338849044 /nfs/dbraw/zinc/84/90/44/338849044.db2.gz BLBGYRBBCVXGEC-UHFFFAOYSA-N 0 3 310.207 4.036 20 0 DIADHN COc1cccc(CNCCC2CC(F)(F)C2)c1OC(C)C ZINC000453589120 338849464 /nfs/dbraw/zinc/84/94/64/338849464.db2.gz MJBPPNSMTPIXTJ-UHFFFAOYSA-N 0 3 313.388 4.007 20 0 DIADHN CC[C@@H](C)NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000453589431 338849594 /nfs/dbraw/zinc/84/95/94/338849594.db2.gz XFGVKOKTLKLDQO-CYBMUJFWSA-N 0 3 304.821 4.202 20 0 DIADHN CC[C@@]1(C(C)C)C[C@H]1NCc1cnc(-c2ccccn2)s1 ZINC000453606209 338850316 /nfs/dbraw/zinc/85/03/16/338850316.db2.gz FKHLLEORKNDQRL-WBVHZDCISA-N 0 3 301.459 4.119 20 0 DIADHN CC[C@]1(C(C)C)C[C@@H]1NCc1cnc(-c2ccccn2)s1 ZINC000453606204 338850468 /nfs/dbraw/zinc/85/04/68/338850468.db2.gz FKHLLEORKNDQRL-DOTOQJQBSA-N 0 3 301.459 4.119 20 0 DIADHN CCC(C)(C)OCCNCc1c[nH]nc1-c1cccc(Cl)c1 ZINC000453617841 338851006 /nfs/dbraw/zinc/85/10/06/338851006.db2.gz RLMIZHAIGNMEON-UHFFFAOYSA-N 0 3 321.852 4.025 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1cc(Br)ccc1F ZINC000164079022 338880716 /nfs/dbraw/zinc/88/07/16/338880716.db2.gz BLAFMSAZQOMMTB-MWLCHTKSSA-N 0 3 320.271 4.380 20 0 DIADHN CC(C)CCOCCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000195712457 338914495 /nfs/dbraw/zinc/91/44/95/338914495.db2.gz AACXCCVAUXPUEN-UHFFFAOYSA-N 0 3 305.393 4.238 20 0 DIADHN CCSCc1ccc(NC(=O)[C@H](C)NC(C)(C)CC)cc1 ZINC000456482893 338931572 /nfs/dbraw/zinc/93/15/72/338931572.db2.gz FVONJAWRKJMFRI-ZDUSSCGKSA-N 0 3 308.491 4.045 20 0 DIADHN CC(C)c1cccc(CC(=O)Nc2cccc(CN(C)C)c2)c1 ZINC000457427438 338963227 /nfs/dbraw/zinc/96/32/27/338963227.db2.gz BYAHALQGQHPIRW-UHFFFAOYSA-N 0 3 310.441 4.053 20 0 DIADHN Cc1cc(NC(=O)c2csc(Cl)c2)ccc1CN(C)C ZINC000458265058 338993850 /nfs/dbraw/zinc/99/38/50/338993850.db2.gz MVPPAHNRVHSVNE-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1cc(NC(=O)c2ccc(C(F)F)cc2)ccc1CN(C)C ZINC000458269050 338994090 /nfs/dbraw/zinc/99/40/90/338994090.db2.gz GRPUAABROAUOIM-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN Cc1ccc(OC2CCN(C3CC(OC(C)C)C3)CC2)cc1C ZINC000459304057 339020517 /nfs/dbraw/zinc/02/05/17/339020517.db2.gz OULRDPLMBLKMOC-UHFFFAOYSA-N 0 3 317.473 4.103 20 0 DIADHN CCOc1ccccc1C1=CCN(C2CC(OC(C)C)C2)CC1 ZINC000459319669 339021460 /nfs/dbraw/zinc/02/14/60/339021460.db2.gz DJJWMDRLVQIEQJ-UHFFFAOYSA-N 0 3 315.457 4.130 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NCc2cnnn2CC)C(C)C)cc1 ZINC000459342394 339023206 /nfs/dbraw/zinc/02/32/06/339023206.db2.gz FARWYGHGIFLLIK-BEFAXECRSA-N 0 3 314.477 4.298 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NCc2cnnn2CC)C(C)C)cc1 ZINC000459342399 339023233 /nfs/dbraw/zinc/02/32/33/339023233.db2.gz FARWYGHGIFLLIK-HNAYVOBHSA-N 0 3 314.477 4.298 20 0 DIADHN c1cc(C2CC2)ccc1CN1CCC[C@H](c2noc(C3CC3)n2)C1 ZINC000459355418 339024018 /nfs/dbraw/zinc/02/40/18/339024018.db2.gz MUEAGDDBMPDIJH-SFHVURJKSA-N 0 3 323.440 4.204 20 0 DIADHN c1cn(Cc2ccccc2CNCc2ccc(C3CC3)cc2)cn1 ZINC000459359975 339024455 /nfs/dbraw/zinc/02/44/55/339024455.db2.gz CRMWDTJTFKXSNQ-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN c1cn(Cc2cccc(CNCc3ccc(C4CC4)cc3)c2)cn1 ZINC000459365693 339024875 /nfs/dbraw/zinc/02/48/75/339024875.db2.gz MCSRNBGPTHQSAI-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN CC[C@](C)(NCC1CCC(F)(F)CC1)c1nc(C)cs1 ZINC000459383559 339026014 /nfs/dbraw/zinc/02/60/14/339026014.db2.gz GDEIOPJTMCZUGH-AWEZNQCLSA-N 0 3 302.434 4.492 20 0 DIADHN CCc1ccc([C@H](NCc2cnc(C3CC3)nc2)C(C)C)cc1 ZINC000459385307 339026220 /nfs/dbraw/zinc/02/62/20/339026220.db2.gz XIVSVECIFKSYIA-LJQANCHMSA-N 0 3 309.457 4.403 20 0 DIADHN CCOc1cc(CN[C@@]2(C)CCCc3ccccc32)ccc1O ZINC000459414794 339027947 /nfs/dbraw/zinc/02/79/47/339027947.db2.gz OLFNHANHEJCUFB-FQEVSTJZSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@H](NCCOCC1CCCC1)c1ccc2ncsc2c1 ZINC000459423726 339028264 /nfs/dbraw/zinc/02/82/64/339028264.db2.gz IBCVPIFCBZOWFI-ZDUSSCGKSA-N 0 3 304.459 4.154 20 0 DIADHN Cc1cc(CNC[C@@H](Cc2ccccc2)c2ccccc2)no1 ZINC000459430852 339028768 /nfs/dbraw/zinc/02/87/68/339028768.db2.gz RMBNPNFDHFRQSZ-LJQANCHMSA-N 0 3 306.409 4.099 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cnc(C2CC2)nc1 ZINC000459450927 339029974 /nfs/dbraw/zinc/02/99/74/339029974.db2.gz PQWGKAAUPDXPKR-INIZCTEOSA-N 0 3 313.470 4.015 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cnc(C2CC2)nc1 ZINC000459450930 339030035 /nfs/dbraw/zinc/03/00/35/339030035.db2.gz PQWGKAAUPDXPKR-MRXNPFEDSA-N 0 3 313.470 4.015 20 0 DIADHN c1cc(CNCc2ccc(C3CC3)cc2)cc(N2CCCCC2)n1 ZINC000459464061 339030597 /nfs/dbraw/zinc/03/05/97/339030597.db2.gz LQILWRUKYKOSKT-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2ccc(C3CC3)cc2)cn1 ZINC000459467537 339030843 /nfs/dbraw/zinc/03/08/43/339030843.db2.gz QLGLSXUTEBDXNV-UHFFFAOYSA-N 0 3 317.436 4.254 20 0 DIADHN Fc1ccccc1-c1csc(CNC2CC3(CCC3)C2)n1 ZINC000459498276 339032724 /nfs/dbraw/zinc/03/27/24/339032724.db2.gz BPLSLZMISUIPBL-UHFFFAOYSA-N 0 3 302.418 4.372 20 0 DIADHN Clc1cccc(C2CCN(CCc3cn[nH]c3)CC2)c1Cl ZINC000459499082 339032806 /nfs/dbraw/zinc/03/28/06/339032806.db2.gz LXCNSVKDOMHBDM-UHFFFAOYSA-N 0 3 324.255 4.139 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@H]1CC12CCCC2 ZINC000459504950 339032973 /nfs/dbraw/zinc/03/29/73/339032973.db2.gz JGMYXKXMZYOGES-HNNXBMFYSA-N 0 3 301.459 4.004 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@@H]1CC12CCCC2 ZINC000459504951 339033105 /nfs/dbraw/zinc/03/31/05/339033105.db2.gz JGMYXKXMZYOGES-OAHLLOKOSA-N 0 3 301.459 4.004 20 0 DIADHN CCOc1cc(CN(CC)Cc2c[nH]c3ccccc23)ccc1O ZINC000459516480 339033716 /nfs/dbraw/zinc/03/37/16/339033716.db2.gz VKDAEXPNKNEINI-UHFFFAOYSA-N 0 3 324.424 4.294 20 0 DIADHN c1cc(COc2ccc(CN3CC4(C3)CCCC4)cc2)ccn1 ZINC000459556393 339035494 /nfs/dbraw/zinc/03/54/94/339035494.db2.gz GDLWQBRAVFRDEE-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC2(C1)CCCC2 ZINC000459555864 339035527 /nfs/dbraw/zinc/03/55/27/339035527.db2.gz FCIVZWABJMRFSV-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000459573252 339036845 /nfs/dbraw/zinc/03/68/45/339036845.db2.gz RYINCWCTNMDYDI-ZRGWGRIASA-N 0 3 307.441 4.121 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1nnc(-c2ccccc2Cl)o1 ZINC000459607319 339038345 /nfs/dbraw/zinc/03/83/45/339038345.db2.gz GGVHOTVMUATBOE-SJCJKPOMSA-N 0 3 319.836 4.448 20 0 DIADHN Cc1cc(-c2nnc(CN[C@]3(C)CCCC[C@@H]3C)o2)c(C)o1 ZINC000459608035 339038378 /nfs/dbraw/zinc/03/83/78/339038378.db2.gz HNIFZYFXOUBNAN-APPDUMDISA-N 0 3 303.406 4.005 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@@H](C)c1nc2ccccc2o1 ZINC000459630229 339039133 /nfs/dbraw/zinc/03/91/33/339039133.db2.gz AOTSHUCPHWCIPX-CABCVRRESA-N 0 3 309.413 4.285 20 0 DIADHN CC(C)c1cnc(CN[C@H](C)CCCc2cccnc2)s1 ZINC000459650789 339040574 /nfs/dbraw/zinc/04/05/74/339040574.db2.gz OAUCKWFEQDVFRP-CQSZACIVSA-N 0 3 303.475 4.163 20 0 DIADHN C[C@H](NCC1(Cc2cccc(Cl)c2)CC1)c1ccccn1 ZINC000459664495 339041465 /nfs/dbraw/zinc/04/14/65/339041465.db2.gz BNHYNQBCWZHUQQ-AWEZNQCLSA-N 0 3 300.833 4.409 20 0 DIADHN Cc1ncc(CN[C@H](CCc2ccccc2)Cc2ccccc2)o1 ZINC000459669110 339041891 /nfs/dbraw/zinc/04/18/91/339041891.db2.gz CYJNQHKEFNEWTN-HXUWFJFHSA-N 0 3 320.436 4.317 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1cnc(-c2ccsc2)s1 ZINC000459669214 339041978 /nfs/dbraw/zinc/04/19/78/339041978.db2.gz DHABHIKVJSCAQA-CQSZACIVSA-N 0 3 322.499 4.263 20 0 DIADHN CN(Cc1nc(-c2ccoc2)no1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000459675802 339042552 /nfs/dbraw/zinc/04/25/52/339042552.db2.gz NAEJKNOTFKLYJX-DLBZAZTESA-N 0 3 323.396 4.098 20 0 DIADHN Cc1ncc(CNCCCCc2ccc(Cl)c(Cl)c2)o1 ZINC000459680076 339042775 /nfs/dbraw/zinc/04/27/75/339042775.db2.gz UTGSWWDDWXGWCK-UHFFFAOYSA-N 0 3 313.228 4.402 20 0 DIADHN Cc1noc(C)c1CNCC1(Cc2cccc(Cl)c2)CC1 ZINC000459715860 339044042 /nfs/dbraw/zinc/04/40/42/339044042.db2.gz PPBJQMBONWXHRI-UHFFFAOYSA-N 0 3 304.821 4.057 20 0 DIADHN C[C@@H](N[C@H]1CC=C(c2ccc(Cl)cc2)CC1)c1ccn(C)n1 ZINC000459739904 339045456 /nfs/dbraw/zinc/04/54/56/339045456.db2.gz AZBGXBMZKFIDPS-DYVFJYSZSA-N 0 3 315.848 4.360 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)[C@@H](C)Cc1cccc(F)c1 ZINC000459760159 339046786 /nfs/dbraw/zinc/04/67/86/339046786.db2.gz JRVNKIHMJAZTGX-ZDUSSCGKSA-N 0 3 304.409 4.309 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccc(Cl)cc2)C1)c1ccn(C)n1 ZINC000459761885 339046894 /nfs/dbraw/zinc/04/68/94/339046894.db2.gz NEDWCNFLOIQCGI-NOLJZWGESA-N 0 3 303.837 4.060 20 0 DIADHN C[C@]1(NCc2csc(COc3ccccc3)n2)CC=CCC1 ZINC000459772146 339047595 /nfs/dbraw/zinc/04/75/95/339047595.db2.gz UIXKSRQLUHZWCT-SFHVURJKSA-N 0 3 314.454 4.311 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CCC[C@@H]4C[C@@H]43)cs2)cc1 ZINC000459777525 339047959 /nfs/dbraw/zinc/04/79/59/339047959.db2.gz WBXDYVZCIALZIW-KCXAZCMYSA-N 0 3 302.418 4.227 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000459794013 339049000 /nfs/dbraw/zinc/04/90/00/339049000.db2.gz WAKWVJUBXHJDHA-KCXAZCMYSA-N 0 3 314.454 4.097 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2cc(C)oc2C)o1)C1CCC1 ZINC000459811921 339049546 /nfs/dbraw/zinc/04/95/46/339049546.db2.gz VIVHGIQWZFDVEK-OAHLLOKOSA-N 0 3 303.406 4.005 20 0 DIADHN C[C@H](NC[C@H]1CCN(c2ccccc2F)C1)c1ccccc1F ZINC000461053945 339058422 /nfs/dbraw/zinc/05/84/22/339058422.db2.gz RATLAIMQZCIDDV-LSDHHAIUSA-N 0 3 316.395 4.142 20 0 DIADHN C[C@H](NCc1c2c(nn1C)CCCC2)c1ccc2ccccc2c1 ZINC000461083984 339058823 /nfs/dbraw/zinc/05/88/23/339058823.db2.gz GQALXHHVPWDUOV-HNNXBMFYSA-N 0 3 319.452 4.303 20 0 DIADHN CC[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1cccc(Cl)c1F ZINC000461084517 339058971 /nfs/dbraw/zinc/05/89/71/339058971.db2.gz DYQHNRBWHHZZDM-WXYCVUISSA-N 0 3 311.828 4.333 20 0 DIADHN CC[C@@H](C(=O)N(C)[C@H](C)C1CCN(C)CC1)C1CCC(C)CC1 ZINC000461110762 339059578 /nfs/dbraw/zinc/05/95/78/339059578.db2.gz RSULXHQASZQZIG-JGJLBUBWSA-N 0 3 322.537 4.028 20 0 DIADHN FC(F)c1ccc(CN2CCN(C3CCCCC3)CC2)cc1 ZINC000461396949 339062995 /nfs/dbraw/zinc/06/29/95/339062995.db2.gz CIFNZGWSOWOPHQ-UHFFFAOYSA-N 0 3 308.416 4.075 20 0 DIADHN CN(Cc1ccc(C(F)F)cc1)C[C@H]1OCCc2ccccc21 ZINC000461397112 339063018 /nfs/dbraw/zinc/06/30/18/339063018.db2.gz DIIYXMYFWKQITM-GOSISDBHSA-N 0 3 317.379 4.370 20 0 DIADHN CCCC[C@H](C)N(C)C(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000461426505 339063724 /nfs/dbraw/zinc/06/37/24/339063724.db2.gz ORDURNOPJPYIGH-INIZCTEOSA-N 0 3 316.489 4.322 20 0 DIADHN Fc1ccc(Oc2ccccc2CN[C@@H]2CCOC2)c(Cl)c1 ZINC000461433985 339063787 /nfs/dbraw/zinc/06/37/87/339063787.db2.gz RZUXXJXKNJCENU-CQSZACIVSA-N 0 3 321.779 4.150 20 0 DIADHN Cc1ccc(CCN(CCc2cccnc2)Cc2ccco2)cc1 ZINC000461768754 339066862 /nfs/dbraw/zinc/06/68/62/339066862.db2.gz GAYKYBJKHRXLAU-UHFFFAOYSA-N 0 3 320.436 4.270 20 0 DIADHN c1ccc2c(c1)nc(CN[C@@H]1CCc3ccccc3C1)n2C1CC1 ZINC000462065968 339071696 /nfs/dbraw/zinc/07/16/96/339071696.db2.gz FWAIBLXUXBGQPL-QGZVFWFLSA-N 0 3 317.436 4.018 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CCCc3ccncc3)nc2c1 ZINC000462335361 339076040 /nfs/dbraw/zinc/07/60/40/339076040.db2.gz PCWJLDOEFXIYMJ-GOSISDBHSA-N 0 3 321.424 4.383 20 0 DIADHN CCCNC(=O)[C@@H](C)N[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462359579 339076717 /nfs/dbraw/zinc/07/67/17/339076717.db2.gz MYABQCGEUGOZLD-CHWSQXEVSA-N 0 3 318.848 4.059 20 0 DIADHN C[C@@H](CNc1ccnc2ccsc21)N1CCc2ccccc2C1 ZINC000462370741 339077180 /nfs/dbraw/zinc/07/71/80/339077180.db2.gz RFACLSVFSOSCKR-AWEZNQCLSA-N 0 3 323.465 4.155 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1NCc1cccc2nccn21 ZINC000462538447 339080797 /nfs/dbraw/zinc/08/07/97/339080797.db2.gz NVFORCSZAFPIIG-RXVVDRJESA-N 0 3 319.452 4.384 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@@H]1NCc1cccc2nccn21 ZINC000462538448 339080853 /nfs/dbraw/zinc/08/08/53/339080853.db2.gz NVFORCSZAFPIIG-WIYYLYMNSA-N 0 3 319.452 4.384 20 0 DIADHN Cc1cccc2ncc(CN[C@H]3c4ccccc4CCC[C@H]3C)n21 ZINC000462543147 339080949 /nfs/dbraw/zinc/08/09/49/339080949.db2.gz RFAQRKCLISMVKU-QVKFZJNVSA-N 0 3 319.452 4.446 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3c4ccccc4CCC[C@@H]3C)n2c1 ZINC000462543637 339081027 /nfs/dbraw/zinc/08/10/27/339081027.db2.gz UESXRJTTYWKPBV-KKSFZXQISA-N 0 3 319.452 4.446 20 0 DIADHN COc1cc(C)nc(CN[C@@H]2c3ccccc3CCC[C@@H]2C)c1 ZINC000462545568 339081102 /nfs/dbraw/zinc/08/11/02/339081102.db2.gz XQRBGXPCUQMVJQ-XOBRGWDASA-N 0 3 310.441 4.202 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1ccc2c(c1)ncn2C ZINC000462545383 339081118 /nfs/dbraw/zinc/08/11/18/339081118.db2.gz BXDRPXYHFYCWRB-YCRPNKLZSA-N 0 3 319.452 4.377 20 0 DIADHN Cc1ccoc1CNCC(C)(C)c1ccc(Br)cc1 ZINC000462676943 339083906 /nfs/dbraw/zinc/08/39/06/339083906.db2.gz OPFNYQAUUZDHHW-UHFFFAOYSA-N 0 3 322.246 4.418 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CN[C@@H](C)Cn3ccnc3)cc2)c1 ZINC000462676171 339083964 /nfs/dbraw/zinc/08/39/64/339083964.db2.gz NKOQKNJMVYACHA-SFHVURJKSA-N 0 3 319.452 4.345 20 0 DIADHN COc1ccc(CNCCO[C@@H]2CCCC[C@H]2C)cc1Cl ZINC000462684621 339084100 /nfs/dbraw/zinc/08/41/00/339084100.db2.gz QYQYNRFOKZUKPC-CZUORRHYSA-N 0 3 311.853 4.034 20 0 DIADHN CC(C)(C)OC(=O)CCNCc1cccc(-c2ccccc2)c1 ZINC000462725500 339084976 /nfs/dbraw/zinc/08/49/76/339084976.db2.gz MXUDQJCIVZCSLO-UHFFFAOYSA-N 0 3 311.425 4.175 20 0 DIADHN CC(C)Oc1cccc(CNC[C@H](C)Oc2cccc(F)c2)c1 ZINC000462732958 339085371 /nfs/dbraw/zinc/08/53/71/339085371.db2.gz FSGYPFPESLGWQP-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN COC(C)(C)CNCc1cccc(OCC2CCCCC2)c1 ZINC000462736689 339085660 /nfs/dbraw/zinc/08/56/60/339085660.db2.gz UGFRVDTYBQSBGJ-UHFFFAOYSA-N 0 3 305.462 4.160 20 0 DIADHN CSc1ccc(CNC[C@@H](C)Oc2cccc(F)c2)cc1 ZINC000462737123 339085803 /nfs/dbraw/zinc/08/58/03/339085803.db2.gz PFZVMXBJUYEZSF-CYBMUJFWSA-N 0 3 305.418 4.105 20 0 DIADHN COc1ccc(OC)c(CN[C@H](C)Cc2cc(C)cc(C)c2)c1 ZINC000462738052 339086092 /nfs/dbraw/zinc/08/60/92/339086092.db2.gz XKXJKKUOQGACDR-MRXNPFEDSA-N 0 3 313.441 4.041 20 0 DIADHN C[C@@H](CCc1cccn1C)NCc1ccc(Cl)cc1Cl ZINC000462739954 339086128 /nfs/dbraw/zinc/08/61/28/339086128.db2.gz PNKCJFVIKFYGQY-LBPRGKRZSA-N 0 3 311.256 4.443 20 0 DIADHN CO[C@@H](CNC/C=C\c1ccccc1)c1ccc(Cl)cc1 ZINC000462740445 339086155 /nfs/dbraw/zinc/08/61/55/339086155.db2.gz HUWVNPWRAYNXIP-MTIYJEKLSA-N 0 3 301.817 4.331 20 0 DIADHN C[C@@H](CNCc1cc(F)ccc1F)Oc1ccc(Cl)cc1 ZINC000462742800 339086282 /nfs/dbraw/zinc/08/62/82/339086282.db2.gz BLBKGDUHWRJWCW-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN COc1cccc(O[C@H](C)CNCc2ccc(Cl)c(F)c2)c1 ZINC000462741155 339086340 /nfs/dbraw/zinc/08/63/40/339086340.db2.gz SKQUVTXAIUSZND-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC000462753935 339087048 /nfs/dbraw/zinc/08/70/48/339087048.db2.gz KAPGNESCUKUARS-YLJYHZDGSA-N 0 3 324.443 4.147 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000462759842 339087330 /nfs/dbraw/zinc/08/73/30/339087330.db2.gz JCAHODQOLCFIEB-WOJBJXKFSA-N 0 3 309.457 4.239 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC000462762024 339087359 /nfs/dbraw/zinc/08/73/59/339087359.db2.gz JOAVAENIMVTJLQ-RHSMWYFYSA-N 0 3 301.817 4.069 20 0 DIADHN c1ccc(C[C@H]2C[C@@H]2NCc2ccccc2OCC2CC2)cc1 ZINC000462763123 339087539 /nfs/dbraw/zinc/08/75/39/339087539.db2.gz LFGFWRPPJMEQHZ-PMACEKPBSA-N 0 3 307.437 4.196 20 0 DIADHN COc1ccc([C@H](C)CCNCc2c(F)cccc2F)cc1 ZINC000462770506 339087675 /nfs/dbraw/zinc/08/76/75/339087675.db2.gz ZEUFYJXLCUTOMB-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN COc1ccc(CNCCCOc2ccc(C)cc2)cc1Cl ZINC000462771520 339087732 /nfs/dbraw/zinc/08/77/32/339087732.db2.gz FLDHAOBCBOQOCW-UHFFFAOYSA-N 0 3 319.832 4.216 20 0 DIADHN COc1ccc(F)cc1CNC1CC(c2cccc(F)c2)C1 ZINC000462788230 339088788 /nfs/dbraw/zinc/08/87/88/339088788.db2.gz GPEXPHMKFSQCSY-UHFFFAOYSA-N 0 3 303.352 4.009 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H](C)CCc2ccsc2)o1 ZINC000462792911 339089006 /nfs/dbraw/zinc/08/90/06/339089006.db2.gz XIMWLMXHIWMJOW-CZUORRHYSA-N 0 3 307.459 4.202 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1ccsc1)c1ccc(C)o1 ZINC000462793921 339089023 /nfs/dbraw/zinc/08/90/23/339089023.db2.gz VKZKSTXCCGZOTI-CJNGLKHVSA-N 0 3 307.459 4.338 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCOc1ccc2ccccc2c1 ZINC000462794641 339089242 /nfs/dbraw/zinc/08/92/42/339089242.db2.gz ZYSFOENTFAXQLH-UHFFFAOYSA-N 0 3 323.440 4.094 20 0 DIADHN CC[C@H](NCCc1ccc(Cl)c(C)c1)c1ccc(F)cn1 ZINC000462803272 339090044 /nfs/dbraw/zinc/09/00/44/339090044.db2.gz AGHXGDZOESTFOI-INIZCTEOSA-N 0 3 306.812 4.466 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@@](C)(OC)C3CC3)c(C)c2c1 ZINC000462804614 339090134 /nfs/dbraw/zinc/09/01/34/339090134.db2.gz DWLNPRHOBDVHLQ-BFUOFWGJSA-N 0 3 317.429 4.216 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1ccccc1OC(F)(F)F)C1CC1 ZINC000462812750 339091018 /nfs/dbraw/zinc/09/10/18/339091018.db2.gz IKWKFWQKEQTQTF-XHDPSFHLSA-N 0 3 317.351 4.051 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NC[C@@](C)(OC)C1CC1 ZINC000462811395 339091074 /nfs/dbraw/zinc/09/10/74/339091074.db2.gz FNQDVWCVPMOJNG-YVEFUNNKSA-N 0 3 311.853 4.204 20 0 DIADHN CC(C)C[C@H](NCc1c2c(nn1C)CCCC2)c1ccccc1 ZINC000462813987 339091220 /nfs/dbraw/zinc/09/12/20/339091220.db2.gz CKRMDVTXUWYGHG-IBGZPJMESA-N 0 3 311.473 4.176 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3cccc(Cl)c3)CC2)cs1 ZINC000462815132 339091401 /nfs/dbraw/zinc/09/14/01/339091401.db2.gz GTZFSYJUEKHKRS-LLVKDONJSA-N 0 3 306.862 4.487 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000462816781 339091521 /nfs/dbraw/zinc/09/15/21/339091521.db2.gz RUUNFHQMHJOHRX-DLOVCJGASA-N 0 3 306.397 4.471 20 0 DIADHN CCc1cccc2c(CCN[C@@H](C)c3csc(C)n3)c[nH]c21 ZINC000462820767 339091918 /nfs/dbraw/zinc/09/19/18/339091918.db2.gz YVTJUOHBFWOPIV-LBPRGKRZSA-N 0 3 313.470 4.389 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000462822173 339092060 /nfs/dbraw/zinc/09/20/60/339092060.db2.gz SYTWWAUGYSBMRX-PXAZEXFGSA-N 0 3 313.388 4.062 20 0 DIADHN C[C@@H](O)CN(C)Cc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000462823932 339092486 /nfs/dbraw/zinc/09/24/86/339092486.db2.gz BNIQIQNEAGGZFQ-SNVBAGLBSA-N 0 3 314.212 4.066 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2c3ccccc3CCC[C@H]2C)c(C)n1 ZINC000462838840 339093627 /nfs/dbraw/zinc/09/36/27/339093627.db2.gz IATXELOKVBWSAV-AFBRZQFHSA-N 0 3 309.457 4.458 20 0 DIADHN CC(C)N(Cc1cccc(OC2CCCC2)c1)[C@@H]1CCOC1 ZINC000462853590 339094496 /nfs/dbraw/zinc/09/44/96/339094496.db2.gz JBRPZHUXXTVMTI-QGZVFWFLSA-N 0 3 303.446 4.007 20 0 DIADHN CC[C@H](CN(CC)Cc1cn(C)nc1C(F)F)c1ccccc1 ZINC000462898227 339096700 /nfs/dbraw/zinc/09/67/00/339096700.db2.gz WDFCTQWINWINSV-CQSZACIVSA-N 0 3 321.415 4.373 20 0 DIADHN CO[C@H](CNc1ccnc2ccccc21)c1ccc(Cl)cc1 ZINC000462903558 339096983 /nfs/dbraw/zinc/09/69/83/339096983.db2.gz BMIUYWJIWNOTOB-GOSISDBHSA-N 0 3 312.800 4.110 20 0 DIADHN C[C@@H]1CCN(Cc2cn(C)nc2C(F)F)CC12CCCCC2 ZINC000462908402 339097300 /nfs/dbraw/zinc/09/73/00/339097300.db2.gz YCOMOXWBWMALHQ-CYBMUJFWSA-N 0 3 311.420 4.150 20 0 DIADHN Cn1cc(CN2CCC[C@@H](c3ccccc3)CC2)c(C(F)F)n1 ZINC000462937692 339098423 /nfs/dbraw/zinc/09/84/23/339098423.db2.gz GCVCGXJNXGKCMD-OAHLLOKOSA-N 0 3 319.399 4.127 20 0 DIADHN Cn1cc(CN(Cc2ccccc2)CC(C)(C)C)c(C(F)F)n1 ZINC000462947468 339098618 /nfs/dbraw/zinc/09/86/18/339098618.db2.gz UQHKSZUAUPKWGM-UHFFFAOYSA-N 0 3 321.415 4.406 20 0 DIADHN Cn1cc(CN2CCC[C@H]2CC2CCCCC2)c(C(F)F)n1 ZINC000462956804 339099006 /nfs/dbraw/zinc/09/90/06/339099006.db2.gz OCJRJNQODMBTMJ-HNNXBMFYSA-N 0 3 311.420 4.293 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)Cc1cn(C)nc1C(F)F ZINC000462958486 339099146 /nfs/dbraw/zinc/09/91/46/339099146.db2.gz VRWMJUVKAGQGQO-KRWDZBQOSA-N 0 3 321.415 4.495 20 0 DIADHN CCN(Cc1ccc(-c2ccc(F)cc2C)o1)[C@H]1CCOC1 ZINC000463023379 339101006 /nfs/dbraw/zinc/10/10/06/339101006.db2.gz LHXJKAXKJLCWNL-HNNXBMFYSA-N 0 3 303.377 4.005 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@H]2NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000463025234 339101117 /nfs/dbraw/zinc/10/11/17/339101117.db2.gz OTULQUNGBJIHGC-JJRVBVJISA-N 0 3 315.363 4.182 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H]1C[C@H](OC(C)C)C1(C)C)C(C)C ZINC000463027810 339101336 /nfs/dbraw/zinc/10/13/36/339101336.db2.gz IOIVBYBRYHZGHN-IXDOHACOSA-N 0 3 304.478 4.269 20 0 DIADHN CCN(Cc1c(OC(F)F)ccc2ccccc21)[C@H]1CCOC1 ZINC000463033764 339101956 /nfs/dbraw/zinc/10/19/56/339101956.db2.gz LBHFCLQVDWTCHJ-AWEZNQCLSA-N 0 3 321.367 4.052 20 0 DIADHN c1cnn([C@@H]2CCN(Cc3cc(-c4ccccc4)cs3)C2)c1 ZINC000463038337 339102385 /nfs/dbraw/zinc/10/23/85/339102385.db2.gz PUKSBXIEBJMAMR-QGZVFWFLSA-N 0 3 309.438 4.059 20 0 DIADHN CCN(C/C(C)=C\c1ccccc1C(F)(F)F)[C@H]1CCOC1 ZINC000463043117 339102836 /nfs/dbraw/zinc/10/28/36/339102836.db2.gz MVXGFODLNCHUNI-WVUXNXBLSA-N 0 3 313.363 4.220 20 0 DIADHN CCC[C@H](C)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463073913 339104462 /nfs/dbraw/zinc/10/44/62/339104462.db2.gz LIAJXCGEMROGRI-JTQLQIEISA-N 0 3 311.223 4.211 20 0 DIADHN COc1cccc(C2=CCN([C@@H]3C[C@@H]3c3ccccc3)CC2)c1 ZINC000463077725 339104734 /nfs/dbraw/zinc/10/47/34/339104734.db2.gz LAYHRZBDXHQLTQ-NHCUHLMSSA-N 0 3 305.421 4.340 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1cncc(C(F)(F)F)c1)C1CC1 ZINC000463081176 339104947 /nfs/dbraw/zinc/10/49/47/339104947.db2.gz ISWRLKAVAILCNI-NWDGAFQWSA-N 0 3 300.368 4.499 20 0 DIADHN CC(C)N(Cc1nc2ccc(Br)cc2o1)C1CCC1 ZINC000463102824 339105867 /nfs/dbraw/zinc/10/58/67/339105867.db2.gz BWASFMIHLJPNMK-UHFFFAOYSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccc(Br)cc3o2)C(C)(C)C1 ZINC000463107464 339105999 /nfs/dbraw/zinc/10/59/99/339105999.db2.gz TYAOJTXKXGLMRX-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN CC(C(=O)N(Cc1ccccc1)c1ccccc1)C(F)(F)F ZINC000463174328 339107988 /nfs/dbraw/zinc/10/79/88/339107988.db2.gz DTGLENUIUJYMTB-CYBMUJFWSA-N 0 3 307.315 4.418 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)Cc2nc3ccc(Br)cc3o2)C1 ZINC000463216825 339110039 /nfs/dbraw/zinc/11/00/39/339110039.db2.gz YIGQNKPOPNYGPG-ZYHUDNBSSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2nc3ccc(Br)cc3o2)C1 ZINC000463216823 339110057 /nfs/dbraw/zinc/11/00/57/339110057.db2.gz YIGQNKPOPNYGPG-JQWIXIFHSA-N 0 3 323.234 4.211 20 0 DIADHN CC(C)NC(=O)CCN(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000463223390 339110272 /nfs/dbraw/zinc/11/02/72/339110272.db2.gz SZBBJJNRAJXIGW-SFHVURJKSA-N 0 3 324.468 4.165 20 0 DIADHN CCN(CCCC1CCOCC1)Cc1ccc(Cl)s1 ZINC000463304853 339114361 /nfs/dbraw/zinc/11/43/61/339114361.db2.gz WUHXCDDWDURZAS-UHFFFAOYSA-N 0 3 301.883 4.430 20 0 DIADHN C[C@H](O)CCCN1CCC(c2cccc(Cl)c2Cl)CC1 ZINC000463374161 339116140 /nfs/dbraw/zinc/11/61/40/339116140.db2.gz CMKXQVKPCJDROM-LBPRGKRZSA-N 0 3 316.272 4.334 20 0 DIADHN CC(C(=O)Nc1ccc2c(c1)Cc1ccccc1-2)C(F)(F)F ZINC000463455743 339118495 /nfs/dbraw/zinc/11/84/95/339118495.db2.gz MTYKWLYCNGFBLV-SNVBAGLBSA-N 0 3 305.299 4.395 20 0 DIADHN CN(C)c1ccccc1CN(C)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463455638 339118520 /nfs/dbraw/zinc/11/85/20/339118520.db2.gz PRLBRQLRAJFCQZ-ZBFHGGJFSA-N 0 3 314.395 4.306 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2C[C@@H](OC(C)C)C2(C)C)s1 ZINC000463568696 339121720 /nfs/dbraw/zinc/12/17/20/339121720.db2.gz IQECYXHZMRHJGU-IACUBPJLSA-N 0 3 310.507 4.257 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](c2ccc(F)cn2)C(C)C)C1(C)C ZINC000463580422 339122150 /nfs/dbraw/zinc/12/21/50/339122150.db2.gz WSMPMFVSYPZOFS-ZACQAIPSSA-N 0 3 308.441 4.100 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cc(Cl)cc2cccnc21 ZINC000463727854 339124753 /nfs/dbraw/zinc/12/47/53/339124753.db2.gz JXLZXXWMRDNFEE-VIFPVBQESA-N 0 3 302.727 4.319 20 0 DIADHN CCCCOc1ccccc1CN[C@@H]1CCO[C@H](C(C)C)C1 ZINC000463748885 339125503 /nfs/dbraw/zinc/12/55/03/339125503.db2.gz TZSUQBJZUXGYID-MJGOQNOKSA-N 0 3 305.462 4.159 20 0 DIADHN CCCCOc1ccccc1CN[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000463748884 339125511 /nfs/dbraw/zinc/12/55/11/339125511.db2.gz TZSUQBJZUXGYID-IEBWSBKVSA-N 0 3 305.462 4.159 20 0 DIADHN CCCCNC(=O)[C@@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909061 339128603 /nfs/dbraw/zinc/12/86/03/339128603.db2.gz FPHXFUQJLARGEA-PBHICJAKSA-N 0 3 322.468 4.197 20 0 DIADHN CCCCNC(=O)[C@@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909062 339128686 /nfs/dbraw/zinc/12/86/86/339128686.db2.gz FPHXFUQJLARGEA-RHSMWYFYSA-N 0 3 322.468 4.197 20 0 DIADHN CCOCCCNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464056269 339132082 /nfs/dbraw/zinc/13/20/82/339132082.db2.gz XPKQPBQEJNYOMZ-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN Cc1nn(C(C)C)cc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000464055232 339132131 /nfs/dbraw/zinc/13/21/31/339132131.db2.gz ICYZAIHUUNMAPP-UHFFFAOYSA-N 0 3 315.436 4.471 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2OC2CCCC2)CCOC1 ZINC000464056559 339132173 /nfs/dbraw/zinc/13/21/73/339132173.db2.gz VSELLDZKGRWNCM-GOSISDBHSA-N 0 3 323.864 4.178 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)n1 ZINC000464063109 339132567 /nfs/dbraw/zinc/13/25/67/339132567.db2.gz VDFLZPFZXMSKJV-RBSFLKMASA-N 0 3 322.518 4.126 20 0 DIADHN CCCN(CCc1cccs1)Cc1ccsc1C(=O)OC ZINC000464586085 339146273 /nfs/dbraw/zinc/14/62/73/339146273.db2.gz OVHQHUZHIJAMDT-UHFFFAOYSA-N 0 3 323.483 4.051 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000464734245 339150535 /nfs/dbraw/zinc/15/05/35/339150535.db2.gz KEJKSNRLJORTMV-MAUKXSAKSA-N 0 3 316.489 4.397 20 0 DIADHN O=[S@](CCN1CCCC2(C1)CCCCCC2)c1ccccc1 ZINC000464764084 339151541 /nfs/dbraw/zinc/15/15/41/339151541.db2.gz UFWBYAQHPXNXOG-JOCHJYFZSA-N 0 3 319.514 4.231 20 0 DIADHN C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)CCn1cccn1 ZINC000464764307 339151548 /nfs/dbraw/zinc/15/15/48/339151548.db2.gz VBVMRHYFJOZCKZ-KRWDZBQOSA-N 0 3 305.425 4.243 20 0 DIADHN Cc1ccccc1OCCCN1CCC(C(F)(F)F)CC1 ZINC000464767900 339151760 /nfs/dbraw/zinc/15/17/60/339151760.db2.gz DVMJWGOGGKRCGB-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN CC[C@@](C)(NCCCOc1ccccc1C)c1nc(C)cs1 ZINC000464801500 339154486 /nfs/dbraw/zinc/15/44/86/339154486.db2.gz GGUDEIHILOVZCL-GOSISDBHSA-N 0 3 318.486 4.444 20 0 DIADHN CC[C@@](C)(NCCCOc1ccc(F)cc1)c1nc(C)cs1 ZINC000464804988 339154608 /nfs/dbraw/zinc/15/46/08/339154608.db2.gz IVLCSWIVYSHMCV-QGZVFWFLSA-N 0 3 322.449 4.275 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000464808862 339154751 /nfs/dbraw/zinc/15/47/51/339154751.db2.gz FQNTZLJYXOWBLR-IAGOWNOFSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@H](NC(=O)CN1C[C@H](C)CC[C@@H]1C)c1ccc2ccccc2c1 ZINC000464831754 339155986 /nfs/dbraw/zinc/15/59/86/339155986.db2.gz POFYDLLKWVPTGS-IKGGRYGDSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@H](C)[C@H](C)C1 ZINC000464833442 339156273 /nfs/dbraw/zinc/15/62/73/339156273.db2.gz QLZSEFFPQMPJAA-GRYCIOLGSA-N 0 3 305.809 4.039 20 0 DIADHN CCCCOC1CCN(CCCOc2ccc(C)c(C)c2)CC1 ZINC000464859757 339158510 /nfs/dbraw/zinc/15/85/10/339158510.db2.gz YMWDLJHKTPOTIF-UHFFFAOYSA-N 0 3 319.489 4.353 20 0 DIADHN O=C(Nc1ccccc1)[C@H](c1ccccc1)N1C[C@H]2CCC[C@@H]2C1 ZINC000464890744 339160543 /nfs/dbraw/zinc/16/05/43/339160543.db2.gz UHWLNKBCORVKHQ-GGPKGHCWSA-N 0 3 320.436 4.098 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2nc3cc(F)ccc3o2)cc1 ZINC000464894976 339160778 /nfs/dbraw/zinc/16/07/78/339160778.db2.gz PDBOMNWKNODTSM-AWEZNQCLSA-N 0 3 312.388 4.338 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3C[C@@H]4CCC[C@H]4C3)n2)cc1 ZINC000464896191 339160784 /nfs/dbraw/zinc/16/07/84/339160784.db2.gz NUUPJANVTGPIPB-IRXDYDNUSA-N 0 3 311.429 4.092 20 0 DIADHN CC(C(=O)Nc1cccc(Oc2ccccn2)c1)C(F)(F)F ZINC000464901444 339161029 /nfs/dbraw/zinc/16/10/29/339161029.db2.gz OQNHEFXCBCYKNI-JTQLQIEISA-N 0 3 310.275 4.011 20 0 DIADHN CCC1(C(=O)NCC(C)(C)N[C@H](C)c2ccccc2)CCCC1 ZINC000464984147 339164452 /nfs/dbraw/zinc/16/44/52/339164452.db2.gz NDUVFUBTFYOUQE-MRXNPFEDSA-N 0 3 316.489 4.202 20 0 DIADHN CCc1ccc(-c2ccc(CNC(CC)(CC)CO)o2)cc1 ZINC000465017075 339165563 /nfs/dbraw/zinc/16/55/63/339165563.db2.gz KCXFZYQNIISQSP-UHFFFAOYSA-N 0 3 301.430 4.150 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC(C)(C)CC)c1ccccc1 ZINC000465047847 339166633 /nfs/dbraw/zinc/16/66/33/339166633.db2.gz BBWFKVNBFBZWEK-KRWDZBQOSA-N 0 3 304.478 4.012 20 0 DIADHN CCC(C)(C)CC(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000465121272 339169523 /nfs/dbraw/zinc/16/95/23/339169523.db2.gz MMAWELCVKLYBLM-MRXNPFEDSA-N 0 3 316.489 4.155 20 0 DIADHN CCC(C)(C)CC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC000465170437 339170712 /nfs/dbraw/zinc/17/07/12/339170712.db2.gz BVBRXKINMCXQDV-SFHVURJKSA-N 0 3 316.489 4.074 20 0 DIADHN C[C@H](c1cnccn1)N1CCC[C@H](CCCc2ccccc2)C1 ZINC000466220918 339197071 /nfs/dbraw/zinc/19/70/71/339197071.db2.gz UMSHVUGRGQSQSD-MJGOQNOKSA-N 0 3 309.457 4.273 20 0 DIADHN C[C@H](c1cnccn1)N1CCC[C@@H](CCCc2ccccc2)C1 ZINC000466220917 339197075 /nfs/dbraw/zinc/19/70/75/339197075.db2.gz UMSHVUGRGQSQSD-IEBWSBKVSA-N 0 3 309.457 4.273 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)on1 ZINC000466341905 339199808 /nfs/dbraw/zinc/19/98/08/339199808.db2.gz AZEIAGNDCGKZOM-GVDBMIGSSA-N 0 3 320.477 4.263 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)on1 ZINC000466341906 339199844 /nfs/dbraw/zinc/19/98/44/339199844.db2.gz AZEIAGNDCGKZOM-IXDOHACOSA-N 0 3 320.477 4.263 20 0 DIADHN Cc1ccccc1C[C@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC000466380376 339201580 /nfs/dbraw/zinc/20/15/80/339201580.db2.gz NHZAEUAGJSEXMZ-SUMWQHHRSA-N 0 3 321.823 4.042 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccnn2-c2ccccc2)C1 ZINC000466387121 339201927 /nfs/dbraw/zinc/20/19/27/339201927.db2.gz HZTCSUZOIRAUTA-UHFFFAOYSA-N 0 3 317.436 4.082 20 0 DIADHN Cc1csc(CNC2CC(c3ccc(F)c(Cl)c3)C2)n1 ZINC000466476524 339206655 /nfs/dbraw/zinc/20/66/55/339206655.db2.gz YZWSWJVOPMXTAO-UHFFFAOYSA-N 0 3 310.825 4.280 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC000466662465 339212295 /nfs/dbraw/zinc/21/22/95/339212295.db2.gz YIDMRBKBAAXEIH-HOTGVXAUSA-N 0 3 308.425 4.312 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCCC[C@H]3CC(C)C)o2)cc1 ZINC000466667882 339212591 /nfs/dbraw/zinc/21/25/91/339212591.db2.gz XUNIIBAJLSCOKF-KRWDZBQOSA-N 0 3 313.445 4.446 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2ncc(-c3ccccc3F)o2)C1 ZINC000466712205 339213819 /nfs/dbraw/zinc/21/38/19/339213819.db2.gz WYZISVZARVIJTD-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2ncc(-c3ccccc3F)o2)C1 ZINC000466712206 339213913 /nfs/dbraw/zinc/21/39/13/339213913.db2.gz WYZISVZARVIJTD-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H](C)C[C@@H](C)C1 ZINC000466729863 339214660 /nfs/dbraw/zinc/21/46/60/339214660.db2.gz BOBIIXGRAHHFDO-JHJVBQTASA-N 0 3 319.836 4.429 20 0 DIADHN C[C@@H](NC1CCC2(CCCCC2)CC1)c1nnc2ccccn21 ZINC000466737039 339215051 /nfs/dbraw/zinc/21/50/51/339215051.db2.gz CQLAORKGCSCZAE-OAHLLOKOSA-N 0 3 312.461 4.273 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000466934224 339222069 /nfs/dbraw/zinc/22/20/69/339222069.db2.gz BSDXLTJWIWZNSI-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN CCc1noc(C)c1CN1CCC(Cc2ccccc2F)CC1 ZINC000466941950 339222247 /nfs/dbraw/zinc/22/22/47/339222247.db2.gz YCBRSASCDQXJJY-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000466936898 339222294 /nfs/dbraw/zinc/22/22/94/339222294.db2.gz XHXXYRSVFRZNDY-BXUZGUMPSA-N 0 3 306.356 4.240 20 0 DIADHN CCN(CCSc1ccc(C)c(C)c1)Cc1ccccn1 ZINC000466946507 339222398 /nfs/dbraw/zinc/22/23/98/339222398.db2.gz KYJSVXPXEIOWFV-UHFFFAOYSA-N 0 3 300.471 4.313 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1nc2ccc(F)cc2o1 ZINC000466951226 339222462 /nfs/dbraw/zinc/22/24/62/339222462.db2.gz LKIQUBZIMROPFZ-UKRRQHHQSA-N 0 3 310.372 4.345 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc2ccc(F)cc2o1 ZINC000466968202 339223071 /nfs/dbraw/zinc/22/30/71/339223071.db2.gz AYLXIBHTUMBZPH-MRXNPFEDSA-N 0 3 312.388 4.420 20 0 DIADHN COC[C@H]1CCCCN(Cc2ncc(-c3ccccc3)s2)C1 ZINC000467119721 339226835 /nfs/dbraw/zinc/22/68/35/339226835.db2.gz LRNPZGQCJTZBAJ-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN c1cncc([C@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467194990 339227707 /nfs/dbraw/zinc/22/77/07/339227707.db2.gz IRVGYXYVGUDGKS-ZWKOTPCHSA-N 0 3 300.446 4.004 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1C[C@H]1CCC2(CCCCC2)O1 ZINC000467197657 339227882 /nfs/dbraw/zinc/22/78/82/339227882.db2.gz UNTRAXQUTVLKQE-AEFFLSMTSA-N 0 3 302.462 4.044 20 0 DIADHN CCCCc1noc(CN2CCC[C@@]2(C)Cc2ccccc2)n1 ZINC000467218705 339228692 /nfs/dbraw/zinc/22/86/92/339228692.db2.gz QSEPXSJZZVOVOU-IBGZPJMESA-N 0 3 313.445 4.009 20 0 DIADHN C[C@]1(Cc2ccccc2)CCCN1Cc1nc2cccnc2s1 ZINC000467237982 339228926 /nfs/dbraw/zinc/22/89/26/339228926.db2.gz JDCBAKKASQBTDX-LJQANCHMSA-N 0 3 323.465 4.289 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@H](c2cncc(F)c2)C2CC2)c1 ZINC000467357579 339233821 /nfs/dbraw/zinc/23/38/21/339233821.db2.gz JIHWYDBUWPPCLM-LIRRHRJNSA-N 0 3 314.404 4.037 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@@H](c2cncc(F)c2)C2CC2)c1 ZINC000467357577 339233849 /nfs/dbraw/zinc/23/38/49/339233849.db2.gz JIHWYDBUWPPCLM-IFXJQAMLSA-N 0 3 314.404 4.037 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000467359870 339233893 /nfs/dbraw/zinc/23/38/93/339233893.db2.gz UYFMQSHZNMHBSV-WMLDXEAASA-N 0 3 316.395 4.484 20 0 DIADHN C[C@H](CN[C@H](c1cncc(F)c1)C1CC1)Sc1ccccc1 ZINC000467375601 339235218 /nfs/dbraw/zinc/23/52/18/339235218.db2.gz FOEVJKRBUWVLCN-ACJLOTCBSA-N 0 3 316.445 4.442 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1cc2ccccc2o1 ZINC000467384610 339235894 /nfs/dbraw/zinc/23/58/94/339235894.db2.gz XFBAKZQCCXBZKY-OLZOCXBDSA-N 0 3 303.402 4.204 20 0 DIADHN Cc1ccc(OCCCN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467385420 339236051 /nfs/dbraw/zinc/23/60/51/339236051.db2.gz MSQKESDDXYDJGG-IBGZPJMESA-N 0 3 314.404 4.039 20 0 DIADHN Cc1ccccc1C[C@@H](CO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000467406179 339236761 /nfs/dbraw/zinc/23/67/61/339236761.db2.gz JCWBCTUVRWXCRN-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC000467407905 339237023 /nfs/dbraw/zinc/23/70/23/339237023.db2.gz PEPONVJHPKZIGY-GDZNZVCISA-N 0 3 321.823 4.294 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC(Cc2ccccc2)CC1 ZINC000467433152 339238112 /nfs/dbraw/zinc/23/81/12/339238112.db2.gz OSXZMLDZIQGDAT-UHFFFAOYSA-N 0 3 311.473 4.227 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@](C)(c2ccccc2)C1 ZINC000467441957 339238884 /nfs/dbraw/zinc/23/88/84/339238884.db2.gz JJYVUNGILIKCEU-FQEVSTJZSA-N 0 3 311.473 4.326 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H]1C)c1ncc(Br)cc1F ZINC000467452075 339239378 /nfs/dbraw/zinc/23/93/78/339239378.db2.gz BODKSOFDQNURON-GARJFASQSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1nn(C(C)C)cc1CN(Cc1ccc(F)cc1)CC1CC1 ZINC000467461776 339239892 /nfs/dbraw/zinc/23/98/92/339239892.db2.gz ZJDKVVQJHFPXNP-UHFFFAOYSA-N 0 3 315.436 4.324 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@](C)(c2ccc(F)cc2)C1 ZINC000467517278 339240726 /nfs/dbraw/zinc/24/07/26/339240726.db2.gz DOMPTSCJNGDGNG-IBGZPJMESA-N 0 3 315.436 4.075 20 0 DIADHN C[C@@H](CCc1ccccc1)CN1CCCC[C@H]1c1ccnn1C ZINC000467546421 339241645 /nfs/dbraw/zinc/24/16/45/339241645.db2.gz NZMYSCUKVSNDAR-PXNSSMCTSA-N 0 3 311.473 4.216 20 0 DIADHN Cc1[nH]nc2ncc(CN3CC[C@@H](c4ccccc4)C[C@H]3C)cc12 ZINC000467555048 339242474 /nfs/dbraw/zinc/24/24/74/339242474.db2.gz SFENNUYPEDJKIZ-RDTXWAMCSA-N 0 3 320.440 4.034 20 0 DIADHN CCN(Cc1ccccc1Br)C[C@@H]1CCSC1 ZINC000467559226 339242708 /nfs/dbraw/zinc/24/27/08/339242708.db2.gz ZUELEYMZBUXYSI-LBPRGKRZSA-N 0 3 314.292 4.024 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000467741173 339245344 /nfs/dbraw/zinc/24/53/44/339245344.db2.gz JDAUVWHQOXHHJY-OPRDCNLKSA-N 0 3 324.437 4.487 20 0 DIADHN Clc1cccc(CN[C@H]2CCCC[C@H]2n2cccn2)c1Cl ZINC000467761521 339245963 /nfs/dbraw/zinc/24/59/63/339245963.db2.gz AGSJPPHIKONWOF-LSDHHAIUSA-N 0 3 324.255 4.463 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2ccc(C)c(F)c2)cc1 ZINC000467762839 339246051 /nfs/dbraw/zinc/24/60/51/339246051.db2.gz DJZRVCAHYBYACG-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN Clc1cc(Cl)cc(CN[C@@H]2CCCC[C@H]2n2cccn2)c1 ZINC000467764543 339246078 /nfs/dbraw/zinc/24/60/78/339246078.db2.gz RFISEYGUPAOUJA-HZPDHXFCSA-N 0 3 324.255 4.463 20 0 DIADHN Clc1ccc(CN[C@H]2CCCC[C@H]2n2cccn2)cc1Cl ZINC000467763480 339246121 /nfs/dbraw/zinc/24/61/21/339246121.db2.gz TZXPVVYGCSRLIN-JKSUJKDBSA-N 0 3 324.255 4.463 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@@H](c2ccsc2)N(C)C)cc1 ZINC000467838316 339247286 /nfs/dbraw/zinc/24/72/86/339247286.db2.gz HEUWXKVHZDKSFM-DYVFJYSZSA-N 0 3 320.527 4.424 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@H](C)c2ccc(F)c(F)c2)cc1 ZINC000467855801 339247787 /nfs/dbraw/zinc/24/77/87/339247787.db2.gz YTDOIXFYEFGKKW-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N1CCCCC[C@@H]1c1ccccc1 ZINC000468012548 339251268 /nfs/dbraw/zinc/25/12/68/339251268.db2.gz WHAADEZHJLBVJS-ZHALLVOQSA-N 0 3 314.473 4.003 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1c3ccccc3C[C@H]1C)CCO2 ZINC000468031758 339251847 /nfs/dbraw/zinc/25/18/47/339251847.db2.gz XOEZSDDBIJZCFI-MJWYBRSISA-N 0 3 309.409 4.042 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cccc(C)c1C)CCO2 ZINC000468042863 339252352 /nfs/dbraw/zinc/25/23/52/339252352.db2.gz ORCJFROEEHUOJG-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN CCC(CC)C(=O)N(CC)[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000468279516 339258724 /nfs/dbraw/zinc/25/87/24/339258724.db2.gz POWIJUKHOOATCQ-QFBILLFUSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000468363456 339260644 /nfs/dbraw/zinc/26/06/44/339260644.db2.gz URIPBYLYUXKZNA-JKSUJKDBSA-N 0 3 318.509 4.404 20 0 DIADHN C/C=C\COc1ccc(CC[C@@H](C)NCc2nccs2)cc1 ZINC000468364235 339260708 /nfs/dbraw/zinc/26/07/08/339260708.db2.gz ZINJJVBTYVMKLC-ABCZVMIZSA-N 0 3 316.470 4.209 20 0 DIADHN CCCCOc1ccccc1CN1CCC(OCC2CC2)CC1 ZINC000468394093 339261807 /nfs/dbraw/zinc/26/18/07/339261807.db2.gz FLEBFUIWGUAEJT-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN CCCCOc1ccccc1CN(CC)CCc1nccs1 ZINC000468410224 339262422 /nfs/dbraw/zinc/26/24/22/339262422.db2.gz WVHWBTHBQXFKDC-UHFFFAOYSA-N 0 3 318.486 4.387 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC[C@H](CC(=O)OC(C)C)C1 ZINC000468474834 339263651 /nfs/dbraw/zinc/26/36/51/339263651.db2.gz IHPYQTQAPGSNSH-XYSGSMRZSA-N 0 3 315.457 4.144 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000468522841 339265242 /nfs/dbraw/zinc/26/52/42/339265242.db2.gz JRLSBTNESKVASZ-NSHDSACASA-N 0 3 317.351 4.072 20 0 DIADHN CCCCOc1ccccc1CN1CCC(C)(COC)CC1 ZINC000468520149 339265344 /nfs/dbraw/zinc/26/53/44/339265344.db2.gz YWIOVPXIQNRLOH-UHFFFAOYSA-N 0 3 305.462 4.114 20 0 DIADHN COC[C@H]1CCCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC000468693459 339268695 /nfs/dbraw/zinc/26/86/95/339268695.db2.gz JQVCBXUEJYDPKB-INIZCTEOSA-N 0 3 315.413 4.320 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000468690721 339268722 /nfs/dbraw/zinc/26/87/22/339268722.db2.gz QSAODHCUJDVZQP-HNNXBMFYSA-N 0 3 322.518 4.439 20 0 DIADHN CS[C@H](CN[C@@H](C)c1cnn(C(C)(C)C)c1C)C(C)(C)C ZINC000468810677 339271359 /nfs/dbraw/zinc/27/13/59/339271359.db2.gz DWELDWOMZSRBHF-SWLSCSKDSA-N 0 3 311.539 4.375 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)Nc1cccc(Nc2ccccc2)c1 ZINC000468843612 339272512 /nfs/dbraw/zinc/27/25/12/339272512.db2.gz FWWAIRKLYVQQJZ-HOTGVXAUSA-N 0 3 323.440 4.242 20 0 DIADHN FC(F)COCCN(Cc1ccc2ccccc2c1)C1CC1 ZINC000468848715 339272598 /nfs/dbraw/zinc/27/25/98/339272598.db2.gz GMMSUTBLZWWZKN-UHFFFAOYSA-N 0 3 305.368 4.086 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@@H](CC(C)C)C1 ZINC000468857524 339272820 /nfs/dbraw/zinc/27/28/20/339272820.db2.gz FYUAHSQVKBVBKR-MSOLQXFVSA-N 0 3 316.489 4.389 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cn2)[C@H](C)C2CC2)cc1 ZINC000468890903 339273873 /nfs/dbraw/zinc/27/38/73/339273873.db2.gz IIRKDAZSPROCBI-CQSZACIVSA-N 0 3 314.404 4.030 20 0 DIADHN C[C@@H](N[C@H](Cc1ccccc1)CN(C)C)c1csc(Cl)c1 ZINC000468894646 339273902 /nfs/dbraw/zinc/27/39/02/339273902.db2.gz HTMMEIMVIUDIBX-CZUORRHYSA-N 0 3 322.905 4.225 20 0 DIADHN Cc1ccc([C@@H](C)N(C)CCOCC(F)(F)C(F)F)c(C)c1 ZINC000468897944 339273918 /nfs/dbraw/zinc/27/39/18/339273918.db2.gz JIZZIQDVLJJSKB-CYBMUJFWSA-N 0 3 321.358 4.213 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1csc(Cl)c1 ZINC000469022812 339277860 /nfs/dbraw/zinc/27/78/60/339277860.db2.gz RZIMYFHLKSLIMZ-ZJUUUORDSA-N 0 3 303.855 4.172 20 0 DIADHN CCN(CCOCC(F)F)Cc1ccc(Cl)c(Cl)c1 ZINC000469048627 339278610 /nfs/dbraw/zinc/27/86/10/339278610.db2.gz SHSCPHULXCSAJS-UHFFFAOYSA-N 0 3 312.187 4.097 20 0 DIADHN CC(C)n1cc2c(n1)C[C@H](N[C@@H](C)c1csc(Cl)c1)CC2 ZINC000469072284 339279171 /nfs/dbraw/zinc/27/91/71/339279171.db2.gz XDWKFHJZPGADIE-SMDDNHRTSA-N 0 3 323.893 4.387 20 0 DIADHN Cc1cc(CCNCc2csc(C(C)(C)C)n2)cc(C)c1O ZINC000469098493 339280142 /nfs/dbraw/zinc/28/01/42/339280142.db2.gz WVUYEJCWJOINGK-UHFFFAOYSA-N 0 3 318.486 4.095 20 0 DIADHN CCc1cccc(CN2CCC(C(=O)Nc3ccccc3)CC2)c1 ZINC000469116633 339280602 /nfs/dbraw/zinc/28/06/02/339280602.db2.gz ZEICGCMWZIZWBN-UHFFFAOYSA-N 0 3 322.452 4.100 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](N[C@@H](C)Cn1cccn1)C(C)C ZINC000469173017 339282039 /nfs/dbraw/zinc/28/20/39/339282039.db2.gz MKXWKERFLHMRIB-KSSFIOAISA-N 0 3 311.429 4.313 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCc1ccccc1F)CCC2 ZINC000469252069 339284294 /nfs/dbraw/zinc/28/42/94/339284294.db2.gz YZZDNWNFCSDEMZ-INIZCTEOSA-N 0 3 304.434 4.143 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2csc(Cl)c2)cc1 ZINC000469262384 339284354 /nfs/dbraw/zinc/28/43/54/339284354.db2.gz SWOJPNRWSYLUNZ-GFCCVEGCSA-N 0 3 324.877 4.311 20 0 DIADHN COC(C)(C)CCN[C@@H](c1ccccc1)c1cccc(F)c1 ZINC000469341414 339286534 /nfs/dbraw/zinc/28/65/34/339286534.db2.gz XQBQSXXFZCQIBD-SFHVURJKSA-N 0 3 301.405 4.320 20 0 DIADHN Cc1ccncc1CN1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469451072 339289856 /nfs/dbraw/zinc/28/98/56/339289856.db2.gz XQKNQZAHDLKBAY-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469471250 339290756 /nfs/dbraw/zinc/29/07/56/339290756.db2.gz WBSVSSHSXJGUIJ-AWEZNQCLSA-N 0 3 316.395 4.376 20 0 DIADHN CC(C)[C@H](c1ccccc1Cl)N(C)C[C@H](O)CC(F)(F)F ZINC000469610871 339294482 /nfs/dbraw/zinc/29/44/82/339294482.db2.gz HWYZOZBKVOPPMW-BXUZGUMPSA-N 0 3 323.786 4.282 20 0 DIADHN Fc1ccc(CN(CCc2ccc(Cl)cc2)CC2CC2)nc1 ZINC000469613833 339294624 /nfs/dbraw/zinc/29/46/24/339294624.db2.gz ROONODRWRVZIIO-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN CN(Cc1ccc(Br)c(Cl)c1)[C@H]1CCSC1 ZINC000469722555 339296573 /nfs/dbraw/zinc/29/65/73/339296573.db2.gz CMUPGZAQYFKASB-JTQLQIEISA-N 0 3 320.683 4.040 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1ccc(F)cn1 ZINC000469736661 339297299 /nfs/dbraw/zinc/29/72/99/339297299.db2.gz XJWXKHHKRJTXIY-OLZOCXBDSA-N 0 3 306.812 4.498 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CC[C@@H](Oc2ccncc2)C1 ZINC000469740359 339297576 /nfs/dbraw/zinc/29/75/76/339297576.db2.gz XGXKSGWTVKURAK-IIBYNOLFSA-N 0 3 318.420 4.449 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cc(OC)c(OC)cc2C)c1 ZINC000469759352 339297851 /nfs/dbraw/zinc/29/78/51/339297851.db2.gz YGOFZDFKHHCFIQ-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN OCC[C@H](N[C@H]1CCCC[C@@H](c2ccccc2)C1)c1ccco1 ZINC000469778725 339299192 /nfs/dbraw/zinc/29/91/92/339299192.db2.gz FFKQPXBOGCLISZ-QYZOEREBSA-N 0 3 313.441 4.409 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc(-n3cccn3)cc2)c1 ZINC000469791416 339300287 /nfs/dbraw/zinc/30/02/87/339300287.db2.gz PGQRGBSXCKBGIO-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN C[C@@H](CCC1CC1)NCc1nccn1CCCc1ccccc1 ZINC000469795789 339300625 /nfs/dbraw/zinc/30/06/25/339300625.db2.gz GTBYHEOTODGZPV-KRWDZBQOSA-N 0 3 311.473 4.184 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](N[C@@H](CCO)c2ccco2)C1 ZINC000469802456 339301091 /nfs/dbraw/zinc/30/10/91/339301091.db2.gz UJMPMFUYOHKFIE-NQYYFHDYSA-N 0 3 313.441 4.265 20 0 DIADHN CC[C@H](NCC(C)(C)c1cccc(Cl)c1F)c1ccn(C)n1 ZINC000469803770 339301300 /nfs/dbraw/zinc/30/13/00/339301300.db2.gz FHZPDLGOVUOBEF-AWEZNQCLSA-N 0 3 323.843 4.231 20 0 DIADHN C[C@@H](CCC1CC1)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000469811697 339301817 /nfs/dbraw/zinc/30/18/17/339301817.db2.gz WFSJCBMERIDAGS-RYUDHWBXSA-N 0 3 305.853 4.456 20 0 DIADHN Cc1coc(-c2ccc(C)c(NC(=O)C(C)C(F)(F)F)c2)n1 ZINC000470094528 339310567 /nfs/dbraw/zinc/31/05/67/339310567.db2.gz WFFJPEOLBRKXHN-SNVBAGLBSA-N 0 3 312.291 4.095 20 0 DIADHN COC1CC(N[C@H](c2cc3ccccc3o2)c2ccccc2)C1 ZINC000470110223 339311024 /nfs/dbraw/zinc/31/10/24/339311024.db2.gz FJQGUIHRQPNHHA-UHYCVJNDSA-N 0 3 307.393 4.289 20 0 DIADHN C[C@@H]1CCN(Cc2cc(Br)cc3cccnc32)[C@@H]1C ZINC000470207111 339314364 /nfs/dbraw/zinc/31/43/64/339314364.db2.gz BDHWBCCHNATMDZ-VXGBXAGGSA-N 0 3 319.246 4.228 20 0 DIADHN CCC1(CC)CN(C(=O)c2ccc3c(c2)CNC3)c2ccccc21 ZINC000470359080 339318070 /nfs/dbraw/zinc/31/80/70/339318070.db2.gz KGHXXDUUNOWTJY-UHFFFAOYSA-N 0 3 320.436 4.008 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470359922 339318152 /nfs/dbraw/zinc/31/81/52/339318152.db2.gz VONSJLNLALICEU-AVGNSLFASA-N 0 3 320.868 4.426 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)NC[C@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470359922 339318153 /nfs/dbraw/zinc/31/81/53/339318153.db2.gz VONSJLNLALICEU-AVGNSLFASA-N 0 3 320.868 4.426 20 0 DIADHN CC(C)c1nnc([C@@H](C)[NH2+]C[C@@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470367648 339318422 /nfs/dbraw/zinc/31/84/22/339318422.db2.gz REFJDCYGKRCTAL-VXGBXAGGSA-N 0 3 306.841 4.036 20 0 DIADHN CC(C)c1nnc([C@@H](C)NC[C@@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470367648 339318423 /nfs/dbraw/zinc/31/84/23/339318423.db2.gz REFJDCYGKRCTAL-VXGBXAGGSA-N 0 3 306.841 4.036 20 0 DIADHN Cc1ccc2c(c1)nc(CNC1CC(c3cccc(F)c3)C1)n2C ZINC000470545155 339321966 /nfs/dbraw/zinc/32/19/66/339321966.db2.gz CJAFFDVYHVSHBU-UHFFFAOYSA-N 0 3 323.415 4.057 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)Cc2ccc3ccccc3c2)c(C)o1 ZINC000470773941 339327356 /nfs/dbraw/zinc/32/73/56/339327356.db2.gz QLXPPNWVHPZXCB-YWZLYKJASA-N 0 3 323.436 4.304 20 0 DIADHN C[C@H]1c2ccccc2CN1C[C@H](O)Cc1ccc2ccccc2c1 ZINC000470778875 339327737 /nfs/dbraw/zinc/32/77/37/339327737.db2.gz JSUMQNIGTYYWIS-HRAATJIYSA-N 0 3 317.432 4.320 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@H](Nc3ccccc3)C2)c1 ZINC000470782394 339327884 /nfs/dbraw/zinc/32/78/84/339327884.db2.gz VKQQHDAAFDSLAN-QGZVFWFLSA-N 0 3 302.368 4.311 20 0 DIADHN CC(C)CCC1CCN(C(=O)c2ccc(CN(C)C)cc2)CC1 ZINC000470787664 339328125 /nfs/dbraw/zinc/32/81/25/339328125.db2.gz BFRDCQCDLCGVBH-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN CO[C@]1(C)CCCN([C@H](C)c2ccccc2Br)C1 ZINC000470906473 339330770 /nfs/dbraw/zinc/33/07/70/339330770.db2.gz NGBKGFMUXHITBB-IUODEOHRSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1cccc(OC(F)F)c1 ZINC000470953512 339332642 /nfs/dbraw/zinc/33/26/42/339332642.db2.gz PHVUWUIJCVUJFX-SKDRFNHKSA-N 0 3 309.278 4.061 20 0 DIADHN CCC(C)(C)N(C)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000471015164 339334397 /nfs/dbraw/zinc/33/43/97/339334397.db2.gz SSADAJKBOGHAES-UHFFFAOYSA-N 0 3 300.324 4.467 20 0 DIADHN CC(C)CC1(C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)CCC1 ZINC000471119567 339337701 /nfs/dbraw/zinc/33/77/01/339337701.db2.gz WLJLDJJZMDURBG-FUHWJXTLSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CC[C@@H]3c3ccccc3)cc2)n1 ZINC000471183394 339339968 /nfs/dbraw/zinc/33/99/68/339339968.db2.gz XNSPXHBPIGFVSH-OAQYLSRUSA-N 0 3 317.436 4.436 20 0 DIADHN C[C@H](CC1CCCC1)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471576587 339347797 /nfs/dbraw/zinc/34/77/97/339347797.db2.gz DOUFSVYBSAEFNI-MDZRGWNJSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1cc(F)ccc1F ZINC000471577465 339347908 /nfs/dbraw/zinc/34/79/08/339347908.db2.gz KDVSENHAUWPWTA-CKFHNAJUSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccccc1F ZINC000471578925 339347999 /nfs/dbraw/zinc/34/79/99/339347999.db2.gz SKLSMUGLULTNFY-BFQNTYOBSA-N 0 3 323.433 4.323 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000471587657 339348222 /nfs/dbraw/zinc/34/82/22/339348222.db2.gz VOXJHRRCWOABFR-PFHKOEEOSA-N 0 3 317.454 4.361 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1sccc1OC)Oc1ccccc1F ZINC000471592169 339348463 /nfs/dbraw/zinc/34/84/63/339348463.db2.gz CDQJOAPBOXOJHX-STQMWFEESA-N 0 3 323.433 4.404 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1ccc(F)cc1Cl ZINC000471595487 339348604 /nfs/dbraw/zinc/34/86/04/339348604.db2.gz UQNXDRIFOCOPJP-JTQLQIEISA-N 0 3 313.825 4.443 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H]1SCCc2ccccc21 ZINC000471598125 339348831 /nfs/dbraw/zinc/34/88/31/339348831.db2.gz UGGUMKWIWJMSQM-WBMJQRKESA-N 0 3 319.495 4.438 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H](c2ccccc2)N(C)C)o1 ZINC000471602979 339349055 /nfs/dbraw/zinc/34/90/55/339349055.db2.gz QSONNUBLZWUSLO-KHYOSLBOSA-N 0 3 300.446 4.184 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000471612584 339349543 /nfs/dbraw/zinc/34/95/43/339349543.db2.gz UDEIGAVWJGKQTB-JKIFEVAISA-N 0 3 318.486 4.099 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1cc(F)c(F)c(F)c1 ZINC000471612040 339349582 /nfs/dbraw/zinc/34/95/82/339349582.db2.gz DOYNSYBNILXVGG-SECBINFHSA-N 0 3 315.360 4.067 20 0 DIADHN C[C@@H]1C[C@@H](O)CN1Cc1ccc(-c2ccc(Cl)cc2)s1 ZINC000471800121 339354539 /nfs/dbraw/zinc/35/45/39/339354539.db2.gz UFOKQMXTXROIOZ-BXUZGUMPSA-N 0 3 307.846 4.024 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1CC[C@@H](OC)C1 ZINC000471822073 339355401 /nfs/dbraw/zinc/35/54/01/339355401.db2.gz NROFNZXMQIJQFH-RBUKOAKNSA-N 0 3 311.425 4.019 20 0 DIADHN COc1ccccc1[C@H](CNCc1cccc(C)c1)OC(C)C ZINC000472038459 339360046 /nfs/dbraw/zinc/36/00/46/339360046.db2.gz OHUHDCQEEBNDTA-FQEVSTJZSA-N 0 3 313.441 4.260 20 0 DIADHN Cc1ccc2[nH]c(CNc3cccc(CN(C)C)c3)cc2c1C ZINC000472040607 339360212 /nfs/dbraw/zinc/36/02/12/339360212.db2.gz GRWKGOKFVFMPAU-UHFFFAOYSA-N 0 3 307.441 4.458 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1cc(O)cc(F)c1 ZINC000472046481 339360556 /nfs/dbraw/zinc/36/05/56/339360556.db2.gz DYHKSEUUKXROAF-BBATYDOGSA-N 0 3 303.352 4.084 20 0 DIADHN CCSc1cccc(CNCCSCC(F)(F)F)c1 ZINC000472053729 339361131 /nfs/dbraw/zinc/36/11/31/339361131.db2.gz OANHLRWIZHYYHN-UHFFFAOYSA-N 0 3 309.422 4.184 20 0 DIADHN COc1ccccc1[C@H](CNCc1ccccc1C)OC(C)C ZINC000472060670 339361568 /nfs/dbraw/zinc/36/15/68/339361568.db2.gz XBMWVOMYSDMXCZ-FQEVSTJZSA-N 0 3 313.441 4.260 20 0 DIADHN COc1cc(CNCc2cc3c(ccc(C)c3C)[nH]2)ccc1C ZINC000472061345 339361725 /nfs/dbraw/zinc/36/17/25/339361725.db2.gz CSIWWEVLNFETBW-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](C)Oc1ccccc1C ZINC000472128513 339363569 /nfs/dbraw/zinc/36/35/69/339363569.db2.gz QKJXZXGGSHYVOT-UONOGXRCSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](C)Oc1cccc(F)c1 ZINC000472128105 339363591 /nfs/dbraw/zinc/36/35/91/339363591.db2.gz MEPVTCSHQQNBLP-RYUDHWBXSA-N 0 3 309.406 4.014 20 0 DIADHN C/C=C/C[C@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000472139964 339364011 /nfs/dbraw/zinc/36/40/11/339364011.db2.gz JRLYGMHIGXPHTL-YWVDXFKGSA-N 0 3 305.805 4.017 20 0 DIADHN C/C=C/C[C@@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000472139962 339364090 /nfs/dbraw/zinc/36/40/90/339364090.db2.gz JRLYGMHIGXPHTL-IBUXWKBASA-N 0 3 305.805 4.017 20 0 DIADHN COc1ccsc1[C@H](C)NCC(C)(C)Oc1ccccc1 ZINC000472143250 339364153 /nfs/dbraw/zinc/36/41/53/339364153.db2.gz ZAXVGSJYKNYXTQ-ZDUSSCGKSA-N 0 3 305.443 4.265 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](c1ccc(C)cc1)N(C)C ZINC000472158162 339364817 /nfs/dbraw/zinc/36/48/17/339364817.db2.gz NWEAGMOYUKTLSD-GOEBONIOSA-N 0 3 318.486 4.019 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC2(CO)CCCC2)o1 ZINC000472157949 339364838 /nfs/dbraw/zinc/36/48/38/339364838.db2.gz NIRAPEDDOUADHP-UHFFFAOYSA-N 0 3 317.404 4.036 20 0 DIADHN CCN(Cc1ccncc1Cl)[C@@H](C)Cc1ccc(OC)cc1 ZINC000472240347 339367288 /nfs/dbraw/zinc/36/72/88/339367288.db2.gz JRGDMDRWSOYIQL-AWEZNQCLSA-N 0 3 318.848 4.197 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3ccc(Cl)cc3)o2)C(C)(C)C1 ZINC000472285985 339368899 /nfs/dbraw/zinc/36/88/99/339368899.db2.gz GUHBMVNNWFGEKL-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ccncc2Cl)cc1 ZINC000472440435 339371786 /nfs/dbraw/zinc/37/17/86/339371786.db2.gz QZWLJAZCKVCTRB-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN CN(CCCc1c(F)cccc1Cl)CCc1nccs1 ZINC000472581330 339374333 /nfs/dbraw/zinc/37/43/33/339374333.db2.gz GTYZKYDJTHYCKV-UHFFFAOYSA-N 0 3 312.841 4.043 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N[C@@H]1CCCC1(C)C ZINC000472594923 339374871 /nfs/dbraw/zinc/37/48/71/339374871.db2.gz GAVRBAMPWJTFJT-IUODEOHRSA-N 0 3 308.853 4.144 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nc(-c2ccco2)no1 ZINC000472606984 339374993 /nfs/dbraw/zinc/37/49/93/339374993.db2.gz MFZIJKXNTKVBCE-ZIAGYGMSSA-N 0 3 303.406 4.024 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@H]3CCCC3(C)C)n2)cc1F ZINC000472625082 339375367 /nfs/dbraw/zinc/37/53/67/339375367.db2.gz XHAYJMNKWHONMR-DOMZBBRYSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCCC3(C)C)n2)cc1F ZINC000472625085 339375476 /nfs/dbraw/zinc/37/54/76/339375476.db2.gz XHAYJMNKWHONMR-WFASDCNBSA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CC=C(c3c(F)cccc3F)CC2)CCO1 ZINC000473120721 339381360 /nfs/dbraw/zinc/38/13/60/339381360.db2.gz GGZPHDHMLCOODV-GOEBONIOSA-N 0 3 321.411 4.259 20 0 DIADHN Cc1ccc2[nH]c(CN3CCCC4(CCOCC4)C3)cc2c1C ZINC000473138828 339381941 /nfs/dbraw/zinc/38/19/41/339381941.db2.gz GYUMVUJOCXWRCJ-UHFFFAOYSA-N 0 3 312.457 4.177 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1sc2c(c1C)CCCC2 ZINC000473217387 339383718 /nfs/dbraw/zinc/38/37/18/339383718.db2.gz UAKHYFWCGQWXLT-AWEZNQCLSA-N 0 3 308.491 4.041 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1cccc(NC2CCCC2)c1 ZINC000473214077 339383805 /nfs/dbraw/zinc/38/38/05/339383805.db2.gz HYDFCAOERIJEBX-SFHVURJKSA-N 0 3 317.477 4.146 20 0 DIADHN CCOC1CC(CCNCc2c(Cl)cccc2Cl)C1 ZINC000474002978 339396834 /nfs/dbraw/zinc/39/68/34/339396834.db2.gz YNEUCLSPGXPPMJ-UHFFFAOYSA-N 0 3 302.245 4.288 20 0 DIADHN COc1ccc2nc(CNC[C@H](C)c3ccccc3)sc2c1 ZINC000474017157 339397244 /nfs/dbraw/zinc/39/72/44/339397244.db2.gz ACUABVFECVQPBS-ZDUSSCGKSA-N 0 3 312.438 4.198 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](C)C3CCC(F)(F)CC3)nc2c1 ZINC000474032373 339397826 /nfs/dbraw/zinc/39/78/26/339397826.db2.gz ISOGFJDTTMYOTO-GFCCVEGCSA-N 0 3 307.388 4.175 20 0 DIADHN Cc1sc(CN[C@@H]2CCCC[C@@H]2[C@@H]2CCOC2)nc1C(C)C ZINC000474035840 339398167 /nfs/dbraw/zinc/39/81/67/339398167.db2.gz QOSGAZZFGHSNQM-BZUAXINKSA-N 0 3 322.518 4.260 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H](C)C2CCC(F)(F)CC2)o1 ZINC000474038218 339398246 /nfs/dbraw/zinc/39/82/46/339398246.db2.gz XEUFLPYWARXVFD-IUODEOHRSA-N 0 3 315.404 4.333 20 0 DIADHN COc1c2ccccc2oc1CN[C@H](C)C1CCSCC1 ZINC000474054885 339399569 /nfs/dbraw/zinc/39/95/69/339399569.db2.gz ONTDVSMEZDPQTE-GFCCVEGCSA-N 0 3 305.443 4.063 20 0 DIADHN COc1ccc2nc(CNCCC3=CCCCC3)sc2c1 ZINC000474360041 339410922 /nfs/dbraw/zinc/41/09/22/339410922.db2.gz WPRXCOQJCWHBHZ-UHFFFAOYSA-N 0 3 302.443 4.285 20 0 DIADHN CCc1cccc(F)c1CNCCc1csc(C(C)C)n1 ZINC000474609233 339419729 /nfs/dbraw/zinc/41/97/29/339419729.db2.gz WYTRVXJDDBTZSP-UHFFFAOYSA-N 0 3 306.450 4.300 20 0 DIADHN CC[C@@H](N[C@@H](C)C1C(C)(C)C1(C)C)c1nnc2n1CCCCC2 ZINC000474625207 339420680 /nfs/dbraw/zinc/42/06/80/339420680.db2.gz YBLRIWZEPAFUNK-UONOGXRCSA-N 0 3 318.509 4.116 20 0 DIADHN CC[C@H](CCO)NCc1c(Cl)cccc1SC(C)C ZINC000474806453 339427183 /nfs/dbraw/zinc/42/71/83/339427183.db2.gz XZNRHCRCNVLOIX-GFCCVEGCSA-N 0 3 301.883 4.091 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@@H]2NCc2cnccc2C)c1 ZINC000474879494 339429594 /nfs/dbraw/zinc/42/95/94/339429594.db2.gz QTGZUEHTBGQNJH-PMACEKPBSA-N 0 3 310.441 4.178 20 0 DIADHN CC(C)C[C@@H](CN[C@H](CO)c1ccsc1)c1ccccc1 ZINC000474946239 339432927 /nfs/dbraw/zinc/43/29/27/339432927.db2.gz UBYCZQZDRDRRFF-ZWKOTPCHSA-N 0 3 303.471 4.201 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2nc3c(s2)C[C@@H](C)CC3)o1 ZINC000498358706 339434592 /nfs/dbraw/zinc/43/45/92/339434592.db2.gz HYALFQXUSMZBFB-QWHCGFSZSA-N 0 3 318.486 4.280 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1nc(C(F)(F)F)cs1 ZINC000475152581 339439979 /nfs/dbraw/zinc/43/99/79/339439979.db2.gz AGHHVPQBLAHBSJ-GHMZBOCLSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@@H](CC(C)(C)C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000475285142 339444154 /nfs/dbraw/zinc/44/41/54/339444154.db2.gz XYNPEZZWJBJZKZ-WMZOPIPTSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H]1CC[C@@H](CNC(c2ccc(F)cc2)c2ccc(F)cc2)O1 ZINC000475322050 339446114 /nfs/dbraw/zinc/44/61/14/339446114.db2.gz XNUWLHJZOCKPPC-ACJLOTCBSA-N 0 3 317.379 4.211 20 0 DIADHN C[C@@H](NCCC1CCCCC1)c1ccc(Br)cn1 ZINC000475506791 339451763 /nfs/dbraw/zinc/45/17/63/339451763.db2.gz JHWDTCCLDXGOLR-GFCCVEGCSA-N 0 3 311.267 4.465 20 0 DIADHN COCCC1(NCc2csc(-c3ccc(C)cc3)n2)CCC1 ZINC000475492102 339452494 /nfs/dbraw/zinc/45/24/94/339452494.db2.gz KRQZDBKQDHHWTR-UHFFFAOYSA-N 0 3 316.470 4.167 20 0 DIADHN Cc1nn(C)c(C)c1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000475574623 339456251 /nfs/dbraw/zinc/45/62/51/339456251.db2.gz PHFQVNJYFZDKNY-UHFFFAOYSA-N 0 3 317.864 4.070 20 0 DIADHN C[C@H](NC[C@@H](O)CC1CCCC1)c1cc2cccc(F)c2o1 ZINC000475722757 339460509 /nfs/dbraw/zinc/46/05/09/339460509.db2.gz TVTVXVZDTSUCOC-WFASDCNBSA-N 0 3 305.393 4.164 20 0 DIADHN Fc1cccc(-c2cnc(CN(CC3CC3)CC3CC3)o2)c1 ZINC000477044634 339485328 /nfs/dbraw/zinc/48/53/28/339485328.db2.gz CLBURSCDAOCOIH-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1c([C@@H](C)NC[C@H]2CCC3(CCCCC3)O2)cnn1C(C)C ZINC000477841707 339502336 /nfs/dbraw/zinc/50/23/36/339502336.db2.gz CLKVAYYGTSAROM-NVXWUHKLSA-N 0 3 319.493 4.305 20 0 DIADHN C[C@H](NC[C@](C)(O)C1CCCCC1)c1ccc(Cl)s1 ZINC000477854567 339502780 /nfs/dbraw/zinc/50/27/80/339502780.db2.gz HOTHBCDISRIAIJ-NHYWBVRUSA-N 0 3 301.883 4.383 20 0 DIADHN C[C@@](O)(CN[C@H]1CCSc2ccc(F)cc21)C1CCCCC1 ZINC000477858264 339503028 /nfs/dbraw/zinc/50/30/28/339503028.db2.gz KDCYPWNCOZUFKO-FUHWJXTLSA-N 0 3 323.477 4.284 20 0 DIADHN COc1cccc2c1CC[C@@H]2NC[C@H]1CCC2(CCCCC2)O1 ZINC000477861240 339503114 /nfs/dbraw/zinc/50/31/14/339503114.db2.gz WNHDQYKKFDZKAH-QAPCUYQASA-N 0 3 315.457 4.154 20 0 DIADHN C[C@@H](NC[C@H]1CCO[C@@H]1c1ccccc1)c1ccc(F)cc1F ZINC000477931443 339505217 /nfs/dbraw/zinc/50/52/17/339505217.db2.gz CIBCJUYRTSKYLB-ZXYWRSMDSA-N 0 3 317.379 4.393 20 0 DIADHN C[C@H](NC[C@H]1CCO[C@@H]1c1ccccc1)c1ccc(F)cc1F ZINC000477931442 339505225 /nfs/dbraw/zinc/50/52/25/339505225.db2.gz CIBCJUYRTSKYLB-ZBQZNYHESA-N 0 3 317.379 4.393 20 0 DIADHN C[C@@H](NCC12CCC(CC1)C2)c1nc(-c2cccc(F)c2)no1 ZINC000478409320 339514883 /nfs/dbraw/zinc/51/48/83/339514883.db2.gz CWBLZENIYZLEPM-WHENESEISA-N 0 3 315.392 4.107 20 0 DIADHN COc1c(Br)cccc1CNc1cc(C)cc(C)n1 ZINC000478609748 339519173 /nfs/dbraw/zinc/51/91/73/339519173.db2.gz IBENVWLFCLDTOK-UHFFFAOYSA-N 0 3 321.218 4.082 20 0 DIADHN COc1ccccc1OC[C@@H](C)CN[C@@H](C)c1ccccc1F ZINC000478768692 339522181 /nfs/dbraw/zinc/52/21/81/339522181.db2.gz GLJVSAQJEGVKGS-GJZGRUSLSA-N 0 3 317.404 4.200 20 0 DIADHN FC(F)(F)CCN[C@@H]1CCCc2cc(Br)ccc21 ZINC000479070693 339526959 /nfs/dbraw/zinc/52/69/59/339526959.db2.gz QKWXEFSAMZFNRX-GFCCVEGCSA-N 0 3 322.168 4.369 20 0 DIADHN COC[C@@H](NCC1(C(F)F)CC1)c1cccc(C(F)(F)F)c1 ZINC000479455713 339535931 /nfs/dbraw/zinc/53/59/31/339535931.db2.gz SNFGSNYQZCTGBX-GFCCVEGCSA-N 0 3 323.305 4.028 20 0 DIADHN COC[C@H](NCCC1(C)CC1)c1cccc(C(F)(F)F)c1 ZINC000479466353 339536731 /nfs/dbraw/zinc/53/67/31/339536731.db2.gz ZVTLOFWAAOZHBD-AWEZNQCLSA-N 0 3 301.352 4.173 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H]3CCOc4ccccc43)cc2c(C)c1 ZINC000479563262 339541349 /nfs/dbraw/zinc/54/13/49/339541349.db2.gz MYAKEEFUSUKLBW-GOSISDBHSA-N 0 3 306.409 4.398 20 0 DIADHN COc1ccc(CNCc2cc3c(cc(C)cc3C)[nH]2)cc1OC ZINC000479565553 339541355 /nfs/dbraw/zinc/54/13/55/339541355.db2.gz AETGDUROXKSKKT-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN C[C@H](CNCc1cc(Cl)cc2cccnc21)C(F)(F)F ZINC000479595911 339542997 /nfs/dbraw/zinc/54/29/97/339542997.db2.gz ZWDHBNJXIVIAST-SECBINFHSA-N 0 3 302.727 4.176 20 0 DIADHN C[C@H](CNCc1ccc(-c2ccccc2F)o1)C(F)(F)F ZINC000479615196 339543987 /nfs/dbraw/zinc/54/39/87/339543987.db2.gz RCQHVIHKLBKMKG-SNVBAGLBSA-N 0 3 301.283 4.374 20 0 DIADHN Cc1c([C@@H](C)NCCC2=CCCCC2)nnn1-c1ccccc1 ZINC000479769725 339548274 /nfs/dbraw/zinc/54/82/74/339548274.db2.gz LSLZFYZIHBZIMG-OAHLLOKOSA-N 0 3 310.445 4.117 20 0 DIADHN Cc1cccc([C@H](C)NC[C@H](c2ccco2)N2CCCC2)c1C ZINC000479864893 339551357 /nfs/dbraw/zinc/55/13/57/339551357.db2.gz JWQOTSAATRKIIL-PKOBYXMFSA-N 0 3 312.457 4.384 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1cccc(OC2CCCC2)c1 ZINC000479866186 339551469 /nfs/dbraw/zinc/55/14/69/339551469.db2.gz RZOLXQYHVIDPPB-SFHVURJKSA-N 0 3 318.461 4.113 20 0 DIADHN Clc1cccc(Oc2ccccc2)c1CNC[C@@H]1CCOC1 ZINC000479921470 339553428 /nfs/dbraw/zinc/55/34/28/339553428.db2.gz ULNJKRGDSBRUNW-AWEZNQCLSA-N 0 3 317.816 4.258 20 0 DIADHN COc1ccccc1CCN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000480089039 339558641 /nfs/dbraw/zinc/55/86/41/339558641.db2.gz DZQKBDAIANMVQI-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN Cc1cccc2c(CCN[C@H](C)c3ccc(Cl)cn3)c[nH]c21 ZINC000480148493 339560623 /nfs/dbraw/zinc/56/06/23/339560623.db2.gz MXLGWBUKZRGAIQ-CYBMUJFWSA-N 0 3 313.832 4.418 20 0 DIADHN Cc1ccc2c(c1C)OC[C@H]2N[C@H](C)CCCC(F)(F)F ZINC000480172353 339561876 /nfs/dbraw/zinc/56/18/76/339561876.db2.gz SSIADOIPQSTEGL-BXUZGUMPSA-N 0 3 301.352 4.448 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480183487 339562440 /nfs/dbraw/zinc/56/24/40/339562440.db2.gz RSXMFJIWFXBQSB-OLZOCXBDSA-N 0 3 304.821 4.025 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480183490 339562506 /nfs/dbraw/zinc/56/25/06/339562506.db2.gz RSXMFJIWFXBQSB-QWHCGFSZSA-N 0 3 304.821 4.025 20 0 DIADHN C[C@@H](NCC1(c2cccc(F)c2)CC1)c1ccc(Cl)cn1 ZINC000480192575 339562880 /nfs/dbraw/zinc/56/28/80/339562880.db2.gz IGPQHIVAMORCKK-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN Cc1ccc2c(CCN[C@H](C)c3ccc(Cl)cn3)c[nH]c2c1 ZINC000480227897 339564221 /nfs/dbraw/zinc/56/42/21/339564221.db2.gz NKAUQJSGJFWNMC-CYBMUJFWSA-N 0 3 313.832 4.418 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2)C1)c1ccc(Cl)cn1 ZINC000480253609 339565432 /nfs/dbraw/zinc/56/54/32/339565432.db2.gz LVPKWLLDDSWFNW-GOEBONIOSA-N 0 3 315.848 4.055 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(-c3cccc(F)c3F)o2)CCO1 ZINC000480260236 339565803 /nfs/dbraw/zinc/56/58/03/339565803.db2.gz VDLHABVZZOSLMU-GFCCVEGCSA-N 0 3 321.367 4.272 20 0 DIADHN Fc1ccc2c(CCNCc3ccsc3Cl)c[nH]c2c1 ZINC000480280758 339566952 /nfs/dbraw/zinc/56/69/52/339566952.db2.gz DEQPFZNEMPKHMK-UHFFFAOYSA-N 0 3 308.809 4.354 20 0 DIADHN c1cc2cccc(CNCc3ccc(OCC4CC4)cc3)c2[nH]1 ZINC000480280101 339566986 /nfs/dbraw/zinc/56/69/86/339566986.db2.gz BVOCXFCAENKMGA-UHFFFAOYSA-N 0 3 306.409 4.247 20 0 DIADHN CCN(C)c1ccc(CN[C@H]2CCc3ccc(C(C)C)cc32)cn1 ZINC000480290253 339567379 /nfs/dbraw/zinc/56/73/79/339567379.db2.gz XGULNPPWMJQRQY-FQEVSTJZSA-N 0 3 323.484 4.438 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000480369562 339571012 /nfs/dbraw/zinc/57/10/12/339571012.db2.gz CKHKRCJWKJXEEE-CHWSQXEVSA-N 0 3 323.383 4.112 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2ccc(Br)o2)C1 ZINC000480383439 339571488 /nfs/dbraw/zinc/57/14/88/339571488.db2.gz KXJWMUOHUKQPJA-PWSUYJOCSA-N 0 3 318.280 4.196 20 0 DIADHN Clc1ccccc1CCNCc1ccc(Br)o1 ZINC000480381685 339571548 /nfs/dbraw/zinc/57/15/48/339571548.db2.gz GQNLKSHLKJATBD-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ccc(Br)o1 ZINC000480391247 339571957 /nfs/dbraw/zinc/57/19/57/339571957.db2.gz VLUOZNYZCZMTPY-VXGBXAGGSA-N 0 3 318.280 4.196 20 0 DIADHN CCN(C)c1ccc(CN[C@H](CC(C)C)c2cccs2)cn1 ZINC000480658464 339581536 /nfs/dbraw/zinc/58/15/36/339581536.db2.gz CZLBQTHOQQXMAG-MRXNPFEDSA-N 0 3 317.502 4.476 20 0 DIADHN C[C@@H](NC[C@@H](C)C(F)(F)F)c1ccc(F)cc1OC(F)F ZINC000480660251 339581769 /nfs/dbraw/zinc/58/17/69/339581769.db2.gz FVEVUIPPXRZZIP-HTQZYQBOSA-N 0 3 315.257 4.276 20 0 DIADHN Clc1sccc1CN[C@H](CN1CCCC1)c1ccccc1 ZINC000480665040 339582097 /nfs/dbraw/zinc/58/20/97/339582097.db2.gz FRAOUQFXNKGULG-MRXNPFEDSA-N 0 3 320.889 4.328 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)C(F)(F)F)ccc1SC ZINC000480671103 339582510 /nfs/dbraw/zinc/58/25/10/339582510.db2.gz FDZFPKZZNDRWQR-ZJUUUORDSA-N 0 3 307.381 4.266 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@@H](CO)Cc1ccccc1 ZINC000480677485 339582944 /nfs/dbraw/zinc/58/29/44/339582944.db2.gz DYAGYKNFXJLUTF-DOTOQJQBSA-N 0 3 315.482 4.053 20 0 DIADHN Cc1cc2ncc([C@@H](C)NCc3cccc(C(C)C)c3)c(C)n2n1 ZINC000480695933 339583775 /nfs/dbraw/zinc/58/37/75/339583775.db2.gz VATJXTXRCOAQQS-OAHLLOKOSA-N 0 3 322.456 4.320 20 0 DIADHN COc1cccc(CCN[C@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000480710546 339584305 /nfs/dbraw/zinc/58/43/05/339584305.db2.gz OZTRBWFUTFNNGB-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CC(C)=CCC[C@@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721981 339584774 /nfs/dbraw/zinc/58/47/74/339584774.db2.gz WPRQGSWKEPQIGS-DNVCBOLYSA-N 0 3 315.436 4.373 20 0 DIADHN C[C@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1cc(F)cc(F)c1 ZINC000480915856 339590767 /nfs/dbraw/zinc/59/07/67/339590767.db2.gz YNFCDCXZQXXOJS-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN COCCOc1ccccc1CN[C@@H](C)c1ccc(C)c(C)c1 ZINC000481047104 339594298 /nfs/dbraw/zinc/59/42/98/339594298.db2.gz JLFOPKPZOWJMJB-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000481164191 339596782 /nfs/dbraw/zinc/59/67/82/339596782.db2.gz BAOPELUOYDZHRR-KBXCAEBGSA-N 0 3 314.429 4.409 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nc2ccccc2n1C(F)F ZINC000481188064 339597819 /nfs/dbraw/zinc/59/78/19/339597819.db2.gz XVLVZHUXYUFQKJ-OLZOCXBDSA-N 0 3 307.388 4.490 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@H](C)C[C@H]3C)co2)cc1 ZINC000481195560 339598260 /nfs/dbraw/zinc/59/82/60/339598260.db2.gz VXYUUJODCQFGKC-PMUMKWKESA-N 0 3 314.429 4.265 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCCC(=O)N(C)c2ccccc2)c1 ZINC000481408216 339603397 /nfs/dbraw/zinc/60/33/97/339603397.db2.gz TULTVNRVNTYTRL-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)COc2ccc(F)cc2F)c1 ZINC000481534949 339606026 /nfs/dbraw/zinc/60/60/26/339606026.db2.gz OPMNTILWKPVOJX-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H]2CCN(c3ccc(F)cc3)C2)o1 ZINC000481557067 339606498 /nfs/dbraw/zinc/60/64/98/339606498.db2.gz LBJPNZSPVRBQQG-GJZGRUSLSA-N 0 3 316.420 4.158 20 0 DIADHN CC[C@H](NC[C@H](O)CCC(C)C)c1ccccc1OC(F)F ZINC000481705527 339610369 /nfs/dbraw/zinc/61/03/69/339610369.db2.gz UHFZGANRNIKGJJ-HIFRSBDPSA-N 0 3 315.404 4.126 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc(Cl)cc3)CC2)nc1 ZINC000481835360 339615076 /nfs/dbraw/zinc/61/50/76/339615076.db2.gz DJTRRFJBEDLSMU-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CO[C@H](C)CCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000481934909 339617314 /nfs/dbraw/zinc/61/73/14/339617314.db2.gz LSFYGHMWJOHMNF-NXEZZACHSA-N 0 3 307.768 4.017 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@@H](CC)c1nnc2n1CCCCC2 ZINC000481918993 339616698 /nfs/dbraw/zinc/61/66/98/339616698.db2.gz HKBGLVOZYISXGO-JYJNAYRXSA-N 0 3 306.498 4.260 20 0 DIADHN CC[C@H](N[C@@H](CC)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000481935084 339617440 /nfs/dbraw/zinc/61/74/40/339617440.db2.gz MFFGAZPYTLNMCZ-IRXDYDNUSA-N 0 3 318.509 4.404 20 0 DIADHN CO[C@@H](C)[C@@H](C)NCc1ccc(OC(C)C)cc1C(F)(F)F ZINC000481941919 339617555 /nfs/dbraw/zinc/61/75/55/339617555.db2.gz NXZDGLPMTVTKIE-NEPJUHHUSA-N 0 3 319.367 4.006 20 0 DIADHN CCCCOCCN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000481950482 339617822 /nfs/dbraw/zinc/61/78/22/339617822.db2.gz BQXAQWOJLLKEKV-CYBMUJFWSA-N 0 3 301.377 4.064 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2ccccc2C)N2CCCC2)o1 ZINC000482020221 339620178 /nfs/dbraw/zinc/62/01/78/339620178.db2.gz XUHLTLVPQWUNJX-PKOBYXMFSA-N 0 3 312.457 4.384 20 0 DIADHN C[C@@H](NCc1ccc(OCC2CC2)nc1)c1ccc2c(c1)CCC2 ZINC000482121080 339623942 /nfs/dbraw/zinc/62/39/42/339623942.db2.gz MVGPQJFGQZJKGD-OAHLLOKOSA-N 0 3 322.452 4.210 20 0 DIADHN Cc1cc(C)c([C@H](C)NCCC(=O)N2CCCCCC2)cc1C ZINC000482141515 339624546 /nfs/dbraw/zinc/62/45/46/339624546.db2.gz YDDFDUFGUSXTOW-SFHVURJKSA-N 0 3 316.489 4.055 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)OCCCO3)cc1C ZINC000482235778 339626938 /nfs/dbraw/zinc/62/69/38/339626938.db2.gz FHLHAZWHHSFXGP-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ccn2cc(CN[C@H](C)C(C)(C)c3ccccc3)nc2c1 ZINC000482492622 339633326 /nfs/dbraw/zinc/63/33/26/339633326.db2.gz CRBWTEZOMVLDDD-MRXNPFEDSA-N 0 3 307.441 4.099 20 0 DIADHN CCOc1ccccc1[C@H](C)NCCc1ccc(OC)c(F)c1 ZINC000482527482 339634693 /nfs/dbraw/zinc/63/46/93/339634693.db2.gz DGKXBZXPWMOKKK-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN C[C@@H](N[C@H]1CCCOC1)c1ccc2ccccc2c1OC(F)F ZINC000482618059 339637598 /nfs/dbraw/zinc/63/75/98/339637598.db2.gz FUABLWMSSVRQMJ-OCCSQVGLSA-N 0 3 321.367 4.271 20 0 DIADHN COC[C@@H](N[C@H](C)c1oc2ccc(OC)cc2c1C)C(C)C ZINC000482622815 339637655 /nfs/dbraw/zinc/63/76/55/339637655.db2.gz XXNPPHVWPPXAOC-CZUORRHYSA-N 0 3 305.418 4.071 20 0 DIADHN COC[C@@H](N[C@@H](C)c1oc2ccc(OC)cc2c1C)C(C)C ZINC000482622816 339637671 /nfs/dbraw/zinc/63/76/71/339637671.db2.gz XXNPPHVWPPXAOC-XJKSGUPXSA-N 0 3 305.418 4.071 20 0 DIADHN CC[C@](C)(O)CN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000482645222 339638756 /nfs/dbraw/zinc/63/87/56/339638756.db2.gz XROCZYBXVJNADA-XIKOKIGWSA-N 0 3 323.383 4.253 20 0 DIADHN CC[C@@H](NCc1cc(Oc2ccc(F)cc2)ccn1)C1CC1 ZINC000482720948 339640884 /nfs/dbraw/zinc/64/08/84/339640884.db2.gz RHNUJUUGTUBFGA-GOSISDBHSA-N 0 3 300.377 4.291 20 0 DIADHN COc1ccc2oc(CN[C@@H]3c4ccccc4C[C@@H]3C)cc2c1 ZINC000482758039 339641780 /nfs/dbraw/zinc/64/17/80/339641780.db2.gz ZAKGGAWFHZOZOR-RBZFPXEDSA-N 0 3 307.393 4.465 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000482815435 339643250 /nfs/dbraw/zinc/64/32/50/339643250.db2.gz ZIWKBWCXZOUQJX-WMLDXEAASA-N 0 3 323.452 4.062 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2ccccc2)cc(C)c1F ZINC000482957810 339648472 /nfs/dbraw/zinc/64/84/72/339648472.db2.gz HPAZRLWLQLBANO-OAHLLOKOSA-N 0 3 314.404 4.122 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(-n3ccnc3)c2)cc(C)c1F ZINC000483128740 339653127 /nfs/dbraw/zinc/65/31/27/339653127.db2.gz QHRIZZTYLAJJBZ-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)s1 ZINC000483134913 339653399 /nfs/dbraw/zinc/65/33/99/339653399.db2.gz NRXYITAETKLRCF-SWLSCSKDSA-N 0 3 324.440 4.288 20 0 DIADHN C[C@H](NC[C@@H](c1c(F)cccc1F)N(C)C)c1ccc(F)cc1 ZINC000483151435 339654195 /nfs/dbraw/zinc/65/41/95/339654195.db2.gz QPEPWBHSOYLNQF-SJCJKPOMSA-N 0 3 322.374 4.057 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccc(-n3cncn3)c2)cc1 ZINC000483149640 339654284 /nfs/dbraw/zinc/65/42/84/339654284.db2.gz GDXYGJZMJPHHIV-MRXNPFEDSA-N 0 3 320.440 4.071 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NC[C@@H](O)CC(C)(C)C)c1 ZINC000483186107 339655300 /nfs/dbraw/zinc/65/53/00/339655300.db2.gz SWWRJHFYDBWMTM-STQMWFEESA-N 0 3 315.404 4.044 20 0 DIADHN C[C@H](c1cnccn1)N1CCC(C)(c2cccc(Cl)c2)CC1 ZINC000483272419 339658897 /nfs/dbraw/zinc/65/88/97/339658897.db2.gz RJHCZXQOIFYDPQ-CQSZACIVSA-N 0 3 315.848 4.245 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc2ccccc2n1)Oc1cccc(F)c1 ZINC000483537836 339668590 /nfs/dbraw/zinc/66/85/90/339668590.db2.gz KCBNXLVHBBHCBV-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](C)Oc2cccc(F)c2)s1 ZINC000483544370 339668618 /nfs/dbraw/zinc/66/86/18/339668618.db2.gz NQQQZAPEWZAKIO-RYUDHWBXSA-N 0 3 322.449 4.271 20 0 DIADHN CCC[C@@H](C)CN[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483633778 339670102 /nfs/dbraw/zinc/67/01/02/339670102.db2.gz GEJGEYUSRKPSRE-YPMHNXCESA-N 0 3 305.397 4.271 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@H](C)c2ccc(F)cc2F)cc1 ZINC000483643712 339670505 /nfs/dbraw/zinc/67/05/05/339670505.db2.gz OKHCYOCOSZQHOT-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1n1cccn1)c1ccccc1Cl ZINC000483697978 339671922 /nfs/dbraw/zinc/67/19/22/339671922.db2.gz FTUWGHCTYVVOQB-XKQJLSEDSA-N 0 3 303.837 4.371 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1ccccc1OC(F)(F)F ZINC000483734037 339673461 /nfs/dbraw/zinc/67/34/61/339673461.db2.gz ORCWTNWUEUZBOE-OSAQELSMSA-N 0 3 317.351 4.193 20 0 DIADHN CC[C@H](COC)NCc1ccnc(Oc2cccc(C)c2C)c1 ZINC000483851318 339676532 /nfs/dbraw/zinc/67/65/32/339676532.db2.gz QFVNRYFVOPWXAH-QGZVFWFLSA-N 0 3 314.429 4.005 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H](CC)C[C@@H](C)CO ZINC000483861328 339677026 /nfs/dbraw/zinc/67/70/26/339677026.db2.gz PUXJCRCNWIBTEH-VNHYZAJKSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1cccc(Cl)c1Cl ZINC000483869771 339677553 /nfs/dbraw/zinc/67/75/53/339677553.db2.gz XWLBMMYTLCIGCR-IJLUTSLNSA-N 0 3 304.261 4.441 20 0 DIADHN COC[C@@H](N[C@H](Cc1ccccc1)c1ccccc1F)C1CC1 ZINC000484125682 339681397 /nfs/dbraw/zinc/68/13/97/339681397.db2.gz HPDCFHNSUVDMDB-WOJBJXKFSA-N 0 3 313.416 4.124 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1ccc(-c2ccccc2)o1 ZINC000484158689 339682346 /nfs/dbraw/zinc/68/23/46/339682346.db2.gz FXRZEALBKBGTIT-JECZMYCTSA-N 0 3 311.425 4.411 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccc(F)cc1F)c1cccnc1 ZINC000484312302 339684754 /nfs/dbraw/zinc/68/47/54/339684754.db2.gz SQAQMTIVOPYZGC-SUMWQHHRSA-N 0 3 304.384 4.450 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1cc(Cl)ccc1OC(F)F ZINC000484469831 339686683 /nfs/dbraw/zinc/68/66/83/339686683.db2.gz CLXNWKAFJLKVDC-GXSJLCMTSA-N 0 3 321.820 4.488 20 0 DIADHN C[C@H]1C[C@H](NC[C@@]2(C)CCCC[C@@H]2O)c2ccsc2S1 ZINC000484480470 339687123 /nfs/dbraw/zinc/68/71/23/339687123.db2.gz OIWJPKKTUYCADN-ATVPRXPUSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@@H](NC[C@@H](CO)c1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000484484766 339687482 /nfs/dbraw/zinc/68/74/82/339687482.db2.gz OHXBGHRYKXQNAV-APWZRJJASA-N 0 3 321.420 4.373 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1ccccc1OC(F)(F)F ZINC000484486489 339687540 /nfs/dbraw/zinc/68/75/40/339687540.db2.gz LINYZNKMBITHNB-GHMZBOCLSA-N 0 3 305.365 4.131 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1cc(Cl)ccc1OC(F)F ZINC000484654625 339691769 /nfs/dbraw/zinc/69/17/69/339691769.db2.gz QOXQJDNZOJXLNL-GXSJLCMTSA-N 0 3 321.820 4.488 20 0 DIADHN Cc1cnc(CN[C@@H]2CCCC[C@@H]2Oc2ccccc2C)s1 ZINC000484653607 339691788 /nfs/dbraw/zinc/69/17/88/339691788.db2.gz QHOZGGCDACQSBQ-WBVHZDCISA-N 0 3 316.470 4.240 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)cn1)c1ccc(Cl)s1 ZINC000498479611 339707613 /nfs/dbraw/zinc/70/76/13/339707613.db2.gz AQEVOKFGXMNNMT-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN Cc1cccn2c(CN[C@H](C)c3ccc4ncsc4c3)cnc12 ZINC000485694395 339709656 /nfs/dbraw/zinc/70/96/56/339709656.db2.gz JGOVLDFMLPIIPJ-CYBMUJFWSA-N 0 3 322.437 4.103 20 0 DIADHN C[C@@H](NCC1=Cc2ccccc2OC1)c1ccc2ncsc2c1 ZINC000485710209 339710527 /nfs/dbraw/zinc/71/05/27/339710527.db2.gz HAFQIZZMZPIRQT-CYBMUJFWSA-N 0 3 322.433 4.423 20 0 DIADHN CN(C)c1ccccc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC000485725409 339711359 /nfs/dbraw/zinc/71/13/59/339711359.db2.gz ODNVMPJGVRQHLM-INIZCTEOSA-N 0 3 322.374 4.188 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@@H](c2ccco2)c2ccccc2)CCO1 ZINC000486050706 339719354 /nfs/dbraw/zinc/71/93/54/339719354.db2.gz HYXBNXYEDPTMIM-FFZOFVMBSA-N 0 3 313.441 4.410 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1ccc(Cl)s1 ZINC000498483507 339720924 /nfs/dbraw/zinc/72/09/24/339720924.db2.gz FHHPXYURIIGJGM-UWVGGRQHSA-N 0 3 303.855 4.172 20 0 DIADHN C[C@@H](Nc1ccnc2ccccc21)c1nc(C(F)(F)F)cs1 ZINC000486490076 339728275 /nfs/dbraw/zinc/72/82/75/339728275.db2.gz RYRMAHWAIZYJQV-SECBINFHSA-N 0 3 323.343 4.305 20 0 DIADHN CCCC[C@H](CCC)CNC(=O)c1ccccc1CN(C)C ZINC000486720111 339732428 /nfs/dbraw/zinc/73/24/28/339732428.db2.gz ITDUHGNDXKWEMA-INIZCTEOSA-N 0 3 304.478 4.085 20 0 DIADHN Cn1cnc2cc(CN3CCC(c4ccsc4)CC3)ccc21 ZINC000486915811 339737998 /nfs/dbraw/zinc/73/79/98/339737998.db2.gz RODIWZPWCZWPFS-UHFFFAOYSA-N 0 3 311.454 4.014 20 0 DIADHN C[C@@H]1CC[C@@H](NC(=O)c2ccccc2CN(C)C)c2ccccc21 ZINC000486929845 339738528 /nfs/dbraw/zinc/73/85/28/339738528.db2.gz VYEVQJAQOVZZEH-FOIQADDNSA-N 0 3 322.452 4.117 20 0 DIADHN C[C@@H](COc1ccc(F)cc1F)NCc1cc(Cl)cs1 ZINC000486931582 339738636 /nfs/dbraw/zinc/73/86/36/339738636.db2.gz FPGSDBJXTVDDQV-VIFPVBQESA-N 0 3 317.788 4.237 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC/C=C/c1ccncc1)CCS2 ZINC000486964775 339740489 /nfs/dbraw/zinc/74/04/89/339740489.db2.gz HVBOWLCGOQWSAL-PYKQWIPUSA-N 0 3 300.402 4.061 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NC(=O)c2ccccc2CN(C)C)CC1 ZINC000487170367 339745108 /nfs/dbraw/zinc/74/51/08/339745108.db2.gz HSUXVBXLFLZEBS-WMZOPIPTSA-N 0 3 316.489 4.083 20 0 DIADHN COc1ccc(CN[C@H](C)CC2CCCCC2)c(OC)c1OC ZINC000487218367 339746699 /nfs/dbraw/zinc/74/66/99/339746699.db2.gz VFXGPJDIGIRRFU-CQSZACIVSA-N 0 3 321.461 4.161 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1cccc(N2CCCC2)c1 ZINC000487267052 339747916 /nfs/dbraw/zinc/74/79/16/339747916.db2.gz DPEVGWVUJRCXOL-RTRPANQVSA-N 0 3 307.441 4.046 20 0 DIADHN Clc1cccc(Cl)c1C1(NC[C@H]2CCSC2)CC1 ZINC000487304987 339750318 /nfs/dbraw/zinc/75/03/18/339750318.db2.gz BJFUGWAQIPQLQW-SNVBAGLBSA-N 0 3 302.270 4.325 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NCc2cc(Cl)cs2)C1 ZINC000487319624 339751179 /nfs/dbraw/zinc/75/11/79/339751179.db2.gz GWHXDJQIUMUZIG-CJNGLKHVSA-N 0 3 320.889 4.327 20 0 DIADHN CCC(CC)[C@H](CCNCc1cnc(-c2ccco2)s1)OC ZINC000487557868 339757287 /nfs/dbraw/zinc/75/72/87/339757287.db2.gz KHEGXIBZXAVORY-HNNXBMFYSA-N 0 3 322.474 4.334 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccncc2CC)cc1F ZINC000487564885 339757542 /nfs/dbraw/zinc/75/75/42/339757542.db2.gz UWYLNGYDRZUWRZ-CYBMUJFWSA-N 0 3 302.393 4.033 20 0 DIADHN C[C@@H](CN[C@H](C)c1nccn1-c1ccccc1)c1ccc(F)cc1 ZINC000488272552 339775238 /nfs/dbraw/zinc/77/52/38/339775238.db2.gz MKGFMZRYPFGEFU-JKSUJKDBSA-N 0 3 323.415 4.466 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2nccn2-c2ccccc2)c1 ZINC000488402603 339779794 /nfs/dbraw/zinc/77/97/94/339779794.db2.gz KFYUDNAREWWRBS-QGZVFWFLSA-N 0 3 305.425 4.074 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccccc2OC2CCC2)CS1 ZINC000488416642 339780833 /nfs/dbraw/zinc/78/08/33/339780833.db2.gz VHJZISLAKMENCC-MAUKXSAKSA-N 0 3 305.487 4.238 20 0 DIADHN COc1cccc(C(NC[C@H]2C[C@@H]2C)c2cccc(OC)c2)c1 ZINC000488436078 339782166 /nfs/dbraw/zinc/78/21/66/339782166.db2.gz WRYXVUHHDKTLRL-WMLDXEAASA-N 0 3 311.425 4.039 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@@H]2CS[C@H](C(C)C)C2)c1 ZINC000488451067 339783219 /nfs/dbraw/zinc/78/32/19/339783219.db2.gz RUWWCBQGCIPWHZ-ZFWWWQNUSA-N 0 3 315.429 4.216 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@H]2CS[C@@H](C(C)C)C2)c1 ZINC000488451066 339783243 /nfs/dbraw/zinc/78/32/43/339783243.db2.gz RUWWCBQGCIPWHZ-UKRRQHHQSA-N 0 3 315.429 4.216 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000488454416 339783522 /nfs/dbraw/zinc/78/35/22/339783522.db2.gz CXLQTSUZSLRSPR-PBHICJAKSA-N 0 3 302.368 4.333 20 0 DIADHN CCCCCn1cc(CN[C@@H]2CS[C@@H](C(C)C)C2)c(C)n1 ZINC000488459253 339783784 /nfs/dbraw/zinc/78/37/84/339783784.db2.gz KWEZVUSXMUHOEB-DLBZAZTESA-N 0 3 309.523 4.001 20 0 DIADHN O=C(C[C@@H]1C=CCCC1)Nc1cccc(CN2CCCCC2)c1 ZINC000488612311 339789217 /nfs/dbraw/zinc/78/92/17/339789217.db2.gz LYVHGIDOAQTORI-QGZVFWFLSA-N 0 3 312.457 4.357 20 0 DIADHN CCCc1nc(C)c(CNc2c(C)cnc3c(C)cccc32)o1 ZINC000488782826 339793510 /nfs/dbraw/zinc/79/35/10/339793510.db2.gz KBLBPWZEHDOLTM-UHFFFAOYSA-N 0 3 309.413 4.135 20 0 DIADHN COc1ccccc1-c1ncc(CNCCC2=CCCCC2)cn1 ZINC000490559566 339834374 /nfs/dbraw/zinc/83/43/74/339834374.db2.gz VOWFWXWKGJYXJO-UHFFFAOYSA-N 0 3 323.440 4.132 20 0 DIADHN Clc1ccc(OCC2CC2)c(CN[C@@H]2CCCSC2)c1 ZINC000491595524 339868379 /nfs/dbraw/zinc/86/83/79/339868379.db2.gz AWZLLEKGDZTORD-OAHLLOKOSA-N 0 3 311.878 4.114 20 0 DIADHN Cc1cc(Br)cc2c1[C@@H](NCCC(F)(F)F)CC2 ZINC000491854878 339885305 /nfs/dbraw/zinc/88/53/05/339885305.db2.gz UNGLBBOTBJMQNF-NSHDSACASA-N 0 3 322.168 4.287 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2CCC[C@H](C(F)(F)F)C2)o1 ZINC000492026373 339889503 /nfs/dbraw/zinc/88/95/03/339889503.db2.gz YTJNSXJAYWDJQE-RYUDHWBXSA-N 0 3 304.356 4.146 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@H]2OCCc3sccc32)cc1 ZINC000492192857 339893863 /nfs/dbraw/zinc/89/38/63/339893863.db2.gz KKDCLRWIELBLGX-FZKQIMNGSA-N 0 3 301.455 4.103 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC2)[C@@H]1OCCc2sccc21 ZINC000492223339 339894599 /nfs/dbraw/zinc/89/45/99/339894599.db2.gz HEVSNHKHYHXNTM-YJYMSZOUSA-N 0 3 313.466 4.029 20 0 DIADHN C[C@H](NC/C=C/c1ccc(F)cc1)[C@@H]1OCCc2sccc21 ZINC000492256603 339895543 /nfs/dbraw/zinc/89/55/43/339895543.db2.gz HETIUMQNKWUSON-MCJQEOAESA-N 0 3 317.429 4.193 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCC(=O)Nc1ccc(C)cc1F ZINC000492845912 339910347 /nfs/dbraw/zinc/91/03/47/339910347.db2.gz GYXUEHPPKIRLQH-GDBMZVCRSA-N 0 3 306.425 4.021 20 0 DIADHN Cc1sc2nc([C@@H](C)N[C@@H]3CCCC3(C)C)nc(N)c2c1C ZINC000492938946 339912918 /nfs/dbraw/zinc/91/29/18/339912918.db2.gz WYJADQDPZMRHCG-ZYHUDNBSSA-N 0 3 318.490 4.120 20 0 DIADHN CC[C@@H](O)CCN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493132513 339918069 /nfs/dbraw/zinc/91/80/69/339918069.db2.gz SNMPMMRSKUXCCE-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@@]1(CN[C@@H](c2ccccc2)c2ccc(Cl)cc2)CCOC1 ZINC000493144688 339918312 /nfs/dbraw/zinc/91/83/12/339918312.db2.gz FDGHWFROCAQHBU-OALUTQOASA-N 0 3 315.844 4.446 20 0 DIADHN C[C@H](CCO)CN[C@@H](c1ccc(F)cc1)c1ccccc1Cl ZINC000493278684 339921891 /nfs/dbraw/zinc/92/18/91/339921891.db2.gz VCMZWKREIVURRG-ACJLOTCBSA-N 0 3 321.823 4.177 20 0 DIADHN CCOc1cc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)ccc1O ZINC000493997007 339938334 /nfs/dbraw/zinc/93/83/34/339938334.db2.gz QVPFOPKFCITJTG-VBKZILBWSA-N 0 3 311.425 4.122 20 0 DIADHN CCCc1csc(CNC[C@H](Nc2ccccc2)C(C)C)n1 ZINC000494089145 339940771 /nfs/dbraw/zinc/94/07/71/339940771.db2.gz LPQYGJJOCOHPPK-KRWDZBQOSA-N 0 3 317.502 4.322 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1cncc(F)c1 ZINC000494603242 339954818 /nfs/dbraw/zinc/95/48/18/339954818.db2.gz MAPLRNNVUFRRMB-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCCOc1ccccc1Cl ZINC000494641037 339956328 /nfs/dbraw/zinc/95/63/28/339956328.db2.gz DFDTWKUPZBTXBB-KDOFPFPSSA-N 0 3 319.832 4.085 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](COC)c1ccc(Cl)cc1 ZINC000494769556 339959614 /nfs/dbraw/zinc/95/96/14/339959614.db2.gz WGBUQOMTRWPVKZ-CHWSQXEVSA-N 0 3 309.759 4.348 20 0 DIADHN Clc1ccccc1C[C@H](N[C@@H]1CCOC1)c1cccs1 ZINC000494955930 339967100 /nfs/dbraw/zinc/96/71/00/339967100.db2.gz CWCRTMMMTRRUCF-HIFRSBDPSA-N 0 3 307.846 4.064 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2cccc(Cl)c2)c2ccccn2)[C@@H]1C ZINC000495060013 339971784 /nfs/dbraw/zinc/97/17/84/339971784.db2.gz PKLMRYGUQLCVDT-OSLDLMBLSA-N 0 3 300.833 4.459 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccsc1 ZINC000495164281 339977268 /nfs/dbraw/zinc/97/72/68/339977268.db2.gz GPRQMGRSOZZVAV-DGCLKSJQSA-N 0 3 324.852 4.470 20 0 DIADHN Cc1ccc2cc([C@H](C)NCc3ccc(NC(N)=O)cc3)oc2c1 ZINC000495261179 339979874 /nfs/dbraw/zinc/97/98/74/339979874.db2.gz VGNSFABECCZNCA-ZDUSSCGKSA-N 0 3 323.396 4.083 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc(OC(C)(C)C)nc1 ZINC000495375697 339982134 /nfs/dbraw/zinc/98/21/34/339982134.db2.gz ZUSAGBSDADHRDL-SFHVURJKSA-N 0 3 310.441 4.344 20 0 DIADHN COCC[C@@H](N[C@H](C)Cc1ccccc1C)c1ccc(C)o1 ZINC000495384221 339982209 /nfs/dbraw/zinc/98/22/09/339982209.db2.gz CUYJSOVKPVIQSR-CRAIPNDOSA-N 0 3 301.430 4.195 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCCC(=O)OC(C)(C)C ZINC000495400870 339982929 /nfs/dbraw/zinc/98/29/29/339982929.db2.gz GPMUVFFHXMVQFA-CYBMUJFWSA-N 0 3 303.402 4.124 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1cccc(F)c1)c1ccc(C)o1 ZINC000495431673 339984264 /nfs/dbraw/zinc/98/42/64/339984264.db2.gz DVIHMAZCEUYSIH-KBXCAEBGSA-N 0 3 319.420 4.416 20 0 DIADHN C[C@H](N[C@@H](CN1CCCC1)c1ccccc1)c1ccccc1F ZINC000495638407 339988892 /nfs/dbraw/zinc/98/88/92/339988892.db2.gz LMQOSJPHMLPVIN-JXFKEZNVSA-N 0 3 312.432 4.313 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)c1ccco1 ZINC000496331233 340003215 /nfs/dbraw/zinc/00/32/15/340003215.db2.gz UGOAKEHDHUDDHR-RRFJBIMHSA-N 0 3 322.449 4.014 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCCCNc1ccccc1 ZINC000513352371 340005946 /nfs/dbraw/zinc/00/59/46/340005946.db2.gz AUPDLSIIGANMAD-KRWDZBQOSA-N 0 3 302.368 4.044 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC(OCC(C)C)CC1 ZINC000496836251 340014157 /nfs/dbraw/zinc/01/41/57/340014157.db2.gz FZZDMMUQIWQPDW-UHFFFAOYSA-N 0 3 319.489 4.209 20 0 DIADHN COc1ccccc1CN[C@@H](C)[C@H](OC)c1ccccc1Cl ZINC000497094540 340020151 /nfs/dbraw/zinc/02/01/51/340020151.db2.gz DYKRPNWCHGRTSS-UGSOOPFHSA-N 0 3 319.832 4.214 20 0 DIADHN CCOc1cccc(CCN[C@H](c2ccc(F)cn2)C(C)C)c1 ZINC000498811115 340023742 /nfs/dbraw/zinc/02/37/42/340023742.db2.gz OLJGLSODWZTRDH-IBGZPJMESA-N 0 3 316.420 4.149 20 0 DIADHN COC[C@@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000498920600 340025893 /nfs/dbraw/zinc/02/58/93/340025893.db2.gz UTVUKWMUCJEUKF-ZWKOTPCHSA-N 0 3 315.388 4.332 20 0 DIADHN CCO[C@H](CCN[C@@H](c1ccc(F)cn1)C(C)C)C1CCCC1 ZINC000498941983 340026689 /nfs/dbraw/zinc/02/66/89/340026689.db2.gz LHTVBEZORSSHSS-RTBURBONSA-N 0 3 322.468 4.493 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499052993 340028539 /nfs/dbraw/zinc/02/85/39/340028539.db2.gz HBXBRRAZWZKYQJ-SFHVURJKSA-N 0 3 311.429 4.279 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499053636 340028776 /nfs/dbraw/zinc/02/87/76/340028776.db2.gz NOLIJUUYLCVQED-MRXNPFEDSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499065474 340028998 /nfs/dbraw/zinc/02/89/98/340028998.db2.gz SDCIRRGQOPBGDM-HZPDHXFCSA-N 0 3 311.429 4.279 20 0 DIADHN CCC[C@@H](C)CC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC000499399415 340036229 /nfs/dbraw/zinc/03/62/29/340036229.db2.gz FSBNPZCUTZWSPO-IEBWSBKVSA-N 0 3 316.489 4.074 20 0 DIADHN CCC[C@@H](C)CC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC000499399416 340036290 /nfs/dbraw/zinc/03/62/90/340036290.db2.gz FSBNPZCUTZWSPO-MJGOQNOKSA-N 0 3 316.489 4.074 20 0 DIADHN CCOc1ccc2c(c1)CCN(CCCCC1CCOCC1)C2 ZINC000499562414 340039574 /nfs/dbraw/zinc/03/95/74/340039574.db2.gz KPEHDGVKLXOTEY-UHFFFAOYSA-N 0 3 317.473 4.040 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NC[C@](C)(O)C(F)(F)F)cc1 ZINC000499602530 340040518 /nfs/dbraw/zinc/04/05/18/340040518.db2.gz NPGCZAGQCOXIKN-RISCZKNCSA-N 0 3 321.408 4.151 20 0 DIADHN COc1ccc([C@@H](NCc2cnc3ccccc3c2)C2CC2)cc1 ZINC000499608321 340040817 /nfs/dbraw/zinc/04/08/17/340040817.db2.gz DAJDMBUKRBCEAO-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN CC(C)C[C@@H](C)CN[C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000499623820 340041374 /nfs/dbraw/zinc/04/13/74/340041374.db2.gz FRKJLIHTICYOJN-CHWSQXEVSA-N 0 3 305.397 4.209 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000499814052 340045153 /nfs/dbraw/zinc/04/51/53/340045153.db2.gz HZQYJUGYGHDWHA-PKOBYXMFSA-N 0 3 320.521 4.068 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000499814051 340045204 /nfs/dbraw/zinc/04/52/04/340045204.db2.gz HZQYJUGYGHDWHA-MJGOQNOKSA-N 0 3 320.521 4.068 20 0 DIADHN CN(Cc1cnn(C)c1)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000499904198 340046751 /nfs/dbraw/zinc/04/67/51/340046751.db2.gz AFJWIRGWOSLDAV-GOSISDBHSA-N 0 3 317.864 4.437 20 0 DIADHN COC[C@H](C)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499936538 340047313 /nfs/dbraw/zinc/04/73/13/340047313.db2.gz AJHROJXEMCYREK-LBPRGKRZSA-N 0 3 319.342 4.001 20 0 DIADHN Cc1ccccc1CCCN[C@H](CO)c1cccc(Cl)c1F ZINC000499957900 340047552 /nfs/dbraw/zinc/04/75/52/340047552.db2.gz UMASEUZGJVSXOL-QGZVFWFLSA-N 0 3 321.823 4.043 20 0 DIADHN C[C@H](CCc1ccccc1)N[C@@H](CO)c1cccc(Cl)c1F ZINC000499957963 340047665 /nfs/dbraw/zinc/04/76/65/340047665.db2.gz UXSCTVPGFJWCMZ-DYVFJYSZSA-N 0 3 321.823 4.123 20 0 DIADHN CC[C@@H](C)N1CCc2c(cccc2NC(=O)Nc2ccccc2)C1 ZINC000500127478 340050828 /nfs/dbraw/zinc/05/08/28/340050828.db2.gz VQCWGOSRBPKNFY-OAHLLOKOSA-N 0 3 323.440 4.487 20 0 DIADHN C[C@H]1CC[C@@H](CN2CCC(F)(c3ccc(Cl)cc3)CC2)O1 ZINC000500141293 340051234 /nfs/dbraw/zinc/05/12/34/340051234.db2.gz SDWWLMXLBHAJMO-BBRMVZONSA-N 0 3 311.828 4.168 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2ncc(C(C)(C)C)o2)cc1 ZINC000500188521 340052411 /nfs/dbraw/zinc/05/24/11/340052411.db2.gz QPLDWUZFROSHGT-QGZVFWFLSA-N 0 3 312.457 4.488 20 0 DIADHN CCOc1ccccc1CN1CCC[C@H](Oc2ccccc2)C1 ZINC000500208540 340053123 /nfs/dbraw/zinc/05/31/23/340053123.db2.gz CUHLEMQBGMKKTQ-IBGZPJMESA-N 0 3 311.425 4.129 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2cc(-c3ccco3)on2)cc1 ZINC000500234858 340053549 /nfs/dbraw/zinc/05/35/49/340053549.db2.gz XNQZQEJUUMNVGV-GOSISDBHSA-N 0 3 322.408 4.450 20 0 DIADHN C[C@]1(c2ccccc2)CCCN(Cc2nc3ccccc3o2)C1 ZINC000500235974 340053641 /nfs/dbraw/zinc/05/36/41/340053641.db2.gz ZKCUPQBEQMLITQ-FQEVSTJZSA-N 0 3 306.409 4.382 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2)cc1 ZINC000500239196 340053866 /nfs/dbraw/zinc/05/38/66/340053866.db2.gz TVYSZKQQWIXKBZ-KBPBESRZSA-N 0 3 314.454 4.086 20 0 DIADHN CCSc1cc(CNCc2ccc(OC)cc2CC)ccn1 ZINC000500361068 340055568 /nfs/dbraw/zinc/05/55/68/340055568.db2.gz BELPWYHSVBSOEX-UHFFFAOYSA-N 0 3 316.470 4.054 20 0 DIADHN CCSCCCNCc1c(Cl)cccc1N1CCCC1 ZINC000500373247 340055887 /nfs/dbraw/zinc/05/58/87/340055887.db2.gz RSVFSDVOGUHNCO-UHFFFAOYSA-N 0 3 312.910 4.173 20 0 DIADHN COc1ccc(CN[C@]2(c3ccc(Cl)cc3)C[C@H]2C)cc1 ZINC000500394983 340056434 /nfs/dbraw/zinc/05/64/34/340056434.db2.gz GEZFJKZUZRCZCG-FZKQIMNGSA-N 0 3 301.817 4.374 20 0 DIADHN COCC1(CNCc2csc(-c3ccc(C)cc3)n2)CCC1 ZINC000500408994 340057389 /nfs/dbraw/zinc/05/73/89/340057389.db2.gz ZULINZYHZFKNCW-UHFFFAOYSA-N 0 3 316.470 4.025 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@@H]3CCCC(F)(F)C3)sc2C1 ZINC000500417394 340057595 /nfs/dbraw/zinc/05/75/95/340057595.db2.gz SRASJSVNSPMCLE-VXGBXAGGSA-N 0 3 314.445 4.183 20 0 DIADHN COc1cccc(CN[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)c1 ZINC000500416327 340057709 /nfs/dbraw/zinc/05/77/09/340057709.db2.gz NNEAEZDSFISNKW-ACJLOTCBSA-N 0 3 301.817 4.374 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H]2CCCC23CCOCC3)c1 ZINC000500419221 340057854 /nfs/dbraw/zinc/05/78/54/340057854.db2.gz GFKOPRBLSIDMEQ-OAHLLOKOSA-N 0 3 314.256 4.432 20 0 DIADHN COc1cccc(Cl)c1CNCC1CCC(F)(F)CC1 ZINC000500430209 340058312 /nfs/dbraw/zinc/05/83/12/340058312.db2.gz PVYRGVUNXBRDMT-UHFFFAOYSA-N 0 3 303.780 4.264 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)N[C@H](C)c1ccccc1Cl ZINC000500459125 340059485 /nfs/dbraw/zinc/05/94/85/340059485.db2.gz VHPCLYRLIPWKEU-CABCVRRESA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cccn2c(CN[C@H](c3ccc(F)cc3)C(C)C)cnc12 ZINC000500465314 340059733 /nfs/dbraw/zinc/05/97/33/340059733.db2.gz ZRVOBQNBOHVDCJ-SFHVURJKSA-N 0 3 311.404 4.269 20 0 DIADHN Fc1ccc(Cl)cc1CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000500493926 340060591 /nfs/dbraw/zinc/06/05/91/340060591.db2.gz YQANYTZXXZYNAO-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cnc2ccc(C)cn12)c1cccc(C)c1 ZINC000500496958 340060635 /nfs/dbraw/zinc/06/06/35/340060635.db2.gz JLGOWCGSIJEADY-LAUBAEHRSA-N 0 3 319.452 4.366 20 0 DIADHN CCSc1cccc(CN[C@@H]2CCC[C@H]2OC(F)F)c1 ZINC000500508144 340061178 /nfs/dbraw/zinc/06/11/78/340061178.db2.gz MVYGPDXYDCHZKN-ZIAGYGMSSA-N 0 3 301.402 4.049 20 0 DIADHN CCCC[C@@H](C)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000500527390 340061485 /nfs/dbraw/zinc/06/14/85/340061485.db2.gz KRHKXZDXLRPUJO-GDBMZVCRSA-N 0 3 310.507 4.074 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H](NCc2ncnn2C(C)(C)C)CC1 ZINC000500566010 340062520 /nfs/dbraw/zinc/06/25/20/340062520.db2.gz IQJGJLFQXJWOQL-CABCVRRESA-N 0 3 306.498 4.118 20 0 DIADHN CC(C)(C)c1ccc(CNCCC(=O)Nc2ccccc2)cc1 ZINC000500889417 340069214 /nfs/dbraw/zinc/06/92/14/340069214.db2.gz CLWLNLPXVVRAME-UHFFFAOYSA-N 0 3 310.441 4.103 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccccc2OC(F)F)C1 ZINC000500898309 340069435 /nfs/dbraw/zinc/06/94/35/340069435.db2.gz YIEBRKWZMJPQOQ-UHFFFAOYSA-N 0 3 317.379 4.498 20 0 DIADHN COc1ccc(C)cc1CNCCc1ccc(F)cc1Cl ZINC000500901147 340069488 /nfs/dbraw/zinc/06/94/88/340069488.db2.gz XWWWRBHMPVQGGI-UHFFFAOYSA-N 0 3 307.796 4.128 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCOCC(C)C)oc21 ZINC000501013565 340072245 /nfs/dbraw/zinc/07/22/45/340072245.db2.gz FELZCNNEDXMYDQ-CQSZACIVSA-N 0 3 305.418 4.155 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCCSC2CCCCC2)c1 ZINC000501014556 340072327 /nfs/dbraw/zinc/07/23/27/340072327.db2.gz LLDGIQDGMKPGDK-AWEZNQCLSA-N 0 3 320.502 4.362 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1coc(-c2cccc(F)c2)n1 ZINC000501095867 340074249 /nfs/dbraw/zinc/07/42/49/340074249.db2.gz HHLZFFLGFWYBCU-QGZVFWFLSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(C)s2)CC[C@H]1c1ccccc1 ZINC000501212185 340076189 /nfs/dbraw/zinc/07/61/89/340076189.db2.gz VXJLMYNHZAABEJ-CRAIPNDOSA-N 0 3 300.471 4.467 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000501301532 340078280 /nfs/dbraw/zinc/07/82/80/340078280.db2.gz NNPYLEUXLHYORQ-LBPRGKRZSA-N 0 3 313.319 4.370 20 0 DIADHN CC(C)OC[C@@H](C)NCc1ccc(COc2ccccc2)cc1 ZINC000501378502 340079551 /nfs/dbraw/zinc/07/95/51/340079551.db2.gz QGCXIBCCDFEPRK-QGZVFWFLSA-N 0 3 313.441 4.169 20 0 DIADHN CC(C)OC[C@@H](C)NCc1cccc(COc2ccccc2)c1 ZINC000501420262 340080509 /nfs/dbraw/zinc/08/05/09/340080509.db2.gz IBHGNVUXPFJUMP-QGZVFWFLSA-N 0 3 313.441 4.169 20 0 DIADHN CC(C)OC[C@@H](C)NCc1cccc(OCc2ccccc2)c1 ZINC000501421808 340080680 /nfs/dbraw/zinc/08/06/80/340080680.db2.gz JODSPOXILGJWAH-QGZVFWFLSA-N 0 3 313.441 4.169 20 0 DIADHN Cc1ccc(C[C@H](N[C@@H](C)COC(C)C)c2ccccn2)cc1 ZINC000501428692 340080752 /nfs/dbraw/zinc/08/07/52/340080752.db2.gz YUDRNEZSCZAAKA-PXNSSMCTSA-N 0 3 312.457 4.077 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000501444654 340081071 /nfs/dbraw/zinc/08/10/71/340081071.db2.gz BJVNQUNZEOICMM-CHWSQXEVSA-N 0 3 319.367 4.092 20 0 DIADHN CCc1cc(OC)ccc1CN[C@@H](C)c1ccc(OC)c(F)c1 ZINC000501446665 340081206 /nfs/dbraw/zinc/08/12/06/340081206.db2.gz BYXBPMCYSZSCQK-ZDUSSCGKSA-N 0 3 317.404 4.256 20 0 DIADHN Cc1ccc(NC(=O)NC[C@H](C)N2CCCC[C@H]2C)cc1Cl ZINC000501504666 340082410 /nfs/dbraw/zinc/08/24/10/340082410.db2.gz SHEWHBDIITZFMM-KGLIPLIRSA-N 0 3 323.868 4.033 20 0 DIADHN CCC[C@H](C)C(=O)N(CC)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000501957202 340091532 /nfs/dbraw/zinc/09/15/32/340091532.db2.gz KOQVXNKAUGYACD-SCTDSRPQSA-N 0 3 316.489 4.107 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC(c2ccccc2)CC1 ZINC000502012169 340092848 /nfs/dbraw/zinc/09/28/48/340092848.db2.gz YRDIPHXJYBBOJW-UHFFFAOYSA-N 0 3 323.436 4.148 20 0 DIADHN C[C@H](NCCCCc1ccccc1)c1nc2c(s1)CCC2 ZINC000502019486 340093113 /nfs/dbraw/zinc/09/31/13/340093113.db2.gz SFPDBQMNQPTYKM-AWEZNQCLSA-N 0 3 300.471 4.305 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1Cl)c1nc2c(s1)CCC2 ZINC000502043326 340093886 /nfs/dbraw/zinc/09/38/86/340093886.db2.gz AZHIGMLFTJXZLJ-SNVBAGLBSA-N 0 3 324.852 4.318 20 0 DIADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000502260287 340098154 /nfs/dbraw/zinc/09/81/54/340098154.db2.gz WHBXYJBUXTVSPB-NQERJWCQSA-N 0 3 321.468 4.029 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC[C@@H](C(F)(F)F)C1 ZINC000502310392 340099491 /nfs/dbraw/zinc/09/94/91/340099491.db2.gz PFUYNSGBNKHEJO-CQSZACIVSA-N 0 3 301.352 4.032 20 0 DIADHN CCCn1nccc1C1CCN(C/C=C/c2ccccc2)CC1 ZINC000502316613 340099611 /nfs/dbraw/zinc/09/96/11/340099611.db2.gz ZFDVZAFZNYCFFD-RMKNXTFCSA-N 0 3 309.457 4.186 20 0 DIADHN CCCn1nccc1C1CCN(Cc2ccc(C)c(F)c2)CC1 ZINC000502320642 340099633 /nfs/dbraw/zinc/09/96/33/340099633.db2.gz VEHHQMXCCIPYLN-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@@H](c2ncc3n2CCCC3)C1 ZINC000502321413 340099739 /nfs/dbraw/zinc/09/97/39/340099739.db2.gz NVANNVCEACZKBP-ZAOIQFLMSA-N 0 3 321.468 4.112 20 0 DIADHN CCCn1nccc1C1CCN(Cc2cc3ccccc3o2)CC1 ZINC000502338872 340100231 /nfs/dbraw/zinc/10/02/31/340100231.db2.gz HZWNHZYMBOVSPX-UHFFFAOYSA-N 0 3 323.440 4.419 20 0 DIADHN COCC[C@H]1CCC[C@H]1NCc1cc(Cl)sc1Cl ZINC000502365067 340100807 /nfs/dbraw/zinc/10/08/07/340100807.db2.gz JHZZPTDCZUMJFK-MWLCHTKSSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)CSCCCN[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502371492 340101112 /nfs/dbraw/zinc/10/11/12/340101112.db2.gz HSEOIVWLTCCCLK-OAHLLOKOSA-N 0 3 309.523 4.428 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@H](C)c1cc(C)n(C)n1 ZINC000502387361 340101260 /nfs/dbraw/zinc/10/12/60/340101260.db2.gz AKZZISUOSBEWOO-CJNGLKHVSA-N 0 3 305.853 4.054 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1cc(C)n(C)n1 ZINC000502387360 340101312 /nfs/dbraw/zinc/10/13/12/340101312.db2.gz AKZZISUOSBEWOO-BBRMVZONSA-N 0 3 305.853 4.054 20 0 DIADHN C[C@@H](NCCCOc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502385063 340101328 /nfs/dbraw/zinc/10/13/28/340101328.db2.gz CDEMTQAOIRYBAV-MRXNPFEDSA-N 0 3 313.445 4.118 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)c2c(F)cccc2Cl)nn1C ZINC000502390299 340101391 /nfs/dbraw/zinc/10/13/91/340101391.db2.gz NZDKRHYNKSDCQB-LBPRGKRZSA-N 0 3 323.843 4.149 20 0 DIADHN Cc1cc([C@@H](C)Nc2cccc(OCCN(C)C)c2)oc1C ZINC000502401519 340101740 /nfs/dbraw/zinc/10/17/40/340101740.db2.gz LBILUGDHDCJGEA-CQSZACIVSA-N 0 3 302.418 4.010 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cnn(C3CCCC3)c2)C[C@H]1OC ZINC000502397811 340101783 /nfs/dbraw/zinc/10/17/83/340101783.db2.gz BZKSXSDYHPYWSQ-BMGDILEWSA-N 0 3 319.493 4.243 20 0 DIADHN C[C@H](NCCCNc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502399666 340101828 /nfs/dbraw/zinc/10/18/28/340101828.db2.gz GYWRBMDQZVZBOV-INIZCTEOSA-N 0 3 312.461 4.151 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1NCc1csc(-c2ccccc2)n1 ZINC000502401921 340101956 /nfs/dbraw/zinc/10/19/56/340101956.db2.gz CHYHSFJBBIGJDF-YOEHRIQHSA-N 0 3 316.470 4.105 20 0 DIADHN CCSCCCN[C@H](C)c1cc(Cl)c(OC)cc1OC ZINC000502593313 340108800 /nfs/dbraw/zinc/10/88/00/340108800.db2.gz BZXZNAJZCHVUFX-LLVKDONJSA-N 0 3 317.882 4.151 20 0 DIADHN Cc1cc([C@H](C)NCCc2c[nH]c3cc(F)ccc23)oc1C ZINC000502432183 340103255 /nfs/dbraw/zinc/10/32/55/340103255.db2.gz CQWRNTOYAZBBAG-LBPRGKRZSA-N 0 3 300.377 4.410 20 0 DIADHN CCC(CC)[C@@H](CCN[C@@H](C)c1cnn(C2CCCC2)c1)OC ZINC000502436346 340103481 /nfs/dbraw/zinc/10/34/81/340103481.db2.gz QPAWDSHVVNXSKD-HNAYVOBHSA-N 0 3 321.509 4.490 20 0 DIADHN Cc1cc([C@H](C)NC[C@](C)(Cc2ccccc2)[C@@H](C)O)oc1C ZINC000502435537 340103534 /nfs/dbraw/zinc/10/35/34/340103534.db2.gz OARIZCWXBUQYBR-VPWXQRGCSA-N 0 3 315.457 4.177 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000502465313 340104780 /nfs/dbraw/zinc/10/47/80/340104780.db2.gz UXJQWZMYVAYHRB-KGLIPLIRSA-N 0 3 303.402 4.023 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3ccc(C)c(C)c3)CCC2)nn1C ZINC000502465994 340104959 /nfs/dbraw/zinc/10/49/59/340104959.db2.gz WIMSQXYGPUYZCH-KRWDZBQOSA-N 0 3 311.473 4.118 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)nn1C ZINC000502475999 340105309 /nfs/dbraw/zinc/10/53/09/340105309.db2.gz LHDYSSQEECRSPV-RDTXWAMCSA-N 0 3 313.420 4.154 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(F)cc1 ZINC000502490081 340105873 /nfs/dbraw/zinc/10/58/73/340105873.db2.gz WWKZPXLLDLWZDJ-QAPCUYQASA-N 0 3 322.468 4.146 20 0 DIADHN COCC1(CN[C@@H](C)c2cc(C)ccc2OC(F)F)CCC1 ZINC000502526592 340106955 /nfs/dbraw/zinc/10/69/55/340106955.db2.gz FWKCKOUJDJOLCV-ZDUSSCGKSA-N 0 3 313.388 4.064 20 0 DIADHN COCC1(CN[C@H](C)c2cc(C)ccc2OC(F)F)CCC1 ZINC000502526591 340106961 /nfs/dbraw/zinc/10/69/61/340106961.db2.gz FWKCKOUJDJOLCV-CYBMUJFWSA-N 0 3 313.388 4.064 20 0 DIADHN CCSCCCN[C@@H](COC)c1cccc(C(F)(F)F)c1 ZINC000502549555 340107470 /nfs/dbraw/zinc/10/74/70/340107470.db2.gz AMZAUBNCPUFUGQ-AWEZNQCLSA-N 0 3 321.408 4.126 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccc(F)c(F)c1 ZINC000502563579 340107846 /nfs/dbraw/zinc/10/78/46/340107846.db2.gz PVCLDGNRKYOZBO-CUOATXAZSA-N 0 3 305.315 4.166 20 0 DIADHN COC[C@H](NC[C@H]1CCCC(F)(F)C1)c1ccc(F)c(F)c1 ZINC000502581358 340108377 /nfs/dbraw/zinc/10/83/77/340108377.db2.gz XYOIVKQJEZPNLC-NHYWBVRUSA-N 0 3 319.342 4.067 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cnn(C3CCCC3)c2)s1 ZINC000502694724 340110984 /nfs/dbraw/zinc/11/09/84/340110984.db2.gz BGTRBBWCQYBZHK-ZDUSSCGKSA-N 0 3 303.475 4.473 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN([C@H](C)c3ccccc3)C2)oc1C ZINC000502697537 340111087 /nfs/dbraw/zinc/11/10/87/340111087.db2.gz ARSFRRQXTZCSFW-FCEWJHQRSA-N 0 3 312.457 4.383 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)c2ccccc2Cl)nn1C ZINC000502701448 340111380 /nfs/dbraw/zinc/11/13/80/340111380.db2.gz UUNRXLWXSJOCRA-ZDUSSCGKSA-N 0 3 305.853 4.010 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)c1c[nH]c2ncccc12)c1ccccc1 ZINC000502721326 340112060 /nfs/dbraw/zinc/11/20/60/340112060.db2.gz IUKMLKYPYZTIKS-RDTXWAMCSA-N 0 3 321.424 4.121 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2CCN(c3ccc(F)cc3)C2)oc1C ZINC000502720297 340112083 /nfs/dbraw/zinc/11/20/83/340112083.db2.gz AIVDOKOQKALKTE-HOCLYGCPSA-N 0 3 316.420 4.213 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)c2ccc(Cl)cc2)nn1C ZINC000502720967 340112096 /nfs/dbraw/zinc/11/20/96/340112096.db2.gz JJXGOLUFSDCSJO-CYBMUJFWSA-N 0 3 305.853 4.010 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)c1ccccc1 ZINC000502721325 340112192 /nfs/dbraw/zinc/11/21/92/340112192.db2.gz IUKMLKYPYZTIKS-KSSFIOAISA-N 0 3 321.424 4.121 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](C)N(C)Cc2ccccc2)oc1C ZINC000502723714 340112267 /nfs/dbraw/zinc/11/22/67/340112267.db2.gz NSAKMGBYOMKFOU-HZPDHXFCSA-N 0 3 300.446 4.068 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2c(F)cccc2F)N(C)C)oc1C ZINC000502727027 340112424 /nfs/dbraw/zinc/11/24/24/340112424.db2.gz MCCIXZCOTDGPJT-WBMJQRKESA-N 0 3 322.399 4.128 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)oc1C ZINC000502727024 340112479 /nfs/dbraw/zinc/11/24/79/340112479.db2.gz MCCIXZCOTDGPJT-BLLLJJGKSA-N 0 3 322.399 4.128 20 0 DIADHN CC(C)(NCc1cccc2ccccc21)C(=O)NCC1CCCC1 ZINC000502757494 340112929 /nfs/dbraw/zinc/11/29/29/340112929.db2.gz YVUZHXVSDWMYPQ-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN Cc1nc(CCN2CC[C@H](C)[C@@H]2c2cccc(F)c2)cs1 ZINC000502914534 340116528 /nfs/dbraw/zinc/11/65/28/340116528.db2.gz PCRFSDJKSHUULK-YVEFUNNKSA-N 0 3 304.434 4.216 20 0 DIADHN O=C(Nc1cccc2ccsc21)[C@@H]1CCCN1C1CCCC1 ZINC000502971751 340117891 /nfs/dbraw/zinc/11/78/91/340117891.db2.gz TYYOXEDDSXJDDQ-INIZCTEOSA-N 0 3 314.454 4.247 20 0 DIADHN CC[C@@H](CNCc1csc([C@@H](C)OC)n1)c1ccccc1 ZINC000503006396 340118569 /nfs/dbraw/zinc/11/85/69/340118569.db2.gz UCSXJDGUHVELMO-KGLIPLIRSA-N 0 3 304.459 4.134 20 0 DIADHN CC(C)COC[C@H](NC[C@H]1C[C@H]1c1ccccc1)c1ccco1 ZINC000503008419 340118587 /nfs/dbraw/zinc/11/85/87/340118587.db2.gz CPLZGFLVTHKOGN-QYZOEREBSA-N 0 3 313.441 4.387 20 0 DIADHN CCCCCn1cc(CNC/C=C\c2ccc(F)cc2)c(C)n1 ZINC000503245325 340123921 /nfs/dbraw/zinc/12/39/21/340123921.db2.gz MDZBRKALEHWQFI-SREVYHEPSA-N 0 3 315.436 4.324 20 0 DIADHN CCS[C@H]1CCC[C@H]1NCC(=O)Nc1ccccc1C(C)C ZINC000503523898 340129686 /nfs/dbraw/zinc/12/96/86/340129686.db2.gz SJQIFRMEDLGVNJ-SJORKVTESA-N 0 3 320.502 4.012 20 0 DIADHN CCC1(CNCc2ccc(Oc3ccccc3)o2)CCOCC1 ZINC000503547015 340130148 /nfs/dbraw/zinc/13/01/48/340130148.db2.gz PSPYYIKPIJETAI-UHFFFAOYSA-N 0 3 315.413 4.368 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](C)C(=O)N(C(C)C)C(C)C)cc1 ZINC000503587622 340131903 /nfs/dbraw/zinc/13/19/03/340131903.db2.gz WTNSGIDEAXWIBR-HUUCEWRRSA-N 0 3 322.518 4.093 20 0 DIADHN CCc1nc2ccccc2c(N2CC[C@H](C3CCOCC3)C2)c1C ZINC000516593532 340133841 /nfs/dbraw/zinc/13/38/41/340133841.db2.gz MIRRTKMWAKWKKM-KRWDZBQOSA-N 0 3 324.468 4.359 20 0 DIADHN COCC[C@H](NC[C@@H](C)CCc1ccccc1)c1ccco1 ZINC000503902774 340136151 /nfs/dbraw/zinc/13/61/51/340136151.db2.gz PVTWRRRZIABKLR-WMZOPIPTSA-N 0 3 301.430 4.216 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCC[C@H]2CCCCO2)o1 ZINC000503909972 340136496 /nfs/dbraw/zinc/13/64/96/340136496.db2.gz MMFMCWWDYDANDU-CYBMUJFWSA-N 0 3 321.367 4.274 20 0 DIADHN COC[C@@H](C)N(C)C/C(C)=C\c1ccccc1C(F)(F)F ZINC000503910998 340136522 /nfs/dbraw/zinc/13/65/22/340136522.db2.gz NCZSKWPEHPMFNA-KIWPFMIBSA-N 0 3 301.352 4.075 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(F)c(Cl)c1 ZINC000503935115 340137517 /nfs/dbraw/zinc/13/75/17/340137517.db2.gz AYNFQQUDDJFLHG-ZEGGKSINSA-N 0 3 307.743 4.290 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)s1 ZINC000503954140 340138643 /nfs/dbraw/zinc/13/86/43/340138643.db2.gz UKTZEOHXNOLXQV-YUSALJHKSA-N 0 3 318.433 4.215 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@@H]3CCc3ccccc3)cn21 ZINC000503967595 340138857 /nfs/dbraw/zinc/13/88/57/340138857.db2.gz BCQFXCVIZQEQBS-HXUWFJFHSA-N 0 3 319.452 4.240 20 0 DIADHN CNC(=O)c1cccc(CN2CCC[C@@H]2c2ccc(C)c(C)c2)c1 ZINC000504094494 340140783 /nfs/dbraw/zinc/14/07/83/340140783.db2.gz OFBPYLFTHRKXHF-HXUWFJFHSA-N 0 3 322.452 4.000 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NC(=O)CCCC(C)(C)C)C1 ZINC000504286819 340144409 /nfs/dbraw/zinc/14/44/09/340144409.db2.gz VSANROTYPSIMDW-WMZOPIPTSA-N 0 3 316.489 4.155 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@H](C)c1ccc(Cl)s1)C2 ZINC000504386767 340146762 /nfs/dbraw/zinc/14/67/62/340146762.db2.gz CFSPTCPLBAOTOU-PWSUYJOCSA-N 0 3 323.845 4.064 20 0 DIADHN CC(C)N1CCN(c2cccc(N[C@@H](C)c3ccccc3)c2)CC1 ZINC000504394379 340146903 /nfs/dbraw/zinc/14/69/03/340146903.db2.gz NOGOTBIYYMMFBA-SFHVURJKSA-N 0 3 323.484 4.390 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(F)cc3Cl)C2)cc1 ZINC000504537637 340148774 /nfs/dbraw/zinc/14/87/74/340148774.db2.gz AZWLBDHWKXXQSD-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](C)Oc2ccccc2F)ccc1F ZINC000504899623 340155346 /nfs/dbraw/zinc/15/53/46/340155346.db2.gz JMPCUCBRLJZIMF-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN CC[C@H](CC(=O)Nc1ccc(CN(C)C)cc1)c1ccccc1 ZINC000504929357 340156711 /nfs/dbraw/zinc/15/67/11/340156711.db2.gz IJNAVBHGYVFMPB-QGZVFWFLSA-N 0 3 310.441 4.271 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCC[C@@H]3CCCC[C@H]23)cc1 ZINC000505110551 340161820 /nfs/dbraw/zinc/16/18/20/340161820.db2.gz VPPGZGDQFGENSF-YTQUADARSA-N 0 3 314.473 4.293 20 0 DIADHN CC[C@@H](C)CCC(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000505526042 340170253 /nfs/dbraw/zinc/17/02/53/340170253.db2.gz LKMKYXHMOXJVDS-GDBMZVCRSA-N 0 3 310.507 4.074 20 0 DIADHN CC[C@H](C)CCC(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000505526044 340170270 /nfs/dbraw/zinc/17/02/70/340170270.db2.gz LKMKYXHMOXJVDS-HOCLYGCPSA-N 0 3 310.507 4.074 20 0 DIADHN COc1ccc(-c2n[nH]cc2CN(C)Cc2ccc(C)cc2)cc1 ZINC000505560544 340170945 /nfs/dbraw/zinc/17/09/45/340170945.db2.gz UHLNLQQUKFCEDN-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN COc1ccccc1CCCN1CCCC[C@@H]1c1cccn1C ZINC000505581855 340171579 /nfs/dbraw/zinc/17/15/79/340171579.db2.gz OYGZTQZBASOOOX-LJQANCHMSA-N 0 3 312.457 4.194 20 0 DIADHN CCCC(C)(C)CC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000505590045 340171839 /nfs/dbraw/zinc/17/18/39/340171839.db2.gz DBZWMJJUDXZAGX-YJBOKZPZSA-N 0 3 304.478 4.010 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000505597900 340172168 /nfs/dbraw/zinc/17/21/68/340172168.db2.gz WUZXRXDMQLEKOI-WDYCEAGBSA-N 0 3 304.478 4.010 20 0 DIADHN CCc1sc(C(=O)Nc2ccc(C)cc2CN(C)C)cc1C ZINC000505634628 340173829 /nfs/dbraw/zinc/17/38/29/340173829.db2.gz NDRMTJZDHFNHMP-UHFFFAOYSA-N 0 3 316.470 4.241 20 0 DIADHN COc1ccc(CN(C)Cc2cc3cc(OC)ccc3o2)cc1 ZINC000505755581 340176793 /nfs/dbraw/zinc/17/67/93/340176793.db2.gz GKHZJMLUBNLDNG-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN C[C@H](C1CC1)N(Cc1cnn2ccccc12)Cc1ccccc1 ZINC000505777300 340177599 /nfs/dbraw/zinc/17/75/99/340177599.db2.gz ONMQWGNPPBFQNN-MRXNPFEDSA-N 0 3 305.425 4.135 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1cccc(OC(F)(F)F)c1 ZINC000506045216 340184166 /nfs/dbraw/zinc/18/41/66/340184166.db2.gz AFZGVZVJCVZCBM-INIZCTEOSA-N 0 3 324.346 4.261 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1)Cc1cccc(F)c1 ZINC000506056118 340184481 /nfs/dbraw/zinc/18/44/81/340184481.db2.gz QUWFDQKWAFCAQR-UHFFFAOYSA-N 0 3 309.388 4.238 20 0 DIADHN Fc1ccc(Cl)cc1CNCCc1nc2ccccc2s1 ZINC000506212799 340188996 /nfs/dbraw/zinc/18/89/96/340188996.db2.gz RFIYIIRVOZYMDJ-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H](C)C3CCCC3)n2)cc1F ZINC000506221220 340189135 /nfs/dbraw/zinc/18/91/35/340189135.db2.gz DFDOWGYKJREMPW-STQMWFEESA-N 0 3 317.408 4.413 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(Oc3cnccn3)cc2)c1 ZINC000506232210 340189449 /nfs/dbraw/zinc/18/94/49/340189449.db2.gz KYDFKSSWGKHJPH-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000506235253 340189588 /nfs/dbraw/zinc/18/95/88/340189588.db2.gz UPHUZXACARGDSR-XHDPSFHLSA-N 0 3 309.837 4.037 20 0 DIADHN COc1ccc([C@H](NCCCCF)c2ccccc2OC)cc1 ZINC000506244425 340189772 /nfs/dbraw/zinc/18/97/72/340189772.db2.gz AKZQPMGHUITKSV-IBGZPJMESA-N 0 3 317.404 4.133 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H](C)C3CCCC3)n2)ccc1F ZINC000506255338 340190178 /nfs/dbraw/zinc/19/01/78/340190178.db2.gz RGLUQUCTXAKMDS-STQMWFEESA-N 0 3 317.408 4.413 20 0 DIADHN COc1ccc2ccccc2c1CNC[C@H](C)Oc1ccccc1 ZINC000506286089 340191186 /nfs/dbraw/zinc/19/11/86/340191186.db2.gz UGYSYBWFFDKXPA-INIZCTEOSA-N 0 3 321.420 4.406 20 0 DIADHN c1ccc(C2(NCc3ccc4c(c3)OCCO4)CCCCC2)cc1 ZINC000506314942 340192041 /nfs/dbraw/zinc/19/20/41/340192041.db2.gz NISKRJNUNMIDFG-UHFFFAOYSA-N 0 3 323.436 4.407 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cccc(OC(F)F)c2)cc1 ZINC000506340903 340192674 /nfs/dbraw/zinc/19/26/74/340192674.db2.gz NKYAFQPKGRVLTF-CYBMUJFWSA-N 0 3 321.367 4.490 20 0 DIADHN COc1ccc([C@H](C)N(C)C/C=C/c2ccccc2OC)cc1 ZINC000506338591 340192738 /nfs/dbraw/zinc/19/27/38/340192738.db2.gz CHUDGIXYMZQTJL-DYLHUKMJSA-N 0 3 311.425 4.410 20 0 DIADHN COc1ccc([C@H](C)N(C)C/C=C\c2ccccc2OC)cc1 ZINC000506338593 340192781 /nfs/dbraw/zinc/19/27/81/340192781.db2.gz CHUDGIXYMZQTJL-GQSKFBFOSA-N 0 3 311.425 4.410 20 0 DIADHN COc1cc(CN(C)Cc2cccc3ccccc32)ccc1O ZINC000506354180 340193098 /nfs/dbraw/zinc/19/30/98/340193098.db2.gz BBULAVCGHHPUJJ-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN COc1cccc(CN2CCC(c3ccccc3)CC2)c1OC ZINC000506394181 340193992 /nfs/dbraw/zinc/19/39/92/340193992.db2.gz HUHADTFSFHTION-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN CCN(Cc1nc2ccccc2[nH]1)[C@H](C)Cc1ccc(OC)cc1 ZINC000506399689 340194168 /nfs/dbraw/zinc/19/41/68/340194168.db2.gz VGVOZQMMTGQWML-OAHLLOKOSA-N 0 3 323.440 4.025 20 0 DIADHN CN(Cc1c(OC(F)F)ccc2ccccc21)C[C@H]1CCCO1 ZINC000506440434 340194556 /nfs/dbraw/zinc/19/45/56/340194556.db2.gz UXCLDXUBYCPFLP-CQSZACIVSA-N 0 3 321.367 4.052 20 0 DIADHN c1cc2c(cccc2CN2CCSC[C@H]2c2ccccc2)[nH]1 ZINC000506475583 340195169 /nfs/dbraw/zinc/19/51/69/340195169.db2.gz AHRJLROGDWUZEN-IBGZPJMESA-N 0 3 308.450 4.458 20 0 DIADHN Fc1cc(F)c(CNCCc2nc3ccccc3s2)cc1F ZINC000506598457 340197391 /nfs/dbraw/zinc/19/73/91/340197391.db2.gz UKRPURIWRKOHBA-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN Cc1cccn2c(CN([C@@H](C)c3ccccc3)C3CC3)cnc12 ZINC000506613074 340197759 /nfs/dbraw/zinc/19/77/59/340197759.db2.gz PZAOMZFPVCXOML-INIZCTEOSA-N 0 3 305.425 4.368 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(c2nc3ccccc3[nH]2)CC1 ZINC000506619491 340197870 /nfs/dbraw/zinc/19/78/70/340197870.db2.gz PVXJKSYTMCNWFN-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN COc1cc(C)c(CN(C)[C@H]2CCc3ccccc32)cc1OC ZINC000506651538 340198707 /nfs/dbraw/zinc/19/87/07/340198707.db2.gz ATRWUMZKAWTDKX-SFHVURJKSA-N 0 3 311.425 4.132 20 0 DIADHN Oc1ccc(C2CCN(CC3=Cc4ccccc4OC3)CC2)cc1 ZINC000506660235 340198756 /nfs/dbraw/zinc/19/87/56/340198756.db2.gz BDKLDBBIJVATLZ-UHFFFAOYSA-N 0 3 321.420 4.048 20 0 DIADHN COCc1cccc(CN(C)[C@H](C)c2ccc(F)c(F)c2)c1 ZINC000506677264 340199343 /nfs/dbraw/zinc/19/93/43/340199343.db2.gz ZRVMOAIOWYXQEO-CYBMUJFWSA-N 0 3 305.368 4.304 20 0 DIADHN COCc1cccc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)c1 ZINC000506677265 340199380 /nfs/dbraw/zinc/19/93/80/340199380.db2.gz ZRVMOAIOWYXQEO-ZDUSSCGKSA-N 0 3 305.368 4.304 20 0 DIADHN O=C(c1ccccc1)C1CCN(C[C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000506687277 340199457 /nfs/dbraw/zinc/19/94/57/340199457.db2.gz DPBXPRZPYSLTGX-LEWJYISDSA-N 0 3 319.448 4.385 20 0 DIADHN COc1ccc(OC)c([C@@H]2CCCN2Cc2sccc2C)c1 ZINC000506711776 340199965 /nfs/dbraw/zinc/19/99/65/340199965.db2.gz UFKJGYADWGFBSO-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN CC(C)(CNCc1csc(-c2ccccn2)n1)C1=CCCC1 ZINC000308756819 340201304 /nfs/dbraw/zinc/20/13/04/340201304.db2.gz OGXRVIRQBOYGKC-UHFFFAOYSA-N 0 3 313.470 4.431 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@H]3CCC(C)(C)C3)n2)ccc1F ZINC000506860872 340202937 /nfs/dbraw/zinc/20/29/37/340202937.db2.gz IYXQUDCXEFWWEV-OCCSQVGLSA-N 0 3 317.408 4.413 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)CCC(=O)Nc1ccccc1 ZINC000506887954 340203204 /nfs/dbraw/zinc/20/32/04/340203204.db2.gz ACTQFPDEGKJKEO-AWEZNQCLSA-N 0 3 316.832 4.362 20 0 DIADHN CC(=O)Nc1cccc(CN(C)Cc2ccc(C(C)C)cc2)c1 ZINC000506897376 340203312 /nfs/dbraw/zinc/20/33/12/340203312.db2.gz NOCDVGCCUUCQHE-UHFFFAOYSA-N 0 3 310.441 4.400 20 0 DIADHN Cc1cccc(CN(C)CCC(=O)Nc2cccc(Cl)c2)c1 ZINC000506907858 340203459 /nfs/dbraw/zinc/20/34/59/340203459.db2.gz FSHPDERIWPWAAR-UHFFFAOYSA-N 0 3 316.832 4.109 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(Cl)c3c(c2)OCCO3)c1 ZINC000506923995 340203768 /nfs/dbraw/zinc/20/37/68/340203768.db2.gz VCIRFAQKSZXUMD-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN COCCOc1ccccc1CN(Cc1cccs1)C(C)C ZINC000507007308 340205229 /nfs/dbraw/zinc/20/52/29/340205229.db2.gz KDWIRJZHWGRIEB-UHFFFAOYSA-N 0 3 319.470 4.184 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CN1CC[C@H](c2ccccc2)C1 ZINC000507101387 340207341 /nfs/dbraw/zinc/20/73/41/340207341.db2.gz DNSILKPTUHUDMA-INIZCTEOSA-N 0 3 321.399 4.205 20 0 DIADHN Cc1ccc(O)c(CN2CCC[C@H]2c2cccc(Cl)c2)n1 ZINC000507112360 340207693 /nfs/dbraw/zinc/20/76/93/340207693.db2.gz UYVJVFWHZJLYHS-INIZCTEOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC(CO)CC1 ZINC000507120111 340208326 /nfs/dbraw/zinc/20/83/26/340208326.db2.gz ZSKVNPIBYOPXAV-UHFFFAOYSA-N 0 3 309.862 4.067 20 0 DIADHN COc1ccc(CN(Cc2cccc(Cl)c2)C(C)C)cc1O ZINC000507122245 340208408 /nfs/dbraw/zinc/20/84/08/340208408.db2.gz SRHNWCNUNVOVKZ-UHFFFAOYSA-N 0 3 319.832 4.465 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc(N3CCCC3)nc2)c1 ZINC000507136255 340209102 /nfs/dbraw/zinc/20/91/02/340209102.db2.gz XEFXXROWCSUJHT-FQEVSTJZSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@H]1c1ccccc1C ZINC000507154157 340209837 /nfs/dbraw/zinc/20/98/37/340209837.db2.gz HUUIJBRILXVRMC-SFHVURJKSA-N 0 3 305.425 4.288 20 0 DIADHN CCC1CCC(N(Cc2cnn(CC(F)F)c2)C2CC2)CC1 ZINC000507168080 340210630 /nfs/dbraw/zinc/21/06/30/340210630.db2.gz BHAIMEVMAGDZHT-UHFFFAOYSA-N 0 3 311.420 4.081 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1ccc2c[nH]nc2c1 ZINC000507175070 340211208 /nfs/dbraw/zinc/21/12/08/340211208.db2.gz UKMCXXUFPYCVMF-HXUWFJFHSA-N 0 3 321.424 4.081 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2cnn(-c3ccccc3)c2)C1 ZINC000507177762 340211247 /nfs/dbraw/zinc/21/12/47/340211247.db2.gz DMTUEBSKQGAKSQ-IBGZPJMESA-N 0 3 317.436 4.170 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@@H](c3ccccc3C)C2)c1 ZINC000507180906 340211629 /nfs/dbraw/zinc/21/16/29/340211629.db2.gz OZPMQUHVBFZYIA-ZWKOTPCHSA-N 0 3 322.452 4.120 20 0 DIADHN CC[C@H](C)N(C)Cc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000507188301 340212030 /nfs/dbraw/zinc/21/20/30/340212030.db2.gz PKVTXBVPMQRIAC-XJKSGUPXSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)[C@H](C)c3ccc(Cl)cc3)cc12 ZINC000507195397 340212622 /nfs/dbraw/zinc/21/26/22/340212622.db2.gz UUYLQTCOQUFNLU-GFCCVEGCSA-N 0 3 314.820 4.113 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@@H](C)c2cc(C)ccc2C)cc1 ZINC000507204072 340213121 /nfs/dbraw/zinc/21/31/21/340213121.db2.gz PCSBGISGXSBBIX-INIZCTEOSA-N 0 3 310.441 4.455 20 0 DIADHN Cc1nc2ccccn2c1CN(C)[C@H](C)c1cc(C)ccc1C ZINC000507217695 340214205 /nfs/dbraw/zinc/21/42/05/340214205.db2.gz XQLXCKWJIRTOEW-QGZVFWFLSA-N 0 3 307.441 4.453 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc(N3CCCC3)nc2)c(C)c1 ZINC000507236143 340215094 /nfs/dbraw/zinc/21/50/94/340215094.db2.gz WWGUNHZYIKZZEQ-GOSISDBHSA-N 0 3 323.484 4.492 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)C[C@@H]1OCCc2ccccc21 ZINC000507253025 340215619 /nfs/dbraw/zinc/21/56/19/340215619.db2.gz CQTRFZQIZKXFPE-YJYMSZOUSA-N 0 3 317.379 4.272 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000507250664 340215785 /nfs/dbraw/zinc/21/57/85/340215785.db2.gz BQVPBPQMOYWDPP-LLVKDONJSA-N 0 3 313.367 4.068 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCOc3ccc(F)cc31)[C@H](C)C2 ZINC000507431351 340219290 /nfs/dbraw/zinc/21/92/90/340219290.db2.gz VRTHNMDSORDQOS-ORPRQENYSA-N 0 3 311.400 4.481 20 0 DIADHN C[C@H](C1CC1)N(C)Cc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000507444968 340219798 /nfs/dbraw/zinc/21/97/98/340219798.db2.gz YRQYGRHBEMAYRA-DYVFJYSZSA-N 0 3 323.864 4.128 20 0 DIADHN C[C@@H](NCCCCCC(=O)OC(C)(C)C)c1ccccc1F ZINC000507452514 340220249 /nfs/dbraw/zinc/22/02/49/340220249.db2.gz XCFMETFXJABHNZ-CQSZACIVSA-N 0 3 309.425 4.378 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](C)COc1ccc(F)cc1F ZINC000507463408 340220722 /nfs/dbraw/zinc/22/07/22/340220722.db2.gz STRSMKRRRWPYCG-CHWSQXEVSA-N 0 3 320.383 4.040 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](CC)Oc1ccccc1F ZINC000507467103 340221078 /nfs/dbraw/zinc/22/10/78/340221078.db2.gz DVMUIPQRRDWBSA-GDBMZVCRSA-N 0 3 316.420 4.291 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCC2(OC)CCC2)cc1OC ZINC000507472065 340221549 /nfs/dbraw/zinc/22/15/49/340221549.db2.gz DASWHAYQEWTPSE-OAHLLOKOSA-N 0 3 321.461 4.094 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](OC)c1cccc(Cl)c1 ZINC000507485062 340222136 /nfs/dbraw/zinc/22/21/36/340222136.db2.gz KOKHKRPKJTUTKB-DYVFJYSZSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](OC)c1cccc(Cl)c1 ZINC000507485065 340222198 /nfs/dbraw/zinc/22/21/98/340222198.db2.gz KOKHKRPKJTUTKB-SUMWQHHRSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@H](C)NCC(C)(C)c1ccc(OC)cc1 ZINC000507486597 340222307 /nfs/dbraw/zinc/22/23/07/340222307.db2.gz OZYYCSPXSCKSRG-HNNXBMFYSA-N 0 3 312.457 4.281 20 0 DIADHN C[C@@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@@H]1CCCOC1 ZINC000507512185 340223534 /nfs/dbraw/zinc/22/35/34/340223534.db2.gz OXJANFPCQNANJN-VXGBXAGGSA-N 0 3 314.256 4.387 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](Nc1ccccc1)C(C)C ZINC000507511378 340223547 /nfs/dbraw/zinc/22/35/47/340223547.db2.gz NYDBORVUHIPZOH-VQIMIIECSA-N 0 3 311.473 4.431 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(SCC(F)F)cc1)OC ZINC000516605716 340223607 /nfs/dbraw/zinc/22/36/07/340223607.db2.gz QWWAWLGCGFXBAP-DGCLKSJQSA-N 0 3 303.418 4.119 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCC[C@@H]1OCc1ccccc1 ZINC000507516319 340223810 /nfs/dbraw/zinc/22/38/10/340223810.db2.gz XEJCVNDCXAHLOM-DBVUQKKJSA-N 0 3 324.468 4.433 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCO[C@H](C)c1ccccc1 ZINC000507520668 340224065 /nfs/dbraw/zinc/22/40/65/340224065.db2.gz SFFXHUPGECCCJQ-IAGOWNOFSA-N 0 3 312.457 4.463 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](C)Sc1ccccc1 ZINC000507533848 340224684 /nfs/dbraw/zinc/22/46/84/340224684.db2.gz UIPUNYAVRLSLHW-GJZGRUSLSA-N 0 3 300.471 4.475 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1cccc(Cl)c1Cl ZINC000300414872 340225503 /nfs/dbraw/zinc/22/55/03/340225503.db2.gz QJBPFCOJSIRNGO-RJIBLYRGSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@@H](N[C@H](COc1ccccc1F)C(C)(C)C)c1ccncc1 ZINC000507661443 340227500 /nfs/dbraw/zinc/22/75/00/340227500.db2.gz UKHUABURLHVZCG-RDTXWAMCSA-N 0 3 316.420 4.365 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CC[C@H](Cc2ccccc2)C1 ZINC000507670912 340227845 /nfs/dbraw/zinc/22/78/45/340227845.db2.gz XASKBESMCJFHGT-GOSISDBHSA-N 0 3 317.436 4.141 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1CC[C@H](Cc2ccccc2)C1 ZINC000507670912 340227846 /nfs/dbraw/zinc/22/78/46/340227846.db2.gz XASKBESMCJFHGT-GOSISDBHSA-N 0 3 317.436 4.141 20 0 DIADHN Cc1cccc(C2=CCN(Cc3ccc4c[nH]nc4c3)CC2)c1 ZINC000507713555 340229211 /nfs/dbraw/zinc/22/92/11/340229211.db2.gz KCPVUUIHFMLIAL-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN COc1cccc([C@@H](C)N2CCN([C@H](C)c3ccccc3)CC2)c1 ZINC000507721063 340229436 /nfs/dbraw/zinc/22/94/36/340229436.db2.gz YOTLFNPZEUPQDO-QZTJIDSGSA-N 0 3 324.468 4.135 20 0 DIADHN CCOC[C@H]1CCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000507768258 340230681 /nfs/dbraw/zinc/23/06/81/340230681.db2.gz AEQOCODJTHDEHN-INIZCTEOSA-N 0 3 313.416 4.351 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1F)c1ccc(Cl)cc1 ZINC000507788359 340231490 /nfs/dbraw/zinc/23/14/90/340231490.db2.gz AERVPDOWCSDNOA-LBPRGKRZSA-N 0 3 320.795 4.159 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1F)c1ccc(Cl)cc1 ZINC000507788357 340231534 /nfs/dbraw/zinc/23/15/34/340231534.db2.gz AERVPDOWCSDNOA-GFCCVEGCSA-N 0 3 320.795 4.159 20 0 DIADHN COC(=O)c1coc(CN(Cc2ccccc2)CC(C)(C)C)c1 ZINC000507805332 340232257 /nfs/dbraw/zinc/23/22/57/340232257.db2.gz IXGAWCRLCJSBJK-UHFFFAOYSA-N 0 3 315.413 4.115 20 0 DIADHN CCCC1CCC(N(Cc2cn3cccnc3n2)C(C)C)CC1 ZINC000507809114 340232451 /nfs/dbraw/zinc/23/24/51/340232451.db2.gz UEXYXDLUIIZHOG-UHFFFAOYSA-N 0 3 314.477 4.299 20 0 DIADHN C[C@H](O)C1CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000507811935 340232589 /nfs/dbraw/zinc/23/25/89/340232589.db2.gz FQSQBTSOBUHROQ-HNNXBMFYSA-N 0 3 313.416 4.086 20 0 DIADHN CCc1nc(CCN[C@H]2CCc3c2c(F)ccc3F)sc1C ZINC000507828879 340233513 /nfs/dbraw/zinc/23/35/13/340233513.db2.gz WMFBTHRCMQBWBH-HNNXBMFYSA-N 0 3 322.424 4.112 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2NC[C@H]1CCCCO1 ZINC000507832000 340233614 /nfs/dbraw/zinc/23/36/14/340233614.db2.gz WFNXHUWRHVZTDF-IAQYHMDHSA-N 0 3 300.229 4.139 20 0 DIADHN COCC1(C)CCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000507845012 340233858 /nfs/dbraw/zinc/23/38/58/340233858.db2.gz MCAMBCRXRKQFKD-UHFFFAOYSA-N 0 3 315.413 4.320 20 0 DIADHN Cc1sccc1CN1CCC(N(C)c2ccccc2)CC1 ZINC000507865909 340234616 /nfs/dbraw/zinc/23/46/16/340234616.db2.gz VNVJVKLQWYNLNP-UHFFFAOYSA-N 0 3 300.471 4.157 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1cccc2nccn21)\c1ccccc1 ZINC000507877727 340234936 /nfs/dbraw/zinc/23/49/36/340234936.db2.gz SUIRRNRDBORNJJ-YUIUBULTSA-N 0 3 303.409 4.012 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@H]2/C=C/c2ccccc2)cc1 ZINC000507880420 340234981 /nfs/dbraw/zinc/23/49/81/340234981.db2.gz COZSZTKXYHZBRA-KVDXNUTJSA-N 0 3 320.436 4.323 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@H]2/C=C\c2ccccc2)cc1 ZINC000507880422 340235119 /nfs/dbraw/zinc/23/51/19/340235119.db2.gz COZSZTKXYHZBRA-SZRHCGQDSA-N 0 3 320.436 4.323 20 0 DIADHN CC1(C)C[C@H]1NCc1cc2ccccc2nc1N1CCCCC1 ZINC000507930859 340235970 /nfs/dbraw/zinc/23/59/70/340235970.db2.gz NLTUHTBSROOLMV-GOSISDBHSA-N 0 3 309.457 4.113 20 0 DIADHN Cc1cc(C)nc(NC2CCC(C(=O)OC(C)(C)C)CC2)c1 ZINC000507940646 340236301 /nfs/dbraw/zinc/23/63/01/340236301.db2.gz UNRTYCKTDTVTRU-UHFFFAOYSA-N 0 3 304.434 4.011 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1[C@H](C)CC[C@@H]1C ZINC000507959693 340236557 /nfs/dbraw/zinc/23/65/57/340236557.db2.gz YXRZSOKXBXQZMG-OUAUKWLOSA-N 0 3 315.244 4.193 20 0 DIADHN COCc1ccc(CN(C2CC2)[C@@H]2CCCc3ccccc32)o1 ZINC000507987468 340236934 /nfs/dbraw/zinc/23/69/34/340236934.db2.gz UOFMJEANQXBWPY-HXUWFJFHSA-N 0 3 311.425 4.468 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000508239019 340241244 /nfs/dbraw/zinc/24/12/44/340241244.db2.gz ISVFCMQVJRMCGR-SJLPKXTDSA-N 0 3 317.477 4.085 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000508239017 340241251 /nfs/dbraw/zinc/24/12/51/340241251.db2.gz ISVFCMQVJRMCGR-FUHWJXTLSA-N 0 3 317.477 4.085 20 0 DIADHN COCCN(Cc1cccc2cccnc21)[C@@H](C)c1ccccc1 ZINC000508516493 340245390 /nfs/dbraw/zinc/24/53/90/340245390.db2.gz OGVHHJLSRLFVHZ-KRWDZBQOSA-N 0 3 320.436 4.444 20 0 DIADHN COCCN(Cc1cccc2cc[nH]c21)[C@@H](C)c1ccccc1 ZINC000508521841 340245703 /nfs/dbraw/zinc/24/57/03/340245703.db2.gz XUWBOMKTYKKIJH-INIZCTEOSA-N 0 3 308.425 4.378 20 0 DIADHN COCCN(Cc1cccc2cc[nH]c21)[C@H](C)c1ccccc1 ZINC000508521847 340245763 /nfs/dbraw/zinc/24/57/63/340245763.db2.gz XUWBOMKTYKKIJH-MRXNPFEDSA-N 0 3 308.425 4.378 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)Cc1cnn(CC(F)F)c1 ZINC000508535653 340246098 /nfs/dbraw/zinc/24/60/98/340246098.db2.gz VLKYWDPNMWMSFY-GOSISDBHSA-N 0 3 321.415 4.286 20 0 DIADHN CCCN(Cc1cnc(N(C)C)s1)[C@H]1CCc2ccccc21 ZINC000508603279 340247635 /nfs/dbraw/zinc/24/76/35/340247635.db2.gz INJPNODHENDSKJ-KRWDZBQOSA-N 0 3 315.486 4.109 20 0 DIADHN CC(C)(NCC(=O)Nc1cccc2ccccc21)c1ccccc1 ZINC000508656246 340249794 /nfs/dbraw/zinc/24/97/94/340249794.db2.gz LZDFCDSWNHGKOI-UHFFFAOYSA-N 0 3 318.420 4.303 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnc2c(c1)c(C)nn2C ZINC000508671427 340250184 /nfs/dbraw/zinc/25/01/84/340250184.db2.gz LUVYVRUQCBREOX-IBGZPJMESA-N 0 3 322.456 4.250 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnc2c(c1)c(C)nn2C ZINC000508671428 340250233 /nfs/dbraw/zinc/25/02/33/340250233.db2.gz LUVYVRUQCBREOX-LJQANCHMSA-N 0 3 322.456 4.250 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@@H](C)C1 ZINC000508675479 340250379 /nfs/dbraw/zinc/25/03/79/340250379.db2.gz GPCJKEPVTZNREX-HZPDHXFCSA-N 0 3 308.425 4.022 20 0 DIADHN CCN(CCc1cccs1)Cc1cnc2ccc(Cl)cn12 ZINC000508691238 340250635 /nfs/dbraw/zinc/25/06/35/340250635.db2.gz XAQQEWYBBXNNQK-UHFFFAOYSA-N 0 3 319.861 4.114 20 0 DIADHN Cc1ccccc1-c1cccc(CN(C)CCc2cnccn2)c1 ZINC000508691612 340250707 /nfs/dbraw/zinc/25/07/07/340250707.db2.gz NVHRWDGMDRFUBE-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN CCN(CCc1cccs1)Cc1ncccc1C(F)(F)F ZINC000508708645 340251172 /nfs/dbraw/zinc/25/11/72/340251172.db2.gz CGWLUSHCHNKDPX-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccccc1-c1ccnn1C ZINC000508738775 340252115 /nfs/dbraw/zinc/25/21/15/340252115.db2.gz BCXSUDGHFLYIBJ-INIZCTEOSA-N 0 3 317.436 4.034 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)CC2=CCCOC2)cc1 ZINC000508808332 340253605 /nfs/dbraw/zinc/25/36/05/340253605.db2.gz YXWCPVYAYCFNNE-UHFFFAOYSA-N 0 3 323.436 4.044 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CC[C@H]1Cc1ccccc1 ZINC000508813143 340253608 /nfs/dbraw/zinc/25/36/08/340253608.db2.gz QBEAXMONWPRTQY-FQEVSTJZSA-N 0 3 318.420 4.082 20 0 DIADHN FC(F)Cn1cc(CN2CCCC3(C2)CCCCCC3)cn1 ZINC000508830645 340253951 /nfs/dbraw/zinc/25/39/51/340253951.db2.gz XKDXCVQIPHPGPB-UHFFFAOYSA-N 0 3 311.420 4.085 20 0 DIADHN CN(CCc1cnccn1)Cc1ccc(C2CCCCC2)cc1 ZINC000508968549 340256422 /nfs/dbraw/zinc/25/64/22/340256422.db2.gz XGADQCJLALZFHI-UHFFFAOYSA-N 0 3 309.457 4.199 20 0 DIADHN Cc1ncsc1CCNC1(c2ccc(F)cc2F)CCCC1 ZINC000508989634 340257140 /nfs/dbraw/zinc/25/71/40/340257140.db2.gz CABPMKYVYUEACW-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN Cc1ncsc1CCN1CC=C(c2ccc(Cl)cc2)CC1 ZINC000508990123 340257165 /nfs/dbraw/zinc/25/71/65/340257165.db2.gz DQFVBEJALUEIKY-UHFFFAOYSA-N 0 3 318.873 4.437 20 0 DIADHN C[C@H]1CN(Cc2ccccc2-c2ccnn2C)[C@H]1c1ccccc1 ZINC000508991909 340257309 /nfs/dbraw/zinc/25/73/09/340257309.db2.gz SVPNEEKHCDCGBU-HRAATJIYSA-N 0 3 317.436 4.280 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2-c2ccnn2C)[C@@H]1c1ccccc1 ZINC000508991911 340257320 /nfs/dbraw/zinc/25/73/20/340257320.db2.gz SVPNEEKHCDCGBU-IERDGZPVSA-N 0 3 317.436 4.280 20 0 DIADHN C[C@@H](F)CCN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000508991625 340257385 /nfs/dbraw/zinc/25/73/85/340257385.db2.gz RZETWQFYORQMSY-DYVFJYSZSA-N 0 3 306.812 4.357 20 0 DIADHN Cc1ncsc1CCN1[C@H](C)Cc2cc(F)ccc2[C@H]1C ZINC000509005609 340257991 /nfs/dbraw/zinc/25/79/91/340257991.db2.gz DOYUPVADNMNKJD-DGCLKSJQSA-N 0 3 304.434 4.141 20 0 DIADHN Cc1nccc(CN(Cc2ccccc2)[C@H]2CCCC2(C)C)n1 ZINC000509022038 340258514 /nfs/dbraw/zinc/25/85/14/340258514.db2.gz JSFBEFBKCZJHIN-IBGZPJMESA-N 0 3 309.457 4.366 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@H]1CCCC[C@@H]1n1cccn1 ZINC000509128606 340261947 /nfs/dbraw/zinc/26/19/47/340261947.db2.gz WBCUTVVNLVHWCT-HOTGVXAUSA-N 0 3 323.362 4.175 20 0 DIADHN C[C@H]1CCCCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509138373 340262158 /nfs/dbraw/zinc/26/21/58/340262158.db2.gz NNFSAOLNIUWROE-NSHDSACASA-N 0 3 323.234 4.355 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000509144064 340262198 /nfs/dbraw/zinc/26/21/98/340262198.db2.gz ZCJZYNYMBNMIJN-HUUCEWRRSA-N 0 3 307.846 4.020 20 0 DIADHN COCc1ccccc1/C=C(\C)CNc1cccc(CN(C)C)c1 ZINC000509168106 340262888 /nfs/dbraw/zinc/26/28/88/340262888.db2.gz OEUVAPWHIYHUBQ-SFQUDFHCSA-N 0 3 324.468 4.410 20 0 DIADHN C[C@H](F)CCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000509266142 340264922 /nfs/dbraw/zinc/26/49/22/340264922.db2.gz XFPYUXUGDLJLKU-FZMZJTMJSA-N 0 3 300.215 4.334 20 0 DIADHN COc1ccc(C(=O)CCN(C)[C@H](C)c2cccs2)cc1 ZINC000509293329 340265549 /nfs/dbraw/zinc/26/55/49/340265549.db2.gz FSSFXGPSCJWFPV-CYBMUJFWSA-N 0 3 303.427 4.023 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509294975 340265644 /nfs/dbraw/zinc/26/56/44/340265644.db2.gz SJXBECULKBGYKU-GHMZBOCLSA-N 0 3 323.234 4.068 20 0 DIADHN CC1CCN([C@@H](CNC(=O)CC(C)(C)C)c2cccs2)CC1 ZINC000301997385 340266051 /nfs/dbraw/zinc/26/60/51/340266051.db2.gz NTEISYJNLWZZJZ-HNNXBMFYSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1nc(CCN(C)Cc2ccc(C3CCCCC3)cc2)no1 ZINC000509344896 340266743 /nfs/dbraw/zinc/26/67/43/340266743.db2.gz VLUXLWYSVZXJPY-UHFFFAOYSA-N 0 3 313.445 4.100 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCS[C@@H]3COCC[C@@H]32)cc1 ZINC000509354893 340267031 /nfs/dbraw/zinc/26/70/31/340267031.db2.gz BFMSVZYXBPJEQN-ZWKOTPCHSA-N 0 3 319.514 4.081 20 0 DIADHN C[C@@H](N(C)Cc1nc2cc(Br)ccc2o1)C1(C)CC1 ZINC000509388860 340268119 /nfs/dbraw/zinc/26/81/19/340268119.db2.gz SEIRGADXLBCVGQ-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(C)c(F)c1 ZINC000509413204 340268774 /nfs/dbraw/zinc/26/87/74/340268774.db2.gz FMZIMONZGBUQDU-QGZVFWFLSA-N 0 3 303.352 4.059 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCc3cc(F)c(OC)cc32)c1 ZINC000509418771 340268861 /nfs/dbraw/zinc/26/88/61/340268861.db2.gz UVMAIGVVIDHXEC-GOSISDBHSA-N 0 3 315.388 4.010 20 0 DIADHN CCN(CCOc1ccccc1OC)[C@@H](C)c1ccc(F)cc1 ZINC000516616601 340270067 /nfs/dbraw/zinc/27/00/67/340270067.db2.gz LSMZUAJIMJWJAR-HNNXBMFYSA-N 0 3 317.404 4.296 20 0 DIADHN Cc1cc(F)ccc1CNC[C@@H](c1ccccc1Cl)N(C)C ZINC000509569478 340273744 /nfs/dbraw/zinc/27/37/44/340273744.db2.gz MLCLKAMLOFCQIF-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3cc(Br)ccc3o2)[C@@H]1C ZINC000509684631 340276918 /nfs/dbraw/zinc/27/69/18/340276918.db2.gz MAYHWCPYRQJUAB-GHMZBOCLSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1cc(C)cc(NC(=O)c2oc3ccccc3c2CN(C)C)c1 ZINC000509755156 340278441 /nfs/dbraw/zinc/27/84/41/340278441.db2.gz KKLBFMSCKLYTQH-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1cc(F)cc(F)c1 ZINC000509781618 340278902 /nfs/dbraw/zinc/27/89/02/340278902.db2.gz ITLRSEXKGKSTAS-VGOFMYFVSA-N 0 3 317.379 4.304 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc(C)cc2)c(OC)c1 ZINC000302740795 340280847 /nfs/dbraw/zinc/28/08/47/340280847.db2.gz PFFULWQNXWBFGD-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN CCc1ccc(CNCc2ccccc2-c2ccnn2C)s1 ZINC000509900888 340282374 /nfs/dbraw/zinc/28/23/74/340282374.db2.gz OYJXPCUIOZVYDN-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CCN(CC(=O)N(Cc1ccccc1)C(C)C)C1CCCCC1 ZINC000302805575 340282457 /nfs/dbraw/zinc/28/24/57/340282457.db2.gz CIJPZMLANPOINR-UHFFFAOYSA-N 0 3 316.489 4.078 20 0 DIADHN CSc1ccc(CN2CC=C(c3ccc(O)cc3)CC2)cc1 ZINC000302907613 340285825 /nfs/dbraw/zinc/28/58/25/340285825.db2.gz FADOTEYEWVXBNM-UHFFFAOYSA-N 0 3 311.450 4.403 20 0 DIADHN Cc1ccc(CN(C)CCCc2cc(-c3ccccc3)n[nH]2)o1 ZINC000510122908 340289527 /nfs/dbraw/zinc/28/95/27/340289527.db2.gz DKPAVWFEIOKPEB-UHFFFAOYSA-N 0 3 309.413 4.043 20 0 DIADHN Cc1cnc(CN2CCC[C@@](C)(c3ccccc3)CC2)s1 ZINC000510141188 340290348 /nfs/dbraw/zinc/29/03/48/340290348.db2.gz YRTCRDHLMQHJLI-GOSISDBHSA-N 0 3 300.471 4.395 20 0 DIADHN Cc1ccc(CN2CCC(C(=O)c3ccc(C)cc3)CC2)s1 ZINC000510155247 340290936 /nfs/dbraw/zinc/29/09/36/340290936.db2.gz YDKAHKAHCLKUDP-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1ccc(C)cn1 ZINC000510197282 340292238 /nfs/dbraw/zinc/29/22/38/340292238.db2.gz YMVOPUGTEXNPLS-LPHOPBHVSA-N 0 3 310.441 4.372 20 0 DIADHN FC(F)Cn1cc(CN[C@@H](c2ccccc2)C2CCCC2)cn1 ZINC000510223056 340292974 /nfs/dbraw/zinc/29/29/74/340292974.db2.gz ODUOYEDQQCYVAQ-SFHVURJKSA-N 0 3 319.399 4.169 20 0 DIADHN CCn1nccc1CCN(Cc1ccccc1Cl)CC1CC1 ZINC000510225991 340293243 /nfs/dbraw/zinc/29/32/43/340293243.db2.gz YKSGMDYHZGHLCG-UHFFFAOYSA-N 0 3 317.864 4.011 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C/c2ccc(F)c(F)c2)cc1F ZINC000510231613 340293504 /nfs/dbraw/zinc/29/35/04/340293504.db2.gz YLWVIHVNKSDVDN-AAOUONPWSA-N 0 3 321.342 4.477 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1ncccc1C ZINC000510247066 340293945 /nfs/dbraw/zinc/29/39/45/340293945.db2.gz ZJFJNCMTAIWQNW-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN CCCN(CC(=O)N[C@@H](C)c1cccs1)CC1CCCCC1 ZINC000303031959 340296993 /nfs/dbraw/zinc/29/69/93/340296993.db2.gz UJTKUWKMAAXVCQ-HNNXBMFYSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510403230 340298148 /nfs/dbraw/zinc/29/81/48/340298148.db2.gz USWCQHFJMVMHNP-RDTXWAMCSA-N 0 3 309.388 4.183 20 0 DIADHN C[C@H](C(=O)N(C)Cc1cccc2ccccc21)N1CCCCCC1 ZINC000510403974 340298156 /nfs/dbraw/zinc/29/81/56/340298156.db2.gz XZPHJRFYPHWHHJ-QGZVFWFLSA-N 0 3 324.468 4.063 20 0 DIADHN Clc1ccccc1CN1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510402127 340298237 /nfs/dbraw/zinc/29/82/37/340298237.db2.gz NLRQLSNOFLSDIX-MRXNPFEDSA-N 0 3 311.816 4.137 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCCCC[C@H]3c3ccccc3)cc12 ZINC000510450111 340299864 /nfs/dbraw/zinc/29/98/64/340299864.db2.gz ROGQPZFAAIYTEO-IBGZPJMESA-N 0 3 320.440 4.384 20 0 DIADHN CCc1ncc(CN2CCC[C@@H]2Cc2cccc(F)c2)s1 ZINC000510468084 340300443 /nfs/dbraw/zinc/30/04/43/340300443.db2.gz WSDZALPTVKXXBI-OAHLLOKOSA-N 0 3 304.434 4.052 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000510492123 340300948 /nfs/dbraw/zinc/30/09/48/340300948.db2.gz ONJMWQDFUIXIAH-IYARVYRRSA-N 0 3 316.489 4.458 20 0 DIADHN c1ccc(O[C@H]2CCCN(Cc3cccc4cccnc43)C2)cc1 ZINC000510500859 340301251 /nfs/dbraw/zinc/30/12/51/340301251.db2.gz GQJNJJWJEGMYPB-FQEVSTJZSA-N 0 3 318.420 4.278 20 0 DIADHN COc1ccsc1CN1CCC(COc2ccccc2)CC1 ZINC000510620191 340304106 /nfs/dbraw/zinc/30/41/06/340304106.db2.gz ZAFIDMNHMDZCDZ-UHFFFAOYSA-N 0 3 317.454 4.048 20 0 DIADHN c1[nH]nc2cc(CN(C3CC3)[C@@H]3CCc4ccccc43)ccc12 ZINC000510638905 340304616 /nfs/dbraw/zinc/30/46/16/340304616.db2.gz AUSGFMUNTKZJRH-HXUWFJFHSA-N 0 3 303.409 4.215 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCN(CCC(C)(C)C)CC1 ZINC000510643711 340304618 /nfs/dbraw/zinc/30/46/18/340304618.db2.gz HJFPJLZBDILZDK-VLGSPTGOSA-N 0 3 300.490 4.144 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1c(F)cccc1OC(F)F ZINC000510652937 340305139 /nfs/dbraw/zinc/30/51/39/340305139.db2.gz QQJIZUUYDWUNIJ-FZMZJTMJSA-N 0 3 319.342 4.435 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1coc(-c2ccccc2)n1 ZINC000510658968 340305491 /nfs/dbraw/zinc/30/54/91/340305491.db2.gz ACKXILCFZIEREE-YOEHRIQHSA-N 0 3 302.393 4.350 20 0 DIADHN Cc1cccc([C@@H](NCC(C)(C)CCO)c2cccc(F)c2)c1 ZINC000510669812 340305803 /nfs/dbraw/zinc/30/58/03/340305803.db2.gz WLEXATTZWTWNIF-LJQANCHMSA-N 0 3 315.432 4.222 20 0 DIADHN Cc1cccc([C@H](NC[C@@H](C)C[C@H](C)O)c2cccc(F)c2)c1 ZINC000510669885 340305832 /nfs/dbraw/zinc/30/58/32/340305832.db2.gz LVAGILCMGSDNSP-FTRWYGJKSA-N 0 3 315.432 4.220 20 0 DIADHN Cc1cccc([C@@H](NC[C@@]2(C)CCOC2)c2cccc(F)c2)c1 ZINC000510684403 340306480 /nfs/dbraw/zinc/30/64/80/340306480.db2.gz PXASJFDGZPFMPD-WOJBJXKFSA-N 0 3 313.416 4.240 20 0 DIADHN c1ccc(-n2nc(CN[C@@H]3CC[C@@H]3C3CCC3)c3c2CCC3)cc1 ZINC000510685276 340306629 /nfs/dbraw/zinc/30/66/29/340306629.db2.gz KMSDAXUYLIUTNW-IEBWSBKVSA-N 0 3 321.468 4.029 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@@H]2CC[C@@H]2C2CCC2)n1 ZINC000510694135 340307000 /nfs/dbraw/zinc/30/70/00/340307000.db2.gz GBEVIKCLTIJUMR-WOJBJXKFSA-N 0 3 323.484 4.184 20 0 DIADHN Cc1cccc([C@@H](NCCc2ccncc2)c2cccc(F)c2)c1 ZINC000510705171 340307338 /nfs/dbraw/zinc/30/73/38/340307338.db2.gz RHYVKFARJJVPDT-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510711577 340307565 /nfs/dbraw/zinc/30/75/65/340307565.db2.gz DRYXFJBHDBVEMK-UXHICEINSA-N 0 3 315.432 4.364 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1cc(C)c(Br)s1 ZINC000511975843 340344290 /nfs/dbraw/zinc/34/42/90/340344290.db2.gz WZUWPMISVMLZBD-JTQLQIEISA-N 0 3 320.296 4.285 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1c(F)cccc1F)c1ccc(C)o1 ZINC000510718187 340307993 /nfs/dbraw/zinc/30/79/93/340307993.db2.gz SMAQYUQBCDYARX-SJCJKPOMSA-N 0 3 323.383 4.165 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1c(F)cccc1F)c1ccc(C)o1 ZINC000510718190 340307999 /nfs/dbraw/zinc/30/79/99/340307999.db2.gz SMAQYUQBCDYARX-SJKOYZFVSA-N 0 3 323.383 4.165 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CC[C@@H]2C2CCC2)c2ccccn2)c1 ZINC000510720790 340308166 /nfs/dbraw/zinc/30/81/66/340308166.db2.gz LVDNJECBXIMBJJ-HBFSDRIKSA-N 0 3 310.416 4.478 20 0 DIADHN CN(C)Cc1ccc(C(=O)N[C@@H]2CCCC[C@H]2C(C)(C)C)cc1 ZINC000510734853 340308702 /nfs/dbraw/zinc/30/87/02/340308702.db2.gz KJYVPZMULGFUBS-QZTJIDSGSA-N 0 3 316.489 4.083 20 0 DIADHN Cc1cc(OCCC[C@@H](C)NCc2nccs2)ccc1Cl ZINC000510735658 340308828 /nfs/dbraw/zinc/30/88/28/340308828.db2.gz OSOHCYBKBIKSQC-CYBMUJFWSA-N 0 3 324.877 4.442 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC(C)(c3ccccc3)CC2)c1 ZINC000510737656 340308906 /nfs/dbraw/zinc/30/89/06/340308906.db2.gz SMFPLELKOHPOQY-UHFFFAOYSA-N 0 3 322.452 4.199 20 0 DIADHN Cc1cc(CN[C@H](C)CCc2ccc(C(F)(F)F)cc2)on1 ZINC000510751060 340309555 /nfs/dbraw/zinc/30/95/55/340309555.db2.gz NFHKHWZWSMVMIC-LLVKDONJSA-N 0 3 312.335 4.113 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(-c2ccccc2)cc1 ZINC000510797615 340311456 /nfs/dbraw/zinc/31/14/56/340311456.db2.gz MUMYTUYYOSTCEH-UHFFFAOYSA-N 0 3 308.450 4.485 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000510815881 340311837 /nfs/dbraw/zinc/31/18/37/340311837.db2.gz RWDGOAFZVJNHCK-STQMWFEESA-N 0 3 318.486 4.428 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](C)c1ccc2c(c1)CCCC2 ZINC000510843176 340312643 /nfs/dbraw/zinc/31/26/43/340312643.db2.gz AWZIFJMIYXTIET-MRXNPFEDSA-N 0 3 322.452 4.163 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@H]1C1CCC1 ZINC000303217115 340313299 /nfs/dbraw/zinc/31/32/99/340313299.db2.gz UDFPQTROJBTRGP-HNNXBMFYSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@@H](CCc1c(F)cccc1F)N[C@@H](C)c1ccncc1F ZINC000510916945 340314652 /nfs/dbraw/zinc/31/46/52/340314652.db2.gz PLTFQRCOAYEXMY-RYUDHWBXSA-N 0 3 308.347 4.171 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCc2cc(F)ccc21 ZINC000510935113 340315484 /nfs/dbraw/zinc/31/54/84/340315484.db2.gz RHZTXMQNHYSCFH-ROUUACIJSA-N 0 3 315.363 4.238 20 0 DIADHN C[C@H](C(=O)N(C)[C@@H](C)c1ccccc1Cl)N1CCCCCC1 ZINC000510938675 340315634 /nfs/dbraw/zinc/31/56/34/340315634.db2.gz LYJCIDQRMRZPRE-LSDHHAIUSA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H]1C1CCC1)c1ccc(Br)cn1 ZINC000510953815 340316183 /nfs/dbraw/zinc/31/61/83/340316183.db2.gz WQKANMJJPANMEH-ZBINZKHDSA-N 0 3 309.251 4.073 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1c(F)cc(F)c(F)c1F ZINC000510961892 340316280 /nfs/dbraw/zinc/31/62/80/340316280.db2.gz NNYDXIWILQDALB-NOZJJQNGSA-N 0 3 307.306 4.251 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCCc2ccccc21 ZINC000510964173 340316466 /nfs/dbraw/zinc/31/64/66/340316466.db2.gz XAABLHYAGLMOQM-OALUTQOASA-N 0 3 311.400 4.489 20 0 DIADHN Cn1c(CN[C@H]2CCC[C@H]2CCCF)nc2cccc(Cl)c21 ZINC000510974390 340316794 /nfs/dbraw/zinc/31/67/94/340316794.db2.gz FOBPRHJHGDLPGJ-JSGCOSHPSA-N 0 3 323.843 4.235 20 0 DIADHN C[C@H](CN[C@@H]1CCc2ccc(Br)cc21)C(F)(F)F ZINC000510996737 340317539 /nfs/dbraw/zinc/31/75/39/340317539.db2.gz UFSGSXSDIBUDDJ-PRHODGIISA-N 0 3 322.168 4.224 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@@H](C)c1ccncc1F)CC3 ZINC000510996952 340317588 /nfs/dbraw/zinc/31/75/88/340317588.db2.gz IBJWXBZVHGRCTM-KBPBESRZSA-N 0 3 323.415 4.219 20 0 DIADHN FC(F)OCCCN[C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000511009683 340318014 /nfs/dbraw/zinc/31/80/14/340318014.db2.gz CDYCWORYPLWFEF-NSHDSACASA-N 0 3 310.171 4.200 20 0 DIADHN C[C@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccncc1F ZINC000511052239 340319476 /nfs/dbraw/zinc/31/94/76/340319476.db2.gz UDYJUJNRDGDJIO-ZOODHJKOSA-N 0 3 304.796 4.471 20 0 DIADHN CC(C)OCCN1CCC(C)(c2nc3ccccc3s2)CC1 ZINC000511076235 340320039 /nfs/dbraw/zinc/32/00/39/340320039.db2.gz WMXXNAWRUBGZIP-UHFFFAOYSA-N 0 3 318.486 4.075 20 0 DIADHN Cc1cccnc1CN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000511083450 340320193 /nfs/dbraw/zinc/32/01/93/340320193.db2.gz ZZRYIMTWNKDEQY-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN Cc1cccnc1CN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000511083449 340320220 /nfs/dbraw/zinc/32/02/20/340320220.db2.gz ZZRYIMTWNKDEQY-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN CSCCN1CCC(C)(c2nc3ccccc3s2)CC1 ZINC000511105323 340321028 /nfs/dbraw/zinc/32/10/28/340321028.db2.gz DQCLFANDPVGVCI-UHFFFAOYSA-N 0 3 306.500 4.013 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CC=C(c2ccnn2C)CC1 ZINC000511127538 340321611 /nfs/dbraw/zinc/32/16/11/340321611.db2.gz WBJNWNTYZHYZMF-MRXNPFEDSA-N 0 3 317.436 4.424 20 0 DIADHN Fc1ccc(CN(CCc2ccccn2)CC2CC2)c(Cl)c1 ZINC000511125357 340321640 /nfs/dbraw/zinc/32/16/40/340321640.db2.gz MEIMHHFUTZGCAO-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc(N(C)C)s2)cc1C ZINC000511127311 340321678 /nfs/dbraw/zinc/32/16/78/340321678.db2.gz STUMGLWMYYVSHW-QGZVFWFLSA-N 0 3 315.486 4.163 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)c2ccc(CN(C)C)cc2)cc1 ZINC000511159651 340322853 /nfs/dbraw/zinc/32/28/53/340322853.db2.gz YMYAKCOYPQYDER-UHFFFAOYSA-N 0 3 324.468 4.144 20 0 DIADHN CC[C@@H](N[C@H](C)Cc1ccc(O)cc1)c1ccc(F)cc1F ZINC000511191520 340323742 /nfs/dbraw/zinc/32/37/42/340323742.db2.gz IMEOAQIJPSYEKS-KZULUSFZSA-N 0 3 305.368 4.342 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccccc2C(F)(F)F)CC1 ZINC000303362558 340323795 /nfs/dbraw/zinc/32/37/95/340323795.db2.gz HPLSDXWDDROADW-OAHLLOKOSA-N 0 3 315.379 4.487 20 0 DIADHN CC(C)(O)CCN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000511210689 340324045 /nfs/dbraw/zinc/32/40/45/340324045.db2.gz AJMRNKHWFZFEST-UHFFFAOYSA-N 0 3 314.256 4.244 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](C)c1ccc(F)cc1Cl ZINC000511222895 340324068 /nfs/dbraw/zinc/32/40/68/340324068.db2.gz PTVOOMNEEOMOLJ-NEPJUHHUSA-N 0 3 307.796 4.467 20 0 DIADHN CSCCCN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000511363709 340325997 /nfs/dbraw/zinc/32/59/97/340325997.db2.gz BHHBXCCLANGQDE-KRWDZBQOSA-N 0 3 320.889 4.362 20 0 DIADHN CCOCCCN[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000511366331 340326050 /nfs/dbraw/zinc/32/60/50/340326050.db2.gz IHWLFXPCTOUYPM-GOSISDBHSA-N 0 3 318.848 4.035 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1ccc(Sc2ccccn2)o1 ZINC000511382307 340326259 /nfs/dbraw/zinc/32/62/59/340326259.db2.gz WUMNPZLJASRGOH-ZDUSSCGKSA-N 0 3 320.458 4.119 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000303456583 340326491 /nfs/dbraw/zinc/32/64/91/340326491.db2.gz KEKDVSNPYZYBAX-AAEUAGOBSA-N 0 3 305.397 4.223 20 0 DIADHN c1c2ccccc2oc1CN1CCCN(c2ccccc2)CC1 ZINC000511475094 340327927 /nfs/dbraw/zinc/32/79/27/340327927.db2.gz UIGVYERVBLKLEE-UHFFFAOYSA-N 0 3 306.409 4.145 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](C)c1c(F)cccc1Cl ZINC000511526083 340329465 /nfs/dbraw/zinc/32/94/65/340329465.db2.gz YSRCGQJCJZFPED-RYUDHWBXSA-N 0 3 307.796 4.467 20 0 DIADHN CC[C@H]1CCCCN1C(=O)CN(C)[C@@H](c1ccccc1)C(C)C ZINC000303523606 340330328 /nfs/dbraw/zinc/33/03/28/340330328.db2.gz PYIWVJISTRIFTK-AZUAARDMSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000303546083 340332542 /nfs/dbraw/zinc/33/25/42/340332542.db2.gz KXGCJHUBUBROPU-LPHOPBHVSA-N 0 3 316.489 4.012 20 0 DIADHN CCN(Cc1ccc(C)cn1)[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000511621191 340332729 /nfs/dbraw/zinc/33/27/29/340332729.db2.gz BMHUWJBBYKNTME-GHTZIAJQSA-N 0 3 323.484 4.047 20 0 DIADHN c1coc(CN(C[C@H]2C[C@H]2c2ccccc2)C[C@@H]2CCCO2)c1 ZINC000511651276 340333992 /nfs/dbraw/zinc/33/39/92/340333992.db2.gz WZRJVJXOHLVRNM-HOJAQTOUSA-N 0 3 311.425 4.064 20 0 DIADHN CCCCOc1cccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)c1 ZINC000511701219 340335634 /nfs/dbraw/zinc/33/56/34/340335634.db2.gz GMYUGZBSJDSACE-JYJNAYRXSA-N 0 3 318.461 4.065 20 0 DIADHN C[C@@H](CN(C)CCC(F)(F)F)c1cccc(Br)c1 ZINC000511753232 340337231 /nfs/dbraw/zinc/33/72/31/340337231.db2.gz FTJCBTSNTRHUGY-JTQLQIEISA-N 0 3 324.184 4.437 20 0 DIADHN CCn1nccc1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000511762703 340337340 /nfs/dbraw/zinc/33/73/40/340337340.db2.gz NRJJUCINEAQMGH-HXUWFJFHSA-N 0 3 305.425 4.393 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NC/C=C/c1ccc(F)c(F)c1 ZINC000511776669 340338012 /nfs/dbraw/zinc/33/80/12/340338012.db2.gz VLQSGHCGYWWUIO-YWPBOTNQSA-N 0 3 315.363 4.400 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3ccc4occc4c3)cccc21 ZINC000511789706 340338376 /nfs/dbraw/zinc/33/83/76/340338376.db2.gz XXCIVQSNTDCMCT-GOSISDBHSA-N 0 3 322.408 4.430 20 0 DIADHN COc1cc(CN2CCC[C@@H]3CCC[C@@H]32)cc(Cl)c1OC ZINC000511858596 340340693 /nfs/dbraw/zinc/34/06/93/340340693.db2.gz HVLUUXLSYVLUGP-ZFWWWQNUSA-N 0 3 309.837 4.122 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC[C@@H]4CCC[C@H]43)s2)o1 ZINC000511886982 340341805 /nfs/dbraw/zinc/34/18/05/340341805.db2.gz FSECOVQZDWECEI-DZGCQCFKSA-N 0 3 302.443 4.476 20 0 DIADHN CN(C)Cc1cnc(CN[C@@H]2CCC[C@H](C(C)(C)C)CC2)s1 ZINC000511907957 340342568 /nfs/dbraw/zinc/34/25/68/340342568.db2.gz MSMJGTDSIVEVBP-LSDHHAIUSA-N 0 3 323.550 4.289 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1ccc2occc2c1 ZINC000511938625 340343350 /nfs/dbraw/zinc/34/33/50/340343350.db2.gz MDMJQEDAFGRLCA-ORAYPTAESA-N 0 3 313.372 4.438 20 0 DIADHN Clc1ccc(CNC2CC(c3ccccc3Cl)C2)nc1 ZINC000511957509 340343691 /nfs/dbraw/zinc/34/36/91/340343691.db2.gz XJGKNUMPNHYWKN-UHFFFAOYSA-N 0 3 307.224 4.424 20 0 DIADHN Cc1cnn(C)c1CN[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000511979499 340344324 /nfs/dbraw/zinc/34/43/24/340344324.db2.gz XEIIXUXTUJIDIS-PBHICJAKSA-N 0 3 309.457 4.118 20 0 DIADHN CSCc1cnc(CNC23CC4CC(CC(C4)C2)C3)s1 ZINC000511988348 340344648 /nfs/dbraw/zinc/34/46/48/340344648.db2.gz IPISYAHSUUPVDA-UHFFFAOYSA-N 0 3 308.516 4.065 20 0 DIADHN COc1ccc(CNCc2ccc3occc3c2)cc1Cl ZINC000511996771 340344822 /nfs/dbraw/zinc/34/48/22/340344822.db2.gz LEKRJRAEKZFJNA-UHFFFAOYSA-N 0 3 301.773 4.385 20 0 DIADHN CO[C@H](C)c1nc(CNCC2CCC(C(C)C)CC2)cs1 ZINC000512007184 340345125 /nfs/dbraw/zinc/34/51/25/340345125.db2.gz MMPKSAONSYZWGK-WLYUNCDWSA-N 0 3 310.507 4.403 20 0 DIADHN CC[C@H](C)[C@H](NCc1c(C)cnn1C)c1ccc(Cl)cc1 ZINC000512005353 340345190 /nfs/dbraw/zinc/34/51/90/340345190.db2.gz GYLZXJXBWBXBOB-SJCJKPOMSA-N 0 3 305.853 4.259 20 0 DIADHN FC(F)COc1cccc(CNCc2ccc3occc3c2)c1 ZINC000512028881 340345908 /nfs/dbraw/zinc/34/59/08/340345908.db2.gz YRHNVHHIUPXQSF-UHFFFAOYSA-N 0 3 317.335 4.367 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CSc3ccccc32)cc1F ZINC000512036146 340346203 /nfs/dbraw/zinc/34/62/03/340346203.db2.gz DXIHHJZOJHXHOZ-QGZUEGPWSA-N 0 3 303.377 4.415 20 0 DIADHN Cn1cc([C@@H](NC/C=C/c2ccc(F)c(F)c2)C(C)(C)C)cn1 ZINC000512047290 340346715 /nfs/dbraw/zinc/34/67/15/340346715.db2.gz YZJLNWYLHCORLU-FUTAKVPZSA-N 0 3 319.399 4.089 20 0 DIADHN CCc1ccc(CNC[C@@H](CC)Oc2ccccc2Cl)cn1 ZINC000512068693 340347476 /nfs/dbraw/zinc/34/74/76/340347476.db2.gz TYNZBPUEVKBGGB-MRXNPFEDSA-N 0 3 318.848 4.245 20 0 DIADHN CCC[C@@H](NCc1ncc(CN(C)C)s1)C1CCCCC1 ZINC000512100026 340348505 /nfs/dbraw/zinc/34/85/05/340348505.db2.gz QZFYSLNHOLBGOC-MRXNPFEDSA-N 0 3 309.523 4.043 20 0 DIADHN C[C@H]1CCCCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000512170240 340350709 /nfs/dbraw/zinc/35/07/09/340350709.db2.gz KBTYFAKFOJQEFX-LBPRGKRZSA-N 0 3 305.809 4.155 20 0 DIADHN CC[C@H](C)Oc1cccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)c1 ZINC000512169883 340350769 /nfs/dbraw/zinc/35/07/69/340350769.db2.gz YYQSUWWDKYIRLP-WCVJEAGWSA-N 0 3 318.461 4.064 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCCC[C@H]3C)o2)cc1 ZINC000512179123 340351023 /nfs/dbraw/zinc/35/10/23/340351023.db2.gz HXSAKCHYIUKOLC-CQSZACIVSA-N 0 3 300.402 4.115 20 0 DIADHN COCCCN(C)Cc1c(OC(C)C)ccc2ccccc21 ZINC000512272427 340354795 /nfs/dbraw/zinc/35/47/95/340354795.db2.gz YTHNDHVIKWZKFL-UHFFFAOYSA-N 0 3 301.430 4.095 20 0 DIADHN COC[C@@H](CN[C@H](C)c1ccc(C2CCCCC2)cc1)OC ZINC000512275683 340355129 /nfs/dbraw/zinc/35/51/29/340355129.db2.gz AWLJOADVQWXICR-DNVCBOLYSA-N 0 3 305.462 4.046 20 0 DIADHN COC[C@H](CN[C@@H](C)c1ccc(C2CCCCC2)cc1)OC ZINC000512275686 340355169 /nfs/dbraw/zinc/35/51/69/340355169.db2.gz AWLJOADVQWXICR-KXBFYZLASA-N 0 3 305.462 4.046 20 0 DIADHN CCC[C@]1(NCc2ccc(OC(C)C)c(Cl)c2)CCOC1 ZINC000512285614 340355371 /nfs/dbraw/zinc/35/53/71/340355371.db2.gz JPOJQNUJUXNVTP-KRWDZBQOSA-N 0 3 311.853 4.176 20 0 DIADHN CN(Cc1cc(-c2ccccc2)no1)[C@@H]1CCc2ccccc2C1 ZINC000512308046 340356378 /nfs/dbraw/zinc/35/63/78/340356378.db2.gz IAPDCVPCRRIIRQ-LJQANCHMSA-N 0 3 318.420 4.331 20 0 DIADHN CN(Cc1ncc(-c2cccs2)o1)[C@H]1CCc2ccccc2C1 ZINC000512313525 340356671 /nfs/dbraw/zinc/35/66/71/340356671.db2.gz JZVHPTPCZIEMCT-INIZCTEOSA-N 0 3 324.449 4.392 20 0 DIADHN CN(Cc1ncc(-c2ccccc2)o1)[C@H]1CCc2ccccc2C1 ZINC000512331329 340357348 /nfs/dbraw/zinc/35/73/48/340357348.db2.gz XQIMXSKFGAVZRO-IBGZPJMESA-N 0 3 318.420 4.331 20 0 DIADHN CC[C@H](O)CCCNCc1ccc(-c2ccccc2)cc1OC ZINC000512388057 340359936 /nfs/dbraw/zinc/35/99/36/340359936.db2.gz RNNPAIXHTFGJJZ-IBGZPJMESA-N 0 3 313.441 4.003 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCCSC ZINC000512390279 340360079 /nfs/dbraw/zinc/36/00/79/340360079.db2.gz BTKACJFDIIBGIC-UHFFFAOYSA-N 0 3 301.455 4.205 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@]1(C)CCCOC1 ZINC000512392203 340360228 /nfs/dbraw/zinc/36/02/28/340360228.db2.gz STNDCMVXWFKESQ-HXUWFJFHSA-N 0 3 311.425 4.021 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)[C@@H](C)c1ccncc1 ZINC000512426519 340361476 /nfs/dbraw/zinc/36/14/76/340361476.db2.gz HOSBLJISXHZKBB-MAUBAPBLSA-N 0 3 310.441 4.324 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)[C@@H](C)c1cccnc1 ZINC000512426435 340361492 /nfs/dbraw/zinc/36/14/92/340361492.db2.gz GFOZKBPKULUUCD-WBILKINKSA-N 0 3 310.441 4.324 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)[C@H](C)c1cccnc1 ZINC000512426433 340361502 /nfs/dbraw/zinc/36/15/02/340361502.db2.gz GFOZKBPKULUUCD-TXQCQQFXSA-N 0 3 310.441 4.324 20 0 DIADHN CC[C@H](NCc1ccc(NC(N)=O)cc1)c1cccc(Cl)c1 ZINC000173311833 340362122 /nfs/dbraw/zinc/36/21/22/340362122.db2.gz OGLOZHJVZIBATR-INIZCTEOSA-N 0 3 317.820 4.072 20 0 DIADHN OCCC1(NCc2ccc(-c3cccc(F)c3F)o2)CCCC1 ZINC000512496302 340364002 /nfs/dbraw/zinc/36/40/02/340364002.db2.gz JBTYDMGRVCRHTG-UHFFFAOYSA-N 0 3 321.367 4.010 20 0 DIADHN c1ccc(-c2noc(CN[C@H]3CCCCC34CCCC4)n2)cc1 ZINC000512577076 340367633 /nfs/dbraw/zinc/36/76/33/340367633.db2.gz GXTJNAHRWHYDOK-INIZCTEOSA-N 0 3 311.429 4.329 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)CC(F)(F)F ZINC000512590050 340368122 /nfs/dbraw/zinc/36/81/22/340368122.db2.gz HEPPUQXHAKPCGI-RPHSKFLZSA-N 0 3 301.352 4.167 20 0 DIADHN C[C@@H](NCc1ccccc1OCCO)c1cccc2ccccc21 ZINC000304747321 340368347 /nfs/dbraw/zinc/36/83/47/340368347.db2.gz VTIZWDCUOHWQQD-MRXNPFEDSA-N 0 3 321.420 4.062 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C2CCC2)c(Cl)c1OC ZINC000512609821 340368815 /nfs/dbraw/zinc/36/88/15/340368815.db2.gz RAULTRXAXWDNNA-CQSZACIVSA-N 0 3 309.837 4.122 20 0 DIADHN COc1ccc(CN2CCC(c3cccc(OC)c3)CC2)cc1 ZINC000512647535 340370714 /nfs/dbraw/zinc/37/07/14/340370714.db2.gz DYNGTSKXPCDRQR-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN COc1cc(CN2CCC[C@H]2C2CCC2)cc(Cl)c1OC ZINC000512652086 340371116 /nfs/dbraw/zinc/37/11/16/340371116.db2.gz HXLVJDGDFGUPBH-HNNXBMFYSA-N 0 3 309.837 4.122 20 0 DIADHN COc1cc(CN(C)CC2CCCC2)c(SC)cc1OC ZINC000512667994 340372127 /nfs/dbraw/zinc/37/21/27/340372127.db2.gz IFOMOWLHBRNJBV-UHFFFAOYSA-N 0 3 309.475 4.048 20 0 DIADHN CN(Cc1ccccc1OCc1ccncc1)CC1CCCC1 ZINC000512677050 340372576 /nfs/dbraw/zinc/37/25/76/340372576.db2.gz HEGJHKUXWDNVOM-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Oc1cccc(CN(CC2=Cc3ccccc3OC2)CC2CC2)c1 ZINC000512696698 340373324 /nfs/dbraw/zinc/37/33/24/340373324.db2.gz DTYJJYWLOYTZQD-UHFFFAOYSA-N 0 3 321.420 4.080 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@@H](O)[C@@H](C)C1 ZINC000512719007 340374212 /nfs/dbraw/zinc/37/42/12/340374212.db2.gz XFZDUIDGRXCFJX-IINYFYTJSA-N 0 3 309.862 4.066 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@H](O)[C@@H](C)C1 ZINC000512719006 340374265 /nfs/dbraw/zinc/37/42/65/340374265.db2.gz XFZDUIDGRXCFJX-HZMBPMFUSA-N 0 3 309.862 4.066 20 0 DIADHN COCc1ccc(CN2CCC(Cc3ccc(F)cc3)CC2)o1 ZINC000512758545 340376257 /nfs/dbraw/zinc/37/62/57/340376257.db2.gz HLOXIOFWLOLKPX-UHFFFAOYSA-N 0 3 317.404 4.020 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(Cn3cccn3)cc2)c1 ZINC000173883528 340376771 /nfs/dbraw/zinc/37/67/71/340376771.db2.gz MWSCQJLGLPSSQK-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N[C@@H]2CCN(C)[C@H](C)C2)CC1 ZINC000512781756 340377442 /nfs/dbraw/zinc/37/74/42/340377442.db2.gz XAJOXZQTYIFTFK-AQEOSJORSA-N 0 3 322.537 4.218 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CC[C@@H](COC(F)F)C1 ZINC000512812029 340378650 /nfs/dbraw/zinc/37/86/50/340378650.db2.gz OOYOCFIROWWUBL-GHMZBOCLSA-N 0 3 323.305 4.328 20 0 DIADHN COc1cccc(CCCNC(C)(C)c2nc(C)c(C)s2)c1 ZINC000173958767 340378757 /nfs/dbraw/zinc/37/87/57/340378757.db2.gz KLWMGBZYRGOHHX-UHFFFAOYSA-N 0 3 318.486 4.226 20 0 DIADHN Fc1ccc(F)c(CNCCSCc2ccccc2F)c1 ZINC000512823054 340379328 /nfs/dbraw/zinc/37/93/28/340379328.db2.gz UJGJHBMMKFRBML-UHFFFAOYSA-N 0 3 311.372 4.127 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H](COC(F)F)C1 ZINC000512842971 340380413 /nfs/dbraw/zinc/38/04/13/340380413.db2.gz DMULCQKNJSBHES-BXUZGUMPSA-N 0 3 303.780 4.352 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC000512866331 340381613 /nfs/dbraw/zinc/38/16/13/340381613.db2.gz ZBHVOHSOVLDROD-YGRLFVJLSA-N 0 3 309.381 4.066 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000512874198 340381958 /nfs/dbraw/zinc/38/19/58/340381958.db2.gz KXUINKQCEUVTLC-ABAIWWIYSA-N 0 3 307.846 4.441 20 0 DIADHN CCC[C@@]1(NCc2cc(Cl)cc(Cl)c2OC)CCOC1 ZINC000512889787 340382781 /nfs/dbraw/zinc/38/27/81/340382781.db2.gz GTDXFZDCBIGZNU-OAHLLOKOSA-N 0 3 318.244 4.051 20 0 DIADHN C[C@@H]1COCC[C@H]1CNCc1cc(C(F)(F)F)ccc1Cl ZINC000512888645 340382786 /nfs/dbraw/zinc/38/27/86/340382786.db2.gz BJFVZTPMVMINHO-MNOVXSKESA-N 0 3 321.770 4.121 20 0 DIADHN COc1cccc(C2(NCc3ccc(C)c(Cl)c3)CC2)c1 ZINC000512893216 340382912 /nfs/dbraw/zinc/38/29/12/340382912.db2.gz BKLAYRNAMBUZGR-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN COc1cccc(C2(NCc3cc4ccc(F)cc4[nH]3)CC2)c1 ZINC000512897148 340383177 /nfs/dbraw/zinc/38/31/77/340383177.db2.gz ZJXSCCBUAKNSBJ-UHFFFAOYSA-N 0 3 310.372 4.095 20 0 DIADHN COc1ccc2cc(CNCC3(C(F)(F)F)CC3)ccc2c1 ZINC000512900237 340383305 /nfs/dbraw/zinc/38/33/05/340383305.db2.gz XCGPIAIQPKLZTE-UHFFFAOYSA-N 0 3 309.331 4.281 20 0 DIADHN Cn1ccc(CN[C@@H](c2cccc(OC(F)(F)F)c2)C2CC2)c1 ZINC000512904572 340383466 /nfs/dbraw/zinc/38/34/66/340383466.db2.gz XDXIKMATVGFSLN-MRXNPFEDSA-N 0 3 324.346 4.165 20 0 DIADHN FC(F)(F)Oc1cccc(CNCC2(C(F)(F)F)CC2)c1 ZINC000512903945 340383493 /nfs/dbraw/zinc/38/34/93/340383493.db2.gz JZRUAFZEAPNTAR-UHFFFAOYSA-N 0 3 313.241 4.017 20 0 DIADHN CCCCc1oc2ccccc2c1CNCCc1cc(C)no1 ZINC000512983365 340386828 /nfs/dbraw/zinc/38/68/28/340386828.db2.gz PVNSTIGWRLAEBZ-UHFFFAOYSA-N 0 3 312.413 4.404 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNC[C@H](c1ccco1)N(C)C ZINC000512997711 340387535 /nfs/dbraw/zinc/38/75/35/340387535.db2.gz JOIGBTLKJNJNLC-VWILAXROSA-N 0 3 318.848 4.229 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNC[C@@H](c1ccco1)N(C)C ZINC000512997710 340387594 /nfs/dbraw/zinc/38/75/94/340387594.db2.gz JOIGBTLKJNJNLC-IFCKCIONSA-N 0 3 318.848 4.229 20 0 DIADHN Cc1cc(CCNCc2ccc(-c3ccc(F)cc3C)o2)on1 ZINC000513002252 340387814 /nfs/dbraw/zinc/38/78/14/340387814.db2.gz WFDZHIZVYVKHTD-UHFFFAOYSA-N 0 3 314.360 4.023 20 0 DIADHN CCn1cc(CNCc2ccc(-c3ccc(C)cc3)s2)cn1 ZINC000513015847 340388680 /nfs/dbraw/zinc/38/86/80/340388680.db2.gz BGNIHLSVIHHALJ-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN CC(C)Oc1ccccc1CNCC1(C(F)(F)F)CCC1 ZINC000513033697 340389712 /nfs/dbraw/zinc/38/97/12/340389712.db2.gz YHBCJZBMNYLKKY-UHFFFAOYSA-N 0 3 301.352 4.296 20 0 DIADHN CC(C)COc1cccc(CN[C@H](C)c2ccc3n[nH]cc3c2)c1 ZINC000513036376 340389934 /nfs/dbraw/zinc/38/99/34/340389934.db2.gz MLOCTCLUYOOSHO-OAHLLOKOSA-N 0 3 323.440 4.449 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2Cc2ccc(Cl)s2)C1 ZINC000513038106 340390059 /nfs/dbraw/zinc/39/00/59/340390059.db2.gz PPLYRBTWJWGEGA-RZFFKMDDSA-N 0 3 313.894 4.163 20 0 DIADHN CCc1ccc(CN2CCC(c3noc(C(C)C)n3)CC2)cc1 ZINC000513055306 340391259 /nfs/dbraw/zinc/39/12/59/340391259.db2.gz ANHOFWAKFKZORL-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN FC1(F)CCC[C@@H](CNCc2sccc2Br)C1 ZINC000305995758 340392380 /nfs/dbraw/zinc/39/23/80/340392380.db2.gz MTKZZFBWVPVVHA-SECBINFHSA-N 0 3 324.234 4.426 20 0 DIADHN Cc1cc2cc(CNCc3ccc(OC(F)F)cc3)ccc2[nH]1 ZINC000513106316 340393523 /nfs/dbraw/zinc/39/35/23/340393523.db2.gz KFGMHJXKZGYCCK-UHFFFAOYSA-N 0 3 316.351 4.368 20 0 DIADHN c1cc(N2CCCC2)ncc1CN1CCC2(CCCCC2)CC1 ZINC000513111461 340393818 /nfs/dbraw/zinc/39/38/18/340393818.db2.gz FTMZUPTYKHGIIR-UHFFFAOYSA-N 0 3 313.489 4.228 20 0 DIADHN Cc1n[nH]cc1CN1CCCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000513127041 340394276 /nfs/dbraw/zinc/39/42/76/340394276.db2.gz BTFJNQMFKLVJFB-INIZCTEOSA-N 0 3 323.362 4.464 20 0 DIADHN Cc1ccsc1CN1CCC[C@H]1c1cccc2c1OCCO2 ZINC000513133960 340394577 /nfs/dbraw/zinc/39/45/77/340394577.db2.gz RBLUYHGWWQRXFC-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC[C@H](n4cccn4)C3)o2)cc1 ZINC000513150088 340395230 /nfs/dbraw/zinc/39/52/30/340395230.db2.gz OKUMXHOUEAASLA-SFHVURJKSA-N 0 3 321.424 4.289 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C1CCC(CC)CC1 ZINC000513187829 340396131 /nfs/dbraw/zinc/39/61/31/340396131.db2.gz ICFHNRVNNKPCGL-UHFFFAOYSA-N 0 3 302.462 4.088 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN[C@@H]1CC12CCCC2 ZINC000513242079 340399104 /nfs/dbraw/zinc/39/91/04/340399104.db2.gz NYXSWDUKDCIBAP-CQSZACIVSA-N 0 3 300.427 4.248 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@@H]1CCC[C@H]1c1ccccc1 ZINC000513260874 340399719 /nfs/dbraw/zinc/39/97/19/340399719.db2.gz KFMUMQXJYDUWHL-VQTJNVASSA-N 0 3 309.457 4.424 20 0 DIADHN CCc1ncc(CN2CCC(c3ccccc3C)CC2)s1 ZINC000513491830 340410422 /nfs/dbraw/zinc/41/04/22/340410422.db2.gz VVBYSCQLCWEUAD-UHFFFAOYSA-N 0 3 300.471 4.394 20 0 DIADHN CCOc1cccc(CNCCC2=CCCC2)c1OC(F)F ZINC000513517263 340411974 /nfs/dbraw/zinc/41/19/74/340411974.db2.gz GYOKKAKXBDMQFG-UHFFFAOYSA-N 0 3 311.372 4.277 20 0 DIADHN CCN1CCN(c2ccc(NCc3cccc(C)c3)c(C)c2)CC1 ZINC000513562734 340413521 /nfs/dbraw/zinc/41/35/21/340413521.db2.gz MESXPAGJZTTWDR-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1cccs1 ZINC000513659332 340417722 /nfs/dbraw/zinc/41/77/22/340417722.db2.gz BLMMBYYCHIBKFV-DZGCQCFKSA-N 0 3 312.442 4.131 20 0 DIADHN CCC(C)(C)OCCN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000308531257 340420807 /nfs/dbraw/zinc/42/08/07/340420807.db2.gz ANDXYIXENFBNQD-LBPRGKRZSA-N 0 3 301.377 4.144 20 0 DIADHN Fc1cccc(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)c1F ZINC000513708810 340421032 /nfs/dbraw/zinc/42/10/32/340421032.db2.gz XUDJEUQDCWPZGD-QGZVFWFLSA-N 0 3 319.399 4.142 20 0 DIADHN COC(=O)[C@@H](C)[C@@H](NCc1cc(C)sc1C)c1ccccc1 ZINC000176225165 340425830 /nfs/dbraw/zinc/42/58/30/340425830.db2.gz CNWODSGTMOOACA-SUMWQHHRSA-N 0 3 317.454 4.005 20 0 DIADHN CC(C)C[C@@H]1CCCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000176692645 340430306 /nfs/dbraw/zinc/43/03/06/340430306.db2.gz GZTPZXLVIYWTFB-SFHVURJKSA-N 0 3 317.477 4.181 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC(C)(C)c1ccccc1 ZINC000177364498 340439746 /nfs/dbraw/zinc/43/97/46/340439746.db2.gz ODTVBSMWPXYMQG-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc2c(c1)CCCC2 ZINC000177363054 340439806 /nfs/dbraw/zinc/43/98/06/340439806.db2.gz GYFSNNAHPZBSOS-UHFFFAOYSA-N 0 3 322.452 4.188 20 0 DIADHN COc1cccc([C@@H](C)NCc2cc(OC)nc3ccccc23)c1 ZINC000177381330 340439856 /nfs/dbraw/zinc/43/98/56/340439856.db2.gz UJPLYKWHJXQKES-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN C[C@@H](NC[C@@H](CCO)c1ccccc1)c1ccc(F)cc1Cl ZINC000178647341 340451184 /nfs/dbraw/zinc/45/11/84/340451184.db2.gz VXSFQXJJYFATET-UKRRQHHQSA-N 0 3 321.823 4.296 20 0 DIADHN COCCOCc1ccc(CN[C@H](C)c2ccccc2C)cc1 ZINC000516678680 340453731 /nfs/dbraw/zinc/45/37/31/340453731.db2.gz AQUGBFQMOQKPLG-QGZVFWFLSA-N 0 3 313.441 4.009 20 0 DIADHN Cc1cc([C@@H](NCC[C@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000516690257 340453861 /nfs/dbraw/zinc/45/38/61/340453861.db2.gz FHOVMABSEIIUMX-GTNSWQLSSA-N 0 3 323.889 4.468 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CC[C@@H](C)c3ccsc32)c1C ZINC000516909049 340458414 /nfs/dbraw/zinc/45/84/14/340458414.db2.gz OCPSXGKOHUBOMW-BZNIZROVSA-N 0 3 303.475 4.310 20 0 DIADHN Cc1c(CSC(C)C)cccc1NC(=O)[C@H]1CCCCCN1 ZINC000517236587 340466220 /nfs/dbraw/zinc/46/62/20/340466220.db2.gz CSHUDNTYZJEJPR-QGZVFWFLSA-N 0 3 320.502 4.107 20 0 DIADHN Cc1ccc(NC(=O)NCCN2[C@H](C)CCC[C@H]2C)c(Cl)c1 ZINC000517417261 340469352 /nfs/dbraw/zinc/46/93/52/340469352.db2.gz JPMISMVOVZOZGA-ZIAGYGMSSA-N 0 3 323.868 4.033 20 0 DIADHN COc1ccc2c(c1)CCCN(c1ccnc3ccccc31)C2 ZINC000517649894 340474580 /nfs/dbraw/zinc/47/45/80/340474580.db2.gz QLHIZZRYZFWAPJ-UHFFFAOYSA-N 0 3 304.393 4.196 20 0 DIADHN CCn1c2ccccc2nc1CN[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000517709772 340475726 /nfs/dbraw/zinc/47/57/26/340475726.db2.gz SLLQGVSGAOSSNR-QZTJIDSGSA-N 0 3 319.452 4.482 20 0 DIADHN CC(C)CCOCCNCc1ccc(-c2ccccc2F)o1 ZINC000520965623 340478239 /nfs/dbraw/zinc/47/82/39/340478239.db2.gz NSCOYJXURGUMDU-UHFFFAOYSA-N 0 3 305.393 4.238 20 0 DIADHN Cc1ncsc1CCN[C@@H](C)c1oc2ccccc2c1C ZINC000183628697 340488438 /nfs/dbraw/zinc/48/84/38/340488438.db2.gz RZKSEUMTKLYSSE-ZDUSSCGKSA-N 0 3 300.427 4.399 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2c(C)oc3ccccc32)o1 ZINC000183922944 340489942 /nfs/dbraw/zinc/48/99/42/340489942.db2.gz JUDOCAHQRRVDDN-UHFFFAOYSA-N 0 3 321.380 4.323 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@@H](C)Oc2cccc(Cl)c2)c1 ZINC000184410667 340492819 /nfs/dbraw/zinc/49/28/19/340492819.db2.gz BBOVSWJUCZEDPF-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cncc([C@H](C)NC[C@H](C)Oc2cccc(Cl)c2)c1 ZINC000184410720 340492824 /nfs/dbraw/zinc/49/28/24/340492824.db2.gz BBOVSWJUCZEDPF-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CCC[C@@H]2C)cc1O ZINC000185087225 340498047 /nfs/dbraw/zinc/49/80/47/340498047.db2.gz ZLMMVGPNHBREFK-XOBRGWDASA-N 0 3 311.425 4.204 20 0 DIADHN COc1ccccc1[C@H](CNCc1ccsc1)OC(C)C ZINC000185722735 340504585 /nfs/dbraw/zinc/50/45/85/340504585.db2.gz CGTHXEDIJVAYES-KRWDZBQOSA-N 0 3 305.443 4.013 20 0 DIADHN Cc1cc(C)c([C@@H](C)NC[C@H](c2ccco2)N(C)C)cc1C ZINC000219859183 340510540 /nfs/dbraw/zinc/51/05/40/340510540.db2.gz VTIDIXSKSAQKHG-SJLPKXTDSA-N 0 3 300.446 4.158 20 0 DIADHN C[C@@H](NCc1cccnc1OC1CCCC1)C1CCSCC1 ZINC000186998077 340517366 /nfs/dbraw/zinc/51/73/66/340517366.db2.gz PXTKBKZDIZKGTP-CQSZACIVSA-N 0 3 320.502 4.024 20 0 DIADHN Brc1cccc(CNC/C=C\c2ccccc2)c1 ZINC000220555085 340517509 /nfs/dbraw/zinc/51/75/09/340517509.db2.gz XKBMFNORIYYQKE-UITAMQMPSA-N 0 3 302.215 4.252 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)N[C@@H](C)C1CCSCC1 ZINC000187347688 340519224 /nfs/dbraw/zinc/51/92/24/340519224.db2.gz JARMGDUFRMTWQN-KBPBESRZSA-N 0 3 317.502 4.239 20 0 DIADHN CCOC[C@H](NCc1ccc(-c2ccncc2)cc1F)C(C)C ZINC000188863349 340522811 /nfs/dbraw/zinc/52/28/11/340522811.db2.gz ZCSUAPGKWYJSRD-IBGZPJMESA-N 0 3 316.420 4.038 20 0 DIADHN CCCOc1ccc(CNC/C=C/c2ccccc2)cc1OC ZINC000521821254 340534018 /nfs/dbraw/zinc/53/40/18/340534018.db2.gz LSKUHDMFEKGBDZ-JXMROGBWSA-N 0 3 311.425 4.287 20 0 DIADHN CCCOc1ccc(CNC2(c3ccc(OC)cc3)CC2)cc1 ZINC000521861514 340537179 /nfs/dbraw/zinc/53/71/79/340537179.db2.gz VDHFLBDVJLKWCL-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@@H]1CCO[C@@H]1C1CC1)CCS2 ZINC000222666736 340539819 /nfs/dbraw/zinc/53/98/19/340539819.db2.gz AYUWBBGLKAFZDS-IIAWOOMASA-N 0 3 309.862 4.034 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(C(F)(F)F)cc1)c1cnccn1 ZINC000189910885 340541045 /nfs/dbraw/zinc/54/10/45/340541045.db2.gz GBIABNXYHVKMCE-GFCCVEGCSA-N 0 3 323.362 4.124 20 0 DIADHN C[C@H](NCCOCC(F)F)c1cc2cc(Cl)ccc2o1 ZINC000189964264 340541570 /nfs/dbraw/zinc/54/15/70/340541570.db2.gz ZHFNHCUUHLNFJX-VIFPVBQESA-N 0 3 303.736 4.019 20 0 DIADHN CCC[C@H](NCc1cccc(CO)c1)c1ccc(Cl)cc1 ZINC000223251412 340544522 /nfs/dbraw/zinc/54/45/22/340544522.db2.gz KFHWIGIMDWJMDM-SFHVURJKSA-N 0 3 303.833 4.463 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccccc1C(F)(F)F ZINC000223430199 340545719 /nfs/dbraw/zinc/54/57/19/340545719.db2.gz NHHZWKRCMFXHCB-IAQYHMDHSA-N 0 3 303.368 4.153 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H]1CCSc2ccc(Cl)cc21 ZINC000223428394 340545722 /nfs/dbraw/zinc/54/57/22/340545722.db2.gz DXRRVCLBYUYIKY-LSDHHAIUSA-N 0 3 313.894 4.264 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](O)C1)c1cc(Cl)sc1Cl ZINC000224056867 340550750 /nfs/dbraw/zinc/55/07/50/340550750.db2.gz WHTIGQLXNAFZIB-IVZWLZJFSA-N 0 3 308.274 4.257 20 0 DIADHN Cc1c([C@@H](C)NCCc2ccc(Cl)s2)cnn1C(C)C ZINC000191224297 340551447 /nfs/dbraw/zinc/55/14/47/340551447.db2.gz SORPAWAYTBUGCY-LLVKDONJSA-N 0 3 311.882 4.381 20 0 DIADHN Cc1c([C@H](C)NCCCOc2ccc(F)cc2)cnn1C(C)C ZINC000191239642 340551680 /nfs/dbraw/zinc/55/16/80/340551680.db2.gz SXBTYKJLNXYHOQ-AWEZNQCLSA-N 0 3 319.424 4.031 20 0 DIADHN c1coc(-c2ncc(CNCCSc3ccccc3)s2)c1 ZINC000192983827 340571307 /nfs/dbraw/zinc/57/13/07/340571307.db2.gz YIXXQFRABFJXFP-UHFFFAOYSA-N 0 3 316.451 4.285 20 0 DIADHN Fc1ccc(N2CC[C@H](CNCc3sccc3Cl)C2)cc1 ZINC000193041401 340571790 /nfs/dbraw/zinc/57/17/90/340571790.db2.gz PCDORUBRGAKZJA-GFCCVEGCSA-N 0 3 324.852 4.157 20 0 DIADHN Clc1ccsc1CNC1CCN(c2ccccc2)CC1 ZINC000193035629 340571812 /nfs/dbraw/zinc/57/18/12/340571812.db2.gz FUHLYVLWLXUNFM-UHFFFAOYSA-N 0 3 306.862 4.160 20 0 DIADHN Fc1ccc(N2CC[C@@H](CNCc3sccc3Cl)C2)cc1 ZINC000193041412 340571849 /nfs/dbraw/zinc/57/18/49/340571849.db2.gz PCDORUBRGAKZJA-LBPRGKRZSA-N 0 3 324.852 4.157 20 0 DIADHN CSC1(CNCc2ccc(Br)cc2Cl)CC1 ZINC000227951606 340605112 /nfs/dbraw/zinc/60/51/12/340605112.db2.gz PSNLVGCJRPVLPA-UHFFFAOYSA-N 0 3 320.683 4.088 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCO)c1cc(Cl)sc1Cl ZINC000250114735 340612162 /nfs/dbraw/zinc/61/21/62/340612162.db2.gz UGVZDTLCBLRJGF-ATZCPNFKSA-N 0 3 308.274 4.257 20 0 DIADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1cccc(Cl)c1Cl ZINC000229576956 340614311 /nfs/dbraw/zinc/61/43/11/340614311.db2.gz UVGLZKROXKZLHE-GXFFZTMASA-N 0 3 304.261 4.441 20 0 DIADHN C[C@@H](CNCc1cc(Cl)ccc1Cl)c1nccs1 ZINC000230694847 340620658 /nfs/dbraw/zinc/62/06/58/340620658.db2.gz GEKKTIKGPGUKDV-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)c(Cl)c1)c1nccs1 ZINC000230694632 340620702 /nfs/dbraw/zinc/62/07/02/340620702.db2.gz JJXANFBTMQRFKW-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1cccc(CNC[C@H](c2ccco2)N2CCCCC2)c1C ZINC000302221411 340629420 /nfs/dbraw/zinc/62/94/20/340629420.db2.gz KDCYMZJVLGIZLM-LJQANCHMSA-N 0 3 312.457 4.213 20 0 DIADHN C[C@H](CC(=O)Nc1cccc(CN(C)C)c1)c1cccc(F)c1 ZINC000523814959 340641327 /nfs/dbraw/zinc/64/13/27/340641327.db2.gz QVRGCNSWEAUSPS-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(-c2ccccc2F)o1 ZINC000524237969 340650451 /nfs/dbraw/zinc/65/04/51/340650451.db2.gz KJHWJFAHHYZFLS-AWEZNQCLSA-N 0 3 305.393 4.381 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000308691686 340655276 /nfs/dbraw/zinc/65/52/76/340655276.db2.gz GZTCKOFGOYSREL-GTNSWQLSSA-N 0 3 319.836 4.047 20 0 DIADHN C[C@@H]1CCC[C@@H](CCNC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000524550930 340657272 /nfs/dbraw/zinc/65/72/72/340657272.db2.gz NUFDEWWKNHLSJN-CVEARBPZSA-N 0 3 317.477 4.086 20 0 DIADHN FC(F)(F)CCNCc1ccc(Br)c(Cl)c1 ZINC000236111641 340662451 /nfs/dbraw/zinc/66/24/51/340662451.db2.gz BVVHKPWNYDJRLO-UHFFFAOYSA-N 0 3 316.548 4.145 20 0 DIADHN c1ccc(CN2CCC(Nc3cccc4cccnc43)CC2)cc1 ZINC000236741389 340664500 /nfs/dbraw/zinc/66/45/00/340664500.db2.gz YTJARNKGWHVZAW-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN CNCc1ccccc1NC(=O)CCc1ccc(C(C)(C)C)cc1 ZINC000237121305 340668107 /nfs/dbraw/zinc/66/81/07/340668107.db2.gz KZLKKPZBKYLLNT-UHFFFAOYSA-N 0 3 324.468 4.275 20 0 DIADHN COC[C@@H](C)NCc1ccccc1COc1ccccc1Cl ZINC000237166354 340668450 /nfs/dbraw/zinc/66/84/50/340668450.db2.gz IIPAUNIIDOBSKN-CQSZACIVSA-N 0 3 319.832 4.044 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C)c(F)c2)cc1OC ZINC000237191817 340668754 /nfs/dbraw/zinc/66/87/54/340668754.db2.gz PLLDGNDRZHJNDR-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CNCc1ccccc1NC(=O)c1oc2c(c1C)c(C)ccc2C ZINC000237197492 340668819 /nfs/dbraw/zinc/66/88/19/340668819.db2.gz LOLMFZXENHMJOJ-UHFFFAOYSA-N 0 3 322.408 4.330 20 0 DIADHN COc1ccccc1C[C@H](C)NCc1ccccc1OC(F)F ZINC000237256545 340669482 /nfs/dbraw/zinc/66/94/82/340669482.db2.gz PVRNVDYHOVVOBY-ZDUSSCGKSA-N 0 3 321.367 4.017 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3cc[nH]c32)cc1OC ZINC000237316550 340669994 /nfs/dbraw/zinc/66/99/94/340669994.db2.gz WDVAWQWNJZRTSG-ZDUSSCGKSA-N 0 3 310.397 4.036 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCc1csc(CCc2ccccc2)n1 ZINC000237418699 340670863 /nfs/dbraw/zinc/67/08/63/340670863.db2.gz PYXSICWWGVMFFP-PBHICJAKSA-N 0 3 300.471 4.207 20 0 DIADHN Fc1ccc(F)c(CNCCCc2c[nH]c3ccccc23)c1 ZINC000237813734 340674294 /nfs/dbraw/zinc/67/42/94/340674294.db2.gz RYITWIMFMUCDKH-UHFFFAOYSA-N 0 3 300.352 4.169 20 0 DIADHN N[C@@H](CC(=O)N1CCCC2(C1)CCCCCC2)c1ccccc1 ZINC000237873524 340674745 /nfs/dbraw/zinc/67/47/45/340674745.db2.gz HLRWOQMRZQNZDV-SFHVURJKSA-N 0 3 314.473 4.040 20 0 DIADHN FC(F)(F)c1ccc(CNCc2cccc3[nH]ccc32)cc1 ZINC000237883497 340674859 /nfs/dbraw/zinc/67/48/59/340674859.db2.gz UEYFFKQAKYIKLN-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(C)c(F)c2)ccc1O ZINC000316644509 340693906 /nfs/dbraw/zinc/69/39/06/340693906.db2.gz BASKYWWSATYOJR-CYBMUJFWSA-N 0 3 303.377 4.089 20 0 DIADHN C[C@H](NCCCC(C)(C)CO)c1cccc(Cl)c1Cl ZINC000393392569 340703784 /nfs/dbraw/zinc/70/37/84/340703784.db2.gz JPDVVDOAKBFONL-NSHDSACASA-N 0 3 304.261 4.443 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(Br)s1 ZINC000393741897 340705367 /nfs/dbraw/zinc/70/53/67/340705367.db2.gz HXJLUTFXFNNTKY-SSDOTTSWSA-N 0 3 316.186 4.331 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc3cccnc31)CCC2 ZINC000322629385 340705529 /nfs/dbraw/zinc/70/55/29/340705529.db2.gz QKQXUCMEEUXXII-IBGZPJMESA-N 0 3 304.393 4.108 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)NCc2cc(F)ccc2OC)cc1 ZINC000525991876 340707690 /nfs/dbraw/zinc/70/76/90/340707690.db2.gz MIORMEAFXBSIIM-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN Cc1ccc(C)c([C@H](NCC2=CCOCC2)c2ccccc2)c1 ZINC000397684682 340713743 /nfs/dbraw/zinc/71/37/43/340713743.db2.gz VTWHDMOCVSZRPN-OAQYLSRUSA-N 0 3 307.437 4.329 20 0 DIADHN Cc1ncc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)o1 ZINC000397890162 340714620 /nfs/dbraw/zinc/71/46/20/340714620.db2.gz FQKLXRIINDAGPD-HNNXBMFYSA-N 0 3 306.409 4.293 20 0 DIADHN CC[C@@H](Nc1cccc(OCCN(C)C)c1)c1cccs1 ZINC000037068794 340744480 /nfs/dbraw/zinc/74/44/80/340744480.db2.gz NLVWZNHCNDDYLC-MRXNPFEDSA-N 0 3 304.459 4.252 20 0 DIADHN Fc1ccc(NC2CCN(Cc3ccc4cc[nH]c4c3)CC2)cc1 ZINC000529526514 340759391 /nfs/dbraw/zinc/75/93/91/340759391.db2.gz LKBQLXLOWUPNRB-UHFFFAOYSA-N 0 3 323.415 4.384 20 0 DIADHN C[C@@H](NCc1cc(F)ccc1Br)C1CC(F)(F)C1 ZINC000529530179 340759775 /nfs/dbraw/zinc/75/97/75/340759775.db2.gz GYYDWJSONIMEHT-MRVPVSSYSA-N 0 3 322.168 4.112 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)c1ccncc1)C1CC(F)(F)C1 ZINC000529538798 340760941 /nfs/dbraw/zinc/76/09/41/340760941.db2.gz RXSONFWJTAUIED-DYVFJYSZSA-N 0 3 302.368 4.194 20 0 DIADHN CO[C@](C)([C@H](C)NCc1ccsc1C(F)(F)F)C1CC1 ZINC000529609954 340764921 /nfs/dbraw/zinc/76/49/21/340764921.db2.gz URCVMBPKTNAFKJ-TVQRCGJNSA-N 0 3 307.381 4.060 20 0 DIADHN C[C@@H](NCc1cccc(Br)c1)c1cccc(O)c1 ZINC000037150893 340765274 /nfs/dbraw/zinc/76/52/74/340765274.db2.gz QYEDEGCKGBMMDT-LLVKDONJSA-N 0 3 306.203 4.006 20 0 DIADHN CCOc1cc(CN[C@H]2CCc3cc(Cl)ccc32)ccc1O ZINC000529665061 340767156 /nfs/dbraw/zinc/76/71/56/340767156.db2.gz JOBHHWYWMQHQIC-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN CCc1oc2ccccc2c1CNc1ccc2c(c1)OCCNC2 ZINC000530181777 340782140 /nfs/dbraw/zinc/78/21/40/340782140.db2.gz NWBCKCNUWYYJNB-UHFFFAOYSA-N 0 3 322.408 4.089 20 0 DIADHN CO[C@H]1CC[C@H](NCc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000530352220 340788933 /nfs/dbraw/zinc/78/89/33/340788933.db2.gz SHRYBOOOGFLXLC-GJZGRUSLSA-N 0 3 305.805 4.257 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](C)c2ccc3c(c2)CCC3)cc1 ZINC000537938272 340791807 /nfs/dbraw/zinc/79/18/07/340791807.db2.gz UBSKQKXAXOBANP-YCRPNKLZSA-N 0 3 309.453 4.122 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@H](c2ccccc2)C2CC2)c(C)c1 ZINC000123569858 340792032 /nfs/dbraw/zinc/79/20/32/340792032.db2.gz QGQCURGPUDKRRD-OAQYLSRUSA-N 0 3 322.452 4.291 20 0 DIADHN CCOC[C@@H](C)N[C@@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000537943779 340792275 /nfs/dbraw/zinc/79/22/75/340792275.db2.gz AOZGRMOJPBUHTQ-UZLBHIALSA-N 0 3 313.441 4.108 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1ccc(Cl)cc1 ZINC000537953698 340792967 /nfs/dbraw/zinc/79/29/67/340792967.db2.gz FQLZPENROHUMSX-ACJLOTCBSA-N 0 3 300.833 4.177 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccc(Cl)cc1 ZINC000537953699 340793013 /nfs/dbraw/zinc/79/30/13/340793013.db2.gz FQLZPENROHUMSX-FZKQIMNGSA-N 0 3 300.833 4.177 20 0 DIADHN CC(C)=CCC[C@@H](C)N[C@@H](CC(C)C)C(=O)Nc1nccs1 ZINC000537952920 340793111 /nfs/dbraw/zinc/79/31/11/340793111.db2.gz YJJHVESKIHCXBS-CABCVRRESA-N 0 3 323.506 4.221 20 0 DIADHN C[C@@H](NCCOCC(F)(F)F)c1cc(Cl)cc(Cl)c1 ZINC000537970866 340794444 /nfs/dbraw/zinc/79/44/44/340794444.db2.gz OFVVAHOUWONTHY-MRVPVSSYSA-N 0 3 316.150 4.223 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(F)c(OC)c1 ZINC000538113402 340809674 /nfs/dbraw/zinc/80/96/74/340809674.db2.gz FXAWMGVMECUJCD-STQMWFEESA-N 0 3 302.393 4.204 20 0 DIADHN C[C@@H](NCC[S@](=O)c1ccccc1)c1cccc2ccccc21 ZINC000538140227 340811150 /nfs/dbraw/zinc/81/11/50/340811150.db2.gz FJFJTFUBULTAEO-MWTRTKDXSA-N 0 3 323.461 4.298 20 0 DIADHN CC[C@H](NCC1(c2cccc(C)c2)CCCC1)c1nccn1C ZINC000538216789 340813775 /nfs/dbraw/zinc/81/37/75/340813775.db2.gz BZHOTWGXVWAUIG-SFHVURJKSA-N 0 3 311.473 4.281 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1ccc(OCC2CC2)c(F)c1 ZINC000538225351 340814091 /nfs/dbraw/zinc/81/40/91/340814091.db2.gz BTUKNQORTUGDNP-CYBMUJFWSA-N 0 3 309.425 4.080 20 0 DIADHN COC[C@H](NCCSc1ccc(F)cc1)c1ccc(F)cc1 ZINC000538275670 340816028 /nfs/dbraw/zinc/81/60/28/340816028.db2.gz JLOJBBVWKPWLLG-KRWDZBQOSA-N 0 3 323.408 4.034 20 0 DIADHN Cc1cc(CN[C@@H](COc2c(C)cccc2C)C(C)(C)C)on1 ZINC000538323478 340818263 /nfs/dbraw/zinc/81/82/63/340818263.db2.gz OQCJSTVIGLMKSJ-KRWDZBQOSA-N 0 3 316.445 4.183 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)cn1 ZINC000538324258 340818300 /nfs/dbraw/zinc/81/83/00/340818300.db2.gz FTSBBTWQDOVYPQ-PZPSRYQVSA-N 0 3 316.832 4.231 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@@H](c2ccccc2C)C2CC2)c1 ZINC000538389257 340819929 /nfs/dbraw/zinc/81/99/29/340819929.db2.gz CWMDCRDSHPQUMZ-OXQOHEQNSA-N 0 3 322.452 4.371 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C(C)(C)C ZINC000538389252 340819940 /nfs/dbraw/zinc/81/99/40/340819940.db2.gz CRMKQGQUXUZPHR-ZDUSSCGKSA-N 0 3 307.825 4.304 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(NCCOC)cc2)s1 ZINC000127213318 340846805 /nfs/dbraw/zinc/84/68/05/340846805.db2.gz FTAHQKDCYQKQRX-CQSZACIVSA-N 0 3 318.486 4.220 20 0 DIADHN Cc1cccc(CN[C@H](C)[C@H](C)c2nc3ccccc3s2)n1 ZINC000127265812 340848130 /nfs/dbraw/zinc/84/81/30/340848130.db2.gz ISZGRPOESCBAGG-UONOGXRCSA-N 0 3 311.454 4.282 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@H](C)Oc1ccccc1F)CCC2 ZINC000127556527 340853022 /nfs/dbraw/zinc/85/30/22/340853022.db2.gz PSCNZCHBMRWBEO-SMDDNHRTSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1ccc(CNCc2cccc(F)c2)cc1Br ZINC000127857693 340856997 /nfs/dbraw/zinc/85/69/97/340856997.db2.gz RKTGMBWWINIJJJ-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN Cc1sc2nc(CNC(C)(C)CC(C)(C)C)nc(N)c2c1C ZINC000538968413 340863889 /nfs/dbraw/zinc/86/38/89/340863889.db2.gz OQEFUMRKHBAYPI-UHFFFAOYSA-N 0 3 320.506 4.195 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2cnccc2C)cc1 ZINC000538986241 340864392 /nfs/dbraw/zinc/86/43/92/340864392.db2.gz PXQZTLBKTXZVDW-DBVUQKKJSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCN(C)[C@H]2c2ccc(Cl)cc2)o1 ZINC000539046218 340867126 /nfs/dbraw/zinc/86/71/26/340867126.db2.gz IHWOEFZOGGSJST-XCRHUMRWSA-N 0 3 318.848 4.337 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@H](C)c2ccsc2)cc1 ZINC000539050845 340867664 /nfs/dbraw/zinc/86/76/64/340867664.db2.gz RFDIXUPTPCKNBF-PBFPGSCMSA-N 0 3 305.443 4.184 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H]2CC(C)(C)c3ccccc32)C1 ZINC000539055413 340868533 /nfs/dbraw/zinc/86/85/33/340868533.db2.gz ACHITHOIHXSXSR-PMACEKPBSA-N 0 3 306.453 4.185 20 0 DIADHN Cc1ccc(C[C@@H](NCc2nc(C3CC3)cs2)C2CC2)cc1 ZINC000539058229 340868927 /nfs/dbraw/zinc/86/89/27/340868927.db2.gz AKWVELSMBYZMIF-QGZVFWFLSA-N 0 3 312.482 4.440 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCC[C@@H]2c2ccccc2)s1 ZINC000539057956 340868959 /nfs/dbraw/zinc/86/89/59/340868959.db2.gz FUCVHGCDPWHXRV-CABCVRRESA-N 0 3 315.486 4.262 20 0 DIADHN CSc1ccc([C@H](C)NCCOc2ncccc2Cl)cc1 ZINC000539061562 340869302 /nfs/dbraw/zinc/86/93/02/340869302.db2.gz MCUYMMWAMNELAA-LBPRGKRZSA-N 0 3 322.861 4.187 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cccc2c1OCCCO2 ZINC000539062674 340869445 /nfs/dbraw/zinc/86/94/45/340869445.db2.gz ZCSWBNMOLJEWEL-YJBOKZPZSA-N 0 3 323.436 4.494 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000539067047 340869936 /nfs/dbraw/zinc/86/99/36/340869936.db2.gz RTTXODWEPOPUCT-CZUORRHYSA-N 0 3 301.455 4.275 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000539067045 340870012 /nfs/dbraw/zinc/87/00/12/340870012.db2.gz RTTXODWEPOPUCT-BBRMVZONSA-N 0 3 301.455 4.275 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2ccc(OC)cc2F)c1 ZINC000539079800 340871250 /nfs/dbraw/zinc/87/12/50/340871250.db2.gz IWDLDWGQLPJKKU-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCCOc1cc(C)cc(C)c1)CCC2 ZINC000539091812 340872624 /nfs/dbraw/zinc/87/26/24/340872624.db2.gz PHQZONMKNQMSTR-INIZCTEOSA-N 0 3 316.470 4.114 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc3[nH]c(=O)oc3c2)C(C)C)cc1 ZINC000539162680 340875190 /nfs/dbraw/zinc/87/51/90/340875190.db2.gz JQLPXUSATYVMJP-SFHVURJKSA-N 0 3 310.397 4.329 20 0 DIADHN C[C@H](NCCc1ccccc1Cl)c1nc2ccccc2n1C ZINC000539203971 340876207 /nfs/dbraw/zinc/87/62/07/340876207.db2.gz OAVUKGMNUYXJRX-ZDUSSCGKSA-N 0 3 313.832 4.120 20 0 DIADHN Cc1cc([C@@H](NCc2ccc(CO)c(F)c2)C2CC2)ccc1F ZINC000539214476 340876468 /nfs/dbraw/zinc/87/64/68/340876468.db2.gz MLFFAIUWLWMPDU-IBGZPJMESA-N 0 3 317.379 4.006 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CN(C)Cc3ccccc32)C(C)C)cc1 ZINC000539214612 340876512 /nfs/dbraw/zinc/87/65/12/340876512.db2.gz REZXWLIXLPQAPV-SFTDATJTSA-N 0 3 324.468 4.169 20 0 DIADHN COc1ccc(C2(NCCSc3ccccc3F)CC2)cc1 ZINC000539260708 340878791 /nfs/dbraw/zinc/87/87/91/340878791.db2.gz SUJHWBQVVKQLME-UHFFFAOYSA-N 0 3 317.429 4.205 20 0 DIADHN COc1ccc(C2(NCc3cccc(C(F)(F)F)c3)CC2)cc1 ZINC000539260115 340878868 /nfs/dbraw/zinc/87/88/68/340878868.db2.gz LMAMDSYSNLXOHP-UHFFFAOYSA-N 0 3 321.342 4.493 20 0 DIADHN C[C@@H](N[C@@H]1C=CCCC1)c1ccc(NC(=O)c2ccncc2)cc1 ZINC000539290018 340879537 /nfs/dbraw/zinc/87/95/37/340879537.db2.gz VZXJPRYZDATUMW-CRAIPNDOSA-N 0 3 321.424 4.093 20 0 DIADHN CCC(C)(C)NC(=O)CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000539307961 340880409 /nfs/dbraw/zinc/88/04/09/340880409.db2.gz FCYQANDHTGYNTL-KRWDZBQOSA-N 0 3 322.880 4.076 20 0 DIADHN COc1ccc(OCCCN[C@@H](C)c2cccc(F)c2F)cc1 ZINC000539334487 340881488 /nfs/dbraw/zinc/88/14/88/340881488.db2.gz YMOPUQYBOGTHGU-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2cccs2)C2CC2)c(C)s1 ZINC000539368321 340882722 /nfs/dbraw/zinc/88/27/22/340882722.db2.gz NQRSUOZZJMEKNV-OAHLLOKOSA-N 0 3 321.467 4.146 20 0 DIADHN CCOCCN[C@@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000539424285 340884927 /nfs/dbraw/zinc/88/49/27/340884927.db2.gz VMYYBWJNSDIJEA-CQSZACIVSA-N 0 3 321.770 4.436 20 0 DIADHN Cc1cccc(C)c1CN[C@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000539492052 340886677 /nfs/dbraw/zinc/88/66/77/340886677.db2.gz FMRNGASINZIXAC-NRFANRHFSA-N 0 3 323.436 4.316 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1cc2cc(Cl)ccc2o1 ZINC000539495793 340887310 /nfs/dbraw/zinc/88/73/10/340887310.db2.gz DYGOJMGBCWBKKM-LBPRGKRZSA-N 0 3 315.800 4.429 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2ccccc2)c2cccnc2)C[C@@H]1OC ZINC000539496194 340887592 /nfs/dbraw/zinc/88/75/92/340887592.db2.gz LSWIPWNAAWXXLX-AABGKKOBSA-N 0 3 324.468 4.354 20 0 DIADHN Cn1ccnc1CN[C@@H]1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000539497327 340887750 /nfs/dbraw/zinc/88/77/50/340887750.db2.gz DCQLKKSNRLEXEF-SWLSCSKDSA-N 0 3 324.255 4.153 20 0 DIADHN c1ccc([C@H](NCc2ccc3ncccc3c2)[C@@H]2CCOC2)cc1 ZINC000539553916 340890427 /nfs/dbraw/zinc/89/04/27/340890427.db2.gz ZHUNNUWADOCTNF-CTNGQTDRSA-N 0 3 318.420 4.102 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2ccccc2OC(C)C)CCO1 ZINC000539632275 340893732 /nfs/dbraw/zinc/89/37/32/340893732.db2.gz CELYTWSUAONZGF-ROUUACIJSA-N 0 3 305.462 4.157 20 0 DIADHN C[C@H](NC1(c2cccc(F)c2)CC1)c1ccc(-n2cccn2)cc1 ZINC000539653319 340894608 /nfs/dbraw/zinc/89/46/08/340894608.db2.gz BAZVEWHOLZTNNO-HNNXBMFYSA-N 0 3 321.399 4.351 20 0 DIADHN CCCC[C@@H](CC)CCN[C@H]1CCCn2nc(C(C)C)nc21 ZINC000539973798 340911595 /nfs/dbraw/zinc/91/15/95/340911595.db2.gz GHLPRGYHIGAMED-CVEARBPZSA-N 0 3 306.498 4.433 20 0 DIADHN Cc1nc(CCN[C@H](C)c2sc(C(C)C)nc2C)c(C)o1 ZINC000540085583 340914682 /nfs/dbraw/zinc/91/46/82/340914682.db2.gz RBIBZKBGUQXVNK-SNVBAGLBSA-N 0 3 307.463 4.073 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(Cl)cc1C ZINC000128814549 340915962 /nfs/dbraw/zinc/91/59/62/340915962.db2.gz DEITXHNAMLBWIL-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2COc3ccccc3C2)cc1Cl ZINC000540138295 340916227 /nfs/dbraw/zinc/91/62/27/340916227.db2.gz BEDPFHVABQTGTP-WFASDCNBSA-N 0 3 317.816 4.003 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000540149406 340917284 /nfs/dbraw/zinc/91/72/84/340917284.db2.gz ODNDNMQSAJFUNS-XKGZKEIXSA-N 0 3 310.441 4.431 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1N[C@H](C)c1ccccn1 ZINC000540149408 340917301 /nfs/dbraw/zinc/91/73/01/340917301.db2.gz ODNDNMQSAJFUNS-YVWKXTFCSA-N 0 3 310.441 4.431 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1Cl)[C@H](O)Cc1ccccc1 ZINC000540149579 340917480 /nfs/dbraw/zinc/91/74/80/340917480.db2.gz WAFHZVBHPDCKLP-VEVIJQCQSA-N 0 3 321.823 4.122 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cc(F)cc(OC)c2)cc1 ZINC000540150118 340917486 /nfs/dbraw/zinc/91/74/86/340917486.db2.gz BHUNGQQALOIVBE-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(F)cn1)Oc1cccc(Cl)c1 ZINC000540154902 340918177 /nfs/dbraw/zinc/91/81/77/340918177.db2.gz ZTBZWGHBWOQZHA-DOMZBBRYSA-N 0 3 322.811 4.382 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)c(C)c1 ZINC000540165426 340919504 /nfs/dbraw/zinc/91/95/04/340919504.db2.gz RCCPVYUIMYDVLQ-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)[C@H](OC)c2ccc(F)cc2)c1 ZINC000540165408 340919627 /nfs/dbraw/zinc/91/96/27/340919627.db2.gz QOPOBMKTNSVACH-KSMMKXTCSA-N 0 3 317.404 4.261 20 0 DIADHN C[C@H](NCCc1csc2ccccc12)c1ccc(F)cn1 ZINC000540167000 340919763 /nfs/dbraw/zinc/91/97/63/340919763.db2.gz IWWRTDOZXVSIFU-LBPRGKRZSA-N 0 3 300.402 4.329 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)COCC(F)(F)F)cc1 ZINC000540168974 340919953 /nfs/dbraw/zinc/91/99/53/340919953.db2.gz IZRMJJGEXQSEMR-NWDGAFQWSA-N 0 3 321.408 4.417 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H]1CCc2cc(F)ccc21 ZINC000540177725 340920802 /nfs/dbraw/zinc/92/08/02/340920802.db2.gz JXZLHPCJGKJNTE-MOPGFXCFSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1C)c1ccc(-n2cccn2)cc1 ZINC000540182963 340921302 /nfs/dbraw/zinc/92/13/02/340921302.db2.gz XGIOHMDIMUUNKY-XFQAVAEZSA-N 0 3 317.436 4.456 20 0 DIADHN CC[C@@H](COC)N[C@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000540185474 340921682 /nfs/dbraw/zinc/92/16/82/340921682.db2.gz OYMOLSCQLOQIRR-LPHOPBHVSA-N 0 3 311.425 4.192 20 0 DIADHN COC[C@H](N[C@H](C)Cc1ccccc1C)c1ccc(F)cc1 ZINC000540186208 340921910 /nfs/dbraw/zinc/92/19/10/340921910.db2.gz OPPDCNSGQHGSNP-BEFAXECRSA-N 0 3 301.405 4.042 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccc(F)cc1 ZINC000540187235 340921996 /nfs/dbraw/zinc/92/19/96/340921996.db2.gz IFMPFCZRCNBWPU-LRDDRELGSA-N 0 3 319.445 4.414 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000540219364 340923890 /nfs/dbraw/zinc/92/38/90/340923890.db2.gz DYCKSCPCMMXXTR-KDOFPFPSSA-N 0 3 315.482 4.320 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](c2ccc(F)cc2)C2CC2)cn1 ZINC000540260982 340924991 /nfs/dbraw/zinc/92/49/91/340924991.db2.gz QIESQMUVKJULFV-LJQANCHMSA-N 0 3 314.404 4.249 20 0 DIADHN COc1cc(F)c([C@H](C)NCCc2sccc2C)cc1OC ZINC000540316152 340926511 /nfs/dbraw/zinc/92/65/11/340926511.db2.gz PFIROSUGOFROKS-LBPRGKRZSA-N 0 3 323.433 4.106 20 0 DIADHN C[C@H](NCc1nc2cc(F)ccc2o1)C(C)(C)c1ccccc1 ZINC000540352915 340928119 /nfs/dbraw/zinc/92/81/19/340928119.db2.gz BXVGAYJNZADOIB-ZDUSSCGKSA-N 0 3 312.388 4.423 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)N(C)[C@@H](C)c2ccccc2)c1C ZINC000540389220 340929228 /nfs/dbraw/zinc/92/92/28/340929228.db2.gz FAHLTUAGBVOVKU-ROUUACIJSA-N 0 3 324.468 4.174 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3CCO[C@@H]3C3CC3)o2)cc1 ZINC000540622216 340939452 /nfs/dbraw/zinc/93/94/52/340939452.db2.gz QWHPDHKPFJJRAX-FUHWJXTLSA-N 0 3 317.816 4.257 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32)c1cnn(C)c1 ZINC000540660727 340940697 /nfs/dbraw/zinc/94/06/97/340940697.db2.gz VVKCKKAVNYHOAG-DEYYWGMASA-N 0 3 309.457 4.371 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cc2ccccc2o1 ZINC000540686509 340941388 /nfs/dbraw/zinc/94/13/88/340941388.db2.gz OJYRLIRTEBCUSH-ORAYPTAESA-N 0 3 313.372 4.438 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cc(C)ccc1F ZINC000540686460 340941390 /nfs/dbraw/zinc/94/13/90/340941390.db2.gz MROYPBWOMOKCKM-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2cnc(-c3cccs3)s2)C1(C)C ZINC000540689385 340941465 /nfs/dbraw/zinc/94/14/65/340941465.db2.gz VPNOYOFMKAQENB-ZLKJLUDKSA-N 0 3 322.499 4.021 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2cnc(-c3cccs3)s2)C1(C)C ZINC000540689374 340941501 /nfs/dbraw/zinc/94/15/01/340941501.db2.gz VPNOYOFMKAQENB-DDTOSNHZSA-N 0 3 322.499 4.021 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)[C@@H]1CCC(C)(C)C1)c1ccccc1 ZINC000541023812 340956755 /nfs/dbraw/zinc/95/67/55/340956755.db2.gz JHFUYBXJNLRQSB-DOTOQJQBSA-N 0 3 316.489 4.058 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC(CCCO)CC1 ZINC000541110318 340959273 /nfs/dbraw/zinc/95/92/73/340959273.db2.gz WDDKEFACYUCJPV-UHFFFAOYSA-N 0 3 312.457 4.095 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@@H](C)c2ccccc2)c1 ZINC000129414278 340959330 /nfs/dbraw/zinc/95/93/30/340959330.db2.gz AXVBEBRZRMMQTK-HOTGVXAUSA-N 0 3 310.441 4.066 20 0 DIADHN C[C@@H]1OCC[C@]1(C)NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000541385594 340969179 /nfs/dbraw/zinc/96/91/79/340969179.db2.gz HDDPQFVGQZWLJR-ZANVPECISA-N 0 3 307.743 4.016 20 0 DIADHN Fc1ccc([C@@H](NCC2CCSCC2)c2cccnc2)cc1 ZINC000541545950 340973576 /nfs/dbraw/zinc/97/35/76/340973576.db2.gz QTKAHIMQBFDNGK-GOSISDBHSA-N 0 3 316.445 4.043 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccc(F)cc2)c2ccccn2)cc1 ZINC000541565045 340974787 /nfs/dbraw/zinc/97/47/87/340974787.db2.gz MSPLHACLVLUFOT-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccsc2)c2cccnc2)cc1 ZINC000541566489 340974896 /nfs/dbraw/zinc/97/48/96/340974896.db2.gz VIKVZYJRQKUQLS-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN CCC[C@@H](NCCc1ccc(F)c(F)c1F)c1ccccn1 ZINC000541566615 340974942 /nfs/dbraw/zinc/97/49/42/340974942.db2.gz RTHVWQIHBALASN-CQSZACIVSA-N 0 3 308.347 4.172 20 0 DIADHN Cc1nc2ccccc2c(N2CCC3(CCOCC3)[C@@H]2C)c1C ZINC000541624549 340978226 /nfs/dbraw/zinc/97/82/26/340978226.db2.gz VPBWXUSNLKMKBO-INIZCTEOSA-N 0 3 310.441 4.247 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(C)c1ccccc1)c1cccs1 ZINC000541629597 340978614 /nfs/dbraw/zinc/97/86/14/340978614.db2.gz WEJUUZTVWZZEOO-INIZCTEOSA-N 0 3 316.470 4.088 20 0 DIADHN CC[C@H](NCCc1cccc(Cl)c1Cl)c1ccn(C)n1 ZINC000541741441 340981976 /nfs/dbraw/zinc/98/19/76/340981976.db2.gz NFCXFFJFTPRDDI-ZDUSSCGKSA-N 0 3 312.244 4.010 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cn(C)nc1-c1ccccc1 ZINC000542019465 340993438 /nfs/dbraw/zinc/99/34/38/340993438.db2.gz UZQGVFXCMIVDBS-GHRIWEEISA-N 0 3 317.436 4.280 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@@H]1CCCC1(C)C)c1ccsc1 ZINC000542104282 340995414 /nfs/dbraw/zinc/99/54/14/340995414.db2.gz FUCWICZOILVHAD-HOTGVXAUSA-N 0 3 322.518 4.074 20 0 DIADHN c1cc(CCCN[C@H](c2ccccc2)c2ccccn2)cs1 ZINC000542104897 340995540 /nfs/dbraw/zinc/99/55/40/340995540.db2.gz VXLSYCFPYIVNKC-LJQANCHMSA-N 0 3 308.450 4.455 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)CCCCC(=O)OC(C)(C)C ZINC000542210143 341000160 /nfs/dbraw/zinc/00/01/60/341000160.db2.gz OOXGKHXBWJTJDI-AWEZNQCLSA-N 0 3 309.425 4.331 20 0 DIADHN C[C@H](CN(C)Cc1cncc(Br)c1)c1ccccc1 ZINC000542280155 341003350 /nfs/dbraw/zinc/00/33/50/341003350.db2.gz LYUNMXFUAXHILD-CYBMUJFWSA-N 0 3 319.246 4.080 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2c(Cl)oc3ccccc32)CCO1 ZINC000542297950 341003579 /nfs/dbraw/zinc/00/35/79/341003579.db2.gz IGWPEKGMRWLWFH-OLZOCXBDSA-N 0 3 307.821 4.381 20 0 DIADHN Clc1ccccc1-c1ccc(CNCC[C@H]2CCOC2)o1 ZINC000542299836 341003707 /nfs/dbraw/zinc/00/37/07/341003707.db2.gz NLWQRNQTMPXJNF-ZDUSSCGKSA-N 0 3 305.805 4.116 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2cc(C(F)(F)F)ccc2F)CCO1 ZINC000542302178 341003737 /nfs/dbraw/zinc/00/37/37/341003737.db2.gz FEBGSQYHMUTYQW-RYUDHWBXSA-N 0 3 319.342 4.139 20 0 DIADHN CC(C)c1cnc(CNCCc2c[nH]c3cc(F)ccc23)s1 ZINC000542307407 341003846 /nfs/dbraw/zinc/00/38/46/341003846.db2.gz KMQLRQKVKPSTIX-UHFFFAOYSA-N 0 3 317.433 4.219 20 0 DIADHN C[C@@]1(NCc2cnc(C3CCCCC3)s2)CCO[C@@H]1C1CC1 ZINC000542310194 341003973 /nfs/dbraw/zinc/00/39/73/341003973.db2.gz DZCZUKIDWJOCNZ-SJLPKXTDSA-N 0 3 320.502 4.238 20 0 DIADHN C[C@H]1C[C@H](CCNCc2cc(Cl)ccc2Cl)CCO1 ZINC000542314117 341004216 /nfs/dbraw/zinc/00/42/16/341004216.db2.gz CLHUSGBOVKPNDD-NWDGAFQWSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@@]1(NCc2cccc(OC3CCCC3)c2)CCO[C@@H]1C1CC1 ZINC000542314950 341004296 /nfs/dbraw/zinc/00/42/96/341004296.db2.gz PZSOEIZGMCCDSD-WOJBJXKFSA-N 0 3 315.457 4.055 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@]2(C)CCO[C@H]2C)cc1 ZINC000542313956 341004315 /nfs/dbraw/zinc/00/43/15/341004315.db2.gz BVGNRXMCZSHORQ-MGPUTAFESA-N 0 3 311.425 4.019 20 0 DIADHN C[C@@H]1OCC[C@]1(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000542316533 341004376 /nfs/dbraw/zinc/00/43/76/341004376.db2.gz UVUKNRRYWLXPOX-ZANVPECISA-N 0 3 307.743 4.016 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc(C)c4ccccc4n3)C2)cc1 ZINC000542322757 341005156 /nfs/dbraw/zinc/00/51/56/341005156.db2.gz MSNVFXMSGDUGKI-GOSISDBHSA-N 0 3 317.436 4.236 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(Br)cc1 ZINC000130197698 341006049 /nfs/dbraw/zinc/00/60/49/341006049.db2.gz IFRZIJSCPXSHSY-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN CC(C)c1csc(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000130207717 341006430 /nfs/dbraw/zinc/00/64/30/341006430.db2.gz OAMZKXCRBKTEKL-GHMZBOCLSA-N 0 3 306.397 4.477 20 0 DIADHN Cn1cc(CN2CCC[C@H]3CCC[C@@H]32)c(C2CCCCC2)n1 ZINC000542465245 341008445 /nfs/dbraw/zinc/00/84/45/341008445.db2.gz BPNAOTLDYMMZSP-QAPCUYQASA-N 0 3 301.478 4.232 20 0 DIADHN Fc1ccc([C@H](NCC2CCSCC2)c2ccccn2)cc1 ZINC000542474985 341009001 /nfs/dbraw/zinc/00/90/01/341009001.db2.gz QZBLWYIRUZKUBM-SFHVURJKSA-N 0 3 316.445 4.043 20 0 DIADHN C[C@@H](CN[C@H](c1ccco1)c1ccccc1)N1CCC(C)CC1 ZINC000542478152 341009110 /nfs/dbraw/zinc/00/91/10/341009110.db2.gz JEXKVRUKAYWJLX-PXNSSMCTSA-N 0 3 312.457 4.079 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN[C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000542476821 341009117 /nfs/dbraw/zinc/00/91/17/341009117.db2.gz CXSVYCUFOHADKU-ALKREAHSSA-N 0 3 313.420 4.121 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccccc1SC(C)C ZINC000542492686 341010172 /nfs/dbraw/zinc/01/01/72/341010172.db2.gz YSHHZEGRPSHJSN-ZDUSSCGKSA-N 0 3 308.491 4.292 20 0 DIADHN CCCC[C@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)C1CCC1 ZINC000542522344 341012091 /nfs/dbraw/zinc/01/20/91/341012091.db2.gz ULJOKYPKLHTZLG-GUYCJALGSA-N 0 3 313.445 4.083 20 0 DIADHN COc1cc(CN[C@H](Cc2ccccc2)c2cccs2)ccn1 ZINC000542526483 341012248 /nfs/dbraw/zinc/01/22/48/341012248.db2.gz QHRMXECENITBEL-QGZVFWFLSA-N 0 3 324.449 4.225 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)NC1(C2CC2)CC1 ZINC000542527006 341012257 /nfs/dbraw/zinc/01/22/57/341012257.db2.gz YDELYWVZVWYHBM-KGLIPLIRSA-N 0 3 300.446 4.059 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](C)[C@@H](C)c2ccccc2)c1C ZINC000542544459 341012997 /nfs/dbraw/zinc/01/29/97/341012997.db2.gz NUGGHZBDWFDBNM-KURKYZTESA-N 0 3 324.468 4.412 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)cc1 ZINC000542573360 341014160 /nfs/dbraw/zinc/01/41/60/341014160.db2.gz IFGFAZHXKRLNDW-ZDUSSCGKSA-N 0 3 316.470 4.162 20 0 DIADHN CC(C)n1cc([C@H](C)NCCSc2ccccc2F)cn1 ZINC000542574526 341014249 /nfs/dbraw/zinc/01/42/49/341014249.db2.gz RYUSETWQPLOJLF-ZDUSSCGKSA-N 0 3 307.438 4.046 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000130304038 341015072 /nfs/dbraw/zinc/01/50/72/341015072.db2.gz YNWJBJJKLDMVDL-CYBMUJFWSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@H](NCCCOc1ccc2ccccc2c1)c1ccn(C)n1 ZINC000542662856 341015897 /nfs/dbraw/zinc/01/58/97/341015897.db2.gz URONSGXOUGTCGC-LJQANCHMSA-N 0 3 323.440 4.083 20 0 DIADHN Clc1ccccc1CCN[C@H](c1ccccc1)c1cncnc1 ZINC000542668811 341016489 /nfs/dbraw/zinc/01/64/89/341016489.db2.gz QJFXKROBZFSVAJ-LJQANCHMSA-N 0 3 323.827 4.052 20 0 DIADHN CC[C@H](NCC(C)(C)c1cccc(Cl)c1)c1ccn(C)n1 ZINC000542669097 341016671 /nfs/dbraw/zinc/01/66/71/341016671.db2.gz SZSAWEPLCPRYCH-HNNXBMFYSA-N 0 3 305.853 4.092 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](c2cnn(C)c2)c2cccc(F)c2)C[C@@H]1C ZINC000542706930 341018366 /nfs/dbraw/zinc/01/83/66/341018366.db2.gz CYQHUTJTFUJNDJ-WXRFYESLSA-N 0 3 315.436 4.063 20 0 DIADHN Cc1cc(F)ccc1CNCc1cc(Br)cs1 ZINC000070937878 341019889 /nfs/dbraw/zinc/01/98/89/341019889.db2.gz ZQLSYEWRMRGUIO-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN CCC(CC)CC(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000130374284 341020037 /nfs/dbraw/zinc/02/00/37/341020037.db2.gz NIIQUIVELWLSAO-INIZCTEOSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1oncc1CN[C@@H](C)[C@H](C)c1ccccc1C(F)(F)F ZINC000130428896 341021382 /nfs/dbraw/zinc/02/13/82/341021382.db2.gz MFXNCRNMTYONAK-QWRGUYRKSA-N 0 3 312.335 4.284 20 0 DIADHN Clc1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)cc1 ZINC000542825158 341022434 /nfs/dbraw/zinc/02/24/34/341022434.db2.gz GWYUMTWKTODUAN-QGZVFWFLSA-N 0 3 311.816 4.137 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCc2c[nH]nc2C1)c1ccc(Cl)cc1F ZINC000542845942 341023200 /nfs/dbraw/zinc/02/32/00/341023200.db2.gz GBVVZAOYXBTYFR-DYVFJYSZSA-N 0 3 321.827 4.046 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000542883152 341025296 /nfs/dbraw/zinc/02/52/96/341025296.db2.gz JWOYLAKHPYVLRM-GUXCAODWSA-N 0 3 314.473 4.088 20 0 DIADHN Cc1ncsc1CNCc1ccc(-c2ccccc2)s1 ZINC000071212846 341025792 /nfs/dbraw/zinc/02/57/92/341025792.db2.gz SKORXDVASIZIGL-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN CO[C@@H](C)CN(C)[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000542929993 341026540 /nfs/dbraw/zinc/02/65/40/341026540.db2.gz ILLFMWSPILXMHG-ZFWWWQNUSA-N 0 3 318.486 4.455 20 0 DIADHN CO[C@H](C)CN(C)[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000542929990 341026644 /nfs/dbraw/zinc/02/66/44/341026644.db2.gz ILLFMWSPILXMHG-UKRRQHHQSA-N 0 3 318.486 4.455 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@H](C)[C@H]3CCCC[C@H]32)c(C)c1C ZINC000542969815 341027509 /nfs/dbraw/zinc/02/75/09/341027509.db2.gz YZKWGTSNAOWQOF-IUIKQTSFSA-N 0 3 320.502 4.122 20 0 DIADHN CO[C@@H](C)CN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000542980666 341027749 /nfs/dbraw/zinc/02/77/49/341027749.db2.gz JWYRUYMRTXYXTP-UONOGXRCSA-N 0 3 303.377 4.304 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc12)NC1(c2ccccc2F)CC1 ZINC000543013379 341028348 /nfs/dbraw/zinc/02/83/48/341028348.db2.gz QVVOULNRDYJMSV-CQSZACIVSA-N 0 3 323.415 4.302 20 0 DIADHN Cc1cc(CN(C)[C@@H]2CCSC2)ccc1Oc1cccnc1 ZINC000130581021 341029403 /nfs/dbraw/zinc/02/94/03/341029403.db2.gz LQKYHXIVAIFYCU-MRXNPFEDSA-N 0 3 314.454 4.120 20 0 DIADHN CCc1cnccc1[C@H](C)NCCOc1ccccc1Cl ZINC000543051434 341029517 /nfs/dbraw/zinc/02/95/17/341029517.db2.gz FIBDMKGHEYSMJM-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN FC(F)(F)c1cc([C@@H](N[C@H]2CCOC2)C2CC2)ccc1Cl ZINC000543073559 341030674 /nfs/dbraw/zinc/03/06/74/341030674.db2.gz BBBRKXCFYFETNA-FZMZJTMJSA-N 0 3 319.754 4.188 20 0 DIADHN C[C@]1(NCc2ccc(Cl)cc2Cl)CCO[C@H]1C1CC1 ZINC000543241077 341037613 /nfs/dbraw/zinc/03/76/13/341037613.db2.gz CVUCWKRYUARQFD-GJZGRUSLSA-N 0 3 300.229 4.041 20 0 DIADHN COC[C@H](NC1CC(c2cccc(Cl)c2)C1)c1ccc(C)o1 ZINC000543091866 341031408 /nfs/dbraw/zinc/03/14/08/341031408.db2.gz UHSLHQYAYPRRCT-PREGVCBESA-N 0 3 319.832 4.465 20 0 DIADHN CCc1ccc([C@H](COC)NC2CC(c3ccc(C)cc3)C2)o1 ZINC000543106019 341032270 /nfs/dbraw/zinc/03/22/70/341032270.db2.gz DYFXDQLHDVBODU-TVPLGVNVSA-N 0 3 313.441 4.374 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCCc1ccc(C)cc1 ZINC000543138664 341033652 /nfs/dbraw/zinc/03/36/52/341033652.db2.gz ICZOIYMDVUPOLF-UHFFFAOYSA-N 0 3 313.470 4.077 20 0 DIADHN CC[C@@](C)(NCCc1cccc(F)c1F)c1nc(C)cs1 ZINC000543139534 341033867 /nfs/dbraw/zinc/03/38/67/341033867.db2.gz ONNZTONLNWKAJK-MRXNPFEDSA-N 0 3 310.413 4.187 20 0 DIADHN C[C@@]1(NCc2cccc(Cl)c2Cl)CCO[C@H]1C1CC1 ZINC000543241085 341037636 /nfs/dbraw/zinc/03/76/36/341037636.db2.gz DCWLMKVXCAYQBF-LSDHHAIUSA-N 0 3 300.229 4.041 20 0 DIADHN COc1ccc(-c2nc(CN(C)C[C@H]3CC=CCC3)co2)cc1 ZINC000543172144 341035004 /nfs/dbraw/zinc/03/50/04/341035004.db2.gz GQJGFYBZJCQKNV-HNNXBMFYSA-N 0 3 312.413 4.138 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)C[C@@H]1CC=CCC1 ZINC000543176671 341035226 /nfs/dbraw/zinc/03/52/26/341035226.db2.gz JUFPYUDDSVWECN-MRXNPFEDSA-N 0 3 300.446 4.037 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N(C)C[C@H]1CC=CCC1 ZINC000543181018 341035371 /nfs/dbraw/zinc/03/53/71/341035371.db2.gz HNUHNJBPHHMKAT-GJZGRUSLSA-N 0 3 320.864 4.264 20 0 DIADHN C[C@H](C(=O)Nc1cc(Cl)ccc1F)N(C)C[C@@H]1CC=CCC1 ZINC000543181800 341035505 /nfs/dbraw/zinc/03/55/05/341035505.db2.gz LFUSPHHMPLBGEV-CHWSQXEVSA-N 0 3 324.827 4.094 20 0 DIADHN C[C@@H](C(=O)Nc1cc(Cl)ccc1F)N(C)C[C@@H]1CC=CCC1 ZINC000543181802 341035619 /nfs/dbraw/zinc/03/56/19/341035619.db2.gz LFUSPHHMPLBGEV-QWHCGFSZSA-N 0 3 324.827 4.094 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCc1cccc(F)c1F ZINC000543182891 341035745 /nfs/dbraw/zinc/03/57/45/341035745.db2.gz DPTXFOMSBFGSNA-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCCc1ccccc1Cl ZINC000543191160 341036085 /nfs/dbraw/zinc/03/60/85/341036085.db2.gz DWCCUXPIZXNWJV-KRWDZBQOSA-N 0 3 317.864 4.450 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCCc1ccccc1Cl ZINC000543191161 341036090 /nfs/dbraw/zinc/03/60/90/341036090.db2.gz DWCCUXPIZXNWJV-QGZVFWFLSA-N 0 3 317.864 4.450 20 0 DIADHN Cc1ccc(C)n1C1CCN(CCc2cccc(F)c2F)CC1 ZINC000543206009 341036636 /nfs/dbraw/zinc/03/66/36/341036636.db2.gz JXMOPMLKKJOLEI-UHFFFAOYSA-N 0 3 318.411 4.263 20 0 DIADHN Fc1cccc(CCN(CCC(F)(F)F)CC2CC2)c1F ZINC000543207248 341036662 /nfs/dbraw/zinc/03/66/62/341036662.db2.gz MVYJSJRDXGACPI-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CC[C@H](C(C)(C)C)C1 ZINC000543248485 341038357 /nfs/dbraw/zinc/03/83/57/341038357.db2.gz CGIQCQXKPRTPMQ-NEPJUHHUSA-N 0 3 305.447 4.227 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCSc1ccccc1F ZINC000130675091 341038863 /nfs/dbraw/zinc/03/88/63/341038863.db2.gz LIJJQQBFQCLRKK-OAHLLOKOSA-N 0 3 319.449 4.095 20 0 DIADHN Cc1cccc(CCN[C@H](c2ccccc2)c2ccc(F)cn2)c1 ZINC000543404607 341042545 /nfs/dbraw/zinc/04/25/45/341042545.db2.gz LHFYVVWOPKCOAG-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN CC(C)C[C@@H](NCCCNc1ccccc1F)c1ccccn1 ZINC000543416059 341043232 /nfs/dbraw/zinc/04/32/32/341043232.db2.gz CQCOXKYJUKOBMR-LJQANCHMSA-N 0 3 315.436 4.400 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1nc2ccc(Cl)cc2n1C ZINC000543427054 341043976 /nfs/dbraw/zinc/04/39/76/341043976.db2.gz QPEQYCYUYNEKST-WCQYABFASA-N 0 3 323.893 4.163 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2CCCc2ccncc2)cc1 ZINC000543444759 341044898 /nfs/dbraw/zinc/04/48/98/341044898.db2.gz ZTDRQTBTDWOGIZ-IBGZPJMESA-N 0 3 312.482 4.113 20 0 DIADHN CC(C)(C)[C@H](NCCO[C@@H]1CCCCO1)c1ccc(F)cc1 ZINC000543444958 341044916 /nfs/dbraw/zinc/04/49/16/341044916.db2.gz MXOIRDBGYXXEAE-IAGOWNOFSA-N 0 3 309.425 4.046 20 0 DIADHN CCCC[C@H](NCc1nnc(-c2ccc(C)cc2)o1)C1CCC1 ZINC000543455503 341045645 /nfs/dbraw/zinc/04/56/45/341045645.db2.gz VHNZHGZKKRTOPO-KRWDZBQOSA-N 0 3 313.445 4.494 20 0 DIADHN CCCC[C@H](NCc1csc(-c2ncccn2)n1)C1CCC1 ZINC000543455120 341045705 /nfs/dbraw/zinc/04/57/05/341045705.db2.gz RCGRSSGRCRKBDD-HNNXBMFYSA-N 0 3 316.474 4.049 20 0 DIADHN COCC[C@@H](NCCCc1ccccc1Cl)c1ccco1 ZINC000543459209 341045755 /nfs/dbraw/zinc/04/57/55/341045755.db2.gz VNEASKVNBOIJMQ-MRXNPFEDSA-N 0 3 307.821 4.233 20 0 DIADHN C[C@@H](NCc1nc(-c2ccco2)no1)[C@H](C)C1CCCCC1 ZINC000543460993 341045950 /nfs/dbraw/zinc/04/59/50/341045950.db2.gz TWHCIOIPNDCUNM-QWHCGFSZSA-N 0 3 303.406 4.024 20 0 DIADHN CC[C@@H](C)[C@H](C)NCC(=O)Nc1ccccc1Cc1ccccc1 ZINC000543469085 341046295 /nfs/dbraw/zinc/04/62/95/341046295.db2.gz MDQMRACJESCEKZ-SJORKVTESA-N 0 3 324.468 4.240 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H](C)[C@H](C)CC)co2)cc1 ZINC000543470609 341046333 /nfs/dbraw/zinc/04/63/33/341046333.db2.gz XDHDTSXMOKIZPX-KGLIPLIRSA-N 0 3 302.418 4.265 20 0 DIADHN CCc1cc(N2CCC3(CCOCC3)[C@@H]2C)c2ccccc2n1 ZINC000543484175 341046835 /nfs/dbraw/zinc/04/68/35/341046835.db2.gz USCRLHWWFYNGFU-HNNXBMFYSA-N 0 3 310.441 4.193 20 0 DIADHN CC(C)C[C@H](NCC(=O)N1[C@@H](C)CCC[C@@H]1C)c1cccs1 ZINC000543486052 341046865 /nfs/dbraw/zinc/04/68/65/341046865.db2.gz RYRLTNRJZRSOAG-JYJNAYRXSA-N 0 3 322.518 4.214 20 0 DIADHN CC(C)C[C@H](N[C@@H](C)C(=O)N1CCC(C)CC1)c1cccs1 ZINC000543486225 341046976 /nfs/dbraw/zinc/04/69/76/341046976.db2.gz UXPWNSWTRKLPLD-HOTGVXAUSA-N 0 3 322.518 4.072 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000543488006 341047094 /nfs/dbraw/zinc/04/70/94/341047094.db2.gz XJVICICGEKYRSW-UONOGXRCSA-N 0 3 310.507 4.118 20 0 DIADHN CC[C@@H](NC[C@@H](O)Cc1ccccc1)c1ccc(Cl)s1 ZINC000543488579 341047296 /nfs/dbraw/zinc/04/72/96/341047296.db2.gz CKFNGKXPVQZKMI-UONOGXRCSA-N 0 3 309.862 4.046 20 0 DIADHN COc1ccccc1C[C@@H](NCc1nc(C)sc1C)C(C)C ZINC000543492330 341047387 /nfs/dbraw/zinc/04/73/87/341047387.db2.gz HRCMZCKXWNEFQN-MRXNPFEDSA-N 0 3 318.486 4.125 20 0 DIADHN Cc1cc(CN[C@H](C)c2cnn(C(C)C)c2)c2ccccc2n1 ZINC000543503379 341047793 /nfs/dbraw/zinc/04/77/93/341047793.db2.gz OCGVRZDMAYFISA-OAHLLOKOSA-N 0 3 308.429 4.171 20 0 DIADHN Cc1csc([C@@H](C)NCCCOc2ccc(Cl)cc2)n1 ZINC000543509377 341048038 /nfs/dbraw/zinc/04/80/38/341048038.db2.gz KRFUWVASMMVTMS-GFCCVEGCSA-N 0 3 310.850 4.225 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2ccc(NC(N)=O)cc2)cc1 ZINC000130833416 341048425 /nfs/dbraw/zinc/04/84/25/341048425.db2.gz QLXPXFFIEUVWJZ-AWEZNQCLSA-N 0 3 311.429 4.151 20 0 DIADHN CC(C)C1CCC(N[C@H](c2ccccc2)c2cncnc2)CC1 ZINC000543592803 341049906 /nfs/dbraw/zinc/04/99/06/341049906.db2.gz CNUOIKKWDBJIMM-RRWXTXHCSA-N 0 3 309.457 4.370 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)CCc2ccccc2)C2CCC2)o1 ZINC000543598905 341050447 /nfs/dbraw/zinc/05/04/47/341050447.db2.gz LZWFPMMFVHPIBQ-UYAOXDASSA-N 0 3 313.441 4.013 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)CCc2ccccc2)C2CCC2)o1 ZINC000543598851 341050456 /nfs/dbraw/zinc/05/04/56/341050456.db2.gz LZWFPMMFVHPIBQ-ICSRJNTNSA-N 0 3 313.441 4.013 20 0 DIADHN Clc1cccc(CCN[C@H](c2ccccc2)c2cncnc2)c1 ZINC000543602256 341050813 /nfs/dbraw/zinc/05/08/13/341050813.db2.gz NASIMJWXHLXOOJ-LJQANCHMSA-N 0 3 323.827 4.052 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](c2ccco2)N(C)C)C2CCCC2)o1 ZINC000543604663 341050893 /nfs/dbraw/zinc/05/08/93/341050893.db2.gz CMFUIHLUPOCPDY-QFBILLFUSA-N 0 3 316.445 4.305 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1cncnc1)C1CCCC1 ZINC000543625589 341051565 /nfs/dbraw/zinc/05/15/65/341051565.db2.gz MTMCCLZBCGEXQO-LJQANCHMSA-N 0 3 309.457 4.372 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1ccccc1Br ZINC000130893787 341053136 /nfs/dbraw/zinc/05/31/36/341053136.db2.gz JLTMXKDVJUVXTK-FRRDWIJNSA-N 0 3 312.251 4.057 20 0 DIADHN CCc1cccnc1[C@@H](C)NCc1cc2c(s1)CCCC2 ZINC000543740994 341056204 /nfs/dbraw/zinc/05/62/04/341056204.db2.gz SFAVQGMFVAACQM-CYBMUJFWSA-N 0 3 300.471 4.435 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cccc3cccnc32)o1 ZINC000131114210 341061694 /nfs/dbraw/zinc/06/16/94/341061694.db2.gz PJNWZBMHOONARM-SFHVURJKSA-N 0 3 322.408 4.311 20 0 DIADHN CO[C@H](C)CN[C@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000543909896 341063074 /nfs/dbraw/zinc/06/30/74/341063074.db2.gz DIJVBBQQQOFYKC-HUUCEWRRSA-N 0 3 317.404 4.090 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC(c2cc(C)[nH]n2)CC1 ZINC000543910281 341063169 /nfs/dbraw/zinc/06/31/69/341063169.db2.gz VCCADGXKZPXZSM-GOSISDBHSA-N 0 3 319.399 4.327 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC(c2cc(C)n[nH]2)CC1 ZINC000543910281 341063170 /nfs/dbraw/zinc/06/31/70/341063170.db2.gz VCCADGXKZPXZSM-GOSISDBHSA-N 0 3 319.399 4.327 20 0 DIADHN C[C@@H](CCc1n[nH]c2ccccc21)N[C@H]1CSc2ccccc21 ZINC000543943528 341063761 /nfs/dbraw/zinc/06/37/61/341063761.db2.gz LGJBSWPMFIETCU-UGSOOPFHSA-N 0 3 323.465 4.321 20 0 DIADHN CC(C)(C)[C@H](N[C@@H]1CCOC1)c1cccc(C(F)(F)F)c1 ZINC000543999975 341065817 /nfs/dbraw/zinc/06/58/17/341065817.db2.gz RPYMTWMFQAKCFP-ZIAGYGMSSA-N 0 3 301.352 4.171 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN(C)C[C@@H]1CC=CCC1 ZINC000544114867 341070616 /nfs/dbraw/zinc/07/06/16/341070616.db2.gz LJHNODGNELZRKH-MRXNPFEDSA-N 0 3 301.430 4.076 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(OCC3CC3)nc2)c(C)s1 ZINC000131277106 341072462 /nfs/dbraw/zinc/07/24/62/341072462.db2.gz WWLDYDUIXBXCAG-CYBMUJFWSA-N 0 3 316.470 4.400 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNCC[C@@H]2CCOC2)c1 ZINC000544165508 341072532 /nfs/dbraw/zinc/07/25/32/341072532.db2.gz CVEGQDURRPLHHH-CQSZACIVSA-N 0 3 323.864 4.178 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000544175456 341072899 /nfs/dbraw/zinc/07/28/99/341072899.db2.gz KLUHVLUAGPPOKK-CABCVRRESA-N 0 3 317.404 4.381 20 0 DIADHN COc1ccc2oc(CNC[C@H](SC)C(C)(C)C)cc2c1 ZINC000544176726 341072985 /nfs/dbraw/zinc/07/29/85/341072985.db2.gz PWAQBCKFTBQKRT-INIZCTEOSA-N 0 3 307.459 4.309 20 0 DIADHN Cc1nc(CN2CC[C@H](c3cc(C)cc(C)c3)C2)sc1C ZINC000544191625 341073932 /nfs/dbraw/zinc/07/39/32/341073932.db2.gz IOYSEIQZWFPOGZ-INIZCTEOSA-N 0 3 300.471 4.366 20 0 DIADHN Cc1cc(CN2CCC(C(F)(F)F)CC2)cc2cccnc12 ZINC000544347808 341077175 /nfs/dbraw/zinc/07/71/75/341077175.db2.gz DKHUYBWWWFXJON-UHFFFAOYSA-N 0 3 308.347 4.318 20 0 DIADHN CCO[C@H](CCN[C@@H](c1ccco1)c1ccccc1)C(C)C ZINC000544354585 341077781 /nfs/dbraw/zinc/07/77/81/341077781.db2.gz HHIYTOIBSNNOIV-IEBWSBKVSA-N 0 3 301.430 4.410 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)c1ccccc1F)[C@H]1CCCO1 ZINC000544358770 341077925 /nfs/dbraw/zinc/07/79/25/341077925.db2.gz LRSJDOSPVYDPNP-RYGJVYDSSA-N 0 3 313.416 4.267 20 0 DIADHN Cc1cccc(CCN[C@@H](c2ccc(F)cc2)c2ccccn2)c1 ZINC000544359189 341078001 /nfs/dbraw/zinc/07/80/01/341078001.db2.gz GMGYGACIIIMHIT-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1ccccc1CCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000544381394 341079325 /nfs/dbraw/zinc/07/93/25/341079325.db2.gz PFQDXQYFOKEGFC-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN COCC[C@@H](Cc1ccco1)N[C@H](C)c1ccccc1Cl ZINC000544385948 341079601 /nfs/dbraw/zinc/07/96/01/341079601.db2.gz JXGQNWTUJUCHGE-KGLIPLIRSA-N 0 3 307.821 4.231 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@H](C)c1ccccc1Cl ZINC000544385950 341079631 /nfs/dbraw/zinc/07/96/31/341079631.db2.gz JXGQNWTUJUCHGE-ZIAGYGMSSA-N 0 3 307.821 4.231 20 0 DIADHN C[C@H](NC(c1ccc(F)cc1)c1ccc(F)cc1)[C@H]1CCCO1 ZINC000544388046 341079777 /nfs/dbraw/zinc/07/97/77/341079777.db2.gz XWPZOVDIUAOZHA-SCLBCKFNSA-N 0 3 317.379 4.211 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1nc2c(cc(C)cc2C)s1 ZINC000544402819 341080400 /nfs/dbraw/zinc/08/04/00/341080400.db2.gz SOHRTFIAAUJATA-GFCCVEGCSA-N 0 3 319.474 4.018 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@H](c2ccnn2C)C1 ZINC000544409004 341080815 /nfs/dbraw/zinc/08/08/15/341080815.db2.gz XXBKHSJNRZSCIP-DYVFJYSZSA-N 0 3 319.399 4.029 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CCCc3ccncc3)nc2c1 ZINC000544407707 341080837 /nfs/dbraw/zinc/08/08/37/341080837.db2.gz KXVGPLDQQKEVGU-KRWDZBQOSA-N 0 3 323.465 4.461 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)CNC1(C2CC2)CC1 ZINC000544416760 341081427 /nfs/dbraw/zinc/08/14/27/341081427.db2.gz FVPIEXVZQJXNOQ-UHFFFAOYSA-N 0 3 314.473 4.404 20 0 DIADHN COCC[C@H](NCCCOc1c(C)cccc1C)c1ccco1 ZINC000544421743 341081536 /nfs/dbraw/zinc/08/15/36/341081536.db2.gz UPWRCUSHBLMQSN-KRWDZBQOSA-N 0 3 317.429 4.033 20 0 DIADHN Cc1csc([C@@H](NCCCc2cccc(C)c2)C2CC2)n1 ZINC000544446458 341082506 /nfs/dbraw/zinc/08/25/06/341082506.db2.gz MYQCRVFSYYENNG-KRWDZBQOSA-N 0 3 300.471 4.433 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](CC(C)C)c2cccs2)cc1 ZINC000544449890 341082608 /nfs/dbraw/zinc/08/26/08/341082608.db2.gz QURLMPMFTCYKDH-MRXNPFEDSA-N 0 3 316.470 4.372 20 0 DIADHN COc1ccccc1C[C@H](NCc1nc(C)c(C)s1)C(C)C ZINC000544460877 341083250 /nfs/dbraw/zinc/08/32/50/341083250.db2.gz BHDXUSWMHSYMAU-INIZCTEOSA-N 0 3 318.486 4.125 20 0 DIADHN CC(C)N(C(=O)CNC(C)(C)c1cccs1)c1ccccc1 ZINC000544466990 341083424 /nfs/dbraw/zinc/08/34/24/341083424.db2.gz UBIVZSUKOAKPLF-UHFFFAOYSA-N 0 3 316.470 4.014 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)c1 ZINC000544469784 341083465 /nfs/dbraw/zinc/08/34/65/341083465.db2.gz WHPSGWMHMXXTRZ-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN C[C@@H](NCc1ccc(OCC2CC2)nc1)c1ccc(Cl)cc1 ZINC000131392865 341084283 /nfs/dbraw/zinc/08/42/83/341084283.db2.gz GMCFVJHBWROYTA-CYBMUJFWSA-N 0 3 316.832 4.375 20 0 DIADHN CC[C@H](NC1CCC(C)(c2ccccc2)CC1)c1ccn(C)n1 ZINC000544575371 341086503 /nfs/dbraw/zinc/08/65/03/341086503.db2.gz GCKJHDMHSSJOSX-FDYSRKEFSA-N 0 3 311.473 4.361 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CCCc3c(Cl)cccc32)c1C ZINC000544577735 341086826 /nfs/dbraw/zinc/08/68/26/341086826.db2.gz IWUDCSZNWKKOAO-GOSISDBHSA-N 0 3 317.864 4.340 20 0 DIADHN CCC1CCC(CN[C@@H](c2ccccc2)c2cncnc2)CC1 ZINC000544578115 341086893 /nfs/dbraw/zinc/08/68/93/341086893.db2.gz TZBLNVVKKRKSKY-UHYCVJNDSA-N 0 3 309.457 4.372 20 0 DIADHN C[C@@H](CN(C)Cc1ccccn1)c1cccc(Br)c1 ZINC000544597442 341087741 /nfs/dbraw/zinc/08/77/41/341087741.db2.gz HMOUHVAODBQBTD-ZDUSSCGKSA-N 0 3 319.246 4.080 20 0 DIADHN Cc1ccc([C@@H](NCCSCC(F)(F)F)C2CCC2)o1 ZINC000544601591 341088051 /nfs/dbraw/zinc/08/80/51/341088051.db2.gz BLDGNEJYTMDSIZ-ZDUSSCGKSA-N 0 3 307.381 4.314 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@@H](C)c1ncc(C)s1 ZINC000544603460 341088214 /nfs/dbraw/zinc/08/82/14/341088214.db2.gz HHRHEPBKAKKDFV-OCCSQVGLSA-N 0 3 304.459 4.223 20 0 DIADHN C/C=C\COc1ccc(CC[C@H](C)N[C@@H](C)c2ccon2)cc1 ZINC000544602910 341088314 /nfs/dbraw/zinc/08/83/14/341088314.db2.gz MNIOHDCVINAGJF-UDLYIEAKSA-N 0 3 314.429 4.301 20 0 DIADHN COC1CCC(CCN[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000544616408 341089365 /nfs/dbraw/zinc/08/93/65/341089365.db2.gz YLXTYJHSPFMALN-XTXLOEGASA-N 0 3 324.468 4.356 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H](c2cccnc2)C2CC2)c(F)c1 ZINC000544633126 341090023 /nfs/dbraw/zinc/09/00/23/341090023.db2.gz DKVKPMLPNZSYGO-ZMUQKWFASA-N 0 3 300.352 4.114 20 0 DIADHN COc1ccccc1C1(CNCc2ccc(Cl)o2)CCC1 ZINC000544705950 341091486 /nfs/dbraw/zinc/09/14/86/341091486.db2.gz ADQWPZPDKMNOPG-UHFFFAOYSA-N 0 3 305.805 4.153 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)C[C@H]2CC=CCC2)s1 ZINC000544746046 341092771 /nfs/dbraw/zinc/09/27/71/341092771.db2.gz MCOQKWAYUPRBAS-NSHDSACASA-N 0 3 304.381 4.258 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cc(OC)c(OC)cc1F ZINC000544829876 341095235 /nfs/dbraw/zinc/09/52/35/341095235.db2.gz OHEMBKJFJDFOAR-NXEZZACHSA-N 0 3 323.330 4.225 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(C(C)C)s2)c1 ZINC000544877917 341097112 /nfs/dbraw/zinc/09/71/12/341097112.db2.gz YJRCPNLTDWIMHB-UHFFFAOYSA-N 0 3 316.470 4.494 20 0 DIADHN CCN(C(=O)CN[C@H](C)c1c(C)cccc1C)c1ccccc1 ZINC000544894148 341097659 /nfs/dbraw/zinc/09/76/59/341097659.db2.gz QXUGIVIXIUAIHU-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN CC[C@](C)(NCCCOc1ccccc1C)c1nccs1 ZINC000072683920 341098103 /nfs/dbraw/zinc/09/81/03/341098103.db2.gz ZWLLRASDQJFFDO-KRWDZBQOSA-N 0 3 304.459 4.135 20 0 DIADHN c1ccc(-c2noc([C@H]3CC34CCCC4)n2)c(CN2CCCC2)c1 ZINC000544906532 341098776 /nfs/dbraw/zinc/09/87/76/341098776.db2.gz RACCQVFJCCJPBG-QGZVFWFLSA-N 0 3 323.440 4.380 20 0 DIADHN C(=C/C1CCC1)\c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000544909221 341098881 /nfs/dbraw/zinc/09/88/81/341098881.db2.gz ZLECPCSKAVCJEC-ZHACJKMWSA-N 0 3 309.413 4.146 20 0 DIADHN c1csc(-c2noc(-c3cccc(CN4CCCC4)c3)n2)c1 ZINC000544931291 341100022 /nfs/dbraw/zinc/10/00/22/341100022.db2.gz AZJHQPBVNQWPOQ-UHFFFAOYSA-N 0 3 311.410 4.061 20 0 DIADHN C[C@H]1CCN(Cc2cnc(-c3ccccn3)s2)CC1(C)C ZINC000544936610 341100366 /nfs/dbraw/zinc/10/03/66/341100366.db2.gz BLHGNDRSXCYSFC-ZDUSSCGKSA-N 0 3 301.459 4.073 20 0 DIADHN C[C@@H](NCc1cccc2nccn21)c1ccc2c(c1)CCCC2 ZINC000544942486 341100547 /nfs/dbraw/zinc/10/05/47/341100547.db2.gz YVBQWUVKGPECDU-OAHLLOKOSA-N 0 3 305.425 4.064 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(C(=O)OC)c(F)c2)cc1 ZINC000131599387 341100665 /nfs/dbraw/zinc/10/06/65/341100665.db2.gz PRDQBZMCOVDMLE-ZDUSSCGKSA-N 0 3 315.388 4.026 20 0 DIADHN CCC1(CNCc2cnc([C@H]3CCCO3)s2)CCCCC1 ZINC000545150717 341110465 /nfs/dbraw/zinc/11/04/65/341110465.db2.gz QLAPGXDMNKOJGH-OAHLLOKOSA-N 0 3 308.491 4.445 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC(C)(CO)CC3)s2)cc1 ZINC000545163631 341110810 /nfs/dbraw/zinc/11/08/10/341110810.db2.gz OIVUNFYZVYHVHJ-UHFFFAOYSA-N 0 3 315.482 4.318 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000545187186 341112404 /nfs/dbraw/zinc/11/24/04/341112404.db2.gz LRFCHUXAMOHZMA-AWEZNQCLSA-N 0 3 305.368 4.007 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)cn1 ZINC000545199383 341113182 /nfs/dbraw/zinc/11/31/82/341113182.db2.gz ZPWKBQBSONZGNI-MRXNPFEDSA-N 0 3 323.465 4.379 20 0 DIADHN C[C@@H](CCNCc1cnc(-c2ccccc2)nc1)c1ccccc1 ZINC000545253707 341117583 /nfs/dbraw/zinc/11/75/83/341117583.db2.gz PBARQRZSSUUKAM-KRWDZBQOSA-N 0 3 317.436 4.427 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@H]1CC[C@@H](c2ccccc2)C1 ZINC000132218181 341118518 /nfs/dbraw/zinc/11/85/18/341118518.db2.gz GISJQOZCZIHWDF-MSOLQXFVSA-N 0 3 309.457 4.424 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccnn2-c2ccccc2)c(C)c1 ZINC000545274866 341118537 /nfs/dbraw/zinc/11/85/37/341118537.db2.gz AXUXJKDFGMXQSC-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCC[C@H](c3ncccc3C)C1)CO2 ZINC000545275950 341118718 /nfs/dbraw/zinc/11/87/18/341118718.db2.gz MQYZNKYMJXVAJH-JENIJYKNSA-N 0 3 322.452 4.448 20 0 DIADHN COc1ccc(CNCc2cccc3[nH]ccc32)cc1Cl ZINC000132234735 341119215 /nfs/dbraw/zinc/11/92/15/341119215.db2.gz GYDIOYUIISLCKF-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN COc1cccc(CNCc2ccc(Br)c(C)c2)c1 ZINC000073852588 341122154 /nfs/dbraw/zinc/12/21/54/341122154.db2.gz BOBKDCBIEZENMC-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CCO[C@@H]1COCC[C@@H]1N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000545457542 341125824 /nfs/dbraw/zinc/12/58/24/341125824.db2.gz GETAPZYKOJELRM-FHLIZLRMSA-N 0 3 323.502 4.032 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1cncc(F)c1 ZINC000545457460 341125845 /nfs/dbraw/zinc/12/58/45/341125845.db2.gz OWMXOFSMGWCFQO-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H]1CCCc2ccc(OC)cc21 ZINC000545459119 341126006 /nfs/dbraw/zinc/12/60/06/341126006.db2.gz GZBYDHDFMPAQDA-SWLSCSKDSA-N 0 3 301.352 4.393 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(SC)c(OC)c1 ZINC000545468309 341126400 /nfs/dbraw/zinc/12/64/00/341126400.db2.gz PTEDACDIUJSCQV-NSHDSACASA-N 0 3 307.381 4.238 20 0 DIADHN CC[C@@H](COC)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000132564204 341127424 /nfs/dbraw/zinc/12/74/24/341127424.db2.gz FSQSRUXKLRZQIT-NHYWBVRUSA-N 0 3 322.449 4.333 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC000545517827 341128059 /nfs/dbraw/zinc/12/80/59/341128059.db2.gz BJWWGRBRLJEWOU-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](C)c2c(C)cccc2C)c1 ZINC000545518415 341128200 /nfs/dbraw/zinc/12/82/00/341128200.db2.gz UPICLCSWLSZYLQ-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](C)c2c(C)cccc2C)s1 ZINC000545557504 341130399 /nfs/dbraw/zinc/13/03/99/341130399.db2.gz ROHWRRBBATVPMS-OAHLLOKOSA-N 0 3 317.502 4.457 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cccc2c1OC(C)(C)C2 ZINC000545556918 341130435 /nfs/dbraw/zinc/13/04/35/341130435.db2.gz MVFIBAOXUDYBNV-ZDUSSCGKSA-N 0 3 301.352 4.221 20 0 DIADHN CC[C@@H](N[C@H](CCO)C(C)(C)C)c1cc(Cl)ccc1OC ZINC000545599192 341132570 /nfs/dbraw/zinc/13/25/70/341132570.db2.gz CHJTWINYIQRHCW-GDBMZVCRSA-N 0 3 313.869 4.186 20 0 DIADHN CCSCCN[C@H](Cc1ccc(C)cc1)c1ccccn1 ZINC000545625535 341133928 /nfs/dbraw/zinc/13/39/28/341133928.db2.gz XPPNOJODKXLRMG-GOSISDBHSA-N 0 3 300.471 4.017 20 0 DIADHN C[C@H](NCc1ccccn1)C(c1ccccc1)c1ccccc1 ZINC000074314642 341143413 /nfs/dbraw/zinc/14/34/13/341143413.db2.gz MNUCWHMDLGZZNM-KRWDZBQOSA-N 0 3 302.421 4.392 20 0 DIADHN COc1ccc(CN[C@@]2(C)CCCc3ccccc32)cc1OC ZINC000545875826 341143820 /nfs/dbraw/zinc/14/38/20/341143820.db2.gz SJOJNDGJDDRGMO-FQEVSTJZSA-N 0 3 311.425 4.045 20 0 DIADHN COCCCCN1CCC[C@H]1c1cccc(Br)c1 ZINC000074350905 341144547 /nfs/dbraw/zinc/14/45/47/341144547.db2.gz FVEMXRUICIEMJJ-HNNXBMFYSA-N 0 3 312.251 4.013 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)o1 ZINC000545907294 341145483 /nfs/dbraw/zinc/14/54/83/341145483.db2.gz ZTMYEXZRJYKMHH-JFBPSJKJSA-N 0 3 303.446 4.088 20 0 DIADHN CC(C)(C)C[C@@H](NCc1ncccc1[O-])c1ccc(F)cc1 ZINC000564296738 341147065 /nfs/dbraw/zinc/14/70/65/341147065.db2.gz BDBYDGCQCSMTKM-OAHLLOKOSA-N 0 3 302.393 4.193 20 0 DIADHN CC(C)(C)C[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(F)cc1 ZINC000564296738 341147066 /nfs/dbraw/zinc/14/70/66/341147066.db2.gz BDBYDGCQCSMTKM-OAHLLOKOSA-N 0 3 302.393 4.193 20 0 DIADHN c1ccc([C@H](NC2CC(c3ccccc3)C2)c2cncnc2)cc1 ZINC000545982270 341149392 /nfs/dbraw/zinc/14/93/92/341149392.db2.gz WYMPWSPJILNBMQ-MNLRITNHSA-N 0 3 315.420 4.102 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(OC)c(OC)c1 ZINC000546165325 341155428 /nfs/dbraw/zinc/15/54/28/341155428.db2.gz DTIRJPIPYCXXEN-ZYHUDNBSSA-N 0 3 305.340 4.085 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)OCCCO2 ZINC000546166093 341155531 /nfs/dbraw/zinc/15/55/31/341155531.db2.gz JBKZYYQDNGCYTA-YPMHNXCESA-N 0 3 317.351 4.230 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1cncs1 ZINC000546166722 341155597 /nfs/dbraw/zinc/15/55/97/341155597.db2.gz CZTCODNXLSCQDL-ZETOZRRWSA-N 0 3 310.850 4.223 20 0 DIADHN C[C@@H]1C[C@@H](C)N1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000564300491 341155835 /nfs/dbraw/zinc/15/58/35/341155835.db2.gz RBOMHKYXWYSURU-HUUCEWRRSA-N 0 3 310.445 4.043 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1c(C)cccc1C ZINC000546223778 341157600 /nfs/dbraw/zinc/15/76/00/341157600.db2.gz JHWQMPABHIRLDX-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC)CCC3CC3)co2)cc1 ZINC000546227715 341158025 /nfs/dbraw/zinc/15/80/25/341158025.db2.gz MIWBNUMUKSJIBW-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](CC(C)C)C3)o2)cc1 ZINC000074994636 341159271 /nfs/dbraw/zinc/15/92/71/341159271.db2.gz XEOMIBJIJQKZJB-HZPDHXFCSA-N 0 3 313.445 4.474 20 0 DIADHN CCN(Cc1ccc(-c2nc(CCC3CC3)no2)cc1)C1CC1 ZINC000546532707 341172467 /nfs/dbraw/zinc/17/24/67/341172467.db2.gz JJYSBNGQFPOIBJ-UHFFFAOYSA-N 0 3 311.429 4.064 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(N3CCCC3)nc2)cc1 ZINC000133391097 341174460 /nfs/dbraw/zinc/17/44/60/341174460.db2.gz NNTFBGYCVOZSJV-UHFFFAOYSA-N 0 3 323.484 4.269 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCc2nc(C(C)(C)C)cs2)cn1 ZINC000133421022 341174987 /nfs/dbraw/zinc/17/49/87/341174987.db2.gz UTMHOWGAMDDRHH-CYBMUJFWSA-N 0 3 320.506 4.111 20 0 DIADHN CC[C@@H](C)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000546649276 341177229 /nfs/dbraw/zinc/17/72/29/341177229.db2.gz RWPWLCDZQKRHHZ-HIFRSBDPSA-N 0 3 300.471 4.304 20 0 DIADHN Cc1cccn2cc(CN[C@@H]3CCC[C@H]3c3ccccc3)nc12 ZINC000546655927 341177391 /nfs/dbraw/zinc/17/73/91/341177391.db2.gz GQOFQBONEYKEEI-RBUKOAKNSA-N 0 3 305.425 4.069 20 0 DIADHN Cc1cccn2cc(CN[C@H]3CCC[C@H]3c3ccccc3)nc12 ZINC000546655926 341177442 /nfs/dbraw/zinc/17/74/42/341177442.db2.gz GQOFQBONEYKEEI-OALUTQOASA-N 0 3 305.425 4.069 20 0 DIADHN COC(=O)c1ccc([C@H](C)N[C@H]2CCC[C@@H]2c2ccccc2)o1 ZINC000133559222 341178383 /nfs/dbraw/zinc/17/83/83/341178383.db2.gz UXEHJEMGEWVIOV-IMJJTQAJSA-N 0 3 313.397 4.053 20 0 DIADHN CC(C)c1nc([C@H](C)NCCc2c[nH]c3ncccc23)cs1 ZINC000546691630 341179190 /nfs/dbraw/zinc/17/91/90/341179190.db2.gz NAOVEWDZBNGRKG-LBPRGKRZSA-N 0 3 314.458 4.036 20 0 DIADHN Cn1cc(C(C)(C)NCc2cc(-c3ccccc3)cs2)cn1 ZINC000546703402 341179588 /nfs/dbraw/zinc/17/95/88/341179588.db2.gz PWMAOKUVHOGZPH-UHFFFAOYSA-N 0 3 311.454 4.174 20 0 DIADHN Fc1ccc([C@@H](NCCc2cccs2)c2ccccn2)cc1 ZINC000133660421 341181152 /nfs/dbraw/zinc/18/11/52/341181152.db2.gz FQZJVQPZXBOZEU-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN COC[C@@H](NCCCCSC)c1cccc(C(F)(F)F)c1 ZINC000133673389 341181325 /nfs/dbraw/zinc/18/13/25/341181325.db2.gz WEOWLNKKIQSCRG-CQSZACIVSA-N 0 3 321.408 4.126 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC[C@@H]4CCC[C@@H]43)co2)c1 ZINC000075768790 341182600 /nfs/dbraw/zinc/18/26/00/341182600.db2.gz XEODVLIMIYLMHS-GUYCJALGSA-N 0 3 300.377 4.245 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCC[C@@H](C(C)(C)C)CC1)c1nncn1C ZINC000564311359 341186413 /nfs/dbraw/zinc/18/64/13/341186413.db2.gz UGUIYODRALVQPN-OAGGEKHMSA-N 0 3 306.498 4.097 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)C(=O)N[C@@H](C)c1ccccc1 ZINC000546935519 341187571 /nfs/dbraw/zinc/18/75/71/341187571.db2.gz PEIWVRGSMQIBBO-OKZBNKHCSA-N 0 3 324.468 4.220 20 0 DIADHN CCN(CCC1CC1)[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000546935778 341187586 /nfs/dbraw/zinc/18/75/86/341187586.db2.gz PPHXNAXQOIRSRJ-CYBMUJFWSA-N 0 3 317.408 4.367 20 0 DIADHN CCN(CCC1CC1)Cc1ccccc1OCc1ccncc1 ZINC000546974010 341189290 /nfs/dbraw/zinc/18/92/90/341189290.db2.gz TYARCWCBOYMCGG-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CCSCCNCc1cccc(NC(=O)C2CCCCC2)c1 ZINC000547015711 341191702 /nfs/dbraw/zinc/19/17/02/341191702.db2.gz HQJVAJUNAZCLGI-UHFFFAOYSA-N 0 3 320.502 4.048 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1ccc(SC)c(OC)c1 ZINC000134080907 341206043 /nfs/dbraw/zinc/20/60/43/341206043.db2.gz DSPRIHYBWPXXKS-UKRRQHHQSA-N 0 3 311.491 4.273 20 0 DIADHN CCC[C@@H](NC1CC(OCc2ccccc2)C1)c1ccccn1 ZINC000547328206 341206074 /nfs/dbraw/zinc/20/60/74/341206074.db2.gz ZMLQZOKALLBZDR-AFMYVXGZSA-N 0 3 310.441 4.260 20 0 DIADHN c1cc(CN2CCC[C@@H](Cc3csc4ccccc34)C2)on1 ZINC000547345538 341207515 /nfs/dbraw/zinc/20/75/15/341207515.db2.gz MWULTMLQVBXKPR-AWEZNQCLSA-N 0 3 312.438 4.344 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCC([C@@](C)(O)C(F)(F)F)CC2)C1 ZINC000547344604 341207584 /nfs/dbraw/zinc/20/75/84/341207584.db2.gz DMRLAODKJWUJSS-IIAWOOMASA-N 0 3 321.427 4.228 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@H](C)c2nc3ccccc3n2C)s1 ZINC000134161411 341208206 /nfs/dbraw/zinc/20/82/06/341208206.db2.gz ALBPZMXICINIHN-TZMCWYRMSA-N 0 3 313.470 4.225 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2nc(-c3ccccc3)cs2)C1(C)C ZINC000237164290 341208274 /nfs/dbraw/zinc/20/82/74/341208274.db2.gz AJGJWNWMXXKKJH-QAPCUYQASA-N 0 3 316.470 4.103 20 0 DIADHN C[C@@H](CCNCc1nccn1Cc1ccccc1)c1ccccc1 ZINC000547374452 341209595 /nfs/dbraw/zinc/20/95/95/341209595.db2.gz HDFYUFLCOYAYDX-SFHVURJKSA-N 0 3 319.452 4.215 20 0 DIADHN COc1cccc(C(NC2CCCC2)c2cccc(OC)c2)c1 ZINC000237341934 341212112 /nfs/dbraw/zinc/21/21/12/341212112.db2.gz UHLPZKLKHYIYJX-UHFFFAOYSA-N 0 3 311.425 4.325 20 0 DIADHN COc1ccc([C@H](NCC2CC2)c2ccccc2Cl)cc1 ZINC000237355854 341212371 /nfs/dbraw/zinc/21/23/71/341212371.db2.gz PYXUVLSQPXDTGK-SFHVURJKSA-N 0 3 301.817 4.438 20 0 DIADHN CC(C)CC[C@@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000134383559 341214415 /nfs/dbraw/zinc/21/44/15/341214415.db2.gz RAZZWVRKWBTBFN-SFHVURJKSA-N 0 3 317.477 4.181 20 0 DIADHN C[C@@]1(CO)CCC[C@@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000237477150 341214686 /nfs/dbraw/zinc/21/46/86/341214686.db2.gz WFDWSEYMONOBAX-ROUUACIJSA-N 0 3 319.832 4.241 20 0 DIADHN COc1cccc(NC2CCN(Cc3ccc(C)cc3)CC2)c1 ZINC000237490956 341214961 /nfs/dbraw/zinc/21/49/61/341214961.db2.gz FYZCJKAFUQRSBB-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN CCSCCN1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 ZINC000134462682 341215853 /nfs/dbraw/zinc/21/58/53/341215853.db2.gz WORGQQQERBMEEM-SNVBAGLBSA-N 0 3 324.437 4.094 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@@H]2CCCS2)o1 ZINC000237653682 341217415 /nfs/dbraw/zinc/21/74/15/341217415.db2.gz JKEDJMYTUZGSIZ-HNNXBMFYSA-N 0 3 305.418 4.379 20 0 DIADHN Cc1ccc(CN2CCC(OCC3CCCCC3)CC2)c(C)n1 ZINC000547506298 341218733 /nfs/dbraw/zinc/21/87/33/341218733.db2.gz CEPKQFRQKMZKFA-UHFFFAOYSA-N 0 3 316.489 4.260 20 0 DIADHN CCOc1ccc([C@@H](C)NC[C@@H](C)C(F)(F)F)cc1OCC ZINC000547506793 341218763 /nfs/dbraw/zinc/21/87/63/341218763.db2.gz GCAJNCHOWMGJRW-VXGBXAGGSA-N 0 3 319.367 4.333 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCO[C@H]2CC(C)C)ccc1F ZINC000547508257 341218983 /nfs/dbraw/zinc/21/89/83/341218983.db2.gz IQQUUNRQFBWSSD-OWQGQXMQSA-N 0 3 309.425 4.079 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C1=CCCCCC1)c1ccsc1 ZINC000547511037 341219308 /nfs/dbraw/zinc/21/93/08/341219308.db2.gz RKBOZWLJZRFITE-QGZVFWFLSA-N 0 3 320.502 4.138 20 0 DIADHN Cc1ccc(CNCc2ccc(C(=O)OC(C)(C)C)cc2)cc1 ZINC000237746965 341219468 /nfs/dbraw/zinc/21/94/68/341219468.db2.gz MRFIJVYJWMZMKG-UHFFFAOYSA-N 0 3 311.425 4.240 20 0 DIADHN Clc1ccc(OC[C@@H]2CCCO2)c(CN[C@H]2CC=CCC2)c1 ZINC000237759873 341219985 /nfs/dbraw/zinc/21/99/85/341219985.db2.gz XXHHJONJVDUSLE-IRXDYDNUSA-N 0 3 321.848 4.096 20 0 DIADHN C[C@@H](CCc1ccccc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134653793 341221142 /nfs/dbraw/zinc/22/11/42/341221142.db2.gz HJJJKSOFVXQABN-KBPBESRZSA-N 0 3 300.471 4.304 20 0 DIADHN C[C@H](CCc1ccccc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000134653856 341221188 /nfs/dbraw/zinc/22/11/88/341221188.db2.gz HJJJKSOFVXQABN-ZIAGYGMSSA-N 0 3 300.471 4.304 20 0 DIADHN C[C@@H](CNC(=O)N(c1ccccc1)c1ccccc1)N1CCCC1 ZINC000547714655 341228339 /nfs/dbraw/zinc/22/83/39/341228339.db2.gz GHGXJZUUCRYSSU-KRWDZBQOSA-N 0 3 323.440 4.019 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)[C@H](C)c2ccsc2)c1 ZINC000078061953 341235005 /nfs/dbraw/zinc/23/50/05/341235005.db2.gz GEHKYOMAVRUJOX-CQSZACIVSA-N 0 3 316.470 4.332 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1cccc(OC(F)(F)F)c1 ZINC000547829836 341235975 /nfs/dbraw/zinc/23/59/75/341235975.db2.gz NCHPMRWNBGTXBZ-DTWKUNHWSA-N 0 3 315.257 4.434 20 0 DIADHN CC(C)n1ccc(CN[C@H](C)C2(c3ccccc3)CCCC2)n1 ZINC000547841447 341236904 /nfs/dbraw/zinc/23/69/04/341236904.db2.gz ZBEMSVPVYAQVNJ-QGZVFWFLSA-N 0 3 311.473 4.454 20 0 DIADHN CCC[C@H](NCCC(=O)NC(C)(C)C)c1ccc(Cl)cc1 ZINC000135683963 341244069 /nfs/dbraw/zinc/24/40/69/341244069.db2.gz MWZKSEDJMPRYEX-HNNXBMFYSA-N 0 3 310.869 4.076 20 0 DIADHN COc1cccc(C2CCN(CCC(=O)c3ccccc3)CC2)c1 ZINC000548078503 341245938 /nfs/dbraw/zinc/24/59/38/341245938.db2.gz BMSSWIGQIBENKU-UHFFFAOYSA-N 0 3 323.436 4.148 20 0 DIADHN C[C@H](c1cccc(NC(=O)c2ccccc2)c1)N1[C@H](C)C[C@H]1C ZINC000548098657 341247112 /nfs/dbraw/zinc/24/71/12/341247112.db2.gz SZXKMLYPQAOAIE-BZUAXINKSA-N 0 3 308.425 4.483 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)cc1F ZINC000135857118 341247405 /nfs/dbraw/zinc/24/74/05/341247405.db2.gz GBCHEFRIMJVSIS-ZJUUUORDSA-N 0 3 311.269 4.315 20 0 DIADHN c1ncc(CNCCc2ccc(OCc3ccccc3)cc2)s1 ZINC000135934060 341248587 /nfs/dbraw/zinc/24/85/87/341248587.db2.gz TWSOPIJFXQVKIQ-UHFFFAOYSA-N 0 3 324.449 4.054 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@H](C)C(F)(F)F)oc21 ZINC000548157598 341250230 /nfs/dbraw/zinc/25/02/30/341250230.db2.gz VHJKPUFUJQOXTF-ZJUUUORDSA-N 0 3 301.308 4.290 20 0 DIADHN CC(C)Oc1ccc(CCN[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000548162499 341250571 /nfs/dbraw/zinc/25/05/71/341250571.db2.gz RHLFNMMBNQSUPM-CQSZACIVSA-N 0 3 318.848 4.416 20 0 DIADHN CC(C)(C)C1CCC([NH2+][C@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000548176136 341251726 /nfs/dbraw/zinc/25/17/26/341251726.db2.gz PRIQIQHOVFZPNN-JCYILVPMSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)(C)C1CCC(N[C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000548176136 341251727 /nfs/dbraw/zinc/25/17/27/341251727.db2.gz PRIQIQHOVFZPNN-JCYILVPMSA-N 0 3 312.461 4.089 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nnc(C4CC4)o3)[C@@H]3CCCC[C@@H]23)cc1 ZINC000548275698 341253930 /nfs/dbraw/zinc/25/39/30/341253930.db2.gz TUPQMRDCYTYHSI-OKZBNKHCSA-N 0 3 323.440 4.105 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1ccc(Br)cc1F ZINC000136345053 341257115 /nfs/dbraw/zinc/25/71/15/341257115.db2.gz QRZOMZPPYPOKKC-GXSJLCMTSA-N 0 3 318.255 4.134 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1csc(-c2ncccn2)n1 ZINC000548402919 341258945 /nfs/dbraw/zinc/25/89/45/341258945.db2.gz GZJJKXRSYLYODR-ZFWWWQNUSA-N 0 3 316.474 4.001 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1csc(-c2ncccn2)n1 ZINC000548402918 341259025 /nfs/dbraw/zinc/25/90/25/341259025.db2.gz GZJJKXRSYLYODR-UKRRQHHQSA-N 0 3 316.474 4.001 20 0 DIADHN O=C(Nc1cccc(CNCCCF)c1)c1ccccc1Cl ZINC000136503890 341260750 /nfs/dbraw/zinc/26/07/50/341260750.db2.gz BPDNPSMGLCPJQL-UHFFFAOYSA-N 0 3 320.795 4.042 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000548485380 341263353 /nfs/dbraw/zinc/26/33/53/341263353.db2.gz UZYBTSWRDGEYJF-DTWKUNHWSA-N 0 3 315.257 4.434 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3[nH]cnc3c1)CC2 ZINC000548627649 341267822 /nfs/dbraw/zinc/26/78/22/341267822.db2.gz GRXXMYJFGRGGKH-GOSISDBHSA-N 0 3 305.425 4.463 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3nc[nH]c3c1)CC2 ZINC000548627649 341267823 /nfs/dbraw/zinc/26/78/23/341267823.db2.gz GRXXMYJFGRGGKH-GOSISDBHSA-N 0 3 305.425 4.463 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2nc(C)cs2)cc1 ZINC000080270982 341272024 /nfs/dbraw/zinc/27/20/24/341272024.db2.gz QRDTVHYBFITDRY-OCCSQVGLSA-N 0 3 304.459 4.132 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cccc3cc[nH]c32)o1 ZINC000137087501 341272124 /nfs/dbraw/zinc/27/21/24/341272124.db2.gz GFCKXKVJIIMZCB-KRWDZBQOSA-N 0 3 310.397 4.244 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2ccc(Cl)cc2)cc1O ZINC000080962059 341277229 /nfs/dbraw/zinc/27/72/29/341277229.db2.gz XBXVSIMBMZOTQG-GFCCVEGCSA-N 0 3 305.805 4.247 20 0 DIADHN c1csc(C(N[C@H]2CCC23CCOCC3)c2cccs2)c1 ZINC000548859193 341278577 /nfs/dbraw/zinc/27/85/77/341278577.db2.gz LLLKOHRQOJTHMZ-HNNXBMFYSA-N 0 3 319.495 4.448 20 0 DIADHN CC(C)(CNCc1ccc(F)c2cccnc12)C(F)(F)F ZINC000548859166 341278586 /nfs/dbraw/zinc/27/85/86/341278586.db2.gz SGEYGFHFOUAZGG-UHFFFAOYSA-N 0 3 300.299 4.052 20 0 DIADHN Clc1cccc(CN[C@H]2CCC23CCOCC3)c1Cl ZINC000548860847 341278669 /nfs/dbraw/zinc/27/86/69/341278669.db2.gz ZQHOLJPYXURLJK-ZDUSSCGKSA-N 0 3 300.229 4.042 20 0 DIADHN CO[C@@H](CN1CC[C@@H]1c1ccccc1)c1ccc(Cl)cc1 ZINC000548918458 341281055 /nfs/dbraw/zinc/28/10/55/341281055.db2.gz SQVIRVYGAVZPBV-MSOLQXFVSA-N 0 3 301.817 4.475 20 0 DIADHN CC1=C(c2ccco2)CN(C[C@@H]2CCC3(CCCC3)O2)CC1 ZINC000548930018 341281303 /nfs/dbraw/zinc/28/13/03/341281303.db2.gz LDCCSIFNLGPRTF-INIZCTEOSA-N 0 3 301.430 4.251 20 0 DIADHN COc1ccc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cn1 ZINC000548930115 341281434 /nfs/dbraw/zinc/28/14/34/341281434.db2.gz GSEJNBLARWMLGJ-REPLKXPHSA-N 0 3 308.425 4.001 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CC[C@H](C)[C@H]3CCCC[C@@H]32)c1 ZINC000548937708 341281730 /nfs/dbraw/zinc/28/17/30/341281730.db2.gz OHLYCQKPLUUZEN-WDYCEAGBSA-N 0 3 314.473 4.143 20 0 DIADHN CC(C)(CNCc1cnc(C2CCCC2)s1)C(F)(F)F ZINC000548972790 341282591 /nfs/dbraw/zinc/28/25/91/341282591.db2.gz PYQYCJCMHLBKQM-UHFFFAOYSA-N 0 3 306.397 4.479 20 0 DIADHN OC[C@H](CC1CCCC1)NCc1cnc(C2CCCCC2)s1 ZINC000548972887 341282509 /nfs/dbraw/zinc/28/25/09/341282509.db2.gz JXESLNLFOFOQFD-INIZCTEOSA-N 0 3 322.518 4.222 20 0 DIADHN Cc1ccc(F)cc1CN[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC000548992817 341283156 /nfs/dbraw/zinc/28/31/56/341283156.db2.gz DEHYHQPZUUBCQY-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN FC(F)O[C@H]1CCN(CCCSc2ccccc2Cl)C1 ZINC000549077646 341285459 /nfs/dbraw/zinc/28/54/59/341285459.db2.gz CUZVEZYTKIJEEZ-NSHDSACASA-N 0 3 321.820 4.136 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC[C@H]1CCC2(CCCC2)O1 ZINC000549131651 341286647 /nfs/dbraw/zinc/28/66/47/341286647.db2.gz SPWYHUWEDNBZRB-GDBMZVCRSA-N 0 3 319.493 4.089 20 0 DIADHN Fc1cccc([C@H]2CCN(C[C@@H]3CCC4(CCCC4)O3)C2)c1 ZINC000549137111 341286682 /nfs/dbraw/zinc/28/66/82/341286682.db2.gz KDQSXRJRAXOEMH-WMZOPIPTSA-N 0 3 303.421 4.107 20 0 DIADHN COc1ccc(CN2CC[C@H](C(F)(F)F)C3(CCC3)C2)cc1 ZINC000549174898 341288088 /nfs/dbraw/zinc/28/80/88/341288088.db2.gz WBJVRUHOAVEWII-HNNXBMFYSA-N 0 3 313.363 4.250 20 0 DIADHN CCc1nc2cc(CNCc3ccc(F)cc3)ccc2n1C1CC1 ZINC000549247001 341289528 /nfs/dbraw/zinc/28/95/28/341289528.db2.gz BRHFWRYVHPLHTR-UHFFFAOYSA-N 0 3 323.415 4.363 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)c1sccc1F ZINC000549339948 341291577 /nfs/dbraw/zinc/29/15/77/341291577.db2.gz OIGLYZPMUNZCCI-UHFFFAOYSA-N 0 3 318.417 4.125 20 0 DIADHN COCCN(C[C@H](OC)c1ccccc1)[C@@H](C)c1ccccc1 ZINC000549349321 341292060 /nfs/dbraw/zinc/29/20/60/341292060.db2.gz XRWCFWNPKVDYKL-PXNSSMCTSA-N 0 3 313.441 4.084 20 0 DIADHN COc1ccc(CN(C[C@H](OC)c2ccccc2)C2CC2)cc1 ZINC000549350315 341292129 /nfs/dbraw/zinc/29/21/29/341292129.db2.gz SSGMJWZJCIEWGM-FQEVSTJZSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3cnn(C)c3)s2)cc1 ZINC000549388223 341293118 /nfs/dbraw/zinc/29/31/18/341293118.db2.gz DCBQYJPEHZFXFI-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CCCC(C)(C)N(CC(=O)N[C@@H](C)CC)Cc1cccs1 ZINC000549408888 341293572 /nfs/dbraw/zinc/29/35/72/341293572.db2.gz OQNCKYACXDMCRC-AWEZNQCLSA-N 0 3 310.507 4.044 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@H](C)[C@H](C)c1ccccc1 ZINC000245476849 341296205 /nfs/dbraw/zinc/29/62/05/341296205.db2.gz TYHXRFPETVMVPW-BBWFWOEESA-N 0 3 310.441 4.104 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@H](OC)c2ccccc2Cl)nc1 ZINC000549538040 341296970 /nfs/dbraw/zinc/29/69/70/341296970.db2.gz WNWCUOXUGWVAIG-UGSOOPFHSA-N 0 3 318.848 4.163 20 0 DIADHN C[C@H]1CN(Cc2cccc3[nH]ccc32)C[C@@H](c2ccccc2)O1 ZINC000245551316 341301554 /nfs/dbraw/zinc/30/15/54/341301554.db2.gz BCEXVZINIYQBJY-YWZLYKJASA-N 0 3 306.409 4.130 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)NCc1conc1C ZINC000549754422 341302281 /nfs/dbraw/zinc/30/22/81/341302281.db2.gz HDCOFVXAAYNUEG-AWEZNQCLSA-N 0 3 313.228 4.401 20 0 DIADHN c1onc(Cc2ccccc2)c1CNC[C@@H]1CCc2ccccc21 ZINC000549755520 341302292 /nfs/dbraw/zinc/30/22/92/341302292.db2.gz QLYBQAWJDNDBDP-SFHVURJKSA-N 0 3 318.420 4.085 20 0 DIADHN Cc1cccc([C@H](C)CNCc2conc2Cc2ccccc2)c1 ZINC000549764836 341302507 /nfs/dbraw/zinc/30/25/07/341302507.db2.gz UVLMHTSEYUJWMZ-QGZVFWFLSA-N 0 3 320.436 4.467 20 0 DIADHN Cc1ccc(CN2CC[C@@H]2c2ccccc2)c(-c2cnn(C)c2)c1 ZINC000549783338 341302986 /nfs/dbraw/zinc/30/29/86/341302986.db2.gz KFYSQUGBSQYIAD-OAQYLSRUSA-N 0 3 317.436 4.343 20 0 DIADHN Cn1cc(-c2ccc(CN(Cc3ccccc3)C3CC3)s2)cn1 ZINC000549785562 341303127 /nfs/dbraw/zinc/30/31/27/341303127.db2.gz XSKLCQUEANAMFE-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000245583389 341303252 /nfs/dbraw/zinc/30/32/52/341303252.db2.gz IJNBELODEWWZPB-MJEQTWJJSA-N 0 3 304.821 4.029 20 0 DIADHN CC1CN(Cc2cccc(NC(=O)c3ccccc3Cl)c2)C1 ZINC000549808050 341303602 /nfs/dbraw/zinc/30/36/02/341303602.db2.gz HGNGLKIVXYNMSJ-UHFFFAOYSA-N 0 3 314.816 4.044 20 0 DIADHN CCOc1cccc(CNCc2ccc(C(C)(F)F)cc2)c1 ZINC000549831427 341304540 /nfs/dbraw/zinc/30/45/40/341304540.db2.gz WWVUHAQJZXABBU-UHFFFAOYSA-N 0 3 305.368 4.487 20 0 DIADHN CCOCC(C)(C)NCc1cnc(-c2ccc(C)cc2)s1 ZINC000549858855 341305179 /nfs/dbraw/zinc/30/51/79/341305179.db2.gz OAMKQFDLJSBWMX-UHFFFAOYSA-N 0 3 304.459 4.023 20 0 DIADHN COC1(CCN2CCC(=Cc3ccccc3F)CC2)CCC1 ZINC000549865746 341305480 /nfs/dbraw/zinc/30/54/80/341305480.db2.gz BECMVWLRWQAJJV-UHFFFAOYSA-N 0 3 303.421 4.264 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccccc2Cl)o1)C(C)(C)O ZINC000549911283 341306332 /nfs/dbraw/zinc/30/63/32/341306332.db2.gz RHUMNEDQMRIELZ-INIZCTEOSA-N 0 3 307.821 4.239 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCCN2C[C@H]2CCCO2)cc1 ZINC000549918820 341306558 /nfs/dbraw/zinc/30/65/58/341306558.db2.gz LJHIORSIZCMOCV-CVEARBPZSA-N 0 3 313.363 4.411 20 0 DIADHN CCCc1csc(CNCCc2ccc(Cl)c(OC)c2)n1 ZINC000549924858 341306859 /nfs/dbraw/zinc/30/68/59/341306859.db2.gz RARBDUGGEICTQG-UHFFFAOYSA-N 0 3 324.877 4.090 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1cnccn1 ZINC000549989040 341308314 /nfs/dbraw/zinc/30/83/14/341308314.db2.gz YNBNFCUMCNUFDM-KSSFIOAISA-N 0 3 317.864 4.438 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000549997328 341308342 /nfs/dbraw/zinc/30/83/42/341308342.db2.gz JLRKWKGQFFLEIS-RTWAWAEBSA-N 0 3 324.468 4.387 20 0 DIADHN COC1(CCN(CCC(F)(F)F)Cc2ccccc2)CCC1 ZINC000549997121 341308386 /nfs/dbraw/zinc/30/83/86/341308386.db2.gz YOSBOFJOKXLPAP-UHFFFAOYSA-N 0 3 315.379 4.400 20 0 DIADHN C[C@@H]1CCN([C@H]2CCc3c2cccc3Cl)C[C@@H]1n1ccnc1 ZINC000245681997 341309216 /nfs/dbraw/zinc/30/92/16/341309216.db2.gz PGWFKDUMSHTVEN-BVGQSLNGSA-N 0 3 315.848 4.107 20 0 DIADHN C[C@H](CNCc1conc1Cc1ccccc1)c1ccccc1 ZINC000550075252 341309569 /nfs/dbraw/zinc/30/95/69/341309569.db2.gz SRDQQMMFMQKXKG-MRXNPFEDSA-N 0 3 306.409 4.159 20 0 DIADHN Cc1cc(Cl)cc(CNCCc2nc3c(cccc3C)o2)c1 ZINC000550153369 341311085 /nfs/dbraw/zinc/31/10/85/341311085.db2.gz DZBXEKXIKNORGN-UHFFFAOYSA-N 0 3 314.816 4.430 20 0 DIADHN Cc1sccc1CN[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1 ZINC000550199074 341312190 /nfs/dbraw/zinc/31/21/90/341312190.db2.gz SSHUZGZSBRRKHP-HZPDHXFCSA-N 0 3 307.846 4.330 20 0 DIADHN CC(F)(F)c1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000550225929 341313020 /nfs/dbraw/zinc/31/30/20/341313020.db2.gz YXFIRBHVRSTZOL-UHFFFAOYSA-N 0 3 315.363 4.364 20 0 DIADHN C[C@H](NCCc1cc(C(F)(F)F)ccn1)c1ccccc1F ZINC000550271689 341314061 /nfs/dbraw/zinc/31/40/61/341314061.db2.gz UNOURVVCWGOXMF-NSHDSACASA-N 0 3 312.310 4.133 20 0 DIADHN FC(F)(F)c1ccnc(CCNCc2ccc(Cl)s2)c1 ZINC000550271713 341314076 /nfs/dbraw/zinc/31/40/76/341314076.db2.gz WTAXKARNDXHEQP-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1ccccc1Br ZINC000245776976 341314388 /nfs/dbraw/zinc/31/43/88/341314388.db2.gz PKYRXBDFICDMGH-ZCDTZLGTSA-N 0 3 324.262 4.057 20 0 DIADHN CC[C@@H](NCc1csc(-c2ccc(Cl)cc2)n1)C(C)(C)O ZINC000550292740 341314588 /nfs/dbraw/zinc/31/45/88/341314588.db2.gz BVGVZJTUGXHGTR-CQSZACIVSA-N 0 3 324.877 4.103 20 0 DIADHN COc1cc(CCNCc2cnc(C(C)C)s2)ccc1Cl ZINC000550311590 341314901 /nfs/dbraw/zinc/31/49/01/341314901.db2.gz MHOXKDKZUSPBAW-UHFFFAOYSA-N 0 3 324.877 4.261 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cccc(F)c1 ZINC000245832883 341315642 /nfs/dbraw/zinc/31/56/42/341315642.db2.gz BYTZIQIYCUAXBN-NBHSMZAVSA-N 0 3 321.342 4.285 20 0 DIADHN C[C@@H](NC[C@H](C[C@H](C)O)c1ccccc1)c1cccc(F)c1F ZINC000245842650 341315907 /nfs/dbraw/zinc/31/59/07/341315907.db2.gz DWVBXCOOWBGBDP-LZWOXQAQSA-N 0 3 319.395 4.170 20 0 DIADHN Fc1cccc(-c2cnc(CN3CC[C@@H](CC4CC4)C3)o2)c1 ZINC000550409423 341316446 /nfs/dbraw/zinc/31/64/46/341316446.db2.gz GTXMHLFLDCGLBN-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccncc2)C12CCC2 ZINC000245871663 341316789 /nfs/dbraw/zinc/31/67/89/341316789.db2.gz JKWQCCKOMOPKLU-XUVXKRRUSA-N 0 3 322.452 4.108 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2c(C)noc2C)C12CCCC2 ZINC000245871830 341316875 /nfs/dbraw/zinc/31/68/75/341316875.db2.gz JNKQYYBBPRPJSJ-HRCADAONSA-N 0 3 306.450 4.070 20 0 DIADHN CC[C@H](N[C@H](C)c1cc2cccc(OC)c2o1)[C@H]1CCCO1 ZINC000245891548 341317434 /nfs/dbraw/zinc/31/74/34/341317434.db2.gz MFUAKQUCHZVSQY-VHDGCEQUSA-N 0 3 303.402 4.050 20 0 DIADHN CC[C@H](N[C@H](C)c1cc2cccc(OC)c2o1)[C@@H]1CCCO1 ZINC000245891540 341317534 /nfs/dbraw/zinc/31/75/34/341317534.db2.gz MFUAKQUCHZVSQY-SNPRPXQTSA-N 0 3 303.402 4.050 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1cccc(F)c1F ZINC000245907273 341317839 /nfs/dbraw/zinc/31/78/39/341317839.db2.gz OUIRWKXBLDTULL-XZOAIXRZSA-N 0 3 303.352 4.146 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)c2cccc(C3CC3)c2)c1C ZINC000550605584 341319205 /nfs/dbraw/zinc/31/92/05/341319205.db2.gz PMECLAHIJMSQHD-INIZCTEOSA-N 0 3 322.452 4.470 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H](C)[C@H]3CCCO3)oc21 ZINC000245948190 341319353 /nfs/dbraw/zinc/31/93/53/341319353.db2.gz VZEMHLSLGIHCRH-VNHYZAJKSA-N 0 3 303.402 4.050 20 0 DIADHN FC(F)(F)c1csc(CN[C@@H]2CCCC23CCCC3)n1 ZINC000550666010 341320961 /nfs/dbraw/zinc/32/09/61/341320961.db2.gz TTYMRGQKAMAPRO-SNVBAGLBSA-N 0 3 304.381 4.364 20 0 DIADHN Cn1c2ccccc2nc1[C@@H]1CCCN1C[C@@H]1CCC(F)(F)C1 ZINC000550682313 341321338 /nfs/dbraw/zinc/32/13/38/341321338.db2.gz XWKCNPOUVQKOFM-CJNGLKHVSA-N 0 3 319.399 4.146 20 0 DIADHN Cn1c2ccccc2nc1[C@H]1CCCN1C[C@@H]1CCC(F)(F)C1 ZINC000550682314 341321388 /nfs/dbraw/zinc/32/13/88/341321388.db2.gz XWKCNPOUVQKOFM-CZUORRHYSA-N 0 3 319.399 4.146 20 0 DIADHN CN1CC[C@@H](NCc2cc(Cl)ccc2F)[C@H]1c1ccccc1 ZINC000550685491 341321528 /nfs/dbraw/zinc/32/15/28/341321528.db2.gz XQNWMZKJNYKGGH-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCCCC23CCCC3)s1 ZINC000550700104 341321573 /nfs/dbraw/zinc/32/15/73/341321573.db2.gz BFSYYVUMSGHGKY-CYBMUJFWSA-N 0 3 307.507 4.428 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCCCC23CCCC3)s1 ZINC000550700105 341321611 /nfs/dbraw/zinc/32/16/11/341321611.db2.gz BFSYYVUMSGHGKY-ZDUSSCGKSA-N 0 3 307.507 4.428 20 0 DIADHN Cc1cc(CN2CCC(OCCC(C)C)CC2)cc(C)c1O ZINC000550764083 341322560 /nfs/dbraw/zinc/32/25/60/341322560.db2.gz HBKMWDCDOJJCGG-UHFFFAOYSA-N 0 3 305.462 4.036 20 0 DIADHN COc1ccc(F)cc1N[C@@H]1CCN(C)[C@H](c2ccccc2)C1 ZINC000550760179 341322680 /nfs/dbraw/zinc/32/26/80/341322680.db2.gz UOOCJMYTWITIGQ-AEFFLSMTSA-N 0 3 314.404 4.082 20 0 DIADHN C[C@H](C1CC1)n1cc(N[C@H]2CCN(C)[C@H](c3ccccc3)C2)cn1 ZINC000550795854 341323079 /nfs/dbraw/zinc/32/30/79/341323079.db2.gz LLSVFDNSXOTEQV-BPAFIMBUSA-N 0 3 324.472 4.102 20 0 DIADHN C[C@@H](NCCn1ccc2cc(Cl)ccc21)c1ccncc1F ZINC000550819275 341323593 /nfs/dbraw/zinc/32/35/93/341323593.db2.gz VYUIGILLOXPIOP-GFCCVEGCSA-N 0 3 317.795 4.180 20 0 DIADHN Cc1ccccc1NC(=O)C[C@H](C)NCc1coc2ccccc12 ZINC000550828017 341323856 /nfs/dbraw/zinc/32/38/56/341323856.db2.gz VFVYPKXYZBORPP-HNNXBMFYSA-N 0 3 322.408 4.248 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@@H](C)c1ccc(SCC)cc1 ZINC000550829175 341323985 /nfs/dbraw/zinc/32/39/85/341323985.db2.gz SYOILJVAPQKOLB-CABCVRRESA-N 0 3 322.518 4.002 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000550858509 341324792 /nfs/dbraw/zinc/32/47/92/341324792.db2.gz YXPBWPHXXAPOKF-MEDUHNTESA-N 0 3 302.805 4.169 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc(OC(F)F)cc2)ccn1 ZINC000550885434 341325474 /nfs/dbraw/zinc/32/54/74/341325474.db2.gz HAIAKKVYZMNOQR-NWDGAFQWSA-N 0 3 322.355 4.103 20 0 DIADHN C[C@@H](NC(C)(C)CN[C@@H](C)c1ccncc1F)c1ccccc1 ZINC000550886616 341325622 /nfs/dbraw/zinc/32/56/22/341325622.db2.gz JRCHHQSVMWRRQM-CABCVRRESA-N 0 3 315.436 4.001 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@H](Cc1cccnc1)c1ccc(Cl)cc1 ZINC000550928197 341326981 /nfs/dbraw/zinc/32/69/81/341326981.db2.gz LRXCUGVFTKJELB-RCCFBDPRSA-N 0 3 318.823 4.499 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1ccc(Cl)c(OC)c1 ZINC000550930799 341327086 /nfs/dbraw/zinc/32/70/86/341327086.db2.gz JNHUERBGMRHCMQ-CYBMUJFWSA-N 0 3 318.848 4.199 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2c3cccc(F)c3CC[C@H]2C)ccn1 ZINC000550938187 341327402 /nfs/dbraw/zinc/32/74/02/341327402.db2.gz RIATUIUGPCGODL-NQCMUKECSA-N 0 3 314.404 4.204 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](c2ccc(F)c(C)c2)C2CC2)ccn1 ZINC000550939092 341327483 /nfs/dbraw/zinc/32/74/83/341327483.db2.gz CWVLNCWAFXLJFI-BFUOFWGJSA-N 0 3 314.404 4.340 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cc(C)c(O)c(C)c2)o1 ZINC000550954537 341327766 /nfs/dbraw/zinc/32/77/66/341327766.db2.gz VFUDWOBELRYTOY-QGZVFWFLSA-N 0 3 315.413 4.086 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H](C)c1cccc(C2CC2)c1 ZINC000551015261 341329309 /nfs/dbraw/zinc/32/93/09/341329309.db2.gz VBNJZMTVPLJFQS-NEPJUHHUSA-N 0 3 301.352 4.182 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1ccncc1F ZINC000551084190 341331025 /nfs/dbraw/zinc/33/10/25/341331025.db2.gz SFIGEBVJFDTVFX-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN C[C@@H](N[C@H]1COc2ccccc2C1)c1cc2cccc(F)c2o1 ZINC000551175290 341333107 /nfs/dbraw/zinc/33/31/07/341333107.db2.gz KREBVRNYVCHSCK-IUODEOHRSA-N 0 3 311.356 4.226 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@@H](C)c1cc2ccccc2s1 ZINC000551209470 341333932 /nfs/dbraw/zinc/33/39/32/341333932.db2.gz CXZZRBGIMBAIHU-KBPBESRZSA-N 0 3 318.486 4.104 20 0 DIADHN COCC1(NC/C(C)=C\c2ccccc2C(F)(F)F)CCC1 ZINC000551214721 341334130 /nfs/dbraw/zinc/33/41/30/341334130.db2.gz BYUQWLYPTFEAIY-RAXLEYEMSA-N 0 3 313.363 4.267 20 0 DIADHN CCOC1CC(NCc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC000551230754 341334545 /nfs/dbraw/zinc/33/45/45/341334545.db2.gz CVPPTLZYDHMPSV-UHFFFAOYSA-N 0 3 322.861 4.121 20 0 DIADHN CC(C)n1nccc1CNCCCCc1ccc(Cl)s1 ZINC000551232026 341334662 /nfs/dbraw/zinc/33/46/62/341334662.db2.gz WJVRTIBIZOBNOO-UHFFFAOYSA-N 0 3 311.882 4.291 20 0 DIADHN CCOc1ccc(CNCc2cccc(COC)c2)cc1Cl ZINC000551234726 341334666 /nfs/dbraw/zinc/33/46/66/341334666.db2.gz BYYRMTHMUGHPQA-UHFFFAOYSA-N 0 3 319.832 4.175 20 0 DIADHN CCc1noc(C)c1CN[C@H](C)CC(C)(C)c1ccccc1 ZINC000551243449 341335295 /nfs/dbraw/zinc/33/52/95/341335295.db2.gz HRCXWFBCOLVCPS-CQSZACIVSA-N 0 3 300.446 4.391 20 0 DIADHN COC1(CCN[C@H](C)c2cc(C)ccc2OC(F)F)CCC1 ZINC000551246754 341335420 /nfs/dbraw/zinc/33/54/20/341335420.db2.gz XQOOEOZEMQBYLR-CYBMUJFWSA-N 0 3 313.388 4.206 20 0 DIADHN CCc1noc(C)c1CN[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000551246692 341335522 /nfs/dbraw/zinc/33/55/22/341335522.db2.gz WEDICUVFADNNQE-NWDGAFQWSA-N 0 3 306.837 4.481 20 0 DIADHN Cc1ccc(OCCCCN[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000551267857 341336244 /nfs/dbraw/zinc/33/62/44/341336244.db2.gz KPBOZBUGOKHEAZ-KRWDZBQOSA-N 0 3 323.440 4.153 20 0 DIADHN C[C@H](NC[C@@H](CO)C1CCCCC1)c1ccc2ccccc2n1 ZINC000551273900 341336686 /nfs/dbraw/zinc/33/66/86/341336686.db2.gz FJTVRVBTVGHOKU-YJBOKZPZSA-N 0 3 312.457 4.074 20 0 DIADHN c1ccc([C@H](NC[C@@H]2C[C@H]2C2CCCCC2)c2cncnc2)cc1 ZINC000551274060 341336689 /nfs/dbraw/zinc/33/66/89/341336689.db2.gz ANKJNYSPMFUFKC-JBACZVJFSA-N 0 3 321.468 4.372 20 0 DIADHN CCCNc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1 ZINC000551278097 341336850 /nfs/dbraw/zinc/33/68/50/341336850.db2.gz LIRDSEXPSCDSAO-IBGZPJMESA-N 0 3 310.441 4.381 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1cn2ccccc2n1 ZINC000551284026 341336964 /nfs/dbraw/zinc/33/69/64/341336964.db2.gz YJXUGFHAMRLWMQ-WDSOQIARSA-N 0 3 319.452 4.396 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2c3ccccc3CCC[C@H]2C)ccn1 ZINC000551279764 341336986 /nfs/dbraw/zinc/33/69/86/341336986.db2.gz JFVGTOLLCSYIFL-SIFCLUCFSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@H](NCC1(Cc2ccc(F)cc2)CC1)c1cn2ccccc2n1 ZINC000551317050 341338525 /nfs/dbraw/zinc/33/85/25/341338525.db2.gz RSKKNTVVKFFCTF-HNNXBMFYSA-N 0 3 323.415 4.147 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)c1ccc2c(c1)CCC=C2 ZINC000551346346 341339257 /nfs/dbraw/zinc/33/92/57/341339257.db2.gz VKFXPIVYXNWMPT-UHFFFAOYSA-N 0 3 320.436 4.002 20 0 DIADHN Cc1ccc([C@H](C)NCCC(=O)Nc2cccc(Cl)c2C)o1 ZINC000152670048 341340113 /nfs/dbraw/zinc/34/01/13/341340113.db2.gz MENFCGYLOPGQPS-ZDUSSCGKSA-N 0 3 320.820 4.229 20 0 DIADHN FC(F)(F)CC1CCN(CCCCC2CCOCC2)CC1 ZINC000551404887 341340760 /nfs/dbraw/zinc/34/07/60/341340760.db2.gz DADIQFUWESKBFP-UHFFFAOYSA-N 0 3 307.400 4.248 20 0 DIADHN CC(C)(C)CSC[C@H]1CN(Cc2ccccc2)CCCO1 ZINC000551458250 341342202 /nfs/dbraw/zinc/34/22/02/341342202.db2.gz RQKMCAJGFCZSRR-QGZVFWFLSA-N 0 3 307.503 4.057 20 0 DIADHN COCc1nc(CN[C@H]2CCC2(C)C)c(-c2ccccc2)s1 ZINC000551516687 341343547 /nfs/dbraw/zinc/34/35/47/341343547.db2.gz XLAYOTRGDHROKE-HNNXBMFYSA-N 0 3 316.470 4.235 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2C[C@@H]2Cc2ccccc2)cc1Cl ZINC000551530043 341344095 /nfs/dbraw/zinc/34/40/95/341344095.db2.gz MJENXGLMIQFZCF-RVSPLBMKSA-N 0 3 316.832 4.025 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCC[C@H](O)C(C)C)cc1Cl ZINC000551532919 341344287 /nfs/dbraw/zinc/34/42/87/341344287.db2.gz IXCQZEDRXDIMOP-CJNGLKHVSA-N 0 3 313.869 4.185 20 0 DIADHN CC1(C)CC[C@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000551534949 341344482 /nfs/dbraw/zinc/34/44/82/341344482.db2.gz ZQWQIANIEBXONM-QZTJIDSGSA-N 0 3 308.425 4.145 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(F)cc1OC(F)F)[C@H]1CCCO1 ZINC000246366809 341345154 /nfs/dbraw/zinc/34/51/54/341345154.db2.gz IZPJROMPNHGQFE-DDTOSNHZSA-N 0 3 317.351 4.035 20 0 DIADHN Cn1ccc(CNCc2cnc(-c3ccc(Cl)cc3)s2)c1 ZINC000551569425 341345716 /nfs/dbraw/zinc/34/57/16/341345716.db2.gz HQEIGBZVJZLAMY-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCC[C@H]3[C@@H]3CCCO3)o2)cc1 ZINC000551570474 341345857 /nfs/dbraw/zinc/34/58/57/341345857.db2.gz FIUDMNBZCGHOCU-HKUYNNGSSA-N 0 3 315.388 4.229 20 0 DIADHN C[C@H]1OCC[C@@]1(C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551593609 341346866 /nfs/dbraw/zinc/34/68/66/341346866.db2.gz NWZKLXBPZUEZHL-BDJLRTHQSA-N 0 3 322.861 4.121 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3ccc(C(F)(F)F)cn3)C2)cc1 ZINC000551703587 341349475 /nfs/dbraw/zinc/34/94/75/341349475.db2.gz DDESUVRWULCOJV-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN CCc1sc(-c2nnc(CN(CC)C3CCCC3)o2)cc1C ZINC000551711788 341349813 /nfs/dbraw/zinc/34/98/13/341349813.db2.gz NOALNSFFCCLWKM-UHFFFAOYSA-N 0 3 319.474 4.433 20 0 DIADHN CSC[C@H](O)CN(CC(C)C)[C@H](C)c1ccccc1Cl ZINC000551716389 341349841 /nfs/dbraw/zinc/34/98/41/341349841.db2.gz SKPIUHRTFIFIFY-ZIAGYGMSSA-N 0 3 315.910 4.083 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC(F)F)c1)[C@H](C)C2 ZINC000551811247 341352610 /nfs/dbraw/zinc/35/26/10/341352610.db2.gz BHMFWXUFZIBKRP-SJKOYZFVSA-N 0 3 318.367 4.015 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000551813868 341352756 /nfs/dbraw/zinc/35/27/56/341352756.db2.gz YDLYOKMXASEHBN-MJGOQNOKSA-N 0 3 303.446 4.009 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@@H]1c1ccccn1 ZINC000551941064 341355708 /nfs/dbraw/zinc/35/57/08/341355708.db2.gz DAIDWXHFWVHSEO-RUDRXDDOSA-N 0 3 322.452 4.468 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000246902812 341358400 /nfs/dbraw/zinc/35/84/00/341358400.db2.gz FHIVVOVFUXMSNC-DYEKYZERSA-N 0 3 321.534 4.025 20 0 DIADHN C[C@@H]1CCN(CCCOCC(F)(F)F)[C@@H](c2ccco2)C1 ZINC000247186216 341362102 /nfs/dbraw/zinc/36/21/02/341362102.db2.gz BNRWEUFWSSWFPT-CHWSQXEVSA-N 0 3 305.340 4.022 20 0 DIADHN CC(CN(C)[C@H](C)c1ccco1)CN(C)[C@H](C)c1ccco1 ZINC000247205643 341362294 /nfs/dbraw/zinc/36/22/94/341362294.db2.gz VGTPIGIPZDDFRD-HZPDHXFCSA-N 0 3 304.434 4.195 20 0 DIADHN O[C@@H]1CCC[C@@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000247294516 341363123 /nfs/dbraw/zinc/36/31/23/341363123.db2.gz HNGDZGFWLPUGJH-YSGRDPCXSA-N 0 3 313.416 4.056 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC(OC(C)C)CC1 ZINC000552030082 341363125 /nfs/dbraw/zinc/36/31/25/341363125.db2.gz FMBGNLUJAXCZNQ-LGMDPLHJSA-N 0 3 317.473 4.126 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC(OC(C)C)CC1 ZINC000552030081 341363137 /nfs/dbraw/zinc/36/31/37/341363137.db2.gz FMBGNLUJAXCZNQ-GHRIWEEISA-N 0 3 317.473 4.126 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3cnc(C4CC4)s3)C2)c1 ZINC000552032795 341363335 /nfs/dbraw/zinc/36/33/35/341363335.db2.gz VJBSWSFJOPMHCK-CQSZACIVSA-N 0 3 302.418 4.149 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCC[C@H]4CCC[C@H]43)o2)c1 ZINC000247347666 341363798 /nfs/dbraw/zinc/36/37/98/341363798.db2.gz GLXOSPUFYYCEPA-IUODEOHRSA-N 0 3 317.820 4.155 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)Cc1ccc(O)cc1 ZINC000552042361 341364251 /nfs/dbraw/zinc/36/42/51/341364251.db2.gz AWTOJZSIZXAXHV-FOWTUZBSSA-N 0 3 311.425 4.074 20 0 DIADHN Fc1cc(F)cc(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000552047639 341364941 /nfs/dbraw/zinc/36/49/41/341364941.db2.gz TUDJTVSLLNPAGU-QGZVFWFLSA-N 0 3 319.399 4.142 20 0 DIADHN CO[C@@H](CNC(C)(C)c1c(C)noc1C)c1ccc(Cl)cc1 ZINC000552058543 341365681 /nfs/dbraw/zinc/36/56/81/341365681.db2.gz NKRFUGDURVPVQW-HNNXBMFYSA-N 0 3 322.836 4.157 20 0 DIADHN FC(F)(F)Oc1cccc([C@H](NC2CC(F)(F)C2)C2CC2)c1 ZINC000552063783 341366573 /nfs/dbraw/zinc/36/65/73/341366573.db2.gz MRPCBGKJHIQFGH-CYBMUJFWSA-N 0 3 321.289 4.424 20 0 DIADHN CC[C@H]1CC[C@H](NCc2csc(-c3ccccn3)n2)CC1 ZINC000552072580 341367674 /nfs/dbraw/zinc/36/76/74/341367674.db2.gz QRSTXPQYPJARIV-HDJSIYSDSA-N 0 3 301.459 4.264 20 0 DIADHN C[C@@H]1CCN(Cc2cnn3ccccc23)[C@@H]1c1cccc(F)c1 ZINC000552076717 341367951 /nfs/dbraw/zinc/36/79/51/341367951.db2.gz BAZZDPSJUCUBOL-KUHUBIRLSA-N 0 3 309.388 4.057 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000247638331 341368105 /nfs/dbraw/zinc/36/81/05/341368105.db2.gz OHXMYNFDZMSQTO-CKEIUWERSA-N 0 3 303.837 4.181 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000247638340 341368149 /nfs/dbraw/zinc/36/81/49/341368149.db2.gz OHXMYNFDZMSQTO-JKIFEVAISA-N 0 3 303.837 4.181 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccccc1F)c1c(F)cncc1F ZINC000552093101 341369187 /nfs/dbraw/zinc/36/91/87/341369187.db2.gz WNABFKXGAYBOIC-NWDGAFQWSA-N 0 3 308.347 4.171 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N(C)C[C@H](C)CC ZINC000247771681 341370238 /nfs/dbraw/zinc/37/02/38/341370238.db2.gz ZUHVBMMJAWHCDC-CABCVRRESA-N 0 3 304.478 4.116 20 0 DIADHN CCc1ccc(CN(C)C[C@H]2OCCc3ccccc32)s1 ZINC000552126677 341371445 /nfs/dbraw/zinc/37/14/45/341371445.db2.gz AQTNTLNAWLYHDO-GOSISDBHSA-N 0 3 301.455 4.056 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccco3)s2)[C@@H]2CCC[C@@H]21 ZINC000552139313 341372705 /nfs/dbraw/zinc/37/27/05/341372705.db2.gz AJZYETVXQKHCKR-UONOGXRCSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1cccc(Cl)c1 ZINC000248062725 341372855 /nfs/dbraw/zinc/37/28/55/341372855.db2.gz YYOFQFAUWXJAQG-OSRJUQGESA-N 0 3 321.873 4.489 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@@H](CO)CC1 ZINC000552148155 341373602 /nfs/dbraw/zinc/37/36/02/341373602.db2.gz RFQVFXQZQYIMPT-CYBMUJFWSA-N 0 3 323.889 4.458 20 0 DIADHN Cc1cc(CCNCc2ccc(-c3ccc(Cl)cc3)o2)on1 ZINC000552201112 341378152 /nfs/dbraw/zinc/37/81/52/341378152.db2.gz ZMZCYGYMFIXTBK-UHFFFAOYSA-N 0 3 316.788 4.229 20 0 DIADHN CC(C)CC[C@H](N[C@@H](C)C[S@@](C)=O)c1ccc(Cl)cc1 ZINC000248483149 341378721 /nfs/dbraw/zinc/37/87/21/341378721.db2.gz JHHSXDKHMGTNMD-ZSOFBXJNSA-N 0 3 315.910 4.174 20 0 DIADHN Fc1ccc(F)c(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000552248713 341381239 /nfs/dbraw/zinc/38/12/39/341381239.db2.gz QKEHGLXSIQLBKF-QGZVFWFLSA-N 0 3 319.399 4.142 20 0 DIADHN CC[C@H](CN[C@H](C)c1cc(C)ccn1)Oc1ccccc1F ZINC000552249623 341381290 /nfs/dbraw/zinc/38/12/90/341381290.db2.gz FYWXFCULMWPGEI-HUUCEWRRSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cc(C)ccn1)Oc1ccccc1F ZINC000552249621 341381295 /nfs/dbraw/zinc/38/12/95/341381295.db2.gz FYWXFCULMWPGEI-CABCVRRESA-N 0 3 302.393 4.037 20 0 DIADHN c1c[nH]c([C@@H](N[C@@H]2C[C@H]2Cc2ccccc2)C2CCCCC2)n1 ZINC000552275121 341383188 /nfs/dbraw/zinc/38/31/88/341383188.db2.gz LUYKZPDQLSAEAG-QRVBRYPASA-N 0 3 309.457 4.252 20 0 DIADHN Clc1ccc(/C=C/CN2CCCC3(CCOCC3)C2)cc1 ZINC000552279343 341383662 /nfs/dbraw/zinc/38/36/62/341383662.db2.gz BBOLBENLLHACRG-HNQUOIGGSA-N 0 3 305.849 4.246 20 0 DIADHN Clc1ccc(Cl)c(CC2CCN([C@@H]3CCOC3)CC2)c1 ZINC000552281268 341383860 /nfs/dbraw/zinc/38/38/60/341383860.db2.gz OGIBPBHGSRPDDZ-OAHLLOKOSA-N 0 3 314.256 4.037 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccnn3-c3ccccc3)C2)cc1 ZINC000552292960 341384887 /nfs/dbraw/zinc/38/48/87/341384887.db2.gz MGCAUJICDUQRPK-SAABIXHNSA-N 0 3 321.399 4.047 20 0 DIADHN C[C@]1(O)CCN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000552303586 341385361 /nfs/dbraw/zinc/38/53/61/341385361.db2.gz UUOBCXIVLMZLNA-INIZCTEOSA-N 0 3 307.846 4.025 20 0 DIADHN C[C@@]1(O)CCN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000552303587 341385455 /nfs/dbraw/zinc/38/54/55/341385455.db2.gz UUOBCXIVLMZLNA-MRXNPFEDSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1ccc(F)cc1CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC000552315097 341385813 /nfs/dbraw/zinc/38/58/13/341385813.db2.gz DTOTVTQDGTYSBB-LJQANCHMSA-N 0 3 313.416 4.128 20 0 DIADHN Cc1ccc(F)cc1CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC000552315096 341385919 /nfs/dbraw/zinc/38/59/19/341385919.db2.gz DTOTVTQDGTYSBB-IBGZPJMESA-N 0 3 313.416 4.128 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)C2(CO)CCC2)cc1 ZINC000552317580 341386114 /nfs/dbraw/zinc/38/61/14/341386114.db2.gz DDJLJSHZOABEMV-HXUWFJFHSA-N 0 3 309.453 4.243 20 0 DIADHN C[C@]12C[C@H]1CCC[C@H]2NCc1c(F)cccc1N1CCCC1 ZINC000552323554 341386655 /nfs/dbraw/zinc/38/66/55/341386655.db2.gz LLYHYWYXDQAUGL-ZMYBRWDISA-N 0 3 302.437 4.094 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@]2(C1)OCc1ccccc12 ZINC000248793234 341387117 /nfs/dbraw/zinc/38/71/17/341387117.db2.gz YHGCRIWHUMNPQC-UXHICEINSA-N 0 3 311.400 4.408 20 0 DIADHN Cc1cc(CCN[C@@H](c2cccc(C)c2)c2cccc(F)c2)on1 ZINC000552333635 341387184 /nfs/dbraw/zinc/38/71/84/341387184.db2.gz KNYYUWNNJXLEHG-FQEVSTJZSA-N 0 3 324.399 4.352 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000248894156 341389781 /nfs/dbraw/zinc/38/97/81/341389781.db2.gz SYBIOICDJFHYHD-WBHUJUFNSA-N 0 3 323.864 4.347 20 0 DIADHN O[C@@H]1CC[C@@H](CN[C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000248958861 341390808 /nfs/dbraw/zinc/39/08/08/341390808.db2.gz AVBPAGHVKHNIAA-NIKGAXFTSA-N 0 3 315.844 4.180 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000249054013 341392836 /nfs/dbraw/zinc/39/28/36/341392836.db2.gz OOUKUJVWLBWOGF-BPLDGKMQSA-N 0 3 302.443 4.028 20 0 DIADHN CCN(CCCC(=O)c1ccc(F)cc1)Cc1cccc(F)c1 ZINC000552454950 341394423 /nfs/dbraw/zinc/39/44/23/341394423.db2.gz DUDIHKWOFZMYGB-UHFFFAOYSA-N 0 3 317.379 4.450 20 0 DIADHN CCOc1cc(CNCc2cc3ccccc3s2)ccc1O ZINC000552492799 341396198 /nfs/dbraw/zinc/39/61/98/341396198.db2.gz DTLLTAYEXCDMOT-UHFFFAOYSA-N 0 3 313.422 4.295 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1CC(=O)Nc1c(C)cccc1C(C)C ZINC000552492988 341396319 /nfs/dbraw/zinc/39/63/19/341396319.db2.gz PQSCGWVADILRET-JKSUJKDBSA-N 0 3 302.462 4.320 20 0 DIADHN CC[C@H]1CC[C@H](C)N1CC(=O)Nc1c(C)cccc1C(C)C ZINC000552492986 341396348 /nfs/dbraw/zinc/39/63/48/341396348.db2.gz PQSCGWVADILRET-HOTGVXAUSA-N 0 3 302.462 4.320 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@@H]1CCCC[C@H]1C ZINC000249396166 341397141 /nfs/dbraw/zinc/39/71/41/341397141.db2.gz RSUOKRZGABCTEN-IUODEOHRSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1cc(Cl)ccc1Cl ZINC000249527472 341398776 /nfs/dbraw/zinc/39/87/76/341398776.db2.gz ABQSRYFXYGVXBN-NDMCHQMPSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cc2cc(Cl)ccc2o1 ZINC000249528114 341398781 /nfs/dbraw/zinc/39/87/81/341398781.db2.gz AELXPUCIYKFYLS-IHQJAULKSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000552579699 341399716 /nfs/dbraw/zinc/39/97/16/341399716.db2.gz GZZBLHUOQRPXSQ-CXAGYDPISA-N 0 3 323.358 4.318 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cccc(Cl)c1Cl ZINC000249879077 341401700 /nfs/dbraw/zinc/40/17/00/341401700.db2.gz HJXLNIMLUQOUIC-BNQQVVLKSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@@H](NCCC1Cc2ccccc2C1)c1nc2ccccc2n1C ZINC000552756157 341403574 /nfs/dbraw/zinc/40/35/74/341403574.db2.gz AJGCXLVFGHBYCX-OAHLLOKOSA-N 0 3 319.452 4.029 20 0 DIADHN COc1ncc(CN[C@H](C)c2ccc(C)c(C)c2)cc1Cl ZINC000552866420 341406946 /nfs/dbraw/zinc/40/69/46/341406946.db2.gz AVBKBZGDVOBJHA-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000090086054 341413286 /nfs/dbraw/zinc/41/32/86/341413286.db2.gz OILFBGWYZBUREG-ZIAGYGMSSA-N 0 3 318.486 4.258 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@@H](C)Oc2ccccc2Cl)cn1 ZINC000090116911 341413492 /nfs/dbraw/zinc/41/34/92/341413492.db2.gz NZNGQZRBLMESRW-ZIAGYGMSSA-N 0 3 321.852 4.236 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1nnc(-c2ccccc2)s1 ZINC000090401496 341415245 /nfs/dbraw/zinc/41/52/45/341415245.db2.gz HLUMYBNYLPZBLQ-AWEZNQCLSA-N 0 3 301.459 4.430 20 0 DIADHN C[C@H](NCc1nc2ccccc2n1C(F)F)C1CCCCC1 ZINC000553093982 341415566 /nfs/dbraw/zinc/41/55/66/341415566.db2.gz QGGWDRXEANVFKE-LBPRGKRZSA-N 0 3 307.388 4.490 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)NCc2nc(C3CC3)cs2)cc1 ZINC000090458735 341415973 /nfs/dbraw/zinc/41/59/73/341415973.db2.gz QFGXENYRNURXBN-OLZOCXBDSA-N 0 3 316.470 4.311 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(F)c(OC)c2)c1 ZINC000090723779 341418802 /nfs/dbraw/zinc/41/88/02/341418802.db2.gz PVHSEXQDXLZMAL-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CCC(CC)(CO)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000090800991 341419336 /nfs/dbraw/zinc/41/93/36/341419336.db2.gz NTFPZWTWTLYITN-CQSZACIVSA-N 0 3 318.486 4.259 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)cc1C ZINC000090879633 341419893 /nfs/dbraw/zinc/41/98/93/341419893.db2.gz YWCIGLPYEZZNOM-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)cc(OC)c2)C2CC2)cc1 ZINC000091403007 341425737 /nfs/dbraw/zinc/42/57/37/341425737.db2.gz KBXUBLUNUBHURJ-FQEVSTJZSA-N 0 3 311.425 4.253 20 0 DIADHN CCOc1ccccc1OCCN[C@@H](C)c1ccc(F)cc1F ZINC000553338097 341426409 /nfs/dbraw/zinc/42/64/09/341426409.db2.gz SCESOQRUYLAIHE-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](c2cccc(F)c2)C2CCCC2)n1 ZINC000553393979 341427843 /nfs/dbraw/zinc/42/78/43/341427843.db2.gz BURRBJZBLWFEBR-LJQANCHMSA-N 0 3 315.436 4.160 20 0 DIADHN CCOc1cc(CNCc2ccc3ccccc3c2)ccc1O ZINC000553421858 341428392 /nfs/dbraw/zinc/42/83/92/341428392.db2.gz HFOLOMBBIXSCJL-UHFFFAOYSA-N 0 3 307.393 4.234 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3c(c2)CCC(=O)N3)cc1C ZINC000553718014 341434672 /nfs/dbraw/zinc/43/46/72/341434672.db2.gz UIENOCBUHPINOJ-MRXNPFEDSA-N 0 3 322.452 4.347 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000553908888 341438245 /nfs/dbraw/zinc/43/82/45/341438245.db2.gz BHBMRKXKTILMSS-PBHICJAKSA-N 0 3 324.896 4.178 20 0 DIADHN Cc1ccccc1O[C@H]1CCCC[C@H]1NCc1cncc(F)c1 ZINC000554264860 341448001 /nfs/dbraw/zinc/44/80/01/341448001.db2.gz QEWDNBHJASSCRQ-MJGOQNOKSA-N 0 3 314.404 4.009 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2cccc(-n3ccnn3)c2)c1 ZINC000554360445 341451140 /nfs/dbraw/zinc/45/11/40/341451140.db2.gz GFUJZWCFGIJGIQ-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000554377158 341452555 /nfs/dbraw/zinc/45/25/55/341452555.db2.gz JPRHQKWFMOUNSS-IIMNLJJBSA-N 0 3 321.770 4.170 20 0 DIADHN COc1ccc(CN[C@H](c2cccs2)C2CC2)c(C)c1OC ZINC000554713919 341461768 /nfs/dbraw/zinc/46/17/68/341461768.db2.gz KVGJATKFIDTDBU-KRWDZBQOSA-N 0 3 317.454 4.315 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CN[C@@H]1CC[C@@H](F)C1 ZINC000554719694 341462028 /nfs/dbraw/zinc/46/20/28/341462028.db2.gz HWLVPCZFUXIUHT-HZPDHXFCSA-N 0 3 315.436 4.150 20 0 DIADHN CO[C@H](CNC(C)(C)c1ccc(C)nc1)c1ccc(Cl)cc1 ZINC000554816342 341465254 /nfs/dbraw/zinc/46/52/54/341465254.db2.gz VVHADJYWEUZBIC-QGZVFWFLSA-N 0 3 318.848 4.256 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2cccs2)C2CC2)cc1O ZINC000554987950 341471712 /nfs/dbraw/zinc/47/17/12/341471712.db2.gz OEPGCLGXUZKJCC-APPDUMDISA-N 0 3 303.427 4.264 20 0 DIADHN C[C@H](N[C@@H](C)c1nccc2ccccc21)c1ccc2c(c1)OCO2 ZINC000554988545 341471869 /nfs/dbraw/zinc/47/18/69/341471869.db2.gz NUWTZVVZRCUCMK-KBPBESRZSA-N 0 3 320.392 4.375 20 0 DIADHN c1sc(-c2ccccc2)nc1CNc1ccnc2ccccc21 ZINC000555124055 341475767 /nfs/dbraw/zinc/47/57/67/341475767.db2.gz RQXABVTZPVNAHS-UHFFFAOYSA-N 0 3 317.417 4.392 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2cc(C)ccc2OC(F)F)o1 ZINC000555402842 341481804 /nfs/dbraw/zinc/48/18/04/341481804.db2.gz MGAXHVUIQBPDHA-ZDUSSCGKSA-N 0 3 309.356 4.391 20 0 DIADHN CCc1nocc1CNC[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000555586987 341486064 /nfs/dbraw/zinc/48/60/64/341486064.db2.gz QCPHEXBYDCBMNH-MRXNPFEDSA-N 0 3 306.837 4.420 20 0 DIADHN Cn1cnc2cc(CNCc3cc4ccccc4s3)ccc21 ZINC000555590769 341486221 /nfs/dbraw/zinc/48/62/21/341486221.db2.gz LIBGFWXKQNZICX-UHFFFAOYSA-N 0 3 307.422 4.078 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CNCC[C@H](C)F ZINC000555615807 341487260 /nfs/dbraw/zinc/48/72/60/341487260.db2.gz CIFITSOHTCQNOU-LBPRGKRZSA-N 0 3 323.843 4.039 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccc(-n2cccn2)c(F)c1 ZINC000555626590 341487636 /nfs/dbraw/zinc/48/76/36/341487636.db2.gz OELWZWOVIJRNGL-IFXJQAMLSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@H](C)c1ccncc1F ZINC000556060911 341493143 /nfs/dbraw/zinc/49/31/43/341493143.db2.gz OVTNTZRJKGWUFT-CYBMUJFWSA-N 0 3 322.811 4.302 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(Cl)ccc1OC(F)F)C(C)(C)O ZINC000556237926 341498599 /nfs/dbraw/zinc/49/85/99/341498599.db2.gz FOKPAEBIXAUEFK-TVQRCGJNSA-N 0 3 321.795 4.142 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N[C@H](C)c1ccncc1F ZINC000556247769 341499122 /nfs/dbraw/zinc/49/91/22/341499122.db2.gz OMTXXQYMFCEHAP-FZKQIMNGSA-N 0 3 304.384 4.278 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@H]1CCc2c1c(F)ccc2F ZINC000564429365 341501618 /nfs/dbraw/zinc/50/16/18/341501618.db2.gz UMJQIMCZJPQVGM-INIZCTEOSA-N 0 3 316.395 4.223 20 0 DIADHN Cn1nccc1CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000564614357 341504954 /nfs/dbraw/zinc/50/49/54/341504954.db2.gz KLMXXKHOLMCVEH-MRXNPFEDSA-N 0 3 324.255 4.010 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H]1CCCc2c(OC)cccc21 ZINC000564807280 341510222 /nfs/dbraw/zinc/51/02/22/341510222.db2.gz KDNUULUQCQUUMF-BXUZGUMPSA-N 0 3 301.352 4.393 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCc3cccnc3CC2)on1 ZINC000564818055 341510538 /nfs/dbraw/zinc/51/05/38/341510538.db2.gz KCTSTAAGAUEEDQ-MRXNPFEDSA-N 0 3 313.445 4.010 20 0 DIADHN CC(C)n1cc(CN[C@H](CC2CCCCC2)C(C)(C)C)nn1 ZINC000565445503 341527504 /nfs/dbraw/zinc/52/75/04/341527504.db2.gz XEDGLVDPSUHZRA-QGZVFWFLSA-N 0 3 306.498 4.334 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000565551284 341530771 /nfs/dbraw/zinc/53/07/71/341530771.db2.gz WJVGFVHKCMPCMP-HOTGVXAUSA-N 0 3 323.440 4.187 20 0 DIADHN CCO[C@H](C)c1nc(COc2cc(C)nc(C)c2C)cs1 ZINC000565550221 341530776 /nfs/dbraw/zinc/53/07/76/341530776.db2.gz VGYBUIPVLUVPCM-CYBMUJFWSA-N 0 3 306.431 4.140 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1c(C)nsc1C ZINC000565550883 341530840 /nfs/dbraw/zinc/53/08/40/341530840.db2.gz VIPCNLNDDFEVKP-BDJLRTHQSA-N 0 3 324.877 4.279 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cc(C)nc(N2CCCC2)c1 ZINC000565568476 341531388 /nfs/dbraw/zinc/53/13/88/341531388.db2.gz DQTKLSXRABIXRO-ATVHPVEESA-N 0 3 321.468 4.183 20 0 DIADHN C[C@H](N[C@H]1CSC1(C)C)c1ccc(OCC(F)(F)F)cc1 ZINC000565847623 341540117 /nfs/dbraw/zinc/54/01/17/341540117.db2.gz QTFCHAAZDYOOMX-GWCFXTLKSA-N 0 3 319.392 4.172 20 0 DIADHN CC[C@@H](N[C@@H](CCC(C)C)C1CC1)c1nnc2n1CCCCC2 ZINC000565847074 341540122 /nfs/dbraw/zinc/54/01/22/341540122.db2.gz KDJFCGVXRONJTB-SJORKVTESA-N 0 3 318.509 4.260 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@@H]2CSC2(C)C)c1 ZINC000566056443 341546603 /nfs/dbraw/zinc/54/66/03/341546603.db2.gz CRRJVZFMISIWLG-GXFFZTMASA-N 0 3 301.402 4.141 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@H]1CCC[C@H]2C[C@H]21)c1ccccc1 ZINC000566573580 341562230 /nfs/dbraw/zinc/56/22/30/341562230.db2.gz MZGRJVJEAWCNLO-OJAHFUOMSA-N 0 3 320.436 4.145 20 0 DIADHN C[C@H]1C[C@H](NC2(c3ccc4c(c3)OCO4)CC2)c2ccccc21 ZINC000566821022 341568989 /nfs/dbraw/zinc/56/89/89/341568989.db2.gz VMQDPFGFHIRVMF-GUYCJALGSA-N 0 3 307.393 4.243 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)NCc2noc3ccccc23)cc1 ZINC000566983647 341573403 /nfs/dbraw/zinc/57/34/03/341573403.db2.gz MHFQDJNXIVKBIP-ZIAGYGMSSA-N 0 3 310.397 4.118 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCc3c4ccccc4[nH]c32)s1 ZINC000289109146 341580146 /nfs/dbraw/zinc/58/01/46/341580146.db2.gz CPZSZVGOODBNOY-MRXNPFEDSA-N 0 3 311.454 4.408 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCCc3c4ccccc4[nH]c32)s1 ZINC000289109138 341580218 /nfs/dbraw/zinc/58/02/18/341580218.db2.gz CPZSZVGOODBNOY-INIZCTEOSA-N 0 3 311.454 4.408 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCCc2nc(-c3ccccc3)sc21 ZINC000567134314 341582253 /nfs/dbraw/zinc/58/22/53/341582253.db2.gz LDFOPIZXGZNWSQ-SCRDCRAPSA-N 0 3 320.408 4.431 20 0 DIADHN Fc1ccc(CCNC2(c3nccs3)CCCC2)cc1F ZINC000154077003 341584223 /nfs/dbraw/zinc/58/42/23/341584223.db2.gz NLRHTDBVNYINPM-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN Fc1ccc(C2(NCC3=CCCOC3)CCCCC2)c(F)c1 ZINC000154151190 341585536 /nfs/dbraw/zinc/58/55/36/341585536.db2.gz XOMYTANWGVLSLO-UHFFFAOYSA-N 0 3 307.384 4.061 20 0 DIADHN COc1ccc2cc([C@@H](C)NCCOC(C)(C)C)ccc2c1 ZINC000308689257 341587322 /nfs/dbraw/zinc/58/73/22/341587322.db2.gz FTEGGEDVTHAAEQ-CQSZACIVSA-N 0 3 301.430 4.314 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)s1 ZINC000567220173 341589263 /nfs/dbraw/zinc/58/92/63/341589263.db2.gz ACRIDMRKMQGJIU-UKRRQHHQSA-N 0 3 321.877 4.316 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2[nH]c(=O)ccc21)c1cc2ccccc2o1 ZINC000268726159 341603151 /nfs/dbraw/zinc/60/31/51/341603151.db2.gz KQHIKUIQEUTUST-IUODEOHRSA-N 0 3 308.381 4.262 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)C(C)(C)c1ccccc1 ZINC000155354542 341606675 /nfs/dbraw/zinc/60/66/75/341606675.db2.gz FZODRCQAPSWCSP-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccc(NC(C)=O)c2)cc1 ZINC000155456630 341607788 /nfs/dbraw/zinc/60/77/88/341607788.db2.gz BJDJZGRVFGXVSU-HNNXBMFYSA-N 0 3 310.441 4.448 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@@H](C)c2cncc(F)c2)cc1 ZINC000567480962 341609561 /nfs/dbraw/zinc/60/95/61/341609561.db2.gz ONCYBCNENSJHCL-CABCVRRESA-N 0 3 316.420 4.462 20 0 DIADHN C[C@@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccncc1 ZINC000156490357 341622080 /nfs/dbraw/zinc/62/20/80/341622080.db2.gz VASJHJPQVHZNTG-STQMWFEESA-N 0 3 324.346 4.219 20 0 DIADHN C[C@@H](NCCOCc1ccccc1)c1cnc2ccsc2c1 ZINC000156972530 341629821 /nfs/dbraw/zinc/62/98/21/341629821.db2.gz ZKXXHVHKPCHTQG-CQSZACIVSA-N 0 3 312.438 4.164 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@H](C)c1cc[nH]c(=O)c1)CCC2 ZINC000567869667 341637843 /nfs/dbraw/zinc/63/78/43/341637843.db2.gz DWTQTNFDRVFFAB-SJKOYZFVSA-N 0 3 314.454 4.237 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)[C@@H]2CC=CC[C@H]2C)c1 ZINC000568278901 341663115 /nfs/dbraw/zinc/66/31/15/341663115.db2.gz UEWSAMIBBYWZKI-CRAIPNDOSA-N 0 3 300.446 4.069 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@H](c1cccs1)N(C)C ZINC000315950833 341665276 /nfs/dbraw/zinc/66/52/76/341665276.db2.gz YJKQMXYSZBQROT-GOEBONIOSA-N 0 3 318.486 4.019 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@@H]1CCC[C@H](C)C1)c1ccco1 ZINC000568670498 341678167 /nfs/dbraw/zinc/67/81/67/341678167.db2.gz CCEVSXDRFCUAGP-MWDXBVQZSA-N 0 3 320.477 4.041 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@H](C)c1ccccc1SCC ZINC000568732994 341679868 /nfs/dbraw/zinc/67/98/68/341679868.db2.gz PELYJGQXEOXTQZ-HUUCEWRRSA-N 0 3 322.518 4.002 20 0 DIADHN COc1ccc(CN[C@H]2CCc3c2cccc3C)c(C)c1OC ZINC000569269620 341696180 /nfs/dbraw/zinc/69/61/80/341696180.db2.gz ZCVFKPIIEYJBIF-SFHVURJKSA-N 0 3 311.425 4.098 20 0 DIADHN CCC[C@H](O)CN[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000163580009 341699934 /nfs/dbraw/zinc/69/99/34/341699934.db2.gz LJVZCXZIJFTBRN-YPMHNXCESA-N 0 3 322.449 4.069 20 0 DIADHN CCCOCc1ccccc1CN[C@H](C)c1ccc(OC)cc1 ZINC000163671330 341700461 /nfs/dbraw/zinc/70/04/61/341700461.db2.gz IYEYTHLPODZYGS-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)Oc1cccc(F)c1 ZINC000165543602 341712856 /nfs/dbraw/zinc/71/28/56/341712856.db2.gz NCUYMPREEPDFNG-YOEHRIQHSA-N 0 3 319.424 4.111 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1cnc2ccsc2c1 ZINC000573176397 341719837 /nfs/dbraw/zinc/71/98/37/341719837.db2.gz UGKOVNDYPYEQAP-LBPRGKRZSA-N 0 3 322.437 4.034 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](CCCO)c1ccccc1)CCC2 ZINC000166681955 341720365 /nfs/dbraw/zinc/72/03/65/341720365.db2.gz CERZXBBFXXOELZ-LEWJYISDSA-N 0 3 309.453 4.476 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)[C@H](C)c1ccc(OC)cc1 ZINC000166975900 341722302 /nfs/dbraw/zinc/72/23/02/341722302.db2.gz CUSBWJMVZXQEEI-UONOGXRCSA-N 0 3 316.445 4.090 20 0 DIADHN CC[C@H](NCc1ccc(OC(F)F)c(F)c1)c1ccncc1 ZINC000168390176 341739764 /nfs/dbraw/zinc/73/97/64/341739764.db2.gz PDYILJOMBDYHEV-AWEZNQCLSA-N 0 3 310.319 4.063 20 0 DIADHN Cc1nccnc1[C@H](C)NC[C@H](C)Oc1ccc(C(C)C)cc1 ZINC000573826485 341740515 /nfs/dbraw/zinc/74/05/15/341740515.db2.gz CIMKWUZXZNKQDG-HOCLYGCPSA-N 0 3 313.445 4.027 20 0 DIADHN CC(C)CN(C(=O)C[C@@H]1CCCC(C)(C)C1)C1CCN(C)CC1 ZINC000573984869 341745069 /nfs/dbraw/zinc/74/50/69/341745069.db2.gz JKNAGXBVAVBHMI-KRWDZBQOSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cc(Cl)cc2Cl)c1cc[nH]c(=O)c1 ZINC000574213048 341752029 /nfs/dbraw/zinc/75/20/29/341752029.db2.gz XABZOXJQTAUZCN-VFZGTOFNSA-N 0 3 323.223 4.432 20 0 DIADHN Cc1c2ccccc2oc1-c1noc(C2CCN(C3CC3)CC2)n1 ZINC000574675488 341765535 /nfs/dbraw/zinc/76/55/35/341765535.db2.gz LZJLPFMUDXEZTC-UHFFFAOYSA-N 0 3 323.396 4.133 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(F)cc2C1)c1ccc(Cl)s1 ZINC000574722401 341766878 /nfs/dbraw/zinc/76/68/78/341766878.db2.gz NQLLWWBBZNJUBS-CABZTGNLSA-N 0 3 311.809 4.195 20 0 DIADHN CCc1cnc(CN[C@@H](Cc2ccccc2C)C2CC2)s1 ZINC000575337099 341793789 /nfs/dbraw/zinc/79/37/89/341793789.db2.gz ANVDWESYNKLBHV-KRWDZBQOSA-N 0 3 300.471 4.125 20 0 DIADHN C[C@@H](NCc1ccc(NC(=O)c2ccco2)cc1)c1ccccc1 ZINC000172468271 341799205 /nfs/dbraw/zinc/79/92/05/341799205.db2.gz DCZADNNHDAXAEZ-OAHLLOKOSA-N 0 3 320.392 4.383 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCCc2c(OC)cccc21 ZINC000575741998 341801814 /nfs/dbraw/zinc/80/18/14/341801814.db2.gz GFQRGROIEYKQDF-IFXJQAMLSA-N 0 3 310.441 4.381 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000575837806 341808625 /nfs/dbraw/zinc/80/86/25/341808625.db2.gz PBEWNOSXTPIGAV-CGTJXYLNSA-N 0 3 313.828 4.167 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCCO2)c1ccc(C)cc1 ZINC000336865099 341808903 /nfs/dbraw/zinc/80/89/03/341808903.db2.gz SQUOMOLBNDDXHW-GOSISDBHSA-N 0 3 311.425 4.397 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccccc1F ZINC000336874525 341809635 /nfs/dbraw/zinc/80/96/35/341809635.db2.gz ZOYGABWCQZXDTP-MSOLQXFVSA-N 0 3 316.420 4.297 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCC[C@@H]2NCc2cocn2)c1 ZINC000576190954 341832067 /nfs/dbraw/zinc/83/20/67/341832067.db2.gz JITMHUKCLAPMLI-CABCVRRESA-N 0 3 310.319 4.119 20 0 DIADHN CCc1nc2ccccc2c(N2CCC(CCCO)CC2)c1C ZINC000576491668 341849169 /nfs/dbraw/zinc/84/91/69/341849169.db2.gz IJSKFZVGASSBAU-UHFFFAOYSA-N 0 3 312.457 4.095 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000576526070 341850965 /nfs/dbraw/zinc/85/09/65/341850965.db2.gz NBCWCGKLCAENHO-JKSUJKDBSA-N 0 3 320.502 4.045 20 0 DIADHN CC(C)OCCN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000576600521 341854585 /nfs/dbraw/zinc/85/45/85/341854585.db2.gz DXJNVLYKDMHFLR-OAHLLOKOSA-N 0 3 301.352 4.171 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](C)Cc1ccc(Cl)cc1Cl ZINC000576838029 341865789 /nfs/dbraw/zinc/86/57/89/341865789.db2.gz NWENCSPNNNKXTC-JQWIXIFHSA-N 0 3 324.255 4.374 20 0 DIADHN Cc1csc(CNCc2c(C)nn(-c3ccccc3)c2C)c1 ZINC000344612538 341867609 /nfs/dbraw/zinc/86/76/09/341867609.db2.gz NCQODWLEPFAVEI-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN CC[C@H](NCc1cc(C)cs1)c1ccc(OC)c(OC)c1 ZINC000344610322 341867618 /nfs/dbraw/zinc/86/76/18/341867618.db2.gz KHQQWZGKTWOAOD-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1ccccc1 ZINC000576883714 341868036 /nfs/dbraw/zinc/86/80/36/341868036.db2.gz NGSSWYVJYNKGPR-QFBILLFUSA-N 0 3 320.440 4.459 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@@H]2NCc2cnccn2)cc1 ZINC000576921927 341869788 /nfs/dbraw/zinc/86/97/88/341869788.db2.gz WHWIUDLVIXVGIA-MSOLQXFVSA-N 0 3 315.848 4.336 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@H]2NCc2cnccn2)cc1 ZINC000576921934 341869849 /nfs/dbraw/zinc/86/98/49/341869849.db2.gz WHWIUDLVIXVGIA-ZWKOTPCHSA-N 0 3 315.848 4.336 20 0 DIADHN Clc1sccc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000580545914 341873134 /nfs/dbraw/zinc/87/31/34/341873134.db2.gz YOQABVXJLZDDCH-CABCVRRESA-N 0 3 307.846 4.411 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1CN(C)C)c1cc2ccccc2o1 ZINC000577005682 341875359 /nfs/dbraw/zinc/87/53/59/341875359.db2.gz NGDHISXBCXUBDG-CQSZACIVSA-N 0 3 322.408 4.237 20 0 DIADHN COC[C@H](NCCCCOC(C)(C)C)c1cccc(Cl)c1 ZINC000577096776 341885697 /nfs/dbraw/zinc/88/56/97/341885697.db2.gz HSTLAUPOHKEJME-INIZCTEOSA-N 0 3 313.869 4.212 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccc(F)cc1)c1cc2ccccc2s1 ZINC000580617044 341887165 /nfs/dbraw/zinc/88/71/65/341887165.db2.gz ONDCNEQVTYSQEJ-MLGOLLRUSA-N 0 3 315.413 4.425 20 0 DIADHN COC[C@@H](NC[C@H](C)Oc1ccccc1Cl)c1ccccc1 ZINC000577500804 341913340 /nfs/dbraw/zinc/91/33/40/341913340.db2.gz ACHVJWPLJHHPDF-WMLDXEAASA-N 0 3 319.832 4.085 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2N[C@H]1CCc2cc(F)ccc21 ZINC000577539375 341916421 /nfs/dbraw/zinc/91/64/21/341916421.db2.gz VPARIBPVOKAFMU-DLBZAZTESA-N 0 3 315.363 4.238 20 0 DIADHN COc1ccc(-c2csc(CNCc3cccn3C)c2)cc1 ZINC000177583886 341921480 /nfs/dbraw/zinc/92/14/80/341921480.db2.gz LMMSLPXMYZGCIK-UHFFFAOYSA-N 0 3 312.438 4.052 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCCOc2ccccc2C)s1 ZINC000177835967 341938490 /nfs/dbraw/zinc/93/84/90/341938490.db2.gz MDIOQKWZNYNEFZ-ZDUSSCGKSA-N 0 3 304.459 4.188 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H]1COc2ccccc2C1 ZINC000178109411 341945738 /nfs/dbraw/zinc/94/57/38/341945738.db2.gz SYPBXQANANHZOF-DOMZBBRYSA-N 0 3 316.470 4.229 20 0 DIADHN Cc1ccc(SCCN[C@H](C)c2cnn(C(C)C)c2)cc1 ZINC000178381591 341952813 /nfs/dbraw/zinc/95/28/13/341952813.db2.gz KFSQQSXDTMXBDE-OAHLLOKOSA-N 0 3 303.475 4.215 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)c(F)c1)c1ccc(OC)cc1 ZINC000178529721 341957333 /nfs/dbraw/zinc/95/73/33/341957333.db2.gz OMXSRHYAAKRMQR-GOSISDBHSA-N 0 3 317.404 4.474 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](C)Sc2ccccc2)c(F)cn1 ZINC000577973510 341961694 /nfs/dbraw/zinc/96/16/94/341961694.db2.gz PWPUOPNXPWMLMU-QWHCGFSZSA-N 0 3 320.433 4.061 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2cccc(-n3ccnn3)c2)c1 ZINC000554376172 517486861 /nfs/dbraw/zinc/48/68/61/517486861.db2.gz ICAQOXPBVGXOMY-MRXNPFEDSA-N 0 3 320.440 4.242 20 0 DIADHN CCOC[C@@H](N[C@H](C)c1sc(C(C)(C)C)nc1C)C(C)C ZINC000233754198 517705353 /nfs/dbraw/zinc/70/53/53/517705353.db2.gz NSXFBRLJNZNDQC-TZMCWYRMSA-N 0 3 312.523 4.461 20 0 DIADHN COc1ccc(OC)c(CN[C@H](C)[C@@H]2C[C@H]2c2cccs2)c1 ZINC000417333138 518156924 /nfs/dbraw/zinc/15/69/24/518156924.db2.gz YKNLGIJZWGPYSB-UHOFOFEASA-N 0 3 317.454 4.047 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)NCc1ccc(C2CC2)cc1 ZINC000477855751 518181736 /nfs/dbraw/zinc/18/17/36/518181736.db2.gz IPGXVXOIOXZTHJ-OAHLLOKOSA-N 0 3 308.425 4.071 20 0 DIADHN Cc1cc(N)cc(CN[C@H](c2cc(F)ccc2F)C(C)C)c1 ZINC000423481259 518187465 /nfs/dbraw/zinc/18/74/65/518187465.db2.gz QAQQAIVQAYPYDU-SFHVURJKSA-N 0 3 304.384 4.342 20 0 DIADHN C(=C/c1ccccc1)\CN[C@H](Cc1ccccn1)c1cccnc1 ZINC000338169866 518370863 /nfs/dbraw/zinc/37/08/63/518370863.db2.gz NPPIHVBFLIWJMC-VMLCMGRWSA-N 0 3 315.420 4.063 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)N[C@H]2CCCc3nn(C)cc32)cc1 ZINC000338009481 519444363 /nfs/dbraw/zinc/44/43/63/519444363.db2.gz WOQMKGNRCWMKPJ-BEFAXECRSA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2cccc3cc[nH]c32)cc1 ZINC000236839546 519520756 /nfs/dbraw/zinc/52/07/56/519520756.db2.gz AJMCAPHJEYBRFJ-UHFFFAOYSA-N 0 3 321.424 4.052 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H](c2ccccc2)C2CC2)cn1 ZINC000190713953 519633540 /nfs/dbraw/zinc/63/35/40/519633540.db2.gz CLGWHIKDLYIVDN-HXUWFJFHSA-N 0 3 309.457 4.167 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NC2(c3ccccc3)CCC2)cc1 ZINC000182191440 519733378 /nfs/dbraw/zinc/73/33/78/519733378.db2.gz AGPYVEVMUMMLAM-HNNXBMFYSA-N 0 3 308.425 4.375 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H](c2ccccc2)C2CC2)cc1 ZINC000181926884 519734163 /nfs/dbraw/zinc/73/41/63/519734163.db2.gz PWAWNHDELIPDJL-XOBRGWDASA-N 0 3 308.425 4.447 20 0 DIADHN CC(C)OCCCN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000180574547 519764506 /nfs/dbraw/zinc/76/45/06/519764506.db2.gz SOHZDCISPLXMSI-CQSZACIVSA-N 0 3 307.331 4.224 20 0 DIADHN CC(C)c1ccc(NC(=O)N2CCCN(C)CC2)c(C(C)C)c1 ZINC000181428114 519837397 /nfs/dbraw/zinc/83/73/97/519837397.db2.gz ONMZAHLRMMIFME-UHFFFAOYSA-N 0 3 317.477 4.103 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)cn1 ZINC000164132032 519976156 /nfs/dbraw/zinc/97/61/56/519976156.db2.gz FHZKDLSYIQGCEY-HZPDHXFCSA-N 0 3 323.444 4.062 20 0 DIADHN CCCCCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000163928466 520255944 /nfs/dbraw/zinc/25/59/44/520255944.db2.gz WSHAZEVBVDWNQS-CVEARBPZSA-N 0 3 315.461 4.401 20 0 DIADHN CCO[C@H](CCN[C@H](c1ccccc1)c1ccccn1)C(C)C ZINC000180694311 520512296 /nfs/dbraw/zinc/51/22/96/520512296.db2.gz XGVPZGCOKYYUKI-WOJBJXKFSA-N 0 3 312.457 4.212 20 0 DIADHN CCC[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccccc1 ZINC000131352139 520782120 /nfs/dbraw/zinc/78/21/20/520782120.db2.gz OYSGJLVVPIVVJV-LJQANCHMSA-N 0 3 309.457 4.313 20 0 DIADHN CC1=C(c2ccco2)CN(Cc2cccc(-n3cccn3)c2)CC1 ZINC000338365087 520847290 /nfs/dbraw/zinc/84/72/90/520847290.db2.gz FSTPHJRUTRXQMY-UHFFFAOYSA-N 0 3 319.408 4.145 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1ccnn1C)c1ccc(OC)cc1 ZINC000192817818 521103940 /nfs/dbraw/zinc/10/39/40/521103940.db2.gz PDPGOCLWDMTWEY-RHSMWYFYSA-N 0 3 301.434 4.011 20 0 DIADHN CCC[C@H](NCc1ccc(N2CCCC2)nc1)c1ccsc1 ZINC000191198607 521163309 /nfs/dbraw/zinc/16/33/09/521163309.db2.gz HPHJYPRNWZTRSS-KRWDZBQOSA-N 0 3 315.486 4.374 20 0 DIADHN CCCC[C@H](NCc1ccc(CO)cc1)c1ccc(OC)cc1 ZINC000183105310 521239297 /nfs/dbraw/zinc/23/92/97/521239297.db2.gz PPTLLNXNTVQUMJ-FQEVSTJZSA-N 0 3 313.441 4.209 20 0 DIADHN CCCC[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(OC)cc1 ZINC000367743218 521241340 /nfs/dbraw/zinc/24/13/40/521241340.db2.gz ZBAUUWLMNCVTKL-ZWKOTPCHSA-N 0 3 313.445 4.317 20 0 DIADHN CCCN(C(=O)c1cccs1)C1CCN(CC=C(C)C)CC1 ZINC000132082142 521446661 /nfs/dbraw/zinc/44/66/61/521446661.db2.gz ZJKYKRMESBSIBU-UHFFFAOYSA-N 0 3 320.502 4.031 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cc(C)sc2C)cc1F ZINC000182755560 522040656 /nfs/dbraw/zinc/04/06/56/522040656.db2.gz CZCRBSPJBZQGHE-LLVKDONJSA-N 0 3 321.417 4.141 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC2(CCOCC2)CC1 ZINC000339168034 522180622 /nfs/dbraw/zinc/18/06/22/522180622.db2.gz LBNXSWUQQCPHQX-UHFFFAOYSA-N 0 3 316.489 4.160 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCc2csc(C)n2)s1 ZINC000124341439 522220137 /nfs/dbraw/zinc/22/01/37/522220137.db2.gz PEWWCHXKCMKMLG-LLVKDONJSA-N 0 3 309.504 4.014 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2cccc3cc[nH]c32)cc1OC ZINC000237282614 522224849 /nfs/dbraw/zinc/22/48/49/522224849.db2.gz YIEILWCTUHYRDL-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](OC)c2ccccc2)s1 ZINC000131409467 522232288 /nfs/dbraw/zinc/23/22/88/522232288.db2.gz MAVBVEZNDKCCFB-SWLSCSKDSA-N 0 3 304.459 4.052 20 0 DIADHN CCc1nc(CCN[C@H](C)c2sc(C(C)C)nc2C)cs1 ZINC000157062320 522284753 /nfs/dbraw/zinc/28/47/53/522284753.db2.gz NVDHNVAZSIZAGK-LLVKDONJSA-N 0 3 323.531 4.487 20 0 DIADHN COc1cc(CN(Cc2cccc3[nH]ccc32)C2CC2)ccc1O ZINC000191510083 522360263 /nfs/dbraw/zinc/36/02/63/522360263.db2.gz GPIPPAAXBJPOES-UHFFFAOYSA-N 0 3 322.408 4.047 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(OC(C)(C)C)cc2)ccn1 ZINC000156762491 522484033 /nfs/dbraw/zinc/48/40/33/522484033.db2.gz HCCLLSOMDPYIJE-CQSZACIVSA-N 0 3 314.429 4.118 20 0 DIADHN CC[C@H](NCCCOC(F)F)c1ccccc1Br ZINC000338011569 522584918 /nfs/dbraw/zinc/58/49/18/522584918.db2.gz GZPPGYHMTAFAQM-LBPRGKRZSA-N 0 3 322.193 4.119 20 0 DIADHN CC[C@H](NCCOCC(F)(F)F)c1cccc(Cl)c1F ZINC000359409391 522594804 /nfs/dbraw/zinc/59/48/04/522594804.db2.gz CGGPDFHHSPTBFR-NSHDSACASA-N 0 3 313.722 4.099 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000158817650 522695501 /nfs/dbraw/zinc/69/55/01/522695501.db2.gz XHNYVLAHRCZLJM-KXBFYZLASA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(OC)c(OC)cc1C)c1ccncc1 ZINC000163643598 522696381 /nfs/dbraw/zinc/69/63/81/522696381.db2.gz NYIVOFLRTBEBAU-YOEHRIQHSA-N 0 3 314.429 4.209 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(N2CCCC2=O)cc1)c1ccncc1 ZINC000163777342 522696515 /nfs/dbraw/zinc/69/65/15/522696515.db2.gz HPKQNNFQZHCHSD-KXBFYZLASA-N 0 3 323.440 4.010 20 0 DIADHN CC[C@H](N[C@@H]1CCSc2ccc(OC)cc21)c1ccncc1 ZINC000191518434 522722380 /nfs/dbraw/zinc/72/23/80/522722380.db2.gz PCTGGDSVXURYHR-DLBZAZTESA-N 0 3 314.454 4.368 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000158817936 522739814 /nfs/dbraw/zinc/73/98/14/522739814.db2.gz XHNYVLAHRCZLJM-BEFAXECRSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@H](N[C@H](CCCO)c1ccccc1)c1cccc(F)c1 ZINC000188061418 522743743 /nfs/dbraw/zinc/74/37/43/522743743.db2.gz CNIJPTMQUYWRNM-RBUKOAKNSA-N 0 3 301.405 4.380 20 0 DIADHN CC[C@@H](NCCCOC(F)F)c1cc(Cl)ccc1OC ZINC000338006951 522829982 /nfs/dbraw/zinc/82/99/82/522829982.db2.gz DYRAVQYYLNCAGK-GFCCVEGCSA-N 0 3 307.768 4.019 20 0 DIADHN CC[C@@H](NCC[S@](=O)c1ccccc1)c1ccc(Cl)cc1 ZINC000104665885 522841445 /nfs/dbraw/zinc/84/14/45/522841445.db2.gz VOFPBTHTOFNFIX-DYESRHJHSA-N 0 3 321.873 4.189 20 0 DIADHN CC[C@@H]([NH2+]Cc1ccc([O-])c2nc(C)ccc12)c1ccccc1 ZINC000338277042 522876737 /nfs/dbraw/zinc/87/67/37/522876737.db2.gz ZFCAUXGWSVMYRS-GOSISDBHSA-N 0 3 306.409 4.490 20 0 DIADHN CC[C@@H](NCc1cccc2cc[nH]c21)c1ccc2c(c1)OCCO2 ZINC000237018916 522884686 /nfs/dbraw/zinc/88/46/86/522884686.db2.gz JDHSEQQFKKFIHH-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccc(F)cc1 ZINC000158875361 522910495 /nfs/dbraw/zinc/91/04/95/522910495.db2.gz CDZDLXZTICIHQH-IFXJQAMLSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000158817800 522910979 /nfs/dbraw/zinc/91/09/79/522910979.db2.gz XHNYVLAHRCZLJM-HNAYVOBHSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1ccncc1 ZINC000163692731 522914092 /nfs/dbraw/zinc/91/40/92/522914092.db2.gz KXZXJVIPKFODBY-BLLLJJGKSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2c1cnn2CC)c1cccc(F)c1 ZINC000364159371 522925219 /nfs/dbraw/zinc/92/52/19/522925219.db2.gz GYVVLAQPMBMZPP-IAGOWNOFSA-N 0 3 301.409 4.160 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000158818071 522935853 /nfs/dbraw/zinc/93/58/53/522935853.db2.gz XHNYVLAHRCZLJM-DNVCBOLYSA-N 0 3 306.413 4.069 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cccnc2)ccc1SC ZINC000158542053 522945227 /nfs/dbraw/zinc/94/52/27/522945227.db2.gz QRRRJCXMWCVSKV-STQMWFEESA-N 0 3 302.443 4.224 20 0 DIADHN CC[S@@](=O)[C@@H]1CCC[C@H](N[C@@H](C)c2ccc(Cl)s2)C1 ZINC000162852558 523634681 /nfs/dbraw/zinc/63/46/81/523634681.db2.gz QFFXDCRTPQOQMZ-ULLFUIGNSA-N 0 3 319.923 4.132 20 0 DIADHN COc1ccc(C)cc1CN1CCC(OCCC(C)C)CC1 ZINC000339205274 523810498 /nfs/dbraw/zinc/81/04/98/523810498.db2.gz QCJSMKUFRGYKND-UHFFFAOYSA-N 0 3 305.462 4.031 20 0 DIADHN CCc1cc(OC)ccc1CN[C@@H]1CCCc2sccc21 ZINC000337280122 523839807 /nfs/dbraw/zinc/83/98/07/523839807.db2.gz HCLFLOHBCNSEII-QGZVFWFLSA-N 0 3 301.455 4.486 20 0 DIADHN CO[C@H](C)CCN[C@@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000360849514 523874598 /nfs/dbraw/zinc/87/45/98/523874598.db2.gz AIGNHSNAZYDSPL-ZWNOBZJWSA-N 0 3 318.244 4.222 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnn(C(C)C)c1)c1ccc(Cl)cc1 ZINC000268925288 523953603 /nfs/dbraw/zinc/95/36/03/523953603.db2.gz VYAMTFMAALCCMH-CXAGYDPISA-N 0 3 321.852 4.156 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H](C)Sc3sccc32)cc1 ZINC000336825947 524086366 /nfs/dbraw/zinc/08/63/66/524086366.db2.gz CYQUEIXQVWNSLS-IAQYHMDHSA-N 0 3 305.468 4.472 20 0 DIADHN COc1ccc(CN[C@@H]2CCSc3ccc(OC)cc32)cc1 ZINC000191484627 524086392 /nfs/dbraw/zinc/08/63/92/524086392.db2.gz YIFBVYMKLUAJIQ-QGZVFWFLSA-N 0 3 315.438 4.031 20 0 DIADHN Cc1cc(C)c(C(=O)Nc2cc(CN3CCCC3)ccc2C)o1 ZINC000128722711 524240916 /nfs/dbraw/zinc/24/09/16/524240916.db2.gz CRZHHQVZPUDMEQ-UHFFFAOYSA-N 0 3 312.413 4.053 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1CCC[C@H](c2cccnc2)C1 ZINC000337308050 524317319 /nfs/dbraw/zinc/31/73/19/524317319.db2.gz STCXKCCJRQHSLD-KSSFIOAISA-N 0 3 314.404 4.045 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1csc(Cl)c1 ZINC000189389249 524389100 /nfs/dbraw/zinc/38/91/00/524389100.db2.gz CYHMLCLQGOKBSX-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H](O)c2ccccc2F)c2ccsc2S1 ZINC000130343952 524808897 /nfs/dbraw/zinc/80/88/97/524808897.db2.gz QRSGRLNUJGVQKO-VQISRLSMSA-N 0 3 323.458 4.136 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NCCCOC(F)F ZINC000338008279 524880070 /nfs/dbraw/zinc/88/00/70/524880070.db2.gz PSCCROCFSPTTQJ-ZDUSSCGKSA-N 0 3 319.779 4.081 20 0 DIADHN C[C@@H](Cc1ccccc1C(F)(F)F)N[C@@H](C)c1nccs1 ZINC000180226098 524916456 /nfs/dbraw/zinc/91/64/56/524916456.db2.gz XUIZOVHXTIFCJQ-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cc(F)cc3cccnc32)C1 ZINC000364104093 524946126 /nfs/dbraw/zinc/94/61/26/524946126.db2.gz SGIGEVIGWNQPHU-IFXJQAMLSA-N 0 3 321.399 4.352 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CCO[C@@H](C)C2)o1 ZINC000271270576 524962876 /nfs/dbraw/zinc/96/28/76/524962876.db2.gz OHXLDLXTGQQZCG-ZFWWWQNUSA-N 0 3 303.377 4.051 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CCC[C@@H]1c1ccsc1 ZINC000266427955 525232396 /nfs/dbraw/zinc/23/23/96/525232396.db2.gz KEKWNAVKTRVPOH-QGZVFWFLSA-N 0 3 314.454 4.222 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CCc2sccc2[C@H]1C ZINC000266444488 525234004 /nfs/dbraw/zinc/23/40/04/525234004.db2.gz NGIHKVCSWXDBFO-CQSZACIVSA-N 0 3 314.454 4.004 20 0 DIADHN COc1ccc([C@H](C)CNC(C)(C)c2ncc(C)s2)cc1 ZINC000182552896 525296759 /nfs/dbraw/zinc/29/67/59/525296759.db2.gz ALNDYJFMJVASEF-GFCCVEGCSA-N 0 3 304.459 4.089 20 0 DIADHN C[C@]1(NCc2cnc(C(F)(F)F)s2)C[C@@H]1c1ccccc1 ZINC000339473343 525299885 /nfs/dbraw/zinc/29/98/85/525299885.db2.gz PWKTXZDSEFHDKB-OCCSQVGLSA-N 0 3 312.360 4.198 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H](C)c2ncc(C)s2)cc1 ZINC000162582212 525343266 /nfs/dbraw/zinc/34/32/66/525343266.db2.gz RFISUEIKKFSUDX-RDBSUJKOSA-N 0 3 304.459 4.303 20 0 DIADHN C[C@H](NCCc1nccs1)c1cc(Cl)sc1Cl ZINC000127900964 525374024 /nfs/dbraw/zinc/37/40/24/525374024.db2.gz WNQDXYBATIOOHF-ZETCQYMHSA-N 0 3 307.271 4.405 20 0 DIADHN C[C@H](NC[C@@H](CCO)c1ccccc1)c1csc(Cl)c1 ZINC000191303200 525375735 /nfs/dbraw/zinc/37/57/35/525375735.db2.gz QFVRONCVIOBFEI-GXTWGEPZSA-N 0 3 309.862 4.218 20 0 DIADHN C[C@@H](NCCCO[C@H](C)c1ccccc1)c1cncc(F)c1 ZINC000163388525 525440724 /nfs/dbraw/zinc/44/07/24/525440724.db2.gz JYZVFAZAXJROSN-HUUCEWRRSA-N 0 3 302.393 4.039 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(O)c3nc(C)ccc23)c(C)o1 ZINC000338300285 525466407 /nfs/dbraw/zinc/46/64/07/525466407.db2.gz ZTVVZXJKLWYJIM-CYBMUJFWSA-N 0 3 310.397 4.309 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccnc(N3CCCC3)c2)c(C)s1 ZINC000131374505 525469282 /nfs/dbraw/zinc/46/92/82/525469282.db2.gz NPXWFOYRBJSDER-CQSZACIVSA-N 0 3 315.486 4.211 20 0 DIADHN Cc1cc([C@@H]2CCCN2CC2CCC(C(F)(F)F)CC2)no1 ZINC000365046753 525493757 /nfs/dbraw/zinc/49/37/57/525493757.db2.gz SFOGVKMZFAWSGV-PIMMBPRGSA-N 0 3 316.367 4.489 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCO[C@H](c3ccccc3)C2)o1 ZINC000157344306 525509351 /nfs/dbraw/zinc/50/93/51/525509351.db2.gz GFXMFJCSGJITBY-ODZKNYGOSA-N 0 3 311.425 4.413 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(O)c1)c1cccc(OC(F)F)c1 ZINC000192720787 525611329 /nfs/dbraw/zinc/61/13/29/525611329.db2.gz RBYBUWYAUYVLPL-NWDGAFQWSA-N 0 3 307.340 4.405 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1ccc(OC(C)(C)C)cc1 ZINC000157152421 525622025 /nfs/dbraw/zinc/62/20/25/525622025.db2.gz XCJAYGVEEBHZDS-KGLIPLIRSA-N 0 3 301.434 4.009 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1cccs1 ZINC000182157261 525624415 /nfs/dbraw/zinc/62/44/15/525624415.db2.gz WEIDUYKPXSJGGV-JMPLFQLZSA-N 0 3 309.381 4.207 20 0 DIADHN C[C@@H](N[C@H]1CCOC2(CCCCC2)C1)c1cccnc1Cl ZINC000127497353 525687007 /nfs/dbraw/zinc/68/70/07/525687007.db2.gz KYTXPCRZFUEWNJ-KGLIPLIRSA-N 0 3 308.853 4.268 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(OC(F)(F)F)cc21)c1cccnc1 ZINC000158576011 525693534 /nfs/dbraw/zinc/69/35/34/525693534.db2.gz GJLQOTYTJOIOBE-BZNIZROVSA-N 0 3 322.330 4.318 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2c(C)cccc2Cl)cc1 ZINC000182336051 525721583 /nfs/dbraw/zinc/72/15/83/525721583.db2.gz XHMANGONLJDRRA-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(C(=O)NC3CC3)c2)c(C)c1 ZINC000268836449 525734268 /nfs/dbraw/zinc/73/42/68/525734268.db2.gz PPPKTNDVCZJKNO-MRXNPFEDSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OCC3CC3)nc2)s1 ZINC000131265239 525734858 /nfs/dbraw/zinc/73/48/58/525734858.db2.gz BNZQNOXFNABNIF-CYBMUJFWSA-N 0 3 302.443 4.091 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000165914675 525742948 /nfs/dbraw/zinc/74/29/48/525742948.db2.gz PABRPKRGYKHQHH-LRAJWGHMSA-N 0 3 301.455 4.144 20 0 DIADHN CSCc1cnc(CNCC2(Cc3ccccc3)CC2)s1 ZINC000339318548 525750041 /nfs/dbraw/zinc/75/00/41/525750041.db2.gz XGLKHGFGYGYKTG-UHFFFAOYSA-N 0 3 318.511 4.119 20 0 DIADHN CSCc1cnc(CNCC2(c3ccccc3)CCC2)s1 ZINC000339180250 525750216 /nfs/dbraw/zinc/75/02/16/525750216.db2.gz DYJYFTKFUWLMEU-UHFFFAOYSA-N 0 3 318.511 4.218 20 0 DIADHN CSCc1cnc(CN[C@H](C)CCc2ccc(C)o2)s1 ZINC000339283030 525756297 /nfs/dbraw/zinc/75/62/97/525756297.db2.gz MADKMNLZNNSFDF-LLVKDONJSA-N 0 3 310.488 4.018 20 0 DIADHN Cc1ccsc1C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128750032 525827054 /nfs/dbraw/zinc/82/70/54/525827054.db2.gz WULBAVNHUMDRTR-UHFFFAOYSA-N 0 3 314.454 4.213 20 0 DIADHN Cc1c([C@H](C)NC[C@H](C)Oc2ccccc2F)cnn1C(C)C ZINC000191315359 525918010 /nfs/dbraw/zinc/91/80/10/525918010.db2.gz XENDDLTUVHFYHF-KBPBESRZSA-N 0 3 319.424 4.030 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(N3CCCC3)c2)cc1C ZINC000131416526 525930241 /nfs/dbraw/zinc/93/02/41/525930241.db2.gz DWTCOGDYXZABKM-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)cc1C ZINC000158832442 525939941 /nfs/dbraw/zinc/93/99/41/525939941.db2.gz DZIPHPOOSVJNHL-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN COc1cccc(CN[C@@H](C)c2sc(C(C)C)nc2C)c1 ZINC000158635583 526031746 /nfs/dbraw/zinc/03/17/46/526031746.db2.gz LPXQLMXOYKHGGS-LBPRGKRZSA-N 0 3 304.459 4.434 20 0 DIADHN CSc1ccc([C@H](C)NCCc2c(C)noc2C)cc1F ZINC000155976773 526070612 /nfs/dbraw/zinc/07/06/12/526070612.db2.gz FCNPKBFEYGNBMD-JTQLQIEISA-N 0 3 308.422 4.046 20 0 DIADHN Cc1ccc2c(CN(Cc3ccco3)C(C)C)ccc(O)c2n1 ZINC000338231447 526124897 /nfs/dbraw/zinc/12/48/97/526124897.db2.gz PIMKZKASEFIKOV-UHFFFAOYSA-N 0 3 310.397 4.252 20 0 DIADHN Cc1ccc2c(CN3CCC(C(F)(F)F)CC3)ccc(O)c2n1 ZINC000338310329 526126244 /nfs/dbraw/zinc/12/62/44/526126244.db2.gz ZTKLIWLXIYPDRO-UHFFFAOYSA-N 0 3 324.346 4.023 20 0 DIADHN Cc1cc(Br)cc(C)c1CN[C@@H](C)c1ccncc1 ZINC000266469973 526154866 /nfs/dbraw/zinc/15/48/66/526154866.db2.gz NRZZFIHEHWDRBY-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)c1 ZINC000131116243 526205362 /nfs/dbraw/zinc/20/53/62/526205362.db2.gz SODPMCBGLQHVLG-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cn(C)nc1-c1ccccc1)CC2 ZINC000180414661 526210885 /nfs/dbraw/zinc/21/08/85/526210885.db2.gz UANONUBUMZVQPH-HXUWFJFHSA-N 0 3 317.436 4.173 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](C)c2cccc(OC(F)F)c2)c1 ZINC000184280376 526218679 /nfs/dbraw/zinc/21/86/79/526218679.db2.gz YHBMJKAYPFUTMR-OLZOCXBDSA-N 0 3 306.356 4.403 20 0 DIADHN Cc1ccc2cc(CNCc3ccc(OC(C)C)nc3)ccc2n1 ZINC000189258326 526232730 /nfs/dbraw/zinc/23/27/30/526232730.db2.gz LHVINSUILIZTTN-UHFFFAOYSA-N 0 3 321.424 4.015 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CNCC[C@H](C)F ZINC000339498910 526297998 /nfs/dbraw/zinc/29/79/98/526297998.db2.gz UJMBXWKJSYDCPD-LBPRGKRZSA-N 0 3 323.843 4.039 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCCC(F)(F)C3)CCC2)n1 ZINC000338303210 526326205 /nfs/dbraw/zinc/32/62/05/526326205.db2.gz MRTMWFCIBOOTKK-LBPRGKRZSA-N 0 3 300.418 4.246 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000337348680 526349436 /nfs/dbraw/zinc/34/94/36/526349436.db2.gz ZGKRPLHEJJOGHT-SUMWQHHRSA-N 0 3 302.368 4.176 20 0 DIADHN Fc1ccc(NC2CCN(CCCCC(F)(F)F)CC2)cc1 ZINC000156958642 526353917 /nfs/dbraw/zinc/35/39/17/526353917.db2.gz BSLMXNDYPOJQLF-UHFFFAOYSA-N 0 3 318.358 4.435 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cnc2ccccc2c1 ZINC000337213735 526367534 /nfs/dbraw/zinc/36/75/34/526367534.db2.gz WPJRLVWTFFSWGV-LJQANCHMSA-N 0 3 304.393 4.108 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1cccc2[nH]ccc21 ZINC000181035998 526372518 /nfs/dbraw/zinc/37/25/18/526372518.db2.gz PATCPPUYJLFQKP-GOSISDBHSA-N 0 3 310.372 4.311 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000180439194 526402227 /nfs/dbraw/zinc/40/22/27/526402227.db2.gz JYZRXTQOONAFMK-SFHVURJKSA-N 0 3 310.372 4.311 20 0 DIADHN c1cc(CCNCc2ccccc2OCc2ccncc2)cs1 ZINC000338218725 526420664 /nfs/dbraw/zinc/42/06/64/526420664.db2.gz VRDKFNDOHVKMGT-UHFFFAOYSA-N 0 3 324.449 4.054 20 0 DIADHN c1cc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)ccn1 ZINC000191004275 526437069 /nfs/dbraw/zinc/43/70/69/526437069.db2.gz XFKCXSNEHOTUAS-ROUUACIJSA-N 0 3 300.446 4.100 20 0 DIADHN c1cc2cccc(CN[C@@H](CN3CCCC3)c3ccccc3)c2[nH]1 ZINC000236657546 526449429 /nfs/dbraw/zinc/44/94/29/526449429.db2.gz DQTYBSNJGSYJDZ-FQEVSTJZSA-N 0 3 319.452 4.095 20 0 DIADHN c1ccc(-c2cccc(CN3CCC([C@H]4CCCO4)CC3)c2)nc1 ZINC000188264407 526451549 /nfs/dbraw/zinc/45/15/49/526451549.db2.gz MFHDQILSGLZDND-OAQYLSRUSA-N 0 3 322.452 4.140 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1sc(C(C)(C)C)nc1C ZINC000156040685 526497457 /nfs/dbraw/zinc/49/74/57/526497457.db2.gz PHLQGKDBLPUECQ-NSHDSACASA-N 0 3 321.490 4.247 20 0 DIADHN Cc1noc(C)c1CN1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000363826024 526506228 /nfs/dbraw/zinc/50/62/28/526506228.db2.gz SGTFNSMIHFQECR-AWEZNQCLSA-N 0 3 324.346 4.300 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NC[C@H]3CCCCO3)cs2)cs1 ZINC000156413297 526563681 /nfs/dbraw/zinc/56/36/81/526563681.db2.gz SULNBDPIOWCGAX-SMDDNHRTSA-N 0 3 322.499 4.400 20 0 DIADHN c1csc(-c2n[nH]cc2CNCc2coc3ccccc23)c1 ZINC000339410130 526573960 /nfs/dbraw/zinc/57/39/60/526573960.db2.gz PNJZPLORGKYENF-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H](C)c1cnn(C(C)(C)C)c1C ZINC000191390513 526575764 /nfs/dbraw/zinc/57/57/64/526575764.db2.gz BQPDYXYYYFXJAX-NEPJUHHUSA-N 0 3 318.465 4.006 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](C)c1cnn(C(C)(C)C)c1C ZINC000191390546 526576576 /nfs/dbraw/zinc/57/65/76/526576576.db2.gz BQPDYXYYYFXJAX-VXGBXAGGSA-N 0 3 318.465 4.006 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@H](C)c1cccnc1 ZINC000158528854 526612748 /nfs/dbraw/zinc/61/27/48/526612748.db2.gz KBDCKHOGPULBKB-OLZOCXBDSA-N 0 3 324.453 4.320 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000339177018 526669422 /nfs/dbraw/zinc/66/94/22/526669422.db2.gz YCZGHFBEVPLIGU-NHCUHLMSSA-N 0 3 323.484 4.048 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCCn1ccnc1C ZINC000127615483 526676837 /nfs/dbraw/zinc/67/68/37/526676837.db2.gz UODJAHKGKVSMJD-CQSZACIVSA-N 0 3 320.506 4.163 20 0 DIADHN Cc1nocc1CNC1CC(c2ccc(C(F)(F)F)cc2)C1 ZINC000339385428 526690272 /nfs/dbraw/zinc/69/02/72/526690272.db2.gz OPZGCIAWHSXSNZ-UHFFFAOYSA-N 0 3 310.319 4.038 20 0 DIADHN Cc1nocc1CN[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F ZINC000339331005 526695907 /nfs/dbraw/zinc/69/59/07/526695907.db2.gz GGHRMBLOAGVKNR-GOEBONIOSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccccc3C)CC2)s1 ZINC000353330643 526716822 /nfs/dbraw/zinc/71/68/22/526716822.db2.gz QRDDHEAILRGIPS-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@@H]1C1CCC1 ZINC000102927889 526751240 /nfs/dbraw/zinc/75/12/40/526751240.db2.gz SKQPPBMUKVWADN-MRXNPFEDSA-N 0 3 302.443 4.476 20 0 DIADHN Clc1ccc(-n2cc(CNCCC3=CCCC3)cn2)cc1 ZINC000338199952 526939289 /nfs/dbraw/zinc/93/92/89/526939289.db2.gz PAFDHNUCSFHHOT-UHFFFAOYSA-N 0 3 301.821 4.116 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCC[C@H]3C3CCC3)o2)c1 ZINC000106860694 526972451 /nfs/dbraw/zinc/97/24/51/526972451.db2.gz ZLFNIQPZMUCHNS-HNNXBMFYSA-N 0 3 317.820 4.155 20 0 DIADHN Clc1ccsc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000364858234 526994244 /nfs/dbraw/zinc/99/42/44/526994244.db2.gz LGXQADZGFZRNOF-XJKSGUPXSA-N 0 3 307.846 4.269 20 0 DIADHN Clc1ccsc1CN[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000364858216 526994433 /nfs/dbraw/zinc/99/44/33/526994433.db2.gz LGXQADZGFZRNOF-BBRMVZONSA-N 0 3 307.846 4.269 20 0 DIADHN O=C(Nc1ccc(-c2ccccc2)cc1)C1CCN(C2CC2)CC1 ZINC000195226705 527137412 /nfs/dbraw/zinc/13/74/12/527137412.db2.gz WXAMRRPEHCSALJ-UHFFFAOYSA-N 0 3 320.436 4.167 20 0 DIADHN Cn1cccc1CN[C@H]1CCCc2nc(-c3ccccc3)sc21 ZINC000265657222 527399105 /nfs/dbraw/zinc/39/91/05/527399105.db2.gz PZPWWMGPHOUZMT-INIZCTEOSA-N 0 3 323.465 4.316 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cnc2ccccc2c1 ZINC000337168779 527409178 /nfs/dbraw/zinc/40/91/78/527409178.db2.gz DIIWRAGQQZIQQC-HXUWFJFHSA-N 0 3 305.425 4.301 20 0 DIADHN Cc1nccn1CCCN[C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000338024783 527425519 /nfs/dbraw/zinc/42/55/19/527425519.db2.gz WTINFGJQYZADHL-HNNXBMFYSA-N 0 3 324.255 4.166 20 0 DIADHN Cc1nccnc1[C@H](C)NCC(C)(C)c1ccccc1Cl ZINC000187944016 527456495 /nfs/dbraw/zinc/45/64/95/527456495.db2.gz HVOJZANYKXKSLW-ZDUSSCGKSA-N 0 3 303.837 4.067 20 0 DIADHN OC1(CN[C@H]2CCSc3c(F)cccc32)CCCCCC1 ZINC000106030062 527510150 /nfs/dbraw/zinc/51/01/50/527510150.db2.gz UOJMHCKWTAMERX-HNNXBMFYSA-N 0 3 309.450 4.038 20 0 DIADHN OCCC[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000183012201 527542609 /nfs/dbraw/zinc/54/26/09/527542609.db2.gz HAFGQHDIHUWXGE-UXHICEINSA-N 0 3 313.416 4.307 20 0 DIADHN OCCC[C@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000183012187 527544022 /nfs/dbraw/zinc/54/40/22/527544022.db2.gz HAFGQHDIHUWXGE-VQTJNVASSA-N 0 3 313.416 4.307 20 0 DIADHN Cc1nn(C)c(C)c1CNCc1ccc(-c2ccccc2)c(C)c1 ZINC000189512279 527638208 /nfs/dbraw/zinc/63/82/08/527638208.db2.gz LKSZMDFWQYVPLK-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN O[C@@H](C[C@H]1CCCCCN1CCC(F)(F)F)c1cccs1 ZINC000267427155 527663392 /nfs/dbraw/zinc/66/33/92/527663392.db2.gz BNVVHGWRSHRGNN-OLZOCXBDSA-N 0 3 321.408 4.369 20 0 DIADHN FC(F)Oc1cccc(CN2CCC(c3ccncc3)CC2)c1 ZINC000191603795 527696711 /nfs/dbraw/zinc/69/67/11/527696711.db2.gz QOAABMURBWOGEI-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CC[C@H](c3ccccc3)C2)cs1 ZINC000275496469 536514536 /nfs/dbraw/zinc/51/45/36/536514536.db2.gz LRICLJNQOJTINB-KBMXLJTQSA-N 0 3 316.470 4.276 20 0 DIADHN CCCCCC(=O)NC1CCN(Cc2cc(C)sc2C)CC1 ZINC000299468119 534968048 /nfs/dbraw/zinc/96/80/48/534968048.db2.gz FNJCYRPJWSCIQZ-UHFFFAOYSA-N 0 3 322.518 4.026 20 0 DIADHN CC1(C)OCc2cc(CNCc3coc4ccccc34)ccc2O1 ZINC000274696177 535002966 /nfs/dbraw/zinc/00/29/66/535002966.db2.gz WNQOVTYTTGZLGK-UHFFFAOYSA-N 0 3 323.392 4.368 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3ncccc3c2)cn1 ZINC000272164058 535007088 /nfs/dbraw/zinc/00/70/88/535007088.db2.gz GIZFJSUWOPXOSR-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1ccc(Cl)cn1)c1cccnc1 ZINC000274858852 535021440 /nfs/dbraw/zinc/02/14/40/535021440.db2.gz CMWSGPYWJYBIEL-CJNGLKHVSA-N 0 3 303.837 4.220 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H](c2ccc(F)cc2)C2CC2)cc1 ZINC000274816958 535326341 /nfs/dbraw/zinc/32/63/41/535326341.db2.gz ZLOFNXUUVLYRNH-LJQANCHMSA-N 0 3 313.416 4.294 20 0 DIADHN CCOCCC1(CN[C@@H](C)c2ccc(Cl)cn2)CCCC1 ZINC000274897751 535488150 /nfs/dbraw/zinc/48/81/50/535488150.db2.gz KQAVNBHEYCAWHE-AWEZNQCLSA-N 0 3 310.869 4.373 20 0 DIADHN CCSc1cccc(CN[C@H](CCO)c2ccccc2)c1 ZINC000274395233 535742596 /nfs/dbraw/zinc/74/25/96/535742596.db2.gz DJKZPVXOZLQSNT-GOSISDBHSA-N 0 3 301.455 4.012 20 0 DIADHN CC[C@@H]([NH2+]Cc1cc(=O)oc2c(C)c([O-])ccc12)c1ccccc1 ZINC000299433384 535805306 /nfs/dbraw/zinc/80/53/06/535805306.db2.gz VOIHKKRNJJHEOR-QGZVFWFLSA-N 0 3 323.392 4.048 20 0 DIADHN CC[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000340311188 535808128 /nfs/dbraw/zinc/80/81/28/535808128.db2.gz FFZMAXJDNXSVQF-RTBURBONSA-N 0 3 324.424 4.060 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccsc1 ZINC000297151386 535808595 /nfs/dbraw/zinc/80/85/95/535808595.db2.gz AWXWGCQWOHDVEQ-MLGOLLRUSA-N 0 3 314.454 4.069 20 0 DIADHN CC[C@H](NCCOCC1CC1)c1ccc(OC(F)(F)F)cc1 ZINC000353408111 535903961 /nfs/dbraw/zinc/90/39/61/535903961.db2.gz YHNMINAQONRLQO-HNNXBMFYSA-N 0 3 317.351 4.053 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@@H](C(F)(F)F)C1)c1ccc(F)cc1F ZINC000287874182 535939101 /nfs/dbraw/zinc/93/91/01/535939101.db2.gz YBPGIUPHKLWMMR-DDTOSNHZSA-N 0 3 323.305 4.115 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccsc1 ZINC000297151390 535941313 /nfs/dbraw/zinc/94/13/13/535941313.db2.gz AWXWGCQWOHDVEQ-WBMJQRKESA-N 0 3 314.454 4.069 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000297197649 536118121 /nfs/dbraw/zinc/11/81/21/536118121.db2.gz FUBYJAVQKCVMCA-MGPQQGTHSA-N 0 3 303.837 4.153 20 0 DIADHN CN(C)[C@@H](CNc1nccc2ccc(F)cc21)c1ccccc1 ZINC000340196971 536131976 /nfs/dbraw/zinc/13/19/76/536131976.db2.gz LEXYPWDRUUJFSQ-SFHVURJKSA-N 0 3 309.388 4.089 20 0 DIADHN CC[C@H](C)N(Cc1cn(C)nc1C(F)F)Cc1ccccc1 ZINC000274498491 536145300 /nfs/dbraw/zinc/14/53/00/536145300.db2.gz QXMRZZDDTGSZQA-ZDUSSCGKSA-N 0 3 307.388 4.158 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnc(OC)c(Cl)c2)cc1 ZINC000284550633 536903448 /nfs/dbraw/zinc/90/34/48/536903448.db2.gz XWFVQWJLESPDSZ-RYUDHWBXSA-N 0 3 320.820 4.164 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2ccc(OC)c3F)cc1 ZINC000289336924 536904793 /nfs/dbraw/zinc/90/47/93/536904793.db2.gz WJUMECJJFBRGQI-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)NCc2[nH]ncc2C)cc1 ZINC000289416133 536971715 /nfs/dbraw/zinc/97/17/15/536971715.db2.gz CAFOIOGOSBVMLC-HNNXBMFYSA-N 0 3 321.424 4.245 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N(CC)CC ZINC000605367609 1038512639 /nfs/dbraw/zinc/51/26/39/1038512639.db2.gz GNEZRIHAVNVOHP-ZDUSSCGKSA-N 0 3 303.233 4.052 20 0 DIADHN C[C@H](NCC12CCC(CC1)C2)c1nc(Br)cs1 ZINC000834692419 1046649583 /nfs/dbraw/zinc/64/95/83/1046649583.db2.gz ASWARZKELJJUMQ-JBLZRFIASA-N 0 3 315.280 4.137 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000647319409 1046976279 /nfs/dbraw/zinc/97/62/79/1046976279.db2.gz ZOJUHHDNNCYNNA-RQJHMYQMSA-N 0 3 302.312 4.104 20 0 DIADHN CSCC(C)(C)NCc1cccc(Cl)c1Br ZINC001198468553 1047959977 /nfs/dbraw/zinc/95/99/77/1047959977.db2.gz YWXFEAQPWYWULS-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN CN(CCSC(F)(F)F)Cc1ccc(F)c(Cl)c1 ZINC001473380460 1061120972 /nfs/dbraw/zinc/12/09/72/1061120972.db2.gz HTGPYMRMGYHDBN-UHFFFAOYSA-N 0 3 301.736 4.164 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CC2CCC1CC2 ZINC001256191073 1062746219 /nfs/dbraw/zinc/74/62/19/1062746219.db2.gz QQNVBJDHXDWZSQ-VEAWUBTESA-N 0 3 308.263 4.255 20 0 DIADHN C[C@@H]1CN(Cc2oc3ccccc3c2Br)C[C@H]1C ZINC001473187157 1063495591 /nfs/dbraw/zinc/49/55/91/1063495591.db2.gz XARGAFPZWJGEBM-GHMZBOCLSA-N 0 3 308.219 4.283 20 0 DIADHN CC(C)[C@@H]1CCCCCN1Cc1sc(N(C)C)nc1Cl ZINC001308641771 1066132282 /nfs/dbraw/zinc/13/22/82/1066132282.db2.gz MUPJZVACEJKFAO-LBPRGKRZSA-N 0 3 315.914 4.263 20 0 DIADHN Cc1ccccc1C[C@@H](C)N(C)Cc1nc2cc(F)ccc2o1 ZINC000579795611 1035621911 /nfs/dbraw/zinc/62/19/11/1035621911.db2.gz HPOFIORZDVLHBH-CQSZACIVSA-N 0 3 312.388 4.338 20 0 DIADHN CC(C)CN(CC(=O)Nc1ccccc1-c1ccccc1)C1CC1 ZINC000044898274 1035624918 /nfs/dbraw/zinc/62/49/18/1035624918.db2.gz XSFLRQCBNPQHTA-UHFFFAOYSA-N 0 3 322.452 4.413 20 0 DIADHN COc1cccc(CN2CCS[C@H](C)[C@@H]2c2ccccc2)c1 ZINC000605335787 1035627899 /nfs/dbraw/zinc/62/78/99/1035627899.db2.gz UZBVGOCTWCZKMP-DNVCBOLYSA-N 0 3 313.466 4.374 20 0 DIADHN c1csc(CCN2CCn3cccc3[C@H]2c2ccccc2)c1 ZINC000749437134 1035651315 /nfs/dbraw/zinc/65/13/15/1035651315.db2.gz QLEFQXAQDUOVLR-LJQANCHMSA-N 0 3 308.450 4.197 20 0 DIADHN CCOCCCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000607727138 1035702279 /nfs/dbraw/zinc/70/22/79/1035702279.db2.gz HXRIVJBBIUBVCF-OAHLLOKOSA-N 0 3 312.251 4.013 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CC[C@](C)(F)[C@H](F)C1 ZINC001208203746 1035728895 /nfs/dbraw/zinc/72/88/95/1035728895.db2.gz SUXPLJFSLAJNOM-UXLLHSPISA-N 0 3 305.453 4.380 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@@H]2C(C)C)c1 ZINC000088846037 1035738109 /nfs/dbraw/zinc/73/81/09/1035738109.db2.gz IGHJLWMHXRMCKK-OAHLLOKOSA-N 0 3 312.251 4.078 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1ccc(Cl)cc1F ZINC000683224864 1035782720 /nfs/dbraw/zinc/78/27/20/1035782720.db2.gz SBZDTICLGZVIMT-UHFFFAOYSA-N 0 3 323.843 4.150 20 0 DIADHN C[C@@H](CCN1CCc2nc(C3CC3)ncc2C1)CC(C)(C)C ZINC001208662825 1035786561 /nfs/dbraw/zinc/78/65/61/1035786561.db2.gz FMUFEWFCBWECNW-AWEZNQCLSA-N 0 3 301.478 4.175 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1CCN(CC2CC2)CC1 ZINC001167077162 1035790130 /nfs/dbraw/zinc/79/01/30/1035790130.db2.gz KEGQIRGEIJCZDI-LBPRGKRZSA-N 0 3 313.272 4.082 20 0 DIADHN COC(=O)[C@@H](C)CN(Cc1ccc(Cl)cc1Cl)C(C)C ZINC001208723140 1035823797 /nfs/dbraw/zinc/82/37/97/1035823797.db2.gz MHHVCBXCVJUHNA-NSHDSACASA-N 0 3 318.244 4.013 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1cc2c(s1)CCC2 ZINC000537946925 1035844783 /nfs/dbraw/zinc/84/47/83/1035844783.db2.gz ARQOJWPVFMNKFD-GUYCJALGSA-N 0 3 312.482 4.074 20 0 DIADHN Cc1c(Cl)c(Br)ccc1NC1=CCN(C)CC1 ZINC001208843379 1035878914 /nfs/dbraw/zinc/87/89/14/1035878914.db2.gz ACBASBMWTMIICZ-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN C[C@H](CN1CC[C@H](Sc2ccc(F)cc2)C1)C(F)(F)F ZINC001208892051 1035914105 /nfs/dbraw/zinc/91/41/05/1035914105.db2.gz NABWESSHIYFJHB-MFKMUULPSA-N 0 3 307.356 4.191 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)CC1CCC1 ZINC000154527063 1035915202 /nfs/dbraw/zinc/91/52/02/1035915202.db2.gz SGNFUAXHQQACSU-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(C[C@H](C)C(F)(F)F)C1 ZINC001208893966 1035916845 /nfs/dbraw/zinc/91/68/45/1035916845.db2.gz LXWQOXLRIXYKNF-KBPBESRZSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(C[C@@H](C)C(F)(F)F)C2)cc1 ZINC001208895133 1035919838 /nfs/dbraw/zinc/91/98/38/1035919838.db2.gz YJVDQUPUBKWPTP-HIFRSBDPSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@@H]2c2cccn2C)c1 ZINC000179706883 1035928097 /nfs/dbraw/zinc/92/80/97/1035928097.db2.gz VMHBLUILPZCAHK-LJQANCHMSA-N 0 3 320.440 4.061 20 0 DIADHN Fc1cccc([C@@H]2CCCN2Cc2cccc3c2CCOC3)c1 ZINC001167094420 1035984422 /nfs/dbraw/zinc/98/44/22/1035984422.db2.gz OSXAUNNRJBXYGH-FQEVSTJZSA-N 0 3 311.400 4.236 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N(C)C[C@@H](C)c1ccccc1 ZINC000066853997 1035999635 /nfs/dbraw/zinc/99/96/35/1035999635.db2.gz YEEUPJUWGQVPSZ-QZTJIDSGSA-N 0 3 324.468 4.366 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)cn1 ZINC000154880710 1036050059 /nfs/dbraw/zinc/05/00/59/1036050059.db2.gz BOGAFCLRBUEECH-CVEARBPZSA-N 0 3 323.444 4.062 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc(Cl)ccc2Cl)c1 ZINC000047452752 1036075025 /nfs/dbraw/zinc/07/50/25/1036075025.db2.gz QMKQBFZENUJZFE-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(c2ccc(Cl)cn2)CC1 ZINC000109477818 1036107954 /nfs/dbraw/zinc/10/79/54/1036107954.db2.gz DZYSKLZWHWPXJC-QGZVFWFLSA-N 0 3 315.848 4.008 20 0 DIADHN COc1cc(CN[C@@H](c2cccnc2)C2CC2)ccc1SC ZINC000340104318 1036200686 /nfs/dbraw/zinc/20/06/86/1036200686.db2.gz MAUXDBAZTFEZFG-GOSISDBHSA-N 0 3 314.454 4.053 20 0 DIADHN CN(Cc1ccccc1Oc1ccccc1)c1cccc(N)n1 ZINC001167150787 1036212600 /nfs/dbraw/zinc/21/26/00/1036212600.db2.gz BSDTULHGSKXLKF-UHFFFAOYSA-N 0 3 305.381 4.093 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)N(C)c1ccccc1)c1ccc(C)cc1 ZINC000340154579 1036251996 /nfs/dbraw/zinc/25/19/96/1036251996.db2.gz INDJLYGBVCCFSH-QFBILLFUSA-N 0 3 310.441 4.087 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCCOC(F)(F)F ZINC000398182156 1036261505 /nfs/dbraw/zinc/26/15/05/1036261505.db2.gz SGKNJZSETUZYRC-JTQLQIEISA-N 0 3 301.308 4.182 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCC2)c1)c1cccc(C2CC2)c1 ZINC000340172986 1036272442 /nfs/dbraw/zinc/27/24/42/1036272442.db2.gz KNRSXHXTERQBAA-INIZCTEOSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001167226746 1036291865 /nfs/dbraw/zinc/29/18/65/1036291865.db2.gz BAZJSOKWSRLDPN-ZFWWWQNUSA-N 0 3 319.399 4.161 20 0 DIADHN Cc1ccccc1CN(CCOCC1CC1)Cc1ccccc1 ZINC001167237629 1036305840 /nfs/dbraw/zinc/30/58/40/1036305840.db2.gz MQXXBCAPMRWVNW-UHFFFAOYSA-N 0 3 309.453 4.424 20 0 DIADHN CO[C@H](CN(Cc1ccccc1F)C(C)C)c1ccccc1 ZINC001167248297 1036316798 /nfs/dbraw/zinc/31/67/98/1036316798.db2.gz MCRTZRPBNSONJD-LJQANCHMSA-N 0 3 301.405 4.424 20 0 DIADHN C(c1nc2c(o1)CCCC2)N1CCC[C@H]1CC1CCCCC1 ZINC001167256319 1036327567 /nfs/dbraw/zinc/32/75/67/1036327567.db2.gz VZKMSNZEPZUNOO-INIZCTEOSA-N 0 3 302.462 4.488 20 0 DIADHN CC(C)(C)CCNC(=O)CN1CCCCC[C@H]1c1ccccc1 ZINC001167271299 1036353533 /nfs/dbraw/zinc/35/35/33/1036353533.db2.gz ZLUSQRSXJGWIDY-SFHVURJKSA-N 0 3 316.489 4.156 20 0 DIADHN COC(=O)c1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1 ZINC000237214177 1036359409 /nfs/dbraw/zinc/35/94/09/1036359409.db2.gz MXECAACNFAESHN-UHFFFAOYSA-N 0 3 317.816 4.152 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2cc(C)sc2C)c1 ZINC000155427705 1036376784 /nfs/dbraw/zinc/37/67/84/1036376784.db2.gz WATLHMDEXVDUCB-GFCCVEGCSA-N 0 3 302.443 4.174 20 0 DIADHN CC[C@@H](N[C@@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000634680170 1036386027 /nfs/dbraw/zinc/38/60/27/1036386027.db2.gz NACFPNOYCAVKKL-NXEZZACHSA-N 0 3 322.396 4.160 20 0 DIADHN CNC(=O)C[C@H](N[C@@H](C)c1cccc(C2CC2)c1)c1ccccc1 ZINC000340378492 1036390441 /nfs/dbraw/zinc/39/04/41/1036390441.db2.gz ZGVLREFGFUHJQZ-YWZLYKJASA-N 0 3 322.452 4.092 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3C[C@H](C)C[C@@H](C)C3)n2)ccc1F ZINC000302954645 1036414030 /nfs/dbraw/zinc/41/40/30/1036414030.db2.gz OSWMQGIMPATACC-BZPMIXESSA-N 0 3 317.408 4.223 20 0 DIADHN Clc1c2ccccc2sc1CN1CCC12CCOCC2 ZINC000683524502 1036438285 /nfs/dbraw/zinc/43/82/85/1036438285.db2.gz BGBUHQNJKWXLJW-UHFFFAOYSA-N 0 3 307.846 4.310 20 0 DIADHN CC(C)[C@@H](NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000008002568 1036444710 /nfs/dbraw/zinc/44/47/10/1036444710.db2.gz PNZFNTIBFLWNHK-GOSISDBHSA-N 0 3 316.832 4.265 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H]1CCCn2ccnc21 ZINC000655704962 1036490527 /nfs/dbraw/zinc/49/05/27/1036490527.db2.gz RHLDASNHXQUNHD-XHDPSFHLSA-N 0 3 324.255 4.246 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cccc2ncccc12 ZINC000634687796 1036516548 /nfs/dbraw/zinc/51/65/48/1036516548.db2.gz ZYRXDWTWDWNVEH-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC[C@H](NCc1cccc(Br)c1C)c1ccncc1 ZINC000655799767 1036552800 /nfs/dbraw/zinc/55/28/00/1036552800.db2.gz OZZIKAPQSXUOFW-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN CCc1ccc(CNCc2cc3cccc(OC)c3o2)s1 ZINC000067693207 1036553856 /nfs/dbraw/zinc/55/38/56/1036553856.db2.gz NUQFHZIXGGOTTA-UHFFFAOYSA-N 0 3 301.411 4.355 20 0 DIADHN C[C@@H]1CCCN(Cc2ccc(C(=O)OC(C)(C)C)s2)CC1 ZINC001204497232 1036563015 /nfs/dbraw/zinc/56/30/15/1036563015.db2.gz MWCDELYLSRRORX-CYBMUJFWSA-N 0 3 309.475 4.325 20 0 DIADHN COc1c(C)cccc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000655811631 1036563904 /nfs/dbraw/zinc/56/39/04/1036563904.db2.gz VQRKXZAUJWGXMW-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1nc2cc(F)ccc2o1 ZINC001648816884 1036576751 /nfs/dbraw/zinc/57/67/51/1036576751.db2.gz LOONYCMABJFSSV-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN Cc1cccn2c(CN[C@@H](C)c3cccc(OC(C)C)c3)cnc12 ZINC001648818911 1036582163 /nfs/dbraw/zinc/58/21/63/1036582163.db2.gz MUQMTNGZCIGNEW-INIZCTEOSA-N 0 3 323.440 4.281 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1ccccc1Br ZINC000655838258 1036589279 /nfs/dbraw/zinc/58/92/79/1036589279.db2.gz LNEUBTMSKVJMHC-QWHCGFSZSA-N 0 3 319.246 4.126 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@H](C)c1ccccc1Br ZINC000655838256 1036589705 /nfs/dbraw/zinc/58/97/05/1036589705.db2.gz LNEUBTMSKVJMHC-CHWSQXEVSA-N 0 3 319.246 4.126 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2coc3ccccc23)cc1 ZINC000340426652 1036591185 /nfs/dbraw/zinc/59/11/85/1036591185.db2.gz NHFZYEDQSMVJNW-CYBMUJFWSA-N 0 3 308.381 4.242 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc(-n2ccnc2)cc1 ZINC000340428722 1036597274 /nfs/dbraw/zinc/59/72/74/1036597274.db2.gz URJHEUJDLLYFRM-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc2c(s1)CCCC2)[C@H]1CCCCO1 ZINC000655847627 1036597695 /nfs/dbraw/zinc/59/76/95/1036597695.db2.gz CPUJJHUYPFYVRZ-KCQAQPDRSA-N 0 3 308.491 4.020 20 0 DIADHN c1c[nH]c([C@@H](NC[C@@H]2CCC3(CCCC3)O2)C2CCCCC2)n1 ZINC000655851825 1036604052 /nfs/dbraw/zinc/60/40/52/1036604052.db2.gz HNHXRGXRWWPMLO-IRXDYDNUSA-N 0 3 317.477 4.113 20 0 DIADHN CN1CCN(CCCc2ccccc2Cl)Cc2ccccc21 ZINC001167310560 1036617529 /nfs/dbraw/zinc/61/75/29/1036617529.db2.gz WMFUARDGPWTQDD-UHFFFAOYSA-N 0 3 314.860 4.225 20 0 DIADHN CC[C@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccccc1OC(F)F ZINC000340449672 1036642449 /nfs/dbraw/zinc/64/24/49/1036642449.db2.gz OMVZMSZQQRYSRY-RISCZKNCSA-N 0 3 322.355 4.191 20 0 DIADHN CC(C)c1ccc(OCCNCc2cc(F)c(F)c(F)c2)cc1 ZINC001648879028 1036671027 /nfs/dbraw/zinc/67/10/27/1036671027.db2.gz QJCLUSRJDFTTLE-UHFFFAOYSA-N 0 3 323.358 4.396 20 0 DIADHN COc1ncc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1Cl ZINC000579982067 1036687479 /nfs/dbraw/zinc/68/74/79/1036687479.db2.gz KSIWSDGZEGBIFH-JOYOIKCWSA-N 0 3 324.774 4.371 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CC[C@@H](C)c3ccccc32)c1 ZINC000155483919 1036698004 /nfs/dbraw/zinc/69/80/04/1036698004.db2.gz MMJRCBOEQFHKAY-VLIAUNLRSA-N 0 3 308.425 4.373 20 0 DIADHN C[C@@H](N[C@H](C)[C@H]1CC1(F)F)c1ccc(Br)cc1F ZINC000639193516 1036700190 /nfs/dbraw/zinc/70/01/90/1036700190.db2.gz VKBYRRGFKOENDQ-SOCHQFKDSA-N 0 3 322.168 4.283 20 0 DIADHN COCc1cccc(CNCc2ccc(C(F)(F)F)cc2)c1 ZINC000077289153 1036709454 /nfs/dbraw/zinc/70/94/54/1036709454.db2.gz KHFAJOKTDURLJL-UHFFFAOYSA-N 0 3 309.331 4.142 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCCNc2ccccc21 ZINC000683690516 1036711858 /nfs/dbraw/zinc/71/18/58/1036711858.db2.gz JTDCSNOJDDWQMW-OALUTQOASA-N 0 3 324.424 4.055 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCCNc2ccccc21 ZINC000683690518 1036712414 /nfs/dbraw/zinc/71/24/14/1036712414.db2.gz JTDCSNOJDDWQMW-RTBURBONSA-N 0 3 324.424 4.055 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000121948968 1036717256 /nfs/dbraw/zinc/71/72/56/1036717256.db2.gz AVWJPKYJXWEWBV-NEPJUHHUSA-N 0 3 319.474 4.201 20 0 DIADHN CC(C)c1cc(CNCCCc2c(F)cccc2Cl)on1 ZINC000683705249 1036737476 /nfs/dbraw/zinc/73/74/76/1036737476.db2.gz OPTURJGMCSUHPF-UHFFFAOYSA-N 0 3 310.800 4.313 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cnc(-c2cccs2)s1 ZINC000580012703 1036738349 /nfs/dbraw/zinc/73/83/49/1036738349.db2.gz KQILXAJHMMWQJH-LLVKDONJSA-N 0 3 312.529 4.445 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2cc(C)c(C)cc2C)c1 ZINC000155508401 1036744831 /nfs/dbraw/zinc/74/48/31/1036744831.db2.gz FASIAUWFBDHXDA-MRXNPFEDSA-N 0 3 310.441 4.421 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)NCc1ccn(C)c1 ZINC000126284508 1036762768 /nfs/dbraw/zinc/76/27/68/1036762768.db2.gz PNLWCAJIDGKMKO-SFHVURJKSA-N 0 3 300.446 4.301 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc(Cl)cc(Cl)c2)c1 ZINC000047452750 1036768090 /nfs/dbraw/zinc/76/80/90/1036768090.db2.gz UNHCHYCWUAKUNI-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CCOc1cccc(CN[C@H](C)c2ccc(OC)c(F)c2)c1 ZINC000079695004 1036768603 /nfs/dbraw/zinc/76/86/03/1036768603.db2.gz RHAPIOPVLXIUGC-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN CCSCC[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC000080530970 1036777808 /nfs/dbraw/zinc/77/78/08/1036777808.db2.gz YIXSLDDZPCNXKL-ZDUSSCGKSA-N 0 3 306.500 4.431 20 0 DIADHN CCSCC[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC000080547147 1036778942 /nfs/dbraw/zinc/77/89/42/1036778942.db2.gz YIXSLDDZPCNXKL-CYBMUJFWSA-N 0 3 306.500 4.431 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)c(C(F)(F)F)c1)N(CC)CC ZINC000605368337 1036802395 /nfs/dbraw/zinc/80/23/95/1036802395.db2.gz UDMWQDQEWUVRKK-CQSZACIVSA-N 0 3 316.367 4.073 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)c(C(F)(F)F)c1)N(CC)CC ZINC000605368336 1036803432 /nfs/dbraw/zinc/80/34/32/1036803432.db2.gz UDMWQDQEWUVRKK-AWEZNQCLSA-N 0 3 316.367 4.073 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001167338194 1036806592 /nfs/dbraw/zinc/80/65/92/1036806592.db2.gz KZJWCOAMWPMNHJ-IRXDYDNUSA-N 0 3 310.526 4.314 20 0 DIADHN CCC1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)CC1 ZINC001167341850 1036826745 /nfs/dbraw/zinc/82/67/45/1036826745.db2.gz MRYWDKXSGCSNEQ-HNNXBMFYSA-N 0 3 302.245 4.149 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc(OC(F)F)c1)CCC2 ZINC000340543611 1036845766 /nfs/dbraw/zinc/84/57/66/1036845766.db2.gz SFWOXNRDTCSNBV-KRWDZBQOSA-N 0 3 319.351 4.161 20 0 DIADHN CC(C)OCc1ccccc1CNCc1ccc2cnccc2c1 ZINC000683764063 1036857484 /nfs/dbraw/zinc/85/74/84/1036857484.db2.gz WRCYLHWSUQSKOX-UHFFFAOYSA-N 0 3 320.436 4.450 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2cnccc2c1)C(C)C ZINC000683770221 1036877162 /nfs/dbraw/zinc/87/71/62/1036877162.db2.gz OLTVQRYXDYARHO-IBGZPJMESA-N 0 3 305.425 4.425 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(C2CCC2)cc1 ZINC000683782420 1036906530 /nfs/dbraw/zinc/90/65/30/1036906530.db2.gz PWUUQOHNITZGFK-HXUWFJFHSA-N 0 3 309.457 4.333 20 0 DIADHN Clc1ccc(CCNCc2nc3c(s2)CCCC3)cc1 ZINC000049916927 1036938211 /nfs/dbraw/zinc/93/82/11/1036938211.db2.gz VVUQZEKQJLMMSG-UHFFFAOYSA-N 0 3 306.862 4.008 20 0 DIADHN Cc1ccc(/C=C\CC(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000255121467 1036960516 /nfs/dbraw/zinc/96/05/16/1036960516.db2.gz PZPBYMNYMGAZEV-WAYWQWQTSA-N 0 3 322.452 4.407 20 0 DIADHN Cc1ccc2oc(C(=O)Nc3ccccc3CN(C)C)cc2c1 ZINC000556522734 1036966020 /nfs/dbraw/zinc/96/60/20/1036966020.db2.gz NJIQZZXUIFVQRR-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CC1(C)CN(Cc2ccc3cnccc3c2)CC2(CCCC2)O1 ZINC000683839591 1037002211 /nfs/dbraw/zinc/00/22/11/1037002211.db2.gz LTLGSPAKLTXRLD-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3cnccc3c1)CC21CC1 ZINC000683839442 1037002748 /nfs/dbraw/zinc/00/27/48/1037002748.db2.gz GDWQLXIKEBOWDK-UHFFFAOYSA-N 0 3 300.405 4.282 20 0 DIADHN CCOC(=O)C1(C)CCN(Cc2cc3ccccc3s2)CC1 ZINC001137685646 1037012212 /nfs/dbraw/zinc/01/22/12/1037012212.db2.gz SPZXAYAGRJBJEI-UHFFFAOYSA-N 0 3 317.454 4.067 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1ccccc1Cl ZINC000070988757 1037039025 /nfs/dbraw/zinc/03/90/25/1037039025.db2.gz GSACBUJSVXHNBO-SCLBCKFNSA-N 0 3 301.817 4.305 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N2CCN[C@@H](C(C)C)C2)CC1 ZINC001167378654 1037046176 /nfs/dbraw/zinc/04/61/76/1037046176.db2.gz BYTOVVXBVQKNET-DAWZGUTISA-N 0 3 322.537 4.076 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)o1 ZINC000683899501 1037077607 /nfs/dbraw/zinc/07/76/07/1037077607.db2.gz WJGNNGWQQIUPAE-UHFFFAOYSA-N 0 3 323.440 4.461 20 0 DIADHN Cc1ccc(Br)cc1CN[C@@H](C)c1cnccc1C ZINC000275864947 1037078281 /nfs/dbraw/zinc/07/82/81/1037078281.db2.gz JKLSVXPFBWPGMA-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000683928271 1037115615 /nfs/dbraw/zinc/11/56/15/1037115615.db2.gz YZJSXOCCNLZPNR-UHFFFAOYSA-N 0 3 321.424 4.052 20 0 DIADHN CC(C)OCc1ccccc1CNCc1cc2ccccc2[nH]1 ZINC000683928245 1037116405 /nfs/dbraw/zinc/11/64/05/1037116405.db2.gz YDKZSFXITLVVFW-UHFFFAOYSA-N 0 3 308.425 4.383 20 0 DIADHN Clc1ccc([C@@H]2CCCN2C[C@@H]2CCOC2)cc1Cl ZINC000052105728 1037117186 /nfs/dbraw/zinc/11/71/86/1037117186.db2.gz CEPAGQVWDUTDJJ-NHYWBVRUSA-N 0 3 300.229 4.167 20 0 DIADHN CC1(NCc2ncc(C(F)(F)F)s2)CCC(F)(F)CC1 ZINC000683929326 1037119102 /nfs/dbraw/zinc/11/91/02/1037119102.db2.gz BBBSDCZDFPDDJP-UHFFFAOYSA-N 0 3 314.323 4.220 20 0 DIADHN CCc1onc(C)c1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC001167397718 1037126508 /nfs/dbraw/zinc/12/65/08/1037126508.db2.gz IVIYLWASLRPITC-GFCCVEGCSA-N 0 3 306.837 4.262 20 0 DIADHN Cc1cnc(CCN[C@@H](C)c2nc(C(C)(C)C)cs2)c(C)c1 ZINC000580440493 1037133979 /nfs/dbraw/zinc/13/39/79/1037133979.db2.gz ZTZKDUVYBLUUBA-AWEZNQCLSA-N 0 3 317.502 4.346 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)s1 ZINC000536842241 1037134644 /nfs/dbraw/zinc/13/46/44/1037134644.db2.gz XNGKJLFNBCALSZ-CXAGYDPISA-N 0 3 300.471 4.148 20 0 DIADHN O=C(c1cccc(CN2C3CCCC2CCC3)c1)C(F)(F)F ZINC001167405375 1037151190 /nfs/dbraw/zinc/15/11/90/1037151190.db2.gz CQGLDORJHKSKTR-UHFFFAOYSA-N 0 3 311.347 4.339 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2ccccc2C(C)C)s1 ZINC000089597430 1037161623 /nfs/dbraw/zinc/16/16/23/1037161623.db2.gz YDKYOFXYVOIXQF-ZDUSSCGKSA-N 0 3 304.459 4.305 20 0 DIADHN [O-]c1cnccc1C[N@@H+]1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001140277047 1037198558 /nfs/dbraw/zinc/19/85/58/1037198558.db2.gz RGLJYICHKAAZOE-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnccc1C[N@H+]1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001140277047 1037198564 /nfs/dbraw/zinc/19/85/64/1037198564.db2.gz RGLJYICHKAAZOE-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN C[C@@H](CCO)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC000548114989 1037211356 /nfs/dbraw/zinc/21/13/56/1037211356.db2.gz XUOYJNQKEMZQAC-ZDUSSCGKSA-N 0 3 309.862 4.175 20 0 DIADHN Brc1ccncc1Nc1ccnc(C2CCC2)c1 ZINC001211222977 1037218576 /nfs/dbraw/zinc/21/85/76/1037218576.db2.gz ZRIAUACJUIDHQN-UHFFFAOYSA-N 0 3 304.191 4.250 20 0 DIADHN c1oc(C2CC2)nc1CN[C@H]1CCCC[C@@H]1Cc1ccccc1 ZINC000728302846 1037228216 /nfs/dbraw/zinc/22/82/16/1037228216.db2.gz YYXDKOSSQYNWHC-MJGOQNOKSA-N 0 3 310.441 4.443 20 0 DIADHN CC(C)CCOC1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000684020231 1037243154 /nfs/dbraw/zinc/24/31/54/1037243154.db2.gz IAWGEPTVTGNSHB-UHFFFAOYSA-N 0 3 300.446 4.195 20 0 DIADHN OCCN(Cc1cc2ccccc2[nH]1)[C@H]1CCCc2ccccc21 ZINC000684021600 1037247587 /nfs/dbraw/zinc/24/75/87/1037247587.db2.gz PZVPUMKHGYTYAB-NRFANRHFSA-N 0 3 320.436 4.040 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2[nH]nc3ccccc32)CC1 ZINC000684024853 1037250400 /nfs/dbraw/zinc/25/04/00/1037250400.db2.gz RWEJSFKQFXAGNJ-UHFFFAOYSA-N 0 3 309.388 4.024 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2coc(-c3ccccc3F)n2)C1 ZINC001167430174 1037253671 /nfs/dbraw/zinc/25/36/71/1037253671.db2.gz VABNAWBOXGZYAL-KGLIPLIRSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1ncc(Br)cc1Nc1ccnc(C2CCC2)c1 ZINC001211272325 1037266213 /nfs/dbraw/zinc/26/62/13/1037266213.db2.gz PQPJOMGZSQYPMB-UHFFFAOYSA-N 0 3 322.181 4.389 20 0 DIADHN C[C@@H](NCC1=CCOCC1)c1cc(Cl)cc(Cl)c1F ZINC000692302792 1037287331 /nfs/dbraw/zinc/28/73/31/1037287331.db2.gz VPYWPNKEQHKOAZ-SECBINFHSA-N 0 3 304.192 4.130 20 0 DIADHN CN(C)c1ccccc1CN(C)Cc1ccc(C(F)F)cc1 ZINC000580841066 1037324759 /nfs/dbraw/zinc/32/47/59/1037324759.db2.gz OIMFXVYGLFRPGQ-UHFFFAOYSA-N 0 3 304.384 4.322 20 0 DIADHN CCC[C@H](N[C@@H](c1ccccc1)[C@H](C)O)c1ccc(OC)cc1 ZINC000658364102 1037347061 /nfs/dbraw/zinc/34/70/61/1037347061.db2.gz ZRALHGGFWLSJQN-RYGJVYDSSA-N 0 3 313.441 4.248 20 0 DIADHN C[C@H](c1ccccc1)N1CCC2(CC1)C[C@H](O)c1ccccc1O2 ZINC000933076041 1037407520 /nfs/dbraw/zinc/40/75/20/1037407520.db2.gz RXODYVOCXNXQNU-APWZRJJASA-N 0 3 323.436 4.098 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H]1CCCCC1(C)C)c1ccco1 ZINC000581073117 1037424789 /nfs/dbraw/zinc/42/47/89/1037424789.db2.gz DDRJUNITZMLWRP-ARFHVFGLSA-N 0 3 320.477 4.041 20 0 DIADHN COc1ccc(CN(Cc2cnn3ccccc23)C(C)(C)C)cc1 ZINC000581159155 1037454857 /nfs/dbraw/zinc/45/48/57/1037454857.db2.gz UEPXRCOVPADSMQ-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1sccc1C)CCS2 ZINC000276120659 1037524446 /nfs/dbraw/zinc/52/44/46/1037524446.db2.gz JOVMTPVREAQUOS-CQSZACIVSA-N 0 3 305.468 4.392 20 0 DIADHN CCC(CC)C(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000656494625 1037550692 /nfs/dbraw/zinc/55/06/92/1037550692.db2.gz BTEICFIFQINEQW-MRXNPFEDSA-N 0 3 322.518 4.074 20 0 DIADHN CCOc1cc(CNC2(c3ccc(F)cc3)CCC2)ccc1O ZINC000656494563 1037551135 /nfs/dbraw/zinc/55/11/35/1037551135.db2.gz LCXUNXMRWXDOQH-UHFFFAOYSA-N 0 3 315.388 4.099 20 0 DIADHN Cc1ncsc1CNCc1ccc(C(F)(F)F)cc1C ZINC000276179252 1037608084 /nfs/dbraw/zinc/60/80/84/1037608084.db2.gz JVKRIDFKVCRWGV-UHFFFAOYSA-N 0 3 300.349 4.069 20 0 DIADHN c1csc([C@H](NCc2cnn(Cc3ccccc3)c2)C2CC2)c1 ZINC000390430126 1037651065 /nfs/dbraw/zinc/65/10/65/1037651065.db2.gz OXLZXUMMEMDUNU-LJQANCHMSA-N 0 3 323.465 4.234 20 0 DIADHN C[C@H](CN1CC[C@H](n2cc(Cl)cn2)C1)c1cccc(Cl)c1 ZINC000581517537 1037705755 /nfs/dbraw/zinc/70/57/55/1037705755.db2.gz KRSOGOQTCCYOHE-WBMJQRKESA-N 0 3 324.255 4.240 20 0 DIADHN CC[C@H](O)[C@H]1CCCCN1CCc1cc(Cl)cc(Cl)c1 ZINC001167469279 1037725716 /nfs/dbraw/zinc/72/57/16/1037725716.db2.gz XODLYTSFVBCDFR-CVEARBPZSA-N 0 3 316.272 4.161 20 0 DIADHN Cc1ccncc1CN1CC(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000656656152 1037745115 /nfs/dbraw/zinc/74/51/15/1037745115.db2.gz RJYPUOZIYRHPRD-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2ccc(OC)cc2)cc1F ZINC000152787134 1037759084 /nfs/dbraw/zinc/75/90/84/1037759084.db2.gz UUJADQAEHSUULE-GOSISDBHSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc2ccccc2c1 ZINC000656686175 1037791864 /nfs/dbraw/zinc/79/18/64/1037791864.db2.gz DWQGYNIZEMHEIE-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN CC[C@H](NCc1c(C2CCC2)cnn1C)c1ccc(F)cc1 ZINC000656687559 1037793355 /nfs/dbraw/zinc/79/33/55/1037793355.db2.gz CWLHSBDVBWTMFN-KRWDZBQOSA-N 0 3 301.409 4.068 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cnc(C)s3)ccc2c1 ZINC000090401025 1037834263 /nfs/dbraw/zinc/83/42/63/1037834263.db2.gz BVKKGDONVXTKNV-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1ccnc(NCc2cnc(-c3ccccc3)[nH]2)c1C1CC1 ZINC000656779977 1037862130 /nfs/dbraw/zinc/86/21/30/1037862130.db2.gz YNJYSICLIGDMCD-UHFFFAOYSA-N 0 3 304.397 4.270 20 0 DIADHN CC(C)c1ccc(CNc2ccc([C@H]3CNCCO3)cc2)cc1 ZINC000656791658 1037871107 /nfs/dbraw/zinc/87/11/07/1037871107.db2.gz GJFJUWBRTASCFF-HXUWFJFHSA-N 0 3 310.441 4.083 20 0 DIADHN Cc1oc2ccccc2c1CNc1ccc([C@@H]2CNCCO2)cc1 ZINC000656792659 1037871614 /nfs/dbraw/zinc/87/16/14/1037871614.db2.gz MTASFTPFKXFCKA-FQEVSTJZSA-N 0 3 322.408 4.014 20 0 DIADHN Cc1oc2ccccc2c1CNc1ccc([C@H]2CNCCO2)cc1 ZINC000656792660 1037872134 /nfs/dbraw/zinc/87/21/34/1037872134.db2.gz MTASFTPFKXFCKA-HXUWFJFHSA-N 0 3 322.408 4.014 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@@H](OC)C1)c1nc(C(F)(F)F)cs1 ZINC000684381853 1037907561 /nfs/dbraw/zinc/90/75/61/1037907561.db2.gz RLMOPKGAVQFQGE-MXWKQRLJSA-N 0 3 322.396 4.160 20 0 DIADHN CC(C)c1nnc(CNCC2CCC(C(F)(F)F)CC2)s1 ZINC000341400602 1037976755 /nfs/dbraw/zinc/97/67/55/1037976755.db2.gz RMCRDUWIMXKKJN-UHFFFAOYSA-N 0 3 321.412 4.120 20 0 DIADHN Cc1c(CN2CC[C@@H](c3ccc(Cl)c(Cl)c3)C2)cnn1C ZINC001204572364 1038012309 /nfs/dbraw/zinc/01/23/09/1038012309.db2.gz OZZPMZSIVADZDY-CYBMUJFWSA-N 0 3 324.255 4.025 20 0 DIADHN CCc1nn(C)cc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000684429598 1038017962 /nfs/dbraw/zinc/01/79/62/1038017962.db2.gz VAJOEWCWPDZHEI-UHFFFAOYSA-N 0 3 317.864 4.016 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1ccnn1CC1CCC1 ZINC000926190511 1038028020 /nfs/dbraw/zinc/02/80/20/1038028020.db2.gz VJGRBCFYDGMQGG-CJNGLKHVSA-N 0 3 315.486 4.473 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@H](C)c2cc(C)oc2C)cc1 ZINC000581864119 1038038565 /nfs/dbraw/zinc/03/85/65/1038038565.db2.gz OKYOOQSYJOTICJ-BIENJYKASA-N 0 3 317.429 4.332 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc2C1)c1c(F)cccc1F ZINC001168412973 1038061164 /nfs/dbraw/zinc/06/11/64/1038061164.db2.gz USNPPRCQGKQAQN-XHDPSFHLSA-N 0 3 305.343 4.312 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC3(C2)CCCCC3)cc1F ZINC001167487241 1038071994 /nfs/dbraw/zinc/07/19/94/1038071994.db2.gz IJULFGCVQCCLLV-HNNXBMFYSA-N 0 3 318.436 4.117 20 0 DIADHN CSC1CCN(Cc2cc(Cl)cc3cccnc32)CC1 ZINC000602726465 1038079029 /nfs/dbraw/zinc/07/90/29/1038079029.db2.gz SDFAZRNZJYMSOB-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN O[C@H](CN1CCC2(CCCC2)C1)c1ccc(Cl)cc1Cl ZINC001167487912 1038091249 /nfs/dbraw/zinc/09/12/49/1038091249.db2.gz UDWHQRSGXIYNBM-OAHLLOKOSA-N 0 3 314.256 4.293 20 0 DIADHN CCN(Cc1cccs1)Cc1cc(OC)c(OC)cc1C ZINC000349546757 1038117564 /nfs/dbraw/zinc/11/75/64/1038117564.db2.gz XZLZHQUTEGYHGE-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)s1 ZINC000598635251 1038142184 /nfs/dbraw/zinc/14/21/84/1038142184.db2.gz CIQZTFUCGGPBKC-LRTDBIEQSA-N 0 3 321.511 4.289 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cnn(-c2ccccc2C)c1 ZINC000647201964 1038145226 /nfs/dbraw/zinc/14/52/26/1038145226.db2.gz HPTHWFNCCMMTOY-CQSZACIVSA-N 0 3 311.351 4.001 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000581959157 1038174883 /nfs/dbraw/zinc/17/48/83/1038174883.db2.gz UBNLWKBQGGXMJT-HOCLYGCPSA-N 0 3 305.805 4.230 20 0 DIADHN CCC[C@H](NCC[C@@H](OC)C(F)(F)F)c1ncccc1Cl ZINC000926248558 1038213114 /nfs/dbraw/zinc/21/31/14/1038213114.db2.gz AVQXIOZPRVLYCX-NWDGAFQWSA-N 0 3 324.774 4.133 20 0 DIADHN COc1cccc(CNC[C@H](Nc2ccccc2)C(C)C)c1F ZINC000669681791 1038214675 /nfs/dbraw/zinc/21/46/75/1038214675.db2.gz YDRYFSXCJRRLQR-KRWDZBQOSA-N 0 3 316.420 4.061 20 0 DIADHN C/C(=C\c1ccccc1)CNC(C)(C)C(=O)Nc1ccccc1 ZINC000669681821 1038214839 /nfs/dbraw/zinc/21/48/39/1038214839.db2.gz JSUKXHYHIOBWMA-JQIJEIRASA-N 0 3 308.425 4.097 20 0 DIADHN CC(C)(C)OC(=O)CCCCCN[C@H]1CCCc2scnc21 ZINC000599029170 1038217964 /nfs/dbraw/zinc/21/79/64/1038217964.db2.gz CWRMNRUPHCSYBJ-ZDUSSCGKSA-N 0 3 324.490 4.012 20 0 DIADHN Cc1ccc(OCc2nc(CNCC3CCCC3)cs2)cc1 ZINC000603510123 1038233108 /nfs/dbraw/zinc/23/31/08/1038233108.db2.gz BONOGJUWXLBDCK-UHFFFAOYSA-N 0 3 316.470 4.310 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC[C@@H]4CCC[C@H]43)o2)cc1 ZINC000247457343 1038237916 /nfs/dbraw/zinc/23/79/16/1038237916.db2.gz HZCNFNWJIOHYTC-XJKSGUPXSA-N 0 3 300.377 4.245 20 0 DIADHN CCOC(=O)CC1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC000603554572 1038241728 /nfs/dbraw/zinc/24/17/28/1038241728.db2.gz LZPPDKZGWUKEDY-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN Cc1ncc(CN[C@@H](C)[C@H](C)c2ccccc2C(F)(F)F)o1 ZINC000657102884 1038247519 /nfs/dbraw/zinc/24/75/19/1038247519.db2.gz QULIYDNAGUMADD-QWRGUYRKSA-N 0 3 312.335 4.284 20 0 DIADHN c1nc(CN2CCCSC[C@@H]2C2CC2)oc1-c1ccccc1 ZINC000933916153 1038277006 /nfs/dbraw/zinc/27/70/06/1038277006.db2.gz PBIYYFDFMCRCMP-MRXNPFEDSA-N 0 3 314.454 4.059 20 0 DIADHN CN(CCCn1ccnc1)Cc1sc2ccccc2c1Cl ZINC000535664466 1038278301 /nfs/dbraw/zinc/27/83/01/1038278301.db2.gz BYKQZLCVABYTNM-UHFFFAOYSA-N 0 3 319.861 4.273 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3ccccc3)CCCCC2)nn1C ZINC000669698534 1038279354 /nfs/dbraw/zinc/27/93/54/1038279354.db2.gz BPMAULPODIGSDO-QGZVFWFLSA-N 0 3 311.473 4.281 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCN(c3ccc(F)cc3F)C2)oc1C ZINC000669699613 1038284473 /nfs/dbraw/zinc/28/44/73/1038284473.db2.gz BXVDWDIMOVLIKC-IUODEOHRSA-N 0 3 320.383 4.104 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN(c3ccc(F)cc3F)C2)oc1C ZINC000669699615 1038284532 /nfs/dbraw/zinc/28/45/32/1038284532.db2.gz BXVDWDIMOVLIKC-WFASDCNBSA-N 0 3 320.383 4.104 20 0 DIADHN COc1ccccc1OCCCN[C@H](C)c1cc(C)c(C)o1 ZINC000669699487 1038284932 /nfs/dbraw/zinc/28/49/32/1038284932.db2.gz PFTNNVCGCPMQJG-CQSZACIVSA-N 0 3 303.402 4.025 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCN(c3ccc(F)cc3F)C2)oc1C ZINC000669699612 1038285524 /nfs/dbraw/zinc/28/55/24/1038285524.db2.gz BXVDWDIMOVLIKC-DOMZBBRYSA-N 0 3 320.383 4.104 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@H](C)c2cccc(Cl)c2)c1 ZINC000603859147 1038304558 /nfs/dbraw/zinc/30/45/58/1038304558.db2.gz FWRYMRGUNXEKLO-CYBMUJFWSA-N 0 3 317.816 4.320 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc([S@@](C)=O)cc2)cc1 ZINC000155714213 1038310714 /nfs/dbraw/zinc/31/07/14/1038310714.db2.gz GKUQZXFXOSTFSW-QRQCRPRQSA-N 0 3 315.482 4.227 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@H]1CCC12CCC2 ZINC000669703491 1038313342 /nfs/dbraw/zinc/31/33/42/1038313342.db2.gz BQOZYNZNPRPQQV-SFHVURJKSA-N 0 3 316.514 4.288 20 0 DIADHN CCN(Cc1cccc(C(=O)OC)c1)[C@H](C)c1ccc(F)cc1 ZINC000603892867 1038315113 /nfs/dbraw/zinc/31/51/13/1038315113.db2.gz IHOBBCQTFGCXIY-CQSZACIVSA-N 0 3 315.388 4.195 20 0 DIADHN OCC[C@@H](N[C@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000535687137 1038315271 /nfs/dbraw/zinc/31/52/71/1038315271.db2.gz YWDUIGXCZRWARH-MOPGFXCFSA-N 0 3 315.844 4.431 20 0 DIADHN Cc1nc(Br)ccc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001232323902 1038320582 /nfs/dbraw/zinc/32/05/82/1038320582.db2.gz NKLXFTFTINJVGC-DZGCQCFKSA-N 0 3 323.278 4.307 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2cccc(C)c2)C(C)C)c(C)o1 ZINC000599627415 1038323513 /nfs/dbraw/zinc/32/35/13/1038323513.db2.gz MHOCYFLSZJSHAQ-SFHVURJKSA-N 0 3 315.413 4.170 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2cccc(-c3ccncc3)c2)nc1 ZINC000535780805 1038332752 /nfs/dbraw/zinc/33/27/52/1038332752.db2.gz BGJMRGQTNKLCGU-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN OCCC1CCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000535782062 1038336285 /nfs/dbraw/zinc/33/62/85/1038336285.db2.gz NEVCTLHIINBGDG-UHFFFAOYSA-N 0 3 319.445 4.149 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN1CCCOC[C@H]1C ZINC000669708708 1038347886 /nfs/dbraw/zinc/34/78/86/1038347886.db2.gz SJBCCUXHJAUKFZ-QLKUMGTLSA-N 0 3 313.363 4.220 20 0 DIADHN CCCCN(C(=O)CN(C)CCC)[C@@H](CC)c1ccccc1 ZINC000604087939 1038357579 /nfs/dbraw/zinc/35/75/79/1038357579.db2.gz KSKMZLBQHCLVHL-SFHVURJKSA-N 0 3 304.478 4.108 20 0 DIADHN Cc1noc(C[C@H](N[C@@H](C)c2ccc(C)cc2)c2ccccc2)n1 ZINC000277110606 1038370914 /nfs/dbraw/zinc/37/09/14/1038370914.db2.gz MLKFSYXRENXTLP-KXBFYZLASA-N 0 3 321.424 4.321 20 0 DIADHN FC(F)Oc1ccc(CN(CCC(F)(F)F)CC2CC2)cc1 ZINC000535826219 1038381787 /nfs/dbraw/zinc/38/17/87/1038381787.db2.gz OMMGJNJMKUCNKL-UHFFFAOYSA-N 0 3 323.305 4.452 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1Cl ZINC000090453614 1038382254 /nfs/dbraw/zinc/38/22/54/1038382254.db2.gz DURMUGJAYWNVTO-SUMWQHHRSA-N 0 3 321.852 4.156 20 0 DIADHN OCC[C@@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000684613340 1038385741 /nfs/dbraw/zinc/38/57/41/1038385741.db2.gz PDMOUEKEIRTJSK-ZDUSSCGKSA-N 0 3 316.272 4.020 20 0 DIADHN c1ccc2nc(N3CCCCC3)c(CN3CCCCC3)cc2c1 ZINC000535840958 1038392792 /nfs/dbraw/zinc/39/27/92/1038392792.db2.gz ORHNKOHVASVARG-UHFFFAOYSA-N 0 3 309.457 4.211 20 0 DIADHN CC[C@@H](N[C@@H](Cc1nc(C)no1)c1ccccc1)c1ccccc1 ZINC000277164896 1038406166 /nfs/dbraw/zinc/40/61/66/1038406166.db2.gz RKYNGXRVIAHKMX-MOPGFXCFSA-N 0 3 321.424 4.403 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@H]2CCCCO2)o1 ZINC000604415310 1038411934 /nfs/dbraw/zinc/41/19/34/1038411934.db2.gz RMSAWTHOMUOEHI-CQSZACIVSA-N 0 3 303.377 4.053 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCc2ccco2)o1 ZINC000604414623 1038412094 /nfs/dbraw/zinc/41/20/94/1038412094.db2.gz DVHBCZWYEALJJH-UHFFFAOYSA-N 0 3 303.308 4.150 20 0 DIADHN CCCCOCCNCc1ccc(-c2cccc(F)c2C)o1 ZINC000604415412 1038412664 /nfs/dbraw/zinc/41/26/64/1038412664.db2.gz GAIRKQJDGPKKQC-UHFFFAOYSA-N 0 3 305.393 4.300 20 0 DIADHN c1ccc(Oc2cccc(CN3CC(C4CCOCC4)C3)c2)cc1 ZINC000669715729 1038417231 /nfs/dbraw/zinc/41/72/31/1038417231.db2.gz HHFBGDKDDCOSQH-UHFFFAOYSA-N 0 3 323.436 4.337 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H]2c2ccccc2C)c(C)o1 ZINC000604512254 1038423774 /nfs/dbraw/zinc/42/37/74/1038423774.db2.gz VQNFPLNJLKLGHJ-QGZVFWFLSA-N 0 3 313.397 4.020 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(C(=O)OC)oc1C ZINC000604512486 1038423961 /nfs/dbraw/zinc/42/39/61/1038423961.db2.gz HLIMGUNFFCOXLZ-QGZVFWFLSA-N 0 3 315.413 4.348 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CCc2ccccc2CC1 ZINC000604759434 1038448618 /nfs/dbraw/zinc/44/86/18/1038448618.db2.gz XHSQBMWXGSNWBP-UHFFFAOYSA-N 0 3 318.420 4.251 20 0 DIADHN Cc1ccc(CN[C@@](C)(CO)c2cccc(C(F)(F)F)c2)cc1 ZINC000604767725 1038450726 /nfs/dbraw/zinc/45/07/26/1038450726.db2.gz WTWCSZJARNEUOD-KRWDZBQOSA-N 0 3 323.358 4.011 20 0 DIADHN C[C@H](NCCc1ccc(-c2ccccc2)cc1)c1cnccn1 ZINC000090468714 1038451284 /nfs/dbraw/zinc/45/12/84/1038451284.db2.gz XJIQLBLTSYWDRI-INIZCTEOSA-N 0 3 303.409 4.037 20 0 DIADHN Fc1ccccc1CN(CCCn1ccnc1)Cc1ccccc1 ZINC000013991310 1038452968 /nfs/dbraw/zinc/45/29/68/1038452968.db2.gz LQPDHFKWFOYQOR-UHFFFAOYSA-N 0 3 323.415 4.115 20 0 DIADHN Clc1ccc([C@H]2CCCCCN2CCn2cccn2)cc1 ZINC000605000517 1038475967 /nfs/dbraw/zinc/47/59/67/1038475967.db2.gz XBAJMMKOJCXUME-QGZVFWFLSA-N 0 3 303.837 4.154 20 0 DIADHN CC(C)(C)C[C@H](O)CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000605008943 1038477816 /nfs/dbraw/zinc/47/78/16/1038477816.db2.gz FDIFCXAWRYQNEY-LBPRGKRZSA-N 0 3 323.383 4.112 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCc3cccn3C)cc2)cs1 ZINC000342219209 1038483803 /nfs/dbraw/zinc/48/38/03/1038483803.db2.gz BMLJXUDZORZADC-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN COc1ccc(C)cc1CN1CCS[C@H](c2ccccc2)C1 ZINC000605156100 1038493709 /nfs/dbraw/zinc/49/37/09/1038493709.db2.gz KBQZUOUJLQVTAB-IBGZPJMESA-N 0 3 313.466 4.294 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(Cc2ccc3c(c2)COC3)C1 ZINC001167506112 1038495654 /nfs/dbraw/zinc/49/56/54/1038495654.db2.gz YPNLTRXBYYJEBX-VLIAUNLRSA-N 0 3 311.400 4.439 20 0 DIADHN COC[C@H](C)Oc1ccc(CNCc2ccc(Cl)cc2)cc1 ZINC000657288794 1038505691 /nfs/dbraw/zinc/50/56/91/1038505691.db2.gz ANMIYXXMLFGORU-AWEZNQCLSA-N 0 3 319.832 4.044 20 0 DIADHN Cc1[nH]c2ccc(CNCc3c[nH]nc3C(C)(C)C)cc2c1C ZINC000065013517 1038507240 /nfs/dbraw/zinc/50/72/40/1038507240.db2.gz CAGQXTWLUYPXFR-UHFFFAOYSA-N 0 3 310.445 4.095 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000657294162 1038508090 /nfs/dbraw/zinc/50/80/90/1038508090.db2.gz HDGOHYSZLWVUJD-BFYDXBDKSA-N 0 3 305.418 4.134 20 0 DIADHN CC(C)[C@@H](NCc1ccnn1CC1CC1)c1ccc(Cl)cc1 ZINC000657295185 1038511027 /nfs/dbraw/zinc/51/10/27/1038511027.db2.gz KFQYITDVUMNGTL-GOSISDBHSA-N 0 3 317.864 4.433 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccc(C)cc3[nH]2)cc1F ZINC000657297050 1038512031 /nfs/dbraw/zinc/51/20/31/1038512031.db2.gz ZQUPOUXVGZYOSF-ZDUSSCGKSA-N 0 3 312.388 4.475 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(OC)c(F)c2)c(C)c1 ZINC000657295318 1038512108 /nfs/dbraw/zinc/51/21/08/1038512108.db2.gz AHDTZJMOJJYADZ-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CO[C@H](CC)C3)oc21 ZINC000657295655 1038512832 /nfs/dbraw/zinc/51/28/32/1038512832.db2.gz GWOZNGHPZOZHKR-NWANDNLSSA-N 0 3 303.402 4.050 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(-c3ccccn3)c2)ccn1 ZINC000342290674 1038519805 /nfs/dbraw/zinc/51/98/05/1038519805.db2.gz NBCBAKNSLWWXCP-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cc3c(cccc3C)[nH]2)c1 ZINC000657298422 1038519899 /nfs/dbraw/zinc/51/98/99/1038519899.db2.gz OHLHGEAJNHZTFY-AWEZNQCLSA-N 0 3 321.424 4.286 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](C)c3ccc4c(c3)OCO4)cc21 ZINC000657301362 1038525934 /nfs/dbraw/zinc/52/59/34/1038525934.db2.gz BTGLKACIKDVYBE-CYBMUJFWSA-N 0 3 308.381 4.056 20 0 DIADHN OCC[C@@H](NC/C=C\c1ccccc1)c1ccc(Cl)cc1 ZINC000342311170 1038527318 /nfs/dbraw/zinc/52/73/18/1038527318.db2.gz UYOVQTBSAUOOMB-NCRRVARUSA-N 0 3 301.817 4.067 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000342316167 1038530280 /nfs/dbraw/zinc/53/02/80/1038530280.db2.gz PTNSJLXBNOAQQR-MRXNPFEDSA-N 0 3 319.408 4.428 20 0 DIADHN CCn1cc(CN(C)CCCc2cccc3ccccc32)cn1 ZINC000605575285 1038536041 /nfs/dbraw/zinc/53/60/41/1038536041.db2.gz ZTIGFVANYYUDDI-UHFFFAOYSA-N 0 3 307.441 4.121 20 0 DIADHN Nc1c(Br)cccc1CN1CCCC2(CCCC2)C1 ZINC001232521222 1038536338 /nfs/dbraw/zinc/53/63/38/1038536338.db2.gz HEHKIJLECRAOQO-UHFFFAOYSA-N 0 3 323.278 4.188 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc3cccc(C)n13)CCC2 ZINC000342326196 1038536612 /nfs/dbraw/zinc/53/66/12/1038536612.db2.gz SPTKUELZYSNSBE-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN CCCN(CCc1ccc(C)cc1)CC(=O)Nc1ccccc1C ZINC000605572786 1038537315 /nfs/dbraw/zinc/53/73/15/1038537315.db2.gz OZFZACZWDNCTCT-UHFFFAOYSA-N 0 3 324.468 4.197 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1cccc2cc[nH]c21 ZINC000342333060 1038539960 /nfs/dbraw/zinc/53/99/60/1038539960.db2.gz RMVLMESLAHHONZ-UHFFFAOYSA-N 0 3 310.445 4.026 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(CCOC2CCSCC2)C1 ZINC001167533682 1038552827 /nfs/dbraw/zinc/55/28/27/1038552827.db2.gz JPJMPMDXPFJUTI-KBXCAEBGSA-N 0 3 323.477 4.121 20 0 DIADHN CC(C)(C)C[C@H](NCc1cnc2n1CCC2)c1ccc(F)cc1 ZINC000657313423 1038555479 /nfs/dbraw/zinc/55/54/79/1038555479.db2.gz LSAIKSARZLIEAE-KRWDZBQOSA-N 0 3 315.436 4.236 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1cc2c(cc1Cl)OCCO2 ZINC001167543961 1038561444 /nfs/dbraw/zinc/56/14/44/1038561444.db2.gz GYOQZWDOSYENHP-INIZCTEOSA-N 0 3 309.837 4.122 20 0 DIADHN CC(C)Oc1ccccc1CNCc1cc2ccncc2s1 ZINC000657324766 1038570112 /nfs/dbraw/zinc/57/01/12/1038570112.db2.gz VQLITQCIOZYMQD-UHFFFAOYSA-N 0 3 312.438 4.373 20 0 DIADHN COCc1csc(CNCc2ccc(C(C)(C)C)cc2)c1 ZINC000657335264 1038576816 /nfs/dbraw/zinc/57/68/16/1038576816.db2.gz BIRVCEUQNZSOPD-UHFFFAOYSA-N 0 3 303.471 4.482 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)[nH]c2c1 ZINC000657336791 1038577941 /nfs/dbraw/zinc/57/79/41/1038577941.db2.gz WUJPAULCDODXCF-LEWJYISDSA-N 0 3 320.436 4.486 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1cccc2ccccc21 ZINC000657336447 1038580649 /nfs/dbraw/zinc/58/06/49/1038580649.db2.gz QZDXMCXRJJUUSX-UHFFFAOYSA-N 0 3 305.425 4.131 20 0 DIADHN Fc1ccc(CN2CCC23CCCC3)cc1OC(F)(F)F ZINC001143436377 1038582610 /nfs/dbraw/zinc/58/26/10/1038582610.db2.gz NXAFAALORFRCBH-UHFFFAOYSA-N 0 3 303.299 4.243 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCOc3ccccc32)c(C)c1 ZINC000657339715 1038582691 /nfs/dbraw/zinc/58/26/91/1038582691.db2.gz ZSYSOXQYXWEZQP-LJQANCHMSA-N 0 3 311.425 4.397 20 0 DIADHN Fc1ccc(CN2CCC3(CC3)CC2)cc1OC(F)(F)F ZINC001143437528 1038590502 /nfs/dbraw/zinc/59/05/02/1038590502.db2.gz YTIHLDIVTUCBHL-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN C[C@@H](NCc1ccccc1-n1ccnc1)c1ccc(Cl)s1 ZINC000152227467 1038592105 /nfs/dbraw/zinc/59/21/05/1038592105.db2.gz JXVDNOHPCAOGDH-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN Cc1sccc1CN1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC000582137762 1038595574 /nfs/dbraw/zinc/59/55/74/1038595574.db2.gz CQQHEQZITUTZBX-AWEZNQCLSA-N 0 3 309.475 4.000 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCc2cnn3c2CCC3)cc1 ZINC000657353408 1038596922 /nfs/dbraw/zinc/59/69/22/1038596922.db2.gz MLPFHUFDUCVFNS-CQSZACIVSA-N 0 3 315.486 4.181 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2noc3c2CCCC3)C1 ZINC000606435377 1038601681 /nfs/dbraw/zinc/60/16/81/1038601681.db2.gz ZQFAFAUIXLODQZ-CVEARBPZSA-N 0 3 310.441 4.240 20 0 DIADHN Cc1cccnc1[C@H](NCc1cc2ccncc2s1)C(C)C ZINC000657362909 1038602797 /nfs/dbraw/zinc/60/27/97/1038602797.db2.gz KBAJWMWWAFCNQI-QGZVFWFLSA-N 0 3 311.454 4.487 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1Cc1cccc2cccnc21 ZINC000606450016 1038602870 /nfs/dbraw/zinc/60/28/70/1038602870.db2.gz MCXYUHRVGTUBQR-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000606450975 1038602978 /nfs/dbraw/zinc/60/29/78/1038602978.db2.gz AIHHRMOIQZYAJH-CRAIPNDOSA-N 0 3 311.400 4.482 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](CC)c2ccc(F)cc2)c1 ZINC000606467151 1038605821 /nfs/dbraw/zinc/60/58/21/1038605821.db2.gz RMBCEMMBQKEGJD-GOSISDBHSA-N 0 3 314.404 4.415 20 0 DIADHN CC[C@H](N[C@@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000606467267 1038606308 /nfs/dbraw/zinc/60/63/08/1038606308.db2.gz BCVLZUVYEGWVMI-BEFAXECRSA-N 0 3 316.395 4.284 20 0 DIADHN CC[C@@H](NC[C@H]1OCCc2ccccc21)c1ccc(F)cc1F ZINC000606467845 1038607080 /nfs/dbraw/zinc/60/70/80/1038607080.db2.gz JPLKWDYYBRJHDV-RTBURBONSA-N 0 3 317.379 4.320 20 0 DIADHN CC[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc(F)cc1F ZINC000606471227 1038607452 /nfs/dbraw/zinc/60/74/52/1038607452.db2.gz JBEQMBQACSFLGB-OAHLLOKOSA-N 0 3 301.340 4.082 20 0 DIADHN CSc1cccc(NC(=O)c2cccc(CN(C)C)c2)c1C ZINC000342449165 1038609499 /nfs/dbraw/zinc/60/94/99/1038609499.db2.gz AOPSKRXJCDXCBP-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000051531221 1038616724 /nfs/dbraw/zinc/61/67/24/1038616724.db2.gz JHGXYPNAZLUOSN-MGPUTAFESA-N 0 3 313.416 4.332 20 0 DIADHN CC(C)C[C@H](NCc1cnn2c1CCC2)c1ccc(Cl)cc1 ZINC000657374169 1038619507 /nfs/dbraw/zinc/61/95/07/1038619507.db2.gz JANGZLOYDQOUQN-KRWDZBQOSA-N 0 3 317.864 4.360 20 0 DIADHN Cn1nc(C(F)(F)F)cc1CN[C@H](CC1CC1)c1ccccc1 ZINC000657378071 1038621010 /nfs/dbraw/zinc/62/10/10/1038621010.db2.gz XGJGORRSPKDRFZ-OAHLLOKOSA-N 0 3 323.362 4.070 20 0 DIADHN CS[C@H]1CC[C@@H](NCc2csc(-c3ccc(F)cc3)n2)C1 ZINC000230696187 1038621125 /nfs/dbraw/zinc/62/11/25/1038621125.db2.gz OJBHPXUCXYIXNM-HIFRSBDPSA-N 0 3 322.474 4.323 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1csc(-c2ccccn2)n1 ZINC000606936251 1038644082 /nfs/dbraw/zinc/64/40/82/1038644082.db2.gz HVMULZHVAYAUQH-OAHLLOKOSA-N 0 3 301.459 4.360 20 0 DIADHN Cc1c[nH]c(CN[C@@H](C)[C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000657422935 1038659230 /nfs/dbraw/zinc/65/92/30/1038659230.db2.gz DMKVPGFSMGBEIA-RYUDHWBXSA-N 0 3 311.351 4.019 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOC2CCCCCC2)s1 ZINC000607151989 1038659397 /nfs/dbraw/zinc/65/93/97/1038659397.db2.gz FUTVGDHDUOCYCQ-CQSZACIVSA-N 0 3 310.507 4.492 20 0 DIADHN Cc1ccc(NC(=O)C2CCCCCCC2)c(CN(C)C)c1 ZINC000172928077 1038662252 /nfs/dbraw/zinc/66/22/52/1038662252.db2.gz DSSSYXQLXCOFFB-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC000607366341 1038674690 /nfs/dbraw/zinc/67/46/90/1038674690.db2.gz PJSLMPQEKHQWJW-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN c1c(CNC2(Cc3ccccc3)CCCCC2)nnn1C1CCC1 ZINC000657435686 1038675374 /nfs/dbraw/zinc/67/53/74/1038675374.db2.gz HNXPNMLLFYKEGR-UHFFFAOYSA-N 0 3 324.472 4.038 20 0 DIADHN Cc1noc(C[C@H](NCc2cc(C)cc(C)c2)c2ccccc2)n1 ZINC000277622736 1038676144 /nfs/dbraw/zinc/67/61/44/1038676144.db2.gz KDQIJNGSLBWPEP-IBGZPJMESA-N 0 3 321.424 4.068 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](c2ccncc2)C1 ZINC000563364760 1038678016 /nfs/dbraw/zinc/67/80/16/1038678016.db2.gz QCJMYDKGHJOBGM-SWLSCSKDSA-N 0 3 304.796 4.425 20 0 DIADHN Cc1nccn1CCN(C)[C@@H](C)c1ccc(Cl)cc1Cl ZINC000342589808 1038681150 /nfs/dbraw/zinc/68/11/50/1038681150.db2.gz VIDANHCRNPDKRG-NSHDSACASA-N 0 3 312.244 4.191 20 0 DIADHN Cc1cc(CN[C@@H](CC(C)C)c2ccc(Cl)cc2)n(C)n1 ZINC000342590531 1038681719 /nfs/dbraw/zinc/68/17/19/1038681719.db2.gz GQBUYCCIDWZSOU-KRWDZBQOSA-N 0 3 305.853 4.259 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1ccc(C)cc1OC ZINC000119859197 1038681820 /nfs/dbraw/zinc/68/18/20/1038681820.db2.gz OPZPIOFERZNKFW-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc(N(C)C)c1)CCCO2 ZINC000119883483 1038683740 /nfs/dbraw/zinc/68/37/40/1038683740.db2.gz VXNJGYJIFKDPKQ-IBGZPJMESA-N 0 3 310.441 4.065 20 0 DIADHN CCc1ccc(CN[C@H](Cc2nc(C)no2)c2ccccc2)cc1 ZINC000277663479 1038688522 /nfs/dbraw/zinc/68/85/22/1038688522.db2.gz OFLZEVZWWLYPHG-LJQANCHMSA-N 0 3 321.424 4.014 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000657453236 1038692886 /nfs/dbraw/zinc/69/28/86/1038692886.db2.gz TYWSUMJSAOQAPT-DOTOQJQBSA-N 0 3 301.409 4.029 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](CC(F)(F)F)c2ccccc2C)CO1 ZINC000657450683 1038692940 /nfs/dbraw/zinc/69/29/40/1038692940.db2.gz YQFIPVOENQDHGD-GZBFAFLISA-N 0 3 301.352 4.146 20 0 DIADHN CN(C)c1cccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)c1 ZINC000119904690 1038705564 /nfs/dbraw/zinc/70/55/64/1038705564.db2.gz JMTZUBLFXRYNJE-LJQANCHMSA-N 0 3 322.452 4.289 20 0 DIADHN CCCOc1c(Cl)cc(CNC2CC(F)(F)C2)cc1OC ZINC000277700831 1038706899 /nfs/dbraw/zinc/70/68/99/1038706899.db2.gz RHWWXMSTMCCISO-UHFFFAOYSA-N 0 3 319.779 4.025 20 0 DIADHN S=c1[nH]ccn1CN1CCC[C@H]1c1cccc2ccccc21 ZINC000173320596 1038713958 /nfs/dbraw/zinc/71/39/58/1038713958.db2.gz WOQOGFKPYCGSRW-KRWDZBQOSA-N 0 3 309.438 4.493 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1C[C@H]2CCC[C@@H]2C1 ZINC000152741129 1038715910 /nfs/dbraw/zinc/71/59/10/1038715910.db2.gz XKYHUMQVOWXKNQ-BRWVUGGUSA-N 0 3 314.473 4.177 20 0 DIADHN Cn1cc(CN(Cc2ccccc2)C2CC2)c(-c2cccs2)n1 ZINC000342675899 1038716422 /nfs/dbraw/zinc/71/64/22/1038716422.db2.gz CUCHYQCPAYHSMC-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCOCC(F)(F)F)c1C ZINC000607735947 1038718149 /nfs/dbraw/zinc/71/81/49/1038718149.db2.gz OQAOACLIHSFWTC-OAHLLOKOSA-N 0 3 301.352 4.019 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc(OC(F)F)cc1 ZINC000607747452 1038722166 /nfs/dbraw/zinc/72/21/66/1038722166.db2.gz FZCLXOQBKSPWTR-CYBMUJFWSA-N 0 3 303.352 4.235 20 0 DIADHN C[C@@H]1C[C@H](NCc2cn(C3CCC3)nn2)C[C@@H](c2ccccc2)C1 ZINC000657492489 1038724677 /nfs/dbraw/zinc/72/46/77/1038724677.db2.gz FGKMDEMFMGXLME-SZMVWBNQSA-N 0 3 324.472 4.065 20 0 DIADHN Cc1ccc(CNCc2c(C3CCC3)cnn2C)c2ccccc12 ZINC000657491528 1038724801 /nfs/dbraw/zinc/72/48/01/1038724801.db2.gz VUCLIMGIMKJCEV-UHFFFAOYSA-N 0 3 319.452 4.439 20 0 DIADHN C[C@H]1CN(Cc2nc3ccccc3o2)CC[C@H]1c1ccccc1 ZINC000607752151 1038725361 /nfs/dbraw/zinc/72/53/61/1038725361.db2.gz KOYALUFXIAFYIY-DOTOQJQBSA-N 0 3 306.409 4.453 20 0 DIADHN FC(F)(F)c1ccc(CN(CCOCC2CC2)C2CC2)cc1 ZINC000607726301 1038725694 /nfs/dbraw/zinc/72/56/94/1038725694.db2.gz SDSNHUQGIGKLCK-UHFFFAOYSA-N 0 3 313.363 4.096 20 0 DIADHN CCCN(Cc1nc2ccccc2c(C)c1Cl)CC(C)(C)O ZINC000607753594 1038726777 /nfs/dbraw/zinc/72/67/77/1038726777.db2.gz DPLNINTUNDCABU-UHFFFAOYSA-N 0 3 320.864 4.180 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ncc(-c2ccccc2F)o1 ZINC000607728179 1038727146 /nfs/dbraw/zinc/72/71/46/1038727146.db2.gz NJOGENLJBAUFQP-AWEZNQCLSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1ccc2nc(CN3CC[C@@H](c4ccccc4)[C@H](C)C3)cn2c1 ZINC000607755684 1038728322 /nfs/dbraw/zinc/72/83/22/1038728322.db2.gz IGOSRQIYXGHCNM-YLJYHZDGSA-N 0 3 319.452 4.268 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1csc(-c2ccccn2)n1 ZINC000607754782 1038728450 /nfs/dbraw/zinc/72/84/50/1038728450.db2.gz XHCYNOITFMXHJJ-UONOGXRCSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000607754781 1038729064 /nfs/dbraw/zinc/72/90/64/1038729064.db2.gz XHCYNOITFMXHJJ-KGLIPLIRSA-N 0 3 301.459 4.216 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@@H]3c3ccc(F)cc3)ccc21 ZINC000342715082 1038735191 /nfs/dbraw/zinc/73/51/91/1038735191.db2.gz KSEWSRCMASZLMZ-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CCCc2cccnc21 ZINC000684954963 1038739164 /nfs/dbraw/zinc/73/91/64/1038739164.db2.gz HWIWIIDUJYGIGT-RBUKOAKNSA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCc3cccnc32)C(C)C)cc1 ZINC000684954341 1038739615 /nfs/dbraw/zinc/73/96/15/1038739615.db2.gz ZEVFAPQJUAEINW-RBUKOAKNSA-N 0 3 310.441 4.455 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCCc3cccnc32)C(C)C)cc1 ZINC000684954337 1038739651 /nfs/dbraw/zinc/73/96/51/1038739651.db2.gz ZEVFAPQJUAEINW-MOPGFXCFSA-N 0 3 310.441 4.455 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)N[C@@H]1CCCc2cccnc21 ZINC000684956743 1038739810 /nfs/dbraw/zinc/73/98/10/1038739810.db2.gz YCINPJFVHZGZMP-FUHWJXTLSA-N 0 3 310.441 4.133 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cc2ccccc2[nH]1 ZINC000684955625 1038740044 /nfs/dbraw/zinc/74/00/44/1038740044.db2.gz QUACLRUZPAQUNK-GOSISDBHSA-N 0 3 310.372 4.093 20 0 DIADHN c1ccc(-c2cccc(CN[C@@H]3CCCc4cccnc43)c2)nc1 ZINC000684960812 1038747058 /nfs/dbraw/zinc/74/70/58/1038747058.db2.gz UAGWZXPVJUJRLK-HXUWFJFHSA-N 0 3 315.420 4.311 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(-c3ccncc3)c[nH]c2=O)ccn1 ZINC001213162725 1038749179 /nfs/dbraw/zinc/74/91/79/1038749179.db2.gz JXNCJBCBLIDCJU-UHFFFAOYSA-N 0 3 320.396 4.285 20 0 DIADHN CCn1nccc1CN[C@H]1CCCCc2ccc(Cl)cc21 ZINC000663467815 1038752609 /nfs/dbraw/zinc/75/26/09/1038752609.db2.gz ODCZIBZPUSMEDB-KRWDZBQOSA-N 0 3 303.837 4.114 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NC/C(C)=C\c1ccccc1 ZINC000608062693 1038763073 /nfs/dbraw/zinc/76/30/73/1038763073.db2.gz HCUCUEJLBVXYNG-RYBIEJRYSA-N 0 3 309.409 4.212 20 0 DIADHN Cc1ccoc1CNC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000608053202 1038766881 /nfs/dbraw/zinc/76/68/81/1038766881.db2.gz SKWLXCMNHOUTFR-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN FC(F)COc1ccc(CNC2(c3ccccc3)CC2)cc1 ZINC000608056624 1038768158 /nfs/dbraw/zinc/76/81/58/1038768158.db2.gz PUIJBXGMGZSEHQ-UHFFFAOYSA-N 0 3 303.352 4.109 20 0 DIADHN C[C@@H](CNCc1ccc(F)c(Cl)c1Cl)c1ccccn1 ZINC000657534204 1038769921 /nfs/dbraw/zinc/76/99/21/1038769921.db2.gz FXCYQBWYKRNDGM-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN CC(C)CN(CC(=O)N[C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000027110413 1038772044 /nfs/dbraw/zinc/77/20/44/1038772044.db2.gz GUVWCYVKWYYSRR-MRXNPFEDSA-N 0 3 324.468 4.137 20 0 DIADHN Cc1ncc(CNCc2cc(C)cc(C)c2)n1-c1ccccc1 ZINC000608142058 1038777895 /nfs/dbraw/zinc/77/78/95/1038777895.db2.gz HENNCFSBOYCHQA-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000075021758 1038784876 /nfs/dbraw/zinc/78/48/76/1038784876.db2.gz WLKVRNLXWANZJG-NWDGAFQWSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H]1CN(Cc2ccc(F)c(OC(F)(F)F)c2)C2(CC2)C1 ZINC001143446715 1038797476 /nfs/dbraw/zinc/79/74/76/1038797476.db2.gz ILYBJEABIRZJOS-JTQLQIEISA-N 0 3 303.299 4.099 20 0 DIADHN CCC[C@H](NCc1ccc([S@@](C)=O)cc1)c1ccsc1 ZINC000187793374 1038805329 /nfs/dbraw/zinc/80/53/29/1038805329.db2.gz UKEWTPZUOZOOKL-OXJNMPFZSA-N 0 3 307.484 4.117 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccc(F)c2cccnc12 ZINC000075681575 1038815849 /nfs/dbraw/zinc/81/58/49/1038815849.db2.gz FKCBIZXSSUMYFP-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1C[C@H](C)[C@H](C)C1 ZINC000076113843 1038832921 /nfs/dbraw/zinc/83/29/21/1038832921.db2.gz SPCJDMWPLFLJRJ-OWCLPIDISA-N 0 3 302.462 4.033 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C(F)(F)F)c(C)c1)N(CC)CC ZINC000608557833 1038882941 /nfs/dbraw/zinc/88/29/41/1038882941.db2.gz VORNBCLXKICAKC-CQSZACIVSA-N 0 3 316.367 4.073 20 0 DIADHN COCCCOc1cccc(CNCc2cc(C)cc(C)c2)c1 ZINC000125814598 1038886371 /nfs/dbraw/zinc/88/63/71/1038886371.db2.gz ISEQHFWAGJZKSK-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN CCN(CC)C(=O)[C@H](NCCC(C)(C)CC)c1ccccc1 ZINC000608560964 1038887279 /nfs/dbraw/zinc/88/72/79/1038887279.db2.gz MQUZFEKVIOEUHT-QGZVFWFLSA-N 0 3 304.478 4.012 20 0 DIADHN CCC(C)(C)CC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000608585884 1038896174 /nfs/dbraw/zinc/89/61/74/1038896174.db2.gz BUCGDDIQAPPLCG-GOSISDBHSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(-c2ccccc2)cc1)C(=O)N1CCCC1 ZINC000078268434 1038907127 /nfs/dbraw/zinc/90/71/27/1038907127.db2.gz MQFAXRMGFUVZQX-IRXDYDNUSA-N 0 3 322.452 4.015 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cncc(F)c2)C2CC2)cc1 ZINC000119209280 1038909061 /nfs/dbraw/zinc/90/90/61/1038909061.db2.gz SWNUDMLCSWQJTI-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cc(CN2CC[C@@H]3OCCC[C@@H]3C2)cc(C(F)(F)F)c1 ZINC001143447253 1038911289 /nfs/dbraw/zinc/91/12/89/1038911289.db2.gz AQQXFZOPTZUKPI-ZBFHGGJFSA-N 0 3 313.363 4.015 20 0 DIADHN CCCCCC[C@@H](C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000063916146 1038913626 /nfs/dbraw/zinc/91/36/26/1038913626.db2.gz SVXKEMIPOKCJPP-QGZVFWFLSA-N 0 3 316.489 4.371 20 0 DIADHN COc1ccc(CN[C@@H](c2ccccc2F)C(C)C)cc1OC ZINC000657615847 1038913943 /nfs/dbraw/zinc/91/39/43/1038913943.db2.gz RPDOFJCNEAXZPS-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3cnc(C)nc3C)ccc2c1 ZINC000119432282 1038923918 /nfs/dbraw/zinc/92/39/18/1038923918.db2.gz RKRCCFSPMCCNMN-ZDUSSCGKSA-N 0 3 321.424 4.106 20 0 DIADHN CC(C)c1nc2ccc(Nc3ccnc(C(C)(C)C)c3)cn2n1 ZINC001213164355 1038927630 /nfs/dbraw/zinc/92/76/30/1038927630.db2.gz OMIXBFWAMCFYTB-UHFFFAOYSA-N 0 3 309.417 4.289 20 0 DIADHN Cc1nccn1CCCN(Cc1ccco1)Cc1cccc(C)c1 ZINC000342863743 1038930945 /nfs/dbraw/zinc/93/09/45/1038930945.db2.gz JIYBAWDPCMRTIB-UHFFFAOYSA-N 0 3 323.440 4.185 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2OC(F)F)CC1(F)F ZINC000657670108 1038979899 /nfs/dbraw/zinc/97/98/99/1038979899.db2.gz RRBBUBYGCFJAAX-GFCCVEGCSA-N 0 3 311.706 4.076 20 0 DIADHN COCc1csc(CNCc2cccn2CCC(C)C)c1 ZINC000657659563 1038961754 /nfs/dbraw/zinc/96/17/54/1038961754.db2.gz MTGYAGBUATXDCH-UHFFFAOYSA-N 0 3 306.475 4.032 20 0 DIADHN Cc1cc([C@H](C)NC[C@@](C)(O)c2ccccc2Cl)oc1C ZINC000800490640 1038962790 /nfs/dbraw/zinc/96/27/90/1038962790.db2.gz MZDGJFSTRUAZAC-YVEFUNNKSA-N 0 3 307.821 4.108 20 0 DIADHN CC(C)c1cnc(CNCCc2cn(C)c3ccccc23)s1 ZINC000657661371 1038967507 /nfs/dbraw/zinc/96/75/07/1038967507.db2.gz FAJODTAUFUNMMB-UHFFFAOYSA-N 0 3 313.470 4.091 20 0 DIADHN C[C@]1(CNCc2c(Cl)cccc2OC(F)F)CC1(F)F ZINC000657666580 1038970674 /nfs/dbraw/zinc/97/06/74/1038970674.db2.gz UUMSLRFPBIBDQJ-GFCCVEGCSA-N 0 3 311.706 4.076 20 0 DIADHN C[C@]1(CNCc2c(Cl)cccc2N2CCCC2)CC1(F)F ZINC000657665329 1038973905 /nfs/dbraw/zinc/97/39/05/1038973905.db2.gz NSXUBRBAJMYWAX-OAHLLOKOSA-N 0 3 314.807 4.075 20 0 DIADHN C[C@@]1(CNCc2ccc(-c3c(F)cccc3F)o2)CC1(F)F ZINC000657667026 1038976803 /nfs/dbraw/zinc/97/68/03/1038976803.db2.gz WTEKAUCAGVTJET-HNNXBMFYSA-N 0 3 313.294 4.360 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CCO[C@@H]2C2CC2)o1 ZINC000534094940 1038982823 /nfs/dbraw/zinc/98/28/23/1038982823.db2.gz OEPVVTPBLGHQEP-PKOBYXMFSA-N 0 3 315.388 4.051 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc(OC(F)(F)F)c1)CC2 ZINC000657693130 1039015035 /nfs/dbraw/zinc/01/50/35/1039015035.db2.gz JRVNBJRHZXKMQA-INIZCTEOSA-N 0 3 323.314 4.068 20 0 DIADHN C[C@@H]1CCN(Cc2ccc3c(c2)ncn3C)[C@H](c2ccco2)C1 ZINC000342924581 1039016124 /nfs/dbraw/zinc/01/61/24/1039016124.db2.gz FYJMRKUQCMOKIX-KDOFPFPSSA-N 0 3 309.413 4.140 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(O)cc32)cc2cccnc12 ZINC000657693034 1039017402 /nfs/dbraw/zinc/01/74/02/1039017402.db2.gz IHNIFZXLPZKHNA-LJQANCHMSA-N 0 3 304.393 4.026 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc(OC3CCCC3)c1)CC2 ZINC000657694249 1039017943 /nfs/dbraw/zinc/01/79/43/1039017943.db2.gz TWISMXXIBNHGSM-OAQYLSRUSA-N 0 3 323.436 4.491 20 0 DIADHN CC(C)COc1ccc(CN[C@H]2CCc3ccc(O)cc32)cc1 ZINC000657694563 1039018055 /nfs/dbraw/zinc/01/80/55/1039018055.db2.gz UAAGWKDMAQFLBB-FQEVSTJZSA-N 0 3 311.425 4.204 20 0 DIADHN Clc1ccc(-c2cnc(CN3CC[C@H](C4CC4)C3)o2)cc1 ZINC000534278393 1039020203 /nfs/dbraw/zinc/02/02/03/1039020203.db2.gz SZKUYGOWBMMPBN-AWEZNQCLSA-N 0 3 302.805 4.227 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCc1ccccn1 ZINC000121131157 1039032480 /nfs/dbraw/zinc/03/24/80/1039032480.db2.gz XLEFLLMHKHRXEX-AWEZNQCLSA-N 0 3 303.475 4.205 20 0 DIADHN C[C@H](NCc1cccc(COCc2ccco2)c1)c1ccncc1 ZINC000534336090 1039037448 /nfs/dbraw/zinc/03/74/48/1039037448.db2.gz LSJACNQPOJMUDT-INIZCTEOSA-N 0 3 322.408 4.242 20 0 DIADHN O[C@@H]1CCC[C@@H](CNCc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000230670322 1039038174 /nfs/dbraw/zinc/03/81/74/1039038174.db2.gz TVDNWAJMZOGYKP-NXEZZACHSA-N 0 3 322.663 4.288 20 0 DIADHN CSc1ccc([C@H](C)NCc2cc3ccccc3[nH]c2=O)cc1 ZINC000078973879 1039053878 /nfs/dbraw/zinc/05/38/78/1039053878.db2.gz PEFOMXCSPTYBJT-ZDUSSCGKSA-N 0 3 324.449 4.101 20 0 DIADHN c1ccc([C@H](NCc2cccc3c2OCCCO3)C2CC2)cc1 ZINC000078991837 1039058267 /nfs/dbraw/zinc/05/82/67/1039058267.db2.gz HHROUWUJGUVOBF-IBGZPJMESA-N 0 3 309.409 4.089 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC001167648624 1039083448 /nfs/dbraw/zinc/08/34/48/1039083448.db2.gz VPJXDOIFHVSSCT-OAHLLOKOSA-N 0 3 321.436 4.078 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2cccs2)cc1F ZINC000153699712 1039093967 /nfs/dbraw/zinc/09/39/67/1039093967.db2.gz PMJWQWGNNCGRTH-LBPRGKRZSA-N 0 3 315.417 4.232 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN1CCC[C@H]1C1CCC1 ZINC000343008136 1039096779 /nfs/dbraw/zinc/09/67/79/1039096779.db2.gz NKPAKSSUWHRGIN-SFHVURJKSA-N 0 3 301.430 4.052 20 0 DIADHN CCc1ccc([C@@H](COC)NC2CCC(C(F)(F)F)CC2)o1 ZINC000123334467 1039146126 /nfs/dbraw/zinc/14/61/26/1039146126.db2.gz RLLQGJGRYJGDFC-ORHYLEIMSA-N 0 3 319.367 4.240 20 0 DIADHN CC1(C)[C@@H](CNCc2ccc(Sc3ccccn3)o2)C1(F)F ZINC000657738280 1039183045 /nfs/dbraw/zinc/18/30/45/1039183045.db2.gz LHDYRNPFCYWFOV-GFCCVEGCSA-N 0 3 324.396 4.207 20 0 DIADHN Cc1cc([C@@H](NCc2cnc3cccc(C)n23)C2CC2)ccc1F ZINC000535369251 1039194439 /nfs/dbraw/zinc/19/44/39/1039194439.db2.gz MGYOYXCIYOUPLV-FQEVSTJZSA-N 0 3 323.415 4.331 20 0 DIADHN OCC[C@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105030 1039199052 /nfs/dbraw/zinc/19/90/52/1039199052.db2.gz UGAFVBYENKSRAD-ROUUACIJSA-N 0 3 301.817 4.041 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cccc2cccnc21)c1ccccc1 ZINC000657741215 1039201477 /nfs/dbraw/zinc/20/14/77/1039201477.db2.gz FOGGSKWPQLXNJJ-LEWJYISDSA-N 0 3 320.436 4.083 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)cc1 ZINC000657742466 1039204329 /nfs/dbraw/zinc/20/43/29/1039204329.db2.gz RKDFUHCDHDGDLV-RTBURBONSA-N 0 3 315.482 4.256 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc(C)c2Cl)c1 ZINC000535417521 1039205704 /nfs/dbraw/zinc/20/57/04/1039205704.db2.gz KZFAURNNXVDKSB-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000657743594 1039210597 /nfs/dbraw/zinc/21/05/97/1039210597.db2.gz BQOBCPYCKNWKEE-UXHICEINSA-N 0 3 309.409 4.281 20 0 DIADHN Cc1ccc(/C=C/CC(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000535416535 1039210854 /nfs/dbraw/zinc/21/08/54/1039210854.db2.gz PPLJLPIICBOHKO-AATRIKPKSA-N 0 3 322.452 4.407 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000657743593 1039211039 /nfs/dbraw/zinc/21/10/39/1039211039.db2.gz BQOBCPYCKNWKEE-PMACEKPBSA-N 0 3 309.409 4.281 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc(Cl)cc1)c1ccccc1 ZINC000657742021 1039211446 /nfs/dbraw/zinc/21/14/46/1039211446.db2.gz KHWVTNIYYCYTTD-ZWKOTPCHSA-N 0 3 303.833 4.188 20 0 DIADHN Fc1ccc(CN(Cc2ccc(-n3cccn3)cc2)C2CC2)cc1 ZINC000343118565 1039212784 /nfs/dbraw/zinc/21/27/84/1039212784.db2.gz IBNFNPWPVFHCLT-UHFFFAOYSA-N 0 3 321.399 4.176 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCCCCC2)c(Cl)c1 ZINC000139804220 1039219379 /nfs/dbraw/zinc/21/93/79/1039219379.db2.gz XBRPZDGXTSQCFV-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN CCOCc1ccccc1CN[C@@H](CC(C)C)c1ccncc1 ZINC000647281675 1039224113 /nfs/dbraw/zinc/22/41/13/1039224113.db2.gz AOCUQPJSCVROFZ-FQEVSTJZSA-N 0 3 312.457 4.495 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@H](C)c3ccnn3C)c2)cc1 ZINC000278158445 1039228777 /nfs/dbraw/zinc/22/87/77/1039228777.db2.gz ATEAGMUPRAXOQO-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc2[nH]ccc2c1)c1ccccc1 ZINC000657745259 1039231578 /nfs/dbraw/zinc/23/15/78/1039231578.db2.gz ILVWJHTZIFJLLV-UXHICEINSA-N 0 3 308.425 4.016 20 0 DIADHN Cn1c(CN2CCC[C@@H]2C2CCCCC2)nnc1-c1ccccc1 ZINC000535523285 1039232478 /nfs/dbraw/zinc/23/24/78/1039232478.db2.gz DKMGNSJWFPXQLL-GOSISDBHSA-N 0 3 324.472 4.027 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](c1ccccc1)N1CC[C@@H](C2CC2)C1 ZINC000491244472 1039234136 /nfs/dbraw/zinc/23/41/36/1039234136.db2.gz VWYDSMIVNGLLJH-UYAOXDASSA-N 0 3 320.436 4.098 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C)cc2Cl)C12CCC2 ZINC001167662666 1039237533 /nfs/dbraw/zinc/23/75/33/1039237533.db2.gz ALTGZTXYXWZNPQ-DLBZAZTESA-N 0 3 307.865 4.428 20 0 DIADHN C[C@H](NCc1coc(-c2ccccc2)n1)C1CCC(F)CC1 ZINC000657746165 1039238952 /nfs/dbraw/zinc/23/89/52/1039238952.db2.gz ZYOSXSLOVPYDCM-HLIUYOAVSA-N 0 3 302.393 4.348 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3ccccc3)[C@H](O)C(C)C)[nH]c2c1 ZINC000657746756 1039240851 /nfs/dbraw/zinc/24/08/51/1039240851.db2.gz NNBHWMHKAZWMIZ-LEWJYISDSA-N 0 3 322.452 4.324 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000657746737 1039242828 /nfs/dbraw/zinc/24/28/28/1039242828.db2.gz NDDVQBBGXMECBD-ZWKOTPCHSA-N 0 3 319.395 4.472 20 0 DIADHN CC(C)n1ccnc1CN1CCCC[C@H]1CCc1ccccc1 ZINC000535574706 1039247563 /nfs/dbraw/zinc/24/75/63/1039247563.db2.gz CAEVLDFZQGPEDV-IBGZPJMESA-N 0 3 311.473 4.451 20 0 DIADHN CCc1ncc(CN[C@@H](C)Cc2c(Cl)cccc2Cl)o1 ZINC000449611192 1039251257 /nfs/dbraw/zinc/25/12/57/1039251257.db2.gz UQCSBUOATMVBAO-JTQLQIEISA-N 0 3 313.228 4.265 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc2cnccc2c1)c1ccccc1 ZINC000657747756 1039255057 /nfs/dbraw/zinc/25/50/57/1039255057.db2.gz RWNMYLCBDSYVMG-LEWJYISDSA-N 0 3 320.436 4.083 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](CO)c2ccccc2)cc1 ZINC000535989107 1039266943 /nfs/dbraw/zinc/26/69/43/1039266943.db2.gz QFFMJGMJRILBJS-KBXCAEBGSA-N 0 3 301.455 4.183 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc(C(C)(C)C)cs2)C12CCCC2 ZINC000539494192 1039269092 /nfs/dbraw/zinc/26/90/92/1039269092.db2.gz AVWMFUOUJARUFI-ZFWWWQNUSA-N 0 3 322.518 4.268 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccco2)o1)C1CCCCC1 ZINC000539491283 1039271572 /nfs/dbraw/zinc/27/15/72/1039271572.db2.gz MXRFMJVIBIMORA-AWEZNQCLSA-N 0 3 303.406 4.168 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCO2)c2ccc(Cl)cc2)cc1 ZINC000491259944 1039276193 /nfs/dbraw/zinc/27/61/93/1039276193.db2.gz MYPLVGCVDVNILW-ROUUACIJSA-N 0 3 319.807 4.337 20 0 DIADHN Cc1ccc(CNCC(C)(C)C(F)(F)F)c(Br)c1 ZINC000669830636 1039285046 /nfs/dbraw/zinc/28/50/46/1039285046.db2.gz GMSSVOJIINREIS-UHFFFAOYSA-N 0 3 324.184 4.436 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C(=O)c2cccc(CN(C)C)c2)c1 ZINC000155884808 1039288570 /nfs/dbraw/zinc/28/85/70/1039288570.db2.gz PMKYNMFWMYZNLC-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@@H](N[C@@H](C)C(C)(C)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647287883 1039293805 /nfs/dbraw/zinc/29/38/05/1039293805.db2.gz XANZQAUYVXBCHH-CVEARBPZSA-N 0 3 311.473 4.236 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](CC(C)C)c2ccccc2C)c1 ZINC000155936772 1039294033 /nfs/dbraw/zinc/29/40/33/1039294033.db2.gz CCCNWPFOLWLELB-HXUWFJFHSA-N 0 3 324.468 4.232 20 0 DIADHN CO[C@@H]1[C@H](C)[C@@H](NCc2csc(-c3cccs3)n2)C1(C)C ZINC000539587725 1039296237 /nfs/dbraw/zinc/29/62/37/1039296237.db2.gz FMKCDIFPHRMJAL-LERXQTSPSA-N 0 3 322.499 4.021 20 0 DIADHN C[C@@H](NC1CCCCCC1)c1ncc(Br)s1 ZINC000126370159 1039297063 /nfs/dbraw/zinc/29/70/63/1039297063.db2.gz CBZLHLSRZXUBMK-SECBINFHSA-N 0 3 303.269 4.279 20 0 DIADHN C[C@H](NCCC(C)(C)C)c1ncc(Br)cc1F ZINC000427607335 1039306336 /nfs/dbraw/zinc/30/63/36/1039306336.db2.gz SOWLSAZTPPNLAH-VIFPVBQESA-N 0 3 303.219 4.070 20 0 DIADHN C[C@H](NCc1ccc(-c2ccc(F)cc2)s1)c1cn[nH]c1 ZINC000536008155 1039307044 /nfs/dbraw/zinc/30/70/44/1039307044.db2.gz QPEUCWCRFKSDIK-NSHDSACASA-N 0 3 301.390 4.128 20 0 DIADHN COC(=O)[C@H](C)c1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001213168951 1039311592 /nfs/dbraw/zinc/31/15/92/1039311592.db2.gz YGEPYFUCGXAWON-CYBMUJFWSA-N 0 3 312.413 4.399 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl)c1cn(C)cn1 ZINC000926489003 1039315845 /nfs/dbraw/zinc/31/58/45/1039315845.db2.gz MBPBPFKASCLRCI-UHIISALHSA-N 0 3 324.255 4.181 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccsc1)c1cccc(Cl)c1F ZINC000161706285 1039323514 /nfs/dbraw/zinc/32/35/14/1039323514.db2.gz AHQIOSCUZNKDND-ZIAGYGMSSA-N 0 3 313.825 4.315 20 0 DIADHN COc1ccc([C@H](C)N(C)CCC(=O)c2ccc(F)cc2)cc1 ZINC000539722708 1039325944 /nfs/dbraw/zinc/32/59/44/1039325944.db2.gz QSXUEWKGYVRELJ-AWEZNQCLSA-N 0 3 315.388 4.100 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2cc(Br)cs2)C1 ZINC000307776407 1039335263 /nfs/dbraw/zinc/33/52/63/1039335263.db2.gz HQNSSGYWGSPRPB-LLVKDONJSA-N 0 3 302.281 4.379 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1c(C)cccc1Cl)C1CC1 ZINC000536039869 1039336550 /nfs/dbraw/zinc/33/65/50/1039336550.db2.gz SEUYLDOSNYFXNM-GXTWGEPZSA-N 0 3 322.880 4.486 20 0 DIADHN CCOc1ccccc1CN(C)[C@H](CCOC)c1ccccc1 ZINC000536037060 1039337346 /nfs/dbraw/zinc/33/73/46/1039337346.db2.gz XKDUDJOAEBAMLK-LJQANCHMSA-N 0 3 313.441 4.295 20 0 DIADHN COc1ccc(-c2nc(CN([C@H](C)C3CC3)C3CC3)co2)cc1 ZINC000047909593 1039343185 /nfs/dbraw/zinc/34/31/85/1039343185.db2.gz NIKGIOSOILHADN-CYBMUJFWSA-N 0 3 312.413 4.113 20 0 DIADHN CC(C)(C)c1ncc(CN2CC[C@H]2Cc2ccccc2)s1 ZINC000539820672 1039348843 /nfs/dbraw/zinc/34/88/43/1039348843.db2.gz CYYXVEBMIKXSIT-HNNXBMFYSA-N 0 3 300.471 4.258 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)CC1 ZINC000539827755 1039351921 /nfs/dbraw/zinc/35/19/21/1039351921.db2.gz XVVSKTUKAMYOFX-GDBMZVCRSA-N 0 3 308.491 4.253 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccc2c(c1)CC(C)(C)O2 ZINC000539832783 1039359925 /nfs/dbraw/zinc/35/99/25/1039359925.db2.gz ZOWFQRSCOGLGJB-AWEZNQCLSA-N 0 3 311.425 4.299 20 0 DIADHN CC(C)CCO[C@H]1CCN(Cc2ccc(F)c3cccnc23)C1 ZINC000539837475 1039365278 /nfs/dbraw/zinc/36/52/78/1039365278.db2.gz FFJXBOCAXAQSFA-INIZCTEOSA-N 0 3 316.420 4.011 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1CCC1(C)C ZINC000539837361 1039365538 /nfs/dbraw/zinc/36/55/38/1039365538.db2.gz VSBMSQGHVJZLAN-UHFFFAOYSA-N 0 3 317.864 4.186 20 0 DIADHN CCOc1cc(CN2CCC2(C)C)ccc1OCC(F)(F)F ZINC000539837182 1039366169 /nfs/dbraw/zinc/36/61/69/1039366169.db2.gz HDOHEENRAZIZIV-UHFFFAOYSA-N 0 3 317.351 4.011 20 0 DIADHN CCn1nccc1CN(C)[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000539850175 1039366834 /nfs/dbraw/zinc/36/68/34/1039366834.db2.gz CUSWDTRFRYYLBV-KBPBESRZSA-N 0 3 305.853 4.180 20 0 DIADHN CCn1nccc1CN(C)[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000539850176 1039369435 /nfs/dbraw/zinc/36/94/35/1039369435.db2.gz CUSWDTRFRYYLBV-KGLIPLIRSA-N 0 3 305.853 4.180 20 0 DIADHN CN1Cc2cc(Nc3ccnc(C(C)(C)C)c3)ccc2N(C)C1=O ZINC001213170483 1039377574 /nfs/dbraw/zinc/37/75/74/1039377574.db2.gz IEOOYXSDGUNZHM-UHFFFAOYSA-N 0 3 324.428 4.124 20 0 DIADHN CC(C)c1cnc(CNCC[C@@H]2CCc3ccccc3N2)s1 ZINC000650719960 1039383366 /nfs/dbraw/zinc/38/33/66/1039383366.db2.gz AUXVDNIPVRORIN-HNNXBMFYSA-N 0 3 315.486 4.173 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccsc2)s1 ZINC000669850855 1039394195 /nfs/dbraw/zinc/39/41/95/1039394195.db2.gz MNZVNEUUJDHQFX-WDEREUQCSA-N 0 3 310.488 4.114 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2ncc(-c3ccccc3)s2)C1(C)C ZINC000539979296 1039402842 /nfs/dbraw/zinc/40/28/42/1039402842.db2.gz KKXJKBNFWOAKIK-QAPCUYQASA-N 0 3 316.470 4.103 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@H](C)C3(CCC3)C2)c(C)c1 ZINC000540045736 1039408869 /nfs/dbraw/zinc/40/88/69/1039408869.db2.gz WJJVATSPEFTJQY-SJORKVTESA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000540056328 1039409476 /nfs/dbraw/zinc/40/94/76/1039409476.db2.gz NYVZHRQUQSNZQC-FZMZJTMJSA-N 0 3 306.356 4.383 20 0 DIADHN COc1cccc(C2(NCc3ccc(C)c(OC)c3)CCC2)c1 ZINC000657780095 1039416990 /nfs/dbraw/zinc/41/69/90/1039416990.db2.gz ZWQPOANXKUEZAT-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cn(C)nc2C(F)F)c1C ZINC000462963819 1039420017 /nfs/dbraw/zinc/42/00/17/1039420017.db2.gz LPFMGYBKYATNCO-INIZCTEOSA-N 0 3 319.399 4.312 20 0 DIADHN COc1cccc(C2(NCc3cnc4ccccc4c3)CCC2)c1 ZINC000657782108 1039426378 /nfs/dbraw/zinc/42/63/78/1039426378.db2.gz LLEXZWJIAYNAOL-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN COc1ccc(CN[C@H]2CSCCc3ccccc32)c(C)c1 ZINC000540161539 1039430108 /nfs/dbraw/zinc/43/01/08/1039430108.db2.gz RHWMFYFFQTYCOG-IBGZPJMESA-N 0 3 313.466 4.124 20 0 DIADHN C[C@@H](NCc1nc(C2CC2)cs1)C(C)(C)c1ccccc1 ZINC000540158649 1039430768 /nfs/dbraw/zinc/43/07/68/1039430768.db2.gz SCCBMSWFLXEKQX-CYBMUJFWSA-N 0 3 300.471 4.476 20 0 DIADHN Cn1ccc(CN(Cc2ccc(C(F)(F)F)cc2)C2CC2)c1 ZINC000349921267 1039434004 /nfs/dbraw/zinc/43/40/04/1039434004.db2.gz PJCNNMJFGJZEBW-UHFFFAOYSA-N 0 3 308.347 4.209 20 0 DIADHN COC[C@@H](N[C@@H](c1ccccc1)c1ccccc1OC)C(C)C ZINC000540168882 1039437286 /nfs/dbraw/zinc/43/72/86/1039437286.db2.gz NYXASDXDPNKMGJ-QUCCMNQESA-N 0 3 313.441 4.045 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cccc(Cl)c1F ZINC000561976441 1039439748 /nfs/dbraw/zinc/43/97/48/1039439748.db2.gz FSSXPDSTWIRFDA-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN COC(C)(C)CN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000540186964 1039448351 /nfs/dbraw/zinc/44/83/51/1039448351.db2.gz POGHEZGOHGTEMX-SECBINFHSA-N 0 3 307.768 4.017 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(OC)c(Cl)c1 ZINC000540202894 1039450294 /nfs/dbraw/zinc/45/02/94/1039450294.db2.gz OFLACDSPPQJVSY-LBPRGKRZSA-N 0 3 304.821 4.157 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000780381109 1039451559 /nfs/dbraw/zinc/45/15/59/1039451559.db2.gz ZKOPHOXASJJUIL-SCDSUCTJSA-N 0 3 321.770 4.036 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2cccc(C(=O)NC3CC3)c2)c1 ZINC000540194918 1039452161 /nfs/dbraw/zinc/45/21/61/1039452161.db2.gz MDOQEHJHNIHXHA-INIZCTEOSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1cccn2c(CN[C@@H]3CCCc4c(C)cccc43)cnc12 ZINC000657796078 1039470068 /nfs/dbraw/zinc/47/00/68/1039470068.db2.gz BRUKMHPVGCAKBJ-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](C)c1cccc(OC(C)C)c1 ZINC000278437299 1039470944 /nfs/dbraw/zinc/47/09/44/1039470944.db2.gz SZSMXRZORSWZLC-CYBMUJFWSA-N 0 3 302.393 4.167 20 0 DIADHN CSc1cc(CN[C@@H](c2ccc(F)cc2)C2CC2)ccn1 ZINC000540315712 1039472157 /nfs/dbraw/zinc/47/21/57/1039472157.db2.gz JKJVGSTUSWRSSB-QGZVFWFLSA-N 0 3 302.418 4.184 20 0 DIADHN Clc1cc(CNCCC2SCCS2)c(Cl)s1 ZINC000693812154 1039486275 /nfs/dbraw/zinc/48/62/75/1039486275.db2.gz XGASEOJRKNTHCN-UHFFFAOYSA-N 0 3 314.328 4.341 20 0 DIADHN O=C(CN[C@H](c1cccs1)C1CC1)Nc1cccc(Cl)c1 ZINC000540464308 1039495559 /nfs/dbraw/zinc/49/55/59/1039495559.db2.gz PXICXYOJTMQYPB-INIZCTEOSA-N 0 3 320.845 4.081 20 0 DIADHN Cc1cccc(CN(Cc2ccccn2)C[C@H]2CCSC2)c1 ZINC000278491799 1039502308 /nfs/dbraw/zinc/50/23/08/1039502308.db2.gz UEGJPMGLUHXQBW-GOSISDBHSA-N 0 3 312.482 4.145 20 0 DIADHN CCN(Cc1cn(C)nc1-c1ccccc1)Cc1cccc(F)c1 ZINC000540506377 1039508341 /nfs/dbraw/zinc/50/83/41/1039508341.db2.gz GXFBXNMFZICLPX-UHFFFAOYSA-N 0 3 323.415 4.248 20 0 DIADHN C[C@H]1CN(Cc2cn(C)nc2-c2ccccc2)[C@@H]1c1ccccc1 ZINC000540505045 1039508376 /nfs/dbraw/zinc/50/83/76/1039508376.db2.gz OQMVDOJCZPLOAD-KKSFZXQISA-N 0 3 317.436 4.280 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](c2cccs2)C2CC2)c1 ZINC000540514000 1039508952 /nfs/dbraw/zinc/50/89/52/1039508952.db2.gz OSWQWMIFSWVTKO-QGZVFWFLSA-N 0 3 303.427 4.006 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc(C)cc2C)ccc1F ZINC000151211249 1039514665 /nfs/dbraw/zinc/51/46/65/1039514665.db2.gz NQRQSXYFWPKHEV-OAHLLOKOSA-N 0 3 301.405 4.440 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2ccc(C)nc2)cc1 ZINC000540590642 1039519660 /nfs/dbraw/zinc/51/96/60/1039519660.db2.gz LKHVVUDWXHDRLV-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCCCOc1cccc(CNCc2ccc3[nH]cnc3c2)c1 ZINC000540590860 1039519884 /nfs/dbraw/zinc/51/98/84/1039519884.db2.gz OQSLYMNURCYIGQ-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN Cc1nnc(CN[C@@H](CC2CCCCC2)C(C)(C)C)n1C1CC1 ZINC000540590430 1039520258 /nfs/dbraw/zinc/52/02/58/1039520258.db2.gz GTZOMQGYLZCLLO-KRWDZBQOSA-N 0 3 318.509 4.396 20 0 DIADHN Cc1nnc(CN[C@H](CC2CCCCC2)C(C)(C)C)n1C1CC1 ZINC000540590431 1039520493 /nfs/dbraw/zinc/52/04/93/1039520493.db2.gz GTZOMQGYLZCLLO-QGZVFWFLSA-N 0 3 318.509 4.396 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccccc3C)CC2)cc1OC ZINC000647316185 1039524580 /nfs/dbraw/zinc/52/45/80/1039524580.db2.gz TXPIFXLHNUMWSE-HNNXBMFYSA-N 0 3 311.425 4.352 20 0 DIADHN CC(C)(C)C[C@H](NC[C@](C)(O)C(F)(F)F)c1ccc(F)cc1 ZINC000540605792 1039525853 /nfs/dbraw/zinc/52/58/53/1039525853.db2.gz FBCNJJWJMKIYCN-ZFWWWQNUSA-N 0 3 321.358 4.206 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(F)cc2C1)c1ccc2ccccc2n1 ZINC000583958324 1039525726 /nfs/dbraw/zinc/52/57/26/1039525726.db2.gz JVYXFKZZJONYIV-CXAGYDPISA-N 0 3 322.383 4.028 20 0 DIADHN Cc1cc(CN[C@H]2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)no1 ZINC000583973462 1039531514 /nfs/dbraw/zinc/53/15/14/1039531514.db2.gz NORRBIUNYMQMDE-HIFRSBDPSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1cc(CN[C@@H]2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)no1 ZINC000583973463 1039531778 /nfs/dbraw/zinc/53/17/78/1039531778.db2.gz NORRBIUNYMQMDE-UKRRQHHQSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CCO[C@H]2C2CC2)o1 ZINC000540620833 1039532646 /nfs/dbraw/zinc/53/26/46/1039532646.db2.gz DFBDZTAFXCDYME-HKUYNNGSSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCN(c3ccc(Cl)cc3)C2)o1 ZINC000540662233 1039540441 /nfs/dbraw/zinc/54/04/41/1039540441.db2.gz ONIJOFWNHFMYBV-UKRRQHHQSA-N 0 3 304.821 4.171 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H](c1ccccc1)[C@H](C)O ZINC000657816607 1039545034 /nfs/dbraw/zinc/54/50/34/1039545034.db2.gz JYBHBGQOEWVWND-GUYCJALGSA-N 0 3 323.358 4.226 20 0 DIADHN CCSc1cccc(CN[C@H](c2ccccc2)[C@@H](C)O)c1 ZINC000657815387 1039545485 /nfs/dbraw/zinc/54/54/85/1039545485.db2.gz GUAIJLNMJUJJBK-KDOFPFPSSA-N 0 3 301.455 4.010 20 0 DIADHN CCCCOc1ccc(CN[C@@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000657816811 1039552196 /nfs/dbraw/zinc/55/21/96/1039552196.db2.gz MADOBKSBMBJECP-OXQOHEQNSA-N 0 3 313.441 4.077 20 0 DIADHN CCc1sc(-c2nnc(CN(C)[C@H](C)C(C)C)o2)cc1C ZINC000642253182 1039552921 /nfs/dbraw/zinc/55/29/21/1039552921.db2.gz MIUPKLCYFQBPEU-GFCCVEGCSA-N 0 3 307.463 4.145 20 0 DIADHN C[C@H](NCCC(C)(C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000381339416 1039622305 /nfs/dbraw/zinc/62/23/05/1039622305.db2.gz KEWCJQMJURAYDC-QMMMGPOBSA-N 0 3 310.652 4.458 20 0 DIADHN COC[C@@H](NC[C@@H](C)CC(F)F)c1cccc(Cl)c1F ZINC000647319550 1039565084 /nfs/dbraw/zinc/56/50/84/1039565084.db2.gz CFBNUGPIQYHVKQ-JOYOIKCWSA-N 0 3 309.759 4.048 20 0 DIADHN COc1cccc(CN[C@@H]2CCCOc3cc(C)c(C)cc32)c1 ZINC000158649138 1039570627 /nfs/dbraw/zinc/57/06/27/1039570627.db2.gz JXYQERLNVALAGF-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN COc1ncc(CN[C@]2(C)CCCc3ccccc32)cc1Cl ZINC000540906975 1039591385 /nfs/dbraw/zinc/59/13/85/1039591385.db2.gz MQDPPPMNOVLEBR-GOSISDBHSA-N 0 3 316.832 4.085 20 0 DIADHN CN(Cc1coc(-c2c(F)cccc2F)n1)C(C1CC1)C1CC1 ZINC000151611886 1039606573 /nfs/dbraw/zinc/60/65/73/1039606573.db2.gz IUAPBSDMGVGHLJ-UHFFFAOYSA-N 0 3 318.367 4.240 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@@]3(CC[C@H](C)C3)C2)c1C ZINC000541024295 1039610092 /nfs/dbraw/zinc/61/00/92/1039610092.db2.gz MGOYVHBVUSUVLH-GZRFBZBPSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@]3(CC[C@H](C)C3)C2)c(C)c1 ZINC000541024644 1039610401 /nfs/dbraw/zinc/61/04/01/1039610401.db2.gz PLVZVPIOGVQDCF-RIFZZMRRSA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H](N[C@H]1C[C@@H]1C(F)F)c1cc(F)cc(Br)c1 ZINC000584131403 1039614301 /nfs/dbraw/zinc/61/43/01/1039614301.db2.gz TVPRPKJATZGCSX-TUAOUCFPSA-N 0 3 322.168 4.283 20 0 DIADHN CC[C@H](C)C[C@H](CC)NCc1ncc(Br)s1 ZINC000714922135 1039615537 /nfs/dbraw/zinc/61/55/37/1039615537.db2.gz NLAAZFJYFJDTDZ-UWVGGRQHSA-N 0 3 305.285 4.210 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000584199548 1039638397 /nfs/dbraw/zinc/63/83/97/1039638397.db2.gz LZRDTRJJGFKFBQ-IBGZPJMESA-N 0 3 309.409 4.282 20 0 DIADHN CS[C@@H](CNCc1conc1Cc1ccccc1)C(C)(C)C ZINC000584220285 1039642525 /nfs/dbraw/zinc/64/25/25/1039642525.db2.gz CZNWTWCMCUJGLG-KRWDZBQOSA-N 0 3 318.486 4.133 20 0 DIADHN Cc1nn(C)cc1CN1CCCCC[C@H]1/C=C/c1cccs1 ZINC000541248478 1039650060 /nfs/dbraw/zinc/65/00/60/1039650060.db2.gz XWXWIGSYYLIIJK-FVNWOWOISA-N 0 3 315.486 4.248 20 0 DIADHN Cc1c(CN2CCCCC[C@H]2/C=C\c2cccs2)cnn1C ZINC000541247550 1039650459 /nfs/dbraw/zinc/65/04/59/1039650459.db2.gz UCNNASIINDJDKP-IBSYWUHOSA-N 0 3 315.486 4.248 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000541321481 1039665958 /nfs/dbraw/zinc/66/59/58/1039665958.db2.gz XDPSKRRIJKOYEL-IAGOWNOFSA-N 0 3 314.473 4.425 20 0 DIADHN CCc1noc(C)c1CN1C[C@H](C)C[C@H]1c1cccc(OC)c1 ZINC000541322050 1039666077 /nfs/dbraw/zinc/66/60/77/1039666077.db2.gz DKZWPHRPSLBRNV-YJYMSZOUSA-N 0 3 314.429 4.137 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3ccc(Cl)cc32)cc1O ZINC000541348181 1039671438 /nfs/dbraw/zinc/67/14/38/1039671438.db2.gz CSNYAKANVWGFGJ-INIZCTEOSA-N 0 3 317.816 4.174 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3ccc(Cl)cc32)cc1O ZINC000541348182 1039671873 /nfs/dbraw/zinc/67/18/73/1039671873.db2.gz CSNYAKANVWGFGJ-MRXNPFEDSA-N 0 3 317.816 4.174 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1cccc(-c2ccncc2)c1 ZINC000151813461 1039674476 /nfs/dbraw/zinc/67/44/76/1039674476.db2.gz KAGKHMPADIZAAD-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN CSc1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC000541391061 1039676019 /nfs/dbraw/zinc/67/60/19/1039676019.db2.gz SMVVMOHDHVSAGP-ZIAGYGMSSA-N 0 3 320.502 4.104 20 0 DIADHN C[C@H](NCc1ccc(F)cc1Br)C(C)(C)C(F)F ZINC000657845767 1039694617 /nfs/dbraw/zinc/69/46/17/1039694617.db2.gz OSSYXCGJJATQAM-QMMMGPOBSA-N 0 3 324.184 4.358 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000080974155 1039693629 /nfs/dbraw/zinc/69/36/29/1039693629.db2.gz SGBFDTLXLKSODM-ZBFHGGJFSA-N 0 3 322.412 4.005 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1cc2cnccc2o1 ZINC000657845834 1039697807 /nfs/dbraw/zinc/69/78/07/1039697807.db2.gz YCOWRHSYGRZRAS-OFQRWUPVSA-N 0 3 302.418 4.074 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccc(F)cc2)c2cccnc2)o1 ZINC000541552024 1039702906 /nfs/dbraw/zinc/70/29/06/1039702906.db2.gz AUQZAEPDVGIOTK-LJQANCHMSA-N 0 3 310.372 4.044 20 0 DIADHN C[C@H](N[C@@H](c1nccn1C)c1ccccc1)C1CCCCCC1 ZINC000541550198 1039703163 /nfs/dbraw/zinc/70/31/63/1039703163.db2.gz OFCMJMNUCORPJT-QFBILLFUSA-N 0 3 311.473 4.458 20 0 DIADHN Cc1oncc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC000541590815 1039710254 /nfs/dbraw/zinc/71/02/54/1039710254.db2.gz FGJRMRHOECMRNK-UHFFFAOYSA-N 0 3 302.393 4.457 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)NCc1nc2ccccc2o1 ZINC000541615146 1039715848 /nfs/dbraw/zinc/71/58/48/1039715848.db2.gz CKDNEDXLXWBTOM-QGZVFWFLSA-N 0 3 312.388 4.324 20 0 DIADHN CC(C)C[C@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1cccs1 ZINC000541627058 1039719428 /nfs/dbraw/zinc/71/94/28/1039719428.db2.gz RSBFMDCAFUZNQW-HOTGVXAUSA-N 0 3 324.534 4.459 20 0 DIADHN CCC[C@H]1CCCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC000541665156 1039724145 /nfs/dbraw/zinc/72/41/45/1039724145.db2.gz GNOYSUATBSBJAY-KRWDZBQOSA-N 0 3 302.462 4.205 20 0 DIADHN Cc1ccc(Cl)cc1CNCc1ccc(N(C)C(C)C)nc1 ZINC000480279217 1039730141 /nfs/dbraw/zinc/73/01/41/1039730141.db2.gz QWUFTPMBSBDWHO-UHFFFAOYSA-N 0 3 317.864 4.178 20 0 DIADHN COc1cc(C)c(CNC(C)(C)c2cccc(F)c2)cc1OC ZINC000083471029 1039735265 /nfs/dbraw/zinc/73/52/65/1039735265.db2.gz JXAZHLZIVWGQIF-UHFFFAOYSA-N 0 3 317.404 4.176 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN2CCC(Cc3cccnc3)CC2)o1 ZINC000541764622 1039740273 /nfs/dbraw/zinc/74/02/73/1039740273.db2.gz IFDGGXUZTFEUFD-KXBFYZLASA-N 0 3 310.441 4.253 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)no1 ZINC000541844556 1039747313 /nfs/dbraw/zinc/74/73/13/1039747313.db2.gz MTNMPGJRHZYNCR-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CN(C)Cc3ccccc31)[C@H](C)C2 ZINC000541847791 1039747840 /nfs/dbraw/zinc/74/78/40/1039747840.db2.gz UNHJFZCMAVSCEI-IPHXSNPTSA-N 0 3 306.453 4.005 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2ccc(OC(C)C)cc2)no1 ZINC000541844589 1039747969 /nfs/dbraw/zinc/74/79/69/1039747969.db2.gz VJUYPNPATJFGKK-OALUTQOASA-N 0 3 314.429 4.196 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1nc(-c2ccsc2)no1 ZINC000464739999 1039749998 /nfs/dbraw/zinc/74/99/98/1039749998.db2.gz QYGARWVJGJYSPJ-OCCSQVGLSA-N 0 3 305.447 4.199 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C[C@H](C)C(F)(F)F)[C@@H]2C ZINC000541951535 1039767933 /nfs/dbraw/zinc/76/79/33/1039767933.db2.gz HHZUMONIGAKJRW-SDDRHHMPSA-N 0 3 301.352 4.201 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000541952936 1039769394 /nfs/dbraw/zinc/76/93/94/1039769394.db2.gz XWXLMPYXZMDOKJ-KRWDZBQOSA-N 0 3 323.358 4.145 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc([S@@](C)=O)cc2)cc1C ZINC000090221032 1039776881 /nfs/dbraw/zinc/77/68/81/1039776881.db2.gz ZUUMJIMZYACFMW-ZHRRBRCNSA-N 0 3 315.482 4.200 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H]2c3ccccc3C[C@H]2C)c1 ZINC000089613941 1039777640 /nfs/dbraw/zinc/77/76/40/1039777640.db2.gz LSDIUJQTYIPYIJ-CKNLXJGOSA-N 0 3 311.425 4.288 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)c1 ZINC000089613938 1039777677 /nfs/dbraw/zinc/77/76/77/1039777677.db2.gz LSDIUJQTYIPYIJ-PJSUUKDQSA-N 0 3 311.425 4.288 20 0 DIADHN CCCn1nccc1CNCc1ccc(-c2ccccc2)s1 ZINC000623591052 1039778720 /nfs/dbraw/zinc/77/87/20/1039778720.db2.gz XBAHCAXCGCWZEY-UHFFFAOYSA-N 0 3 311.454 4.311 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3cccs3)n2)[C@@H]2CCCC[C@@H]21 ZINC000542044974 1039785171 /nfs/dbraw/zinc/78/51/71/1039785171.db2.gz DKBOFQADVVHRJB-BPLDGKMQSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CCC(O)(C(F)(F)F)CC1 ZINC000089969957 1039785641 /nfs/dbraw/zinc/78/56/41/1039785641.db2.gz CIIQQOFYIMUNNO-ZDUSSCGKSA-N 0 3 323.358 4.290 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H]2CCc3ccc(F)cc32)s1 ZINC000089552357 1039786027 /nfs/dbraw/zinc/78/60/27/1039786027.db2.gz KOUDLUDBQFXAKZ-MEBBXXQBSA-N 0 3 304.434 4.491 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CCC(O)(C(F)(F)F)CC1 ZINC000089969958 1039785877 /nfs/dbraw/zinc/78/58/77/1039785877.db2.gz CIIQQOFYIMUNNO-CYBMUJFWSA-N 0 3 323.358 4.290 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2cc(F)ccc21)c1c(C)nn(C)c1C ZINC000090964166 1039806528 /nfs/dbraw/zinc/80/65/28/1039806528.db2.gz JYLJLDINNUPUPK-ZWKOTPCHSA-N 0 3 315.436 4.294 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](C)c1ccc(SC)cc1 ZINC000090846134 1039818296 /nfs/dbraw/zinc/81/82/96/1039818296.db2.gz CURSDPFDXPDLQY-CYBMUJFWSA-N 0 3 317.502 4.118 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](CC)c2ccc(F)cc2)cc1 ZINC000090844431 1039819463 /nfs/dbraw/zinc/81/94/63/1039819463.db2.gz WBMCRKUHDIQKGP-MOPGFXCFSA-N 0 3 301.405 4.162 20 0 DIADHN Cc1cnccc1CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000090847033 1039820624 /nfs/dbraw/zinc/82/06/24/1039820624.db2.gz MQSHEHZJJKPYEU-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN c1ccc([C@@H]2CN(C[C@H]3CCC4(CCCC4)O3)CCS2)cc1 ZINC000669930130 1039822702 /nfs/dbraw/zinc/82/27/02/1039822702.db2.gz WLTIIECLYWGEAE-MSOLQXFVSA-N 0 3 317.498 4.268 20 0 DIADHN c1ccc([C@@H]2CN(C[C@@H]3CCC4(CCCC4)O3)CCS2)cc1 ZINC000669930132 1039823633 /nfs/dbraw/zinc/82/36/33/1039823633.db2.gz WLTIIECLYWGEAE-ROUUACIJSA-N 0 3 317.498 4.268 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1cc(F)c(F)c(F)c1 ZINC000669932627 1039826173 /nfs/dbraw/zinc/82/61/73/1039826173.db2.gz LQENMUMCWTVDHB-DGCLKSJQSA-N 0 3 313.363 4.246 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@@H]1CCC2(CCCC2)O1 ZINC000669932955 1039833420 /nfs/dbraw/zinc/83/34/20/1039833420.db2.gz WHYFZMIVBRTJQB-MCIONIFRSA-N 0 3 305.384 4.141 20 0 DIADHN COc1cc(CN[C@H](c2cccnc2)C(C)C)c2ccccc2n1 ZINC000091348802 1039847495 /nfs/dbraw/zinc/84/74/95/1039847495.db2.gz BAGNIDAXIHIBLD-FQEVSTJZSA-N 0 3 321.424 4.125 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@@H](CC(F)(F)F)C(C)C ZINC000445904236 1039865979 /nfs/dbraw/zinc/86/59/79/1039865979.db2.gz OYTCCXPIDLIUQI-AWEZNQCLSA-N 0 3 311.351 4.005 20 0 DIADHN CC(C)c1ccc(OCCN[C@H](C)c2ccc(F)cn2)cc1 ZINC000092741528 1039881782 /nfs/dbraw/zinc/88/17/82/1039881782.db2.gz IVZGICJVJWAAGM-CQSZACIVSA-N 0 3 302.393 4.074 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1ccc(F)cn1)Nc1ccccc1 ZINC000092747231 1039885500 /nfs/dbraw/zinc/88/55/00/1039885500.db2.gz LLNQXKCPMHTEJX-KDOFPFPSSA-N 0 3 301.409 4.008 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3cnc(C4CC4)s3)C2)cc1 ZINC000093335732 1039892885 /nfs/dbraw/zinc/89/28/85/1039892885.db2.gz JJVYVAYXFOFCAJ-AWEZNQCLSA-N 0 3 302.418 4.149 20 0 DIADHN CCc1ncc(CN2CCC[C@H]2c2cccc(N(C)C)c2)s1 ZINC000093512793 1039898583 /nfs/dbraw/zinc/89/85/83/1039898583.db2.gz WOGMSETZTJYYNY-KRWDZBQOSA-N 0 3 315.486 4.109 20 0 DIADHN CCC[C@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000491993770 1039903515 /nfs/dbraw/zinc/90/35/15/1039903515.db2.gz AYYURVXTQBXFBI-ZWKOTPCHSA-N 0 3 303.833 4.180 20 0 DIADHN Cc1cccc(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)c1C ZINC000093889277 1039904205 /nfs/dbraw/zinc/90/42/05/1039904205.db2.gz KJZXJNQFKVTVLW-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000094014460 1039908368 /nfs/dbraw/zinc/90/83/68/1039908368.db2.gz VIIOQICLYYLSFD-ZDUSSCGKSA-N 0 3 309.388 4.375 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)c(C)c1 ZINC000093889421 1039908988 /nfs/dbraw/zinc/90/89/88/1039908988.db2.gz RNWPXGPPSVDKOL-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1 ZINC000093942166 1039909679 /nfs/dbraw/zinc/90/96/79/1039909679.db2.gz MTUQILXADNXAIV-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN CC(C)[C@](C)(O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492065552 1039912357 /nfs/dbraw/zinc/91/23/57/1039912357.db2.gz JOCJRAKTCQDEME-LJQANCHMSA-N 0 3 319.395 4.051 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1ccccc1Cl)c1ccco1 ZINC000151939120 1039917411 /nfs/dbraw/zinc/91/74/11/1039917411.db2.gz NVLKGKOGTQUSFC-ZBFHGGJFSA-N 0 3 305.805 4.021 20 0 DIADHN Cc1cccc(-c2cccc(CN[C@H]3CCCn4nccc43)c2)c1 ZINC000657867922 1039918062 /nfs/dbraw/zinc/91/80/62/1039918062.db2.gz VZSKQGFWTKIRKY-FQEVSTJZSA-N 0 3 317.436 4.483 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1Cl)c1ccncc1F ZINC000669945176 1039931338 /nfs/dbraw/zinc/93/13/38/1039931338.db2.gz INNREOOMYMRPKF-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN CCC[C@](C)(O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492177726 1039931451 /nfs/dbraw/zinc/93/14/51/1039931451.db2.gz IGUBEQOZQHMDRB-IBGZPJMESA-N 0 3 319.395 4.195 20 0 DIADHN CCSc1cccc(CNCc2c(C)nc3ccccn32)c1 ZINC000492514038 1039975051 /nfs/dbraw/zinc/97/50/51/1039975051.db2.gz MLYCRSMFPXZNFT-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1C[C@H](O)c1ccc(Cl)c(Cl)c1 ZINC000062352458 1039982022 /nfs/dbraw/zinc/98/20/22/1039982022.db2.gz MJTJHYRWKZNKFG-HFAKWTLXSA-N 0 3 302.245 4.290 20 0 DIADHN Fc1ccc(CN(CC2CC2)CC2CC2)c(Br)c1 ZINC000070506262 1039988203 /nfs/dbraw/zinc/98/82/03/1039988203.db2.gz XLVVNGDVABFLSY-UHFFFAOYSA-N 0 3 312.226 4.210 20 0 DIADHN Clc1cccc(C2(CNCc3nccs3)CCCC2)c1 ZINC001648920567 1039998418 /nfs/dbraw/zinc/99/84/18/1039998418.db2.gz CAZNQJHYMMNZGE-UHFFFAOYSA-N 0 3 306.862 4.398 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ncc(-c2cccc(F)c2)o1)C1CC1 ZINC000175095061 1040010237 /nfs/dbraw/zinc/01/02/37/1040010237.db2.gz QERWYYNBBDLPNG-LBPRGKRZSA-N 0 3 300.377 4.244 20 0 DIADHN C[C@H](NCCOC1CCCCCC1)c1cc2cnccc2o1 ZINC000657887991 1040016501 /nfs/dbraw/zinc/01/65/01/1040016501.db2.gz SSNJDYLWEPILIV-AWEZNQCLSA-N 0 3 302.418 4.218 20 0 DIADHN CCCCN(CCCC)Cc1nc(-c2ccc(OC)cc2)no1 ZINC000302806745 1040029379 /nfs/dbraw/zinc/02/93/79/1040029379.db2.gz FHYHPTQIQIDQCD-UHFFFAOYSA-N 0 3 317.433 4.147 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN1CCCOC[C@H]1C ZINC000685418869 1040036753 /nfs/dbraw/zinc/03/67/53/1040036753.db2.gz QJYHPFFNCCGCMZ-RNQWEJQRSA-N 0 3 313.363 4.220 20 0 DIADHN CCc1ccc(CN2C[C@H](C)C[C@H]2c2cccc(OC)c2)cn1 ZINC000685427310 1040043516 /nfs/dbraw/zinc/04/35/16/1040043516.db2.gz SKFHMBHBKCTYTM-QRWLVFNGSA-N 0 3 310.441 4.236 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC3(CCC3)CC2)nc1 ZINC000685444336 1040048262 /nfs/dbraw/zinc/04/82/62/1040048262.db2.gz UMTCQFYAOUHHKD-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN c1cn2c(CN3CCC4(CCC4)CC3)c(C3CC3)nc2s1 ZINC000685444383 1040050447 /nfs/dbraw/zinc/05/04/47/1040050447.db2.gz YOIZGJITZXCTMK-UHFFFAOYSA-N 0 3 301.459 4.039 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(c3cccnc3)CC2)cc1F ZINC000685455828 1040060817 /nfs/dbraw/zinc/06/08/17/1040060817.db2.gz AFYVYVWJMVIFTA-NSCUHMNNSA-N 0 3 314.379 4.253 20 0 DIADHN CCN(Cc1ccc(OCC(F)F)cc1)[C@H](C)c1cccnc1 ZINC000685469940 1040067990 /nfs/dbraw/zinc/06/79/90/1040067990.db2.gz VKRNVTGYZHFMJA-CQSZACIVSA-N 0 3 320.383 4.309 20 0 DIADHN C[C@H]1C[C@@H](CO)CCN1Cc1ccc(-c2ccccc2)cc1F ZINC000685477089 1040074533 /nfs/dbraw/zinc/07/45/33/1040074533.db2.gz LZCCWHLABUKTQB-HOTGVXAUSA-N 0 3 313.416 4.086 20 0 DIADHN C[C@]1(CNCc2nc3cc(Cl)ccc3s2)CCCS1 ZINC000628210477 1040084489 /nfs/dbraw/zinc/08/44/89/1040084489.db2.gz YPRCTZJIOFMSAD-CQSZACIVSA-N 0 3 312.891 4.325 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)Cc2ccc(Cl)cc2Cl)o1 ZINC000628211158 1040085673 /nfs/dbraw/zinc/08/56/73/1040085673.db2.gz YMLKFXLGZFPYOH-VIFPVBQESA-N 0 3 313.228 4.319 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1cc2cnccc2o1 ZINC000657906690 1040109239 /nfs/dbraw/zinc/10/92/39/1040109239.db2.gz XNPSZXOULFWVIG-LRDDRELGSA-N 0 3 308.381 4.175 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1cc2cnccc2o1 ZINC000657908516 1040115758 /nfs/dbraw/zinc/11/57/58/1040115758.db2.gz IOEBPAUJFLQROD-DKICVRJWSA-N 0 3 322.408 4.256 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](c1ccccc1)C(C)C ZINC000177351310 1040117214 /nfs/dbraw/zinc/11/72/14/1040117214.db2.gz PGQNKOQDYDLPKL-FQEVSTJZSA-N 0 3 324.468 4.435 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C)(C)c1ccccc1C ZINC000177343581 1040117929 /nfs/dbraw/zinc/11/79/29/1040117929.db2.gz ILHKLYVEMZCNEZ-UHFFFAOYSA-N 0 3 324.468 4.281 20 0 DIADHN Cc1ccc2nc(CN[C@H]3c4cc(C)ccc4C[C@H]3C)[nH]c2c1 ZINC000493172536 1040120554 /nfs/dbraw/zinc/12/05/54/1040120554.db2.gz AESGMAGQZQWKJO-JLTOFOAXSA-N 0 3 305.425 4.203 20 0 DIADHN Cc1ccc([C@@H](NCc2nc3ccccc3nc2C)C2CC2)cc1 ZINC000685560555 1040127111 /nfs/dbraw/zinc/12/71/11/1040127111.db2.gz GUCPUGIXYSYVNY-OAQYLSRUSA-N 0 3 317.436 4.488 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCn1ccc2cc(Cl)ccc21 ZINC000685571313 1040136559 /nfs/dbraw/zinc/13/65/59/1040136559.db2.gz BHERIVWJNAJEET-LLVKDONJSA-N 0 3 317.820 4.250 20 0 DIADHN OCCC1CCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000400924703 1040142362 /nfs/dbraw/zinc/14/23/62/1040142362.db2.gz GWUYHYWHLIMREG-UHFFFAOYSA-N 0 3 309.862 4.149 20 0 DIADHN Clc1cccc(Cl)c1[C@H](NC[C@H]1CCCO1)C1CC1 ZINC000685587686 1040147083 /nfs/dbraw/zinc/14/70/83/1040147083.db2.gz JGRIKUKNKQALFG-IAQYHMDHSA-N 0 3 300.229 4.213 20 0 DIADHN Cc1cn[nH]c1CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000685612519 1040154620 /nfs/dbraw/zinc/15/46/20/1040154620.db2.gz ITFRVAHGIUCWJT-SFHVURJKSA-N 0 3 311.816 4.251 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC3(CCC3)[C@H]1[C@@H]1CCCO1)CCC2 ZINC000685605514 1040155403 /nfs/dbraw/zinc/15/54/03/1040155403.db2.gz XAPJTPUZUWKRMR-CEXWTWQISA-N 0 3 315.432 4.237 20 0 DIADHN Cc1c[nH]nc1CNCC1(c2c(Cl)cccc2Cl)CCC1 ZINC000685621741 1040160099 /nfs/dbraw/zinc/16/00/99/1040160099.db2.gz QQCMBJFHRFXEEP-UHFFFAOYSA-N 0 3 324.255 4.236 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1cc2ccncc2s1 ZINC000657922654 1040175292 /nfs/dbraw/zinc/17/52/92/1040175292.db2.gz LWLJAQXRGCEFCB-WCQYABFASA-N 0 3 318.467 4.050 20 0 DIADHN Cc1cc([C@H](N[C@@H](C)CCO)c2cccc(Cl)c2)c(C)s1 ZINC000685647757 1040183898 /nfs/dbraw/zinc/18/38/98/1040183898.db2.gz ILGRAGIMRXWNNT-APPDUMDISA-N 0 3 323.889 4.468 20 0 DIADHN Cc1cc([C@H](N[C@H](C)CCO)c2cccc(Cl)c2)c(C)s1 ZINC000685647760 1040183975 /nfs/dbraw/zinc/18/39/75/1040183975.db2.gz ILGRAGIMRXWNNT-PIGZYNQJSA-N 0 3 323.889 4.468 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CCOC2)c2cccc(Cl)c2)c(C)s1 ZINC000685650925 1040186118 /nfs/dbraw/zinc/18/61/18/1040186118.db2.gz WPAPOFPFPIHWMF-NVXWUHKLSA-N 0 3 321.873 4.486 20 0 DIADHN Cc1cc([C@H](N[C@H]2CCOC2)c2cccc(Cl)c2)c(C)s1 ZINC000685650924 1040186864 /nfs/dbraw/zinc/18/68/64/1040186864.db2.gz WPAPOFPFPIHWMF-DOTOQJQBSA-N 0 3 321.873 4.486 20 0 DIADHN CCCc1ccc([C@H](NCc2n[nH]c(C3CC3)n2)C(C)C)cc1 ZINC000182479522 1040191736 /nfs/dbraw/zinc/19/17/36/1040191736.db2.gz WQGGRSXKXBAICP-GOSISDBHSA-N 0 3 312.461 4.122 20 0 DIADHN Fc1cccc([C@@H](N[C@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936846 1040194948 /nfs/dbraw/zinc/19/49/48/1040194948.db2.gz RSISWAQDNVZOQS-FUHWJXTLSA-N 0 3 316.445 4.185 20 0 DIADHN Fc1cccc([C@@H](N[C@@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936849 1040196352 /nfs/dbraw/zinc/19/63/52/1040196352.db2.gz RSISWAQDNVZOQS-SJLPKXTDSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(C)c(C)c2)cc(N2CCCC2)n1 ZINC000685661580 1040200841 /nfs/dbraw/zinc/20/08/41/1040200841.db2.gz UAXRYLBQHYAWLF-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC000611982727 1040209276 /nfs/dbraw/zinc/20/92/76/1040209276.db2.gz SDNWVTXTGOAWMQ-IAGOWNOFSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(C2CC2)cc1 ZINC000611982587 1040209312 /nfs/dbraw/zinc/20/93/12/1040209312.db2.gz PSTVVHRQOYUPFK-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN CCC(CC)[C@H](NCc1cc(OC)ns1)c1ccccc1 ZINC000399336672 1040221822 /nfs/dbraw/zinc/22/18/22/1040221822.db2.gz XWYXOZYGMNDOQZ-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1nnc(C)s1 ZINC000657974609 1040239705 /nfs/dbraw/zinc/23/97/05/1040239705.db2.gz QDXJFVMQIOSKTA-HZMBPMFUSA-N 0 3 309.866 4.172 20 0 DIADHN Cc1ccc([C@H](NC2CC(CF)(CF)C2)c2ccccn2)cc1 ZINC000657998554 1040259039 /nfs/dbraw/zinc/25/90/39/1040259039.db2.gz MUFFCNMYDCOPMX-SFHVURJKSA-N 0 3 316.395 4.157 20 0 DIADHN C[C@@H](N[C@@H](C)C(C)(C)C(F)F)c1nccn1-c1ccccc1 ZINC000658016618 1040261761 /nfs/dbraw/zinc/26/17/61/1040261761.db2.gz XPZUHXBWQMFUCN-OLZOCXBDSA-N 0 3 307.388 4.203 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cncc(Br)c2)c1 ZINC000658043795 1040278457 /nfs/dbraw/zinc/27/84/57/1040278457.db2.gz KQXBQCNZDCGMRP-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN C[C@H](N[C@H]1COCc2ccccc21)c1cc2ccncc2s1 ZINC000658036510 1040281755 /nfs/dbraw/zinc/28/17/55/1040281755.db2.gz DBCCKHXZGQOALI-LRDDRELGSA-N 0 3 310.422 4.218 20 0 DIADHN c1c[nH]c([C@@H](NCCc2noc3ccccc23)C2CCCCC2)n1 ZINC000658065589 1040288394 /nfs/dbraw/zinc/28/83/94/1040288394.db2.gz ROKGMFUZSZMJHF-SFHVURJKSA-N 0 3 324.428 4.005 20 0 DIADHN c1ccc(COc2ccccc2CNC[C@H]2CCC=CO2)cc1 ZINC000309840966 1040298623 /nfs/dbraw/zinc/29/86/23/1040298623.db2.gz WCAXLXFTCSBESN-LJQANCHMSA-N 0 3 309.409 4.048 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2cccnc2N2CCCC2)c1 ZINC000658099332 1040305313 /nfs/dbraw/zinc/30/53/13/1040305313.db2.gz CGZZUUWRGKPOOS-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN c1ccc2c(c1)CCCC[C@H]2NCc1cccnc1N1CCCC1 ZINC000658101099 1040310876 /nfs/dbraw/zinc/31/08/76/1040310876.db2.gz MJVIJGDJOORBBI-HXUWFJFHSA-N 0 3 321.468 4.239 20 0 DIADHN CC1(C)C[C@@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658101450 1040312247 /nfs/dbraw/zinc/31/22/47/1040312247.db2.gz QHRMOLVEYWGZQE-LJQANCHMSA-N 0 3 321.468 4.194 20 0 DIADHN Cc1cc([C@@H](Cc2ccccc2Cl)NC/C=C/CO)cs1 ZINC000658135358 1040315773 /nfs/dbraw/zinc/31/57/73/1040315773.db2.gz DJRCDRXVGLDNSH-LAQIPUCWSA-N 0 3 321.873 4.132 20 0 DIADHN COC[C@H](N[C@@H]1CCCc2ccccc21)c1cccc(OC)c1 ZINC000658162100 1040336332 /nfs/dbraw/zinc/33/63/32/1040336332.db2.gz BTFJAJFCEUVNQH-UXHICEINSA-N 0 3 311.425 4.050 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc(C)ccc1C)c1cccc(OC)c1 ZINC000658163921 1040337346 /nfs/dbraw/zinc/33/73/46/1040337346.db2.gz LWOSYFWUDMMWGZ-OXQOHEQNSA-N 0 3 313.441 4.350 20 0 DIADHN COC[C@H](N[C@@H](C)c1cccc(C)c1C)c1cccc(OC)c1 ZINC000658167940 1040338864 /nfs/dbraw/zinc/33/88/64/1040338864.db2.gz ZKCWHWUAMBNBGH-JXFKEZNVSA-N 0 3 313.441 4.350 20 0 DIADHN COC[C@@H](N[C@H](C)c1cccc(C)c1C)c1cccc(OC)c1 ZINC000658167946 1040339247 /nfs/dbraw/zinc/33/92/47/1040339247.db2.gz ZKCWHWUAMBNBGH-OXQOHEQNSA-N 0 3 313.441 4.350 20 0 DIADHN CCO[C@H]1C[C@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000658165062 1040339494 /nfs/dbraw/zinc/33/94/94/1040339494.db2.gz ZWKTZCWWSSNBFH-BPLDGKMQSA-N 0 3 302.443 4.028 20 0 DIADHN CCO[C@H]1C[C@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000658165063 1040339543 /nfs/dbraw/zinc/33/95/43/1040339543.db2.gz ZWKTZCWWSSNBFH-QEJZJMRPSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](NCCO[C@@H]1CC1(F)F)c1cc2cc(Cl)ccc2o1 ZINC000658169663 1040343340 /nfs/dbraw/zinc/34/33/40/1040343340.db2.gz OMYAOWAHZGATFF-LKFCYVNXSA-N 0 3 315.747 4.161 20 0 DIADHN C[C@@H](NCCO[C@H]1CC1(F)F)c1ccc(-c2ccccc2)o1 ZINC000658169701 1040343417 /nfs/dbraw/zinc/34/34/17/1040343417.db2.gz OSXSNLRAOGMWSQ-WBMJQRKESA-N 0 3 307.340 4.021 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](C)COc1c(F)cccc1F ZINC000658178825 1040345576 /nfs/dbraw/zinc/34/55/76/1040345576.db2.gz WBYHTKHMLLPNFU-CHWSQXEVSA-N 0 3 320.383 4.040 20 0 DIADHN CC(C)(C)OCCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000658185364 1040346475 /nfs/dbraw/zinc/34/64/75/1040346475.db2.gz CATFCHGXKQPEKT-GOSISDBHSA-N 0 3 316.420 4.105 20 0 DIADHN Cc1cc([C@@H](NCCC(C)(C)F)c2cccnc2)ccc1F ZINC000658190785 1040348083 /nfs/dbraw/zinc/34/80/83/1040348083.db2.gz BGXBLTBDORRXHN-QGZVFWFLSA-N 0 3 304.384 4.346 20 0 DIADHN CC[C@@H](NCc1cc(COC)cs1)c1cc(C)ccc1OC ZINC000658209579 1040357489 /nfs/dbraw/zinc/35/74/89/1040357489.db2.gz HKZPTJOUZCOKFH-QGZVFWFLSA-N 0 3 319.470 4.452 20 0 DIADHN CC[C@@H](NCc1cc(COC)cs1)c1cccc(OC)c1 ZINC000658209314 1040357803 /nfs/dbraw/zinc/35/78/03/1040357803.db2.gz OFKSBWTUASPHDI-QGZVFWFLSA-N 0 3 305.443 4.144 20 0 DIADHN COCc1csc(CN[C@@H](c2ccc(C)o2)C2CCC2)c1 ZINC000658210749 1040357915 /nfs/dbraw/zinc/35/79/15/1040357915.db2.gz WMCZYEUJSZOXMT-QGZVFWFLSA-N 0 3 305.443 4.427 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC[C@@H]3C[C@@H]32)c2ccccc2)nc1 ZINC000658208157 1040358412 /nfs/dbraw/zinc/35/84/12/1040358412.db2.gz YIDIKMXFSMHTKQ-NDUPCHFUSA-N 0 3 310.416 4.336 20 0 DIADHN COc1cc(OC)c([C@@H](C)NC[C@@]2(C)CC2(F)F)cc1Cl ZINC000658222411 1040362571 /nfs/dbraw/zinc/36/25/71/1040362571.db2.gz WOCLDVDHUCGIRW-YMTOWFKASA-N 0 3 319.779 4.053 20 0 DIADHN C[C@]1(CCN[C@H](c2ccccc2)c2ccccn2)CC1(F)F ZINC000658221694 1040363009 /nfs/dbraw/zinc/36/30/09/1040363009.db2.gz OHMMWVFIKUKEMQ-SJORKVTESA-N 0 3 302.368 4.196 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1sccc1Br ZINC000658222140 1040363552 /nfs/dbraw/zinc/36/35/52/1040363552.db2.gz VHKNMTJZFZZXHG-XCBNKYQSSA-N 0 3 310.207 4.207 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1cc2ccccc2s1 ZINC000658214804 1040374134 /nfs/dbraw/zinc/37/41/34/1040374134.db2.gz YCOQXFXZPTYQTL-GFCCVEGCSA-N 0 3 311.454 4.363 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(O)cc21)c1cccc(OC(F)F)c1 ZINC000658239650 1040382299 /nfs/dbraw/zinc/38/22/99/1040382299.db2.gz OCXZMVSYOSZAOF-APPDUMDISA-N 0 3 319.351 4.332 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4ccc(O)cc43)CCC2)c1 ZINC000658323976 1040421234 /nfs/dbraw/zinc/42/12/34/1040421234.db2.gz VTFXPGQUOKJVOH-FQEVSTJZSA-N 0 3 323.436 4.447 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1(c3cccc(OC)c3)CCC1)CC2 ZINC000658323898 1040421413 /nfs/dbraw/zinc/42/14/13/1040421413.db2.gz SZYXYXVAPWVEAL-FQEVSTJZSA-N 0 3 323.436 4.360 20 0 DIADHN COc1cccc([C@H](C)NC2(c3cccc(OC)c3)CCC2)c1 ZINC000658323634 1040421548 /nfs/dbraw/zinc/42/15/48/1040421548.db2.gz OAFLFNZKRZBFHO-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1cccc2c1CCC[C@H]2N[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000658340420 1040431222 /nfs/dbraw/zinc/43/12/22/1040431222.db2.gz YVXLPGLIVZIHCT-MOPGFXCFSA-N 0 3 324.472 4.402 20 0 DIADHN Cc1cccc2c1CCC[C@H]2[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000658340420 1040431230 /nfs/dbraw/zinc/43/12/30/1040431230.db2.gz YVXLPGLIVZIHCT-MOPGFXCFSA-N 0 3 324.472 4.402 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000658364107 1040433062 /nfs/dbraw/zinc/43/30/62/1040433062.db2.gz ZRERGDJTINVUCV-IYOUNJFTSA-N 0 3 301.455 4.181 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](C)O)cc1 ZINC000658364106 1040433859 /nfs/dbraw/zinc/43/38/59/1040433859.db2.gz ZRERGDJTINVUCV-DEYYWGMASA-N 0 3 301.455 4.181 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1cccc(OC)c1C)CCC2 ZINC000658354069 1040437400 /nfs/dbraw/zinc/43/74/00/1040437400.db2.gz SDOSMMBTULKXEK-CQSZACIVSA-N 0 3 316.470 4.190 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000658363027 1040441534 /nfs/dbraw/zinc/44/15/34/1040441534.db2.gz YPGVUYLYOVHMLZ-OGHNNQOOSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccccc1 ZINC000658362115 1040441638 /nfs/dbraw/zinc/44/16/38/1040441638.db2.gz PDLOXCHUBFSBCX-GDZNZVCISA-N 0 3 321.367 4.061 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1ccccc1C(F)(F)F)c1ccccc1 ZINC000658360812 1040441824 /nfs/dbraw/zinc/44/18/24/1040441824.db2.gz KANJNRXFBFIRBU-DCGLDWPTSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000658363026 1040441942 /nfs/dbraw/zinc/44/19/42/1040441942.db2.gz YPGVUYLYOVHMLZ-GDZNZVCISA-N 0 3 323.358 4.478 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1ccccc1 ZINC000658362834 1040443837 /nfs/dbraw/zinc/44/38/37/1040443837.db2.gz SXJVRVHAAYZHLA-OGHNNQOOSA-N 0 3 321.367 4.061 20 0 DIADHN CCN(Cc1ccc(C)nc1)Cc1cccc(-c2ccncc2)c1 ZINC000349312983 1040485283 /nfs/dbraw/zinc/48/52/83/1040485283.db2.gz FRLXNWUOODCNAQ-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN C[C@@H](N[C@@H]1CCSc2c(Cl)cccc21)c1cc[nH]c(=O)c1 ZINC000340451554 1040508913 /nfs/dbraw/zinc/50/89/13/1040508913.db2.gz YVNGDJRCMVEAAS-QMTHXVAHSA-N 0 3 320.845 4.328 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cnc2ccccc2n1 ZINC000628230077 1040516383 /nfs/dbraw/zinc/51/63/83/1040516383.db2.gz SMAPQUTVFALAPI-OAHLLOKOSA-N 0 3 323.465 4.290 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cnc(C2CC2)o1 ZINC000628230134 1040517772 /nfs/dbraw/zinc/51/77/72/1040517772.db2.gz UKOIDQNWUHQMQM-CQSZACIVSA-N 0 3 302.443 4.213 20 0 DIADHN Cc1nc(C)c(CNCC2(c3cccc(F)c3F)CCCC2)o1 ZINC000628252224 1040536424 /nfs/dbraw/zinc/53/64/24/1040536424.db2.gz MKPUSDSTIZCJJZ-UHFFFAOYSA-N 0 3 320.383 4.171 20 0 DIADHN C/C=C\C[C@@H]1CCCN([C@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000626160542 1040601974 /nfs/dbraw/zinc/60/19/74/1040601974.db2.gz KGUWEHYUCUZKHW-JGPFYOFESA-N 0 3 311.429 4.476 20 0 DIADHN c1cc(CCNCc2ncc(-c3ccccc3)s2)cs1 ZINC000092367348 1040605570 /nfs/dbraw/zinc/60/55/70/1040605570.db2.gz PWUGAJHPFSBMDU-UHFFFAOYSA-N 0 3 300.452 4.204 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N(C)Cc1cccc(O)c1 ZINC000531139944 1040616652 /nfs/dbraw/zinc/61/66/52/1040616652.db2.gz JDMUZLUVZNWKGP-LBPRGKRZSA-N 0 3 320.230 4.348 20 0 DIADHN CCOc1ccc(CNCc2cnc3c(F)cccc3c2)cc1 ZINC001648924364 1040620877 /nfs/dbraw/zinc/62/08/77/1040620877.db2.gz BUNSLRUNZGYYIP-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN Cc1ccccc1OCCN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000780386133 1040630537 /nfs/dbraw/zinc/63/05/37/1040630537.db2.gz UTBSZUWFZQKDQT-KGLIPLIRSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000177457990 1040676833 /nfs/dbraw/zinc/67/68/33/1040676833.db2.gz SVCICYOQAWXCRN-RDTXWAMCSA-N 0 3 323.864 4.061 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1csc(C(F)(F)F)c1 ZINC000924555882 1040690681 /nfs/dbraw/zinc/69/06/81/1040690681.db2.gz ZRYFACRGLUZPMJ-ZWNOBZJWSA-N 0 3 315.360 4.151 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cc(F)cc(F)c1 ZINC000570339583 1040697640 /nfs/dbraw/zinc/69/76/40/1040697640.db2.gz WAMUTIGPDCAXQI-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN Brc1cnc2c(c1)[C@H](NCc1cccs1)CCC2 ZINC000924556891 1040700595 /nfs/dbraw/zinc/70/05/95/1040700595.db2.gz IPXINTRKDBUAEB-CQSZACIVSA-N 0 3 323.259 4.073 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2c(C)cc(F)cc2C)c1 ZINC000613039741 1040768513 /nfs/dbraw/zinc/76/85/13/1040768513.db2.gz PCLSWZISPAISSJ-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN Cc1cn[nH]c1CN(Cc1cccc(C(F)(F)F)c1)C(C)C ZINC000685834084 1040768887 /nfs/dbraw/zinc/76/88/87/1040768887.db2.gz DXQSKOMVSDYNLW-UHFFFAOYSA-N 0 3 311.351 4.148 20 0 DIADHN CCC[C@@H](NCCC1SCCS1)c1ncccc1Cl ZINC000926728804 1040801497 /nfs/dbraw/zinc/80/14/97/1040801497.db2.gz SNJFZIHBYZDMTI-GFCCVEGCSA-N 0 3 316.923 4.362 20 0 DIADHN Cc1ccc2c(CN(C)[C@@H](C)c3ccccc3)cc(=O)oc2c1 ZINC000020814173 1040831087 /nfs/dbraw/zinc/83/10/87/1040831087.db2.gz OFOAPQBZAVACLO-HNNXBMFYSA-N 0 3 307.393 4.294 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414085718 1040837444 /nfs/dbraw/zinc/83/74/44/1040837444.db2.gz GXAOFBPDTKPUSA-KGLIPLIRSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1csc(-c2ccccc2)n1 ZINC000414083740 1040837552 /nfs/dbraw/zinc/83/75/52/1040837552.db2.gz DTHHCNYONDKVIO-CQSZACIVSA-N 0 3 316.470 4.013 20 0 DIADHN CC[C@H](O)CCCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414085868 1040837897 /nfs/dbraw/zinc/83/78/97/1040837897.db2.gz HEPUMYFEFNGKFN-ZFWWWQNUSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414111259 1040876529 /nfs/dbraw/zinc/87/65/29/1040876529.db2.gz MMSAVGYKOHMNGM-IIAWOOMASA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1csc(-c2ccccc2)n1 ZINC000414114862 1040878202 /nfs/dbraw/zinc/87/82/02/1040878202.db2.gz ZZUPDEHVKUKERP-ZFWWWQNUSA-N 0 3 316.470 4.418 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1csc(-c2ccccc2)n1 ZINC000414112107 1040880360 /nfs/dbraw/zinc/88/03/60/1040880360.db2.gz MUURNWNDQRRKMN-TZMCWYRMSA-N 0 3 304.484 4.356 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414113575 1040881677 /nfs/dbraw/zinc/88/16/77/1040881677.db2.gz KUOSIWZFPVZFES-PMUMKWKESA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H](N[C@H](c1cccc(Cl)c1)c1ccccn1)[C@H]1C[C@H]1C ZINC000414116127 1040885559 /nfs/dbraw/zinc/88/55/59/1040885559.db2.gz QFXJSMAMICMNAK-VNVHMNPZSA-N 0 3 300.833 4.459 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3cc(F)ccc32)cc1OC ZINC000173361668 1040886227 /nfs/dbraw/zinc/88/62/27/1040886227.db2.gz MRISNHFWLFDQLO-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@H](NCCCCCc1ccc(F)cc1)c1cc2n(n1)CCC2 ZINC000414130921 1040903385 /nfs/dbraw/zinc/90/33/85/1040903385.db2.gz KGEHSHQFJJGQRC-HNNXBMFYSA-N 0 3 315.436 4.032 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](O)C1)c1csc(-c2ccccc2)n1 ZINC000414131678 1040907294 /nfs/dbraw/zinc/90/72/94/1040907294.db2.gz YELZKYUAPRWXEY-SQWLQELKSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1csc(-c2ccccc2)n1 ZINC000414137790 1040912272 /nfs/dbraw/zinc/91/22/72/1040912272.db2.gz PEHBMCSSTWAOJK-DPAVQSFQSA-N 0 3 314.454 4.028 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCC[C@@H]3CCC[C@@H]32)o1 ZINC000173804719 1040922089 /nfs/dbraw/zinc/92/20/89/1040922089.db2.gz HJGVGJPYOHFLSA-BBRMVZONSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@@H](N[C@@H](CO)C1CCCC1)c1csc(-c2ccccc2)n1 ZINC000414154081 1040930623 /nfs/dbraw/zinc/93/06/23/1040930623.db2.gz YYOMLBMQMHQDAI-CJNGLKHVSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3c(C)nn(C)c3C)ccc2c1 ZINC000174031014 1040939484 /nfs/dbraw/zinc/93/94/84/1040939484.db2.gz LATCGBUJGVKNQD-CYBMUJFWSA-N 0 3 323.440 4.050 20 0 DIADHN CC1(C)CC[C@@H]1NCc1ccc(Cl)cc1Br ZINC000309435067 1041006885 /nfs/dbraw/zinc/00/68/85/1041006885.db2.gz MNVVPGDCTZDGGF-LBPRGKRZSA-N 0 3 302.643 4.381 20 0 DIADHN C[C@H](N[C@@H]1CCCCC[C@H]1O)c1csc(-c2ccccc2)n1 ZINC000414162285 1040941826 /nfs/dbraw/zinc/94/18/26/1040941826.db2.gz LMBCTHIFDNVYDB-YSVLISHTSA-N 0 3 316.470 4.154 20 0 DIADHN Cc1ccc(CN(CCCOc2ccc(F)cc2)C2CC2)o1 ZINC000613442969 1040952636 /nfs/dbraw/zinc/95/26/36/1040952636.db2.gz HKNDJXMGRWBIIJ-UHFFFAOYSA-N 0 3 303.377 4.161 20 0 DIADHN CC1CCC(N(Cc2nc(-c3ccccc3)no2)C2CC2)CC1 ZINC000613472947 1040978630 /nfs/dbraw/zinc/97/86/30/1040978630.db2.gz UUPYCJROGPRRBV-UHFFFAOYSA-N 0 3 311.429 4.280 20 0 DIADHN Clc1ccc([C@@H]2CCCN2C[C@H]2CCOC2)cc1Cl ZINC000613473202 1040980890 /nfs/dbraw/zinc/98/08/90/1040980890.db2.gz CEPAGQVWDUTDJJ-ABAIWWIYSA-N 0 3 300.229 4.167 20 0 DIADHN Cc1cccc(NC(=O)CN(C2CC2)C2CCC(C)CC2)c1C ZINC000613474912 1040983889 /nfs/dbraw/zinc/98/38/89/1040983889.db2.gz SWJARYARWHDYQS-UHFFFAOYSA-N 0 3 314.473 4.285 20 0 DIADHN CCOCc1ccc(CNCc2cc(C)nc3c2CCCC3)cc1 ZINC000892555167 1040992941 /nfs/dbraw/zinc/99/29/41/1040992941.db2.gz RHVOMKJXWXXRNT-UHFFFAOYSA-N 0 3 324.468 4.095 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1CC(=O)N[C@@H](C)c1ccccc1 ZINC000613494207 1041009563 /nfs/dbraw/zinc/00/95/63/1041009563.db2.gz YFCITFYOERTMGG-FXAWDEMLSA-N 0 3 322.452 4.009 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1ccnc(Cl)c1 ZINC000808761452 1041015263 /nfs/dbraw/zinc/01/52/63/1041015263.db2.gz MUCZRPJHWCNFNQ-INIZCTEOSA-N 0 3 304.821 4.327 20 0 DIADHN CC(C)OCCN(Cc1ccc(Cl)c(Cl)c1)C1CC1 ZINC000613496632 1041016177 /nfs/dbraw/zinc/01/61/77/1041016177.db2.gz BFAKVODDQPFOLH-UHFFFAOYSA-N 0 3 302.245 4.383 20 0 DIADHN FC1(F)CC[C@H](NCc2cc(Cl)ccc2Br)C1 ZINC000310589688 1041016709 /nfs/dbraw/zinc/01/67/09/1041016709.db2.gz YLTXYOMWLKBSFD-JTQLQIEISA-N 0 3 324.596 4.380 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN(C)CC(=O)Nc1ccc(C)cc1 ZINC001204618893 1041029456 /nfs/dbraw/zinc/02/94/56/1041029456.db2.gz YLTZDLJASNTKNS-SJORKVTESA-N 0 3 302.462 4.082 20 0 DIADHN CC(C)(C)c1ccncc1CN[C@H]1CCCNc2ccccc21 ZINC000414232040 1041035613 /nfs/dbraw/zinc/03/56/13/1041035613.db2.gz PRWQRYVHYPBARH-IBGZPJMESA-N 0 3 309.457 4.416 20 0 DIADHN CCC[C@@H](NCC(=O)N[C@@H](C)c1cccs1)c1ccccc1 ZINC000170767727 1041038235 /nfs/dbraw/zinc/03/82/35/1041038235.db2.gz NYYYRDAJMBYUTL-GOEBONIOSA-N 0 3 316.470 4.056 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@H](OCCC(C)C)CC1 ZINC000926757807 1041060362 /nfs/dbraw/zinc/06/03/62/1041060362.db2.gz IVFPQVHHZTYFOF-ULQDDVLXSA-N 0 3 320.477 4.324 20 0 DIADHN Cc1cc(F)ncc1CN[C@H](C)c1ccc(OC(C)C)cc1 ZINC000892602809 1041092976 /nfs/dbraw/zinc/09/29/76/1041092976.db2.gz DTVOYMGFCVJIFL-CQSZACIVSA-N 0 3 302.393 4.167 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCNc2ccccc2C1 ZINC000685903795 1041100237 /nfs/dbraw/zinc/10/02/37/1041100237.db2.gz JTRXZRNVLOUAKY-UHFFFAOYSA-N 0 3 303.409 4.284 20 0 DIADHN F[C@@H]1CCCC[C@H]1N[C@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000414317310 1041118545 /nfs/dbraw/zinc/11/85/45/1041118545.db2.gz VRZIYFISRSCYEO-VHDGCEQUSA-N 0 3 316.342 4.348 20 0 DIADHN CC(C)[C@H](CCc1ccccc1)NCc1nnc(C2CC2)s1 ZINC000414322810 1041127022 /nfs/dbraw/zinc/12/70/22/1041127022.db2.gz HIWSYGJNUAEHEJ-INIZCTEOSA-N 0 3 315.486 4.163 20 0 DIADHN Cc1ccc(C[C@@H](NCc2nnc(C3CC3)s2)C(C)C)cc1 ZINC000414324065 1041127129 /nfs/dbraw/zinc/12/71/29/1041127129.db2.gz JVFBFUQUCIBNQT-MRXNPFEDSA-N 0 3 315.486 4.081 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cc1F ZINC000176312577 1041152222 /nfs/dbraw/zinc/15/22/22/1041152222.db2.gz KRZGSCIHARGHSJ-KBPBESRZSA-N 0 3 315.388 4.317 20 0 DIADHN Cc1cc(Cl)c(-n2nc(CN)c3ccccc32)c(Cl)c1 ZINC001167698288 1041156922 /nfs/dbraw/zinc/15/69/22/1041156922.db2.gz XLSWNUGSCMSCSK-UHFFFAOYSA-N 0 3 306.196 4.099 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)COC3)ccc1C(F)(F)F ZINC000414355217 1041162800 /nfs/dbraw/zinc/16/28/00/1041162800.db2.gz AYCZACMBGUFLLL-UHFFFAOYSA-N 0 3 321.342 4.334 20 0 DIADHN COc1ccc(CN2CCC[C@](C)(F)CC2)c(C(F)(F)F)c1 ZINC001143473425 1041172520 /nfs/dbraw/zinc/17/25/20/1041172520.db2.gz OKXXCHOULBJVEK-HNNXBMFYSA-N 0 3 319.342 4.428 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NCCc1nccs1)CC2 ZINC000134364261 1041178857 /nfs/dbraw/zinc/17/88/57/1041178857.db2.gz IIUWIKIRLWULRC-GFCCVEGCSA-N 0 3 313.253 4.270 20 0 DIADHN C[C@H](N[C@@H](C)C(C)(C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000393974616 1041197112 /nfs/dbraw/zinc/19/71/12/1041197112.db2.gz SPLYGKGOQQDDQJ-YUMQZZPRSA-N 0 3 310.652 4.457 20 0 DIADHN CCc1ncc(CN[C@@H]2CCCc3ccc(C(C)C)cc32)cn1 ZINC000414365660 1041181666 /nfs/dbraw/zinc/18/16/66/1041181666.db2.gz MSIQRMXYLNTJPX-LJQANCHMSA-N 0 3 309.457 4.330 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)N(CCN(C)C)CC(C)C ZINC000176542040 1041183313 /nfs/dbraw/zinc/18/33/13/1041183313.db2.gz JAGWPMLXQDFJHM-UHFFFAOYSA-N 0 3 319.493 4.170 20 0 DIADHN Cc1occc1CN[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000414368755 1041183910 /nfs/dbraw/zinc/18/39/10/1041183910.db2.gz SMQUKAAAMGHYSO-JKSUJKDBSA-N 0 3 309.768 4.000 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc3ccc(Cl)cc3n2)CCCO1 ZINC001170581691 1041186338 /nfs/dbraw/zinc/18/63/38/1041186338.db2.gz COMNRLFCXGHTKR-GOSISDBHSA-N 0 3 318.848 4.135 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCCO[C@H](C(C)C)C1 ZINC001170581725 1041186498 /nfs/dbraw/zinc/18/64/98/1041186498.db2.gz DNIFUECEBTYLTL-IBGZPJMESA-N 0 3 305.462 4.066 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN1CCOC[C@@H](C)C1 ZINC000809581209 1041188787 /nfs/dbraw/zinc/18/87/87/1041188787.db2.gz VELYGHPIHDZPHL-MQJVFOOVSA-N 0 3 313.363 4.077 20 0 DIADHN CCCCc1ccc(C(=O)Nc2ccccc2CN(C)C)cc1 ZINC000024811027 1041189241 /nfs/dbraw/zinc/18/92/41/1041189241.db2.gz XCUOSZLFXWUPKT-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN C[C@@H](O)c1ncc(CN(C)C2CCC(C(C)(C)C)CC2)s1 ZINC000809546615 1041189234 /nfs/dbraw/zinc/18/92/34/1041189234.db2.gz VVGNOMGOECGRCI-IYXRBSQSSA-N 0 3 310.507 4.233 20 0 DIADHN Cc1ccncc1CCCN1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000809577124 1041189336 /nfs/dbraw/zinc/18/93/36/1041189336.db2.gz VQDQNVFEPWTFGW-IBGZPJMESA-N 0 3 316.395 4.438 20 0 DIADHN C[C@H](CCC1CCCCC1)NCc1ncc(C2OCCO2)s1 ZINC000809619551 1041197950 /nfs/dbraw/zinc/19/79/50/1041197950.db2.gz CUTVRDVTYUYKJV-CYBMUJFWSA-N 0 3 324.490 4.027 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cncc2ccccc21)c1ccccc1 ZINC000809655224 1041212564 /nfs/dbraw/zinc/21/25/64/1041212564.db2.gz FDMHKAXCRCTCSI-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN Cc1ccc(CN2CCCCC[C@H]2/C=C/c2ccccc2)nn1 ZINC000414394651 1041230904 /nfs/dbraw/zinc/23/09/04/1041230904.db2.gz GDHDGHDXHGEYBZ-PKHIPVCBSA-N 0 3 307.441 4.243 20 0 DIADHN CCOCOc1ccc(CN(C)[C@@H](CC)c2ccncc2)cc1 ZINC000809764726 1041251103 /nfs/dbraw/zinc/25/11/03/1041251103.db2.gz HFBUFQWNCZHLOW-IBGZPJMESA-N 0 3 314.429 4.038 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1ccc(F)nc1C ZINC000809783405 1041253039 /nfs/dbraw/zinc/25/30/39/1041253039.db2.gz BMZDRHAOFYMJFV-QGZVFWFLSA-N 0 3 302.393 4.121 20 0 DIADHN FC(F)SCc1ccc(CN2CCC[C@H](C3CC3)C2)o1 ZINC000809787273 1041257572 /nfs/dbraw/zinc/25/75/72/1041257572.db2.gz XPMGBLRLRZSGOU-LBPRGKRZSA-N 0 3 301.402 4.357 20 0 DIADHN C[C@@H](CO)CNC(c1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000678028037 1041264510 /nfs/dbraw/zinc/26/45/10/1041264510.db2.gz QLBSNWHTZYTCGZ-GFCCVEGCSA-N 0 3 324.251 4.301 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCSC2)c(Br)c1 ZINC000158607443 1041268280 /nfs/dbraw/zinc/26/82/80/1041268280.db2.gz RNHDDOFMGCIKQX-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NC[C@@H]1CCN1C1CCCC1 ZINC001131916464 1041269412 /nfs/dbraw/zinc/26/94/12/1041269412.db2.gz QBDHRUFJQJBKCE-HKUYNNGSSA-N 0 3 308.510 4.116 20 0 DIADHN CC(C)C[C@H](NC(=O)C[C@H]1NCc2ccccc21)c1ccccc1 ZINC000659246571 1041274778 /nfs/dbraw/zinc/27/47/78/1041274778.db2.gz AXIDFPCJJRZMPH-VQTJNVASSA-N 0 3 322.452 4.125 20 0 DIADHN Cc1ncsc1CN1CCC[C@@H]1COc1ccc(Cl)cc1 ZINC000809932170 1041275129 /nfs/dbraw/zinc/27/51/29/1041275129.db2.gz PDPLNDJCBFGVPK-CQSZACIVSA-N 0 3 322.861 4.148 20 0 DIADHN CC(C)C[C@@H](NC(=O)C[C@H]1NCc2ccccc21)c1ccccc1 ZINC000659246573 1041275536 /nfs/dbraw/zinc/27/55/36/1041275536.db2.gz AXIDFPCJJRZMPH-WOJBJXKFSA-N 0 3 322.452 4.125 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)C[C@@H]1NCc2ccccc21 ZINC000659249745 1041277066 /nfs/dbraw/zinc/27/70/66/1041277066.db2.gz KQZBOPUHJDXINF-JXFKEZNVSA-N 0 3 322.452 4.048 20 0 DIADHN CCCCOc1cccc(NC(=O)C[C@H]2NCc3ccccc32)c1 ZINC000659251181 1041278026 /nfs/dbraw/zinc/27/80/26/1041278026.db2.gz DKORKCQCWJWQDU-LJQANCHMSA-N 0 3 324.424 4.039 20 0 DIADHN Clc1ccc(-c2nnc(CN3C[C@@H]4CCCC[C@@H]4C3)o2)cc1 ZINC000177570675 1041280875 /nfs/dbraw/zinc/28/08/75/1041280875.db2.gz IELXMDVMFNYYGC-OKILXGFUSA-N 0 3 317.820 4.012 20 0 DIADHN CC(C)(C)Oc1ccccc1NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659291798 1041294078 /nfs/dbraw/zinc/29/40/78/1041294078.db2.gz NCTKRCZIJUTVPG-KRWDZBQOSA-N 0 3 324.424 4.037 20 0 DIADHN CC[C@H](NCC1(COC)CCC1)c1nc(C(F)(F)F)cs1 ZINC000361337199 1041300068 /nfs/dbraw/zinc/30/00/68/1041300068.db2.gz TZRBUDNXLUFBGQ-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN Cc1cccc([C@H](C)NCc2cnn(Cc3ccccc3)c2)c1 ZINC000177948339 1041300613 /nfs/dbraw/zinc/30/06/13/1041300613.db2.gz LYIOCACCJREGPX-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN COCC1(CN2CCC[C@H]2c2nc3ccccc3s2)CCC1 ZINC000361295030 1041301573 /nfs/dbraw/zinc/30/15/73/1041301573.db2.gz HQNFBTWVOHBVDK-HNNXBMFYSA-N 0 3 316.470 4.250 20 0 DIADHN COCC1(CN(Cc2cccc(C)c2)Cc2ccccn2)CCC1 ZINC000361313626 1041301770 /nfs/dbraw/zinc/30/17/70/1041301770.db2.gz MZHSJIQSGMLNRV-UHFFFAOYSA-N 0 3 324.468 4.209 20 0 DIADHN COc1ccc([C@@H](Cc2ccccc2)NC/C=C\Cl)cc1 ZINC000810074968 1041307297 /nfs/dbraw/zinc/30/72/97/1041307297.db2.gz BPXLULVSOZEPFE-OHMHXEGESA-N 0 3 301.817 4.321 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924590340 1041319604 /nfs/dbraw/zinc/31/96/04/1041319604.db2.gz GSKIVQDRKFEZHM-SCLBCKFNSA-N 0 3 324.453 4.131 20 0 DIADHN CCC1CCC(N(C)C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000589157105 1041319802 /nfs/dbraw/zinc/31/98/02/1041319802.db2.gz JBXSPSPBZXEPGW-UHFFFAOYSA-N 0 3 317.477 4.181 20 0 DIADHN CN(C)[C@@H](CNc1nccc2sccc21)c1ccc(F)cc1 ZINC000613572524 1041323928 /nfs/dbraw/zinc/32/39/28/1041323928.db2.gz KARCEBIBUTZRIC-HNNXBMFYSA-N 0 3 315.417 4.150 20 0 DIADHN C[C@H](NC/C=C/c1ccccc1)c1ccc(Br)nc1 ZINC000810217503 1041337591 /nfs/dbraw/zinc/33/75/91/1041337591.db2.gz FSVUWCOMNMVGGO-LJLILKBBSA-N 0 3 317.230 4.208 20 0 DIADHN C[C@@H](C1CC1)N(CC(=O)Nc1cccc2ccccc21)C1CC1 ZINC000031653619 1041337965 /nfs/dbraw/zinc/33/79/65/1041337965.db2.gz KCAJRIHFNQNYFL-AWEZNQCLSA-N 0 3 308.425 4.041 20 0 DIADHN Fc1cnccc1CN1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC000648360211 1041340700 /nfs/dbraw/zinc/34/07/00/1041340700.db2.gz GLYBWQZTHKGELQ-INIZCTEOSA-N 0 3 309.388 4.082 20 0 DIADHN CC(C)(C)c1ccc(O[C@@H]2CNCCC2(F)F)c(Cl)c1 ZINC001218446960 1041369564 /nfs/dbraw/zinc/36/95/64/1041369564.db2.gz FPUOFTXHZNZJHS-CYBMUJFWSA-N 0 3 303.780 4.014 20 0 DIADHN Cc1ccc(NC(=O)CN(C2CC2)C2CCCCC2)c(Cl)c1 ZINC000613629516 1041393776 /nfs/dbraw/zinc/39/37/76/1041393776.db2.gz CJVTXABCDCBTND-UHFFFAOYSA-N 0 3 320.864 4.384 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1CN1CCC(F)(F)[C@@H](C)C1 ZINC001140960852 1041409414 /nfs/dbraw/zinc/40/94/14/1041409414.db2.gz GLLSRPITACRGTP-NSHDSACASA-N 0 3 323.305 4.371 20 0 DIADHN CN(Cc1c[nH]c2ccc(Cl)cc12)Cc1cccc(O)c1 ZINC001137707954 1041420565 /nfs/dbraw/zinc/42/05/65/1041420565.db2.gz BMHLSWJZISOUPE-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN Cc1ccc(O)c(CN[C@H]2CCSc3ccc(Cl)cc32)n1 ZINC000112716455 1041445759 /nfs/dbraw/zinc/44/57/59/1041445759.db2.gz BQGSMGMOIJZILO-ZDUSSCGKSA-N 0 3 320.845 4.076 20 0 DIADHN Cc1nc(CN(CCc2ccc(F)cc2)C2CCCC2)co1 ZINC000659859039 1041462368 /nfs/dbraw/zinc/46/23/68/1041462368.db2.gz FMLZBSCBOZBIFA-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C=C1CCC(C)CC1 ZINC000177365662 1041479244 /nfs/dbraw/zinc/47/92/44/1041479244.db2.gz YMEFYPYNJVKGSB-UHFFFAOYSA-N 0 3 300.446 4.132 20 0 DIADHN CC(C)C[C@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236661107 1041481897 /nfs/dbraw/zinc/48/18/97/1041481897.db2.gz HKVMMUIZYPHULV-ZDUSSCGKSA-N 0 3 307.821 4.097 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)Nc2ccc3c(c2)CNC3)c(C)c1 ZINC000415986148 1041513533 /nfs/dbraw/zinc/51/35/33/1041513533.db2.gz BCAOMTVESHPIKD-OAHLLOKOSA-N 0 3 323.440 4.132 20 0 DIADHN Clc1nccc(CNC2CC(c3ccccc3)C2)c1Cl ZINC000811480588 1041522233 /nfs/dbraw/zinc/52/22/33/1041522233.db2.gz ZGDXGQVICZVUKK-UHFFFAOYSA-N 0 3 307.224 4.424 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1ccnc(Cl)c1Cl ZINC000811491129 1041524349 /nfs/dbraw/zinc/52/43/49/1041524349.db2.gz ACFLBAVPMZLUMS-OLZOCXBDSA-N 0 3 317.260 4.072 20 0 DIADHN Fc1ccccc1CCCNCc1ccnc(Cl)c1Cl ZINC000811499577 1041531671 /nfs/dbraw/zinc/53/16/71/1041531671.db2.gz QJJOVFWEWRDMIT-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN Fc1ccc(C2CC(NCc3nc(C4CC4)cs3)C2)c(F)c1 ZINC000893172474 1041534360 /nfs/dbraw/zinc/53/43/60/1041534360.db2.gz RIDURHFENXRGKN-UHFFFAOYSA-N 0 3 320.408 4.335 20 0 DIADHN C[C@H]1CN(Cc2ccccc2N2CCCCC2)CCC1(F)F ZINC000811512252 1041534760 /nfs/dbraw/zinc/53/47/60/1041534760.db2.gz JBYMAXQZNKIKRQ-HNNXBMFYSA-N 0 3 308.416 4.154 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1cc(C2CC2)no1 ZINC000659939776 1041549810 /nfs/dbraw/zinc/54/98/10/1041549810.db2.gz AVTDFTZZWZLIAV-LBPRGKRZSA-N 0 3 304.821 4.268 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2nsc3ccccc32)C1 ZINC000659944489 1041552554 /nfs/dbraw/zinc/55/25/54/1041552554.db2.gz LBHDSJCIIFQZBN-AWEZNQCLSA-N 0 3 324.449 4.294 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cc(F)ccc1F)c1cc2ccccc2o1 ZINC000182625419 1041569858 /nfs/dbraw/zinc/56/98/58/1041569858.db2.gz MEROJDJNYBKQEO-BDJLRTHQSA-N 0 3 317.335 4.095 20 0 DIADHN Cc1cc([C@H](NCc2cn3ccnc3s2)C2CC2)ccc1F ZINC000893237812 1041571985 /nfs/dbraw/zinc/57/19/85/1041571985.db2.gz LTMMDJOWQWURPX-MRXNPFEDSA-N 0 3 315.417 4.084 20 0 DIADHN CC(=O)Oc1ccc(CN[C@H](C)c2cc(C)sc2C)cc1 ZINC000182765285 1041573594 /nfs/dbraw/zinc/57/35/94/1041573594.db2.gz GTRZFLXJUGMZJD-GFCCVEGCSA-N 0 3 303.427 4.141 20 0 DIADHN Cc1ccc2nccc(N3CCC4(CC3)C(F)(F)C4(F)F)c2c1 ZINC000651387796 1041581676 /nfs/dbraw/zinc/58/16/76/1041581676.db2.gz HLGRSOBYVFIBCS-UHFFFAOYSA-N 0 3 324.321 4.414 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1cnc2n1CCCC2 ZINC000367435383 1041584830 /nfs/dbraw/zinc/58/48/30/1041584830.db2.gz BRRCSVLHWDULOE-GOSISDBHSA-N 0 3 309.457 4.003 20 0 DIADHN Cc1nccnc1[C@H](C)NC1CC(c2cccc(Cl)c2)C1 ZINC000363867728 1041584941 /nfs/dbraw/zinc/58/49/41/1041584941.db2.gz SKPHERDORIRQEF-YGONEPDPSA-N 0 3 301.821 4.035 20 0 DIADHN c1c(CN2CCC[C@H](c3nc4ccccc4o3)C2)onc1C1CC1 ZINC000660031813 1041588422 /nfs/dbraw/zinc/58/84/22/1041588422.db2.gz QJVHWFGRNPSCRB-AWEZNQCLSA-N 0 3 323.396 4.073 20 0 DIADHN COc1cc(CNC2(c3cccc(C)c3)CCCC2)sn1 ZINC000660064404 1041597222 /nfs/dbraw/zinc/59/72/22/1041597222.db2.gz NYRHMUBBBCMSSS-UHFFFAOYSA-N 0 3 302.443 4.019 20 0 DIADHN CC[C@@H](C)N(CCC=C(C)C)Cc1nc2ccccc2c(=O)[nH]1 ZINC000660076230 1041602700 /nfs/dbraw/zinc/60/27/00/1041602700.db2.gz BHUXGSNITDSRLJ-OAHLLOKOSA-N 0 3 313.445 4.292 20 0 DIADHN Cc1c[nH]nc1CN1CCCCC[C@@H]1Cc1ccccc1Cl ZINC001170670852 1041605318 /nfs/dbraw/zinc/60/53/18/1041605318.db2.gz ZRZZRCXBWKPCCQ-MRXNPFEDSA-N 0 3 317.864 4.359 20 0 DIADHN Cc1c[nH]nc1CN1CCCCC[C@H]1Cc1ccccc1Cl ZINC001170670851 1041605592 /nfs/dbraw/zinc/60/55/92/1041605592.db2.gz ZRZZRCXBWKPCCQ-INIZCTEOSA-N 0 3 317.864 4.359 20 0 DIADHN CC(C)(C)c1cnc(CNC2CCC3(CCOCC3)CC2)s1 ZINC000363937458 1041607397 /nfs/dbraw/zinc/60/73/97/1041607397.db2.gz LYOKMFIKYKLFIW-UHFFFAOYSA-N 0 3 322.518 4.270 20 0 DIADHN O=[N+]([O-])c1ccc(CN[C@H](c2ccccc2)C2CC2)cc1Cl ZINC000185269757 1041609077 /nfs/dbraw/zinc/60/90/77/1041609077.db2.gz RRYLQRHOCBYMEO-QGZVFWFLSA-N 0 3 316.788 4.489 20 0 DIADHN CCC[C@H](N[C@@H]1CCOC2(CCC2)C1)c1ncccc1Cl ZINC000925560958 1041611992 /nfs/dbraw/zinc/61/19/92/1041611992.db2.gz ANVSNCJMGCDXMI-HIFRSBDPSA-N 0 3 308.853 4.268 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2cnc3ccccn23)c1 ZINC001203160236 1041612199 /nfs/dbraw/zinc/61/21/99/1041612199.db2.gz XTQJZKTUPBJIAS-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1ccc2[nH]ccc2c1-n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001170681343 1041634361 /nfs/dbraw/zinc/63/43/61/1041634361.db2.gz NNVLJSYMIQNXHT-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cnc2ccccc2c1 ZINC001170681628 1041635181 /nfs/dbraw/zinc/63/51/81/1041635181.db2.gz RPXUZZBLHKEBEK-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cccs2)c(C)c1OC ZINC000584681727 1041638417 /nfs/dbraw/zinc/63/84/17/1041638417.db2.gz QPXKSCSITRTARO-HNNXBMFYSA-N 0 3 317.454 4.411 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2cnc(C3CC3)o2)C1 ZINC000628297074 1041641297 /nfs/dbraw/zinc/64/12/97/1041641297.db2.gz JANKFLYXIXZIAN-JOCQHMNTSA-N 0 3 302.805 4.241 20 0 DIADHN Cc1cc(-n2c(-c3ccccc3)cnc2[C@H](C)N)cc2cc[nH]c21 ZINC001170685855 1041642572 /nfs/dbraw/zinc/64/25/72/1041642572.db2.gz HTKNHDCYXOTWEJ-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN COc1ccc([C@H](CCO)NCc2cccc(C(C)C)c2)cc1 ZINC000660179147 1041652118 /nfs/dbraw/zinc/65/21/18/1041652118.db2.gz XUKPSOVPMKLOFO-FQEVSTJZSA-N 0 3 313.441 4.032 20 0 DIADHN C[C@@H]1c2ccccc2CN1C[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC000812828305 1041652981 /nfs/dbraw/zinc/65/29/81/1041652981.db2.gz QKKHWWNCAAOJCI-PXAZEXFGSA-N 0 3 321.342 4.316 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@@H](N1CCC(C(F)(F)F)CC1)C2 ZINC001170688934 1041654482 /nfs/dbraw/zinc/65/44/82/1041654482.db2.gz GQWAXAAZGDUGLF-CYBMUJFWSA-N 0 3 319.317 4.096 20 0 DIADHN CN(Cc1cncc(C(F)(F)F)c1)C(C)(C)c1ccccc1 ZINC000812841787 1041654860 /nfs/dbraw/zinc/65/48/60/1041654860.db2.gz JBJDXRNWIGDRDI-UHFFFAOYSA-N 0 3 308.347 4.468 20 0 DIADHN CCC(CC)[C@@H](NCc1cc(C)nc(C)n1)c1cccs1 ZINC000660190089 1041657806 /nfs/dbraw/zinc/65/78/06/1041657806.db2.gz RSXOOLPYYTUVJF-QGZVFWFLSA-N 0 3 303.475 4.422 20 0 DIADHN CCc1ccc([C@@H](NC[C@H](C)SC)c2cccnc2)cc1 ZINC000925602828 1041670828 /nfs/dbraw/zinc/67/08/28/1041670828.db2.gz XCMUXQSXFJUKGR-KBXCAEBGSA-N 0 3 300.471 4.075 20 0 DIADHN CC[C@@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1nc(C(F)(F)F)cs1 ZINC000660255688 1041690566 /nfs/dbraw/zinc/69/05/66/1041690566.db2.gz DPYMRFWDKVLOEB-LMLFDSFASA-N 0 3 304.381 4.249 20 0 DIADHN CC[C@H](NCCc1nsc2ccccc12)c1nc(C)cs1 ZINC000660251742 1041690890 /nfs/dbraw/zinc/69/08/90/1041690890.db2.gz BRYLMMVYIRCXLI-ZDUSSCGKSA-N 0 3 317.483 4.345 20 0 DIADHN CC[C@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1nc(C(F)(F)F)cs1 ZINC000660255690 1041690930 /nfs/dbraw/zinc/69/09/30/1041690930.db2.gz DPYMRFWDKVLOEB-VLEAKVRGSA-N 0 3 304.381 4.249 20 0 DIADHN Cc1cc(C)c(CN[C@@H](CN(C)C)c2ccc(F)cc2)cc1C ZINC000584862398 1041693479 /nfs/dbraw/zinc/69/34/79/1041693479.db2.gz SPKQGQVKLXWEOY-FQEVSTJZSA-N 0 3 314.448 4.143 20 0 DIADHN CCn1cncc1CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC000417899578 1041708580 /nfs/dbraw/zinc/70/85/80/1041708580.db2.gz YTVVTQLCUCVUCG-NRFANRHFSA-N 0 3 319.452 4.367 20 0 DIADHN CCn1cncc1CN[C@H](CCc1ccccc1)c1ccccc1 ZINC000417899580 1041709247 /nfs/dbraw/zinc/70/92/47/1041709247.db2.gz YTVVTQLCUCVUCG-OAQYLSRUSA-N 0 3 319.452 4.367 20 0 DIADHN Cc1ccnc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)c1 ZINC000644786080 1041710077 /nfs/dbraw/zinc/71/00/77/1041710077.db2.gz LCGCQRZVYAJPQP-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN Fc1ccc2c(c1)CCN([C@H]1CCc3cc(F)cc(F)c3C1)C2 ZINC001170708109 1041713452 /nfs/dbraw/zinc/71/34/52/1041713452.db2.gz ZVYJFRPRHYNGRC-KRWDZBQOSA-N 0 3 317.354 4.020 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C/c3ccccc3F)n2)cc1 ZINC000747864252 1041723579 /nfs/dbraw/zinc/72/35/79/1041723579.db2.gz VTUUTZRADZHRJO-VAWYXSNFSA-N 0 3 323.371 4.108 20 0 DIADHN CN(Cc1nccn1CC(F)(F)F)[C@H]1CCC[C@H]1C(C)(C)C ZINC000418113963 1041726712 /nfs/dbraw/zinc/72/67/12/1041726712.db2.gz MXSYRKMUNNWCSI-OLZOCXBDSA-N 0 3 317.399 4.092 20 0 DIADHN Cc1ccc2ncc(CN[C@H](C)c3ccc(C4CC4)cc3)n2c1 ZINC000418119497 1041727309 /nfs/dbraw/zinc/72/73/09/1041727309.db2.gz XSFJWRIVRNLPJB-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN O[C@H](CN[C@H]1CCCc2occc21)c1ccc2ccccc2c1 ZINC000192848503 1041755461 /nfs/dbraw/zinc/75/54/61/1041755461.db2.gz FELWMYYOLWGGQC-RBUKOAKNSA-N 0 3 307.393 4.133 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N[C@H](CO)c3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001170725273 1041768506 /nfs/dbraw/zinc/76/85/06/1041768506.db2.gz XFIBWLYANBIDLS-CQROYNQRSA-N 0 3 314.256 4.051 20 0 DIADHN CC(C)N(Cc1ccco1)C[C@H](O)c1ccc(Cl)c(F)c1 ZINC000759841772 1041768843 /nfs/dbraw/zinc/76/88/43/1041768843.db2.gz ADEPMHNDBKQJED-INIZCTEOSA-N 0 3 311.784 4.016 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CC[C@]3(CC3(F)F)C2)s1 ZINC000652064590 1041771736 /nfs/dbraw/zinc/77/17/36/1041771736.db2.gz USIPHWASOSZQOU-HNNXBMFYSA-N 0 3 324.371 4.180 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1cc(Br)cs1 ZINC000193948169 1041779418 /nfs/dbraw/zinc/77/94/18/1041779418.db2.gz AOMIBFBBKMOVME-VHSXEESVSA-N 0 3 311.248 4.317 20 0 DIADHN C[C@@]12CCN(Cc3ccccc3N3CCCCC3)C[C@@H]1C2(F)F ZINC000814169990 1041781546 /nfs/dbraw/zinc/78/15/46/1041781546.db2.gz PIOBZHOPCXYACI-ZWKOTPCHSA-N 0 3 320.427 4.154 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCO[C@H]1CC1(F)F ZINC000660422982 1041784704 /nfs/dbraw/zinc/78/47/04/1041784704.db2.gz IMVJFAJVRPTEBE-OLZOCXBDSA-N 0 3 323.305 4.002 20 0 DIADHN CC/C(C)=C(/C)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000780928699 1041790567 /nfs/dbraw/zinc/79/05/67/1041790567.db2.gz WETROTWNOSBHDS-JJCXBQPYSA-N 0 3 314.473 4.076 20 0 DIADHN Cc1ccsc1CN1CCC(O)(c2ccccc2Cl)CC1 ZINC000194951934 1041797749 /nfs/dbraw/zinc/79/77/49/1041797749.db2.gz SHOMOODDKLXLGA-UHFFFAOYSA-N 0 3 321.873 4.194 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3c(F)cccc3Br)C[C@@H]21 ZINC001170736052 1041800262 /nfs/dbraw/zinc/80/02/62/1041800262.db2.gz DSBCTVRPCOQTTC-YHPBZONMSA-N 0 3 312.226 4.112 20 0 DIADHN C[C@H](Nc1ccc(CN(C)C)cn1)c1ccccc1C(F)(F)F ZINC001170737797 1041802714 /nfs/dbraw/zinc/80/27/14/1041802714.db2.gz PMPHTXITTSBLDT-LBPRGKRZSA-N 0 3 323.362 4.335 20 0 DIADHN C[C@H](NCc1cnn(CC(F)F)c1)c1csc2ccccc21 ZINC000814291598 1041804725 /nfs/dbraw/zinc/80/47/25/1041804725.db2.gz RBMAYFBVZPPPLP-NSHDSACASA-N 0 3 321.396 4.214 20 0 DIADHN Cc1ccc2oc(CNC[C@H](O)c3ccc(Cl)cc3)cc2c1 ZINC000814299203 1041806837 /nfs/dbraw/zinc/80/68/37/1041806837.db2.gz SDHVQNOQYDWBBF-KRWDZBQOSA-N 0 3 315.800 4.218 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N3CCn4cccc4[C@@H]3c3ccccc3)C[C@@H]21 ZINC001170741296 1041810088 /nfs/dbraw/zinc/81/00/88/1041810088.db2.gz VCHJFFHBAVELOW-GNBUJSLZSA-N 0 3 306.453 4.328 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1cc(F)cc2cccnc21 ZINC000109784374 1041811551 /nfs/dbraw/zinc/81/15/51/1041811551.db2.gz XWNRLOJBLBQSOG-ZDUSSCGKSA-N 0 3 310.372 4.273 20 0 DIADHN Brc1ccc([C@@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000660491191 1041817673 /nfs/dbraw/zinc/81/76/73/1041817673.db2.gz FWOLQSDQUCAXNC-ZWUHOBOKSA-N 0 3 321.262 4.027 20 0 DIADHN C=Cn1cc(CN[C@@H](Cc2ccccc2)c2sccc2C)cn1 ZINC000194324484 1041823605 /nfs/dbraw/zinc/82/36/05/1041823605.db2.gz KBVYEBOMIGAYKW-SFHVURJKSA-N 0 3 323.465 4.427 20 0 DIADHN CN(Cc1cc2ccccc2s1)[C@H]1CCCc2c1cnn2C ZINC000093863838 1041831347 /nfs/dbraw/zinc/83/13/47/1041831347.db2.gz ZVSGXQRODVGWNU-INIZCTEOSA-N 0 3 311.454 4.144 20 0 DIADHN C[C@H]1CCN(Cc2cccc(SC(=O)N(C)C)c2)CC1(C)C ZINC000759923434 1041841135 /nfs/dbraw/zinc/84/11/35/1041841135.db2.gz QUARLAVKQUFDRV-AWEZNQCLSA-N 0 3 320.502 4.328 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)o1 ZINC000429785760 1041847743 /nfs/dbraw/zinc/84/77/43/1041847743.db2.gz CLPXBIYIHCAXNF-CHWSQXEVSA-N 0 3 306.356 4.428 20 0 DIADHN COC(C)(C)CCN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660708029 1041855994 /nfs/dbraw/zinc/85/59/94/1041855994.db2.gz LRWSSQIROBXUHH-NSHDSACASA-N 0 3 309.841 4.024 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](n3c4ccccc4nc3[C@@H]3CCCCN3)C[C@@H]21 ZINC001170761993 1041859898 /nfs/dbraw/zinc/85/98/98/1041859898.db2.gz PZLPZSFLMVIBLT-MPTYRVRUSA-N 0 3 309.457 4.458 20 0 DIADHN CC1(C)[C@@H]2C[C@H](n3c4ccccc4nc3[C@@H]3CCCCN3)C[C@@H]21 ZINC001170761991 1041860172 /nfs/dbraw/zinc/86/01/72/1041860172.db2.gz PZLPZSFLMVIBLT-IVSAIRAKSA-N 0 3 309.457 4.458 20 0 DIADHN Cc1nc(CN2CCC[C@@]2(C)Cc2ccc(F)cc2)c(C)o1 ZINC000660832444 1041864145 /nfs/dbraw/zinc/86/41/45/1041864145.db2.gz XTUWFXSGKLUJRD-SFHVURJKSA-N 0 3 302.393 4.028 20 0 DIADHN C[C@@H]1CCCCC[C@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654908 1041866483 /nfs/dbraw/zinc/86/64/83/1041866483.db2.gz HBMBUPWBBXVKBL-VQIMIIECSA-N 0 3 316.489 4.024 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN[C@@H](C)c1ccccc1 ZINC000651667539 1041873047 /nfs/dbraw/zinc/87/30/47/1041873047.db2.gz GTXULRUFFJRSDU-INIZCTEOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)c2ccco2)c2ccccc2)cc1 ZINC000027197663 1041881638 /nfs/dbraw/zinc/88/16/38/1041881638.db2.gz UYHVWFUMWHIHIR-UYAOXDASSA-N 0 3 307.393 4.001 20 0 DIADHN CC[C@@H](C)N(CC)Cc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000661103864 1041886855 /nfs/dbraw/zinc/88/68/55/1041886855.db2.gz DALLNDCIGDQUOA-GFCCVEGCSA-N 0 3 320.458 4.145 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1cccc(Cl)c1Cl ZINC000661109901 1041888642 /nfs/dbraw/zinc/88/86/42/1041888642.db2.gz UXJWVVVQFXMFKX-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN CN(C)c1ccc(CN(Cc2ccsc2)C(C)(C)C)cn1 ZINC000661134198 1041893378 /nfs/dbraw/zinc/89/33/78/1041893378.db2.gz UJFNFXZKUUUENE-UHFFFAOYSA-N 0 3 303.475 4.010 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1cc2cnccc2o1 ZINC000651729148 1041895522 /nfs/dbraw/zinc/89/55/22/1041895522.db2.gz XQOZQNIICAGCRU-HXPMCKFVSA-N 0 3 310.372 4.380 20 0 DIADHN C[C@@H]1CCCCC[C@@H]1N[C@H](c1nccn1C)c1ccc(F)cc1 ZINC000651749978 1041902339 /nfs/dbraw/zinc/90/23/39/1041902339.db2.gz QVSVLODWBQPLBO-JLSDUUJJSA-N 0 3 315.436 4.207 20 0 DIADHN CC[C@](C)(NCc1ccnc(Br)c1)c1ccccc1 ZINC000815083407 1041912693 /nfs/dbraw/zinc/91/26/93/1041912693.db2.gz WYQDBXZKXUOCSZ-INIZCTEOSA-N 0 3 319.246 4.259 20 0 DIADHN CC[C@H](NC[C@H](O)c1cccc2ccccc21)c1c(C)noc1C ZINC000815088658 1041913703 /nfs/dbraw/zinc/91/37/03/1041913703.db2.gz JBGFCCKHPUBTOH-OALUTQOASA-N 0 3 324.424 4.219 20 0 DIADHN COc1cc(CNCc2ccsc2C)ccc1OC(C)C ZINC000815114973 1041913818 /nfs/dbraw/zinc/91/38/18/1041913818.db2.gz UFKRSEXYCOHDDJ-UHFFFAOYSA-N 0 3 305.443 4.142 20 0 DIADHN CCC(CC)(CNCc1ncc(CSC)s1)SC ZINC000815127565 1041916591 /nfs/dbraw/zinc/91/65/91/1041916591.db2.gz BQZDYGZLQURPDF-UHFFFAOYSA-N 0 3 304.550 4.018 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(C2CCC2)cc1 ZINC000815124488 1041916602 /nfs/dbraw/zinc/91/66/02/1041916602.db2.gz AJMAPMFRKPOZJC-LJQANCHMSA-N 0 3 307.441 4.220 20 0 DIADHN c1ccc(-c2nnc(C[NH2+]Cc3ccc(C4CCC4)cc3)[n-]2)cc1 ZINC000815126184 1041916853 /nfs/dbraw/zinc/91/68/53/1041916853.db2.gz IBKHMKNUYSOVBH-UHFFFAOYSA-N 0 3 318.424 4.029 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1cc2cnccc2o1 ZINC000651810608 1041919927 /nfs/dbraw/zinc/91/99/27/1041919927.db2.gz YSAXLWHODBIRSM-KRWDZBQOSA-N 0 3 324.428 4.285 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1cc2cnccc2o1 ZINC000651812120 1041921435 /nfs/dbraw/zinc/92/14/35/1041921435.db2.gz LXJQATWXBSCACY-QGZVFWFLSA-N 0 3 310.397 4.420 20 0 DIADHN CO[C@@H]([C@H](C)N[C@@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651823490 1041922979 /nfs/dbraw/zinc/92/29/79/1041922979.db2.gz KVLBFQPWBPLNDH-AVYPCKFXSA-N 0 3 310.441 4.213 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651826731 1041925937 /nfs/dbraw/zinc/92/59/37/1041925937.db2.gz ONYMLCHOFZKDNG-CDHQVMDDSA-N 0 3 310.441 4.357 20 0 DIADHN Cc1ccc2c(CNC(C)(C)c3ccccc3C)cc(=O)oc2c1 ZINC000815149340 1041927544 /nfs/dbraw/zinc/92/75/44/1041927544.db2.gz JKCHFPCMBZFLSY-UHFFFAOYSA-N 0 3 321.420 4.435 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNCC[C@H](C)F ZINC000815149574 1041928140 /nfs/dbraw/zinc/92/81/40/1041928140.db2.gz RRIWVUPLBIXLFV-ZDUSSCGKSA-N 0 3 323.843 4.039 20 0 DIADHN Cc1cnc(CCN2CC3(CCCC3)[C@H]2c2ccco2)c(C)c1 ZINC000661436728 1041936277 /nfs/dbraw/zinc/93/62/77/1041936277.db2.gz VJEKRDNAVCYXNK-LJQANCHMSA-N 0 3 310.441 4.451 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN1CC[C@H](c2ccco2)C1 ZINC000662862927 1041945594 /nfs/dbraw/zinc/94/55/94/1041945594.db2.gz ZCDWEJKGMPIQAO-HNNXBMFYSA-N 0 3 312.344 4.063 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1coc(-c2ccc(F)cc2)n1 ZINC000661522250 1041947973 /nfs/dbraw/zinc/94/79/73/1041947973.db2.gz BBGRYUIRJGMXFG-ORAYPTAESA-N 0 3 322.383 4.299 20 0 DIADHN CC(C)OCCNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000184157165 1041949009 /nfs/dbraw/zinc/94/90/09/1041949009.db2.gz PJVIYMZXUNVLLC-UHFFFAOYSA-N 0 3 316.426 4.071 20 0 DIADHN CC[C@H](OCCN[C@@H]1CCCc2cccnc21)c1ccccc1 ZINC000651908830 1041949723 /nfs/dbraw/zinc/94/97/23/1041949723.db2.gz OQZVHSYIKHSKIU-MOPGFXCFSA-N 0 3 310.441 4.217 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000815553489 1041961961 /nfs/dbraw/zinc/96/19/61/1041961961.db2.gz BHLORVBYZNMXLY-ZIAGYGMSSA-N 0 3 315.388 4.317 20 0 DIADHN COCCOc1ccc(CN[C@H](C)c2ccc(F)cc2C)cc1 ZINC000815559077 1041963279 /nfs/dbraw/zinc/96/32/79/1041963279.db2.gz WXTFUELCHJTRLN-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN CN(Cc1ccc(Cl)c(C(F)(F)F)c1)CC1=CCCOC1 ZINC000661653804 1041965550 /nfs/dbraw/zinc/96/55/50/1041965550.db2.gz UBYJCEXCJZLPLK-UHFFFAOYSA-N 0 3 319.754 4.137 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(F)cc2C)ccc1OC ZINC000815580087 1041965534 /nfs/dbraw/zinc/96/55/34/1041965534.db2.gz BVDNFKODZCHHOL-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1ccc(F)cc1C ZINC000815577606 1041966201 /nfs/dbraw/zinc/96/62/01/1041966201.db2.gz UYHORNYVMVEHLQ-OAHLLOKOSA-N 0 3 300.421 4.441 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000815597924 1041970235 /nfs/dbraw/zinc/97/02/35/1041970235.db2.gz JAFKEONLPOCYSK-ALLJEULLSA-N 0 3 319.445 4.283 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CCC[C@H](CCF)C1 ZINC000661692980 1041971646 /nfs/dbraw/zinc/97/16/46/1041971646.db2.gz JPRBBGUYYRWWNI-HUUCEWRRSA-N 0 3 302.393 4.474 20 0 DIADHN Cc1ccc(NC(=O)CN(C2CC2)C2CCCCC2)c(C)c1 ZINC000048008800 1041977314 /nfs/dbraw/zinc/97/73/14/1041977314.db2.gz ALSFMIWDWPZZNE-UHFFFAOYSA-N 0 3 300.446 4.039 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C1CC1)C1CCCCC1 ZINC000048008866 1041977848 /nfs/dbraw/zinc/97/78/48/1041977848.db2.gz SQTLTKPEOYGHCU-UHFFFAOYSA-N 0 3 314.473 4.293 20 0 DIADHN CCCOc1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1F ZINC001211672593 1041982222 /nfs/dbraw/zinc/98/22/22/1041982222.db2.gz JCSKIIZSOBQPSN-UHFFFAOYSA-N 0 3 314.404 4.346 20 0 DIADHN C[C@@H]1CN(CCSc2cccc(Cl)c2)CCC1(F)F ZINC001170803277 1041995346 /nfs/dbraw/zinc/99/53/46/1041995346.db2.gz HDUKWVRUYVMYLT-LLVKDONJSA-N 0 3 305.821 4.409 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](COC)c1ccc(Cl)cc1 ZINC000494769558 1041998549 /nfs/dbraw/zinc/99/85/49/1041998549.db2.gz WGBUQOMTRWPVKZ-OLZOCXBDSA-N 0 3 309.759 4.348 20 0 DIADHN OCc1ccc(CN[C@@H]2CCSc3ccc(Cl)cc32)cc1 ZINC000227463764 1042002520 /nfs/dbraw/zinc/00/25/20/1042002520.db2.gz HTVLPLQOKJGKHF-MRXNPFEDSA-N 0 3 319.857 4.159 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000661860863 1042006503 /nfs/dbraw/zinc/00/65/03/1042006503.db2.gz JBBBQGBBVXEMLD-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H](NC1CC2(CCC2)C1)c1nc2ccc(Cl)cc2n1C ZINC000652327853 1042008251 /nfs/dbraw/zinc/00/82/51/1042008251.db2.gz LWRSPSZUFOBJAF-LLVKDONJSA-N 0 3 303.837 4.210 20 0 DIADHN CSc1ccc(CN2CCC([C@H]3CCCCO3)CC2)cc1 ZINC000661870383 1042010298 /nfs/dbraw/zinc/01/02/98/1042010298.db2.gz GNZHSIMJBZKEPZ-GOSISDBHSA-N 0 3 305.487 4.190 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000661880805 1042014250 /nfs/dbraw/zinc/01/42/50/1042014250.db2.gz RIEHEPGPYRQGMA-HBUWYVDXSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000661881323 1042014720 /nfs/dbraw/zinc/01/47/20/1042014720.db2.gz UTJPNEKSRPQUTL-QWQRMKEZSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000661880847 1042014817 /nfs/dbraw/zinc/01/48/17/1042014817.db2.gz ROAXKFFQYQBKGU-KEYYUXOJSA-N 0 3 309.400 4.307 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc3ccccc3nc2Cl)C1 ZINC000313963669 1042016234 /nfs/dbraw/zinc/01/62/34/1042016234.db2.gz GERSTVOQSVLIBO-ZDUSSCGKSA-N 0 3 320.889 4.463 20 0 DIADHN O=C1CCCC12CCN(CCCc1ccccc1Cl)CC2 ZINC000661957028 1042029773 /nfs/dbraw/zinc/02/97/73/1042029773.db2.gz DAOVQRCSXOGYDN-UHFFFAOYSA-N 0 3 305.849 4.108 20 0 DIADHN Cc1ccc2nc(CN3C[C@@H](c4ccc(F)cc4)C[C@H]3C)cn2c1 ZINC000605902404 1042032723 /nfs/dbraw/zinc/03/27/23/1042032723.db2.gz BKZHLSSBYFTZLU-WBVHZDCISA-N 0 3 323.415 4.160 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487370024 1042037518 /nfs/dbraw/zinc/03/75/18/1042037518.db2.gz VNXMZALPYIQXQL-CQSZACIVSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@H](NCc1coc(-c2cccc(F)c2)n1)C1CCC(F)CC1 ZINC000662002436 1042040205 /nfs/dbraw/zinc/04/02/05/1042040205.db2.gz FNAKPWOQIKKJQU-OPFPJEHXSA-N 0 3 320.383 4.487 20 0 DIADHN CCO[C@H](C)c1nc(CN[C@@H](C)C2CCC(F)CC2)cs1 ZINC000662007369 1042040991 /nfs/dbraw/zinc/04/09/91/1042040991.db2.gz VQCHPAFWKZJOJE-MRFVTOPCSA-N 0 3 314.470 4.247 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(c3ccon3)CC1)CCC2 ZINC000662048380 1042048489 /nfs/dbraw/zinc/04/84/89/1042048489.db2.gz VLWMTBVEMLMRRX-SFHVURJKSA-N 0 3 300.377 4.071 20 0 DIADHN c1cc(C2CCN(CCOc3cccc4ccccc43)CC2)no1 ZINC000662046604 1042048826 /nfs/dbraw/zinc/04/88/26/1042048826.db2.gz JWKBLOVWNKQSSZ-UHFFFAOYSA-N 0 3 322.408 4.086 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC2(CCc3ccccc3O2)CC1 ZINC000662050952 1042050419 /nfs/dbraw/zinc/05/04/19/1042050419.db2.gz VEACIEBKBBMQBW-INIZCTEOSA-N 0 3 308.425 4.002 20 0 DIADHN CCCCC[C@@H](C(=O)NC[C@H](c1ccccc1)N(C)C)C(C)C ZINC001135563836 1042051768 /nfs/dbraw/zinc/05/17/68/1042051768.db2.gz MMTOQOCESWXVFA-RTBURBONSA-N 0 3 318.505 4.258 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ncc(-c2cccc(F)c2)o1 ZINC000662131193 1042070362 /nfs/dbraw/zinc/07/03/62/1042070362.db2.gz MLZONFACBUQZKK-SFESLNEESA-N 0 3 300.377 4.244 20 0 DIADHN C[C@@H](c1ccc2c(c1)CCC2)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC001170834707 1042070464 /nfs/dbraw/zinc/07/04/64/1042070464.db2.gz GRBZSQITBOMJEZ-UGSOOPFHSA-N 0 3 315.848 4.033 20 0 DIADHN FC(F)(F)c1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000058474395 1042070702 /nfs/dbraw/zinc/07/07/02/1042070702.db2.gz HHXUTLJXOGAXGF-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN C[C@@H](Cc1ccccc1)N(Cc1cncn1C)Cc1ccccc1 ZINC000662154810 1042072934 /nfs/dbraw/zinc/07/29/34/1042072934.db2.gz KZVTVHVSCIDDDJ-SFHVURJKSA-N 0 3 319.452 4.054 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H](C)C3CCCC3)o2)cc1 ZINC000184639588 1042086386 /nfs/dbraw/zinc/08/63/86/1042086386.db2.gz HWPIDGWCOHDIHO-ZDUSSCGKSA-N 0 3 300.402 4.019 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1cnn(C)c1)c1ccc(OC)cc1 ZINC000165040140 1042087819 /nfs/dbraw/zinc/08/78/19/1042087819.db2.gz QZMVILSGDHIPLF-KSSFIOAISA-N 0 3 301.434 4.011 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001135742266 1042088351 /nfs/dbraw/zinc/08/83/51/1042088351.db2.gz HSZLWZATXTXIOT-AZUAARDMSA-N 0 3 318.505 4.402 20 0 DIADHN C[C@H](c1ccccn1)N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662215548 1042091345 /nfs/dbraw/zinc/09/13/45/1042091345.db2.gz NEMULNXDFQFKKS-MRXNPFEDSA-N 0 3 306.409 4.083 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc2ccccc21)N1CCCCC1 ZINC001135881614 1042101362 /nfs/dbraw/zinc/10/13/62/1042101362.db2.gz XCKGZYWQIPVRST-IBGZPJMESA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)N(Cc1ccnc(N(C)C)c1)[C@H](C)c1ccsc1 ZINC000817288506 1042101853 /nfs/dbraw/zinc/10/18/53/1042101853.db2.gz YXVUXPUPTCONQF-CQSZACIVSA-N 0 3 303.475 4.181 20 0 DIADHN C[C@@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)c1cnn(C)c1 ZINC000161570610 1042110788 /nfs/dbraw/zinc/11/07/88/1042110788.db2.gz VDGLQJYZGCXBAY-CXAGYDPISA-N 0 3 303.425 4.387 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@H](C)c1ccncc1Cl ZINC000817577523 1042115905 /nfs/dbraw/zinc/11/59/05/1042115905.db2.gz ALBCNKDMGUSNEC-PSTGCABASA-N 0 3 322.811 4.037 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N(C)C[C@@H]1CC1(C)C ZINC000662347512 1042117219 /nfs/dbraw/zinc/11/72/19/1042117219.db2.gz KYWCWTXHVDJHOZ-AAEUAGOBSA-N 0 3 319.836 4.429 20 0 DIADHN CCC(C)(C)CN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817583438 1042117740 /nfs/dbraw/zinc/11/77/40/1042117740.db2.gz AQNLQAVYRZHPHY-LLVKDONJSA-N 0 3 317.296 4.383 20 0 DIADHN CC1(C)CC[C@@H]1N[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817585298 1042117802 /nfs/dbraw/zinc/11/78/02/1042117802.db2.gz KUTWJKYQSIPJNG-GXSJLCMTSA-N 0 3 315.280 4.135 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccncc1Cl)[C@@H](O)c1ccccc1 ZINC000817583562 1042117865 /nfs/dbraw/zinc/11/78/65/1042117865.db2.gz DAUSIENETISUTK-BVGQSLNGSA-N 0 3 318.848 4.288 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001167799151 1042119700 /nfs/dbraw/zinc/11/97/00/1042119700.db2.gz UBNDNZOYRBBZDS-CJNGLKHVSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@@H](NCc1cc(C)nc(C)c1)c1ccc2c(c1)CCCO2 ZINC000817589509 1042119917 /nfs/dbraw/zinc/11/99/17/1042119917.db2.gz NZARFBDFFMOGEY-LJQANCHMSA-N 0 3 310.441 4.264 20 0 DIADHN Clc1cccc(CCNCc2csc(-c3ccco3)n2)c1 ZINC000063507999 1042120424 /nfs/dbraw/zinc/12/04/24/1042120424.db2.gz UDUDWBVTSZTLSI-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)sc32)cc1F ZINC000162300660 1042123497 /nfs/dbraw/zinc/12/34/97/1042123497.db2.gz QVUIRONTSSACDT-IINYFYTJSA-N 0 3 320.433 4.327 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001204657879 1042124621 /nfs/dbraw/zinc/12/46/21/1042124621.db2.gz MKWLNVKOUHCESF-KRWDZBQOSA-N 0 3 317.864 4.205 20 0 DIADHN CN(C)Cc1cccc(-c2cnc(Cl)c(Cl)c2Cl)n1 ZINC001245808090 1042127597 /nfs/dbraw/zinc/12/75/97/1042127597.db2.gz UFWBNZITNOUJEG-UHFFFAOYSA-N 0 3 316.619 4.165 20 0 DIADHN Cc1cc(NC2CC2)nc(N[C@@H](c2ccccc2)C(F)(F)F)n1 ZINC001170882135 1042128079 /nfs/dbraw/zinc/12/80/79/1042128079.db2.gz CHWHBAUGWCTRBK-AWEZNQCLSA-N 0 3 322.334 4.075 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccnc(Cl)c1 ZINC000817968912 1042133687 /nfs/dbraw/zinc/13/36/87/1042133687.db2.gz YQHKPSQOQWKBEQ-MEDUHNTESA-N 0 3 301.821 4.045 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccnc(Cl)c1 ZINC000817968913 1042133899 /nfs/dbraw/zinc/13/38/99/1042133899.db2.gz YQHKPSQOQWKBEQ-ZBEGNZNMSA-N 0 3 301.821 4.045 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000817974898 1042134701 /nfs/dbraw/zinc/13/47/01/1042134701.db2.gz HEORYMQKTAHHRX-RTBURBONSA-N 0 3 322.452 4.457 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1nnc(C)o1 ZINC000066900103 1042139918 /nfs/dbraw/zinc/13/99/18/1042139918.db2.gz ZKDHTJGOFSTESS-QGZVFWFLSA-N 0 3 301.434 4.001 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000165358068 1042146021 /nfs/dbraw/zinc/14/60/21/1042146021.db2.gz NJAVKMKNXQNCLJ-ZIAGYGMSSA-N 0 3 303.475 4.332 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC001136132300 1042146523 /nfs/dbraw/zinc/14/65/23/1042146523.db2.gz HQJRRJORTKXROA-IBGZPJMESA-N 0 3 323.440 4.242 20 0 DIADHN Cn1cc(CN2CCC(C3CC3)CC2)c(C2CCCCC2)n1 ZINC000644839025 1042150289 /nfs/dbraw/zinc/15/02/89/1042150289.db2.gz XYURLDSWIXJOJF-UHFFFAOYSA-N 0 3 301.478 4.090 20 0 DIADHN CC/C(=C/C(=O)Nc1ccc2c(c1)CNC2)c1ccc(C)cc1 ZINC000818596700 1042158236 /nfs/dbraw/zinc/15/82/36/1042158236.db2.gz LFWJRLGXSACGQP-PTNGSMBKSA-N 0 3 306.409 4.030 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ncc(C)c(OC)c1C ZINC000603944905 1042165066 /nfs/dbraw/zinc/16/50/66/1042165066.db2.gz WGVAAOIMKNUJSJ-IBGZPJMESA-N 0 3 310.441 4.216 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(C3CC3)cc2F)cc1 ZINC000828625697 1042165126 /nfs/dbraw/zinc/16/51/26/1042165126.db2.gz IJHLMSNCSDZFLY-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccc(F)cc1Cl)c1ccccn1 ZINC000819018818 1042167622 /nfs/dbraw/zinc/16/76/22/1042167622.db2.gz YICTTXXJXYTZHW-NVXWUHKLSA-N 0 3 322.811 4.039 20 0 DIADHN C[C@H](NCCOCCF)c1cc2c(ccc3ccccc23)o1 ZINC000663462446 1042175488 /nfs/dbraw/zinc/17/54/88/1042175488.db2.gz NSDSXBKRZRPAGQ-ZDUSSCGKSA-N 0 3 301.361 4.223 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnccc1C(F)(F)F)[C@H](C)C2 ZINC000663470083 1042182297 /nfs/dbraw/zinc/18/22/97/1042182297.db2.gz IOAMHKUHHACIEO-PXAZEXFGSA-N 0 3 320.358 4.432 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1cnccc1C(F)(F)F ZINC000663474798 1042186247 /nfs/dbraw/zinc/18/62/47/1042186247.db2.gz QWPWQEUHTIJDJL-BDJLRTHQSA-N 0 3 306.331 4.124 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCC[C@@H](C)C2)c(C)c1 ZINC000026467107 1042186931 /nfs/dbraw/zinc/18/69/31/1042186931.db2.gz FZKWTUVOCFNQPL-CXAGYDPISA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2-n2ccnc21)C(C)(C)C(F)F ZINC000663473794 1042186952 /nfs/dbraw/zinc/18/69/52/1042186952.db2.gz GMNFDQRGPKNHQJ-JSGCOSHPSA-N 0 3 319.399 4.129 20 0 DIADHN CC[C@H](CN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1)OC ZINC001170929305 1042187778 /nfs/dbraw/zinc/18/77/78/1042187778.db2.gz LIPSWQHVSLHUSG-CZUORRHYSA-N 0 3 321.852 4.168 20 0 DIADHN CC[C@H](CN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1)OC ZINC001170929303 1042188086 /nfs/dbraw/zinc/18/80/86/1042188086.db2.gz LIPSWQHVSLHUSG-CJNGLKHVSA-N 0 3 321.852 4.168 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000828629939 1042188102 /nfs/dbraw/zinc/18/81/02/1042188102.db2.gz YSEBUQNLOXGJPU-FZMZJTMJSA-N 0 3 302.245 4.238 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1cnccc1C(F)(F)F)CC2 ZINC000663475916 1042188598 /nfs/dbraw/zinc/18/85/98/1042188598.db2.gz VKYXFHYDFDOOCV-INIZCTEOSA-N 0 3 320.358 4.494 20 0 DIADHN FC(F)(F)[C@H]1CCCN([C@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170933155 1042191533 /nfs/dbraw/zinc/19/15/33/1042191533.db2.gz HUEBGYNZLNSQTG-STQMWFEESA-N 0 3 317.782 4.472 20 0 DIADHN COc1cc(OC)cc([C@H](C)Nc2ccc3nc[nH]c3c2C)c1 ZINC001170934541 1042191977 /nfs/dbraw/zinc/19/19/77/1042191977.db2.gz YRCRIUCMMHYUGV-LBPRGKRZSA-N 0 3 311.385 4.062 20 0 DIADHN CCCN(CCC)Cc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000303174147 1042193535 /nfs/dbraw/zinc/19/35/35/1042193535.db2.gz RRCMIDFWTUBAHE-UHFFFAOYSA-N 0 3 318.442 4.161 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1cncnc1)CC(F)(F)F ZINC000663479044 1042194039 /nfs/dbraw/zinc/19/40/39/1042194039.db2.gz YITJELKCLHRYNM-HNNXBMFYSA-N 0 3 323.362 4.134 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCC[C@@H](C)C2)c(Cl)c1 ZINC000026470223 1042195301 /nfs/dbraw/zinc/19/53/01/1042195301.db2.gz UYUMZRKNZJDWKJ-DOMZBBRYSA-N 0 3 322.880 4.406 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCOc1ccccc1F ZINC000303236397 1042198999 /nfs/dbraw/zinc/19/89/99/1042198999.db2.gz ZQAWCIBULLPINT-KRWDZBQOSA-N 0 3 315.388 4.050 20 0 DIADHN CCN(CC)[C@@H](CNc1nc2ccccc2nc1C)c1ccco1 ZINC000303423184 1042203582 /nfs/dbraw/zinc/20/35/82/1042203582.db2.gz DDHHXYNZBPFVTM-KRWDZBQOSA-N 0 3 324.428 4.026 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2CCO[C@H](c3ccccc3)C2)c1 ZINC000893621139 1042211156 /nfs/dbraw/zinc/21/11/56/1042211156.db2.gz PIFPEWULNFIPJG-AEFFLSMTSA-N 0 3 317.816 4.056 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000893621140 1042211560 /nfs/dbraw/zinc/21/15/60/1042211560.db2.gz PIFPEWULNFIPJG-FUHWJXTLSA-N 0 3 317.816 4.056 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1ncc(Br)cc1F ZINC001170948195 1042218919 /nfs/dbraw/zinc/21/89/19/1042218919.db2.gz FWSVEUQQFPTFCQ-YGRLFVJLSA-N 0 3 315.230 4.042 20 0 DIADHN CSCC[C@@H](C)N[C@H](C)c1ccc(F)cc1Br ZINC000223745796 1042265177 /nfs/dbraw/zinc/26/51/77/1042265177.db2.gz BRPQNSKYJVRBLZ-NXEZZACHSA-N 0 3 320.271 4.380 20 0 DIADHN C[C@@H](N[C@H]1CCCC(C)(C)CC1)c1nnc2n1CCCCC2 ZINC000315930285 1042236372 /nfs/dbraw/zinc/23/63/72/1042236372.db2.gz RABPJAMZCWRCIX-CABCVRRESA-N 0 3 304.482 4.014 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)C1CCCCCCC1 ZINC001136410322 1042239131 /nfs/dbraw/zinc/23/91/31/1042239131.db2.gz UTNOMCCDFRBZEU-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)N(CCN(C)C)CC2CCCCC2)CC1 ZINC001136410040 1042240667 /nfs/dbraw/zinc/24/06/67/1042240667.db2.gz JKTBHPWEYUJCOT-UAPYVXQJSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)Nc1ccc(Cl)cc1 ZINC000007379401 1042248294 /nfs/dbraw/zinc/24/82/94/1042248294.db2.gz LTEFOXWJTKOEHR-CHWSQXEVSA-N 0 3 302.805 4.018 20 0 DIADHN C[C@H](N[C@@H]1CCc2cccc(F)c2C1)c1c(F)cccc1F ZINC001170963863 1042249604 /nfs/dbraw/zinc/24/96/04/1042249604.db2.gz YBPMTQREFYNLAA-WCQYABFASA-N 0 3 305.343 4.312 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(Br)cc2)ccn1 ZINC000621263870 1042253963 /nfs/dbraw/zinc/25/39/63/1042253963.db2.gz IGWCASXXYABDHR-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)cn1 ZINC000279020691 1042260823 /nfs/dbraw/zinc/26/08/23/1042260823.db2.gz HQTIUMKDJBMVRC-OALUTQOASA-N 0 3 323.440 4.014 20 0 DIADHN C[C@@H](NCCCC1CCOCC1)c1ncc(-c2ccccc2)o1 ZINC000279085365 1042269943 /nfs/dbraw/zinc/26/99/43/1042269943.db2.gz MOFIVKFBQNZUTQ-OAHLLOKOSA-N 0 3 314.429 4.199 20 0 DIADHN CC[C@@H](NC(=O)Nc1cccc(CN(C)C)c1)C1CCCCC1 ZINC000585819483 1042270576 /nfs/dbraw/zinc/27/05/76/1042270576.db2.gz BUOOKZCJJIELIP-GOSISDBHSA-N 0 3 317.477 4.229 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1Cc1ccc(-n2ccnc2)cc1 ZINC000606449232 1042277270 /nfs/dbraw/zinc/27/72/70/1042277270.db2.gz QTPNEGSZCVIRQQ-OAHLLOKOSA-N 0 3 321.399 4.131 20 0 DIADHN CC[C@@H]1CCC[C@H]1[NH2+][C@@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000279172739 1042279216 /nfs/dbraw/zinc/27/92/16/1042279216.db2.gz CAJNTSKFIITEEZ-CYZMBNFOSA-N 0 3 319.474 4.243 20 0 DIADHN CCC(CC)N(C(=O)c1ccc(NC2=NCCC2)cc1)C1CC1 ZINC001136556969 1042279224 /nfs/dbraw/zinc/27/92/24/1042279224.db2.gz QFFJFFPPFSCBBB-UHFFFAOYSA-N 0 3 313.445 4.084 20 0 DIADHN FC(F)c1ccc(CN2CC[C@]3(CC(F)(F)C3(F)F)C2)cc1 ZINC000931910887 1042286692 /nfs/dbraw/zinc/28/66/92/1042286692.db2.gz GDVYWDVMGAQHMF-ZDUSSCGKSA-N 0 3 323.280 4.491 20 0 DIADHN CO[C@H]1C[C@H](NCc2cc(Cl)cc(Cl)c2F)C12CCC2 ZINC001170990189 1042288833 /nfs/dbraw/zinc/28/88/33/1042288833.db2.gz IFHGOCZFAJGVFM-STQMWFEESA-N 0 3 318.219 4.180 20 0 DIADHN Oc1ccc(C2=CCN(CC[C@@H]3CC3(Cl)Cl)CC2)cc1 ZINC000822570543 1042292255 /nfs/dbraw/zinc/29/22/55/1042292255.db2.gz MBBTXAXSTMDKAE-CQSZACIVSA-N 0 3 312.240 4.065 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000187069331 1042296862 /nfs/dbraw/zinc/29/68/62/1042296862.db2.gz JWBQMWQPNVSBMD-SCVCMEIPSA-N 0 3 315.230 4.213 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(F)c(Br)c2)ccn1 ZINC000391152427 1042302389 /nfs/dbraw/zinc/30/23/89/1042302389.db2.gz PJTHEHMABRNTHJ-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(Br)cc2)ccn1 ZINC000391159326 1042302803 /nfs/dbraw/zinc/30/28/03/1042302803.db2.gz FQRNLEVBOOQFLX-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)COC[C@@H](NCC[C@@H]1CC1(Cl)Cl)c1ccco1 ZINC000822841933 1042303321 /nfs/dbraw/zinc/30/33/21/1042303321.db2.gz RJJMXHKUYWPASZ-CHWSQXEVSA-N 0 3 320.260 4.167 20 0 DIADHN CC(C)(CNCc1occc1Br)c1cccs1 ZINC000227371492 1042304918 /nfs/dbraw/zinc/30/49/18/1042304918.db2.gz YAMPEAFXEVYDLJ-UHFFFAOYSA-N 0 3 314.248 4.171 20 0 DIADHN CC(C)(CCc1ccccc1)NCc1ccn(C2CCCC2)n1 ZINC000391230032 1042306286 /nfs/dbraw/zinc/30/62/86/1042306286.db2.gz WJMMHXRVLHKPJC-UHFFFAOYSA-N 0 3 311.473 4.499 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CC[C@H]1CC1(Cl)Cl ZINC000822956267 1042306838 /nfs/dbraw/zinc/30/68/38/1042306838.db2.gz HXISHALKTKMBFM-DTWKUNHWSA-N 0 3 304.183 4.233 20 0 DIADHN C[C@H](N[C@@H]1CCCC1(C)C)c1ncc(Br)cc1F ZINC000187283446 1042309257 /nfs/dbraw/zinc/30/92/57/1042309257.db2.gz FZTRLTWRMYQTKI-JOYOIKCWSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H](NCc1cc(Br)cs1)c1ccsc1 ZINC000061361096 1042309449 /nfs/dbraw/zinc/30/94/49/1042309449.db2.gz ITFHQQUARSWXFE-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCc3ccccc31)CCO2 ZINC000320136021 1042309977 /nfs/dbraw/zinc/30/99/77/1042309977.db2.gz ZTUWARDOFNCLBL-OALUTQOASA-N 0 3 309.409 4.186 20 0 DIADHN CC[C@H](NC[C@H](n1cccn1)C(F)(F)F)c1cccc(C)c1 ZINC000624044249 1042315662 /nfs/dbraw/zinc/31/56/62/1042315662.db2.gz LKFOYXXRGMBXJD-GJZGRUSLSA-N 0 3 311.351 4.036 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC000823247897 1042319816 /nfs/dbraw/zinc/31/98/16/1042319816.db2.gz KPSUHUPHRHNMLU-UWVGGRQHSA-N 0 3 304.183 4.235 20 0 DIADHN CCOc1ccc(O)c(CN[C@@H](C)c2ccccc2Cl)c1 ZINC000236847070 1042320837 /nfs/dbraw/zinc/32/08/37/1042320837.db2.gz VHVHKGNFSYHNHF-LBPRGKRZSA-N 0 3 305.805 4.295 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCO2)c1ccc(F)c(Cl)c1 ZINC000021801140 1042325516 /nfs/dbraw/zinc/32/55/16/1042325516.db2.gz TYBIMONEYNHUJQ-JTQLQIEISA-N 0 3 307.752 4.059 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H]2CCc3c(Cl)cccc3C2)n1 ZINC001171017362 1042325539 /nfs/dbraw/zinc/32/55/39/1042325539.db2.gz HSXRONZOIJIANV-DGCLKSJQSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1cc(CN2CCC(O)(c3cccc(C)c3C)CC2)cs1 ZINC000823465539 1042327882 /nfs/dbraw/zinc/32/78/82/1042327882.db2.gz YWOIGWMMFLWPSE-UHFFFAOYSA-N 0 3 315.482 4.157 20 0 DIADHN CC[C@H](NCc1cscc1C)c1ccc(OC)c(OC)c1 ZINC000094877411 1042334834 /nfs/dbraw/zinc/33/48/34/1042334834.db2.gz VLGFRMQNXQPOLY-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN CC(C)[C@@H](NCc1cc(C2CC2)nn1C)c1ccc(Cl)cc1 ZINC000823614763 1042335319 /nfs/dbraw/zinc/33/53/19/1042335319.db2.gz VKTZWOXRDRCEIZ-GOSISDBHSA-N 0 3 317.864 4.438 20 0 DIADHN CC(C)[C@H](CNCc1ccnc(Cl)c1F)Nc1ccccc1 ZINC000823619840 1042336688 /nfs/dbraw/zinc/33/66/88/1042336688.db2.gz NJSLIKVMRJHXLR-HNNXBMFYSA-N 0 3 321.827 4.100 20 0 DIADHN CCCC(=CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C)CCC ZINC001625919570 1042343923 /nfs/dbraw/zinc/34/39/23/1042343923.db2.gz QZLJOPIHNLINMA-LJQANCHMSA-N 0 3 316.489 4.241 20 0 DIADHN COc1ccc([C@H](NCCC2CC2)c2ccccc2OC)cc1 ZINC000438298472 1042363077 /nfs/dbraw/zinc/36/30/77/1042363077.db2.gz ZYSZLEDJWJAQHJ-FQEVSTJZSA-N 0 3 311.425 4.183 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ncccc3C(F)(F)F)C2)cc1 ZINC000644893574 1042377551 /nfs/dbraw/zinc/37/75/51/1042377551.db2.gz MXCQBKFRIDEVGJ-MQMHXKEQSA-N 0 3 324.321 4.275 20 0 DIADHN CN(Cc1nc2ccccc2n1C(F)F)C(C1CC1)C1CC1 ZINC000051558039 1042377753 /nfs/dbraw/zinc/37/77/53/1042377753.db2.gz IFYVCPKMTNAFEG-UHFFFAOYSA-N 0 3 305.372 4.052 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC[C@@H]2CCC(C)C)cc1 ZINC001167905049 1042377928 /nfs/dbraw/zinc/37/79/28/1042377928.db2.gz QIVAVVYHKPAIKP-LJQANCHMSA-N 0 3 316.489 4.478 20 0 DIADHN CC[C@H](NCCC1CCOCC1)c1nc(C(F)(F)F)cs1 ZINC000432858855 1042384703 /nfs/dbraw/zinc/38/47/03/1042384703.db2.gz LHGGOHHOKSUXLR-NSHDSACASA-N 0 3 322.396 4.019 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccc(F)c1N)c1cccc(F)c1 ZINC001648946975 1042384865 /nfs/dbraw/zinc/38/48/65/1042384865.db2.gz XYVSCJMUDLNDJN-KRWDZBQOSA-N 0 3 304.384 4.424 20 0 DIADHN CC[C@@H](CN1CCc2sccc2[C@H]1c1cccs1)OC ZINC000419180576 1042385182 /nfs/dbraw/zinc/38/51/82/1042385182.db2.gz XLNFOKVVLVNYJP-LRDDRELGSA-N 0 3 307.484 4.182 20 0 DIADHN CC(C)N(Cc1ccc(Cl)cc1Cl)CC1(C)COC1 ZINC001207801389 1042400615 /nfs/dbraw/zinc/40/06/15/1042400615.db2.gz LAFILSVAGBJNBV-UHFFFAOYSA-N 0 3 302.245 4.240 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)c(OC)c(C)c2)cc1F ZINC000110289316 1042402641 /nfs/dbraw/zinc/40/26/41/1042402641.db2.gz BOQPMJMTCXWPPT-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cc3ccnc(Cl)c3s2)C1 ZINC000894026300 1042403400 /nfs/dbraw/zinc/40/34/00/1042403400.db2.gz KRPNQTFIXAKCFX-GFCCVEGCSA-N 0 3 324.877 4.198 20 0 DIADHN CC[C@H](NCc1ccc(C)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000236933985 1042403871 /nfs/dbraw/zinc/40/38/71/1042403871.db2.gz NCVXZKKMXZAEKI-KRWDZBQOSA-N 0 3 315.388 4.146 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccc([S@@](C)=O)cc1 ZINC000246285296 1042417299 /nfs/dbraw/zinc/41/72/99/1042417299.db2.gz BWSPFNYQPWHBMT-LBJGKRIESA-N 0 3 319.495 4.214 20 0 DIADHN CCc1ccc(CN2CCC[C@@H](c3nc4ccccc4o3)C2)nc1 ZINC000645092296 1042431806 /nfs/dbraw/zinc/43/18/06/1042431806.db2.gz FQBZMEPDMUBKRF-MRXNPFEDSA-N 0 3 321.424 4.165 20 0 DIADHN CC[C@@H](CN1CCC[C@H]1c1nc2ccccc2n1C(C)C)OC ZINC000419233476 1042435155 /nfs/dbraw/zinc/43/51/55/1042435155.db2.gz HCGQCYKECRTYAX-YJBOKZPZSA-N 0 3 315.461 4.179 20 0 DIADHN COC(=O)c1cc(CNC2(c3ccccc3)CCCC2)cs1 ZINC000411464334 1042448731 /nfs/dbraw/zinc/44/87/31/1042448731.db2.gz UIJJXCCZLIAZJZ-UHFFFAOYSA-N 0 3 315.438 4.094 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](c2ccc(CC(C)C)cc2)C2CC2)n[nH]1 ZINC000411487671 1042451935 /nfs/dbraw/zinc/45/19/35/1042451935.db2.gz JRPYMGLPKKXCKL-ACJLOTCBSA-N 0 3 312.461 4.114 20 0 DIADHN CC[C@H](CN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)OC ZINC000419252910 1042454075 /nfs/dbraw/zinc/45/40/75/1042454075.db2.gz TVGJRZTWZAWCGM-AEFFLSMTSA-N 0 3 318.848 4.033 20 0 DIADHN C[C@@H]1CN([C@@H](c2ccccc2)c2ccc(Cl)cc2)CC[C@@H]1O ZINC000411570993 1042457237 /nfs/dbraw/zinc/45/72/37/1042457237.db2.gz OLKGMQJMJCRNPP-CCKFTAQKSA-N 0 3 315.844 4.132 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nc2ccccc2c(=O)[nH]1 ZINC000664048142 1042457952 /nfs/dbraw/zinc/45/79/52/1042457952.db2.gz ZSPSPSKWYCORCV-ZBFHGGJFSA-N 0 3 313.445 4.030 20 0 DIADHN CCc1cc(CN[C@H](Cc2ccccc2F)c2ccccc2)n[nH]1 ZINC000759998877 1042459711 /nfs/dbraw/zinc/45/97/11/1042459711.db2.gz JMARQMLKNQRGSG-HXUWFJFHSA-N 0 3 323.415 4.185 20 0 DIADHN CCc1cc(CN[C@@H](Cc2ccccc2F)c2ccccc2)n[nH]1 ZINC000759998875 1042459913 /nfs/dbraw/zinc/45/99/13/1042459913.db2.gz JMARQMLKNQRGSG-FQEVSTJZSA-N 0 3 323.415 4.185 20 0 DIADHN Cc1ccc2ncc(CN3CCC(OC4CCC4)CC3)cc2c1 ZINC000419299525 1042462732 /nfs/dbraw/zinc/46/27/32/1042462732.db2.gz IGMGRDZIJKEQAI-UHFFFAOYSA-N 0 3 310.441 4.077 20 0 DIADHN CC[C@H](NCc1cnc2onc(C)c2c1)c1ccc(Cl)cc1 ZINC000894165238 1042481056 /nfs/dbraw/zinc/48/10/56/1042481056.db2.gz AMXXUDNMHVXANZ-INIZCTEOSA-N 0 3 315.804 4.426 20 0 DIADHN Cc1noc2ncc(CN[C@H](c3ccc(C)cc3)C3CC3)cc12 ZINC000894167302 1042485932 /nfs/dbraw/zinc/48/59/32/1042485932.db2.gz XOSMCMRMFLNRIA-GOSISDBHSA-N 0 3 307.397 4.081 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCO2)c1ccccc1C(F)(F)F ZINC000067249659 1042489053 /nfs/dbraw/zinc/48/90/53/1042489053.db2.gz OBLRLSRVVVQLTC-GFCCVEGCSA-N 0 3 321.342 4.491 20 0 DIADHN CC(C)COC[C@@H](NC1C[C@H]2CC[C@@H](C1)S2)c1ccco1 ZINC000924890532 1042494277 /nfs/dbraw/zinc/49/42/77/1042494277.db2.gz REVLQJUNPMONMG-WTXIUTQJSA-N 0 3 309.475 4.010 20 0 DIADHN CC(C)[C@@H](C)N(C)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000030148822 1042497729 /nfs/dbraw/zinc/49/77/29/1042497729.db2.gz TWKWLDHHEWFZDY-MRXNPFEDSA-N 0 3 310.441 4.268 20 0 DIADHN CCc1onc(C)c1CN[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000894186356 1042498408 /nfs/dbraw/zinc/49/84/08/1042498408.db2.gz VJRNBZOSHUPFTC-CTYIDZIISA-N 0 3 304.821 4.235 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N(C)CCCc1ccccc1 ZINC000052802870 1042518087 /nfs/dbraw/zinc/51/80/87/1042518087.db2.gz QJZWJMINVLKULY-QGZVFWFLSA-N 0 3 324.468 4.141 20 0 DIADHN Cc1noc2ncc(CNCc3ccc(C(C)(C)C)cc3)cc12 ZINC000894211192 1042525869 /nfs/dbraw/zinc/52/58/69/1042525869.db2.gz NYELLKISIUMNRK-UHFFFAOYSA-N 0 3 309.413 4.119 20 0 DIADHN CCc1onc(C)c1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000894214796 1042529415 /nfs/dbraw/zinc/52/94/15/1042529415.db2.gz VUHJXEJCFZEEDN-CTYIDZIISA-N 0 3 304.821 4.235 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CCCC12CCC2 ZINC000513271398 1042532191 /nfs/dbraw/zinc/53/21/91/1042532191.db2.gz KJQCJYOGKXMOAR-UHFFFAOYSA-N 0 3 300.427 4.344 20 0 DIADHN CCn1nccc1C1CCN(Cc2cc(C)cc(Cl)c2)CC1 ZINC000513321217 1042533105 /nfs/dbraw/zinc/53/31/05/1042533105.db2.gz RLJBQPASJNSJBU-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)Cc1cnccc1C ZINC000513380400 1042536669 /nfs/dbraw/zinc/53/66/69/1042536669.db2.gz HFBQDNCLETXXSI-LFIBNONCSA-N 0 3 310.441 4.072 20 0 DIADHN Clc1ccc(C2=CCN(CC3=CCCOC3)CC2)cc1Cl ZINC000513391739 1042537621 /nfs/dbraw/zinc/53/76/21/1042537621.db2.gz ZMNXTJWWTNOEQO-UHFFFAOYSA-N 0 3 324.251 4.429 20 0 DIADHN OCCCN(Cc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC000513449012 1042543329 /nfs/dbraw/zinc/54/33/29/1042543329.db2.gz XACCJQINMQRFMR-UHFFFAOYSA-N 0 3 323.358 4.090 20 0 DIADHN Clc1ccccc1CN(CC1=CCCOC1)C1CCCC1 ZINC000513496371 1042546888 /nfs/dbraw/zinc/54/68/88/1042546888.db2.gz DJIOEKIJLCESOW-UHFFFAOYSA-N 0 3 305.849 4.431 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2c[nH]nc2C(C)(C)C)s1 ZINC000513496336 1042547023 /nfs/dbraw/zinc/54/70/23/1042547023.db2.gz CVIBVNXXTUOKPO-CQSZACIVSA-N 0 3 303.475 4.414 20 0 DIADHN Cc1ccnc([C@H](C)NCCOc2ccc3ccccc3c2)c1 ZINC000513655596 1042556832 /nfs/dbraw/zinc/55/68/32/1042556832.db2.gz NNCVSRDPYWPALP-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN CO[C@H](CN[C@@H](c1ncc[nH]1)C1CCCCC1)c1ccccc1 ZINC000513659627 1042556870 /nfs/dbraw/zinc/55/68/70/1042556870.db2.gz CQIJKNDMWABSOQ-QZTJIDSGSA-N 0 3 313.445 4.008 20 0 DIADHN CC(C)(C)n1cc(CN2CCC(C)(c3ccccc3)CC2)cn1 ZINC000513662206 1042558389 /nfs/dbraw/zinc/55/83/89/1042558389.db2.gz UKAKXBQFUJNOSQ-UHFFFAOYSA-N 0 3 311.473 4.192 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccccc1C(F)(F)F ZINC000279992208 1042561026 /nfs/dbraw/zinc/56/10/26/1042561026.db2.gz FJDUKIQNQKNFHK-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(C(F)(F)F)cc1 ZINC000280097569 1042564684 /nfs/dbraw/zinc/56/46/84/1042564684.db2.gz MWMWHMJGTMHDMY-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc2ccccc2c1 ZINC000280208197 1042567061 /nfs/dbraw/zinc/56/70/61/1042567061.db2.gz TZIFSJSDWPAJTN-IFXJQAMLSA-N 0 3 305.425 4.302 20 0 DIADHN FC(F)Oc1ccc(CN[C@@H](c2cccnc2)C2CCC2)cc1 ZINC000280361920 1042571528 /nfs/dbraw/zinc/57/15/28/1042571528.db2.gz UAPLTDAXCODTBL-QGZVFWFLSA-N 0 3 318.367 4.314 20 0 DIADHN FC(F)(F)CCN1CCC(Nc2ccc(C3CC3)cc2)CC1 ZINC000679574537 1042572827 /nfs/dbraw/zinc/57/28/27/1042572827.db2.gz AHJBRUNVASETOT-UHFFFAOYSA-N 0 3 312.379 4.393 20 0 DIADHN CN(C)CC[C@H](Oc1ccc2c(c1)C(=O)CCC2)c1ccccc1 ZINC000039340571 1042589428 /nfs/dbraw/zinc/58/94/28/1042589428.db2.gz RDSROHMTEURDGO-NRFANRHFSA-N 0 3 323.436 4.277 20 0 DIADHN O[C@H]1C[C@@H](CN2CCCC[C@H]2c2cccc(C(F)(F)F)c2)C1 ZINC000420922448 1042615257 /nfs/dbraw/zinc/61/52/57/1042615257.db2.gz ODORUZYMAKGUPP-MAZHCROVSA-N 0 3 313.363 4.003 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CC[C@H](C2CCCC2)C1 ZINC000420964460 1042617558 /nfs/dbraw/zinc/61/75/58/1042617558.db2.gz PPLIBWYHSMDHHY-OCCSQVGLSA-N 0 3 317.458 4.371 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@H](C2CCCC2)C1 ZINC000420966805 1042617699 /nfs/dbraw/zinc/61/76/99/1042617699.db2.gz WGALJIQTJCPACP-WMZOPIPTSA-N 0 3 314.473 4.143 20 0 DIADHN O[C@@H]1CCN(Cc2sc3ccccc3c2Cl)C2(CCC2)C1 ZINC000420988485 1042619182 /nfs/dbraw/zinc/61/91/82/1042619182.db2.gz AGLYCFDGORLZAN-GFCCVEGCSA-N 0 3 321.873 4.434 20 0 DIADHN CC1(C)[C@@H](c2ccccc2)CCN1Cc1nc(-c2ccoc2)no1 ZINC000421012183 1042619594 /nfs/dbraw/zinc/61/95/94/1042619594.db2.gz FCQCOUAAOKZKPB-MRXNPFEDSA-N 0 3 323.396 4.098 20 0 DIADHN CC[C@@H](NCc1cnn(Cc2ccc(F)cc2)c1)c1ccccc1 ZINC000414492421 1042622807 /nfs/dbraw/zinc/62/28/07/1042622807.db2.gz FRGJDZKZKIQVTF-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc3cc(OC)ccc3c2)cn1 ZINC000268981228 1042623611 /nfs/dbraw/zinc/62/36/11/1042623611.db2.gz HWTIHJTTZWVLRL-LSDHHAIUSA-N 0 3 323.440 4.477 20 0 DIADHN CC(=O)N1CC[C@H](NCc2cccc(C3CC3)c2)c2ccccc21 ZINC000421340144 1042630922 /nfs/dbraw/zinc/63/09/22/1042630922.db2.gz IBBAZJJYROZWCR-FQEVSTJZSA-N 0 3 320.436 4.152 20 0 DIADHN COCc1cnc(CN2CC[C@H](c3ccccc3)C2(C)C)s1 ZINC000421397067 1042636501 /nfs/dbraw/zinc/63/65/01/1042636501.db2.gz LCDHNKFOFKPNFZ-MRXNPFEDSA-N 0 3 316.470 4.058 20 0 DIADHN COc1ccc(CNCc2cccc(-c3ccccc3C)c2)cn1 ZINC000237266723 1042652950 /nfs/dbraw/zinc/65/29/50/1042652950.db2.gz NXCPGIPKPJAYRV-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccncc1Cl)c1ccco1 ZINC000421825547 1042653402 /nfs/dbraw/zinc/65/34/02/1042653402.db2.gz ODNYTIDQJJOWKI-XJKSGUPXSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1cccc(OC[C@H](C)N[C@@H](C)c2ccncc2Cl)c1 ZINC000421823942 1042653741 /nfs/dbraw/zinc/65/37/41/1042653741.db2.gz GBTPAFFLPSMLJN-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@@H](C)c1ccncc1Cl ZINC000421828542 1042654272 /nfs/dbraw/zinc/65/42/72/1042654272.db2.gz DFFVWLFFMVCCFW-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc([C@H](NCC2(C(F)(F)F)CC2)C2CC2)c(F)c1 ZINC000421861954 1042658473 /nfs/dbraw/zinc/65/84/73/1042658473.db2.gz WPCFXHZXEGXFAS-CQSZACIVSA-N 0 3 317.326 4.218 20 0 DIADHN C[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccc(OCc2ccccc2)cc1 ZINC000271436296 1042664788 /nfs/dbraw/zinc/66/47/88/1042664788.db2.gz DTIYMSOHXBDERN-CVEARBPZSA-N 0 3 321.424 4.401 20 0 DIADHN COc1cc([C@H](C)NCc2cccnc2C)ccc1OC(C)C ZINC000237279541 1042665704 /nfs/dbraw/zinc/66/57/04/1042665704.db2.gz SEZRDZLUWDURQE-AWEZNQCLSA-N 0 3 314.429 4.037 20 0 DIADHN Cc1cc([C@H](NCc2ccnc(Cl)c2)C2CC2)ccc1F ZINC000271775680 1042667781 /nfs/dbraw/zinc/66/77/81/1042667781.db2.gz ARQRNULHJPNZCP-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN COc1cc(CNCc2ccccc2CC(C)C)ccc1F ZINC001203216150 1042668932 /nfs/dbraw/zinc/66/89/32/1042668932.db2.gz RAFORKIFXQXFQA-UHFFFAOYSA-N 0 3 301.405 4.323 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc(Cl)c(N)c(Cl)c2)cn1 ZINC000271864541 1042670982 /nfs/dbraw/zinc/67/09/82/1042670982.db2.gz OUZKJZBTKVHUNM-JTQLQIEISA-N 0 3 310.228 4.130 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000271863479 1042671241 /nfs/dbraw/zinc/67/12/41/1042671241.db2.gz KZCCEHQGTFDVBN-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN CCOc1cc(CNCc2c(C)oc3ccccc32)ccc1O ZINC000271968324 1042673864 /nfs/dbraw/zinc/67/38/64/1042673864.db2.gz IWFZBYFUUSZQFH-UHFFFAOYSA-N 0 3 311.381 4.135 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CC1)c1ccc(-n2cccn2)cc1 ZINC000272024670 1042675207 /nfs/dbraw/zinc/67/52/07/1042675207.db2.gz SNSGDCDGUYVWOD-MRXNPFEDSA-N 0 3 303.409 4.212 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(OC)ccc1Cl ZINC001167949290 1042683333 /nfs/dbraw/zinc/68/33/33/1042683333.db2.gz JOUUNXZVMKZDRW-LBPRGKRZSA-N 0 3 301.883 4.312 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCC4(CCC4)CO3)CCCC2)n1 ZINC001167949861 1042685453 /nfs/dbraw/zinc/68/54/53/1042685453.db2.gz PJOUNYCXXWAZBC-HNNXBMFYSA-N 0 3 320.502 4.160 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)N[C@@H](C)c1c(F)cccc1Cl ZINC000422922481 1042692681 /nfs/dbraw/zinc/69/26/81/1042692681.db2.gz SWHWFVCCRLJYQU-PWSUYJOCSA-N 0 3 321.827 4.053 20 0 DIADHN CCSCc1cccc(N[C@H](C)c2ccc(CN)nc2C)c1 ZINC000422936246 1042693291 /nfs/dbraw/zinc/69/32/91/1042693291.db2.gz FHJQNNDOPOUPKS-CYBMUJFWSA-N 0 3 315.486 4.275 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(F)cn1 ZINC000423350695 1042707356 /nfs/dbraw/zinc/70/73/56/1042707356.db2.gz GQLKGYWXRWYLOD-MJGOQNOKSA-N 0 3 313.420 4.167 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000423353480 1042707602 /nfs/dbraw/zinc/70/76/02/1042707602.db2.gz LTOCRAHXZPBYSD-QGHHPUGFSA-N 0 3 320.358 4.414 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000423351679 1042707671 /nfs/dbraw/zinc/70/76/71/1042707671.db2.gz ITVQVYXLOCMLEF-IAQYHMDHSA-N 0 3 302.443 4.067 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1cnn(C2CCCC2)c1 ZINC000423352901 1042707681 /nfs/dbraw/zinc/70/76/81/1042707681.db2.gz APCOPGQRTUIWIS-VBKZILBWSA-N 0 3 324.472 4.309 20 0 DIADHN CC(C)C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccccn1 ZINC000423351825 1042707958 /nfs/dbraw/zinc/70/79/58/1042707958.db2.gz JAFPIPAMGVAFBI-AZUAARDMSA-N 0 3 309.457 4.418 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1ccc2ccccc2n1 ZINC000423412861 1042711611 /nfs/dbraw/zinc/71/16/11/1042711611.db2.gz IWZCQQMKGVJGBE-HNNXBMFYSA-N 0 3 317.436 4.444 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cc(C)cc(N)c2)cc1F ZINC000423485107 1042716652 /nfs/dbraw/zinc/71/66/52/1042716652.db2.gz UZTXNTCTGSETOP-GFCCVEGCSA-N 0 3 304.434 4.289 20 0 DIADHN Clc1ccc(CNC[C@H]2CCC3(CCC3)O2)c(Cl)c1 ZINC000711438828 1042718732 /nfs/dbraw/zinc/71/87/32/1042718732.db2.gz URNMCXNFONTIAD-CYBMUJFWSA-N 0 3 300.229 4.185 20 0 DIADHN CC(C)(C)[C@H]1CN(C(=O)c2ccc3c(c2)CNC3)c2ccccc21 ZINC000423910915 1042722678 /nfs/dbraw/zinc/72/26/78/1042722678.db2.gz BJWLBAOQXFHISC-SFHVURJKSA-N 0 3 320.436 4.080 20 0 DIADHN Clc1ccc(CCCCNCc2nccn2C2CC2)s1 ZINC000894286735 1042723543 /nfs/dbraw/zinc/72/35/43/1042723543.db2.gz FNUJPHAVKCTAPU-UHFFFAOYSA-N 0 3 309.866 4.045 20 0 DIADHN CC(C)n1cncc1CN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000424149262 1042726834 /nfs/dbraw/zinc/72/68/34/1042726834.db2.gz WCWJVZUEPXLLKB-ACJLOTCBSA-N 0 3 301.409 4.016 20 0 DIADHN COc1ccc(C2(NCc3cncn3C(C)C)CCCC2)cc1 ZINC000424149288 1042726954 /nfs/dbraw/zinc/72/69/54/1042726954.db2.gz WHLQQDXSYHPWCZ-UHFFFAOYSA-N 0 3 313.445 4.032 20 0 DIADHN Cc1cc(F)c(CN[C@@H]2CCOC3(CCCCC3)C2)c(F)c1 ZINC000424136929 1042727010 /nfs/dbraw/zinc/72/70/10/1042727010.db2.gz ADZGZDDDSDEEQJ-CQSZACIVSA-N 0 3 309.400 4.245 20 0 DIADHN C(N[C@H](C1CCC1)C1CCCCC1)c1nnc(C2CC2)s1 ZINC000424335126 1042734403 /nfs/dbraw/zinc/73/44/03/1042734403.db2.gz OOLJGZWBTHHLBA-INIZCTEOSA-N 0 3 305.491 4.254 20 0 DIADHN C[C@@H](NC(=O)CCCC1CCCC1)[C@H](c1ccccc1)N(C)C ZINC001269735235 1042755492 /nfs/dbraw/zinc/75/54/92/1042755492.db2.gz XNKWMGSVYBLQRS-OXQOHEQNSA-N 0 3 316.489 4.155 20 0 DIADHN CCCCN(CC(=O)N[C@H](C)CC)[C@@H](CC)c1ccccc1 ZINC000514186902 1042773253 /nfs/dbraw/zinc/77/32/53/1042773253.db2.gz UAGILDCUCIMNPM-AEFFLSMTSA-N 0 3 304.478 4.155 20 0 DIADHN CC(C)n1cncc1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000425338427 1042794278 /nfs/dbraw/zinc/79/42/78/1042794278.db2.gz PMWOQEJBHNDRMN-AWEZNQCLSA-N 0 3 305.853 4.180 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2C[C@@H](C(C)C)c3ccccc32)c1C ZINC000514909638 1042799072 /nfs/dbraw/zinc/79/90/72/1042799072.db2.gz APIYIOLMSCMADG-AZUAARDMSA-N 0 3 311.473 4.494 20 0 DIADHN COC1(CCN2C[C@H](c3cc(C)ccc3C)OC[C@@H]2C)CCC1 ZINC000514953666 1042802390 /nfs/dbraw/zinc/80/23/90/1042802390.db2.gz WFLPPXWQEJAVBG-PKOBYXMFSA-N 0 3 317.473 4.024 20 0 DIADHN CCCC[C@H](NCc1ncc(Br)s1)C1CCC1 ZINC000515021158 1042804964 /nfs/dbraw/zinc/80/49/64/1042804964.db2.gz XCOGRVAJDGTGMX-NSHDSACASA-N 0 3 317.296 4.354 20 0 DIADHN CO[C@H](CN1CCc2sccc2[C@@H]1c1cccs1)C1CC1 ZINC000425388753 1042805696 /nfs/dbraw/zinc/80/56/96/1042805696.db2.gz SUHVTQFZSMJPFD-RHSMWYFYSA-N 0 3 319.495 4.182 20 0 DIADHN Fc1cccc(Oc2ccc(CN[C@H]3C[C@@H]3C(F)F)cc2)c1 ZINC000515010063 1042805865 /nfs/dbraw/zinc/80/58/65/1042805865.db2.gz GLBJQZONNZDBIJ-HOTGVXAUSA-N 0 3 307.315 4.361 20 0 DIADHN C[C@H](C1CC1)N(C)CC(=O)N(c1ccccc1)C1CCCCC1 ZINC000515041503 1042806637 /nfs/dbraw/zinc/80/66/37/1042806637.db2.gz JXYXUCCFWQUJIF-MRXNPFEDSA-N 0 3 314.473 4.083 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000515039886 1042807188 /nfs/dbraw/zinc/80/71/88/1042807188.db2.gz CYRHVXZINPWVDI-JKSUJKDBSA-N 0 3 312.457 4.137 20 0 DIADHN CC1CCC(N(C)Cc2coc(-c3ccc(F)cc3)n2)CC1 ZINC000515543929 1042825103 /nfs/dbraw/zinc/82/51/03/1042825103.db2.gz UZLYFAARFYTAHA-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3ccccc3)o2)[C@@H]2CCCC[C@H]12 ZINC000515548354 1042825351 /nfs/dbraw/zinc/82/53/51/1042825351.db2.gz RMZRMRJJJKRXMJ-DJIMGWMZSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(OCC3CC3)nc2)c2ccccc21 ZINC000515702701 1042832486 /nfs/dbraw/zinc/83/24/86/1042832486.db2.gz WHJCXHNFIIIGSR-KUHUBIRLSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1ccc(C(=O)C2CCN(Cc3cccc(C)c3)CC2)cc1 ZINC000515743666 1042833453 /nfs/dbraw/zinc/83/34/53/1042833453.db2.gz YIGOTBPBUVGMGZ-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@@H]2Cc3ccccc3O2)o1 ZINC000027220421 1042840284 /nfs/dbraw/zinc/84/02/84/1042840284.db2.gz PNRVDKUFWLCRLT-INIZCTEOSA-N 0 3 323.367 4.179 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc3[nH]ccc3c2)[C@H]2CCCO2)cc1 ZINC000516877152 1042857870 /nfs/dbraw/zinc/85/78/70/1042857870.db2.gz AWZPILUDJBYNTO-WOJBJXKFSA-N 0 3 324.399 4.317 20 0 DIADHN COc1cc([C@@H](C)NC2(c3ccc(Cl)cc3)CCC2)ccn1 ZINC000516984892 1042862002 /nfs/dbraw/zinc/86/20/02/1042862002.db2.gz SEGDRMVKFHUCQS-CYBMUJFWSA-N 0 3 316.832 4.474 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCC(F)(F)[C@@H](C)C1 ZINC000517059483 1042865203 /nfs/dbraw/zinc/86/52/03/1042865203.db2.gz SKIFXOJKYLCLJG-WDEREUQCSA-N 0 3 305.315 4.326 20 0 DIADHN Clc1cccc([C@H](Cc2cccnc2)NCc2cccnc2)c1 ZINC000425927214 1042874498 /nfs/dbraw/zinc/87/44/98/1042874498.db2.gz ZEKOBDPUTRHNFU-IBGZPJMESA-N 0 3 323.827 4.204 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](c3ccco3)N(C)C)oc2c1 ZINC000425926583 1042875451 /nfs/dbraw/zinc/87/54/51/1042875451.db2.gz XRQDABIIFIYLJY-ZBFHGGJFSA-N 0 3 312.413 4.288 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2o1)[C@H](C)Cc1ccsc1 ZINC000425927447 1042876715 /nfs/dbraw/zinc/87/67/15/1042876715.db2.gz XHOFJAURWPMUHO-GFCCVEGCSA-N 0 3 318.417 4.482 20 0 DIADHN Cc1noc2ncc(CN[C@@H]3CC(C)(C)c4ccccc43)cc12 ZINC000894345470 1042876801 /nfs/dbraw/zinc/87/68/01/1042876801.db2.gz IOAWOZMUUDQZIZ-QGZVFWFLSA-N 0 3 307.397 4.043 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H](C)COCC(F)(F)F)oc2c1 ZINC000425941563 1042880179 /nfs/dbraw/zinc/88/01/79/1042880179.db2.gz BXTFRJFVLSABNZ-RYUDHWBXSA-N 0 3 315.335 4.359 20 0 DIADHN CCCCN(CCOC)Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000517596457 1042887249 /nfs/dbraw/zinc/88/72/49/1042887249.db2.gz UNIBAVACEDWONZ-UHFFFAOYSA-N 0 3 322.836 4.244 20 0 DIADHN COCCN(Cc1cnc(-c2ccco2)s1)[C@H]1CC[C@@H](C)C1 ZINC000425962351 1042887338 /nfs/dbraw/zinc/88/73/38/1042887338.db2.gz HFPMUJWLQAMGEX-KGLIPLIRSA-N 0 3 320.458 4.040 20 0 DIADHN CC(C)c1ccccc1OCCNCc1cc(F)c(F)c(F)c1 ZINC000517709420 1042893249 /nfs/dbraw/zinc/89/32/49/1042893249.db2.gz MQEKIQUYCMHQDI-UHFFFAOYSA-N 0 3 323.358 4.396 20 0 DIADHN COC[C@@H](Cc1ccccc1)N(C)Cc1cc(Cl)cs1 ZINC000425995042 1042895162 /nfs/dbraw/zinc/89/51/62/1042895162.db2.gz STEKCLRSCQBTIV-OAHLLOKOSA-N 0 3 309.862 4.091 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)ccc1F ZINC000517976934 1042903676 /nfs/dbraw/zinc/90/36/76/1042903676.db2.gz BQBNZYTWPBLEKJ-NEPJUHHUSA-N 0 3 313.376 4.122 20 0 DIADHN CC[C@@H](NCc1cc2c(cccc2O)s1)c1c(C)noc1C ZINC000894361355 1042904333 /nfs/dbraw/zinc/90/43/33/1042904333.db2.gz GKCMSEZCCLJQOR-CQSZACIVSA-N 0 3 316.426 4.453 20 0 DIADHN CCCN(Cc1nnc(-c2cccc(Cl)c2)o1)CC1CC1 ZINC000518059072 1042907037 /nfs/dbraw/zinc/90/70/37/1042907037.db2.gz OODHJWCKBNICRX-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295861645 1042914510 /nfs/dbraw/zinc/91/45/10/1042914510.db2.gz GOVZJLDPMHXXIS-BLLLJJGKSA-N 0 3 316.832 4.472 20 0 DIADHN CC(C)c1nc(CN[C@@H](CC(C)(C)C)c2ccccc2)n[nH]1 ZINC000296375735 1042917129 /nfs/dbraw/zinc/91/71/29/1042917129.db2.gz JINWZXGFHLYRFH-HNNXBMFYSA-N 0 3 300.450 4.195 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000518804200 1042921567 /nfs/dbraw/zinc/92/15/67/1042921567.db2.gz POHDBGLWGUSPCP-KDOFPFPSSA-N 0 3 309.457 4.187 20 0 DIADHN O=C(CN1CC[C@H]2CCC[C@H]21)Nc1ccccc1-c1ccccc1 ZINC000426476071 1042924344 /nfs/dbraw/zinc/92/43/44/1042924344.db2.gz PAZIODCTNXSLBR-YLJYHZDGSA-N 0 3 320.436 4.167 20 0 DIADHN Fc1cccc2c1SCC[C@H]2Nc1ccnc2ccccc21 ZINC000518905257 1042924408 /nfs/dbraw/zinc/92/44/08/1042924408.db2.gz MRUUJJRJVAIVET-QGZVFWFLSA-N 0 3 310.397 4.445 20 0 DIADHN Cc1ccccc1NC(=O)CN(CCc1ccccc1)CC(C)C ZINC000519056116 1042931507 /nfs/dbraw/zinc/93/15/07/1042931507.db2.gz JWERLXRWBJKNRJ-UHFFFAOYSA-N 0 3 324.468 4.134 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC[S@@](=O)c1ccccc1 ZINC000519193709 1042937289 /nfs/dbraw/zinc/93/72/89/1042937289.db2.gz RLSSOARJEZZUQX-DENIHFKCSA-N 0 3 315.482 4.267 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000519183255 1042938159 /nfs/dbraw/zinc/93/81/59/1042938159.db2.gz MVBNVIDBVRAVGD-ZBFHGGJFSA-N 0 3 303.837 4.106 20 0 DIADHN N#Cc1ccc(CN2CCC[C@@H](Cc3ccccc3)C2)c(F)c1 ZINC000519287075 1042942445 /nfs/dbraw/zinc/94/24/45/1042942445.db2.gz SRAFWCGEWGCUGD-SFHVURJKSA-N 0 3 308.400 4.152 20 0 DIADHN CSc1ccc(OCCN(C)[C@@H](C)c2cccc(O)c2)cc1 ZINC000440401071 1042953094 /nfs/dbraw/zinc/95/30/94/1042953094.db2.gz CPTZWAULLHPGKY-AWEZNQCLSA-N 0 3 317.454 4.186 20 0 DIADHN Cc1ccc(CCN(Cc2ccco2)C[C@H]2CCCCO2)cc1 ZINC000607988203 1042955853 /nfs/dbraw/zinc/95/58/53/1042955853.db2.gz HFJVWEXKYLFGCQ-LJQANCHMSA-N 0 3 313.441 4.202 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)[C@H]2CCC(C)=C(C)C2)cs1 ZINC000638719785 1042956536 /nfs/dbraw/zinc/95/65/36/1042956536.db2.gz GLCMNJJTHDQSEU-ZNMIVQPWSA-N 0 3 308.491 4.465 20 0 DIADHN COc1cccc(CCCN2CC(C)(C)[C@@H]2c2cccnc2)c1 ZINC000664826162 1042966128 /nfs/dbraw/zinc/96/61/28/1042966128.db2.gz OZEKUHFDPFMOKF-IBGZPJMESA-N 0 3 310.441 4.106 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cnc2onc(C)c2c1 ZINC000894534177 1042966449 /nfs/dbraw/zinc/96/64/49/1042966449.db2.gz QIIXEVBVBQRYMT-UHFFFAOYSA-N 0 3 320.396 4.035 20 0 DIADHN CO[C@H](CN1CC(C)(C)[C@H]1c1ccncc1)C1CCCCC1 ZINC000664831015 1042968351 /nfs/dbraw/zinc/96/83/51/1042968351.db2.gz ZHAGOFKDCIGUDT-QZTJIDSGSA-N 0 3 302.462 4.060 20 0 DIADHN COCC[C@H](c1ccccc1)N1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664832009 1042968984 /nfs/dbraw/zinc/96/89/84/1042968984.db2.gz IGDRARWZKRKEGJ-MOPGFXCFSA-N 0 3 310.441 4.242 20 0 DIADHN Cc1noc2ncc(CN[C@H]3CCCc4ccc(C)cc43)cc12 ZINC000894784472 1042977173 /nfs/dbraw/zinc/97/71/73/1042977173.db2.gz LNQPLJNLAYRDFK-SFHVURJKSA-N 0 3 307.397 4.007 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1F)Oc1ccccc1Cl ZINC000608065527 1042977265 /nfs/dbraw/zinc/97/72/65/1042977265.db2.gz YXKGDEXABXXGEC-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000894778244 1042977514 /nfs/dbraw/zinc/97/75/14/1042977514.db2.gz ORJRUFFCCMCKAH-HZUKXOBISA-N 0 3 318.852 4.144 20 0 DIADHN CC(C)(C)N(CC[S@@](=O)c1ccccc1)Cc1ccccc1 ZINC000353660518 1042981330 /nfs/dbraw/zinc/98/13/30/1042981330.db2.gz UIYYBXXSEACVGE-JOCHJYFZSA-N 0 3 315.482 4.095 20 0 DIADHN Cc1cnc([C@H](C)Nc2cccc3c2CN([C@H](C)C(C)C)C3)cn1 ZINC000427443222 1042988388 /nfs/dbraw/zinc/98/83/88/1042988388.db2.gz KTEXHKORILJQDC-JKSUJKDBSA-N 0 3 324.472 4.318 20 0 DIADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@@H]2c2ccccc2F)s1 ZINC000353697349 1042990409 /nfs/dbraw/zinc/99/04/09/1042990409.db2.gz GELLEEDDILOPDP-MEDUHNTESA-N 0 3 304.434 4.482 20 0 DIADHN Clc1ccccc1C1(CNCc2cc(C3CC3)no2)CC1 ZINC000638810690 1042991416 /nfs/dbraw/zinc/99/14/16/1042991416.db2.gz XNMDQCVUPQMTJZ-UHFFFAOYSA-N 0 3 302.805 4.027 20 0 DIADHN c1ccc(C[C@H]2CC[C@H](NCc3noc4c3CCCC4)C2)cc1 ZINC000519728439 1042999837 /nfs/dbraw/zinc/99/98/37/1042999837.db2.gz POYQINSENOJKJF-SJORKVTESA-N 0 3 310.441 4.054 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)cc1C ZINC000519724507 1042999975 /nfs/dbraw/zinc/99/99/75/1042999975.db2.gz PGQJLSJHFGWJPM-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2ccc(OCC3CC3)cc2)nc1 ZINC000519856179 1043005705 /nfs/dbraw/zinc/00/57/05/1043005705.db2.gz LZJWTLGLURVKPG-HXUWFJFHSA-N 0 3 308.425 4.208 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1ccccc1OCC1CC1 ZINC000520202601 1043023670 /nfs/dbraw/zinc/02/36/70/1043023670.db2.gz WZVUYZBPSIVQTO-LPHOPBHVSA-N 0 3 317.473 4.160 20 0 DIADHN CCOc1ccccc1OCCN(C)Cc1ccc(Cl)cc1 ZINC000441172458 1043025159 /nfs/dbraw/zinc/02/51/59/1043025159.db2.gz HBUWVMAMMLOLPS-UHFFFAOYSA-N 0 3 319.832 4.250 20 0 DIADHN CCOc1ccccc1OCCN(Cc1cccs1)C1CC1 ZINC000441256250 1043031834 /nfs/dbraw/zinc/03/18/34/1043031834.db2.gz QDRGFURAOARZNF-UHFFFAOYSA-N 0 3 317.454 4.190 20 0 DIADHN c1ccc([C@H](Nc2ccnc3ccccc32)c2ccccn2)cc1 ZINC000520308857 1043032456 /nfs/dbraw/zinc/03/24/56/1043032456.db2.gz QDSQIGVFTUOUMK-NRFANRHFSA-N 0 3 311.388 4.253 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)CC(=O)Nc1ccccc1C ZINC000441363957 1043040213 /nfs/dbraw/zinc/04/02/13/1043040213.db2.gz YNPMWRGOKVDODU-IBGZPJMESA-N 0 3 324.468 4.195 20 0 DIADHN C[C@@H](NCC1(O)CCCCC1)c1nc(-c2ccccc2)cs1 ZINC000112545652 1043048729 /nfs/dbraw/zinc/04/87/29/1043048729.db2.gz RRVUTXIBKFDZQN-CQSZACIVSA-N 0 3 316.470 4.156 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2ccc3ccccc3c2)c1 ZINC000112522966 1043049828 /nfs/dbraw/zinc/04/98/28/1043049828.db2.gz UQGIUDJAIDUISU-OAHLLOKOSA-N 0 3 318.420 4.050 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(CO)c2)c(OC(C)C)c1 ZINC000305624719 1043052259 /nfs/dbraw/zinc/05/22/59/1043052259.db2.gz AZRGKDCXEMYTOD-INIZCTEOSA-N 0 3 313.441 4.125 20 0 DIADHN FC(F)(F)COc1ccccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000441431962 1043087383 /nfs/dbraw/zinc/08/73/83/1043087383.db2.gz DXEAJUWOJQEDIP-DZGCQCFKSA-N 0 3 313.363 4.392 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2NCCc1ccco1 ZINC000536301702 1043091398 /nfs/dbraw/zinc/09/13/98/1043091398.db2.gz BVVROVWLVAPATO-AWEZNQCLSA-N 0 3 324.193 4.001 20 0 DIADHN COCc1ccc(CNC(C)(C)c2ccc(F)c(F)c2)cc1 ZINC000175987148 1043091731 /nfs/dbraw/zinc/09/17/31/1043091731.db2.gz UXYZHQXTQBKCAS-UHFFFAOYSA-N 0 3 305.368 4.136 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000320040104 1043093039 /nfs/dbraw/zinc/09/30/39/1043093039.db2.gz UHZGLDFASHZQBL-CZUORRHYSA-N 0 3 318.848 4.014 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cccc(Cl)c2C)c1 ZINC000865416823 1043093873 /nfs/dbraw/zinc/09/38/73/1043093873.db2.gz NNENGAJQHOWGGU-UHFFFAOYSA-N 0 3 316.832 4.287 20 0 DIADHN CCOCc1ccc(CNCc2cnc3c(F)cccc3c2)cc1 ZINC000865412081 1043094429 /nfs/dbraw/zinc/09/44/29/1043094429.db2.gz MYDUBQVUQBRYAC-UHFFFAOYSA-N 0 3 324.399 4.200 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(F)c2ccccc12 ZINC000865466534 1043100760 /nfs/dbraw/zinc/10/07/60/1043100760.db2.gz JOPWSABVOIJEBO-IBGZPJMESA-N 0 3 321.399 4.245 20 0 DIADHN Fc1cccc(CN[C@@H]2CCC(F)(F)C2)c1OC(F)(F)F ZINC000865494427 1043105377 /nfs/dbraw/zinc/10/53/77/1043105377.db2.gz YYSSZSCAFFGARY-SECBINFHSA-N 0 3 313.241 4.002 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865515671 1043108401 /nfs/dbraw/zinc/10/84/01/1043108401.db2.gz URZRQQREIUJYHJ-IINYFYTJSA-N 0 3 317.260 4.072 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2CCO[C@H](C(C)(C)C)C2)n1 ZINC000865515638 1043108813 /nfs/dbraw/zinc/10/88/13/1043108813.db2.gz TUQRSTJXROAAJB-JSGCOSHPSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(OCC2CC2)c(F)c1)c1ccncc1 ZINC000177572935 1043109296 /nfs/dbraw/zinc/10/92/96/1043109296.db2.gz JIGKEDAINPCWAM-ZIAGYGMSSA-N 0 3 314.404 4.421 20 0 DIADHN C[C@H]1CC(CCNCc2c(Cl)cncc2Cl)C[C@H](C)O1 ZINC000865556492 1043112966 /nfs/dbraw/zinc/11/29/66/1043112966.db2.gz FBPCRWSROFHEOJ-QWRGUYRKSA-N 0 3 317.260 4.072 20 0 DIADHN C[C@H]1CC(CCNCc2nc(C(C)(C)C)cs2)C[C@H](C)O1 ZINC000865558687 1043113744 /nfs/dbraw/zinc/11/37/44/1043113744.db2.gz PIMGFQHOEFCTJO-STQMWFEESA-N 0 3 310.507 4.124 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@@H](C)c1ccc(F)cc1F ZINC000120631190 1043113833 /nfs/dbraw/zinc/11/38/33/1043113833.db2.gz OZEKDVOEWAPPQQ-VBHSOAQHSA-N 0 3 305.368 4.128 20 0 DIADHN C[C@H](CNCc1c(Cl)cncc1Cl)CC(F)(F)F ZINC000865603631 1043116882 /nfs/dbraw/zinc/11/68/82/1043116882.db2.gz JQUSJUWUDFXFHF-ZETCQYMHSA-N 0 3 301.139 4.067 20 0 DIADHN Clc1csc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)c1 ZINC000425373652 1043117732 /nfs/dbraw/zinc/11/77/32/1043117732.db2.gz QITHGHMIRSLKCS-HNNXBMFYSA-N 0 3 311.878 4.183 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@@H]1CCc2cc(F)ccc21 ZINC000178088747 1043118392 /nfs/dbraw/zinc/11/83/92/1043118392.db2.gz QYLOSYXTPZKSIZ-KPZWWZAWSA-N 0 3 315.436 4.424 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2cccc(-c3ccncc3)c2)cnn1C ZINC000177964623 1043118682 /nfs/dbraw/zinc/11/86/82/1043118682.db2.gz GRGVDCQKEUZSLA-HUUCEWRRSA-N 0 3 320.440 4.202 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)c2ccsc2)cc1OC ZINC000178039526 1043118822 /nfs/dbraw/zinc/11/88/22/1043118822.db2.gz FNAIWHUVXHMFRS-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN O[C@@H]1C[C@@H](NCc2ccc(CC(F)(F)F)cc2)c2ccccc21 ZINC000865626790 1043119328 /nfs/dbraw/zinc/11/93/28/1043119328.db2.gz YPJXGXUZMMEROU-IAGOWNOFSA-N 0 3 321.342 4.059 20 0 DIADHN FC1(F)CC12CCC(NCc1c(Cl)cncc1Cl)CC2 ZINC000865630355 1043121000 /nfs/dbraw/zinc/12/10/00/1043121000.db2.gz LBFJNSBBUVSSNW-UHFFFAOYSA-N 0 3 321.198 4.446 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1cccnc1Br ZINC000865641794 1043121319 /nfs/dbraw/zinc/12/13/19/1043121319.db2.gz IJPMKABHEHLRQA-UHFFFAOYSA-N 0 3 321.209 4.005 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@@H]2CC2(Cl)Cl)n1 ZINC000865637142 1043121449 /nfs/dbraw/zinc/12/14/49/1043121449.db2.gz NMYAIZUKEXZGJE-SECBINFHSA-N 0 3 307.290 4.114 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1ocnc1C ZINC000895057136 1043122766 /nfs/dbraw/zinc/12/27/66/1043122766.db2.gz GXHRLERYBVGKQS-UHFFFAOYSA-N 0 3 312.335 4.066 20 0 DIADHN CCOc1ccc([C@@H](NCC2=CCCOC2)c2ccccc2)cc1 ZINC000178160725 1043123089 /nfs/dbraw/zinc/12/30/89/1043123089.db2.gz WLCOWASIEHHRBB-NRFANRHFSA-N 0 3 323.436 4.111 20 0 DIADHN c1nc(CNCC[C@H]2CCSC2)sc1-c1ccccc1 ZINC000865651781 1043123149 /nfs/dbraw/zinc/12/31/49/1043123149.db2.gz FAPAQUJEQJWXPS-ZDUSSCGKSA-N 0 3 304.484 4.043 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000178253997 1043125507 /nfs/dbraw/zinc/12/55/07/1043125507.db2.gz QGNHUGFRGUKXTM-LSDHHAIUSA-N 0 3 320.440 4.202 20 0 DIADHN C[C@H](NCc1csc(-c2ccccn2)n1)[C@@]12C[C@@H]1CCCC2 ZINC000865703833 1043126762 /nfs/dbraw/zinc/12/67/62/1043126762.db2.gz MEMAODAJHCPQDG-SUNYJGFJSA-N 0 3 313.470 4.264 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccsc1)c1ccc2c(c1)OCCO2 ZINC000178480697 1043131895 /nfs/dbraw/zinc/13/18/95/1043131895.db2.gz BIVKWDRWFMYXFU-IUODEOHRSA-N 0 3 303.427 4.321 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@@H](C)c1cc(F)ccc1F ZINC000120727975 1043133390 /nfs/dbraw/zinc/13/33/90/1043133390.db2.gz SKXHYXLTEUICLY-FHSNZYRGSA-N 0 3 305.368 4.128 20 0 DIADHN C[C@@H](NCc1ccc([C@H](C)O)cc1)c1cnc2ccsc2c1 ZINC000178553458 1043133446 /nfs/dbraw/zinc/13/34/46/1043133446.db2.gz VHIWAKKPYGDOLB-OLZOCXBDSA-N 0 3 312.438 4.200 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)s1 ZINC000865830649 1043138403 /nfs/dbraw/zinc/13/84/03/1043138403.db2.gz SSHKMHWRCMBEDZ-CHWSQXEVSA-N 0 3 318.408 4.328 20 0 DIADHN N=C(N)N(c1ccc(F)cc1)c1cc(F)c(F)c(C(F)F)c1 ZINC001167997926 1043138611 /nfs/dbraw/zinc/13/86/11/1043138611.db2.gz CFYGOZHLPFCRLY-UHFFFAOYSA-N 0 3 315.245 4.073 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCO[C@H]2c2ccc(Cl)cc2)nc1 ZINC000865829799 1043138811 /nfs/dbraw/zinc/13/88/11/1043138811.db2.gz HRORJBBNDNQJHO-MSOLQXFVSA-N 0 3 316.832 4.053 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(Cl)nc1)c1ccco1 ZINC000179115619 1043141491 /nfs/dbraw/zinc/14/14/91/1043141491.db2.gz MACKPGUROOSSLQ-DZGCQCFKSA-N 0 3 321.852 4.062 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CC(C)(C)O2)c1cc2cnccc2o1 ZINC000865853802 1043142169 /nfs/dbraw/zinc/14/21/69/1043142169.db2.gz KAVURNGHFMQDEU-ZDUSSCGKSA-N 0 3 322.408 4.392 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CC(C)(C)O2)c1cc2cnccc2o1 ZINC000865853801 1043142345 /nfs/dbraw/zinc/14/23/45/1043142345.db2.gz KAVURNGHFMQDEU-CYBMUJFWSA-N 0 3 322.408 4.392 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(C)c1Cl ZINC000179151889 1043142991 /nfs/dbraw/zinc/14/29/91/1043142991.db2.gz YBOSJOFIXJNAKD-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H](NCc1ccnc(Cl)c1)c1ccc(OC(F)F)cc1 ZINC000179606431 1043149189 /nfs/dbraw/zinc/14/91/89/1043149189.db2.gz KEEYZWWLVROEND-SNVBAGLBSA-N 0 3 312.747 4.187 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccc(F)cc1F ZINC000120859974 1043149630 /nfs/dbraw/zinc/14/96/30/1043149630.db2.gz PUXHYXFYKUJHIH-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000120863464 1043150776 /nfs/dbraw/zinc/15/07/76/1043150776.db2.gz RCTHKFNYKDJRHU-DOMZBBRYSA-N 0 3 317.408 4.180 20 0 DIADHN CC(C)OC1CC(N[C@@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC000189553091 1043158018 /nfs/dbraw/zinc/15/80/18/1043158018.db2.gz AUVIVECBVKVSFK-NRXISQOPSA-N 0 3 319.342 4.365 20 0 DIADHN Cc1cc(CNC2(c3ccc(Cl)cc3)CCOCC2)c(C)o1 ZINC000120958322 1043159103 /nfs/dbraw/zinc/15/91/03/1043159103.db2.gz GALCBZFUBGKCLT-UHFFFAOYSA-N 0 3 319.832 4.345 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1cccc(F)c1F ZINC000183265182 1043159309 /nfs/dbraw/zinc/15/93/09/1043159309.db2.gz FSAGIHCDZVAEPN-LBPRGKRZSA-N 0 3 322.374 4.016 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)o1)[C@@H](C)c1ccccc1 ZINC000183366142 1043163073 /nfs/dbraw/zinc/16/30/73/1043163073.db2.gz MISQGECPRDGNTL-CABCVRRESA-N 0 3 307.397 4.018 20 0 DIADHN CCC[C@H](NCc1ccc(-n2ccnc2)cc1)c1cc(C)ccn1 ZINC000866241916 1043164010 /nfs/dbraw/zinc/16/40/10/1043164010.db2.gz HLXDFOXBCFBHRY-IBGZPJMESA-N 0 3 320.440 4.207 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cc(Cl)ccc2C)s1 ZINC000049183147 1043168082 /nfs/dbraw/zinc/16/80/82/1043168082.db2.gz ISSIHDYJNTVISB-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN C[C@]1(CN[C@H](c2ccccc2)c2ccc(F)cn2)CCCS1 ZINC000183714747 1043171403 /nfs/dbraw/zinc/17/14/03/1043171403.db2.gz MSOWWTWXPXVUAR-QZTJIDSGSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1ccc(Br)cc1CN[C@@H](C)c1cccnc1 ZINC000190157389 1043175391 /nfs/dbraw/zinc/17/53/91/1043175391.db2.gz XDWYZCGRIKFLIW-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)c2cncc(C)c2)cc1OC ZINC000184278845 1043181511 /nfs/dbraw/zinc/18/15/11/1043181511.db2.gz OFYNQCAIFFHGAC-CABCVRRESA-N 0 3 314.429 4.127 20 0 DIADHN C[C@H](C[S@](C)=O)N[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000184287288 1043182692 /nfs/dbraw/zinc/18/26/92/1043182692.db2.gz OKCVVRDGDQLECK-IFMYKAFSSA-N 0 3 315.438 4.004 20 0 DIADHN OC[C@H](N[C@@H]1CCc2cccc3cccc1c32)c1ccsc1 ZINC000866339389 1043183118 /nfs/dbraw/zinc/18/31/18/1043183118.db2.gz BEVILRDTUZHVGI-MSOLQXFVSA-N 0 3 309.434 4.212 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1cccc2cc[nH]c21 ZINC000866348073 1043186056 /nfs/dbraw/zinc/18/60/56/1043186056.db2.gz MNFXZXAZEVXZQR-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1cc2c(ccnc2Cl)o1 ZINC000866358441 1043188504 /nfs/dbraw/zinc/18/85/04/1043188504.db2.gz MLCNINCBOIKGGN-QMMMGPOBSA-N 0 3 318.726 4.474 20 0 DIADHN CCCCCCC(=O)N1c2ccc(C)cc2[C@H]2CN(C)CC[C@H]21 ZINC000034645314 1043195307 /nfs/dbraw/zinc/19/53/07/1043195307.db2.gz KUEWTMVQWCTPPH-IEBWSBKVSA-N 0 3 314.473 4.100 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(OC(C)(C)C)cc1 ZINC000185220670 1043196929 /nfs/dbraw/zinc/19/69/29/1043196929.db2.gz PXRDNVRAWTTWND-HNNXBMFYSA-N 0 3 313.441 4.207 20 0 DIADHN CCC[C@H](NCc1cccc(Br)c1)c1cccnc1 ZINC000185230608 1043197366 /nfs/dbraw/zinc/19/73/66/1043197366.db2.gz FQLGNVQIDYHQPN-INIZCTEOSA-N 0 3 319.246 4.475 20 0 DIADHN Fc1ccc([C@@H](NCC[C@H]2CCSC2)c2ccccn2)cc1 ZINC000866403076 1043198881 /nfs/dbraw/zinc/19/88/81/1043198881.db2.gz QKDINQRVPIPTJO-KBXCAEBGSA-N 0 3 316.445 4.043 20 0 DIADHN CCC[C@@H](NCc1ccc(OCC)c(F)c1)c1cccnc1 ZINC000185382867 1043201588 /nfs/dbraw/zinc/20/15/88/1043201588.db2.gz MSUPOOKTQLFUOC-QGZVFWFLSA-N 0 3 302.393 4.250 20 0 DIADHN Fc1ccc([C@H](NCC[C@@H]2CCCS2)c2ccccc2)nc1 ZINC000866479876 1043206617 /nfs/dbraw/zinc/20/66/17/1043206617.db2.gz ACQGBKQWTSEYDN-FUHWJXTLSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1ccnc(F)c1 ZINC000866473206 1043207194 /nfs/dbraw/zinc/20/71/94/1043207194.db2.gz BUUSQIDOTOKVNO-KRWDZBQOSA-N 0 3 309.388 4.178 20 0 DIADHN CSc1ccc2c(c1)[C@@H](NCc1ccnc(F)c1)CCC2 ZINC000866474470 1043207281 /nfs/dbraw/zinc/20/72/81/1043207281.db2.gz MPWYMTLBGVWAMZ-INIZCTEOSA-N 0 3 302.418 4.110 20 0 DIADHN C[C@H](CC1CCC1)N[C@H](C)c1nc(Br)cs1 ZINC000866486446 1043210417 /nfs/dbraw/zinc/21/04/17/1043210417.db2.gz HRUKHNHIIDXGFI-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)c(Cl)c1)c1cccnc1 ZINC000186120254 1043215273 /nfs/dbraw/zinc/21/52/73/1043215273.db2.gz XQIGTYVRIZOOSJ-MRXNPFEDSA-N 0 3 304.821 4.375 20 0 DIADHN C[C@H](NCCSCCC(F)(F)F)c1ccncc1Cl ZINC000866543406 1043220409 /nfs/dbraw/zinc/22/04/09/1043220409.db2.gz WBUZHYBXLWBFPI-VIFPVBQESA-N 0 3 312.788 4.071 20 0 DIADHN CC(F)(F)C(C)(C)CN[C@@H](c1ccccc1)c1ccccn1 ZINC000866543902 1043221862 /nfs/dbraw/zinc/22/18/62/1043221862.db2.gz ADOVGFTYSNOPEU-INIZCTEOSA-N 0 3 304.384 4.442 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)COC(C)(C)O3)cc1 ZINC000186367718 1043223174 /nfs/dbraw/zinc/22/31/74/1043223174.db2.gz BSHPARHYVQGORE-OAHLLOKOSA-N 0 3 311.425 4.491 20 0 DIADHN CSCCCCCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000186777148 1043233405 /nfs/dbraw/zinc/23/34/05/1043233405.db2.gz QVMZSUVNTSPSAF-SFHVURJKSA-N 0 3 318.461 4.433 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000441644449 1043242006 /nfs/dbraw/zinc/24/20/06/1043242006.db2.gz HDWICBXLOCSNHK-UPJWGTAASA-N 0 3 314.256 4.413 20 0 DIADHN CC[C@H](C[C@H](C)O)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000866635525 1043242170 /nfs/dbraw/zinc/24/21/70/1043242170.db2.gz RFTHBGCBEDVBDZ-KCQAQPDRSA-N 0 3 304.459 4.010 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3cccnc3)C2)ccc1C(F)F ZINC000895095710 1043245938 /nfs/dbraw/zinc/24/59/38/1043245938.db2.gz IIIBADGABCESKQ-CQSZACIVSA-N 0 3 306.331 4.148 20 0 DIADHN CCC[C@H](N[C@H](CCO)c1ccc(OC)cc1)c1ccsc1 ZINC000187763898 1043248387 /nfs/dbraw/zinc/24/83/87/1043248387.db2.gz ZAYYFUAOGKVSEP-ZWKOTPCHSA-N 0 3 319.470 4.311 20 0 DIADHN CC[C@@H](NCc1cccc(NC(C)=O)c1)c1cccc(F)c1 ZINC000187769939 1043248546 /nfs/dbraw/zinc/24/85/46/1043248546.db2.gz DOVHLGLFHHEDMK-GOSISDBHSA-N 0 3 300.377 4.025 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000187718263 1043249139 /nfs/dbraw/zinc/24/91/39/1043249139.db2.gz DLQOGSKDOFZUHH-BLLLJJGKSA-N 0 3 317.458 4.431 20 0 DIADHN Cc1cc(CN[C@H](C)c2cnn(C)c2)ccc1-c1ccccc1 ZINC000187751044 1043249562 /nfs/dbraw/zinc/24/95/62/1043249562.db2.gz TYZBBMBWFQOGNZ-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000187718302 1043249589 /nfs/dbraw/zinc/24/95/89/1043249589.db2.gz DLQOGSKDOFZUHH-MLGOLLRUSA-N 0 3 317.458 4.431 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000187718282 1043249822 /nfs/dbraw/zinc/24/98/22/1043249822.db2.gz DLQOGSKDOFZUHH-WBMJQRKESA-N 0 3 317.458 4.431 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccnc(Cl)c1 ZINC000121934892 1043267946 /nfs/dbraw/zinc/26/79/46/1043267946.db2.gz FFPOSHKZSIATRA-ABAIWWIYSA-N 0 3 302.805 4.082 20 0 DIADHN CC(C)Oc1ccc(CNCc2nccc3ccccc32)cc1 ZINC000067450765 1043269953 /nfs/dbraw/zinc/26/99/53/1043269953.db2.gz LTSPRKDVQWUWQQ-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN C[C@H](N[C@@H](CCc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192755738 1043274954 /nfs/dbraw/zinc/27/49/54/1043274954.db2.gz TWVOHFKPYXGBGG-PXNSSMCTSA-N 0 3 319.452 4.445 20 0 DIADHN CCc1ccc(CNCc2cnc(-c3ccco3)s2)s1 ZINC000193005708 1043276999 /nfs/dbraw/zinc/27/69/99/1043276999.db2.gz VJVYARKAXPYQAQ-UHFFFAOYSA-N 0 3 304.440 4.317 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000193048534 1043279844 /nfs/dbraw/zinc/27/98/44/1043279844.db2.gz KPYFTJZWHQCMTD-LZQZEXGQSA-N 0 3 311.425 4.415 20 0 DIADHN CCSc1cc(CN[C@@H]2CCCNc3ccccc32)ccn1 ZINC000193316048 1043281818 /nfs/dbraw/zinc/28/18/18/1043281818.db2.gz KHRHMOPSRBKUSK-QGZVFWFLSA-N 0 3 313.470 4.230 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)cn1 ZINC000194340970 1043290150 /nfs/dbraw/zinc/29/01/50/1043290150.db2.gz OGOIEKZTKWVCDH-JCURWCKSSA-N 0 3 301.821 4.124 20 0 DIADHN Cc1noc(C)c1CN(C)[C@H](C)CCSc1ccccc1 ZINC000122253705 1043291794 /nfs/dbraw/zinc/29/17/94/1043291794.db2.gz XHKXKTPAGZFCAF-CYBMUJFWSA-N 0 3 304.459 4.294 20 0 DIADHN Nc1cccc(CN[C@H]2CCSc3ccc(Cl)cc32)c1 ZINC000665233928 1043292129 /nfs/dbraw/zinc/29/21/29/1043292129.db2.gz TXLUPWHARGNRLT-HNNXBMFYSA-N 0 3 304.846 4.249 20 0 DIADHN Nc1cccc(CN[C@@H]2CCCOc3cc4c(cc32)CCCC4)c1 ZINC000665233897 1043292284 /nfs/dbraw/zinc/29/22/84/1043292284.db2.gz SHFSPKYOIUSMTC-HXUWFJFHSA-N 0 3 322.452 4.151 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NC[C@H]2CCC=CO2)cc1 ZINC000194529334 1043293473 /nfs/dbraw/zinc/29/34/73/1043293473.db2.gz JCQBUFUAMYBARH-KGLIPLIRSA-N 0 3 303.299 4.102 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(N2CCCC2)c1)c1cccc(O)c1 ZINC000194912733 1043294324 /nfs/dbraw/zinc/29/43/24/1043294324.db2.gz QMCYHUILPHOLJZ-HZPDHXFCSA-N 0 3 310.441 4.404 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc(C(C)(C)O)cc1 ZINC000194963353 1043294591 /nfs/dbraw/zinc/29/45/91/1043294591.db2.gz AYEIGKDIVARDGA-AWEZNQCLSA-N 0 3 315.482 4.487 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(O)c1)c1cccc(N2CCCC2)c1 ZINC000194912711 1043294820 /nfs/dbraw/zinc/29/48/20/1043294820.db2.gz QMCYHUILPHOLJZ-CVEARBPZSA-N 0 3 310.441 4.404 20 0 DIADHN Cc1c([C@H](C)NCc2ccc3ncccc3c2)cnn1C(C)C ZINC000195146864 1043296770 /nfs/dbraw/zinc/29/67/70/1043296770.db2.gz PYEFQJUYZQDDDM-AWEZNQCLSA-N 0 3 308.429 4.171 20 0 DIADHN CC[C@H](NCc1ccc(C(C)C)nc1C)c1c(C)nn(C)c1C ZINC000339085140 1043299001 /nfs/dbraw/zinc/29/90/01/1043299001.db2.gz PMPXTAGOZXNKMP-KRWDZBQOSA-N 0 3 314.477 4.105 20 0 DIADHN COC1(CCN[C@@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000339085803 1043299316 /nfs/dbraw/zinc/29/93/16/1043299316.db2.gz LLKBUPJRKUZPEU-AWEZNQCLSA-N 0 3 316.470 4.420 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000268734548 1043326301 /nfs/dbraw/zinc/32/63/01/1043326301.db2.gz CXVNXQCIPVLSMX-VBKZILBWSA-N 0 3 308.425 4.292 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC1CC(C)(C)C1)c1ccsc1 ZINC000428175728 1043329383 /nfs/dbraw/zinc/32/93/83/1043329383.db2.gz MBJOGWNUKOLVDA-INIZCTEOSA-N 0 3 322.518 4.074 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc3c(c2)COC3)cc1 ZINC000268764274 1043330011 /nfs/dbraw/zinc/33/00/11/1043330011.db2.gz ISJFZYAVJPLMDG-HNNXBMFYSA-N 0 3 311.425 4.356 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](C)c1cccc(OC)c1)CCC2 ZINC000268798429 1043330746 /nfs/dbraw/zinc/33/07/46/1043330746.db2.gz PDGOGMPLCUQPMH-SWLSCSKDSA-N 0 3 316.470 4.442 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](C)c1cccc(OC)c1)CCC2 ZINC000268798428 1043331914 /nfs/dbraw/zinc/33/19/14/1043331914.db2.gz PDGOGMPLCUQPMH-IUODEOHRSA-N 0 3 316.470 4.442 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccc(C)cc1 ZINC000268795942 1043332227 /nfs/dbraw/zinc/33/22/27/1043332227.db2.gz DNRHIQOUWGDQNC-HXUWFJFHSA-N 0 3 322.452 4.128 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)s1 ZINC000268789795 1043332472 /nfs/dbraw/zinc/33/24/72/1043332472.db2.gz CRAMWDKZOYVOBD-VXGBXAGGSA-N 0 3 309.866 4.253 20 0 DIADHN CCOc1ccccc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000268810136 1043333610 /nfs/dbraw/zinc/33/36/10/1043333610.db2.gz DSRRKRBNYYJHJJ-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)cc1C ZINC000268811106 1043333856 /nfs/dbraw/zinc/33/38/56/1043333856.db2.gz AXODWOSQSMUDQQ-PONJGIIJSA-N 0 3 315.482 4.453 20 0 DIADHN C[C@@H](N[C@H]1CCCOc2ccccc21)c1ccc2c(c1)COC2 ZINC000268847612 1043334936 /nfs/dbraw/zinc/33/49/36/1043334936.db2.gz PYOXMNVMACEVOE-KUHUBIRLSA-N 0 3 309.409 4.281 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(C(=O)NC3CC3)c2)c(C)c1 ZINC000268836446 1043334953 /nfs/dbraw/zinc/33/49/53/1043334953.db2.gz PPPKTNDVCZJKNO-INIZCTEOSA-N 0 3 322.452 4.046 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](C)c2cnn(CC)c2)cc1 ZINC000268868431 1043338064 /nfs/dbraw/zinc/33/80/64/1043338064.db2.gz CSHQDZNPOOUOBR-HUUCEWRRSA-N 0 3 301.434 4.104 20 0 DIADHN CC(C)c1ccc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000268873268 1043340595 /nfs/dbraw/zinc/34/05/95/1043340595.db2.gz VYDFGGZWENZGDL-NYHFZMIOSA-N 0 3 315.482 4.398 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCc3c1cccc3O)CCC2 ZINC000268910590 1043343066 /nfs/dbraw/zinc/34/30/66/1043343066.db2.gz SZEWAXLANCOIOG-MOPGFXCFSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H]1CCc2cc(N)ccc21 ZINC000665270275 1043343798 /nfs/dbraw/zinc/34/37/98/1043343798.db2.gz VHJNDVZURWLLPH-BDJLRTHQSA-N 0 3 315.486 4.495 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1cc(C)ccc1OC ZINC000665270229 1043344350 /nfs/dbraw/zinc/34/43/50/1043344350.db2.gz UKTBSMDBSNDCFQ-RTBURBONSA-N 0 3 310.441 4.314 20 0 DIADHN Cc1ccc(CNCc2ccc(OCC(C)C)cc2)cc1F ZINC000520954989 1043344696 /nfs/dbraw/zinc/34/46/96/1043344696.db2.gz YYCSTTKUOBJGEH-UHFFFAOYSA-N 0 3 301.405 4.459 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@@H]1Cc2ccccc2O1 ZINC000520956836 1043346009 /nfs/dbraw/zinc/34/60/09/1043346009.db2.gz DSARYWFZIFJHIV-SFHVURJKSA-N 0 3 305.377 4.040 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)sc32)cc1 ZINC000268988486 1043348354 /nfs/dbraw/zinc/34/83/54/1043348354.db2.gz JGTHVQZATYUSAL-ABAIWWIYSA-N 0 3 302.443 4.188 20 0 DIADHN c1c2ccccc2oc1CN1CCC[C@@H](c2noc(C3CC3)n2)C1 ZINC000269023616 1043350851 /nfs/dbraw/zinc/35/08/51/1043350851.db2.gz ZZEVGEAIGIOBKD-OAHLLOKOSA-N 0 3 323.396 4.073 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2cccc(N3CCC3=O)c2)cc1 ZINC000442126974 1043352822 /nfs/dbraw/zinc/35/28/22/1043352822.db2.gz JDVBMFNLYJDQIV-INIZCTEOSA-N 0 3 322.452 4.398 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H]2c2ccccc2)s1 ZINC000269097779 1043356587 /nfs/dbraw/zinc/35/65/87/1043356587.db2.gz SGGAZMBSXQXJDX-LSDHHAIUSA-N 0 3 301.459 4.087 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C(F)(F)F)CC(C)C ZINC000521193635 1043371403 /nfs/dbraw/zinc/37/14/03/1043371403.db2.gz LWIIDDGKPVVHOW-UHFFFAOYSA-N 0 3 316.367 4.012 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)NCc1ccccc1C ZINC000521197401 1043374565 /nfs/dbraw/zinc/37/45/65/1043374565.db2.gz JZAYTOXDMODKAN-HXUWFJFHSA-N 0 3 324.468 4.084 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc(C(F)F)c2)c1 ZINC000270370953 1043384971 /nfs/dbraw/zinc/38/49/71/1043384971.db2.gz JYGZDKUDOAVDQS-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN CC(C)Oc1cccc([C@H](C)N[C@@H](C)c2cccc(CO)c2)c1 ZINC000270411791 1043385378 /nfs/dbraw/zinc/38/53/78/1043385378.db2.gz CIYJRUGRHXSEMB-HOTGVXAUSA-N 0 3 313.441 4.378 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](C4CCC4)C3)o2)cc1 ZINC000665284982 1043385597 /nfs/dbraw/zinc/38/55/97/1043385597.db2.gz ZRZMTAIHSHULMW-YOEHRIQHSA-N 0 3 311.429 4.228 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000521349166 1043389019 /nfs/dbraw/zinc/38/90/19/1043389019.db2.gz FRNOXZVPIAYVJM-GUYCJALGSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@H](C3CCC3)C2)o1 ZINC000665286003 1043389390 /nfs/dbraw/zinc/38/93/90/1043389390.db2.gz CAZGOOFZMJFOQT-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN CSc1cc(C)ccc1CN[C@H](C)c1cccc(CO)c1 ZINC000270547184 1043389945 /nfs/dbraw/zinc/38/99/45/1043389945.db2.gz ZAEOCQJNEVMUFF-CQSZACIVSA-N 0 3 301.455 4.060 20 0 DIADHN C[C@H](N[C@@H](C)c1cc(Cl)c(N)c(Cl)c1)c1cccnc1 ZINC000270547246 1043391010 /nfs/dbraw/zinc/39/10/10/1043391010.db2.gz ZDVIDIQCGZEZPV-UWVGGRQHSA-N 0 3 310.228 4.382 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)C[C@@H](O)c2cccs2)c(F)c1 ZINC000270642989 1043392327 /nfs/dbraw/zinc/39/23/27/1043392327.db2.gz OYXQPWUTZAWCLS-BFQNTYOBSA-N 0 3 323.433 4.059 20 0 DIADHN Cc1nccn1CCN(Cc1cccs1)Cc1cccs1 ZINC000270866484 1043397481 /nfs/dbraw/zinc/39/74/81/1043397481.db2.gz STVNGBFUKFZECI-UHFFFAOYSA-N 0 3 317.483 4.017 20 0 DIADHN COc1ccccc1C[C@@H](N[C@@H](C)c1cscn1)C(C)C ZINC000271059708 1043402926 /nfs/dbraw/zinc/40/29/26/1043402926.db2.gz BTNBYLIDGCCRCG-DZGCQCFKSA-N 0 3 304.459 4.070 20 0 DIADHN COCCC1(CN[C@@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000925278251 1043406462 /nfs/dbraw/zinc/40/64/62/1043406462.db2.gz QRFINLXESOCONV-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC(c2ncc[nH]2)CC1 ZINC000271207859 1043407422 /nfs/dbraw/zinc/40/74/22/1043407422.db2.gz STQWJDAFCYBEJC-UHFFFAOYSA-N 0 3 315.804 4.189 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC1(Cc2ccc(F)cc2)CC1 ZINC000925298921 1043408295 /nfs/dbraw/zinc/40/82/95/1043408295.db2.gz WKBPDPYFJFYDPZ-MRXNPFEDSA-N 0 3 314.404 4.112 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(C(C)(C)O)cc1 ZINC000925373187 1043414321 /nfs/dbraw/zinc/41/43/21/1043414321.db2.gz CXXXMZYKDVXOJB-DJJJIMSYSA-N 0 3 313.416 4.391 20 0 DIADHN C[C@H](NCCc1ccccc1C(F)(F)F)c1nccs1 ZINC000271406463 1043414685 /nfs/dbraw/zinc/41/46/85/1043414685.db2.gz MKEKIQULCPPKAS-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN FCc1ccc(CN(Cc2ccccc2)C[C@@H]2CCCO2)cc1 ZINC000777559567 1043415194 /nfs/dbraw/zinc/41/51/94/1043415194.db2.gz GRHFGIAFFWYZMP-FQEVSTJZSA-N 0 3 313.416 4.337 20 0 DIADHN CC[C@H](NCc1cnn(CC)c1)c1ccc2cc(OC)ccc2c1 ZINC000271473099 1043424542 /nfs/dbraw/zinc/42/45/42/1043424542.db2.gz JIDQVJMJZYPUNU-FQEVSTJZSA-N 0 3 323.440 4.306 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2csc(-c3ccsc3)n2)CCO1 ZINC000271495122 1043426782 /nfs/dbraw/zinc/42/67/82/1043426782.db2.gz JTYHXDXJPVWZPG-UKRRQHHQSA-N 0 3 322.499 4.165 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2ccccc2n1)[C@H](O)c1cccc(F)c1 ZINC000271520215 1043428786 /nfs/dbraw/zinc/42/87/86/1043428786.db2.gz QBRRYTFMGJIBRF-MNVSYLFESA-N 0 3 324.399 4.147 20 0 DIADHN COc1ccccc1SC[C@H](C)CN[C@@H](C)c1cscn1 ZINC000271514043 1043428819 /nfs/dbraw/zinc/42/88/19/1043428819.db2.gz TWJDBCXMTAYDNS-OLZOCXBDSA-N 0 3 322.499 4.231 20 0 DIADHN Cc1noc(CCCN[C@H](C)c2cc(Cl)sc2Cl)n1 ZINC000271512302 1043429081 /nfs/dbraw/zinc/42/90/81/1043429081.db2.gz SQUSIDBWTHCULV-SSDOTTSWSA-N 0 3 320.245 4.030 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCO[C@@H](C(C)(C)C)C2)on1 ZINC000872040711 1043430636 /nfs/dbraw/zinc/43/06/36/1043430636.db2.gz ZULYQQDEQPBTCW-RHSMWYFYSA-N 0 3 308.466 4.262 20 0 DIADHN C[C@H](NCCc1nc2cc(Cl)ccc2s1)c1ccccn1 ZINC000271546074 1043433867 /nfs/dbraw/zinc/43/38/67/1043433867.db2.gz UYTVIRJJNYTZDK-NSHDSACASA-N 0 3 317.845 4.238 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)s1 ZINC000271537283 1043434730 /nfs/dbraw/zinc/43/47/30/1043434730.db2.gz QLKJKTUUGNSGNQ-OYMPBJRDSA-N 0 3 321.511 4.460 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3CCO[C@@H](C)C3)cs2)cc1 ZINC000271539990 1043434837 /nfs/dbraw/zinc/43/48/37/1043434837.db2.gz SJPPZJGXGXTFLC-LSDHHAIUSA-N 0 3 316.470 4.023 20 0 DIADHN CS[C@@H](C)CN[C@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925602110 1043435332 /nfs/dbraw/zinc/43/53/32/1043435332.db2.gz QZUXRSSNKWSXML-MAUKXSAKSA-N 0 3 300.471 4.129 20 0 DIADHN CS[C@H](C)CN[C@@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925602111 1043436290 /nfs/dbraw/zinc/43/62/90/1043436290.db2.gz QZUXRSSNKWSXML-QAPCUYQASA-N 0 3 300.471 4.129 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)cc1 ZINC000271549978 1043436716 /nfs/dbraw/zinc/43/67/16/1043436716.db2.gz LFTIVIFAGQDEEF-MRXNPFEDSA-N 0 3 301.459 4.086 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2ccc(F)c(C)c2)C2CC2)cn1 ZINC000271576651 1043439451 /nfs/dbraw/zinc/43/94/51/1043439451.db2.gz WLMNSYAOIORSRB-ACJLOTCBSA-N 0 3 301.409 4.152 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3c(c2)COC3)cc1Cl ZINC000271575439 1043439588 /nfs/dbraw/zinc/43/95/88/1043439588.db2.gz ZOAROFFMYMBZNT-GFCCVEGCSA-N 0 3 317.816 4.230 20 0 DIADHN Cc1cc([C@H](N[C@H](C)c2cncc(F)c2)C2CC2)ccc1F ZINC000271573586 1043439656 /nfs/dbraw/zinc/43/96/56/1043439656.db2.gz VZTMOZBNDJIANB-KZULUSFZSA-N 0 3 302.368 4.470 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1cc2ccccc2[nH]1 ZINC000925633286 1043440639 /nfs/dbraw/zinc/44/06/39/1043440639.db2.gz DAUJCMLNZTZCEC-XOBRGWDASA-N 0 3 305.425 4.005 20 0 DIADHN COc1cc([C@@H](C)NCc2cncc(C)c2)cc2ccccc21 ZINC000925643586 1043442989 /nfs/dbraw/zinc/44/29/89/1043442989.db2.gz GAAOCWZAWVBUMY-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1cn(C)cn1 ZINC000925646735 1043445166 /nfs/dbraw/zinc/44/51/66/1043445166.db2.gz VMLGMKVIJKBEFA-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@H](CCC(F)(F)F)[NH2+][C@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000872065454 1043445814 /nfs/dbraw/zinc/44/58/14/1043445814.db2.gz BLLPSXJGPJWQFD-HBNTYKKESA-N 0 3 306.376 4.090 20 0 DIADHN Cc1cncc(CN(CC(C)C)[C@H]2CCCc3cccnc32)c1 ZINC000442881537 1043448742 /nfs/dbraw/zinc/44/87/42/1043448742.db2.gz IOSNHFMKHOONGE-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@@H](c2cccs2)C1)c1cccc([O-])c1F ZINC000872077155 1043451864 /nfs/dbraw/zinc/45/18/64/1043451864.db2.gz ZXVIZXNJNSKQCJ-YWPYICTPSA-N 0 3 321.417 4.164 20 0 DIADHN CC1(C)C[C@@H](N[C@H]2CCCn3nccc32)c2ccccc2S1 ZINC000872094259 1043459114 /nfs/dbraw/zinc/45/91/14/1043459114.db2.gz KRIZJVPZUWLPQM-LSDHHAIUSA-N 0 3 313.470 4.323 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1ccncc1)c1ccc(Cl)cc1 ZINC000283088634 1043460022 /nfs/dbraw/zinc/46/00/22/1043460022.db2.gz LCXXCXGMUBYTBV-GUYCJALGSA-N 0 3 304.821 4.116 20 0 DIADHN C[C@@H](NCc1ccc(C(F)(F)F)s1)c1cccc(CO)c1 ZINC000872107974 1043460527 /nfs/dbraw/zinc/46/05/27/1043460527.db2.gz QCYDILIMYSHGRP-SNVBAGLBSA-N 0 3 315.360 4.110 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1ccc(C)nc1 ZINC000348704752 1043461088 /nfs/dbraw/zinc/46/10/88/1043461088.db2.gz FEPIAELNJXVAAG-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN Clc1cnc(CNC2CCC(OC3CCCC3)CC2)s1 ZINC000872111890 1043462191 /nfs/dbraw/zinc/46/21/91/1043462191.db2.gz GAUVAZJCSGBKID-UHFFFAOYSA-N 0 3 314.882 4.157 20 0 DIADHN Cc1cc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc(C)c1F ZINC000777573571 1043462270 /nfs/dbraw/zinc/46/22/70/1043462270.db2.gz BGNQNUZZIVPVQE-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2nnc(-c3ccccc3)[nH]2)cc1C ZINC000777573607 1043462298 /nfs/dbraw/zinc/46/22/98/1043462298.db2.gz CJYYXXIGCAOZKD-INIZCTEOSA-N 0 3 320.440 4.248 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)NCc2ncc(Cl)s2)cc1 ZINC000872113071 1043463203 /nfs/dbraw/zinc/46/32/03/1043463203.db2.gz MTUVETKYYGRJJD-LERXQTSPSA-N 0 3 322.861 4.087 20 0 DIADHN C[C@H](NCc1cccc(OC(C)(C)C)c1)c1cccc(CO)c1 ZINC000872114544 1043464152 /nfs/dbraw/zinc/46/41/52/1043464152.db2.gz ZJEPBKMTRSOTGJ-HNNXBMFYSA-N 0 3 313.441 4.207 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc3oc(=O)[nH]c3c2)c2ccccc21 ZINC000872122975 1043468276 /nfs/dbraw/zinc/46/82/76/1043468276.db2.gz KHGVYRYUBBBFAW-MRXNPFEDSA-N 0 3 308.381 4.046 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1cccc2ncccc12 ZINC000872124487 1043468475 /nfs/dbraw/zinc/46/84/75/1043468475.db2.gz OFPPVWDLMPTPPH-LJQANCHMSA-N 0 3 304.393 4.238 20 0 DIADHN Nc1ccnc(CN[C@@H]2CCCc3c4ccccc4sc32)c1 ZINC000925772491 1043468778 /nfs/dbraw/zinc/46/87/78/1043468778.db2.gz SJWKFAHHRRLEKP-MRXNPFEDSA-N 0 3 309.438 4.046 20 0 DIADHN CC[C@H](NCc1cccc2ncccc12)c1ccccc1OC ZINC000872124393 1043468722 /nfs/dbraw/zinc/46/87/22/1043468722.db2.gz MNJZIYCKBKKXJY-SFHVURJKSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1c([C@@H](C)NCc2cccc3ncccc23)cnn1C(C)C ZINC000872124774 1043470626 /nfs/dbraw/zinc/47/06/26/1043470626.db2.gz SEKITXNPYQNCOZ-CQSZACIVSA-N 0 3 308.429 4.171 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(C(F)(F)F)nc1)c1ccncc1 ZINC000872129773 1043471098 /nfs/dbraw/zinc/47/10/98/1043471098.db2.gz BZFXAHBUCQMEKU-SMDDNHRTSA-N 0 3 309.335 4.297 20 0 DIADHN CSc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC000777575387 1043474048 /nfs/dbraw/zinc/47/40/48/1043474048.db2.gz PZMGFISRNXOIIJ-CYBMUJFWSA-N 0 3 324.453 4.044 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(CO)c1)c1ccc(C(F)(F)F)nc1 ZINC000872130722 1043474016 /nfs/dbraw/zinc/47/40/16/1043474016.db2.gz LDHYTNWEDAFJHH-RYUDHWBXSA-N 0 3 324.346 4.005 20 0 DIADHN CCCc1ccc([C@H](C)NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777575405 1043474423 /nfs/dbraw/zinc/47/44/23/1043474423.db2.gz QLTAKQNWEKBHKJ-HNNXBMFYSA-N 0 3 320.440 4.275 20 0 DIADHN CCCc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC000777575405 1043474428 /nfs/dbraw/zinc/47/44/28/1043474428.db2.gz QLTAKQNWEKBHKJ-HNNXBMFYSA-N 0 3 320.440 4.275 20 0 DIADHN C[C@@H](NC1CC(Nc2ccccc2)C1)c1ccc(Cl)cn1 ZINC000872134766 1043477955 /nfs/dbraw/zinc/47/79/55/1043477955.db2.gz ZCNZLXFIOMJMMQ-UWTIGNOOSA-N 0 3 301.821 4.029 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccc(F)cn1 ZINC000558275804 1043478297 /nfs/dbraw/zinc/47/82/97/1043478297.db2.gz BZZWFAPXCXSEBK-DOPJRALCSA-N 0 3 314.404 4.117 20 0 DIADHN C/C=C/c1ccc(NC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000558266044 1043478381 /nfs/dbraw/zinc/47/83/81/1043478381.db2.gz SZCMOMVWSLQWNO-GQCTYLIASA-N 0 3 309.413 4.425 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccncc2)cc2cccnc12 ZINC000348934750 1043484503 /nfs/dbraw/zinc/48/45/03/1043484503.db2.gz NJRKHKFVPWMWRQ-LJQANCHMSA-N 0 3 303.409 4.275 20 0 DIADHN COC(=O)c1cc(CN(Cc2ccccc2)CC(C)C)oc1C ZINC000521815923 1043484970 /nfs/dbraw/zinc/48/49/70/1043484970.db2.gz CPZPMRBBOKCESN-UHFFFAOYSA-N 0 3 315.413 4.033 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H]3CCCCc4ccccc43)[nH]2)cc1 ZINC000777579134 1043487128 /nfs/dbraw/zinc/48/71/28/1043487128.db2.gz QNHAKJJZMJUVGM-GOSISDBHSA-N 0 3 318.424 4.029 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccccc2Cl)N2CCCC2)o1 ZINC000521822851 1043487555 /nfs/dbraw/zinc/48/75/55/1043487555.db2.gz XSQUBIOIWGSMOI-SFHVURJKSA-N 0 3 318.848 4.168 20 0 DIADHN Cc1ccc(CNC[C@H](c2ccccc2Cl)N2CCCC2)o1 ZINC000521822850 1043487972 /nfs/dbraw/zinc/48/79/72/1043487972.db2.gz XSQUBIOIWGSMOI-GOSISDBHSA-N 0 3 318.848 4.168 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)ccc1F ZINC000443101436 1043488088 /nfs/dbraw/zinc/48/80/88/1043488088.db2.gz ZJPNPIPLTWXRAJ-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000521823332 1043488353 /nfs/dbraw/zinc/48/83/53/1043488353.db2.gz PWDFFVFVUCMBDC-SCTDSRPQSA-N 0 3 316.489 4.249 20 0 DIADHN CCC[C@@H](N[C@@H](CO)c1ccc(CC)cc1)c1ccsc1 ZINC000443093447 1043489837 /nfs/dbraw/zinc/48/98/37/1043489837.db2.gz JCOYDNDNWDMPBB-MSOLQXFVSA-N 0 3 303.471 4.475 20 0 DIADHN Cc1ccccc1CN(CCc1ccccn1)Cc1ccncc1 ZINC000349233834 1043496081 /nfs/dbraw/zinc/49/60/81/1043496081.db2.gz AAXHBGIXRXCXPX-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN Cc1ccc(CN[C@@H](CC(=O)OC(C)C)c2ccccc2)s1 ZINC000349237601 1043496126 /nfs/dbraw/zinc/49/61/26/1043496126.db2.gz FXAFKWBORCQLBL-KRWDZBQOSA-N 0 3 317.454 4.229 20 0 DIADHN Cc1ccc(CN[C@H](CC(=O)OC(C)C)c2ccccc2)s1 ZINC000349237602 1043496713 /nfs/dbraw/zinc/49/67/13/1043496713.db2.gz FXAFKWBORCQLBL-QGZVFWFLSA-N 0 3 317.454 4.229 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000349322110 1043502670 /nfs/dbraw/zinc/50/26/70/1043502670.db2.gz LTHVHACIHMNIBQ-GOEBONIOSA-N 0 3 312.461 4.273 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)cn1 ZINC000558410361 1043504454 /nfs/dbraw/zinc/50/44/54/1043504454.db2.gz UADCSSMSUXKHCZ-GXTWGEPZSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)cn1 ZINC000558410364 1043504576 /nfs/dbraw/zinc/50/45/76/1043504576.db2.gz UADCSSMSUXKHCZ-OCCSQVGLSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1nn2c(CN(C)C3CCC(C)CC3)c(C(C)C)nc2s1 ZINC000349543661 1043510874 /nfs/dbraw/zinc/51/08/74/1043510874.db2.gz QZTXDNNZESAIOL-UHFFFAOYSA-N 0 3 320.506 4.233 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2ccc(N3CCCC3)o2)c1 ZINC001137065991 1043511397 /nfs/dbraw/zinc/51/13/97/1043511397.db2.gz ARNASAUBVVTSJH-HNNXBMFYSA-N 0 3 302.443 4.278 20 0 DIADHN Cc1ccc(F)cc1-c1noc([C@@H](C)N2[C@H](C)CC[C@@H]2C)n1 ZINC000443209623 1043513147 /nfs/dbraw/zinc/51/31/47/1043513147.db2.gz GDTJPZNSEPBNMI-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cccc2ncccc12 ZINC000873046294 1043514056 /nfs/dbraw/zinc/51/40/56/1043514056.db2.gz OUBALIUZMGJZDI-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN CC1(NCc2cnc(-c3cccs3)s2)CC(F)(F)C1 ZINC000873197986 1043527213 /nfs/dbraw/zinc/52/72/13/1043527213.db2.gz XMZCPYVMGVYZOQ-UHFFFAOYSA-N 0 3 300.399 4.149 20 0 DIADHN CCN(Cc1ccc(C)s1)Cc1ccc(OC)c(OC)c1 ZINC000349576814 1043514665 /nfs/dbraw/zinc/51/46/65/1043514665.db2.gz FQBXJSKIXJIMFG-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1ccc2sccc2c1 ZINC000558482462 1043516832 /nfs/dbraw/zinc/51/68/32/1043516832.db2.gz ZZSNBKXZMMVRQH-UHFFFAOYSA-N 0 3 320.417 4.445 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc3nccnc3c2)s1 ZINC000873155334 1043519178 /nfs/dbraw/zinc/51/91/78/1043519178.db2.gz IOQIOPRDHQXQLF-UHFFFAOYSA-N 0 3 311.454 4.279 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2csc(-c3ccccn3)n2)CC1 ZINC000191113004 1043522693 /nfs/dbraw/zinc/52/26/93/1043522693.db2.gz IIGYSSPNIAZVFY-KGLIPLIRSA-N 0 3 301.459 4.264 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3ccc(N4CCCC4)o3)C2)c1 ZINC001137069554 1043529711 /nfs/dbraw/zinc/52/97/11/1043529711.db2.gz CKFHDYOSRBLZQK-INIZCTEOSA-N 0 3 314.404 4.008 20 0 DIADHN COc1cc(C)c(CN(Cc2cccs2)C2CC2)cc1OC ZINC000349945457 1043531608 /nfs/dbraw/zinc/53/16/08/1043531608.db2.gz PBQKCPNAGALULW-UHFFFAOYSA-N 0 3 317.454 4.238 20 0 DIADHN C[C@@H](CC1CCOCC1)CN1CCCC[C@H]1c1nccs1 ZINC000350004163 1043533146 /nfs/dbraw/zinc/53/31/46/1043533146.db2.gz BRAZLRIXRJTNIZ-HOCLYGCPSA-N 0 3 308.491 4.123 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cc(N)cc(F)c2)cc1F ZINC000873289885 1043534413 /nfs/dbraw/zinc/53/44/13/1043534413.db2.gz ZYLVDIJKPMZFRS-SNVBAGLBSA-N 0 3 308.397 4.120 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2Cl)no1)N1[C@H](C)CC[C@@H]1C ZINC000191172063 1043538031 /nfs/dbraw/zinc/53/80/31/1043538031.db2.gz UQWWBZYEFAWEQM-TUAOUCFPSA-N 0 3 305.809 4.324 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1CNC(=O)c1cccc(CN(C)C)c1 ZINC001299373587 1043538434 /nfs/dbraw/zinc/53/84/34/1043538434.db2.gz LBKUPEAQRYGAIK-IEBWSBKVSA-N 0 3 316.489 4.085 20 0 DIADHN Cc1cccc([C@H](NCCC[C@@H]2CCCCO2)c2ccccn2)c1 ZINC000926173258 1043538881 /nfs/dbraw/zinc/53/88/81/1043538881.db2.gz BMERRVYQAQDTPF-FPOVZHCZSA-N 0 3 324.468 4.418 20 0 DIADHN CCC[C@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ncccc1Cl ZINC000926183516 1043540627 /nfs/dbraw/zinc/54/06/27/1043540627.db2.gz OJOONMASBIKGLM-BPUTZDHNSA-N 0 3 308.853 4.123 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1C(C)=CCC[C@@H]1C ZINC000443407982 1043542243 /nfs/dbraw/zinc/54/22/43/1043542243.db2.gz JIDLMWFRCQYWBV-YJBOKZPZSA-N 0 3 314.473 4.166 20 0 DIADHN CCN(Cc1ccsc1C)Cc1ccc(OC)c(OC)c1 ZINC000350313787 1043542872 /nfs/dbraw/zinc/54/28/72/1043542872.db2.gz DSYZWENUUKRZLT-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccncc2)ccc1OC(C)C ZINC000350515652 1043547495 /nfs/dbraw/zinc/54/74/95/1043547495.db2.gz OJLBBVXZJMDBAJ-HUUCEWRRSA-N 0 3 314.429 4.289 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H]1CCc2c1nccc2Cl ZINC000926234525 1043551231 /nfs/dbraw/zinc/55/12/31/1043551231.db2.gz ATYMKVGPPAYMLS-NEPJUHHUSA-N 0 3 306.759 4.289 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCC[C@@H](c3ccccc3)C2)nn1 ZINC000926279870 1043557711 /nfs/dbraw/zinc/55/77/11/1043557711.db2.gz XYWGQBRJPPXRTP-JQHSSLGASA-N 0 3 312.461 4.236 20 0 DIADHN Cc1cc(F)cc(CN2CCC[C@H](c3nc(C(C)C)no3)C2)c1 ZINC001143589843 1043558802 /nfs/dbraw/zinc/55/88/02/1043558802.db2.gz HNKVEDGAPRKCIV-HNNXBMFYSA-N 0 3 317.408 4.020 20 0 DIADHN Cc1ccc(CN2CCC([C@@H](O)c3ccccc3)CC2)cc1F ZINC000124629738 1043559317 /nfs/dbraw/zinc/55/93/17/1043559317.db2.gz ZGHKEDZKXTVVMP-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN Cc1cc(C(F)F)oc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000626282152 1043560057 /nfs/dbraw/zinc/56/00/57/1043560057.db2.gz LGXXQEGNGCOATQ-UHFFFAOYSA-N 0 3 322.355 4.148 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCC23CCOCC3)c2ccccn2)c1 ZINC000558622606 1043560538 /nfs/dbraw/zinc/56/05/38/1043560538.db2.gz NGYMMYYSBRZICG-UXHICEINSA-N 0 3 322.452 4.028 20 0 DIADHN COCCCC[C@@H](N[C@H](C)c1ccns1)c1ccccc1 ZINC000926330256 1043563931 /nfs/dbraw/zinc/56/39/31/1043563931.db2.gz JWLQQPKBLWICNZ-GDBMZVCRSA-N 0 3 304.459 4.352 20 0 DIADHN Cc1ccc([C@@H](NC2CC3(C2)CO[C@@H](C)C3)c2ccccn2)cc1 ZINC000926331694 1043564261 /nfs/dbraw/zinc/56/42/61/1043564261.db2.gz OAJQOBPCHOEXNP-SKKUXFBHSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1ccc([C@H](NC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)cc1 ZINC000926330819 1043564267 /nfs/dbraw/zinc/56/42/67/1043564267.db2.gz KBNMHAJMDSVPJO-DLZDZTESSA-N 0 3 324.493 4.496 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000926339200 1043566373 /nfs/dbraw/zinc/56/63/73/1043566373.db2.gz DDJAMVCSUUYSFR-XIRDDKMYSA-N 0 3 314.404 4.425 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cn(C)cn1 ZINC000926354535 1043569851 /nfs/dbraw/zinc/56/98/51/1043569851.db2.gz UXVQZSXJCURQOL-RAIGVLPGSA-N 0 3 324.255 4.324 20 0 DIADHN CCCN(C)[C@H](CN[C@H](C)c1ncco1)c1ccc(Cl)cc1 ZINC000926399042 1043571881 /nfs/dbraw/zinc/57/18/81/1043571881.db2.gz TZDRWCYJKOZUAB-CZUORRHYSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3cnn(C4CCC4)c3)ccc2[nH]1 ZINC000926418905 1043573445 /nfs/dbraw/zinc/57/34/45/1043573445.db2.gz WNOSWXRCNPYFIQ-CQSZACIVSA-N 0 3 308.429 4.249 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(C)c(F)c(F)c2)C1 ZINC001143591982 1043575522 /nfs/dbraw/zinc/57/55/22/1043575522.db2.gz NUUMJXGYRFJNJC-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN Cc1ccc([C@H](NCCSC(C)C)c2cccnc2)cc1 ZINC000926424511 1043575693 /nfs/dbraw/zinc/57/56/93/1043575693.db2.gz ZTBNAUZGLVJIKL-SFHVURJKSA-N 0 3 300.471 4.211 20 0 DIADHN CN(Cc1cn2ccsc2n1)C1CCC(C(C)(C)C)CC1 ZINC000522208737 1043576312 /nfs/dbraw/zinc/57/63/12/1043576312.db2.gz LPHCCOBVVSCULX-UHFFFAOYSA-N 0 3 305.491 4.433 20 0 DIADHN C[C@@H]1CCC[C@@H](OC(=O)C2CCN(Cc3ccccc3)CC2)C1 ZINC000727292716 1043577036 /nfs/dbraw/zinc/57/70/36/1043577036.db2.gz IQPGLKUGKYUCTL-VQIMIIECSA-N 0 3 315.457 4.021 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(-c2ccccc2)no1)[C@H](O)c1ccccc1 ZINC000926437082 1043578718 /nfs/dbraw/zinc/57/87/18/1043578718.db2.gz RUGQRHYIGOGULE-MDOVXXIYSA-N 0 3 322.408 4.114 20 0 DIADHN FC1(F)CC(CN[C@@H](c2ccc(Cl)cc2)c2ccccn2)C1 ZINC000926466530 1043580168 /nfs/dbraw/zinc/58/01/68/1043580168.db2.gz AREKJPRDAPDGBD-INIZCTEOSA-N 0 3 322.786 4.459 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1cccc(OC)c1 ZINC000474081748 1043581693 /nfs/dbraw/zinc/58/16/93/1043581693.db2.gz NQPXFAIGIBUAIR-IBGZPJMESA-N 0 3 311.425 4.216 20 0 DIADHN COCC(C)(C)CN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926469787 1043582876 /nfs/dbraw/zinc/58/28/76/1043582876.db2.gz QPZNTXAMHSLOAL-QGZVFWFLSA-N 0 3 318.848 4.087 20 0 DIADHN FC(F)(F)c1cnc(CNC(C2CCC2)C2CCC2)s1 ZINC000353082733 1043583338 /nfs/dbraw/zinc/58/33/38/1043583338.db2.gz GJVDFFXUBHJVKM-UHFFFAOYSA-N 0 3 304.381 4.220 20 0 DIADHN CC(C)Cc1ncc(CNCC2(C(F)(F)F)CCC2)s1 ZINC000353091955 1043583612 /nfs/dbraw/zinc/58/36/12/1043583612.db2.gz YYJKDWZFAUTATH-UHFFFAOYSA-N 0 3 306.397 4.164 20 0 DIADHN CSCc1ccc(CNCc2c[nH]nc2-c2ccccc2)cc1 ZINC000353090342 1043583780 /nfs/dbraw/zinc/58/37/80/1043583780.db2.gz NYYUUNLRLKTIFR-UHFFFAOYSA-N 0 3 323.465 4.230 20 0 DIADHN CO[C@H](CN1CC[C@H](C(F)(F)F)[C@H]1C)c1ccc(Cl)cc1 ZINC000558743011 1043586628 /nfs/dbraw/zinc/58/66/28/1043586628.db2.gz SKVNHMVIIWSTOB-DDTOSNHZSA-N 0 3 321.770 4.300 20 0 DIADHN CO[C@H](CN1CC[C@H](C(F)(F)F)[C@@H]1C)c1ccc(Cl)cc1 ZINC000558743013 1043586735 /nfs/dbraw/zinc/58/67/35/1043586735.db2.gz SKVNHMVIIWSTOB-LEWSCRJBSA-N 0 3 321.770 4.300 20 0 DIADHN COc1cc(CNC(C)(C)c2ccccc2)cc(Cl)c1OC ZINC000389006035 1043587353 /nfs/dbraw/zinc/58/73/53/1043587353.db2.gz CMUODISTEBYTPO-UHFFFAOYSA-N 0 3 319.832 4.382 20 0 DIADHN Clc1ccccc1C[C@H](NCC1=CCOCC1)c1ccoc1 ZINC000926505913 1043588583 /nfs/dbraw/zinc/58/85/83/1043588583.db2.gz LJHXYDRWRVFFOP-SFHVURJKSA-N 0 3 317.816 4.153 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccn1)c1cc(-c2ccccc2)no1 ZINC000926501948 1043589667 /nfs/dbraw/zinc/58/96/67/1043589667.db2.gz PLIODFXOUFLNPE-HNNXBMFYSA-N 0 3 321.424 4.365 20 0 DIADHN CC(C)(NC[C@@H]1CC[C@H](C2CC2)O1)c1cccc(Cl)c1F ZINC001307707941 1043592329 /nfs/dbraw/zinc/59/23/29/1043592329.db2.gz CUXQTUBGFAJWOF-SWLSCSKDSA-N 0 3 311.828 4.261 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1[C@H]1CCCN1C[C@H]1CCOC1 ZINC001202540974 1043596296 /nfs/dbraw/zinc/59/62/96/1043596296.db2.gz QHNUSHUDYNTBHK-IAQYHMDHSA-N 0 3 317.326 4.018 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2cc3ccccc3c(OC)c2)cn1 ZINC000926544014 1043596658 /nfs/dbraw/zinc/59/66/58/1043596658.db2.gz UBQFWYJQRCYELZ-CABCVRRESA-N 0 3 323.440 4.477 20 0 DIADHN CCOc1cc(CN(C)Cc2ccc(C)s2)ccc1OC ZINC000353289475 1043596903 /nfs/dbraw/zinc/59/69/03/1043596903.db2.gz ZSMLCVDUZJAONH-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccnn1C1CCC1 ZINC000926538440 1043597690 /nfs/dbraw/zinc/59/76/90/1043597690.db2.gz FBROJBAGYDMUJW-CRAIPNDOSA-N 0 3 324.472 4.230 20 0 DIADHN CC(C)n1cc(CN2CCC[C@H](CCc3ccccc3)C2)cn1 ZINC001137082485 1043600589 /nfs/dbraw/zinc/60/05/89/1043600589.db2.gz BNDNHBSHQDPUSN-LJQANCHMSA-N 0 3 311.473 4.309 20 0 DIADHN CCC[C@H](NCc1ccc2cncn2c1)c1ncccc1Cl ZINC000926565810 1043600814 /nfs/dbraw/zinc/60/08/14/1043600814.db2.gz BVXVJVJYJNYDAL-INIZCTEOSA-N 0 3 314.820 4.014 20 0 DIADHN CC(C)n1cc(CN2CCC[C@@H](CCc3ccccc3)C2)cn1 ZINC001137082484 1043601103 /nfs/dbraw/zinc/60/11/03/1043601103.db2.gz BNDNHBSHQDPUSN-IBGZPJMESA-N 0 3 311.473 4.309 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCOc3c(C)cccc31)CCC2 ZINC000353346375 1043602478 /nfs/dbraw/zinc/60/24/78/1043602478.db2.gz NWWQUQJDQWSDCX-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@@H](O)[C@@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000558800754 1043603891 /nfs/dbraw/zinc/60/38/91/1043603891.db2.gz NLBXPMNKXJUABU-CHWSQXEVSA-N 0 3 316.272 4.019 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](C)c1cc2cccc(F)c2o1 ZINC000474099155 1043604110 /nfs/dbraw/zinc/60/41/10/1043604110.db2.gz QTJQVRVAPNSWDN-VXGBXAGGSA-N 0 3 321.392 4.343 20 0 DIADHN FC(F)(F)c1ccc(-c2nc(CNC3CCC3)cs2)cc1 ZINC000113339633 1043604930 /nfs/dbraw/zinc/60/49/30/1043604930.db2.gz YEHMONYOXGTEJT-UHFFFAOYSA-N 0 3 312.360 4.471 20 0 DIADHN CC[C@@H](N[C@@H](CCO)c1ccc(OC)cc1)c1cccc(C)c1 ZINC000353420883 1043607050 /nfs/dbraw/zinc/60/70/50/1043607050.db2.gz HPBIIIDXFPSQGI-UXHICEINSA-N 0 3 313.441 4.168 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccccc3)C(C)(C)C2)c(C)s1 ZINC000443595234 1043609086 /nfs/dbraw/zinc/60/90/86/1043609086.db2.gz VJFQZFOGBAKVAZ-MRXNPFEDSA-N 0 3 300.471 4.386 20 0 DIADHN CC[C@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C)c1 ZINC000353514457 1043611067 /nfs/dbraw/zinc/61/10/67/1043611067.db2.gz GYKGTYRAIPDMJU-VQTJNVASSA-N 0 3 324.468 4.255 20 0 DIADHN C[C@H](NC1(c2ccccc2Cl)CCC1)c1cc[nH]c(=O)c1 ZINC000926643122 1043611258 /nfs/dbraw/zinc/61/12/58/1043611258.db2.gz QBCDDGJRYREIRM-LBPRGKRZSA-N 0 3 302.805 4.171 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(-n2cnnc2)c1)c1ccccc1F ZINC000926646416 1043613002 /nfs/dbraw/zinc/61/30/02/1043613002.db2.gz MUYMRZMVXQIVAI-LIRRHRJNSA-N 0 3 324.403 4.208 20 0 DIADHN Cc1nc(C)c(CN2CCC(OC3CCC(C)CC3)CC2)s1 ZINC000353528691 1043613044 /nfs/dbraw/zinc/61/30/44/1043613044.db2.gz OTDMTAZWQUCSLB-UHFFFAOYSA-N 0 3 322.518 4.320 20 0 DIADHN CSCC1(CCN[C@H]2COCc3cccc(Cl)c32)CC1 ZINC000926689515 1043615714 /nfs/dbraw/zinc/61/57/14/1043615714.db2.gz QQBMTMPBCRHISS-AWEZNQCLSA-N 0 3 311.878 4.034 20 0 DIADHN CSCCCN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000353547041 1043616079 /nfs/dbraw/zinc/61/60/79/1043616079.db2.gz XZRRWKARRZKALH-GOSISDBHSA-N 0 3 312.438 4.260 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)N[C@H]1CCCc2oc(C)nc21 ZINC000926682422 1043616253 /nfs/dbraw/zinc/61/62/53/1043616253.db2.gz WDIQGZQRHYYMLC-CVEARBPZSA-N 0 3 302.393 4.110 20 0 DIADHN Cc1cnc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)s1 ZINC000353542057 1043616555 /nfs/dbraw/zinc/61/65/55/1043616555.db2.gz LPEAEZNEGRXCNG-INIZCTEOSA-N 0 3 302.418 4.316 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2Cc2ccc(F)cc2)c(C)s1 ZINC000443612909 1043617538 /nfs/dbraw/zinc/61/75/38/1043617538.db2.gz BRRILLMTJNBBOQ-MRXNPFEDSA-N 0 3 304.434 4.106 20 0 DIADHN Cc1nc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)c(C)s1 ZINC000443611803 1043618191 /nfs/dbraw/zinc/61/81/91/1043618191.db2.gz BOCXERAFQZXPTD-DYVFJYSZSA-N 0 3 300.471 4.213 20 0 DIADHN Cc1nc(CN2CCC[C@H]2Cc2ccc(F)cc2)c(C)s1 ZINC000443612905 1043618243 /nfs/dbraw/zinc/61/82/43/1043618243.db2.gz BRRILLMTJNBBOQ-INIZCTEOSA-N 0 3 304.434 4.106 20 0 DIADHN CCCC(C)(C)N(CC(=O)NC(C)(C)C)Cc1cccs1 ZINC000558864458 1043619985 /nfs/dbraw/zinc/61/99/85/1043619985.db2.gz VGMJQCVTAVPBBC-UHFFFAOYSA-N 0 3 310.507 4.044 20 0 DIADHN CCOc1ccc(CN(C)[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000353584959 1043619945 /nfs/dbraw/zinc/61/99/45/1043619945.db2.gz NBDNJIKEXCAWSJ-QGZVFWFLSA-N 0 3 316.470 4.360 20 0 DIADHN CCOc1cccc(CN(C)[C@@H]2CCCc3nc(C)sc32)c1 ZINC000353587407 1043620701 /nfs/dbraw/zinc/62/07/01/1043620701.db2.gz YTNXZAYITWKRFJ-QGZVFWFLSA-N 0 3 316.470 4.360 20 0 DIADHN CC(C)CN(Cc1coc(-c2c(F)cccc2F)n1)C(C)C ZINC000443625628 1043626390 /nfs/dbraw/zinc/62/63/90/1043626390.db2.gz ORXCJEXBJIGVRJ-UHFFFAOYSA-N 0 3 308.372 4.486 20 0 DIADHN COC[C@H]1CCCN([C@@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000443626130 1043627451 /nfs/dbraw/zinc/62/74/51/1043627451.db2.gz KSVZAKUDEVHYND-MEDUHNTESA-N 0 3 314.256 4.339 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1ccc2nccnc2c1 ZINC001137090976 1043627526 /nfs/dbraw/zinc/62/75/26/1043627526.db2.gz GFNCSZFVTAYXRZ-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CCc3c2nccc3Cl)CCCC1 ZINC000926770926 1043628204 /nfs/dbraw/zinc/62/82/04/1043628204.db2.gz ILIGNGSOSORIER-LBPRGKRZSA-N 0 3 318.770 4.435 20 0 DIADHN C(c1nc(C2CCCC2)no1)N1CCC[C@@H]1C1CCCCC1 ZINC000443631023 1043628904 /nfs/dbraw/zinc/62/89/04/1043628904.db2.gz KTLYUSSDVCVNSM-MRXNPFEDSA-N 0 3 303.450 4.272 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2ccc3ccccc3c2)c2ncnn21 ZINC000926771634 1043629045 /nfs/dbraw/zinc/62/90/45/1043629045.db2.gz UTZWMEDPJKZMNG-KDOFPFPSSA-N 0 3 320.440 4.005 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cc3ccccc3[nH]2)C2CC2)cn1 ZINC000926775442 1043630501 /nfs/dbraw/zinc/63/05/01/1043630501.db2.gz XDXBWYGHWAPHMS-ZUOKHONESA-N 0 3 321.424 4.373 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1cnn(C2CCC2)c1 ZINC000926782171 1043631762 /nfs/dbraw/zinc/63/17/62/1043631762.db2.gz SSUYQAMCQRFUKV-CQSZACIVSA-N 0 3 306.413 4.007 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cnn(C(C)C)c2)C12CCCCC2 ZINC000353666383 1043631962 /nfs/dbraw/zinc/63/19/62/1043631962.db2.gz OUAKSWWCDCIKML-QZTJIDSGSA-N 0 3 319.493 4.024 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1cnn(C2CCC2)c1 ZINC000926782170 1043632095 /nfs/dbraw/zinc/63/20/95/1043632095.db2.gz SSUYQAMCQRFUKV-AWEZNQCLSA-N 0 3 306.413 4.007 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN(C)C1CCC1 ZINC000353681201 1043632366 /nfs/dbraw/zinc/63/23/66/1043632366.db2.gz AVHFCXHXVNSBDR-UHFFFAOYSA-N 0 3 317.864 4.186 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H]2CCCS2)c2ccccn2)cc1 ZINC000926788986 1043633282 /nfs/dbraw/zinc/63/32/82/1043633282.db2.gz DLRUUVUESDVNHP-PKOBYXMFSA-N 0 3 312.482 4.355 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCCS2)c2ccccn2)c1 ZINC000926789004 1043634055 /nfs/dbraw/zinc/63/40/55/1043634055.db2.gz DYOKRXQRCCPRSR-HKUYNNGSSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccnn1C1CCC1 ZINC000926810624 1043634891 /nfs/dbraw/zinc/63/48/91/1043634891.db2.gz UQFATUUQKITRDB-IACUBPJLSA-N 0 3 313.367 4.156 20 0 DIADHN CC(F)(F)C(C)(C)CN[C@H]1COCc2cccc(Cl)c21 ZINC000926802744 1043635043 /nfs/dbraw/zinc/63/50/43/1043635043.db2.gz XOBKOXHDLYZRFG-LBPRGKRZSA-N 0 3 303.780 4.182 20 0 DIADHN Clc1ccnc2c1CCC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000926818523 1043638577 /nfs/dbraw/zinc/63/85/77/1043638577.db2.gz YSGYGWSKJHLZPL-KRWDZBQOSA-N 0 3 311.816 4.384 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C[C@@H](C)C1 ZINC000443644905 1043639496 /nfs/dbraw/zinc/63/94/96/1043639496.db2.gz ZIANCGZMMABUIP-OLZOCXBDSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c2cccnc2)cc1 ZINC000926825342 1043639529 /nfs/dbraw/zinc/63/95/29/1043639529.db2.gz LWJOPXITNBWNNO-VMXMFDLUSA-N 0 3 322.452 4.027 20 0 DIADHN Clc1ccnc2c1CCC[C@@H]2NCc1cccc2ncccc12 ZINC000926833433 1043640195 /nfs/dbraw/zinc/64/01/95/1043640195.db2.gz NJAMESQBWBENGM-SFHVURJKSA-N 0 3 323.827 4.450 20 0 DIADHN C[C@@H](NCc1cccc2ncccc12)c1ccnn1CC1CCC1 ZINC000926831563 1043640732 /nfs/dbraw/zinc/64/07/32/1043640732.db2.gz DJYQLUIRAUPUHL-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(C[S@](C)=O)cc2)c(C)s1 ZINC000353794101 1043643734 /nfs/dbraw/zinc/64/37/34/1043643734.db2.gz KVUWMJDYYLRDRO-ASSNKEHSSA-N 0 3 321.511 4.094 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cnn(-c2ccc(Cl)cc2)c1 ZINC000353824362 1043649346 /nfs/dbraw/zinc/64/93/46/1043649346.db2.gz WSEHIBHHGWBICT-ZDUSSCGKSA-N 0 3 323.893 4.099 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)CCC(F)(F)F)co2)cc1 ZINC000926899751 1043649854 /nfs/dbraw/zinc/64/98/54/1043649854.db2.gz KLNGVZRSSYGHMU-LBPRGKRZSA-N 0 3 312.335 4.471 20 0 DIADHN CC(C)[C@H](NCc1cccc2cccnc21)c1ccc(F)cn1 ZINC000353839150 1043651548 /nfs/dbraw/zinc/65/15/48/1043651548.db2.gz DDLIJTQVZZQFOK-SFHVURJKSA-N 0 3 309.388 4.256 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1cnn2ccccc12 ZINC000353874114 1043652577 /nfs/dbraw/zinc/65/25/77/1043652577.db2.gz XRPUZFICGBZFBO-SFHVURJKSA-N 0 3 305.425 4.118 20 0 DIADHN CC(C)N(Cc1cccs1)Cc1ccc(N2CCCC2)nc1 ZINC000350314594 1043656305 /nfs/dbraw/zinc/65/63/05/1043656305.db2.gz LZGBSMCQURLWKO-UHFFFAOYSA-N 0 3 315.486 4.154 20 0 DIADHN CC(=O)OCc1ccc(CN2CCCC[C@@H]2c2ccccc2)o1 ZINC001141782115 1043656826 /nfs/dbraw/zinc/65/68/26/1043656826.db2.gz RDFMGXFDILMNTR-LJQANCHMSA-N 0 3 313.397 4.070 20 0 DIADHN CC(=O)OCc1ccc(CN2CCCC[C@H]2c2ccccc2)o1 ZINC001141782114 1043657688 /nfs/dbraw/zinc/65/76/88/1043657688.db2.gz RDFMGXFDILMNTR-IBGZPJMESA-N 0 3 313.397 4.070 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000522383977 1043661303 /nfs/dbraw/zinc/66/13/03/1043661303.db2.gz WEIYUISFZFOVSX-MRXNPFEDSA-N 0 3 323.383 4.112 20 0 DIADHN COc1ccc([C@H](NCCC2CC=CC2)c2ccccn2)cc1 ZINC000926951420 1043662037 /nfs/dbraw/zinc/66/20/37/1043662037.db2.gz ZKFYUMPOOKMRCS-FQEVSTJZSA-N 0 3 308.425 4.126 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(C)n1-c1ccccc1 ZINC000353945578 1043664407 /nfs/dbraw/zinc/66/44/07/1043664407.db2.gz AUNREDZRGMADDJ-HNNXBMFYSA-N 0 3 317.502 4.144 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2CCCc3ccc(C)cc32)cn1 ZINC000191520488 1043665159 /nfs/dbraw/zinc/66/51/59/1043665159.db2.gz LUKUBTSVEKXXMW-LJQANCHMSA-N 0 3 309.457 4.013 20 0 DIADHN C[C@@H](N[C@@H]1CCS[C@H](C)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000927028727 1043668486 /nfs/dbraw/zinc/66/84/86/1043668486.db2.gz NFOPONHDCCEBLA-IIAWOOMASA-N 0 3 315.486 4.022 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCS[C@@H](C)C2)c2ccccn2)cc1 ZINC000927030975 1043668567 /nfs/dbraw/zinc/66/85/67/1043668567.db2.gz VEAHNXURTFBTJW-IEZWGBDMSA-N 0 3 312.482 4.353 20 0 DIADHN C[C@@H](N[C@@H]1CCSc2ccccc21)c1ccc2n[nH]cc2c1 ZINC000353980265 1043670123 /nfs/dbraw/zinc/67/01/23/1043670123.db2.gz UEHAGOKQAFBFAU-SJKOYZFVSA-N 0 3 309.438 4.451 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccc(F)cn2)CCS1 ZINC000927031319 1043670089 /nfs/dbraw/zinc/67/00/89/1043670089.db2.gz LVSBOVGCAHVVEI-RPVQJOFSSA-N 0 3 316.445 4.184 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCS[C@@H](C)C2)c2ccccn2)c1 ZINC000927035360 1043670568 /nfs/dbraw/zinc/67/05/68/1043670568.db2.gz VLDZYTFGZHPWRZ-WDYCEAGBSA-N 0 3 312.482 4.353 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2cncs2)cc1 ZINC000166674730 1043671268 /nfs/dbraw/zinc/67/12/68/1043671268.db2.gz VKUNVYUBGDJGDG-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353999297 1043672549 /nfs/dbraw/zinc/67/25/49/1043672549.db2.gz ZZDHOGDKOCLHST-GHMZBOCLSA-N 0 3 314.458 4.302 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(CO)c(C)c2C)ccc1F ZINC001204998480 1043675215 /nfs/dbraw/zinc/67/52/15/1043675215.db2.gz QIEGALPAAONBOS-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN CC(C)c1ccc(OC2CCN(Cc3ccn(C)c3)CC2)cc1 ZINC000535804335 1043677421 /nfs/dbraw/zinc/67/74/21/1043677421.db2.gz PBXWYFPPNGMSKG-UHFFFAOYSA-N 0 3 312.457 4.192 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCSc2ccc(F)cc21)c1cnn(C)c1 ZINC000927065460 1043678672 /nfs/dbraw/zinc/67/86/72/1043678672.db2.gz HONAUIBNUCEUBL-WBVHZDCISA-N 0 3 319.449 4.083 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCSc2ccc(F)cc21)c1cnn(C)c1 ZINC000927065457 1043679146 /nfs/dbraw/zinc/67/91/46/1043679146.db2.gz HONAUIBNUCEUBL-DOTOQJQBSA-N 0 3 319.449 4.083 20 0 DIADHN CC(C)[C@H](N[C@H]1C[C@H](C)Sc2sccc21)c1cnn(C)c1 ZINC000927068621 1043680371 /nfs/dbraw/zinc/68/03/71/1043680371.db2.gz KELGSGGYHCPCDN-CQDKDKBSSA-N 0 3 321.515 4.394 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H](c1cnn(C)c1)C(C)C ZINC000927068507 1043680464 /nfs/dbraw/zinc/68/04/64/1043680464.db2.gz JOSGMCAEBUVORV-SWLSCSKDSA-N 0 3 320.506 4.356 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000927067287 1043681566 /nfs/dbraw/zinc/68/15/66/1043681566.db2.gz FNQQWXHVMLVOQZ-DLBZAZTESA-N 0 3 303.837 4.048 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccns2)C2CCC2)cc1 ZINC000927066803 1043681578 /nfs/dbraw/zinc/68/15/78/1043681578.db2.gz OFYXVOPGHAHTAY-YVEFUNNKSA-N 0 3 302.443 4.344 20 0 DIADHN CCOc1ccc2c(c1)CCN(Cc1cccc3cccnc31)C2 ZINC000354128558 1043684853 /nfs/dbraw/zinc/68/48/53/1043684853.db2.gz VDOWVLMNESVXAX-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccc(-c2cnn(C)c2)s1 ZINC000559067645 1043685163 /nfs/dbraw/zinc/68/51/63/1043685163.db2.gz FSCUHRCWRPVCPK-AWEZNQCLSA-N 0 3 323.465 4.268 20 0 DIADHN Cc1ccc([O-])c(C[N@H+]2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)n1 ZINC000559072272 1043686715 /nfs/dbraw/zinc/68/67/15/1043686715.db2.gz AOXJDOHLVVSFLQ-BCDXTJNWSA-N 0 3 308.425 4.006 20 0 DIADHN Cc1ccc([O-])c(C[N@@H+]2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)n1 ZINC000559072272 1043686721 /nfs/dbraw/zinc/68/67/21/1043686721.db2.gz AOXJDOHLVVSFLQ-BCDXTJNWSA-N 0 3 308.425 4.006 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1cc(C2CC2)nn1C ZINC000927101700 1043687231 /nfs/dbraw/zinc/68/72/31/1043687231.db2.gz MASOHKUBYJJIAO-GOSISDBHSA-N 0 3 309.457 4.082 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N2[C@@H](C)CCC[C@@H]2C)c(C)s1 ZINC000354220015 1043688283 /nfs/dbraw/zinc/68/82/83/1043688283.db2.gz QSMPVFZHRCXNSQ-NZBPQXDJSA-N 0 3 322.518 4.194 20 0 DIADHN C[C@H](NC[C@]1(c2ccccc2)CCCO1)c1cccnc1Cl ZINC000927115291 1043690897 /nfs/dbraw/zinc/69/08/97/1043690897.db2.gz NGSJCAFIFITZMG-KSSFIOAISA-N 0 3 316.832 4.092 20 0 DIADHN COc1cccc(CNCc2ccc(C(F)F)c(F)c2)c1C ZINC000895466164 1043691666 /nfs/dbraw/zinc/69/16/66/1043691666.db2.gz KAPDFLUIAWISPZ-UHFFFAOYSA-N 0 3 309.331 4.370 20 0 DIADHN Cc1ccc([C@@H](C)Nc2ccc(N3CCN(C)CC3)cc2)cc1C ZINC000777615649 1043701311 /nfs/dbraw/zinc/70/13/11/1043701311.db2.gz UADJTLPBDDBWMP-GOSISDBHSA-N 0 3 323.484 4.228 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cnc3c(F)cccc3c2)CC1 ZINC000876635488 1043702582 /nfs/dbraw/zinc/70/25/82/1043702582.db2.gz CDVAOKABSFHKCJ-UHFFFAOYSA-N 0 3 316.420 4.153 20 0 DIADHN C[C@@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)CC[C@H]1c1ccccc1 ZINC000876768501 1043712048 /nfs/dbraw/zinc/71/20/48/1043712048.db2.gz WKWWQQCEGJXJFV-RHSMWYFYSA-N 0 3 322.408 4.159 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@@H](C)c2cccnc2Cl)cc1 ZINC000126181213 1043713752 /nfs/dbraw/zinc/71/37/52/1043713752.db2.gz CBTCXGFYVUGWPD-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc(CCCN2CCc3c(Cl)cccc3C2)cn1 ZINC000474264038 1043715584 /nfs/dbraw/zinc/71/55/84/1043715584.db2.gz HBWCJAHPXBUFGZ-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN OCCCN(Cc1ccc(CF)cc1)Cc1cccc(Cl)c1 ZINC000777622952 1043716637 /nfs/dbraw/zinc/71/66/37/1043716637.db2.gz RGTONOVEWOVVGV-UHFFFAOYSA-N 0 3 321.823 4.194 20 0 DIADHN CC[C@@H](NCc1cnc2ccc(C)cn12)c1ccc(C)c(F)c1 ZINC000093113575 1043717410 /nfs/dbraw/zinc/71/74/10/1043717410.db2.gz XKLVIENAYRBKDG-GOSISDBHSA-N 0 3 311.404 4.331 20 0 DIADHN Cc1nc(CCN2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)cs1 ZINC000354830170 1043722599 /nfs/dbraw/zinc/72/25/99/1043722599.db2.gz JRIVFZYZRMLUQB-NEPJUHHUSA-N 0 3 304.434 4.141 20 0 DIADHN CC(C)OCc1ccccc1CNCc1cccc(Cl)c1N ZINC000876912746 1043722690 /nfs/dbraw/zinc/72/26/90/1043722690.db2.gz JOXOZEWZNMRHKU-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NC[C@H]1CC1(Cl)Cl ZINC000876912626 1043723643 /nfs/dbraw/zinc/72/36/43/1043723643.db2.gz WTQBHQYYLGTRPC-KCJUWKMLSA-N 0 3 306.233 4.146 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)N[C@H](C)c1c(F)cccc1F ZINC000876950401 1043725749 /nfs/dbraw/zinc/72/57/49/1043725749.db2.gz MJZMNXXHCRYJLC-DCVWQXJKSA-N 0 3 319.332 4.338 20 0 DIADHN C[C@@H]1CCN(Cc2c(C3CC3)nc3sccn23)CC12CCC2 ZINC000354921316 1043728600 /nfs/dbraw/zinc/72/86/00/1043728600.db2.gz QCKAMUGZILRSHT-CYBMUJFWSA-N 0 3 315.486 4.285 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CC1(Cl)Cl)c1nc2ccccc2[nH]1 ZINC000876986190 1043731035 /nfs/dbraw/zinc/73/10/35/1043731035.db2.gz ZDXJTBXZPBYCDU-ZWNOBZJWSA-N 0 3 312.244 4.043 20 0 DIADHN C[C@]1(CNCc2cc3c(ccnc3Cl)o2)CC1(Cl)Cl ZINC000877565195 1043777024 /nfs/dbraw/zinc/77/70/24/1043777024.db2.gz VCVUPAYYGLQIPW-GFCCVEGCSA-N 0 3 319.619 4.155 20 0 DIADHN CSC1CCN(Cc2cccc(Oc3ccccn3)c2)CC1 ZINC000895693046 1043732876 /nfs/dbraw/zinc/73/28/76/1043732876.db2.gz RVJLRFRRRMJCES-UHFFFAOYSA-N 0 3 314.454 4.201 20 0 DIADHN Clc1csc(CNCCCc2c[nH]c3ccccc23)n1 ZINC000877012336 1043733639 /nfs/dbraw/zinc/73/36/39/1043733639.db2.gz SIJBBBSTUUYMCY-UHFFFAOYSA-N 0 3 305.834 4.000 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)N[C@@H](C)c1csc(CC)n1 ZINC000877046178 1043738344 /nfs/dbraw/zinc/73/83/44/1043738344.db2.gz JVCCJUUMOVVHER-ZKTNFTSUSA-N 0 3 318.423 4.079 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCC1(C(F)F)CC1 ZINC000355132827 1043745631 /nfs/dbraw/zinc/74/56/31/1043745631.db2.gz APCBPCGMZAFBTL-UHFFFAOYSA-N 0 3 306.331 4.023 20 0 DIADHN Cc1c2cccc(C)c2oc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000053026671 1043747069 /nfs/dbraw/zinc/74/70/69/1043747069.db2.gz ZOECPNXOSMQAGG-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1cc3ccccc3n1C)CCC2 ZINC000877183122 1043754023 /nfs/dbraw/zinc/75/40/23/1043754023.db2.gz YKMUFFOQTHMZMJ-OAHLLOKOSA-N 0 3 311.454 4.110 20 0 DIADHN Brc1ccc2oc(CNC34CCC(CC3)C4)nc2c1 ZINC000877309493 1043755172 /nfs/dbraw/zinc/75/51/72/1043755172.db2.gz MCSLYRIUCYCWKM-UHFFFAOYSA-N 0 3 321.218 4.013 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(SC(F)F)cc2)cn1 ZINC000126475442 1043757125 /nfs/dbraw/zinc/75/71/25/1043757125.db2.gz ZCJOJXQRDCOVFH-LLVKDONJSA-N 0 3 324.396 4.256 20 0 DIADHN Nc1c(Cl)cccc1CN[C@@H]1CCCc2ccc(F)cc21 ZINC000877491963 1043766591 /nfs/dbraw/zinc/76/65/91/1043766591.db2.gz YYCLFUJLHRTJQH-MRXNPFEDSA-N 0 3 304.796 4.229 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cc2c(ccnc2Cl)o1 ZINC000877533078 1043770513 /nfs/dbraw/zinc/77/05/13/1043770513.db2.gz YXZZGVDEGNDILI-OAHLLOKOSA-N 0 3 315.804 4.459 20 0 DIADHN CC(F)(F)c1ccc(CNCc2cccc(Cl)c2N)cc1 ZINC000877549610 1043775006 /nfs/dbraw/zinc/77/50/06/1043775006.db2.gz PEXLQCCNVDCHCC-UHFFFAOYSA-N 0 3 310.775 4.324 20 0 DIADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1ccc(C(F)(F)F)nc1Cl ZINC000877551130 1043775470 /nfs/dbraw/zinc/77/54/70/1043775470.db2.gz WJEWAFLQFBIIRI-IWSPIJDZSA-N 0 3 324.799 4.298 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cn3ccnc3s2)s1 ZINC000895930774 1043783189 /nfs/dbraw/zinc/78/31/89/1043783189.db2.gz QBWRAAYVZYRKQN-UHFFFAOYSA-N 0 3 305.472 4.045 20 0 DIADHN c1cc2cccc3c2c(c1)CC[C@@H]3NCc1cnc(C2CC2)nc1 ZINC000895933873 1043785285 /nfs/dbraw/zinc/78/52/85/1043785285.db2.gz HMHARNCUUJOGED-IBGZPJMESA-N 0 3 315.420 4.284 20 0 DIADHN c1cc(CN[C@@H]2CCc3cccc4cccc2c43)n(CC2CC2)n1 ZINC000895935039 1043785630 /nfs/dbraw/zinc/78/56/30/1043785630.db2.gz MVALKCWBSGGPHG-HXUWFJFHSA-N 0 3 317.436 4.223 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777735381 1043786927 /nfs/dbraw/zinc/78/69/27/1043786927.db2.gz JKTINOQGWMGSLZ-FZKQIMNGSA-N 0 3 319.807 4.134 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H]2CCc3cccc4cccc2c43)s1 ZINC000895936726 1043788135 /nfs/dbraw/zinc/78/81/35/1043788135.db2.gz VKTDGKBLDZFBCS-PXAZEXFGSA-N 0 3 324.449 4.127 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H]2CCc3cccc4cccc2c43)s1 ZINC000895936732 1043788160 /nfs/dbraw/zinc/78/81/60/1043788160.db2.gz VKTDGKBLDZFBCS-YVEFUNNKSA-N 0 3 324.449 4.127 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1CNCc1nc(Cl)cs1 ZINC000877729436 1043790842 /nfs/dbraw/zinc/79/08/42/1043790842.db2.gz SFJOBRGZELIMRG-DTWKUNHWSA-N 0 3 310.772 4.031 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C2CC(OCc3ccccc3)C2)C1 ZINC000929123592 1043793030 /nfs/dbraw/zinc/79/30/30/1043793030.db2.gz BXNHLSHSPLHVPO-QQFBHYJXSA-N 0 3 313.363 4.009 20 0 DIADHN CN(C)Cc1ccc(-c2noc(CC(C)(C)CC(F)F)n2)cc1 ZINC000895966247 1043793635 /nfs/dbraw/zinc/79/36/35/1043793635.db2.gz OSKHXMPTUWBFOC-UHFFFAOYSA-N 0 3 323.387 4.022 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)N[C@@H](CF)c1ccc(F)cc1 ZINC000877759208 1043794836 /nfs/dbraw/zinc/79/48/36/1043794836.db2.gz YMZJCHDQAGUPRL-RKFVTTHHSA-N 0 3 319.332 4.149 20 0 DIADHN C[C@@H](NCc1c[nH]c2nccnc12)c1ccc(Cl)c(Cl)c1 ZINC000356137261 1043812834 /nfs/dbraw/zinc/81/28/34/1043812834.db2.gz PQHVDMGDHJJMCY-SECBINFHSA-N 0 3 321.211 4.067 20 0 DIADHN FC(F)(F)c1cscc1CN1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000356128810 1043816086 /nfs/dbraw/zinc/81/60/86/1043816086.db2.gz AXQXFWSSACAWQU-NEPJUHHUSA-N 0 3 319.392 4.015 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@H]2CC[C@H](c3ccccc3)O2)C1 ZINC000877901142 1043820263 /nfs/dbraw/zinc/82/02/63/1043820263.db2.gz HUBAAOOECOVUDV-BZUAXINKSA-N 0 3 313.363 4.181 20 0 DIADHN Cc1nc(C)c(CNC(C)(C)c2cccc(C(F)(F)F)c2)[nH]1 ZINC000929501767 1043821594 /nfs/dbraw/zinc/82/15/94/1043821594.db2.gz YENIKHFNUVYOER-UHFFFAOYSA-N 0 3 311.351 4.070 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccccc2)cnc1Br ZINC000929498494 1043823443 /nfs/dbraw/zinc/82/34/43/1043823443.db2.gz GCGAUURFBIACOP-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CC[C@H]1CCCCN1Cc1coc(-c2ccccc2OC)n1 ZINC000877933574 1043826835 /nfs/dbraw/zinc/82/68/35/1043826835.db2.gz ODQXSTSIXHCQJB-HNNXBMFYSA-N 0 3 300.402 4.115 20 0 DIADHN C=C/C=C\CCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000883268398 1043828949 /nfs/dbraw/zinc/82/89/49/1043828949.db2.gz KDKOHCXHMRYUIV-VSQXVHSFSA-N 0 3 313.264 4.079 20 0 DIADHN CO[C@H]1C[C@@H](CN2CCC[C@H]2c2ccc(Br)cc2)C1 ZINC000877984978 1043835273 /nfs/dbraw/zinc/83/52/73/1043835273.db2.gz RIXLWABFAKSREV-MAZHCROVSA-N 0 3 324.262 4.011 20 0 DIADHN FC(F)(F)C1CCN(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)CC1 ZINC000929748228 1043839592 /nfs/dbraw/zinc/83/95/92/1043839592.db2.gz OJPAERHRDZUMHB-ZIAGYGMSSA-N 0 3 319.317 4.310 20 0 DIADHN O=c1cc(CN2CCC[C@H]2c2ccccc2C(F)(F)F)cc[nH]1 ZINC000878046587 1043841136 /nfs/dbraw/zinc/84/11/36/1043841136.db2.gz FGTOXWGOJJECKG-HNNXBMFYSA-N 0 3 322.330 4.143 20 0 DIADHN Cc1ccc2cc(CN3CC4(CCC4)[C@@H]3[C@@H]3CCCO3)ccc2n1 ZINC000356529033 1043847241 /nfs/dbraw/zinc/84/72/41/1043847241.db2.gz PVQXDEGTVOTSAQ-PMACEKPBSA-N 0 3 322.452 4.077 20 0 DIADHN FC1(F)[C@@H]2C[C@@H](CN[C@H](c3ccccc3)c3ccccn3)C[C@@H]21 ZINC000929862316 1043847425 /nfs/dbraw/zinc/84/74/25/1043847425.db2.gz RQKIXDSHIHQQRU-NYCLONFESA-N 0 3 314.379 4.052 20 0 DIADHN Cc1oc2ccccc2c1CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC000356534334 1043850298 /nfs/dbraw/zinc/85/02/98/1043850298.db2.gz WVPOTKBRNAURBN-CQSZACIVSA-N 0 3 315.804 4.038 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c(C)nn1 ZINC000929892845 1043851252 /nfs/dbraw/zinc/85/12/52/1043851252.db2.gz KRJHXBBIOWJIEK-KRWDZBQOSA-N 0 3 301.409 4.110 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2cnccc2C)cc1 ZINC000356700878 1043862810 /nfs/dbraw/zinc/86/28/10/1043862810.db2.gz ROCPMVWOBKGOSH-JKSUJKDBSA-N 0 3 320.440 4.296 20 0 DIADHN C=C/C=C\CCN(Cc1nc2ccccc2c(=O)[nH]1)[C@H](C)CC ZINC000878249128 1043864292 /nfs/dbraw/zinc/86/42/92/1043864292.db2.gz KMBBKUQHMVGXFE-IDTUSYRASA-N 0 3 311.429 4.068 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c1 ZINC000289715343 1043865858 /nfs/dbraw/zinc/86/58/58/1043865858.db2.gz FYCJJPOYLMIWTO-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c1 ZINC000289715347 1043866992 /nfs/dbraw/zinc/86/69/92/1043866992.db2.gz FYCJJPOYLMIWTO-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN FC(F)(F)[C@@H]1CCN(C[C@H]2CCC[C@H](c3ccccc3)O2)C1 ZINC000878315107 1043869125 /nfs/dbraw/zinc/86/91/25/1043869125.db2.gz GHCVJKNKLQMOGT-BZUAXINKSA-N 0 3 313.363 4.181 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000289722004 1043869309 /nfs/dbraw/zinc/86/93/09/1043869309.db2.gz OMPBZKYQBGEEAB-AWEZNQCLSA-N 0 3 315.461 4.120 20 0 DIADHN FC(F)(F)[C@@H]1CCN(C[C@H]2CCC[C@@H](c3ccccc3)O2)C1 ZINC000878315108 1043871600 /nfs/dbraw/zinc/87/16/00/1043871600.db2.gz GHCVJKNKLQMOGT-OAGGEKHMSA-N 0 3 313.363 4.181 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Br)nc2)cc1 ZINC000289750056 1043871965 /nfs/dbraw/zinc/87/19/65/1043871965.db2.gz MNGATMUOFFFVJC-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1nc(Cc2ccccc2)sc1[C@H](C)NCCOC(C)C ZINC000680470343 1043877714 /nfs/dbraw/zinc/87/77/14/1043877714.db2.gz QVPRZYOJFQNQGN-AWEZNQCLSA-N 0 3 318.486 4.118 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)c(C)nn1 ZINC000930216368 1043895382 /nfs/dbraw/zinc/89/53/82/1043895382.db2.gz GHRBONKXYAGDJT-SFHVURJKSA-N 0 3 315.848 4.378 20 0 DIADHN CCN(Cc1csc(-c2ccoc2)n1)[C@H]1CCOC(C)(C)C1 ZINC000289910478 1043895511 /nfs/dbraw/zinc/89/55/11/1043895511.db2.gz TVFCJBQJEAAQLB-HNNXBMFYSA-N 0 3 320.458 4.183 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCCC2(C)C)co1 ZINC000878476259 1043896870 /nfs/dbraw/zinc/89/68/70/1043896870.db2.gz YAACAPMOLUYNJI-INIZCTEOSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCc2ccc(N)nc2)cc1 ZINC000930216134 1043897581 /nfs/dbraw/zinc/89/75/81/1043897581.db2.gz ZJIMNKYHWDOPHN-ZDUSSCGKSA-N 0 3 301.459 4.015 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(N)nc1)c1ccc(Cl)cc1 ZINC000930225332 1043900387 /nfs/dbraw/zinc/90/03/87/1043900387.db2.gz ACFKAFANEIXQAO-MRXNPFEDSA-N 0 3 303.837 4.194 20 0 DIADHN Cc1ccncc1CN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000474722228 1043901084 /nfs/dbraw/zinc/90/10/84/1043901084.db2.gz YVMWOMHFTHQMBR-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1cscc1CNCc1ccc(C)c(Br)c1 ZINC000097898137 1043904546 /nfs/dbraw/zinc/90/45/46/1043904546.db2.gz ZNSIQWFNKJUDCP-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3nccs3)C2)ccc1Cl ZINC000356994491 1043904781 /nfs/dbraw/zinc/90/47/81/1043904781.db2.gz ORAFJBXUMRHLLC-CQSZACIVSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc2ccccc21)C(=O)N1CCC(C)CC1 ZINC000098069538 1043906118 /nfs/dbraw/zinc/90/61/18/1043906118.db2.gz MCEPKUYQITVFLQ-IRXDYDNUSA-N 0 3 324.468 4.137 20 0 DIADHN c1ccc([C@H]2CC[C@@H](CN3CCC=C(c4cccnc4)C3)O2)cc1 ZINC000878617376 1043908857 /nfs/dbraw/zinc/90/88/57/1043908857.db2.gz MRZFGOFJRICSQJ-LEWJYISDSA-N 0 3 320.436 4.091 20 0 DIADHN CCCc1ccccc1NC(=O)c1cccc(CN2CCCC2)c1 ZINC000098129845 1043910243 /nfs/dbraw/zinc/91/02/43/1043910243.db2.gz ABBICYFEENATBS-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN Cc1cc(CN[C@H]2CC(C)(C)CCc3ccccc32)c(C)nn1 ZINC000930323386 1043913052 /nfs/dbraw/zinc/91/30/52/1043913052.db2.gz FMAZPBJGLZRWMP-IBGZPJMESA-N 0 3 309.457 4.287 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2nccc3ccccc32)c1 ZINC000290045185 1043913932 /nfs/dbraw/zinc/91/39/32/1043913932.db2.gz BRTBFPNPTHXUQU-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1c(Cl)cncc1Cl ZINC000878700037 1043914131 /nfs/dbraw/zinc/91/41/31/1043914131.db2.gz LRYUXEGTFFFGDK-GFCCVEGCSA-N 0 3 324.255 4.284 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@@H]3CCCC[C@@H]32)co1 ZINC000878757268 1043918909 /nfs/dbraw/zinc/91/89/09/1043918909.db2.gz GMDWZWCWTLQCHN-YOEHRIQHSA-N 0 3 312.413 4.115 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1cn(C)nc1-c1ccccc1 ZINC000561286157 1043920034 /nfs/dbraw/zinc/92/00/34/1043920034.db2.gz JEATUARCBMXDOR-INIZCTEOSA-N 0 3 317.436 4.034 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000532234118 1043920354 /nfs/dbraw/zinc/92/03/54/1043920354.db2.gz HBJXYQAZKBJSHJ-MSOLQXFVSA-N 0 3 301.817 4.041 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(Cc2cccc3nccn32)C1 ZINC000561286537 1043920722 /nfs/dbraw/zinc/92/07/22/1043920722.db2.gz JZLGFHXRHAJVCP-KDOFPFPSSA-N 0 3 309.388 4.057 20 0 DIADHN CCC(=O)CCCN1CCC(c2nc3ccccc3s2)CC1 ZINC000290151966 1043923118 /nfs/dbraw/zinc/92/31/18/1043923118.db2.gz WTQBHQCAQWKCBV-UHFFFAOYSA-N 0 3 316.470 4.235 20 0 DIADHN CC(C)COC1CCN([C@@H]2CCc3cc(Cl)ccc32)CC1 ZINC000777908045 1043924578 /nfs/dbraw/zinc/92/45/78/1043924578.db2.gz CIDVPUGZHZSEAG-GOSISDBHSA-N 0 3 307.865 4.464 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(F)nc1)c1ccc(OC)c(OC)c1 ZINC000930449604 1043928683 /nfs/dbraw/zinc/92/86/83/1043928683.db2.gz UQTVXLIKFFFWCO-SWLSCSKDSA-N 0 3 318.392 4.040 20 0 DIADHN CSCC[C@H](C)NCc1cc(Cl)ccc1Br ZINC000127409449 1043929667 /nfs/dbraw/zinc/92/96/67/1043929667.db2.gz DRAGAVZZKKMOOE-VIFPVBQESA-N 0 3 322.699 4.334 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc([C@H](C)O)s1)C(C)(C)C ZINC000290237247 1043930698 /nfs/dbraw/zinc/93/06/98/1043930698.db2.gz GITVYCIOHQYTGN-BBRMVZONSA-N 0 3 318.486 4.382 20 0 DIADHN Fc1cccc(CCN(Cc2ccc(Cl)cn2)C2CC2)c1 ZINC000561354328 1043936178 /nfs/dbraw/zinc/93/61/78/1043936178.db2.gz NVENSQNLVRQLJA-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN CCOc1cc(CN(C)[C@@H](CC)c2ccncc2)ccc1OC ZINC000290305507 1043939045 /nfs/dbraw/zinc/93/90/45/1043939045.db2.gz BRGDXNQQTSPWOJ-KRWDZBQOSA-N 0 3 314.429 4.072 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](c2ccccc2)O1)c1ccns1 ZINC000879061417 1043940874 /nfs/dbraw/zinc/94/08/74/1043940874.db2.gz CBMIDYYOQKQLEU-BPUTZDHNSA-N 0 3 302.443 4.104 20 0 DIADHN CC(C)c1nsc(CN[C@H]2CCCC[C@H]2CC(F)(F)F)n1 ZINC000930613003 1043947419 /nfs/dbraw/zinc/94/74/19/1043947419.db2.gz UBBSNHRRKPAVAI-QWRGUYRKSA-N 0 3 321.412 4.262 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000290420827 1043949931 /nfs/dbraw/zinc/94/99/31/1043949931.db2.gz USTYXKIBLMCANS-XHDPSFHLSA-N 0 3 304.340 4.021 20 0 DIADHN CN(Cc1cccc(NC(=O)c2ccc(Cl)cc2)c1)C1CC1 ZINC000179305540 1043954986 /nfs/dbraw/zinc/95/49/86/1043954986.db2.gz OWQSPNFFMZNVQB-UHFFFAOYSA-N 0 3 314.816 4.187 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(Cc2cccc3cccnc32)C1 ZINC000561487703 1043958206 /nfs/dbraw/zinc/95/82/06/1043958206.db2.gz XLUYRPPOWKZJKZ-FQEVSTJZSA-N 0 3 306.384 4.306 20 0 DIADHN Oc1cccc2sc(CN[C@@H](c3ccccn3)C3CC3)cc21 ZINC000896610955 1043959073 /nfs/dbraw/zinc/95/90/73/1043959073.db2.gz ZRJBKTGXKFRJPU-GOSISDBHSA-N 0 3 310.422 4.243 20 0 DIADHN Cc1cccc(C2(NCc3cnc([C@H](C)O)s3)CCCC2)c1 ZINC000290558197 1043961714 /nfs/dbraw/zinc/96/17/14/1043961714.db2.gz ZNALQDKJYUQXLT-AWEZNQCLSA-N 0 3 316.470 4.064 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000357556422 1043963029 /nfs/dbraw/zinc/96/30/29/1043963029.db2.gz WVZAMGHZLHHICU-SMDDNHRTSA-N 0 3 305.809 4.153 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1nccc2ccccc21 ZINC000290594366 1043967521 /nfs/dbraw/zinc/96/75/21/1043967521.db2.gz GTCUEOYRBSRVCV-OAHLLOKOSA-N 0 3 320.436 4.313 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)c1 ZINC000561575886 1043970381 /nfs/dbraw/zinc/97/03/81/1043970381.db2.gz COSGNLWELGBCDS-MSOLQXFVSA-N 0 3 321.342 4.032 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C(F)F)cc2)cc1O ZINC000290648358 1043971636 /nfs/dbraw/zinc/97/16/36/1043971636.db2.gz JXPDCVCCRVTKOC-NSHDSACASA-N 0 3 307.340 4.189 20 0 DIADHN Cc1nc(CN2CCC[C@@H](Cc3ccccc3)C2)sc1C ZINC000357712649 1043978615 /nfs/dbraw/zinc/97/86/15/1043978615.db2.gz WPAPBUJSVMPWNJ-KRWDZBQOSA-N 0 3 300.471 4.215 20 0 DIADHN CC(C)CN(Cc1ccccn1)Cc1nccc2ccccc21 ZINC000896665969 1043978754 /nfs/dbraw/zinc/97/87/54/1043978754.db2.gz UPKQNDBWZGTUJN-UHFFFAOYSA-N 0 3 305.425 4.288 20 0 DIADHN CCC(O)(CC)C(C)(C)NCc1nc(-c2ccccc2)oc1C ZINC000879697046 1043981089 /nfs/dbraw/zinc/98/10/89/1043981089.db2.gz RUHLEFJLIAJUNV-UHFFFAOYSA-N 0 3 316.445 4.069 20 0 DIADHN Clc1cccnc1CN[C@@H](Cc1cccnc1)C1CCCC1 ZINC000879675734 1043981690 /nfs/dbraw/zinc/98/16/90/1043981690.db2.gz AUJTZJIZDQRTJV-KRWDZBQOSA-N 0 3 315.848 4.021 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(-c2ccncc2)cc1 ZINC000357786095 1043985159 /nfs/dbraw/zinc/98/51/59/1043985159.db2.gz VKSKKXRFUGTLJR-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CC(F)(F)CCCN[C@H](CO)c1cccc(Cl)c1Cl ZINC000931059833 1043987896 /nfs/dbraw/zinc/98/78/96/1043987896.db2.gz QMEDSZCEDMPTRX-LLVKDONJSA-N 0 3 312.187 4.052 20 0 DIADHN Cc1nc(C)c(CNC2(c3ccc(C(F)(F)F)cc3)CCC2)[nH]1 ZINC000931099932 1043991227 /nfs/dbraw/zinc/99/12/27/1043991227.db2.gz MASDAAIWKGCFDB-UHFFFAOYSA-N 0 3 323.362 4.214 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H]([NH2+][C@@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000474937947 1043992215 /nfs/dbraw/zinc/99/22/15/1043992215.db2.gz BWUYJBPXPJGFLJ-CGTJXYLNSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H]([NH2+][C@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000474937948 1043992251 /nfs/dbraw/zinc/99/22/51/1043992251.db2.gz BWUYJBPXPJGFLJ-JQHSSLGASA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)(C)OCCCCN[C@H](c1ccccc1)c1ccccn1 ZINC000474949856 1043995020 /nfs/dbraw/zinc/99/50/20/1043995020.db2.gz DUKRABDYQDDDPG-LJQANCHMSA-N 0 3 312.457 4.356 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@H]1NC/C=C/c1ccc(F)c(F)c1 ZINC000561753484 1044001144 /nfs/dbraw/zinc/00/11/44/1044001144.db2.gz RDTATFCJAMJXPP-SWEDTNHNSA-N 0 3 301.336 4.090 20 0 DIADHN C[C@@H]1CN(Cc2cccc(-c3cccnc3)c2)CCC1(F)F ZINC000290999079 1044006609 /nfs/dbraw/zinc/00/66/09/1044006609.db2.gz AQMMQLVWEXVWDM-CQSZACIVSA-N 0 3 302.368 4.226 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(-c2csc(C)n2)o1 ZINC000358018895 1044006899 /nfs/dbraw/zinc/00/68/99/1044006899.db2.gz JWQSTFHEVYFXNC-ZDUSSCGKSA-N 0 3 308.447 4.006 20 0 DIADHN O=[S@](CCN1CCCC[C@H]1c1ccccc1)c1ccccc1 ZINC000358031520 1044009481 /nfs/dbraw/zinc/00/94/81/1044009481.db2.gz IEMMGCBWZSDQMJ-SIKLNZKXSA-N 0 3 313.466 4.021 20 0 DIADHN C[C@@H]1C[C@H](NCCOc2ccccc2F)c2ccsc2S1 ZINC000358082011 1044017470 /nfs/dbraw/zinc/01/74/70/1044017470.db2.gz IRVIKUDSDZQYPU-RISCZKNCSA-N 0 3 323.458 4.481 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1ccc2ccccc2n1 ZINC000358099301 1044019283 /nfs/dbraw/zinc/01/92/83/1044019283.db2.gz QBRCQUYXKDWDOH-KGLIPLIRSA-N 0 3 314.429 4.006 20 0 DIADHN COc1ccc2ccccc2c1CCNCc1cc(C2CC2)no1 ZINC000896813862 1044019348 /nfs/dbraw/zinc/01/93/48/1044019348.db2.gz CQNZWNWPCHSCBQ-UHFFFAOYSA-N 0 3 322.408 4.046 20 0 DIADHN CC[C@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1cc(F)ccc1F ZINC000358098394 1044020576 /nfs/dbraw/zinc/02/05/76/1044020576.db2.gz OPMYLPRENUXDFR-NHYWBVRUSA-N 0 3 313.388 4.126 20 0 DIADHN CC[C@@H](CCO)CN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291141751 1044028285 /nfs/dbraw/zinc/02/82/85/1044028285.db2.gz HRQPKTNPJARMLI-OLZOCXBDSA-N 0 3 322.449 4.008 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)c2ccc3c(c2)CNC3)cc1 ZINC000648065928 1044030106 /nfs/dbraw/zinc/03/01/06/1044030106.db2.gz SLTSSTNGCBQZGA-UHFFFAOYSA-N 0 3 322.452 4.078 20 0 DIADHN CCC(CC)N(C(=O)c1cccc(CN2CCCC2)c1)C1CC1 ZINC000522515378 1044031939 /nfs/dbraw/zinc/03/19/39/1044031939.db2.gz SCGGPULCMFREEX-UHFFFAOYSA-N 0 3 314.473 4.076 20 0 DIADHN CC(C)[N@H+](Cc1cccc(C(F)(F)F)c1)Cc1ncccc1[O-] ZINC000561943203 1044033232 /nfs/dbraw/zinc/03/32/32/1044033232.db2.gz KVYDQGRWFKRYCB-UHFFFAOYSA-N 0 3 324.346 4.217 20 0 DIADHN CC(C)[N@@H+](Cc1cccc(C(F)(F)F)c1)Cc1ncccc1[O-] ZINC000561943203 1044033250 /nfs/dbraw/zinc/03/32/50/1044033250.db2.gz KVYDQGRWFKRYCB-UHFFFAOYSA-N 0 3 324.346 4.217 20 0 DIADHN Cc1ncsc1CN1CCCSC[C@H]1c1ccccc1 ZINC000880387365 1044035391 /nfs/dbraw/zinc/03/53/91/1044035391.db2.gz IFDBOXQGFFRBEG-HNNXBMFYSA-N 0 3 304.484 4.132 20 0 DIADHN Cc1cnccc1CNC(C)(C)c1ccc(C(F)(F)F)cc1 ZINC000880385858 1044035626 /nfs/dbraw/zinc/03/56/26/1044035626.db2.gz XESGPMMLFUTIIE-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC000561951989 1044035805 /nfs/dbraw/zinc/03/58/05/1044035805.db2.gz YTLPTFQQQQSKPD-JXOMPUQVSA-N 0 3 309.457 4.187 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)Cc2occc21)c1ccc2c(c1)OCO2 ZINC000358225516 1044036774 /nfs/dbraw/zinc/03/67/74/1044036774.db2.gz SLODQOHZNZPZOZ-DOMZBBRYSA-N 0 3 313.397 4.373 20 0 DIADHN CC1(C)CN(CCCO[C@H]2CCCCO2)[C@H]1c1cccs1 ZINC000561964450 1044039913 /nfs/dbraw/zinc/03/99/13/1044039913.db2.gz SYJJJLWNGDQEGR-HOTGVXAUSA-N 0 3 309.475 4.064 20 0 DIADHN C[C@@H](N[C@H]1CSc2ccccc21)c1ccc(-n2ccnc2)cc1 ZINC000358240716 1044040378 /nfs/dbraw/zinc/04/03/78/1044040378.db2.gz RQPBKCCPZXMYCK-KDOFPFPSSA-N 0 3 321.449 4.370 20 0 DIADHN CC[C@@H](NCc1csc(C2CCCC2)n1)[C@H]1CCCOC1 ZINC000880466549 1044041437 /nfs/dbraw/zinc/04/14/37/1044041437.db2.gz OXYOUBZLDJNSEZ-GOEBONIOSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@H](NCc1csc(C2CCCC2)n1)[C@H]1CCCOC1 ZINC000880466550 1044042009 /nfs/dbraw/zinc/04/20/09/1044042009.db2.gz OXYOUBZLDJNSEZ-HOCLYGCPSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@@H](NCc1ccccc1CF)c1cccc(OC)c1OC ZINC000931533149 1044042445 /nfs/dbraw/zinc/04/24/45/1044042445.db2.gz UKKBWULJAANXOU-QGZVFWFLSA-N 0 3 317.404 4.414 20 0 DIADHN Cn1cc(CN[C@@H]2CCC(C)(C)c3ccccc32)c(C(F)F)n1 ZINC000291217779 1044042883 /nfs/dbraw/zinc/04/28/83/1044042883.db2.gz OQCWTFDWMBYBDB-OAHLLOKOSA-N 0 3 319.399 4.260 20 0 DIADHN CCOCCCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291233002 1044046408 /nfs/dbraw/zinc/04/64/08/1044046408.db2.gz OEZYHMKPXQMWAF-ZDUSSCGKSA-N 0 3 322.449 4.417 20 0 DIADHN Clc1cc2ccccc2c(CN[C@@H]2CCCn3nccc32)c1 ZINC000931570394 1044046677 /nfs/dbraw/zinc/04/66/77/1044046677.db2.gz KBYMBINPJCIBTA-QGZVFWFLSA-N 0 3 311.816 4.314 20 0 DIADHN Cc1cc(CN[C@H](Cn2ccnc2)c2ccccc2)c(C)s1 ZINC000880572179 1044047754 /nfs/dbraw/zinc/04/77/54/1044047754.db2.gz YDAPKINXGSCQTG-GOSISDBHSA-N 0 3 311.454 4.093 20 0 DIADHN c1cn(C[C@H](NCc2ccc(C3CC3)cc2)c2ccccc2)cn1 ZINC000880569603 1044049944 /nfs/dbraw/zinc/04/99/44/1044049944.db2.gz MILRFBRHFWAPQE-NRFANRHFSA-N 0 3 317.436 4.292 20 0 DIADHN COCCCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291264749 1044050496 /nfs/dbraw/zinc/05/04/96/1044050496.db2.gz QFWRROHASZTELE-LBPRGKRZSA-N 0 3 308.422 4.026 20 0 DIADHN COc1ccccc1O[C@@H]1CCCN(Cc2cc(C)cs2)C1 ZINC001137156988 1044050571 /nfs/dbraw/zinc/05/05/71/1044050571.db2.gz HHUAOEMKRDSDSI-OAHLLOKOSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1csc(CN2CCC(Oc3ccc(F)cc3)CC2)c1 ZINC001137157159 1044050922 /nfs/dbraw/zinc/05/09/22/1044050922.db2.gz NEUKHNKPWLKOGH-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN CCC(CC)n1ccc(CN(CC)[C@@H]2CCOC(C)(C)C2)n1 ZINC000562043441 1044055015 /nfs/dbraw/zinc/05/50/15/1044055015.db2.gz BHXPYOQDNCISKX-QGZVFWFLSA-N 0 3 307.482 4.024 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(c1)COC3)CCCO2 ZINC000358321240 1044055150 /nfs/dbraw/zinc/05/51/50/1044055150.db2.gz RKJYILFODMBCTE-LJQANCHMSA-N 0 3 309.409 4.029 20 0 DIADHN COCC(C)(C)CCCN[C@@H](c1ccco1)c1ccccc1 ZINC000562059433 1044057783 /nfs/dbraw/zinc/05/77/83/1044057783.db2.gz UKAJCXGRFBFDCS-GOSISDBHSA-N 0 3 301.430 4.411 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](c1cccnc1)C1CC1)CCC2 ZINC000358355948 1044058415 /nfs/dbraw/zinc/05/84/15/1044058415.db2.gz SXMCKRNUXDLRRT-PMACEKPBSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000358357674 1044060502 /nfs/dbraw/zinc/06/05/02/1044060502.db2.gz RAIDYDMNDUXIEF-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H](c1cccnc1)C1CC1)CCS2 ZINC000358371776 1044061424 /nfs/dbraw/zinc/06/14/24/1044061424.db2.gz ACZGBORLXTWGNH-FUHWJXTLSA-N 0 3 314.429 4.499 20 0 DIADHN C[C@H](N[C@H]1CCOC[C@H]1C)c1csc(-c2ccccc2F)n1 ZINC000291348724 1044061913 /nfs/dbraw/zinc/06/19/13/1044061913.db2.gz XDPXRIOECBIUDF-XUJVJEKNSA-N 0 3 320.433 4.025 20 0 DIADHN C[C@H](N[C@H]1CCOC[C@@H]1C)c1csc(-c2ccccc2F)n1 ZINC000291348718 1044062669 /nfs/dbraw/zinc/06/26/69/1044062669.db2.gz XDPXRIOECBIUDF-HUBLWGQQSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000280967561 1044085427 /nfs/dbraw/zinc/08/54/27/1044085427.db2.gz LBJKCHKWAPOBBK-NOLJZWGESA-N 0 3 301.821 4.035 20 0 DIADHN FCc1ccccc1CN1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC000931910801 1044086162 /nfs/dbraw/zinc/08/61/62/1044086162.db2.gz SUMXLGZUCGCFLC-ZDUSSCGKSA-N 0 3 305.290 4.023 20 0 DIADHN COc1ccc(CN[C@@H](c2cccnc2)C2CCC2)cc1Cl ZINC000281015209 1044089304 /nfs/dbraw/zinc/08/93/04/1044089304.db2.gz YYHIXYFOQYTZIM-GOSISDBHSA-N 0 3 316.832 4.375 20 0 DIADHN c1nc(C2CCCCC2)sc1CNCCOCC1CCC1 ZINC000562279315 1044093783 /nfs/dbraw/zinc/09/37/83/1044093783.db2.gz PZIQGHLPNLSPFE-UHFFFAOYSA-N 0 3 308.491 4.097 20 0 DIADHN Cc1nc2ccc(CN(Cc3ccccn3)C(C)C)cc2s1 ZINC000562342973 1044103413 /nfs/dbraw/zinc/10/34/13/1044103413.db2.gz ZYSHGOHYOIJYBF-UHFFFAOYSA-N 0 3 311.454 4.410 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(c2ccn(C)n2)CC1 ZINC000932145182 1044103984 /nfs/dbraw/zinc/10/39/84/1044103984.db2.gz FVVIJVJAPROREP-ZDUSSCGKSA-N 0 3 303.837 4.014 20 0 DIADHN CSC1(CNCc2csc(-c3ccc(Cl)cc3)n2)CC1 ZINC000562414459 1044112747 /nfs/dbraw/zinc/11/27/47/1044112747.db2.gz YCTFSBRGHUSRAR-UHFFFAOYSA-N 0 3 324.902 4.449 20 0 DIADHN C[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C(C)(C)C)o1 ZINC000932279594 1044116331 /nfs/dbraw/zinc/11/63/31/1044116331.db2.gz QFRGGBCFDYZREI-ZBFHGGJFSA-N 0 3 313.445 4.135 20 0 DIADHN CCCOc1ccc(CN2CC[C@H](CC(F)(F)F)C2)cc1 ZINC000562471098 1044118018 /nfs/dbraw/zinc/11/80/18/1044118018.db2.gz MBAXDECRVCVEDJ-CQSZACIVSA-N 0 3 301.352 4.250 20 0 DIADHN c1cnc([C@H](NCCCc2cccc3ccccc32)C2CC2)nc1 ZINC000932360580 1044122923 /nfs/dbraw/zinc/12/29/23/1044122923.db2.gz MQNUOEINJFXRDB-HXUWFJFHSA-N 0 3 317.436 4.303 20 0 DIADHN CC[C@H](NCc1cc2c(cc(C)cc2C)[nH]1)c1c(C)nn(C)c1C ZINC000359023501 1044123593 /nfs/dbraw/zinc/12/35/93/1044123593.db2.gz ZXKCVMAJUKCDDJ-SFHVURJKSA-N 0 3 324.472 4.376 20 0 DIADHN CC(C)O[C@H](CN[C@@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000932385790 1044124534 /nfs/dbraw/zinc/12/45/34/1044124534.db2.gz MHXBJVXTFAVYJY-WOJBJXKFSA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)c1ccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)cc1 ZINC000292018188 1044127523 /nfs/dbraw/zinc/12/75/23/1044127523.db2.gz CCACJISQPAXZSY-GOSISDBHSA-N 0 3 312.461 4.339 20 0 DIADHN FC(F)c1ccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)cc1 ZINC000292110980 1044137878 /nfs/dbraw/zinc/13/78/78/1044137878.db2.gz KFECHLGVWHYWIF-OAHLLOKOSA-N 0 3 320.387 4.154 20 0 DIADHN CC(C)N(Cc1cc(-c2cnn(C)c2)no1)[C@@H](C)c1ccccc1 ZINC000292131917 1044142052 /nfs/dbraw/zinc/14/20/52/1044142052.db2.gz IUNOUVIBCLALEI-HNNXBMFYSA-N 0 3 324.428 4.047 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1cnc(C(F)(F)F)s1 ZINC000443978612 1044143781 /nfs/dbraw/zinc/14/37/81/1044143781.db2.gz IILZUGAKGLUPIK-SECBINFHSA-N 0 3 318.339 4.022 20 0 DIADHN FC(F)(F)c1ncc(CN[C@H]2C[C@H]2C2CCCCC2)s1 ZINC000443984078 1044145842 /nfs/dbraw/zinc/14/58/42/1044145842.db2.gz FUJAFYXADNCBRB-RYUDHWBXSA-N 0 3 304.381 4.220 20 0 DIADHN FC(F)(F)c1ncc(CNCCSc2ccccc2)s1 ZINC000443992628 1044148876 /nfs/dbraw/zinc/14/88/76/1044148876.db2.gz ZSSJYXFHLUIMGG-UHFFFAOYSA-N 0 3 318.389 4.044 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3c(C4CC4)nc4sccn34)C2)C1 ZINC000359310250 1044154970 /nfs/dbraw/zinc/15/49/70/1044154970.db2.gz RPEDKCWCGSYQME-UGSOOPFHSA-N 0 3 315.486 4.285 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1nc2ccccc2n1C(F)F ZINC000444041215 1044157463 /nfs/dbraw/zinc/15/74/63/1044157463.db2.gz XXWYQSATIWPTAI-JSGCOSHPSA-N 0 3 307.388 4.442 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC000292287228 1044158503 /nfs/dbraw/zinc/15/85/03/1044158503.db2.gz VAJPAAMZCBLEJD-SFHVURJKSA-N 0 3 324.428 4.271 20 0 DIADHN Cc1ccc(NC(=O)c2ccsc2C(F)F)c(CN(C)C)c1 ZINC000932805589 1044161726 /nfs/dbraw/zinc/16/17/26/1044161726.db2.gz FBRUYWWFZKQYNJ-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN Cc1cc(CN[C@@H](c2cncc(F)c2)C2CC2)ccc1Cl ZINC000281654186 1044172454 /nfs/dbraw/zinc/17/24/54/1044172454.db2.gz PWIYXIUSBRUTME-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN CC1(C)C[C@@H](c2ccccc2)CN1Cc1nnc(-c2ccco2)o1 ZINC000932906071 1044175322 /nfs/dbraw/zinc/17/53/22/1044175322.db2.gz RAMKPMUVLKOKCO-OAHLLOKOSA-N 0 3 323.396 4.098 20 0 DIADHN CSC[C@H]1CCCN(Cc2nc(-c3ccccc3)oc2C)C1 ZINC000292490580 1044177178 /nfs/dbraw/zinc/17/71/78/1044177178.db2.gz SXAZQQCHRYZIIX-HNNXBMFYSA-N 0 3 316.470 4.225 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCOC2CCC2)CC1 ZINC000346980407 1044177471 /nfs/dbraw/zinc/17/74/71/1044177471.db2.gz WEUXCIJPENJXQR-UHFFFAOYSA-N 0 3 310.441 4.135 20 0 DIADHN CC[C@H](NC[C@@H](c1ccsc1)N(C)C)c1ccc(F)cc1F ZINC000359666002 1044187768 /nfs/dbraw/zinc/18/77/68/1044187768.db2.gz UZWXJYVJTLVOBH-IRXDYDNUSA-N 0 3 324.440 4.370 20 0 DIADHN COc1cc(CCN[C@@H](C)c2nc(C)sc2C)ccc1Cl ZINC000645503634 1044195252 /nfs/dbraw/zinc/19/52/52/1044195252.db2.gz VLWFKVSJSHFMQQ-JTQLQIEISA-N 0 3 324.877 4.315 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2nccn2-c2ccccc2)C1 ZINC000359746033 1044196744 /nfs/dbraw/zinc/19/67/44/1044196744.db2.gz GVLJJBRZUGTYKV-DOTOQJQBSA-N 0 3 315.486 4.026 20 0 DIADHN CC[C@@H](NCc1cccc(Cl)c1N)c1ccc(OC)cc1 ZINC000882880586 1044198250 /nfs/dbraw/zinc/19/82/50/1044198250.db2.gz ZJPXRYIMOBFNLM-MRXNPFEDSA-N 0 3 304.821 4.172 20 0 DIADHN CSc1ccc([C@H](C)NC[C@H](O)c2ccccc2F)cc1F ZINC000130344629 1044198952 /nfs/dbraw/zinc/19/89/52/1044198952.db2.gz CTMPMDIKFARGJS-ZBEGNZNMSA-N 0 3 323.408 4.071 20 0 DIADHN C[C@@H](NCc1cnc2ccccc2c1)c1ccc2c(c1)CCO2 ZINC000933488530 1044199829 /nfs/dbraw/zinc/19/98/29/1044199829.db2.gz KNHZYQNEWDLXDK-CQSZACIVSA-N 0 3 304.393 4.021 20 0 DIADHN CC(C)(C)[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccccc1 ZINC000882887731 1044201025 /nfs/dbraw/zinc/20/10/25/1044201025.db2.gz DEUVAVLJSNISFH-KRWDZBQOSA-N 0 3 311.381 4.263 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1c2ccccc2C[C@@H]1C)c1ccccc1 ZINC000347170683 1044203736 /nfs/dbraw/zinc/20/37/36/1044203736.db2.gz YFCMXYHTEVIJSZ-BXWFABGCSA-N 0 3 322.452 4.094 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2NC1(c2ccc3c(c2)OCO3)CC1 ZINC000347157795 1044204664 /nfs/dbraw/zinc/20/46/64/1044204664.db2.gz UFNXNLXCRVXOGE-AWEZNQCLSA-N 0 3 313.422 4.133 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccco1)NCc1ccc(-c2ccccc2)o1 ZINC000130586628 1044208289 /nfs/dbraw/zinc/20/82/89/1044208289.db2.gz QKQHNDORFJSZAX-WMLDXEAASA-N 0 3 311.381 4.141 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)NCc1cccc(Cl)c1Cl ZINC000130617637 1044209243 /nfs/dbraw/zinc/20/92/43/1044209243.db2.gz REVLKYWVRZYALT-MFKMUULPSA-N 0 3 314.212 4.188 20 0 DIADHN Cc1ncc(CN2CCC[C@H]2Cc2ccccc2Cl)s1 ZINC000347241818 1044212856 /nfs/dbraw/zinc/21/28/56/1044212856.db2.gz BKTZVOUHKJELBC-AWEZNQCLSA-N 0 3 306.862 4.312 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2sc(C)nc2C)c1C ZINC000883018691 1044214456 /nfs/dbraw/zinc/21/44/56/1044214456.db2.gz LXCNYYLSUPMRLO-UHFFFAOYSA-N 0 3 318.486 4.121 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)C2CCOCC2)o1 ZINC000883003502 1044214796 /nfs/dbraw/zinc/21/47/96/1044214796.db2.gz SQUACWLFXHNKNR-SFHVURJKSA-N 0 3 317.454 4.259 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2coc(C)c2)cc1 ZINC000187246060 1044215727 /nfs/dbraw/zinc/21/57/27/1044215727.db2.gz HHZWTVOJCXOMHI-SJKOYZFVSA-N 0 3 324.380 4.063 20 0 DIADHN CCC[C@@](C)(NCc1cn(C)nc1C(F)F)c1ccccc1 ZINC000934181524 1044230521 /nfs/dbraw/zinc/23/05/21/1044230521.db2.gz CAQISBTVPWCCRG-QGZVFWFLSA-N 0 3 307.388 4.163 20 0 DIADHN Cc1cc(Br)ccc1[C@@H](C)N[C@@H](C)c1ccc[nH]1 ZINC000883209218 1044234495 /nfs/dbraw/zinc/23/44/95/1044234495.db2.gz XSNKUQQGTUNEHB-NEPJUHHUSA-N 0 3 307.235 4.497 20 0 DIADHN CC[C@@H](NCc1ccc2cncn2c1)c1sccc1Cl ZINC000883228695 1044238319 /nfs/dbraw/zinc/23/83/19/1044238319.db2.gz RPUROJBDVMEWAE-CQSZACIVSA-N 0 3 305.834 4.290 20 0 DIADHN CCSc1ccc(CN[C@@H](C)c2ccnc(OC)c2)cc1 ZINC000883244996 1044243417 /nfs/dbraw/zinc/24/34/17/1044243417.db2.gz BIPMCTSCDRZVHB-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN CCSc1ccc(CN[C@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000883245061 1044243969 /nfs/dbraw/zinc/24/39/69/1044243969.db2.gz CSMJZSJGRHBNKI-CYBMUJFWSA-N 0 3 317.454 4.364 20 0 DIADHN Clc1nc2ccccc2cc1CN[C@H]1CCOC12CCCC2 ZINC000934392776 1044245061 /nfs/dbraw/zinc/24/50/61/1044245061.db2.gz CJLMPJVQDXCPDL-INIZCTEOSA-N 0 3 316.832 4.080 20 0 DIADHN CC1(CN[C@@H](c2ccc(F)cc2)c2ccccn2)CC(F)(F)C1 ZINC000883256436 1044246129 /nfs/dbraw/zinc/24/61/29/1044246129.db2.gz XOJPDOQUTSFYRT-INIZCTEOSA-N 0 3 320.358 4.335 20 0 DIADHN CC1(CNCc2csc(-c3ccsc3)n2)CC(F)(F)C1 ZINC000883250184 1044246460 /nfs/dbraw/zinc/24/64/60/1044246460.db2.gz GKKCVJBYHGUGNN-UHFFFAOYSA-N 0 3 314.426 4.397 20 0 DIADHN FCCC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(C(F)(F)F)cn1 ZINC000934453901 1044250058 /nfs/dbraw/zinc/25/00/58/1044250058.db2.gz ZNNBJTSRTRZKCS-PHTAMDTFSA-N 0 3 316.342 4.203 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1ccnc2ccccc12 ZINC000883258950 1044250015 /nfs/dbraw/zinc/25/00/15/1044250015.db2.gz VYYPTGBETLJSAJ-UHFFFAOYSA-N 0 3 318.420 4.411 20 0 DIADHN CC[C@H](NCc1ccccc1OCCOC)c1ccc(C)cc1 ZINC000360242862 1044251016 /nfs/dbraw/zinc/25/10/16/1044251016.db2.gz RQWPRLIYHJKVFY-IBGZPJMESA-N 0 3 313.441 4.261 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](O)c2cc(Cl)cc(Cl)c2)o1 ZINC000131343212 1044251481 /nfs/dbraw/zinc/25/14/81/1044251481.db2.gz QTVFHJODDCKLKH-HZMBPMFUSA-N 0 3 314.212 4.279 20 0 DIADHN FC1(F)CCC[C@H]1CCNCc1coc(-c2ccccc2)n1 ZINC000883265866 1044252329 /nfs/dbraw/zinc/25/23/29/1044252329.db2.gz MVALCKNLLJTGDA-AWEZNQCLSA-N 0 3 306.356 4.257 20 0 DIADHN CC[C@@H](NCc1ccnc(-c2ccccn2)n1)c1ccc(C)cc1 ZINC000883292185 1044259967 /nfs/dbraw/zinc/25/99/67/1044259967.db2.gz GHMIVDIXWMGLCN-GOSISDBHSA-N 0 3 318.424 4.088 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000563419795 1044260300 /nfs/dbraw/zinc/26/03/00/1044260300.db2.gz ZGEUOMAWFCWTGQ-SUMWQHHRSA-N 0 3 301.409 4.362 20 0 DIADHN Cc1nc(CN[C@@H](CC(C)(C)C)c2ccc(Cl)cc2)n[nH]1 ZINC000293213186 1044260616 /nfs/dbraw/zinc/26/06/16/1044260616.db2.gz POKOOCWZIOFRJV-AWEZNQCLSA-N 0 3 306.841 4.034 20 0 DIADHN CC(C)[C@@H](NCc1ccnc(-c2ccccn2)n1)c1ccccc1 ZINC000883293181 1044261343 /nfs/dbraw/zinc/26/13/43/1044261343.db2.gz LZSXADJQLTXNQY-LJQANCHMSA-N 0 3 318.424 4.026 20 0 DIADHN COc1cc([C@H](C)NCc2[nH]c(C)nc2C)cc2ccccc21 ZINC000934631851 1044262929 /nfs/dbraw/zinc/26/29/29/1044262929.db2.gz YQOIIMKQVIJRPO-LBPRGKRZSA-N 0 3 309.413 4.039 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1nnc(-c2cccc3ccccc32)o1 ZINC000934617702 1044264596 /nfs/dbraw/zinc/26/45/96/1044264596.db2.gz MNKYOYVAWLYLCA-RDTXWAMCSA-N 0 3 319.408 4.334 20 0 DIADHN Brc1ccc(CN[C@@H](c2ccncc2)C2CC2)cc1 ZINC000934686028 1044269364 /nfs/dbraw/zinc/26/93/64/1044269364.db2.gz KIUKATXYZMUEGX-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccccn1 ZINC000563485974 1044269682 /nfs/dbraw/zinc/26/96/82/1044269682.db2.gz PBMAQTMAZFIONP-ZFWWWQNUSA-N 0 3 308.347 4.153 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000475115922 1044279091 /nfs/dbraw/zinc/27/90/91/1044279091.db2.gz DDVDKZANMUMODR-QRFRQXIXSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CC[C@H](C(F)(F)F)C1 ZINC001141988321 1044280440 /nfs/dbraw/zinc/28/04/40/1044280440.db2.gz PYBOEPUAISJTHU-ZDUSSCGKSA-N 0 3 310.319 4.034 20 0 DIADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000475149521 1044284430 /nfs/dbraw/zinc/28/44/30/1044284430.db2.gz PEISVXBJYUGOCW-RDBSUJKOSA-N 0 3 301.459 4.072 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCCC[C@@H]3C)n2)ccc1F ZINC000475151204 1044285494 /nfs/dbraw/zinc/28/54/94/1044285494.db2.gz NFZXUZDHODXBBR-DETPVDSQSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H]3CCCC[C@@H]3C(C)C)o2)cc1 ZINC000475158032 1044287629 /nfs/dbraw/zinc/28/76/29/1044287629.db2.gz WHQAFJKZZXXUNI-IAGOWNOFSA-N 0 3 313.445 4.349 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)cc1 ZINC000360589807 1044289150 /nfs/dbraw/zinc/28/91/50/1044289150.db2.gz XKCQALKXHGPMQC-UZLBHIALSA-N 0 3 324.468 4.119 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1N[C@H]1CCOC2(CCCC2)C1 ZINC000883377575 1044290323 /nfs/dbraw/zinc/29/03/23/1044290323.db2.gz LFLDJPYGZSPCFS-JQHSSLGASA-N 0 3 303.421 4.093 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)cc1C ZINC000360602194 1044290833 /nfs/dbraw/zinc/29/08/33/1044290833.db2.gz ZHICXSNJLNNLIE-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN CC1(C)CC[C@@H](NCc2ccc(CO)c(F)c2)c2ccccc21 ZINC000360646965 1044293142 /nfs/dbraw/zinc/29/31/42/1044293142.db2.gz LBWGTLFCBDCYSS-LJQANCHMSA-N 0 3 313.416 4.220 20 0 DIADHN CC1(C)CC[C@H](N[C@@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000360648464 1044294093 /nfs/dbraw/zinc/29/40/93/1044294093.db2.gz QYCDWLQJHNGFJS-SLFFLAALSA-N 0 3 307.437 4.047 20 0 DIADHN Oc1cccc2sc(CN[C@H]3CCCc4cccnc43)cc21 ZINC000897072242 1044296688 /nfs/dbraw/zinc/29/66/88/1044296688.db2.gz GYESLZXNTMHOPA-HNNXBMFYSA-N 0 3 310.422 4.169 20 0 DIADHN C[C@@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000563654176 1044297204 /nfs/dbraw/zinc/29/72/04/1044297204.db2.gz CLFPFBVZYKPVPA-CZUORRHYSA-N 0 3 323.358 4.464 20 0 DIADHN CC[C@@H](N[C@H](Cn1ccnc1)c1ccccc1)c1cccs1 ZINC000883386995 1044299655 /nfs/dbraw/zinc/29/96/55/1044299655.db2.gz PXLKKEYARIVVAN-IAGOWNOFSA-N 0 3 311.454 4.427 20 0 DIADHN CC[C@H](N[C@H](Cn1ccnc1)c1ccccc1)c1cccs1 ZINC000883386994 1044299919 /nfs/dbraw/zinc/29/99/19/1044299919.db2.gz PXLKKEYARIVVAN-DLBZAZTESA-N 0 3 311.454 4.427 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387296 1044301039 /nfs/dbraw/zinc/30/10/39/1044301039.db2.gz VFXKRETWJXNGMN-VQTJNVASSA-N 0 3 321.399 4.041 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](Cn2ccnc2)c2ccccc2)cc1 ZINC000883386276 1044301336 /nfs/dbraw/zinc/30/13/36/1044301336.db2.gz CSAHYHFJBLPUMC-FXAWDEMLSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387575 1044302542 /nfs/dbraw/zinc/30/25/42/1044302542.db2.gz ZUXIPIMOHFAEHV-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN Fc1ccc(CN[C@H](C[C@@H]2CCOC2)c2ccc(F)cc2)cc1 ZINC000293582048 1044302879 /nfs/dbraw/zinc/30/28/79/1044302879.db2.gz YPWJHXPBBRLMSU-HNAYVOBHSA-N 0 3 317.379 4.222 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ncc[nH]1 ZINC000563693833 1044303267 /nfs/dbraw/zinc/30/32/67/1044303267.db2.gz RTTUSJFOZRDJGL-GWCFXTLKSA-N 0 3 312.244 4.388 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)N[C@@H]2CCCc3c2cnn3C)cc1 ZINC000192726232 1044306130 /nfs/dbraw/zinc/30/61/30/1044306130.db2.gz XSVOYAKOLAIODT-DNVCBOLYSA-N 0 3 311.473 4.347 20 0 DIADHN Cc1nnsc1CN[C@@H]1CCCc2c3ccccc3sc21 ZINC000293700774 1044314655 /nfs/dbraw/zinc/31/46/55/1044314655.db2.gz OIKDDAMMSVGWOA-CYBMUJFWSA-N 0 3 315.467 4.228 20 0 DIADHN Cc1sccc1CN[C@@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000293713844 1044317587 /nfs/dbraw/zinc/31/75/87/1044317587.db2.gz XZMPABCQNABPMK-GOSISDBHSA-N 0 3 315.438 4.069 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@@H](CO)[C@H]1C ZINC000475202860 1044323141 /nfs/dbraw/zinc/32/31/41/1044323141.db2.gz BJMCADJPBBDADO-OLZOCXBDSA-N 0 3 313.894 4.043 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(O)c1)c1ccc(OC(F)F)cc1 ZINC000192845162 1044329069 /nfs/dbraw/zinc/32/90/69/1044329069.db2.gz ZDWHQUAQHJBWKA-VXGBXAGGSA-N 0 3 307.340 4.405 20 0 DIADHN CNC(=O)C[C@H](N[C@H]1CC[C@@H](C)c2ccccc21)c1ccccc1 ZINC000360922548 1044329386 /nfs/dbraw/zinc/32/93/86/1044329386.db2.gz RPGSULOOVLBTEK-XPGWFJOJSA-N 0 3 322.452 4.092 20 0 DIADHN CNC(=O)C[C@H](N[C@@H]1CC[C@H](C)c2ccccc21)c1ccccc1 ZINC000360922547 1044329791 /nfs/dbraw/zinc/32/97/91/1044329791.db2.gz RPGSULOOVLBTEK-BEVDRBHNSA-N 0 3 322.452 4.092 20 0 DIADHN CC1(C)CCC[C@]1(O)CNCc1c(Cl)cccc1Cl ZINC001202554568 1044331275 /nfs/dbraw/zinc/33/12/75/1044331275.db2.gz JUJAWHXFSCVZPN-HNNXBMFYSA-N 0 3 302.245 4.024 20 0 DIADHN c1ccc2c(c1)CCCC[C@@H]2NCc1ccc(OCC2CC2)nc1 ZINC000360945467 1044335269 /nfs/dbraw/zinc/33/52/69/1044335269.db2.gz FAPZLMAALCYLFM-FQEVSTJZSA-N 0 3 322.452 4.428 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2ccc(C(F)(F)F)cc2)cnn1C ZINC000192851481 1044340501 /nfs/dbraw/zinc/34/05/01/1044340501.db2.gz MFHLOKRJJQBBKC-WDEREUQCSA-N 0 3 311.351 4.159 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc(OCC(C)C)cc2)cnn1C ZINC000192852118 1044341172 /nfs/dbraw/zinc/34/11/72/1044341172.db2.gz WPZCALXUCLWSOA-HUUCEWRRSA-N 0 3 315.461 4.175 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cccc(C(F)F)c1 ZINC000360987725 1044342012 /nfs/dbraw/zinc/34/20/12/1044342012.db2.gz SZGYXEOTHPAASA-MRXNPFEDSA-N 0 3 303.352 4.497 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(OCC2CC2)cc1 ZINC000475282685 1044344282 /nfs/dbraw/zinc/34/42/82/1044344282.db2.gz VTGYMWNIFWEAPJ-BLLLJJGKSA-N 0 3 313.363 4.248 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2cccc(C(F)(F)F)c2)cnn1C ZINC000192854680 1044351546 /nfs/dbraw/zinc/35/15/46/1044351546.db2.gz XNTSUGUFTZGDEL-MNOVXSKESA-N 0 3 311.351 4.159 20 0 DIADHN C[C@H]1CC[C@H](CNC(c2ccc(F)cc2)c2ccc(F)cc2)O1 ZINC000475322052 1044353788 /nfs/dbraw/zinc/35/37/88/1044353788.db2.gz XNUWLHJZOCKPPC-SCLBCKFNSA-N 0 3 317.379 4.211 20 0 DIADHN CCN(Cc1cnc2cccc(C)n12)Cc1ccc(C)c(C)c1 ZINC001137198810 1044355131 /nfs/dbraw/zinc/35/51/31/1044355131.db2.gz LYLIYTZGTGIFBH-UHFFFAOYSA-N 0 3 307.441 4.282 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1cccc(C2CC2)c1 ZINC000293960271 1044357729 /nfs/dbraw/zinc/35/77/29/1044357729.db2.gz ZVUWKSHHSSGYON-MRXNPFEDSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCc1cccc(N(C)C)c1)CCCO2 ZINC000361113262 1044360402 /nfs/dbraw/zinc/36/04/02/1044360402.db2.gz LIPCJJIGBYSLRD-HXUWFJFHSA-N 0 3 324.468 4.373 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@@H](c3ccc(F)cc3)C2)s1 ZINC000475331605 1044364199 /nfs/dbraw/zinc/36/41/99/1044364199.db2.gz HHHPRMUNNWWOFL-HIFRSBDPSA-N 0 3 304.434 4.271 20 0 DIADHN C[C@@](O)(CN[C@@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000361350094 1044395538 /nfs/dbraw/zinc/39/55/38/1044395538.db2.gz BXXPUFOVIMUEOE-QMTHXVAHSA-N 0 3 320.285 4.183 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(-c2ccncc2)cc1 ZINC000361183667 1044369749 /nfs/dbraw/zinc/36/97/49/1044369749.db2.gz AFZRCKVNZKJTKI-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN Cc1ccc(-c2ccc(C[NH2+]Cc3ncccc3[O-])s2)cc1 ZINC000475344637 1044371851 /nfs/dbraw/zinc/37/18/51/1044371851.db2.gz XNFCUIQKZQNRJE-UHFFFAOYSA-N 0 3 310.422 4.114 20 0 DIADHN C[C@@H]1CCN(Cc2ccc([S@](C)=O)cc2)CC12CCCCC2 ZINC000361271183 1044382187 /nfs/dbraw/zinc/38/21/87/1044382187.db2.gz IYTXDMRIDCTDGX-ZHRRBRCNSA-N 0 3 319.514 4.216 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN(C)Cc1ccco1 ZINC000361299486 1044387124 /nfs/dbraw/zinc/38/71/24/1044387124.db2.gz MUJJBBLLOGQOCV-UHFFFAOYSA-N 0 3 311.429 4.240 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1cn2ccccc2n1)Nc1ccccc1 ZINC000655712748 1044397138 /nfs/dbraw/zinc/39/71/38/1044397138.db2.gz RLJGWEQULRYBAP-FUHWJXTLSA-N 0 3 322.456 4.122 20 0 DIADHN Cc1cc2oc(=O)cc(CN[C@@H](C)c3ccccc3)c2cc1C ZINC000007783089 1044397388 /nfs/dbraw/zinc/39/73/88/1044397388.db2.gz IWBDSUHZORZTTI-HNNXBMFYSA-N 0 3 307.393 4.261 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cccc(-n3cccn3)c2)c(C)n1 ZINC000639566475 1044400697 /nfs/dbraw/zinc/40/06/97/1044400697.db2.gz ZOORDECBNSHZLC-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(O[C@H]2CCOC2)CC1 ZINC000361444604 1044403244 /nfs/dbraw/zinc/40/32/44/1044403244.db2.gz RYNDNDJCCSKIGN-ROUUACIJSA-N 0 3 323.864 4.061 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(O[C@H]2CCOC2)CC1 ZINC000361444605 1044404298 /nfs/dbraw/zinc/40/42/98/1044404298.db2.gz RYNDNDJCCSKIGN-ZWKOTPCHSA-N 0 3 323.864 4.061 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000192946706 1044407141 /nfs/dbraw/zinc/40/71/41/1044407141.db2.gz ZIGGJPYHPSTRMF-KGLIPLIRSA-N 0 3 312.413 4.065 20 0 DIADHN N[C@@H](CC(=O)Nc1cccc(NC2CCCC2)c1)c1ccccc1 ZINC000564922115 1044408240 /nfs/dbraw/zinc/40/82/40/1044408240.db2.gz JJWWWGABUDBFCH-IBGZPJMESA-N 0 3 323.440 4.070 20 0 DIADHN N[C@H](CC(=O)Nc1cccc(NC2CCCC2)c1)c1ccccc1 ZINC000564922116 1044408422 /nfs/dbraw/zinc/40/84/22/1044408422.db2.gz JJWWWGABUDBFCH-LJQANCHMSA-N 0 3 323.440 4.070 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000361492704 1044409783 /nfs/dbraw/zinc/40/97/83/1044409783.db2.gz LCVSLIYSQGHLPK-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1cc(F)cc(F)c1 ZINC000361496958 1044410422 /nfs/dbraw/zinc/41/04/22/1044410422.db2.gz ODGDJMVOBGUVNV-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN COc1cc(Nc2ccc3nc(C)[nH]c3c2)ccc1-c1cnco1 ZINC001213075047 1044411921 /nfs/dbraw/zinc/41/19/21/1044411921.db2.gz ALFRBGMXYRXRDD-UHFFFAOYSA-N 0 3 320.352 4.279 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCOc1cccc2ccccc21 ZINC000565145819 1044416061 /nfs/dbraw/zinc/41/60/61/1044416061.db2.gz QRWQLPUXCQJWID-UHFFFAOYSA-N 0 3 324.424 4.348 20 0 DIADHN Cc1ccnc([C@@H]2CCN([C@H](C)c3nc4ccccc4o3)C2)c1 ZINC000565175038 1044418369 /nfs/dbraw/zinc/41/83/69/1044418369.db2.gz YSXANFZDLLNRON-HUUCEWRRSA-N 0 3 307.397 4.082 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)cc1 ZINC000151834022 1044419384 /nfs/dbraw/zinc/41/93/84/1044419384.db2.gz ROSUNAFQVRQYIG-WBVHZDCISA-N 0 3 317.454 4.090 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1ccc(Br)o1 ZINC000361572106 1044420027 /nfs/dbraw/zinc/42/00/27/1044420027.db2.gz QBJAJTNRIITBBT-JTQLQIEISA-N 0 3 306.269 4.006 20 0 DIADHN C[C@H](NC[C@H]1CCC=CO1)c1cc(F)c(Cl)cc1Cl ZINC000379980521 1044430581 /nfs/dbraw/zinc/43/05/81/1044430581.db2.gz XEAUQACMRKQDBW-VHSXEESVSA-N 0 3 304.192 4.476 20 0 DIADHN FC(F)(F)C[C@@H]1CCN(Cc2ccc(OCC3CC3)cc2)C1 ZINC000565402076 1044431867 /nfs/dbraw/zinc/43/18/67/1044431867.db2.gz GNZHLIZKAPRYKM-HNNXBMFYSA-N 0 3 313.363 4.250 20 0 DIADHN Cc1ccc(-c2cc(CN3CC[C@H](c4cccnc4)C3)on2)cc1 ZINC000565421828 1044434983 /nfs/dbraw/zinc/43/49/83/1044434983.db2.gz XJRYWKGIZLCKEP-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000361758481 1044440877 /nfs/dbraw/zinc/44/08/77/1044440877.db2.gz ZGHDJYHKBVRMLW-LRTDBIEQSA-N 0 3 321.873 4.237 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc2c(c1)CCC(C)(C)O2 ZINC000565568215 1044443705 /nfs/dbraw/zinc/44/37/05/1044443705.db2.gz WTSLJHHOOZXGTH-UHFFFAOYSA-N 0 3 310.441 4.207 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H](C)c2ccc(F)cc2)cc1 ZINC000112528419 1044443965 /nfs/dbraw/zinc/44/39/65/1044443965.db2.gz ZHMYKPRGMISTNQ-AWEZNQCLSA-N 0 3 314.404 4.415 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H](C)[C@H]1CC1(F)F ZINC001308921275 1044444132 /nfs/dbraw/zinc/44/41/32/1044444132.db2.gz JBELDEYEIMQEBG-ZWNOBZJWSA-N 0 3 303.780 4.261 20 0 DIADHN c1cc2cc(CNCc3ccc4nccnc4c3)ccc2s1 ZINC001202656684 1044445111 /nfs/dbraw/zinc/44/51/11/1044445111.db2.gz ZHYOFJLBBZHJII-UHFFFAOYSA-N 0 3 305.406 4.134 20 0 DIADHN COC[C@H](NCc1cc(C)nc2ccccc12)c1ccccc1 ZINC000113355594 1044451573 /nfs/dbraw/zinc/45/15/73/1044451573.db2.gz LORPTZGATSYZLP-FQEVSTJZSA-N 0 3 306.409 4.021 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc(Cl)cn1 ZINC000565804105 1044456540 /nfs/dbraw/zinc/45/65/40/1044456540.db2.gz DLDPXNPWFHJVTG-XCRHUMRWSA-N 0 3 316.832 4.306 20 0 DIADHN CC[C@@H](N[C@@H](CCO)CSC)c1ccc(Cl)cc1Cl ZINC000361893320 1044457819 /nfs/dbraw/zinc/45/78/19/1044457819.db2.gz QDIGULHINBWNNU-SMDDNHRTSA-N 0 3 322.301 4.148 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1ccc(F)c(Cl)c1 ZINC000361892983 1044458090 /nfs/dbraw/zinc/45/80/90/1044458090.db2.gz OELJXRNMIOGXBZ-SJCJKPOMSA-N 0 3 307.796 4.253 20 0 DIADHN COc1cc([C@@H](C)NCc2ccncc2C)ccc1OC(C)C ZINC000565814406 1044459141 /nfs/dbraw/zinc/45/91/41/1044459141.db2.gz NLVOOAMIGQUGGH-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(NC(=O)C3CC3)cc2)cs1 ZINC000294791687 1044459382 /nfs/dbraw/zinc/45/93/82/1044459382.db2.gz OMXJRNDEHBDGOG-CYBMUJFWSA-N 0 3 314.454 4.256 20 0 DIADHN CN(CCCSc1ccccc1Cl)Cc1cccnc1 ZINC000348301475 1044459547 /nfs/dbraw/zinc/45/95/47/1044459547.db2.gz ILKFZFOBGWHHTE-UHFFFAOYSA-N 0 3 306.862 4.349 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@H](c2ccncc2)C1 ZINC000565841794 1044459784 /nfs/dbraw/zinc/45/97/84/1044459784.db2.gz LLDYMEKFJDLWJE-KSSFIOAISA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)(C[NH2+][C@H](c1cccs1)c1nnc[n-]1)C1CCCCC1 ZINC000294803939 1044462118 /nfs/dbraw/zinc/46/21/18/1044462118.db2.gz PCKMUIDUCQCPCO-OAHLLOKOSA-N 0 3 318.490 4.152 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2ccncc2C)CC1 ZINC000565933631 1044465132 /nfs/dbraw/zinc/46/51/32/1044465132.db2.gz OCRUQYMZYNFJLJ-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1ccc(C2CCC2)cc1 ZINC000487487003 1044467179 /nfs/dbraw/zinc/46/71/79/1044467179.db2.gz HFLZGOGEEUJXCX-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN Cn1ccnc1[C@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)C1CC1 ZINC000294859643 1044468090 /nfs/dbraw/zinc/46/80/90/1044468090.db2.gz BWRGUHOQBJBZPY-CKEIUWERSA-N 0 3 315.848 4.060 20 0 DIADHN COc1ccsc1CN1C[C@H](C)C[C@H]1c1cccc(OC)c1 ZINC000566034971 1044470369 /nfs/dbraw/zinc/47/03/69/1044470369.db2.gz MGOMQAMCCXKKEG-CJNGLKHVSA-N 0 3 317.454 4.348 20 0 DIADHN COc1ccsc1CN1C[C@@H](C)C[C@H]1c1cccc(OC)c1 ZINC000566034970 1044471801 /nfs/dbraw/zinc/47/18/01/1044471801.db2.gz MGOMQAMCCXKKEG-BBRMVZONSA-N 0 3 317.454 4.348 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2ccc(C)c(C)c2)c2ccccc21 ZINC000362033854 1044472237 /nfs/dbraw/zinc/47/22/37/1044472237.db2.gz VZOGMAQGMLIHRD-OXQOHEQNSA-N 0 3 322.452 4.452 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@H]2CSC2(C)C)c1 ZINC000566056444 1044473131 /nfs/dbraw/zinc/47/31/31/1044473131.db2.gz CRRJVZFMISIWLG-MFKMUULPSA-N 0 3 301.402 4.141 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@@H]2CSC2(C)C)c1 ZINC000566056445 1044473382 /nfs/dbraw/zinc/47/33/82/1044473382.db2.gz CRRJVZFMISIWLG-ZWNOBZJWSA-N 0 3 301.402 4.141 20 0 DIADHN C[C@@H](c1ccc(CN[C@@H](CO)c2ccccc2)cc1)C(F)(F)F ZINC000294929483 1044473420 /nfs/dbraw/zinc/47/34/20/1044473420.db2.gz HGRCKPHGNACFGU-GUYCJALGSA-N 0 3 323.358 4.176 20 0 DIADHN COCCCCCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000116876603 1044474921 /nfs/dbraw/zinc/47/49/21/1044474921.db2.gz JVMBOZPKLDKXIC-HNNXBMFYSA-N 0 3 304.459 4.250 20 0 DIADHN Clc1ccc(CCCN[C@@H]2CCCn3ccnc32)c(Cl)c1 ZINC000655719033 1044478421 /nfs/dbraw/zinc/47/84/21/1044478421.db2.gz OANAJAQSGCSQRT-OAHLLOKOSA-N 0 3 324.255 4.247 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccnc(Cl)c2)cc1 ZINC000134032836 1044483748 /nfs/dbraw/zinc/48/37/48/1044483748.db2.gz ASXIKWPDQZGKHI-ZDUSSCGKSA-N 0 3 304.821 4.373 20 0 DIADHN COc1ccc2cc(Nc3ccc4nc(C)[nH]c4c3)ccc2n1 ZINC001213075262 1044487198 /nfs/dbraw/zinc/48/71/98/1044487198.db2.gz LOAZOQVVYROLLA-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN CC[C@@H](N[C@@H]1CCOc2c(OC)cccc21)c1cccs1 ZINC000362199127 1044487244 /nfs/dbraw/zinc/48/72/44/1044487244.db2.gz ILFVNQRQXIOXMB-ZIAGYGMSSA-N 0 3 303.427 4.321 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cccnc1Cl)c1ccco1 ZINC000119082393 1044488828 /nfs/dbraw/zinc/48/88/28/1044488828.db2.gz QGHQOJVNDZBRSH-UKRRQHHQSA-N 0 3 321.852 4.062 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H]2CCCNc3ccccc32)c2ccccc2O1 ZINC000295098740 1044489979 /nfs/dbraw/zinc/48/99/79/1044489979.db2.gz SMEZHVMLBVGKJE-NIKGAXFTSA-N 0 3 308.425 4.435 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000566401813 1044490207 /nfs/dbraw/zinc/49/02/07/1044490207.db2.gz PEENLEVIEJWHRA-HOTGVXAUSA-N 0 3 323.415 4.293 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C(=O)C[C@H](N)c2ccccc2)c1C ZINC000119253659 1044491927 /nfs/dbraw/zinc/49/19/27/1044491927.db2.gz OMTDOQHIFHXAPX-PMACEKPBSA-N 0 3 322.452 4.057 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(Cl)cc3cccnc32)CC1(C)C ZINC000295108851 1044492587 /nfs/dbraw/zinc/49/25/87/1044492587.db2.gz VXJGBYPIGAAXJV-MRXNPFEDSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1nn2c(CN(C)[C@H]3CCC(C)(C)C3)c(C(C)C)nc2s1 ZINC000475546349 1044493272 /nfs/dbraw/zinc/49/32/72/1044493272.db2.gz FCEVBLAQZZMXBI-ZDUSSCGKSA-N 0 3 320.506 4.233 20 0 DIADHN c1ccc([C@H]2CCC[C@H](NCc3nnc(C4CC4)s3)C2)cc1 ZINC000840892406 1044494091 /nfs/dbraw/zinc/49/40/91/1044494091.db2.gz ZQYTUTYXCKQSNQ-HOTGVXAUSA-N 0 3 313.470 4.232 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)cn1 ZINC000119339786 1044494408 /nfs/dbraw/zinc/49/44/08/1044494408.db2.gz DYBRRZXKRUEIBX-UGSOOPFHSA-N 0 3 301.409 4.234 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000295151784 1044494686 /nfs/dbraw/zinc/49/46/86/1044494686.db2.gz CRTMNGLDUFTLLY-TYNCELHUSA-N 0 3 323.362 4.129 20 0 DIADHN CO[C@]1(C)CCCN(Cc2csc(-c3ccccc3)n2)CC1 ZINC000475552664 1044498215 /nfs/dbraw/zinc/49/82/15/1044498215.db2.gz CLOBRLAZTUGVEX-GOSISDBHSA-N 0 3 316.470 4.201 20 0 DIADHN COc1ccccc1OCCCNc1ccnc2ccccc21 ZINC000212148265 1044501642 /nfs/dbraw/zinc/50/16/42/1044501642.db2.gz ASRJKQIOWZDICR-UHFFFAOYSA-N 0 3 308.381 4.124 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000120236334 1044503799 /nfs/dbraw/zinc/50/37/99/1044503799.db2.gz VVFRRWYCTONZIE-SCLBCKFNSA-N 0 3 319.832 4.123 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2ccc(C)c(C)c2)cc1 ZINC000120304032 1044504613 /nfs/dbraw/zinc/50/46/13/1044504613.db2.gz WLDBHLHLRZJRSH-OXQOHEQNSA-N 0 3 313.441 4.086 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3ccnn3-c3ccccc3)C2)cc1 ZINC000475562379 1044507465 /nfs/dbraw/zinc/50/74/65/1044507465.db2.gz GHBPFOAFSYLZEK-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN CSc1ccccc1CN(C)Cc1ccc(-n2ccnc2)cc1 ZINC000348319535 1044508008 /nfs/dbraw/zinc/50/80/08/1044508008.db2.gz MPTOCOZBSYSALW-UHFFFAOYSA-N 0 3 323.465 4.226 20 0 DIADHN Cc1csc(C(=O)Nc2ccccc2CN(C)C)c1Cl ZINC000566713532 1044511840 /nfs/dbraw/zinc/51/18/40/1044511840.db2.gz QIQKJFBHFUHXGP-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN C[C@H]1CCN(Cc2ccc(-n3cccn3)cc2)[C@H](c2ccco2)C1 ZINC000362445281 1044512368 /nfs/dbraw/zinc/51/23/68/1044512368.db2.gz CLXTVGUGRMDBPY-LPHOPBHVSA-N 0 3 321.424 4.439 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc(C(C)(C)O)cc1)C(C)C ZINC000295350187 1044512665 /nfs/dbraw/zinc/51/26/65/1044512665.db2.gz IQLUYTKIZURJPS-GOSISDBHSA-N 0 3 312.457 4.104 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(OCC(C)C)cc2)cn1 ZINC000120776669 1044513490 /nfs/dbraw/zinc/51/34/90/1044513490.db2.gz CADBTGYXBXRXRR-HOTGVXAUSA-N 0 3 315.461 4.350 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1noc2c1CCCC2 ZINC000121425020 1044513849 /nfs/dbraw/zinc/51/38/49/1044513849.db2.gz PHVBOGGQYDFVIM-DZGCQCFKSA-N 0 3 314.404 4.071 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1cc(F)ccc1F ZINC000120842943 1044514624 /nfs/dbraw/zinc/51/46/24/1044514624.db2.gz FEDJNUGUQNWCPX-GFCCVEGCSA-N 0 3 322.374 4.016 20 0 DIADHN Cc1ccc(CN2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC000475576818 1044517060 /nfs/dbraw/zinc/51/70/60/1044517060.db2.gz XZKYUMNPCAVYBJ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cn(C(C)(C)C)nc2C)cc1 ZINC000120922458 1044518058 /nfs/dbraw/zinc/51/80/58/1044518058.db2.gz YDOGRHFWAJSEFC-KGLIPLIRSA-N 0 3 315.461 4.367 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)CC1CC1 ZINC000121423047 1044522638 /nfs/dbraw/zinc/52/26/38/1044522638.db2.gz GXLOODMPZKIIER-UHFFFAOYSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1ccc2nc(CN3CC[C@@H](F)C(F)(F)CC3)ccc2c1 ZINC001137209480 1044528775 /nfs/dbraw/zinc/52/87/75/1044528775.db2.gz HNDRZWCRCDVFLZ-MRXNPFEDSA-N 0 3 308.347 4.112 20 0 DIADHN Cc1ccc2nc(CN3CCC(OC4CCC4)CC3)ccc2c1 ZINC001137211159 1044533934 /nfs/dbraw/zinc/53/39/34/1044533934.db2.gz LUQUOLYJRGMYEP-UHFFFAOYSA-N 0 3 310.441 4.077 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2ncc(C)s2)cc1 ZINC000135161764 1044540631 /nfs/dbraw/zinc/54/06/31/1044540631.db2.gz DARMYGXSSIQPBJ-TZMCWYRMSA-N 0 3 304.459 4.132 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000925154276 1044544526 /nfs/dbraw/zinc/54/45/26/1044544526.db2.gz AZWMJWXCVROEOO-ZHALLVOQSA-N 0 3 312.482 4.353 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2ccc(F)cc2)c(C)c1OC ZINC000567199885 1044550538 /nfs/dbraw/zinc/55/05/38/1044550538.db2.gz HHBGSRKMWZLEJS-CQSZACIVSA-N 0 3 317.404 4.344 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CCC(C)C)c(Cl)c1 ZINC000053365538 1044558937 /nfs/dbraw/zinc/55/89/37/1044558937.db2.gz HUFUJOOJSPDWMG-CQSZACIVSA-N 0 3 310.869 4.262 20 0 DIADHN CN(C)[C@@H](CNc1ncc(Cl)s1)c1ccc(Cl)cc1 ZINC000680895334 1044559923 /nfs/dbraw/zinc/55/99/23/1044559923.db2.gz LESHJTXSNRKLKO-NSHDSACASA-N 0 3 316.257 4.165 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](C)C(=O)Nc1c(C)cccc1C ZINC000028894960 1044560593 /nfs/dbraw/zinc/56/05/93/1044560593.db2.gz JFGMIQOUALGCDA-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000362915906 1044561625 /nfs/dbraw/zinc/56/16/25/1044561625.db2.gz CUKMHDAXLHRRHK-KRWDZBQOSA-N 0 3 310.372 4.229 20 0 DIADHN c1cn(CCCc2ccccc2)c(CNC2CCC3(CC3)CC2)n1 ZINC000362929239 1044564654 /nfs/dbraw/zinc/56/46/54/1044564654.db2.gz BJXSHPWLWZKSLR-UHFFFAOYSA-N 0 3 323.484 4.328 20 0 DIADHN Fc1ccc2cc(CN[C@@H]3CCCOc4ccccc43)[nH]c2c1 ZINC000362922794 1044564943 /nfs/dbraw/zinc/56/49/43/1044564943.db2.gz GFLUSPMZUUJBFZ-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN CC(C)n1nccc1CN(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000567425030 1044566633 /nfs/dbraw/zinc/56/66/33/1044566633.db2.gz UQJXXTVLFYWXIB-BETUJISGSA-N 0 3 303.372 4.017 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@H](F)C1)c1cccc(Cl)c1F ZINC000567470601 1044567838 /nfs/dbraw/zinc/56/78/38/1044567838.db2.gz HPOAUDVDNNMRLP-WDMOLILDSA-N 0 3 303.780 4.037 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCC[C@@H]3CCCC[C@@H]32)n1 ZINC000444570641 1044568884 /nfs/dbraw/zinc/56/88/84/1044568884.db2.gz IAQFKZVCERBORT-BBRMVZONSA-N 0 3 315.392 4.030 20 0 DIADHN Clc1cccc([C@@H]2CCCN2CCO[C@H]2CCCCO2)c1 ZINC000444590943 1044572468 /nfs/dbraw/zinc/57/24/68/1044572468.db2.gz AUOLDJDHHVXRPQ-IRXDYDNUSA-N 0 3 309.837 4.020 20 0 DIADHN CC(C)CCN(Cc1nncn1-c1ccccc1)C1CCCC1 ZINC000444601105 1044573652 /nfs/dbraw/zinc/57/36/52/1044573652.db2.gz DFRYFBMLSJGXCQ-UHFFFAOYSA-N 0 3 312.461 4.058 20 0 DIADHN c1nnc([C@H](NCc2ccc(C3CCC3)cc2)C2CCCCC2)[nH]1 ZINC000567560104 1044575094 /nfs/dbraw/zinc/57/50/94/1044575094.db2.gz OSJQFFPEQVMSJG-LJQANCHMSA-N 0 3 324.472 4.483 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cncc(Br)c2)c(C)c1 ZINC000568108527 1044603382 /nfs/dbraw/zinc/60/33/82/1044603382.db2.gz CZDJTCDKZUICCH-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN c1csc(CS[C@@H]2CCCN(Cc3ccccc3)C2)n1 ZINC000567587875 1044575854 /nfs/dbraw/zinc/57/58/54/1044575854.db2.gz AXVWNHVYKZSBFN-OAHLLOKOSA-N 0 3 304.484 4.041 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2cccn2)cc1)c1ccsc1 ZINC000295944712 1044577507 /nfs/dbraw/zinc/57/75/07/1044577507.db2.gz LARYHESPRFNCPX-GOSISDBHSA-N 0 3 311.454 4.234 20 0 DIADHN C[C@H](N[C@H]1CCn2ccnc21)C(c1ccccc1)c1ccccc1 ZINC000295974723 1044580438 /nfs/dbraw/zinc/58/04/38/1044580438.db2.gz MXIISMISMBLGPU-LPHOPBHVSA-N 0 3 317.436 4.138 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)cs1 ZINC000296039699 1044583484 /nfs/dbraw/zinc/58/34/84/1044583484.db2.gz QNBBTFYHOFHSRN-ZIAGYGMSSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@@H]1CC(C)(C)C[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000193141595 1044584191 /nfs/dbraw/zinc/58/41/91/1044584191.db2.gz MMIBKRQWWFTUSE-OCCSQVGLSA-N 0 3 301.459 4.119 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2occc2c1)c1cccs1 ZINC000296107133 1044588408 /nfs/dbraw/zinc/58/84/08/1044588408.db2.gz UPGYLEMPBDOVEW-QGZVFWFLSA-N 0 3 315.438 4.344 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(N3CCCCC3)nc2)cs1 ZINC000296083985 1044589572 /nfs/dbraw/zinc/58/95/72/1044589572.db2.gz SSGJRDJQQDXGAO-HNNXBMFYSA-N 0 3 315.486 4.293 20 0 DIADHN CN1CC[C@@H](NCc2cc(F)ccc2Cl)[C@H]1c1ccccc1 ZINC000567968664 1044594432 /nfs/dbraw/zinc/59/44/32/1044594432.db2.gz UNIIZHGKBDTSDR-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nc(-c2cccs2)no1 ZINC000444663347 1044595449 /nfs/dbraw/zinc/59/54/49/1044595449.db2.gz KLTPQHLBMGOWKM-OLZOCXBDSA-N 0 3 305.447 4.199 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nc(-c2cccs2)no1 ZINC000444663349 1044596062 /nfs/dbraw/zinc/59/60/62/1044596062.db2.gz KLTPQHLBMGOWKM-QWHCGFSZSA-N 0 3 305.447 4.199 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2C[C@@]23CCOC3)s1 ZINC000885966752 1044596429 /nfs/dbraw/zinc/59/64/29/1044596429.db2.gz CNBXGUVLCVPQTI-MAUKXSAKSA-N 0 3 317.454 4.078 20 0 DIADHN CCc1nc([C@@H](C)N[C@H]2CCOC3(CCCCC3)C2)cs1 ZINC000885980233 1044596738 /nfs/dbraw/zinc/59/67/38/1044596738.db2.gz WLXWDSIBCLRERL-KGLIPLIRSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1n[nH]c(CN[C@H](Cc2ccccc2)c2cccs2)c1C ZINC000885990456 1044597322 /nfs/dbraw/zinc/59/73/22/1044597322.db2.gz HPMDXSQFAXYBFX-MRXNPFEDSA-N 0 3 311.454 4.162 20 0 DIADHN Cc1n[nH]c(CNC2(c3ccc(Cl)cc3)CCCC2)c1C ZINC000885989177 1044597450 /nfs/dbraw/zinc/59/74/50/1044597450.db2.gz XNTOGIQXCQZHNZ-UHFFFAOYSA-N 0 3 303.837 4.239 20 0 DIADHN CC(C)c1csc(CN[C@@H]2CCOC3(CCCCC3)C2)n1 ZINC000886022617 1044599138 /nfs/dbraw/zinc/59/91/38/1044599138.db2.gz HEAAMGICGBHAHH-CQSZACIVSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1cc(C)cc(OCCCN(Cc2ccncc2)C2CC2)c1 ZINC000568032599 1044600196 /nfs/dbraw/zinc/60/01/96/1044600196.db2.gz JAHXWXMWUPEEQT-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1[nH]nc(CN[C@H](Cc2ccccc2)c2ccccc2F)c1C ZINC000885997888 1044600481 /nfs/dbraw/zinc/60/04/81/1044600481.db2.gz XGTIWHBZKQBOCG-LJQANCHMSA-N 0 3 323.415 4.239 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2cccnc2)C2CCC2)c2ccccc2O1 ZINC000296254744 1044603286 /nfs/dbraw/zinc/60/32/86/1044603286.db2.gz DAGYNIJKLYOSDD-DJKXOVBDSA-N 0 3 308.425 4.425 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000296261091 1044603832 /nfs/dbraw/zinc/60/38/32/1044603832.db2.gz BQUSUNKRFJBTFN-QRTARXTBSA-N 0 3 301.409 4.029 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN(CC2CCC(=O)CC2)C2CC2)o1 ZINC000296288447 1044605937 /nfs/dbraw/zinc/60/59/37/1044605937.db2.gz HRMACAKXLYKWOJ-UGSOOPFHSA-N 0 3 301.430 4.127 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@H](CC(F)(F)F)C2)cc1 ZINC000444693889 1044606303 /nfs/dbraw/zinc/60/63/03/1044606303.db2.gz AWNDUGXRRYMSHR-LLVKDONJSA-N 0 3 309.278 4.062 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccnc(C(F)(F)F)c1 ZINC000296393393 1044614474 /nfs/dbraw/zinc/61/44/74/1044614474.db2.gz KEGJAECLJIMJBP-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN C[C@H]1C[C@H](NCCCCc2ccc(Cl)s2)c2nccn21 ZINC000296422875 1044616604 /nfs/dbraw/zinc/61/66/04/1044616604.db2.gz LNNQQPFZTGXQRL-AAEUAGOBSA-N 0 3 309.866 4.216 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@H](C(C)C)C3)o2)cc1 ZINC000444751977 1044617539 /nfs/dbraw/zinc/61/75/39/1044617539.db2.gz RHQJTYNNNATMGJ-INIZCTEOSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1cnccc1CN[C@@H]1CCCc2cc(OC(F)F)ccc21 ZINC000296431735 1044617942 /nfs/dbraw/zinc/61/79/42/1044617942.db2.gz NEYFWMAKWMZCJI-QGZVFWFLSA-N 0 3 318.367 4.159 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(C3CCCCC3)s2)[C@@H](C)C1 ZINC000568351282 1044619243 /nfs/dbraw/zinc/61/92/43/1044619243.db2.gz PFPJPKSOCGYECT-DZGCQCFKSA-N 0 3 308.491 4.190 20 0 DIADHN Cc1[nH]nc(CNC2(c3ccc(C(F)(F)F)cc3)CCC2)c1C ZINC000886166949 1044622573 /nfs/dbraw/zinc/62/25/73/1044622573.db2.gz WGDSBXUOERBUJN-UHFFFAOYSA-N 0 3 323.362 4.214 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1ccc2cccc(Cl)c2n1 ZINC001137226054 1044622592 /nfs/dbraw/zinc/62/25/92/1044622592.db2.gz KLSJBRFAYVDJIX-MRXNPFEDSA-N 0 3 318.848 4.278 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)[C@@H]1CC[C@@H](CC)CC1)c1ccco1 ZINC000568431376 1044622951 /nfs/dbraw/zinc/62/29/51/1044622951.db2.gz KYTMGBBTUOTCSI-QBPKDAKJSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)c2ccccc21 ZINC000568447520 1044625609 /nfs/dbraw/zinc/62/56/09/1044625609.db2.gz VLOWSJBBCXHHDS-GFCCVEGCSA-N 0 3 312.800 4.445 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccccc1)c1ccc(F)cc1F ZINC000523388624 1044626187 /nfs/dbraw/zinc/62/61/87/1044626187.db2.gz SJDPBEDUCGRSBV-UGSOOPFHSA-N 0 3 305.368 4.473 20 0 DIADHN Cc1noc(CCCN2CCCC[C@@H]2c2cccc(Cl)c2)n1 ZINC000444807252 1044627487 /nfs/dbraw/zinc/62/74/87/1044627487.db2.gz WEJDDWHQQSCIKM-MRXNPFEDSA-N 0 3 319.836 4.191 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](NC(=O)OC(C)(C)C)C(C)C)c(C)o1 ZINC000219269304 1044635587 /nfs/dbraw/zinc/63/55/87/1044635587.db2.gz ZHGHWAFGIZIECX-XJKSGUPXSA-N 0 3 324.465 4.096 20 0 DIADHN CC[C@H](NCc1cn(C)nc1-c1ccccc1)c1ccsc1 ZINC000296613506 1044635692 /nfs/dbraw/zinc/63/56/92/1044635692.db2.gz YPOZEZLFTQAANQ-KRWDZBQOSA-N 0 3 311.454 4.390 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000296646432 1044638957 /nfs/dbraw/zinc/63/89/57/1044638957.db2.gz YMYUADBZZUUDFA-HUUCEWRRSA-N 0 3 314.429 4.074 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1ccsc1 ZINC000296736343 1044644111 /nfs/dbraw/zinc/64/41/11/1044644111.db2.gz JFIUJAJXKQUORY-SLSDUTBNSA-N 0 3 307.484 4.287 20 0 DIADHN c1sc(-c2ccccc2)nc1CCN[C@@H]1CCc2cccnc21 ZINC000886493508 1044646069 /nfs/dbraw/zinc/64/60/69/1044646069.db2.gz OFFFMHWDWDZZIO-QGZVFWFLSA-N 0 3 321.449 4.025 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)o2)cc1C ZINC000568728512 1044647132 /nfs/dbraw/zinc/64/71/32/1044647132.db2.gz TZGIDHOBZHBOIQ-HDEZJCGLSA-N 0 3 311.429 4.193 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3c4cc(F)ccc4[nH]c32)cn1 ZINC000886505229 1044648269 /nfs/dbraw/zinc/64/82/69/1044648269.db2.gz QRUZNISCCQRXTG-SFHVURJKSA-N 0 3 309.388 4.178 20 0 DIADHN CC(C)(C)c1cnc(S[C@H]2CCN(Cc3ccccc3)C2)o1 ZINC000568772041 1044648526 /nfs/dbraw/zinc/64/85/26/1044648526.db2.gz MLNNUELHBVQYBL-HNNXBMFYSA-N 0 3 316.470 4.339 20 0 DIADHN CCCCCC(CCCCC)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001257553561 1044674173 /nfs/dbraw/zinc/67/41/73/1044674173.db2.gz LTYVHYUHZIJCNJ-QGZVFWFLSA-N 0 3 317.539 4.025 20 0 DIADHN C[C@H]1C[C@@H](NC2(c3ccc(Cl)cc3Cl)CC2)CCO1 ZINC001168083073 1044681458 /nfs/dbraw/zinc/68/14/58/1044681458.db2.gz HCNDDDKMQCFMEO-JQWIXIFHSA-N 0 3 300.229 4.140 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2cnn(C)c2)C(C)C)c(C)c1 ZINC000886598513 1044666793 /nfs/dbraw/zinc/66/67/93/1044666793.db2.gz CGSZQKPFZSHAJY-LJQANCHMSA-N 0 3 315.461 4.004 20 0 DIADHN COCCCC[C@@H](N[C@H]1CCc2cccnc21)c1ccccc1 ZINC000886602914 1044668314 /nfs/dbraw/zinc/66/83/14/1044668314.db2.gz CGQDXWFVUFJQFT-MOPGFXCFSA-N 0 3 310.441 4.217 20 0 DIADHN Cc1nc(CCN2CCC(OC3CCC(C)CC3)CC2)cs1 ZINC000364535191 1044669310 /nfs/dbraw/zinc/66/93/10/1044669310.db2.gz SFVOGISZWFSWDI-UHFFFAOYSA-N 0 3 322.518 4.054 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1N[C@@H]1CCc2cccnc21 ZINC000886623449 1044671013 /nfs/dbraw/zinc/67/10/13/1044671013.db2.gz DMLJLMBCVRYJGY-KCPJHIHWSA-N 0 3 302.780 4.007 20 0 DIADHN Cc1cc(C)c(CN[C@H]2CCCc3c4cc(F)ccc4[nH]c32)cn1 ZINC000886621885 1044671273 /nfs/dbraw/zinc/67/12/73/1044671273.db2.gz FDNDRESUSSMOAB-IBGZPJMESA-N 0 3 323.415 4.486 20 0 DIADHN FC(F)n1cc(CN[C@@H]2CCc3cccnc32)c2ccccc21 ZINC000886643236 1044671944 /nfs/dbraw/zinc/67/19/44/1044671944.db2.gz PAQGYQZSBSXVOU-OAHLLOKOSA-N 0 3 313.351 4.209 20 0 DIADHN Cc1nc(C2CCN([C@H](C)c3cc(F)ccc3F)CC2)[nH]c1C ZINC000886668637 1044675877 /nfs/dbraw/zinc/67/58/77/1044675877.db2.gz WQDPJOYGUURVIM-CYBMUJFWSA-N 0 3 319.399 4.245 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC[C@@H](c2ncccn2)C1 ZINC000886678714 1044677010 /nfs/dbraw/zinc/67/70/10/1044677010.db2.gz JLHJHOIDEFKDPE-DZGCQCFKSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](F)C1)c1ncc(-c2ccccc2)n1C ZINC000569240864 1044685195 /nfs/dbraw/zinc/68/51/95/1044685195.db2.gz IQBKBMDCDHMQKA-IMJJTQAJSA-N 0 3 301.409 4.018 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000569259291 1044687553 /nfs/dbraw/zinc/68/75/53/1044687553.db2.gz YEKIWVGOLQRFAX-SJCJKPOMSA-N 0 3 301.821 4.240 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N1CCCC[C@H]1c1cc[nH]n1 ZINC000569273045 1044688386 /nfs/dbraw/zinc/68/83/86/1044688386.db2.gz PRDAXACKTKQSKE-KDOFPFPSSA-N 0 3 301.409 4.097 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cc(O)cc(C(F)(F)F)c1 ZINC000569277579 1044690437 /nfs/dbraw/zinc/69/04/37/1044690437.db2.gz XHZCBASVQSUUET-KRWDZBQOSA-N 0 3 321.342 4.497 20 0 DIADHN c1ccc(C2=CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)nc1 ZINC000569658320 1044702545 /nfs/dbraw/zinc/70/25/45/1044702545.db2.gz VKYUFTHRCBXHCL-SFHVURJKSA-N 0 3 312.457 4.053 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CC3CC(F)(F)C3)CC2)c(C)c1 ZINC000569662421 1044703959 /nfs/dbraw/zinc/70/39/59/1044703959.db2.gz HUBOBTKISXRFBP-UHFFFAOYSA-N 0 3 321.411 4.243 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CCCCC23CCCC3)cs1 ZINC000365836130 1044705786 /nfs/dbraw/zinc/70/57/86/1044705786.db2.gz HNAOXFHBTGXLQE-UKRRQHHQSA-N 0 3 308.491 4.443 20 0 DIADHN Fc1cc(CNC[C@H]2CC[C@H](c3ccccc3)O2)cc(F)c1F ZINC000569883083 1044718219 /nfs/dbraw/zinc/71/82/19/1044718219.db2.gz AOVDQDFISKLRAQ-RHSMWYFYSA-N 0 3 321.342 4.114 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000569889243 1044719407 /nfs/dbraw/zinc/71/94/07/1044719407.db2.gz QLDBMVOMBXKGHC-KRWDZBQOSA-N 0 3 319.395 4.474 20 0 DIADHN C[C@@H](CCC(C)(C)C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000524065256 1044727483 /nfs/dbraw/zinc/72/74/83/1044727483.db2.gz NUBMJZKLABSRHJ-AWEZNQCLSA-N 0 3 305.466 4.085 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccccc2)cc1NC(C)=O ZINC000089552952 1044742309 /nfs/dbraw/zinc/74/23/09/1044742309.db2.gz SPHRORCCSXAKEP-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccc(C2OCCO2)cc1 ZINC000155922948 1044742503 /nfs/dbraw/zinc/74/25/03/1044742503.db2.gz RHRHVJBNGCWZOO-UHFFFAOYSA-N 0 3 323.392 4.076 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2cc(C)cc(C)c2)c2ccccc21 ZINC000367572107 1044747375 /nfs/dbraw/zinc/74/73/75/1044747375.db2.gz ZHVVYLYQAXDADS-UZLBHIALSA-N 0 3 322.452 4.452 20 0 DIADHN C[C@H](c1nc(-c2coc3ccccc23)no1)N1[C@H](C)CC[C@@H]1C ZINC000445550961 1044749802 /nfs/dbraw/zinc/74/98/02/1044749802.db2.gz DOVXLZCKKYKAGS-FRRDWIJNSA-N 0 3 311.385 4.417 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1cccc(Cl)c1Cl ZINC000221735063 1044750186 /nfs/dbraw/zinc/75/01/86/1044750186.db2.gz NWUHQOLRMUAIQF-IAQYHMDHSA-N 0 3 310.224 4.378 20 0 DIADHN CC(C)C[C@H](NCc1ccccc1-n1cccn1)c1ccccn1 ZINC000090798678 1044750741 /nfs/dbraw/zinc/75/07/41/1044750741.db2.gz XWWITESBHDUMIZ-IBGZPJMESA-N 0 3 320.440 4.144 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1cnccn1 ZINC000090911165 1044751444 /nfs/dbraw/zinc/75/14/44/1044751444.db2.gz CVJHZINJYZPDLW-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN Cc1c(CN[C@@H](C)c2cccc(-c3ccccc3)c2)cnn1C ZINC000090888203 1044752427 /nfs/dbraw/zinc/75/24/27/1044752427.db2.gz OGGPPUGPCYIMRF-HNNXBMFYSA-N 0 3 305.425 4.246 20 0 DIADHN CC(C)(C)OC1CC(N2Cc3ccccc3OC3(CCC3)C2)C1 ZINC000887149699 1044753541 /nfs/dbraw/zinc/75/35/41/1044753541.db2.gz GSLAVCVJLXVNQO-UHFFFAOYSA-N 0 3 315.457 4.150 20 0 DIADHN CCc1ccc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)s1 ZINC000570380295 1044754434 /nfs/dbraw/zinc/75/44/34/1044754434.db2.gz SSAVXUIZBVSKJA-INIZCTEOSA-N 0 3 316.470 4.285 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC(n2cc(Cl)cn2)CC1 ZINC000367857995 1044756504 /nfs/dbraw/zinc/75/65/04/1044756504.db2.gz WTRFFOVDOYCWMJ-LBPRGKRZSA-N 0 3 307.800 4.074 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1 ZINC000093942217 1044761161 /nfs/dbraw/zinc/76/11/61/1044761161.db2.gz ABSUTJWFNRDONH-MRXNPFEDSA-N 0 3 312.457 4.140 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)c1 ZINC000570600805 1044769191 /nfs/dbraw/zinc/76/91/91/1044769191.db2.gz VORUTMIEVBNRLX-UYHISHBKSA-N 0 3 316.832 4.231 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C/C=C/c1ccc(F)c(F)c1 ZINC000570603774 1044769822 /nfs/dbraw/zinc/76/98/22/1044769822.db2.gz HJMRGVOBTXXUQE-IHVVCDCBSA-N 0 3 303.352 4.377 20 0 DIADHN CCCCN(C(=O)c1cccc(CN(C)C)c1)C1CCCCC1 ZINC000570718466 1044776297 /nfs/dbraw/zinc/77/62/97/1044776297.db2.gz BZISKCUPRTXZDU-UHFFFAOYSA-N 0 3 316.489 4.323 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2coc(-c3ccc(C)cc3)n2)C1 ZINC000156191763 1044777339 /nfs/dbraw/zinc/77/73/39/1044777339.db2.gz UNJVGFGLJYBYLQ-WBVHZDCISA-N 0 3 316.470 4.414 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2-c2cnn(C)c2)oc1C ZINC000368522588 1044780891 /nfs/dbraw/zinc/78/08/91/1044780891.db2.gz PSCLJDXPPMPNLQ-CQSZACIVSA-N 0 3 309.413 4.148 20 0 DIADHN COCC[C@@H]1CCCCN([C@@H](C)c2ncc(C(C)(C)C)o2)C1 ZINC000368658220 1044784736 /nfs/dbraw/zinc/78/47/36/1044784736.db2.gz HOHHJZHNSQJSPX-GJZGRUSLSA-N 0 3 308.466 4.172 20 0 DIADHN c1c2ccccc2sc1CN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000570859155 1044788914 /nfs/dbraw/zinc/78/89/14/1044788914.db2.gz VSTCHDPPRDPGJL-SJLPKXTDSA-N 0 3 313.466 4.435 20 0 DIADHN CC[C@H](C)[C@H](N[C@@H](C)c1ccc(=O)[nH]n1)c1ccc(Cl)cc1 ZINC000571069751 1044794754 /nfs/dbraw/zinc/79/47/54/1044794754.db2.gz ZWNRGSGZHQBMQH-PRXAMGSTSA-N 0 3 319.836 4.274 20 0 DIADHN C[C@@H](CO)N(C)Cc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000571126374 1044800646 /nfs/dbraw/zinc/80/06/46/1044800646.db2.gz DWUXOELFXUIDIK-JTQLQIEISA-N 0 3 314.212 4.066 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1 ZINC000367245217 1044804836 /nfs/dbraw/zinc/80/48/36/1044804836.db2.gz DYKFGYDAIBGADO-DKIMLUQUSA-N 0 3 324.472 4.018 20 0 DIADHN CC(C)(NCc1cncn1Cc1ccccc1)c1cccs1 ZINC000445758076 1044809452 /nfs/dbraw/zinc/80/94/52/1044809452.db2.gz HWRHGOKAYHGGBB-UHFFFAOYSA-N 0 3 311.454 4.018 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2cc(C)cc(C)c2)cn1 ZINC000126300978 1044810537 /nfs/dbraw/zinc/81/05/37/1044810537.db2.gz FRNPJFNXKLRWMM-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H]2CCCCN2C(=O)OC(C)(C)C)o1 ZINC000222831948 1044810579 /nfs/dbraw/zinc/81/05/79/1044810579.db2.gz MZYGVBWNELLOPK-GJZGRUSLSA-N 0 3 322.449 4.028 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)cs1 ZINC000369650473 1044810704 /nfs/dbraw/zinc/81/07/04/1044810704.db2.gz UUALRVCTWKFYSH-HOCLYGCPSA-N 0 3 315.486 4.019 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2ccc(C)cc2C)cn1 ZINC000126436136 1044814615 /nfs/dbraw/zinc/81/46/15/1044814615.db2.gz XMKOVMIZEMNGEZ-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cc(C)cc([C@@H](NC[C@H]2CCC=CO2)c2cccnc2)c1 ZINC000369737307 1044815000 /nfs/dbraw/zinc/81/50/00/1044815000.db2.gz SAZQODUQMIOZQA-UXHICEINSA-N 0 3 308.425 4.070 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@@H]2c2ccsc2)c1 ZINC000263717361 1044820745 /nfs/dbraw/zinc/82/07/45/1044820745.db2.gz NYVVOBRAIBERIC-QGZVFWFLSA-N 0 3 300.427 4.044 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2nc3ccccc3s2)C1(C)C ZINC000300412754 1044821358 /nfs/dbraw/zinc/82/13/58/1044821358.db2.gz VONNFNQKWRIVJR-BYCMXARLSA-N 0 3 304.459 4.151 20 0 DIADHN Cc1oncc1CN1CCc2ccccc2[C@H]1Cc1ccccc1 ZINC000445808303 1044822690 /nfs/dbraw/zinc/82/26/90/1044822690.db2.gz RKWKWGCPPZOAOG-OAQYLSRUSA-N 0 3 318.420 4.325 20 0 DIADHN c1csc([C@@H](NCc2cncn2Cc2ccccc2)C2CC2)c1 ZINC000445822863 1044830836 /nfs/dbraw/zinc/83/08/36/1044830836.db2.gz XQFGXCWVRDFVLM-IBGZPJMESA-N 0 3 323.465 4.234 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](CCO)C(C)(C)C)cs2)cc1 ZINC000572023860 1044840227 /nfs/dbraw/zinc/84/02/27/1044840227.db2.gz YBHNBANRRYJOBO-INIZCTEOSA-N 0 3 318.486 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2NCc2nnc(C(C)C)s2)cc1 ZINC000572186463 1044848952 /nfs/dbraw/zinc/84/89/52/1044848952.db2.gz CELPLFLHBWOCAK-CVEARBPZSA-N 0 3 315.486 4.396 20 0 DIADHN CC[C@H](N[C@H]1CCOc2cc(OC)ccc21)c1cccs1 ZINC000370679253 1044850837 /nfs/dbraw/zinc/85/08/37/1044850837.db2.gz NJABLBJTTYEZIK-GJZGRUSLSA-N 0 3 303.427 4.321 20 0 DIADHN C[C@H]1CCC[C@H](CCNC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000524550933 1044863917 /nfs/dbraw/zinc/86/39/17/1044863917.db2.gz NUFDEWWKNHLSJN-JKSUJKDBSA-N 0 3 317.477 4.086 20 0 DIADHN CN(CCOc1ccccc1C(F)(F)F)Cc1cccs1 ZINC000524547776 1044863991 /nfs/dbraw/zinc/86/39/91/1044863991.db2.gz KKOMKYAZNJITPJ-UHFFFAOYSA-N 0 3 315.360 4.278 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]1c1ccc(C)cc1 ZINC000371397063 1044873253 /nfs/dbraw/zinc/87/32/53/1044873253.db2.gz XHVBPEXXOGMZHE-HXUWFJFHSA-N 0 3 308.425 4.291 20 0 DIADHN COc1cccc(C2(NCc3ccnc4ccccc34)CC2)c1 ZINC000572707726 1044874083 /nfs/dbraw/zinc/87/40/83/1044874083.db2.gz FSDZJNYTKJCSCT-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN CCN(Cc1nc(C)c(C)s1)[C@H](C)Cc1ccc(OC)cc1 ZINC000357710689 1044886756 /nfs/dbraw/zinc/88/67/56/1044886756.db2.gz JBIRRRBUQZOUNU-CYBMUJFWSA-N 0 3 318.486 4.222 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@H](c2ccco2)C1 ZINC000371765983 1044888424 /nfs/dbraw/zinc/88/84/24/1044888424.db2.gz NNNMWPUPNHFAIB-ZBFHGGJFSA-N 0 3 308.381 4.485 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@@H](C)C[C@@H]3C)cs2)cc1 ZINC000524620115 1044893745 /nfs/dbraw/zinc/89/37/45/1044893745.db2.gz VKQUWBKOWRHYKU-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCCCC(C)(C)C1 ZINC000446068783 1044894251 /nfs/dbraw/zinc/89/42/51/1044894251.db2.gz SUOBOUCJHYRRKF-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN COCC[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000337449936 1044901423 /nfs/dbraw/zinc/90/14/23/1044901423.db2.gz HNXZETSZGBEKDA-GARJFASQSA-N 0 3 322.396 4.018 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H](C)c1ccc(F)cc1)CCC2 ZINC000372083996 1044904548 /nfs/dbraw/zinc/90/45/48/1044904548.db2.gz PSVVFLCPKVFFJL-DYVFJYSZSA-N 0 3 301.409 4.331 20 0 DIADHN C[C@@H](C(=O)Nc1cccc2ccsc21)N1[C@H](C)CC[C@@H]1C ZINC000337469085 1044905106 /nfs/dbraw/zinc/90/51/06/1044905106.db2.gz UIAWHWSSPLYJAZ-XQQFMLRXSA-N 0 3 302.443 4.101 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)c1cnn(C2CCCC2)c1 ZINC000337467781 1044906052 /nfs/dbraw/zinc/90/60/52/1044906052.db2.gz MMNUOYPQMCLMFJ-HNNXBMFYSA-N 0 3 320.440 4.397 20 0 DIADHN CCOc1cccc(CN[C@@H](C)c2nccc3ccccc32)c1 ZINC000573672276 1044918121 /nfs/dbraw/zinc/91/81/21/1044918121.db2.gz DQFOSWKAKUFYRM-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1csc(-c2ncccn2)n1 ZINC000524811640 1044926110 /nfs/dbraw/zinc/92/61/10/1044926110.db2.gz QBJXRNWDOCNDFT-ZFWWWQNUSA-N 0 3 316.474 4.001 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1cc(C)ccc1C ZINC000524812193 1044927346 /nfs/dbraw/zinc/92/73/46/1044927346.db2.gz YFUDCTSKKSRELD-YJBOKZPZSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCc1ccc(F)cc1Cl ZINC000573852497 1044927910 /nfs/dbraw/zinc/92/79/10/1044927910.db2.gz CQVVUYIPWUOVMG-INIZCTEOSA-N 0 3 321.827 4.199 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCOc3ccccc31)CC2 ZINC000133516058 1044938579 /nfs/dbraw/zinc/93/85/79/1044938579.db2.gz VVIWDAPMXUUZCZ-MOPGFXCFSA-N 0 3 309.409 4.186 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1cccc(NC(C)=O)c1 ZINC000337676999 1044938891 /nfs/dbraw/zinc/93/88/91/1044938891.db2.gz YKEBUCRPRNOEDV-UHFFFAOYSA-N 0 3 314.404 4.196 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1ccc(Cl)c(Cl)c1 ZINC000524979924 1044958257 /nfs/dbraw/zinc/95/82/57/1044958257.db2.gz FJXPATWLQSRCCN-ZDUSSCGKSA-N 0 3 324.251 4.376 20 0 DIADHN CCCN(Cc1ccc2c(n1)CCC2)[C@@H]1CCCc2cccnc21 ZINC000574538920 1044958179 /nfs/dbraw/zinc/95/81/79/1044958179.db2.gz GVKFBGGEJPHZDP-HXUWFJFHSA-N 0 3 321.468 4.255 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(CCc2c(F)cccc2Cl)C1 ZINC000574542957 1044958314 /nfs/dbraw/zinc/95/83/14/1044958314.db2.gz MTXMBNPQYGJNAZ-ACJLOTCBSA-N 0 3 318.823 4.500 20 0 DIADHN FC(F)(F)c1ccc(-n2cc(CN3C4CCC3CC4)cn2)cc1 ZINC000574605371 1044962448 /nfs/dbraw/zinc/96/24/48/1044962448.db2.gz KMLYFIBXDPRONT-UHFFFAOYSA-N 0 3 321.346 4.018 20 0 DIADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000574738618 1044968877 /nfs/dbraw/zinc/96/88/77/1044968877.db2.gz TURRSWHMOYRFHP-MCIONIFRSA-N 0 3 316.470 4.417 20 0 DIADHN FC(F)SCc1ccc(CNC[C@H]2CCCCS2)o1 ZINC000158061331 1044968940 /nfs/dbraw/zinc/96/89/40/1044968940.db2.gz PBJJGKFPFKDBLQ-GFCCVEGCSA-N 0 3 307.431 4.111 20 0 DIADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000574738619 1044969226 /nfs/dbraw/zinc/96/92/26/1044969226.db2.gz TURRSWHMOYRFHP-MGPQQGTHSA-N 0 3 316.470 4.417 20 0 DIADHN c1cc2c(o1)CCCN(Cc1cccc(-c3ccccn3)c1)C2 ZINC000574845453 1044975641 /nfs/dbraw/zinc/97/56/41/1044975641.db2.gz DGTRTBJAGPBOEA-UHFFFAOYSA-N 0 3 304.393 4.290 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](n2cc(C)cn2)C1 ZINC000574945552 1044980341 /nfs/dbraw/zinc/98/03/41/1044980341.db2.gz OBOHCUAWWVVVDE-SJORKVTESA-N 0 3 303.837 4.243 20 0 DIADHN CCN(Cc1cc(-c2ccccc2)on1)[C@@H]1CCOC(C)(C)C1 ZINC000574945512 1044981304 /nfs/dbraw/zinc/98/13/04/1044981304.db2.gz MFWTUBKRHKNTKF-QGZVFWFLSA-N 0 3 314.429 4.121 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cnn3ccccc23)c2ccccc21 ZINC000575079173 1044985896 /nfs/dbraw/zinc/98/58/96/1044985896.db2.gz QFXULSYLABANOB-RBUKOAKNSA-N 0 3 305.425 4.309 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C)[C@H]1C)c1cccc2ccccc21 ZINC000525120728 1044990278 /nfs/dbraw/zinc/99/02/78/1044990278.db2.gz QLRGZPDPAVYYEZ-YESZJQIVSA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(Cl)s1 ZINC000373563031 1044990391 /nfs/dbraw/zinc/99/03/91/1044990391.db2.gz SNQDFKOXSIJCOM-NEPJUHHUSA-N 0 3 309.866 4.253 20 0 DIADHN Cc1c(C)c(C)c(CN[C@@H](CO)c2ccc(F)cc2)c(C)c1C ZINC000575208891 1044990701 /nfs/dbraw/zinc/99/07/01/1044990701.db2.gz CFJSQHZCLVBRQM-FQEVSTJZSA-N 0 3 315.432 4.191 20 0 DIADHN CCCc1ccc([C@H](N[C@@H](C)c2n[nH]c(C)n2)C(C)C)cc1 ZINC000411391343 1045001929 /nfs/dbraw/zinc/00/19/29/1045001929.db2.gz BIYHJTWXZMCNTI-SUMWQHHRSA-N 0 3 300.450 4.114 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1ccc(-c2ncco2)cc1F ZINC000411394953 1045003833 /nfs/dbraw/zinc/00/38/33/1045003833.db2.gz ZBKFNSIQCCSEOP-ZDUSSCGKSA-N 0 3 322.449 4.444 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1c2ccc(F)cc2O[C@@H]1C ZINC000575772820 1045007607 /nfs/dbraw/zinc/00/76/07/1045007607.db2.gz HWARXFGARXPYDQ-PRSFTHDCSA-N 0 3 315.388 4.014 20 0 DIADHN CC[C@H](NC1CCN(c2ccccc2)CC1)c1nc(C)cs1 ZINC000150991310 1045011791 /nfs/dbraw/zinc/01/17/91/1045011791.db2.gz HNRGRLGHBGJEGF-KRWDZBQOSA-N 0 3 315.486 4.161 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000575836267 1045012289 /nfs/dbraw/zinc/01/22/89/1045012289.db2.gz TVHGZKNVKVZMJG-PXAZEXFGSA-N 0 3 303.837 4.285 20 0 DIADHN CC[C@H](NCCOc1c(C)cccc1C)c1c(C)noc1C ZINC000411521367 1045013287 /nfs/dbraw/zinc/01/32/87/1045013287.db2.gz YKXTULKLDLZLOV-INIZCTEOSA-N 0 3 302.418 4.028 20 0 DIADHN CCCc1ccc([C@@H](NCc2ccc(CO)o2)C(C)C)cc1 ZINC000525195121 1045013552 /nfs/dbraw/zinc/01/35/52/1045013552.db2.gz RRQBDKVOVFFWEG-IBGZPJMESA-N 0 3 301.430 4.211 20 0 DIADHN C[C@H]1C[C@@H](NCc2cccnc2)c2cccc(Br)c21 ZINC000151095998 1045015493 /nfs/dbraw/zinc/01/54/93/1045015493.db2.gz HABJQTJOUXPEDM-XHDPSFHLSA-N 0 3 317.230 4.182 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC000525247206 1045015787 /nfs/dbraw/zinc/01/57/87/1045015787.db2.gz SISPKEOHXJLWJU-HOCLYGCPSA-N 0 3 318.486 4.005 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@H](C(C)C)C1 ZINC000446712713 1045015949 /nfs/dbraw/zinc/01/59/49/1045015949.db2.gz SOLFFRJMUMTZKY-INIZCTEOSA-N 0 3 302.462 4.035 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3c2ccc(OC)c3F)cc1 ZINC000575901097 1045016114 /nfs/dbraw/zinc/01/61/14/1045016114.db2.gz BXRHDVZBDZNJSL-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN Cn1cccc1[C@H]1CCCN1C/C=C\c1ccc(F)c(F)c1 ZINC000575906812 1045018297 /nfs/dbraw/zinc/01/82/97/1045018297.db2.gz OOPRQGNXMNDSRW-OTZGOOTISA-N 0 3 302.368 4.154 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(Cl)cc1Cl)C1CCC1 ZINC000575912394 1045018433 /nfs/dbraw/zinc/01/84/33/1045018433.db2.gz SNXAVPMTWJSCIW-UHFFFAOYSA-N 0 3 315.244 4.195 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@@H](CC(F)(F)F)C3)cc2c1 ZINC000411635494 1045020360 /nfs/dbraw/zinc/02/03/60/1045020360.db2.gz NQCSVWBTAMNUQU-ZDUSSCGKSA-N 0 3 308.347 4.318 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1coc(-c2cccc(F)c2)n1 ZINC000525273933 1045023987 /nfs/dbraw/zinc/02/39/87/1045023987.db2.gz NXFKXFVJXQBNON-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1ccnc([C@H](C)NCCSc2ccc(Cl)cc2)c1 ZINC000575998637 1045024938 /nfs/dbraw/zinc/02/49/38/1045024938.db2.gz SJPFFPJKKXPVBU-ZDUSSCGKSA-N 0 3 306.862 4.486 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC000411753508 1045027961 /nfs/dbraw/zinc/02/79/61/1045027961.db2.gz FONGSGDEELETQB-ZDUSSCGKSA-N 0 3 320.433 4.056 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2sc(CC)nc2C)cc1 ZINC000151609409 1045029025 /nfs/dbraw/zinc/02/90/25/1045029025.db2.gz SLDKSOALZURZQP-ZDUSSCGKSA-N 0 3 318.486 4.401 20 0 DIADHN CC(=O)c1cc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)on1 ZINC000576049554 1045029045 /nfs/dbraw/zinc/02/90/45/1045029045.db2.gz CRLHFGLSQWBSEG-NSHGMRRFSA-N 0 3 324.424 4.035 20 0 DIADHN O[C@H]1CCCN([C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411771904 1045030535 /nfs/dbraw/zinc/03/05/35/1045030535.db2.gz PMIABNSKJZRGLE-RBUKOAKNSA-N 0 3 315.844 4.276 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H]3C(C)(C)C)co2)cc1 ZINC000525274565 1045030786 /nfs/dbraw/zinc/03/07/86/1045030786.db2.gz FCPKLSCTGCMEDF-KRWDZBQOSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@H](NCCc1cc(Cl)ccc1Cl)c1nccs1 ZINC000411877503 1045031949 /nfs/dbraw/zinc/03/19/49/1045031949.db2.gz CYNWTZBGEJUCGC-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000411884823 1045032464 /nfs/dbraw/zinc/03/24/64/1045032464.db2.gz GWAVAEUARSZJCP-WMZOPIPTSA-N 0 3 310.441 4.371 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC(C)C)C3CC3)co2)cc1 ZINC000446767218 1045032707 /nfs/dbraw/zinc/03/27/07/1045032707.db2.gz KIODIHQWABWFLH-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3CC[C@H](C(C)C)C3)n2)cc1F ZINC000446736469 1045034342 /nfs/dbraw/zinc/03/43/42/1045034342.db2.gz UPVOPMUUTYPNTM-HIFRSBDPSA-N 0 3 317.408 4.223 20 0 DIADHN CC[C@@H]1CCN([C@H](C)c2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000446737683 1045034536 /nfs/dbraw/zinc/03/45/36/1045034536.db2.gz YNOVGQAUMAMBIS-VXGBXAGGSA-N 0 3 305.809 4.183 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000411890606 1045036042 /nfs/dbraw/zinc/03/60/42/1045036042.db2.gz XUOXQOYROICXFX-AEFFLSMTSA-N 0 3 310.441 4.371 20 0 DIADHN Cc1cccc([C@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)n1 ZINC000576124357 1045036576 /nfs/dbraw/zinc/03/65/76/1045036576.db2.gz PGDGYTWLXUDZES-SJLPKXTDSA-N 0 3 300.446 4.019 20 0 DIADHN COc1cc(CN2CCC[C@H](C(F)(F)F)[C@@H]2C)ccc1C ZINC000446797101 1045037088 /nfs/dbraw/zinc/03/70/88/1045037088.db2.gz PBZYJBGPDPFONY-JSGCOSHPSA-N 0 3 301.352 4.166 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1scnc1C ZINC000576147722 1045037463 /nfs/dbraw/zinc/03/74/63/1045037463.db2.gz JRBJEQHXVUIQFN-CJNGLKHVSA-N 0 3 316.470 4.433 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H]2CCCc3occc32)cc1OC ZINC000152239794 1045038107 /nfs/dbraw/zinc/03/81/07/1045038107.db2.gz XMSPXDBEWSWDKT-CJNGLKHVSA-N 0 3 315.413 4.333 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccncc3)c2)[C@H]1[C@H]1CCCO1 ZINC000576156241 1045039340 /nfs/dbraw/zinc/03/93/40/1045039340.db2.gz FPNUAKVGHJCVCS-UXHICEINSA-N 0 3 322.452 4.138 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@@H](c3ncccc3C)C2)cs1 ZINC000374258166 1045041072 /nfs/dbraw/zinc/04/10/72/1045041072.db2.gz OBJRGFAMHFERRA-HUUCEWRRSA-N 0 3 315.486 4.225 20 0 DIADHN CC(C)CCOc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000152550883 1045044431 /nfs/dbraw/zinc/04/44/31/1045044431.db2.gz TXZJXVFLBSDGCR-JKSUJKDBSA-N 0 3 315.461 4.257 20 0 DIADHN COC1([C@@H](C)NCc2nc(-c3ccc(C)cc3)cs2)CCC1 ZINC000412020368 1045048293 /nfs/dbraw/zinc/04/82/93/1045048293.db2.gz ILSSFISSMLKUQU-CQSZACIVSA-N 0 3 316.470 4.166 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000412031369 1045048776 /nfs/dbraw/zinc/04/87/76/1045048776.db2.gz APWKGZXYRSRCGZ-CZXHOFHRSA-N 0 3 314.256 4.147 20 0 DIADHN COC1([C@H](C)NCc2cc(-c3ccc(Cl)cc3)no2)CCC1 ZINC000412018224 1045049347 /nfs/dbraw/zinc/04/93/47/1045049347.db2.gz DVTYCGVPJOWEKR-LBPRGKRZSA-N 0 3 320.820 4.042 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC(C)(C)C[C@@H]2C)c(C)c1 ZINC000412045933 1045051419 /nfs/dbraw/zinc/05/14/19/1045051419.db2.gz QMYMSEIWQZVUCM-JKSUJKDBSA-N 0 3 302.462 4.059 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCC[C@@H]2C(F)(F)F)c(F)cn1 ZINC000576345791 1045051956 /nfs/dbraw/zinc/05/19/56/1045051956.db2.gz OSQMSCBLRVQEGN-SUZMYJTESA-N 0 3 320.330 4.001 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1cnccn1 ZINC000153154635 1045052246 /nfs/dbraw/zinc/05/22/46/1045052246.db2.gz GXIGYYJVSSMEBW-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN CCOc1c(Cl)cc(CN2CCCC23CCC3)cc1OC ZINC000446835485 1045052580 /nfs/dbraw/zinc/05/25/80/1045052580.db2.gz LPOJDKWTRQKTQR-UHFFFAOYSA-N 0 3 309.837 4.266 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)c1 ZINC000412216644 1045064912 /nfs/dbraw/zinc/06/49/12/1045064912.db2.gz JUWXJDIMYYGQCL-CTNGQTDRSA-N 0 3 322.452 4.189 20 0 DIADHN C[C@H](NCCSCc1ccccc1F)c1cccnc1Cl ZINC000158776524 1045065310 /nfs/dbraw/zinc/06/53/10/1045065310.db2.gz UVZADPQKYCTAAL-LBPRGKRZSA-N 0 3 324.852 4.458 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(SC(F)F)cc1 ZINC000778958703 1045078831 /nfs/dbraw/zinc/07/88/31/1045078831.db2.gz QBSIIZGHLXCWOR-LBPRGKRZSA-N 0 3 323.408 4.344 20 0 DIADHN COC1(CNCc2ccc(C)cn2)CCC(c2ccccc2)CC1 ZINC000576783918 1045079994 /nfs/dbraw/zinc/07/99/94/1045079994.db2.gz ZHLVIMBPQNJWTA-UHFFFAOYSA-N 0 3 324.468 4.223 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H]3CC[C@@H](C)C3)cs2)cc1 ZINC000429568443 1045088773 /nfs/dbraw/zinc/08/87/73/1045088773.db2.gz PUHBZUIBFBHEEU-CJNGLKHVSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@@H]1CCC[C@@H](CC(=O)Nc2ccc(CN(C)C)cc2F)C1 ZINC000412559141 1045091359 /nfs/dbraw/zinc/09/13/59/1045091359.db2.gz MOQIDOJIDMFRQJ-ZIAGYGMSSA-N 0 3 306.425 4.042 20 0 DIADHN Cn1nccc1C1C[C@H]2CC[C@@H](C1)N2Cc1sccc1Cl ZINC000374832534 1045091962 /nfs/dbraw/zinc/09/19/62/1045091962.db2.gz KSQOAISCHAOVFJ-YHWZYXNKSA-N 0 3 321.877 4.046 20 0 DIADHN CCCc1ccc(C(=O)Nc2ccc(CN(C)C)cc2F)cc1 ZINC000412562634 1045092263 /nfs/dbraw/zinc/09/22/63/1045092263.db2.gz XOTJDEFMUDWZEU-UHFFFAOYSA-N 0 3 314.404 4.092 20 0 DIADHN CCC[C@H]1CCC[C@@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412573116 1045093319 /nfs/dbraw/zinc/09/33/19/1045093319.db2.gz KXJZFRAMXCGOIK-GJZGRUSLSA-N 0 3 306.425 4.042 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1cnc([C@H]2CCCO2)s1 ZINC000447072519 1045094860 /nfs/dbraw/zinc/09/48/60/1045094860.db2.gz LBCFOBPUOCDJTD-XJKSGUPXSA-N 0 3 308.491 4.395 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000447072518 1045095044 /nfs/dbraw/zinc/09/50/44/1045095044.db2.gz LBCFOBPUOCDJTD-BBRMVZONSA-N 0 3 308.491 4.395 20 0 DIADHN Cc1cnccc1OC1CCN(Cc2coc3ccccc23)CC1 ZINC000447072740 1045095632 /nfs/dbraw/zinc/09/56/32/1045095632.db2.gz NLIKKHYTZBAVMI-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H]1CN(c2cccc3cnccc32)CCN1Cc1cccs1 ZINC000447102904 1045098139 /nfs/dbraw/zinc/09/81/39/1045098139.db2.gz UKYNIEBSMASEOE-HNNXBMFYSA-N 0 3 323.465 4.007 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cn(C)c2ccc(F)cc12)c1cscn1 ZINC000577267456 1045110327 /nfs/dbraw/zinc/11/03/27/1045110327.db2.gz OWSFRKZITUJTOO-NWDGAFQWSA-N 0 3 317.433 4.056 20 0 DIADHN CO[C@H](CNCc1ccc(F)c(F)c1)c1ccc(Cl)cc1 ZINC000525695146 1045112750 /nfs/dbraw/zinc/11/27/50/1045112750.db2.gz WMSFARJDKDUFQE-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nccs3)C2)c(Cl)c1 ZINC000447348649 1045119673 /nfs/dbraw/zinc/11/96/73/1045119673.db2.gz KGFWZARQHGGDRX-CQSZACIVSA-N 0 3 306.862 4.485 20 0 DIADHN CCOC[C@@H]1CCCN(CCc2cc(Cl)cc(Cl)c2)C1 ZINC000447350093 1045121805 /nfs/dbraw/zinc/12/18/05/1045121805.db2.gz ZHTBIWQQNIYIDI-CQSZACIVSA-N 0 3 316.272 4.284 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](c4ccco4)C3)o2)cc1 ZINC000600685707 1045128767 /nfs/dbraw/zinc/12/87/67/1045128767.db2.gz JRSYRQAMDFGBEO-GOEBONIOSA-N 0 3 323.396 4.189 20 0 DIADHN Brc1ccccc1CN1CC[C@H](c2ccco2)C1 ZINC000600693522 1045130009 /nfs/dbraw/zinc/13/00/09/1045130009.db2.gz SIQONWXHSQSJMV-ZDUSSCGKSA-N 0 3 306.203 4.032 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CC[C@@H](c2ccco2)C1 ZINC000600688230 1045130043 /nfs/dbraw/zinc/13/00/43/1045130043.db2.gz PBVBTHXERIHMNH-CYBMUJFWSA-N 0 3 314.410 4.294 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1cc(C(C)=O)no1 ZINC000577505024 1045132028 /nfs/dbraw/zinc/13/20/28/1045132028.db2.gz BHIMHJLKDGDFGQ-IEBWSBKVSA-N 0 3 312.413 4.035 20 0 DIADHN C[C@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnn1C ZINC000447528825 1045137564 /nfs/dbraw/zinc/13/75/64/1045137564.db2.gz IPKXGLBVJXTTIK-XJKSGUPXSA-N 0 3 303.425 4.387 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc(OC)c(F)c1 ZINC000525957046 1045140153 /nfs/dbraw/zinc/14/01/53/1045140153.db2.gz NKCUFPNWRCAJRS-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc(C(F)F)c1)c1ccccc1 ZINC000577598280 1045140760 /nfs/dbraw/zinc/14/07/60/1045140760.db2.gz OMNMVDQFTYFLOL-KRWDZBQOSA-N 0 3 319.395 4.474 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cc(C)oc1C)c1ccco1 ZINC000447538365 1045143433 /nfs/dbraw/zinc/14/34/33/1045143433.db2.gz BJJYXGWWSXIPRI-PBHICJAKSA-N 0 3 304.434 4.223 20 0 DIADHN COc1ccc([C@@H](NCc2ccc3c(n2)CCCC3)C(C)C)cc1 ZINC000447570373 1045147000 /nfs/dbraw/zinc/14/70/00/1045147000.db2.gz GMOXGPRUPKIKNP-NRFANRHFSA-N 0 3 324.468 4.456 20 0 DIADHN CCO[C@@H](CN[C@@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000447574721 1045147489 /nfs/dbraw/zinc/14/74/89/1045147489.db2.gz WKBKUPJESXTMRU-RBUKOAKNSA-N 0 3 316.420 4.285 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@@H](C)c1nnc2ccccn21 ZINC000557097411 1045150061 /nfs/dbraw/zinc/15/00/61/1045150061.db2.gz KSTTYXVLNLPIMH-CVEARBPZSA-N 0 3 300.450 4.153 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1C[C@@H](c2ccccc2)C[C@H]1C ZINC000577673401 1045154268 /nfs/dbraw/zinc/15/42/68/1045154268.db2.gz VVHXZGAKPYKIRX-AOIWGVFYSA-N 0 3 322.452 4.200 20 0 DIADHN CC(C)c1ncc(CN2CC[C@@H](c3ccc(F)cc3)C2)s1 ZINC000526001429 1045156403 /nfs/dbraw/zinc/15/64/03/1045156403.db2.gz VLAKGHSCCSEYDG-CQSZACIVSA-N 0 3 304.434 4.395 20 0 DIADHN CC(C)c1ncc(CN2CC[C@H](c3ccc(F)cc3)C2)s1 ZINC000526001428 1045157789 /nfs/dbraw/zinc/15/77/89/1045157789.db2.gz VLAKGHSCCSEYDG-AWEZNQCLSA-N 0 3 304.434 4.395 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000557272982 1045163137 /nfs/dbraw/zinc/16/31/37/1045163137.db2.gz FQIREQCLXTYGNU-SJCJKPOMSA-N 0 3 318.367 4.485 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C1(CC)CC1)c1ccc(Cl)cc1 ZINC000557334238 1045169070 /nfs/dbraw/zinc/16/90/70/1045169070.db2.gz ZJPGMIRHFQVZLS-INIZCTEOSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc(CN(C)[C@@H](CCO)c2ccccc2)cc1Cl ZINC000447737831 1045171568 /nfs/dbraw/zinc/17/15/68/1045171568.db2.gz AQCHTRWLOFKVQT-SFHVURJKSA-N 0 3 303.833 4.204 20 0 DIADHN COc1cc(CN2CCC(OC3CCCCC3)CC2)ccc1C ZINC000447743267 1045173372 /nfs/dbraw/zinc/17/33/72/1045173372.db2.gz SNNMNCOOPHDEDX-UHFFFAOYSA-N 0 3 317.473 4.317 20 0 DIADHN CC(C)c1ccc([C@@H](NC[C@H]2CCCO2)c2cccnc2)cc1 ZINC000924541643 1045176146 /nfs/dbraw/zinc/17/61/46/1045176146.db2.gz DWXSXVFLRFLIBZ-WOJBJXKFSA-N 0 3 310.441 4.063 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924577852 1045177460 /nfs/dbraw/zinc/17/74/60/1045177460.db2.gz GDJDDPDFWFLXLO-HNAYVOBHSA-N 0 3 314.477 4.062 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924577847 1045178182 /nfs/dbraw/zinc/17/81/82/1045178182.db2.gz GDJDDPDFWFLXLO-BEFAXECRSA-N 0 3 314.477 4.062 20 0 DIADHN Cc1cccc(C)c1CCNCc1nc(-c2ccccc2)c[nH]1 ZINC000447818742 1045179161 /nfs/dbraw/zinc/17/91/61/1045179161.db2.gz YPDWVTBJMWGSRV-UHFFFAOYSA-N 0 3 305.425 4.026 20 0 DIADHN COc1ncc(CN[C@@H](C)c2ccc(C)cc2C)cc1Cl ZINC000557455936 1045181781 /nfs/dbraw/zinc/18/17/81/1045181781.db2.gz CAEAUCLPDKWLCB-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cc(-c2ccccc2)n[nH]1 ZINC000577872085 1045183075 /nfs/dbraw/zinc/18/30/75/1045183075.db2.gz RYAQUFHULORORX-UHFFFAOYSA-N 0 3 305.425 4.410 20 0 DIADHN Fc1ccccc1CN[C@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000557464490 1045183338 /nfs/dbraw/zinc/18/33/38/1045183338.db2.gz VCXJDVRGPMGBFY-IRXDYDNUSA-N 0 3 305.780 4.099 20 0 DIADHN C[C@H](CNCc1nc(-c2ccccc2)c[nH]1)Sc1ccccc1 ZINC000447854955 1045184036 /nfs/dbraw/zinc/18/40/36/1045184036.db2.gz NOXDONGNINZAPU-OAHLLOKOSA-N 0 3 323.465 4.347 20 0 DIADHN COC1CCC(CCNCc2ncc(C(C)(C)C)s2)CC1 ZINC000447898735 1045188020 /nfs/dbraw/zinc/18/80/20/1045188020.db2.gz YKDRDRJHBXEZAH-UHFFFAOYSA-N 0 3 310.507 4.126 20 0 DIADHN Cc1cccc2c1[C@H](NCc1ccc(N3CCCCC3)nc1)CC2 ZINC000924688108 1045188574 /nfs/dbraw/zinc/18/85/74/1045188574.db2.gz LVANNCDRBPHHRO-LJQANCHMSA-N 0 3 321.468 4.157 20 0 DIADHN c1cc(CN[C@H]2CCCc3ccccc32)n(-c2ccccc2)n1 ZINC000447941741 1045190640 /nfs/dbraw/zinc/19/06/40/1045190640.db2.gz GCSLREMEUGGIRT-FQEVSTJZSA-N 0 3 303.409 4.040 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CCc3ccccc32)s1 ZINC000447935723 1045192694 /nfs/dbraw/zinc/19/26/94/1045192694.db2.gz JGIJDARGUUPSCJ-CQSZACIVSA-N 0 3 300.471 4.260 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](CC)c2cccc(F)c2)c1 ZINC000527099460 1045193832 /nfs/dbraw/zinc/19/38/32/1045193832.db2.gz RCIBINZSMPZCSD-GOSISDBHSA-N 0 3 314.404 4.415 20 0 DIADHN COC[C@H](C)CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C ZINC000527116340 1045197518 /nfs/dbraw/zinc/19/75/18/1045197518.db2.gz PEITZLFAOCAJDU-CRAIPNDOSA-N 0 3 315.461 4.037 20 0 DIADHN COc1cccc2c(CN[C@@H](C)c3cnn(C(C)C)c3)cccc12 ZINC000578022578 1045198285 /nfs/dbraw/zinc/19/82/85/1045198285.db2.gz ABKZWNAFGIQWBZ-HNNXBMFYSA-N 0 3 323.440 4.477 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCc1ccc2ccccc2c1 ZINC000924834210 1045200857 /nfs/dbraw/zinc/20/08/57/1045200857.db2.gz ZKJPOCFZMMUJOK-SFHVURJKSA-N 0 3 306.409 4.346 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2N[C@H](C)Cc1ccccc1Cl ZINC000924817301 1045201262 /nfs/dbraw/zinc/20/12/62/1045201262.db2.gz JNAXFIICAIULRV-IAQYHMDHSA-N 0 3 304.821 4.235 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)c1ccc(C(C)(C)O)cc1 ZINC000924814526 1045201426 /nfs/dbraw/zinc/20/14/26/1045201426.db2.gz QXNMEQZHBRZHKH-NSHDSACASA-N 0 3 309.862 4.480 20 0 DIADHN CCOc1cc(CN2[C@H](C)C[C@H]2C)ccc1OCC(F)(F)F ZINC000527238813 1045207289 /nfs/dbraw/zinc/20/72/89/1045207289.db2.gz SZLURKMGOXKFIU-VXGBXAGGSA-N 0 3 317.351 4.009 20 0 DIADHN Cc1ccc(CCCNCc2c(Cl)cccc2Cl)cn1 ZINC000527229209 1045209532 /nfs/dbraw/zinc/20/95/32/1045209532.db2.gz FCHQBMJYUKIOGX-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC1(c2ccc(Cl)cc2)CC1 ZINC000924922200 1045211842 /nfs/dbraw/zinc/21/18/42/1045211842.db2.gz XXBQAKTWCNBNDD-OAHLLOKOSA-N 0 3 316.832 4.335 20 0 DIADHN CCN(Cc1ccc(CF)cc1)Cc1ccc(OC)c(OC)c1 ZINC000777540266 1045215427 /nfs/dbraw/zinc/21/54/27/1045215427.db2.gz MCLOFOPKSKDEHL-UHFFFAOYSA-N 0 3 317.404 4.195 20 0 DIADHN COc1cc([C@@H](C)NCc2scnc2C)cc2ccccc21 ZINC000924972232 1045216155 /nfs/dbraw/zinc/21/61/55/1045216155.db2.gz XVCCCHVWZPRLJV-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@@H](c3ccccc3)C2)nc2ccccc12 ZINC000527351895 1045216372 /nfs/dbraw/zinc/21/63/72/1045216372.db2.gz FUARNAFFOKIFPN-QZTJIDSGSA-N 0 3 317.436 4.364 20 0 DIADHN CC(C)COc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1 ZINC000578175786 1045218007 /nfs/dbraw/zinc/21/80/07/1045218007.db2.gz XLMGXRCFWAULPG-HXUWFJFHSA-N 0 3 310.441 4.454 20 0 DIADHN COC[C@@H](C)CN(Cc1cccs1)Cc1ccccc1OC ZINC000527356397 1045220597 /nfs/dbraw/zinc/22/05/97/1045220597.db2.gz MDAXMQOKVYXPJP-HNNXBMFYSA-N 0 3 319.470 4.041 20 0 DIADHN C[C@@H](CNCc1nc(C(C)(C)C)cs1)c1ccc(F)cc1 ZINC000527361084 1045222051 /nfs/dbraw/zinc/22/20/51/1045222051.db2.gz WXJLYKDSCUNQIW-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN Cc1ccc2cc(CN[C@@H](C)c3cnn(C(C)C)c3)ccc2n1 ZINC000527361212 1045222846 /nfs/dbraw/zinc/22/28/46/1045222846.db2.gz YTQQWCCNHLITPB-HNNXBMFYSA-N 0 3 308.429 4.171 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@H](Nc2ccccc2)C1 ZINC000578250252 1045224599 /nfs/dbraw/zinc/22/45/99/1045224599.db2.gz UCTFMDNZGAAQAK-LJQANCHMSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@H](Nc2ccccc2)C1 ZINC000578250251 1045224796 /nfs/dbraw/zinc/22/47/96/1045224796.db2.gz UCTFMDNZGAAQAK-IBGZPJMESA-N 0 3 309.457 4.200 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000527484678 1045226253 /nfs/dbraw/zinc/22/62/53/1045226253.db2.gz IWHKRCXAUYXIJH-QWHCGFSZSA-N 0 3 318.486 4.245 20 0 DIADHN C[C@H](CN[C@H](C)c1cn2c(n1)CCCC2)Sc1ccccc1 ZINC000925161773 1045231214 /nfs/dbraw/zinc/23/12/14/1045231214.db2.gz LGUGFLPDMASTJO-HUUCEWRRSA-N 0 3 315.486 4.051 20 0 DIADHN CSC(C)(C)CN[C@H](c1cccc(C)c1)c1ccccn1 ZINC000925188210 1045232070 /nfs/dbraw/zinc/23/20/70/1045232070.db2.gz SNCYJDUESVDFFK-QGZVFWFLSA-N 0 3 300.471 4.211 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@@H](C)c2ccc(F)cn2)cc1 ZINC000578340872 1045232977 /nfs/dbraw/zinc/23/29/77/1045232977.db2.gz MAOVTIOGHAMYAV-CABCVRRESA-N 0 3 316.420 4.462 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@@H](C)c2ccc(F)cn2)cc1 ZINC000578340873 1045233151 /nfs/dbraw/zinc/23/31/51/1045233151.db2.gz MAOVTIOGHAMYAV-GJZGRUSLSA-N 0 3 316.420 4.462 20 0 DIADHN FC(F)(F)CCCNCc1csc(-c2ccccc2)n1 ZINC000578341181 1045234856 /nfs/dbraw/zinc/23/48/56/1045234856.db2.gz ZOJLISJKULXBOB-UHFFFAOYSA-N 0 3 300.349 4.242 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3ccnn3-c3ccccc3)C2)c1 ZINC000448336625 1045235333 /nfs/dbraw/zinc/23/53/33/1045235333.db2.gz GLDUADGIPXLGJU-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN Clc1ccnc2c1CCC[C@@H]2N[C@@H]1CCCc2[nH]ccc21 ZINC000925228876 1045237014 /nfs/dbraw/zinc/23/70/14/1045237014.db2.gz PYSBBAYNAWAGRR-CVEARBPZSA-N 0 3 301.821 4.108 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H]2[C@@H]3CCCC[C@@H]3C2(C)C)cs1 ZINC000925234794 1045237975 /nfs/dbraw/zinc/23/79/75/1045237975.db2.gz BWGOUEYBXRPUSF-PMOUVXMZSA-N 0 3 308.491 4.155 20 0 DIADHN Clc1ccnc2c1CCC[C@H]2N[C@@H]1CCCc2[nH]ccc21 ZINC000925228879 1045238014 /nfs/dbraw/zinc/23/80/14/1045238014.db2.gz PYSBBAYNAWAGRR-HZPDHXFCSA-N 0 3 301.821 4.108 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCN1Cc1ccc(Oc2ccccc2)o1 ZINC000448366605 1045239818 /nfs/dbraw/zinc/23/98/18/1045239818.db2.gz NGLMVOWSNQVJII-HZPDHXFCSA-N 0 3 315.413 4.197 20 0 DIADHN Cc1ccc([C@H](O)CNC(c2ccccc2)c2ccccc2)o1 ZINC000779069914 1045242241 /nfs/dbraw/zinc/24/22/41/1045242241.db2.gz UTJXYJPYKMPOCB-GOSISDBHSA-N 0 3 307.393 4.001 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1ncc(Cl)cc1Br ZINC000527645206 1045243936 /nfs/dbraw/zinc/24/39/36/1045243936.db2.gz KVTCDFCDHPRIFP-RKDXNWHRSA-N 0 3 305.647 4.022 20 0 DIADHN Cc1nc2n(n1)CCC[C@@H]2N[C@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000527671578 1045246199 /nfs/dbraw/zinc/24/61/99/1045246199.db2.gz VJAWOEUSOOHZOV-QYZOEREBSA-N 0 3 324.472 4.128 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2ccncc2Cl)cc1 ZINC000527671430 1045246935 /nfs/dbraw/zinc/24/69/35/1045246935.db2.gz XHNPMWKNFSYOFQ-QZTJIDSGSA-N 0 3 316.832 4.053 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1cccnc1Br ZINC001258059976 1045248051 /nfs/dbraw/zinc/24/80/51/1045248051.db2.gz GOTQHNIPEYJNQF-CHWSQXEVSA-N 0 3 313.283 4.395 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccnc(SCC)c2)c1 ZINC000779076137 1045251892 /nfs/dbraw/zinc/25/18/92/1045251892.db2.gz CRJXQBPYEGXSBP-AWEZNQCLSA-N 0 3 316.470 4.443 20 0 DIADHN COc1cccc(C2(NCc3ccc4cc[nH]c4c3)CCC2)c1 ZINC000527724878 1045255093 /nfs/dbraw/zinc/25/50/93/1045255093.db2.gz CXVFCUQGYHAWHH-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1nc(Br)cs1 ZINC001258061723 1045259357 /nfs/dbraw/zinc/25/93/57/1045259357.db2.gz OTYVZCMOHPHUGD-QWRGUYRKSA-N 0 3 319.312 4.456 20 0 DIADHN Cc1cccc([C@@H](NCc2cc(-c3cccnc3)n[nH]2)C(C)C)c1 ZINC000527814295 1045264531 /nfs/dbraw/zinc/26/45/31/1045264531.db2.gz QMFZHYFKJQNLPN-FQEVSTJZSA-N 0 3 320.440 4.267 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2ccc(C(N)=O)cc2)cc1 ZINC000527845477 1045265461 /nfs/dbraw/zinc/26/54/61/1045265461.db2.gz JZGPOIQYGGFNBA-CABCVRRESA-N 0 3 310.441 4.150 20 0 DIADHN COc1ccc([C@@H](NCc2ccc3occc3c2)C2CC2)cn1 ZINC000527840887 1045266608 /nfs/dbraw/zinc/26/66/08/1045266608.db2.gz DETWNTOPLIOQNG-IBGZPJMESA-N 0 3 308.381 4.077 20 0 DIADHN COc1ccc([C@H](NCc2ccc3occc3c2)C2CC2)cn1 ZINC000527840888 1045266709 /nfs/dbraw/zinc/26/67/09/1045266709.db2.gz DETWNTOPLIOQNG-LJQANCHMSA-N 0 3 308.381 4.077 20 0 DIADHN COc1ccc([C@@H](NCc2cc(Cl)cs2)C2CC2)cn1 ZINC000527841777 1045267038 /nfs/dbraw/zinc/26/70/38/1045267038.db2.gz RRQGPDNKLLGKRG-HNNXBMFYSA-N 0 3 308.834 4.046 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527843874 1045267156 /nfs/dbraw/zinc/26/71/56/1045267156.db2.gz PIEGGVJMKWFSDL-QGZVFWFLSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H](NCC[C@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000779086953 1045267242 /nfs/dbraw/zinc/26/72/42/1045267242.db2.gz RTVKDCWWLZHJHT-ACJLOTCBSA-N 0 3 321.823 4.428 20 0 DIADHN COc1ccc([C@@H](NCc2ccc3ccccc3c2)C2CC2)cn1 ZINC000527839627 1045267514 /nfs/dbraw/zinc/26/75/14/1045267514.db2.gz HTSWUMAHRGOQQS-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000578782640 1045271513 /nfs/dbraw/zinc/27/15/13/1045271513.db2.gz MKYBLODKJUOSCR-DYVFJYSZSA-N 0 3 324.896 4.320 20 0 DIADHN Cc1cccc([C@@H](NCc2c3c(nn2C)CCCC3)C(C)C)c1 ZINC000527897985 1045275940 /nfs/dbraw/zinc/27/59/40/1045275940.db2.gz BPBUFZKWFVSBNF-FQEVSTJZSA-N 0 3 311.473 4.094 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCCC1)c1nnc(-c2cccs2)o1 ZINC000578938111 1045283123 /nfs/dbraw/zinc/28/31/23/1045283123.db2.gz ZLHQHLXBCPQRSM-NWDGAFQWSA-N 0 3 305.447 4.418 20 0 DIADHN COc1cccc([C@@H](CN[C@H](C)c2cc(F)cc(F)c2)OC)c1 ZINC000527920603 1045283397 /nfs/dbraw/zinc/28/33/97/1045283397.db2.gz OEFBLSKZPCAPOP-KZULUSFZSA-N 0 3 321.367 4.012 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](c1ccccn1)C1CCC1)CCC2 ZINC000527962423 1045289142 /nfs/dbraw/zinc/28/91/42/1045289142.db2.gz DRNXOTFZWSVAAD-IAGOWNOFSA-N 0 3 313.470 4.355 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CCC1)c1ccc2c(c1)CCO2 ZINC000527966780 1045289517 /nfs/dbraw/zinc/28/95/17/1045289517.db2.gz LHRPZQGNLXJWCP-VLIAUNLRSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3cn(C)nc3c2)C2CCCC2)o1 ZINC000527964747 1045289870 /nfs/dbraw/zinc/28/98/70/1045289870.db2.gz QWKOIBZWYKDYIX-HXUWFJFHSA-N 0 3 323.440 4.496 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H](c2ccccn2)C2CCC2)cc1 ZINC000527961151 1045289884 /nfs/dbraw/zinc/28/98/84/1045289884.db2.gz BBFZNIKKKUNIEF-VLIAUNLRSA-N 0 3 323.440 4.232 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccccc1Cl)c1ccccc1F ZINC000779116786 1045290897 /nfs/dbraw/zinc/29/08/97/1045290897.db2.gz NOQLSDFHVSQZRN-IRXDYDNUSA-N 0 3 307.796 4.253 20 0 DIADHN CC1=C(C(=O)Nc2ccccc2CN(C)C)CCC(C)(C)C1 ZINC000579073645 1045292606 /nfs/dbraw/zinc/29/26/06/1045292606.db2.gz BMEQRILFNFNIAB-UHFFFAOYSA-N 0 3 300.446 4.213 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2csc(C)c2)C2CC2)cn1 ZINC000528042820 1045297509 /nfs/dbraw/zinc/29/75/09/1045297509.db2.gz JMSAGNGIYMPDJR-SJCJKPOMSA-N 0 3 302.443 4.262 20 0 DIADHN CC[C@@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1cccc(F)c1 ZINC000528042461 1045297642 /nfs/dbraw/zinc/29/76/42/1045297642.db2.gz FJHVJENCEGDKJT-IEBWSBKVSA-N 0 3 314.404 4.421 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccc(F)cc2)C2CC2)cn1 ZINC000528044239 1045299591 /nfs/dbraw/zinc/29/95/91/1045299591.db2.gz SKYWNWOXAIRVON-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)n2)cc1F ZINC000579203956 1045300559 /nfs/dbraw/zinc/30/05/59/1045300559.db2.gz ZYRKZMOPKQQXCM-HLSYRSCKSA-N 0 3 315.392 4.023 20 0 DIADHN Cc1ncsc1CN[C@@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000579278287 1045304161 /nfs/dbraw/zinc/30/41/61/1045304161.db2.gz NYCJKTRPMWWRGF-MRXNPFEDSA-N 0 3 311.454 4.408 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1ccc(F)cc1F ZINC000579413214 1045314188 /nfs/dbraw/zinc/31/41/88/1045314188.db2.gz KGZYPKMCWUWOLP-GTNSWQLSSA-N 0 3 323.408 4.165 20 0 DIADHN CC(C)Oc1cccc(CN2CCC=C(c3ccccn3)C2)c1 ZINC000579430589 1045315013 /nfs/dbraw/zinc/31/50/13/1045315013.db2.gz JIAYWPDQQSQADM-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@H]2Cc2ccc(Cl)cc2)s1 ZINC000579554176 1045322792 /nfs/dbraw/zinc/32/27/92/1045322792.db2.gz XXSXFJZIOBCESF-DZGCQCFKSA-N 0 3 321.877 4.001 20 0 DIADHN Fc1ccc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)cn1 ZINC000779154669 1045327935 /nfs/dbraw/zinc/32/79/35/1045327935.db2.gz ZWZCEHMYNXVXJK-INIZCTEOSA-N 0 3 309.388 4.082 20 0 DIADHN Cn1ncc2ccc(CNCc3ccc(Cl)c(Cl)c3)cc21 ZINC000579660167 1045329463 /nfs/dbraw/zinc/32/94/63/1045329463.db2.gz ICODJPGJQKHHKT-UHFFFAOYSA-N 0 3 320.223 4.170 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cc3cccnc3o2)cc1 ZINC000448996258 1045330684 /nfs/dbraw/zinc/33/06/84/1045330684.db2.gz RXEZJOWYTWANDW-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN c1c2cccnc2oc1CN1CCCCC[C@H]1c1ccncc1 ZINC000449003555 1045332390 /nfs/dbraw/zinc/33/23/90/1045332390.db2.gz RBLWTYHBEZBCDF-SFHVURJKSA-N 0 3 307.397 4.340 20 0 DIADHN COc1cccc2c(CN3CCC[C@@H]3c3cc(C)no3)cccc12 ZINC000449015734 1045332845 /nfs/dbraw/zinc/33/28/45/1045332845.db2.gz MALHXPAKQHFOQX-GOSISDBHSA-N 0 3 322.408 4.482 20 0 DIADHN CCN(CCc1cccs1)Cc1ccnn1C1CCCC1 ZINC000449012407 1045334778 /nfs/dbraw/zinc/33/47/78/1045334778.db2.gz CCTFIDVQWCSHES-UHFFFAOYSA-N 0 3 303.475 4.124 20 0 DIADHN C[C@H](CN1CCC(OC(C)(C)C)CC1)c1cc(F)cc(F)c1 ZINC000449022655 1045338347 /nfs/dbraw/zinc/33/83/47/1045338347.db2.gz YUWCZVZROUHMQA-CYBMUJFWSA-N 0 3 311.416 4.348 20 0 DIADHN CC[C@H](Nc1ccccc1CN1CCC(O)CC1)C1CCCC1 ZINC000449042272 1045339496 /nfs/dbraw/zinc/33/94/96/1045339496.db2.gz RBHWJJCXWWPEPQ-IBGZPJMESA-N 0 3 316.489 4.024 20 0 DIADHN CCOc1ccc([C@H](C)NCc2csc(C)c2)cc1OC ZINC000449069148 1045343106 /nfs/dbraw/zinc/34/31/06/1045343106.db2.gz DUDVQMLNSFAPNU-ZDUSSCGKSA-N 0 3 305.443 4.315 20 0 DIADHN CCCc1ccc([C@@H](NCc2c(C)nnn2CC)C(C)C)cc1 ZINC000449067349 1045343458 /nfs/dbraw/zinc/34/34/58/1045343458.db2.gz KSPKDLDNNCWMSN-IBGZPJMESA-N 0 3 314.477 4.046 20 0 DIADHN CC[C@H](N[C@@H]1CCOc2c(C)cccc21)c1ccc2c(c1)CCO2 ZINC000189378419 1045346298 /nfs/dbraw/zinc/34/62/98/1045346298.db2.gz PBSNNDNVOCFVBS-RBUKOAKNSA-N 0 3 323.436 4.494 20 0 DIADHN c1cc2cccc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)c2o1 ZINC000449097441 1045346371 /nfs/dbraw/zinc/34/63/71/1045346371.db2.gz DJANWJCDMSOSAI-OALUTQOASA-N 0 3 307.393 4.443 20 0 DIADHN Fc1ccc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cn1 ZINC000779165671 1045346629 /nfs/dbraw/zinc/34/66/29/1045346629.db2.gz KTHSPFPJVZCTRJ-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN FC(F)(F)c1ncccc1CN1CC[C@@H](c2ccccc2)C1 ZINC000528366189 1045348035 /nfs/dbraw/zinc/34/80/35/1045348035.db2.gz SOTWUPSMXOSAJL-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN Fc1cncc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)c1 ZINC000779170592 1045351066 /nfs/dbraw/zinc/35/10/66/1045351066.db2.gz CSVAKHAGIBZVFL-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1ccc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)cn1 ZINC000779170560 1045351559 /nfs/dbraw/zinc/35/15/59/1045351559.db2.gz CBHIKQMBHFEQLH-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN C/C(Cl)=C/CN[C@@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000528430413 1045354553 /nfs/dbraw/zinc/35/45/53/1045354553.db2.gz CBKBFGGYZRVBBD-MZLJFPOFSA-N 0 3 316.832 4.454 20 0 DIADHN Cc1nc(CN[C@H](C)Cc2coc3ccccc23)sc1C ZINC000449165741 1045357245 /nfs/dbraw/zinc/35/72/45/1045357245.db2.gz ARFCNSBZZLIWAP-LLVKDONJSA-N 0 3 300.427 4.227 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449184537 1045358949 /nfs/dbraw/zinc/35/89/49/1045358949.db2.gz GLMBTMILXKGCPC-OQPBUACISA-N 0 3 324.346 4.089 20 0 DIADHN C[C@@H](CNCc1nc(C2CC2)cs1)c1cc(F)cc(F)c1 ZINC000449196299 1045359351 /nfs/dbraw/zinc/35/93/51/1045359351.db2.gz XDXSSXSKUFPISS-JTQLQIEISA-N 0 3 308.397 4.192 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1cccc(-n2cccn2)c1 ZINC000449180445 1045359404 /nfs/dbraw/zinc/35/94/04/1045359404.db2.gz ZHEJUHLSQLKCFX-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN FC(F)(F)c1ncccc1CN[C@@H](c1ccccc1)C1CC1 ZINC000528439607 1045360146 /nfs/dbraw/zinc/36/01/46/1045360146.db2.gz PHMQQFWRIHSZSV-HNNXBMFYSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1ccccc1-c1ccccc1CNC[C@](C)(O)C(F)(F)F ZINC000449209816 1045361584 /nfs/dbraw/zinc/36/15/84/1045361584.db2.gz GCMSLLMWQJTGFA-KRWDZBQOSA-N 0 3 323.358 4.065 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2nc3cc(C)ccc3s2)C1 ZINC000528449568 1045362234 /nfs/dbraw/zinc/36/22/34/1045362234.db2.gz CSIVZJZKNOASGL-HNNXBMFYSA-N 0 3 318.486 4.243 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnn1C1CCCC1)[C@@H](C)C2 ZINC000449233713 1045363377 /nfs/dbraw/zinc/36/33/77/1045363377.db2.gz ZVAAJCUUNXJHKH-YWZLYKJASA-N 0 3 309.457 4.330 20 0 DIADHN CC(C)[C@@H](N[C@H](C)CC(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000449224236 1045364257 /nfs/dbraw/zinc/36/42/57/1045364257.db2.gz KZEBDLKSNLJNBY-UKRRQHHQSA-N 0 3 316.449 4.243 20 0 DIADHN CC(C)[C@H](N[C@@H](C)CC(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000449225121 1045364408 /nfs/dbraw/zinc/36/44/08/1045364408.db2.gz NUDVWBIVJJIOHY-ZFWWWQNUSA-N 0 3 316.449 4.243 20 0 DIADHN Cc1cc(CN[C@@H]2CC=C(c3ccc(Cl)cc3)CC2)on1 ZINC000449226039 1045365513 /nfs/dbraw/zinc/36/55/13/1045365513.db2.gz QDSQYBPUTZRXCR-MRXNPFEDSA-N 0 3 302.805 4.362 20 0 DIADHN Cc1cc(CN[C@H]2CC=C(c3ccc(Cl)cc3)CC2)on1 ZINC000449226038 1045365804 /nfs/dbraw/zinc/36/58/04/1045365804.db2.gz QDSQYBPUTZRXCR-INIZCTEOSA-N 0 3 302.805 4.362 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2cccc(F)c2)no1)C1CCC1 ZINC000449257751 1045367720 /nfs/dbraw/zinc/36/77/20/1045367720.db2.gz JXGJXYWVPBRXFX-NHYWBVRUSA-N 0 3 303.381 4.105 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2cc(Cl)sc2Cl)CCO1 ZINC000449241144 1045368681 /nfs/dbraw/zinc/36/86/81/1045368681.db2.gz RMCKNQIMYWLMTD-NXEZZACHSA-N 0 3 308.274 4.350 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2ccncc2)cc2ccccc21 ZINC000449242403 1045368813 /nfs/dbraw/zinc/36/88/13/1045368813.db2.gz XIEGYPOVABGPGP-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN CC(C)N(Cc1cc(CO)ccc1F)[C@@H](C)c1ccccc1 ZINC000528510917 1045370189 /nfs/dbraw/zinc/37/01/89/1045370189.db2.gz YMJLWKSNXLGAJS-HNNXBMFYSA-N 0 3 301.405 4.290 20 0 DIADHN CCN(Cc1nc2cc(C)ccc2s1)[C@H]1CCOC(C)(C)C1 ZINC000528506165 1045371793 /nfs/dbraw/zinc/37/17/93/1045371793.db2.gz SGZNLSXLDUZYIU-AWEZNQCLSA-N 0 3 318.486 4.384 20 0 DIADHN COCCCC[C@H](NCc1cc2cccnc2o1)c1ccccc1 ZINC000449293528 1045374107 /nfs/dbraw/zinc/37/41/07/1045374107.db2.gz IGJHCJPRHXAJIN-IBGZPJMESA-N 0 3 324.424 4.475 20 0 DIADHN C[C@@H](CN1CCC[C@H]1c1ccncc1)c1cc(F)cc(F)c1 ZINC000449318429 1045376785 /nfs/dbraw/zinc/37/67/85/1045376785.db2.gz GMYAEYDJTHXAEQ-UGSOOPFHSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](CN[C@@H](C)c1c(F)cncc1F)c1cc(F)cc(F)c1 ZINC000449322605 1045377900 /nfs/dbraw/zinc/37/79/00/1045377900.db2.gz YENCGAFRQZGSII-ZJUUUORDSA-N 0 3 312.310 4.092 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1cnc(-c2ccccc2)[nH]1 ZINC000449349473 1045380187 /nfs/dbraw/zinc/38/01/87/1045380187.db2.gz JTXHXUBKVSQGQY-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCCc3c(F)cccc32)c1 ZINC000449351871 1045381210 /nfs/dbraw/zinc/38/12/10/1045381210.db2.gz RJMHOCSOHNMZKZ-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000449334979 1045381316 /nfs/dbraw/zinc/38/13/16/1045381316.db2.gz GUCUXSDBUFTPMG-ZWKOTPCHSA-N 0 3 317.379 4.326 20 0 DIADHN O[C@@H](CNC(c1ccccc1)c1ccccc1)c1cccc(F)c1 ZINC000077221246 1045383181 /nfs/dbraw/zinc/38/31/81/1045383181.db2.gz AFXYTZYKKKKYKH-FQEVSTJZSA-N 0 3 321.395 4.238 20 0 DIADHN COc1cc(C)c(CN[C@H](C)c2ccc(F)c(C)c2)cc1OC ZINC000449372231 1045383538 /nfs/dbraw/zinc/38/35/38/1045383538.db2.gz DUTGEWPOMODCTA-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN CC(C)n1cc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)cn1 ZINC000449362409 1045386264 /nfs/dbraw/zinc/38/62/64/1045386264.db2.gz LHCVACJFHKHETQ-OUCADQQQSA-N 0 3 303.372 4.015 20 0 DIADHN CC(C)N(Cc1cc2ccccc2nc1Cl)C1CCOCC1 ZINC000077356970 1045386825 /nfs/dbraw/zinc/38/68/25/1045386825.db2.gz WSEHLAPIYYZTAL-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNCC2=CCOCC2)c1 ZINC000449404357 1045390330 /nfs/dbraw/zinc/39/03/30/1045390330.db2.gz UWFXDPMGMGYVKL-UHFFFAOYSA-N 0 3 321.848 4.098 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000449411086 1045391342 /nfs/dbraw/zinc/39/13/42/1045391342.db2.gz FOJCCECJAXQXSP-KGLIPLIRSA-N 0 3 322.880 4.015 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN[C@@H](c1ccccn1)C1CCC1 ZINC000528652689 1045391412 /nfs/dbraw/zinc/39/14/12/1045391412.db2.gz VYIUTBDWTHKAAH-AYOQOUSVSA-N 0 3 302.462 4.108 20 0 DIADHN c1cc(CN2CCC(Nc3ccc4c(c3)CCCC4)CC2)ccn1 ZINC000449412592 1045392006 /nfs/dbraw/zinc/39/20/06/1045392006.db2.gz NLFPCYRLMYTIIK-UHFFFAOYSA-N 0 3 321.468 4.037 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1ccc(-n2cccn2)cc1 ZINC000449460087 1045395531 /nfs/dbraw/zinc/39/55/31/1045395531.db2.gz MFSPVZPPJPGPKV-UKRRQHHQSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1cc2ccccc2[nH]c1=O ZINC000449460486 1045396043 /nfs/dbraw/zinc/39/60/43/1045396043.db2.gz NMWGLFOJXKXTPB-BXUZGUMPSA-N 0 3 324.346 4.103 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1cccc(-n2cccn2)c1 ZINC000449457657 1045396365 /nfs/dbraw/zinc/39/63/65/1045396365.db2.gz GQQSLJTVHXITIZ-UKRRQHHQSA-N 0 3 323.362 4.035 20 0 DIADHN CCSCCN1CCC(c2nc(C(F)(F)F)cs2)CC1 ZINC000449437547 1045396973 /nfs/dbraw/zinc/39/69/73/1045396973.db2.gz MDYUFAWBLBEQRM-UHFFFAOYSA-N 0 3 324.437 4.094 20 0 DIADHN CCC[C@H](NCc1ncc(Br)s1)C(C)(C)C ZINC000449476604 1045398435 /nfs/dbraw/zinc/39/84/35/1045398435.db2.gz VPPGYPHDOGPYIK-VIFPVBQESA-N 0 3 305.285 4.210 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1cnc2ccccc2c1 ZINC000449462996 1045399601 /nfs/dbraw/zinc/39/96/01/1045399601.db2.gz VIALVPLAJRGYQS-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(C3CCCC3)s2)C[C@@H]1C ZINC000449490884 1045402422 /nfs/dbraw/zinc/40/24/22/1045402422.db2.gz DJVIFDDLWCUPQU-XJKSGUPXSA-N 0 3 308.491 4.048 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3ccccc3)s2)C[C@H]1C ZINC000449502203 1045404782 /nfs/dbraw/zinc/40/47/82/1045404782.db2.gz WLAHVKFUVFITLU-PBHICJAKSA-N 0 3 316.470 4.057 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H]1C[C@H](C)n2ccnc21 ZINC000449569980 1045415734 /nfs/dbraw/zinc/41/57/34/1045415734.db2.gz IRLNZBURPLOZNO-ZETOZRRWSA-N 0 3 324.255 4.417 20 0 DIADHN CCc1ncc(CN[C@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)o1 ZINC000449611704 1045418673 /nfs/dbraw/zinc/41/86/73/1045418673.db2.gz XQMRQBMXIUVTJV-ADEWGFFLSA-N 0 3 310.850 4.234 20 0 DIADHN C[C@@H](CC1CCOCC1)CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000449639984 1045422996 /nfs/dbraw/zinc/42/29/96/1045422996.db2.gz RNKWVPRPXSRMKB-MAUKXSAKSA-N 0 3 303.446 4.259 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)N1CCC[C@@]2(CCOC2)C1 ZINC000449678213 1045426483 /nfs/dbraw/zinc/42/64/83/1045426483.db2.gz DZKAWBUXTQIZAS-CZUORRHYSA-N 0 3 313.363 4.269 20 0 DIADHN C[C@@H](Cc1ccccc1C(F)(F)F)NCc1cnc(C2CC2)o1 ZINC000449706277 1045428706 /nfs/dbraw/zinc/42/87/06/1045428706.db2.gz MWPXTKSEAMLFRY-NSHDSACASA-N 0 3 324.346 4.292 20 0 DIADHN COc1cc(CN2CCC[C@]3(CCSC3)C2)ccc1SC ZINC000449736055 1045432534 /nfs/dbraw/zinc/43/25/34/1045432534.db2.gz VRZYELMAQDUZRW-KRWDZBQOSA-N 0 3 323.527 4.136 20 0 DIADHN COc1cc(CN2CCC[C@@]3(CCSC3)C2)ccc1SC ZINC000449736056 1045432614 /nfs/dbraw/zinc/43/26/14/1045432614.db2.gz VRZYELMAQDUZRW-QGZVFWFLSA-N 0 3 323.527 4.136 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC[C@]2(CCSC2)C1 ZINC000449735786 1045433129 /nfs/dbraw/zinc/43/31/29/1045433129.db2.gz VAYRTWUUBBJQBS-INIZCTEOSA-N 0 3 313.413 4.007 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1ncc(-c2ccccc2)s1 ZINC000449760727 1045433531 /nfs/dbraw/zinc/43/35/31/1045433531.db2.gz QYIZAZZQQHEFEH-HZPDHXFCSA-N 0 3 316.470 4.247 20 0 DIADHN COc1cccnc1CN[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000449747592 1045434727 /nfs/dbraw/zinc/43/47/27/1045434727.db2.gz JNEHEXCGHWWCSK-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)cc1F ZINC000449765348 1045435295 /nfs/dbraw/zinc/43/52/95/1045435295.db2.gz HVTWZIJXCLFIFL-QGZVFWFLSA-N 0 3 319.445 4.189 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1 ZINC000449769156 1045435385 /nfs/dbraw/zinc/43/53/85/1045435385.db2.gz UNIDNTVJMYHKAU-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN C[C@](Cc1ccccc1)(NCc1ncc(Cl)s1)C1CC1 ZINC000449781000 1045436483 /nfs/dbraw/zinc/43/64/83/1045436483.db2.gz WLSSQZRZZCGYAB-MRXNPFEDSA-N 0 3 306.862 4.298 20 0 DIADHN COC[C@@H]1CCCN(Cc2cnc(C3CCCC3)s2)CC1 ZINC000449781711 1045437663 /nfs/dbraw/zinc/43/76/63/1045437663.db2.gz XPXXEIHYXILFNT-CQSZACIVSA-N 0 3 308.491 4.049 20 0 DIADHN CCc1cc(CCCN2CCC[C@@H]2c2ccc(F)cc2)on1 ZINC000450226660 1045477198 /nfs/dbraw/zinc/47/71/98/1045477198.db2.gz MGRIJFVMZUTNNL-GOSISDBHSA-N 0 3 302.393 4.146 20 0 DIADHN CCOc1ccccc1C1=CCN(CC2CC(F)(F)C2)CC1 ZINC000450302791 1045485107 /nfs/dbraw/zinc/48/51/07/1045485107.db2.gz YFGMOGKEVHUXFZ-UHFFFAOYSA-N 0 3 307.384 4.220 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc3cc[nH]c3c2)o1 ZINC000529540838 1045491041 /nfs/dbraw/zinc/49/10/41/1045491041.db2.gz CTUCFKPSSDEAGZ-SFHVURJKSA-N 0 3 310.397 4.244 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1cc(F)cc(F)c1 ZINC000389989128 1045516191 /nfs/dbraw/zinc/51/61/91/1045516191.db2.gz GFHYFTYBNYBZFH-UHFFFAOYSA-N 0 3 305.368 4.050 20 0 DIADHN CC(C)(C)[C@@H](NCN1C(=O)C[C@@H]1c1ccccc1)c1ccccc1 ZINC000077433987 1045519850 /nfs/dbraw/zinc/51/98/50/1045519850.db2.gz AYKULSSEJAPJMQ-QUCCMNQESA-N 0 3 322.452 4.295 20 0 DIADHN CCCc1ccc(CN2CCC(CC)(C(=O)OCC)CC2)s1 ZINC001138527810 1045523729 /nfs/dbraw/zinc/52/37/29/1045523729.db2.gz KQXPZKXIUPIYSQ-UHFFFAOYSA-N 0 3 323.502 4.256 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@@H](C)c1cnn(C)c1C ZINC001119795113 1045524737 /nfs/dbraw/zinc/52/47/37/1045524737.db2.gz QECGQGGFDXONKC-AWEZNQCLSA-N 0 3 307.441 4.295 20 0 DIADHN C[C@@H]1C[C@H](C)CN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000007811929 1045534503 /nfs/dbraw/zinc/53/45/03/1045534503.db2.gz AXYWPMXCDCQJKN-CALCHBBNSA-N 0 3 322.452 4.270 20 0 DIADHN c1ccc([C@@H](NCc2ccccc2OC2CC2)[C@H]2CCOC2)cc1 ZINC000450677374 1045543611 /nfs/dbraw/zinc/54/36/11/1045543611.db2.gz YYEMMOZQOFGAMQ-GHTZIAJQSA-N 0 3 323.436 4.095 20 0 DIADHN FC(F)(F)c1cccc(CCCNCc2cnc(C3CC3)o2)c1 ZINC000628210257 1045545112 /nfs/dbraw/zinc/54/51/12/1045545112.db2.gz NRSJEOUNEOJFOC-UHFFFAOYSA-N 0 3 324.346 4.293 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2cccc(C(F)(F)F)c2)o1 ZINC000628211289 1045545348 /nfs/dbraw/zinc/54/53/48/1045545348.db2.gz QFDPAQLANHIMGX-UHFFFAOYSA-N 0 3 312.335 4.069 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)o1)c1ccc(F)cc1Cl ZINC000628216964 1045547318 /nfs/dbraw/zinc/54/73/18/1045547318.db2.gz GRHKOQPFCIZCQV-UHFFFAOYSA-N 0 3 322.811 4.412 20 0 DIADHN CC(C)COC[C@@H](NC[C@@H]1CCC(F)(F)C1)c1ccco1 ZINC000450717025 1045552272 /nfs/dbraw/zinc/55/22/72/1045552272.db2.gz KRXPYJWBVIRTQN-ZIAGYGMSSA-N 0 3 301.377 4.018 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cnc3ccccc3n2)cc1 ZINC000628240030 1045552667 /nfs/dbraw/zinc/55/26/67/1045552667.db2.gz NZNWMVJFJGWTDH-HNNXBMFYSA-N 0 3 323.465 4.209 20 0 DIADHN Cc1ccc(C2CCN(CCCC(=O)c3ccccc3)CC2)cn1 ZINC000450717991 1045553074 /nfs/dbraw/zinc/55/30/74/1045553074.db2.gz RPURXJCBELAVBN-UHFFFAOYSA-N 0 3 322.452 4.233 20 0 DIADHN CCC[C@H](NCC/C=C/c1ccccc1)c1nnc2ccccn21 ZINC000450724216 1045557363 /nfs/dbraw/zinc/55/73/63/1045557363.db2.gz FUXRJAQGTMYGCI-LGWVBRRBSA-N 0 3 320.440 4.264 20 0 DIADHN Cc1ncc(CN2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)o1 ZINC000628271484 1045560995 /nfs/dbraw/zinc/56/09/95/1045560995.db2.gz CLJFPYQWAQYGGJ-AWEZNQCLSA-N 0 3 324.346 4.381 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1ccc(Cl)cc1)c1cccs1 ZINC000750838537 1045562169 /nfs/dbraw/zinc/56/21/69/1045562169.db2.gz KXJNSZWNWNIZCT-JKSUJKDBSA-N 0 3 321.873 4.490 20 0 DIADHN Cn1cc([C@@H](NCCC2=CCCCC2)c2ccc(F)cc2)cn1 ZINC000450778115 1045567285 /nfs/dbraw/zinc/56/72/85/1045567285.db2.gz YVDMMQBKDZHHBR-IBGZPJMESA-N 0 3 313.420 4.129 20 0 DIADHN C[C@H]1CC2(CN1Cc1ccsc1C(F)(F)F)CCOCC2 ZINC000628322563 1045568420 /nfs/dbraw/zinc/56/84/20/1045568420.db2.gz AIABSNHUTAGPEE-NSHDSACASA-N 0 3 319.392 4.158 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc(F)cc1)c1c(C)nn(C)c1C ZINC000121323293 1045569440 /nfs/dbraw/zinc/56/94/40/1045569440.db2.gz ZRIMOUMSEXTTPV-IRXDYDNUSA-N 0 3 303.425 4.368 20 0 DIADHN Cc1ccc2c(CN(C)Cc3ccccc3C)cc(=O)oc2c1 ZINC000016585829 1045571292 /nfs/dbraw/zinc/57/12/92/1045571292.db2.gz XSVOCHBLHUDEMY-UHFFFAOYSA-N 0 3 307.393 4.042 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000008118519 1045575410 /nfs/dbraw/zinc/57/54/10/1045575410.db2.gz MFIWDLRVMXHNRM-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H]1CN(Cc2cc3cc(Cl)cnc3o2)C[C@H](C)C1(F)F ZINC000628392865 1045575460 /nfs/dbraw/zinc/57/54/60/1045575460.db2.gz XBYYFLXHTJJQRO-AOOOYVTPSA-N 0 3 314.763 4.204 20 0 DIADHN O=C1CCC(CN(CCC(F)(F)F)Cc2ccccc2)CC1 ZINC000450840118 1045579315 /nfs/dbraw/zinc/57/93/15/1045579315.db2.gz WOCIFFDFOGYDTP-UHFFFAOYSA-N 0 3 313.363 4.200 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c(O)cccc32)ccc1Cl ZINC000450838577 1045579460 /nfs/dbraw/zinc/57/94/60/1045579460.db2.gz FLYAFBXWWJOOBP-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000628422657 1045581395 /nfs/dbraw/zinc/58/13/95/1045581395.db2.gz FTBUPQMHPMPOJS-KGLIPLIRSA-N 0 3 308.516 4.271 20 0 DIADHN CN(Cc1cc(Cl)ccc1OC1CCCC1)CC1(C)COC1 ZINC000628423803 1045582289 /nfs/dbraw/zinc/58/22/89/1045582289.db2.gz LEMWIBWKORJLSU-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](C)NC(=O)OC(C)(C)C)c1ccccc1F ZINC000381703477 1045582802 /nfs/dbraw/zinc/58/28/02/1045582802.db2.gz HJCGHPGUWOEFAM-WWGRRREGSA-N 0 3 324.440 4.168 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000628494957 1045589689 /nfs/dbraw/zinc/58/96/89/1045589689.db2.gz DYPSTFBEJKNIBV-SJJHJAGZSA-N 0 3 306.475 4.173 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000628494958 1045590434 /nfs/dbraw/zinc/59/04/34/1045590434.db2.gz DYPSTFBEJKNIBV-XHCBAJNBSA-N 0 3 306.475 4.173 20 0 DIADHN CC[C@H](NCC1(C)OCCCO1)c1ccc(Cl)c(Cl)c1 ZINC000190660737 1045596537 /nfs/dbraw/zinc/59/65/37/1045596537.db2.gz OKSOXOIXLCEDJM-AWEZNQCLSA-N 0 3 318.244 4.187 20 0 DIADHN Cc1cc(CN[C@]2(Cc3ccc(Cl)cc3)CCOC2)c(C)o1 ZINC000800311766 1045608339 /nfs/dbraw/zinc/60/83/39/1045608339.db2.gz RBWPRKBUTPTFGX-SFHVURJKSA-N 0 3 319.832 4.041 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc([C@H](C)O)cc1 ZINC000190849644 1045619544 /nfs/dbraw/zinc/61/95/44/1045619544.db2.gz GDBOPMWMUCRUEU-ZDUSSCGKSA-N 0 3 323.358 4.357 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C=C2CCC(C)(C)CC2)c1 ZINC000751601260 1045620136 /nfs/dbraw/zinc/62/01/36/1045620136.db2.gz IWTZDYZFQZCQHM-UHFFFAOYSA-N 0 3 300.446 4.213 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(CCc3cscn3)C2)c1 ZINC000451067713 1045624237 /nfs/dbraw/zinc/62/42/37/1045624237.db2.gz PFDRCYVLIQEASS-OAHLLOKOSA-N 0 3 324.902 4.206 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](NC(=O)OC(C)(C)C)C(C)C)oc1C ZINC000800478754 1045625462 /nfs/dbraw/zinc/62/54/62/1045625462.db2.gz QRVDKJCANRZKKY-HIFRSBDPSA-N 0 3 324.465 4.096 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc(Cl)c(F)c2)oc1C ZINC000800482341 1045625492 /nfs/dbraw/zinc/62/54/92/1045625492.db2.gz FQNOUPJVZDLGPX-BMIGLBTASA-N 0 3 311.784 4.073 20 0 DIADHN Cc1cc(Cl)nc(CN[C@H]2c3ccccc3CC[C@@H]2C)c1 ZINC000800496876 1045633842 /nfs/dbraw/zinc/63/38/42/1045633842.db2.gz YQISQVUKSBLXLY-SCLBCKFNSA-N 0 3 300.833 4.457 20 0 DIADHN Cc1cc(Cl)nc(CNC2CC(c3ccccc3F)C2)c1 ZINC000800496593 1045634123 /nfs/dbraw/zinc/63/41/23/1045634123.db2.gz WAOBRDXCNWBBKM-UHFFFAOYSA-N 0 3 304.796 4.218 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc(-n2cccn2)cc1 ZINC000121614495 1045635556 /nfs/dbraw/zinc/63/55/56/1045635556.db2.gz DSLCNYWYDJELKC-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CCCCCC[C@@H]1CCC[C@@H]1NCc1ncnn1C(C)(C)C ZINC000800498870 1045635519 /nfs/dbraw/zinc/63/55/19/1045635519.db2.gz AQPRDILOFHTLHO-CVEARBPZSA-N 0 3 306.498 4.262 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1ccc(F)cc1)c1ccc(F)cc1 ZINC000752088306 1045656780 /nfs/dbraw/zinc/65/67/80/1045656780.db2.gz FUQZKBRBYRRHIK-RTBURBONSA-N 0 3 317.379 4.225 20 0 DIADHN Clc1ccccc1O[C@@H]1CCN(CCc2cccs2)C1 ZINC000752107855 1045659914 /nfs/dbraw/zinc/65/99/14/1045659914.db2.gz SCSRDLVAOGYYMB-CYBMUJFWSA-N 0 3 307.846 4.097 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCC4(CCCC4)O3)CCCC2)n1 ZINC000530410978 1045660198 /nfs/dbraw/zinc/66/01/98/1045660198.db2.gz XHCWXNKTRQKEMZ-OAHLLOKOSA-N 0 3 320.502 4.302 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000451343398 1045665515 /nfs/dbraw/zinc/66/55/15/1045665515.db2.gz OFJSFMUEYRZJFW-FOZNTRSISA-N 0 3 309.400 4.041 20 0 DIADHN C[C@@H](Cc1ccco1)NC1(c2ccccc2Br)CC1 ZINC001258179231 1045668613 /nfs/dbraw/zinc/66/86/13/1045668613.db2.gz OKJAVIWJWSPZJP-LBPRGKRZSA-N 0 3 320.230 4.252 20 0 DIADHN Fc1cccc([C@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)c1 ZINC000530465647 1045673071 /nfs/dbraw/zinc/67/30/71/1045673071.db2.gz BUTPZYCVIUJWNN-QZTJIDSGSA-N 0 3 303.421 4.454 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccc(OC)cc2)o1)C(C)(C)C ZINC000451423868 1045681713 /nfs/dbraw/zinc/68/17/13/1045681713.db2.gz RUXLGJNEDZULFC-HNNXBMFYSA-N 0 3 317.433 4.050 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN[C@H]2CCC23CCCC3)co1 ZINC000451455902 1045684028 /nfs/dbraw/zinc/68/40/28/1045684028.db2.gz KKKMCALBGBYQQL-HNNXBMFYSA-N 0 3 318.367 4.432 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccc2ncccc2c1 ZINC000530524100 1045684756 /nfs/dbraw/zinc/68/47/56/1045684756.db2.gz BXCVFUPIOOFARQ-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2ccccc2C(F)F)cc1 ZINC000629734431 1045704669 /nfs/dbraw/zinc/70/46/69/1045704669.db2.gz PGUMAQPYXFZGRY-YVEFUNNKSA-N 0 3 303.352 4.341 20 0 DIADHN CCn1nc(C)cc1-c1ccc(CNCc2ccccc2)cc1 ZINC000629745553 1045707217 /nfs/dbraw/zinc/70/72/17/1045707217.db2.gz ZKNRNRBJZWZZLN-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN COC/C(C)=C\CN[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000901353837 1045707574 /nfs/dbraw/zinc/70/75/74/1045707574.db2.gz UAMKAPYKCMLQGT-HOFZKYPUSA-N 0 3 305.418 4.159 20 0 DIADHN FC(F)(F)c1cc(-c2cccc([C@H]3CNCCO3)c2)cs1 ZINC000629754614 1045710467 /nfs/dbraw/zinc/71/04/67/1045710467.db2.gz YEAUCNSQQGEWEW-CYBMUJFWSA-N 0 3 313.344 4.095 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000451705853 1045712688 /nfs/dbraw/zinc/71/26/88/1045712688.db2.gz ZMXQNFADBPMKHB-MQYQWHSLSA-N 0 3 311.828 4.023 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000451705852 1045713342 /nfs/dbraw/zinc/71/33/42/1045713342.db2.gz ZMXQNFADBPMKHB-AAVRWANBSA-N 0 3 311.828 4.023 20 0 DIADHN CCCCc1oc2ccccc2c1CNCC1(C)OCCCO1 ZINC000752925022 1045714728 /nfs/dbraw/zinc/71/47/28/1045714728.db2.gz RHSBCVKLIGWRRD-UHFFFAOYSA-N 0 3 317.429 4.018 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000451707172 1045717197 /nfs/dbraw/zinc/71/71/97/1045717197.db2.gz MQTOAQSVIICCOK-REBRKWNGSA-N 0 3 311.828 4.023 20 0 DIADHN Cc1cnn(CCCCCN2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000451829072 1045731855 /nfs/dbraw/zinc/73/18/55/1045731855.db2.gz BOFZCWCYDXRXGA-SFHVURJKSA-N 0 3 313.445 4.182 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCC2)O1)c1nc2ccccc2s1 ZINC000801539950 1045733987 /nfs/dbraw/zinc/73/39/87/1045733987.db2.gz RGOWUSRVUWYDTP-UONOGXRCSA-N 0 3 316.470 4.439 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1ccc(Cl)nc1Cl ZINC000801544572 1045736268 /nfs/dbraw/zinc/73/62/68/1045736268.db2.gz NVRAPZHAJRANQL-QWHCGFSZSA-N 0 3 317.260 4.072 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1ccc2[nH]cnc2c1 ZINC000530857989 1045739030 /nfs/dbraw/zinc/73/90/30/1045739030.db2.gz GCBGYVGDEPSGHS-GOSISDBHSA-N 0 3 323.440 4.449 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1ccc2nc[nH]c2c1 ZINC000530857989 1045739042 /nfs/dbraw/zinc/73/90/42/1045739042.db2.gz GCBGYVGDEPSGHS-GOSISDBHSA-N 0 3 323.440 4.449 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccc(Cl)cc3)n2)cc1 ZINC000530871559 1045743856 /nfs/dbraw/zinc/74/38/56/1045743856.db2.gz RXGXPVIVNRZCBC-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCC[C@]2(CCOC2)C1 ZINC000451909528 1045744577 /nfs/dbraw/zinc/74/45/77/1045744577.db2.gz XEDLZTZIAXDFDM-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1coc(CNCCCOc2ccc(Cl)cc2Cl)c1 ZINC001648974033 1045752757 /nfs/dbraw/zinc/75/27/57/1045752757.db2.gz UNSAOOPTGYVSEV-UHFFFAOYSA-N 0 3 314.212 4.454 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@@]2(CCSC2)C1 ZINC000451984809 1045753675 /nfs/dbraw/zinc/75/36/75/1045753675.db2.gz WDCKJZBFYRGCTG-SUMWQHHRSA-N 0 3 302.443 4.108 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@]2(CCSC2)C1 ZINC000451984803 1045754277 /nfs/dbraw/zinc/75/42/77/1045754277.db2.gz WDCKJZBFYRGCTG-CXAGYDPISA-N 0 3 302.443 4.108 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1[C@H](C)CCC1(C)C ZINC000452001780 1045763977 /nfs/dbraw/zinc/76/39/77/1045763977.db2.gz DDFKTVGQLVPCHW-OAHLLOKOSA-N 0 3 302.462 4.320 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H](C)[C@H]3CCC[C@H](C)C3)o2)c(C)o1 ZINC000452068382 1045765817 /nfs/dbraw/zinc/76/58/17/1045765817.db2.gz MZIVJVQFWYEKAZ-WHOFXGATSA-N 0 3 317.433 4.251 20 0 DIADHN COC[C@H]1CCCN([C@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000452083598 1045770468 /nfs/dbraw/zinc/77/04/68/1045770468.db2.gz LUHXWYFWDYOFEQ-CVEARBPZSA-N 0 3 314.429 4.151 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3cnc(Cl)c(C)c3)C2)c1 ZINC000753593356 1045770865 /nfs/dbraw/zinc/77/08/65/1045770865.db2.gz XTINPFBKIZBPJJ-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN OCCC[C@H]1CCN([C@@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000452118600 1045771625 /nfs/dbraw/zinc/77/16/25/1045771625.db2.gz JVSLBZWSEKJCRS-MEDUHNTESA-N 0 3 314.256 4.075 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1CC[C@@H](CCCO)C1 ZINC000452129928 1045773682 /nfs/dbraw/zinc/77/36/82/1045773682.db2.gz NOBOUGOUWLOEMX-NWDGAFQWSA-N 0 3 302.245 4.149 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](c2ccc(F)cc2)c2ccccn2)C1 ZINC000753665700 1045786670 /nfs/dbraw/zinc/78/66/70/1045786670.db2.gz VQBXOTNQVUWMDO-JZXOWHBKSA-N 0 3 316.445 4.184 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1C ZINC000753688605 1045791028 /nfs/dbraw/zinc/79/10/28/1045791028.db2.gz JXXMFJUIJIXDHC-OXJNMPFZSA-N 0 3 324.468 4.149 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2cc(C)c(OC)cc2C)c1 ZINC000753688898 1045791584 /nfs/dbraw/zinc/79/15/84/1045791584.db2.gz WMUHCHUSVYRFAC-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2cc(C)c(OC)cc2C)c1 ZINC000753698335 1045791844 /nfs/dbraw/zinc/79/18/44/1045791844.db2.gz KQYWGKJPMXHUIR-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN COCc1ccccc1CNCc1ccccc1CC(F)(F)F ZINC000631043183 1045801442 /nfs/dbraw/zinc/80/14/42/1045801442.db2.gz NABRGRGPAGCSOE-UHFFFAOYSA-N 0 3 323.358 4.228 20 0 DIADHN FC(F)c1ccc(CN[C@H]2CCc3c2cccc3Cl)cn1 ZINC000631054378 1045804754 /nfs/dbraw/zinc/80/47/54/1045804754.db2.gz NSNODHLZUAESBR-AWEZNQCLSA-N 0 3 308.759 4.450 20 0 DIADHN C[C@@H](COc1ccccc1)N[C@H]1CCc2c1c(F)ccc2F ZINC000753861359 1045812540 /nfs/dbraw/zinc/81/25/40/1045812540.db2.gz ZMJZYBFMEQTCRU-SJCJKPOMSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@]1(NCc2ccc(C(F)F)nc2)CCCc2ccccc21 ZINC000631072520 1045812665 /nfs/dbraw/zinc/81/26/65/1045812665.db2.gz GAOWWKYCZLQSSU-GOSISDBHSA-N 0 3 302.368 4.361 20 0 DIADHN C[C@](O)(CNCc1cc(Cl)ccc1Cl)c1cccs1 ZINC000753854714 1045813184 /nfs/dbraw/zinc/81/31/84/1045813184.db2.gz WISITPVFMDFOPL-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631108971 1045817813 /nfs/dbraw/zinc/81/78/13/1045817813.db2.gz WGJFQYSJYSSRJT-ZBEGNZNMSA-N 0 3 324.346 4.024 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1csc(C)c1C ZINC000631113321 1045822205 /nfs/dbraw/zinc/82/22/05/1045822205.db2.gz LTMASWCKGSFQCJ-GFCCVEGCSA-N 0 3 305.491 4.476 20 0 DIADHN CCN(CC(=O)Nc1cccc(Cl)c1Cl)[C@@H](C)C(C)C ZINC000531344131 1045826841 /nfs/dbraw/zinc/82/68/41/1045826841.db2.gz CWWKZRZJYKWZIY-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(CC(F)(F)F)cc1)CC2 ZINC000631174778 1045829178 /nfs/dbraw/zinc/82/91/78/1045829178.db2.gz FGTDUDGQXAQRBC-QGZVFWFLSA-N 0 3 321.342 4.274 20 0 DIADHN CC(C)(C)SCCN1CCC(C(=O)c2ccccc2)CC1 ZINC000801909322 1045836868 /nfs/dbraw/zinc/83/68/68/1045836868.db2.gz BMDBRCYFXKTZTL-UHFFFAOYSA-N 0 3 305.487 4.113 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCSC(C)(C)C)CC2)cc1 ZINC000801912853 1045838381 /nfs/dbraw/zinc/83/83/81/1045838381.db2.gz GOTIGJGIEFEDBK-UHFFFAOYSA-N 0 3 319.514 4.421 20 0 DIADHN CCSc1cccc(CNCc2cccc3c2CCOC3)c1 ZINC000631245131 1045841945 /nfs/dbraw/zinc/84/19/45/1045841945.db2.gz YMEYQQVPCGNZHA-UHFFFAOYSA-N 0 3 313.466 4.161 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cc1C ZINC000631250024 1045843938 /nfs/dbraw/zinc/84/39/38/1045843938.db2.gz KZYDRRXLBHGDIJ-AWEZNQCLSA-N 0 3 310.397 4.309 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2c2ccccn2)cc1 ZINC000531440069 1045846160 /nfs/dbraw/zinc/84/61/60/1045846160.db2.gz NOQPGUUJEURUIY-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN Cc1cc([C@H](C)NCc2cccc3nsnc32)c(C)s1 ZINC000754128311 1045850404 /nfs/dbraw/zinc/85/04/04/1045850404.db2.gz OLTAIUNAUDNUAZ-JTQLQIEISA-N 0 3 303.456 4.220 20 0 DIADHN CC(C)(C)SCCN1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000801951739 1045860448 /nfs/dbraw/zinc/86/04/48/1045860448.db2.gz JWIZLXJTRLKXJL-UHFFFAOYSA-N 0 3 323.477 4.252 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1ccc(OC(F)F)cc1 ZINC000631563961 1045868365 /nfs/dbraw/zinc/86/83/65/1045868365.db2.gz WGQULUIRZDIYMA-QGZVFWFLSA-N 0 3 319.351 4.074 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1ccc(OC(F)F)cc1 ZINC000631563960 1045869027 /nfs/dbraw/zinc/86/90/27/1045869027.db2.gz WGQULUIRZDIYMA-KRWDZBQOSA-N 0 3 319.351 4.074 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1cnc(C)cn1 ZINC000801998149 1045872620 /nfs/dbraw/zinc/87/26/20/1045872620.db2.gz YCYVMADUPQRKHE-UHFFFAOYSA-N 0 3 303.475 4.427 20 0 DIADHN Brc1cccc(CN[C@H]2CCCc3ncccc32)c1 ZINC000631578193 1045873454 /nfs/dbraw/zinc/87/34/54/1045873454.db2.gz XGAOFASMFQAWEY-INIZCTEOSA-N 0 3 317.230 4.011 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000631576990 1045873530 /nfs/dbraw/zinc/87/35/30/1045873530.db2.gz NGOXUZXQVZFQIO-PCCBWWKXSA-N 0 3 323.436 4.192 20 0 DIADHN CC(C)n1ccc(CN[C@@H](C)CCc2c[nH]c3ccccc23)n1 ZINC000631581814 1045876188 /nfs/dbraw/zinc/87/61/88/1045876188.db2.gz OWSBQPPKRQYYKI-HNNXBMFYSA-N 0 3 310.445 4.056 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3CCc4ccccc43)co2)cc1 ZINC000754340516 1045878465 /nfs/dbraw/zinc/87/84/65/1045878465.db2.gz BUQCNNSADIPWSS-SFHVURJKSA-N 0 3 318.420 4.470 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ncccc21)c1cccc(N2CCCC2)c1 ZINC000631591853 1045880663 /nfs/dbraw/zinc/88/06/63/1045880663.db2.gz ZLGZHUCDKBHKLD-IIBYNOLFSA-N 0 3 321.468 4.410 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1c[nH]c2ccccc12)c1ccco1 ZINC000631585723 1045880884 /nfs/dbraw/zinc/88/08/84/1045880884.db2.gz UGUMLKASJIZABJ-KSSFIOAISA-N 0 3 312.413 4.059 20 0 DIADHN COc1cccc(CN2CCC(c3cccc(Cl)c3)CC2)n1 ZINC001137528400 1045885426 /nfs/dbraw/zinc/88/54/26/1045885426.db2.gz DESMVWDDIWFFSG-UHFFFAOYSA-N 0 3 316.832 4.123 20 0 DIADHN c1ccc(N2CCCCC2)c(CN[C@@H]2CCCc3ncccc32)c1 ZINC000631615808 1045887810 /nfs/dbraw/zinc/88/78/10/1045887810.db2.gz IFHVDTVMTJMQFI-HXUWFJFHSA-N 0 3 321.468 4.239 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000631620622 1045889026 /nfs/dbraw/zinc/88/90/26/1045889026.db2.gz ISYNNNYHKCDRKT-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cc(CN[C@H](C)c2ccsc2)ccc1OC(F)F ZINC000531689824 1045891543 /nfs/dbraw/zinc/89/15/43/1045891543.db2.gz MUBSMIAPFWWTEI-SNVBAGLBSA-N 0 3 313.369 4.209 20 0 DIADHN CN1CCC[C@@H](N[C@H]2CCCc3ncccc32)c2ccccc21 ZINC000631634398 1045891691 /nfs/dbraw/zinc/89/16/91/1045891691.db2.gz VZFNPEUCGYYYOE-RBUKOAKNSA-N 0 3 307.441 4.020 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000531691651 1045892557 /nfs/dbraw/zinc/89/25/57/1045892557.db2.gz YXSMMRPCQMNNIX-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CNc1ccccc1CN[C@H](C)c1ccc(Br)cc1 ZINC000902029305 1045892825 /nfs/dbraw/zinc/89/28/25/1045892825.db2.gz XEHGMEZBMYJPAW-GFCCVEGCSA-N 0 3 319.246 4.342 20 0 DIADHN CC[C@H](CNCc1ccc(COC)o1)Oc1cccc(Cl)c1 ZINC000531702108 1045900369 /nfs/dbraw/zinc/90/03/69/1045900369.db2.gz ZKNCOZUIBISJJJ-CQSZACIVSA-N 0 3 323.820 4.027 20 0 DIADHN CCN(Cc1cnc(Cl)s1)[C@@H](C)Cc1ccc(OC)cc1 ZINC000079321672 1045905465 /nfs/dbraw/zinc/90/54/65/1045905465.db2.gz RQRYPGIGJOLARD-LBPRGKRZSA-N 0 3 324.877 4.258 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1Cl)N1CCCC1)c1ccco1 ZINC000531692826 1045905839 /nfs/dbraw/zinc/90/58/39/1045905839.db2.gz KABXYIYOLJOUAH-YOEHRIQHSA-N 0 3 318.848 4.421 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c(Cl)cncc1Cl)CC2 ZINC001137609024 1045906202 /nfs/dbraw/zinc/90/62/02/1045906202.db2.gz CKUFMEGTTXPWTI-NSHDSACASA-N 0 3 317.235 4.493 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2F)s1 ZINC000754668236 1045907520 /nfs/dbraw/zinc/90/75/20/1045907520.db2.gz NDZKSINQUNWOLS-STQMWFEESA-N 0 3 320.433 4.182 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000631703464 1045907586 /nfs/dbraw/zinc/90/75/86/1045907586.db2.gz OYNOWQPRRBZYMM-QZNHQWIBSA-N 0 3 312.413 4.090 20 0 DIADHN CCCOCc1ccccc1CNCc1cc(C)ccc1NC ZINC000902076682 1045907726 /nfs/dbraw/zinc/90/77/26/1045907726.db2.gz SMAGUUAQHNAOBN-UHFFFAOYSA-N 0 3 312.457 4.253 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(C(C)C)cc2)s1 ZINC000754665536 1045908637 /nfs/dbraw/zinc/90/86/37/1045908637.db2.gz WOMKOTVCABMYOO-AWEZNQCLSA-N 0 3 316.470 4.469 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cccc3c1CCOC3)CC2 ZINC000631729690 1045908977 /nfs/dbraw/zinc/90/89/77/1045908977.db2.gz RAOIOMLUFVWZII-LJQANCHMSA-N 0 3 313.828 4.190 20 0 DIADHN Cc1ccc(CN[C@@H](C)CC(=O)Nc2ccc(C)cc2Cl)o1 ZINC000631728754 1045909227 /nfs/dbraw/zinc/90/92/27/1045909227.db2.gz XKPDQWJKONBUSE-LBPRGKRZSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2sc(C)c(C)c2C)s1 ZINC000754671102 1045909767 /nfs/dbraw/zinc/90/97/67/1045909767.db2.gz DRYBLIKHGWRZGZ-GFCCVEGCSA-N 0 3 322.499 4.333 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)s1 ZINC000754671869 1045911056 /nfs/dbraw/zinc/91/10/56/1045911056.db2.gz RLTCBWDPPBWDOY-UONOGXRCSA-N 0 3 316.470 4.297 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1cccc(Cl)c1 ZINC000631730350 1045911740 /nfs/dbraw/zinc/91/17/40/1045911740.db2.gz RKZKXUHEQIAYKF-CYBMUJFWSA-N 0 3 301.817 4.264 20 0 DIADHN CCC[C@H](NCc1cccc2c1CCOC2)c1cc(C)ccn1 ZINC000631733165 1045913456 /nfs/dbraw/zinc/91/34/56/1045913456.db2.gz YIZGAPYTBXXZEB-IBGZPJMESA-N 0 3 310.441 4.094 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccsc1 ZINC000631737491 1045913988 /nfs/dbraw/zinc/91/39/88/1045913988.db2.gz PQOFZEUTAQIQBJ-MFKMUULPSA-N 0 3 302.399 4.397 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cccc3c2OCO3)c2sccc21 ZINC000453065690 1045916146 /nfs/dbraw/zinc/91/61/46/1045916146.db2.gz JZODLYGRVTUNLH-BXUZGUMPSA-N 0 3 301.411 4.205 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(C)(C)c1ccc(Cl)cc1 ZINC000453072963 1045917509 /nfs/dbraw/zinc/91/75/09/1045917509.db2.gz SARZQRYRFKSQLW-ZDUSSCGKSA-N 0 3 305.853 4.185 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](C)c1csc2ccccc21 ZINC000754731225 1045921366 /nfs/dbraw/zinc/92/13/66/1045921366.db2.gz KYCPDXFZCCTUSU-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3N[C@@H]1CCCn2nccc21 ZINC000631809147 1045922944 /nfs/dbraw/zinc/92/29/44/1045922944.db2.gz GBACPRGBBRIXEU-MSOLQXFVSA-N 0 3 320.440 4.175 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)c2csc3ccccc32)c1 ZINC000754746558 1045923044 /nfs/dbraw/zinc/92/30/44/1045923044.db2.gz ZRRMFWNVSDQKNC-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1cc2c(s1)CCCC2 ZINC000631810099 1045923802 /nfs/dbraw/zinc/92/38/02/1045923802.db2.gz QHGAYEHVANWUEU-JSGCOSHPSA-N 0 3 301.459 4.009 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1ccc(OC(C)(C)C)cc1 ZINC000631810612 1045924809 /nfs/dbraw/zinc/92/48/09/1045924809.db2.gz WVALJLGICNFMKG-WMLDXEAASA-N 0 3 313.445 4.246 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2CC[C@@H](C)c3ccsc32)c1 ZINC000453078635 1045926883 /nfs/dbraw/zinc/92/68/83/1045926883.db2.gz RYHJDBJZOWGCAS-MLGOLLRUSA-N 0 3 317.454 4.494 20 0 DIADHN Cc1cccc(F)c1CN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000754792367 1045926951 /nfs/dbraw/zinc/92/69/51/1045926951.db2.gz ARDLIHJKMLLUGA-UZLBHIALSA-N 0 3 313.416 4.392 20 0 DIADHN CC[C@@H](NCc1ccc(OC)cc1C(F)(F)F)c1ccncc1 ZINC000453083558 1045929727 /nfs/dbraw/zinc/92/97/27/1045929727.db2.gz DNHZTHOOEPLMFA-MRXNPFEDSA-N 0 3 324.346 4.350 20 0 DIADHN CC[C@@H](NCc1cc(Cl)c2c(c1)OCCO2)c1ccsc1 ZINC000453091123 1045931544 /nfs/dbraw/zinc/93/15/44/1045931544.db2.gz ZERQVXOLKDALSI-CQSZACIVSA-N 0 3 323.845 4.414 20 0 DIADHN c1c2ccccc2sc1CN1CCN(c2ccccc2)CC1 ZINC001137680233 1045932874 /nfs/dbraw/zinc/93/28/74/1045932874.db2.gz STAIBDVYYLTXQT-UHFFFAOYSA-N 0 3 308.450 4.224 20 0 DIADHN Cc1cnc([C@H](NC[C@@]2(C)CC2(Cl)Cl)C2CC2)s1 ZINC000828808194 1045935812 /nfs/dbraw/zinc/93/58/12/1045935812.db2.gz HLWDFRSQQQMMJA-ZYHUDNBSSA-N 0 3 305.274 4.076 20 0 DIADHN C[C@H](OC(=O)C(C)(C)N(C)C1CCCC1)c1ccccc1F ZINC000754868015 1045937022 /nfs/dbraw/zinc/93/70/22/1045937022.db2.gz GQIJUZMKDZDECU-ZDUSSCGKSA-N 0 3 307.409 4.083 20 0 DIADHN CNc1ccccc1CNCc1ccc(Br)cc1C ZINC000902226718 1045952710 /nfs/dbraw/zinc/95/27/10/1045952710.db2.gz OHXULEWZOQUMKH-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(-c2ccccc2)nn1C)c1ccncc1 ZINC000453127618 1045953450 /nfs/dbraw/zinc/95/34/50/1045953450.db2.gz PTFLZJGEQSSFFX-MAUKXSAKSA-N 0 3 320.440 4.284 20 0 DIADHN C/C(=C\C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1)C(C)(C)C ZINC000802377464 1045963311 /nfs/dbraw/zinc/96/33/11/1045963311.db2.gz JBJZYFINLTWSNJ-BYIUCRAPSA-N 0 3 322.880 4.051 20 0 DIADHN C/C(=C/C(C)(C)C)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC000802378511 1045963805 /nfs/dbraw/zinc/96/38/05/1045963805.db2.gz HZQFCDSKYBICQW-KVNDZABWSA-N 0 3 322.880 4.051 20 0 DIADHN CC(C)CN(Cc1nc(Br)cs1)CC(C)C ZINC001137773840 1045964440 /nfs/dbraw/zinc/96/44/40/1045964440.db2.gz INPBZTOQZZXPMB-UHFFFAOYSA-N 0 3 305.285 4.020 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000902290879 1045964917 /nfs/dbraw/zinc/96/49/17/1045964917.db2.gz NDTDGQLRLDRGAQ-INIZCTEOSA-N 0 3 320.440 4.073 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)CN[C@H]2CCn3ccnc32)cc1 ZINC000453174247 1045967304 /nfs/dbraw/zinc/96/73/04/1045967304.db2.gz JXVAPKYFZITVQA-KRWDZBQOSA-N 0 3 311.473 4.193 20 0 DIADHN COC[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccc(Cl)cc1 ZINC000829304897 1045968859 /nfs/dbraw/zinc/96/88/59/1045968859.db2.gz DQIXINKCOYLIMA-QWHCGFSZSA-N 0 3 322.663 4.201 20 0 DIADHN CC[C@H](N[C@H]1CCN(C(C)=O)c2ccccc21)c1ccsc1 ZINC000453181909 1045969454 /nfs/dbraw/zinc/96/94/54/1045969454.db2.gz QWJCJZGNPYSBKZ-IRXDYDNUSA-N 0 3 314.454 4.287 20 0 DIADHN O[C@@H](CNCc1sccc1Cl)c1cc2ccccc2s1 ZINC000193148734 1045970737 /nfs/dbraw/zinc/97/07/37/1045970737.db2.gz RCVBETYXDOEWKX-LBPRGKRZSA-N 0 3 323.870 4.439 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1ccncn1 ZINC000453188128 1045971676 /nfs/dbraw/zinc/97/16/76/1045971676.db2.gz YWVSXGVRQJRHTJ-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN COC1(CN[C@H](C)c2ccc(Oc3ccccc3)cn2)CCC1 ZINC000453199096 1045980412 /nfs/dbraw/zinc/98/04/12/1045980412.db2.gz ROHZEMFINUXFLJ-OAHLLOKOSA-N 0 3 312.413 4.094 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC000453205175 1045983493 /nfs/dbraw/zinc/98/34/93/1045983493.db2.gz ZSQZTEFXGNLCJJ-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN C[C@H]1C[C@H](NC2CC(c3ccc(F)c(Cl)c3)C2)c2nccn21 ZINC000453213195 1045985623 /nfs/dbraw/zinc/98/56/23/1045985623.db2.gz MENREMASUZATOC-CGBQZSDPSA-N 0 3 319.811 4.217 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CC[C@H](C)c3ccsc32)ccn1 ZINC000453214653 1045986031 /nfs/dbraw/zinc/98/60/31/1045986031.db2.gz FBYPXNFIDWBQNX-SLEUVZQESA-N 0 3 302.443 4.441 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@H](C)c1cc(Cl)ccc1Cl ZINC000453209811 1045986721 /nfs/dbraw/zinc/98/67/21/1045986721.db2.gz ZSQZTEFXGNLCJJ-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c3c(nn2C)CCCC3)c2sccc21 ZINC000453231041 1045997092 /nfs/dbraw/zinc/99/70/92/1045997092.db2.gz GOKIEFDDCQRGTM-BLLLJJGKSA-N 0 3 315.486 4.089 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cs1 ZINC000453238341 1045999289 /nfs/dbraw/zinc/99/92/89/1045999289.db2.gz WJLZYZICYSESTG-CXAGYDPISA-N 0 3 306.450 4.149 20 0 DIADHN CC(F)(F)CCCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000453239770 1046001981 /nfs/dbraw/zinc/00/19/81/1046001981.db2.gz SUALFGJCNOSRLS-MRXNPFEDSA-N 0 3 308.347 4.335 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@@H](C)c1cc(Cl)sc1Cl ZINC000453328795 1046023752 /nfs/dbraw/zinc/02/37/52/1046023752.db2.gz CKHGVGSFOHRGEY-BDAKNGLRSA-N 0 3 318.273 4.070 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OCC(F)(F)F)cc2)ccn1 ZINC000453288799 1046016552 /nfs/dbraw/zinc/01/65/52/1046016552.db2.gz LREJMWUAYHJWQI-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccnc(C(F)(F)F)c1)CCC2 ZINC000453292974 1046018555 /nfs/dbraw/zinc/01/85/55/1046018555.db2.gz UXLXQJWCPTZQPN-HNNXBMFYSA-N 0 3 324.321 4.407 20 0 DIADHN Cc1cc(CN[C@@H]2CCCOc3cc4c(cc32)CCCC4)ccn1 ZINC000453298171 1046021517 /nfs/dbraw/zinc/02/15/17/1046021517.db2.gz UJFKWUALEVHNBI-HXUWFJFHSA-N 0 3 322.452 4.272 20 0 DIADHN C[C@H](N[C@H]1CCOC1(C)C)c1nc(-c2ccccc2)cs1 ZINC000453274057 1046023824 /nfs/dbraw/zinc/02/38/24/1046023824.db2.gz APFZMZBDDDMQBA-WFASDCNBSA-N 0 3 302.443 4.028 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Cl)c(Cl)c1)[C@@H](O)C(F)F ZINC000453273781 1046023843 /nfs/dbraw/zinc/02/38/43/1046023843.db2.gz VBVCHQOQQOPSIS-CQFDHEJISA-N 0 3 312.187 4.049 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000453303836 1046024994 /nfs/dbraw/zinc/02/49/94/1046024994.db2.gz AWIXDADKMNYNAF-QGHHPUGFSA-N 0 3 321.827 4.323 20 0 DIADHN C[C@@H](CC(C)(C)O)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000453307553 1046027576 /nfs/dbraw/zinc/02/75/76/1046027576.db2.gz CYXMIAFCQFHWSK-STQMWFEESA-N 0 3 304.459 4.010 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(C)cs1)CCS2 ZINC000453309367 1046029328 /nfs/dbraw/zinc/02/93/28/1046029328.db2.gz DTKDNOGZXDLUKE-HNNXBMFYSA-N 0 3 305.468 4.392 20 0 DIADHN CNc1ccccc1CN[C@@H]1CCCc2c(F)cc(F)cc21 ZINC000902575835 1046029766 /nfs/dbraw/zinc/02/97/66/1046029766.db2.gz PVUDKASXORVWMT-GOSISDBHSA-N 0 3 302.368 4.174 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137955200 1046030169 /nfs/dbraw/zinc/03/01/69/1046030169.db2.gz LSAUQCLDSHTWFV-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN Cc1cccnc1CCCN[C@@H](C)c1csc(C(C)C)n1 ZINC000453313849 1046031682 /nfs/dbraw/zinc/03/16/82/1046031682.db2.gz XHPXMBTUQWSZFK-AWEZNQCLSA-N 0 3 303.475 4.253 20 0 DIADHN CN(Cc1ccc(SC(F)(F)F)cc1)C[C@H]1CCCCO1 ZINC001137955347 1046033337 /nfs/dbraw/zinc/03/33/37/1046033337.db2.gz VGWKCDNJRBOIOP-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@@H](c2ccccc2)c2ccccn2)CCO1 ZINC000453320262 1046035760 /nfs/dbraw/zinc/03/57/60/1046035760.db2.gz OOLBCGRGMDAQDO-YYWHXJBOSA-N 0 3 324.468 4.212 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H](O)c3cccc(F)c3)oc2c1 ZINC000756033119 1046039487 /nfs/dbraw/zinc/03/94/87/1046039487.db2.gz UYXQOSBVDWXRFU-SUMWQHHRSA-N 0 3 313.372 4.265 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H](O)c3ccsc3)oc2c1 ZINC000756038774 1046041996 /nfs/dbraw/zinc/04/19/96/1046041996.db2.gz YQXWAUITGOZJHS-SWLSCSKDSA-N 0 3 301.411 4.187 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1c(F)cccc1-n1cccn1)CC2 ZINC000453344273 1046046149 /nfs/dbraw/zinc/04/61/49/1046046149.db2.gz GYDYLNNRBMHZOJ-IBGZPJMESA-N 0 3 321.399 4.097 20 0 DIADHN CCO[C@@H]1CCC[C@@H](N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000902725227 1046051077 /nfs/dbraw/zinc/05/10/77/1046051077.db2.gz SASZMMOAPMTGOL-GGPKGHCWSA-N 0 3 310.441 4.108 20 0 DIADHN Fc1cccc(-n2cccn2)c1CN[C@H](c1ccccc1)C1CC1 ZINC000453350966 1046051606 /nfs/dbraw/zinc/05/16/06/1046051606.db2.gz KGWBOAXFEZWAKX-HXUWFJFHSA-N 0 3 321.399 4.252 20 0 DIADHN Cc1ccc(CN2CCC(O)(C(F)(F)F)CC2)c2ccccc12 ZINC001138013426 1046056386 /nfs/dbraw/zinc/05/63/86/1046056386.db2.gz NMWDRNKKOUTGSP-UHFFFAOYSA-N 0 3 323.358 4.037 20 0 DIADHN COc1ccc([C@H](C)N2CCC[C@]3(CCSC3)C2)cc1F ZINC000453384601 1046061657 /nfs/dbraw/zinc/06/16/57/1046061657.db2.gz ZWXJAKXWDXCEAS-GUYCJALGSA-N 0 3 309.450 4.114 20 0 DIADHN CN1CCC[C@H](N[C@@H]2CCCc3ccc(O)cc32)c2ccccc21 ZINC000453362856 1046065126 /nfs/dbraw/zinc/06/51/26/1046065126.db2.gz LGMZAECCRHXABH-VQTJNVASSA-N 0 3 322.452 4.331 20 0 DIADHN CN1CCC[C@@H](N[C@H]2CCCc3c(O)cccc32)c2ccccc21 ZINC000453373153 1046069634 /nfs/dbraw/zinc/06/96/34/1046069634.db2.gz OOQTUETUWQTQKJ-RBUKOAKNSA-N 0 3 322.452 4.331 20 0 DIADHN CN(Cc1ccc(Cl)nc1)Cc1ccc(O)c2ccccc12 ZINC001138061784 1046079757 /nfs/dbraw/zinc/07/97/57/1046079757.db2.gz UQLSRIYNVOHXEG-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)c2ccccc2F)C2CCCC2)o1 ZINC000756458263 1046083786 /nfs/dbraw/zinc/08/37/86/1046083786.db2.gz LBVBDVWPSJTUJE-MJGOQNOKSA-N 0 3 317.404 4.282 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)c2ccccc2F)C2CCCC2)o1 ZINC000756458259 1046083964 /nfs/dbraw/zinc/08/39/64/1046083964.db2.gz LBVBDVWPSJTUJE-HKUYNNGSSA-N 0 3 317.404 4.282 20 0 DIADHN CC[C@@H](N[C@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000634680172 1046084902 /nfs/dbraw/zinc/08/49/02/1046084902.db2.gz NACFPNOYCAVKKL-VHSXEESVSA-N 0 3 322.396 4.160 20 0 DIADHN C[C@@H](NC[C@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000453420063 1046086044 /nfs/dbraw/zinc/08/60/44/1046086044.db2.gz LKGCOZKHMDTMIM-ZJUUUORDSA-N 0 3 322.396 4.018 20 0 DIADHN COC[C@H](NCCC1CC(F)(F)C1)c1cccc(Cl)c1F ZINC000453422613 1046087172 /nfs/dbraw/zinc/08/71/72/1046087172.db2.gz MVYHGUAZNPQYTK-ZDUSSCGKSA-N 0 3 321.770 4.192 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1ncc(Br)s1 ZINC000453445853 1046087727 /nfs/dbraw/zinc/08/77/27/1046087727.db2.gz ZVKAEENFXKLTFY-DTWKUNHWSA-N 0 3 305.285 4.381 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2cc[nH]c21)c1cccs1 ZINC000453574341 1046094344 /nfs/dbraw/zinc/09/43/44/1046094344.db2.gz ILNIHNVVKQLJPX-QGZVFWFLSA-N 0 3 314.454 4.079 20 0 DIADHN CCc1ccc(CN(C)Cc2c[nH]nc2-c2cccs2)cc1 ZINC001138157782 1046107905 /nfs/dbraw/zinc/10/79/05/1046107905.db2.gz NXUHIJSPDLFUHF-UHFFFAOYSA-N 0 3 311.454 4.333 20 0 DIADHN C[C@@H](NC1(c2cccc(F)c2)CC1)c1cccc(-n2ccnc2)c1 ZINC000902913852 1046109665 /nfs/dbraw/zinc/10/96/65/1046109665.db2.gz BDZDBXGWSJZDGY-OAHLLOKOSA-N 0 3 321.399 4.351 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2c3ccccc3C[C@@H]2C)c1 ZINC000532192573 1046111063 /nfs/dbraw/zinc/11/10/63/1046111063.db2.gz ARFZJBSJXWNZOG-VBKZILBWSA-N 0 3 308.425 4.058 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000532204611 1046114397 /nfs/dbraw/zinc/11/43/97/1046114397.db2.gz RIFWSRJTLKVBJK-GJZGRUSLSA-N 0 3 316.445 4.005 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCOC2CCCC2)c1 ZINC000532204585 1046115046 /nfs/dbraw/zinc/11/50/46/1046115046.db2.gz OLMGWWXWXURTQC-ZDUSSCGKSA-N 0 3 313.388 4.206 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)OC1(C)C)c1ccc(C(F)(F)F)cn1 ZINC000902941656 1046118334 /nfs/dbraw/zinc/11/83/34/1046118334.db2.gz DZJYKYBUBKKAET-GXFFZTMASA-N 0 3 316.367 4.097 20 0 DIADHN CCc1cc(CN[C@@H](C)[C@@H](C)c2nc3ccccc3s2)on1 ZINC000532234672 1046124060 /nfs/dbraw/zinc/12/40/60/1046124060.db2.gz KJCZOGMMQIHZMB-NEPJUHHUSA-N 0 3 315.442 4.129 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)[C@@H](C)c2ccccc2)o1 ZINC000532236060 1046124324 /nfs/dbraw/zinc/12/43/24/1046124324.db2.gz MPACEUIFZFLKIS-RKVPGOIHSA-N 0 3 301.430 4.311 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)c2c(F)cccc2F)C2CCC2)o1 ZINC000756741230 1046126453 /nfs/dbraw/zinc/12/64/53/1046126453.db2.gz WHOQGWIXGUTWDJ-YJBOKZPZSA-N 0 3 321.367 4.031 20 0 DIADHN FC1(F)CC(N[C@H](CCc2ccccc2)c2cccnc2)C1 ZINC000902972828 1046133642 /nfs/dbraw/zinc/13/36/42/1046133642.db2.gz IZJUHPVATVAYFD-QGZVFWFLSA-N 0 3 302.368 4.143 20 0 DIADHN COC(=O)c1ccc([C@@H](C)NCc2cscc2C)c(C)c1 ZINC000902974730 1046133804 /nfs/dbraw/zinc/13/38/04/1046133804.db2.gz ALDYTCAMXGYUAC-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H](NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001138282049 1046136511 /nfs/dbraw/zinc/13/65/11/1046136511.db2.gz ZQQROHCWQQLPEH-IRXDYDNUSA-N 0 3 322.537 4.362 20 0 DIADHN CCOc1cc(CN2CCCC2)ccc1OCc1ccccc1 ZINC001138286342 1046137979 /nfs/dbraw/zinc/13/79/79/1046137979.db2.gz ROBWRTDQFHFMEZ-UHFFFAOYSA-N 0 3 311.425 4.260 20 0 DIADHN CCOc1cc(CN2C[C@@H]3C[C@@H]3C2)ccc1OCc1ccccc1 ZINC001138287557 1046138350 /nfs/dbraw/zinc/13/83/50/1046138350.db2.gz BURQMJQAUHSKSL-KDURUIRLSA-N 0 3 323.436 4.116 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cccc(OC(C)(C)C)n1 ZINC001138284048 1046138545 /nfs/dbraw/zinc/13/85/45/1046138545.db2.gz ZCNCGMZUOKNPLE-UHFFFAOYSA-N 0 3 316.420 4.073 20 0 DIADHN COc1ccc(CN2CC3CCC(CC3)C2)cc1Br ZINC001138296543 1046140536 /nfs/dbraw/zinc/14/05/36/1046140536.db2.gz QLDJVLPQMMKPJH-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN COc1c(C)cccc1CN[C@H](C)c1cccc(-n2ccnc2)c1 ZINC000903043254 1046146182 /nfs/dbraw/zinc/14/61/82/1046146182.db2.gz SSOGMZAUGJSBST-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2F)C2CCOCC2)cc1 ZINC000903059494 1046150779 /nfs/dbraw/zinc/15/07/79/1046150779.db2.gz YPHVPBOSTRNLAF-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN CC[C@H]1CCCN1Cc1c[nH]c2ccc(Br)cc12 ZINC001138319766 1046151308 /nfs/dbraw/zinc/15/13/08/1046151308.db2.gz CWYRAPUMMFSZMF-ZDUSSCGKSA-N 0 3 307.235 4.305 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1cnn(CC2CCC2)c1 ZINC000903062446 1046152773 /nfs/dbraw/zinc/15/27/73/1046152773.db2.gz RTWHPTPRQGGPDO-QRWLVFNGSA-N 0 3 309.457 4.485 20 0 DIADHN CCSc1ccc([C@H](C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC000756926523 1046152755 /nfs/dbraw/zinc/15/27/55/1046152755.db2.gz SVJGBAQSSYIXRT-LBPRGKRZSA-N 0 3 305.418 4.494 20 0 DIADHN CCC[C@@H](NCC[S@@](=O)CC)c1ccc(Cl)cc1Cl ZINC000756926549 1046152962 /nfs/dbraw/zinc/15/29/62/1046152962.db2.gz UQDSDWUUOGFJKE-KUHUBIRLSA-N 0 3 322.301 4.193 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1cnn(CC2CCC2)c1 ZINC000903062447 1046153279 /nfs/dbraw/zinc/15/32/79/1046153279.db2.gz RTWHPTPRQGGPDO-YWZLYKJASA-N 0 3 309.457 4.485 20 0 DIADHN C[C@H](c1cccc2ccccc21)N(C)Cc1cc(O)cc(O)c1 ZINC001138333213 1046153859 /nfs/dbraw/zinc/15/38/59/1046153859.db2.gz TYQNXSCLBQUGAH-CQSZACIVSA-N 0 3 307.393 4.444 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@H](C)c1cnc(C)s1 ZINC000903077530 1046156565 /nfs/dbraw/zinc/15/65/65/1046156565.db2.gz KZJYUIXCHNYIJI-JKDFXYPNSA-N 0 3 316.470 4.434 20 0 DIADHN CCc1cccc2c(CN(C)CCC(=O)c3ccccc3)c[nH]c21 ZINC001138361922 1046157540 /nfs/dbraw/zinc/15/75/40/1046157540.db2.gz UQTJLRVAJBPAEM-UHFFFAOYSA-N 0 3 320.436 4.435 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1nc2ccccc2o1 ZINC001168150668 1046158150 /nfs/dbraw/zinc/15/81/50/1046158150.db2.gz WEJFZJZEMULKIC-LBPRGKRZSA-N 0 3 300.789 4.202 20 0 DIADHN COc1ccc(F)cc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001138371214 1046159361 /nfs/dbraw/zinc/15/93/61/1046159361.db2.gz SIDADNIDGQDNHC-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN CCN(CC)Cc1cccc(NC2(c3ccccn3)CC2)c1F ZINC001168151889 1046163664 /nfs/dbraw/zinc/16/36/64/1046163664.db2.gz YAAKNNTWSDNBNR-UHFFFAOYSA-N 0 3 313.420 4.164 20 0 DIADHN Cc1c(CN2CC[C@H](Oc3ccc(F)cc3)C2)[nH]c2ccccc12 ZINC001138401111 1046164285 /nfs/dbraw/zinc/16/42/85/1046164285.db2.gz GRZBBXZJOIJGHR-KRWDZBQOSA-N 0 3 324.399 4.269 20 0 DIADHN C[C@@H]1CCCN(Cc2ncccc2NC(=O)OC(C)(C)C)CC1 ZINC001138402149 1046164816 /nfs/dbraw/zinc/16/48/16/1046164816.db2.gz IIPVLEIADBQWBT-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCc3cccc4cccc2c43)n(C)n1 ZINC000903107988 1046166238 /nfs/dbraw/zinc/16/62/38/1046166238.db2.gz JPKUWBBBZLZAFP-KSSFIOAISA-N 0 3 305.425 4.220 20 0 DIADHN COc1cccc(C2(NCc3cccc4ncccc34)CC2)c1 ZINC000634968504 1046166658 /nfs/dbraw/zinc/16/66/58/1046166658.db2.gz QGPBAJOOFFFOIT-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN c1cc(CNC[C@@H]2CC3c4ccccc4C2c2ccccc23)no1 ZINC000634954937 1046167969 /nfs/dbraw/zinc/16/79/69/1046167969.db2.gz RZQALTCOLDMQDU-YJPTXTSQSA-N 0 3 316.404 4.062 20 0 DIADHN c1cnc2cc(CNCc3ccc(C4CCC4)cc3)ccc2n1 ZINC000634977838 1046168594 /nfs/dbraw/zinc/16/85/94/1046168594.db2.gz LZLJDTCFUUBOPH-UHFFFAOYSA-N 0 3 303.409 4.187 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3ncccc23)c(OC)c1 ZINC000634988382 1046169117 /nfs/dbraw/zinc/16/91/17/1046169117.db2.gz VBBWZEBUKSOJSH-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN c1ccc([C@@H](NCc2cccc3ncccc23)C2CCC2)nc1 ZINC000634986897 1046169785 /nfs/dbraw/zinc/16/97/85/1046169785.db2.gz HJWUHRZQCXOWKO-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CCC2(CC(F)C2)CC1 ZINC001138409866 1046169812 /nfs/dbraw/zinc/16/98/12/1046169812.db2.gz JXWDKWNICFRTMU-UHFFFAOYSA-N 0 3 304.384 4.330 20 0 DIADHN COc1ccc(CN(C)Cc2[nH]c3ccc(F)cc3c2C)cc1 ZINC001138409689 1046169985 /nfs/dbraw/zinc/16/99/85/1046169985.db2.gz CXOMYBQMENHOQL-UHFFFAOYSA-N 0 3 312.388 4.256 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NC2(c3ccccc3)CC2)c1 ZINC000903135416 1046171131 /nfs/dbraw/zinc/17/11/31/1046171131.db2.gz JBNZWBFHSJYOPF-OAHLLOKOSA-N 0 3 309.409 4.090 20 0 DIADHN CCN(Cc1cc2cc(C)ccc2[nH]1)Cc1ccc2c(c1)OCO2 ZINC001138420683 1046175505 /nfs/dbraw/zinc/17/55/05/1046175505.db2.gz PDZVFHQRFFVZLH-UHFFFAOYSA-N 0 3 322.408 4.227 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(CC2CCC2)c1 ZINC000903157368 1046178709 /nfs/dbraw/zinc/17/87/09/1046178709.db2.gz FESNLJFXUVLHPC-IUODEOHRSA-N 0 3 317.399 4.311 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2ccc(C)nc2)c(C)c1 ZINC001138436319 1046179679 /nfs/dbraw/zinc/17/96/79/1046179679.db2.gz YSSGHFKYENOQQX-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN C[C@H](NC[C@]12C[C@H]1CCCC2)c1nc(Br)cs1 ZINC000903161817 1046179893 /nfs/dbraw/zinc/17/98/93/1046179893.db2.gz OVAPFARFQGRVMQ-CWSCBRNRSA-N 0 3 315.280 4.137 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3cnn(CC4CCC4)c3)ccc2[nH]1 ZINC000903171379 1046182178 /nfs/dbraw/zinc/18/21/78/1046182178.db2.gz OLPUPQNWONDJON-HNNXBMFYSA-N 0 3 322.456 4.324 20 0 DIADHN Cc1cnc([C@@H](C)N[C@H]2CCc3cccc(Cl)c3C2)s1 ZINC000666097573 1046185735 /nfs/dbraw/zinc/18/57/35/1046185735.db2.gz SCLYVAYLLOUHNT-YPMHNXCESA-N 0 3 306.862 4.313 20 0 DIADHN COC1CCN(Cc2ccc(-c3cccc(Cl)c3)o2)CC1 ZINC001204695432 1046186742 /nfs/dbraw/zinc/18/67/42/1046186742.db2.gz RXUHTXKTROOVKP-UHFFFAOYSA-N 0 3 305.805 4.211 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@]2(CCCOC2)C1 ZINC000475733457 1046189165 /nfs/dbraw/zinc/18/91/65/1046189165.db2.gz KRXIUCDBCRCVTL-GUYCJALGSA-N 0 3 311.828 4.433 20 0 DIADHN Cc1cnc([C@@H](C)N[C@@H]2CCc3cccc(Cl)c3C2)s1 ZINC000666097568 1046190678 /nfs/dbraw/zinc/19/06/78/1046190678.db2.gz SCLYVAYLLOUHNT-DGCLKSJQSA-N 0 3 306.862 4.313 20 0 DIADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000475743011 1046191029 /nfs/dbraw/zinc/19/10/29/1046191029.db2.gz VYGASHMQLBDFTN-FRRDWIJNSA-N 0 3 319.836 4.256 20 0 DIADHN CN(C)c1ccnc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000903201944 1046191991 /nfs/dbraw/zinc/19/19/91/1046191991.db2.gz RWOABYMUDOUGPT-GOSISDBHSA-N 0 3 315.848 4.042 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccc(F)cc3)C2)cc1Cl ZINC001138475446 1046193657 /nfs/dbraw/zinc/19/36/57/1046193657.db2.gz NQBZVBLXURENDK-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2csc(C)c2C)c1 ZINC000903209133 1046194045 /nfs/dbraw/zinc/19/40/45/1046194045.db2.gz HALVXZKWNBWOEX-ZDUSSCGKSA-N 0 3 317.454 4.279 20 0 DIADHN CC[C@H](NCc1cc(N(C)C)ccn1)c1ccc(Cl)cc1 ZINC000903203100 1046194839 /nfs/dbraw/zinc/19/48/39/1046194839.db2.gz OAUZSQFYJOEUNS-KRWDZBQOSA-N 0 3 303.837 4.042 20 0 DIADHN FC(F)(F)Cc1ccccc1CN[C@@H](c1ccccn1)C1CC1 ZINC000903210001 1046196015 /nfs/dbraw/zinc/19/60/15/1046196015.db2.gz SNUNDVSCHTUNTL-QGZVFWFLSA-N 0 3 320.358 4.427 20 0 DIADHN Cc1cc([C@@H](C)NCc2c[nH]c(=O)c3ccccc23)c(C)s1 ZINC000903230634 1046198433 /nfs/dbraw/zinc/19/84/33/1046198433.db2.gz BZJBGOZEABSXRQ-GFCCVEGCSA-N 0 3 312.438 4.470 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1sccc1Cl ZINC000487537377 1046199936 /nfs/dbraw/zinc/19/99/36/1046199936.db2.gz LDPNRXXGJJVRCR-MEBBXXQBSA-N 0 3 313.825 4.406 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2c[nH]c(=O)c3ccccc23)C1 ZINC000903232682 1046200332 /nfs/dbraw/zinc/20/03/32/1046200332.db2.gz XRLUWUWJQQMDST-KRWDZBQOSA-N 0 3 322.408 4.337 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001138502875 1046201552 /nfs/dbraw/zinc/20/15/52/1046201552.db2.gz JREIRZFFSXSNDQ-VQTJNVASSA-N 0 3 323.484 4.170 20 0 DIADHN CCc1ccc([C@H](C)NCc2cnn(CC3CCC3)c2)s1 ZINC000903239447 1046204087 /nfs/dbraw/zinc/20/40/87/1046204087.db2.gz FQJZKCGDJOCDHD-ZDUSSCGKSA-N 0 3 303.475 4.158 20 0 DIADHN Cc1ccc(CCNCc2cc(Cl)sc2Cl)cn1 ZINC000648454395 1046227907 /nfs/dbraw/zinc/22/79/07/1046227907.db2.gz SSXCDEBUAQVPOZ-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN COc1ccc2[nH]cc(CN(C)[C@H](C)c3ccccc3OC)c2c1 ZINC001138510126 1046205712 /nfs/dbraw/zinc/20/57/12/1046205712.db2.gz PFTGEOANJXOBJJ-CQSZACIVSA-N 0 3 324.424 4.378 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](C)c2ccc(Br)cc2)no1 ZINC000903247001 1046207214 /nfs/dbraw/zinc/20/72/14/1046207214.db2.gz SHSNTKCSMYXXFA-JQWIXIFHSA-N 0 3 323.234 4.200 20 0 DIADHN Cc1cccc(OC(=O)C2(C)CCN(Cc3ccccc3)CC2)c1 ZINC000757761265 1046209740 /nfs/dbraw/zinc/20/97/40/1046209740.db2.gz WWUDMLHVLQJCAE-UHFFFAOYSA-N 0 3 323.436 4.203 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3c2NCCC3)cc1F ZINC000321186355 1046212081 /nfs/dbraw/zinc/21/20/81/1046212081.db2.gz RPAFVRLRJWRHNM-ZDUSSCGKSA-N 0 3 314.404 4.043 20 0 DIADHN CCCc1ccc(CN2CCC[C@@H](Oc3ccncc3)C2)s1 ZINC001138528766 1046213422 /nfs/dbraw/zinc/21/34/22/1046213422.db2.gz FKZVFDOFIAKAKG-MRXNPFEDSA-N 0 3 316.470 4.139 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H]2CCCC[C@@H]2O)c2ccccn2)cc1 ZINC000903265645 1046214334 /nfs/dbraw/zinc/21/43/34/1046214334.db2.gz XCFKORGVVVOVHY-DZFGPLHGSA-N 0 3 324.468 4.010 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCCC[C@@H]2O)c2cccnc2)cc1 ZINC000903265983 1046214419 /nfs/dbraw/zinc/21/44/19/1046214419.db2.gz ZVVLKOUFAIUGEK-YYWHXJBOSA-N 0 3 324.468 4.010 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2cnn(CCF)c2)cc1 ZINC000903273658 1046217649 /nfs/dbraw/zinc/21/76/49/1046217649.db2.gz DKXHKCIJIVOAKU-HNNXBMFYSA-N 0 3 303.425 4.046 20 0 DIADHN CC1(C)C[C@H](N[C@@H]2COCc3cccc(Cl)c32)CCS1 ZINC000903282644 1046221775 /nfs/dbraw/zinc/22/17/75/1046221775.db2.gz FJJDYPMWCJATFR-TZMCWYRMSA-N 0 3 311.878 4.175 20 0 DIADHN CC1(C)C[C@@H](N[C@H]2COCc3cccc(Cl)c32)CCS1 ZINC000903282642 1046222353 /nfs/dbraw/zinc/22/23/53/1046222353.db2.gz FJJDYPMWCJATFR-JSGCOSHPSA-N 0 3 311.878 4.175 20 0 DIADHN CC[C@H](NC1(c2ccc3c(c2)OCCO3)CC1)c1cccs1 ZINC000903295744 1046228513 /nfs/dbraw/zinc/22/85/13/1046228513.db2.gz ZUTHARPMGQJDPE-AWEZNQCLSA-N 0 3 315.438 4.249 20 0 DIADHN Cc1csc([C@@H](C)N[C@H]2C[C@H](c3ccc(F)cc3F)C2)n1 ZINC000903296831 1046228551 /nfs/dbraw/zinc/22/85/51/1046228551.db2.gz MIJNIGHXGMYXPJ-NQBHXWOUSA-N 0 3 308.397 4.327 20 0 DIADHN Cc1cc(CN2CCC[C@H](Oc3ncccc3C)C2)c(C)s1 ZINC001138570337 1046231533 /nfs/dbraw/zinc/23/15/33/1046231533.db2.gz UJMIIFJPYHDENG-KRWDZBQOSA-N 0 3 316.470 4.112 20 0 DIADHN c1nc2ccccc2n1-c1ccc(CN2CC3(CCC3)C2)cc1 ZINC001138582181 1046232993 /nfs/dbraw/zinc/23/29/93/1046232993.db2.gz OJUXXUBUSZXRNX-UHFFFAOYSA-N 0 3 303.409 4.011 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1ccc2ccccc2n1 ZINC000903308078 1046233335 /nfs/dbraw/zinc/23/33/35/1046233335.db2.gz MGWZCOCFEIDVNR-MRXNPFEDSA-N 0 3 320.436 4.195 20 0 DIADHN COc1ccc2c(CN3CCCC[C@H]3c3cccnc3)c[nH]c2c1 ZINC001138587147 1046235511 /nfs/dbraw/zinc/23/55/11/1046235511.db2.gz CKOZZECJCXADIN-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)N[C@H](c1ncccn1)C1CC1 ZINC000903323074 1046237392 /nfs/dbraw/zinc/23/73/92/1046237392.db2.gz AFNZVBDGJOAFCC-YJBOKZPZSA-N 0 3 309.457 4.274 20 0 DIADHN c1ccc(N2CCCC2)c(CN2CCC[C@H]2c2cccnc2)c1 ZINC001138610399 1046238378 /nfs/dbraw/zinc/23/83/78/1046238378.db2.gz OELPHCKLEHIHCI-IBGZPJMESA-N 0 3 307.441 4.019 20 0 DIADHN c1nn(-c2ccccc2)cc1CN(Cc1ccccc1)C1CCC1 ZINC001138603788 1046238755 /nfs/dbraw/zinc/23/87/55/1046238755.db2.gz MKPXHEFLSMTREP-UHFFFAOYSA-N 0 3 317.436 4.427 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2ccccc2N2CCCC2)cc1 ZINC001138614295 1046240482 /nfs/dbraw/zinc/24/04/82/1046240482.db2.gz WBWWHIRPVXQFTM-KRWDZBQOSA-N 0 3 324.468 4.488 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccccc1N1CCCC1 ZINC001138614457 1046241679 /nfs/dbraw/zinc/24/16/79/1046241679.db2.gz YVCYIPILCCHZFG-UHFFFAOYSA-N 0 3 312.432 4.448 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccccc2N2CCCC2)cn1 ZINC001138613424 1046241883 /nfs/dbraw/zinc/24/18/83/1046241883.db2.gz JCCHPHVFISZNGD-HXUWFJFHSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1cccc(CN(C)Cc2cccc(Oc3ncccn3)c2)c1 ZINC001138616897 1046244601 /nfs/dbraw/zinc/24/46/01/1046244601.db2.gz FERPKGJIWFSFGE-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@H](C)Cc2ccc(Cl)cc2)no1 ZINC001168170740 1046245119 /nfs/dbraw/zinc/24/51/19/1046245119.db2.gz PQJZWQMMHWPVBD-PXAZEXFGSA-N 0 3 304.821 4.405 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@@H](c1ccccn1)C1CC1 ZINC000903334677 1046246165 /nfs/dbraw/zinc/24/61/65/1046246165.db2.gz FEDVFDJDKRUTDY-LVXYXVKQSA-N 0 3 322.452 4.455 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@@H](c1ccccn1)C1CC1 ZINC000903334678 1046246427 /nfs/dbraw/zinc/24/64/27/1046246427.db2.gz FEDVFDJDKRUTDY-SZFUDVHCSA-N 0 3 322.452 4.455 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@H](c1ccccn1)C1CC1 ZINC000903334679 1046247077 /nfs/dbraw/zinc/24/70/77/1046247077.db2.gz FEDVFDJDKRUTDY-WVFSVQOHSA-N 0 3 322.452 4.455 20 0 DIADHN CCCn1ncc(CN2CCC(c3cccs3)CC2)c1C ZINC001138639142 1046251434 /nfs/dbraw/zinc/25/14/34/1046251434.db2.gz MAWMADABVXYIHA-UHFFFAOYSA-N 0 3 303.475 4.043 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000245654773 1046252306 /nfs/dbraw/zinc/25/23/06/1046252306.db2.gz FQCNQJXPSDBQCC-DECQCQTCSA-N 0 3 317.404 4.144 20 0 DIADHN COc1ccc([C@@H](C)NCc2scnc2C2CC2)cc1C ZINC000897417378 1046253088 /nfs/dbraw/zinc/25/30/88/1046253088.db2.gz MNDKECOBUAVRJL-GFCCVEGCSA-N 0 3 302.443 4.188 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc(Cl)c(C)c2)cc1C ZINC000897417313 1046253338 /nfs/dbraw/zinc/25/33/38/1046253338.db2.gz JSUNVCVQVLBHQA-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)C[C@@H](O)c1ccccc1 ZINC000245676386 1046255237 /nfs/dbraw/zinc/25/52/37/1046255237.db2.gz QSLCYKBFGKEOMW-GOEBONIOSA-N 0 3 318.486 4.301 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(-n3ccnc3)cc2C)c(C)o1 ZINC000192181280 1046261946 /nfs/dbraw/zinc/26/19/46/1046261946.db2.gz IZWYCXZPIAQVFL-OAHLLOKOSA-N 0 3 309.413 4.241 20 0 DIADHN Cc1cc(CNCc2ccc(C(F)(F)F)s2)cc(C)c1O ZINC000758333761 1046262777 /nfs/dbraw/zinc/26/27/77/1046262777.db2.gz CDZHQHVQZRBLFF-UHFFFAOYSA-N 0 3 315.360 4.379 20 0 DIADHN Cc1ncccc1CCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000903418650 1046272663 /nfs/dbraw/zinc/27/26/63/1046272663.db2.gz MUZJHQXERPTEAH-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1ncccc1CCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000903418649 1046273828 /nfs/dbraw/zinc/27/38/28/1046273828.db2.gz MUZJHQXERPTEAH-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN CSc1ncc(CN(C(C)C)[C@@H](C)c2ccccc2)cn1 ZINC001138709094 1046274543 /nfs/dbraw/zinc/27/45/43/1046274543.db2.gz PLEIDQQCIYYPCD-AWEZNQCLSA-N 0 3 301.459 4.170 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2c(C)nc3ccccn32)cc1 ZINC000903422535 1046275779 /nfs/dbraw/zinc/27/57/79/1046275779.db2.gz OMAKNWHQSUAJTI-HNNXBMFYSA-N 0 3 323.440 4.282 20 0 DIADHN CC(C)n1ncc2cc(CN[C@@H]3CC34CCCC4)c(Cl)nc21 ZINC000758522983 1046276055 /nfs/dbraw/zinc/27/60/55/1046276055.db2.gz AAXAQZQHDGSTFA-CQSZACIVSA-N 0 3 318.852 4.088 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1cnc2ccsc2c1 ZINC000903428733 1046276405 /nfs/dbraw/zinc/27/64/05/1046276405.db2.gz PVYOCLZGLQCJNE-XIKOKIGWSA-N 0 3 309.438 4.493 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc2c(c1)CCCO2 ZINC001138716737 1046277447 /nfs/dbraw/zinc/27/74/47/1046277447.db2.gz WAXJMDPLEUWPTP-IBGZPJMESA-N 0 3 323.436 4.357 20 0 DIADHN Cc1c([C@H](C)N[C@@H](c2ccncc2)C2CC2)cnn1C(C)(C)C ZINC000903427500 1046277822 /nfs/dbraw/zinc/27/78/22/1046277822.db2.gz GYZWWSAYXRGVNN-SCLBCKFNSA-N 0 3 312.461 4.143 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000903428265 1046278223 /nfs/dbraw/zinc/27/82/23/1046278223.db2.gz FKTBTDPKTHIAMB-ZBEGNZNMSA-N 0 3 303.356 4.216 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CCC[C@H]2CCO2)c1 ZINC000637876473 1046281081 /nfs/dbraw/zinc/28/10/81/1046281081.db2.gz SZWNWBNVTSHWLE-SUMWQHHRSA-N 0 3 319.495 4.326 20 0 DIADHN C[C@H](Cc1cccs1)NCc1ccsc1Br ZINC000309445324 1046282341 /nfs/dbraw/zinc/28/23/41/1046282341.db2.gz LDXVVQLIIFJKLW-SECBINFHSA-N 0 3 316.289 4.293 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H](C(F)(F)F)C2)c(C)c1C ZINC001138729085 1046282413 /nfs/dbraw/zinc/28/24/13/1046282413.db2.gz MYCPIBWPYVNUPU-CQSZACIVSA-N 0 3 315.379 4.395 20 0 DIADHN c1cc2cc(CN(Cc3ccccn3)C3CCCCC3)cnc2[nH]1 ZINC001138738517 1046283396 /nfs/dbraw/zinc/28/33/96/1046283396.db2.gz VVQSXRLUOZFSAG-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN COc1cc(Cl)cc(CN2CCC3(CCC3)CC2)c1OC ZINC001138742475 1046285535 /nfs/dbraw/zinc/28/55/35/1046285535.db2.gz SVAIMAWZRRGQND-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cnc3[nH]ccc3c2)cc1 ZINC001138740955 1046285834 /nfs/dbraw/zinc/28/58/34/1046285834.db2.gz QMOQUIKOBIATOA-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN Cc1cc(CN(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)sn1 ZINC000637990526 1046289434 /nfs/dbraw/zinc/28/94/34/1046289434.db2.gz DOAXWWSDZMJGQW-FUHWJXTLSA-N 0 3 316.470 4.051 20 0 DIADHN CC[C@@H](NCc1cccnc1C)c1ccc(OC(F)F)cc1 ZINC000151237909 1046289556 /nfs/dbraw/zinc/28/95/56/1046289556.db2.gz HOLMCAGHOOOGEY-MRXNPFEDSA-N 0 3 306.356 4.232 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C2CC3(C2)CCCCC3)c1 ZINC000666284032 1046290787 /nfs/dbraw/zinc/29/07/87/1046290787.db2.gz LDBBUIUUYSVHKO-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3ccc(C(F)F)nc3)C2)c1 ZINC000638030972 1046291300 /nfs/dbraw/zinc/29/13/00/1046291300.db2.gz DNEPBBVQHJWVRZ-AWEZNQCLSA-N 0 3 306.331 4.148 20 0 DIADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000638073338 1046292643 /nfs/dbraw/zinc/29/26/43/1046292643.db2.gz CTHNPGIIPRLPCD-RDJZCZTQSA-N 0 3 315.848 4.014 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000638108083 1046295041 /nfs/dbraw/zinc/29/50/41/1046295041.db2.gz IKGAJLFBXBOMFM-CQSZACIVSA-N 0 3 318.367 4.017 20 0 DIADHN CC[C@H](N[C@@H](COC)c1ccccc1)c1ccc2c(c1)CCO2 ZINC000089667988 1046296066 /nfs/dbraw/zinc/29/60/66/1046296066.db2.gz AIIOWLXGDPCKOW-OALUTQOASA-N 0 3 311.425 4.050 20 0 DIADHN c1cc2cccc(CN3CCC(CCc4ccccc4)CC3)n2n1 ZINC001138805613 1046296507 /nfs/dbraw/zinc/29/65/07/1046296507.db2.gz DVBBXEMITRCWJI-UHFFFAOYSA-N 0 3 319.452 4.179 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@@H](O)c1cccc(Cl)c1)C1CC1 ZINC000246085403 1046302376 /nfs/dbraw/zinc/30/23/76/1046302376.db2.gz LUEBVDQGAGAKSW-BLLLJJGKSA-N 0 3 305.805 4.192 20 0 DIADHN Clc1cccc2c(CN3CCC(OC4CCC4)CC3)c[nH]c21 ZINC001138830467 1046306026 /nfs/dbraw/zinc/30/60/26/1046306026.db2.gz NVYZNJZJSUZTAH-UHFFFAOYSA-N 0 3 318.848 4.355 20 0 DIADHN C[C@H](NC1CC(n2cccn2)C1)c1ccc(Cl)cc1Cl ZINC000638292347 1046308025 /nfs/dbraw/zinc/30/80/25/1046308025.db2.gz HCHIIYUUHDZCBW-PKSQDBQZSA-N 0 3 310.228 4.244 20 0 DIADHN C[C@@H](N[C@H](c1nnc[nH]1)C1CCCCC1)c1ccc(Cl)cc1 ZINC000638290302 1046308542 /nfs/dbraw/zinc/30/85/42/1046308542.db2.gz FFMPLJBMXWGDAH-WBMJQRKESA-N 0 3 318.852 4.430 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cnc4ccccc4n3)C2)c1 ZINC001138865399 1046313037 /nfs/dbraw/zinc/31/30/37/1046313037.db2.gz ITOJRGUPVDSEMM-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@@H](NCc1cccnc1Oc1cccc(F)c1)[C@H]1CC1(F)F ZINC000638361397 1046316871 /nfs/dbraw/zinc/31/68/71/1046316871.db2.gz PHFFVTXQHSUZOB-IAQYHMDHSA-N 0 3 322.330 4.146 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2cccc(F)c2N)cc1 ZINC000638375198 1046320086 /nfs/dbraw/zinc/32/00/86/1046320086.db2.gz FMEADVITOMTXEQ-CYBMUJFWSA-N 0 3 302.393 4.046 20 0 DIADHN c1nnc([C@@H](NC2(c3ccccc3)CCC2)C2CCCCC2)[nH]1 ZINC000638457497 1046324023 /nfs/dbraw/zinc/32/40/23/1046324023.db2.gz SDLDEDFEQGITLM-KRWDZBQOSA-N 0 3 310.445 4.095 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001138912193 1046327064 /nfs/dbraw/zinc/32/70/64/1046327064.db2.gz GQQGORSBTJKYEW-CQSZACIVSA-N 0 3 313.363 4.392 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2Br)c1ccc[nH]1 ZINC000760250630 1046328644 /nfs/dbraw/zinc/32/86/44/1046328644.db2.gz RDABGNHJFPGLBN-ZUZCIYMTSA-N 0 3 305.219 4.115 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2Br)c1ccc[nH]1 ZINC000760250629 1046329045 /nfs/dbraw/zinc/32/90/45/1046329045.db2.gz RDABGNHJFPGLBN-MEBBXXQBSA-N 0 3 305.219 4.115 20 0 DIADHN CC(C)(C)C1CCN(Cc2cnc3[nH]ccc3c2Cl)CC1 ZINC001138928485 1046330107 /nfs/dbraw/zinc/33/01/07/1046330107.db2.gz GTZBHZVJBQYIBP-UHFFFAOYSA-N 0 3 305.853 4.426 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001138951616 1046333632 /nfs/dbraw/zinc/33/36/32/1046333632.db2.gz GJUQXNJBUSRZJF-WBMJQRKESA-N 0 3 303.837 4.323 20 0 DIADHN O[C@@H](CCN1CCC[C@H]1c1ccc(F)cc1F)c1ccccc1 ZINC000832518115 1046334050 /nfs/dbraw/zinc/33/40/50/1046334050.db2.gz USRSKCYUCYBTTR-OALUTQOASA-N 0 3 317.379 4.225 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001138951612 1046334084 /nfs/dbraw/zinc/33/40/84/1046334084.db2.gz GJUQXNJBUSRZJF-BLLLJJGKSA-N 0 3 303.837 4.323 20 0 DIADHN C[C@@H](NC1CC(n2cccn2)C1)c1cccc(Cl)c1Cl ZINC000638654611 1046334195 /nfs/dbraw/zinc/33/41/95/1046334195.db2.gz MXMNMVZIFSLLRY-VOMCLLRMSA-N 0 3 310.228 4.244 20 0 DIADHN C[C@H]1CCN(Cc2c3cc[nH]c3ncc2Cl)CCC1(F)F ZINC001138947006 1046335312 /nfs/dbraw/zinc/33/53/12/1046335312.db2.gz KRCAJJFGVHOVMD-JTQLQIEISA-N 0 3 313.779 4.035 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001138953179 1046337860 /nfs/dbraw/zinc/33/78/60/1046337860.db2.gz MWJNPUASXJRKEX-CHWSQXEVSA-N 0 3 303.837 4.180 20 0 DIADHN CCCCc1oc2ccccc2c1CNCc1cc(N)ccn1 ZINC000903949408 1046342124 /nfs/dbraw/zinc/34/21/24/1046342124.db2.gz AMPPMWRRNVASIN-UHFFFAOYSA-N 0 3 309.413 4.042 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1cncc2ccccc21 ZINC000903947793 1046342630 /nfs/dbraw/zinc/34/26/30/1046342630.db2.gz IBURDGBGJIAMEQ-IBGZPJMESA-N 0 3 303.409 4.270 20 0 DIADHN C[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)[C@H]1CC1(F)F ZINC000638800366 1046343787 /nfs/dbraw/zinc/34/37/87/1046343787.db2.gz LNYYVGGDXJUJSD-XXILOJSOSA-N 0 3 311.269 4.453 20 0 DIADHN CCC(=O)Oc1ccc(CN(CC)Cc2ccc(F)cc2)cc1 ZINC001138964495 1046345081 /nfs/dbraw/zinc/34/50/81/1046345081.db2.gz BCBZYFONNYZKDD-UHFFFAOYSA-N 0 3 315.388 4.163 20 0 DIADHN CCCOc1ccccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001138995186 1046351690 /nfs/dbraw/zinc/35/16/90/1046351690.db2.gz JCEQSPIVDLMWGW-UHFFFAOYSA-N 0 3 309.400 4.487 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cc(Cl)cc(Cl)c1N ZINC000639051683 1046354539 /nfs/dbraw/zinc/35/45/39/1046354539.db2.gz VCDGJIULPXCMOM-LURJTMIESA-N 0 3 301.139 4.006 20 0 DIADHN Cc1cccc(CCN(C)Cc2ccc(C(F)(F)F)cn2)c1 ZINC001139015088 1046355814 /nfs/dbraw/zinc/35/58/14/1046355814.db2.gz YEQRIXNELMEWCJ-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CC[C@@H](c2ccncc2)C1 ZINC001139009207 1046356397 /nfs/dbraw/zinc/35/63/97/1046356397.db2.gz FZWMNKUKCSHUIJ-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@H]4CCCO[C@@H]4C3)s2)c1 ZINC001139016757 1046356707 /nfs/dbraw/zinc/35/67/07/1046356707.db2.gz BOOWCNGXSXGBNA-UKRRQHHQSA-N 0 3 319.495 4.478 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCC2(CCCOC2)CC1 ZINC001139010788 1046356857 /nfs/dbraw/zinc/35/68/57/1046356857.db2.gz CRIZCRDFRWPRJE-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN O[C@@H](C[C@H]1CCCCCN1Cc1ccccc1F)c1ccco1 ZINC000759449617 1046357365 /nfs/dbraw/zinc/35/73/65/1046357365.db2.gz PYORFUFZAMARJC-AEFFLSMTSA-N 0 3 317.404 4.287 20 0 DIADHN CN(Cc1ccc(-c2cccs2)s1)C[C@@H]1CCCCO1 ZINC001139017874 1046358658 /nfs/dbraw/zinc/35/86/58/1046358658.db2.gz AFQYFBRAFCJNRJ-ZDUSSCGKSA-N 0 3 307.484 4.478 20 0 DIADHN c1csc(-c2ccc(CN3CCN4CCCC[C@@H]4C3)s2)c1 ZINC001139018629 1046358829 /nfs/dbraw/zinc/35/88/29/1046358829.db2.gz KSSFMNOYTMUBJY-CQSZACIVSA-N 0 3 318.511 4.147 20 0 DIADHN c1csc(-c2ccc(CN3CCC[C@]34CCOC4)s2)c1 ZINC001139022426 1046361484 /nfs/dbraw/zinc/36/14/84/1046361484.db2.gz HGZSRGKFBZNQRE-MRXNPFEDSA-N 0 3 305.468 4.232 20 0 DIADHN CCN(CCn1cccn1)Cc1ccc(-c2cccs2)s1 ZINC001139022407 1046361562 /nfs/dbraw/zinc/36/15/62/1046361562.db2.gz GYHZVJBEOKYOGI-UHFFFAOYSA-N 0 3 317.483 4.195 20 0 DIADHN c1csc(-c2ccc(CN3CCC[C@@]34CCOC4)s2)c1 ZINC001139022425 1046362539 /nfs/dbraw/zinc/36/25/39/1046362539.db2.gz HGZSRGKFBZNQRE-INIZCTEOSA-N 0 3 305.468 4.232 20 0 DIADHN c1csc(-c2ccc(CN3CCC[C@]4(CCO4)C3)s2)c1 ZINC001139023087 1046362741 /nfs/dbraw/zinc/36/27/41/1046362741.db2.gz QCPJOZYAZCXLLT-INIZCTEOSA-N 0 3 305.468 4.232 20 0 DIADHN CCCn1cc(CN2CCC3(CCc4ccc(C)cc43)CC2)cn1 ZINC001139041264 1046367663 /nfs/dbraw/zinc/36/76/63/1046367663.db2.gz VFVLTQWGYLVABW-UHFFFAOYSA-N 0 3 323.484 4.082 20 0 DIADHN c1ccc(Oc2ccccc2CN2CC[C@@]3(CCCO3)C2)cc1 ZINC001139053030 1046368994 /nfs/dbraw/zinc/36/89/94/1046368994.db2.gz ISACIJQADSCIFT-FQEVSTJZSA-N 0 3 309.409 4.234 20 0 DIADHN C[C@H](CCc1c[nH]c2ccccc12)NCc1noc2c1CCCC2 ZINC000639187235 1046369224 /nfs/dbraw/zinc/36/92/24/1046369224.db2.gz JLLCOVMDEOEVMM-CQSZACIVSA-N 0 3 323.440 4.146 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1ccc(Br)cc1F ZINC000639189713 1046369647 /nfs/dbraw/zinc/36/96/47/1046369647.db2.gz VKBYRRGFKOENDQ-DKCNOQQISA-N 0 3 322.168 4.283 20 0 DIADHN Nc1c(F)cccc1CN[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000639225423 1046372068 /nfs/dbraw/zinc/37/20/68/1046372068.db2.gz JZNMQWVIIUOTBX-KRWDZBQOSA-N 0 3 322.786 4.441 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3cc4ccccc4o3)C2)c1 ZINC001139064758 1046372093 /nfs/dbraw/zinc/37/20/93/1046372093.db2.gz GTDKPAFCPKPSFW-KRWDZBQOSA-N 0 3 311.356 4.225 20 0 DIADHN Cc1scc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1C ZINC000639241166 1046374263 /nfs/dbraw/zinc/37/42/63/1046374263.db2.gz IJKGIQRLFCHNPC-INIZCTEOSA-N 0 3 321.511 4.420 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CCC[C@@]2(CCO2)C1 ZINC001139070284 1046379416 /nfs/dbraw/zinc/37/94/16/1046379416.db2.gz JAOKPGWZRFVBBL-OAQYLSRUSA-N 0 3 323.436 4.117 20 0 DIADHN C[C@H](NCc1cccc(Oc2ccc(F)cc2)n1)[C@@H]1CC1(F)F ZINC000639290626 1046380703 /nfs/dbraw/zinc/38/07/03/1046380703.db2.gz JPZOSXZKAWAVBC-NHYWBVRUSA-N 0 3 322.330 4.146 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc2ccccc2c1)c1ccccc1F ZINC000321752050 1046380960 /nfs/dbraw/zinc/38/09/60/1046380960.db2.gz BYWQJDFAEDKRRO-JLTOFOAXSA-N 0 3 309.384 4.363 20 0 DIADHN COC[C@@H]1CCCN(Cc2cc3ccccc3c(Cl)n2)CC1 ZINC000832745989 1046385792 /nfs/dbraw/zinc/38/57/92/1046385792.db2.gz OMYHGFMYPRATRD-CQSZACIVSA-N 0 3 318.848 4.137 20 0 DIADHN O[C@@H]1CCN(Cc2ccc(C(F)F)cc2)[C@H](c2ccccc2)C1 ZINC000639365736 1046385633 /nfs/dbraw/zinc/38/56/33/1046385633.db2.gz FXTRYZSSQJLJFE-MSOLQXFVSA-N 0 3 317.379 4.322 20 0 DIADHN c1csc(CC2CCN(Cc3cc4ccccc4[nH]3)CC2)n1 ZINC000639375986 1046388626 /nfs/dbraw/zinc/38/86/26/1046388626.db2.gz NUWXCEFZZRVOQD-UHFFFAOYSA-N 0 3 311.454 4.079 20 0 DIADHN CCn1ccc2ccc(CN3CC[C@@H](F)C(F)(F)CC3)cc21 ZINC001139119269 1046390720 /nfs/dbraw/zinc/39/07/20/1046390720.db2.gz JCHMZZKUHWKNLF-MRXNPFEDSA-N 0 3 310.363 4.230 20 0 DIADHN COc1cc(O)ccc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001139143487 1046392006 /nfs/dbraw/zinc/39/20/06/1046392006.db2.gz SVSLWZNJBRTMKI-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000780567371 1046392865 /nfs/dbraw/zinc/39/28/65/1046392865.db2.gz SAMMCBRDRIAWIU-HNNXBMFYSA-N 0 3 301.361 4.176 20 0 DIADHN COc1ccc(-c2nc(CNC3CCC(C)CC3)cs2)cc1 ZINC001168201357 1046398814 /nfs/dbraw/zinc/39/88/14/1046398814.db2.gz RHNBOUFPUGNEJI-UHFFFAOYSA-N 0 3 316.470 4.487 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc3cccnc3c1)CCC2 ZINC001139172999 1046403124 /nfs/dbraw/zinc/40/31/24/1046403124.db2.gz CHMSEMUAVPSSKO-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ccnc(Cl)c2)cc1 ZINC000748806671 1046405063 /nfs/dbraw/zinc/40/50/63/1046405063.db2.gz OUEQTYGBSRZEEH-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN COCc1cccc(CNCc2ccc(Cl)c(Cl)c2)c1 ZINC000038010494 1046406284 /nfs/dbraw/zinc/40/62/84/1046406284.db2.gz AUVSKWFDPZQFOD-UHFFFAOYSA-N 0 3 310.224 4.430 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3ccnc(Cl)c3)C2)cc1 ZINC000748803171 1046408177 /nfs/dbraw/zinc/40/81/77/1046408177.db2.gz RTGVUQRTBHGADM-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCCCCCC2)c(C)c1 ZINC000748805540 1046408501 /nfs/dbraw/zinc/40/85/01/1046408501.db2.gz KGTLAXJJRUSTCN-UHFFFAOYSA-N 0 3 302.462 4.287 20 0 DIADHN COc1cccc(CN(Cc2ccnc(Cl)c2)CC(C)C)c1 ZINC000748803522 1046408564 /nfs/dbraw/zinc/40/85/64/1046408564.db2.gz XFVLKRUYLJATOK-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN O=c1cc(CN(Cc2ccc(F)cc2F)C2CCCC2)cc[nH]1 ZINC000639524244 1046409706 /nfs/dbraw/zinc/40/97/06/1046409706.db2.gz JLNLLQJUJQKZRS-UHFFFAOYSA-N 0 3 318.367 4.010 20 0 DIADHN CC(C)c1ccc(NC(=O)CN(C2CCC2)C2CCC2)cc1 ZINC000795470066 1046411685 /nfs/dbraw/zinc/41/16/85/1046411685.db2.gz FEWVLDVRAYCCOZ-UHFFFAOYSA-N 0 3 300.446 4.156 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc(OC(F)F)cc2)c(C)n1 ZINC000639563590 1046412637 /nfs/dbraw/zinc/41/26/37/1046412637.db2.gz ZPWXQGILGFLADP-ZDUSSCGKSA-N 0 3 320.383 4.459 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3ccn(C)c3c2)cn1 ZINC001139206841 1046412912 /nfs/dbraw/zinc/41/29/12/1046412912.db2.gz JOCPQQFFPFXGKP-IBGZPJMESA-N 0 3 305.425 4.219 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2ccn(C)c2c1 ZINC001139206972 1046412994 /nfs/dbraw/zinc/41/29/94/1046412994.db2.gz NLDCYJPNNQBBPR-OAHLLOKOSA-N 0 3 308.425 4.380 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000639569672 1046413729 /nfs/dbraw/zinc/41/37/29/1046413729.db2.gz POKDGFXNYPHHHV-KRWDZBQOSA-N 0 3 323.484 4.458 20 0 DIADHN c1cc2c(cccc2CN[C@@H](c2ccccc2)[C@H]2CCCO2)[nH]1 ZINC000171132802 1046414915 /nfs/dbraw/zinc/41/49/15/1046414915.db2.gz LXFLDCHAIVOZPP-UXHICEINSA-N 0 3 306.409 4.178 20 0 DIADHN O[C@H](CN1CCCCC[C@@H]1c1ccco1)c1ccc(F)c(F)c1 ZINC000246718557 1046415102 /nfs/dbraw/zinc/41/51/02/1046415102.db2.gz HZAMPFBHGGSSGD-IAGOWNOFSA-N 0 3 321.367 4.209 20 0 DIADHN CN(Cc1ccc2nsnc2c1)Cc1ccc2ccccc2c1 ZINC001139215702 1046415061 /nfs/dbraw/zinc/41/50/61/1046415061.db2.gz PYSBUBRGPOHGCH-UHFFFAOYSA-N 0 3 319.433 4.477 20 0 DIADHN O[C@H](CN1CCCCC[C@H]1c1ccco1)c1ccc(F)c(F)c1 ZINC000246718541 1046415238 /nfs/dbraw/zinc/41/52/38/1046415238.db2.gz HZAMPFBHGGSSGD-DLBZAZTESA-N 0 3 321.367 4.209 20 0 DIADHN CCN(Cc1ccc2nsnc2c1)Cc1cccc(Cl)c1 ZINC001139215003 1046415264 /nfs/dbraw/zinc/41/52/64/1046415264.db2.gz KXTSHXYNHPPGKZ-UHFFFAOYSA-N 0 3 317.845 4.367 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2cnc(N)s2)cc1C ZINC001139228728 1046416597 /nfs/dbraw/zinc/41/65/97/1046416597.db2.gz AZIGGUZJLFXPOJ-INIZCTEOSA-N 0 3 301.459 4.069 20 0 DIADHN O[C@@H]1CCCN(Cc2ccccc2Oc2ccc(Cl)cc2)C1 ZINC001139262503 1046420994 /nfs/dbraw/zinc/42/09/94/1046420994.db2.gz REMKJDHDJKIOQW-MRXNPFEDSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@H](NCC1([C@@H](O)c2ccccc2)CC1)c1ccc(F)cc1F ZINC000090771226 1046421808 /nfs/dbraw/zinc/42/18/08/1046421808.db2.gz AWSYFHBOVDDYMG-UGSOOPFHSA-N 0 3 317.379 4.129 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)o1 ZINC000246770942 1046423196 /nfs/dbraw/zinc/42/31/96/1046423196.db2.gz PXILSFXFWHPOIC-TXCZRRACSA-N 0 3 315.413 4.084 20 0 DIADHN Clc1nc(-c2ccccc2)[nH]c1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001139278514 1046423748 /nfs/dbraw/zinc/42/37/48/1046423748.db2.gz CQIDCMHQTLDYCR-GJZGRUSLSA-N 0 3 315.848 4.352 20 0 DIADHN CC1=Nc2ccccc2C12CCN([C@@H](C)c1cccnc1)CC2 ZINC000639714944 1046423967 /nfs/dbraw/zinc/42/39/67/1046423967.db2.gz JXMHQDIPVTUHPI-HNNXBMFYSA-N 0 3 305.425 4.282 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cncc(C)c1)CC2 ZINC000639728428 1046426048 /nfs/dbraw/zinc/42/60/48/1046426048.db2.gz HBYZQYCUWIXMQQ-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc2c(c1)CCO2)c1c(C)noc1C ZINC000090886265 1046427495 /nfs/dbraw/zinc/42/74/95/1046427495.db2.gz GKXZRKDWRKRPHI-IRXDYDNUSA-N 0 3 314.429 4.418 20 0 DIADHN CCS[C@H]1CCC[C@H](NCc2occc2Br)C1 ZINC000749110531 1046428920 /nfs/dbraw/zinc/42/89/20/1046428920.db2.gz KMRIJVREFFKWRC-QWRGUYRKSA-N 0 3 318.280 4.196 20 0 DIADHN c1cnc([C@@H]2CCCN2CCc2ccc3ccccc3c2)nc1 ZINC000639842553 1046430802 /nfs/dbraw/zinc/43/08/02/1046430802.db2.gz GYGUILODXNKNGN-IBGZPJMESA-N 0 3 303.409 4.009 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2F)CC1)c1cccnc1Cl ZINC000090919180 1046430948 /nfs/dbraw/zinc/43/09/48/1046430948.db2.gz UFEOWVJONOTWQS-LLVKDONJSA-N 0 3 322.786 4.396 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCCO1)c1ccc2c(c1)CCO2 ZINC000246870571 1046434131 /nfs/dbraw/zinc/43/41/31/1046434131.db2.gz COZDXPYVVYNTMX-IWMITWMQSA-N 0 3 323.436 4.192 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1Cc1ccc(-n2ccnc2)cc1 ZINC001139360165 1046437072 /nfs/dbraw/zinc/43/70/72/1046437072.db2.gz JAELHJAEPCYRLP-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN Clc1ncc(Cn2cccc2CN2CCCCCC2)s1 ZINC001139362612 1046438283 /nfs/dbraw/zinc/43/82/83/1046438283.db2.gz IHYUSJQUKURRPE-UHFFFAOYSA-N 0 3 309.866 4.022 20 0 DIADHN Cc1cnn(CCCCCN2CC(C)(C)[C@H]2c2ccco2)c1 ZINC000639925716 1046438806 /nfs/dbraw/zinc/43/88/06/1046438806.db2.gz CQSRYLQVRBEAKL-QGZVFWFLSA-N 0 3 301.434 4.038 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139367712 1046438929 /nfs/dbraw/zinc/43/89/29/1046438929.db2.gz SLAKWZTXWXRPOE-STQMWFEESA-N 0 3 323.893 4.124 20 0 DIADHN CCCc1nc(C)c(CN2CCC3(CCSCC3)CC2)o1 ZINC000639983454 1046440212 /nfs/dbraw/zinc/44/02/12/1046440212.db2.gz SUNPVIRRWQCLQF-UHFFFAOYSA-N 0 3 308.491 4.045 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H](c2cccnc2)C(C)C)c(C)s1 ZINC000676842467 1046440992 /nfs/dbraw/zinc/44/09/92/1046440992.db2.gz SUZYVBROXYVNEA-WBMJQRKESA-N 0 3 303.475 4.245 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@@H](OC(F)F)C1 ZINC000781354149 1046441145 /nfs/dbraw/zinc/44/11/45/1046441145.db2.gz OKCWQYSVCFWVAB-GXFFZTMASA-N 0 3 323.305 4.470 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCO[C@H]2C(C)(C)C)c(C)s1 ZINC000676839527 1046441544 /nfs/dbraw/zinc/44/15/44/1046441544.db2.gz MRKKYKWAQTUYBH-HZUKXOBISA-N 0 3 310.507 4.252 20 0 DIADHN Cc1c(CN2CCC[C@H](c3ccccc3)C2)nc2ccccn12 ZINC001137272060 1046447506 /nfs/dbraw/zinc/44/75/06/1046447506.db2.gz GHWFVZIXAYNYSK-SFHVURJKSA-N 0 3 305.425 4.022 20 0 DIADHN Fc1ccc(N2CCN(C[C@@H]3CC[C@H]4C[C@H]4C3)CC2)c(Cl)c1 ZINC001168245191 1046448112 /nfs/dbraw/zinc/44/81/12/1046448112.db2.gz YQEFXHNVUWPBDL-QLFBSQMISA-N 0 3 322.855 4.037 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139417850 1046448341 /nfs/dbraw/zinc/44/83/41/1046448341.db2.gz QCYJXNONIJLJDW-OAHLLOKOSA-N 0 3 305.805 4.211 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2ccc(N3CCCC3)nc2)cc1 ZINC000123653156 1046449747 /nfs/dbraw/zinc/44/97/47/1046449747.db2.gz BAXYMEJLNSFTSZ-IBGZPJMESA-N 0 3 307.441 4.019 20 0 DIADHN CCc1ccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)o1 ZINC000123904443 1046450888 /nfs/dbraw/zinc/45/08/88/1046450888.db2.gz XHXMGHOQDQIPLE-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c(Cl)ccnc1Cl)CC2 ZINC001139481225 1046453956 /nfs/dbraw/zinc/45/39/56/1046453956.db2.gz UMYPPEGXSAHNQQ-NSHDSACASA-N 0 3 317.235 4.493 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cccnc2Cl)C12CCCC2 ZINC000247045150 1046454783 /nfs/dbraw/zinc/45/47/83/1046454783.db2.gz LXVWZIIWFGUADO-BPLDGKMQSA-N 0 3 308.853 4.123 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cccnc2Cl)C12CCCC2 ZINC000247045158 1046455125 /nfs/dbraw/zinc/45/51/25/1046455125.db2.gz LXVWZIIWFGUADO-VHDGCEQUSA-N 0 3 308.853 4.123 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCc3c2cc(F)cc3F)c1 ZINC000677042988 1046457060 /nfs/dbraw/zinc/45/70/60/1046457060.db2.gz NFRNYOIQOBIMBE-ZMZPIMSZSA-N 0 3 303.352 4.312 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC[C@@H](C4CC4)C3)co2)c1 ZINC000677046893 1046457648 /nfs/dbraw/zinc/45/76/48/1046457648.db2.gz DKTSQJALMQIYBX-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN COc1ccc([C@H](NCc2nccc3ccccc32)C2CC2)cc1 ZINC000091310861 1046457732 /nfs/dbraw/zinc/45/77/32/1046457732.db2.gz RNTSCTCJAMKIKZ-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN CSc1ccc(CN[C@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677044175 1046457992 /nfs/dbraw/zinc/45/79/92/1046457992.db2.gz XSLNTXBJLZBCAT-KRWDZBQOSA-N 0 3 305.393 4.464 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cccs2)cc1OC ZINC000124684573 1046459108 /nfs/dbraw/zinc/45/91/08/1046459108.db2.gz WJDOANDWLMAYKS-OAHLLOKOSA-N 0 3 303.427 4.102 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCC[C@@H](C2CC2)C1 ZINC000677056924 1046459446 /nfs/dbraw/zinc/45/94/46/1046459446.db2.gz NIYYAWLUPXWCHV-NVXWUHKLSA-N 0 3 314.473 4.259 20 0 DIADHN c1ccc(CO[C@@H]2CCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC001137282450 1046459604 /nfs/dbraw/zinc/45/96/04/1046459604.db2.gz ZYRPODFBBSPBGO-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN CCCCN(Cc1cc(=O)c(O)co1)[C@@H](CC)c1ccccc1 ZINC000124998101 1046461956 /nfs/dbraw/zinc/46/19/56/1046461956.db2.gz YGRWIIKXYJSKPD-KRWDZBQOSA-N 0 3 315.413 4.099 20 0 DIADHN Cn1cccc1CN1CCC(c2nc3ccccc3s2)CC1 ZINC000125002441 1046462109 /nfs/dbraw/zinc/46/21/09/1046462109.db2.gz PDZWBTACAOVTHF-UHFFFAOYSA-N 0 3 311.454 4.014 20 0 DIADHN CC1(C)CCC2(CCN(Cc3cnc4ccccc4c3)CC2)O1 ZINC001137284400 1046463276 /nfs/dbraw/zinc/46/32/76/1046463276.db2.gz MYCFZGDSIWZOPG-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CCC[C@@H]4CCC[C@@H]43)c2c1 ZINC001139539534 1046466301 /nfs/dbraw/zinc/46/63/01/1046466301.db2.gz LUKABULBLQLJBQ-NHYWBVRUSA-N 0 3 323.362 4.346 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CC4CCC(CC4)C3)c2c1 ZINC001139540287 1046466788 /nfs/dbraw/zinc/46/67/88/1046466788.db2.gz HEXQLXBDCRQPBL-UHFFFAOYSA-N 0 3 323.362 4.204 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CCC[C@H]4CCC[C@@H]43)c2c1 ZINC001139539532 1046467229 /nfs/dbraw/zinc/46/72/29/1046467229.db2.gz LUKABULBLQLJBQ-ABAIWWIYSA-N 0 3 323.362 4.346 20 0 DIADHN Cc1ccc(CNc2cc(CN3CCSCC3)ccc2C)o1 ZINC000125414181 1046467959 /nfs/dbraw/zinc/46/79/59/1046467959.db2.gz NOCHKZCOYOPHCB-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN Cc1cc(CN(C)CCc2ccc(Cl)cc2)cnc1Cl ZINC001139545016 1046468269 /nfs/dbraw/zinc/46/82/69/1046468269.db2.gz MREFRUIBSBHFPY-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Cc1cc(CN(C)CCc2ccccc2Cl)cnc1Cl ZINC001139544945 1046469090 /nfs/dbraw/zinc/46/90/90/1046469090.db2.gz JNJRSLBZWSSMFH-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CCn1nccc1CN[C@@H](Cc1ccc(F)cc1)c1ccccc1 ZINC000677138400 1046470082 /nfs/dbraw/zinc/47/00/82/1046470082.db2.gz NQMNEHXLVLOQAK-FQEVSTJZSA-N 0 3 323.415 4.116 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc3cc(C)c(C)cc3o2)[C@@H]1SC ZINC001202947032 1046470900 /nfs/dbraw/zinc/47/09/00/1046470900.db2.gz GMJMMVFHAZCLCW-NXHRZFHOSA-N 0 3 319.470 4.048 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCCc2ccc(C)cc21 ZINC000677240381 1046476702 /nfs/dbraw/zinc/47/67/02/1046476702.db2.gz HJRQVRKXOSGOGJ-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCCc2ccc(C)cc21 ZINC000677240377 1046476958 /nfs/dbraw/zinc/47/69/58/1046476958.db2.gz HJRQVRKXOSGOGJ-UXHICEINSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1noc(C)c1CN(CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000126921582 1046478028 /nfs/dbraw/zinc/47/80/28/1046478028.db2.gz ANPJHFHHFVXQOV-GOSISDBHSA-N 0 3 313.445 4.222 20 0 DIADHN Cc1cnc(Cl)c(CN2CC[C@@H](Cc3ccccc3)C2)c1 ZINC001139591563 1046478058 /nfs/dbraw/zinc/47/80/58/1046478058.db2.gz WGAHKZSNQVHKEM-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC[C@@H](c3ccccc3)C2)c1 ZINC001139590768 1046478220 /nfs/dbraw/zinc/47/82/20/1046478220.db2.gz ZOJQZMBKZHRXDK-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nnc(-c2ccc(C)cc2)o1)C1CC1 ZINC000104965723 1046479355 /nfs/dbraw/zinc/47/93/55/1046479355.db2.gz WGJJBWIXPFABMG-CABCVRRESA-N 0 3 313.445 4.444 20 0 DIADHN Cc1ncc(CN(CC(C)C)[C@@H]2CCCc3cccnc32)s1 ZINC000127062199 1046480514 /nfs/dbraw/zinc/48/05/14/1046480514.db2.gz CCEPTLJWPSAKGT-QGZVFWFLSA-N 0 3 315.486 4.382 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccncc3)C2)cc1Cl ZINC001139595974 1046480667 /nfs/dbraw/zinc/48/06/67/1046480667.db2.gz QPGAWYNFBGWOGD-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC[C@H]2Cc2ccc(F)cc2)c1 ZINC001139592850 1046481218 /nfs/dbraw/zinc/48/12/18/1046481218.db2.gz JHWKWEULUUZJTB-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC(c2ccccn2)CC1 ZINC001139604767 1046482888 /nfs/dbraw/zinc/48/28/88/1046482888.db2.gz NAEDBCUGUUMCNL-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN CN(C)c1ccc(CN[C@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC000677319131 1046483825 /nfs/dbraw/zinc/48/38/25/1046483825.db2.gz MAGGWQMAFGOUTI-SFHVURJKSA-N 0 3 315.848 4.042 20 0 DIADHN COC(CN[C@H](CC(C)C)c1cccc(C(F)(F)F)c1)OC ZINC000781902335 1046484308 /nfs/dbraw/zinc/48/43/08/1046484308.db2.gz DFMKZKMWGJIZFK-CQSZACIVSA-N 0 3 319.367 4.001 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001139607398 1046485170 /nfs/dbraw/zinc/48/51/70/1046485170.db2.gz QOAGDJXJRURWJJ-UHFFFAOYSA-N 0 3 317.473 4.317 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(OC)c(C(C)C)c2)cc1 ZINC001139614796 1046485853 /nfs/dbraw/zinc/48/58/53/1046485853.db2.gz HLFQQGIXVIMCOI-UHFFFAOYSA-N 0 3 313.441 4.459 20 0 DIADHN COc1cc(CN2CCC3(CCOC3(C)C)CC2)ccc1Cl ZINC001139615525 1046486527 /nfs/dbraw/zinc/48/65/27/1046486527.db2.gz GVWCVGURIDORLM-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccc3c(c1)COC3)[C@@H]2C ZINC000781928169 1046488114 /nfs/dbraw/zinc/48/81/14/1046488114.db2.gz TZHXBTNFPVMENE-HUUCEWRRSA-N 0 3 323.436 4.233 20 0 DIADHN CCOc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1CC ZINC001139619167 1046488406 /nfs/dbraw/zinc/48/84/06/1046488406.db2.gz YBCNLKPAFIAUKC-INIZCTEOSA-N 0 3 315.379 4.217 20 0 DIADHN CCCOC1CCN(Cc2ccc(OC)c(C(C)C)c2)CC1 ZINC001139618067 1046489182 /nfs/dbraw/zinc/48/91/82/1046489182.db2.gz JVHOXJNVKMPPDO-UHFFFAOYSA-N 0 3 305.462 4.210 20 0 DIADHN CCOc1ccncc1CN1CCC(C)(c2ccccc2)CC1 ZINC001139632268 1046490394 /nfs/dbraw/zinc/49/03/94/1046490394.db2.gz MVSFYAZNQVYQMP-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN CCCOC1CCN(Cc2ccc(OCC)c(CC)c2)CC1 ZINC001139624224 1046490793 /nfs/dbraw/zinc/49/07/93/1046490793.db2.gz VQIQWJFHOJRJPA-UHFFFAOYSA-N 0 3 305.462 4.039 20 0 DIADHN CC[C@H](NCc1cccc(-c2ccccn2)c1)c1ccncc1 ZINC000128342468 1046493476 /nfs/dbraw/zinc/49/34/76/1046493476.db2.gz BKWVUXJNYGJLHB-IBGZPJMESA-N 0 3 303.409 4.385 20 0 DIADHN C[C@@H]1CCN([C@@H]2CCc3cc(Cl)ccc32)C[C@@H]1n1ccnc1 ZINC000782191963 1046499259 /nfs/dbraw/zinc/49/92/59/1046499259.db2.gz RQSFIWHXOMPXLE-XWIAVFTESA-N 0 3 315.848 4.107 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCC(OCC2CC2)CC1 ZINC000782188790 1046499301 /nfs/dbraw/zinc/49/93/01/1046499301.db2.gz PWQPMKBUZGZFAK-GOSISDBHSA-N 0 3 305.849 4.218 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CC[C@@H](c2ccccc2F)C1 ZINC001139681449 1046499973 /nfs/dbraw/zinc/49/99/73/1046499973.db2.gz XFFSDTQQWYDWHX-MRXNPFEDSA-N 0 3 323.415 4.080 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC2(C1)CCCCC2 ZINC000129958890 1046506672 /nfs/dbraw/zinc/50/66/72/1046506672.db2.gz MXMWNQZQIZZOGQ-KRWDZBQOSA-N 0 3 314.473 4.287 20 0 DIADHN Cc1cccc(CN(Cc2cnc3cccnc3c2)C2CC2)c1C ZINC001139746145 1046508224 /nfs/dbraw/zinc/50/82/24/1046508224.db2.gz SFRXESZCRZZRKY-UHFFFAOYSA-N 0 3 317.436 4.411 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cnc4cccnc4c3)C2)c1 ZINC001139743036 1046509251 /nfs/dbraw/zinc/50/92/51/1046509251.db2.gz SKEZEPNXXYLLNP-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc3cccnc3c2)c1 ZINC001139740131 1046509407 /nfs/dbraw/zinc/50/94/07/1046509407.db2.gz KTEPEYYJIGFFQE-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc3[nH]c(=O)[nH]c3c1)CC2 ZINC000641749398 1046509675 /nfs/dbraw/zinc/50/96/75/1046509675.db2.gz SHCDPFTWLVWWSZ-KRWDZBQOSA-N 0 3 321.424 4.169 20 0 DIADHN Cc1cncc(CNCc2ccc(N(C)c3ccccc3)cc2)c1 ZINC000677661358 1046509632 /nfs/dbraw/zinc/50/96/32/1046509632.db2.gz QARVPHWPOBYUBI-UHFFFAOYSA-N 0 3 317.436 4.448 20 0 DIADHN CSc1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)o1 ZINC000641753381 1046509955 /nfs/dbraw/zinc/50/99/55/1046509955.db2.gz AXTFHESHPVRPHD-GDBMZVCRSA-N 0 3 303.427 4.011 20 0 DIADHN Cc1ccccc1-c1ccccc1CN[C@@H](CO)CC(F)(F)F ZINC000641745544 1046510077 /nfs/dbraw/zinc/51/00/77/1046510077.db2.gz UHSANOYNPNYGEO-OAHLLOKOSA-N 0 3 323.358 4.065 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3cccnc3c2)cc1 ZINC001139740746 1046510188 /nfs/dbraw/zinc/51/01/88/1046510188.db2.gz DZZDDRNCYQFIJL-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN C[C@@H]1CN(CN2c3cccc4cccc(c34)C2=O)[C@H]2CCCC[C@H]12 ZINC000782275738 1046512409 /nfs/dbraw/zinc/51/24/09/1046512409.db2.gz GUQXFXWDLWNBAD-KYJSFNMBSA-N 0 3 320.436 4.268 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(Br)cc1C ZINC000641779517 1046513560 /nfs/dbraw/zinc/51/35/60/1046513560.db2.gz MUNPSRXRCLYXNG-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CC(=O)C1CCN(Cc2ccc3oc4ccccc4c3c2)CC1 ZINC001139753537 1046514535 /nfs/dbraw/zinc/51/45/35/1046514535.db2.gz CEMGDWKNHDRQDQ-UHFFFAOYSA-N 0 3 307.393 4.387 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2CCC3(CC3)CC2)c1 ZINC000677716518 1046515283 /nfs/dbraw/zinc/51/52/83/1046515283.db2.gz IGBPVNPKGFGTFF-UHFFFAOYSA-N 0 3 320.436 4.315 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CCC2(CCOC2)CC1 ZINC000677718640 1046515686 /nfs/dbraw/zinc/51/56/86/1046515686.db2.gz GLYSTPPIAAOYFY-CYBMUJFWSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000131467038 1046520716 /nfs/dbraw/zinc/52/07/16/1046520716.db2.gz ZLRXSORBJFDDNX-OXJNMPFZSA-N 0 3 321.468 4.410 20 0 DIADHN c1cc2c(s1)CCC[C@H]2NCc1ccc(OCC2CC2)nc1 ZINC000131369562 1046521372 /nfs/dbraw/zinc/52/13/72/1046521372.db2.gz LARMOZHMKVKJQX-MRXNPFEDSA-N 0 3 314.454 4.099 20 0 DIADHN COCc1ccc(CN2CCC[C@@H]2c2ccc(OC)cc2)s1 ZINC001139827177 1046525491 /nfs/dbraw/zinc/52/54/91/1046525491.db2.gz RCRGSEIZRQVBGO-GOSISDBHSA-N 0 3 317.454 4.240 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](C)c1ccccc1N(C)C)CCC2 ZINC000359893457 1046528285 /nfs/dbraw/zinc/52/82/85/1046528285.db2.gz DRKHELOFDWHMSQ-WFASDCNBSA-N 0 3 315.486 4.246 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(COC)s1 ZINC001139831364 1046529056 /nfs/dbraw/zinc/52/90/56/1046529056.db2.gz MUYDXXFGMQQISX-GOSISDBHSA-N 0 3 301.455 4.404 20 0 DIADHN CN(CCCOc1ccc(F)cc1)Cc1ccc(F)c(F)c1 ZINC000641934698 1046529395 /nfs/dbraw/zinc/52/93/95/1046529395.db2.gz BWLYYJONVNMXBI-UHFFFAOYSA-N 0 3 309.331 4.005 20 0 DIADHN CN(C)[C@H](CNc1ncc(Cl)cc1Cl)c1cccs1 ZINC000641935778 1046529434 /nfs/dbraw/zinc/52/94/34/1046529434.db2.gz GTUMNYQNHHFWRP-LLVKDONJSA-N 0 3 316.257 4.165 20 0 DIADHN COCc1ccc(CN2CCC[C@@H]2c2cccc(OC)c2)s1 ZINC001139828481 1046529910 /nfs/dbraw/zinc/52/99/10/1046529910.db2.gz OEJKVNHPTAVTMO-GOSISDBHSA-N 0 3 317.454 4.240 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccc(F)cc3F)CC2)nc1 ZINC001139842569 1046530409 /nfs/dbraw/zinc/53/04/09/1046530409.db2.gz NMZLTFJKBMRMBF-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@@H]1CCN(Cc2cccc3c4ccccc4oc32)CCC1=O ZINC001139856688 1046533616 /nfs/dbraw/zinc/53/36/16/1046533616.db2.gz SYQLLHQBODBAKL-CQSZACIVSA-N 0 3 307.393 4.387 20 0 DIADHN CC(C)CCN(CC(=O)N[C@@H](C)c1ccccc1Cl)C1CC1 ZINC000641976979 1046534281 /nfs/dbraw/zinc/53/42/81/1046534281.db2.gz CUSINLCGYGUIQX-AWEZNQCLSA-N 0 3 322.880 4.028 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@H](Sc2ccncc2)C1 ZINC001137319154 1046540673 /nfs/dbraw/zinc/54/06/73/1046540673.db2.gz KTSKNSCNENEWIY-SFHVURJKSA-N 0 3 323.465 4.320 20 0 DIADHN C[C@H](NCCCc1cccc(C(F)(F)F)c1)c1cscn1 ZINC000536626639 1046540918 /nfs/dbraw/zinc/54/09/18/1046540918.db2.gz RLZILBHQEJZSMN-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN c1coc(CN(CCCc2ccncc2)Cc2ccccc2)c1 ZINC000347374608 1046541008 /nfs/dbraw/zinc/54/10/08/1046541008.db2.gz WXLRDRDDVRNIHY-UHFFFAOYSA-N 0 3 306.409 4.310 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133680967 1046541218 /nfs/dbraw/zinc/54/12/18/1046541218.db2.gz XSGLKPOJWWYGBN-RISCZKNCSA-N 0 3 320.506 4.166 20 0 DIADHN CC(C)OCCN(CCOC(C)C)Cc1ccccc1C1CC1 ZINC001139882845 1046541788 /nfs/dbraw/zinc/54/17/88/1046541788.db2.gz YFWVMWPWEGMPME-UHFFFAOYSA-N 0 3 319.489 4.216 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2ccccc2C2CC2)cc1 ZINC001139882054 1046542667 /nfs/dbraw/zinc/54/26/67/1046542667.db2.gz BQTAWVZTXKCEQL-HXUWFJFHSA-N 0 3 307.437 4.355 20 0 DIADHN c1ccc(CN2C[C@H]3C[C@@H](C2)N3Cc2ccccc2C2CC2)cc1 ZINC001139883939 1046542891 /nfs/dbraw/zinc/54/28/91/1046542891.db2.gz OAKASNIBLDTBNX-OYRHEFFESA-N 0 3 318.464 4.023 20 0 DIADHN C[C@H](CO)CN[C@@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000678027442 1046544170 /nfs/dbraw/zinc/54/41/70/1046544170.db2.gz ARYXPBAWMIYZMK-SJCJKPOMSA-N 0 3 324.251 4.301 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C(F)(F)F)ccc2C)[C@H](C)C1 ZINC001139888838 1046544893 /nfs/dbraw/zinc/54/48/93/1046544893.db2.gz MBERNGPATVOAEN-IUODEOHRSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CCC2(CCCO2)CC1 ZINC001139885953 1046545159 /nfs/dbraw/zinc/54/51/59/1046545159.db2.gz WJFWZNARRZIXGX-UHFFFAOYSA-N 0 3 313.363 4.159 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)C1CCC(CCC)CC1 ZINC001139932429 1046553324 /nfs/dbraw/zinc/55/33/24/1046553324.db2.gz WMPQOIKOHRTLMG-UHFFFAOYSA-N 0 3 310.526 4.316 20 0 DIADHN C[C@H]1CCN(Cc2cncn2C)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000135396027 1046555144 /nfs/dbraw/zinc/55/51/44/1046555144.db2.gz HGUFFLCVKSHIPE-LRDDRELGSA-N 0 3 323.362 4.022 20 0 DIADHN C[C@@H]1CCN(Cc2cnn(C)c2)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000135393674 1046555866 /nfs/dbraw/zinc/55/58/66/1046555866.db2.gz QUNOZMFFLBDQOX-WBMJQRKESA-N 0 3 323.362 4.022 20 0 DIADHN COc1c(C)cccc1CNCc1cccc(-c2ccccn2)c1 ZINC001202986961 1046557637 /nfs/dbraw/zinc/55/76/37/1046557637.db2.gz SYTDDPMRGSKKHZ-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CN(CCN1CCCC[C@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC001202988100 1046559410 /nfs/dbraw/zinc/55/94/10/1046559410.db2.gz FLOYIVXSCBKZDH-KRWDZBQOSA-N 0 3 318.461 4.081 20 0 DIADHN C[C@H]1CCCN(Cc2c[nH]c3cccc(Br)c23)C1 ZINC001139965578 1046560948 /nfs/dbraw/zinc/56/09/48/1046560948.db2.gz SWDYRHGTZLGOKC-NSHDSACASA-N 0 3 307.235 4.162 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CN(C[C@@H]2CCOc3ccccc32)CC1 ZINC000678129418 1046561646 /nfs/dbraw/zinc/56/16/46/1046561646.db2.gz OEGXCFRLPXNVFS-HNNXBMFYSA-N 0 3 318.420 4.092 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1n[nH]c2ccccc21 ZINC000678140281 1046564059 /nfs/dbraw/zinc/56/40/59/1046564059.db2.gz CRZBTNLKKXMWEW-HXUWFJFHSA-N 0 3 321.424 4.081 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1[nH]nc2ccccc21 ZINC000678140281 1046564074 /nfs/dbraw/zinc/56/40/74/1046564074.db2.gz CRZBTNLKKXMWEW-HXUWFJFHSA-N 0 3 321.424 4.081 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc(C)s2)s1 ZINC000092740637 1046564159 /nfs/dbraw/zinc/56/41/59/1046564159.db2.gz QFYOUQPYUFOMRE-NWDGAFQWSA-N 0 3 324.515 4.422 20 0 DIADHN c1ccc(O[C@@H]2CCCN(Cc3cc4ccccc4cn3)C2)cc1 ZINC001139997525 1046564341 /nfs/dbraw/zinc/56/43/41/1046564341.db2.gz HXXFYFUJXBEDDC-OAQYLSRUSA-N 0 3 318.420 4.278 20 0 DIADHN c1ccc2cc(CN3CCC(c4ccncc4)CC3)ncc2c1 ZINC001139997911 1046565605 /nfs/dbraw/zinc/56/56/05/1046565605.db2.gz NDSUTTZHQIVRFS-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CC[C@@H](NCc1cccc(OC)n1)c1cccc2ccccc21 ZINC000783240722 1046568248 /nfs/dbraw/zinc/56/82/48/1046568248.db2.gz OZOFKKPFVPOTRI-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1ccc2ncc(CN(C)[C@H]3CCCc4ccccc43)n2c1 ZINC000536631640 1046569669 /nfs/dbraw/zinc/56/96/69/1046569669.db2.gz LOKZETJPZXOEAE-IBGZPJMESA-N 0 3 305.425 4.152 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](N[C@@H](C)Cc1ccccc1F)CCC2 ZINC000783294543 1046575523 /nfs/dbraw/zinc/57/55/23/1046575523.db2.gz KHWNZQZWAWRNNX-KSSFIOAISA-N 0 3 315.436 4.201 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CCC[C@H](C(F)(F)F)C1)CO2 ZINC000783290830 1046576287 /nfs/dbraw/zinc/57/62/87/1046576287.db2.gz HEMNYCVRXWIEIL-XRNSZHNASA-N 0 3 321.289 4.109 20 0 DIADHN COc1c(Cl)cccc1CN1CC[C@@H](c2ccccc2)C1 ZINC001140056902 1046577785 /nfs/dbraw/zinc/57/77/85/1046577785.db2.gz MOIOJGMYFIOSPJ-OAHLLOKOSA-N 0 3 301.817 4.338 20 0 DIADHN Cc1ccc(CNCc2ccccc2OCc2cccnc2)s1 ZINC000061107222 1046578087 /nfs/dbraw/zinc/57/80/87/1046578087.db2.gz NPPTYYQOBUFBGC-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000642189970 1046578495 /nfs/dbraw/zinc/57/84/95/1046578495.db2.gz RVIGWQBGAJBYGV-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN COc1c(Cl)cccc1CN(C)[C@H]1CCc2ccccc21 ZINC001140065602 1046579902 /nfs/dbraw/zinc/57/99/02/1046579902.db2.gz IJFZZXWWCYLYJI-KRWDZBQOSA-N 0 3 301.817 4.468 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1[nH]nc2ccc(OC)cc21 ZINC001140069306 1046580898 /nfs/dbraw/zinc/58/08/98/1046580898.db2.gz RDCUPCWTDHAMPR-LJQANCHMSA-N 0 3 309.413 4.155 20 0 DIADHN CC(C)Cc1ccc(C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000642213351 1046581474 /nfs/dbraw/zinc/58/14/74/1046581474.db2.gz FCAFJWNIUATFPY-UHFFFAOYSA-N 0 3 310.441 4.199 20 0 DIADHN CC(C)c1ccccc1CN[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000783317562 1046581693 /nfs/dbraw/zinc/58/16/93/1046581693.db2.gz WOWKEMKEWCKVBH-HNNXBMFYSA-N 0 3 311.425 4.432 20 0 DIADHN CCCN(Cc1cnc(Br)cc1Cl)CC(C)C ZINC001140079384 1046586351 /nfs/dbraw/zinc/58/63/51/1046586351.db2.gz BYTLJSLDMRYGOJ-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2cnc3ccccc3c2)cc1 ZINC000678212753 1046588167 /nfs/dbraw/zinc/58/81/67/1046588167.db2.gz FREQEWRNDIJKRU-HXUWFJFHSA-N 0 3 304.393 4.278 20 0 DIADHN C[C@H]1Cc2ccccc2N1C1CCN(Cc2cccs2)CC1 ZINC000678220795 1046589589 /nfs/dbraw/zinc/58/95/89/1046589589.db2.gz ZSBHPPNCKKEUFN-HNNXBMFYSA-N 0 3 312.482 4.164 20 0 DIADHN CC(=O)Nc1ccc(CN2CC(C)(C)[C@H]2c2ccccc2)cc1 ZINC000678214102 1046591355 /nfs/dbraw/zinc/59/13/55/1046591355.db2.gz MGLHWAWAKSFTMZ-LJQANCHMSA-N 0 3 308.425 4.228 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@]3(C[C@@H](c4ccccc4)CO3)C2)[nH]1 ZINC001137323858 1046593080 /nfs/dbraw/zinc/59/30/80/1046593080.db2.gz ASAIMFKYJBFWQI-TZIWHRDSSA-N 0 3 324.468 4.170 20 0 DIADHN CCc1nc(CCNCc2ccc([C@H]3C[C@@H]3C)o2)sc1C ZINC000237039189 1046594451 /nfs/dbraw/zinc/59/44/51/1046594451.db2.gz CBAWPWAYGQGOIG-FZMZJTMJSA-N 0 3 304.459 4.063 20 0 DIADHN COc1cccc(CN[C@@H](c2ccccc2)C2CCC2)c1OC ZINC000105430851 1046596053 /nfs/dbraw/zinc/59/60/53/1046596053.db2.gz BCJQSMSRESCNME-IBGZPJMESA-N 0 3 311.425 4.335 20 0 DIADHN COc1ccsc1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000678239065 1046596351 /nfs/dbraw/zinc/59/63/51/1046596351.db2.gz OOPHRZDUSLFTAN-UHFFFAOYSA-N 0 3 323.408 4.415 20 0 DIADHN CC(C)Oc1ccc(CCNCc2c(F)cccc2F)cc1 ZINC000642338936 1046598924 /nfs/dbraw/zinc/59/89/24/1046598924.db2.gz GXCSMGKMTARKSC-UHFFFAOYSA-N 0 3 305.368 4.084 20 0 DIADHN CCOCOc1ccc(CN2Cc3ccccc3C[C@H]2C)cc1 ZINC000783490957 1046599116 /nfs/dbraw/zinc/59/91/16/1046599116.db2.gz WSSQHUHDVJUPSS-MRXNPFEDSA-N 0 3 311.425 4.006 20 0 DIADHN c1cc2cnccc2c(CN2CCOC[C@H]2C2CCCCC2)c1 ZINC001140145257 1046599480 /nfs/dbraw/zinc/59/94/80/1046599480.db2.gz HHKNFTKRZRWCHZ-FQEVSTJZSA-N 0 3 310.441 4.016 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(Cl)o1)c1ccsc1 ZINC000642342647 1046601869 /nfs/dbraw/zinc/60/18/69/1046601869.db2.gz WOILPLNCCAZTAJ-AWEZNQCLSA-N 0 3 312.866 4.167 20 0 DIADHN COc1cc(C)c(CN2CCCc3sccc3C2)cc1OC ZINC000678259254 1046602060 /nfs/dbraw/zinc/60/20/60/1046602060.db2.gz HTITYWWYJBRWBJ-UHFFFAOYSA-N 0 3 317.454 4.022 20 0 DIADHN CSc1ccccc1C[C@H](C)N[C@H](C)c1nc(C)cs1 ZINC000783506052 1046602149 /nfs/dbraw/zinc/60/21/49/1046602149.db2.gz OBCSIEDKRZOZBG-WCQYABFASA-N 0 3 306.500 4.455 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN1CC[C@H](c2ccco2)C1 ZINC000678262367 1046602876 /nfs/dbraw/zinc/60/28/76/1046602876.db2.gz NZWNQXLWNMOHPE-HNNXBMFYSA-N 0 3 314.404 4.008 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCOc3ccccc32)[C@H](c2ccccc2)CO1 ZINC000678279121 1046608532 /nfs/dbraw/zinc/60/85/32/1046608532.db2.gz HZWZDKUTNRLSKY-POAQFYNOSA-N 0 3 323.436 4.015 20 0 DIADHN COc1cccc(-c2ncc(CN3C4CCCC3CCC4)cn2)c1 ZINC001140194162 1046612134 /nfs/dbraw/zinc/61/21/34/1046612134.db2.gz VRPLCRUFPOUYRL-UHFFFAOYSA-N 0 3 323.440 4.059 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(C)[C@H]1CCCc2c1cnn2C ZINC000678296144 1046615735 /nfs/dbraw/zinc/61/57/35/1046615735.db2.gz QKHBRFCYWFUOMR-KRWDZBQOSA-N 0 3 317.864 4.200 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)C[C@H]1COc2ccccc2O1 ZINC000642524150 1046616832 /nfs/dbraw/zinc/61/68/32/1046616832.db2.gz VOLRWGOUOUQEFX-ZBFHGGJFSA-N 0 3 323.392 4.266 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1cc(F)c(F)c(F)c1 ZINC000828687130 1046617876 /nfs/dbraw/zinc/61/78/76/1046617876.db2.gz DUIYLGGPNPMPQW-KRTXAFLBSA-N 0 3 312.162 4.338 20 0 DIADHN CC(C)(C)OC1CC(N2CCS[C@H](c3ccccc3)C2)C1 ZINC000783651359 1046617935 /nfs/dbraw/zinc/61/79/35/1046617935.db2.gz JSSJRFQAFFSHRB-JCYILVPMSA-N 0 3 305.487 4.123 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1cc2ccccc2s1 ZINC000678340483 1046620704 /nfs/dbraw/zinc/62/07/04/1046620704.db2.gz YMZGLRZAUAZYEU-KRWDZBQOSA-N 0 3 311.454 4.280 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2c[nH]c3cccc(O)c23)cc1 ZINC001140235701 1046620786 /nfs/dbraw/zinc/62/07/86/1046620786.db2.gz MTVFYBWWKBNJAJ-ZDUSSCGKSA-N 0 3 310.397 4.075 20 0 DIADHN CN(Cc1c[nH]c2cccc(O)c12)Cc1cccc(Cl)c1 ZINC001140233661 1046620897 /nfs/dbraw/zinc/62/08/97/1046620897.db2.gz SOLQINWWHTVCPN-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN O[C@@H](c1ccc(Cl)cc1)C1CCN(Cc2cccs2)CC1 ZINC000093319484 1046622119 /nfs/dbraw/zinc/62/21/19/1046622119.db2.gz RRJRYIACJPVQKB-KRWDZBQOSA-N 0 3 321.873 4.347 20 0 DIADHN O[C@@H](c1ccc(Cl)cc1)C1CCN(Cc2ccsc2)CC1 ZINC000093319776 1046622214 /nfs/dbraw/zinc/62/22/14/1046622214.db2.gz FAEWQDQYUIDQBN-KRWDZBQOSA-N 0 3 321.873 4.347 20 0 DIADHN CC(C)CC[C@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000834487518 1046622784 /nfs/dbraw/zinc/62/27/84/1046622784.db2.gz FKGJHJDIZDBFDG-VHSXEESVSA-N 0 3 305.285 4.381 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000834514362 1046624768 /nfs/dbraw/zinc/62/47/68/1046624768.db2.gz UXQRJJUZBLDOLI-BLLLJJGKSA-N 0 3 314.454 4.435 20 0 DIADHN C[C@H](Cc1ccc(-c2ccccc2)cc1)N[C@@H]1CCn2ccnc21 ZINC000834541158 1046628627 /nfs/dbraw/zinc/62/86/27/1046628627.db2.gz PZLODZAYYBCGCU-OXQOHEQNSA-N 0 3 317.436 4.216 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N(C)CC1CCCC1 ZINC000532939175 1046629496 /nfs/dbraw/zinc/62/94/96/1046629496.db2.gz SBLYGQPNEUUVGB-OAHLLOKOSA-N 0 3 302.462 4.259 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(C)nc2C)cc1F ZINC000678412597 1046630171 /nfs/dbraw/zinc/63/01/71/1046630171.db2.gz YCFUUADAECWJQT-TZMCWYRMSA-N 0 3 302.393 4.258 20 0 DIADHN CC[C@H]1CCCN1Cc1csc(-c2cccc(OC)c2)n1 ZINC000532965471 1046630965 /nfs/dbraw/zinc/63/09/65/1046630965.db2.gz CACRJNPAALQEAD-HNNXBMFYSA-N 0 3 302.443 4.193 20 0 DIADHN CCCOCc1ccccc1CN[C@H](C)c1ccc(C)nc1C ZINC000678415074 1046631882 /nfs/dbraw/zinc/63/18/82/1046631882.db2.gz XXYJOZNAXLXZRY-MRXNPFEDSA-N 0 3 312.457 4.476 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnccc2C)cc1Cl ZINC000678426981 1046635864 /nfs/dbraw/zinc/63/58/64/1046635864.db2.gz LSZQOUSDQUFCFM-QWHCGFSZSA-N 0 3 304.821 4.464 20 0 DIADHN CN(Cc1cccc(Oc2ccccc2)c1)Cc1ccncc1O ZINC001140282439 1046637060 /nfs/dbraw/zinc/63/70/60/1046637060.db2.gz YRARIXWZQYSLPS-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN C[C@@H](N[C@H]1CCCC1(C)C)c1nc(Br)cs1 ZINC000834616248 1046637082 /nfs/dbraw/zinc/63/70/82/1046637082.db2.gz MSLPBHGSBGVVNG-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc(Br)cs1 ZINC000834619775 1046639330 /nfs/dbraw/zinc/63/93/30/1046639330.db2.gz LYLCJILQACKHHQ-BBBLOLIVSA-N 0 3 303.269 4.135 20 0 DIADHN Clc1ccc(-c2ncc(CN3CCC[C@@H]4C[C@@H]43)s2)cc1 ZINC001140296877 1046643331 /nfs/dbraw/zinc/64/33/31/1046643331.db2.gz IISDHKCUKFAVEH-DOMZBBRYSA-N 0 3 304.846 4.448 20 0 DIADHN C[C@@H](NCC1(C2CC2)CCC1)c1nc(Br)cs1 ZINC000834689289 1046650216 /nfs/dbraw/zinc/65/02/16/1046650216.db2.gz MBNYGGMITPUEIA-SECBINFHSA-N 0 3 315.280 4.137 20 0 DIADHN Cc1ccc2[nH]nc(CN3CCC(c4ccccc4)CC3)c2c1 ZINC001140327078 1046656926 /nfs/dbraw/zinc/65/69/26/1046656926.db2.gz MLVFNXGCBDSGPC-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN C[C@@H](NCC[C@H]1CCCO1)c1csc(-c2ccccc2F)n1 ZINC000643307368 1046657783 /nfs/dbraw/zinc/65/77/83/1046657783.db2.gz TVSICJQKFZJTSS-CHWSQXEVSA-N 0 3 320.433 4.169 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137340226 1046659887 /nfs/dbraw/zinc/65/98/87/1046659887.db2.gz WEGIWIWYCVPPBZ-QGZVFWFLSA-N 0 3 324.399 4.269 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1ccc(C)c(C)c1 ZINC000678580401 1046660703 /nfs/dbraw/zinc/66/07/03/1046660703.db2.gz AZLXQRQFYFECMQ-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CC(C)c1cc(CN[C@@H](C)Cc2ccc(F)cc2Cl)on1 ZINC000643346205 1046660556 /nfs/dbraw/zinc/66/05/56/1046660556.db2.gz LOIDPTVQKSUQHI-NSHDSACASA-N 0 3 310.800 4.311 20 0 DIADHN C[C@H]1CC[C@@H](NCc2nnc(-c3ccccc3)[nH]2)c2sccc21 ZINC000834741209 1046660513 /nfs/dbraw/zinc/66/05/13/1046660513.db2.gz KTGDCWVJKZOERK-SWLSCSKDSA-N 0 3 324.453 4.261 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1ccc(C)c(C)c1 ZINC000678580402 1046661544 /nfs/dbraw/zinc/66/15/44/1046661544.db2.gz AZLXQRQFYFECMQ-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC4(CC[C@@H](F)C4)CC3)c2c1 ZINC001137341388 1046662569 /nfs/dbraw/zinc/66/25/69/1046662569.db2.gz LBQNFAQZTSTCQU-OAHLLOKOSA-N 0 3 304.384 4.411 20 0 DIADHN c1csc(-c2cccc(CN3CC(c4ccccc4)C3)c2)n1 ZINC001140337226 1046663888 /nfs/dbraw/zinc/66/38/88/1046663888.db2.gz HQSAYDXDYPLZTQ-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1cc(F)c(F)c(F)c1 ZINC000678589865 1046665725 /nfs/dbraw/zinc/66/57/25/1046665725.db2.gz RSQLSHWBVPUDFI-DIFFPNOSSA-N 0 3 321.342 4.069 20 0 DIADHN CCn1cc(CN[C@@H](C)c2cccc(-c3ccccc3)c2)cn1 ZINC000678590360 1046666217 /nfs/dbraw/zinc/66/62/17/1046666217.db2.gz UVFXHVUQMRVLHQ-INIZCTEOSA-N 0 3 305.425 4.421 20 0 DIADHN C[C@H](CCC1CC1)N[C@H](C)c1nc(Br)cs1 ZINC000834770844 1046668223 /nfs/dbraw/zinc/66/82/23/1046668223.db2.gz ZFFQETLRUAHNQB-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnccc1C)c1ccccc1Cl ZINC000678596714 1046669135 /nfs/dbraw/zinc/66/91/35/1046669135.db2.gz LJEWVODDYWQURL-GUYCJALGSA-N 0 3 304.821 4.082 20 0 DIADHN CC(C)C(C)(C)CN[C@H](C)c1nc(Br)cs1 ZINC000834779910 1046669862 /nfs/dbraw/zinc/66/98/62/1046669862.db2.gz YLGXNLZQLXVTAX-SECBINFHSA-N 0 3 305.285 4.238 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1ccncn1 ZINC001140350318 1046670033 /nfs/dbraw/zinc/67/00/33/1046670033.db2.gz LXFZNOJIIJTPBI-UHFFFAOYSA-N 0 3 317.436 4.131 20 0 DIADHN CN(Cc1ccc(-c2cncnc2)cc1)Cc1cccc(Cl)c1 ZINC001140356033 1046671573 /nfs/dbraw/zinc/67/15/73/1046671573.db2.gz QNALSHHIEPHRPB-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN Cc1cc([C@H](C)NCc2cc3c(c(Cl)c2)OCO3)ccc1F ZINC000678598893 1046673045 /nfs/dbraw/zinc/67/30/45/1046673045.db2.gz QQXSQIGPZJPXOJ-NSHDSACASA-N 0 3 321.779 4.367 20 0 DIADHN CCCN(Cc1sc(N2CCCC2)nc1Cl)CC(C)C ZINC001140366501 1046673962 /nfs/dbraw/zinc/67/39/62/1046673962.db2.gz GDZXAWHCBSGZIA-UHFFFAOYSA-N 0 3 315.914 4.265 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCOC3(CCCCC3)C2)c(C)s1 ZINC000678601257 1046674574 /nfs/dbraw/zinc/67/45/74/1046674574.db2.gz ZNWPAGCLKLUBHC-SWLSCSKDSA-N 0 3 308.491 4.292 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccccc1)c1c(F)cccc1F ZINC000678608244 1046677386 /nfs/dbraw/zinc/67/73/86/1046677386.db2.gz JYLVGULXJQAYNF-BOFPYLFWSA-N 0 3 316.395 4.061 20 0 DIADHN Cc1nc([C@@H](C)NCCC2Cc3ccccc3C2)c(C)s1 ZINC000678607916 1046677434 /nfs/dbraw/zinc/67/74/34/1046677434.db2.gz WBROCHNWBUDYPG-GFCCVEGCSA-N 0 3 300.471 4.216 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccn3)cc2)CCC1(F)F ZINC001140377206 1046677597 /nfs/dbraw/zinc/67/75/97/1046677597.db2.gz RSGSPCOMNBCIOZ-AWEZNQCLSA-N 0 3 302.368 4.226 20 0 DIADHN c1cc2c(s1)CN(Cc1ccc(-c3ccccn3)cc1)CC2 ZINC001140380281 1046678592 /nfs/dbraw/zinc/67/85/92/1046678592.db2.gz RPXIDYMJMAFOEW-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2N[C@H](c1cccnc1)C1CC1 ZINC000678609274 1046679115 /nfs/dbraw/zinc/67/91/15/1046679115.db2.gz LDZIMKZSFMKYRQ-ROUUACIJSA-N 0 3 300.352 4.088 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2N[C@H](c1cccnc1)C1CC1 ZINC000678609266 1046679143 /nfs/dbraw/zinc/67/91/43/1046679143.db2.gz LDZIMKZSFMKYRQ-MSOLQXFVSA-N 0 3 300.352 4.088 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000678640892 1046684449 /nfs/dbraw/zinc/68/44/49/1046684449.db2.gz USPVPCPQVHFTQL-RBSFLKMASA-N 0 3 309.400 4.164 20 0 DIADHN CCC/C=C\C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001140391466 1046686534 /nfs/dbraw/zinc/68/65/34/1046686534.db2.gz SFUOMABPWVBWHS-ZPUOCTKUSA-N 0 3 322.880 4.195 20 0 DIADHN C[C@H]1C[C@@H](c2nc(Cc3cccc4ccccc43)no2)CCN1C ZINC000420484005 1046687040 /nfs/dbraw/zinc/68/70/40/1046687040.db2.gz WRNFEONSLNBSKX-YOEHRIQHSA-N 0 3 321.424 4.011 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1c(C)cccc1C ZINC000533290060 1046687888 /nfs/dbraw/zinc/68/78/88/1046687888.db2.gz NSYLLDCBVORGEL-WMLDXEAASA-N 0 3 302.462 4.143 20 0 DIADHN C[C@H](CCC1CC1)NCc1nc2cc(Br)ccc2o1 ZINC000643884697 1046688740 /nfs/dbraw/zinc/68/87/40/1046688740.db2.gz APCGEBKILQJPTL-SNVBAGLBSA-N 0 3 323.234 4.259 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc2ccccc2n1C ZINC000533294661 1046689195 /nfs/dbraw/zinc/68/91/95/1046689195.db2.gz KABHXQFJEFAOML-QGZVFWFLSA-N 0 3 307.441 4.026 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@@H](C(C)C)CC1 ZINC000533280573 1046689403 /nfs/dbraw/zinc/68/94/03/1046689403.db2.gz GNTCEDVOHHIQNZ-QZTJIDSGSA-N 0 3 316.489 4.389 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(Cl)c(Cl)c2)C2CC2)n[nH]1 ZINC000678689838 1046689848 /nfs/dbraw/zinc/68/98/48/1046689848.db2.gz MXQSCUDYILAJHR-OAHLLOKOSA-N 0 3 310.228 4.266 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc2ccccc2n1C ZINC000533294659 1046689863 /nfs/dbraw/zinc/68/98/63/1046689863.db2.gz KABHXQFJEFAOML-KRWDZBQOSA-N 0 3 307.441 4.026 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@@H](C(C)C)CC1 ZINC000533280576 1046690030 /nfs/dbraw/zinc/69/00/30/1046690030.db2.gz GNTCEDVOHHIQNZ-ZWKOTPCHSA-N 0 3 316.489 4.389 20 0 DIADHN CCN(C(=O)CN[C@@H](C)c1ccc(C)c(C)c1)c1ccccc1 ZINC000678744388 1046693082 /nfs/dbraw/zinc/69/30/82/1046693082.db2.gz HGXHBYDBJAHXOW-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(-c2ccc(Cl)nn2)cc1 ZINC001140409895 1046693286 /nfs/dbraw/zinc/69/32/86/1046693286.db2.gz GWGRKBPARWZWEZ-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCCC(C)(C)CC2)c(C)c1 ZINC000533301810 1046693450 /nfs/dbraw/zinc/69/34/50/1046693450.db2.gz QLKJHQVUUHOZAQ-UHFFFAOYSA-N 0 3 302.462 4.062 20 0 DIADHN F[C@H]1CCCCN(Cc2ccc(-c3ccc(Cl)nn3)cc2)C1 ZINC001140409869 1046693604 /nfs/dbraw/zinc/69/36/04/1046693604.db2.gz GCIACDXIZJQUFE-HNNXBMFYSA-N 0 3 319.811 4.121 20 0 DIADHN CC(C)Oc1ncccc1CN[C@@H]1c2ccccc2CC[C@@H]1C ZINC000678766494 1046695530 /nfs/dbraw/zinc/69/55/30/1046695530.db2.gz SVODBAYIHACYHO-KXBFYZLASA-N 0 3 310.441 4.282 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1cnn(-c2ccccc2)c1 ZINC000834884119 1046696500 /nfs/dbraw/zinc/69/65/00/1046696500.db2.gz KKXOKEUCMZBNJR-DOMZBBRYSA-N 0 3 324.255 4.107 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccc(OCC2CC2)nc1 ZINC000678768995 1046697389 /nfs/dbraw/zinc/69/73/89/1046697389.db2.gz YCMPJNQSHMSACI-VFNWGFHPSA-N 0 3 322.452 4.284 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(O)cc2)cc1OC ZINC000236867733 1046697520 /nfs/dbraw/zinc/69/75/20/1046697520.db2.gz SGHHWLQMOMLYTI-AWEZNQCLSA-N 0 3 315.413 4.040 20 0 DIADHN Fc1ccc(CC2CCN(Cc3n[nH]c4ccccc43)CC2)cc1 ZINC001137349928 1046698713 /nfs/dbraw/zinc/69/87/13/1046698713.db2.gz VKDSBRREEAMHKG-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Fc1cccc(-c2ncc(CN3CCC34CCCC4)s2)c1 ZINC001140414153 1046699978 /nfs/dbraw/zinc/69/99/78/1046699978.db2.gz CORWBSDMRWWNGO-UHFFFAOYSA-N 0 3 302.418 4.468 20 0 DIADHN CC(C)(C)CN(Cc1nc2ccccc2c(=O)[nH]1)CC(C)(C)C ZINC000678798139 1046703450 /nfs/dbraw/zinc/70/34/50/1046703450.db2.gz KPHSGPAKPQNLDO-UHFFFAOYSA-N 0 3 315.461 4.230 20 0 DIADHN Fc1cccc(-c2ncc(CN3CC[C@]4(CC4(F)F)C3)s2)c1 ZINC001140419821 1046706193 /nfs/dbraw/zinc/70/61/93/1046706193.db2.gz MLBFEEYIJWFCKH-HNNXBMFYSA-N 0 3 324.371 4.180 20 0 DIADHN CCc1nn(C)cc1CN(C1CC1)[C@@H]1CCCc2ccccc21 ZINC000678814064 1046708266 /nfs/dbraw/zinc/70/82/66/1046708266.db2.gz AQBUOPUTXXAJOV-HXUWFJFHSA-N 0 3 309.457 4.025 20 0 DIADHN COCCCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000533371996 1046710915 /nfs/dbraw/zinc/71/09/15/1046710915.db2.gz OVERILGDVYVJGT-OAHLLOKOSA-N 0 3 301.352 4.269 20 0 DIADHN c1ccc(C[C@H]2CCCN(Cc3nc4c(s3)CCC4)C2)cc1 ZINC000678823422 1046711333 /nfs/dbraw/zinc/71/13/33/1046711333.db2.gz RSXIHLNPFOEMBG-MRXNPFEDSA-N 0 3 312.482 4.087 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1c(F)cccc1-n1cccn1 ZINC000834901461 1046712659 /nfs/dbraw/zinc/71/26/59/1046712659.db2.gz ABCNGSJOAQJWMQ-IBGZPJMESA-N 0 3 321.399 4.097 20 0 DIADHN Fc1cc(C2CC2)ccc1CN[C@H]1CCN(c2ccccc2)C1 ZINC000644407565 1046713575 /nfs/dbraw/zinc/71/35/75/1046713575.db2.gz SQIXQIBNVPGQGJ-SFHVURJKSA-N 0 3 310.416 4.072 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2nc3ccccc3s2)C1 ZINC000533426438 1046719649 /nfs/dbraw/zinc/71/96/49/1046719649.db2.gz FMZUCWYZGFKGCN-AWEZNQCLSA-N 0 3 324.449 4.294 20 0 DIADHN COc1ccccc1[C@H](O)CN[C@H](CCC(C)C)c1ccoc1 ZINC000796700877 1046720335 /nfs/dbraw/zinc/72/03/35/1046720335.db2.gz FSBKSFHXMQXOGU-QZTJIDSGSA-N 0 3 317.429 4.089 20 0 DIADHN CC(C)CC[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccoc1 ZINC000796708221 1046720367 /nfs/dbraw/zinc/72/03/67/1046720367.db2.gz QJOHHMBIFDUEHO-QZTJIDSGSA-N 0 3 305.393 4.219 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1c(=O)cc[nH]c1Cl ZINC001211991948 1046725985 /nfs/dbraw/zinc/72/59/85/1046725985.db2.gz WDLGQJHHAZKNHL-UHFFFAOYSA-N 0 3 305.809 4.026 20 0 DIADHN CCOCC1CCN(Cc2c[nH]c3cccc(Cl)c23)CC1 ZINC001140445933 1046726719 /nfs/dbraw/zinc/72/67/19/1046726719.db2.gz AIPPOVXCPXBHBB-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN CC[C@@H](NCc1nc(-c2cccs2)no1)C1CCCCC1 ZINC000678895748 1046727833 /nfs/dbraw/zinc/72/78/33/1046727833.db2.gz UFUPHGPXMCTRAE-CYBMUJFWSA-N 0 3 305.447 4.247 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cccc(CO)c2)cc1Cl ZINC000796760240 1046728938 /nfs/dbraw/zinc/72/89/38/1046728938.db2.gz BHNRSRWFEURSNN-ZDUSSCGKSA-N 0 3 319.832 4.000 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(-c2ccncc2)cc1 ZINC000796778152 1046730125 /nfs/dbraw/zinc/73/01/25/1046730125.db2.gz FVKQZBCWGVYNKH-AUUYWEPGSA-N 0 3 318.424 4.200 20 0 DIADHN CCN(Cc1ccccn1)Cc1ccccc1-c1nccs1 ZINC001140453786 1046730957 /nfs/dbraw/zinc/73/09/57/1046730957.db2.gz MKESYWLSKUSSDD-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN CCN(CC)c1ncc(CN[C@H]2CCc3ccc(C)cc32)s1 ZINC000678931642 1046737417 /nfs/dbraw/zinc/73/74/17/1046737417.db2.gz NTYULZJPHCQSTC-KRWDZBQOSA-N 0 3 315.486 4.075 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000678932170 1046738979 /nfs/dbraw/zinc/73/89/79/1046738979.db2.gz XKBKYXBSDMKVBC-GDLVEWKHSA-N 0 3 308.422 4.017 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cccc(F)c1F)c1ccc(F)cc1 ZINC000678945949 1046743346 /nfs/dbraw/zinc/74/33/46/1046743346.db2.gz SZCIQPGHRBSINH-ZBEGNZNMSA-N 0 3 309.331 4.142 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)c(F)c1 ZINC000445386949 1046744660 /nfs/dbraw/zinc/74/46/60/1046744660.db2.gz UHLDPKFGFKILCU-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN C[C@H](CCNCc1nc2c(s1)CCCC2)c1ccccc1 ZINC000678993467 1046749347 /nfs/dbraw/zinc/74/93/47/1046749347.db2.gz VTWXGYHZGSBVMR-CQSZACIVSA-N 0 3 300.471 4.305 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@@H](c4ccccc4)C3)nc12 ZINC000645010782 1046755037 /nfs/dbraw/zinc/75/50/37/1046755037.db2.gz XNQFNAICUPLILH-GOSISDBHSA-N 0 3 305.425 4.022 20 0 DIADHN CCN(C/C=C/c1ccccc1)Cc1ccc(OC)c(OC)c1 ZINC000645034558 1046759291 /nfs/dbraw/zinc/75/92/91/1046759291.db2.gz QBAYMZALDRBVPN-DHZHZOJOSA-N 0 3 311.425 4.239 20 0 DIADHN CC1CCN(c2cccc(N[C@H]3CCCc4[nH]ncc43)c2)CC1 ZINC000796925133 1046759546 /nfs/dbraw/zinc/75/95/46/1046759546.db2.gz IAYOLTFRMHVELC-SFHVURJKSA-N 0 3 310.445 4.136 20 0 DIADHN CC[NH+](CC)Cc1ccccc1Nc1c(F)cc([O-])cc1F ZINC001211995922 1046763233 /nfs/dbraw/zinc/76/32/33/1046763233.db2.gz OLABQAOVNFTNPO-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN Clc1ccc([C@H]2CCC[C@@H]2NCc2cocn2)cc1Cl ZINC000679048439 1046764774 /nfs/dbraw/zinc/76/47/74/1046764774.db2.gz OXTIUQMPMCYYES-DOMZBBRYSA-N 0 3 311.212 4.407 20 0 DIADHN c1nc(CN[C@H](CCc2ccccc2)Cc2ccccc2)co1 ZINC000679049290 1046764852 /nfs/dbraw/zinc/76/48/52/1046764852.db2.gz ROCCZYFNIPUTHB-LJQANCHMSA-N 0 3 306.409 4.008 20 0 DIADHN COc1cc(OC)c([C@@H](C)N[C@@H]2CCC(F)(F)C2)cc1Cl ZINC000679071139 1046768044 /nfs/dbraw/zinc/76/80/44/1046768044.db2.gz DNPLFIXEQUYMLQ-NXEZZACHSA-N 0 3 319.779 4.196 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@H]2CCC(F)(F)C2)cc1Cl ZINC000679071140 1046768082 /nfs/dbraw/zinc/76/80/82/1046768082.db2.gz DNPLFIXEQUYMLQ-UWVGGRQHSA-N 0 3 319.779 4.196 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1nc2ccc(Cl)cc2n1C ZINC000679074620 1046769121 /nfs/dbraw/zinc/76/91/21/1046769121.db2.gz UDQUPPLETYDZMO-KOLCDFICSA-N 0 3 313.779 4.065 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H](C)[C@H]1CCCCO1 ZINC000679092031 1046774396 /nfs/dbraw/zinc/77/43/96/1046774396.db2.gz KDNGLDAYSRUHNI-WOSRLPQWSA-N 0 3 311.853 4.347 20 0 DIADHN c1c2ccccc2sc1CCNCc1nc2c(s1)CCC2 ZINC000645197235 1046777274 /nfs/dbraw/zinc/77/72/74/1046777274.db2.gz LAHRYDSSALLCKZ-UHFFFAOYSA-N 0 3 314.479 4.179 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000645206634 1046780686 /nfs/dbraw/zinc/78/06/86/1046780686.db2.gz KSXLNQRQOPFJJP-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000797057017 1046782359 /nfs/dbraw/zinc/78/23/59/1046782359.db2.gz DPLXEMFSPHHZKH-FASAQXTFSA-N 0 3 319.395 4.436 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@H](C)c2cccc(F)c2F)cc1 ZINC000797064301 1046784919 /nfs/dbraw/zinc/78/49/19/1046784919.db2.gz STTWLEQQEGRZOU-FHSNZYRGSA-N 0 3 305.368 4.046 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@H](C)c2cccc(F)c2F)cc1 ZINC000797064303 1046785263 /nfs/dbraw/zinc/78/52/63/1046785263.db2.gz STTWLEQQEGRZOU-SNUQEOBHSA-N 0 3 305.368 4.046 20 0 DIADHN CC[C@@H](Nc1cccc(CN2CCSCC2)c1C)C1CC1 ZINC000679142693 1046786086 /nfs/dbraw/zinc/78/60/86/1046786086.db2.gz HZVLKPVSZDZNIX-QGZVFWFLSA-N 0 3 304.503 4.144 20 0 DIADHN CC[C@H](Nc1cccc(CN2CCSCC2)c1C)C1CC1 ZINC000679142692 1046786779 /nfs/dbraw/zinc/78/67/79/1046786779.db2.gz HZVLKPVSZDZNIX-KRWDZBQOSA-N 0 3 304.503 4.144 20 0 DIADHN Cc1cc(CN(C)Cc2cccc(Br)c2)ccc1O ZINC001140573679 1046788970 /nfs/dbraw/zinc/78/89/70/1046788970.db2.gz RAVBHFNAADKEKC-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN Cc1nc(CN[C@H](Cc2ccccc2Cl)C2CC2)cs1 ZINC000645393475 1046788867 /nfs/dbraw/zinc/78/88/67/1046788867.db2.gz IIVHQSAWWCHIBE-MRXNPFEDSA-N 0 3 306.862 4.216 20 0 DIADHN CCC1(CC)CCC([NH2+][C@@H](c2cccs2)c2nnc[n-]2)CC1 ZINC000645454819 1046792227 /nfs/dbraw/zinc/79/22/27/1046792227.db2.gz UFYJUGFVCCEOTR-HNNXBMFYSA-N 0 3 318.490 4.294 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(CC(=O)OC(C)(C)C)CC1 ZINC001140595026 1046803224 /nfs/dbraw/zinc/80/32/24/1046803224.db2.gz YMCHIEBQKVLXRN-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(C(=O)NC(C)C)cc2)cc1C ZINC001140616349 1046812078 /nfs/dbraw/zinc/81/20/78/1046812078.db2.gz CEDIKBXKQAXTGR-UHFFFAOYSA-N 0 3 324.468 4.074 20 0 DIADHN Cc1ccc(CN(CCC[C@@H]2CCOC2)Cc2ccco2)s1 ZINC000645781500 1046816376 /nfs/dbraw/zinc/81/63/76/1046816376.db2.gz SEVQRHXIKVVAAJ-MRXNPFEDSA-N 0 3 319.470 4.468 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(OC(F)F)c(O)c1 ZINC001140630042 1046817162 /nfs/dbraw/zinc/81/71/62/1046817162.db2.gz FSHPHPNLVNJWLB-UHFFFAOYSA-N 0 3 321.367 4.324 20 0 DIADHN CN(Cc1ccc(OC(F)F)c(O)c1)[C@H]1CCc2ccccc21 ZINC001140631758 1046821654 /nfs/dbraw/zinc/82/16/54/1046821654.db2.gz AJIFITFUVBBGER-HNNXBMFYSA-N 0 3 319.351 4.113 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CCC[C@H]3CCOC3)nc2c1 ZINC000645835757 1046823549 /nfs/dbraw/zinc/82/35/49/1046823549.db2.gz YGDZUNUDZBAPFV-RDJZCZTQSA-N 0 3 314.429 4.172 20 0 DIADHN Cc1ncc(Nc2cccc(F)c2OCc2ccccc2)n1C ZINC001212022080 1046824262 /nfs/dbraw/zinc/82/42/62/1046824262.db2.gz ZHHUHPPFEKHWOW-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1ccc(Cl)cc1 ZINC000247127340 1046824793 /nfs/dbraw/zinc/82/47/93/1046824793.db2.gz QBPJAIWJBZGBMQ-KSZLIROESA-N 0 3 301.817 4.039 20 0 DIADHN C[C@@H](CCCCO)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000679361290 1046828841 /nfs/dbraw/zinc/82/88/41/1046828841.db2.gz ZCKYUKSQFYCTQK-NSHDSACASA-N 0 3 302.245 4.123 20 0 DIADHN Oc1ccc(CN2CCC(Oc3ccccc3Cl)CC2)cc1 ZINC000679396114 1046836657 /nfs/dbraw/zinc/83/66/57/1046836657.db2.gz QBPJILVIAWJRHB-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCC2(CCCC2)CC1 ZINC001138929458 1046840274 /nfs/dbraw/zinc/84/02/74/1046840274.db2.gz XLWDJLUQRKLWEY-UHFFFAOYSA-N 0 3 303.837 4.324 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)Cc1cccc(O)c1 ZINC000646046174 1046848410 /nfs/dbraw/zinc/84/84/10/1046848410.db2.gz DOPATQHDKURKIF-LFIBNONCSA-N 0 3 311.425 4.074 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CC[C@H]3CCCO3)nc2c1 ZINC000248947789 1046855441 /nfs/dbraw/zinc/85/54/41/1046855441.db2.gz DPYBVSMUDVQDIQ-UKRRQHHQSA-N 0 3 302.443 4.002 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CC2(C[C@H]1C)CCOCC2 ZINC000646096090 1046856118 /nfs/dbraw/zinc/85/61/18/1046856118.db2.gz ILIARPPPXGLXQV-OLZOCXBDSA-N 0 3 311.828 4.431 20 0 DIADHN CCN(C)c1ccc(CN2CCCCC[C@H]2c2ccncc2)cn1 ZINC000248886659 1046856642 /nfs/dbraw/zinc/85/66/42/1046856642.db2.gz QYYWPDSWWQMQMO-IBGZPJMESA-N 0 3 324.472 4.050 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1cc2ccccc2[nH]c1=O ZINC000679541785 1046861665 /nfs/dbraw/zinc/86/16/65/1046861665.db2.gz LXPQCGSMCBTKRP-FZMZJTMJSA-N 0 3 324.346 4.103 20 0 DIADHN CC[C@H](NCc1cc(C)nc(Cl)c1)c1ccc(OC)cc1 ZINC000797594004 1046862691 /nfs/dbraw/zinc/86/26/91/1046862691.db2.gz AAHOQUQVECDAPF-INIZCTEOSA-N 0 3 304.821 4.293 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc(-n2ccnc2)cc1 ZINC000679541438 1046863098 /nfs/dbraw/zinc/86/30/98/1046863098.db2.gz ZFMMMRAJFGEYAJ-DZGCQCFKSA-N 0 3 323.362 4.035 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCCC[C@@H]2C2CCC2)n1 ZINC000646138542 1046863598 /nfs/dbraw/zinc/86/35/98/1046863598.db2.gz LKXSEXYNGPNACA-LJQANCHMSA-N 0 3 309.457 4.086 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cc(C)nc(Cl)c2)cc1 ZINC000797594339 1046863804 /nfs/dbraw/zinc/86/38/04/1046863804.db2.gz DSDKOACNBDQDIO-CYBMUJFWSA-N 0 3 304.821 4.293 20 0 DIADHN CCCN(Cc1cc(F)c(Cl)cc1F)C1CCOCC1 ZINC001140701930 1046865271 /nfs/dbraw/zinc/86/52/71/1046865271.db2.gz CCYHDMKCJCFFCJ-UHFFFAOYSA-N 0 3 303.780 4.009 20 0 DIADHN CCCCN(Cc1ncc(C)s1)[C@H]1CCc2ncsc2C1 ZINC000646129951 1046865559 /nfs/dbraw/zinc/86/55/59/1046865559.db2.gz HSYVZLXNQYPCGQ-ZDUSSCGKSA-N 0 3 321.515 4.068 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cc(C)nc(Cl)c1 ZINC000797600852 1046865769 /nfs/dbraw/zinc/86/57/69/1046865769.db2.gz GYDWQTBGFYBUNM-SJKOYZFVSA-N 0 3 322.811 4.048 20 0 DIADHN Cc1cccc(OCCN2C[C@H](C(F)(F)F)CC[C@@H]2C)c1 ZINC000679544878 1046867099 /nfs/dbraw/zinc/86/70/99/1046867099.db2.gz IQCJJRQHEQVCBT-UONOGXRCSA-N 0 3 301.352 4.037 20 0 DIADHN CCOc1ccc(CN2C[C@H](C(F)(F)F)CC[C@@H]2C)cc1 ZINC000679546616 1046867194 /nfs/dbraw/zinc/86/71/94/1046867194.db2.gz RFKDFUGYWHKMLQ-GXTWGEPZSA-N 0 3 301.352 4.248 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3cc(C)nc(Cl)c3)C2)c1 ZINC000797602881 1046870387 /nfs/dbraw/zinc/87/03/87/1046870387.db2.gz YDXARWYVGPQOIA-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)(NCc1ccc(-n2ccnc2)cc1)c1cccc(F)c1 ZINC000076901919 1046872188 /nfs/dbraw/zinc/87/21/88/1046872188.db2.gz VWBOJDKDUVDXFN-UHFFFAOYSA-N 0 3 309.388 4.036 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](OC)c1ccccc1Cl ZINC000679577730 1046874080 /nfs/dbraw/zinc/87/40/80/1046874080.db2.gz VPANRSOXZHRBEW-CXAGYDPISA-N 0 3 318.848 4.336 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1c2cc(C)ccc2C[C@H]1C ZINC000679576217 1046874153 /nfs/dbraw/zinc/87/41/53/1046874153.db2.gz UVLNTTDAORSZTJ-HEFCMCLBSA-N 0 3 323.436 4.350 20 0 DIADHN Clc1ncc2ccccc2c1CN1CC[C@@H](c2ccccn2)C1 ZINC001140721890 1046875585 /nfs/dbraw/zinc/87/55/85/1046875585.db2.gz CYTJKKHLTJFIMG-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN CCCCOc1ccc(CN[C@H](CCO)c2ccccc2)cc1 ZINC000077468302 1046883105 /nfs/dbraw/zinc/88/31/05/1046883105.db2.gz ALBDXRUPVNEAKL-HXUWFJFHSA-N 0 3 313.441 4.079 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2ccc(F)cc2C)CC1 ZINC001140777449 1046894017 /nfs/dbraw/zinc/89/40/17/1046894017.db2.gz LXDHFSKBHQWDRV-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(C)nc2N2CCCC2)c1 ZINC000679723754 1046894595 /nfs/dbraw/zinc/89/45/95/1046894595.db2.gz OKMAUCVUMABMOX-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2CC2)c(N2CCCC2)n1 ZINC000679722910 1046895328 /nfs/dbraw/zinc/89/53/28/1046895328.db2.gz IITYTBHCWTVNCP-FQEVSTJZSA-N 0 3 321.468 4.231 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C)nc2N2CCCC2)s1 ZINC000679723150 1046895434 /nfs/dbraw/zinc/89/54/34/1046895434.db2.gz IYLAOGMWMRRFQN-HNNXBMFYSA-N 0 3 315.486 4.211 20 0 DIADHN c1oc2ccccc2c1CN(Cc1cccnc1)C1CCOCC1 ZINC001140785350 1046897056 /nfs/dbraw/zinc/89/70/56/1046897056.db2.gz AVPVYMPCCAQGTB-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@@H](NCc1ccc(N(C)c2ccccc2)nc1)c1ccc[nH]1 ZINC000797875194 1046898508 /nfs/dbraw/zinc/89/85/08/1046898508.db2.gz SZHCYPARDSLEPO-OAHLLOKOSA-N 0 3 306.413 4.028 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNCc1cc(C)cc(C)c1 ZINC000679739202 1046898369 /nfs/dbraw/zinc/89/83/69/1046898369.db2.gz AUZLEODSSVDPFH-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3cccnc3Cl)C2)c1 ZINC000797877526 1046901119 /nfs/dbraw/zinc/90/11/19/1046901119.db2.gz VOMMSNLWNZIJRZ-CTYIDZIISA-N 0 3 307.224 4.424 20 0 DIADHN Oc1cc(Cl)cc(CN2CC[C@H](Cc3ccccc3)C2)c1 ZINC001140794515 1046902138 /nfs/dbraw/zinc/90/21/38/1046902138.db2.gz NSSAALNNOMUXIP-OAHLLOKOSA-N 0 3 301.817 4.110 20 0 DIADHN Cc1cscc1C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001140804642 1046908527 /nfs/dbraw/zinc/90/85/27/1046908527.db2.gz ZBNFLSLRGXXJCM-UHFFFAOYSA-N 0 3 322.518 4.029 20 0 DIADHN CCOCc1ccccc1CNCc1cccn1CCC(C)C ZINC000161581871 1046908968 /nfs/dbraw/zinc/90/89/68/1046908968.db2.gz ZTNPFPBWBLVBMW-UHFFFAOYSA-N 0 3 314.473 4.361 20 0 DIADHN CO[C@H]1CCCN([C@@H](C)c2ccccc2Br)CC1 ZINC000679953187 1046914927 /nfs/dbraw/zinc/91/49/27/1046914927.db2.gz MFAIIMOFPRZLNW-STQMWFEESA-N 0 3 312.251 4.011 20 0 DIADHN Cc1ccc(CCNCc2c(Cl)cncc2Cl)cc1C ZINC001203068549 1046912222 /nfs/dbraw/zinc/91/22/22/1046912222.db2.gz UKOFIMGFZOQALM-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1cccnc1Cl ZINC000797996499 1046915586 /nfs/dbraw/zinc/91/55/86/1046915586.db2.gz IJHQUYOQFQMKSZ-AVGNSLFASA-N 0 3 304.796 4.471 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@@H](C)c2cnccc2C)c1C ZINC000679943585 1046916522 /nfs/dbraw/zinc/91/65/22/1046916522.db2.gz FCJAYJQMVHQAPQ-INIZCTEOSA-N 0 3 310.441 4.369 20 0 DIADHN c1cc2cnccc2c(CN2CCOC3(C2)CCCCCC3)c1 ZINC000679990373 1046922558 /nfs/dbraw/zinc/92/25/58/1046922558.db2.gz OVXVKXHXQJSQMT-UHFFFAOYSA-N 0 3 310.441 4.160 20 0 DIADHN C[C@H](c1ccco1)N(C)C/C=C\CN(C)[C@H](C)c1ccco1 ZINC000255780652 1046926360 /nfs/dbraw/zinc/92/63/60/1046926360.db2.gz YXWYPCNKAGUWMS-ILNYKDOHSA-N 0 3 302.418 4.115 20 0 DIADHN CC[C@H](O)[C@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000303519104 1046928655 /nfs/dbraw/zinc/92/86/55/1046928655.db2.gz DUSKXNSOGHSIOF-SJORKVTESA-N 0 3 318.848 4.014 20 0 DIADHN Cc1cc([C@H]2CCC[N@@H+]2Cc2c([O-])ccc3ccccc32)on1 ZINC000054514741 1046931544 /nfs/dbraw/zinc/93/15/44/1046931544.db2.gz GOOSMYJRFCTMJG-QGZVFWFLSA-N 0 3 308.381 4.179 20 0 DIADHN Cc1cc([C@H]2CCC[N@H+]2Cc2c([O-])ccc3ccccc32)on1 ZINC000054514741 1046931552 /nfs/dbraw/zinc/93/15/52/1046931552.db2.gz GOOSMYJRFCTMJG-QGZVFWFLSA-N 0 3 308.381 4.179 20 0 DIADHN Cc1c[nH]c(CN2CCC[C@@]3(C[C@@H](c4ccccc4)CO3)C2)c1C ZINC001140860646 1046934214 /nfs/dbraw/zinc/93/42/14/1046934214.db2.gz RMLKOFNZHYBHTO-TZIWHRDSSA-N 0 3 324.468 4.170 20 0 DIADHN Nc1cccc(F)c1CN1CCC(c2cccc(Cl)c2)CC1 ZINC001140873385 1046936334 /nfs/dbraw/zinc/93/63/34/1046936334.db2.gz XBVSEQYVFGCEBV-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN CSc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000536822022 1046937851 /nfs/dbraw/zinc/93/78/51/1046937851.db2.gz QYSBRVLJPJTHNY-OAHLLOKOSA-N 0 3 304.846 4.234 20 0 DIADHN C[C@H]1CN(Cc2c(N)cccc2F)CCc2ccc(Cl)cc21 ZINC001140877326 1046938904 /nfs/dbraw/zinc/93/89/04/1046938904.db2.gz JVHCUFGONOACBY-LBPRGKRZSA-N 0 3 318.823 4.223 20 0 DIADHN [O-]c1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c(F)c1F ZINC001140893204 1046944275 /nfs/dbraw/zinc/94/42/75/1046944275.db2.gz KNXWYJXPAKECMX-GFCCVEGCSA-N 0 3 323.770 4.313 20 0 DIADHN CCc1ccc2nccc(N3CCC[C@@H]3c3cc(C)on3)c2c1 ZINC000827361734 1046947207 /nfs/dbraw/zinc/94/72/07/1046947207.db2.gz XTDGDTCCUWPOHX-LJQANCHMSA-N 0 3 307.397 4.435 20 0 DIADHN Cc1cc(CN2CCC(c3cccc(C(F)(F)F)c3)CC2)n[nH]1 ZINC001203075964 1046947243 /nfs/dbraw/zinc/94/72/43/1046947243.db2.gz SMUXYPDFVPRYLF-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3noc4c3CCCC4)C2)c1 ZINC000680113194 1046947928 /nfs/dbraw/zinc/94/79/28/1046947928.db2.gz NTTWQPFYFUTJGZ-MRXNPFEDSA-N 0 3 310.441 4.160 20 0 DIADHN COc1cccc([C@@H](C)N2CC[C@@H](Oc3cccc(F)c3)C2)c1 ZINC000680112884 1046948405 /nfs/dbraw/zinc/94/84/05/1046948405.db2.gz IFGFUSWGOLLVQL-AUUYWEPGSA-N 0 3 315.388 4.049 20 0 DIADHN CCc1cnc(CNCCc2c[nH]c3cc(Cl)ccc23)s1 ZINC000680117799 1046950345 /nfs/dbraw/zinc/95/03/45/1046950345.db2.gz WEFJCYUIQLUEPU-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN CCN(Cc1c(C)nc2cc(C)ccn21)Cc1ccc(C)cc1 ZINC001139680040 1046955060 /nfs/dbraw/zinc/95/50/60/1046955060.db2.gz OFQJRGRFSPCVGL-UHFFFAOYSA-N 0 3 307.441 4.282 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(-c3cnn(C)c3)s2)CC1 ZINC000647224611 1046955915 /nfs/dbraw/zinc/95/59/15/1046955915.db2.gz PVADMNJLWVULLF-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN Cc1ccc(C2(NCc3cnc4ccc(C)cn34)CCC2)cc1 ZINC000647255373 1046961948 /nfs/dbraw/zinc/96/19/48/1046961948.db2.gz XJUUSOITDJGQEQ-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)CC)nc1)c1csc(C)c1 ZINC000647254278 1046962585 /nfs/dbraw/zinc/96/25/85/1046962585.db2.gz AZJGKQDIJMQVKF-MRXNPFEDSA-N 0 3 303.475 4.149 20 0 DIADHN C[C@H]1SCC[C@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000647257391 1046963039 /nfs/dbraw/zinc/96/30/39/1046963039.db2.gz ASILRIHVKJFAKK-QMTHXVAHSA-N 0 3 324.902 4.447 20 0 DIADHN CCOCc1ccc(CN[C@@H](CC(C)C)c2ccncc2)cc1 ZINC000647282362 1046965289 /nfs/dbraw/zinc/96/52/89/1046965289.db2.gz QWWGWFCWKDYDPD-FQEVSTJZSA-N 0 3 312.457 4.495 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1cccc(-c2ncccn2)c1 ZINC001140976091 1046967819 /nfs/dbraw/zinc/96/78/19/1046967819.db2.gz YCTYVEYJVXZSCW-MRXNPFEDSA-N 0 3 315.420 4.263 20 0 DIADHN C[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cn1)c1ccncc1 ZINC000680341791 1046968592 /nfs/dbraw/zinc/96/85/92/1046968592.db2.gz DOXVZUVCICXURA-YWZLYKJASA-N 0 3 321.399 4.098 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1cccc(-c2ncccn2)c1 ZINC001140980058 1046968961 /nfs/dbraw/zinc/96/89/61/1046968961.db2.gz LDNWZQXBSJRENN-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1cccc(-c2ncccn2)c1 ZINC001140976090 1046969033 /nfs/dbraw/zinc/96/90/33/1046969033.db2.gz YCTYVEYJVXZSCW-INIZCTEOSA-N 0 3 315.420 4.263 20 0 DIADHN CSc1cc(CN[C@@H](CC(C)C)c2ccncc2)ccn1 ZINC000647292192 1046969802 /nfs/dbraw/zinc/96/98/02/1046969802.db2.gz WLFKFLZQVMHWMA-INIZCTEOSA-N 0 3 301.459 4.076 20 0 DIADHN CCCCn1cc(CN[C@H](CC(C)C)c2ccncc2)c(C)n1 ZINC000647295172 1046970308 /nfs/dbraw/zinc/97/03/08/1046970308.db2.gz AUPZQROXGVMMBN-LJQANCHMSA-N 0 3 314.477 4.264 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NC1(c2ccccc2C)CC1 ZINC000647316367 1046974777 /nfs/dbraw/zinc/97/47/77/1046974777.db2.gz BTVYPXUIOIQMOX-GOSISDBHSA-N 0 3 311.400 4.409 20 0 DIADHN Cc1cc(CN2CCC3(CCCc4ccccc43)CC2)ccn1 ZINC001137408484 1046975077 /nfs/dbraw/zinc/97/50/77/1046975077.db2.gz JNODCRNAKVRVNJ-UHFFFAOYSA-N 0 3 306.453 4.260 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc(C(=O)N(C)C)cc2)CC1 ZINC000647316486 1046975508 /nfs/dbraw/zinc/97/55/08/1046975508.db2.gz MPAQYKDECWRJRY-MRXNPFEDSA-N 0 3 322.452 4.037 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCCOc1ccc(C)c(C)c1 ZINC000680379959 1046976102 /nfs/dbraw/zinc/97/61/02/1046976102.db2.gz BJFYZQWCKGNDKU-AWEZNQCLSA-N 0 3 302.418 4.028 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCSc1ccc(Cl)cc1 ZINC000680381000 1046976524 /nfs/dbraw/zinc/97/65/24/1046976524.db2.gz JNSJFTLCKSCNQS-SNVBAGLBSA-N 0 3 310.850 4.388 20 0 DIADHN Cc1cc(CN[C@H](C)C(c2ccccc2)c2ccccc2)nn1C ZINC000680388970 1046977322 /nfs/dbraw/zinc/97/73/22/1046977322.db2.gz COWYFZFDSXVHQA-QGZVFWFLSA-N 0 3 319.452 4.039 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCSc1ccc(Cl)cc1 ZINC000680380995 1046977406 /nfs/dbraw/zinc/97/74/06/1046977406.db2.gz JNSJFTLCKSCNQS-JTQLQIEISA-N 0 3 310.850 4.388 20 0 DIADHN CC[C@@H](NCCOc1cc(C)cc(C)c1)c1c(C)noc1C ZINC000680403536 1046980399 /nfs/dbraw/zinc/98/03/99/1046980399.db2.gz JXTBPPBGKYJDHS-QGZVFWFLSA-N 0 3 302.418 4.028 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccnc(C)c2)CC1 ZINC001137411574 1046983166 /nfs/dbraw/zinc/98/31/66/1046983166.db2.gz FLTWIMUKFNXYSA-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3cccc(O)c3n2)cc1C ZINC001141023972 1046983542 /nfs/dbraw/zinc/98/35/42/1046983542.db2.gz AWTVOTIFXBTANN-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN c1ccc(CCCCN[C@@H](c2ccccc2)c2cncnc2)cc1 ZINC000680460720 1046984958 /nfs/dbraw/zinc/98/49/58/1046984958.db2.gz WBOFZFHOQIUFES-NRFANRHFSA-N 0 3 317.436 4.179 20 0 DIADHN CC[C@@H](NCCc1ccc(-c2ccccc2)cc1)c1ccn(C)n1 ZINC000680467555 1046985827 /nfs/dbraw/zinc/98/58/27/1046985827.db2.gz QDAODZBTWMETMD-HXUWFJFHSA-N 0 3 319.452 4.371 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4cc(C)no4)CC3)c[nH]c21 ZINC000615101673 1046986328 /nfs/dbraw/zinc/98/63/28/1046986328.db2.gz VLWXPZISBAQWED-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccn3ccnc3c2)cc1 ZINC001141046379 1046990660 /nfs/dbraw/zinc/99/06/60/1046990660.db2.gz YEBZMDSJXQPUHF-LJQANCHMSA-N 0 3 321.424 4.070 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CC[C@@H](c2ccccn2)C1 ZINC000798965746 1046995271 /nfs/dbraw/zinc/99/52/71/1046995271.db2.gz YLFAFDSLKJVGJK-CQSZACIVSA-N 0 3 318.823 4.296 20 0 DIADHN COc1ccnc(CN2CCC[C@@H](CCCc3ccccc3)C2)c1 ZINC001168276095 1046996248 /nfs/dbraw/zinc/99/62/48/1046996248.db2.gz QQURPLWUTPSEQF-LJQANCHMSA-N 0 3 324.468 4.325 20 0 DIADHN COc1ccc2nc(Cl)c(CN3C[C@@H]4CCC[C@H]4C3)cc2c1 ZINC001141062714 1046997275 /nfs/dbraw/zinc/99/72/75/1046997275.db2.gz PVOPMFGNCUEBGC-STQMWFEESA-N 0 3 316.832 4.129 20 0 DIADHN CCCCc1nc(CN2CCC[C@H]2Cc2ccc(F)cc2)c[nH]1 ZINC001141075072 1046998088 /nfs/dbraw/zinc/99/80/88/1046998088.db2.gz XPZQLWZYKAUJOU-SFHVURJKSA-N 0 3 315.436 4.099 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1C2CCC1CC2 ZINC001141079760 1046999926 /nfs/dbraw/zinc/99/99/26/1046999926.db2.gz KAOWSEURWHQJTQ-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN Cc1cc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)ccn1 ZINC001137419933 1047000514 /nfs/dbraw/zinc/00/05/14/1047000514.db2.gz NJDUJBJFUDTHIQ-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN CCN(Cc1ccc(N)nc1)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000647538350 1047007218 /nfs/dbraw/zinc/00/72/18/1047007218.db2.gz NWDBVNBCGLMNLB-GFCCVEGCSA-N 0 3 323.362 4.096 20 0 DIADHN Cc1ccc(-c2cc(CN3CC[C@H](c4ccccn4)C3)on2)cc1 ZINC000799191127 1047009506 /nfs/dbraw/zinc/00/95/06/1047009506.db2.gz WXVGJFFPABCWKY-KRWDZBQOSA-N 0 3 319.408 4.035 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](c2ccccn2)C1 ZINC000799196321 1047011249 /nfs/dbraw/zinc/01/12/49/1047011249.db2.gz MNJPONKRONARRV-TZMCWYRMSA-N 0 3 304.796 4.425 20 0 DIADHN CC(C)(C)c1cccc(CN2CCC(Oc3ccncc3)CC2)c1 ZINC001141102940 1047012391 /nfs/dbraw/zinc/01/23/91/1047012391.db2.gz VNBNYROVWBTOLX-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN CC(C)c1nc(CCN(C)Cc2cccc(C(C)(C)C)c2)no1 ZINC001141102761 1047012474 /nfs/dbraw/zinc/01/24/74/1047012474.db2.gz NDDLWTOZIIDDGF-UHFFFAOYSA-N 0 3 315.461 4.165 20 0 DIADHN C[C@@H](NCc1ccc(OC2CCCC2)nc1)c1cccs1 ZINC000162630130 1047013652 /nfs/dbraw/zinc/01/36/52/1047013652.db2.gz QWKSTKDEUBEDPC-CYBMUJFWSA-N 0 3 302.443 4.315 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@@H](C)c1ccccc1F)CC2 ZINC000533767003 1047013757 /nfs/dbraw/zinc/01/37/57/1047013757.db2.gz MKLGZPZQXKRORQ-AWEZNQCLSA-N 0 3 311.400 4.190 20 0 DIADHN c1ccc2c(c1)CC[C@H]2NCc1ccc(OC2CCCC2)nc1 ZINC000162623361 1047014304 /nfs/dbraw/zinc/01/43/04/1047014304.db2.gz WDXSTMMGFGBKQC-LJQANCHMSA-N 0 3 308.425 4.180 20 0 DIADHN CC(C)(C)c1cccc(CN(CCO)Cc2cccs2)c1 ZINC001141103905 1047016095 /nfs/dbraw/zinc/01/60/95/1047016095.db2.gz LSKXAHGTOPUUND-UHFFFAOYSA-N 0 3 303.471 4.040 20 0 DIADHN Cc1ccc(NC(=O)C2=CCC(C)(C)CC2)c(CN(C)C)c1 ZINC000455673539 1047017721 /nfs/dbraw/zinc/01/77/21/1047017721.db2.gz XRWXRCZUDVMUBB-UHFFFAOYSA-N 0 3 300.446 4.132 20 0 DIADHN Cn1c(Cl)cnc1CNC[C@@H]1CCC(C)(C)c2ccccc21 ZINC000799340917 1047020312 /nfs/dbraw/zinc/02/03/12/1047020312.db2.gz NJVFQRMIZSWUCL-ZDUSSCGKSA-N 0 3 317.864 4.018 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2F)s1)c1cn[nH]c1 ZINC000533802021 1047023180 /nfs/dbraw/zinc/02/31/80/1047023180.db2.gz DTKLRONBEYUXNH-LLVKDONJSA-N 0 3 301.390 4.128 20 0 DIADHN c1c(CN2CCC[C@H](c3ccccn3)C2)onc1-c1ccccc1 ZINC000647950101 1047025498 /nfs/dbraw/zinc/02/54/98/1047025498.db2.gz OKBCDFVHBFUUGK-KRWDZBQOSA-N 0 3 319.408 4.116 20 0 DIADHN CCOc1c(Cl)cccc1CN1CC2(C[C@H]1C)CCOCC2 ZINC000648070637 1047033358 /nfs/dbraw/zinc/03/33/58/1047033358.db2.gz FBUCSPWZSDGWSZ-CQSZACIVSA-N 0 3 323.864 4.130 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCCC[C@H]1C1CCC1 ZINC000648077653 1047037344 /nfs/dbraw/zinc/03/73/44/1047037344.db2.gz ARHVNAKGUQFVML-JKSUJKDBSA-N 0 3 306.475 4.149 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)C2CCOCC2)c(F)c1 ZINC001141196247 1047041089 /nfs/dbraw/zinc/04/10/89/1047041089.db2.gz NLCZUTSNVRTYCE-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cccc(-c3ccc(F)cc3)n2)C1 ZINC001141206323 1047046875 /nfs/dbraw/zinc/04/68/75/1047046875.db2.gz WRLFHQYJARNNIG-SFHVURJKSA-N 0 3 302.368 4.069 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H](CC)COCC1CC1 ZINC001203104505 1047047243 /nfs/dbraw/zinc/04/72/43/1047047243.db2.gz FCYVOYTVQFNSFA-OAHLLOKOSA-N 0 3 311.853 4.034 20 0 DIADHN CC1CN(Cc2ccc(-c3ccc(C(F)(F)F)cc3)nc2)C1 ZINC001141216131 1047049150 /nfs/dbraw/zinc/04/91/50/1047049150.db2.gz HOANYEKIYVFSJG-UHFFFAOYSA-N 0 3 306.331 4.219 20 0 DIADHN CCc1ccc(CNCc2cc3cc(Cl)cnc3o2)s1 ZINC000648421105 1047052187 /nfs/dbraw/zinc/05/21/87/1047052187.db2.gz HFXLEZBLMFFAJA-UHFFFAOYSA-N 0 3 306.818 4.395 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1nn(C)c2ccccc12 ZINC000680885699 1047061428 /nfs/dbraw/zinc/06/14/28/1047061428.db2.gz XUCGGRRQQVTIJG-QVKFZJNVSA-N 0 3 319.452 4.377 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1Cc1cccc(-n2ccnc2)c1 ZINC001141243081 1047062233 /nfs/dbraw/zinc/06/22/33/1047062233.db2.gz VAXJMTDUPFFJAB-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN COc1ccc(CN2CCCCC[C@@H]2c2ccco2)cc1OC ZINC000536670462 1047064176 /nfs/dbraw/zinc/06/41/76/1047064176.db2.gz RCYCMJRJBVBYJY-MRXNPFEDSA-N 0 3 315.413 4.414 20 0 DIADHN COc1ccc(CN2CCCCC[C@H]2c2ccco2)cc1OC ZINC000536670461 1047064713 /nfs/dbraw/zinc/06/47/13/1047064713.db2.gz RCYCMJRJBVBYJY-INIZCTEOSA-N 0 3 315.413 4.414 20 0 DIADHN FC(F)(F)C[C@H]1CCN(Cc2cc3cc(Cl)cnc3o2)C1 ZINC000648560123 1047067586 /nfs/dbraw/zinc/06/75/86/1047067586.db2.gz YLXXMERJSWBGEN-SECBINFHSA-N 0 3 318.726 4.256 20 0 DIADHN Cc1cc(-c2cccc(Cl)c2)ccc1NC(=O)[C@@H](N)C(C)C ZINC000837308872 1047068206 /nfs/dbraw/zinc/06/82/06/1047068206.db2.gz ZZQDTPVTGWCTOA-KRWDZBQOSA-N 0 3 316.832 4.237 20 0 DIADHN CCc1ncc(CN(C)[C@@H](C)Cc2ccc(Cl)cc2)s1 ZINC000536680446 1047070194 /nfs/dbraw/zinc/07/01/94/1047070194.db2.gz PVRWNYGCZYJRKX-LBPRGKRZSA-N 0 3 308.878 4.422 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccc(Cl)cc3)o2)CCCO1 ZINC000536683164 1047071704 /nfs/dbraw/zinc/07/17/04/1047071704.db2.gz DWIDCZPHJPFIJJ-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CC1=Cc2ccccc2OC1 ZINC000536708701 1047085460 /nfs/dbraw/zinc/08/54/60/1047085460.db2.gz OMFUZYZZLZACDD-LJQANCHMSA-N 0 3 308.425 4.028 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141314782 1047085378 /nfs/dbraw/zinc/08/53/78/1047085378.db2.gz CUODZJFUIDHJHF-IBGZPJMESA-N 0 3 315.388 4.229 20 0 DIADHN C[C@H]1CN(Cc2ccc(Oc3ccc(F)cc3)cc2)CCCO1 ZINC001141314896 1047087053 /nfs/dbraw/zinc/08/70/53/1047087053.db2.gz HVIFGAZHICZDRV-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(c2ccccn2)CC1 ZINC000681080450 1047088598 /nfs/dbraw/zinc/08/85/98/1047088598.db2.gz CQYKSYBTTGMXMA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)c(C)s1 ZINC000648791726 1047090205 /nfs/dbraw/zinc/09/02/05/1047090205.db2.gz BDNUQECKTBVCLF-ZLKJLUDKSA-N 0 3 322.499 4.392 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000536743578 1047090128 /nfs/dbraw/zinc/09/01/28/1047090128.db2.gz JEDRZTWHFQSZMX-RISCZKNCSA-N 0 3 305.809 4.153 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1c3ccccc3CC[C@H]1C)CCO2 ZINC000681056752 1047090499 /nfs/dbraw/zinc/09/04/99/1047090499.db2.gz DNMBXIOAGHHRAT-XWRIVVANSA-N 0 3 323.436 4.432 20 0 DIADHN COc1ccsc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001141327307 1047092239 /nfs/dbraw/zinc/09/22/39/1047092239.db2.gz OYTUSHCVIUSCNX-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN COc1ccsc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001141326846 1047092664 /nfs/dbraw/zinc/09/26/64/1047092664.db2.gz RZNFWPOOLRHEBQ-UHFFFAOYSA-N 0 3 319.445 4.351 20 0 DIADHN CC[C@H](NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000681108664 1047096450 /nfs/dbraw/zinc/09/64/50/1047096450.db2.gz KDHYZLFDKGLWLH-INIZCTEOSA-N 0 3 302.443 4.000 20 0 DIADHN COCc1cnc(CNC(C)(C)Cc2ccccc2Cl)s1 ZINC000536754417 1047096402 /nfs/dbraw/zinc/09/64/02/1047096402.db2.gz ABAJJMGDHZHKSN-UHFFFAOYSA-N 0 3 324.877 4.054 20 0 DIADHN COC[C@@H]1CCCN(Cc2cnc(C3CCCCC3)s2)CC1 ZINC000648867098 1047096787 /nfs/dbraw/zinc/09/67/87/1047096787.db2.gz HLCDABCLLKIQFA-OAHLLOKOSA-N 0 3 322.518 4.439 20 0 DIADHN Cc1nc(CCN[C@@H](c2ccccc2)c2ccc(F)cc2)c(C)o1 ZINC000536770803 1047099747 /nfs/dbraw/zinc/09/97/47/1047099747.db2.gz GDIXHYPFEQKRJK-FQEVSTJZSA-N 0 3 324.399 4.352 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(c2cccc(F)c2F)CC1 ZINC000681168409 1047104441 /nfs/dbraw/zinc/10/44/41/1047104441.db2.gz FPTJWXRIPJLHMA-CYBMUJFWSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@@H](CO)CC(F)(F)F)CCC2 ZINC000536841870 1047108745 /nfs/dbraw/zinc/10/87/45/1047108745.db2.gz WQYHVFFWRCVZMC-ZBFHGGJFSA-N 0 3 315.379 4.090 20 0 DIADHN COc1cc(F)c([C@@H](C)N[C@H]2CCCC(F)(F)C2)cc1OC ZINC000536851561 1047111729 /nfs/dbraw/zinc/11/17/29/1047111729.db2.gz KTNDDSVRNVJBGY-MNOVXSKESA-N 0 3 317.351 4.071 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2)o1 ZINC000536850915 1047111824 /nfs/dbraw/zinc/11/18/24/1047111824.db2.gz HHLPMJNDJSUPPP-QWHCGFSZSA-N 0 3 322.811 4.310 20 0 DIADHN CC[C@@H](COC)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000536856963 1047112894 /nfs/dbraw/zinc/11/28/94/1047112894.db2.gz IDQCBPPHPITYNB-KRWDZBQOSA-N 0 3 305.368 4.069 20 0 DIADHN CN(C)c1ccccc1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC000536857988 1047113417 /nfs/dbraw/zinc/11/34/17/1047113417.db2.gz NVTBUAOBJRKKOG-QGZVFWFLSA-N 0 3 300.833 4.183 20 0 DIADHN O=c1[nH]ccc2c1CCN(Cc1cccc(-c3ccsc3)c1)C2 ZINC001141386780 1047114042 /nfs/dbraw/zinc/11/40/42/1047114042.db2.gz WJIYVQHRKOUQOG-UHFFFAOYSA-N 0 3 322.433 4.074 20 0 DIADHN C[C@H](CC1CC1)N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000536861572 1047115425 /nfs/dbraw/zinc/11/54/25/1047115425.db2.gz DJUASAYWDZIHFW-BEFAXECRSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1cnc2ccccc2c1N1CCO[C@H](c2ccccc2)C1 ZINC001116177577 1047115454 /nfs/dbraw/zinc/11/54/54/1047115454.db2.gz ORRLRYATELMILC-IBGZPJMESA-N 0 3 304.393 4.121 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC001116161599 1047115898 /nfs/dbraw/zinc/11/58/98/1047115898.db2.gz QPVQQVLLGNPMLJ-WDEREUQCSA-N 0 3 305.274 4.104 20 0 DIADHN Cc1c([C@@H](C)NCCCC(C)(C)C)nnn1-c1ccccc1 ZINC000536879945 1047120119 /nfs/dbraw/zinc/12/01/19/1047120119.db2.gz VKUMMEPWNYVRMP-CQSZACIVSA-N 0 3 300.450 4.053 20 0 DIADHN CCN(CC)c1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1 ZINC001212970834 1047125547 /nfs/dbraw/zinc/12/55/47/1047125547.db2.gz MGMVQKBDXZYUSS-UHFFFAOYSA-N 0 3 309.457 4.264 20 0 DIADHN C[C@H](c1ccccc1Br)N1CC[C@@H]2OCCC[C@H]2C1 ZINC001116302786 1047127023 /nfs/dbraw/zinc/12/70/23/1047127023.db2.gz HGIGMYYFYLIIGV-WWGRRREGSA-N 0 3 324.262 4.011 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@H]2CCOc3ccccc32)cs1 ZINC000681495364 1047128430 /nfs/dbraw/zinc/12/84/30/1047128430.db2.gz YGQFEJBKDZTKIP-RHSMWYFYSA-N 0 3 314.454 4.155 20 0 DIADHN C[C@H](c1ccccc1Br)N1CC[C@H]2OCCC[C@H]2C1 ZINC001116302784 1047129426 /nfs/dbraw/zinc/12/94/26/1047129426.db2.gz HGIGMYYFYLIIGV-DVOMOZLQSA-N 0 3 324.262 4.011 20 0 DIADHN COC(=O)c1ccc([C@H](C)N(C)CCCCc2ccccc2)o1 ZINC000537038252 1047131839 /nfs/dbraw/zinc/13/18/39/1047131839.db2.gz ZFRVHYJJCLQJHE-HNNXBMFYSA-N 0 3 315.413 4.082 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N(C)CCCCc2ccccc2)o1 ZINC000537038253 1047132119 /nfs/dbraw/zinc/13/21/19/1047132119.db2.gz ZFRVHYJJCLQJHE-OAHLLOKOSA-N 0 3 315.413 4.082 20 0 DIADHN CC(C)=CCN1CC[C@H](Oc2ccc(Cl)c(Cl)c2)C1 ZINC001459763859 1047132641 /nfs/dbraw/zinc/13/26/41/1047132641.db2.gz VFVMOUVZWPZDRN-ZDUSSCGKSA-N 0 3 300.229 4.413 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)N[C@@H](C)c1ccccc1)C(C)C ZINC000537059978 1047133994 /nfs/dbraw/zinc/13/39/94/1047133994.db2.gz IQJHFUQUDHCNDU-LAUBAEHRSA-N 0 3 324.468 4.159 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)cc1C)c1ccc(C)cc1 ZINC000537054215 1047134603 /nfs/dbraw/zinc/13/46/03/1047134603.db2.gz WKEUPIPRMUYLMQ-SFHVURJKSA-N 0 3 310.441 4.291 20 0 DIADHN O=C(Nc1ccccc1Cl)C(C[C@@H]1CCCO1)C(F)(F)F ZINC000649476568 1047136349 /nfs/dbraw/zinc/13/63/49/1047136349.db2.gz KNKGLEBIQRFIEN-VHSXEESVSA-N 0 3 321.726 4.026 20 0 DIADHN CCN(CCO)Cc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001116434116 1047140499 /nfs/dbraw/zinc/14/04/99/1047140499.db2.gz QSGHGRBJZHBOFD-UHFFFAOYSA-N 0 3 314.212 4.068 20 0 DIADHN CC(C)(C)NC(=O)CN[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000537132557 1047141647 /nfs/dbraw/zinc/14/16/47/1047141647.db2.gz HHNOLDIHVJDINE-QGZVFWFLSA-N 0 3 322.880 4.076 20 0 DIADHN CCOc1ccc2c(c1)CN(Cc1cccc3cc[nH]c31)CC2 ZINC001116443748 1047141951 /nfs/dbraw/zinc/14/19/51/1047141951.db2.gz FYIDQYDHVCXECT-UHFFFAOYSA-N 0 3 306.409 4.125 20 0 DIADHN Cc1cccc(CN2CCC(C(=O)c3cccs3)CC2)c1C ZINC001116442919 1047142040 /nfs/dbraw/zinc/14/20/40/1047142040.db2.gz XFXCOLZJKFXXSG-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN Cc1cncc(CCN2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000649559895 1047143028 /nfs/dbraw/zinc/14/30/28/1047143028.db2.gz WKQMUVAYWDFICR-FQEVSTJZSA-N 0 3 320.440 4.036 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(Cc2cccnc2)CC1 ZINC001116453864 1047143948 /nfs/dbraw/zinc/14/39/48/1047143948.db2.gz YXCKOQDMXXHLMU-UHFFFAOYSA-N 0 3 317.436 4.397 20 0 DIADHN COCc1ccc(CN2C[C@@H]3CCCC[C@]32c2ccccc2)o1 ZINC001116449070 1047144000 /nfs/dbraw/zinc/14/40/00/1047144000.db2.gz JQINYOSJFCZWQX-PXNSSMCTSA-N 0 3 311.425 4.327 20 0 DIADHN CC[C@H](OCCN1CCC[C@H]1c1ccncc1)c1ccccc1 ZINC000649569718 1047144890 /nfs/dbraw/zinc/14/48/90/1047144890.db2.gz XZBMVWYMYYUCQB-PMACEKPBSA-N 0 3 310.441 4.386 20 0 DIADHN CC[C@H](OCCN1CCC[C@@H]1c1ccncc1)c1ccccc1 ZINC000649569719 1047145154 /nfs/dbraw/zinc/14/51/54/1047145154.db2.gz XZBMVWYMYYUCQB-UXHICEINSA-N 0 3 310.441 4.386 20 0 DIADHN CC[C@H](OCCN(CC)CCc1nccs1)c1ccccc1 ZINC000649567249 1047145286 /nfs/dbraw/zinc/14/52/86/1047145286.db2.gz JCMDQDKUHJTSEZ-KRWDZBQOSA-N 0 3 318.486 4.175 20 0 DIADHN CC[C@H](OCCN1CCC[C@@H]1c1cccnc1)c1ccccc1 ZINC000649569279 1047145605 /nfs/dbraw/zinc/14/56/05/1047145605.db2.gz GDSZQQVPPANIFN-UXHICEINSA-N 0 3 310.441 4.386 20 0 DIADHN CC[C@H](N[C@@H](C)C[C@@H](O)c1ccco1)c1ccc(F)cc1F ZINC000305699127 1047148373 /nfs/dbraw/zinc/14/83/73/1047148373.db2.gz CPFZLEDXHOJRNB-KNXALSJPSA-N 0 3 309.356 4.111 20 0 DIADHN CC[C@H](OCCN[C@H](C)c1cncc(F)c1)c1ccccc1 ZINC000649582357 1047149287 /nfs/dbraw/zinc/14/92/87/1047149287.db2.gz BETGXRHITHKUIL-KDOFPFPSSA-N 0 3 302.393 4.039 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](c2cccs2)C2CC2)s1 ZINC000537212956 1047150168 /nfs/dbraw/zinc/15/01/68/1047150168.db2.gz BRMMJUUWNVGKLC-HNNXBMFYSA-N 0 3 321.515 4.292 20 0 DIADHN Cc1ccccc1CN(CCC[C@@H]1CCOC1)Cc1ccccn1 ZINC000649619974 1047154012 /nfs/dbraw/zinc/15/40/12/1047154012.db2.gz GRNYTDZMGNMSEW-LJQANCHMSA-N 0 3 324.468 4.209 20 0 DIADHN CSc1ncc(CN(C(C)C)C(C)C)c(NC2CCCC2)n1 ZINC001137479843 1047154498 /nfs/dbraw/zinc/15/44/98/1047154498.db2.gz LJZPKRJGEGJDRO-UHFFFAOYSA-N 0 3 322.522 4.172 20 0 DIADHN Cc1cnc([C@H](C)N2CCC3(CCc4ccccc4C3)CC2)cn1 ZINC000649647625 1047157156 /nfs/dbraw/zinc/15/71/56/1047157156.db2.gz UDWJPPHMMNRTGL-KRWDZBQOSA-N 0 3 321.468 4.117 20 0 DIADHN COc1ccc(C2CCN(CCCCC(F)(F)F)CC2)nc1 ZINC000649651445 1047158899 /nfs/dbraw/zinc/15/88/99/1047158899.db2.gz LOXDEULNZHZTHS-UHFFFAOYSA-N 0 3 316.367 4.002 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cccc2c1OC(C)(C)C2 ZINC000681984804 1047159094 /nfs/dbraw/zinc/15/90/94/1047159094.db2.gz GXAAESADGYSHPO-UHFFFAOYSA-N 0 3 301.352 4.221 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H]1CC[C@H](CC)CC1 ZINC001141520744 1047159995 /nfs/dbraw/zinc/15/99/95/1047159995.db2.gz ZBTHIRJGERDSJY-SHTZXODSSA-N 0 3 317.477 4.259 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H](OC)c2ccccc2F)o1 ZINC000537305096 1047162470 /nfs/dbraw/zinc/16/24/70/1047162470.db2.gz BTNNJBZLZWNVIZ-VEVIJQCQSA-N 0 3 305.393 4.408 20 0 DIADHN CCCN(Cc1ccc(C)nc1Cl)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000838856645 1047165635 /nfs/dbraw/zinc/16/56/35/1047165635.db2.gz ZTYAZEIJYKBFHM-OAGGEKHMSA-N 0 3 322.880 4.069 20 0 DIADHN COCCC1(CNCc2csc(C(C)C)n2)CCCCC1 ZINC001116672634 1047166725 /nfs/dbraw/zinc/16/67/25/1047166725.db2.gz FOOVCUQZIZMJKY-UHFFFAOYSA-N 0 3 310.507 4.343 20 0 DIADHN C[C@H](CCO)C1(CNCc2c(Cl)cccc2Cl)CCC1 ZINC000537344649 1047173370 /nfs/dbraw/zinc/17/33/70/1047173370.db2.gz RLKYIGXGHSWBAB-GFCCVEGCSA-N 0 3 316.272 4.272 20 0 DIADHN CC(C)O[C@@H](CN1CCC2(CC2(F)F)CC1)c1ccccc1 ZINC000649772971 1047174723 /nfs/dbraw/zinc/17/47/23/1047174723.db2.gz DUVOAHOMEORDLD-INIZCTEOSA-N 0 3 309.400 4.274 20 0 DIADHN CC(C)[C@H](NC[C@](C)(O)C(F)(F)F)c1cc2ccccc2o1 ZINC000537359156 1047176372 /nfs/dbraw/zinc/17/63/72/1047176372.db2.gz VIPNSOUAGSWNRQ-GJZGRUSLSA-N 0 3 315.335 4.033 20 0 DIADHN CC(C)CCCN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000682152015 1047177934 /nfs/dbraw/zinc/17/79/34/1047177934.db2.gz MXWXTYVTXYXPPJ-PHIMTYICSA-N 0 3 305.306 4.485 20 0 DIADHN CCOc1ccc(-c2nc(CNC3(C4CCC4)CC3)co2)cc1 ZINC000649810651 1047178461 /nfs/dbraw/zinc/17/84/61/1047178461.db2.gz UBIIUSFKGYJBRJ-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN CCC(CC)(CC)CNC(=O)c1cccc(CN2CCCC2)c1 ZINC001137487049 1047180605 /nfs/dbraw/zinc/18/06/05/1047180605.db2.gz BTLFSBUMYHUUTH-UHFFFAOYSA-N 0 3 316.489 4.229 20 0 DIADHN C[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1ccc2c(c1)CCC2 ZINC000537452151 1047189800 /nfs/dbraw/zinc/18/98/00/1047189800.db2.gz ODYRAMARSWRANN-AWEZNQCLSA-N 0 3 321.420 4.244 20 0 DIADHN CC(C)(C)OCCCN1CCC[C@@H]2O[C@H](c3ccccc3)C[C@@H]21 ZINC000649917483 1047190516 /nfs/dbraw/zinc/19/05/16/1047190516.db2.gz PKCPOUMDQZWNIW-FHWLQOOXSA-N 0 3 317.473 4.186 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1n[nH]c2ccccc12 ZINC000682419735 1047194280 /nfs/dbraw/zinc/19/42/80/1047194280.db2.gz UKBGQSOLFAQMEN-UHFFFAOYSA-N 0 3 319.330 4.180 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1[nH]nc2ccccc21 ZINC000682419735 1047194286 /nfs/dbraw/zinc/19/42/86/1047194286.db2.gz UKBGQSOLFAQMEN-UHFFFAOYSA-N 0 3 319.330 4.180 20 0 DIADHN CCOC(CN1CC2(CCCC2)[C@H]1c1ccccc1)OCC ZINC000839127216 1047197172 /nfs/dbraw/zinc/19/71/72/1047197172.db2.gz QKGLGSKOBVGCRA-GOSISDBHSA-N 0 3 303.446 4.003 20 0 DIADHN Clc1ccc2c(CN3CCC4(CCCOC4)CC3)c[nH]c2c1 ZINC001141591065 1047207814 /nfs/dbraw/zinc/20/78/14/1047207814.db2.gz OGXDYJDTNQVZNR-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H](c2ccnn2C)C(C)C)cs1 ZINC000682644904 1047209021 /nfs/dbraw/zinc/20/90/21/1047209021.db2.gz DVPWKHOPOLCQGD-KGLIPLIRSA-N 0 3 320.506 4.090 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H](c2ccnn2C)C(C)C)cs1 ZINC000682644903 1047209528 /nfs/dbraw/zinc/20/95/28/1047209528.db2.gz DVPWKHOPOLCQGD-KBPBESRZSA-N 0 3 320.506 4.090 20 0 DIADHN Brc1ccc2cc(CN3CCSCC3)ccc2c1 ZINC001141614537 1047226271 /nfs/dbraw/zinc/22/62/71/1047226271.db2.gz ZISHPCDOIFJILB-UHFFFAOYSA-N 0 3 322.271 4.151 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cc2cccnc2cc1F ZINC001141631202 1047238439 /nfs/dbraw/zinc/23/84/39/1047238439.db2.gz LJSSLLYXMOYZJK-UHFFFAOYSA-N 0 3 312.363 4.188 20 0 DIADHN c1cc(CN2CCC(c3nc4ccccc4o3)CC2)n(C2CC2)c1 ZINC001117743796 1047239174 /nfs/dbraw/zinc/23/91/74/1047239174.db2.gz LKRSKNRFTCIFPH-UHFFFAOYSA-N 0 3 321.424 4.344 20 0 DIADHN Clc1ccc(CN2CCC[C@@H]2C2CCOCC2)c(Cl)c1 ZINC000537466690 1047240489 /nfs/dbraw/zinc/24/04/89/1047240489.db2.gz GKZOLGFXYPSEOK-MRXNPFEDSA-N 0 3 314.256 4.384 20 0 DIADHN Cc1sccc1CNCc1cnc(-c2ccccc2)s1 ZINC001117759106 1047242370 /nfs/dbraw/zinc/24/23/70/1047242370.db2.gz VOQIISNSQSYQJE-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@@](C)(CO)C1 ZINC001117759969 1047243460 /nfs/dbraw/zinc/24/34/60/1047243460.db2.gz IBJSHKHFHSTBNC-QGZVFWFLSA-N 0 3 323.889 4.458 20 0 DIADHN CC[C@H](NCC[C@@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000839542983 1047245011 /nfs/dbraw/zinc/24/50/11/1047245011.db2.gz YBAWOOHDNDQVHG-ZWKOTPCHSA-N 0 3 305.368 4.129 20 0 DIADHN Fc1ccc2nc(CN3CC[C@@H](Oc4ccccc4)C3)ccc2c1 ZINC001141646171 1047245704 /nfs/dbraw/zinc/24/57/04/1047245704.db2.gz HGKLLKGKJQWFRC-LJQANCHMSA-N 0 3 322.383 4.027 20 0 DIADHN C[C@@H](NCC[C@@H](O)c1ccccc1)c1nc2ccccc2s1 ZINC000839551507 1047246346 /nfs/dbraw/zinc/24/63/46/1047246346.db2.gz DZFVCCPZDGOUTR-CZUORRHYSA-N 0 3 312.438 4.071 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc(Cl)cs2)c1 ZINC000760488619 1047246836 /nfs/dbraw/zinc/24/68/36/1047246836.db2.gz TVSKREOQWSKLMK-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1ccnc(CN2CCC[C@H]2Cc2ccccc2Cl)c1 ZINC000683003842 1047251250 /nfs/dbraw/zinc/25/12/50/1047251250.db2.gz GUJDAJDCYDHIOC-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CN(C)c1cccc(CN2CC[C@H](C(F)(F)F)C(C)(C)C2)c1 ZINC000683036812 1047258767 /nfs/dbraw/zinc/25/87/67/1047258767.db2.gz YYISOXWMRZMZJN-HNNXBMFYSA-N 0 3 314.395 4.163 20 0 DIADHN CCn1ccc(CN(C)[C@@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000683029716 1047259288 /nfs/dbraw/zinc/25/92/88/1047259288.db2.gz POVJIWGEVORUGT-ZDUSSCGKSA-N 0 3 308.372 4.302 20 0 DIADHN CC(C)c1cnc(CNCC[C@H]2CCc3ccccc3N2)s1 ZINC000650719961 1047276357 /nfs/dbraw/zinc/27/63/57/1047276357.db2.gz AUXVDNIPVRORIN-OAHLLOKOSA-N 0 3 315.486 4.173 20 0 DIADHN CN(Cn1cccnc1=S)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000840179555 1047291321 /nfs/dbraw/zinc/29/13/21/1047291321.db2.gz CRBNHSWRVIKCQE-IAGOWNOFSA-N 0 3 313.470 4.228 20 0 DIADHN COCc1cc(CN[C@H]2CCC[C@H](c3ccccc3)CC2)no1 ZINC000897833329 1047291761 /nfs/dbraw/zinc/29/17/61/1047291761.db2.gz FMMJHMPEDWYFBD-IRXDYDNUSA-N 0 3 314.429 4.027 20 0 DIADHN CC(C)n1ncnc1CN[C@@H]1CCC[C@H](c2ccccc2)CC1 ZINC000897833598 1047292074 /nfs/dbraw/zinc/29/20/74/1047292074.db2.gz QHJKMIXNRFXOSM-ZWKOTPCHSA-N 0 3 312.461 4.065 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccc(OC)cc21)c1ccccc1OC ZINC000390028286 1047292460 /nfs/dbraw/zinc/29/24/60/1047292460.db2.gz CEIIHRNIKBRLPY-OALUTQOASA-N 0 3 311.425 4.432 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@@H](C)NCc1cnc[nH]1 ZINC000796448634 1047293678 /nfs/dbraw/zinc/29/36/78/1047293678.db2.gz GEPJBNATUXBMGI-GFCCVEGCSA-N 0 3 312.442 4.001 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1CN(C)C)c1ccc(Cl)cc1 ZINC000651090789 1047294955 /nfs/dbraw/zinc/29/49/55/1047294955.db2.gz FFUZWODWBRSHAZ-ZDUSSCGKSA-N 0 3 316.832 4.144 20 0 DIADHN O[C@H](CN1CC=C(c2cccc(Cl)c2)CC1)c1ccccc1 ZINC000796456098 1047296924 /nfs/dbraw/zinc/29/69/24/1047296924.db2.gz BKMFSKOHCWBOPG-LJQANCHMSA-N 0 3 313.828 4.163 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)cc1F ZINC000390484534 1047297289 /nfs/dbraw/zinc/29/72/89/1047297289.db2.gz KJXKDNNXLDRVCE-CQSZACIVSA-N 0 3 301.405 4.212 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cnn(-c2ccccc2)c1 ZINC000391527381 1047303139 /nfs/dbraw/zinc/30/31/39/1047303139.db2.gz ZUHDMUBKRQADPT-FOIQADDNSA-N 0 3 303.409 4.210 20 0 DIADHN CCc1ccc(C(=O)Nc2ccccc2CN(C)C)cc1CC ZINC001118796864 1047310797 /nfs/dbraw/zinc/31/07/97/1047310797.db2.gz CJYUPWYJVRCKPM-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN CCc1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@H]2C)cc1C ZINC000537485432 1047312802 /nfs/dbraw/zinc/31/28/02/1047312802.db2.gz BTWNFVRSXXYSPF-DYESRHJHSA-N 0 3 323.484 4.275 20 0 DIADHN ClC1(Cl)C[C@@H]1CCCNCc1nc(-c2ccccc2)c[nH]1 ZINC001118883571 1047315063 /nfs/dbraw/zinc/31/50/63/1047315063.db2.gz AHCDCYRVPCOJGH-ZDUSSCGKSA-N 0 3 324.255 4.140 20 0 DIADHN ClC1(Cl)C[C@H]1CCCNCc1nc(-c2ccccc2)c[nH]1 ZINC001118883570 1047315626 /nfs/dbraw/zinc/31/56/26/1047315626.db2.gz AHCDCYRVPCOJGH-CYBMUJFWSA-N 0 3 324.255 4.140 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725814921 1047316338 /nfs/dbraw/zinc/31/63/38/1047316338.db2.gz XGDWHJFFHDPSSS-DOTOQJQBSA-N 0 3 318.461 4.079 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cccc3c2OCO3)C1 ZINC001141956618 1047317241 /nfs/dbraw/zinc/31/72/41/1047317241.db2.gz ROGXDUVPFGGZQQ-ZDUSSCGKSA-N 0 3 315.800 4.058 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H]1CCO[C@H](C(C)(C)C)C1)CCC2 ZINC001119002591 1047321081 /nfs/dbraw/zinc/32/10/81/1047321081.db2.gz SPPUAYAUXMPTEJ-BBWFWOEESA-N 0 3 319.493 4.025 20 0 DIADHN C[C@H](NCc1cc2cnccc2o1)c1cccc(N2CCCC2)c1 ZINC000651738595 1047324975 /nfs/dbraw/zinc/32/49/75/1047324975.db2.gz QSSADRIKRQUADG-HNNXBMFYSA-N 0 3 321.424 4.279 20 0 DIADHN Cc1ccc2cc(CN[C@@H](C)c3cnn(CC4CCC4)c3)[nH]c2c1 ZINC000898181399 1047324917 /nfs/dbraw/zinc/32/49/17/1047324917.db2.gz GVHIJHDENIHILP-HNNXBMFYSA-N 0 3 322.456 4.324 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccc(-c2ccccc2)cn1 ZINC000651738202 1047325099 /nfs/dbraw/zinc/32/50/99/1047325099.db2.gz FBUZSONREKSDBU-AUUYWEPGSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccc(-c2ccccc2)cn1 ZINC000651789975 1047327005 /nfs/dbraw/zinc/32/70/05/1047327005.db2.gz GYLJJXUSDZBRLI-CYBMUJFWSA-N 0 3 302.368 4.445 20 0 DIADHN COc1cc([C@@H](C)NCc2n[nH]c(C)c2C)cc2ccccc21 ZINC000898241888 1047328001 /nfs/dbraw/zinc/32/80/01/1047328001.db2.gz NWJGGOGXNYYTOZ-CQSZACIVSA-N 0 3 309.413 4.039 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCc2ccccc2C1 ZINC001141978439 1047328531 /nfs/dbraw/zinc/32/85/31/1047328531.db2.gz BRKWIGAIOVRUGX-UHFFFAOYSA-N 0 3 304.393 4.208 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC[C@@H]1c1cccnc1 ZINC001141979282 1047329602 /nfs/dbraw/zinc/32/96/02/1047329602.db2.gz QCQZUIOVVWYEER-LJQANCHMSA-N 0 3 319.408 4.382 20 0 DIADHN Cn1cc(CN2CCC3(CC3(F)F)CC2)c(C2CCCCC2)n1 ZINC000651953493 1047333594 /nfs/dbraw/zinc/33/35/94/1047333594.db2.gz JFSFQOYZUWLIHT-UHFFFAOYSA-N 0 3 323.431 4.089 20 0 DIADHN CC[C@@H](OCCNCc1cnc(C2CC2)s1)c1ccccc1 ZINC000651908323 1047334866 /nfs/dbraw/zinc/33/48/66/1047334866.db2.gz BEPCGEFZJAOZJZ-QGZVFWFLSA-N 0 3 316.470 4.278 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc3ccc(Cl)cn13)CC2 ZINC000651959241 1047335703 /nfs/dbraw/zinc/33/57/03/1047335703.db2.gz FGQZAODAHRVBCV-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN C[C@@H]1CCCN(Cc2sccc2Oc2ccccc2)[C@H]1CO ZINC001141994239 1047336118 /nfs/dbraw/zinc/33/61/18/1047336118.db2.gz DIXOFCRZOPEAHX-ZBFHGGJFSA-N 0 3 317.454 4.133 20 0 DIADHN FC1(F)CC12CCN(Cc1cc3cc(Cl)cnc3o1)CC2 ZINC000651953093 1047336882 /nfs/dbraw/zinc/33/68/82/1047336882.db2.gz QITMVHFOTARRCV-UHFFFAOYSA-N 0 3 312.747 4.102 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CCC[C@]23CCOC3)s1 ZINC001142001311 1047339683 /nfs/dbraw/zinc/33/96/83/1047339683.db2.gz KTUJDLNECPNJLQ-GOSISDBHSA-N 0 3 315.438 4.295 20 0 DIADHN OCC[C@H]1CCCN(Cc2sccc2Oc2ccccc2)C1 ZINC001142001529 1047340183 /nfs/dbraw/zinc/34/01/83/1047340183.db2.gz UIGPULDRGJRWDN-OAHLLOKOSA-N 0 3 317.454 4.135 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N[C@H](c2ccccc2)C2CC2)c1 ZINC000044276794 1047343231 /nfs/dbraw/zinc/34/32/31/1047343231.db2.gz HQUZYPNFCORXSL-LJQANCHMSA-N 0 3 323.440 4.021 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCC(=O)c1ccccc1 ZINC000537511640 1047349498 /nfs/dbraw/zinc/34/94/98/1047349498.db2.gz ONMIRMZIJSTHSH-SFHVURJKSA-N 0 3 309.409 4.105 20 0 DIADHN C[C@H](N[C@H]1CCOc2c(Cl)c(Cl)ccc21)[C@H]1CC1(F)F ZINC000840879601 1047351748 /nfs/dbraw/zinc/35/17/48/1047351748.db2.gz QLUXGTZENVSEEB-NMLBEHRDSA-N 0 3 322.182 4.450 20 0 DIADHN COc1ccc([C@H](NC2CC3(CCC3)C2)c2ccccn2)cc1 ZINC000652327659 1047354076 /nfs/dbraw/zinc/35/40/76/1047354076.db2.gz FRUZNYGWWDURDH-IBGZPJMESA-N 0 3 308.425 4.102 20 0 DIADHN C[C@@H](NCCC1(CO)CCC1)c1cc(Cl)sc1Cl ZINC000652310653 1047354336 /nfs/dbraw/zinc/35/43/36/1047354336.db2.gz OAGNCINUQOAQLJ-SECBINFHSA-N 0 3 308.274 4.258 20 0 DIADHN Fc1cc2cc(CN3C[C@@H]4CCC[C@H]4C3)c(Cl)nc2cc1F ZINC001142035021 1047355444 /nfs/dbraw/zinc/35/54/44/1047355444.db2.gz CUPYMIHBHSHXEP-QWRGUYRKSA-N 0 3 322.786 4.398 20 0 DIADHN Cc1occc1CN[C@H](C)[C@@H](O)c1c(Cl)cccc1Cl ZINC000840901722 1047356281 /nfs/dbraw/zinc/35/62/81/1047356281.db2.gz OCSTXPLAIFRVFI-RFAUZJTJSA-N 0 3 314.212 4.107 20 0 DIADHN CCCCNC(=S)Nc1ccc2c(c1)CN([C@@H](C)C(C)C)C2 ZINC000726494748 1047363591 /nfs/dbraw/zinc/36/35/91/1047363591.db2.gz MPVIFKJOIRMLBW-AWEZNQCLSA-N 0 3 319.518 4.133 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1ccc(CSC(F)F)o1)C1CC1 ZINC000840960542 1047365354 /nfs/dbraw/zinc/36/53/54/1047365354.db2.gz KCIBTNZDJVLIFU-BMIGLBTASA-N 0 3 319.417 4.029 20 0 DIADHN O[C@H](CN1CCC2(CCCCC2)CC1)c1ccc(F)c(F)c1 ZINC000726598033 1047369341 /nfs/dbraw/zinc/36/93/41/1047369341.db2.gz MTGPKEXILAYPII-QGZVFWFLSA-N 0 3 309.400 4.045 20 0 DIADHN COc1cccc2cc(CN[C@@H]3CCCc4cc(N)ccc43)oc21 ZINC000841058128 1047376887 /nfs/dbraw/zinc/37/68/87/1047376887.db2.gz NKONPUHBVHDFNF-GOSISDBHSA-N 0 3 322.408 4.191 20 0 DIADHN COCC1CN(Cc2ccc(-c3ccccc3Cl)cc2)C1 ZINC001142095434 1047377103 /nfs/dbraw/zinc/37/71/03/1047377103.db2.gz OPSGRCFHSVPMMK-UHFFFAOYSA-N 0 3 301.817 4.085 20 0 DIADHN Cc1cc2cc(CNCc3cnc4ccccc4c3)ccc2[nH]1 ZINC000796527766 1047378785 /nfs/dbraw/zinc/37/87/85/1047378785.db2.gz KYKBGPCAMVVJCL-UHFFFAOYSA-N 0 3 301.393 4.314 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2nnc(-c3cccc(F)c3)o2)C1 ZINC000796573688 1047398343 /nfs/dbraw/zinc/39/83/43/1047398343.db2.gz AYHXQXCCMAGWDU-AWEZNQCLSA-N 0 3 317.408 4.134 20 0 DIADHN Cn1cc([C@@H](NCCC(C)(C)C2CC2)c2ccc(F)cc2)cn1 ZINC000653621261 1047410151 /nfs/dbraw/zinc/41/01/51/1047410151.db2.gz DHUUMNLFOPQMOX-SFHVURJKSA-N 0 3 315.436 4.065 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000117347361 1047422083 /nfs/dbraw/zinc/42/20/83/1047422083.db2.gz ATWBSSPMCJWVNC-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccccc1C)c1ccccc1F ZINC000653792042 1047422859 /nfs/dbraw/zinc/42/28/59/1047422859.db2.gz RHYGLPFJJSHMFB-QGZVFWFLSA-N 0 3 314.404 4.204 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@H](OC4CCC4)C3)cc2c1 ZINC000653811600 1047423475 /nfs/dbraw/zinc/42/34/75/1047423475.db2.gz QTAOWOFRLZUDPB-IBGZPJMESA-N 0 3 310.441 4.077 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc(-c2ccccc2)cc1 ZINC000749561420 1047425341 /nfs/dbraw/zinc/42/53/41/1047425341.db2.gz KAJXUKJTJIRNBB-LJQANCHMSA-N 0 3 308.425 4.167 20 0 DIADHN COC(=O)C1CCN(Cc2ccc(-c3ccc(C)cc3)cc2)CC1 ZINC001142301235 1047425578 /nfs/dbraw/zinc/42/55/78/1047425578.db2.gz RLTWUZPTJUKKIR-UHFFFAOYSA-N 0 3 323.436 4.047 20 0 DIADHN CCCc1nc(CN[C@H](C)C2CCC(F)(F)CC2)cs1 ZINC000653867792 1047428244 /nfs/dbraw/zinc/42/82/44/1047428244.db2.gz GZXDWDIRUYOJPL-LLVKDONJSA-N 0 3 302.434 4.399 20 0 DIADHN CCCc1ccc(CN2CC[C@@](CF)(C(F)(F)F)C2)cc1 ZINC001142354000 1047434347 /nfs/dbraw/zinc/43/43/47/1047434347.db2.gz HXZTXYMIOCOJAO-HNNXBMFYSA-N 0 3 303.343 4.363 20 0 DIADHN Cc1ccc(C)c(N(C)C(=O)Nc2cccc(CN(C)C)c2)c1 ZINC000653936413 1047436274 /nfs/dbraw/zinc/43/62/74/1047436274.db2.gz UZXBQTAKLFJGJH-UHFFFAOYSA-N 0 3 311.429 4.033 20 0 DIADHN CCCNC(=O)[C@@H]1CCCCN1Cc1c(C)ccc2ccccc21 ZINC001142380439 1047437821 /nfs/dbraw/zinc/43/78/21/1047437821.db2.gz QCBRQZHTFAASDD-FQEVSTJZSA-N 0 3 324.468 4.029 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccccc1OC)c1ccco1 ZINC000119083711 1047442010 /nfs/dbraw/zinc/44/20/10/1047442010.db2.gz PXGIVKWVVZUSSU-NVXWUHKLSA-N 0 3 316.445 4.022 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)[C@@H]2CCCCC[C@H]21)c1ccccc1 ZINC000749942499 1047450126 /nfs/dbraw/zinc/45/01/26/1047450126.db2.gz WDHLNAPTIQPBFV-KZNAEPCWSA-N 0 3 314.473 4.112 20 0 DIADHN c1ccc([C@@H](N[C@@H]2COCc3ccccc32)C2CCOCC2)cc1 ZINC000841759483 1047454016 /nfs/dbraw/zinc/45/40/16/1047454016.db2.gz GELNDCILQNXYIC-NHCUHLMSSA-N 0 3 323.436 4.015 20 0 DIADHN CC[C@H](N[C@H]1COCc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000841761619 1047455752 /nfs/dbraw/zinc/45/57/52/1047455752.db2.gz PXTJGUVZDNVHHC-PMACEKPBSA-N 0 3 323.436 4.324 20 0 DIADHN CN(Cc1ccco1)Cc1cccc(CN(C)Cc2ccco2)c1 ZINC000057567112 1047460685 /nfs/dbraw/zinc/46/06/85/1047460685.db2.gz NVIIJFJQYQKUSK-UHFFFAOYSA-N 0 3 324.424 4.137 20 0 DIADHN Cc1ccc([C@H](CNC(=O)CC2CCCCCC2)N(C)C)cc1 ZINC001142539827 1047466915 /nfs/dbraw/zinc/46/69/15/1047466915.db2.gz ZJPYCDBWFWURHM-IBGZPJMESA-N 0 3 316.489 4.074 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(-c3ccccc3)c2)c(C)c1 ZINC000119654936 1047466935 /nfs/dbraw/zinc/46/69/35/1047466935.db2.gz JOWXESUQWQNHRP-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1ncc(C(F)(F)F)cc1Cl ZINC001142555036 1047468449 /nfs/dbraw/zinc/46/84/49/1047468449.db2.gz HVXUKXFVPRJTAE-CYBMUJFWSA-N 0 3 306.759 4.374 20 0 DIADHN COc1ccsc1CN1CCC(c2ccccc2F)CC1 ZINC000537623501 1047471123 /nfs/dbraw/zinc/47/11/23/1047471123.db2.gz IHCKXMQSTAUGDA-UHFFFAOYSA-N 0 3 305.418 4.275 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2ccc(F)cc21)c1c(C)nn(C)c1C ZINC000089214303 1047476254 /nfs/dbraw/zinc/47/62/54/1047476254.db2.gz DKZZBBJVEVTDOW-ZWKOTPCHSA-N 0 3 315.436 4.294 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@H]1CCCC[C@@H]1C ZINC000119978692 1047477892 /nfs/dbraw/zinc/47/78/92/1047477892.db2.gz RSUOKRZGABCTEN-WFASDCNBSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2)no1)N1CC[C@H](c2ccccc2)C1 ZINC000120148529 1047485426 /nfs/dbraw/zinc/48/54/26/1047485426.db2.gz GTEIULWZVSPUMR-YJBOKZPZSA-N 0 3 319.408 4.287 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1csc(Cl)c1 ZINC001142775422 1047492801 /nfs/dbraw/zinc/49/28/01/1047492801.db2.gz CJMFEPQNOYGGRS-GFCCVEGCSA-N 0 3 309.862 4.473 20 0 DIADHN Cc1cc(-c2nnc(CN(C)C3CCC(C)(C)CC3)o2)c(C)o1 ZINC000089909399 1047493000 /nfs/dbraw/zinc/49/30/00/1047493000.db2.gz CGPCMURQHNPXSU-UHFFFAOYSA-N 0 3 317.433 4.347 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089910167 1047493424 /nfs/dbraw/zinc/49/34/24/1047493424.db2.gz RLCUUVWGLOJJFL-MLGOLLRUSA-N 0 3 317.433 4.347 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1csc(Cl)c1 ZINC001142775424 1047493510 /nfs/dbraw/zinc/49/35/10/1047493510.db2.gz CJMFEPQNOYGGRS-LBPRGKRZSA-N 0 3 309.862 4.473 20 0 DIADHN CCCCN(CCCC)Cc1cnn(C(=O)OC(C)(C)C)c1 ZINC001142797447 1047496195 /nfs/dbraw/zinc/49/61/95/1047496195.db2.gz XYODHEKAMHXHFT-UHFFFAOYSA-N 0 3 309.454 4.069 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000120675129 1047498700 /nfs/dbraw/zinc/49/87/00/1047498700.db2.gz NEZKZDTUSHQRPU-WFASDCNBSA-N 0 3 301.434 4.392 20 0 DIADHN Clc1ncc(Br)cc1CNCCC1=CCCC1 ZINC000842695065 1047498673 /nfs/dbraw/zinc/49/86/73/1047498673.db2.gz WFOGBCVPILJYIU-UHFFFAOYSA-N 0 3 315.642 4.088 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2ccccc2-n2cccn2)s1 ZINC000090419407 1047503461 /nfs/dbraw/zinc/50/34/61/1047503461.db2.gz CPDGSMCFABRXTM-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1cccc(Cl)c1 ZINC000120919069 1047504866 /nfs/dbraw/zinc/50/48/66/1047504866.db2.gz KRUDENKVNNOIBA-CYBMUJFWSA-N 0 3 320.839 4.392 20 0 DIADHN C[C@H]1CN(C2CCC(c3ccc(Cl)cc3)CC2)CCC1=O ZINC001168341456 1047507930 /nfs/dbraw/zinc/50/79/30/1047507930.db2.gz LBTSYNDSQFQAJJ-KTAKPFMOSA-N 0 3 305.849 4.277 20 0 DIADHN CCn1nc(C)c(CN2C[C@H](C)C[C@@H]2c2ccccc2F)c1C ZINC000537633485 1047507953 /nfs/dbraw/zinc/50/79/53/1047507953.db2.gz OYPFHPAQOOMZLA-BFUOFWGJSA-N 0 3 315.436 4.242 20 0 DIADHN COC(=O)[C@H]1CCCN1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168342034 1047511184 /nfs/dbraw/zinc/51/11/84/1047511184.db2.gz ZLQYXUPQJREMJO-BDVYOWHSSA-N 0 3 321.848 4.004 20 0 DIADHN O=C1CCN(C2CCC(c3ccc(Cl)cc3)CC2)CC[C@@H]1F ZINC001168344242 1047518208 /nfs/dbraw/zinc/51/82/08/1047518208.db2.gz FMZOZIPECRQTJT-PREGVCBESA-N 0 3 323.839 4.369 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(OC(C)C)cc2)c1 ZINC000121417927 1047519114 /nfs/dbraw/zinc/51/91/14/1047519114.db2.gz GXVVSIBFKJYJOD-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN Cc1cccc(CN(Cc2cccn3ccnc23)C2CC2)c1C ZINC001142962741 1047519947 /nfs/dbraw/zinc/51/99/47/1047519947.db2.gz KUTPBNVOLBIYIJ-UHFFFAOYSA-N 0 3 305.425 4.116 20 0 DIADHN c1cn2cccc(CN(Cc3ccccn3)C3CCCCC3)c2n1 ZINC001142961730 1047520094 /nfs/dbraw/zinc/52/00/94/1047520094.db2.gz QQTJWLBGQNTXSF-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN Clc1ccc(C2CCC(n3ncc4c3CCNC4)CC2)cc1 ZINC001168345840 1047523185 /nfs/dbraw/zinc/52/31/85/1047523185.db2.gz UDUMMFIRWLQSSV-UHFFFAOYSA-N 0 3 315.848 4.081 20 0 DIADHN CC(C)[C@@H](NCc1cccc2c1OCCCO2)c1ccccc1 ZINC000121634416 1047524150 /nfs/dbraw/zinc/52/41/50/1047524150.db2.gz MGVVOBJACMSJQJ-LJQANCHMSA-N 0 3 311.425 4.335 20 0 DIADHN Cn1nc(-c2ccccc2)cc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001143013177 1047524706 /nfs/dbraw/zinc/52/47/06/1047524706.db2.gz WNQUIMAHQUKFEF-AEFFLSMTSA-N 0 3 309.457 4.099 20 0 DIADHN CC[C@H](c1ccccc1)N1CCc2cc(OC)c(OC)cc2C1 ZINC000216401772 1047527420 /nfs/dbraw/zinc/52/74/20/1047527420.db2.gz BFMOSPJMVBDHEU-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN COc1ccc2oc(CN3CCC(OCC(C)C)CC3)cc2c1 ZINC000092223410 1047530895 /nfs/dbraw/zinc/53/08/95/1047530895.db2.gz MFQTYZKGNPFXMJ-UHFFFAOYSA-N 0 3 317.429 4.078 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1cccc2n[nH]cc21 ZINC001143095606 1047531327 /nfs/dbraw/zinc/53/13/27/1047531327.db2.gz QLRCWKKIYCPCMO-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN CCC(O)(CC)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000121941297 1047531477 /nfs/dbraw/zinc/53/14/77/1047531477.db2.gz JJEIQSQNTSBFIC-CYBMUJFWSA-N 0 3 304.459 4.012 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cc3cc(F)ccc3o2)CC1 ZINC000092454055 1047534862 /nfs/dbraw/zinc/53/48/62/1047534862.db2.gz VGDKDPAAMNTOTK-UHFFFAOYSA-N 0 3 305.393 4.351 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1scc2c1OCCO2 ZINC001143185737 1047540256 /nfs/dbraw/zinc/54/02/56/1047540256.db2.gz NPTWBKFWLWCZRU-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc(Cl)cc3)CC2)c(N)n1 ZINC001143197196 1047540799 /nfs/dbraw/zinc/54/07/99/1047540799.db2.gz INNVUBHHKOTCOI-UHFFFAOYSA-N 0 3 315.848 4.005 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cc3cccnc3[nH]2)C1 ZINC001143224492 1047541717 /nfs/dbraw/zinc/54/17/17/1047541717.db2.gz TXNWLLXZVPCKAV-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN Fc1ccc(C2CCN(Cc3cc4cccnc4[nH]3)CC2)cc1 ZINC001143220203 1047542415 /nfs/dbraw/zinc/54/24/15/1047542415.db2.gz IDBSRGYCAUAHFG-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Fc1cccc(CC2CCN(Cc3cc4cccnc4[nH]3)CC2)c1 ZINC001143221650 1047542487 /nfs/dbraw/zinc/54/24/87/1047542487.db2.gz GYVLIFFDSQHAJJ-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000060464501 1047543054 /nfs/dbraw/zinc/54/30/54/1047543054.db2.gz UKIBPSCLVTVUBF-INIZCTEOSA-N 0 3 324.468 4.363 20 0 DIADHN FC(F)c1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1 ZINC001143243891 1047543914 /nfs/dbraw/zinc/54/39/14/1047543914.db2.gz IJTDCOZMZJOEDD-UHFFFAOYSA-N 0 3 317.326 4.014 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cnc(C3CCC3)s2)CC1 ZINC000093317648 1047544777 /nfs/dbraw/zinc/54/47/77/1047544777.db2.gz SNCQDQDBJAMNIV-HNNXBMFYSA-N 0 3 308.491 4.192 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cnc(CC(C)C)s2)CC1 ZINC000093317835 1047545215 /nfs/dbraw/zinc/54/52/15/1047545215.db2.gz LGSQNZLARUQORB-OAHLLOKOSA-N 0 3 310.507 4.123 20 0 DIADHN CN(Cc1c(Cl)cccc1C(F)(F)F)[C@H]1CC[C@H](O)CC1 ZINC001143282634 1047547747 /nfs/dbraw/zinc/54/77/47/1047547747.db2.gz BKFJGCZWTJQQLI-XYPYZODXSA-N 0 3 321.770 4.094 20 0 DIADHN CC(C)Cc1ncc(CN(CCc2nccs2)C(C)C)s1 ZINC000093764380 1047551334 /nfs/dbraw/zinc/55/13/34/1047551334.db2.gz RYUAWVJWUHYMPS-UHFFFAOYSA-N 0 3 323.531 4.251 20 0 DIADHN Cn1cccc1CN(Cc1cccc(-c2cccnc2)c1)C1CC1 ZINC000093818207 1047552515 /nfs/dbraw/zinc/55/25/15/1047552515.db2.gz RVEKDOOXFZTEDI-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)C(C)(C)C ZINC001149183459 1047556203 /nfs/dbraw/zinc/55/62/03/1047556203.db2.gz BFAPVZRGGZGYJK-BXWFABGCSA-N 0 3 316.489 4.071 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc2c(ccn2C)c1)C(C)C ZINC001149241899 1047558855 /nfs/dbraw/zinc/55/88/55/1047558855.db2.gz GUZLBMTUPVJIMJ-UHFFFAOYSA-N 0 3 318.401 4.207 20 0 DIADHN Cc1cnc(CN[C@H](C)[C@H](C)c2nc3ccccc3s2)s1 ZINC000122941481 1047562230 /nfs/dbraw/zinc/56/22/30/1047562230.db2.gz SCNVSTWHYYTXAN-NWDGAFQWSA-N 0 3 317.483 4.343 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1cccc(F)c1F ZINC000541567833 1047566091 /nfs/dbraw/zinc/56/60/91/1047566091.db2.gz ROMUVZFTOZFVSR-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN CCCCCC(C)(C)CNC(=O)c1cccc(CN(C)C)c1 ZINC001149594544 1047566270 /nfs/dbraw/zinc/56/62/70/1047566270.db2.gz STQZTGQDOAHBDG-UHFFFAOYSA-N 0 3 304.478 4.085 20 0 DIADHN CC[C@H]1CCCN(CC(=O)N[C@@H](C)c2ccc3ccccc3c2)C1 ZINC000058773504 1047566672 /nfs/dbraw/zinc/56/66/72/1047566672.db2.gz GJTINDMDAWKYQV-IRXDYDNUSA-N 0 3 324.468 4.139 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@H]2COCC[C@H]21 ZINC000537690027 1047575921 /nfs/dbraw/zinc/57/59/21/1047575921.db2.gz BHGWUSNHZYILHZ-DOTOQJQBSA-N 0 3 320.502 4.192 20 0 DIADHN CCc1ccc(CNCc2cc3cc(OC)ccc3o2)s1 ZINC000123273781 1047580626 /nfs/dbraw/zinc/58/06/26/1047580626.db2.gz KUTZHXCRQJWTDF-UHFFFAOYSA-N 0 3 301.411 4.355 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2C[C@H](C)C[C@@H](C)C2)c1 ZINC001151012612 1047589578 /nfs/dbraw/zinc/58/95/78/1047589578.db2.gz UKICWXQVWODQLV-ZIAGYGMSSA-N 0 3 302.462 4.067 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)c1 ZINC001151012609 1047589616 /nfs/dbraw/zinc/58/96/16/1047589616.db2.gz UKICWXQVWODQLV-HALDLXJZSA-N 0 3 302.462 4.067 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2c(cccc2F)[nH]1)C(C)C ZINC001151116933 1047592599 /nfs/dbraw/zinc/59/25/99/1047592599.db2.gz VVJCEFMVEYDUOC-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN c1cn(C2CCCC2)nc1CN1CCC[C@H]1Cc1ccccc1 ZINC000477172225 1047601645 /nfs/dbraw/zinc/60/16/45/1047601645.db2.gz TUAUUSZJHRVPIG-FQEVSTJZSA-N 0 3 309.457 4.205 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@H](C)N2CC[C@H](c3ccccc3)C2)c1 ZINC000477219888 1047604713 /nfs/dbraw/zinc/60/47/13/1047604713.db2.gz HVCWEBMYNXMYRJ-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN CCCc1noc(CN2CCC[C@@H]2[C@@H](CC)c2ccccc2)n1 ZINC000477220036 1047604910 /nfs/dbraw/zinc/60/49/10/1047604910.db2.gz KPXOBLXNUGAELY-DLBZAZTESA-N 0 3 313.445 4.180 20 0 DIADHN Cc1cccc(CCNCc2nc(-c3ccccc3)cs2)c1 ZINC000315493589 1047608617 /nfs/dbraw/zinc/60/86/17/1047608617.db2.gz BHTXQGRIBPXGQS-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN C[C@@H](NCCOC1CCCCC1)c1ccccc1OC(F)F ZINC000315535979 1047608649 /nfs/dbraw/zinc/60/86/49/1047608649.db2.gz LBXFIHGUUWMPSL-CYBMUJFWSA-N 0 3 313.388 4.288 20 0 DIADHN CCCC1CCC(N[C@H](C)c2nnc3n2CCCCC3)CC1 ZINC000315550373 1047609783 /nfs/dbraw/zinc/60/97/83/1047609783.db2.gz MWEJICMIGNWMJE-QQFBHYJXSA-N 0 3 304.482 4.014 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)sc1C ZINC000477761484 1047634806 /nfs/dbraw/zinc/63/48/06/1047634806.db2.gz ICCGLRVNGWXDFV-XHDPSFHLSA-N 0 3 304.434 4.277 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(Cl)cc3)C2)sc1C ZINC000477764066 1047636079 /nfs/dbraw/zinc/63/60/79/1047636079.db2.gz KJLBNDDALFVXCM-AWEZNQCLSA-N 0 3 306.862 4.403 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cnc(C2CC2)s1 ZINC000537772977 1047637791 /nfs/dbraw/zinc/63/77/91/1047637791.db2.gz CUSVXYUQEYJLLN-BZNIZROVSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CN(C)Cc3ccccc31)CCC2 ZINC000537947294 1047645775 /nfs/dbraw/zinc/64/57/75/1047645775.db2.gz PTBHZDVOOHFCQS-RTWAWAEBSA-N 0 3 306.453 4.149 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H]2CCCCc3ccccc32)C1 ZINC000537953261 1047646721 /nfs/dbraw/zinc/64/67/21/1047646721.db2.gz MFONSUDLDLSUSN-RTWAWAEBSA-N 0 3 306.453 4.230 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2cccc3c2OCCCO3)cc1 ZINC000537960588 1047647668 /nfs/dbraw/zinc/64/76/68/1047647668.db2.gz AQSXFOJQPYWFSZ-LJQANCHMSA-N 0 3 323.436 4.479 20 0 DIADHN FC(F)(F)CCN[C@H]1CCCOc2cc3c(cc21)CCCC3 ZINC000537987646 1047650538 /nfs/dbraw/zinc/65/05/38/1047650538.db2.gz ODKBVNBHYIHHKG-HNNXBMFYSA-N 0 3 313.363 4.321 20 0 DIADHN CCN(Cc1ccccc1)C(=O)[C@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000538130077 1047660660 /nfs/dbraw/zinc/66/06/60/1047660660.db2.gz UJJHMENXNJCXBO-ROUUACIJSA-N 0 3 324.468 4.083 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C)c2C)c(C)c1 ZINC000538187826 1047661704 /nfs/dbraw/zinc/66/17/04/1047661704.db2.gz LGCZDELEWFXECT-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1nccc2ccc(Cl)cc21 ZINC001155248671 1047673123 /nfs/dbraw/zinc/67/31/23/1047673123.db2.gz XDDODGKHPZRDSY-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1nc2ccccc2cc1Cl ZINC001155247200 1047673323 /nfs/dbraw/zinc/67/33/23/1047673323.db2.gz DABNCRBBNAVLLJ-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc2c(Cl)cccc2n1 ZINC001155254177 1047673513 /nfs/dbraw/zinc/67/35/13/1047673513.db2.gz GNHUDFHJOBNJNV-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1nc2ccccc2cc1Cl ZINC001155247199 1047673564 /nfs/dbraw/zinc/67/35/64/1047673564.db2.gz DABNCRBBNAVLLJ-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643469 1047685885 /nfs/dbraw/zinc/68/58/85/1047685885.db2.gz RVZGATXJZSXPHL-WMLDXEAASA-N 0 3 324.896 4.275 20 0 DIADHN CCc1ccc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)s1 ZINC000538551571 1047687095 /nfs/dbraw/zinc/68/70/95/1047687095.db2.gz OFXUFKGURSEIFM-LBPRGKRZSA-N 0 3 315.438 4.379 20 0 DIADHN CSCCCNc1nc(N2CCCC2)cc2ccccc21 ZINC001155980005 1047695281 /nfs/dbraw/zinc/69/52/81/1047695281.db2.gz PWJOFADQNBFZAC-UHFFFAOYSA-N 0 3 301.459 4.000 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000538717295 1047699810 /nfs/dbraw/zinc/69/98/10/1047699810.db2.gz RZJQNTUEFHUKBW-GOEBONIOSA-N 0 3 308.491 4.395 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc3[nH]cnc3c2)[C@@H]1c1ccccc1 ZINC000538720819 1047701035 /nfs/dbraw/zinc/70/10/35/1047701035.db2.gz XWRYXYCZUHHWLF-LIRRHRJNSA-N 0 3 323.465 4.242 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc3nc[nH]c3c2)[C@@H]1c1ccccc1 ZINC000538720819 1047701042 /nfs/dbraw/zinc/70/10/42/1047701042.db2.gz XWRYXYCZUHHWLF-LIRRHRJNSA-N 0 3 323.465 4.242 20 0 DIADHN CN(Cc1cc2ccccc2nc1N1CCCCC1)C1CCC1 ZINC000538735217 1047703314 /nfs/dbraw/zinc/70/33/14/1047703314.db2.gz IOBPEEINTAOTJU-UHFFFAOYSA-N 0 3 309.457 4.209 20 0 DIADHN Cc1ccc([C@H]2CSCCN2Cc2ccc3[nH]cnc3c2)cc1 ZINC000538737658 1047704814 /nfs/dbraw/zinc/70/48/14/1047704814.db2.gz UHSGEIMTPZBMAH-LJQANCHMSA-N 0 3 323.465 4.161 20 0 DIADHN Cc1ccc([C@H]2CSCCN2Cc2ccc3nc[nH]c3c2)cc1 ZINC000538737658 1047704817 /nfs/dbraw/zinc/70/48/17/1047704817.db2.gz UHSGEIMTPZBMAH-LJQANCHMSA-N 0 3 323.465 4.161 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(Cc2cnn3ccccc23)C1 ZINC000538737185 1047705282 /nfs/dbraw/zinc/70/52/82/1047705282.db2.gz KFEFIYWJQPGIRV-KUHUBIRLSA-N 0 3 309.388 4.057 20 0 DIADHN Cc1cccc([C@@H]2CCCCCN2Cc2cnc3cnccn23)c1 ZINC000538737225 1047705390 /nfs/dbraw/zinc/70/53/90/1047705390.db2.gz NDXQONDGTUBYRH-IBGZPJMESA-N 0 3 320.440 4.155 20 0 DIADHN CN(Cc1cc2ccccc2o1)CC1=Cc2ccccc2OC1 ZINC000538752798 1047708560 /nfs/dbraw/zinc/70/85/60/1047708560.db2.gz NLJILPUBIZELKY-UHFFFAOYSA-N 0 3 305.377 4.341 20 0 DIADHN Cn1cc(CNCc2csc3ccccc23)c(C(C)(C)C)n1 ZINC000538757251 1047708603 /nfs/dbraw/zinc/70/86/03/1047708603.db2.gz CCVWNVNEZIHQKT-UHFFFAOYSA-N 0 3 313.470 4.222 20 0 DIADHN Cc1cccc2ccc(NCC3CN(Cc4ccccc4)C3)nc12 ZINC001156394635 1047709590 /nfs/dbraw/zinc/70/95/90/1047709590.db2.gz OQSGKHVWHXVOPW-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN CN(CCOCC1CC1)Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000538763510 1047710109 /nfs/dbraw/zinc/71/01/09/1047710109.db2.gz HSXYFJLZKBNDDM-UHFFFAOYSA-N 0 3 321.770 4.217 20 0 DIADHN C[C@H](NCCCOc1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000538869816 1047716945 /nfs/dbraw/zinc/71/69/45/1047716945.db2.gz QTOPNHXMWBDQLJ-HNNXBMFYSA-N 0 3 324.399 4.494 20 0 DIADHN C[C@@H](NCCCOc1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000538869817 1047717230 /nfs/dbraw/zinc/71/72/30/1047717230.db2.gz QTOPNHXMWBDQLJ-OAHLLOKOSA-N 0 3 324.399 4.494 20 0 DIADHN CCN1CCC[C@H](NC(=O)c2csc(C3CCCCC3)c2)C1 ZINC001156573122 1047718233 /nfs/dbraw/zinc/71/82/33/1047718233.db2.gz XZTMOZRRJQNTDF-INIZCTEOSA-N 0 3 320.502 4.010 20 0 DIADHN Cc1csc(N2CCN(CCC3C[C@@H](C)C[C@H](C)C3)CC2)n1 ZINC001307414423 1047719847 /nfs/dbraw/zinc/71/98/47/1047719847.db2.gz JYMWIRGGAMWRHD-GJZGRUSLSA-N 0 3 321.534 4.036 20 0 DIADHN C[C@H](NCc1ccc(CO)c(F)c1)c1ccccc1C(C)(C)C ZINC000539053299 1047728669 /nfs/dbraw/zinc/72/86/69/1047728669.db2.gz DNWZQJVLIHFWNZ-AWEZNQCLSA-N 0 3 315.432 4.466 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C)C1CCCCC1)c1cccs1 ZINC000539056381 1047729788 /nfs/dbraw/zinc/72/97/88/1047729788.db2.gz JLXPYCZHLXARDK-MRXNPFEDSA-N 0 3 322.518 4.216 20 0 DIADHN CCCCCC[C@H](CC)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001156964556 1047730368 /nfs/dbraw/zinc/73/03/68/1047730368.db2.gz LOMHOFZQELXQLF-FUHWJXTLSA-N 0 3 308.510 4.116 20 0 DIADHN Cc1ccc([C@H](C)NCCc2nc3ccccc3n2C(F)F)o1 ZINC000539085152 1047733000 /nfs/dbraw/zinc/73/30/00/1047733000.db2.gz WTULEVHUSSZUPG-LBPRGKRZSA-N 0 3 319.355 4.226 20 0 DIADHN CCC[C@H](NCc1ccccc1N(C)C)c1ccc(OC)cc1 ZINC000539074628 1047733657 /nfs/dbraw/zinc/73/36/57/1047733657.db2.gz WDVGKWONXZIOMD-IBGZPJMESA-N 0 3 312.457 4.392 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCc3ccc(Cl)cc32)c1 ZINC000539065983 1047734035 /nfs/dbraw/zinc/73/40/35/1047734035.db2.gz LGCGUVTXJUIOEG-QGZVFWFLSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@H](NCCOc1cccc(Cl)c1Cl)c1ccoc1 ZINC000539092562 1047735564 /nfs/dbraw/zinc/73/55/64/1047735564.db2.gz CWPQHEONARSXIG-JTQLQIEISA-N 0 3 300.185 4.316 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1Cl ZINC001157148286 1047736361 /nfs/dbraw/zinc/73/63/61/1047736361.db2.gz QBNJOBZJJKBAFT-CYBMUJFWSA-N 0 3 308.853 4.105 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCC(c3ccccc3)CC2)o1 ZINC000539198174 1047739941 /nfs/dbraw/zinc/73/99/41/1047739941.db2.gz FAMZTXMLDFWYMP-AWEZNQCLSA-N 0 3 313.397 4.007 20 0 DIADHN CC(C)[C@@H](NCc1cccnc1N(C)C)c1ccc(Cl)cc1 ZINC000539164107 1047740443 /nfs/dbraw/zinc/74/04/43/1047740443.db2.gz MAINFSBHMSTSII-QGZVFWFLSA-N 0 3 317.864 4.288 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000539214119 1047741137 /nfs/dbraw/zinc/74/11/37/1047741137.db2.gz ZLRHLXALPSSDMK-JSGCOSHPSA-N 0 3 323.868 4.083 20 0 DIADHN CCCCn1cc(CN[C@@H](c2ccc(F)cc2)C2CC2)c(C)n1 ZINC000539216190 1047742206 /nfs/dbraw/zinc/74/22/06/1047742206.db2.gz HLWNNAHWMTYKSK-LJQANCHMSA-N 0 3 315.436 4.372 20 0 DIADHN COc1cc([C@@H](C)NCCC(F)(F)F)ccc1OCC(C)C ZINC000539270691 1047744280 /nfs/dbraw/zinc/74/42/80/1047744280.db2.gz UWKOZRPCPJUGBF-GFCCVEGCSA-N 0 3 319.367 4.333 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(OCC2CC2)c(F)c1 ZINC000539327455 1047748278 /nfs/dbraw/zinc/74/82/78/1047748278.db2.gz YLKLGWXDAIIVRP-JTQLQIEISA-N 0 3 305.315 4.218 20 0 DIADHN Cc1nc(N[C@H]2C[C@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC001158469794 1047782714 /nfs/dbraw/zinc/78/27/14/1047782714.db2.gz XOCIMQGLNBZKTB-GUYCJALGSA-N 0 3 308.429 4.046 20 0 DIADHN Cc1cc(C)nc(N[C@H](CCO)c2cccc3ccccc32)c1 ZINC001158505994 1047787358 /nfs/dbraw/zinc/78/73/58/1047787358.db2.gz MRNWYWWAXXLBEE-LJQANCHMSA-N 0 3 306.409 4.387 20 0 DIADHN CC(C)=CCC[C@H](C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001158582259 1047789558 /nfs/dbraw/zinc/78/95/58/1047789558.db2.gz XULJWACKQLVIAH-HNNXBMFYSA-N 0 3 313.445 4.156 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccc(F)c(Cl)c1)c1cnn(C)c1 ZINC000927067027 1047791498 /nfs/dbraw/zinc/79/14/98/1047791498.db2.gz NLBJWAHMGUSTPE-MEDUHNTESA-N 0 3 309.816 4.261 20 0 DIADHN CC(C)(NCc1cc2c(c(Cl)c1)OCO2)c1cccc(F)c1 ZINC000553007840 1047814722 /nfs/dbraw/zinc/81/47/22/1047814722.db2.gz YFFWNVYWKNSZLB-UHFFFAOYSA-N 0 3 321.779 4.233 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCCc1ccc(F)c(F)c1 ZINC000553009469 1047814788 /nfs/dbraw/zinc/81/47/88/1047814788.db2.gz OYIYKJVQOFTJFJ-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC[C@@H](C(C)(C)C)C2)c1 ZINC000478906295 1047823661 /nfs/dbraw/zinc/82/36/61/1047823661.db2.gz DIYSINVOHTXQIJ-MRXNPFEDSA-N 0 3 317.477 4.038 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)CCCCC(C)(C)C ZINC000553143805 1047826351 /nfs/dbraw/zinc/82/63/51/1047826351.db2.gz GBBUUIQUCRLRPY-UHFFFAOYSA-N 0 3 320.477 4.302 20 0 DIADHN CSc1cc(Nc2ccnc(CN)c2)ccc1C(F)(F)F ZINC001159296254 1047826534 /nfs/dbraw/zinc/82/65/34/1047826534.db2.gz IVNYXDAKFSCKRV-UHFFFAOYSA-N 0 3 313.348 4.025 20 0 DIADHN NCc1cc(Nc2cc(OCc3ccccc3)ccc2F)ccn1 ZINC001159296210 1047826660 /nfs/dbraw/zinc/82/66/60/1047826660.db2.gz GSCHBYMGBBBSNT-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN NCc1cc(Nc2ccccc2OCc2ccc(F)cc2)ccn1 ZINC001159296758 1047826891 /nfs/dbraw/zinc/82/68/91/1047826891.db2.gz TWWGDNNBRNWGAO-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN COC[C@@H](NCCSc1ccc(C)cc1)c1ccccc1 ZINC000553286281 1047836956 /nfs/dbraw/zinc/83/69/56/1047836956.db2.gz LRWJKUNEIREGHD-GOSISDBHSA-N 0 3 301.455 4.064 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1OC ZINC000479118688 1047840158 /nfs/dbraw/zinc/84/01/58/1047840158.db2.gz MLPAEKYLWGHXTB-MRXNPFEDSA-N 0 3 317.816 4.134 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cccc(C(=O)OC)c2)cc1 ZINC000553340423 1047840522 /nfs/dbraw/zinc/84/05/22/1047840522.db2.gz FZIVIELAPAGYNF-UHFFFAOYSA-N 0 3 311.425 4.061 20 0 DIADHN c1nc(-c2ccncc2)sc1CNC1(c2ccccc2)CCC1 ZINC000553372392 1047843322 /nfs/dbraw/zinc/84/33/22/1047843322.db2.gz QLWNAQPGLQUSKV-UHFFFAOYSA-N 0 3 321.449 4.374 20 0 DIADHN C[C@H]1CN(C[C@@H](O)c2ccc(Cl)c(F)c2)Cc2ccccc21 ZINC001197552443 1047846168 /nfs/dbraw/zinc/84/61/68/1047846168.db2.gz KBKIYJPMLZSGSP-KPZWWZAWSA-N 0 3 319.807 4.132 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccc2n[nH]cc2c1 ZINC001159451288 1047849056 /nfs/dbraw/zinc/84/90/56/1047849056.db2.gz ZYNUIEXFTLFFBR-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN C[C@@H](CN(C)CC(=O)N(C1CC1)C1CCC(C)CC1)C(C)(C)C ZINC001197603892 1047853776 /nfs/dbraw/zinc/85/37/76/1047853776.db2.gz XSZHEXJKTGSDKO-CGZBRXJRSA-N 0 3 322.537 4.170 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(C)cc(F)c3)c2C1 ZINC001174529903 1047858546 /nfs/dbraw/zinc/85/85/46/1047858546.db2.gz JOIRITSYGKDOBP-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C)[C@H]2c2ccccc2)cs1 ZINC001197657234 1047858813 /nfs/dbraw/zinc/85/88/13/1047858813.db2.gz ACQWSBDTXWAVMG-DYVFJYSZSA-N 0 3 315.438 4.118 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@@H](C)[C@@H]2c2ccccc2)cc1 ZINC001197661309 1047859164 /nfs/dbraw/zinc/85/91/64/1047859164.db2.gz TXOYUMCYLQQJTG-OXQOHEQNSA-N 0 3 308.425 4.017 20 0 DIADHN CCN1CCN(c2ccc(Nc3cc(C)cc(F)c3)cc2)CC1 ZINC001174528482 1047859799 /nfs/dbraw/zinc/85/97/99/1047859799.db2.gz FFYOJTQAYHODJP-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN CCc1cccc(F)c1CN1CCC([C@H]2CCCCO2)CC1 ZINC001182918026 1047862399 /nfs/dbraw/zinc/86/23/99/1047862399.db2.gz HTTASVIBTSYLFZ-LJQANCHMSA-N 0 3 305.437 4.169 20 0 DIADHN Cc1cnccc1Nc1cccc(NC(=O)OC(C)(C)C)c1C ZINC001174538147 1047865239 /nfs/dbraw/zinc/86/52/39/1047865239.db2.gz CCQRSRQLVUSBBW-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN Cc1cnccc1Nc1cc(C(F)(F)F)ccc1N1CCCC1 ZINC001174539359 1047865725 /nfs/dbraw/zinc/86/57/25/1047865725.db2.gz ISHXNCVFVSMJKE-UHFFFAOYSA-N 0 3 321.346 4.175 20 0 DIADHN Cc1cnccc1Nc1ccc(F)c(NC(=O)OC(C)(C)C)c1 ZINC001174538195 1047866076 /nfs/dbraw/zinc/86/60/76/1047866076.db2.gz FBUOQZBECKAXRG-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN CN(C)c1ccccc1CN1CC[C@@H](c2c(F)cccc2F)C1 ZINC001197639158 1047866167 /nfs/dbraw/zinc/86/61/67/1047866167.db2.gz MEWYQZFUWNJEEG-OAHLLOKOSA-N 0 3 316.395 4.020 20 0 DIADHN Cc1cnccc1Nc1cnc2c(cccc2C(F)(F)F)c1 ZINC001174537746 1047866597 /nfs/dbraw/zinc/86/65/97/1047866597.db2.gz QWAYSEDPPZMOGQ-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN CN(C)c1cccc(N[C@@H](Cc2ccccc2)c2nccs2)n1 ZINC001159570530 1047869817 /nfs/dbraw/zinc/86/98/17/1047869817.db2.gz MXRVEBXIOAEXRP-HNNXBMFYSA-N 0 3 324.453 4.000 20 0 DIADHN CC(C)(C[NH3+])c1ccc(Nc2c(Cl)ccc([O-])c2F)cc1 ZINC001159582103 1047872887 /nfs/dbraw/zinc/87/28/87/1047872887.db2.gz SMFAXZBCRUUMTB-UHFFFAOYSA-N 0 3 308.784 4.165 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1Cc2c[nH]nc2C[C@H](C)C1 ZINC001197785297 1047880208 /nfs/dbraw/zinc/88/02/08/1047880208.db2.gz CMQNMPKERNLWCS-NWDGAFQWSA-N 0 3 323.362 4.184 20 0 DIADHN CC(C)(CNCc1nccn1CC(F)(F)F)C1=CCCCC1 ZINC000479419752 1047883492 /nfs/dbraw/zinc/88/34/92/1047883492.db2.gz KWPPOHXVKDXEKD-UHFFFAOYSA-N 0 3 315.383 4.062 20 0 DIADHN COc1ccc(SCCN2CC(Cc3ccsc3)C2)cc1 ZINC001183054107 1047883657 /nfs/dbraw/zinc/88/36/57/1047883657.db2.gz TWOKNUSMSZZBDM-UHFFFAOYSA-N 0 3 319.495 4.023 20 0 DIADHN Oc1ccc(C2=CCN([C@H]3CCc4ccc(F)cc43)CC2)cc1 ZINC000479416580 1047886620 /nfs/dbraw/zinc/88/66/20/1047886620.db2.gz YVYHUAMLPDVTNM-FQEVSTJZSA-N 0 3 309.384 4.308 20 0 DIADHN Cc1ccccc1[C@H](CO)N(C)Cc1cccc(C(F)F)c1 ZINC001197818938 1047888091 /nfs/dbraw/zinc/88/80/91/1047888091.db2.gz QIZUTSCYJCEISZ-KRWDZBQOSA-N 0 3 305.368 4.098 20 0 DIADHN COc1ccc(CN(Cc2cc3ccncc3s2)C2CC2)cc1 ZINC000667487660 1047890842 /nfs/dbraw/zinc/89/08/42/1047890842.db2.gz FQQQLOPODDFOBW-UHFFFAOYSA-N 0 3 324.449 4.470 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1nc(C(F)(F)F)cs1 ZINC000479435282 1047890977 /nfs/dbraw/zinc/89/09/77/1047890977.db2.gz GMQJFTLJAHCXAJ-QWRGUYRKSA-N 0 3 304.381 4.244 20 0 DIADHN Cc1ccc(CN[C@@H](C)COc2ccc(Cl)c(Cl)c2)o1 ZINC001183124717 1047891016 /nfs/dbraw/zinc/89/10/16/1047891016.db2.gz RUAWBHNLPPVXEH-JTQLQIEISA-N 0 3 314.212 4.452 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1cccc(Cl)c1 ZINC001197877554 1047893020 /nfs/dbraw/zinc/89/30/20/1047893020.db2.gz XIWDGQWBFLJNPQ-UHFFFAOYSA-N 0 3 323.868 4.070 20 0 DIADHN COC[C@H](C)Oc1ccc(CN(Cc2ccco2)C(C)C)cc1 ZINC000667496788 1047897948 /nfs/dbraw/zinc/89/79/48/1047897948.db2.gz DUEQYJAFJNLNQG-INIZCTEOSA-N 0 3 317.429 4.104 20 0 DIADHN CCC[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000479464429 1047900018 /nfs/dbraw/zinc/90/00/18/1047900018.db2.gz VBWKWZGZDXALMO-FHWLQOOXSA-N 0 3 315.844 4.429 20 0 DIADHN CCC[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1ccc(Cl)cc1 ZINC000479464432 1047901053 /nfs/dbraw/zinc/90/10/53/1047901053.db2.gz VBWKWZGZDXALMO-OTWHNJEPSA-N 0 3 315.844 4.429 20 0 DIADHN Cc1cc([C@H](NCC2(C(F)F)CC2)c2cccnc2)ccc1F ZINC000479446514 1047902438 /nfs/dbraw/zinc/90/24/38/1047902438.db2.gz BFQSUTWUNGAOFB-INIZCTEOSA-N 0 3 320.358 4.253 20 0 DIADHN C[C@@]1(CN2CCc3cccc(C(F)(F)F)c3C2)CCCS1 ZINC001183206367 1047910249 /nfs/dbraw/zinc/91/02/49/1047910249.db2.gz PNMIVNYDKAXZDC-HNNXBMFYSA-N 0 3 315.404 4.349 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2NCc1cccc2c1NCCC2 ZINC001183291041 1047915005 /nfs/dbraw/zinc/91/50/05/1047915005.db2.gz AKBPBDSVZJJFDR-GOSISDBHSA-N 0 3 312.844 4.475 20 0 DIADHN CC(C)(C)c1cc(Nc2cnn([C@H]3CCCCO3)c2)ccn1 ZINC001174599022 1047920012 /nfs/dbraw/zinc/92/00/12/1047920012.db2.gz OFJXKDWOSDCWOL-MRXNPFEDSA-N 0 3 300.406 4.018 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](OC)c1ccccc1 ZINC001174603968 1047922682 /nfs/dbraw/zinc/92/26/82/1047922682.db2.gz OBFGYSOQLZNWHD-LJQANCHMSA-N 0 3 301.405 4.425 20 0 DIADHN C[C@]1(NCCOC2CCCCCC2)CCOc2ccccc21 ZINC001198071425 1047925256 /nfs/dbraw/zinc/92/52/56/1047925256.db2.gz JKXKGOUUDPDFPS-IBGZPJMESA-N 0 3 303.446 4.013 20 0 DIADHN C[C@@H]1CC[C@@H](CN[C@H](c2cccnc2)c2ccc(F)cc2F)C1 ZINC000479532929 1047925937 /nfs/dbraw/zinc/92/59/37/1047925937.db2.gz YFSSPBLSIOCSQM-PJIJBLCYSA-N 0 3 316.395 4.475 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000553717668 1047926807 /nfs/dbraw/zinc/92/68/07/1047926807.db2.gz HZKWDNPNJUDFCN-RHSMWYFYSA-N 0 3 322.880 4.028 20 0 DIADHN c1nc2n(c1CN(Cc1ccccc1)C1CCCCC1)CCC2 ZINC000667518041 1047928528 /nfs/dbraw/zinc/92/85/28/1047928528.db2.gz NHZLGWAXFHMCQX-UHFFFAOYSA-N 0 3 309.457 4.164 20 0 DIADHN O[C@H](Cc1ccc2ccccc2c1)CN(Cc1ccoc1)C1CC1 ZINC001198148101 1047929577 /nfs/dbraw/zinc/92/95/77/1047929577.db2.gz WDNIYGUICNNLPT-OAQYLSRUSA-N 0 3 321.420 4.001 20 0 DIADHN CCCc1cccc(CNCc2cccc(N3CCCC3=O)c2)c1 ZINC000479566477 1047932901 /nfs/dbraw/zinc/93/29/01/1047932901.db2.gz DCZMVXBWLSGINP-UHFFFAOYSA-N 0 3 322.452 4.056 20 0 DIADHN Cc1cc(Nc2cc(-c3cccc(C(F)(F)F)c3)no2)ccn1 ZINC001174650847 1047935386 /nfs/dbraw/zinc/93/53/86/1047935386.db2.gz CFFZLOBVGQYQIE-UHFFFAOYSA-N 0 3 319.286 4.229 20 0 DIADHN CCOc1cccc(Cl)c1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC001174651096 1047935539 /nfs/dbraw/zinc/93/55/39/1047935539.db2.gz CAGIASYRHWYXGH-OLZOCXBDSA-N 0 3 311.878 4.209 20 0 DIADHN C[C@H](c1cccnc1)N1CCC[C@@]2(C=Cc3ccccc3O2)C1 ZINC001198241494 1047938858 /nfs/dbraw/zinc/93/88/58/1047938858.db2.gz NOZVQMINBZVVLV-OXQOHEQNSA-N 0 3 306.409 4.083 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC[C@]2(C=Cc3ccccc3O2)C1 ZINC001198241488 1047938950 /nfs/dbraw/zinc/93/89/50/1047938950.db2.gz NOZVQMINBZVVLV-JXFKEZNVSA-N 0 3 306.409 4.083 20 0 DIADHN C[C@@H](Cc1cccs1)N(C)Cc1nc2ccccc2s1 ZINC000081579128 1047939595 /nfs/dbraw/zinc/93/95/95/1047939595.db2.gz SCJDWHBDKFHGSD-LBPRGKRZSA-N 0 3 302.468 4.421 20 0 DIADHN CCCOc1c(CNC[C@@H](C)C(F)(F)F)cccc1OCC ZINC000479612491 1047940302 /nfs/dbraw/zinc/94/03/02/1047940302.db2.gz MPKHHODXSBRTCH-GFCCVEGCSA-N 0 3 319.367 4.162 20 0 DIADHN C[C@@H](CNCc1ccc(OC(F)F)c(Cl)c1)C(F)(F)F ZINC000479609727 1047940500 /nfs/dbraw/zinc/94/05/00/1047940500.db2.gz RTUGPFFOWAKHLX-ZETCQYMHSA-N 0 3 317.685 4.229 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(F)c3C)c2C1 ZINC001174667160 1047941917 /nfs/dbraw/zinc/94/19/17/1047941917.db2.gz NREDJFBCLFLPJA-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN C[C@H]1CC(C)(C)c2cccc(NC(=O)C34CCCN3CCC4)c21 ZINC001183557241 1047942355 /nfs/dbraw/zinc/94/23/55/1047942355.db2.gz QACBDTVTTFBFRZ-AWEZNQCLSA-N 0 3 312.457 4.038 20 0 DIADHN C[C@@H]1CC(C)(C)c2cccc(NC(=O)C34CCCN3CCC4)c21 ZINC001183557242 1047943088 /nfs/dbraw/zinc/94/30/88/1047943088.db2.gz QACBDTVTTFBFRZ-CQSZACIVSA-N 0 3 312.457 4.038 20 0 DIADHN C(=C/[C@@H]1CCCN1C[C@@H]1COc2ccccc2O1)\c1ccccc1 ZINC001198271202 1047943466 /nfs/dbraw/zinc/94/34/66/1047943466.db2.gz UMENIFHQQUNNMI-UBJZUDALSA-N 0 3 321.420 4.004 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCN([C@H](C)c3ccccc3)CC2)cc1 ZINC001183532594 1047943982 /nfs/dbraw/zinc/94/39/82/1047943982.db2.gz FDDWMQGSLISRLQ-VQTJNVASSA-N 0 3 322.496 4.477 20 0 DIADHN Cc1scc(CN2CCN([C@@H](C)c3ccccc3)CC2)c1C ZINC001183535866 1047944524 /nfs/dbraw/zinc/94/45/24/1047944524.db2.gz ZFDWTSZEACTMAL-INIZCTEOSA-N 0 3 314.498 4.244 20 0 DIADHN CCCn1nccc1CN1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC000667530911 1047946430 /nfs/dbraw/zinc/94/64/30/1047946430.db2.gz PFZLEHIXSHVTDL-KRWDZBQOSA-N 0 3 322.456 4.154 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@@H](C3CCC3)C2)c1Cl ZINC001174705126 1047947151 /nfs/dbraw/zinc/94/71/51/1047947151.db2.gz RCSDIWWZJPCMFM-CQSZACIVSA-N 0 3 321.848 4.139 20 0 DIADHN COC[C@@H](CC(C)C)NCc1ccc(Cl)cc1OC(C)C ZINC001183580711 1047947924 /nfs/dbraw/zinc/94/79/24/1047947924.db2.gz CDWKDXDCNNSUSY-MRXNPFEDSA-N 0 3 313.869 4.278 20 0 DIADHN C[C@@H](NCc1cccc(O)c1)c1ccc(-c2ccncc2)cc1 ZINC000072742063 1047949016 /nfs/dbraw/zinc/94/90/16/1047949016.db2.gz OSIRCVQJJHSHBF-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3cc(C)cc(Cl)c3)C2)n1 ZINC001183672893 1047952730 /nfs/dbraw/zinc/95/27/30/1047952730.db2.gz OKWSTCXTESFWJP-CQSZACIVSA-N 0 3 306.862 4.403 20 0 DIADHN c1cc2c(cccc2NC2=CCN(Cc3ccccc3)CC2)[nH]1 ZINC001159933166 1047954102 /nfs/dbraw/zinc/95/41/02/1047954102.db2.gz OIGKKCCGXRHPKS-UHFFFAOYSA-N 0 3 303.409 4.370 20 0 DIADHN Fc1cc(OCCN2CCC[C@H]2c2cccnc2)ccc1Cl ZINC000553890950 1047960953 /nfs/dbraw/zinc/96/09/53/1047960953.db2.gz SJPBMTPVBYHUCX-KRWDZBQOSA-N 0 3 320.795 4.090 20 0 DIADHN Cc1ccc2c(c1)[nH]cc2C1=CCN(CCOC2CCC2)CC1 ZINC000553886423 1047961529 /nfs/dbraw/zinc/96/15/29/1047961529.db2.gz NEJJCWUTSFBKJT-UHFFFAOYSA-N 0 3 310.441 4.135 20 0 DIADHN Cc1cc(CN(C)[C@H](C)Cc2ccccc2C(F)(F)F)on1 ZINC000553927547 1047969010 /nfs/dbraw/zinc/96/90/10/1047969010.db2.gz GMIQAKXHOSDQOX-GFCCVEGCSA-N 0 3 312.335 4.065 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@H]1CC2(CCC2)CO1 ZINC001183854009 1047971568 /nfs/dbraw/zinc/97/15/68/1047971568.db2.gz FVWVRLOYUNQJHS-GFCCVEGCSA-N 0 3 305.805 4.135 20 0 DIADHN C[C@@H](NC[C@]1(c2ccccc2)CCCO1)c1ccncc1Cl ZINC000927119998 1047971800 /nfs/dbraw/zinc/97/18/00/1047971800.db2.gz NINQUBLTSWOYCV-KDOFPFPSSA-N 0 3 316.832 4.092 20 0 DIADHN C[C@H](CCC1CCCCC1)NCc1nc(CN(C)C)cs1 ZINC001183863219 1047978128 /nfs/dbraw/zinc/97/81/28/1047978128.db2.gz AEQAVQWQUCWLQX-CQSZACIVSA-N 0 3 309.523 4.043 20 0 DIADHN CN1CCC(N(C(=O)C(C)(C)CC2CCC2)C2CCCC2)CC1 ZINC001183924775 1047984487 /nfs/dbraw/zinc/98/44/87/1047984487.db2.gz ALAKDHQVESAWSE-UHFFFAOYSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H](N[C@@H](C)COCC1CCCCC1)c1cc2cnccc2o1 ZINC001183919466 1047984789 /nfs/dbraw/zinc/98/47/89/1047984789.db2.gz LGKLPLHCMRPIOT-LSDHHAIUSA-N 0 3 316.445 4.464 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC001183929726 1047985146 /nfs/dbraw/zinc/98/51/46/1047985146.db2.gz NMFNHOWEFIXNAX-INIZCTEOSA-N 0 3 313.466 4.306 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H]1CCCc2ccc(C)cc21 ZINC001160165974 1047987312 /nfs/dbraw/zinc/98/73/12/1047987312.db2.gz WZQVGQGSMGCXGU-LJQANCHMSA-N 0 3 316.489 4.001 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2cccs2)N2CCCC2)o1 ZINC000479847649 1047987650 /nfs/dbraw/zinc/98/76/50/1047987650.db2.gz CJMMZMUARBJFJZ-ZBFHGGJFSA-N 0 3 318.486 4.391 20 0 DIADHN Cc1noc(CN2CCC[C@]2(C)Cc2cccc3ccccc32)n1 ZINC001174799171 1047988509 /nfs/dbraw/zinc/98/85/09/1047988509.db2.gz SDSALZCOBWGNOD-HXUWFJFHSA-N 0 3 321.424 4.128 20 0 DIADHN C[C@H](NC[C@H](c1cccc(F)c1)N(C)C)c1ccc(F)cc1F ZINC000479874997 1047989179 /nfs/dbraw/zinc/98/91/79/1047989179.db2.gz PARPSSAZCTYMKW-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1cc(F)ccc1F ZINC000479873232 1047989625 /nfs/dbraw/zinc/98/96/25/1047989625.db2.gz LSGPUFDAOSDINL-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN COC[C@H](NCc1ccc2[nH]c(C)c(C)c2c1)c1ccccc1 ZINC000554049771 1047990741 /nfs/dbraw/zinc/99/07/41/1047990741.db2.gz BIUFBZRVQOFGTQ-FQEVSTJZSA-N 0 3 308.425 4.262 20 0 DIADHN CC[C@H]1CC[C@@H]1NCc1csc(CCc2ccccc2)n1 ZINC000554047849 1047991322 /nfs/dbraw/zinc/99/13/22/1047991322.db2.gz JJKIUVJTPATQNE-RDJZCZTQSA-N 0 3 300.471 4.207 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCOC(C2CC2)(C2CC2)C1 ZINC001174890498 1047992878 /nfs/dbraw/zinc/99/28/78/1047992878.db2.gz HUGMYQQBDNUCGM-GOSISDBHSA-N 0 3 303.421 4.168 20 0 DIADHN CCOc1cccc(Cl)c1CNC1(COC)CCCCC1 ZINC001174890516 1047993199 /nfs/dbraw/zinc/99/31/99/1047993199.db2.gz IJXJIBXKRHKSQU-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN CCOc1cc(C[NH2+]CC(C)(C)C2CCC2)cc(Cl)c1[O-] ZINC001205850201 1047997059 /nfs/dbraw/zinc/99/70/59/1047997059.db2.gz ZCFUNIGJRJCBLL-UHFFFAOYSA-N 0 3 311.853 4.360 20 0 DIADHN CSc1ccccc1CN1CCO[C@H](c2ccccc2)CC1 ZINC001198704673 1047999044 /nfs/dbraw/zinc/99/90/44/1047999044.db2.gz CSRFIWRULUCRDB-SFHVURJKSA-N 0 3 313.466 4.372 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C3CCCC3)C2)cc1C ZINC001198706163 1047999166 /nfs/dbraw/zinc/99/91/66/1047999166.db2.gz PBVSKSVKSXGBQC-SFHVURJKSA-N 0 3 314.473 4.144 20 0 DIADHN Cc1cc(Nc2cccc(CN3CCCCC3)c2F)ccc1N ZINC001160211540 1047999122 /nfs/dbraw/zinc/99/91/22/1047999122.db2.gz KVHAUVRASKBSLY-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCO[C@@H](c3ccccc3)CC1)CC2 ZINC001198704487 1048000058 /nfs/dbraw/zinc/00/00/58/1048000058.db2.gz BDARKYWSATYJRG-WOJBJXKFSA-N 0 3 311.400 4.277 20 0 DIADHN Fc1ccc([C@H]2CCN2C[C@H]2CCN(c3ccccc3)C2)cc1 ZINC001184143111 1048000078 /nfs/dbraw/zinc/00/00/78/1048000078.db2.gz OHIBWJBCAYSWRG-OXJNMPFZSA-N 0 3 310.416 4.099 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554093250 1048001079 /nfs/dbraw/zinc/00/10/79/1048001079.db2.gz YLKDCYAUISZSAT-OXQOHEQNSA-N 0 3 314.448 4.396 20 0 DIADHN C/C=C\c1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@@H]2C)cc1 ZINC000554093048 1048001204 /nfs/dbraw/zinc/00/12/04/1048001204.db2.gz ULNBCXLVILGCBQ-XSUWAUKKSA-N 0 3 321.468 4.437 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554093251 1048001685 /nfs/dbraw/zinc/00/16/85/1048001685.db2.gz YLKDCYAUISZSAT-UZLBHIALSA-N 0 3 314.448 4.396 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)ncn2C)c1ccc(Cl)s1 ZINC000775912394 1048013694 /nfs/dbraw/zinc/01/36/94/1048013694.db2.gz BWIWCPFUCIPHFM-SNVBAGLBSA-N 0 3 305.834 4.139 20 0 DIADHN CCOc1ccc(CCN(C)Cc2scnc2C2CC2)cc1 ZINC001184229413 1048014601 /nfs/dbraw/zinc/01/46/01/1048014601.db2.gz SJUNFWJGTKUOBA-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN CC1(C)C[C@@H](NCc2cc3ccccc3nc2Cl)C(C)(C)O1 ZINC000775927640 1048026714 /nfs/dbraw/zinc/02/67/14/1048026714.db2.gz NEJIFLCGKKXHCY-OAHLLOKOSA-N 0 3 318.848 4.324 20 0 DIADHN CC(=O)CC(C)(C)Nc1nc(N2CCCC2)cc2ccccc21 ZINC001160300438 1048027086 /nfs/dbraw/zinc/02/70/86/1048027086.db2.gz FMFXCLAMXMMAGA-UHFFFAOYSA-N 0 3 311.429 4.005 20 0 DIADHN Cc1ccc2cc(CN3CCCO[C@H](c4ccccc4)C3)[nH]c2c1 ZINC000667591565 1048031238 /nfs/dbraw/zinc/03/12/38/1048031238.db2.gz WHKMLBOYGYLIDB-NRFANRHFSA-N 0 3 320.436 4.440 20 0 DIADHN Cc1ccc(N)c(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001160336324 1048035576 /nfs/dbraw/zinc/03/55/76/1048035576.db2.gz PVPSXIVRIARKOZ-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Cc1ccc([C@@H](NCc2cnn(CC3CCC3)c2)C2CC2)cc1 ZINC001199126680 1048037998 /nfs/dbraw/zinc/03/79/98/1048037998.db2.gz DSOIONBCHKFKMU-HXUWFJFHSA-N 0 3 309.457 4.233 20 0 DIADHN Cc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)c(N)c1 ZINC001160340387 1048038317 /nfs/dbraw/zinc/03/83/17/1048038317.db2.gz KHVLYKHUXSPSCT-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN COc1nsc(CNC[C@H](c2ccccc2)C(C)C)c1Cl ZINC001199118837 1048039129 /nfs/dbraw/zinc/03/91/29/1048039129.db2.gz RQXVJRWTIXOWJT-ZDUSSCGKSA-N 0 3 324.877 4.335 20 0 DIADHN COc1ccccc1/C=C/CN[C@H](C)c1cccc(NC(C)=O)c1 ZINC001199111388 1048039658 /nfs/dbraw/zinc/03/96/58/1048039658.db2.gz YYSJHCGPXLISOX-JOZWUWCOSA-N 0 3 324.424 4.018 20 0 DIADHN C[C@H](c1ccco1)N(C[C@H](O)Cc1cccc(Cl)c1)C1CC1 ZINC000554245450 1048046951 /nfs/dbraw/zinc/04/69/51/1048046951.db2.gz BZECOJWHUORDFV-CXAGYDPISA-N 0 3 319.832 4.062 20 0 DIADHN Cc1ccc(CCNCc2cc3cccc(C)c3nc2Cl)o1 ZINC001199248478 1048047712 /nfs/dbraw/zinc/04/77/12/1048047712.db2.gz TYRCWIMUJFZCCT-UHFFFAOYSA-N 0 3 314.816 4.430 20 0 DIADHN CC[C@H](C)NC(=O)c1ccc(CNCc2c(C)cccc2C)cc1 ZINC001199310003 1048054645 /nfs/dbraw/zinc/05/46/45/1048054645.db2.gz PDCBTSZMQPUGAR-KRWDZBQOSA-N 0 3 324.468 4.122 20 0 DIADHN CC(C)C[C@@H](Nc1ncccc1CN1CCCC1)c1ccccn1 ZINC001160487407 1048055811 /nfs/dbraw/zinc/05/58/11/1048055811.db2.gz HTWCMTOWZFKBLX-LJQANCHMSA-N 0 3 324.472 4.272 20 0 DIADHN CN(C)c1cccc(N[C@H](c2ccccc2)c2ccc(O)cc2)n1 ZINC001160494461 1048057071 /nfs/dbraw/zinc/05/70/71/1048057071.db2.gz MGMWFNJUNJLTRK-HXUWFJFHSA-N 0 3 319.408 4.055 20 0 DIADHN CN(C)c1cccc(NC2c3ccccc3Oc3ccccc32)n1 ZINC001160489335 1048058644 /nfs/dbraw/zinc/05/86/44/1048058644.db2.gz LWJOIGBLNLTZMG-UHFFFAOYSA-N 0 3 317.392 4.455 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2cc(-c3ccccc3)no2)cc1 ZINC000924734116 1048059566 /nfs/dbraw/zinc/05/95/66/1048059566.db2.gz KYMSMJCMTWBPBK-KXBFYZLASA-N 0 3 322.408 4.034 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc(N2CCCCCC2)nc1 ZINC001199367451 1048059803 /nfs/dbraw/zinc/05/98/03/1048059803.db2.gz WSGJXGFCDVANTC-UHFFFAOYSA-N 0 3 323.484 4.369 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4o3)CC2)c(C)o1 ZINC000161061560 1048059994 /nfs/dbraw/zinc/05/99/94/1048059994.db2.gz BXCYMROAPWPOIR-UHFFFAOYSA-N 0 3 310.397 4.417 20 0 DIADHN c1nc(C2CC2)sc1CNCCOc1cccc2ccccc21 ZINC001199421685 1048064430 /nfs/dbraw/zinc/06/44/30/1048064430.db2.gz YJDPPDFHVOAMQB-UHFFFAOYSA-N 0 3 324.449 4.342 20 0 DIADHN CN(C)Cc1ccnc(N[C@@]23C[C@H]4C[C@@](C)(C2)C[C@](C)(C4)C3)c1 ZINC001160639328 1048071533 /nfs/dbraw/zinc/07/15/33/1048071533.db2.gz SHVFQFHRHNYUCI-MWKAWSJZSA-N 0 3 313.489 4.304 20 0 DIADHN Cc1ncc(Nc2ccc(OCc3ccccc3)c(F)c2)n1C ZINC001175019926 1048075132 /nfs/dbraw/zinc/07/51/32/1048075132.db2.gz WRKMBPINDATWKD-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@@H]1CC[C@H]1C1CC1 ZINC000554372155 1048075475 /nfs/dbraw/zinc/07/54/75/1048075475.db2.gz IYVFOWIEQOTSSJ-PKOBYXMFSA-N 0 3 316.514 4.144 20 0 DIADHN COc1ccc(C)cc1CNCCOc1ccc2ccccc2c1 ZINC001199554082 1048075681 /nfs/dbraw/zinc/07/56/81/1048075681.db2.gz FDFRUPDTNRDLSB-UHFFFAOYSA-N 0 3 321.420 4.325 20 0 DIADHN Cc1cccc([C@H](NCc2cnn(C)c2C2CC2)C(C)(C)C)c1 ZINC001199639897 1048082916 /nfs/dbraw/zinc/08/29/16/1048082916.db2.gz MZZPTDLOWFUARD-IBGZPJMESA-N 0 3 311.473 4.483 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCC[C@H](C)C3)c(Cl)nc21 ZINC000776000612 1048083381 /nfs/dbraw/zinc/08/33/81/1048083381.db2.gz YLSQUZZJPPGABN-ZDUSSCGKSA-N 0 3 320.868 4.288 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CC[C@H](F)C2)c2cccnc2)ccc1F ZINC000554375598 1048087717 /nfs/dbraw/zinc/08/77/17/1048087717.db2.gz SNDIALUKCOFCBD-JZXOWHBKSA-N 0 3 302.368 4.099 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000480201805 1048089176 /nfs/dbraw/zinc/08/91/76/1048089176.db2.gz WLAFDRXIDJDCJJ-GJZGRUSLSA-N 0 3 318.848 4.470 20 0 DIADHN CCCN(CCC)C(=O)C[C@@H](N)c1cccc(Cl)c1Cl ZINC001160861665 1048091370 /nfs/dbraw/zinc/09/13/70/1048091370.db2.gz XNNVPFOKIKUHSN-CYBMUJFWSA-N 0 3 317.260 4.032 20 0 DIADHN Cc1ccc2cc(CN(C)[C@@H](CCO)c3ccccc3)[nH]c2c1 ZINC000667642870 1048102281 /nfs/dbraw/zinc/10/22/81/1048102281.db2.gz MZDWRLWADWXFIB-FQEVSTJZSA-N 0 3 308.425 4.032 20 0 DIADHN C[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1ccccc1Cl ZINC000480249831 1048103789 /nfs/dbraw/zinc/10/37/89/1048103789.db2.gz QKQNYPRIQACYJC-WBMJQRKESA-N 0 3 308.878 4.355 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccc(Cl)cn1 ZINC000480253612 1048105541 /nfs/dbraw/zinc/10/55/41/1048105541.db2.gz LVPKWLLDDSWFNW-ZBFHGGJFSA-N 0 3 315.848 4.055 20 0 DIADHN COc1ccc(OC)c(CN[C@H](C)c2cc3c(s2)CCC3)c1 ZINC000480263509 1048110191 /nfs/dbraw/zinc/11/01/91/1048110191.db2.gz MHPWBXKWJUCJFC-GFCCVEGCSA-N 0 3 317.454 4.105 20 0 DIADHN CC(C)c1cccc(CN(C)C[C@@H](C)NC(=O)OC(C)(C)C)c1 ZINC001175054507 1048111755 /nfs/dbraw/zinc/11/17/55/1048111755.db2.gz SJODYSAGKOGQSK-OAHLLOKOSA-N 0 3 320.477 4.155 20 0 DIADHN COc1cccc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)n1 ZINC000480306629 1048117100 /nfs/dbraw/zinc/11/71/00/1048117100.db2.gz ISADMQQZOGNBCZ-PXNSSMCTSA-N 0 3 310.441 4.140 20 0 DIADHN C[C@@H]1C[C@]1(NCc1ccc2[nH]cnc2c1)c1ccc(Cl)cc1 ZINC000554547620 1048125013 /nfs/dbraw/zinc/12/50/13/1048125013.db2.gz MAGCKQJUDKEEDM-KZULUSFZSA-N 0 3 311.816 4.241 20 0 DIADHN C[C@@H]1C[C@]1(NCc1ccc2nc[nH]c2c1)c1ccc(Cl)cc1 ZINC000554547620 1048125021 /nfs/dbraw/zinc/12/50/21/1048125021.db2.gz MAGCKQJUDKEEDM-KZULUSFZSA-N 0 3 311.816 4.241 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)C(=O)[C@H](N)C(C)(C)C ZINC001161387209 1048126437 /nfs/dbraw/zinc/12/64/37/1048126437.db2.gz BNJQRCWBVOBVPL-MSOLQXFVSA-N 0 3 318.505 4.010 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cnc2n1CCC2)CC1CCC1 ZINC000667664523 1048128001 /nfs/dbraw/zinc/12/80/01/1048128001.db2.gz MZNLKUZSXBWTNE-MRXNPFEDSA-N 0 3 309.457 4.193 20 0 DIADHN C[C@H](O)C[C@@H](CNCc1ccsc1Cl)c1ccccc1 ZINC000480358100 1048129687 /nfs/dbraw/zinc/12/96/87/1048129687.db2.gz RVSRVVMUPUOKHT-WFASDCNBSA-N 0 3 309.862 4.046 20 0 DIADHN Cc1ccc([C@@H](CNCc2ccsc2Cl)N(C)C)cc1 ZINC000480370355 1048133735 /nfs/dbraw/zinc/13/37/35/1048133735.db2.gz GIYDCPGRURWHSF-OAHLLOKOSA-N 0 3 308.878 4.102 20 0 DIADHN COc1cccc(CN2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC001137528767 1048143550 /nfs/dbraw/zinc/14/35/50/1048143550.db2.gz SJPJSQZDPSTOKR-UHFFFAOYSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1ccc(CC(C)(C)CNc2cc(CN(C)C)ccn2)cc1 ZINC001161619885 1048145843 /nfs/dbraw/zinc/14/58/43/1048145843.db2.gz CKTUMLWABZBGJD-UHFFFAOYSA-N 0 3 311.473 4.132 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC(Cc3nccs3)CC2)c1 ZINC001175156786 1048146646 /nfs/dbraw/zinc/14/66/46/1048146646.db2.gz SNWIAPPOFPEVMC-DLBZAZTESA-N 0 3 316.445 4.093 20 0 DIADHN CO[C@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001200891187 1048153349 /nfs/dbraw/zinc/15/33/49/1048153349.db2.gz JZYDUWRDDLGMAT-QWRGUYRKSA-N 0 3 306.208 4.036 20 0 DIADHN COC[C@@H](Cc1ccccc1)NCc1ccc(-c2ccccc2)o1 ZINC001200910485 1048155025 /nfs/dbraw/zinc/15/50/25/1048155025.db2.gz GDPZOFGJBYCSOI-LJQANCHMSA-N 0 3 321.420 4.294 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2c(F)c(F)cc(F)c2F)C1 ZINC001200931788 1048160173 /nfs/dbraw/zinc/16/01/73/1048160173.db2.gz DMNRATCOLZTFOY-IUCAKERBSA-N 0 3 307.356 4.007 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C2(Cc3ccccc3)CCC2)c1 ZINC001200970998 1048163744 /nfs/dbraw/zinc/16/37/44/1048163744.db2.gz HBPZTKYCNNDZJG-UHFFFAOYSA-N 0 3 301.817 4.301 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN[C@@H](C)c1cnn(C)c1 ZINC000554718219 1048164185 /nfs/dbraw/zinc/16/41/85/1048164185.db2.gz PTPYYYJTPHOKTE-WHGQRRHOSA-N 0 3 323.362 4.193 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCc1ccccc1C ZINC001200981691 1048165219 /nfs/dbraw/zinc/16/52/19/1048165219.db2.gz ZVMDRVUHXKRXFJ-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000554740041 1048170507 /nfs/dbraw/zinc/17/05/07/1048170507.db2.gz AXFRDSWMIRUZPM-ATZDWAIDSA-N 0 3 310.441 4.215 20 0 DIADHN Cl/C=C/CNCc1cn(-c2ccccc2)nc1-c1ccccc1 ZINC001203960664 1048177188 /nfs/dbraw/zinc/17/71/88/1048177188.db2.gz HTHPQQMYHHFCPS-KPKJPENVSA-N 0 3 323.827 4.381 20 0 DIADHN COc1ccsc1CN[C@H](C)c1cccc(N2CCCC2)c1 ZINC001201008032 1048180167 /nfs/dbraw/zinc/18/01/67/1048180167.db2.gz IVICDRKPTKBXHF-CQSZACIVSA-N 0 3 316.470 4.208 20 0 DIADHN Clc1sccc1CNC[C@H]1CCN(c2ccccc2)C1 ZINC000480638199 1048204385 /nfs/dbraw/zinc/20/43/85/1048204385.db2.gz CSAQPAFJUBDSBI-CYBMUJFWSA-N 0 3 306.862 4.018 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2ccc(Cl)cc21)C(F)(F)F ZINC000480660884 1048211035 /nfs/dbraw/zinc/21/10/35/1048211035.db2.gz JEUGNXBEIGMKRP-BXKDBHETSA-N 0 3 307.743 4.342 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)c(Cl)c1)c1ccccc1 ZINC000066109829 1048224126 /nfs/dbraw/zinc/22/41/26/1048224126.db2.gz IIFJSGCARFZEDN-KRWDZBQOSA-N 0 3 316.832 4.328 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NC[C@@H](O)c1ccco1 ZINC000776153580 1048233988 /nfs/dbraw/zinc/23/39/88/1048233988.db2.gz FSHVWKDSKFNZNZ-CZUORRHYSA-N 0 3 313.397 4.281 20 0 DIADHN CC(C)(O)CCN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000480765389 1048236847 /nfs/dbraw/zinc/23/68/47/1048236847.db2.gz ZHOHHYIRKQELCI-QGZVFWFLSA-N 0 3 321.823 4.319 20 0 DIADHN Fc1ccc([C@H](NCCNc2ccccn2)c2ccccc2)cc1 ZINC000480779539 1048240121 /nfs/dbraw/zinc/24/01/21/1048240121.db2.gz QCXTVXRCHNWJQK-HXUWFJFHSA-N 0 3 321.399 4.012 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCC=CO2)c2cccs2)cc1 ZINC000774955243 1048243351 /nfs/dbraw/zinc/24/33/51/1048243351.db2.gz NGLXYXIYPWAUJR-WBVHZDCISA-N 0 3 303.402 4.259 20 0 DIADHN [O-]c1ccc(F)c(Nc2ccccc2C[NH+]2CCCCC2)c1F ZINC001175268200 1048246433 /nfs/dbraw/zinc/24/64/33/1048246433.db2.gz JXWJZPIKBUUJLU-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN COc1ccccc1CN(C[C@H](OC)c1ccccc1)C1CC1 ZINC000555012549 1048254064 /nfs/dbraw/zinc/25/40/64/1048254064.db2.gz HSBQQKVTDGHQOS-FQEVSTJZSA-N 0 3 311.425 4.047 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2nc(C)cs2)C2CC2)C[C@@H]1OC ZINC000776162730 1048259229 /nfs/dbraw/zinc/25/92/29/1048259229.db2.gz JTMSOFKNDXQHJQ-QLFBSQMISA-N 0 3 308.491 4.086 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2nc(C)cs2)C2CC2)C[C@H]1OC ZINC000776162731 1048259604 /nfs/dbraw/zinc/25/96/04/1048259604.db2.gz JTMSOFKNDXQHJQ-RBSFLKMASA-N 0 3 308.491 4.086 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2cc3cc(Cl)ccc3o2)o1 ZINC000776162716 1048260391 /nfs/dbraw/zinc/26/03/91/1048260391.db2.gz JSEUWRIKJSIHMS-BXUZGUMPSA-N 0 3 319.788 4.372 20 0 DIADHN C[C@@H](NC/C=C/Cl)c1ccc(OCc2cccnc2)cc1 ZINC000774970394 1048261051 /nfs/dbraw/zinc/26/10/51/1048261051.db2.gz DAZMPVZQFRVPLX-WVRVXFOWSA-N 0 3 302.805 4.064 20 0 DIADHN C[C@@H](NCc1cnc2ccccn12)c1csc2ccccc12 ZINC000776167945 1048263080 /nfs/dbraw/zinc/26/30/80/1048263080.db2.gz HCPVVVOGTKBQCU-CYBMUJFWSA-N 0 3 307.422 4.400 20 0 DIADHN CCOc1ccc([C@@H](NC[C@@H]2CCC=CO2)c2ccccc2)cc1 ZINC000774973799 1048263912 /nfs/dbraw/zinc/26/39/12/1048263912.db2.gz DMWWBXSMFQPRMV-SFTDATJTSA-N 0 3 323.436 4.457 20 0 DIADHN CC(C)P(CCNc1nnc(Cl)c2cccnc21)C(C)C ZINC001162358282 1048267989 /nfs/dbraw/zinc/26/79/89/1048267989.db2.gz LWDPLQVRCQVZPP-UHFFFAOYSA-N 0 3 324.796 4.389 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(F)cc2N(C)C)c1 ZINC000480906538 1048270619 /nfs/dbraw/zinc/27/06/19/1048270619.db2.gz DVGWRXVZCHNBLC-KGLIPLIRSA-N 0 3 316.420 4.312 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CCC=CO2)c2ccc(C)cc2)cc1 ZINC000774987353 1048272318 /nfs/dbraw/zinc/27/23/18/1048272318.db2.gz UHYQWCPRXHNLLQ-NHCUHLMSSA-N 0 3 323.436 4.375 20 0 DIADHN Cc1cccnc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201470049 1048272936 /nfs/dbraw/zinc/27/29/36/1048272936.db2.gz KMVFWVLXRLLLGQ-MOPGFXCFSA-N 0 3 305.425 4.123 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nc(Cl)ccc1Br ZINC001201449343 1048279359 /nfs/dbraw/zinc/27/93/59/1048279359.db2.gz AGKPWXCYGXKRCP-MWLCHTKSSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1cc(F)nc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201474340 1048295370 /nfs/dbraw/zinc/29/53/70/1048295370.db2.gz CRRBOYNVXWSHTC-MSOLQXFVSA-N 0 3 323.415 4.262 20 0 DIADHN CC(C)n1cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001201475307 1048297508 /nfs/dbraw/zinc/29/75/08/1048297508.db2.gz SYAUKJPIGPQWBV-UXHICEINSA-N 0 3 322.456 4.197 20 0 DIADHN Nc1ccc(F)c(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201477508 1048297544 /nfs/dbraw/zinc/29/75/44/1048297544.db2.gz HKQRKYWCKZHOSX-MSOLQXFVSA-N 0 3 323.415 4.141 20 0 DIADHN CN(Cc1ccc(NC[C@@H]2CCCc3ccccc32)nc1)C1CC1 ZINC001162554348 1048301965 /nfs/dbraw/zinc/30/19/65/1048301965.db2.gz GCYUEGRNYWSMNV-SFHVURJKSA-N 0 3 321.468 4.208 20 0 DIADHN COc1cc([C@@H](C)NC/C=C\Cl)ccc1OC1CCCC1 ZINC000775017558 1048302791 /nfs/dbraw/zinc/30/27/91/1048302791.db2.gz HPDFBQCFRIGZTE-OMPYUXFZSA-N 0 3 309.837 4.420 20 0 DIADHN CCOc1cccc(F)c1CNCC1(Cc2ccccc2)CC1 ZINC001201549731 1048304417 /nfs/dbraw/zinc/30/44/17/1048304417.db2.gz QGDHXVRBJHKCAS-UHFFFAOYSA-N 0 3 313.416 4.337 20 0 DIADHN CC[C@H](C)CN(C)[C@H](c1ccc(Cl)cc1)c1cnccn1 ZINC000481084254 1048304992 /nfs/dbraw/zinc/30/49/92/1048304992.db2.gz BMZXYNLRTIVPRZ-SUMWQHHRSA-N 0 3 303.837 4.197 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000481117146 1048308159 /nfs/dbraw/zinc/30/81/59/1048308159.db2.gz QSPBCYFAODFAKV-DGCLKSJQSA-N 0 3 305.447 4.103 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc(F)c2ccccc2c1 ZINC000555284453 1048309691 /nfs/dbraw/zinc/30/96/91/1048309691.db2.gz YRMJDPFOLVHWPB-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN Cc1cc(Br)ccc1CN(C)Cc1cccc(O)c1 ZINC000481120563 1048312169 /nfs/dbraw/zinc/31/21/69/1048312169.db2.gz SERFSZIJDFOWHZ-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN CC(C)[C@H]1CN([C@@H](C)c2cc(Cl)ccc2Cl)CCN1C ZINC001175472826 1048315458 /nfs/dbraw/zinc/31/54/58/1048315458.db2.gz OSPRVZRMABKYGZ-BLLLJJGKSA-N 0 3 315.288 4.326 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000481186841 1048321630 /nfs/dbraw/zinc/32/16/30/1048321630.db2.gz YLBQBIKXNLXNEF-QHAWAJNXSA-N 0 3 309.453 4.330 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCCCC3(C)C)co2)cc1 ZINC000481175800 1048321969 /nfs/dbraw/zinc/32/19/69/1048321969.db2.gz QDSIWQZHJPHHSP-KRWDZBQOSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@@]1(Cc2ccc(F)c(F)c2)CCCN1Cc1ccns1 ZINC001175490543 1048325670 /nfs/dbraw/zinc/32/56/70/1048325670.db2.gz DZHIYWVWVSQQAB-INIZCTEOSA-N 0 3 308.397 4.019 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(NC[C@H]2CCC(F)(F)C2)nc1 ZINC001162648870 1048326295 /nfs/dbraw/zinc/32/62/95/1048326295.db2.gz VNYYENQUSVOOMV-DZGCQCFKSA-N 0 3 309.404 4.086 20 0 DIADHN CCCn1ccc(CN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)c1 ZINC000555349559 1048327427 /nfs/dbraw/zinc/32/74/27/1048327427.db2.gz RFCBUXGCUXLYIO-OAHLLOKOSA-N 0 3 314.395 4.453 20 0 DIADHN Cc1ccc([C@H](Cn2ccnc2)NCc2cccc(F)c2)c(C)c1 ZINC001201600499 1048329256 /nfs/dbraw/zinc/32/92/56/1048329256.db2.gz KZKWXZDPFMOPSK-FQEVSTJZSA-N 0 3 323.415 4.170 20 0 DIADHN Cc1cc(C)c(O)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175505470 1048339620 /nfs/dbraw/zinc/33/96/20/1048339620.db2.gz DEDLSHXVYTXLHR-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN COc1cc(NC2=CCN(Cc3ccccc3)CC2)ccc1C ZINC001175506384 1048339762 /nfs/dbraw/zinc/33/97/62/1048339762.db2.gz XEYAEOVVKXQPJM-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN Cn1ccc2cc(NC3=CCN(Cc4ccccc4)CC3)ccc21 ZINC001175505431 1048341372 /nfs/dbraw/zinc/34/13/72/1048341372.db2.gz AYSIJAHACPHMFL-UHFFFAOYSA-N 0 3 317.436 4.380 20 0 DIADHN c1nc2cc(NC3=CCN(Cc4ccccc4)CC3)ccc2s1 ZINC001175506117 1048341562 /nfs/dbraw/zinc/34/15/62/1048341562.db2.gz PJBWJYRJJJDANA-UHFFFAOYSA-N 0 3 321.449 4.498 20 0 DIADHN Cc1nc(Cl)ccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505316 1048341679 /nfs/dbraw/zinc/34/16/79/1048341679.db2.gz UUNGDFPNAKTGCS-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN Cc1nc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc2o1 ZINC001175505861 1048341842 /nfs/dbraw/zinc/34/18/42/1048341842.db2.gz IYMLMMVKBSXJFN-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN Nc1ccc(Cl)cc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505913 1048342400 /nfs/dbraw/zinc/34/24/00/1048342400.db2.gz KWLMFWYNHWIYOO-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN c1nc2c(cccc2NC2=CCN(Cc3ccccc3)CC2)o1 ZINC001175506066 1048342661 /nfs/dbraw/zinc/34/26/61/1048342661.db2.gz MMWZRWCZFIYZIE-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN CN1CCN(Cc2cccc(Nc3ccc(C4CC4)cc3)c2)CC1 ZINC001201683263 1048342961 /nfs/dbraw/zinc/34/29/61/1048342961.db2.gz CTEKPPDWFHKAFF-UHFFFAOYSA-N 0 3 321.468 4.055 20 0 DIADHN Cc1cc(NC2=CCN(Cc3ccccc3)CC2)c(C)cc1O ZINC001175506261 1048343298 /nfs/dbraw/zinc/34/32/98/1048343298.db2.gz QUNUVMKVCPGFRW-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN COc1ccc(F)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175507490 1048344132 /nfs/dbraw/zinc/34/41/32/1048344132.db2.gz XERUJWBIBPSULH-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN Cc1cc(NC2=CCN(Cc3ccccc3)CC2)c(C)cc1N ZINC001175506602 1048345818 /nfs/dbraw/zinc/34/58/18/1048345818.db2.gz IKNSQHBGNPFZFE-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN Nc1cc(Br)ccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC000250892119 1048346807 /nfs/dbraw/zinc/34/68/07/1048346807.db2.gz MVNDEGBIRDOWID-OLZOCXBDSA-N 0 3 323.278 4.043 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cc(-c2cccs2)ccn1 ZINC001162758792 1048350126 /nfs/dbraw/zinc/35/01/26/1048350126.db2.gz MSDAZPJPZGLMAE-GFCCVEGCSA-N 0 3 320.421 4.169 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cccc(C(F)(F)F)c1 ZINC001162764892 1048351197 /nfs/dbraw/zinc/35/11/97/1048351197.db2.gz BDNOCTFOPTUSGT-JTQLQIEISA-N 0 3 305.303 4.064 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1-n1c2ccccc2nc1[C@H](C)N ZINC001162765782 1048353287 /nfs/dbraw/zinc/35/32/87/1048353287.db2.gz KABMKHMLRRBZHG-NSHDSACASA-N 0 3 319.330 4.372 20 0 DIADHN COc1ccc(-n2c3ccccc3nc2[C@@H](C)N)cc1C(C)C ZINC001162779190 1048356989 /nfs/dbraw/zinc/35/69/89/1048356989.db2.gz WMGWVPQAQNDVGH-CYBMUJFWSA-N 0 3 309.413 4.177 20 0 DIADHN CCn1ccc2cc(-n3c4ccccc4nc3[C@@H](C)N)ccc21 ZINC001162778042 1048357335 /nfs/dbraw/zinc/35/73/35/1048357335.db2.gz HBDSMYFENVJXJB-CYBMUJFWSA-N 0 3 304.397 4.020 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cc(F)c2ccccc2c1 ZINC001162777303 1048357480 /nfs/dbraw/zinc/35/74/80/1048357480.db2.gz PIPBCVVXTCYJBC-GFCCVEGCSA-N 0 3 305.356 4.338 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cc(F)c2ccccc2c1 ZINC001162777304 1048357845 /nfs/dbraw/zinc/35/78/45/1048357845.db2.gz PIPBCVVXTCYJBC-LBPRGKRZSA-N 0 3 305.356 4.338 20 0 DIADHN COc1cc(C(C)C)cc(-n2c3ccccc3nc2[C@@H](C)N)c1 ZINC001162778532 1048358022 /nfs/dbraw/zinc/35/80/22/1048358022.db2.gz LZOAZALNXQKDJG-CYBMUJFWSA-N 0 3 309.413 4.177 20 0 DIADHN COc1ccc([C@H](C)NCCc2c(F)cccc2F)c(F)c1 ZINC000481322913 1048367981 /nfs/dbraw/zinc/36/79/81/1048367981.db2.gz NXVULXOQCBBBKC-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CC(C)(C)CCN1CCC[C@H]1c1ccc(Br)cn1 ZINC000555512172 1048373488 /nfs/dbraw/zinc/37/34/88/1048373488.db2.gz VEPHZNHAICBVJA-AWEZNQCLSA-N 0 3 311.267 4.417 20 0 DIADHN C[C@H]([NH3+])c1ccc2c(ccn2-c2[n-]c(=O)c(Cl)cc2Cl)c1 ZINC001162898478 1048378880 /nfs/dbraw/zinc/37/88/80/1048378880.db2.gz DFJWJGODRLJOBA-QMMMGPOBSA-N 0 3 322.195 4.058 20 0 DIADHN C[C@@H](Nc1cc(CN(C)C)ccn1)c1cccc(F)c1Cl ZINC001162895136 1048379330 /nfs/dbraw/zinc/37/93/30/1048379330.db2.gz OPDVRJFLJAVXKL-LLVKDONJSA-N 0 3 307.800 4.109 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H]1CCCc2ccc(OC)cc21 ZINC001201777751 1048380117 /nfs/dbraw/zinc/38/01/17/1048380117.db2.gz MBFYKOJKDYWMQA-HXUWFJFHSA-N 0 3 310.441 4.213 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NC[C@H]1CC1(Cl)Cl ZINC000775088786 1048380198 /nfs/dbraw/zinc/38/01/98/1048380198.db2.gz XBMDCGXWGQJEJR-DGCLKSJQSA-N 0 3 302.245 4.320 20 0 DIADHN Clc1ccc2nc([C@H]3CCCN3C[C@H]3CCC=CO3)[nH]c2c1 ZINC000775097832 1048382084 /nfs/dbraw/zinc/38/20/84/1048382084.db2.gz VYGRWRAAZJVYDH-CZUORRHYSA-N 0 3 317.820 4.046 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2cc3ccccc3s2)cc1 ZINC001187277453 1048386657 /nfs/dbraw/zinc/38/66/57/1048386657.db2.gz GFSAIHGGWGCTAD-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1csc(-c2ccccc2OC)n1 ZINC000481392706 1048389018 /nfs/dbraw/zinc/38/90/18/1048389018.db2.gz VCIISZVDABTZAZ-BBRMVZONSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1csc(-c2ccccc2OC)n1 ZINC000481392712 1048389920 /nfs/dbraw/zinc/38/99/20/1048389920.db2.gz VCIISZVDABTZAZ-XJKSGUPXSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000481413644 1048391225 /nfs/dbraw/zinc/39/12/25/1048391225.db2.gz NOIJNSQLTJCIAR-BZNIZROVSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1cnc(CN[C@@H]2CCC(C)(C)C[C@@H]2c2ccccc2)nc1 ZINC001175610618 1048393819 /nfs/dbraw/zinc/39/38/19/1048393819.db2.gz HFESNTUYABDZKY-QZTJIDSGSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1cccn2c(CNCc3cc4ccccc4s3)cnc12 ZINC000555593444 1048397145 /nfs/dbraw/zinc/39/71/45/1048397145.db2.gz XSERYIMFRIQVKK-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@H](C)C2CCCCCC2)nn1 ZINC001201939262 1048398189 /nfs/dbraw/zinc/39/81/89/1048398189.db2.gz NWARSTNQKVGZFX-MRXNPFEDSA-N 0 3 312.461 4.024 20 0 DIADHN Cc1sccc1CN[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC000555586585 1048398437 /nfs/dbraw/zinc/39/84/37/1048398437.db2.gz XLJCKJIIDLTCMR-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)cc1 ZINC000555607054 1048405100 /nfs/dbraw/zinc/40/51/00/1048405100.db2.gz SUFJWUIJMNMDNS-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CC(N2CCC(F)(F)CC2)C1 ZINC001201981596 1048405943 /nfs/dbraw/zinc/40/59/43/1048405943.db2.gz PENVBBPDDUOISJ-MRXNPFEDSA-N 0 3 314.464 4.174 20 0 DIADHN Cc1nnc(CNC[C@@H](C)Cc2ccc(C(C)(C)C)cc2)s1 ZINC001201954228 1048410061 /nfs/dbraw/zinc/41/00/61/1048410061.db2.gz IZBXRNLDAXLMAV-ZDUSSCGKSA-N 0 3 317.502 4.112 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1C[C@H](C)[C@@H]1C ZINC000555636113 1048411201 /nfs/dbraw/zinc/41/12/01/1048411201.db2.gz OEHYMLFOHDNXRK-JSGCOSHPSA-N 0 3 317.864 4.042 20 0 DIADHN Cc1n[nH]c2cc(Nc3cccc4c3OCC[C@@H]4N(C)C)ccc12 ZINC001175665431 1048411806 /nfs/dbraw/zinc/41/18/06/1048411806.db2.gz OBBRFWZTTXRETJ-SFHVURJKSA-N 0 3 322.412 4.000 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1C[C@H](C)[C@H]1C ZINC000555636111 1048412066 /nfs/dbraw/zinc/41/20/66/1048412066.db2.gz OEHYMLFOHDNXRK-GXTWGEPZSA-N 0 3 317.864 4.042 20 0 DIADHN CCOc1cc(CN[C@@H]2CCC[C@@H]2F)ccc1OCC(C)C ZINC000555644629 1048414787 /nfs/dbraw/zinc/41/47/87/1048414787.db2.gz KCCRBADDYQKVQX-JKSUJKDBSA-N 0 3 309.425 4.100 20 0 DIADHN Cc1oncc1CN1CC[C@H](c2ccccc2Cl)C1(C)C ZINC001175716363 1048421739 /nfs/dbraw/zinc/42/17/39/1048421739.db2.gz UKCMCBHHPNNBLX-OAHLLOKOSA-N 0 3 304.821 4.405 20 0 DIADHN C[C@](O)(CNCc1cccc(Cl)c1Cl)C1CCCCC1 ZINC001201989726 1048424867 /nfs/dbraw/zinc/42/48/67/1048424867.db2.gz SDGJFYMUZBXXFL-INIZCTEOSA-N 0 3 316.272 4.414 20 0 DIADHN Cc1cccc2ncnc(SCCN(C)Cc3ccccc3)c12 ZINC001163184361 1048429786 /nfs/dbraw/zinc/42/97/86/1048429786.db2.gz FCIMXTSTOYXCEP-UHFFFAOYSA-N 0 3 323.465 4.162 20 0 DIADHN Fc1cccc(/C=C\CN[C@@H]2CCOc3c(F)cccc32)c1 ZINC001202059243 1048434081 /nfs/dbraw/zinc/43/40/81/1048434081.db2.gz UXUCXIDNMRKEEB-MAVJXHJTSA-N 0 3 301.336 4.091 20 0 DIADHN COc1ccccc1-c1nc(CN2CCCC[C@@H](C)C2)cs1 ZINC000481584623 1048435437 /nfs/dbraw/zinc/43/54/37/1048435437.db2.gz FRQRWQRPBLLGBQ-CQSZACIVSA-N 0 3 316.470 4.441 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCCC[C@H](C)C1 ZINC000481581756 1048435687 /nfs/dbraw/zinc/43/56/87/1048435687.db2.gz XUIMBUQVSIRJJF-JKSUJKDBSA-N 0 3 302.462 4.259 20 0 DIADHN COc1ccc(CNC2(Cc3ccccc3)CC2)c(Cl)c1 ZINC001202065816 1048437368 /nfs/dbraw/zinc/43/73/68/1048437368.db2.gz DBLVLIJRTNVTBD-UHFFFAOYSA-N 0 3 301.817 4.214 20 0 DIADHN C[C@@H]1CCCCN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000481595199 1048437837 /nfs/dbraw/zinc/43/78/37/1048437837.db2.gz GTKXRKYRLQNJEN-QGZVFWFLSA-N 0 3 322.452 4.414 20 0 DIADHN Cc1sc2nc([C@@H](C)N3CCCC[C@@H](C)C3)nc(N)c2c1C ZINC000481612396 1048438710 /nfs/dbraw/zinc/43/87/10/1048438710.db2.gz UEZPESALJPEJJX-ZYHUDNBSSA-N 0 3 318.490 4.073 20 0 DIADHN Cc1ccc(-c2ccnc(N[C@@H]3CNCc4ccsc43)c2)cc1 ZINC001163221062 1048439387 /nfs/dbraw/zinc/43/93/87/1048439387.db2.gz KYJYZOGUNGSYJG-QGZVFWFLSA-N 0 3 321.449 4.375 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CCCC[C@H](C)C1 ZINC000481621606 1048440810 /nfs/dbraw/zinc/44/08/10/1048440810.db2.gz KOVQFYGRTRWUNC-JKSUJKDBSA-N 0 3 316.489 4.260 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccnc(Oc3ccccc3)c1)CNC2 ZINC001163226209 1048440774 /nfs/dbraw/zinc/44/07/74/1048440774.db2.gz COBOVMGDWDMARJ-INIZCTEOSA-N 0 3 323.421 4.192 20 0 DIADHN Cc1cc(N[C@@H]2CNCc3ccsc32)ccc1OC(C)C ZINC001163229414 1048440820 /nfs/dbraw/zinc/44/08/20/1048440820.db2.gz ISZZKRVSWJELDC-OAHLLOKOSA-N 0 3 302.443 4.100 20 0 DIADHN CCCCOc1cc(F)ccc1N[C@H]1CNCc2ccsc21 ZINC001163229969 1048440981 /nfs/dbraw/zinc/44/09/81/1048440981.db2.gz SMJZNTDCQAJNFW-HNNXBMFYSA-N 0 3 320.433 4.323 20 0 DIADHN COc1ccc(N[C@H]2CNCc3ccsc32)cc1C(C)C ZINC001163228822 1048441242 /nfs/dbraw/zinc/44/12/42/1048441242.db2.gz DHWOKXIDFKBMME-HNNXBMFYSA-N 0 3 302.443 4.137 20 0 DIADHN CCOc1c(C)cc(C)cc1N[C@H]1CNCc2ccsc21 ZINC001163229801 1048441420 /nfs/dbraw/zinc/44/14/20/1048441420.db2.gz LLFZVTWMCFVRDV-HNNXBMFYSA-N 0 3 302.443 4.020 20 0 DIADHN Cc1ccnc(CN[C@H]2CCC[C@@H](C)CC2)c1Br ZINC001202040962 1048441790 /nfs/dbraw/zinc/44/17/90/1048441790.db2.gz XJCOHSZXTYSOAA-YPMHNXCESA-N 0 3 311.267 4.211 20 0 DIADHN FC(F)(F)CCCCN1CCC[C@]2(C1)OCc1ccccc12 ZINC000481677134 1048456911 /nfs/dbraw/zinc/45/69/11/1048456911.db2.gz OWNIISQJHLQGKA-MRXNPFEDSA-N 0 3 313.363 4.241 20 0 DIADHN COc1ccccc1NC(=O)NCCP(C(C)C)C(C)C ZINC001202136055 1048460471 /nfs/dbraw/zinc/46/04/71/1048460471.db2.gz STYKBIXUVQFUOZ-UHFFFAOYSA-N 0 3 310.378 4.115 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@@H](c3ccncc3)C2)c1 ZINC000555879928 1048466422 /nfs/dbraw/zinc/46/64/22/1048466422.db2.gz HLJWFBTYHFRDNS-OAHLLOKOSA-N 0 3 306.331 4.090 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@]2(C1)OCc1ccccc12 ZINC000481711401 1048466613 /nfs/dbraw/zinc/46/66/13/1048466613.db2.gz DSSKELQFOUJBTN-VMLCMGRWSA-N 0 3 305.421 4.221 20 0 DIADHN Cc1cc(C2OCCO2)c(C)cc1CN[C@H](C)c1ccsc1 ZINC001202158159 1048466886 /nfs/dbraw/zinc/46/68/86/1048466886.db2.gz JYVCQXWRLCKTEX-CQSZACIVSA-N 0 3 317.454 4.261 20 0 DIADHN Cc1ccncc1CCCN(Cc1ccc(F)cc1F)C1CC1 ZINC000567791813 1048476338 /nfs/dbraw/zinc/47/63/38/1048476338.db2.gz GWQQLYOUOKIWPC-UHFFFAOYSA-N 0 3 316.395 4.265 20 0 DIADHN C[C@@H](NCCCOCC1CC1)c1nc(-c2ccccc2)cs1 ZINC000162890024 1048478111 /nfs/dbraw/zinc/47/81/11/1048478111.db2.gz KZPCJLSSALSBMU-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H](C(F)(F)F)[C@H]2C)cc1 ZINC000481752202 1048478716 /nfs/dbraw/zinc/47/87/16/1048478716.db2.gz SWVKJBWCPYMCOE-IUODEOHRSA-N 0 3 301.352 4.248 20 0 DIADHN COc1nsc(CNC2CC(C)(c3ccccc3)C2)c1Cl ZINC001202202223 1048480520 /nfs/dbraw/zinc/48/05/20/1048480520.db2.gz BFKFVEXQTUWQIO-UHFFFAOYSA-N 0 3 322.861 4.015 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC000075919584 1048490272 /nfs/dbraw/zinc/49/02/72/1048490272.db2.gz QPVQQVLLGNPMLJ-MNOVXSKESA-N 0 3 305.274 4.104 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC1(CC)CC ZINC001175898892 1048492924 /nfs/dbraw/zinc/49/29/24/1048492924.db2.gz XPMWPUHEVDSJQZ-UHFFFAOYSA-N 0 3 302.462 4.151 20 0 DIADHN COc1cccc(Nc2ccc3c(c2)CN(C)CC3)c1Cl ZINC001175900620 1048495147 /nfs/dbraw/zinc/49/51/47/1048495147.db2.gz RLQQABNURHEKIS-UHFFFAOYSA-N 0 3 302.805 4.080 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2csc(-c3ccccn3)n2)[C@@H]1C ZINC000481821052 1048496576 /nfs/dbraw/zinc/49/65/76/1048496576.db2.gz CPGAUNIXKUGFGV-KFWWJZLASA-N 0 3 315.486 4.367 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCN(CCCC(C)C)CC1 ZINC001175906728 1048497593 /nfs/dbraw/zinc/49/75/93/1048497593.db2.gz KBRPFKAOASRPEF-LJQANCHMSA-N 0 3 306.469 4.331 20 0 DIADHN CC(C)n1cc(CNC2CC(F)(c3cccc(Cl)c3)C2)cn1 ZINC000481834045 1048499178 /nfs/dbraw/zinc/49/91/78/1048499178.db2.gz IASVTRLNINLNKV-UHFFFAOYSA-N 0 3 321.827 4.234 20 0 DIADHN Fc1ccc(O[C@H]2CCN(CCCCC(F)(F)F)C2)cc1 ZINC001175924669 1048502291 /nfs/dbraw/zinc/50/22/91/1048502291.db2.gz FZGIUUIBSHOPEJ-AWEZNQCLSA-N 0 3 305.315 4.011 20 0 DIADHN Fc1cccc2cc(CNCc3ccc4ncccc4c3)cnc12 ZINC001202279748 1048508634 /nfs/dbraw/zinc/50/86/34/1048508634.db2.gz LQRZQPDXKRRPNK-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN CC(C)(NCc1ccc(Br)nc1)c1ccc(F)cc1 ZINC001203683175 1048514887 /nfs/dbraw/zinc/51/48/87/1048514887.db2.gz REXWHXSKNCTGRO-UHFFFAOYSA-N 0 3 323.209 4.008 20 0 DIADHN CN(Cc1cc(Cl)n(C)n1)C1(Cc2ccccc2)CCCC1 ZINC001175947085 1048522915 /nfs/dbraw/zinc/52/29/15/1048522915.db2.gz PHPCYASJEGIKBR-UHFFFAOYSA-N 0 3 317.864 4.061 20 0 DIADHN CC(C)Cc1ccc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)cc1 ZINC001175955095 1048526726 /nfs/dbraw/zinc/52/67/26/1048526726.db2.gz IEBXZPJNRQNYQU-OYRHEFFESA-N 0 3 309.453 4.025 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccc(F)cc2Cl)cs1 ZINC000481944290 1048530349 /nfs/dbraw/zinc/53/03/49/1048530349.db2.gz KKRXIPPUDXUBBL-SNVBAGLBSA-N 0 3 312.841 4.391 20 0 DIADHN Fc1ccc(CNCCn2ccc3cc(Cl)ccc32)c(F)c1 ZINC001202366257 1048532881 /nfs/dbraw/zinc/53/28/81/1048532881.db2.gz MDSDFLOPMUZPTF-UHFFFAOYSA-N 0 3 320.770 4.363 20 0 DIADHN N=C(Nc1cccc2cc(N)cnc21)C12CC3CC(CC(C3)C1)C2 ZINC001163847841 1048535284 /nfs/dbraw/zinc/53/52/84/1048535284.db2.gz CYFLPZDYFNZJEA-UHFFFAOYSA-N 0 3 320.440 4.423 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cc(F)cc(F)c1)c1ccco1 ZINC000481958344 1048536152 /nfs/dbraw/zinc/53/61/52/1048536152.db2.gz IYUHLCOJASGSOW-DYVFJYSZSA-N 0 3 322.399 4.292 20 0 DIADHN Cc1ncsc1CCCN1CC[C@@H](c2c(F)cccc2F)C1 ZINC001176020482 1048537431 /nfs/dbraw/zinc/53/74/31/1048537431.db2.gz ISXLEVNEFJDWGH-CYBMUJFWSA-N 0 3 322.424 4.152 20 0 DIADHN N=C(Nc1ccncc1C(F)(F)F)C12CC3CC(CC(C3)C1)C2 ZINC001163848159 1048537410 /nfs/dbraw/zinc/53/74/10/1048537410.db2.gz NYBAWDHPFICXFX-UHFFFAOYSA-N 0 3 323.362 4.128 20 0 DIADHN COc1cccc(CSCCN(C)Cc2ccc(F)cc2)c1 ZINC001188677347 1048539404 /nfs/dbraw/zinc/53/94/04/1048539404.db2.gz MUPAXBUQEPUYIX-UHFFFAOYSA-N 0 3 319.445 4.200 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(CCCC(F)(F)F)C2)cc1 ZINC001202415609 1048543117 /nfs/dbraw/zinc/54/31/17/1048543117.db2.gz MOLDPXNCVOSJTE-OAHLLOKOSA-N 0 3 301.352 4.181 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCC(F)(F)F)cc1OC ZINC001202415469 1048543980 /nfs/dbraw/zinc/54/39/80/1048543980.db2.gz LSDCVKJTASBPLV-ZDUSSCGKSA-N 0 3 317.351 4.183 20 0 DIADHN CC(C)(C)c1cc(Nc2ncc[nH]2)n(-c2ccccc2Cl)n1 ZINC001176082005 1048546573 /nfs/dbraw/zinc/54/65/73/1048546573.db2.gz OFSXSNQRGWQCBD-UHFFFAOYSA-N 0 3 315.808 4.290 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)c1ccccc1Nc1ncc[nH]1 ZINC001176085581 1048548536 /nfs/dbraw/zinc/54/85/36/1048548536.db2.gz SQKDHWDGZWDVKO-UHFFFAOYSA-N 0 3 312.760 4.059 20 0 DIADHN COc1cc(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)ccc1Cl ZINC001176086315 1048549184 /nfs/dbraw/zinc/54/91/84/1048549184.db2.gz HFNYXQRTSCKSQL-QFBILLFUSA-N 0 3 313.828 4.122 20 0 DIADHN Brc1cccc2c(CN3C[C@@H]4C[C@@H]4C3)cccc12 ZINC001176062399 1048557519 /nfs/dbraw/zinc/55/75/19/1048557519.db2.gz PSHFOKYHRTUJCD-BETUJISGSA-N 0 3 302.215 4.054 20 0 DIADHN CC(C)[C@@H](NCC[S@@](=O)C(C)(C)C)c1cc2ccccc2o1 ZINC000776309339 1048563436 /nfs/dbraw/zinc/56/34/36/1048563436.db2.gz CNTGAQOSTWIBDS-VGOFRKELSA-N 0 3 321.486 4.267 20 0 DIADHN C[C@H]1Cc2n[nH]cc2CN(CCCc2cccc3ccccc32)C1 ZINC001176147637 1048563815 /nfs/dbraw/zinc/56/38/15/1048563815.db2.gz ZIOTYRBZBVXWNC-INIZCTEOSA-N 0 3 319.452 4.190 20 0 DIADHN C[C@@H]1Cc2n[nH]cc2CN(CCCc2cccc3ccccc32)C1 ZINC001176147640 1048563927 /nfs/dbraw/zinc/56/39/27/1048563927.db2.gz ZIOTYRBZBVXWNC-MRXNPFEDSA-N 0 3 319.452 4.190 20 0 DIADHN Fc1ccccc1C1CC(NCc2nc3c(s2)CCCC3)C1 ZINC000776350648 1048575208 /nfs/dbraw/zinc/57/52/08/1048575208.db2.gz QZDNKUGSKGKKFH-UHFFFAOYSA-N 0 3 316.445 4.197 20 0 DIADHN CO[C@H](CNC(C)(C)c1ccc(F)cc1F)Cc1ccccc1 ZINC001176175135 1048581721 /nfs/dbraw/zinc/58/17/21/1048581721.db2.gz LMIWJJQKVODIKC-INIZCTEOSA-N 0 3 319.395 4.047 20 0 DIADHN CCCOc1c(Cl)cc(Nc2nccn2C)cc1Cl ZINC001176185695 1048586955 /nfs/dbraw/zinc/58/69/55/1048586955.db2.gz RMIWVGYWZVGUSF-UHFFFAOYSA-N 0 3 300.189 4.259 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)c1ccc3c(c1)COC3)CCC2 ZINC000780747321 1048588993 /nfs/dbraw/zinc/58/89/93/1048588993.db2.gz WUVFPZVZIRDRCE-BDJLRTHQSA-N 0 3 314.454 4.210 20 0 DIADHN FC(F)(F)[C@]12CCC[C@H]1CN(Cc1ccc3ccccc3n1)C2 ZINC001176192664 1048590937 /nfs/dbraw/zinc/59/09/37/1048590937.db2.gz AGROZRDEFTZTTF-YOEHRIQHSA-N 0 3 320.358 4.399 20 0 DIADHN CC(C)(C)NCc1cc(C(F)(F)F)ccc1Br ZINC000159756655 1048594195 /nfs/dbraw/zinc/59/41/95/1048594195.db2.gz QVBQNJMPSXNEQA-UHFFFAOYSA-N 0 3 310.157 4.356 20 0 DIADHN Clc1ccc(CN[C@H]2CCCSC2)c(Br)c1 ZINC000158607593 1048603824 /nfs/dbraw/zinc/60/38/24/1048603824.db2.gz RNHDDOFMGCIKQX-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc2c(c1)OCCCCO2 ZINC001203283438 1048610082 /nfs/dbraw/zinc/61/00/82/1048610082.db2.gz SBBIFZUFCBFXQG-MRXNPFEDSA-N 0 3 311.425 4.397 20 0 DIADHN O=C1CCc2cc(Nc3ccccc3CN3CCCC3)ccc21 ZINC001176233357 1048612555 /nfs/dbraw/zinc/61/25/55/1048612555.db2.gz GLVNKNZBKACYBJ-UHFFFAOYSA-N 0 3 306.409 4.155 20 0 DIADHN C[C@H](NCc1ccnn1CC1CC1)c1csc2ccccc12 ZINC000850124206 1048618686 /nfs/dbraw/zinc/61/86/86/1048618686.db2.gz XOAIXBKBBALMJH-ZDUSSCGKSA-N 0 3 311.454 4.359 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(c2ncccn2)CC1 ZINC001176296055 1048623097 /nfs/dbraw/zinc/62/30/97/1048623097.db2.gz RTKMDHZMIHICEH-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN CCCCCOc1ccc(CN2CC=C(COC)CC2)cc1 ZINC000482512985 1048625939 /nfs/dbraw/zinc/62/59/39/1048625939.db2.gz RRAWACAVEOXPKR-UHFFFAOYSA-N 0 3 303.446 4.034 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000668071681 1048632149 /nfs/dbraw/zinc/63/21/49/1048632149.db2.gz QTUKFJJVYCJDCW-CWRNSKLLSA-N 0 3 313.363 4.146 20 0 DIADHN Clc1ccc(OCc2cccnc2)c(CN[C@H]2C=CCC2)c1 ZINC000850357931 1048636565 /nfs/dbraw/zinc/63/65/65/1048636565.db2.gz BYIHYGZETSQBLK-KRWDZBQOSA-N 0 3 314.816 4.122 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN[C@H]1C=CCC1 ZINC000850358953 1048638888 /nfs/dbraw/zinc/63/88/88/1048638888.db2.gz QMWFSHXUZIMPRS-KRWDZBQOSA-N 0 3 315.848 4.010 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1C[C@H](O)c2ccccc21 ZINC000850371561 1048642224 /nfs/dbraw/zinc/64/22/24/1048642224.db2.gz KTGXTERNTZTYQB-ROUUACIJSA-N 0 3 301.817 4.225 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cnc2ccccc2c1)c1ccccc1 ZINC000850394800 1048646607 /nfs/dbraw/zinc/64/66/07/1048646607.db2.gz DRDYCEIQPXPIMM-SFTDATJTSA-N 0 3 320.436 4.083 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cnc2ccccc2c1)c1ccccc1 ZINC000850394797 1048646786 /nfs/dbraw/zinc/64/67/86/1048646786.db2.gz DRDYCEIQPXPIMM-LEWJYISDSA-N 0 3 320.436 4.083 20 0 DIADHN CCCCn1nc(C)c(CNC[C@@H]2C(C)(C)C2(F)F)c1Cl ZINC000850394604 1048646899 /nfs/dbraw/zinc/64/68/99/1048646899.db2.gz WEPAXMGDNKQAJG-GFCCVEGCSA-N 0 3 319.827 4.026 20 0 DIADHN CCOc1cccc(CN[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850424445 1048651260 /nfs/dbraw/zinc/65/12/60/1048651260.db2.gz KJBQTRWJVXFENY-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCO2)c1cc2cnccc2o1 ZINC000850430772 1048653879 /nfs/dbraw/zinc/65/38/79/1048653879.db2.gz PRMKLEFSYHMCKV-QWHCGFSZSA-N 0 3 308.381 4.175 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850429824 1048654069 /nfs/dbraw/zinc/65/40/69/1048654069.db2.gz JYORZINIZBSCFJ-DNVCBOLYSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2O)c1ccc2c(c1)CCCO2 ZINC000850433471 1048655783 /nfs/dbraw/zinc/65/57/83/1048655783.db2.gz MTKLWMRBXUJFDJ-FZKQIMNGSA-N 0 3 309.409 4.055 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc2cnccc2o1)c1ccc(F)cc1 ZINC000850444721 1048658417 /nfs/dbraw/zinc/65/84/17/1048658417.db2.gz KJYYHSCWNLJCBD-KPZWWZAWSA-N 0 3 314.360 4.005 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000850442674 1048658523 /nfs/dbraw/zinc/65/85/23/1048658523.db2.gz FTKRNDDMKQZKMU-BXUZGUMPSA-N 0 3 305.805 4.448 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850447607 1048660925 /nfs/dbraw/zinc/66/09/25/1048660925.db2.gz HZGIODQJCKYYJU-ZDUSSCGKSA-N 0 3 315.388 4.010 20 0 DIADHN COc1ccc(C2(N[C@@H](C)c3ccc4c(c3)CCCO4)CC2)cc1 ZINC000850451841 1048662712 /nfs/dbraw/zinc/66/27/12/1048662712.db2.gz HLUZKKCWHXBUCJ-HNNXBMFYSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccc(Cl)nc1 ZINC000850464710 1048665527 /nfs/dbraw/zinc/66/55/27/1048665527.db2.gz VSUCIQYVJHZBOE-STQMWFEESA-N 0 3 316.832 4.472 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCCO2)c1ccnc(Cl)c1 ZINC000850465909 1048667255 /nfs/dbraw/zinc/66/72/55/1048667255.db2.gz GWGXYWZCVGCQGJ-CHWSQXEVSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1csc(C[C@@H]2CCN(Cc3ccc(C)cc3Cl)C2)n1 ZINC001176432665 1048670035 /nfs/dbraw/zinc/67/00/35/1048670035.db2.gz PZTSYWFPUMTTMI-AWEZNQCLSA-N 0 3 320.889 4.478 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](O)c1cccc2ccccc21 ZINC000850517420 1048675378 /nfs/dbraw/zinc/67/53/78/1048675378.db2.gz TXZKWJOKQJKCEC-DJJJIMSYSA-N 0 3 324.424 4.083 20 0 DIADHN O[C@@H]1C[C@@H](N[C@@H](c2cccnc2)C2CCCCC2)c2ccccc21 ZINC000850524212 1048678793 /nfs/dbraw/zinc/67/87/93/1048678793.db2.gz ARZKBPNTLCLOTR-NJDAHSKKSA-N 0 3 322.452 4.471 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](C3CCOCC3)C2)c(Cl)c1 ZINC001176412708 1048679554 /nfs/dbraw/zinc/67/95/54/1048679554.db2.gz QMXZWTDLCINJPC-CQSZACIVSA-N 0 3 311.828 4.118 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850529199 1048683470 /nfs/dbraw/zinc/68/34/70/1048683470.db2.gz OHKLOESCZVUBHL-GKCIPKSASA-N 0 3 311.425 4.304 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850533351 1048684874 /nfs/dbraw/zinc/68/48/74/1048684874.db2.gz WMIUZYHPYQDXRI-ZDUSSCGKSA-N 0 3 317.454 4.070 20 0 DIADHN CC[C@@H](NC[C@]12OCCC[C@H]1C2(F)F)c1ccc(Cl)s1 ZINC000850535100 1048685364 /nfs/dbraw/zinc/68/53/64/1048685364.db2.gz UMKTYPKKPMKFAK-XWIASGKRSA-N 0 3 321.820 4.257 20 0 DIADHN Fc1ccc(Cl)cc1NCC1CN(Cc2ccccc2)C1 ZINC001165441819 1048689012 /nfs/dbraw/zinc/68/90/12/1048689012.db2.gz FANPQODVYNQODP-UHFFFAOYSA-N 0 3 304.796 4.023 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@H]1C[C@H](OC(C)(C)C)C12CCC2 ZINC000850547871 1048688933 /nfs/dbraw/zinc/68/89/33/1048688933.db2.gz WCMXUHDBDLQFRS-RCBQFDQVSA-N 0 3 320.477 4.322 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](OC(C)(C)C)C12CCC2 ZINC000850547869 1048689037 /nfs/dbraw/zinc/68/90/37/1048689037.db2.gz WCMXUHDBDLQFRS-APHBMKBZSA-N 0 3 320.477 4.322 20 0 DIADHN Cc1ccc(NCC2CN(Cc3ccccc3)C2)c2[nH]ccc21 ZINC001165455475 1048690914 /nfs/dbraw/zinc/69/09/14/1048690914.db2.gz XHEZDUXATGJKCZ-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN CO[C@H](CN[C@H](C)c1nc2ccccc2s1)C1CCCC1 ZINC000850561308 1048692995 /nfs/dbraw/zinc/69/29/95/1048692995.db2.gz NLUBKNIGOGBJPG-IUODEOHRSA-N 0 3 304.459 4.152 20 0 DIADHN CC(C)[C@H](NCC1SCCS1)c1cc(F)ccc1F ZINC000850561732 1048693237 /nfs/dbraw/zinc/69/32/37/1048693237.db2.gz QWLGQYNPLZYQGJ-AWEZNQCLSA-N 0 3 303.443 4.058 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cncc(Br)c2)c(C)c1 ZINC000568108528 1048695693 /nfs/dbraw/zinc/69/56/93/1048695693.db2.gz CZDJTCDKZUICCH-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc(Cl)nc3)CCC2)c1 ZINC000850567073 1048695789 /nfs/dbraw/zinc/69/57/89/1048695789.db2.gz WXYDYGNNXLISBG-ZDUSSCGKSA-N 0 3 316.832 4.474 20 0 DIADHN COc1ccccc1CSCCN(C)Cc1ccc(F)cc1 ZINC001165696066 1048707590 /nfs/dbraw/zinc/70/75/90/1048707590.db2.gz OPQVJIMISAFXRL-UHFFFAOYSA-N 0 3 319.445 4.200 20 0 DIADHN CC(C)Oc1c(Cl)cc(Nc2nccn2C)cc1Cl ZINC001176527068 1048708322 /nfs/dbraw/zinc/70/83/22/1048708322.db2.gz AYYHMHPUHVRPPI-UHFFFAOYSA-N 0 3 300.189 4.258 20 0 DIADHN CC(NC[C@@H](O)c1ccccc1)(c1ccccc1)c1ccccc1 ZINC000775367164 1048708965 /nfs/dbraw/zinc/70/89/65/1048708965.db2.gz WTIWCEITTGVUIX-OAQYLSRUSA-N 0 3 317.432 4.273 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1CCCc1ccccc1 ZINC001176534155 1048710161 /nfs/dbraw/zinc/71/01/61/1048710161.db2.gz WSQCENHLGJTDLY-LQWHRVPQSA-N 0 3 309.453 4.470 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc2cc(C)nn2c1C)c1cccs1 ZINC000194564968 1048717331 /nfs/dbraw/zinc/71/73/31/1048717331.db2.gz UCGQURLWSVDXAX-WFASDCNBSA-N 0 3 314.458 4.210 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc2cc(C)nn2c1C)c1cccs1 ZINC000194564998 1048718615 /nfs/dbraw/zinc/71/86/15/1048718615.db2.gz UCGQURLWSVDXAX-DOMZBBRYSA-N 0 3 314.458 4.210 20 0 DIADHN CC[C@H]1CO[C@H](C)CN1CCCc1ccc(C(F)(F)F)cc1 ZINC001190340202 1048721068 /nfs/dbraw/zinc/72/10/68/1048721068.db2.gz PLRKDTYBMMHNNL-CJNGLKHVSA-N 0 3 315.379 4.137 20 0 DIADHN COc1ncc(CN(C)[C@H](C)c2cccs2)c2ccccc21 ZINC001190367270 1048728674 /nfs/dbraw/zinc/72/86/74/1048728674.db2.gz XQLQOPGDDSSAFD-CYBMUJFWSA-N 0 3 312.438 4.498 20 0 DIADHN CN1CCCC[C@H]1c1ccc(NC/C=C/c2ccccc2)nc1 ZINC001166096619 1048734344 /nfs/dbraw/zinc/73/43/44/1048734344.db2.gz ATEPOIWKDGQLIP-VQFGERMISA-N 0 3 307.441 4.364 20 0 DIADHN Fc1cccc(CN(CCN2CCCc3ccccc32)C2CC2)c1 ZINC001190418386 1048734959 /nfs/dbraw/zinc/73/49/59/1048734959.db2.gz ZYBWTAPJFLOBDZ-UHFFFAOYSA-N 0 3 324.443 4.243 20 0 DIADHN COc1ccccc1OCCN(C)Cc1ccc(Cl)cc1C ZINC001190426167 1048735978 /nfs/dbraw/zinc/73/59/78/1048735978.db2.gz AUMCYNFQSWERGL-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@@H]2CCC(C)(C)C2)c(C)c1C ZINC000483108545 1048736797 /nfs/dbraw/zinc/73/67/97/1048736797.db2.gz ZKOIGVAKTGGBST-CQSZACIVSA-N 0 3 308.491 4.122 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)o1 ZINC000483122519 1048737995 /nfs/dbraw/zinc/73/79/95/1048737995.db2.gz SIOSHTDPWGGUMT-BLLLJJGKSA-N 0 3 322.399 4.074 20 0 DIADHN CN(Cc1ncc(-c2cccc(F)c2)o1)[C@H]1CCC(C)(C)C1 ZINC000483105987 1048738570 /nfs/dbraw/zinc/73/85/70/1048738570.db2.gz SLODPYJGWQRDFW-HNNXBMFYSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3cc(SC(F)F)ccc32)n[nH]1 ZINC000775393400 1048748039 /nfs/dbraw/zinc/74/80/39/1048748039.db2.gz LSSURYHWOPWWKY-HNNXBMFYSA-N 0 3 323.412 4.200 20 0 DIADHN CC[C@@](C)(NCc1nc2ccccc2nc1C)c1ccccc1 ZINC000775390245 1048751291 /nfs/dbraw/zinc/75/12/91/1048751291.db2.gz KQAWHAOBNBZFQN-HXUWFJFHSA-N 0 3 305.425 4.353 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483195320 1048753164 /nfs/dbraw/zinc/75/31/64/1048753164.db2.gz LPGAFSSQBNNZBQ-ZDUSSCGKSA-N 0 3 312.335 4.467 20 0 DIADHN CSC[C@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000483213046 1048757527 /nfs/dbraw/zinc/75/75/27/1048757527.db2.gz LCTCZAHHIXTGKM-DTWKUNHWSA-N 0 3 309.809 4.344 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483217114 1048759163 /nfs/dbraw/zinc/75/91/63/1048759163.db2.gz MNZYDZYPBNTJFB-JQWIXIFHSA-N 0 3 312.335 4.467 20 0 DIADHN CC(C)N(CCOc1ccc(Cl)c(F)c1)Cc1ccco1 ZINC001190597486 1048763189 /nfs/dbraw/zinc/76/31/89/1048763189.db2.gz MTBJITHBJWTEDM-UHFFFAOYSA-N 0 3 311.784 4.362 20 0 DIADHN Cc1oncc1CN1CCC(C)(c2cccc(Cl)c2)CC1 ZINC000483262425 1048766346 /nfs/dbraw/zinc/76/63/46/1048766346.db2.gz ACUTXMBUWLYLDI-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C)CC2)c(Br)c1 ZINC001190660316 1048767211 /nfs/dbraw/zinc/76/72/11/1048767211.db2.gz HSMYMUJDMIUKTA-GFCCVEGCSA-N 0 3 312.251 4.080 20 0 DIADHN COC1(CN(Cc2cccc(C)c2)Cc2ccccn2)CCCC1 ZINC000483264012 1048768023 /nfs/dbraw/zinc/76/80/23/1048768023.db2.gz DMGASYQMSHWWMX-UHFFFAOYSA-N 0 3 324.468 4.351 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CC2CCCCC2)cc1 ZINC001190644063 1048771426 /nfs/dbraw/zinc/77/14/26/1048771426.db2.gz SQSIUOVTKLESPD-LJQANCHMSA-N 0 3 314.473 4.368 20 0 DIADHN CC(C)CCOC1CCN([C@@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC001190699013 1048772033 /nfs/dbraw/zinc/77/20/33/1048772033.db2.gz DFSXKAKWCDUWRK-RTBURBONSA-N 0 3 305.437 4.209 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cccc2c1OCCO2 ZINC001190652070 1048772150 /nfs/dbraw/zinc/77/21/50/1048772150.db2.gz VLGYJDCOQQKFRG-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cccc2c1OCCO2 ZINC001190652072 1048772838 /nfs/dbraw/zinc/77/28/38/1048772838.db2.gz VLGYJDCOQQKFRG-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN(CC1CC1)C1CCC1 ZINC000483294953 1048776572 /nfs/dbraw/zinc/77/65/72/1048776572.db2.gz XEMQZMNIWQXQND-UHFFFAOYSA-N 0 3 314.473 4.187 20 0 DIADHN Clc1cccc(-c2noc(CN(CC3CC3)C3CCC3)n2)c1 ZINC000483312667 1048779477 /nfs/dbraw/zinc/77/94/77/1048779477.db2.gz ZHHOYUFVHJPWII-UHFFFAOYSA-N 0 3 317.820 4.155 20 0 DIADHN CCCC[C@H](CC)N[C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483362714 1048782626 /nfs/dbraw/zinc/78/26/26/1048782626.db2.gz AWXGFHYBJWTHLA-DOMZBBRYSA-N 0 3 305.397 4.495 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCCCCCC2)cc1F ZINC001190779154 1048783026 /nfs/dbraw/zinc/78/30/26/1048783026.db2.gz CLEUAIDANLUQQG-UHFFFAOYSA-N 0 3 306.425 4.117 20 0 DIADHN CCCC[C@@H](CC)N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483362716 1048783470 /nfs/dbraw/zinc/78/34/70/1048783470.db2.gz AWXGFHYBJWTHLA-SWLSCSKDSA-N 0 3 305.397 4.495 20 0 DIADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483340355 1048783890 /nfs/dbraw/zinc/78/38/90/1048783890.db2.gz RNVSEYNROFRSDW-UPJWGTAASA-N 0 3 317.408 4.351 20 0 DIADHN CC[C@@H]1CCC[C@@H](N[C@H](C)c2nc(-c3cccc(F)c3)no2)C1 ZINC000483358487 1048784681 /nfs/dbraw/zinc/78/46/81/1048784681.db2.gz REZMQNXQRIZSJX-XJKCOSOUSA-N 0 3 317.408 4.495 20 0 DIADHN CN(Cc1cn(C2CCC2)nn1)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000668240063 1048785639 /nfs/dbraw/zinc/78/56/39/1048785639.db2.gz XIHVOEGSTIKNGI-PMACEKPBSA-N 0 3 324.472 4.161 20 0 DIADHN CC1(C)CN(Cc2ccn(CCc3ccccc3)n2)[C@@H]2CCC[C@@H]21 ZINC001176797564 1048786278 /nfs/dbraw/zinc/78/62/78/1048786278.db2.gz AHTJIYXBXSRPLT-VQTJNVASSA-N 0 3 323.484 4.136 20 0 DIADHN CCC[C@@H]1CCCN1Cc1nc2ccc(Br)cc2o1 ZINC001176782458 1048787866 /nfs/dbraw/zinc/78/78/66/1048787866.db2.gz FFVVNOSNCRWDLH-GFCCVEGCSA-N 0 3 323.234 4.355 20 0 DIADHN CN(Cc1cccc(OC(C)(C)C)c1)[C@@H](CO)c1ccccc1 ZINC001190801906 1048788515 /nfs/dbraw/zinc/78/85/15/1048788515.db2.gz NTOFARDRBQGAKO-IBGZPJMESA-N 0 3 313.441 4.029 20 0 DIADHN COc1ccc(CN(C)C[C@]2(C)CC2(Cl)Cl)cc1C ZINC001190825756 1048790117 /nfs/dbraw/zinc/79/01/17/1048790117.db2.gz BAUARMKIJCYWCR-AWEZNQCLSA-N 0 3 302.245 4.019 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3nccs3)C2)c(Cl)c1 ZINC001176864867 1048793434 /nfs/dbraw/zinc/79/34/34/1048793434.db2.gz PPTORLXZBHYBSM-ZDUSSCGKSA-N 0 3 306.862 4.170 20 0 DIADHN CCCCN(CC)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483429542 1048794515 /nfs/dbraw/zinc/79/45/15/1048794515.db2.gz SHNZEBXBIKZZQM-UHFFFAOYSA-N 0 3 300.324 4.469 20 0 DIADHN COc1ccc([C@H]2CCCN2CC[C@@H]2CCCC2(F)F)nc1 ZINC001176871473 1048795886 /nfs/dbraw/zinc/79/58/86/1048795886.db2.gz LWTFGOYQFIDHCS-XJKSGUPXSA-N 0 3 310.388 4.053 20 0 DIADHN COc1ccc([C@@H]2CCCN2CC[C@H]2CCCC2(F)F)nc1 ZINC001176871471 1048796760 /nfs/dbraw/zinc/79/67/60/1048796760.db2.gz LWTFGOYQFIDHCS-CJNGLKHVSA-N 0 3 310.388 4.053 20 0 DIADHN Clc1ccc(OCC2CC2)c(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC001176874214 1048798262 /nfs/dbraw/zinc/79/82/62/1048798262.db2.gz HZBQEKMNPICZPP-GASCZTMLSA-N 0 3 305.849 4.361 20 0 DIADHN CC1(C)CN(Cc2ccc3c(c2)CCO3)CC[C@H]1C(F)(F)F ZINC000483440559 1048800886 /nfs/dbraw/zinc/80/08/86/1048800886.db2.gz HBERWJJARUYDIM-OAHLLOKOSA-N 0 3 313.363 4.032 20 0 DIADHN Cc1cc(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)c(C)s1 ZINC001176932219 1048802821 /nfs/dbraw/zinc/80/28/21/1048802821.db2.gz GEINOOWKSVXORE-BFUOFWGJSA-N 0 3 315.482 4.240 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCCC[C@@H]1[C@H]1CCCO1 ZINC001176926891 1048805042 /nfs/dbraw/zinc/80/50/42/1048805042.db2.gz LGRHASBCZPDXEB-HZPDHXFCSA-N 0 3 313.363 4.239 20 0 DIADHN CN(Cc1cc(O)ccc1Cl)[C@H]1CCc2ccccc2C1 ZINC001176909207 1048807986 /nfs/dbraw/zinc/80/79/86/1048807986.db2.gz PPDGEHARYDXQDF-INIZCTEOSA-N 0 3 301.817 4.035 20 0 DIADHN ClC(Cl)=CCN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000851903940 1048810307 /nfs/dbraw/zinc/81/03/07/1048810307.db2.gz QOKOYFJXXVKFQQ-TZMCWYRMSA-N 0 3 300.229 4.063 20 0 DIADHN COc1cccc(F)c1NC[C@@H](c1ccccc1)N1CCCC1 ZINC001166899776 1048811066 /nfs/dbraw/zinc/81/10/66/1048811066.db2.gz FOKQOUHXAOBPLI-KRWDZBQOSA-N 0 3 314.404 4.083 20 0 DIADHN FC(F)C1CCN(CCOc2ccccc2C(F)(F)F)CC1 ZINC000483517200 1048812049 /nfs/dbraw/zinc/81/20/49/1048812049.db2.gz DPGMSZOFMVFDNA-UHFFFAOYSA-N 0 3 323.305 4.061 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(C)[C@H](c1ccccc1)C(C)C ZINC001190954943 1048814264 /nfs/dbraw/zinc/81/42/64/1048814264.db2.gz SMFXKPPOZKMNQM-PXNSSMCTSA-N 0 3 318.505 4.209 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCCC[C@@]12CCCOC2 ZINC001177008956 1048815008 /nfs/dbraw/zinc/81/50/08/1048815008.db2.gz SXOOZCCQSOKJJW-KRWDZBQOSA-N 0 3 311.828 4.057 20 0 DIADHN Cc1ccc(CN2C[C@@H](c3ccccc3)C[C@@H]2C)nc1Cl ZINC001191005330 1048817848 /nfs/dbraw/zinc/81/78/48/1048817848.db2.gz SDGCFEOBVNJDJL-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)CN(C[C@@H]1CCC(=O)O1)[C@H](C)c1ccccc1Cl ZINC000852023291 1048819548 /nfs/dbraw/zinc/81/95/48/1048819548.db2.gz KLBLOWABFLLCHH-KGLIPLIRSA-N 0 3 309.837 4.065 20 0 DIADHN COC(CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1)OC ZINC000852054590 1048819572 /nfs/dbraw/zinc/81/95/72/1048819572.db2.gz CHZXMLYRFWRFDQ-OAHLLOKOSA-N 0 3 318.244 4.043 20 0 DIADHN C[C@@H](NCc1cccc(F)c1N)c1ccc(Br)cc1 ZINC001177082132 1048819826 /nfs/dbraw/zinc/81/98/26/1048819826.db2.gz YTJRVMGUGRMVKI-SNVBAGLBSA-N 0 3 323.209 4.021 20 0 DIADHN CC(C)c1cnc(CNCCCn2ccc3ccccc32)s1 ZINC001177071371 1048822324 /nfs/dbraw/zinc/82/23/24/1048822324.db2.gz GPWPXGLPMPFEFR-UHFFFAOYSA-N 0 3 313.470 4.401 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000775428226 1048823554 /nfs/dbraw/zinc/82/35/54/1048823554.db2.gz BOCJHMJSGAQDAA-LLVKDONJSA-N 0 3 317.795 4.286 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc(F)cc1F)Oc1ccccc1F ZINC000483612032 1048824855 /nfs/dbraw/zinc/82/48/55/1048824855.db2.gz GTIMJXYSFGIWIT-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN CC[C@H]1c2ccsc2CCN1CCOCc1ccccc1 ZINC001167007862 1048826488 /nfs/dbraw/zinc/82/64/88/1048826488.db2.gz VZYZSPMGRMUPNZ-KRWDZBQOSA-N 0 3 301.455 4.274 20 0 DIADHN Cc1ccc([C@H](NCC2=CCCOC2)c2ccccc2)c(C)c1 ZINC000379737744 1048828172 /nfs/dbraw/zinc/82/81/72/1048828172.db2.gz SIGIARPSXVHJRP-OAQYLSRUSA-N 0 3 307.437 4.329 20 0 DIADHN Clc1ncccc1CN1CCC[C@@H]1CCc1ccccc1 ZINC000110490561 1048829027 /nfs/dbraw/zinc/82/90/27/1048829027.db2.gz PFFZKLRDTPIFMX-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN CC(C)CCC[C@H](C)NCc1ncc(Br)cc1F ZINC001177098199 1048829909 /nfs/dbraw/zinc/82/99/09/1048829909.db2.gz HWURDLWFYYIBQL-NSHDSACASA-N 0 3 317.246 4.288 20 0 DIADHN CCc1cnc(CNCCCSc2ccc(F)cc2)s1 ZINC001177166723 1048835294 /nfs/dbraw/zinc/83/52/94/1048835294.db2.gz UTZJDNWFGZMKIK-UHFFFAOYSA-N 0 3 310.463 4.117 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000483717809 1048842545 /nfs/dbraw/zinc/84/25/45/1048842545.db2.gz YVNOBTRXRYGWKM-UKPHBRMFSA-N 0 3 316.470 4.418 20 0 DIADHN CN(Cc1ccc2oc3ccccc3c2c1)CC1=CCCOC1 ZINC000852518879 1048846331 /nfs/dbraw/zinc/84/63/31/1048846331.db2.gz RCTNEKGRAMUACQ-UHFFFAOYSA-N 0 3 307.393 4.365 20 0 DIADHN CN(Cc1cccc(SC(F)(F)F)c1)CC1=CCCOC1 ZINC000852518345 1048849558 /nfs/dbraw/zinc/84/95/58/1048849558.db2.gz FZHSJXIINUWQJR-UHFFFAOYSA-N 0 3 317.376 4.077 20 0 DIADHN COc1cc(CN(CC2CCC2)[C@H](C)c2ccccc2)on1 ZINC001191122426 1048858246 /nfs/dbraw/zinc/85/82/46/1048858246.db2.gz QMOQQWMDOOVIHX-CQSZACIVSA-N 0 3 300.402 4.047 20 0 DIADHN CCc1ccc(CN2CCN(CC34CCC(CC3)C4)CC2)s1 ZINC001191124084 1048859397 /nfs/dbraw/zinc/85/93/97/1048859397.db2.gz YVTCRIBYBSFWGY-UHFFFAOYSA-N 0 3 318.530 4.008 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3c(c2)CCCO3)cc(Cl)n1 ZINC000852779724 1048861509 /nfs/dbraw/zinc/86/15/09/1048861509.db2.gz IENAZCCFGAMVLI-ZDUSSCGKSA-N 0 3 316.832 4.219 20 0 DIADHN CC[C@@H](NCc1cncc(OC)c1C)c1cccc(Cl)c1 ZINC001177277385 1048865483 /nfs/dbraw/zinc/86/54/83/1048865483.db2.gz LUMFYCAXTHSZQW-MRXNPFEDSA-N 0 3 304.821 4.293 20 0 DIADHN CCCCOc1cccc(CNCc2ccc(COC)cc2)c1 ZINC001177312348 1048865786 /nfs/dbraw/zinc/86/57/86/1048865786.db2.gz OTWPACYPYORLBY-UHFFFAOYSA-N 0 3 313.441 4.302 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3CO[C@@H](C4CC4)C3)o2)cc1 ZINC001203321459 1048870002 /nfs/dbraw/zinc/87/00/02/1048870002.db2.gz YABLZKOYOHOWDX-CRAIPNDOSA-N 0 3 317.816 4.257 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3ccc(F)cc3[nH]c2=O)cc1 ZINC001177341805 1048871377 /nfs/dbraw/zinc/87/13/77/1048871377.db2.gz RGVMOVUNZLBJBV-ZDUSSCGKSA-N 0 3 310.372 4.239 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CCCC34CC4)cs2)cc1 ZINC001203331544 1048872376 /nfs/dbraw/zinc/87/23/76/1048872376.db2.gz DBZZZDGLABVNKA-HNNXBMFYSA-N 0 3 302.418 4.372 20 0 DIADHN CCCCOc1ccc(CCNCc2nc(C)c(C)s2)cc1 ZINC001177307796 1048872365 /nfs/dbraw/zinc/87/23/65/1048872365.db2.gz DUFFHPZNSDFVOY-UHFFFAOYSA-N 0 3 318.486 4.271 20 0 DIADHN Cc1ccc(CN(Cc2ccc3c(c2)OCCO3)C2CC2)cc1C ZINC001191164823 1048872932 /nfs/dbraw/zinc/87/29/32/1048872932.db2.gz MUGZNJMKNHAGED-UHFFFAOYSA-N 0 3 323.436 4.239 20 0 DIADHN Fc1cc(OCCN2CCC[C@H]2c2ccc[nH]2)ccc1Cl ZINC000483973487 1048885913 /nfs/dbraw/zinc/88/59/13/1048885913.db2.gz GFXXKJCIGHOEOZ-INIZCTEOSA-N 0 3 308.784 4.023 20 0 DIADHN COc1ccc(Cl)c(CN(C)[C@@H](C)Cc2ccc(O)cc2)c1 ZINC000483967996 1048887187 /nfs/dbraw/zinc/88/71/87/1048887187.db2.gz ZMOOQWVNQOKDDH-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN c1cc(CNCc2ccc(OCc3ccncc3)cc2)cs1 ZINC000067670317 1048888663 /nfs/dbraw/zinc/88/86/63/1048888663.db2.gz GGGNCKUIDZAMOE-UHFFFAOYSA-N 0 3 310.422 4.012 20 0 DIADHN COc1ncccc1CN1CCCC[C@@H]1c1cccc(C)c1C ZINC001191215459 1048889702 /nfs/dbraw/zinc/88/97/02/1048889702.db2.gz UKISLYKYAUGIMB-LJQANCHMSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000853242348 1048890783 /nfs/dbraw/zinc/89/07/83/1048890783.db2.gz PKGNUNHDVWKGOT-RYUDHWBXSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)c(C)n1 ZINC000853242420 1048890872 /nfs/dbraw/zinc/89/08/72/1048890872.db2.gz PVMHKIGADPLOLX-GDBMZVCRSA-N 0 3 310.441 4.435 20 0 DIADHN C[C@H](NCCNc1ccccn1)c1cccc(Cl)c1Cl ZINC000484126041 1048901567 /nfs/dbraw/zinc/90/15/67/1048901567.db2.gz DAMXEYQWRQCFHI-NSHDSACASA-N 0 3 310.228 4.151 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cccc(OC(C)(C)C)c2)CCS1 ZINC001191221773 1048902051 /nfs/dbraw/zinc/90/20/51/1048902051.db2.gz DQRNQUOQFJIHIX-KRWDZBQOSA-N 0 3 307.503 4.437 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(C)nc3c2CCCC3)s1 ZINC001177497110 1048903317 /nfs/dbraw/zinc/90/33/17/1048903317.db2.gz YRDKNEKRZFZLES-CQSZACIVSA-N 0 3 300.471 4.490 20 0 DIADHN COc1ccccc1CN1CCC2(CC1)O[C@H](C)c1ccccc12 ZINC001203439781 1048903435 /nfs/dbraw/zinc/90/34/35/1048903435.db2.gz ZNEXKMQIHQDHJV-MRXNPFEDSA-N 0 3 323.436 4.278 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(C)cc1Cl)c1ccco1 ZINC001177554454 1048906275 /nfs/dbraw/zinc/90/62/75/1048906275.db2.gz ZDMHJOCIMYFMIV-QGZVFWFLSA-N 0 3 320.864 4.414 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000111398644 1048907463 /nfs/dbraw/zinc/90/74/63/1048907463.db2.gz OFJBKBRQWSESGR-HUUCEWRRSA-N 0 3 317.864 4.090 20 0 DIADHN Fc1ccc(CNC[C@H]2CCC3(CCC3)O2)c(Cl)c1Cl ZINC001203472484 1048908867 /nfs/dbraw/zinc/90/88/67/1048908867.db2.gz VJDFLTLWTJDQRE-LLVKDONJSA-N 0 3 318.219 4.324 20 0 DIADHN C[C@H](NCCNc1ccccn1)c1ccc(-c2ccccc2)o1 ZINC000484172675 1048909741 /nfs/dbraw/zinc/90/97/41/1048909741.db2.gz GAPJCVRPVHKIFT-HNNXBMFYSA-N 0 3 307.397 4.104 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1N[C@@H]1CCOC2(CCCCC2)C1 ZINC001203464061 1048909713 /nfs/dbraw/zinc/90/97/13/1048909713.db2.gz KCMUSAAFFJDYOC-BHIYHBOVSA-N 0 3 317.448 4.484 20 0 DIADHN COc1ccc(OC)c(CN2CCCC[C@@H]2c2ccccc2)c1 ZINC001203518835 1048915889 /nfs/dbraw/zinc/91/58/89/1048915889.db2.gz QWPWALPVMFJWLG-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN Fc1ccc2oc(CNCc3cccc4cccnc43)cc2c1 ZINC001177557788 1048916088 /nfs/dbraw/zinc/91/60/88/1048916088.db2.gz KTLHAEDEJPJPNO-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(F)cc1C)c1ccc(F)cc1F ZINC000775448029 1048916282 /nfs/dbraw/zinc/91/62/82/1048916282.db2.gz AWYYTHVMODTILO-ROUUACIJSA-N 0 3 323.358 4.187 20 0 DIADHN C[C@H](NCc1cc[nH]c(=O)c1)c1ccccc1Oc1ccccc1 ZINC001177586772 1048919165 /nfs/dbraw/zinc/91/91/65/1048919165.db2.gz JXDPZPBLKRSCAV-HNNXBMFYSA-N 0 3 320.392 4.430 20 0 DIADHN CC(C)C[C@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001191342995 1048920121 /nfs/dbraw/zinc/92/01/21/1048920121.db2.gz QUWFGKPKRLLNGL-UONOGXRCSA-N 0 3 308.853 4.035 20 0 DIADHN CCc1ccc(N2CCN(Cc3ccc(C)c(C)c3)CC2)cc1 ZINC001191349992 1048922104 /nfs/dbraw/zinc/92/21/04/1048922104.db2.gz GAVSJNWOZOPEHT-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN CO[C@@H]1C[C@@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1(C)C ZINC000484299182 1048923033 /nfs/dbraw/zinc/92/30/33/1048923033.db2.gz DVESZGHNXBUERH-DAXOMENPSA-N 0 3 316.470 4.274 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCCOc3ccccc32)cc1F ZINC001177605035 1048923313 /nfs/dbraw/zinc/92/33/13/1048923313.db2.gz YAEXRAFEJBRARO-YWPBOTNQSA-N 0 3 315.363 4.482 20 0 DIADHN CCOc1ccccc1CN1CCC[C@H]1c1ccc(OC)cc1 ZINC001203541120 1048923696 /nfs/dbraw/zinc/92/36/96/1048923696.db2.gz NNQFQXOGEJCEDU-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)(CNCc1ccc(F)c(F)c1F)c1ccccc1F ZINC001177617382 1048923857 /nfs/dbraw/zinc/92/38/57/1048923857.db2.gz STFDUTYZJWHMCA-UHFFFAOYSA-N 0 3 311.322 4.310 20 0 DIADHN Fc1cccc(F)c1CCCN1CCS[C@H]2CCCC[C@@H]21 ZINC001191358582 1048924264 /nfs/dbraw/zinc/92/42/64/1048924264.db2.gz NJIKCBQRKSGPKS-IRXDYDNUSA-N 0 3 311.441 4.257 20 0 DIADHN CCOc1ccccc1CN1CCCc2ccc(OC)cc2C1 ZINC001203545207 1048924401 /nfs/dbraw/zinc/92/44/01/1048924401.db2.gz CREGNUUSARWYFI-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN C[C@@H](NCCCNc1ccccn1)c1ccc(F)cc1Cl ZINC000484316276 1048924513 /nfs/dbraw/zinc/92/45/13/1048924513.db2.gz YOUPGPSHJCHMPE-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)C(=O)CN1[C@H](C)CCC[C@@H]1C ZINC001191315724 1048924897 /nfs/dbraw/zinc/92/48/97/1048924897.db2.gz AXOYIQATTUSIME-QLFBSQMISA-N 0 3 322.880 4.122 20 0 DIADHN CCOc1ccccc1CN1CC[C@H](Oc2ccccc2C)C1 ZINC001203540507 1048925006 /nfs/dbraw/zinc/92/50/06/1048925006.db2.gz ADVWTZOCTBBYNJ-SFHVURJKSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@H](NCCCNc1ccccn1)c1ccc(F)cc1Cl ZINC000484316278 1048925089 /nfs/dbraw/zinc/92/50/89/1048925089.db2.gz YOUPGPSHJCHMPE-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN CCc1cnc(CNCC(C)(C)c2ccccc2Cl)s1 ZINC001177631571 1048924999 /nfs/dbraw/zinc/92/49/99/1048924999.db2.gz PRNADIITAUWVIF-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN COc1nsc(CNCCCCCc2ccccc2)c1Cl ZINC001177631352 1048925524 /nfs/dbraw/zinc/92/55/24/1048925524.db2.gz HAUUGQASBGRWPV-UHFFFAOYSA-N 0 3 324.877 4.308 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(CCSc2ccncc2)C1 ZINC001191319967 1048925746 /nfs/dbraw/zinc/92/57/46/1048925746.db2.gz UBFHLRWNLLBXTM-RDTXWAMCSA-N 0 3 316.445 4.396 20 0 DIADHN Fc1ccc(CNCCC[C@H]2C=CCC2)c(Br)c1 ZINC001203553413 1048926042 /nfs/dbraw/zinc/92/60/42/1048926042.db2.gz FRXCDZYMKGUGCI-LBPRGKRZSA-N 0 3 312.226 4.424 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC001203553559 1048926417 /nfs/dbraw/zinc/92/64/17/1048926417.db2.gz IEUQJXWNEBWXGN-MNEFBYGVSA-N 0 3 315.457 4.055 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nc(-c3ccccc3)c[nH]2)cc1 ZINC001177674245 1048927320 /nfs/dbraw/zinc/92/73/20/1048927320.db2.gz SGTFMNOYQAAPSQ-UHFFFAOYSA-N 0 3 319.452 4.453 20 0 DIADHN CCc1cccc(CNCc2cccc(Br)c2)c1 ZINC001177651147 1048930396 /nfs/dbraw/zinc/93/03/96/1048930396.db2.gz XBIBGTJJWLMXKX-UHFFFAOYSA-N 0 3 304.231 4.301 20 0 DIADHN Clc1cccc2c1CC[C@@H]2NCc1ccc2nccnc2c1 ZINC001177646239 1048930614 /nfs/dbraw/zinc/93/06/14/1048930614.db2.gz KCCMQMFOWPGZPD-INIZCTEOSA-N 0 3 309.800 4.060 20 0 DIADHN CC(C)OC1(CNCc2cccc(Cl)c2Cl)CCC1 ZINC001203566548 1048931410 /nfs/dbraw/zinc/93/14/10/1048931410.db2.gz UWCSTIJXWKOHFN-UHFFFAOYSA-N 0 3 302.245 4.431 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H](CN(C)C)c1ccccc1 ZINC000194837884 1048932396 /nfs/dbraw/zinc/93/23/96/1048932396.db2.gz NUWJASVDKRSFOC-YJBOKZPZSA-N 0 3 314.498 4.362 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(F)cc1C)c1cc(F)ccc1F ZINC000775453992 1048932528 /nfs/dbraw/zinc/93/25/28/1048932528.db2.gz JNLDXUXPAMKFFJ-ZWKOTPCHSA-N 0 3 323.358 4.187 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(F)cc1C)c1cc(F)ccc1F ZINC000775453988 1048933879 /nfs/dbraw/zinc/93/38/79/1048933879.db2.gz JNLDXUXPAMKFFJ-QZTJIDSGSA-N 0 3 323.358 4.187 20 0 DIADHN CC(C)(C)c1ccc(CNCc2c[nH]nc2C(C)(C)C)s1 ZINC001203581531 1048935179 /nfs/dbraw/zinc/93/51/79/1048935179.db2.gz NSYGKWAPBZBMFZ-UHFFFAOYSA-N 0 3 305.491 4.356 20 0 DIADHN CCN(C)c1ccccc1CNC/C=C\c1ccc(F)cc1F ZINC001177693211 1048934871 /nfs/dbraw/zinc/93/48/71/1048934871.db2.gz MZMPOIQHDPINOF-VURMDHGXSA-N 0 3 316.395 4.224 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1ccc(C(F)(F)F)cn1 ZINC001191408047 1048937310 /nfs/dbraw/zinc/93/73/10/1048937310.db2.gz LRWLVZSRDPNCIJ-LLVKDONJSA-N 0 3 314.376 4.225 20 0 DIADHN COCC[C@@H](c1ccccc1)N(C)Cc1cc2ccccc2[nH]1 ZINC001191409054 1048938287 /nfs/dbraw/zinc/93/82/87/1048938287.db2.gz RCRHSOSYYOTDAF-FQEVSTJZSA-N 0 3 308.425 4.378 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(F)cc2C)cc1C ZINC001203603783 1048938652 /nfs/dbraw/zinc/93/86/52/1048938652.db2.gz WEDSUAUGRXUHDW-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN CCCOc1cccc(CNCc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001203597812 1048939701 /nfs/dbraw/zinc/93/97/01/1048939701.db2.gz LLJYZAOLDRMMIT-OAHLLOKOSA-N 0 3 311.425 4.089 20 0 DIADHN C[C@H](CNCc1nc2c(s1)C[C@@H](C)CC2)c1ccc(F)cc1 ZINC001177742332 1048939812 /nfs/dbraw/zinc/93/98/12/1048939812.db2.gz HKFXQOJCPXNSGI-QWHCGFSZSA-N 0 3 318.461 4.300 20 0 DIADHN CC(C)c1ccc(-c2noc(C[C@@H]3NCc4ccccc43)n2)cc1 ZINC000854134198 1048942985 /nfs/dbraw/zinc/94/29/85/1048942985.db2.gz HMFSXHAEXKSDTC-SFHVURJKSA-N 0 3 319.408 4.247 20 0 DIADHN Cc1nnsc1[C@@H](C)N1CC[C@](C)(CCc2ccccc2)C1 ZINC001191443666 1048946387 /nfs/dbraw/zinc/94/63/87/1048946387.db2.gz FEJKQGGUOAXGHC-QAPCUYQASA-N 0 3 315.486 4.252 20 0 DIADHN Cc1sccc1CNc1nc2cccc(Br)c2[nH]1 ZINC001203646115 1048947123 /nfs/dbraw/zinc/94/71/23/1048947123.db2.gz ZRQFCRBULLLIRC-UHFFFAOYSA-N 0 3 322.231 4.307 20 0 DIADHN C[C@H]1c2ccccc2CCN1CCOc1ccc(Cl)c(F)c1 ZINC001191472745 1048949774 /nfs/dbraw/zinc/94/97/74/1048949774.db2.gz ASRJLYARPILCOO-ZDUSSCGKSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@H]1CCc2ccccc2N1CCNCc1ccccc1Cl ZINC001177829757 1048950032 /nfs/dbraw/zinc/95/00/32/1048950032.db2.gz RVBLSDLVEVNLDG-HNNXBMFYSA-N 0 3 314.860 4.271 20 0 DIADHN C[C@@H](NC[C@H](CO)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000484479957 1048950161 /nfs/dbraw/zinc/95/01/61/1048950161.db2.gz ZWXCGOMYIUJAQY-TZMCWYRMSA-N 0 3 324.251 4.420 20 0 DIADHN Cc1n[nH]c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC001177850635 1048954385 /nfs/dbraw/zinc/95/43/85/1048954385.db2.gz UPBHSAJVQGDIRQ-IGGJQXEISA-N 0 3 303.837 4.167 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2N[C@H]1CCSc2c(F)cccc21 ZINC000854226451 1048954849 /nfs/dbraw/zinc/95/48/49/1048954849.db2.gz PEIUMHAUIDHVBX-SJORKVTESA-N 0 3 314.429 4.222 20 0 DIADHN FC(F)(F)c1ccccc1CN1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001203687442 1048962108 /nfs/dbraw/zinc/96/21/08/1048962108.db2.gz PKLOLZRAKRPYGH-YPMHNXCESA-N 0 3 305.290 4.183 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1cc2c(s1)CCC2 ZINC000484513385 1048962934 /nfs/dbraw/zinc/96/29/34/1048962934.db2.gz VBQWKTBRJUUJQY-CYBMUJFWSA-N 0 3 301.455 4.405 20 0 DIADHN FC(F)(F)COc1ccc(Nc2ccnc(C3CCC3)c2)cn1 ZINC001203685349 1048962908 /nfs/dbraw/zinc/96/29/08/1048962908.db2.gz HHQHBHPGUCBDON-UHFFFAOYSA-N 0 3 323.318 4.429 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC2(CCCOC2)CC1 ZINC001203694084 1048964809 /nfs/dbraw/zinc/96/48/09/1048964809.db2.gz ZVDJVQYIXBXKGM-UHFFFAOYSA-N 0 3 313.363 4.098 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000775465431 1048968231 /nfs/dbraw/zinc/96/82/31/1048968231.db2.gz XNYJIZMSORUTJL-CQSZACIVSA-N 0 3 311.404 4.249 20 0 DIADHN COc1cccc([C@H]2CSCCN2Cc2ccccc2C)c1 ZINC001203713640 1048972409 /nfs/dbraw/zinc/97/24/09/1048972409.db2.gz UWBVHMVBFFWWQK-LJQANCHMSA-N 0 3 313.466 4.294 20 0 DIADHN CC(C)P(CCNC(=O)C(C)(C)Cc1cccnc1)C(C)C ZINC001178065990 1048979922 /nfs/dbraw/zinc/97/99/22/1048979922.db2.gz HLJOGCKQIQNALN-UHFFFAOYSA-N 0 3 322.433 4.065 20 0 DIADHN Cc1ccc(CN2CC3(CC(OCc4ccccc4)C3)C2)c(C)c1 ZINC001203740488 1048986468 /nfs/dbraw/zinc/98/64/68/1048986468.db2.gz XZROQEJBGTXWJV-UHFFFAOYSA-N 0 3 321.464 4.485 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2c(F)cccc2F)C1)c1ccccc1F ZINC000484599586 1048987350 /nfs/dbraw/zinc/98/73/50/1048987350.db2.gz NFCJZRJFCXOWQK-QWHCGFSZSA-N 0 3 320.358 4.033 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2ccc(C)nc2)cc1C ZINC001203758963 1048991040 /nfs/dbraw/zinc/99/10/40/1048991040.db2.gz SZOISBDOBRJIQK-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN Cc1ccc(C)c(CN2CC3(CC(OCc4ccccc4)C3)C2)c1 ZINC001203752100 1048992096 /nfs/dbraw/zinc/99/20/96/1048992096.db2.gz RXDITGCREWMPSR-UHFFFAOYSA-N 0 3 321.464 4.485 20 0 DIADHN Cc1ccccc1[C@H](NCc1cncnc1)C1CCC(C)CC1 ZINC000112711516 1048994688 /nfs/dbraw/zinc/99/46/88/1048994688.db2.gz ZVTMEWAUENOWBK-PKUWUEBNSA-N 0 3 309.457 4.442 20 0 DIADHN COc1ccc(CNCc2cc(C)cc(Cl)c2)c(C)c1OC ZINC001203782713 1048995458 /nfs/dbraw/zinc/99/54/58/1048995458.db2.gz LOWGEZYSMZTTBB-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C[C@@H]2CCC(C)(C)c3ccccc32)n1 ZINC001178204616 1048997245 /nfs/dbraw/zinc/99/72/45/1048997245.db2.gz KPUBAZWRIIPCAS-HNNXBMFYSA-N 0 3 310.441 4.040 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCN(c3c(F)cccc3F)C2)o1 ZINC000484624584 1048997662 /nfs/dbraw/zinc/99/76/62/1048997662.db2.gz ZSFZAANCYLHKDY-CHWSQXEVSA-N 0 3 320.383 4.050 20 0 DIADHN Cc1nc(CNC[C@H]2CCC(C)(C)c3ccccc32)cs1 ZINC001178203210 1048998132 /nfs/dbraw/zinc/99/81/32/1048998132.db2.gz BDAYNDABRCGGHI-CQSZACIVSA-N 0 3 300.471 4.396 20 0 DIADHN CCC(CC)(CO)CNCc1csc(-c2ccc(C)cc2)n1 ZINC001178214282 1049000228 /nfs/dbraw/zinc/00/02/28/1049000228.db2.gz XPMDHCJSQPLMMK-UHFFFAOYSA-N 0 3 318.486 4.007 20 0 DIADHN CCOc1c(Cl)cc(CNc2cc(C)cc(C)n2)cc1OC ZINC001178243771 1049001133 /nfs/dbraw/zinc/00/11/33/1049001133.db2.gz DUPHWRGOMCYEGJ-UHFFFAOYSA-N 0 3 320.820 4.371 20 0 DIADHN O=c1ccc(CNCc2ccc(Sc3ccccc3)cc2)c[nH]1 ZINC001178244027 1049001247 /nfs/dbraw/zinc/00/12/47/1049001247.db2.gz HFBDXPZBWCVLDK-UHFFFAOYSA-N 0 3 322.433 4.228 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3ccc(Cl)c(Cl)c3)C[C@@H]21 ZINC001203804087 1049002288 /nfs/dbraw/zinc/00/22/88/1049002288.db2.gz RVLGWURNWOSPOK-WWGRRREGSA-N 0 3 314.256 4.240 20 0 DIADHN CC(C)c1cccc(CN(C)[C@H](C)CNC(=O)OC(C)(C)C)c1 ZINC001191852602 1049004833 /nfs/dbraw/zinc/00/48/33/1049004833.db2.gz AHONPDGSIIMZAS-OAHLLOKOSA-N 0 3 320.477 4.155 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(CC)cn2)C1(CC)CC ZINC001191822289 1049006442 /nfs/dbraw/zinc/00/64/42/1049006442.db2.gz UFXFQHNQCCBSAQ-ROUUACIJSA-N 0 3 304.478 4.060 20 0 DIADHN Cc1ncc(CNCc2c(C)cccc2C)n1-c1ccccc1 ZINC001178280866 1049010942 /nfs/dbraw/zinc/01/09/42/1049010942.db2.gz XISAMIUENFHDML-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1nc2ccc(F)cc2s1 ZINC001178284793 1049011696 /nfs/dbraw/zinc/01/16/96/1049011696.db2.gz BJTNNDACLSJTOF-LSDHHAIUSA-N 0 3 322.449 4.119 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@@H](C)C[C@H](C)O)cc1Cl ZINC001178294799 1049013533 /nfs/dbraw/zinc/01/35/33/1049013533.db2.gz AMXQIANYWMVLKV-QWRGUYRKSA-N 0 3 320.260 4.030 20 0 DIADHN COCCN(CC[C@H]1CCc2ccccc21)Cc1ccc(C)o1 ZINC001191890723 1049016104 /nfs/dbraw/zinc/01/61/04/1049016104.db2.gz AILHQNWZFLZXQO-GOSISDBHSA-N 0 3 313.441 4.157 20 0 DIADHN COc1ccc([C@@H](OC)[C@H](C)NCc2ccc(C)cc2F)cc1 ZINC001178331832 1049017234 /nfs/dbraw/zinc/01/72/34/1049017234.db2.gz XOKFNTHJVABXNC-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN Fc1ccc(CNC/C=C/c2ccccc2)cc1Br ZINC001178321806 1049021647 /nfs/dbraw/zinc/02/16/47/1049021647.db2.gz MUTTZVYFVFYSTP-QPJJXVBHSA-N 0 3 320.205 4.391 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cccc(C(F)(F)F)c1 ZINC001203886262 1049022846 /nfs/dbraw/zinc/02/28/46/1049022846.db2.gz XSPQLDIDJATUEW-AWEZNQCLSA-N 0 3 301.352 4.095 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cccc(C(F)(F)F)c1 ZINC001203886277 1049022896 /nfs/dbraw/zinc/02/28/96/1049022896.db2.gz ZOINTANYJVIERY-HNNXBMFYSA-N 0 3 301.352 4.096 20 0 DIADHN Cc1cccc(CN2CCC(Oc3cccc(Cl)n3)CC2)c1 ZINC001203892767 1049024828 /nfs/dbraw/zinc/02/48/28/1049024828.db2.gz QMEHVMJHCQJBEO-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1cccc(CN(CCO)Cc2ccc(Cl)c(Cl)c2)c1 ZINC001203892339 1049025725 /nfs/dbraw/zinc/02/57/25/1049025725.db2.gz ICURDTYPWDQNFG-UHFFFAOYSA-N 0 3 324.251 4.296 20 0 DIADHN Cc1csc(C2(NCCSC(F)(F)F)CCCC2)n1 ZINC001191978741 1049028897 /nfs/dbraw/zinc/02/88/97/1049028897.db2.gz XXIKPKBWZHQPIJ-UHFFFAOYSA-N 0 3 310.410 4.063 20 0 DIADHN Oc1cccc(C2CCN(Cc3ccc(Cl)cc3)CC2)c1 ZINC001203922101 1049031933 /nfs/dbraw/zinc/03/19/33/1049031933.db2.gz JZCYBNYHRMEVOI-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(Oc3ccccc3)cc2)C1 ZINC001203943711 1049037170 /nfs/dbraw/zinc/03/71/70/1049037170.db2.gz JYMNREPPYZUIRW-HXUWFJFHSA-N 0 3 311.425 4.478 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@@H]4CCCO[C@H]4C3)cc2)cc1 ZINC001203941039 1049037567 /nfs/dbraw/zinc/03/75/67/1049037567.db2.gz FVVYBYDWIBWLJR-RXVVDRJESA-N 0 3 323.436 4.480 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(Oc3ccccc3)cc2)C1 ZINC001203943066 1049038987 /nfs/dbraw/zinc/03/89/87/1049038987.db2.gz CTHJQFCNHQNAFN-SFHVURJKSA-N 0 3 309.409 4.280 20 0 DIADHN CCCN(Cc1ccc(CC)cc1)[C@H](C)C(=O)Nc1ccccc1 ZINC001192116877 1049039985 /nfs/dbraw/zinc/03/99/85/1049039985.db2.gz UOCKJYVWYRHDBG-QGZVFWFLSA-N 0 3 324.468 4.488 20 0 DIADHN Fc1ccccc1COc1cccc(CNC/C=C\Cl)c1 ZINC001203960722 1049042274 /nfs/dbraw/zinc/04/22/74/1049042274.db2.gz IJBDRRYYOCLPBH-WTKPLQERSA-N 0 3 305.780 4.247 20 0 DIADHN COc1cc(CNC/C=C/Cl)c(-c2ccccc2)cc1OC ZINC001203960415 1049042876 /nfs/dbraw/zinc/04/28/76/1049042876.db2.gz BNJGKIOZWYLNGY-RMKNXTFCSA-N 0 3 317.816 4.213 20 0 DIADHN C[C@H](NCc1ccnc(Cl)c1)c1ccc2ncsc2c1 ZINC000776816173 1049043763 /nfs/dbraw/zinc/04/37/63/1049043763.db2.gz NUZBPUILOGACHT-JTQLQIEISA-N 0 3 303.818 4.196 20 0 DIADHN CO[C@H]([C@H](C)NCc1ccc(Cl)c(C)c1Cl)C1CC1 ZINC001178723952 1049074775 /nfs/dbraw/zinc/07/47/75/1049074775.db2.gz PWYGSVKTGIKICI-ZUZCIYMTSA-N 0 3 302.245 4.205 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@H]1CCC(F)(F)C1 ZINC001203986158 1049052209 /nfs/dbraw/zinc/05/22/09/1049052209.db2.gz HJFFSTOVMPFTFL-GFCCVEGCSA-N 0 3 301.352 4.140 20 0 DIADHN COc1ccc(CN2CCc3ccsc3C2)c2ccccc12 ZINC001203994916 1049056041 /nfs/dbraw/zinc/05/60/41/1049056041.db2.gz ZQRHASYIMDVHKG-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN FC(F)(F)c1cccc([C@H]2C[C@H](NCc3cnsc3)C2)c1 ZINC001178581548 1049057757 /nfs/dbraw/zinc/05/77/57/1049057757.db2.gz PPWRYLJMOVWZAN-MQMHXKEQSA-N 0 3 312.360 4.198 20 0 DIADHN Fc1ccc2oc(CNCC3CC(c4ccccc4)C3)nc2c1 ZINC001178552486 1049060064 /nfs/dbraw/zinc/06/00/64/1049060064.db2.gz BYWNJIADBLSMNM-UHFFFAOYSA-N 0 3 310.372 4.250 20 0 DIADHN OCC[C@@H](Cc1ccccc1)NCc1cc(Cl)ccc1Cl ZINC001178556586 1049061648 /nfs/dbraw/zinc/06/16/48/1049061648.db2.gz CUTMVJYEUHBGNL-INIZCTEOSA-N 0 3 324.251 4.077 20 0 DIADHN OC[C@@H](CC1CCCC1)NCc1cccc(Cl)c1Cl ZINC001178570833 1049063150 /nfs/dbraw/zinc/06/31/50/1049063150.db2.gz AQJMJHDLOGUYKC-CYBMUJFWSA-N 0 3 302.245 4.024 20 0 DIADHN Brc1cccc2c1CN(CCc1ccccc1)CC2 ZINC001192222898 1049064354 /nfs/dbraw/zinc/06/43/54/1049064354.db2.gz CBVSXVFTBCVWDS-UHFFFAOYSA-N 0 3 316.242 4.050 20 0 DIADHN Cc1ccc(CN2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)s1 ZINC001204033820 1049066471 /nfs/dbraw/zinc/06/64/71/1049066471.db2.gz YHAKKRJKMCOVDN-OKILXGFUSA-N 0 3 321.449 4.086 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2c[nH]c3ccccc23)CC1 ZINC001204043065 1049070776 /nfs/dbraw/zinc/07/07/76/1049070776.db2.gz LGNAUDZIFTYURE-KRWDZBQOSA-N 0 3 319.452 4.047 20 0 DIADHN C[C@H]1CCN(Cc2cncc(OCc3ccccc3)c2)C[C@H]1C ZINC001192262284 1049072326 /nfs/dbraw/zinc/07/23/26/1049072326.db2.gz SWYPDNRNFPQUKF-DLBZAZTESA-N 0 3 310.441 4.139 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)no1 ZINC000776868085 1049073392 /nfs/dbraw/zinc/07/33/92/1049073392.db2.gz PSSPUEMIWQXELM-BZUAXINKSA-N 0 3 320.477 4.438 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1cc(Cl)cc(Cl)c1 ZINC000568664080 1049076405 /nfs/dbraw/zinc/07/64/05/1049076405.db2.gz KYRJIERZJPSTDS-MRXNPFEDSA-N 0 3 307.224 4.353 20 0 DIADHN CC(C)(C)c1nnc([N-]c2cccc(C[NH+]3CCCCC3)c2)o1 ZINC001204086733 1049080852 /nfs/dbraw/zinc/08/08/52/1049080852.db2.gz DRGZMGLYEBZDNC-UHFFFAOYSA-N 0 3 314.433 4.097 20 0 DIADHN Oc1ccc(CN2CCC(c3ccccc3Cl)CC2)cc1 ZINC001204096751 1049084344 /nfs/dbraw/zinc/08/43/44/1049084344.db2.gz PCFNVNMZXWFZCW-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN Cc1cccc2[nH]c(CNCc3cc4ccccc4[nH]c3=O)cc21 ZINC001204102832 1049085318 /nfs/dbraw/zinc/08/53/18/1049085318.db2.gz FTTRPSZZVGLIQE-UHFFFAOYSA-N 0 3 317.392 4.020 20 0 DIADHN COc1cc(CN2CC[C@H](c3ccccc3Cl)C2)ccc1O ZINC001204114413 1049086010 /nfs/dbraw/zinc/08/60/10/1049086010.db2.gz JTCRCLZGIAMPDW-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN CC[C@](C)(CNCc1cc(Cl)ccc1OCC1CC1)OC ZINC001178769366 1049086800 /nfs/dbraw/zinc/08/68/00/1049086800.db2.gz NIMASIKTXZZJHS-QGZVFWFLSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H](CO)c2ccc(F)cc2)c(C)c1 ZINC001178791214 1049088212 /nfs/dbraw/zinc/08/82/12/1049088212.db2.gz TZZNSPWLGZLNSZ-BBIZLLLXSA-N 0 3 313.416 4.087 20 0 DIADHN CCOc1cc(CN(CC)Cc2ccc(Cl)cc2)ccc1O ZINC001204133158 1049091579 /nfs/dbraw/zinc/09/15/79/1049091579.db2.gz JJRSGFBWTFWZLL-UHFFFAOYSA-N 0 3 319.832 4.466 20 0 DIADHN O=c1[nH]ccc2c1CCN(Cc1ccc(-c3ccccc3)cc1)C2 ZINC001204138105 1049093662 /nfs/dbraw/zinc/09/36/62/1049093662.db2.gz FLYBRWOPDWERFR-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CNC/C=C/C2CC2)s1 ZINC001178778148 1049094281 /nfs/dbraw/zinc/09/42/81/1049094281.db2.gz IEBFLQQRZXZEBD-NSCUHMNNSA-N 0 3 306.381 4.144 20 0 DIADHN Cc1cc(Br)c(CNCC2=CCCCC2)s1 ZINC001178823626 1049095397 /nfs/dbraw/zinc/09/53/97/1049095397.db2.gz IQCQGUCJIVJHFX-UHFFFAOYSA-N 0 3 300.265 4.409 20 0 DIADHN CN(C)c1ccncc1NC(=O)Nc1ccc2sccc2c1 ZINC001192423083 1049095655 /nfs/dbraw/zinc/09/56/55/1049095655.db2.gz KROONZJQOFMPBP-UHFFFAOYSA-N 0 3 312.398 4.006 20 0 DIADHN CSc1ccc(CN2CC[C@H](Oc3cccc(F)c3)C2)cc1 ZINC001204144970 1049096581 /nfs/dbraw/zinc/09/65/81/1049096581.db2.gz DJOPZYXPGRDKIE-KRWDZBQOSA-N 0 3 317.429 4.201 20 0 DIADHN C[Si](C)(C)c1ccc(CNCc2ccc(C(F)F)cc2)cc1 ZINC001178843787 1049098228 /nfs/dbraw/zinc/09/82/28/1049098228.db2.gz BETAAYUQOYGNQF-UHFFFAOYSA-N 0 3 319.471 4.459 20 0 DIADHN COC(=O)c1oc(CN2CCCC3(C2)CCCCCC3)cc1C ZINC001204164172 1049100745 /nfs/dbraw/zinc/10/07/45/1049100745.db2.gz ZAIQCWNZTNHFGF-UHFFFAOYSA-N 0 3 319.445 4.311 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)C[C@@H]1Cc2ccccc2O1 ZINC001204164896 1049102314 /nfs/dbraw/zinc/10/23/14/1049102314.db2.gz FVDVWWIBEZATHN-INIZCTEOSA-N 0 3 321.342 4.141 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3ccnn3C(C)C)C2)cc1 ZINC001204170584 1049102802 /nfs/dbraw/zinc/10/28/02/1049102802.db2.gz KYYAEANRIQUPKM-IBGZPJMESA-N 0 3 311.473 4.227 20 0 DIADHN COC(=O)[C@@H]1CCN(Cc2ccc(C)cc2)[C@@H](c2ccccc2)C1 ZINC001204175382 1049103891 /nfs/dbraw/zinc/10/38/91/1049103891.db2.gz WEEBEINJRDAOLR-WOJBJXKFSA-N 0 3 323.436 4.121 20 0 DIADHN Cc1ccc(CN2CC3(CC(OCc4ccccc4)C3)C2)cc1 ZINC001204174581 1049104035 /nfs/dbraw/zinc/10/40/35/1049104035.db2.gz XLZBBRLVCITEGJ-UHFFFAOYSA-N 0 3 307.437 4.176 20 0 DIADHN CN(C)Cc1ccc(-c2noc(CCCC3CCCC3)n2)cc1 ZINC000904621220 1049106022 /nfs/dbraw/zinc/10/60/22/1049106022.db2.gz RYABWAMADFXEPF-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3cccc(Cl)c3Cl)C[C@@H]21 ZINC001204182988 1049106616 /nfs/dbraw/zinc/10/66/16/1049106616.db2.gz VDSNYIVAFIYDBM-ZLDLUXBVSA-N 0 3 314.256 4.240 20 0 DIADHN CC(C)OCCCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856780580 1049106715 /nfs/dbraw/zinc/10/67/15/1049106715.db2.gz KEHYKTZGLHYYCV-SNVBAGLBSA-N 0 3 324.774 4.220 20 0 DIADHN CCc1ccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)cc1 ZINC000904621342 1049107890 /nfs/dbraw/zinc/10/78/90/1049107890.db2.gz VHEWXFHHUIIOBI-UHFFFAOYSA-N 0 3 307.397 4.028 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cccc(C4CC4)c3)n2)cc1 ZINC000904623380 1049108139 /nfs/dbraw/zinc/10/81/39/1049108139.db2.gz BTIDKUQKBZUUFS-UHFFFAOYSA-N 0 3 319.408 4.343 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C(C)(C)C3CCCC3)n2)cc1 ZINC000904623111 1049108241 /nfs/dbraw/zinc/10/82/41/1049108241.db2.gz MEWHJMYNHUZGSL-UHFFFAOYSA-N 0 3 313.445 4.266 20 0 DIADHN C[C@H]1CC(c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@H](C)C1 ZINC000904624619 1049108518 /nfs/dbraw/zinc/10/85/18/1049108518.db2.gz TUFRWYCVGSXXGC-ZIAGYGMSSA-N 0 3 313.445 4.338 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3CCCC4(CC4)C3)n2)cc1 ZINC000904623370 1049108570 /nfs/dbraw/zinc/10/85/70/1049108570.db2.gz BMTUTWAVIOKXCF-INIZCTEOSA-N 0 3 311.429 4.236 20 0 DIADHN Cc1ccc(-c2ccc(CNCc3ccc4cncn4c3)o2)cc1 ZINC001178916670 1049109508 /nfs/dbraw/zinc/10/95/08/1049109508.db2.gz LRGDFGLLUZZXSV-UHFFFAOYSA-N 0 3 317.392 4.193 20 0 DIADHN C[C@H]1CN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)CC(C)(C)C1 ZINC001192500883 1049110783 /nfs/dbraw/zinc/11/07/83/1049110783.db2.gz JXLHPINZPHCPOY-IAQYHMDHSA-N 0 3 316.272 4.395 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@H](O)C(C)C ZINC001178959511 1049114912 /nfs/dbraw/zinc/11/49/12/1049114912.db2.gz YZBIPOHJDLGWLK-BXUZGUMPSA-N 0 3 308.224 4.018 20 0 DIADHN CO[C@H](CNCc1ccc(-c2c(F)cccc2F)o1)CC(C)C ZINC001178972417 1049115815 /nfs/dbraw/zinc/11/58/15/1049115815.db2.gz TZTVVAPDFXBESD-AWEZNQCLSA-N 0 3 323.383 4.376 20 0 DIADHN CCCN(Cc1ccc(OC)cc1F)[C@H]1C[C@H](OCC)C1(C)C ZINC001204235753 1049118310 /nfs/dbraw/zinc/11/83/10/1049118310.db2.gz CTXYVGBIWWMXTG-ROUUACIJSA-N 0 3 323.452 4.250 20 0 DIADHN CC[C@@H](N[C@@H](c1ccccc1)C1CC1)C(=O)Nc1ccc(C)cc1 ZINC001192584853 1049118714 /nfs/dbraw/zinc/11/87/14/1049118714.db2.gz LMXUIEQEKAKSBP-UXHICEINSA-N 0 3 322.452 4.453 20 0 DIADHN CCCC[C@](C)(CO)NCc1cc2cccc(C)c2nc1Cl ZINC001179024319 1049119169 /nfs/dbraw/zinc/11/91/69/1049119169.db2.gz GZVNEIQMSFWGLO-GOSISDBHSA-N 0 3 320.864 4.227 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CN[C@@H]1C[C@H]2C[C@H]2C1 ZINC001178982434 1049119334 /nfs/dbraw/zinc/11/93/34/1049119334.db2.gz XFIWJTVVAMNJQW-AYMMMOKOSA-N 0 3 318.617 4.130 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc2c1OCCO2)C1CC1 ZINC001192597027 1049120310 /nfs/dbraw/zinc/12/03/10/1049120310.db2.gz ZNIXWVDARNNEHK-LJQANCHMSA-N 0 3 309.409 4.007 20 0 DIADHN COc1cccc(C[C@@H](NC/C=C/Cl)c2ccccc2)c1 ZINC000775538635 1049121372 /nfs/dbraw/zinc/12/13/72/1049121372.db2.gz MGTWZJHVDUHORA-PMQIGOLDSA-N 0 3 301.817 4.321 20 0 DIADHN CCCCC[C@H](NCc1nncn1-c1ccccc1)C(C)(C)C ZINC001192606059 1049122247 /nfs/dbraw/zinc/12/22/47/1049122247.db2.gz ZFKFZKLZNLIGFC-KRWDZBQOSA-N 0 3 314.477 4.352 20 0 DIADHN COc1ccc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c(OC)c1C ZINC001204265385 1049123647 /nfs/dbraw/zinc/12/36/47/1049123647.db2.gz BGDJFZSWZAEYRV-CALCHBBNSA-N 0 3 323.436 4.099 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001179049410 1049123799 /nfs/dbraw/zinc/12/37/99/1049123799.db2.gz ORHKJQMBVKJDJK-GFCCVEGCSA-N 0 3 319.392 4.348 20 0 DIADHN COc1ccc(CN2CCC3(CC[C@H](F)C3)CC2)c(OC)c1C ZINC001204264621 1049123859 /nfs/dbraw/zinc/12/38/59/1049123859.db2.gz UOXCGELQOBXXIU-INIZCTEOSA-N 0 3 321.436 4.116 20 0 DIADHN COC[C@@H](CC(C)(C)C)NCc1nc2ccccc2n1C(C)C ZINC001179035161 1049127168 /nfs/dbraw/zinc/12/71/68/1049127168.db2.gz ZIXVKJQCVGTJMH-OAHLLOKOSA-N 0 3 317.477 4.158 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(F)c(Cl)c3)C2)cc1 ZINC001204285080 1049128006 /nfs/dbraw/zinc/12/80/06/1049128006.db2.gz LEXKVDPIVSGEQB-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN Cc1[nH]c2ccccc2c1CN(C)CCC(=O)c1ccccc1 ZINC001204293634 1049130856 /nfs/dbraw/zinc/13/08/56/1049130856.db2.gz HDGOGKVQOKJBKQ-UHFFFAOYSA-N 0 3 306.409 4.181 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)C[C@@H]1CCCC[C@@H]1O ZINC001192744017 1049131833 /nfs/dbraw/zinc/13/18/33/1049131833.db2.gz AZBDHGWRFAIDCU-WFASDCNBSA-N 0 3 307.821 4.069 20 0 DIADHN CC[C@@H](C)CCNCc1nc(-c2cccc(OC)c2)cs1 ZINC001179105050 1049131946 /nfs/dbraw/zinc/13/19/46/1049131946.db2.gz FHBAYBFDEUDUSK-CYBMUJFWSA-N 0 3 304.459 4.345 20 0 DIADHN Cc1csc(C2(N[C@H](C)Cc3cccc(F)c3)CCC2)n1 ZINC000776924539 1049133045 /nfs/dbraw/zinc/13/30/45/1049133045.db2.gz BVROLWHYDOWFFW-GFCCVEGCSA-N 0 3 304.434 4.191 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(SC)c(OC)c2)cc1 ZINC001192731202 1049133192 /nfs/dbraw/zinc/13/31/92/1049133192.db2.gz UMGVOFFLYJUIJP-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN Fc1ccc(C2(NC[C@@H]3CCC4(CCC4)O3)CCC2)c(F)c1 ZINC001192709785 1049134079 /nfs/dbraw/zinc/13/40/79/1049134079.db2.gz NMACJZHRPYDUDT-AWEZNQCLSA-N 0 3 307.384 4.035 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775543812 1049134226 /nfs/dbraw/zinc/13/42/26/1049134226.db2.gz YQIMLXYRFRGMTE-QZTJIDSGSA-N 0 3 305.368 4.129 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1 ZINC001204306023 1049135823 /nfs/dbraw/zinc/13/58/23/1049135823.db2.gz PQFLFBQAHSVIGY-OAHLLOKOSA-N 0 3 301.352 4.045 20 0 DIADHN C[C@H]1C[C@H](c2cccc(F)c2)N(Cc2cccc3c2OCC3)C1 ZINC001204305541 1049136659 /nfs/dbraw/zinc/13/66/59/1049136659.db2.gz CXJFSMVIHXQCGU-IFXJQAMLSA-N 0 3 311.400 4.344 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@@H]3CCCO[C@H]3C2)cc1 ZINC001204311040 1049138109 /nfs/dbraw/zinc/13/81/09/1049138109.db2.gz RKPYKWCHRIAEJB-ICSRJNTNSA-N 0 3 317.473 4.257 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)c1 ZINC001179159105 1049138188 /nfs/dbraw/zinc/13/81/88/1049138188.db2.gz ISALFJQHFBFWKB-DLBZAZTESA-N 0 3 321.436 4.050 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC[C@H](C(F)(F)F)[C@@H]1C ZINC000775548697 1049140024 /nfs/dbraw/zinc/14/00/24/1049140024.db2.gz VNGWMKGAHCPHMO-FZMZJTMJSA-N 0 3 324.346 4.411 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC[C@H]1CCCC1(C)C ZINC001179215320 1049141386 /nfs/dbraw/zinc/14/13/86/1049141386.db2.gz AURFEUHTCVRHNG-CYBMUJFWSA-N 0 3 309.425 4.149 20 0 DIADHN COc1cccc(CNCc2ccc(CC(F)(F)F)cc2)c1C ZINC001179210574 1049141438 /nfs/dbraw/zinc/14/14/38/1049141438.db2.gz JHGVMRVDPZGUIO-UHFFFAOYSA-N 0 3 323.358 4.398 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCc2cc(C)cc(C)c2)c1 ZINC001179222002 1049142634 /nfs/dbraw/zinc/14/26/34/1049142634.db2.gz CHXOWONEOLMRNQ-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)Nc2cccc(Cl)c2)c1C ZINC001192835617 1049142855 /nfs/dbraw/zinc/14/28/55/1049142855.db2.gz ZCZIRILNAQLEFP-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN C[C@H](NCCOCC(F)F)c1ccccc1Oc1ccccc1 ZINC001192908978 1049146323 /nfs/dbraw/zinc/14/63/23/1049146323.db2.gz AWJRNFHNDKQINR-AWEZNQCLSA-N 0 3 321.367 4.411 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@]2(c3ccccc3)CC2(C)C)cn1 ZINC001179248469 1049147656 /nfs/dbraw/zinc/14/76/56/1049147656.db2.gz SNZAJIVIYOVRPY-OAQYLSRUSA-N 0 3 323.484 4.341 20 0 DIADHN COc1ccc2nc(CN[C@@H]3CC34CCCCC4)sc2c1 ZINC001179259873 1049148543 /nfs/dbraw/zinc/14/85/43/1049148543.db2.gz YIDACDXQZGHARL-OAHLLOKOSA-N 0 3 302.443 4.117 20 0 DIADHN CCCCCCOc1ccc(CN2CCCO[C@@H](C)C2)cc1 ZINC001204365390 1049151242 /nfs/dbraw/zinc/15/12/42/1049151242.db2.gz UPESYWXOMNYVAV-KRWDZBQOSA-N 0 3 305.462 4.257 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(CC)cc2)cc1OC ZINC001204361740 1049151286 /nfs/dbraw/zinc/15/12/86/1049151286.db2.gz JVUYIUITGYZZMQ-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN CCCCCCOc1ccc(CN2CC[C@H]3OCC[C@H]32)cc1 ZINC001204365780 1049151884 /nfs/dbraw/zinc/15/18/84/1049151884.db2.gz YURBZGUJYSQSEF-RTBURBONSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(C(C)(C)C)cc3)CC2)no1 ZINC001204397831 1049156062 /nfs/dbraw/zinc/15/60/62/1049156062.db2.gz PHSCCHDLSNWZBP-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC001179343850 1049158826 /nfs/dbraw/zinc/15/88/26/1049158826.db2.gz PVDVGVCRLHMGQM-GOSISDBHSA-N 0 3 324.811 4.371 20 0 DIADHN CC1(C)CN(Cc2ccc(OC(F)F)cc2)CCC1(F)F ZINC001204418299 1049160564 /nfs/dbraw/zinc/16/05/64/1049160564.db2.gz QMWDDFVXSPNEBW-UHFFFAOYSA-N 0 3 305.315 4.155 20 0 DIADHN Cn1cc(C(F)F)c(CNC2CCC3(CCCCC3)CC2)n1 ZINC001179386881 1049162038 /nfs/dbraw/zinc/16/20/38/1049162038.db2.gz VXUBVSLDZZNNEW-UHFFFAOYSA-N 0 3 311.420 4.340 20 0 DIADHN c1coc(-c2ncc(CNCc3cccc4[nH]ccc43)s2)c1 ZINC001179429668 1049163048 /nfs/dbraw/zinc/16/30/48/1049163048.db2.gz FYJVWASCFXERNO-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001204446402 1049164153 /nfs/dbraw/zinc/16/41/53/1049164153.db2.gz JUSWRKNCPNCTOG-ROUUACIJSA-N 0 3 309.457 4.111 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H]2c3ccccc3CC[C@H]2F)CCO1 ZINC001179433723 1049164050 /nfs/dbraw/zinc/16/40/50/1049164050.db2.gz RLXVRZNNSPQVHD-NRSFXHEJSA-N 0 3 305.437 4.195 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCC(=O)Nc2ccc(C)c(C)c2)c1 ZINC001193011836 1049165366 /nfs/dbraw/zinc/16/53/66/1049165366.db2.gz MDHZJCORAOSOKW-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](Oc3ccccc3)C2)cc1 ZINC001204456355 1049165860 /nfs/dbraw/zinc/16/58/60/1049165860.db2.gz PROGXWJSIVBYDA-HXUWFJFHSA-N 0 3 311.425 4.127 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCCOC1CCSCC1 ZINC001193066263 1049171159 /nfs/dbraw/zinc/17/11/59/1049171159.db2.gz AGHRXOVMKRLZLS-AWEZNQCLSA-N 0 3 319.470 4.304 20 0 DIADHN COc1cncc(CNCc2ccc(C(C)(C)C)s2)c1C ZINC001179521229 1049172593 /nfs/dbraw/zinc/17/25/93/1049172593.db2.gz VQHLIRZJMBFLST-UHFFFAOYSA-N 0 3 304.459 4.047 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccc(C(C)(C)O)cc1 ZINC000775568839 1049173045 /nfs/dbraw/zinc/17/30/45/1049173045.db2.gz LRUDHLKMFZRCFE-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc2c(c1)CCO2 ZINC001204491021 1049173865 /nfs/dbraw/zinc/17/38/65/1049173865.db2.gz CHCKZLLMGDONNM-UHFFFAOYSA-N 0 3 321.342 4.272 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc3c(c2)CCO3)cc1 ZINC001204491115 1049174160 /nfs/dbraw/zinc/17/41/60/1049174160.db2.gz GVZFGGIHAYGSTJ-HXUWFJFHSA-N 0 3 323.436 4.357 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000568758283 1049175794 /nfs/dbraw/zinc/17/57/94/1049175794.db2.gz KTTODTOZKZIEFH-KBMXLJTQSA-N 0 3 324.262 4.011 20 0 DIADHN CC[C@H]1CCC[C@@H](CNCc2noc3c2CC(C)(C)CC3)C1 ZINC001179501715 1049175865 /nfs/dbraw/zinc/17/58/65/1049175865.db2.gz DONOGIPSSNUORK-LSDHHAIUSA-N 0 3 304.478 4.496 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1N[C@H](C)c1cn(-c2ccccc2)nn1 ZINC001193083547 1049176435 /nfs/dbraw/zinc/17/64/35/1049176435.db2.gz WHQKHHNCZIBWCU-NUJGCVRESA-N 0 3 312.461 4.277 20 0 DIADHN C[C@H](NC[C@H]1CCCC(C)(C)O1)c1nc2ccccc2s1 ZINC001193083497 1049176447 /nfs/dbraw/zinc/17/64/47/1049176447.db2.gz WCXFMHIKORRJSN-QWHCGFSZSA-N 0 3 304.459 4.295 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1)c1nc(C(C)(C)C)cs1 ZINC001193083853 1049176572 /nfs/dbraw/zinc/17/65/72/1049176572.db2.gz YGNXBROOTDCPSK-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN C[C@H](NCc1ccc2[nH]ccc2c1)c1ccc(C(F)(F)F)nc1 ZINC001179512589 1049177876 /nfs/dbraw/zinc/17/78/76/1049177876.db2.gz XSFNOTFKDXQHSO-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN COc1cccc(OC)c1CN1CCC(c2ccccc2)CC1 ZINC001204248409 1049179576 /nfs/dbraw/zinc/17/95/76/1049179576.db2.gz FFDPHYJLCXKPTA-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN CC1(C)CN(CC(=O)NCCCC2CCCCC2)CC(C)(C)C1 ZINC001193094080 1049181220 /nfs/dbraw/zinc/18/12/20/1049181220.db2.gz MWQBALHRUPETFS-UHFFFAOYSA-N 0 3 322.537 4.221 20 0 DIADHN CCCCN(CC)[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000485101517 1049181676 /nfs/dbraw/zinc/18/16/76/1049181676.db2.gz OLGDTPUJCKLBAP-CYBMUJFWSA-N 0 3 305.397 4.367 20 0 DIADHN CCN(Cc1ccc2oc(=O)oc2c1)[C@@H](C)c1ccc(F)cc1 ZINC001204525987 1049182713 /nfs/dbraw/zinc/18/27/13/1049182713.db2.gz XEUJHVRZWDIQDM-LBPRGKRZSA-N 0 3 315.344 4.108 20 0 DIADHN CSC1(CNCc2nc3c(s2)C[C@@H](C)CC3)CCCCC1 ZINC001179602548 1049184577 /nfs/dbraw/zinc/18/45/77/1049184577.db2.gz ALPTYBSLEFIXSI-ZDUSSCGKSA-N 0 3 324.559 4.423 20 0 DIADHN CC1(C)CCN(Cc2ccc(Sc3ccccn3)o2)CC1 ZINC001204535075 1049185352 /nfs/dbraw/zinc/18/53/52/1049185352.db2.gz YZHTUSHJRPNYRH-UHFFFAOYSA-N 0 3 302.443 4.448 20 0 DIADHN COc1ccc(-c2cc(CN3CC[C@H](C)C(C)(C)C3)on2)cc1 ZINC001193209860 1049188941 /nfs/dbraw/zinc/18/89/41/1049188941.db2.gz JPDPOBDPSBHZMT-AWEZNQCLSA-N 0 3 314.429 4.218 20 0 DIADHN COc1ccccc1C[C@@H](NCc1ncccc1Cl)C(C)C ZINC001193247868 1049190110 /nfs/dbraw/zinc/19/01/10/1049190110.db2.gz AOLRBBJRDSMECS-MRXNPFEDSA-N 0 3 318.848 4.101 20 0 DIADHN COc1cc(CN2CC[C@@H](c3ccccc3Cl)C2)ccc1F ZINC001204558023 1049190251 /nfs/dbraw/zinc/19/02/51/1049190251.db2.gz FIZABSDRQZZTSF-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN COc1cc(CN(C)Cc2cccc3cccnc32)ccc1F ZINC001204560470 1049190374 /nfs/dbraw/zinc/19/03/74/1049190374.db2.gz CBRFOPSUEHBSHH-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN Cc1cc(Br)ccc1CNC(C)(C)/C=C/Cl ZINC001179627281 1049190595 /nfs/dbraw/zinc/19/05/95/1049190595.db2.gz VUDFQOIUKWTJEJ-VOTSOKGWSA-N 0 3 302.643 4.378 20 0 DIADHN C[C@H](COCC1CCCCC1)NCc1cnc(C2CC2)s1 ZINC001179636754 1049191099 /nfs/dbraw/zinc/19/10/99/1049191099.db2.gz LHHQWDZMFCUABO-CYBMUJFWSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@H](NC1(c2ccc(OC)cc2)CC1)c1ccc2c(c1)CCO2 ZINC000776957592 1049194559 /nfs/dbraw/zinc/19/45/59/1049194559.db2.gz FDCUGZHSLYWORP-IBGZPJMESA-N 0 3 323.436 4.360 20 0 DIADHN Cc1nc[nH]c1CN1CCC(CCc2ccc(Cl)cc2)CC1 ZINC001204588338 1049194685 /nfs/dbraw/zinc/19/46/85/1049194685.db2.gz HEBRGXBGDWWUCM-UHFFFAOYSA-N 0 3 317.864 4.216 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccc(OCC2CC2)cc1 ZINC001204609214 1049198526 /nfs/dbraw/zinc/19/85/26/1049198526.db2.gz PXRILEZKOJADTL-INIZCTEOSA-N 0 3 307.437 4.422 20 0 DIADHN Cc1c[nH]c(=O)c(CNCc2ccccc2-c2ccccc2C)c1 ZINC001179734945 1049200118 /nfs/dbraw/zinc/20/01/18/1049200118.db2.gz WRGIBSRZTIMUMD-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCc3c[nH]nc32)C2CCCC2)cc1 ZINC000857851567 1049201383 /nfs/dbraw/zinc/20/13/83/1049201383.db2.gz OGQQUKUVRANNKW-ZWKOTPCHSA-N 0 3 313.420 4.447 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CCCc3cn[nH]c32)c2ccccc2)c1 ZINC000857857433 1049202329 /nfs/dbraw/zinc/20/23/29/1049202329.db2.gz UFWXMVFHASTSHC-RBUKOAKNSA-N 0 3 321.399 4.305 20 0 DIADHN COc1ccc(Br)cc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001203777048 1049202634 /nfs/dbraw/zinc/20/26/34/1049202634.db2.gz MQEGCAJGOFXNAX-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN CN(Cc1cc(Br)ccc1Cl)C1CCCC1 ZINC001193374965 1049203360 /nfs/dbraw/zinc/20/33/60/1049203360.db2.gz YBJNFSMQOUTPHF-UHFFFAOYSA-N 0 3 302.643 4.477 20 0 DIADHN CCCOc1cccc(CNCc2cccc3cccnc32)c1 ZINC000069715202 1049203598 /nfs/dbraw/zinc/20/35/98/1049203598.db2.gz WFLMEHUMTVPGOY-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN COc1ccc(C[C@@H]2CCN2C/C=C/c2ccc(F)cc2)cc1 ZINC001204633737 1049208028 /nfs/dbraw/zinc/20/80/28/1049208028.db2.gz YESHABMAZQMHKJ-PHXNAMCJSA-N 0 3 311.400 4.165 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2ncccc2Br)C1 ZINC001179793054 1049208053 /nfs/dbraw/zinc/20/80/53/1049208053.db2.gz FGTKPGQJISQQJY-STQMWFEESA-N 0 3 311.267 4.149 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1ccn(-c2ccccc2F)n1 ZINC001193412581 1049208525 /nfs/dbraw/zinc/20/85/25/1049208525.db2.gz FQRHZAVFMONBGE-WMLDXEAASA-N 0 3 301.409 4.022 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2c(F)cccc2F)n1 ZINC001193412587 1049208877 /nfs/dbraw/zinc/20/88/77/1049208877.db2.gz FREYSXKFUWHEEH-GUYCJALGSA-N 0 3 319.399 4.161 20 0 DIADHN CCOC(=O)CC[C@@H]1CCCN(Cc2cc(C)cc(Cl)c2)C1 ZINC001204640945 1049209162 /nfs/dbraw/zinc/20/91/62/1049209162.db2.gz YJMYXGCXYHRWMU-HNNXBMFYSA-N 0 3 323.864 4.204 20 0 DIADHN Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C[C@@H]1C[C@H]1C ZINC001204640729 1049209360 /nfs/dbraw/zinc/20/93/60/1049209360.db2.gz SSEBWLYXOBWNKT-SNPRPXQTSA-N 0 3 309.885 4.294 20 0 DIADHN FC1(F)CCCC[C@@H]1CN[C@@H]1CCc2ccc(Cl)nc21 ZINC000857913704 1049211489 /nfs/dbraw/zinc/21/14/89/1049211489.db2.gz MRGZAMLZRNJLRB-VXGBXAGGSA-N 0 3 300.780 4.137 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H]1CCc2ccc(Cl)nc21 ZINC000857911785 1049211570 /nfs/dbraw/zinc/21/15/70/1049211570.db2.gz SGPYSAHIRUUIFE-QWRGUYRKSA-N 0 3 306.759 4.289 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1cc(F)ccc1N(C)C ZINC001179830914 1049211785 /nfs/dbraw/zinc/21/17/85/1049211785.db2.gz ZZWXVVHMOAAUJE-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN [O-]c1ccc(C[N@@H+](Cc2ccc(F)cc2)CC2CC2)c(F)c1F ZINC001204651226 1049211735 /nfs/dbraw/zinc/21/17/35/1049211735.db2.gz MRKRRCLFGAVNHC-UHFFFAOYSA-N 0 3 321.342 4.222 20 0 DIADHN [O-]c1ccc(C[N@H+](Cc2ccc(F)cc2)CC2CC2)c(F)c1F ZINC001204651226 1049211748 /nfs/dbraw/zinc/21/17/48/1049211748.db2.gz MRKRRCLFGAVNHC-UHFFFAOYSA-N 0 3 321.342 4.222 20 0 DIADHN Oc1ccc(CN(Cc2ccc(F)cc2)CC2CC2)c(F)c1F ZINC001204651226 1049211760 /nfs/dbraw/zinc/21/17/60/1049211760.db2.gz MRKRRCLFGAVNHC-UHFFFAOYSA-N 0 3 321.342 4.222 20 0 DIADHN CC(C)(C)C1=CCN(Cc2ccc(O[C@@H]3CCOC3)cc2)CC1 ZINC001204647946 1049212004 /nfs/dbraw/zinc/21/20/04/1049212004.db2.gz LVHKGUMWTFAKFD-LJQANCHMSA-N 0 3 315.457 4.033 20 0 DIADHN CCOC(OCC)[C@@H](C)NCc1ccc(C2CCCC2)cc1 ZINC001179842726 1049213340 /nfs/dbraw/zinc/21/33/40/1049213340.db2.gz URZSZCCPZZBYEY-OAHLLOKOSA-N 0 3 305.462 4.221 20 0 DIADHN CCOC(=O)CC(C)(C)CN1CCC[C@@H]1c1cccc(Cl)c1 ZINC001204658134 1049214226 /nfs/dbraw/zinc/21/42/26/1049214226.db2.gz PHMKYARACHEYHA-MRXNPFEDSA-N 0 3 323.864 4.456 20 0 DIADHN CSC[C@H]1CCCN1Cc1ccc(Oc2ccccc2)o1 ZINC001179854966 1049216161 /nfs/dbraw/zinc/21/61/61/1049216161.db2.gz LKFUDJCIFNFWQB-CQSZACIVSA-N 0 3 303.427 4.399 20 0 DIADHN COc1cc(CNCc2ccc3cc[nH]c3c2)ccc1OC(C)C ZINC000858094561 1049217483 /nfs/dbraw/zinc/21/74/83/1049217483.db2.gz HJLASTGDSJISAO-UHFFFAOYSA-N 0 3 324.424 4.254 20 0 DIADHN CCOC(=O)c1ccc(CN(C)[C@@H](c2ccccc2)C(C)C)o1 ZINC001179892799 1049218795 /nfs/dbraw/zinc/21/87/95/1049218795.db2.gz RYWATMSRRRQJFX-GOSISDBHSA-N 0 3 315.413 4.285 20 0 DIADHN CC(C)Oc1ccc(F)c(-c2cnccc2/C=C/N(C)C)c1F ZINC001204673939 1049220352 /nfs/dbraw/zinc/22/03/52/1049220352.db2.gz FMAWTSJQJQUZRX-CSKARUKUSA-N 0 3 318.367 4.346 20 0 DIADHN Fc1ccc(/C=C/CN2CCCc3sccc3C2)cc1F ZINC001179859532 1049220516 /nfs/dbraw/zinc/22/05/16/1049220516.db2.gz QXBNMUQHYVGUJS-HNQUOIGGSA-N 0 3 305.393 4.488 20 0 DIADHN Cc1cccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001204674146 1049220583 /nfs/dbraw/zinc/22/05/83/1049220583.db2.gz UYFKBWGSTOJZKC-HKUYNNGSSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3cnc(F)c(C)c3)C2)cc1 ZINC001179859547 1049221790 /nfs/dbraw/zinc/22/17/90/1049221790.db2.gz RFJGYNCULKJGCV-SFHVURJKSA-N 0 3 312.432 4.292 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCOCC2(CCCC2)C1 ZINC001193564844 1049225217 /nfs/dbraw/zinc/22/52/17/1049225217.db2.gz VCXODUKGMAHSQO-QGZVFWFLSA-N 0 3 305.849 4.220 20 0 DIADHN Clc1ccc(/C=C\CN2CCOCC3(CCCC3)C2)cc1 ZINC001193563659 1049225818 /nfs/dbraw/zinc/22/58/18/1049225818.db2.gz OBCZXYBSVJTLNP-ARJAWSKDSA-N 0 3 305.849 4.246 20 0 DIADHN CO[C@H](CN[C@H](CC1CCC1)c1ccccc1)C(F)(F)F ZINC000777006861 1049226302 /nfs/dbraw/zinc/22/63/02/1049226302.db2.gz KAMFYURVVDTSNL-HUUCEWRRSA-N 0 3 301.352 4.085 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001204686731 1049226291 /nfs/dbraw/zinc/22/62/91/1049226291.db2.gz IWCROFDLZIXZAN-IEBWSBKVSA-N 0 3 310.441 4.132 20 0 DIADHN Clc1cccc(-c2ccc(CN3CCCC4(COC4)C3)o2)c1 ZINC001204695578 1049229979 /nfs/dbraw/zinc/22/99/79/1049229979.db2.gz VCZLLVOOSJBXRZ-UHFFFAOYSA-N 0 3 317.816 4.212 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000858250782 1049231044 /nfs/dbraw/zinc/23/10/44/1049231044.db2.gz HOJKKZUXSYHCBN-CYBMUJFWSA-N 0 3 316.832 4.174 20 0 DIADHN Cc1ccc(CN[C@@H](CCCO)c2ccccc2)cc1Cl ZINC000195444323 1049231187 /nfs/dbraw/zinc/23/11/87/1049231187.db2.gz QOTRIYTZBWRXLO-SFHVURJKSA-N 0 3 303.833 4.252 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cn(C(C)C)nc2C)C1(CC)CC ZINC001179951538 1049235009 /nfs/dbraw/zinc/23/50/09/1049235009.db2.gz KMZWEDIIBNKUAO-ROUUACIJSA-N 0 3 321.509 4.188 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2c(F)cccc2F)CC12CCCCC2 ZINC001193637235 1049235241 /nfs/dbraw/zinc/23/52/41/1049235241.db2.gz SKFDSEOUJJYVJD-PBHICJAKSA-N 0 3 323.427 4.291 20 0 DIADHN CC(C)n1cc(CNCc2cn(C(F)F)c3ccccc23)cn1 ZINC000858291626 1049235416 /nfs/dbraw/zinc/23/54/16/1049235416.db2.gz FLPBUAXHWGVKOG-UHFFFAOYSA-N 0 3 318.371 4.104 20 0 DIADHN Cc1nc(C)c(CNCc2cn(C(F)F)c3ccccc23)s1 ZINC000858291915 1049237004 /nfs/dbraw/zinc/23/70/04/1049237004.db2.gz PGGWQTZASPWVGA-UHFFFAOYSA-N 0 3 321.396 4.400 20 0 DIADHN CCN(Cc1coc2ccccc12)C[C@@H]1COc2ccccc2O1 ZINC001179914405 1049237757 /nfs/dbraw/zinc/23/77/57/1049237757.db2.gz XEOWVRVLSOBPQE-MRXNPFEDSA-N 0 3 323.392 4.095 20 0 DIADHN COc1ccsc1CNCc1cn(C(F)F)c2ccccc12 ZINC000858292037 1049237977 /nfs/dbraw/zinc/23/79/77/1049237977.db2.gz UAKBJDZUWGUPTJ-UHFFFAOYSA-N 0 3 322.380 4.396 20 0 DIADHN COCCC1CN(Cc2ccc(-c3ccc(F)cc3)s2)C1 ZINC000668472477 1049238023 /nfs/dbraw/zinc/23/80/23/1049238023.db2.gz LEZNJFUDCLGHPM-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccccc3)s2)[C@@H](C)C1 ZINC001193649816 1049241155 /nfs/dbraw/zinc/24/11/55/1049241155.db2.gz XXJMPMKARZXNIO-IPYPFGDCSA-N 0 3 301.459 4.119 20 0 DIADHN FC(F)(F)Cc1ccccc1CNCc1ccc(Cl)nc1 ZINC000858330303 1049242737 /nfs/dbraw/zinc/24/27/37/1049242737.db2.gz OCXDTTWNOJZNFD-UHFFFAOYSA-N 0 3 314.738 4.130 20 0 DIADHN Cn1cc(CN2CCCC3(CCCC3)CC2)c(-c2ccncc2)n1 ZINC000668479459 1049242881 /nfs/dbraw/zinc/24/28/81/1049242881.db2.gz YSELJFNUVMSUHM-UHFFFAOYSA-N 0 3 324.472 4.028 20 0 DIADHN Cn1ccnc1[C@@H](NCCc1cccc(Cl)c1Cl)C1CC1 ZINC000485441151 1049243248 /nfs/dbraw/zinc/24/32/48/1049243248.db2.gz SJPCDRNXYGGJMV-HNNXBMFYSA-N 0 3 324.255 4.010 20 0 DIADHN CCCn1nc(C)c(CN[C@@H]2CCc3ccc(Cl)cc32)c1C ZINC000858320941 1049243833 /nfs/dbraw/zinc/24/38/33/1049243833.db2.gz NZKAIJZABQUXLY-GOSISDBHSA-N 0 3 317.864 4.340 20 0 DIADHN C(=C\[C@H]1CCCCCN1Cc1cnc2n1CCC2)\c1ccccc1 ZINC001179974456 1049244803 /nfs/dbraw/zinc/24/48/03/1049244803.db2.gz NLPUPKZDNDMJLR-SYGIOELKSA-N 0 3 321.468 4.287 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C[C@@H]1C)c1cn(-c2ccccc2)nn1 ZINC001193662095 1049244867 /nfs/dbraw/zinc/24/48/67/1049244867.db2.gz MBYOKRZBXGBPKO-ZOBUZTSGSA-N 0 3 312.461 4.133 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@H]1CCCc2scnc21 ZINC000858355244 1049246352 /nfs/dbraw/zinc/24/63/52/1049246352.db2.gz JONDCXVCUJYORR-FZMZJTMJSA-N 0 3 306.862 4.395 20 0 DIADHN CC[C@]1(C)CCCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC001179957707 1049249841 /nfs/dbraw/zinc/24/98/41/1049249841.db2.gz PXECSFZWMOKRKC-MRXNPFEDSA-N 0 3 301.352 4.439 20 0 DIADHN CN1CCN(Cc2ccc(Nc3ccccc3C3CC3)cc2)CC1 ZINC001204747384 1049250076 /nfs/dbraw/zinc/25/00/76/1049250076.db2.gz TUEBQTNDFFNQLC-UHFFFAOYSA-N 0 3 321.468 4.055 20 0 DIADHN CC[C@H]1CCCC[C@H]1CN(C)CC(=O)Nc1cc(F)cc(F)c1 ZINC001204749634 1049251262 /nfs/dbraw/zinc/25/12/62/1049251262.db2.gz DIMGJZJYBCJRJR-KBPBESRZSA-N 0 3 324.415 4.052 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001179963291 1049252619 /nfs/dbraw/zinc/25/26/19/1049252619.db2.gz YNXZYHMYAMDHMW-RISCZKNCSA-N 0 3 321.408 4.402 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cn(C(C)C)nc2C)C1(C)C ZINC001179963227 1049252734 /nfs/dbraw/zinc/25/27/34/1049252734.db2.gz XZQPHGVQSPTDPP-MSOLQXFVSA-N 0 3 321.509 4.188 20 0 DIADHN CCN(Cc1ccc(OC)cc1Br)CC1CCC1 ZINC001193762108 1049259638 /nfs/dbraw/zinc/25/96/38/1049259638.db2.gz MKPVOKUQHKHPBF-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN CCCOc1ccc(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001204777877 1049260818 /nfs/dbraw/zinc/26/08/18/1049260818.db2.gz GFKWURDGZOVQBB-UHFFFAOYSA-N 0 3 304.821 4.252 20 0 DIADHN COc1cc(F)cc(OC)c1CN(C)C1CCC(C)(C)CC1 ZINC001204777678 1049261568 /nfs/dbraw/zinc/26/15/68/1049261568.db2.gz XHHTUEWRTIUISS-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@@H](C)c2cccnc2Cl)nc1 ZINC000858488806 1049264147 /nfs/dbraw/zinc/26/41/47/1049264147.db2.gz YUJPJUNPSIWOBX-ZDUSSCGKSA-N 0 3 303.837 4.067 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@H](C)c2cccnc2Cl)nc1 ZINC000858488805 1049264290 /nfs/dbraw/zinc/26/42/90/1049264290.db2.gz YUJPJUNPSIWOBX-CYBMUJFWSA-N 0 3 303.837 4.067 20 0 DIADHN COC1(CN2CCc3ccc(Cl)cc3C2)CCCCCC1 ZINC001204795945 1049266397 /nfs/dbraw/zinc/26/63/97/1049266397.db2.gz GRMCYACPSFLDJN-UHFFFAOYSA-N 0 3 307.865 4.438 20 0 DIADHN Cc1coc(CN2CCC[C@H](c3nc4c(s3)CCCC4)C2)c1 ZINC001204795886 1049267126 /nfs/dbraw/zinc/26/71/26/1049267126.db2.gz DVVAKOOJYKCQCJ-AWEZNQCLSA-N 0 3 316.470 4.303 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1CCC[C@@H](C(C)(C)C)C1 ZINC001193808554 1049267291 /nfs/dbraw/zinc/26/72/91/1049267291.db2.gz WHFZDPYAVMHOEJ-UONOGXRCSA-N 0 3 322.880 4.425 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@H](C(C)(C)C)C2)c1 ZINC001193808594 1049267335 /nfs/dbraw/zinc/26/73/35/1049267335.db2.gz XKHFMANZVSVPSH-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN C[C@H]1C[C@H](N(C)CCc2nccs2)c2ccsc2S1 ZINC001204804021 1049268630 /nfs/dbraw/zinc/26/86/30/1049268630.db2.gz VFKWPXZJJPIWDN-JQWIXIFHSA-N 0 3 310.513 4.305 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)CCc2nccs2)cc1C ZINC001204804029 1049270276 /nfs/dbraw/zinc/27/02/76/1049270276.db2.gz VJKIYOJCHAPKLZ-WAYWQWQTSA-N 0 3 300.471 4.256 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCCc3ccc(Cl)cc32)n(C)n1 ZINC000858545455 1049271975 /nfs/dbraw/zinc/27/19/75/1049271975.db2.gz OUOROCCZTDGRGC-WBMJQRKESA-N 0 3 303.837 4.110 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1nc3ccccc3s1)C2 ZINC000158840105 1049273530 /nfs/dbraw/zinc/27/35/30/1049273530.db2.gz DFWXEXNPRQTPMR-AWEZNQCLSA-N 0 3 314.841 4.207 20 0 DIADHN CC[C@]1(C)CCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C1 ZINC001180080569 1049273928 /nfs/dbraw/zinc/27/39/28/1049273928.db2.gz FGGPGBQIBDIFHN-OAHLLOKOSA-N 0 3 319.342 4.389 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1cccc(OC(F)F)c1 ZINC001204818141 1049276214 /nfs/dbraw/zinc/27/62/14/1049276214.db2.gz STPVPPIMJSPIHO-CYBMUJFWSA-N 0 3 303.352 4.407 20 0 DIADHN COc1c(Cl)cc(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001204820048 1049276285 /nfs/dbraw/zinc/27/62/85/1049276285.db2.gz PRWLOSOMWRJFPH-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN CC(C)N(Cc1nn(-c2ccccc2)c2c1CCC2)CC1CCC1 ZINC001193906277 1049278976 /nfs/dbraw/zinc/27/89/76/1049278976.db2.gz LAVLESFJEBOXCM-UHFFFAOYSA-N 0 3 323.484 4.372 20 0 DIADHN CSc1ccc(CN2CCC(c3ccc(O)cc3)CC2)o1 ZINC001204834130 1049279972 /nfs/dbraw/zinc/27/99/72/1049279972.db2.gz VPHPSTUVLWNTSL-UHFFFAOYSA-N 0 3 303.427 4.087 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc(-c3ccccn3)n[nH]2)c1 ZINC001180129485 1049279810 /nfs/dbraw/zinc/27/98/10/1049279810.db2.gz UFEUIUQNTSUYLO-HXUWFJFHSA-N 0 3 318.424 4.117 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC[C@@H](c3cc[nH]n3)C2)cc1C ZINC001204840651 1049281595 /nfs/dbraw/zinc/28/15/95/1049281595.db2.gz NNCIDBFRKNWUBC-GJEJWFRCSA-N 0 3 309.457 4.228 20 0 DIADHN COc1ccc(-c2cc(N)c(C)cn2)c(OCc2ccccc2)c1 ZINC001204841777 1049282125 /nfs/dbraw/zinc/28/21/25/1049282125.db2.gz BRVAKDHHZZWWDD-UHFFFAOYSA-N 0 3 320.392 4.227 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC[C@H](c3cc[nH]n3)C2)cc1C ZINC001204840652 1049282571 /nfs/dbraw/zinc/28/25/71/1049282571.db2.gz NNCIDBFRKNWUBC-OQBYNLHGSA-N 0 3 309.457 4.228 20 0 DIADHN COc1c(Cl)cc(-c2cncc(CN(C)C)c2)cc1Cl ZINC001204837053 1049283274 /nfs/dbraw/zinc/28/32/74/1049283274.db2.gz YFLZUYLPXQMRBP-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN CSc1ccccc1CN(C)C[C@@H]1CCC2(CCCC2)O1 ZINC001193978140 1049291656 /nfs/dbraw/zinc/29/16/56/1049291656.db2.gz ZSWGRLAMZPPYQJ-INIZCTEOSA-N 0 3 305.487 4.332 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1nc2c(s1)CCCC2 ZINC001180123518 1049292283 /nfs/dbraw/zinc/29/22/83/1049292283.db2.gz BLGQJHNCDWVKBK-HOCLYGCPSA-N 0 3 312.482 4.400 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3ccccn3)C2)ccc1C(F)(F)F ZINC001204879979 1049296108 /nfs/dbraw/zinc/29/61/08/1049296108.db2.gz RWVKEGJZRRJXGM-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN CC(C)C[C@]1(CO)CCCN1Cc1cc(Cl)ccc1Cl ZINC001194020856 1049300773 /nfs/dbraw/zinc/30/07/73/1049300773.db2.gz RCEQWNCHNAUZPW-INIZCTEOSA-N 0 3 316.272 4.366 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC[C@@H]3CCC[C@H]32)s1 ZINC001204891928 1049301146 /nfs/dbraw/zinc/30/11/46/1049301146.db2.gz SVNDLZKZVDVEOA-DZGCQCFKSA-N 0 3 321.486 4.468 20 0 DIADHN CN1CCC[C@@H]1CNC1(c2c(Cl)cccc2Cl)CCC1 ZINC001194032115 1049303213 /nfs/dbraw/zinc/30/32/13/1049303213.db2.gz ZPTDFZFDIULFJJ-GFCCVEGCSA-N 0 3 313.272 4.056 20 0 DIADHN CCCN(Cc1cc(COC)cs1)Cc1ccc(F)cc1 ZINC001180245416 1049322622 /nfs/dbraw/zinc/32/26/22/1049322622.db2.gz WVTMAGWIAULXMQ-UHFFFAOYSA-N 0 3 307.434 4.446 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(Br)c2)[C@H]2CCCC[C@@H]12 ZINC001180271577 1049324201 /nfs/dbraw/zinc/32/42/01/1049324201.db2.gz OBJJVYDXFAVGTB-KCXAZCMYSA-N 0 3 323.278 4.245 20 0 DIADHN CN(CCCOc1ccccc1)Cc1cc(F)cc(Cl)c1 ZINC001180271518 1049325723 /nfs/dbraw/zinc/32/57/23/1049325723.db2.gz MHLYNIIUHAWEKJ-UHFFFAOYSA-N 0 3 307.796 4.380 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](C)[C@H]3CCCC[C@@H]32)c(C)n1 ZINC001180271143 1049325979 /nfs/dbraw/zinc/32/59/79/1049325979.db2.gz DSXSKQMICPMHDR-XCRHUMRWSA-N 0 3 302.462 4.108 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(O)ccc2Cl)C12CCCCC2 ZINC001194092922 1049326864 /nfs/dbraw/zinc/32/68/64/1049326864.db2.gz RXLCJMMHXYTQPY-SJORKVTESA-N 0 3 323.864 4.263 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@H](C(C)(C)C)C2)cc1OC ZINC001180317458 1049328705 /nfs/dbraw/zinc/32/87/05/1049328705.db2.gz GFOLUYJIHBUODT-ZDUSSCGKSA-N 0 3 311.853 4.225 20 0 DIADHN COCCC1CCN(Cc2cc(Cl)nc3ccccc23)CC1 ZINC000859402344 1049331633 /nfs/dbraw/zinc/33/16/33/1049331633.db2.gz YHFTVCGVCRXOOR-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN COc1ccc(CN(C)Cc2cc3c(cccc3C)[nH]2)cc1C ZINC001180285041 1049337563 /nfs/dbraw/zinc/33/75/63/1049337563.db2.gz SUADXFPBKQYTNI-UHFFFAOYSA-N 0 3 308.425 4.425 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C(C)C)[C@H](O)c2ccccc2)c1F ZINC001194188885 1049339376 /nfs/dbraw/zinc/33/93/76/1049339376.db2.gz AQESPQVNNWKZFI-RBUKOAKNSA-N 0 3 319.395 4.121 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C(C)C)[C@@H](O)c2ccccc2)c1F ZINC001194188882 1049339696 /nfs/dbraw/zinc/33/96/96/1049339696.db2.gz AQESPQVNNWKZFI-MOPGFXCFSA-N 0 3 319.395 4.121 20 0 DIADHN CCC[C@@H]1CN(Cc2cc(C)co2)CCN1Cc1ccccc1 ZINC001180322565 1049342743 /nfs/dbraw/zinc/34/27/43/1049342743.db2.gz JJJXXWBLNJPTCT-LJQANCHMSA-N 0 3 312.457 4.075 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1nc2c(s1)C(C)(C)CC(C)(C)C2 ZINC001194213299 1049343050 /nfs/dbraw/zinc/34/30/50/1049343050.db2.gz OOSVIAUZHYFZPZ-DGCLKSJQSA-N 0 3 324.559 4.234 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NCCOC1CCC1 ZINC000777119403 1049343494 /nfs/dbraw/zinc/34/34/94/1049343494.db2.gz AQSYOTCYRYQKSC-INIZCTEOSA-N 0 3 309.837 4.021 20 0 DIADHN CN(CCSCc1ccccc1)Cc1ccc(F)c(F)c1 ZINC001180386107 1049348991 /nfs/dbraw/zinc/34/89/91/1049348991.db2.gz KSIGJFBJHXBTEL-UHFFFAOYSA-N 0 3 307.409 4.330 20 0 DIADHN C[C@@H](NCc1ccc(-c2cnco2)cc1)c1ccc2c(c1)CCO2 ZINC000906525333 1049349495 /nfs/dbraw/zinc/34/94/95/1049349495.db2.gz LPWZDMAFVPFDBR-CQSZACIVSA-N 0 3 320.392 4.127 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCCOC1CCC1)CCCO2 ZINC000777121111 1049349756 /nfs/dbraw/zinc/34/97/56/1049349756.db2.gz ZRNIJUFTXQQETE-MRXNPFEDSA-N 0 3 309.837 4.021 20 0 DIADHN c1ncc(-c2ccc(CN[C@@H]3CCCOc4ccccc43)cc2)o1 ZINC000906525408 1049350680 /nfs/dbraw/zinc/35/06/80/1049350680.db2.gz NHNQQMDJHGUIML-GOSISDBHSA-N 0 3 320.392 4.345 20 0 DIADHN C[C@H](NCc1ccc(-c2cnco2)cc1)c1ccc2c(c1)CCO2 ZINC000906525332 1049351145 /nfs/dbraw/zinc/35/11/45/1049351145.db2.gz LPWZDMAFVPFDBR-AWEZNQCLSA-N 0 3 320.392 4.127 20 0 DIADHN c1cc(CN2CC[C@@H]3CCCC[C@H]3C2)n(CCc2ccccc2)n1 ZINC001180403481 1049351758 /nfs/dbraw/zinc/35/17/58/1049351758.db2.gz LHUDNKZLSPRDHW-PMACEKPBSA-N 0 3 323.484 4.138 20 0 DIADHN COC(=O)c1oc(CN(Cc2ccccc2)C(C)(C)C)cc1C ZINC001205045732 1049352613 /nfs/dbraw/zinc/35/26/13/1049352613.db2.gz BVJFIGYZBIGVHP-UHFFFAOYSA-N 0 3 315.413 4.175 20 0 DIADHN CC1(CN2CCN(C(c3ccccc3)c3ccccc3)CC2)CC1 ZINC001180372260 1049354743 /nfs/dbraw/zinc/35/47/43/1049354743.db2.gz OGCBZFXORXJADU-UHFFFAOYSA-N 0 3 320.480 4.194 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2c2ccccc2)c(C2CCCC2)n1 ZINC001180367948 1049355750 /nfs/dbraw/zinc/35/57/50/1049355750.db2.gz GSKXPBNFUNVYDY-LJQANCHMSA-N 0 3 309.457 4.415 20 0 DIADHN CCCCN(Cc1ncoc1C(C)C)[C@@H]1CCCC[C@H]1OC ZINC001194348233 1049358995 /nfs/dbraw/zinc/35/89/95/1049358995.db2.gz IGCAYWMUDFJYRK-IAGOWNOFSA-N 0 3 308.466 4.358 20 0 DIADHN C[C@@H]1CCCCCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000485881149 1049360063 /nfs/dbraw/zinc/36/00/63/1049360063.db2.gz LBJPXQJGRSOHQO-GFCCVEGCSA-N 0 3 306.356 4.384 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CCCC4(CC4)CC3)o2)c1 ZINC000860071423 1049368214 /nfs/dbraw/zinc/36/82/14/1049368214.db2.gz AWECAFFMDHPRCZ-OAHLLOKOSA-N 0 3 311.429 4.372 20 0 DIADHN Cc1cccc(N2CCN(Cc3ccccc3C(C)C)CC2)c1 ZINC001180463133 1049368612 /nfs/dbraw/zinc/36/86/12/1049368612.db2.gz ZQNKTKMQSOPRHA-UHFFFAOYSA-N 0 3 308.469 4.441 20 0 DIADHN Cc1ccccc1CN1CCN(CCCC2CCCCC2)CC1 ZINC001205089565 1049370336 /nfs/dbraw/zinc/37/03/36/1049370336.db2.gz RUFBWFSNILPOFF-UHFFFAOYSA-N 0 3 314.517 4.473 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@H](OC)C1CCCC1 ZINC001205089883 1049371239 /nfs/dbraw/zinc/37/12/39/1049371239.db2.gz XGBFUZBHZUVXQL-AWEZNQCLSA-N 0 3 301.883 4.429 20 0 DIADHN Cc1ccccc1O[C@@H](C)C(=O)NCCP(C(C)C)C(C)C ZINC001180526510 1049373169 /nfs/dbraw/zinc/37/31/69/1049373169.db2.gz IHFNFILGMZPZKU-INIZCTEOSA-N 0 3 323.417 4.177 20 0 DIADHN CN(CCCc1ccc(F)c(F)c1)Cc1ccc(F)cc1F ZINC001180531134 1049374358 /nfs/dbraw/zinc/37/43/58/1049374358.db2.gz DARCTWHYWZAUME-UHFFFAOYSA-N 0 3 311.322 4.308 20 0 DIADHN COC[C@H](Nc1ccnc2ccccc21)c1ccc(Cl)cc1 ZINC000486014958 1049378013 /nfs/dbraw/zinc/37/80/13/1049378013.db2.gz HGGUQFNEVQWHQW-SFHVURJKSA-N 0 3 312.800 4.110 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC001194447943 1049378028 /nfs/dbraw/zinc/37/80/28/1049378028.db2.gz ZPKOXGGCKAKJBN-JCURWCKSSA-N 0 3 323.864 4.032 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(Cl)cc2Cl)C12CCC2 ZINC001194444436 1049378629 /nfs/dbraw/zinc/37/86/29/1049378629.db2.gz BKNVWDVFSCNWKR-GJZGRUSLSA-N 0 3 314.256 4.431 20 0 DIADHN CCN(Cc1nc2ccc(Cl)cc2n1C)C1CCCCC1 ZINC001205101601 1049379114 /nfs/dbraw/zinc/37/91/14/1049379114.db2.gz UMBHHOJHIHELRR-UHFFFAOYSA-N 0 3 305.853 4.381 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H](C)CCc1ccco1)CCC2 ZINC001180613049 1049383624 /nfs/dbraw/zinc/38/36/24/1049383624.db2.gz MPCDKZQODAHLQE-RHSMWYFYSA-N 0 3 301.434 4.045 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H](C)CCc1ccco1)CCC2 ZINC001180613051 1049384923 /nfs/dbraw/zinc/38/49/23/1049384923.db2.gz MPCDKZQODAHLQE-WMLDXEAASA-N 0 3 301.434 4.045 20 0 DIADHN CCN(C)c1ccc(CN2CCCC[C@H]2c2ccccc2)cn1 ZINC001180583583 1049386406 /nfs/dbraw/zinc/38/64/06/1049386406.db2.gz BDDHOAXTSGFQJJ-IBGZPJMESA-N 0 3 309.457 4.265 20 0 DIADHN C[C@@H]1C[C@H]1CN(C)Cc1cc(Br)ccc1Cl ZINC001205667774 1049387383 /nfs/dbraw/zinc/38/73/83/1049387383.db2.gz PFSQUIYGRYEGME-ZJUUUORDSA-N 0 3 302.643 4.190 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000486091898 1049387581 /nfs/dbraw/zinc/38/75/81/1049387581.db2.gz YCIBEGNVEAREAZ-SJORKVTESA-N 0 3 308.466 4.294 20 0 DIADHN Cl/C=C/CN1CC[C@@H](Cc2ccc(Br)cc2)C1 ZINC001194550735 1049390018 /nfs/dbraw/zinc/39/00/18/1049390018.db2.gz AKMBVKMJMCFOOI-SVTCFATOSA-N 0 3 314.654 4.066 20 0 DIADHN Cc1ccccc1-c1cccc(NC(=O)CN(C)C2CCCC2)c1 ZINC001180629100 1049392362 /nfs/dbraw/zinc/39/23/62/1049392362.db2.gz GWNYVNUETXHMLZ-UHFFFAOYSA-N 0 3 322.452 4.475 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CC(C)(C)O[C@@](C)(C(F)(F)F)C1 ZINC001194647606 1049403741 /nfs/dbraw/zinc/40/37/41/1049403741.db2.gz APWBUFMCCVIJJJ-VNHYZAJKSA-N 0 3 307.400 4.245 20 0 DIADHN Cc1cc(CN2C[C@H](C)C[C@@H]2c2cccnc2)c2c(n1)CCCC2 ZINC001180665277 1049395487 /nfs/dbraw/zinc/39/54/87/1049395487.db2.gz LYCREDNTOJZBPW-QVKFZJNVSA-N 0 3 321.468 4.247 20 0 DIADHN Cc1nc(C)c(CN2Cc3cc(C)ccc3CC2(C)C)s1 ZINC001194608564 1049396566 /nfs/dbraw/zinc/39/65/66/1049396566.db2.gz UCNSPRVWZVSZCR-UHFFFAOYSA-N 0 3 300.471 4.405 20 0 DIADHN CC(C)CCC[C@@H](C)N[C@H](CC(N)=O)c1ccccc1Cl ZINC001180697277 1049398062 /nfs/dbraw/zinc/39/80/62/1049398062.db2.gz FTLBJGRYEDMHMH-CZUORRHYSA-N 0 3 310.869 4.061 20 0 DIADHN C[C@]1(Br)C[C@H]1CN1CCC[C@@H]1c1ccc(F)cc1 ZINC001205169464 1049400288 /nfs/dbraw/zinc/40/02/88/1049400288.db2.gz GIOOOEVGNSXIEW-CFVMTHIKSA-N 0 3 312.226 4.136 20 0 DIADHN C[C@@H]1CCN(Cc2ccnn2CCc2ccccc2)CC12CCC2 ZINC001180731730 1049403147 /nfs/dbraw/zinc/40/31/47/1049403147.db2.gz AXLBMQRZCRGFBS-GOSISDBHSA-N 0 3 323.484 4.138 20 0 DIADHN CCCN(C[C@@H](CC)c1ccccc1)[C@@H](CC)C(=O)OCC ZINC001180859458 1049411947 /nfs/dbraw/zinc/41/19/47/1049411947.db2.gz XRJINBGJJXWZSV-AEFFLSMTSA-N 0 3 305.462 4.234 20 0 DIADHN CCC[C@H](C)CCCN1C[C@H](c2ccccc2)[C@@](F)(CO)C1 ZINC001194731522 1049414295 /nfs/dbraw/zinc/41/42/95/1049414295.db2.gz DRZCGQWRXQAZMK-UHOSZYNNSA-N 0 3 307.453 4.003 20 0 DIADHN Cc1ccc(CN2CCC[C@H](Sc3ccncc3)C2)cc1F ZINC001205236947 1049415017 /nfs/dbraw/zinc/41/50/17/1049415017.db2.gz ZJOQCFNHMUAHJD-KRWDZBQOSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nc(C(C)C)no3)C2)cc1F ZINC001205243381 1049415244 /nfs/dbraw/zinc/41/52/44/1049415244.db2.gz FDHOOFYJLOKCKI-HNNXBMFYSA-N 0 3 317.408 4.020 20 0 DIADHN CCCCN(Cc1cn(C(C)(C)C)nn1)[C@@H]1CCC[C@H](C)C1 ZINC001194713663 1049420250 /nfs/dbraw/zinc/42/02/50/1049420250.db2.gz AJRCYIKDARZENF-DOTOQJQBSA-N 0 3 306.498 4.214 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001180950349 1049422906 /nfs/dbraw/zinc/42/29/06/1049422906.db2.gz AAUCOYOQIUYZIT-LBPRGKRZSA-N 0 3 301.352 4.295 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CC[C@H](C(C)(C)C)C[C@@H]1C ZINC001194791274 1049424297 /nfs/dbraw/zinc/42/42/97/1049424297.db2.gz IIFURCOJOONPSN-ZFWWWQNUSA-N 0 3 321.461 4.275 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)C[C@H]1CC2(CCC2)CO1 ZINC001194792475 1049424731 /nfs/dbraw/zinc/42/47/31/1049424731.db2.gz SGHKFNGLJVLPAB-WBVHZDCISA-N 0 3 303.446 4.037 20 0 DIADHN C[C@H](CCC1CCCCC1)NC(=O)c1ccccc1CN(C)C ZINC000486456756 1049427465 /nfs/dbraw/zinc/42/74/65/1049427465.db2.gz DMQOONWGEPZDCQ-MRXNPFEDSA-N 0 3 316.489 4.227 20 0 DIADHN CCOc1cccc([C@@H](C)NC2(c3cccc(OC)c3)CC2)c1 ZINC000777156937 1049427880 /nfs/dbraw/zinc/42/78/80/1049427880.db2.gz IPOZKNVNLCZUKJ-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1noc2ncc(CN3CCC(c4ccccc4C)CC3)cc12 ZINC001205306255 1049433307 /nfs/dbraw/zinc/43/33/07/1049433307.db2.gz PAFXGUOZVNXHAR-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CC1(C)CN(C[C@H]2CCC3(CCC3)CO2)Cc2ccccc2O1 ZINC001194817691 1049434188 /nfs/dbraw/zinc/43/41/88/1049434188.db2.gz RKDUIHALFBGNEQ-QGZVFWFLSA-N 0 3 315.457 4.009 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)cc1F ZINC000668631169 1049434788 /nfs/dbraw/zinc/43/47/88/1049434788.db2.gz OGSBCRXESAJFDE-SJLPKXTDSA-N 0 3 321.436 4.006 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)cc1F ZINC000668631171 1049435249 /nfs/dbraw/zinc/43/52/49/1049435249.db2.gz OGSBCRXESAJFDE-WMZOPIPTSA-N 0 3 321.436 4.006 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1cccc(Br)c1OC ZINC001181102882 1049438062 /nfs/dbraw/zinc/43/80/62/1049438062.db2.gz TWSAFLQWXRFPGY-SMDDNHRTSA-N 0 3 312.251 4.078 20 0 DIADHN CCO[C@@H](C)c1noc(CNC(C2CCCC2)C2CCCC2)n1 ZINC000775618440 1049443616 /nfs/dbraw/zinc/44/36/16/1049443616.db2.gz ZPUMIBIMQTWFAP-ZDUSSCGKSA-N 0 3 321.465 4.006 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@](C)(C(=O)OC(C)(C)C)C1 ZINC001194907653 1049445053 /nfs/dbraw/zinc/44/50/53/1049445053.db2.gz YMTOVPWWDKZPGF-UGSOOPFHSA-N 0 3 323.864 4.455 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@](C)(C(=O)OC(C)(C)C)C1 ZINC001194907648 1049445800 /nfs/dbraw/zinc/44/58/00/1049445800.db2.gz YMTOVPWWDKZPGF-ACJLOTCBSA-N 0 3 323.864 4.455 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cc3ccccc3[nH]2)cc1OC ZINC000775624159 1049456939 /nfs/dbraw/zinc/45/69/39/1049456939.db2.gz YGRCHIBQIYJCGM-CQSZACIVSA-N 0 3 324.424 4.426 20 0 DIADHN Cc1ccc([C@H](C)CN[C@H]2COc3cc(F)cc(F)c32)cc1 ZINC001205394015 1049458591 /nfs/dbraw/zinc/45/85/91/1049458591.db2.gz HFVBODAGIRVFMP-WBMJQRKESA-N 0 3 303.352 4.100 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cc2ccccc2[nH]1 ZINC000775627831 1049461271 /nfs/dbraw/zinc/46/12/71/1049461271.db2.gz OPKYTSRQAXOIOK-KBPBESRZSA-N 0 3 322.408 4.351 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1c(Cl)ccc2cccnc21 ZINC001195029487 1049464636 /nfs/dbraw/zinc/46/46/36/1049464636.db2.gz WYXLYMIFGKMRAG-HNNXBMFYSA-N 0 3 318.848 4.279 20 0 DIADHN Cc1ccccc1-n1cc(CN2CCC(C(C)(C)C)CC2)cn1 ZINC001205421061 1049465233 /nfs/dbraw/zinc/46/52/33/1049465233.db2.gz PRLFUVLNTAGINE-UHFFFAOYSA-N 0 3 311.473 4.439 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3cc(C)c(Cl)cc3O)C2)nc1 ZINC000668678334 1049467716 /nfs/dbraw/zinc/46/77/16/1049467716.db2.gz IJNGSJYBXSIOQQ-CQSZACIVSA-N 0 3 316.832 4.047 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2ccc(C(F)(F)F)nc2)C1 ZINC001205429561 1049469220 /nfs/dbraw/zinc/46/92/20/1049469220.db2.gz FMDKUQUFMKYJPQ-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000486779246 1049469886 /nfs/dbraw/zinc/46/98/86/1049469886.db2.gz NZZVBNLOFXYEMP-YOEHRIQHSA-N 0 3 302.462 4.143 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000486786768 1049469924 /nfs/dbraw/zinc/46/99/24/1049469924.db2.gz FNFLNHUYGGZAQX-CRAIPNDOSA-N 0 3 316.489 4.397 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1cc(F)cc2c1OCOC2 ZINC000486792791 1049472090 /nfs/dbraw/zinc/47/20/90/1049472090.db2.gz GXQUZTAHCZGXPF-QGZVFWFLSA-N 0 3 307.409 4.237 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cc2ccccc2[nH]1 ZINC000775635068 1049472501 /nfs/dbraw/zinc/47/25/01/1049472501.db2.gz SZQUOLLWLVNMJM-NWDGAFQWSA-N 0 3 320.396 4.162 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccccc1Br ZINC001195074451 1049472888 /nfs/dbraw/zinc/47/28/88/1049472888.db2.gz JWYGNVCOMGIGFK-KCPJHIHWSA-N 0 3 312.251 4.057 20 0 DIADHN COCOc1cc(C)ccc1Nc1ccnc(C(C)(C)C)c1 ZINC001205447488 1049473325 /nfs/dbraw/zinc/47/33/25/1049473325.db2.gz VMUBFFDHRXMAJJ-UHFFFAOYSA-N 0 3 300.402 4.414 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@H]3CCC(C)C)o2)cc1 ZINC000486798443 1049473521 /nfs/dbraw/zinc/47/35/21/1049473521.db2.gz XYKHBBRYLWQZAU-KRWDZBQOSA-N 0 3 313.445 4.446 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCCc3c(cnn3C)C2)cc1 ZINC001195115949 1049477335 /nfs/dbraw/zinc/47/73/35/1049477335.db2.gz XUCNBEFSXDEDER-UHFFFAOYSA-N 0 3 311.473 4.056 20 0 DIADHN CCOc1ccc(CN(C)Cc2cc(C)cc(C)c2)cc1F ZINC001181445277 1049477456 /nfs/dbraw/zinc/47/74/56/1049477456.db2.gz NFLHEXTWLURPKP-UHFFFAOYSA-N 0 3 301.405 4.473 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1ccnc(F)c1C ZINC000862218990 1049479171 /nfs/dbraw/zinc/47/91/71/1049479171.db2.gz FUCYQZXEDOGZFT-SFHVURJKSA-N 0 3 314.404 4.047 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1ccc(C(F)(F)F)nc1Cl ZINC000862380103 1049492527 /nfs/dbraw/zinc/49/25/27/1049492527.db2.gz QPXQRARRYSPDEV-KHQFGBGNSA-N 0 3 324.799 4.156 20 0 DIADHN CSC[C@H]1CCCN1Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000486803637 1049479586 /nfs/dbraw/zinc/47/95/86/1049479586.db2.gz RLXSDEDUTYFIRY-CQSZACIVSA-N 0 3 322.861 4.322 20 0 DIADHN COc1cc(CN(Cc2ccc(C3CC3)cc2)C2CC2)ccc1O ZINC001205480677 1049480989 /nfs/dbraw/zinc/48/09/89/1049480989.db2.gz IHYHGXRAYZABDW-UHFFFAOYSA-N 0 3 323.436 4.443 20 0 DIADHN COc1ccc([C@@H](NCc2ccnc(F)c2C)C(C)C)cc1 ZINC000862263194 1049482659 /nfs/dbraw/zinc/48/26/59/1049482659.db2.gz QYOVTWQPQOBLBP-KRWDZBQOSA-N 0 3 302.393 4.025 20 0 DIADHN CC(C)C[C@H](C)NCc1cc(F)c(F)cc1Br ZINC001181602123 1049493353 /nfs/dbraw/zinc/49/33/53/1049493353.db2.gz TWQKJTUCFHDAEG-VIFPVBQESA-N 0 3 306.194 4.252 20 0 DIADHN C[C@@H]1C[C@H]1CN1CC[C@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001205499017 1049486581 /nfs/dbraw/zinc/48/65/81/1049486581.db2.gz QVDRUJOXOSMSEG-TYNCELHUSA-N 0 3 301.327 4.232 20 0 DIADHN Cc1c(F)nccc1CN(C)[C@@H](C)CSc1ccccc1 ZINC000862401271 1049492668 /nfs/dbraw/zinc/49/26/68/1049492668.db2.gz MKGUKWLTDMLJEA-ZDUSSCGKSA-N 0 3 304.434 4.142 20 0 DIADHN CC[C@H](NC/C=C/c1ccncc1)c1ccccc1OC(F)F ZINC000486929276 1049492742 /nfs/dbraw/zinc/49/27/42/1049492742.db2.gz OXSTWOKBVWFODT-JFDDCEBESA-N 0 3 318.367 4.437 20 0 DIADHN C[C@@H](COc1ccc(F)cc1F)NCc1sccc1Cl ZINC000486934231 1049492763 /nfs/dbraw/zinc/49/27/63/1049492763.db2.gz KANKCDBJUOEFQC-VIFPVBQESA-N 0 3 317.788 4.237 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccccc1)C(=O)Nc1ccc(C)cc1 ZINC001195204882 1049496377 /nfs/dbraw/zinc/49/63/77/1049496377.db2.gz RYQLSZOVOAPYGO-RBUKOAKNSA-N 0 3 310.441 4.453 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1cccc2ccsc21 ZINC000486938966 1049496639 /nfs/dbraw/zinc/49/66/39/1049496639.db2.gz QNBKZMUPROQSLU-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CC[C@H](NCc1cccn1C(F)F)c1ccc(OC)c(OC)c1 ZINC001181655519 1049500404 /nfs/dbraw/zinc/50/04/04/1049500404.db2.gz KSNDQMRJLRXIOT-AWEZNQCLSA-N 0 3 324.371 4.141 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC[C@H]2Cc2cc(F)ccc2F)OC1 ZINC001195258462 1049502870 /nfs/dbraw/zinc/50/28/70/1049502870.db2.gz OTFXEYNMHNPMOA-IRXDYDNUSA-N 0 3 323.427 4.177 20 0 DIADHN CCOc1cncc(CN2C[C@@H](C)CC[C@H]2c2ccccc2)c1 ZINC001181673026 1049503650 /nfs/dbraw/zinc/50/36/50/1049503650.db2.gz KWKOGAWHVMURDG-JXFKEZNVSA-N 0 3 310.441 4.454 20 0 DIADHN OCC[C@@H]1CCCCCN1Cc1ccc(Oc2ccccc2)o1 ZINC001181728438 1049506417 /nfs/dbraw/zinc/50/64/17/1049506417.db2.gz DGAYNQUKTDSVSI-INIZCTEOSA-N 0 3 315.413 4.199 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)[C@@H](C)[C@H]3C)co2)cc1 ZINC001195290412 1049513069 /nfs/dbraw/zinc/51/30/69/1049513069.db2.gz WMJJOEOSYQXYJY-RRFJBIMHSA-N 0 3 314.429 4.217 20 0 DIADHN O=C(CN1CC[C@@H](C2CCCC2)C1)Nc1ccc2ccccc2c1 ZINC001195306494 1049514214 /nfs/dbraw/zinc/51/42/14/1049514214.db2.gz KURHPEBQFZFBLI-LJQANCHMSA-N 0 3 322.452 4.290 20 0 DIADHN Fc1cc(F)c(CNC[C@H]2CCc3ccccc32)c(F)c1F ZINC001205621505 1049514344 /nfs/dbraw/zinc/51/43/44/1049514344.db2.gz LWZDDZRAWJOMKS-LLVKDONJSA-N 0 3 309.306 4.063 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001195341232 1049515907 /nfs/dbraw/zinc/51/59/07/1049515907.db2.gz FQGGTLXYRYGMKY-IVMMDQJWSA-N 0 3 323.864 4.131 20 0 DIADHN CCOC[C@@H](N[C@H](C)c1ccc(-c2ccccc2)cn1)C(C)C ZINC001205628904 1049516870 /nfs/dbraw/zinc/51/68/70/1049516870.db2.gz OOVUAHZTUKJRRK-OXQOHEQNSA-N 0 3 312.457 4.460 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)[C@H](C)C1 ZINC001195350465 1049517883 /nfs/dbraw/zinc/51/78/83/1049517883.db2.gz WSDBWHYHYAOCQT-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN CCCOC1(CNCc2ccc(F)c(F)c2F)CCCCC1 ZINC001205697237 1049520275 /nfs/dbraw/zinc/52/02/75/1049520275.db2.gz VAODNFPIEZJUAW-UHFFFAOYSA-N 0 3 315.379 4.323 20 0 DIADHN C[C@H](CC1CCCCC1)NCc1ncc(Br)s1 ZINC000487162619 1049521640 /nfs/dbraw/zinc/52/16/40/1049521640.db2.gz REFHYXBLVWBBBY-SNVBAGLBSA-N 0 3 317.296 4.354 20 0 DIADHN CC(C)[C@@H]1C[C@H]1CNCc1ccc(C(F)(F)F)nc1Cl ZINC001181809144 1049523738 /nfs/dbraw/zinc/52/37/38/1049523738.db2.gz VKTIGOVEXDNGJA-QWRGUYRKSA-N 0 3 306.759 4.136 20 0 DIADHN Cc1cscc1CNCc1cccc(OCc2ccccn2)c1 ZINC000487184973 1049523946 /nfs/dbraw/zinc/52/39/46/1049523946.db2.gz ZJYVGYUOYYABAS-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN [O-]c1ccc(C[NH2+][C@@H]2CC[C@H](c3cccc(F)c3)C2)cc1F ZINC001205650412 1049524038 /nfs/dbraw/zinc/52/40/38/1049524038.db2.gz LLAUEUWJDKQWIM-GOEBONIOSA-N 0 3 303.352 4.096 20 0 DIADHN C[C@@H]1Cc2cc(CN3CC(c4ccc(Cl)cc4)C3)ccc2O1 ZINC001181829396 1049527742 /nfs/dbraw/zinc/52/77/42/1049527742.db2.gz ZGLPKPROEYCCNM-CYBMUJFWSA-N 0 3 313.828 4.263 20 0 DIADHN CCC[C@@H](NCc1nc(Br)cs1)C(C)(C)C ZINC001181859923 1049532389 /nfs/dbraw/zinc/53/23/89/1049532389.db2.gz KTLMNGWZVWUXHI-SECBINFHSA-N 0 3 305.285 4.210 20 0 DIADHN FC(F)(F)c1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)c(Cl)n1 ZINC001181860349 1049534033 /nfs/dbraw/zinc/53/40/33/1049534033.db2.gz LOPJSRHQGHGNJU-PHIMTYICSA-N 0 3 318.770 4.376 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1ccc(O)c(F)c1F ZINC001205692910 1049535227 /nfs/dbraw/zinc/53/52/27/1049535227.db2.gz NZFHGJOHWJSZEI-OAHLLOKOSA-N 0 3 321.367 4.262 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(O)cc2)ccc1C(F)(F)F ZINC001205696671 1049535490 /nfs/dbraw/zinc/53/54/90/1049535490.db2.gz MMHTXLDEKVNUDJ-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000487257205 1049537382 /nfs/dbraw/zinc/53/73/82/1049537382.db2.gz HJUZDQRIIPGQFY-QWRGUYRKSA-N 0 3 317.260 4.298 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000487257203 1049537561 /nfs/dbraw/zinc/53/75/61/1049537561.db2.gz HJUZDQRIIPGQFY-MNOVXSKESA-N 0 3 317.260 4.298 20 0 DIADHN Fc1ccc(CNCCc2nc3ccccc3s2)c(F)c1F ZINC001205709260 1049541253 /nfs/dbraw/zinc/54/12/53/1049541253.db2.gz VUZJJABYRAYDQJ-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN CCOc1c(Cl)cccc1CNCCOCc1ccccc1 ZINC000487274502 1049542043 /nfs/dbraw/zinc/54/20/43/1049542043.db2.gz UXCXUHJKTGFVLL-UHFFFAOYSA-N 0 3 319.832 4.045 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CNC/C=C\c1ccncc1 ZINC000487294420 1049543793 /nfs/dbraw/zinc/54/37/93/1049543793.db2.gz AXJUZXKDFVYNKF-OSJSJHBBSA-N 0 3 310.441 4.370 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736706 1049548145 /nfs/dbraw/zinc/54/81/45/1049548145.db2.gz XPNMPDBTCZZMFL-IUODEOHRSA-N 0 3 303.352 4.254 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736706 1049548157 /nfs/dbraw/zinc/54/81/57/1049548157.db2.gz XPNMPDBTCZZMFL-IUODEOHRSA-N 0 3 303.352 4.254 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NC/C=C\c1ccncc1 ZINC000487306643 1049550826 /nfs/dbraw/zinc/55/08/26/1049550826.db2.gz GVSYTSNQFYHJMS-RURPWVQCSA-N 0 3 310.441 4.480 20 0 DIADHN Clc1cccc(Cl)c1C1(NC[C@@H]2CCSC2)CC1 ZINC000487304986 1049550908 /nfs/dbraw/zinc/55/09/08/1049550908.db2.gz BJFUGWAQIPQLQW-JTQLQIEISA-N 0 3 302.270 4.325 20 0 DIADHN Cc1[nH]nc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)c1C ZINC001181985211 1049554918 /nfs/dbraw/zinc/55/49/18/1049554918.db2.gz CVDKICIIZGXGKI-OAHLLOKOSA-N 0 3 321.877 4.047 20 0 DIADHN CCN1CCCC[C@H]1C(=O)N(C)[C@H](C)c1cccc2ccccc21 ZINC001181993686 1049557453 /nfs/dbraw/zinc/55/74/53/1049557453.db2.gz DVRWUQZCHPRQEB-UZLBHIALSA-N 0 3 324.468 4.234 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000042247721 1049557491 /nfs/dbraw/zinc/55/74/91/1049557491.db2.gz LNQPCNZHBPNXCU-XHSDSOJGSA-N 0 3 316.489 4.116 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2cc(Cl)cs2)cn1 ZINC000487561300 1049558749 /nfs/dbraw/zinc/55/87/49/1049558749.db2.gz OUFWWQPYCBBMRJ-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN COc1cc(C)ccc1CN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC001205773302 1049559409 /nfs/dbraw/zinc/55/94/09/1049559409.db2.gz KYPZMMFQBKTGLG-LSDHHAIUSA-N 0 3 314.256 4.019 20 0 DIADHN CCc1oc2ccccc2c1CN[C@H](c1ccccc1)[C@H](C)O ZINC000668786665 1049564519 /nfs/dbraw/zinc/56/45/19/1049564519.db2.gz FMHZHXYHANMPAH-XOBRGWDASA-N 0 3 309.409 4.207 20 0 DIADHN CCn1cc(CN(CCSCc2ccccc2)C(C)C)cn1 ZINC001195737382 1049565729 /nfs/dbraw/zinc/56/57/29/1049565729.db2.gz CPGSJBOISZDKCN-UHFFFAOYSA-N 0 3 317.502 4.047 20 0 DIADHN Cc1c(C)c(C)c(CN2C[C@H](C)OCC23CCC3)c(C)c1C ZINC001195791172 1049569896 /nfs/dbraw/zinc/56/98/96/1049569896.db2.gz YBWZJMWCHJSVFV-ZDUSSCGKSA-N 0 3 301.474 4.372 20 0 DIADHN C[C@@H]1CN(c2cccc(F)c2)CCN1Cc1ccc2[nH]ccc2c1 ZINC001182114771 1049572693 /nfs/dbraw/zinc/57/26/93/1049572693.db2.gz COTLCQQJHCMEQH-OAHLLOKOSA-N 0 3 323.415 4.018 20 0 DIADHN CCC1(O)CCN(Cc2cccc(SC(F)(F)F)c2)CC1 ZINC000781333258 1049573748 /nfs/dbraw/zinc/57/37/48/1049573748.db2.gz ZZFJEFKODBWUPI-UHFFFAOYSA-N 0 3 319.392 4.035 20 0 DIADHN Cc1cccnc1CN1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001205821639 1049575160 /nfs/dbraw/zinc/57/51/60/1049575160.db2.gz YRMAEXGTQVJBNY-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN CC(C)(C)OC(=O)N(CCN1CC(C)(C)[C@H]1C1CC1)C(C)(C)C ZINC001182184291 1049584230 /nfs/dbraw/zinc/58/42/30/1049584230.db2.gz MIBMOGDVAURLMI-OAHLLOKOSA-N 0 3 324.509 4.142 20 0 DIADHN CCN(Cc1ccc(C(F)(F)F)cc1)Cc1ccncc1C ZINC001205868054 1049587720 /nfs/dbraw/zinc/58/77/20/1049587720.db2.gz RPWMSSHYGWILEF-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN CCCc1ccc(CN[C@@H](c2ccncc2)C2(CO)CCC2)cc1 ZINC000668812355 1049588201 /nfs/dbraw/zinc/58/82/01/1049588201.db2.gz MGHYSQWWJXMCEJ-FQEVSTJZSA-N 0 3 324.468 4.028 20 0 DIADHN Cc1cnccc1CN1CCC(c2ccc(F)cc2F)CC1 ZINC001205874128 1049589086 /nfs/dbraw/zinc/58/90/86/1049589086.db2.gz YXUOBBSRRGEENY-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1cnccc1CN(C)[C@@H](C)c1cccc(Br)c1 ZINC001205873924 1049589207 /nfs/dbraw/zinc/58/92/07/1049589207.db2.gz XEGLHRVLMJKLKR-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)NC(C)(C)C1CC1)c1ccccc1 ZINC001182236338 1049591421 /nfs/dbraw/zinc/59/14/21/1049591421.db2.gz QRXGIOZHCTZWIF-GOSISDBHSA-N 0 3 316.489 4.155 20 0 DIADHN COc1cccc([C@@H](C)N2CC=C(c3ccc(O)cc3)CC2)c1 ZINC000488090763 1049598998 /nfs/dbraw/zinc/59/89/98/1049598998.db2.gz ATIAMUHAJUCRAG-OAHLLOKOSA-N 0 3 309.409 4.251 20 0 DIADHN Cc1ccc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)cc1Cl ZINC001205924156 1049602975 /nfs/dbraw/zinc/60/29/75/1049602975.db2.gz ICYQQNLQYSPBEA-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN COc1ccc([C@H](NCCc2ccns2)c2ccccc2)cc1 ZINC001196023159 1049604240 /nfs/dbraw/zinc/60/42/40/1049604240.db2.gz RVZHBLWQIOONLL-LJQANCHMSA-N 0 3 324.449 4.073 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCSC[C@H](C)C1 ZINC000488190778 1049609263 /nfs/dbraw/zinc/60/92/63/1049609263.db2.gz CRKBHGWEACUODC-VXGBXAGGSA-N 0 3 314.292 4.195 20 0 DIADHN CC[C@@H](C)N(CC)Cc1ccc(OC)cc1Br ZINC001196047650 1049609406 /nfs/dbraw/zinc/60/94/06/1049609406.db2.gz ASWPNUDHZWQSFK-LLVKDONJSA-N 0 3 300.240 4.078 20 0 DIADHN CC1(C)CC[C@H](CN(Cc2ccccc2)[C@H]2COC(C)(C)C2)O1 ZINC001196083677 1049611162 /nfs/dbraw/zinc/61/11/62/1049611162.db2.gz RVSWCRFUVWBVQT-QZTJIDSGSA-N 0 3 317.473 4.014 20 0 DIADHN COC(=O)c1coc([C@@H](C)N[C@H](C)Cc2ccccc2Cl)c1 ZINC000774150133 1049611207 /nfs/dbraw/zinc/61/12/07/1049611207.db2.gz JGXGBIIVVVLMNP-VXGBXAGGSA-N 0 3 321.804 4.001 20 0 DIADHN CC1(C)CC[C@@H](CN(Cc2ccccc2)[C@H]2COC(C)(C)C2)O1 ZINC001196083676 1049611600 /nfs/dbraw/zinc/61/16/00/1049611600.db2.gz RVSWCRFUVWBVQT-MSOLQXFVSA-N 0 3 317.473 4.014 20 0 DIADHN Cn1cc(CN2CCC3(CCCC3)CC2)c(C2CCCC2)n1 ZINC001205960644 1049611564 /nfs/dbraw/zinc/61/15/64/1049611564.db2.gz XCHJKZSEQJXKDV-UHFFFAOYSA-N 0 3 301.478 4.234 20 0 DIADHN CSc1ccc(F)cc1-c1ncccc1CN1CCCC1 ZINC001205969015 1049612477 /nfs/dbraw/zinc/61/24/77/1049612477.db2.gz SPMMGRHDDDNBID-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCOC[C@@H](C2CCC2)C1 ZINC001205974557 1049615418 /nfs/dbraw/zinc/61/54/18/1049615418.db2.gz RHTCQWADYDNZIW-KRWDZBQOSA-N 0 3 305.462 4.134 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCOC[C@H](C2CCC2)C1 ZINC001205974558 1049615371 /nfs/dbraw/zinc/61/53/71/1049615371.db2.gz RHTCQWADYDNZIW-QGZVFWFLSA-N 0 3 305.462 4.134 20 0 DIADHN Cc1coc(CN2CCC(Cc3cccc(F)c3F)CC2)c1 ZINC001182308612 1049615778 /nfs/dbraw/zinc/61/57/78/1049615778.db2.gz HTODVCRHJOHFNI-UHFFFAOYSA-N 0 3 305.368 4.321 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2cccc(OC(C)C)c2)c(C)o1 ZINC000774200649 1049624034 /nfs/dbraw/zinc/62/40/34/1049624034.db2.gz CZVMVGCOYOIKPB-LIRRHRJNSA-N 0 3 317.429 4.068 20 0 DIADHN Brc1ccc2nc(CNC3CCCCCC3)oc2c1 ZINC001206004592 1049626316 /nfs/dbraw/zinc/62/63/16/1049626316.db2.gz FQIXOHZKAYYJSE-UHFFFAOYSA-N 0 3 323.234 4.403 20 0 DIADHN Fc1ccc2cc(CN3CCC[C@H](Nc4ccccc4)C3)[nH]c2c1 ZINC000488292119 1049629476 /nfs/dbraw/zinc/62/94/76/1049629476.db2.gz IQNUYLOQNBQPEU-SFHVURJKSA-N 0 3 323.415 4.384 20 0 DIADHN Fc1cc(CN2CC[C@H](C3CCC3)C2)cc(C(F)(F)F)c1 ZINC001182355802 1049635512 /nfs/dbraw/zinc/63/55/12/1049635512.db2.gz GMKWXIPBIIMSMA-ZDUSSCGKSA-N 0 3 301.327 4.467 20 0 DIADHN CC[C@H](N[C@H]1CCCc2occc21)[C@H](O)c1c(F)cccc1F ZINC000774257056 1049637972 /nfs/dbraw/zinc/63/79/72/1049637972.db2.gz FXFDCWCECMAFJP-MPGHIAIKSA-N 0 3 321.367 4.037 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2ccc([O-])cc2F)nc1 ZINC001206045064 1049639197 /nfs/dbraw/zinc/63/91/97/1049639197.db2.gz YNQLIADUNIMZOY-SFHVURJKSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2ccc([O-])cc2F)nc1 ZINC001206045064 1049639202 /nfs/dbraw/zinc/63/92/02/1049639202.db2.gz YNQLIADUNIMZOY-SFHVURJKSA-N 0 3 300.377 4.140 20 0 DIADHN COc1cccnc1CN[C@@H]1CCC[C@H]1c1ccccc1Cl ZINC001182387330 1049640517 /nfs/dbraw/zinc/64/05/17/1049640517.db2.gz FNLAVOALUCCKSK-GOEBONIOSA-N 0 3 316.832 4.170 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2cc3ccccc3c(Cl)n2)CC1 ZINC000794584131 1049641064 /nfs/dbraw/zinc/64/10/64/1049641064.db2.gz XCOCZNMEJGWQQL-SFHVURJKSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@@H]1CSCCN(Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000488391778 1049644882 /nfs/dbraw/zinc/64/48/82/1049644882.db2.gz PKTMSRIPHAVCHX-AWEZNQCLSA-N 0 3 324.921 4.125 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OC(C)C)nc1)CCC2 ZINC000339065917 1049645339 /nfs/dbraw/zinc/64/53/39/1049645339.db2.gz DTKLNTYCEJNPNS-LJQANCHMSA-N 0 3 310.441 4.344 20 0 DIADHN c1ccc(SC2CC(N[C@H]3COCc4ccccc43)C2)cc1 ZINC001182443275 1049652154 /nfs/dbraw/zinc/65/21/54/1049652154.db2.gz IEKISTAXKWPBEC-KVWWFHCMSA-N 0 3 311.450 4.171 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H](C3CCCCC3)C2)cs1 ZINC001196408964 1049652570 /nfs/dbraw/zinc/65/25/70/1049652570.db2.gz CQKKABQDTFAKTP-INIZCTEOSA-N 0 3 321.486 4.327 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H]3CS[C@@H](C(C)C)C3)o2)cs1 ZINC000488428028 1049652986 /nfs/dbraw/zinc/65/29/86/1049652986.db2.gz CMFOQNNFHYPJDR-BLLLJJGKSA-N 0 3 322.499 4.331 20 0 DIADHN C[C@H](Cc1ccco1)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000774377890 1049653342 /nfs/dbraw/zinc/65/33/42/1049653342.db2.gz OYYZZQHJHDBYNN-NEPJUHHUSA-N 0 3 317.820 4.102 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCc2ccc(C)cc2)[n-]1 ZINC000488475626 1049657467 /nfs/dbraw/zinc/65/74/67/1049657467.db2.gz JKHFRPBHGPXNIN-JYJNAYRXSA-N 0 3 314.477 4.299 20 0 DIADHN Fc1ccc2ccc(CN3CCC[C@H](C4CC4)C3)nc2c1F ZINC001206116168 1049657610 /nfs/dbraw/zinc/65/76/10/1049657610.db2.gz MLUJLIGKTQOKFD-AWEZNQCLSA-N 0 3 302.368 4.135 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cc2ccccc2s1)c1ccccc1F ZINC000120076431 1049658014 /nfs/dbraw/zinc/65/80/14/1049658014.db2.gz SLWDSWDZOPYCTR-MLGOLLRUSA-N 0 3 315.413 4.425 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCCC(F)(F)F)[n-]1 ZINC000488478533 1049659620 /nfs/dbraw/zinc/65/96/20/1049659620.db2.gz NCMWRMYZPHIWMD-AXFHLTTASA-N 0 3 306.376 4.090 20 0 DIADHN CCc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)[nH]n1 ZINC000774416790 1049659776 /nfs/dbraw/zinc/65/97/76/1049659776.db2.gz BEZKLFDPTMYGDR-GOSISDBHSA-N 0 3 301.409 4.132 20 0 DIADHN CCc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)n[nH]1 ZINC000774416790 1049659782 /nfs/dbraw/zinc/65/97/82/1049659782.db2.gz BEZKLFDPTMYGDR-GOSISDBHSA-N 0 3 301.409 4.132 20 0 DIADHN CCc1cc(CN[C@@H](c2ccccc2F)C2CCCC2)n[nH]1 ZINC000774419080 1049659925 /nfs/dbraw/zinc/65/99/25/1049659925.db2.gz LWWDBXSCLLERGL-GOSISDBHSA-N 0 3 301.409 4.132 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)Cc2ccc(Cl)cc2)[n-]1 ZINC000488468911 1049661425 /nfs/dbraw/zinc/66/14/25/1049661425.db2.gz YIVDQRWEFQAZCW-RWMBFGLXSA-N 0 3 320.868 4.253 20 0 DIADHN CC(C)CCO[C@@H]1CCN([C@@H]2CCc3c2cccc3Cl)C1 ZINC001196517854 1049664559 /nfs/dbraw/zinc/66/45/59/1049664559.db2.gz GQXABDRKINSRPY-RDTXWAMCSA-N 0 3 307.865 4.464 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(Cl)c1)c1ccc([S@](C)=O)cc1 ZINC000488512127 1049665329 /nfs/dbraw/zinc/66/53/29/1049665329.db2.gz YYOFQFAUWXJAQG-ZNLKAECVSA-N 0 3 321.873 4.489 20 0 DIADHN Fc1cccc2cc(CN3CCSC[C@H]3C3CCC3)cnc12 ZINC001182463579 1049666631 /nfs/dbraw/zinc/66/66/31/1049666631.db2.gz VOVLWKYWJICGMU-KRWDZBQOSA-N 0 3 316.445 4.091 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@@H](CC)c2ccccc2)[n-]1 ZINC000488532103 1049667924 /nfs/dbraw/zinc/66/79/24/1049667924.db2.gz ZLGOQHQJTOLGFE-SOUVJXGZSA-N 0 3 300.450 4.163 20 0 DIADHN CCN(CC(C)C)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000488528724 1049669389 /nfs/dbraw/zinc/66/93/89/1049669389.db2.gz MCVGCYMXLQIXOV-ZDUSSCGKSA-N 0 3 305.397 4.223 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@@H]1COC2(CCCC2)O1 ZINC000774469680 1049672444 /nfs/dbraw/zinc/67/24/44/1049672444.db2.gz HRNIVVVCDGGNDT-HUUCEWRRSA-N 0 3 315.413 4.078 20 0 DIADHN C/C=C\C[C@@H]1CCCN(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC001196604260 1049672794 /nfs/dbraw/zinc/67/27/94/1049672794.db2.gz DFTWQOAKZKZXPE-ZZCHNYBXSA-N 0 3 311.828 4.191 20 0 DIADHN CCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1ccc(C)cc1C ZINC000488552422 1049674032 /nfs/dbraw/zinc/67/40/32/1049674032.db2.gz JODMHGFLHYUESC-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN CCN(CCc1ccccc1)[C@H](C)c1nc(-c2ccccc2)no1 ZINC000488554602 1049674661 /nfs/dbraw/zinc/67/46/61/1049674661.db2.gz NTTAXZVVIWNPJF-MRXNPFEDSA-N 0 3 321.424 4.362 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2CCOc3c(F)cccc32)CS1 ZINC000488550572 1049674893 /nfs/dbraw/zinc/67/48/93/1049674893.db2.gz YOLPZXHTEMBVFC-CQDKDKBSSA-N 0 3 309.450 4.159 20 0 DIADHN CC[C@@H](OCCN1CCC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC001196583591 1049675331 /nfs/dbraw/zinc/67/53/31/1049675331.db2.gz SBNPCGHMBTUUPG-HZPDHXFCSA-N 0 3 315.379 4.429 20 0 DIADHN Fc1ccc(-c2nc(CN3CCCC4(CC4)CC3)co2)cc1F ZINC001196605633 1049675588 /nfs/dbraw/zinc/67/55/88/1049675588.db2.gz OIZJJJZNOZFSMC-UHFFFAOYSA-N 0 3 318.367 4.386 20 0 DIADHN C[C@H](c1ccc(C(C)(C)C)cc1)N1CCN(CCCF)CC1 ZINC001196631498 1049678275 /nfs/dbraw/zinc/67/82/75/1049678275.db2.gz HDWHEKQVSQESOD-MRXNPFEDSA-N 0 3 306.469 4.022 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@@H]1C[C@]1(F)c1ccccc1 ZINC001182500424 1049679160 /nfs/dbraw/zinc/67/91/60/1049679160.db2.gz SITWXTGIUMHPCD-XWIAVFTESA-N 0 3 303.808 4.498 20 0 DIADHN C[C@@H]1C[C@@H]1CN1CCCC[C@H]1c1nc(-c2cccc(F)c2)c[nH]1 ZINC001206195273 1049680412 /nfs/dbraw/zinc/68/04/12/1049680412.db2.gz QOEFCICHWOHAEK-SIIHOXLZSA-N 0 3 313.420 4.399 20 0 DIADHN CC1(C)C[C@@H](c2ccccc2)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC001182507360 1049681407 /nfs/dbraw/zinc/68/14/07/1049681407.db2.gz BPPCTKSXVOUYQX-INIZCTEOSA-N 0 3 323.392 4.359 20 0 DIADHN FC(F)(F)c1cccc2c1CCN(CCc1cccs1)C2 ZINC001196716871 1049683223 /nfs/dbraw/zinc/68/32/23/1049683223.db2.gz RVWZSDDKATXGLC-UHFFFAOYSA-N 0 3 311.372 4.368 20 0 DIADHN FCCC1CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC001196760659 1049683867 /nfs/dbraw/zinc/68/38/67/1049683867.db2.gz WSWSWXWHAIJRFD-UHFFFAOYSA-N 0 3 306.812 4.460 20 0 DIADHN FCCC1CCN(CCc2ccccc2Br)CC1 ZINC001196760732 1049684667 /nfs/dbraw/zinc/68/46/67/1049684667.db2.gz XLECMPRIXIDWFY-UHFFFAOYSA-N 0 3 314.242 4.063 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCCC[C@@H]2C2CCCCC2)[nH]1 ZINC001206231716 1049685320 /nfs/dbraw/zinc/68/53/20/1049685320.db2.gz BCJFJIUTQJATKJ-HZPDHXFCSA-N 0 3 318.509 4.331 20 0 DIADHN F[C@H]1CCC[C@H](NCc2ccc(C(F)(F)F)nc2Cl)C1 ZINC001182564800 1049686319 /nfs/dbraw/zinc/68/63/19/1049686319.db2.gz GARWLSBGLYVCMO-UWVGGRQHSA-N 0 3 310.722 4.124 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](c2ccccc2C)C(C)C)o1 ZINC000488672446 1049686282 /nfs/dbraw/zinc/68/62/82/1049686282.db2.gz QHGHMLDTRVLDAT-SFHVURJKSA-N 0 3 315.413 4.204 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)CC(=O)Nc1ccccc1 ZINC000488724391 1049688382 /nfs/dbraw/zinc/68/83/82/1049688382.db2.gz JZCOANUHLISMJM-FQEVSTJZSA-N 0 3 310.441 4.263 20 0 DIADHN CCCN(CC)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000488724995 1049689159 /nfs/dbraw/zinc/68/91/59/1049689159.db2.gz MCQQKUQMXZYVBZ-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC001206247604 1049689798 /nfs/dbraw/zinc/68/97/98/1049689798.db2.gz LBQIPHHQTOWEOC-PKOBYXMFSA-N 0 3 315.844 4.265 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@@H](OC2CCC2)C1 ZINC001182634406 1049691380 /nfs/dbraw/zinc/69/13/80/1049691380.db2.gz LZZCLHJIKMPECK-LJQANCHMSA-N 0 3 317.473 4.255 20 0 DIADHN CN(C)Cc1cnc(CN2CC[C@H](CC(C)(C)C)C2(C)C)s1 ZINC001206256074 1049691698 /nfs/dbraw/zinc/69/16/98/1049691698.db2.gz JJOAKBNONJNNEP-CQSZACIVSA-N 0 3 323.550 4.241 20 0 DIADHN COC[C@H]1CCCCN1Cc1ccc(-c2cccc(F)c2F)o1 ZINC001206270052 1049694335 /nfs/dbraw/zinc/69/43/35/1049694335.db2.gz JGMAPMLHCGAWDE-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN CCc1ccc(NC(=S)Nc2cccc(CN(C)C)c2)cc1 ZINC000044133078 1049698097 /nfs/dbraw/zinc/69/80/97/1049698097.db2.gz NEMBZPWVWDZXLL-UHFFFAOYSA-N 0 3 313.470 4.120 20 0 DIADHN C[C@@H]1CN(Cc2cccc3ccoc32)CCN1Cc1ccccc1 ZINC001206276972 1049698452 /nfs/dbraw/zinc/69/84/52/1049698452.db2.gz WERZSNOVRAEAKY-QGZVFWFLSA-N 0 3 320.436 4.139 20 0 DIADHN Cc1c(Cl)ccc(-c2ncccc2CN2CCCC2)c1F ZINC001206279606 1049698863 /nfs/dbraw/zinc/69/88/63/1049698863.db2.gz XATSMJADVSWTMC-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CNCc2ccccc2)c1 ZINC000691705060 1049709627 /nfs/dbraw/zinc/70/96/27/1049709627.db2.gz UBDDMCJAKMJNTA-UHFFFAOYSA-N 0 3 312.413 4.323 20 0 DIADHN C[C@@H]1c2ccsc2CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000774545941 1049711505 /nfs/dbraw/zinc/71/15/05/1049711505.db2.gz CSULTRLLEKKPBE-IAQYHMDHSA-N 0 3 307.846 4.054 20 0 DIADHN CCc1onc(C)c1CN1CCC(c2ccc(F)cc2)CC1 ZINC001197020255 1049716271 /nfs/dbraw/zinc/71/62/71/1049716271.db2.gz CHWKUUXJSJPUNS-UHFFFAOYSA-N 0 3 302.393 4.064 20 0 DIADHN C[C@H]1CCc2nc(CN3CC[C@H](C4CCCC4)C3)sc2C1 ZINC001206351848 1049718498 /nfs/dbraw/zinc/71/84/98/1049718498.db2.gz YKWXHZZYQGXBGN-ZFWWWQNUSA-N 0 3 304.503 4.280 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@@H](OC2CCC2)CC1 ZINC001197070078 1049719135 /nfs/dbraw/zinc/71/91/35/1049719135.db2.gz QVFWHTYCRPRSMN-XJKSGUPXSA-N 0 3 309.400 4.449 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1ccnn1C ZINC001206365594 1049720668 /nfs/dbraw/zinc/72/06/68/1049720668.db2.gz UMIJDUUPXQDPCD-UHFFFAOYSA-N 0 3 319.452 4.074 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(OC(C)C)c2)cc1C ZINC000489122645 1049729501 /nfs/dbraw/zinc/72/95/01/1049729501.db2.gz PSRZVNRGAXOGMM-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CC[C@@]2(C)C2CCCCC2)c1 ZINC001197238763 1049730448 /nfs/dbraw/zinc/73/04/48/1049730448.db2.gz IJIHBVFHWYVUKM-FQEVSTJZSA-N 0 3 314.473 4.287 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC2(CCCCO2)CC1 ZINC001197257205 1049733655 /nfs/dbraw/zinc/73/36/55/1049733655.db2.gz ZRAVFNNCMLTUDA-UHFFFAOYSA-N 0 3 317.473 4.354 20 0 DIADHN Cc1cnc(CNC(C2CCCCC2)C2CCCCC2)nc1 ZINC001197264025 1049733739 /nfs/dbraw/zinc/73/37/39/1049733739.db2.gz JMWBMHKHPSGUAN-UHFFFAOYSA-N 0 3 301.478 4.404 20 0 DIADHN COc1sc(CN(C)CCC(C)(C)C)cc1Br ZINC001206429519 1049733858 /nfs/dbraw/zinc/73/38/58/1049733858.db2.gz DUMCTGAEEVRWHM-UHFFFAOYSA-N 0 3 320.296 4.387 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CN[C@@H]1CCC[C@]12CCCO2 ZINC001197286561 1049738267 /nfs/dbraw/zinc/73/82/67/1049738267.db2.gz XUAKZGMTOZNDHP-HIFRSBDPSA-N 0 3 318.219 4.324 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001197350904 1049742539 /nfs/dbraw/zinc/74/25/39/1049742539.db2.gz TYDKKPCWVNZRJZ-PGRDOPGGSA-N 0 3 320.480 4.443 20 0 DIADHN CC[C@H](C)CN(CC)[C@H](C)c1nc(-c2ccc(OC)cc2)no1 ZINC000489334258 1049744893 /nfs/dbraw/zinc/74/48/93/1049744893.db2.gz SUCHFAAUZDBZRS-UONOGXRCSA-N 0 3 317.433 4.174 20 0 DIADHN CC[C@@H](C)CN(CC)[C@@H](C)c1nc(-c2ccccc2C)no1 ZINC000489329896 1049746268 /nfs/dbraw/zinc/74/62/68/1049746268.db2.gz QDTCFTLPTHUWBZ-HIFRSBDPSA-N 0 3 301.434 4.474 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(-c2ccco2)cc1F)N(CC)CC ZINC000489365204 1049748945 /nfs/dbraw/zinc/74/89/45/1049748945.db2.gz CIOAEUVKWCEGIO-MRXNPFEDSA-N 0 3 318.392 4.145 20 0 DIADHN FC(F)(F)Cc1ccccc1CN1CCC[C@]2(CC2(F)F)C1 ZINC001206517323 1049749258 /nfs/dbraw/zinc/74/92/58/1049749258.db2.gz QVVILANONHFJIV-AWEZNQCLSA-N 0 3 319.317 4.413 20 0 DIADHN C[C@@H](O)[C@@H](N[C@H](C)Cc1ccccc1Cl)c1ccccc1F ZINC001206530560 1049751480 /nfs/dbraw/zinc/75/14/80/1049751480.db2.gz UKPHUPAMWPJOTG-SNUQEOBHSA-N 0 3 321.823 4.122 20 0 DIADHN C[C@@H]1CN(Cc2cccc(-c3ccccn3)c2)CC2(CCCC2)O1 ZINC001206543821 1049752417 /nfs/dbraw/zinc/75/24/17/1049752417.db2.gz GNLKWVYPHNPMHR-QGZVFWFLSA-N 0 3 322.452 4.282 20 0 DIADHN C[C@H]1CN(Cc2cccc(-c3ccccn3)c2)CC2(CCCC2)O1 ZINC001206543818 1049753756 /nfs/dbraw/zinc/75/37/56/1049753756.db2.gz GNLKWVYPHNPMHR-KRWDZBQOSA-N 0 3 322.452 4.282 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc3n[nH]cc3c(F)c2)cc1F ZINC001206609483 1049768952 /nfs/dbraw/zinc/76/89/52/1049768952.db2.gz VAMKJQDPZLRMDW-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN Cc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)cc1Cl ZINC001206691673 1049779914 /nfs/dbraw/zinc/77/99/14/1049779914.db2.gz MYYKNDFXHWNFEZ-SFHVURJKSA-N 0 3 307.865 4.430 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc4ccoc43)CC2)c(C)c1 ZINC001206752366 1049792096 /nfs/dbraw/zinc/79/20/96/1049792096.db2.gz FSDSCYWTMIQESK-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3nc4cccnc4s3)C2)cc1 ZINC000490051997 1049797625 /nfs/dbraw/zinc/79/76/25/1049797625.db2.gz DWGRDDBGRAZQTB-ZBFHGGJFSA-N 0 3 323.465 4.378 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3nc4cccnc4s3)C2)cc1 ZINC000490051994 1049797892 /nfs/dbraw/zinc/79/78/92/1049797892.db2.gz DWGRDDBGRAZQTB-GDBMZVCRSA-N 0 3 323.465 4.378 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3cn4ccsc4n3)C2)cc1 ZINC000490085217 1049801603 /nfs/dbraw/zinc/80/16/03/1049801603.db2.gz FFBOSPDNHCKTGS-ZBFHGGJFSA-N 0 3 311.454 4.082 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@@H](c2cnn(C)c2)c2cccc(F)c2)C1 ZINC000490147826 1049802884 /nfs/dbraw/zinc/80/28/84/1049802884.db2.gz ANUYNNOZISZYMM-ZRGWGRIASA-N 0 3 315.436 4.065 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OCC3CC3)nc1)[C@H](C)C2 ZINC000490121248 1049804945 /nfs/dbraw/zinc/80/49/45/1049804945.db2.gz ZVRUUUZZVMAVOR-VFNWGFHPSA-N 0 3 322.452 4.202 20 0 DIADHN CC[C@H]1CCCCN1Cc1cc(-c2ccc(OC)cc2)on1 ZINC001206828952 1049809037 /nfs/dbraw/zinc/80/90/37/1049809037.db2.gz HJNXAAGGIKOQJP-INIZCTEOSA-N 0 3 300.402 4.115 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@H]4CCC[C@@H]43)no2)cc1 ZINC001206829995 1049809219 /nfs/dbraw/zinc/80/92/19/1049809219.db2.gz SNIYXYJMPXICMN-KDOFPFPSSA-N 0 3 312.413 4.115 20 0 DIADHN CC[C@H](NCCOc1cccc2ccccc21)c1ccc(F)cn1 ZINC000490190052 1049810425 /nfs/dbraw/zinc/81/04/25/1049810425.db2.gz FFHXLJJPSAIHRU-SFHVURJKSA-N 0 3 324.399 4.494 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CCC(C)(F)CC1 ZINC001206991857 1049818730 /nfs/dbraw/zinc/81/87/30/1049818730.db2.gz OKIAPSYBIKFBQE-UHFFFAOYSA-N 0 3 314.404 4.081 20 0 DIADHN C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)N1CCC[C@H]1c1cccs1 ZINC000249505062 1049823331 /nfs/dbraw/zinc/82/33/31/1049823331.db2.gz GKQXLDXQBCHAAF-FPCVCCKLSA-N 0 3 320.502 4.063 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3c2cccc3Cl)cc1O ZINC000490387715 1049828564 /nfs/dbraw/zinc/82/85/64/1049828564.db2.gz YWMXDISDAPQUFW-MRXNPFEDSA-N 0 3 317.816 4.174 20 0 DIADHN Cc1ncc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)s1 ZINC001207063843 1049829783 /nfs/dbraw/zinc/82/97/83/1049829783.db2.gz FMKSTPBSZFJXTQ-GOSISDBHSA-N 0 3 316.445 4.071 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cc(F)ccc1C)CCC2 ZINC000490398972 1049830465 /nfs/dbraw/zinc/83/04/65/1049830465.db2.gz HCGRPADLKUHWOF-MRXNPFEDSA-N 0 3 304.434 4.408 20 0 DIADHN O=c1cccc(CN(CCc2ccccc2)Cc2ccccc2)[nH]1 ZINC001207073927 1049830885 /nfs/dbraw/zinc/83/08/85/1049830885.db2.gz OBINMMRNMMSMCT-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN O=c1cc(CN2CC[C@@H](c3cccc(Cl)c3Cl)C2)cc[nH]1 ZINC001207123855 1049840797 /nfs/dbraw/zinc/84/07/97/1049840797.db2.gz BAXWINSKEVZEPG-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)CC(=O)N1CCCC[C@H]1C ZINC000490586009 1049845598 /nfs/dbraw/zinc/84/55/98/1049845598.db2.gz GTTZUEZCJBEQBJ-YLJYHZDGSA-N 0 3 316.489 4.025 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@H](C(F)(F)F)C3)cc21 ZINC001207159061 1049846556 /nfs/dbraw/zinc/84/65/56/1049846556.db2.gz CWOLEKMNYGUDOJ-JTQLQIEISA-N 0 3 315.360 4.381 20 0 DIADHN Cc1cncc(CN2CCC[C@]3(CCc4c3cccc4F)C2)c1 ZINC001207163389 1049848642 /nfs/dbraw/zinc/84/86/42/1049848642.db2.gz QGZACNLGCAJPDE-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN Clc1ccc(-c2cnc(SCCN3CCCC3)o2)cc1 ZINC000913233541 1049852731 /nfs/dbraw/zinc/85/27/31/1049852731.db2.gz AFBUVLWDJNNHJZ-UHFFFAOYSA-N 0 3 308.834 4.183 20 0 DIADHN O=C1CCN(C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)[C@H]2CCCC[C@@H]12 ZINC001207182323 1049853397 /nfs/dbraw/zinc/85/33/97/1049853397.db2.gz TUQBKZGPOMGLLV-HPBDAGMYSA-N 0 3 317.860 4.277 20 0 DIADHN c1cc2c(cc1CNCc1ccc3c(c1)OCCCO3)CCCC2 ZINC000490751897 1049862891 /nfs/dbraw/zinc/86/28/91/1049862891.db2.gz QKJQTQTWABFUNX-UHFFFAOYSA-N 0 3 323.436 4.017 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN1CCS[C@H](C)C1 ZINC001207252133 1049868996 /nfs/dbraw/zinc/86/89/96/1049868996.db2.gz AWFFWDPDSYHBEI-OAHLLOKOSA-N 0 3 313.466 4.300 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2coc3ccccc23)CC1 ZINC001207327071 1049881049 /nfs/dbraw/zinc/88/10/49/1049881049.db2.gz XJBCHUPREPAWNM-QGZVFWFLSA-N 0 3 320.436 4.312 20 0 DIADHN Clc1ccc(-c2nc(CN3CC[C@@H](C4CC4)C3)co2)cc1 ZINC000491115211 1049884362 /nfs/dbraw/zinc/88/43/62/1049884362.db2.gz CXLMCPZTOTYBTK-CQSZACIVSA-N 0 3 302.805 4.227 20 0 DIADHN Clc1ccc(-c2nc(CN3CC[C@H](C4CC4)C3)co2)cc1 ZINC000491115210 1049884827 /nfs/dbraw/zinc/88/48/27/1049884827.db2.gz CXLMCPZTOTYBTK-AWEZNQCLSA-N 0 3 302.805 4.227 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OC)c(COC)c2)s1 ZINC000156293424 1049890448 /nfs/dbraw/zinc/89/04/48/1049890448.db2.gz PVLMYGAYTRVCNY-ZDUSSCGKSA-N 0 3 319.470 4.316 20 0 DIADHN Fc1ccc(-c2cc(CN[C@@H]3CCCC34CCC4)no2)c(F)c1 ZINC001207424853 1049892191 /nfs/dbraw/zinc/89/21/91/1049892191.db2.gz ZCGIZATZDOVRCK-QGZVFWFLSA-N 0 3 318.367 4.432 20 0 DIADHN COc1cccc(CCN2CCC[C@@H]2c2cc(F)ccc2F)c1 ZINC001207431330 1049892471 /nfs/dbraw/zinc/89/24/71/1049892471.db2.gz OHRJNOZLWGNSGT-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)C[C@@H]1CCCOC1 ZINC001207469780 1049894979 /nfs/dbraw/zinc/89/49/79/1049894979.db2.gz NLEGPFJLGFIYGD-LBPRGKRZSA-N 0 3 302.245 4.242 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H]2CCc3ccc(C)cc32)c1 ZINC000586790876 1049899665 /nfs/dbraw/zinc/89/96/65/1049899665.db2.gz ZONMMNABXNNXHS-WBMJQRKESA-N 0 3 315.438 4.181 20 0 DIADHN Fc1ccc(CCN(Cc2ccccc2)Cc2ccccn2)cc1 ZINC001207510535 1049900133 /nfs/dbraw/zinc/90/01/33/1049900133.db2.gz DHFOMEWHUAMJNW-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN Cc1nc2c(s1)CN(CCc1ccc(C(C)C)cc1)CC2 ZINC001207521434 1049903225 /nfs/dbraw/zinc/90/32/25/1049903225.db2.gz XABXHSPBKBAFNB-UHFFFAOYSA-N 0 3 300.471 4.176 20 0 DIADHN c1ccc(C(CN2CCOCC23CCC3)c2ccccc2)cc1 ZINC001207525940 1049903934 /nfs/dbraw/zinc/90/39/34/1049903934.db2.gz JFBYIDRPEIYTBF-UHFFFAOYSA-N 0 3 307.437 4.073 20 0 DIADHN OC1(c2ccccc2)CCC(NCc2cscc2Cl)CC1 ZINC000760650617 1049904911 /nfs/dbraw/zinc/90/49/11/1049904911.db2.gz SSQDYPLFNHISGH-UHFFFAOYSA-N 0 3 321.873 4.322 20 0 DIADHN CN(CCCc1ccc(Cl)cc1)Cc1cnc2ccccn12 ZINC001207593094 1049914281 /nfs/dbraw/zinc/91/42/81/1049914281.db2.gz NYBJYUIWNFAHLS-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN Cc1csc(C[C@@H]2CCN(Cc3ccc(Cl)c(C)c3)C2)n1 ZINC001207658076 1049921924 /nfs/dbraw/zinc/92/19/24/1049921924.db2.gz FLASBGIPYPVOGE-HNNXBMFYSA-N 0 3 320.889 4.478 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1)C1CC1 ZINC001207669988 1049924731 /nfs/dbraw/zinc/92/47/31/1049924731.db2.gz RAHYKNFAGLSDDU-YGRLFVJLSA-N 0 3 305.809 4.229 20 0 DIADHN Cc1cc([C@](C)(O)CN[C@H](C)c2cc3ccccc3o2)c(C)o1 ZINC000164172042 1049925193 /nfs/dbraw/zinc/92/51/93/1049925193.db2.gz LOYJYFBZSHUDKG-BFUOFWGJSA-N 0 3 313.397 4.201 20 0 DIADHN Cc1cc([C@](C)(O)CN[C@@H](C)c2cc3ccccc3o2)c(C)o1 ZINC000164171812 1049925237 /nfs/dbraw/zinc/92/52/37/1049925237.db2.gz LOYJYFBZSHUDKG-ORAYPTAESA-N 0 3 313.397 4.201 20 0 DIADHN CC1(C)[C@@H](N[C@@H]2CCCc3cccnc32)C[C@@H]1Oc1ccccc1 ZINC001207711841 1049929523 /nfs/dbraw/zinc/92/95/23/1049929523.db2.gz OXJGFZFMZZXTEB-QYZOEREBSA-N 0 3 322.452 4.295 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC001207707914 1049930074 /nfs/dbraw/zinc/93/00/74/1049930074.db2.gz JKHGVJMTJUQCBH-AWEZNQCLSA-N 0 3 322.861 4.074 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)CC1CCSCC1 ZINC001207756336 1049934880 /nfs/dbraw/zinc/93/48/80/1049934880.db2.gz GHTDDUCYKJRDAA-QGZVFWFLSA-N 0 3 305.487 4.113 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CNCc1ncccc1Br ZINC001207799340 1049940341 /nfs/dbraw/zinc/94/03/41/1049940341.db2.gz ZSFKVIORLGZZEE-OLZOCXBDSA-N 0 3 311.267 4.150 20 0 DIADHN CC1(CN(Cc2ccc(F)cc2)Cc2ccc(F)cc2)COC1 ZINC001207801480 1049940451 /nfs/dbraw/zinc/94/04/51/1049940451.db2.gz NPDGUFPGXJYNAM-UHFFFAOYSA-N 0 3 317.379 4.004 20 0 DIADHN C[C@@H](Cc1cccc(O)c1)NCc1csc(-c2ccccc2)n1 ZINC000571710572 1049942255 /nfs/dbraw/zinc/94/22/55/1049942255.db2.gz WXQVSYNHRQRIMP-AWEZNQCLSA-N 0 3 324.449 4.237 20 0 DIADHN C[C@]1(F)CCCN(CCCc2cccc(C(F)(F)F)c2)C1 ZINC001207841895 1049944093 /nfs/dbraw/zinc/94/40/93/1049944093.db2.gz LJGLINHYRBPVKS-HNNXBMFYSA-N 0 3 303.343 4.462 20 0 DIADHN Clc1cc(Cl)cc(C2=CCN(C[C@@H]3CCCO3)CC2)c1 ZINC001207846419 1049945531 /nfs/dbraw/zinc/94/55/31/1049945531.db2.gz FMWIKTYJOLQHHL-INIZCTEOSA-N 0 3 312.240 4.262 20 0 DIADHN Cc1[nH]c2ccccc2c1SCCN[C@@H]1CCc2cccnc21 ZINC001207854948 1049945670 /nfs/dbraw/zinc/94/56/70/1049945670.db2.gz PLFFQTWLDJZZSJ-QGZVFWFLSA-N 0 3 323.465 4.241 20 0 DIADHN C[C@@]12c3ccccc3[C@@H](Cc3ccccc31)N2C[C@H]1CCCO1 ZINC001207894199 1049950177 /nfs/dbraw/zinc/95/01/77/1049950177.db2.gz DCCKLDWCMKCVLX-HBGVWJBISA-N 0 3 305.421 4.042 20 0 DIADHN C[C@H](Cc1ccc(C(C)(C)C)cc1)CN1CCCC(=O)CC1 ZINC001207916114 1049955638 /nfs/dbraw/zinc/95/56/38/1049955638.db2.gz IEVYPHYUUWGMPC-MRXNPFEDSA-N 0 3 301.474 4.218 20 0 DIADHN CCC(=O)N1CCN(C[C@H]2CC=C(CCC=C(C)C)CC2)CC1 ZINC001207939714 1049961503 /nfs/dbraw/zinc/96/15/03/1049961503.db2.gz GDUGAJMEKSCTLH-IBGZPJMESA-N 0 3 318.505 4.014 20 0 DIADHN CN(Cc1cccc(Cl)c1Cl)CC1(C)CCOCC1 ZINC001207968519 1049965155 /nfs/dbraw/zinc/96/51/55/1049965155.db2.gz ADQBHZIWJVYGJA-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN Clc1ccc([C@H]2CCN(C[C@@H]3CCCCO3)C2)cc1Cl ZINC001207956393 1049963651 /nfs/dbraw/zinc/96/36/51/1049963651.db2.gz KSIYWZLLQWNCJB-KBPBESRZSA-N 0 3 314.256 4.352 20 0 DIADHN Fc1cc(CN2CCC3(CCCCC3)CC2)c2c(c1)COCO2 ZINC000588170131 1049972364 /nfs/dbraw/zinc/97/23/64/1049972364.db2.gz KJABWOKFWKHNML-UHFFFAOYSA-N 0 3 319.420 4.239 20 0 DIADHN Cc1c2cc(F)ccc2oc1CNCC1=Cc2ccccc2OC1 ZINC000588178322 1049973247 /nfs/dbraw/zinc/97/32/47/1049973247.db2.gz DVPVVJGMQBLWDA-UHFFFAOYSA-N 0 3 323.367 4.446 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCc1c(F)cccc1F ZINC001208117953 1049973649 /nfs/dbraw/zinc/97/36/49/1049973649.db2.gz IRRCPSUWRJMXFO-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCc1c(F)cccc1F ZINC001208117954 1049973788 /nfs/dbraw/zinc/97/37/88/1049973788.db2.gz IRRCPSUWRJMXFO-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)CCc1c(F)cccc1F ZINC001208117286 1049974710 /nfs/dbraw/zinc/97/47/10/1049974710.db2.gz UUQMFUOSZMCYLG-CYBMUJFWSA-N 0 3 305.368 4.209 20 0 DIADHN CCN(Cc1csc(-c2ccc3c(c2)OCO3)n1)CC(C)C ZINC000588235700 1049976818 /nfs/dbraw/zinc/97/68/18/1049976818.db2.gz ZXMUYFNECBSPMY-UHFFFAOYSA-N 0 3 318.442 4.017 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCC(=O)[C@H](C)C23CCCC3)o1 ZINC001208159557 1049979098 /nfs/dbraw/zinc/97/90/98/1049979098.db2.gz BTFPYCPTJHPKJD-HOCLYGCPSA-N 0 3 303.446 4.305 20 0 DIADHN CCCCCCN1CCN(CC[C@@H](C)c2ccc(C)o2)CC1 ZINC001208154780 1049979220 /nfs/dbraw/zinc/97/92/20/1049979220.db2.gz HVSNTWRBKKZNOG-QGZVFWFLSA-N 0 3 306.494 4.280 20 0 DIADHN COc1ccc(CN(C)CC[C@H](C)c2ccc(C)o2)c(OC)c1 ZINC001208158319 1049979323 /nfs/dbraw/zinc/97/93/23/1049979323.db2.gz XOFDFKGFWWRTSF-AWEZNQCLSA-N 0 3 317.429 4.231 20 0 DIADHN CC1=C[C@@H](C)[C@@H](CN(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001208173159 1049981529 /nfs/dbraw/zinc/98/15/29/1049981529.db2.gz GMLQLGLKDMSBLR-WIYYLYMNSA-N 0 3 321.468 4.471 20 0 DIADHN Fc1cccc(CCN2CCC[C@H](Sc3ccncc3)C2)c1 ZINC001208197428 1049984439 /nfs/dbraw/zinc/98/44/39/1049984439.db2.gz MEHCAYNKNLSYRO-SFHVURJKSA-N 0 3 316.445 4.020 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCc2ncc(C)cc2C1 ZINC001208215050 1049986300 /nfs/dbraw/zinc/98/63/00/1049986300.db2.gz WLDJMPLYRSZVRW-INIZCTEOSA-N 0 3 318.505 4.370 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCc2ncc(C)cc2C1 ZINC001208215056 1049986906 /nfs/dbraw/zinc/98/69/06/1049986906.db2.gz WLDJMPLYRSZVRW-MRXNPFEDSA-N 0 3 318.505 4.370 20 0 DIADHN CC[C@@H](C)N(Cc1cn2cccc(C)c2n1)Cc1ccccc1 ZINC000588784049 1049995968 /nfs/dbraw/zinc/99/59/68/1049995968.db2.gz MJVDACMRGJVDPO-QGZVFWFLSA-N 0 3 307.441 4.443 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1cccc(C(F)(F)F)c1)CC2 ZINC000588754305 1049997307 /nfs/dbraw/zinc/99/73/07/1049997307.db2.gz TXHQSFNETIXKRN-UHFFFAOYSA-N 0 3 321.342 4.012 20 0 DIADHN C[C@H](CCN1CCN(CCc2cccs2)CC1)CC(C)(C)C ZINC001208660442 1049999496 /nfs/dbraw/zinc/99/94/96/1049999496.db2.gz QEDUUJKFNNHIFV-QGZVFWFLSA-N 0 3 322.562 4.371 20 0 DIADHN Cn1cc(CCN[C@H](c2c(Cl)cccc2Cl)C2CC2)cn1 ZINC000572326187 1049999746 /nfs/dbraw/zinc/99/97/46/1049999746.db2.gz GCPNPPJTDMQZAO-INIZCTEOSA-N 0 3 324.255 4.010 20 0 DIADHN CC(C)(CCN1CCO[C@@H](c2ccccc2)C1)c1ccccc1 ZINC001208667922 1050000207 /nfs/dbraw/zinc/00/02/07/1050000207.db2.gz PPBRFFSZUYZEJA-HXUWFJFHSA-N 0 3 309.453 4.428 20 0 DIADHN CN(CCCc1ccc(C(F)(F)F)cc1)Cc1cncs1 ZINC001208692203 1050002101 /nfs/dbraw/zinc/00/21/01/1050002101.db2.gz NSIYQHZNQGNVGO-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN Cc1noc([C@@H]2CCCN2C[C@@H](C)c2cccc3ccccc32)n1 ZINC001208709733 1050005082 /nfs/dbraw/zinc/00/50/82/1050005082.db2.gz NDGFHCXISLVBAP-KUHUBIRLSA-N 0 3 321.424 4.472 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N1CCC(C)(C)CC1)c1ccc(Cl)cc1 ZINC000588904637 1050008680 /nfs/dbraw/zinc/00/86/80/1050008680.db2.gz HPMFFBDYXIUQOL-KBPBESRZSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cccc(-n2nc(C(C)(C)C)cc2NC2=CCN(C)CC2)c1 ZINC001208793670 1050011025 /nfs/dbraw/zinc/01/10/25/1050011025.db2.gz JOMSEMIALSIQRW-UHFFFAOYSA-N 0 3 324.472 4.110 20 0 DIADHN CCN(CCc1cccnc1OC(C)C)Cc1ccccc1F ZINC001208800250 1050011963 /nfs/dbraw/zinc/01/19/63/1050011963.db2.gz KWJSBHOLMAXLHC-UHFFFAOYSA-N 0 3 316.420 4.073 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2csc(C(C)(C)C)n2)o1 ZINC000498353236 1050012455 /nfs/dbraw/zinc/01/24/55/1050012455.db2.gz LZUPEIFWTDTVDG-SWLSCSKDSA-N 0 3 318.486 4.489 20 0 DIADHN CN(CCCc1ccccc1C(F)(F)F)Cc1ccccn1 ZINC001208828342 1050013503 /nfs/dbraw/zinc/01/35/03/1050013503.db2.gz KBNGGWDRACRFAB-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN Clc1ccc(CCCN2CCc3cccnc3C2)cc1Cl ZINC001208836357 1050014634 /nfs/dbraw/zinc/01/46/34/1050014634.db2.gz BROMTFKVRUVPFM-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN CN1CC=C(Nc2cc(C(F)(F)F)ccc2C(F)(F)F)CC1 ZINC001208843419 1050015891 /nfs/dbraw/zinc/01/58/91/1050015891.db2.gz BTLHRVHKXIGVBQ-UHFFFAOYSA-N 0 3 324.268 4.356 20 0 DIADHN CC1(F)CCN(CCCc2ccccc2Br)CC1 ZINC001208847763 1050016095 /nfs/dbraw/zinc/01/60/95/1050016095.db2.gz VARJDARZGOFLMU-UHFFFAOYSA-N 0 3 314.242 4.206 20 0 DIADHN CN(CCCc1ccccc1Cl)Cc1cnc2ccccn12 ZINC001208871388 1050018637 /nfs/dbraw/zinc/01/86/37/1050018637.db2.gz JRXHXOVOHLSXTC-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN C[C@H](CN1CCC(Sc2ccccc2)CC1)C(F)(F)F ZINC001208892738 1050020593 /nfs/dbraw/zinc/02/05/93/1050020593.db2.gz UKTDAXRVGHCEPE-GFCCVEGCSA-N 0 3 303.393 4.442 20 0 DIADHN CN1CC=C(Nc2c(F)cccc2OC2CCCCC2)CC1 ZINC001208929619 1050024118 /nfs/dbraw/zinc/02/41/18/1050024118.db2.gz CPHBLTMYXTTXDE-UHFFFAOYSA-N 0 3 304.409 4.169 20 0 DIADHN CN1CC=C(Nc2ccc3oc(-c4ccc(N)cc4)cc3c2)CC1 ZINC001208930311 1050024206 /nfs/dbraw/zinc/02/42/06/1050024206.db2.gz MEJWKTAPQBBPFH-UHFFFAOYSA-N 0 3 319.408 4.313 20 0 DIADHN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@H]1CCCC(C)(C)C1 ZINC000165261901 1050028250 /nfs/dbraw/zinc/02/82/50/1050028250.db2.gz YBRSUSAHKKCYFO-HUUCEWRRSA-N 0 3 323.440 4.356 20 0 DIADHN Clc1ccc(CCN2CC[C@@]3(C2)CCCCO3)c(Cl)c1 ZINC001209081747 1050033361 /nfs/dbraw/zinc/03/33/61/1050033361.db2.gz SZJAZMOXOZNUGR-MRXNPFEDSA-N 0 3 314.256 4.181 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@@]2(CCOC2)C1 ZINC001209105192 1050035254 /nfs/dbraw/zinc/03/52/54/1050035254.db2.gz LFORTUQAZLZDGU-MRXNPFEDSA-N 0 3 314.256 4.038 20 0 DIADHN CN(CCC(=O)c1ccccc1)CCc1ccc(F)cc1Cl ZINC001209111818 1050035891 /nfs/dbraw/zinc/03/58/91/1050035891.db2.gz FNXXTVLLHJAQQM-UHFFFAOYSA-N 0 3 319.807 4.226 20 0 DIADHN Cc1ncccc1CN(C)CCc1c(Cl)cccc1Cl ZINC001209109072 1050036141 /nfs/dbraw/zinc/03/61/41/1050036141.db2.gz ZNHBBWZMPQYWAN-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN C[C@H]1CCN(CCc2ccc(F)cc2Cl)CCC1(F)F ZINC001209109822 1050036360 /nfs/dbraw/zinc/03/63/60/1050036360.db2.gz XLNSZOPLADJQJY-NSHDSACASA-N 0 3 305.771 4.389 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2ccncc2)[C@@H]1C1CC1 ZINC000572656761 1050039292 /nfs/dbraw/zinc/03/92/92/1050039292.db2.gz JUFHSIZQKQXLGC-HXUWFJFHSA-N 0 3 322.452 4.281 20 0 DIADHN COc1ccc([C@H]2CCCN2CCc2cc(F)cc(F)c2)cc1 ZINC001209134681 1050040026 /nfs/dbraw/zinc/04/00/26/1050040026.db2.gz IBJFGEJCWTZOJT-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2CCCc2cccnc2)c1 ZINC001209179960 1050041722 /nfs/dbraw/zinc/04/17/22/1050041722.db2.gz KDBIWFMDILRGLQ-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1CCc2cc(N)ccc21 ZINC000572900476 1050046407 /nfs/dbraw/zinc/04/64/07/1050046407.db2.gz GNNRYOOAELBIPB-SFHVURJKSA-N 0 3 300.833 4.262 20 0 DIADHN Cc1ccc([C@H]2CCCN2CCc2ccc(F)c(F)c2)cn1 ZINC001209246849 1050047331 /nfs/dbraw/zinc/04/73/31/1050047331.db2.gz VKCRYJWZVRXPGD-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CCCN(Cc1ccc(C)[nH]1)Cc1cccc(Br)c1 ZINC001209574833 1050069798 /nfs/dbraw/zinc/06/97/98/1050069798.db2.gz WPNLCMOSVMFVLF-UHFFFAOYSA-N 0 3 321.262 4.498 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1nc(CC)no1 ZINC000589611859 1050048838 /nfs/dbraw/zinc/04/88/38/1050048838.db2.gz PDLFWHJHRLBEHA-QGZVFWFLSA-N 0 3 315.461 4.255 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1nc(CC)no1 ZINC000589611857 1050049621 /nfs/dbraw/zinc/04/96/21/1050049621.db2.gz PDLFWHJHRLBEHA-KRWDZBQOSA-N 0 3 315.461 4.255 20 0 DIADHN Cc1ccccc1CN(C)Cc1cccc(C(=O)NCC(C)C)c1 ZINC000589597179 1050050420 /nfs/dbraw/zinc/05/04/20/1050050420.db2.gz XQJSOTHNRYYGBS-UHFFFAOYSA-N 0 3 324.468 4.013 20 0 DIADHN CC(C)CNC(=O)c1cccc(CN(C)[C@H](C)c2ccccc2)c1 ZINC000589595709 1050050550 /nfs/dbraw/zinc/05/05/50/1050050550.db2.gz ATKVIBNUYBDSNO-QGZVFWFLSA-N 0 3 324.468 4.265 20 0 DIADHN Clc1cccc([C@H]2CCN(CCOc3ccccc3)C2)c1 ZINC001209298590 1050051414 /nfs/dbraw/zinc/05/14/14/1050051414.db2.gz CKQJBVVQTAAQHO-INIZCTEOSA-N 0 3 301.817 4.208 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc12)c1ccc(OC(F)F)cc1 ZINC000589638931 1050051643 /nfs/dbraw/zinc/05/16/43/1050051643.db2.gz HFCQMXKPRJCNET-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1ccc(OC(F)F)cc1 ZINC000589638931 1050051648 /nfs/dbraw/zinc/05/16/48/1050051648.db2.gz HFCQMXKPRJCNET-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN CCCOc1ccc(CNCc2ccc3cccnc3c2)cc1 ZINC000589641276 1050052541 /nfs/dbraw/zinc/05/25/41/1050052541.db2.gz BQCVMWORSXHRMZ-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1n[nH]c2ccccc12 ZINC000589642497 1050052646 /nfs/dbraw/zinc/05/26/46/1050052646.db2.gz KGMIJGNKXKRHCM-INIZCTEOSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1[nH]nc2ccccc21 ZINC000589642497 1050052648 /nfs/dbraw/zinc/05/26/48/1050052648.db2.gz KGMIJGNKXKRHCM-INIZCTEOSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1ccccc1C1(CNCc2nccs2)CCCCC1 ZINC000589649830 1050054095 /nfs/dbraw/zinc/05/40/95/1050054095.db2.gz RIKWIDBINSZDCE-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(Oc3ccccc3)cc2)n[nH]1 ZINC000589649923 1050054419 /nfs/dbraw/zinc/05/44/19/1050054419.db2.gz YYBOLBIKKQQSOJ-HNNXBMFYSA-N 0 3 307.397 4.361 20 0 DIADHN COC1CCC(CN2CCn3cccc3[C@H]2c2ccccc2)CC1 ZINC001209392481 1050055434 /nfs/dbraw/zinc/05/54/34/1050055434.db2.gz FJMBEPJMDHVHHH-CNBHCMJISA-N 0 3 324.468 4.098 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@H](c4ccccc4)CC3)cn2c1 ZINC000589705163 1050055775 /nfs/dbraw/zinc/05/57/75/1050055775.db2.gz DILSPTDOKLEIKI-IBGZPJMESA-N 0 3 319.452 4.412 20 0 DIADHN COc1c(C)cnc(CN2CCC(c3ccccc3)CC2)c1C ZINC000589705829 1050056078 /nfs/dbraw/zinc/05/60/78/1050056078.db2.gz CQIQIZLLFTVIBU-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN c1cnc([C@H]2CCCN2CCC23CC4CC(CC(C4)C2)C3)nc1 ZINC001209448587 1050060715 /nfs/dbraw/zinc/06/07/15/1050060715.db2.gz WRNOXERSXIPUHO-ZCYBBYNQSA-N 0 3 311.473 4.220 20 0 DIADHN Cc1cccc2c(CN3CCOC[C@H]3C3CCCCC3)c[nH]c21 ZINC001209492094 1050064124 /nfs/dbraw/zinc/06/41/24/1050064124.db2.gz BUHVQFIHNMMIMP-IBGZPJMESA-N 0 3 312.457 4.257 20 0 DIADHN Cc1cccc(C)c1N1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC001209515932 1050065440 /nfs/dbraw/zinc/06/54/40/1050065440.db2.gz MBSIRRDHKRDNCQ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccc(Br)cc2)[nH]1 ZINC001209577928 1050069630 /nfs/dbraw/zinc/06/96/30/1050069630.db2.gz YTOUFELRTAXAPD-LBPRGKRZSA-N 0 3 307.235 4.279 20 0 DIADHN Clc1cnc2[nH]cc(CN3CC[C@H]4CCCC[C@H]4C3)c2c1 ZINC001209597218 1050070614 /nfs/dbraw/zinc/07/06/14/1050070614.db2.gz MUTRISICUBFQCS-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN Cc1nc2ccccn2c1CN1CCCC[C@@H]1c1ccccc1 ZINC001209647781 1050074015 /nfs/dbraw/zinc/07/40/15/1050074015.db2.gz PGEOPXBTBCYVHJ-GOSISDBHSA-N 0 3 305.425 4.370 20 0 DIADHN Clc1ccc2[nH]c(CN3CC[C@@H](c4ccccn4)C3)cc2c1 ZINC001209722951 1050079269 /nfs/dbraw/zinc/07/92/69/1050079269.db2.gz KNUDCSTWEKGPQO-CYBMUJFWSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1cccn2cc(CN(Cc3ccccc3)C(C)(C)C)nc12 ZINC001209733413 1050080719 /nfs/dbraw/zinc/08/07/19/1050080719.db2.gz LQLYRRQBBHFDHR-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1c[nH]nc1-c1ccccc1)CC2 ZINC001209761194 1050081811 /nfs/dbraw/zinc/08/18/11/1050081811.db2.gz FDYQTADPMXCDMU-UHFFFAOYSA-N 0 3 317.383 4.088 20 0 DIADHN CCN(C(=O)CN[C@@H](C)c1cc(C)ccc1C)c1ccccc1 ZINC000057568980 1050098125 /nfs/dbraw/zinc/09/81/25/1050098125.db2.gz PQLVSXZLIZKMIB-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN CCCC[C@@H](CNC(=O)OC(C)(C)C)N[C@H](C)c1ccco1 ZINC000224564108 1050103764 /nfs/dbraw/zinc/10/37/64/1050103764.db2.gz HWZCOJQRBPJCCP-KGLIPLIRSA-N 0 3 310.438 4.014 20 0 DIADHN COc1cc(Cl)ccc1Nc1ccc2c(c1)CN(C)CC2 ZINC001209907050 1050104295 /nfs/dbraw/zinc/10/42/95/1050104295.db2.gz ABYVCRNDDSAQJV-UHFFFAOYSA-N 0 3 302.805 4.080 20 0 DIADHN Clc1cccc(Cl)c1OCCN1CCC[C@H]1C1CC1 ZINC000590788543 1050107526 /nfs/dbraw/zinc/10/75/26/1050107526.db2.gz GHKZOCKJLIPHKD-AWEZNQCLSA-N 0 3 300.229 4.247 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H]3C3CC3)cs2)cc1 ZINC000590820372 1050109748 /nfs/dbraw/zinc/10/97/48/1050109748.db2.gz XGJKBFIBAIGEFA-INIZCTEOSA-N 0 3 302.418 4.324 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H]3C3CC3)cs2)cc1 ZINC000590820246 1050110023 /nfs/dbraw/zinc/11/00/23/1050110023.db2.gz PYPMHUOBVVHHEG-QGZVFWFLSA-N 0 3 314.454 4.193 20 0 DIADHN C[C@H]1c2ccccc2OCCN1Cc1cccc2cccnc21 ZINC000590939292 1050114470 /nfs/dbraw/zinc/11/44/70/1050114470.db2.gz YQHFRIRGTJZXRH-HNNXBMFYSA-N 0 3 304.393 4.190 20 0 DIADHN CN1CCc2ccc(Nc3ccc(O)c(C(F)(F)F)c3)cc2C1 ZINC001209988864 1050118307 /nfs/dbraw/zinc/11/83/07/1050118307.db2.gz MFQQQJZYMUCDPX-UHFFFAOYSA-N 0 3 322.330 4.143 20 0 DIADHN CCc1ccc(CNCc2cn(C)nc2-c2cccs2)s1 ZINC000093947699 1050125368 /nfs/dbraw/zinc/12/53/68/1050125368.db2.gz AOHXGYKYSAFKNG-UHFFFAOYSA-N 0 3 317.483 4.062 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4cccnc4c3)cccc21 ZINC001210057886 1050131765 /nfs/dbraw/zinc/13/17/65/1050131765.db2.gz LLPKJUKKOYBBQA-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN Cc1cn(-c2ccc(Nc3cc4cn[nH]c4cc3C)cc2F)cn1 ZINC001210107317 1050137495 /nfs/dbraw/zinc/13/74/95/1050137495.db2.gz YPRWZSFEWFNAAP-UHFFFAOYSA-N 0 3 321.359 4.248 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000591378420 1050143824 /nfs/dbraw/zinc/14/38/24/1050143824.db2.gz YUTBNAYFLCTNOB-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1nc(CCN2CC3(CC=CC3)[C@H]2c2ccccc2)cs1 ZINC000646118032 1050147823 /nfs/dbraw/zinc/14/78/23/1050147823.db2.gz CHGSBVKKFOVIPM-GOSISDBHSA-N 0 3 310.466 4.387 20 0 DIADHN C[C@H](CCC1CCCCC1)NC(=O)C(C)(C)N1CCCCC1 ZINC001136773877 1050152748 /nfs/dbraw/zinc/15/27/48/1050152748.db2.gz MJLHZGDUOOFVKM-MRXNPFEDSA-N 0 3 308.510 4.116 20 0 DIADHN Cn1c(Cl)cnc1CN[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000823757880 1050155103 /nfs/dbraw/zinc/15/51/03/1050155103.db2.gz QZXFIJBRSDPUGZ-OCCSQVGLSA-N 0 3 324.255 4.153 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccnc(Cl)c2F)C1 ZINC000823794922 1050157322 /nfs/dbraw/zinc/15/73/22/1050157322.db2.gz CNYKZBLFHJZQJV-UHFFFAOYSA-N 0 3 304.796 4.084 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN([C@@H](C)[C@@H](C)CC)C1CC1 ZINC000591475679 1050158189 /nfs/dbraw/zinc/15/81/89/1050158189.db2.gz VQYVUBVUGCBPEX-HOCLYGCPSA-N 0 3 316.489 4.395 20 0 DIADHN CC[C@@H](C(=O)N1CCCCC[C@H]1c1ccc(Cl)cc1)N(C)C ZINC001136785881 1050159282 /nfs/dbraw/zinc/15/92/82/1050159282.db2.gz LYYPKAHATYZNHM-IRXDYDNUSA-N 0 3 322.880 4.124 20 0 DIADHN C[C@@H](C(=O)N(C)c1ccc2ccccc2c1)N1CCCCCC1 ZINC000733873966 1050159364 /nfs/dbraw/zinc/15/93/64/1050159364.db2.gz AYSWSJKWQFVBCX-INIZCTEOSA-N 0 3 310.441 4.067 20 0 DIADHN CC(C)(Cc1ccccc1Cl)NCc1cc(F)ncc1F ZINC000823837218 1050159938 /nfs/dbraw/zinc/15/99/38/1050159938.db2.gz STKGXJYJNUBMKH-UHFFFAOYSA-N 0 3 310.775 4.124 20 0 DIADHN CC[C@H](C(=O)N1CCCCC[C@H]1c1ccc(Cl)cc1)N(C)C ZINC001136785882 1050159962 /nfs/dbraw/zinc/15/99/62/1050159962.db2.gz LYYPKAHATYZNHM-SJORKVTESA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](CNCc1ccnc(Cl)c1F)c1ccc(F)cc1F ZINC000823944230 1050171588 /nfs/dbraw/zinc/17/15/88/1050171588.db2.gz UFXVMOQUUHOLEB-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN Cc1cc2cc(N[C@H]3CCc4ccc(O)cc4C3)ccc2cn1 ZINC001171039766 1050173364 /nfs/dbraw/zinc/17/33/64/1050173364.db2.gz KVPNCWGPKVKGJW-SFHVURJKSA-N 0 3 304.393 4.218 20 0 DIADHN C[C@@H]1CC(CCNCc2coc(-c3ccccc3)n2)C[C@@H](C)O1 ZINC000573388707 1050174295 /nfs/dbraw/zinc/17/42/95/1050174295.db2.gz VENWFMDZAORTGG-HUUCEWRRSA-N 0 3 314.429 4.025 20 0 DIADHN COc1cc(C(C)=O)ccc1SC[C@H]1CCCCN1C(C)C ZINC000573393527 1050174714 /nfs/dbraw/zinc/17/47/14/1050174714.db2.gz ZQJPQUWGWDUNTE-MRXNPFEDSA-N 0 3 321.486 4.253 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCCc2occc2C1 ZINC000573403551 1050174828 /nfs/dbraw/zinc/17/48/28/1050174828.db2.gz XVJHOXRXCJDGQA-GFCCVEGCSA-N 0 3 307.340 4.390 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1ccc(F)c2Br)c1ccc[nH]1 ZINC000824050836 1050177667 /nfs/dbraw/zinc/17/76/67/1050177667.db2.gz LZVSMARPGXTWAU-YMTOWFKASA-N 0 3 323.209 4.254 20 0 DIADHN C[C@H](N[C@H]1CCc2c1ccc(F)c2Br)c1ccc[nH]1 ZINC000824050835 1050178098 /nfs/dbraw/zinc/17/80/98/1050178098.db2.gz LZVSMARPGXTWAU-XPTSAGLGSA-N 0 3 323.209 4.254 20 0 DIADHN Clc1cccc2c1CC[C@@H](N1CCOc3ccccc3C1)C2 ZINC001171049984 1050190826 /nfs/dbraw/zinc/19/08/26/1050190826.db2.gz OZGXSRINFJMHSC-MRXNPFEDSA-N 0 3 313.828 4.092 20 0 DIADHN Clc1cccc2c1CC[C@H](N1CCOc3ccccc3C1)C2 ZINC001171049981 1050191759 /nfs/dbraw/zinc/19/17/59/1050191759.db2.gz OZGXSRINFJMHSC-INIZCTEOSA-N 0 3 313.828 4.092 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc2c(c1)CCC(C)(C)O2 ZINC000770750966 1050192045 /nfs/dbraw/zinc/19/20/45/1050192045.db2.gz QJHDWLINHWAUCL-HNNXBMFYSA-N 0 3 310.441 4.344 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136862732 1050200392 /nfs/dbraw/zinc/20/03/92/1050200392.db2.gz YKYOFWIXRXSECT-MRXNPFEDSA-N 0 3 306.837 4.211 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnc3ccccn23)c1C ZINC000591712236 1050201060 /nfs/dbraw/zinc/20/10/60/1050201060.db2.gz PNTVESMILKLLFZ-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnc(N(C)C)s2)cc1 ZINC000591725209 1050201112 /nfs/dbraw/zinc/20/11/12/1050201112.db2.gz SXUHMXLRDZNHBS-UHFFFAOYSA-N 0 3 317.502 4.357 20 0 DIADHN Fc1cc(CNCCCCc2ccc(Cl)s2)c(F)cn1 ZINC000824351479 1050202039 /nfs/dbraw/zinc/20/20/39/1050202039.db2.gz UEYBXRYXYAIKFC-UHFFFAOYSA-N 0 3 316.804 4.187 20 0 DIADHN C[C@H]([C@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cnc[nH]1 ZINC001136873469 1050209223 /nfs/dbraw/zinc/20/92/23/1050209223.db2.gz RAGUGOGDJWQQQP-UZLBHIALSA-N 0 3 321.424 4.050 20 0 DIADHN Cc1ccn2c(CN(Cc3ccccc3)C(C)(C)C)cnc2c1 ZINC001136883824 1050214192 /nfs/dbraw/zinc/21/41/92/1050214192.db2.gz FLDYHNGFEGRLOB-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2nsc3ccccc23)C1(C)C ZINC001171058520 1050218870 /nfs/dbraw/zinc/21/88/70/1050218870.db2.gz WPIUMLMWHOOZDA-JKSUJKDBSA-N 0 3 318.486 4.368 20 0 DIADHN C[C@H]1CN(CCCOc2ccc(Cl)cc2)CCC1(F)F ZINC000573607870 1050219305 /nfs/dbraw/zinc/21/93/05/1050219305.db2.gz XQBBEOMONQKAPR-LBPRGKRZSA-N 0 3 303.780 4.086 20 0 DIADHN Clc1cnn([C@H]2CCN(Cc3ccc4ccccc4c3)C2)c1 ZINC000573610392 1050219987 /nfs/dbraw/zinc/21/99/87/1050219987.db2.gz MIEPHROBJWPVLY-SFHVURJKSA-N 0 3 311.816 4.137 20 0 DIADHN Cc1ccn2c(CN3CCC[C@H]3c3cccc(C)c3)cnc2c1 ZINC001136885072 1050220805 /nfs/dbraw/zinc/22/08/05/1050220805.db2.gz FAOBHFRHTRMANO-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Clc1cnn([C@@H]2CCN(Cc3ccc4ccccc4c3)C2)c1 ZINC000573610391 1050221431 /nfs/dbraw/zinc/22/14/31/1050221431.db2.gz MIEPHROBJWPVLY-GOSISDBHSA-N 0 3 311.816 4.137 20 0 DIADHN c1c2ccncc2sc1CN1CCC(Oc2ccccc2)CC1 ZINC000824575745 1050223201 /nfs/dbraw/zinc/22/32/01/1050223201.db2.gz PBHUUVKQFFYNRE-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CNCC2=CCCCC2)cn1 ZINC000824538637 1050226382 /nfs/dbraw/zinc/22/63/82/1050226382.db2.gz RCDPBHWQLREOSV-UHFFFAOYSA-N 0 3 317.433 4.019 20 0 DIADHN COc1c(C)cc(CN[C@@H]2C[C@@H](C)Oc3ccccc32)cc1C ZINC000824650631 1050229937 /nfs/dbraw/zinc/22/99/37/1050229937.db2.gz OHODDQVFIINQOX-CRAIPNDOSA-N 0 3 311.425 4.314 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1cncc2ccccc21 ZINC000824715075 1050236843 /nfs/dbraw/zinc/23/68/43/1050236843.db2.gz HLVJXDZEADXZLW-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1cncc2ccccc21 ZINC000824714820 1050237629 /nfs/dbraw/zinc/23/76/29/1050237629.db2.gz HLVJXDZEADXZLW-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@]1(CNCc2cc3cc(Cl)cnc3o2)CC1(Cl)Cl ZINC000824779704 1050243300 /nfs/dbraw/zinc/24/33/00/1050243300.db2.gz ANFWVXDAZBUZIZ-LBPRGKRZSA-N 0 3 319.619 4.155 20 0 DIADHN CCN(Cc1ccc(OCC(F)F)cc1)Cc1cccc(F)c1 ZINC001136940650 1050246498 /nfs/dbraw/zinc/24/64/98/1050246498.db2.gz HEIMNJIFFZSYGZ-UHFFFAOYSA-N 0 3 323.358 4.492 20 0 DIADHN Cc1ccc2c(CN3CC[C@@](CF)(C(F)(F)F)C3)c[nH]c2c1 ZINC001136969645 1050256007 /nfs/dbraw/zinc/25/60/07/1050256007.db2.gz WEKJDCDOBUTQKX-HNNXBMFYSA-N 0 3 314.326 4.200 20 0 DIADHN Cc1cccn2c(CN3CCC(C)(c4ccccc4)CC3)cnc12 ZINC001136966076 1050258636 /nfs/dbraw/zinc/25/86/36/1050258636.db2.gz MFPMEVNSXQCEIH-UHFFFAOYSA-N 0 3 319.452 4.196 20 0 DIADHN Cc1cccn2c(CN(Cc3ccccc3)C3CCC3)cnc12 ZINC001136966229 1050259295 /nfs/dbraw/zinc/25/92/95/1050259295.db2.gz UXBSAIUNZBGHOZ-UHFFFAOYSA-N 0 3 305.425 4.197 20 0 DIADHN Cc1ccc2c(CN3CCOC[C@H]3C3CCCCC3)c[nH]c2c1 ZINC001136975442 1050261262 /nfs/dbraw/zinc/26/12/62/1050261262.db2.gz GNTYQQDCGHCDQZ-FQEVSTJZSA-N 0 3 312.457 4.257 20 0 DIADHN CC(C)(C)OC(=O)C1(C)CCN(CCCCC(F)(F)F)CC1 ZINC000592158673 1050272298 /nfs/dbraw/zinc/27/22/98/1050272298.db2.gz IFAKREDQGAYJEF-UHFFFAOYSA-N 0 3 323.399 4.163 20 0 DIADHN CC(C)CC1CCN(Cc2cnc3c(cnn3C(C)C)c2)CC1 ZINC000760928656 1050272889 /nfs/dbraw/zinc/27/28/89/1050272889.db2.gz YLLXGJMCOZGNNO-UHFFFAOYSA-N 0 3 314.477 4.270 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCCC4(CC(F)C4)CC3)c21 ZINC001136985758 1050273078 /nfs/dbraw/zinc/27/30/78/1050273078.db2.gz ULVKZYFVNSHDRL-UHFFFAOYSA-N 0 3 304.384 4.411 20 0 DIADHN COC1CCC(N(C)Cc2cc3ccccc3nc2Cl)CC1 ZINC000734487692 1050277286 /nfs/dbraw/zinc/27/72/86/1050277286.db2.gz SWVCOXHAFFJDCL-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN COc1ncc(CN2CC[C@@H](c3ccccc3Cl)C2)cc1C ZINC001137006250 1050284438 /nfs/dbraw/zinc/28/44/38/1050284438.db2.gz KOIMYGKXUOWBHE-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN COc1ncc(CN2CC[C@H](c3ccccc3Cl)C2)cc1C ZINC001137006249 1050285229 /nfs/dbraw/zinc/28/52/29/1050285229.db2.gz KOIMYGKXUOWBHE-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CCCc1ccc(CNCc2ccc(OCC)c(F)c2)cc1 ZINC000592290793 1050288005 /nfs/dbraw/zinc/28/80/05/1050288005.db2.gz CWXUMNOWCWYBHH-UHFFFAOYSA-N 0 3 301.405 4.467 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@@H](Cc4ccccc4)C3)nc12 ZINC000592322581 1050288059 /nfs/dbraw/zinc/28/80/59/1050288059.db2.gz GDWCWMCULMCDQJ-IBGZPJMESA-N 0 3 319.452 4.097 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3ccc(Cl)nc3)C2)c1 ZINC000825289624 1050290844 /nfs/dbraw/zinc/29/08/44/1050290844.db2.gz BQQTWAHYEFQKCU-WKILWMFISA-N 0 3 316.832 4.122 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1ccc(CSC(F)F)o1 ZINC000825300165 1050294388 /nfs/dbraw/zinc/29/43/88/1050294388.db2.gz XIAXCZUPJSWIBA-ZIAGYGMSSA-N 0 3 319.417 4.173 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc([S@](C)=O)cc2)CC1 ZINC000592391714 1050297292 /nfs/dbraw/zinc/29/72/92/1050297292.db2.gz CQQCYZBEGQAYLW-NYHFZMIOSA-N 0 3 313.466 4.072 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc3c(c2)COC3)c1 ZINC001137028352 1050300345 /nfs/dbraw/zinc/30/03/45/1050300345.db2.gz JHUWYYGKDKSJCM-HXUWFJFHSA-N 0 3 309.409 4.063 20 0 DIADHN Cc1ccc(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)s1 ZINC000592523557 1050315037 /nfs/dbraw/zinc/31/50/37/1050315037.db2.gz OCWFMVGNBLDYNQ-UHFFFAOYSA-N 0 3 309.475 4.000 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2CCc3cc(Cl)ccc3C2)cs1 ZINC001171093077 1050318830 /nfs/dbraw/zinc/31/88/30/1050318830.db2.gz BSXNOOLTWZZVTJ-BMIGLBTASA-N 0 3 306.862 4.313 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(C[C@H](O)c2c(F)cccc2F)CC1 ZINC000734836297 1050325250 /nfs/dbraw/zinc/32/52/50/1050325250.db2.gz DWLHFWRKWWWASY-CJNGLKHVSA-N 0 3 311.416 4.146 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@H]1CCCO1)CC2 ZINC000178122565 1050331927 /nfs/dbraw/zinc/33/19/27/1050331927.db2.gz DTFRTMHDYPXQMF-AQNXPRMDSA-N 0 3 311.400 4.323 20 0 DIADHN CCC(=CC(=O)N[C@@H](CN1CCCCC1)c1ccccc1)CC ZINC001143679720 1050332942 /nfs/dbraw/zinc/33/29/42/1050332942.db2.gz QTNAMRBHODLTSR-IBGZPJMESA-N 0 3 314.473 4.076 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2C[C@H]3[C@H](CC3(F)F)C2)c1 ZINC001143672567 1050333541 /nfs/dbraw/zinc/33/35/41/1050333541.db2.gz LFNPFYWPVUTBJH-YPMHNXCESA-N 0 3 305.290 4.101 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CCC(n3ccnc3)CC2)c1 ZINC001143680603 1050336654 /nfs/dbraw/zinc/33/66/54/1050336654.db2.gz FKKCNPNSIFZFJV-UHFFFAOYSA-N 0 3 323.362 4.047 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@H](O)c1ccc(Cl)cc1 ZINC000772199210 1050341508 /nfs/dbraw/zinc/34/15/08/1050341508.db2.gz MMRHBFHOWRWTKT-KRWDZBQOSA-N 0 3 315.800 4.252 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3cc(F)ccc3F)CC2)c1 ZINC001171099244 1050350971 /nfs/dbraw/zinc/35/09/71/1050350971.db2.gz QBOQDQZHJMZFBQ-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Fc1ccc(CCN(C[C@H]2CC2(Cl)Cl)C2CC2)cc1 ZINC000735167678 1050352732 /nfs/dbraw/zinc/35/27/32/1050352732.db2.gz BUIPCBFVZVPHAQ-GFCCVEGCSA-N 0 3 302.220 4.026 20 0 DIADHN C[C@H](NCCc1ccc(N2CCCCC2)cc1)c1nccs1 ZINC000772442358 1050358261 /nfs/dbraw/zinc/35/82/61/1050358261.db2.gz FLMHTABGHHCZPB-HNNXBMFYSA-N 0 3 315.486 4.027 20 0 DIADHN c1cc2c(o1)CCCN(Cc1cccc(-c3cccnc3)c1)C2 ZINC000574082651 1050367672 /nfs/dbraw/zinc/36/76/72/1050367672.db2.gz WXMFVLHNJUEJEW-UHFFFAOYSA-N 0 3 304.393 4.290 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)c(Cl)c1)C[C@@H]1CCCCO1 ZINC001143809790 1050370918 /nfs/dbraw/zinc/37/09/18/1050370918.db2.gz CJSUECUSYXZTTN-LBPRGKRZSA-N 0 3 321.770 4.360 20 0 DIADHN c1c[nH]c([C@H]2CCCN2Cc2ccccc2N2CCCCC2)c1 ZINC000772598491 1050375575 /nfs/dbraw/zinc/37/55/75/1050375575.db2.gz LSZQRKYPBCOVQA-HXUWFJFHSA-N 0 3 309.457 4.342 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000178320671 1050377072 /nfs/dbraw/zinc/37/70/72/1050377072.db2.gz FCGCJRDAELZKQW-PXAZEXFGSA-N 0 3 303.425 4.306 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN[C@H](C)c1cc2ccccc2o1 ZINC000785524395 1050385718 /nfs/dbraw/zinc/38/57/18/1050385718.db2.gz HSTRLQAHWLKUMY-DYVFJYSZSA-N 0 3 313.372 4.265 20 0 DIADHN CCC(CC)(CNCc1ncc(C(C)(C)C)s1)SC ZINC000790474790 1050389657 /nfs/dbraw/zinc/38/96/57/1050389657.db2.gz WYORYOZOODDTSH-UHFFFAOYSA-N 0 3 300.537 4.452 20 0 DIADHN CCC[C@@H](N[C@H]1CCCc2nc(C)ncc21)c1ccsc1 ZINC000785567060 1050394187 /nfs/dbraw/zinc/39/41/87/1050394187.db2.gz ZMQVRODKAOKVBH-WBVHZDCISA-N 0 3 301.459 4.355 20 0 DIADHN O=C1CCN([C@@H]2CCc3cc(Cl)ccc3C2)[C@@H]2CCCC[C@H]12 ZINC001171115899 1050395219 /nfs/dbraw/zinc/39/52/19/1050395219.db2.gz IRANMMPTKOGOMJ-FGTMMUONSA-N 0 3 317.860 4.031 20 0 DIADHN CC(C)CN(CCc1ccc(F)cc1)Cc1nccn1C(C)C ZINC000593288986 1050401385 /nfs/dbraw/zinc/40/13/85/1050401385.db2.gz AYCMSPCBOIEEEB-UHFFFAOYSA-N 0 3 317.452 4.304 20 0 DIADHN Cc1c(CN(CCO)Cc2ccccc2)cccc1C(F)(F)F ZINC001143918308 1050406034 /nfs/dbraw/zinc/40/60/34/1050406034.db2.gz ZLJVVAOHXAUSLS-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN Cc1c(CN2CCC3(CCOC3)CC2)cccc1C(F)(F)F ZINC001143923280 1050408604 /nfs/dbraw/zinc/40/86/04/1050408604.db2.gz UNSQKXCNHHYJFV-UHFFFAOYSA-N 0 3 313.363 4.016 20 0 DIADHN COCCC1CCN(Cc2cccc(C(F)(F)F)c2C)CC1 ZINC001143924420 1050408858 /nfs/dbraw/zinc/40/88/58/1050408858.db2.gz HAJUNBNOISHSAL-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN COC1(C)CCN(Cc2cccc(C(F)(F)F)c2C)CC1 ZINC001143923243 1050409153 /nfs/dbraw/zinc/40/91/53/1050409153.db2.gz SQSKLUTWHCGHFK-UHFFFAOYSA-N 0 3 301.352 4.015 20 0 DIADHN CSC1CCN(Cc2cccc(C(F)(F)F)c2C)CC1 ZINC001143930451 1050412352 /nfs/dbraw/zinc/41/23/52/1050412352.db2.gz RQSLHPYZRFNDCB-UHFFFAOYSA-N 0 3 303.393 4.341 20 0 DIADHN Cc1cnc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)cn1 ZINC000844381690 1050414316 /nfs/dbraw/zinc/41/43/16/1050414316.db2.gz QYZLRLLXLICROB-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN Clc1cccc(OCCN2CCCc3sccc3C2)c1 ZINC000593440488 1050414619 /nfs/dbraw/zinc/41/46/19/1050414619.db2.gz SJVFAAXZSRRCAM-UHFFFAOYSA-N 0 3 307.846 4.229 20 0 DIADHN OCC[C@@H](NCc1ccc(C2CCC2)cc1)c1cccs1 ZINC000785794714 1050415747 /nfs/dbraw/zinc/41/57/47/1050415747.db2.gz QUALVOQPVFDFLW-QGZVFWFLSA-N 0 3 301.455 4.229 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@]2(CC2(F)F)C1 ZINC000844438239 1050424819 /nfs/dbraw/zinc/42/48/19/1050424819.db2.gz MWBQEEDOCATODL-AWEZNQCLSA-N 0 3 301.377 4.039 20 0 DIADHN CO[C@H](CN1CCC[C@@]2(CC2(F)F)C1)c1ccc(Cl)cc1 ZINC000844441625 1050426298 /nfs/dbraw/zinc/42/62/98/1050426298.db2.gz PQRVIQFQYJMDMV-HUUCEWRRSA-N 0 3 315.791 4.149 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(-c2ccccc2)cc1)N(CC)CC ZINC000735804286 1050427536 /nfs/dbraw/zinc/42/75/36/1050427536.db2.gz XJRMTCATWMKYQK-LJQANCHMSA-N 0 3 310.441 4.413 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC[C@@]3(CC3(F)F)C2)c1 ZINC000844444935 1050429907 /nfs/dbraw/zinc/42/99/07/1050429907.db2.gz VROMCLJMMMDKJB-CYBMUJFWSA-N 0 3 321.289 4.206 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@@]4(CC4(F)F)C3)cc2c1 ZINC000844444760 1050429978 /nfs/dbraw/zinc/42/99/78/1050429978.db2.gz UAIACYPCPVUXMZ-QGZVFWFLSA-N 0 3 302.368 4.164 20 0 DIADHN C[C@@H](c1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1)C(F)(F)F ZINC000844460265 1050433576 /nfs/dbraw/zinc/43/35/76/1050433576.db2.gz FBNWJOWSAXZEHV-TVYUQYBPSA-N 0 3 305.290 4.049 20 0 DIADHN CN1Cc2c(Nc3nccs3)cccc2[C@H](c2ccccc2)C1 ZINC001210993548 1050441396 /nfs/dbraw/zinc/44/13/96/1050441396.db2.gz CTAYCRAJSDBMDB-INIZCTEOSA-N 0 3 321.449 4.464 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC[C@@H](OC(C)C)C3)s2)cc1 ZINC000574324381 1050441674 /nfs/dbraw/zinc/44/16/74/1050441674.db2.gz YFDYNZYOEJEVJQ-MRXNPFEDSA-N 0 3 316.470 4.118 20 0 DIADHN CCOc1c(F)cc(CN(C)[C@H]2CCc3ccccc32)cc1F ZINC001144036730 1050448249 /nfs/dbraw/zinc/44/82/49/1050448249.db2.gz RMNVBEKOXFGNAS-SFHVURJKSA-N 0 3 317.379 4.483 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1CCCOC(F)(F)F ZINC001171134361 1050463125 /nfs/dbraw/zinc/46/31/25/1050463125.db2.gz XSEAKZRHGNIOFQ-CQSZACIVSA-N 0 3 305.315 4.198 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2c(C)cccc2F)cc1 ZINC000766216141 1050465436 /nfs/dbraw/zinc/46/54/36/1050465436.db2.gz LMNTXRZHLCEEIR-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3ccccc3)cc2)CC1 ZINC000736348158 1050468491 /nfs/dbraw/zinc/46/84/91/1050468491.db2.gz NVLUTCKWZNYTBX-KRWDZBQOSA-N 0 3 309.457 4.002 20 0 DIADHN Fc1cc(Cl)ccc1CNC1CC(OCc2ccccc2)C1 ZINC000716253190 1050469011 /nfs/dbraw/zinc/46/90/11/1050469011.db2.gz IIQAZKSVJLNVOG-UHFFFAOYSA-N 0 3 319.807 4.317 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNCCCC1CC1 ZINC000736361891 1050469317 /nfs/dbraw/zinc/46/93/17/1050469317.db2.gz XUJXDYZQHOUOOY-UHFFFAOYSA-N 0 3 303.837 4.114 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3cccc(O)c3C)C2)cc1 ZINC001144118968 1050470980 /nfs/dbraw/zinc/47/09/80/1050470980.db2.gz NHDSQQUFMQELHQ-IBGZPJMESA-N 0 3 311.425 4.052 20 0 DIADHN CCc1cc(CN2CCC[C@H]2c2ccccn2)cc(CC)c1O ZINC001144148568 1050481830 /nfs/dbraw/zinc/48/18/30/1050481830.db2.gz VJKJKWAQAFYRNC-IBGZPJMESA-N 0 3 310.441 4.249 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc3cc[nH]c3n2)cc1 ZINC001144179825 1050486775 /nfs/dbraw/zinc/48/67/75/1050486775.db2.gz VJTJWYYSQVFMKC-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC[C@@H](c4ccccc4)C3)c2c1 ZINC001144197169 1050490517 /nfs/dbraw/zinc/49/05/17/1050490517.db2.gz PIXMQJLSBYYBPF-OAHLLOKOSA-N 0 3 309.388 4.082 20 0 DIADHN CC[C@@H](NCC(C)(C)NC(=O)OC(C)(C)C)c1ccccc1F ZINC000226608859 1050490630 /nfs/dbraw/zinc/49/06/30/1050490630.db2.gz HEEKBCPIVOHPTB-OAHLLOKOSA-N 0 3 324.440 4.170 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)c(Cl)cc2C1)c1ccno1 ZINC001171150760 1050495253 /nfs/dbraw/zinc/49/52/53/1050495253.db2.gz SXHWLLUKAOGKJU-CABZTGNLSA-N 0 3 311.212 4.190 20 0 DIADHN c1ccn(-c2ccc(CN3CCC[C@H]3c3cccnc3)cc2)c1 ZINC001144238527 1050499535 /nfs/dbraw/zinc/49/95/35/1050499535.db2.gz RYTZFSWYBWIOJC-FQEVSTJZSA-N 0 3 303.409 4.209 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(O)cc2)cc1Br ZINC000574726887 1050506436 /nfs/dbraw/zinc/50/64/36/1050506436.db2.gz SMBWHUWACUJGMJ-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN CC(C)[C@H](Nc1ccc(CN(C)C)cn1)c1ccc(F)cc1 ZINC001170064236 1050516901 /nfs/dbraw/zinc/51/69/01/1050516901.db2.gz ZCHXQDWUSDIZDR-SFHVURJKSA-N 0 3 301.409 4.092 20 0 DIADHN CC(C)[C@@H](Nc1ccc(CN(C)C)cn1)c1ccc(F)cc1 ZINC001170064235 1050517272 /nfs/dbraw/zinc/51/72/72/1050517272.db2.gz ZCHXQDWUSDIZDR-GOSISDBHSA-N 0 3 301.409 4.092 20 0 DIADHN c1ccc2c(c1)cccc2Cc1noc([C@@H]2CC[C@@H](C3CC3)N2)n1 ZINC000844908626 1050518707 /nfs/dbraw/zinc/51/87/07/1050518707.db2.gz DEVYFDKRUPWEEP-ROUUACIJSA-N 0 3 319.408 4.017 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@@H](NCc1cscc1C)CCC2 ZINC000594499453 1050519675 /nfs/dbraw/zinc/51/96/75/1050519675.db2.gz HBZLPECSHNRYLA-KRWDZBQOSA-N 0 3 315.438 4.010 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1csc(Cl)c1 ZINC000786917376 1050528086 /nfs/dbraw/zinc/52/80/86/1050528086.db2.gz OVXKJFLXYCWUKA-PRHODGIISA-N 0 3 317.788 4.064 20 0 DIADHN C[C@@H](NC[C@H]1COC2(CCCCC2)O1)c1csc(Cl)c1 ZINC000786923774 1050533302 /nfs/dbraw/zinc/53/33/02/1050533302.db2.gz VWFFEWVJFKDATH-YPMHNXCESA-N 0 3 315.866 4.128 20 0 DIADHN Brc1c(CN2C[C@@H]3C[C@@H]3C2)ccc2ccccc21 ZINC001144307663 1050538952 /nfs/dbraw/zinc/53/89/52/1050538952.db2.gz AECMZOQYFDSEJD-OKILXGFUSA-N 0 3 302.215 4.054 20 0 DIADHN CCN(Cc1ccc(F)nc1C)[C@@H](C)c1cccc(OC)c1 ZINC000787095837 1050551209 /nfs/dbraw/zinc/55/12/09/1050551209.db2.gz DTHMQDMHOBTTHS-AWEZNQCLSA-N 0 3 302.393 4.121 20 0 DIADHN Cc1cc(C)c(CNC(C)(C)C(=O)Nc2ccccc2)cc1C ZINC000594784561 1050552377 /nfs/dbraw/zinc/55/23/77/1050552377.db2.gz VXFUZEVYIXMQRE-UHFFFAOYSA-N 0 3 310.441 4.119 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@@H]1CC=CCC1 ZINC001168405690 1050553524 /nfs/dbraw/zinc/55/35/24/1050553524.db2.gz ZSBVWLXCFNZUAT-DLBZAZTESA-N 0 3 322.452 4.455 20 0 DIADHN Cc1cc(-c2noc(C3CCN(C4CC4)CC3)n2)ccc1Cl ZINC001211432571 1050564035 /nfs/dbraw/zinc/56/40/35/1050564035.db2.gz NTGDBGAJQKERPE-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN CCN(Cc1cc(Cl)cs1)C[C@H](O)c1ccc(C)cc1 ZINC000787183302 1050569517 /nfs/dbraw/zinc/56/95/17/1050569517.db2.gz STGRUZCJKCRYEC-INIZCTEOSA-N 0 3 309.862 4.265 20 0 DIADHN Cc1nc2cc(Nc3ccc(-n4ccnc4C)cc3)ccc2[nH]1 ZINC001213074470 1050576854 /nfs/dbraw/zinc/57/68/54/1050576854.db2.gz WBTPLHYSLMYUHC-UHFFFAOYSA-N 0 3 303.369 4.109 20 0 DIADHN Cc1nc2ccc(Nc3ccc(-n4ccnc4C)cc3)cc2[nH]1 ZINC001213074470 1050576868 /nfs/dbraw/zinc/57/68/68/1050576868.db2.gz WBTPLHYSLMYUHC-UHFFFAOYSA-N 0 3 303.369 4.109 20 0 DIADHN Cc1nc2ccc(Nc3cccc4c3CC(C)(C)C(=O)N4)cc2[nH]1 ZINC001213077077 1050580236 /nfs/dbraw/zinc/58/02/36/1050580236.db2.gz DLYHGBPDHKXRTH-UHFFFAOYSA-N 0 3 320.396 4.136 20 0 DIADHN COc1cc(Nc2ccc3nc(C)[nH]c3c2)c(Cl)c(OC)c1 ZINC001213075658 1050581327 /nfs/dbraw/zinc/58/13/27/1050581327.db2.gz YIKKEJJGKGUUKG-UHFFFAOYSA-N 0 3 317.776 4.286 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1ccc(F)nc1C ZINC000787266518 1050582707 /nfs/dbraw/zinc/58/27/07/1050582707.db2.gz DUDKNMMSKYPNAT-SJKOYZFVSA-N 0 3 322.811 4.048 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1ccc(F)nc1C ZINC000787266515 1050583731 /nfs/dbraw/zinc/58/37/31/1050583731.db2.gz DUDKNMMSKYPNAT-SJCJKPOMSA-N 0 3 322.811 4.048 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1ccc(F)nc1C ZINC000787266513 1050584004 /nfs/dbraw/zinc/58/40/04/1050584004.db2.gz DUDKNMMSKYPNAT-PXAZEXFGSA-N 0 3 322.811 4.048 20 0 DIADHN CCOc1ccccc1CN[C@H](C)c1cccc(-n2ccnc2)c1 ZINC000787290484 1050584275 /nfs/dbraw/zinc/58/42/75/1050584275.db2.gz DVVFJIRLEPGYDT-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN CCCCCCC(=O)N(CCN1CCCC1)c1ccccc1 ZINC000739649986 1050586133 /nfs/dbraw/zinc/58/61/33/1050586133.db2.gz SRVQUCJVKQNAHL-UHFFFAOYSA-N 0 3 302.462 4.086 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1cccc(Cl)n1 ZINC000746678473 1050591462 /nfs/dbraw/zinc/59/14/62/1050591462.db2.gz XUIPKGOEHAQYJM-HOCLYGCPSA-N 0 3 300.833 4.188 20 0 DIADHN CC(C)CCC[C@@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC001170072887 1050596781 /nfs/dbraw/zinc/59/67/81/1050596781.db2.gz DRHSIIBWSKRFDG-IUODEOHRSA-N 0 3 301.833 4.317 20 0 DIADHN COc1ccc(CNCc2cncc3ccccc32)cc1Cl ZINC000772928631 1050598862 /nfs/dbraw/zinc/59/88/62/1050598862.db2.gz NKZFCPAEKNJATO-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN COc1ccc(CN2CC3CC(C3)C2)cc1OCc1ccccc1 ZINC001144498902 1050602048 /nfs/dbraw/zinc/60/20/48/1050602048.db2.gz JVPMTFGGLMYJLZ-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN COc1ccc(CN2CCCCC2)cc1OCc1ccccc1 ZINC001144495189 1050602649 /nfs/dbraw/zinc/60/26/49/1050602649.db2.gz SAWKLWOGZJIACT-UHFFFAOYSA-N 0 3 311.425 4.260 20 0 DIADHN COc1cc(C)c(Nc2ccnc(C(C)(C)C)c2)cc1OC ZINC001213166517 1050604955 /nfs/dbraw/zinc/60/49/55/1050604955.db2.gz GSRAIEZDKKLSTL-UHFFFAOYSA-N 0 3 300.402 4.448 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc(Cn3cccn3)c2)ccn1 ZINC001213166847 1050605062 /nfs/dbraw/zinc/60/50/62/1050605062.db2.gz VDEJFQDYMHXSQC-UHFFFAOYSA-N 0 3 306.413 4.368 20 0 DIADHN COC(=O)c1cc(Nc2ccnc(C(C)(C)C)c2)ccc1F ZINC001213166493 1050605549 /nfs/dbraw/zinc/60/55/49/1050605549.db2.gz FHGVAOAWOWXEQR-UHFFFAOYSA-N 0 3 302.349 4.048 20 0 DIADHN Cc1cccc(CCN(C)Cc2cccnc2C(F)(F)F)c1 ZINC001144531160 1050608062 /nfs/dbraw/zinc/60/80/62/1050608062.db2.gz OFSMCASHDYOGPJ-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN COC(=O)Cc1cc(Nc2ccnc(C(C)(C)C)c2)ccc1C ZINC001213170383 1050608077 /nfs/dbraw/zinc/60/80/77/1050608077.db2.gz BMBHVFYRGLYELZ-UHFFFAOYSA-N 0 3 312.413 4.147 20 0 DIADHN Cc1noc(C)c1CN(C)CCc1ccc(C(C)(C)C)cc1 ZINC000787772562 1050621491 /nfs/dbraw/zinc/62/14/91/1050621491.db2.gz IZYLXYYGPJTLCV-UHFFFAOYSA-N 0 3 300.446 4.263 20 0 DIADHN CC(C)(C)SCCNCc1ccc(Sc2ccccn2)o1 ZINC000787776212 1050622000 /nfs/dbraw/zinc/62/20/00/1050622000.db2.gz QEXZNRVLCCIEPJ-UHFFFAOYSA-N 0 3 322.499 4.447 20 0 DIADHN CC1=C[C@@H](C)C[C@H](CNCc2coc(-c3cccs3)n2)C1 ZINC000574964441 1050623243 /nfs/dbraw/zinc/62/32/43/1050623243.db2.gz IRAWLOKNTHMFGC-OCCSQVGLSA-N 0 3 302.443 4.485 20 0 DIADHN Cc1cc(CN(C)CCC2CC2)c(F)cc1Br ZINC001144591142 1050624701 /nfs/dbraw/zinc/62/47/01/1050624701.db2.gz RTOTXJBOQWJBSH-UHFFFAOYSA-N 0 3 300.215 4.129 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cn1cc(Cl)cn1 ZINC000746710592 1050629169 /nfs/dbraw/zinc/62/91/69/1050629169.db2.gz FTKSYWOODPIFIC-IAGOWNOFSA-N 0 3 303.837 4.152 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)N[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000845949703 1050632319 /nfs/dbraw/zinc/63/23/19/1050632319.db2.gz WCBMPJLQYFHVPY-HONMWMINSA-N 0 3 323.795 4.161 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(Cc2cc3cnccc3o2)C1 ZINC000845955823 1050635910 /nfs/dbraw/zinc/63/59/10/1050635910.db2.gz SFDOGCNORBWXRB-GFCCVEGCSA-N 0 3 314.335 4.096 20 0 DIADHN c1ccc([C@H]2CN(CCOC3CCCCCC3)CCCO2)cc1 ZINC000740876848 1050637535 /nfs/dbraw/zinc/63/75/35/1050637535.db2.gz JVDJDFWWWGFMFC-HXUWFJFHSA-N 0 3 317.473 4.189 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC001144657354 1050641296 /nfs/dbraw/zinc/64/12/96/1050641296.db2.gz SQWZXHOJXXECGL-UHFFFAOYSA-N 0 3 324.553 4.275 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000575202622 1050647474 /nfs/dbraw/zinc/64/74/74/1050647474.db2.gz SDDMWONVGFFXLH-BEFAXECRSA-N 0 3 300.421 4.088 20 0 DIADHN Oc1ccc(CN[C@H]2CCSc3ccc(Cl)cc32)cc1 ZINC000035047139 1050647790 /nfs/dbraw/zinc/64/77/90/1050647790.db2.gz BLCPLWJNDLUPBD-HNNXBMFYSA-N 0 3 305.830 4.372 20 0 DIADHN FC1(F)C[C@]12CCCN(Cc1ccccc1OCC1CC1)C2 ZINC000846107946 1050662515 /nfs/dbraw/zinc/66/25/15/1050662515.db2.gz MKEYSEJAGBPPQQ-KRWDZBQOSA-N 0 3 307.384 4.097 20 0 DIADHN C[C@@H](CCNCc1occc1Br)c1ccccc1 ZINC000227369469 1050663229 /nfs/dbraw/zinc/66/32/29/1050663229.db2.gz JEJGMAPKNFIOOC-LBPRGKRZSA-N 0 3 308.219 4.326 20 0 DIADHN C[C@@H](NCc1cc(Br)cnc1Cl)C(C)(C)C ZINC000846108800 1050664403 /nfs/dbraw/zinc/66/44/03/1050664403.db2.gz QOVQPONOSNOMOO-MRVPVSSYSA-N 0 3 305.647 4.022 20 0 DIADHN Cc1nc([C@@H](C)NCCC[C@H]2CC2(Cl)Cl)c(C)s1 ZINC000846111880 1050664758 /nfs/dbraw/zinc/66/47/58/1050664758.db2.gz WQBKMZYYHJSQJO-KCJUWKMLSA-N 0 3 307.290 4.385 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2cccc3cc[nH]c32)CC1 ZINC001144717523 1050668042 /nfs/dbraw/zinc/66/80/42/1050668042.db2.gz VNMVYLZQKYEMQU-KRWDZBQOSA-N 0 3 319.452 4.047 20 0 DIADHN ClC1(Cl)C[C@H]1CCCNCc1csc(C2CC2)n1 ZINC000846104013 1050669940 /nfs/dbraw/zinc/66/99/40/1050669940.db2.gz BQIROYTVDYFBJD-SNVBAGLBSA-N 0 3 305.274 4.084 20 0 DIADHN COc1c(F)ccc(F)c1CN(C)C12CC3CC(CC(C3)C1)C2 ZINC001144754417 1050698943 /nfs/dbraw/zinc/69/89/43/1050698943.db2.gz IJMAWPSRGXGQAJ-UHFFFAOYSA-N 0 3 321.411 4.374 20 0 DIADHN CC(C)[C@@H](NCc1ccsc1Cl)[C@@H](O)c1ccccc1 ZINC000788534543 1050705621 /nfs/dbraw/zinc/70/56/21/1050705621.db2.gz BBWJYLIPKKBSQV-CABCVRRESA-N 0 3 309.862 4.249 20 0 DIADHN COC(=O)c1ccc(CN2C[C@H](C)C[C@H]2c2ccccc2)s1 ZINC001170085685 1050705860 /nfs/dbraw/zinc/70/58/60/1050705860.db2.gz LQZFILBOKVRZPF-CJNGLKHVSA-N 0 3 315.438 4.118 20 0 DIADHN COC(=O)c1ccc(CN2C[C@H](C)C[C@@H]2c2ccccc2)s1 ZINC001170085686 1050705958 /nfs/dbraw/zinc/70/59/58/1050705958.db2.gz LQZFILBOKVRZPF-CZUORRHYSA-N 0 3 315.438 4.118 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nc(Br)ccc1F ZINC000788565914 1050706302 /nfs/dbraw/zinc/70/63/02/1050706302.db2.gz WSSFWSVMCBIXCG-AEJSXWLSSA-N 0 3 303.219 4.068 20 0 DIADHN C[C@@H](Cc1cccs1)N[C@H]1COCc2cccc(Cl)c21 ZINC000788650104 1050715943 /nfs/dbraw/zinc/71/59/43/1050715943.db2.gz WFVNNLVCLMPDQP-NHYWBVRUSA-N 0 3 307.846 4.194 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@@H]2COCc3cccc(Cl)c32)o1 ZINC000788612559 1050717121 /nfs/dbraw/zinc/71/71/21/1050717121.db2.gz MWYDDYBHSSIBGP-SJKOYZFVSA-N 0 3 319.832 4.424 20 0 DIADHN COCCN(CCSC(C)C)Cc1ccc(F)c(Cl)c1 ZINC001171227782 1050722782 /nfs/dbraw/zinc/72/27/82/1050722782.db2.gz DCXSRWDOMBHKJG-UHFFFAOYSA-N 0 3 319.873 4.069 20 0 DIADHN C[C@H](N[C@@H]1CCCOc2cc(Cl)ccc21)c1cc[nH]c(=O)c1 ZINC000788691954 1050727379 /nfs/dbraw/zinc/72/73/79/1050727379.db2.gz NYNASSCQRKNCCB-XHDPSFHLSA-N 0 3 318.804 4.005 20 0 DIADHN CC(C)C[C@H](C)N[C@@H](C)c1nc(Br)ccc1F ZINC000788669640 1050730065 /nfs/dbraw/zinc/73/00/65/1050730065.db2.gz FKLVXQLBOBPCIH-UWVGGRQHSA-N 0 3 303.219 4.068 20 0 DIADHN C[C@H]1CN(Cc2ccnc(Cl)c2)CC[C@H]1c1ccccc1 ZINC000741806913 1050741394 /nfs/dbraw/zinc/74/13/94/1050741394.db2.gz FTRSTEKQVACDIK-WMLDXEAASA-N 0 3 300.833 4.361 20 0 DIADHN Cc1csc([C@H](C)CN[C@H]2COCc3cccc(Cl)c32)n1 ZINC000788741837 1050744315 /nfs/dbraw/zinc/74/43/15/1050744315.db2.gz VYRZQSXVJVISLP-YGRLFVJLSA-N 0 3 322.861 4.070 20 0 DIADHN Clc1cccc2c1[C@@H](N[C@H]1C[C@H](c3ccccc3)C1)COC2 ZINC000788803292 1050748349 /nfs/dbraw/zinc/74/83/49/1050748349.db2.gz REMDXLGRBQOCOE-BQFCYCMXSA-N 0 3 313.828 4.447 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788802815 1050749370 /nfs/dbraw/zinc/74/93/70/1050749370.db2.gz FNRMOTJRJGBBIQ-VWYCJHECSA-N 0 3 315.230 4.070 20 0 DIADHN FC1(F)CCC[C@@H](CN[C@H]2COCc3cccc(Cl)c32)C1 ZINC000788822038 1050756511 /nfs/dbraw/zinc/75/65/11/1050756511.db2.gz IPDCFHIOSSWENT-RISCZKNCSA-N 0 3 315.791 4.326 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cnccc3C3CC3)cccc21 ZINC001213880879 1050774753 /nfs/dbraw/zinc/77/47/53/1050774753.db2.gz GYVZOQOIZOLWOU-SFHVURJKSA-N 0 3 309.413 4.088 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000742075849 1050800953 /nfs/dbraw/zinc/80/09/53/1050800953.db2.gz HASMYGWNTGZMKN-QGZVFWFLSA-N 0 3 310.526 4.172 20 0 DIADHN CCCN(CC1CCCCC1)CN1C(=O)C[C@H]1c1ccccc1 ZINC000060445630 1050808295 /nfs/dbraw/zinc/80/82/95/1050808295.db2.gz ZZQOAIGOABOCHC-IBGZPJMESA-N 0 3 314.473 4.210 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2ccccc2C(F)(F)F)O1 ZINC000789318731 1050813100 /nfs/dbraw/zinc/81/31/00/1050813100.db2.gz KPAMYQIWMRZGNL-WWGRRREGSA-N 0 3 313.363 4.410 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@@H](O)c1ccc(CC)cc1 ZINC000789358769 1050814431 /nfs/dbraw/zinc/81/44/31/1050814431.db2.gz SSUMZPJBVQLAFN-HNAYVOBHSA-N 0 3 313.441 4.032 20 0 DIADHN O[C@@H](CC1CCCC1)CN1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000789326615 1050816192 /nfs/dbraw/zinc/81/61/92/1050816192.db2.gz QLUFMNROKROPMG-YJBOKZPZSA-N 0 3 309.400 4.043 20 0 DIADHN Cc1ccc(N2CCC[C@H](NCc3cc(F)ccc3F)C2)cc1 ZINC000742380901 1050825622 /nfs/dbraw/zinc/82/56/22/1050825622.db2.gz RVEQUISFZUYJOU-KRWDZBQOSA-N 0 3 316.395 4.032 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCOC2CCC2)o1 ZINC000742386829 1050828275 /nfs/dbraw/zinc/82/82/75/1050828275.db2.gz KLDKLEIVYCWKJY-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000742375432 1050837357 /nfs/dbraw/zinc/83/73/57/1050837357.db2.gz NHRQFNKOIJNUDK-HIFRSBDPSA-N 0 3 309.885 4.255 20 0 DIADHN CC(C)CC[C@H](NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)C(C)(C)C ZINC001146764725 1050839358 /nfs/dbraw/zinc/83/93/58/1050839358.db2.gz GRZHDWZGRTWHGK-QAETUUGQSA-N 0 3 310.526 4.215 20 0 DIADHN CCCCC[C@H](C)CC(=O)NC[C@H](c1ccccc1)N(C)C ZINC000742474094 1050863001 /nfs/dbraw/zinc/86/30/01/1050863001.db2.gz SNJMECJPQJLZPA-FUHWJXTLSA-N 0 3 304.478 4.012 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCCC[C@H]1CC(C)C ZINC001147276998 1050873656 /nfs/dbraw/zinc/87/36/56/1050873656.db2.gz ODNACEQEEKKACG-SFHVURJKSA-N 0 3 310.526 4.316 20 0 DIADHN CC1(C)CCN(Cc2ccc(CSC(F)F)o2)CCS1 ZINC000789764573 1050879966 /nfs/dbraw/zinc/87/99/66/1050879966.db2.gz ZJFDNQDRKCBLDP-UHFFFAOYSA-N 0 3 321.458 4.453 20 0 DIADHN Cc1ccc(F)cc1CN1C[C@H](C(=O)OC(C)(C)C)CC[C@@H]1C ZINC001171306278 1050881911 /nfs/dbraw/zinc/88/19/11/1050881911.db2.gz GLBAYGDFWCUHIN-LSDHHAIUSA-N 0 3 321.436 4.076 20 0 DIADHN Cc1cccc(C(=O)N(c2ccccc2)C2CCN(C)CC2)c1C ZINC000601961690 1050884274 /nfs/dbraw/zinc/88/42/74/1050884274.db2.gz GYLHGBPHIURZBD-UHFFFAOYSA-N 0 3 322.452 4.044 20 0 DIADHN Cc1cc(C)cc(C(=O)N(c2ccccc2)C2CCN(C)CC2)c1 ZINC000601963287 1050886719 /nfs/dbraw/zinc/88/67/19/1050886719.db2.gz SPUCXOGLEDZQAB-UHFFFAOYSA-N 0 3 322.452 4.044 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cccc3c2OCCO3)c1 ZINC000746855181 1050886805 /nfs/dbraw/zinc/88/68/05/1050886805.db2.gz LFWLYDIVQHQIRE-SFHVURJKSA-N 0 3 309.409 4.103 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1nc2ccc(Br)cc2o1 ZINC001171308689 1050888498 /nfs/dbraw/zinc/88/84/98/1050888498.db2.gz SPNALHIRWFYHPW-OUAUKWLOSA-N 0 3 323.234 4.067 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1[C@H]1CC[C@H](C)C1 ZINC001170124686 1050903059 /nfs/dbraw/zinc/90/30/59/1050903059.db2.gz HDCYHUSFRHPDKC-WBAXXEDZSA-N 0 3 314.473 4.285 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1[C@@H]1CC[C@H](C)C1 ZINC001170124684 1050903847 /nfs/dbraw/zinc/90/38/47/1050903847.db2.gz HDCYHUSFRHPDKC-QGTPRVQTSA-N 0 3 314.473 4.285 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)N1CCCN(CC)CC1 ZINC000742651488 1050904729 /nfs/dbraw/zinc/90/47/29/1050904729.db2.gz LHXYJTNFSDNLRC-LJQANCHMSA-N 0 3 310.526 4.317 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H](c2nc3ccccc3n2C)c2ccccc2)C1 ZINC001170124923 1050905014 /nfs/dbraw/zinc/90/50/14/1050905014.db2.gz YQQVYRALRJSNMB-WRWLIDTKSA-N 0 3 319.452 4.441 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000742661594 1050911727 /nfs/dbraw/zinc/91/17/27/1050911727.db2.gz AVCJEJIYHRSHFH-PKOBYXMFSA-N 0 3 308.510 4.068 20 0 DIADHN CSc1ccc(Nc2cnc(C)n2C)cc1C(F)(F)F ZINC001214470529 1050914548 /nfs/dbraw/zinc/91/45/48/1050914548.db2.gz DMLHOGRNFQIVOW-UHFFFAOYSA-N 0 3 301.337 4.213 20 0 DIADHN CC(C)P(CCNC(=O)c1nccc2ccccc21)C(C)C ZINC001149157525 1050914701 /nfs/dbraw/zinc/91/47/01/1050914701.db2.gz GACPISSZUCEPQP-UHFFFAOYSA-N 0 3 316.385 4.263 20 0 DIADHN CC[C@H](NCc1cc(C)ccc1F)[C@H](O)c1c(F)cccc1F ZINC000742711860 1050918705 /nfs/dbraw/zinc/91/87/05/1050918705.db2.gz BKIZMEINUHRMBF-WMZOPIPTSA-N 0 3 323.358 4.014 20 0 DIADHN Cc1ncc(Nc2cc(Cl)cc(OC(F)(F)F)c2)n1C ZINC001214525958 1050933906 /nfs/dbraw/zinc/93/39/06/1050933906.db2.gz VUMKOCRSNJPEDU-UHFFFAOYSA-N 0 3 305.687 4.024 20 0 DIADHN FC1(F)Oc2cccc(CN3CC[C@H](C4CCCC4)C3)c2O1 ZINC001171330808 1050938261 /nfs/dbraw/zinc/93/82/61/1050938261.db2.gz FQRGCKQSIIOARR-ZDUSSCGKSA-N 0 3 309.356 4.020 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN1CC[C@H](C2CCCC2)C1 ZINC001171332697 1050948229 /nfs/dbraw/zinc/94/82/29/1050948229.db2.gz SHEZWRHHZOWDLG-FQEVSTJZSA-N 0 3 323.484 4.138 20 0 DIADHN CSCCCCCCNCc1ncc(C(C)(C)C)s1 ZINC000790476174 1050960776 /nfs/dbraw/zinc/96/07/76/1050960776.db2.gz YPWRBTZUYQLFLJ-UHFFFAOYSA-N 0 3 300.537 4.454 20 0 DIADHN CCC1(NCc2cnn(Cc3ccccc3)c2Cl)CCC1 ZINC000790552714 1050970743 /nfs/dbraw/zinc/97/07/43/1050970743.db2.gz DTAQZCBUAFBRGA-UHFFFAOYSA-N 0 3 303.837 4.007 20 0 DIADHN Clc1ccc(-c2ncc(CNCCOC3CCC3)s2)cc1 ZINC000790549710 1050971288 /nfs/dbraw/zinc/97/12/88/1050971288.db2.gz YMUSLTYBHDKTLP-UHFFFAOYSA-N 0 3 322.861 4.122 20 0 DIADHN C[C@@H](C(=O)N(CC1CCC1)c1ccccc1)N1[C@H](C)CC[C@@H]1C ZINC001148856536 1050978574 /nfs/dbraw/zinc/97/85/74/1050978574.db2.gz CKCOUGBQXUTFNO-BBWFWOEESA-N 0 3 314.473 4.081 20 0 DIADHN COc1ccc(F)c(Nc2ccnc(C3CCC3)c2)c1OC ZINC001214696153 1050981892 /nfs/dbraw/zinc/98/18/92/1050981892.db2.gz VWNHFASQTZIZCU-UHFFFAOYSA-N 0 3 302.349 4.249 20 0 DIADHN Cc1nc(CCN2CCS[C@H](C)[C@H]2c2ccccc2)cs1 ZINC000348159575 1050995185 /nfs/dbraw/zinc/99/51/85/1050995185.db2.gz KDNWRHDAAASXOA-DYVFJYSZSA-N 0 3 318.511 4.173 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)NCc1ccc(COc2ccccc2)cc1 ZINC000180824198 1050996189 /nfs/dbraw/zinc/99/61/89/1050996189.db2.gz GZJMTLPSAVKJAQ-OAHLLOKOSA-N 0 3 321.424 4.148 20 0 DIADHN COc1cc(CN(Cc2ccc3occc3c2)C2CC2)ccc1O ZINC000348169766 1050999289 /nfs/dbraw/zinc/99/92/89/1050999289.db2.gz BOMKSGJWVMYLSD-UHFFFAOYSA-N 0 3 323.392 4.312 20 0 DIADHN Cc1ccc([C@@H](O)CN(C)Cc2ccc(Cl)c(Cl)c2)cc1 ZINC000743250022 1051003979 /nfs/dbraw/zinc/00/39/79/1051003979.db2.gz UGUKJOXTLBBMES-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN Cc1nc(CCN2CCSC[C@H]2c2ccc(C)cc2)cs1 ZINC000348187983 1051004166 /nfs/dbraw/zinc/00/41/66/1051004166.db2.gz QVDPWKUMWGAQSV-KRWDZBQOSA-N 0 3 318.511 4.093 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@H](O)c1cccc(Cl)c1 ZINC000743250964 1051004728 /nfs/dbraw/zinc/00/47/28/1051004728.db2.gz JYEBMZZWVDHSRG-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN Cc1ccc([C@H](O)CNC(c2ccccc2)c2ccccc2)cc1 ZINC000743251666 1051005020 /nfs/dbraw/zinc/00/50/20/1051005020.db2.gz DFSPXWFNTKUIKV-OAQYLSRUSA-N 0 3 317.432 4.408 20 0 DIADHN O[C@@H](CN(Cc1cccc(F)c1)C1CC1)c1cccc(Cl)c1 ZINC000743262310 1051009843 /nfs/dbraw/zinc/00/98/43/1051009843.db2.gz OYXWJYYCCDZBFG-SFHVURJKSA-N 0 3 319.807 4.177 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1cccc(F)c1)c1cccc(Cl)c1 ZINC000743262248 1051010452 /nfs/dbraw/zinc/01/04/52/1051010452.db2.gz SDIUMBDEZSBSKQ-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@@H](N1CCC[C@@H]1c1cccnc1)CC2 ZINC001171361104 1051013680 /nfs/dbraw/zinc/01/36/80/1051013680.db2.gz SXHDVVHNRUQEJB-QFBILLFUSA-N 0 3 314.379 4.054 20 0 DIADHN C[C@](O)(CNCc1ccsc1Cl)c1cccc(Cl)c1 ZINC000790989321 1051013934 /nfs/dbraw/zinc/01/39/34/1051013934.db2.gz BNHRUGRDKTXNOH-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN CCCCN(CN1C(=O)C[C@@H]1c1ccc(Cl)cc1)[C@H](C)CC ZINC000743354408 1051016788 /nfs/dbraw/zinc/01/67/88/1051016788.db2.gz PDVGAVVIGHQMCI-RHSMWYFYSA-N 0 3 322.880 4.472 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)C1 ZINC000743371580 1051017785 /nfs/dbraw/zinc/01/77/85/1051017785.db2.gz WKXZIIQHCQLUDQ-CKEIUWERSA-N 0 3 320.864 4.081 20 0 DIADHN O=C1CC2(CCC2)N1CN1CCC[C@H]1c1cccc2ccccc21 ZINC000743357151 1051017866 /nfs/dbraw/zinc/01/78/66/1051017866.db2.gz VARSRCBOZLHWRL-IBGZPJMESA-N 0 3 320.436 4.089 20 0 DIADHN CCC(CC)(NCn1cc[nH]c1=S)c1ccc(Cl)cc1 ZINC000743360975 1051018061 /nfs/dbraw/zinc/01/80/61/1051018061.db2.gz RIIVHNJGDZLLGP-UHFFFAOYSA-N 0 3 309.866 4.088 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](Cc1ccccc1)c1cccs1 ZINC000180903875 1051018557 /nfs/dbraw/zinc/01/85/57/1051018557.db2.gz KGFBUHHPYVBECN-SUMWQHHRSA-N 0 3 311.454 4.414 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)NCc1ccsc1Cl ZINC000790981182 1051020564 /nfs/dbraw/zinc/02/05/64/1051020564.db2.gz SRQKRGUQGOOPIR-QMTHXVAHSA-N 0 3 313.825 4.142 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cn2ccc(-c3ccccc3)n2)C1 ZINC000743399270 1051024481 /nfs/dbraw/zinc/02/44/81/1051024481.db2.gz NGEZFEONTHANKA-IRXDYDNUSA-N 0 3 315.486 4.114 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1c(F)cccc1Cl)c1ccccn1 ZINC000773015084 1051024516 /nfs/dbraw/zinc/02/45/16/1051024516.db2.gz SAZLFQAPCVXTQE-GOEBONIOSA-N 0 3 322.811 4.039 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000773024622 1051027884 /nfs/dbraw/zinc/02/78/84/1051027884.db2.gz JRDUKEIUEUUJAJ-VXGBXAGGSA-N 0 3 322.836 4.317 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000773024616 1051028039 /nfs/dbraw/zinc/02/80/39/1051028039.db2.gz JRDUKEIUEUUJAJ-NEPJUHHUSA-N 0 3 322.836 4.317 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C)o1)[C@H](O)c1c(F)cccc1F ZINC000773027850 1051028733 /nfs/dbraw/zinc/02/87/33/1051028733.db2.gz GFSZEIZIIQWNIO-WHCBVINPSA-N 0 3 309.356 4.029 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000773029683 1051029238 /nfs/dbraw/zinc/02/92/38/1051029238.db2.gz ZLYYPKFXOKDQSU-NWDGAFQWSA-N 0 3 322.836 4.317 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3cccc(C)c3)cc2)CC1 ZINC000743462813 1051034305 /nfs/dbraw/zinc/03/43/05/1051034305.db2.gz MLVKFEZJIBDYMX-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN CSC[C@H]1CCCN1Cc1cc2ccccc2nc1Cl ZINC000400388226 1051036332 /nfs/dbraw/zinc/03/63/32/1051036332.db2.gz MHZZROYUHBEYHE-CQSZACIVSA-N 0 3 306.862 4.216 20 0 DIADHN CC[C@@H](C)NCc1c(C)nn(-c2ccc(Cl)cc2)c1Cl ZINC000743501695 1051036370 /nfs/dbraw/zinc/03/63/70/1051036370.db2.gz DCVNNIDDVGWFQC-SNVBAGLBSA-N 0 3 312.244 4.376 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN(C[C@@H](O)c2ccccc2)C2CC2)o1 ZINC000791441564 1051049490 /nfs/dbraw/zinc/04/94/90/1051049490.db2.gz DDNRISAHKHWCFH-MDASCCDHSA-N 0 3 311.425 4.101 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@H](N1CCC[C@@H]1c1ccccn1)CC2 ZINC001171378976 1051052587 /nfs/dbraw/zinc/05/25/87/1051052587.db2.gz AJKKRGGHKUPMEX-DNVCBOLYSA-N 0 3 314.379 4.054 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@@H](N1CCC[C@H]1c1ccccn1)CC2 ZINC001171378989 1051053140 /nfs/dbraw/zinc/05/31/40/1051053140.db2.gz AJKKRGGHKUPMEX-KXBFYZLASA-N 0 3 314.379 4.054 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc[nH]2)ccc1OC(F)F ZINC000773115560 1051064538 /nfs/dbraw/zinc/06/45/38/1051064538.db2.gz GFNSSDBGTJVLPB-WDEREUQCSA-N 0 3 310.344 4.037 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cc(Br)cc2F)c1ccc[nH]1 ZINC000773114929 1051065672 /nfs/dbraw/zinc/06/56/72/1051065672.db2.gz DHTSNIZLIKCBEJ-BJOHPYRUSA-N 0 3 323.209 4.254 20 0 DIADHN CSc1ccccc1CN(C)C[C@H](O)c1ccc(F)cc1C ZINC000791600467 1051065920 /nfs/dbraw/zinc/06/59/20/1051065920.db2.gz ZMVMPRMWYJARAI-KRWDZBQOSA-N 0 3 319.445 4.021 20 0 DIADHN CSc1ccccc1CN(C)C[C@@H](O)c1ccc(Cl)cc1 ZINC000791597726 1051066515 /nfs/dbraw/zinc/06/65/15/1051066515.db2.gz AUBYGYXFVBERAZ-MRXNPFEDSA-N 0 3 321.873 4.227 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)c1ccc(F)c(Br)c1 ZINC000773117857 1051067314 /nfs/dbraw/zinc/06/73/14/1051067314.db2.gz LXAKOSLTHYOVIY-ZJUUUORDSA-N 0 3 311.198 4.328 20 0 DIADHN CCC(CC)CN(CC)Cc1nnc(-c2cccc(F)c2)o1 ZINC000743840966 1051068240 /nfs/dbraw/zinc/06/82/40/1051068240.db2.gz XOMKMEXMCHHWOM-UHFFFAOYSA-N 0 3 305.397 4.134 20 0 DIADHN CCSCCCN[C@H](c1ccc(C)cc1)c1ccccn1 ZINC000791637352 1051068735 /nfs/dbraw/zinc/06/87/35/1051068735.db2.gz IHIUVGYIONFBKB-GOSISDBHSA-N 0 3 300.471 4.212 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(Br)c(F)c1)c1ccc[nH]1 ZINC000773121575 1051068860 /nfs/dbraw/zinc/06/88/60/1051068860.db2.gz RYPLWWPDAUBJDM-NXEZZACHSA-N 0 3 311.198 4.328 20 0 DIADHN C[C@H](NC[C@](C)(O)c1ccc(F)cc1)c1ccccc1Cl ZINC000773120159 1051069861 /nfs/dbraw/zinc/06/98/61/1051069861.db2.gz RCFRKTXKBLFRRL-SJCJKPOMSA-N 0 3 307.796 4.037 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1ccc(F)cc1)c1ccccc1Cl ZINC000773120162 1051070082 /nfs/dbraw/zinc/07/00/82/1051070082.db2.gz RCFRKTXKBLFRRL-YVEFUNNKSA-N 0 3 307.796 4.037 20 0 DIADHN O[C@@H](CN1CC[C@@H](c2ccccc2F)C1)c1cccc(Cl)c1 ZINC000743847802 1051070951 /nfs/dbraw/zinc/07/09/51/1051070951.db2.gz QUFWMUUSXGYAKL-KDOFPFPSSA-N 0 3 319.807 4.002 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cccc(Cl)n2)C12CCCCC2 ZINC000743850700 1051073336 /nfs/dbraw/zinc/07/33/36/1051073336.db2.gz SCCZWXFLGSTFAB-CVEARBPZSA-N 0 3 322.880 4.295 20 0 DIADHN Cc1ccccc1[C@H]1CN(CCc2cccs2)[C@@H](C)CO1 ZINC000743851682 1051073745 /nfs/dbraw/zinc/07/37/45/1051073745.db2.gz DABDUADZRQPDNW-MAUKXSAKSA-N 0 3 301.455 4.061 20 0 DIADHN Cc1ccccc1[C@@H]1CN(CCc2cccs2)[C@@H](C)CO1 ZINC000743851684 1051074003 /nfs/dbraw/zinc/07/40/03/1051074003.db2.gz DABDUADZRQPDNW-YJBOKZPZSA-N 0 3 301.455 4.061 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000743850988 1051075497 /nfs/dbraw/zinc/07/54/97/1051075497.db2.gz FRNLJMFRAXCLPR-CJNGLKHVSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CCCC2(C1)CCCCCC2 ZINC000743859607 1051079910 /nfs/dbraw/zinc/07/99/10/1051079910.db2.gz FNLYHTFPISOODO-UHFFFAOYSA-N 0 3 309.885 4.318 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(C[C@@H](O)c2ccccc2F)C1 ZINC000743856141 1051080827 /nfs/dbraw/zinc/08/08/27/1051080827.db2.gz HDJKCYDYLQYAOW-ZNZDAUKMSA-N 0 3 317.379 4.081 20 0 DIADHN O[C@@H]1CCN(CCCC2CCCC2)[C@@H]1c1ccc(Cl)cc1 ZINC001171399622 1051084422 /nfs/dbraw/zinc/08/44/22/1051084422.db2.gz KYIJOLLQWIBTRZ-QZTJIDSGSA-N 0 3 307.865 4.418 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2cccc(Cl)c2)[C@@H](c2ccco2)C1 ZINC000743875302 1051086250 /nfs/dbraw/zinc/08/62/50/1051086250.db2.gz LODZEQWUZGBYIT-KBRIMQKVSA-N 0 3 319.832 4.440 20 0 DIADHN C[C@H](CNCc1ncc(Br)s1)C1CCCCC1 ZINC000714946671 1051097567 /nfs/dbraw/zinc/09/75/67/1051097567.db2.gz RFKZLKYYKYYTDK-SNVBAGLBSA-N 0 3 317.296 4.212 20 0 DIADHN CC(C)(C)c1nc(CNCC2C[C@H]3CC[C@@H](C2)S3)cs1 ZINC000716080380 1051113865 /nfs/dbraw/zinc/11/38/65/1051113865.db2.gz USFKYMRQZZRGOM-QXMXGUDHSA-N 0 3 310.532 4.204 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1CCc2c(F)cccc2C1 ZINC001171442774 1051125621 /nfs/dbraw/zinc/12/56/21/1051125621.db2.gz SLFIWZFXJPOQFR-CQSZACIVSA-N 0 3 305.343 4.093 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2ccc(Cl)c(Cl)c2)c2nccn21 ZINC000716304377 1051129821 /nfs/dbraw/zinc/12/98/21/1051129821.db2.gz YLHGKTVKCXNVFM-QMTHXVAHSA-N 0 3 310.228 4.028 20 0 DIADHN Cc1[nH]nc(CN[C@@H]2CCSc3ccc(Cl)cc32)c1C ZINC000716449372 1051130484 /nfs/dbraw/zinc/13/04/84/1051130484.db2.gz AKZLXEDSNVZONN-CYBMUJFWSA-N 0 3 307.850 4.007 20 0 DIADHN C[C@H]1C[C@@H](NCCc2ccc(Cl)c(Cl)c2)c2nccn21 ZINC000716304374 1051130842 /nfs/dbraw/zinc/13/08/42/1051130842.db2.gz YLHGKTVKCXNVFM-IINYFYTJSA-N 0 3 310.228 4.028 20 0 DIADHN CC1(C)CN(C[C@H]2CC2(Cl)Cl)CC[C@@H]1C(F)(F)F ZINC000792361756 1051135206 /nfs/dbraw/zinc/13/52/06/1051135206.db2.gz OGLRKQIKCBXOFO-BDAKNGLRSA-N 0 3 304.183 4.091 20 0 DIADHN COCOc1ccc(Nc2ccccc2CN(C)C)cc1Cl ZINC001215261968 1051140971 /nfs/dbraw/zinc/14/09/71/1051140971.db2.gz PVJCVWRYLLNIPW-UHFFFAOYSA-N 0 3 320.820 4.128 20 0 DIADHN CC[C@H]1C[C@@H](NC2c3ccccc3Oc3ccccc32)CCO1 ZINC001170181910 1051145744 /nfs/dbraw/zinc/14/57/44/1051145744.db2.gz KOGNSRCMJGGACO-GJZGRUSLSA-N 0 3 309.409 4.429 20 0 DIADHN Fc1ccc2c(c1)CN([C@H]1CCc3cc(F)c(F)cc3C1)CC2 ZINC001171492803 1051146062 /nfs/dbraw/zinc/14/60/62/1051146062.db2.gz IZWZLKUJMYLOOB-KRWDZBQOSA-N 0 3 317.354 4.020 20 0 DIADHN CCCC[C@@H](C(=O)OC)N1CCC[C@](C)(c2ccccc2)CC1 ZINC001170196361 1051154187 /nfs/dbraw/zinc/15/41/87/1051154187.db2.gz ZTOGMSHRPBXPEW-ICSRJNTNSA-N 0 3 317.473 4.162 20 0 DIADHN Cc1cccc2c1CN([C@@H]1CCc3cc(F)c(F)c(F)c3C1)C2 ZINC001171534557 1051154487 /nfs/dbraw/zinc/15/44/87/1051154487.db2.gz WSOPYWFENMRHKK-CQSZACIVSA-N 0 3 317.354 4.285 20 0 DIADHN C[C@H](C1CC1)N(C(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)c1ccccc1 ZINC001171588208 1051161311 /nfs/dbraw/zinc/16/13/11/1051161311.db2.gz AFUOZGAFOOVGLH-NXOAAHMSSA-N 0 3 314.473 4.079 20 0 DIADHN C[C@H](C1CC1)N(C(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)c1ccccc1 ZINC001171588210 1051161739 /nfs/dbraw/zinc/16/17/39/1051161739.db2.gz AFUOZGAFOOVGLH-VQHPVUNQSA-N 0 3 314.473 4.079 20 0 DIADHN Cc1cccc2c1CC[C@H](NCc1cc(-c3ccccc3)on1)C2 ZINC001171555914 1051165060 /nfs/dbraw/zinc/16/50/60/1051165060.db2.gz DGHCYRLOQFSXDQ-SFHVURJKSA-N 0 3 318.420 4.297 20 0 DIADHN c1cc2c[nH]cc(NCc3nc(-c4ccccc4)cs3)c-2n1 ZINC001170247490 1051171956 /nfs/dbraw/zinc/17/19/56/1051171956.db2.gz OBYDDGYBXYTFFA-UHFFFAOYSA-N 0 3 306.394 4.299 20 0 DIADHN c1cc2cncc(NCc3nc(-c4ccccc4)cs3)c2[nH]1 ZINC001170247490 1051171964 /nfs/dbraw/zinc/17/19/64/1051171964.db2.gz OBYDDGYBXYTFFA-UHFFFAOYSA-N 0 3 306.394 4.299 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1nc2ccccc2o1 ZINC001170248780 1051172131 /nfs/dbraw/zinc/17/21/31/1051172131.db2.gz XRQRVBGCYSTMCL-LBPRGKRZSA-N 0 3 300.789 4.202 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CC2CCCC2)cc1C ZINC001171631677 1051174609 /nfs/dbraw/zinc/17/46/09/1051174609.db2.gz PJNNIIMJFPWYKY-LJQANCHMSA-N 0 3 314.473 4.287 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CNC(C)(C)c1ccc(Cl)cc1 ZINC001170254768 1051175380 /nfs/dbraw/zinc/17/53/80/1051175380.db2.gz WMMJQNFXPVDPJW-CQSZACIVSA-N 0 3 324.896 4.058 20 0 DIADHN CC(C)C[C@@H]1CC(=O)N(CN[C@@H](c2ccccc2)C(C)(C)C)C1 ZINC000744430706 1051176362 /nfs/dbraw/zinc/17/63/62/1051176362.db2.gz HPIBAGLWHMZRHZ-APWZRJJASA-N 0 3 316.489 4.216 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CC[C@@H](C)C3)cs2)cc1 ZINC000744447492 1051178547 /nfs/dbraw/zinc/17/85/47/1051178547.db2.gz KMUMRUXFYBCJCD-OCCSQVGLSA-N 0 3 302.443 4.097 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2coc(-c3ccccc3F)n2)C1 ZINC001170280169 1051181050 /nfs/dbraw/zinc/18/10/50/1051181050.db2.gz BXPVTTFZTYOJSR-UONOGXRCSA-N 0 3 302.393 4.491 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)CCc1ccc(C)cc1 ZINC001171658768 1051184204 /nfs/dbraw/zinc/18/42/04/1051184204.db2.gz VFVVTLBNFAKXQE-HNNXBMFYSA-N 0 3 301.405 4.254 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC001171664252 1051186950 /nfs/dbraw/zinc/18/69/50/1051186950.db2.gz VNEBOOHKDYJZNL-CYBMUJFWSA-N 0 3 306.812 4.293 20 0 DIADHN C[C@@H]1CN(Cc2ccc(F)c(F)c2)CCc2ccc(F)cc21 ZINC001171670783 1051188826 /nfs/dbraw/zinc/18/88/26/1051188826.db2.gz KHJLVAPTCCJJJQ-GFCCVEGCSA-N 0 3 305.343 4.266 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCSc3c(F)cccc32)c1 ZINC000181614169 1051189883 /nfs/dbraw/zinc/18/98/83/1051189883.db2.gz CZHFRGBPJNMTBU-KRWDZBQOSA-N 0 3 317.429 4.469 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170385762 1051195175 /nfs/dbraw/zinc/19/51/75/1051195175.db2.gz JUGAQKYLUJUYEJ-DOMZBBRYSA-N 0 3 320.235 4.426 20 0 DIADHN Cc1ccc2sc(CN[C@H]3C[C@H](OC(C)C)C3(C)C)nc2c1 ZINC001170399169 1051196624 /nfs/dbraw/zinc/19/66/24/1051196624.db2.gz HUAYTYDZYHJAOS-HOTGVXAUSA-N 0 3 318.486 4.286 20 0 DIADHN Fc1cccc2c1C[C@@H](N[C@@H](c1ccccc1)c1ccncc1)C2 ZINC001171774430 1051211028 /nfs/dbraw/zinc/21/10/28/1051211028.db2.gz ZTXBNPHBCSYZLA-RXVVDRJESA-N 0 3 318.395 4.067 20 0 DIADHN Fc1cccc2c1C[C@@H](N[C@@H](c1ccccc1)c1ccccn1)C2 ZINC001171778822 1051213764 /nfs/dbraw/zinc/21/37/64/1051213764.db2.gz XEGBNPQMHXBYFS-UWJYYQICSA-N 0 3 318.395 4.067 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1cc(F)c(F)cc1Cl)C2 ZINC001171779252 1051214150 /nfs/dbraw/zinc/21/41/50/1051214150.db2.gz ZVQXPAAIARYKTO-NSHDSACASA-N 0 3 311.734 4.014 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N1CC2(CCCCCC2)[C@H]1C(C)C ZINC001171737935 1051215303 /nfs/dbraw/zinc/21/53/03/1051215303.db2.gz UETZLFLWGAMERU-ZWKOTPCHSA-N 0 3 320.521 4.068 20 0 DIADHN Fc1cccc2c1C[C@@H](N[C@@H](c1ncccc1Cl)C1CC1)C2 ZINC001171787712 1051219245 /nfs/dbraw/zinc/21/92/45/1051219245.db2.gz MGBMLHLZESMBSV-SUMWQHHRSA-N 0 3 316.807 4.082 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](C)CCc2ccc(O)cc2)c(C)s1 ZINC000037140127 1051224877 /nfs/dbraw/zinc/22/48/77/1051224877.db2.gz BTGGNIUQJLPVDT-VXGBXAGGSA-N 0 3 304.459 4.137 20 0 DIADHN C[C@]12CCN(CCOC3CCCCCC3)C[C@H]1C2(Cl)Cl ZINC000792904655 1051225282 /nfs/dbraw/zinc/22/52/82/1051225282.db2.gz PCAGYUFFBIJVLL-CABCVRRESA-N 0 3 320.304 4.242 20 0 DIADHN COc1cccc(SCCN2CCC(c3ccco3)CC2)c1 ZINC001171834726 1051230015 /nfs/dbraw/zinc/23/00/15/1051230015.db2.gz QFZPEEXLZOTAAO-UHFFFAOYSA-N 0 3 317.454 4.260 20 0 DIADHN CC(C)=CCCN(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC001171893167 1051235972 /nfs/dbraw/zinc/23/59/72/1051235972.db2.gz KGVMMPPNJAXNBT-UHFFFAOYSA-N 0 3 311.351 4.370 20 0 DIADHN Fc1ccc(Br)c(CNCCCCC2CC2)c1 ZINC000716385741 1051237771 /nfs/dbraw/zinc/23/77/71/1051237771.db2.gz OIHCBVMRDDJWAE-UHFFFAOYSA-N 0 3 300.215 4.258 20 0 DIADHN C[C@H]1CC(CCN(C)CC(=O)N(C)C2CCCCC2)C[C@H](C)C1 ZINC001171906579 1051237831 /nfs/dbraw/zinc/23/78/31/1051237831.db2.gz MYMMGBMICGJAEF-IAGOWNOFSA-N 0 3 322.537 4.172 20 0 DIADHN CCc1ccc(C2=CCN(C[C@@H]3CC[C@H](C4CC4)O3)CC2)cc1 ZINC001168775290 1051247362 /nfs/dbraw/zinc/24/73/62/1051247362.db2.gz OLSXJOFOFIWOLW-LEWJYISDSA-N 0 3 311.469 4.296 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2cnccc2C1 ZINC001171971391 1051262211 /nfs/dbraw/zinc/26/22/11/1051262211.db2.gz UVZVXQKOJMXEOQ-CYBMUJFWSA-N 0 3 320.358 4.090 20 0 DIADHN CCC[C@H](NCc1ccsc1Cl)[C@H](O)c1ccccc1 ZINC000793236320 1051268450 /nfs/dbraw/zinc/26/84/50/1051268450.db2.gz CQSCGZRNXBPEDQ-LSDHHAIUSA-N 0 3 309.862 4.393 20 0 DIADHN Cc1noc(C)c1Nc1cccc(CN2CCCCC2)c1F ZINC001215675264 1051293228 /nfs/dbraw/zinc/29/32/28/1051293228.db2.gz DJBDSWIAKGBEPG-UHFFFAOYSA-N 0 3 303.381 4.160 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccn2nccc2c1 ZINC001215675262 1051293265 /nfs/dbraw/zinc/29/32/65/1051293265.db2.gz DFQMLCQLFQRZIR-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1ncccc1Nc1cccc(CN2CCCCC2)c1F ZINC001215675629 1051293523 /nfs/dbraw/zinc/29/35/23/1051293523.db2.gz DJGFMRNMOTVOMA-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](F)CC2CCCCC2)c(CN(C)C)c1 ZINC000793509169 1051305460 /nfs/dbraw/zinc/30/54/60/1051305460.db2.gz OTKREVYSHQXQGM-KRWDZBQOSA-N 0 3 320.452 4.304 20 0 DIADHN c1ccc2nc(CN[C@H]3CSc4ccccc4C3)ccc2c1 ZINC001172183345 1051305835 /nfs/dbraw/zinc/30/58/35/1051305835.db2.gz COJNYOUATIUJQR-QGZVFWFLSA-N 0 3 306.434 4.041 20 0 DIADHN Fc1ccc(CN[C@H]2CSc3ccccc3C2)c(Cl)c1 ZINC001172192314 1051311644 /nfs/dbraw/zinc/31/16/44/1051311644.db2.gz ZGLSQAGCZMEJFE-CQSZACIVSA-N 0 3 307.821 4.286 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2cc(CC)[nH]n2)cc1 ZINC000793540455 1051313032 /nfs/dbraw/zinc/31/30/32/1051313032.db2.gz KIPQOEKVSFUKFA-CQSZACIVSA-N 0 3 301.434 4.002 20 0 DIADHN Clc1ccc2c(c1)CN([C@@H]1CSc3ccccc3C1)C2 ZINC001172204391 1051315252 /nfs/dbraw/zinc/31/52/52/1051315252.db2.gz BAHKWOHGMYKBNT-INIZCTEOSA-N 0 3 301.842 4.373 20 0 DIADHN Fc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)ccn1 ZINC001215710535 1051317059 /nfs/dbraw/zinc/31/70/59/1051317059.db2.gz WOPRCBQYHGEEIB-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2c3ccccc3CC[C@@H]2C(C)C)n(C)n1 ZINC000793553964 1051317682 /nfs/dbraw/zinc/31/76/82/1051317682.db2.gz OYNPGIXUPNNHLV-VPWXQRGCSA-N 0 3 311.473 4.339 20 0 DIADHN Fc1cc(Nc2cnc(F)c(F)c2)ccc1CN1CCCCC1 ZINC001215711695 1051318634 /nfs/dbraw/zinc/31/86/34/1051318634.db2.gz VOGPJCQKCDPROQ-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Oc1ccc(F)c(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215712586 1051319541 /nfs/dbraw/zinc/31/95/41/1051319541.db2.gz VFBWJFXQSVOCOU-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Fc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)cn1 ZINC001215711399 1051319919 /nfs/dbraw/zinc/31/99/19/1051319919.db2.gz REUBOYCIWDRRPY-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN CN(C)Cc1cccc(C(=O)Oc2ccc3ccccc3c2)c1 ZINC000746104175 1051321597 /nfs/dbraw/zinc/32/15/97/1051321597.db2.gz GDYDWCPYYWDNQS-UHFFFAOYSA-N 0 3 305.377 4.121 20 0 DIADHN COc1ccc(CN[C@@H]2CSc3ccccc3C2)c(Cl)c1 ZINC001172197210 1051323506 /nfs/dbraw/zinc/32/35/06/1051323506.db2.gz NTTBUTDQIWMJAY-AWEZNQCLSA-N 0 3 319.857 4.155 20 0 DIADHN COc1c(F)cccc1[C@H](C)N[C@H]1CSc2ccccc2C1 ZINC001172193734 1051324371 /nfs/dbraw/zinc/32/43/71/1051324371.db2.gz ONANWBBTTMHZRW-GXTWGEPZSA-N 0 3 317.429 4.202 20 0 DIADHN C[C@H](N[C@@H]1CSc2ccccc2C1)c1cc(F)ccc1F ZINC001172199644 1051325305 /nfs/dbraw/zinc/32/53/05/1051325305.db2.gz VYHVZLZVPWIRRP-FZMZJTMJSA-N 0 3 305.393 4.332 20 0 DIADHN C[C@]12CCN(Cc3coc4ccccc34)C[C@H]1C2(Cl)Cl ZINC000793614892 1051327280 /nfs/dbraw/zinc/32/72/80/1051327280.db2.gz QNVMFNOTOQKUGN-CABCVRRESA-N 0 3 310.224 4.449 20 0 DIADHN CCN(Cc1nn(-c2ccccc2)c2c1CCC2)C1CCCC1 ZINC000064557164 1051328111 /nfs/dbraw/zinc/32/81/11/1051328111.db2.gz KLAWTGIKRACRFW-UHFFFAOYSA-N 0 3 309.457 4.126 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)cc(Cl)n1 ZINC000793618631 1051329794 /nfs/dbraw/zinc/32/97/94/1051329794.db2.gz WEDOXOJBFIDNIQ-YPMHNXCESA-N 0 3 319.663 4.059 20 0 DIADHN Cc1nc(CN(C)CCc2ccccc2Cl)ccc1Cl ZINC001248878628 1051330042 /nfs/dbraw/zinc/33/00/42/1051330042.db2.gz VIRGBRZVCMQJTG-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN C[C@]12CCN(Cc3ccc4cnccc4c3)C[C@H]1C2(Cl)Cl ZINC000793617938 1051330099 /nfs/dbraw/zinc/33/00/99/1051330099.db2.gz YSOITVRNCVABBT-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN Cc1ccc(CCNCc2c(Cl)n(C)nc2C(C)C)c(C)c1 ZINC000065431515 1051332434 /nfs/dbraw/zinc/33/24/34/1051332434.db2.gz NCDNKXJBIAZKBW-UHFFFAOYSA-N 0 3 319.880 4.146 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172325310 1051343311 /nfs/dbraw/zinc/34/33/11/1051343311.db2.gz UFFNLAWJEAVKMT-GJZGRUSLSA-N 0 3 318.486 4.493 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N[C@@H](c1ccccc1)c1ccccn1 ZINC001172327248 1051345227 /nfs/dbraw/zinc/34/52/27/1051345227.db2.gz MLLYKZDRFHSHAN-UWVAXJGDSA-N 0 3 312.457 4.354 20 0 DIADHN CC1(C)C[C@@H](N2CCC[C@@H]2c2ccccc2Br)CO1 ZINC001172284591 1051346218 /nfs/dbraw/zinc/34/62/18/1051346218.db2.gz VQRLUZHAZRNNGP-IUODEOHRSA-N 0 3 324.262 4.154 20 0 DIADHN C[C@@H]1CC[C@H](N(Cc2cccnc2)Cc2cccnc2)C[C@@H]1C ZINC001172374403 1051353337 /nfs/dbraw/zinc/35/33/37/1051353337.db2.gz QGIOYKBIAKRZRK-UWVAXJGDSA-N 0 3 309.457 4.304 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC001172328712 1051357677 /nfs/dbraw/zinc/35/76/77/1051357677.db2.gz DDOIPGXKFCSSHR-QWHCGFSZSA-N 0 3 318.383 4.126 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001172335085 1051359099 /nfs/dbraw/zinc/35/90/99/1051359099.db2.gz OBNOLHUYDLEZPJ-KBPBESRZSA-N 0 3 320.408 4.164 20 0 DIADHN C[C@H]1SCC[C@@H]1NCc1ccc(Br)cc1Cl ZINC000381198834 1051367406 /nfs/dbraw/zinc/36/74/06/1051367406.db2.gz FATBSPDOSGUSQD-PELKAZGASA-N 0 3 320.683 4.086 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N[C@@H](c1ncccc1Cl)C1CC1 ZINC001172353800 1051370036 /nfs/dbraw/zinc/37/00/36/1051370036.db2.gz YAXVWABYJXCLHL-DVOMOZLQSA-N 0 3 310.869 4.369 20 0 DIADHN C[C@@H]1SCC[C@H]1NCc1ccc(Br)cc1Cl ZINC000381198840 1051370252 /nfs/dbraw/zinc/37/02/52/1051370252.db2.gz FATBSPDOSGUSQD-QPUJVOFHSA-N 0 3 320.683 4.086 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@H](C)[C@@H](C)C3)co2)cc1 ZINC001172367273 1051378878 /nfs/dbraw/zinc/37/88/78/1051378878.db2.gz LJLNSBJKAGIZCN-OFQRWUPVSA-N 0 3 314.429 4.265 20 0 DIADHN CC[C@@H](CC(C)C)N1CCc2ncc(Br)cc2C1 ZINC001172404593 1051380134 /nfs/dbraw/zinc/38/01/34/1051380134.db2.gz AVHGKJRZDCXGMW-AWEZNQCLSA-N 0 3 311.267 4.027 20 0 DIADHN CCCCCCC(=O)N(C)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000746358059 1051382116 /nfs/dbraw/zinc/38/21/16/1051382116.db2.gz XVVWQKQGEOVRSR-LJQANCHMSA-N 0 3 316.489 4.080 20 0 DIADHN CCOCC[C@H](C)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC001172421933 1051384439 /nfs/dbraw/zinc/38/44/39/1051384439.db2.gz QRSHFQCWIVWZRU-LBPRGKRZSA-N 0 3 324.877 4.368 20 0 DIADHN CC(C)OCC[C@@H](C)NCc1cc(F)c(Cl)cc1Cl ZINC001172431306 1051386678 /nfs/dbraw/zinc/38/66/78/1051386678.db2.gz NHNWVGSNKPRDCF-SNVBAGLBSA-N 0 3 308.224 4.426 20 0 DIADHN CC[C@H](CC(C)C)NCC(=O)c1ccc(Br)cc1 ZINC001172382310 1051388213 /nfs/dbraw/zinc/38/82/13/1051388213.db2.gz CXTZNRVJTDWYJS-CQSZACIVSA-N 0 3 312.251 4.046 20 0 DIADHN CC[C@@H](CC(C)C)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001172391098 1051394302 /nfs/dbraw/zinc/39/43/02/1051394302.db2.gz DFUJRHFEFCJSSF-LBPRGKRZSA-N 0 3 319.342 4.442 20 0 DIADHN CC(C)COCC[C@@H](C)N[C@@H](c1ncccc1Cl)C1CC1 ZINC001172470961 1051400637 /nfs/dbraw/zinc/40/06/37/1051400637.db2.gz HOPVCFBACTZXMO-CZUORRHYSA-N 0 3 310.869 4.227 20 0 DIADHN Cc1nc([C@H](C)NC[C@H](C)COCc2ccccc2)c(C)s1 ZINC000389901496 1051401538 /nfs/dbraw/zinc/40/15/38/1051401538.db2.gz CGCZTUVYQLSZNC-KBPBESRZSA-N 0 3 318.486 4.263 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)CCOCc2ccccc2)c(C)s1 ZINC000389955801 1051401551 /nfs/dbraw/zinc/40/15/51/1051401551.db2.gz WQVOESUGQWWRNZ-KGLIPLIRSA-N 0 3 318.486 4.406 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc3cnccc3c2)cc1 ZINC000391846069 1051407236 /nfs/dbraw/zinc/40/72/36/1051407236.db2.gz OPYLUHOWXRIJQL-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN CC(C)OCC[C@H](C)NCc1cc(-c2cccc(Cl)c2)no1 ZINC001172444285 1051407654 /nfs/dbraw/zinc/40/76/54/1051407654.db2.gz VENGRCVECFXDIH-ZDUSSCGKSA-N 0 3 322.836 4.288 20 0 DIADHN CC(C)OCC[C@H](C)N(Cc1ccccc1)Cc1cccnc1 ZINC001172451846 1051409489 /nfs/dbraw/zinc/40/94/89/1051409489.db2.gz VHUQOQZFUZMSES-SFHVURJKSA-N 0 3 312.457 4.287 20 0 DIADHN CC(C)OCC[C@@H](C)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001172456814 1051411261 /nfs/dbraw/zinc/41/12/61/1051411261.db2.gz PNVMXDYYZZAAQK-NVXWUHKLSA-N 0 3 315.461 4.227 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1ccsc1Br ZINC001172458946 1051413264 /nfs/dbraw/zinc/41/32/64/1051413264.db2.gz HENVNTOMTGECBN-LLVKDONJSA-N 0 3 320.296 4.051 20 0 DIADHN C[C@@H](N[C@@H](C)c1cn(-c2ccccc2)nn1)[C@H](C)c1ccccc1 ZINC000766409822 1051416072 /nfs/dbraw/zinc/41/60/72/1051416072.db2.gz SPZUIWLRQLXCGX-BBWFWOEESA-N 0 3 320.440 4.110 20 0 DIADHN COc1cccc(OC)c1-c1ccccc1Nc1ccncc1C ZINC001215862094 1051419357 /nfs/dbraw/zinc/41/93/57/1051419357.db2.gz JUICXPWAXGSJLJ-UHFFFAOYSA-N 0 3 320.392 4.240 20 0 DIADHN Cc1ccc(CNC[C@H](O)c2ccc(-c3ccccc3)cc2)o1 ZINC000766470478 1051428845 /nfs/dbraw/zinc/42/88/45/1051428845.db2.gz HTVMUAMXLYQYGH-FQEVSTJZSA-N 0 3 307.393 4.078 20 0 DIADHN Cc1ccc(C2(N[C@H]3CC[C@@H](c4ccccc4)C3)COC2)cc1 ZINC001172551093 1051434433 /nfs/dbraw/zinc/43/44/33/1051434433.db2.gz LTJAWHFXHMBLHA-QUCCMNQESA-N 0 3 307.437 4.146 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCOc1ccccc1C)CCC2 ZINC000749305283 1051435880 /nfs/dbraw/zinc/43/58/80/1051435880.db2.gz IRGFTTJKLFPTGH-INIZCTEOSA-N 0 3 316.470 4.148 20 0 DIADHN C[C@@H](NC[C@H]1SCCc2ccccc21)c1cccnc1Cl ZINC000766485917 1051435978 /nfs/dbraw/zinc/43/59/78/1051435978.db2.gz BSBIGGHCGWCGCR-MLGOLLRUSA-N 0 3 318.873 4.416 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCOc1ccccc1C)CCC2 ZINC000749305286 1051436018 /nfs/dbraw/zinc/43/60/18/1051436018.db2.gz IRGFTTJKLFPTGH-MRXNPFEDSA-N 0 3 316.470 4.148 20 0 DIADHN CC[C@@H](OCCN[C@@H](COC)c1ccccc1)c1ccccc1 ZINC001168812382 1051444167 /nfs/dbraw/zinc/44/41/67/1051444167.db2.gz KBFVSFCDSWXSRL-VQTJNVASSA-N 0 3 313.441 4.132 20 0 DIADHN CC[C@@H](OCCN[C@H](COC)c1ccccc1)c1ccccc1 ZINC001168812383 1051444219 /nfs/dbraw/zinc/44/42/19/1051444219.db2.gz KBFVSFCDSWXSRL-WOJBJXKFSA-N 0 3 313.441 4.132 20 0 DIADHN C[C@@H](CCc1c(F)cc(Cl)cc1F)N1CCCO[C@H](C)C1 ZINC001172569130 1051446605 /nfs/dbraw/zinc/44/66/05/1051446605.db2.gz DRKATEBQAJTHGK-NWDGAFQWSA-N 0 3 317.807 4.050 20 0 DIADHN Cc1ccc([C@@H](C)Nc2cccc(N3CCCC[C@@H]3C)n2)nc1 ZINC001168852741 1051450816 /nfs/dbraw/zinc/45/08/16/1051450816.db2.gz UWMTZJLBAKNJHB-JKSUJKDBSA-N 0 3 310.445 4.337 20 0 DIADHN C[C@@H](NCCNc1ccccc1)c1ccccc1OC(F)F ZINC000766513570 1051451939 /nfs/dbraw/zinc/45/19/39/1051451939.db2.gz BLYHCNCPZZVBBN-CYBMUJFWSA-N 0 3 306.356 4.051 20 0 DIADHN CSc1c(F)cc(Nc2ccccc2CN(C)C)cc1F ZINC001215925324 1051462118 /nfs/dbraw/zinc/46/21/18/1051462118.db2.gz QPTRDDSTPYIDEV-UHFFFAOYSA-N 0 3 308.397 4.492 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2cnc3c(cnn3C(C)C)c2)c1 ZINC001168926001 1051462836 /nfs/dbraw/zinc/46/28/36/1051462836.db2.gz WSHJAOKXXQLDNS-MRXNPFEDSA-N 0 3 322.456 4.480 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)cs1 ZINC000766528550 1051468668 /nfs/dbraw/zinc/46/86/68/1051468668.db2.gz MPXFNAJKHLBQPD-KBUPBQIOSA-N 0 3 308.491 4.299 20 0 DIADHN COC(=O)c1cccc(CN2CCCC[C@H]2c2ccccc2)c1 ZINC001168957709 1051479145 /nfs/dbraw/zinc/47/91/45/1051479145.db2.gz HNVYSLVMJBIFFA-IBGZPJMESA-N 0 3 309.409 4.200 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2Cc3ccc(Cl)cc3C2)o1 ZINC001172717033 1051479620 /nfs/dbraw/zinc/47/96/20/1051479620.db2.gz VIQLWWNKBKTUID-GFCCVEGCSA-N 0 3 315.722 4.209 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN([C@@H]2Cc3ccc(Cl)cc3C2)C1 ZINC001172720188 1051482442 /nfs/dbraw/zinc/48/24/42/1051482442.db2.gz WSQUVBWTRZHWQP-ZIAGYGMSSA-N 0 3 321.745 4.031 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172724906 1051484526 /nfs/dbraw/zinc/48/45/26/1051484526.db2.gz PXRLOFGTGSEMBX-OAHLLOKOSA-N 0 3 307.771 4.217 20 0 DIADHN O=C1CCC2(CC1)CCN([C@@H]1Cc3ccc(Cl)cc3C1)CC2 ZINC001172725425 1051485427 /nfs/dbraw/zinc/48/54/27/1051485427.db2.gz SRZQBPXGXTZMCZ-QGZVFWFLSA-N 0 3 317.860 4.033 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)NC1(c2ccccc2Cl)CCC1 ZINC001172750183 1051491516 /nfs/dbraw/zinc/49/15/16/1051491516.db2.gz CNRTXSWGNQQKLW-AWEZNQCLSA-N 0 3 323.864 4.429 20 0 DIADHN CSc1cc(F)c(Nc2ccc3c(c2)CN(C)CC3)cc1F ZINC001215968514 1051491964 /nfs/dbraw/zinc/49/19/64/1051491964.db2.gz QDLMOXWXEUZGMA-UHFFFAOYSA-N 0 3 320.408 4.418 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172708161 1051492534 /nfs/dbraw/zinc/49/25/34/1051492534.db2.gz CENBIEQVGSCSSA-IAQYHMDHSA-N 0 3 311.816 4.049 20 0 DIADHN Cc1cc2cc(NCc3cn4cccc(C)c4n3)ccc2cn1 ZINC001169021322 1051492852 /nfs/dbraw/zinc/49/28/52/1051492852.db2.gz AMDHJERUQRUBEX-UHFFFAOYSA-N 0 3 302.381 4.111 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2Cc3ccc(Cl)cc3C2)c1F ZINC001172709252 1051493223 /nfs/dbraw/zinc/49/32/23/1051493223.db2.gz WCGGKVLKVFLDJH-AWEZNQCLSA-N 0 3 307.771 4.184 20 0 DIADHN Fc1ccc(CN[C@H]2Cc3ccc(Cl)cc3C2)c(F)c1F ZINC001172708807 1051493650 /nfs/dbraw/zinc/49/36/50/1051493650.db2.gz NIJDXOKAQDKMSG-ZDUSSCGKSA-N 0 3 311.734 4.014 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)Nc1cccc(F)c1CN ZINC001169050942 1051495240 /nfs/dbraw/zinc/49/52/40/1051495240.db2.gz SSDZXQPPBINIMD-MRXNPFEDSA-N 0 3 300.421 4.354 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)NCC(=O)c1ccc(F)cc1 ZINC001169049269 1051495996 /nfs/dbraw/zinc/49/59/96/1051495996.db2.gz FXJCHTLKHZSEIF-IBGZPJMESA-N 0 3 313.416 4.255 20 0 DIADHN COCc1cc(OC)ccc1Nc1ccnc(C(C)(C)C)c1 ZINC001215977438 1051498526 /nfs/dbraw/zinc/49/85/26/1051498526.db2.gz KEEUXGSIJJSUEQ-UHFFFAOYSA-N 0 3 300.402 4.278 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@H]1c1ccccc1F ZINC001172759893 1051498659 /nfs/dbraw/zinc/49/86/59/1051498659.db2.gz SZFXNFVYAFPIRV-YOEHRIQHSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCCC[C@H]1c1ccccc1F ZINC001172758609 1051499450 /nfs/dbraw/zinc/49/94/50/1051499450.db2.gz BAFWXQCWPJQVGW-QAPCUYQASA-N 0 3 321.436 4.473 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CC[C@H](c2ccccc2Cl)C1 ZINC001172758371 1051499474 /nfs/dbraw/zinc/49/94/74/1051499474.db2.gz GTEJDXXOBWJYRL-GJZGRUSLSA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001172772267 1051502529 /nfs/dbraw/zinc/50/25/29/1051502529.db2.gz CNMYGYYTZZAQFF-GOEBONIOSA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)n1nc(CN)c2ccccc21 ZINC001169060473 1051502721 /nfs/dbraw/zinc/50/27/21/1051502721.db2.gz XVKUGJOZLGDQCG-QGZVFWFLSA-N 0 3 307.441 4.325 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)n1nc(CN)c2ccccc21 ZINC001169060472 1051502975 /nfs/dbraw/zinc/50/29/75/1051502975.db2.gz XVKUGJOZLGDQCG-KRWDZBQOSA-N 0 3 307.441 4.325 20 0 DIADHN Cc1ccc(F)c(CNC2CCC(Cc3ccccc3)CC2)n1 ZINC001172819785 1051511761 /nfs/dbraw/zinc/51/17/61/1051511761.db2.gz MEZOSBNQUXLHKA-UHFFFAOYSA-N 0 3 312.432 4.420 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)N1CCO[C@H](c2ccccn2)C1 ZINC001169085611 1051517775 /nfs/dbraw/zinc/51/77/75/1051517775.db2.gz PHGOAFXZYORAFK-FPOVZHCZSA-N 0 3 324.468 4.112 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC1(C)C ZINC001169091503 1051520789 /nfs/dbraw/zinc/52/07/89/1051520789.db2.gz OXVHAUWCLPAGGW-IAQYHMDHSA-N 0 3 316.272 4.395 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cnc(Cl)s1 ZINC001172837457 1051522618 /nfs/dbraw/zinc/52/26/18/1051522618.db2.gz NAMJMTJJMVHTAH-QMMMGPOBSA-N 0 3 319.232 4.310 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCC[C@@H](C(F)F)C1 ZINC001172867799 1051526021 /nfs/dbraw/zinc/52/60/21/1051526021.db2.gz AHGIZDJPTWXSCK-ZYHUDNBSSA-N 0 3 305.771 4.387 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cccc(F)c1F ZINC001172842933 1051530288 /nfs/dbraw/zinc/53/02/88/1051530288.db2.gz SPZYDDZEZISZAZ-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N[C@@H]1COc2ccccc21 ZINC001172843791 1051531720 /nfs/dbraw/zinc/53/17/20/1051531720.db2.gz ZGAMMMMRMTYDGH-MEDUHNTESA-N 0 3 305.780 4.133 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](NCc1cc(-c3ccccc3)on1)CC2 ZINC001172843482 1051532448 /nfs/dbraw/zinc/53/24/48/1051532448.db2.gz SDLJUXSXMDBIHD-IBGZPJMESA-N 0 3 318.420 4.297 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1cnccc1Cl ZINC001172855057 1051541759 /nfs/dbraw/zinc/54/17/59/1051541759.db2.gz ULRLBXZKKONOLY-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC001169179725 1051546866 /nfs/dbraw/zinc/54/68/66/1051546866.db2.gz YUGUXHFIIBWNDS-BARDWOONSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N[C@@H]1COc2ccc(F)cc21 ZINC001172862213 1051548133 /nfs/dbraw/zinc/54/81/33/1051548133.db2.gz XVXJJXMKZUDHLL-MGPLVRAMSA-N 0 3 323.770 4.273 20 0 DIADHN C[C@H]1CCCCN1c1cccc(N[C@H]2CCCC(F)(F)C2)n1 ZINC001169213895 1051550073 /nfs/dbraw/zinc/55/00/73/1051550073.db2.gz REHVDCMMSGUNLU-KBPBESRZSA-N 0 3 309.404 4.450 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC(CC(F)(F)F)CC1 ZINC000804715400 1051551633 /nfs/dbraw/zinc/55/16/33/1051551633.db2.gz QGHYIIUZQWGDPC-UHFFFAOYSA-N 0 3 324.346 4.412 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Cl)c2c[nH]nc21 ZINC001216058598 1051553993 /nfs/dbraw/zinc/55/39/93/1051553993.db2.gz ZARRPSOZKYEGTL-UHFFFAOYSA-N 0 3 300.793 4.022 20 0 DIADHN C[C@H](N[C@@H]1CCCOC1)c1ccccc1OCc1ccccc1 ZINC000177929033 1051554475 /nfs/dbraw/zinc/55/44/75/1051554475.db2.gz KRYAKYSMPOQUCR-FUHWJXTLSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1ccc(CN[C@H](C)CSc2ccc(Cl)cc2)nc1 ZINC001172871364 1051555333 /nfs/dbraw/zinc/55/53/33/1051555333.db2.gz OKZUCEUNPDLJIW-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2c1cccc2OC)c1ccccc1OC ZINC000177926658 1051556089 /nfs/dbraw/zinc/55/60/89/1051556089.db2.gz RBXBPKVQXBWFKE-QZTJIDSGSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NCc1cccnc1F ZINC001172874959 1051557533 /nfs/dbraw/zinc/55/75/33/1051557533.db2.gz JSSKMNBJGXPJDJ-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN Cc1noc(C)c1CN[C@H](C)CSc1ccc(Cl)cc1 ZINC001172875194 1051557659 /nfs/dbraw/zinc/55/76/59/1051557659.db2.gz MLKSGGFEMDPACO-SNVBAGLBSA-N 0 3 310.850 4.215 20 0 DIADHN CC1=Nc2ccc3cc(-n4ccc([C@@H](C)N)n4)ccc3c2C1(C)C ZINC001169233897 1051559692 /nfs/dbraw/zinc/55/96/92/1051559692.db2.gz UHLLUADPHBANFN-GFCCVEGCSA-N 0 3 318.424 4.429 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NCc1cccc(F)n1 ZINC001172876413 1051560226 /nfs/dbraw/zinc/56/02/26/1051560226.db2.gz ZAYCFKHYXJABPV-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN CCCCOc1ccc2cc(-n3ccc([C@@H](C)N)n3)ccc2c1 ZINC001169234534 1051560492 /nfs/dbraw/zinc/56/04/92/1051560492.db2.gz YBXBOALURANXFA-CQSZACIVSA-N 0 3 309.413 4.224 20 0 DIADHN CN(Cc1cc(Br)ccc1Cl)CC1CCC1 ZINC001169244081 1051562914 /nfs/dbraw/zinc/56/29/14/1051562914.db2.gz ZUZPEQRGCFLVHN-UHFFFAOYSA-N 0 3 302.643 4.334 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1cccc(Cl)c1Cl)C1CC1 ZINC000311485282 1051564286 /nfs/dbraw/zinc/56/42/86/1051564286.db2.gz SIDUJQYKCNSQCA-BMIGLBTASA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(CCCNc2cccc(N3CCCC[C@@H]3C)n2)cn1 ZINC001169257201 1051565231 /nfs/dbraw/zinc/56/52/31/1051565231.db2.gz YVGGMJBJSIFQDA-KRWDZBQOSA-N 0 3 324.472 4.209 20 0 DIADHN CN(C)Cc1ccc(-c2nc(-c3ccccc3Cl)no2)cc1 ZINC001216084297 1051571339 /nfs/dbraw/zinc/57/13/39/1051571339.db2.gz LVAIKZCRQFNMOQ-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN C[C@H](N[C@@H](CN1CCCC1)c1ccccc1)c1ccsc1 ZINC000178500115 1051574914 /nfs/dbraw/zinc/57/49/14/1051574914.db2.gz MWSDWGAZAJLBBX-YJBOKZPZSA-N 0 3 300.471 4.236 20 0 DIADHN CO[C@H]1CCC[C@@H](N(C)Cc2ccc(Cl)cc2Cl)C1 ZINC001172935262 1051576723 /nfs/dbraw/zinc/57/67/23/1051576723.db2.gz UBWZKURAYBLAIB-KGLIPLIRSA-N 0 3 302.245 4.383 20 0 DIADHN CO[C@@H]1CCC[C@@H](N(C)Cc2ccc(Cl)cc2Cl)C1 ZINC001172935264 1051576827 /nfs/dbraw/zinc/57/68/27/1051576827.db2.gz UBWZKURAYBLAIB-ZIAGYGMSSA-N 0 3 302.245 4.383 20 0 DIADHN CO[C@@H]1CCC[C@H](N2CCc3ccc(C(F)(F)F)cc3C2)C1 ZINC001172940144 1051577922 /nfs/dbraw/zinc/57/79/22/1051577922.db2.gz VMJWLEDKOOFDFP-JKSUJKDBSA-N 0 3 313.363 4.021 20 0 DIADHN CN(CCc1ccccc1)[C@]1(c2ccccc2)CCCCC1=O ZINC001169331707 1051583669 /nfs/dbraw/zinc/58/36/69/1051583669.db2.gz LXMFZUNENDMDKM-NRFANRHFSA-N 0 3 307.437 4.200 20 0 DIADHN CCCOC1CCC(NCc2cc(-c3ccccc3)on2)CC1 ZINC001173088830 1051596710 /nfs/dbraw/zinc/59/67/10/1051596710.db2.gz FWLYVZUTQVRMGM-UHFFFAOYSA-N 0 3 314.429 4.169 20 0 DIADHN CCCOC1CCC(NCc2c(F)ccc(F)c2Cl)CC1 ZINC001173091655 1051598750 /nfs/dbraw/zinc/59/87/50/1051598750.db2.gz YCFBOHXFHLPYCL-UHFFFAOYSA-N 0 3 317.807 4.446 20 0 DIADHN CC(C)[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001169382730 1051599214 /nfs/dbraw/zinc/59/92/14/1051599214.db2.gz MCPLKBLDZRFNJN-KGLIPLIRSA-N 0 3 308.853 4.035 20 0 DIADHN Cc1ccn2c(c1)nnc2CNC1CCC2(CCCCC2)CC1 ZINC001173117608 1051613700 /nfs/dbraw/zinc/61/37/00/1051613700.db2.gz PEZSCIVDMVSHTO-UHFFFAOYSA-N 0 3 312.461 4.020 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC1CCC3(CCCCC3)CC1)C(=O)N2 ZINC001173117809 1051614014 /nfs/dbraw/zinc/61/40/14/1051614014.db2.gz YYOOAKFQXSTHON-GOSISDBHSA-N 0 3 312.457 4.471 20 0 DIADHN Cc1ccc(CNc2ccc(CN(C)C)cn2)c2ccccc12 ZINC001169413810 1051614450 /nfs/dbraw/zinc/61/44/50/1051614450.db2.gz OQCZQONHXSFZFH-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN O=c1[nH]ccc2c1CCN(C1CCC3(CCCCC3)CC1)C2 ZINC001173121986 1051615873 /nfs/dbraw/zinc/61/58/73/1051615873.db2.gz WYDQSISDUAPGKO-UHFFFAOYSA-N 0 3 300.446 4.038 20 0 DIADHN CC[C@H](N[C@H](C)CC(=O)N(CC)CC)c1ccccc1Cl ZINC001169449916 1051616571 /nfs/dbraw/zinc/61/65/71/1051616571.db2.gz XMSZJANBSHEQLY-CJNGLKHVSA-N 0 3 310.869 4.028 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccs1)C(=O)N(C)c1ccc(C)cc1 ZINC001169430801 1051618507 /nfs/dbraw/zinc/61/85/07/1051618507.db2.gz HMWNLEMWHOSPDR-GDBMZVCRSA-N 0 3 316.470 4.149 20 0 DIADHN COc1cccc2c1C[C@@H](N[C@H](C)c1ccccc1Cl)CO2 ZINC001173145161 1051623032 /nfs/dbraw/zinc/62/30/32/1051623032.db2.gz PFPDKKIUZHJWHT-CHWSQXEVSA-N 0 3 317.816 4.003 20 0 DIADHN CC(C)(Nc1cccc(CN)n1)c1cc(Cl)cc(Cl)c1 ZINC001169463436 1051624519 /nfs/dbraw/zinc/62/45/19/1051624519.db2.gz QBXLRIGGXCHFNB-UHFFFAOYSA-N 0 3 310.228 4.194 20 0 DIADHN C[C@H](NC1CCC(Oc2ccccc2)CC1)c1cscn1 ZINC001173198529 1051629303 /nfs/dbraw/zinc/62/93/03/1051629303.db2.gz VJSXETPQTKELLA-HLIUYOAVSA-N 0 3 302.443 4.184 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1nc(Cc2ccccc2)no1 ZINC001170480171 1051638726 /nfs/dbraw/zinc/63/87/26/1051638726.db2.gz MMPHWLCUAQRZPH-LJQANCHMSA-N 0 3 313.445 4.061 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C)C3(CCCCC3)C2)cs1 ZINC001169539466 1051639048 /nfs/dbraw/zinc/63/90/48/1051639048.db2.gz NLWLKKJRTVSKSN-AWEZNQCLSA-N 0 3 321.486 4.327 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)N1CCOC[C@H]1C ZINC001173205665 1051642918 /nfs/dbraw/zinc/64/29/18/1051642918.db2.gz DMOBYPSXCSSHRR-QZTJIDSGSA-N 0 3 309.453 4.318 20 0 DIADHN Cc1cc(CN)nn1[C@@H](C)CC(c1ccccc1)c1ccccc1 ZINC001173206869 1051644801 /nfs/dbraw/zinc/64/48/01/1051644801.db2.gz XVVRKZBISHKHNG-KRWDZBQOSA-N 0 3 319.452 4.433 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccc2ccccc2n1 ZINC000493737301 1051651602 /nfs/dbraw/zinc/65/16/02/1051651602.db2.gz MKGUCXDVFMGODA-QRWLVFNGSA-N 0 3 306.409 4.101 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1ccccc1OC(F)F ZINC000493736173 1051651802 /nfs/dbraw/zinc/65/18/02/1051651802.db2.gz JVJRCIVSKFZAHQ-CXAGYDPISA-N 0 3 321.367 4.154 20 0 DIADHN CSC[C@@H](O)CN(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000493766740 1051652073 /nfs/dbraw/zinc/65/20/73/1051652073.db2.gz KRSDOVWQHFOARW-ZBFHGGJFSA-N 0 3 321.511 4.035 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc2ccccc2n1 ZINC000493737302 1051652224 /nfs/dbraw/zinc/65/22/24/1051652224.db2.gz MKGUCXDVFMGODA-YWZLYKJASA-N 0 3 306.409 4.101 20 0 DIADHN CCc1ccc(C[C@@H](C)N2CC3(C2)CC(F)(F)CS3)cc1 ZINC001173248180 1051652603 /nfs/dbraw/zinc/65/26/03/1051652603.db2.gz SGFZZWDRDHFNQO-CYBMUJFWSA-N 0 3 311.441 4.007 20 0 DIADHN CCCC[C@H](CC(C)C)N1CCc2nc(C3CC3)ncc2C1 ZINC001173260869 1051660402 /nfs/dbraw/zinc/66/04/02/1051660402.db2.gz ULTLHKSZYWARDT-QGZVFWFLSA-N 0 3 301.478 4.317 20 0 DIADHN CCCC[C@@H](CC(C)C)N1CCc2cnc(SC)nc2C1 ZINC001173260701 1051661609 /nfs/dbraw/zinc/66/16/09/1051661609.db2.gz SZGCJNNJHSZLQN-HNNXBMFYSA-N 0 3 307.507 4.162 20 0 DIADHN CC[C@@H]1CC[C@@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001173261951 1051663841 /nfs/dbraw/zinc/66/38/41/1051663841.db2.gz ALEPRVKCVRPFLQ-MWLCHTKSSA-N 0 3 306.759 4.422 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1ccccc1OC(C)C ZINC000493897826 1051667541 /nfs/dbraw/zinc/66/75/41/1051667541.db2.gz RLDHCUAAABXMSL-OXQOHEQNSA-N 0 3 313.441 4.340 20 0 DIADHN CC[C@H]1CC[C@@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001173269547 1051667676 /nfs/dbraw/zinc/66/76/76/1051667676.db2.gz XCFPXMHWLBETDY-CMPLNLGQSA-N 0 3 317.326 4.196 20 0 DIADHN CC[C@@H]1CC[C@H](NCc2coc(-c3cccc(OC)c3)n2)C1 ZINC001173274363 1051671181 /nfs/dbraw/zinc/67/11/81/1051671181.db2.gz IQCOURXFKRDBAI-HIFRSBDPSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1ccc(C[C@@H](C)NC(C)(C)C(=O)c2ccccc2)cc1 ZINC001173237531 1051671230 /nfs/dbraw/zinc/67/12/30/1051671230.db2.gz HYZRPTSNSMFOAR-MRXNPFEDSA-N 0 3 309.453 4.431 20 0 DIADHN COCOc1ccc(Nc2ccnc(C(C)(C)C)c2)c(OC)c1 ZINC001216285341 1051674323 /nfs/dbraw/zinc/67/43/23/1051674323.db2.gz XYIRGYSWPRRHMY-UHFFFAOYSA-N 0 3 316.401 4.114 20 0 DIADHN CCc1ccc(C[C@H](C)N(C)Cc2nc3ccccc3o2)cc1 ZINC001173242523 1051674665 /nfs/dbraw/zinc/67/46/65/1051674665.db2.gz GTCWJOZLMGPDNW-HNNXBMFYSA-N 0 3 308.425 4.453 20 0 DIADHN CC1(C)CO[C@@H](CNC2(c3ccc(Cl)c(Cl)c3)CC2)C1 ZINC001169703824 1051679636 /nfs/dbraw/zinc/67/96/36/1051679636.db2.gz BCAIOSZPKLGGMH-GFCCVEGCSA-N 0 3 314.256 4.387 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccc(F)cc1)c1ccccc1F ZINC000494046174 1051682830 /nfs/dbraw/zinc/68/28/30/1051682830.db2.gz CFDCWPBLXJDAJC-ROUUACIJSA-N 0 3 305.368 4.393 20 0 DIADHN CC[C@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1ccccc1C ZINC000494040331 1051686207 /nfs/dbraw/zinc/68/62/07/1051686207.db2.gz SEEHSKCYXKNITA-SJORKVTESA-N 0 3 316.420 4.427 20 0 DIADHN Cc1ccc([C@H](O)CN2CC(C)(C)[C@@H]2c2cccs2)cc1 ZINC000805839245 1051688794 /nfs/dbraw/zinc/68/87/94/1051688794.db2.gz OVLMMJZBBLPHLF-WBVHZDCISA-N 0 3 301.455 4.173 20 0 DIADHN CCCc1csc(CNC[C@H](C)c2ccc(F)cc2F)n1 ZINC000494107567 1051692728 /nfs/dbraw/zinc/69/27/28/1051692728.db2.gz MPMNJMGQJXXVBS-NSHDSACASA-N 0 3 310.413 4.267 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCS[C@H](C)CC2)c1C ZINC000494137126 1051692989 /nfs/dbraw/zinc/69/29/89/1051692989.db2.gz OLGKWHPJOFGTSY-CQSZACIVSA-N 0 3 305.487 4.142 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCc2cccc3[nH]ccc32)c1 ZINC000152531274 1051694077 /nfs/dbraw/zinc/69/40/77/1051694077.db2.gz GLMNEBNWORIUJJ-UHFFFAOYSA-N 0 3 316.351 4.368 20 0 DIADHN CCCc1csc(CNCC[C@@H](C)c2ccc(OC)cc2)n1 ZINC000494109138 1051694205 /nfs/dbraw/zinc/69/42/05/1051694205.db2.gz QPMSMXFPXSODTR-CQSZACIVSA-N 0 3 318.486 4.388 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCS[C@@H](C)CC1 ZINC000494133307 1051696475 /nfs/dbraw/zinc/69/64/75/1051696475.db2.gz BUQKNSADSIINKP-NWDGAFQWSA-N 0 3 314.292 4.338 20 0 DIADHN CN(CC[C@@H]1CCc2ccccc21)Cc1cc(C(C)(C)C)n[nH]1 ZINC001169781736 1051698933 /nfs/dbraw/zinc/69/89/33/1051698933.db2.gz UWXJXPMIFLXSKY-INIZCTEOSA-N 0 3 311.473 4.259 20 0 DIADHN NCc1ccc2c(n1)N([C@@H]1CCCC3(CCCCC3)C1)CCC2 ZINC001173341133 1051700520 /nfs/dbraw/zinc/70/05/20/1051700520.db2.gz KMZPROBFEILVIO-GOSISDBHSA-N 0 3 313.489 4.186 20 0 DIADHN NCc1ccc2c(n1)N([C@H]1CCCC3(CCCCC3)C1)CCC2 ZINC001173341134 1051700904 /nfs/dbraw/zinc/70/09/04/1051700904.db2.gz KMZPROBFEILVIO-SFHVURJKSA-N 0 3 313.489 4.186 20 0 DIADHN CCCOc1cccc(CCNCc2nc(CCC)cs2)c1 ZINC000494163732 1051700733 /nfs/dbraw/zinc/70/07/33/1051700733.db2.gz NYEDMWPMTOAVKA-UHFFFAOYSA-N 0 3 318.486 4.217 20 0 DIADHN O=C(CN[C@@H]1CCCC2(CCCCC2)C1)c1ccccc1F ZINC001173347440 1051705502 /nfs/dbraw/zinc/70/55/02/1051705502.db2.gz CMKIWENBSCOYRK-OAHLLOKOSA-N 0 3 303.421 4.491 20 0 DIADHN O=C1CC[C@@]2(CCCN([C@@H]3CCCC4(CCCCC4)C3)C2)O1 ZINC001173348352 1051705908 /nfs/dbraw/zinc/70/59/08/1051705908.db2.gz HGUCLGNGFVIEAH-VQIMIIECSA-N 0 3 305.462 4.051 20 0 DIADHN OCc1ccc(CN[C@@H](c2ccccc2F)C2CCCC2)cc1 ZINC000183111847 1051707398 /nfs/dbraw/zinc/70/73/98/1051707398.db2.gz CUTMHIKFGZMXGQ-HXUWFJFHSA-N 0 3 313.416 4.339 20 0 DIADHN CCCN([C@H](C)CSCC)[C@@H](C)C(=O)Nc1ccccc1C ZINC001173315291 1051708010 /nfs/dbraw/zinc/70/80/10/1051708010.db2.gz PETMRIBRWJJTLO-CVEARBPZSA-N 0 3 322.518 4.176 20 0 DIADHN CCSC[C@@H](C)N1CCN(c2cccc3sccc32)CC1 ZINC001173314819 1051709413 /nfs/dbraw/zinc/70/94/13/1051709413.db2.gz KXQUKGNOKXQUES-CQSZACIVSA-N 0 3 320.527 4.165 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H](Cc2ccccc2)C2CC2)n1 ZINC000766857245 1051712201 /nfs/dbraw/zinc/71/22/01/1051712201.db2.gz GBGPQMHKXQSDEK-OAHLLOKOSA-N 0 3 321.251 4.499 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1Cc1ccccc1C1CC1 ZINC001169880415 1051716323 /nfs/dbraw/zinc/71/63/23/1051716323.db2.gz NTWIPEGPXSLVRN-FQEVSTJZSA-N 0 3 315.457 4.262 20 0 DIADHN Fc1ccc([C@@H]2CCCN2C2Cc3cc(F)c(F)cc3C2)cc1 ZINC001173478728 1051726110 /nfs/dbraw/zinc/72/61/10/1051726110.db2.gz AAPCQHDRRRTHIP-IBGZPJMESA-N 0 3 317.354 4.408 20 0 DIADHN Fc1cc2c(cc1F)CC(N1CCC(c3cccs3)CC1)C2 ZINC001173482218 1051726286 /nfs/dbraw/zinc/72/62/86/1051726286.db2.gz FFWDJFXKLLVIAU-UHFFFAOYSA-N 0 3 319.420 4.373 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H]1CCc2nc(Cl)ccc21 ZINC000806133882 1051732273 /nfs/dbraw/zinc/73/22/73/1051732273.db2.gz DSDCKTRCHLNNJM-GJZGRUSLSA-N 0 3 302.780 4.139 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1C1Cc2cc(F)c(F)cc2C1 ZINC001173487681 1051733381 /nfs/dbraw/zinc/73/33/81/1051733381.db2.gz QJHONJGVKIPRLW-IBGZPJMESA-N 0 3 317.354 4.408 20 0 DIADHN Cc1ccc(CCC2CCN([C@@H](C)c3cnccn3)CC2)cc1 ZINC000184414868 1051733968 /nfs/dbraw/zinc/73/39/68/1051733968.db2.gz GCPWIGQJOFMELF-KRWDZBQOSA-N 0 3 309.457 4.191 20 0 DIADHN C[C@@H](N)c1ccn([C@@H](C)CCc2ccc(Cl)c(Cl)c2)n1 ZINC001173540593 1051735454 /nfs/dbraw/zinc/73/54/54/1051735454.db2.gz ZJMLICDEPBGDRD-WDEREUQCSA-N 0 3 312.244 4.403 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806135099 1051735718 /nfs/dbraw/zinc/73/57/18/1051735718.db2.gz FVGYOTYBZMKUJG-IAQYHMDHSA-N 0 3 302.805 4.082 20 0 DIADHN COc1ccc2oc(CN3C[C@@H](C)C[C@H]3c3cccnc3)cc2c1 ZINC000184570521 1051738344 /nfs/dbraw/zinc/73/83/44/1051738344.db2.gz RAGCHDNHZRZWCL-LIRRHRJNSA-N 0 3 322.408 4.420 20 0 DIADHN Cc1cc(CN[C@@H](C)CCc2ccc(Cl)c(Cl)c2)on1 ZINC001173543589 1051738424 /nfs/dbraw/zinc/73/84/24/1051738424.db2.gz NBFLAYAMHKZYKZ-JTQLQIEISA-N 0 3 313.228 4.401 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H]3CCCC[C@@H]3C)o2)cc1 ZINC000184585864 1051738656 /nfs/dbraw/zinc/73/86/56/1051738656.db2.gz BYGQXHFCBHWWAV-BBRMVZONSA-N 0 3 300.402 4.019 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N[C@H]1CCCN2CCCC[C@H]12 ZINC001130518766 1051741916 /nfs/dbraw/zinc/74/19/16/1051741916.db2.gz QEWLCDRNCRAZKZ-SCTDSRPQSA-N 0 3 308.510 4.116 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806130586 1051744972 /nfs/dbraw/zinc/74/49/72/1051744972.db2.gz BDYPSPJVEPBSII-INIZCTEOSA-N 0 3 316.832 4.301 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806130164 1051745140 /nfs/dbraw/zinc/74/51/40/1051745140.db2.gz RCDXCJVLGWCZRX-INIZCTEOSA-N 0 3 316.832 4.299 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)n1ncc2c1CCNC2 ZINC001173548965 1051749966 /nfs/dbraw/zinc/74/99/66/1051749966.db2.gz FFCSHRVWSCYENL-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCc2nc(Cl)ccc21 ZINC000806150048 1051755451 /nfs/dbraw/zinc/75/54/51/1051755451.db2.gz KHJBPFNETVZEAI-ABAIWWIYSA-N 0 3 301.821 4.031 20 0 DIADHN CSCCCCCNCc1ncc(-c2ccccc2)s1 ZINC000185165067 1051757881 /nfs/dbraw/zinc/75/78/81/1051757881.db2.gz ZJPVNZSAGFNVKP-UHFFFAOYSA-N 0 3 306.500 4.433 20 0 DIADHN CCCNc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806162355 1051764790 /nfs/dbraw/zinc/76/47/90/1051764790.db2.gz VHSHPXXTTKXUCC-INIZCTEOSA-N 0 3 315.848 4.334 20 0 DIADHN C[C@H](CN[C@@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccccc1 ZINC000185437099 1051766897 /nfs/dbraw/zinc/76/68/97/1051766897.db2.gz QABSZIVUUUMJAU-FOIQADDNSA-N 0 3 323.415 4.042 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000185650351 1051779640 /nfs/dbraw/zinc/77/96/40/1051779640.db2.gz JYBBTBGOZFKIBO-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN O=C(CCN(Cc1ccc(C(F)(F)F)cc1)C1CC1)C1CC1 ZINC000767358141 1051792274 /nfs/dbraw/zinc/79/22/74/1051792274.db2.gz BZYPSUBZUYDSAQ-UHFFFAOYSA-N 0 3 311.347 4.039 20 0 DIADHN CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NCc1cc(C)oc1C ZINC000767371016 1051796137 /nfs/dbraw/zinc/79/61/37/1051796137.db2.gz SKQADMSUELSLSK-SJKOYZFVSA-N 0 3 307.821 4.416 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2cc(Cl)cc(Cl)c2)c(C)o1 ZINC000767371342 1051797689 /nfs/dbraw/zinc/79/76/89/1051797689.db2.gz URJVEEQYNMNOEC-OAHLLOKOSA-N 0 3 314.212 4.026 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc2c(c1)COC(C)(C)O2 ZINC000186250407 1051802946 /nfs/dbraw/zinc/80/29/46/1051802946.db2.gz VIXIVGBFMBBWJM-UHFFFAOYSA-N 0 3 315.388 4.103 20 0 DIADHN C/C(=C\c1ccccc1)CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186439845 1051814413 /nfs/dbraw/zinc/81/44/13/1051814413.db2.gz MUTOLCDTOYCQHX-ZDJZVTAXSA-N 0 3 305.421 4.221 20 0 DIADHN c1c2ccccc2oc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000186435864 1051815074 /nfs/dbraw/zinc/81/50/74/1051815074.db2.gz RNVFHSLTJKJCMY-HXUWFJFHSA-N 0 3 305.377 4.064 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186435217 1051815177 /nfs/dbraw/zinc/81/51/77/1051815177.db2.gz NQSDUBYAEFKDEW-NRFANRHFSA-N 0 3 323.436 4.105 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3c(F)cccc3F)c2C1 ZINC001173860481 1051832360 /nfs/dbraw/zinc/83/23/60/1051832360.db2.gz XRDPSDZLNBIWDR-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN CCOC(CN(CC)Cc1ccc2ccccc2c1)OCC ZINC000767757730 1051848093 /nfs/dbraw/zinc/84/80/93/1051848093.db2.gz BJOHUFRUQCOYLK-UHFFFAOYSA-N 0 3 301.430 4.061 20 0 DIADHN COCC1=CCN(Cc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000187622144 1051851503 /nfs/dbraw/zinc/85/15/03/1051851503.db2.gz PSXLEPMNSMAZSP-UHFFFAOYSA-N 0 3 315.388 4.173 20 0 DIADHN CCOC(CN([C@H](C)c1ccc(Cl)cc1)C1CC1)OCC ZINC000767795756 1051852940 /nfs/dbraw/zinc/85/29/40/1051852940.db2.gz IJFVJUYSPAMMNI-CYBMUJFWSA-N 0 3 311.853 4.265 20 0 DIADHN C[C@H](NC1CCCCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000767810980 1051853793 /nfs/dbraw/zinc/85/37/93/1051853793.db2.gz NYTHYNKHERLBKI-NSHDSACASA-N 0 3 305.809 4.373 20 0 DIADHN CCOC(CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C)OCC ZINC000767818124 1051853963 /nfs/dbraw/zinc/85/39/63/1051853963.db2.gz NPJVKHSJSQECLP-ISTRZQFTSA-N 0 3 311.853 4.026 20 0 DIADHN C[C@H](N[C@@H]1CCOC2(CCCCC2)C1)c1c(F)cccc1F ZINC000767820508 1051856228 /nfs/dbraw/zinc/85/62/28/1051856228.db2.gz SPDZZSUTKJUUQN-UONOGXRCSA-N 0 3 309.400 4.497 20 0 DIADHN CC(=O)c1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc1 ZINC001173898791 1051864801 /nfs/dbraw/zinc/86/48/01/1051864801.db2.gz LVFLSHWIVILKTN-SFHVURJKSA-N 0 3 310.397 4.018 20 0 DIADHN CCCc1csc(CNCC2(c3ccc(F)cc3)CC2)n1 ZINC000494322196 1051876359 /nfs/dbraw/zinc/87/63/59/1051876359.db2.gz FPURZAYEJRFIKF-UHFFFAOYSA-N 0 3 304.434 4.056 20 0 DIADHN Cc1nc2ccc(CNCc3cccc4cccnc43)cc2s1 ZINC000494313728 1051876881 /nfs/dbraw/zinc/87/68/81/1051876881.db2.gz PIDPOODXIHMEQG-UHFFFAOYSA-N 0 3 319.433 4.443 20 0 DIADHN C[C@H](NC1CC(C)(C)N(O)C(C)(C)C1)c1c(F)cccc1F ZINC001169981543 1051879324 /nfs/dbraw/zinc/87/93/24/1051879324.db2.gz VPMGDFNSQBCSJS-NSHDSACASA-N 0 3 312.404 4.026 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2N[C@H]1CCCc2nc(C)ncc21 ZINC000768102869 1051881807 /nfs/dbraw/zinc/88/18/07/1051881807.db2.gz CSHTVWKAQBLUDU-VMDGZTHMSA-N 0 3 307.441 4.309 20 0 DIADHN C[C@H]1COC(C)(C)CN1Cc1ccc(-c2ccsc2)cc1 ZINC001169985803 1051884876 /nfs/dbraw/zinc/88/48/76/1051884876.db2.gz GJFOHWQQJSVKPY-AWEZNQCLSA-N 0 3 301.455 4.414 20 0 DIADHN CCCc1csc(CNCC2(c3ccccc3C)CC2)n1 ZINC000494378242 1051886037 /nfs/dbraw/zinc/88/60/37/1051886037.db2.gz QEUIIGSEGSVFNV-UHFFFAOYSA-N 0 3 300.471 4.225 20 0 DIADHN CC(C)n1ncc2cc(CN3C[C@H](C)C[C@@H](C)C3)c(Cl)nc21 ZINC000768137324 1051888293 /nfs/dbraw/zinc/88/82/93/1051888293.db2.gz MAAXCJMFFHKCPL-CHWSQXEVSA-N 0 3 320.868 4.144 20 0 DIADHN COC[C@H](NC[C@]1(C)CC1(Cl)Cl)c1cccc(Cl)c1 ZINC000829935694 1051899217 /nfs/dbraw/zinc/89/92/17/1051899217.db2.gz NTRLGTQGVSSXMO-STQMWFEESA-N 0 3 322.663 4.201 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(F)cc3F)cccc21 ZINC001173927978 1051899747 /nfs/dbraw/zinc/89/97/47/1051899747.db2.gz ISRGJVWQNIRFKF-INIZCTEOSA-N 0 3 304.340 4.094 20 0 DIADHN CN(C)Cc1ccccc1Nc1nc2cc(Cl)ccc2o1 ZINC001173928102 1051899847 /nfs/dbraw/zinc/89/98/47/1051899847.db2.gz XZSZPRMHLQTWMQ-UHFFFAOYSA-N 0 3 301.777 4.286 20 0 DIADHN Cc1cnn(-c2ccccc2C)c1Nc1ccccc1CN(C)C ZINC001173929086 1051900904 /nfs/dbraw/zinc/90/09/04/1051900904.db2.gz UQVRYPKEQXKIIG-UHFFFAOYSA-N 0 3 320.440 4.294 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cscc1C)CCS2 ZINC000494471316 1051901549 /nfs/dbraw/zinc/90/15/49/1051901549.db2.gz FMHYJBIOGKMVOT-OAHLLOKOSA-N 0 3 305.468 4.392 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccccc1N1CCCCC1 ZINC000768196431 1051901428 /nfs/dbraw/zinc/90/14/28/1051901428.db2.gz DDPODEHBQGJCGZ-OAQYLSRUSA-N 0 3 323.484 4.353 20 0 DIADHN Cc1cc(Nc2ccccc2CN(C)C)n(-c2cccc(F)c2)n1 ZINC001173929800 1051902519 /nfs/dbraw/zinc/90/25/19/1051902519.db2.gz IOLUCZAVJDSZOP-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc2c(c1)C(C)(C)CC(=O)N2 ZINC001173931078 1051902707 /nfs/dbraw/zinc/90/27/07/1051902707.db2.gz FAZLJZOLILDRMB-UHFFFAOYSA-N 0 3 323.440 4.112 20 0 DIADHN Cc1cc(Nc2ccccc2CN(C)C)n(-c2ccccc2F)n1 ZINC001173930016 1051902898 /nfs/dbraw/zinc/90/28/98/1051902898.db2.gz LYHNWXLUDBBIPD-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccccc1Br ZINC001173929667 1051903088 /nfs/dbraw/zinc/90/30/88/1051903088.db2.gz JURDGRVBUCVJEM-UHFFFAOYSA-N 0 3 305.219 4.254 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(N)cc(C(F)(F)F)c1 ZINC001173929673 1051903468 /nfs/dbraw/zinc/90/34/68/1051903468.db2.gz KARVEFRELNONCI-UHFFFAOYSA-N 0 3 309.335 4.093 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccccc2)Cc2ccccc21 ZINC000768197688 1051904472 /nfs/dbraw/zinc/90/44/72/1051904472.db2.gz WLROMEUGMXHRFI-HNNXBMFYSA-N 0 3 303.409 4.196 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Oc2ncccn2)cc1 ZINC001173932703 1051905249 /nfs/dbraw/zinc/90/52/49/1051905249.db2.gz WPWJBDOVFNQFDL-UHFFFAOYSA-N 0 3 320.396 4.074 20 0 DIADHN Cc1ccc(C)c([C@H](NCCCCO)c2ccccc2Cl)c1 ZINC000494513837 1051906574 /nfs/dbraw/zinc/90/65/74/1051906574.db2.gz MELUIXOPTSCKFO-LJQANCHMSA-N 0 3 317.860 4.408 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccnn2-c2ccccc2)c(C)c1 ZINC000467366336 1051920348 /nfs/dbraw/zinc/92/03/48/1051920348.db2.gz VBZZLMSCRRNWQQ-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN COc1ccc(C)cc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001173987269 1051921330 /nfs/dbraw/zinc/92/13/30/1051921330.db2.gz YZXYLFLYZUMYSU-QGZVFWFLSA-N 0 3 312.413 4.132 20 0 DIADHN Cc1cc(CN2CC[C@H](Cc3ccccc3)C2)cnc1Cl ZINC000768365986 1051923422 /nfs/dbraw/zinc/92/34/22/1051923422.db2.gz YMFZJCSHDSWLGJ-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@H]1C[C@H](O)c1ccco1 ZINC000768507369 1051935990 /nfs/dbraw/zinc/93/59/90/1051935990.db2.gz BPSNFBXANVHCDK-IRXDYDNUSA-N 0 3 319.832 4.330 20 0 DIADHN c1ccc(OC2CCN(Cc3nccc4ccccc43)CC2)cc1 ZINC000768528830 1051937709 /nfs/dbraw/zinc/93/77/09/1051937709.db2.gz XYBZXANZWFABLA-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN C[C@@H](NCC1(O)CCCCC1)c1cc(Cl)sc1Cl ZINC000223028366 1051939060 /nfs/dbraw/zinc/93/90/60/1051939060.db2.gz IRPVKGDNUUZKHF-SECBINFHSA-N 0 3 308.274 4.401 20 0 DIADHN OCc1cccc(CN[C@H]2CCSc3ccc(Cl)cc32)c1 ZINC000223252104 1051943730 /nfs/dbraw/zinc/94/37/30/1051943730.db2.gz PMUPMHNOOIXKDH-INIZCTEOSA-N 0 3 319.857 4.159 20 0 DIADHN C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CCCCN1Cc1cccs1 ZINC000768545279 1051945636 /nfs/dbraw/zinc/94/56/36/1051945636.db2.gz XEGSOVRQDVRBLZ-ZFWWWQNUSA-N 0 3 324.490 4.016 20 0 DIADHN O=C(c1ccc(Nc2ccnc(C3CCC3)c2)cc1)N1CCCC1 ZINC001174066812 1051948215 /nfs/dbraw/zinc/94/82/15/1051948215.db2.gz VPGSMZTYBCAMIB-UHFFFAOYSA-N 0 3 321.424 4.329 20 0 DIADHN COc1ccccc1[C@@H](NC1CC(C(F)F)C1)c1ccccc1 ZINC000768691682 1051954609 /nfs/dbraw/zinc/95/46/09/1051954609.db2.gz VWEJHUORZNBTST-JMLCCBQJSA-N 0 3 317.379 4.418 20 0 DIADHN Cc1cccc(C(C)(C)NCc2ccc(N(C)C(C)C)nc2)c1 ZINC000191125104 1051962536 /nfs/dbraw/zinc/96/25/36/1051962536.db2.gz ZQQMEWOGSMBMHF-UHFFFAOYSA-N 0 3 311.473 4.260 20 0 DIADHN Cc1c([C@H](C)NCCOc2cccc(Cl)c2)cnn1C(C)C ZINC000191223691 1051965616 /nfs/dbraw/zinc/96/56/16/1051965616.db2.gz OJCGZTLFHCBRBX-ZDUSSCGKSA-N 0 3 321.852 4.155 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCCC3(C)C)co2)cc1 ZINC000191297132 1051967816 /nfs/dbraw/zinc/96/78/16/1051967816.db2.gz HNTNRRWVRRGNKX-INIZCTEOSA-N 0 3 300.402 4.019 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cncc4ccccc43)cccc21 ZINC001174103471 1051977423 /nfs/dbraw/zinc/97/74/23/1051977423.db2.gz VJSBHCTVDSHANG-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN COC[C@H](NC[C@@H](C)Oc1ccccc1)c1ccc(Cl)cc1 ZINC000494592083 1051982944 /nfs/dbraw/zinc/98/29/44/1051982944.db2.gz NLQSKLZWSGJRKB-KDOFPFPSSA-N 0 3 319.832 4.085 20 0 DIADHN C[C@@H]1CCSCCN1Cc1cnc(-c2ccccc2)s1 ZINC000191811857 1051988264 /nfs/dbraw/zinc/98/82/64/1051988264.db2.gz NZWPVQOWJONDRP-CYBMUJFWSA-N 0 3 304.484 4.138 20 0 DIADHN C[C@H]1CCSCCN1Cc1cnc(-c2ccccc2)s1 ZINC000191811874 1051988536 /nfs/dbraw/zinc/98/85/36/1051988536.db2.gz NZWPVQOWJONDRP-ZDUSSCGKSA-N 0 3 304.484 4.138 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H]3CCCC3(C)C)o2)cc1 ZINC000191855251 1051989621 /nfs/dbraw/zinc/98/96/21/1051989621.db2.gz UQIXSFQJGOUVRI-INIZCTEOSA-N 0 3 300.402 4.019 20 0 DIADHN CCN(CCc1ccccn1)Cc1cc(C)ccc1OC(F)F ZINC000769035489 1051990462 /nfs/dbraw/zinc/99/04/62/1051990462.db2.gz IXNJCIBUYRPZKO-UHFFFAOYSA-N 0 3 320.383 4.056 20 0 DIADHN CCN(CCc1ccccn1)Cc1cnc(C2CCCC2)s1 ZINC000769032119 1051991417 /nfs/dbraw/zinc/99/14/17/1051991417.db2.gz AVGHOIUZUPTJCF-UHFFFAOYSA-N 0 3 315.486 4.260 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1c(C)ccc2ccccc21 ZINC000494642981 1051996982 /nfs/dbraw/zinc/99/69/82/1051996982.db2.gz LWLLUYQTROBNER-GOSISDBHSA-N 0 3 311.450 4.341 20 0 DIADHN CCCN(Cc1coc(C2CC2)n1)[C@H]1CCc2ccccc2C1 ZINC000769050805 1051997178 /nfs/dbraw/zinc/99/71/78/1051997178.db2.gz SZKVXKJTKDHZMT-IBGZPJMESA-N 0 3 310.441 4.322 20 0 DIADHN CCCN(Cc1coc(C2CC2)n1)[C@@H]1CCc2ccccc2C1 ZINC000769050808 1051997437 /nfs/dbraw/zinc/99/74/37/1051997437.db2.gz SZKVXKJTKDHZMT-LJQANCHMSA-N 0 3 310.441 4.322 20 0 DIADHN C[C@H](NCCSc1cc(Cl)ccc1Cl)c1cn[nH]c1 ZINC000494703764 1052006646 /nfs/dbraw/zinc/00/66/46/1052006646.db2.gz OGHFZLSNXWRQNQ-VIFPVBQESA-N 0 3 316.257 4.159 20 0 DIADHN CC(C)NC(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001174148632 1052019454 /nfs/dbraw/zinc/01/94/54/1052019454.db2.gz BCHOGLFNXIYFPH-UHFFFAOYSA-N 0 3 311.429 4.261 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CN(CC1CC1)C1CCCCC1 ZINC000769310026 1052022560 /nfs/dbraw/zinc/02/25/60/1052022560.db2.gz WNTBWGARSMNTPQ-UHFFFAOYSA-N 0 3 316.276 4.272 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C2(c3ccccc3)CCCC2)c1 ZINC000192839525 1052022821 /nfs/dbraw/zinc/02/28/21/1052022821.db2.gz POHJDTABQURWGE-UHFFFAOYSA-N 0 3 322.452 4.199 20 0 DIADHN Clc1csc(CN[C@H](CN2CCCC2)c2ccccc2)c1 ZINC000192991630 1052024826 /nfs/dbraw/zinc/02/48/26/1052024826.db2.gz CORLUQWOBNWKEE-QGZVFWFLSA-N 0 3 320.889 4.328 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1cnc(-c2ccco2)s1 ZINC000193015151 1052025641 /nfs/dbraw/zinc/02/56/41/1052025641.db2.gz VCHQHMPYWVXDTK-UKRRQHHQSA-N 0 3 320.458 4.088 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@H](c3ccco3)C2)o1 ZINC000769388678 1052037135 /nfs/dbraw/zinc/03/71/35/1052037135.db2.gz HHJOAKJNGLXFAG-NSHDSACASA-N 0 3 313.369 4.318 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cncc3ccccc32)n1 ZINC000769425531 1052045871 /nfs/dbraw/zinc/04/58/71/1052045871.db2.gz IESFBIQEJICUBY-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N(C)Cc1coc(C2CC2)n1 ZINC000769515808 1052072660 /nfs/dbraw/zinc/07/26/60/1052072660.db2.gz HTYSZZXXMCTOCH-GOSISDBHSA-N 0 3 316.420 4.390 20 0 DIADHN CC(C)N(CC[C@H](O)c1ccccc1)Cc1ccccc1F ZINC000808714373 1052075883 /nfs/dbraw/zinc/07/58/83/1052075883.db2.gz VSWFFMHFJRWHNT-IBGZPJMESA-N 0 3 301.405 4.160 20 0 DIADHN Cc1cccc([C@H](NCCc2ccccc2F)c2ccccn2)c1 ZINC000769539837 1052077262 /nfs/dbraw/zinc/07/72/62/1052077262.db2.gz ZRKWWTPHPJWQNV-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@@H](NCc1cccc2nccn21)c1csc2ccccc21 ZINC000769693567 1052094002 /nfs/dbraw/zinc/09/40/02/1052094002.db2.gz ROWVPYQOPGMIQN-CYBMUJFWSA-N 0 3 307.422 4.400 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCSCc1ccc(C)cc1 ZINC000769865260 1052114364 /nfs/dbraw/zinc/11/43/64/1052114364.db2.gz AWHMOHLTVBBMSK-CQSZACIVSA-N 0 3 318.486 4.438 20 0 DIADHN Cc1noc2ccc(NC3=CCCN(Cc4ccccc4)C3)cc12 ZINC001174394529 1052115646 /nfs/dbraw/zinc/11/56/46/1052115646.db2.gz KJTIHJXUNJKUFY-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN Cc1csc(C2(NCCCc3cccc(C)c3)CCC2)n1 ZINC000494810614 1052120455 /nfs/dbraw/zinc/12/04/55/1052120455.db2.gz SPVULEVATLPCNQ-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCOc1cccc2ccccc21 ZINC000769873261 1052124998 /nfs/dbraw/zinc/12/49/98/1052124998.db2.gz KRKCKAKKONDOJT-AWEZNQCLSA-N 0 3 324.424 4.428 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](CC)Oc1ccccc1F ZINC000769875756 1052125946 /nfs/dbraw/zinc/12/59/46/1052125946.db2.gz PRMZPVYQEPYRBS-GXTWGEPZSA-N 0 3 320.408 4.193 20 0 DIADHN CCCCCc1nnc([C@@H]2C[C@@H](Cc3ccccc3C)CN2)o1 ZINC001217735079 1052128033 /nfs/dbraw/zinc/12/80/33/1052128033.db2.gz GNBLVTKKGGFQGS-WBVHZDCISA-N 0 3 313.445 4.004 20 0 DIADHN Cc1csc(C2(NCCCCc3ccccc3)CCC2)n1 ZINC000494812438 1052129233 /nfs/dbraw/zinc/12/92/33/1052129233.db2.gz YIQCSXASBFAZSK-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN CC[C@H](C)[C@@H](NC)c1nnc(-c2ccc(OCC(C)C)cc2)o1 ZINC001217735538 1052130723 /nfs/dbraw/zinc/13/07/23/1052130723.db2.gz QKENJOFTBWPENR-XJKSGUPXSA-N 0 3 317.433 4.078 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1ccnc(C)n1 ZINC000670109267 1052137651 /nfs/dbraw/zinc/13/76/51/1052137651.db2.gz AACBBIPZWSHACY-UHFFFAOYSA-N 0 3 303.475 4.427 20 0 DIADHN CC(C)(Cl)c1ccc(C(C)(C)O[C@@H]2CCNC[C@@H]2F)cc1 ZINC001217793811 1052143174 /nfs/dbraw/zinc/14/31/74/1052143174.db2.gz GIYHJBMUOVFJTG-LSDHHAIUSA-N 0 3 313.844 4.112 20 0 DIADHN F[C@H]1CNCC[C@@H]1OCc1ccc(/C=C/c2ccccc2)cc1 ZINC001217839945 1052153222 /nfs/dbraw/zinc/15/32/22/1052153222.db2.gz BXAZZBHKOJNTFY-ZBWOGFRMSA-N 0 3 311.400 4.074 20 0 DIADHN Fc1ccc([C@H](C[C@H]2CCOC2)NCc2cccc(F)c2)cc1 ZINC000494920943 1052174402 /nfs/dbraw/zinc/17/44/02/1052174402.db2.gz FZEQPZPNHMHUDG-BEFAXECRSA-N 0 3 317.379 4.222 20 0 DIADHN Cc1cc(CN[C@H](CCO)c2ccc(Cl)cc2)c(C)s1 ZINC000347441274 1052184841 /nfs/dbraw/zinc/18/48/41/1052184841.db2.gz XWDNBEBKNRIWSV-MRXNPFEDSA-N 0 3 309.862 4.232 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001218066985 1052192190 /nfs/dbraw/zinc/19/21/90/1052192190.db2.gz PKPILGBVNULGQS-SJORKVTESA-N 0 3 305.780 4.086 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1cccc2c(Cl)ccc(Cl)c12 ZINC001218065730 1052192560 /nfs/dbraw/zinc/19/25/60/1052192560.db2.gz YNVDWQPBWAAGFX-CHWSQXEVSA-N 0 3 314.187 4.225 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1cccc2c3ccccc3sc21 ZINC001218069664 1052192924 /nfs/dbraw/zinc/19/29/24/1052192924.db2.gz JRWNJCAPEYGXHK-KGLIPLIRSA-N 0 3 301.386 4.133 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218068550 1052192993 /nfs/dbraw/zinc/19/29/93/1052192993.db2.gz WTUZNPRUZQGPHD-FUHWJXTLSA-N 0 3 319.807 4.010 20 0 DIADHN Brc1ccsc1CN1CCC[C@H]2CCC[C@H]21 ZINC000228042333 1052197038 /nfs/dbraw/zinc/19/70/38/1052197038.db2.gz QFTASMAYEVBFOA-ZYHUDNBSSA-N 0 3 300.265 4.275 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CCC1)c1ccc(C(=O)N(C)C)cc1 ZINC000495083833 1052211345 /nfs/dbraw/zinc/21/13/45/1052211345.db2.gz ZPYRDOSFRIBNSH-MRXNPFEDSA-N 0 3 322.452 4.118 20 0 DIADHN CC(C)(c1ccccc1)c1ccc(O[C@@H]2CCNC[C@@H]2F)cc1 ZINC001218248121 1052211626 /nfs/dbraw/zinc/21/16/26/1052211626.db2.gz QZQWHASMUUFWHI-RBUKOAKNSA-N 0 3 313.416 4.091 20 0 DIADHN Cc1cccc(C2(Nc3ccc4cnc(C)cc4c3)COC2)c1 ZINC001168477518 1052221037 /nfs/dbraw/zinc/22/10/37/1052221037.db2.gz GKSAHCZREFINDJ-UHFFFAOYSA-N 0 3 304.393 4.189 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1cccc(Br)c1 ZINC001218337015 1052227602 /nfs/dbraw/zinc/22/76/02/1052227602.db2.gz PDHQZGUHNCSCQG-NSHDSACASA-N 0 3 318.214 4.192 20 0 DIADHN Brc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)s1 ZINC000309682330 1052233275 /nfs/dbraw/zinc/23/32/75/1052233275.db2.gz AYZFCFZNUWBIEY-PHIMTYICSA-N 0 3 300.265 4.133 20 0 DIADHN CCSc1cc(CNCc2cccc3cccnc32)ccn1 ZINC000495149531 1052235334 /nfs/dbraw/zinc/23/53/34/1052235334.db2.gz XSAQNJUQQOXWGX-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN CNc1ccc(O[C@H]2CCN(Cc3ccccc3Cl)C2)cc1 ZINC001218410986 1052235875 /nfs/dbraw/zinc/23/58/75/1052235875.db2.gz SNYAZEVWTUPAEU-KRWDZBQOSA-N 0 3 316.832 4.035 20 0 DIADHN Clc1ccccc1C[C@@H]1CCCN1C/C=C\c1ccncc1 ZINC000495162717 1052238962 /nfs/dbraw/zinc/23/89/62/1052238962.db2.gz CJKNBECYHFEOQV-AJNOYIKESA-N 0 3 312.844 4.455 20 0 DIADHN Cc1ccc(-c2ccc(O[C@@H]3CNCCC3(F)F)cc2)cc1 ZINC001218449847 1052240085 /nfs/dbraw/zinc/24/00/85/1052240085.db2.gz WLDRWFUAXXZLMW-QGZVFWFLSA-N 0 3 303.352 4.038 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2)o1 ZINC000495165741 1052241887 /nfs/dbraw/zinc/24/18/87/1052241887.db2.gz LACYLOFXEASJAY-OLZOCXBDSA-N 0 3 322.811 4.310 20 0 DIADHN FC1(F)CCNC[C@@H]1Oc1ccc(Cl)cc1-c1ccccc1 ZINC001218451119 1052241840 /nfs/dbraw/zinc/24/18/40/1052241840.db2.gz CPADUFWMNYRYNO-INIZCTEOSA-N 0 3 323.770 4.383 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1cc(-c2ccccc2)no1 ZINC001218454320 1052241897 /nfs/dbraw/zinc/24/18/97/1052241897.db2.gz DUKOLHDMGIXDEN-ZDUSSCGKSA-N 0 3 306.365 4.085 20 0 DIADHN CCSc1cc(CNCc2cc(C)c3ncccc3c2)ccn1 ZINC000495171391 1052245035 /nfs/dbraw/zinc/24/50/35/1052245035.db2.gz FOOSFJWYVGZWIZ-UHFFFAOYSA-N 0 3 323.465 4.340 20 0 DIADHN Fc1ccc(O[C@H]2CNCc3ccccc32)c(C(F)(F)F)c1 ZINC001218485687 1052245788 /nfs/dbraw/zinc/24/57/88/1052245788.db2.gz DWZKOWXZRGNMEP-HNNXBMFYSA-N 0 3 311.278 4.068 20 0 DIADHN CCCc1cc(F)c(O[C@@H]2CNCc3ccccc32)c(F)c1 ZINC001218485821 1052247415 /nfs/dbraw/zinc/24/74/15/1052247415.db2.gz BHFLTKXHVHEAGP-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN Clc1ccc2cccnc2c1O[C@@H]1CNCc2ccccc21 ZINC001218486870 1052247427 /nfs/dbraw/zinc/24/74/27/1052247427.db2.gz LPVWLUKVYIYCAH-MRXNPFEDSA-N 0 3 310.784 4.112 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1O[C@@H]1CNCc2ccccc21 ZINC001218486806 1052247521 /nfs/dbraw/zinc/24/75/21/1052247521.db2.gz LKQQIBDOURIPBB-MRXNPFEDSA-N 0 3 323.314 4.117 20 0 DIADHN Clc1ccc2ccnc(O[C@H]3CNCc4ccccc43)c2c1 ZINC001218487329 1052248057 /nfs/dbraw/zinc/24/80/57/1052248057.db2.gz HERNCPMOWSPYLG-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN CC(C)Oc1ccc(O[C@H]2CNCc3ccccc32)cc1Cl ZINC001218493115 1052249398 /nfs/dbraw/zinc/24/93/98/1052249398.db2.gz HXCNMKZQEZUMIB-SFHVURJKSA-N 0 3 317.816 4.351 20 0 DIADHN Clc1cc2cc(O[C@H]3CNCc4ccccc43)ccc2cn1 ZINC001218493082 1052249592 /nfs/dbraw/zinc/24/95/92/1052249592.db2.gz HASXMHUBGDNLST-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN C[C@@H]1CN(Cc2cc(F)cc3cccnc32)Cc2ccccc21 ZINC000914787703 1052254801 /nfs/dbraw/zinc/25/48/01/1052254801.db2.gz HOBDYJPCWPUVFM-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)c2csc3c2CCNC3)cc1 ZINC001218809161 1052255524 /nfs/dbraw/zinc/25/55/24/1052255524.db2.gz NYKPGTQWVMWMOQ-LBPRGKRZSA-N 0 3 314.454 4.160 20 0 DIADHN CCC[C@H](C)c1noc(C2CCN(Cc3ccccc3)CC2)n1 ZINC000761850261 1052257210 /nfs/dbraw/zinc/25/72/10/1052257210.db2.gz KVECXPVDJVNVRA-HNNXBMFYSA-N 0 3 313.445 4.353 20 0 DIADHN CCC[C@@H](C)c1noc(C2CCN(Cc3ccccc3)CC2)n1 ZINC000761850262 1052257696 /nfs/dbraw/zinc/25/76/96/1052257696.db2.gz KVECXPVDJVNVRA-OAHLLOKOSA-N 0 3 313.445 4.353 20 0 DIADHN Brc1ccc(CNc2ccnc3ccccc32)o1 ZINC000188482270 1052267855 /nfs/dbraw/zinc/26/78/55/1052267855.db2.gz MMQCUAXEPOCILF-UHFFFAOYSA-N 0 3 303.159 4.202 20 0 DIADHN CC(C)(C)Sc1ccccc1NC(=O)[C@@H](N)CC1CCCC1 ZINC001219222935 1052278771 /nfs/dbraw/zinc/27/87/71/1052278771.db2.gz FSSNZIZGVYRDHM-AWEZNQCLSA-N 0 3 320.502 4.423 20 0 DIADHN Cc1ccc(-c2nc(CNCCc3ccccc3)cs2)cc1 ZINC000301762868 1052293777 /nfs/dbraw/zinc/29/37/77/1052293777.db2.gz NQAUYKJTIGUSLG-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN CC(C)(C)CC(C)(C)NC(=O)[C@@H]1CCC[C@H](c2ccccc2)N1 ZINC001220288921 1052327779 /nfs/dbraw/zinc/32/77/79/1052327779.db2.gz NDBYIKZHSBJAAG-SJORKVTESA-N 0 3 316.489 4.201 20 0 DIADHN CSCCCCCCNCc1nc(-c2ccccc2)c[nH]1 ZINC000194414650 1052355709 /nfs/dbraw/zinc/35/57/09/1052355709.db2.gz GKAXODYEAYCIAT-UHFFFAOYSA-N 0 3 303.475 4.090 20 0 DIADHN Fc1ccc2nc(CN[C@H](Cc3ccccc3)C3CC3)oc2c1 ZINC000495484547 1052358688 /nfs/dbraw/zinc/35/86/88/1052358688.db2.gz LGFQBVQZXYUACC-QGZVFWFLSA-N 0 3 310.372 4.078 20 0 DIADHN CC(C)C[C@@H](NCc1cccc2c1OCCO2)c1ccccc1 ZINC000236623471 1052428670 /nfs/dbraw/zinc/42/86/70/1052428670.db2.gz BATRXNZQNAGIFG-GOSISDBHSA-N 0 3 311.425 4.335 20 0 DIADHN Cc1ccc(CNC[C@H](c2cccs2)N2CCC(C)CC2)o1 ZINC000236662851 1052428795 /nfs/dbraw/zinc/42/87/95/1052428795.db2.gz WRJJUGNXSHOWFI-QGZVFWFLSA-N 0 3 318.486 4.212 20 0 DIADHN CC(C)c1ccc(CNCc2ccc3c(c2)OCCCO3)cc1 ZINC000236721293 1052430093 /nfs/dbraw/zinc/43/00/93/1052430093.db2.gz KRRPDLWGAGFOTP-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)(C)[C@H](NCc1cccc2c1OCCO2)c1ccccc1 ZINC000236718090 1052430172 /nfs/dbraw/zinc/43/01/72/1052430172.db2.gz UZLVNOAPCGGVOF-LJQANCHMSA-N 0 3 311.425 4.335 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C)c(F)c2)cc1OC ZINC000237249898 1052437281 /nfs/dbraw/zinc/43/72/81/1052437281.db2.gz PLLDGNDRZHJNDR-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN COc1ccc([C@H](C)NCc2coc3ccccc23)cc1OC ZINC000237316785 1052438673 /nfs/dbraw/zinc/43/86/73/1052438673.db2.gz KIETXGAZFOJSPF-ZDUSSCGKSA-N 0 3 311.381 4.301 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1ccc(Br)cc1 ZINC000237351413 1052439072 /nfs/dbraw/zinc/43/90/72/1052439072.db2.gz SSKRZOXIQWWMFG-LLVKDONJSA-N 0 3 305.219 4.003 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCc2cccc3[nH]ccc32)c1 ZINC000237407108 1052440661 /nfs/dbraw/zinc/44/06/61/1052440661.db2.gz YPXMKBTXZMHODO-CYBMUJFWSA-N 0 3 310.397 4.036 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@H]2CCCCO2)o1 ZINC000237660331 1052443053 /nfs/dbraw/zinc/44/30/53/1052443053.db2.gz YMCMLFKPPOPKKJ-CYBMUJFWSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@H]3CCC[C@@H]3C)cs2)cc1 ZINC000237589020 1052443304 /nfs/dbraw/zinc/44/33/04/1052443304.db2.gz HQENFULLVTVMCT-YOEHRIQHSA-N 0 3 316.470 4.309 20 0 DIADHN FC(F)(F)c1cccc(C2(CNCc3cc[nH]n3)CCCC2)c1 ZINC000237919009 1052447511 /nfs/dbraw/zinc/44/75/11/1052447511.db2.gz YRCYFQLDMUBZGX-UHFFFAOYSA-N 0 3 323.362 4.030 20 0 DIADHN CCN(Cc1cc2cnn(C(C)C)c2nc1Cl)C1CCCC1 ZINC000153665388 1052462709 /nfs/dbraw/zinc/46/27/09/1052462709.db2.gz FASWBWAJIGOCOZ-UHFFFAOYSA-N 0 3 320.868 4.430 20 0 DIADHN CCN(CCc1ccccn1)Cc1ccc(C(F)(F)F)s1 ZINC000762979495 1052483009 /nfs/dbraw/zinc/48/30/09/1052483009.db2.gz LXQLZOHZYURZEJ-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cccc(C3CC3)c2)c1 ZINC000322305332 1052484291 /nfs/dbraw/zinc/48/42/91/1052484291.db2.gz WZTZKHJNRNQCDY-AWEZNQCLSA-N 0 3 308.425 4.373 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001235641066 1052490126 /nfs/dbraw/zinc/49/01/26/1052490126.db2.gz ABCSNOSSXWKAGB-BBRMVZONSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1cc(Br)cc(C)c1CN1CCC[C@H](CF)C1 ZINC001235784984 1052513195 /nfs/dbraw/zinc/51/31/95/1052513195.db2.gz MPQOHLFHBPOKKP-CYBMUJFWSA-N 0 3 314.242 4.247 20 0 DIADHN Cc1cc(CN2CC[C@H]3CCCC[C@H]3C2)cnc1Br ZINC001235826964 1052519232 /nfs/dbraw/zinc/51/92/32/1052519232.db2.gz FOGBEICYEBKQLW-CABCVRRESA-N 0 3 323.278 4.165 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)CN1CCC[C@@H]1c1ccccc1 ZINC000054670059 1052520131 /nfs/dbraw/zinc/52/01/31/1052520131.db2.gz XNZZZCUHBSSOHP-YLJYHZDGSA-N 0 3 322.452 4.043 20 0 DIADHN COc1ccc(O)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001222653977 1052523030 /nfs/dbraw/zinc/52/30/30/1052523030.db2.gz CCRREQVCFIRWNA-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(O)ccc2OC)ccc1F ZINC001222655844 1052523040 /nfs/dbraw/zinc/52/30/40/1052523040.db2.gz XNAKRWKNIQSMIO-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1occc1CN(C)CCC(=O)Nc1cccc2ccccc21 ZINC000055054528 1052524554 /nfs/dbraw/zinc/52/45/54/1052524554.db2.gz QCJVLRFTZXXGHV-UHFFFAOYSA-N 0 3 322.408 4.202 20 0 DIADHN CCc1ccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001222844000 1052538687 /nfs/dbraw/zinc/53/86/87/1052538687.db2.gz IDMFRLDZUXKXLQ-PXNSSMCTSA-N 0 3 324.468 4.386 20 0 DIADHN CCc1ccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001222844003 1052538789 /nfs/dbraw/zinc/53/87/89/1052538789.db2.gz IDMFRLDZUXKXLQ-YLJYHZDGSA-N 0 3 324.468 4.386 20 0 DIADHN Cc1ccc(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001222855866 1052540248 /nfs/dbraw/zinc/54/02/48/1052540248.db2.gz XOUNNRLFZBVSBT-IEBWSBKVSA-N 0 3 310.441 4.051 20 0 DIADHN Cc1ccc(C)c(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001222907651 1052545438 /nfs/dbraw/zinc/54/54/38/1052545438.db2.gz CTCWJEMSESUQDX-UYAOXDASSA-N 0 3 324.468 4.441 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)C(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000670268487 1052547869 /nfs/dbraw/zinc/54/78/69/1052547869.db2.gz KJORCFODRXVZGJ-HIFRSBDPSA-N 0 3 316.449 4.101 20 0 DIADHN CC(C)c1nc(CO[C@@H]2CCN(Cc3ccccc3)C2)cs1 ZINC001222989927 1052555507 /nfs/dbraw/zinc/55/55/07/1052555507.db2.gz ZRRDLWCHZPOENF-QGZVFWFLSA-N 0 3 316.470 4.058 20 0 DIADHN C=Cc1ccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001223152212 1052572747 /nfs/dbraw/zinc/57/27/47/1052572747.db2.gz UTSCCZLYBCLETF-YLJYHZDGSA-N 0 3 322.452 4.467 20 0 DIADHN CN(Cc1ccc(Br)cc1F)CC1(C)CCC1 ZINC000720836687 1052605097 /nfs/dbraw/zinc/60/50/97/1052605097.db2.gz CIFVAOHUUUDPQE-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN COc1cc(C)c(CN2Cc3ccccc3[C@@H](C)C2)cc1OC ZINC000916152491 1052594466 /nfs/dbraw/zinc/59/44/66/1052594466.db2.gz SGSYSROGJCLUPZ-HNNXBMFYSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCO1)c1cc(F)c(Cl)cc1Cl ZINC000038096340 1052631095 /nfs/dbraw/zinc/63/10/95/1052631095.db2.gz ORUBQZNHEYCZKD-ZJUUUORDSA-N 0 3 306.208 4.352 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001127416250 1052631672 /nfs/dbraw/zinc/63/16/72/1052631672.db2.gz CWDPVJJQGCLXCT-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN COc1cc(CN[C@H]2CCc3cc(Cl)ccc32)cc(OC)c1 ZINC000062257628 1052631712 /nfs/dbraw/zinc/63/17/12/1052631712.db2.gz AMMOMAHLASEHEQ-SFHVURJKSA-N 0 3 317.816 4.134 20 0 DIADHN COCCNCc1ccccc1Oc1ccc(F)cc1Cl ZINC000062739281 1052635277 /nfs/dbraw/zinc/63/52/77/1052635277.db2.gz HCOUZAZNPNMPJM-UHFFFAOYSA-N 0 3 309.768 4.007 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2c[nH]nc2C(C)(C)C)c1 ZINC000124241142 1052639033 /nfs/dbraw/zinc/63/90/33/1052639033.db2.gz QXSRHPUMWIEVGV-KRWDZBQOSA-N 0 3 313.445 4.053 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000125819813 1052655251 /nfs/dbraw/zinc/65/52/51/1052655251.db2.gz XXGLCCSREBFYPD-MRXNPFEDSA-N 0 3 308.425 4.024 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNCCCCc1ccccc1 ZINC000916832972 1052656995 /nfs/dbraw/zinc/65/69/95/1052656995.db2.gz GRROWUWUMCZLQX-UHFFFAOYSA-N 0 3 319.880 4.309 20 0 DIADHN C[C@@H](O[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccc(F)cc1 ZINC001224008404 1052659840 /nfs/dbraw/zinc/65/98/40/1052659840.db2.gz CLJIYGKEXSHZTH-HDMKZQKVSA-N 0 3 314.404 4.134 20 0 DIADHN CN(CCCOc1cccc(Cl)c1)C[C@@H]1CC1(Cl)Cl ZINC000917085880 1052697323 /nfs/dbraw/zinc/69/73/23/1052697323.db2.gz ARAPWGDCHSCYJE-NSHDSACASA-N 0 3 322.663 4.235 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCCO2)c1ccc(Cl)cc1 ZINC000126071396 1052665197 /nfs/dbraw/zinc/66/51/97/1052665197.db2.gz YMJHUOPVLNVFBC-ZDUSSCGKSA-N 0 3 317.816 4.352 20 0 DIADHN Fc1cc(Cl)cc(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)c1 ZINC001224118382 1052670406 /nfs/dbraw/zinc/67/04/06/1052670406.db2.gz IWWNGLGZXJZCPQ-GUYCJALGSA-N 0 3 316.807 4.120 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(SC(C)C)cc2)cn1 ZINC000126430579 1052670776 /nfs/dbraw/zinc/67/07/76/1052670776.db2.gz RDJSUSAKUNTROO-CQSZACIVSA-N 0 3 316.470 4.442 20 0 DIADHN CCc1ccccc1CO[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001224218381 1052679542 /nfs/dbraw/zinc/67/95/42/1052679542.db2.gz OIQIGPWTJROXSS-YLJYHZDGSA-N 0 3 324.468 4.386 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)C[C@H](O)c1ccccc1F ZINC000917013004 1052680477 /nfs/dbraw/zinc/68/04/77/1052680477.db2.gz NNWPJUMWLKNCJU-SJCJKPOMSA-N 0 3 307.796 4.206 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@@H](O)c1ccccc1F ZINC000917013005 1052680636 /nfs/dbraw/zinc/68/06/36/1052680636.db2.gz NNWPJUMWLKNCJU-SJKOYZFVSA-N 0 3 307.796 4.206 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(N(CC)CC)nc2)s1 ZINC000126895339 1052683300 /nfs/dbraw/zinc/68/33/00/1052683300.db2.gz ROTKBNGNRMXCCK-CQSZACIVSA-N 0 3 317.502 4.403 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CCc3ccc(C)cc32)cn1 ZINC000126861467 1052683767 /nfs/dbraw/zinc/68/37/67/1052683767.db2.gz ATICWPWGZYIMMI-LJQANCHMSA-N 0 3 309.457 4.013 20 0 DIADHN C[C@H](NCC1=CCCOC1)c1ccccc1Oc1ccccc1 ZINC000126936133 1052684337 /nfs/dbraw/zinc/68/43/37/1052684337.db2.gz BBFBPDSQYOITLG-INIZCTEOSA-N 0 3 309.409 4.476 20 0 DIADHN O[C@@H](CN(Cc1ccc(Cl)cc1)C1CC1)c1ccccc1F ZINC000917063792 1052689208 /nfs/dbraw/zinc/68/92/08/1052689208.db2.gz SPYXFMGCRSVZQN-SFHVURJKSA-N 0 3 319.807 4.177 20 0 DIADHN CCc1cccc(C)c1NC(=O)c1cccc(CN2CCCC2)c1 ZINC000127595230 1052699249 /nfs/dbraw/zinc/69/92/49/1052699249.db2.gz HHDBHPSYJYLDOB-UHFFFAOYSA-N 0 3 322.452 4.406 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CC[C@H](c2ccccc2)C1 ZINC000127648097 1052700217 /nfs/dbraw/zinc/70/02/17/1052700217.db2.gz VHYBUADKPOKFMI-KSZLIROESA-N 0 3 324.472 4.162 20 0 DIADHN Cc1n[nH]c(CNCc2cccc(-c3cccc(C)c3)c2)c1C ZINC001649033854 1052702235 /nfs/dbraw/zinc/70/22/35/1052702235.db2.gz VIHGCBKZSQVFFF-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN CCN(C[C@@H](O)c1c(F)cccc1F)[C@@H](C)c1ccc(F)cc1 ZINC000917141195 1052710702 /nfs/dbraw/zinc/71/07/02/1052710702.db2.gz QBRPELKEFLBOGO-YVEFUNNKSA-N 0 3 323.358 4.220 20 0 DIADHN COCCN(CCc1ccccc1)Cc1ccc(Cl)s1 ZINC000917149478 1052712754 /nfs/dbraw/zinc/71/27/54/1052712754.db2.gz KBIBGOGERZTAFI-UHFFFAOYSA-N 0 3 309.862 4.093 20 0 DIADHN CCCC[C@@H](CC)CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001224707969 1052718984 /nfs/dbraw/zinc/71/89/84/1052718984.db2.gz SGPPLUBUTBIDRV-RCCFBDPRSA-N 0 3 304.478 4.450 20 0 DIADHN Cc1cccc(CCO[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001224872442 1052732332 /nfs/dbraw/zinc/73/23/32/1052732332.db2.gz IQGSWYWOOLTXJT-QUCCMNQESA-N 0 3 324.468 4.175 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@H](O)c2c(F)cccc2F)c1 ZINC000917641085 1052746716 /nfs/dbraw/zinc/74/67/16/1052746716.db2.gz WBZYSQSVKDRJQK-ROUUACIJSA-N 0 3 317.379 4.144 20 0 DIADHN CCN1CCC[C@@H](Oc2ccccc2OCc2ccccc2)C1 ZINC001225217117 1052756713 /nfs/dbraw/zinc/75/67/13/1052756713.db2.gz YLZAISLBPXXJJF-GOSISDBHSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001225223367 1052758737 /nfs/dbraw/zinc/75/87/37/1052758737.db2.gz TWVJYMPVHBBORF-MSOLQXFVSA-N 0 3 310.441 4.303 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917940066 1052765815 /nfs/dbraw/zinc/76/58/15/1052765815.db2.gz ZCVLTBLHPTZKKV-JYJNAYRXSA-N 0 3 318.505 4.328 20 0 DIADHN Fc1ccc(O[C@H]2CCCN(Cc3ccccc3)C2)cc1F ZINC001225311539 1052771940 /nfs/dbraw/zinc/77/19/40/1052771940.db2.gz HVTQSNGEPKKETE-INIZCTEOSA-N 0 3 303.352 4.008 20 0 DIADHN Fc1ccc(OC2CCN(Cc3ccccc3)CC2)cc1F ZINC001225312540 1052772284 /nfs/dbraw/zinc/77/22/84/1052772284.db2.gz UNFZKOSDRXBZQU-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)c3ccc(C)cc3)o2)cc1 ZINC000918202601 1052787642 /nfs/dbraw/zinc/78/76/42/1052787642.db2.gz HLFNJHKRPCYBHZ-HXUWFJFHSA-N 0 3 321.420 4.387 20 0 DIADHN Cc1ccc2[nH]ccc2c1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001225438164 1052790107 /nfs/dbraw/zinc/79/01/07/1052790107.db2.gz UVWCCYNTNNNOKT-JKSUJKDBSA-N 0 3 321.424 4.086 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)s1)c1ccc(C(=O)OC)cc1 ZINC000556063619 1052794857 /nfs/dbraw/zinc/79/48/57/1052794857.db2.gz NSIHHLUAPQIUMR-CQSZACIVSA-N 0 3 323.845 4.429 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(SC)c(OC)c2)cc1 ZINC000069687175 1052798819 /nfs/dbraw/zinc/79/88/19/1052798819.db2.gz XLCOJSNRHKNPAZ-UHFFFAOYSA-N 0 3 317.454 4.058 20 0 DIADHN CC(=O)c1ccccc1O[C@@H]1CN(Cc2ccccc2)CC[C@H]1C ZINC001225570167 1052803297 /nfs/dbraw/zinc/80/32/97/1052803297.db2.gz UWKHSKCUWUDIPU-IIBYNOLFSA-N 0 3 323.436 4.179 20 0 DIADHN CC(=O)c1ccccc1O[C@H]1CCN(Cc2ccccc2)C[C@H]1C ZINC001225573737 1052804101 /nfs/dbraw/zinc/80/41/01/1052804101.db2.gz IVTFVDFJMJYQBQ-UZLBHIALSA-N 0 3 323.436 4.179 20 0 DIADHN CCCN(Cc1ccccc1)[C@H](C)C(=O)N1CCCC[C@@H]1CC ZINC000556136749 1052808985 /nfs/dbraw/zinc/80/89/85/1052808985.db2.gz RJZZFVAUTOGHJU-MJGOQNOKSA-N 0 3 316.489 4.078 20 0 DIADHN Cc1cc(C)c(/C=C/C(=O)Nc2ccccc2CN(C)C)cc1C ZINC000918562394 1052811997 /nfs/dbraw/zinc/81/19/97/1052811997.db2.gz LQUPYLRQRQJGRQ-ZHACJKMWSA-N 0 3 322.452 4.325 20 0 DIADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1ncc(Br)s1 ZINC000556201510 1052819769 /nfs/dbraw/zinc/81/97/69/1052819769.db2.gz BKSZPZYFQBBYSE-SFXRXQKFSA-N 0 3 315.280 4.135 20 0 DIADHN Fc1cc(Cl)ccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001225714383 1052820203 /nfs/dbraw/zinc/82/02/03/1052820203.db2.gz ZOZZGZZLYJSFSL-OAHLLOKOSA-N 0 3 305.780 4.132 20 0 DIADHN CO[C@H](CNC(c1ccc(F)cc1)c1ccc(F)cc1)C1CC1 ZINC000556238693 1052824730 /nfs/dbraw/zinc/82/47/30/1052824730.db2.gz LEOHWIRMQKIQJT-GOSISDBHSA-N 0 3 317.379 4.069 20 0 DIADHN CSc1ccc(CN2CCC(C3CCOCC3)CC2)s1 ZINC000556272737 1052831086 /nfs/dbraw/zinc/83/10/86/1052831086.db2.gz IFALNKAOVLVVIQ-UHFFFAOYSA-N 0 3 311.516 4.109 20 0 DIADHN CC(=O)c1cccc(O[C@@H]2CN(Cc3ccccc3)CC[C@H]2C)c1 ZINC001225812132 1052834630 /nfs/dbraw/zinc/83/46/30/1052834630.db2.gz OHBNLROATBBCNI-IIBYNOLFSA-N 0 3 323.436 4.179 20 0 DIADHN Cc1cc(OC2CCN(Cc3ccccc3)CC2)cnc1Cl ZINC001225822988 1052835374 /nfs/dbraw/zinc/83/53/74/1052835374.db2.gz UMTWWLWASMMZJE-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN CC(=O)c1cc(F)ccc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001225837618 1052836491 /nfs/dbraw/zinc/83/64/91/1052836491.db2.gz PCVWZUZDYSICHA-SFHVURJKSA-N 0 3 315.388 4.100 20 0 DIADHN CC(C)[C@H](C)SC[C@H]1CN(Cc2ccccc2)CCCO1 ZINC000556338031 1052840082 /nfs/dbraw/zinc/84/00/82/1052840082.db2.gz DXCOSWHUUNAWBM-FUHWJXTLSA-N 0 3 307.503 4.055 20 0 DIADHN Cc1ccc(Cl)cc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001225877290 1052841327 /nfs/dbraw/zinc/84/13/27/1052841327.db2.gz VSESAFJCHZPTJZ-GDBMZVCRSA-N 0 3 316.832 4.258 20 0 DIADHN Fc1cc(OC2CCN(Cc3ccccc3)CC2)cc(F)c1F ZINC001225913877 1052846533 /nfs/dbraw/zinc/84/65/33/1052846533.db2.gz GAYUUJSUNKDYPD-UHFFFAOYSA-N 0 3 321.342 4.147 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2cccc(C(F)(F)F)c2F)C1 ZINC001225925220 1052848711 /nfs/dbraw/zinc/84/87/11/1052848711.db2.gz VATBDTNQTXPFKQ-LLVKDONJSA-N 0 3 305.315 4.096 20 0 DIADHN CCc1nc(CN[C@H](c2ccc(C)cc2)C2CCCCC2)n[nH]1 ZINC000556454341 1052852357 /nfs/dbraw/zinc/85/23/57/1052852357.db2.gz WRRSBQVZDGQIMJ-IBGZPJMESA-N 0 3 312.461 4.087 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000347476159 1052852723 /nfs/dbraw/zinc/85/27/23/1052852723.db2.gz HYTXOUKPYPJKJR-MLGOLLRUSA-N 0 3 305.372 4.019 20 0 DIADHN CN(C)[C@H](CNCc1c(F)cccc1Cl)c1ccc(F)cc1 ZINC000071947124 1052864276 /nfs/dbraw/zinc/86/42/76/1052864276.db2.gz YTSDPTDPISEDFQ-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN CCN(Cc1ccc(Br)cc1)[C@H](C)c1cccnc1 ZINC000556571242 1052866764 /nfs/dbraw/zinc/86/67/64/1052866764.db2.gz CRWWMORVOUIVAC-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN CCN(Cc1ccc(Br)cc1)[C@@H](C)c1cccnc1 ZINC000556571243 1052866884 /nfs/dbraw/zinc/86/68/84/1052866884.db2.gz CRWWMORVOUIVAC-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(C(C)(C)C)nc2)[C@H]2CCCC[C@H]12 ZINC000556599360 1052869557 /nfs/dbraw/zinc/86/95/57/1052869557.db2.gz MHNFWQRPLBGOAH-OIISXLGYSA-N 0 3 301.478 4.175 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc(-c3ccccc3)o2)CC1 ZINC000556609166 1052869995 /nfs/dbraw/zinc/86/99/95/1052869995.db2.gz RHECWJGWMRMCLL-UHFFFAOYSA-N 0 3 321.424 4.358 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccnc(OC(F)F)c2)cc1 ZINC000556608561 1052870234 /nfs/dbraw/zinc/87/02/34/1052870234.db2.gz HUUCKWWHYSRJGD-MRXNPFEDSA-N 0 3 320.383 4.486 20 0 DIADHN CC(C)[C@H](NCc1ccnc(OC(F)F)c1)c1ccccc1 ZINC000556608863 1052871362 /nfs/dbraw/zinc/87/13/62/1052871362.db2.gz OXOBJGLMQRWKMJ-INIZCTEOSA-N 0 3 306.356 4.170 20 0 DIADHN CSc1cccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001226237144 1052880050 /nfs/dbraw/zinc/88/00/50/1052880050.db2.gz FMNRCKNPVYYCQN-GDBMZVCRSA-N 0 3 314.454 4.018 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000925471863 1052887356 /nfs/dbraw/zinc/88/73/56/1052887356.db2.gz BKXQJYXOTKVWJP-MNOVXSKESA-N 0 3 308.809 4.063 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)NCc2ccc(CO)c(F)c2)c1 ZINC000556868766 1052891150 /nfs/dbraw/zinc/89/11/50/1052891150.db2.gz CBEZZOASVAMDQX-OAHLLOKOSA-N 0 3 315.432 4.367 20 0 DIADHN Nc1ncc(CN2CCCCC[C@H]2/C=C\c2ccccc2)s1 ZINC000557009697 1052910988 /nfs/dbraw/zinc/91/09/88/1052910988.db2.gz XPFKNTHTWAIBOH-SNGWPZLWSA-N 0 3 313.470 4.183 20 0 DIADHN Nc1cc(O[C@H](c2ccccc2)c2cccc(Cl)c2)ccn1 ZINC001226657901 1052919010 /nfs/dbraw/zinc/91/90/10/1052919010.db2.gz TWLYUDAOPKDLKB-GOSISDBHSA-N 0 3 310.784 4.486 20 0 DIADHN Nc1cc(O[C@@H](c2ccccc2)c2cccc(Cl)c2)ccn1 ZINC001226657902 1052919346 /nfs/dbraw/zinc/91/93/46/1052919346.db2.gz TWLYUDAOPKDLKB-SFHVURJKSA-N 0 3 310.784 4.486 20 0 DIADHN COc1ccc2[nH]cc(C3CCN(C/C=C\Cl)CC3)c2c1 ZINC000920206290 1052926597 /nfs/dbraw/zinc/92/65/97/1052926597.db2.gz IWHVCVIMHLBGHR-UQCOIBPSSA-N 0 3 304.821 4.108 20 0 DIADHN CCCCC(=O)c1ccc(O[C@@H]2CCCN(C(C)C)C2)cc1 ZINC001226772347 1052929253 /nfs/dbraw/zinc/92/92/53/1052929253.db2.gz UMYBHWQQLZKZAR-GOSISDBHSA-N 0 3 303.446 4.311 20 0 DIADHN Clc1cc(Cl)c(OC2CCN(C3CC3)CC2)nc1Cl ZINC001226885959 1052941970 /nfs/dbraw/zinc/94/19/70/1052941970.db2.gz KJNIMQOVBKOEHG-UHFFFAOYSA-N 0 3 321.635 4.047 20 0 DIADHN COc1cccc(C)c1OC1CCN(Cc2ccccc2)CC1 ZINC001227163935 1052966673 /nfs/dbraw/zinc/96/66/73/1052966673.db2.gz HEJCJAUPTYRSCI-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1cc(Cl)cc(Cl)c1 ZINC000609440772 1052978524 /nfs/dbraw/zinc/97/85/24/1052978524.db2.gz OYGYKDSXZISMGE-SNVBAGLBSA-N 0 3 318.244 4.203 20 0 DIADHN CN(CC(=O)Nc1ccc(Cl)c(Cl)c1)CC1CCCC1 ZINC000195599537 1052987468 /nfs/dbraw/zinc/98/74/68/1052987468.db2.gz VINGTELAMNXQSZ-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CC(C)(C)c1ccccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001227469893 1052994288 /nfs/dbraw/zinc/99/42/88/1052994288.db2.gz HOZQKIFCLPFEMW-QAQDUYKDSA-N 0 3 317.473 4.006 20 0 DIADHN COc1ccc(-c2noc(CNC(C)(C)CC(C)(C)C)n2)cc1 ZINC000195674279 1053005673 /nfs/dbraw/zinc/00/56/73/1053005673.db2.gz SJSDKKLYYRFNCN-UHFFFAOYSA-N 0 3 317.433 4.050 20 0 DIADHN ClC1(Cl)C[C@H]1CNCc1cnc(-c2ccccc2)s1 ZINC001330624512 1053011147 /nfs/dbraw/zinc/01/11/47/1053011147.db2.gz CQHFBDYWDGZXQP-NSHDSACASA-N 0 3 313.253 4.094 20 0 DIADHN C[C@H](C#N)Oc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000237580438 1053013187 /nfs/dbraw/zinc/01/31/87/1053013187.db2.gz OWVWXVOWOQOUFU-KGLIPLIRSA-N 0 3 314.816 4.482 20 0 DIADHN CCCCOCCN1CCC(C(=O)c2ccc(C)cc2C)CC1 ZINC000615346247 1053013991 /nfs/dbraw/zinc/01/39/91/1053013991.db2.gz DMMLHUDKSXUQDF-UHFFFAOYSA-N 0 3 317.473 4.015 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(C)c([N+](=O)[O-])c2)C2CC2)cc1 ZINC000237643802 1053017275 /nfs/dbraw/zinc/01/72/75/1053017275.db2.gz AIKBRLSNVZDOGC-IBGZPJMESA-N 0 3 310.397 4.453 20 0 DIADHN Cc1ccc([C@H](O)CNCc2cc3cc(C)c(C)cc3o2)cc1 ZINC000237651195 1053017454 /nfs/dbraw/zinc/01/74/54/1053017454.db2.gz JDTVYALYTCYXIS-LJQANCHMSA-N 0 3 309.409 4.181 20 0 DIADHN CN(Cc1ccccc1)Cc1cccc(OCC(F)(F)F)c1 ZINC000615454411 1053018188 /nfs/dbraw/zinc/01/81/88/1053018188.db2.gz YFCBQRGIOFVZRA-UHFFFAOYSA-N 0 3 309.331 4.260 20 0 DIADHN Clc1ccc(C2(NCc3ccc4c(c3)CCO4)CCC2)cc1 ZINC000237680140 1053020055 /nfs/dbraw/zinc/02/00/55/1053020055.db2.gz JDNXXIZAUWBOMV-UHFFFAOYSA-N 0 3 313.828 4.444 20 0 DIADHN C[C@@H](C#N)Oc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000237703877 1053020961 /nfs/dbraw/zinc/02/09/61/1053020961.db2.gz OWVWXVOWOQOUFU-KBPBESRZSA-N 0 3 314.816 4.482 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3CCc4ccccc4C3)co2)cc1 ZINC000237761489 1053025784 /nfs/dbraw/zinc/02/57/84/1053025784.db2.gz FJXBUXIYMBAUCM-LJQANCHMSA-N 0 3 318.420 4.297 20 0 DIADHN Cc1ccc(CNCc2ccccc2N2CCCCC2)s1 ZINC000237782385 1053027151 /nfs/dbraw/zinc/02/71/51/1053027151.db2.gz RHULZCAEVHIVKD-UHFFFAOYSA-N 0 3 300.471 4.337 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(Cl)c1CN[C@H]1CC=CCC1 ZINC000237780582 1053027333 /nfs/dbraw/zinc/02/73/33/1053027333.db2.gz JPCAKASRBGZIJA-AWEZNQCLSA-N 0 3 319.811 4.172 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2ccc(Cl)cc2Cl)cc1 ZINC000237782327 1053027434 /nfs/dbraw/zinc/02/74/34/1053027434.db2.gz AMZROJRYFMMZAT-INIZCTEOSA-N 0 3 310.224 4.125 20 0 DIADHN Cc1ccc(C(C)C)c(O[C@H]2CC[C@H](N3CCOCC3)CC2)c1 ZINC001227944276 1053031191 /nfs/dbraw/zinc/03/11/91/1053031191.db2.gz VRMUBARYBJQROV-IYARVYRRSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1ccc2c[nH]nc2c1 ZINC000237835038 1053032658 /nfs/dbraw/zinc/03/26/58/1053032658.db2.gz WTXWZIWCWXIYBE-KRWDZBQOSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)ccc1Cl ZINC001228072028 1053041006 /nfs/dbraw/zinc/04/10/06/1053041006.db2.gz KEQSMUXQVLDGLA-GDBMZVCRSA-N 0 3 316.832 4.258 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2cccc(F)c2)cc1OC ZINC000616008788 1053041683 /nfs/dbraw/zinc/04/16/83/1053041683.db2.gz XUUHIYACNZUNEI-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN C[C@H](NC(c1cccs1)c1cccs1)[C@@H]1CCCCO1 ZINC000379212286 1053042463 /nfs/dbraw/zinc/04/24/63/1053042463.db2.gz FNUDVGMRBJLIIP-STQMWFEESA-N 0 3 307.484 4.446 20 0 DIADHN CC(=O)c1c(F)cccc1O[C@H](CCN(C)C)c1ccccc1 ZINC001228099362 1053043590 /nfs/dbraw/zinc/04/35/90/1053043590.db2.gz JMFRASMZQJCRHG-QGZVFWFLSA-N 0 3 315.388 4.100 20 0 DIADHN C[C@H](CN[C@H](C)c1cc2ccccc2o1)C(=O)OC(C)(C)C ZINC000616176578 1053048561 /nfs/dbraw/zinc/04/85/61/1053048561.db2.gz ZFVWBCUMPROGOV-CHWSQXEVSA-N 0 3 303.402 4.061 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2CCC(C3CC3)CC2)c(F)c1 ZINC000616267984 1053052530 /nfs/dbraw/zinc/05/25/30/1053052530.db2.gz ZEHSIYAHVAKISN-UHFFFAOYSA-N 0 3 318.436 4.042 20 0 DIADHN CCN1CCC(Oc2ccc(C)cc2C(=O)c2ccccc2)CC1 ZINC001228226155 1053054845 /nfs/dbraw/zinc/05/48/45/1053054845.db2.gz VNSOTHQWFLSHQC-UHFFFAOYSA-N 0 3 323.436 4.089 20 0 DIADHN CCc1cccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001228240511 1053056379 /nfs/dbraw/zinc/05/63/79/1053056379.db2.gz NTGDACNEEGILKA-QFBILLFUSA-N 0 3 310.441 4.248 20 0 DIADHN Fc1ccccc1[C@@H](N[C@@H]1CCCc2n[nH]cc21)C1CCCC1 ZINC000353392753 1053082432 /nfs/dbraw/zinc/08/24/32/1053082432.db2.gz HLNWHNJJGHWNNA-MJGOQNOKSA-N 0 3 313.420 4.447 20 0 DIADHN CC(=O)c1cc(Cl)cc(Cl)c1O[C@H]1CCCN(C)CC1 ZINC001228399841 1053093619 /nfs/dbraw/zinc/09/36/19/1053093619.db2.gz OYZBVEZUHOLUEN-LBPRGKRZSA-N 0 3 316.228 4.059 20 0 DIADHN CCCc1cccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001228448078 1053106588 /nfs/dbraw/zinc/10/65/88/1053106588.db2.gz AQRJQEWDXLRDSL-VQIMIIECSA-N 0 3 310.441 4.248 20 0 DIADHN CCC(=O)c1ccccc1O[C@@H](CCN(C)C)c1cccs1 ZINC001228454225 1053107912 /nfs/dbraw/zinc/10/79/12/1053107912.db2.gz JDDXYAOAQPNNNI-KRWDZBQOSA-N 0 3 317.454 4.413 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@@H]2N[C@@H]1CCCc2n[nH]cc21 ZINC000353411004 1053108591 /nfs/dbraw/zinc/10/85/91/1053108591.db2.gz XWQPJDLVMAHKAY-KGLIPLIRSA-N 0 3 322.239 4.371 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@@H]2N[C@H]1CCCc2n[nH]cc21 ZINC000353411000 1053109047 /nfs/dbraw/zinc/10/90/47/1053109047.db2.gz XWQPJDLVMAHKAY-KBPBESRZSA-N 0 3 322.239 4.371 20 0 DIADHN FC(F)c1cc(OC2CCN(Cc3ccccc3)CC2)ccn1 ZINC001228531883 1053125835 /nfs/dbraw/zinc/12/58/35/1053125835.db2.gz SGOXADHJSHNLIX-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN CCOc1ccc(CN(C)Cc2cc(C)ccc2C)cc1OC ZINC001317732502 1053129390 /nfs/dbraw/zinc/12/93/90/1053129390.db2.gz WLTZSIMOGKWGDG-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@H]2CCCC[C@@H]2C1 ZINC001317847160 1053136000 /nfs/dbraw/zinc/13/60/00/1053136000.db2.gz QQFHYDTWIOAOGW-BFYDXBDKSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1ccc(CNC[C@H](c2ccsc2)N(C)C)c(Cl)c1 ZINC001560256139 1053141080 /nfs/dbraw/zinc/14/10/80/1053141080.db2.gz BQLHLQPHNXRFAD-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1nc2ccc(Nc3cccnc3N3CCCCC3)cc2[nH]1 ZINC001213070458 1053142406 /nfs/dbraw/zinc/14/24/06/1053142406.db2.gz QXHOHSSEKVSOHV-UHFFFAOYSA-N 0 3 307.401 4.000 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(N2CCCC2)c1)c1ccc(F)nc1 ZINC001351177281 1053145759 /nfs/dbraw/zinc/14/57/59/1053145759.db2.gz PCRUZRQWHJPELC-HUUCEWRRSA-N 0 3 313.420 4.233 20 0 DIADHN CN1CC[C@H](Oc2ccc(-c3nc4ccccc4s3)cc2)C1 ZINC001228694787 1053160974 /nfs/dbraw/zinc/16/09/74/1053160974.db2.gz NKFCUKANAYPMIP-HNNXBMFYSA-N 0 3 310.422 4.046 20 0 DIADHN CN1CCC[C@H](Oc2ccc(-c3nc4ccccc4s3)cc2)C1 ZINC001228694827 1053161142 /nfs/dbraw/zinc/16/11/42/1053161142.db2.gz NSWQKMHFZVZCLM-INIZCTEOSA-N 0 3 324.449 4.436 20 0 DIADHN Cc1cc(Br)ccc1C[NH2+][C@@H](C)c1ccccc1[O-] ZINC000181227214 1053164804 /nfs/dbraw/zinc/16/48/04/1053164804.db2.gz FJYYHZBLWYPLBG-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc3ccoc3c2)C1 ZINC001228726064 1053167576 /nfs/dbraw/zinc/16/75/76/1053167576.db2.gz DTKNDOQPQIDAKH-RHSMWYFYSA-N 0 3 308.381 4.042 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2ccc3ccoc3c2)C1 ZINC001228725775 1053167900 /nfs/dbraw/zinc/16/79/00/1053167900.db2.gz BTAZLZCEZLLOLO-YJBOKZPZSA-N 0 3 322.408 4.432 20 0 DIADHN c1[nH]nc2c1[C@H](NCc1ccc(Oc3ccccc3)cc1)CCC2 ZINC000353495789 1053173342 /nfs/dbraw/zinc/17/33/42/1053173342.db2.gz JJMOYGPFKFKHHB-LJQANCHMSA-N 0 3 319.408 4.369 20 0 DIADHN COc1ccccc1C1(NCc2ccc3ncccc3c2)CCC1 ZINC001318642689 1053178753 /nfs/dbraw/zinc/17/87/53/1053178753.db2.gz IYIVXUCXIDJQEX-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CC(C)N1CCC[C@H](Oc2c(Cl)ccc3cccnc32)C1 ZINC001228793865 1053183415 /nfs/dbraw/zinc/18/34/15/1053183415.db2.gz XOXIISXGHGRSKI-AWEZNQCLSA-N 0 3 304.821 4.140 20 0 DIADHN C[C@H]1CN(Cc2ccc(Sc3ccccc3)cc2)CC[C@H]1O ZINC000249875420 1053195170 /nfs/dbraw/zinc/19/51/70/1053195170.db2.gz GUAXFAPFAILRJH-HNAYVOBHSA-N 0 3 313.466 4.041 20 0 DIADHN Fc1cc2[nH]ccc2cc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001228886566 1053198884 /nfs/dbraw/zinc/19/88/84/1053198884.db2.gz YSYDTJLWBHDMAG-QGZVFWFLSA-N 0 3 324.399 4.350 20 0 DIADHN CC(C)c1ccccc1OCCN[C@H](C)c1cnn(C(C)C)c1 ZINC000089597418 1053205770 /nfs/dbraw/zinc/20/57/70/1053205770.db2.gz LNTYGOQDEWUXNQ-MRXNPFEDSA-N 0 3 315.461 4.317 20 0 DIADHN C[C@H](Oc1ccc2c(c1)CCN(C)C2)c1c(F)cccc1F ZINC001228936068 1053208638 /nfs/dbraw/zinc/20/86/38/1053208638.db2.gz ADVHOKTYZBIUNB-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN c1ccc(CN2CCC(Oc3ccc(C4CC4)cn3)CC2)cc1 ZINC001229004210 1053221579 /nfs/dbraw/zinc/22/15/79/1053221579.db2.gz NHALJWPUCVRHOS-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN CC(C)c1cccc(C(=O)N(CCN(C)C)c2ccccc2)c1 ZINC001351808366 1053226362 /nfs/dbraw/zinc/22/63/62/1053226362.db2.gz OCBHCWPRMKMHMO-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001351807983 1053226520 /nfs/dbraw/zinc/22/65/20/1053226520.db2.gz IJWCRMQLZYFXNE-QGZVFWFLSA-N 0 3 304.478 4.044 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@@H](C)c1cc(F)ccc1F ZINC001319199033 1053226780 /nfs/dbraw/zinc/22/67/80/1053226780.db2.gz MNLGQTSCCVSZAL-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2coc(-c3ccccc3)n2)o1 ZINC001319207317 1053228636 /nfs/dbraw/zinc/22/86/36/1053228636.db2.gz KVRLOYMUCBJHRP-CQSZACIVSA-N 0 3 310.397 4.390 20 0 DIADHN c1nc(-c2ccccc2)sc1CNc1ccnc2ccccc21 ZINC001319319259 1053236196 /nfs/dbraw/zinc/23/61/96/1053236196.db2.gz ZZEIERMVZKEUBP-UHFFFAOYSA-N 0 3 317.417 4.392 20 0 DIADHN COc1ccccc1[C@@H](N[C@H](C)C1CCCC1)c1ccccn1 ZINC000090913161 1053248804 /nfs/dbraw/zinc/24/88/04/1053248804.db2.gz BWWMISRXROVEKF-FOIQADDNSA-N 0 3 310.441 4.348 20 0 DIADHN CC(C)(CNCc1ccncc1F)c1cccc(Cl)c1F ZINC000449247531 1053266223 /nfs/dbraw/zinc/26/62/23/1053266223.db2.gz GGGJSAWLCPWSDW-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1ncoc1C(C)C ZINC001319753395 1053269611 /nfs/dbraw/zinc/26/96/11/1053269611.db2.gz JEBBOGJWWQJRBM-UHFFFAOYSA-N 0 3 300.446 4.499 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cn(C)nc2C2CC2)c2ccccc21 ZINC001352075782 1053273453 /nfs/dbraw/zinc/27/34/53/1053273453.db2.gz AYWLNSAURQHNGP-OALUTQOASA-N 0 3 309.457 4.272 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)c(OC)c2)C2CC2)cc1 ZINC001319798584 1053273644 /nfs/dbraw/zinc/27/36/44/1053273644.db2.gz LDBNPWMZCMGHJR-UHFFFAOYSA-N 0 3 315.388 4.008 20 0 DIADHN CC[C@H](N[C@H](C)CC[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000252728647 1053276716 /nfs/dbraw/zinc/27/67/16/1053276716.db2.gz CDNHAMKFQLKRPI-UOTLPGLASA-N 0 3 322.301 4.191 20 0 DIADHN C[C@@H](c1ccncc1)N(C)CCOc1ccccc1C(F)(F)F ZINC000091990075 1053278825 /nfs/dbraw/zinc/27/88/25/1053278825.db2.gz GIRMTJYGVRPACZ-ZDUSSCGKSA-N 0 3 324.346 4.172 20 0 DIADHN CCn1c2ccccc2c2cc(CN(C)CCC(C)=O)ccc21 ZINC001319907261 1053284983 /nfs/dbraw/zinc/28/49/83/1053284983.db2.gz WCAUTRHQZPOWAR-UHFFFAOYSA-N 0 3 308.425 4.225 20 0 DIADHN C[C@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1cccc(F)c1 ZINC001229305894 1053295442 /nfs/dbraw/zinc/29/54/42/1053295442.db2.gz FXIWTRDVLRXQPT-AWEZNQCLSA-N 0 3 315.388 4.100 20 0 DIADHN Cc1cccc2c1CC[C@H]2Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229307723 1053295505 /nfs/dbraw/zinc/29/55/05/1053295505.db2.gz ZOAFKNOCCQLLPC-OAQYLSRUSA-N 0 3 323.436 4.196 20 0 DIADHN CN(C)CCC(=O)c1ccc(O[C@@H](c2ccccc2)C2CC2)cc1 ZINC001229307178 1053296229 /nfs/dbraw/zinc/29/62/29/1053296229.db2.gz TYPUSSJNEPBSJQ-NRFANRHFSA-N 0 3 323.436 4.351 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)Cc1ccc2c(c1)OCO2 ZINC000618440589 1053308781 /nfs/dbraw/zinc/30/87/81/1053308781.db2.gz PSTBOXAQTOJHPW-LBPRGKRZSA-N 0 3 303.789 4.262 20 0 DIADHN CCn1nc(C)c(CNC/C=C\c2cc(C)c(C)cc2C)c1C ZINC001200000314 1053310282 /nfs/dbraw/zinc/31/02/82/1053310282.db2.gz ACPVQYYDJCXPRV-HJWRWDBZSA-N 0 3 311.473 4.248 20 0 DIADHN COc1ccccc1C[C@H](NCc1ncoc1C(C)C)C(C)C ZINC001320220165 1053316312 /nfs/dbraw/zinc/31/63/12/1053316312.db2.gz IANUBJHQJOYJBX-INIZCTEOSA-N 0 3 316.445 4.164 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccccc3)CC2)c(Cl)n1 ZINC001229427664 1053318066 /nfs/dbraw/zinc/31/80/66/1053318066.db2.gz XHKRJYLHIKBNIO-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cccc3cc[nH]c31)CCC2 ZINC001320244461 1053318262 /nfs/dbraw/zinc/31/82/62/1053318262.db2.gz ASOSCJDMIYETRA-KRWDZBQOSA-N 0 3 308.429 4.113 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1snc(OC)c1Cl ZINC001200046610 1053330319 /nfs/dbraw/zinc/33/03/19/1053330319.db2.gz UCCRCXHFMBRLBQ-CYBMUJFWSA-N 0 3 324.877 4.306 20 0 DIADHN C[C@H](O)CN(C)Cc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001320450124 1053334987 /nfs/dbraw/zinc/33/49/87/1053334987.db2.gz OCOGYMWWFBDQPE-JTQLQIEISA-N 0 3 314.212 4.066 20 0 DIADHN CCc1ccc([C@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000070034277 1053350467 /nfs/dbraw/zinc/35/04/67/1053350467.db2.gz AUMCHPQEIPRYEZ-BBRMVZONSA-N 0 3 318.376 4.370 20 0 DIADHN C[C@H](CNCc1cc(F)cnc1Cl)c1cccc(Cl)c1 ZINC001560849342 1053352772 /nfs/dbraw/zinc/35/27/72/1053352772.db2.gz TUGSUDQRBIXGGT-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN COc1cc(CN(C)C2CCC(C)(C)CC2)c(Cl)cc1O ZINC001560688084 1053353488 /nfs/dbraw/zinc/35/34/88/1053353488.db2.gz XIUSXBPNKKZPLW-UHFFFAOYSA-N 0 3 311.853 4.455 20 0 DIADHN CC(=O)c1cccc(-c2ccccc2)c1O[C@@H]1CCCN(C)CC1 ZINC001229566587 1053356642 /nfs/dbraw/zinc/35/66/42/1053356642.db2.gz PCZIKPGLQWMUFN-GOSISDBHSA-N 0 3 323.436 4.419 20 0 DIADHN CCC1(C)CCC(NCc2ncccc2Br)CC1 ZINC001474751597 1053366830 /nfs/dbraw/zinc/36/68/30/1053366830.db2.gz OXVYZWUSNOYTOO-UHFFFAOYSA-N 0 3 311.267 4.293 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCC(C)(C)C(F)(F)F ZINC001321224435 1053401587 /nfs/dbraw/zinc/40/15/87/1053401587.db2.gz ZEAWFINXSDBCGT-UHFFFAOYSA-N 0 3 308.775 4.084 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2ncccc2C)CC1 ZINC000093193702 1053368484 /nfs/dbraw/zinc/36/84/84/1053368484.db2.gz NXJDSDJXROLJHC-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@H]3C2)cs1 ZINC001320877594 1053373107 /nfs/dbraw/zinc/37/31/07/1053373107.db2.gz JYIKBKLOWVYEJD-KGLIPLIRSA-N 0 3 301.459 4.150 20 0 DIADHN CCOc1ccc(CNCC2(Sc3ccccc3)CC2)o1 ZINC001200095960 1053376652 /nfs/dbraw/zinc/37/66/52/1053376652.db2.gz PRIQCSJENIBABK-UHFFFAOYSA-N 0 3 303.427 4.093 20 0 DIADHN CN(Cc1cc(C(F)(F)F)ccc1Cl)CC1CCOCC1 ZINC000093284481 1053379921 /nfs/dbraw/zinc/37/99/21/1053379921.db2.gz YXBGFJADIWSFAY-UHFFFAOYSA-N 0 3 321.770 4.217 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1ccc3cnccc3c1)CCC2 ZINC001321042875 1053385066 /nfs/dbraw/zinc/38/50/66/1053385066.db2.gz LMRXURABKGPINB-LJQANCHMSA-N 0 3 320.440 4.179 20 0 DIADHN CN(C)Cc1ccccc1O[C@H]1CCc2c1cc(F)cc2F ZINC001229701614 1053387822 /nfs/dbraw/zinc/38/78/22/1053387822.db2.gz KMCZRBAMMFWIDF-SFHVURJKSA-N 0 3 303.352 4.093 20 0 DIADHN CCCC(=CC(=O)N1C[C@@H](N(C)C)Cc2ccccc21)CCC ZINC001352720350 1053390144 /nfs/dbraw/zinc/39/01/44/1053390144.db2.gz AQKCARSBELFINP-SFHVURJKSA-N 0 3 314.473 4.033 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1)c1nc2c(s1)CCCC2 ZINC000093370543 1053398279 /nfs/dbraw/zinc/39/82/79/1053398279.db2.gz LDEJIZUSDBWGAL-GFCCVEGCSA-N 0 3 304.434 4.054 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3nccs3)CCCC2)c1 ZINC000093406308 1053402690 /nfs/dbraw/zinc/40/26/90/1053402690.db2.gz GLQJXBAWCLYACE-CQSZACIVSA-N 0 3 316.470 4.314 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000093419195 1053402799 /nfs/dbraw/zinc/40/27/99/1053402799.db2.gz MKCDWKNNNXBXRX-CYBMUJFWSA-N 0 3 322.499 4.120 20 0 DIADHN COc1ccc(O)c([C@@H](C)NCc2ccc(F)cc2Cl)c1 ZINC000182313931 1053410150 /nfs/dbraw/zinc/41/01/50/1053410150.db2.gz IEYIXVHFISMNIS-SNVBAGLBSA-N 0 3 309.768 4.044 20 0 DIADHN COc1ccc(O)c([C@H](C)NCc2ccc(F)cc2Cl)c1 ZINC000182313910 1053411042 /nfs/dbraw/zinc/41/10/42/1053411042.db2.gz IEYIXVHFISMNIS-JTQLQIEISA-N 0 3 309.768 4.044 20 0 DIADHN CC[C@]1(C)CCCN(Cc2cnc(-c3ccccn3)s2)C1 ZINC000093459077 1053411130 /nfs/dbraw/zinc/41/11/30/1053411130.db2.gz FDSKGNBKLIHTCK-QGZVFWFLSA-N 0 3 301.459 4.217 20 0 DIADHN COc1ccccc1C1(NC[C@@H](OC)c2ccccc2)CCC1 ZINC001321446574 1053412365 /nfs/dbraw/zinc/41/23/65/1053412365.db2.gz OMZACPXRADEREW-LJQANCHMSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc2ccccc2c1 ZINC001200141798 1053412927 /nfs/dbraw/zinc/41/29/27/1053412927.db2.gz IJRJHSFJVOPYJS-UHFFFAOYSA-N 0 3 301.393 4.086 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(OC)c(Cl)c1 ZINC001200141718 1053413174 /nfs/dbraw/zinc/41/31/74/1053413174.db2.gz HNRPTAPBIUYWLV-UHFFFAOYSA-N 0 3 318.848 4.095 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN(C)[C@@H]2c2ccc(F)cc2)oc1C ZINC001321700808 1053427858 /nfs/dbraw/zinc/42/78/58/1053427858.db2.gz JNLHOZBNVYAWJF-BOFPYLFWSA-N 0 3 316.420 4.132 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2csc(-c3ccco3)n2)CCO1 ZINC000763527025 1053428626 /nfs/dbraw/zinc/42/86/26/1053428626.db2.gz QOTSAPKKLKRHPU-DOMZBBRYSA-N 0 3 320.458 4.086 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2csc(-c3ccco3)n2)CCO1 ZINC000763527040 1053429441 /nfs/dbraw/zinc/42/94/41/1053429441.db2.gz QOTSAPKKLKRHPU-WFASDCNBSA-N 0 3 320.458 4.086 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCCO1)c1nc2ccccc2n1C(F)F ZINC001474761856 1053430916 /nfs/dbraw/zinc/43/09/16/1053430916.db2.gz DGBXVJKANMIHLF-PXAZEXFGSA-N 0 3 323.387 4.041 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC(C3CCOCC3)CC2)o1 ZINC000093705079 1053438794 /nfs/dbraw/zinc/43/87/94/1053438794.db2.gz VQPKOKYCKNZVAS-RDTXWAMCSA-N 0 3 303.446 4.042 20 0 DIADHN COc1ccccc1C1(NCc2cccc3cccnc32)CCC1 ZINC001321882066 1053440836 /nfs/dbraw/zinc/44/08/36/1053440836.db2.gz CRTDGSUKXKUSPZ-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(C)o1)c1cccc(Cl)c1F ZINC000763541167 1053464758 /nfs/dbraw/zinc/46/47/58/1053464758.db2.gz YZHRWRDCPVYEGT-KGLIPLIRSA-N 0 3 311.784 4.155 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CN[C@@H](C)c1ccccc1 ZINC001200223366 1053481536 /nfs/dbraw/zinc/48/15/36/1053481536.db2.gz HUXHZAPIKQUFHQ-AWEZNQCLSA-N 0 3 315.486 4.293 20 0 DIADHN CN1CCN(c2ccc(N[C@H](c3ccccc3)C3CC3)cc2)CC1 ZINC000182639723 1053491130 /nfs/dbraw/zinc/49/11/30/1053491130.db2.gz DLRNWRUAXWCCIT-OAQYLSRUSA-N 0 3 321.468 4.002 20 0 DIADHN Cc1cc([C@@H](C)NCCNc2ccc3ccccc3n2)oc1C ZINC000502395820 1053514400 /nfs/dbraw/zinc/51/44/00/1053514400.db2.gz YKWPPUJSDNLSQR-CQSZACIVSA-N 0 3 309.413 4.207 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2ccc(OC)cc2)cc1F ZINC000152787018 1053527243 /nfs/dbraw/zinc/52/72/43/1053527243.db2.gz UUJADQAEHSUULE-SFHVURJKSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@@H](COc1ccccc1F)N[C@@H](C)c1ccc(F)cc1F ZINC001322630297 1053530283 /nfs/dbraw/zinc/53/02/83/1053530283.db2.gz PTCJCEFSINNZBO-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN CC(C)(C)C[C@@H](NC(=O)c1ccc2c(c1)CNC2)c1ccccc1 ZINC000261790207 1053532247 /nfs/dbraw/zinc/53/22/47/1053532247.db2.gz YTYMEHHZGZXHFH-LJQANCHMSA-N 0 3 322.452 4.197 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NC[C@H](C)C2CC2)c2ccccc2)cc1 ZINC000619705139 1053534725 /nfs/dbraw/zinc/53/47/25/1053534725.db2.gz XHTIYKPXDVKYLX-JXFKEZNVSA-N 0 3 322.452 4.311 20 0 DIADHN N#CC(C(=O)CC1CCC(C(F)(F)F)CC1)c1ccccn1 ZINC000182866410 1053536755 /nfs/dbraw/zinc/53/67/55/1053536755.db2.gz GCHOELCRFASQCS-WXRRBKDZSA-N 0 3 310.319 4.017 20 0 DIADHN CC(C)N1CC[C@@H](Oc2ccc(OC(F)(F)F)cc2Cl)C1 ZINC001230481686 1053545271 /nfs/dbraw/zinc/54/52/71/1053545271.db2.gz NKPLREPDSJJSRE-LLVKDONJSA-N 0 3 323.742 4.100 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCc3nc(Cl)ccc31)CCC2 ZINC001322972602 1053556258 /nfs/dbraw/zinc/55/62/58/1053556258.db2.gz DKVIWNJQTQVMMD-FZMZJTMJSA-N 0 3 319.861 4.154 20 0 DIADHN C[C@H](COc1ccc(F)cc1F)NCc1ccccc1Cl ZINC000619776108 1053556940 /nfs/dbraw/zinc/55/69/40/1053556940.db2.gz GURZQVVMETYZHM-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1cccc(OC(F)F)c1 ZINC000619888455 1053570136 /nfs/dbraw/zinc/57/01/36/1053570136.db2.gz BOMGEUMUUPRPPR-UHFFFAOYSA-N 0 3 321.367 4.107 20 0 DIADHN CC(C)N1CCC[C@H](Oc2cc(Cl)ncc2C(F)(F)F)C1 ZINC001230754767 1053595286 /nfs/dbraw/zinc/59/52/86/1053595286.db2.gz RTYVWTHCXQQPQP-JTQLQIEISA-N 0 3 322.758 4.005 20 0 DIADHN C[C@H](NC1(c2ccc(Cl)cc2)CC1)c1cccc(CO)c1 ZINC000305811883 1053602672 /nfs/dbraw/zinc/60/26/72/1053602672.db2.gz JISJFVJMSPZUFP-ZDUSSCGKSA-N 0 3 301.817 4.172 20 0 DIADHN Cc1cc(Cl)cc(C[N@@H+](C)C[C@H](C)c2nccs2)c1[O-] ZINC000183252635 1053615250 /nfs/dbraw/zinc/61/52/50/1053615250.db2.gz KUXKHNGNIDEHHH-NSHDSACASA-N 0 3 310.850 4.046 20 0 DIADHN Cc1cc(Cl)cc(C[N@H+](C)C[C@H](C)c2nccs2)c1[O-] ZINC000183252635 1053615256 /nfs/dbraw/zinc/61/52/56/1053615256.db2.gz KUXKHNGNIDEHHH-NSHDSACASA-N 0 3 310.850 4.046 20 0 DIADHN CCCCCC(C)(C)C(=O)NC[C@H](C)N(C)Cc1ccccc1 ZINC001353580112 1053616434 /nfs/dbraw/zinc/61/64/34/1053616434.db2.gz KDUBTWBMLZPTMS-KRWDZBQOSA-N 0 3 318.505 4.230 20 0 DIADHN CC(C)CCc1noc(CN2CCC[C@H]2C2CCCCC2)n1 ZINC000620278318 1053640498 /nfs/dbraw/zinc/64/04/98/1053640498.db2.gz IHBFXGXAMHUUQQ-INIZCTEOSA-N 0 3 305.466 4.203 20 0 DIADHN COc1cccc2cc(CNCc3cc(C)c(O)c(C)c3)oc21 ZINC001324349634 1053646870 /nfs/dbraw/zinc/64/68/70/1053646870.db2.gz DIOFALZVPXFZTE-UHFFFAOYSA-N 0 3 311.381 4.054 20 0 DIADHN FC(F)(F)Oc1ccc(CNCc2cccn2C2CC2)cc1 ZINC001324424262 1053652898 /nfs/dbraw/zinc/65/28/98/1053652898.db2.gz IGCDPILLSUMCQP-UHFFFAOYSA-N 0 3 310.319 4.011 20 0 DIADHN CCC(=O)CCCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC001324488544 1053656280 /nfs/dbraw/zinc/65/62/80/1053656280.db2.gz OSDSKBBQZDLKHQ-CQSZACIVSA-N 0 3 316.470 4.235 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(NC(=O)C3CC3)cc2)cs1 ZINC001324542973 1053660923 /nfs/dbraw/zinc/66/09/23/1053660923.db2.gz OSFVIBWJVVYQLH-ZDUSSCGKSA-N 0 3 314.454 4.256 20 0 DIADHN CC(C)[C@]1(CO)CCN(Cc2sc3ccccc3c2Cl)C1 ZINC001324571728 1053663448 /nfs/dbraw/zinc/66/34/48/1053663448.db2.gz REIYIBCGGJUNFL-QGZVFWFLSA-N 0 3 323.889 4.395 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1nnc(-c2ccccc2)o1 ZINC001324750752 1053678540 /nfs/dbraw/zinc/67/85/40/1053678540.db2.gz SHEOWMVTJYMSGO-UHFFFAOYSA-N 0 3 321.424 4.190 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)Cc2ccccc2Cl)o1 ZINC001324786899 1053680401 /nfs/dbraw/zinc/68/04/01/1053680401.db2.gz BBZYJUZQNASHQP-LBPRGKRZSA-N 0 3 306.837 4.310 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1C(=O)c1cccc(CN2CCCC2)c1 ZINC000620575490 1053685588 /nfs/dbraw/zinc/68/55/88/1053685588.db2.gz XGBQLVBHJCWRJD-QFBILLFUSA-N 0 3 314.473 4.076 20 0 DIADHN Cn1cc(-c2ccc(CNCc3ccc(Cl)s3)cc2)cn1 ZINC001324973076 1053691023 /nfs/dbraw/zinc/69/10/23/1053691023.db2.gz MTIYFZVWQUQMJZ-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN CCn1nccc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC001200485186 1053695262 /nfs/dbraw/zinc/69/52/62/1053695262.db2.gz AWONPHIUUOBBKJ-OAUYIBNBSA-N 0 3 303.837 4.043 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(-n3ccnc3)c(F)c2)c1 ZINC000153678949 1053707179 /nfs/dbraw/zinc/70/71/79/1053707179.db2.gz WQGPFOKOQNKVKC-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN c1ccc(OC2CCCC2)c(CNCCOC2CCCCC2)c1 ZINC000620758026 1053728338 /nfs/dbraw/zinc/72/83/38/1053728338.db2.gz UHMKARGDVRRWSO-UHFFFAOYSA-N 0 3 317.473 4.447 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@](C)(O)c2ccccc2)c1Cl ZINC001200525674 1053734178 /nfs/dbraw/zinc/73/41/78/1053734178.db2.gz IIBYLAXTFNGBKS-KRWDZBQOSA-N 0 3 324.251 4.299 20 0 DIADHN C[C@H](NC(=O)C[C@H]1CCCC[C@H]1C)[C@H](c1ccccc1)N(C)C ZINC001435971412 1053742034 /nfs/dbraw/zinc/74/20/34/1053742034.db2.gz WCELFBDSWCGRAV-YNVMFWSZSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](NCc1cccc2nccn21)c1ccc(Cl)s1 ZINC001200533477 1053743800 /nfs/dbraw/zinc/74/38/00/1053743800.db2.gz BQIGNWHHUDSGNK-LBPRGKRZSA-N 0 3 305.834 4.290 20 0 DIADHN COc1ccccc1C[C@@H](C)NCc1cc(-c2ccccc2)on1 ZINC000154754207 1053746983 /nfs/dbraw/zinc/74/69/83/1053746983.db2.gz DOABCAJATSDNAW-OAHLLOKOSA-N 0 3 322.408 4.071 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc2cc(N(C)C)ccc2c1 ZINC001231437232 1053751054 /nfs/dbraw/zinc/75/10/54/1053751054.db2.gz SKXZKCIJBKQCSX-UHFFFAOYSA-N 0 3 319.452 4.323 20 0 DIADHN CN(C)c1ccc2cc(CN3CCC(F)(F)CC3)ccc2c1 ZINC001231435902 1053752152 /nfs/dbraw/zinc/75/21/52/1053752152.db2.gz CAGLXYFZMUBNQC-UHFFFAOYSA-N 0 3 304.384 4.137 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(F)c(C)c2F)C1 ZINC001231472826 1053759705 /nfs/dbraw/zinc/75/97/05/1053759705.db2.gz GMSAGDQZUWSFPW-CQSZACIVSA-N 0 3 317.379 4.271 20 0 DIADHN C(=C/c1ccc(CN2CC3(C2)CCOCC3)cc1)\c1ccccc1 ZINC001231490325 1053768746 /nfs/dbraw/zinc/76/87/46/1053768746.db2.gz ZMJQUPRNMQRJQQ-VOTSOKGWSA-N 0 3 319.448 4.469 20 0 DIADHN CN(Cc1c[nH]c2cccnc12)Cc1ccc(C(F)(F)F)cc1 ZINC001231491108 1053768799 /nfs/dbraw/zinc/76/87/99/1053768799.db2.gz FCHIQIBIPYQEBG-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000268801089 1053770181 /nfs/dbraw/zinc/77/01/81/1053770181.db2.gz YPCIUPLHAHYWGR-CYBMUJFWSA-N 0 3 321.367 4.285 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccsc2)cc(OC)c1OC ZINC000268859404 1053771924 /nfs/dbraw/zinc/77/19/24/1053771924.db2.gz YMDBEDGMFZTRJR-RYUDHWBXSA-N 0 3 321.442 4.186 20 0 DIADHN CCc1ccc(CN2CCC(Oc3cccc(C)n3)CC2)s1 ZINC001231503347 1053772917 /nfs/dbraw/zinc/77/29/17/1053772917.db2.gz YOSVGFNBHYMSOK-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(N3CCCC3=O)c2)c(C)c1 ZINC000155296569 1053773690 /nfs/dbraw/zinc/77/36/90/1053773690.db2.gz LFSBBUYWERXEAZ-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)Nc2c(C)cccc2C)c1C ZINC000155356013 1053775940 /nfs/dbraw/zinc/77/59/40/1053775940.db2.gz HOJPPXXKPXFVMT-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CCc1nc([C@@H](C)N[C@H]2CCCc3ccccc3C2)cs1 ZINC001200572516 1053776792 /nfs/dbraw/zinc/77/67/92/1053776792.db2.gz MLVFNCVIQXJBGU-CJNGLKHVSA-N 0 3 300.471 4.304 20 0 DIADHN CN(Cc1c[nH]c2cnccc12)Cc1ccc(C(F)(F)F)cc1 ZINC001231521985 1053776949 /nfs/dbraw/zinc/77/69/49/1053776949.db2.gz RCLAOJNNORVXRY-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2cccc(Cl)c2)cn1 ZINC001231532909 1053779417 /nfs/dbraw/zinc/77/94/17/1053779417.db2.gz AHWFUXOJPPGXPM-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2cc(C)c(F)c(C)c2)c1 ZINC000155513502 1053781597 /nfs/dbraw/zinc/78/15/97/1053781597.db2.gz GHOBYFPNSGUDTR-AWEZNQCLSA-N 0 3 314.404 4.252 20 0 DIADHN Cn1c2ccccc2c(CN2CC[C@H](F)C2)c1-c1ccccc1 ZINC001231570330 1053787048 /nfs/dbraw/zinc/78/70/48/1053787048.db2.gz FQKBDMSVTOKVIU-INIZCTEOSA-N 0 3 308.400 4.389 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccccc1N1CCCC1)CC2 ZINC001326740916 1053801859 /nfs/dbraw/zinc/80/18/59/1053801859.db2.gz LAPOVHJMZYRTHT-FQEVSTJZSA-N 0 3 306.453 4.372 20 0 DIADHN CCc1ccc(CN2CCC[C@@H](c3cccc(OC)c3)C2)nc1 ZINC001231642744 1053812748 /nfs/dbraw/zinc/81/27/48/1053812748.db2.gz PESDGDPCYQNOAJ-GOSISDBHSA-N 0 3 310.441 4.032 20 0 DIADHN CCc1ccc(CN2CCC(Cc3ccccc3F)CC2)nc1 ZINC001231642269 1053812950 /nfs/dbraw/zinc/81/29/50/1053812950.db2.gz UKYVQCYGHGUGGK-UHFFFAOYSA-N 0 3 312.432 4.238 20 0 DIADHN CCc1ccc(CN2CCC(c3ccccc3OC)CC2)nc1 ZINC001231642439 1053813066 /nfs/dbraw/zinc/81/30/66/1053813066.db2.gz YGZLVFVXZFGVJJ-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc(C(=O)NCC)cc2)cc1 ZINC000156507644 1053814139 /nfs/dbraw/zinc/81/41/39/1053814139.db2.gz NWEZUKBVHPUMBI-MRXNPFEDSA-N 0 3 324.468 4.240 20 0 DIADHN CCc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)nc1 ZINC001231640520 1053814909 /nfs/dbraw/zinc/81/49/09/1053814909.db2.gz HRUCSEJMGBZANJ-HNNXBMFYSA-N 0 3 300.833 4.287 20 0 DIADHN c1c[nH]c([C@H]2CCCN2Cc2cccc(-c3ccccn3)c2)c1 ZINC000156608981 1053818596 /nfs/dbraw/zinc/81/85/96/1053818596.db2.gz UFWPTQBAZHVOKP-HXUWFJFHSA-N 0 3 303.409 4.414 20 0 DIADHN Cc1sc2ccccc2c1CN1CC2(C1)C[C@@H](F)CS2 ZINC001231648426 1053819284 /nfs/dbraw/zinc/81/92/84/1053819284.db2.gz DNEWJPUMACQWGQ-GFCCVEGCSA-N 0 3 307.459 4.239 20 0 DIADHN Cc1cccc2cc(CN(C)CC3CCOCC3)c(Cl)nc12 ZINC001231651734 1053823073 /nfs/dbraw/zinc/82/30/73/1053823073.db2.gz URKFKKQXSYUFKO-UHFFFAOYSA-N 0 3 318.848 4.055 20 0 DIADHN Cc1cc(C)c(C(=O)Nc2cc(C)cc(CN(C)C)c2)c(C)c1 ZINC000157010092 1053833434 /nfs/dbraw/zinc/83/34/34/1053833434.db2.gz VUBJREBYEAZTOF-UHFFFAOYSA-N 0 3 310.441 4.234 20 0 DIADHN COCc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)o1 ZINC001231692973 1053834199 /nfs/dbraw/zinc/83/41/99/1053834199.db2.gz TWWGXXJKHRMGMF-ZDUSSCGKSA-N 0 3 305.805 4.069 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000156931625 1053834503 /nfs/dbraw/zinc/83/45/03/1053834503.db2.gz WBWQPTPYHZHUCS-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN Clc1ccccc1OC[C@@H]1CCCN(Cc2cccnc2)C1 ZINC000157029300 1053836916 /nfs/dbraw/zinc/83/69/16/1053836916.db2.gz PHLSNJWYFVKUHJ-MRXNPFEDSA-N 0 3 316.832 4.026 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)cc1Cl ZINC001231711977 1053837189 /nfs/dbraw/zinc/83/71/89/1053837189.db2.gz BMHMESGAGPLLSU-JLJPHGGASA-N 0 3 323.864 4.127 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCC[C@@H](F)C2)c2ccccn2)cc1 ZINC001327324456 1053849673 /nfs/dbraw/zinc/84/96/73/1053849673.db2.gz MSZAARJPCSULCS-MDZRGWNJSA-N 0 3 314.404 4.050 20 0 DIADHN C[C@H](NCc1ccc2ccccc2c1)c1ccc([S@](C)=O)cc1 ZINC000157313719 1053850937 /nfs/dbraw/zinc/85/09/37/1053850937.db2.gz DNVPIXMUIQBSDT-NPMXOYFQSA-N 0 3 323.461 4.428 20 0 DIADHN CCCCc1ccc(CN(CCO)Cc2cccs2)cc1 ZINC001231783141 1053857843 /nfs/dbraw/zinc/85/78/43/1053857843.db2.gz XNIJFFHJTSUTMV-UHFFFAOYSA-N 0 3 303.471 4.085 20 0 DIADHN COc1ccccc1OCCN(C)Cc1ccc(C(F)F)cc1 ZINC000183927785 1053858631 /nfs/dbraw/zinc/85/86/31/1053858631.db2.gz IIVZNVVPUSODOY-UHFFFAOYSA-N 0 3 321.367 4.144 20 0 DIADHN CSc1ccccc1CN1CC[C@@H](C(=O)c2ccccc2)C1 ZINC001231788053 1053860961 /nfs/dbraw/zinc/86/09/61/1053860961.db2.gz UVAYALWASGFBCX-QGZVFWFLSA-N 0 3 311.450 4.113 20 0 DIADHN Fc1cccc(/C=C/CNCc2ccccc2OCC2CC2)c1 ZINC001200662213 1053864882 /nfs/dbraw/zinc/86/48/82/1053864882.db2.gz ZBZUTZAFJNIVOS-GQCTYLIASA-N 0 3 311.400 4.418 20 0 DIADHN Clc1cccc2c1[C@@H](NC[C@H]1CCc3ccccc31)COC2 ZINC001327605527 1053866458 /nfs/dbraw/zinc/86/64/58/1053866458.db2.gz URHCSFBDOBAZGU-KDOFPFPSSA-N 0 3 313.828 4.231 20 0 DIADHN CC(C)(C)[C@H](N[C@@H]1CCCn2nccc21)c1cccc(F)c1 ZINC001327595304 1053866771 /nfs/dbraw/zinc/86/67/71/1053866771.db2.gz JAZBJKZYFXERQC-NVXWUHKLSA-N 0 3 301.409 4.234 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@@H](C)C3CCC3)n2)cc1F ZINC000157713014 1053868434 /nfs/dbraw/zinc/86/84/34/1053868434.db2.gz DFSWXYOIDMJNSZ-NWDGAFQWSA-N 0 3 303.381 4.023 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1c[nH]nc1-c1cccs1 ZINC000157815582 1053870138 /nfs/dbraw/zinc/87/01/38/1053870138.db2.gz RFTXBEFCAUBTQI-LLVKDONJSA-N 0 3 303.456 4.393 20 0 DIADHN CCN(CC1CC1)[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000157837222 1053871622 /nfs/dbraw/zinc/87/16/22/1053871622.db2.gz JNFSJDCKBPNPCD-LLVKDONJSA-N 0 3 305.809 4.183 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1c[nH]nc1-c1ccc(F)cc1 ZINC000157833035 1053871777 /nfs/dbraw/zinc/87/17/77/1053871777.db2.gz DPIWBIQXDFFBNU-LBPRGKRZSA-N 0 3 315.417 4.470 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1c[nH]nc1-c1ccccc1F ZINC000157829938 1053873167 /nfs/dbraw/zinc/87/31/67/1053873167.db2.gz UXGCURNHLDNDNQ-GFCCVEGCSA-N 0 3 315.417 4.470 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)cs1 ZINC001200672508 1053874096 /nfs/dbraw/zinc/87/40/96/1053874096.db2.gz RKWALBLRWTVHCR-NVXWUHKLSA-N 0 3 300.471 4.207 20 0 DIADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000158080206 1053886186 /nfs/dbraw/zinc/88/61/86/1053886186.db2.gz LFIXKLCMULZACJ-XJKSGUPXSA-N 0 3 318.486 4.258 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(C(F)F)c2)cc1OC ZINC000275676050 1053890126 /nfs/dbraw/zinc/89/01/26/1053890126.db2.gz HOKYWNCEVFHFMQ-GFCCVEGCSA-N 0 3 321.367 4.492 20 0 DIADHN CCCn1c(CNCCCNc2ccccc2)nc2ccccc21 ZINC001200691855 1053895409 /nfs/dbraw/zinc/89/54/09/1053895409.db2.gz IHHNNMGTEAWKSA-UHFFFAOYSA-N 0 3 322.456 4.038 20 0 DIADHN c1cc(OC2CCCCC2)ncc1CN1CCC2(CCC2)C1 ZINC001231872567 1053898562 /nfs/dbraw/zinc/89/85/62/1053898562.db2.gz HPDARAPETFNLLV-UHFFFAOYSA-N 0 3 300.446 4.169 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc(SC)cc2)ccn1 ZINC000671123850 1053899288 /nfs/dbraw/zinc/89/92/88/1053899288.db2.gz DOZOALBZGPEXJR-OLZOCXBDSA-N 0 3 302.443 4.224 20 0 DIADHN Cc1nc(C)c(CN2CC=C(c3ccc(F)cc3)CC2)s1 ZINC000158681363 1053917173 /nfs/dbraw/zinc/91/71/73/1053917173.db2.gz HHVFMOYQGFXJJH-UHFFFAOYSA-N 0 3 302.418 4.188 20 0 DIADHN O[C@H](CNCc1ccc(Cl)s1)c1c(F)cccc1Cl ZINC001200715387 1053918968 /nfs/dbraw/zinc/91/89/68/1053918968.db2.gz ZABWOHTXTBUPIC-LLVKDONJSA-N 0 3 320.216 4.017 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN1CC2CCC(CC2)C1 ZINC001231934271 1053920100 /nfs/dbraw/zinc/92/01/00/1053920100.db2.gz CMZANIHRABWTHN-UHFFFAOYSA-N 0 3 318.770 4.376 20 0 DIADHN Cc1cc(F)ncc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001231983749 1053929144 /nfs/dbraw/zinc/92/91/44/1053929144.db2.gz CSKIPDREKBXOLQ-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1cc(F)ncc1CN1CCC(n2ccc3ccccc32)CC1 ZINC001231983959 1053931094 /nfs/dbraw/zinc/93/10/94/1053931094.db2.gz GXFFFXZGAAWRHO-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cc(C)nc(C)c3)CC2)c1 ZINC001232001103 1053932733 /nfs/dbraw/zinc/93/27/33/1053932733.db2.gz JFXZROFCKZQCKT-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN COc1ccc(F)c(CNC[C@@H](C)Oc2ccc(Cl)cc2)c1 ZINC001200740580 1053933686 /nfs/dbraw/zinc/93/36/86/1053933686.db2.gz DAUCGLZUDVIORB-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC2(CCOC2)CC1 ZINC000621271081 1053936303 /nfs/dbraw/zinc/93/63/03/1053936303.db2.gz WNUPMWBRQVGBMG-UHFFFAOYSA-N 0 3 305.805 4.089 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](OC)c1ccc(F)cc1 ZINC001200749727 1053939140 /nfs/dbraw/zinc/93/91/40/1053939140.db2.gz CBDAAGMNFGUVRA-SFHVURJKSA-N 0 3 305.368 4.005 20 0 DIADHN CCCN(CC(=O)Nc1c(Cl)cccc1Cl)CC(C)C ZINC000621294422 1053941718 /nfs/dbraw/zinc/94/17/18/1053941718.db2.gz YQOHBGZYTPPWHG-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN Brc1cccc2c(CN3CC4CCC3CC4)c[nH]c21 ZINC001232019642 1053942472 /nfs/dbraw/zinc/94/24/72/1053942472.db2.gz MDGAFSLERMZZQT-UHFFFAOYSA-N 0 3 319.246 4.305 20 0 DIADHN C[C@H]1CN(Cc2c[nH]c3c2cccc3Br)C2(CC2)C1 ZINC001232020899 1053942515 /nfs/dbraw/zinc/94/25/15/1053942515.db2.gz GDVZZUGTOHMSDM-LLVKDONJSA-N 0 3 319.246 4.305 20 0 DIADHN CC1(C)CCN(Cc2c[nH]c3c2cccc3Br)C1 ZINC001232020236 1053945407 /nfs/dbraw/zinc/94/54/07/1053945407.db2.gz VMNZHUFVIUJWNX-UHFFFAOYSA-N 0 3 307.235 4.162 20 0 DIADHN CCOc1cncc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c1 ZINC000621331703 1053947628 /nfs/dbraw/zinc/94/76/28/1053947628.db2.gz KPWRYBPSBABCBO-SFHVURJKSA-N 0 3 316.420 4.496 20 0 DIADHN CCOc1cncc(CN[C@H](CC)c2cccc(Cl)c2)c1 ZINC000621329340 1053947945 /nfs/dbraw/zinc/94/79/45/1053947945.db2.gz ZLFCEMBCWMAQCB-QGZVFWFLSA-N 0 3 304.821 4.375 20 0 DIADHN CCCc1ccc(CNCc2ccc3c(c2)OCCCO3)s1 ZINC000621333879 1053949873 /nfs/dbraw/zinc/94/98/73/1053949873.db2.gz VCHLTCVKFDZJEG-UHFFFAOYSA-N 0 3 317.454 4.152 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC001328717320 1053951278 /nfs/dbraw/zinc/95/12/78/1053951278.db2.gz QULXTSJBNVFHJR-IMJJTQAJSA-N 0 3 301.409 4.018 20 0 DIADHN c1c(CN2CCC(Sc3ccccc3)CC2)[nH]c2cccnc12 ZINC001232066612 1053951721 /nfs/dbraw/zinc/95/17/21/1053951721.db2.gz YJEPYCCOBGDMJY-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN COc1ccccc1C1CCN(Cc2ccc(C)nc2C)CC1 ZINC001232085336 1053957219 /nfs/dbraw/zinc/95/72/19/1053957219.db2.gz RLTOFPSDMSLCOI-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-n3cccn3)cc2)ccc1F ZINC001200773084 1053961858 /nfs/dbraw/zinc/96/18/58/1053961858.db2.gz FPEFNDMETFXHJT-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN FC1(F)C[C@H]1CCNCc1csc(-c2ccsc2)n1 ZINC000621378666 1053962000 /nfs/dbraw/zinc/96/20/00/1053962000.db2.gz BCWGWCVHXWAWQN-SNVBAGLBSA-N 0 3 300.399 4.007 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3cnc(Cl)c(F)c3)cc2)C[C@@H]1F ZINC001232135142 1053969846 /nfs/dbraw/zinc/96/98/46/1053969846.db2.gz OMWOGOOHUBQFCL-BZNIZROVSA-N 0 3 322.786 4.331 20 0 DIADHN c1cc(CNCc2ccnc(-c3ccccc3)c2)n(C2CC2)c1 ZINC001329171559 1053971884 /nfs/dbraw/zinc/97/18/84/1053971884.db2.gz DKQWPENFLGKSBJ-UHFFFAOYSA-N 0 3 303.409 4.175 20 0 DIADHN CN(C)Cc1cc(F)cc(CN(C)Cc2ccccc2Cl)c1 ZINC001232146873 1053972428 /nfs/dbraw/zinc/97/24/28/1053972428.db2.gz IUVHKGIYBNYWKT-UHFFFAOYSA-N 0 3 320.839 4.173 20 0 DIADHN Cc1nc(C)c(CNC/C=C\c2cc(C)c(C)cc2C)s1 ZINC001200791509 1053977209 /nfs/dbraw/zinc/97/72/09/1053977209.db2.gz LCSYTEZYANJPQZ-SREVYHEPSA-N 0 3 300.471 4.488 20 0 DIADHN CCCCn1nc(C)c(CN[C@H]2CCC[C@@H](F)C2)c1Cl ZINC001329415997 1053989493 /nfs/dbraw/zinc/98/94/93/1053989493.db2.gz ZKAFSOQZCPYHRW-OLZOCXBDSA-N 0 3 301.837 4.015 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC(F)(F)C4)CC3)ccnc12 ZINC001232210430 1053990490 /nfs/dbraw/zinc/99/04/90/1053990490.db2.gz NNDKNJKPPDXNSG-UHFFFAOYSA-N 0 3 320.358 4.385 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(c3ccncc3)CC2)ccn1 ZINC001232216880 1053993185 /nfs/dbraw/zinc/99/31/85/1053993185.db2.gz ZMPBEQUCGOCLFN-UHFFFAOYSA-N 0 3 309.457 4.154 20 0 DIADHN Cc1cnc(C2CCN(Cc3cncc4ccccc43)CC2)s1 ZINC000763677189 1053993624 /nfs/dbraw/zinc/99/36/24/1053993624.db2.gz VPYZWZJHPBQTEF-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN C[C@@H]1CCc2nc(CNCC(C)(C)C(C)(F)F)sc2C1 ZINC001329733544 1054006671 /nfs/dbraw/zinc/00/66/71/1054006671.db2.gz VMCQROUWPHSCIW-SNVBAGLBSA-N 0 3 302.434 4.039 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1cc2cccc(OC)c2o1 ZINC001329748500 1054006533 /nfs/dbraw/zinc/00/65/33/1054006533.db2.gz LTRQUZVWPQCNTQ-SFHVURJKSA-N 0 3 323.392 4.227 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(NC(=O)C3CC3)cc2)c1 ZINC001329964297 1054013135 /nfs/dbraw/zinc/01/31/35/1054013135.db2.gz KMHJQPIECRYITI-UHFFFAOYSA-N 0 3 322.452 4.448 20 0 DIADHN Cc1n[nH]cc1[C@H](C)NC1(c2ccc(C(F)(F)F)cc2)CCC1 ZINC000621768155 1054013586 /nfs/dbraw/zinc/01/35/86/1054013586.db2.gz LLEMQWIAZBDPON-NSHDSACASA-N 0 3 323.362 4.467 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2cccs2)c(C)n1 ZINC001232312397 1054018983 /nfs/dbraw/zinc/01/89/83/1054018983.db2.gz RUYVGNZATVYHCU-HNNXBMFYSA-N 0 3 302.443 4.187 20 0 DIADHN Cc1cc(Br)ncc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001232319069 1054020235 /nfs/dbraw/zinc/02/02/35/1054020235.db2.gz VUTUPVSHNANDBQ-HIFRSBDPSA-N 0 3 323.278 4.307 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccc(N)nc1C ZINC001232342014 1054024137 /nfs/dbraw/zinc/02/41/37/1054024137.db2.gz IBYSAKFPNHSXRM-INIZCTEOSA-N 0 3 313.470 4.031 20 0 DIADHN CSc1cc(C)c(CN2CCC(c3ccccc3)CC2)cn1 ZINC001232344972 1054025078 /nfs/dbraw/zinc/02/50/78/1054025078.db2.gz KPDKJSGLHJHEMM-UHFFFAOYSA-N 0 3 312.482 4.492 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cnc(SC)cc1C ZINC001232350881 1054027664 /nfs/dbraw/zinc/02/76/64/1054027664.db2.gz YDUUKFFOQBUPLM-UHFFFAOYSA-N 0 3 300.471 4.442 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccc(OCc2ccccc2)nc1 ZINC001232357664 1054032041 /nfs/dbraw/zinc/03/20/41/1054032041.db2.gz RFAUWKUAUMTWDG-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001232382243 1054034215 /nfs/dbraw/zinc/03/42/15/1054034215.db2.gz IOMBZGDCQQJWFX-XLIONFOSSA-N 0 3 323.436 4.259 20 0 DIADHN CCN(Cc1cc(OC)ccc1OCc1ccccc1)C1CC1 ZINC001232375603 1054034561 /nfs/dbraw/zinc/03/45/61/1054034561.db2.gz DESVHIYRCOERBG-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN CCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(C)(C)C ZINC001330601249 1054042329 /nfs/dbraw/zinc/04/23/29/1054042329.db2.gz KUCIKXYEUQFMRF-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1cc(OCc2ccccc2)no1 ZINC001232447603 1054045211 /nfs/dbraw/zinc/04/52/11/1054045211.db2.gz KUGFFABSWZCXAT-QGZVFWFLSA-N 0 3 314.429 4.408 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1ccc(C(F)(F)F)o1 ZINC001232445558 1054046448 /nfs/dbraw/zinc/04/64/48/1054046448.db2.gz RGFTWBBEBANSJD-UHFFFAOYSA-N 0 3 301.283 4.112 20 0 DIADHN C[C@H]1CCCN(Cc2cc(OCc3ccccc3)no2)C[C@H]1C ZINC001232444451 1054047818 /nfs/dbraw/zinc/04/78/18/1054047818.db2.gz GZIIWGBJAYOEDO-JKSUJKDBSA-N 0 3 314.429 4.122 20 0 DIADHN Clc1ccnc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c21 ZINC001232458304 1054048484 /nfs/dbraw/zinc/04/84/84/1054048484.db2.gz BWGBYBVUACULFV-BETUJISGSA-N 0 3 323.827 4.303 20 0 DIADHN Cc1nc(CN2CCC(CCc3ccc(Cl)cc3)CC2)c[nH]1 ZINC001232461937 1054049387 /nfs/dbraw/zinc/04/93/87/1054049387.db2.gz HCVDQPGGVKUGEK-UHFFFAOYSA-N 0 3 317.864 4.216 20 0 DIADHN Cc1nc(CN2CCC(c3ccc4ccccc4c3)CC2)c[nH]1 ZINC001232461645 1054050519 /nfs/dbraw/zinc/05/05/19/1054050519.db2.gz BUVMMQSXFDZOME-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN COc1cccc(CCCN(C)Cc2c(C)cccc2F)c1 ZINC001232483201 1054057245 /nfs/dbraw/zinc/05/72/45/1054057245.db2.gz DGILEDYGLREATG-UHFFFAOYSA-N 0 3 301.405 4.207 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(C)cc1C)CCC2 ZINC000164499879 1054057471 /nfs/dbraw/zinc/05/74/71/1054057471.db2.gz BTBDODSJUJOHFE-HNNXBMFYSA-N 0 3 300.471 4.490 20 0 DIADHN COc1cc(Cl)ccc1CN(C)[C@H]1CCc2ccccc21 ZINC001232500130 1054060185 /nfs/dbraw/zinc/06/01/85/1054060185.db2.gz FRBAHLPVXFIVLM-KRWDZBQOSA-N 0 3 301.817 4.468 20 0 DIADHN COc1cc(Cl)ccc1CN(C)CC/C=C/c1cccnc1 ZINC001232500580 1054061449 /nfs/dbraw/zinc/06/14/49/1054061449.db2.gz BIDMUJMBRBWUEU-ZZXKWVIFSA-N 0 3 316.832 4.279 20 0 DIADHN CC[C@H](NCc1c(C)cnn1COC)c1cccc2ccccc21 ZINC001331230563 1054066215 /nfs/dbraw/zinc/06/62/15/1054066215.db2.gz YECZOCRDRDJFKJ-IBGZPJMESA-N 0 3 323.440 4.190 20 0 DIADHN c1ccc(CN(Cc2nc3ccccc3o2)C2CCOCC2)cc1 ZINC001232559199 1054074196 /nfs/dbraw/zinc/07/41/96/1054074196.db2.gz XRRBOSFSSXSMFZ-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2C[C@H](C)CC[C@@H]2C(C)C)cs1 ZINC000165284939 1054074557 /nfs/dbraw/zinc/07/45/57/1054074557.db2.gz VOBIIRZREVMJJT-RRCSTGOVSA-N 0 3 310.507 4.401 20 0 DIADHN c1ccc(COc2ccnc(CN3CCCCCCC3)c2)cc1 ZINC001232587405 1054076114 /nfs/dbraw/zinc/07/61/14/1054076114.db2.gz IZNUUKSGFCUTOC-UHFFFAOYSA-N 0 3 310.441 4.427 20 0 DIADHN CCOc1ccnc(CN2CCC[C@H]2c2cc(C)cc(C)c2)c1 ZINC001232586085 1054076651 /nfs/dbraw/zinc/07/66/51/1054076651.db2.gz NRKZIYRJTZTKIK-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H]2CCCc3c2cnn3C)cc1 ZINC000165417188 1054076831 /nfs/dbraw/zinc/07/68/31/1054076831.db2.gz YCXVSDMXNOHJFD-GUYCJALGSA-N 0 3 315.486 4.260 20 0 DIADHN c1ccc(COc2ccnc(CN3CC4CCC3CC4)c2)cc1 ZINC001232586392 1054077218 /nfs/dbraw/zinc/07/72/18/1054077218.db2.gz BNOAGVLVWZJHSM-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN c1ccc(COc2ccnc(CN3CCC4(CC4)CC3)c2)cc1 ZINC001232586832 1054077306 /nfs/dbraw/zinc/07/73/06/1054077306.db2.gz GEODTKGNLZWOGY-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN C[C@H]1CCN(Cc2cc(OCc3ccccc3)ccn2)[C@@H](C)C1 ZINC001232588442 1054077771 /nfs/dbraw/zinc/07/77/71/1054077771.db2.gz SSYVTNFULAUVIR-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN CCCCOc1ccc([C@H](C)NCCC(F)(F)F)cc1OC ZINC000165493758 1054078934 /nfs/dbraw/zinc/07/89/34/1054078934.db2.gz SEKMXYLBSLKOBF-LBPRGKRZSA-N 0 3 319.367 4.477 20 0 DIADHN FC[C@@H]1CN(Cc2ccc3ccsc3c2)C[C@H]1C(F)(F)F ZINC001232610789 1054082862 /nfs/dbraw/zinc/08/28/62/1054082862.db2.gz YNPPSITYLWOLOQ-CHWSQXEVSA-N 0 3 317.351 4.481 20 0 DIADHN Cc1cccc(O[C@H]2CCN(Cc3ccc4ccsc4c3)C2)n1 ZINC001232611861 1054083454 /nfs/dbraw/zinc/08/34/54/1054083454.db2.gz DBIXZTZFWLLGJP-KRWDZBQOSA-N 0 3 324.449 4.258 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccco1 ZINC000184583193 1054087825 /nfs/dbraw/zinc/08/78/25/1054087825.db2.gz BKTKOWPYIHEUHK-KXBFYZLASA-N 0 3 307.393 4.331 20 0 DIADHN FC(F)(F)Cc1ccccc1CNCc1cccn1C1CC1 ZINC001331803286 1054091130 /nfs/dbraw/zinc/09/11/30/1054091130.db2.gz NSQYFLGEIFZUDI-UHFFFAOYSA-N 0 3 308.347 4.218 20 0 DIADHN CNc1ccccc1CN(C)Cc1cccc(C(F)(F)F)c1 ZINC001232672087 1054095185 /nfs/dbraw/zinc/09/51/85/1054095185.db2.gz XNLAKXZBTCEDMH-UHFFFAOYSA-N 0 3 308.347 4.379 20 0 DIADHN CCOCC1CCN(Cc2cc3ccc(Cl)cc3[nH]2)CC1 ZINC001232729118 1054107952 /nfs/dbraw/zinc/10/79/52/1054107952.db2.gz CASUAZUUHGUCDR-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN C[C@H]1C[C@@H](CF)N(Cc2cccc(NC(=O)OC(C)(C)C)c2)C1 ZINC001232720374 1054108446 /nfs/dbraw/zinc/10/84/46/1054108446.db2.gz ZWCFXTMNKDYNDY-BBRMVZONSA-N 0 3 322.424 4.214 20 0 DIADHN c1nc2cccc(CN3CCCC[C@H]3c3cccnc3)c2s1 ZINC001232733614 1054110582 /nfs/dbraw/zinc/11/05/82/1054110582.db2.gz QLYLCHBDKKQWBV-KRWDZBQOSA-N 0 3 309.438 4.419 20 0 DIADHN Cc1c(Cl)nccc1CN(C)CCc1cccc(Cl)c1 ZINC001232754235 1054114999 /nfs/dbraw/zinc/11/49/99/1054114999.db2.gz XXKUCZQEXIBOTJ-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccnc(Cl)c2C)C1 ZINC001232747734 1054115698 /nfs/dbraw/zinc/11/56/98/1054115698.db2.gz BPJPSNHPTLMSRK-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(C)c(F)cc3F)C2)c1 ZINC001232784301 1054119158 /nfs/dbraw/zinc/11/91/58/1054119158.db2.gz KKBGYTXNERRYBA-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@@H]3CCC[C@@H]3C2)c(Cl)n1 ZINC001232790898 1054122013 /nfs/dbraw/zinc/12/20/13/1054122013.db2.gz HTPXICLFWVHPNQ-WDEREUQCSA-N 0 3 318.770 4.376 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](CC(C)(C)C)c1ccccc1 ZINC000848528656 1054127047 /nfs/dbraw/zinc/12/70/47/1054127047.db2.gz OAISVESIAPBSDD-OAHLLOKOSA-N 0 3 305.853 4.341 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]c3cc(Cl)ncc13)CC2 ZINC001232823527 1054127862 /nfs/dbraw/zinc/12/78/62/1054127862.db2.gz CZRZGJDYZCWAOI-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN Oc1ccc2[nH]cc(CN3CCC[C@H]3c3ccc(F)cc3)c2c1 ZINC001232861695 1054131832 /nfs/dbraw/zinc/13/18/32/1054131832.db2.gz BKRHSJNHCNVCGU-IBGZPJMESA-N 0 3 310.372 4.350 20 0 DIADHN Oc1ccc2[nH]cc(CN3CCC[C@H]3c3cccc(F)c3)c2c1 ZINC001232866523 1054136182 /nfs/dbraw/zinc/13/61/82/1054136182.db2.gz ZNAAZCPIIBPROK-IBGZPJMESA-N 0 3 310.372 4.350 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(N2CCOC2=O)cc1 ZINC000848547003 1054142606 /nfs/dbraw/zinc/14/26/06/1054142606.db2.gz HTUJABLOEVYCDA-UHFFFAOYSA-N 0 3 324.424 4.056 20 0 DIADHN Cc1ncc(CN[C@H](CCc2cccnc2)c2ccccc2)s1 ZINC001333148779 1054144662 /nfs/dbraw/zinc/14/46/62/1054144662.db2.gz BDOBMKLNSXPXJG-LJQANCHMSA-N 0 3 323.465 4.310 20 0 DIADHN FC1CC2(C1)CCN(Cc1cncc(-c3ccccc3)c1)CC2 ZINC001232899405 1054145102 /nfs/dbraw/zinc/14/51/02/1054145102.db2.gz OTLSXOURXSNZIN-UHFFFAOYSA-N 0 3 310.416 4.463 20 0 DIADHN CC(C)OC1CCN(Cc2cncc(-c3ccccc3)c2)CC1 ZINC001232902070 1054147872 /nfs/dbraw/zinc/14/78/72/1054147872.db2.gz XHIDUEMJWBILAB-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(C(F)F)nc1)c1ccc(F)cc1 ZINC001333322482 1054150318 /nfs/dbraw/zinc/15/03/18/1054150318.db2.gz XUAVTWMEDYCNDR-BZNIZROVSA-N 0 3 324.346 4.197 20 0 DIADHN c1nc2ccc(CN3CCC[C@H](OCc4ccccc4)C3)cc2o1 ZINC001232945352 1054152770 /nfs/dbraw/zinc/15/27/70/1054152770.db2.gz PVMZOFLQSFTMRS-SFHVURJKSA-N 0 3 322.408 4.009 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc2ncoc2c1 ZINC001232944874 1054153157 /nfs/dbraw/zinc/15/31/57/1054153157.db2.gz HLQGAXWUVOPUQV-UHFFFAOYSA-N 0 3 320.314 4.479 20 0 DIADHN CC1(C)CN(Cc2cccc(SC(F)(F)F)c2)CCCO1 ZINC001232947817 1054155827 /nfs/dbraw/zinc/15/58/27/1054155827.db2.gz KIFOIIVWGQJXHG-UHFFFAOYSA-N 0 3 319.392 4.299 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CCC3(CCO3)CC2)c1 ZINC001232947916 1054157068 /nfs/dbraw/zinc/15/70/68/1054157068.db2.gz LEONUIYWTQMZTI-UHFFFAOYSA-N 0 3 317.376 4.053 20 0 DIADHN FC(F)Oc1ccc(CN2CCC(c3ccccc3)CC2)cn1 ZINC001232955462 1054158815 /nfs/dbraw/zinc/15/88/15/1054158815.db2.gz MCLZTYSJLZACNL-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc(OC(F)F)nc1 ZINC001232956713 1054159219 /nfs/dbraw/zinc/15/92/19/1054159219.db2.gz GKFDQQXOAHRDDA-HNNXBMFYSA-N 0 3 306.356 4.266 20 0 DIADHN CN(C)c1ccc(CN2C[C@@H]3CCCC[C@]32c2ccccc2)cn1 ZINC001333572058 1054160250 /nfs/dbraw/zinc/16/02/50/1054160250.db2.gz QTELBVDOAMHQHN-FPOVZHCZSA-N 0 3 321.468 4.049 20 0 DIADHN COCn1ncc(C)c1CN[C@@H](CC(C)(C)C)c1ccccc1 ZINC001333611122 1054162532 /nfs/dbraw/zinc/16/25/32/1054162532.db2.gz FRVKCGGJJFARTQ-KRWDZBQOSA-N 0 3 315.461 4.063 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3cnc(C)cc3C)C2)cc1 ZINC001233006851 1054170696 /nfs/dbraw/zinc/17/06/96/1054170696.db2.gz JIFLQINYNOOPQQ-HXUWFJFHSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](c3cccc(Cl)c3)C2)cn1 ZINC001233009882 1054171421 /nfs/dbraw/zinc/17/14/21/1054171421.db2.gz NEGBCRUWVXHFMT-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cccc(Nc2cccc(NC(=O)[C@@H](C)NC3CC3)c2C)c1 ZINC001333791538 1054172141 /nfs/dbraw/zinc/17/21/41/1054172141.db2.gz XVKZDQKUKVFPAQ-OAHLLOKOSA-N 0 3 323.440 4.126 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cncc(Cl)c1Cl)CC2 ZINC001238010973 1054172852 /nfs/dbraw/zinc/17/28/52/1054172852.db2.gz GEMSOBBEOOZIAN-LBPRGKRZSA-N 0 3 317.235 4.493 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cc(Cl)ncc1[O-] ZINC001233037622 1054173641 /nfs/dbraw/zinc/17/36/41/1054173641.db2.gz FJZCLFNCKSWITG-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CC[N@H+](Cc1cccc(Cl)c1)Cc1cc(Cl)ncc1[O-] ZINC001233037622 1054173647 /nfs/dbraw/zinc/17/36/47/1054173647.db2.gz FJZCLFNCKSWITG-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CC[N@@H+](Cc1cccc(Cl)c1)Cc1cc(Cl)ncc1[O-] ZINC001233037622 1054173649 /nfs/dbraw/zinc/17/36/49/1054173649.db2.gz FJZCLFNCKSWITG-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CC(C)CCCCC(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC001355575782 1054175873 /nfs/dbraw/zinc/17/58/73/1054175873.db2.gz AULIQHVXKFULLK-UHFFFAOYSA-N 0 3 316.489 4.330 20 0 DIADHN c1cc(CN2CCC3(C=Cc4ccccc4O3)CC2)n(C2CC2)c1 ZINC001334046393 1054179560 /nfs/dbraw/zinc/17/95/60/1054179560.db2.gz SPBSDOFEOYDZEW-UHFFFAOYSA-N 0 3 320.436 4.263 20 0 DIADHN CCCCCN(C)Cc1ccc(Cl)c(Br)n1 ZINC001233091466 1054183976 /nfs/dbraw/zinc/18/39/76/1054183976.db2.gz WSHXNFLQKXVTPN-UHFFFAOYSA-N 0 3 305.647 4.120 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1[nH]nc2cc(F)ccc21 ZINC001233120995 1054188201 /nfs/dbraw/zinc/18/82/01/1054188201.db2.gz BZFCWOOPMNQMJJ-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2C)c1NC(=O)OC(C)(C)C ZINC001233124199 1054188615 /nfs/dbraw/zinc/18/86/15/1054188615.db2.gz GRRNTOINTDVSLS-ZDUSSCGKSA-N 0 3 320.433 4.027 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1[nH]nc2cc(F)ccc21 ZINC001233120994 1054188664 /nfs/dbraw/zinc/18/86/64/1054188664.db2.gz BZFCWOOPMNQMJJ-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1[nH]nc2cc(F)ccc21 ZINC001233123286 1054189013 /nfs/dbraw/zinc/18/90/13/1054189013.db2.gz LYZSZXZEFNTXSF-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cnc(C)c(C)c2)CC1 ZINC001233159946 1054190605 /nfs/dbraw/zinc/19/06/05/1054190605.db2.gz ODLVGVWIJJGQDB-UHFFFAOYSA-N 0 3 320.480 4.487 20 0 DIADHN COc1ccccc1CC1CCN(Cc2cnc(C)c(C)c2)CC1 ZINC001233159651 1054191265 /nfs/dbraw/zinc/19/12/65/1054191265.db2.gz ZUNZDHSIIZRUQT-UHFFFAOYSA-N 0 3 324.468 4.162 20 0 DIADHN Cc1cc(CN2CCC(Sc3ccccc3)CC2)cnc1C ZINC001233160733 1054192631 /nfs/dbraw/zinc/19/26/31/1054192631.db2.gz GACJKSZYEKQBRF-UHFFFAOYSA-N 0 3 312.482 4.455 20 0 DIADHN COc1cccc(C2CCN(Cc3cnc(C)c(C)c3)CC2)c1 ZINC001233162690 1054194160 /nfs/dbraw/zinc/19/41/60/1054194160.db2.gz JGLYECYXGMNWEE-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3Cl)C2)cnc1C ZINC001233162115 1054194174 /nfs/dbraw/zinc/19/41/74/1054194174.db2.gz SAGHIEUNLYZDPA-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)cnc1C ZINC001233161166 1054194458 /nfs/dbraw/zinc/19/44/58/1054194458.db2.gz VMLYFMVRJFPWBN-UHFFFAOYSA-N 0 3 322.452 4.058 20 0 DIADHN FC(F)(F)CCCN(Cc1cccs1)Cc1ccncc1 ZINC001335132751 1054208133 /nfs/dbraw/zinc/20/81/33/1054208133.db2.gz WVSYACSXTCIYOM-UHFFFAOYSA-N 0 3 314.376 4.488 20 0 DIADHN Oc1ccc(CN2CCCc3ccccc3C2)cc1OCC1CC1 ZINC001233228374 1054209672 /nfs/dbraw/zinc/20/96/72/1054209672.db2.gz PUJIWFZVJJCHIV-UHFFFAOYSA-N 0 3 323.436 4.129 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)cc1O ZINC001233233134 1054209965 /nfs/dbraw/zinc/20/99/65/1054209965.db2.gz AWFOKYLVQZIXNA-HNNXBMFYSA-N 0 3 301.817 4.344 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc(C)c(O)c2)cc1 ZINC001233234061 1054212784 /nfs/dbraw/zinc/21/27/84/1054212784.db2.gz JWMUZZCTNJCLLO-IBGZPJMESA-N 0 3 311.425 4.436 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1cnn2ccccc12 ZINC001233238972 1054214240 /nfs/dbraw/zinc/21/42/40/1054214240.db2.gz XRNWKNHNCWSHKZ-SFHVURJKSA-N 0 3 323.465 4.393 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)cc1O ZINC001233234065 1054214551 /nfs/dbraw/zinc/21/45/51/1054214551.db2.gz KBWQNTDQQJVYMZ-INIZCTEOSA-N 0 3 301.817 4.344 20 0 DIADHN Cc1ccc(Cl)cc1CN1CCC(c2ccccn2)CC1 ZINC001233249650 1054215928 /nfs/dbraw/zinc/21/59/28/1054215928.db2.gz DKHGKJVRFIIHEX-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1cccc2c1CCO2 ZINC001233276172 1054218598 /nfs/dbraw/zinc/21/85/98/1054218598.db2.gz BWLZJIGFDNTLNU-UHFFFAOYSA-N 0 3 321.342 4.272 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)Cc1cccc2c1CCO2 ZINC001233276859 1054219118 /nfs/dbraw/zinc/21/91/18/1054219118.db2.gz DFJQCNLVXFGKSP-CYBMUJFWSA-N 0 3 301.817 4.468 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(Cl)cc3)CC2)sn1 ZINC001233310106 1054225758 /nfs/dbraw/zinc/22/57/58/1054225758.db2.gz QZHFXJLXRCXUHA-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN CC(C)c1ocnc1CN1CCC[C@H](c2c[nH]c3ncccc23)C1 ZINC001336173831 1054231799 /nfs/dbraw/zinc/23/17/99/1054231799.db2.gz KKVSDFPEOSPNBT-AWEZNQCLSA-N 0 3 324.428 4.054 20 0 DIADHN Cc1cnsc1CN1CCC(Cc2cccc(F)c2)CC1 ZINC001233322142 1054232986 /nfs/dbraw/zinc/23/29/86/1054232986.db2.gz UBZRDXLWVJSBGL-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN c1ccc(Cc2ccc(CN3CC(c4cccnc4)C3)cc2)cc1 ZINC001233331100 1054234967 /nfs/dbraw/zinc/23/49/67/1054234967.db2.gz HEIAXPSLRPOUIB-UHFFFAOYSA-N 0 3 314.432 4.272 20 0 DIADHN C[C@H]1C[C@@H](CF)N(Cc2ccc(NC(=O)OC(C)(C)C)cc2)C1 ZINC001233337055 1054240372 /nfs/dbraw/zinc/24/03/72/1054240372.db2.gz OQSMFWKVYHZELU-BBRMVZONSA-N 0 3 322.424 4.214 20 0 DIADHN CCCN(C)CC(=O)N1CCCC[C@H]1c1ccc(C(C)C)cc1 ZINC000622472114 1054241556 /nfs/dbraw/zinc/24/15/56/1054241556.db2.gz SKGZSQXAWACDKF-IBGZPJMESA-N 0 3 316.489 4.205 20 0 DIADHN c1c2cccnc2sc1CN1CC[C@H](OCc2ccccc2)C1 ZINC001233348170 1054243142 /nfs/dbraw/zinc/24/31/42/1054243142.db2.gz QKHOGVZPCPFMFU-KRWDZBQOSA-N 0 3 324.449 4.087 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H](C)[C@@](C)(O)CC1 ZINC001233350671 1054244622 /nfs/dbraw/zinc/24/46/22/1054244622.db2.gz ORYIRTMIYHMWJZ-LRDDRELGSA-N 0 3 313.894 4.045 20 0 DIADHN Cc1cc(Cl)cc2nc(SCCN3CCCCC3)oc21 ZINC001472990386 1054244709 /nfs/dbraw/zinc/24/47/09/1054244709.db2.gz ZKQGIDHARPLDQT-UHFFFAOYSA-N 0 3 310.850 4.368 20 0 DIADHN CCn1cc(CN[C@H](C)c2ccc(-c3ccncc3)cc2)c(C)n1 ZINC001336737710 1054245192 /nfs/dbraw/zinc/24/51/92/1054245192.db2.gz ZJQSLEZYGVRZNL-OAHLLOKOSA-N 0 3 320.440 4.124 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H](C)[C@](C)(O)CC1 ZINC001233350670 1054245783 /nfs/dbraw/zinc/24/57/83/1054245783.db2.gz ORYIRTMIYHMWJZ-BLLLJJGKSA-N 0 3 313.894 4.045 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H]2O[C@@H](C)C[C@H]2C1 ZINC001233351353 1054245917 /nfs/dbraw/zinc/24/59/17/1054245917.db2.gz OUDDMNHZTMXLOS-SLEUVZQESA-N 0 3 311.878 4.061 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC2(CCCO2)CC1 ZINC001233352887 1054247839 /nfs/dbraw/zinc/24/78/39/1054247839.db2.gz XWRASCOPRPTTBB-UHFFFAOYSA-N 0 3 311.878 4.207 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1cccc2nccn21 ZINC001233363905 1054249441 /nfs/dbraw/zinc/24/94/41/1054249441.db2.gz GGBXFTSWMABGME-QGZVFWFLSA-N 0 3 323.465 4.393 20 0 DIADHN Cc1cccc(CN(Cc2cccc3nccn32)C2CC2)c1C ZINC001233364295 1054249476 /nfs/dbraw/zinc/24/94/76/1054249476.db2.gz CMGWLQODHRDICO-UHFFFAOYSA-N 0 3 305.425 4.116 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001336912025 1054250889 /nfs/dbraw/zinc/25/08/89/1054250889.db2.gz PZIUAABUWDPOMR-YCPHGPKFSA-N 0 3 306.475 4.007 20 0 DIADHN Nc1cccc(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)n1 ZINC001233373032 1054251309 /nfs/dbraw/zinc/25/13/09/1054251309.db2.gz PFYDCCRIKPHOQI-HNNXBMFYSA-N 0 3 321.346 4.020 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1cc(F)c(F)cc1N ZINC001233380399 1054257342 /nfs/dbraw/zinc/25/73/42/1054257342.db2.gz OLYLAYSKVNVMFG-UHFFFAOYSA-N 0 3 304.384 4.349 20 0 DIADHN Clc1ncnc(Cl)c1CN1CCC2(CCCCC2)CC1 ZINC001233407344 1054263717 /nfs/dbraw/zinc/26/37/17/1054263717.db2.gz ATNPSHBSNAIJSE-UHFFFAOYSA-N 0 3 314.260 4.330 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC3(CCCC3)C2)c1 ZINC001233432690 1054269634 /nfs/dbraw/zinc/26/96/34/1054269634.db2.gz VPPYIVBAGAMARX-UHFFFAOYSA-N 0 3 304.434 4.037 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3nc(Cl)ccc32)cc1C ZINC001356060968 1054270180 /nfs/dbraw/zinc/27/01/80/1054270180.db2.gz AOOZEIJODKPZKX-DOMZBBRYSA-N 0 3 316.832 4.390 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2C3CCCC2CCC3)c1 ZINC001233433610 1054271834 /nfs/dbraw/zinc/27/18/34/1054271834.db2.gz JIZDJEGTKNQYTN-UHFFFAOYSA-N 0 3 304.434 4.178 20 0 DIADHN Clc1ccc2cc(CN3CCc4ccccc4C3)ccc2n1 ZINC001233442325 1054272549 /nfs/dbraw/zinc/27/25/49/1054272549.db2.gz OAMUUANIWMLVIL-UHFFFAOYSA-N 0 3 308.812 4.447 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000622586257 1054293943 /nfs/dbraw/zinc/29/39/43/1054293943.db2.gz OOMMLYBRHATAIX-RHSMWYFYSA-N 0 3 301.409 4.022 20 0 DIADHN C=Cc1ccc(O[C@@H]2CCCN(Cc3ccccc3)C2)c(OC)c1 ZINC001233568175 1054299418 /nfs/dbraw/zinc/29/94/18/1054299418.db2.gz QJXLOTRKWKTWRJ-LJQANCHMSA-N 0 3 323.436 4.382 20 0 DIADHN CN(C)Cc1cccc(C(=O)NCC2(C)CCC(C)(C)CC2)c1 ZINC000622705526 1054339184 /nfs/dbraw/zinc/33/91/84/1054339184.db2.gz XOCCDIDYHTYPSU-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cn2cc(-c3ccc(C)cc3)cn2)C1 ZINC001339605037 1054342580 /nfs/dbraw/zinc/34/25/80/1054342580.db2.gz OOADPTHYYNSYLX-QZTJIDSGSA-N 0 3 315.486 4.032 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1cccc(OC(F)F)c1 ZINC000171129181 1054347973 /nfs/dbraw/zinc/34/79/73/1054347973.db2.gz TWAMORPJBIXZDF-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N[C@H](C)CN1CCCCC1 ZINC001441177699 1054384253 /nfs/dbraw/zinc/38/42/53/1054384253.db2.gz PPZQDXZMNJHYCU-IEBWSBKVSA-N 0 3 310.526 4.364 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001441324013 1054388486 /nfs/dbraw/zinc/38/84/86/1054388486.db2.gz YZLJUSWTKRHBBS-WMZOPIPTSA-N 0 3 324.534 4.464 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2csc(-c3ccccn3)n2)C1 ZINC000171883279 1054395821 /nfs/dbraw/zinc/39/58/21/1054395821.db2.gz BMAPKZZKINDZSZ-DZGCQCFKSA-N 0 3 301.459 4.216 20 0 DIADHN COc1ccc2cc(CN(C)[C@H](C)c3ccncc3)ccc2c1 ZINC000171913398 1054397084 /nfs/dbraw/zinc/39/70/84/1054397084.db2.gz NRIMYWXTLHFESG-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000171949822 1054399403 /nfs/dbraw/zinc/39/94/03/1054399403.db2.gz DELJGNZJZNXGQQ-HOCLYGCPSA-N 0 3 304.478 4.423 20 0 DIADHN CCC(CC)[C@H](NC(=O)CN(C)CC(C)(C)C)c1cccs1 ZINC001442075404 1054407465 /nfs/dbraw/zinc/40/74/65/1054407465.db2.gz AUYCNHIRAKHQGL-KRWDZBQOSA-N 0 3 324.534 4.320 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1C)c1ccc(F)cc1 ZINC001342209885 1054419601 /nfs/dbraw/zinc/41/96/01/1054419601.db2.gz MIASUVVOAUNSJR-YOEHRIQHSA-N 0 3 314.404 4.202 20 0 DIADHN CCCCC[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)c1ccccc1 ZINC001443160003 1054440763 /nfs/dbraw/zinc/44/07/63/1054440763.db2.gz JRMXKBUQBRJLPL-LMMKCTJWSA-N 0 3 316.489 4.297 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1CCCCC[C@H]1c1ccccc1 ZINC001357261574 1054445331 /nfs/dbraw/zinc/44/53/31/1054445331.db2.gz LUUNMVFLRRDCRS-OALUTQOASA-N 0 3 314.473 4.003 20 0 DIADHN CC(C)c1ccc([C@@H](C)CC(=O)N(CCN(C)C)C(C)C)cc1 ZINC001357259648 1054445930 /nfs/dbraw/zinc/44/59/30/1054445930.db2.gz XIXUYBYWFRNOTK-KRWDZBQOSA-N 0 3 318.505 4.102 20 0 DIADHN O=C(c1ccc2c(c1)CNC2)N1CCCCC12CCCCCC2 ZINC001343574992 1054448598 /nfs/dbraw/zinc/44/85/98/1054448598.db2.gz DXVKZUGXPXOVKM-UHFFFAOYSA-N 0 3 312.457 4.009 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1cc2c(s1)CCCC2 ZINC000336852949 1054451213 /nfs/dbraw/zinc/45/12/13/1054451213.db2.gz BDYODQQZBHJWBV-SWLSCSKDSA-N 0 3 315.486 4.089 20 0 DIADHN CC[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1ccccc1F ZINC000336874526 1054456021 /nfs/dbraw/zinc/45/60/21/1054456021.db2.gz ZOYGABWCQZXDTP-QZTJIDSGSA-N 0 3 316.420 4.297 20 0 DIADHN CC[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccccc1F ZINC000336874527 1054457134 /nfs/dbraw/zinc/45/71/34/1054457134.db2.gz ZOYGABWCQZXDTP-ROUUACIJSA-N 0 3 316.420 4.297 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NC[C@@H]1CCCCN1CC ZINC001443869944 1054462841 /nfs/dbraw/zinc/46/28/41/1054462841.db2.gz JETUILASCJPWIZ-PKOBYXMFSA-N 0 3 310.526 4.364 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CC[C@@]2(C1)CCCOC2 ZINC000671253992 1054463924 /nfs/dbraw/zinc/46/39/24/1054463924.db2.gz PQWVMYISZPUUQJ-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CC[C@]2(C1)CCCOC2 ZINC000671253990 1054464699 /nfs/dbraw/zinc/46/46/99/1054464699.db2.gz PQWVMYISZPUUQJ-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN CCN(CC(=O)Nc1ccc(SC(F)F)cc1)C(C)(C)C ZINC001344335500 1054465264 /nfs/dbraw/zinc/46/52/64/1054465264.db2.gz NUHGOCROQVFCKL-UHFFFAOYSA-N 0 3 316.417 4.060 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)CCC=C(C)C ZINC001234241722 1054468958 /nfs/dbraw/zinc/46/89/58/1054468958.db2.gz VEKMXXRGZGVXFB-CQSZACIVSA-N 0 3 309.425 4.410 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC001234242302 1054470080 /nfs/dbraw/zinc/47/00/80/1054470080.db2.gz AOZYWRVCXYNUCJ-GZBFAFLISA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H]1CCC=CCCC1 ZINC001234243305 1054470997 /nfs/dbraw/zinc/47/09/97/1054470997.db2.gz HKXXDKFDFUZXAI-HNNXBMFYSA-N 0 3 307.409 4.164 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CCC=CCCC1 ZINC001234243306 1054471528 /nfs/dbraw/zinc/47/15/28/1054471528.db2.gz HKXXDKFDFUZXAI-OAHLLOKOSA-N 0 3 307.409 4.164 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)c1ccc(C)cc1 ZINC001234242524 1054471659 /nfs/dbraw/zinc/47/16/59/1054471659.db2.gz BWTVTHNVLXBHDY-CQSZACIVSA-N 0 3 317.404 4.344 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)c1ccc(C)cc1O ZINC000185205921 1054471954 /nfs/dbraw/zinc/47/19/54/1054471954.db2.gz MNHPJGKCZDXBHC-LBPRGKRZSA-N 0 3 305.805 4.213 20 0 DIADHN C/C(=C/C(C)(C)C)C(=O)N(CCN1CCCC1)c1ccccc1 ZINC001444425917 1054478145 /nfs/dbraw/zinc/47/81/45/1054478145.db2.gz WELZLEFMWJKZMA-MSUUIHNZSA-N 0 3 314.473 4.108 20 0 DIADHN CN(C)c1cccc(CN(Cc2ccccc2F)C2CCC2)c1 ZINC001473277310 1054481530 /nfs/dbraw/zinc/48/15/30/1054481530.db2.gz WKVFQOVBPVKPAJ-UHFFFAOYSA-N 0 3 312.432 4.446 20 0 DIADHN Cc1ccc(NC(=O)CCCC2CCCC2)c(CN(C)C)c1 ZINC000173455029 1054483928 /nfs/dbraw/zinc/48/39/28/1054483928.db2.gz QNGUPAWEUKMNSV-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN CC[C@H](C)C[C@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001444694523 1054485127 /nfs/dbraw/zinc/48/51/27/1054485127.db2.gz OTEOIWJRSKDPCJ-IRXDYDNUSA-N 0 3 316.489 4.227 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2ccc(C(C)(C)C)cc2)c1 ZINC000173893269 1054496580 /nfs/dbraw/zinc/49/65/80/1054496580.db2.gz YVOWTAYQIXQRGC-OAHLLOKOSA-N 0 3 324.468 4.195 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001445606332 1054511818 /nfs/dbraw/zinc/51/18/18/1054511818.db2.gz NVMNBWZWJLAAAJ-OWCLPIDISA-N 0 3 302.462 4.034 20 0 DIADHN C[C@H](Oc1cccc2c1CCN(C)C2)c1c(F)cccc1F ZINC001234420090 1054517754 /nfs/dbraw/zinc/51/77/54/1054517754.db2.gz JZYGVSNRZQZLAG-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN CN1CCc2c(cccc2O[C@@H]2CCc3cc(Cl)ccc32)C1 ZINC001234425533 1054519501 /nfs/dbraw/zinc/51/95/01/1054519501.db2.gz WWXNFEBINDRMEL-LJQANCHMSA-N 0 3 313.828 4.394 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2[C@@H]3CCCCCC[C@@H]23)c1 ZINC001446183235 1054529637 /nfs/dbraw/zinc/52/96/37/1054529637.db2.gz OYZDMSLMOLWPFQ-QZTJIDSGSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1ccnc(-c2nnc([C@@H](C)[NH2+][C@@H](C)CCC(C)(C)C)[n-]2)c1 ZINC000671300204 1054537974 /nfs/dbraw/zinc/53/79/74/1054537974.db2.gz RLZCKTFJNDILFA-UONOGXRCSA-N 0 3 315.465 4.041 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccnc(OC(F)F)c1 ZINC000671302685 1054541233 /nfs/dbraw/zinc/54/12/33/1054541233.db2.gz OVRQMWAWOSSURK-PXAZEXFGSA-N 0 3 318.367 4.096 20 0 DIADHN COc1c(Cl)cccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001234637442 1054562070 /nfs/dbraw/zinc/56/20/70/1054562070.db2.gz BHPVRHZIDNDOIC-OAHLLOKOSA-N 0 3 317.816 4.002 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)[nH]1 ZINC001234653540 1054570693 /nfs/dbraw/zinc/57/06/93/1054570693.db2.gz ALJQUGFSYOGRHW-INIZCTEOSA-N 0 3 323.362 4.382 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1OC1CC1 ZINC000623363407 1054574359 /nfs/dbraw/zinc/57/43/59/1054574359.db2.gz RTZSUYRBPIFACQ-HNNXBMFYSA-N 0 3 311.425 4.396 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CCCC2(CCCCC2)CC1 ZINC001447763647 1054575100 /nfs/dbraw/zinc/57/51/00/1054575100.db2.gz OIWLYVGMOHZODZ-SFHVURJKSA-N 0 3 320.521 4.214 20 0 DIADHN C[C@H](NCc1ccsc1)[C@@H](O)c1c(Cl)cccc1Cl ZINC000072925593 1054577201 /nfs/dbraw/zinc/57/72/01/1054577201.db2.gz LROBXQNUBJEPAL-LKFCYVNXSA-N 0 3 316.253 4.267 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCC[C@@H]3C2)c(OC(C)C)c1 ZINC001234695783 1054579699 /nfs/dbraw/zinc/57/96/99/1054579699.db2.gz FNAVNKORWAFQJT-HZPDHXFCSA-N 0 3 303.446 4.104 20 0 DIADHN CC[C@H](NCc1cccn1C1CC1)c1ccc(OC)c(OC)c1 ZINC001347791673 1054583939 /nfs/dbraw/zinc/58/39/39/1054583939.db2.gz IUVWYJBNABXPJF-KRWDZBQOSA-N 0 3 314.429 4.081 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)N1CCCC[C@H]1c1ccccc1 ZINC000415988277 1054593211 /nfs/dbraw/zinc/59/32/11/1054593211.db2.gz CGMMJZPFWMJJPS-IBGZPJMESA-N 0 3 321.424 4.049 20 0 DIADHN CCc1ccc(C(=O)N(CCN(C)C)c2ccccc2)cc1CC ZINC001358131865 1054605432 /nfs/dbraw/zinc/60/54/32/1054605432.db2.gz IZJSLVIYGYUXKX-UHFFFAOYSA-N 0 3 324.468 4.020 20 0 DIADHN CCOC(OCC)c1ccc(CN2CC3(C2)CCCC3)cc1 ZINC001234868191 1054608873 /nfs/dbraw/zinc/60/88/73/1054608873.db2.gz COVQNJLBPLOGNU-UHFFFAOYSA-N 0 3 303.446 4.134 20 0 DIADHN CCC[C@H](CNCc1ccc(-c2ccncc2)cc1F)OCC ZINC000623598884 1054629996 /nfs/dbraw/zinc/62/99/96/1054629996.db2.gz QFQKKOYGQIQHGP-GOSISDBHSA-N 0 3 316.420 4.183 20 0 DIADHN C[C@@H](NCCOc1cccc2ccccc21)c1cncc(F)c1 ZINC000177912875 1054636562 /nfs/dbraw/zinc/63/65/62/1054636562.db2.gz SYNZKFPDEJUQTJ-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN Clc1ccc([C@@H](N[C@H]2CCCOC2)c2ccsc2)cc1 ZINC000177917152 1054639558 /nfs/dbraw/zinc/63/95/58/1054639558.db2.gz KZXMWZLPILGKLG-JKSUJKDBSA-N 0 3 307.846 4.260 20 0 DIADHN FC(F)(F)Oc1ccc2c(c1)[C@H](NCc1ccsc1)CC2 ZINC000178026165 1054642036 /nfs/dbraw/zinc/64/20/36/1054642036.db2.gz UTKFFLORYOHUKM-CQSZACIVSA-N 0 3 313.344 4.424 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001348466343 1054647609 /nfs/dbraw/zinc/64/76/09/1054647609.db2.gz NJUBVYGRKNNBII-GOSISDBHSA-N 0 3 302.462 4.231 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000178459190 1054652429 /nfs/dbraw/zinc/65/24/29/1054652429.db2.gz GKEZOFPSBYSYNK-YWZLYKJASA-N 0 3 306.409 4.273 20 0 DIADHN CCN(CCC1CC1)CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001473359612 1054653552 /nfs/dbraw/zinc/65/35/52/1054653552.db2.gz YEVHAECIYFLLBM-OKILXGFUSA-N 0 3 305.384 4.046 20 0 DIADHN FC(F)(F)C1CCN(Cc2cccc(N3CCCC3)c2)CC1 ZINC001235083721 1054654054 /nfs/dbraw/zinc/65/40/54/1054654054.db2.gz HYTVKRCGIHVCBX-UHFFFAOYSA-N 0 3 312.379 4.061 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@H](c1cccs1)c1ccc(Cl)cc1 ZINC000178681925 1054656718 /nfs/dbraw/zinc/65/67/18/1054656718.db2.gz SVDZGULFEBREQH-PEYYIBSZSA-N 0 3 307.846 4.258 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC001450737962 1054658872 /nfs/dbraw/zinc/65/88/72/1054658872.db2.gz CZXDEFWECIFTKE-XHSDSOJGSA-N 0 3 302.462 4.034 20 0 DIADHN CCOC(=O)CC(C)(C)CCN1CCc2cc(Cl)ccc2C1 ZINC001307675837 1054658963 /nfs/dbraw/zinc/65/89/63/1054658963.db2.gz HWCRMNVTINYLGU-UHFFFAOYSA-N 0 3 323.864 4.068 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)c(C)s1 ZINC000179370581 1054677484 /nfs/dbraw/zinc/67/74/84/1054677484.db2.gz LAGMOTOXFMWPMY-KBPBESRZSA-N 0 3 316.470 4.351 20 0 DIADHN CC(=O)C1CCN(Cc2cc(-c3ccsc3)cs2)CC1 ZINC001235187246 1054678904 /nfs/dbraw/zinc/67/89/04/1054678904.db2.gz VMQNIEDSVXAVGE-UHFFFAOYSA-N 0 3 305.468 4.278 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C)c(C)s1 ZINC000179370592 1054679067 /nfs/dbraw/zinc/67/90/67/1054679067.db2.gz LAGMOTOXFMWPMY-ZIAGYGMSSA-N 0 3 316.470 4.351 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3cc4cccc(F)c4[nH]3)C2)cc1 ZINC001235248722 1054691755 /nfs/dbraw/zinc/69/17/55/1054691755.db2.gz JIEZRJPVAIHHIC-HNNXBMFYSA-N 0 3 312.363 4.436 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cccc3ncccc13)CCC2 ZINC001348901322 1054693512 /nfs/dbraw/zinc/69/35/12/1054693512.db2.gz HAEFEDNGLITMSS-IBGZPJMESA-N 0 3 320.440 4.179 20 0 DIADHN CCc1cccc(CN2CC[C@@H](c3ccccc3Cl)C2)n1 ZINC001235262315 1054695417 /nfs/dbraw/zinc/69/54/17/1054695417.db2.gz YPUGGIBNNQYXBR-CQSZACIVSA-N 0 3 300.833 4.287 20 0 DIADHN Cc1cnc(F)c(CN2CCC3(CCCc4ccccc43)CC2)c1 ZINC001235327668 1054699315 /nfs/dbraw/zinc/69/93/15/1054699315.db2.gz HAYGKHQMKIRJKY-UHFFFAOYSA-N 0 3 324.443 4.399 20 0 DIADHN CC[C@H](C(=O)N(CC(C)C)[C@@H](C)c1ccccc1Cl)N(C)C ZINC001452415463 1054701080 /nfs/dbraw/zinc/70/10/80/1054701080.db2.gz JTXRVOFBSDEDNR-WMLDXEAASA-N 0 3 324.896 4.226 20 0 DIADHN CCCCC[C@@H](C(=O)N1CCN(C(C)(C)CC)CC1)C(C)C ZINC001452656776 1054711753 /nfs/dbraw/zinc/71/17/53/1054711753.db2.gz LNAMYSNINHXQII-QGZVFWFLSA-N 0 3 310.526 4.172 20 0 DIADHN CCOc1cc(O)cc(CN2CCC(c3ccccc3)CC2)c1 ZINC001235391508 1054712952 /nfs/dbraw/zinc/71/29/52/1054712952.db2.gz DSOPNRQJUJLDJD-UHFFFAOYSA-N 0 3 311.425 4.171 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCOc3cc(F)ccc32)cc1 ZINC000179967161 1054721799 /nfs/dbraw/zinc/72/17/99/1054721799.db2.gz PBJYVNXISOJWHZ-UGSOOPFHSA-N 0 3 315.388 4.399 20 0 DIADHN CCOc1cncc(CN[C@H]2CCCc3ccc(Cl)cc32)c1 ZINC000623751813 1054722239 /nfs/dbraw/zinc/72/22/39/1054722239.db2.gz NIJQDVWJWIRGBW-SFHVURJKSA-N 0 3 316.832 4.301 20 0 DIADHN CCOc1cc(CN2C[C@@H]3CCC[C@H]3C2)ccc1Br ZINC001235441325 1054722935 /nfs/dbraw/zinc/72/29/35/1054722935.db2.gz ZVOQIRNOENOXNF-KBPBESRZSA-N 0 3 324.262 4.080 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(F)c(F)c(OC)c1 ZINC001235449905 1054724516 /nfs/dbraw/zinc/72/45/16/1054724516.db2.gz YQFVYDGFAXUMRY-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN COc1cc(CN(C)[C@H]2CCc3ccccc32)cc(F)c1F ZINC001235447358 1054725630 /nfs/dbraw/zinc/72/56/30/1054725630.db2.gz UZPVHYADKOJBTI-INIZCTEOSA-N 0 3 303.352 4.093 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cccc3nccn31)CCC2 ZINC000623751953 1054726497 /nfs/dbraw/zinc/72/64/97/1054726497.db2.gz SJGOBPFPWNYRBP-KRWDZBQOSA-N 0 3 311.816 4.155 20 0 DIADHN Cc1n[nH]cc1CN[C@H]1CCCc2c3cc(Cl)ccc3[nH]c21 ZINC000623754471 1054729657 /nfs/dbraw/zinc/72/96/57/1054729657.db2.gz OUULIKGLJJSFIM-INIZCTEOSA-N 0 3 314.820 4.020 20 0 DIADHN CC(C)c1cnc(NC[C@@H](c2ccc(F)cc2)N(C)C)s1 ZINC000498909927 1054734136 /nfs/dbraw/zinc/73/41/36/1054734136.db2.gz KLAFDNOJQZGQGI-AWEZNQCLSA-N 0 3 307.438 4.120 20 0 DIADHN CC(C)c1cnc(NC[C@H](c2cccc(F)c2)N(C)C)s1 ZINC000498875481 1054735206 /nfs/dbraw/zinc/73/52/06/1054735206.db2.gz MPKDXQFTNQFLFW-CQSZACIVSA-N 0 3 307.438 4.120 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001473544184 1054736579 /nfs/dbraw/zinc/73/65/79/1054736579.db2.gz NLENNQYEPOPFDS-HNNXBMFYSA-N 0 3 309.457 4.124 20 0 DIADHN Clc1cc2c(CN3CC[C@H]4CCCC[C@H]4C3)c[nH]c2cn1 ZINC001235522736 1054737823 /nfs/dbraw/zinc/73/78/23/1054737823.db2.gz YOMOFSHUZBFWGD-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN Cc1ncn(C)c1CN1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001235555077 1054741647 /nfs/dbraw/zinc/74/16/47/1054741647.db2.gz IGPKAKJEKDKXKO-HNNXBMFYSA-N 0 3 323.362 4.084 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCC[C@@H](C)C1)c1nnnn1C1CCCCC1 ZINC001473553603 1054744397 /nfs/dbraw/zinc/74/43/97/1054744397.db2.gz LQIJFDYYZCZNSV-UGUYLWEFSA-N 0 3 319.497 4.044 20 0 DIADHN Cc1ccnc(CN2CC[C@@H](Cc3ccccc3)C2)c1Cl ZINC001235587192 1054748215 /nfs/dbraw/zinc/74/82/15/1054748215.db2.gz ZRWMGYFFQUXNIR-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1ccnc(CN2CC[C@H](Cc3ccccc3)C2)c1Cl ZINC001235587193 1054748389 /nfs/dbraw/zinc/74/83/89/1054748389.db2.gz ZRWMGYFFQUXNIR-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H](C2CCCCC2)C1 ZINC001454038284 1054755441 /nfs/dbraw/zinc/75/54/41/1054755441.db2.gz NZBFDKSCRGWHFF-IBGZPJMESA-N 0 3 322.537 4.317 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc(OC(F)F)c1)CC2 ZINC000180504599 1054766149 /nfs/dbraw/zinc/76/61/49/1054766149.db2.gz VYDCBRKEYGSIAQ-KRWDZBQOSA-N 0 3 319.351 4.074 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccc4c(c3)NCC4)CC2)cc1 ZINC001235669666 1054766758 /nfs/dbraw/zinc/76/67/58/1054766758.db2.gz GYXHOJIXCPRLBF-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2nc3ccc(F)cc3[nH]2)c1 ZINC001235681501 1054774566 /nfs/dbraw/zinc/77/45/66/1054774566.db2.gz BTXBWKHPYCVZLD-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc3ccccc3c2)cn1 ZINC000623803400 1054774925 /nfs/dbraw/zinc/77/49/25/1054774925.db2.gz DJXNQAAVXMGZAZ-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN COc1ccc(CNCc2ccccc2CC(F)(F)F)cc1 ZINC000623820047 1054779314 /nfs/dbraw/zinc/77/93/14/1054779314.db2.gz GYNJBTKNNHBEBZ-UHFFFAOYSA-N 0 3 309.331 4.090 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2[nH]1)Cc1ccc(Cl)cc1 ZINC001235695176 1054780543 /nfs/dbraw/zinc/78/05/43/1054780543.db2.gz KLIPQJHPKZHPJS-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN CCC(C)(C)CNCc1c(C)nn(-c2ccccc2)c1Cl ZINC001474111731 1054782210 /nfs/dbraw/zinc/78/22/10/1054782210.db2.gz HGEXKUIOQGUHIZ-UHFFFAOYSA-N 0 3 305.853 4.360 20 0 DIADHN C[C@H](Cc1ncc(C(F)(F)F)cc1Cl)NCc1ccco1 ZINC000623849784 1054785872 /nfs/dbraw/zinc/78/58/72/1054785872.db2.gz PCVHKUQDRXMVAI-SECBINFHSA-N 0 3 318.726 4.068 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cnc(OC)c(Cl)c1 ZINC001235707312 1054786915 /nfs/dbraw/zinc/78/69/15/1054786915.db2.gz ZGBMNQQAZLKLRD-MRXNPFEDSA-N 0 3 304.821 4.327 20 0 DIADHN Fc1ccc(SCCCN[C@H]2CCCc3scnc32)cc1 ZINC000623850463 1054787017 /nfs/dbraw/zinc/78/70/17/1054787017.db2.gz HCKYXFQASFSPKJ-AWEZNQCLSA-N 0 3 322.474 4.432 20 0 DIADHN CC(C)[C@@H]1C[C@H](NC2(c3c(F)cccc3F)CCC2)CCO1 ZINC000623844509 1054789910 /nfs/dbraw/zinc/78/99/10/1054789910.db2.gz ZHYFBCWDTZONMO-CJNGLKHVSA-N 0 3 309.400 4.137 20 0 DIADHN Cc1cc(CNCc2ccc(N(C)c3ccccc3)cc2)ccn1 ZINC001474154371 1054790773 /nfs/dbraw/zinc/79/07/73/1054790773.db2.gz YVBCPALPIADEHT-UHFFFAOYSA-N 0 3 317.436 4.448 20 0 DIADHN CN(Cc1ccc2c(c1)NCC2)Cc1cccc(C(F)(F)F)c1 ZINC001235726650 1054791078 /nfs/dbraw/zinc/79/10/78/1054791078.db2.gz OJOLNRSHHITUCN-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1nc(C)cs1)c1ccsc1 ZINC000623862070 1054791826 /nfs/dbraw/zinc/79/18/26/1054791826.db2.gz LHJOBLPSUZCAKQ-DZGCQCFKSA-N 0 3 323.531 4.247 20 0 DIADHN Cc1ccc(OCCCCN[C@@H]2CCCc3scnc32)cc1 ZINC000623866176 1054793462 /nfs/dbraw/zinc/79/34/62/1054793462.db2.gz NIVNFTBUTVGSDM-MRXNPFEDSA-N 0 3 316.470 4.278 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@H]1CCCc2scnc21 ZINC000623879842 1054798584 /nfs/dbraw/zinc/79/85/84/1054798584.db2.gz PRDBRKZDRZODSL-AWEZNQCLSA-N 0 3 316.470 4.097 20 0 DIADHN c1nc2c(s1)CCC[C@H]2NCCCCOCc1ccccc1 ZINC000623881436 1054802181 /nfs/dbraw/zinc/80/21/81/1054802181.db2.gz NZCYYSMZKHZRFS-MRXNPFEDSA-N 0 3 316.470 4.107 20 0 DIADHN c1nc2c(s1)CCC[C@@H]2NCCCCOCc1ccccc1 ZINC000623881435 1054802623 /nfs/dbraw/zinc/80/26/23/1054802623.db2.gz NZCYYSMZKHZRFS-INIZCTEOSA-N 0 3 316.470 4.107 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1ccc(Br)cc1)C1CC1 ZINC001474358140 1054804242 /nfs/dbraw/zinc/80/42/42/1054804242.db2.gz HBPBJAKZCUBHLV-HZPDHXFCSA-N 0 3 324.262 4.011 20 0 DIADHN CSCCN(C)Cc1ccc(OCc2ccccc2)c(F)c1 ZINC001235777853 1054804562 /nfs/dbraw/zinc/80/45/62/1054804562.db2.gz ZYDYCHNOMXUHLE-UHFFFAOYSA-N 0 3 319.445 4.200 20 0 DIADHN Cc1csc([C@H](C)NCC2(c3cccc(Cl)c3)CC2)n1 ZINC000623897513 1054805374 /nfs/dbraw/zinc/80/53/74/1054805374.db2.gz OCGLMSAHUKBCPK-LBPRGKRZSA-N 0 3 306.862 4.487 20 0 DIADHN COc1ccc([C@H](C)CCN[C@@H](C)c2nc(C)cs2)cc1 ZINC000623899017 1054807433 /nfs/dbraw/zinc/80/74/33/1054807433.db2.gz XUHMAFRETWBDSE-OCCSQVGLSA-N 0 3 304.459 4.305 20 0 DIADHN CCOc1cc(CN(CC)Cc2cccc(OC)c2)ccc1F ZINC001235799481 1054807588 /nfs/dbraw/zinc/80/75/88/1054807588.db2.gz CASKYHQHQAFDRV-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN Cc1cc(CN[C@H]2CCCC[C@@H]2Sc2ccc(F)cc2)on1 ZINC000623888635 1054809137 /nfs/dbraw/zinc/80/91/37/1054809137.db2.gz OATUAVVZKNMVRB-IRXDYDNUSA-N 0 3 320.433 4.315 20 0 DIADHN Cc1csc([C@H](C)NC[C@@H](Nc2ccccc2)C(C)C)n1 ZINC000623889346 1054809847 /nfs/dbraw/zinc/80/98/47/1054809847.db2.gz LBDOJBJPNVNASV-GOEBONIOSA-N 0 3 303.475 4.239 20 0 DIADHN CCO[C@H](CCN[C@H]1CCCc2scnc21)C1CCCC1 ZINC000623902572 1054811765 /nfs/dbraw/zinc/81/17/65/1054811765.db2.gz LDICZLUJEWSFQA-LSDHHAIUSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1csc([C@@H](C)NC[C@H]2SCCc3ccccc32)n1 ZINC000623902944 1054811928 /nfs/dbraw/zinc/81/19/28/1054811928.db2.gz UWFVGNLIUPQTGN-IUODEOHRSA-N 0 3 304.484 4.133 20 0 DIADHN Cc1csc([C@H](C)NC[C@H](c2ccc(Cl)cc2)N(C)C)n1 ZINC000623903143 1054812351 /nfs/dbraw/zinc/81/23/51/1054812351.db2.gz ZJGFIUQMMBQOSE-SWLSCSKDSA-N 0 3 323.893 4.058 20 0 DIADHN CC[C@@H](N[C@H](CO)c1ccc(F)cc1)c1cc(C)ccc1C ZINC000623915760 1054816579 /nfs/dbraw/zinc/81/65/79/1054816579.db2.gz QYIGINMBCVREAZ-RTBURBONSA-N 0 3 301.405 4.217 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc(N)c(F)c2)cc1 ZINC001235839510 1054820366 /nfs/dbraw/zinc/82/03/66/1054820366.db2.gz CCQLKYQXOVXBJZ-IBGZPJMESA-N 0 3 314.404 4.144 20 0 DIADHN CCCOc1cccc(CCN[C@@H]2CCCc3scnc32)c1 ZINC000623921767 1054824768 /nfs/dbraw/zinc/82/47/68/1054824768.db2.gz GQDBGIJLJLJOJL-MRXNPFEDSA-N 0 3 316.470 4.142 20 0 DIADHN Fc1ccc(C2(CN[C@H]3CCCc4scnc43)CC2)c(F)c1 ZINC000623925301 1054827240 /nfs/dbraw/zinc/82/72/40/1054827240.db2.gz AXXXEWBKCIBDLJ-AWEZNQCLSA-N 0 3 320.408 4.120 20 0 DIADHN C[C@]1(Br)C[C@H]1CNC1(c2ccccc2Cl)CC1 ZINC001474594971 1054834947 /nfs/dbraw/zinc/83/49/47/1054834947.db2.gz ODFYFVDQJMNAKH-GWCFXTLKSA-N 0 3 314.654 4.092 20 0 DIADHN CCC[C@H](NCc1cccn(CC)c1=O)c1cc(C)sc1C ZINC000623973015 1054842403 /nfs/dbraw/zinc/84/24/03/1054842403.db2.gz FOSNCYCRVMVZDO-KRWDZBQOSA-N 0 3 318.486 4.178 20 0 DIADHN Cc1csc([C@H](C)NCCCOc2cccc(C)c2C)n1 ZINC000623971870 1054842610 /nfs/dbraw/zinc/84/26/10/1054842610.db2.gz JGLMOINQJPOFBJ-HNNXBMFYSA-N 0 3 304.459 4.188 20 0 DIADHN Cc1csc([C@H](C)N[C@@H](C)CCOCc2ccccc2)n1 ZINC000623983559 1054846770 /nfs/dbraw/zinc/84/67/70/1054846770.db2.gz DZMUTIKSUPDVHU-ZFWWWQNUSA-N 0 3 304.459 4.098 20 0 DIADHN COC[C@H](CN[C@@H](C)c1nc(C)cs1)c1ccc(Cl)cc1 ZINC000623993986 1054847793 /nfs/dbraw/zinc/84/77/93/1054847793.db2.gz KNVFOCUHOBQECI-JSGCOSHPSA-N 0 3 324.877 4.186 20 0 DIADHN Cc1cccc([C@@H](NCc2c(C3CCC3)cnn2C)C(C)C)c1 ZINC000624015527 1054856884 /nfs/dbraw/zinc/85/68/84/1054856884.db2.gz ZPFWCKIVQIAOCC-FQEVSTJZSA-N 0 3 311.473 4.483 20 0 DIADHN Oc1ccc2c(c1)[C@H](N[C@H]1CCc3cc(F)c(Cl)cc31)CC2 ZINC000624013617 1054857902 /nfs/dbraw/zinc/85/79/02/1054857902.db2.gz FANZGVPMHVTLHB-MSOLQXFVSA-N 0 3 317.791 4.449 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(OC(F)(F)F)cc1 ZINC001235938722 1054858789 /nfs/dbraw/zinc/85/87/89/1054858789.db2.gz PYXBVCNHQAZTLV-CSKARUKUSA-N 0 3 308.303 4.180 20 0 DIADHN c1cc2n(n1)CCC[C@H]2NCc1ccc(-c2ccccc2)s1 ZINC000624035146 1054861121 /nfs/dbraw/zinc/86/11/21/1054861121.db2.gz MCJMWYQXXAYOSU-MRXNPFEDSA-N 0 3 309.438 4.236 20 0 DIADHN CCC[C@@H](NC[C@@H](n1cccn1)C(F)(F)F)c1ccccc1 ZINC000624041831 1054864120 /nfs/dbraw/zinc/86/41/20/1054864120.db2.gz JZFZMKYKYARDJQ-HUUCEWRRSA-N 0 3 311.351 4.118 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1cccc2c1NCC2 ZINC001235949501 1054863986 /nfs/dbraw/zinc/86/39/86/1054863986.db2.gz AWHZKPMNEBNNQT-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN C[C@H](c1cccnc1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000624062094 1054869179 /nfs/dbraw/zinc/86/91/79/1054869179.db2.gz UBIRGIYWOHPQSS-CZUORRHYSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)n1 ZINC000624066493 1054869251 /nfs/dbraw/zinc/86/92/51/1054869251.db2.gz NKUGDHZCCWGXSL-FESTWEEDSA-N 0 3 304.846 4.094 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2c(c1)NCCC2 ZINC001235962292 1054872223 /nfs/dbraw/zinc/87/22/23/1054872223.db2.gz LTCKTVKMOCCJOE-OAHLLOKOSA-N 0 3 310.441 4.246 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2c(c1)CCCN2 ZINC001235964122 1054873988 /nfs/dbraw/zinc/87/39/88/1054873988.db2.gz ZLFJHDCSSWCORS-OAHLLOKOSA-N 0 3 310.441 4.246 20 0 DIADHN CN(C)Cc1ccc(/C=C/c2ccc(C(F)(F)F)cc2)nc1 ZINC001235983215 1054881016 /nfs/dbraw/zinc/88/10/16/1054881016.db2.gz UXVOIXSYWAZUDT-WEVVVXLNSA-N 0 3 306.331 4.332 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nncc2ccccc21 ZINC001236003139 1054887341 /nfs/dbraw/zinc/88/73/41/1054887341.db2.gz SGEHXQJOAUPBPX-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ncnc2occc21 ZINC001236003091 1054889050 /nfs/dbraw/zinc/88/90/50/1054889050.db2.gz RAQZFFOVZFLDEB-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ncc(C2CC2)cn1 ZINC001236005451 1054891131 /nfs/dbraw/zinc/89/11/31/1054891131.db2.gz RFFHKQYAEFJXFL-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2c(n1)CCC2 ZINC001236003932 1054891187 /nfs/dbraw/zinc/89/11/87/1054891187.db2.gz IPYPIOXDIDNQOX-UHFFFAOYSA-N 0 3 310.416 4.362 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccnc1C(F)F ZINC001236006079 1054891386 /nfs/dbraw/zinc/89/13/86/1054891386.db2.gz QQRJCOQRIBIMHC-UHFFFAOYSA-N 0 3 321.346 4.206 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc(C2CC2)nn1 ZINC001236004733 1054891694 /nfs/dbraw/zinc/89/16/94/1054891694.db2.gz ONZDKMGKMFWIQX-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN CC(C)c1cc(-c2cccc(CN3CCCCC3)c2F)ncn1 ZINC001236004620 1054892150 /nfs/dbraw/zinc/89/21/50/1054892150.db2.gz LIMANOHVRNBFTD-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@H](C)c1nc2ccccc2n1C ZINC000624154964 1054892790 /nfs/dbraw/zinc/89/27/90/1054892790.db2.gz APSUXHUGVYTSFO-GFCCVEGCSA-N 0 3 309.404 4.295 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccnc1C)CCCS2 ZINC000624164047 1054894549 /nfs/dbraw/zinc/89/45/49/1054894549.db2.gz VLQMSEKCWWSCEH-KRWDZBQOSA-N 0 3 314.454 4.115 20 0 DIADHN Cn1cnc2cc(-c3cccc(CN4CCCCC4)c3F)ccc21 ZINC001236011655 1054894663 /nfs/dbraw/zinc/89/46/63/1054894663.db2.gz JSQFGBDHBTZITR-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2ocnc2c1 ZINC001236012611 1054896361 /nfs/dbraw/zinc/89/63/61/1054896361.db2.gz PZYBOQGKPYOAGQ-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCCC3)c2F)nc1F ZINC001236014859 1054896395 /nfs/dbraw/zinc/89/63/95/1054896395.db2.gz IMYFECVASJUYAF-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2ncoc2c1 ZINC001236012970 1054897805 /nfs/dbraw/zinc/89/78/05/1054897805.db2.gz VZIKLIMFONHGHR-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN CCOc1cncc(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236014513 1054898077 /nfs/dbraw/zinc/89/80/77/1054898077.db2.gz DSNNDGXMGPOHHC-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Fc1nccc(-c2cccc(CN3CCCCC3)c2F)c1F ZINC001236015237 1054898247 /nfs/dbraw/zinc/89/82/47/1054898247.db2.gz NFKGVJYAUUFYDR-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1ccc(-c2cccc(CN3CCCCC3)c2F)c(F)n1 ZINC001236012686 1054898584 /nfs/dbraw/zinc/89/85/84/1054898584.db2.gz RXLFOAMKRMKFKS-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2c(c1)CN=N2 ZINC001236019996 1054899360 /nfs/dbraw/zinc/89/93/60/1054899360.db2.gz ZVXVMBOKOOBPBA-UHFFFAOYSA-N 0 3 310.376 4.471 20 0 DIADHN Cn1cnc2c1cccc2-c1cccc(CN2CCCCC2)c1F ZINC001236016110 1054901164 /nfs/dbraw/zinc/90/11/64/1054901164.db2.gz TZFPAQNKTOROHF-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN COc1ccnc(F)c1-c1cccc(CN2CCCCC2)c1F ZINC001236021735 1054901424 /nfs/dbraw/zinc/90/14/24/1054901424.db2.gz UFOKPSFCSFCFAY-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cccc2c1NCC2 ZINC001236017055 1054901540 /nfs/dbraw/zinc/90/15/40/1054901540.db2.gz XIOJMINNLOKXKS-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2ccncc2c1 ZINC001236016731 1054901740 /nfs/dbraw/zinc/90/17/40/1054901740.db2.gz VFWTUWUBBBGQIP-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)CCCn1ccnc1 ZINC000181082272 1054902639 /nfs/dbraw/zinc/90/26/39/1054902639.db2.gz ZKARRLMQARGOBD-GFCCVEGCSA-N 0 3 312.244 4.273 20 0 DIADHN Cc1nc(-c2cc(C(F)(F)F)ccc2CO)cc2[nH]ccc21 ZINC001236049080 1054914360 /nfs/dbraw/zinc/91/43/60/1054914360.db2.gz OLCGMHSXSBJJOC-UHFFFAOYSA-N 0 3 306.287 4.049 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccncc2)C12CCC2 ZINC000181587059 1054922384 /nfs/dbraw/zinc/92/23/84/1054922384.db2.gz JKWQCCKOMOPKLU-ZCNNSNEGSA-N 0 3 322.452 4.108 20 0 DIADHN Nc1ccccc1CN1CCC(c2ccccc2Cl)CC1 ZINC001236072976 1054926530 /nfs/dbraw/zinc/92/65/30/1054926530.db2.gz ZNFVFRLDLBCVIU-UHFFFAOYSA-N 0 3 300.833 4.302 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2ccc3oc(=O)n(C)c3c2)c1 ZINC000624205975 1054931181 /nfs/dbraw/zinc/93/11/81/1054931181.db2.gz XAXMIMDRVRAFAT-AWEZNQCLSA-N 0 3 324.424 4.106 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000181737150 1054932940 /nfs/dbraw/zinc/93/29/40/1054932940.db2.gz RBKQQLWCESPEDD-YMRXKLBXSA-N 0 3 322.811 4.168 20 0 DIADHN C[C@H]1CN(Cc2ccc(N)c(F)c2)CCc2ccc(Cl)cc21 ZINC001236084530 1054935013 /nfs/dbraw/zinc/93/50/13/1054935013.db2.gz BPVSQRVCNTXLEK-LBPRGKRZSA-N 0 3 318.823 4.223 20 0 DIADHN C[C@H]1CN(Cc2cccc(F)c2N)CCc2ccc(Cl)cc21 ZINC001236085897 1054935236 /nfs/dbraw/zinc/93/52/36/1054935236.db2.gz ZWFIJIOXVMZGIU-LBPRGKRZSA-N 0 3 318.823 4.223 20 0 DIADHN Nc1c(F)cccc1CN1CCC(Cc2cccc(F)c2)CC1 ZINC001236092553 1054939722 /nfs/dbraw/zinc/93/97/22/1054939722.db2.gz MDYLOURQVNQPEU-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN CC(C)(C)Oc1ccc(CN2CCc3cc(N)ccc3C2)cc1 ZINC001236106695 1054950538 /nfs/dbraw/zinc/95/05/38/1054950538.db2.gz WCQZAAVNUVDOFH-UHFFFAOYSA-N 0 3 310.441 4.004 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)Cc1ccccc1)c1nc(C2CC2)no1 ZINC000182076120 1054958432 /nfs/dbraw/zinc/95/84/32/1054958432.db2.gz CBDBTKJWKJPISL-WMLDXEAASA-N 0 3 313.445 4.113 20 0 DIADHN Cc1ccc(CNCc2ccc(OC3CCCCC3)nc2)cc1 ZINC000624218377 1054967342 /nfs/dbraw/zinc/96/73/42/1054967342.db2.gz CKZMPJSMSZNIDI-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccco1 ZINC000624225255 1054982440 /nfs/dbraw/zinc/98/24/40/1054982440.db2.gz NYNUMQUZFYIHGE-WCQYABFASA-N 0 3 308.784 4.002 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccoc1 ZINC000624225521 1054982684 /nfs/dbraw/zinc/98/26/84/1054982684.db2.gz WORSUFOXGLIWSR-SMDDNHRTSA-N 0 3 308.784 4.002 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccoc1 ZINC000624225518 1054983759 /nfs/dbraw/zinc/98/37/59/1054983759.db2.gz WORSUFOXGLIWSR-BXUZGUMPSA-N 0 3 308.784 4.002 20 0 DIADHN CC[C@H](NCCOc1ccc(Cl)cc1)c1c(C)noc1C ZINC000182391439 1054984617 /nfs/dbraw/zinc/98/46/17/1054984617.db2.gz CVWSUGAFQLQORH-HNNXBMFYSA-N 0 3 308.809 4.065 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236190212 1054986371 /nfs/dbraw/zinc/98/63/71/1054986371.db2.gz HXHCEULOZNEDPK-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN CC[C@H](NCCSc1ccc(F)cc1)c1c(C)noc1C ZINC000182434917 1054986705 /nfs/dbraw/zinc/98/67/05/1054986705.db2.gz JQVVNVMSVVJLNL-HNNXBMFYSA-N 0 3 308.422 4.264 20 0 DIADHN CC[C@@H](NCCSc1ccccc1F)c1c(C)noc1C ZINC000182435001 1054987005 /nfs/dbraw/zinc/98/70/05/1054987005.db2.gz LJSBXDINWNQILR-CQSZACIVSA-N 0 3 308.422 4.264 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236190027 1054987358 /nfs/dbraw/zinc/98/73/58/1054987358.db2.gz DHIVWUYORRGNOB-IBGZPJMESA-N 0 3 308.429 4.282 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c(C)n1 ZINC001236189494 1054988967 /nfs/dbraw/zinc/98/89/67/1054988967.db2.gz FFQVSXQLYHBZHG-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCCc3cc(F)ccc32)cn1 ZINC000182472559 1054989854 /nfs/dbraw/zinc/98/98/54/1054989854.db2.gz CLAXSQANVPUAAK-GOSISDBHSA-N 0 3 314.404 4.175 20 0 DIADHN CC[C@@H](NCCOc1ccccc1Cl)c1c(C)noc1C ZINC000182508198 1054993123 /nfs/dbraw/zinc/99/31/23/1054993123.db2.gz AVLMVMWFVKGYNT-CQSZACIVSA-N 0 3 308.809 4.065 20 0 DIADHN c1cc2cccc(CN3CCC[C@H]3c3c[nH]c4ccccc43)n2n1 ZINC001236194269 1054993752 /nfs/dbraw/zinc/99/37/52/1054993752.db2.gz UZQSGDHLFKJYTO-FQEVSTJZSA-N 0 3 316.408 4.153 20 0 DIADHN CNc1ncc(CN2CCC[C@@H]2c2c[nH]c3ccccc23)s1 ZINC001236195479 1054995587 /nfs/dbraw/zinc/99/55/87/1054995587.db2.gz SXDHHXJRIXVDKQ-MRXNPFEDSA-N 0 3 312.442 4.003 20 0 DIADHN COc1cncc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c1C ZINC001236196360 1054995882 /nfs/dbraw/zinc/99/58/82/1054995882.db2.gz YIWKUQGLGOTOKM-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN Cc1ccc([C@H](C)Nc2cccc(CN3CCSCC3)c2)o1 ZINC000182806395 1055010127 /nfs/dbraw/zinc/01/01/27/1055010127.db2.gz SJOUTPMXTQRINB-HNNXBMFYSA-N 0 3 316.470 4.310 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cc(C)cc(C)c2)cc1F ZINC000182886008 1055016212 /nfs/dbraw/zinc/01/62/12/1055016212.db2.gz DLXHOUVTKLMQAD-AWEZNQCLSA-N 0 3 315.388 4.080 20 0 DIADHN CC(C)CCCCCC(=O)N(CCN(C)C)c1ccccc1 ZINC001535838972 1055019021 /nfs/dbraw/zinc/01/90/21/1055019021.db2.gz LGEYHILLEAEUGK-UHFFFAOYSA-N 0 3 304.478 4.188 20 0 DIADHN CNCc1cc(-c2cccc3c4ccccc4sc32)ncn1 ZINC001236228229 1055020012 /nfs/dbraw/zinc/02/00/12/1055020012.db2.gz FAMKXFCDYDEAHF-UHFFFAOYSA-N 0 3 305.406 4.231 20 0 DIADHN CCOc1ccnc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236231766 1055021266 /nfs/dbraw/zinc/02/12/66/1055021266.db2.gz KKKUMEXESFUZPK-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CCCc1ccnc(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236231557 1055021297 /nfs/dbraw/zinc/02/12/97/1055021297.db2.gz IZNKMUQACJPSHY-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Cc1c[nH]c2nc(-c3ccc(CN4CCCCC4)c(F)c3)ncc12 ZINC001236232427 1055021956 /nfs/dbraw/zinc/02/19/56/1055021956.db2.gz NDANHJMRYKXJEL-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN CCCCc1ccc(CN2CCc3ccc(N)cc3C2)s1 ZINC001236230919 1055022071 /nfs/dbraw/zinc/02/20/71/1055022071.db2.gz DLXQKAHPQNGPOE-UHFFFAOYSA-N 0 3 300.471 4.231 20 0 DIADHN CCCCOc1cccc(CN2CCc3ccc(N)cc3C2)c1 ZINC001236231148 1055022110 /nfs/dbraw/zinc/02/21/10/1055022110.db2.gz GJLMROXHWBEWFO-UHFFFAOYSA-N 0 3 310.441 4.006 20 0 DIADHN Fc1cc(-c2nncc3ccccc32)ccc1CN1CCCCC1 ZINC001236234932 1055023233 /nfs/dbraw/zinc/02/32/33/1055023233.db2.gz PSAKGYSSWPBEAQ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1nc2ccc(-c3ccc(CN4CCCCC4)c(F)c3)nc2[nH]1 ZINC001236233227 1055025315 /nfs/dbraw/zinc/02/53/15/1055025315.db2.gz QITWDGOLPGIIKC-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Cc1cnc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001236235229 1055026552 /nfs/dbraw/zinc/02/65/52/1055026552.db2.gz XTQVBCPYAJCXHE-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1csc(-c2ccccc2OC)n1 ZINC000183057099 1055027424 /nfs/dbraw/zinc/02/74/24/1055027424.db2.gz QSNSMRBDWJMXCV-CHWSQXEVSA-N 0 3 304.459 4.343 20 0 DIADHN CCc1nc(C)cc(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236243465 1055027540 /nfs/dbraw/zinc/02/75/40/1055027540.db2.gz APFYSPKTABDGKL-UHFFFAOYSA-N 0 3 313.420 4.139 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cn(C)nc1C1CCCCC1 ZINC000183087752 1055030524 /nfs/dbraw/zinc/03/05/24/1055030524.db2.gz CQHJYOSDUHWGBP-CQSZACIVSA-N 0 3 309.523 4.041 20 0 DIADHN FC(F)(F)[C@H]1CC=C(c2ccc(CN3CCCC3)cn2)CC1 ZINC001236248110 1055032089 /nfs/dbraw/zinc/03/20/89/1055032089.db2.gz BNLXYZLODJFELQ-HNNXBMFYSA-N 0 3 310.363 4.423 20 0 DIADHN Fc1cc(-c2cnc(F)c(F)c2)ccc1CN1CCCCC1 ZINC001236249275 1055032645 /nfs/dbraw/zinc/03/26/45/1055032645.db2.gz QVCRJTYUZLUCCU-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN COc1nccc(-c2ccc(CN3CCCCC3)c(F)c2)c1C ZINC001236250212 1055033039 /nfs/dbraw/zinc/03/30/39/1055033039.db2.gz FLGACVJURHNKQJ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN FC(F)(F)[C@@H]1CC=C(c2ncccc2CN2CCCC2)CC1 ZINC001236250462 1055034108 /nfs/dbraw/zinc/03/41/08/1055034108.db2.gz WTIOELAVVUGRGT-OAHLLOKOSA-N 0 3 310.363 4.423 20 0 DIADHN Cc1c2nc[nH]c2ncc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236251190 1055035416 /nfs/dbraw/zinc/03/54/16/1055035416.db2.gz ONGOFOAGKJGQPV-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN CC(C)CCn1cccc1CN(C)C[C@H](C)c1nccs1 ZINC000183249870 1055038458 /nfs/dbraw/zinc/03/84/58/1055038458.db2.gz OYJJQNUNFZASHH-HNNXBMFYSA-N 0 3 305.491 4.226 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nc1C ZINC001236259078 1055041362 /nfs/dbraw/zinc/04/13/62/1055041362.db2.gz QFYWGOIBCABTOJ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1csc([C@H](NCCc2ccccc2Cl)C2CC2)n1 ZINC000183533297 1055047553 /nfs/dbraw/zinc/04/75/53/1055047553.db2.gz SVDBBTMWEGNJSP-OAHLLOKOSA-N 0 3 306.862 4.388 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@@H]3CCCC[C@H]3C2)s1 ZINC000183603779 1055051290 /nfs/dbraw/zinc/05/12/90/1055051290.db2.gz FNKBGENHPFNZJE-QWRGUYRKSA-N 0 3 304.381 4.092 20 0 DIADHN Nc1cccc2c1CCN(Cc1cccc3c(F)cccc13)C2 ZINC001236365080 1055074650 /nfs/dbraw/zinc/07/46/50/1055074650.db2.gz BSYJHBSILXDYKO-UHFFFAOYSA-N 0 3 306.384 4.119 20 0 DIADHN CCCCOc1cccc(CN2CCc3c(N)cccc3C2)c1 ZINC001236363282 1055075444 /nfs/dbraw/zinc/07/54/44/1055075444.db2.gz IWXLSSTXMLPBLQ-UHFFFAOYSA-N 0 3 310.441 4.006 20 0 DIADHN Cc1cnc([C@H](C)NCCCSCc2ccccc2)s1 ZINC000185041093 1055086947 /nfs/dbraw/zinc/08/69/47/1055086947.db2.gz DKEYQJWVNCJIAS-AWEZNQCLSA-N 0 3 306.500 4.426 20 0 DIADHN CC[C@H](NCCCOc1cccc(Cl)c1)c1nccs1 ZINC000185043612 1055088016 /nfs/dbraw/zinc/08/80/16/1055088016.db2.gz UARZKMLQSBRCOS-AWEZNQCLSA-N 0 3 310.850 4.306 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1CCCNc2ccccc21 ZINC000193349516 1055103545 /nfs/dbraw/zinc/10/35/45/1055103545.db2.gz AKFBXBPZWJCFRL-RTBURBONSA-N 0 3 308.425 4.355 20 0 DIADHN Cc1ccc(F)c(-c2cccc(CN3CCCC3)c2F)c1O ZINC001236416818 1055104294 /nfs/dbraw/zinc/10/42/94/1055104294.db2.gz XTYGMWODXHLKPA-UHFFFAOYSA-N 0 3 303.352 4.242 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000193735720 1055109699 /nfs/dbraw/zinc/10/96/99/1055109699.db2.gz UKIBPSCLVTVUBF-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN CCCc1cncnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236469048 1055128995 /nfs/dbraw/zinc/12/89/95/1055128995.db2.gz DGPJNLNAUNAWMU-UXHICEINSA-N 0 3 319.452 4.249 20 0 DIADHN Cc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1F ZINC001236469524 1055129606 /nfs/dbraw/zinc/12/96/06/1055129606.db2.gz KBNRCZQCXQGJHR-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN[C@H](c1ccccc1)C1CC1 ZINC000194551805 1055129988 /nfs/dbraw/zinc/12/99/88/1055129988.db2.gz CZURKKJNMCFYOT-OAQYLSRUSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cnc1F ZINC001236471849 1055131660 /nfs/dbraw/zinc/13/16/60/1055131660.db2.gz HFOAESDIBOHFRN-MOPGFXCFSA-N 0 3 308.400 4.349 20 0 DIADHN COc1cccc(N)c1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236477293 1055136812 /nfs/dbraw/zinc/13/68/12/1055136812.db2.gz YQYWFWSIIHLRGP-MSOLQXFVSA-N 0 3 320.436 4.098 20 0 DIADHN COC[C@@H](N[C@@H]1CCCc2c(OC)cccc21)c1ccccc1 ZINC000194924026 1055138446 /nfs/dbraw/zinc/13/84/46/1055138446.db2.gz WDMJZLFOHZOMTC-RTBURBONSA-N 0 3 311.425 4.050 20 0 DIADHN COC[C@@H](N[C@H]1CCCc2c(OC)cccc21)c1ccccc1 ZINC000194924056 1055139352 /nfs/dbraw/zinc/13/93/52/1055139352.db2.gz WDMJZLFOHZOMTC-RBUKOAKNSA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1cc(Cl)ccc1OC(F)F)OC ZINC000381109616 1055149954 /nfs/dbraw/zinc/14/99/54/1055149954.db2.gz BWIXJCISBWJWLM-IINYFYTJSA-N 0 3 321.795 4.092 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N(CC)CCc1ccccn1 ZINC000764310460 1055154537 /nfs/dbraw/zinc/15/45/37/1055154537.db2.gz JYQSRFVTSIXCBJ-SFHVURJKSA-N 0 3 304.384 4.376 20 0 DIADHN CC[C@H](C)[C@H](CN[C@@H](C)c1sccc1Br)OC ZINC000381442223 1055166948 /nfs/dbraw/zinc/16/69/48/1055166948.db2.gz YQSSNVZOGLFPGQ-NHCYSSNCSA-N 0 3 320.296 4.222 20 0 DIADHN CS[C@H]1CC[C@@H]1NCc1ccc(Br)cc1Cl ZINC000381415728 1055167084 /nfs/dbraw/zinc/16/70/84/1055167084.db2.gz VYVQBWQZEXWKOE-RYUDHWBXSA-N 0 3 320.683 4.086 20 0 DIADHN Brc1sccc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000381659133 1055174766 /nfs/dbraw/zinc/17/47/66/1055174766.db2.gz UJXBBEYHUNXHQF-NEPJUHHUSA-N 0 3 300.265 4.179 20 0 DIADHN Brc1sccc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000381659159 1055175129 /nfs/dbraw/zinc/17/51/29/1055175129.db2.gz UJXBBEYHUNXHQF-RYUDHWBXSA-N 0 3 300.265 4.179 20 0 DIADHN COc1ccc([C@@H](NC[C@H](OC)C(C)C)c2ccccc2)cc1 ZINC000381679014 1055175293 /nfs/dbraw/zinc/17/52/93/1055175293.db2.gz BIWOYUICIMQCKH-PMACEKPBSA-N 0 3 313.441 4.045 20 0 DIADHN COC(=O)c1cccc(CN(C)CC2CCCCC2)c1Cl ZINC000381663758 1055175733 /nfs/dbraw/zinc/17/57/33/1055175733.db2.gz KBUWABKPPLEMNV-UHFFFAOYSA-N 0 3 309.837 4.139 20 0 DIADHN Fc1ccc(Br)cc1CNC[C@H]1CCCC1(F)F ZINC000381743705 1055179502 /nfs/dbraw/zinc/17/95/02/1055179502.db2.gz UXQOYAAPUOIUIZ-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](C)c2csc(C)c2)c1 ZINC000381780603 1055181348 /nfs/dbraw/zinc/18/13/48/1055181348.db2.gz RBBAINXHMDABSS-OLZOCXBDSA-N 0 3 302.443 4.427 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1cccc(C(=O)OC)c1Cl ZINC000381779349 1055181844 /nfs/dbraw/zinc/18/18/44/1055181844.db2.gz ZGUIGQOXTOCGNS-CQSZACIVSA-N 0 3 309.837 4.281 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@@H]3CCCC[C@H]32)c1Cl ZINC000382126188 1055202089 /nfs/dbraw/zinc/20/20/89/1055202089.db2.gz MFFKFLCUPDRQQA-XJKSGUPXSA-N 0 3 321.848 4.281 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCc2cccc(Cl)c2)n1 ZINC001236641062 1055209757 /nfs/dbraw/zinc/20/97/57/1055209757.db2.gz BMVYHNFHJBPYIV-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCc2ccccc2Cl)n1 ZINC001236645283 1055213125 /nfs/dbraw/zinc/21/31/25/1055213125.db2.gz ZOOXJJPNJIFGGS-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CCN(Cc1cc2cc(OC)ccc2s1)Cc1ccncc1 ZINC001236659777 1055215817 /nfs/dbraw/zinc/21/58/17/1055215817.db2.gz ROEINFNDNBHOBF-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN CN(CCc1ccncc1)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236660713 1055218999 /nfs/dbraw/zinc/21/89/99/1055218999.db2.gz DGVJBHQIWWLHNS-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN COc1cccc(Br)c1CN1CC2CCC(CC2)C1 ZINC001236675069 1055225860 /nfs/dbraw/zinc/22/58/60/1055225860.db2.gz CQMQRZOHCLVCII-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN c1cc2c(cccc2CN2CCN(c3ccccc3)CC2)s1 ZINC001236683994 1055229380 /nfs/dbraw/zinc/22/93/80/1055229380.db2.gz YRDNTVRNENPEPM-UHFFFAOYSA-N 0 3 308.450 4.224 20 0 DIADHN CC(C)CCCCCC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001587215141 1055240851 /nfs/dbraw/zinc/24/08/51/1055240851.db2.gz OXVOIZPIKTWPIW-SFHVURJKSA-N 0 3 304.478 4.012 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2cccc3occc32)C1 ZINC001236740041 1055249231 /nfs/dbraw/zinc/24/92/31/1055249231.db2.gz SAUZXPGMVYQAGR-QGZVFWFLSA-N 0 3 305.377 4.138 20 0 DIADHN Cc1c(CNc2ccc(CN(C)C)cn2)cccc1C(F)(F)F ZINC001168533864 1055265881 /nfs/dbraw/zinc/26/58/81/1055265881.db2.gz CESVSXZLTULAEK-UHFFFAOYSA-N 0 3 323.362 4.083 20 0 DIADHN COC(CN(C)Cc1cccc(-c2c(C)cccc2C)c1)OC ZINC001236816270 1055271295 /nfs/dbraw/zinc/27/12/95/1055271295.db2.gz XMZQVTMQKDCIPH-UHFFFAOYSA-N 0 3 313.441 4.021 20 0 DIADHN CCOc1cncc(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001236837446 1055289510 /nfs/dbraw/zinc/28/95/10/1055289510.db2.gz FLHNZAPWXKQMPY-UHFFFAOYSA-N 0 3 320.436 4.041 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1c2ccccc2[C@@H](C)CC1(C)C ZINC001537360242 1055291856 /nfs/dbraw/zinc/29/18/56/1055291856.db2.gz KPZIPJXRUYMFBY-YJBOKZPZSA-N 0 3 314.473 4.178 20 0 DIADHN Cc1cccc(CN2CC[C@@H](Oc3ccncc3C)C2)c1Cl ZINC001236857611 1055294167 /nfs/dbraw/zinc/29/41/67/1055294167.db2.gz ZMRPZIQONYQDDC-MRXNPFEDSA-N 0 3 316.832 4.005 20 0 DIADHN Clc1cc(CN2CCCC3(CCC3)C2)ccc1-n1cccn1 ZINC001236905650 1055311394 /nfs/dbraw/zinc/31/13/94/1055311394.db2.gz UYRXOTDZMZROAN-UHFFFAOYSA-N 0 3 315.848 4.292 20 0 DIADHN C[C@@]1(CF)CCN(Cc2ccc(-c3ccccc3F)nc2)C1 ZINC001236986471 1055326385 /nfs/dbraw/zinc/32/63/85/1055326385.db2.gz FPDHVPPRIYDSBD-SFHVURJKSA-N 0 3 302.368 4.069 20 0 DIADHN COC[C@@H]1CCCCN1Cc1cncc(-c2ccc(C)cc2)c1 ZINC001236992760 1055327648 /nfs/dbraw/zinc/32/76/48/1055327648.db2.gz XFSCAFKMTNQNLF-FQEVSTJZSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@H]4CCCO[C@H]4C3)c2)cc1 ZINC001236992022 1055328887 /nfs/dbraw/zinc/32/88/87/1055328887.db2.gz SMPZSOQIUSQYGY-CTNGQTDRSA-N 0 3 322.452 4.058 20 0 DIADHN C[C@H](NCCc1c(F)cccc1F)c1nc2ccccc2s1 ZINC000765103942 1055329873 /nfs/dbraw/zinc/32/98/73/1055329873.db2.gz DJFWYZAACAKICB-NSHDSACASA-N 0 3 318.392 4.468 20 0 DIADHN CSC1CCN(Cc2ccc(-c3ccccc3F)nc2)CC1 ZINC001236990563 1055330415 /nfs/dbraw/zinc/33/04/15/1055330415.db2.gz QHRJLJIKNJRJJB-UHFFFAOYSA-N 0 3 316.445 4.215 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@]4(C3)CCCCO4)c2)cc1 ZINC001236990392 1055330616 /nfs/dbraw/zinc/33/06/16/1055330616.db2.gz FNESCAPGYUKVOS-OAQYLSRUSA-N 0 3 322.452 4.202 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cncc(-c3ccc(F)cc3)c2)C1 ZINC001236996709 1055331371 /nfs/dbraw/zinc/33/13/71/1055331371.db2.gz IZYLCBPYNRJORC-SFHVURJKSA-N 0 3 302.368 4.069 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000781188162 1055334018 /nfs/dbraw/zinc/33/40/18/1055334018.db2.gz GEUFPAGGWVEEOY-QFBILLFUSA-N 0 3 308.510 4.140 20 0 DIADHN FC[C@H]1CCCN(Cc2cncc(-c3ccc(F)cc3)c2)C1 ZINC001237003830 1055335237 /nfs/dbraw/zinc/33/52/37/1055335237.db2.gz HRTFJQYMUYTNRC-CQSZACIVSA-N 0 3 302.368 4.069 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1ccc(-c2ccc(Cl)cc2)nc1 ZINC001237009471 1055337695 /nfs/dbraw/zinc/33/76/95/1055337695.db2.gz SGAINOSFLUVNBJ-BHYGNILZSA-N 0 3 316.807 4.334 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCC[C@@H]4C[C@@H]43)cn2)c(F)c1 ZINC001237013206 1055339131 /nfs/dbraw/zinc/33/91/31/1055339131.db2.gz KXAKIQKWLXITPF-ACJLOTCBSA-N 0 3 300.352 4.011 20 0 DIADHN CC1(F)CCN(Cc2ccc(-c3ccc(F)cc3F)nc2)CC1 ZINC001237012061 1055341005 /nfs/dbraw/zinc/34/10/05/1055341005.db2.gz RNXBITDHWHGPFD-UHFFFAOYSA-N 0 3 320.358 4.351 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CCC2(CCCOC2)CC1 ZINC001237028962 1055345309 /nfs/dbraw/zinc/34/53/09/1055345309.db2.gz ONUPLIHOBUFRJW-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000765271926 1055352256 /nfs/dbraw/zinc/35/22/56/1055352256.db2.gz QLAIIHHZPRMIPJ-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN COc1ccc(CNCc2cccc(C(F)(F)F)c2)c(C)c1 ZINC000765274263 1055353639 /nfs/dbraw/zinc/35/36/39/1055353639.db2.gz ZFBBNLFMMOBPCW-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN C[C@H](c1cccc(Br)c1)N(C)Cc1ccccc1N ZINC001237057955 1055353975 /nfs/dbraw/zinc/35/39/75/1055353975.db2.gz OSAYSXOZZWJKOA-GFCCVEGCSA-N 0 3 319.246 4.224 20 0 DIADHN CCOc1ccccc1CN[C@H](CC)c1ccc2c(c1)CCO2 ZINC000765280277 1055355346 /nfs/dbraw/zinc/35/53/46/1055355346.db2.gz JHDXQGPGEHQZHD-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc([C@H](C)O)cc2)cc1Cl ZINC000765297834 1055357547 /nfs/dbraw/zinc/35/75/47/1055357547.db2.gz RISGDJHRIRUCPF-STQMWFEESA-N 0 3 319.832 4.253 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000765358623 1055368231 /nfs/dbraw/zinc/36/82/31/1055368231.db2.gz JSEAYMYAGSZYOA-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@H]1C[C@H](NC[C@@H](O)c2cccc(F)c2)c2ccsc2S1 ZINC000765361377 1055370277 /nfs/dbraw/zinc/37/02/77/1055370277.db2.gz MYLMODAUUFGSGC-NZVBXONLSA-N 0 3 323.458 4.136 20 0 DIADHN C[C@H]1C[C@H](NC[C@H](O)c2cccc(F)c2)c2ccsc2S1 ZINC000765361375 1055370368 /nfs/dbraw/zinc/37/03/68/1055370368.db2.gz MYLMODAUUFGSGC-LKTVYLICSA-N 0 3 323.458 4.136 20 0 DIADHN COc1cccc2c(CN3CC[C@@H]3c3ccccc3)ccnc12 ZINC001237112126 1055375073 /nfs/dbraw/zinc/37/50/73/1055375073.db2.gz OHRPZCUHIMFPEE-GOSISDBHSA-N 0 3 304.393 4.190 20 0 DIADHN COc1cccc2c(CN3CC[C@H]3c3ccccc3)ccnc12 ZINC001237112127 1055376242 /nfs/dbraw/zinc/37/62/42/1055376242.db2.gz OHRPZCUHIMFPEE-SFHVURJKSA-N 0 3 304.393 4.190 20 0 DIADHN CCOC(=O)C1(C)CCN(Cc2ccc(C3CCC3)cc2)CC1 ZINC001237110124 1055376416 /nfs/dbraw/zinc/37/64/16/1055376416.db2.gz GSCIOKOSQJZHAY-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2nccc3ccccc32)cc1 ZINC000765404626 1055382004 /nfs/dbraw/zinc/38/20/04/1055382004.db2.gz LMSIBQXZDRJGLG-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN Clc1cccc2c(CN3CCc4ccccc4CC3)ccnc12 ZINC001237143609 1055383239 /nfs/dbraw/zinc/38/32/39/1055383239.db2.gz HMPQSSBAQGIALV-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccnc3c(Cl)cccc13)C2 ZINC001237147183 1055384369 /nfs/dbraw/zinc/38/43/69/1055384369.db2.gz XOWHXUXNNDVIQV-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN CCCOC1CCN(Cc2ccnc3c(Cl)cccc23)CC1 ZINC001237143469 1055384490 /nfs/dbraw/zinc/38/44/90/1055384490.db2.gz FUUPMELILJMCPE-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@@H]1CCc3cc(C)ccc31)CCO2 ZINC000765430501 1055386908 /nfs/dbraw/zinc/38/69/08/1055386908.db2.gz YPLZYQFGOMGOSM-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000765439790 1055390987 /nfs/dbraw/zinc/39/09/87/1055390987.db2.gz LLNWIZLZNQIQBU-ACRUOGEOSA-N 0 3 307.437 4.492 20 0 DIADHN C[C@H](NCCc1ccc2ccccc2n1)c1cccnc1Cl ZINC000765455250 1055394417 /nfs/dbraw/zinc/39/44/17/1055394417.db2.gz CSHFWWYZFGAGKK-ZDUSSCGKSA-N 0 3 311.816 4.177 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](C)c1ccnc(Cl)c1 ZINC000765469460 1055397015 /nfs/dbraw/zinc/39/70/15/1055397015.db2.gz CSYCECKRKCIZFT-SJCJKPOMSA-N 0 3 316.832 4.472 20 0 DIADHN Fc1ccccc1CC1CC[NH+](Cc2occc2[S-])CC1 ZINC001237191763 1055399002 /nfs/dbraw/zinc/39/90/02/1055399002.db2.gz OSZZTJQCUKWRKT-UHFFFAOYSA-N 0 3 305.418 4.162 20 0 DIADHN c1cc(-c2csc(CN3CCNC4=CCCC[C@H]43)c2)cs1 ZINC001237231962 1055404301 /nfs/dbraw/zinc/40/43/01/1055404301.db2.gz YDFLRLZLOCMFRK-QGZVFWFLSA-N 0 3 316.495 4.318 20 0 DIADHN Cc1ccc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@@H]3C2)s1 ZINC001237237935 1055407059 /nfs/dbraw/zinc/40/70/59/1055407059.db2.gz ZLKIEDCJXSZYJU-DOTOQJQBSA-N 0 3 318.873 4.494 20 0 DIADHN Cc1sccc1CN1CC[C@H]2Nc3ccc(Cl)cc3[C@H]2C1 ZINC001237245805 1055407250 /nfs/dbraw/zinc/40/72/50/1055407250.db2.gz AEXXMJJVHCUZCP-NVXWUHKLSA-N 0 3 318.873 4.494 20 0 DIADHN Cc1ccc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@@H]3C2)cc1 ZINC001237234609 1055407367 /nfs/dbraw/zinc/40/73/67/1055407367.db2.gz MVUJZJQQQDBWLZ-HKUYNNGSSA-N 0 3 312.844 4.432 20 0 DIADHN Cc1cc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@H]3C2)cs1 ZINC001237248565 1055410606 /nfs/dbraw/zinc/41/06/06/1055410606.db2.gz LUMTUOZFQDROEO-NVXWUHKLSA-N 0 3 318.873 4.494 20 0 DIADHN FC1CC2(C1)CCCN(Cc1ccncc1C(F)(F)F)CC2 ZINC001237295180 1055419196 /nfs/dbraw/zinc/41/91/96/1055419196.db2.gz NZJYCKXEAYENHC-UHFFFAOYSA-N 0 3 316.342 4.205 20 0 DIADHN CCN(CC)CC(=O)N[C@@H](c1ccc(CC(C)C)cc1)C(C)C ZINC001588066924 1055424485 /nfs/dbraw/zinc/42/44/85/1055424485.db2.gz HAPDARBAFVKJLY-HXUWFJFHSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc(F)c(CN2CCC3(Cc4ccccc4N3)CC2)c1 ZINC001237332139 1055429181 /nfs/dbraw/zinc/42/91/81/1055429181.db2.gz ANPBLHBPRBBRKN-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN Cc1cc(CN2CCC3(Cc4ccccc4N3)CC2)ccc1F ZINC001237332791 1055431161 /nfs/dbraw/zinc/43/11/61/1055431161.db2.gz HQIYKEWBYSLIRE-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN CCc1cccc(C)c1CN1CC[C@@H](OC(=O)OC(C)(C)C)C1 ZINC001237335576 1055431717 /nfs/dbraw/zinc/43/17/17/1055431717.db2.gz XTKDJITXDVDYGG-MRXNPFEDSA-N 0 3 319.445 4.083 20 0 DIADHN Cc1cc(F)cc(CN2CCC3(Cc4ccccc4N3)CC2)c1 ZINC001237346317 1055433260 /nfs/dbraw/zinc/43/32/60/1055433260.db2.gz PJGUJJJWEKVEIQ-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](c2ccccc2)C2CC2)c1 ZINC000012673763 1055433347 /nfs/dbraw/zinc/43/33/47/1055433347.db2.gz FNSHQPCFBFEVDQ-HNAYVOBHSA-N 0 3 308.425 4.063 20 0 DIADHN CC1(C)CC[C@H](CN[C@@H](c2ccc(F)cc2F)C2CC2)OC1 ZINC001168542581 1055434243 /nfs/dbraw/zinc/43/42/43/1055434243.db2.gz OQQZXLRFMVSVIK-RHSMWYFYSA-N 0 3 309.400 4.211 20 0 DIADHN Cc1ccnn1C1CCN(Cc2c(C)cc(Cl)cc2C)CC1 ZINC001237354053 1055436501 /nfs/dbraw/zinc/43/65/01/1055436501.db2.gz UQYDDUAZBOLSPQ-UHFFFAOYSA-N 0 3 317.864 4.299 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(C)CCc1noc(C(C)C)n1 ZINC001237355231 1055437193 /nfs/dbraw/zinc/43/71/93/1055437193.db2.gz LYCNNRFXCFAZLD-UHFFFAOYSA-N 0 3 321.852 4.138 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H](C)c1ccccc1Cl ZINC000386237438 1055437961 /nfs/dbraw/zinc/43/79/61/1055437961.db2.gz RSWJXRWUBCVHPZ-ZDUSSCGKSA-N 0 3 313.832 4.349 20 0 DIADHN CN(Cc1cccc(F)c1NC(=O)OC(C)(C)C)CC1CC1 ZINC001237357757 1055439746 /nfs/dbraw/zinc/43/97/46/1055439746.db2.gz BILIHVMPOFALGG-UHFFFAOYSA-N 0 3 308.397 4.015 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccccc1 ZINC000015056978 1055440055 /nfs/dbraw/zinc/44/00/55/1055440055.db2.gz GCBQILNKKWIIFM-KSSFIOAISA-N 0 3 314.404 4.140 20 0 DIADHN CN(CCc1ccccc1F)Cc1cnc(-c2ccccc2)o1 ZINC001237371065 1055441221 /nfs/dbraw/zinc/44/12/21/1055441221.db2.gz PKIFTMJVTNFZCP-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)o1)[C@@H]1CCc2ccccc21 ZINC001237365903 1055442026 /nfs/dbraw/zinc/44/20/26/1055442026.db2.gz BZGRNUNZOVGSIQ-LJQANCHMSA-N 0 3 304.393 4.461 20 0 DIADHN Brc1ccncc1CN1CCC2(CCCC2)CC1 ZINC001237379728 1055445054 /nfs/dbraw/zinc/44/50/54/1055445054.db2.gz MABLAIKIXUUQOW-UHFFFAOYSA-N 0 3 309.251 4.000 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1cnc(Cl)c(F)c1 ZINC001237410917 1055450557 /nfs/dbraw/zinc/45/05/57/1055450557.db2.gz SEQIZMHYAKPMMH-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN CC(C)c1cccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)c1 ZINC001237456315 1055453297 /nfs/dbraw/zinc/45/32/97/1055453297.db2.gz HERYWYZVOCROLL-HUUCEWRRSA-N 0 3 303.343 4.390 20 0 DIADHN C[C@H]1c2ccsc2CCN1Cc1ccc(-n2cccn2)cc1 ZINC000016421017 1055456135 /nfs/dbraw/zinc/45/61/35/1055456135.db2.gz VABRSAZZBDUSIX-AWEZNQCLSA-N 0 3 309.438 4.053 20 0 DIADHN CC(C)c1cccc(CN2CCC[C@H](Oc3cccnc3)C2)c1 ZINC001237462936 1055456167 /nfs/dbraw/zinc/45/61/67/1055456167.db2.gz QCWMXYDZCTVPLN-FQEVSTJZSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)c1cccc(CN2CCC[C@@H](Oc3cccnc3)C2)c1 ZINC001237462943 1055457111 /nfs/dbraw/zinc/45/71/11/1055457111.db2.gz QCWMXYDZCTVPLN-HXUWFJFHSA-N 0 3 310.441 4.248 20 0 DIADHN CN(Cc1cc(Cl)nc(C(F)(F)F)c1)C[C@@H]1CC1(C)C ZINC001237500218 1055461921 /nfs/dbraw/zinc/46/19/21/1055461921.db2.gz FGIMHHFMTMRRFH-JTQLQIEISA-N 0 3 306.759 4.232 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1cccc(C)c1OC ZINC001237509813 1055465153 /nfs/dbraw/zinc/46/51/53/1055465153.db2.gz CQYKAUUKJKSSHP-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921531402 1055469938 /nfs/dbraw/zinc/46/99/38/1055469938.db2.gz YDPUHSGKAJCGNK-QGZVFWFLSA-N 0 3 303.425 4.120 20 0 DIADHN CN(CCOc1ccccc1)Cc1cccc(-c2ccccc2)n1 ZINC001237549452 1055471552 /nfs/dbraw/zinc/47/15/52/1055471552.db2.gz LSDZURFEZSPZSK-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN Cc1ncc(CN2CC[C@H]3CCCC[C@H]3C2)cc1Br ZINC001237560560 1055474560 /nfs/dbraw/zinc/47/45/60/1055474560.db2.gz GTVAQXGVEPVHEB-CABCVRRESA-N 0 3 323.278 4.165 20 0 DIADHN Clc1ncnc2ccc(CN3C4CCCC3CCC4)cc12 ZINC001237589570 1055480540 /nfs/dbraw/zinc/48/05/40/1055480540.db2.gz WMLYRIJIDMYAJZ-UHFFFAOYSA-N 0 3 301.821 4.190 20 0 DIADHN FC(F)Oc1cc(CN2CCC[C@@H]2c2ccccc2)ccn1 ZINC001237613692 1055484778 /nfs/dbraw/zinc/48/47/78/1055484778.db2.gz HCRJTHMNEQUFQB-OAHLLOKOSA-N 0 3 304.340 4.020 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1ccnc(OC(F)F)c1 ZINC001237612766 1055486442 /nfs/dbraw/zinc/48/64/42/1055486442.db2.gz WOYDVOFYBSQEGO-UHFFFAOYSA-N 0 3 320.383 4.341 20 0 DIADHN Clc1ccccc1CNCCc1nc2ccccc2s1 ZINC000021811171 1055488788 /nfs/dbraw/zinc/48/87/88/1055488788.db2.gz MCJCTBHQMPQQKH-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1ccc(CC(C)C)cc1 ZINC001620865017 1055491504 /nfs/dbraw/zinc/49/15/04/1055491504.db2.gz WVQCTGSJRAZVKY-UHFFFAOYSA-N 0 3 313.489 4.033 20 0 DIADHN Fc1ccc(CNCCc2nc3c(s2)CCCC3)c(Cl)c1 ZINC001620865162 1055492612 /nfs/dbraw/zinc/49/26/12/1055492612.db2.gz DHNAJUYAXFIGQN-UHFFFAOYSA-N 0 3 324.852 4.147 20 0 DIADHN CCc1nc(C)c(CNC2CC(c3ccccc3Cl)C2)o1 ZINC000386514245 1055493310 /nfs/dbraw/zinc/49/33/10/1055493310.db2.gz SPGSMIFQFTYDOI-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN Fc1ccc(-c2cccc(CN3CCSCC3)c2)c(F)c1 ZINC001237663584 1055496847 /nfs/dbraw/zinc/49/68/47/1055496847.db2.gz MEFXDRCDANZADS-UHFFFAOYSA-N 0 3 305.393 4.181 20 0 DIADHN CN(Cc1ccc(-c2ccc(O)cc2)cc1)Cc1cccc(O)c1 ZINC001237658776 1055496964 /nfs/dbraw/zinc/49/69/64/1055496964.db2.gz QDWBDUZBHWXRPI-UHFFFAOYSA-N 0 3 319.404 4.397 20 0 DIADHN CN(Cc1ccc(-c2ccc(O)cc2)cc1)C[C@@H]1CCCCO1 ZINC001237664036 1055497413 /nfs/dbraw/zinc/49/74/13/1055497413.db2.gz CKODAURUFLBWJZ-FQEVSTJZSA-N 0 3 311.425 4.060 20 0 DIADHN Cc1cc(CNCc2cccc(C(F)(F)F)c2)cc(C)c1O ZINC000386536103 1055498104 /nfs/dbraw/zinc/49/81/04/1055498104.db2.gz IBNYKSOLYWPPRZ-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCC1(C2CC2)CCC1 ZINC001620870880 1055498350 /nfs/dbraw/zinc/49/83/50/1055498350.db2.gz AYEDXLKRXXDNBQ-UHFFFAOYSA-N 0 3 317.326 4.394 20 0 DIADHN CCOCCC1(CNCc2c(Cl)cccc2Cl)CC1 ZINC001620871553 1055499766 /nfs/dbraw/zinc/49/97/66/1055499766.db2.gz GHYAOCAWRSBEKP-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN Cc1coc(CNCCn2c3ccccc3c3ccccc32)c1 ZINC001620872844 1055501366 /nfs/dbraw/zinc/50/13/66/1055501366.db2.gz HLSWRUDCROCUDD-UHFFFAOYSA-N 0 3 304.393 4.486 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1ccc3scnc3c1)CCC2 ZINC001620873284 1055502030 /nfs/dbraw/zinc/50/20/30/1055502030.db2.gz WBUUPCDLLMGSFN-GFCCVEGCSA-N 0 3 315.467 4.228 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccc(C)cc1F ZINC001620877144 1055504706 /nfs/dbraw/zinc/50/47/06/1055504706.db2.gz SJVIXMBUFUICKC-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN Cc1cc(CN[C@H]2c3ccccc3CC[C@H]2C)cnc1Cl ZINC001620877281 1055505638 /nfs/dbraw/zinc/50/56/38/1055505638.db2.gz WTJJCAYMSGYAEP-SJKOYZFVSA-N 0 3 300.833 4.457 20 0 DIADHN CCN(Cc1ccc(Br)cc1)Cc1ccccc1N ZINC000035364573 1055507158 /nfs/dbraw/zinc/50/71/58/1055507158.db2.gz JFIBTMMILJAFCG-UHFFFAOYSA-N 0 3 319.246 4.053 20 0 DIADHN Fc1ccc(CC2(CNCc3c(F)cccc3F)CC2)cc1 ZINC001620880090 1055507579 /nfs/dbraw/zinc/50/75/79/1055507579.db2.gz VFXSKJZQEDLWPQ-UHFFFAOYSA-N 0 3 305.343 4.216 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000024252515 1055511578 /nfs/dbraw/zinc/51/15/78/1055511578.db2.gz ABTPQPRJUKPOCY-INIZCTEOSA-N 0 3 320.795 4.159 20 0 DIADHN C[C@@H](NCc1ccc(SC(F)(F)F)cc1)c1ccc[nH]1 ZINC001620889306 1055516250 /nfs/dbraw/zinc/51/62/50/1055516250.db2.gz OLPCRRUTQCZMOC-SNVBAGLBSA-N 0 3 300.349 4.477 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2cccc(CC3CCC3)c2)C1 ZINC001620892412 1055517593 /nfs/dbraw/zinc/51/75/93/1055517593.db2.gz GRJFTNQPZNOCRX-JOCHJYFZSA-N 0 3 320.480 4.306 20 0 DIADHN Cc1nnc(CN[C@@H]2CCCC[C@H](c3ccccc3)C2)s1 ZINC001620898346 1055524221 /nfs/dbraw/zinc/52/42/21/1055524221.db2.gz QNEYCBCQBHROHP-JKSUJKDBSA-N 0 3 301.459 4.053 20 0 DIADHN Clc1cccc(-c2cc(CN3CCC4(CC4)CC3)on2)c1 ZINC001237769748 1055529554 /nfs/dbraw/zinc/52/95/54/1055529554.db2.gz GONOJPYOZKFIQU-UHFFFAOYSA-N 0 3 302.805 4.371 20 0 DIADHN COc1ccc(CN(C)C23CC4CC(CC(C4)C2)C3)c(Cl)n1 ZINC001237804077 1055532763 /nfs/dbraw/zinc/53/27/63/1055532763.db2.gz TWAPITZBRZBDBA-UHFFFAOYSA-N 0 3 320.864 4.144 20 0 DIADHN Cc1nc(CN2CCC3(CCc4ccccc43)CC2)ccc1F ZINC001237819421 1055536191 /nfs/dbraw/zinc/53/61/91/1055536191.db2.gz MTMPDYQSPDPTDH-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1ccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c(C)c1 ZINC001620913994 1055537754 /nfs/dbraw/zinc/53/77/54/1055537754.db2.gz FVLMOSUHOZHPBH-IBGZPJMESA-N 0 3 316.876 4.349 20 0 DIADHN Cc1cccc([C@H](C)NC[C@@](O)(c2ccccc2)C(F)(F)F)c1 ZINC000765678862 1055538124 /nfs/dbraw/zinc/53/81/24/1055538124.db2.gz BQJCGGXEZNYGAX-WMLDXEAASA-N 0 3 323.358 4.096 20 0 DIADHN Cc1cc(F)c(CN[C@H]2C[C@H](c3cccc(F)c3)C2)c(F)c1 ZINC001620915546 1055537938 /nfs/dbraw/zinc/53/79/38/1055537938.db2.gz HHHATSBDDFOWTQ-CTYIDZIISA-N 0 3 305.343 4.448 20 0 DIADHN Cc1nc(CN2CCC[C@H](CCc3ccccc3)C2)ccc1F ZINC001237823479 1055538416 /nfs/dbraw/zinc/53/84/16/1055538416.db2.gz IYEHYTHCUQEHBA-GOSISDBHSA-N 0 3 312.432 4.374 20 0 DIADHN c1ccc(CN(Cc2cccc(-c3ccccn3)n2)C2CC2)cc1 ZINC001237839296 1055539444 /nfs/dbraw/zinc/53/94/44/1055539444.db2.gz WBDBMYZHACEVQX-UHFFFAOYSA-N 0 3 315.420 4.308 20 0 DIADHN COc1cccc(CN2CCC[C@@H]3CCC[C@@H]32)c1Br ZINC001237865961 1055544841 /nfs/dbraw/zinc/54/48/41/1055544841.db2.gz QWCFXGOKTCIRBE-JSGCOSHPSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H]3CCCc4ccc(F)cc43)ccc2O1 ZINC001620925624 1055545158 /nfs/dbraw/zinc/54/51/58/1055545158.db2.gz RBBLAOQRYDIGKW-ORAYPTAESA-N 0 3 311.400 4.316 20 0 DIADHN CCc1ccc([C@H](O)CNCc2ccc(Cl)cc2Cl)cc1 ZINC001620932363 1055547463 /nfs/dbraw/zinc/54/74/63/1055547463.db2.gz IIRSIRPLNCQKRK-QGZVFWFLSA-N 0 3 324.251 4.379 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3Cc4ccccc4C3)cc21 ZINC001237880361 1055547733 /nfs/dbraw/zinc/54/77/33/1055547733.db2.gz FAJKRTIIHMFSNZ-UHFFFAOYSA-N 0 3 304.437 4.204 20 0 DIADHN Cc1cncc(CN2CCC[C@@H]2COc2ccc(Cl)cc2)c1 ZINC000785437557 1055550883 /nfs/dbraw/zinc/55/08/83/1055550883.db2.gz RPBSLSVSAMGUBK-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN CCC[C@@H](CNCc1cc(-c2ccccc2)ccc1OC)OC ZINC001620949506 1055564638 /nfs/dbraw/zinc/56/46/38/1055564638.db2.gz ROHWHEQLRIKJED-IBGZPJMESA-N 0 3 313.441 4.267 20 0 DIADHN Cc1cccc2cc(CN[C@@H]3CCCSC3)c(Cl)nc12 ZINC001620950916 1055566480 /nfs/dbraw/zinc/56/64/80/1055566480.db2.gz QRTZYUIBHZAODK-CQSZACIVSA-N 0 3 306.862 4.182 20 0 DIADHN CC(=O)C1(c2ccccc2)CCN(Cc2ccsc2C)CC1 ZINC001237970633 1055566996 /nfs/dbraw/zinc/56/69/96/1055566996.db2.gz JUZLWICJVOMKGC-UHFFFAOYSA-N 0 3 313.466 4.179 20 0 DIADHN OC[C@H](CNCc1cc(Cl)sc1Cl)C1CCCCC1 ZINC001620952087 1055571399 /nfs/dbraw/zinc/57/13/99/1055571399.db2.gz HGUXBDSRTBAEMA-LBPRGKRZSA-N 0 3 322.301 4.333 20 0 DIADHN Oc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@H]43)cs2)cc1 ZINC001237982776 1055574730 /nfs/dbraw/zinc/57/47/30/1055574730.db2.gz IWYRZDRYYLQOGE-SUMWQHHRSA-N 0 3 314.454 4.280 20 0 DIADHN CCCN(Cc1csc(-c2ccc(O)cc2)n1)CC(C)C ZINC001237988106 1055578393 /nfs/dbraw/zinc/57/83/93/1055578393.db2.gz BGDFYCHZUXVUQX-UHFFFAOYSA-N 0 3 304.459 4.384 20 0 DIADHN c1ccc2c(c1)CCCN(Cc1cccc(N3CCCCC3)n1)C2 ZINC001238011231 1055584225 /nfs/dbraw/zinc/58/42/25/1055584225.db2.gz MGIIIJKWUXVYAQ-UHFFFAOYSA-N 0 3 321.468 4.020 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CC[C@H](Cc2ccccc2)C1 ZINC001238026638 1055587090 /nfs/dbraw/zinc/58/70/90/1055587090.db2.gz QHJYOYPWLQKNPB-QGZVFWFLSA-N 0 3 322.452 4.032 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCC[C@H](c2ccccc2)C1 ZINC001238026502 1055587914 /nfs/dbraw/zinc/58/79/14/1055587914.db2.gz PJMVKESFVOKADE-SFHVURJKSA-N 0 3 322.452 4.347 20 0 DIADHN CCC(CC)[C@@H](NCc1cnn(CCF)c1)c1cccs1 ZINC000921618257 1055595990 /nfs/dbraw/zinc/59/59/90/1055595990.db2.gz VTLZZYJNGBOFES-MRXNPFEDSA-N 0 3 309.454 4.181 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@H](C)C(C)(C)C ZINC000027132050 1055598280 /nfs/dbraw/zinc/59/82/80/1055598280.db2.gz HFTHGNSJZYEIDB-CQSZACIVSA-N 0 3 304.478 4.116 20 0 DIADHN CCOc1cc(CN2CC[C@@H](C(=O)c3ccccc3)C2)ccc1C ZINC001238075632 1055599673 /nfs/dbraw/zinc/59/96/73/1055599673.db2.gz LKTHKOLZUMRGLZ-LJQANCHMSA-N 0 3 323.436 4.099 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2cccc(OCC3CCCCC3)c2)C1 ZINC001238069847 1055600574 /nfs/dbraw/zinc/60/05/74/1055600574.db2.gz LPJHBXOPLGVOJG-LJQANCHMSA-N 0 3 315.457 4.057 20 0 DIADHN Cc1cc(CN2CCC(OC3CCC3)CC2)cc(C)c1Cl ZINC001238090713 1055606225 /nfs/dbraw/zinc/60/62/25/1055606225.db2.gz QVVPTZMTQVTTID-UHFFFAOYSA-N 0 3 307.865 4.490 20 0 DIADHN Cc1ccc(O)cc1CN(Cc1ccccn1)C1CCCCC1 ZINC001238108304 1055608878 /nfs/dbraw/zinc/60/88/78/1055608878.db2.gz YRBHASSHJOZOKB-UHFFFAOYSA-N 0 3 310.441 4.431 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cccc3cncn32)c1 ZINC001238113046 1055609041 /nfs/dbraw/zinc/60/90/41/1055609041.db2.gz IFVUMPSRPVYWHA-HXUWFJFHSA-N 0 3 321.424 4.070 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc(O)ccc2C)cc1 ZINC001238105732 1055609592 /nfs/dbraw/zinc/60/95/92/1055609592.db2.gz HDTMENAERJNENX-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN COc1c(C)cnc(CN(C)CCc2cccc(Cl)c2)c1C ZINC001238176058 1055614256 /nfs/dbraw/zinc/61/42/56/1055614256.db2.gz PCKQUXJMBJFTCR-UHFFFAOYSA-N 0 3 318.848 4.035 20 0 DIADHN Oc1ccc(C2CCN(Cc3cncc(C4CC4)c3)CC2)cc1 ZINC001238193601 1055618644 /nfs/dbraw/zinc/61/86/44/1055618644.db2.gz LOOZFZZRTZFZAM-UHFFFAOYSA-N 0 3 308.425 4.044 20 0 DIADHN CCOC(=O)CC1CCN(Cc2ccc(Cl)c(C)c2C)CC1 ZINC001238210926 1055620751 /nfs/dbraw/zinc/62/07/51/1055620751.db2.gz CKYDZOANDCMLKR-UHFFFAOYSA-N 0 3 323.864 4.122 20 0 DIADHN CCN(CC)[C@H](CNc1nc2ccccc2o1)c1ccsc1 ZINC000029622898 1055620949 /nfs/dbraw/zinc/62/09/49/1055620949.db2.gz FFTJTEASJWLBJI-OAHLLOKOSA-N 0 3 315.442 4.384 20 0 DIADHN CC(C)c1cc(CN2CC[C@@H]3OCCC[C@@H]3C2)ccc1Cl ZINC001238216897 1055622726 /nfs/dbraw/zinc/62/27/26/1055622726.db2.gz GLVZIUNWFHTPSG-QAPCUYQASA-N 0 3 307.865 4.464 20 0 DIADHN Cc1noc([C@@H]2CCN(Cc3ccc(Cl)c(C(C)C)c3)C2)n1 ZINC001238226337 1055625187 /nfs/dbraw/zinc/62/51/87/1055625187.db2.gz SYBBICIOVIDBRA-CQSZACIVSA-N 0 3 319.836 4.144 20 0 DIADHN CCC[C@H](C(=O)NC[C@H](c1ccsc1)N(CC)CC)C(C)C ZINC001595731088 1055626494 /nfs/dbraw/zinc/62/64/94/1055626494.db2.gz ULZHIDCLFBDXEX-DLBZAZTESA-N 0 3 324.534 4.320 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CC[C@H](C)[C@@](C)(O)CC1 ZINC001238261889 1055631238 /nfs/dbraw/zinc/63/12/38/1055631238.db2.gz DNWMABHMVQUYIN-LRDDRELGSA-N 0 3 316.272 4.285 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC2(C1)CCOCC2 ZINC001238259800 1055631861 /nfs/dbraw/zinc/63/18/61/1055631861.db2.gz PAAFCTTXXQFBRR-UHFFFAOYSA-N 0 3 314.256 4.304 20 0 DIADHN Cc1cc(CN(C)C2CC(F)(F)C2)c(C)cc1Br ZINC001238277994 1055633262 /nfs/dbraw/zinc/63/32/62/1055633262.db2.gz RRAUTTZDCAIXMT-UHFFFAOYSA-N 0 3 318.205 4.295 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC(C)C)C(C)C)c(Cl)c1 ZINC000031582115 1055640645 /nfs/dbraw/zinc/64/06/45/1055640645.db2.gz QZKWNDFMQBVFIZ-UHFFFAOYSA-N 0 3 310.869 4.262 20 0 DIADHN Fc1cccc(CN2CCC[C@H]3C[C@H]32)c1OCc1ccccc1 ZINC001238339902 1055641003 /nfs/dbraw/zinc/64/10/03/1055641003.db2.gz XISGVVYFBWONTD-QFBILLFUSA-N 0 3 311.400 4.389 20 0 DIADHN C[C@H]1CCCN(Cc2cncc(OCc3ccccc3)c2)[C@H]1C ZINC001238365632 1055645364 /nfs/dbraw/zinc/64/53/64/1055645364.db2.gz RKIXCIUMGVFSGK-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN CC[C@@H]1CCCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001238370366 1055645718 /nfs/dbraw/zinc/64/57/18/1055645718.db2.gz WMONJCZIFYBBCK-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2cncc(CN3CCC4(CCCC4)C3)c2)cc1 ZINC001238369670 1055646412 /nfs/dbraw/zinc/64/64/12/1055646412.db2.gz PJVVZMWXGBGIOU-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN Cc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)cnc1OC(C)C ZINC001238386257 1055649469 /nfs/dbraw/zinc/64/94/69/1055649469.db2.gz NLPKRDRNOKJVDZ-QGZVFWFLSA-N 0 3 320.452 4.281 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3cccnc3)C2)c(Cl)c1 ZINC001238400150 1055650772 /nfs/dbraw/zinc/65/07/72/1055650772.db2.gz NTGHFAJVLIODLC-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCOc1c(Br)cc(C)cc1CN(CC)C1CC1 ZINC001238394647 1055651423 /nfs/dbraw/zinc/65/14/23/1055651423.db2.gz RTERKONSMYEQGO-UHFFFAOYSA-N 0 3 312.251 4.141 20 0 DIADHN COc1cccc(CCCN(C)Cc2cc(C)c(Cl)cn2)c1 ZINC001238421417 1055654838 /nfs/dbraw/zinc/65/48/38/1055654838.db2.gz FOPSJRWWWBXMBN-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccccc3)C2)ncc1Cl ZINC001238420523 1055656454 /nfs/dbraw/zinc/65/64/54/1055656454.db2.gz OZEUAPKAYREMOH-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1cnc(CN2CC[C@H](Sc3ccc(F)cc3)C2)c(C)c1 ZINC001238434342 1055657558 /nfs/dbraw/zinc/65/75/58/1055657558.db2.gz CHTJONATZZDZBU-KRWDZBQOSA-N 0 3 316.445 4.204 20 0 DIADHN CC(C)Oc1cccc(CN(C)CC2CC(F)(F)C2)c1F ZINC001238428558 1055658150 /nfs/dbraw/zinc/65/81/50/1055658150.db2.gz XSKPLSPJFKFMPY-UHFFFAOYSA-N 0 3 301.352 4.090 20 0 DIADHN COc1ccccc1C1CCN(Cc2ncc(C)cc2C)CC1 ZINC001238433977 1055658756 /nfs/dbraw/zinc/65/87/56/1055658756.db2.gz WERCCQCBDIKGMY-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CCCOC3)CC2)ccc1F ZINC001238457682 1055662001 /nfs/dbraw/zinc/66/20/01/1055662001.db2.gz GORNAWMIJMUBKV-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN CCCCOc1ncc(CN2CCC3(CC(F)C3)CC2)cc1C ZINC001238490723 1055671308 /nfs/dbraw/zinc/67/13/08/1055671308.db2.gz VIHRCCYCYLDRHE-UHFFFAOYSA-N 0 3 320.452 4.283 20 0 DIADHN C[C@H]1CCN1Cc1ccc(-c2cccc(C(F)(F)F)c2)nc1 ZINC001238509206 1055676144 /nfs/dbraw/zinc/67/61/44/1055676144.db2.gz PUNLHUDENSJHRI-LBPRGKRZSA-N 0 3 306.331 4.362 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccccc2CC(F)(F)F)c1 ZINC000624307448 1055676231 /nfs/dbraw/zinc/67/62/31/1055676231.db2.gz KTJOZFWHUHFYHN-CYBMUJFWSA-N 0 3 308.347 4.346 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccc(Oc3ccccc3)c(C)c2)C1 ZINC001238522077 1055677543 /nfs/dbraw/zinc/67/75/43/1055677543.db2.gz DQAILUURUPGSJC-GOSISDBHSA-N 0 3 309.409 4.198 20 0 DIADHN CC[C@H](NCc1ccccc1CC(F)(F)F)c1ccncc1 ZINC000624308808 1055679159 /nfs/dbraw/zinc/67/91/59/1055679159.db2.gz YEMNZMSMOZOAMN-INIZCTEOSA-N 0 3 308.347 4.427 20 0 DIADHN FCC1CCN(Cc2ccc(F)c(-c3ccncc3)c2)CC1 ZINC001238554864 1055684992 /nfs/dbraw/zinc/68/49/92/1055684992.db2.gz IPYWFNUHHCSIMJ-UHFFFAOYSA-N 0 3 302.368 4.069 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2C[C@H]2c2ccccc2C)c1 ZINC001596233523 1055685486 /nfs/dbraw/zinc/68/54/86/1055685486.db2.gz RFSYUSPVFQKDOD-VQTJNVASSA-N 0 3 322.452 4.107 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2cc(C)ccc2Cl)C[C@@H]1C ZINC001238562090 1055686506 /nfs/dbraw/zinc/68/65/06/1055686506.db2.gz AWDTZBHZLFTDJT-LSDHHAIUSA-N 0 3 323.864 4.060 20 0 DIADHN Cc1ccc(Cl)c(CN2CCC(c3ccccn3)CC2)c1 ZINC001238558352 1055686623 /nfs/dbraw/zinc/68/66/23/1055686623.db2.gz XEVRULDJYXZXCY-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CCC2(CC2)CC1 ZINC001238605519 1055694193 /nfs/dbraw/zinc/69/41/93/1055694193.db2.gz SGCAYHJQXFKFDZ-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN Cc1cc(CN2CC[C@@H]3CCCO[C@H]3C2)cnc1-c1ccccc1 ZINC001238607475 1055696868 /nfs/dbraw/zinc/69/68/68/1055696868.db2.gz AZKRKSLDYVHGCS-ICSRJNTNSA-N 0 3 322.452 4.058 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cccc(F)c2)cc1OC ZINC000078483845 1055699848 /nfs/dbraw/zinc/69/98/48/1055699848.db2.gz HSVUUELXRGNXHI-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN Cc1cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)cnc1C1CC1 ZINC001238624304 1055700678 /nfs/dbraw/zinc/70/06/78/1055700678.db2.gz LXCNLNRQMRORTC-HDICACEKSA-N 0 3 304.437 4.354 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN(C)C[C@H]2CCCCO2)c1 ZINC001238626535 1055704313 /nfs/dbraw/zinc/70/43/13/1055704313.db2.gz ULCRRTDLNHCYQV-LJQANCHMSA-N 0 3 310.441 4.058 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H](C)[C@](C)(O)CC1 ZINC001238669910 1055715698 /nfs/dbraw/zinc/71/56/98/1055715698.db2.gz CEPCSOOBPZBUOU-BLLLJJGKSA-N 0 3 313.894 4.045 20 0 DIADHN CSc1cc(C)cc(CN2CC[C@@](CF)(C(F)(F)F)C2)c1 ZINC001238674957 1055716423 /nfs/dbraw/zinc/71/64/23/1055716423.db2.gz JBLCVYQYCFQMQY-AWEZNQCLSA-N 0 3 321.383 4.441 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H](c2ccncc2)C1 ZINC001238674525 1055717851 /nfs/dbraw/zinc/71/78/51/1055717851.db2.gz UQXIVYAFMGZGNW-AWEZNQCLSA-N 0 3 318.873 4.446 20 0 DIADHN CSc1cc(C)cc(CN2CCC(OC3CCC3)CC2)c1 ZINC001238675584 1055718755 /nfs/dbraw/zinc/71/87/55/1055718755.db2.gz OSQCTSQFCLGAPU-UHFFFAOYSA-N 0 3 305.487 4.250 20 0 DIADHN FC[C@H]1CCCN1Cc1ccc(-c2ccc(Cl)cn2)cc1 ZINC001238686511 1055720024 /nfs/dbraw/zinc/72/00/24/1055720024.db2.gz CEWHDJBFSMGXIT-MRXNPFEDSA-N 0 3 304.796 4.336 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NC(=O)C1(N(C)C)CCCC1 ZINC001565728656 1055722993 /nfs/dbraw/zinc/72/29/93/1055722993.db2.gz BBWIELFVDBQMMT-SFHVURJKSA-N 0 3 316.489 4.073 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000624314797 1055724154 /nfs/dbraw/zinc/72/41/54/1055724154.db2.gz PSAUPBXCQTVYET-APWZRJJASA-N 0 3 310.416 4.480 20 0 DIADHN COc1ccc2cc(CN[C@@H]3CCc4c3cccc4O)ccc2c1 ZINC000105487467 1055729324 /nfs/dbraw/zinc/72/93/24/1055729324.db2.gz BRHZGRGCIAYVAB-HXUWFJFHSA-N 0 3 319.404 4.331 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc2c(c1)CC(C)(C)O2 ZINC001620968967 1055730196 /nfs/dbraw/zinc/73/01/96/1055730196.db2.gz TWKMWOSYPHHGLB-UHFFFAOYSA-N 0 3 324.468 4.382 20 0 DIADHN CSc1ncc(C)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001238718368 1055732157 /nfs/dbraw/zinc/73/21/57/1055732157.db2.gz MQPYOXBSFXTPHS-UHFFFAOYSA-N 0 3 308.466 4.216 20 0 DIADHN c1ccc(COc2ncccc2CN2CC[C@@H]3CCC[C@@H]3C2)cc1 ZINC001238769244 1055745551 /nfs/dbraw/zinc/74/55/51/1055745551.db2.gz DQQAECCYAGVIRY-RBUKOAKNSA-N 0 3 322.452 4.283 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1cccnc1OCc1ccccc1 ZINC001238772058 1055748515 /nfs/dbraw/zinc/74/85/15/1055748515.db2.gz AQRZLXLISMBJJR-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@@H](c2ccncc2)C1 ZINC001238785441 1055752327 /nfs/dbraw/zinc/75/23/27/1055752327.db2.gz QGMHARJOWPNNBA-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN C[C@@H](NCc1cccc(C(=O)N2CCCCC2)c1)c1ccccc1 ZINC000172468440 1055752359 /nfs/dbraw/zinc/75/23/59/1055752359.db2.gz YVJKSTNXMRHDBI-QGZVFWFLSA-N 0 3 322.452 4.164 20 0 DIADHN CN(CC(=O)N1CCC[C@@H]1CCC1CCCCC1)CC(C)(C)C ZINC001566404161 1055770604 /nfs/dbraw/zinc/77/06/04/1055770604.db2.gz VOXJHNKHSWAZEX-GOSISDBHSA-N 0 3 322.537 4.316 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1cc(C)ccn1 ZINC000671705815 1055773661 /nfs/dbraw/zinc/77/36/61/1055773661.db2.gz NDXXFYLYMILYTI-APWZRJJASA-N 0 3 310.441 4.372 20 0 DIADHN COc1cccc2cc(CNCc3ccc4cc[nH]c4c3)oc21 ZINC001649055000 1055777916 /nfs/dbraw/zinc/77/79/16/1055777916.db2.gz VDMZEEBHQOUKCZ-UHFFFAOYSA-N 0 3 306.365 4.213 20 0 DIADHN Fc1ccc(CN(Cc2ccc(-n3ccnc3)cc2)C2CC2)cc1 ZINC000057621169 1055783762 /nfs/dbraw/zinc/78/37/62/1055783762.db2.gz PHJHIXYIWXVHLW-UHFFFAOYSA-N 0 3 321.399 4.176 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccc(Cl)cc1)c1ccccc1F ZINC000027110303 1055786218 /nfs/dbraw/zinc/78/62/18/1055786218.db2.gz ZNVOVSSBDCXCDM-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN CCn1c2ccccc2c2cc(-c3ccc(CN)nc3)ccc21 ZINC001238919166 1055788166 /nfs/dbraw/zinc/78/81/66/1055788166.db2.gz ZTVVQXOHGWWBTP-UHFFFAOYSA-N 0 3 301.393 4.335 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)ccn1 ZINC000624329616 1055791883 /nfs/dbraw/zinc/79/18/83/1055791883.db2.gz CGDJZTFLOKZORE-YVEFUNNKSA-N 0 3 316.832 4.472 20 0 DIADHN CCOCc1cncc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001238940420 1055799016 /nfs/dbraw/zinc/79/90/16/1055799016.db2.gz RVDRWZLHINEPJG-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3c2cccc3Cl)cs1 ZINC000624331624 1055802461 /nfs/dbraw/zinc/80/24/61/1055802461.db2.gz FUKHPXWOAOHPEO-SNVBAGLBSA-N 0 3 319.861 4.480 20 0 DIADHN Cc1ccc(CNCc2cccc(NC(=O)CC(C)C)c2)cc1 ZINC000064411751 1055804774 /nfs/dbraw/zinc/80/47/74/1055804774.db2.gz PJKKXZCPNBVSQW-UHFFFAOYSA-N 0 3 310.441 4.269 20 0 DIADHN CO[C@H](CNCc1cc(Cl)ccc1OC(F)F)CC(C)C ZINC001620974275 1055811017 /nfs/dbraw/zinc/81/10/17/1055811017.db2.gz HRVITHWRXPCZRY-ZDUSSCGKSA-N 0 3 321.795 4.092 20 0 DIADHN Cn1ncc(Cl)c1CN[C@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001620974289 1055811820 /nfs/dbraw/zinc/81/18/20/1055811820.db2.gz IEORFKCBBMADHY-OCCSQVGLSA-N 0 3 324.255 4.153 20 0 DIADHN Cn1ncc(Cl)c1CN[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001620974290 1055813508 /nfs/dbraw/zinc/81/35/08/1055813508.db2.gz IEORFKCBBMADHY-TZMCWYRMSA-N 0 3 324.255 4.153 20 0 DIADHN CC(C)[C@H](NCc1cccc2c1OCCO2)c1ccc(F)cc1 ZINC000059260031 1055823550 /nfs/dbraw/zinc/82/35/50/1055823550.db2.gz PDDHPUQIGLDTRG-SFHVURJKSA-N 0 3 315.388 4.084 20 0 DIADHN Cc1nnc(-c2ccc(-c3cccc(C4=NCCC4)c3)cc2)o1 ZINC001239020131 1055826286 /nfs/dbraw/zinc/82/62/86/1055826286.db2.gz SVWVKBRPCRIGDR-UHFFFAOYSA-N 0 3 303.365 4.295 20 0 DIADHN CN(Cc1cn2cc(Cl)cc(Cl)c2n1)C1CCCCC1 ZINC000060339635 1055831847 /nfs/dbraw/zinc/83/18/47/1055831847.db2.gz YCTPRIGZPFIYQO-UHFFFAOYSA-N 0 3 312.244 4.406 20 0 DIADHN CC(C)c1csc(CN[C@H]2Cc3[nH]c4ccccc4c3C2)n1 ZINC000282220466 1055833142 /nfs/dbraw/zinc/83/31/42/1055833142.db2.gz HXFUQEVCJZZEBD-GFCCVEGCSA-N 0 3 311.454 4.005 20 0 DIADHN CCc1ccccc1NC(=O)c1cccc(CN2CCCC2)c1 ZINC000060550632 1055842273 /nfs/dbraw/zinc/84/22/73/1055842273.db2.gz BSDHDFINPIANSL-UHFFFAOYSA-N 0 3 308.425 4.097 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)Nc1cccc(Cl)c1Cl ZINC001239187708 1055842964 /nfs/dbraw/zinc/84/29/64/1055842964.db2.gz PYEATBKFEOBVLH-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1Cl ZINC001568749832 1055844077 /nfs/dbraw/zinc/84/40/77/1055844077.db2.gz GVKSHYURROGETQ-XQQFMLRXSA-N 0 3 308.853 4.102 20 0 DIADHN CCCCc1ccc(NC(=O)Nc2cccc3c2CNC3)cc1 ZINC001239191592 1055845794 /nfs/dbraw/zinc/84/57/94/1055845794.db2.gz OLTWCUWZWCIGOA-UHFFFAOYSA-N 0 3 309.413 4.276 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001597613201 1055853043 /nfs/dbraw/zinc/85/30/43/1055853043.db2.gz AFPDOUJMHXQQHS-GOSISDBHSA-N 0 3 310.526 4.029 20 0 DIADHN Cc1ccc(CNCc2ccccc2OCc2ccncc2)s1 ZINC000061107500 1055860375 /nfs/dbraw/zinc/86/03/75/1055860375.db2.gz FGLZLDHLJINKPP-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001569752411 1055871163 /nfs/dbraw/zinc/87/11/63/1055871163.db2.gz ALJVHTGBGJRDJZ-KBPBESRZSA-N 0 3 319.493 4.361 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H](C)CC3)cs2)c1 ZINC000063302527 1055885120 /nfs/dbraw/zinc/88/51/20/1055885120.db2.gz MCDPHEPJWZVVNH-AWEZNQCLSA-N 0 3 316.470 4.441 20 0 DIADHN CCCCN(C(=O)c1ccc2c(c1)CNC2)[C@@H](C)c1ccccc1 ZINC000347620787 1055898313 /nfs/dbraw/zinc/89/83/13/1055898313.db2.gz JPNHUXLSHBGICN-INIZCTEOSA-N 0 3 322.452 4.293 20 0 DIADHN CC(C)(C)CC(C)(C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000063914777 1055907334 /nfs/dbraw/zinc/90/73/34/1055907334.db2.gz WCQBDFXWSVACPW-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN CC[C@@H](C)C[C@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001620981409 1055919060 /nfs/dbraw/zinc/91/90/60/1055919060.db2.gz OPLZMXZZNBKSMA-MLGOLLRUSA-N 0 3 320.864 4.083 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671739272 1055923407 /nfs/dbraw/zinc/92/34/07/1055923407.db2.gz FQJZFMQWQJNMEY-GHMZBOCLSA-N 0 3 300.333 4.084 20 0 DIADHN C[C@@H](COc1ccccc1)NCc1ccsc1C(F)(F)F ZINC001620750730 1055924614 /nfs/dbraw/zinc/92/46/14/1055924614.db2.gz LCNQUOZTUYLSGA-NSHDSACASA-N 0 3 315.360 4.324 20 0 DIADHN C[C@@H]1CCCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)[C@@H]1C ZINC001615640192 1055925206 /nfs/dbraw/zinc/92/52/06/1055925206.db2.gz STKLJOAEOWMZRA-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671738919 1055926215 /nfs/dbraw/zinc/92/62/15/1055926215.db2.gz SQKHZTJJOTXIKT-WDEREUQCSA-N 0 3 300.333 4.084 20 0 DIADHN Fc1ccc(CNCc2cccc3ncccc23)cc1Cl ZINC001620761050 1055931472 /nfs/dbraw/zinc/93/14/72/1055931472.db2.gz FQBYQBYHXQGENP-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742273 1055932110 /nfs/dbraw/zinc/93/21/10/1055932110.db2.gz NCOZBSJDAUOOAD-IUCAKERBSA-N 0 3 317.414 4.019 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671744169 1055938303 /nfs/dbraw/zinc/93/83/03/1055938303.db2.gz MCXOLZCIGLMEQV-WBMJQRKESA-N 0 3 308.381 4.180 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671744148 1055938352 /nfs/dbraw/zinc/93/83/52/1055938352.db2.gz KHXYSHGZMKTHOJ-MEBBXXQBSA-N 0 3 312.344 4.011 20 0 DIADHN c1[nH]c2ccccc2c1CCCNCc1nc2ccccc2o1 ZINC001620775011 1055941464 /nfs/dbraw/zinc/94/14/64/1055941464.db2.gz HSEXWWBXHWWVHY-UHFFFAOYSA-N 0 3 305.381 4.032 20 0 DIADHN CCCc1ccc(CNCc2ccnc(OCC3CC3)c2)s1 ZINC001620771774 1055941528 /nfs/dbraw/zinc/94/15/28/1055941528.db2.gz SWFGIIFQPSFCLL-UHFFFAOYSA-N 0 3 316.470 4.174 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccnc(OCC2CC2)c1 ZINC001620771832 1055941636 /nfs/dbraw/zinc/94/16/36/1055941636.db2.gz WQSQTOQGJHUTSG-WJDWOHSUSA-N 0 3 308.425 4.064 20 0 DIADHN CN(Cc1csc(-c2ccsc2)n1)C(C1CC1)C1CC1 ZINC000065243062 1055942751 /nfs/dbraw/zinc/94/27/51/1055942751.db2.gz LZFOCSSNIOCROX-UHFFFAOYSA-N 0 3 304.484 4.492 20 0 DIADHN CCc1cccc2cc(CNCc3cccc(N(C)C)c3)oc21 ZINC001620776070 1055942583 /nfs/dbraw/zinc/94/25/83/1055942583.db2.gz DESIXXKGYMLDQG-UHFFFAOYSA-N 0 3 308.425 4.351 20 0 DIADHN CCc1cccc(Cl)c1CNCCCC(=O)OC(C)(C)C ZINC001620983855 1055950149 /nfs/dbraw/zinc/95/01/49/1055950149.db2.gz VZWAJTBUATYWTK-UHFFFAOYSA-N 0 3 311.853 4.114 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001621121401 1055958213 /nfs/dbraw/zinc/95/82/13/1055958213.db2.gz FJCQKVZJAPXGNS-NWDGAFQWSA-N 0 3 321.408 4.402 20 0 DIADHN CCN1CC=C(c2cnc(-c3c(F)cccc3F)c(C)c2)CC1 ZINC001239572610 1055958970 /nfs/dbraw/zinc/95/89/70/1055958970.db2.gz SFQSJIPNZIRVSO-UHFFFAOYSA-N 0 3 314.379 4.444 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](C(=O)OC(C)(C)C)C(C)C ZINC001620986767 1055960110 /nfs/dbraw/zinc/96/01/10/1055960110.db2.gz YBIPKJGJHPAGBA-OAHLLOKOSA-N 0 3 323.452 4.092 20 0 DIADHN FC(F)(F)c1ccc(-c2cccnc2)c(CN2CCCC2)c1 ZINC001239570785 1055960163 /nfs/dbraw/zinc/96/01/63/1055960163.db2.gz BKEBMRUUYIYGDD-UHFFFAOYSA-N 0 3 306.331 4.363 20 0 DIADHN CC(C)n1cc([C@@H](C)NCc2cccc(-c3ccccn3)c2)cn1 ZINC001620786374 1055960150 /nfs/dbraw/zinc/96/01/50/1055960150.db2.gz GMUADSATDSMUEJ-MRXNPFEDSA-N 0 3 320.440 4.377 20 0 DIADHN c1ccc(OCC2CC2)c(CNC[C@@H]2CCC3(CCCC3)O2)c1 ZINC001620989468 1055962379 /nfs/dbraw/zinc/96/23/79/1055962379.db2.gz CCWHCFOINQFBAY-SFHVURJKSA-N 0 3 315.457 4.057 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H]3CSC[C@H]3C2)c1 ZINC001620506665 1055963483 /nfs/dbraw/zinc/96/34/83/1055963483.db2.gz KOSREOZBAVUUML-CHWSQXEVSA-N 0 3 316.297 4.221 20 0 DIADHN CCC[C@@H](C)[C@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001620994050 1055964398 /nfs/dbraw/zinc/96/43/98/1055964398.db2.gz AWJVKAMHGZDZPC-YGRLFVJLSA-N 0 3 323.786 4.246 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671751553 1055968249 /nfs/dbraw/zinc/96/82/49/1055968249.db2.gz JUJSNQRMPODOPH-MLGOLLRUSA-N 0 3 308.381 4.180 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@@H](C)[C@H]2c2ccccc2)cc1 ZINC001620569853 1055968296 /nfs/dbraw/zinc/96/82/96/1055968296.db2.gz QLFIGQKGZVFIOU-IERDGZPVSA-N 0 3 322.452 4.271 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1ccc(-c2ccccc2F)o1 ZINC001621001819 1055968765 /nfs/dbraw/zinc/96/87/65/1055968765.db2.gz BAKKQCZQVQBTBC-HNNXBMFYSA-N 0 3 317.404 4.381 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1ccc(Oc2ccccc2)o1 ZINC001621002461 1055971082 /nfs/dbraw/zinc/97/10/82/1055971082.db2.gz XBRSCIBBLHEQQZ-INIZCTEOSA-N 0 3 315.413 4.367 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001620591741 1055971721 /nfs/dbraw/zinc/97/17/21/1055971721.db2.gz OPLDHCXNGXZLQU-ZIAGYGMSSA-N 0 3 319.399 4.019 20 0 DIADHN Brc1cncc([C@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001620601866 1055973580 /nfs/dbraw/zinc/97/35/80/1055973580.db2.gz OBSMYCVBQYOAFX-OQMKEHIESA-N 0 3 321.262 4.027 20 0 DIADHN COc1cccc(CN[C@H]2C[C@H](OC(C)(C)C)C23CCC3)c1F ZINC001621006953 1055973736 /nfs/dbraw/zinc/97/37/36/1055973736.db2.gz ZZPSANDHWXCCFT-HOTGVXAUSA-N 0 3 321.436 4.050 20 0 DIADHN Clc1ccc(CNCc2cccc3nsnc32)cc1Cl ZINC001621008045 1055974339 /nfs/dbraw/zinc/97/43/39/1055974339.db2.gz XAVLTLUMAVPQDQ-UHFFFAOYSA-N 0 3 324.236 4.288 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2c(C3CC3)cnn2C)cc1C ZINC001621008645 1055976376 /nfs/dbraw/zinc/97/63/76/1055976376.db2.gz BSUKQIGXMVBNNR-AATRIKPKSA-N 0 3 309.457 4.026 20 0 DIADHN C[C@]1(CN(CCC(F)(F)F)Cc2ccccc2)CCCCO1 ZINC001621308335 1055977851 /nfs/dbraw/zinc/97/78/51/1055977851.db2.gz JHOLDZBURXUWTE-MRXNPFEDSA-N 0 3 315.379 4.400 20 0 DIADHN CCCC1CCC(CN[C@H](CO)c2ccc(F)cc2F)CC1 ZINC001621014300 1055979203 /nfs/dbraw/zinc/97/92/03/1055979203.db2.gz KWLFBDPKEBAFKC-WTNGLUPJSA-N 0 3 311.416 4.194 20 0 DIADHN CC1(C)C[C@@]1(CNCc1nc2c(s1)CCC2)c1ccccc1 ZINC001621014188 1055979285 /nfs/dbraw/zinc/97/92/85/1055979285.db2.gz HNOPBMRSRDVJII-LJQANCHMSA-N 0 3 312.482 4.089 20 0 DIADHN CC1(C)C[C@]1(CNCc1nc2c(s1)CCC2)c1ccccc1 ZINC001621014187 1055979436 /nfs/dbraw/zinc/97/94/36/1055979436.db2.gz HNOPBMRSRDVJII-IBGZPJMESA-N 0 3 312.482 4.089 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC)c(OC)c2)cc1F ZINC001239618113 1055979843 /nfs/dbraw/zinc/97/98/43/1055979843.db2.gz QWMWEXJWUBHIOM-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CC(C)c1ccc(NC(=O)CN([C@@H](C)C2CC2)C2CC2)cc1 ZINC000031653719 1055981373 /nfs/dbraw/zinc/98/13/73/1055981373.db2.gz ZSBLXYKWVMUHSZ-AWEZNQCLSA-N 0 3 300.446 4.011 20 0 DIADHN CC[C@@H](NCc1cc(O)cc(Cl)c1)c1ccc(OC)cc1 ZINC001620697733 1055981992 /nfs/dbraw/zinc/98/19/92/1055981992.db2.gz ROYRWCRYXHLBTE-QGZVFWFLSA-N 0 3 305.805 4.295 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccc(OC(F)(F)F)cc1 ZINC001620704327 1055982635 /nfs/dbraw/zinc/98/26/35/1055982635.db2.gz GQIHQZCFNNCCTE-UHFFFAOYSA-N 0 3 313.294 4.323 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](O)CNCc1cccc(Cl)c1Cl ZINC001621017039 1055982642 /nfs/dbraw/zinc/98/26/42/1055982642.db2.gz GWENTWVCSYZUQQ-BLLLJJGKSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cccc(CNCc2cccc(Oc3ccccn3)c2)c1 ZINC001620706221 1055983093 /nfs/dbraw/zinc/98/30/93/1055983093.db2.gz VQJVJQHIVDWBRO-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cccc(C2CC2)c1)c1ccccc1 ZINC001621017430 1055984280 /nfs/dbraw/zinc/98/42/80/1055984280.db2.gz QXWYVOZTDPNONZ-SFTDATJTSA-N 0 3 309.453 4.412 20 0 DIADHN CN(Cc1cc(F)cc(F)c1)CC(C)(C)Oc1ccccc1 ZINC001621308751 1055985224 /nfs/dbraw/zinc/98/52/24/1055985224.db2.gz VRGQJKMPCCYSSD-UHFFFAOYSA-N 0 3 305.368 4.254 20 0 DIADHN C[C@H](NC1(c2ccccc2)CCC1)c1ccc2[nH]c(=O)oc2c1 ZINC000671755980 1055986315 /nfs/dbraw/zinc/98/63/15/1055986315.db2.gz FLEXMFOHCTXHMY-ZDUSSCGKSA-N 0 3 308.381 4.263 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccc(C)o1 ZINC001621021787 1055986803 /nfs/dbraw/zinc/98/68/03/1055986803.db2.gz WWSLNBJXDYGDKM-XFXZXTDPSA-N 0 3 307.340 4.383 20 0 DIADHN CC(=O)c1cccc(-c2ccc(CN3CCCC[C@H]3C)cn2)c1 ZINC001239634521 1055991052 /nfs/dbraw/zinc/99/10/52/1055991052.db2.gz FMSGAVSBMJYKPU-OAHLLOKOSA-N 0 3 308.425 4.326 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H](C)[C@H](O)C(F)(F)F)c1 ZINC001621029882 1055993585 /nfs/dbraw/zinc/99/35/85/1055993585.db2.gz NDPPHXRLTJFTLO-GUYCJALGSA-N 0 3 323.358 4.063 20 0 DIADHN CC(C)(CNCc1ccc(F)c(F)c1F)c1cccc(F)c1 ZINC001620798651 1055994273 /nfs/dbraw/zinc/99/42/73/1055994273.db2.gz QPJBUCJVROMKOO-UHFFFAOYSA-N 0 3 311.322 4.310 20 0 DIADHN COc1cc(C)ccc1CNCCOc1ccccc1C(C)C ZINC001620800790 1055996784 /nfs/dbraw/zinc/99/67/84/1055996784.db2.gz GHCFOKJZNITLOU-UHFFFAOYSA-N 0 3 313.441 4.296 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2cccc(C)c2)c(Cl)c1 ZINC001620803535 1055998835 /nfs/dbraw/zinc/99/88/35/1055998835.db2.gz WAPMFQGTSPBYOC-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cccc(F)c2F)C12CCCCC2 ZINC001620803566 1055999258 /nfs/dbraw/zinc/99/92/58/1055999258.db2.gz XJLGUFAJSYSZSS-CVEARBPZSA-N 0 3 309.400 4.182 20 0 DIADHN Cc1cccc(CN[C@@H](Cn2cccn2)c2ccccc2)c1C ZINC001620807629 1056001985 /nfs/dbraw/zinc/00/19/85/1056001985.db2.gz GSAQCMAZNQPPJO-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN c1csc(C2(CNCc3cn(C4CC4)cn3)CCCCC2)c1 ZINC001620807508 1056002034 /nfs/dbraw/zinc/00/20/34/1056002034.db2.gz BOCGCATYJIMAIS-UHFFFAOYSA-N 0 3 315.486 4.271 20 0 DIADHN c1nc(C2CCCC2)sc1CNC1([C@H]2CCCCO2)CCC1 ZINC001621043182 1056003847 /nfs/dbraw/zinc/00/38/47/1056003847.db2.gz XBEBIJNJQPTPDG-MRXNPFEDSA-N 0 3 320.502 4.382 20 0 DIADHN F[C@H]1CCc2ccccc2[C@@H]1NC1CCN(c2ccccc2)CC1 ZINC001621043413 1056005003 /nfs/dbraw/zinc/00/50/03/1056005003.db2.gz FXKZLLQMWDXIMV-SFTDATJTSA-N 0 3 324.443 4.271 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc(C)s1)c1ccc(OC)c(OC)c1 ZINC000671765762 1056007183 /nfs/dbraw/zinc/00/71/83/1056007183.db2.gz FFXQFXHSUVXBRT-FZMZJTMJSA-N 0 3 320.458 4.271 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H]3C[C@H]4CCC[C@H]4O3)o2)cc1 ZINC001621054120 1056013167 /nfs/dbraw/zinc/01/31/67/1056013167.db2.gz AACLTGFIXUGYEL-NZSAHSFTSA-N 0 3 311.425 4.302 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC(F)(F)CC1)c1c(F)cncc1F ZINC000671770708 1056016365 /nfs/dbraw/zinc/01/63/65/1056016365.db2.gz IITYMMXSMZLQLO-NXEZZACHSA-N 0 3 304.331 4.224 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)C[C@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001583170148 1056031586 /nfs/dbraw/zinc/03/15/86/1056031586.db2.gz QMQIGAGTTXMKJV-IAGOWNOFSA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(F)c(F)c2N(C)C)[C@H]1C(C)C ZINC001621334032 1056037052 /nfs/dbraw/zinc/03/70/52/1056037052.db2.gz AOIVVHBMTFWDAI-PBHICJAKSA-N 0 3 310.432 4.143 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@H](C(C)C)[C@@H]2C(C)C)c1 ZINC001621334409 1056037149 /nfs/dbraw/zinc/03/71/49/1056037149.db2.gz MHQGTPXVMZIZNU-WBVHZDCISA-N 0 3 323.864 4.239 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccccc2C2(C)CC2)c1 ZINC001540549776 1056043058 /nfs/dbraw/zinc/04/30/58/1056043058.db2.gz YIRVSAAEBOQHAY-UHFFFAOYSA-N 0 3 308.425 4.052 20 0 DIADHN CO[C@H]1C[C@H](N(C)Cc2cscc2C(F)(F)F)C12CCC2 ZINC001621338651 1056043448 /nfs/dbraw/zinc/04/34/48/1056043448.db2.gz NEBFZPFSRDDQLO-STQMWFEESA-N 0 3 319.392 4.156 20 0 DIADHN C=CCOc1cccc(CN[C@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000028924955 1056071106 /nfs/dbraw/zinc/07/11/06/1056071106.db2.gz YYNZJJHLHAWORH-OAHLLOKOSA-N 0 3 324.424 4.061 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C[C@@H]1CCC[C@H]1C)c1ccsc1 ZINC001540779608 1056076451 /nfs/dbraw/zinc/07/64/51/1056076451.db2.gz VKVQVPUASNUKSE-HLLBOEOZSA-N 0 3 322.518 4.074 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C[C@H]1CCC[C@H]1C)c1ccsc1 ZINC001540779607 1056076586 /nfs/dbraw/zinc/07/65/86/1056076586.db2.gz VKVQVPUASNUKSE-BFYDXBDKSA-N 0 3 322.518 4.074 20 0 DIADHN COc1cccc(-c2ccc(CN3CCCC3)c(F)c2)c1OC ZINC001239819944 1056087754 /nfs/dbraw/zinc/08/77/54/1056087754.db2.gz QSCFNNOADJPJGV-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(OC)c2OC)cc1F ZINC001239819922 1056087819 /nfs/dbraw/zinc/08/78/19/1056087819.db2.gz PNMMIYUNGLJKMJ-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(OC)c2OC)ccc1F ZINC001239820018 1056088193 /nfs/dbraw/zinc/08/81/93/1056088193.db2.gz TWMCTNDZWWFLRA-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN O=c1[nH]c2cccc(CN[C@H]3CCCCc4ccccc43)c2o1 ZINC000921643765 1056092683 /nfs/dbraw/zinc/09/26/83/1056092683.db2.gz MDGATLNZMBUSBY-INIZCTEOSA-N 0 3 308.381 4.091 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001542197621 1056109930 /nfs/dbraw/zinc/10/99/30/1056109930.db2.gz HCDDHQYSNZQKHH-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CCCC[C@H](CC)CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001542420204 1056122779 /nfs/dbraw/zinc/12/27/79/1056122779.db2.gz WYNNXECEGAAZHY-PKOBYXMFSA-N 0 3 318.505 4.320 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1(CC)CC ZINC001620819457 1056125353 /nfs/dbraw/zinc/12/53/53/1056125353.db2.gz UGMDEUADODJNSV-HOTGVXAUSA-N 0 3 322.518 4.095 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@](C)(O)c2cccc(Cl)c2)o1 ZINC000765812410 1056157941 /nfs/dbraw/zinc/15/79/41/1056157941.db2.gz BMHSJBWNRJLWTC-PXAZEXFGSA-N 0 3 307.821 4.054 20 0 DIADHN C[C@H](NC[C@](C)(O)c1ccccc1Cl)c1ccccc1F ZINC000765812775 1056160121 /nfs/dbraw/zinc/16/01/21/1056160121.db2.gz ZFQOOGKDABGWCT-SJCJKPOMSA-N 0 3 307.796 4.037 20 0 DIADHN CCCC(=CC(=O)N(CCN(C)C)C1CCCCC1)CCC ZINC001542881311 1056161912 /nfs/dbraw/zinc/16/19/12/1056161912.db2.gz FBKSGTILFTTYJD-UHFFFAOYSA-N 0 3 308.510 4.236 20 0 DIADHN Cc1cccc(-c2cccc(CNCc3cnn(CCF)c3)c2)c1 ZINC000921653461 1056164417 /nfs/dbraw/zinc/16/44/17/1056164417.db2.gz DIUGYHCPMZAEQT-UHFFFAOYSA-N 0 3 323.415 4.118 20 0 DIADHN CCO[C@@H](CCNCc1cscc1Br)C(C)C ZINC000352754184 1056164946 /nfs/dbraw/zinc/16/49/46/1056164946.db2.gz HGALXFHVTVIRKT-ZDUSSCGKSA-N 0 3 320.296 4.051 20 0 DIADHN CCOc1cc(CN[C@@H]2C[C@@H]2C2CCCCC2)c(F)cc1OC ZINC001620820166 1056170575 /nfs/dbraw/zinc/17/05/75/1056170575.db2.gz LTEAKQUVGNAJJZ-NVXWUHKLSA-N 0 3 321.436 4.291 20 0 DIADHN c1ccc2c(c1)cccc2CNCc1nccc2c1CCCC2 ZINC001621066317 1056170579 /nfs/dbraw/zinc/17/05/79/1056170579.db2.gz JVCNAQJAESKIMR-UHFFFAOYSA-N 0 3 302.421 4.403 20 0 DIADHN CN(CC(=O)N(Cc1ccccc1)CC(C)(C)C)CC(C)(C)C ZINC001543176209 1056185088 /nfs/dbraw/zinc/18/50/88/1056185088.db2.gz ZDKJXOBARQPMPC-UHFFFAOYSA-N 0 3 318.505 4.039 20 0 DIADHN Cc1[nH]c2ccc(CN[C@H](c3ccccn3)C3CC3)cc2c1C ZINC000903080705 1056185206 /nfs/dbraw/zinc/18/52/06/1056185206.db2.gz RWCOOGPWRROLPW-FQEVSTJZSA-N 0 3 305.425 4.421 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)c(C)c1 ZINC000062423044 1056208211 /nfs/dbraw/zinc/20/82/11/1056208211.db2.gz ABHARBXYJXHYDS-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN CC(C)(C)NC(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001239991564 1056231031 /nfs/dbraw/zinc/23/10/31/1056231031.db2.gz ZGNFLFLHPNKXHW-UHFFFAOYSA-N 0 3 320.436 4.465 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4cncnc4c3)cc21 ZINC001239994480 1056233570 /nfs/dbraw/zinc/23/35/70/1056233570.db2.gz BJWRLJGDBUDYON-UHFFFAOYSA-N 0 3 301.393 4.298 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)c1cccc(Cl)c1 ZINC001543534072 1056246286 /nfs/dbraw/zinc/24/62/86/1056246286.db2.gz AXBOSWWNQBCOFH-OAHLLOKOSA-N 0 3 324.896 4.372 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)C(=O)N[C@H](C)c2ccccc2)cc1 ZINC001168633978 1056267072 /nfs/dbraw/zinc/26/70/72/1056267072.db2.gz XUCZGHXGEPOXGI-ZACQAIPSSA-N 0 3 324.468 4.166 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000106859801 1056268912 /nfs/dbraw/zinc/26/89/12/1056268912.db2.gz SSBMUBHNCUEUCP-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN CCc1ccccc1CN[C@@H](CCO)c1ccc(Cl)cc1 ZINC000347653147 1056270095 /nfs/dbraw/zinc/27/00/95/1056270095.db2.gz SLDZGBDQQLYNKN-SFHVURJKSA-N 0 3 303.833 4.116 20 0 DIADHN CCC(C)(C)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001584236776 1056294002 /nfs/dbraw/zinc/29/40/02/1056294002.db2.gz RVWVHNCJPMZUNT-UHFFFAOYSA-N 0 3 316.367 4.142 20 0 DIADHN Cc1nc(-c2cccc(C(=O)N3CCCCC3)c2)cc2[nH]ccc21 ZINC001240063206 1056300151 /nfs/dbraw/zinc/30/01/51/1056300151.db2.gz FKSOVUUZOVBQCV-UHFFFAOYSA-N 0 3 319.408 4.164 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2Cl)cc1 ZINC000056201582 1056301161 /nfs/dbraw/zinc/30/11/61/1056301161.db2.gz AMANWMMTHGPGLP-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2)C1)c1nc2ccccc2s1 ZINC000765845717 1056307018 /nfs/dbraw/zinc/30/70/18/1056307018.db2.gz TUHGDAYMOGQAPP-CABCVRRESA-N 0 3 323.465 4.226 20 0 DIADHN CCCCNC(=O)c1cccc(-c2ccc(C3=NCCC3)cc2)c1 ZINC001240085827 1056313048 /nfs/dbraw/zinc/31/30/48/1056313048.db2.gz PUZMVHNONBQDRH-UHFFFAOYSA-N 0 3 320.436 4.466 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnn(C3CCC3)c2)c1C ZINC000921692247 1056313304 /nfs/dbraw/zinc/31/33/04/1056313304.db2.gz QCXCGYWZFFDDNI-UHFFFAOYSA-N 0 3 322.456 4.304 20 0 DIADHN Cc1nc(-c2cccc(C(=O)NC3CCCC3)c2)cc2[nH]ccc21 ZINC001240096212 1056322240 /nfs/dbraw/zinc/32/22/40/1056322240.db2.gz GFWJJYUZCWNFMS-UHFFFAOYSA-N 0 3 319.408 4.211 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)NC[C@H]2N(C)CCCC2(C)C)cc1 ZINC001543944371 1056332232 /nfs/dbraw/zinc/33/22/32/1056332232.db2.gz HWNJQPIUVIKUJB-CRAIPNDOSA-N 0 3 316.489 4.050 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](O)c2ccc3ccccc3c2)o1 ZINC000765880089 1056351024 /nfs/dbraw/zinc/35/10/24/1056351024.db2.gz KLKNSMLSMXNYCK-LIRRHRJNSA-N 0 3 309.409 4.379 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1C[C@H](C)C[C@@H](C)C1 ZINC000302800327 1056360710 /nfs/dbraw/zinc/36/07/10/1056360710.db2.gz SYOHFQYVAKADHN-BZUAXINKSA-N 0 3 302.462 4.115 20 0 DIADHN COc1ccc(OCCCN(C)[C@H](C)c2ccccc2F)cc1 ZINC000302947771 1056366285 /nfs/dbraw/zinc/36/62/85/1056366285.db2.gz NDGBCIINXFTSKO-OAHLLOKOSA-N 0 3 317.404 4.296 20 0 DIADHN Fc1cc(-c2ccc3n[nH]cc3c2)ccc1CN1CCCCC1 ZINC001240214953 1056374104 /nfs/dbraw/zinc/37/41/04/1056374104.db2.gz NCMGQOJXDOLHQP-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC001544164056 1056374791 /nfs/dbraw/zinc/37/47/91/1056374791.db2.gz YYWMZXHIIVKNER-IBGZPJMESA-N 0 3 320.452 4.036 20 0 DIADHN CCCC[C@@H](CC)C(=O)N(CCN1CCCC1)c1ccccc1 ZINC001544204634 1056380968 /nfs/dbraw/zinc/38/09/68/1056380968.db2.gz PQFGZXPMNFIKPM-GOSISDBHSA-N 0 3 316.489 4.332 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC[C@@H]3C(C)C)co2)cc1 ZINC000303670012 1056399194 /nfs/dbraw/zinc/39/91/94/1056399194.db2.gz JJKMNFKRCHAWMD-GOSISDBHSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@H](C(=O)N1CCCCCc2ccccc21)N1CCCCCC1 ZINC001544296689 1056403929 /nfs/dbraw/zinc/40/39/29/1056403929.db2.gz AGBXXHNULKFBCN-QGZVFWFLSA-N 0 3 314.473 4.011 20 0 DIADHN Cc1ccc(CN2CCC[C@H](C(=O)c3ccc(F)cc3)C2)cc1 ZINC000303740741 1056410253 /nfs/dbraw/zinc/41/02/53/1056410253.db2.gz IJXGSFZMPFFOSE-SFHVURJKSA-N 0 3 311.400 4.229 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3cnn(C)c3c2)cc1F ZINC001240309851 1056421339 /nfs/dbraw/zinc/42/13/39/1056421339.db2.gz AFSOAKLFCCGYHU-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CC[C@@H](CN1CCC[C@@H]1c1cccc(Br)c1)OC ZINC001615200958 1056476159 /nfs/dbraw/zinc/47/61/59/1056476159.db2.gz RJOIYEMFJNKXHE-LSDHHAIUSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@H]1CCCCN1c1cccc(N[C@@H]2CCCc3cccnc32)n1 ZINC001168656907 1056447980 /nfs/dbraw/zinc/44/79/80/1056447980.db2.gz WBUBTTWSVIXVHB-DOTOQJQBSA-N 0 3 322.456 4.345 20 0 DIADHN CC(C)n1cnc2cc(-c3cnccc3/C=C/N(C)C)ccc21 ZINC001240372938 1056458819 /nfs/dbraw/zinc/45/88/19/1056458819.db2.gz UFNKMISYNMRRBV-CSKARUKUSA-N 0 3 306.413 4.212 20 0 DIADHN Cc1ncc(CN[C@H](c2cccc(C(F)(F)F)c2)C(C)C)cn1 ZINC000338709096 1056460127 /nfs/dbraw/zinc/46/01/27/1056460127.db2.gz PWQADEBSMCVVSJ-INIZCTEOSA-N 0 3 323.362 4.291 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N(CCN(C)C)C1CCCCC1 ZINC001614982359 1056461095 /nfs/dbraw/zinc/46/10/95/1056461095.db2.gz INCNAUAQGMQYSF-QGZVFWFLSA-N 0 3 310.526 4.316 20 0 DIADHN CC[C@H](CNCc1cc(F)ccc1F)Oc1cccc(F)c1 ZINC001620827034 1056464046 /nfs/dbraw/zinc/46/40/46/1056464046.db2.gz BLRYBRXCJBGDGU-OAHLLOKOSA-N 0 3 309.331 4.051 20 0 DIADHN Cc1cc2cccnc2c(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240386175 1056466197 /nfs/dbraw/zinc/46/61/97/1056466197.db2.gz DKTOLERTWPHZPV-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(Cc3ccccc3)cc2)c1 ZINC001240385055 1056466452 /nfs/dbraw/zinc/46/64/52/1056466452.db2.gz XNJUMHIALPQLSO-UHFFFAOYSA-N 0 3 302.421 4.401 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1ccc(SC)cc1 ZINC001615119773 1056468429 /nfs/dbraw/zinc/46/84/29/1056468429.db2.gz DIVRXKCSJURXDP-UHFFFAOYSA-N 0 3 308.491 4.105 20 0 DIADHN Cc1ccc(NC(=O)c2cc3ccccc3o2)c(CN(C)C)c1 ZINC000072839873 1056471838 /nfs/dbraw/zinc/47/18/38/1056471838.db2.gz NYWISOLSSXUWDF-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)NCCCC2CCCCC2)cc1 ZINC001168666623 1056476885 /nfs/dbraw/zinc/47/68/85/1056476885.db2.gz KJEAHSPJCOZWNC-QGZVFWFLSA-N 0 3 316.489 4.122 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCCN(c2ccccc2)CC1 ZINC001615219234 1056477381 /nfs/dbraw/zinc/47/73/81/1056477381.db2.gz GBXYWVLLUDCBDO-UHFFFAOYSA-N 0 3 314.860 4.361 20 0 DIADHN CC[C@H](CN(Cc1ccc(Cl)c(Cl)c1)C1CC1)OC ZINC001615219241 1056477903 /nfs/dbraw/zinc/47/79/03/1056477903.db2.gz GHZAGOGPMFREEC-CYBMUJFWSA-N 0 3 302.245 4.383 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1CCCC1CCOCC1 ZINC000765921237 1056482990 /nfs/dbraw/zinc/48/29/90/1056482990.db2.gz RGMMYUAUNMUCOG-UWVAXJGDSA-N 0 3 317.473 4.044 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCC(Oc2ccccc2)CC1 ZINC001615252176 1056485392 /nfs/dbraw/zinc/48/53/92/1056485392.db2.gz URAPXCRFZZSBAW-ZDUSSCGKSA-N 0 3 314.256 4.114 20 0 DIADHN Fc1ccc(CN(CCOCC(F)F)Cc2ccccc2)cc1 ZINC001615313917 1056492545 /nfs/dbraw/zinc/49/25/45/1056492545.db2.gz NWKWGVWYNRSQSW-UHFFFAOYSA-N 0 3 323.358 4.110 20 0 DIADHN CC(C)CCn1cc(-c2ccc(CN3CCCC3)c(F)c2)cn1 ZINC001240420884 1056496648 /nfs/dbraw/zinc/49/66/48/1056496648.db2.gz GMYRGTDBANSBHF-UHFFFAOYSA-N 0 3 315.436 4.331 20 0 DIADHN CCCN(Cc1ccn(-c2c(F)cccc2F)n1)CC(C)C ZINC001615337843 1056497797 /nfs/dbraw/zinc/49/77/97/1056497797.db2.gz OSVGBPJCOLKRMA-UHFFFAOYSA-N 0 3 307.388 4.019 20 0 DIADHN CCc1onc(C)c1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001615363821 1056499363 /nfs/dbraw/zinc/49/93/63/1056499363.db2.gz XITOABAEPZBPDK-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc(F)nc2C)cc1 ZINC000785454608 1056506184 /nfs/dbraw/zinc/50/61/84/1056506184.db2.gz PXJCWKQYQCDKAD-GFCCVEGCSA-N 0 3 304.434 4.492 20 0 DIADHN COc1ccc(F)c(F)c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001240438360 1056509187 /nfs/dbraw/zinc/50/91/87/1056509187.db2.gz MSBFHRROVXJOKM-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1CCOCCC(C)C ZINC001615446081 1056509553 /nfs/dbraw/zinc/50/95/53/1056509553.db2.gz SENDJWBOFZJRCY-SFHVURJKSA-N 0 3 305.462 4.285 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001615451503 1056514287 /nfs/dbraw/zinc/51/42/87/1056514287.db2.gz XOBKZOZQYDFWCA-IYBDPMFKSA-N 0 3 309.457 4.124 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1ccnn1C1CCC1 ZINC000921816186 1056514473 /nfs/dbraw/zinc/51/44/73/1056514473.db2.gz GHOBTOZNEWAUCN-ORAYPTAESA-N 0 3 313.420 4.160 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2ccc(OC)c(Cl)c2)C1 ZINC001615481279 1056516950 /nfs/dbraw/zinc/51/69/50/1056516950.db2.gz LDHICOKIGCRDBB-CQSZACIVSA-N 0 3 313.894 4.456 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCN(CCC2CCCC2)CC1 ZINC001615474207 1056517803 /nfs/dbraw/zinc/51/78/03/1056517803.db2.gz HTOMLROVBLZFHF-OAHLLOKOSA-N 0 3 322.443 4.224 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)OCc2ccc(C)cc2C)o1 ZINC001585276635 1056522356 /nfs/dbraw/zinc/52/23/56/1056522356.db2.gz BXQFISTZEOUGEP-UHFFFAOYSA-N 0 3 315.413 4.095 20 0 DIADHN Cc1nsc(C)c1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC001615507639 1056522388 /nfs/dbraw/zinc/52/23/88/1056522388.db2.gz DPJKNAKNYSYBOI-LLVKDONJSA-N 0 3 308.878 4.476 20 0 DIADHN Cc1cc(-c2noc(CN3CCCC[C@H]3C(C)C)n2)ccc1F ZINC001615519413 1056527066 /nfs/dbraw/zinc/52/70/66/1056527066.db2.gz GQILHHJWPNXDNC-INIZCTEOSA-N 0 3 317.408 4.195 20 0 DIADHN C[C@@H]1CN([C@@H]2C=CCCCCC2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001615524535 1056528132 /nfs/dbraw/zinc/52/81/32/1056528132.db2.gz UGHCLZTWRZPRMD-BRWVUGGUSA-N 0 3 322.493 4.205 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1C[C@H](C)CCC[C@H]1C ZINC001615543256 1056533976 /nfs/dbraw/zinc/53/39/76/1056533976.db2.gz HABNJHAFQVYHAR-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)(N[C@@H]1Cc2cccc(Cl)c2C1)C(=O)c1ccccc1 ZINC001168679877 1056537301 /nfs/dbraw/zinc/53/73/01/1056537301.db2.gz POMRVGZIULWPSD-OAHLLOKOSA-N 0 3 313.828 4.058 20 0 DIADHN CCc1ccc(CN2CCN(CCC3=CCCCC3)CC2)s1 ZINC001615583606 1056540091 /nfs/dbraw/zinc/54/00/91/1056540091.db2.gz MVQNBZJGHFYCRG-UHFFFAOYSA-N 0 3 318.530 4.319 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001545003524 1056543740 /nfs/dbraw/zinc/54/37/40/1056543740.db2.gz LHCQFRSOESVZCC-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN Fc1cc(-c2cnn(CC3CC3)c2)ccc1CN1CCCCC1 ZINC001240515213 1056546019 /nfs/dbraw/zinc/54/60/19/1056546019.db2.gz GIURBFPFAROPSG-UHFFFAOYSA-N 0 3 313.420 4.085 20 0 DIADHN Clc1cccc2c1C[C@H](NCc1cc(-c3ccccc3)no1)C2 ZINC001168685320 1056551770 /nfs/dbraw/zinc/55/17/70/1056551770.db2.gz OMCWWTUIEHNERK-OAHLLOKOSA-N 0 3 324.811 4.252 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1(C)C ZINC001615650997 1056554280 /nfs/dbraw/zinc/55/42/80/1056554280.db2.gz WMHXAGQWRNEGJS-IAQYHMDHSA-N 0 3 317.260 4.024 20 0 DIADHN O[C@H](CN1CCC2(CCCC2)C1)c1ccc(Cl)c(Cl)c1 ZINC001615645032 1056553133 /nfs/dbraw/zinc/55/31/33/1056553133.db2.gz VXCIUJZSLJBLRB-OAHLLOKOSA-N 0 3 314.256 4.293 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(Cc2csc(C)c2)CC1 ZINC001615668951 1056558467 /nfs/dbraw/zinc/55/84/67/1056558467.db2.gz PDFFXYBCHXQDKN-LJQANCHMSA-N 0 3 314.498 4.325 20 0 DIADHN CCC[C@@]1(C)CCCN(Cc2cc(C(=O)OC)oc2CC)C1 ZINC001615668159 1056559963 /nfs/dbraw/zinc/55/99/63/1056559963.db2.gz ACEMNNOOLGIJJI-SFHVURJKSA-N 0 3 307.434 4.031 20 0 DIADHN CCC[C@]1(C)CCCN([C@H]2CCN(c3ccc(C)cc3)C2=O)C1 ZINC001615668817 1056560143 /nfs/dbraw/zinc/56/01/43/1056560143.db2.gz MQNMEUAIQPMPOI-AZUAARDMSA-N 0 3 314.473 4.003 20 0 DIADHN C[C@@]1(CN[C@H]2CCc3cc(Cl)cc(Cl)c32)CCCO1 ZINC000677655049 1056561354 /nfs/dbraw/zinc/56/13/54/1056561354.db2.gz OQPMBEXXLFROAG-ZFWWWQNUSA-N 0 3 300.229 4.139 20 0 DIADHN CCC[C@]1(C)CCCN(Cc2cnn(Cc3ccccc3)c2)C1 ZINC001615674640 1056561845 /nfs/dbraw/zinc/56/18/45/1056561845.db2.gz SWNSCBOYHKGGJC-HXUWFJFHSA-N 0 3 311.473 4.334 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(CC)cn2)C12CCCCC2 ZINC001615720744 1056566607 /nfs/dbraw/zinc/56/66/07/1056566607.db2.gz NGRJTCVWFZKOJH-RTBURBONSA-N 0 3 316.489 4.204 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(Cc2ccc3c[nH]nc3c2)C1 ZINC001615713895 1056568363 /nfs/dbraw/zinc/56/83/63/1056568363.db2.gz QICDWBXPSUJVLH-BFUOFWGJSA-N 0 3 309.388 4.285 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@H](CC(C)C)C1 ZINC001615728228 1056571200 /nfs/dbraw/zinc/57/12/00/1056571200.db2.gz MOFGUUAXIJSFDY-HUUCEWRRSA-N 0 3 322.880 4.343 20 0 DIADHN CC[C@H](C)[C@H](C(=O)OC)N1CC[C@@H](c2ccccc2)[C@@H](CC)C1 ZINC001615811418 1056577412 /nfs/dbraw/zinc/57/74/12/1056577412.db2.gz GBXYTJQTXLOSHH-RNIPGJKVSA-N 0 3 317.473 4.090 20 0 DIADHN CC[C@H](C)CCCCC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001585552935 1056578097 /nfs/dbraw/zinc/57/80/97/1056578097.db2.gz VHESPDYHDVEWRK-ZWOKBUDYSA-N 0 3 318.505 4.401 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@H](O)c1ccccc1C ZINC000765949788 1056580817 /nfs/dbraw/zinc/58/08/17/1056580817.db2.gz CVUBWNHPJOXMLW-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@H](O)c1ccccc1C ZINC000765949783 1056581794 /nfs/dbraw/zinc/58/17/94/1056581794.db2.gz CVUBWNHPJOXMLW-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN Clc1ccccc1CN1CCN([C@H]2C=CCCCCC2)CC1 ZINC001615861906 1056588137 /nfs/dbraw/zinc/58/81/37/1056588137.db2.gz QJJLKMWHEQDKNL-SFHVURJKSA-N 0 3 318.892 4.347 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C(C)C)nc2C)C12CCC2 ZINC001615871098 1056590492 /nfs/dbraw/zinc/59/04/92/1056590492.db2.gz RJXJDIQKFPJSKX-RTBURBONSA-N 0 3 316.489 4.293 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)C1CCC(C)(C)CC1 ZINC001615871251 1056590587 /nfs/dbraw/zinc/59/05/87/1056590587.db2.gz VVTBWHNMENTVKL-UHFFFAOYSA-N 0 3 308.853 4.179 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CCC(CCC(C)C)CC1 ZINC001615900786 1056593369 /nfs/dbraw/zinc/59/33/69/1056593369.db2.gz RCLUCMJQVJNATH-UHFFFAOYSA-N 0 3 321.461 4.277 20 0 DIADHN COc1cc(C)nc(CN2C[C@@H]3CCCC[C@@]32c2ccccc2)c1 ZINC001615910264 1056595431 /nfs/dbraw/zinc/59/54/31/1056595431.db2.gz NXJUDPNQXLXKGW-GHTZIAJQSA-N 0 3 322.452 4.300 20 0 DIADHN c1ccc(CCC[C@H](NCC2=NOCC2)c2ccccc2)cc1 ZINC001615929681 1056596873 /nfs/dbraw/zinc/59/68/73/1056596873.db2.gz XESBKRPOKPOCNL-FQEVSTJZSA-N 0 3 308.425 4.117 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2ccccc2)C2CCCC2)c1 ZINC001616019227 1056607345 /nfs/dbraw/zinc/60/73/45/1056607345.db2.gz CWLCLJKANWQQLO-OAQYLSRUSA-N 0 3 322.452 4.455 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCSC(F)(F)F)CCC2 ZINC001616026654 1056607552 /nfs/dbraw/zinc/60/75/52/1056607552.db2.gz TVQFNZUOECVANG-JTQLQIEISA-N 0 3 310.410 4.014 20 0 DIADHN CCCc1cc(C(=O)NCCN2CCCC[C@@H]2CC)sc1C ZINC001585717862 1056608513 /nfs/dbraw/zinc/60/85/13/1056608513.db2.gz XZLOIGIQWRXABX-INIZCTEOSA-N 0 3 322.518 4.003 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(-c3ccc(O)cc3)cc2)c1 ZINC001240606826 1056611714 /nfs/dbraw/zinc/61/17/14/1056611714.db2.gz IXVKENYGZUBACI-UHFFFAOYSA-N 0 3 304.393 4.183 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCCC4(CCC4)O3)CCCC2)n1 ZINC001616153965 1056613768 /nfs/dbraw/zinc/61/37/68/1056613768.db2.gz ORDRLYLFMRONCY-OAHLLOKOSA-N 0 3 320.502 4.302 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(F)ccc1OC(F)F ZINC001616155547 1056614244 /nfs/dbraw/zinc/61/42/44/1056614244.db2.gz VRMYJTLRPPDQKB-NSHDSACASA-N 0 3 321.408 4.391 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(O)c(OC)c2)ccc1F ZINC001240617816 1056617016 /nfs/dbraw/zinc/61/70/16/1056617016.db2.gz ROUKJFVDSNWFQA-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CNC(=O)C1(NCc2ccc(C(C)C)cc2C(C)C)CCCC1 ZINC001616197840 1056619559 /nfs/dbraw/zinc/61/95/59/1056619559.db2.gz DVFXVSXJYGLNLB-UHFFFAOYSA-N 0 3 316.489 4.082 20 0 DIADHN CCCCn1cc(CN[C@H]2c3ccccc3CC[C@H]2C)c(C)n1 ZINC000678774538 1056623067 /nfs/dbraw/zinc/62/30/67/1056623067.db2.gz LDONTJWGXRFIAD-FOIQADDNSA-N 0 3 311.473 4.405 20 0 DIADHN FC(F)COCCN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001616323529 1056634771 /nfs/dbraw/zinc/63/47/71/1056634771.db2.gz VOTHNVXGQIODMX-SXSDINLZSA-N 0 3 309.400 4.226 20 0 DIADHN FC(F)COCCN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC001616323526 1056634904 /nfs/dbraw/zinc/63/49/04/1056634904.db2.gz VOTHNVXGQIODMX-DVQDXYAYSA-N 0 3 309.400 4.226 20 0 DIADHN CCC[C@H](C)CCCN1CCO[C@@]2(CCc3ccccc32)C1 ZINC001616374467 1056645417 /nfs/dbraw/zinc/64/54/17/1056645417.db2.gz GEHIDNGSFMYZRW-PXNSSMCTSA-N 0 3 301.474 4.377 20 0 DIADHN CCC[C@H](C)CCCN1CCO[C@]2(CCc3ccccc32)C1 ZINC001616374466 1056645707 /nfs/dbraw/zinc/64/57/07/1056645707.db2.gz GEHIDNGSFMYZRW-FXAWDEMLSA-N 0 3 301.474 4.377 20 0 DIADHN CCO[C@H](CCNCc1ncc(C(C)C)s1)C1CCCC1 ZINC001620830911 1056646756 /nfs/dbraw/zinc/64/67/56/1056646756.db2.gz CTPRXJXNESQRFS-OAHLLOKOSA-N 0 3 310.507 4.342 20 0 DIADHN Oc1ccc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001240697396 1056648144 /nfs/dbraw/zinc/64/81/44/1056648144.db2.gz HMXIRNCTGDPCLN-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN COc1cccc(CN(C)Cc2cccc3ccccc32)c1OC ZINC000015300001 1056649055 /nfs/dbraw/zinc/64/90/55/1056649055.db2.gz QFXHGGKVKQIKNB-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN CCCCCC[C@H](C)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001128189466 1056649404 /nfs/dbraw/zinc/64/94/04/1056649404.db2.gz MVFMOAUQJNVMOC-SCTDSRPQSA-N 0 3 318.505 4.401 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@H]2C[C@@H]2c2ccccc2)cs1 ZINC000338773848 1056651199 /nfs/dbraw/zinc/65/11/99/1056651199.db2.gz GJJXAXBAAFENEO-BQGCOEIASA-N 0 3 316.470 4.132 20 0 DIADHN CO[C@@H](CN1CC=C(c2ccccc2Cl)CC1)C1CCC1 ZINC001616418900 1056653658 /nfs/dbraw/zinc/65/36/58/1056653658.db2.gz LLKXHPDRUOWLIT-SFHVURJKSA-N 0 3 305.849 4.244 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(=Cc3cccc(F)c3)CC2)O1 ZINC001616457917 1056660253 /nfs/dbraw/zinc/66/02/53/1056660253.db2.gz YJSWGVYQJHDQLT-SFHVURJKSA-N 0 3 303.421 4.263 20 0 DIADHN COc1c(F)ccc(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001240720786 1056661490 /nfs/dbraw/zinc/66/14/90/1056661490.db2.gz RWENMMBWEPXSDI-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CC[C@@H]2[C@H](C1)C2(F)F ZINC001616467660 1056662840 /nfs/dbraw/zinc/66/28/40/1056662840.db2.gz SQKBCJPUDYECHB-WQAKAFBOSA-N 0 3 305.290 4.353 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(OCc3ccccc3)cc2)c1 ZINC001240748551 1056678751 /nfs/dbraw/zinc/67/87/51/1056678751.db2.gz BLNJODNWYQKFRH-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CCC[C@H]1CN([C@H](C)c2ccncc2)CCN1Cc1ccccc1 ZINC001616577591 1056681505 /nfs/dbraw/zinc/68/15/05/1056681505.db2.gz YWSFTYKATYKVQC-NQIIRXRSSA-N 0 3 323.484 4.129 20 0 DIADHN c1ccc([C@H](NCC2=NOCC2)[C@@H]2CCCc3ccccc32)cc1 ZINC001616615709 1056687564 /nfs/dbraw/zinc/68/75/64/1056687564.db2.gz KJSHCSGPOGABFF-RTWAWAEBSA-N 0 3 320.436 4.214 20 0 DIADHN Cc1cccnc1CN[C@H](COc1ccccc1F)C(C)(C)C ZINC001616642802 1056694131 /nfs/dbraw/zinc/69/41/31/1056694131.db2.gz BDTLOPZRHCRGEF-GOSISDBHSA-N 0 3 316.420 4.112 20 0 DIADHN COc1ccc(-c2ccc([O-])c(F)c2)cc1C[NH+]1CCCCC1 ZINC001240790489 1056701713 /nfs/dbraw/zinc/70/17/13/1056701713.db2.gz MJSDSIOXFGBPJU-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COC(=O)/C(C)=C\CN[C@H](CCc1ccccc1)c1ccccc1 ZINC001616699158 1056703293 /nfs/dbraw/zinc/70/32/93/1056703293.db2.gz HLIGXBAUSICSBO-HQSFBDMMSA-N 0 3 323.436 4.069 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCO[C@H]1CCCC[C@@H]1C ZINC001616691942 1056703810 /nfs/dbraw/zinc/70/38/10/1056703810.db2.gz RVBUIKOZEURGOC-ZQIUZPCESA-N 0 3 309.425 4.080 20 0 DIADHN Cc1cnc(CCNC2(c3ccc(F)cc3F)CCC2)c(C)c1 ZINC001616728324 1056708596 /nfs/dbraw/zinc/70/85/96/1056708596.db2.gz CCOLHNCHMLUSCH-UHFFFAOYSA-N 0 3 316.395 4.188 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)cs1)c1ccc(Cl)cc1 ZINC001616755247 1056711957 /nfs/dbraw/zinc/71/19/57/1056711957.db2.gz WFGKPLHNAHWBME-HNNXBMFYSA-N 0 3 323.845 4.429 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(C)cc1)C(=O)Nc1ccc(C)cc1 ZINC001616753361 1056712115 /nfs/dbraw/zinc/71/21/15/1056712115.db2.gz INWZVJQVEXAZJM-VQIMIIECSA-N 0 3 310.441 4.371 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616816371 1056721505 /nfs/dbraw/zinc/72/15/05/1056721505.db2.gz JKVLVXDGNAHJKG-CHIMOYNISA-N 0 3 323.305 4.115 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CNCc1cc[nH]c(=O)c1 ZINC000922003070 1056727944 /nfs/dbraw/zinc/72/79/44/1056727944.db2.gz ZCAPBWIELRVQMP-UHFFFAOYSA-N 0 3 322.408 4.017 20 0 DIADHN COC[C@@H](NC[C@H]1[C@H](c2ccccc2)C1(F)F)c1ccccc1 ZINC001616866121 1056730308 /nfs/dbraw/zinc/73/03/08/1056730308.db2.gz WWKZSHOOSKJIME-KSZLIROESA-N 0 3 317.379 4.013 20 0 DIADHN Cc1nc(N2CCN(CCC3CCCCCC3)CC2)sc1C ZINC001616893253 1056733998 /nfs/dbraw/zinc/73/39/98/1056733998.db2.gz YFFBGYZYYKYYMW-UHFFFAOYSA-N 0 3 321.534 4.242 20 0 DIADHN CC(C)Oc1ccc([C@@H](CN[C@@H](C)c2ccco2)N(C)C)cc1 ZINC000672617535 1056742308 /nfs/dbraw/zinc/74/23/08/1056742308.db2.gz RAFDATCFGSMYQT-MAUKXSAKSA-N 0 3 316.445 4.020 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1C ZINC000672616621 1056743463 /nfs/dbraw/zinc/74/34/63/1056743463.db2.gz KVDWWGCPVRSBDC-JXFKEZNVSA-N 0 3 314.448 4.396 20 0 DIADHN COC(=O)/C(C)=C/CN1CCC[C@@H]1c1ccc(C(C)C)cc1 ZINC001616935607 1056744271 /nfs/dbraw/zinc/74/42/71/1056744271.db2.gz GCRQVCSSVFBFMY-HAZIXKIPSA-N 0 3 301.430 4.066 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cc(C)ccc2C)s1 ZINC001616942267 1056744372 /nfs/dbraw/zinc/74/43/72/1056744372.db2.gz JFCZAGDRMCNORC-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN Clc1cccc(C2(NCc3ccc(-n4cccn4)cc3)CC2)c1 ZINC000077467840 1056746018 /nfs/dbraw/zinc/74/60/18/1056746018.db2.gz YCGSDTOCGCVYDJ-UHFFFAOYSA-N 0 3 323.827 4.305 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCOC(C)(C)C)cc1 ZINC001616981079 1056752537 /nfs/dbraw/zinc/75/25/37/1056752537.db2.gz IPIPXBZOIAVIDW-CQSZACIVSA-N 0 3 303.368 4.393 20 0 DIADHN CC[C@@H](N[C@H]1CSC1(C)C)c1ccccc1OC(F)F ZINC000338790754 1056756467 /nfs/dbraw/zinc/75/64/67/1056756467.db2.gz OUARKTCIXNGMDD-YPMHNXCESA-N 0 3 301.402 4.223 20 0 DIADHN C[C@H](NC(=O)CC1CCCCCC1)[C@H](c1ccccc1)N(C)C ZINC001128297790 1056757978 /nfs/dbraw/zinc/75/79/78/1056757978.db2.gz AMRJWRQYEHSAMZ-OXJNMPFZSA-N 0 3 316.489 4.155 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1ccc(C)c(C(=O)OC)c1 ZINC001617013934 1056758893 /nfs/dbraw/zinc/75/88/93/1056758893.db2.gz JWRWFCZVOLDPRZ-IBGZPJMESA-N 0 3 323.436 4.119 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1cccc2nsnc21 ZINC001617015839 1056761031 /nfs/dbraw/zinc/76/10/31/1056761031.db2.gz VNPPAVLQVZGMBG-MRXNPFEDSA-N 0 3 309.438 4.028 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NC(=O)c2cccc(CN(C)C)c2)CC1 ZINC001617057897 1056768854 /nfs/dbraw/zinc/76/88/54/1056768854.db2.gz NHOFBYAUXQVYOV-HKUYNNGSSA-N 0 3 316.489 4.083 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H]1CCC[C@H](C(C)C)CC1 ZINC001617059110 1056768896 /nfs/dbraw/zinc/76/88/96/1056768896.db2.gz ZHOQRTWSVWVGRS-ROUUACIJSA-N 0 3 310.526 4.220 20 0 DIADHN Cc1cn(C)nc1CN[C@@H](C)C(c1ccccc1)c1ccccc1 ZINC001617088133 1056773366 /nfs/dbraw/zinc/77/33/66/1056773366.db2.gz GAUCXESUKSIXBR-KRWDZBQOSA-N 0 3 319.452 4.039 20 0 DIADHN CCc1ccc([C@@H](COC)NCCCc2cccc(C)c2)o1 ZINC001617096134 1056775409 /nfs/dbraw/zinc/77/54/09/1056775409.db2.gz NDGNCYCMNOBVSK-GOSISDBHSA-N 0 3 301.430 4.060 20 0 DIADHN Fc1cc(CN[C@@H](Cc2ccccc2)c2cccs2)ccn1 ZINC001617095625 1056775763 /nfs/dbraw/zinc/77/57/63/1056775763.db2.gz IOTMJLIFRLSKNG-INIZCTEOSA-N 0 3 312.413 4.356 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)NC)cc1)c1ccc(Cl)s1 ZINC001617090147 1056775905 /nfs/dbraw/zinc/77/59/05/1056775905.db2.gz ZXFCIIGROSTDBF-ZDUSSCGKSA-N 0 3 322.861 4.002 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(COC)cc2)ccc1F ZINC001240954510 1056780785 /nfs/dbraw/zinc/78/07/85/1056780785.db2.gz IKJDPQVJDGDMKP-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@H]1COC2(CCCCC2)O1 ZINC001617183218 1056789632 /nfs/dbraw/zinc/78/96/32/1056789632.db2.gz UGTQHPSUFWUKSD-UMEYKSNOSA-N 0 3 315.457 4.098 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN(C)Cc2ccc(F)c(Cl)c2)c1 ZINC001617198156 1056791658 /nfs/dbraw/zinc/79/16/58/1056791658.db2.gz IZVHWCPNKKJKSA-GOSISDBHSA-N 0 3 321.823 4.261 20 0 DIADHN CSCc1cc(F)ccc1CNCc1cccc2c1CCC2 ZINC001620834087 1056795931 /nfs/dbraw/zinc/79/59/31/1056795931.db2.gz ZTKMSKIDQSLVDZ-UHFFFAOYSA-N 0 3 315.457 4.467 20 0 DIADHN CC[C@@]1(C)CN(C[C@@H](C)CSc2ccccc2C)CCO1 ZINC001617258505 1056803710 /nfs/dbraw/zinc/80/37/10/1056803710.db2.gz BOAQOZNKEJOIHM-QAPCUYQASA-N 0 3 307.503 4.224 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC3(CCCCCC3)O2)CC(C)(C)O1 ZINC001617258684 1056803963 /nfs/dbraw/zinc/80/39/63/1056803963.db2.gz DCQNXWISKOWXEG-INIZCTEOSA-N 0 3 309.494 4.148 20 0 DIADHN COc1cccc(CN[C@@H](CC(F)(F)F)C(C)C)c1Cl ZINC001617283508 1056807290 /nfs/dbraw/zinc/80/72/90/1056807290.db2.gz KHYMRZADDOHTKC-NSHDSACASA-N 0 3 309.759 4.415 20 0 DIADHN Cc1cc(C)c2c(c1)CN(CCO[C@@H]1CCCC[C@H]1C)CC2 ZINC001617301797 1056810559 /nfs/dbraw/zinc/81/05/59/1056810559.db2.gz IEEDAEQAODYBNB-OXQOHEQNSA-N 0 3 301.474 4.257 20 0 DIADHN Cc1cc(C)cc(CNCc2csc(Br)c2)c1 ZINC000065890851 1056810672 /nfs/dbraw/zinc/81/06/72/1056810672.db2.gz GVYKBUIHPBCHRG-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN COc1cccc(F)c1-c1cccc(CN2CCCC2)c1F ZINC001241013700 1056817859 /nfs/dbraw/zinc/81/78/59/1056817859.db2.gz JOWNWLLILSKWDH-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CC[C@H](NC(=O)CN1CC[C@@H](CC)[C@H]1C)c1ccc(Cl)cc1 ZINC001617361224 1056820372 /nfs/dbraw/zinc/82/03/72/1056820372.db2.gz SKGLUHUZKSPAJJ-CPUCHLNUSA-N 0 3 322.880 4.028 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCC1CCCC1)c1ccccc1 ZINC001617366020 1056822076 /nfs/dbraw/zinc/82/20/76/1056822076.db2.gz FOPFFISIHLOAIO-FQEVSTJZSA-N 0 3 319.452 4.443 20 0 DIADHN C[C@@H](NCc1c2c(nn1C)CCC2)c1ccc(F)c2ccccc21 ZINC000922132176 1056832426 /nfs/dbraw/zinc/83/24/26/1056832426.db2.gz TYAZPHBFNYKAAT-CYBMUJFWSA-N 0 3 323.415 4.052 20 0 DIADHN CCN(CC1CCC1)[C@H](C)C(=O)Nc1ccc2ccccc2c1 ZINC001617483178 1056840842 /nfs/dbraw/zinc/84/08/42/1056840842.db2.gz KSPMPNOCWNSQPF-OAHLLOKOSA-N 0 3 310.441 4.289 20 0 DIADHN Cc1cc(-c2ccnc(CN)c2)ccc1OCc1ccccc1 ZINC001241051590 1056846971 /nfs/dbraw/zinc/84/69/71/1056846971.db2.gz LBOIRUCFFGUMKJ-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](c1ccc(F)cc1)C(C)C ZINC001617538721 1056847138 /nfs/dbraw/zinc/84/71/38/1056847138.db2.gz JHWXXSBZMKHTBM-DNVCBOLYSA-N 0 3 322.468 4.005 20 0 DIADHN CC[C@@H]1Cc2c(Br)cccc2CN1CC1CCC1 ZINC001617561619 1056849541 /nfs/dbraw/zinc/84/95/41/1056849541.db2.gz DDEIVZJBRJZQHH-CQSZACIVSA-N 0 3 308.263 4.386 20 0 DIADHN CCCC[C@@H](CC)C(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC001587056329 1056854870 /nfs/dbraw/zinc/85/48/70/1056854870.db2.gz LJWWTORTSRKCEG-IEBWSBKVSA-N 0 3 316.489 4.156 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3ncccc3Cl)C2)cc1 ZINC001617610544 1056858238 /nfs/dbraw/zinc/85/82/38/1056858238.db2.gz JBPAVQWDXKNBFB-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1Cc1c(C)cc(C)cc1C ZINC001617638789 1056861393 /nfs/dbraw/zinc/86/13/93/1056861393.db2.gz ZHOIPNKENNHLCR-FQEVSTJZSA-N 0 3 317.473 4.310 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1-c1ccc2c(n1)OCCNC2 ZINC001241078556 1056869931 /nfs/dbraw/zinc/86/99/31/1056869931.db2.gz IGALNZZDSGEBGU-UHFFFAOYSA-N 0 3 320.367 4.037 20 0 DIADHN CCC1(CC)[C@H](NCc2nc(C(C)(C)C)cs2)[C@@H](C)[C@@H]1OC ZINC001617736110 1056875780 /nfs/dbraw/zinc/87/57/80/1056875780.db2.gz YAQYDLCABAXNDK-WQVCFCJDSA-N 0 3 324.534 4.370 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H]1CCC2(CCCCCC2)O1 ZINC001617773159 1056879802 /nfs/dbraw/zinc/87/98/02/1056879802.db2.gz BLWBICQDSCPLDU-MRXNPFEDSA-N 0 3 320.477 4.388 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2ncc(-c3ccccc3F)o2)C1 ZINC001617917119 1056901903 /nfs/dbraw/zinc/90/19/03/1056901903.db2.gz GHVFHFTULDODBL-UONOGXRCSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1cccc(-n2ccc(CN3CCCCCCCC3)n2)c1 ZINC001617969636 1056910074 /nfs/dbraw/zinc/91/00/74/1056910074.db2.gz YZMGDMYBHXOKHA-UHFFFAOYSA-N 0 3 301.409 4.168 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cccc(Cl)c2F)C12CCC2 ZINC001618000066 1056912802 /nfs/dbraw/zinc/91/28/02/1056912802.db2.gz DELWRSSQXRLTTQ-TUKIKUTGSA-N 0 3 311.828 4.477 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CN[C@@H]1CCC1(C)C ZINC001618012540 1056913930 /nfs/dbraw/zinc/91/39/30/1056913930.db2.gz RYGYBBVICJKYAJ-MRXNPFEDSA-N 0 3 317.864 4.171 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2nc(C3CCCCC3)cs2)C1(C)C ZINC001618013368 1056914363 /nfs/dbraw/zinc/91/43/63/1056914363.db2.gz WRGUNWCMMANLLV-JCURWCKSSA-N 0 3 322.518 4.340 20 0 DIADHN COc1cc(OC)cc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001241131960 1056914282 /nfs/dbraw/zinc/91/42/82/1056914282.db2.gz PKQILRPWHMTFQE-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCN(C)C2(CCCCC2)C1 ZINC001618026669 1056915369 /nfs/dbraw/zinc/91/53/69/1056915369.db2.gz FWBUZWWOIJBXAU-SFHVURJKSA-N 0 3 322.443 4.366 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(C)c(F)c2)cc1OC ZINC000067120527 1056920646 /nfs/dbraw/zinc/92/06/46/1056920646.db2.gz ZGGSVEZBSXMQHJ-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN CCCCCc1ccc(NC(=O)C2(N(C)C)CCCC2)cc1 ZINC001618176914 1056929826 /nfs/dbraw/zinc/92/98/26/1056929826.db2.gz ZFZNOHINUDULEQ-UHFFFAOYSA-N 0 3 302.462 4.232 20 0 DIADHN CCCCN(Cc1nc(COC(C)C)no1)[C@@H]1CCC[C@@H](C)C1 ZINC001618213613 1056934817 /nfs/dbraw/zinc/93/48/17/1056934817.db2.gz CBCCIKPXGSXYGN-HZPDHXFCSA-N 0 3 323.481 4.175 20 0 DIADHN Fc1cc(F)c(-c2cc(CN3CCCCC3)ccn2)c(F)c1 ZINC001241167051 1056942553 /nfs/dbraw/zinc/94/25/53/1056942553.db2.gz MOZWNMYSJIJSGQ-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC(C)Oc1cc(F)cc(-c2cnccc2/C=C/N(C)C)c1 ZINC001241169200 1056943424 /nfs/dbraw/zinc/94/34/24/1056943424.db2.gz YFMZTJDWFAGGAC-SOFGYWHQSA-N 0 3 300.377 4.207 20 0 DIADHN CCSCCN[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000314780762 1056943658 /nfs/dbraw/zinc/94/36/58/1056943658.db2.gz KWZVWVJSJRJPGM-GOSISDBHSA-N 0 3 301.455 4.127 20 0 DIADHN Fc1cc(F)c(-c2cncc(CN3CCCCC3)c2)c(F)c1 ZINC001241171739 1056944495 /nfs/dbraw/zinc/94/44/95/1056944495.db2.gz GAWSBENBBYDGAR-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC1(C)CN([C@H]2C[C@H]2c2cccc(F)c2)Cc2ccccc2O1 ZINC001618289150 1056946092 /nfs/dbraw/zinc/94/60/92/1056946092.db2.gz XLXBFNLIAXDFGX-ROUUACIJSA-N 0 3 311.400 4.355 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cccc(O[C@@H]3CCCCO3)c2)n1 ZINC001241210159 1056959929 /nfs/dbraw/zinc/95/99/29/1056959929.db2.gz IVNDTRXNJWOGNQ-GOSISDBHSA-N 0 3 308.381 4.444 20 0 DIADHN Cc1nc(-c2cccc(O[C@@H]3CCCCO3)c2)cc2[nH]ccc21 ZINC001241214428 1056963572 /nfs/dbraw/zinc/96/35/72/1056963572.db2.gz PISRUMSRGZWFFN-LJQANCHMSA-N 0 3 308.381 4.444 20 0 DIADHN CN1CCC(Oc2ccnc(-c3ccc(Cl)c(F)c3)c2)CC1 ZINC001241231371 1056970289 /nfs/dbraw/zinc/97/02/89/1056970289.db2.gz QQRQGRDBPZCHSM-UHFFFAOYSA-N 0 3 320.795 4.014 20 0 DIADHN Clc1ccc2c(c1)OC[C@H]2N[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168715970 1056984948 /nfs/dbraw/zinc/98/49/48/1056984948.db2.gz RJEUKQWIHBJEBK-MLGOLLRUSA-N 0 3 320.219 4.184 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCC3(CCCCC3)CC2)o1 ZINC000316847306 1056991343 /nfs/dbraw/zinc/99/13/43/1056991343.db2.gz RNZHJSHFWYLZEU-AWEZNQCLSA-N 0 3 305.418 4.174 20 0 DIADHN C[C@H](CNCc1csc(-c2cccs2)n1)c1ccccn1 ZINC000657533164 1056992112 /nfs/dbraw/zinc/99/21/12/1056992112.db2.gz PXLRNTLEKSMWEA-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@H](CNCc1csc(-c2ccsc2)n1)c1ccccn1 ZINC000657534297 1056992239 /nfs/dbraw/zinc/99/22/39/1056992239.db2.gz WMTBXVHNHTWMJE-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN CCOc1ccc(-c2cnccc2CN2CCCCC2)c(F)c1 ZINC001241276659 1056992711 /nfs/dbraw/zinc/99/27/11/1056992711.db2.gz FKIHIFXNVDBUJS-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cnn(C3CCC3)c1)CCC2 ZINC000922296055 1056999514 /nfs/dbraw/zinc/99/95/14/1056999514.db2.gz POAYDQQGXHNCIY-SFHVURJKSA-N 0 3 315.848 4.429 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1csc(-c2ccccn2)n1 ZINC000117431970 1056999680 /nfs/dbraw/zinc/99/96/80/1056999680.db2.gz UCMNGXONDRCAIX-INIZCTEOSA-N 0 3 301.459 4.216 20 0 DIADHN [O-]c1ccc(F)c(-c2cccc(C[NH+]3CCCCC3)c2)c1F ZINC001241292658 1056999738 /nfs/dbraw/zinc/99/97/38/1056999738.db2.gz GHEVJLLKIFSYOW-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2c(F)ccc([O-])c2F)ccc1F ZINC001241295376 1057001959 /nfs/dbraw/zinc/00/19/59/1057001959.db2.gz OYADPJINEFFDMG-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2c(F)ccc([O-])c2F)ccc1OC ZINC001241295218 1057002170 /nfs/dbraw/zinc/00/21/70/1057002170.db2.gz JNENQGZKMPQBPS-UHFFFAOYSA-N 0 3 321.367 4.188 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H]1CCc2ccc(O)cc21 ZINC000657694656 1057006056 /nfs/dbraw/zinc/00/60/56/1057006056.db2.gz UXRLCEWIFCKSLG-QGZVFWFLSA-N 0 3 321.342 4.497 20 0 DIADHN CN1CC=C(c2nc(-c3ccccc3)nc3ccccc32)CC1 ZINC001241309307 1057007717 /nfs/dbraw/zinc/00/77/17/1057007717.db2.gz PYIUOAJJSPAHRX-UHFFFAOYSA-N 0 3 301.393 4.016 20 0 DIADHN CCOc1ccc(F)c(F)c1-c1ccc2c(N)ccnc2c1 ZINC001241310399 1057008025 /nfs/dbraw/zinc/00/80/25/1057008025.db2.gz AVBKIIKIDVHYSJ-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN COc1cc(C)cc(OC)c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001143145292 1057008108 /nfs/dbraw/zinc/00/81/08/1057008108.db2.gz WVERWCSDNAFLCE-HNNXBMFYSA-N 0 3 321.436 4.116 20 0 DIADHN CCOc1ccc(F)c(F)c1-c1cncc(CN2CCCC2)c1 ZINC001241310691 1057008301 /nfs/dbraw/zinc/00/83/01/1057008301.db2.gz KGMHUSUZNOQYQC-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1c(-c2ccccc2)sc2ncnc(C3=CCN(C)CC3)c12 ZINC001241310920 1057009098 /nfs/dbraw/zinc/00/90/98/1057009098.db2.gz OHVYJEMWAQOHMR-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN Fc1ccc(Oc2ccc(CNCc3cccs3)cn2)cc1 ZINC000069712385 1057011104 /nfs/dbraw/zinc/01/11/04/1057011104.db2.gz NAQBYTBYZMQEAL-UHFFFAOYSA-N 0 3 314.385 4.364 20 0 DIADHN COc1cccc(OC)c1-c1ccc(C2=CCN(C)CC2)cc1 ZINC001241332667 1057017461 /nfs/dbraw/zinc/01/74/61/1057017461.db2.gz NHGYAKOOODPBMK-UHFFFAOYSA-N 0 3 309.409 4.090 20 0 DIADHN CN1CC=C(c2cc(C(F)(F)F)c3cc(F)ccc3n2)CC1 ZINC001241333735 1057018631 /nfs/dbraw/zinc/01/86/31/1057018631.db2.gz ZWFFNCPBVCMJCK-UHFFFAOYSA-N 0 3 310.294 4.112 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(C)cnc2OC)c1F ZINC001241367619 1057029023 /nfs/dbraw/zinc/02/90/23/1057029023.db2.gz QQCMDMULEQWAKD-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Fc1cc(-c2cnc3cccnc3c2)ccc1CN1CCCCC1 ZINC001241385816 1057034014 /nfs/dbraw/zinc/03/40/14/1057034014.db2.gz JNXCARONKFHAST-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1ccc2cc(CN[C@@H](c3ccccn3)C3CC3)ccc2c1 ZINC000922586676 1057036816 /nfs/dbraw/zinc/03/68/16/1057036816.db2.gz ZLEQJNMIMUGSGX-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN Fc1cc(-c2ccc(-n3cccn3)cc2)ccc1CN1CCCC1 ZINC001241396014 1057038238 /nfs/dbraw/zinc/03/82/38/1057038238.db2.gz WGDNQGRDJVAVHY-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN Cc1cc2cc([C@H](C)NCCOc3cccnc3)oc2cc1C ZINC000765996691 1057048799 /nfs/dbraw/zinc/04/87/99/1057048799.db2.gz CMDCBLFEFKVNJR-HNNXBMFYSA-N 0 3 310.397 4.174 20 0 DIADHN CCC1(CC)[C@H](NCc2oc(C(C)C)nc2C)[C@H](C)[C@@H]1OC ZINC000428960209 1057050826 /nfs/dbraw/zinc/05/08/26/1057050826.db2.gz AWUXRMPWMFPDJH-MAZHCROVSA-N 0 3 308.466 4.036 20 0 DIADHN CN(C)C[C@H](NCc1ccccc1C1CC1)c1ccc(F)cc1 ZINC000673611506 1057051173 /nfs/dbraw/zinc/05/11/73/1057051173.db2.gz JETSQXRUWHCIDQ-FQEVSTJZSA-N 0 3 312.432 4.096 20 0 DIADHN COc1ccc(O)c(CNC(C)(C)c2cccc(Cl)c2F)c1 ZINC000186568141 1057051503 /nfs/dbraw/zinc/05/15/03/1057051503.db2.gz MVUFNPQBMWPCLN-UHFFFAOYSA-N 0 3 323.795 4.218 20 0 DIADHN c1nc(CN[C@H](Cc2ccccc2)C2CCCCC2)cs1 ZINC000482129815 1057055136 /nfs/dbraw/zinc/05/51/36/1057055136.db2.gz UCGCEJBVZNXWDE-GOSISDBHSA-N 0 3 300.471 4.424 20 0 DIADHN C[C@H](NCCOc1cccnc1)c1cc(Cl)ccc1Cl ZINC000766000524 1057059162 /nfs/dbraw/zinc/05/91/62/1057059162.db2.gz XUOMWLDYNJHXNC-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN Cn1cc2cccc(-c3ccc(F)c(CN4CCCCC4)c3)c2n1 ZINC001241471646 1057059498 /nfs/dbraw/zinc/05/94/98/1057059498.db2.gz WQVAZPMCUPVVJV-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CCc1cc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)n[nH]1 ZINC000766000292 1057059995 /nfs/dbraw/zinc/05/99/95/1057059995.db2.gz DMTUPTQPOZGGRB-CQSZACIVSA-N 0 3 310.228 4.056 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(-c3ccc(F)cc3)n2)CCS1 ZINC000922841343 1057065932 /nfs/dbraw/zinc/06/59/32/1057065932.db2.gz FWOXYNCPBQZGQL-RISCZKNCSA-N 0 3 322.474 4.323 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3c(c2)NCCO3)ccc1F ZINC001241492627 1057067807 /nfs/dbraw/zinc/06/78/07/1057067807.db2.gz LROYBEYAUUJNHO-UHFFFAOYSA-N 0 3 314.404 4.139 20 0 DIADHN CC(C)OCc1ccc(C2=CCN(Cc3ccccc3)CC2)nc1 ZINC001241502890 1057071394 /nfs/dbraw/zinc/07/13/94/1057071394.db2.gz YEKOOVHASHQSRB-UHFFFAOYSA-N 0 3 322.452 4.296 20 0 DIADHN Cc1csc2cnc(C3=CCN(Cc4ccccc4)CC3)nc12 ZINC001241502328 1057071443 /nfs/dbraw/zinc/07/14/43/1057071443.db2.gz FPGULVMXFLZBLN-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CCc1cc(CN[C@H]2CCCSc3ccc(Cl)cc32)n[nH]1 ZINC000766003008 1057071579 /nfs/dbraw/zinc/07/15/79/1057071579.db2.gz NHLXOLUOPBRVAM-HNNXBMFYSA-N 0 3 321.877 4.342 20 0 DIADHN Fc1cccc2c1ncnc2C1=CCN(Cc2ccccc2)CC1 ZINC001241501691 1057072254 /nfs/dbraw/zinc/07/22/54/1057072254.db2.gz SIWUIUCXJIFNRH-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN c1ccc(CN2CC=C(c3ccc(C4CCC4)nn3)CC2)cc1 ZINC001241502924 1057072294 /nfs/dbraw/zinc/07/22/94/1057072294.db2.gz YSMYUTDGHXQFJU-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN COC(=O)c1cccc(C)c1C1=CCN(Cc2ccccc2)CC1 ZINC001241503817 1057072722 /nfs/dbraw/zinc/07/27/22/1057072722.db2.gz DQIDLPNZSKNKGV-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN CC(C)Oc1cc(C2=CCN(Cc3ccccc3)CC2)ccn1 ZINC001241503320 1057073687 /nfs/dbraw/zinc/07/36/87/1057073687.db2.gz NWINJDSSAVOPNA-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN COc1c(F)c(C)ccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241506767 1057077244 /nfs/dbraw/zinc/07/72/44/1057077244.db2.gz FBSIGFZVPJGDMD-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN CSc1ccc(C2=CCN(Cc3ccccc3)CC2)c(C)n1 ZINC001241506992 1057077773 /nfs/dbraw/zinc/07/77/73/1057077773.db2.gz GHMVIRYEXBOZJC-UHFFFAOYSA-N 0 3 310.466 4.401 20 0 DIADHN CC(C)(C)c1ncncc1C1=CCN(Cc2ccccc2)CC1 ZINC001241507513 1057078947 /nfs/dbraw/zinc/07/89/47/1057078947.db2.gz WWEYMROIUOZIIW-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)N1Cc2ccccc2-c2ccccc21 ZINC000673642152 1057086239 /nfs/dbraw/zinc/08/62/39/1057086239.db2.gz QAKIKNAVHBBLMS-HOTGVXAUSA-N 0 3 320.436 4.073 20 0 DIADHN Cn1cc2c(cccc2-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001241585116 1057099184 /nfs/dbraw/zinc/09/91/84/1057099184.db2.gz KMGUDPQDNQSUJQ-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)C1CCC(C)CC1 ZINC000302598276 1057106289 /nfs/dbraw/zinc/10/62/89/1057106289.db2.gz VMGLCUYGFKZULG-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3cn(C)nc3c2)c1F ZINC001241604693 1057106730 /nfs/dbraw/zinc/10/67/30/1057106730.db2.gz FMVDXIHFBPRMRI-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Fc1ccccc1-c1nc(CN(CC2CC2)CC2CC2)co1 ZINC000625252824 1057106861 /nfs/dbraw/zinc/10/68/61/1057106861.db2.gz KFMGBETVFQDOOU-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1nc2ccc(Nc3cnc(Br)c(C)c3)cc2[nH]1 ZINC001213071200 1057113425 /nfs/dbraw/zinc/11/34/25/1057113425.db2.gz FBAWRQHMNHIWCS-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN c1cnn(C[C@H](NCCSc2ccccc2)c2ccccc2)c1 ZINC000673713074 1057119821 /nfs/dbraw/zinc/11/98/21/1057119821.db2.gz HLLVDOPHBVXDBH-IBGZPJMESA-N 0 3 323.465 4.006 20 0 DIADHN COc1ccc(CN(C)CCc2ccccc2Cl)c(OC)c1 ZINC000303018126 1057119924 /nfs/dbraw/zinc/11/99/24/1057119924.db2.gz SRDADKILZZXABC-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CCO[C@H](CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)C1CC1 ZINC000625291444 1057120226 /nfs/dbraw/zinc/12/02/26/1057120226.db2.gz WXMGEKFKKWBWBY-LSDHHAIUSA-N 0 3 319.342 4.224 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@H]1Cc2cccc(Cl)c2C1 ZINC001168741193 1057120952 /nfs/dbraw/zinc/12/09/52/1057120952.db2.gz WLJWDPDCEKMBEF-ZDUSSCGKSA-N 0 3 312.800 4.080 20 0 DIADHN Cc1n[nH]c2cc(-c3ccc(F)c(CN4CCCC4)c3)ccc12 ZINC001241642189 1057121370 /nfs/dbraw/zinc/12/13/70/1057121370.db2.gz ILOZALYGRKBBGK-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN Cc1csc([C@H](NCCc2cccc(F)c2F)C2CC2)n1 ZINC000347800503 1057121748 /nfs/dbraw/zinc/12/17/48/1057121748.db2.gz RSQLBOJJDNCJPR-OAHLLOKOSA-N 0 3 308.397 4.013 20 0 DIADHN Cc1[nH]nc2ccc(-c3cnc(N4CCCCC4)cc3C)cc21 ZINC001241669346 1057129132 /nfs/dbraw/zinc/12/91/32/1057129132.db2.gz XCOZXTGRJILNKF-UHFFFAOYSA-N 0 3 306.413 4.232 20 0 DIADHN CCO[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000625375600 1057131885 /nfs/dbraw/zinc/13/18/85/1057131885.db2.gz UASWCEUUFOZOFF-HOTGVXAUSA-N 0 3 315.379 4.393 20 0 DIADHN CCO[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000625375599 1057131955 /nfs/dbraw/zinc/13/19/55/1057131955.db2.gz UASWCEUUFOZOFF-CVEARBPZSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2cccc(CN)n2)c1 ZINC001241686475 1057137317 /nfs/dbraw/zinc/13/73/17/1057137317.db2.gz KTXIIDLZKLTXMZ-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN Clc1ccc([C@@H]2CCCCN2CCOC2CCOCC2)cc1 ZINC000625460244 1057143654 /nfs/dbraw/zinc/14/36/54/1057143654.db2.gz AXPNFNUOBMFNHW-SFHVURJKSA-N 0 3 323.864 4.063 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@H](C3CCC3)C2)co1 ZINC000625471308 1057145776 /nfs/dbraw/zinc/14/57/76/1057145776.db2.gz JMYPBHAXAGDIPJ-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1ccccc1OC(F)F ZINC000391368428 1057149918 /nfs/dbraw/zinc/14/99/18/1057149918.db2.gz RTAVKOBVONSLEP-BDJLRTHQSA-N 0 3 318.367 4.208 20 0 DIADHN CC(C)c1cccc(-c2cc(OC3CCN(C)CC3)ccn2)c1 ZINC001241736947 1057152115 /nfs/dbraw/zinc/15/21/15/1057152115.db2.gz NLZXVWXPQWMAPT-UHFFFAOYSA-N 0 3 310.441 4.345 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H](C)c1cc2c(s1)CCC2 ZINC000766041948 1057153514 /nfs/dbraw/zinc/15/35/14/1057153514.db2.gz FTIZHHRDAPXHBZ-ABAIWWIYSA-N 0 3 313.470 4.063 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4ncccc4c3)cc2)CC1 ZINC001241742340 1057154028 /nfs/dbraw/zinc/15/40/28/1057154028.db2.gz AONSYNNBDOTUID-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@H](c2nc(C)no2)C1 ZINC000057861984 1057157390 /nfs/dbraw/zinc/15/73/90/1057157390.db2.gz PZQAOGBFQWDNJT-GOEBONIOSA-N 0 3 319.836 4.362 20 0 DIADHN CCCn1nc(C)cc1-c1cccc(CN2CCCC2)c1F ZINC001241764966 1057161213 /nfs/dbraw/zinc/16/12/13/1057161213.db2.gz GGZILPFYUPWOLQ-UHFFFAOYSA-N 0 3 301.409 4.003 20 0 DIADHN CCCn1nc(C)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001241764937 1057161487 /nfs/dbraw/zinc/16/14/87/1057161487.db2.gz DZPRMVMFDDXBKG-UHFFFAOYSA-N 0 3 301.409 4.003 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H]1CCc2c1cccc2Cl ZINC000766046665 1057169355 /nfs/dbraw/zinc/16/93/55/1057169355.db2.gz ZPCZSEBUXGWWSW-MSOLQXFVSA-N 0 3 313.832 4.093 20 0 DIADHN CCOC(=O)Cc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001212518091 1057170247 /nfs/dbraw/zinc/17/02/47/1057170247.db2.gz SEERSUWDXJENPX-UHFFFAOYSA-N 0 3 312.413 4.228 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H]1CC[C@@H](C)c2ccccc21 ZINC000766046370 1057171552 /nfs/dbraw/zinc/17/15/52/1057171552.db2.gz XAXIWGRNVXQOGI-CAYVGHNUSA-N 0 3 307.441 4.391 20 0 DIADHN CN(C)Cc1cccc(-c2ccc3[nH]c4ccccc4c3c2)n1 ZINC001241811725 1057172514 /nfs/dbraw/zinc/17/25/14/1057172514.db2.gz IVSLXYRQUMVEHU-UHFFFAOYSA-N 0 3 301.393 4.445 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2ccc(C)cc2)no1 ZINC000058518578 1057173520 /nfs/dbraw/zinc/17/35/20/1057173520.db2.gz HTTRRRJMVXQYFJ-UHFFFAOYSA-N 0 3 301.434 4.303 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N1C2CCC1CC2)c1cccc2ccccc21 ZINC000674006109 1057175737 /nfs/dbraw/zinc/17/57/37/1057175737.db2.gz LVUDCXDKSWRGPS-RCDYEMGKSA-N 0 3 322.452 4.032 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(OC(F)F)cc1 ZINC000058886905 1057180491 /nfs/dbraw/zinc/18/04/91/1057180491.db2.gz CHRGQEKBUMCMPN-VMPITWQZSA-N 0 3 303.352 4.433 20 0 DIADHN C[C@@H]1CN(Cc2cc(F)cc3cccnc32)C[C@@H](C)C1(F)F ZINC000625645718 1057181841 /nfs/dbraw/zinc/18/18/41/1057181841.db2.gz HRDPQIBYXBNBKV-VXGBXAGGSA-N 0 3 308.347 4.097 20 0 DIADHN Cc1cnccc1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000625655295 1057182258 /nfs/dbraw/zinc/18/22/58/1057182258.db2.gz MFXBCYXNBFTTOP-MRXNPFEDSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1cccnc1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000625654953 1057183547 /nfs/dbraw/zinc/18/35/47/1057183547.db2.gz JJFJGDSRTPEMIA-OAHLLOKOSA-N 0 3 302.368 4.048 20 0 DIADHN CCc1ccc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)cn1 ZINC000347890192 1057193453 /nfs/dbraw/zinc/19/34/53/1057193453.db2.gz YGPDILQMIPLLLB-HXUWFJFHSA-N 0 3 309.457 4.047 20 0 DIADHN c1nc2cc(-c3cncc(CN4CCCCC4)c3)ccc2s1 ZINC001241869908 1057196941 /nfs/dbraw/zinc/19/69/41/1057196941.db2.gz PKKVHNJYWBVPGP-UHFFFAOYSA-N 0 3 309.438 4.344 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H](C)c3ccncc3)cs2)cc1 ZINC000674069241 1057198278 /nfs/dbraw/zinc/19/82/78/1057198278.db2.gz DHLKTQPKYXNNLM-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@H](NCC1(NC(=O)OC(C)(C)C)CCCCC1)c1ccco1 ZINC000700260015 1057213064 /nfs/dbraw/zinc/21/30/64/1057213064.db2.gz YIOSQZBCWPLONH-AWEZNQCLSA-N 0 3 322.449 4.158 20 0 DIADHN Cc1cc(CN[C@H](c2ccc3c(c2)CCCC3)C(C)C)n(C)n1 ZINC000658006408 1057213782 /nfs/dbraw/zinc/21/37/82/1057213782.db2.gz SBTGUNRGHJKFNK-FQEVSTJZSA-N 0 3 311.473 4.094 20 0 DIADHN Clc1scc(CNC[C@H]2COc3ccccc32)c1Cl ZINC000674182791 1057214306 /nfs/dbraw/zinc/21/43/06/1057214306.db2.gz OTFZJMRMDVMZGT-VIFPVBQESA-N 0 3 314.237 4.321 20 0 DIADHN Cc1nnc(-c2cccc(-c3ccc(C4=NCCC4)cc3)c2)o1 ZINC001241934225 1057217124 /nfs/dbraw/zinc/21/71/24/1057217124.db2.gz CQBKDIPSJLOQMO-UHFFFAOYSA-N 0 3 303.365 4.295 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000674248997 1057221662 /nfs/dbraw/zinc/22/16/62/1057221662.db2.gz FVUAGVCXUVHZOR-DLBZAZTESA-N 0 3 321.468 4.058 20 0 DIADHN CCOC(=O)C1CCN(Cc2cccc(-c3ccccc3)c2)CC1 ZINC000140298998 1057225496 /nfs/dbraw/zinc/22/54/96/1057225496.db2.gz MCRDYQAQLWCJHW-UHFFFAOYSA-N 0 3 323.436 4.129 20 0 DIADHN CN(Cc1ccc(-c2ccc(-c3ccccn3)cc2)nc1)C1CC1 ZINC001241973410 1057227655 /nfs/dbraw/zinc/22/76/55/1057227655.db2.gz BRZGTEJWPKDAJB-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCSCC2)c2ccco2)cc1 ZINC000658032236 1057233626 /nfs/dbraw/zinc/23/36/26/1057233626.db2.gz QCOYGVGHRCLZAH-YJBOKZPZSA-N 0 3 317.454 4.253 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](Cn2cccn2)c2ccccc2)c1 ZINC000674371045 1057236360 /nfs/dbraw/zinc/23/63/60/1057236360.db2.gz JBRCIXSNVDKJFH-YLJYHZDGSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1cccc(C)c1CN[C@H](Cn1cccn1)c1ccccc1 ZINC000674370925 1057236596 /nfs/dbraw/zinc/23/65/96/1057236596.db2.gz GLUJWUORSNQQNT-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC000674371044 1057236776 /nfs/dbraw/zinc/23/67/76/1057236776.db2.gz JBRCIXSNVDKJFH-XLIONFOSSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc(-c2cncc(CN3CCCCC3)c2)c(F)c1F ZINC001242030561 1057243401 /nfs/dbraw/zinc/24/34/01/1057243401.db2.gz JWUPALDFGLUTJS-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1ccc2ccc(F)c(-c3cnccc3/C=C/N(C)C)c2n1 ZINC001242054246 1057253465 /nfs/dbraw/zinc/25/34/65/1057253465.db2.gz PLGUEZCMCZDVCP-PKNBQFBNSA-N 0 3 307.372 4.277 20 0 DIADHN CC(C)Oc1ccc(-c2ccnc(CN)c2)c(C(F)(F)F)c1 ZINC001242102794 1057263584 /nfs/dbraw/zinc/26/35/84/1057263584.db2.gz FHOIBUBZQMFHHM-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN Cc1cc(CN[C@@H](Cc2ccccc2)c2ccc(C)c(C)c2)[nH]n1 ZINC000674677943 1057271889 /nfs/dbraw/zinc/27/18/89/1057271889.db2.gz VKLUTWZFQALUJE-NRFANRHFSA-N 0 3 319.452 4.409 20 0 DIADHN Nc1ccnc2cc(-c3cc(C(F)(F)F)ccc3O)ccc12 ZINC001242141633 1057277934 /nfs/dbraw/zinc/27/79/34/1057277934.db2.gz TWQCFPHQZPSNQF-UHFFFAOYSA-N 0 3 304.271 4.208 20 0 DIADHN CC(C)(NCc1cccc2ccccc21)C(=O)Nc1ccccc1 ZINC000674753570 1057279293 /nfs/dbraw/zinc/27/92/93/1057279293.db2.gz ABUKPZNOEQDJSC-UHFFFAOYSA-N 0 3 318.420 4.347 20 0 DIADHN Cc1ccc(-c2ccc([O-])c(C(F)(F)F)c2)c(C[NH+](C)C)c1 ZINC001242167692 1057285661 /nfs/dbraw/zinc/28/56/61/1057285661.db2.gz ASYXGFAHCXSDEL-UHFFFAOYSA-N 0 3 309.331 4.448 20 0 DIADHN CC[NH+](CC)Cc1cncc(-c2ccc([O-])c(C(F)(F)F)c2)c1 ZINC001242166052 1057285794 /nfs/dbraw/zinc/28/57/94/1057285794.db2.gz LSHPIMVGOLNECQ-UHFFFAOYSA-N 0 3 324.346 4.315 20 0 DIADHN C[N@@H+]1CC[C@H](c2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2)C1 ZINC001242168640 1057285900 /nfs/dbraw/zinc/28/59/00/1057285900.db2.gz SEHCUZXCCMLTDX-HNNXBMFYSA-N 0 3 321.342 4.497 20 0 DIADHN C[N@H+]1CC[C@H](c2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2)C1 ZINC001242168640 1057285905 /nfs/dbraw/zinc/28/59/05/1057285905.db2.gz SEHCUZXCCMLTDX-HNNXBMFYSA-N 0 3 321.342 4.497 20 0 DIADHN Brc1ccccc1[C@H]1CCCN1C[C@H]1CCCCO1 ZINC000674868437 1057293551 /nfs/dbraw/zinc/29/35/51/1057293551.db2.gz JAQMOKZUIQSSGF-CZUORRHYSA-N 0 3 324.262 4.155 20 0 DIADHN CCOC(=O)Cc1cccc(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001212524144 1057294447 /nfs/dbraw/zinc/29/44/47/1057294447.db2.gz LXNPBIUROAIPKD-UHFFFAOYSA-N 0 3 312.413 4.228 20 0 DIADHN CC[C@H](N[C@@H](COC)c1cccc(OC)c1)c1cccc(C)c1 ZINC000658159688 1057295153 /nfs/dbraw/zinc/29/51/53/1057295153.db2.gz CBPCEMVHGOPBSM-PMACEKPBSA-N 0 3 313.441 4.432 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](c1ccccn1)C(C)C ZINC000766081733 1057296070 /nfs/dbraw/zinc/29/60/70/1057296070.db2.gz PNWDEGLOXSDCGW-UYAOXDASSA-N 0 3 310.441 4.455 20 0 DIADHN Cc1cc(Cl)cc(-c2ccc(CN(C)C3CC3)cn2)c1F ZINC001242226541 1057301042 /nfs/dbraw/zinc/30/10/42/1057301042.db2.gz QMNLCDYTWRIKIP-UHFFFAOYSA-N 0 3 304.796 4.444 20 0 DIADHN CC(C)(CNCc1coc(-c2ccccc2)n1)[C@H]1CCCCO1 ZINC000675155774 1057322221 /nfs/dbraw/zinc/32/22/21/1057322221.db2.gz FFZIKWMRJGYTTF-QGZVFWFLSA-N 0 3 314.429 4.027 20 0 DIADHN CC(C)C[C@H](NCc1c(C2CC2)cnn1C)c1ccc(F)cc1 ZINC000658214141 1057323491 /nfs/dbraw/zinc/32/34/91/1057323491.db2.gz XAVNOIJKDBHAFC-SFHVURJKSA-N 0 3 315.436 4.314 20 0 DIADHN CC(C)C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(F)cc1 ZINC000658214140 1057323600 /nfs/dbraw/zinc/32/36/00/1057323600.db2.gz XAVNOIJKDBHAFC-GOSISDBHSA-N 0 3 315.436 4.314 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c(C3CC3)cnn2C)c2sccc21 ZINC000658214322 1057323745 /nfs/dbraw/zinc/32/37/45/1057323745.db2.gz YAGCBBZMJWUJBY-ABAIWWIYSA-N 0 3 301.459 4.087 20 0 DIADHN Cc1nc(-c2ccc(CNCc3ccsc3)cc2)cs1 ZINC000675207583 1057326934 /nfs/dbraw/zinc/32/69/34/1057326934.db2.gz QPVZAYAIWZMQMZ-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN CCOc1ccccc1CN1CCC2(CC1)OCc1ccccc12 ZINC000675325012 1057340432 /nfs/dbraw/zinc/34/04/32/1057340432.db2.gz PYWZEXAJADHFET-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2cccc(F)c2[O-])ccc1OC ZINC001242352946 1057342534 /nfs/dbraw/zinc/34/25/34/1057342534.db2.gz HWZOQDCCXXVNRA-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN c1cc2cncc(-c3ccc4c(cnn4[C@@H]4CCCCO4)c3)c2[nH]1 ZINC001242446223 1057370616 /nfs/dbraw/zinc/37/06/16/1057370616.db2.gz DADJBYMIVYKESL-SFHVURJKSA-N 0 3 318.380 4.279 20 0 DIADHN C[C@H](N[C@H](CCO)c1cccs1)c1ccc(F)c(Cl)c1 ZINC000766137350 1057372372 /nfs/dbraw/zinc/37/23/72/1057372372.db2.gz TZBRTYUBLCKLCT-IINYFYTJSA-N 0 3 313.825 4.315 20 0 DIADHN CC(C)n1ccc(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001242455825 1057372965 /nfs/dbraw/zinc/37/29/65/1057372965.db2.gz HSLJOONYLZSYGG-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2cc3cc(C)c(C)cc3o2)o1 ZINC000766136249 1057373759 /nfs/dbraw/zinc/37/37/59/1057373759.db2.gz CIJCFFXRMJINLW-GDBMZVCRSA-N 0 3 313.397 4.335 20 0 DIADHN CCN(CC)Cc1cc(-c2cnn(C(F)(F)F)c2)ccc1F ZINC001242465861 1057374413 /nfs/dbraw/zinc/37/44/13/1057374413.db2.gz OFEJMDUAIMJLET-UHFFFAOYSA-N 0 3 315.314 4.007 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](Cn1cccn1)c1ccccc1 ZINC000766138549 1057375074 /nfs/dbraw/zinc/37/50/74/1057375074.db2.gz PQGTVAMHIDQRLY-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN CCc1ccc(CN[C@@H](CN2CCCCC2)c2ccccc2)o1 ZINC000675473031 1057379329 /nfs/dbraw/zinc/37/93/29/1057379329.db2.gz MTWMBSCQXWSFPO-FQEVSTJZSA-N 0 3 312.457 4.159 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2cc(Cl)cc(Cl)c2)o1 ZINC000766142592 1057380291 /nfs/dbraw/zinc/38/02/91/1057380291.db2.gz PWWDDGBXMMDQIY-YGRLFVJLSA-N 0 3 314.212 4.279 20 0 DIADHN CCSc1ccccc1[C@H](C)NC[C@H](O)c1ccc(C)o1 ZINC000766144206 1057380778 /nfs/dbraw/zinc/38/07/78/1057380778.db2.gz VVJNYLDWJVSHRA-ZFWWWQNUSA-N 0 3 305.443 4.084 20 0 DIADHN CCc1cc(-c2nc(-c3ccc(CN(C)C)cc3)no2)cs1 ZINC001212569018 1057383734 /nfs/dbraw/zinc/38/37/34/1057383734.db2.gz IRNNZPVYXCWXTE-UHFFFAOYSA-N 0 3 313.426 4.089 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@H](OCc3ccccn3)C2)cc1 ZINC000675514926 1057385061 /nfs/dbraw/zinc/38/50/61/1057385061.db2.gz MBMFIMZSKOAWLE-FQEVSTJZSA-N 0 3 324.468 4.170 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccnc2C(F)(F)F)s1 ZINC000704270564 1057389297 /nfs/dbraw/zinc/38/92/97/1057389297.db2.gz AQYAMLYKTQHLQM-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc([C@H](C)O)c3)c(C)c2)CC1 ZINC001242546972 1057401144 /nfs/dbraw/zinc/40/11/44/1057401144.db2.gz XBEYVLWHVVZHMF-INIZCTEOSA-N 0 3 322.452 4.219 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc([C@H](C)O)c2)ccc1F ZINC001242551728 1057402491 /nfs/dbraw/zinc/40/24/91/1057402491.db2.gz JUBSLHZDJWFAFT-AWEZNQCLSA-N 0 3 301.405 4.388 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2c(C)cccc2C)cc1C ZINC000675727791 1057406211 /nfs/dbraw/zinc/40/62/11/1057406211.db2.gz UYRUOVJVZDNSIP-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CC[C@H](NCCN1Cc2ccccc2C1)c1ccc(F)cc1F ZINC000675780790 1057410641 /nfs/dbraw/zinc/41/06/41/1057410641.db2.gz SUTXPMHCTGPUNG-IBGZPJMESA-N 0 3 316.395 4.021 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc2c(c1)CCC2 ZINC000675772591 1057411214 /nfs/dbraw/zinc/41/12/14/1057411214.db2.gz RFWQYCDJBQUMGP-LIRRHRJNSA-N 0 3 323.436 4.358 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CSCc2ccccc21 ZINC000675782301 1057413612 /nfs/dbraw/zinc/41/36/12/1057413612.db2.gz DJJJATXSJWTRJQ-ZWKOTPCHSA-N 0 3 311.450 4.260 20 0 DIADHN COC[C@H](N[C@@H](c1ccccc1)c1cccc(Cl)c1)C1CC1 ZINC000675786181 1057413771 /nfs/dbraw/zinc/41/37/71/1057413771.db2.gz NCHLJMQNAOXHSY-OALUTQOASA-N 0 3 315.844 4.444 20 0 DIADHN COC[C@@H](N[C@H](c1ccccc1)c1cccc(Cl)c1)C1CC1 ZINC000675786183 1057414489 /nfs/dbraw/zinc/41/44/89/1057414489.db2.gz NCHLJMQNAOXHSY-RTBURBONSA-N 0 3 315.844 4.444 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H]1CCCCc2ccccc21 ZINC000675808663 1057417233 /nfs/dbraw/zinc/41/72/33/1057417233.db2.gz PVYDYAMDCCOWOH-IBGZPJMESA-N 0 3 310.441 4.264 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H](CC1CC1)c1ccccc1 ZINC000675808904 1057417361 /nfs/dbraw/zinc/41/73/61/1057417361.db2.gz VCDSUXVWAJKLDA-IBGZPJMESA-N 0 3 310.441 4.338 20 0 DIADHN COc1ccccc1OCCCN[C@H](C)c1ccc(F)cc1F ZINC000675813073 1057418792 /nfs/dbraw/zinc/41/87/92/1057418792.db2.gz DQWNYEDPSHDHES-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN CC[C@@H](N[C@H]1CCN(c2ccccc2)C1)c1cc(F)ccc1F ZINC000675829471 1057420648 /nfs/dbraw/zinc/42/06/48/1057420648.db2.gz TZQUQZROTIYDPV-HNAYVOBHSA-N 0 3 316.395 4.284 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCN(c3ccc(F)cc3F)C2)o1 ZINC000675830674 1057420659 /nfs/dbraw/zinc/42/06/59/1057420659.db2.gz HJIDOMHNFYZHHL-GXTWGEPZSA-N 0 3 320.383 4.050 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H](Cn1cccn1)c1ccccc1 ZINC000675899039 1057428064 /nfs/dbraw/zinc/42/80/64/1057428064.db2.gz BMHLSUYJIULALK-WBVHZDCISA-N 0 3 311.454 4.345 20 0 DIADHN Fc1c(CN2CCCC2)cccc1-c1cccnc1OC(F)F ZINC001242632384 1057429522 /nfs/dbraw/zinc/42/95/22/1057429522.db2.gz QTNCHRLIDBBYFS-UHFFFAOYSA-N 0 3 322.330 4.085 20 0 DIADHN CCN(CC)Cc1cc(-c2cccnc2OC(F)F)ccc1F ZINC001242632682 1057429528 /nfs/dbraw/zinc/42/95/28/1057429528.db2.gz WTIXOZRNNCLVQB-UHFFFAOYSA-N 0 3 324.346 4.331 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccoc1 ZINC000675883896 1057429941 /nfs/dbraw/zinc/42/99/41/1057429941.db2.gz DAXVXWPSXCPHHF-IUODEOHRSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@H](N[C@H](Cc1nccn1C)c1ccccc1)c1ccsc1 ZINC000675917704 1057430963 /nfs/dbraw/zinc/43/09/63/1057430963.db2.gz BFGGWOOXTUBJKI-WMLDXEAASA-N 0 3 311.454 4.116 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1ccc2c(c1)CCC2 ZINC000675903031 1057433325 /nfs/dbraw/zinc/43/33/25/1057433325.db2.gz SXNSOQALWVXHMU-XOBRGWDASA-N 0 3 323.436 4.358 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1c2ccccc2C[C@@H]1C ZINC000675902875 1057433858 /nfs/dbraw/zinc/43/38/58/1057433858.db2.gz JYLONKMOKQWBLU-YHJVDBJQSA-N 0 3 309.409 4.042 20 0 DIADHN CC[C@H](N[C@@H]1CCOc2cc(OC)ccc21)c1ccc(F)cc1 ZINC000675904171 1057433933 /nfs/dbraw/zinc/43/39/33/1057433933.db2.gz RQYNUTNJGPKVSR-ZWKOTPCHSA-N 0 3 315.388 4.399 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1ccc(C)cc1C ZINC000675903358 1057433958 /nfs/dbraw/zinc/43/39/58/1057433958.db2.gz CJHKBSDUURZVBB-HNAYVOBHSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1ccc2c(c1)CCC2 ZINC000675903030 1057433995 /nfs/dbraw/zinc/43/39/95/1057433995.db2.gz SXNSOQALWVXHMU-VLIAUNLRSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@H](C)c3ccccc32)c(N2CCCC2)n1 ZINC000675931669 1057436340 /nfs/dbraw/zinc/43/63/40/1057436340.db2.gz SUHLJENNYHMAAT-YWZLYKJASA-N 0 3 321.468 4.328 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc([C@@H](C)O)cc2)ccc1F ZINC001242650584 1057436670 /nfs/dbraw/zinc/43/66/70/1057436670.db2.gz WXRYBDVSFQYKQD-CQSZACIVSA-N 0 3 301.405 4.388 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc([C@H](C)O)cc2)c1F ZINC001242650160 1057436898 /nfs/dbraw/zinc/43/68/98/1057436898.db2.gz PRPOMGIKHSEWTR-AWEZNQCLSA-N 0 3 301.405 4.388 20 0 DIADHN c1ccc2[nH]c(C3CN(Cc4ccc5ccccc5c4)C3)nc2c1 ZINC000675976437 1057439904 /nfs/dbraw/zinc/43/99/04/1057439904.db2.gz NTZGANXMLIZQGI-UHFFFAOYSA-N 0 3 313.404 4.316 20 0 DIADHN Cc1ccc(CO)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001242670403 1057441162 /nfs/dbraw/zinc/44/11/62/1057441162.db2.gz UQEUHHOKZLCDCD-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN CN(CCOC(C)(C)C)Cc1cc(Cl)cc2cccnc21 ZINC000676043164 1057445901 /nfs/dbraw/zinc/44/59/01/1057445901.db2.gz UYVRJLRNKGYTCA-UHFFFAOYSA-N 0 3 306.837 4.135 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(C(C)(C)C)s1 ZINC000676240057 1057458317 /nfs/dbraw/zinc/45/83/17/1057458317.db2.gz VQWLWHGKZKPTPQ-UHFFFAOYSA-N 0 3 302.487 4.401 20 0 DIADHN Fc1c(CN2CCCC2)cccc1-c1ccnc(OC2CC2)c1 ZINC001242726878 1057460842 /nfs/dbraw/zinc/46/08/42/1057460842.db2.gz UYTVBBHVJKQVOK-UHFFFAOYSA-N 0 3 312.388 4.025 20 0 DIADHN Fc1ccc(-c2ccnc(OC3CC3)c2)cc1CN1CCCC1 ZINC001242727156 1057461451 /nfs/dbraw/zinc/46/14/51/1057461451.db2.gz ZQRKXGBAFMPMMJ-UHFFFAOYSA-N 0 3 312.388 4.025 20 0 DIADHN COc1c(F)c(F)c(-c2ccc3c(N)ccnc3c2)c(F)c1F ZINC001242734285 1057462886 /nfs/dbraw/zinc/46/28/86/1057462886.db2.gz HIACQWRIFHCKOT-UHFFFAOYSA-N 0 3 322.261 4.049 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc2c[nH]nc2c1 ZINC000676412812 1057470480 /nfs/dbraw/zinc/47/04/80/1057470480.db2.gz GRBISSRDQPLTIJ-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCN(CC)[C@@H](CNCc1cscc1Cl)c1ccco1 ZINC000676414513 1057471773 /nfs/dbraw/zinc/47/17/73/1057471773.db2.gz DZSFSBXRHXWMFJ-AWEZNQCLSA-N 0 3 312.866 4.167 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3ccccc3C)CC2)c(C)s1 ZINC000676491353 1057474989 /nfs/dbraw/zinc/47/49/89/1057474989.db2.gz ZXVPFAJDEBXIGG-CYBMUJFWSA-N 0 3 300.471 4.451 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3cc(C)ccc23)c(C)s1 ZINC000676490897 1057476564 /nfs/dbraw/zinc/47/65/64/1057476564.db2.gz UMQTYUXAGHCNPG-LBPRGKRZSA-N 0 3 313.470 4.443 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3cccc(F)c3)CC2)c(C)s1 ZINC000676493408 1057477554 /nfs/dbraw/zinc/47/75/54/1057477554.db2.gz XVMVQXLFICSTQG-NSHDSACASA-N 0 3 304.434 4.281 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3ccc(F)cc23)c(C)s1 ZINC000676489037 1057478520 /nfs/dbraw/zinc/47/85/20/1057478520.db2.gz GWXPJHNBCGKQBZ-JTQLQIEISA-N 0 3 317.433 4.274 20 0 DIADHN CCN(CCCN[C@@H](C)c1nc(C)sc1C)c1ccccc1 ZINC000676489659 1057479361 /nfs/dbraw/zinc/47/93/61/1057479361.db2.gz VPGQOMIHLMZAJC-AWEZNQCLSA-N 0 3 317.502 4.327 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3cc(Cl)ccc23)cs1 ZINC000676490043 1057479662 /nfs/dbraw/zinc/47/96/62/1057479662.db2.gz YRWOHEHWPFROPG-SNVBAGLBSA-N 0 3 319.861 4.480 20 0 DIADHN Cc1ccncc1CN(Cc1ccc2c(c1)OCO2)C1CCCC1 ZINC000676534612 1057482514 /nfs/dbraw/zinc/48/25/14/1057482514.db2.gz RSVRCLPVTVDFRZ-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@H]3C3CC3)co2)cc1 ZINC000676557719 1057483836 /nfs/dbraw/zinc/48/38/36/1057483836.db2.gz QUPQSFNNLXZVNI-SFHVURJKSA-N 0 3 312.413 4.115 20 0 DIADHN c1cc(OC2CC2)cc(-c2cncc(CN3CCCCC3)c2)c1 ZINC001242806923 1057485388 /nfs/dbraw/zinc/48/53/88/1057485388.db2.gz ZLQAYWVBZDXCGX-UHFFFAOYSA-N 0 3 308.425 4.276 20 0 DIADHN COc1c(F)cc(F)cc1-c1cccc(CN2CCCC2)c1F ZINC001242811470 1057487127 /nfs/dbraw/zinc/48/71/27/1057487127.db2.gz WQGVVNKRGLRBIY-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CSc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(F)c1 ZINC001242813313 1057488349 /nfs/dbraw/zinc/48/83/49/1057488349.db2.gz ZRJMSNQMZLGANU-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN CSc1ccc(-c2cncc(CN3CCCC3)c2)c(F)c1 ZINC001242814382 1057489032 /nfs/dbraw/zinc/48/90/32/1057489032.db2.gz JRZFVALSYNLBOU-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000676744184 1057500411 /nfs/dbraw/zinc/50/04/11/1057500411.db2.gz ZNHBDGZQZVIZAA-GOSISDBHSA-N 0 3 311.473 4.332 20 0 DIADHN FC(F)(F)COc1cccc(CN2CCCC(F)(F)CC2)c1 ZINC000625767921 1057513327 /nfs/dbraw/zinc/51/33/27/1057513327.db2.gz CALQKKFNHUXNQR-UHFFFAOYSA-N 0 3 323.305 4.249 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000625773141 1057514143 /nfs/dbraw/zinc/51/41/43/1057514143.db2.gz JSPKRRQSZJQVAN-BMFZPTHFSA-N 0 3 314.454 4.373 20 0 DIADHN COc1ccc(CNCc2cc3c(cccc3C)[nH]2)c(C)c1OC ZINC000922965980 1057516238 /nfs/dbraw/zinc/51/62/38/1057516238.db2.gz DQFVCLZOWHFCHH-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN CC(C)c1cnc(CNCC[C@H]2OCCc3ccccc32)s1 ZINC000922964252 1057517219 /nfs/dbraw/zinc/51/72/19/1057517219.db2.gz QSDRECRRSYIZFJ-MRXNPFEDSA-N 0 3 316.470 4.060 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC)nc2C)c1F ZINC001242930792 1057521293 /nfs/dbraw/zinc/52/12/93/1057521293.db2.gz YAOPJLJIYFCCKB-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(C)n1 ZINC001242929290 1057521442 /nfs/dbraw/zinc/52/14/42/1057521442.db2.gz OLEUPPOUDVBDCC-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN C[C@]1(NCc2ccc(OC(F)F)cc2)CCOc2ccccc21 ZINC000923023739 1057522055 /nfs/dbraw/zinc/52/20/55/1057522055.db2.gz AGUBHVCGAOBEJW-SFHVURJKSA-N 0 3 319.351 4.076 20 0 DIADHN C[C@@]1(NCc2ccc3cnccc3c2)CCOc2ccccc21 ZINC000923025009 1057522126 /nfs/dbraw/zinc/52/21/26/1057522126.db2.gz MKGLUXJWVHKIKT-HXUWFJFHSA-N 0 3 304.393 4.022 20 0 DIADHN c1nc(-c2ccccc2)sc1CNC1([C@@H]2CCCCO2)CC1 ZINC000923026520 1057522397 /nfs/dbraw/zinc/52/23/97/1057522397.db2.gz AOIIXIGLVXZLEP-INIZCTEOSA-N 0 3 314.454 4.001 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CCCC3(CC3)CC2)n1 ZINC000626113827 1057524552 /nfs/dbraw/zinc/52/45/52/1057524552.db2.gz HULIXSIYXBVUQX-OAHLLOKOSA-N 0 3 311.429 4.372 20 0 DIADHN CCN(CC)Cc1ccc(-c2cncc(SC)c2)cc1F ZINC001242951064 1057527630 /nfs/dbraw/zinc/52/76/30/1057527630.db2.gz FONKGAQHZGOZCQ-UHFFFAOYSA-N 0 3 304.434 4.451 20 0 DIADHN CC(C)CCN(C)Cc1nc2cc(Br)ccc2o1 ZINC000671677898 1057530491 /nfs/dbraw/zinc/53/04/91/1057530491.db2.gz LTBFXBATDPGEAX-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2nc3ccccc3s2)C12CCC2 ZINC000766165595 1057530699 /nfs/dbraw/zinc/53/06/99/1057530699.db2.gz BSPIRHPESYHMJE-APHBMKBZSA-N 0 3 316.470 4.295 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2Cc3ccccc3O2)c1Cl ZINC001649133700 1057539453 /nfs/dbraw/zinc/53/94/53/1057539453.db2.gz IWWDVNHSLULLBR-AWEZNQCLSA-N 0 3 322.235 4.395 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000785482451 1057544443 /nfs/dbraw/zinc/54/44/43/1057544443.db2.gz MNYJVFFYVJERNR-AWEZNQCLSA-N 0 3 321.399 4.442 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC1CCC(F)(F)CC1 ZINC000625993746 1057546519 /nfs/dbraw/zinc/54/65/19/1057546519.db2.gz JBTCWNCBSMDPKZ-UHFFFAOYSA-N 0 3 324.415 4.211 20 0 DIADHN CCN(Cc1ccnc(N)c1)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000411201532 1057553109 /nfs/dbraw/zinc/55/31/09/1057553109.db2.gz RGEZTUIFIVMLMU-LBPRGKRZSA-N 0 3 323.362 4.266 20 0 DIADHN CC(C)CC[C@@H](NCc1ccnc(N)c1)c1ccc(Cl)cc1 ZINC000411445896 1057554327 /nfs/dbraw/zinc/55/43/27/1057554327.db2.gz HPPSXKHPLNXBLV-QGZVFWFLSA-N 0 3 317.864 4.584 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc2c(c1)CCC2 ZINC000671743029 1057554639 /nfs/dbraw/zinc/55/46/39/1057554639.db2.gz NLPZTXAMLCJOBV-CHWSQXEVSA-N 0 3 322.408 4.434 20 0 DIADHN Nc1ncc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)s1 ZINC000557009696 1057555843 /nfs/dbraw/zinc/55/58/43/1057555843.db2.gz XPFKNTHTWAIBOH-SIFUEBAJSA-N 0 3 313.470 4.183 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1Cl ZINC000671760537 1057558362 /nfs/dbraw/zinc/55/83/62/1057558362.db2.gz BFKYJOJOZHETAI-NSHDSACASA-N 0 3 316.788 4.346 20 0 DIADHN [O-]c1cc(-c2ccc(-c3c[nH]c([C@@H]4CCC[NH2+]4)n3)cc2)ccc1F ZINC001243063767 1057562441 /nfs/dbraw/zinc/56/24/41/1057562441.db2.gz VXGVNLRPGVCQJI-INIZCTEOSA-N 0 3 323.371 4.013 20 0 DIADHN C[C@H](NCc1scnc1C1CC1)c1ccc(-n2cccn2)cc1 ZINC000487298006 1057573333 /nfs/dbraw/zinc/57/33/33/1057573333.db2.gz RHNKNFOMLUBPAC-ZDUSSCGKSA-N 0 3 324.453 4.057 20 0 DIADHN CCc1cccc(NC(=O)c2cccc(CN(C)C)c2)c1CC ZINC000489475709 1057588638 /nfs/dbraw/zinc/58/86/38/1057588638.db2.gz MFZNLQTXGDZTQR-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN CCc1cccc(NC(=O)c2ccc(CN(C)C)cc2)c1CC ZINC000489498078 1057589952 /nfs/dbraw/zinc/58/99/52/1057589952.db2.gz MHIOFOWIOWLYJZ-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN([C@H](C)c2cccc(Cl)c2)C1 ZINC000611319560 1057590440 /nfs/dbraw/zinc/59/04/40/1057590440.db2.gz CATHIJPOCWEOJQ-HIFRSBDPSA-N 0 3 323.864 4.312 20 0 DIADHN COCOc1ccccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001243187271 1057597020 /nfs/dbraw/zinc/59/70/20/1057597020.db2.gz JJNVJGJMUKSDRA-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN Cc1ccsc1CNCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000611443472 1057600329 /nfs/dbraw/zinc/60/03/29/1057600329.db2.gz WXSNSNMBCYQWNQ-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000162213257 1057612216 /nfs/dbraw/zinc/61/22/16/1057612216.db2.gz GIXKMICMNZDZNQ-FUHWJXTLSA-N 0 3 315.436 4.314 20 0 DIADHN Clc1cccc(-c2ccc(CN3CCCOCC3)s2)c1 ZINC000510475773 1057615800 /nfs/dbraw/zinc/61/58/00/1057615800.db2.gz WCCCNWUEBFNICB-UHFFFAOYSA-N 0 3 307.846 4.291 20 0 DIADHN c1nc(C2CC2)c(CN2CCC(OC3CCCCC3)CC2)s1 ZINC000494926677 1057617917 /nfs/dbraw/zinc/61/79/17/1057617917.db2.gz YOBZNPJCEZPQLD-UHFFFAOYSA-N 0 3 320.502 4.334 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1scnc1C1CC1 ZINC000494998542 1057619148 /nfs/dbraw/zinc/61/91/48/1057619148.db2.gz PWIGWFCCYDUVSB-UHFFFAOYSA-N 0 3 306.862 4.348 20 0 DIADHN CC(C)Oc1cccc(F)c1-c1cnccc1/C=C/N(C)C ZINC001243266894 1057627517 /nfs/dbraw/zinc/62/75/17/1057627517.db2.gz XJOHGACGMWEVIJ-PKNBQFBNSA-N 0 3 300.377 4.207 20 0 DIADHN CCOc1cc(F)c(F)cc1-c1ncccc1CN1CCCC1 ZINC001243273491 1057630937 /nfs/dbraw/zinc/63/09/37/1057630937.db2.gz UELJKGKKCFGXIR-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCCCCCNCc1csc(-c2ccc(OC)cc2)n1 ZINC000727060382 1057631200 /nfs/dbraw/zinc/63/12/00/1057631200.db2.gz NAIMCHKJBKQRSF-UHFFFAOYSA-N 0 3 304.459 4.489 20 0 DIADHN COc1nccc(-c2ccc(F)c(CN3CCCC3)c2)c1Cl ZINC001243321008 1057644780 /nfs/dbraw/zinc/64/47/80/1057644780.db2.gz RTMAQGKVWYLLCB-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN Cc1ccc(C)c(OC(=O)C2CCN(Cc3ccccc3)CC2)c1 ZINC000727294236 1057648248 /nfs/dbraw/zinc/64/82/48/1057648248.db2.gz VJAPCDJASPDCIN-UHFFFAOYSA-N 0 3 323.436 4.121 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NCCOC1CCCCC1 ZINC000111635533 1057649256 /nfs/dbraw/zinc/64/92/56/1057649256.db2.gz KIOCEKSHATYMCD-INIZCTEOSA-N 0 3 309.450 4.302 20 0 DIADHN CSc1cccc(-c2ncccc2CN2CCCC2)c1F ZINC001243357175 1057657551 /nfs/dbraw/zinc/65/75/51/1057657551.db2.gz MZXIXORQDPIJKA-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN Clc1cc(-c2cncc(CN3CCCC3)c2)cc(Cl)n1 ZINC001243368080 1057661007 /nfs/dbraw/zinc/66/10/07/1057661007.db2.gz DHKBTHYEFZZPBV-UHFFFAOYSA-N 0 3 308.212 4.046 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccncc3Cl)c(C)c2)CC1 ZINC001243385185 1057666061 /nfs/dbraw/zinc/66/60/61/1057666061.db2.gz QIBLWSMBBYAIBR-UHFFFAOYSA-N 0 3 313.832 4.214 20 0 DIADHN COc1ccc(-c2ccncc2Cl)c(CN2CCCCC2)c1 ZINC001243387469 1057666515 /nfs/dbraw/zinc/66/65/15/1057666515.db2.gz MTPDENUGWSXJMH-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H]2CN(C)Cc3ccccc32)c2ccccc21 ZINC000536837975 1057673792 /nfs/dbraw/zinc/67/37/92/1057673792.db2.gz GISCUCGQPNTGQU-IPHXSNPTSA-N 0 3 306.453 4.401 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H]2CN(C)Cc3ccccc32)c2ccccc21 ZINC000536837979 1057673802 /nfs/dbraw/zinc/67/38/02/1057673802.db2.gz GISCUCGQPNTGQU-LPTQZCDUSA-N 0 3 306.453 4.401 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000536840168 1057674151 /nfs/dbraw/zinc/67/41/51/1057674151.db2.gz QJTPEBNTIIQANV-IFXJQAMLSA-N 0 3 312.482 4.246 20 0 DIADHN Clc1nccc(-c2cncc(CN3CCCCC3)c2)c1Cl ZINC001243417661 1057677179 /nfs/dbraw/zinc/67/71/79/1057677179.db2.gz QIPHDTWDXDZRCY-UHFFFAOYSA-N 0 3 322.239 4.436 20 0 DIADHN O=c1cc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)cc[nH]1 ZINC001243430107 1057680989 /nfs/dbraw/zinc/68/09/89/1057680989.db2.gz NZSCIVYQJZAPMD-UHFFFAOYSA-N 0 3 322.330 4.069 20 0 DIADHN CC(C)COc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1 ZINC001243434278 1057682229 /nfs/dbraw/zinc/68/22/29/1057682229.db2.gz WUZPNEIBVONVLV-UHFFFAOYSA-N 0 3 310.441 4.378 20 0 DIADHN COc1ccc(-c2ccc(F)cc2OC(C)C)cc1CN(C)C ZINC001243437914 1057683832 /nfs/dbraw/zinc/68/38/32/1057683832.db2.gz XMWSVOYQMRHJSX-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCN1C[C@@H]1CCCCO1 ZINC000728056290 1057685476 /nfs/dbraw/zinc/68/54/76/1057685476.db2.gz OOHNGFSCOYGXCG-XJKSGUPXSA-N 0 3 313.363 4.411 20 0 DIADHN Nc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ccc1F ZINC001243456233 1057689836 /nfs/dbraw/zinc/68/98/36/1057689836.db2.gz FTTNTXFCAGNZFW-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN c1ccc(-c2ccc(CN3CCCC[C@@H]3C3OCCO3)cc2)cc1 ZINC000728173219 1057694406 /nfs/dbraw/zinc/69/44/06/1057694406.db2.gz BVPGDNXFOKYLLJ-HXUWFJFHSA-N 0 3 323.436 4.081 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730023074 1057700553 /nfs/dbraw/zinc/70/05/53/1057700553.db2.gz RQUKDRNDIYOQMB-MELADBBJSA-N 0 3 318.486 4.245 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730020614 1057700810 /nfs/dbraw/zinc/70/08/10/1057700810.db2.gz CRMWJUZIRQRZEE-KBPBESRZSA-N 0 3 318.486 4.103 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730020618 1057700971 /nfs/dbraw/zinc/70/09/71/1057700971.db2.gz CRMWJUZIRQRZEE-KGLIPLIRSA-N 0 3 318.486 4.103 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1C[C@H]1CC1(Cl)Cl ZINC000730062913 1057701892 /nfs/dbraw/zinc/70/18/92/1057701892.db2.gz VWXXSTOVEGXFOM-OCCSQVGLSA-N 0 3 314.256 4.416 20 0 DIADHN Cc1ccnc(F)c1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001243549869 1057711039 /nfs/dbraw/zinc/71/10/39/1057711039.db2.gz JRJSCRQGTIVFFF-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCOc1cc(-c2ccc(OC)c(CN(C)C)c2)ccc1Cl ZINC001243571870 1057718806 /nfs/dbraw/zinc/71/88/06/1057718806.db2.gz NIKWNIPWBKOWGF-UHFFFAOYSA-N 0 3 319.832 4.476 20 0 DIADHN COc1c(Cl)ccc(-c2ncccc2CN2CCCC2)c1F ZINC001243575610 1057721028 /nfs/dbraw/zinc/72/10/28/1057721028.db2.gz YMEXXJYGHNYWIP-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COc1c(Cl)ccc(-c2cnccc2/C=C/N(C)C)c1F ZINC001243580204 1057722951 /nfs/dbraw/zinc/72/29/51/1057722951.db2.gz TZMOLQIEKBAAJO-VQHVLOKHSA-N 0 3 306.768 4.082 20 0 DIADHN CSc1ccc(Cl)cc1-c1ccc2c(c1)COC21CNC1 ZINC001243608339 1057731427 /nfs/dbraw/zinc/73/14/27/1057731427.db2.gz DHAKNFLDXKQAPG-UHFFFAOYSA-N 0 3 317.841 4.058 20 0 DIADHN CCCOc1c(F)cc(F)c(-c2cccc(CN(C)C)n2)c1F ZINC001243622863 1057737593 /nfs/dbraw/zinc/73/75/93/1057737593.db2.gz OYRPNEACVTXRKX-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cn1nccc1-c1ccncc1 ZINC000731447371 1057743608 /nfs/dbraw/zinc/74/36/08/1057743608.db2.gz LQLBOIPOCFMBPL-UHFFFAOYSA-N 0 3 320.440 4.061 20 0 DIADHN C[C@H](CN(C)Cn1ccc(-c2ccccc2)n1)c1ccccc1 ZINC000731660291 1057749678 /nfs/dbraw/zinc/74/96/78/1057749678.db2.gz GWPMNQVHFKQAOK-QGZVFWFLSA-N 0 3 305.425 4.243 20 0 DIADHN CN1CCN(c2cccc(-c3ccc(C(F)(F)F)cc3)c2)CC1 ZINC001243668642 1057749845 /nfs/dbraw/zinc/74/98/45/1057749845.db2.gz KFZYKCQLGCRFRX-UHFFFAOYSA-N 0 3 320.358 4.124 20 0 DIADHN Cc1[nH]c2ccc(-c3cccc(N4CCN(C)CC4)c3)cc2c1C ZINC001243671143 1057750138 /nfs/dbraw/zinc/75/01/38/1057750138.db2.gz JREFNBYCRQNFQP-UHFFFAOYSA-N 0 3 319.452 4.204 20 0 DIADHN COc1ccc(C2(NCc3ccc4c(c3)CC(C)(C)O4)CC2)cc1 ZINC000731678123 1057751786 /nfs/dbraw/zinc/75/17/86/1057751786.db2.gz GEXVCDISSKKZLR-UHFFFAOYSA-N 0 3 323.436 4.188 20 0 DIADHN COc1c(C)cnc(CN(C2CC2)[C@H]2CCc3ccccc32)c1C ZINC000731890064 1057757130 /nfs/dbraw/zinc/75/71/30/1057757130.db2.gz PGZDGJGZBYFUHM-FQEVSTJZSA-N 0 3 322.452 4.359 20 0 DIADHN Cc1cc(CO)cc(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001243704560 1057757630 /nfs/dbraw/zinc/75/76/30/1057757630.db2.gz ODKUQVWOUFXDNS-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(c2ccnc3ccccc32)CC1 ZINC000731921674 1057758997 /nfs/dbraw/zinc/75/89/97/1057758997.db2.gz NRHFNMKQDVZRTB-OAQYLSRUSA-N 0 3 318.420 4.185 20 0 DIADHN Cc1ccc2c(cccc2-c2ccc(CN(C)C3CC3)cn2)n1 ZINC001243742689 1057767646 /nfs/dbraw/zinc/76/76/46/1057767646.db2.gz VAULESMOYSZTDO-UHFFFAOYSA-N 0 3 303.409 4.199 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3ccnc(Cl)c3)C2)cc1 ZINC000732212104 1057770108 /nfs/dbraw/zinc/77/01/08/1057770108.db2.gz KOQNLOUEXIHXFZ-CQSZACIVSA-N 0 3 307.224 4.378 20 0 DIADHN O[C@@H](CN1CCCC2(CCCCC2)C1)c1cc(F)ccc1F ZINC000732214337 1057770552 /nfs/dbraw/zinc/77/05/52/1057770552.db2.gz FEVMHGRCLXTECM-KRWDZBQOSA-N 0 3 309.400 4.045 20 0 DIADHN CCc1cccc(NC(=O)CNCc2cccc3ccccc32)c1 ZINC000732230913 1057771296 /nfs/dbraw/zinc/77/12/96/1057771296.db2.gz VXEIVQIXYOOESG-UHFFFAOYSA-N 0 3 318.420 4.131 20 0 DIADHN CC1CCN(Cn2c3ccccc3nc2SC(F)F)CC1 ZINC000732409263 1057775305 /nfs/dbraw/zinc/77/53/05/1057775305.db2.gz MGPJURTXXXSRDA-UHFFFAOYSA-N 0 3 311.401 4.040 20 0 DIADHN CCOc1ncc(-c2cccc(CN3CCCC3)c2F)cc1F ZINC001243774632 1057775735 /nfs/dbraw/zinc/77/57/35/1057775735.db2.gz HNXOCCPKLZPNKX-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2ncc(C)s2)C2CC2)C[C@H]1OC ZINC001120006242 1057789557 /nfs/dbraw/zinc/78/95/57/1057789557.db2.gz YCWNASCPCAPHCO-RBSFLKMASA-N 0 3 308.491 4.086 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2ncc(C)s2)C2CC2)C[C@@H]1OC ZINC001120006241 1057789687 /nfs/dbraw/zinc/78/96/87/1057789687.db2.gz YCWNASCPCAPHCO-QLFBSQMISA-N 0 3 308.491 4.086 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000780568160 1057792230 /nfs/dbraw/zinc/79/22/30/1057792230.db2.gz XOARURKEQTZKMP-LBPRGKRZSA-N 0 3 305.324 4.007 20 0 DIADHN CC(=O)Nc1nc2ccc(-c3cc4[nH]ccc4c(C)n3)cc2s1 ZINC001243858997 1057794919 /nfs/dbraw/zinc/79/49/19/1057794919.db2.gz JFRICXPSEKJKJR-UHFFFAOYSA-N 0 3 322.393 4.106 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCOCC(F)(F)F)cc1F ZINC000733030220 1057797603 /nfs/dbraw/zinc/79/76/03/1057797603.db2.gz RNANZGIPIJIRRN-CQSZACIVSA-N 0 3 323.305 4.071 20 0 DIADHN CN(C)Cc1cncc(-c2cnc(F)c(-c3ccccc3)c2)c1 ZINC001243901345 1057801786 /nfs/dbraw/zinc/80/17/86/1057801786.db2.gz PFXBUKZVHWPGTK-UHFFFAOYSA-N 0 3 307.372 4.011 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2cccnc2Cl)cc1 ZINC000733311881 1057811891 /nfs/dbraw/zinc/81/18/91/1057811891.db2.gz XLVBYSDICBCZSM-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1nc2ccc(-c3ccc4nc(C(F)(F)F)[nH]c4c3)cc2[nH]1 ZINC001243965023 1057822601 /nfs/dbraw/zinc/82/26/01/1057822601.db2.gz LUJRNCQFWCIEPG-UHFFFAOYSA-N 0 3 316.286 4.433 20 0 DIADHN COc1cc(O)ccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001243985289 1057829442 /nfs/dbraw/zinc/82/94/42/1057829442.db2.gz OCSZNTGICLPHQO-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CC(C)(C)c1cc(-c2cncc(CN3CCCCC3)c2)ccn1 ZINC001244022394 1057841419 /nfs/dbraw/zinc/84/14/19/1057841419.db2.gz FHKHVIYYSDVEPU-UHFFFAOYSA-N 0 3 309.457 4.427 20 0 DIADHN CCOc1ccc(-c2ccc3[nH]c(N)nc3c2)c(C(F)(F)F)c1 ZINC001244046708 1057848123 /nfs/dbraw/zinc/84/81/23/1057848123.db2.gz FBWTYOYPPWVZQX-UHFFFAOYSA-N 0 3 321.302 4.230 20 0 DIADHN CCOc1ccc(-c2ccc3nc(N)[nH]c3c2)c(C(F)(F)F)c1 ZINC001244046708 1057848128 /nfs/dbraw/zinc/84/81/28/1057848128.db2.gz FBWTYOYPPWVZQX-UHFFFAOYSA-N 0 3 321.302 4.230 20 0 DIADHN COc1cccc(C(F)(F)F)c1-c1cnccc1/C=C/N(C)C ZINC001244084408 1057862955 /nfs/dbraw/zinc/86/29/55/1057862955.db2.gz CSYPFDQXOOCCTK-CSKARUKUSA-N 0 3 322.330 4.308 20 0 DIADHN Fc1ccc(-c2ccc3c(n2)OCCNC3)cc1-c1ccccc1 ZINC001244092226 1057865737 /nfs/dbraw/zinc/86/57/37/1057865737.db2.gz PAELPWYERVYRMH-UHFFFAOYSA-N 0 3 320.367 4.037 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(COc3ccccc3)cc2)c1 ZINC001244185656 1057894865 /nfs/dbraw/zinc/89/48/65/1057894865.db2.gz LJXAZCGIGCYONR-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CCCN(CCC)C(=O)c1cccc(-c2cncc3cc[nH]c32)c1 ZINC001244213747 1057902193 /nfs/dbraw/zinc/90/21/93/1057902193.db2.gz FPFQGCMEHVRIFZ-UHFFFAOYSA-N 0 3 321.424 4.492 20 0 DIADHN COc1cc(F)c(-c2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001244351669 1057939689 /nfs/dbraw/zinc/93/96/89/1057939689.db2.gz GVCUSKXHLOLNAP-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN CCCOc1cc(F)ccc1-c1cnccc1/C=C/N(C)C ZINC001244359794 1057943900 /nfs/dbraw/zinc/94/39/00/1057943900.db2.gz IKDWZGHXLJYKIR-CSKARUKUSA-N 0 3 300.377 4.209 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cc(OC(F)(F)F)ccc2O)n1 ZINC001244456222 1057963292 /nfs/dbraw/zinc/96/32/92/1057963292.db2.gz CHJIVBRDRBWIAF-UHFFFAOYSA-N 0 3 308.259 4.143 20 0 DIADHN Cc1cc(-c2ccc(C[N@H+]3CCCC[C@@H]3C)cn2)cc([O-])c1F ZINC001244505629 1057979613 /nfs/dbraw/zinc/97/96/13/1057979613.db2.gz BBOXTHXODGTEOX-AWEZNQCLSA-N 0 3 314.404 4.276 20 0 DIADHN Cc1cc(-c2ccc(C[N@@H+]3CCCC[C@@H]3C)cn2)cc([O-])c1F ZINC001244505629 1057979616 /nfs/dbraw/zinc/97/96/16/1057979616.db2.gz BBOXTHXODGTEOX-AWEZNQCLSA-N 0 3 314.404 4.276 20 0 DIADHN Fc1ccc(Cl)c(Cl)c1-c1ccc2c(c1)COC21CNC1 ZINC001244513603 1057982427 /nfs/dbraw/zinc/98/24/27/1057982427.db2.gz WPNZBSCUWOLOTO-UHFFFAOYSA-N 0 3 324.182 4.128 20 0 DIADHN OCc1c(Cl)cccc1-c1cccc(CN2CCCC2)c1F ZINC001244579256 1058000797 /nfs/dbraw/zinc/00/07/97/1058000797.db2.gz PWJMBDMVLGBJEO-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN CCCOc1cc(-c2ccc3c(N)ccnc3c2)c(Cl)cn1 ZINC001244608778 1058008371 /nfs/dbraw/zinc/00/83/71/1058008371.db2.gz ATOBXYLMTCPUFE-UHFFFAOYSA-N 0 3 313.788 4.321 20 0 DIADHN CC(=O)c1ccc(F)c(-c2cccc(CN3CCCC3)c2)c1F ZINC001244619481 1058011694 /nfs/dbraw/zinc/01/16/94/1058011694.db2.gz UPRTXUGVOCRFLG-UHFFFAOYSA-N 0 3 315.363 4.430 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2ccnc(CN)c2)c1F ZINC001244641378 1058017782 /nfs/dbraw/zinc/01/77/82/1058017782.db2.gz CXEGIZZHJUEXTC-UHFFFAOYSA-N 0 3 322.383 4.234 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(NCc3ccccc3)cc2)c1 ZINC001244643073 1058018359 /nfs/dbraw/zinc/01/83/59/1058018359.db2.gz HSFPVXLMPOSDJZ-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1-c1ccc2c(n1)CNCC2 ZINC001244651637 1058020065 /nfs/dbraw/zinc/02/00/65/1058020065.db2.gz BVHIOJACSUWYIC-UHFFFAOYSA-N 0 3 318.420 4.335 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(C)c(CO)c(C)c2)cc1F ZINC001244713332 1058033384 /nfs/dbraw/zinc/03/33/84/1058033384.db2.gz YGTHYPPFJRETEQ-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN COc1ccc(-c2ccc(N)cc2C(F)(F)F)cc1CN(C)C ZINC001244760030 1058042800 /nfs/dbraw/zinc/04/28/00/1058042800.db2.gz QPZMCJMFLZXEJZ-UHFFFAOYSA-N 0 3 324.346 4.025 20 0 DIADHN COc1cc(Oc2ccccc2)ccc1-c1cccc(CN)n1 ZINC001244872992 1058062326 /nfs/dbraw/zinc/06/23/26/1058062326.db2.gz JOZIOVQZFOBXDJ-UHFFFAOYSA-N 0 3 306.365 4.008 20 0 DIADHN OCc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001244912407 1058069934 /nfs/dbraw/zinc/06/99/34/1058069934.db2.gz BOHOAKDOZXHWKC-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN COc1cc(-c2ccc(CN3CCCC3)c(F)c2)c(Cl)cn1 ZINC001245038862 1058099836 /nfs/dbraw/zinc/09/98/36/1058099836.db2.gz FISMKKPQMQREHD-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN Cc1cc(Cl)ccc1-c1cc(OC2CCN(C)CC2)ccn1 ZINC001245087618 1058110288 /nfs/dbraw/zinc/11/02/88/1058110288.db2.gz NMUKYBBTJHAVDF-UHFFFAOYSA-N 0 3 316.832 4.183 20 0 DIADHN OCc1cc(Cl)cc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001245094049 1058111181 /nfs/dbraw/zinc/11/11/81/1058111181.db2.gz STWMDQDAROUHOB-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN CCOC(=O)/C=C/c1ccc(-c2ccc3c(N)ccnc3c2)cc1 ZINC001245130999 1058117728 /nfs/dbraw/zinc/11/77/28/1058117728.db2.gz JTYVXKLLWMJVBV-BJMVGYQFSA-N 0 3 318.376 4.060 20 0 DIADHN NCc1ccc(-c2ccccc2OCc2cccc(Cl)c2)cn1 ZINC001245215409 1058128440 /nfs/dbraw/zinc/12/84/40/1058128440.db2.gz WZXMKVBBDYRXFS-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN Cc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245427986 1058164736 /nfs/dbraw/zinc/16/47/36/1058164736.db2.gz ZZLMVIYDBCJZSV-SFHVURJKSA-N 0 3 307.437 4.416 20 0 DIADHN COc1cccc2c1cccc2C1=CC[C@H](N2CCOCC2)CC1 ZINC001245425397 1058164815 /nfs/dbraw/zinc/16/48/15/1058164815.db2.gz VANARMFTBQNOEF-KRWDZBQOSA-N 0 3 323.436 4.117 20 0 DIADHN c1ccc(-c2cnccc2C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001245425435 1058164900 /nfs/dbraw/zinc/16/49/00/1058164900.db2.gz KMIYVTWJKOFWBI-LJQANCHMSA-N 0 3 320.436 4.017 20 0 DIADHN Cc1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1OC(C)C ZINC001245429996 1058165244 /nfs/dbraw/zinc/16/52/44/1058165244.db2.gz UBAUVXYXIRVYLH-IBGZPJMESA-N 0 3 315.457 4.050 20 0 DIADHN CN1CCc2ccc(-c3cccc(Br)c3F)cc2C1 ZINC001245486063 1058180374 /nfs/dbraw/zinc/18/03/74/1058180374.db2.gz KBLAHJSPSLBKFH-UHFFFAOYSA-N 0 3 320.205 4.243 20 0 DIADHN CCN(CC)Cc1ccc(-c2cn(C)c3cnccc23)cc1F ZINC001245490820 1058181709 /nfs/dbraw/zinc/18/17/09/1058181709.db2.gz BQXOXUAKMSMVNK-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCC[C@H](C)CC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001545216712 1058187373 /nfs/dbraw/zinc/18/73/73/1058187373.db2.gz LPHDVAYATRUDGQ-VIQWUECVSA-N 0 3 322.537 4.172 20 0 DIADHN CCC[C@@H](C)CC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001545216711 1058187388 /nfs/dbraw/zinc/18/73/88/1058187388.db2.gz LPHDVAYATRUDGQ-LMDPOFIKSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@@H]1CC[C@@H](OC(=O)C2(C)CCN(Cc3ccccc3)CC2)C1 ZINC001527758823 1058230223 /nfs/dbraw/zinc/23/02/23/1058230223.db2.gz FGLCFRQFNHZWTJ-SJLPKXTDSA-N 0 3 315.457 4.021 20 0 DIADHN Cc1ccc2[nH]c(-c3cc(OC4CCN(C)CC4)ccn3)cc2c1 ZINC001245572196 1058238791 /nfs/dbraw/zinc/23/87/91/1058238791.db2.gz WKBKRUAOZXVZTR-UHFFFAOYSA-N 0 3 321.424 4.011 20 0 DIADHN CN(Cc1ccc(-c2cc3c(cccc3Cl)[nH]2)nc1)C1CC1 ZINC001245579957 1058247555 /nfs/dbraw/zinc/24/75/55/1058247555.db2.gz JUFVKXFSYZOUPN-UHFFFAOYSA-N 0 3 311.816 4.478 20 0 DIADHN COC(=O)c1ccc2[nH]c(-c3ccc(C4=NCCC4)cc3)cc2c1 ZINC001245584307 1058253051 /nfs/dbraw/zinc/25/30/51/1058253051.db2.gz KDGXFFQBXPTADK-UHFFFAOYSA-N 0 3 318.376 4.204 20 0 DIADHN Cc1nc([C@@H]2CCCCN2CCC2CCOCC2)sc1C ZINC001548840975 1058262479 /nfs/dbraw/zinc/26/24/79/1058262479.db2.gz MWDKVOVXGFKLLU-INIZCTEOSA-N 0 3 308.491 4.104 20 0 DIADHN CCCN(CCN1CCCCCC1)C(=O)c1sccc1CC ZINC001527935494 1058277996 /nfs/dbraw/zinc/27/79/96/1058277996.db2.gz KXLSYLFXLXISRT-UHFFFAOYSA-N 0 3 322.518 4.039 20 0 DIADHN Cc1cccc2c1CCC[C@H]2N[C@@H](C)c1cnn2c1CCCC2 ZINC001549192571 1058286665 /nfs/dbraw/zinc/28/66/65/1058286665.db2.gz FJVIAAZAERAAIV-HNAYVOBHSA-N 0 3 309.457 4.256 20 0 DIADHN Cc1cccc2c1CCC[C@H]2N[C@H](C)c1cnn2c1CCCC2 ZINC001549192570 1058287017 /nfs/dbraw/zinc/28/70/17/1058287017.db2.gz FJVIAAZAERAAIV-DNVCBOLYSA-N 0 3 309.457 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCCO[C@@H]1c1ccc(Cl)cc1)c1ccccn1 ZINC001549775809 1058303819 /nfs/dbraw/zinc/30/38/19/1058303819.db2.gz TXTOFJYTUXKRLH-MORSLUCNSA-N 0 3 316.832 4.306 20 0 DIADHN COc1ccc(-c2c(O)cccc2F)c(CN2CCCCC2)c1 ZINC001245656469 1058312249 /nfs/dbraw/zinc/31/22/49/1058312249.db2.gz WAUQWRCOYPAYHN-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)C(C)(C)N1Cc1nnc(C2CC2)o1 ZINC001550157410 1058318772 /nfs/dbraw/zinc/31/87/72/1058318772.db2.gz RVNZLLWKZWAIFE-CJNGLKHVSA-N 0 3 311.429 4.104 20 0 DIADHN CCCC[C@H](C)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001518298737 1058331024 /nfs/dbraw/zinc/33/10/24/1058331024.db2.gz CFVUCNHCVZSFMH-PKOBYXMFSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1c1ccccc1)c1ncc(Cl)n1C ZINC001550348605 1058339025 /nfs/dbraw/zinc/33/90/25/1058339025.db2.gz LFJYAXKEBOIGPW-CFVMTHIKSA-N 0 3 303.837 4.060 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2N[C@H](C)c1cnc(Cl)n1C ZINC001550520033 1058341115 /nfs/dbraw/zinc/34/11/15/1058341115.db2.gz DRQLCTAERUDDRF-DOMZBBRYSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@H](N[C@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1ccns1 ZINC001550520719 1058346008 /nfs/dbraw/zinc/34/60/08/1058346008.db2.gz FZSUCYUHIAYWIK-PJODQICGSA-N 0 3 322.861 4.367 20 0 DIADHN Cc1cc(-c2ccc(F)c(CN3CCCC3)c2)c(C)cc1CO ZINC001245703594 1058369803 /nfs/dbraw/zinc/36/98/03/1058369803.db2.gz XVQXVSILGFIIOC-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN CC(C)Oc1cc(F)c(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001245707681 1058379416 /nfs/dbraw/zinc/37/94/16/1058379416.db2.gz LLRNPDKPNWPXTE-UHFFFAOYSA-N 0 3 319.767 4.392 20 0 DIADHN CC(C)Oc1cc(F)c(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001245707681 1058379437 /nfs/dbraw/zinc/37/94/37/1058379437.db2.gz LLRNPDKPNWPXTE-UHFFFAOYSA-N 0 3 319.767 4.392 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](c3ccccc3)O[C@@H](C)C2)s1 ZINC001551259155 1058381364 /nfs/dbraw/zinc/38/13/64/1058381364.db2.gz PTSIFASZFHSYPW-SUMWQHHRSA-N 0 3 316.470 4.112 20 0 DIADHN C[C@@H]1CN(C[C@H]2CC2(Cl)Cl)CC[C@H](c2ccccc2)O1 ZINC001551254266 1058383727 /nfs/dbraw/zinc/38/37/27/1058383727.db2.gz BIAKEAPNSVRTFU-BPLDGKMQSA-N 0 3 314.256 4.032 20 0 DIADHN C[C@@H]1CN(Cc2ccc3c(c2)COC3)CC[C@@H](c2ccccc2)O1 ZINC001551261472 1058385641 /nfs/dbraw/zinc/38/56/41/1058385641.db2.gz WQOSRPLCPDISME-IERDGZPVSA-N 0 3 323.436 4.069 20 0 DIADHN COc1c(Cl)ccc(F)c1-c1ncccc1CN1CCCC1 ZINC001245731987 1058410981 /nfs/dbraw/zinc/41/09/81/1058410981.db2.gz XNXJKKYYVGSCOJ-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN C[C@H](CC(=O)N1CCN(CC2CCCCC2)CC1)CC(C)(C)C ZINC001519266185 1058413072 /nfs/dbraw/zinc/41/30/72/1058413072.db2.gz STJNJRFONYNCIL-QGZVFWFLSA-N 0 3 322.537 4.173 20 0 DIADHN CCCC[C@@H](C(=O)N1CCN(CC2CCCCC2)CC1)C(C)C ZINC001519266609 1058413789 /nfs/dbraw/zinc/41/37/89/1058413789.db2.gz XWNGDOHISCIVSH-LJQANCHMSA-N 0 3 322.537 4.173 20 0 DIADHN Cc1ccc2c(ccc(C)c2C(=O)NC[C@@H]2CCN2C(C)(C)C)c1 ZINC001528503520 1058441111 /nfs/dbraw/zinc/44/11/11/1058441111.db2.gz LWYKCXSTASANEZ-KRWDZBQOSA-N 0 3 324.468 4.059 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001528620147 1058470076 /nfs/dbraw/zinc/47/00/76/1058470076.db2.gz SKNOULORWJMLAE-LJQANCHMSA-N 0 3 322.537 4.173 20 0 DIADHN c1oc(-c2ccccn2)nc1CN[C@H]1CCC[C@@H]1c1ccccc1 ZINC001553868986 1058503055 /nfs/dbraw/zinc/50/30/55/1058503055.db2.gz WTQXZSDKDICOHB-MSOLQXFVSA-N 0 3 319.408 4.163 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NCc1cc2cccnc2o1 ZINC001553968407 1058511286 /nfs/dbraw/zinc/51/12/86/1058511286.db2.gz KJDLMBQBPHRRSC-BFUOFWGJSA-N 0 3 322.408 4.250 20 0 DIADHN C[C@@H]1CN(Cc2cc3cccnc3o2)CC[C@@H](c2ccccc2)O1 ZINC001553969886 1058512790 /nfs/dbraw/zinc/51/27/90/1058512790.db2.gz ZJDFTCJXQZMADJ-BEFAXECRSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H]1CN(Cc2cc3cnccc3o2)CC[C@H](c2ccccc2)O1 ZINC001553967752 1058513187 /nfs/dbraw/zinc/51/31/87/1058513187.db2.gz CYLUDNQKUVVNKN-MGPUTAFESA-N 0 3 322.408 4.180 20 0 DIADHN CSc1c(Cl)c(C)ccc1-c1ccc2[nH]c(N)nc2c1 ZINC001245834801 1058539219 /nfs/dbraw/zinc/53/92/19/1058539219.db2.gz VYURXHQKPJHCSB-UHFFFAOYSA-N 0 3 303.818 4.496 20 0 DIADHN CSc1c(Cl)c(C)ccc1-c1ccc2nc(N)[nH]c2c1 ZINC001245834801 1058539238 /nfs/dbraw/zinc/53/92/38/1058539238.db2.gz VYURXHQKPJHCSB-UHFFFAOYSA-N 0 3 303.818 4.496 20 0 DIADHN NCc1ccc(-c2cc(F)cc(C(F)(F)F)c2Cl)cn1 ZINC001245842588 1058547449 /nfs/dbraw/zinc/54/74/49/1058547449.db2.gz XBEXFBMTGAZBMC-UHFFFAOYSA-N 0 3 304.674 4.019 20 0 DIADHN CC(C)Oc1c(F)cc(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001245868523 1058574832 /nfs/dbraw/zinc/57/48/32/1058574832.db2.gz URMBMCSRTKFEFQ-UHFFFAOYSA-N 0 3 322.811 4.390 20 0 DIADHN CC[C@@H](CC(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1)C(C)C ZINC001556360097 1058584353 /nfs/dbraw/zinc/58/43/53/1058584353.db2.gz LQLHWRUECWCBTA-WMLDXEAASA-N 0 3 324.896 4.131 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(Cl)n1 ZINC001245878103 1058584840 /nfs/dbraw/zinc/58/48/40/1058584840.db2.gz BRQURXRQVRBCQT-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COc1ccc(F)c(-c2ccc3c(c2)CN(C)CC3)c1Cl ZINC001245888872 1058601099 /nfs/dbraw/zinc/60/10/99/1058601099.db2.gz ORKNMBDBHDOPDO-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN C[C@@H](C(=O)N[C@@H](C1CCC1)C1CCCCC1)N1[C@H](C)CC[C@@H]1C ZINC001557176306 1058714079 /nfs/dbraw/zinc/71/40/79/1058714079.db2.gz ZXHOOCYXPYNXNP-CYJAXWMASA-N 0 3 320.521 4.113 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)C[C@H](C)CCC=C(C)C)CC1 ZINC001557293367 1058729065 /nfs/dbraw/zinc/72/90/65/1058729065.db2.gz NXLHZNYWNDTTKX-QGZVFWFLSA-N 0 3 308.510 4.092 20 0 DIADHN C[C@@H](NC(=O)C1(C)CCC(C)CC1)[C@@H](c1ccccc1)N(C)C ZINC001529634093 1058776746 /nfs/dbraw/zinc/77/67/46/1058776746.db2.gz YOPYGMYGOMQOLM-WXNRQPJFSA-N 0 3 316.489 4.010 20 0 DIADHN CCCCCC[C@H](CC)CN(C)C(=O)CN1CCC(C)CC1 ZINC001557647989 1058780560 /nfs/dbraw/zinc/78/05/60/1058780560.db2.gz DQFCPZPFDDNYLA-SFHVURJKSA-N 0 3 310.526 4.173 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1CCN(C)C(C)(C)CC1 ZINC001557733047 1058787137 /nfs/dbraw/zinc/78/71/37/1058787137.db2.gz ZYAKCHKMILHNLW-IBGZPJMESA-N 0 3 310.526 4.316 20 0 DIADHN CC[C@@H](OC(=O)c1ccc(CN(CC)CC)o1)c1ccccc1 ZINC001521311990 1058818911 /nfs/dbraw/zinc/81/89/11/1058818911.db2.gz GGHGWTLOXNYAFK-QGZVFWFLSA-N 0 3 315.413 4.430 20 0 DIADHN CC(C)c1ccc(C(=O)NC[C@H](C)N2CCCCC2)cc1Cl ZINC001558917163 1058922151 /nfs/dbraw/zinc/92/21/51/1058922151.db2.gz POQIHXLSHSQJEC-AWEZNQCLSA-N 0 3 322.880 4.068 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2ccc(Cl)c(C)c2)o1 ZINC001521666107 1058935448 /nfs/dbraw/zinc/93/54/48/1058935448.db2.gz IULIDPUNKRCIAP-UHFFFAOYSA-N 0 3 321.804 4.302 20 0 DIADHN CCCC[C@H](CC)CN1C[C@@H]2COC[C@H](C1)N2CCC(C)(C)C ZINC001278452328 1058994862 /nfs/dbraw/zinc/99/48/62/1058994862.db2.gz SFMKCCKZOGOTQX-GBESFXJTSA-N 0 3 324.553 4.024 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC001530839150 1059161384 /nfs/dbraw/zinc/16/13/84/1059161384.db2.gz IVYNEBSHQOZMON-SJLPKXTDSA-N 0 3 314.473 4.036 20 0 DIADHN FC(F)(F)c1cc2[nH]c([C@@H]3CCCCN3)nc2c(Cl)c1 ZINC001247007101 1059231303 /nfs/dbraw/zinc/23/13/03/1059231303.db2.gz CAXZJSFSBMKKRG-VIFPVBQESA-N 0 3 303.715 4.050 20 0 DIADHN CCc1csc(SCCN(C)Cc2ccc(F)cc2)n1 ZINC001559447844 1059236425 /nfs/dbraw/zinc/23/64/25/1059236425.db2.gz ZCVXJMDBEPKSPC-UHFFFAOYSA-N 0 3 310.463 4.069 20 0 DIADHN C[C@@H](N)c1nc2cc(F)cc(-c3ccc(C(F)(F)F)cc3)c2[nH]1 ZINC001247015900 1059245777 /nfs/dbraw/zinc/24/57/77/1059245777.db2.gz VPMGQUXAWGBEMF-MRVPVSSYSA-N 0 3 323.293 4.408 20 0 DIADHN C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC001523467703 1059248729 /nfs/dbraw/zinc/24/87/29/1059248729.db2.gz CIPPSYKXTBUDKL-WBVHZDCISA-N 0 3 318.505 4.256 20 0 DIADHN C[C@@H](NC(=O)C(C)(C)CC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC001523467639 1059250496 /nfs/dbraw/zinc/25/04/96/1059250496.db2.gz CIPPSYKXTBUDKL-NVXWUHKLSA-N 0 3 318.505 4.256 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001523467609 1059250705 /nfs/dbraw/zinc/25/07/05/1059250705.db2.gz BZZOGTCFVOTDQL-MMTROXRISA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1CCSc1ccncc1 ZINC001559483077 1059255554 /nfs/dbraw/zinc/25/55/54/1059255554.db2.gz YEMJJSGALIRGSS-OAHLLOKOSA-N 0 3 304.484 4.245 20 0 DIADHN CCCn1c2cc(OCC)ccc2nc1[C@H](N)Cc1ccccc1 ZINC001247041858 1059261053 /nfs/dbraw/zinc/26/10/53/1059261053.db2.gz AUPGFQOMJDHODI-QGZVFWFLSA-N 0 3 323.440 4.088 20 0 DIADHN CO[C@@H](CN(C)Cc1cc(Cl)cc(Cl)c1)C1CCC1 ZINC001559487616 1059262449 /nfs/dbraw/zinc/26/24/49/1059262449.db2.gz JQLOJDDDDZFIQI-HNNXBMFYSA-N 0 3 302.245 4.240 20 0 DIADHN COC(=O)c1sccc1CN1CCC[C@@H]1C1CCCCC1 ZINC001559488663 1059267292 /nfs/dbraw/zinc/26/72/92/1059267292.db2.gz PGJFWYQSUAXDPC-OAHLLOKOSA-N 0 3 307.459 4.079 20 0 DIADHN CC(C)[C@H](C)n1c2ccccc2nc1[C@H](N)Cc1ccccc1 ZINC001247045856 1059268837 /nfs/dbraw/zinc/26/88/37/1059268837.db2.gz IYRSMLWQCJVOHW-DOTOQJQBSA-N 0 3 307.441 4.496 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2ccc(F)cc2F)c1 ZINC001559490887 1059270896 /nfs/dbraw/zinc/27/08/96/1059270896.db2.gz PJCVIOFZGWRDMA-QGZVFWFLSA-N 0 3 317.379 4.181 20 0 DIADHN Cc1cc(C)c(CN(C)CC(C)C)c(OCc2ccccc2)n1 ZINC001559504184 1059290626 /nfs/dbraw/zinc/29/06/26/1059290626.db2.gz JEQFALSVYNUONY-UHFFFAOYSA-N 0 3 312.457 4.365 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC(OC2CCCC2)CC1 ZINC001559516558 1059310451 /nfs/dbraw/zinc/31/04/51/1059310451.db2.gz VQNYKMMNJLEJER-UHFFFAOYSA-N 0 3 323.427 4.321 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CCCC2(CCCCC2)C1 ZINC001559528750 1059325191 /nfs/dbraw/zinc/32/51/91/1059325191.db2.gz QPORWUHFVACMOU-UHFFFAOYSA-N 0 3 319.445 4.175 20 0 DIADHN CC(C)(C)CN(CCCO)Cc1cccc(Cl)c1Cl ZINC001559530770 1059327627 /nfs/dbraw/zinc/32/76/27/1059327627.db2.gz DAOSRFYTCNVNCB-UHFFFAOYSA-N 0 3 304.261 4.224 20 0 DIADHN CCCC[C@H](CC)CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C ZINC001559528965 1059328796 /nfs/dbraw/zinc/32/87/96/1059328796.db2.gz YIOYKZYIYNSVLO-CVEARBPZSA-N 0 3 312.498 4.144 20 0 DIADHN c1csc(-c2ccc(CN3CCN(C4CCC4)CC3)cc2)c1 ZINC001559537637 1059337768 /nfs/dbraw/zinc/33/77/68/1059337768.db2.gz CABCHHPGQUCNQS-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1ccc2ccccc2c1 ZINC001559553258 1059362970 /nfs/dbraw/zinc/36/29/70/1059362970.db2.gz XDWOUMVRECBGDO-IBGZPJMESA-N 0 3 310.441 4.433 20 0 DIADHN N[C@H](Cc1nc(-c2cccc3ccccc32)no1)c1cccs1 ZINC001247261449 1059364263 /nfs/dbraw/zinc/36/42/63/1059364263.db2.gz VVEQAJCCKMFDQF-OAHLLOKOSA-N 0 3 321.405 4.194 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C(C)C)nc2CC)C1(C)C ZINC001559557006 1059368242 /nfs/dbraw/zinc/36/82/42/1059368242.db2.gz ODICYMWUQSPLQM-RBUKOAKNSA-N 0 3 318.505 4.403 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2cncc(Cl)c2)C1 ZINC001559554839 1059368313 /nfs/dbraw/zinc/36/83/13/1059368313.db2.gz MEICJFHAUSJGMW-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@@H](O)c2cccc(C)c2)s1 ZINC001559555343 1059369491 /nfs/dbraw/zinc/36/94/91/1059369491.db2.gz ALDDVUWVJWTFNW-GDBMZVCRSA-N 0 3 318.486 4.049 20 0 DIADHN COc1cc(C)nc(CN(C)CC(C)(C)c2ccc(C)cc2)c1 ZINC001559566761 1059394253 /nfs/dbraw/zinc/39/42/53/1059394253.db2.gz NCLNUKCMHFMQQT-UHFFFAOYSA-N 0 3 312.457 4.117 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC001559572160 1059405651 /nfs/dbraw/zinc/40/56/51/1059405651.db2.gz ONBCPZGEXNSINZ-HOCLYGCPSA-N 0 3 323.864 4.455 20 0 DIADHN CO[C@@H](CN1CCSC2(CCCCC2)C1)c1ccccc1 ZINC001559589100 1059421777 /nfs/dbraw/zinc/42/17/77/1059421777.db2.gz RTGGOPHOPDMFOH-KRWDZBQOSA-N 0 3 305.487 4.126 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1cncc(Cl)c1 ZINC001559590159 1059424686 /nfs/dbraw/zinc/42/46/86/1059424686.db2.gz JCUFWOBEAATCLA-PBHICJAKSA-N 0 3 300.833 4.188 20 0 DIADHN Clc1ccccc1CN1CCN(CC2CC3(CCC3)C2)CC1 ZINC001559596881 1059431437 /nfs/dbraw/zinc/43/14/37/1059431437.db2.gz SPNOPCBLYAEOCJ-UHFFFAOYSA-N 0 3 318.892 4.038 20 0 DIADHN CC(C)[C@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)C1 ZINC001559596446 1059432072 /nfs/dbraw/zinc/43/20/72/1059432072.db2.gz OAYGEORYMABCBI-IBGZPJMESA-N 0 3 322.452 4.270 20 0 DIADHN Clc1ccc(C2=CCN(C[C@H]3CC[C@H](C4CC4)O3)CC2)cc1 ZINC001559618334 1059448969 /nfs/dbraw/zinc/44/89/69/1059448969.db2.gz AZUDPHUACVXHQT-RTBURBONSA-N 0 3 317.860 4.387 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001559616169 1059449242 /nfs/dbraw/zinc/44/92/42/1059449242.db2.gz UEKLKBOUOHCCQB-WMLDXEAASA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1nccn1Cc1ccccc1 ZINC001559625219 1059452405 /nfs/dbraw/zinc/45/24/05/1059452405.db2.gz LJUXRXVAPICADS-LJQANCHMSA-N 0 3 311.473 4.332 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1)NC(=O)CCCCC(C)(C)C ZINC001524173858 1059457979 /nfs/dbraw/zinc/45/79/79/1059457979.db2.gz IWECXINMMYLHPD-QGZVFWFLSA-N 0 3 318.505 4.230 20 0 DIADHN Fc1ccc(CN(C[C@H]2CCCCO2)C2CCCC2)c(F)c1 ZINC001559634832 1059461215 /nfs/dbraw/zinc/46/12/15/1059461215.db2.gz ASEWMOOLZFJLKP-QGZVFWFLSA-N 0 3 309.400 4.279 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(F)c(F)c2)no1 ZINC001247419644 1059474266 /nfs/dbraw/zinc/47/42/66/1059474266.db2.gz ZEKJJJMMNWSDMI-NSHDSACASA-N 0 3 309.360 4.198 20 0 DIADHN CCn1nnc(C)c1CN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC001559649796 1059474859 /nfs/dbraw/zinc/47/48/59/1059474859.db2.gz OLCADHXKMAGWNX-KQDNUWKFSA-N 0 3 324.472 4.064 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)CCOC1CC1 ZINC001559649849 1059475107 /nfs/dbraw/zinc/47/51/07/1059475107.db2.gz PSURFPLGLBOXTD-AWEZNQCLSA-N 0 3 316.470 4.290 20 0 DIADHN CC(C)C[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559649298 1059475624 /nfs/dbraw/zinc/47/56/24/1059475624.db2.gz CPMGCCDVYQHRGS-HUUCEWRRSA-N 0 3 322.880 4.425 20 0 DIADHN C[C@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)C[C@H]1C ZINC001559654063 1059481794 /nfs/dbraw/zinc/48/17/94/1059481794.db2.gz CJTYZENDLQGECA-DLBZAZTESA-N 0 3 310.441 4.139 20 0 DIADHN Nc1cc(CN(Cc2ccccc2F)C2CCCCC2)ccn1 ZINC001559661010 1059493501 /nfs/dbraw/zinc/49/35/01/1059493501.db2.gz KALBCCTZUWBHMB-UHFFFAOYSA-N 0 3 313.420 4.138 20 0 DIADHN CCc1onc(C)c1CN1C[C@@H](C)C[C@H]1c1cccc(OC)c1 ZINC001559676107 1059503201 /nfs/dbraw/zinc/50/32/01/1059503201.db2.gz VVZDEVVWWRZALI-UGSOOPFHSA-N 0 3 314.429 4.137 20 0 DIADHN CCc1ccc(-c2ccc(-c3noc([C@@H]4CCCN4)n3)cc2)cc1 ZINC001247496269 1059503643 /nfs/dbraw/zinc/50/36/43/1059503643.db2.gz AKMDMGICYYQFKM-SFHVURJKSA-N 0 3 319.408 4.391 20 0 DIADHN CCc1ccccc1CCN(C)Cc1cc(F)c(F)c(F)c1 ZINC001559678643 1059507854 /nfs/dbraw/zinc/50/78/54/1059507854.db2.gz ZJTQMZIKCNWXGA-UHFFFAOYSA-N 0 3 307.359 4.341 20 0 DIADHN CCOC(=O)/C=C/CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001559689945 1059518982 /nfs/dbraw/zinc/51/89/82/1059518982.db2.gz AXYTZQMSCGGPNT-MOEXGYKKSA-N 0 3 307.409 4.012 20 0 DIADHN CCCCC[C@H](NCC(=O)NCC(C)(C)C)c1ccccc1 ZINC001559710553 1059539281 /nfs/dbraw/zinc/53/92/81/1059539281.db2.gz LIWQWQSOWPJFPG-KRWDZBQOSA-N 0 3 304.478 4.060 20 0 DIADHN CCCCCC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001532159620 1059540035 /nfs/dbraw/zinc/54/00/35/1059540035.db2.gz VNWBRAPSLLEZDZ-KRWDZBQOSA-N 0 3 324.896 4.419 20 0 DIADHN Cc1ccc([C@@H](C)c2nc(-c3ccc(CN(C)C)cc3)no2)cc1 ZINC001247556782 1059539700 /nfs/dbraw/zinc/53/97/00/1059539700.db2.gz HLRMZBLDMRJQRK-OAHLLOKOSA-N 0 3 321.424 4.258 20 0 DIADHN Cc1c(Br)cccc1CN1CCS[C@@H](C)CC1 ZINC001559748774 1059581009 /nfs/dbraw/zinc/58/10/09/1059581009.db2.gz VUBUPKXQINMNRB-NSHDSACASA-N 0 3 314.292 4.085 20 0 DIADHN COC/C(C)=C\CN[C@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001559723781 1059553554 /nfs/dbraw/zinc/55/35/54/1059553554.db2.gz IRIYTJZKRHWINX-JEMSNHSXSA-N 0 3 301.352 4.171 20 0 DIADHN CCOC(=O)[C@H](C)[C@H](C)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC001559726746 1059559009 /nfs/dbraw/zinc/55/90/09/1059559009.db2.gz YTWURCQAISIZCJ-VWYCJHECSA-N 0 3 318.244 4.232 20 0 DIADHN COCC[C@@H](NC[C@H]1CCC2(CCCCCC2)O1)c1ccco1 ZINC001559736544 1059566870 /nfs/dbraw/zinc/56/68/70/1059566870.db2.gz NXISNYJUQQZFQY-IAGOWNOFSA-N 0 3 321.461 4.219 20 0 DIADHN Cc1ccc(C(=O)N(CCN(C)C)C2CCCC2)c2ccccc12 ZINC001532256114 1059570629 /nfs/dbraw/zinc/57/06/29/1059570629.db2.gz KORVYGPIISYVGF-UHFFFAOYSA-N 0 3 324.468 4.095 20 0 DIADHN Cc1cc(C)c(CN2C[C@@H](C(F)(F)F)CC[C@@H]2C)c(C)n1 ZINC001559741448 1059573692 /nfs/dbraw/zinc/57/36/92/1059573692.db2.gz MSGYTWUWEQZLJR-JSGCOSHPSA-N 0 3 300.368 4.170 20 0 DIADHN Fc1ccc(CN[C@H](c2cccnc2)C2CC2)c2ncccc12 ZINC001559741205 1059574660 /nfs/dbraw/zinc/57/46/60/1059574660.db2.gz XITNFORUWFANJS-SFHVURJKSA-N 0 3 307.372 4.010 20 0 DIADHN CO[C@@H](CN(C)Cc1ccc(F)c(Cl)c1)c1ccccc1 ZINC001559749640 1059580402 /nfs/dbraw/zinc/58/04/02/1059580402.db2.gz VPDZHAMCCAVSLD-KRWDZBQOSA-N 0 3 307.796 4.299 20 0 DIADHN CCn1ccc(CN(C)C[C@H]2CCC3(CCCCCC3)O2)c1 ZINC001559748523 1059580934 /nfs/dbraw/zinc/58/09/34/1059580934.db2.gz NYFKHXDTSZQWNL-GOSISDBHSA-N 0 3 304.478 4.212 20 0 DIADHN CCCCCCN1CCC[C@@]1(Cc1ccccc1)C(=O)OCC ZINC001559749093 1059582513 /nfs/dbraw/zinc/58/25/13/1059582513.db2.gz FOEPJXYXBYSAIJ-HXUWFJFHSA-N 0 3 317.473 4.207 20 0 DIADHN Cc1cnc(C2CCN([C@@H]3C[C@@H]3c3cccc(F)c3)CC2)s1 ZINC001559749268 1059583788 /nfs/dbraw/zinc/58/37/88/1059583788.db2.gz KPXQVMAYESPKLO-IAGOWNOFSA-N 0 3 316.445 4.326 20 0 DIADHN CC(C)[C@H]1CN(CCCC2CCC2)CCN1C(=O)OC(C)(C)C ZINC001559754236 1059586525 /nfs/dbraw/zinc/58/65/25/1059586525.db2.gz LQVPXTWHGLMALI-QGZVFWFLSA-N 0 3 324.509 4.144 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccs1)C(=O)Nc1ccc(C)cc1 ZINC001559755063 1059590793 /nfs/dbraw/zinc/59/07/93/1059590793.db2.gz QIPHXYJIFOQLAO-ZFWWWQNUSA-N 0 3 302.443 4.124 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)C(C)(C)C ZINC001532345230 1059599493 /nfs/dbraw/zinc/59/94/93/1059599493.db2.gz SXPZLBBJRCNEDQ-ZWKOTPCHSA-N 0 3 316.489 4.071 20 0 DIADHN CC[C@H](Cc1ccccc1Br)N(C)C/C=C/Cl ZINC001559766275 1059601653 /nfs/dbraw/zinc/60/16/53/1059601653.db2.gz DIXXSMMVEYMLRI-YSKGHYERSA-N 0 3 316.670 4.455 20 0 DIADHN CC[C@H]1CCN(Cc2nc3ccc(Br)cc3o2)[C@H]1C ZINC001559768866 1059603547 /nfs/dbraw/zinc/60/35/47/1059603547.db2.gz JNVGMTGDONADQL-QWRGUYRKSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)[C@H]1C ZINC001559769851 1059607162 /nfs/dbraw/zinc/60/71/62/1059607162.db2.gz UJQARPSVEJMKCM-RYUDHWBXSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H](c1ccsc1)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001559771861 1059610520 /nfs/dbraw/zinc/61/05/20/1059610520.db2.gz IKGZQXNMJYVYMM-OAHLLOKOSA-N 0 3 304.503 4.007 20 0 DIADHN CCCC[C@H](CC)CN(C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC001559781263 1059614642 /nfs/dbraw/zinc/61/46/42/1059614642.db2.gz AERJSLZTWNFRES-ROUUACIJSA-N 0 3 318.505 4.354 20 0 DIADHN C[C@@H](CN1CCCC[C@@H]1C(=O)OCc1ccccc1)C(C)(C)C ZINC001559804257 1059638153 /nfs/dbraw/zinc/63/81/53/1059638153.db2.gz FRPYHOHQBJFFPM-FUHWJXTLSA-N 0 3 317.473 4.267 20 0 DIADHN C[C@@H](CN1CCCC[C@H]1C(=O)OCc1ccccc1)C(C)(C)C ZINC001559804259 1059640097 /nfs/dbraw/zinc/64/00/97/1059640097.db2.gz FRPYHOHQBJFFPM-WMZOPIPTSA-N 0 3 317.473 4.267 20 0 DIADHN CN(Cc1nn(-c2ccccc2)c2c1CCC2)[C@@H]1CCC(C)(C)C1 ZINC001559807003 1059643967 /nfs/dbraw/zinc/64/39/67/1059643967.db2.gz PIGBKTDOLXTDTE-QGZVFWFLSA-N 0 3 323.484 4.372 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1CCO[C@H](C(C)(C)C)C1 ZINC001559805674 1059644229 /nfs/dbraw/zinc/64/42/29/1059644229.db2.gz WATFTWLFPWDISV-YJBOKZPZSA-N 0 3 321.530 4.470 20 0 DIADHN CCc1ncc(CN2CCC(C)(c3ccc(Cl)cc3)CC2)o1 ZINC001559810257 1059646843 /nfs/dbraw/zinc/64/68/43/1059646843.db2.gz GLSGTMSSLXHSLM-UHFFFAOYSA-N 0 3 318.848 4.444 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@@H](C(=O)OC)[C@@H](C)CC)C2)cc1 ZINC001559813786 1059650317 /nfs/dbraw/zinc/65/03/17/1059650317.db2.gz ADLNKVPIHDXVPA-KFKAGJAMSA-N 0 3 317.473 4.016 20 0 DIADHN Cc1ccc2sc(CN3CCCCC[C@H]3C[C@@H](C)O)nc2c1 ZINC001559827340 1059660373 /nfs/dbraw/zinc/66/03/73/1059660373.db2.gz KZJJARGOVCVRJT-CABCVRRESA-N 0 3 318.486 4.120 20 0 DIADHN Cc1ccccc1OCCCN1CCC(CC(F)(F)F)CC1 ZINC001559828538 1059661461 /nfs/dbraw/zinc/66/14/61/1059661461.db2.gz UTMONGRZSTVNTN-UHFFFAOYSA-N 0 3 315.379 4.428 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2C[C@@H]3CCCC[C@@H]3C2)cc1 ZINC001559844857 1059671434 /nfs/dbraw/zinc/67/14/34/1059671434.db2.gz ZZOFSCIDSMRLSH-IYBDPMFKSA-N 0 3 314.473 4.045 20 0 DIADHN COC[C@@H](CN(C)[C@H](C)c1nc2cc(Cl)ccc2[nH]1)C(C)C ZINC001559846531 1059674491 /nfs/dbraw/zinc/67/44/91/1059674491.db2.gz ITAXPHCFZBHXMK-CHWSQXEVSA-N 0 3 323.868 4.128 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1ncccn1 ZINC001559862157 1059682381 /nfs/dbraw/zinc/68/23/81/1059682381.db2.gz HNUTXBIAWQXYOO-RDTXWAMCSA-N 0 3 317.864 4.438 20 0 DIADHN C[C@H](NC[C@@H]1COC2(CCCCC2)O1)c1ccccc1Cl ZINC001559862918 1059683397 /nfs/dbraw/zinc/68/33/97/1059683397.db2.gz HFLBQRGQXRZBMK-UONOGXRCSA-N 0 3 309.837 4.066 20 0 DIADHN CC(C)CC1(C)CCN(C[C@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC001559884437 1059706856 /nfs/dbraw/zinc/70/68/56/1059706856.db2.gz VGRUYYWBYGGUCI-KGLIPLIRSA-N 0 3 307.400 4.245 20 0 DIADHN CC(C)CC1(C)CCN(C[C@@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC001559884438 1059706883 /nfs/dbraw/zinc/70/68/83/1059706883.db2.gz VGRUYYWBYGGUCI-UONOGXRCSA-N 0 3 307.400 4.245 20 0 DIADHN Cn1nccc1CN1CCCCC[C@@H]1Cc1ccccc1Cl ZINC001559870301 1059689433 /nfs/dbraw/zinc/68/94/33/1059689433.db2.gz SZRKZKNASWNGNR-MRXNPFEDSA-N 0 3 317.864 4.061 20 0 DIADHN Cc1ccc(NC(=O)CN2[C@H](C)C[C@@H](c3ccccc3)[C@@H]2C)cc1 ZINC001559868445 1059690171 /nfs/dbraw/zinc/69/01/71/1059690171.db2.gz RHZCXLZQFCYZAG-FUHIMQAGSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1ccc(CN2CC[C@]3(C2)CCc2ccccc2O3)cc1F ZINC001559876026 1059695460 /nfs/dbraw/zinc/69/54/60/1059695460.db2.gz MELPPQRIVOSRFN-FQEVSTJZSA-N 0 3 311.400 4.104 20 0 DIADHN CC[C@](C)(O)CN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001559875582 1059696461 /nfs/dbraw/zinc/69/64/61/1059696461.db2.gz AVLROIUTGHYHGJ-INIZCTEOSA-N 0 3 316.272 4.334 20 0 DIADHN O=C(CN1CCC[C@H](C2CC2)C1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001559880310 1059698852 /nfs/dbraw/zinc/69/88/52/1059698852.db2.gz DWGPIZLQASGLKM-SOUVJXGZSA-N 0 3 317.395 4.046 20 0 DIADHN CC(C)[C@@H](O)CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC001559882691 1059704351 /nfs/dbraw/zinc/70/43/51/1059704351.db2.gz UCJNFXLLSIPIEP-GOEBONIOSA-N 0 3 316.272 4.441 20 0 DIADHN CCSCCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC001559891593 1059709651 /nfs/dbraw/zinc/70/96/51/1059709651.db2.gz MZCKMVJGTAQBJQ-OAHLLOKOSA-N 0 3 323.893 4.496 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@]3(C)CCCC[C@@H]3C)o2)cc1 ZINC001559893164 1059711263 /nfs/dbraw/zinc/71/12/63/1059711263.db2.gz KPWMQRJJQTWBED-LIRRHRJNSA-N 0 3 314.429 4.409 20 0 DIADHN Brc1cscc1CN1CC[C@@H](C2CCC2)C1 ZINC001559909069 1059724117 /nfs/dbraw/zinc/72/41/17/1059724117.db2.gz CKHYJWBADIPUEJ-LLVKDONJSA-N 0 3 300.265 4.133 20 0 DIADHN C[C@H](c1ccccc1F)N1C[C@H](C)C[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559918128 1059730821 /nfs/dbraw/zinc/73/08/21/1059730821.db2.gz BOLHJPCVHFSRPA-RBSFLKMASA-N 0 3 321.436 4.186 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3cc[nH]c3c2)cc1Cl ZINC001559915812 1059731208 /nfs/dbraw/zinc/73/12/08/1059731208.db2.gz AUBLQJCAAHHRHP-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN C[C@@]1(Cc2ccc(F)cc2)CCCN1Cc1cncc(Cl)c1 ZINC001559922554 1059735193 /nfs/dbraw/zinc/73/51/93/1059735193.db2.gz JYMIZEVKKIBDSJ-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN COC(=O)c1sccc1CN1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC001559935411 1059748069 /nfs/dbraw/zinc/74/80/69/1059748069.db2.gz ITAKIWBGQAZQOB-DZGCQCFKSA-N 0 3 321.486 4.183 20 0 DIADHN CCC(C)(C)CCN1CCN(c2cc(OC)ccc2Cl)CC1 ZINC001559965381 1059771776 /nfs/dbraw/zinc/77/17/76/1059771776.db2.gz BBHVPAXDFCZEHW-UHFFFAOYSA-N 0 3 324.896 4.297 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCC(C3CCCCC3)CC2)o1 ZINC001559974716 1059779077 /nfs/dbraw/zinc/77/90/77/1059779077.db2.gz XCCCIBUSPHLNEJ-CQSZACIVSA-N 0 3 319.445 4.420 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCC(C3CCCCC3)CC2)o1 ZINC001559974715 1059780579 /nfs/dbraw/zinc/78/05/79/1059780579.db2.gz XCCCIBUSPHLNEJ-AWEZNQCLSA-N 0 3 319.445 4.420 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(CCOCc2ccccc2)C1 ZINC001559971897 1059782327 /nfs/dbraw/zinc/78/23/27/1059782327.db2.gz DGOJWZCICWIKLY-FQEVSTJZSA-N 0 3 313.416 4.006 20 0 DIADHN CC(C)[C@H](NCCC(=O)Nc1ccccc1)c1ccccc1F ZINC001559980378 1059785520 /nfs/dbraw/zinc/78/55/20/1059785520.db2.gz LJPNPBNJHQOSFV-IBGZPJMESA-N 0 3 314.404 4.141 20 0 DIADHN CC(C)CC[C@H](O)CN1CCc2oc(-c3ccccc3)cc2C1 ZINC001559975752 1059785598 /nfs/dbraw/zinc/78/55/98/1059785598.db2.gz YKPZQMNLYGDEGX-SFHVURJKSA-N 0 3 313.441 4.102 20 0 DIADHN O[C@H](CN1CCC(C2CCC2)CC1)c1ccc(F)cc1Cl ZINC001559983161 1059787524 /nfs/dbraw/zinc/78/75/24/1059787524.db2.gz IQYBEEHBPAHFNE-QGZVFWFLSA-N 0 3 311.828 4.025 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2C(=O)CN(C)CC(C)(C)C)o1 ZINC001565952621 1059794029 /nfs/dbraw/zinc/79/40/29/1059794029.db2.gz CDHYNWRGXHLBEG-INIZCTEOSA-N 0 3 320.477 4.010 20 0 DIADHN c1ccc(-c2ccc(CN3CCC[C@@H]4CCCC[C@@H]43)cn2)nc1 ZINC001560016736 1059824637 /nfs/dbraw/zinc/82/46/37/1059824637.db2.gz NPPPPONLCZKVBJ-PXNSSMCTSA-N 0 3 307.441 4.298 20 0 DIADHN CC(C)(C)C1CCC(CN2CCC[C@H]2c2ncccn2)CC1 ZINC001560014434 1059825304 /nfs/dbraw/zinc/82/53/04/1059825304.db2.gz SYZHHSDEGOJFOK-JCYILVPMSA-N 0 3 301.478 4.466 20 0 DIADHN Clc1cc(CN2CCC[C@H]3CCCC[C@@H]32)c2nccn2c1 ZINC001248452055 1059840507 /nfs/dbraw/zinc/84/05/07/1059840507.db2.gz WBINESKCMIXZMH-CJNGLKHVSA-N 0 3 303.837 4.142 20 0 DIADHN Clc1cc(CN2CCC3(C2)CCCCC3)c2nccn2c1 ZINC001248459242 1059844983 /nfs/dbraw/zinc/84/49/83/1059844983.db2.gz UHOVJYBUOMRRNV-UHFFFAOYSA-N 0 3 303.837 4.144 20 0 DIADHN CO[C@@H]1CCCN(Cc2cccc(-c3ccc(Cl)cc3)n2)C1 ZINC001248504373 1059857518 /nfs/dbraw/zinc/85/75/18/1059857518.db2.gz AOCLSKQSGAKHAH-QGZVFWFLSA-N 0 3 316.832 4.013 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1cccc(-c2ccc(Cl)cc2)n1 ZINC001248520421 1059861444 /nfs/dbraw/zinc/86/14/44/1059861444.db2.gz VREKIHJECNZCIL-JQFCIGGWSA-N 0 3 316.807 4.334 20 0 DIADHN COC(=O)[C@@H]1CN(Cc2ccccc2C(C)C)Cc2ccccc21 ZINC001560045791 1059861580 /nfs/dbraw/zinc/86/15/80/1059861580.db2.gz RTNUSGOMPZEOFV-HXUWFJFHSA-N 0 3 323.436 4.082 20 0 DIADHN COCCN(Cc1cccc(-c2ccc(Cl)cc2)n1)C(C)C ZINC001248515977 1059862883 /nfs/dbraw/zinc/86/28/83/1059862883.db2.gz LKPBIYMCLWWDEL-UHFFFAOYSA-N 0 3 318.848 4.259 20 0 DIADHN CN(CCCC(C)(F)F)Cc1ccc(Br)cc1F ZINC001560048929 1059863209 /nfs/dbraw/zinc/86/32/09/1059863209.db2.gz GXYQLUOIQHVTIM-UHFFFAOYSA-N 0 3 324.184 4.455 20 0 DIADHN O[C@@H](c1ccccc1)[C@H]1CCCN1Cc1ccc(Cl)c(F)c1 ZINC001560053572 1059865262 /nfs/dbraw/zinc/86/52/62/1059865262.db2.gz GXMYBRXUDOWMDS-MSOLQXFVSA-N 0 3 319.807 4.177 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C)C[C@H](C)C2CCCCC2)c1 ZINC001560058531 1059875195 /nfs/dbraw/zinc/87/51/95/1059875195.db2.gz QNTUGKPFEHZWKE-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN COc1cccc(CCN(C)Cc2c3ccoc3ccc2F)c1 ZINC001248539838 1059875021 /nfs/dbraw/zinc/87/50/21/1059875021.db2.gz VYFGJMNJEPQJBC-UHFFFAOYSA-N 0 3 313.372 4.255 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1c(C)cc(C)nc1C ZINC001560067177 1059877159 /nfs/dbraw/zinc/87/71/59/1059877159.db2.gz WHESWRJJAZOXBB-UHFFFAOYSA-N 0 3 312.457 4.428 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@H](C)C3CCCCC3)CCO2)cc1 ZINC001560076184 1059885876 /nfs/dbraw/zinc/88/58/76/1059885876.db2.gz XRQWPTRNUWBWEN-JXFKEZNVSA-N 0 3 317.473 4.285 20 0 DIADHN COc1ccccc1CN(C)Cc1cccc2nc(C)ccc12 ZINC001248565755 1059891564 /nfs/dbraw/zinc/89/15/64/1059891564.db2.gz UTMRMIINELOCSV-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CC(C)c1ccccc1CN1Cc2cccnc2N2CCC[C@H]2C1 ZINC001560087927 1059898147 /nfs/dbraw/zinc/89/81/47/1059898147.db2.gz SGAJYKAOJLXOTI-IBGZPJMESA-N 0 3 321.468 4.190 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@H](C3CCC3)CC2)c1 ZINC001560092260 1059902440 /nfs/dbraw/zinc/90/24/40/1059902440.db2.gz UDKINBHJUNJAKK-SFHVURJKSA-N 0 3 314.473 4.144 20 0 DIADHN CCCc1ccc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)cc1 ZINC001560103005 1059913016 /nfs/dbraw/zinc/91/30/16/1059913016.db2.gz DRYOUHKXJQGKCC-SFTDATJTSA-N 0 3 306.453 4.102 20 0 DIADHN CC(C)[C@@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccc(Cl)cc1 ZINC001525514701 1059920258 /nfs/dbraw/zinc/92/02/58/1059920258.db2.gz AQDJYEWTKBYJSA-IAGOWNOFSA-N 0 3 322.880 4.026 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC001560119336 1059923273 /nfs/dbraw/zinc/92/32/73/1059923273.db2.gz XETKCTUBDQWQBY-KZULUSFZSA-N 0 3 319.807 4.132 20 0 DIADHN CC(C)Oc1cc(CN2CCCC23CC3)cc(OC(C)C)c1 ZINC001248650701 1059924744 /nfs/dbraw/zinc/92/47/44/1059924744.db2.gz QKFUNAPGGBYPQH-UHFFFAOYSA-N 0 3 303.446 4.389 20 0 DIADHN CCCC[C@H](C(=O)Nc1cc(C)on1)N(C)C[C@@H](C)C(C)(C)C ZINC001560128196 1059932547 /nfs/dbraw/zinc/93/25/47/1059932547.db2.gz CIZJAPHBFBOTKH-UKRRQHHQSA-N 0 3 323.481 4.094 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)/C=C(/C)CC)c1ccc(Cl)cc1 ZINC001525551603 1059934483 /nfs/dbraw/zinc/93/44/83/1059934483.db2.gz AGXGWBITCVGKNZ-AINHQSCGSA-N 0 3 322.880 4.195 20 0 DIADHN Cc1noc2ccc(CN3CCC[C@@H](Oc4ccccc4)C3)cc12 ZINC001248702851 1059941820 /nfs/dbraw/zinc/94/18/20/1059941820.db2.gz QJKOJRVGUFOVTA-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2cc(O)cc(C(F)(F)F)c2)C1 ZINC001560152648 1059947448 /nfs/dbraw/zinc/94/74/48/1059947448.db2.gz BVTNQMOGNHEYOG-VXGBXAGGSA-N 0 3 301.352 4.279 20 0 DIADHN Fc1cc(CN(CCc2ccccc2)C2CC2)cnc1Cl ZINC001560168044 1059959657 /nfs/dbraw/zinc/95/96/57/1059959657.db2.gz YNBIDECCYFDFTR-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2Cc1ccc(C)o1 ZINC001278508868 1059961316 /nfs/dbraw/zinc/96/13/16/1059961316.db2.gz GMIBQHJYQFZHGM-KURKYZTESA-N 0 3 304.478 4.063 20 0 DIADHN Cc1csc(C[C@H]2CCN([C@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001560170968 1059961992 /nfs/dbraw/zinc/96/19/92/1059961992.db2.gz NTCJGQDELJLUHZ-CHWSQXEVSA-N 0 3 322.424 4.355 20 0 DIADHN CCN(Cc1ccc(Br)n1C)Cc1ccc(C)cc1 ZINC001248777724 1059976440 /nfs/dbraw/zinc/97/64/40/1059976440.db2.gz XSWSLCRIBLFVDX-UHFFFAOYSA-N 0 3 321.262 4.118 20 0 DIADHN Cc1ccc2c(CN(C)Cc3c(C)cccc3C)cc(=O)oc2c1 ZINC001560180488 1059964724 /nfs/dbraw/zinc/96/47/24/1059964724.db2.gz BPKYMUPWXXVMKP-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN COc1ccccc1Oc1cccc(CN2CCC(F)CC2)c1 ZINC001248758041 1059971528 /nfs/dbraw/zinc/97/15/28/1059971528.db2.gz ZGCIYVZWFNYOBG-UHFFFAOYSA-N 0 3 315.388 4.421 20 0 DIADHN C[C@H]1CCCN(Cc2ncc(C(F)(F)F)cc2F)C[C@H]1C ZINC001248786897 1059973379 /nfs/dbraw/zinc/97/33/79/1059973379.db2.gz IQCQXMFFUVMZKP-WDEREUQCSA-N 0 3 304.331 4.108 20 0 DIADHN Fc1ccc(SCCN2CCC(F)(C3CC3)CC2)c(F)c1 ZINC001560198637 1059979812 /nfs/dbraw/zinc/97/98/12/1059979812.db2.gz ZEDMLIVKTVKWNE-UHFFFAOYSA-N 0 3 315.404 4.271 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(c3ccc4[nH]ccc4c3)CC2)cn1 ZINC001560203276 1059981752 /nfs/dbraw/zinc/98/17/52/1059981752.db2.gz UYTRFYYMKULNDN-HNNXBMFYSA-N 0 3 320.440 4.207 20 0 DIADHN COC(=O)C[C@H](C)N(Cc1csc(C)c1)Cc1ccccc1 ZINC001248803969 1059984538 /nfs/dbraw/zinc/98/45/38/1059984538.db2.gz FXEXDJIVDUILIS-AWEZNQCLSA-N 0 3 317.454 4.010 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2csc(C)c2)C1 ZINC001248804246 1059984744 /nfs/dbraw/zinc/98/47/44/1059984744.db2.gz VDONAQOZBIIKLH-OAHLLOKOSA-N 0 3 319.495 4.432 20 0 DIADHN Cc1cc(CN2CCC[C@H](Sc3ccncc3)C2)cs1 ZINC001248803821 1059985799 /nfs/dbraw/zinc/98/57/99/1059985799.db2.gz ULIMBPNFUXDGPW-INIZCTEOSA-N 0 3 304.484 4.208 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccc(F)cc3)CC2)cs1 ZINC001248803179 1059986682 /nfs/dbraw/zinc/98/66/82/1059986682.db2.gz JUQCUKBJROXBMO-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2cc(F)ccc2F)cc1 ZINC001560217053 1059992515 /nfs/dbraw/zinc/99/25/15/1059992515.db2.gz QOCBHSFGMGEZAR-KRWDZBQOSA-N 0 3 317.379 4.268 20 0 DIADHN Clc1c(CN2CC[C@H](c3ccccn3)C2)cnc2ccccc12 ZINC001248812866 1059994230 /nfs/dbraw/zinc/99/42/30/1059994230.db2.gz YIYJEDWQZJPFRP-AWEZNQCLSA-N 0 3 323.827 4.273 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CCC[C@H](C3CCCC3)C2)n1 ZINC001560226115 1060002835 /nfs/dbraw/zinc/00/28/35/1060002835.db2.gz KVQACOZYPMWSBJ-SFHVURJKSA-N 0 3 309.457 4.275 20 0 DIADHN CCCCCN(C)Cc1nc2cccc(Br)c2o1 ZINC001248848820 1060003185 /nfs/dbraw/zinc/00/31/85/1060003185.db2.gz POAPKTHZFPBCJJ-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN c1cc2n(c1CN(Cc1ccccn1)C1CCCCC1)CCC2 ZINC001248824543 1060003251 /nfs/dbraw/zinc/00/32/51/1060003251.db2.gz RXVREKGTTGBMLV-UHFFFAOYSA-N 0 3 309.457 4.164 20 0 DIADHN CCN(Cc1ccc2n1CCC2)Cc1ccc(C(F)(F)F)cc1 ZINC001248825135 1060004368 /nfs/dbraw/zinc/00/43/68/1060004368.db2.gz QOLVYUJIBLKHIB-UHFFFAOYSA-N 0 3 322.374 4.475 20 0 DIADHN COc1cccc(CNCc2cccc(Oc3ccccn3)c2)c1 ZINC001560245473 1060008722 /nfs/dbraw/zinc/00/87/22/1060008722.db2.gz BJPFSPORNKNBEZ-UHFFFAOYSA-N 0 3 320.392 4.172 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@H]3C2)c2c1ccnc2Cl ZINC001248837800 1060008711 /nfs/dbraw/zinc/00/87/11/1060008711.db2.gz RZFLIFRNFKXKSG-KGLIPLIRSA-N 0 3 317.864 4.239 20 0 DIADHN Cc1ccc(CSCCNCc2ccncc2Cl)cc1 ZINC001560245885 1060009566 /nfs/dbraw/zinc/00/95/66/1060009566.db2.gz WBRWGCASNRQMIN-UHFFFAOYSA-N 0 3 306.862 4.066 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1CCC[C@@H]2CCC[C@H]21 ZINC001248846885 1060011641 /nfs/dbraw/zinc/01/16/41/1060011641.db2.gz OWYNYMQPCWGXLD-MEDUHNTESA-N 0 3 323.362 4.346 20 0 DIADHN CCOc1cccc(F)c1CNCCc1c[nH]c2ccccc12 ZINC001560249647 1060014668 /nfs/dbraw/zinc/01/46/68/1060014668.db2.gz YEWRQLAUUXBWKL-UHFFFAOYSA-N 0 3 312.388 4.038 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@H](c4ccccc4)C3)nc2c1 ZINC001248841464 1060017180 /nfs/dbraw/zinc/01/71/80/1060017180.db2.gz IJMYQYWIPWKVKF-KRWDZBQOSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@H](c4ccccc4)C3)[nH]c2c1 ZINC001248841464 1060017201 /nfs/dbraw/zinc/01/72/01/1060017201.db2.gz IJMYQYWIPWKVKF-KRWDZBQOSA-N 0 3 305.425 4.251 20 0 DIADHN CCN(CCCNCc1ccc(F)cc1Cl)c1ccccc1 ZINC001560253053 1060020948 /nfs/dbraw/zinc/02/09/48/1060020948.db2.gz VZHUXYMCDUYAKR-UHFFFAOYSA-N 0 3 320.839 4.485 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H]4CCC[C@@H]4C3)co2)cc1 ZINC001248853539 1060021312 /nfs/dbraw/zinc/02/13/12/1060021312.db2.gz JQHVEEUGPVPIHL-UKRRQHHQSA-N 0 3 300.377 4.103 20 0 DIADHN c1ccc(COc2ccc(CN3CCC4(CC4)CC3)nc2)cc1 ZINC001248861159 1060022890 /nfs/dbraw/zinc/02/28/90/1060022890.db2.gz GRMMKETVYNZDGK-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN COCc1ccc(CNCc2cc3ccccc3c(OC)c2)cc1 ZINC001560256331 1060024683 /nfs/dbraw/zinc/02/46/83/1060024683.db2.gz KLNCCKCXMXAJSU-UHFFFAOYSA-N 0 3 321.420 4.285 20 0 DIADHN CCOCc1ccccc1CNCc1ccc2scnc2c1 ZINC001560257257 1060024908 /nfs/dbraw/zinc/02/49/08/1060024908.db2.gz JKNRGJMSOAPFNJ-UHFFFAOYSA-N 0 3 312.438 4.123 20 0 DIADHN c1ccc(COc2ccc(CN3CCC4(CCCC4)C3)nc2)cc1 ZINC001248863340 1060026409 /nfs/dbraw/zinc/02/64/09/1060026409.db2.gz JQHQNPOHZAWJEC-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(Cl)c(C)n3)C2)cc1 ZINC001248876088 1060031495 /nfs/dbraw/zinc/03/14/95/1060031495.db2.gz HMZIROGCCXIRLE-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN c1cc2c(c(CNCc3ccnc(OC4CCCC4)c3)c1)CCC2 ZINC001560265650 1060036627 /nfs/dbraw/zinc/03/66/27/1060036627.db2.gz ZKPYAJYLMUUXJG-UHFFFAOYSA-N 0 3 322.452 4.182 20 0 DIADHN CC[C@@H](CNCc1cccc(C)c1F)Oc1cccc(C)c1 ZINC001560272265 1060044606 /nfs/dbraw/zinc/04/46/06/1060044606.db2.gz BOFYOOAJWAICHZ-KRWDZBQOSA-N 0 3 301.405 4.390 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccccc2C(F)(F)F)cc1 ZINC001560290896 1060069677 /nfs/dbraw/zinc/06/96/77/1060069677.db2.gz UMIDNHYGJORMCO-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN Clc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)cc1 ZINC001560294438 1060077450 /nfs/dbraw/zinc/07/74/50/1060077450.db2.gz ZQVLFBAHWOAXFB-MSOLQXFVSA-N 0 3 301.817 4.350 20 0 DIADHN CCOc1cccc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)c1 ZINC001560294042 1060078602 /nfs/dbraw/zinc/07/86/02/1060078602.db2.gz LBWKRPIULLVSCZ-UXHICEINSA-N 0 3 311.425 4.095 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@H](C)COc1ccccc1 ZINC001560295613 1060079992 /nfs/dbraw/zinc/07/99/92/1060079992.db2.gz HRMWAEQXYBMEGW-CQSZACIVSA-N 0 3 319.832 4.296 20 0 DIADHN COc1ccc(CNC/C=C/c2cc(C)c(C)cc2C)cc1O ZINC001560304785 1060091131 /nfs/dbraw/zinc/09/11/31/1060091131.db2.gz BIRDPZYHHJKDTP-AATRIKPKSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1cnc(CNCC2(c3c(F)cccc3F)CCCC2)s1 ZINC001560306969 1060094038 /nfs/dbraw/zinc/09/40/38/1060094038.db2.gz GVWNOVLTAJVPQR-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN CCC[C@H](CC)NCc1nc2cc(Br)ccc2o1 ZINC001560320823 1060098144 /nfs/dbraw/zinc/09/81/44/1060098144.db2.gz PCDYXKAQVCVDTN-NSHDSACASA-N 0 3 311.223 4.259 20 0 DIADHN CCCc1ccc([C@@H](NCc2cn(C)nc2CC)C(C)C)cc1 ZINC001560315385 1060102168 /nfs/dbraw/zinc/10/21/68/1060102168.db2.gz ZCELHFSYTFXKJW-FQEVSTJZSA-N 0 3 313.489 4.422 20 0 DIADHN CC(C)(C)CCCCNCc1ncc(Br)cc1F ZINC001560325195 1060103910 /nfs/dbraw/zinc/10/39/10/1060103910.db2.gz YCDIAMRCGYMXFE-UHFFFAOYSA-N 0 3 317.246 4.289 20 0 DIADHN CC(C)[C@@H](NC[C@@H]1CCCC(C)(C)C1)c1nc(C2CC2)no1 ZINC001560328814 1060113992 /nfs/dbraw/zinc/11/39/92/1060113992.db2.gz IVMXBCPFXNTHBG-UKRRQHHQSA-N 0 3 305.466 4.450 20 0 DIADHN Cc1cc(CN2CCC3(CCC(C)(C)O3)CC2)c(Cl)nc1C ZINC001249037358 1060127720 /nfs/dbraw/zinc/12/77/20/1060127720.db2.gz WQFLPUIAIAPHTN-UHFFFAOYSA-N 0 3 322.880 4.275 20 0 DIADHN Clc1sc(CN2CC[C@@]3(CCCO3)C2)c2ccccc12 ZINC001249051433 1060132057 /nfs/dbraw/zinc/13/20/57/1060132057.db2.gz QZNOLTHZBFDRHH-INIZCTEOSA-N 0 3 307.846 4.310 20 0 DIADHN CC[C@H](NCc1ccc(SC)c(OC)c1)c1ccncc1 ZINC001560349174 1060135950 /nfs/dbraw/zinc/13/59/50/1060135950.db2.gz ZIUHEOIIOVZAQW-HNNXBMFYSA-N 0 3 302.443 4.053 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H](C)Oc2cccc(Cl)c2)c1 ZINC001560351578 1060138448 /nfs/dbraw/zinc/13/84/48/1060138448.db2.gz ZYKMQXJVWUGBIQ-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NCc1ccccc1C1CC1 ZINC001560354377 1060139878 /nfs/dbraw/zinc/13/98/78/1060139878.db2.gz OFMJBMAXGSHCRH-IBGZPJMESA-N 0 3 309.409 4.186 20 0 DIADHN CC[C@@H](CNCc1nccc2c1CCCC2)Oc1ccccc1C ZINC001560357455 1060142315 /nfs/dbraw/zinc/14/23/15/1060142315.db2.gz NIKABUGCGOHQMS-SFHVURJKSA-N 0 3 324.468 4.216 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2c(F)cc(C)cc2F)C1(CC)CC ZINC001560358879 1060144782 /nfs/dbraw/zinc/14/47/82/1060144782.db2.gz WQZHYMOKOUZKFT-SJORKVTESA-N 0 3 311.416 4.347 20 0 DIADHN C[C@@H]1CO[C@@H]2CN(Cc3sc(Cl)c4ccccc43)C[C@H]12 ZINC001249074713 1060144840 /nfs/dbraw/zinc/14/48/40/1060144840.db2.gz DDMDOBWGOSFUIB-LERXQTSPSA-N 0 3 307.846 4.021 20 0 DIADHN CC(C)c1ccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)cc1 ZINC001249073141 1060146950 /nfs/dbraw/zinc/14/69/50/1060146950.db2.gz IRNGBBZUQXJSRX-KRWDZBQOSA-N 0 3 311.429 4.407 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CNCC1(C)CCC1 ZINC001560362276 1060148212 /nfs/dbraw/zinc/14/82/12/1060148212.db2.gz CPCRPJOZUUCRRJ-UHFFFAOYSA-N 0 3 317.864 4.173 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNCC1(C)CC1 ZINC001560364531 1060150111 /nfs/dbraw/zinc/15/01/11/1060150111.db2.gz LPSDXBSJGODSSS-UHFFFAOYSA-N 0 3 317.864 4.091 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)cc1F ZINC001560364673 1060150673 /nfs/dbraw/zinc/15/06/73/1060150673.db2.gz RGOAEEKGCKIYCL-CHWSQXEVSA-N 0 3 315.388 4.009 20 0 DIADHN CCOc1cccc(F)c1CNC[C@@H](c1cccnc1)C(C)C ZINC001560366429 1060152823 /nfs/dbraw/zinc/15/28/23/1060152823.db2.gz CQQZSCONZAUMGO-MRXNPFEDSA-N 0 3 316.420 4.149 20 0 DIADHN CC[C@H](CNCc1sccc1Cl)Oc1ccccc1F ZINC001560366764 1060153077 /nfs/dbraw/zinc/15/30/77/1060153077.db2.gz PCMPDXGSDUGPIK-LLVKDONJSA-N 0 3 313.825 4.488 20 0 DIADHN CCOc1cccc(F)c1CNC[C@H](c1cccnc1)C(C)C ZINC001560366428 1060153660 /nfs/dbraw/zinc/15/36/60/1060153660.db2.gz CQQZSCONZAUMGO-INIZCTEOSA-N 0 3 316.420 4.149 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)sc2Cl)CCCC[C@H]1O ZINC001560368967 1060155963 /nfs/dbraw/zinc/15/59/63/1060155963.db2.gz JHLLPBVMIZUWFK-MFKMUULPSA-N 0 3 308.274 4.086 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2OC2CCCC2)CCCO1 ZINC001560372730 1060157894 /nfs/dbraw/zinc/15/78/94/1060157894.db2.gz WDDNJQGMUIZHIQ-SFHVURJKSA-N 0 3 323.864 4.320 20 0 DIADHN CCOCCC1(C[NH2+]Cc2cc([O-])cc(Cl)c2)CCCC1 ZINC001560371639 1060158039 /nfs/dbraw/zinc/15/80/39/1060158039.db2.gz ZNIDOZVXMOXARH-UHFFFAOYSA-N 0 3 311.853 4.122 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1ccc(-c2ccccc2)cc1 ZINC001560370764 1060158825 /nfs/dbraw/zinc/15/88/25/1060158825.db2.gz WQABURNKNSTWBZ-JOCHJYFZSA-N 0 3 317.432 4.485 20 0 DIADHN Cc1ccc(CNCc2ccc(=O)[nH]c2)c(Oc2ccccc2)c1 ZINC001560374846 1060159838 /nfs/dbraw/zinc/15/98/38/1060159838.db2.gz VEAMYSAXGZGJLW-UHFFFAOYSA-N 0 3 320.392 4.178 20 0 DIADHN CCn1cc(CNC2(c3cccc(Cl)c3)CCCC2)c(C)n1 ZINC001560373690 1060160201 /nfs/dbraw/zinc/16/02/01/1060160201.db2.gz ONQICYNGXIXZFZ-UHFFFAOYSA-N 0 3 317.864 4.424 20 0 DIADHN CCCC[C@H](CCC)CNCc1csc(-c2ccn(C)n2)n1 ZINC001560375981 1060162735 /nfs/dbraw/zinc/16/27/35/1060162735.db2.gz IYVVUFVQYGMALS-AWEZNQCLSA-N 0 3 320.506 4.240 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001560392912 1060179003 /nfs/dbraw/zinc/17/90/03/1060179003.db2.gz FLMFNOIESLWENZ-HBUWYVDXSA-N 0 3 301.792 4.155 20 0 DIADHN Cc1cccc(CN[C@H]2CCCC(F)(F)C2)c1Br ZINC001560396007 1060180637 /nfs/dbraw/zinc/18/06/37/1060180637.db2.gz JMSXQDJHQCSYCZ-LBPRGKRZSA-N 0 3 318.205 4.425 20 0 DIADHN CCCC1(CNCc2c(F)cc(Br)cc2F)CC1 ZINC001560394298 1060180774 /nfs/dbraw/zinc/18/07/74/1060180774.db2.gz PKWZJAVZWLECSP-UHFFFAOYSA-N 0 3 318.205 4.397 20 0 DIADHN c1ccc(-c2ccc(-c3noc([C@@H]4CC5(CC5)CN4)n3)cc2)cc1 ZINC001249213299 1060188867 /nfs/dbraw/zinc/18/88/67/1060188867.db2.gz ZRPYBBOANNOOGE-KRWDZBQOSA-N 0 3 317.392 4.218 20 0 DIADHN CC(C)(C)c1ccc(-c2noc(C34CCCN3CCC4)n2)cc1 ZINC001249221539 1060193488 /nfs/dbraw/zinc/19/34/88/1060193488.db2.gz UWRQVTCOIXJBFZ-UHFFFAOYSA-N 0 3 311.429 4.119 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cnn(-c2ccccc2)c1 ZINC001560415809 1060199523 /nfs/dbraw/zinc/19/95/23/1060199523.db2.gz BCQBVWMSLCREPJ-IERDGZPVSA-N 0 3 317.436 4.286 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cnn(-c2ccccc2)c1 ZINC001560415810 1060200434 /nfs/dbraw/zinc/20/04/34/1060200434.db2.gz BCQBVWMSLCREPJ-IIBYNOLFSA-N 0 3 317.436 4.286 20 0 DIADHN CC[C@@H](NCc1cncc(OC)c1C)c1ccc(C)c(F)c1 ZINC001560418389 1060201885 /nfs/dbraw/zinc/20/18/85/1060201885.db2.gz LLMZCWCTZOPHAN-QGZVFWFLSA-N 0 3 302.393 4.087 20 0 DIADHN CC[C@@H](NCc1cn(C(C)C)nc1C)c1ccc(C)c(F)c1 ZINC001560418179 1060202107 /nfs/dbraw/zinc/20/21/07/1060202107.db2.gz HZJJDVKYQCYIBD-GOSISDBHSA-N 0 3 303.425 4.461 20 0 DIADHN CCCCN(C(=O)[C@H]1CCCN1C(C)C)[C@H](C)c1ccc(C)o1 ZINC001526376012 1060203978 /nfs/dbraw/zinc/20/39/78/1060203978.db2.gz HEDCJVHCRUWOJT-IAGOWNOFSA-N 0 3 320.477 4.151 20 0 DIADHN CCCOc1c(Cl)cc(CN[C@H](C)c2ccc[nH]2)cc1OC ZINC001560427699 1060215837 /nfs/dbraw/zinc/21/58/37/1060215837.db2.gz LBDFXJYBXSTVME-GFCCVEGCSA-N 0 3 322.836 4.316 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H]1CCC2(CCCCC2)O1 ZINC001560440185 1060229663 /nfs/dbraw/zinc/22/96/63/1060229663.db2.gz LOMPBPMDAZJPRP-INIZCTEOSA-N 0 3 323.864 4.320 20 0 DIADHN COc1cc(CN2CC[C@@H](C)C(F)(F)CC2)ccc1SC ZINC001249375438 1060231330 /nfs/dbraw/zinc/23/13/30/1060231330.db2.gz FBQZBFHSLFCNCI-GFCCVEGCSA-N 0 3 315.429 4.284 20 0 DIADHN Cc1ccnc(CN[C@H]2CCCC(C)(C)C2)c1Br ZINC001560442518 1060232023 /nfs/dbraw/zinc/23/20/23/1060232023.db2.gz QRPVKFUJFJVQIX-LBPRGKRZSA-N 0 3 311.267 4.211 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2ccc3ncsc3c2)c1 ZINC001560445721 1060235943 /nfs/dbraw/zinc/23/59/43/1060235943.db2.gz HKDNQBUWYQGMHL-NSHDSACASA-N 0 3 316.401 4.295 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC001249408563 1060237172 /nfs/dbraw/zinc/23/71/72/1060237172.db2.gz GTAXXKVLSUGFBJ-GOEBONIOSA-N 0 3 315.436 4.062 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(-c3ccc(F)cc3)o2)O1 ZINC001560448840 1060238275 /nfs/dbraw/zinc/23/82/75/1060238275.db2.gz RHWOERFNUCSTJS-MRXNPFEDSA-N 0 3 303.377 4.133 20 0 DIADHN C/C=C/C[C@@H](CO)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001560448156 1060239261 /nfs/dbraw/zinc/23/92/61/1060239261.db2.gz UHZRLMRJKZEWQJ-KZSQQDEKSA-N 0 3 323.864 4.078 20 0 DIADHN C/C=C\C[C@@H](CO)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001560448159 1060239816 /nfs/dbraw/zinc/23/98/16/1060239816.db2.gz UHZRLMRJKZEWQJ-YPQKKHBXSA-N 0 3 323.864 4.078 20 0 DIADHN COc1ccc2nc(CN3CCCC[C@@H]3c3ccccc3)[nH]c2c1 ZINC001249411970 1060239981 /nfs/dbraw/zinc/23/99/81/1060239981.db2.gz QBTROTZJIBXCIM-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC001560452745 1060242047 /nfs/dbraw/zinc/24/20/47/1060242047.db2.gz NEWMHXXTNGBPOO-IBGZPJMESA-N 0 3 316.876 4.349 20 0 DIADHN C[C@H]1CCC[C@H]1CNCc1c(F)cc(Br)cc1F ZINC001560450542 1060242539 /nfs/dbraw/zinc/24/25/39/1060242539.db2.gz HVTNSQFWXPZFLU-UWVGGRQHSA-N 0 3 318.205 4.253 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2c(Cl)n[nH]c2C2CC2)C1 ZINC001560456667 1060246572 /nfs/dbraw/zinc/24/65/72/1060246572.db2.gz CSYRFCNBCMZOTB-HAQNSBGRSA-N 0 3 319.811 4.115 20 0 DIADHN COc1cc(OC)c(CN(C)Cc2ccccc2)c2ccccc12 ZINC001249443083 1060251049 /nfs/dbraw/zinc/25/10/49/1060251049.db2.gz OGRZRRFRSMHZLG-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN CN(C)c1ccc(-c2ccc(CN3CCC[C@@H]3CF)cc2)cc1 ZINC001249443118 1060251712 /nfs/dbraw/zinc/25/17/12/1060251712.db2.gz PXKMGGXMNVBPJD-HXUWFJFHSA-N 0 3 312.432 4.354 20 0 DIADHN Cc1ccc(CN(C)C2CC(C)C2)c(F)c1Br ZINC001249460026 1060256205 /nfs/dbraw/zinc/25/62/05/1060256205.db2.gz RBCKRHVRZUUKIX-UHFFFAOYSA-N 0 3 300.215 4.127 20 0 DIADHN CN(Cc1cc(C(C)(C)C)c[nH]1)Cc1cccc2cccnc21 ZINC001249478906 1060256989 /nfs/dbraw/zinc/25/69/89/1060256989.db2.gz GQWSWWGFVVPODM-UHFFFAOYSA-N 0 3 307.441 4.492 20 0 DIADHN COc1cc(OC)c(CN2CCC3(CC3)CC2)c2ccccc12 ZINC001249443490 1060258287 /nfs/dbraw/zinc/25/82/87/1060258287.db2.gz FMAMHKJZRRNYLA-UHFFFAOYSA-N 0 3 311.425 4.233 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@H]1CCC1(C)C ZINC001560469014 1060259126 /nfs/dbraw/zinc/25/91/26/1060259126.db2.gz TUCXIACTQXBVJX-AWEZNQCLSA-N 0 3 301.261 4.338 20 0 DIADHN Cc1cccc2cc(CN[C@H]3CSC[C@@H]3C)c(Cl)nc12 ZINC001560468612 1060259418 /nfs/dbraw/zinc/25/94/18/1060259418.db2.gz FUXUEONRAONULP-FZMZJTMJSA-N 0 3 306.862 4.038 20 0 DIADHN CCc1ccc([C@@H](O)CNCc2cc(Cl)ccc2Cl)cc1 ZINC001560470583 1060261845 /nfs/dbraw/zinc/26/18/45/1060261845.db2.gz ZZQFQFKSSMIHMK-KRWDZBQOSA-N 0 3 324.251 4.379 20 0 DIADHN CC(C)[C@@H]1C[C@H]1CNCc1c(F)ccc(Br)c1F ZINC001560474369 1060263798 /nfs/dbraw/zinc/26/37/98/1060263798.db2.gz MORVJTVYRMBSCB-UWVGGRQHSA-N 0 3 318.205 4.109 20 0 DIADHN CCSc1ncc2cccc(CN3CC[C@H]4CCC[C@@H]4C3)n21 ZINC001249498679 1060266885 /nfs/dbraw/zinc/26/68/85/1060266885.db2.gz PDTIBFQARCSVQQ-HUUCEWRRSA-N 0 3 315.486 4.068 20 0 DIADHN CCSc1ncc2cccc(CN3CCC4(CCC4)CC3)n21 ZINC001249498817 1060266990 /nfs/dbraw/zinc/26/69/90/1060266990.db2.gz VJQDOULPNYTSKR-UHFFFAOYSA-N 0 3 315.486 4.212 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CCC[C@@H](C)[C@H](C)C2)cn1 ZINC001249512519 1060271179 /nfs/dbraw/zinc/27/11/79/1060271179.db2.gz SIQWTNZXOLYCJX-VXGBXAGGSA-N 0 3 300.368 4.277 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CCC[C@H](C)[C@@H](C)C2)cn1 ZINC001249512518 1060272871 /nfs/dbraw/zinc/27/28/71/1060272871.db2.gz SIQWTNZXOLYCJX-RYUDHWBXSA-N 0 3 300.368 4.277 20 0 DIADHN CC/C=C\CNCc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC001560486095 1060276720 /nfs/dbraw/zinc/27/67/20/1060276720.db2.gz RKFWSQYMFSOLOM-WAYWQWQTSA-N 0 3 317.864 4.257 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cc(F)cc3cc[nH]c31)CCC2 ZINC001249525817 1060277065 /nfs/dbraw/zinc/27/70/65/1060277065.db2.gz DEZPVIZGEIQMEB-UHFFFAOYSA-N 0 3 324.399 4.264 20 0 DIADHN CNc1ccc(C)cc1CN[C@H]1CCCc2c(F)cc(F)cc21 ZINC001560488203 1060279123 /nfs/dbraw/zinc/27/91/23/1060279123.db2.gz VVPRABFNJDXAAA-IBGZPJMESA-N 0 3 316.395 4.482 20 0 DIADHN Cc1cc(CNC[C@H](O)c2c(Cl)cccc2Cl)cs1 ZINC001560488242 1060282243 /nfs/dbraw/zinc/28/22/43/1060282243.db2.gz XKXKWCRLDPPKIT-ZDUSSCGKSA-N 0 3 316.253 4.187 20 0 DIADHN CC(C)(C)c1ccccc1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249560406 1060289866 /nfs/dbraw/zinc/28/98/66/1060289866.db2.gz WEIIMULWBBUSAX-KRWDZBQOSA-N 0 3 314.473 4.165 20 0 DIADHN Cc1ccc2ccccc2c1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249555216 1060290545 /nfs/dbraw/zinc/29/05/45/1060290545.db2.gz HYMLGKKVLMPTSB-IBGZPJMESA-N 0 3 322.452 4.329 20 0 DIADHN c1ccc2c(c1)cccc2NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249560029 1060290847 /nfs/dbraw/zinc/29/08/47/1060290847.db2.gz QGQDTLUGNLAECA-GOSISDBHSA-N 0 3 308.425 4.020 20 0 DIADHN Cc1ccc2c(cccc2NC2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001249561118 1060292010 /nfs/dbraw/zinc/29/20/10/1060292010.db2.gz GRJJRVHOFUFRLT-IBGZPJMESA-N 0 3 322.452 4.329 20 0 DIADHN c1cc2c(cccc2NC2=CC[C@H](N3CCOCC3)CC2)s1 ZINC001249568966 1060296464 /nfs/dbraw/zinc/29/64/64/1060296464.db2.gz RRAKAPIJCPRPDK-HNNXBMFYSA-N 0 3 314.454 4.082 20 0 DIADHN Cn1ncc(CN[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)c1Cl ZINC001560505205 1060301281 /nfs/dbraw/zinc/30/12/81/1060301281.db2.gz OEBZIRLOMIRLLJ-SWLSCSKDSA-N 0 3 324.255 4.153 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)CC(C)C ZINC001560509307 1060306895 /nfs/dbraw/zinc/30/68/95/1060306895.db2.gz XQKNNJNWCAHSEY-QGZVFWFLSA-N 0 3 305.393 4.236 20 0 DIADHN Clc1cc(Br)ccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC001560512310 1060308896 /nfs/dbraw/zinc/30/88/96/1060308896.db2.gz QNSXRNNTISBHNI-GXTWGEPZSA-N 0 3 314.654 4.381 20 0 DIADHN Clc1ccsc1CNC[C@@H]1CSc2ccccc2O1 ZINC001560515380 1060313189 /nfs/dbraw/zinc/31/31/89/1060313189.db2.gz VQYPQYFMDPXKAB-SNVBAGLBSA-N 0 3 311.859 4.044 20 0 DIADHN CCCC[C@](C)(CO)NCc1ccc(Oc2ccccc2)o1 ZINC001560516124 1060314384 /nfs/dbraw/zinc/31/43/84/1060314384.db2.gz UQYVBCGPCSOUDO-GOSISDBHSA-N 0 3 303.402 4.103 20 0 DIADHN CCc1cccc(CNCc2c[nH]nc2-c2ccccc2F)c1 ZINC001560521388 1060321593 /nfs/dbraw/zinc/32/15/93/1060321593.db2.gz CWXMBEIXZYQWMF-UHFFFAOYSA-N 0 3 309.388 4.068 20 0 DIADHN CC[C@@H](OCCNCc1cc(F)c(F)c(F)c1)c1ccccc1 ZINC001560524736 1060322759 /nfs/dbraw/zinc/32/27/59/1060322759.db2.gz YYBBOFSNSNNXED-QGZVFWFLSA-N 0 3 323.358 4.361 20 0 DIADHN Cc1ccc(-n2cc(CN[C@H]3C=CCC3)c(C(C)(C)C)n2)cc1 ZINC001560526628 1060327514 /nfs/dbraw/zinc/32/75/14/1060327514.db2.gz YXPCSWDYRNRDOK-KRWDZBQOSA-N 0 3 309.457 4.286 20 0 DIADHN CCCC(CCC)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001526773556 1060330800 /nfs/dbraw/zinc/33/08/00/1060330800.db2.gz HVMABTQOWMRFAK-QFBILLFUSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1nc(CNC[C@]2(c3ccccc3)CC2(C)C)sc1C ZINC001560541297 1060347238 /nfs/dbraw/zinc/34/72/38/1060347238.db2.gz RHWYSDLETJHMQB-SFHVURJKSA-N 0 3 300.471 4.217 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCC[C@H]1CCCC1(C)C ZINC001560541044 1060347504 /nfs/dbraw/zinc/34/75/04/1060347504.db2.gz JSMYJJDKBAYZGY-SNVBAGLBSA-N 0 3 304.265 4.033 20 0 DIADHN C[C@H](CC1CCC1)NCc1cnn(Cc2ccccc2)c1Cl ZINC001560549113 1060357610 /nfs/dbraw/zinc/35/76/10/1060357610.db2.gz QBVSHALYAGDPKQ-CQSZACIVSA-N 0 3 317.864 4.253 20 0 DIADHN CC(C)(C)OC1CCC([NH2+]Cc2cc([O-])cc(Cl)c2)CC1 ZINC001560552564 1060363041 /nfs/dbraw/zinc/36/30/41/1060363041.db2.gz HGEIUBMOWDSMAD-UHFFFAOYSA-N 0 3 311.853 4.262 20 0 DIADHN Fc1c(Cl)cccc1CNCCSCCC(F)(F)F ZINC001560560089 1060369670 /nfs/dbraw/zinc/36/96/70/1060369670.db2.gz ABPLZHIDTYDUGJ-UHFFFAOYSA-N 0 3 315.763 4.254 20 0 DIADHN Brc1c2ccccc2oc1CNC[C@H]1C=CCC1 ZINC001560571459 1060383489 /nfs/dbraw/zinc/38/34/89/1060383489.db2.gz UMCBPNLDVLSPRX-NSHDSACASA-N 0 3 306.203 4.251 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CNC[C@H]1CC(C)=NO1 ZINC001560574916 1060389080 /nfs/dbraw/zinc/38/90/80/1060389080.db2.gz RBUJTSACDAMQHJ-LLVKDONJSA-N 0 3 308.834 4.118 20 0 DIADHN Cc1cccc(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)c1F ZINC001560581053 1060393318 /nfs/dbraw/zinc/39/33/18/1060393318.db2.gz ORCRCYBZHKINJB-HZPDHXFCSA-N 0 3 305.343 4.273 20 0 DIADHN Cc1nc2c(cccc2CCNCc2ccsc2Cl)o1 ZINC001560580578 1060393899 /nfs/dbraw/zinc/39/38/99/1060393899.db2.gz AKOBTWDQUROWNR-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN COc1cc(CN(CC2CC2)C(C)C)c(F)c(F)c1Cl ZINC001249796918 1060408017 /nfs/dbraw/zinc/40/80/17/1060408017.db2.gz NSTXRMHSIBRRKC-UHFFFAOYSA-N 0 3 303.780 4.247 20 0 DIADHN COc1c(Cl)cccc1CNC/C=C/c1ccc(F)c(F)c1 ZINC001560605630 1060419246 /nfs/dbraw/zinc/41/92/46/1060419246.db2.gz STFLLEUYZRXBJS-ONEGZZNKSA-N 0 3 323.770 4.430 20 0 DIADHN Cc1ccc(-c2ccc(CNCc3cc(C)c[nH]c3=O)s2)cc1 ZINC001560611315 1060423803 /nfs/dbraw/zinc/42/38/03/1060423803.db2.gz UVUFWCNGCBEESZ-UHFFFAOYSA-N 0 3 324.449 4.422 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2ccc(C3CC3)cc2)c2ccccc21 ZINC001560619152 1060432114 /nfs/dbraw/zinc/43/21/14/1060432114.db2.gz KKRFQAXWABDTGG-AOMKIAJQSA-N 0 3 321.464 4.439 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cc(F)ccc2N(C)C)c1 ZINC001560624785 1060434689 /nfs/dbraw/zinc/43/46/89/1060434689.db2.gz ZWYCERPIKOBXGL-CQSZACIVSA-N 0 3 300.421 4.305 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2nccn2C2CC2)cc1 ZINC001560631896 1060444559 /nfs/dbraw/zinc/44/45/59/1060444559.db2.gz LHDSPHLYWCEHNU-MOPGFXCFSA-N 0 3 309.457 4.295 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2nccn2C2CC2)cc1 ZINC001560631899 1060445457 /nfs/dbraw/zinc/44/54/57/1060445457.db2.gz LHDSPHLYWCEHNU-RTBURBONSA-N 0 3 309.457 4.295 20 0 DIADHN CCN(Cc1cccc(C2CC2)c1)C[C@@H]1COc2ccccc2O1 ZINC001560639094 1060454576 /nfs/dbraw/zinc/45/45/76/1060454576.db2.gz QUAAGVDODKNPCO-LJQANCHMSA-N 0 3 323.436 4.226 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCc2ccccc2[C@H]1CC ZINC001560640180 1060457322 /nfs/dbraw/zinc/45/73/22/1060457322.db2.gz MIATVTBVZHRHID-HXUWFJFHSA-N 0 3 311.473 4.054 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(OCC2CCCC2)CC1 ZINC001560643525 1060463343 /nfs/dbraw/zinc/46/33/43/1060463343.db2.gz OSYTUFCLOAUGES-UHFFFAOYSA-N 0 3 312.457 4.339 20 0 DIADHN Cc1ccccc1N1CCN(Cc2ccccc2C(C)C)CC1 ZINC001560645152 1060466073 /nfs/dbraw/zinc/46/60/73/1060466073.db2.gz XSQCZMIVRLLFPK-UHFFFAOYSA-N 0 3 308.469 4.441 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(OCC)o2)C1(C)C ZINC001560645927 1060466874 /nfs/dbraw/zinc/46/68/74/1060466874.db2.gz JONXNFALLPZJAW-HOTGVXAUSA-N 0 3 309.450 4.094 20 0 DIADHN COc1ccc(C(=O)Cc2ccc(CN3CCCCC3)cc2)cc1 ZINC001249904564 1060468776 /nfs/dbraw/zinc/46/87/76/1060468776.db2.gz QKKIUXVXRCIPTB-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN COc1cc(F)cc(CN(C2CC2)[C@@H]2CCc3ccccc32)c1 ZINC001560646224 1060469766 /nfs/dbraw/zinc/46/97/66/1060469766.db2.gz TYLJUOAJUROJGP-HXUWFJFHSA-N 0 3 311.400 4.486 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C)Cc1cccc2ccccc21 ZINC001560648044 1060470913 /nfs/dbraw/zinc/47/09/13/1060470913.db2.gz HRBWOWYVJMEHGG-UHFFFAOYSA-N 0 3 321.468 4.330 20 0 DIADHN CC(C)CN(Cc1ccnn1CC1CCC1)Cc1ccccc1 ZINC001560652421 1060477010 /nfs/dbraw/zinc/47/70/10/1060477010.db2.gz YWAYFOSAKFDNLF-UHFFFAOYSA-N 0 3 311.473 4.341 20 0 DIADHN COc1cc(C)ccc1CN(Cc1cccc(O)c1)CC1CC1 ZINC001560652316 1060478174 /nfs/dbraw/zinc/47/81/74/1060478174.db2.gz VHPBMDSQIBUOQA-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN COc1cc(C)ccc1CN1CCC[C@H](Nc2ccccc2)C1 ZINC001560662268 1060487069 /nfs/dbraw/zinc/48/70/69/1060487069.db2.gz VCBFBFSPEGLOJW-IBGZPJMESA-N 0 3 310.441 4.080 20 0 DIADHN Fc1ccc(CCN2CCS[C@H]3CCCC[C@@H]32)c(Cl)c1 ZINC001560661302 1060487178 /nfs/dbraw/zinc/48/71/78/1060487178.db2.gz AIRHJZDZTPEZNB-HOTGVXAUSA-N 0 3 313.869 4.382 20 0 DIADHN Fc1ccc(CCN2CCS[C@H]3CCCC[C@H]32)c(Cl)c1 ZINC001560661301 1060487539 /nfs/dbraw/zinc/48/75/39/1060487539.db2.gz AIRHJZDZTPEZNB-CVEARBPZSA-N 0 3 313.869 4.382 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@H](C)CC2CCCCC2)cc1 ZINC001560669102 1060495809 /nfs/dbraw/zinc/49/58/09/1060495809.db2.gz DERJYJKPKDYGNO-QGZVFWFLSA-N 0 3 316.489 4.472 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccnc(F)c2C)C12CCCCC2 ZINC001560668647 1060496198 /nfs/dbraw/zinc/49/61/98/1060496198.db2.gz QOKAQDSHRLDPEY-SJORKVTESA-N 0 3 320.452 4.089 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc(F)cc(N(C)C)c2)cc1 ZINC001249955225 1060500291 /nfs/dbraw/zinc/50/02/91/1060500291.db2.gz GYZHFEPGJPXRRC-AWEZNQCLSA-N 0 3 316.420 4.093 20 0 DIADHN CCOC(=O)c1cccc(CN2CCCC[C@@H]2CCC(C)C)n1 ZINC001560671804 1060501965 /nfs/dbraw/zinc/50/19/65/1060501965.db2.gz OLPWTMYQJVCYCF-QGZVFWFLSA-N 0 3 318.461 4.049 20 0 DIADHN COc1ccc2cc(CN(C)Cc3cc(C)nc(C)c3)ccc2c1 ZINC001560669835 1060502508 /nfs/dbraw/zinc/50/25/08/1060502508.db2.gz FSZDBRZWWNYCQT-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cc(F)cc(N(C)C)c1 ZINC001249958711 1060504725 /nfs/dbraw/zinc/50/47/25/1060504725.db2.gz QDJPYTKUYPNZDF-UHFFFAOYSA-N 0 3 304.384 4.053 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCN(c2ccccc2O)CC1 ZINC001560674789 1060510737 /nfs/dbraw/zinc/51/07/37/1060510737.db2.gz KJLPPLOISNYQMR-SFHVURJKSA-N 0 3 316.489 4.287 20 0 DIADHN c1ccc(COc2cc(CN3CCC[C@@H]4CCC[C@H]43)ccn2)cc1 ZINC001249976230 1060515074 /nfs/dbraw/zinc/51/50/74/1060515074.db2.gz QLFGBPVGKXNRNJ-VQTJNVASSA-N 0 3 322.452 4.425 20 0 DIADHN CCC1CCN(Cc2ccc(OC(F)(F)F)c(OC)c2)CC1 ZINC001249978043 1060516186 /nfs/dbraw/zinc/51/61/86/1060516186.db2.gz MDPOCQHGQJWDAT-UHFFFAOYSA-N 0 3 317.351 4.216 20 0 DIADHN CC[C@H]1CCCN(Cc2ccnc(OCc3ccccc3)c2)C1 ZINC001249976061 1060515852 /nfs/dbraw/zinc/51/58/52/1060515852.db2.gz OIWYVNHXTDPFHR-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN COc1ccc(SCCN(Cc2ccccn2)C(C)C)cc1 ZINC001560680458 1060522938 /nfs/dbraw/zinc/52/29/38/1060522938.db2.gz ALCQGCHHAUGCSK-UHFFFAOYSA-N 0 3 316.470 4.093 20 0 DIADHN CO[C@@H]1CCCN(Cc2sc3c(cccc3Cl)c2C)C1 ZINC001560683744 1060526990 /nfs/dbraw/zinc/52/69/90/1060526990.db2.gz KYXKQEKPLGYXSN-GFCCVEGCSA-N 0 3 309.862 4.474 20 0 DIADHN C[C@@H](CCN(Cc1ccco1)C[C@@H]1CCCO1)c1ccccc1 ZINC001560682023 1060528385 /nfs/dbraw/zinc/52/83/85/1060528385.db2.gz AOVBCODNVQJGQB-PXNSSMCTSA-N 0 3 313.441 4.454 20 0 DIADHN Cc1n[nH]c(CN2CCC[C@@H](CCCc3ccccc3)C2)c1C ZINC001560688988 1060535540 /nfs/dbraw/zinc/53/55/40/1060535540.db2.gz ABLVNXDPFHHLRX-LJQANCHMSA-N 0 3 311.473 4.261 20 0 DIADHN C[C@]1(c2ccccc2)CN(C[C@H]2C[C@@H]2c2ccccc2)CCO1 ZINC001560690731 1060538962 /nfs/dbraw/zinc/53/89/62/1060538962.db2.gz DMGNKDBAXQMIGG-HMXCVIKNSA-N 0 3 307.437 4.038 20 0 DIADHN C[C@]1(c2ccccc2)CN(C[C@@H]2C[C@H]2c2ccccc2)CCO1 ZINC001560690732 1060540022 /nfs/dbraw/zinc/54/00/22/1060540022.db2.gz DMGNKDBAXQMIGG-SESVDKBCSA-N 0 3 307.437 4.038 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC[C@H](c2ccncn2)C1 ZINC001560695260 1060545560 /nfs/dbraw/zinc/54/55/60/1060545560.db2.gz CPJPMMVXLMNUKX-HNNXBMFYSA-N 0 3 315.848 4.072 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001560699345 1060549152 /nfs/dbraw/zinc/54/91/52/1060549152.db2.gz QQVLRDHWQILVRC-FXAWDEMLSA-N 0 3 309.457 4.254 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001560699347 1060551773 /nfs/dbraw/zinc/55/17/73/1060551773.db2.gz QQVLRDHWQILVRC-XLIONFOSSA-N 0 3 309.457 4.254 20 0 DIADHN Cc1cccc(CN2CCC(COc3ccccc3)CC2)c1F ZINC001560699042 1060552283 /nfs/dbraw/zinc/55/22/83/1060552283.db2.gz HHEJYWHLUKLTKJ-UHFFFAOYSA-N 0 3 313.416 4.425 20 0 DIADHN CNc1ccccc1CN(C)[C@H]1CCCc2nc(C)sc21 ZINC001560702289 1060557527 /nfs/dbraw/zinc/55/75/27/1060557527.db2.gz OCBYOWMTDZDSQD-INIZCTEOSA-N 0 3 301.459 4.003 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@@H](COc3ccccc3)C2)cc1 ZINC001560706190 1060563059 /nfs/dbraw/zinc/56/30/59/1060563059.db2.gz CRGRQSIBJZTHAU-SHCNBLLESA-N 0 3 311.400 4.240 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@H](COc3ccccc3)C2)cc1 ZINC001560706191 1060565433 /nfs/dbraw/zinc/56/54/33/1060565433.db2.gz CRGRQSIBJZTHAU-WRFKIARRSA-N 0 3 311.400 4.240 20 0 DIADHN CCCn1cncc1CN1CCC(c2c[nH]c3ccccc23)CC1 ZINC001560714419 1060571188 /nfs/dbraw/zinc/57/11/88/1060571188.db2.gz KKJKNGRXBAKFFJ-UHFFFAOYSA-N 0 3 322.456 4.154 20 0 DIADHN C[C@@H]1CCCN(Cc2cnc(-c3c(F)cccc3F)s2)C1 ZINC001560714739 1060575289 /nfs/dbraw/zinc/57/52/89/1060575289.db2.gz YRSIUBPUYMZHLQ-LLVKDONJSA-N 0 3 308.397 4.320 20 0 DIADHN CCOc1cc(OC)ccc1CN1CCCc2ccccc2C1 ZINC001250063141 1060576317 /nfs/dbraw/zinc/57/63/17/1060576317.db2.gz SHCOORPTCVSZEJ-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN Cc1noc(C)c1Cc1cccc(CN2CCCCC2)c1F ZINC001250070394 1060585311 /nfs/dbraw/zinc/58/53/11/1060585311.db2.gz JJAYARJCSZADKT-UHFFFAOYSA-N 0 3 302.393 4.007 20 0 DIADHN Cc1oc2ccccc2c1CN1CCN(c2cccc(C)c2)CC1 ZINC001560722623 1060585624 /nfs/dbraw/zinc/58/56/24/1060585624.db2.gz MKLHJHIKNNSGIB-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccccc1OCC(F)F ZINC001560725806 1060589893 /nfs/dbraw/zinc/58/98/93/1060589893.db2.gz QLEJVCFGTAGACV-MRXNPFEDSA-N 0 3 320.383 4.006 20 0 DIADHN C[C@H]1C[C@H](N2CCN(C3CCCC3)CC2)c2ccsc2S1 ZINC001560729108 1060597711 /nfs/dbraw/zinc/59/77/11/1060597711.db2.gz VVMYTFWLWOBALW-BBRMVZONSA-N 0 3 322.543 4.234 20 0 DIADHN CCOc1cc(CN2Cc3ccccc3C[C@@H]2CC)ccc1O ZINC001560733804 1060601945 /nfs/dbraw/zinc/60/19/45/1060601945.db2.gz ABHXWRROQGTMEU-SFHVURJKSA-N 0 3 311.425 4.128 20 0 DIADHN COc1nc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)ccc1C ZINC001560734239 1060603109 /nfs/dbraw/zinc/60/31/09/1060603109.db2.gz FYJFHQAMFRQKCR-ICSRJNTNSA-N 0 3 324.468 4.448 20 0 DIADHN COc1nc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)ccc1C ZINC001560734240 1060603608 /nfs/dbraw/zinc/60/36/08/1060603608.db2.gz FYJFHQAMFRQKCR-QUCCMNQESA-N 0 3 324.468 4.448 20 0 DIADHN COc1cc(F)c(C)cc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001250112991 1060617805 /nfs/dbraw/zinc/61/78/05/1060617805.db2.gz IOYCRIRWYDAQSU-UHFFFAOYSA-N 0 3 321.436 4.066 20 0 DIADHN CC[C@H](CCc1ccccc1)N[C@H]1COc2cc(F)cc(F)c21 ZINC001560751540 1060618609 /nfs/dbraw/zinc/61/86/09/1060618609.db2.gz PPTXRNTWNLLKSX-WBVHZDCISA-N 0 3 317.379 4.399 20 0 DIADHN C[C@@H]1CCN(CCCc2c(F)cccc2Cl)CCS1 ZINC001560755392 1060619999 /nfs/dbraw/zinc/61/99/99/1060619999.db2.gz HQLZJNCTWPWKMJ-GFCCVEGCSA-N 0 3 301.858 4.239 20 0 DIADHN CCCN(CC[C@@H](C)c1ccccc1)[C@@H](CC)C(=O)OCC ZINC001560754778 1060621179 /nfs/dbraw/zinc/62/11/79/1060621179.db2.gz RDVZVCVLVNIWPE-AEFFLSMTSA-N 0 3 305.462 4.234 20 0 DIADHN CN1CCc2ccc(Nc3ccc(Cl)c(F)c3F)cc2C1 ZINC001250115635 1060622794 /nfs/dbraw/zinc/62/27/94/1060622794.db2.gz IIECNNVVKABAKN-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN Cn1ncc(C2CC2)c1CN1CCCC2(CCCCC2)CC1 ZINC001560759513 1060625117 /nfs/dbraw/zinc/62/51/17/1060625117.db2.gz DJYNUSUBXJRWNK-UHFFFAOYSA-N 0 3 301.478 4.234 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001560776585 1060641225 /nfs/dbraw/zinc/64/12/25/1060641225.db2.gz NFYWRSRRZMJLFA-IAQYHMDHSA-N 0 3 301.352 4.334 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@H](C)c1ccccc1 ZINC001560793704 1060653872 /nfs/dbraw/zinc/65/38/72/1060653872.db2.gz XJXGKGSIRFZGBY-VDGAXYAQSA-N 0 3 323.436 4.169 20 0 DIADHN C[C@H](CN1CC[C@H](C(F)(F)F)[C@@H]1C)c1cc(F)cc(F)c1 ZINC001560797588 1060657743 /nfs/dbraw/zinc/65/77/43/1060657743.db2.gz IPIDPEALGBGZJG-BFVZDQMLSA-N 0 3 307.306 4.341 20 0 DIADHN Fc1cccc(CN2CCC3(CCC3)C2)c1OCC(F)(F)F ZINC001560796931 1060658713 /nfs/dbraw/zinc/65/87/13/1060658713.db2.gz ORFNOWBSZQFLSK-UHFFFAOYSA-N 0 3 317.326 4.143 20 0 DIADHN COCc1c(C)cc(C)c(CN2CCO[C@@H](C(C)(C)C)C2)c1C ZINC001560801195 1060660834 /nfs/dbraw/zinc/66/08/34/1060660834.db2.gz IAGHKUATDJZBNU-LJQANCHMSA-N 0 3 319.489 4.005 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1Cc1cccc2c1CCC2 ZINC001560801738 1060661572 /nfs/dbraw/zinc/66/15/72/1060661572.db2.gz AIXJCLNPQAXCGU-MRXNPFEDSA-N 0 3 324.443 4.025 20 0 DIADHN CCO[C@@H]1CCC[C@@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC001560802934 1060663677 /nfs/dbraw/zinc/66/36/77/1060663677.db2.gz ISSITEVRRINCHN-SBHAEUEKSA-N 0 3 324.468 4.417 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCSC[C@@H]1c1cnn(C)c1 ZINC001560805880 1060666433 /nfs/dbraw/zinc/66/64/33/1060666433.db2.gz FEGVWLPAKWZMHD-SJLPKXTDSA-N 0 3 321.534 4.283 20 0 DIADHN CCn1cc(CN2CCC3(CCC3)CC2)c(-c2ccccc2)n1 ZINC001560819925 1060676901 /nfs/dbraw/zinc/67/69/01/1060676901.db2.gz FJPXXPXWWMNJRS-UHFFFAOYSA-N 0 3 309.457 4.336 20 0 DIADHN Fc1ccc2ccc(CN3CCC4(CCC4)CC3)nc2c1F ZINC001560820028 1060679360 /nfs/dbraw/zinc/67/93/60/1060679360.db2.gz IFSFUBAKDSBFKQ-UHFFFAOYSA-N 0 3 302.368 4.279 20 0 DIADHN Cc1ncoc1CN1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC001560825698 1060685107 /nfs/dbraw/zinc/68/51/07/1060685107.db2.gz UTWBHNCCEUKEGD-AWEZNQCLSA-N 0 3 324.346 4.381 20 0 DIADHN COCC1CN(Cc2ccc(C3CCC(C)(C)CC3)cc2)C1 ZINC001250206507 1060687106 /nfs/dbraw/zinc/68/71/06/1060687106.db2.gz KGFYTNSQBNENNZ-UHFFFAOYSA-N 0 3 301.474 4.449 20 0 DIADHN C[C@@]1(CN2CC(c3cccc(C(F)(F)F)c3)C2)CCCCO1 ZINC001560831195 1060689427 /nfs/dbraw/zinc/68/94/27/1060689427.db2.gz LYWGGHYKISMKRV-INIZCTEOSA-N 0 3 313.363 4.064 20 0 DIADHN CC[C@H](C)N1CCN([C@H]2C[C@H](C)Sc3sccc32)CC1 ZINC001560834870 1060691463 /nfs/dbraw/zinc/69/14/63/1060691463.db2.gz WWQOFOKDEIHKBD-YDHLFZDLSA-N 0 3 310.532 4.090 20 0 DIADHN COc1cc(CN2CC[C@H](C(F)(F)F)C[C@@H]2C)ccc1C ZINC001560844491 1060700748 /nfs/dbraw/zinc/70/07/48/1060700748.db2.gz FWEVGURADXPKCD-JSGCOSHPSA-N 0 3 301.352 4.166 20 0 DIADHN CCC1(NCc2nc(Br)cs2)CCCCC1 ZINC001560843263 1060701006 /nfs/dbraw/zinc/70/10/06/1060701006.db2.gz UVOVRCISPDMZDL-UHFFFAOYSA-N 0 3 303.269 4.108 20 0 DIADHN CC(C)[C@@H]1N(Cc2cn(C)nc2C2CCCCC2)CC12CCC2 ZINC001560857815 1060715130 /nfs/dbraw/zinc/71/51/30/1060715130.db2.gz GOLZXLYUBNIGNG-IBGZPJMESA-N 0 3 315.505 4.478 20 0 DIADHN CCOc1ccc(CN2CC3(CCC3)[C@@H]2C(C)C)cc1OC ZINC001560858462 1060717650 /nfs/dbraw/zinc/71/76/50/1060717650.db2.gz PESMAJQLDOXTGN-SFHVURJKSA-N 0 3 303.446 4.104 20 0 DIADHN COc1ccc([C@H](C)NCc2cccn2C(F)F)c(C)c1OC ZINC001560862249 1060721007 /nfs/dbraw/zinc/72/10/07/1060721007.db2.gz KBSUVWXUZHTRDI-LBPRGKRZSA-N 0 3 324.371 4.060 20 0 DIADHN CN(C)Cc1ccc(CC(=O)c2ccc(C(F)(F)F)cc2)cc1 ZINC001250238048 1060721677 /nfs/dbraw/zinc/72/16/77/1060721677.db2.gz USILHWDJVBVXHH-UHFFFAOYSA-N 0 3 321.342 4.192 20 0 DIADHN CCOC(=O)c1ccc(CN(C(C)C)[C@@H](C)c2ccccc2)o1 ZINC001560863739 1060723832 /nfs/dbraw/zinc/72/38/32/1060723832.db2.gz BESNNPBIPGJQAT-HNNXBMFYSA-N 0 3 315.413 4.428 20 0 DIADHN CC(C)[C@H]1N(Cc2c(C3CCC3)cnn2C)CC12CCCCC2 ZINC001560878586 1060739559 /nfs/dbraw/zinc/73/95/59/1060739559.db2.gz ZYJQLERPKMMQFJ-LJQANCHMSA-N 0 3 315.505 4.478 20 0 DIADHN CCCN(CCOC)Cc1cc(F)c(OC(C)C)c(Cl)c1 ZINC001250280471 1060752016 /nfs/dbraw/zinc/75/20/16/1060752016.db2.gz FGIVSKQFYNOLMQ-UHFFFAOYSA-N 0 3 317.832 4.125 20 0 DIADHN CC[C@H](C)[C@H](CN[C@@H]1CC(C)(C)Oc2ccc(F)cc21)OC ZINC001560918264 1060778149 /nfs/dbraw/zinc/77/81/49/1060778149.db2.gz OENRQBQGYYAOCV-MJEQTWJJSA-N 0 3 309.425 4.079 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)Cc1ccc(O)c(F)c1F ZINC001560919579 1060783602 /nfs/dbraw/zinc/78/36/02/1060783602.db2.gz IGDOSVLDPJQLTK-LBPRGKRZSA-N 0 3 323.408 4.283 20 0 DIADHN CCC(C)(C)OC1CN([C@@H]2CC[C@@](C)(c3ccccc3)C2)C1 ZINC001560934428 1060797011 /nfs/dbraw/zinc/79/70/11/1060797011.db2.gz QQHPRKPMJLRUSP-YLJYHZDGSA-N 0 3 301.474 4.386 20 0 DIADHN C[C@H](CN1Cc2c[nH]nc2CC(C)(C)C1)c1cccc(Cl)c1 ZINC001560950869 1060818058 /nfs/dbraw/zinc/81/80/58/1060818058.db2.gz QBVAZVUYZSTBLL-CYBMUJFWSA-N 0 3 317.864 4.251 20 0 DIADHN CCC(CC)CN1CC(Cc2ccccc2Br)C1 ZINC001560957382 1060822087 /nfs/dbraw/zinc/82/20/87/1060822087.db2.gz FKDGOUFTKVIDNM-UHFFFAOYSA-N 0 3 310.279 4.360 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC001560972793 1060837258 /nfs/dbraw/zinc/83/72/58/1060837258.db2.gz YKEMUBHKUJYJPR-SJORKVTESA-N 0 3 305.487 4.050 20 0 DIADHN CC(C)(NCc1cc(Cl)ccc1F)[C@@H](O)c1ccccc1 ZINC001560984318 1060847263 /nfs/dbraw/zinc/84/72/63/1060847263.db2.gz VZGBTFIANIIHAU-INIZCTEOSA-N 0 3 307.796 4.081 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccnc2ccncc21 ZINC001250506008 1060859072 /nfs/dbraw/zinc/85/90/72/1060859072.db2.gz GMUOWLRVXDMJKS-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Clc1nccc2oc(CNCc3cccc4ncccc34)cc21 ZINC001561000705 1060861694 /nfs/dbraw/zinc/86/16/94/1060861694.db2.gz ZVSJAFHGJDJWAG-UHFFFAOYSA-N 0 3 323.783 4.319 20 0 DIADHN COc1cc(CN2CCC[C@H]2c2ccccc2)cc2c1OCC=C2 ZINC001250510067 1060862057 /nfs/dbraw/zinc/86/20/57/1060862057.db2.gz JCXBKKPMALVIPA-IBGZPJMESA-N 0 3 321.420 4.438 20 0 DIADHN COc1cccc(CN2CCC[C@@](C)(F)CC2)c1OCC1CC1 ZINC001250521223 1060867856 /nfs/dbraw/zinc/86/78/56/1060867856.db2.gz MRXPXWBVNIJKOM-LJQANCHMSA-N 0 3 321.436 4.198 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2c(F)cc(C)cc2F)C12CCCCC2 ZINC001561019926 1060877169 /nfs/dbraw/zinc/87/71/69/1060877169.db2.gz SGCHGVZCGOKNDD-QZTJIDSGSA-N 0 3 323.427 4.491 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2c(F)cc(C)cc2F)C12CCCCC2 ZINC001561019928 1060878585 /nfs/dbraw/zinc/87/85/85/1060878585.db2.gz SGCHGVZCGOKNDD-ZWKOTPCHSA-N 0 3 323.427 4.491 20 0 DIADHN Fc1ccc(CNC2([C@H]3CCCCO3)CC2)c(C(F)(F)F)c1 ZINC001561020990 1060878631 /nfs/dbraw/zinc/87/86/31/1060878631.db2.gz XJXREEGDSMSOGJ-CQSZACIVSA-N 0 3 317.326 4.036 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1csc(NC(=O)OC(C)(C)C)n1 ZINC001561038421 1060891647 /nfs/dbraw/zinc/89/16/47/1060891647.db2.gz MOMXYEPDCDOFJQ-WDEREUQCSA-N 0 3 313.467 4.014 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1ncccc1Br ZINC001561048574 1060898912 /nfs/dbraw/zinc/89/89/12/1060898912.db2.gz YTMOKDQJDGTZPU-CHWSQXEVSA-N 0 3 313.283 4.395 20 0 DIADHN Clc1cncc(CNCCCc2ccsc2)c1Cl ZINC001561052067 1060901448 /nfs/dbraw/zinc/90/14/48/1060901448.db2.gz QXUPZOACCSUTOT-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN CN(C)c1ccc2nc(C3CCN(c4ccccc4)CC3)[nH]c2c1 ZINC001250584993 1060919329 /nfs/dbraw/zinc/91/93/29/1060919329.db2.gz VDXMTTCLFSETPL-UHFFFAOYSA-N 0 3 320.440 4.013 20 0 DIADHN CCN1CCN(c2cccc(N[C@H](C)CCC(C)C)c2)CC1 ZINC001462125200 1060946022 /nfs/dbraw/zinc/94/60/22/1060946022.db2.gz IGQRARXIZKTSLX-QGZVFWFLSA-N 0 3 303.494 4.065 20 0 DIADHN CCOC(=O)/C=C/CN(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC001473195858 1060952317 /nfs/dbraw/zinc/95/23/17/1060952317.db2.gz KEYCZWBWOMSAPL-VGMNTSGFSA-N 0 3 323.436 4.466 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(Br)c(C)s1 ZINC001462139695 1060959222 /nfs/dbraw/zinc/95/92/22/1060959222.db2.gz MJNGJGCYLCRPKI-NOZJJQNGSA-N 0 3 302.281 4.439 20 0 DIADHN CCCC1CCN([C@@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC001473202089 1060963403 /nfs/dbraw/zinc/96/34/03/1060963403.db2.gz FYGLOYHUYBXABM-LJQANCHMSA-N 0 3 314.473 4.003 20 0 DIADHN Cc1ccc(SCCN(C)Cc2cccc(O)c2)cc1C ZINC001473210090 1060981631 /nfs/dbraw/zinc/98/16/31/1060981631.db2.gz CDTPLPQFIJIGNW-UHFFFAOYSA-N 0 3 301.455 4.233 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1CC[C@@H](C)[C@H]1C ZINC001473217676 1060990369 /nfs/dbraw/zinc/99/03/69/1060990369.db2.gz QUJMAOSTIXOFGK-MXWKQRLJSA-N 0 3 315.244 4.051 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC001473218600 1060998741 /nfs/dbraw/zinc/99/87/41/1060998741.db2.gz UBSFQDFRHUKFOW-HUUCEWRRSA-N 0 3 321.436 4.331 20 0 DIADHN CCC1(CC)CCN(Cc2ccc(C(=O)OC)c(Cl)c2)C1 ZINC001473223525 1061002333 /nfs/dbraw/zinc/00/23/33/1061002333.db2.gz PWGCBAQXRXISGQ-UHFFFAOYSA-N 0 3 309.837 4.139 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)[NH2+][C@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC001462181614 1061003642 /nfs/dbraw/zinc/00/36/42/1061003642.db2.gz BMRLWQYNPJSOKB-ZMSDIMECSA-N 0 3 314.477 4.299 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1c(F)cc(F)c(F)c1F ZINC001462183834 1061006949 /nfs/dbraw/zinc/00/69/49/1061006949.db2.gz CIDOXTOJRNRPLW-LBPRGKRZSA-N 0 3 311.322 4.354 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1c(F)cc(F)c(F)c1F ZINC001462183832 1061007149 /nfs/dbraw/zinc/00/71/49/1061007149.db2.gz CIDOXTOJRNRPLW-GFCCVEGCSA-N 0 3 311.322 4.354 20 0 DIADHN CCOC(=O)CN(C[C@@H]1CC[C@@H](c2ccccc2)C1)C(C)(C)C ZINC001473236786 1061016899 /nfs/dbraw/zinc/01/68/99/1061016899.db2.gz DYVIOEFEVXHBKI-SJLPKXTDSA-N 0 3 317.473 4.234 20 0 DIADHN CN(CC(=O)Nc1cc(F)cc(F)c1)C[C@@H]1CCCCC1(C)C ZINC001473240728 1061018225 /nfs/dbraw/zinc/01/82/25/1061018225.db2.gz MHZNXEOPFAEJBO-ZDUSSCGKSA-N 0 3 324.415 4.052 20 0 DIADHN C[C@@H](CO)N(C)Cc1ccccc1Oc1ccc(F)c(Cl)c1 ZINC001462197484 1061020025 /nfs/dbraw/zinc/02/00/25/1061020025.db2.gz ILTQXTHYPCXPAN-LBPRGKRZSA-N 0 3 323.795 4.084 20 0 DIADHN CN(CC(=O)Nc1cc(F)cc(F)c1)C[C@H]1CCCCC1(C)C ZINC001473240727 1061020014 /nfs/dbraw/zinc/02/00/14/1061020014.db2.gz MHZNXEOPFAEJBO-CYBMUJFWSA-N 0 3 324.415 4.052 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cccc(Cl)n2)C1(CC)CC ZINC001473244377 1061022963 /nfs/dbraw/zinc/02/29/63/1061022963.db2.gz RGNMJKXGFANEBT-CABCVRRESA-N 0 3 310.869 4.151 20 0 DIADHN COc1ncc(CN(C)[C@@H](C)c2cccc(O)c2)c2ccccc21 ZINC001473248609 1061024357 /nfs/dbraw/zinc/02/43/57/1061024357.db2.gz UXWGORPONBBCPN-AWEZNQCLSA-N 0 3 322.408 4.142 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(C(F)(F)F)c(C)c1 ZINC001462207064 1061025885 /nfs/dbraw/zinc/02/58/85/1061025885.db2.gz KORJCOAZKFPOLJ-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN CCOC(=O)/C=C\CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC001473251358 1061028056 /nfs/dbraw/zinc/02/80/56/1061028056.db2.gz VZPBYFXCUCBLNO-SREVYHEPSA-N 0 3 321.848 4.208 20 0 DIADHN CCC(CC)(CC(=O)OC)NCc1ccc(Cl)cc1Cl ZINC001473250325 1061028923 /nfs/dbraw/zinc/02/89/23/1061028923.db2.gz HRTICUGEXCSNFS-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NC[C@H](O)C(F)(F)F)C(C)C)cc1 ZINC001473256977 1061033986 /nfs/dbraw/zinc/03/39/86/1061033986.db2.gz WVPDHKCFSNEFQQ-VBNZEHGJSA-N 0 3 317.395 4.410 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NC[C@@H](O)C(F)(F)F)C(C)C)cc1 ZINC001473256975 1061034027 /nfs/dbraw/zinc/03/40/27/1061034027.db2.gz WVPDHKCFSNEFQQ-MAZHCROVSA-N 0 3 317.395 4.410 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCc2cc(-c3ccccc3)oc2C1 ZINC001473260145 1061035336 /nfs/dbraw/zinc/03/53/36/1061035336.db2.gz RCPTZHPQHPTZGY-SFHVURJKSA-N 0 3 313.441 4.366 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@@H]2CCCC[C@H]2C)cc(Cl)c1[O-] ZINC001462218512 1061035577 /nfs/dbraw/zinc/03/55/77/1061035577.db2.gz UGWGCCFSUWCMHC-IUODEOHRSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@@H]2CCCC[C@H]2C)cc(Cl)c1[O-] ZINC001462218512 1061035586 /nfs/dbraw/zinc/03/55/86/1061035586.db2.gz UGWGCCFSUWCMHC-IUODEOHRSA-N 0 3 311.853 4.455 20 0 DIADHN CC1(C)CO[C@@H](CN2CCc3cc(-c4ccccc4)oc3C2)C1 ZINC001473260201 1061037933 /nfs/dbraw/zinc/03/79/33/1061037933.db2.gz TUJHYHNBRZASDO-QGZVFWFLSA-N 0 3 311.425 4.120 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1CCC[C@@H]1CO ZINC001462230948 1061044406 /nfs/dbraw/zinc/04/44/06/1061044406.db2.gz BKEUDVKEJBTZPN-IUODEOHRSA-N 0 3 313.894 4.091 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2)C2CCC2)cc1C ZINC001473271570 1061044924 /nfs/dbraw/zinc/04/49/24/1061044924.db2.gz DXNYGYWOUMQZSK-OAQYLSRUSA-N 0 3 322.452 4.373 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cncc(Br)c1 ZINC001473273024 1061045914 /nfs/dbraw/zinc/04/59/14/1061045914.db2.gz MJWBVSDHWOEPDP-IUODEOHRSA-N 0 3 311.267 4.245 20 0 DIADHN CCOc1ccc(Cl)cc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC001462246440 1061050937 /nfs/dbraw/zinc/05/09/37/1061050937.db2.gz BBSUMYQEMPKMJM-AEFFLSMTSA-N 0 3 305.849 4.359 20 0 DIADHN CCOc1c(Cl)cccc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC001462246523 1061051802 /nfs/dbraw/zinc/05/18/02/1061051802.db2.gz CCQSOMXGJRFWBY-RDJZCZTQSA-N 0 3 305.849 4.359 20 0 DIADHN Cc1cc(Br)cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1 ZINC001462251748 1061055239 /nfs/dbraw/zinc/05/52/39/1061055239.db2.gz RXOBGQBYWHPRGB-IRXDYDNUSA-N 0 3 320.274 4.378 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462256324 1061057477 /nfs/dbraw/zinc/05/74/77/1061057477.db2.gz WPAFKBWZLLTAGB-MEBBXXQBSA-N 0 3 323.333 4.451 20 0 DIADHN CC(C)Cc1ccccc1CN(C)[C@H]1CCCc2c1cnn2C ZINC001473290568 1061062308 /nfs/dbraw/zinc/06/23/08/1061062308.db2.gz AJYMBKUQDHKGTI-IBGZPJMESA-N 0 3 311.473 4.128 20 0 DIADHN Cc1ccc(CN2CCN(C)Cc3ccccc32)c(Cl)c1 ZINC001462270993 1061063900 /nfs/dbraw/zinc/06/39/00/1061063900.db2.gz JMRKXDPDTWXYAT-UHFFFAOYSA-N 0 3 300.833 4.100 20 0 DIADHN CCO[C@H]1C[C@H](N[C@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001462273067 1061066543 /nfs/dbraw/zinc/06/65/43/1061066543.db2.gz HEZXVHWJEDJKOO-RLCCDNCMSA-N 0 3 311.828 4.477 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001462273068 1061067342 /nfs/dbraw/zinc/06/73/42/1061067342.db2.gz HEZXVHWJEDJKOO-XFBWCDHKSA-N 0 3 311.828 4.477 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccn(-c2ccccc2F)n1 ZINC001473312967 1061077084 /nfs/dbraw/zinc/07/70/84/1061077084.db2.gz CMJJWSZMQJLFGV-HOTGVXAUSA-N 0 3 323.415 4.293 20 0 DIADHN C[C@H](NCc1noc2c1CC(C)(C)CC2)[C@@H](C)c1ccccc1 ZINC001473314057 1061079170 /nfs/dbraw/zinc/07/91/70/1061079170.db2.gz HOBSCYQPNGLQBQ-CABCVRRESA-N 0 3 312.457 4.471 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nc2cc(Br)ccc2[nH]1 ZINC001473318293 1061081844 /nfs/dbraw/zinc/08/18/44/1061081844.db2.gz RMDPSCJZCOMMAU-CMPLNLGQSA-N 0 3 322.250 4.088 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nc2cc(Br)ccc2[nH]1 ZINC001473318294 1061083649 /nfs/dbraw/zinc/08/36/49/1061083649.db2.gz RMDPSCJZCOMMAU-JQWIXIFHSA-N 0 3 322.250 4.088 20 0 DIADHN CCc1nnc(CNCC2CCC3(CCCCC3)CC2)s1 ZINC001462296860 1061087071 /nfs/dbraw/zinc/08/70/71/1061087071.db2.gz GRWIEPVMAJEKSL-UHFFFAOYSA-N 0 3 307.507 4.331 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1cc(C)cc(C)n1 ZINC001473327191 1061087159 /nfs/dbraw/zinc/08/71/59/1061087159.db2.gz QUFAZDLEEQSJPP-KDOFPFPSSA-N 0 3 318.848 4.218 20 0 DIADHN Clc1cccc([C@H](NCC2=NOCC2)C2CCCCC2)c1 ZINC001473326881 1061088654 /nfs/dbraw/zinc/08/86/54/1061088654.db2.gz JYZFPVQMEBMBIJ-QGZVFWFLSA-N 0 3 306.837 4.327 20 0 DIADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC001462306085 1061093068 /nfs/dbraw/zinc/09/30/68/1061093068.db2.gz JSURJYKNIZKJCA-HOCLYGCPSA-N 0 3 303.494 4.421 20 0 DIADHN CCc1oc(CN2CCC(C)(CC(C)C)CC2)cc1C(=O)OC ZINC001462306230 1061093236 /nfs/dbraw/zinc/09/32/36/1061093236.db2.gz NIAIZPHJRDHURO-UHFFFAOYSA-N 0 3 321.461 4.277 20 0 DIADHN CCCSC[C@H](C)N[C@H](COC)c1cccc(Cl)c1 ZINC001462308240 1061096031 /nfs/dbraw/zinc/09/60/31/1061096031.db2.gz SPHNGIOVNPXEKO-SWLSCSKDSA-N 0 3 301.883 4.149 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccccc1)C(=O)N(C)c1ccc(C)cc1 ZINC001473336382 1061098191 /nfs/dbraw/zinc/09/81/91/1061098191.db2.gz IDRBVPGFNACKKS-VQIMIIECSA-N 0 3 310.441 4.087 20 0 DIADHN Fc1ccc(C2CN(Cc3ccccc3N3CCCCC3)C2)cc1 ZINC001462316732 1061100496 /nfs/dbraw/zinc/10/04/96/1061100496.db2.gz QJFSAEMNMQZCIT-UHFFFAOYSA-N 0 3 324.443 4.415 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](C)C(=O)N[C@H](C)c2ccccc2)c1 ZINC001473349778 1061105855 /nfs/dbraw/zinc/10/58/55/1061105855.db2.gz MJEMSJHDZSKAAR-FGTMMUONSA-N 0 3 324.468 4.220 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3ccns3)C2)cc1 ZINC001473353906 1061108548 /nfs/dbraw/zinc/10/85/48/1061108548.db2.gz COLGABNEJMWWFP-INIZCTEOSA-N 0 3 300.471 4.331 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3ccnc(F)c3)C2)cc1 ZINC001473354591 1061109028 /nfs/dbraw/zinc/10/90/28/1061109028.db2.gz LJYFMPROAOVREC-SFHVURJKSA-N 0 3 312.432 4.409 20 0 DIADHN CC(C)COC[C@@H](NCC[C@H]1CCCC1(F)F)c1ccco1 ZINC001473367477 1061116877 /nfs/dbraw/zinc/11/68/77/1061116877.db2.gz VNOGUTKHTCSQAA-HUUCEWRRSA-N 0 3 315.404 4.408 20 0 DIADHN CC(C)C[C@@H](C)CN(Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC001473370723 1061119453 /nfs/dbraw/zinc/11/94/53/1061119453.db2.gz ACHMKWZDXAHXNR-ZBFHGGJFSA-N 0 3 317.395 4.094 20 0 DIADHN Fc1ccc(CN[C@@H](CN2CCCCC2)c2ccccc2)cc1 ZINC001473372941 1061119478 /nfs/dbraw/zinc/11/94/78/1061119478.db2.gz KKFBZCAFQNFSJW-FQEVSTJZSA-N 0 3 312.432 4.143 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC001473381559 1061124409 /nfs/dbraw/zinc/12/44/09/1061124409.db2.gz NJJDRUYAUVCFHZ-CJNGLKHVSA-N 0 3 300.402 4.019 20 0 DIADHN CCn1ccc(CN(C)[C@H](C)c2cccc(Br)c2)c1 ZINC001473381153 1061124865 /nfs/dbraw/zinc/12/48/65/1061124865.db2.gz YWCSJXKGONTSER-CYBMUJFWSA-N 0 3 321.262 4.464 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCC[C@H]3C)co2)cc1 ZINC001473380815 1061125082 /nfs/dbraw/zinc/12/50/82/1061125082.db2.gz KFYQPSFNWJFEEC-CXAGYDPISA-N 0 3 300.402 4.019 20 0 DIADHN Cc1cccc2c1CN(Cc1ccc(C(=O)OC(C)C)cc1)CC2 ZINC001473381416 1061125154 /nfs/dbraw/zinc/12/51/54/1061125154.db2.gz OXLSPGSSFFOVTG-UHFFFAOYSA-N 0 3 323.436 4.119 20 0 DIADHN CC(C)N(Cc1ccc2c(c1)OCCO2)[C@@H](C)c1ccsc1 ZINC001462358166 1061125806 /nfs/dbraw/zinc/12/58/06/1061125806.db2.gz QXNXKHBZPQQZJN-AWEZNQCLSA-N 0 3 317.454 4.491 20 0 DIADHN CC(C)C1(c2ccccc2)CN(Cc2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001462356639 1061126723 /nfs/dbraw/zinc/12/67/23/1061126723.db2.gz PWZKUWUFVOXKJD-QGZVFWFLSA-N 0 3 321.464 4.420 20 0 DIADHN C[C@H](C1CCCCC1)N(C)CC(=O)Nc1cccc(Cl)c1 ZINC001473423132 1061153477 /nfs/dbraw/zinc/15/34/77/1061153477.db2.gz GOYSCMPFYQTXGY-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)C[C@@H]1CC1(Cl)Cl ZINC001462414658 1061157615 /nfs/dbraw/zinc/15/76/15/1061157615.db2.gz NELUGAOCKVAFPK-NEPJUHHUSA-N 0 3 304.286 4.293 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@@H]2CCC(C)(C)C2)co1 ZINC001473443562 1061160547 /nfs/dbraw/zinc/16/05/47/1061160547.db2.gz NIOLWLGGBGCVRN-OAHLLOKOSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc([C@@H](NCCc2cccc(F)c2)c2ccccn2)cc1 ZINC001473443682 1061160984 /nfs/dbraw/zinc/16/09/84/1061160984.db2.gz IEQBWSJFWQSALM-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN CCC1(CC)[C@H](NCc2nsc3ccccc23)[C@@H](C)[C@H]1OC ZINC001473447939 1061165603 /nfs/dbraw/zinc/16/56/03/1061165603.db2.gz QNCGANCLFTUUFW-CSMYWGQOSA-N 0 3 318.486 4.226 20 0 DIADHN CCC1(CC)[C@H](NCc2nsc3ccccc23)[C@@H](C)[C@@H]1OC ZINC001473447943 1061165735 /nfs/dbraw/zinc/16/57/35/1061165735.db2.gz QNCGANCLFTUUFW-JLZZUVOBSA-N 0 3 318.486 4.226 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC(c3ccon3)CC2)cc1C ZINC001462446648 1061179983 /nfs/dbraw/zinc/17/99/83/1061179983.db2.gz ILEUSGHOURGZJZ-SNAWJCMRSA-N 0 3 310.441 4.493 20 0 DIADHN CSc1ccc(NC(=O)CN2CCCCCCCC2)cc1 ZINC001473478726 1061182436 /nfs/dbraw/zinc/18/24/36/1061182436.db2.gz DZUJCDUEONPEKS-UHFFFAOYSA-N 0 3 306.475 4.003 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc3cc(C)ccc3s2)C12CCC2 ZINC001473482087 1061184406 /nfs/dbraw/zinc/18/44/06/1061184406.db2.gz CTKVTKHEPVNRJM-HOTGVXAUSA-N 0 3 316.470 4.042 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCSC[C@H]1C1CC1 ZINC001473489908 1061187197 /nfs/dbraw/zinc/18/71/97/1061187197.db2.gz JCYSXSHRHHDHSU-INIZCTEOSA-N 0 3 318.873 4.216 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@@H](C2CCCCC2)C1 ZINC001462469569 1061193337 /nfs/dbraw/zinc/19/33/37/1061193337.db2.gz SJBQCJLXUHBLBG-CQSZACIVSA-N 0 3 309.885 4.418 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@H](C)c2cccc(C3CC3)c2)cc1 ZINC001473502694 1061195944 /nfs/dbraw/zinc/19/59/44/1061195944.db2.gz VARKUZPYIQIGDC-HNNXBMFYSA-N 0 3 322.452 4.416 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@H](C)c2ccc(F)cc2Cl)c1 ZINC001473501749 1061197602 /nfs/dbraw/zinc/19/76/02/1061197602.db2.gz NSTVBSOJYHLEDY-FZKQIMNGSA-N 0 3 321.823 4.480 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](C(F)(F)F)O1)c1ccccc1Cl ZINC001473501397 1061198332 /nfs/dbraw/zinc/19/83/32/1061198332.db2.gz IVAIAXXYTXMTBR-MISXGVKJSA-N 0 3 321.770 4.491 20 0 DIADHN Fc1ccccc1CCN1CC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001473501324 1061198754 /nfs/dbraw/zinc/19/87/54/1061198754.db2.gz GDOXLRFLTWMMSW-QGZVFWFLSA-N 0 3 319.807 4.175 20 0 DIADHN CCOCC(C)(C)NCc1cc(Cl)ccc1OCC1CC1 ZINC001473515198 1061208667 /nfs/dbraw/zinc/20/86/67/1061208667.db2.gz JAJSNRDUTJLTGH-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN COC(=O)c1ccc(CN2CCC(C)(C3CC3)CC2)cc1Cl ZINC001473516751 1061213209 /nfs/dbraw/zinc/21/32/09/1061213209.db2.gz PGZIBZQZENCSIE-UHFFFAOYSA-N 0 3 321.848 4.139 20 0 DIADHN CC1(C)CN(Cc2ccc3cc[nH]c3c2)Cc2ccccc2O1 ZINC001473518855 1061215292 /nfs/dbraw/zinc/21/52/92/1061215292.db2.gz LWCMVVQKDKCWSE-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN CC[C@H](CN[C@@H](c1c(Cl)cccc1Cl)C1CC1)OC ZINC001473521128 1061216923 /nfs/dbraw/zinc/21/69/23/1061216923.db2.gz KSNFWGNNNFTZTB-IAQYHMDHSA-N 0 3 302.245 4.459 20 0 DIADHN CC[C@H](CN[C@H](c1c(Cl)cccc1Cl)C1CC1)OC ZINC001473521127 1061218554 /nfs/dbraw/zinc/21/85/54/1061218554.db2.gz KSNFWGNNNFTZTB-ABAIWWIYSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@](O)(CN1CC2(CCCCC2)[C@@H]1c1ccccc1)C(F)F ZINC001473534669 1061229052 /nfs/dbraw/zinc/22/90/52/1061229052.db2.gz MTTFMKQKYBZCQG-RDJZCZTQSA-N 0 3 309.400 4.010 20 0 DIADHN C[C@](O)(CN1CC2(CCCCC2)[C@H]1c1ccccc1)C(F)F ZINC001473534670 1061230197 /nfs/dbraw/zinc/23/01/97/1061230197.db2.gz MTTFMKQKYBZCQG-WBVHZDCISA-N 0 3 309.400 4.010 20 0 DIADHN CCCn1cc(CN2CCC(c3ccc(F)cc3)CC2)c(C)n1 ZINC001462523256 1061231223 /nfs/dbraw/zinc/23/12/23/1061231223.db2.gz QGYRUVPPOSZGHO-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCSC3(CCC3)CC2)n1 ZINC001473547963 1061235968 /nfs/dbraw/zinc/23/59/68/1061235968.db2.gz AILLIUGIHYFQAT-UHFFFAOYSA-N 0 3 320.502 4.121 20 0 DIADHN CN(Cc1cc2ccccc2n1C)Cc1ccc2cc[nH]c2c1 ZINC001462527325 1061238655 /nfs/dbraw/zinc/23/86/55/1061238655.db2.gz JVBLRNVREJAYJZ-UHFFFAOYSA-N 0 3 303.409 4.292 20 0 DIADHN C[C@H](NCc1sncc1Br)[C@H]1CCC[C@H](C)C1 ZINC001473553788 1061243110 /nfs/dbraw/zinc/24/31/10/1061243110.db2.gz PYCVWFXIVHFHML-DCAQKATOSA-N 0 3 317.296 4.210 20 0 DIADHN FC(F)(F)c1sccc1CNC1([C@@H]2CCCCO2)CCC1 ZINC001462534305 1061243152 /nfs/dbraw/zinc/24/31/52/1061243152.db2.gz PJVDTBUCGXWMDV-LBPRGKRZSA-N 0 3 319.392 4.348 20 0 DIADHN CCN(CCOc1ccc(Cl)c(F)c1)Cc1occc1C ZINC001473558150 1061245059 /nfs/dbraw/zinc/24/50/59/1061245059.db2.gz GHVIYYQMVCWESR-UHFFFAOYSA-N 0 3 311.784 4.281 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@@H](c3ccccc3)C2(C)C)c1 ZINC001473561926 1061247520 /nfs/dbraw/zinc/24/75/20/1061247520.db2.gz XSEUTHARDFVXEX-IBGZPJMESA-N 0 3 322.452 4.202 20 0 DIADHN Fc1cccc2c1CC[C@H]2N1CCC[C@@H](CC(F)(F)F)C1 ZINC001473563960 1061248031 /nfs/dbraw/zinc/24/80/31/1061248031.db2.gz HFHJPDQCXCLDDJ-XHDPSFHLSA-N 0 3 301.327 4.477 20 0 DIADHN FC(F)Oc1cccc(CN2CCC[C@H](CC(F)(F)F)C2)c1 ZINC001473563549 1061248170 /nfs/dbraw/zinc/24/81/70/1061248170.db2.gz DRWJNZCJEBLLLF-GFCCVEGCSA-N 0 3 323.305 4.452 20 0 DIADHN FC(F)Oc1cccc(CN2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC001473563554 1061251372 /nfs/dbraw/zinc/25/13/72/1061251372.db2.gz DRWJNZCJEBLLLF-LBPRGKRZSA-N 0 3 323.305 4.452 20 0 DIADHN C[C@H](NC[C@@H]1CC(C)(C)CO1)c1ccc(-c2ccccc2)cn1 ZINC001462556664 1061259042 /nfs/dbraw/zinc/25/90/42/1061259042.db2.gz MARRHRMJOOAXNZ-YJBOKZPZSA-N 0 3 310.441 4.214 20 0 DIADHN CC1(C)C[C@@H](c2ccccc2)N(Cc2cccc3c2OCCO3)C1 ZINC001473574627 1061264051 /nfs/dbraw/zinc/26/40/51/1061264051.db2.gz VMBZDGNOCLKAFU-SFHVURJKSA-N 0 3 323.436 4.431 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CNC1(c2ccccc2Cl)CC1 ZINC001473579866 1061267356 /nfs/dbraw/zinc/26/73/56/1061267356.db2.gz LZSUFOYGLXEZPG-KDOFPFPSSA-N 0 3 315.844 4.083 20 0 DIADHN Clc1ccccc1C1(NC[C@H]2CCC3(CCCC3)O2)CC1 ZINC001473580651 1061271458 /nfs/dbraw/zinc/27/14/58/1061271458.db2.gz XVZIQBWSWXIRNU-CQSZACIVSA-N 0 3 305.849 4.411 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4C3)CC2)sn1 ZINC001473610212 1061284658 /nfs/dbraw/zinc/28/46/58/1061284658.db2.gz XALAVGNWEUKXSX-UHFFFAOYSA-N 0 3 312.482 4.223 20 0 DIADHN CSc1ccc(NC(=O)CN2CCCC[C@H]2C2CCC2)cc1 ZINC001473613984 1061286922 /nfs/dbraw/zinc/28/69/22/1061286922.db2.gz QKNPYUPYLCTREY-KRWDZBQOSA-N 0 3 318.486 4.002 20 0 DIADHN Brc1ccccc1CCCN1CCC12CCCC2 ZINC001473617222 1061290412 /nfs/dbraw/zinc/29/04/12/1061290412.db2.gz MSIKFSYGXUGPTR-UHFFFAOYSA-N 0 3 308.263 4.400 20 0 DIADHN CCc1ccc(CN2CCCC[C@H]2Cc2ccccc2F)nc1 ZINC001473619977 1061296032 /nfs/dbraw/zinc/29/60/32/1061296032.db2.gz UDOFLKURFOQEQI-IBGZPJMESA-N 0 3 312.432 4.380 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1c(Cl)cncc1Cl ZINC001473628136 1061302682 /nfs/dbraw/zinc/30/26/82/1061302682.db2.gz BSXVPGFUUXSCGP-MGPLVRAMSA-N 0 3 307.224 4.206 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC(C)(C)CC(C)(C)C2)c1 ZINC001473632148 1061303319 /nfs/dbraw/zinc/30/33/19/1061303319.db2.gz QDTUVKACHIBANL-UHFFFAOYSA-N 0 3 302.462 4.082 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1coc(-c2cccc(OC)c2)n1 ZINC001473636907 1061307556 /nfs/dbraw/zinc/30/75/56/1061307556.db2.gz MBGYNILUAYINCQ-CYBMUJFWSA-N 0 3 302.418 4.265 20 0 DIADHN Nc1c(F)cccc1CN1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001462638249 1061309857 /nfs/dbraw/zinc/30/98/57/1061309857.db2.gz RLAGPRJIXHMPFR-UHFFFAOYSA-N 0 3 323.415 4.269 20 0 DIADHN CCOc1ccc(CCN(C)Cc2ccc(C)cc2F)cc1 ZINC001462646459 1061315372 /nfs/dbraw/zinc/31/53/72/1061315372.db2.gz VSQLZIPPFPLGNU-UHFFFAOYSA-N 0 3 301.405 4.207 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](O)c1cc(C)cc(C)c1 ZINC001473649583 1061316930 /nfs/dbraw/zinc/31/69/30/1061316930.db2.gz WDMGEJXEBSIGES-FQEVSTJZSA-N 0 3 315.432 4.388 20 0 DIADHN CC(C)C[C@H](C)CN[C@H](CO)c1cccc(Cl)c1Cl ZINC001473660737 1061320842 /nfs/dbraw/zinc/32/08/42/1061320842.db2.gz ZYXAZQGDKJHLTG-SMDDNHRTSA-N 0 3 304.261 4.299 20 0 DIADHN CC(C)CCOCCN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC001473670184 1061333124 /nfs/dbraw/zinc/33/31/24/1061333124.db2.gz HWZGRYXUQZRJMZ-INIZCTEOSA-N 0 3 311.416 4.207 20 0 DIADHN CCc1cc(OC)ccc1CN1CCc2cc(C)cc(C)c2C1 ZINC001462681765 1061337918 /nfs/dbraw/zinc/33/79/18/1061337918.db2.gz GEQFUXVQDVJWPP-UHFFFAOYSA-N 0 3 309.453 4.433 20 0 DIADHN CC(C)=CCN1Cc2cnn(-c3ccccc3)c2CC(C)(C)C1 ZINC001473681755 1061341984 /nfs/dbraw/zinc/34/19/84/1061341984.db2.gz QBUMHBZZPHCATA-UHFFFAOYSA-N 0 3 309.457 4.223 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(Cl)cc3)C2)cc1F ZINC001473688684 1061347621 /nfs/dbraw/zinc/34/76/21/1061347621.db2.gz FOTGPSDELQKEAY-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@@H](NCCOc1cc(Cl)cc(Cl)c1)c1ccns1 ZINC001473695045 1061354005 /nfs/dbraw/zinc/35/40/05/1061354005.db2.gz ZHYBWZJAEKLZSE-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN CN(Cc1ccc2cc[nH]c2c1)Cc1cnc2ccccc2c1 ZINC001473740300 1061382902 /nfs/dbraw/zinc/38/29/02/1061382902.db2.gz BZJLRMRRLYOOJI-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCN([C@@H](C)c2ccccc2)CC1 ZINC001473753650 1061390636 /nfs/dbraw/zinc/39/06/36/1061390636.db2.gz AUMKMFSKLOGDKV-RBUKOAKNSA-N 0 3 308.469 4.435 20 0 DIADHN Fc1cc2c(cc1F)CN(CC[C@@H]1CCc3ccccc31)CC2 ZINC001473756157 1061397474 /nfs/dbraw/zinc/39/74/74/1061397474.db2.gz YDDKNYUUINOUOG-HNNXBMFYSA-N 0 3 313.391 4.443 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCN(CCCC2CCC2)CC1 ZINC001473771790 1061403287 /nfs/dbraw/zinc/40/32/87/1061403287.db2.gz OCNTWNKMTQQQJD-FQEVSTJZSA-N 0 3 318.480 4.475 20 0 DIADHN CC(C)c1cc([C@H]2CCCN(Cc3ccccc3F)C2)[nH]n1 ZINC001473773090 1061403890 /nfs/dbraw/zinc/40/38/90/1061403890.db2.gz QEAXWXPBVUDQIO-HNNXBMFYSA-N 0 3 301.409 4.052 20 0 DIADHN CC(C)c1cc([C@H]2CCCN(Cc3ccccc3F)C2)n[nH]1 ZINC001473773090 1061403893 /nfs/dbraw/zinc/40/38/93/1061403893.db2.gz QEAXWXPBVUDQIO-HNNXBMFYSA-N 0 3 301.409 4.052 20 0 DIADHN CC(C)(C)OC[C@@H]1CCCN1Cc1ccccc1OC(F)F ZINC001473782517 1061408961 /nfs/dbraw/zinc/40/89/61/1061408961.db2.gz UVACDBLKOOBRNX-AWEZNQCLSA-N 0 3 313.388 4.068 20 0 DIADHN CC(C)c1nsc(CN[C@H](Cc2ccccc2)C(C)C)n1 ZINC001473792270 1061411958 /nfs/dbraw/zinc/41/19/58/1061411958.db2.gz OKXWLDWGJMDHRO-OAHLLOKOSA-N 0 3 303.475 4.018 20 0 DIADHN COCCN(Cc1ccc(C)c(C)c1)C[C@H]1CCCC(C)(C)O1 ZINC001473803157 1061413130 /nfs/dbraw/zinc/41/31/30/1061413130.db2.gz VTTXCNAQDVZSAE-LJQANCHMSA-N 0 3 319.489 4.100 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)CCc1ccc2c(c1)OCO2 ZINC001473801248 1061413357 /nfs/dbraw/zinc/41/33/57/1061413357.db2.gz HOANXQUQVGSILK-CYBMUJFWSA-N 0 3 317.816 4.304 20 0 DIADHN COc1cc(C)nc(CN2CCC(CCc3ccccc3)CC2)c1 ZINC001473802268 1061413863 /nfs/dbraw/zinc/41/38/63/1061413863.db2.gz PWPCWEPXYXJOAQ-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN Cc1cnc(CN2CCC(C)(C)[C@H](c3ccccc3)C2)s1 ZINC001473837138 1061428502 /nfs/dbraw/zinc/42/85/02/1061428502.db2.gz LLSJVJCQAGDCQD-INIZCTEOSA-N 0 3 300.471 4.467 20 0 DIADHN Cc1ccc(CNCc2cc(C)cc(Br)c2)cc1 ZINC001473910235 1061458674 /nfs/dbraw/zinc/45/86/74/1061458674.db2.gz IDIHYBMWGIUROQ-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1ccc2c(c1)OCCO2)\c1ccccc1 ZINC001473844264 1061432344 /nfs/dbraw/zinc/43/23/44/1061432344.db2.gz YFMZFGKGXQLMGE-RQMBKDMJSA-N 0 3 321.420 4.136 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1ccc2c(c1)OCCO2)\c1ccccc1 ZINC001473844262 1061432365 /nfs/dbraw/zinc/43/23/65/1061432365.db2.gz YFMZFGKGXQLMGE-LHUXKHBRSA-N 0 3 321.420 4.136 20 0 DIADHN Cc1cc(C)cc(C2CCN(CC3(Br)CC3)CC2)c1 ZINC001473862587 1061436275 /nfs/dbraw/zinc/43/62/75/1061436275.db2.gz HFJYVERWWXSZEJ-UHFFFAOYSA-N 0 3 322.290 4.410 20 0 DIADHN CSCC(C)(C)NCc1cccc(Br)c1Cl ZINC001473861940 1061437115 /nfs/dbraw/zinc/43/71/15/1061437115.db2.gz SGBOEXFQJKDBEF-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN CCC[C@@H]1CCCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC001473857871 1061437342 /nfs/dbraw/zinc/43/73/42/1061437342.db2.gz DZAFAJCFUJMMGT-CYBMUJFWSA-N 0 3 306.356 4.384 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2c(F)cccc2F)cc1 ZINC001473874729 1061445351 /nfs/dbraw/zinc/44/53/51/1061445351.db2.gz FNFWTUJELXGORK-HNNXBMFYSA-N 0 3 317.379 4.268 20 0 DIADHN CC(C)c1ccc2c(c1)CCN(C[C@@H](O)c1cccc(F)c1)C2 ZINC001473903920 1061456991 /nfs/dbraw/zinc/45/69/91/1061456991.db2.gz HLOVCISKJZGUDZ-HXUWFJFHSA-N 0 3 313.416 4.041 20 0 DIADHN CC[C@H](NCc1c[nH]c(-c2ccccc2)n1)c1ccc(OC)cc1 ZINC001473915419 1061463883 /nfs/dbraw/zinc/46/38/83/1061463883.db2.gz WZLHOAKUYHRALD-IBGZPJMESA-N 0 3 321.424 4.326 20 0 DIADHN CC[C@H](NCc1cnc(-c2ccccc2)[nH]1)c1ccc(OC)cc1 ZINC001473915419 1061463895 /nfs/dbraw/zinc/46/38/95/1061463895.db2.gz WZLHOAKUYHRALD-IBGZPJMESA-N 0 3 321.424 4.326 20 0 DIADHN CC(C)(C)c1ccc(OCCNCc2cccc(F)c2F)cc1 ZINC001473914745 1061463966 /nfs/dbraw/zinc/46/39/66/1061463966.db2.gz QKKBQACCSVRLNB-UHFFFAOYSA-N 0 3 319.395 4.431 20 0 DIADHN Cc1ccc([C@H](NCc2cccc3c2OCCCO3)C2CC2)cc1 ZINC001473923425 1061472799 /nfs/dbraw/zinc/47/27/99/1061472799.db2.gz LWONOQCOYBSQPC-FQEVSTJZSA-N 0 3 323.436 4.397 20 0 DIADHN Cc1ccccc1OCCNCc1ccc(-c2ccccc2)o1 ZINC001473951237 1061494496 /nfs/dbraw/zinc/49/44/96/1061494496.db2.gz VMPCKZDOHBSCNL-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN CC(C)c1cnc(CNC2CCN(c3ccccc3)CC2)s1 ZINC001473956105 1061496555 /nfs/dbraw/zinc/49/65/55/1061496555.db2.gz CHZZZQHUECQCMU-UHFFFAOYSA-N 0 3 315.486 4.025 20 0 DIADHN Fc1ccc(/C=C\CN[C@H](c2ccccc2)[C@H]2CCCO2)cc1 ZINC001473961061 1061501195 /nfs/dbraw/zinc/50/11/95/1061501195.db2.gz RLAPYDHPHFXUAK-XCMRKIRBSA-N 0 3 311.400 4.349 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C(C)(C)CC(C)(C)C)c1ccco1 ZINC001457819308 1061508213 /nfs/dbraw/zinc/50/82/13/1061508213.db2.gz ZTRVWWOZRYSNIX-CABCVRRESA-N 0 3 322.493 4.287 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)[C@@H](CC)CC(C)C)N(C)C)cc1 ZINC001463758432 1061519468 /nfs/dbraw/zinc/51/94/68/1061519468.db2.gz CILBBGGNEGDFQL-PKOBYXMFSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1cc(CN[C@H](CN2CCCCC2)c2ccccc2)cc(C)n1 ZINC001473976718 1061521678 /nfs/dbraw/zinc/52/16/78/1061521678.db2.gz AUZXBBVDMAFZST-OAQYLSRUSA-N 0 3 323.484 4.015 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccc2c(c1)C[C@H](C)O2 ZINC001473981112 1061523839 /nfs/dbraw/zinc/52/38/39/1061523839.db2.gz VSGXBJQNOCBJSD-AWEZNQCLSA-N 0 3 311.425 4.044 20 0 DIADHN Cc1ccc(C(C)(C)C[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001473980579 1061524125 /nfs/dbraw/zinc/52/41/25/1061524125.db2.gz JCLGUPWUFLVZGR-UHFFFAOYSA-N 0 3 303.833 4.421 20 0 DIADHN c1csc(-c2ncc(CNCCOC3CCCCC3)s2)c1 ZINC001473984403 1061526045 /nfs/dbraw/zinc/52/60/45/1061526045.db2.gz FKLBFADEGNHIFG-UHFFFAOYSA-N 0 3 322.499 4.311 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(OC(C)C)cc1 ZINC001473982414 1061526118 /nfs/dbraw/zinc/52/61/18/1061526118.db2.gz MKYTWZINAXVGTN-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN Fc1ccc2oc(CNCCc3cccc4ccccc43)nc2c1 ZINC001473991282 1061536057 /nfs/dbraw/zinc/53/60/57/1061536057.db2.gz GRPGIAGNVJPDAJ-UHFFFAOYSA-N 0 3 320.367 4.452 20 0 DIADHN CC1(CNCc2ncc(Br)cc2Cl)CCCC1 ZINC001473994015 1061538662 /nfs/dbraw/zinc/53/86/62/1061538662.db2.gz IDRBOPKNJKFZEO-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN CC[C@H](C(=O)N[C@@H](c1ccc(CC(C)C)cc1)C(C)C)N(C)C ZINC001463962224 1061539362 /nfs/dbraw/zinc/53/93/62/1061539362.db2.gz OBZTWCMGCKLGKX-RTBURBONSA-N 0 3 318.505 4.039 20 0 DIADHN Cc1coc(CN[C@@H](COc2ccccc2F)C(C)(C)C)c1 ZINC001473996795 1061540925 /nfs/dbraw/zinc/54/09/25/1061540925.db2.gz ZDZVIUJCZKBHHH-KRWDZBQOSA-N 0 3 305.393 4.310 20 0 DIADHN Cc1cc(CNC(C)(C)c2cccc(F)c2)c2c(n1)CCCC2 ZINC001474004032 1061545843 /nfs/dbraw/zinc/54/58/43/1061545843.db2.gz ZVCXIYJRVIZSIV-UHFFFAOYSA-N 0 3 312.432 4.433 20 0 DIADHN Cc1ccnc(CN[C@@H]2CC[C@@H](C)C[C@@H]2C)c1Br ZINC001474004912 1061546656 /nfs/dbraw/zinc/54/66/56/1061546656.db2.gz HPGBBRLTEBSFHR-KGYLQXTDSA-N 0 3 311.267 4.067 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccc(Cl)s1)c1ccccc1 ZINC001251991540 1061547341 /nfs/dbraw/zinc/54/73/41/1061547341.db2.gz VMVNCLDOGJTXJO-UONOGXRCSA-N 0 3 307.846 4.272 20 0 DIADHN O[C@@H](CNC1(c2ccccc2C(F)(F)F)CC1)c1ccccc1 ZINC001252008096 1061554430 /nfs/dbraw/zinc/55/44/30/1061554430.db2.gz ZYACDRZNSOXSSU-INIZCTEOSA-N 0 3 321.342 4.018 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NCCN1CCC(C)CC1 ZINC001464159268 1061560862 /nfs/dbraw/zinc/56/08/62/1061560862.db2.gz LIRCMNRADGKSQD-LJQANCHMSA-N 0 3 310.526 4.221 20 0 DIADHN COc1cc(C)ccc1CNC[C@@H](OC)c1cccc(Cl)c1 ZINC001474023151 1061561346 /nfs/dbraw/zinc/56/13/46/1061561346.db2.gz RLKIUTRLDQKFGW-GOSISDBHSA-N 0 3 319.832 4.134 20 0 DIADHN COc1ccccc1O[C@H](C)CNCc1ccc(F)cc1Cl ZINC001474029008 1061570183 /nfs/dbraw/zinc/57/01/83/1061570183.db2.gz SDPMQQPKFSCNLI-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc(CNC[C@H](C)Sc2ccccc2)c(F)c1 ZINC001474030666 1061573519 /nfs/dbraw/zinc/57/35/19/1061573519.db2.gz LDHXKUUSEHTGOO-ZDUSSCGKSA-N 0 3 305.418 4.105 20 0 DIADHN CCC1(CNCc2c(F)cncc2Br)CCCC1 ZINC001474069432 1061617117 /nfs/dbraw/zinc/61/71/17/1061617117.db2.gz WJOIGFOGRKZNAZ-UHFFFAOYSA-N 0 3 315.230 4.043 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1(C)C ZINC001474037938 1061581546 /nfs/dbraw/zinc/58/15/46/1061581546.db2.gz PKJHRMZOWAQTQE-JKSUJKDBSA-N 0 3 322.518 4.095 20 0 DIADHN C[C@@H](NCc1cccc(F)c1N)c1ccc(-c2ccncc2)cc1 ZINC001474039221 1061584245 /nfs/dbraw/zinc/58/42/45/1061584245.db2.gz OYKGDWRXPKKASC-CQSZACIVSA-N 0 3 321.399 4.321 20 0 DIADHN CCO[C@@H](CCNCc1nc(-c2cccs2)oc1C)C(C)C ZINC001474039682 1061586970 /nfs/dbraw/zinc/58/69/70/1061586970.db2.gz HSJFWHJKZXISIT-HNNXBMFYSA-N 0 3 322.474 4.252 20 0 DIADHN CCCC[C@H](CCC)NCc1ncc(Br)cc1F ZINC001474040159 1061587200 /nfs/dbraw/zinc/58/72/00/1061587200.db2.gz TUTJSDKMHPTHMA-LBPRGKRZSA-N 0 3 317.246 4.432 20 0 DIADHN CC(C)(C)CCN1CCN(C(=O)CCC2CCCCCC2)CC1 ZINC001464380114 1061587220 /nfs/dbraw/zinc/58/72/20/1061587220.db2.gz UKSZJPWLTWUFGU-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CC[C@@H](CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C)C(C)(C)C ZINC001464550174 1061604944 /nfs/dbraw/zinc/60/49/44/1061604944.db2.gz ZPSYKROJICCOIJ-ROUUACIJSA-N 0 3 318.505 4.176 20 0 DIADHN Cc1cc(Oc2cc(Cl)ccc2CN[C@@H](C)CO)ccc1F ZINC001474064696 1061610667 /nfs/dbraw/zinc/61/06/67/1061610667.db2.gz UGBVKZCQRVQSTB-LBPRGKRZSA-N 0 3 323.795 4.050 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCc2cccc3c2CCC3)c1 ZINC001474067450 1061614409 /nfs/dbraw/zinc/61/44/09/1061614409.db2.gz UYHBHRPDZCIKNW-UHFFFAOYSA-N 0 3 317.379 4.375 20 0 DIADHN CCN(C(=O)[C@@H](C)N1CCCCCC1)c1ccc2ccccc2c1 ZINC001464611771 1061614926 /nfs/dbraw/zinc/61/49/26/1061614926.db2.gz KCOPMLGSBYOQIX-QGZVFWFLSA-N 0 3 324.468 4.457 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001464650031 1061619921 /nfs/dbraw/zinc/61/99/21/1061619921.db2.gz OJXUMQVXQKRIME-IRXDYDNUSA-N 0 3 322.537 4.220 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001464650030 1061620208 /nfs/dbraw/zinc/62/02/08/1061620208.db2.gz OJXUMQVXQKRIME-IAGOWNOFSA-N 0 3 322.537 4.220 20 0 DIADHN Cc1cccc2cc(CN[C@@H](CO)CC(C)(C)C)c(Cl)nc12 ZINC001474077395 1061625065 /nfs/dbraw/zinc/62/50/65/1061625065.db2.gz VWGPSMATJLEFBW-OAHLLOKOSA-N 0 3 320.864 4.083 20 0 DIADHN COc1cccc(C(C)(C)C[NH2+]Cc2cc([O-])cc(Cl)c2)c1 ZINC001474081994 1061630173 /nfs/dbraw/zinc/63/01/73/1061630173.db2.gz IGVMZEOXRQZZJE-UHFFFAOYSA-N 0 3 319.832 4.122 20 0 DIADHN COc1ccc(C2(CNCc3c(F)cccc3F)CCC2)cc1 ZINC001474083358 1061630534 /nfs/dbraw/zinc/63/05/34/1061630534.db2.gz SYPSEERIARCPQZ-UHFFFAOYSA-N 0 3 317.379 4.185 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC001474087137 1061633771 /nfs/dbraw/zinc/63/37/71/1061633771.db2.gz AJOQOMMJGCUYPN-QRWLVFNGSA-N 0 3 317.436 4.490 20 0 DIADHN CC(C)=C(C)CC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001464787295 1061634376 /nfs/dbraw/zinc/63/43/76/1061634376.db2.gz IMYLTHYMTPJEFZ-LJQANCHMSA-N 0 3 314.473 4.076 20 0 DIADHN CCCCC[C@H](NC(=O)CN(C)CC(C)(C)C)c1ccccc1 ZINC001464795618 1061634867 /nfs/dbraw/zinc/63/48/67/1061634867.db2.gz ZSPDLORTPZFTJA-SFHVURJKSA-N 0 3 318.505 4.402 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)CC)nc1)c1ccc(C)c(F)c1 ZINC001474089700 1061636310 /nfs/dbraw/zinc/63/63/10/1061636310.db2.gz IYOZYCWFIAUSHS-GOSISDBHSA-N 0 3 315.436 4.226 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3ccnc3)cc2)c(F)c1 ZINC001474100795 1061647570 /nfs/dbraw/zinc/64/75/70/1061647570.db2.gz ZRWUJXHVCYGORD-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2c(Cl)cccc2N(C)C)C1(C)C ZINC001474102649 1061650536 /nfs/dbraw/zinc/65/05/36/1061650536.db2.gz LBBHFCFQUDOVII-IAGOWNOFSA-N 0 3 324.896 4.088 20 0 DIADHN CCc1ccc(CN[C@H]2CCCc3cnn(C(C)C)c32)s1 ZINC001474103943 1061651521 /nfs/dbraw/zinc/65/15/21/1061651521.db2.gz ZVAMZHOXJBQNHC-INIZCTEOSA-N 0 3 303.475 4.255 20 0 DIADHN CO[C@@H](CNCc1cccc(Cl)c1Cl)Cc1ccccc1 ZINC001474153459 1061695446 /nfs/dbraw/zinc/69/54/46/1061695446.db2.gz KLMMISSRKDTEMN-OAHLLOKOSA-N 0 3 324.251 4.341 20 0 DIADHN Clc1cnc(CNC[C@@H]2CCC[C@@H]2c2ccccc2)s1 ZINC001474159771 1061700622 /nfs/dbraw/zinc/70/06/22/1061700622.db2.gz OTOCRNUIGBSROC-UONOGXRCSA-N 0 3 306.862 4.470 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H](O)c1ccc(Cl)cc1 ZINC001474161995 1061706511 /nfs/dbraw/zinc/70/65/11/1061706511.db2.gz MYFBMVCEXJEXEP-KRWDZBQOSA-N 0 3 324.251 4.379 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1CC1CCC1)CCC2 ZINC001474163530 1061706656 /nfs/dbraw/zinc/70/66/56/1061706656.db2.gz YIHNCBAKKWGJDC-HXUWFJFHSA-N 0 3 309.457 4.159 20 0 DIADHN C[C@H](NCc1c(F)c(Cl)ccc1Br)C1CC1 ZINC001474165159 1061708961 /nfs/dbraw/zinc/70/89/61/1061708961.db2.gz XSDMJGDAAVVLSV-ZETCQYMHSA-N 0 3 306.606 4.130 20 0 DIADHN CC[C@H](CNCc1cc(Cl)ccc1OC1CCCC1)OC ZINC001474168268 1061711998 /nfs/dbraw/zinc/71/19/98/1061711998.db2.gz XWFRCVVFJICJLK-OAHLLOKOSA-N 0 3 311.853 4.176 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)[C@H](O)c3ccccc3)o2)cc1 ZINC001474168386 1061714317 /nfs/dbraw/zinc/71/43/17/1061714317.db2.gz UYDXXQFLSMNAPM-KKSFZXQISA-N 0 3 321.420 4.467 20 0 DIADHN Cn1cc(CNc2ccc3nc(CC4CCCCC4)[nH]c3c2)cn1 ZINC001474173646 1061717746 /nfs/dbraw/zinc/71/77/46/1061717746.db2.gz SONXVFHBTFYXIC-UHFFFAOYSA-N 0 3 323.444 4.031 20 0 DIADHN C[C@@H]1C[C@H](NCc2cc(Cl)ccc2OCC2CC2)CS1 ZINC001474181062 1061728572 /nfs/dbraw/zinc/72/85/72/1061728572.db2.gz URRVAGSTCLZNFJ-ABAIWWIYSA-N 0 3 311.878 4.112 20 0 DIADHN Cc1nc(CNCC2(c3c(F)cccc3F)CCC2)sc1C ZINC001474180718 1061728987 /nfs/dbraw/zinc/72/89/87/1061728987.db2.gz MSLMKUMGHRPBDM-UHFFFAOYSA-N 0 3 322.424 4.250 20 0 DIADHN CC(C)(CNCc1ccc(-c2ccc(F)cc2)o1)OCC1CC1 ZINC001474182522 1061730974 /nfs/dbraw/zinc/73/09/74/1061730974.db2.gz NROYGNYECMZSQO-UHFFFAOYSA-N 0 3 317.404 4.381 20 0 DIADHN Clc1cccc(Cl)c1CCCNCc1nccn1C1CC1 ZINC001474195319 1061745087 /nfs/dbraw/zinc/74/50/87/1061745087.db2.gz RIKDZRBHWGXQRX-UHFFFAOYSA-N 0 3 324.255 4.247 20 0 DIADHN CCC[C@@H](C)[C@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001474199522 1061751660 /nfs/dbraw/zinc/75/16/60/1061751660.db2.gz GRUNZDPSVUHBNR-WBMJQRKESA-N 0 3 320.864 4.083 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@H]2CCCC23CCCCC3)nn1 ZINC001474208507 1061764458 /nfs/dbraw/zinc/76/44/58/1061764458.db2.gz IMEDWBJGELLRGK-IBGZPJMESA-N 0 3 324.472 4.168 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1C[C@H](OC(C)(C)C)C12CCC2 ZINC001474210322 1061766754 /nfs/dbraw/zinc/76/67/54/1061766754.db2.gz PVMKYSIGXCYLFW-IRXDYDNUSA-N 0 3 321.436 4.050 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@@H]1C(C)(C)C1(F)F ZINC001474220009 1061776799 /nfs/dbraw/zinc/77/67/99/1061776799.db2.gz UTDJFAVRCZMXFQ-CYBMUJFWSA-N 0 3 303.780 4.120 20 0 DIADHN CC1CC(CNCc2oc3ccccc3c2Br)C1 ZINC001474219651 1061776859 /nfs/dbraw/zinc/77/68/59/1061776859.db2.gz JCDSGXPLBGZHKM-UHFFFAOYSA-N 0 3 308.219 4.331 20 0 DIADHN CC1(C)C[C@]1(NCc1cnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC001474222578 1061783881 /nfs/dbraw/zinc/78/38/81/1061783881.db2.gz GODMDSKBPBXRQG-NRFANRHFSA-N 0 3 317.436 4.492 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H]1C[C@@H](C)O[C@@H]1C ZINC001474234452 1061797818 /nfs/dbraw/zinc/79/78/18/1061797818.db2.gz UQKUFUZPQKMGAJ-JMSVASOKSA-N 0 3 313.894 4.496 20 0 DIADHN CC(C)c1cnc(CNC[C@@H]2CCN2C2CCCCCC2)s1 ZINC001474238764 1061800754 /nfs/dbraw/zinc/80/07/54/1061800754.db2.gz ICMOUGDPDHEHSH-INIZCTEOSA-N 0 3 321.534 4.153 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2F)s1)c1ccnn1C ZINC001474241038 1061804138 /nfs/dbraw/zinc/80/41/38/1061804138.db2.gz HAGBUTNWWRBFOA-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNCCc2ccns2)c1 ZINC001474249332 1061817293 /nfs/dbraw/zinc/81/72/93/1061817293.db2.gz AAZNXRMTPIRUOL-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc2c(c1)C[C@@H](C)O2 ZINC001474259353 1061825043 /nfs/dbraw/zinc/82/50/43/1061825043.db2.gz GKNDJQQKGRUVPN-MRXNPFEDSA-N 0 3 312.457 4.147 20 0 DIADHN O[C@H]1C[C@](NCc2cc3ccccc3s2)(c2ccccc2)C1 ZINC001474257627 1061825476 /nfs/dbraw/zinc/82/54/76/1061825476.db2.gz QGMNYXQRYMZOEG-UWUNEBHHSA-N 0 3 309.434 4.041 20 0 DIADHN Cc1nc2c(cccc2CCNCc2c(F)cccc2Cl)o1 ZINC001474257818 1061827708 /nfs/dbraw/zinc/82/77/08/1061827708.db2.gz AAVWRFQPFAFZHM-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cnn2ccccc12 ZINC001474261112 1061828817 /nfs/dbraw/zinc/82/88/17/1061828817.db2.gz NVZXTRMMIPJTBJ-UHFFFAOYSA-N 0 3 315.420 4.340 20 0 DIADHN COC(C)(C)[C@@H](C)NCc1cc2cccc(C)c2nc1Cl ZINC001474276425 1061845164 /nfs/dbraw/zinc/84/51/64/1061845164.db2.gz VVEBCPKMKOVPFV-GFCCVEGCSA-N 0 3 306.837 4.100 20 0 DIADHN C[C@]1(NC/C=C/c2ccc(F)c(F)c2)CCOc2ccccc21 ZINC001474278195 1061846489 /nfs/dbraw/zinc/84/64/89/1061846489.db2.gz JTBKJVFZFHQWIL-PXPVJAHISA-N 0 3 315.363 4.266 20 0 DIADHN CC(C)(C)c1nc(CNC[C@H]2CC(C)(C)OC2(C)C)cs1 ZINC001474279094 1061848157 /nfs/dbraw/zinc/84/81/57/1061848157.db2.gz IGOQZNCJMDZOEJ-GFCCVEGCSA-N 0 3 310.507 4.124 20 0 DIADHN Brc1ccccc1CNC[C@@H]1CCCC2(CCC2)O1 ZINC001474282365 1061851022 /nfs/dbraw/zinc/85/10/22/1061851022.db2.gz BPAXXNCLYAXYKO-AWEZNQCLSA-N 0 3 324.262 4.031 20 0 DIADHN Fc1cccc(COc2ccc(CNC/C=C/Cl)cc2)c1 ZINC001474290568 1061859846 /nfs/dbraw/zinc/85/98/46/1061859846.db2.gz ONFFVJNFXMMBTQ-XNWCZRBMSA-N 0 3 305.780 4.247 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(-c3ccccc3Cl)o2)CO1 ZINC001474291106 1061862452 /nfs/dbraw/zinc/86/24/52/1061862452.db2.gz JKAYZZZFCZIEBV-LBPRGKRZSA-N 0 3 305.805 4.257 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)cc1)c1cnn(CC2CCC2)c1 ZINC001474295252 1061865053 /nfs/dbraw/zinc/86/50/53/1061865053.db2.gz NVMJPNQUZWBYLU-BYPUDFOVSA-N 0 3 313.420 4.186 20 0 DIADHN C[C@H](NC/C=C/c1ccc(F)cc1)c1cnn(CC2CCC2)c1 ZINC001474295254 1061865330 /nfs/dbraw/zinc/86/53/30/1061865330.db2.gz NVMJPNQUZWBYLU-VFQAQYNWSA-N 0 3 313.420 4.186 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2C[C@H]2Cc2ccccc2)n1 ZINC001474299663 1061866982 /nfs/dbraw/zinc/86/69/82/1061866982.db2.gz IUOZZEIGJSPZKS-CVEARBPZSA-N 0 3 314.498 4.409 20 0 DIADHN C/C(=C/C(=O)Nc1cc(C)cc(CN(C)C)c1)c1cccs1 ZINC001466094075 1061876012 /nfs/dbraw/zinc/87/60/12/1061876012.db2.gz SGLSCUYMLOIYKK-UVTDQMKNSA-N 0 3 314.454 4.160 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc(Br)nc1 ZINC001474308400 1061877756 /nfs/dbraw/zinc/87/77/56/1061877756.db2.gz MQHRRYFGPGKSER-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1ccnn1C1CCC1 ZINC001474323108 1061901900 /nfs/dbraw/zinc/90/19/00/1061901900.db2.gz BYFDRPNKGZDQKS-AWEZNQCLSA-N 0 3 317.864 4.325 20 0 DIADHN CSC1(CN(Cc2ccco2)Cc2cccc(C)c2)CC1 ZINC001474323270 1061904021 /nfs/dbraw/zinc/90/40/21/1061904021.db2.gz XMXRMDZKLOLABX-UHFFFAOYSA-N 0 3 301.455 4.486 20 0 DIADHN CCCCC[C@@H](O)CN[C@H](c1ccncc1)c1ccccc1C ZINC001253518043 1061908510 /nfs/dbraw/zinc/90/85/10/1061908510.db2.gz ITXALRFYDFAVSZ-UYAOXDASSA-N 0 3 312.457 4.010 20 0 DIADHN CCCCC[C@H](O)CN[C@H](c1ccncc1)c1ccccc1C ZINC001253518040 1061910015 /nfs/dbraw/zinc/91/00/15/1061910015.db2.gz ITXALRFYDFAVSZ-AZUAARDMSA-N 0 3 312.457 4.010 20 0 DIADHN CCNc1ccccc1CN1CCCc2cc(OC)ccc2C1 ZINC001474335987 1061926844 /nfs/dbraw/zinc/92/68/44/1061926844.db2.gz NQYIENPOCCFGSO-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN COc1ccc(C[C@@H](C)[N@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001474334169 1061929745 /nfs/dbraw/zinc/92/97/45/1061929745.db2.gz YBMVUNRPIMDGKV-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN COc1ccc(C[C@@H](C)[N@@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001474334169 1061929775 /nfs/dbraw/zinc/92/97/75/1061929775.db2.gz YBMVUNRPIMDGKV-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN CCCCN(Cc1cn(-c2ccccc2F)nc1C)C1CC1 ZINC001474340240 1061936562 /nfs/dbraw/zinc/93/65/62/1061936562.db2.gz XAKJKKJJKBJVRR-UHFFFAOYSA-N 0 3 301.409 4.084 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3cnc(CCc4ccccc4)s3)C[C@@H]21 ZINC001474349950 1061959231 /nfs/dbraw/zinc/95/92/31/1061959231.db2.gz BHAFZNFXEMNMSJ-CALCHBBNSA-N 0 3 312.482 4.016 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN(C)CC(=O)Nc2cccc(C)c2C)C1 ZINC001474349039 1061959364 /nfs/dbraw/zinc/95/93/64/1061959364.db2.gz SRKSMISIYUNPLI-QZTJIDSGSA-N 0 3 316.489 4.390 20 0 DIADHN Cc1ccc(CN(CCc2ccccc2)Cc2ccccc2)nn1 ZINC001474352612 1061960752 /nfs/dbraw/zinc/96/07/52/1061960752.db2.gz PDGASPHOAIYOMF-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@H]1C(C)=CCC[C@H]1C ZINC001474352741 1061961836 /nfs/dbraw/zinc/96/18/36/1061961836.db2.gz UVVBJTYTIOGRMV-AEFFLSMTSA-N 0 3 314.473 4.112 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC001474353245 1061962809 /nfs/dbraw/zinc/96/28/09/1061962809.db2.gz LZMWAAYUJYXEKF-RHSMWYFYSA-N 0 3 315.461 4.165 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC001474353247 1061964175 /nfs/dbraw/zinc/96/41/75/1061964175.db2.gz LZMWAAYUJYXEKF-YOEHRIQHSA-N 0 3 315.461 4.165 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CC[C@H](OCC(C)C)C2)c(C)c1 ZINC001474354308 1061968653 /nfs/dbraw/zinc/96/86/53/1061968653.db2.gz OQRYMOBEQLEHSW-RURPWVQCSA-N 0 3 301.474 4.372 20 0 DIADHN CCc1cccc2cc(CN3CC[C@@H](OCC(C)C)C3)oc21 ZINC001474354739 1061968781 /nfs/dbraw/zinc/96/87/81/1061968781.db2.gz VDYDWTNUZDHNMH-QGZVFWFLSA-N 0 3 301.430 4.242 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@H](c2ccccc2C)C[C@H]1C ZINC001474359680 1061977656 /nfs/dbraw/zinc/97/76/56/1061977656.db2.gz BMQLQLYHTFLEIG-HUUCEWRRSA-N 0 3 317.864 4.243 20 0 DIADHN CC1(C)CCC[C@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001474358480 1061977836 /nfs/dbraw/zinc/97/78/36/1061977836.db2.gz JOSZYZYSPDERCR-KRWDZBQOSA-N 0 3 323.484 4.447 20 0 DIADHN CC(C)[C@@H](O)CN(Cc1ccc(Cl)cc1Cl)C(C)C ZINC001253769274 1061978838 /nfs/dbraw/zinc/97/88/38/1061978838.db2.gz YMXJLGXJLCOVJN-HNNXBMFYSA-N 0 3 304.261 4.221 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@H](C)[C@@H](C)C3)n2)c1 ZINC001474369226 1062004554 /nfs/dbraw/zinc/00/45/54/1062004554.db2.gz DLHOAIMHDZATHC-KBPBESRZSA-N 0 3 316.470 4.297 20 0 DIADHN CC[C@@H]1COCCN1CCCCc1cccc(C(F)(F)F)c1 ZINC001474376790 1062019141 /nfs/dbraw/zinc/01/91/41/1062019141.db2.gz TUHDHFQMYPUVKB-MRXNPFEDSA-N 0 3 315.379 4.139 20 0 DIADHN COc1ccc(CN(CCc2ccc(F)cc2)C2CC2)c(F)c1 ZINC001474387203 1062038183 /nfs/dbraw/zinc/03/81/83/1062038183.db2.gz YBFVSZYTOLFAQO-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN COc1cc(Cl)c(CN(C)C[C@H]2CC=CCC2)cc1OC ZINC001474390875 1062040556 /nfs/dbraw/zinc/04/05/56/1062040556.db2.gz GRFWAQNFIQZAQV-ZDUSSCGKSA-N 0 3 309.837 4.145 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)ncc1Br ZINC001474390414 1062041577 /nfs/dbraw/zinc/04/15/77/1062041577.db2.gz CZDJFTZNAYSMFT-JGGQBBKZSA-N 0 3 323.278 4.163 20 0 DIADHN FC1(F)CCC(N2CCN(c3ccc(Cl)cc3)CC2)CC1 ZINC001254217386 1062052624 /nfs/dbraw/zinc/05/26/24/1062052624.db2.gz XGACJJOCSUAWPB-UHFFFAOYSA-N 0 3 314.807 4.040 20 0 DIADHN C[C@@H](C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C)[C@@H](C)C(C)(C)C ZINC001459253514 1062057009 /nfs/dbraw/zinc/05/70/09/1062057009.db2.gz COWOIZWRFUVVEA-XLMAVXFVSA-N 0 3 318.505 4.112 20 0 DIADHN CC1CCN(CCSc2nc3ccc(F)cc3s2)CC1 ZINC001459253502 1062057700 /nfs/dbraw/zinc/05/77/00/1062057700.db2.gz XKHUAWIRGSBROR-UHFFFAOYSA-N 0 3 310.463 4.259 20 0 DIADHN CCCc1ccc(C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)cc1 ZINC001459257876 1062060005 /nfs/dbraw/zinc/06/00/05/1062060005.db2.gz WAJQFMMSVUINCY-OXJNMPFZSA-N 0 3 324.468 4.060 20 0 DIADHN CC[C@H](C)[C@H](CN(Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1)OC ZINC001474403180 1062060399 /nfs/dbraw/zinc/06/03/99/1062060399.db2.gz ZVBPFAWQMQISNA-IPQUUHLSSA-N 0 3 305.462 4.429 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC001474405159 1062061196 /nfs/dbraw/zinc/06/11/96/1062061196.db2.gz ZHUQICNLXMELGY-CVEARBPZSA-N 0 3 307.478 4.114 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@H]3C2)c(C2CCCC2)n1 ZINC001474403820 1062064289 /nfs/dbraw/zinc/06/42/89/1062064289.db2.gz GWARSZGTDZBSHI-WBVHZDCISA-N 0 3 301.478 4.090 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cnc3c(F)cccc3c2)cc1 ZINC001474405973 1062067295 /nfs/dbraw/zinc/06/72/95/1062067295.db2.gz LQOVMEPZOKUQJC-UHFFFAOYSA-N 0 3 324.399 4.193 20 0 DIADHN CCc1ccc(CN(C)Cc2cn(C)nc2-c2ccc(C)o2)cc1 ZINC001474409766 1062070893 /nfs/dbraw/zinc/07/08/93/1062070893.db2.gz GSERXAKNNJQTQW-UHFFFAOYSA-N 0 3 323.440 4.183 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1cccc(Cl)c1C ZINC001474411514 1062076546 /nfs/dbraw/zinc/07/65/46/1062076546.db2.gz LNIAEWGEEMYTGN-UHFFFAOYSA-N 0 3 317.816 4.399 20 0 DIADHN Fc1ccc(CCNCc2nc3cc(Cl)ccc3s2)cc1 ZINC001254329756 1062079753 /nfs/dbraw/zinc/07/97/53/1062079753.db2.gz YTXVHOYXLJMXPK-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1coc(-c2ccccc2)n1 ZINC001254374139 1062087753 /nfs/dbraw/zinc/08/77/53/1062087753.db2.gz JJRIWUJMJBLEKR-HNNXBMFYSA-N 0 3 321.424 4.192 20 0 DIADHN CC(C)N(C(=O)CN(CC1CCCCC1)C1CC1)C1CCCC1 ZINC001459324361 1062089148 /nfs/dbraw/zinc/08/91/48/1062089148.db2.gz JCPDLDFXBYRQTG-UHFFFAOYSA-N 0 3 320.521 4.211 20 0 DIADHN CCC1CCC(N(Cc2cnnn2-c2ccccc2)C2CC2)CC1 ZINC001459330010 1062093529 /nfs/dbraw/zinc/09/35/29/1062093529.db2.gz FBSFBHBXELNSIJ-UHFFFAOYSA-N 0 3 324.472 4.201 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cccc2c1NCCC2 ZINC001474421941 1062095761 /nfs/dbraw/zinc/09/57/61/1062095761.db2.gz PDZOVYVWGVHZRO-UHFFFAOYSA-N 0 3 306.409 4.389 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)C[C@H](O)CCc1ccccc1 ZINC001459340618 1062096765 /nfs/dbraw/zinc/09/67/65/1062096765.db2.gz VATYURQFPUFEKG-NVXWUHKLSA-N 0 3 317.860 4.327 20 0 DIADHN Fc1cccc([C@@H]2CCCN2C[C@@H]2CCC3(CCC3)CO2)c1 ZINC001459340598 1062097242 /nfs/dbraw/zinc/09/72/42/1062097242.db2.gz UXLBVTVEWWMKRB-ROUUACIJSA-N 0 3 303.421 4.312 20 0 DIADHN O=C(CNC1CCC2(CC2)CC1)c1ccc(C(F)(F)F)cc1 ZINC001254436161 1062099827 /nfs/dbraw/zinc/09/98/27/1062099827.db2.gz PRZAAJIHZXFGBG-UHFFFAOYSA-N 0 3 311.347 4.201 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN(C)[C@@H](C)c1ccccc1F ZINC001459345373 1062100472 /nfs/dbraw/zinc/10/04/72/1062100472.db2.gz YZCLUMZHAUATMU-RDJZCZTQSA-N 0 3 301.405 4.076 20 0 DIADHN NC(=O)C[C@@H](NCCCC1CCCCC1)c1ccccc1Cl ZINC001474426628 1062100890 /nfs/dbraw/zinc/10/08/90/1062100890.db2.gz DALYQGUKAGFPSG-QGZVFWFLSA-N 0 3 322.880 4.207 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN(C)[C@H](C)c1ccccc1F ZINC001459345380 1062102101 /nfs/dbraw/zinc/10/21/01/1062102101.db2.gz YZCLUMZHAUATMU-WBVHZDCISA-N 0 3 301.405 4.076 20 0 DIADHN Fc1ccc(F)c(CNC2CCc3ccccc3CC2)c1F ZINC001254450208 1062110336 /nfs/dbraw/zinc/11/03/36/1062110336.db2.gz HXZIMLABDOMEKH-UHFFFAOYSA-N 0 3 305.343 4.141 20 0 DIADHN COc1cccc(CN(Cc2cncc(Cl)c2)CC(C)C)c1 ZINC001459362777 1062113358 /nfs/dbraw/zinc/11/33/58/1062113358.db2.gz FSPBZCVLHKACMI-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN Clc1ccnc(CNC2CCc3ccccc3CC2)c1Cl ZINC001254455050 1062116624 /nfs/dbraw/zinc/11/66/24/1062116624.db2.gz UABCKULBSUHAMO-UHFFFAOYSA-N 0 3 321.251 4.426 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@H](C)c3ccccc3)CCO2)cc1 ZINC001474443744 1062120492 /nfs/dbraw/zinc/12/04/92/1062120492.db2.gz BUDIVPATBOOSGF-UWJYYQICSA-N 0 3 309.453 4.426 20 0 DIADHN CCC[C@@H](CCc1ccccc1)Nc1cccc(F)c1CN ZINC001254483551 1062124635 /nfs/dbraw/zinc/12/46/35/1062124635.db2.gz RGWBVRILQVJNIB-INIZCTEOSA-N 0 3 300.421 4.498 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H]1C(=O)Nc2cccc(C)c21 ZINC001254483848 1062125567 /nfs/dbraw/zinc/12/55/67/1062125567.db2.gz WVKQDPJJUDWMQJ-XLIONFOSSA-N 0 3 322.452 4.379 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1nccnc1Cl ZINC001254483208 1062125878 /nfs/dbraw/zinc/12/58/78/1062125878.db2.gz PKAHGAZFKQMBQW-HNNXBMFYSA-N 0 3 303.837 4.021 20 0 DIADHN Cc1nnsc1[C@H](C)N1CCC[C@@H](c2ccccc2)CC1 ZINC001459422998 1062136979 /nfs/dbraw/zinc/13/69/79/1062136979.db2.gz CCSJKCDBNYZXBH-GOEBONIOSA-N 0 3 301.459 4.177 20 0 DIADHN CC(C)CCCCC(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001467481376 1062137222 /nfs/dbraw/zinc/13/72/22/1062137222.db2.gz CRDUVHSFCXGFJH-QGZVFWFLSA-N 0 3 324.896 4.275 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001467481820 1062137360 /nfs/dbraw/zinc/13/73/60/1062137360.db2.gz GLNBDVAZTPMXTL-RHSMWYFYSA-N 0 3 324.896 4.131 20 0 DIADHN Cc1cc(Br)c2c(c1)CN(C[C@@H]1CC=CCC1)CC2 ZINC001474461729 1062141002 /nfs/dbraw/zinc/14/10/02/1062141002.db2.gz VHVYYTLCUKDKSZ-CQSZACIVSA-N 0 3 320.274 4.472 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCOCC1CCCC1 ZINC001459425304 1062141404 /nfs/dbraw/zinc/14/14/04/1062141404.db2.gz JVRUEJHBSOUXMF-SFHVURJKSA-N 0 3 303.446 4.039 20 0 DIADHN COc1ccc(CN(C)C[C@H]2CC3(CO2)CCCCC3)cc1C ZINC001459437197 1062146236 /nfs/dbraw/zinc/14/62/36/1062146236.db2.gz JDYRGLVFMDRBFZ-GOSISDBHSA-N 0 3 317.473 4.175 20 0 DIADHN C[C@H]1CCC[C@H](C)N1C[C@@H](O)c1ccc(Cl)c(Cl)c1 ZINC001459447208 1062147325 /nfs/dbraw/zinc/14/73/25/1062147325.db2.gz MJTJHYRWKZNKFG-ZIBATOQPSA-N 0 3 302.245 4.290 20 0 DIADHN C[Si](C)(C)c1ccc(CN2CCCc3sccc3C2)cc1 ZINC001459465840 1062154504 /nfs/dbraw/zinc/15/45/04/1062154504.db2.gz IUQWMZRLMVATLG-UHFFFAOYSA-N 0 3 315.558 4.242 20 0 DIADHN CC[C@@H]1CCCN(Cc2nn(-c3ccccc3)c3c2CCC3)CC1 ZINC001459472678 1062159670 /nfs/dbraw/zinc/15/96/70/1062159670.db2.gz AEMSTBKXOAEKSL-QGZVFWFLSA-N 0 3 323.484 4.373 20 0 DIADHN CN(CCCC1CCCC1)[C@H]1CCCN(c2ccccc2)C1=O ZINC001459489667 1062166247 /nfs/dbraw/zinc/16/62/47/1062166247.db2.gz VHKNCNXTQYUKBW-IBGZPJMESA-N 0 3 314.473 4.084 20 0 DIADHN CCCCCCN(C)CC(=O)NCC[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC001467635901 1062167350 /nfs/dbraw/zinc/16/73/50/1062167350.db2.gz JDPHJAABKRWMQK-ZWKOTPCHSA-N 0 3 324.553 4.467 20 0 DIADHN COC(=O)C(C)(C)CCN1CC=C(c2cccc(Cl)c2)CC1 ZINC001474488251 1062167396 /nfs/dbraw/zinc/16/73/96/1062167396.db2.gz JEAUKYQMVDRTGX-UHFFFAOYSA-N 0 3 321.848 4.018 20 0 DIADHN C[C@@H](CN1CCN(c2ccccc2F)C[C@H]1C)c1ccccc1 ZINC001474492710 1062170131 /nfs/dbraw/zinc/17/01/31/1062170131.db2.gz UPBSVCFSPQIBOL-DLBZAZTESA-N 0 3 312.432 4.140 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H](C)[C@@H]2CC3CCC2CC3)[nH]1 ZINC001474494036 1062172490 /nfs/dbraw/zinc/17/24/90/1062172490.db2.gz IIRDIOVXYKDYIF-PHGCRMRCSA-N 0 3 304.482 4.184 20 0 DIADHN C[C@H](CN1CCN(c2ccccc2F)C[C@@H]1C)c1ccccc1 ZINC001474492714 1062173078 /nfs/dbraw/zinc/17/30/78/1062173078.db2.gz UPBSVCFSPQIBOL-SJORKVTESA-N 0 3 312.432 4.140 20 0 DIADHN CCCCCC[C@H](O)CN1CCSC[C@H]1c1ccccc1 ZINC001459508457 1062173168 /nfs/dbraw/zinc/17/31/68/1062173168.db2.gz HHRJVQZCIQRIHI-ROUUACIJSA-N 0 3 307.503 4.108 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1C[C@@H](C)CCC[C@@H]1C ZINC001459513475 1062177011 /nfs/dbraw/zinc/17/70/11/1062177011.db2.gz UNXUNPGXHYYTGL-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CCC[C@H]3CCC[C@@H]32)cc1 ZINC001459520158 1062178958 /nfs/dbraw/zinc/17/89/58/1062178958.db2.gz HIMZCVCGAKXRJK-QAPCUYQASA-N 0 3 314.473 4.187 20 0 DIADHN CCOCOc1ccc(CN[C@@H](CC)c2ccc(F)cc2)cc1 ZINC001254573829 1062185696 /nfs/dbraw/zinc/18/56/96/1062185696.db2.gz SBDPKUUTMDKNLV-IBGZPJMESA-N 0 3 317.404 4.439 20 0 DIADHN COc1ccc(SCCN2CCC[C@H]2c2ccncc2)cc1 ZINC001474506364 1062187419 /nfs/dbraw/zinc/18/74/19/1062187419.db2.gz WDMDAPQCVRTYDA-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cnc(Cl)c(F)c2)cc1 ZINC001459531699 1062190048 /nfs/dbraw/zinc/19/00/48/1062190048.db2.gz MDPWVOKEIGLCAU-CYBMUJFWSA-N 0 3 306.812 4.246 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2ncccc2Cl)C1 ZINC001459535285 1062192966 /nfs/dbraw/zinc/19/29/66/1062192966.db2.gz ZHYWJQNDFZSUCK-GJZGRUSLSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@@H]1CC[C@@H](c2ccccc2)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC001474514723 1062198256 /nfs/dbraw/zinc/19/82/56/1062198256.db2.gz FUUVMEKZRZIHSE-PBHICJAKSA-N 0 3 323.392 4.359 20 0 DIADHN C[C@@H](C1CC1)N(C)Cc1c(Cl)cc(Cl)cc1N(C)C ZINC001474528509 1062210900 /nfs/dbraw/zinc/21/09/00/1062210900.db2.gz OQLMJOLGJSLLNP-JTQLQIEISA-N 0 3 301.261 4.290 20 0 DIADHN COc1cncc(CN2CCCC[C@H]2c2cccc(C)c2C)c1 ZINC001459564908 1062212512 /nfs/dbraw/zinc/21/25/12/1062212512.db2.gz POOIXAMZGKTWBK-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN COc1cccc(OCCN2CC[C@H](C)C[C@H]2c2ccco2)c1 ZINC001459564339 1062213898 /nfs/dbraw/zinc/21/38/98/1062213898.db2.gz OBZAHGICUZARJL-YJBOKZPZSA-N 0 3 315.413 4.140 20 0 DIADHN CCC[C@@]1(C)CCCN([C@H](C)C(=O)N[C@H](C)c2ccccc2)C1 ZINC001459575685 1062219486 /nfs/dbraw/zinc/21/94/86/1062219486.db2.gz IPPQPTUBVGADKT-HLIPFELVSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ccccc1[C@H]1CN(CC[C@@H]2CCc3ccccc32)CCO1 ZINC001459598725 1062234413 /nfs/dbraw/zinc/23/44/13/1062234413.db2.gz QIDPCESSLKKXFE-SIKLNZKXSA-N 0 3 321.464 4.488 20 0 DIADHN CN1CCN([C@@H]2CC[C@](C)(c3ccccc3)C2)c2ccccc2C1 ZINC001474543530 1062235712 /nfs/dbraw/zinc/23/57/12/1062235712.db2.gz XYYDHYSLUMCDCZ-IRLDBZIGSA-N 0 3 320.480 4.449 20 0 DIADHN CCN(Cc1c(Cl)cccc1N1CCOCC1)CC(C)(C)C ZINC001474544304 1062237110 /nfs/dbraw/zinc/23/71/10/1062237110.db2.gz NJNLPXJZVVFFSJ-UHFFFAOYSA-N 0 3 324.896 4.045 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001459601934 1062238191 /nfs/dbraw/zinc/23/81/91/1062238191.db2.gz DDJLOJXVMDOFDG-HNNXBMFYSA-N 0 3 304.478 4.291 20 0 DIADHN CCOc1ccccc1OCCN1C[C@@H](C)[C@@H]1c1ccccc1 ZINC001459611313 1062243319 /nfs/dbraw/zinc/24/33/19/1062243319.db2.gz CFSKCXSRKOWZMJ-OXQOHEQNSA-N 0 3 311.425 4.157 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1cccc2c1NCCC2 ZINC001474553087 1062251047 /nfs/dbraw/zinc/25/10/47/1062251047.db2.gz VXMWPSJCNQZQMY-IBGZPJMESA-N 0 3 310.416 4.351 20 0 DIADHN Fc1cccc(F)c1CCCN1CC[C@H]1Cc1ccccc1 ZINC001459618459 1062254031 /nfs/dbraw/zinc/25/40/31/1062254031.db2.gz HHTODWDOCQLAJS-INIZCTEOSA-N 0 3 301.380 4.214 20 0 DIADHN CC(C)c1ccccc1CN1CCC[C@H](OCC(F)(F)F)C1 ZINC001459619461 1062254621 /nfs/dbraw/zinc/25/46/21/1062254621.db2.gz RSHCKQQUHXIPOT-HNNXBMFYSA-N 0 3 315.379 4.353 20 0 DIADHN CC[C@@H](NC(=O)CN(C)[C@H](C)C1(C)CC1)c1ccc(Cl)cc1 ZINC001459626123 1062264011 /nfs/dbraw/zinc/26/40/11/1062264011.db2.gz GAVFZENLTAHENE-CZUORRHYSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)[C@H](CN1CC(N(C)Cc2ccccc2)C1)c1ccccc1 ZINC001474567978 1062271600 /nfs/dbraw/zinc/27/16/00/1062271600.db2.gz OZCIQKYUZLQROS-QFIPXVFZSA-N 0 3 322.496 4.242 20 0 DIADHN Cc1ccc([C@@H]2[C@H](C)CCCN2CCn2cc(Cl)cn2)cc1 ZINC001459634177 1062271652 /nfs/dbraw/zinc/27/16/52/1062271652.db2.gz PGMVHCHFYZOXMN-QAPCUYQASA-N 0 3 317.864 4.318 20 0 DIADHN Cc1ccc([C@H]2[C@H](C)CCCN2CCn2cc(Cl)cn2)cc1 ZINC001459634167 1062273154 /nfs/dbraw/zinc/27/31/54/1062273154.db2.gz PGMVHCHFYZOXMN-CRAIPNDOSA-N 0 3 317.864 4.318 20 0 DIADHN C[C@@H](CC(C)(C)C)NCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474569448 1062274824 /nfs/dbraw/zinc/27/48/24/1062274824.db2.gz WIPLDYFWPICICC-AWEZNQCLSA-N 0 3 312.461 4.059 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001459652457 1062278517 /nfs/dbraw/zinc/27/85/17/1062278517.db2.gz MQOOCPZGKYWXRC-VBQJREDUSA-N 0 3 322.518 4.072 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1NCc1cccc2c1OCC2 ZINC001474572537 1062278457 /nfs/dbraw/zinc/27/84/57/1062278457.db2.gz GARAGGUAORCKLP-CZUORRHYSA-N 0 3 317.791 4.060 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)CCN2Cc2ccc3nonc3c2)o1 ZINC001459658831 1062279035 /nfs/dbraw/zinc/27/90/35/1062279035.db2.gz QFDUAGGFEODVKM-SJKOYZFVSA-N 0 3 311.385 4.097 20 0 DIADHN CC(C)[C@H]1N(Cc2cnn(C3CCC3)c2)CC12CCCCC2 ZINC001474572493 1062279784 /nfs/dbraw/zinc/27/97/84/1062279784.db2.gz OEGSBBBOVWYIHS-GOSISDBHSA-N 0 3 301.478 4.399 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001468226021 1062280396 /nfs/dbraw/zinc/28/03/96/1062280396.db2.gz VIAFAYJDHNDEPC-LJQANCHMSA-N 0 3 322.537 4.460 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001459652417 1062281439 /nfs/dbraw/zinc/28/14/39/1062281439.db2.gz MQOOCPZGKYWXRC-CKEIUWERSA-N 0 3 322.518 4.072 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]2CC(=O)C[C@@H]2C1)c1c(Cl)cccc1Cl ZINC001254937479 1062282727 /nfs/dbraw/zinc/28/27/27/1062282727.db2.gz OEEVWYGHCIDSSP-NNYUYHANSA-N 0 3 312.240 4.402 20 0 DIADHN CC(C)[C@@H]1N(Cc2cccc3nsnc32)CC12CCCC2 ZINC001474578087 1062284775 /nfs/dbraw/zinc/28/47/75/1062284775.db2.gz MTGKPZORCNKYNP-INIZCTEOSA-N 0 3 301.459 4.092 20 0 DIADHN Fc1ccc(/C=C\CN2CCSC3(CCC3)CC2)c(F)c1 ZINC001474580924 1062288595 /nfs/dbraw/zinc/28/85/95/1062288595.db2.gz NCPJZMPDLRTWAL-IWQZZHSRSA-N 0 3 309.425 4.340 20 0 DIADHN O=C1C[C@@H]2CC(N3CCC[C@@H]3c3ccc(Cl)s3)C[C@H]2C1 ZINC001254957213 1062289646 /nfs/dbraw/zinc/28/96/46/1062289646.db2.gz SJWQWWPEZRMWIK-COPLHBTASA-N 0 3 309.862 4.296 20 0 DIADHN CCN(CCC(C)(C)C1CC1)CC(=O)Nc1c(C)cccc1C ZINC001459679161 1062298628 /nfs/dbraw/zinc/29/86/28/1062298628.db2.gz YLQFTZIDEFFYLB-UHFFFAOYSA-N 0 3 316.489 4.390 20 0 DIADHN COc1ccc(SCCN2CCc3cc(C)ccc3C2)cc1 ZINC001474588443 1062300101 /nfs/dbraw/zinc/30/01/01/1062300101.db2.gz KLKFINLODWLJRS-UHFFFAOYSA-N 0 3 313.466 4.154 20 0 DIADHN C[C@H](N[C@@H]1C[C@]1(F)c1ccccc1)[C@@H]1C[C@H]1c1cccs1 ZINC001474591223 1062306114 /nfs/dbraw/zinc/30/61/14/1062306114.db2.gz BALSHWSQRQLEFX-IVFVNLKQSA-N 0 3 301.430 4.467 20 0 DIADHN CC(C)(C)C(C)(C)CNC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001468397246 1062312968 /nfs/dbraw/zinc/31/29/68/1062312968.db2.gz YKFPQABUOSBBMU-UHFFFAOYSA-N 0 3 315.461 4.093 20 0 DIADHN CC[C@H](C)[C@@H](CN1CCc2ccc(Cl)cc2[C@@H](C)C1)OC ZINC001474611647 1062325074 /nfs/dbraw/zinc/32/50/74/1062325074.db2.gz NCHZKDOANIOCKC-SUNYJGFJSA-N 0 3 309.881 4.363 20 0 DIADHN Cc1coc(CN2C[C@H](C)N(Cc3ccccc3)[C@H](C)[C@H]2C)c1 ZINC001474610255 1062327193 /nfs/dbraw/zinc/32/71/93/1062327193.db2.gz VKVFFWPGSQUKTF-RCCFBDPRSA-N 0 3 312.457 4.071 20 0 DIADHN Cc1cc(CN2C[C@H](C)OC3(CCCC3)C2)cc2cccnc12 ZINC001474613749 1062328444 /nfs/dbraw/zinc/32/84/44/1062328444.db2.gz JBYKWPJIZMAJCP-INIZCTEOSA-N 0 3 310.441 4.077 20 0 DIADHN CC[C@@H]1CCC[C@H](CN(CC(=O)OC(C)(C)C)CC2CC2)C1 ZINC001474613258 1062329277 /nfs/dbraw/zinc/32/92/77/1062329277.db2.gz IDKXRIIFOAUBHI-WBVHZDCISA-N 0 3 309.494 4.257 20 0 DIADHN COC[C@@H](CN(C)[C@H](C)c1nc2ccccc2s1)C(C)C ZINC001459717727 1062330358 /nfs/dbraw/zinc/33/03/58/1062330358.db2.gz NVOKETXZUNZZAM-ZIAGYGMSSA-N 0 3 306.475 4.208 20 0 DIADHN COC[C@@H](CN(C)[C@@H](C)c1nc2ccccc2s1)C(C)C ZINC001459717726 1062330980 /nfs/dbraw/zinc/33/09/80/1062330980.db2.gz NVOKETXZUNZZAM-UONOGXRCSA-N 0 3 306.475 4.208 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC[C@@H]2CC(C)C)cc1Cl ZINC001459720485 1062334892 /nfs/dbraw/zinc/33/48/92/1062334892.db2.gz MRRYEVRGBUPCRV-MRXNPFEDSA-N 0 3 322.880 4.488 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN[C@@H]2C[C@H]2CC(C)(C)C)c1 ZINC001474617355 1062338357 /nfs/dbraw/zinc/33/83/57/1062338357.db2.gz WBKOTMUSGBSALH-SWLSCSKDSA-N 0 3 309.837 4.041 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459753220 1062365351 /nfs/dbraw/zinc/36/53/51/1062365351.db2.gz AWOLLTBZBZECIQ-CRAIPNDOSA-N 0 3 315.436 4.412 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459753224 1062366568 /nfs/dbraw/zinc/36/65/68/1062366568.db2.gz AWOLLTBZBZECIQ-QAPCUYQASA-N 0 3 315.436 4.412 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CCC(C)C)cc1C ZINC001459754824 1062368159 /nfs/dbraw/zinc/36/81/59/1062368159.db2.gz HUUGQWGMYHUTRX-GOSISDBHSA-N 0 3 302.462 4.143 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@H](C3CCCCC3)C2)o1 ZINC001474644212 1062369651 /nfs/dbraw/zinc/36/96/51/1062369651.db2.gz LVKSKZOJHSJXJB-INIZCTEOSA-N 0 3 319.445 4.249 20 0 DIADHN CC[C@H](C)[C@@H](CNC(C)(C)c1nc2ccccc2s1)OC ZINC001474645738 1062370995 /nfs/dbraw/zinc/37/09/95/1062370995.db2.gz SWJKNWQRMFZZFZ-GXTWGEPZSA-N 0 3 306.475 4.182 20 0 DIADHN Cc1ccccc1CCCN1CCO[C@]2(CCc3ccccc32)C1 ZINC001459771469 1062382048 /nfs/dbraw/zinc/38/20/48/1062382048.db2.gz NNFACNWXBSYZPQ-JOCHJYFZSA-N 0 3 321.464 4.102 20 0 DIADHN Clc1cccc([C@H]2CCN([C@H]3CCSC3)C2)c1Cl ZINC001255290080 1062382749 /nfs/dbraw/zinc/38/27/49/1062382749.db2.gz WLXRMJLPHCLHSS-QWRGUYRKSA-N 0 3 302.270 4.288 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)NCc1ccc(Cl)cc1 ZINC001459771623 1062384786 /nfs/dbraw/zinc/38/47/86/1062384786.db2.gz YWEGXJRHCPYZAS-KRWDZBQOSA-N 0 3 322.880 4.001 20 0 DIADHN C[C@@H](CCN1CCc2c(F)cccc2C1)OCc1ccccc1 ZINC001459776072 1062387670 /nfs/dbraw/zinc/38/76/70/1062387670.db2.gz MYNQXQHOFFSRMS-INIZCTEOSA-N 0 3 313.416 4.179 20 0 DIADHN CCCN(CCC)Cc1nnc(-c2ccc(Cl)cc2C)o1 ZINC001255311579 1062391913 /nfs/dbraw/zinc/39/19/13/1062391913.db2.gz RQSBRTQAQXRYBJ-UHFFFAOYSA-N 0 3 307.825 4.320 20 0 DIADHN CC1(C)CCC(CN2CCO[C@]3(CCc4ccccc43)C2)CC1 ZINC001459777717 1062393710 /nfs/dbraw/zinc/39/37/10/1062393710.db2.gz WHGVMPBABFGFJB-OAQYLSRUSA-N 0 3 313.485 4.377 20 0 DIADHN CCCC[C@H](C)NCc1cn(Cc2ccc(Cl)cc2)cn1 ZINC001255317813 1062393946 /nfs/dbraw/zinc/39/39/46/1062393946.db2.gz RXEBNZFRKDQCGK-AWEZNQCLSA-N 0 3 305.853 4.253 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C[C@H]1C ZINC001459778763 1062394281 /nfs/dbraw/zinc/39/42/81/1062394281.db2.gz YMWUJNWEEUQMEX-HFAKWTLXSA-N 0 3 302.245 4.005 20 0 DIADHN CCCC[C@@H](C)NCc1cn(Cc2ccc(Cl)cc2)cn1 ZINC001255317814 1062396436 /nfs/dbraw/zinc/39/64/36/1062396436.db2.gz RXEBNZFRKDQCGK-CQSZACIVSA-N 0 3 305.853 4.253 20 0 DIADHN CCCC[C@H](C)NCc1cn(Cc2cccc(Cl)c2)cn1 ZINC001255321913 1062398406 /nfs/dbraw/zinc/39/84/06/1062398406.db2.gz XYVXJSMHOGTRJK-AWEZNQCLSA-N 0 3 305.853 4.253 20 0 DIADHN CCOC(=O)[C@H](CC)N1CCC[C@@H](CCCc2ccccc2)C1 ZINC001459786172 1062400219 /nfs/dbraw/zinc/40/02/19/1062400219.db2.gz DXTBABLPWGBDEN-MOPGFXCFSA-N 0 3 317.473 4.063 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@H](Oc3cccc(F)c3)C2)cc1 ZINC001474670417 1062400738 /nfs/dbraw/zinc/40/07/38/1062400738.db2.gz YYDSKELYXIBUEW-PHXNAMCJSA-N 0 3 315.363 4.131 20 0 DIADHN CCCc1ccc([C@@H](NCc2cnn(CCF)c2)C(C)C)cc1 ZINC001459796598 1062407274 /nfs/dbraw/zinc/40/72/74/1062407274.db2.gz JYMBXLLTGQLWJK-IBGZPJMESA-N 0 3 317.452 4.292 20 0 DIADHN CCOC(=O)[C@H]1CCC[C@H](NC2(c3ccccc3Cl)CC2)C1 ZINC001255336612 1062408427 /nfs/dbraw/zinc/40/84/27/1062408427.db2.gz DEWGZCDSQRIPNK-KBPBESRZSA-N 0 3 321.848 4.041 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1noc2cc(F)ccc21 ZINC001474679084 1062410844 /nfs/dbraw/zinc/41/08/44/1062410844.db2.gz DBIRFIGGCXVPDW-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN OC[C@@H](NCc1cc(Cl)cc2ccccc21)c1ccccc1 ZINC001459806141 1062413922 /nfs/dbraw/zinc/41/39/22/1062413922.db2.gz BSQXUUFXTONPEY-LJQANCHMSA-N 0 3 311.812 4.316 20 0 DIADHN Cc1ccc(N(C)[C@H]2CCCN(Cc3ccccc3F)C2)cc1 ZINC001459814433 1062420231 /nfs/dbraw/zinc/42/02/31/1062420231.db2.gz RZVRSGGMSKHRAY-IBGZPJMESA-N 0 3 312.432 4.235 20 0 DIADHN Fc1ccc(-c2cc(CN[C@H]3CC[C@H]3C3CCC3)no2)c(F)c1 ZINC001474693793 1062421231 /nfs/dbraw/zinc/42/12/31/1062421231.db2.gz QNQDDIHWIRBDLO-YOEHRIQHSA-N 0 3 318.367 4.288 20 0 DIADHN COc1ccc(CN2CC[C@H](c3c(F)cccc3F)C2)c(C)c1 ZINC001474706260 1062431759 /nfs/dbraw/zinc/43/17/59/1062431759.db2.gz KDKRNMGSQBOUCW-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN CC(C)[C@@H]1CCCCCN1Cc1nccn1Cc1ccccc1 ZINC001459833323 1062434974 /nfs/dbraw/zinc/43/49/74/1062434974.db2.gz XSPXMDMCIBTMQO-IBGZPJMESA-N 0 3 311.473 4.332 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001459840079 1062441377 /nfs/dbraw/zinc/44/13/77/1062441377.db2.gz VJBHFYFCQZCDQM-CRAIPNDOSA-N 0 3 321.411 4.497 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)CO1)c1ccccc1OC(F)F ZINC001459840717 1062441693 /nfs/dbraw/zinc/44/16/93/1062441693.db2.gz PHSZHDDDPSWPSJ-STQMWFEESA-N 0 3 313.388 4.144 20 0 DIADHN CC[C@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1cccc(Cl)c1 ZINC001459845912 1062443971 /nfs/dbraw/zinc/44/39/71/1062443971.db2.gz CZVCSJGLNQIQDT-YOEHRIQHSA-N 0 3 324.896 4.274 20 0 DIADHN COC(=O)CCC1(NCc2ccsc2Cl)CCCCC1 ZINC001474721811 1062447037 /nfs/dbraw/zinc/44/70/37/1062447037.db2.gz LANVAPBVEFETLE-UHFFFAOYSA-N 0 3 315.866 4.147 20 0 DIADHN C[C@H](NCc1ccc(F)c(F)c1)c1ccc(-n2cccn2)cc1 ZINC001459847630 1062447496 /nfs/dbraw/zinc/44/74/96/1062447496.db2.gz DZEUSMYVIJJWFL-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](CC2CC2)c2ccccc2)cc1C ZINC001459859342 1062455344 /nfs/dbraw/zinc/45/53/44/1062455344.db2.gz GWFOXKXFKJFALW-HXUWFJFHSA-N 0 3 322.452 4.373 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)c(C)c1)c1ccc(C)cc1 ZINC001459873971 1062462033 /nfs/dbraw/zinc/46/20/33/1062462033.db2.gz DPPBMKMYEIJXGH-IBGZPJMESA-N 0 3 310.441 4.291 20 0 DIADHN CCN1C[C@H](C)N(Cc2cc(Cl)cc(Cl)c2F)C[C@H]1C ZINC001459872676 1062462911 /nfs/dbraw/zinc/46/29/11/1062462911.db2.gz GWGJNWGNEKAEGI-MNOVXSKESA-N 0 3 319.251 4.047 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(C)c(C)c1)c1ccc(C)cc1 ZINC001459873973 1062463871 /nfs/dbraw/zinc/46/38/71/1062463871.db2.gz DPPBMKMYEIJXGH-LJQANCHMSA-N 0 3 310.441 4.291 20 0 DIADHN COC(=O)/C(C)=C/CN[C@H](CC1CCCCC1)c1ccccc1 ZINC001459877576 1062465542 /nfs/dbraw/zinc/46/55/42/1062465542.db2.gz MVKBMQCGFKVQBR-NRMGUKERSA-N 0 3 315.457 4.407 20 0 DIADHN CCC[C@@H](NCCN(C#N)CC)c1ccc(Cl)c(Cl)c1 ZINC001459877562 1062465856 /nfs/dbraw/zinc/46/58/56/1062465856.db2.gz NTIQJHBDWXNAMR-OAHLLOKOSA-N 0 3 314.260 4.227 20 0 DIADHN Cc1coc(CNCCSc2c(C)[nH]c3ccccc32)c1 ZINC001474756983 1062467252 /nfs/dbraw/zinc/46/72/52/1062467252.db2.gz GFHKVZXEAYSRJH-UHFFFAOYSA-N 0 3 300.427 4.260 20 0 DIADHN COC[C@@H](NCCSc1cccc(Cl)c1)c1ccccc1 ZINC001459876701 1062467275 /nfs/dbraw/zinc/46/72/75/1062467275.db2.gz KIFBEYIECRUAQQ-QGZVFWFLSA-N 0 3 321.873 4.409 20 0 DIADHN COC[C@H](NC/C=C\c1ccc(Cl)cc1)c1ccccc1 ZINC001459878412 1062467307 /nfs/dbraw/zinc/46/73/07/1062467307.db2.gz PCXOUYZHKUSRJR-XFHJGTJQSA-N 0 3 301.817 4.331 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001459888502 1062472224 /nfs/dbraw/zinc/47/22/24/1062472224.db2.gz ASIRBSGZHSDYHO-XUVXKRRUSA-N 0 3 310.441 4.385 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H]1CCOC(C)(C)C1 ZINC001255529421 1062475413 /nfs/dbraw/zinc/47/54/13/1062475413.db2.gz IOBASDUVKRTZHG-HUUCEWRRSA-N 0 3 315.461 4.212 20 0 DIADHN COC(=O)c1sccc1CN(CC(C)(C)C)CC(C)(C)C ZINC001459892362 1062478615 /nfs/dbraw/zinc/47/86/15/1062478615.db2.gz QUUHSMOHAPWXEI-UHFFFAOYSA-N 0 3 311.491 4.429 20 0 DIADHN COC(=O)c1cc(CN2CC(C)(C)CC(C)(C)C2)c(C)s1 ZINC001459901434 1062483299 /nfs/dbraw/zinc/48/32/99/1062483299.db2.gz NJNVIQDUADVGCO-UHFFFAOYSA-N 0 3 309.475 4.101 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(C)s1)C(=O)Nc1ccc(C)cc1 ZINC001459905084 1062486255 /nfs/dbraw/zinc/48/62/55/1062486255.db2.gz IQNGZBSBBNSXML-GOEBONIOSA-N 0 3 316.470 4.433 20 0 DIADHN CC(C)(NCC(=O)NCCCC1CCCCC1)c1cccs1 ZINC001459902735 1062487810 /nfs/dbraw/zinc/48/78/10/1062487810.db2.gz ROMFGCSPURPBFH-UHFFFAOYSA-N 0 3 322.518 4.050 20 0 DIADHN COc1ccc(CN[C@@H](C)CC(F)(F)F)cc1C(F)(F)F ZINC001255636264 1062491649 /nfs/dbraw/zinc/49/16/49/1062491649.db2.gz NAGALHKJGLVRFM-QMMMGPOBSA-N 0 3 315.257 4.145 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccccc1N1CCCCCC1 ZINC001255634767 1062492709 /nfs/dbraw/zinc/49/27/09/1062492709.db2.gz DUHHNYBSNLMLPF-CQSZACIVSA-N 0 3 314.395 4.498 20 0 DIADHN COC(=O)[C@@H]1CCCCCN1CCCCc1cccc(Cl)c1 ZINC001459926755 1062500779 /nfs/dbraw/zinc/50/07/79/1062500779.db2.gz XYVKWFYYFIJQJU-KRWDZBQOSA-N 0 3 323.864 4.080 20 0 DIADHN CN(C)C[C@H](NC(=O)OC1CCCCC1)c1ccc(Cl)cc1 ZINC001475237886 1062500980 /nfs/dbraw/zinc/50/09/80/1062500980.db2.gz ONXXQQNAWVLFAO-INIZCTEOSA-N 0 3 324.852 4.002 20 0 DIADHN Cc1ccc(OC2CCN([C@H](C)CC(F)(F)F)CC2)cc1 ZINC001255656929 1062501568 /nfs/dbraw/zinc/50/15/68/1062501568.db2.gz DAXVWXSYSKLIAF-CYBMUJFWSA-N 0 3 301.352 4.179 20 0 DIADHN Cc1cccc(OC2CCN([C@@H](C)CC(F)(F)F)CC2)c1 ZINC001255656603 1062502809 /nfs/dbraw/zinc/50/28/09/1062502809.db2.gz XPHOWZPVNOEWFP-ZDUSSCGKSA-N 0 3 301.352 4.179 20 0 DIADHN COc1ccc([C@H]2CCCN2[C@H](C)CC(F)(F)F)cc1OC ZINC001255657416 1062503618 /nfs/dbraw/zinc/50/36/18/1062503618.db2.gz GZKILHJLWGNNNH-DGCLKSJQSA-N 0 3 317.351 4.182 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC2(CCc3ccccc3O2)CC1 ZINC001255661802 1062504753 /nfs/dbraw/zinc/50/47/53/1062504753.db2.gz PGUBQCYOLAKITQ-CYBMUJFWSA-N 0 3 313.363 4.187 20 0 DIADHN C[C@@]1(NCc2cc(Cl)cc(Cl)c2F)CCO[C@H]1C1CC1 ZINC001459939500 1062511438 /nfs/dbraw/zinc/51/14/38/1062511438.db2.gz XGJWRFHXQKCCAX-LSDHHAIUSA-N 0 3 318.219 4.180 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C(C)(C)CC)c1ccc(Cl)cc1 ZINC001459943135 1062512509 /nfs/dbraw/zinc/51/25/09/1062512509.db2.gz CSSNRJDBTPARJQ-INIZCTEOSA-N 0 3 324.896 4.275 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCC[C@H](C(F)(F)F)C2)c1F ZINC001255686417 1062515326 /nfs/dbraw/zinc/51/53/26/1062515326.db2.gz JCUJPUHQRSTBLK-IUCAKERBSA-N 0 3 311.269 4.315 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255689180 1062517354 /nfs/dbraw/zinc/51/73/54/1062517354.db2.gz JRKWLCMUBYBAQO-UWVGGRQHSA-N 0 3 323.305 4.184 20 0 DIADHN COc1ccc(F)c(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC001255689157 1062519171 /nfs/dbraw/zinc/51/91/71/1062519171.db2.gz JJFFONDLWZITQK-ZJUUUORDSA-N 0 3 323.305 4.184 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@@H]3CCCC[C@@H]3C)CCO2)cc1 ZINC001459951888 1062522779 /nfs/dbraw/zinc/52/27/79/1062522779.db2.gz RDPIVVWFXZHVRN-VDGAXYAQSA-N 0 3 301.474 4.449 20 0 DIADHN Cc1cc(C)c2c(c1)CN(C[C@@H](O)c1cccc(Cl)c1)CC2 ZINC001459962558 1062529769 /nfs/dbraw/zinc/52/97/69/1062529769.db2.gz KZUHYIXIQCKXDC-LJQANCHMSA-N 0 3 315.844 4.049 20 0 DIADHN COc1ccc2c(c1)CCN([C@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255709108 1062535338 /nfs/dbraw/zinc/53/53/38/1062535338.db2.gz PMNMXFIUMLUULB-GJZGRUSLSA-N 0 3 313.363 4.174 20 0 DIADHN Fc1cccc2c1CCN([C@@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255719393 1062536543 /nfs/dbraw/zinc/53/65/43/1062536543.db2.gz DFUHMXCNKGUPMB-CHWSQXEVSA-N 0 3 301.327 4.305 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccc(C)cc1Cl ZINC001459970753 1062537185 /nfs/dbraw/zinc/53/71/85/1062537185.db2.gz RDKLZFLJENCBNZ-WMLDXEAASA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCOCC3(CCCC3)C2)c1 ZINC001459975593 1062540047 /nfs/dbraw/zinc/54/00/47/1062540047.db2.gz ZTCGLIBKKCLWFN-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1ccc(Cl)cn1 ZINC001255727445 1062545464 /nfs/dbraw/zinc/54/54/64/1062545464.db2.gz DOZBVJIHELMFQP-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN C[C@@H]1C(=O)CCN([C@H]2CCC[C@@H](C(F)(F)F)C2)C12CCCC2 ZINC001255726834 1062546925 /nfs/dbraw/zinc/54/69/25/1062546925.db2.gz SILPQAWDRPWPDZ-MCIONIFRSA-N 0 3 317.395 4.331 20 0 DIADHN COc1ccc(CN[C@@H](C)CSc2ccc(F)cc2)c(F)c1 ZINC001255735495 1062555904 /nfs/dbraw/zinc/55/59/04/1062555904.db2.gz GVRWNOXQNOTYLE-LBPRGKRZSA-N 0 3 323.408 4.244 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CCc2sccc2C1 ZINC001255739191 1062565115 /nfs/dbraw/zinc/56/51/15/1062565115.db2.gz VZUPVFKKLVEMKW-GFCCVEGCSA-N 0 3 307.459 4.426 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CCC(F)(F)[C@@H](C)C1 ZINC001255739440 1062565820 /nfs/dbraw/zinc/56/58/20/1062565820.db2.gz XKMLLLKMRDRASO-RYUDHWBXSA-N 0 3 303.393 4.283 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cc(Cl)ccn2)cc1 ZINC001255751748 1062572535 /nfs/dbraw/zinc/57/25/35/1062572535.db2.gz ROKLYJFVHPDDHD-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cnccc2Cl)cc1 ZINC001255750954 1062572805 /nfs/dbraw/zinc/57/28/05/1062572805.db2.gz JXNNUZFJIBZBLC-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccc(SC[C@@H](C)Nc2cccc(F)c2CN)cc1 ZINC001255750555 1062574495 /nfs/dbraw/zinc/57/44/95/1062574495.db2.gz SAGPHVCALATJIJ-CYBMUJFWSA-N 0 3 304.434 4.186 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1CCN(C)C(C)(C)C1 ZINC001460022204 1062574954 /nfs/dbraw/zinc/57/49/54/1062574954.db2.gz DQRRQPZIMGBPEW-NSHDSACASA-N 0 3 301.261 4.080 20 0 DIADHN CCOc1ccnc(CN[C@@H](C)CSc2ccc(C)cc2)c1 ZINC001255753964 1062576944 /nfs/dbraw/zinc/57/69/44/1062576944.db2.gz AJQLVJLRYKNLCJ-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN CCOc1ccnc(CN[C@H](C)CSc2ccc(C)cc2)c1 ZINC001255753966 1062577054 /nfs/dbraw/zinc/57/70/54/1062577054.db2.gz AJQLVJLRYKNLCJ-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN CCN(Cc1ccccn1)[C@H](C)CSc1ccc(C)cc1 ZINC001255766464 1062587902 /nfs/dbraw/zinc/58/79/02/1062587902.db2.gz AHQDHYUHTARXHF-MRXNPFEDSA-N 0 3 300.471 4.393 20 0 DIADHN Cc1ccc(SC[C@H](C)N(C)Cc2cccnc2C)cc1 ZINC001255770403 1062593188 /nfs/dbraw/zinc/59/31/88/1062593188.db2.gz MRMSPURPZKDSNA-HNNXBMFYSA-N 0 3 300.471 4.311 20 0 DIADHN CCC(C)(C)N(C)Cc1cc(Br)cc(F)c1F ZINC001460050994 1062603043 /nfs/dbraw/zinc/60/30/43/1062603043.db2.gz XZPLDFKMRJYUSX-UHFFFAOYSA-N 0 3 306.194 4.348 20 0 DIADHN CCOc1cccc(Cl)c1CN1CCC[C@](C)(OC)CC1 ZINC001460052707 1062603423 /nfs/dbraw/zinc/60/34/23/1062603423.db2.gz NVUWUJGXJUSOJY-KRWDZBQOSA-N 0 3 311.853 4.130 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1cnc(Cl)cc1Cl ZINC001255835941 1062621316 /nfs/dbraw/zinc/62/13/16/1062621316.db2.gz IPIZVXBUEGSJSK-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H](C)Cc1ccccc1F ZINC001255834961 1062621724 /nfs/dbraw/zinc/62/17/24/1062621724.db2.gz QLGDFDRUIPTNBM-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1CCOc2c(F)cc(F)cc21 ZINC001255839320 1062625596 /nfs/dbraw/zinc/62/55/96/1062625596.db2.gz UWUZTSVQDJQKAJ-GTNSWQLSSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@@H](Cc1ccccc1F)N1CC[C@H]1COCc1ccccc1 ZINC001255851391 1062632121 /nfs/dbraw/zinc/63/21/21/1062632121.db2.gz NOKDJKXBCMNVJI-LPHOPBHVSA-N 0 3 313.416 4.048 20 0 DIADHN CC(C)[C@@H](O)CCN(Cc1ccc(F)cc1Cl)CC1CC1 ZINC001460097090 1062634378 /nfs/dbraw/zinc/63/43/78/1062634378.db2.gz FOUXCAIDVHIKBS-KRWDZBQOSA-N 0 3 313.844 4.098 20 0 DIADHN Fc1ccc(CN(CC[C@@H]2CCCO2)CC2CC2)c(Cl)c1 ZINC001460103358 1062640345 /nfs/dbraw/zinc/64/03/45/1062640345.db2.gz ZHAKVCQESOAOMU-INIZCTEOSA-N 0 3 311.828 4.260 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)[C@@H]1C[C@@H](OC)C12CCC2 ZINC001460111428 1062643252 /nfs/dbraw/zinc/64/32/52/1062643252.db2.gz NCAGPPGSNDUGMF-RTBURBONSA-N 0 3 316.489 4.157 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@@H](c1ncccc1F)C1CC1 ZINC001255865647 1062644907 /nfs/dbraw/zinc/64/49/07/1062644907.db2.gz FWJGLCGZLLXIHR-YVEFUNNKSA-N 0 3 302.368 4.032 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2ccc(Cl)nc2Cl)C1(C)C ZINC001460117541 1062644913 /nfs/dbraw/zinc/64/49/13/1062644913.db2.gz BWSIYEHAVHWMRL-NEPJUHHUSA-N 0 3 317.260 4.070 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC001255880832 1062650658 /nfs/dbraw/zinc/65/06/58/1062650658.db2.gz WFRUBXALJQBETN-TWOQFEAHSA-N 0 3 313.416 4.219 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC001255880828 1062650976 /nfs/dbraw/zinc/65/09/76/1062650976.db2.gz WFRUBXALJQBETN-GQIGUUNPSA-N 0 3 313.416 4.219 20 0 DIADHN Cc1nsc(C)c1CN1Cc2cccc(C)c2CC1(C)C ZINC001460135788 1062653938 /nfs/dbraw/zinc/65/39/38/1062653938.db2.gz ABKBCSPWRGQIFC-UHFFFAOYSA-N 0 3 300.471 4.405 20 0 DIADHN OCC1(N(Cc2ccccc2)Cc2ccccc2F)CCCC1 ZINC001460160265 1062670894 /nfs/dbraw/zinc/67/08/94/1062670894.db2.gz WGWIVQYRSHMFQE-UHFFFAOYSA-N 0 3 313.416 4.133 20 0 DIADHN CCC(CC)N(CC)Cc1ccc(OC)cc1Br ZINC001460179460 1062681967 /nfs/dbraw/zinc/68/19/67/1062681967.db2.gz NYOGFOOBTBXWMQ-UHFFFAOYSA-N 0 3 314.267 4.468 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)NC[C@H](c1ccccc1)C(C)C ZINC001460199956 1062695461 /nfs/dbraw/zinc/69/54/61/1062695461.db2.gz ZRRQDXIWDHHVHY-MJGOQNOKSA-N 0 3 318.505 4.053 20 0 DIADHN Fc1cccc(CCN2CCC(OC3CCCCC3)CC2)c1 ZINC001460205540 1062698469 /nfs/dbraw/zinc/69/84/69/1062698469.db2.gz ZXTCKVKLCKKQQS-UHFFFAOYSA-N 0 3 305.437 4.182 20 0 DIADHN CCOCCOCCN1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC001460220112 1062705201 /nfs/dbraw/zinc/70/52/01/1062705201.db2.gz ZMOFBZYKIMVROI-LJQANCHMSA-N 0 3 317.473 4.047 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2nc3ccccc3s2)C1(C)C ZINC001460228994 1062712446 /nfs/dbraw/zinc/71/24/46/1062712446.db2.gz WYCXJKRSTATSEZ-LSDHHAIUSA-N 0 3 318.486 4.368 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCC2CCOCC2)c(F)c1 ZINC001460237367 1062716453 /nfs/dbraw/zinc/71/64/53/1062716453.db2.gz AMZJERYQSUUCHT-GOSISDBHSA-N 0 3 309.400 4.309 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@@H]2CCC[C@H](c3ccccc3)C2)n1 ZINC001256121348 1062720168 /nfs/dbraw/zinc/72/01/68/1062720168.db2.gz QEUWVAFIVFMUKG-KSZLIROESA-N 0 3 311.429 4.241 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2c(F)cccc2OC)c1 ZINC001256152515 1062732725 /nfs/dbraw/zinc/73/27/25/1062732725.db2.gz VRHYKQYDIFYLJN-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@@H](C3CCCC3)C2)o1 ZINC001460263369 1062738494 /nfs/dbraw/zinc/73/84/94/1062738494.db2.gz DIIODBSMITUZCH-OAHLLOKOSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC[C@H](F)CC1 ZINC001256184300 1062742368 /nfs/dbraw/zinc/74/23/68/1062742368.db2.gz YCNKEBZQIQRFJP-WFASDCNBSA-N 0 3 314.242 4.204 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1c(C)cc(C)nc1C ZINC001460283578 1062752657 /nfs/dbraw/zinc/75/26/57/1062752657.db2.gz IAHPPTPOXXTSNE-UHFFFAOYSA-N 0 3 312.457 4.216 20 0 DIADHN CCc1ccc(CN(Cc2cccc(OC)n2)CC(C)C)cc1 ZINC001460279654 1062756061 /nfs/dbraw/zinc/75/60/61/1062756061.db2.gz SDAONURGGOWHDG-UHFFFAOYSA-N 0 3 312.457 4.311 20 0 DIADHN CO[C@H](CNC1(c2ccccc2F)CCC1)Cc1ccccc1 ZINC001460295142 1062764493 /nfs/dbraw/zinc/76/44/93/1062764493.db2.gz MONDWPGKFSXORN-KRWDZBQOSA-N 0 3 313.416 4.052 20 0 DIADHN COc1cccc(-c2nc(CN[C@H](C)CCC(C)C)co2)c1 ZINC001256360433 1062785193 /nfs/dbraw/zinc/78/51/93/1062785193.db2.gz GLRXWNANFIANDI-CQSZACIVSA-N 0 3 302.418 4.265 20 0 DIADHN CO[C@H](CN1CCc2ccc(F)cc2[C@H](C)C1)C1CCCCC1 ZINC001460330106 1062786831 /nfs/dbraw/zinc/78/68/31/1062786831.db2.gz AWUAHQZWJKCBMF-FOIQADDNSA-N 0 3 319.464 4.383 20 0 DIADHN CC[C@H](CN(C)[C@@H]1CCCc2c(OC)ccc(Cl)c21)OC ZINC001460342225 1062792304 /nfs/dbraw/zinc/79/23/04/1062792304.db2.gz JCENZTGRZAOESD-IUODEOHRSA-N 0 3 311.853 4.083 20 0 DIADHN CCn1cc(CN(Cc2ccc3ccccc3c2)C(C)C)cn1 ZINC001460347295 1062796332 /nfs/dbraw/zinc/79/63/32/1062796332.db2.gz WMQOXQVKZCYHNZ-UHFFFAOYSA-N 0 3 307.441 4.467 20 0 DIADHN CCCCCCc1nnc(-c2ccc(CN3CCCCC3)o2)o1 ZINC001256378050 1062797354 /nfs/dbraw/zinc/79/73/54/1062797354.db2.gz MMGSNXDFSNUOAC-UHFFFAOYSA-N 0 3 317.433 4.438 20 0 DIADHN C[C@H]1CN([C@@H]2CCCc3ccc(F)cc32)CC2(CCCC2)O1 ZINC001460346324 1062798394 /nfs/dbraw/zinc/79/83/94/1062798394.db2.gz WSPDRZCJRLAKGA-KBXCAEBGSA-N 0 3 303.421 4.237 20 0 DIADHN O[C@@H](CN1CCC2(CCCCC2)CC1)c1cccc(Cl)c1 ZINC001460360454 1062807069 /nfs/dbraw/zinc/80/70/69/1062807069.db2.gz FUTODOOFLSHJSL-KRWDZBQOSA-N 0 3 307.865 4.420 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@@H]2CCC(C)(C)CO2)cc1F ZINC001460361420 1062808638 /nfs/dbraw/zinc/80/86/38/1062808638.db2.gz HVYAQNRUHGYAGG-DOTOQJQBSA-N 0 3 321.436 4.176 20 0 DIADHN CC(C)[C@@H](NCc1cccc(N(C)C)c1)c1ccccc1F ZINC001460360108 1062810592 /nfs/dbraw/zinc/81/05/92/1062810592.db2.gz JEVCSZYPTRJARS-LJQANCHMSA-N 0 3 300.421 4.379 20 0 DIADHN C[C@@H](Cc1ccccn1)N(Cc1ccccc1)Cc1ccncc1 ZINC001256410426 1062812109 /nfs/dbraw/zinc/81/21/09/1062812109.db2.gz KUUQJAUSJHVQBK-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001460364500 1062813697 /nfs/dbraw/zinc/81/36/97/1062813697.db2.gz MBEXPOXXBXJUJY-HIFRSBDPSA-N 0 3 316.247 4.373 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001460364503 1062815533 /nfs/dbraw/zinc/81/55/33/1062815533.db2.gz MBEXPOXXBXJUJY-ZFWWWQNUSA-N 0 3 316.247 4.373 20 0 DIADHN C[C@H](Cc1ccccn1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001256421484 1062815878 /nfs/dbraw/zinc/81/58/78/1062815878.db2.gz KJNUQZGPVYNTHJ-QAPCUYQASA-N 0 3 320.440 4.050 20 0 DIADHN Cc1nc(C)c(CN(C)[C@H](C)CSc2ccccc2)s1 ZINC001460370162 1062816912 /nfs/dbraw/zinc/81/69/12/1062816912.db2.gz WWRVKBSTKKJZNE-GFCCVEGCSA-N 0 3 306.500 4.373 20 0 DIADHN CC(C)(C)N(Cc1ccsc1)C[C@H]1COc2ccccc2O1 ZINC001460407833 1062841098 /nfs/dbraw/zinc/84/10/98/1062841098.db2.gz WJCLVYAIMFRZAS-HNNXBMFYSA-N 0 3 317.454 4.189 20 0 DIADHN Fc1cncc([C@H](NCc2cccc(C(F)F)c2)C2CC2)c1 ZINC001256522370 1062841437 /nfs/dbraw/zinc/84/14/37/1062841437.db2.gz DHPFCVHYUWWPDV-MRXNPFEDSA-N 0 3 306.331 4.399 20 0 DIADHN CC[C@H](C)N(CC)Cc1cc(Br)cc(F)c1F ZINC001460410229 1062842766 /nfs/dbraw/zinc/84/27/66/1062842766.db2.gz UMIYLBCMTULQER-VIFPVBQESA-N 0 3 306.194 4.348 20 0 DIADHN CC(C)=CCCN1Cc2ccccc2[C@H](C(=O)OC(C)(C)C)C1 ZINC001460417924 1062850059 /nfs/dbraw/zinc/85/00/59/1062850059.db2.gz LPLCYGOHXUBLQJ-GOSISDBHSA-N 0 3 315.457 4.284 20 0 DIADHN Cc1ccc(CN2CCC([C@H]3CCCCO3)CC2)c(Cl)c1 ZINC001460457623 1062864609 /nfs/dbraw/zinc/86/46/09/1062864609.db2.gz ZHCDBXSLNUTWKI-GOSISDBHSA-N 0 3 307.865 4.430 20 0 DIADHN Cc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)c(Cl)c1 ZINC001460457625 1062865662 /nfs/dbraw/zinc/86/56/62/1062865662.db2.gz ZHCDBXSLNUTWKI-SFHVURJKSA-N 0 3 307.865 4.430 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2CCOc1ccccc1Br ZINC001460475472 1062870096 /nfs/dbraw/zinc/87/00/96/1062870096.db2.gz UEPDLVAEXIQQIB-AGUYFDCRSA-N 0 3 324.262 4.091 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001460477522 1062873960 /nfs/dbraw/zinc/87/39/60/1062873960.db2.gz YRTTYYZBOQLNNH-CNUNBNKRSA-N 0 3 315.848 4.289 20 0 DIADHN CCCCC[C@@H]1CCCCN1CC(=O)NCc1sccc1C ZINC001460489703 1062882105 /nfs/dbraw/zinc/88/21/05/1062882105.db2.gz WDRQVBBVIRGJJU-MRXNPFEDSA-N 0 3 322.518 4.108 20 0 DIADHN Nc1nc(CN2CCC[C@@H](C3CCCCC3)C2)nc2ccccc12 ZINC001460515901 1062891789 /nfs/dbraw/zinc/89/17/89/1062891789.db2.gz VKMPLTSPLJBSFE-MRXNPFEDSA-N 0 3 324.472 4.004 20 0 DIADHN Cc1cc(CN2CC3(CCCC3)OC[C@H]2C)c2ccccc2n1 ZINC001460514213 1062892002 /nfs/dbraw/zinc/89/20/02/1062892002.db2.gz ZNWSPPOQITXNQA-MRXNPFEDSA-N 0 3 310.441 4.077 20 0 DIADHN CN(c1ccccc1)[C@H]1CCN(Cc2cccc(C(F)F)c2)C1 ZINC001460526998 1062900212 /nfs/dbraw/zinc/90/02/12/1062900212.db2.gz HBHJCMSXCHJYGT-SFHVURJKSA-N 0 3 316.395 4.335 20 0 DIADHN COc1ccc(CN(C)C[C@H]2CC[C@H](c3ccccc3)O2)cc1 ZINC001460620705 1062939200 /nfs/dbraw/zinc/93/92/00/1062939200.db2.gz TZTUECOQDNZEPP-WOJBJXKFSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)c(F)c2)cc1C ZINC001460628157 1062946941 /nfs/dbraw/zinc/94/69/41/1062946941.db2.gz ZLPMNQQYXIMTQK-SFHVURJKSA-N 0 3 321.823 4.309 20 0 DIADHN C[C@@H](Cc1ccncc1)N(C)Cc1ccc(Cl)cc1Cl ZINC001256734708 1062957831 /nfs/dbraw/zinc/95/78/31/1062957831.db2.gz AZAICEMMWHLEGE-LBPRGKRZSA-N 0 3 309.240 4.451 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccc(Cl)cc3)CC2)cn1 ZINC001460653192 1062960122 /nfs/dbraw/zinc/96/01/22/1062960122.db2.gz LSUVUTNJMPPFEZ-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@H](NCc1ccc(F)c2cccnc12)c1cc2cnccc2o1 ZINC001460677663 1062983137 /nfs/dbraw/zinc/98/31/37/1062983137.db2.gz OQIQPNKNGFVFHO-LBPRGKRZSA-N 0 3 321.355 4.366 20 0 DIADHN CCCCN1CCOc2cc(NC(=O)OC(C)(C)C)ccc2C1 ZINC001460678017 1062984118 /nfs/dbraw/zinc/98/41/18/1062984118.db2.gz ZEWJCFABJAUBGR-UHFFFAOYSA-N 0 3 320.433 4.028 20 0 DIADHN FC(F)(F)OCCCN1CCCSC[C@@H]1c1ccccc1 ZINC001460713295 1063005482 /nfs/dbraw/zinc/00/54/82/1063005482.db2.gz YZYVYOLNMNSDJY-CQSZACIVSA-N 0 3 319.392 4.093 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CC[C@@](C)(CF)C1 ZINC001256838321 1063009517 /nfs/dbraw/zinc/00/95/17/1063009517.db2.gz NHWLKBSNICMDBN-DOMZBBRYSA-N 0 3 314.242 4.062 20 0 DIADHN CCC1CCC(N2Cc3cnc(-c4ccccc4)nc3C2)CC1 ZINC001256875558 1063025426 /nfs/dbraw/zinc/02/54/26/1063025426.db2.gz BCZADAJAHGHPRZ-UHFFFAOYSA-N 0 3 307.441 4.428 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C)C[C@H](C)C(C)(C)C ZINC001460741867 1063031273 /nfs/dbraw/zinc/03/12/73/1063031273.db2.gz AEEHSTZFIGCEBT-ZDUSSCGKSA-N 0 3 305.441 4.309 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(Cl)cc3C)CC2)[nH]c1C ZINC001460786367 1063057897 /nfs/dbraw/zinc/05/78/97/1063057897.db2.gz JDBMXFCUOAMVBC-UHFFFAOYSA-N 0 3 317.864 4.368 20 0 DIADHN CCCCCCN(Cc1ccc(C)o1)C[C@@H](O)c1ccccn1 ZINC001460807922 1063070294 /nfs/dbraw/zinc/07/02/94/1063070294.db2.gz CUJQKAQNRJZDCT-LJQANCHMSA-N 0 3 316.445 4.099 20 0 DIADHN COC(=O)C[C@H](NCc1cccc(Cl)c1Cl)C(C)(C)C ZINC001460833212 1063090642 /nfs/dbraw/zinc/09/06/42/1063090642.db2.gz DQXFZSKCJPQPNR-LBPRGKRZSA-N 0 3 318.244 4.061 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001460848711 1063099252 /nfs/dbraw/zinc/09/92/52/1063099252.db2.gz JPMSXHYWCSZXRW-QZTJIDSGSA-N 0 3 317.473 4.234 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC001257078415 1063106543 /nfs/dbraw/zinc/10/65/43/1063106543.db2.gz KIAPEMNKUYMENN-QKPAOTATSA-N 0 3 315.461 4.211 20 0 DIADHN C[C@@H]1CSCCCN1Cc1cc(-c2ccc(Cl)cc2)no1 ZINC001460917475 1063134667 /nfs/dbraw/zinc/13/46/67/1063134667.db2.gz SMFDKBJRQZZSKY-GFCCVEGCSA-N 0 3 322.861 4.322 20 0 DIADHN CCC[C@](C)(NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC001460929395 1063139542 /nfs/dbraw/zinc/13/95/42/1063139542.db2.gz KTKUOKQYHKOTMK-PXNSSMCTSA-N 0 3 318.505 4.185 20 0 DIADHN Cc1ccc(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)cc1F ZINC001460946242 1063151564 /nfs/dbraw/zinc/15/15/64/1063151564.db2.gz IZFVVEWJANPHEE-JLTOFOAXSA-N 0 3 313.416 4.009 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCCC[C@@]12CCOC2 ZINC001460958437 1063159461 /nfs/dbraw/zinc/15/94/61/1063159461.db2.gz DZMSFOAAPWVMJM-SFHVURJKSA-N 0 3 316.832 4.033 20 0 DIADHN Cc1ccccc1-n1cc(CNCCCCCCC(C)C)nn1 ZINC001460998392 1063174124 /nfs/dbraw/zinc/17/41/24/1063174124.db2.gz FERMRVXEWMJJFI-UHFFFAOYSA-N 0 3 314.477 4.272 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NC/C=C/c2cccc(F)c2)c1 ZINC001461022047 1063195167 /nfs/dbraw/zinc/19/51/67/1063195167.db2.gz LTQQVKJZESEMCH-DYLGSBMWSA-N 0 3 312.388 4.148 20 0 DIADHN CCCCCC1CCC(N2CCN(CC(F)F)CC2)CC1 ZINC001257375481 1063240341 /nfs/dbraw/zinc/24/03/41/1063240341.db2.gz JGJQQOOHOHBBHY-UHFFFAOYSA-N 0 3 302.453 4.008 20 0 DIADHN CCCCCC[C@@H](C)N1CCO[C@H]2[C@H](OCC3CC3)CC[C@@H]21 ZINC001257366628 1063236732 /nfs/dbraw/zinc/23/67/32/1063236732.db2.gz WUYCPOZYSHWMJX-QXCFHYIPSA-N 0 3 309.494 4.004 20 0 DIADHN CCCCCC1CCC(NCc2nnc3c(C)cccn23)CC1 ZINC001257371510 1063237822 /nfs/dbraw/zinc/23/78/22/1063237822.db2.gz HPLDWDHNCFWSIH-UHFFFAOYSA-N 0 3 314.477 4.266 20 0 DIADHN CCCCCC1CCC(NCc2ncccc2C(=O)OC)CC1 ZINC001257374728 1063240824 /nfs/dbraw/zinc/24/08/24/1063240824.db2.gz KQPXDFAQPLRMTK-UHFFFAOYSA-N 0 3 318.461 4.097 20 0 DIADHN Cc1cccc(OC[C@H](C)NCc2ccc(F)cc2Cl)c1 ZINC001461085654 1063244411 /nfs/dbraw/zinc/24/44/11/1063244411.db2.gz OPMYZEGSJUKLBO-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1cccc(F)c1 ZINC001257398926 1063253180 /nfs/dbraw/zinc/25/31/80/1063253180.db2.gz UJWGKJLZOYXEHU-CRAIPNDOSA-N 0 3 321.436 4.475 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1C1CCCCC1 ZINC001257454869 1063284440 /nfs/dbraw/zinc/28/44/40/1063284440.db2.gz PVQKCXGOIVBOEZ-SFHVURJKSA-N 0 3 314.473 4.429 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1cn(CC(F)(F)F)cn1 ZINC001257474494 1063297903 /nfs/dbraw/zinc/29/79/03/1063297903.db2.gz GXFODADIRGKYSU-STQMWFEESA-N 0 3 305.388 4.140 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1cc(OC2CCC2)ncn1 ZINC001257470129 1063290254 /nfs/dbraw/zinc/29/02/54/1063290254.db2.gz BDIHNMFZCXPAAJ-LSDHHAIUSA-N 0 3 305.466 4.102 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1cn(Cc2ccccn2)cn1 ZINC001257477018 1063296597 /nfs/dbraw/zinc/29/65/97/1063296597.db2.gz SCMRJLXATVMQPX-IAGOWNOFSA-N 0 3 314.477 4.021 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001257479817 1063300994 /nfs/dbraw/zinc/30/09/94/1063300994.db2.gz AKHYZAGWBPDJJE-QWHCGFSZSA-N 0 3 307.400 4.435 20 0 DIADHN CC(C)CCOc1ccccc1CNCc1cccc2n[nH]cc21 ZINC001461177835 1063314799 /nfs/dbraw/zinc/31/47/99/1063314799.db2.gz QLZZRHPDENOIAG-UHFFFAOYSA-N 0 3 323.440 4.278 20 0 DIADHN CC(C)n1cc([C@@H](C)NC/C=C/c2ccc(F)cc2F)cn1 ZINC001461186450 1063319118 /nfs/dbraw/zinc/31/91/18/1063319118.db2.gz RANRGVCTJNKQGI-MUBLQREKSA-N 0 3 305.372 4.106 20 0 DIADHN C[C@H](COc1ccc(F)cc1)NCc1cccc(Cl)c1F ZINC001461193658 1063323635 /nfs/dbraw/zinc/32/36/35/1063323635.db2.gz VZQLQWNDGQLKSK-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Fc1ccc(OC(F)F)c(CN[C@H]2C[C@H]2Cc2ccccc2)c1 ZINC001461221652 1063342359 /nfs/dbraw/zinc/34/23/59/1063342359.db2.gz JDKVEZXBSRLLIU-CJNGLKHVSA-N 0 3 321.342 4.148 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC001461219505 1063342870 /nfs/dbraw/zinc/34/28/70/1063342870.db2.gz AQJPZMYKPDARPY-QAPCUYQASA-N 0 3 314.860 4.127 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@](C)(O)c1cc(C)oc1C ZINC001461228062 1063348690 /nfs/dbraw/zinc/34/86/90/1063348690.db2.gz BHWJVDYCEJGLSR-GOSISDBHSA-N 0 3 321.848 4.110 20 0 DIADHN COc1nsc(CNC[C@@H](C)c2cccc(C)c2)c1Cl ZINC001461231407 1063352870 /nfs/dbraw/zinc/35/28/70/1063352870.db2.gz LVMYCLILMMVVBS-LLVKDONJSA-N 0 3 310.850 4.007 20 0 DIADHN CO[C@@H](CNCc1ccc(Oc2ccccc2)o1)C(C)(C)C ZINC001461245614 1063360922 /nfs/dbraw/zinc/36/09/22/1063360922.db2.gz DVBQNLDIHSEOLW-INIZCTEOSA-N 0 3 303.402 4.223 20 0 DIADHN COc1ccc(-c2nc(CNCC(C)(C)SC)cs2)cc1 ZINC001461248276 1063363215 /nfs/dbraw/zinc/36/32/15/1063363215.db2.gz PBBWVFGCPYWXDW-UHFFFAOYSA-N 0 3 322.499 4.050 20 0 DIADHN Cc1ccc(CNC[C@@H](C)Oc2ccccc2Cl)c(F)c1 ZINC001461255498 1063368620 /nfs/dbraw/zinc/36/86/20/1063368620.db2.gz WHIODKJSJVSDJA-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCCNc1ccccc1 ZINC001461261029 1063375677 /nfs/dbraw/zinc/37/56/77/1063375677.db2.gz HLUCTRFIYOZZCB-UHFFFAOYSA-N 0 3 321.399 4.089 20 0 DIADHN C[C@H](NC1CCC(OCc2ccccc2)CC1)c1nccs1 ZINC001257823176 1063397607 /nfs/dbraw/zinc/39/76/07/1063397607.db2.gz NYYDTYIUSWGWAX-OOHWJJMZSA-N 0 3 316.470 4.322 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1C[C@@H]1COC2(CCCCC2)O1 ZINC001473105643 1063401720 /nfs/dbraw/zinc/40/17/20/1063401720.db2.gz PNPRGXBBCNGSQW-GDBMZVCRSA-N 0 3 321.486 4.133 20 0 DIADHN COc1ccccc1CN(CCC=C(C)C)C[C@H]1CCCO1 ZINC001473104455 1063402119 /nfs/dbraw/zinc/40/21/19/1063402119.db2.gz NFMXNPORQWVVKM-GOSISDBHSA-N 0 3 303.446 4.033 20 0 DIADHN CCCCCCN(Cc1ccccc1OC)C[C@@H]1CCCO1 ZINC001473104145 1063403038 /nfs/dbraw/zinc/40/30/38/1063403038.db2.gz HEXAFVFSGMGTER-SFHVURJKSA-N 0 3 305.462 4.257 20 0 DIADHN Clc1ccc(CN(C[C@@H]2CC[C@@H](C3CC3)O2)C2CC2)cc1 ZINC001473107930 1063405195 /nfs/dbraw/zinc/40/51/95/1063405195.db2.gz DPGKCXHKTULEHA-ROUUACIJSA-N 0 3 305.849 4.262 20 0 DIADHN CN(CCOCC1CCC1)Cc1cc(Cl)cc(Cl)c1 ZINC001473107713 1063406161 /nfs/dbraw/zinc/40/61/61/1063406161.db2.gz CTWFCCKOKQEAQA-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN CC1(C)C[C@H](NCc2cnn(CC3CCC3)c2)c2ccccc21 ZINC001461312594 1063412478 /nfs/dbraw/zinc/41/24/78/1063412478.db2.gz HVXQHKUICLCNFH-IBGZPJMESA-N 0 3 309.457 4.195 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1CC(=O)N(C)[C@H](C)CC(C)C ZINC001473112438 1063413421 /nfs/dbraw/zinc/41/34/21/1063413421.db2.gz OJFYSCODAYKGST-IEBWSBKVSA-N 0 3 316.489 4.025 20 0 DIADHN CC(C)OC1CCC(NCc2cc(-c3ccccc3)on2)CC1 ZINC001257873302 1063414508 /nfs/dbraw/zinc/41/45/08/1063414508.db2.gz SWTBRSDLOJJLBI-UHFFFAOYSA-N 0 3 314.429 4.167 20 0 DIADHN Oc1ccc(Cl)c(CN2CCC[C@H]2Cc2ccc(F)cc2)c1 ZINC001473117268 1063418582 /nfs/dbraw/zinc/41/85/82/1063418582.db2.gz SSUHXZVPWDAIEM-INIZCTEOSA-N 0 3 319.807 4.392 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cc(F)ccc2F)cc1 ZINC001473116698 1063420236 /nfs/dbraw/zinc/42/02/36/1063420236.db2.gz LDIODXSXLORKBQ-KRWDZBQOSA-N 0 3 305.343 4.311 20 0 DIADHN CC(C)(C)SCCCN(Cc1ccco1)C[C@H]1CCCO1 ZINC001473119675 1063421876 /nfs/dbraw/zinc/42/18/76/1063421876.db2.gz VJXIMOBOZPKGCR-MRXNPFEDSA-N 0 3 311.491 4.182 20 0 DIADHN Cc1ccccc1CCCN(Cc1ccco1)C[C@@H]1CCCO1 ZINC001473119338 1063422980 /nfs/dbraw/zinc/42/29/80/1063422980.db2.gz MDHHCVDLBSDISK-FQEVSTJZSA-N 0 3 313.441 4.202 20 0 DIADHN C[C@]1(CN2CCC(Oc3ccccc3)CC2)CC1(Cl)Cl ZINC001473119252 1063423586 /nfs/dbraw/zinc/42/35/86/1063423586.db2.gz KGXVSDDQYZDXOF-OAHLLOKOSA-N 0 3 314.256 4.114 20 0 DIADHN CC[C@H]1CCCCN1Cc1coc(-c2cccc(OC)c2)n1 ZINC001473119372 1063423984 /nfs/dbraw/zinc/42/39/84/1063423984.db2.gz NANMNIVLWAGSEQ-INIZCTEOSA-N 0 3 300.402 4.115 20 0 DIADHN CCc1ccc(CN(Cc2cccc(OC)c2)CC(C)C)nc1 ZINC001473123792 1063425911 /nfs/dbraw/zinc/42/59/11/1063425911.db2.gz IAOYQIDYSRRLKR-UHFFFAOYSA-N 0 3 312.457 4.311 20 0 DIADHN Cc1ccc(CN(CCc2ccccc2)C(C)C)c(Cl)n1 ZINC001473125708 1063430508 /nfs/dbraw/zinc/43/05/08/1063430508.db2.gz SJQPEUVTUQNYAP-UHFFFAOYSA-N 0 3 302.849 4.497 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001473133056 1063440287 /nfs/dbraw/zinc/44/02/87/1063440287.db2.gz NXTBPAJGEDHJDV-BPUTZDHNSA-N 0 3 313.363 4.095 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H]1COc2ccc(Cl)cc2C1 ZINC001257947085 1063443934 /nfs/dbraw/zinc/44/39/34/1063443934.db2.gz IGEVGCCFLJEFQG-IUODEOHRSA-N 0 3 317.816 4.003 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1cc(F)cc(F)c1 ZINC001257954786 1063446708 /nfs/dbraw/zinc/44/67/08/1063446708.db2.gz RXGLZEDPVZUALK-HWPZZCPQSA-N 0 3 323.770 4.273 20 0 DIADHN Clc1cc(CN[C@@H]2COc3ccc(Cl)cc3C2)cs1 ZINC001257955231 1063446947 /nfs/dbraw/zinc/44/69/47/1063446947.db2.gz XCJDFVWZDWXHJR-LBPRGKRZSA-N 0 3 314.237 4.148 20 0 DIADHN O[C@H]1CCCC[C@H]1CN1Cc2ccccc2-c2ccccc2C1 ZINC001473138177 1063447081 /nfs/dbraw/zinc/44/70/81/1063447081.db2.gz LIDBOEIPBXPGSS-RXVVDRJESA-N 0 3 307.437 4.220 20 0 DIADHN CCN(Cc1ccc(OC(C)C)c(Cl)c1)C[C@H]1CCCO1 ZINC001473140291 1063447413 /nfs/dbraw/zinc/44/74/13/1063447413.db2.gz OMOLWLDXUJPGOE-OAHLLOKOSA-N 0 3 311.853 4.128 20 0 DIADHN CC/C=C\CCN(C)Cc1cc(Br)ccc1F ZINC001473142161 1063448534 /nfs/dbraw/zinc/44/85/34/1063448534.db2.gz BLXMCNXRCTVGFB-PLNGDYQASA-N 0 3 300.215 4.376 20 0 DIADHN CCOc1c(Cl)cccc1CNCCSC(C)(C)C ZINC001461368319 1063450371 /nfs/dbraw/zinc/45/03/71/1063450371.db2.gz PUPMVQPLXMLOMW-UHFFFAOYSA-N 0 3 301.883 4.360 20 0 DIADHN Clc1ccc2c(c1)C[C@H](N1CCC[C@H]1c1ccccc1)CO2 ZINC001257965080 1063450784 /nfs/dbraw/zinc/45/07/84/1063450784.db2.gz UTGSKVQTOQPPJC-ROUUACIJSA-N 0 3 313.828 4.481 20 0 DIADHN O=C(CNC1CCC(c2ccccc2)CC1)c1cccc(F)c1 ZINC001257995182 1063454701 /nfs/dbraw/zinc/45/47/01/1063454701.db2.gz HFAATXUYELHKNW-UHFFFAOYSA-N 0 3 311.400 4.324 20 0 DIADHN COC(=O)c1cc(CN2CCCC3(CCCCC3)C2)c(C)s1 ZINC001473152755 1063455355 /nfs/dbraw/zinc/45/53/55/1063455355.db2.gz IYEWZMJATOSDHN-UHFFFAOYSA-N 0 3 321.486 4.389 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCCC3(CCCCC3)C2)o1 ZINC001473151625 1063455847 /nfs/dbraw/zinc/45/58/47/1063455847.db2.gz GWIVXVAFHAVBOL-CQSZACIVSA-N 0 3 305.418 4.174 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)CC1 ZINC001473160511 1063466966 /nfs/dbraw/zinc/46/69/66/1063466966.db2.gz SMTKHBDBPGXURW-LSDHHAIUSA-N 0 3 322.880 4.488 20 0 DIADHN CCC1(CC)[C@H](NCc2ncc(C(C)(C)C)s2)[C@@H](C)[C@@H]1OC ZINC001461392209 1063468968 /nfs/dbraw/zinc/46/89/68/1063468968.db2.gz KLNNDJBJZNCXDY-WQVCFCJDSA-N 0 3 324.534 4.370 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H](C(C)(C)C)CC2)cs1 ZINC001473166292 1063468994 /nfs/dbraw/zinc/46/89/94/1063468994.db2.gz RKCDJNOCGVFZQF-AWEZNQCLSA-N 0 3 309.475 4.183 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC(C(C)C)CC1 ZINC001473165209 1063470247 /nfs/dbraw/zinc/47/02/47/1063470247.db2.gz JXDFUDUIGFYTCU-LJQANCHMSA-N 0 3 316.489 4.104 20 0 DIADHN CCC1(CC)[C@H](NCc2ncc(C(C)(C)C)s2)[C@H](C)[C@@H]1OC ZINC001461392208 1063470663 /nfs/dbraw/zinc/47/06/63/1063470663.db2.gz KLNNDJBJZNCXDY-MAZHCROVSA-N 0 3 324.534 4.370 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccccc2)cc1)[C@H](O)C(F)(F)F ZINC001461399269 1063472641 /nfs/dbraw/zinc/47/26/41/1063472641.db2.gz GUOANJVELDBPHE-IRXDYDNUSA-N 0 3 323.358 4.145 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cccnc2C(F)(F)F)CC1 ZINC001473169657 1063473703 /nfs/dbraw/zinc/47/37/03/1063473703.db2.gz CUWSBACPVXUNGW-ZDUSSCGKSA-N 0 3 300.368 4.359 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC3(CCCCCC3)O2)C(C)(C)CO1 ZINC001473172661 1063476765 /nfs/dbraw/zinc/47/67/65/1063476765.db2.gz QNPSSLCOEZRRJR-INIZCTEOSA-N 0 3 309.494 4.148 20 0 DIADHN CCOc1c(Cl)cccc1CNCCSCC(C)C ZINC001461406247 1063477139 /nfs/dbraw/zinc/47/71/39/1063477139.db2.gz KLLPLWAMZRJOOM-UHFFFAOYSA-N 0 3 301.883 4.218 20 0 DIADHN CCc1ccc(CNC[C@@H](O)c2ccc(-c3ccccc3)cc2)o1 ZINC001461410639 1063481699 /nfs/dbraw/zinc/48/16/99/1063481699.db2.gz OXPHSGWDMSJIPK-OAQYLSRUSA-N 0 3 321.420 4.332 20 0 DIADHN O[C@H](CNCc1ccccc1F)c1ccc(-c2ccccc2)cc1 ZINC001461411587 1063482606 /nfs/dbraw/zinc/48/26/06/1063482606.db2.gz SAPVIICVKPTGNJ-OAQYLSRUSA-N 0 3 321.395 4.316 20 0 DIADHN Fc1ccc(F)c(CNCC2(c3ccccc3Cl)CC2)c1 ZINC001461414173 1063483909 /nfs/dbraw/zinc/48/39/09/1063483909.db2.gz CUYXDDRLHTYISN-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1ccnn1C1CCC1 ZINC001461415686 1063485052 /nfs/dbraw/zinc/48/50/52/1063485052.db2.gz COYGPMBKEWUDON-QRWLVFNGSA-N 0 3 309.457 4.411 20 0 DIADHN CC[C@@](O)(CN1CCCCC[C@H]1c1ccc(C)o1)C(F)(F)F ZINC001473183082 1063489547 /nfs/dbraw/zinc/48/95/47/1063489547.db2.gz RHHDHPLNPMADEM-DZGCQCFKSA-N 0 3 319.367 4.209 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(C(C)C)ccc2OC)C1(C)C ZINC001473182210 1063490192 /nfs/dbraw/zinc/49/01/92/1063490192.db2.gz XVPKEXHGJWHPIQ-MOPGFXCFSA-N 0 3 319.489 4.454 20 0 DIADHN CC[C@H](O)[C@@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC001473182041 1063491182 /nfs/dbraw/zinc/49/11/82/1063491182.db2.gz STCQSVKILJYBHQ-GJZGRUSLSA-N 0 3 307.821 4.212 20 0 DIADHN CC(C)[C@H]1CCCN1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001473186478 1063492401 /nfs/dbraw/zinc/49/24/01/1063492401.db2.gz VCRIZHNCHVGGIO-PBHICJAKSA-N 0 3 322.518 4.072 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CCC[C@@](C)(CC)C1 ZINC001473186828 1063493718 /nfs/dbraw/zinc/49/37/18/1063493718.db2.gz MZNRGYKDCFECQI-PKOBYXMFSA-N 0 3 302.462 4.224 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1cc(F)ccc1Br ZINC001473186517 1063494903 /nfs/dbraw/zinc/49/49/03/1063494903.db2.gz LWMOBLSEZNQEDT-AWEZNQCLSA-N 0 3 300.215 4.209 20 0 DIADHN CC[C@]1(C)CCCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC001473187657 1063498373 /nfs/dbraw/zinc/49/83/73/1063498373.db2.gz RXHKSTHKCIJDHJ-GOSISDBHSA-N 0 3 302.393 4.493 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CNC[C@H]1CCC=CO1 ZINC001461435507 1063502519 /nfs/dbraw/zinc/50/25/19/1063502519.db2.gz JWYJRPYGGUPRJV-LJQANCHMSA-N 0 3 323.436 4.453 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@@H]1CCC=CO1 ZINC001461439831 1063504955 /nfs/dbraw/zinc/50/49/55/1063504955.db2.gz WHBWCIKWLRSVGB-SFHVURJKSA-N 0 3 320.452 4.234 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001258078293 1063505341 /nfs/dbraw/zinc/50/53/41/1063505341.db2.gz VUTFPRBYTZQCGQ-LSDHHAIUSA-N 0 3 301.478 4.173 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H](NCc2cn(C3CCC3)nn2)CC1 ZINC001461441190 1063507127 /nfs/dbraw/zinc/50/71/27/1063507127.db2.gz HPAYFGAVNGTYQA-LSDHHAIUSA-N 0 3 304.482 4.088 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1nc(Br)cs1 ZINC001258088443 1063509924 /nfs/dbraw/zinc/50/99/24/1063509924.db2.gz TVYTXLUIADRWOX-JTQLQIEISA-N 0 3 303.269 4.130 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H](CO)CCC(C)(C)C ZINC001461459488 1063519526 /nfs/dbraw/zinc/51/95/26/1063519526.db2.gz CNYMOZPNIXHVKO-CQSZACIVSA-N 0 3 313.869 4.016 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@H](CO)C(C)C ZINC001461485058 1063532664 /nfs/dbraw/zinc/53/26/64/1063532664.db2.gz AWZHYPNDODVYFC-ZDUSSCGKSA-N 0 3 315.910 4.195 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3C[C@H](C)C[C@H](C)C3)co2)cc1 ZINC001258155122 1063534814 /nfs/dbraw/zinc/53/48/14/1063534814.db2.gz ARDXYDDUKXJUEH-FOLVSLTJSA-N 0 3 314.429 4.265 20 0 DIADHN CCc1ccc(CNCC(C)(C)Oc2cc(F)cc(F)c2)o1 ZINC001461491766 1063535859 /nfs/dbraw/zinc/53/58/59/1063535859.db2.gz CCWMTLNLABZVJL-UHFFFAOYSA-N 0 3 309.356 4.067 20 0 DIADHN Cc1cc(CNCc2ccc(OC3CCCC3)cc2)cc(C)n1 ZINC001461497697 1063538527 /nfs/dbraw/zinc/53/85/27/1063538527.db2.gz HHISGLSJEFRIGS-UHFFFAOYSA-N 0 3 310.441 4.310 20 0 DIADHN C[C@@H]1CC(N(Cc2ccccn2)Cc2ccccn2)C[C@@H](C)C1 ZINC001258162123 1063539587 /nfs/dbraw/zinc/53/95/87/1063539587.db2.gz HMGOQVWAVHQUPG-IRXDYDNUSA-N 0 3 309.457 4.304 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CNC/C=C\C1CC1 ZINC001461523536 1063556529 /nfs/dbraw/zinc/55/65/29/1063556529.db2.gz VPGLXJKMCAPZPI-ARJAWSKDSA-N 0 3 303.352 4.497 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CNC/C=C/C1CC1 ZINC001461523539 1063557016 /nfs/dbraw/zinc/55/70/16/1063557016.db2.gz VPGLXJKMCAPZPI-ONEGZZNKSA-N 0 3 303.352 4.497 20 0 DIADHN C[C@@H]1C[C@@H](NC/C=C\c2ccc(F)c(F)c2)c2ccccc2O1 ZINC001461523469 1063557081 /nfs/dbraw/zinc/55/70/81/1063557081.db2.gz ZXSFBGFBSBIBMX-KCLICXKESA-N 0 3 315.363 4.480 20 0 DIADHN C=Cc1ccc(CCNCc2nc(C(C)(C)C)cs2)cc1 ZINC001461524376 1063558099 /nfs/dbraw/zinc/55/80/99/1063558099.db2.gz YZPXLXYJZLOQFE-UHFFFAOYSA-N 0 3 300.471 4.416 20 0 DIADHN COc1ccc(CNCc2ccc(C3CCCCC3)cc2)cn1 ZINC001461529004 1063561452 /nfs/dbraw/zinc/56/14/52/1063561452.db2.gz OVVNYLIEDUIYHW-UHFFFAOYSA-N 0 3 310.441 4.428 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cccc(Br)c1F ZINC001258223056 1063565673 /nfs/dbraw/zinc/56/56/73/1063565673.db2.gz VELNWYXFHDTZDE-SNVBAGLBSA-N 0 3 320.271 4.162 20 0 DIADHN CSCC[C@@H](C)N(Cc1ccccc1)Cc1cccnc1 ZINC001258219126 1063566441 /nfs/dbraw/zinc/56/64/41/1063566441.db2.gz CVEFFQRRXGIHOI-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN c1ccc(OCc2cccnc2)c(CNCC2=CCCCC2)c1 ZINC001461545226 1063570444 /nfs/dbraw/zinc/57/04/44/1063570444.db2.gz BKRRQGLZCPIYKD-UHFFFAOYSA-N 0 3 308.425 4.251 20 0 DIADHN COCC1(CNCc2c(Cl)cncc2Cl)CCCCC1 ZINC001461548829 1063573194 /nfs/dbraw/zinc/57/31/94/1063573194.db2.gz JPFVCTVTFVOBTD-UHFFFAOYSA-N 0 3 317.260 4.075 20 0 DIADHN C[C@H](NCc1cc2ccnc(Cl)c2s1)c1cncc(F)c1 ZINC001461568129 1063584816 /nfs/dbraw/zinc/58/48/16/1063584816.db2.gz YBJAFIRMFKBYJE-VIFPVBQESA-N 0 3 321.808 4.335 20 0 DIADHN Cc1c[nH]c(CNc2ccc3nc(CC4CCCCC4)[nH]c3c2)n1 ZINC001461574090 1063587330 /nfs/dbraw/zinc/58/73/30/1063587330.db2.gz YGFPYFZPVHYBGH-UHFFFAOYSA-N 0 3 323.444 4.329 20 0 DIADHN CC[C@@H](NCc1cc(OC)cc2c1O[C@H](C)C2)c1ccccc1 ZINC001461582641 1063593911 /nfs/dbraw/zinc/59/39/11/1063593911.db2.gz MXKKQQUKDMUMCY-AUUYWEPGSA-N 0 3 311.425 4.260 20 0 DIADHN C[C@@H](N)c1nccn1[C@@H](C)CC(C)(C)SCc1ccco1 ZINC001258299047 1063595939 /nfs/dbraw/zinc/59/59/39/1063595939.db2.gz XIBIHELTVKMPBD-QWHCGFSZSA-N 0 3 307.463 4.159 20 0 DIADHN CCCC[C@](C)(CO)NCc1ccc(F)c(Cl)c1Cl ZINC001461600141 1063604383 /nfs/dbraw/zinc/60/43/83/1063604383.db2.gz OKQREKUNNISDQR-CQSZACIVSA-N 0 3 308.224 4.163 20 0 DIADHN Clc1c(CNC2CC3(CCC3)C2)cnn1Cc1ccccc1 ZINC001461614400 1063613621 /nfs/dbraw/zinc/61/36/21/1063613621.db2.gz OUBMEAUFPHVCBU-UHFFFAOYSA-N 0 3 315.848 4.007 20 0 DIADHN CSC(C)(C)C[C@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC001258330439 1063613662 /nfs/dbraw/zinc/61/36/62/1063613662.db2.gz AVZYKUBJRILUKP-GXFFZTMASA-N 0 3 319.873 4.022 20 0 DIADHN CO[C@H](C)CNCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001461618805 1063617342 /nfs/dbraw/zinc/61/73/42/1063617342.db2.gz ASCUFJFAMJLCNQ-SNVBAGLBSA-N 0 3 314.212 4.378 20 0 DIADHN CSC(C)(C)C[C@@H](C)N1CCCC[C@@H]1C(=O)OC(C)(C)C ZINC001258339753 1063618071 /nfs/dbraw/zinc/61/80/71/1063618071.db2.gz AGDGNJRBPNHNEX-ZIAGYGMSSA-N 0 3 315.523 4.103 20 0 DIADHN c1cc2cc(CNCc3c[nH]nc3-c3ccsc3)ccc2o1 ZINC001461622712 1063619878 /nfs/dbraw/zinc/61/98/78/1063619878.db2.gz QANASBYUBQFYLW-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN CSC(C)(C)C[C@H](C)N1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC001258349803 1063621617 /nfs/dbraw/zinc/62/16/17/1063621617.db2.gz PKDQKKNFMVMSSJ-YQQAZPJKSA-N 0 3 307.503 4.369 20 0 DIADHN CC1(C)OC[C@H]2C[C@]21NCc1ccc(-c2ccccc2Cl)o1 ZINC001461630979 1063624267 /nfs/dbraw/zinc/62/42/67/1063624267.db2.gz SDKHAJNCVYDJNF-XIKOKIGWSA-N 0 3 317.816 4.257 20 0 DIADHN COc1ccc(CC[C@H](C)n2c3ccccc3nc2[C@@H](C)N)cc1 ZINC001258387661 1063630691 /nfs/dbraw/zinc/63/06/91/1063630691.db2.gz GYBFALJJVKMXCK-LSDHHAIUSA-N 0 3 323.440 4.258 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2c(F)ccc(C)c2F)cc1 ZINC001258387687 1063631083 /nfs/dbraw/zinc/63/10/83/1063631083.db2.gz HQHLBOLCELYDOA-AWEZNQCLSA-N 0 3 319.395 4.393 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2c(F)ccc(F)c2F)cc1 ZINC001258387979 1063631376 /nfs/dbraw/zinc/63/13/76/1063631376.db2.gz MFTZBOKQURJFAG-LBPRGKRZSA-N 0 3 323.358 4.223 20 0 DIADHN CCCCC(CCCC)N1CCc2cnc(SC)nc2C1 ZINC001258389490 1063633214 /nfs/dbraw/zinc/63/32/14/1063633214.db2.gz UUZQSAWDTYNNGW-UHFFFAOYSA-N 0 3 307.507 4.306 20 0 DIADHN CC(C)[C@H](NCc1nc(Cl)ccc1Br)C1CC1 ZINC001461646612 1063634957 /nfs/dbraw/zinc/63/49/57/1063634957.db2.gz VIIUHJPFKDOQNK-ZDUSSCGKSA-N 0 3 317.658 4.022 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc2c(c1)CCCC2)c1ccccc1 ZINC001461650774 1063636816 /nfs/dbraw/zinc/63/68/16/1063636816.db2.gz CJKOKGDAZQOSON-VXKWHMMOSA-N 0 3 323.480 4.413 20 0 DIADHN COc1ccc(CC[C@@H](C)N2CCCC(F)(F)[C@H](F)C2)cc1 ZINC001258398056 1063637214 /nfs/dbraw/zinc/63/72/14/1063637214.db2.gz NJWOZZVMJAXGLC-CZUORRHYSA-N 0 3 315.379 4.086 20 0 DIADHN C[C@H]1Cc2cc(CNC3(c4ccc(F)cc4)CCC3)ccc2O1 ZINC001461655292 1063639211 /nfs/dbraw/zinc/63/92/11/1063639211.db2.gz KEXNLZUDIJEJPL-AWEZNQCLSA-N 0 3 311.400 4.318 20 0 DIADHN FC[C@@H](NC1CCN(c2cccc(F)c2)CC1)c1ccccc1 ZINC001258413949 1063644466 /nfs/dbraw/zinc/64/44/66/1063644466.db2.gz NQEYCVJUXLEDNS-LJQANCHMSA-N 0 3 316.395 4.095 20 0 DIADHN C[C@H](NCc1cccc2ccoc21)c1ccc2[nH]c(=O)oc2c1 ZINC001461668344 1063647973 /nfs/dbraw/zinc/64/79/73/1063647973.db2.gz BYMMVDHPSMYZKW-NSHDSACASA-N 0 3 308.337 4.130 20 0 DIADHN CC1(C)CC[C@@H](O)[C@H](NCc2cccc(Cl)c2Cl)C1 ZINC001461678609 1063653317 /nfs/dbraw/zinc/65/33/17/1063653317.db2.gz UFNYTJVYXFWULP-CHWSQXEVSA-N 0 3 302.245 4.023 20 0 DIADHN CCCn1cc(CN[C@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC001461681497 1063654665 /nfs/dbraw/zinc/65/46/65/1063654665.db2.gz FIFPPPMLKSIPRL-SFHVURJKSA-N 0 3 317.864 4.422 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CNC[C@H]1C=CCC1 ZINC001461711114 1063658079 /nfs/dbraw/zinc/65/80/79/1063658079.db2.gz CQALOGILHIJQGJ-VIFPVBQESA-N 0 3 318.617 4.297 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC001515236134 1063660127 /nfs/dbraw/zinc/66/01/27/1063660127.db2.gz UIVDTURVMPPJEQ-MSOLQXFVSA-N 0 3 316.489 4.153 20 0 DIADHN O=c1[nH]c2c(cc1CNCc1ccc3ccccc3c1)CCCC2 ZINC001461699314 1063666842 /nfs/dbraw/zinc/66/68/42/1063666842.db2.gz JGFYRZVTRKGXTG-UHFFFAOYSA-N 0 3 318.420 4.109 20 0 DIADHN CCOC(=O)CC[C@@H](C)NC1(c2ccccc2Cl)CCC1 ZINC001258483648 1063671374 /nfs/dbraw/zinc/67/13/74/1063671374.db2.gz RFPYCAIENPZVSK-CYBMUJFWSA-N 0 3 309.837 4.041 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC[C@H]1c1ccccc1Cl ZINC001258494798 1063673723 /nfs/dbraw/zinc/67/37/23/1063673723.db2.gz BGDOXKSRXHBCGO-BBRMVZONSA-N 0 3 309.837 4.209 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccccc2OC2CCCC2)OC1 ZINC001461717652 1063675670 /nfs/dbraw/zinc/67/56/70/1063675670.db2.gz STMVJEFURXCZAV-GOSISDBHSA-N 0 3 317.473 4.303 20 0 DIADHN CC1(C)C[C@@]1(C)CNCc1ncc(Br)cc1Cl ZINC001461728653 1063681629 /nfs/dbraw/zinc/68/16/29/1063681629.db2.gz RFGKDJFVTHELQM-ZDUSSCGKSA-N 0 3 317.658 4.023 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)c1Cl ZINC001461726982 1063681930 /nfs/dbraw/zinc/68/19/30/1063681930.db2.gz FGUIHJQMOUOZIC-OSAQELSMSA-N 0 3 314.256 4.349 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1 ZINC001461727787 1063682147 /nfs/dbraw/zinc/68/21/47/1063682147.db2.gz HMAHLNKNLQHUCX-DGFSRKRXSA-N 0 3 300.229 4.041 20 0 DIADHN COc1ccc(CNCc2cc3ccc(C)cc3[nH]2)cc1Cl ZINC001461743336 1063690987 /nfs/dbraw/zinc/69/09/87/1063690987.db2.gz YNLNXEZBHHZFOC-UHFFFAOYSA-N 0 3 314.816 4.428 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H](C)Cc1cccnc1 ZINC001258615858 1063710187 /nfs/dbraw/zinc/71/01/87/1063710187.db2.gz RIHMKUWIXCUVRV-NVXWUHKLSA-N 0 3 322.456 4.281 20 0 DIADHN Fc1ccc(-c2ccc(CNC3([C@@H]4CCCCO4)CC3)o2)cc1 ZINC001461780776 1063712123 /nfs/dbraw/zinc/71/21/23/1063712123.db2.gz NWMICYDADMBYPM-SFHVURJKSA-N 0 3 315.388 4.277 20 0 DIADHN C[C@H](Cc1cccnc1)NC1c2ccccc2Oc2ccccc21 ZINC001258616096 1063713210 /nfs/dbraw/zinc/71/32/10/1063713210.db2.gz XSJMTXSUQIVTSV-OAHLLOKOSA-N 0 3 316.404 4.498 20 0 DIADHN C[C@H](NCc1cccc2c1CCC2)c1cnn(CC2CCC2)c1 ZINC001461808728 1063728176 /nfs/dbraw/zinc/72/81/76/1063728176.db2.gz AIUWBHWXFORGAH-HNNXBMFYSA-N 0 3 309.457 4.023 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@H]2OCc3ccccc32)o1 ZINC001461818055 1063734904 /nfs/dbraw/zinc/73/49/04/1063734904.db2.gz DQNHCVKRZMAMQM-HXUWFJFHSA-N 0 3 323.367 4.447 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@@H]3C[C@H]3C3CCCC3)sc2C1 ZINC001461829078 1063738713 /nfs/dbraw/zinc/73/87/13/1063738713.db2.gz KMKHHOXVAHWBIN-SNPRPXQTSA-N 0 3 304.503 4.184 20 0 DIADHN CCOC(OCC)[C@@H](C)NC/C(C)=C/c1ccc(Cl)cc1 ZINC001461838197 1063743079 /nfs/dbraw/zinc/74/30/79/1063743079.db2.gz NBYAQEUKVAUWHZ-YPDDLIOESA-N 0 3 311.853 4.121 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CCCO[C@H](C)C1 ZINC001258678988 1063746830 /nfs/dbraw/zinc/74/68/30/1063746830.db2.gz XPBVPISPKWZVLX-VXGBXAGGSA-N 0 3 302.245 4.035 20 0 DIADHN Fc1cccc(/C=C/CN2CCC3(CC2)OCc2ccccc23)c1 ZINC001461841148 1063746933 /nfs/dbraw/zinc/74/69/33/1063746933.db2.gz DPJUGVALTWVCTC-GQCTYLIASA-N 0 3 323.411 4.360 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CCC[C@]2(CCO2)C1 ZINC001258684297 1063749622 /nfs/dbraw/zinc/74/96/22/1063749622.db2.gz RBJTWKGDJCOUDL-WBMJQRKESA-N 0 3 314.256 4.179 20 0 DIADHN CCCO[C@@H]1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258681495 1063750559 /nfs/dbraw/zinc/75/05/59/1063750559.db2.gz GDKGEAGIZAYEBC-SWLSCSKDSA-N 0 3 316.272 4.425 20 0 DIADHN CC(=O)[C@H]1CCCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684429 1063751978 /nfs/dbraw/zinc/75/19/78/1063751978.db2.gz UORJFZHRORHOOZ-RISCZKNCSA-N 0 3 314.256 4.225 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nnc(-c2ccc(C)c(F)c2)o1 ZINC001258703216 1063761939 /nfs/dbraw/zinc/76/19/39/1063761939.db2.gz JCSDLIFDSHTDQY-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2ccnn2CC2CCC2)cc1 ZINC001461871473 1063762065 /nfs/dbraw/zinc/76/20/65/1063762065.db2.gz NHTGEQAFDWHXJK-KRWDZBQOSA-N 0 3 311.473 4.055 20 0 DIADHN C[C@H]1COCCCN1Cc1ccc(Oc2cccc(F)c2)cc1 ZINC001461875085 1063768035 /nfs/dbraw/zinc/76/80/35/1063768035.db2.gz RXTAEZQCRVDZOY-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N1CCO[C@H](c2ccccn2)C1 ZINC001258714788 1063770576 /nfs/dbraw/zinc/77/05/76/1063770576.db2.gz SLJUBKXNBKQMRQ-CTNGQTDRSA-N 0 3 324.468 4.256 20 0 DIADHN CC[C@@H]1CN(Cc2cn(C3CC3)cn2)CC[C@H]1c1ccccc1 ZINC001461879578 1063770556 /nfs/dbraw/zinc/77/05/56/1063770556.db2.gz GCOFBPLYPJUABA-OXQOHEQNSA-N 0 3 309.457 4.234 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N1CCO[C@H](c2ccccn2)C1 ZINC001258714789 1063770667 /nfs/dbraw/zinc/77/06/67/1063770667.db2.gz SLJUBKXNBKQMRQ-FPOVZHCZSA-N 0 3 324.468 4.256 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(C3CC3)nc2)CC[C@@H]1c1ccccc1 ZINC001461886716 1063775538 /nfs/dbraw/zinc/77/55/38/1063775538.db2.gz XRKLMJUETWXYKU-XLIONFOSSA-N 0 3 321.468 4.370 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001461885325 1063775671 /nfs/dbraw/zinc/77/56/71/1063775671.db2.gz OBGPDPSGYLJZBV-RBUKOAKNSA-N 0 3 321.505 4.007 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCc1ccc(F)c(F)c1F ZINC001258737020 1063786471 /nfs/dbraw/zinc/78/64/71/1063786471.db2.gz AINFFYCQPXFZOT-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN Cc1ccc(C(=O)CN[C@@H](C)Cc2cccc(Cl)c2)cc1 ZINC001258738593 1063786921 /nfs/dbraw/zinc/78/69/21/1063786921.db2.gz APYJJGNJJXBZFE-AWEZNQCLSA-N 0 3 301.817 4.052 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCC(=O)c1ccc(Cl)cc1 ZINC001258738914 1063788966 /nfs/dbraw/zinc/78/89/66/1063788966.db2.gz KFAMXXYPLRMTNN-LBPRGKRZSA-N 0 3 322.235 4.397 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCc1cc(F)cnc1Cl ZINC001258740982 1063791475 /nfs/dbraw/zinc/79/14/75/1063791475.db2.gz DKUBOAJNKZNUNO-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CCC[C@@H](CN1CCC[C@H]1c1nc2ccccc2n1CC)OC ZINC001461910533 1063793458 /nfs/dbraw/zinc/79/34/58/1063793458.db2.gz NQCUEYYHRXMZQO-YJBOKZPZSA-N 0 3 315.461 4.008 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1cn(C2CC2)cn1 ZINC001461912150 1063794262 /nfs/dbraw/zinc/79/42/62/1063794262.db2.gz KNTQPPLFHSVKIO-UONOGXRCSA-N 0 3 317.864 4.495 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N1CC[C@H](F)C(F)(F)CC1 ZINC001258745815 1063795073 /nfs/dbraw/zinc/79/50/73/1063795073.db2.gz WKLVUKDPGNCNEG-FZMZJTMJSA-N 0 3 305.771 4.340 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1cn(C2CC2)cn1 ZINC001461912149 1063795403 /nfs/dbraw/zinc/79/54/03/1063795403.db2.gz KNTQPPLFHSVKIO-KGLIPLIRSA-N 0 3 317.864 4.495 20 0 DIADHN Cc1cc(CN(C)CCOCc2ccccc2)ccc1Cl ZINC001461923621 1063809421 /nfs/dbraw/zinc/80/94/21/1063809421.db2.gz APLCDABERVUCEZ-UHFFFAOYSA-N 0 3 303.833 4.297 20 0 DIADHN CCCC[C@H](CCC)N1CC(N2Cc3ccccc3NC2=O)C1 ZINC001258764711 1063810617 /nfs/dbraw/zinc/81/06/17/1063810617.db2.gz ZAIZYJDYDKPCJD-INIZCTEOSA-N 0 3 315.461 4.077 20 0 DIADHN CCCC[C@@H](CCC)N1CC(N2Cc3ccccc3NC2=O)C1 ZINC001258764712 1063811228 /nfs/dbraw/zinc/81/12/28/1063811228.db2.gz ZAIZYJDYDKPCJD-MRXNPFEDSA-N 0 3 315.461 4.077 20 0 DIADHN CCCC[C@H](CCC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258767969 1063812193 /nfs/dbraw/zinc/81/21/93/1063812193.db2.gz ZLLDAPUNPRJXDL-NSHDSACASA-N 0 3 303.372 4.079 20 0 DIADHN Fc1ccc(F)c(CN(C[C@@H]2CCC=CO2)C2CCCC2)c1 ZINC001461929843 1063814348 /nfs/dbraw/zinc/81/43/48/1063814348.db2.gz ZBULAXJUZPTUKV-KRWDZBQOSA-N 0 3 307.384 4.402 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cccc3[nH]c(=O)oc32)c(C)c1 ZINC001461934220 1063821268 /nfs/dbraw/zinc/82/12/68/1063821268.db2.gz BIFYDTDWQIVYRD-AWEZNQCLSA-N 0 3 310.397 4.343 20 0 DIADHN CC[C@H](C)C[C@H](CC)NCc1nc(Br)cs1 ZINC001258785190 1063821938 /nfs/dbraw/zinc/82/19/38/1063821938.db2.gz FKGFVPZHCWJKMX-UWVGGRQHSA-N 0 3 305.285 4.210 20 0 DIADHN CC(C)N(Cc1cc2c(cccc2O)s1)Cc1ccccn1 ZINC001461938188 1063822553 /nfs/dbraw/zinc/82/25/53/1063822553.db2.gz RIYROGXBSWSSBH-UHFFFAOYSA-N 0 3 312.438 4.413 20 0 DIADHN CC[C@@H](C)C[C@H](CC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258795460 1063832733 /nfs/dbraw/zinc/83/27/33/1063832733.db2.gz RKNCRJKWBIXPDD-AEFFLSMTSA-N 0 3 317.477 4.051 20 0 DIADHN CC[C@@H]1CCCN(Cc2c(C)nc3scc(C4CC4)n23)C1 ZINC001461955639 1063836087 /nfs/dbraw/zinc/83/60/87/1063836087.db2.gz QCAGSRVQICVYAZ-CYBMUJFWSA-N 0 3 303.475 4.204 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001258807954 1063838466 /nfs/dbraw/zinc/83/84/66/1063838466.db2.gz VJCRODHIQWEEES-MWLCHTKSSA-N 0 3 306.759 4.422 20 0 DIADHN CC[C@H]1CN(Cc2ccccc2N2CCCCC2)CCS1 ZINC001461956141 1063839597 /nfs/dbraw/zinc/83/95/97/1063839597.db2.gz FMPFEAMSCUQVPK-KRWDZBQOSA-N 0 3 304.503 4.004 20 0 DIADHN C[C@H]1CCC[C@@H](NCc2ncc(Cl)cc2Br)C1 ZINC001258810927 1063840310 /nfs/dbraw/zinc/84/03/10/1063840310.db2.gz SKUAHOWAGBQMCU-GXSJLCMTSA-N 0 3 317.658 4.166 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CC1CCSCC1 ZINC001461969746 1063847605 /nfs/dbraw/zinc/84/76/05/1063847605.db2.gz FSHNWXZTVZFOSP-LBPRGKRZSA-N 0 3 306.500 4.432 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CC1CCSCC1 ZINC001461969743 1063849462 /nfs/dbraw/zinc/84/94/62/1063849462.db2.gz FSHNWXZTVZFOSP-GFCCVEGCSA-N 0 3 306.500 4.432 20 0 DIADHN CC(C)CC(CC(C)C)NCc1nnc(-c2ccccc2)o1 ZINC001258831872 1063857003 /nfs/dbraw/zinc/85/70/03/1063857003.db2.gz RPOOJUAMWIFXCX-UHFFFAOYSA-N 0 3 301.434 4.287 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001461984847 1063858459 /nfs/dbraw/zinc/85/84/59/1063858459.db2.gz COQSJKIKYNOZBA-QAPCUYQASA-N 0 3 313.420 4.084 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@H](c1ccccc1)c1cccnn1 ZINC001258843298 1063863017 /nfs/dbraw/zinc/86/30/17/1063863017.db2.gz CZTAFKUQALNUCY-TZIWHRDSSA-N 0 3 317.436 4.177 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@H](c1ccccc1)c1cccnn1 ZINC001258843296 1063863134 /nfs/dbraw/zinc/86/31/34/1063863134.db2.gz CZTAFKUQALNUCY-PZJWPPBQSA-N 0 3 317.436 4.177 20 0 DIADHN COc1ccccc1C[C@@H](C)NC1(c2ccc(F)cc2F)CC1 ZINC001258884667 1063871681 /nfs/dbraw/zinc/87/16/81/1063871681.db2.gz YCHJIJXEJWTRKK-CYBMUJFWSA-N 0 3 317.379 4.183 20 0 DIADHN COc1ccccc1C[C@@H](C)N1CCc2cccc(Cl)c2C1 ZINC001258895617 1063874613 /nfs/dbraw/zinc/87/46/13/1063874613.db2.gz RNJGDTALPKDVLX-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN FC(F)COc1ccccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001462019536 1063884669 /nfs/dbraw/zinc/88/46/69/1063884669.db2.gz WCXVWPIZFPYEIM-CABCVRRESA-N 0 3 309.400 4.343 20 0 DIADHN CN(CCOc1ccccc1F)CCSCc1ccccc1 ZINC001462025353 1063887570 /nfs/dbraw/zinc/88/75/70/1063887570.db2.gz IWBIHYDUPFGVCX-UHFFFAOYSA-N 0 3 319.445 4.070 20 0 DIADHN CC(C)[C@@H](C)N(Cc1nc2cc(Cl)ccc2n1C)C1CC1 ZINC001462028536 1063888836 /nfs/dbraw/zinc/88/88/36/1063888836.db2.gz ZEWKSINAJJANMZ-GFCCVEGCSA-N 0 3 305.853 4.236 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001462030650 1063891849 /nfs/dbraw/zinc/89/18/49/1063891849.db2.gz LVSTXSCXWMCSTK-YWZLYKJASA-N 0 3 323.436 4.356 20 0 DIADHN CN(Cc1cc2cnccc2o1)Cc1ccc(OC(F)F)cc1 ZINC001462037372 1063893029 /nfs/dbraw/zinc/89/30/29/1063893029.db2.gz FXROEAKUMUPLIF-UHFFFAOYSA-N 0 3 318.323 4.061 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CN1C2CCC1CC2 ZINC001462035242 1063893330 /nfs/dbraw/zinc/89/33/30/1063893330.db2.gz BEEIUTPSIXYZOS-UHFFFAOYSA-N 0 3 323.484 4.356 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc(OC)cc2C)o1 ZINC001462044277 1063897899 /nfs/dbraw/zinc/89/78/99/1063897899.db2.gz GVVFFSCXOLEMHM-GOSISDBHSA-N 0 3 315.413 4.080 20 0 DIADHN CN(Cc1cc(Cl)cs1)[C@H]1CCN(Cc2ccccc2)C1 ZINC001462046071 1063900113 /nfs/dbraw/zinc/90/01/13/1063900113.db2.gz RXUODEAMVXNASI-INIZCTEOSA-N 0 3 320.889 4.108 20 0 DIADHN CCC1(CC)CN(Cc2ccc3scnc3c2)CCS1 ZINC001462055774 1063907111 /nfs/dbraw/zinc/90/71/11/1063907111.db2.gz RSPKXRMHPIKQBQ-UHFFFAOYSA-N 0 3 306.500 4.404 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2cc(C)cc(Cl)c2)C1 ZINC001462069181 1063912373 /nfs/dbraw/zinc/91/23/73/1063912373.db2.gz RELJPVDMOYFQTP-OAHLLOKOSA-N 0 3 323.864 4.060 20 0 DIADHN c1cc(C2CCCC2)ccc1-c1nc([C@H]2CN3CCC2CC3)no1 ZINC001261202250 1063912842 /nfs/dbraw/zinc/91/28/42/1063912842.db2.gz GNMOZKPNWDVVKL-SFHVURJKSA-N 0 3 323.440 4.203 20 0 DIADHN C[C@@]1(NCc2ccnn2CC2CCC2)CCCc2ccccc21 ZINC001462077281 1063917850 /nfs/dbraw/zinc/91/78/50/1063917850.db2.gz ZPTLUYBDFZZLPJ-HXUWFJFHSA-N 0 3 309.457 4.025 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1)N[C@H]1COc2cc(F)cc(F)c21 ZINC001462085907 1063922698 /nfs/dbraw/zinc/92/26/98/1063922698.db2.gz JLHDOIJTHYHZLB-ZBEGNZNMSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](CCc1ccc(F)cc1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001462085900 1063922725 /nfs/dbraw/zinc/92/27/25/1063922725.db2.gz JLHDOIJTHYHZLB-BDJLRTHQSA-N 0 3 321.342 4.148 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC[C@@H](F)C3)cs2)cc1 ZINC001261493485 1063928359 /nfs/dbraw/zinc/92/83/59/1063928359.db2.gz DGQOHLUNYRODDY-KGLIPLIRSA-N 0 3 320.433 4.189 20 0 DIADHN Fc1ccc(-n2nccc2CN2CCCC3(CCCC3)C2)cc1 ZINC001462111097 1063934667 /nfs/dbraw/zinc/93/46/67/1063934667.db2.gz FCFGXWDBWXVGGZ-UHFFFAOYSA-N 0 3 313.420 4.168 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC([C@H]2CCCO2)CC1 ZINC001462118822 1063937148 /nfs/dbraw/zinc/93/71/48/1063937148.db2.gz QEJWZZONKXKNCN-GOSISDBHSA-N 0 3 307.865 4.293 20 0 DIADHN COCCOc1ccc(CN[C@@H](c2ccccc2C)C(C)C)o1 ZINC001262360668 1063963323 /nfs/dbraw/zinc/96/33/23/1063963323.db2.gz NLMUUDHTZNAJBS-LJQANCHMSA-N 0 3 317.429 4.100 20 0 DIADHN Cc1csc([C@H](N[C@H]2C[C@@H]2c2cccc(F)c2)C2CC2)n1 ZINC001262495124 1063966205 /nfs/dbraw/zinc/96/62/05/1063966205.db2.gz SROWLUYDWAIBDN-OWCLPIDISA-N 0 3 302.418 4.187 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(Cl)c(F)c1 ZINC000378872945 1063977996 /nfs/dbraw/zinc/97/79/96/1063977996.db2.gz SJYDUCBSALVPSZ-LLVKDONJSA-N 0 3 321.779 4.101 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)cc2)cc(Cl)c1OC ZINC000378950029 1063981040 /nfs/dbraw/zinc/98/10/40/1063981040.db2.gz GLANMKXCYIFQNS-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@H](C)c2ccc(Cl)cc2)c1 ZINC000603497589 1064005639 /nfs/dbraw/zinc/00/56/39/1064005639.db2.gz JNDWIHFASJGIIH-CYBMUJFWSA-N 0 3 317.816 4.320 20 0 DIADHN OC[C@@H](NCc1cccc(Cl)c1Cl)C1CCCCC1 ZINC000386126333 1064039033 /nfs/dbraw/zinc/03/90/33/1064039033.db2.gz NETFXRDXZMFJAL-CQSZACIVSA-N 0 3 302.245 4.024 20 0 DIADHN OC[C@H](NCc1cccc(Cl)c1Cl)C1CCCCC1 ZINC000386126332 1064039251 /nfs/dbraw/zinc/03/92/51/1064039251.db2.gz NETFXRDXZMFJAL-AWEZNQCLSA-N 0 3 302.245 4.024 20 0 DIADHN COc1ccc(CN[C@H]2CC23CCCCC3)c(Br)c1 ZINC000386892025 1064061553 /nfs/dbraw/zinc/06/15/53/1064061553.db2.gz KJARKEAINWFXNK-HNNXBMFYSA-N 0 3 324.262 4.270 20 0 DIADHN CCCc1cc(C(=O)NC[C@@H](C)N2CCCC[C@H]2C)sc1C ZINC001264556394 1064111526 /nfs/dbraw/zinc/11/15/26/1064111526.db2.gz VJZGSZXQFIWQOY-ZIAGYGMSSA-N 0 3 322.518 4.002 20 0 DIADHN COc1ccc2ccccc2c1CNCCOCc1ccccc1 ZINC000390202150 1064150476 /nfs/dbraw/zinc/15/04/76/1064150476.db2.gz QWWPEXZRGGADEU-UHFFFAOYSA-N 0 3 321.420 4.155 20 0 DIADHN CC(C)SCCNCc1cc(Cl)ccc1Br ZINC000390619859 1064163902 /nfs/dbraw/zinc/16/39/02/1064163902.db2.gz FHYOOJZVYZWUKK-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN CC1(C)CC[C@@H]1NCc1cc(Cl)ccc1Br ZINC000390592105 1064164020 /nfs/dbraw/zinc/16/40/20/1064164020.db2.gz SPJKJIWAKNZDOF-LBPRGKRZSA-N 0 3 302.643 4.381 20 0 DIADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccc(Cl)cc1Cl ZINC000701011185 1064234543 /nfs/dbraw/zinc/23/45/43/1064234543.db2.gz FNJPIZNUCDTQTA-DMZJWBPISA-N 0 3 302.245 4.456 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c(C)c1 ZINC000615337450 1064249850 /nfs/dbraw/zinc/24/98/50/1064249850.db2.gz SGUZEQZVDOXFIX-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN CC(C)n1cc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000393399341 1064277271 /nfs/dbraw/zinc/27/72/71/1064277271.db2.gz LLVCGLBUFSVKPV-KRWDZBQOSA-N 0 3 303.425 4.480 20 0 DIADHN COc1ccccc1C[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000393486013 1064282516 /nfs/dbraw/zinc/28/25/16/1064282516.db2.gz LEEJHBLVOLKNIH-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN COc1ccccc1C[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000393486011 1064282570 /nfs/dbraw/zinc/28/25/70/1064282570.db2.gz LEEJHBLVOLKNIH-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN CCSc1ccccc1CN1CC2(CCN2C2CCCC2)C1 ZINC001279984124 1064374863 /nfs/dbraw/zinc/37/48/63/1064374863.db2.gz BIIHOZIFLAMSIB-UHFFFAOYSA-N 0 3 316.514 4.001 20 0 DIADHN O=C(NC[C@@H]1CCN1C1CCCC1)c1ccc(C2=CCCC2)cc1 ZINC001287560274 1064462389 /nfs/dbraw/zinc/46/23/89/1064462389.db2.gz VBBASPIROYTAJR-FQEVSTJZSA-N 0 3 324.468 4.001 20 0 DIADHN CCCCCCC(=O)NC[C@H]1CCCCN1Cc1ccccc1 ZINC001287925397 1064501261 /nfs/dbraw/zinc/50/12/61/1064501261.db2.gz ZYAPZZZDALQJGJ-LJQANCHMSA-N 0 3 316.489 4.128 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NCCN1CCC(C)(C)C1 ZINC001289679073 1064649865 /nfs/dbraw/zinc/64/98/65/1064649865.db2.gz NAFQSMNVDXDKSY-IBGZPJMESA-N 0 3 310.526 4.221 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN[C@H](C)c1cccs1 ZINC000651667874 1064654569 /nfs/dbraw/zinc/65/45/69/1064654569.db2.gz VKPWQCPVZWTJJG-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)NC1CCC(C(C)(C)CC)CC1 ZINC001290643392 1064704005 /nfs/dbraw/zinc/70/40/05/1064704005.db2.gz XUYZKLWTWUQWFI-ABHNRTSZSA-N 0 3 322.537 4.362 20 0 DIADHN CCN(C(=O)c1ccc(NC2=NCCC2)cc1)C1CCCCC1 ZINC001290736004 1064708499 /nfs/dbraw/zinc/70/84/99/1064708499.db2.gz ZPLSVDMOSZSYCZ-UHFFFAOYSA-N 0 3 313.445 4.086 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NCCN1CCC[C@H](C)C1 ZINC001290891871 1064715782 /nfs/dbraw/zinc/71/57/82/1064715782.db2.gz QUFUJZHALSUEBL-PKOBYXMFSA-N 0 3 310.526 4.221 20 0 DIADHN CC(C)(C)CCN1CCN(C(=O)CC2CCC(C)(C)CC2)CC1 ZINC001291909089 1064751084 /nfs/dbraw/zinc/75/10/84/1064751084.db2.gz PCJXTSQSJVGYKA-UHFFFAOYSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@@H]1CC[C@H](C(=O)N(CCN(C)C)CC2CCCCC2)C[C@H]1C ZINC001292112875 1064761273 /nfs/dbraw/zinc/76/12/73/1064761273.db2.gz RVKBWVBJUZXOJL-LMMKCTJWSA-N 0 3 322.537 4.029 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N[C@@H](C)CCC1CCCCC1 ZINC001293400319 1064814169 /nfs/dbraw/zinc/81/41/69/1064814169.db2.gz SLGNTGDPPAPGBQ-FUHWJXTLSA-N 0 3 308.510 4.116 20 0 DIADHN C/C(=C/C(=O)Nc1cc(CN(C)C)ccc1C)c1ccccc1C ZINC001294377300 1064864929 /nfs/dbraw/zinc/86/49/29/1064864929.db2.gz CBKZUDUWSYOFTK-ATVHPVEESA-N 0 3 322.452 4.407 20 0 DIADHN C[C@H](C(=O)N[C@H]1CCC[C@H](C(C)(C)C)CC1)N1CCCCCC1 ZINC001296514918 1064948447 /nfs/dbraw/zinc/94/84/47/1064948447.db2.gz GYQHDEPMWVTYOO-SQNIBIBYSA-N 0 3 322.537 4.362 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@H](C)N3[C@H](C)CC[C@@H]3C)cc2c1C ZINC001296672717 1064953901 /nfs/dbraw/zinc/95/39/01/1064953901.db2.gz WPNZDNBAIKNIJM-SCRDCRAPSA-N 0 3 314.429 4.249 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCC[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001297235366 1064974155 /nfs/dbraw/zinc/97/41/55/1064974155.db2.gz WWDVTEQZUSJWDC-FGTMMUONSA-N 0 3 322.537 4.220 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)Nc1cccc(-c2ccccc2)c1 ZINC001297528370 1064983581 /nfs/dbraw/zinc/98/35/81/1064983581.db2.gz OIJWPPMMSMKKIK-HOTGVXAUSA-N 0 3 308.425 4.165 20 0 DIADHN CC[C@H](C(=O)N(CC(C)C)c1cccc(Cl)c1)N(CC)CC ZINC001297892784 1064993424 /nfs/dbraw/zinc/99/34/24/1064993424.db2.gz LVGIFDGTBZCNDT-QGZVFWFLSA-N 0 3 324.896 4.449 20 0 DIADHN CCN(C(=O)CC1CCC(C(C)(C)C)CC1)C1CCN(C)CC1 ZINC001298244406 1064999910 /nfs/dbraw/zinc/99/99/10/1064999910.db2.gz XGTCMYJCZPWUNA-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C[C@@H](CC)C(C)C ZINC001298610321 1065013032 /nfs/dbraw/zinc/01/30/32/1065013032.db2.gz SRCHNMFXEVVSCI-CQSZACIVSA-N 0 3 305.466 4.115 20 0 DIADHN C[C@@H](CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1)CC(C)(C)C ZINC001298814389 1065022002 /nfs/dbraw/zinc/02/20/02/1065022002.db2.gz UYNAXARENAVZLV-YOEHRIQHSA-N 0 3 322.468 4.007 20 0 DIADHN CC(C)[C@@H](C(=O)NC(C1CCCCC1)C1CCCCC1)N(C)C ZINC001303019575 1065104601 /nfs/dbraw/zinc/10/46/01/1065104601.db2.gz AOAZVVHGXCIUID-IBGZPJMESA-N 0 3 322.537 4.218 20 0 DIADHN Cc1cccc2nc(NC[C@H](c3cccs3)N(C)C)sc21 ZINC001306911050 1065200347 /nfs/dbraw/zinc/20/03/47/1065200347.db2.gz OMASZPWZDZYEPK-CYBMUJFWSA-N 0 3 317.483 4.381 20 0 DIADHN Cc1ccc2sc(N3CCN(CCC(C)(C)C)CC3)nc2c1 ZINC001306939037 1065212800 /nfs/dbraw/zinc/21/28/00/1065212800.db2.gz SFRXRBRYMULBSI-UHFFFAOYSA-N 0 3 317.502 4.163 20 0 DIADHN C[C@@]1(CNc2ncc(Cl)s2)CCN(Cc2ccccc2)C1 ZINC001307109817 1065267214 /nfs/dbraw/zinc/26/72/14/1065267214.db2.gz NNJDXBLXKKPKQC-INIZCTEOSA-N 0 3 321.877 4.121 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001307418558 1065380123 /nfs/dbraw/zinc/38/01/23/1065380123.db2.gz WVHHNWNPEDPPET-HOTGVXAUSA-N 0 3 314.256 4.383 20 0 DIADHN Fc1cccc(CN(CCc2c(F)cccc2F)C2CC2)c1 ZINC001307420930 1065384601 /nfs/dbraw/zinc/38/46/01/1065384601.db2.gz NREYRZDYGOZAST-UHFFFAOYSA-N 0 3 305.343 4.311 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)cc(Cl)n1 ZINC001307425722 1065386540 /nfs/dbraw/zinc/38/65/40/1065386540.db2.gz MWGUHOWARFAPFK-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN CCN(Cc1ccc(OC)cc1OC)Cc1cc(C)ccc1C ZINC001307432971 1065394587 /nfs/dbraw/zinc/39/45/87/1065394587.db2.gz CUGQPNULIPERHM-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN c1ccc2c(c1)cccc2C1=CCN(CCOC2CCC2)CC1 ZINC001307436611 1065395844 /nfs/dbraw/zinc/39/58/44/1065395844.db2.gz CSJVJNQTUXHMNW-UHFFFAOYSA-N 0 3 307.437 4.498 20 0 DIADHN COCCN(Cc1ccc(C)c(F)c1)Cc1ccc(C)cc1C ZINC001307436122 1065397160 /nfs/dbraw/zinc/39/71/60/1065397160.db2.gz XOYHNKFJZWHSGI-UHFFFAOYSA-N 0 3 315.432 4.400 20 0 DIADHN CCN(Cc1nn(-c2ccccc2)c2c1CCC2)[C@H](C)C(C)C ZINC001307438875 1065400726 /nfs/dbraw/zinc/40/07/26/1065400726.db2.gz XLZVDDRURPEEHU-MRXNPFEDSA-N 0 3 311.473 4.227 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(Cc1ccc3c[nH]nc3c1)C2 ZINC001307443483 1065405059 /nfs/dbraw/zinc/40/50/59/1065405059.db2.gz DDPABPMTXPXBKT-UHFFFAOYSA-N 0 3 319.452 4.419 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCCC[C@@H]2C2OCCO2)cc1 ZINC001307458149 1065412442 /nfs/dbraw/zinc/41/24/42/1065412442.db2.gz NZLUMVZKFPVWDB-GOSISDBHSA-N 0 3 317.473 4.102 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1ccc(Cl)cc1C)C1CC1 ZINC001307462826 1065414732 /nfs/dbraw/zinc/41/47/32/1065414732.db2.gz HZJQQEYGYULQKF-GXTWGEPZSA-N 0 3 322.880 4.486 20 0 DIADHN C[C@H](CN1CCC[C@@H]1C(=O)OCc1ccccc1)CC(C)(C)C ZINC001307467729 1065416950 /nfs/dbraw/zinc/41/69/50/1065416950.db2.gz MVNQDSATDKJMDW-FUHWJXTLSA-N 0 3 317.473 4.267 20 0 DIADHN CC(C)(C)OC(=O)[C@H](C1CC1)N1CCC[C@@H](C(C)(C)C)CC1 ZINC001307473132 1065421143 /nfs/dbraw/zinc/42/11/43/1065421143.db2.gz NBOOVCODBBIBJE-CVEARBPZSA-N 0 3 309.494 4.255 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCC[C@H](C(C)(C)C)CC1 ZINC001307473553 1065421166 /nfs/dbraw/zinc/42/11/66/1065421166.db2.gz ORHNONTUTMRBML-GJZGRUSLSA-N 0 3 320.452 4.301 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@H](c3ccccc3)C[C@H]2C)c1 ZINC001307473521 1065421258 /nfs/dbraw/zinc/42/12/58/1065421258.db2.gz YTXHDFMRJIIYDR-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN COc1cccc(-c2nc(CN3CCCC[C@H]3C(C)C)co2)c1 ZINC001307472190 1065421957 /nfs/dbraw/zinc/42/19/57/1065421957.db2.gz GIOBITWPQYDCBB-SFHVURJKSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CCc3ccccc3CC2)c1 ZINC001307475890 1065423020 /nfs/dbraw/zinc/42/30/20/1065423020.db2.gz KAZUTXHUDZPKHZ-UHFFFAOYSA-N 0 3 317.379 4.197 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1ccc(C)c(C)c1 ZINC001307486184 1065432124 /nfs/dbraw/zinc/43/21/24/1065432124.db2.gz RVMBKCKJABUTEE-KSSFIOAISA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1ccc(C)c(C)c1 ZINC001307486183 1065432191 /nfs/dbraw/zinc/43/21/91/1065432191.db2.gz RVMBKCKJABUTEE-KDOFPFPSSA-N 0 3 302.462 4.143 20 0 DIADHN CCc1cc2oc(=O)cc(CN3C[C@@H](C)C[C@H]3C)c2cc1Cl ZINC001307491389 1065433896 /nfs/dbraw/zinc/43/38/96/1065433896.db2.gz WSQGJCCKWJBTQP-NWDGAFQWSA-N 0 3 319.832 4.239 20 0 DIADHN CCc1cc2oc(=O)cc(CN3C[C@@H](C)C[C@@H]3C)c2cc1Cl ZINC001307491392 1065434477 /nfs/dbraw/zinc/43/44/77/1065434477.db2.gz WSQGJCCKWJBTQP-RYUDHWBXSA-N 0 3 319.832 4.239 20 0 DIADHN COc1ncccc1CN1CCC[C@@H](C)[C@H]1c1ccc(C)cc1 ZINC001307489260 1065435390 /nfs/dbraw/zinc/43/53/90/1065435390.db2.gz IJDORUNBEWLZLE-APWZRJJASA-N 0 3 310.441 4.372 20 0 DIADHN CCc1ccccc1CCN1C[C@H](C)OC[C@H]1c1ccccc1 ZINC001307497755 1065442049 /nfs/dbraw/zinc/44/20/49/1065442049.db2.gz QYMVPLDCXPOUFX-UWJYYQICSA-N 0 3 309.453 4.254 20 0 DIADHN CCCOC(=O)[C@@H](C)N(CC)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC001307501452 1065443616 /nfs/dbraw/zinc/44/36/16/1065443616.db2.gz RXNFDVGTHQFDAG-QAPCUYQASA-N 0 3 323.452 4.056 20 0 DIADHN COC(=O)/C(C)=C\CN(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001307501245 1065443727 /nfs/dbraw/zinc/44/37/27/1065443727.db2.gz KYUXSHHUHMYNPU-WAIALKQBSA-N 0 3 323.436 4.466 20 0 DIADHN CCCOC(=O)[C@H](C)N(CC)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC001307501453 1065444020 /nfs/dbraw/zinc/44/40/20/1065444020.db2.gz RXNFDVGTHQFDAG-YJBOKZPZSA-N 0 3 323.452 4.056 20 0 DIADHN Cc1ccc(CCN(CCOC2CCC2)Cc2ccco2)cc1 ZINC001307503386 1065446394 /nfs/dbraw/zinc/44/63/94/1065446394.db2.gz NOOINEXXKKTPST-UHFFFAOYSA-N 0 3 313.441 4.202 20 0 DIADHN CC[C@@H]1CCCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001307514757 1065453138 /nfs/dbraw/zinc/45/31/38/1065453138.db2.gz FZAZXIXRKKEPDD-IAQYHMDHSA-N 0 3 302.245 4.149 20 0 DIADHN CC[C@H]1CCCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001307513587 1065453444 /nfs/dbraw/zinc/45/34/44/1065453444.db2.gz MGRRRZXEKMVCNV-XHDPSFHLSA-N 0 3 302.245 4.149 20 0 DIADHN CN(c1ccccc1)C1CCN([C@@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC001307516277 1065455438 /nfs/dbraw/zinc/45/54/38/1065455438.db2.gz GAZBKXKXYZDPNS-NHCUHLMSSA-N 0 3 324.443 4.282 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)c(Cl)n1 ZINC001307518606 1065458556 /nfs/dbraw/zinc/45/85/56/1065458556.db2.gz FVWCUFUTMMUUMH-WBVHZDCISA-N 0 3 314.860 4.497 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2CCc3ccccc3[C@H]2C)cc1 ZINC001307518418 1065458569 /nfs/dbraw/zinc/45/85/69/1065458569.db2.gz DAFPWQUYHRMACA-MRXNPFEDSA-N 0 3 323.436 4.371 20 0 DIADHN C[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001307524176 1065462015 /nfs/dbraw/zinc/46/20/15/1065462015.db2.gz SSPPBFKVUMELKA-JKSUJKDBSA-N 0 3 308.425 4.022 20 0 DIADHN C[C@@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@H]1C ZINC001307524173 1065462471 /nfs/dbraw/zinc/46/24/71/1065462471.db2.gz SSPPBFKVUMELKA-CVEARBPZSA-N 0 3 308.425 4.022 20 0 DIADHN Clc1ccccc1NC1CCN(CCOCC2CCC2)CC1 ZINC001307532268 1065466941 /nfs/dbraw/zinc/46/69/41/1065466941.db2.gz TYAGGNXZNBUZAR-UHFFFAOYSA-N 0 3 322.880 4.033 20 0 DIADHN CCc1ccc(CCN2CCOC3(C2)CCCCCC3)cc1 ZINC001307529841 1065467249 /nfs/dbraw/zinc/46/72/49/1065467249.db2.gz MLYUIJXLZDKROU-UHFFFAOYSA-N 0 3 301.474 4.217 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C[C@@H]1COC(C)(C)O1)C1CC1 ZINC001307535259 1065469161 /nfs/dbraw/zinc/46/91/61/1065469161.db2.gz LIWSUTGFXNVLBH-BLLLJJGKSA-N 0 3 309.837 4.017 20 0 DIADHN CC(C)CCOCCN1CC=C(c2ccc(Cl)cc2)CC1 ZINC001307549758 1065476613 /nfs/dbraw/zinc/47/66/13/1065476613.db2.gz APVVBGLNPRHWQE-UHFFFAOYSA-N 0 3 307.865 4.492 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@H]1CC[C@H](c2ccccc2)O1 ZINC001307551424 1065477879 /nfs/dbraw/zinc/47/78/79/1065477879.db2.gz IQAFRYFHKOZAHB-CDHQVMDDSA-N 0 3 311.425 4.305 20 0 DIADHN CCO[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC001307552103 1065478895 /nfs/dbraw/zinc/47/88/95/1065478895.db2.gz PCHVYPWDAXVEHL-VQTJNVASSA-N 0 3 313.416 4.320 20 0 DIADHN CC(C)c1cccc(CN2CC(c3nc4ccccc4[nH]3)C2)c1 ZINC001307555510 1065479639 /nfs/dbraw/zinc/47/96/39/1065479639.db2.gz CNYZSEGGGWDRAJ-UHFFFAOYSA-N 0 3 305.425 4.286 20 0 DIADHN CCC[C@@H]1CCCN([C@@H](CC)C(=O)Nc2ccc(C)cc2)C1 ZINC001307562879 1065483608 /nfs/dbraw/zinc/48/36/08/1065483608.db2.gz HYEVHQCVSXHFLB-AEFFLSMTSA-N 0 3 302.462 4.224 20 0 DIADHN COC(=O)c1cc(CN(Cc2ccccc2)C(C)(C)C)cs1 ZINC001307568529 1065487400 /nfs/dbraw/zinc/48/74/00/1065487400.db2.gz UEFCAZVILXAVKP-UHFFFAOYSA-N 0 3 317.454 4.335 20 0 DIADHN Cc1cc(C)cc(C2=CCN(C[C@H]3CC[C@H](C4CC4)O3)CC2)c1 ZINC001307585928 1065498153 /nfs/dbraw/zinc/49/81/53/1065498153.db2.gz PTEFAWOEQWEUGP-NHCUHLMSSA-N 0 3 311.469 4.350 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@@H]1CCC(C)(C)CO1 ZINC001307589197 1065500023 /nfs/dbraw/zinc/50/00/23/1065500023.db2.gz SONABAVDNUTHKG-CPUCHLNUSA-N 0 3 305.437 4.339 20 0 DIADHN Cc1cc(CN2C[C@@H](C)N(Cc3ccccc3)C[C@H]2C)cs1 ZINC001307589114 1065501910 /nfs/dbraw/zinc/50/19/10/1065501910.db2.gz KOOZLEODORAWCH-HZPDHXFCSA-N 0 3 314.498 4.151 20 0 DIADHN Cc1ccc(N2CCN(CC/C=C/c3ccccc3)CC2)cc1 ZINC001307601426 1065507127 /nfs/dbraw/zinc/50/71/27/1065507127.db2.gz HUIMCMSIDMWCEA-WEVVVXLNSA-N 0 3 306.453 4.221 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2cscc2Br)C1 ZINC001307602717 1065510243 /nfs/dbraw/zinc/51/02/43/1065510243.db2.gz JYPFMRWIBFHWBT-LLVKDONJSA-N 0 3 302.281 4.379 20 0 DIADHN CCc1noc(C)c1CN1CCC(Cc2ccc(C)cc2)CC1 ZINC001307606044 1065511974 /nfs/dbraw/zinc/51/19/74/1065511974.db2.gz PKZAMPINDRJMSS-UHFFFAOYSA-N 0 3 312.457 4.309 20 0 DIADHN C[C@@H](NC[C@@H](O)CCc1ccccc1)c1cc(Cl)ccc1F ZINC001307611343 1065514704 /nfs/dbraw/zinc/51/47/04/1065514704.db2.gz NHUSUACAZGWAGX-CJNGLKHVSA-N 0 3 321.823 4.123 20 0 DIADHN CC[C@](O)(CN[C@@H](c1cccc(F)c1)C(C)(C)C)C(F)(F)F ZINC001307613300 1065516281 /nfs/dbraw/zinc/51/62/81/1065516281.db2.gz QJJADGSUKAAEPP-ZFWWWQNUSA-N 0 3 321.358 4.206 20 0 DIADHN CC[C@](O)(CN[C@H](c1cccc(F)c1)C(C)(C)C)C(F)(F)F ZINC001307613298 1065517605 /nfs/dbraw/zinc/51/76/05/1065517605.db2.gz QJJADGSUKAAEPP-HIFRSBDPSA-N 0 3 321.358 4.206 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)OC(F)(F)O3)cc1 ZINC001307630863 1065528329 /nfs/dbraw/zinc/52/83/29/1065528329.db2.gz SCVMVMPIPAOECZ-LBPRGKRZSA-N 0 3 305.324 4.167 20 0 DIADHN C[C@H](NC[C@H]1CC2(CO1)CCCCC2)c1ccc(F)cc1F ZINC001307636082 1065531878 /nfs/dbraw/zinc/53/18/78/1065531878.db2.gz CEKXTJUAYZIBRZ-DZGCQCFKSA-N 0 3 309.400 4.355 20 0 DIADHN Fc1ccc(OCCN2CCC[C@H]2c2ccncc2)cc1Cl ZINC001307647362 1065539961 /nfs/dbraw/zinc/53/99/61/1065539961.db2.gz SFJUKPOFBCRTDA-KRWDZBQOSA-N 0 3 320.795 4.090 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCC(=O)Nc2c(C)cccc2C)c1 ZINC001307651254 1065541839 /nfs/dbraw/zinc/54/18/39/1065541839.db2.gz HGJFGXVREQIJDW-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CCOC(=O)[C@H](C)[C@H](C)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC001307656302 1065547058 /nfs/dbraw/zinc/54/70/58/1065547058.db2.gz DBMGVSPDFMGYSW-VWYCJHECSA-N 0 3 318.244 4.232 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCC2)CO1)c1nc2ccccc2s1 ZINC001307659585 1065547478 /nfs/dbraw/zinc/54/74/78/1065547478.db2.gz ZZLRJLSUJQKHMD-KBPBESRZSA-N 0 3 316.470 4.296 20 0 DIADHN CCOC(=O)[C@H](C)[C@@H](C)N[C@H](C)c1cc(Cl)ccc1Cl ZINC001307656299 1065547664 /nfs/dbraw/zinc/54/76/64/1065547664.db2.gz DBMGVSPDFMGYSW-GMTAPVOTSA-N 0 3 318.244 4.232 20 0 DIADHN CCC(C)(C)CCN(Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC001307672959 1065556643 /nfs/dbraw/zinc/55/66/43/1065556643.db2.gz IWKCGGGTSIRCRD-OAHLLOKOSA-N 0 3 317.395 4.238 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCCC3(C)C)co2)c1 ZINC001307684390 1065562044 /nfs/dbraw/zinc/56/20/44/1065562044.db2.gz MVCRXVLGHVHLCS-INIZCTEOSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)COc1cccc(CN2CCOCC3(CCCC3)C2)c1 ZINC001307696756 1065567576 /nfs/dbraw/zinc/56/75/76/1065567576.db2.gz GMNHVSUYHMIHKU-UHFFFAOYSA-N 0 3 317.473 4.114 20 0 DIADHN CC[C@@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@@H]1C ZINC001307703316 1065572302 /nfs/dbraw/zinc/57/23/02/1065572302.db2.gz RLYLWQZXHORJDF-GHMZBOCLSA-N 0 3 312.335 4.467 20 0 DIADHN CC(C)c1ccc(CN2CC[C@H](C)C[C@H]2C(N)=O)c(C(C)C)c1 ZINC001307705748 1065575008 /nfs/dbraw/zinc/57/50/08/1065575008.db2.gz NMZHMUVOALOUCA-KXBFYZLASA-N 0 3 316.489 4.019 20 0 DIADHN c1ccc(C[C@H]2CCCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC001307706837 1065575951 /nfs/dbraw/zinc/57/59/51/1065575951.db2.gz IBZTZHSGIWVLPA-MJGOQNOKSA-N 0 3 324.468 4.445 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2nc(-c3ccccc3F)no2)C1 ZINC001307718704 1065584037 /nfs/dbraw/zinc/58/40/37/1065584037.db2.gz VUEUUQVTJHJTIN-ZDUSSCGKSA-N 0 3 317.408 4.134 20 0 DIADHN F[C@]1(c2ccccc2)CCN(CC2([C@H]3CCCCO3)CCC2)C1 ZINC001307721785 1065585528 /nfs/dbraw/zinc/58/55/28/1065585528.db2.gz QILVXVHAXKBJSB-UYAOXDASSA-N 0 3 317.448 4.297 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@H]1CCC[C@H](C)C1 ZINC001307728271 1065587841 /nfs/dbraw/zinc/58/78/41/1065587841.db2.gz KFNBFCDJQXQFRX-CAMMJAKZSA-N 0 3 315.457 4.191 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2c(F)cccc2F)[C@@H](C)[C@@H]1OC ZINC001307744585 1065600768 /nfs/dbraw/zinc/60/07/68/1065600768.db2.gz LQPAYUHYRVFKOR-SKNMWMDOSA-N 0 3 311.416 4.455 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(C(F)(F)F)co2)[C@@H](C)[C@H]1OC ZINC001307744619 1065601159 /nfs/dbraw/zinc/60/11/59/1065601159.db2.gz LYBCXOHVZCRNFW-LERXQTSPSA-N 0 3 319.367 4.228 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC2(CCC2)CC1 ZINC001307750871 1065604721 /nfs/dbraw/zinc/60/47/21/1065604721.db2.gz XZRWXOGIQBLLPP-GOSISDBHSA-N 0 3 314.473 4.003 20 0 DIADHN CCCCN(Cc1nc2ccccc2[nH]1)[C@@H]1CCCC[C@H]1OC ZINC001307770991 1065613174 /nfs/dbraw/zinc/61/31/74/1065613174.db2.gz VIDDLWWQNKIOTC-QZTJIDSGSA-N 0 3 315.461 4.123 20 0 DIADHN OC[C@]1(F)CN(CCCC2CCCCC2)C[C@H]1c1ccccc1 ZINC001307805593 1065629503 /nfs/dbraw/zinc/62/95/03/1065629503.db2.gz QRNRKRKPNAZRFN-VQTJNVASSA-N 0 3 319.464 4.147 20 0 DIADHN OC1(CN2CCC(c3c(Cl)cccc3Cl)CC2)CCC1 ZINC001307807937 1065632365 /nfs/dbraw/zinc/63/23/65/1065632365.db2.gz GKMGBCFXEVEOOR-UHFFFAOYSA-N 0 3 314.256 4.088 20 0 DIADHN CC(C)N(CC(=O)NCCC(C)(C)C)[C@@H](C)c1ccccc1 ZINC001307811477 1065633316 /nfs/dbraw/zinc/63/33/16/1065633316.db2.gz BTWUCXBDJKKJNY-INIZCTEOSA-N 0 3 304.478 4.010 20 0 DIADHN COC(=O)c1cccc(CN(C2CCC2)C2CCC2)c1Cl ZINC001307811764 1065633493 /nfs/dbraw/zinc/63/34/93/1065633493.db2.gz WXBNOFNORZSDJE-UHFFFAOYSA-N 0 3 307.821 4.034 20 0 DIADHN CC[C@H](OCCN1CC(C)(C)[C@H]1[C@@H]1CCCO1)c1ccccc1 ZINC001307815908 1065636472 /nfs/dbraw/zinc/63/64/72/1065636472.db2.gz XUOZZSVMBDSALJ-GBESFXJTSA-N 0 3 317.473 4.044 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC001307832404 1065644453 /nfs/dbraw/zinc/64/44/53/1065644453.db2.gz NSNHUYHNEWQLKD-ZDMBXUJBSA-N 0 3 316.189 4.006 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cc(Cl)ccc1Cl)C1CC1 ZINC001307844935 1065647923 /nfs/dbraw/zinc/64/79/23/1065647923.db2.gz MMWNLYKCHSHXPC-BMIGLBTASA-N 0 3 302.245 4.287 20 0 DIADHN CO[C@](C)([C@H](C)NCc1c(Cl)oc2ccccc21)C1CC1 ZINC001307844899 1065648037 /nfs/dbraw/zinc/64/80/37/1065648037.db2.gz MEJVDOKFVQXLKD-APPDUMDISA-N 0 3 307.821 4.379 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1c(Cl)oc2ccccc21)C1CC1 ZINC001307844901 1065648383 /nfs/dbraw/zinc/64/83/83/1065648383.db2.gz MEJVDOKFVQXLKD-DIFFPNOSSA-N 0 3 307.821 4.379 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CC[C@@H](C3CCC3)C2)cc1 ZINC001307846301 1065650121 /nfs/dbraw/zinc/65/01/21/1065650121.db2.gz VDPJEHPOZBKVNK-MRXNPFEDSA-N 0 3 314.473 4.045 20 0 DIADHN CC[C@H](N[C@H]1CCCN(c2ccc(C)cc2)C1=O)c1ccccc1 ZINC001307848434 1065650220 /nfs/dbraw/zinc/65/02/20/1065650220.db2.gz HIRWDIAIIZWRNC-PMACEKPBSA-N 0 3 322.452 4.231 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC[C@H](CC(F)(F)F)C2)c1 ZINC001307857860 1065655556 /nfs/dbraw/zinc/65/55/56/1065655556.db2.gz ZZKWZYZQJHXWJW-UGFHNGPFSA-N 0 3 301.327 4.346 20 0 DIADHN C[C@H]1CCCN(CC2CCC3(CC3)CC2)[C@@H]1C(=O)OC(C)(C)C ZINC001307859739 1065656644 /nfs/dbraw/zinc/65/66/44/1065656644.db2.gz LZWFVDKWSZBDEF-RDJZCZTQSA-N 0 3 321.505 4.399 20 0 DIADHN c1cc(-c2ccc(CN3CCOC[C@H]3C3CCC3)cc2)cs1 ZINC001307867931 1065660334 /nfs/dbraw/zinc/66/03/34/1065660334.db2.gz ISPCGMPAQZOXAT-IBGZPJMESA-N 0 3 313.466 4.416 20 0 DIADHN Fc1cccc(C2CCN(C[C@H]3CCC4(CCC4)O3)CC2)c1F ZINC001307873890 1065665513 /nfs/dbraw/zinc/66/55/13/1065665513.db2.gz IVBRFPPRAYGHQL-OAHLLOKOSA-N 0 3 321.411 4.246 20 0 DIADHN CCCOc1cccc(CN2CCC[C@H](OC3CCC3)C2)c1 ZINC001307886082 1065667390 /nfs/dbraw/zinc/66/73/90/1065667390.db2.gz SVWSVTIDELAQHN-IBGZPJMESA-N 0 3 303.446 4.009 20 0 DIADHN CN1CCN(Cc2cc(Cl)cc(Cl)c2)C[C@@H]1C(C)(C)C ZINC001307888353 1065669125 /nfs/dbraw/zinc/66/91/25/1065669125.db2.gz VVURJXDLXONNAC-OAHLLOKOSA-N 0 3 315.288 4.156 20 0 DIADHN C[C@@H]1CN(CCCc2cccc3ccccc32)CC2(CCC2)O1 ZINC001307894852 1065671163 /nfs/dbraw/zinc/67/11/63/1065671163.db2.gz LMQWPEGMARTHTK-QGZVFWFLSA-N 0 3 309.453 4.416 20 0 DIADHN COC(=O)c1cccc(CN2CCCC[C@@H]2C2CCC2)c1Cl ZINC001307903101 1065673471 /nfs/dbraw/zinc/67/34/71/1065673471.db2.gz OPWILUNTPNESMK-MRXNPFEDSA-N 0 3 321.848 4.281 20 0 DIADHN CC(C)(C)OC(=O)CN(CC1CC1)CC1CCC2(CC2)CC1 ZINC001307900340 1065674232 /nfs/dbraw/zinc/67/42/32/1065674232.db2.gz NYTRHGUKANSXDQ-UHFFFAOYSA-N 0 3 307.478 4.011 20 0 DIADHN COc1ccc(C[C@H]2CCCCN2Cc2nc(C)cs2)cc1 ZINC001307905496 1065675729 /nfs/dbraw/zinc/67/57/29/1065675729.db2.gz NNLWTGSGDFMVRP-MRXNPFEDSA-N 0 3 316.470 4.057 20 0 DIADHN c1ccc([C@H]2CN(C[C@@H]3CCCC4(CCC4)O3)CCS2)cc1 ZINC001307904054 1065675898 /nfs/dbraw/zinc/67/58/98/1065675898.db2.gz JRKPDVMFOGRGAL-ZWKOTPCHSA-N 0 3 317.498 4.268 20 0 DIADHN C[Si](C)(C)c1ccc(CN2CCC(c3ccco3)CC2)cc1 ZINC001307915089 1065679981 /nfs/dbraw/zinc/67/99/81/1065679981.db2.gz SQUAXQZJBSMJOL-UHFFFAOYSA-N 0 3 313.517 4.204 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@](O)(c2ccccc2)C1 ZINC001307934451 1065688952 /nfs/dbraw/zinc/68/89/52/1065688952.db2.gz LNPLMSCFFIEUPE-IFXJQAMLSA-N 0 3 317.379 4.009 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N(CCC1CC1)CC1CC1 ZINC001307952559 1065696657 /nfs/dbraw/zinc/69/66/57/1065696657.db2.gz BKYWBMHUTJKTRI-GFCCVEGCSA-N 0 3 317.458 4.371 20 0 DIADHN CC(C)(C)OC(=O)[C@@]1(C)CCCN1CCCCc1ccccc1 ZINC001307956919 1065698965 /nfs/dbraw/zinc/69/89/65/1065698965.db2.gz BSWYRKYWWLNMOO-HXUWFJFHSA-N 0 3 317.473 4.206 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CCC[C@@H](C2CCCCC2)C1 ZINC001307958173 1065699810 /nfs/dbraw/zinc/69/98/10/1065699810.db2.gz MOQWOTHVYCTMTP-SJLPKXTDSA-N 0 3 314.473 4.306 20 0 DIADHN CC1(C)CN(CCc2ccc(Cl)cc2)[C@H]1c1cccnc1 ZINC001307966403 1065703106 /nfs/dbraw/zinc/70/31/06/1065703106.db2.gz CLSCNTQXCWNEEI-KRWDZBQOSA-N 0 3 300.833 4.361 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@@H](OCCC(C)C)C1 ZINC001307967785 1065704665 /nfs/dbraw/zinc/70/46/65/1065704665.db2.gz DHTXEEZEECPOPX-GOSISDBHSA-N 0 3 318.505 4.404 20 0 DIADHN Cc1cccc(C[C@]2(C)CCCN2Cc2ncc(C3CC3)o2)c1 ZINC001307972037 1065706622 /nfs/dbraw/zinc/70/66/22/1065706622.db2.gz IMNXWFLKBVUDAH-FQEVSTJZSA-N 0 3 310.441 4.458 20 0 DIADHN COc1nscc1CN1CCC[C@]1(C)Cc1ccc(C)cc1 ZINC001307972583 1065706645 /nfs/dbraw/zinc/70/66/45/1065706645.db2.gz ONCPIMZERUNCPQ-GOSISDBHSA-N 0 3 316.470 4.057 20 0 DIADHN Cc1cccc(C[C@]2(C)CCCN2Cc2ccn(C(C)C)n2)c1 ZINC001307972272 1065708111 /nfs/dbraw/zinc/70/81/11/1065708111.db2.gz KZUCDUNSVQEESY-FQEVSTJZSA-N 0 3 311.473 4.370 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CN(C[C@@H]1CC[C@H]3C[C@H]3C1)CC2 ZINC001307997358 1065717855 /nfs/dbraw/zinc/71/78/55/1065717855.db2.gz QZFYRVNRRDTZJV-VHDGCEQUSA-N 0 3 309.375 4.500 20 0 DIADHN Cc1ccc2sc(CNC(C)(C)[C@H]3CCCCO3)nc2c1 ZINC001308016914 1065725238 /nfs/dbraw/zinc/72/52/38/1065725238.db2.gz REEVMAFPCKOUQB-OAHLLOKOSA-N 0 3 304.459 4.042 20 0 DIADHN Cc1cnc(CN2CC[C@@H](c3cccc(Cl)c3)C2(C)C)cn1 ZINC001308053139 1065740201 /nfs/dbraw/zinc/74/02/01/1065740201.db2.gz PBZAJQMSVWFOPC-KRWDZBQOSA-N 0 3 315.848 4.207 20 0 DIADHN CCCC1(CCC)CCCN1CC(=O)Nc1cc(F)ccc1F ZINC001308050708 1065740847 /nfs/dbraw/zinc/74/08/47/1065740847.db2.gz WRXTZBNURMGZBK-UHFFFAOYSA-N 0 3 324.415 4.338 20 0 DIADHN C[C@@H](CN(C)Cc1ccc(Oc2ccccc2)nn1)C(C)(C)C ZINC001308061310 1065744827 /nfs/dbraw/zinc/74/48/27/1065744827.db2.gz FNBZQLWXQMJDDU-HNNXBMFYSA-N 0 3 313.445 4.383 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3c(F)cccc3F)C2)c1C ZINC001308064319 1065747643 /nfs/dbraw/zinc/74/76/43/1065747643.db2.gz CPIHVBNHZJYKQC-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN Fc1cccc(F)c1CCCN1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC001308073569 1065752988 /nfs/dbraw/zinc/75/29/88/1065752988.db2.gz JSDSOCQPMYESGY-UZLBHIALSA-N 0 3 313.391 4.171 20 0 DIADHN COCCN(Cc1csc(C)c1)Cc1ccc(C)c(C)c1 ZINC001308083435 1065753853 /nfs/dbraw/zinc/75/38/53/1065753853.db2.gz TYKCPMRWQIHYPC-UHFFFAOYSA-N 0 3 303.471 4.322 20 0 DIADHN CC[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1cc(F)ccc1OC ZINC001308090118 1065757709 /nfs/dbraw/zinc/75/77/09/1065757709.db2.gz LMHGLIMDMVBJSS-FHLIZLRMSA-N 0 3 323.452 4.470 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCO[C@H](C)CC1 ZINC001308093312 1065759741 /nfs/dbraw/zinc/75/97/41/1065759741.db2.gz RSSDNIAZIGPJEG-MRXNPFEDSA-N 0 3 313.441 4.238 20 0 DIADHN COC(=O)C[C@H](NCc1c(Cl)oc2ccccc21)C(C)(C)C ZINC001308106303 1065765224 /nfs/dbraw/zinc/76/52/24/1065765224.db2.gz PIYOBQJGRVZFMS-AWEZNQCLSA-N 0 3 323.820 4.154 20 0 DIADHN C[C@@]1(Cc2ccc(Cl)s2)CCCN1CCOCC(F)F ZINC001308126797 1065772675 /nfs/dbraw/zinc/77/26/75/1065772675.db2.gz ZFUSDGPSCCQPJZ-AWEZNQCLSA-N 0 3 323.836 4.080 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2ccc(Cl)cc2)c2ccccc21 ZINC001308158465 1065784785 /nfs/dbraw/zinc/78/47/85/1065784785.db2.gz QTDGNOPSDRKHJM-AUUYWEPGSA-N 0 3 315.844 4.215 20 0 DIADHN CCSc1ccccc1CN1CCO[C@@H](C(C)(C)C)CC1 ZINC001308172390 1065786770 /nfs/dbraw/zinc/78/67/70/1065786770.db2.gz VLJZFNSCOOEYJP-QGZVFWFLSA-N 0 3 307.503 4.436 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCO[C@@H](c2ccccc2)CC1 ZINC001308160475 1065787318 /nfs/dbraw/zinc/78/73/18/1065787318.db2.gz LSQJOHDLEQFYOW-HUUCEWRRSA-N 0 3 314.256 4.034 20 0 DIADHN CCc1ccc2c(c1)CN(Cc1ccc3ncccc3c1)CC2 ZINC001308174846 1065790593 /nfs/dbraw/zinc/79/05/93/1065790593.db2.gz PDRBQZUJZJXWBT-UHFFFAOYSA-N 0 3 302.421 4.356 20 0 DIADHN Fc1ccc2oc(CNCCCn3ccc4ccccc43)nc2c1 ZINC001308180041 1065791001 /nfs/dbraw/zinc/79/10/01/1065791001.db2.gz GMRGJUZYTIMCOY-UHFFFAOYSA-N 0 3 323.371 4.102 20 0 DIADHN CCCCCCNCc1nc(-c2cccc(OC)c2)cs1 ZINC001308178994 1065792173 /nfs/dbraw/zinc/79/21/73/1065792173.db2.gz IHSYVKBRHWRWQX-UHFFFAOYSA-N 0 3 304.459 4.489 20 0 DIADHN COc1ccc(CNCc2ccc(CC(C)C)cc2)cc1F ZINC001308188216 1065798586 /nfs/dbraw/zinc/79/85/86/1065798586.db2.gz BNOCPISKXVIZKN-UHFFFAOYSA-N 0 3 301.405 4.323 20 0 DIADHN Cc1cc(CNCc2ccc(Cl)s2)c2c(n1)CCCC2 ZINC001308187877 1065799128 /nfs/dbraw/zinc/79/91/28/1065799128.db2.gz HNSYQKFKNNKUCQ-UHFFFAOYSA-N 0 3 306.862 4.274 20 0 DIADHN CCCn1cncc1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC001308190307 1065801798 /nfs/dbraw/zinc/80/17/98/1065801798.db2.gz MYLRZTFMVCWSQI-NRFANRHFSA-N 0 3 319.452 4.367 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CNCc1ccc(C)cc1C ZINC001308208485 1065817927 /nfs/dbraw/zinc/81/79/27/1065817927.db2.gz GORWFMNENIMTQE-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN CCc1ccc(CNCc2ccc(OC3CCCC3)nc2)s1 ZINC001308209582 1065818626 /nfs/dbraw/zinc/81/86/26/1065818626.db2.gz QYUBHHWNEPTYTC-UHFFFAOYSA-N 0 3 316.470 4.317 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)COc1c(C)cccc1C ZINC001308222608 1065829087 /nfs/dbraw/zinc/82/90/87/1065829087.db2.gz WUQPRYBKGYAOPW-KRWDZBQOSA-N 0 3 313.441 4.178 20 0 DIADHN CCCCC1CCC(CNCc2cn(C(C)(C)C)nn2)CC1 ZINC001308225114 1065830569 /nfs/dbraw/zinc/83/05/69/1065830569.db2.gz UGDFXHUEWFLPLY-UHFFFAOYSA-N 0 3 306.498 4.119 20 0 DIADHN c1ccc([C@H](NCc2ccc3c(c2)CCC3)[C@H]2CCCO2)cc1 ZINC001308228493 1065834261 /nfs/dbraw/zinc/83/42/61/1065834261.db2.gz SBGYJJSOFNKBEB-RTWAWAEBSA-N 0 3 307.437 4.185 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)cc1C ZINC001308229245 1065837025 /nfs/dbraw/zinc/83/70/25/1065837025.db2.gz XNWZUKACIPWGSB-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN c1nc2cc(CNCc3cccc4cccnc43)ccc2s1 ZINC001308232992 1065838414 /nfs/dbraw/zinc/83/84/14/1065838414.db2.gz QKXPWHRPHJYRCU-UHFFFAOYSA-N 0 3 305.406 4.134 20 0 DIADHN COc1ccc(CNCc2ccccc2COC(C)C)cc1F ZINC001308230943 1065838520 /nfs/dbraw/zinc/83/85/20/1065838520.db2.gz BCAITYKHYADNTH-UHFFFAOYSA-N 0 3 317.404 4.049 20 0 DIADHN COc1cccc2cc(CNCc3cccc4ncccc34)oc21 ZINC001308241216 1065847207 /nfs/dbraw/zinc/84/72/07/1065847207.db2.gz UFRHOIUAPKRTAQ-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN Clc1ccc(C2(CNCc3cn(C4CC4)cn3)CCC2)cc1 ZINC001308240138 1065847662 /nfs/dbraw/zinc/84/76/62/1065847662.db2.gz NLYXMVGOQQXJHU-UHFFFAOYSA-N 0 3 315.848 4.083 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cnc2c(F)cccc2c1 ZINC001308242940 1065849928 /nfs/dbraw/zinc/84/99/28/1065849928.db2.gz GBJQUNSHIWSEKN-IBGZPJMESA-N 0 3 306.384 4.459 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cnc2c(F)cccc2c1 ZINC001308242941 1065850265 /nfs/dbraw/zinc/85/02/65/1065850265.db2.gz GBJQUNSHIWSEKN-LJQANCHMSA-N 0 3 306.384 4.459 20 0 DIADHN COCc1cccc(CN[C@@H]2CCc3c2cccc3Cl)c1 ZINC001308243684 1065850436 /nfs/dbraw/zinc/85/04/36/1065850436.db2.gz QULSHADUKNVYOI-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccc2scnc2c1 ZINC001308247409 1065852100 /nfs/dbraw/zinc/85/21/00/1065852100.db2.gz XUVQVVVQYKNKBV-UHFFFAOYSA-N 0 3 312.438 4.330 20 0 DIADHN CCn1ccnc1CNCC1(Sc2ccccc2)CCCC1 ZINC001308247408 1065852135 /nfs/dbraw/zinc/85/21/35/1065852135.db2.gz UQEWZJFKOAOLOV-UHFFFAOYSA-N 0 3 315.486 4.098 20 0 DIADHN Nc1c(Cl)cccc1CNCc1cc2c(s1)CCCC2 ZINC001308251906 1065853960 /nfs/dbraw/zinc/85/39/60/1065853960.db2.gz BRFIULUTYWLTNT-UHFFFAOYSA-N 0 3 306.862 4.152 20 0 DIADHN Cc1ncc(CNCc2cc3ccnc(Cl)c3s2)s1 ZINC001308253980 1065856080 /nfs/dbraw/zinc/85/60/80/1065856080.db2.gz NTYYYXHAAMZQPE-UHFFFAOYSA-N 0 3 309.847 4.004 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)CC(=O)OC(C)(C)C ZINC001308252430 1065857102 /nfs/dbraw/zinc/85/71/02/1065857102.db2.gz YMFQMHFIJAACRY-GFCCVEGCSA-N 0 3 309.450 4.095 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2CCCO[C@@H]2C(C)(C)C)c(F)c1 ZINC001308269889 1065869712 /nfs/dbraw/zinc/86/97/12/1065869712.db2.gz URIXTMJRZRHSIG-GUYCJALGSA-N 0 3 311.416 4.204 20 0 DIADHN CCCC(O)(CCC)CNCc1c(Cl)cccc1Cl ZINC001308277822 1065877500 /nfs/dbraw/zinc/87/75/00/1065877500.db2.gz SUESHSUOOQHEJU-UHFFFAOYSA-N 0 3 304.261 4.414 20 0 DIADHN CCc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)s1 ZINC001308280427 1065878094 /nfs/dbraw/zinc/87/80/94/1065878094.db2.gz JNWAFMLJTKRFAI-GOSISDBHSA-N 0 3 311.454 4.038 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@@H](C)Cc1c(C)noc1C ZINC001308278479 1065878165 /nfs/dbraw/zinc/87/81/65/1065878165.db2.gz BKEGGHKOWXXBES-NSHDSACASA-N 0 3 322.836 4.064 20 0 DIADHN Fc1cccc(C2(CNCc3c(F)cccc3Cl)CC2)c1 ZINC001308278810 1065878831 /nfs/dbraw/zinc/87/88/31/1065878831.db2.gz IFSICACIJAIGQP-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN Cc1cc(CNCc2ccccc2N(C)C)ccc1C(F)(F)F ZINC001308281246 1065881123 /nfs/dbraw/zinc/88/11/23/1065881123.db2.gz MZVKFMHFCOJNEH-UHFFFAOYSA-N 0 3 322.374 4.370 20 0 DIADHN COc1ccc(F)cc1CNC[C@@H](C)Oc1ccc(Cl)cc1 ZINC001308285112 1065883155 /nfs/dbraw/zinc/88/31/55/1065883155.db2.gz OUTAQVRNQMSVJQ-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN CSc1ccc(F)cc1CNCc1cccc2cccnc21 ZINC001308286459 1065884765 /nfs/dbraw/zinc/88/47/65/1065884765.db2.gz NRZWQOPVYGYFNM-UHFFFAOYSA-N 0 3 312.413 4.386 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1Cl)[C@@H](O)c1ccccc1F ZINC001308291494 1065888747 /nfs/dbraw/zinc/88/87/47/1065888747.db2.gz UBCOGMBRQQHFMR-ROUUACIJSA-N 0 3 321.823 4.389 20 0 DIADHN CN(C)c1nc(CNC2CCC(C3CCCCC3)CC2)cs1 ZINC001308295288 1065890688 /nfs/dbraw/zinc/89/06/88/1065890688.db2.gz OVDNYUOAFITEOE-UHFFFAOYSA-N 0 3 321.534 4.438 20 0 DIADHN COc1cc(Cl)c(CN[C@@H]2C[C@@H]2C2CCCCC2)cc1OC ZINC001308294404 1065890880 /nfs/dbraw/zinc/89/08/80/1065890880.db2.gz CTMOXLRDDQZZGM-GDBMZVCRSA-N 0 3 323.864 4.416 20 0 DIADHN Clc1cccc(N2CC[C@H](NCc3ccccc3Cl)C2)c1 ZINC001308296653 1065892962 /nfs/dbraw/zinc/89/29/62/1065892962.db2.gz IHSMVAULTZTSMG-HNNXBMFYSA-N 0 3 321.251 4.362 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)NCc1ccc(=O)[nH]c1 ZINC001308302044 1065895952 /nfs/dbraw/zinc/89/59/52/1065895952.db2.gz QZTFFJUUHSKXEO-KRWDZBQOSA-N 0 3 314.429 4.063 20 0 DIADHN C[C@]1(CNCc2ccc(Oc3ccccc3)o2)CCCC[C@H]1O ZINC001308301801 1065896602 /nfs/dbraw/zinc/89/66/02/1065896602.db2.gz IQXQNIWCMPAIII-IEBWSBKVSA-N 0 3 315.413 4.103 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1NCc1ccccc1 ZINC001308311644 1065905091 /nfs/dbraw/zinc/90/50/91/1065905091.db2.gz WQLCCSUZDXWBEQ-UHFFFAOYSA-N 0 3 312.482 4.156 20 0 DIADHN CC1(C)C[C@H](NCc2cnn(-c3ccccc3)c2)c2ccccc21 ZINC001308319517 1065911826 /nfs/dbraw/zinc/91/18/26/1065911826.db2.gz XXVYBONSPUCDFG-FQEVSTJZSA-N 0 3 317.436 4.385 20 0 DIADHN CCOc1cccc(CCNCc2nc(C(C)(C)C)cs2)c1 ZINC001308337336 1065923818 /nfs/dbraw/zinc/92/38/18/1065923818.db2.gz OFJLBYOZYKWYRA-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CC(C)(C)c1ccc(C2(CNCc3ncc[nH]3)CCCC2)cc1 ZINC001308342197 1065926009 /nfs/dbraw/zinc/92/60/09/1065926009.db2.gz LZERAPBNTZTZHP-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN Cc1ccc([C@@H](Cn2ccnc2)NCc2cccs2)c(C)c1 ZINC001308344008 1065927949 /nfs/dbraw/zinc/92/79/49/1065927949.db2.gz ZOVRNXYHGCOXTK-GOSISDBHSA-N 0 3 311.454 4.093 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CC[C@@H]2C)c(OC)c1 ZINC001308348300 1065930992 /nfs/dbraw/zinc/93/09/92/1065930992.db2.gz APSSWVUZESGUNY-VBKZILBWSA-N 0 3 311.425 4.117 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)NCc1cn(C2CC2)cn1 ZINC001308354503 1065934392 /nfs/dbraw/zinc/93/43/92/1065934392.db2.gz JQDNZNPIXWBZQY-LLVKDONJSA-N 0 3 324.255 4.246 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CNCc1cc(Cl)sc1Cl ZINC001308359174 1065938119 /nfs/dbraw/zinc/93/81/19/1065938119.db2.gz VBDLNAVINRUONI-LLVKDONJSA-N 0 3 310.290 4.188 20 0 DIADHN C[C@H](NCc1cccc2c1OCC2)c1ccc2c(c1)CCCC2 ZINC001308365222 1065942490 /nfs/dbraw/zinc/94/24/90/1065942490.db2.gz BZQQYOXEYUSPOD-HNNXBMFYSA-N 0 3 307.437 4.351 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1cc3ccncc3s1)CCO2 ZINC001308367539 1065945872 /nfs/dbraw/zinc/94/58/72/1065945872.db2.gz ZKAJARIWOJMZBP-QGZVFWFLSA-N 0 3 324.449 4.472 20 0 DIADHN CC(C)c1cccc(CNCc2cc(F)ccc2N(C)C)c1 ZINC001308376796 1065949372 /nfs/dbraw/zinc/94/93/72/1065949372.db2.gz RZUHKNOXOQXENI-UHFFFAOYSA-N 0 3 300.421 4.305 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1N)c1ccc2ncsc2c1 ZINC001308377646 1065951143 /nfs/dbraw/zinc/95/11/43/1065951143.db2.gz JPTVWTZRJILQGD-SNVBAGLBSA-N 0 3 317.845 4.383 20 0 DIADHN CCO[C@H](CNCc1ccc(F)cc1Cl)c1ccccc1 ZINC001308388156 1065957004 /nfs/dbraw/zinc/95/70/04/1065957004.db2.gz JWMZLKRQOQBPRC-QGZVFWFLSA-N 0 3 307.796 4.347 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CN[C@H]1CC[C@H]1C ZINC001308398393 1065963218 /nfs/dbraw/zinc/96/32/18/1065963218.db2.gz ZMMSFOLVXVMHEV-WBMJQRKESA-N 0 3 317.864 4.339 20 0 DIADHN CSCc1ccc(CNCc2ccc3scnc3c2)cc1 ZINC001308398381 1065963442 /nfs/dbraw/zinc/96/34/42/1065963442.db2.gz GBIRXWVZRBMRMA-UHFFFAOYSA-N 0 3 314.479 4.449 20 0 DIADHN Cc1cc(CNC[C@H](C)Oc2ccc(C(C)C)cc2)sn1 ZINC001308401469 1065963973 /nfs/dbraw/zinc/96/39/73/1065963973.db2.gz LWGNBNQWGHVQRL-AWEZNQCLSA-N 0 3 304.459 4.132 20 0 DIADHN C[C@@H]1C[C@@H](NC/C=C\c2ccc(F)cc2F)c2ccccc2O1 ZINC001308412271 1065971374 /nfs/dbraw/zinc/97/13/74/1065971374.db2.gz WEKNZDBAZSLFTR-KCLICXKESA-N 0 3 315.363 4.480 20 0 DIADHN Cc1cc(CCNCc2nc3c(s2)CCCC3)ccc1Cl ZINC001308417997 1065974379 /nfs/dbraw/zinc/97/43/79/1065974379.db2.gz UJGFNNKIDKOWJT-UHFFFAOYSA-N 0 3 320.889 4.316 20 0 DIADHN CN(C)C[C@H](NCc1cccc(C2CC2)c1)c1ccc(F)cc1 ZINC001308422230 1065978234 /nfs/dbraw/zinc/97/82/34/1065978234.db2.gz AQDMEBAGIRBSRG-FQEVSTJZSA-N 0 3 312.432 4.096 20 0 DIADHN CN(C)C[C@@H](NCc1cccc(C2CC2)c1)c1ccc(F)cc1 ZINC001308422231 1065978413 /nfs/dbraw/zinc/97/84/13/1065978413.db2.gz AQDMEBAGIRBSRG-HXUWFJFHSA-N 0 3 312.432 4.096 20 0 DIADHN CCCc1ccc(CN[C@@H](CN(C)C)c2ccc(F)cc2)s1 ZINC001308423564 1065978559 /nfs/dbraw/zinc/97/85/59/1065978559.db2.gz SKGXGERRWISEMY-SFHVURJKSA-N 0 3 320.477 4.232 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2ccc(Cl)c(C)c2Cl)C12CCC2 ZINC001308430208 1065983025 /nfs/dbraw/zinc/98/30/25/1065983025.db2.gz CAMBREHDSCUVCH-ZIAGYGMSSA-N 0 3 314.256 4.349 20 0 DIADHN O[C@H]1[C@H](NCc2ccc(-c3cccs3)cc2)CCCC1(F)F ZINC001308434434 1065985035 /nfs/dbraw/zinc/98/50/35/1065985035.db2.gz NFAIOXLETLUYRJ-ZBFHGGJFSA-N 0 3 323.408 4.053 20 0 DIADHN FC(F)(F)c1cc(CNC[C@@H]2CCC3(CCCC3)O2)cs1 ZINC001308451670 1065996856 /nfs/dbraw/zinc/99/68/56/1065996856.db2.gz PYDVIYJRJMLGKP-LBPRGKRZSA-N 0 3 319.392 4.348 20 0 DIADHN Fc1cccc2cc(CNCc3ccc4occc4c3)cnc12 ZINC001308456274 1065999850 /nfs/dbraw/zinc/99/98/50/1065999850.db2.gz WBFYTLINPPPUFH-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN Cc1cccc(CNC[C@H](O)c2cccc3ccccc32)c1F ZINC001308461073 1066003465 /nfs/dbraw/zinc/00/34/65/1066003465.db2.gz QIPJYRMEPIXWKG-IBGZPJMESA-N 0 3 309.384 4.111 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN[C@@H]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001308462900 1066004521 /nfs/dbraw/zinc/00/45/21/1066004521.db2.gz XDXFOLFXOSQHEX-GRYCIOLGSA-N 0 3 324.396 4.235 20 0 DIADHN CSc1ccc(CN[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)o1 ZINC001308464788 1066006174 /nfs/dbraw/zinc/00/61/74/1066006174.db2.gz BSKXMTNUALVIQC-KGLIPLIRSA-N 0 3 309.475 4.217 20 0 DIADHN C[C@H]1CCC[C@@H](CNCc2ccc(-c3ccc(F)cc3)o2)O1 ZINC001308468062 1066007422 /nfs/dbraw/zinc/00/74/22/1066007422.db2.gz IXWDUZQBELGBPP-BBRMVZONSA-N 0 3 303.377 4.133 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2ccc(-c3ccc(F)cc3)o2)O1 ZINC001308468063 1066007534 /nfs/dbraw/zinc/00/75/34/1066007534.db2.gz IXWDUZQBELGBPP-CJNGLKHVSA-N 0 3 303.377 4.133 20 0 DIADHN CCCCOc1ccccc1CNC[C@H](OC)C1CCCC1 ZINC001308474413 1066014062 /nfs/dbraw/zinc/01/40/62/1066014062.db2.gz AEQZQWMIJDHVAW-IBGZPJMESA-N 0 3 305.462 4.160 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@@H]3CCCS3)cs2)cc1 ZINC001308480177 1066018822 /nfs/dbraw/zinc/01/88/22/1066018822.db2.gz SUWSWZIDEQRWPS-HNNXBMFYSA-N 0 3 322.474 4.325 20 0 DIADHN COc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1OCC(C)C ZINC001308484475 1066021942 /nfs/dbraw/zinc/02/19/42/1066021942.db2.gz SEVFFRILZHFHBX-JKSUJKDBSA-N 0 3 309.425 4.100 20 0 DIADHN CC[C@@](C)(NCc1cc(F)cc(OC)c1)c1ccc(F)cc1 ZINC001308489355 1066023547 /nfs/dbraw/zinc/02/35/47/1066023547.db2.gz ZYKTUZHRONXWSZ-GOSISDBHSA-N 0 3 305.368 4.388 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2NCc1cccc2nsnc21 ZINC001308487412 1066023604 /nfs/dbraw/zinc/02/36/04/1066023604.db2.gz HTIQJXRGAHDZDG-AWEZNQCLSA-N 0 3 315.829 4.122 20 0 DIADHN CC(C)(C)c1ncc(CNC2([C@H]3CCCCO3)CCC2)s1 ZINC001308499835 1066031092 /nfs/dbraw/zinc/03/10/92/1066031092.db2.gz MTECJCBLCZPMJT-CQSZACIVSA-N 0 3 308.491 4.022 20 0 DIADHN CCSc1ccc(CNCc2ccc(OC)cc2C)cc1 ZINC001308503112 1066031994 /nfs/dbraw/zinc/03/19/94/1066031994.db2.gz FXGLCLRTCGTFIH-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN O[C@H]1CCCC[C@H]1CCNCc1cc(Cl)sc1Cl ZINC001308535829 1066045238 /nfs/dbraw/zinc/04/52/38/1066045238.db2.gz KSOGCAOUFNWHMU-ONGXEEELSA-N 0 3 308.274 4.086 20 0 DIADHN C[C@@H](CNCc1ncc(Br)cc1Cl)C(C)(C)C ZINC001308522965 1066045348 /nfs/dbraw/zinc/04/53/48/1066045348.db2.gz FRRPFQBLSCCYRL-VIFPVBQESA-N 0 3 319.674 4.269 20 0 DIADHN COc1ccc(CNCc2ccc(C)c(Cl)c2)c(C)c1OC ZINC001308530911 1066050154 /nfs/dbraw/zinc/05/01/54/1066050154.db2.gz VRGWJPBLHZDMHC-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN CC(C)(CNCc1ncc(Br)cc1Cl)C1CC1 ZINC001308536813 1066054320 /nfs/dbraw/zinc/05/43/20/1066054320.db2.gz MHUFHBYPETXNLT-UHFFFAOYSA-N 0 3 317.658 4.023 20 0 DIADHN CSCc1cnc(CN[C@H]2CCCc3ccccc3C2)s1 ZINC001308538121 1066055256 /nfs/dbraw/zinc/05/52/56/1066055256.db2.gz NWOPWPZFCQZPED-HNNXBMFYSA-N 0 3 318.511 4.043 20 0 DIADHN Cc1cc(C)c(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)cc1C ZINC001308552921 1066065765 /nfs/dbraw/zinc/06/57/65/1066065765.db2.gz ZGCMUDVICFGPCC-OYHNWAKOSA-N 0 3 323.480 4.487 20 0 DIADHN CC(C)[C@H]1c2ccccc2CCN1Cc1cnc2ccccc2n1 ZINC001308567173 1066074169 /nfs/dbraw/zinc/07/41/69/1066074169.db2.gz CSZJTPROGGNVOM-NRFANRHFSA-N 0 3 317.436 4.385 20 0 DIADHN CC(C)[C@@H]1c2ccccc2CCN1Cc1cnc2ccccc2n1 ZINC001308567174 1066076052 /nfs/dbraw/zinc/07/60/52/1066076052.db2.gz CSZJTPROGGNVOM-OAQYLSRUSA-N 0 3 317.436 4.385 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1N(C)Cc1ccc(CC(C)C)nc1 ZINC001308571376 1066080785 /nfs/dbraw/zinc/08/07/85/1066080785.db2.gz RMBRVHLOEDIQNQ-RBUKOAKNSA-N 0 3 318.505 4.306 20 0 DIADHN Cc1ccc(Br)c(F)c1CN(C)CCC1CC1 ZINC001308572993 1066084588 /nfs/dbraw/zinc/08/45/88/1066084588.db2.gz CJWYMUMNFHMYRG-UHFFFAOYSA-N 0 3 300.215 4.129 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC[C@]4(CC=CCC4)C3)n12 ZINC001308573978 1066087691 /nfs/dbraw/zinc/08/76/91/1066087691.db2.gz UOBKXTJKCPWGPV-GOSISDBHSA-N 0 3 315.486 4.335 20 0 DIADHN CNc1ccccc1CN1CCS[C@H](C)[C@@H]1c1ccccc1 ZINC001308573509 1066088316 /nfs/dbraw/zinc/08/83/16/1066088316.db2.gz KQABCRMUVYEGBP-DNVCBOLYSA-N 0 3 312.482 4.407 20 0 DIADHN CN(Cc1ccc(C(F)F)c(F)c1)[C@H](CCO)c1ccccc1 ZINC001308574859 1066091052 /nfs/dbraw/zinc/09/10/52/1066091052.db2.gz FCMMRCYUBIOYMP-QGZVFWFLSA-N 0 3 323.358 4.319 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001308576965 1066091156 /nfs/dbraw/zinc/09/11/56/1066091156.db2.gz HBAPZQKUVSOQQH-SMDDNHRTSA-N 0 3 321.408 4.402 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001308578171 1066093627 /nfs/dbraw/zinc/09/36/27/1066093627.db2.gz ZBXDWYDBRQVHDI-SFHVURJKSA-N 0 3 301.409 4.024 20 0 DIADHN Cc1cc(CN2CCCN(c3ccccc3)CC2)ccc1Cl ZINC001308579783 1066097611 /nfs/dbraw/zinc/09/76/11/1066097611.db2.gz DREZPSAYQIAYQH-UHFFFAOYSA-N 0 3 314.860 4.361 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)CC(C(C)C)C(C)C)c(C)c1 ZINC001308579987 1066097681 /nfs/dbraw/zinc/09/76/81/1066097681.db2.gz HXNIFXSPGZDNIS-UHFFFAOYSA-N 0 3 318.505 4.410 20 0 DIADHN Cc1sc(CN2CCC[C@H]2C2CC2)cc1Br ZINC001308582194 1066098237 /nfs/dbraw/zinc/09/82/37/1066098237.db2.gz BUAXPCZCAPIQMK-ZDUSSCGKSA-N 0 3 300.265 4.193 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2ccnn2C2CCC2)CC1 ZINC001308584808 1066099655 /nfs/dbraw/zinc/09/96/55/1066099655.db2.gz BMZXEAXEHBIYCF-UHFFFAOYSA-N 0 3 309.457 4.162 20 0 DIADHN CO[C@H](CN(Cc1ccccc1)Cc1ccc(F)cc1)C1CC1 ZINC001308586359 1066100686 /nfs/dbraw/zinc/10/06/86/1066100686.db2.gz IFTJTHURKSDWPC-HXUWFJFHSA-N 0 3 313.416 4.253 20 0 DIADHN CC(C)CCCCN1CCN([C@@H](C)c2ccc(F)cc2F)CC1 ZINC001308585854 1066100912 /nfs/dbraw/zinc/10/09/12/1066100912.db2.gz VLRWZUSHEADOLP-INIZCTEOSA-N 0 3 324.459 4.470 20 0 DIADHN Cc1cc(Br)c(CN(C)C(C2CC2)C2CC2)s1 ZINC001308585196 1066101023 /nfs/dbraw/zinc/10/10/23/1066101023.db2.gz DOBGTJCCCKGZPC-UHFFFAOYSA-N 0 3 314.292 4.439 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)cn1 ZINC001308588551 1066101458 /nfs/dbraw/zinc/10/14/58/1066101458.db2.gz RSBPTOYGWMLNRC-UZLBHIALSA-N 0 3 309.457 4.321 20 0 DIADHN CC[C@@H](C)[C@H](CN(C)Cc1cc(Br)cs1)OC ZINC001308591187 1066102616 /nfs/dbraw/zinc/10/26/16/1066102616.db2.gz NTQGJNIEDYVZED-MFKMUULPSA-N 0 3 320.296 4.004 20 0 DIADHN CC[C@H](C)[C@@H](CN(C)Cc1cc(Br)cs1)OC ZINC001308591184 1066103170 /nfs/dbraw/zinc/10/31/70/1066103170.db2.gz NTQGJNIEDYVZED-GXFFZTMASA-N 0 3 320.296 4.004 20 0 DIADHN COc1ccc(CN(C)C[C@@H](C)c2ccccc2)c(F)c1OC ZINC001308595562 1066107680 /nfs/dbraw/zinc/10/76/80/1066107680.db2.gz BYAYUOYBOHETDS-CQSZACIVSA-N 0 3 317.404 4.078 20 0 DIADHN CCc1ccccc1CN1CCN(c2cccc(Cl)c2)CC1 ZINC001308600019 1066110735 /nfs/dbraw/zinc/11/07/35/1066110735.db2.gz GJXZOTZGFWBZDA-UHFFFAOYSA-N 0 3 314.860 4.225 20 0 DIADHN C[C@@H]1c2cc(F)cc(F)c2CCN1CC1Cc2ccccc2C1 ZINC001308602936 1066111737 /nfs/dbraw/zinc/11/17/37/1066111737.db2.gz HQACFAUNSXZMAV-CYBMUJFWSA-N 0 3 313.391 4.299 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@@H](OCC(C)C)C1 ZINC001308603915 1066112214 /nfs/dbraw/zinc/11/22/14/1066112214.db2.gz PXATYYJLPLRDND-GOSISDBHSA-N 0 3 305.462 4.112 20 0 DIADHN Cc1csc(N2CCN(C[C@H](C)CC3CCCCC3)CC2)n1 ZINC001308604798 1066113145 /nfs/dbraw/zinc/11/31/45/1066113145.db2.gz AZOUMBPWHOEKIE-OAHLLOKOSA-N 0 3 321.534 4.180 20 0 DIADHN Cc1cc(CN2C[C@@H](C)[C@@H]2c2ccccc2)c2c(n1)CCCC2 ZINC001308609899 1066116818 /nfs/dbraw/zinc/11/68/18/1066116818.db2.gz VEPCNQLIXMKSJE-QVKFZJNVSA-N 0 3 306.453 4.462 20 0 DIADHN COc1cc(CN(C)[C@H](C)C(C)C)c(F)cc1Br ZINC001308614550 1066118942 /nfs/dbraw/zinc/11/89/42/1066118942.db2.gz WYCXXMACHKBAHN-SNVBAGLBSA-N 0 3 318.230 4.073 20 0 DIADHN Cn1cc(CN(CCc2ccccc2)C2CCCC2)c(Cl)n1 ZINC001308616259 1066120634 /nfs/dbraw/zinc/12/06/34/1066120634.db2.gz ZDTHVDHWAHXQFB-UHFFFAOYSA-N 0 3 317.864 4.061 20 0 DIADHN C[C@@]1(CN(Cc2ccco2)Cc2ccc(O)cc2)CCCS1 ZINC001308619955 1066122158 /nfs/dbraw/zinc/12/21/58/1066122158.db2.gz RVKIFMNPIMTZDS-SFHVURJKSA-N 0 3 317.454 4.273 20 0 DIADHN CCCN(Cc1ccnn1CC1CC1)[C@H]1CCc2ccccc21 ZINC001308623307 1066123126 /nfs/dbraw/zinc/12/31/26/1066123126.db2.gz LANDFUZOVUPPFR-FQEVSTJZSA-N 0 3 309.457 4.193 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN(C)Cc1nc2c(s1)CCCC2 ZINC001308629202 1066126801 /nfs/dbraw/zinc/12/68/01/1066126801.db2.gz MMTTZLQIAVYRTD-GJZGRUSLSA-N 0 3 304.503 4.446 20 0 DIADHN CC(C)N(C)c1ccc(CN2CC[C@@H](C)[C@H]3CCCC[C@H]32)cn1 ZINC001308630731 1066126920 /nfs/dbraw/zinc/12/69/20/1066126920.db2.gz LZBYDGAFQRQSRX-BHIYHBOVSA-N 0 3 315.505 4.327 20 0 DIADHN COc1ccc(F)cc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001308633672 1066128856 /nfs/dbraw/zinc/12/88/56/1066128856.db2.gz MKPXNORMCZAUAX-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN Cc1ccc([C@H](C)CN2CCN(c3ccccc3F)CC2)cc1 ZINC001308647772 1066134961 /nfs/dbraw/zinc/13/49/61/1066134961.db2.gz UWDMSFXPYLLOPW-QGZVFWFLSA-N 0 3 312.432 4.060 20 0 DIADHN FC(F)c1ccc(CN2CCC(Cc3ccccc3)CC2)cn1 ZINC001308647063 1066135389 /nfs/dbraw/zinc/13/53/89/1066135389.db2.gz DETYXQQSYPCCCE-UHFFFAOYSA-N 0 3 316.395 4.474 20 0 DIADHN CC(C)c1cccc(CN2CCN(CCc3ccccc3)CC2)c1 ZINC001308656197 1066139054 /nfs/dbraw/zinc/13/90/54/1066139054.db2.gz YCOAHCJCBPHVHM-UHFFFAOYSA-N 0 3 322.496 4.170 20 0 DIADHN Cc1cc(CN(C)CCOc2ccccc2F)ccc1Cl ZINC001308653560 1066139473 /nfs/dbraw/zinc/13/94/73/1066139473.db2.gz FYPVTQAHUQYPSP-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001308660201 1066142617 /nfs/dbraw/zinc/14/26/17/1066142617.db2.gz HYSIVAPSLRHHJB-LBPRGKRZSA-N 0 3 321.380 4.208 20 0 DIADHN CC(C)N1CCN(Cc2ccc3oc4ccccc4c3c2)CC1 ZINC001308663144 1066144005 /nfs/dbraw/zinc/14/40/05/1066144005.db2.gz NRUMJFJBCUJPJC-UHFFFAOYSA-N 0 3 308.425 4.112 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)nc1 ZINC001308667090 1066146071 /nfs/dbraw/zinc/14/60/71/1066146071.db2.gz GYOPWVZMBAARIT-QUCCMNQESA-N 0 3 310.441 4.140 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(CC(F)(F)F)s2)CC12CCC2 ZINC001308675991 1066150630 /nfs/dbraw/zinc/15/06/30/1066150630.db2.gz ITQZTKLFOYRPHW-LLVKDONJSA-N 0 3 318.408 4.260 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@@H](C(C)C)C2)cc(Cl)c1[O-] ZINC001308697654 1066186169 /nfs/dbraw/zinc/18/61/69/1066186169.db2.gz WDOMEKIYXXWGPE-CQSZACIVSA-N 0 3 311.853 4.312 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@@H](C(C)C)C2)cc(Cl)c1[O-] ZINC001308697654 1066186174 /nfs/dbraw/zinc/18/61/74/1066186174.db2.gz WDOMEKIYXXWGPE-CQSZACIVSA-N 0 3 311.853 4.312 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2cccc(F)c2)no1)C1CCCC1 ZINC001308705650 1066189901 /nfs/dbraw/zinc/18/99/01/1066189901.db2.gz QHSKKLJXBPJJRV-MLGOLLRUSA-N 0 3 317.408 4.495 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC(C)(C(C)C)CC3)n12 ZINC001308707539 1066191083 /nfs/dbraw/zinc/19/10/83/1066191083.db2.gz VEUIHDWBOWFCBE-UHFFFAOYSA-N 0 3 305.491 4.271 20 0 DIADHN CC1=CCCN(Cc2cn(Cc3ccccc3)nc2C(C)(C)C)C1 ZINC001308723249 1066200224 /nfs/dbraw/zinc/20/02/24/1066200224.db2.gz OTVLWFNDOAJNFK-UHFFFAOYSA-N 0 3 323.484 4.381 20 0 DIADHN CC(C)O[C@@H](CNCc1ccc2c(n1)CCCC2)c1ccccc1 ZINC001308724258 1066201179 /nfs/dbraw/zinc/20/11/79/1066201179.db2.gz VPPCNYSSARHJCU-NRFANRHFSA-N 0 3 324.468 4.216 20 0 DIADHN Cc1ccc(F)c(CN(C)CC(C)(C)Oc2ccccc2)c1 ZINC001308725850 1066201613 /nfs/dbraw/zinc/20/16/13/1066201613.db2.gz WISHWALTAWMKIO-UHFFFAOYSA-N 0 3 301.405 4.424 20 0 DIADHN Cc1ccc([C@@H](NCCc2ccc(F)cc2)c2ccccn2)cc1 ZINC001308736082 1066211233 /nfs/dbraw/zinc/21/12/33/1066211233.db2.gz NLZWRSASRHXOSA-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H]1CSCCN(Cc2cc3ccnc(Cl)c3s2)C1 ZINC001308737756 1066213560 /nfs/dbraw/zinc/21/35/60/1066213560.db2.gz AMAUYPONEFHGTJ-SNVBAGLBSA-N 0 3 312.891 4.135 20 0 DIADHN CCOc1cc(C[NH2+]C2CCC3(CC3)CC2)cc(Cl)c1[O-] ZINC001308740919 1066215213 /nfs/dbraw/zinc/21/52/13/1066215213.db2.gz PJLGEAFQEAYAKR-UHFFFAOYSA-N 0 3 309.837 4.257 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1ccccc1CC(F)(F)F ZINC001308745502 1066218973 /nfs/dbraw/zinc/21/89/73/1066218973.db2.gz YWMYFJBCVKMEAH-UHFFFAOYSA-N 0 3 309.331 4.129 20 0 DIADHN COc1cc(CN2C[C@@H](C(C)C)[C@H]2C(C)C)c(Cl)cc1O ZINC001308762543 1066234378 /nfs/dbraw/zinc/23/43/78/1066234378.db2.gz DZRXPCUZRQDMLB-SUMWQHHRSA-N 0 3 311.853 4.167 20 0 DIADHN CNc1ccccc1CN1CC(c2cccc(C(F)(F)F)c2)C1 ZINC001308763713 1066236649 /nfs/dbraw/zinc/23/66/49/1066236649.db2.gz WTCGSNAHIINNHK-UHFFFAOYSA-N 0 3 320.358 4.347 20 0 DIADHN CNc1ccc(C)cc1CN1CC[C@@H](C(F)(F)F)C[C@@H]1C ZINC001308778073 1066249864 /nfs/dbraw/zinc/24/98/64/1066249864.db2.gz UBQKFWZUGVSFFT-GXTWGEPZSA-N 0 3 300.368 4.200 20 0 DIADHN CNc1ccc(C)cc1CN1CC[C@H](C(F)(F)F)C[C@H]1C ZINC001308778080 1066250048 /nfs/dbraw/zinc/25/00/48/1066250048.db2.gz UBQKFWZUGVSFFT-OCCSQVGLSA-N 0 3 300.368 4.200 20 0 DIADHN FC(F)Sc1ccc(CN2CCSC[C@H]2C2CC2)cc1 ZINC001308776319 1066250963 /nfs/dbraw/zinc/25/09/63/1066250963.db2.gz VXOSBJNEPKOAAG-AWEZNQCLSA-N 0 3 315.454 4.329 20 0 DIADHN CC(C)(CN1CC(C)(C)[C@H]1c1cccnc1)Oc1ccccc1 ZINC001308779121 1066253065 /nfs/dbraw/zinc/25/30/65/1066253065.db2.gz LPAZGOHJUUNFAJ-GOSISDBHSA-N 0 3 310.441 4.322 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cn(C)nc1C1CCCC1 ZINC001308785462 1066259298 /nfs/dbraw/zinc/25/92/98/1066259298.db2.gz DCVIQYQAGHBYBU-UHFFFAOYSA-N 0 3 311.473 4.061 20 0 DIADHN CC(C)[C@H]1N(Cc2cc3cc(Cl)cnc3o2)CC12CCC2 ZINC001308789837 1066264513 /nfs/dbraw/zinc/26/45/13/1066264513.db2.gz BIKYYNOQOVEGEO-OAHLLOKOSA-N 0 3 304.821 4.492 20 0 DIADHN CC(C)[C@H]1CN(CCCc2c(F)cccc2Cl)CCCO1 ZINC001308792322 1066268899 /nfs/dbraw/zinc/26/88/99/1066268899.db2.gz VIEQCOZQICIHSL-QGZVFWFLSA-N 0 3 313.844 4.159 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1Cc1cc(-c2ccccn2)n[nH]1 ZINC001308805301 1066282355 /nfs/dbraw/zinc/28/23/55/1066282355.db2.gz VNRGEJSKNKKTDT-HUUCEWRRSA-N 0 3 312.461 4.118 20 0 DIADHN COC(=O)c1cc(CN2CC3(CCCCC3)[C@@H]2C(C)C)oc1C ZINC001308811718 1066286637 /nfs/dbraw/zinc/28/66/37/1066286637.db2.gz PKLBGYRJIDHDLW-KRWDZBQOSA-N 0 3 319.445 4.165 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1NCc1cc2cnccc2o1 ZINC001308810924 1066287341 /nfs/dbraw/zinc/28/73/41/1066287341.db2.gz YUOFABSRMQHSGY-IUODEOHRSA-N 0 3 316.763 4.266 20 0 DIADHN F[C@@H]1CCCC[C@H]1NCc1cc(Cl)cc(Br)c1 ZINC001308816936 1066290724 /nfs/dbraw/zinc/29/07/24/1066290724.db2.gz KEKZTELUEFWDDS-CHWSQXEVSA-N 0 3 320.633 4.473 20 0 DIADHN C[C@H](CN1CCC[C@H](C)[C@@H]1C(=O)OC(C)(C)C)c1ccccc1 ZINC001308828262 1066304552 /nfs/dbraw/zinc/30/45/52/1066304552.db2.gz BCYXZEYWNRYFLA-LZLYRXPVSA-N 0 3 317.473 4.232 20 0 DIADHN Cc1ccc(CN(Cc2ccc3scnc3c2)CC2CC2)cn1 ZINC001308831984 1066307800 /nfs/dbraw/zinc/30/78/00/1066307800.db2.gz HTYOVQCOUAQZQT-UHFFFAOYSA-N 0 3 323.465 4.412 20 0 DIADHN Cc1occc1CN1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@H]1C ZINC001308846223 1066323144 /nfs/dbraw/zinc/32/31/44/1066323144.db2.gz OIVQVJIOMTVAKK-BBWFWOEESA-N 0 3 312.457 4.071 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)CSc2ccccc2)cnc1F ZINC001308850061 1066327988 /nfs/dbraw/zinc/32/79/88/1066327988.db2.gz FGRXBXDMZJCQDI-AWEZNQCLSA-N 0 3 304.434 4.142 20 0 DIADHN CC(C)n1cncc1CN1CCCC[C@H]1Cc1ccccc1F ZINC001308850904 1066328900 /nfs/dbraw/zinc/32/89/00/1066328900.db2.gz IFAMTHKCIPTSCQ-KRWDZBQOSA-N 0 3 315.436 4.200 20 0 DIADHN C[C@@H]1CN(Cc2cn(C)nc2C2CCCC2)CCc2ccccc21 ZINC001308852472 1066334512 /nfs/dbraw/zinc/33/45/12/1066334512.db2.gz ZWNFIMQGCAXRFG-MRXNPFEDSA-N 0 3 323.484 4.240 20 0 DIADHN CCc1cnc(CN2CCc3ccccc3C(C)(C)C2)s1 ZINC001308861727 1066341163 /nfs/dbraw/zinc/34/11/63/1066341163.db2.gz FUNNRARFECDQLD-UHFFFAOYSA-N 0 3 300.471 4.041 20 0 DIADHN Fc1ccc(/C=C/CN2CCC([C@H]3CCCCO3)CC2)cc1 ZINC001308864155 1066344299 /nfs/dbraw/zinc/34/42/99/1066344299.db2.gz LCULMSBQXVOIEE-GNAXCBTBSA-N 0 3 303.421 4.120 20 0 DIADHN CC1(C)CC[C@H](N[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001308870462 1066352054 /nfs/dbraw/zinc/35/20/54/1066352054.db2.gz CQCBVMQWFBNNNY-GWCFXTLKSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@H]1CCC[C@H](CN2CCc3nc(C(C)C)ncc3C2)C1 ZINC001308874555 1066352936 /nfs/dbraw/zinc/35/29/36/1066352936.db2.gz VEOMLVSHIUSZSV-HOTGVXAUSA-N 0 3 301.478 4.175 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001308885600 1066366838 /nfs/dbraw/zinc/36/68/38/1066366838.db2.gz IWWOGZVVCHNTKQ-ZZKXABKFSA-N 0 3 318.408 4.426 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2ccc(OC)c(OC)c2F)C1 ZINC001308886913 1066367720 /nfs/dbraw/zinc/36/77/20/1066367720.db2.gz SLIKRPZFUHHQFJ-NNTXTVRGSA-N 0 3 307.409 4.021 20 0 DIADHN CCCc1ccc(CN2CC[C@@H](Oc3ccc(C)cn3)C2)s1 ZINC001308886969 1066369222 /nfs/dbraw/zinc/36/92/22/1066369222.db2.gz NUWWQCCOJXPNNO-OAHLLOKOSA-N 0 3 316.470 4.057 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCCC23CC3)cc(Cl)c1[O-] ZINC001308890890 1066370441 /nfs/dbraw/zinc/37/04/41/1066370441.db2.gz KAQQXIBLNYHQGB-ZDUSSCGKSA-N 0 3 309.837 4.114 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2CCCC23CC3)c(Cl)n1 ZINC001308893870 1066374422 /nfs/dbraw/zinc/37/44/22/1066374422.db2.gz GYHFDMVAGDCOSX-SNVBAGLBSA-N 0 3 304.743 4.176 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc3nonc3c2)c2ccccc21 ZINC001308899567 1066378949 /nfs/dbraw/zinc/37/89/49/1066378949.db2.gz YBKMVFUAOBMVHL-WMZOPIPTSA-N 0 3 307.397 4.197 20 0 DIADHN C[C@H](NCc1cc(Cl)cc(Cl)c1F)[C@H]1CCCCO1 ZINC001308903259 1066382008 /nfs/dbraw/zinc/38/20/08/1066382008.db2.gz YMMIHWMEIYBQTD-TVQRCGJNSA-N 0 3 306.208 4.180 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@@H](C3CCC3)CC2)cc1F ZINC001308912100 1066388961 /nfs/dbraw/zinc/38/89/61/1066388961.db2.gz SAVKJIFVRQPBSG-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN C[C@@H]1CCC[C@H](C2CN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC001308911095 1066389021 /nfs/dbraw/zinc/38/90/21/1066389021.db2.gz DIMTXYAMCYYMQN-KGLIPLIRSA-N 0 3 315.486 4.396 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@H](C4CCC4)CC3)nc2c1 ZINC001308911741 1066389995 /nfs/dbraw/zinc/38/99/95/1066389995.db2.gz NACYXTQHSLGYFP-AWEZNQCLSA-N 0 3 302.393 4.369 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@@H](C4CCC4)CC3)nc2c1 ZINC001308911743 1066390286 /nfs/dbraw/zinc/39/02/86/1066390286.db2.gz NACYXTQHSLGYFP-CQSZACIVSA-N 0 3 302.393 4.369 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC[C@H](CF)C3)s2)cc1C ZINC001308917198 1066395277 /nfs/dbraw/zinc/39/52/77/1066395277.db2.gz HZVRRGKMBODFBW-CQSZACIVSA-N 0 3 304.434 4.218 20 0 DIADHN CCC[C@@H](C)CCNCc1c(Cl)n(C)nc1C(F)(F)F ZINC001308920863 1066399651 /nfs/dbraw/zinc/39/96/51/1066399651.db2.gz BSIDKVQDFPIWRI-SECBINFHSA-N 0 3 311.779 4.008 20 0 DIADHN CCOc1cc(CN2CC[C@@H](C)C[C@@H](C)C2)c(F)cc1OC ZINC001308941927 1066411575 /nfs/dbraw/zinc/41/15/75/1066411575.db2.gz BVLRNCJROBOMNN-ZIAGYGMSSA-N 0 3 309.425 4.101 20 0 DIADHN COCCN(Cc1cc2cnccc2o1)Cc1ccc(C)c(C)c1 ZINC001308943211 1066411891 /nfs/dbraw/zinc/41/18/91/1066411891.db2.gz HZVMMDAYJBUWSP-UHFFFAOYSA-N 0 3 324.424 4.093 20 0 DIADHN COC(=O)CCC1(NCc2cscc2Cl)CCCCC1 ZINC001308950426 1066415238 /nfs/dbraw/zinc/41/52/38/1066415238.db2.gz PLXRNZAHWLGYDK-UHFFFAOYSA-N 0 3 315.866 4.147 20 0 DIADHN CC(C)n1ccnc1CN1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001308958776 1066419756 /nfs/dbraw/zinc/41/97/56/1066419756.db2.gz PLFOYQZIZGOHMR-GOSISDBHSA-N 0 3 311.473 4.480 20 0 DIADHN Cc1cc(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)c(C)o1 ZINC001308959431 1066419903 /nfs/dbraw/zinc/41/99/03/1066419903.db2.gz BUJBEUJTVWJDIK-HXUWFJFHSA-N 0 3 309.409 4.337 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H]2C[C@@H](c3ccccc3)Oc3ccccc32)CO1 ZINC001308965941 1066422992 /nfs/dbraw/zinc/42/29/92/1066422992.db2.gz ZJGJLTQAWVGMLC-ODZKNYGOSA-N 0 3 309.409 4.019 20 0 DIADHN c1ncc(-c2ccc(CNCc3coc4ccccc34)cc2)o1 ZINC001308968931 1066425202 /nfs/dbraw/zinc/42/52/02/1066425202.db2.gz TXDSZHWOEXNKSW-UHFFFAOYSA-N 0 3 304.349 4.378 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1cccc(Cl)c1 ZINC000090173333 185285045 /nfs/dbraw/zinc/28/50/45/185285045.db2.gz SCEICUGVBKTMFD-SUMWQHHRSA-N 0 3 321.852 4.156 20 0 DIADHN C[C@H]1CC[C@H](NCc2cn(C)nc2C(F)(F)F)c2ccccc21 ZINC000090666530 185308638 /nfs/dbraw/zinc/30/86/38/185308638.db2.gz FWJPOUXZQOWSAY-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN CCC[C@@H](NCc1cn(C)nc1C(F)(F)F)c1ccccc1 ZINC000090666080 185308737 /nfs/dbraw/zinc/30/87/37/185308737.db2.gz IUJRYOGHCYZREI-CQSZACIVSA-N 0 3 311.351 4.070 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc(C)cc2)s1 ZINC000092739921 185337738 /nfs/dbraw/zinc/33/77/38/185337738.db2.gz YPQJPIABJMGTBU-UONOGXRCSA-N 0 3 318.486 4.361 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NCCc1c(C)noc1C ZINC000425514726 334954866 /nfs/dbraw/zinc/95/48/66/334954866.db2.gz QYKMKOBOBCVEIG-UHFFFAOYSA-N 0 3 322.836 4.021 20 0 DIADHN CCC[C@H](CC)N[C@H](C(=O)NCc1ccccc1)c1ccccc1 ZINC000520125124 334974970 /nfs/dbraw/zinc/97/49/70/334974970.db2.gz FKTBGLINQOMKGO-PMACEKPBSA-N 0 3 324.468 4.212 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2ccc(C(C)C)cc2)c1 ZINC000072957353 191257937 /nfs/dbraw/zinc/25/79/37/191257937.db2.gz CIAOPAGKKJREDV-HNNXBMFYSA-N 0 3 310.441 4.020 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cc(Cl)cc3cccnc32)C1 ZINC000072977129 191262149 /nfs/dbraw/zinc/26/21/49/191262149.db2.gz JUAQPOUPESEPFN-AWEZNQCLSA-N 0 3 318.848 4.137 20 0 DIADHN COc1ccccc1SC[C@@H](C)CN[C@H](C)c1ccccn1 ZINC000089515567 192807490 /nfs/dbraw/zinc/80/74/90/192807490.db2.gz GNWMUJWFNIMALN-LSDHHAIUSA-N 0 3 316.470 4.169 20 0 DIADHN C[C@H](N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1cncc(F)c1 ZINC000090804450 192935736 /nfs/dbraw/zinc/93/57/36/192935736.db2.gz ZJAAMZOCOINDEW-YZVOILCLSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1ccc([C@@H](NCc2ccnc(N3CCCC3)c2)C2CC2)cc1 ZINC000091283139 193029934 /nfs/dbraw/zinc/02/99/34/193029934.db2.gz DZGQKVDYTRWIIL-OAQYLSRUSA-N 0 3 321.468 4.231 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](c2ccc(C)cc2)C2CC2)c1 ZINC000091285434 193031020 /nfs/dbraw/zinc/03/10/20/193031020.db2.gz DOOAOYAEIODFJU-HXUWFJFHSA-N 0 3 308.425 4.194 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000091287281 193031779 /nfs/dbraw/zinc/03/17/79/193031779.db2.gz UJTUELHNYCUUNT-FQEVSTJZSA-N 0 3 322.452 4.067 20 0 DIADHN c1ccc([C@H](NCc2ccnc(N3CCCCC3)c2)C2CC2)cc1 ZINC000091287575 193031830 /nfs/dbraw/zinc/03/18/30/193031830.db2.gz CEXCHIYZTBECBS-NRFANRHFSA-N 0 3 321.468 4.313 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccncc1 ZINC000091412704 193052754 /nfs/dbraw/zinc/05/27/54/193052754.db2.gz MGFSQPBNUXAWJZ-ZTFRIQLXSA-N 0 3 320.795 4.055 20 0 DIADHN COc1ccccc1C[C@H](N[C@H](C)c1nccs1)C(C)C ZINC000091732853 193099699 /nfs/dbraw/zinc/09/96/99/193099699.db2.gz QURJPOKXFBXRPR-HIFRSBDPSA-N 0 3 304.459 4.070 20 0 DIADHN CC[C@H](NCCOC(C)(C)C)c1ccc(Br)cc1 ZINC000308740597 335006568 /nfs/dbraw/zinc/00/65/68/335006568.db2.gz OMYDRIQMZMMGHR-AWEZNQCLSA-N 0 3 314.267 4.305 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)[C@H](C)c3cccnc3)cc2)n1 ZINC000093236417 193219947 /nfs/dbraw/zinc/21/99/47/193219947.db2.gz UWNGGEDNEOJWMI-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN c1csc(CN(CCc2ccccc2)Cc2cccnc2)c1 ZINC000013569689 181863125 /nfs/dbraw/zinc/86/31/25/181863125.db2.gz SFRVBBBOHNFXFS-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN Clc1ccc(CNCc2cc(Cl)c3c(c2)OCCO3)cc1 ZINC000015566032 182007063 /nfs/dbraw/zinc/00/70/63/182007063.db2.gz VTFIYBABSCOTON-UHFFFAOYSA-N 0 3 324.207 4.054 20 0 DIADHN CC[C@]1(CN[C@H](C)c2ccsc2)OCCc2ccccc21 ZINC000563802094 335020174 /nfs/dbraw/zinc/02/01/74/335020174.db2.gz MHFGVDAIHAQVSN-RDTXWAMCSA-N 0 3 301.455 4.277 20 0 DIADHN C[C@@H](NC[C@@H]1CCCO1)c1cc2cc(Br)ccc2o1 ZINC000019963782 182170798 /nfs/dbraw/zinc/17/07/98/182170798.db2.gz RBLWLXLMESOGSX-MFKMUULPSA-N 0 3 324.218 4.025 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1cc2cc(Br)ccc2o1 ZINC000019963783 182170866 /nfs/dbraw/zinc/17/08/66/182170866.db2.gz RBLWLXLMESOGSX-GWCFXTLKSA-N 0 3 324.218 4.025 20 0 DIADHN Brc1ccc(CN[C@@H]2CCc3ccccc32)cc1 ZINC000020129634 182189838 /nfs/dbraw/zinc/18/98/38/182189838.db2.gz GTLQPGHNHPJREJ-MRXNPFEDSA-N 0 3 302.215 4.226 20 0 DIADHN Cc1cccc(CNCc2ccc(F)c(Br)c2)c1 ZINC000020134321 182190937 /nfs/dbraw/zinc/19/09/37/182190937.db2.gz KFMGZCQIUMAKCQ-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN C[C@@H](NCc1cccc(F)c1)c1cccc(Br)c1 ZINC000020369964 182212492 /nfs/dbraw/zinc/21/24/92/182212492.db2.gz NFSDHIPTJLCIMC-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN CCCCNCc1cc(Br)ccc1OCCC ZINC000020929178 182254519 /nfs/dbraw/zinc/25/45/19/182254519.db2.gz LXORRTGXIGSFIO-UHFFFAOYSA-N 0 3 300.240 4.128 20 0 DIADHN CCCOc1ccc(CNCc2ccc(C)cc2)cc1OCC ZINC000020933426 182255168 /nfs/dbraw/zinc/25/51/68/182255168.db2.gz YGBMRYUPZBTRHP-UHFFFAOYSA-N 0 3 313.441 4.472 20 0 DIADHN COCCC[C@H](NCc1cc(F)cc(F)c1)c1ccc(F)cc1 ZINC000442705831 335030127 /nfs/dbraw/zinc/03/01/27/335030127.db2.gz BWISTCVIQMUIMV-SFHVURJKSA-N 0 3 323.358 4.361 20 0 DIADHN COCC[C@@H](NC[C@H](C)c1cccc(Cl)c1)c1ccco1 ZINC000525863534 335030263 /nfs/dbraw/zinc/03/02/63/335030263.db2.gz SAOSXZZKKQCBOR-XJKSGUPXSA-N 0 3 307.821 4.404 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425543050 335036320 /nfs/dbraw/zinc/03/63/20/335036320.db2.gz ATQQIXLPMHCPMJ-ACJLOTCBSA-N 0 3 321.411 4.307 20 0 DIADHN Cc1cccc(COc2ccc(CNCc3cccnc3)cc2)c1 ZINC000040722625 183124405 /nfs/dbraw/zinc/12/44/05/183124405.db2.gz LJWXMIQNTWSENC-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN F[C@@H]1CC[C@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000563835648 335038519 /nfs/dbraw/zinc/03/85/19/335038519.db2.gz LWNADDPVDDLLIQ-OLZOCXBDSA-N 0 3 310.825 4.444 20 0 DIADHN CN(C)C(=O)c1cccc(CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC000104702237 194029231 /nfs/dbraw/zinc/02/92/31/194029231.db2.gz FIAVKCLZLTXAMC-FQEVSTJZSA-N 0 3 322.452 4.019 20 0 DIADHN Cn1cncc1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC000105427772 194104476 /nfs/dbraw/zinc/10/44/76/194104476.db2.gz GHAZBQNZLHDTBS-UHFFFAOYSA-N 0 3 303.837 4.023 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1ccc(OC(F)(F)F)cc1 ZINC000105487100 194105627 /nfs/dbraw/zinc/10/56/27/194105627.db2.gz JNXKVONCOOUGIV-HNNXBMFYSA-N 0 3 323.314 4.068 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(Br)cc2)c1 ZINC000049256716 183722864 /nfs/dbraw/zinc/72/28/64/183722864.db2.gz VKHFIXYHPUFMRJ-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(F)c(Br)c1 ZINC000063099577 184270764 /nfs/dbraw/zinc/27/07/64/184270764.db2.gz QGAJVTUXHZKZJQ-ZETCQYMHSA-N 0 3 314.120 4.191 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCCc1nccs1)CCS2 ZINC000063133857 184272772 /nfs/dbraw/zinc/27/27/72/184272772.db2.gz LNURPFYHRKJJOE-GFCCVEGCSA-N 0 3 310.875 4.166 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]nc2-c2cccc(Cl)c2)CCS1 ZINC000190686564 335046910 /nfs/dbraw/zinc/04/69/10/335046910.db2.gz XMXYYWVTBLSZQR-GFCCVEGCSA-N 0 3 321.877 4.058 20 0 DIADHN Brc1cncc([C@H](NCc2cccs2)C2CC2)c1 ZINC000283921386 532979410 /nfs/dbraw/zinc/97/94/10/532979410.db2.gz ITNMSNNPZBZJOG-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)nn(C)c1C)c1ccccc1OC ZINC000151946924 196751217 /nfs/dbraw/zinc/75/12/17/196751217.db2.gz JOYLWFHVKSAHCW-SJORKVTESA-N 0 3 315.461 4.238 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000193999344 335058535 /nfs/dbraw/zinc/05/85/35/335058535.db2.gz JXOXHGRSHMRHRB-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1cnc(C)s1 ZINC000289434410 533414178 /nfs/dbraw/zinc/41/41/78/533414178.db2.gz FFSWZVKLDMEIJI-YRNVUSSQSA-N 0 3 324.396 4.246 20 0 DIADHN c1ccc([C@H](NCc2ccc(N3CCCCC3)nc2)C2CC2)cc1 ZINC000271887990 129975741 /nfs/dbraw/zinc/97/57/41/129975741.db2.gz VGTZPHOQSRTCBD-NRFANRHFSA-N 0 3 321.468 4.313 20 0 DIADHN Fc1ccc([C@H](NC2CCOCC2)c2ccc(Cl)cc2)cc1 ZINC000272223634 130008055 /nfs/dbraw/zinc/00/80/55/130008055.db2.gz CMFNNPZBQWZAKW-GOSISDBHSA-N 0 3 319.807 4.337 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2CCc3cc(C)ccc32)cc1 ZINC000272749800 130059993 /nfs/dbraw/zinc/05/99/93/130059993.db2.gz NDZAGCLZFDKASU-XOBRGWDASA-N 0 3 308.425 4.292 20 0 DIADHN Cn1cc(CN[C@H]2CCc3c2cccc3F)c(-c2ccccc2)n1 ZINC000272754580 130061451 /nfs/dbraw/zinc/06/14/51/130061451.db2.gz NDINCNBYAABZRG-IBGZPJMESA-N 0 3 321.399 4.003 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H]1CCCc2c(Cl)cccc21 ZINC000273374704 130114648 /nfs/dbraw/zinc/11/46/48/130114648.db2.gz GXTCIALAOMYCCF-SJORKVTESA-N 0 3 315.848 4.118 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](NCc3c(F)cccc3F)CCO2)cc1 ZINC000273507269 130130401 /nfs/dbraw/zinc/13/04/01/130130401.db2.gz QFXQNYPHSJLDKJ-KBXCAEBGSA-N 0 3 321.342 4.114 20 0 DIADHN CCc1ncc(CN[C@H](C)[C@H](OC)c2ccccc2Cl)s1 ZINC000353176798 130157694 /nfs/dbraw/zinc/15/76/94/130157694.db2.gz WCOGJRGSUNRJCI-BZNIZROVSA-N 0 3 324.877 4.225 20 0 DIADHN Cn1cc(CN[C@@H](c2ccccc2)C2CCCC2)c(C(F)F)n1 ZINC000274513544 130243039 /nfs/dbraw/zinc/24/30/39/130243039.db2.gz WAABIFDCSPTJIF-INIZCTEOSA-N 0 3 319.399 4.379 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)[C@@H](c1ccccc1)N(C)C ZINC000274571891 130246081 /nfs/dbraw/zinc/24/60/81/130246081.db2.gz IXGRRAQCLZLAFM-VBQJREDUSA-N 0 3 317.864 4.077 20 0 DIADHN C[C@@H](NC[C@H]1Cc2ccccc21)c1nc(C(F)(F)F)cs1 ZINC000274589050 130247583 /nfs/dbraw/zinc/24/75/83/130247583.db2.gz JSXYGCYFFXGSSD-MWLCHTKSSA-N 0 3 312.360 4.152 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C(C)(C)O)cc2)cc1C ZINC000274776029 130260384 /nfs/dbraw/zinc/26/03/84/130260384.db2.gz NZPHKHKGYLCRHB-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc(C(C)(C)O)cc2)c1 ZINC000274769507 130261214 /nfs/dbraw/zinc/26/12/14/130261214.db2.gz MGPJGRBPBBMTAP-HNNXBMFYSA-N 0 3 313.441 4.164 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274810833 130264357 /nfs/dbraw/zinc/26/43/57/130264357.db2.gz XVGUEQBDMYEHDM-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN Cc1c(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)cnn1C ZINC000275029730 130284925 /nfs/dbraw/zinc/28/49/25/130284925.db2.gz BHQGQZBDMLNFPG-OAQYLSRUSA-N 0 3 317.436 4.173 20 0 DIADHN CCC1(C(=O)Nc2ccccc2CCN(C)C)CCCCC1 ZINC000275558912 130336462 /nfs/dbraw/zinc/33/64/62/130336462.db2.gz AQKFFSUOJNRMIB-UHFFFAOYSA-N 0 3 302.462 4.090 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3nc(C)ccc3c2)cc1 ZINC000275609001 130348328 /nfs/dbraw/zinc/34/83/28/130348328.db2.gz MPILTKILNSKAMD-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1nn(C(C)C)cc1CNC[C@@H](C)Sc1ccccc1 ZINC000281550106 130870074 /nfs/dbraw/zinc/87/00/74/130870074.db2.gz ZWGHSGNZGGAQFZ-CQSZACIVSA-N 0 3 303.475 4.043 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)N[C@@H](C)c1cn[nH]c1 ZINC000283693720 131013526 /nfs/dbraw/zinc/01/35/26/131013526.db2.gz AMSSFZVLTZKGBE-WMLDXEAASA-N 0 3 311.454 4.414 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)N[C@H](C)c1cn[nH]c1 ZINC000283693717 131013682 /nfs/dbraw/zinc/01/36/82/131013682.db2.gz AMSSFZVLTZKGBE-PBHICJAKSA-N 0 3 311.454 4.414 20 0 DIADHN C[C@@H](c1nc(-c2cccc3ncccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000283759607 131019786 /nfs/dbraw/zinc/01/97/86/131019786.db2.gz BFZLGZJBAZSKRW-MCIONIFRSA-N 0 3 322.412 4.219 20 0 DIADHN C[C@H](c1nc(-c2cccc3ncccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000283759606 131020055 /nfs/dbraw/zinc/02/00/55/131020055.db2.gz BFZLGZJBAZSKRW-HZSPNIEDSA-N 0 3 322.412 4.219 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000283952906 131036394 /nfs/dbraw/zinc/03/63/94/131036394.db2.gz FWRCHZRFYGKXEE-CEWLAPEOSA-N 0 3 323.436 4.280 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2c(C)nn(C)c2C)cc1 ZINC000284120076 131050003 /nfs/dbraw/zinc/05/00/03/131050003.db2.gz CNVHDIAGGGGJOI-AWEZNQCLSA-N 0 3 315.461 4.067 20 0 DIADHN Cc1cc([C@H](C)NCc2ccnc(OC(F)F)c2)c(C)s1 ZINC000284190966 131057289 /nfs/dbraw/zinc/05/72/89/131057289.db2.gz BDRHGYGGVRLJFZ-JTQLQIEISA-N 0 3 312.385 4.212 20 0 DIADHN Cc1cc(CN[C@H](CCc2ccccc2)c2ccccc2)n(C)n1 ZINC000284203614 131058422 /nfs/dbraw/zinc/05/84/22/131058422.db2.gz GQIXTLMRRNDUOG-OAQYLSRUSA-N 0 3 319.452 4.192 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4ccccc4sc32)n(C)n1 ZINC000284376827 131075024 /nfs/dbraw/zinc/07/50/24/131075024.db2.gz OMZLGUZMLUJKHT-INIZCTEOSA-N 0 3 311.454 4.110 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](C)Cn2ccnc2)cc1Cl ZINC000284393788 131076255 /nfs/dbraw/zinc/07/62/55/131076255.db2.gz PIECBOZDFJRTOH-KGLIPLIRSA-N 0 3 321.852 4.063 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H](C)c2cn(C)nc2C)cc1 ZINC000284400492 131077020 /nfs/dbraw/zinc/07/70/20/131077020.db2.gz PRIFYKDUIQWHMM-CABCVRRESA-N 0 3 315.461 4.319 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(C(C)(C)C)nc1)[C@@H](C)C2 ZINC000284417681 131079130 /nfs/dbraw/zinc/07/91/30/131079130.db2.gz QYFHPZUXDRVZPV-KSSFIOAISA-N 0 3 309.457 4.106 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000284475048 131084798 /nfs/dbraw/zinc/08/47/98/131084798.db2.gz UOEMZNGWAKBINU-GJZGRUSLSA-N 0 3 301.434 4.011 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(-c3ccccc3)c2)n(C)n1 ZINC000284597196 131095923 /nfs/dbraw/zinc/09/59/23/131095923.db2.gz ZZLKKHJPAOZOIL-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cn[nH]c1 ZINC000285011547 131130480 /nfs/dbraw/zinc/13/04/80/131130480.db2.gz HDLJCANHLJSZAT-WBIUFABUSA-N 0 3 309.335 4.025 20 0 DIADHN c1nc(CN2C[C@@H](c3ccccc3)[C@H]3CCC[C@H]32)oc1C1CC1 ZINC000285339417 131158682 /nfs/dbraw/zinc/15/86/82/131158682.db2.gz CPWGWQDGLNMPKQ-FGTMMUONSA-N 0 3 308.425 4.320 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)cc2)c(C)c1OC ZINC000285456507 131169635 /nfs/dbraw/zinc/16/96/35/131169635.db2.gz ABBALCIRUWPPCT-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cc(C(=O)OC)cs2)s1 ZINC000285547527 131179662 /nfs/dbraw/zinc/17/96/62/131179662.db2.gz GIPBCQWDYRIYLR-JTQLQIEISA-N 0 3 309.456 4.009 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2ccc3c(c2)COC3)cc1 ZINC000285689364 131193512 /nfs/dbraw/zinc/19/35/12/131193512.db2.gz OAKLQBHGSAASBE-AWEZNQCLSA-N 0 3 313.466 4.431 20 0 DIADHN Cc1cnn(C)c1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000285777943 131201663 /nfs/dbraw/zinc/20/16/63/131201663.db2.gz DSGODPQTACXATE-FQEVSTJZSA-N 0 3 317.436 4.173 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1cncs1 ZINC000285821985 131204374 /nfs/dbraw/zinc/20/43/74/131204374.db2.gz XEKGAYQGEGOPIY-WKPIXPDZSA-N 0 3 324.396 4.072 20 0 DIADHN C[C@H](NCCC(=O)N1CCCCCC1)c1cccc(C2CC2)c1 ZINC000340215057 131206025 /nfs/dbraw/zinc/20/60/25/131206025.db2.gz OXJRBIRZLPRCQL-INIZCTEOSA-N 0 3 314.473 4.007 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3cnn(C(C)C)c3C)ccc2[nH]1 ZINC000285854731 131206059 /nfs/dbraw/zinc/20/60/59/131206059.db2.gz ZHEZBFJWWILROI-CQSZACIVSA-N 0 3 310.445 4.413 20 0 DIADHN Cc1cnc([C@H](C)CN[C@H](c2ncc[nH]2)C2CCCCC2)s1 ZINC000286012851 131215520 /nfs/dbraw/zinc/21/55/20/131215520.db2.gz IDZZLPHJCXNAHN-DOMZBBRYSA-N 0 3 318.490 4.189 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H](C)c2ccc(C)cc2)c1 ZINC000286100411 131219250 /nfs/dbraw/zinc/21/92/50/131219250.db2.gz NEYDRSORPFOPKJ-OLZOCXBDSA-N 0 3 303.427 4.255 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000286329788 131230671 /nfs/dbraw/zinc/23/06/71/131230671.db2.gz YJOFZXISQNTQTB-YVEFUNNKSA-N 0 3 316.449 4.029 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2CCCc3sccc32)c1 ZINC000286345310 131231585 /nfs/dbraw/zinc/23/15/85/131231585.db2.gz ZARRIUHEBDTXRB-GWCFXTLKSA-N 0 3 321.467 4.324 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2nc(C(C)(C)C)cs2)c1 ZINC000286371045 131233044 /nfs/dbraw/zinc/23/30/44/131233044.db2.gz ZQKJNKSXBBJQRF-CYBMUJFWSA-N 0 3 303.475 4.037 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000286390138 131233773 /nfs/dbraw/zinc/23/37/73/131233773.db2.gz GZBBNMSLUWGDCY-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000286967604 131257999 /nfs/dbraw/zinc/25/79/99/131257999.db2.gz IFQCYBREEKVIJX-WFASDCNBSA-N 0 3 309.862 4.484 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000287065700 131262491 /nfs/dbraw/zinc/26/24/91/131262491.db2.gz NTNDTNCWWOCPKQ-SJKOYZFVSA-N 0 3 307.796 4.253 20 0 DIADHN C[C@H](NCC1=CCCOC1)c1ccc(SCC(F)F)cc1 ZINC000287183055 131267644 /nfs/dbraw/zinc/26/76/44/131267644.db2.gz VIDKNLXPRRYYKR-LBPRGKRZSA-N 0 3 313.413 4.041 20 0 DIADHN C[C@H](NC[C@H]1CCCSC1)c1nc2ccc(Cl)cc2n1C ZINC000287243920 131270682 /nfs/dbraw/zinc/27/06/82/131270682.db2.gz ZAOURLZXRAASAX-NWDGAFQWSA-N 0 3 323.893 4.021 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3cc[nH]c32)c(C)c1OC ZINC000287736515 131297485 /nfs/dbraw/zinc/29/74/85/131297485.db2.gz YQPFGTWMYOZDRZ-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN c1cc(-c2nc(CNC[C@@H]3CCCSC3)cs2)cs1 ZINC000288329468 131320432 /nfs/dbraw/zinc/32/04/32/131320432.db2.gz ALKREOJEJXGFJM-NSHDSACASA-N 0 3 310.513 4.104 20 0 DIADHN OC[C@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1ccc(F)cc1 ZINC000289100277 131357916 /nfs/dbraw/zinc/35/79/16/131357916.db2.gz CCWZMAWNQQZROX-OALUTQOASA-N 0 3 324.399 4.008 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000289142704 131361644 /nfs/dbraw/zinc/36/16/44/131361644.db2.gz FRTAZTWPPQBUPJ-BEFAXECRSA-N 0 3 307.441 4.020 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3N[C@@H](CO)c1ccccc1 ZINC000289316653 131373219 /nfs/dbraw/zinc/37/32/19/131373219.db2.gz UFWUYMUAEDSETQ-PMACEKPBSA-N 0 3 320.436 4.177 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2ccc(OC)c3F)cc1 ZINC000289336921 131375052 /nfs/dbraw/zinc/37/50/52/131375052.db2.gz WJUMECJJFBRGQI-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1cc(C(C)(C)NCc2ccncc2)ccc1Br ZINC000289410449 131383679 /nfs/dbraw/zinc/38/36/79/131383679.db2.gz AFQNFJRMZBROHG-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN FC(F)c1ccc(CN2CC3(CCC3)[C@H]2[C@@H]2CCCO2)cc1 ZINC000289447610 131387002 /nfs/dbraw/zinc/38/70/02/131387002.db2.gz FIBCPHOYRXXJBV-JKSUJKDBSA-N 0 3 307.384 4.158 20 0 DIADHN C[C@H](NC[C@H]1CCCCO1)c1ccc(Oc2cccnc2)cc1 ZINC000289460041 131387838 /nfs/dbraw/zinc/38/78/38/131387838.db2.gz PZONLWAQFDMQAA-MAUKXSAKSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](C)c1ccc(F)c2ccccc21 ZINC000289485385 131389683 /nfs/dbraw/zinc/38/96/83/131389683.db2.gz SBRVKXXFGXBWKI-GFCCVEGCSA-N 0 3 311.404 4.180 20 0 DIADHN Cc1ccccc1CN(Cc1cccnc1)C[C@@H]1CCSC1 ZINC000289492879 131390861 /nfs/dbraw/zinc/39/08/61/131390861.db2.gz RXRDMAJADJKVMZ-SFHVURJKSA-N 0 3 312.482 4.145 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289579029 131398125 /nfs/dbraw/zinc/39/81/25/131398125.db2.gz AJQDVHOYAZNSHP-IPYPFGDCSA-N 0 3 318.486 4.116 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccnc(Br)c2)cc1 ZINC000293862174 131776774 /nfs/dbraw/zinc/77/67/74/131776774.db2.gz SAYWRMROYLSXFX-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN CCO[C@H](CN[C@H]1CCCc2c(O)cccc21)c1ccccc1 ZINC000340507233 131912276 /nfs/dbraw/zinc/91/22/76/131912276.db2.gz NUTWNWSRNYYBFH-AZUAARDMSA-N 0 3 311.425 4.137 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2ccncc2F)cc1 ZINC000340518432 131931907 /nfs/dbraw/zinc/93/19/07/131931907.db2.gz IYCKIXBKMYSPFQ-ZMYBRWDISA-N 0 3 314.404 4.100 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)cs1 ZINC000297227299 132005124 /nfs/dbraw/zinc/00/51/24/132005124.db2.gz HPKUOWVBBHBFEP-WBMJQRKESA-N 0 3 309.862 4.484 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCC(C)(F)F)CCCS2 ZINC000297257687 132006110 /nfs/dbraw/zinc/00/61/10/132006110.db2.gz JTQACBVBNVCPNG-CYBMUJFWSA-N 0 3 301.402 4.257 20 0 DIADHN CC(C)[C@@H](CN[C@@H]1CCn2ccnc21)c1ccc(Cl)cc1 ZINC000297257357 132006283 /nfs/dbraw/zinc/00/62/83/132006283.db2.gz JSIVFVYRHGIWOL-HZPDHXFCSA-N 0 3 303.837 4.011 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2csc(C)c2)c1 ZINC000297461125 132016364 /nfs/dbraw/zinc/01/63/64/132016364.db2.gz XUCXLOBOHAVTCL-LBPRGKRZSA-N 0 3 304.415 4.086 20 0 DIADHN CCOc1cc(CN[C@H](CC)c2ccncc2)cc(Cl)c1O ZINC000340573743 132023647 /nfs/dbraw/zinc/02/36/47/132023647.db2.gz FLWRBQIDBFJMEY-OAHLLOKOSA-N 0 3 320.820 4.080 20 0 DIADHN Oc1cccc2c1CC[C@H]2N[C@@H]1CCCc2nc(Cl)ccc21 ZINC000297592624 132024107 /nfs/dbraw/zinc/02/41/07/132024107.db2.gz HROKYAXMPOMOTL-GDBMZVCRSA-N 0 3 314.816 4.095 20 0 DIADHN Oc1cccc2c1CC[C@@H]2N[C@H]1CCCc2nc(Cl)ccc21 ZINC000297592632 132024216 /nfs/dbraw/zinc/02/42/16/132024216.db2.gz HROKYAXMPOMOTL-HOCLYGCPSA-N 0 3 314.816 4.095 20 0 DIADHN CCOc1cc(CN[C@H](CC)c2ccsc2)ccc1OC ZINC000297608446 132025138 /nfs/dbraw/zinc/02/51/38/132025138.db2.gz IKTIFXDRMAMBDS-OAHLLOKOSA-N 0 3 305.443 4.396 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3nc(Cl)ccc32)cc1 ZINC000297734016 132031758 /nfs/dbraw/zinc/03/17/58/132031758.db2.gz NQLCXNLEPRYBOC-LRDDRELGSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@@H](CN[C@@H]1CCn2ccnc21)c1c(Cl)cccc1Cl ZINC000297737805 132031922 /nfs/dbraw/zinc/03/19/22/132031922.db2.gz NWTMVEABHISPSM-GXFFZTMASA-N 0 3 310.228 4.028 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3c(c2)OCCCO3)cs1 ZINC000297763058 132032086 /nfs/dbraw/zinc/03/20/86/132032086.db2.gz OWXSLZVIZJIWNY-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN CCOc1cc(CN[C@@H]2CCCc3ccc(F)cc32)ccc1O ZINC000298134339 132047520 /nfs/dbraw/zinc/04/75/20/132047520.db2.gz QILTUFWYMUYJQO-QGZVFWFLSA-N 0 3 315.388 4.097 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccccc2SC)ccc1O ZINC000298166684 132048861 /nfs/dbraw/zinc/04/88/61/132048861.db2.gz SZVZGLAVIHLLDU-ZDUSSCGKSA-N 0 3 317.454 4.364 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000298188955 132049404 /nfs/dbraw/zinc/04/94/04/132049404.db2.gz BCSWJRGCZYACIC-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@@]12CCN(Cc3ccc(Cl)c4cccnc34)C[C@@H]1C2(F)F ZINC000298186517 132049697 /nfs/dbraw/zinc/04/96/97/132049697.db2.gz APVVNAKQHSHLNO-GOEBONIOSA-N 0 3 322.786 4.365 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)c2ccccc2n1 ZINC000298215663 132051342 /nfs/dbraw/zinc/05/13/42/132051342.db2.gz FDEIUDSMEMCUAI-SJORKVTESA-N 0 3 302.368 4.020 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(CN3CCCC3)c2)c(C)c1 ZINC000299031363 132087426 /nfs/dbraw/zinc/08/74/26/132087426.db2.gz LANYLXMZXXXMMP-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000299207559 132093571 /nfs/dbraw/zinc/09/35/71/132093571.db2.gz ACHSGRBLKILRSS-AWEZNQCLSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1sc([C@H](C)NCCCCO)nc1-c1ccc(Cl)cc1 ZINC000299243778 132094769 /nfs/dbraw/zinc/09/47/69/132094769.db2.gz JGQMGSHGMOPDRP-NSHDSACASA-N 0 3 324.877 4.195 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(N3CCCC3=O)cc2)s1 ZINC000120460976 132141707 /nfs/dbraw/zinc/14/17/07/132141707.db2.gz VYUPVUCXLSORIU-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1ccc2c(c1)OCCCO2 ZINC000120475178 132142126 /nfs/dbraw/zinc/14/21/26/132142126.db2.gz XMUHEVGBJSGDMQ-KSSFIOAISA-N 0 3 309.409 4.186 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1ccc(-n2ccnc2)cc1 ZINC000120788652 132151256 /nfs/dbraw/zinc/15/12/56/132151256.db2.gz PKQMFDYGAVYRGE-KBXCAEBGSA-N 0 3 307.397 4.194 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](c2ccccc2)C2CC2)c1 ZINC000122213191 132163004 /nfs/dbraw/zinc/16/30/04/132163004.db2.gz MZVGLLRQAWQPOR-VBKZILBWSA-N 0 3 308.425 4.447 20 0 DIADHN COc1cc(CN(Cc2cc(C)sc2C)C2CC2)ccc1O ZINC000340764338 132181788 /nfs/dbraw/zinc/18/17/88/132181788.db2.gz CVYZMKQXJLUJLH-UHFFFAOYSA-N 0 3 317.454 4.244 20 0 DIADHN c1cn(CCCC2CCN(c3ccnc4ccccc43)CC2)cn1 ZINC000340771028 132184409 /nfs/dbraw/zinc/18/44/09/132184409.db2.gz CUTGIKRVKDHPNX-UHFFFAOYSA-N 0 3 320.440 4.128 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)CCc2ccccn2)o1 ZINC000525867381 335065160 /nfs/dbraw/zinc/06/51/60/335065160.db2.gz FUNMQGLTTVIART-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN COC[C@H](C)CNCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000525869621 335069758 /nfs/dbraw/zinc/06/97/58/335069758.db2.gz PULZKNXBWQGYJJ-LLVKDONJSA-N 0 3 324.877 4.144 20 0 DIADHN Cc1ccoc1CNCCc1nc(-c2ccccc2)c(C)s1 ZINC000152420628 335077415 /nfs/dbraw/zinc/07/74/15/335077415.db2.gz PGMSKOKROGEGEC-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425555464 335087175 /nfs/dbraw/zinc/08/71/75/335087175.db2.gz QHQGYHVJQNCSGI-KDOFPFPSSA-N 0 3 303.421 4.168 20 0 DIADHN CCOc1ccc([C@H](NC[C@H](C)COC)c2ccccc2)cc1 ZINC000525874441 335091382 /nfs/dbraw/zinc/09/13/82/335091382.db2.gz SJWKLBWBWSAIMU-OXJNMPFZSA-N 0 3 313.441 4.047 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1cc(F)cc(Cl)c1 ZINC000318257381 332788306 /nfs/dbraw/zinc/78/83/06/332788306.db2.gz IDUUSMIVTKKVQE-UHFFFAOYSA-N 0 3 322.811 4.161 20 0 DIADHN COc1ccc(OCCCNCc2ccc(SC)s2)cc1 ZINC000570731810 332807644 /nfs/dbraw/zinc/80/76/44/332807644.db2.gz UESZZVAEBQRBIV-UHFFFAOYSA-N 0 3 323.483 4.037 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2ccc(Br)cc2)c1 ZINC000570832920 332826730 /nfs/dbraw/zinc/82/67/30/332826730.db2.gz CXKHZYLHPXROGR-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1ccc(F)c(Cl)c1 ZINC000171130419 332857417 /nfs/dbraw/zinc/85/74/17/332857417.db2.gz ICOFESDUZPZBPB-LBPRGKRZSA-N 0 3 306.812 4.396 20 0 DIADHN COCCCC[C@H](NCc1ccc2c(n1)CCC2)c1ccccc1 ZINC000575014951 332863896 /nfs/dbraw/zinc/86/38/96/332863896.db2.gz ZBZNLQFWRFXMOX-FQEVSTJZSA-N 0 3 324.468 4.218 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2[nH]ccc2c1 ZINC000583332797 331482615 /nfs/dbraw/zinc/48/26/15/331482615.db2.gz UGGRLCAZFQQFNY-SFHVURJKSA-N 0 3 310.372 4.311 20 0 DIADHN Fc1ccc(CN[C@H]2CCc3c2cccc3Br)cc1 ZINC000222106185 331504243 /nfs/dbraw/zinc/50/42/43/331504243.db2.gz COOMGZLLIFYEQT-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN CCC1(CN[C@@H](COC)c2cccc(C(F)(F)F)c2)CC1 ZINC000538018115 331559367 /nfs/dbraw/zinc/55/93/67/331559367.db2.gz OZYXMHDIURJRIF-AWEZNQCLSA-N 0 3 301.352 4.173 20 0 DIADHN CCN(CC)C(=O)CCCNC(c1ccccc1)c1ccccc1 ZINC000180127297 331561781 /nfs/dbraw/zinc/56/17/81/331561781.db2.gz DFBALIBRXGTYKO-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN COCC(C)(C)CCCNCc1ncc(-c2ccccc2)s1 ZINC000584016796 331565725 /nfs/dbraw/zinc/56/57/25/331565725.db2.gz QBHDSOKTFWLQPL-UHFFFAOYSA-N 0 3 318.486 4.353 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3ccc(O)cc32)cc1F ZINC000322973879 331578186 /nfs/dbraw/zinc/57/81/86/331578186.db2.gz XNOOKLQINUVCRY-KPZWWZAWSA-N 0 3 315.388 4.268 20 0 DIADHN C[C@@H](NCc1cccc2c1OCCO2)c1cccc2ccccc21 ZINC000047495938 331581031 /nfs/dbraw/zinc/58/10/31/331581031.db2.gz MJJMZMWSKSNNJV-OAHLLOKOSA-N 0 3 319.404 4.462 20 0 DIADHN Cc1cc(CN2C3CCC2CC3)nc(-c2ccc(Cl)cc2)n1 ZINC000533558685 331583371 /nfs/dbraw/zinc/58/33/71/331583371.db2.gz BDZAXNAPGYMGLU-UHFFFAOYSA-N 0 3 313.832 4.232 20 0 DIADHN OC[C@H](N[C@H]1CCC12CCCC2)c1ccc(C(F)(F)F)cc1 ZINC000530545479 331591278 /nfs/dbraw/zinc/59/12/78/331591278.db2.gz UYEOXJKBRYQNEM-GJZGRUSLSA-N 0 3 313.363 4.051 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000109762368 331593315 /nfs/dbraw/zinc/59/33/15/331593315.db2.gz JQDBWXNOJIQPQY-GOEBONIOSA-N 0 3 310.507 4.074 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccccc1Cl)c1ccco1 ZINC000075114486 331594624 /nfs/dbraw/zinc/59/46/24/331594624.db2.gz MVPBOJGWOGGWET-INIZCTEOSA-N 0 3 306.837 4.106 20 0 DIADHN CCCCCc1ccc([C@H](NCc2ccnn2C)C2CC2)cc1 ZINC000538059206 331599009 /nfs/dbraw/zinc/59/90/09/331599009.db2.gz OCQGDQCTNIOZFN-FQEVSTJZSA-N 0 3 311.473 4.394 20 0 DIADHN FC(F)(F)OCCNCc1ccc(-c2ccccc2)s1 ZINC000398281664 331604470 /nfs/dbraw/zinc/60/44/70/331604470.db2.gz POLDMUKNVJXZFI-UHFFFAOYSA-N 0 3 301.333 4.041 20 0 DIADHN C=Cn1cc(CNC[C@H](C)c2c(Cl)cccc2Cl)cn1 ZINC000447814948 331608800 /nfs/dbraw/zinc/60/88/00/331608800.db2.gz CAWOZZGOABYGKE-NSHDSACASA-N 0 3 310.228 4.184 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2cccc(F)c2F)cc1 ZINC000530806575 331627665 /nfs/dbraw/zinc/62/76/65/331627665.db2.gz WLGZCVSEOIUSBC-PKOBYXMFSA-N 0 3 317.379 4.283 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3coc4ccccc34)n2)cc1 ZINC000530871583 331631793 /nfs/dbraw/zinc/63/17/93/331631793.db2.gz BDMJKWWADRDMQP-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CCCCn1cc(CN[C@H](C)c2ccc3c(c2)CCC3)c(C)n1 ZINC000538113597 331639151 /nfs/dbraw/zinc/63/91/51/331639151.db2.gz ISQUVWOVLSBXHC-OAHLLOKOSA-N 0 3 311.473 4.331 20 0 DIADHN Cc1ccc(CN[C@@H](C)CCc2ccc(Br)cc2)o1 ZINC000518760720 332921898 /nfs/dbraw/zinc/92/18/98/332921898.db2.gz RVCYADXGWVMEPN-LBPRGKRZSA-N 0 3 322.246 4.461 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(OC)c(O)c2)C1(CC)CC ZINC000564424754 331672649 /nfs/dbraw/zinc/67/26/49/331672649.db2.gz YNBRZUAKXCYCBI-DOPJRALCSA-N 0 3 321.461 4.035 20 0 DIADHN COc1cccc(CCN[C@@H](C)c2ccccc2OC(F)F)c1 ZINC000323073360 331675200 /nfs/dbraw/zinc/67/52/00/331675200.db2.gz PEQWBWZGENVMIY-ZDUSSCGKSA-N 0 3 321.367 4.190 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cncs1)Oc1cccc(Cl)c1 ZINC000537954968 331675344 /nfs/dbraw/zinc/67/53/44/331675344.db2.gz BNDMMXPKKBKGCO-AAEUAGOBSA-N 0 3 310.850 4.305 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cncs1)Oc1cccc(Cl)c1 ZINC000537954970 331675378 /nfs/dbraw/zinc/67/53/78/331675378.db2.gz BNDMMXPKKBKGCO-WCQYABFASA-N 0 3 310.850 4.305 20 0 DIADHN OCC1(NCc2ccc(-c3ccc(Cl)cc3)cc2)CCC1 ZINC000556413003 331702041 /nfs/dbraw/zinc/70/20/41/331702041.db2.gz HKRKSIMKSWAUBN-UHFFFAOYSA-N 0 3 301.817 4.012 20 0 DIADHN CS[C@H](C)CNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556413670 331702061 /nfs/dbraw/zinc/70/20/61/331702061.db2.gz KDUYARMLCOEFBY-SNVBAGLBSA-N 0 3 312.891 4.305 20 0 DIADHN C[C@H](CNCc1cnc(C(C)(C)C)nc1)Sc1ccccc1 ZINC000556599327 331719206 /nfs/dbraw/zinc/71/92/06/331719206.db2.gz LEROWVZLYGUWBZ-CQSZACIVSA-N 0 3 315.486 4.045 20 0 DIADHN COC1(CN[C@@H](c2ncc[nH]2)C2CCCCC2)CCC(C)CC1 ZINC000556879574 331746852 /nfs/dbraw/zinc/74/68/52/331746852.db2.gz FSFGLLSZUVXANO-URSIVYNOSA-N 0 3 319.493 4.216 20 0 DIADHN Fc1cccc(CNC[C@H]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC000530973983 331748659 /nfs/dbraw/zinc/74/86/59/331748659.db2.gz GMWDNJRCNLNAFE-CMPLNLGQSA-N 0 3 307.306 4.423 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H]1C)c1ccc(OC(F)F)cc1OC(F)F ZINC000556914841 331753128 /nfs/dbraw/zinc/75/31/28/331753128.db2.gz DELDTORKKAJMAP-VDDIYKPWSA-N 0 3 321.314 4.339 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000556921674 331754098 /nfs/dbraw/zinc/75/40/98/331754098.db2.gz QWSPIKWZXYNANG-KBPBESRZSA-N 0 3 309.413 4.292 20 0 DIADHN C[C@H](NC[C@@H]1CCOC[C@H]1C)c1cc(Cl)sc1Cl ZINC000556922168 331754115 /nfs/dbraw/zinc/75/41/15/331754115.db2.gz UGWIMALPVGOYSF-UTLUCORTSA-N 0 3 308.274 4.378 20 0 DIADHN CCc1noc(C)c1CNCCCc1c(F)cccc1Cl ZINC000556925983 331755088 /nfs/dbraw/zinc/75/50/88/331755088.db2.gz QPBIVWWQCACRGR-UHFFFAOYSA-N 0 3 310.800 4.060 20 0 DIADHN COC[C@H](NCc1cccc(-c2cccnc2)c1)c1ccccc1 ZINC000556967809 331759519 /nfs/dbraw/zinc/75/95/19/331759519.db2.gz REMIMLZUUMSUPE-NRFANRHFSA-N 0 3 318.420 4.226 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)NCc1cc(Cl)sc1Cl ZINC000312876409 331770683 /nfs/dbraw/zinc/77/06/83/331770683.db2.gz LURGHMVMJSJROX-VIFPVBQESA-N 0 3 316.253 4.481 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(OC3CCCC3)CC2)cc1 ZINC000255613413 331778648 /nfs/dbraw/zinc/77/86/48/331778648.db2.gz SRUSKODIGYODEW-ARJAWSKDSA-N 0 3 303.421 4.263 20 0 DIADHN COc1cc(CN[C@@H]2CCc3sc(Cl)cc32)ccc1C ZINC000557273572 331779882 /nfs/dbraw/zinc/77/98/82/331779882.db2.gz QPIBRYNEMJITJT-CYBMUJFWSA-N 0 3 307.846 4.496 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccc(F)cc1)c1cccc2ccccc21 ZINC000557269568 331780246 /nfs/dbraw/zinc/78/02/46/331780246.db2.gz DAHNQBFEMBOHAP-JLTOFOAXSA-N 0 3 309.384 4.363 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)NC[C@@H]3CC=CCC3)n2)ccc1F ZINC000557513050 331796243 /nfs/dbraw/zinc/79/62/43/331796243.db2.gz YASZKEWCXQAWLS-ZIAGYGMSSA-N 0 3 315.392 4.191 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2NCc2ccccc2Cl)cc1F ZINC000557903826 331824942 /nfs/dbraw/zinc/82/49/42/331824942.db2.gz CCTWSQPWAUSLSY-SJORKVTESA-N 0 3 323.770 4.238 20 0 DIADHN COC1(CN[C@@H](C)c2ccccc2Br)CCCC1 ZINC000311207815 331829115 /nfs/dbraw/zinc/82/91/15/331829115.db2.gz KBFOVBUMIIDUKM-LBPRGKRZSA-N 0 3 312.251 4.059 20 0 DIADHN CCC[C@H](NCc1cn(C)nc1-c1ccccc1)c1cccnc1 ZINC000186520917 332932571 /nfs/dbraw/zinc/93/25/71/332932571.db2.gz NUQAUUJTPWVFAS-IBGZPJMESA-N 0 3 320.440 4.113 20 0 DIADHN COC[C@@H](NC[C@H](C)Sc1ccccc1)c1ccccc1 ZINC000558241667 331886799 /nfs/dbraw/zinc/88/67/99/331886799.db2.gz RHVWMGACISYULT-MAUKXSAKSA-N 0 3 301.455 4.145 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC[C@@H](F)C2)cnn1-c1cccc(Cl)c1 ZINC000558257504 331889779 /nfs/dbraw/zinc/88/97/79/331889779.db2.gz QGRNMHYYIRKKKR-GLQYFDAESA-N 0 3 321.827 4.375 20 0 DIADHN Fc1ccc([C@@H](NCCC2(F)CCC2)c2cccnc2)cc1 ZINC000558260110 331890581 /nfs/dbraw/zinc/89/05/81/331890581.db2.gz XOZWBRBFHBZRIG-QGZVFWFLSA-N 0 3 302.368 4.182 20 0 DIADHN C/C=C\c1ccc(NC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000558266045 331891358 /nfs/dbraw/zinc/89/13/58/331891358.db2.gz SZCMOMVWSLQWNO-XQRVVYSFSA-N 0 3 309.413 4.425 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCOc3c(F)cccc31)[C@@H](C)C2 ZINC000558404743 331903261 /nfs/dbraw/zinc/90/32/61/331903261.db2.gz GXSGJMDEXDCADT-MJXNMMHHSA-N 0 3 311.400 4.481 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1CN[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000558418588 331905032 /nfs/dbraw/zinc/90/50/32/331905032.db2.gz JNGHOKMCJJIVPL-HYVNUMGLSA-N 0 3 321.317 4.272 20 0 DIADHN COc1ccc(CN(C)C)cc1NC/C(C)=C\c1ccccc1 ZINC000558600740 331927050 /nfs/dbraw/zinc/92/70/50/331927050.db2.gz GZZPNKFXCSAIAC-VBKFSLOCSA-N 0 3 310.441 4.272 20 0 DIADHN CC(C)CCOc1ccccc1CN[C@H]1CCC12CCOCC2 ZINC000558621888 331928070 /nfs/dbraw/zinc/92/80/70/331928070.db2.gz JLAAQIWFJSOUNV-IBGZPJMESA-N 0 3 317.473 4.160 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cc(C)sc1C ZINC000558680947 331934926 /nfs/dbraw/zinc/93/49/26/331934926.db2.gz LJZKWKVGFAGZSW-MRXNPFEDSA-N 0 3 305.418 4.290 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1CN(C)C)C1CCCCC1 ZINC000558700123 331936884 /nfs/dbraw/zinc/93/68/84/331936884.db2.gz PQXPFWIQNCUXNW-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN COC[C@H](NC[C@@H](OC)c1ccccc1)c1ccc(Cl)cc1 ZINC000558828700 331947745 /nfs/dbraw/zinc/94/77/45/331947745.db2.gz IYXWITPZOBJANN-ZWKOTPCHSA-N 0 3 319.832 4.005 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2sc(C)nc2C)cc1F ZINC000040517952 331948699 /nfs/dbraw/zinc/94/86/99/331948699.db2.gz GFDAVOZHWQVSNV-NXEZZACHSA-N 0 3 308.422 4.319 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)co2)cc1F ZINC000426770303 331964930 /nfs/dbraw/zinc/96/49/30/331964930.db2.gz QQUPGOZXAKAMGJ-ZIAGYGMSSA-N 0 3 318.367 4.242 20 0 DIADHN FC(F)(F)c1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC000517781128 331969347 /nfs/dbraw/zinc/96/93/47/331969347.db2.gz AGGPFOLFZUGWDY-UHFFFAOYSA-N 0 3 319.326 4.271 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCN(Cc2ccco2)CC1 ZINC000517834857 331972735 /nfs/dbraw/zinc/97/27/35/331972735.db2.gz BSETWHPJTNFTGA-KRWDZBQOSA-N 0 3 320.436 4.312 20 0 DIADHN c1cc2cc(CNCc3ccccc3N3CCCCC3)ccc2[nH]1 ZINC000517960187 331980755 /nfs/dbraw/zinc/98/07/55/331980755.db2.gz JXHNBLOIYDNERY-UHFFFAOYSA-N 0 3 319.452 4.448 20 0 DIADHN CCc1nc(C(C)C)ccc1CNC(C)(C)c1ccc(C)nc1 ZINC000559151527 331982717 /nfs/dbraw/zinc/98/27/17/331982717.db2.gz AAOYPQIZWJGELA-UHFFFAOYSA-N 0 3 311.473 4.496 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3c(c2)OCCCO3)c(C)s1 ZINC000126088355 331992981 /nfs/dbraw/zinc/99/29/81/331992981.db2.gz AHJNXHWRKAQMDC-CYBMUJFWSA-N 0 3 317.454 4.377 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cccs2)cc(OC)c1OC ZINC000127284360 332000765 /nfs/dbraw/zinc/00/07/65/332000765.db2.gz BRBAQOJCVLXEQW-NWDGAFQWSA-N 0 3 321.442 4.186 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCCSc2ccccc2)c1 ZINC000127311842 332001060 /nfs/dbraw/zinc/00/10/60/332001060.db2.gz HZURVYANSAUPTD-AWEZNQCLSA-N 0 3 314.454 4.088 20 0 DIADHN Cc1cc(C)cc(OCCNCc2ccc(OC(F)F)cc2)c1 ZINC000518263326 332002545 /nfs/dbraw/zinc/00/25/45/332002545.db2.gz FWSPIKFPGWOSGV-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCc1ccc2[nH]cnc2c1 ZINC000518266177 332002969 /nfs/dbraw/zinc/00/29/69/332002969.db2.gz NUKKAVRCDXPJNI-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCc1ccc2nc[nH]c2c1 ZINC000518266177 332002971 /nfs/dbraw/zinc/00/29/71/332002971.db2.gz NUKKAVRCDXPJNI-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN c1cnn(-c2ccc(CN[C@@H](c3cccs3)C3CC3)cc2)c1 ZINC000518271901 332003964 /nfs/dbraw/zinc/00/39/64/332003964.db2.gz PHRQEMBWAWPKPH-GOSISDBHSA-N 0 3 309.438 4.175 20 0 DIADHN COCCC1(CN[C@@H](C)c2cc(Cl)ccc2Cl)CC1 ZINC000090536661 332005242 /nfs/dbraw/zinc/00/52/42/332005242.db2.gz XDSAVPIFDMUAOR-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN CCc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)nc1 ZINC000559267352 332009791 /nfs/dbraw/zinc/00/97/91/332009791.db2.gz MSBUQIIECCKJTL-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN Cc1sccc1CN[C@H]1CCCO[C@@H]1c1ccc(C)cc1 ZINC000559272752 332011653 /nfs/dbraw/zinc/01/16/53/332011653.db2.gz AXNXFAWKYLUDOP-ZWKOTPCHSA-N 0 3 301.455 4.375 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H]2CCO[C@H]2c2ccccc2)c1 ZINC000559273391 332011867 /nfs/dbraw/zinc/01/18/67/332011867.db2.gz MOVVVINLLCVCSB-MSOLQXFVSA-N 0 3 301.817 4.268 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H]2CCO[C@@H]2c2ccccc2)c1 ZINC000559273394 332011875 /nfs/dbraw/zinc/01/18/75/332011875.db2.gz MOVVVINLLCVCSB-ZWKOTPCHSA-N 0 3 301.817 4.268 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(OC)c2)cc1 ZINC000128479870 332012000 /nfs/dbraw/zinc/01/20/00/332012000.db2.gz WEHUOSAMYUIRKE-KGLIPLIRSA-N 0 3 315.413 4.124 20 0 DIADHN COCc1cccc(CN[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000090714680 332019672 /nfs/dbraw/zinc/01/96/72/332019672.db2.gz ZABWSGBOHQSWKG-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@@H](c2ccccc2F)C1 ZINC000559301644 332020796 /nfs/dbraw/zinc/02/07/96/332020796.db2.gz FKMLPBDQYMYWEY-CQSZACIVSA-N 0 3 302.393 4.235 20 0 DIADHN c1onc(Cc2ccccc2)c1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000559301755 332020932 /nfs/dbraw/zinc/02/09/32/332020932.db2.gz JOJUUFCOTSYJGM-KESTWPANSA-N 0 3 318.420 4.301 20 0 DIADHN Cc1cc(CN2CCC(OCC3CCCC3)CC2)cc(C)c1O ZINC000518390756 332024585 /nfs/dbraw/zinc/02/45/85/332024585.db2.gz GVDDAFGJJNGNCL-UHFFFAOYSA-N 0 3 317.473 4.180 20 0 DIADHN Cc1ccccc1CN[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000559333658 332028208 /nfs/dbraw/zinc/02/82/08/332028208.db2.gz QIIQHOZXDGKHFF-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN Fc1cc(CNCc2cccc3[nH]ccc32)ccc1OC(F)F ZINC000559335132 332028727 /nfs/dbraw/zinc/02/87/27/332028727.db2.gz ZYLNAJCDNGPCSC-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cn2ccccc2n1)Sc1ccccc1 ZINC000569355813 332029350 /nfs/dbraw/zinc/02/93/50/332029350.db2.gz ZMIMJQJIJWEEAY-GJZGRUSLSA-N 0 3 311.454 4.166 20 0 DIADHN Cc1ccc2cc([C@H](C)NCc3ccc4cnn(C)c4c3)oc2c1 ZINC000564653731 332029788 /nfs/dbraw/zinc/02/97/88/332029788.db2.gz BBZIMFHORPZVCE-AWEZNQCLSA-N 0 3 319.408 4.479 20 0 DIADHN CC(C)(C)OC1CCN(C[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000409447237 332031515 /nfs/dbraw/zinc/03/15/15/332031515.db2.gz CXNDTOHVZXYIRR-KRWDZBQOSA-N 0 3 309.494 4.148 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)on1 ZINC000409447257 332031677 /nfs/dbraw/zinc/03/16/77/332031677.db2.gz HYYISQZAGCSQNK-HZPDHXFCSA-N 0 3 304.434 4.002 20 0 DIADHN COC(C)(C)CCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000409446852 332032556 /nfs/dbraw/zinc/03/25/56/332032556.db2.gz NNWLERZASLLHMC-AWEZNQCLSA-N 0 3 304.459 4.248 20 0 DIADHN CC[C@H](CCO)N[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131827858 332038943 /nfs/dbraw/zinc/03/89/43/332038943.db2.gz XDFKJNZCHSWVMO-RKDXNWHRSA-N 0 3 310.652 4.458 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)o1 ZINC000426307412 332041628 /nfs/dbraw/zinc/04/16/28/332041628.db2.gz QSUTTXZAHKKXGA-NVGCLXPQSA-N 0 3 305.443 4.257 20 0 DIADHN C[C@H](NCCc1cccc2[nH]ccc21)c1cc(F)ccc1F ZINC000409840647 332059515 /nfs/dbraw/zinc/05/95/15/332059515.db2.gz AXAGAYUWPGYMJQ-LBPRGKRZSA-N 0 3 300.352 4.339 20 0 DIADHN Cc1ccoc1CN[C@H](C)CC(=O)Nc1cc(Cl)ccc1C ZINC000426336488 332060266 /nfs/dbraw/zinc/06/02/66/332060266.db2.gz IILFIZCCWDMPJO-CYBMUJFWSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@H]3C)n2)cc1F ZINC000409852901 332060588 /nfs/dbraw/zinc/06/05/88/332060588.db2.gz AXYWOBILRGJKCN-TYNCELHUSA-N 0 3 303.381 4.023 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@@H]3C)n2)cc1F ZINC000409852899 332060611 /nfs/dbraw/zinc/06/06/11/332060611.db2.gz AXYWOBILRGJKCN-HUBLWGQQSA-N 0 3 303.381 4.023 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(C)c2)cc1 ZINC000091467307 332069908 /nfs/dbraw/zinc/06/99/08/332069908.db2.gz WOJPORQUCIYLPC-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN Cc1cc(CNCc2cccc(F)c2)ccc1Br ZINC000091467566 332070092 /nfs/dbraw/zinc/07/00/92/332070092.db2.gz FKTHECOGZKQEEB-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN C[C@H](O)[C@@H]1CCCCN1Cc1sc2ccccc2c1Cl ZINC000518582226 332085027 /nfs/dbraw/zinc/08/50/27/332085027.db2.gz JWZDUGZXWBWZBQ-AAEUAGOBSA-N 0 3 309.862 4.290 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000090468828 332088737 /nfs/dbraw/zinc/08/87/37/332088737.db2.gz VASXVVCEVXFVLD-HNNXBMFYSA-N 0 3 308.425 4.039 20 0 DIADHN Cc1noc(CN(CC2CCCCC2)CC2CCCCC2)n1 ZINC000518598470 332089921 /nfs/dbraw/zinc/08/99/21/332089921.db2.gz FYJYANINTRUQDC-UHFFFAOYSA-N 0 3 305.466 4.341 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H](C)CN2CCC(C)CC2)cs1 ZINC000569472935 332099480 /nfs/dbraw/zinc/09/94/80/332099480.db2.gz UQGPANOOUMNJCX-LSDHHAIUSA-N 0 3 309.523 4.038 20 0 DIADHN c1cnn(Cc2ccccc2CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000091268303 332102557 /nfs/dbraw/zinc/10/25/57/332102557.db2.gz SHALSVWNKLVGJB-NRFANRHFSA-N 0 3 317.436 4.172 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000410230254 332103406 /nfs/dbraw/zinc/10/34/06/332103406.db2.gz NCDSRSIXCZIHMF-YESZJQIVSA-N 0 3 322.452 4.094 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cnc3ccccc3c2)cc1 ZINC000410299436 332109632 /nfs/dbraw/zinc/10/96/32/332109632.db2.gz URBKEEZCBQAOEO-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cccc2oc(CCNCc3cc(Cl)cs3)nc21 ZINC000410316042 332109863 /nfs/dbraw/zinc/10/98/63/332109863.db2.gz CXWQTNBSPIALFF-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc([C@@H]4C[C@@H]4C)o3)nc21 ZINC000410323029 332111080 /nfs/dbraw/zinc/11/10/80/332111080.db2.gz KYMDCSNZEILWTQ-DZGCQCFKSA-N 0 3 310.397 4.185 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(Cl)cc3F)nc21 ZINC000410317170 332111240 /nfs/dbraw/zinc/11/12/40/332111240.db2.gz JLFBAVGRMRNKMR-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN CN(C)C[C@@H](NCc1cc(F)cc(F)c1)c1ccc(Cl)cc1 ZINC000569507876 332112246 /nfs/dbraw/zinc/11/22/46/332112246.db2.gz NOXZEGHXYXGHOE-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN CSc1ccc(CN[C@@H](C)COc2cccc(C)c2)cc1 ZINC000520946958 332112497 /nfs/dbraw/zinc/11/24/97/332112497.db2.gz WXKFXNAYKKMEBM-HNNXBMFYSA-N 0 3 301.455 4.274 20 0 DIADHN CC(C)n1nccc1CN(CCc1ccccc1)C1CCCC1 ZINC000410339720 332113041 /nfs/dbraw/zinc/11/30/41/332113041.db2.gz ZZIKVZPKDUSGEY-UHFFFAOYSA-N 0 3 311.473 4.451 20 0 DIADHN CCN(CC1=Cc2ccccc2OC1)[C@H](C)c1cccc(O)c1 ZINC000091975099 332116373 /nfs/dbraw/zinc/11/63/73/332116373.db2.gz PXFTUKIWYRFJLL-OAHLLOKOSA-N 0 3 309.409 4.251 20 0 DIADHN C[C@H]1CCN(Cc2c(Cl)cccc2N2CCCC2)CCS1 ZINC000426405535 332120091 /nfs/dbraw/zinc/12/00/91/332120091.db2.gz RDCBROHTMXLYTG-AWEZNQCLSA-N 0 3 324.921 4.268 20 0 DIADHN CN(CCCC(C)(C)C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000410377682 332120446 /nfs/dbraw/zinc/12/04/46/332120446.db2.gz FTODKUOVDZGTIZ-GOSISDBHSA-N 0 3 317.452 4.407 20 0 DIADHN CC(C)C[C@@H](C)CN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000410379344 332120912 /nfs/dbraw/zinc/12/09/12/332120912.db2.gz OYVPSJDKEXIHTB-DNVCBOLYSA-N 0 3 317.452 4.263 20 0 DIADHN CCCCN(Cc1cnc2cnccn12)[C@H](C)c1ccccc1 ZINC000092263415 332122397 /nfs/dbraw/zinc/12/23/97/332122397.db2.gz ZIELPDQJVCWKBQ-MRXNPFEDSA-N 0 3 308.429 4.093 20 0 DIADHN C[C@H]1CN(Cc2cccc3[nH]ccc32)C[C@H](c2ccccc2)O1 ZINC000092486665 332131517 /nfs/dbraw/zinc/13/15/17/332131517.db2.gz BCEXVZINIYQBJY-MGPUTAFESA-N 0 3 306.409 4.130 20 0 DIADHN COCCCN(C)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000092536866 332133320 /nfs/dbraw/zinc/13/33/20/332133320.db2.gz QHOXRQZFSNPQFY-UHFFFAOYSA-N 0 3 316.426 4.025 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1csc(C(C)(C)C)n1 ZINC000132961132 332135042 /nfs/dbraw/zinc/13/50/42/332135042.db2.gz ADKPXOLAVCEKJG-CQSZACIVSA-N 0 3 302.487 4.470 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2cccc(C(F)(F)F)n2)CS1 ZINC000449402829 332136543 /nfs/dbraw/zinc/13/65/43/332136543.db2.gz SWMHMGKBDIEJOB-AAEUAGOBSA-N 0 3 318.408 4.110 20 0 DIADHN c1cn(C2CCN(Cc3ccc(-c4ccccc4)s3)CC2)cn1 ZINC000092648680 332137210 /nfs/dbraw/zinc/13/72/10/332137210.db2.gz VLPWXMJBGWBSSF-UHFFFAOYSA-N 0 3 323.465 4.449 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@@H]2CSC2(C)C)cc1 ZINC000569555967 332137530 /nfs/dbraw/zinc/13/75/30/332137530.db2.gz MRVNMMNZOOSYRK-GOSISDBHSA-N 0 3 313.466 4.346 20 0 DIADHN C[C@@H](NCCc1ccccc1F)c1nc2c(s1)CCCC2 ZINC000093369641 332137870 /nfs/dbraw/zinc/13/78/70/332137870.db2.gz JDBADNOEFMAMNN-GFCCVEGCSA-N 0 3 304.434 4.054 20 0 DIADHN COc1ccccc1/C=C/CN(CCc1nccs1)C(C)C ZINC000093764233 332142213 /nfs/dbraw/zinc/14/22/13/332142213.db2.gz FXHIHNMETAYHJJ-SOFGYWHQSA-N 0 3 316.470 4.118 20 0 DIADHN CCOC(=O)CCCCN[C@H](C)c1cc(Cl)ccc1Cl ZINC000426780674 332152486 /nfs/dbraw/zinc/15/24/86/332152486.db2.gz VBNYQEPQWPIAMK-LLVKDONJSA-N 0 3 318.244 4.377 20 0 DIADHN Clc1ccc(-c2noc(CN3C[C@@H]4CCCC[C@H]4C3)n2)cc1 ZINC000426794489 332154482 /nfs/dbraw/zinc/15/44/82/332154482.db2.gz RWRVTEKLDGGULG-KBPBESRZSA-N 0 3 317.820 4.012 20 0 DIADHN C[C@]12CCN(Cc3ccc(C(F)(F)F)cc3)C[C@H]1C2(F)F ZINC000428706193 332155309 /nfs/dbraw/zinc/15/53/09/332155309.db2.gz DZEWMZBVMFSUPV-OLZOCXBDSA-N 0 3 305.290 4.183 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC(c3noc(C(C)C)n3)CC2)c1 ZINC000428711621 332158975 /nfs/dbraw/zinc/15/89/75/332158975.db2.gz QWBMVQBTSZLOSN-OAHLLOKOSA-N 0 3 313.445 4.442 20 0 DIADHN COc1ccc([C@H]2CCN([C@@H](C)c3nc4ccccc4o3)C2)cc1 ZINC000181042934 332173281 /nfs/dbraw/zinc/17/32/81/332173281.db2.gz RXDVZXXXZXDNOR-HOCLYGCPSA-N 0 3 322.408 4.387 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@H]1c1ccccc1)c1ccc(Cl)s1 ZINC000584261503 332174032 /nfs/dbraw/zinc/17/40/32/332174032.db2.gz HECKYHJWFOUNRH-JDFRZJQESA-N 0 3 321.873 4.218 20 0 DIADHN COc1ccccc1/C=C/CN1CCC(OC2CCCC2)CC1 ZINC000092758828 332190597 /nfs/dbraw/zinc/19/05/97/332190597.db2.gz AEDBPFQPYXJYOH-SOFGYWHQSA-N 0 3 315.457 4.132 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(OC3CCCC3)CC2)cc1 ZINC000092758891 332192195 /nfs/dbraw/zinc/19/21/95/332192195.db2.gz SRUSKODIGYODEW-ONEGZZNKSA-N 0 3 303.421 4.263 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCc2c(C)cc(C)cc2C)c1 ZINC000215644198 332193057 /nfs/dbraw/zinc/19/30/57/332193057.db2.gz SMAVFJCAMNSIKA-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1nccnc1[C@@H](C)NC[C@@H]1C[C@@H](C(C)C)c2ccccc21 ZINC000448027169 332197184 /nfs/dbraw/zinc/19/71/84/332197184.db2.gz LRXLRKIKELRNDW-GJYPPUQNSA-N 0 3 309.457 4.363 20 0 DIADHN Cc1ncsc1CCN[C@H](c1ccc(F)cc1F)C(C)C ZINC000181656202 332205855 /nfs/dbraw/zinc/20/58/55/332205855.db2.gz BIMOUVOFYIKLKZ-INIZCTEOSA-N 0 3 310.413 4.259 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3nc(C)sc3c2)cc1 ZINC000426062842 335098865 /nfs/dbraw/zinc/09/88/65/335098865.db2.gz YOEFZOONPBYITH-UHFFFAOYSA-N 0 3 312.438 4.245 20 0 DIADHN CCN(CCN[C@H](C)c1cccc(Cl)c1)CCC(F)(F)F ZINC000426063197 335098882 /nfs/dbraw/zinc/09/88/82/335098882.db2.gz RXXDPKOHCVOWJE-GFCCVEGCSA-N 0 3 322.802 4.265 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@H](OC)c2ccc(F)cc2)s1 ZINC000536417940 332241761 /nfs/dbraw/zinc/24/17/61/332241761.db2.gz GHWXYCZICJLBLU-PXAZEXFGSA-N 0 3 307.434 4.315 20 0 DIADHN Cc1ncsc1CCNCc1ccc(F)c(Cl)c1Cl ZINC000449469347 332254878 /nfs/dbraw/zinc/25/48/78/332254878.db2.gz SZPWVQQYNFYWLI-UHFFFAOYSA-N 0 3 319.232 4.230 20 0 DIADHN CCCCN(CC(=O)Nc1c(F)cccc1F)C1CCCCC1 ZINC000559479693 332263761 /nfs/dbraw/zinc/26/37/61/332263761.db2.gz LSFDUXWVNIKZKM-UHFFFAOYSA-N 0 3 324.415 4.338 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2c(C)nsc2C)cc1 ZINC000555879184 332265979 /nfs/dbraw/zinc/26/59/79/332265979.db2.gz WBELKNDLIOUVKY-SFHVURJKSA-N 0 3 316.470 4.496 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1ccc(N2CCCC2=O)cc1 ZINC000152275260 332272216 /nfs/dbraw/zinc/27/22/16/332272216.db2.gz NMJYRTXCEMGUCR-KDOFPFPSSA-N 0 3 324.424 4.135 20 0 DIADHN Cc1csc(CN[C@H](Cc2ccccc2Cl)C2CC2)n1 ZINC000559735396 332277833 /nfs/dbraw/zinc/27/78/33/332277833.db2.gz IUSVZUWCHJUATA-OAHLLOKOSA-N 0 3 306.862 4.216 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCC1)c1ccncc1F ZINC000559754399 332279202 /nfs/dbraw/zinc/27/92/02/332279202.db2.gz ZPEDRYJRBUCETA-ZDUSSCGKSA-N 0 3 302.368 4.132 20 0 DIADHN c1nc(CCN(Cc2ccccc2)Cc2ccccc2)cs1 ZINC000559774337 332280967 /nfs/dbraw/zinc/28/09/67/332280967.db2.gz PQGDOFZZHPDSMT-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccc(OC(F)F)cc1 ZINC000109783090 332283814 /nfs/dbraw/zinc/28/38/14/332283814.db2.gz QNTSTBRNCBYQFZ-GFCCVEGCSA-N 0 3 307.340 4.187 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1ccc(F)c2ccccc21 ZINC000559845812 332284909 /nfs/dbraw/zinc/28/49/09/332284909.db2.gz UUJLUTMGMWBEFE-CQSZACIVSA-N 0 3 323.415 4.363 20 0 DIADHN C[C@@H](NCCc1cc2ccccc2o1)c1ccc2c(c1)OCO2 ZINC000537974984 332287287 /nfs/dbraw/zinc/28/72/87/332287287.db2.gz WXSQELRQDCRTKC-CYBMUJFWSA-N 0 3 309.365 4.055 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1cc2ccccc2s1 ZINC000559879737 332287757 /nfs/dbraw/zinc/28/77/57/332287757.db2.gz YWVZYSJWPXUFLL-GFCCVEGCSA-N 0 3 305.443 4.111 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000559879052 332288919 /nfs/dbraw/zinc/28/89/19/332288919.db2.gz SXWSPVPVHORHKU-STQMWFEESA-N 0 3 302.443 4.311 20 0 DIADHN Cc1c([C@H](C)NCC[C@H](C)F)cnn1-c1cc(C)ccc1C ZINC000559891380 332289871 /nfs/dbraw/zinc/28/98/71/332289871.db2.gz QOEAOXAXGFQFPR-GJZGRUSLSA-N 0 3 303.425 4.196 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3ccnc(OC)c3)ccc2c1 ZINC000559892450 332290267 /nfs/dbraw/zinc/29/02/67/332290267.db2.gz FKDBLVRBVQMYNS-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN C[C@@H](F)CCN[C@@H](c1ccc(Br)cc1F)C1CC1 ZINC000559892550 332290296 /nfs/dbraw/zinc/29/02/96/332290296.db2.gz XXVZXNYUIMSTOZ-YMTOWFKASA-N 0 3 318.205 4.377 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccc1F)c1cn2ccccc2n1 ZINC000559894318 332290589 /nfs/dbraw/zinc/29/05/89/332290589.db2.gz KYZZEXNQIAADQU-AWEZNQCLSA-N 0 3 311.404 4.102 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)NC3CCC(C)CC3)n2)ccc1F ZINC000559899874 332291704 /nfs/dbraw/zinc/29/17/04/332291704.db2.gz GPMGNUGUWPOEFQ-GLWUULTISA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccncc1F)Oc1cccc(Cl)c1 ZINC000559917458 332292878 /nfs/dbraw/zinc/29/28/78/332292878.db2.gz PPXSQPPJOSYLNZ-GXTWGEPZSA-N 0 3 322.811 4.382 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@@H](C)N[C@H]2CCC[C@H]2F)cc1 ZINC000559920006 332293774 /nfs/dbraw/zinc/29/37/74/332293774.db2.gz SGLOSKMHOIPRAQ-XYPHTWIQSA-N 0 3 321.440 4.102 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)N(C)Cc1ccccc1)c1ccccc1 ZINC000096967049 332295210 /nfs/dbraw/zinc/29/52/10/332295210.db2.gz ZYAJURFPOGHPPP-PXNSSMCTSA-N 0 3 324.468 4.020 20 0 DIADHN Cc1ccc(Cc2nc(-c3ccc(CN(C)C)cc3)no2)cc1C ZINC000531110254 332300632 /nfs/dbraw/zinc/30/06/32/332300632.db2.gz CYVIYNSOHCKAMU-UHFFFAOYSA-N 0 3 321.424 4.006 20 0 DIADHN Cn1nccc1[C@H]1CCC[C@@H](NCc2c(F)cccc2Cl)C1 ZINC000560024309 332302846 /nfs/dbraw/zinc/30/28/46/332302846.db2.gz CXMWRIHBRQVGII-QWHCGFSZSA-N 0 3 321.827 4.029 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCC[C@@H]3c3ccccc3)cn2c1 ZINC000560048621 332305999 /nfs/dbraw/zinc/30/59/99/332305999.db2.gz NYJMQWRFFBXLIA-RTBURBONSA-N 0 3 305.425 4.069 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CC(F)(F)F)c2ccc(F)cc2)C[C@H](C)O1 ZINC000560116669 332312184 /nfs/dbraw/zinc/31/21/84/332312184.db2.gz UGWLBUZDVCVSGA-FDRIWYBQSA-N 0 3 319.342 4.365 20 0 DIADHN Clc1ccc(CNCc2c[nH]nc2-c2cccs2)s1 ZINC000049443858 332313209 /nfs/dbraw/zinc/31/32/09/332313209.db2.gz ARAPCQTYLJJCIW-UHFFFAOYSA-N 0 3 309.847 4.143 20 0 DIADHN CC(C)(C)OC(=O)CCCCN1CCC(CC(F)(F)F)CC1 ZINC000560170064 332316812 /nfs/dbraw/zinc/31/68/12/332316812.db2.gz FSHRGXLGRRDGMJ-UHFFFAOYSA-N 0 3 323.399 4.163 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1ccc(C(F)(F)F)cn1 ZINC000560196483 332318693 /nfs/dbraw/zinc/31/86/93/332318693.db2.gz WKSDQFGMVGLSTQ-NSHDSACASA-N 0 3 314.273 4.167 20 0 DIADHN CCc1cc(CCCN[C@H](C)c2ccccc2OC(C)C)on1 ZINC000560239519 332321497 /nfs/dbraw/zinc/32/14/97/332321497.db2.gz ZAHBDFDFNWWNHK-OAHLLOKOSA-N 0 3 316.445 4.308 20 0 DIADHN COc1ncc([C@H](C)NC2(Cc3ccccc3)CC2)cc1Cl ZINC000560238896 332321743 /nfs/dbraw/zinc/32/17/43/332321743.db2.gz VPQWWPJZILBRJF-ZDUSSCGKSA-N 0 3 316.832 4.170 20 0 DIADHN COc1ncc([C@@H](C)N[C@H](C)Cc2ccsc2)cc1Cl ZINC000560244712 332322571 /nfs/dbraw/zinc/32/25/71/332322571.db2.gz FXRUJOVLISKIQA-GHMZBOCLSA-N 0 3 310.850 4.087 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H](CO)c2ccccc2)cc1 ZINC000560244356 332322625 /nfs/dbraw/zinc/32/26/25/332322625.db2.gz BQBIZDGLLHCQJA-OXQOHEQNSA-N 0 3 313.441 4.250 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cnc(C(C)(C)C)nc2)cc1 ZINC000560261740 332325117 /nfs/dbraw/zinc/32/51/17/332325117.db2.gz UUKYBOJDNBWNEW-INIZCTEOSA-N 0 3 311.473 4.146 20 0 DIADHN c1ccc(OC2CCCC2)c(CN2CCC[C@@H]2[C@@H]2CCCO2)c1 ZINC000560267102 332325360 /nfs/dbraw/zinc/32/53/60/332325360.db2.gz NEKPBFMEWWPIRJ-QUCCMNQESA-N 0 3 315.457 4.151 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)NCc2cnc3n2CCCC3)cc1 ZINC000560267208 332325399 /nfs/dbraw/zinc/32/53/99/332325399.db2.gz PRBXFRLSUKKKRC-MRXNPFEDSA-N 0 3 311.473 4.269 20 0 DIADHN c1ccc(OC2CCCC2)c(CN2CCC[C@H]2[C@H]2CCCO2)c1 ZINC000560267100 332325478 /nfs/dbraw/zinc/32/54/78/332325478.db2.gz NEKPBFMEWWPIRJ-AZUAARDMSA-N 0 3 315.457 4.151 20 0 DIADHN C[C@H](CNCc1ccc(C(F)F)cc1)Oc1ccccc1F ZINC000525989564 332333322 /nfs/dbraw/zinc/33/33/22/332333322.db2.gz LFZBZKNCZUXFST-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cnc(C(C)C)s3)C2)cc1 ZINC000092783180 332333397 /nfs/dbraw/zinc/33/33/97/332333397.db2.gz GJMFNMTWYZRFAN-HNNXBMFYSA-N 0 3 316.470 4.265 20 0 DIADHN c1csc([C@H]2C[C@H](NCc3cnc(C4CC4)s3)CCO2)c1 ZINC000560362226 332334227 /nfs/dbraw/zinc/33/42/27/332334227.db2.gz VAQKBGZWTYMBOY-TZMCWYRMSA-N 0 3 320.483 4.092 20 0 DIADHN CC[C@@H](NCc1cnc(N(CC)CC)s1)c1ccccc1 ZINC000050198293 332341512 /nfs/dbraw/zinc/34/15/12/332341512.db2.gz RCHSUVXYELBPFS-MRXNPFEDSA-N 0 3 303.475 4.230 20 0 DIADHN COC(=O)c1cccc(CNCc2ccc(C3CCC3)cc2)c1 ZINC000560523839 332350739 /nfs/dbraw/zinc/35/07/39/332350739.db2.gz DXOHNSRSNWNSSP-UHFFFAOYSA-N 0 3 309.409 4.031 20 0 DIADHN FC1(F)CCC(CN[C@@H](c2ncc[nH]2)C2CCCCC2)CC1 ZINC000560543392 332356085 /nfs/dbraw/zinc/35/60/85/332356085.db2.gz JWZZMCZNKRGVLM-OAHLLOKOSA-N 0 3 311.420 4.446 20 0 DIADHN Cc1cccc2ncc(CN3CCC[C@H](c4ccccc4)C3)n21 ZINC000560542858 332356117 /nfs/dbraw/zinc/35/61/17/332356117.db2.gz YZEXAVWXFOWKLX-SFHVURJKSA-N 0 3 305.425 4.022 20 0 DIADHN CS[C@H](CN[C@@H](c1ncc[nH]1)C1CCCCC1)C(C)(C)C ZINC000560548624 332357751 /nfs/dbraw/zinc/35/77/51/332357751.db2.gz JTRBWCBVLWPHFJ-HUUCEWRRSA-N 0 3 309.523 4.398 20 0 DIADHN CC1(C)CN(CCCCOc2ccccc2)[C@@H]1c1ccncc1 ZINC000560552041 332358638 /nfs/dbraw/zinc/35/86/38/332358638.db2.gz WTYIDKFZKCEWTQ-LJQANCHMSA-N 0 3 310.441 4.324 20 0 DIADHN CC(C)[C@H]1CC[C@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000560553470 332359197 /nfs/dbraw/zinc/35/91/97/332359197.db2.gz UGSNIQMZWHYJEX-QZTJIDSGSA-N 0 3 304.503 4.082 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccc(Br)o3)C2)cc1 ZINC000560557821 332359580 /nfs/dbraw/zinc/35/95/80/332359580.db2.gz ZVOKFPRHYHUNJJ-AULYBMBSSA-N 0 3 324.193 4.217 20 0 DIADHN COC[C@H]1CCN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000560569155 332360819 /nfs/dbraw/zinc/36/08/19/332360819.db2.gz SHHRPPACCZYEPO-GFCCVEGCSA-N 0 3 307.846 4.289 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1c(F)cncc1F ZINC000560577112 332361448 /nfs/dbraw/zinc/36/14/48/332361448.db2.gz HIFYQDGCYQRXOY-CWRNSKLLSA-N 0 3 316.395 4.422 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)[C@H](CO)C(C)(C)C ZINC000560574135 332362973 /nfs/dbraw/zinc/36/29/73/332362973.db2.gz NTMCRYUACDBOBC-OAHLLOKOSA-N 0 3 310.507 4.030 20 0 DIADHN Cc1ccc([C@@H](NCc2cnn3ccccc23)C2CCC2)cc1 ZINC000560573737 332363158 /nfs/dbraw/zinc/36/31/58/332363158.db2.gz APMRYHWXOQTEAX-FQEVSTJZSA-N 0 3 305.425 4.274 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](c1nccn1C)C1CC1 ZINC000560575868 332363545 /nfs/dbraw/zinc/36/35/45/332363545.db2.gz TVCFHKJRSUGBLW-DCGLDWPTSA-N 0 3 317.864 4.306 20 0 DIADHN CC[C@H](NC1(c2cccc(OC)c2)CC1)c1cccc(OC)c1 ZINC000560575134 332363788 /nfs/dbraw/zinc/36/37/88/332363788.db2.gz VCTOHNVJQHQMQN-IBGZPJMESA-N 0 3 311.425 4.434 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCC1(c2ccccn2)CCC1 ZINC000560580728 332364188 /nfs/dbraw/zinc/36/41/88/332364188.db2.gz IPUKKCQWMOMSIF-INIZCTEOSA-N 0 3 310.441 4.171 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cccc(N2CCCC2=O)c1 ZINC000119129248 332364644 /nfs/dbraw/zinc/36/46/44/332364644.db2.gz ALNMMPGVPLLYFI-MGPUTAFESA-N 0 3 320.436 4.152 20 0 DIADHN Cc1cc(CCN[C@@H](C)c2cc(F)c(Cl)cc2Cl)on1 ZINC000560582398 332364801 /nfs/dbraw/zinc/36/48/01/332364801.db2.gz BSQYNURQZCCEDQ-VIFPVBQESA-N 0 3 317.191 4.322 20 0 DIADHN C[C@H](N[C@H]1CSC[C@H]1C)c1cc(Cl)ccc1OC(F)F ZINC000560585807 332365385 /nfs/dbraw/zinc/36/53/85/332365385.db2.gz HKBXLPQAURMBIV-PTRXPTGYSA-N 0 3 321.820 4.344 20 0 DIADHN CSc1ccc(CN2CCC(C3CCOCC3)CC2)cc1 ZINC000560587181 332366102 /nfs/dbraw/zinc/36/61/02/332366102.db2.gz UJWZYRNPQJJAJW-UHFFFAOYSA-N 0 3 305.487 4.047 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2Cn2cccn2)s1 ZINC000119219138 332367349 /nfs/dbraw/zinc/36/73/49/332367349.db2.gz ANEYPFYTRJWFEX-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCCN1Cc1ccc(C(F)(F)F)s1 ZINC000566356040 332372865 /nfs/dbraw/zinc/37/28/65/332372865.db2.gz PGGLKXDTEJKQRJ-RYUDHWBXSA-N 0 3 321.408 4.282 20 0 DIADHN C[C@@H](c1ccccc1)N(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000583394422 332384134 /nfs/dbraw/zinc/38/41/34/332384134.db2.gz ZAZBUVQEVSSWAL-AWEZNQCLSA-N 0 3 311.454 4.342 20 0 DIADHN COc1cc2c(cc1CN[C@@H]1c3ccccc3C[C@@H]1C)O[C@H](C)C2 ZINC000564696773 332384739 /nfs/dbraw/zinc/38/47/39/332384739.db2.gz LIULFGZVIXHWTL-QTCYRWPVSA-N 0 3 323.436 4.042 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2C1)c1nc2c(s1)CCCC2 ZINC000560875478 332391237 /nfs/dbraw/zinc/39/12/37/332391237.db2.gz PLMOIWNIMRMKLU-XJKSGUPXSA-N 0 3 312.482 4.230 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](C)c1ccc(-c2ccc(F)cc2)s1 ZINC000560901134 332392738 /nfs/dbraw/zinc/39/27/38/332392738.db2.gz HJYKFKHSNZMODW-LBPRGKRZSA-N 0 3 315.417 4.437 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc(OC)cc1 ZINC000560899417 332392746 /nfs/dbraw/zinc/39/27/46/332392746.db2.gz OPHDECNHRSEVBL-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000560907197 332393085 /nfs/dbraw/zinc/39/30/85/332393085.db2.gz AIJQWKSSGRMMOH-XHDPSFHLSA-N 0 3 301.833 4.173 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cccnc1)Oc1cccc(Cl)c1 ZINC000560912681 332394188 /nfs/dbraw/zinc/39/41/88/332394188.db2.gz WFUMOCOXWBXCGL-CJNGLKHVSA-N 0 3 304.821 4.243 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2csc(C)n2)c1 ZINC000560921127 332394654 /nfs/dbraw/zinc/39/46/54/332394654.db2.gz XDKAJGMXVJVCAJ-CYBMUJFWSA-N 0 3 304.459 4.134 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCC[C@H]2OC(F)F)cc1Cl ZINC000560930270 332395052 /nfs/dbraw/zinc/39/50/52/332395052.db2.gz MHSWQTIOCBLECP-MRCXROJRSA-N 0 3 319.779 4.160 20 0 DIADHN CC[C@H](NCCC(C)(C)C(=O)OC)c1ccc(Cl)s1 ZINC000560990527 332399115 /nfs/dbraw/zinc/39/91/15/332399115.db2.gz HFMIDEIHKSAIHX-JTQLQIEISA-N 0 3 303.855 4.032 20 0 DIADHN CCOC(C)(C)CNCc1cccc(OCc2ccccc2)c1 ZINC000394435403 332436126 /nfs/dbraw/zinc/43/61/26/332436126.db2.gz JJXPXCTUAAZUQD-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN CCC(C)(C)C1CCC(N[C@@H](c2nncn2C)C(C)C)CC1 ZINC000569625154 332442022 /nfs/dbraw/zinc/44/20/22/332442022.db2.gz HNIAQERWMJIUJV-UYSNPLJNSA-N 0 3 306.498 4.097 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3c(c2)OCCO3)C2CCC2)cc1 ZINC000427526687 332443454 /nfs/dbraw/zinc/44/34/54/332443454.db2.gz XVHITBULRCWEGI-NRFANRHFSA-N 0 3 323.436 4.397 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cnc(-c2ccncc2)s1 ZINC000561408662 332447720 /nfs/dbraw/zinc/44/77/20/332447720.db2.gz RQQANHRWAHHSOB-GOSISDBHSA-N 0 3 321.449 4.291 20 0 DIADHN Cc1cc(C)n(CCN(Cc2ccccc2)Cc2ccccc2)n1 ZINC000561585396 332466465 /nfs/dbraw/zinc/46/64/65/332466465.db2.gz SNPFHXQLRIFRJC-UHFFFAOYSA-N 0 3 319.452 4.202 20 0 DIADHN CCCN(CCN(C)C)C(=O)C[C@H](C)c1ccc(C(C)C)cc1 ZINC000525644314 332481980 /nfs/dbraw/zinc/48/19/80/332481980.db2.gz XYVUHAFGEUXSMV-KRWDZBQOSA-N 0 3 318.505 4.104 20 0 DIADHN Fc1ccc(Oc2ccc(CNC3CC4(CCC4)C3)cn2)cc1 ZINC000448072142 332490462 /nfs/dbraw/zinc/49/04/62/332490462.db2.gz ZCBIIDRKPDUQAN-UHFFFAOYSA-N 0 3 312.388 4.435 20 0 DIADHN CC(C)c1nnc(CN[C@@H](Cc2ccccc2)C(C)C)s1 ZINC000427704234 332493473 /nfs/dbraw/zinc/49/34/73/332493473.db2.gz CMKTWRVEPIXLAX-HNNXBMFYSA-N 0 3 303.475 4.018 20 0 DIADHN Cc1ccc(C(=O)Nc2cc(C)cc(CN(C)C)c2)c(Cl)c1 ZINC000427707558 332494255 /nfs/dbraw/zinc/49/42/55/332494255.db2.gz RCOVBHUIBLXVLD-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC(C)[C@@H](Cc1ccccc1)NCc1nnc(C(C)(C)C)s1 ZINC000427717395 332498173 /nfs/dbraw/zinc/49/81/73/332498173.db2.gz GKXVOXADEHZHKK-OAHLLOKOSA-N 0 3 317.502 4.193 20 0 DIADHN CC(C)OCCOC1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC000449722049 332500624 /nfs/dbraw/zinc/50/06/24/332500624.db2.gz COKZACRSRXFMLF-UHFFFAOYSA-N 0 3 319.489 4.216 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(C(F)(F)F)cc2C)cn1 ZINC000449734878 332506807 /nfs/dbraw/zinc/50/68/07/332506807.db2.gz PAHRGPRGQKLUPH-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000561878083 332510199 /nfs/dbraw/zinc/51/01/99/332510199.db2.gz QZSCKRSRPMFBSZ-DENIHFKCSA-N 0 3 314.432 4.409 20 0 DIADHN Cc1cccc(C)c1NC(=O)CNCCc1ccc(C(C)C)cc1 ZINC000232728379 335112743 /nfs/dbraw/zinc/11/27/43/335112743.db2.gz QVTWPVZYAUSHDU-UHFFFAOYSA-N 0 3 324.468 4.198 20 0 DIADHN c1csc(CCCNCc2ccccc2OC2CCCC2)n1 ZINC000561977305 332525388 /nfs/dbraw/zinc/52/53/88/332525388.db2.gz JXZNSXLJURNLBG-UHFFFAOYSA-N 0 3 316.470 4.187 20 0 DIADHN CCCN(CCN1CCCC1)C(=O)Nc1ccc(C(C)C)cc1 ZINC000562030398 332533597 /nfs/dbraw/zinc/53/35/97/332533597.db2.gz FUUKUQIBTNTUDF-UHFFFAOYSA-N 0 3 317.477 4.150 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCCF)c1nccn1-c1ccccc1 ZINC000562062438 332539196 /nfs/dbraw/zinc/53/91/96/332539196.db2.gz URPSQJLKXDNBKG-JZXOWHBKSA-N 0 3 315.436 4.441 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](C)c1nccn1-c1ccccc1 ZINC000562057605 332539892 /nfs/dbraw/zinc/53/98/92/332539892.db2.gz YBSRZSWFRFPKJK-HZPDHXFCSA-N 0 3 309.413 4.137 20 0 DIADHN Cc1cc(C)c(CNCc2cc(C)nc(N3CCCC3)c2)c(C)c1 ZINC000562081043 332543923 /nfs/dbraw/zinc/54/39/23/332543923.db2.gz HYVDFOJRSZWNEO-UHFFFAOYSA-N 0 3 323.484 4.205 20 0 DIADHN C[C@H](COc1cccc(F)c1)N[C@@H](C)c1nccc2ccccc21 ZINC000562095701 332545904 /nfs/dbraw/zinc/54/59/04/332545904.db2.gz JPEAYHYRCAQNBH-CABCVRRESA-N 0 3 324.399 4.492 20 0 DIADHN Cc1cc2c(cc1C)[C@H](N[C@@H](C)[C@@H]1CCCCO1)CCS2 ZINC000427871529 332551026 /nfs/dbraw/zinc/55/10/26/332551026.db2.gz KOUXBMANEKLKEV-UAGQMJEPSA-N 0 3 305.487 4.388 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1nc2cc(Cl)ccc2n1C ZINC000427879427 332553666 /nfs/dbraw/zinc/55/36/66/332553666.db2.gz SQCAAAWLBZHEGW-SECBINFHSA-N 0 3 319.758 4.047 20 0 DIADHN COCc1cc(CN[C@@H]2c3cc(F)ccc3C[C@H]2C)ccc1F ZINC000151231407 332564544 /nfs/dbraw/zinc/56/45/44/332564544.db2.gz GZNBOSNMCBKPGE-BLVKFPJESA-N 0 3 317.379 4.134 20 0 DIADHN CC1(C)CC[C@H](CN[C@H]2CCSc3ccc(Cl)cc32)O1 ZINC000427973024 332576931 /nfs/dbraw/zinc/57/69/31/332576931.db2.gz CHYDJKDNOHUZAY-OCCSQVGLSA-N 0 3 311.878 4.424 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1OC(F)(F)F ZINC000427974386 332578455 /nfs/dbraw/zinc/57/84/55/332578455.db2.gz BKRLZOSOTKOYKD-NWDGAFQWSA-N 0 3 317.351 4.193 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccc3c(c2)CCCC3)c1 ZINC000562336582 332580131 /nfs/dbraw/zinc/58/01/31/332580131.db2.gz SNQQFSOGTJBIHK-UHFFFAOYSA-N 0 3 322.452 4.204 20 0 DIADHN Cc1cccc(CC[C@@H](C)NC2(C(=O)Nc3ccccc3)CC2)c1 ZINC000427989631 332581969 /nfs/dbraw/zinc/58/19/69/332581969.db2.gz SLXFKHIPXKCWAU-QGZVFWFLSA-N 0 3 322.452 4.077 20 0 DIADHN C[C@@H](NCC1CCSCC1)c1sccc1Br ZINC000133667030 332591421 /nfs/dbraw/zinc/59/14/21/332591421.db2.gz WSHXZFOIMDEZNW-SECBINFHSA-N 0 3 320.321 4.304 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3C[C@@H]4CCC[C@H]4C3)nc2c1 ZINC000151803141 332620140 /nfs/dbraw/zinc/62/01/40/332620140.db2.gz JXWVGRPUQNMESW-QWRGUYRKSA-N 0 3 310.319 4.079 20 0 DIADHN Clc1csc(CNC2(c3ccccc3)CCOCC2)c1 ZINC000562922031 332643357 /nfs/dbraw/zinc/64/33/57/332643357.db2.gz ZGGFCGJKNBNKHQ-UHFFFAOYSA-N 0 3 307.846 4.197 20 0 DIADHN CC(C)OC1CC(N[C@H](C)c2ccc(Br)s2)C1 ZINC000443792543 332643650 /nfs/dbraw/zinc/64/36/50/332643650.db2.gz VCSGUIHZDXFJFV-KPPDAEKUSA-N 0 3 318.280 4.117 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2cnc(C(F)(F)F)s2)C1 ZINC000443986589 332647650 /nfs/dbraw/zinc/64/76/50/332647650.db2.gz ZOLUCIPBNHMWGQ-QWRGUYRKSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1ccc([C@H](C)CNCc2cnc(C(F)(F)F)s2)cc1 ZINC000443987078 332647866 /nfs/dbraw/zinc/64/78/66/332647866.db2.gz JMNCTWJQHKEAKJ-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)C[C@@H](N)c1ccccc1 ZINC000448239086 332685990 /nfs/dbraw/zinc/68/59/90/332685990.db2.gz IHZTTWPCBVUWGJ-MOPGFXCFSA-N 0 3 310.441 4.076 20 0 DIADHN COc1cccc(O[C@H](C)CNCc2ccc(SC)s2)c1 ZINC000569861439 332687243 /nfs/dbraw/zinc/68/72/43/332687243.db2.gz WYLNROVFCADGOO-GFCCVEGCSA-N 0 3 323.483 4.036 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccc(C)nc1 ZINC000569892284 332689918 /nfs/dbraw/zinc/68/99/18/332689918.db2.gz YJUSMKHIPQPDEL-LCYFTJDESA-N 0 3 318.367 4.185 20 0 DIADHN C[C@@H](N[C@H](C)C(C)(C)c1ccccc1)c1cn2ccccc2n1 ZINC000569947632 332696066 /nfs/dbraw/zinc/69/60/66/332696066.db2.gz UWAPCOVEEHIDRY-HZPDHXFCSA-N 0 3 307.441 4.351 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cc(Cl)c3c(c2)OCCO3)c1 ZINC000317432710 332722629 /nfs/dbraw/zinc/72/26/29/332722629.db2.gz HXJLWKIXDGOBHR-CYBMUJFWSA-N 0 3 317.816 4.270 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCc3c(OC)cccc32)s1 ZINC000570239123 332729878 /nfs/dbraw/zinc/72/98/78/332729878.db2.gz BXJSCHPNOYQBDV-QGZVFWFLSA-N 0 3 301.455 4.486 20 0 DIADHN CO[C@](C)(CNCc1cnc(C2CCCCC2)s1)C1CC1 ZINC000570331297 332740032 /nfs/dbraw/zinc/74/00/32/332740032.db2.gz GLAZNLLZLQFCHN-QGZVFWFLSA-N 0 3 308.491 4.096 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCCO[C@H](C)c2ccccc2)cn1 ZINC000163392591 332960308 /nfs/dbraw/zinc/96/03/08/332960308.db2.gz VCDXLCCRIFOUBO-IAGOWNOFSA-N 0 3 315.461 4.283 20 0 DIADHN FC(F)(F)C1CC(N[C@H]2CCCOc3ccc(Cl)cc32)C1 ZINC000580176834 332984983 /nfs/dbraw/zinc/98/49/83/332984983.db2.gz ABTAVIOIMVHDLC-OCTTZUBCSA-N 0 3 319.754 4.484 20 0 DIADHN Fc1cccc(-c2cnc(CN3C[C@@H]4CCCC[C@H]4C3)o2)c1 ZINC000177519098 332989849 /nfs/dbraw/zinc/98/98/49/332989849.db2.gz POXANQMURCPCJL-GJZGRUSLSA-N 0 3 300.377 4.103 20 0 DIADHN Clc1ccc(-c2nnc(CN3C[C@@H]4CCCC[C@H]4C3)o2)cc1 ZINC000177570705 332991542 /nfs/dbraw/zinc/99/15/42/332991542.db2.gz IELXMDVMFNYYGC-KBPBESRZSA-N 0 3 317.820 4.012 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2cccc(CC)c2)c1 ZINC000580199393 333000924 /nfs/dbraw/zinc/00/09/24/333000924.db2.gz KEKJRPBQYXLSKA-UHFFFAOYSA-N 0 3 310.441 4.277 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc(C(F)F)cc2)c1 ZINC000178664228 333018194 /nfs/dbraw/zinc/01/81/94/333018194.db2.gz CQXPIIVOTVEQGB-GFCCVEGCSA-N 0 3 318.367 4.433 20 0 DIADHN OC[C@H](CNCc1ccc(C(F)(F)F)s1)CC1CCCC1 ZINC000466422954 333019805 /nfs/dbraw/zinc/01/98/05/333019805.db2.gz WUMOQYRVMNWMFG-LBPRGKRZSA-N 0 3 321.408 4.045 20 0 DIADHN COc1ccncc1CN[C@@H]1CCCc2c3ccccc3sc21 ZINC000570945639 333023345 /nfs/dbraw/zinc/02/33/45/333023345.db2.gz GERSGPBOWMTKAQ-MRXNPFEDSA-N 0 3 324.449 4.472 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1ccccc1F ZINC000180327414 333027840 /nfs/dbraw/zinc/02/78/40/333027840.db2.gz PXINKNMQQQJNNR-SFHVURJKSA-N 0 3 309.388 4.252 20 0 DIADHN Cc1ccc([C@@H](C)NCCC(=O)Nc2ccccc2C)cc1F ZINC000181339650 333030187 /nfs/dbraw/zinc/03/01/87/333030187.db2.gz AKADAIHWQRLSQD-OAHLLOKOSA-N 0 3 314.404 4.122 20 0 DIADHN c1sc(C2CC2)nc1CNC1[C@@H]2CC[C@H]1Cc1ccccc1C2 ZINC000580228814 333036259 /nfs/dbraw/zinc/03/62/59/333036259.db2.gz OAAHOPSSHDYAAJ-JJTKIYQPSA-N 0 3 324.493 4.304 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@@H]2c2cccs2)c1 ZINC000170643108 333037840 /nfs/dbraw/zinc/03/78/40/333037840.db2.gz RWPDYVHFIGXWHD-MRXNPFEDSA-N 0 3 300.427 4.044 20 0 DIADHN Clc1ccc([C@@H](NCc2ccc3[nH]cnc3c2)C2CC2)cc1 ZINC000518768256 333051745 /nfs/dbraw/zinc/05/17/45/333051745.db2.gz KYNXCAGCQUJQBB-SFHVURJKSA-N 0 3 311.816 4.457 20 0 DIADHN Clc1ccc([C@@H](NCc2ccc3nc[nH]c3c2)C2CC2)cc1 ZINC000518768256 333051747 /nfs/dbraw/zinc/05/17/47/333051747.db2.gz KYNXCAGCQUJQBB-SFHVURJKSA-N 0 3 311.816 4.457 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000183073305 333052347 /nfs/dbraw/zinc/05/23/47/333052347.db2.gz BQISIWMTUXPKPK-NWDGAFQWSA-N 0 3 320.458 4.049 20 0 DIADHN C[C@H](CCNCc1cccc2c1OCCCO2)c1ccccc1 ZINC000378962358 333068395 /nfs/dbraw/zinc/06/83/95/333068395.db2.gz RMEAFILBPAQYPX-MRXNPFEDSA-N 0 3 311.425 4.131 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000460358675 333070823 /nfs/dbraw/zinc/07/08/23/333070823.db2.gz XRUCWXKSBJGUHK-STQMWFEESA-N 0 3 305.447 4.103 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000460358673 333070844 /nfs/dbraw/zinc/07/08/44/333070844.db2.gz XRUCWXKSBJGUHK-OLZOCXBDSA-N 0 3 305.447 4.103 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)CCCO[C@H]1CCCCO1 ZINC000460389446 333076523 /nfs/dbraw/zinc/07/65/23/333076523.db2.gz SQMQHLMBHJOXRI-PBHICJAKSA-N 0 3 311.853 4.266 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@@H](CC(C)C)C2)n1 ZINC000466747469 333083092 /nfs/dbraw/zinc/08/30/92/333083092.db2.gz JSFCLFYSBDZDFP-HOTGVXAUSA-N 0 3 313.445 4.474 20 0 DIADHN COC(=O)CCC1CCN(C/C=C\c2ccc(Cl)cc2)CC1 ZINC000526056528 333090528 /nfs/dbraw/zinc/09/05/28/333090528.db2.gz WFANUJHQWTWCPV-IHWYPQMZSA-N 0 3 321.848 4.018 20 0 DIADHN C[C@H](CCc1ccc2c(c1)OCO2)NCc1ccccc1Cl ZINC000314250590 333096251 /nfs/dbraw/zinc/09/62/51/333096251.db2.gz XLJDDVUKILHXBO-CYBMUJFWSA-N 0 3 317.816 4.180 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2cccc(Cl)c2)cc1F ZINC000462734327 333100121 /nfs/dbraw/zinc/10/01/21/333100121.db2.gz ACZVOPSEWBRFTR-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ccc(O)c(CN[C@H](C)c2ccc(Cl)c(Cl)c2)n1 ZINC000112716268 333101681 /nfs/dbraw/zinc/10/16/81/333101681.db2.gz HTPDACXKQXJWLU-SNVBAGLBSA-N 0 3 311.212 4.253 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000461390176 333102636 /nfs/dbraw/zinc/10/26/36/333102636.db2.gz QTTOBNFMBKTDMU-LBPRGKRZSA-N 0 3 319.474 4.153 20 0 DIADHN CO[C@@H](CNCc1ccc(F)cc1C)c1ccc(Cl)cc1 ZINC000462742270 333104901 /nfs/dbraw/zinc/10/49/01/333104901.db2.gz OZCSLBYZYRTHOM-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN CCC(CC)(CNCc1nc2ccccc2n1C)c1ccccc1 ZINC000462748296 333107077 /nfs/dbraw/zinc/10/70/77/333107077.db2.gz ZERHLMNGQIYQKE-UHFFFAOYSA-N 0 3 321.468 4.421 20 0 DIADHN Cc1cnc(CCN(C)C/C=C\c2ccc(Cl)cc2)s1 ZINC000526057003 333107960 /nfs/dbraw/zinc/10/79/60/333107960.db2.gz QSGXFTSMXOIMAN-ARJAWSKDSA-N 0 3 306.862 4.293 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccc(OCC2CC2)nc1 ZINC000518774570 333111124 /nfs/dbraw/zinc/11/11/24/333111124.db2.gz ROOWOIMVUNLHAW-LFIBNONCSA-N 0 3 308.425 4.064 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCCN(Cc2ccccc2)CC1 ZINC000514332204 333114610 /nfs/dbraw/zinc/11/46/10/333114610.db2.gz PASHWKUGINVARH-KRWDZBQOSA-N 0 3 312.432 4.095 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@H]1c1ccccc1Cl)N(CC)CC ZINC000460967921 333119684 /nfs/dbraw/zinc/11/96/84/333119684.db2.gz GUTOCMQHLDVZRJ-IRXDYDNUSA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](N[C@@H]1CCCN(CC(C)(C)C)C1=O)c1ccc(Cl)cc1 ZINC000460675752 333123240 /nfs/dbraw/zinc/12/32/40/333123240.db2.gz YGGZTFDUJNTWEJ-XJKSGUPXSA-N 0 3 322.880 4.028 20 0 DIADHN C=Cc1ccc(CCNCc2nc3ccc(F)cc3s2)cc1 ZINC000462907246 333130455 /nfs/dbraw/zinc/13/04/55/333130455.db2.gz SEPLNAFNOAQAOI-UHFFFAOYSA-N 0 3 312.413 4.411 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000177982042 333133994 /nfs/dbraw/zinc/13/39/94/333133994.db2.gz ZTOIALUIYMCNDF-MCFFVMPBSA-N 0 3 315.482 4.453 20 0 DIADHN Cc1sc(CN[C@@H]2C[C@@H](OC(C)C)C2(C)C)nc1C(C)C ZINC000463035541 333142470 /nfs/dbraw/zinc/14/24/70/333142470.db2.gz RALCRUPGRMPSAN-ZIAGYGMSSA-N 0 3 310.507 4.257 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(SC(F)F)cc1 ZINC000179071030 333146095 /nfs/dbraw/zinc/14/60/95/333146095.db2.gz KZSXDAGEHRPOPD-UHFFFAOYSA-N 0 3 314.426 4.132 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC000179066244 333146271 /nfs/dbraw/zinc/14/62/71/333146271.db2.gz VXRHGGGECRQEGZ-NRFANRHFSA-N 0 3 319.452 4.192 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H](C)c1ncc(C)s1 ZINC000487563475 333147114 /nfs/dbraw/zinc/14/71/14/333147114.db2.gz VBRIZPKEXUGJBS-NSHDSACASA-N 0 3 324.877 4.397 20 0 DIADHN Cc1ccc(C[C@@H](C)C(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000181904234 333147337 /nfs/dbraw/zinc/14/73/37/333147337.db2.gz NNILGMJLIHSGOB-QGZVFWFLSA-N 0 3 324.468 4.182 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1CCO[C@H](C(C)C)C1 ZINC000487571544 333150925 /nfs/dbraw/zinc/15/09/25/333150925.db2.gz IEYXKOGWJDWUEG-HOCLYGCPSA-N 0 3 311.853 4.032 20 0 DIADHN CCN(CC)CC(=O)N1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000522676485 333162213 /nfs/dbraw/zinc/16/22/13/333162213.db2.gz BOOISRZCUINYNV-QGZVFWFLSA-N 0 3 322.880 4.126 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000522681238 333164090 /nfs/dbraw/zinc/16/40/90/333164090.db2.gz OQXPVUADHAFUIL-QGZVFWFLSA-N 0 3 310.526 4.172 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(CN(C)C)cc1)c1ccc(F)cc1 ZINC000460851570 333166235 /nfs/dbraw/zinc/16/62/35/333166235.db2.gz BMJCRDNENNYNBI-GOSISDBHSA-N 0 3 314.404 4.020 20 0 DIADHN C[C@@H](NCCc1ccco1)c1cc(Cl)ccc1OC(F)F ZINC000461086346 333169910 /nfs/dbraw/zinc/16/99/10/333169910.db2.gz JNJGDMYJJTYOBO-SNVBAGLBSA-N 0 3 315.747 4.428 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H](C)c2cc(C)oc2C)n1 ZINC000582042690 333182876 /nfs/dbraw/zinc/18/28/76/333182876.db2.gz ZMXJEPZVIBCABJ-HNNXBMFYSA-N 0 3 309.413 4.241 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](CO)C1)c1cccc(Cl)c1Cl ZINC000387965052 333187730 /nfs/dbraw/zinc/18/77/30/333187730.db2.gz SQKZXSIFUHGALM-QJPTWQEYSA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)Oc1ccccc1CN(C)C[C@@H](C)c1nccs1 ZINC000183921939 333189786 /nfs/dbraw/zinc/18/97/86/333189786.db2.gz MRZTVIQROUUNGX-CQSZACIVSA-N 0 3 304.459 4.166 20 0 DIADHN CCC[C@H](NCc1ccc(Br)cc1)c1cccnc1 ZINC000185191901 333205438 /nfs/dbraw/zinc/20/54/38/333205438.db2.gz VWJZHMHTJAWLMF-INIZCTEOSA-N 0 3 319.246 4.475 20 0 DIADHN C[C@@H](NCCOCC(F)F)c1ccc(-c2ccccc2)cc1 ZINC000318772915 333209093 /nfs/dbraw/zinc/20/90/93/333209093.db2.gz CGXNZJOFSMTZOR-CQSZACIVSA-N 0 3 305.368 4.286 20 0 DIADHN CC(F)(F)CCCNCc1cc(Cl)ccc1OC(F)F ZINC000390050005 333219585 /nfs/dbraw/zinc/21/95/85/333219585.db2.gz GWYZTTYEBFPCIR-UHFFFAOYSA-N 0 3 313.722 4.466 20 0 DIADHN Cc1nc(C)c([C@H](C)NCc2c(C)c3ccccc3n2C)s1 ZINC000318919087 333225347 /nfs/dbraw/zinc/22/53/47/333225347.db2.gz NFSNGCOSSOYTRW-LBPRGKRZSA-N 0 3 313.470 4.411 20 0 DIADHN CCCC(=O)Nc1cccc(CN[C@@H](CC)c2ccncc2)c1 ZINC000582104214 333226312 /nfs/dbraw/zinc/22/63/12/333226312.db2.gz PNBDCIGANMHWOI-SFHVURJKSA-N 0 3 311.429 4.061 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@@H](CO)c1ccc(Cl)cc1 ZINC000500447315 333242470 /nfs/dbraw/zinc/24/24/70/333242470.db2.gz PTIKCKOGWLIJSL-RMHQSFGDSA-N 0 3 301.817 4.067 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)Nc1ccccc1C(C)C ZINC000500479998 333245101 /nfs/dbraw/zinc/24/51/01/333245101.db2.gz QUDOUMUIMYFEQF-INIZCTEOSA-N 0 3 302.462 4.259 20 0 DIADHN CCOCC(C)(C)CNCc1csc(-c2ccc(F)cc2)n1 ZINC000319028970 333246560 /nfs/dbraw/zinc/24/65/60/333246560.db2.gz AWTBADASALQVIC-UHFFFAOYSA-N 0 3 322.449 4.102 20 0 DIADHN Fc1ccc(CCN[C@H](c2ncc[nH]2)C2CCCCC2)cc1 ZINC000514085993 333252263 /nfs/dbraw/zinc/25/22/63/333252263.db2.gz XYPYJZDITIRSCA-KRWDZBQOSA-N 0 3 301.409 4.003 20 0 DIADHN COc1ccc(CCCN(C)Cc2ccc(F)c(F)c2)cc1 ZINC000497477476 333254891 /nfs/dbraw/zinc/25/48/91/333254891.db2.gz VKJIMXPBCPJJQB-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN C[C@@H]1C[C@H]1CN[C@@H](c1ccco1)c1ccc(Br)cc1 ZINC000497525621 333259497 /nfs/dbraw/zinc/25/94/97/333259497.db2.gz JZXSXARHEHJTNE-PVXIVEMSSA-N 0 3 320.230 4.377 20 0 DIADHN CC(C)[C@@H](NCCc1ccc(Cl)s1)c1ccc(F)cn1 ZINC000497531004 333260179 /nfs/dbraw/zinc/26/01/79/333260179.db2.gz BZOJWAIQKUUJEU-OAHLLOKOSA-N 0 3 312.841 4.465 20 0 DIADHN CCCNC(=O)CCN[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497539341 333260815 /nfs/dbraw/zinc/26/08/15/333260815.db2.gz VQYDVMPIQMTNQH-GOSISDBHSA-N 0 3 316.445 4.026 20 0 DIADHN CC(C)c1ccc(CCC(=O)Nc2ccc(CN(C)C)cc2)cc1 ZINC000514087526 333260917 /nfs/dbraw/zinc/26/09/17/333260917.db2.gz CEERZIMSUOLPBP-UHFFFAOYSA-N 0 3 324.468 4.443 20 0 DIADHN CC[C@@H](NCc1sc(C)nc1C)c1ccc(OC)c(OC)c1 ZINC000119839766 333261208 /nfs/dbraw/zinc/26/12/08/333261208.db2.gz KUJVGKIOKNPGRE-CQSZACIVSA-N 0 3 320.458 4.018 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(Cl)c(F)c2)c1 ZINC000498647125 333267123 /nfs/dbraw/zinc/26/71/23/333267123.db2.gz UGXCSFKBGWJAKN-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC[C@H]1CN(Cc2cnc(C)s2)CC[C@@H]1c1ccccc1 ZINC000501212188 333269062 /nfs/dbraw/zinc/26/90/62/333269062.db2.gz VXJLMYNHZAABEJ-YJBOKZPZSA-N 0 3 300.471 4.467 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000497710130 333281011 /nfs/dbraw/zinc/28/10/11/333281011.db2.gz DAHCECCCXNWQCI-OLZOCXBDSA-N 0 3 318.461 4.443 20 0 DIADHN Clc1c2ccccc2sc1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000563069599 333283558 /nfs/dbraw/zinc/28/35/58/333283558.db2.gz FIJRRTXXDLBKQH-YPMHNXCESA-N 0 3 307.846 4.166 20 0 DIADHN CC[C@@H](CNC(c1ccc(F)cc1)c1ccc(F)cc1)OC ZINC000582114948 333287819 /nfs/dbraw/zinc/28/78/19/333287819.db2.gz PLVTXUNBFKLBJS-KRWDZBQOSA-N 0 3 305.368 4.069 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000502388475 333290831 /nfs/dbraw/zinc/29/08/31/333290831.db2.gz FAHKPFJKKAJBGT-VFVRVIDISA-N 0 3 305.393 4.462 20 0 DIADHN Clc1ccc(CNC[C@H]2CCCN2Cc2ccccc2)s1 ZINC000390534840 333292517 /nfs/dbraw/zinc/29/25/17/333292517.db2.gz OJJREACVEMKJJK-OAHLLOKOSA-N 0 3 320.889 4.156 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000390537487 333292652 /nfs/dbraw/zinc/29/26/52/333292652.db2.gz LNXWWSIZPZCYEW-HZPDHXFCSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@H](CCn1cccn1)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000497814142 333295866 /nfs/dbraw/zinc/29/58/66/333295866.db2.gz WBJYAXLITJDVIS-NEPJUHHUSA-N 0 3 312.244 4.319 20 0 DIADHN Cc1ccc(OCCCN[C@@H](c2ccc(F)cn2)C(C)C)cc1 ZINC000497844361 333300419 /nfs/dbraw/zinc/30/04/19/333300419.db2.gz BJASVSMIJCFYSK-LJQANCHMSA-N 0 3 316.420 4.285 20 0 DIADHN Cc1cccc(CCN[C@@H](c2ccccc2)c2cccnc2)c1 ZINC000497844736 333300544 /nfs/dbraw/zinc/30/05/44/333300544.db2.gz DLGMAONWJCAIFH-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN CC(C)[C@H](NCCc1cc(F)cc(F)c1)c1ccc(F)cn1 ZINC000497843874 333300614 /nfs/dbraw/zinc/30/06/14/333300614.db2.gz VXVHTRCAMLGTLK-KRWDZBQOSA-N 0 3 308.347 4.028 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@@H](c2ccc(F)cn2)C(C)C)cc1 ZINC000497854599 333302017 /nfs/dbraw/zinc/30/20/17/333302017.db2.gz AMDCEYYVEKEMAH-DNVCBOLYSA-N 0 3 316.420 4.283 20 0 DIADHN CC(C)[C@H](NCC1(c2ccc(F)cc2)CC1)c1ccc(F)cn1 ZINC000497854897 333302195 /nfs/dbraw/zinc/30/21/95/333302195.db2.gz BGZHLDISGKTQQG-SFHVURJKSA-N 0 3 316.395 4.378 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)Oc1ccccc1F)c1ccc(F)cn1 ZINC000497864440 333302446 /nfs/dbraw/zinc/30/24/46/333302446.db2.gz KISKVJAVDVRYJI-FZKQIMNGSA-N 0 3 320.383 4.114 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3ccc(Cl)cc3)CCC2)nn1C ZINC000502700641 333305554 /nfs/dbraw/zinc/30/55/54/333305554.db2.gz QQCLBBKWEKNBQH-CQSZACIVSA-N 0 3 317.864 4.154 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@H]2CCCCC2(F)F)s1 ZINC000390649829 333310628 /nfs/dbraw/zinc/31/06/28/333310628.db2.gz JHGUXWZWONZPAX-LLVKDONJSA-N 0 3 302.434 4.356 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1ccsc1Br ZINC000388456722 333310697 /nfs/dbraw/zinc/31/06/97/333310697.db2.gz CBTZNEZZPDRVBY-VIFPVBQESA-N 0 3 310.207 4.036 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000503237580 333317085 /nfs/dbraw/zinc/31/70/85/333317085.db2.gz BDMKJPBAQUCZBS-CMPLNLGQSA-N 0 3 312.335 4.456 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000503237581 333317173 /nfs/dbraw/zinc/31/71/73/333317173.db2.gz BDMKJPBAQUCZBS-JQWIXIFHSA-N 0 3 312.335 4.456 20 0 DIADHN Fc1cc(CNC[C@H]2CCCC2(F)F)ccc1Br ZINC000390717276 333318415 /nfs/dbraw/zinc/31/84/15/333318415.db2.gz YMGYMUQZZSWLIR-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CCCCCC(=O)N(CC)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000498007648 333319585 /nfs/dbraw/zinc/31/95/85/333319585.db2.gz BZJVRIFXQPUEFC-MJGOQNOKSA-N 0 3 316.489 4.251 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1cc2ccccc2[nH]c1=O ZINC000110107203 333326479 /nfs/dbraw/zinc/32/64/79/333326479.db2.gz QDJDTFMEOPONPH-QGZVFWFLSA-N 0 3 324.449 4.099 20 0 DIADHN Fc1ccc([C@H](NCCCOCC2CC2)c2ccccc2)cc1 ZINC000320733414 335133956 /nfs/dbraw/zinc/13/39/56/335133956.db2.gz XTJPSPSWTVMZGV-HXUWFJFHSA-N 0 3 313.416 4.321 20 0 DIADHN Cc1ccc(OCCCN2CCC[C@H]2c2ccccn2)cc1C ZINC000531200780 333330493 /nfs/dbraw/zinc/33/04/93/333330493.db2.gz QMMXDIIWAMLNNQ-FQEVSTJZSA-N 0 3 310.441 4.304 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1cnc(C2CCCCC2)s1 ZINC000531213827 333335426 /nfs/dbraw/zinc/33/54/26/333335426.db2.gz KOMVKBASNQELND-AWEZNQCLSA-N 0 3 315.486 4.307 20 0 DIADHN FC(F)Oc1cccc(CN(CCC(F)(F)F)CC2CC2)c1 ZINC000531231145 333336765 /nfs/dbraw/zinc/33/67/65/333336765.db2.gz KNVCFZANYGGPLD-UHFFFAOYSA-N 0 3 323.305 4.452 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000531339615 333344654 /nfs/dbraw/zinc/34/46/54/333344654.db2.gz HEKVDQMNILIMTR-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000531339912 333344720 /nfs/dbraw/zinc/34/47/20/333344720.db2.gz FSIANNCVEWPLLY-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN[C@H](C)c1cccs1 ZINC000121151423 333347085 /nfs/dbraw/zinc/34/70/85/333347085.db2.gz MXIRTCONTWLAQQ-CQSZACIVSA-N 0 3 311.454 4.401 20 0 DIADHN CCOc1ccccc1CNC[C@@H]1SCCc2ccccc21 ZINC000531391780 333349285 /nfs/dbraw/zinc/34/92/85/333349285.db2.gz OHZPURLFWIOPTL-IBGZPJMESA-N 0 3 313.466 4.206 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(-n3cccn3)cc2)cc1 ZINC000531438437 333352978 /nfs/dbraw/zinc/35/29/78/333352978.db2.gz IAUGSZABHUZLFF-UHFFFAOYSA-N 0 3 319.452 4.460 20 0 DIADHN Cc1ccc(-c2ncc(CNC(C)(C)C(C)(C)CO)s2)cc1 ZINC000531445009 333354132 /nfs/dbraw/zinc/35/41/32/333354132.db2.gz ODHLIDQXJUBIFL-UHFFFAOYSA-N 0 3 318.486 4.005 20 0 DIADHN Cn1cc([C@@H](NCc2ccc3ccccc3c2)C(C)(C)C)cn1 ZINC000531445210 333354171 /nfs/dbraw/zinc/35/41/71/333354171.db2.gz BKGDVTNQBQPOPI-LJQANCHMSA-N 0 3 307.441 4.450 20 0 DIADHN CC(C)n1cc([C@H](C)NCC2(c3ccccc3Cl)CC2)cn1 ZINC000537941633 333354194 /nfs/dbraw/zinc/35/41/94/333354194.db2.gz YDGDFVXPKIGHHI-AWEZNQCLSA-N 0 3 317.864 4.500 20 0 DIADHN CC(C)[C@@H](NCc1c[nH]c2nccnc12)c1ccc(Cl)cc1 ZINC000583472266 333360897 /nfs/dbraw/zinc/36/08/97/333360897.db2.gz ZLIDGRDYOHLVBO-OAHLLOKOSA-N 0 3 314.820 4.050 20 0 DIADHN CCCCOCCN[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000040506601 333372558 /nfs/dbraw/zinc/37/25/58/333372558.db2.gz JOLNICIILIMKMU-LBPRGKRZSA-N 0 3 305.340 4.053 20 0 DIADHN Cc1noc(C)c1CNCC1(c2ccc(C(F)(F)F)cc2)CC1 ZINC000186509372 333374013 /nfs/dbraw/zinc/37/40/13/333374013.db2.gz JFIKGDJHTGQKQJ-UHFFFAOYSA-N 0 3 324.346 4.132 20 0 DIADHN CC(=O)CCCCS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000564570100 333374809 /nfs/dbraw/zinc/37/48/09/333374809.db2.gz GNWNIQMMCWBGCZ-GOSISDBHSA-N 0 3 305.487 4.144 20 0 DIADHN CC[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(OC)cc1 ZINC000186616076 333375585 /nfs/dbraw/zinc/37/55/85/333375585.db2.gz XNIRGZIHUJWVGV-LJQANCHMSA-N 0 3 313.441 4.164 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1cccc(F)c1 ZINC000186839834 333379596 /nfs/dbraw/zinc/37/95/96/333379596.db2.gz MVEXCBLKRKSYSU-MLGOLLRUSA-N 0 3 301.361 4.356 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCCC(F)(F)F)[n-]1 ZINC000488478538 333380457 /nfs/dbraw/zinc/38/04/57/333380457.db2.gz NCMWRMYZPHIWMD-GARJFASQSA-N 0 3 306.376 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)N[C@@H](C)CCCC(F)(F)F)[nH]1 ZINC000488478538 333380458 /nfs/dbraw/zinc/38/04/58/333380458.db2.gz NCMWRMYZPHIWMD-GARJFASQSA-N 0 3 306.376 4.090 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccc(Br)cc1F)C1CC1 ZINC000521430806 333383026 /nfs/dbraw/zinc/38/30/26/333383026.db2.gz CGGSITNLTGSTCP-JTQLQIEISA-N 0 3 312.226 4.351 20 0 DIADHN CN(CCCOc1cccc(C(F)(F)F)c1)Cc1ccco1 ZINC000521431154 333383231 /nfs/dbraw/zinc/38/32/31/333383231.db2.gz YJSUAVSPJMGGLA-UHFFFAOYSA-N 0 3 313.319 4.199 20 0 DIADHN C[C@H](NC[C@](C)(O)C1CCCCC1)c1cnc2ccsc2c1 ZINC000188657054 333384281 /nfs/dbraw/zinc/38/42/81/333384281.db2.gz JSYSZPIVRPUDCR-UGSOOPFHSA-N 0 3 318.486 4.278 20 0 DIADHN C[C@@H](NC[C@](C)(O)C1CCCCC1)c1ccc2ccccc2n1 ZINC000188662716 333384431 /nfs/dbraw/zinc/38/44/31/333384431.db2.gz QIYZCBBCNJGMAR-QRWLVFNGSA-N 0 3 312.457 4.217 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@@H]1CCCN1Cc1cc(Cl)ccc1Cl ZINC000228484637 333386844 /nfs/dbraw/zinc/38/68/44/333386844.db2.gz PMICEFAILSRIAB-CWRNSKLLSA-N 0 3 314.256 4.119 20 0 DIADHN C[C@H](NCc1ccc(OC(F)(F)F)cc1)c1cccs1 ZINC000021504428 333388641 /nfs/dbraw/zinc/38/86/41/333388641.db2.gz QZHLBLNVEHONAC-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2ccco2)N2CCCC2)s1 ZINC000021816448 333390601 /nfs/dbraw/zinc/39/06/01/333390601.db2.gz CQJWRAVTBGXAKU-LSDHHAIUSA-N 0 3 304.459 4.137 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](F)C1)c1sccc1Br ZINC000563125562 333394598 /nfs/dbraw/zinc/39/45/98/333394598.db2.gz PQDXYXYRPGQUED-IVZWLZJFSA-N 0 3 306.244 4.442 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H]1CCC(C)(C)c2ccccc21 ZINC000122320493 333394616 /nfs/dbraw/zinc/39/46/16/333394616.db2.gz WGTAGGSWZIVNBV-ROUUACIJSA-N 0 3 309.457 4.200 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc2ccccc2c1 ZINC000122328406 333394653 /nfs/dbraw/zinc/39/46/53/333394653.db2.gz IOICCACFWQROET-KUHUBIRLSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccccc1C(F)(F)F ZINC000122324211 333394801 /nfs/dbraw/zinc/39/48/01/333394801.db2.gz CHGXYFBFOGCTHB-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cc(C)ccc1C)CCO2 ZINC000319668036 333394899 /nfs/dbraw/zinc/39/48/99/333394899.db2.gz CPHPEIDXCFVMDD-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN COC[C@H](N[C@H]1CCC[C@H](F)C1)c1cccc(C(F)(F)F)c1 ZINC000563127120 333395304 /nfs/dbraw/zinc/39/53/04/333395304.db2.gz WDDYAPTYGXXFJC-KKUMJFAQSA-N 0 3 319.342 4.263 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)CCc2ccc(O)cc2)c(F)c1 ZINC000319686742 333396684 /nfs/dbraw/zinc/39/66/84/333396684.db2.gz KHTLQMPPIKUEFT-UONOGXRCSA-N 0 3 317.404 4.212 20 0 DIADHN Cc1ccoc1CN[C@H](C)CCc1ccc(OC(F)F)cc1 ZINC000319791553 333406072 /nfs/dbraw/zinc/40/60/72/333406072.db2.gz AZKCQPJWHGKTMC-CYBMUJFWSA-N 0 3 309.356 4.300 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2ccc(F)c(C)c2)c1 ZINC000390961713 333411689 /nfs/dbraw/zinc/41/16/89/333411689.db2.gz ZNRDLVWWGFYMIR-UHFFFAOYSA-N 0 3 314.404 4.228 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc3c(c2)OCCO3)cc1C ZINC000319936338 333418228 /nfs/dbraw/zinc/41/82/28/333418228.db2.gz GNDGLZIWXOFDFL-MRXNPFEDSA-N 0 3 311.425 4.234 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c(C)c1 ZINC000319983726 333420289 /nfs/dbraw/zinc/42/02/89/333420289.db2.gz FSFFUMUUPFNBRV-HOTGVXAUSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ncsc1CCNCc1c(Cl)oc2ccccc21 ZINC000391133285 333421070 /nfs/dbraw/zinc/42/10/70/333421070.db2.gz HSWMSLBXMYDUKJ-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN CC[C@@H](NCC1(CC)COC1)c1ccc(Cl)cc1Cl ZINC000393985149 333448469 /nfs/dbraw/zinc/44/84/69/333448469.db2.gz CFGJENPUPZZRMF-CQSZACIVSA-N 0 3 302.245 4.461 20 0 DIADHN C[C@@H](NC[C@@H](c1cccs1)N(C)C)c1cccc(Cl)c1 ZINC000021792906 333451482 /nfs/dbraw/zinc/45/14/82/333451482.db2.gz YWVXXEPISNAYPF-DOMZBBRYSA-N 0 3 308.878 4.355 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccccc1C(F)(F)F)CC2 ZINC000320788906 335142072 /nfs/dbraw/zinc/14/20/72/335142072.db2.gz NOOKSEXVTOILAG-QGZVFWFLSA-N 0 3 321.342 4.491 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1cc2ccccc2s1 ZINC000338021272 333467617 /nfs/dbraw/zinc/46/76/17/333467617.db2.gz SNPUBPWXLQSRGT-SWLSCSKDSA-N 0 3 311.454 4.363 20 0 DIADHN OCC1(CN[C@@H](c2ccccc2)c2ccc(F)cc2)CCCC1 ZINC000391392719 333474624 /nfs/dbraw/zinc/47/46/24/333474624.db2.gz SPIAIGINXVMMIH-IBGZPJMESA-N 0 3 313.416 4.057 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccccc1 ZINC000124479645 333492497 /nfs/dbraw/zinc/49/24/97/333492497.db2.gz FSGDTGPRHCBCND-KDOFPFPSSA-N 0 3 311.429 4.225 20 0 DIADHN Cc1sccc1CNCCC(=O)Nc1cccc(Cl)c1C ZINC000339216470 333513743 /nfs/dbraw/zinc/51/37/43/333513743.db2.gz JWRPXBIBXDIDCL-UHFFFAOYSA-N 0 3 322.861 4.137 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1F)[C@H](C)O)[C@@H]1C[C@H]1c1cccs1 ZINC000563264128 333515893 /nfs/dbraw/zinc/51/58/93/333515893.db2.gz NUTVUHRJWWAZDC-BQOJJSDWSA-N 0 3 319.445 4.091 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@@]1(C)CC=CCC1 ZINC000410584409 333516737 /nfs/dbraw/zinc/51/67/37/333516737.db2.gz AFXHTDHAQYSCRU-FQEVSTJZSA-N 0 3 312.457 4.276 20 0 DIADHN Fc1ccc([C@@H](NCCC2CCOCC2)c2ccccc2)cc1 ZINC000391981740 335145850 /nfs/dbraw/zinc/14/58/50/335145850.db2.gz RAEXFXCRXJWDQX-FQEVSTJZSA-N 0 3 313.416 4.321 20 0 DIADHN Fc1ccccc1CNCCc1nc2cc(Cl)ccc2s1 ZINC000531525410 333533491 /nfs/dbraw/zinc/53/34/91/333533491.db2.gz PBVGCPFXVXIFCJ-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN CCC(O)(CC)CNCc1cc(-c2ccccc2)ccc1OC ZINC000531535182 333533919 /nfs/dbraw/zinc/53/39/19/333533919.db2.gz KYNPESVNACULPV-UHFFFAOYSA-N 0 3 313.441 4.003 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCCc3ccccc32)c1 ZINC000531682921 333540565 /nfs/dbraw/zinc/54/05/65/333540565.db2.gz SEWGLALGQPRCEA-LJQANCHMSA-N 0 3 308.425 4.202 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H]1C[C@H](OCC)C12CCC2 ZINC000531724334 333544275 /nfs/dbraw/zinc/54/42/75/333544275.db2.gz ZDAVRHKBSRSPQW-QGTPRVQTSA-N 0 3 303.446 4.084 20 0 DIADHN FC(F)C1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000531727499 333544906 /nfs/dbraw/zinc/54/49/06/333544906.db2.gz BXFXFPDSHPGKKD-UHFFFAOYSA-N 0 3 310.775 4.365 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(c3ccccc3C)CC2)c1 ZINC000533970340 333552447 /nfs/dbraw/zinc/55/24/47/333552447.db2.gz BPKOZBHOSYEIDE-UHFFFAOYSA-N 0 3 322.452 4.035 20 0 DIADHN c1csc(CN(Cc2cccs2)C[C@H]2CCCOC2)c1 ZINC000531760332 333554112 /nfs/dbraw/zinc/55/41/12/333554112.db2.gz GTVZSJRQWVBUDN-CQSZACIVSA-N 0 3 307.484 4.238 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCCc2ccc(Cl)cc21)c1ccco1 ZINC000534235674 333568362 /nfs/dbraw/zinc/56/83/62/333568362.db2.gz MVVZWGZPKQDIJV-DLBZAZTESA-N 0 3 318.848 4.203 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1C)c1nnc(-c2ccccc2F)s1 ZINC000563464189 333609462 /nfs/dbraw/zinc/60/94/62/333609462.db2.gz QTEFNIUVKBURRX-SUNKGSAMSA-N 0 3 305.422 4.183 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000563474914 333610231 /nfs/dbraw/zinc/61/02/31/333610231.db2.gz PDTFGCJTNNTVSM-FRRDWIJNSA-N 0 3 323.383 4.251 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)Cc2c(C)noc2C)cc1Cl ZINC000152106401 333610711 /nfs/dbraw/zinc/61/07/11/333610711.db2.gz ITKWBIJCZQFNLM-MNOVXSKESA-N 0 3 322.836 4.235 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)Cc2c(C)noc2C)cc1Cl ZINC000152106644 333610731 /nfs/dbraw/zinc/61/07/31/333610731.db2.gz ITKWBIJCZQFNLM-WDEREUQCSA-N 0 3 322.836 4.235 20 0 DIADHN CC(C)CCOC1CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411171355 333639638 /nfs/dbraw/zinc/63/96/38/333639638.db2.gz DFSXKAKWCDUWRK-RBUKOAKNSA-N 0 3 305.437 4.209 20 0 DIADHN Cc1ccc([C@H](C)NCCC(=O)Nc2ccccc2Cl)cc1 ZINC000411463131 333674955 /nfs/dbraw/zinc/67/49/55/333674955.db2.gz RQKCGZKNIBOTHB-AWEZNQCLSA-N 0 3 316.832 4.328 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H]2CCC[C@H]2F)cc1OC ZINC000571187060 333679333 /nfs/dbraw/zinc/67/93/33/333679333.db2.gz YJNNUBMGEDYCGF-BMFZPTHFSA-N 0 3 309.425 4.415 20 0 DIADHN CN[C@@H](C)C(=O)Nc1ccc([C@@H](C)Sc2ccccc2)cc1 ZINC000571224492 333685170 /nfs/dbraw/zinc/68/51/70/333685170.db2.gz AAKKNUPWQVPAQO-UONOGXRCSA-N 0 3 314.454 4.086 20 0 DIADHN Clc1cccc(C2CCN(CCc3cncs3)CC2)c1 ZINC000411668330 333700752 /nfs/dbraw/zinc/70/07/52/333700752.db2.gz OYQPSIQGXSFGHY-UHFFFAOYSA-N 0 3 306.862 4.219 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cnc(-c2ccccc2)s1)C1CC1 ZINC000411949653 333715038 /nfs/dbraw/zinc/71/50/38/333715038.db2.gz OKIALYVQBDRMLW-SCLBCKFNSA-N 0 3 316.470 4.103 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1nc(-c2ccccc2)cs1)C1CC1 ZINC000411973495 333715865 /nfs/dbraw/zinc/71/58/65/333715865.db2.gz RTVVAHBOZQERMV-FZKQIMNGSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cccc(N2CCC2=O)c1 ZINC000442823885 335156097 /nfs/dbraw/zinc/15/60/97/335156097.db2.gz SDWSEJNDPWSYHX-DNVCBOLYSA-N 0 3 320.436 4.070 20 0 DIADHN C[C@H]1CCCCCN1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000412108796 333727911 /nfs/dbraw/zinc/72/79/11/333727911.db2.gz IWSYOPDWQJIESN-KRWDZBQOSA-N 0 3 314.473 4.077 20 0 DIADHN CCC[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccccn1 ZINC000571334573 333753796 /nfs/dbraw/zinc/75/37/96/333753796.db2.gz QNQBUBPLQDNUAD-HBFSDRIKSA-N 0 3 310.441 4.433 20 0 DIADHN C[C@H]1CCC[C@@H](CC(=O)Nc2ccc(CN(C)C)cc2F)C1 ZINC000412559140 333763843 /nfs/dbraw/zinc/76/38/43/333763843.db2.gz MOQIDOJIDMFRQJ-UONOGXRCSA-N 0 3 306.425 4.042 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)on1 ZINC000571450902 333790726 /nfs/dbraw/zinc/79/07/26/333790726.db2.gz GYWOTUBMUZPEHA-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN CCC[C@@H](NC(=O)[C@H](C)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000216256350 333804515 /nfs/dbraw/zinc/80/45/15/333804515.db2.gz ZTJAKZBQBLMXAR-ABSDTBQOSA-N 0 3 324.468 4.383 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)N1CCCC12CCN(C)CC2 ZINC000413676671 333863480 /nfs/dbraw/zinc/86/34/80/333863480.db2.gz ZPZPDSMUMSSMMY-GOSISDBHSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)OCCCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414055631 333888250 /nfs/dbraw/zinc/88/82/50/333888250.db2.gz CTOROIXERWRJHV-CQSZACIVSA-N 0 3 304.459 4.276 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414069707 333891089 /nfs/dbraw/zinc/89/10/89/333891089.db2.gz JLTZIMPOSPGDGX-FMKPAKJESA-N 0 3 316.470 4.418 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414073439 333891604 /nfs/dbraw/zinc/89/16/04/333891604.db2.gz GACYKDLCFJPFNC-CZUORRHYSA-N 0 3 317.864 4.155 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2)s1)[C@H]1CC1(C)C ZINC000414084693 333893622 /nfs/dbraw/zinc/89/36/22/333893622.db2.gz FQSAUEANUHTWBV-ZIAGYGMSSA-N 0 3 301.459 4.119 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc(OC)cc3C)CC2)c1 ZINC000414102147 333896424 /nfs/dbraw/zinc/89/64/24/333896424.db2.gz UXOWYIMYRSRRKK-OAHLLOKOSA-N 0 3 311.425 4.352 20 0 DIADHN C[C@H](NCC1(CO)CCCC1)c1csc(-c2ccccc2)n1 ZINC000414126996 333899196 /nfs/dbraw/zinc/89/91/96/333899196.db2.gz FPSIINSMHVPGLK-AWEZNQCLSA-N 0 3 316.470 4.013 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1)c1ccn(C)n1 ZINC000414152074 333903729 /nfs/dbraw/zinc/90/37/29/333903729.db2.gz QOFLWZIXSLVINP-JGGQBBKZSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1ccc(F)cn1 ZINC000414179590 333909397 /nfs/dbraw/zinc/90/93/97/333909397.db2.gz KULYHVQWSGMFKJ-XDMRBOTDSA-N 0 3 308.759 4.220 20 0 DIADHN CC[C@@H](N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1ccncc1 ZINC000414192957 333911886 /nfs/dbraw/zinc/91/18/86/333911886.db2.gz VIOQAQNQELPJCL-DAXOMENPSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1C[C@H]1c1ccccc1F)CCC2 ZINC000414196221 333912593 /nfs/dbraw/zinc/91/25/93/333912593.db2.gz XXEYOYPHYVQFBH-APHBMKBZSA-N 0 3 302.418 4.114 20 0 DIADHN COCC[C@H](C)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000414199968 333913230 /nfs/dbraw/zinc/91/32/30/333913230.db2.gz LEVKNQOJGJSFKY-KBPBESRZSA-N 0 3 304.459 4.133 20 0 DIADHN COCC[C@@H](C)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414204748 333914003 /nfs/dbraw/zinc/91/40/03/333914003.db2.gz GRFRUVYOWQIUAW-KGLIPLIRSA-N 0 3 304.459 4.133 20 0 DIADHN C[C@@H](N[C@@H](C)CCCc1cccnc1)c1nc2ccccc2n1C ZINC000414158719 333914658 /nfs/dbraw/zinc/91/46/58/333914658.db2.gz USBMJLWZZXOBMI-JKSUJKDBSA-N 0 3 322.456 4.030 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000414235487 333917445 /nfs/dbraw/zinc/91/74/45/333917445.db2.gz JUTGORMZTYZCBC-JGGQBBKZSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1ccncn1 ZINC000414240672 333918697 /nfs/dbraw/zinc/91/86/97/333918697.db2.gz NQKXNBLUZGUIMA-BJJXKVORSA-N 0 3 301.821 4.117 20 0 DIADHN CCn1ccc(CN[C@H](C)CC(C)(C)c2c[nH]c3ccccc32)n1 ZINC000414205653 333921424 /nfs/dbraw/zinc/92/14/24/333921424.db2.gz HNJHEPRQNIHCHQ-OAHLLOKOSA-N 0 3 324.472 4.230 20 0 DIADHN CC(C)(C)c1ccncc1CN[C@H](c1cccnc1)C1CCC1 ZINC000414220980 333924180 /nfs/dbraw/zinc/92/41/80/333924180.db2.gz CQQRLYQDRWCHMY-IBGZPJMESA-N 0 3 309.457 4.405 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)nn1C ZINC000414224638 333924718 /nfs/dbraw/zinc/92/47/18/333924718.db2.gz ASWVWEYNUGTNSX-JLJPHGGASA-N 0 3 317.864 4.369 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H](C)c2nccs2)cc1OC ZINC000414227233 333925741 /nfs/dbraw/zinc/92/57/41/333925741.db2.gz WAJHIUREUXKNBD-AGIUHOORSA-N 0 3 320.458 4.003 20 0 DIADHN CO[C@@](C)([C@H](C)N[C@H]1CC2(CCC2)Oc2ccccc21)C1CC1 ZINC000414230826 333926553 /nfs/dbraw/zinc/92/65/53/333926553.db2.gz GSSXTGBUOLTDJQ-FNHZYXHNSA-N 0 3 315.457 4.226 20 0 DIADHN C[C@@H]1C[C@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)[C@@H](C)O1 ZINC000414255808 333928196 /nfs/dbraw/zinc/92/81/96/333928196.db2.gz CLIAMXLUPHRPTI-YZDADXEPSA-N 0 3 313.416 4.318 20 0 DIADHN C[C@@H]1C[C@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)[C@@H](C)O1 ZINC000414255800 333928293 /nfs/dbraw/zinc/92/82/93/333928293.db2.gz CLIAMXLUPHRPTI-CWLMSFPYSA-N 0 3 313.416 4.318 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc2c(s1)CCCC2)CC(F)(F)F ZINC000414284412 333933379 /nfs/dbraw/zinc/93/33/79/333933379.db2.gz QQMIZSBAHVZBEZ-UWVGGRQHSA-N 0 3 306.397 4.261 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CNC(C)(C)c1ccccc1 ZINC000414309417 333937049 /nfs/dbraw/zinc/93/70/49/333937049.db2.gz RHWXENRBXRKZRD-UHFFFAOYSA-N 0 3 323.415 4.345 20 0 DIADHN CCc1ncc(CN[C@@H](c2cccs2)C(CC)CC)cn1 ZINC000414309110 333937053 /nfs/dbraw/zinc/93/70/53/333937053.db2.gz ULNFQJNVGNNBOL-QGZVFWFLSA-N 0 3 303.475 4.368 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000414311640 333937571 /nfs/dbraw/zinc/93/75/71/333937571.db2.gz YOHZTFODVROLMX-LJQANCHMSA-N 0 3 313.420 4.199 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cccc3O)ccc1C(F)(F)F ZINC000414330703 333941071 /nfs/dbraw/zinc/94/10/71/333941071.db2.gz QDNSIHYYYJWUBL-INIZCTEOSA-N 0 3 321.342 4.497 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1ccc(Br)s1 ZINC000414333869 333941598 /nfs/dbraw/zinc/94/15/98/333941598.db2.gz IBVJDPLUACQDAY-IVZWLZJFSA-N 0 3 312.232 4.310 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@H](OC(C)(C)C)C2(C)C)cn1 ZINC000414337033 333941950 /nfs/dbraw/zinc/94/19/50/333941950.db2.gz PSAOPGBMRKNLDF-IMJJTQAJSA-N 0 3 307.482 4.097 20 0 DIADHN C[C@@H](NCC(C)(C)c1cccnc1)c1cc(F)c(F)c(F)c1 ZINC000414339356 333942134 /nfs/dbraw/zinc/94/21/34/333942134.db2.gz SNWMXAOLEUXUOY-LLVKDONJSA-N 0 3 308.347 4.127 20 0 DIADHN C[C@@H](NCC(C)(C)c1cccnc1)c1ccc2ccccc2n1 ZINC000414343661 333942758 /nfs/dbraw/zinc/94/27/58/333942758.db2.gz YMVVOTRLDLZTKI-OAHLLOKOSA-N 0 3 305.425 4.258 20 0 DIADHN CCc1ncc(CNCCCc2ccc(Cl)cc2Cl)cn1 ZINC000414358013 333944792 /nfs/dbraw/zinc/94/47/92/333944792.db2.gz AYTFJLQXLXVETI-UHFFFAOYSA-N 0 3 324.255 4.068 20 0 DIADHN Cc1occc1CNc1cc(CN2CCSCC2)ccc1C ZINC000414361836 333945116 /nfs/dbraw/zinc/94/51/16/333945116.db2.gz QVNGQAKDHNTUJE-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](C)OC)c1cc(F)cc(Br)c1 ZINC000343465158 335167050 /nfs/dbraw/zinc/16/70/50/335167050.db2.gz CIWKDQAWHLFXDR-RULNRJAQSA-N 0 3 318.230 4.052 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1ccc(-c2c(F)cccc2F)o1 ZINC000343477357 335167939 /nfs/dbraw/zinc/16/79/39/335167939.db2.gz VVZRPQKAPVGURB-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1cc(Br)cs1 ZINC000226394398 333967973 /nfs/dbraw/zinc/96/79/73/333967973.db2.gz ARHAJFRJLOVEMC-LLVKDONJSA-N 0 3 320.296 4.224 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCC1(OC)CCCCC1)CCS2 ZINC000343492850 335169024 /nfs/dbraw/zinc/16/90/24/335169024.db2.gz CLPBWWVJCMZBOR-INIZCTEOSA-N 0 3 321.486 4.171 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@@](C)(O)CCC(C)C)CCS2 ZINC000343494417 335169313 /nfs/dbraw/zinc/16/93/13/335169313.db2.gz FLVNNEDVROETIN-WMZOPIPTSA-N 0 3 323.502 4.009 20 0 DIADHN Cc1ccc(CNCc2cnc(C3CC3)nc2)c2ccccc12 ZINC000414480428 333992892 /nfs/dbraw/zinc/99/28/92/333992892.db2.gz JZZRQSVXIYPNRC-UHFFFAOYSA-N 0 3 303.409 4.105 20 0 DIADHN CCc1nocc1CN[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000414497484 333998293 /nfs/dbraw/zinc/99/82/93/333998293.db2.gz FYKIPDIDPARBEZ-CJNGLKHVSA-N 0 3 304.821 4.316 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cc2c(cccc2F)[nH]1 ZINC000414503501 334000093 /nfs/dbraw/zinc/00/00/93/334000093.db2.gz WOGLGTXTAOXLKF-UHFFFAOYSA-N 0 3 311.404 4.289 20 0 DIADHN CC(C)Oc1ccc(CNCc2cnccc2C(C)(C)C)cc1 ZINC000414505050 334000564 /nfs/dbraw/zinc/00/05/64/334000564.db2.gz ZHHSLRMXYPBTOM-UHFFFAOYSA-N 0 3 312.457 4.456 20 0 DIADHN C[C@@H](CNCc1nc2ccc(F)cc2s1)CC(F)(F)F ZINC000414516179 334004356 /nfs/dbraw/zinc/00/43/56/334004356.db2.gz OOCAAKOVMYCVEZ-MRVPVSSYSA-N 0 3 306.328 4.114 20 0 DIADHN Cc1ccc(-c2ncc(CNCC(C)(C)OCC3CC3)s2)o1 ZINC000414517738 334005081 /nfs/dbraw/zinc/00/50/81/334005081.db2.gz SGRBWDIDPAZBSY-UHFFFAOYSA-N 0 3 320.458 4.006 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(C(C)(C)C)s2)CC12CCOCC2 ZINC000414531220 334008522 /nfs/dbraw/zinc/00/85/22/334008522.db2.gz RLLFEUMAQZVWAV-OAHLLOKOSA-N 0 3 322.518 4.078 20 0 DIADHN CC(C)[C@@H]1N(C[C@H](C)c2cc(F)cc(F)c2)CC12CCOCC2 ZINC000414541194 334013278 /nfs/dbraw/zinc/01/32/78/334013278.db2.gz QCDDVDVTGNRVGU-KSSFIOAISA-N 0 3 323.427 4.205 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000270603664 334015017 /nfs/dbraw/zinc/01/50/17/334015017.db2.gz GJBPNURITJLWFP-ZETOZRRWSA-N 0 3 311.397 4.189 20 0 DIADHN CC(C)OCC(C)(C)NCc1cnc(-c2ccccc2F)s1 ZINC000414550451 334015409 /nfs/dbraw/zinc/01/54/09/334015409.db2.gz NBCDXVGHDSEJAE-UHFFFAOYSA-N 0 3 322.449 4.242 20 0 DIADHN Cc1ccc(-c2ncc(CNC(C)(C)COC(C)C)s2)o1 ZINC000414552845 334016407 /nfs/dbraw/zinc/01/64/07/334016407.db2.gz QLSMZHGRRWJLMD-UHFFFAOYSA-N 0 3 308.447 4.005 20 0 DIADHN CC(C)(CNCc1csc(-c2ccccc2)n1)c1cccnc1 ZINC000414553918 334016582 /nfs/dbraw/zinc/01/65/82/334016582.db2.gz GMBDRSWPRUZCSA-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN Fc1ccc(CN(Cc2cccs2)C2CCOCC2)cc1 ZINC000271089801 334018134 /nfs/dbraw/zinc/01/81/34/334018134.db2.gz GDTYQGTXSAXGJJ-UHFFFAOYSA-N 0 3 305.418 4.068 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CCC[C@@H](CO)C2)o1 ZINC000414560949 334018980 /nfs/dbraw/zinc/01/89/80/334018980.db2.gz AZLORTLXBDMAMT-HUUCEWRRSA-N 0 3 317.404 4.035 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCF)ccc1Br ZINC000430239679 334021412 /nfs/dbraw/zinc/02/14/12/334021412.db2.gz LALCVJWUXCZTHB-CQSZACIVSA-N 0 3 300.215 4.254 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cnccc1C)c1ccsc1 ZINC000271375450 334022312 /nfs/dbraw/zinc/02/23/12/334022312.db2.gz HRDRUOXACOUWKX-CRAIPNDOSA-N 0 3 317.502 4.185 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc2c(c1)COC2 ZINC000271415252 334022729 /nfs/dbraw/zinc/02/27/29/334022729.db2.gz ARSMLOUSGSQZRE-XOBRGWDASA-N 0 3 323.436 4.454 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1F ZINC000437087054 334026579 /nfs/dbraw/zinc/02/65/79/334026579.db2.gz MWCYUIUELGXXPL-MFKMUULPSA-N 0 3 307.331 4.462 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@@H]1C[C@H]1c1ccco1 ZINC000414582748 334027954 /nfs/dbraw/zinc/02/79/54/334027954.db2.gz LLVRUAZWAMUEGO-PUCGFSNJSA-N 0 3 319.351 4.430 20 0 DIADHN FC(F)Oc1ccc(CN[C@@H]2C[C@H]2c2ccco2)cc1Cl ZINC000414593312 334031964 /nfs/dbraw/zinc/03/19/64/334031964.db2.gz QVLWVQKMZKDMJS-ZYHUDNBSSA-N 0 3 313.731 4.180 20 0 DIADHN C[C@H]1C[C@H](CCNCc2csc(-c3cccs3)n2)CCO1 ZINC000273054218 334042731 /nfs/dbraw/zinc/04/27/31/334042731.db2.gz LABRUJFYXVFBOC-QWHCGFSZSA-N 0 3 322.499 4.166 20 0 DIADHN Cc1ccoc1CN(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000273466278 334047623 /nfs/dbraw/zinc/04/76/23/334047623.db2.gz IMEOTFFXPDCIRJ-DLBZAZTESA-N 0 3 303.377 4.079 20 0 DIADHN CCCN(Cc1nc(C2CC2)cs1)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000343529206 335175117 /nfs/dbraw/zinc/17/51/17/335175117.db2.gz MKJOVAUQJVERRZ-KBRIMQKVSA-N 0 3 320.502 4.046 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1C[C@@H](C)NC[C@H]1C ZINC000414667315 334063464 /nfs/dbraw/zinc/06/34/64/334063464.db2.gz HKBDZPRCYKSTQC-HUUCEWRRSA-N 0 3 317.477 4.148 20 0 DIADHN Fc1ccc([C@H](NCc2cncc(F)c2)C2CCCC2)cc1 ZINC000275212929 334070020 /nfs/dbraw/zinc/07/00/20/334070020.db2.gz SOQMEDHPJRQHCI-GOSISDBHSA-N 0 3 302.368 4.381 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2cnn(C(C)(C)C)c2C)c1 ZINC000276369502 334076342 /nfs/dbraw/zinc/07/63/42/334076342.db2.gz OECDMWTZUPLSHV-KBPBESRZSA-N 0 3 315.461 4.367 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000276425243 334078011 /nfs/dbraw/zinc/07/80/11/334078011.db2.gz WEOMUBGGAOVGAH-CQSZACIVSA-N 0 3 301.434 4.022 20 0 DIADHN CCOC(CCN[C@@H](C)c1ccc(-c2ccccc2)o1)OCC ZINC000276463021 334079058 /nfs/dbraw/zinc/07/90/58/334079058.db2.gz CMJQSJRHKDAXFE-HNNXBMFYSA-N 0 3 317.429 4.386 20 0 DIADHN OCC[C@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000343599928 335176178 /nfs/dbraw/zinc/17/61/78/335176178.db2.gz DZBLTQRNTOYLFM-ICSRJNTNSA-N 0 3 320.436 4.259 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc3c(c2)OCCCO3)c2ccccc21 ZINC000343506895 335172645 /nfs/dbraw/zinc/17/26/45/335172645.db2.gz GOZKFFQJFCOUTA-KBXCAEBGSA-N 0 3 309.409 4.186 20 0 DIADHN OC[C@@H](CN[C@H]1CCSc2ccc(F)cc21)CC1CCCC1 ZINC000343506976 335172666 /nfs/dbraw/zinc/17/26/66/335172666.db2.gz GSLBLKMYVVIVCN-PBHICJAKSA-N 0 3 323.477 4.141 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@H]1Cc1ccc(F)cc1 ZINC000343505545 335172720 /nfs/dbraw/zinc/17/27/20/335172720.db2.gz FLQKHZBFQMMTQJ-IBGZPJMESA-N 0 3 315.436 4.119 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NC[C@H]2CCSC2)cc1 ZINC000278800836 334101525 /nfs/dbraw/zinc/10/15/25/334101525.db2.gz RJPGWHDHWMJODW-ZWNOBZJWSA-N 0 3 307.356 4.162 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCc2cc(Br)ccc21 ZINC000163614144 334131545 /nfs/dbraw/zinc/13/15/45/334131545.db2.gz HHFZCXBBPGRTSG-QPUJVOFHSA-N 0 3 322.168 4.367 20 0 DIADHN FC(F)C1CCC(NCc2nnc(-c3ccccc3)s2)CC1 ZINC000282124894 334131585 /nfs/dbraw/zinc/13/15/85/334131585.db2.gz AZJQZBAMRYIZEA-UHFFFAOYSA-N 0 3 323.412 4.119 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H]1CCc2cc(Br)ccc21 ZINC000163614445 334131641 /nfs/dbraw/zinc/13/16/41/334131641.db2.gz HHFZCXBBPGRTSG-PELKAZGASA-N 0 3 322.168 4.367 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](N[C@@H]1C[C@H]3OCCC[C@@H]13)CC2 ZINC000439071562 334152548 /nfs/dbraw/zinc/15/25/48/334152548.db2.gz ZFLXSBYWRPMDOV-SPWCGHHHSA-N 0 3 312.240 4.138 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@H]1Cc1cccc(F)c1 ZINC000343581842 335179118 /nfs/dbraw/zinc/17/91/18/335179118.db2.gz YSGIIIZANRWLDL-IBGZPJMESA-N 0 3 315.436 4.119 20 0 DIADHN C[C@H](NCC1=CCCOC1)c1ccccc1OCc1ccccc1 ZINC000287229380 334156795 /nfs/dbraw/zinc/15/67/95/334156795.db2.gz YDHCMVNUSPYTHM-KRWDZBQOSA-N 0 3 323.436 4.263 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccc(F)cc1)c1nccc2ccccc21 ZINC000289801395 334168068 /nfs/dbraw/zinc/16/80/68/334168068.db2.gz OXTDXGRPHZTRND-YRVVQQKDSA-N 0 3 324.399 4.147 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H](C)c2nccc3ccccc32)cc1 ZINC000289873480 334169191 /nfs/dbraw/zinc/16/91/91/334169191.db2.gz SFBIRMHRIWZNSF-HUUCEWRRSA-N 0 3 306.409 4.139 20 0 DIADHN Clc1cc(CNCc2ccccc2)ccc1Br ZINC000148722563 334169208 /nfs/dbraw/zinc/16/92/08/334169208.db2.gz IBCXDFRHKTYWAQ-UHFFFAOYSA-N 0 3 310.622 4.392 20 0 DIADHN C[C@H](NC[C@H]1COc2ccccc21)c1ccc2ccccc2n1 ZINC000290224384 334171698 /nfs/dbraw/zinc/17/16/98/334171698.db2.gz IDUQAHQXXVIABD-HOCLYGCPSA-N 0 3 304.393 4.062 20 0 DIADHN C[C@H](NCC1CCOCC1)c1csc(-c2ccccc2F)n1 ZINC000291103346 334176398 /nfs/dbraw/zinc/17/63/98/334176398.db2.gz DXWBHSGHUICSCZ-LBPRGKRZSA-N 0 3 320.433 4.026 20 0 DIADHN C[C@@H](NCC1CCOCC1)c1csc(-c2ccccc2F)n1 ZINC000291103343 334176472 /nfs/dbraw/zinc/17/64/72/334176472.db2.gz DXWBHSGHUICSCZ-GFCCVEGCSA-N 0 3 320.433 4.026 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3cc(N)ccc32)c(Cl)c1 ZINC000414919466 334180174 /nfs/dbraw/zinc/18/01/74/334180174.db2.gz VBJMABXSJRGMIF-SFHVURJKSA-N 0 3 316.832 4.098 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cc2cc(F)ccc2o1 ZINC000414920161 334180832 /nfs/dbraw/zinc/18/08/32/334180832.db2.gz WWHUUZNDVWAUIH-GOSISDBHSA-N 0 3 310.372 4.321 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3cc(N)ccc32)cc1Cl ZINC000414921877 334182076 /nfs/dbraw/zinc/18/20/76/334182076.db2.gz FDLWPIBAFSXBNB-GOSISDBHSA-N 0 3 300.833 4.398 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2CCCc3cc(N)ccc32)s1 ZINC000414923011 334183187 /nfs/dbraw/zinc/18/31/87/334183187.db2.gz GTTHXEJEAIRAHO-QGZVFWFLSA-N 0 3 315.486 4.091 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc(-n2ccnn2)cc1 ZINC000343668493 335181300 /nfs/dbraw/zinc/18/13/00/335181300.db2.gz NQKBZUPRUFNYQU-SXGZJXTBSA-N 0 3 318.424 4.166 20 0 DIADHN CC[C@@H](NCc1cccc(Cn2ccnc2)c1)c1cccc(F)c1 ZINC000187752729 334271724 /nfs/dbraw/zinc/27/17/24/334271724.db2.gz ZWBLJQIVKFYFTM-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CCC(CC)c1cc(CN[C@@H](C)Cc2ccc(O)cc2)on1 ZINC000293742745 334201801 /nfs/dbraw/zinc/20/18/01/334201801.db2.gz XKDSNESIIAKACB-ZDUSSCGKSA-N 0 3 302.418 4.005 20 0 DIADHN Cc1cc2cc([C@@H](C)NCCc3nccnc3C)oc2cc1C ZINC000294958832 334215038 /nfs/dbraw/zinc/21/50/38/334215038.db2.gz JCHGVWCYVPMWLB-OAHLLOKOSA-N 0 3 309.413 4.041 20 0 DIADHN C[C@H](CSC(C)(C)C)NCc1ccnc(C(F)(F)F)c1 ZINC000295071396 334217167 /nfs/dbraw/zinc/21/71/67/334217167.db2.gz PFPQFDFMDWJKEE-SNVBAGLBSA-N 0 3 306.397 4.110 20 0 DIADHN Fc1cnc(Cl)c(CNC2CCC(C(F)(F)F)CC2)c1 ZINC000295134042 334219329 /nfs/dbraw/zinc/21/93/29/334219329.db2.gz OXQRTVWFJRDWTL-UHFFFAOYSA-N 0 3 310.722 4.085 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000295245467 334221137 /nfs/dbraw/zinc/22/11/37/334221137.db2.gz PQFQDMRUNUMRDK-LXZKKBNFSA-N 0 3 301.409 4.029 20 0 DIADHN Oc1ccc2c(c1)[C@H](NC[C@H]1CCC3(CCCCC3)O1)CCC2 ZINC000340498158 334221325 /nfs/dbraw/zinc/22/13/25/334221325.db2.gz KOYNMJPOYFSCOE-IEBWSBKVSA-N 0 3 315.457 4.241 20 0 DIADHN C[C@H](NCc1ccc(CO)c(F)c1)c1cc2ccccc2s1 ZINC000187825619 334274107 /nfs/dbraw/zinc/27/41/07/334274107.db2.gz ZYHPRFYJQWBZMT-LBPRGKRZSA-N 0 3 315.413 4.384 20 0 DIADHN CC[C@@H](NCc1cc(Br)ccc1C)c1ccncc1 ZINC000453220333 334240861 /nfs/dbraw/zinc/24/08/61/334240861.db2.gz MIQJFZUPMSQWSH-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN COc1cc(OC)c([C@@H](C)NCCC(C)(F)F)cc1Cl ZINC000297404007 334241162 /nfs/dbraw/zinc/24/11/62/334241162.db2.gz SRHDLWXCBIQZPV-SECBINFHSA-N 0 3 307.768 4.053 20 0 DIADHN COc1cc(OC)c([C@H](C)NCCC(C)(F)F)cc1Cl ZINC000297404011 334241308 /nfs/dbraw/zinc/24/13/08/334241308.db2.gz SRHDLWXCBIQZPV-VIFPVBQESA-N 0 3 307.768 4.053 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCC1(F)F)c1nc2c(s1)CCC2 ZINC000453246886 334242768 /nfs/dbraw/zinc/24/27/68/334242768.db2.gz JTUKMPOOICAMEX-MNOVXSKESA-N 0 3 300.418 4.108 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(c2ccncn2)CC1 ZINC000298402055 334248057 /nfs/dbraw/zinc/24/80/57/334248057.db2.gz OSKBIMBEFQUAOI-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1ccc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)c(C)c1 ZINC000415023129 334259561 /nfs/dbraw/zinc/25/95/61/334259561.db2.gz KLZBLDPFQQDWSU-GOSISDBHSA-N 0 3 310.441 4.278 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C(C)(C)C)cc2)cc1 ZINC000202113343 334263914 /nfs/dbraw/zinc/26/39/14/334263914.db2.gz DKOAJFOYHWVUSJ-UHFFFAOYSA-N 0 3 311.425 4.061 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)CN1Cc1cccc2cc[nH]c21 ZINC000514092031 334264712 /nfs/dbraw/zinc/26/47/12/334264712.db2.gz BFTPCYKXRDYQCB-BEFAXECRSA-N 0 3 306.409 4.130 20 0 DIADHN CCOc1cccc(CNCc2ccc3nc(C)ccc3c2)c1 ZINC000187640573 334266486 /nfs/dbraw/zinc/26/64/86/334266486.db2.gz IAZDFYKPPGHZPZ-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN Cc1noc(C)c1CCN1CCCC[C@H]1c1ccc(F)cc1 ZINC000534471473 334268455 /nfs/dbraw/zinc/26/84/55/334268455.db2.gz MMNHJNOVWJOCAV-SFHVURJKSA-N 0 3 302.393 4.200 20 0 DIADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1ncc(Br)s1 ZINC000453402551 334269283 /nfs/dbraw/zinc/26/92/83/334269283.db2.gz AGEKNFVBAQLXPG-UFBFGSQYSA-N 0 3 315.280 4.135 20 0 DIADHN CCC[C@@H](NC[C@@H](C)CCO)c1ccc(Cl)cc1Cl ZINC000534495592 334277518 /nfs/dbraw/zinc/27/75/18/334277518.db2.gz FTVBIQBOSJPSJM-XHDPSFHLSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ncc(Br)s1 ZINC000534498209 334277848 /nfs/dbraw/zinc/27/78/48/334277848.db2.gz WGCCFOCVBXFYAD-DBIOUOCHSA-N 0 3 317.296 4.381 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H]3CCC(C)(C)O3)oc21 ZINC000187853945 334283312 /nfs/dbraw/zinc/28/33/12/334283312.db2.gz HKIPXGQNAGXCRH-TZMCWYRMSA-N 0 3 303.402 4.050 20 0 DIADHN c1cnn(CCCCCN(Cc2ccco2)Cc2ccccc2)c1 ZINC000110795253 334295173 /nfs/dbraw/zinc/29/51/73/334295173.db2.gz XXDQFUCEDMEIKL-UHFFFAOYSA-N 0 3 323.440 4.349 20 0 DIADHN CSc1cccc(NC(=O)CN(C(C)C)C2CCCC2)c1 ZINC000110789435 334295382 /nfs/dbraw/zinc/29/53/82/334295382.db2.gz MXJQFMIXNDHLDO-UHFFFAOYSA-N 0 3 306.475 4.000 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N(C)CCCc2ccccc2)c1C ZINC000534601377 334296324 /nfs/dbraw/zinc/29/63/24/334296324.db2.gz RZIDQVOAWNUMOE-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H](NCCCOCCc1ccccc1)c1ccccc1F ZINC000531938448 334299495 /nfs/dbraw/zinc/29/94/95/334299495.db2.gz HHRFSGSDUUNCRG-INIZCTEOSA-N 0 3 301.405 4.126 20 0 DIADHN COc1ccc(C)cc1CCN[C@@H](CC(C)C)c1ccccn1 ZINC000531945099 334300412 /nfs/dbraw/zinc/30/04/12/334300412.db2.gz RCUBGAGOGFIQSW-IBGZPJMESA-N 0 3 312.457 4.318 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(Cn3cccn3)cc2)c1 ZINC000531945270 334300901 /nfs/dbraw/zinc/30/09/01/334300901.db2.gz UDBMIHAGQQXMHI-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2N[C@@H]1CCCc2cn[nH]c21 ZINC000537962369 334300965 /nfs/dbraw/zinc/30/09/65/334300965.db2.gz WPQKAZBUBIEFBF-HUUCEWRRSA-N 0 3 322.239 4.371 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2N[C@H]1CCCc2cn[nH]c21 ZINC000537962367 334301019 /nfs/dbraw/zinc/30/10/19/334301019.db2.gz WPQKAZBUBIEFBF-CABCVRRESA-N 0 3 322.239 4.371 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1nc(-c2ccco2)no1 ZINC000111056822 334301092 /nfs/dbraw/zinc/30/10/92/334301092.db2.gz IXDILWYRBLWDJB-STQMWFEESA-N 0 3 303.406 4.024 20 0 DIADHN FC(F)(F)c1cccc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000534612337 334301471 /nfs/dbraw/zinc/30/14/71/334301471.db2.gz KMLSCVVQASLSFR-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000531950352 334304340 /nfs/dbraw/zinc/30/43/40/334304340.db2.gz KRAVDOWOLIASGT-DLBZAZTESA-N 0 3 315.461 4.199 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](C)N2CCc3sccc3C2)o1 ZINC000531954301 334305620 /nfs/dbraw/zinc/30/56/20/334305620.db2.gz XXLWGTGUOMBYKR-KBPBESRZSA-N 0 3 318.486 4.001 20 0 DIADHN COc1ccsc1CNC1(c2ccc(OC)cc2)CCCC1 ZINC000531954604 334306150 /nfs/dbraw/zinc/30/61/50/334306150.db2.gz LCHAAMYMENKGBO-UHFFFAOYSA-N 0 3 317.454 4.324 20 0 DIADHN COc1cc(CN[C@H]2CSCCc3ccccc32)ccc1C ZINC000531951931 334309763 /nfs/dbraw/zinc/30/97/63/334309763.db2.gz KJNVFZTUDJPCLU-SFHVURJKSA-N 0 3 313.466 4.124 20 0 DIADHN Oc1ccccc1CCN[C@H]1CCCOc2ccc(Cl)cc21 ZINC000531961137 334311587 /nfs/dbraw/zinc/31/15/87/334311587.db2.gz FDEDWPLUKXNSRQ-INIZCTEOSA-N 0 3 317.816 4.092 20 0 DIADHN CC[C@H](N[C@H](C)CCC=C(C)C)c1nnc2n1CCCCC2 ZINC000531961966 334312608 /nfs/dbraw/zinc/31/26/08/334312608.db2.gz DIZFFZJSZCKVFZ-CVEARBPZSA-N 0 3 304.482 4.180 20 0 DIADHN COc1cc([C@@H](C)NC[C@H]2CCC(C)(C)O2)ccc1SC ZINC000187870843 334315753 /nfs/dbraw/zinc/31/57/53/334315753.db2.gz HQFAEFWAGTUKAA-TZMCWYRMSA-N 0 3 309.475 4.025 20 0 DIADHN CN(CCc1cccs1)Cc1ccc(Br)s1 ZINC000111323422 334320843 /nfs/dbraw/zinc/32/08/43/334320843.db2.gz NDOLEYBDZDXJAG-UHFFFAOYSA-N 0 3 316.289 4.247 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccccc32)c(C(F)(F)F)c1 ZINC000531963506 334322094 /nfs/dbraw/zinc/32/20/94/334322094.db2.gz GZSSZLHBSCJGRM-QGZVFWFLSA-N 0 3 321.342 4.491 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H](C)Cc2ccsc2)c1 ZINC000049154807 334322266 /nfs/dbraw/zinc/32/22/66/334322266.db2.gz UWWJOAPQEVDEGE-CHWSQXEVSA-N 0 3 305.443 4.047 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)Cc2ccsc2)c(OC)c1 ZINC000049155317 334322664 /nfs/dbraw/zinc/32/26/64/334322664.db2.gz GRDDUAUPWZXKFB-STQMWFEESA-N 0 3 305.443 4.047 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@H](C)c2ccc(Cl)cc2)c1 ZINC000101544138 334326548 /nfs/dbraw/zinc/32/65/48/334326548.db2.gz UGTVKBMFBVHROQ-CYBMUJFWSA-N 0 3 316.832 4.491 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@@H](C)c2cccc(Cl)c2)c1 ZINC000101545128 334326622 /nfs/dbraw/zinc/32/66/22/334326622.db2.gz GJAGOACSXVZGTF-ZDUSSCGKSA-N 0 3 316.832 4.491 20 0 DIADHN C[C@H](c1ccccc1)N(CC(=O)Nc1ccccc1)CC1CCC1 ZINC000303816318 334327417 /nfs/dbraw/zinc/32/74/17/334327417.db2.gz JDUHWVJJTJIUMG-QGZVFWFLSA-N 0 3 322.452 4.488 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@H](N[C@H](C)c2ccoc2)C1 ZINC000531967835 334328051 /nfs/dbraw/zinc/32/80/51/334328051.db2.gz VOQJXDZXEHEMMC-PBHICJAKSA-N 0 3 302.393 4.047 20 0 DIADHN c1ccc(N2CCC(N[C@@H]3CSc4ccccc43)CC2)cc1 ZINC000531967743 334328139 /nfs/dbraw/zinc/32/81/39/334328139.db2.gz GUHCDHCBDYZENS-GOSISDBHSA-N 0 3 310.466 4.092 20 0 DIADHN Cc1cccc([C@H](C)C(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC000534702898 334328607 /nfs/dbraw/zinc/32/86/07/334328607.db2.gz UHWIYPIYGHTQGE-INIZCTEOSA-N 0 3 310.441 4.107 20 0 DIADHN CCc1nc(CN2C[C@@H](c3ccccc3C)C[C@@H]2C)cs1 ZINC000303725912 334329687 /nfs/dbraw/zinc/32/96/87/334329687.db2.gz CDRWHMFEWJBGMQ-GJZGRUSLSA-N 0 3 300.471 4.392 20 0 DIADHN C[C@H](NCCOCC1CC1)c1cc(Cl)ccc1OC(F)F ZINC000531971090 334331757 /nfs/dbraw/zinc/33/17/57/334331757.db2.gz VSZFXUOFVHWSEM-JTQLQIEISA-N 0 3 319.779 4.019 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCOC2CCCCCC2)c1 ZINC000531969628 334332109 /nfs/dbraw/zinc/33/21/09/334332109.db2.gz OUUHCGQGCFUOKR-OAHLLOKOSA-N 0 3 321.461 4.094 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)CC(=O)Nc1ccc(C)cc1C ZINC000303836340 334333828 /nfs/dbraw/zinc/33/38/28/334333828.db2.gz ATJHSGCFWBNORP-LJQANCHMSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1nc(-c2cccc3ccccc32)no1 ZINC000443102485 338444551 /nfs/dbraw/zinc/44/45/51/338444551.db2.gz OSEZIMSCDOIRDH-KBPBESRZSA-N 0 3 307.397 4.263 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCC[C@@H](C)C1)c1ccccc1 ZINC000303839595 334336373 /nfs/dbraw/zinc/33/63/73/334336373.db2.gz IDAWAAQKTJEUMV-BHIYHBOVSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)cc1F ZINC000443086286 338443764 /nfs/dbraw/zinc/44/37/64/338443764.db2.gz GCJGYKDWRDBGGE-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1cccc(Cl)c1Cl ZINC000531976744 334347554 /nfs/dbraw/zinc/34/75/54/334347554.db2.gz COYNVINHRXHQDA-YZENIEGJSA-N 0 3 314.256 4.458 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccc(Br)s1 ZINC000111635079 334348396 /nfs/dbraw/zinc/34/83/96/334348396.db2.gz SBNUBIIGYRKYID-SNVBAGLBSA-N 0 3 318.280 4.120 20 0 DIADHN CCC[C@@H](NCCOCC(F)(F)F)c1ccc(Cl)cc1 ZINC000111636740 334348419 /nfs/dbraw/zinc/34/84/19/334348419.db2.gz BNDORTKMPLBVEJ-CYBMUJFWSA-N 0 3 309.759 4.350 20 0 DIADHN CCC[C@H](NCCOCC(F)(F)F)c1ccc(Cl)cc1 ZINC000111636738 334348487 /nfs/dbraw/zinc/34/84/87/334348487.db2.gz BNDORTKMPLBVEJ-ZDUSSCGKSA-N 0 3 309.759 4.350 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCc3ccc(Cl)cc32)cn1 ZINC000534996926 334353170 /nfs/dbraw/zinc/35/31/70/334353170.db2.gz IEMIVHDJTRJWCJ-YVEFUNNKSA-N 0 3 303.837 4.456 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2Cl)cc1CNCCCF ZINC000535001611 334353321 /nfs/dbraw/zinc/35/33/21/334353321.db2.gz INPPVIOXACYJEF-UHFFFAOYSA-N 0 3 323.843 4.272 20 0 DIADHN CCN(Cc1csc(-c2ccccn2)n1)C1CCCCC1 ZINC000111683783 334353662 /nfs/dbraw/zinc/35/36/62/334353662.db2.gz QGNAMXINKYBTJL-UHFFFAOYSA-N 0 3 301.459 4.360 20 0 DIADHN CCC[C@H](N[C@@H](CO)c1ccc(CC)cc1)c1ccsc1 ZINC000443093450 338444301 /nfs/dbraw/zinc/44/43/01/338444301.db2.gz JCOYDNDNWDMPBB-ROUUACIJSA-N 0 3 303.471 4.475 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(F)c3cccnc23)cc1 ZINC000535063271 334362176 /nfs/dbraw/zinc/36/21/76/334362176.db2.gz WJGWSYJHUSHXJY-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccccn2)cc1 ZINC000535067511 334363080 /nfs/dbraw/zinc/36/30/80/334363080.db2.gz KRZTVOLVZHAPEU-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN CN(Cc1ccc(N2CCCC2)nc1)[C@H]1CCCc2ccccc21 ZINC000535068730 334363612 /nfs/dbraw/zinc/36/36/12/334363612.db2.gz WSWPWNPHVLSAQX-FQEVSTJZSA-N 0 3 321.468 4.191 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCOc2cccc(Cl)c2)n1 ZINC000531988739 334364225 /nfs/dbraw/zinc/36/42/25/334364225.db2.gz ADCVBUHZWMOPNQ-GOSISDBHSA-N 0 3 316.832 4.259 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](CC2CC2)c2ccccc2)cc1 ZINC000531989157 334365026 /nfs/dbraw/zinc/36/50/26/334365026.db2.gz GYXURDGVVPJXKB-HXUWFJFHSA-N 0 3 308.425 4.276 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000531995629 334369579 /nfs/dbraw/zinc/36/95/79/334369579.db2.gz PYUMRERBAXQARZ-DOMZBBRYSA-N 0 3 323.358 4.012 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000531995631 334369656 /nfs/dbraw/zinc/36/96/56/334369656.db2.gz PYUMRERBAXQARZ-SWLSCSKDSA-N 0 3 323.358 4.012 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](c1ccc(C)cc1)C1CCCC1 ZINC000187913345 334369953 /nfs/dbraw/zinc/36/99/53/334369953.db2.gz ZSOVJCOHGZGVGN-HXUWFJFHSA-N 0 3 311.473 4.366 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@@H]1CCC[C@@H]1O ZINC000535114784 334370418 /nfs/dbraw/zinc/37/04/18/334370418.db2.gz BBEMPFQBOJWFTM-AAEUAGOBSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1n[nH]cc1CCCN[C@H](C)c1ccc(Cl)cc1Cl ZINC000050182502 334370427 /nfs/dbraw/zinc/37/04/27/334370427.db2.gz TZXSGSQNTFUQSX-LLVKDONJSA-N 0 3 312.244 4.308 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000532013807 334374456 /nfs/dbraw/zinc/37/44/56/334374456.db2.gz XBNLHXVEHXYGFC-IEBWSBKVSA-N 0 3 311.473 4.332 20 0 DIADHN FC1(F)CCC[C@H](NCc2cnc(-c3ccccc3)s2)C1 ZINC000532015552 334375151 /nfs/dbraw/zinc/37/51/51/334375151.db2.gz ABWPZYCGZXHNDJ-ZDUSSCGKSA-N 0 3 308.397 4.478 20 0 DIADHN OCc1ccccc1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC000305122652 334375385 /nfs/dbraw/zinc/37/53/85/334375385.db2.gz KANWKOAGBGJDEN-JOCHJYFZSA-N 0 3 317.432 4.253 20 0 DIADHN COc1cccc([C@@H](C)N2CCc3ccc(C(C)=O)cc3CC2)c1 ZINC000535217102 334379279 /nfs/dbraw/zinc/37/92/79/334379279.db2.gz RZABQKMWALJLII-OAHLLOKOSA-N 0 3 323.436 4.060 20 0 DIADHN Cc1ccc(CNCCc2nc3cc(Cl)ccc3s2)o1 ZINC000532034698 334381743 /nfs/dbraw/zinc/38/17/43/334381743.db2.gz KIQFINPRFDCFRI-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN CN(C)CCS[C@H](c1ccccc1)c1ccc(F)c(F)c1 ZINC000535254099 334383025 /nfs/dbraw/zinc/38/30/25/334383025.db2.gz BFBUFLFNUVTKRY-QGZVFWFLSA-N 0 3 307.409 4.349 20 0 DIADHN COCC1CCN([C@@H](C)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000112352730 334384812 /nfs/dbraw/zinc/38/48/12/334384812.db2.gz FQZAFLZOPIGBFT-LBPRGKRZSA-N 0 3 317.351 4.005 20 0 DIADHN Cc1ccc2nccc(NCc3nc(-c4cccs4)c[nH]3)c2c1 ZINC000340859609 334390718 /nfs/dbraw/zinc/39/07/18/334390718.db2.gz AZSJPPLYDSSCHB-UHFFFAOYSA-N 0 3 320.421 4.029 20 0 DIADHN Cc1cnc(CN[C@H](C)C(c2ccccc2)c2ccccc2)n1C ZINC000341005750 334399364 /nfs/dbraw/zinc/39/93/64/334399364.db2.gz CEJHPWGTCQADMR-QGZVFWFLSA-N 0 3 319.452 4.039 20 0 DIADHN CC[C@H](NCC(=O)Nc1cccc(SC)c1)c1ccccc1 ZINC000341210310 334417470 /nfs/dbraw/zinc/41/74/70/334417470.db2.gz IIXLKURZVXWXEJ-KRWDZBQOSA-N 0 3 314.454 4.088 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(F)cc3cccnc32)cc1 ZINC000341209864 334417586 /nfs/dbraw/zinc/41/75/86/334417586.db2.gz HGECNRRZNQLHCO-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(F)cc3cccnc32)cc1 ZINC000341209863 334417588 /nfs/dbraw/zinc/41/75/88/334417588.db2.gz HGECNRRZNQLHCO-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN OCC[C@@H](NCCSc1ccc(Cl)cc1)c1ccccc1 ZINC000341225292 334420135 /nfs/dbraw/zinc/42/01/35/334420135.db2.gz DBJXZWXLQLNWMY-QGZVFWFLSA-N 0 3 321.873 4.145 20 0 DIADHN C[C@H](Cc1cccs1)NCc1cscc1Br ZINC000304536233 334495354 /nfs/dbraw/zinc/49/53/54/334495354.db2.gz HWAWNGCOCCBPJP-SECBINFHSA-N 0 3 316.289 4.293 20 0 DIADHN COCC[C@H](NCCOC(C)C)c1ccc(Cl)c(Cl)c1 ZINC000342007370 334500907 /nfs/dbraw/zinc/50/09/07/334500907.db2.gz BBFKCKDOLTUIPV-HNNXBMFYSA-N 0 3 320.260 4.086 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc3c1OCCCO3)CCC2 ZINC000342290809 334525444 /nfs/dbraw/zinc/52/54/44/334525444.db2.gz MGSXZLOYCWGMSM-LJQANCHMSA-N 0 3 323.436 4.324 20 0 DIADHN C[C@@H](NCc1ccn(C)c1)c1ccc(Oc2cccnc2)cc1 ZINC000342309052 334527611 /nfs/dbraw/zinc/52/76/11/334527611.db2.gz HJCSPYWAVMIQSY-OAHLLOKOSA-N 0 3 307.397 4.063 20 0 DIADHN CCCOc1ccccc1[C@@H](CC(C)C)NCCn1ccnc1 ZINC000342323549 334532637 /nfs/dbraw/zinc/53/26/37/334532637.db2.gz IEFTZHJFYDBDNR-GOSISDBHSA-N 0 3 315.461 4.049 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CNCc3ccc4ncccc4c3)O2)cc1 ZINC000342436601 334541091 /nfs/dbraw/zinc/54/10/91/334541091.db2.gz YUZNQCWUFSPJEO-FPOVZHCZSA-N 0 3 318.420 4.245 20 0 DIADHN Cc1cc(CN[C@H](CC2CC2)c2ccc(Cl)cc2)n(C)n1 ZINC000342612585 334559290 /nfs/dbraw/zinc/55/92/90/334559290.db2.gz KTKGVRJPJJYIBN-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN CC[C@H](N[C@@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccncc1 ZINC000342694403 334562209 /nfs/dbraw/zinc/56/22/09/334562209.db2.gz FHILLJVYUQJACU-IPMKNSEASA-N 0 3 310.441 4.368 20 0 DIADHN COCC[C@@H](N[C@@H]1CCSC1)c1ccc(Cl)c(Cl)c1 ZINC000342808212 334578978 /nfs/dbraw/zinc/57/89/78/334578978.db2.gz AEOVJJYWFMZJRQ-BXUZGUMPSA-N 0 3 320.285 4.166 20 0 DIADHN C[C@H](NC[C@H](c1cccc(F)c1)N(C)C)c1ccc(F)c(F)c1 ZINC000467841747 334607162 /nfs/dbraw/zinc/60/71/62/334607162.db2.gz NUEKYVQJNHCMNF-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCO)c1cc(F)c(Cl)cc1Cl ZINC000188000490 334607426 /nfs/dbraw/zinc/60/74/26/334607426.db2.gz BPAZUMJORZBDGH-WMFXKJRFSA-N 0 3 320.235 4.334 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCO)c1ccc(Cl)cc1Cl ZINC000188034259 334611183 /nfs/dbraw/zinc/61/11/83/334611183.db2.gz BUNURBPFJZFWEJ-RWSFTLGLSA-N 0 3 302.245 4.195 20 0 DIADHN CNCc1ccccc1NC(=O)N1CCC[C@H]1c1ccccc1C ZINC000415895827 334625324 /nfs/dbraw/zinc/62/53/24/334625324.db2.gz BZRUUYZZIHFZMI-IBGZPJMESA-N 0 3 323.440 4.083 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@@H](C)CCC1CCCCC1 ZINC000415911831 334627863 /nfs/dbraw/zinc/62/78/63/334627863.db2.gz KINOQJJUWHYUNP-HNNXBMFYSA-N 0 3 317.477 4.277 20 0 DIADHN Cc1cnc([C@@H](N[C@H](C)Cc2ccccc2F)C2CC2)s1 ZINC000188077133 334633503 /nfs/dbraw/zinc/63/35/03/334633503.db2.gz HXBXDHGIHJOBEI-BZNIZROVSA-N 0 3 304.434 4.263 20 0 DIADHN CNCc1cccc(NC(=O)N(C)C2CCC(C(C)C)CC2)c1 ZINC000415950349 334635197 /nfs/dbraw/zinc/63/51/97/334635197.db2.gz BHTISEHVQVLXSY-UHFFFAOYSA-N 0 3 317.477 4.085 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)Nc2ccc3c(c2)CNC3)c2ccccc21 ZINC000416004806 334638890 /nfs/dbraw/zinc/63/88/90/334638890.db2.gz HRPWMBTZDSHITD-SFHVURJKSA-N 0 3 321.424 4.082 20 0 DIADHN CO[C@H](C)CN[C@H](C)c1ccc(SCC(F)(F)F)cc1 ZINC000188138725 334657192 /nfs/dbraw/zinc/65/71/92/334657192.db2.gz RUTNJCRKRJFWMB-GHMZBOCLSA-N 0 3 307.381 4.027 20 0 DIADHN C[C@H](N[C@@H]1CCOc2c(F)cccc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417332115 334709289 /nfs/dbraw/zinc/70/92/89/334709289.db2.gz NJLBZZPRIQUWGH-YYWXWVFPSA-N 0 3 317.429 4.493 20 0 DIADHN CC[C@@H](CNCc1cnc(C(F)(F)F)s1)c1ccccc1 ZINC000443974103 338464847 /nfs/dbraw/zinc/46/48/47/338464847.db2.gz RQAXHQYXUPJCQM-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN Cc1ccc2cc([C@H](C)NCCn3cnc4ccccc43)oc2c1 ZINC000425937502 334774514 /nfs/dbraw/zinc/77/45/14/334774514.db2.gz MRXWUKVTQYTVDF-HNNXBMFYSA-N 0 3 319.408 4.442 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](CO)Cc3ccccc3)oc2c1 ZINC000425935070 334774537 /nfs/dbraw/zinc/77/45/37/334774537.db2.gz GOVKFLPUANBSDP-FUHWJXTLSA-N 0 3 323.436 4.243 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000425338938 334801760 /nfs/dbraw/zinc/80/17/60/334801760.db2.gz BNQQEFXMXOSJLL-RWMBFGLXSA-N 0 3 307.356 4.303 20 0 DIADHN NC(=O)C1(NCc2cc(Cl)cc(Cl)c2)CCCCC1 ZINC000330734527 334810879 /nfs/dbraw/zinc/81/08/79/334810879.db2.gz LMQCKKCEUQZSGM-UHFFFAOYSA-N 0 3 301.217 4.321 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CC[C@@H]2SC)ccc1OC(C)C ZINC000425359810 334840896 /nfs/dbraw/zinc/84/08/96/334840896.db2.gz QLNGKDRCPKMSEI-QEORTHHSSA-N 0 3 309.475 4.027 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CC[C@H]2SC)ccc1OC(C)C ZINC000425359808 334840941 /nfs/dbraw/zinc/84/09/41/334840941.db2.gz QLNGKDRCPKMSEI-DXCKQFNASA-N 0 3 309.475 4.027 20 0 DIADHN Cc1sccc1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000448698165 338468201 /nfs/dbraw/zinc/46/82/01/338468201.db2.gz DFUWOLRBFNEHBA-UHFFFAOYSA-N 0 3 314.454 4.295 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2nc(C(F)(F)F)cs2)C1 ZINC000474809459 334862603 /nfs/dbraw/zinc/86/26/03/334862603.db2.gz DRYIOXLXYATIKV-WDEREUQCSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1nccn1CCCCNc1cc(C)nc2ccc(F)cc21 ZINC000127219274 334874928 /nfs/dbraw/zinc/87/49/28/334874928.db2.gz LTJUZZFSOXPOHA-UHFFFAOYSA-N 0 3 312.392 4.080 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H](C)[C@@H]3CC3(C)C)n2)cc1F ZINC000425969373 334875329 /nfs/dbraw/zinc/87/53/29/334875329.db2.gz WNUXPHQKDFHREX-DYEKYZERSA-N 0 3 317.408 4.269 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNc1cc(CN(C)C)ccn1 ZINC000189397653 334895749 /nfs/dbraw/zinc/89/57/49/334895749.db2.gz DXJFCXYZOLKACN-UHFFFAOYSA-N 0 3 323.362 4.083 20 0 DIADHN CSCc1cccc(CNCc2ccc(-n3cccn3)cc2)c1 ZINC000189483532 334897377 /nfs/dbraw/zinc/89/73/77/334897377.db2.gz FKPLUKXFEJYQPQ-UHFFFAOYSA-N 0 3 323.465 4.025 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000163289766 334921894 /nfs/dbraw/zinc/92/18/94/334921894.db2.gz WMLFHTMSBSCCEE-SWLSCSKDSA-N 0 3 319.836 4.448 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000425481442 334923182 /nfs/dbraw/zinc/92/31/82/334923182.db2.gz PZQIQSULKZPLKL-GJZGRUSLSA-N 0 3 301.352 4.003 20 0 DIADHN CCN(CCCSc1ccccc1Cl)Cc1cnn(C)c1 ZINC000343736103 335192919 /nfs/dbraw/zinc/19/29/19/335192919.db2.gz REDMCBQYGUKPBH-UHFFFAOYSA-N 0 3 323.893 4.078 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1C[C@@H](C)c3ccccc31)CCO2 ZINC000343827890 335195986 /nfs/dbraw/zinc/19/59/86/335195986.db2.gz KXUCDQGLYYVWPI-ZNOIYHFQSA-N 0 3 309.409 4.357 20 0 DIADHN COCCC1(CN[C@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000343868013 335198589 /nfs/dbraw/zinc/19/85/89/335198589.db2.gz VGDOEUKPRSBVSU-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)C1CCOCC1 ZINC000343866999 335198695 /nfs/dbraw/zinc/19/86/95/335198695.db2.gz TUBXTRLVRHBQLY-ONGXEEELSA-N 0 3 322.396 4.018 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(C(F)(F)F)cs1)C1CCOCC1 ZINC000343866996 335198760 /nfs/dbraw/zinc/19/87/60/335198760.db2.gz TUBXTRLVRHBQLY-KOLCDFICSA-N 0 3 322.396 4.018 20 0 DIADHN CSCc1cc(F)ccc1CN[C@@H](C)c1cncc(C)c1 ZINC000343835940 335200693 /nfs/dbraw/zinc/20/06/93/335200693.db2.gz NJZSJKXMRJPDKE-ZDUSSCGKSA-N 0 3 304.434 4.243 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)N[C@H]1CCCOC1 ZINC000343976988 335204870 /nfs/dbraw/zinc/20/48/70/335204870.db2.gz HPZLQXRTERLPLQ-GOEBONIOSA-N 0 3 311.853 4.204 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC[C@H]1COc3ccccc31)CCS2 ZINC000343987945 335205667 /nfs/dbraw/zinc/20/56/67/335205667.db2.gz OQZYEBKJAFQEGY-BLLLJJGKSA-N 0 3 315.413 4.128 20 0 DIADHN CC(C)CC1(C)CCN(Cc2cccc(C(=O)N(C)C)c2)CC1 ZINC000344001328 335206770 /nfs/dbraw/zinc/20/67/70/335206770.db2.gz YLSAMHNULUQBND-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1sc(C)nc1C ZINC000344000742 335206858 /nfs/dbraw/zinc/20/68/58/335206858.db2.gz YGMUCFRYJCFQGK-INIZCTEOSA-N 0 3 304.459 4.352 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCCc3ccc(F)cc32)cn1 ZINC000344033644 335210754 /nfs/dbraw/zinc/21/07/54/335210754.db2.gz LFFUWSXESCBINL-GOSISDBHSA-N 0 3 314.404 4.175 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H]2CCOc3c(Cl)cccc32)C12CCC2 ZINC000344038720 335211403 /nfs/dbraw/zinc/21/14/03/335211403.db2.gz BHBIGHLFJKXYAC-ARFHVFGLSA-N 0 3 321.848 4.101 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N(C)C[C@H]1CC=CCC1 ZINC000344072992 335215270 /nfs/dbraw/zinc/21/52/70/335215270.db2.gz SQYGZRAKRPCFEP-OLZOCXBDSA-N 0 3 303.431 4.147 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N(C)C[C@H]1CC=CCC1 ZINC000344072994 335215277 /nfs/dbraw/zinc/21/52/77/335215277.db2.gz SQYGZRAKRPCFEP-STQMWFEESA-N 0 3 303.431 4.147 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCCc2ccc(F)cc21)c1ccccc1 ZINC000344114929 335218259 /nfs/dbraw/zinc/21/82/59/335218259.db2.gz LRDKBWNTOPOVJN-WOJBJXKFSA-N 0 3 313.416 4.307 20 0 DIADHN CCC[C@@H](NC(=O)C[C@@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000344120459 335219230 /nfs/dbraw/zinc/21/92/30/335219230.db2.gz HEGQSVGYYMAWPB-UXHICEINSA-N 0 3 324.468 4.337 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC[C@H](C3CC3)C2)c(C)c1 ZINC000344149127 335222011 /nfs/dbraw/zinc/22/20/11/335222011.db2.gz SMTMSNXSGDUMRJ-WMZOPIPTSA-N 0 3 314.473 4.061 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc(-n3ccnc3)c1)CCC2 ZINC000344101581 335223146 /nfs/dbraw/zinc/22/31/46/335223146.db2.gz MGBQVWOYDJIJHO-HXUWFJFHSA-N 0 3 321.399 4.179 20 0 DIADHN Cc1sc(CN[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)nc1C(C)C ZINC000344106996 335223914 /nfs/dbraw/zinc/22/39/14/335223914.db2.gz QZKSWWSKZACOFF-KBRIMQKVSA-N 0 3 320.502 4.012 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](OCC(C)C)C1(C)C)c1c(C)noc1C ZINC000344277032 335235302 /nfs/dbraw/zinc/23/53/02/335235302.db2.gz BWNGRFBZXKFARD-JYJNAYRXSA-N 0 3 308.466 4.172 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@@H](C)CC[S@](C)=O ZINC000344305890 335238984 /nfs/dbraw/zinc/23/89/84/335238984.db2.gz PFWJHRQNGSTBLJ-OKHZJLECSA-N 0 3 321.486 4.111 20 0 DIADHN C[C@@H](NCc1cc(-n2ccnc2)cs1)c1ccc(F)cc1F ZINC000344309314 335239494 /nfs/dbraw/zinc/23/94/94/335239494.db2.gz TUACRIPMYVUSGS-LLVKDONJSA-N 0 3 319.380 4.063 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc(C3CC3)cs2)C1(CC)CC ZINC000344312716 335239749 /nfs/dbraw/zinc/23/97/49/335239749.db2.gz XRIWGQJOCSKCLX-CABCVRRESA-N 0 3 308.491 4.094 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CC)c2c(C)noc2C)C1(CC)CC ZINC000344313637 335240100 /nfs/dbraw/zinc/24/01/00/335240100.db2.gz ZEAVZPBSXVGPSR-BZUAXINKSA-N 0 3 308.466 4.316 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cc(C)c2ncccc2c1 ZINC000344359716 335244321 /nfs/dbraw/zinc/24/43/21/335244321.db2.gz QDKIODVXVAPXII-HNNXBMFYSA-N 0 3 305.425 4.351 20 0 DIADHN c1ccc(-c2cccc3c2OCC[C@@H]3NCC2=CCCOC2)cc1 ZINC000344408701 335246807 /nfs/dbraw/zinc/24/68/07/335246807.db2.gz CUDFQDKHINDZEN-FQEVSTJZSA-N 0 3 321.420 4.114 20 0 DIADHN c1cc(CN[C@@H]2CCOc3c(-c4ccccc4)cccc32)c[nH]1 ZINC000344408936 335246886 /nfs/dbraw/zinc/24/68/86/335246886.db2.gz FPCPYTKAMLGEIK-LJQANCHMSA-N 0 3 304.393 4.295 20 0 DIADHN CC[C@H](NCc1ccc(Br)cc1)c1ccncc1 ZINC000344548430 335260707 /nfs/dbraw/zinc/26/07/07/335260707.db2.gz JGGUZGJLNRBDGA-HNNXBMFYSA-N 0 3 305.219 4.085 20 0 DIADHN COC1CCC(CNCc2nc(-c3ccccc3)cs2)CC1 ZINC000344637006 335261372 /nfs/dbraw/zinc/26/13/72/335261372.db2.gz NRZFTKMAGUEEAH-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN CC[C@H](NCc1ccc(F)cc1C(F)(F)F)c1ccncc1 ZINC000344589651 335261943 /nfs/dbraw/zinc/26/19/43/335261943.db2.gz VHBPTPDEQFZCIX-HNNXBMFYSA-N 0 3 312.310 4.480 20 0 DIADHN Cn1ccc(CNCc2ccc(F)c(-c3cccs3)c2)c1 ZINC000344620905 335264594 /nfs/dbraw/zinc/26/45/94/335264594.db2.gz ZBKSZEQEQWMWHU-UHFFFAOYSA-N 0 3 300.402 4.183 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN[C@H]1CCCC(F)(F)C1 ZINC000344787830 335277314 /nfs/dbraw/zinc/27/73/14/335277314.db2.gz FUTSKJQAFSJANN-HNNXBMFYSA-N 0 3 319.399 4.157 20 0 DIADHN COC[C@@H]1CCCCN(Cc2nc(-c3ccccc3)cs2)C1 ZINC000344919706 335288889 /nfs/dbraw/zinc/28/88/89/335288889.db2.gz CYXXPSQSZQYGTC-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN Clc1ccc(CNCc2ccc3c(c2)COC3)c2ncccc12 ZINC000344992274 335290091 /nfs/dbraw/zinc/29/00/91/335290091.db2.gz JPUTZCNHBUYHBG-UHFFFAOYSA-N 0 3 324.811 4.208 20 0 DIADHN C[C@@](O)(CN[C@H](CC1CC1)c1ccc(Cl)cc1)C(F)(F)F ZINC000345286993 335313948 /nfs/dbraw/zinc/31/39/48/335313948.db2.gz WWLFMCFCERGQEI-ZIAGYGMSSA-N 0 3 321.770 4.084 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@H](OC(C)C)C2(C)C)cnn1C(C)C ZINC000345319286 335316982 /nfs/dbraw/zinc/31/69/82/335316982.db2.gz MDTWNCKVAVPFSU-XKQJLSEDSA-N 0 3 307.482 4.015 20 0 DIADHN CO[C@H](CCN[C@@H](C)c1csc(Cl)c1)C(F)(F)F ZINC000345534880 335331588 /nfs/dbraw/zinc/33/15/88/335331588.db2.gz XWKRPCYSSBFFBU-IONNQARKSA-N 0 3 301.761 4.020 20 0 DIADHN CO[C@@H](CCN[C@@H](C)c1csc(Cl)c1)C(F)(F)F ZINC000345534877 335331683 /nfs/dbraw/zinc/33/16/83/335331683.db2.gz XWKRPCYSSBFFBU-CBAPKCEASA-N 0 3 301.761 4.020 20 0 DIADHN CCn1cc(CN[C@@H]2C[C@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000345708978 335349414 /nfs/dbraw/zinc/34/94/14/335349414.db2.gz QRVNPFJIJQBUNQ-CRAIPNDOSA-N 0 3 309.457 4.293 20 0 DIADHN C[C@H]1CC[C@@H](NCc2csc(COc3ccccc3)n2)C1 ZINC000345826782 335353724 /nfs/dbraw/zinc/35/37/24/335353724.db2.gz IDSLQMGCNUVOJA-UONOGXRCSA-N 0 3 302.443 4.000 20 0 DIADHN Brc1ccc([C@H]2CCCN2CC[C@H]2CCCO2)cc1 ZINC000345832986 335354218 /nfs/dbraw/zinc/35/42/18/335354218.db2.gz AATQBZOOCRFIDJ-HZPDHXFCSA-N 0 3 324.262 4.155 20 0 DIADHN OCCC1(NCc2nc(C3CCCCC3)cs2)CCCCC1 ZINC000444661484 338479948 /nfs/dbraw/zinc/47/99/48/338479948.db2.gz HOHFEEANZBEZEX-UHFFFAOYSA-N 0 3 322.518 4.366 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000346056247 335371439 /nfs/dbraw/zinc/37/14/39/335371439.db2.gz GNXQBHYIHKMMCJ-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN Cc1ccc(C(C)C)c2c1[C@@H](NC[C@H]1CCCS1)CCO2 ZINC000346083938 335377206 /nfs/dbraw/zinc/37/72/06/335377206.db2.gz QRFZRBXIWKBHIO-ZBFHGGJFSA-N 0 3 305.487 4.427 20 0 DIADHN Cc1ccc(C(C)C)c2c1[C@H](NC[C@@H]1CCCS1)CCO2 ZINC000346083935 335377234 /nfs/dbraw/zinc/37/72/34/335377234.db2.gz QRFZRBXIWKBHIO-GOEBONIOSA-N 0 3 305.487 4.427 20 0 DIADHN Cc1cc(CN2CCC(OC3CCCC3)CC2)cc2cccnc12 ZINC000346179962 335378372 /nfs/dbraw/zinc/37/83/72/335378372.db2.gz PUAHCAXXVGMKSQ-UHFFFAOYSA-N 0 3 324.468 4.467 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cc(C)c2ncccc2c1 ZINC000346142724 335380902 /nfs/dbraw/zinc/38/09/02/335380902.db2.gz BZJOODLVHSKPNZ-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN C[C@H]1c2ccsc2CCN1Cc1cc(-n2ccnc2)cs1 ZINC000346153854 335382503 /nfs/dbraw/zinc/38/25/03/335382503.db2.gz PZOOVTVIUPKWCH-LBPRGKRZSA-N 0 3 315.467 4.115 20 0 DIADHN C[C@H](c1ccccc1F)N(C)Cc1cc(-n2ccnc2)cs1 ZINC000346156321 335383025 /nfs/dbraw/zinc/38/30/25/335383025.db2.gz AVNAHNJMHOGSQV-CYBMUJFWSA-N 0 3 315.417 4.266 20 0 DIADHN CN(Cc1cc(-n2ccnc2)cs1)Cc1ccc(Cl)cc1 ZINC000346164318 335383852 /nfs/dbraw/zinc/38/38/52/335383852.db2.gz ILBBLUXFEQJUOO-UHFFFAOYSA-N 0 3 317.845 4.219 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCOc1ccccc1)CCS2 ZINC000346213764 335391095 /nfs/dbraw/zinc/39/10/95/335391095.db2.gz ZFGVHGNXBOCBQZ-INIZCTEOSA-N 0 3 303.402 4.031 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)Cc2cccc(C(=O)N(C)C)c2)c1 ZINC000346297214 335393444 /nfs/dbraw/zinc/39/34/44/335393444.db2.gz RIARXBAPOKIPHB-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN C[C@H](CN(C)Cc1cc2cc(F)ccc2o1)c1nccs1 ZINC000346265546 335396283 /nfs/dbraw/zinc/39/62/83/335396283.db2.gz HSVKQCRNQYGNEQ-LLVKDONJSA-N 0 3 304.390 4.264 20 0 DIADHN CN(Cc1c(C2CC2)nc2sccn12)C[C@@H]1CC=CCC1 ZINC000346323446 335399964 /nfs/dbraw/zinc/39/99/64/335399964.db2.gz IRXSPZRUTKIWFU-CYBMUJFWSA-N 0 3 301.459 4.061 20 0 DIADHN CC(C)[C@H](NCCO[C@@H]1CCCCO1)c1ccccc1Cl ZINC000444684147 338482358 /nfs/dbraw/zinc/48/23/58/338482358.db2.gz KRFFVYBMMJDKRP-SJORKVTESA-N 0 3 311.853 4.170 20 0 DIADHN CC(C)(C)C[C@@H](NCCOCC(F)F)c1ccc(F)cc1 ZINC000346544646 335420423 /nfs/dbraw/zinc/42/04/23/335420423.db2.gz GLFUPFUTJRRRSQ-CQSZACIVSA-N 0 3 303.368 4.174 20 0 DIADHN CN(Cc1cnn(-c2ccccc2)c1)c1ccnc2ccccc21 ZINC000346624712 335424858 /nfs/dbraw/zinc/42/48/58/335424858.db2.gz GZEDLVVYCOEPJY-UHFFFAOYSA-N 0 3 314.392 4.057 20 0 DIADHN C[C@H](N[C@@H]1CCOc2c(-c3ccccc3)cccc21)[C@H]1CCCO1 ZINC000346632061 335429680 /nfs/dbraw/zinc/42/96/80/335429680.db2.gz HIOWBSWZXZDRRO-CWFSZBLJSA-N 0 3 323.436 4.334 20 0 DIADHN CC[C@H](NC1(c2ccccc2)CC1)c1ccc2c(c1)OCCO2 ZINC000346731260 335432378 /nfs/dbraw/zinc/43/23/78/335432378.db2.gz JJIIYSOVRYAELD-KRWDZBQOSA-N 0 3 309.409 4.188 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@@H](SC)C(C)(C)C)CCCO2 ZINC000346786832 335440908 /nfs/dbraw/zinc/44/09/08/335440908.db2.gz BZZDKADUASOZPL-NVXWUHKLSA-N 0 3 323.502 4.276 20 0 DIADHN c1ccc2c(c1)OC1(CCC1)C[C@H]2NC[C@@H]1CCCCS1 ZINC000346789419 335441502 /nfs/dbraw/zinc/44/15/02/335441502.db2.gz HKDPDDSPSLQHOQ-GOEBONIOSA-N 0 3 303.471 4.308 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc(Cl)cc3)CC2)cn1 ZINC000346965076 335454301 /nfs/dbraw/zinc/45/43/01/335454301.db2.gz NWVIXBFZOTYJTA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1c2ccccc2C[C@@H]1C)c1ccccc1 ZINC000347170689 335475513 /nfs/dbraw/zinc/47/55/13/335475513.db2.gz YFCMXYHTEVIJSZ-FCEWJHQRSA-N 0 3 322.452 4.094 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCc1ccccc1F)CCS2 ZINC000347222392 335481313 /nfs/dbraw/zinc/48/13/13/335481313.db2.gz JLGYPVKVIVJNML-MRXNPFEDSA-N 0 3 305.393 4.334 20 0 DIADHN CC[C@H](NCc1cc(F)cc(Br)c1)c1ccncc1 ZINC000347362204 335490541 /nfs/dbraw/zinc/49/05/41/335490541.db2.gz OGYYVBRSGKGOPX-HNNXBMFYSA-N 0 3 323.209 4.224 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@H](OC)c2ccccc2Cl)cn1 ZINC000348118271 335549246 /nfs/dbraw/zinc/54/92/46/335549246.db2.gz OWJVBGRULYCNGD-ACJLOTCBSA-N 0 3 318.848 4.163 20 0 DIADHN Clc1ccccc1OCCN[C@@H]1CCCNc2ccccc21 ZINC000348094910 335552784 /nfs/dbraw/zinc/55/27/84/335552784.db2.gz IKKDRLCQJQRLPR-QGZVFWFLSA-N 0 3 316.832 4.255 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NCC3=CCCOC3)cs2)cs1 ZINC000348211033 335561898 /nfs/dbraw/zinc/56/18/98/335561898.db2.gz DGJKVTAXHRPTIW-NSHDSACASA-N 0 3 320.483 4.177 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(Cl)cc1 ZINC000348204686 335565702 /nfs/dbraw/zinc/56/57/02/335565702.db2.gz HSQSBIYUWLRYAE-MSOLQXFVSA-N 0 3 319.807 4.180 20 0 DIADHN Cc1ccc([C@@H](NCC[C@H]2CCCCO2)c2ccccn2)cc1 ZINC000348371201 335581417 /nfs/dbraw/zinc/58/14/17/335581417.db2.gz NDCUCCRTXGZDKP-UYAOXDASSA-N 0 3 310.441 4.028 20 0 DIADHN Cn1c(CNC(C)(C)CC2CCCCCC2)nnc1C1CCC1 ZINC000444789719 338488943 /nfs/dbraw/zinc/48/89/43/338488943.db2.gz FLCRCWKNMGSGSN-UHFFFAOYSA-N 0 3 318.509 4.311 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1csc(Cl)c1 ZINC000191236374 335643184 /nfs/dbraw/zinc/64/31/84/335643184.db2.gz IFASVUBDYSMVFV-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CCn1cncc1CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000417867920 335645621 /nfs/dbraw/zinc/64/56/21/335645621.db2.gz FUNLCTSCTVZPEQ-KRWDZBQOSA-N 0 3 303.425 4.309 20 0 DIADHN COCC1(CCNCc2c(Cl)oc3ccccc32)CCC1 ZINC000583512802 335647012 /nfs/dbraw/zinc/64/70/12/335647012.db2.gz AYHYUSFWGYFRRE-UHFFFAOYSA-N 0 3 307.821 4.383 20 0 DIADHN CCn1cc(CN[C@H]2CCCCc3ccc(Cl)cc32)cn1 ZINC000353947020 335665690 /nfs/dbraw/zinc/66/56/90/335665690.db2.gz KOQCOUSAHHEUNL-KRWDZBQOSA-N 0 3 303.837 4.114 20 0 DIADHN C/C=C\C[C@H](CO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000349357125 335666149 /nfs/dbraw/zinc/66/61/49/335666149.db2.gz SUTYCHOVTNJOSA-NWRGJWMSSA-N 0 3 320.285 4.349 20 0 DIADHN Cc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)c(Cl)c1 ZINC000191275679 335671959 /nfs/dbraw/zinc/67/19/59/335671959.db2.gz ZMVQWPBEYVGXNW-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H](C)c1ncc(C)s1 ZINC000349833467 335704795 /nfs/dbraw/zinc/70/47/95/335704795.db2.gz LNDFBTMZVDIXHK-JSGCOSHPSA-N 0 3 304.459 4.305 20 0 DIADHN COc1ccc(OCCN[C@@H](C)c2cc(C)sc2C)cc1 ZINC000094507806 335743936 /nfs/dbraw/zinc/74/39/36/335743936.db2.gz DIVRVKDNIYVNDR-ZDUSSCGKSA-N 0 3 305.443 4.103 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1ncc(Br)s1 ZINC000535990777 335807521 /nfs/dbraw/zinc/80/75/21/335807521.db2.gz KPVXJTLVEYHNNU-ZRUFSTJUSA-N 0 3 317.296 4.381 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000535987408 335808676 /nfs/dbraw/zinc/80/86/76/335808676.db2.gz NGLUXPGSDYXEKB-OUAUKWLOSA-N 0 3 317.296 4.383 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1ccnc2ccccc12 ZINC000527327424 335810798 /nfs/dbraw/zinc/81/07/98/335810798.db2.gz WEPMBGSDCGBUEB-UHFFFAOYSA-N 0 3 319.452 4.369 20 0 DIADHN C[C@@H](c1nc(-c2coc3ccccc23)no1)N1CCCCCC1 ZINC000445580545 338501023 /nfs/dbraw/zinc/50/10/23/338501023.db2.gz WVOZJPWUYNHPFQ-ZDUSSCGKSA-N 0 3 311.385 4.420 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCN(C)c1ccccc1 ZINC000480175278 335836943 /nfs/dbraw/zinc/83/69/43/335836943.db2.gz CGMYJUOPCBVLIU-CQSZACIVSA-N 0 3 317.502 4.362 20 0 DIADHN Cc1nccc(CN2CCC(c3cccc4ccccc43)CC2)n1 ZINC000350868975 335844494 /nfs/dbraw/zinc/84/44/94/335844494.db2.gz JNZUZIGXALLEGK-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)COC3)cc1F ZINC000532200358 335915120 /nfs/dbraw/zinc/91/51/20/335915120.db2.gz JPXAUVPKTZLLBX-OLZOCXBDSA-N 0 3 315.388 4.276 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(SC)cc1)c1ccccc1 ZINC000532212820 335919087 /nfs/dbraw/zinc/91/90/87/335919087.db2.gz FJZXYMFTFPHMNG-KBXCAEBGSA-N 0 3 301.455 4.447 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N[C@@H](C)c1ccco1 ZINC000532212119 335919179 /nfs/dbraw/zinc/91/91/79/335919179.db2.gz YYXLTOPITAVYPS-NEPJUHHUSA-N 0 3 308.219 4.324 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CCc3c2cccc3Cl)c1 ZINC000532216235 335919756 /nfs/dbraw/zinc/91/97/56/335919756.db2.gz FAUCNIULYJAYPP-SFHVURJKSA-N 0 3 300.833 4.183 20 0 DIADHN Clc1ccc(-c2nc3sccn3c2CN2CCCC2)cc1 ZINC000102667809 335925457 /nfs/dbraw/zinc/92/54/57/335925457.db2.gz RVWKDHXPFIYBEA-UHFFFAOYSA-N 0 3 317.845 4.312 20 0 DIADHN CCn1cncc1CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC000417940742 335928042 /nfs/dbraw/zinc/92/80/42/335928042.db2.gz LVIWSHCLCVXGDI-KRWDZBQOSA-N 0 3 305.853 4.433 20 0 DIADHN C[C@H](NC1CC1)c1ccc(Br)cc1Br ZINC000041640558 335930226 /nfs/dbraw/zinc/93/02/26/335930226.db2.gz UWMRQIHACONNGD-ZETCQYMHSA-N 0 3 319.040 4.025 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cncn1Cc1ccccc1 ZINC000445792051 338509276 /nfs/dbraw/zinc/50/92/76/338509276.db2.gz YFUJADUEIVGRBT-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NC[C@]3(C)CCCO3)cs2)cs1 ZINC000167055704 336017164 /nfs/dbraw/zinc/01/71/64/336017164.db2.gz JOROFGODTCBEED-BZNIZROVSA-N 0 3 322.499 4.400 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H](c2ccncc2)C1 ZINC000582219702 336019660 /nfs/dbraw/zinc/01/96/60/336019660.db2.gz YSSFFRIACLIEFV-CRAIPNDOSA-N 0 3 319.408 4.287 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H](c2ccncc2)C1 ZINC000582219703 336019776 /nfs/dbraw/zinc/01/97/76/336019776.db2.gz YSSFFRIACLIEFV-MAUKXSAKSA-N 0 3 319.408 4.287 20 0 DIADHN C[C@@H](CN1CCN(C/C=C/c2ccccc2)CC1)c1ccccc1 ZINC000019358947 336022426 /nfs/dbraw/zinc/02/24/26/336022426.db2.gz LHKXNIAUDSLCCY-ZBWUASRJSA-N 0 3 320.480 4.121 20 0 DIADHN CC[C@H](NC[C@@H]1CCCn2cc(C)nc21)c1ccc(F)cc1F ZINC000351293000 336026170 /nfs/dbraw/zinc/02/61/70/336026170.db2.gz WSPVVSIPKOVDNQ-GUYCJALGSA-N 0 3 319.399 4.088 20 0 DIADHN Clc1ccccc1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000192376233 336030245 /nfs/dbraw/zinc/03/02/45/336030245.db2.gz RYJCIIWUFAVDHQ-WMZOPIPTSA-N 0 3 301.817 4.207 20 0 DIADHN C[C@@H](NCCc1ccncc1)c1nc(-c2ccccc2)cs1 ZINC000351612973 336050386 /nfs/dbraw/zinc/05/03/86/336050386.db2.gz YWHMMWNBZCLOJU-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1ccc([S@@](C)=O)cc1 ZINC000351619791 336051251 /nfs/dbraw/zinc/05/12/51/336051251.db2.gz KNYYGEQTOSBPRQ-SIKLNZKXSA-N 0 3 315.482 4.397 20 0 DIADHN CC[C@@H](NCCc1ccncc1)c1ccccc1Br ZINC000351624458 336052524 /nfs/dbraw/zinc/05/25/24/336052524.db2.gz XTHFDLDGNGVFNU-MRXNPFEDSA-N 0 3 319.246 4.128 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1ccc([S@@](C)=O)cc1 ZINC000351660997 336055578 /nfs/dbraw/zinc/05/55/78/336055578.db2.gz GVHPHSYEAWTHGI-JOCHJYFZSA-N 0 3 315.482 4.082 20 0 DIADHN CCOC1CC(CN[C@@H](CC)c2nc(C(F)(F)F)cs2)C1 ZINC000351732061 336063193 /nfs/dbraw/zinc/06/31/93/336063193.db2.gz ZQZAUPBSSBJKOW-ILDUYXDCSA-N 0 3 322.396 4.018 20 0 DIADHN Cc1ncc(CN[C@H](CC(C)C)c2ccc(Cl)cc2)cn1 ZINC000351754488 336064909 /nfs/dbraw/zinc/06/49/09/336064909.db2.gz FPRMMKOIMNQYPD-QGZVFWFLSA-N 0 3 303.837 4.315 20 0 DIADHN Cc1cc(C2CCN(Cc3ccccc3C(F)(F)F)CC2)n[nH]1 ZINC000351760223 336065697 /nfs/dbraw/zinc/06/56/97/336065697.db2.gz TZERLJCJODXAFM-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN Cc1cc(C2CCN(Cc3ccccc3C(F)(F)F)CC2)[nH]n1 ZINC000351760223 336065698 /nfs/dbraw/zinc/06/56/98/336065698.db2.gz TZERLJCJODXAFM-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN FC(F)Cn1cc(CN2CCC[C@@H]2CCC2CCCC2)cn1 ZINC000351815219 336070001 /nfs/dbraw/zinc/07/00/01/336070001.db2.gz VOGDFPBOIZBGPD-MRXNPFEDSA-N 0 3 311.420 4.083 20 0 DIADHN Cc1ncc(CN(Cc2ccccc2)[C@@H]2CCCC2(C)C)cn1 ZINC000351854903 336073670 /nfs/dbraw/zinc/07/36/70/336073670.db2.gz FFWMAIIRRNTFCK-LJQANCHMSA-N 0 3 309.457 4.366 20 0 DIADHN Cc1ncc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)cn1 ZINC000351876613 336075818 /nfs/dbraw/zinc/07/58/18/336075818.db2.gz OTLXPWIXAPYBFG-YVNCXZRQSA-N 0 3 307.441 4.243 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC[C@@H]1Cc1c(F)cccc1Cl ZINC000351881076 336078867 /nfs/dbraw/zinc/07/88/67/336078867.db2.gz YVLNJWCSLQFOIS-CYBMUJFWSA-N 0 3 321.827 4.026 20 0 DIADHN COc1ccc2[nH]cc(C3=CCN(CC[C@H](C)F)CC3)c2c1 ZINC000351881582 336079852 /nfs/dbraw/zinc/07/98/52/336079852.db2.gz NMQASJHJFJBNMX-ZDUSSCGKSA-N 0 3 302.393 4.014 20 0 DIADHN CSCC1CCC(NCc2ccn(C3CCCC3)n2)CC1 ZINC000395324042 336092860 /nfs/dbraw/zinc/09/28/60/336092860.db2.gz CHARVJGYXNEUST-UHFFFAOYSA-N 0 3 307.507 4.010 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccccc2-n2cccn2)c2ccccc21 ZINC000324266148 336125959 /nfs/dbraw/zinc/12/59/59/336125959.db2.gz MPUOAOCNJZXVKX-BEFAXECRSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1nnc(-c2cccs2)o1 ZINC000331875236 336151002 /nfs/dbraw/zinc/15/10/02/336151002.db2.gz FLUDFIVZCKNEMH-QNWHQSFQSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331885054 336151594 /nfs/dbraw/zinc/15/15/94/336151594.db2.gz GONPWLBACHEBKW-VOAKCMCISA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000332119378 336159433 /nfs/dbraw/zinc/15/94/33/336159433.db2.gz HZTGNRARLNHZEE-MJVIPROJSA-N 0 3 305.809 4.229 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCC[C@@H]3c3ccccc3)oc2c1 ZINC000446005688 338517586 /nfs/dbraw/zinc/51/75/86/338517586.db2.gz ZOZHLGWHXZWZHX-CVEARBPZSA-N 0 3 310.372 4.393 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@H](CCC(N)=O)C3)cc2c1C ZINC000332249124 336165136 /nfs/dbraw/zinc/16/51/36/336165136.db2.gz QYZIQODWENACBS-HNNXBMFYSA-N 0 3 313.445 4.312 20 0 DIADHN C[C@@H](NCCCCOc1ccccc1)c1cccc(F)c1F ZINC000526113298 336166123 /nfs/dbraw/zinc/16/61/23/336166123.db2.gz PLTNZHKFDKJMJC-CQSZACIVSA-N 0 3 305.368 4.475 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccccc2-n2cccn2)cc1 ZINC000194374201 338516491 /nfs/dbraw/zinc/51/64/91/338516491.db2.gz GVJRHZYYEFFEBV-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2sc(C)nc2C)cc1OC ZINC000194383840 338516770 /nfs/dbraw/zinc/51/67/70/338516770.db2.gz NZOHJFFKSTZXNY-QWRGUYRKSA-N 0 3 320.458 4.189 20 0 DIADHN CCOC(=O)CC1CCN(C[C@H](C)c2cccc(Cl)c2)CC1 ZINC000526114360 336179284 /nfs/dbraw/zinc/17/92/84/336179284.db2.gz HBDBZCXXTRZZCI-AWEZNQCLSA-N 0 3 323.864 4.109 20 0 DIADHN C[C@@H](N[C@H](Cc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192607855 336183652 /nfs/dbraw/zinc/18/36/52/336183652.db2.gz FTAIQSWWBMLOPN-VQIMIIECSA-N 0 3 305.425 4.055 20 0 DIADHN Cc1ccc2c(C3=CCN(Cc4ccn(C)c4)CC3)c[nH]c2c1 ZINC000351972804 336184802 /nfs/dbraw/zinc/18/48/02/336184802.db2.gz VDLZMLIUEGNZSF-UHFFFAOYSA-N 0 3 305.425 4.104 20 0 DIADHN Cc1ccc2c(C3=CCN(Cc4cccnc4C)CC3)c[nH]c2c1 ZINC000351979807 336185263 /nfs/dbraw/zinc/18/52/63/336185263.db2.gz XLBSDLCDVKAUFT-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@H](OC(C)C)C2(C)C)cnn1C(C)(C)C ZINC000468828242 336199882 /nfs/dbraw/zinc/19/98/82/336199882.db2.gz FQQZVYGLBKVTPL-XKQJLSEDSA-N 0 3 321.509 4.189 20 0 DIADHN C[C@H](CNCc1ncoc1-c1ccccc1)c1ccc(F)cc1 ZINC000526118836 336204678 /nfs/dbraw/zinc/20/46/78/336204678.db2.gz GFVIKNCOOLXIEX-CQSZACIVSA-N 0 3 310.372 4.374 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc3occc3c2)c1 ZINC000352251358 336206892 /nfs/dbraw/zinc/20/68/92/336206892.db2.gz QGTVRUBJFFOQQX-CYBMUJFWSA-N 0 3 308.381 4.242 20 0 DIADHN Cc1sc(CN[C@@H](C)Cc2ccc(O)cc2)nc1C(C)C ZINC000352383558 336215520 /nfs/dbraw/zinc/21/55/20/336215520.db2.gz LMVIAJOXFMHADP-LBPRGKRZSA-N 0 3 304.459 4.001 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)c2ccccc2n1 ZINC000352433732 336218401 /nfs/dbraw/zinc/21/84/01/336218401.db2.gz WZOQFQZWOLVXTL-PMACEKPBSA-N 0 3 322.452 4.077 20 0 DIADHN C[C@H](CN1CCC(c2c[nH]cn2)CC1)c1cccc(Cl)c1 ZINC000526123860 336219174 /nfs/dbraw/zinc/21/91/74/336219174.db2.gz XRXNHTNUEDCDAO-CYBMUJFWSA-N 0 3 303.837 4.046 20 0 DIADHN Cc1cccc2ncc(CNCc3ccc4sccc4c3)n21 ZINC000352448351 336219668 /nfs/dbraw/zinc/21/96/68/336219668.db2.gz SDZNLZNPKBIGIC-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccc2sccc2c1 ZINC000352472389 336220924 /nfs/dbraw/zinc/22/09/24/336220924.db2.gz CRZRZZSZWGAGFL-UHFFFAOYSA-N 0 3 310.422 4.150 20 0 DIADHN COc1ccc2ccccc2c1CNCCCOc1ccccc1 ZINC000324506306 336223941 /nfs/dbraw/zinc/22/39/41/336223941.db2.gz LVOSIJCAGPNYBW-UHFFFAOYSA-N 0 3 321.420 4.407 20 0 DIADHN C[C@@H](CCN1CCC=C(c2ccco2)C1)OCc1ccccc1 ZINC000352550812 336224103 /nfs/dbraw/zinc/22/41/03/336224103.db2.gz RAXUHDNCNNWQLT-KRWDZBQOSA-N 0 3 311.425 4.364 20 0 DIADHN CCc1nc(CCN[C@@H](CC)c2ccccc2F)sc1C ZINC000352555905 336224439 /nfs/dbraw/zinc/22/44/39/336224439.db2.gz STHNKYVYOHGJHV-INIZCTEOSA-N 0 3 306.450 4.436 20 0 DIADHN Cc1cccc(CN2CCC([C@H](F)c3ccccc3F)CC2)n1 ZINC000352559676 336224640 /nfs/dbraw/zinc/22/46/40/336224640.db2.gz CUNPHLOKEGYDTI-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN CC[C@@H](NC/C(C)=C/c1ccccc1COC)c1ccncc1 ZINC000352619831 336227368 /nfs/dbraw/zinc/22/73/68/336227368.db2.gz XCYKKYLOTFHILW-MQMQVCBVSA-N 0 3 310.441 4.372 20 0 DIADHN CC[C@@H](NCCCCc1nc(C)cs1)c1ccc(F)cn1 ZINC000352718228 336232222 /nfs/dbraw/zinc/23/22/22/336232222.db2.gz JKFFJNLIFOHFDV-CQSZACIVSA-N 0 3 307.438 4.049 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc2occc2c1)c1ccco1 ZINC000352767290 336233984 /nfs/dbraw/zinc/23/39/84/336233984.db2.gz BTNYZGBZMPFRHV-QGZVFWFLSA-N 0 3 312.413 4.199 20 0 DIADHN C[C@@H]1C[C@@H](CN(Cc2ccco2)Cc2cccs2)CCO1 ZINC000352743070 336234336 /nfs/dbraw/zinc/23/43/36/336234336.db2.gz FUIGDNBNLYYXJI-CABCVRRESA-N 0 3 305.443 4.158 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)cn1 ZINC000352777634 336236002 /nfs/dbraw/zinc/23/60/02/336236002.db2.gz BXMWLEWPJSXYQC-LBPRGKRZSA-N 0 3 306.356 4.096 20 0 DIADHN CC[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1c(C)noc1C ZINC000352791541 336237081 /nfs/dbraw/zinc/23/70/81/336237081.db2.gz PIJFGLBCPHMFLV-SQRWCISFSA-N 0 3 306.356 4.324 20 0 DIADHN Brc1ccccc1CN[C@@H]1CCc2ccccc2C1 ZINC000042275152 336238458 /nfs/dbraw/zinc/23/84/58/336238458.db2.gz TWBUSZAUICWGTG-MRXNPFEDSA-N 0 3 316.242 4.096 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@@H](C)C(=O)NC(C)(C)C)cc1 ZINC000103499558 336241989 /nfs/dbraw/zinc/24/19/89/336241989.db2.gz IDFNBYRYTARFOZ-KGLIPLIRSA-N 0 3 322.518 4.141 20 0 DIADHN CCc1ccc(CNC[C@@H](c2ccsc2)N(CC)CC)o1 ZINC000532367526 336244500 /nfs/dbraw/zinc/24/45/00/336244500.db2.gz BMALQDQPSQLZIH-KRWDZBQOSA-N 0 3 306.475 4.076 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@@H]2c2cc(C)ccc2C)o1 ZINC000532398832 336244776 /nfs/dbraw/zinc/24/47/76/336244776.db2.gz FIQKWLBLVJCKCG-QGZVFWFLSA-N 0 3 313.397 4.020 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1ccc2c(c1)OCO2 ZINC000532442555 336247428 /nfs/dbraw/zinc/24/74/28/336247428.db2.gz HBKRKPGNXQTKIT-CYBMUJFWSA-N 0 3 315.438 4.378 20 0 DIADHN CC[C@H](N[C@H](C)CC(=O)N(CC)CC)c1cccc(Cl)c1 ZINC000532450624 336247951 /nfs/dbraw/zinc/24/79/51/336247951.db2.gz HRJPWQLWTBRRGG-CJNGLKHVSA-N 0 3 310.869 4.028 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCCc3sccc32)c1 ZINC000532448261 336248005 /nfs/dbraw/zinc/24/80/05/336248005.db2.gz VOZPWPRDZXPWQV-MRXNPFEDSA-N 0 3 314.454 4.264 20 0 DIADHN CC[C@H](N[C@@H](c1nccs1)c1ccccc1)C1CCOCC1 ZINC000532463886 336249054 /nfs/dbraw/zinc/24/90/54/336249054.db2.gz ZBCPICSPRSVQOI-DLBZAZTESA-N 0 3 316.470 4.027 20 0 DIADHN C[C@H](NCCn1cnc2ccccc21)c1cc2ccccc2o1 ZINC000532469716 336249379 /nfs/dbraw/zinc/24/93/79/336249379.db2.gz FCENROLGACKBJM-AWEZNQCLSA-N 0 3 305.381 4.133 20 0 DIADHN COc1cc(CN[C@@H](C)c2cc3c(s2)CCC3)ccc1C ZINC000532468338 336249399 /nfs/dbraw/zinc/24/93/99/336249399.db2.gz UJGGUIIRFHFFPI-ZDUSSCGKSA-N 0 3 301.455 4.405 20 0 DIADHN c1ccc(-c2nc3ccccn3c2CN2C3CCC2CC3)cc1 ZINC000532466583 336249409 /nfs/dbraw/zinc/24/94/09/336249409.db2.gz VRZVYTHZHMYONR-UHFFFAOYSA-N 0 3 303.409 4.128 20 0 DIADHN Oc1ccc(CCN[C@H](c2ccccc2)c2ccc(F)cc2)cc1 ZINC000324705470 336249726 /nfs/dbraw/zinc/24/97/26/336249726.db2.gz ZPTMRKBUVBCRGF-OAQYLSRUSA-N 0 3 321.395 4.453 20 0 DIADHN CC(C)CN(Cc1nc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000532478986 336251370 /nfs/dbraw/zinc/25/13/70/336251370.db2.gz YWGSTSQFVAGDTD-UHFFFAOYSA-N 0 3 307.825 4.256 20 0 DIADHN CC(C)CN(CC(=O)Nc1cccc(Cl)c1Cl)C(C)C ZINC000532477322 336251377 /nfs/dbraw/zinc/25/13/77/336251377.db2.gz BSTDRMYAMJHRII-UHFFFAOYSA-N 0 3 317.260 4.298 20 0 DIADHN COc1ccsc1CNCc1ccc2[nH]c(C)c(C)c2c1 ZINC000532479811 336251490 /nfs/dbraw/zinc/25/14/90/336251490.db2.gz VTXOAZLREPPRNK-UHFFFAOYSA-N 0 3 300.427 4.145 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nccn1CCCc1ccccc1 ZINC000532521483 336252030 /nfs/dbraw/zinc/25/20/30/336252030.db2.gz AESXFHJCTYPOTG-MOPGFXCFSA-N 0 3 311.473 4.184 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N(C)Cc1cccc(O)c1 ZINC000532503824 336252530 /nfs/dbraw/zinc/25/25/30/336252530.db2.gz NBYRHVAYLDHTAE-LBPRGKRZSA-N 0 3 320.230 4.348 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2cc3c(s2)CCC3)cn1 ZINC000532511507 336252956 /nfs/dbraw/zinc/25/29/56/336252956.db2.gz IRSHMQFRJDKGPE-STQMWFEESA-N 0 3 303.475 4.426 20 0 DIADHN CCOC[C@@H](C)N[C@@H](C)c1ccc(-c2ccccc2OC)cc1 ZINC000532524961 336254251 /nfs/dbraw/zinc/25/42/51/336254251.db2.gz FQDAXUYGLRUQQG-CVEARBPZSA-N 0 3 313.441 4.438 20 0 DIADHN CCC[C@H](N[C@@H](CO)c1ccccc1C)c1ccc(OC)cc1 ZINC000532548272 336254801 /nfs/dbraw/zinc/25/48/01/336254801.db2.gz NALUPPYOIUMIRQ-PMACEKPBSA-N 0 3 313.441 4.168 20 0 DIADHN CCC[C@@H](N[C@H](CO)c1ccccc1C)c1ccc(OC)cc1 ZINC000532548275 336254953 /nfs/dbraw/zinc/25/49/53/336254953.db2.gz NALUPPYOIUMIRQ-WOJBJXKFSA-N 0 3 313.441 4.168 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccc(OC)c(Cl)c2)s1 ZINC000532537618 336255090 /nfs/dbraw/zinc/25/50/90/336255090.db2.gz KBVQOSFDGYKFLY-LLVKDONJSA-N 0 3 324.877 4.261 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccc(SC)c(F)c2)s1 ZINC000532538052 336255337 /nfs/dbraw/zinc/25/53/37/336255337.db2.gz SIZVDNKZWMTMCS-LLVKDONJSA-N 0 3 324.490 4.460 20 0 DIADHN CC[C@H]1CCCN1Cc1csc(-c2ccccc2OC)n1 ZINC000532557283 336255519 /nfs/dbraw/zinc/25/55/19/336255519.db2.gz FLFBTJJVWBPKKI-AWEZNQCLSA-N 0 3 302.443 4.193 20 0 DIADHN C[C@@H](NC[C@H](c1ccc(Cl)cc1)N(C)C)c1ccsc1 ZINC000532550571 336256072 /nfs/dbraw/zinc/25/60/72/336256072.db2.gz QYRPCPMXVMACCC-MLGOLLRUSA-N 0 3 308.878 4.355 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@@H](C)CCC[C@@H]2C)c(C)c1 ZINC000532578003 336256294 /nfs/dbraw/zinc/25/62/94/336256294.db2.gz KJSXUTCDWGAGOI-GUYCJALGSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCCC(=O)OC(C)(C)C ZINC000532582068 336256332 /nfs/dbraw/zinc/25/63/32/336256332.db2.gz YXSJINYGIPPMSB-GFCCVEGCSA-N 0 3 313.388 4.080 20 0 DIADHN FC(F)(F)CCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000532625795 336258073 /nfs/dbraw/zinc/25/80/73/336258073.db2.gz XGXCFUWWXGAWHN-NSHDSACASA-N 0 3 314.376 4.428 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N(C)CCOC1CCC1 ZINC000580360107 336259653 /nfs/dbraw/zinc/25/96/53/336259653.db2.gz IHAKMVXHYZXUBC-GFCCVEGCSA-N 0 3 301.352 4.267 20 0 DIADHN Cc1cc(CN[C@H]2CCCC(F)(F)C2)sc1Br ZINC000532628358 336260611 /nfs/dbraw/zinc/26/06/11/336260611.db2.gz GXMXMHTVJOAAJD-VIFPVBQESA-N 0 3 324.234 4.487 20 0 DIADHN Cc1csc(CN[C@H](C)c2ccc3c(c2)OCCCO3)c1 ZINC000379275333 336262420 /nfs/dbraw/zinc/26/24/20/336262420.db2.gz OGBGUPOKASLYKC-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2C[C@@H]2CCCOC2)c1 ZINC000532630062 336262492 /nfs/dbraw/zinc/26/24/92/336262492.db2.gz CEUYIZZQVRNUFQ-SUMWQHHRSA-N 0 3 319.495 4.184 20 0 DIADHN CC[C@H](N[C@@H]1CCc2cn(C(C)C)nc2C1)c1ccccc1F ZINC000352826346 336263170 /nfs/dbraw/zinc/26/31/70/336263170.db2.gz HAJSUECZYSRXMS-QAPCUYQASA-N 0 3 315.436 4.201 20 0 DIADHN C[C@@H](CN[C@H](C)c1ccnn1C)c1c(Cl)cccc1Cl ZINC000192803684 336264728 /nfs/dbraw/zinc/26/47/28/336264728.db2.gz MJBKNEBFSCDXBL-WDEREUQCSA-N 0 3 312.244 4.181 20 0 DIADHN c1c[nH]c([C@H]2CCCN2CCOc2cccc3ccccc32)c1 ZINC000532655514 336265855 /nfs/dbraw/zinc/26/58/55/336265855.db2.gz SFUQEKWYCASMRL-LJQANCHMSA-N 0 3 306.409 4.384 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC2CC2)C(C)C)c(Cl)c1 ZINC000532665797 336266603 /nfs/dbraw/zinc/26/66/03/336266603.db2.gz QSUPMAWIWILIGY-UHFFFAOYSA-N 0 3 308.853 4.016 20 0 DIADHN CC(C)N(Cc1nn(-c2ccccc2)c2c1CCC2)C1CCC1 ZINC000532732230 336267648 /nfs/dbraw/zinc/26/76/48/336267648.db2.gz BBEMEZTVFPDNDO-UHFFFAOYSA-N 0 3 309.457 4.124 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1ccc(NC(N)=O)cc1 ZINC000192847111 336268623 /nfs/dbraw/zinc/26/86/23/336268623.db2.gz WTRZZDWHLWXRGE-KBPBESRZSA-N 0 3 323.440 4.078 20 0 DIADHN CC[C@](C)(NCCCOc1ccc(Cl)cc1)c1nccs1 ZINC000532733541 336268736 /nfs/dbraw/zinc/26/87/36/336268736.db2.gz NIUBWQCZWIHYEF-INIZCTEOSA-N 0 3 324.877 4.480 20 0 DIADHN C[C@@H](N(C)Cc1coc(-c2c(F)cccc2F)n1)C(C)(C)C ZINC000532779102 336270713 /nfs/dbraw/zinc/27/07/13/336270713.db2.gz DOUWCIDYSQNLFF-LLVKDONJSA-N 0 3 308.372 4.486 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)NCc1ccc(CO)o1 ZINC000532784409 336271124 /nfs/dbraw/zinc/27/11/24/336271124.db2.gz YRCMGZLFFLHDPM-OAHLLOKOSA-N 0 3 319.470 4.049 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@@H](C)SC ZINC000532783287 336271151 /nfs/dbraw/zinc/27/11/51/336271151.db2.gz WIEVPIBDUIJRHA-CQSZACIVSA-N 0 3 301.455 4.203 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCC(C)CC2)c(Cl)c1 ZINC000104883102 336271393 /nfs/dbraw/zinc/27/13/93/336271393.db2.gz AWOURLJVCFBKTB-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN CN(C)Cc1cccc(NCc2cscc2C(F)(F)F)c1 ZINC000352840308 336274565 /nfs/dbraw/zinc/27/45/65/336274565.db2.gz NFFVGSRSLDAUFO-UHFFFAOYSA-N 0 3 314.376 4.441 20 0 DIADHN CN(C)[C@H](CNCc1ccc(C(F)F)cc1)c1ccsc1 ZINC000526974478 336277046 /nfs/dbraw/zinc/27/70/46/336277046.db2.gz FNHMRFFEOVROIM-OAHLLOKOSA-N 0 3 310.413 4.078 20 0 DIADHN CC[C@@](C)(NCCCc1ccccc1OC)c1nc(C)cs1 ZINC000182633943 336277564 /nfs/dbraw/zinc/27/75/64/336277564.db2.gz XLWNLLPLTRYOFQ-GOSISDBHSA-N 0 3 318.486 4.308 20 0 DIADHN COCCCC[C@H](NCc1ccc2[nH]cnc2c1)c1ccccc1 ZINC000526992340 336278241 /nfs/dbraw/zinc/27/82/41/336278241.db2.gz ODBJDVDWDBTPFI-SFHVURJKSA-N 0 3 323.440 4.211 20 0 DIADHN COCCCC[C@H](NCc1ccc2nc[nH]c2c1)c1ccccc1 ZINC000526992340 336278242 /nfs/dbraw/zinc/27/82/42/336278242.db2.gz ODBJDVDWDBTPFI-SFHVURJKSA-N 0 3 323.440 4.211 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1[C@H](C)C[C@H]1C ZINC000526989543 336278786 /nfs/dbraw/zinc/27/87/86/336278786.db2.gz OSNGODSRNCAEJF-VXGBXAGGSA-N 0 3 303.837 4.125 20 0 DIADHN Cc1c([C@H](C)NCCc2cccc(F)c2F)cnn1C(C)(C)C ZINC000468907548 336282089 /nfs/dbraw/zinc/28/20/89/336282089.db2.gz JTWDQFZWNBPXRO-LBPRGKRZSA-N 0 3 321.415 4.118 20 0 DIADHN C[C@H](NCCCCCO)c1ccc(Cl)c(Cl)c1Cl ZINC000192932993 336285191 /nfs/dbraw/zinc/28/51/91/336285191.db2.gz UGDBRMFSDPLMED-VIFPVBQESA-N 0 3 310.652 4.460 20 0 DIADHN CC[C@@H](NC[C@@H](c1cccs1)N(C)C)c1ccccc1OC ZINC000192948408 336285714 /nfs/dbraw/zinc/28/57/14/336285714.db2.gz PLHNMSPSZNNORP-CVEARBPZSA-N 0 3 318.486 4.100 20 0 DIADHN CCn1cncc1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000417996172 336286165 /nfs/dbraw/zinc/28/61/65/336286165.db2.gz QQADGKRWIRPZJB-OAQYLSRUSA-N 0 3 317.436 4.347 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2cc(Cl)ccc21)c1ccco1 ZINC000301060703 336287760 /nfs/dbraw/zinc/28/77/60/336287760.db2.gz RWYJNCYGVIZMRW-INIZCTEOSA-N 0 3 315.804 4.196 20 0 DIADHN CCN(CC)[C@H](CNc1ncnc2ccc(C)cc21)c1ccco1 ZINC000301236696 336296206 /nfs/dbraw/zinc/29/62/06/336296206.db2.gz KRFNHCNMNGAIHA-QGZVFWFLSA-N 0 3 324.428 4.026 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2ccc(Cl)cc21)c1ccco1 ZINC000301252120 336296846 /nfs/dbraw/zinc/29/68/46/336296846.db2.gz DLWWHAFBZUQNHP-INIZCTEOSA-N 0 3 315.804 4.196 20 0 DIADHN Cc1cc(NC[C@H](CCO)c2ccccc2)c2ccccc2n1 ZINC000301329733 336300923 /nfs/dbraw/zinc/30/09/23/336300923.db2.gz DBYHOZMTZYEOEP-KRWDZBQOSA-N 0 3 306.409 4.121 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H](C)c1ccnn1C ZINC000193055702 336307540 /nfs/dbraw/zinc/30/75/40/336307540.db2.gz LFRSQZXKCBKUHG-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN CC(C)N1CCC(N(C)c2nc(-c3ccccc3)cs2)CC1 ZINC000301608197 336317948 /nfs/dbraw/zinc/31/79/48/336317948.db2.gz OKVOIXAVHYJCPV-UHFFFAOYSA-N 0 3 315.486 4.119 20 0 DIADHN C[C@H]1C[C@@H](Nc2ccnc(Cl)c2)CCN1Cc1ccccc1 ZINC000301630755 336319410 /nfs/dbraw/zinc/31/94/10/336319410.db2.gz IVFBHDSWIIQXPQ-YOEHRIQHSA-N 0 3 315.848 4.200 20 0 DIADHN CCCc1cc(N2CC[C@@H]3OCCC[C@H]3C2)c2ccccc2n1 ZINC000301763941 336328375 /nfs/dbraw/zinc/32/83/75/336328375.db2.gz AMZBTGBIQWIJER-YWZLYKJASA-N 0 3 310.441 4.193 20 0 DIADHN CCc1cc(N2CCO[C@@H](c3cccs3)C2)c2ccccc2n1 ZINC000301769075 336328689 /nfs/dbraw/zinc/32/86/89/336328689.db2.gz CRTXVCXGPWFHJA-GOSISDBHSA-N 0 3 324.449 4.437 20 0 DIADHN CC(C)c1nnc(NC[C@H](c2ccc(Cl)cc2)N(C)C)s1 ZINC000301782117 336329597 /nfs/dbraw/zinc/32/95/97/336329597.db2.gz SZFKTXMSJFQVAU-CYBMUJFWSA-N 0 3 324.881 4.030 20 0 DIADHN CCCc1cc(NC[C@](C)(O)c2ccco2)c2ccccc2n1 ZINC000301786080 336329844 /nfs/dbraw/zinc/32/98/44/336329844.db2.gz LHDBFMRYYUJEAB-IBGZPJMESA-N 0 3 310.397 4.100 20 0 DIADHN CCCc1cc(NCCc2c(C)nn(C)c2C)c2ccccc2n1 ZINC000301811909 336331722 /nfs/dbraw/zinc/33/17/22/336331722.db2.gz XTMCUADZVQJIPJ-UHFFFAOYSA-N 0 3 322.456 4.192 20 0 DIADHN CCc1cc(NCCCc2c(C)nn(C)c2C)c2ccccc2n1 ZINC000301979891 336341134 /nfs/dbraw/zinc/34/11/34/336341134.db2.gz GBUZURYHWZZAFX-UHFFFAOYSA-N 0 3 322.456 4.192 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC(n2cccn2)CC1 ZINC000302032447 336344253 /nfs/dbraw/zinc/34/42/53/336344253.db2.gz KOPUUFXVWLPIBC-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN CCc1c(C)nc2ccccc2c1NC[C@@](C)(O)c1ccco1 ZINC000302073031 336347086 /nfs/dbraw/zinc/34/70/86/336347086.db2.gz WMHUCGJGJGCNQQ-LJQANCHMSA-N 0 3 310.397 4.018 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@H](C)[C@H](n3ccnc3)C2)c1C ZINC000302095205 336348179 /nfs/dbraw/zinc/34/81/79/336348179.db2.gz RONAPDPJWLJPCF-IFXJQAMLSA-N 0 3 320.440 4.136 20 0 DIADHN COc1ccc(CNc2ccnc3ccc(C)cc32)c(OC)c1 ZINC000302142867 336350571 /nfs/dbraw/zinc/35/05/71/336350571.db2.gz FXCASGWLCQWBDK-UHFFFAOYSA-N 0 3 308.381 4.173 20 0 DIADHN Cc1ccc2nccc(NCc3ccnc(N4CCCC4)c3)c2c1 ZINC000302165822 336351876 /nfs/dbraw/zinc/35/18/76/336351876.db2.gz REIHQLDIFZHQFD-UHFFFAOYSA-N 0 3 318.424 4.151 20 0 DIADHN Cc1cc(N2CCC(O)(c3ccccc3)CC2)c2ccccc2n1 ZINC000302224190 336354832 /nfs/dbraw/zinc/35/48/32/336354832.db2.gz UPACAJKEMPFHJM-UHFFFAOYSA-N 0 3 318.420 4.031 20 0 DIADHN Cc1ccc2nccc(NC[C@H](c3ccnn3C)C(C)C)c2c1 ZINC000302786705 336369068 /nfs/dbraw/zinc/36/90/68/336369068.db2.gz WFGDXKPULMKLRP-INIZCTEOSA-N 0 3 308.429 4.128 20 0 DIADHN CN1CC[C@@H](Nc2ccc3ccccc3n2)[C@H]1c1ccccc1 ZINC000302801045 336369882 /nfs/dbraw/zinc/36/98/82/336369882.db2.gz CILJKTLUSIFJTP-UYAOXDASSA-N 0 3 303.409 4.092 20 0 DIADHN Cc1cc(NCc2ccc(OC(F)F)cn2)c2ccccc2n1 ZINC000302812136 336370145 /nfs/dbraw/zinc/37/01/45/336370145.db2.gz HHSWRDHGNGOJTJ-UHFFFAOYSA-N 0 3 315.323 4.152 20 0 DIADHN COc1cccc([C@H](C)NC[C@@H](OC)c2ccc(Cl)cc2)c1 ZINC000514126937 336374465 /nfs/dbraw/zinc/37/44/65/336374465.db2.gz NQMUQUBWDMJJFO-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN COC[C@@H](NC[C@H](OC)c1ccc(Cl)cc1)c1ccccc1 ZINC000514131194 336375025 /nfs/dbraw/zinc/37/50/25/336375025.db2.gz UXGOZUDYUZFQEP-MSOLQXFVSA-N 0 3 319.832 4.005 20 0 DIADHN COC[C@@H](NCCSC(C)C)c1cccc(C(F)(F)F)c1 ZINC000514141251 336375081 /nfs/dbraw/zinc/37/50/81/336375081.db2.gz BZPYVQXARNOOJA-CQSZACIVSA-N 0 3 321.408 4.124 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2ccc3ccccc3n2)CC1 ZINC000352924268 336377460 /nfs/dbraw/zinc/37/74/60/336377460.db2.gz YVLONOSFZVBNBW-UHFFFAOYSA-N 0 3 319.452 4.490 20 0 DIADHN CC[C@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1nccn1C ZINC000352966157 336379847 /nfs/dbraw/zinc/37/98/47/336379847.db2.gz HZHNTBKPGVWVBG-ROUUACIJSA-N 0 3 313.420 4.236 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@@H]2CCCc3sccc32)cn1 ZINC000352969268 336380049 /nfs/dbraw/zinc/38/00/49/336380049.db2.gz QXSPZKCERNBNMJ-OAHLLOKOSA-N 0 3 316.470 4.488 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCC(OC2CCCCC2)CC1 ZINC000261384758 336384776 /nfs/dbraw/zinc/38/47/76/336384776.db2.gz UGPXQOLGFILKDF-YLJYHZDGSA-N 0 3 323.521 4.395 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2ccc(Cl)cc2)[C@]12CCCO2 ZINC000353033942 336384873 /nfs/dbraw/zinc/38/48/73/336384873.db2.gz YILVCAGIVFXNTA-WNRNVDISSA-N 0 3 323.864 4.107 20 0 DIADHN CCSCCNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000314786397 336389266 /nfs/dbraw/zinc/38/92/66/336389266.db2.gz CZJXAISFOFKVJV-UHFFFAOYSA-N 0 3 318.467 4.399 20 0 DIADHN Cc1ccc(-c2ncc(CNCC3(C(F)(F)F)CC3)s2)o1 ZINC000353051614 336391703 /nfs/dbraw/zinc/39/17/03/336391703.db2.gz ZMCOJPUTFDWLBY-UHFFFAOYSA-N 0 3 316.348 4.144 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C(C)(C)C1CCCC1)c1ccco1 ZINC000563993471 336393957 /nfs/dbraw/zinc/39/39/57/336393957.db2.gz NGKQIAFMSUMHGA-ZBFHGGJFSA-N 0 3 320.477 4.041 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H]1c2ccccc2CC[C@H]1C(C)C ZINC000582252091 336394067 /nfs/dbraw/zinc/39/40/67/336394067.db2.gz UUJVMLRSDHISNX-KNBMTAEXSA-N 0 3 309.457 4.395 20 0 DIADHN CC(C)c1ncc(CN[C@@H](C)CCOCc2ccccc2)s1 ZINC000563998233 336395017 /nfs/dbraw/zinc/39/50/17/336395017.db2.gz YBDHTHRNLRAIHH-HNNXBMFYSA-N 0 3 318.486 4.352 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCCO1)c1ccc(Cl)c(Cl)c1 ZINC000193083049 336395706 /nfs/dbraw/zinc/39/57/06/336395706.db2.gz CGJUOTBDNFVXFC-XHDPSFHLSA-N 0 3 318.244 4.187 20 0 DIADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1ccc(Oc2cccnc2)cc1 ZINC000418054874 336398140 /nfs/dbraw/zinc/39/81/40/336398140.db2.gz BJKOSCMWVOEORU-HOCLYGCPSA-N 0 3 312.413 4.092 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(OC3CCC3)CC2)cc1F ZINC000418087665 336398909 /nfs/dbraw/zinc/39/89/09/336398909.db2.gz CXNHSNYFKVKNSL-NSCUHMNNSA-N 0 3 307.384 4.012 20 0 DIADHN COC1(C(F)(F)F)CCN(C/C(C)=C\c2ccccc2)CC1 ZINC000418088024 336398932 /nfs/dbraw/zinc/39/89/32/336398932.db2.gz FINUOLJCDQKEGE-OWBHPGMISA-N 0 3 313.363 4.133 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccncc2)cc1F)CC(C)C ZINC000418092630 336399312 /nfs/dbraw/zinc/39/93/12/336399312.db2.gz FRXLEBAJFISIOF-SFHVURJKSA-N 0 3 316.420 4.038 20 0 DIADHN Cc1ccc2cc(CNCC3(C(F)(F)F)CCC3)ccc2n1 ZINC000353084873 336400137 /nfs/dbraw/zinc/40/01/37/336400137.db2.gz IGCSPDVWJAOHOE-UHFFFAOYSA-N 0 3 308.347 4.365 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2cccc3ccccc32)CC1 ZINC000418098868 336401458 /nfs/dbraw/zinc/40/14/58/336401458.db2.gz YUWMXTOQPDCBKT-UHFFFAOYSA-N 0 3 323.358 4.383 20 0 DIADHN Clc1scc(CNCCCc2nccs2)c1Cl ZINC000353223742 336407164 /nfs/dbraw/zinc/40/71/64/336407164.db2.gz TYQPTIDKWFJCMQ-UHFFFAOYSA-N 0 3 307.271 4.234 20 0 DIADHN CCOC1CC(CCN[C@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000353228903 336407197 /nfs/dbraw/zinc/40/71/97/336407197.db2.gz DCFBNDLSVJDWME-KPPDAEKUSA-N 0 3 322.396 4.018 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cnn(CC(F)F)c2)c2ccccc21 ZINC000353259137 336408620 /nfs/dbraw/zinc/40/86/20/336408620.db2.gz WCWNWKGXUBCELT-SJORKVTESA-N 0 3 319.399 4.122 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ccc(F)cc21)c1ccc2n[nH]cc2c1 ZINC000353322841 336411755 /nfs/dbraw/zinc/41/17/55/336411755.db2.gz DILNTVJVKBUELN-HXPMCKFVSA-N 0 3 309.388 4.430 20 0 DIADHN CCn1nc(C)c(CN2CCC(c3ccccc3C)CC2)c1C ZINC000353328219 336412123 /nfs/dbraw/zinc/41/21/23/336412123.db2.gz HLNXULUPHREHLO-UHFFFAOYSA-N 0 3 311.473 4.208 20 0 DIADHN CC[C@@H](CN[C@@H]1CCCOc2c(Cl)c(Cl)ccc21)OC ZINC000353536797 336423232 /nfs/dbraw/zinc/42/32/32/336423232.db2.gz CFUPSWYNVXTRFW-GXFFZTMASA-N 0 3 318.244 4.222 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cc(F)cc3cccnc32)cn1 ZINC000353581958 336426563 /nfs/dbraw/zinc/42/65/63/336426563.db2.gz NGEKOQNTKRMLFU-UHFFFAOYSA-N 0 3 309.388 4.102 20 0 DIADHN Cc1ccnc([C@@H](C)NCCCc2c[nH]c3ccc(F)cc23)c1 ZINC000353588226 336426991 /nfs/dbraw/zinc/42/69/91/336426991.db2.gz CLPZFJJAZIYTCI-CQSZACIVSA-N 0 3 311.404 4.294 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1ccnc1)c1cccs1 ZINC000353593643 336427482 /nfs/dbraw/zinc/42/74/82/336427482.db2.gz DFGZHXPOWJHSRA-QGZVFWFLSA-N 0 3 311.454 4.234 20 0 DIADHN CCC[C@@H](NCc1ccc2cc[nH]c2n1)c1ccc(OC)cc1 ZINC000353622216 336429477 /nfs/dbraw/zinc/42/94/77/336429477.db2.gz MDWSZELDEPXZIL-GOSISDBHSA-N 0 3 309.413 4.203 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1ccc2cc[nH]c2n1 ZINC000353630275 336430125 /nfs/dbraw/zinc/43/01/25/336430125.db2.gz MNTJNNKALRSVBL-SFHVURJKSA-N 0 3 305.425 4.347 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1ccnc1)c1ccc(F)cc1 ZINC000353660177 336432531 /nfs/dbraw/zinc/43/25/31/336432531.db2.gz MAEMOABDGRCKCY-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CCC(C)C)c2ccoc2)[C@]12CCCO2 ZINC000353690045 336435117 /nfs/dbraw/zinc/43/51/17/336435117.db2.gz RCXFDMBDXRHFMK-FCGDIQPGSA-N 0 3 321.461 4.073 20 0 DIADHN CC(C)[C@@H](NCc1ccc(C[S@@](C)=O)cc1)c1ccccc1 ZINC000353801721 336441084 /nfs/dbraw/zinc/44/10/84/336441084.db2.gz GCBAJIXWRFTYJB-DENIHFKCSA-N 0 3 315.482 4.052 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2NCc1cnn2ccccc12 ZINC000353863462 336444546 /nfs/dbraw/zinc/44/45/46/336444546.db2.gz GNWGTGUYXDIQSK-KRWDZBQOSA-N 0 3 311.816 4.155 20 0 DIADHN c1nn2ccccc2c1CN[C@@H](CC1CCC1)c1ccccc1 ZINC000353864768 336444614 /nfs/dbraw/zinc/44/46/14/336444614.db2.gz JMWRSNDQRPJNRD-IBGZPJMESA-N 0 3 305.425 4.355 20 0 DIADHN CCn1cc(CN[C@@H](CC2CC2)c2ccc(Cl)cc2)cn1 ZINC000353947786 336450747 /nfs/dbraw/zinc/45/07/47/336450747.db2.gz PEUPICAGCMMLFC-KRWDZBQOSA-N 0 3 303.837 4.187 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1cnc2ccsc2c1 ZINC000353971685 336451801 /nfs/dbraw/zinc/45/18/01/336451801.db2.gz LOHNAIBXLSWTOG-SECBINFHSA-N 0 3 300.349 4.289 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc3n[nH]cc3c1)CCC2 ZINC000353977085 336452154 /nfs/dbraw/zinc/45/21/54/336452154.db2.gz ZDKKIGDRXGLSNP-XCLFUZPHSA-N 0 3 321.424 4.300 20 0 DIADHN CCCCOC1CCN(Cc2c(C)nn(C(C)(C)C)c2C)CC1 ZINC000353986156 336452701 /nfs/dbraw/zinc/45/27/01/336452701.db2.gz HEAULSKNCMNKKO-UHFFFAOYSA-N 0 3 321.509 4.036 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1cccnc1 ZINC000354974714 336489677 /nfs/dbraw/zinc/48/96/77/336489677.db2.gz XZIUCXSIPWQUDZ-XNJGSVPQSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCCO1)c1nc2ccccc2n1C(F)F ZINC000355313004 336503827 /nfs/dbraw/zinc/50/38/27/336503827.db2.gz GJMVIBBJMYLZHO-OLZOCXBDSA-N 0 3 323.387 4.041 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2cc3cccc(F)c3o2)cs1 ZINC000355740786 336520672 /nfs/dbraw/zinc/52/06/72/336520672.db2.gz GWMWYLJKWQIXHZ-JTQLQIEISA-N 0 3 304.390 4.230 20 0 DIADHN Cc1ccc([C@H]2CCCN2C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000355871138 336525645 /nfs/dbraw/zinc/52/56/45/336525645.db2.gz SVJNGBOWKVGHFK-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN CC1(C2CC2)CCN(Cc2c[nH]nc2-c2cccs2)CC1 ZINC000356301155 336545267 /nfs/dbraw/zinc/54/52/67/336545267.db2.gz TVNVUVVFLUWVLV-UHFFFAOYSA-N 0 3 301.459 4.150 20 0 DIADHN c1nc(CN[C@H]2CCOC3(CCC3)C2)sc1-c1ccccc1 ZINC000356318184 336546118 /nfs/dbraw/zinc/54/61/18/336546118.db2.gz XUQWDFYLXXXIPA-HNNXBMFYSA-N 0 3 314.454 4.001 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C3CC3)cc2)cc1OC ZINC000418108089 336557886 /nfs/dbraw/zinc/55/78/86/336557886.db2.gz FSKAXQLCNXAANI-CQSZACIVSA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)C[C@H](NCc1cccnc1-n1cccn1)c1ccccc1 ZINC000356704622 336558678 /nfs/dbraw/zinc/55/86/78/336558678.db2.gz VZHSOGXCYJMAIK-IBGZPJMESA-N 0 3 320.440 4.144 20 0 DIADHN COC1(CN[C@H]2CCCOc3ccc(C(C)C)cc32)CCC1 ZINC000356852960 336563158 /nfs/dbraw/zinc/56/31/58/336563158.db2.gz RVPIRULRIUPYRP-KRWDZBQOSA-N 0 3 303.446 4.182 20 0 DIADHN Cc1nc(N[C@H](CN2CCCC2)c2ccccc2)sc1C ZINC000357666686 336593844 /nfs/dbraw/zinc/59/38/44/336593844.db2.gz ZZABOVFXTHVNFP-MRXNPFEDSA-N 0 3 301.459 4.009 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2cnccc2C)cc1OC ZINC000357778288 336597767 /nfs/dbraw/zinc/59/77/67/336597767.db2.gz NBHFFVLKQUOWOX-HUUCEWRRSA-N 0 3 314.429 4.209 20 0 DIADHN CC[C@H](NCc1ccnc(SC)c1)c1ccc(OC)cc1 ZINC000357852175 336601647 /nfs/dbraw/zinc/60/16/47/336601647.db2.gz NUKUMTVEOPLEMZ-INIZCTEOSA-N 0 3 302.443 4.053 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H]3CC(C)(C)OC3(C)C)o2)cs1 ZINC000358016659 336606271 /nfs/dbraw/zinc/60/62/71/336606271.db2.gz GNWRHZIWFDLYOW-HNNXBMFYSA-N 0 3 320.458 4.147 20 0 DIADHN CC[C@H](NC[C@@H]1CCCCO1)c1ccccc1Br ZINC000358017803 336606408 /nfs/dbraw/zinc/60/64/08/336606408.db2.gz IQGQNKCPDYTKPV-WFASDCNBSA-N 0 3 312.251 4.059 20 0 DIADHN C[C@@H]1C[C@H](NCCOc2cccc(F)c2)c2ccsc2S1 ZINC000358018929 336606536 /nfs/dbraw/zinc/60/65/36/336606536.db2.gz JKPXKPDWDGKHIT-ABAIWWIYSA-N 0 3 323.458 4.481 20 0 DIADHN CCC[C@@H](NCCn1cccn1)c1cccc(C(F)(F)F)c1 ZINC000358027078 336607196 /nfs/dbraw/zinc/60/71/96/336607196.db2.gz VLCFFVNHKDMYTF-OAHLLOKOSA-N 0 3 311.351 4.033 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnc3ccccc3c1)CCC2 ZINC000358040935 336608130 /nfs/dbraw/zinc/60/81/30/336608130.db2.gz CSGWCCPLEYVPJK-OAQYLSRUSA-N 0 3 318.420 4.411 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1cc(F)ccc1F ZINC000358098395 336611492 /nfs/dbraw/zinc/61/14/92/336611492.db2.gz OPMYLPRENUXDFR-XHDPSFHLSA-N 0 3 313.388 4.126 20 0 DIADHN COC[C@@H](N[C@H]1CCCc2ccc(OC)cc21)c1ccccc1 ZINC000358151806 336613441 /nfs/dbraw/zinc/61/34/41/336613441.db2.gz GPGVKCPOIBRKJR-VQTJNVASSA-N 0 3 311.425 4.050 20 0 DIADHN c1ccc(-c2cccc3c2OCC[C@H]3N[C@@H]2CCSC2)cc1 ZINC000358153002 336613638 /nfs/dbraw/zinc/61/36/38/336613638.db2.gz FKSVYTMNEVWNMH-CRAIPNDOSA-N 0 3 311.450 4.272 20 0 DIADHN c1ccc(-c2cccc3c2OCC[C@H]3N[C@H]2CCSC2)cc1 ZINC000358153004 336613649 /nfs/dbraw/zinc/61/36/49/336613649.db2.gz FKSVYTMNEVWNMH-MAUKXSAKSA-N 0 3 311.450 4.272 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000358167039 336614458 /nfs/dbraw/zinc/61/44/58/336614458.db2.gz XTLYJSVKOPPJEV-SPYBWZPUSA-N 0 3 311.425 4.190 20 0 DIADHN CC1CC(N[C@H]2CCCOc3ccc(Br)cc32)C1 ZINC000358200572 336616669 /nfs/dbraw/zinc/61/66/69/336616669.db2.gz IVHUTQGGKRCZCP-OVGLSYRBSA-N 0 3 310.235 4.051 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc(F)cc(OC)c1)CCC2 ZINC000358332194 336624544 /nfs/dbraw/zinc/62/45/44/336624544.db2.gz USJVCHUPFWYXDZ-LJQANCHMSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1N[C@H]1CCCc2nn(C)cc21 ZINC000358982461 336651187 /nfs/dbraw/zinc/65/11/87/336651187.db2.gz KCEQOUJILNNCKY-WNYOCNMUSA-N 0 3 309.457 4.101 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCC1(C(F)F)CC1)CCCO2 ZINC000358994120 336651911 /nfs/dbraw/zinc/65/19/11/336651911.db2.gz BTNSJKBHANLOPA-CYBMUJFWSA-N 0 3 315.791 4.497 20 0 DIADHN C[C@@H](NCc1cccc(-c2ccncc2)c1)c1cncc(F)c1 ZINC000358995531 336651973 /nfs/dbraw/zinc/65/19/73/336651973.db2.gz SNFISPPIVBENCK-CQSZACIVSA-N 0 3 307.372 4.134 20 0 DIADHN FC(F)C1(CN[C@@H]2CCCc3ncc(C(F)(F)F)cc32)CC1 ZINC000359007145 336652878 /nfs/dbraw/zinc/65/28/78/336652878.db2.gz YXCYILGPZHQABY-GFCCVEGCSA-N 0 3 320.305 4.113 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc2ncccc2c1 ZINC000359014114 336653279 /nfs/dbraw/zinc/65/32/79/336653279.db2.gz KPDNUIWUSXBBSQ-YWZLYKJASA-N 0 3 306.409 4.101 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCOc2cc(F)ccc21)c1ccccc1 ZINC000359225538 336663668 /nfs/dbraw/zinc/66/36/68/336663668.db2.gz LXNJCQVTSFMUHO-MJGOQNOKSA-N 0 3 315.388 4.017 20 0 DIADHN C[C@H](NCc1ccc(N2CCCC2)nc1)c1ccc(C2CC2)cc1 ZINC000418110599 336665001 /nfs/dbraw/zinc/66/50/01/336665001.db2.gz CDYUXIGVMXGZQV-INIZCTEOSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1ccc([S@@](C)=O)cc1 ZINC000359316378 336667480 /nfs/dbraw/zinc/66/74/80/336667480.db2.gz RMZBXANNGGAAFV-LKNMKHRSSA-N 0 3 319.495 4.287 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCCc2cc(OC)ccc21 ZINC000359322551 336667681 /nfs/dbraw/zinc/66/76/81/336667681.db2.gz HNQOGLNHHIIXLI-VLIAUNLRSA-N 0 3 310.441 4.381 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCCc2cc(OC)ccc21 ZINC000359322547 336667690 /nfs/dbraw/zinc/66/76/90/336667690.db2.gz HNQOGLNHHIIXLI-JLTOFOAXSA-N 0 3 310.441 4.381 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1cccn1)c1ccc(C)cc1 ZINC000359764729 336686985 /nfs/dbraw/zinc/68/69/85/336686985.db2.gz FODMXISDQMKHNC-NRFANRHFSA-N 0 3 319.452 4.481 20 0 DIADHN CC1CCC(OC2CCN(C[C@@H]3CCC(C)(C)O3)CC2)CC1 ZINC000360055026 336699541 /nfs/dbraw/zinc/69/95/41/336699541.db2.gz NZLICSVBJBBUJY-HTWSVDAQSA-N 0 3 309.494 4.004 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C)cc1C)CCCO2 ZINC000360434004 336711621 /nfs/dbraw/zinc/71/16/21/336711621.db2.gz RARYTMZMHDNOFT-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cc(F)cc2cccnc21 ZINC000361133280 336740248 /nfs/dbraw/zinc/74/02/48/336740248.db2.gz WAJXYDGWHXZDBH-CYBMUJFWSA-N 0 3 309.388 4.182 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361176053 336742446 /nfs/dbraw/zinc/74/24/46/336742446.db2.gz BVHFBYZQTFMWLP-GLQYFDAESA-N 0 3 313.363 4.296 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCCc2sccc21 ZINC000361240317 336746207 /nfs/dbraw/zinc/74/62/07/336746207.db2.gz IIWQICIBUGLDEY-JKSUJKDBSA-N 0 3 315.438 4.248 20 0 DIADHN CCN1CCCC[C@@H]1CN[C@H]1C[C@@H](C)Sc2sccc21 ZINC000361340725 336752345 /nfs/dbraw/zinc/75/23/45/336752345.db2.gz HWAMCIZQZOWLNJ-NFAWXSAZSA-N 0 3 310.532 4.138 20 0 DIADHN CC[C@H](NCc1cccc(-n2ccnc2)c1)c1ccc(F)cc1 ZINC000361481010 336758406 /nfs/dbraw/zinc/75/84/06/336758406.db2.gz IQPUMMGFRUEHKM-IBGZPJMESA-N 0 3 309.388 4.252 20 0 DIADHN CC[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccc(OC)cc1 ZINC000361493035 336759093 /nfs/dbraw/zinc/75/90/93/336759093.db2.gz OYUZKXHYQKDGML-HXUWFJFHSA-N 0 3 321.424 4.122 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCCNc1ccccc1)CCCO2 ZINC000361525945 336760913 /nfs/dbraw/zinc/76/09/13/336760913.db2.gz OIYWHBAXOBWTII-SFHVURJKSA-N 0 3 314.404 4.131 20 0 DIADHN Clc1cccc(C2CCN(CCn3ccnc3)CC2)c1Cl ZINC000361530414 336761217 /nfs/dbraw/zinc/76/12/17/336761217.db2.gz ZQZGUNSYDHEOHO-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN Cc1cn2c(n1)[C@H](CN[C@@H](C)c1ccccc1Cl)CCC2 ZINC000361650477 336767150 /nfs/dbraw/zinc/76/71/50/336767150.db2.gz BBMAXDURBBXTMT-KBPBESRZSA-N 0 3 303.837 4.073 20 0 DIADHN CCc1cnc(CCN[C@H]2CC(C)(C)Cc3occc32)s1 ZINC000361658372 336767578 /nfs/dbraw/zinc/76/75/78/336767578.db2.gz FUWOTIAXOLXTEW-AWEZNQCLSA-N 0 3 304.459 4.144 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cc(-c3cccnc3)n[nH]2)cc1 ZINC000361687840 336768748 /nfs/dbraw/zinc/76/87/48/336768748.db2.gz ZVARPBZOLGXLNJ-UHFFFAOYSA-N 0 3 320.440 4.059 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](CCO)c2ccccc2)cc1 ZINC000361877643 336776420 /nfs/dbraw/zinc/77/64/20/336776420.db2.gz QONYEYOGDKYAPY-JXFKEZNVSA-N 0 3 313.441 4.248 20 0 DIADHN CC[C@H](N[C@H](CCO)CSC)c1ccc(Cl)cc1Cl ZINC000361893319 336777144 /nfs/dbraw/zinc/77/71/44/336777144.db2.gz QDIGULHINBWNNU-RISCZKNCSA-N 0 3 322.301 4.148 20 0 DIADHN COc1ccc([C@H]2CCCC[C@H]2NCc2cscn2)cc1F ZINC000362203633 336787983 /nfs/dbraw/zinc/78/79/83/336787983.db2.gz WSZPXUDZIZXWMY-GDBMZVCRSA-N 0 3 320.433 4.107 20 0 DIADHN Cc1noc(C2CCN(CCC3C[C@@H](C)C[C@H](C)C3)CC2)n1 ZINC000362468429 336799162 /nfs/dbraw/zinc/79/91/62/336799162.db2.gz OFLLCACRIJXPAH-KBPBESRZSA-N 0 3 305.466 4.020 20 0 DIADHN CCCCCC[C@H](CC)C(=O)N1CCCC12CCN(C)CC2 ZINC000362486545 336800113 /nfs/dbraw/zinc/80/01/13/336800113.db2.gz NVRPEFLNSBEZFN-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN COc1ccccc1OCCN1CCC(c2ccsc2)CC1 ZINC000362653177 336808129 /nfs/dbraw/zinc/80/81/29/336808129.db2.gz CPVWALWJPUPXDJ-UHFFFAOYSA-N 0 3 317.454 4.015 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](c1ccccc1)C1CCOCC1 ZINC000363219302 336820354 /nfs/dbraw/zinc/82/03/54/336820354.db2.gz QQIXIPGACFYBAN-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN C[C@H](C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1)C(C)(C)C ZINC000363228258 336820492 /nfs/dbraw/zinc/82/04/92/336820492.db2.gz QPYOYMFUGGPRJU-CVEARBPZSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](N[C@H]1CCN(C(C)=O)c2ccccc21)c1cccs1 ZINC000367570718 336825538 /nfs/dbraw/zinc/82/55/38/336825538.db2.gz RWQSMHWUBSUNIE-HOTGVXAUSA-N 0 3 314.454 4.287 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC000368447270 336845030 /nfs/dbraw/zinc/84/50/30/336845030.db2.gz QOEVJJOHQCMROE-JDFRZJQESA-N 0 3 303.837 4.153 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccc(NC(C)=O)cc2)cc1 ZINC000120191662 336900764 /nfs/dbraw/zinc/90/07/64/336900764.db2.gz CUPYCGGQCQISQM-HXUWFJFHSA-N 0 3 310.441 4.448 20 0 DIADHN Clc1ncc(CN[C@@H](c2ccccc2)C2CCOCC2)s1 ZINC000371541720 336907474 /nfs/dbraw/zinc/90/74/74/336907474.db2.gz DQRPKZAAKAJEQO-HNNXBMFYSA-N 0 3 322.861 4.054 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCCCC(F)(F)F ZINC000372132119 336922859 /nfs/dbraw/zinc/92/28/59/336922859.db2.gz OIVNJQWBZVPVCJ-UHFFFAOYSA-N 0 3 312.310 4.320 20 0 DIADHN CC[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(F)cc1 ZINC000120960779 336926079 /nfs/dbraw/zinc/92/60/79/336926079.db2.gz XROSKBQJKCAQMW-IBGZPJMESA-N 0 3 318.411 4.267 20 0 DIADHN FC1(F)CCC(NCc2ccccc2Br)CC1 ZINC000389984961 336931967 /nfs/dbraw/zinc/93/19/67/336931967.db2.gz ZTRYKPBQRYLQLD-UHFFFAOYSA-N 0 3 304.178 4.117 20 0 DIADHN COCCC[C@H](C)N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000372845677 336941095 /nfs/dbraw/zinc/94/10/95/336941095.db2.gz GVYIZSHTLLSMSD-GWCFXTLKSA-N 0 3 318.244 4.222 20 0 DIADHN C[C@@H](NCc1cccc(-c2cccnc2)c1)c1cccc(CO)c1 ZINC000373353331 336956997 /nfs/dbraw/zinc/95/69/97/336956997.db2.gz JJXQLFCSSJDLRQ-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000373707583 336969855 /nfs/dbraw/zinc/96/98/55/336969855.db2.gz LBPWYIMUCVUBNY-QZTJIDSGSA-N 0 3 309.409 4.104 20 0 DIADHN c1ccc([C@H](NCc2cnc3ccccc3c2)[C@@H]2CCOC2)cc1 ZINC000374470426 336989930 /nfs/dbraw/zinc/98/99/30/336989930.db2.gz IZRDLWQALDSHDX-CTNGQTDRSA-N 0 3 318.420 4.102 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)cc1 ZINC000374483057 336990208 /nfs/dbraw/zinc/99/02/08/336990208.db2.gz UOIIOKYKABBSTF-HKUYNNGSSA-N 0 3 313.466 4.276 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1cc(F)cc(F)c1 ZINC000374485249 336990318 /nfs/dbraw/zinc/99/03/18/336990318.db2.gz CYMYAHSNRFFUOM-ZBQZNYHESA-N 0 3 317.379 4.393 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cnc3n2CCCC3)c2ccccc21 ZINC000375273223 337007022 /nfs/dbraw/zinc/00/70/22/337007022.db2.gz YLVJALZNHPAUQC-RTBURBONSA-N 0 3 309.457 4.194 20 0 DIADHN c1csc(-c2ncc(CN3CCC[C@H]3[C@H]3CCCO3)s2)c1 ZINC000375318025 337007871 /nfs/dbraw/zinc/00/78/71/337007871.db2.gz HHPAKEXAKJMVHZ-UONOGXRCSA-N 0 3 320.483 4.015 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN2CCC23CCOCC3)o1 ZINC000375732650 337018165 /nfs/dbraw/zinc/01/81/65/337018165.db2.gz PTXCQOANLCLYAN-UHFFFAOYSA-N 0 3 315.388 4.149 20 0 DIADHN c1c[nH]c([C@H](N[C@@H]2CCOC3(CCCC3)C2)C2CCCCC2)n1 ZINC000375788228 337019711 /nfs/dbraw/zinc/01/97/11/337019711.db2.gz QVKHLLUJMGSGGK-IAGOWNOFSA-N 0 3 317.477 4.113 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375808473 337020211 /nfs/dbraw/zinc/02/02/11/337020211.db2.gz RXOHCFQOVGAWEO-ULQDDVLXSA-N 0 3 319.493 4.214 20 0 DIADHN c1ccc(COc2cccc(CN3CCC34CCOCC4)c2)cc1 ZINC000376493937 337034884 /nfs/dbraw/zinc/03/48/84/337034884.db2.gz CDSCEBARWPCPEX-UHFFFAOYSA-N 0 3 323.436 4.021 20 0 DIADHN c1cc(-c2ccccc2)sc1CNCc1cnc2n1CCCC2 ZINC000376756124 337040508 /nfs/dbraw/zinc/04/05/08/337040508.db2.gz BXQVHQXISBDBFQ-UHFFFAOYSA-N 0 3 323.465 4.238 20 0 DIADHN Oc1cccc(CN(Cc2ccc3ncccc3c2)CC2CC2)c1 ZINC000123273565 337041830 /nfs/dbraw/zinc/04/18/30/337041830.db2.gz YKSKMUNXSHYTPZ-UHFFFAOYSA-N 0 3 318.420 4.353 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1ccc3nc(C)ccc3c1)CCC2 ZINC000376971280 337044526 /nfs/dbraw/zinc/04/45/26/337044526.db2.gz HNFXHFMMJBFYGB-QGZVFWFLSA-N 0 3 323.465 4.475 20 0 DIADHN Cc1cc(C)cc([C@H](NC[C@H]2CCSC2)c2cccnc2)c1 ZINC000377081862 337046562 /nfs/dbraw/zinc/04/65/62/337046562.db2.gz JKYZNKCPVAUONG-VQIMIIECSA-N 0 3 312.482 4.131 20 0 DIADHN Cc1ccc(C2CCN(CC3=Cc4ccccc4OC3)CC2)cn1 ZINC000377250566 337049531 /nfs/dbraw/zinc/04/95/31/337049531.db2.gz NWIDHXZRWHFSQX-UHFFFAOYSA-N 0 3 320.436 4.045 20 0 DIADHN CCC[C@@H](NC[C@@]1(C)OCCc2sccc21)c1cccnc1 ZINC000377743097 337059894 /nfs/dbraw/zinc/05/98/94/337059894.db2.gz LNJPPOVCZVFEDE-SJLPKXTDSA-N 0 3 316.470 4.062 20 0 DIADHN CC[C@H](NC[C@H]1CCCn2cc(C)nc21)c1cc(F)ccc1F ZINC000378185689 337067952 /nfs/dbraw/zinc/06/79/52/337067952.db2.gz UJGFNRVPOXGGRP-DYVFJYSZSA-N 0 3 319.399 4.088 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC000378348652 337070502 /nfs/dbraw/zinc/07/05/02/337070502.db2.gz HEIQJANQFASDSS-IBGZPJMESA-N 0 3 322.452 4.100 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@H](C)c2cnn(C(C)C)c2C)C1(C)C ZINC000469036824 337077867 /nfs/dbraw/zinc/07/78/67/337077867.db2.gz SMGMFPREVRNMGW-FHLIZLRMSA-N 0 3 321.509 4.407 20 0 DIADHN CSC1(CNCc2csc(-c3ccsc3)n2)CCC1 ZINC000193643220 337078800 /nfs/dbraw/zinc/07/88/00/337078800.db2.gz VAMSQYBBYHWCJQ-UHFFFAOYSA-N 0 3 310.513 4.247 20 0 DIADHN C=Cn1cc(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)cn1 ZINC000193785117 337080074 /nfs/dbraw/zinc/08/00/74/337080074.db2.gz HVBGZTIISVCVFD-UHFFFAOYSA-N 0 3 320.440 4.153 20 0 DIADHN CSC1(CN[C@H](C)c2nc3ccc(Cl)cc3n2C)CCC1 ZINC000193808433 337080290 /nfs/dbraw/zinc/08/02/90/337080290.db2.gz NCNXYYXXXIWZPF-LLVKDONJSA-N 0 3 323.893 4.163 20 0 DIADHN CSC1(CN[C@@H](C)c2cc(Br)ccc2F)CC1 ZINC000193823101 337080391 /nfs/dbraw/zinc/08/03/91/337080391.db2.gz ISYUJKKVJIWSNA-VIFPVBQESA-N 0 3 318.255 4.134 20 0 DIADHN C[C@@H](CN1CCCC1=O)N[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000193917973 337080588 /nfs/dbraw/zinc/08/05/88/337080588.db2.gz OEZWBRNAGIIFOA-IRXDYDNUSA-N 0 3 322.452 4.015 20 0 DIADHN COc1ccc([C@@H](NCCc2ccccn2)c2ccccc2)cc1 ZINC000194032899 337081279 /nfs/dbraw/zinc/08/12/79/337081279.db2.gz FKJLOYZPCNRTDR-NRFANRHFSA-N 0 3 318.420 4.012 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cccc(COC)c2)cc1 ZINC000194166469 337082100 /nfs/dbraw/zinc/08/21/00/337082100.db2.gz GWURRRABOAKYLL-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1ccc(CN2CCc3sccc3C2)c(-c2cnn(C)c2)c1 ZINC000583697696 337085766 /nfs/dbraw/zinc/08/57/66/337085766.db2.gz TZTMITSAXQQFMZ-UHFFFAOYSA-N 0 3 323.465 4.015 20 0 DIADHN C=Cn1cc(CN[C@H](CCc2ccccc2)c2ccccc2)cn1 ZINC000194241114 337086402 /nfs/dbraw/zinc/08/64/02/337086402.db2.gz IRQWKCKFWMMANS-OAQYLSRUSA-N 0 3 317.436 4.447 20 0 DIADHN Clc1ccc(CCNCc2nc(-c3ccccc3)c[nH]2)s1 ZINC000194241804 337086507 /nfs/dbraw/zinc/08/65/07/337086507.db2.gz CICZAOBUTSGQEI-UHFFFAOYSA-N 0 3 317.845 4.124 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3ccccc3Cl)o2)[C@@H]1C ZINC000171410146 337087278 /nfs/dbraw/zinc/08/72/78/337087278.db2.gz VDKTZRYSUPTIGZ-JMSVASOKSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3ccccc3Cl)o2)[C@@H]1C ZINC000171410153 337087310 /nfs/dbraw/zinc/08/73/10/337087310.db2.gz VDKTZRYSUPTIGZ-LALPHHSUSA-N 0 3 319.836 4.304 20 0 DIADHN CC(C)C1(NCc2cc(Br)c(Cl)s2)CC1 ZINC000393510524 337092868 /nfs/dbraw/zinc/09/28/68/337092868.db2.gz ZFDAHUWZMJUGMI-UHFFFAOYSA-N 0 3 308.672 4.442 20 0 DIADHN CCC[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)OC ZINC000393470928 337094734 /nfs/dbraw/zinc/09/47/34/337094734.db2.gz DTXNBIQNNULSEW-MOPGFXCFSA-N 0 3 301.405 4.320 20 0 DIADHN COCC(C)(C)CCCNCc1csc(-c2ccccc2)n1 ZINC000582630159 337116363 /nfs/dbraw/zinc/11/63/63/337116363.db2.gz TZDXUCHCYDGFBU-UHFFFAOYSA-N 0 3 318.486 4.353 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3ccc(C(F)(F)F)cn3)C2)c1 ZINC000582656616 337121567 /nfs/dbraw/zinc/12/15/67/337121567.db2.gz IXDDNMHWVYHTNQ-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN CC[C@@H]1C[C@H](NCc2cnc(C3CCCCC3)s2)CCO1 ZINC000582719129 337124695 /nfs/dbraw/zinc/12/46/95/337124695.db2.gz KCWWQJUSBOIPHG-HUUCEWRRSA-N 0 3 308.491 4.238 20 0 DIADHN CC[C@H]1C[C@@H](NCc2cnc(C3CCCCC3)s2)CCO1 ZINC000582719128 337124761 /nfs/dbraw/zinc/12/47/61/337124761.db2.gz KCWWQJUSBOIPHG-GJZGRUSLSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(O)cc2)cc(C)c1OC(F)F ZINC000582752999 337133851 /nfs/dbraw/zinc/13/38/51/337133851.db2.gz LTVHXCXBVZVYIC-UHFFFAOYSA-N 0 3 321.367 4.242 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCCc1cc(-c2ccccc2)n[nH]1 ZINC000177094741 337155263 /nfs/dbraw/zinc/15/52/63/337155263.db2.gz UTHQSINBCKDCCQ-MRXNPFEDSA-N 0 3 320.440 4.097 20 0 DIADHN C[C@@H](CNC(C)(C)c1cc(C(F)(F)F)on1)c1ccccc1 ZINC000429263598 337156031 /nfs/dbraw/zinc/15/60/31/337156031.db2.gz MRJBPCIKAGJTDS-NSHDSACASA-N 0 3 312.335 4.322 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@H](C)Oc2cccc(F)c2)s1 ZINC000177981028 337159511 /nfs/dbraw/zinc/15/95/11/337159511.db2.gz VTWAFUNRLDXEDH-WDEREUQCSA-N 0 3 308.422 4.017 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@H](C)Oc2ccc(F)cc2)s1 ZINC000178037818 337159880 /nfs/dbraw/zinc/15/98/80/337159880.db2.gz KDTJPXADTNZQHC-QWRGUYRKSA-N 0 3 308.422 4.017 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1cccc(-c2ccncc2)c1 ZINC000177843606 337160727 /nfs/dbraw/zinc/16/07/27/337160727.db2.gz FJBBRLUMQNRCNY-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1cccc(-c2ccncc2)c1 ZINC000177843598 337160824 /nfs/dbraw/zinc/16/08/24/337160824.db2.gz FJBBRLUMQNRCNY-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1ccc(-n2cncn2)cc1 ZINC000178495122 337163158 /nfs/dbraw/zinc/16/31/58/337163158.db2.gz WVMFPYCXPVJHNC-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN CC(C)c1noc(CCCN[C@H](C)c2ccc3ccccc3n2)n1 ZINC000178517494 337163466 /nfs/dbraw/zinc/16/34/66/337163466.db2.gz MHORGLXUWWIGKA-CQSZACIVSA-N 0 3 324.428 4.025 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000178718155 337164771 /nfs/dbraw/zinc/16/47/71/337164771.db2.gz AQARYTCDIWNKEA-HUUCEWRRSA-N 0 3 320.440 4.202 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccc3ccccc3n2)C12CCC2 ZINC000178819431 337167238 /nfs/dbraw/zinc/16/72/38/337167238.db2.gz HYUJUXSBLDBAPA-GDIGMMSISA-N 0 3 310.441 4.233 20 0 DIADHN C[C@H](NCc1nnc(-c2cccc(Cl)c2)o1)C1CCCCC1 ZINC000182697285 337168693 /nfs/dbraw/zinc/16/86/93/337168693.db2.gz NFXMWMJMPSNAHL-LBPRGKRZSA-N 0 3 319.836 4.448 20 0 DIADHN c1cc2nccc(NCC3CCN(c4ccncc4)CC3)c2s1 ZINC000180045157 337173383 /nfs/dbraw/zinc/17/33/83/337173383.db2.gz DOIUVXCDTJICPJ-UHFFFAOYSA-N 0 3 324.453 4.020 20 0 DIADHN CC[C@H](NCc1cccc(Cn2ccnc2)c1)c1ccccc1F ZINC000180082563 337173584 /nfs/dbraw/zinc/17/35/84/337173584.db2.gz QALVLXBOTSLWLR-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@H](NCc1ccccc1-n1ccnc1)c1ccccc1F ZINC000180224127 337175373 /nfs/dbraw/zinc/17/53/73/337175373.db2.gz XFKSZYQBZNXNIE-SFHVURJKSA-N 0 3 309.388 4.252 20 0 DIADHN Cc1nc(C)c(CCN[C@H](c2ccccc2)c2ccccn2)s1 ZINC000180506001 337176079 /nfs/dbraw/zinc/17/60/79/337176079.db2.gz BZPDOISYGWTGGK-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1sccc1C)c1ccccc1 ZINC000180673648 337177254 /nfs/dbraw/zinc/17/72/54/337177254.db2.gz JBLPTTZYWNWVGO-GOEBONIOSA-N 0 3 317.454 4.402 20 0 DIADHN CO[C@H](CN[C@@H](C)c1sc(C)nc1C)c1cccc(Cl)c1 ZINC000181195628 337180248 /nfs/dbraw/zinc/18/02/48/337180248.db2.gz KDBDMNMLJBWJCY-ZUZCIYMTSA-N 0 3 324.877 4.452 20 0 DIADHN C(c1nnc(C2CC2)n1C1CC1)N(CC1CC1)C1CCCCC1 ZINC000181242428 337180632 /nfs/dbraw/zinc/18/06/32/337180632.db2.gz HEABVAGTAGCXAI-UHFFFAOYSA-N 0 3 314.477 4.035 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000181458994 337182355 /nfs/dbraw/zinc/18/23/55/337182355.db2.gz OBFKVSZTOBTAJA-JKSUJKDBSA-N 0 3 316.493 4.185 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCOCc2ccc(Cl)cc2)s1 ZINC000181711128 337183886 /nfs/dbraw/zinc/18/38/86/337183886.db2.gz JSZWWPJLNKBZCT-NSHDSACASA-N 0 3 324.877 4.281 20 0 DIADHN C[S@@](=O)C[C@H](NCCCC1CCCCC1)c1ccccc1 ZINC000582812552 337184713 /nfs/dbraw/zinc/18/47/13/337184713.db2.gz LFAOJGIWYSRPMB-GHTZIAJQSA-N 0 3 307.503 4.056 20 0 DIADHN Cc1csc(C2(NCC3CCC(F)(F)CC3)CCC2)n1 ZINC000459406757 337185337 /nfs/dbraw/zinc/18/53/37/337185337.db2.gz ONQMCVSRQRMSFD-UHFFFAOYSA-N 0 3 300.418 4.246 20 0 DIADHN C[C@@H](c1ccccn1)N(C)Cc1cccc(-c2cccnc2)c1 ZINC000182068010 337186151 /nfs/dbraw/zinc/18/61/51/337186151.db2.gz DLPYEJQWOCUCQI-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN C[C@@H](c1cccnc1)N(C)Cc1cccc(-c2cccnc2)c1 ZINC000183725302 337193072 /nfs/dbraw/zinc/19/30/72/337193072.db2.gz DLIBIZOOYOHTBC-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2cncc(F)c2)CCC1 ZINC000183960099 337195732 /nfs/dbraw/zinc/19/57/32/337195732.db2.gz YLUAOULECGIMGN-CQSZACIVSA-N 0 3 314.404 4.002 20 0 DIADHN COc1cccc2cc([C@@H](C)NCC3(O)CCCCCC3)oc21 ZINC000321153764 337201609 /nfs/dbraw/zinc/20/16/09/337201609.db2.gz GKLIKTYKBFFBAC-CQSZACIVSA-N 0 3 317.429 4.177 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1N[C@@H]1CCCc2c1cnn2C ZINC000185284800 337203839 /nfs/dbraw/zinc/20/38/39/337203839.db2.gz MRLYZMAVRGPFOW-BOUXLOLZSA-N 0 3 309.457 4.101 20 0 DIADHN Cc1cccc2c1ncc(C)c2N(C)Cc1nccn1C(F)F ZINC000185267498 337203899 /nfs/dbraw/zinc/20/38/99/337203899.db2.gz HIFWEELZNJPIFL-UHFFFAOYSA-N 0 3 316.355 4.080 20 0 DIADHN CCOc1ccc(CNCc2cc(C)cc(Cl)c2)cc1F ZINC000582859532 337208661 /nfs/dbraw/zinc/20/86/61/337208661.db2.gz PPEPSMHBCAVTHR-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cn(C)nc1C(C)(C)C)CCC2 ZINC000582868269 337209119 /nfs/dbraw/zinc/20/91/19/337209119.db2.gz XROYRNWVWNHKDR-SFHVURJKSA-N 0 3 311.473 4.193 20 0 DIADHN Cc1ccc(C)c(CN[C@@H]2c3ccccc3CC23CCOCC3)c1 ZINC000582874490 337209639 /nfs/dbraw/zinc/20/96/39/337209639.db2.gz LIKIUNSMCDVPNN-OAQYLSRUSA-N 0 3 321.464 4.487 20 0 DIADHN C[C@@H](NCc1ccnc2ccccc12)[C@@H]1OCCc2sccc21 ZINC000583000752 337227265 /nfs/dbraw/zinc/22/72/65/337227265.db2.gz MDLBJERLZOAJIF-YJYMSZOUSA-N 0 3 324.449 4.088 20 0 DIADHN COC[C@H](NCCC1(F)CCC1)c1cccc(Cl)c1F ZINC000583090677 337239384 /nfs/dbraw/zinc/23/93/84/337239384.db2.gz FKKILMAMXICKJF-ZDUSSCGKSA-N 0 3 303.780 4.039 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](CC(C)(C)C)c2ccccc2)[nH]1 ZINC000321261270 337252649 /nfs/dbraw/zinc/25/26/49/337252649.db2.gz YZJZMLDUYXFKNU-KRWDZBQOSA-N 0 3 314.429 4.068 20 0 DIADHN CNC(=O)c1ccc(CN(C)[C@@H](C)c2c(C)cc(C)cc2C)cc1 ZINC000532907908 337259337 /nfs/dbraw/zinc/25/93/37/337259337.db2.gz DATUUBMSYANCJM-KRWDZBQOSA-N 0 3 324.468 4.164 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2cccc(N(C)C)c2)c(C)n1 ZINC000187084438 337263027 /nfs/dbraw/zinc/26/30/27/337263027.db2.gz LERBNMVPDVTHBE-FQEVSTJZSA-N 0 3 309.457 4.102 20 0 DIADHN Clc1ccc(CNCCc2ccco2)c(Br)c1 ZINC000149703098 337264397 /nfs/dbraw/zinc/26/43/97/337264397.db2.gz WAUWHKFLTDWPRQ-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)c(C)n1 ZINC000187414928 337265829 /nfs/dbraw/zinc/26/58/29/337265829.db2.gz IMFKOPCSILGXPJ-LBPRGKRZSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2ccc(C)nc2C)cc1 ZINC000187439759 337266002 /nfs/dbraw/zinc/26/60/02/337266002.db2.gz OHWAYGSGCCFZLW-OAHLLOKOSA-N 0 3 320.440 4.043 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(c3ccncc3)CC2)cc1F ZINC000583196659 337266292 /nfs/dbraw/zinc/26/62/92/337266292.db2.gz OCDMNVWUSMNCEE-UPHRSURJSA-N 0 3 314.379 4.253 20 0 DIADHN C[C@@H](Nc1cccc(OCCN(C)C)c1)c1cc(F)cc(F)c1 ZINC000321492700 337271406 /nfs/dbraw/zinc/27/14/06/337271406.db2.gz XOQDPFSOXHGUAV-CYBMUJFWSA-N 0 3 320.383 4.078 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1cc2ccccc2s1 ZINC000583210655 337272136 /nfs/dbraw/zinc/27/21/36/337272136.db2.gz ZVOBFWWLPDQVEN-ZDUSSCGKSA-N 0 3 307.422 4.400 20 0 DIADHN C[C@H](NCc1ccc(F)nc1)c1ccc(-c2ccncc2)cc1 ZINC000189136004 337277028 /nfs/dbraw/zinc/27/70/28/337277028.db2.gz LONIWTIZMWSWFQ-AWEZNQCLSA-N 0 3 307.372 4.134 20 0 DIADHN O[C@H]1CC[C@@H](CN[C@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000189302163 337278582 /nfs/dbraw/zinc/27/85/82/337278582.db2.gz IIFOKSKRTDNUFC-YWVKMMECSA-N 0 3 320.285 4.183 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCOc3c(C)cccc32)cc1 ZINC000189367714 337278864 /nfs/dbraw/zinc/27/88/64/337278864.db2.gz UOSFFJWBLPFKOV-AUUYWEPGSA-N 0 3 324.424 4.128 20 0 DIADHN Cc1ccccc1C[C@H](C)N[C@H](C)c1nc2ccccc2n1C ZINC000321664349 337282174 /nfs/dbraw/zinc/28/21/74/337282174.db2.gz SQXUAHRICRVQDY-JKSUJKDBSA-N 0 3 307.441 4.164 20 0 DIADHN Cc1ccccc1C[C@@H](C)N[C@H](C)c1nc2ccccc2n1C ZINC000321664348 337282272 /nfs/dbraw/zinc/28/22/72/337282272.db2.gz SQXUAHRICRVQDY-HZPDHXFCSA-N 0 3 307.441 4.164 20 0 DIADHN Cc1cccc2nc(C3CCN(CCOC(C)(C)C)CC3)oc21 ZINC000189816843 337283335 /nfs/dbraw/zinc/28/33/35/337283335.db2.gz YVMVDBZYFHQSAB-UHFFFAOYSA-N 0 3 316.445 4.131 20 0 DIADHN Cc1cnccc1CN[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000583243935 337287353 /nfs/dbraw/zinc/28/73/53/337287353.db2.gz RONRPZXJIYWMPR-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN C[C@H](CN(C)Cc1ccc(OCC2CC2)cc1)c1nccs1 ZINC000191477063 337297228 /nfs/dbraw/zinc/29/72/28/337297228.db2.gz UBJHFLLWGZUZTM-CQSZACIVSA-N 0 3 316.470 4.167 20 0 DIADHN COc1ccc(CCC2CCN([C@H](C)c3ccccn3)CC2)cc1 ZINC000532914000 337297417 /nfs/dbraw/zinc/29/74/17/337297417.db2.gz JUWBEROLZFPDHV-QGZVFWFLSA-N 0 3 324.468 4.496 20 0 DIADHN COc1cc(CNCc2ccc3ncccc3c2)ccc1SC ZINC000192975353 337308706 /nfs/dbraw/zinc/30/87/06/337308706.db2.gz LQHOARAFGBQUAZ-UHFFFAOYSA-N 0 3 324.449 4.255 20 0 DIADHN CC[C@H](O)CCCN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000398599888 337311817 /nfs/dbraw/zinc/31/18/17/337311817.db2.gz KMEKXIGKMVCZPR-ZJUUUORDSA-N 0 3 308.224 4.334 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cc(-c4ccccc4)n[nH]3)C2)cc1 ZINC000583769000 337333361 /nfs/dbraw/zinc/33/33/61/337333361.db2.gz JORHBJJRKNLGNL-SAABIXHNSA-N 0 3 321.399 4.252 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](CC)c1ccc(Cl)cc1 ZINC000218863710 337340310 /nfs/dbraw/zinc/34/03/10/337340310.db2.gz UCYWZGSNKWBJPB-MLGOLLRUSA-N 0 3 310.869 4.074 20 0 DIADHN CCc1ccc([C@@H](C)NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)cc1 ZINC000218797137 337341272 /nfs/dbraw/zinc/34/12/72/337341272.db2.gz CZMXKUMHQUTLJE-GVDBMIGSSA-N 0 3 324.468 4.166 20 0 DIADHN Fc1cccc(Oc2ncccc2CN[C@@H]2CC[C@@H]2C2CC2)c1 ZINC000584476150 337346463 /nfs/dbraw/zinc/34/64/63/337346463.db2.gz PPVFBUKJYCSQCV-QZTJIDSGSA-N 0 3 312.388 4.291 20 0 DIADHN Fc1cccc(Oc2ncccc2CN[C@H]2CC[C@@H]2C2CC2)c1 ZINC000584476149 337346470 /nfs/dbraw/zinc/34/64/70/337346470.db2.gz PPVFBUKJYCSQCV-MSOLQXFVSA-N 0 3 312.388 4.291 20 0 DIADHN c1cc([C@@H]2CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)ccn1 ZINC000584496551 337350175 /nfs/dbraw/zinc/35/01/75/337350175.db2.gz IWUBASGJNJSSTF-MOPGFXCFSA-N 0 3 314.473 4.143 20 0 DIADHN O[C@@H](Cc1ccccc1)CN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000219697237 337350502 /nfs/dbraw/zinc/35/05/02/337350502.db2.gz YYWDKPWAOVJOQS-RBUKOAKNSA-N 0 3 315.844 4.081 20 0 DIADHN Cc1nocc1CN1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000584545744 337358418 /nfs/dbraw/zinc/35/84/18/337358418.db2.gz OLNZZQDVIICBAG-CQSZACIVSA-N 0 3 324.346 4.381 20 0 DIADHN CCOCC(C)(C)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000584553523 337360567 /nfs/dbraw/zinc/36/05/67/337360567.db2.gz VHVIFRIKWHSDPE-CYBMUJFWSA-N 0 3 315.404 4.310 20 0 DIADHN C[C@@H](NCCc1cccs1)c1sccc1Br ZINC000037211923 337362232 /nfs/dbraw/zinc/36/22/32/337362232.db2.gz VENOHEKSWWNLCD-SECBINFHSA-N 0 3 316.289 4.465 20 0 DIADHN C[C@@H](CN1CCC[C@H]1c1ccccn1)c1cc(F)cc(F)c1 ZINC000584570362 337363789 /nfs/dbraw/zinc/36/37/89/337363789.db2.gz AVGQCHZWVZWHNH-UGSOOPFHSA-N 0 3 302.368 4.300 20 0 DIADHN CCCOc1ccc(CN2CC3(CCC3)[C@@H]2[C@H]2CCCO2)cc1 ZINC000584583350 337368452 /nfs/dbraw/zinc/36/84/52/337368452.db2.gz QWAJHTFFVZBKNU-MOPGFXCFSA-N 0 3 315.457 4.009 20 0 DIADHN CCC[C@H](NC[C@H]1CSc2ccccc2O1)c1cccnc1 ZINC000584590259 337368685 /nfs/dbraw/zinc/36/86/85/337368685.db2.gz SVCWNQYVOHXVHZ-HOTGVXAUSA-N 0 3 314.454 4.066 20 0 DIADHN Cc1cccc2c1OC[C@H](N[C@@H](C)c1ccc3ccccc3n1)C2 ZINC000583901662 337384898 /nfs/dbraw/zinc/38/48/98/337384898.db2.gz MRWHLOKHWNEKQN-MAUKXSAKSA-N 0 3 318.420 4.198 20 0 DIADHN COc1ccc([C@H](C)NCCc2cccc3cccnc32)c(F)c1 ZINC000325349058 337391858 /nfs/dbraw/zinc/39/18/58/337391858.db2.gz HHIHYXFFGPCISN-AWEZNQCLSA-N 0 3 324.399 4.276 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@@H]2Oc2cccc(C)c2)nc1 ZINC000532931702 337393645 /nfs/dbraw/zinc/39/36/45/337393645.db2.gz UDCJARDIIDPKOW-UXHICEINSA-N 0 3 310.441 4.178 20 0 DIADHN Cc1ccc([C@H]2CCCN2C(=O)C[C@@H](N)c2ccccc2)cc1C ZINC000118953428 337394240 /nfs/dbraw/zinc/39/42/40/337394240.db2.gz VQAOMQZURUJWOI-WOJBJXKFSA-N 0 3 322.452 4.057 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccccc1)c1cccc(-c2ccncc2)c1 ZINC000123675001 337400509 /nfs/dbraw/zinc/40/05/09/337400509.db2.gz QEUIHBGQTFZNPH-IERDGZPVSA-N 0 3 318.420 4.133 20 0 DIADHN CCC(=O)N1CC[C@@H](N[C@@H](CC(C)C)c2ccc(Cl)cc2)C1 ZINC000126758298 337417745 /nfs/dbraw/zinc/41/77/45/337417745.db2.gz LBAMZUQMJLKMCX-SJORKVTESA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H](NCCc1nccs1)c1cc(Cl)ccc1OC ZINC000127860905 337422769 /nfs/dbraw/zinc/42/27/69/337422769.db2.gz FRAKUKFNVQEHFX-CYBMUJFWSA-N 0 3 310.850 4.089 20 0 DIADHN C[C@@H](NC[C@@H](C)C(F)(F)F)c1sccc1Br ZINC000309541639 337451612 /nfs/dbraw/zinc/45/16/12/337451612.db2.gz GAJCMPCIZQDCID-RNFRBKRXSA-N 0 3 316.186 4.360 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2cccc(OC(C)C)c2)cc1 ZINC000322032909 337461065 /nfs/dbraw/zinc/46/10/65/337461065.db2.gz MLQPWRMNXVTLMP-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN CC(C)[C@H](N)C(=O)Nc1ccc(Oc2ccccc2Cl)cc1 ZINC000236800590 337465678 /nfs/dbraw/zinc/46/56/78/337465678.db2.gz LJOHWWDBXQARHB-INIZCTEOSA-N 0 3 318.804 4.054 20 0 DIADHN CO[C@H](CN1CCC(OC2CCC2)CC1)c1ccc(Cl)cc1 ZINC000419295497 337478656 /nfs/dbraw/zinc/47/86/56/337478656.db2.gz CEPJGZYHJBTWAP-GOSISDBHSA-N 0 3 323.864 4.061 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(OC)(C(F)(F)F)CC1 ZINC000419329192 337481648 /nfs/dbraw/zinc/48/16/48/337481648.db2.gz OUGKJWGPTXVICL-CQSZACIVSA-N 0 3 319.342 4.320 20 0 DIADHN FC(F)C1CCC(NCc2conc2Cc2ccccc2)CC1 ZINC000419791379 337492262 /nfs/dbraw/zinc/49/22/62/337492262.db2.gz WKAGVKZVFRUFIB-UHFFFAOYSA-N 0 3 320.383 4.179 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000245960543 337500261 /nfs/dbraw/zinc/50/02/61/337500261.db2.gz YARGTUIQXXWYPR-KCTSRDHCSA-N 0 3 307.340 4.047 20 0 DIADHN Fc1ccccc1OCCN[C@@H](CC(F)F)c1ccccc1 ZINC000420986598 337517703 /nfs/dbraw/zinc/51/77/03/337517703.db2.gz MKJFFXRWJGHOLL-HNNXBMFYSA-N 0 3 309.331 4.191 20 0 DIADHN Fc1cccc(CCN[C@@H](CC(F)F)c2ccccc2)c1F ZINC000420991788 337518016 /nfs/dbraw/zinc/51/80/16/337518016.db2.gz JHVTUVLCIJLXOW-HNNXBMFYSA-N 0 3 311.322 4.493 20 0 DIADHN CCOc1cc(CNCc2cccc(C3CC3)c2)ccc1OC ZINC000421338002 337527227 /nfs/dbraw/zinc/52/72/27/337527227.db2.gz BPQAWSYTQWTPSV-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC2CC3(C2)CCCCC3)c(C)c1 ZINC000421338828 337527274 /nfs/dbraw/zinc/52/72/74/337527274.db2.gz DRDKPYMBEODLQR-UHFFFAOYSA-N 0 3 314.473 4.253 20 0 DIADHN c1ccc([C@@H](NCc2cccc(C3CC3)c2)[C@@H]2CCOC2)cc1 ZINC000421339458 337527388 /nfs/dbraw/zinc/52/73/88/337527388.db2.gz GEDAWXANIYETSL-NHCUHLMSSA-N 0 3 307.437 4.431 20 0 DIADHN CSc1ccc([C@H](C)NCc2cc(C)nc(C)c2)cc1F ZINC000421382648 337529444 /nfs/dbraw/zinc/52/94/44/337529444.db2.gz MOEJVGSSFAVUTL-ZDUSSCGKSA-N 0 3 304.434 4.410 20 0 DIADHN CC[C@H]1C[C@@H](NCc2csc(-c3ccc(C)cc3)n2)CCO1 ZINC000421383886 337529577 /nfs/dbraw/zinc/52/95/77/337529577.db2.gz MFFSYWJDOBYKJY-RDJZCZTQSA-N 0 3 316.470 4.166 20 0 DIADHN Cc1cc(CNCc2ccc(Br)cc2C)cc(C)n1 ZINC000421368312 337532779 /nfs/dbraw/zinc/53/27/79/337532779.db2.gz BVWDBJRICSOQOP-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000571781786 337576694 /nfs/dbraw/zinc/57/66/94/337576694.db2.gz FACDCSISKBMTAO-QWHCGFSZSA-N 0 3 302.393 4.204 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)cc1Cl ZINC000571783902 337576755 /nfs/dbraw/zinc/57/67/55/337576755.db2.gz LXMUWUSWNKSFEV-NVGCLXPQSA-N 0 3 316.832 4.328 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)cc1Cl ZINC000571783906 337576869 /nfs/dbraw/zinc/57/68/69/337576869.db2.gz LXMUWUSWNKSFEV-PRXAMGSTSA-N 0 3 316.832 4.328 20 0 DIADHN c1ccc(CSCCN2CCC(c3ccncc3)CC2)cc1 ZINC000571842899 337578830 /nfs/dbraw/zinc/57/88/30/337578830.db2.gz YJEKRDBNLREZIL-UHFFFAOYSA-N 0 3 312.482 4.194 20 0 DIADHN Cc1c(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cnn1C ZINC000162017127 337603471 /nfs/dbraw/zinc/60/34/71/337603471.db2.gz UVEXKWMMFOBJCO-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1cccc2cccnc21 ZINC000321615785 337638463 /nfs/dbraw/zinc/63/84/63/337638463.db2.gz VYGGCTMDVOVEJJ-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@@H](C)c3ccncc3Cl)c21 ZINC000421825792 337646449 /nfs/dbraw/zinc/64/64/49/337646449.db2.gz PHXUKDBRASINLM-ZDUSSCGKSA-N 0 3 313.832 4.418 20 0 DIADHN C[C@@H](NCCc1ccc(Cl)s1)c1ccncc1Cl ZINC000421827551 337646946 /nfs/dbraw/zinc/64/69/46/337646946.db2.gz YFDWUZVJKALVPU-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](NC[C@H]1CCCO[C@@H]1C(C)(C)C)c1ccncc1Cl ZINC000421837582 337652600 /nfs/dbraw/zinc/65/26/00/337652600.db2.gz SSNKASVSMZDKHL-ZENOOKHLSA-N 0 3 310.869 4.227 20 0 DIADHN C[C@H](NCC(C)(C)Oc1ccccc1)c1ccncc1Cl ZINC000421837815 337652646 /nfs/dbraw/zinc/65/26/46/337652646.db2.gz TYIMXPNBMWGPFN-ZDUSSCGKSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)c(F)c2)C(C)C)cc(C)n1 ZINC000421849808 337654918 /nfs/dbraw/zinc/65/49/18/337654918.db2.gz CJEKJOZQDMVLKN-SFHVURJKSA-N 0 3 304.384 4.464 20 0 DIADHN OC1(CCCN[C@H]2CCSc3c(Cl)cccc32)CCC1 ZINC000421860386 337655703 /nfs/dbraw/zinc/65/57/03/337655703.db2.gz SDCKYRALEJYLNY-AWEZNQCLSA-N 0 3 311.878 4.162 20 0 DIADHN CCc1nc(C)c(CN[C@H](C)Cc2ccc(F)cc2Cl)o1 ZINC000421863759 337656366 /nfs/dbraw/zinc/65/63/66/337656366.db2.gz XRNJFCGHYYPJFN-SNVBAGLBSA-N 0 3 310.800 4.059 20 0 DIADHN Cc1cc(CN[C@@H]2CCCOc3cc(C)c(C)cc32)cc(C)n1 ZINC000421882655 337658715 /nfs/dbraw/zinc/65/87/15/337658715.db2.gz PXXCZULUSMMYKH-LJQANCHMSA-N 0 3 310.441 4.319 20 0 DIADHN CC[C@@H](O)CN[C@H](Cc1ccccc1Cl)c1ccsc1 ZINC000421893764 337659367 /nfs/dbraw/zinc/65/93/67/337659367.db2.gz ZXHGWJYAZBZUFX-GDBMZVCRSA-N 0 3 309.862 4.046 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H]2CC23CCSCC3)cs1 ZINC000421895438 337659538 /nfs/dbraw/zinc/65/95/38/337659538.db2.gz YNRRLEUJVWQTGQ-CHWSQXEVSA-N 0 3 310.532 4.450 20 0 DIADHN FC(F)c1ccc(CNCc2ccccc2-n2cccn2)cc1 ZINC000321799536 337661339 /nfs/dbraw/zinc/66/13/39/337661339.db2.gz XNSBLHLPGYGKED-UHFFFAOYSA-N 0 3 313.351 4.100 20 0 DIADHN Cc1nc2ccccc2n1CCNCc1ccc(C(F)F)cc1 ZINC000321786782 337662225 /nfs/dbraw/zinc/66/22/25/337662225.db2.gz TWCPCLZKHLKCFA-UHFFFAOYSA-N 0 3 315.367 4.072 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000572463419 337663155 /nfs/dbraw/zinc/66/31/55/337663155.db2.gz MTTDWAICAQTFBL-GJZGRUSLSA-N 0 3 301.434 4.193 20 0 DIADHN Cc1nc(CNC[C@@H](C)c2ccc(C(F)(F)F)cc2)cs1 ZINC000191156143 337672377 /nfs/dbraw/zinc/67/23/77/337672377.db2.gz IAVCWUCDUDZSJP-SNVBAGLBSA-N 0 3 314.376 4.364 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(CN)nc1C)c1ccc(Cl)s1 ZINC000422724974 337684229 /nfs/dbraw/zinc/68/42/29/337684229.db2.gz AAILEHRAIDKIMH-RISCZKNCSA-N 0 3 323.893 4.366 20 0 DIADHN C[C@@H](CN[C@H](C)c1cnccc1N)c1c(Cl)cccc1Cl ZINC000422885981 337690009 /nfs/dbraw/zinc/69/00/09/337690009.db2.gz ZTUURHIDCGXSQN-WDEREUQCSA-N 0 3 324.255 4.255 20 0 DIADHN CC[C@@H](CNC(=O)C(C)(C)[C@@H](N)c1ccccc1)c1ccccc1 ZINC000422936217 337692462 /nfs/dbraw/zinc/69/24/62/337692462.db2.gz FFGNAXSQULARKE-LPHOPBHVSA-N 0 3 324.468 4.023 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000423342696 337713111 /nfs/dbraw/zinc/71/31/11/337713111.db2.gz CZWMYQYVCNSPDI-VOJFVSQTSA-N 0 3 302.368 4.275 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H]2CCCc3cc(N)ccc32)cc1 ZINC000423353320 337713838 /nfs/dbraw/zinc/71/38/38/337713838.db2.gz LRWFMVBDZUPDLS-XOBRGWDASA-N 0 3 310.441 4.396 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000423357266 337714192 /nfs/dbraw/zinc/71/41/92/337714192.db2.gz RWRICSGKVMXASJ-KUHUBIRLSA-N 0 3 310.441 4.314 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1cc2c(s1)CCC2 ZINC000423412440 337716314 /nfs/dbraw/zinc/71/63/14/337716314.db2.gz HTUCBCVUNUTKTN-ZDUSSCGKSA-N 0 3 312.482 4.446 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc3c(c2)CNC3)c2ccsc2S1 ZINC000423388238 337718201 /nfs/dbraw/zinc/71/82/01/337718201.db2.gz NJAVPXCLIHYTQV-MEDUHNTESA-N 0 3 316.495 4.067 20 0 DIADHN CC(C)[C@@H](NCc1ccc2c(c1)CCCN2)c1ccc(F)cn1 ZINC000423436709 337719106 /nfs/dbraw/zinc/71/91/06/337719106.db2.gz FRUUUECYWJNDDO-LJQANCHMSA-N 0 3 313.420 4.066 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)CCCN3)CCC2 ZINC000423450192 337719897 /nfs/dbraw/zinc/71/98/97/337719897.db2.gz SQDVGNPWXPNBAC-NRFANRHFSA-N 0 3 322.452 4.221 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000423467017 337720939 /nfs/dbraw/zinc/72/09/39/337720939.db2.gz ADVCEPHXJAPRBU-LJQANCHMSA-N 0 3 305.425 4.226 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2cccc(Br)c2)c1 ZINC000423476278 337721554 /nfs/dbraw/zinc/72/15/54/337721554.db2.gz IXLJAXSGILIZLD-LBPRGKRZSA-N 0 3 319.246 4.191 20 0 DIADHN CC[C@@H](NCc1cc(C)cc(N)c1)c1ccccc1OC(F)F ZINC000423480761 337724805 /nfs/dbraw/zinc/72/48/05/337724805.db2.gz PQEUJDDBUQOBQG-MRXNPFEDSA-N 0 3 320.383 4.420 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000536832846 337734806 /nfs/dbraw/zinc/73/48/06/337734806.db2.gz MQBWNNLJOHRLJY-YSGRDPCXSA-N 0 3 309.453 4.429 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1ccc(F)c(F)c1 ZINC000536837306 337735457 /nfs/dbraw/zinc/73/54/57/337735457.db2.gz GLIGWUSJDCYREZ-BKTGTZMESA-N 0 3 316.395 4.061 20 0 DIADHN CC(C)[C@H](N[C@H](C)C1CCCCCC1)c1nnc2ccccn21 ZINC000527232688 337739388 /nfs/dbraw/zinc/73/93/88/337739388.db2.gz YTBLTZNYNBITHO-QAPCUYQASA-N 0 3 314.477 4.375 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H](C)c1ccc(Cl)s1 ZINC000035286282 337742715 /nfs/dbraw/zinc/74/27/15/337742715.db2.gz HELVBPNENWOCOF-NSHDSACASA-N 0 3 319.861 4.410 20 0 DIADHN C[C@@H](NC[C@](C)(O)C(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000536843415 337742918 /nfs/dbraw/zinc/74/29/18/337742918.db2.gz DODLASGWZHWAOS-DYVFJYSZSA-N 0 3 323.358 4.318 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccc2c(c1)OCCCO2 ZINC000536844579 337743250 /nfs/dbraw/zinc/74/32/50/337743250.db2.gz JKIZURCOCOIQBG-GXTWGEPZSA-N 0 3 311.372 4.076 20 0 DIADHN OC1(CNCc2ccc(Cl)c(Cl)c2)CCCCCC1 ZINC000079619400 337744936 /nfs/dbraw/zinc/74/49/36/337744936.db2.gz CATJZNZMBADPBI-UHFFFAOYSA-N 0 3 302.245 4.168 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(-n3ccnc3)cc2)c(C)c1 ZINC000536853388 337745566 /nfs/dbraw/zinc/74/55/66/337745566.db2.gz QODQPFLHRXVODW-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@@H](NCCOCC(F)(F)F)c1ccc(Cl)s1 ZINC000536858464 337746370 /nfs/dbraw/zinc/74/63/70/337746370.db2.gz QQGKRQFOGFHGIZ-MRVPVSSYSA-N 0 3 301.761 4.021 20 0 DIADHN COCCC[C@H](C)N[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000536859522 337746607 /nfs/dbraw/zinc/74/66/07/337746607.db2.gz TUZDCJZJPUXKHJ-SUMWQHHRSA-N 0 3 307.434 4.381 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](C)COCC(F)(F)F)cc1 ZINC000536865382 337747342 /nfs/dbraw/zinc/74/73/42/337747342.db2.gz XFNFSUDVFVJLAR-QWHCGFSZSA-N 0 3 319.367 4.093 20 0 DIADHN C[C@H](CNCc1ccc(C(F)F)cc1)Oc1cccc(F)c1 ZINC000527529088 337749265 /nfs/dbraw/zinc/74/92/65/337749265.db2.gz JWSZSCIHTZHREE-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN Cc1cc(N2CCc3nc(C(C)C)ncc3C2)c2ccccc2n1 ZINC000527616685 337752322 /nfs/dbraw/zinc/75/23/22/337752322.db2.gz LKIXMPPLNGKNTO-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cccc(OC)c1 ZINC000536871182 337752733 /nfs/dbraw/zinc/75/27/33/337752733.db2.gz PFMHTCMYELPEOB-GUYCJALGSA-N 0 3 313.397 4.226 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CNCc2ccncc2Cl)C1 ZINC000527670367 337754600 /nfs/dbraw/zinc/75/46/00/337754600.db2.gz KJVOHQQGXBNJJE-CMPLNLGQSA-N 0 3 306.759 4.193 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC000527687377 337755727 /nfs/dbraw/zinc/75/57/27/337755727.db2.gz JUOAPPOKIQRNAL-YGRLFVJLSA-N 0 3 317.807 4.302 20 0 DIADHN C[C@H](N[C@@H](c1cccc(F)c1)c1ccccn1)[C@@H]1C[C@H]1C1CC1 ZINC000527687699 337755801 /nfs/dbraw/zinc/75/58/01/337755801.db2.gz UMKBXBKDXDNZTG-RMXWXBJUSA-N 0 3 310.416 4.334 20 0 DIADHN Fc1cccc(C2(NCc3ccc4cc[nH]c4c3)CCOCC2)c1 ZINC000527667383 337757190 /nfs/dbraw/zinc/75/71/90/337757190.db2.gz UPGQFVAHWILJNJ-UHFFFAOYSA-N 0 3 324.399 4.103 20 0 DIADHN Cc1cccc(CCCNCc2ccsc2C(F)(F)F)n1 ZINC000527707817 337757904 /nfs/dbraw/zinc/75/79/04/337757904.db2.gz NXNIKTMUUSMFQV-UHFFFAOYSA-N 0 3 314.376 4.193 20 0 DIADHN COc1cc(CNCc2cc(C)cs2)cc(C(F)(F)F)c1 ZINC000527714405 337758411 /nfs/dbraw/zinc/75/84/11/337758411.db2.gz XUPQYIPHBQWVQB-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN Clc1cc(CNCC2=CCOCC2)ccc1-c1ccccc1 ZINC000527713547 337758416 /nfs/dbraw/zinc/75/84/16/337758416.db2.gz QBXBUQQMXCLKEG-UHFFFAOYSA-N 0 3 313.828 4.443 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCC[C@@H]2CCCOC2)o1 ZINC000527735960 337759753 /nfs/dbraw/zinc/75/97/53/337759753.db2.gz FAKMSEJRXPPMTH-HNNXBMFYSA-N 0 3 317.404 4.300 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3cc[nH]c3c2)cn1 ZINC000527690118 337760010 /nfs/dbraw/zinc/76/00/10/337760010.db2.gz IAEOGPGOIMKGEK-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN COc1ccccc1/C=C/CN[C@H](c1ccccn1)C1CCC1 ZINC000527771441 337762407 /nfs/dbraw/zinc/76/24/07/337762407.db2.gz IRPPOFBEOFGSRU-OEMHODTASA-N 0 3 308.425 4.234 20 0 DIADHN FC(F)Oc1ccc(CN[C@@H](c2ccccn2)C2CCC2)cc1 ZINC000527773808 337762809 /nfs/dbraw/zinc/76/28/09/337762809.db2.gz RLAYEKVITYNYQI-QGZVFWFLSA-N 0 3 318.367 4.314 20 0 DIADHN CC[C@@H](NCc1cnc2nc(C)cc(C)n12)c1cc(C)ccc1C ZINC000527817333 337763420 /nfs/dbraw/zinc/76/34/20/337763420.db2.gz BSBAWGJSMDIMME-LJQANCHMSA-N 0 3 322.456 4.204 20 0 DIADHN COCc1cccc(CNC(C)(C)c2ccc(OC)c(F)c2)c1 ZINC000527780160 337763655 /nfs/dbraw/zinc/76/36/55/337763655.db2.gz DFAHGUZISTWTHA-UHFFFAOYSA-N 0 3 317.404 4.006 20 0 DIADHN COc1cc(CNC(C)(C)c2ccc(OC)c(F)c2)ccc1C ZINC000527780057 337763685 /nfs/dbraw/zinc/76/36/85/337763685.db2.gz BCZOKEXADXPUAS-UHFFFAOYSA-N 0 3 317.404 4.176 20 0 DIADHN Cc1[nH]c2ccc(F)cc2c1CCNCc1ncc(Cl)s1 ZINC000527796945 337764677 /nfs/dbraw/zinc/76/46/77/337764677.db2.gz BQCJJEFYLJKCBA-UHFFFAOYSA-N 0 3 323.824 4.058 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)cc(Cl)c2)C2CC2)cn1 ZINC000527842548 337768018 /nfs/dbraw/zinc/76/80/18/337768018.db2.gz PQHRVRHAXKOLEK-GOSISDBHSA-N 0 3 316.832 4.293 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(Cl)cc2C)C2CC2)cn1 ZINC000527842076 337768120 /nfs/dbraw/zinc/76/81/20/337768120.db2.gz UWXPTCNRDBBVDC-SFHVURJKSA-N 0 3 316.832 4.293 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2cccnc2-n2cccn2)c1 ZINC000527844932 337768336 /nfs/dbraw/zinc/76/83/36/337768336.db2.gz BHNSEOANXMPVEI-MRXNPFEDSA-N 0 3 320.440 4.242 20 0 DIADHN COCc1ccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527846121 337768376 /nfs/dbraw/zinc/76/83/76/337768376.db2.gz KJQKDEQUKYFUGN-SFHVURJKSA-N 0 3 319.807 4.403 20 0 DIADHN Cc1cccc([C@H](NCc2ccc3cnn(C)c3c2)C(C)C)c1 ZINC000527899793 337772565 /nfs/dbraw/zinc/77/25/65/337772565.db2.gz FVLFWMUTJXKYHG-HXUWFJFHSA-N 0 3 307.441 4.369 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2ccc(C)n(C)c2=O)cc1 ZINC000527899407 337772678 /nfs/dbraw/zinc/77/26/78/337772678.db2.gz VJMHGDMADASCDS-GDBMZVCRSA-N 0 3 312.457 4.058 20 0 DIADHN FC(F)(F)[C@@H](CN[C@H]1CCCCc2ccccc21)n1cccn1 ZINC000527939439 337773215 /nfs/dbraw/zinc/77/32/15/337773215.db2.gz OZYIGIQPKIZBPT-JKSUJKDBSA-N 0 3 323.362 4.044 20 0 DIADHN Fc1ccc([C@H](NCC2CC=CC2)c2cccnc2)c(F)c1 ZINC000527947658 337773782 /nfs/dbraw/zinc/77/37/82/337773782.db2.gz JVRKVLOCZLOCFM-GOSISDBHSA-N 0 3 300.352 4.005 20 0 DIADHN C[C@@H](NCCC1CC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527913608 337774456 /nfs/dbraw/zinc/77/44/56/337774456.db2.gz KSIAJBJLSLXDHR-CYBMUJFWSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](n2cccn2)C(F)(F)F)c(C)s1 ZINC000527932201 337776540 /nfs/dbraw/zinc/77/65/40/337776540.db2.gz LAVGCANWANQHRC-ZWNOBZJWSA-N 0 3 317.380 4.016 20 0 DIADHN Cn1cc2ccc(CN[C@H]3CCc4ccc(Cl)cc43)cc2n1 ZINC000527967211 337781037 /nfs/dbraw/zinc/78/10/37/337781037.db2.gz XICJIMDGBNADFM-KRWDZBQOSA-N 0 3 311.816 4.004 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1ccc2cn(C)nc2c1 ZINC000527968463 337781124 /nfs/dbraw/zinc/78/11/24/337781124.db2.gz ZUTOUJWKOVFBMD-XCLFUZPHSA-N 0 3 323.415 4.126 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](c1ccccn1)C1CCC1 ZINC000527970268 337781406 /nfs/dbraw/zinc/78/14/06/337781406.db2.gz SUEJPMUAWBXYAW-PXNSSMCTSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@@H]1CCN1C1CCCC1 ZINC000528011971 337783573 /nfs/dbraw/zinc/78/35/73/337783573.db2.gz NDFVIAWYPRXEIB-CJNGLKHVSA-N 0 3 321.534 4.242 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccc(C)s2)C2CC2)cn1 ZINC000528041353 337785274 /nfs/dbraw/zinc/78/52/74/337785274.db2.gz BIBNBJHLXULNQV-SJCJKPOMSA-N 0 3 302.443 4.262 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccc(F)c(F)c2)C2CC2)cn1 ZINC000528043657 337785547 /nfs/dbraw/zinc/78/55/47/337785547.db2.gz PCALHQYOIJPEPB-ADLMAVQZSA-N 0 3 318.367 4.170 20 0 DIADHN CC[C@@H](NCC(C)(C)c1c(F)cccc1Cl)c1cnn(C)c1 ZINC000536875162 337787963 /nfs/dbraw/zinc/78/79/63/337787963.db2.gz HXUDUKMNWFCDBG-OAHLLOKOSA-N 0 3 323.843 4.231 20 0 DIADHN Cc1cc(F)c(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c(F)c1 ZINC000424178343 337799318 /nfs/dbraw/zinc/79/93/18/337799318.db2.gz RBNAGRSDFRLXPX-BEFAXECRSA-N 0 3 317.379 4.283 20 0 DIADHN FC(F)Oc1cccc(CNCc2cccc3[nH]ccc32)c1 ZINC000105477635 337808369 /nfs/dbraw/zinc/80/83/69/337808369.db2.gz BRZXOIKFICHEPX-UHFFFAOYSA-N 0 3 302.324 4.059 20 0 DIADHN Cc1cc(C)c(CN[C@@H](Cc2ccncc2)c2cccs2)cn1 ZINC000424277425 337814374 /nfs/dbraw/zinc/81/43/74/337814374.db2.gz AIHVNTWKKKHVRB-SFHVURJKSA-N 0 3 323.465 4.229 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCOC3(CCC3)C2)c(Cl)c1 ZINC000424278044 337814540 /nfs/dbraw/zinc/81/45/40/337814540.db2.gz CRNUXJOLMDLWKR-STQMWFEESA-N 0 3 309.837 4.101 20 0 DIADHN CSC[C@H](N[C@@H]1CC12CC2)c1ccc(C(F)(F)F)cc1 ZINC000424321279 337820291 /nfs/dbraw/zinc/82/02/91/337820291.db2.gz KDEPTPIVRQXPEH-QWHCGFSZSA-N 0 3 301.377 4.252 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@@H](C)N[C@H]1CC12CC2 ZINC000424326271 337821272 /nfs/dbraw/zinc/82/12/72/337821272.db2.gz OSMMTJZOEWTAQK-YGRLFVJLSA-N 0 3 302.418 4.461 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ccc(F)cn1)C(=O)OC(C)(C)C ZINC000424332220 337822356 /nfs/dbraw/zinc/82/23/56/337822356.db2.gz UTHCISUIEUOMET-CYBMUJFWSA-N 0 3 324.440 4.019 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCCC[C@H]2CCC(C)C)c1 ZINC000424437785 337833191 /nfs/dbraw/zinc/83/31/91/337833191.db2.gz ONGKYSLBDJOXPO-SFHVURJKSA-N 0 3 317.477 4.276 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC[C@H]2c2ccccc2C)c1 ZINC000424443826 337833527 /nfs/dbraw/zinc/83/35/27/337833527.db2.gz LLAPBAFPEOWHIV-IBGZPJMESA-N 0 3 323.440 4.131 20 0 DIADHN Cc1ncccc1CN1CCC(c2c[nH]c3ccc(F)cc32)CC1 ZINC000580499228 337835303 /nfs/dbraw/zinc/83/53/03/337835303.db2.gz STPWOHYSVCCPIO-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN C[C@H](C(=O)N[C@@H](C)c1ccc2ccccc2c1)N1C2CCC1CC2 ZINC000533237286 337836803 /nfs/dbraw/zinc/83/68/03/337836803.db2.gz KNGQVYWGERBLCI-RETIVCARSA-N 0 3 322.452 4.032 20 0 DIADHN CSc1cc(C)ccc1CN[C@H](C)c1ccc2c(c1)OCO2 ZINC000119291047 337856178 /nfs/dbraw/zinc/85/61/78/337856178.db2.gz WKCUDIBHVBGPHI-CYBMUJFWSA-N 0 3 315.438 4.296 20 0 DIADHN CCc1ccc([C@@H](NCc2cccnc2N(C)C)C(C)C)cc1 ZINC000536962362 337858524 /nfs/dbraw/zinc/85/85/24/337858524.db2.gz MJUBRIGOOPLRQE-IBGZPJMESA-N 0 3 311.473 4.197 20 0 DIADHN CCCOc1ccc(CN[C@H](c2ccc(F)cc2)C2CC2)cn1 ZINC000536998667 337860875 /nfs/dbraw/zinc/86/08/75/337860875.db2.gz FAISFNHQBPTSDL-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN CO[C@@H](CN[C@@H](c1cccnc1)C(C)C)c1ccc(Cl)cc1 ZINC000537013251 337863144 /nfs/dbraw/zinc/86/31/44/337863144.db2.gz WWNKUMFGDXXPLC-ZWKOTPCHSA-N 0 3 318.848 4.409 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(-n3cccn3)cc2)c(C)c1 ZINC000119656074 337863709 /nfs/dbraw/zinc/86/37/09/337863709.db2.gz PJLVNISLORXOCS-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CN(C)Cc3ccccc32)C2CC2)ccc1F ZINC000537012351 337864407 /nfs/dbraw/zinc/86/44/07/337864407.db2.gz MRNZDLOAUWSACJ-NHCUHLMSSA-N 0 3 324.443 4.362 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN[C@@H]1CCCCC1(C)C ZINC000119932175 337866464 /nfs/dbraw/zinc/86/64/64/337866464.db2.gz CYERWQAPMAWKLQ-CQSZACIVSA-N 0 3 308.853 4.145 20 0 DIADHN COC[C@@H](N[C@@H]1CCCc2cc(OC)ccc21)c1ccccc1 ZINC000089668069 337872314 /nfs/dbraw/zinc/87/23/14/337872314.db2.gz NPJBCMQVABKSOP-WOJBJXKFSA-N 0 3 311.425 4.050 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@@H]1CCC[C@H](F)C1 ZINC000424582095 337873342 /nfs/dbraw/zinc/87/33/42/337873342.db2.gz ILCWXWBOYGEKCB-SJORKVTESA-N 0 3 318.436 4.139 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2cnc(C(C)(C)C)s2)CCO1 ZINC000538517170 337883326 /nfs/dbraw/zinc/88/33/26/337883326.db2.gz LGTNRUVDHJVUMY-UONOGXRCSA-N 0 3 310.507 4.124 20 0 DIADHN COc1cc([C@@H](C)NCCSC)ccc1OC1CCCC1 ZINC000537053430 337884576 /nfs/dbraw/zinc/88/45/76/337884576.db2.gz PFWZGEMEIMIEHM-CYBMUJFWSA-N 0 3 309.475 4.030 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(-n2ccnn2)cc1)c1ccccc1 ZINC000090859980 337886185 /nfs/dbraw/zinc/88/61/85/337886185.db2.gz VGDUTBHUFKCZEK-UZLBHIALSA-N 0 3 320.440 4.459 20 0 DIADHN COC[C@@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)C(C)C ZINC000090969033 337887577 /nfs/dbraw/zinc/88/75/77/337887577.db2.gz WLKGOPVVVLLFIY-UONOGXRCSA-N 0 3 307.331 4.080 20 0 DIADHN FC(F)(F)CCN[C@@H](COc1ccccc1)c1ccccc1 ZINC000537116057 337891258 /nfs/dbraw/zinc/89/12/58/337891258.db2.gz GDVIWCZKWOLEMR-INIZCTEOSA-N 0 3 309.331 4.349 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C(C)C)N2CCCCC2)c1C ZINC000537173419 337906515 /nfs/dbraw/zinc/90/65/15/337906515.db2.gz RXAJXOFWJWAKAQ-KRWDZBQOSA-N 0 3 320.502 4.166 20 0 DIADHN C[C@@]1(NCc2cc(Cl)cc(Cl)c2)CCO[C@H]1C1CC1 ZINC000541383706 337908456 /nfs/dbraw/zinc/90/84/56/337908456.db2.gz LXHBTUJGGQELMN-LSDHHAIUSA-N 0 3 300.229 4.041 20 0 DIADHN C[C@H](N[C@H]1CN(C2CC2)C[C@@H]1C)c1cc2cc(Cl)ccc2o1 ZINC000537294791 337929056 /nfs/dbraw/zinc/92/90/56/337929056.db2.gz FXWFGVWCYSMUBN-MKBNYLNASA-N 0 3 318.848 4.220 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1N[C@@H](CC1CCC1)c1ccccc1 ZINC000537296884 337929190 /nfs/dbraw/zinc/92/91/90/337929190.db2.gz ZIWSKCCICMIGDG-PCCBWWKXSA-N 0 3 307.437 4.166 20 0 DIADHN C[C@H](N[C@@H]1CCOC2(CCCCC2)C1)c1cccc(F)c1F ZINC000537357210 337932380 /nfs/dbraw/zinc/93/23/80/337932380.db2.gz KKTCZBVGNNNCSU-UONOGXRCSA-N 0 3 309.400 4.497 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cccc2[nH]ccc21 ZINC000537385305 337934518 /nfs/dbraw/zinc/93/45/18/337934518.db2.gz MTUOUPPNIUZNJP-ORAYPTAESA-N 0 3 312.388 4.173 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cccc2[nH]ccc21 ZINC000537385303 337934592 /nfs/dbraw/zinc/93/45/92/337934592.db2.gz MTUOUPPNIUZNJP-DJJJIMSYSA-N 0 3 312.388 4.173 20 0 DIADHN CCOc1ccc([C@@H](C)NC2(c3ccc(OC)cc3)CC2)cc1 ZINC000537422357 337935731 /nfs/dbraw/zinc/93/57/31/337935731.db2.gz GDVCZNQNDBNHOM-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1csc(C2(N[C@@H](C)Cc3ccc(F)cc3)CCC2)n1 ZINC000537430738 337936003 /nfs/dbraw/zinc/93/60/03/337936003.db2.gz MZVIHNZPEDDZTM-LBPRGKRZSA-N 0 3 304.434 4.191 20 0 DIADHN Cc1cscc1N[C@@H](C)c1cccc(OCCN(C)C)c1 ZINC000537448279 337936635 /nfs/dbraw/zinc/93/66/35/337936635.db2.gz PGWDKZIDHOWNFX-AWEZNQCLSA-N 0 3 304.459 4.170 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000537476702 337938764 /nfs/dbraw/zinc/93/87/64/337938764.db2.gz FEULOIMBOIYIKB-RBSFLKMASA-N 0 3 322.518 4.266 20 0 DIADHN Cc1ccsc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC000537616216 337951622 /nfs/dbraw/zinc/95/16/22/337951622.db2.gz JDNNUWKMHGIZRI-UHFFFAOYSA-N 0 3 300.471 4.441 20 0 DIADHN COc1ccc(CN2CCC(Nc3cccc(C)c3)CC2)cc1C ZINC000537618789 337952392 /nfs/dbraw/zinc/95/23/92/337952392.db2.gz LMRSHZGSRUZSRF-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN CCCC[C@@H](CC)CCN[C@H](C)c1nnc2n1CCCCC2 ZINC000537746036 337960435 /nfs/dbraw/zinc/96/04/35/337960435.db2.gz BHGGNCWTOWCRLK-HZPDHXFCSA-N 0 3 306.498 4.262 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2F)CCO1 ZINC000537863348 337963749 /nfs/dbraw/zinc/96/37/49/337963749.db2.gz GNWHMUHPYFNLOM-HIFRSBDPSA-N 0 3 319.342 4.138 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000514859565 337972518 /nfs/dbraw/zinc/97/25/18/337972518.db2.gz XGLSQZBKQLRVFS-HZPDHXFCSA-N 0 3 302.462 4.403 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccccc1N(C)C ZINC000514894579 337973511 /nfs/dbraw/zinc/97/35/11/337973511.db2.gz QJCBUWIXDBFAQM-CDXJDZJCSA-N 0 3 324.468 4.324 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1ccc(C(F)(F)F)c(C)c1 ZINC000514921194 337974147 /nfs/dbraw/zinc/97/41/47/337974147.db2.gz LHZKCBPMSXKJOY-QGZVFWFLSA-N 0 3 323.358 4.145 20 0 DIADHN C[C@@H]1CSC[C@@H]1N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000514910827 337974545 /nfs/dbraw/zinc/97/45/45/337974545.db2.gz CJCGMFDUWGHDID-WPGHFRTFSA-N 0 3 307.459 4.318 20 0 DIADHN NC[C@H](N[C@@H]1CCCc2occc21)c1ccc2ccccc2c1 ZINC000515053431 337977703 /nfs/dbraw/zinc/97/77/03/337977703.db2.gz AVBVSXFGTBAOGP-MOPGFXCFSA-N 0 3 306.409 4.100 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(F)c(F)c2)C12CCCC2 ZINC000315383645 337985937 /nfs/dbraw/zinc/98/59/37/337985937.db2.gz NAZYNKBVFVWYEB-OAUYIBNBSA-N 0 3 309.400 4.353 20 0 DIADHN CC(C)CCC(=O)NC[C@H](c1ccccc1)N1CCC(C)CC1 ZINC000515978997 338001835 /nfs/dbraw/zinc/00/18/35/338001835.db2.gz YHOJBGHMZYYWOT-LJQANCHMSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@H]1CC(NCc2csc(-c3ccccn3)n2)C[C@H](C)C1 ZINC000084461669 338002538 /nfs/dbraw/zinc/00/25/38/338002538.db2.gz PUDJATIRPOSHPP-CHWSQXEVSA-N 0 3 301.459 4.119 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000516267955 338009214 /nfs/dbraw/zinc/00/92/14/338009214.db2.gz RHQUSBKSQSIYFM-WRWLIDTKSA-N 0 3 319.452 4.441 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000516267953 338009249 /nfs/dbraw/zinc/00/92/49/338009249.db2.gz RHQUSBKSQSIYFM-OXFYSEKESA-N 0 3 319.452 4.441 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccco1)C1(c2ccccc2)CCCC1 ZINC000516508236 338014059 /nfs/dbraw/zinc/01/40/59/338014059.db2.gz CPYXUIVTWHSINJ-WMZOPIPTSA-N 0 3 313.441 4.193 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1ccc(Br)cc1F ZINC000425390462 338015703 /nfs/dbraw/zinc/01/57/03/338015703.db2.gz YNBMKLCRAFIWKD-AQUOVQTQSA-N 0 3 318.255 4.133 20 0 DIADHN CC(C)OCCN[C@@H](Cc1cccnc1)c1cccc(Cl)c1 ZINC000426021038 338019614 /nfs/dbraw/zinc/01/96/14/338019614.db2.gz YCLQFTWDIIHOHL-SFHVURJKSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1ccc2cc([C@H](C)NCCC(=O)OC(C)(C)C)oc2c1 ZINC000426031800 338019817 /nfs/dbraw/zinc/01/98/17/338019817.db2.gz UQUQBOUQBPNIOA-ZDUSSCGKSA-N 0 3 303.402 4.124 20 0 DIADHN CCc1ccc(C2=CCN(C/C=C\c3ccncc3)CC2)cc1 ZINC000426149963 338021345 /nfs/dbraw/zinc/02/13/45/338021345.db2.gz CVRBJSDZYWPAIF-ARJAWSKDSA-N 0 3 304.437 4.447 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NC/C=C\c1ccncc1 ZINC000426160311 338021682 /nfs/dbraw/zinc/02/16/82/338021682.db2.gz KEUCMQHGPVZFQY-GSNRHRRFSA-N 0 3 316.832 4.114 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)C(C)(C)c2ccccc2)o1 ZINC000426297570 338025351 /nfs/dbraw/zinc/02/53/51/338025351.db2.gz BITABMICAPQESI-HNNXBMFYSA-N 0 3 300.446 4.391 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)[C@H]1OCCc2sccc21 ZINC000426310353 338026227 /nfs/dbraw/zinc/02/62/27/338026227.db2.gz NRPIXTSCHOAJKV-LIXBPZJASA-N 0 3 317.429 4.167 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1cccc(F)c1F ZINC000426315740 338026607 /nfs/dbraw/zinc/02/66/07/338026607.db2.gz XWQJHQDCKXPEQQ-STQMWFEESA-N 0 3 320.358 4.033 20 0 DIADHN CC[C@@H](NCCc1nnc(C(C)C)s1)c1cccc(F)c1 ZINC000426315832 338026680 /nfs/dbraw/zinc/02/66/80/338026680.db2.gz YNPDCAGGBCTGES-CQSZACIVSA-N 0 3 307.438 4.084 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3ccccc3n(C)c2=O)cc1C ZINC000426322680 338026890 /nfs/dbraw/zinc/02/68/90/338026890.db2.gz JYGRJVRTFHEPDA-INIZCTEOSA-N 0 3 320.436 4.006 20 0 DIADHN Cc1cccc([C@H](C)NCc2cc3ccccc3n(C)c2=O)c1C ZINC000426323248 338026908 /nfs/dbraw/zinc/02/69/08/338026908.db2.gz MCUMWYJRNFTFHL-INIZCTEOSA-N 0 3 320.436 4.006 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2c(F)cccc2F)o1 ZINC000426328188 338027201 /nfs/dbraw/zinc/02/72/01/338027201.db2.gz BBENMMCDRTVDLO-LBPRGKRZSA-N 0 3 322.399 4.325 20 0 DIADHN CCc1nc(C)c(CN[C@@H](C)CCc2ccc(Cl)s2)o1 ZINC000426331680 338027305 /nfs/dbraw/zinc/02/73/05/338027305.db2.gz ANMGKFVJJUIFND-JTQLQIEISA-N 0 3 312.866 4.371 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)[C@@H]1OCCc2sccc21 ZINC000426347448 338028356 /nfs/dbraw/zinc/02/83/56/338028356.db2.gz URRMLOIZXMEQIW-KHIZAZIESA-N 0 3 319.495 4.479 20 0 DIADHN Cc1nc2ccc(CN(C)C[C@H](C)c3nccs3)cc2s1 ZINC000426365246 338028969 /nfs/dbraw/zinc/02/89/69/338028969.db2.gz KPZPAZNODSKWGF-NSHDSACASA-N 0 3 317.483 4.297 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1ccc(N2CCCC2)nc1 ZINC000426375310 338029449 /nfs/dbraw/zinc/02/94/49/338029449.db2.gz BSNLEKNHRDLCNF-JXAWBTAJSA-N 0 3 321.468 4.217 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(-c3ccsc3)s2)CCS1 ZINC000426375340 338029454 /nfs/dbraw/zinc/02/94/54/338029454.db2.gz BZVJDWBRKGFQNZ-LLVKDONJSA-N 0 3 310.513 4.199 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)Cc1cccc2[nH]ccc21 ZINC000426384533 338030008 /nfs/dbraw/zinc/03/00/08/338030008.db2.gz HQBFLPIUGLLOQC-UHFFFAOYSA-N 0 3 324.472 4.368 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1c[nH]nc1-c1cccnc1 ZINC000426392884 338030246 /nfs/dbraw/zinc/03/02/46/338030246.db2.gz BGGHHLSIRDNBIA-LFIBNONCSA-N 0 3 318.424 4.007 20 0 DIADHN COCC(C)(C)CN(Cc1ccco1)Cc1ccc(C)s1 ZINC000426405668 338030918 /nfs/dbraw/zinc/03/09/18/338030918.db2.gz MTEFWJCMSPMKFZ-UHFFFAOYSA-N 0 3 307.459 4.324 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc3nc(C)sc3c2)s1 ZINC000426414782 338031221 /nfs/dbraw/zinc/03/12/21/338031221.db2.gz BIDCFJAIHBLXGU-UHFFFAOYSA-N 0 3 317.483 4.044 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2ccccc2Cl)cc1O ZINC000426413716 338031230 /nfs/dbraw/zinc/03/12/30/338031230.db2.gz YBISPJDICDEYRC-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN Cc1nc2ccc(CN3CCC(OC(C)(C)C)CC3)cc2s1 ZINC000426420677 338031745 /nfs/dbraw/zinc/03/17/45/338031745.db2.gz NETQREGVOPZPQL-UHFFFAOYSA-N 0 3 318.486 4.384 20 0 DIADHN Clc1cccc(-c2cnc(CN3CC[C@H]4CCC[C@H]43)o2)c1 ZINC000426464063 338032806 /nfs/dbraw/zinc/03/28/06/338032806.db2.gz ZVFFRKBGVPSDID-IUODEOHRSA-N 0 3 302.805 4.369 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@@H]3CCC[C@H]32)cs1 ZINC000426463385 338032895 /nfs/dbraw/zinc/03/28/95/338032895.db2.gz VYIORDHYGQALFA-XJKSGUPXSA-N 0 3 314.454 4.193 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H]4CCC[C@@H]43)cs2)cc1 ZINC000426458581 338032923 /nfs/dbraw/zinc/03/29/23/338032923.db2.gz IGVAJJVZPYDLHO-DYVFJYSZSA-N 0 3 314.454 4.193 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@H]4CCC[C@@H]43)co2)cc1 ZINC000426471194 338033241 /nfs/dbraw/zinc/03/32/41/338033241.db2.gz MDAOZJPEILSJKV-KDOFPFPSSA-N 0 3 312.413 4.115 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@@H]4CCC[C@H]43)cs2)c1 ZINC000426471236 338033270 /nfs/dbraw/zinc/03/32/70/338033270.db2.gz VUJOFGSPVOZESY-SUMWQHHRSA-N 0 3 314.454 4.193 20 0 DIADHN COc1sc(CN(C)C2(C)CCCC2)cc1Br ZINC000426532107 338034292 /nfs/dbraw/zinc/03/42/92/338034292.db2.gz USFXYSPDTSQMJX-UHFFFAOYSA-N 0 3 318.280 4.284 20 0 DIADHN C[C@@H](NCCCC1CCOCC1)c1nc(C(F)(F)F)cs1 ZINC000426543679 338034374 /nfs/dbraw/zinc/03/43/74/338034374.db2.gz YIPLKWGANMMUIX-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN COC[C@H](N[C@@H]1CS[C@H](C(C)C)C1)c1ccc(Cl)cc1 ZINC000426557021 338034588 /nfs/dbraw/zinc/03/45/88/338034588.db2.gz DFGUYVXDZKFPBP-JYJNAYRXSA-N 0 3 313.894 4.147 20 0 DIADHN Cc1ccc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)cs2)o1 ZINC000426765302 338041436 /nfs/dbraw/zinc/04/14/36/338041436.db2.gz MCCYUPZNSUWDGV-ZIAGYGMSSA-N 0 3 302.443 4.334 20 0 DIADHN CCCN(Cc1oc(C(C)C)nc1C)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000428218655 338042681 /nfs/dbraw/zinc/04/26/81/338042681.db2.gz WJWHQORNHYCXCS-USXIJHARSA-N 0 3 320.477 4.132 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C(C)C)[nH]1 ZINC000428227943 338043084 /nfs/dbraw/zinc/04/30/84/338043084.db2.gz YLTHBTZEDHWNTQ-IAGOWNOFSA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227943 338043085 /nfs/dbraw/zinc/04/30/85/338043085.db2.gz YLTHBTZEDHWNTQ-IAGOWNOFSA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227943 338043086 /nfs/dbraw/zinc/04/30/86/338043086.db2.gz YLTHBTZEDHWNTQ-IAGOWNOFSA-N 0 3 312.461 4.086 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@]3(CCCc4ccccc43)C2)cn1 ZINC000428248766 338044251 /nfs/dbraw/zinc/04/42/51/338044251.db2.gz WFVXKDKVEJORCX-DYESRHJHSA-N 0 3 321.468 4.216 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2NCCCC1CCCCC1 ZINC000428283708 338045463 /nfs/dbraw/zinc/04/54/63/338045463.db2.gz BYZHNGWPHNLZNL-MRXNPFEDSA-N 0 3 304.482 4.187 20 0 DIADHN CCN(CCc1nccs1)C[C@@H](OC(C)C)c1ccccc1 ZINC000428307848 338046449 /nfs/dbraw/zinc/04/64/49/338046449.db2.gz WVKOLDGDNNDOPQ-QGZVFWFLSA-N 0 3 318.486 4.174 20 0 DIADHN CC(C)O[C@H](CN(Cc1ccncc1)C1CC1)c1ccccc1 ZINC000428312845 338046859 /nfs/dbraw/zinc/04/68/59/338046859.db2.gz XNUWXPSDGMWHSN-HXUWFJFHSA-N 0 3 310.441 4.212 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@@H](c3ccc(F)cc3)CC2)cn1 ZINC000428319242 338047100 /nfs/dbraw/zinc/04/71/00/338047100.db2.gz ONXCVWMIZXVBSG-JKSUJKDBSA-N 0 3 313.420 4.255 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@H](c3ccc(F)cc3)CC2)cn1 ZINC000428319239 338047143 /nfs/dbraw/zinc/04/71/43/338047143.db2.gz ONXCVWMIZXVBSG-CVEARBPZSA-N 0 3 313.420 4.255 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@@H](c3ccc(F)cc3)CC2)cn1 ZINC000428319241 338047162 /nfs/dbraw/zinc/04/71/62/338047162.db2.gz ONXCVWMIZXVBSG-HZPDHXFCSA-N 0 3 313.420 4.255 20 0 DIADHN CCC(CC)[C@H](NCc1n[nH]c(C(C)C)n1)c1cccs1 ZINC000428323379 338047201 /nfs/dbraw/zinc/04/72/01/338047201.db2.gz WMUBPDPUPRLXSY-HNNXBMFYSA-N 0 3 306.479 4.257 20 0 DIADHN CNCc1cccc(NC(=O)C[C@H](c2ccccc2)C(C)C)c1 ZINC000428331024 338047710 /nfs/dbraw/zinc/04/77/10/338047710.db2.gz OFPQMVJLGYIEAY-IBGZPJMESA-N 0 3 310.441 4.174 20 0 DIADHN CCCc1nc(C)c(CN2CCC(c3ccc(O)cc3)CC2)o1 ZINC000428359215 338048613 /nfs/dbraw/zinc/04/86/13/338048613.db2.gz ADNCOZSHKNBIPX-UHFFFAOYSA-N 0 3 314.429 4.021 20 0 DIADHN CC(C)O[C@@H](CN1CCC[C@H](OCC2CC2)C1)c1ccccc1 ZINC000428433854 338051185 /nfs/dbraw/zinc/05/11/85/338051185.db2.gz FAAXOIUUBLGKAT-PMACEKPBSA-N 0 3 317.473 4.044 20 0 DIADHN CCCN(Cc1n[nH]c(C(C)C)n1)[C@@H](CC)c1ccccc1 ZINC000428468215 338053849 /nfs/dbraw/zinc/05/38/49/338053849.db2.gz NFTKVEIKUOMZKY-INIZCTEOSA-N 0 3 300.450 4.291 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)C(C)(C)CO)cc1C ZINC000428469067 338053946 /nfs/dbraw/zinc/05/39/46/338053946.db2.gz RUYQRYPBONHBLZ-HXUWFJFHSA-N 0 3 311.469 4.461 20 0 DIADHN CC(C)O[C@@H](CN1CCC[C@H](C(F)(F)F)C1)c1ccccc1 ZINC000428471755 338054296 /nfs/dbraw/zinc/05/42/96/338054296.db2.gz YVGXUWATNYCGEU-HOTGVXAUSA-N 0 3 315.379 4.427 20 0 DIADHN CCCc1nc(C)c(CN2CCC(Cc3ccccc3)CC2)o1 ZINC000428474963 338054443 /nfs/dbraw/zinc/05/44/43/338054443.db2.gz UVNWZRKKPHFUTK-UHFFFAOYSA-N 0 3 312.457 4.390 20 0 DIADHN C[C@](O)(CNCc1ccc(C(F)(F)F)s1)C1CCCCC1 ZINC000428527797 338055514 /nfs/dbraw/zinc/05/55/14/338055514.db2.gz BSZMLDZQQYTYTH-AWEZNQCLSA-N 0 3 321.408 4.188 20 0 DIADHN Cn1c2ccc(C(F)(F)F)cc2nc1CN[C@H]1CC12CCCC2 ZINC000428546096 338055906 /nfs/dbraw/zinc/05/59/06/338055906.db2.gz LKSHLAZMGZTLJI-AWEZNQCLSA-N 0 3 323.362 4.014 20 0 DIADHN CCOc1cccc(CN[C@H]2CC23CCCC3)c1OC(F)F ZINC000428548161 338055977 /nfs/dbraw/zinc/05/59/77/338055977.db2.gz OESNRLGYDPQKEW-AWEZNQCLSA-N 0 3 311.372 4.109 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000428636227 338057485 /nfs/dbraw/zinc/05/74/85/338057485.db2.gz JHBACBDLLLWYBG-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN CCOC1(C)CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)CC1 ZINC000428708246 338058985 /nfs/dbraw/zinc/05/89/85/338058985.db2.gz JJNPNEVWAICIRD-UHFFFAOYSA-N 0 3 317.473 4.205 20 0 DIADHN C[C@]12CCN(CCn3ccc4cc(Cl)ccc43)C[C@H]1C2(F)F ZINC000428706992 338059036 /nfs/dbraw/zinc/05/90/36/338059036.db2.gz FLVOLPRBHQLOBZ-CVEARBPZSA-N 0 3 324.802 4.272 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2ccc(C)c(C)c2)CC1 ZINC000428714247 338059185 /nfs/dbraw/zinc/05/91/85/338059185.db2.gz YWIIZBCHXQCIDL-UHFFFAOYSA-N 0 3 312.457 4.363 20 0 DIADHN C[C@@]12CCN(CCOc3ccc4ccccc4c3)C[C@@H]1C2(F)F ZINC000428714440 338059256 /nfs/dbraw/zinc/05/92/56/338059256.db2.gz VMHDKJGABNFWPT-ZWKOTPCHSA-N 0 3 317.379 4.196 20 0 DIADHN Cc1nc(CCN2CCC(c3ccc(F)cc3C)CC2)cs1 ZINC000428749455 338060463 /nfs/dbraw/zinc/06/04/63/338060463.db2.gz IELPBIJRZBPYNN-UHFFFAOYSA-N 0 3 318.461 4.321 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2nc3ccc(F)cc3s2)C1(C)C ZINC000428846706 338062066 /nfs/dbraw/zinc/06/20/66/338062066.db2.gz CTSCJXINOWKHAJ-LSDHHAIUSA-N 0 3 322.449 4.117 20 0 DIADHN C[C@H](Cc1cccs1)NCc1nc2ccc(F)cc2s1 ZINC000428850968 338062138 /nfs/dbraw/zinc/06/21/38/338062138.db2.gz PSYUKSZVBGJXPB-SNVBAGLBSA-N 0 3 306.431 4.218 20 0 DIADHN Fc1ccc2nc(CNCCCc3cccc(F)c3)sc2c1 ZINC000428856138 338062486 /nfs/dbraw/zinc/06/24/86/338062486.db2.gz KOKGOYKASWXVTA-UHFFFAOYSA-N 0 3 318.392 4.297 20 0 DIADHN Fc1ccc2nc(CNCCc3cc(F)cc(F)c3)sc2c1 ZINC000428860797 338062865 /nfs/dbraw/zinc/06/28/65/338062865.db2.gz NPGPJMVEKMRENJ-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)(F)F)s2)cc1 ZINC000428880354 338064325 /nfs/dbraw/zinc/06/43/25/338064325.db2.gz JFZDCSMDGVEAQW-UHFFFAOYSA-N 0 3 301.333 4.065 20 0 DIADHN Fc1ccc2nc(CNCCc3ccc(Cl)cc3)sc2c1 ZINC000428885480 338064535 /nfs/dbraw/zinc/06/45/35/338064535.db2.gz GIZWWUKSGJBLIH-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Cc1cnc([C@@H](C)N2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cn1 ZINC000428948824 338066298 /nfs/dbraw/zinc/06/62/98/338066298.db2.gz VMGHUTITOGGHDL-OQIJWPOYSA-N 0 3 307.441 4.257 20 0 DIADHN CCc1nc(C)c(CN(Cc2ccc(OC)cc2)C(C)(C)C)o1 ZINC000428956225 338066517 /nfs/dbraw/zinc/06/65/17/338066517.db2.gz MXLRDGCTWSQEDC-UHFFFAOYSA-N 0 3 316.445 4.355 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@](C)(c2ccc(F)cc2)C1 ZINC000428973479 338067329 /nfs/dbraw/zinc/06/73/29/338067329.db2.gz YWBVSJICIGGHEG-IBGZPJMESA-N 0 3 316.420 4.409 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@@](C)(c2ccc(F)cc2)C1 ZINC000428973481 338067339 /nfs/dbraw/zinc/06/73/39/338067339.db2.gz YWBVSJICIGGHEG-LJQANCHMSA-N 0 3 316.420 4.409 20 0 DIADHN CC(C)N(CCC(=O)Nc1ccc(Cl)cc1)Cc1ccco1 ZINC000429044729 338069675 /nfs/dbraw/zinc/06/96/75/338069675.db2.gz DVGXQHQCRUMHNR-UHFFFAOYSA-N 0 3 320.820 4.172 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(C[C@H]3CCCCO3)C2)c1 ZINC000429111990 338070930 /nfs/dbraw/zinc/07/09/30/338070930.db2.gz ASDRNOHXXZOSPF-GDBMZVCRSA-N 0 3 311.878 4.076 20 0 DIADHN Clc1cccc(S[C@H]2CCN(C[C@H]3CCCCO3)C2)c1 ZINC000429111993 338070965 /nfs/dbraw/zinc/07/09/65/338070965.db2.gz ASDRNOHXXZOSPF-ZBFHGGJFSA-N 0 3 311.878 4.076 20 0 DIADHN Brc1cc(CN(C2CCC2)C2CCC2)cs1 ZINC000429130009 338071415 /nfs/dbraw/zinc/07/14/15/338071415.db2.gz GLKIJVYVOMCMMJ-UHFFFAOYSA-N 0 3 300.265 4.418 20 0 DIADHN CCC[C@H](NC(=O)CN(C1CCC1)C1CCC1)c1ccccc1 ZINC000429125586 338071466 /nfs/dbraw/zinc/07/14/66/338071466.db2.gz ZRDZPUZWIKVFCW-IBGZPJMESA-N 0 3 314.473 4.051 20 0 DIADHN Cc1ncccc1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429150191 338071801 /nfs/dbraw/zinc/07/18/01/338071801.db2.gz NJKGGAGDWSGWND-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1ccncc1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429149148 338071839 /nfs/dbraw/zinc/07/18/39/338071839.db2.gz DYJBEUOUWVRGAB-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN FC(F)n1c2ccccc2nc1CN(C1CCC1)C1CCC1 ZINC000429156134 338072034 /nfs/dbraw/zinc/07/20/34/338072034.db2.gz DVKFGNKWXADQQX-UHFFFAOYSA-N 0 3 305.372 4.338 20 0 DIADHN C[C@@H](N[C@H]1Cc2cccc(O)c2C1)c1ccc(F)c(Cl)c1 ZINC000429232276 338074034 /nfs/dbraw/zinc/07/40/34/338074034.db2.gz ATKDAYAXUCMIML-MFKMUULPSA-N 0 3 305.780 4.003 20 0 DIADHN C[C@H](N[C@@H]1Cc2cccc(O)c2C1)c1ccc(F)c(Cl)c1 ZINC000429232274 338074117 /nfs/dbraw/zinc/07/41/17/338074117.db2.gz ATKDAYAXUCMIML-GXFFZTMASA-N 0 3 305.780 4.003 20 0 DIADHN CSC[C@@H]1CCCN(Cc2c(C)cc(C)c(C(C)=O)c2C)C1 ZINC000429283929 338076420 /nfs/dbraw/zinc/07/64/20/338076420.db2.gz ISSMPGOXWQDECY-QGZVFWFLSA-N 0 3 319.514 4.389 20 0 DIADHN CSC[C@@H]1CCCN(Cc2c(Cl)ccc3cccnc32)C1 ZINC000429300390 338077022 /nfs/dbraw/zinc/07/70/22/338077022.db2.gz IFJOMAGYLZNRQS-CYBMUJFWSA-N 0 3 320.889 4.463 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1ncccc1C(F)(F)F ZINC000429314369 338077345 /nfs/dbraw/zinc/07/73/45/338077345.db2.gz WBCUIGNOCNKBJZ-SNVBAGLBSA-N 0 3 314.273 4.167 20 0 DIADHN COC1(C[C@H](C)NCc2ccc(-c3csc(C)n3)o2)CCC1 ZINC000429377499 338078993 /nfs/dbraw/zinc/07/89/93/338078993.db2.gz JFVTZIPYDXUCHU-LBPRGKRZSA-N 0 3 320.458 4.149 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000429428030 338080696 /nfs/dbraw/zinc/08/06/96/338080696.db2.gz OYGLSXJCPSNZNZ-BLLLJJGKSA-N 0 3 322.811 4.382 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CC23CCCC3)cnn1-c1ccc(F)cc1 ZINC000429435602 338080924 /nfs/dbraw/zinc/08/09/24/338080924.db2.gz ZFFKAMNYHXLQGW-ACJLOTCBSA-N 0 3 313.420 4.303 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NC1CC(F)(F)C1)CCCO2 ZINC000429444090 338081309 /nfs/dbraw/zinc/08/13/09/338081309.db2.gz ATUOMAINYDCEKC-ZDUSSCGKSA-N 0 3 301.764 4.249 20 0 DIADHN COc1cccc(C[C@@H](NC2CC(F)(F)C2)c2ccccc2)c1 ZINC000429456728 338081717 /nfs/dbraw/zinc/08/17/17/338081717.db2.gz XMGCFPMOISUFRL-GOSISDBHSA-N 0 3 317.379 4.366 20 0 DIADHN C[C@H]1C[C@@H](NC2CC(F)(F)C2)c2cccc(Br)c21 ZINC000429460253 338081768 /nfs/dbraw/zinc/08/17/68/338081768.db2.gz BOBFNBZRDMSWPZ-QPUJVOFHSA-N 0 3 316.189 4.385 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1ccc(C2CCCCC2)cc1 ZINC000429463553 338081964 /nfs/dbraw/zinc/08/19/64/338081964.db2.gz UIIYHJSYVXVJHF-GUYCJALGSA-N 0 3 311.416 4.401 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1ccc(-c2cccs2)cc1 ZINC000429466361 338082063 /nfs/dbraw/zinc/08/20/63/338082063.db2.gz YOSFBJJGFFNRGS-FZMZJTMJSA-N 0 3 311.397 4.082 20 0 DIADHN C[C@@H]1CC[C@H](N(C)Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000429562155 338084149 /nfs/dbraw/zinc/08/41/49/338084149.db2.gz YRNWTKNVVJTXJD-RISCZKNCSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H]1CC[C@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000429580010 338085067 /nfs/dbraw/zinc/08/50/67/338085067.db2.gz YVIYPBNBNFWYBM-GJZGRUSLSA-N 0 3 302.462 4.043 20 0 DIADHN C[C@H]1CC[C@@H](N(C)CC(=O)Nc2ccc(Cl)cc2Cl)C1 ZINC000429580158 338085094 /nfs/dbraw/zinc/08/50/94/338085094.db2.gz OFOHUBOPQRIAIN-CMPLNLGQSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@H](N(C)Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000429581499 338085111 /nfs/dbraw/zinc/08/51/11/338085111.db2.gz ZJXKACDBKSGKKC-PWSUYJOCSA-N 0 3 312.335 4.467 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCC(=O)Nc1ccc(Cl)cc1Cl ZINC000429771953 338089545 /nfs/dbraw/zinc/08/95/45/338089545.db2.gz HQOHFRVEVYBOOP-GWCFXTLKSA-N 0 3 315.244 4.100 20 0 DIADHN Fc1cc(Br)ccc1CNC[C@@H]1CCC(F)(F)C1 ZINC000430035462 338094090 /nfs/dbraw/zinc/09/40/90/338094090.db2.gz MNAHIARPJGKFRZ-SECBINFHSA-N 0 3 322.168 4.113 20 0 DIADHN COCCC1(CNCc2cc(Cl)ccc2OCC2CC2)CC1 ZINC000430038004 338094103 /nfs/dbraw/zinc/09/41/03/338094103.db2.gz APUUDZUHUCYILK-UHFFFAOYSA-N 0 3 323.864 4.035 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(N(C)c3ccccc3)CC2)c1 ZINC000430057976 338094596 /nfs/dbraw/zinc/09/45/96/338094596.db2.gz HSBLTWXZTNQUMJ-QGZVFWFLSA-N 0 3 324.468 4.357 20 0 DIADHN COc1cc(C)c(CN2CC3(CCC3)[C@@H]2C(C)C)cc1OC ZINC000430082488 338095226 /nfs/dbraw/zinc/09/52/26/338095226.db2.gz FGYIHVNZXUBFIQ-SFHVURJKSA-N 0 3 303.446 4.023 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(-c3ccccn3)s2)CC12CCC2 ZINC000430083112 338095251 /nfs/dbraw/zinc/09/52/51/338095251.db2.gz GIUGXBFYNAICFP-MRXNPFEDSA-N 0 3 313.470 4.216 20 0 DIADHN C[C@@]12CCN(Cc3cccc(-c4ccncc4)c3)C[C@@H]1C2(F)F ZINC000430097262 338096480 /nfs/dbraw/zinc/09/64/80/338096480.db2.gz HRPANNGVGBTAPR-ZWKOTPCHSA-N 0 3 314.379 4.226 20 0 DIADHN CCOC1(C)CCN(Cc2ccccc2OC2CCCC2)CC1 ZINC000430098277 338096500 /nfs/dbraw/zinc/09/65/00/338096500.db2.gz WGFJXYIEJDPGEW-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cn(C)nc2C2CCCCC2)C1 ZINC000430185217 338100021 /nfs/dbraw/zinc/10/00/21/338100021.db2.gz CYWHIQHHOUGHAW-OAHLLOKOSA-N 0 3 321.534 4.043 20 0 DIADHN CCCOc1cc(CN2CCC[C@H](CSC)C2)ccc1OC ZINC000430196219 338100696 /nfs/dbraw/zinc/10/06/96/338100696.db2.gz LSVDITWGYSPZSU-INIZCTEOSA-N 0 3 323.502 4.059 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccc(C(=O)Nc3ccccc3)cc2)C1 ZINC000430258093 338103322 /nfs/dbraw/zinc/10/33/22/338103322.db2.gz BJEVQMQNPNHYBY-MRXNPFEDSA-N 0 3 320.436 4.337 20 0 DIADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000430725674 338112358 /nfs/dbraw/zinc/11/23/58/338112358.db2.gz RNSPNYNTWSKDPJ-SFYZADRCSA-N 0 3 314.323 4.248 20 0 DIADHN Cn1cccc1[C@H]1CCCN1CCSCc1ccccc1 ZINC000430725588 338112361 /nfs/dbraw/zinc/11/23/61/338112361.db2.gz YBENNADAGAJHCU-GOSISDBHSA-N 0 3 300.471 4.095 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000430725672 338112442 /nfs/dbraw/zinc/11/24/42/338112442.db2.gz RNSPNYNTWSKDPJ-HTQZYQBOSA-N 0 3 314.323 4.248 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCC(F)(F)C2)c2ccccn2)cc1 ZINC000430748084 338113350 /nfs/dbraw/zinc/11/33/50/338113350.db2.gz BOJNMLJOMAWGFP-GUYCJALGSA-N 0 3 320.358 4.335 20 0 DIADHN CC[C@@H]1CC[C@@H](C)C[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000430750516 338113563 /nfs/dbraw/zinc/11/35/63/338113563.db2.gz OMSYVEPFWSRHRW-CKEIUWERSA-N 0 3 308.466 4.294 20 0 DIADHN Cn1cc([C@H](NCCSCc2ccccc2)C(C)(C)C)cn1 ZINC000430766441 338114289 /nfs/dbraw/zinc/11/42/89/338114289.db2.gz UVPMPICZPNMIRT-KRWDZBQOSA-N 0 3 317.502 4.030 20 0 DIADHN CCn1ccnc1[C@H]1CCCCN1C[C@@H](C)Cc1ccccc1 ZINC000430862969 338117244 /nfs/dbraw/zinc/11/72/44/338117244.db2.gz GAYXWUPEPNVSAH-PKOBYXMFSA-N 0 3 311.473 4.309 20 0 DIADHN CC1(C)CCC[C@@H](NCc2csc(-c3ccccn3)n2)C1 ZINC000149588696 338117488 /nfs/dbraw/zinc/11/74/88/338117488.db2.gz VTSRLLJWLPKPBF-CYBMUJFWSA-N 0 3 301.459 4.264 20 0 DIADHN CCCC1(C(=O)Nc2cc(CN3CCCC3)ccc2C)CCC1 ZINC000431113919 338123038 /nfs/dbraw/zinc/12/30/38/338123038.db2.gz WANIBFRTDCWTTQ-UHFFFAOYSA-N 0 3 314.473 4.500 20 0 DIADHN CC(C(=O)Nc1c2c(ccc1Cl)CC(C)(C)O2)C(F)(F)F ZINC000431236985 338126388 /nfs/dbraw/zinc/12/63/88/338126388.db2.gz OUVPKYFDXOUMJG-ZETCQYMHSA-N 0 3 321.726 4.190 20 0 DIADHN Fc1cccc(CN[C@@H]2CCCOc3cc4c(cc32)CCC4)c1 ZINC000431323666 338127892 /nfs/dbraw/zinc/12/78/92/338127892.db2.gz PBDGXWJDPZWSCL-LJQANCHMSA-N 0 3 311.400 4.318 20 0 DIADHN CCC[C@@](C)(O)CN[C@@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000431326136 338128062 /nfs/dbraw/zinc/12/80/62/338128062.db2.gz AKZSQHCGCZSYED-NVXWUHKLSA-N 0 3 311.853 4.003 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NC[C@@]1(C)CCCO1 ZINC000431346750 338128671 /nfs/dbraw/zinc/12/86/71/338128671.db2.gz DXQKZXOYJMKYGG-IEBWSBKVSA-N 0 3 303.446 4.182 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3cc4c(cc32)CCC4)cc1 ZINC000431347040 338128740 /nfs/dbraw/zinc/12/87/40/338128740.db2.gz DPRYOMWCITWJII-HXUWFJFHSA-N 0 3 323.436 4.187 20 0 DIADHN CCN(C)C(=O)CCN(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000431397060 338129840 /nfs/dbraw/zinc/12/98/40/338129840.db2.gz AGTJWYYSJORERA-SFHVURJKSA-N 0 3 324.468 4.118 20 0 DIADHN CSc1ccc(NC(=O)[C@H]2CCCCN2C2CCCC2)cc1 ZINC000431518397 338133075 /nfs/dbraw/zinc/13/30/75/338133075.db2.gz APKRMVWUHOZIQA-QGZVFWFLSA-N 0 3 318.486 4.144 20 0 DIADHN Oc1ccccc1CCNCc1ccc(F)c(Cl)c1Cl ZINC000432233878 338149421 /nfs/dbraw/zinc/14/94/21/338149421.db2.gz TYSARUMAUXAFLC-UHFFFAOYSA-N 0 3 314.187 4.170 20 0 DIADHN Cc1noc(C)c1CCNCc1ccc(F)c(Cl)c1Cl ZINC000432243036 338150136 /nfs/dbraw/zinc/15/01/36/338150136.db2.gz RGDSBASBOKMCJP-UHFFFAOYSA-N 0 3 317.191 4.070 20 0 DIADHN C[C@]1(CNCc2csc(Cl)c2Cl)CCO[C@@H]1C1CC1 ZINC000432248478 338150645 /nfs/dbraw/zinc/15/06/45/338150645.db2.gz WRBNXURPCYQZSI-TZMCWYRMSA-N 0 3 320.285 4.350 20 0 DIADHN COCCC1(CNCc2ccc(F)c(Cl)c2Cl)CCC1 ZINC000432248854 338150680 /nfs/dbraw/zinc/15/06/80/338150680.db2.gz ADAJYVBMWLSJSE-UHFFFAOYSA-N 0 3 320.235 4.429 20 0 DIADHN Clc1scc(CN[C@H]2CCOC3(CCC3)C2)c1Cl ZINC000432248859 338150828 /nfs/dbraw/zinc/15/08/28/338150828.db2.gz ADOWBTNPSPIQFI-JTQLQIEISA-N 0 3 306.258 4.246 20 0 DIADHN OCCC1(CNCc2csc(Cl)c2Cl)CCCC1 ZINC000432251489 338151127 /nfs/dbraw/zinc/15/11/27/338151127.db2.gz SVUIVJJATSJZSF-UHFFFAOYSA-N 0 3 308.274 4.087 20 0 DIADHN CO[C@@H]1CCC[C@@H]1CNCc1ccc(F)c(Cl)c1Cl ZINC000432256307 338151407 /nfs/dbraw/zinc/15/14/07/338151407.db2.gz GLNGKHLKMIGGGF-BXKDBHETSA-N 0 3 306.208 4.037 20 0 DIADHN Clc1scc(CNCCCc2ccccn2)c1Cl ZINC000432256489 338151434 /nfs/dbraw/zinc/15/14/34/338151434.db2.gz STNYYCAHACIPRH-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN C[C@H](CNCc1csc(Cl)c1Cl)c1nccs1 ZINC000432258111 338151528 /nfs/dbraw/zinc/15/15/28/338151528.db2.gz MKHNGOXSVHVDEF-SSDOTTSWSA-N 0 3 307.271 4.405 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2csc(Cl)c2Cl)CCO1 ZINC000432258230 338151657 /nfs/dbraw/zinc/15/16/57/338151657.db2.gz YNYCHADXCJVBCT-QWRGUYRKSA-N 0 3 308.274 4.348 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@H]1CCCOC1 ZINC000432260474 338151794 /nfs/dbraw/zinc/15/17/94/338151794.db2.gz XSDANHYBNFSCLT-ONGXEEELSA-N 0 3 306.208 4.037 20 0 DIADHN CC[C@H](NCCC1CC(OC(C)(C)C)C1)c1ccc(F)cn1 ZINC000432420555 338155873 /nfs/dbraw/zinc/15/58/73/338155873.db2.gz KZMWWEZAWWMHDV-BCLQGDPASA-N 0 3 308.441 4.245 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2ccc3ccccc3c2)cn1 ZINC000432503629 338157770 /nfs/dbraw/zinc/15/77/70/338157770.db2.gz KMVIPLFRTMZRQF-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN Clc1scc(CN2CC[C@@H](N3CCCCC3)C2)c1Cl ZINC000432748412 338166084 /nfs/dbraw/zinc/16/60/84/338166084.db2.gz SWJXCMNRIQQAKP-GFCCVEGCSA-N 0 3 319.301 4.115 20 0 DIADHN CCC(CC)[C@H](NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000432784997 338167721 /nfs/dbraw/zinc/16/77/21/338167721.db2.gz RCFWSFPDLIEIQP-KRWDZBQOSA-N 0 3 314.477 4.369 20 0 DIADHN CC(C)[C@@H](O)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000432793612 338168206 /nfs/dbraw/zinc/16/82/06/338168206.db2.gz POJXUTWOHLNLLK-CVEARBPZSA-N 0 3 315.379 4.249 20 0 DIADHN CCOC(=O)c1csc(CN[C@H]2CCCC[C@H]2C(C)(C)C)n1 ZINC000432831240 338169786 /nfs/dbraw/zinc/16/97/86/338169786.db2.gz IXILFAAQRXBXRT-OLZOCXBDSA-N 0 3 324.490 4.014 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1n[nH]c(C(C)(C)C)n1 ZINC000432847992 338170589 /nfs/dbraw/zinc/17/05/89/338170589.db2.gz XMKVSZXJBSRQFL-MRXNPFEDSA-N 0 3 314.477 4.288 20 0 DIADHN CCOC(=O)c1csc(CN[C@@H](C)[C@H](C)C2CCCCC2)n1 ZINC000432850272 338170730 /nfs/dbraw/zinc/17/07/30/338170730.db2.gz FDTLZQFTDGKRTK-STQMWFEESA-N 0 3 324.490 4.014 20 0 DIADHN Cc1cc(C)c(NC(=O)C(C)C(F)(F)F)c(Br)c1 ZINC000432882072 338171718 /nfs/dbraw/zinc/17/17/18/338171718.db2.gz VXWTWAGOJIAVEB-QMMMGPOBSA-N 0 3 324.140 4.203 20 0 DIADHN C[C@H]1N(Cc2ccc(C(F)(F)F)s2)CCC12CCOCC2 ZINC000432923171 338173232 /nfs/dbraw/zinc/17/32/32/338173232.db2.gz DKKQZSXFSOPDEZ-LLVKDONJSA-N 0 3 319.392 4.158 20 0 DIADHN CCOc1cccc(CN2CCC(c3ncc(C)s3)CC2)c1 ZINC000432943387 338174627 /nfs/dbraw/zinc/17/46/27/338174627.db2.gz HILGTFLYDPMMIS-UHFFFAOYSA-N 0 3 316.470 4.230 20 0 DIADHN Fc1cccc(-c2cnc(CN[C@@H]3CC4CCC3CC4)o2)c1 ZINC000432999106 338175816 /nfs/dbraw/zinc/17/58/16/338175816.db2.gz BJYVYRPEFFEEDS-SEEARECTSA-N 0 3 300.377 4.149 20 0 DIADHN COc1cccc(CN2CC3(CCC3)C[C@H]2C)c1OC(F)F ZINC000433025806 338177077 /nfs/dbraw/zinc/17/70/77/338177077.db2.gz MVWQVMYULWDUJJ-GFCCVEGCSA-N 0 3 311.372 4.061 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H](c3ccccc3)C2)nc1 ZINC000433045006 338178290 /nfs/dbraw/zinc/17/82/90/338178290.db2.gz CJDUURSGCKOKLF-HNNXBMFYSA-N 0 3 320.358 4.480 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3nc4cccnc4s3)C2)cc1 ZINC000433050227 338178583 /nfs/dbraw/zinc/17/85/83/338178583.db2.gz AQSQFFTYLMBZDA-INIZCTEOSA-N 0 3 323.465 4.243 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3nc(C)sc3C)C2)cc1 ZINC000433055232 338178884 /nfs/dbraw/zinc/17/88/84/338178884.db2.gz VRLKETKNAAKPOH-KRWDZBQOSA-N 0 3 300.471 4.312 20 0 DIADHN C[C@H]1C[C@H](NCC2(CO)CC3(CCC3)C2)c2ccsc2S1 ZINC000433056996 338179100 /nfs/dbraw/zinc/17/91/00/338179100.db2.gz JMTAQVQHBYNNDO-JSGCOSHPSA-N 0 3 323.527 4.206 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN[C@@H]1CC2CCC1CC2 ZINC000433062257 338179561 /nfs/dbraw/zinc/17/95/61/338179561.db2.gz SNHITJHCUCSWSL-JXQTWKCFSA-N 0 3 302.443 4.380 20 0 DIADHN C[C@H](O)CCCN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000433067296 338179806 /nfs/dbraw/zinc/17/98/06/338179806.db2.gz MTNUETLGRDESFY-STQMWFEESA-N 0 3 323.383 4.253 20 0 DIADHN C[C@@H](O)CCCN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000433067294 338179867 /nfs/dbraw/zinc/17/98/67/338179867.db2.gz MTNUETLGRDESFY-OLZOCXBDSA-N 0 3 323.383 4.253 20 0 DIADHN C[C@@H](O)CCCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000433074193 338180254 /nfs/dbraw/zinc/18/02/54/338180254.db2.gz VGIDBVBWAGSCCA-FZKQIMNGSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@H](O)CCCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000433074196 338180297 /nfs/dbraw/zinc/18/02/97/338180297.db2.gz VGIDBVBWAGSCCA-UGSOOPFHSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@@H](O)CCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000433073870 338180369 /nfs/dbraw/zinc/18/03/69/338180369.db2.gz UHJFCNJHWDZGGP-PSASIEDQSA-N 0 3 308.274 4.183 20 0 DIADHN CC1(C)CC(NCc2ccnc(Oc3ccccc3F)c2)C1 ZINC000433078055 338180561 /nfs/dbraw/zinc/18/05/61/338180561.db2.gz UNCXBNNXTMSBCU-UHFFFAOYSA-N 0 3 300.377 4.291 20 0 DIADHN Cc1ccc(C2(CN[C@H](C)c3nccnc3C)CCC2)cc1C ZINC000433081507 338180710 /nfs/dbraw/zinc/18/07/10/338180710.db2.gz HDLAFDADRNVHOW-QGZVFWFLSA-N 0 3 309.457 4.174 20 0 DIADHN CSC1(CN[C@H]2CCCOc3c(C)cc(Cl)cc32)CC1 ZINC000433093217 338181432 /nfs/dbraw/zinc/18/14/32/338181432.db2.gz SUJIXXWOOYNMFP-AWEZNQCLSA-N 0 3 311.878 4.347 20 0 DIADHN OCC1(CNC(c2ccccc2)c2ccccc2)CC2(CCC2)C1 ZINC000433097803 338181702 /nfs/dbraw/zinc/18/17/02/338181702.db2.gz PIZUDBIKSNDABM-UHFFFAOYSA-N 0 3 321.464 4.308 20 0 DIADHN CCn1cccc(CN[C@@H]2CCCc3ccc(C(C)C)cc32)c1=O ZINC000433098779 338181743 /nfs/dbraw/zinc/18/17/43/338181743.db2.gz XKHFVBQKVIMIDY-HXUWFJFHSA-N 0 3 324.468 4.159 20 0 DIADHN CSC1(CN[C@@H](c2cccnc2)c2ccc(F)c(C)c2)CC1 ZINC000433102511 338181952 /nfs/dbraw/zinc/18/19/52/338181952.db2.gz RFILYRAEDSWSOA-QGZVFWFLSA-N 0 3 316.445 4.104 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H](c2ccccc2)N(C)C)c(Cl)c1 ZINC000433102024 338181984 /nfs/dbraw/zinc/18/19/84/338181984.db2.gz FZFYGZIQTQPWOO-KRWDZBQOSA-N 0 3 316.832 4.280 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H]1CCCCN1C1CCCC1 ZINC000433126593 338182437 /nfs/dbraw/zinc/18/24/37/338182437.db2.gz OYOVSCIUUALERR-QGZVFWFLSA-N 0 3 320.864 4.384 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3cc4ccccc4o3)cccc21 ZINC000433181147 338184395 /nfs/dbraw/zinc/18/43/95/338184395.db2.gz ZQANPPZCRPZIPC-GOSISDBHSA-N 0 3 322.408 4.430 20 0 DIADHN Cc1ccsc1CNc1cccc2c1OCC[C@H]2N(C)C ZINC000433185792 338184801 /nfs/dbraw/zinc/18/48/01/338184801.db2.gz GDBKENNXFOSLON-OAHLLOKOSA-N 0 3 302.443 4.054 20 0 DIADHN Clc1ccsc1CNC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433188195 338184864 /nfs/dbraw/zinc/18/48/64/338184864.db2.gz BAPPWFVFBGFPEB-AWEZNQCLSA-N 0 3 320.889 4.408 20 0 DIADHN CSC1(CNCc2ccc(-c3cccc(F)c3C)o2)CC1 ZINC000433200523 338185523 /nfs/dbraw/zinc/18/55/23/338185523.db2.gz IGIIFVHNTZSDKE-UHFFFAOYSA-N 0 3 305.418 4.379 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@@H]3N(C)C)cc1C ZINC000433203775 338185739 /nfs/dbraw/zinc/18/57/39/338185739.db2.gz CZJFVZQZSSDPMP-IBGZPJMESA-N 0 3 310.441 4.301 20 0 DIADHN Cc1ccc(F)cc1CNc1cccc2c1OCC[C@H]2N(C)C ZINC000433206311 338185862 /nfs/dbraw/zinc/18/58/62/338185862.db2.gz GYIOJNHZSCOSGC-GOSISDBHSA-N 0 3 314.404 4.131 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC/C=C\c3ccccc3)cccc21 ZINC000433206672 338185901 /nfs/dbraw/zinc/18/59/01/338185901.db2.gz IHXXOPXPCJCLQW-JNLAIUADSA-N 0 3 308.425 4.197 20 0 DIADHN Cc1cc(C)cc(CNc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC000433209954 338186115 /nfs/dbraw/zinc/18/61/15/338186115.db2.gz UDWRIZSCFBTNBF-IBGZPJMESA-N 0 3 310.441 4.301 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N2CC3(CCC3)C[C@@H]2C)c1 ZINC000433256164 338188230 /nfs/dbraw/zinc/18/82/30/338188230.db2.gz JWXOLYQHBHPJBK-UONOGXRCSA-N 0 3 318.486 4.000 20 0 DIADHN Cc1cnc(C2CCN(CCO[C@H]3CCCC[C@H]3C)CC2)s1 ZINC000433275996 338189014 /nfs/dbraw/zinc/18/90/14/338189014.db2.gz RYLAVGJFBCYFKK-PBHICJAKSA-N 0 3 322.518 4.226 20 0 DIADHN Clc1cccc([C@@H]2CCN(CCCO[C@@H]3CCCCO3)C2)c1 ZINC000433301036 338190439 /nfs/dbraw/zinc/19/04/39/338190439.db2.gz UWXZUHLCWXMEGA-SJLPKXTDSA-N 0 3 323.864 4.063 20 0 DIADHN Clc1cccc(CCCNCc2ccc(-c3cc[nH]n3)o2)c1 ZINC000433308449 338191039 /nfs/dbraw/zinc/19/10/39/338191039.db2.gz YMHHMNWLNZOECU-UHFFFAOYSA-N 0 3 315.804 4.046 20 0 DIADHN c1cc(-c2ccc(CN[C@@H](c3ccccc3)C3CCC3)o2)n[nH]1 ZINC000433309864 338191084 /nfs/dbraw/zinc/19/10/84/338191084.db2.gz DTBCOFNXMOOVQO-IBGZPJMESA-N 0 3 307.397 4.301 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](O)C23CCCC3)cc1Cl ZINC000433312075 338191511 /nfs/dbraw/zinc/19/15/11/338191511.db2.gz JRWSNQOUIIXJAL-KRWDZBQOSA-N 0 3 323.864 4.007 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccc(-c2cc[nH]n2)o1)C(C)C ZINC000433318018 338191971 /nfs/dbraw/zinc/19/19/71/338191971.db2.gz MQYXMOWGPAWFSE-LJQANCHMSA-N 0 3 309.413 4.465 20 0 DIADHN CCc1noc(C)c1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000433321871 338192382 /nfs/dbraw/zinc/19/23/82/338192382.db2.gz WNIQAUQGNVZBTA-CQSZACIVSA-N 0 3 304.821 4.188 20 0 DIADHN C[C@@]1(NCc2ccc(-c3cc[nH]n3)o2)CCCc2ccccc21 ZINC000433332624 338192900 /nfs/dbraw/zinc/19/29/00/338192900.db2.gz XFIXKHZQNNGRKC-LJQANCHMSA-N 0 3 307.397 4.011 20 0 DIADHN CN(C)[C@H](CC(=O)N(CC1CC1)c1ccccc1)c1ccccc1 ZINC000433385379 338194428 /nfs/dbraw/zinc/19/44/28/338194428.db2.gz MIAHAIUCYXHMJK-HXUWFJFHSA-N 0 3 322.452 4.123 20 0 DIADHN CN(C)[C@@H](CNC(=O)c1ccccc1C(C)(C)C)c1ccccc1 ZINC000433703331 338199720 /nfs/dbraw/zinc/19/97/20/338199720.db2.gz PKWVAMONPZTWBD-IBGZPJMESA-N 0 3 324.468 4.017 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCN(C(C)C)C1=O)c1ccc(Cl)cc1 ZINC000433778983 338201563 /nfs/dbraw/zinc/20/15/63/338201563.db2.gz FBAXJPCHHXDXGJ-IAGOWNOFSA-N 0 3 322.880 4.026 20 0 DIADHN O=C(CCN1CCC(F)(c2ccccc2)CC1)c1ccccc1 ZINC000434492801 338218346 /nfs/dbraw/zinc/21/83/46/338218346.db2.gz QBTSDDUUYMZJFA-UHFFFAOYSA-N 0 3 311.400 4.220 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC(c2ncc3n2CCCC3)CC1 ZINC000434674942 338223054 /nfs/dbraw/zinc/22/30/54/338223054.db2.gz JLEBRAGTDXEAHK-RMKNXTFCSA-N 0 3 321.468 4.112 20 0 DIADHN Cc1ccc([C@]2(C)CCN(Cc3ccncc3Cl)C2)cc1 ZINC000434737220 338224274 /nfs/dbraw/zinc/22/42/74/338224274.db2.gz OSRKTYLSXCWZIE-GOSISDBHSA-N 0 3 300.833 4.207 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1nc(-c2ccccc2)no1 ZINC000434900211 338227244 /nfs/dbraw/zinc/22/72/44/338227244.db2.gz CKXJRDQSYWEDEE-CRAIPNDOSA-N 0 3 319.408 4.115 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(OCC3CCCC3)CC1)CC2 ZINC000434904772 338227690 /nfs/dbraw/zinc/22/76/90/338227690.db2.gz ORJHIARDIQWNKH-FQEVSTJZSA-N 0 3 317.448 4.484 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCC[C@@H]3C3CC3)cccc21 ZINC000435028121 338230078 /nfs/dbraw/zinc/23/00/78/338230078.db2.gz OUTQNIYPNXEOSR-UWWQBHOKSA-N 0 3 300.446 4.062 20 0 DIADHN CC(=O)Nc1ccccc1CN1CC[C@](C)(c2ccc(C)cc2)C1 ZINC000435033481 338230103 /nfs/dbraw/zinc/23/01/03/338230103.db2.gz ASRQYVCWMDCJAD-NRFANRHFSA-N 0 3 322.452 4.117 20 0 DIADHN C[C@@H](CC1CCCC1)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000435033172 338230246 /nfs/dbraw/zinc/23/02/46/338230246.db2.gz JVVZKDXPBQVQCL-KSSFIOAISA-N 0 3 302.462 4.453 20 0 DIADHN CCn1nccc1C1CCN(Cc2coc3ccccc23)CC1 ZINC000435037892 338230277 /nfs/dbraw/zinc/23/02/77/338230277.db2.gz YSLRFCFDNZDBLT-UHFFFAOYSA-N 0 3 309.413 4.029 20 0 DIADHN C[C@H](CNc1cccc2c1OCC[C@@H]2N(C)C)Cc1ccccc1 ZINC000435042939 338230583 /nfs/dbraw/zinc/23/05/83/338230583.db2.gz OKAGMVYTTWTTPB-JXFKEZNVSA-N 0 3 324.468 4.363 20 0 DIADHN CC1(C)CCN(Cc2c(F)cccc2N2CCCC2)CCS1 ZINC000435046656 338230712 /nfs/dbraw/zinc/23/07/12/338230712.db2.gz VLDMDHYAKKYMAT-UHFFFAOYSA-N 0 3 322.493 4.143 20 0 DIADHN CCc1ccc(-c2ccc(CN(C)CCCCCO)o2)cc1 ZINC000435050175 338230999 /nfs/dbraw/zinc/23/09/99/338230999.db2.gz KDOPQQZPYUGAPH-UHFFFAOYSA-N 0 3 301.430 4.103 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2cccc3c[nH]nc32)CC1 ZINC000435057776 338231344 /nfs/dbraw/zinc/23/13/44/338231344.db2.gz KZQQNWLMFGIZIS-UHFFFAOYSA-N 0 3 309.388 4.024 20 0 DIADHN c1cc(-c2ccc(CN(C3CC3)[C@@H]3CCc4ccccc43)o2)n[nH]1 ZINC000435133709 338232785 /nfs/dbraw/zinc/23/27/85/338232785.db2.gz CRJGFGXZLUILDG-LJQANCHMSA-N 0 3 319.408 4.322 20 0 DIADHN CC(C)N(Cc1ccc(-c2cc[nH]n2)o1)Cc1cccs1 ZINC000435137251 338233093 /nfs/dbraw/zinc/23/30/93/338233093.db2.gz KJVZEMKRMAOGSU-UHFFFAOYSA-N 0 3 301.415 4.142 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000435248401 338235087 /nfs/dbraw/zinc/23/50/87/338235087.db2.gz GKLXWWTVOXTGIM-ZDUSSCGKSA-N 0 3 321.873 4.273 20 0 DIADHN CC(C)[C@H](c1ccc(F)cc1)N(C)Cc1cccc2nccn21 ZINC000435268110 338235864 /nfs/dbraw/zinc/23/58/64/338235864.db2.gz CUKWQAZSZPFXDL-LJQANCHMSA-N 0 3 311.404 4.303 20 0 DIADHN CC(C)[C@@H](c1ccc(F)cc1)N(C)Cc1cnn2ccccc12 ZINC000435275022 338236236 /nfs/dbraw/zinc/23/62/36/338236236.db2.gz ODIBXAKZWBSOEF-IBGZPJMESA-N 0 3 311.404 4.303 20 0 DIADHN COc1ccc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)cc1O ZINC000435275085 338236250 /nfs/dbraw/zinc/23/62/50/338236250.db2.gz OOMXOVFPQRHDHR-IBGZPJMESA-N 0 3 317.404 4.369 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)nc1 ZINC000435742310 338247453 /nfs/dbraw/zinc/24/74/53/338247453.db2.gz NCSFNPSGRGKZKG-CZIWCDLHSA-N 0 3 320.358 4.445 20 0 DIADHN CSc1ccc(CCNCc2csc(C(C)(C)C)n2)cc1 ZINC000435748775 338247789 /nfs/dbraw/zinc/24/77/89/338247789.db2.gz GVOQISMZUSFDSI-UHFFFAOYSA-N 0 3 320.527 4.495 20 0 DIADHN Cc1n[nH]cc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC000435766918 338248304 /nfs/dbraw/zinc/24/83/04/338248304.db2.gz BSWMGUKCVCXSEH-UHFFFAOYSA-N 0 3 301.409 4.192 20 0 DIADHN Cc1cccc(CN(C)[C@H](C)c2ccc(-n3cncn3)cc2)c1C ZINC000435769061 338248384 /nfs/dbraw/zinc/24/83/84/338248384.db2.gz UAMHOOHEJAGKOT-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2ccn(-c3ccccc3)n2)C1 ZINC000435780832 338248813 /nfs/dbraw/zinc/24/88/13/338248813.db2.gz GPQCZYMXECEFEC-JCNLHEQBSA-N 0 3 321.399 4.047 20 0 DIADHN FC(F)Oc1ccccc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435780740 338248831 /nfs/dbraw/zinc/24/88/31/338248831.db2.gz XREHPNYGBXYDHG-WKILWMFISA-N 0 3 303.352 4.324 20 0 DIADHN Fc1ccc(-n2cc(CN[C@H]3C[C@H](c4ccccc4)C3)cn2)cc1 ZINC000435781578 338249039 /nfs/dbraw/zinc/24/90/39/338249039.db2.gz JHZKZRLAZNLSIV-UAPYVXQJSA-N 0 3 321.399 4.047 20 0 DIADHN c1nc(-c2ccccn2)sc1CN[C@@H]1C[C@@H](c2ccccc2)C1 ZINC000435793180 338249512 /nfs/dbraw/zinc/24/95/12/338249512.db2.gz ZBFCSENPPSYFIW-WKILWMFISA-N 0 3 321.449 4.241 20 0 DIADHN Fc1cccc2[nH]c(CNCc3cccc4cccnc43)cc21 ZINC000436228191 338262428 /nfs/dbraw/zinc/26/24/28/338262428.db2.gz AOTSIYUFJDICQU-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN C[C@H](NCc1cc2c(cccc2F)[nH]1)c1ccc(F)c(F)c1 ZINC000436238966 338262910 /nfs/dbraw/zinc/26/29/10/338262910.db2.gz FCRBTZTVUXBYKU-JTQLQIEISA-N 0 3 304.315 4.436 20 0 DIADHN Fc1cccc2[nH]c(CNCc3cnc4ccccc4c3)cc21 ZINC000436238535 338262961 /nfs/dbraw/zinc/26/29/61/338262961.db2.gz JFATYJHZNJZSHE-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN C[C@@H](CNCc1cc2c(cccc2F)[nH]1)Oc1ccccc1F ZINC000436239916 338263003 /nfs/dbraw/zinc/26/30/03/338263003.db2.gz PISWEPKPKQGQKC-LBPRGKRZSA-N 0 3 316.351 4.003 20 0 DIADHN Fc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@H]3CCCO3)cc21 ZINC000436250203 338263588 /nfs/dbraw/zinc/26/35/88/338263588.db2.gz UQQIIRBJDNMQNH-WOJBJXKFSA-N 0 3 324.399 4.317 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1NCc1ccncc1Cl ZINC000436250825 338263757 /nfs/dbraw/zinc/26/37/57/338263757.db2.gz GWYLMAKWKWJQMO-IAGOWNOFSA-N 0 3 310.869 4.199 20 0 DIADHN Cc1nc(CN[C@@H]2CCCC[C@H]2OCCC(C)C)sc1C ZINC000436255555 338263877 /nfs/dbraw/zinc/26/38/77/338263877.db2.gz YAAFQILTLSKBHB-HZPDHXFCSA-N 0 3 310.507 4.223 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H]1CC(C)(C)CCc2ccccc21 ZINC000436285996 338266084 /nfs/dbraw/zinc/26/60/84/338266084.db2.gz QBVYIICJNBNBSA-IBGZPJMESA-N 0 3 311.473 4.230 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1ccc(Cl)cn1 ZINC000436290610 338266411 /nfs/dbraw/zinc/26/64/11/338266411.db2.gz ZOHVHPIZTMMCJU-AXAPSJFSSA-N 0 3 304.796 4.471 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000436307632 338268181 /nfs/dbraw/zinc/26/81/81/338268181.db2.gz SCGPMPRHVOWPTD-JHJVBQTASA-N 0 3 305.418 4.493 20 0 DIADHN CC(C)[C@H](NCC1([S@@](C)=O)CCC1)c1cc2ccccc2o1 ZINC000436313794 338268610 /nfs/dbraw/zinc/26/86/10/338268610.db2.gz XQOZXGHTXVKDRQ-HTAPYJJXSA-N 0 3 319.470 4.021 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccc(F)cn1 ZINC000436314548 338268642 /nfs/dbraw/zinc/26/86/42/338268642.db2.gz YJQNMKVRYGYCLM-ZLIFDBKOSA-N 0 3 320.358 4.486 20 0 DIADHN CC(C)[C@H](NCC1([S@](C)=O)CCC1)c1cc2ccccc2o1 ZINC000436313796 338268649 /nfs/dbraw/zinc/26/86/49/338268649.db2.gz XQOZXGHTXVKDRQ-JTSKRJEESA-N 0 3 319.470 4.021 20 0 DIADHN Clc1ccc(CN[C@H]2CC[C@H](Cc3ccccc3)C2)nc1 ZINC000436404869 338271080 /nfs/dbraw/zinc/27/10/80/338271080.db2.gz ATGAMZGZLLYGGS-WBVHZDCISA-N 0 3 300.833 4.236 20 0 DIADHN OCC1(CNCc2cc(Cl)cc(C(F)(F)F)c2)CCCC1 ZINC000436419822 338272069 /nfs/dbraw/zinc/27/20/69/338272069.db2.gz YUOHOGCKUBPZKE-UHFFFAOYSA-N 0 3 321.770 4.001 20 0 DIADHN CCc1nc([C@H](C)NC2CC(C)(c3ccccc3)C2)cs1 ZINC000436470004 338273312 /nfs/dbraw/zinc/27/33/12/338273312.db2.gz GCDSGSKSLDQTQX-UQHVZDHRSA-N 0 3 300.471 4.476 20 0 DIADHN Cc1cn[nH]c1CN(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000436651530 338281474 /nfs/dbraw/zinc/28/14/74/338281474.db2.gz GFAVQETWKADNJO-KRWDZBQOSA-N 0 3 305.425 4.482 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@@H](C)C1(c2ccccc2)CCCC1 ZINC000437016526 338297849 /nfs/dbraw/zinc/29/78/49/338297849.db2.gz XDHGYMUNBXJDLC-SJORKVTESA-N 0 3 309.457 4.336 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC[C@H](C)C2)nnn1-c1cccc(Cl)c1 ZINC000437062053 338299051 /nfs/dbraw/zinc/29/90/51/338299051.db2.gz CNHNSTAEKPZXFQ-HUBLWGQQSA-N 0 3 318.852 4.068 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](CC(F)(F)F)C(C)C)CC2 ZINC000437072425 338299302 /nfs/dbraw/zinc/29/93/02/338299302.db2.gz CKJFKVWHBWRKOA-LSDHHAIUSA-N 0 3 301.352 4.249 20 0 DIADHN COc1cnccc1[C@H](C)NC1CC(c2ccc(Cl)cc2)C1 ZINC000437080905 338299721 /nfs/dbraw/zinc/29/97/21/338299721.db2.gz GBMZFOFLMDJBDT-YGONEPDPSA-N 0 3 316.832 4.340 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCOc2c(F)cccc21 ZINC000437080274 338299766 /nfs/dbraw/zinc/29/97/66/338299766.db2.gz DQZMEZDKTZXYFF-SJKOYZFVSA-N 0 3 303.352 4.009 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccccc1N(C)C(C)C ZINC000437099135 338300878 /nfs/dbraw/zinc/30/08/78/338300878.db2.gz RRYVFSIFKGGPII-IBGZPJMESA-N 0 3 324.468 4.317 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccccc1N(C)C(C)C ZINC000437099136 338300929 /nfs/dbraw/zinc/30/09/29/338300929.db2.gz RRYVFSIFKGGPII-LJQANCHMSA-N 0 3 324.468 4.317 20 0 DIADHN CCCC[C@H](COC)N[C@@H](C)c1ccc(Br)s1 ZINC000437109999 338301521 /nfs/dbraw/zinc/30/15/21/338301521.db2.gz YVIJZJRHXXIJAI-WDEREUQCSA-N 0 3 320.296 4.366 20 0 DIADHN c1c(CN2CCS[C@@H]3CCCC[C@@H]32)onc1-c1ccccc1 ZINC000437523966 338312596 /nfs/dbraw/zinc/31/25/96/338312596.db2.gz IXIBRCMXTJESTE-ZWKOTPCHSA-N 0 3 314.454 4.202 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)CC[C@@H]1CCOC1 ZINC000437579045 338314423 /nfs/dbraw/zinc/31/44/23/338314423.db2.gz MWDILNSHPDRUOL-VXGBXAGGSA-N 0 3 302.245 4.413 20 0 DIADHN Fc1ccc(CN(CC[C@H]2CCOC2)Cc2ccccc2)cc1 ZINC000437583406 338314652 /nfs/dbraw/zinc/31/46/52/338314652.db2.gz WOIFCIWKZLWDPP-IBGZPJMESA-N 0 3 313.416 4.255 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccsc1)Cc1ccc(Cl)cc1 ZINC000437591831 338315385 /nfs/dbraw/zinc/31/53/85/338315385.db2.gz PXNXZSKKQSYCSC-UHFFFAOYSA-N 0 3 317.845 4.424 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](N2CCCC[C@H]2c2ncc[nH]2)C1 ZINC000437712109 338319120 /nfs/dbraw/zinc/31/91/20/338319120.db2.gz AJKHWCXXSFRAIO-HBFSDRIKSA-N 0 3 309.457 4.447 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc4c(cccc4F)[nH]3)C2)cc1 ZINC000437740526 338319816 /nfs/dbraw/zinc/31/98/16/338319816.db2.gz BWCQZHVONKNWJW-HNNXBMFYSA-N 0 3 324.399 4.305 20 0 DIADHN Cc1ccc2cc(CNCc3cnc4ccccc4c3)ccc2n1 ZINC000437762861 338320469 /nfs/dbraw/zinc/32/04/69/338320469.db2.gz JUAXDZQWUWORNU-UHFFFAOYSA-N 0 3 313.404 4.381 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437792506 338321859 /nfs/dbraw/zinc/32/18/59/338321859.db2.gz APLWNNLUQGKBOZ-ICSRJNTNSA-N 0 3 311.473 4.139 20 0 DIADHN COCC[C@H](N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1ccco1 ZINC000437807638 338322314 /nfs/dbraw/zinc/32/23/14/338322314.db2.gz YEIOTYLHWROVPM-OTWHNJEPSA-N 0 3 313.441 4.358 20 0 DIADHN COc1c(C)cnc(CN(C)C[C@@H](C)c2ccc(F)cc2)c1C ZINC000437955174 338326241 /nfs/dbraw/zinc/32/62/41/338326241.db2.gz OYVPSZNBGSIXFC-CQSZACIVSA-N 0 3 316.420 4.082 20 0 DIADHN COc1c(C)cnc(CN(C)C[C@H](C)c2ccc(F)cc2)c1C ZINC000437955170 338326251 /nfs/dbraw/zinc/32/62/51/338326251.db2.gz OYVPSZNBGSIXFC-AWEZNQCLSA-N 0 3 316.420 4.082 20 0 DIADHN CCC1(CC)CN(Cc2c[nH]nc2-c2ccsc2)CCS1 ZINC000437986373 338327375 /nfs/dbraw/zinc/32/73/75/338327375.db2.gz LLWRVUQGOHAPTB-UHFFFAOYSA-N 0 3 321.515 4.246 20 0 DIADHN Cc1ccc2cc(CN(C)CCOCc3ccccc3)ccc2n1 ZINC000438092874 338331288 /nfs/dbraw/zinc/33/12/88/338331288.db2.gz BLWXOJOQZHHIDD-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN CCCC[C@H](CC)CCN(C)Cc1ccc([S@](C)=O)cc1 ZINC000438108180 338332155 /nfs/dbraw/zinc/33/21/55/338332155.db2.gz XSJOOGKTXKGQHK-KKSFZXQISA-N 0 3 309.519 4.462 20 0 DIADHN Fc1cccc(F)c1CN(CC[C@H]1CCOC1)C1CCCC1 ZINC000438121391 338333459 /nfs/dbraw/zinc/33/34/59/338333459.db2.gz OWHHCKMWQQSXBX-AWEZNQCLSA-N 0 3 309.400 4.136 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CCC[C@H](C(F)(F)F)C3)nc2c1 ZINC000438182989 338335282 /nfs/dbraw/zinc/33/52/82/338335282.db2.gz VPVFXOUJXVDGCZ-RYUDHWBXSA-N 0 3 311.351 4.082 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCC[C@H](C(F)(F)F)C3)[nH]c2c1 ZINC000438182989 338335283 /nfs/dbraw/zinc/33/52/83/338335283.db2.gz VPVFXOUJXVDGCZ-RYUDHWBXSA-N 0 3 311.351 4.082 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000438187382 338335650 /nfs/dbraw/zinc/33/56/50/338335650.db2.gz ILKWDGZPLSKVFW-OXQOHEQNSA-N 0 3 312.457 4.399 20 0 DIADHN Cc1cnc([C@H](NC[C@H](C)c2ccc(F)cc2)C2CC2)s1 ZINC000438187974 338335811 /nfs/dbraw/zinc/33/58/11/338335811.db2.gz JVTCLCFCQUATMF-MEDUHNTESA-N 0 3 304.434 4.435 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000438201966 338336386 /nfs/dbraw/zinc/33/63/86/338336386.db2.gz RKQAKSCOOYXZCP-MXWKQRLJSA-N 0 3 322.396 4.061 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cnc(-c3ccccn3)s2)C1 ZINC000438337489 338340036 /nfs/dbraw/zinc/34/00/36/338340036.db2.gz GTQVUPGDLLENMM-AWEZNQCLSA-N 0 3 301.459 4.073 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@@H](O)C(C)(C)C3)s2)cc1 ZINC000438342604 338340440 /nfs/dbraw/zinc/34/04/40/338340440.db2.gz OQHQBTCOXJVTDY-GOSISDBHSA-N 0 3 315.482 4.316 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc([C@@H]2CCCO2)s1 ZINC000438468194 338344844 /nfs/dbraw/zinc/34/48/44/338344844.db2.gz CSGQTYUCPYVWIE-RYUDHWBXSA-N 0 3 322.396 4.061 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](CC(F)(F)F)C(C)C)s1 ZINC000438472013 338344953 /nfs/dbraw/zinc/34/49/53/338344953.db2.gz VWLABPLHEHHYCW-GFCCVEGCSA-N 0 3 323.428 4.056 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc(C2CCC2)s1 ZINC000438475425 338345169 /nfs/dbraw/zinc/34/51/69/338345169.db2.gz CAELNMUNYIQWOK-GFCCVEGCSA-N 0 3 306.397 4.477 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cccc(OC(F)F)c1 ZINC000438476635 338345263 /nfs/dbraw/zinc/34/52/63/338345263.db2.gz ISUXCIQQBWGTQJ-GFCCVEGCSA-N 0 3 311.294 4.355 20 0 DIADHN CCOc1ccc(CN[C@H](CC(F)(F)F)C(C)C)cc1OC ZINC000438485508 338345845 /nfs/dbraw/zinc/34/58/45/338345845.db2.gz LRDCVXSMYPBEGO-CYBMUJFWSA-N 0 3 319.367 4.161 20 0 DIADHN FC(F)(F)Oc1cccc(CCN2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000438759072 338351824 /nfs/dbraw/zinc/35/18/24/338351824.db2.gz XWOSFVMFVGOWLA-MRXNPFEDSA-N 0 3 324.346 4.293 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H]3C[C@@H]4OCCC[C@H]34)o2)cc1 ZINC000438908564 338355642 /nfs/dbraw/zinc/35/56/42/338355642.db2.gz AHCGFGSHFGZLGB-GGPKGHCWSA-N 0 3 311.425 4.166 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H]3C[C@H]4OCCC[C@@H]34)o2)cc1 ZINC000438908567 338355672 /nfs/dbraw/zinc/35/56/72/338355672.db2.gz AHCGFGSHFGZLGB-NLWGTHIKSA-N 0 3 311.425 4.166 20 0 DIADHN CCc1ccc(CCN2CCCC[C@@H]2c2nccn2CC)cc1 ZINC000439012959 338358390 /nfs/dbraw/zinc/35/83/90/338358390.db2.gz BKAJAHNFIWAVQU-LJQANCHMSA-N 0 3 311.473 4.235 20 0 DIADHN Clc1ccccc1CCCN1CCC([C@H]2CCCO2)CC1 ZINC000439274777 338365751 /nfs/dbraw/zinc/36/57/51/338365751.db2.gz MJZUGLVJAWGOIG-GOSISDBHSA-N 0 3 307.865 4.164 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC([C@@H]2CCCO2)CC1 ZINC000439284775 338366151 /nfs/dbraw/zinc/36/61/51/338366151.db2.gz MMJJTMSTZNHBQY-MSOLQXFVSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC(F)(c3cccc(Cl)c3)CC2)O1 ZINC000439325130 338367226 /nfs/dbraw/zinc/36/72/26/338367226.db2.gz SZMZQKDMBRLJIF-CZUORRHYSA-N 0 3 311.828 4.168 20 0 DIADHN CC(C)(C)CNCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000439471951 338370701 /nfs/dbraw/zinc/37/07/01/338370701.db2.gz RSKWCGBXFSNFGC-HNNXBMFYSA-N 0 3 311.853 4.034 20 0 DIADHN C[C@H](CCO)NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439477808 338370986 /nfs/dbraw/zinc/37/09/86/338370986.db2.gz MOGPKOBDSIASLQ-CQSZACIVSA-N 0 3 301.430 4.105 20 0 DIADHN CSCCCN(C)Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000439478853 338371064 /nfs/dbraw/zinc/37/10/64/338371064.db2.gz OSRJNJHRBQEGHA-UHFFFAOYSA-N 0 3 310.850 4.180 20 0 DIADHN CCN(CC)C(=O)[C@@H](N[C@@H](C)C1CCCCC1)c1ccccc1 ZINC000439602957 338374275 /nfs/dbraw/zinc/37/42/75/338374275.db2.gz TYCULWGIDLNXPS-LPHOPBHVSA-N 0 3 316.489 4.155 20 0 DIADHN CC[C@](C)(O)CN(Cc1ccc(Cl)o1)Cc1ccccc1 ZINC000439762951 338377259 /nfs/dbraw/zinc/37/72/59/338377259.db2.gz DIOVJTDADKRUOA-KRWDZBQOSA-N 0 3 307.821 4.096 20 0 DIADHN CC[C@@](C)(O)CN(Cc1ccc(Cl)o1)Cc1ccccc1 ZINC000439762954 338377291 /nfs/dbraw/zinc/37/72/91/338377291.db2.gz DIOVJTDADKRUOA-QGZVFWFLSA-N 0 3 307.821 4.096 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC([C@@H]3CCCO3)CC2)s1 ZINC000439767965 338377440 /nfs/dbraw/zinc/37/74/40/338377440.db2.gz PWRYFLODFYLIBS-INIZCTEOSA-N 0 3 307.503 4.437 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)cc1F)[C@H]1CC[C@H](O)CC1 ZINC000439782559 338377781 /nfs/dbraw/zinc/37/77/81/338377781.db2.gz MRRHFRFVFJXSNB-WGSAOQKQSA-N 0 3 313.416 4.228 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC([C@@H]4CCCO4)CC3)cc2c1C ZINC000439782106 338377847 /nfs/dbraw/zinc/37/78/47/338377847.db2.gz POWIRZLVALRJLO-FQEVSTJZSA-N 0 3 312.457 4.176 20 0 DIADHN CCCCOc1ccccc1CN1CCC([C@H]2CCCO2)CC1 ZINC000439785997 338377928 /nfs/dbraw/zinc/37/79/28/338377928.db2.gz BXYXSYTXBWMQMU-LJQANCHMSA-N 0 3 317.473 4.257 20 0 DIADHN CC(C)CC(C)(C)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000439795458 338378384 /nfs/dbraw/zinc/37/83/84/338378384.db2.gz RPXFABZFHPIUTE-SJLPKXTDSA-N 0 3 316.489 4.010 20 0 DIADHN OC[C@]1(NCc2ccc3ccccc3c2)CCCc2ccccc21 ZINC000440478769 338388217 /nfs/dbraw/zinc/38/82/17/338388217.db2.gz CUUHCGCQRASOQV-JOCHJYFZSA-N 0 3 317.432 4.154 20 0 DIADHN CC(C)c1ncc(CN(C)CCc2ccc3ccccc3c2)cn1 ZINC000440481298 338388297 /nfs/dbraw/zinc/38/82/97/338388297.db2.gz MSSIFPGIFGGMQO-UHFFFAOYSA-N 0 3 319.452 4.428 20 0 DIADHN CC1(C)CN(CCCCC(F)(F)F)C[C@]2(C[C@@H]3CC[C@H]2C3)O1 ZINC000440556662 338390206 /nfs/dbraw/zinc/39/02/06/338390206.db2.gz MUWRHBGMVAKUEF-YCPHGPKFSA-N 0 3 319.411 4.389 20 0 DIADHN CC[C@@](C)(NCCCOc1cccc(Cl)c1)c1nccs1 ZINC000440694724 338395320 /nfs/dbraw/zinc/39/53/20/338395320.db2.gz DMTYHYHMEFJSHW-MRXNPFEDSA-N 0 3 324.877 4.480 20 0 DIADHN CC[C@H](O)CN(C)[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000440766407 338396872 /nfs/dbraw/zinc/39/68/72/338396872.db2.gz QZFZDTGOAUVNNX-ZBFHGGJFSA-N 0 3 318.486 4.191 20 0 DIADHN CC[C@@H](F)CN[C@H](C)c1cccc(OCc2ccccn2)c1 ZINC000440785420 338397288 /nfs/dbraw/zinc/39/72/88/338397288.db2.gz QKZCQBLPLFDWNC-GDBMZVCRSA-N 0 3 302.393 4.059 20 0 DIADHN CC[C@H](F)CN[C@@H](C)c1cccc(OCc2ccccn2)c1 ZINC000440785435 338397364 /nfs/dbraw/zinc/39/73/64/338397364.db2.gz QKZCQBLPLFDWNC-HOCLYGCPSA-N 0 3 302.393 4.059 20 0 DIADHN CC(C)C[C@@H](NCc1cc(C(F)(F)F)n[nH]1)c1cccs1 ZINC000440795771 338397692 /nfs/dbraw/zinc/39/76/92/338397692.db2.gz AVJQBTZGXNRBIQ-LLVKDONJSA-N 0 3 317.380 4.367 20 0 DIADHN OC[C@@]1(NCc2cccc3ccccc32)CCCc2ccccc21 ZINC000440814559 338397957 /nfs/dbraw/zinc/39/79/57/338397957.db2.gz DPHOPVARLGSCGQ-QFIPXVFZSA-N 0 3 317.432 4.154 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@H]2C)c(-c2ccccc2)s1 ZINC000441338529 338409236 /nfs/dbraw/zinc/40/92/36/338409236.db2.gz FTOGYPMEOJXLIW-HIFRSBDPSA-N 0 3 316.470 4.235 20 0 DIADHN C=Cn1cc(CNCc2sc3ccc(Cl)cc3c2C)cn1 ZINC000441340523 338409281 /nfs/dbraw/zinc/40/92/81/338409281.db2.gz KILAHAKNPFEYSY-UHFFFAOYSA-N 0 3 317.845 4.450 20 0 DIADHN C[C@H](NCCc1ccc2[nH]ccc2c1)c1cc(F)ccc1F ZINC000442130578 338421733 /nfs/dbraw/zinc/42/17/33/338421733.db2.gz RKWNASJKMQPBPS-LBPRGKRZSA-N 0 3 300.352 4.339 20 0 DIADHN CC[C@@H](NCC1(CCO)CC1)c1ccc(Cl)cc1Cl ZINC000157462616 338424506 /nfs/dbraw/zinc/42/45/06/338424506.db2.gz AGFPBWZWHTZITB-CQSZACIVSA-N 0 3 302.245 4.197 20 0 DIADHN C[C@H](N[C@@H]1Cc2[nH]c3ccccc3c2C1)c1c(F)cccc1F ZINC000442717199 338428792 /nfs/dbraw/zinc/42/87/92/338428792.db2.gz OYBFXPRZNOVAGO-RYUDHWBXSA-N 0 3 312.363 4.264 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccccc1SC)c1ccccc1 ZINC000442723030 338429118 /nfs/dbraw/zinc/42/91/18/338429118.db2.gz WNJKXTBQGPWZQZ-YOEHRIQHSA-N 0 3 301.455 4.447 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442798375 338432215 /nfs/dbraw/zinc/43/22/15/338432215.db2.gz WOVFMIARRKMJDM-QWHCGFSZSA-N 0 3 324.399 4.134 20 0 DIADHN c1ccc2c(c1)[nH]c1c2C[C@@H](N[C@H]2CSc3ccccc32)C1 ZINC000442799597 338432309 /nfs/dbraw/zinc/43/23/09/338432309.db2.gz YXQBVLQGDFYKSP-XIKOKIGWSA-N 0 3 306.434 4.072 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@@H]1OC)c1ccccc1OC(F)F ZINC000442807617 338432717 /nfs/dbraw/zinc/43/27/17/338432717.db2.gz FHXIEPBDFBQCLB-DZKIICNBSA-N 0 3 313.388 4.286 20 0 DIADHN C[S@@](=O)C1(CN[C@H](c2cc3ccccc3o2)C(C)(C)C)CC1 ZINC000442808093 338433005 /nfs/dbraw/zinc/43/30/05/338433005.db2.gz GMHZKSAFJRRHOI-OPAMFIHVSA-N 0 3 319.470 4.021 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1OCc1ccccc1)c1cccc(O)c1 ZINC000442848194 338434462 /nfs/dbraw/zinc/43/44/62/338434462.db2.gz AAQRNJPPRQRABC-YSSFQJQWSA-N 0 3 311.425 4.181 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1Cc3[nH]c4ccccc4c3C1)CO2 ZINC000442852458 338434758 /nfs/dbraw/zinc/43/47/58/338434758.db2.gz SHNZVSVTVPIEPF-KZULUSFZSA-N 0 3 324.811 4.012 20 0 DIADHN COCc1nc(CN[C@H](C)C2(C)CC2)c(-c2ccccc2)s1 ZINC000442865256 338436014 /nfs/dbraw/zinc/43/60/14/338436014.db2.gz SZBZRCHUOWAGCN-CYBMUJFWSA-N 0 3 316.470 4.235 20 0 DIADHN CCC(CC)(CC)CNC(=O)Nc1ccccc1CCN(C)C ZINC000446570779 338530467 /nfs/dbraw/zinc/53/04/67/338530467.db2.gz FAJDXKNAUXWCCQ-UHFFFAOYSA-N 0 3 319.493 4.129 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@H](O)CC(C)(C)C)CCCS2 ZINC000446818504 338536630 /nfs/dbraw/zinc/53/66/30/338536630.db2.gz OCMBAPVSZJOPKW-CZUORRHYSA-N 0 3 323.502 4.009 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1scnc1C)CCCS2 ZINC000446830133 338537220 /nfs/dbraw/zinc/53/72/20/338537220.db2.gz OUZRGQQTSVYUQE-AWEZNQCLSA-N 0 3 320.483 4.177 20 0 DIADHN C[C@@H](CC1CCCCC1)NCc1ccc(Br)cn1 ZINC000447085091 338544869 /nfs/dbraw/zinc/54/48/69/338544869.db2.gz GYCLPSHRGNGJKT-LBPRGKRZSA-N 0 3 311.267 4.293 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2cccs2)N2CCCC2)c(C)o1 ZINC000447516996 338553511 /nfs/dbraw/zinc/55/35/11/338553511.db2.gz IUQWWTPPUMCCPX-YOEHRIQHSA-N 0 3 318.486 4.446 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)COc2ccc(F)cc2F)c(C)o1 ZINC000447532574 338554808 /nfs/dbraw/zinc/55/48/08/338554808.db2.gz UYJITVHHARNBTO-CMPLNLGQSA-N 0 3 309.356 4.293 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000447553497 338556334 /nfs/dbraw/zinc/55/63/34/338556334.db2.gz RCTNNRCBWZOXEG-OXQOHEQNSA-N 0 3 313.441 4.432 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(OC)cc1F)c1ccccc1 ZINC000447566173 338557219 /nfs/dbraw/zinc/55/72/19/338557219.db2.gz QXVLQYUJRCCDNE-KUHUBIRLSA-N 0 3 317.404 4.263 20 0 DIADHN CCO[C@H](CN[C@@H]1CCCc2sccc21)c1ccccc1 ZINC000447568053 338557550 /nfs/dbraw/zinc/55/75/50/338557550.db2.gz HAHZBPSIUIGLBM-IAGOWNOFSA-N 0 3 301.455 4.493 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H](C)[C@@H]1CCCO1 ZINC000447576375 338558246 /nfs/dbraw/zinc/55/82/46/338558246.db2.gz AZJPSEHALMUAPG-SNPRPXQTSA-N 0 3 301.352 4.146 20 0 DIADHN C[C@@H](NC1(c2c(Cl)cccc2Cl)CCC1)[C@H]1CCOC1 ZINC000447578955 338558649 /nfs/dbraw/zinc/55/86/49/338558649.db2.gz LXALDBLHZRGOQC-NEPJUHHUSA-N 0 3 314.256 4.387 20 0 DIADHN COc1ccccc1OC[C@@H](C)CN[C@H](C)c1cc(C)oc1C ZINC000447590105 338560118 /nfs/dbraw/zinc/56/01/18/338560118.db2.gz ZYFLYLXNESBDMA-DZGCQCFKSA-N 0 3 317.429 4.271 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCc3c2cccc3OC)cc1 ZINC000447592486 338560393 /nfs/dbraw/zinc/56/03/93/338560393.db2.gz LVEADJGEMLKGJE-LJQANCHMSA-N 0 3 310.441 4.294 20 0 DIADHN C[C@H]1C[C@H](NC[C@@H]2CCCCN2C2CC2)c2ccsc2S1 ZINC000447596787 338561004 /nfs/dbraw/zinc/56/10/04/338561004.db2.gz CTHCXGMBWOBIMZ-NOLJZWGESA-N 0 3 322.543 4.280 20 0 DIADHN C=Cn1cc(CNCCSCc2cccc3ccccc32)cn1 ZINC000447815127 338567560 /nfs/dbraw/zinc/56/75/60/338567560.db2.gz CBZFUKYKGIXGEL-UHFFFAOYSA-N 0 3 323.465 4.160 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2cc3ccccc3[nH]2)s1 ZINC000447898718 338570944 /nfs/dbraw/zinc/57/09/44/338570944.db2.gz YHSAPYXNODTCJW-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CCOc3ccccc32)s1 ZINC000447946844 338572643 /nfs/dbraw/zinc/57/26/43/338572643.db2.gz YITOIHGWRNNQGU-CYBMUJFWSA-N 0 3 316.470 4.097 20 0 DIADHN C[C@H](CN1CCN(C2CCCCC2)CC1)c1cc(F)cc(F)c1 ZINC000449011061 338582687 /nfs/dbraw/zinc/58/26/87/338582687.db2.gz XJNFQWPSSQBMSW-OAHLLOKOSA-N 0 3 322.443 4.019 20 0 DIADHN COc1ccc([C@H](NCc2cc3cccnc3o2)C(C)C)cc1 ZINC000449067490 338590055 /nfs/dbraw/zinc/59/00/55/338590055.db2.gz LUBQHLQOMOFBFA-GOSISDBHSA-N 0 3 310.397 4.323 20 0 DIADHN Cc1cc(CNCCC(=O)Nc2cccc(Cl)c2C)cs1 ZINC000449109124 338594398 /nfs/dbraw/zinc/59/43/98/338594398.db2.gz VBRFCCAEJJXOIK-UHFFFAOYSA-N 0 3 322.861 4.137 20 0 DIADHN c1cc(CNCCCc2c[nH]c3ccccc23)n(C2CCCC2)n1 ZINC000449124641 338595377 /nfs/dbraw/zinc/59/53/77/338595377.db2.gz HCMWTSZCRSZXCK-UHFFFAOYSA-N 0 3 322.456 4.202 20 0 DIADHN C[C@H](N[C@@H]1CCOC2(CCC2)C1)c1nc(C(C)(C)C)cs1 ZINC000449125464 338595473 /nfs/dbraw/zinc/59/54/73/338595473.db2.gz JEUOFCXMQQGDTA-QWHCGFSZSA-N 0 3 308.491 4.193 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449132499 338596026 /nfs/dbraw/zinc/59/60/26/338596026.db2.gz UROUVGAZIZMXKI-BMIGLBTASA-N 0 3 321.342 4.018 20 0 DIADHN C[C@@]1(NCc2ccnn2C2CCCC2)CCCc2ccccc21 ZINC000449142581 338596762 /nfs/dbraw/zinc/59/67/62/338596762.db2.gz OSKSHFZXRQRXQO-HXUWFJFHSA-N 0 3 309.457 4.340 20 0 DIADHN CCc1ccc([C@H](COC)NC2CCC(CSC)CC2)o1 ZINC000449156574 338597641 /nfs/dbraw/zinc/59/76/41/338597641.db2.gz SLSZKEYDHZRDJK-XUJLQICISA-N 0 3 311.491 4.041 20 0 DIADHN CSCC1CCC(N[C@@H](c2nc(C3CC3)no2)C(C)C)CC1 ZINC000449219382 338603452 /nfs/dbraw/zinc/60/34/52/338603452.db2.gz ACPDVRXTIXEEII-PESDSKBTSA-N 0 3 323.506 4.156 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H](C)Cc1coc2ccccc12 ZINC000449223785 338604019 /nfs/dbraw/zinc/60/40/19/338604019.db2.gz KEYIXQIUHBQCGG-HNNXBMFYSA-N 0 3 311.429 4.006 20 0 DIADHN CC(C)[C@H](N[C@H](C)CC(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000449224235 338604024 /nfs/dbraw/zinc/60/40/24/338604024.db2.gz KZEBDLKSNLJNBY-HIFRSBDPSA-N 0 3 316.449 4.243 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000449259335 338607589 /nfs/dbraw/zinc/60/75/89/338607589.db2.gz SXMLZJFXOAUHBH-CXAGYDPISA-N 0 3 303.425 4.063 20 0 DIADHN Fc1cnccc1CNCC1(c2ccc(C(F)(F)F)cc2)CC1 ZINC000449265409 338608133 /nfs/dbraw/zinc/60/81/33/338608133.db2.gz QAWXCQNJZUZVNS-UHFFFAOYSA-N 0 3 324.321 4.061 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)Cc1coc2ccccc12 ZINC000449268553 338608483 /nfs/dbraw/zinc/60/84/83/338608483.db2.gz HSKRKPRCQWTZJE-KBPBESRZSA-N 0 3 310.397 4.118 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2cccc(C3CC3)c2)c1 ZINC000449348514 338616162 /nfs/dbraw/zinc/61/61/62/338616162.db2.gz GZPHDDRYVBEHAT-AWEZNQCLSA-N 0 3 309.409 4.201 20 0 DIADHN CC(F)(F)CCN[C@H]1CCc2c1ccc(F)c2Br ZINC000449353678 338616912 /nfs/dbraw/zinc/61/69/12/338616912.db2.gz FJMRKZYUVPNUAC-NSHDSACASA-N 0 3 322.168 4.210 20 0 DIADHN CC(F)(F)CCCNCc1ccc(-c2ccncc2)cc1F ZINC000449352875 338616998 /nfs/dbraw/zinc/61/69/98/338616998.db2.gz YVSBHZRKNPWZFZ-UHFFFAOYSA-N 0 3 308.347 4.413 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CNCCC(C)(F)F ZINC000449355329 338617185 /nfs/dbraw/zinc/61/71/85/338617185.db2.gz YBQKGCXJEDTZHV-UHFFFAOYSA-N 0 3 321.415 4.305 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(N(C)C(C)C)nc2)ccc1F ZINC000449372256 338618625 /nfs/dbraw/zinc/61/86/25/338618625.db2.gz FCSCDVAKIGVRTH-OAHLLOKOSA-N 0 3 315.436 4.225 20 0 DIADHN COCCOc1ccc(CN[C@H](C)c2ccc(F)c(C)c2)cc1 ZINC000449372702 338618781 /nfs/dbraw/zinc/61/87/81/338618781.db2.gz KYPOFQKWJARTCN-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN Fc1cc(CNC[C@H]2CCCCC2(F)F)ccc1OC(F)F ZINC000449374751 338619049 /nfs/dbraw/zinc/61/90/49/338619049.db2.gz GEMWWBNIYCRFKX-LLVKDONJSA-N 0 3 323.305 4.342 20 0 DIADHN CC(C)(CNCc1ncc(-c2ccccc2)s1)c1ccccn1 ZINC000449393427 338620283 /nfs/dbraw/zinc/62/02/83/338620283.db2.gz BYXMBOIMVSKJAX-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN C[C@H](CNCc1cccc(C(F)(F)F)n1)c1ccc(F)cc1 ZINC000449399860 338620645 /nfs/dbraw/zinc/62/06/45/338620645.db2.gz DXOCFQGWHVYLRH-LLVKDONJSA-N 0 3 312.310 4.133 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(C(F)(F)F)c1)[C@@H](C)C2 ZINC000449439780 338624259 /nfs/dbraw/zinc/62/42/59/338624259.db2.gz WYAUDZLYXOZAEP-SJCJKPOMSA-N 0 3 320.358 4.432 20 0 DIADHN Cc1ncsc1CN[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000449453298 338625827 /nfs/dbraw/zinc/62/58/27/338625827.db2.gz WKIUJCNCQJKQCL-HNNXBMFYSA-N 0 3 310.825 4.485 20 0 DIADHN Cc1cccnc1CCCNCc1csc(Cl)c1Cl ZINC000449486906 338629986 /nfs/dbraw/zinc/62/99/86/338629986.db2.gz VWMXVTFINIAVAY-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN Cc1cccnc1CCNCc1csc(Cl)c1Cl ZINC000449492431 338630857 /nfs/dbraw/zinc/63/08/57/338630857.db2.gz JEKBYOXQMVGLCY-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CCOc1ccc(CNC[C@H]2CCCC2(F)F)cc1OCC ZINC000449499081 338631922 /nfs/dbraw/zinc/63/19/22/338631922.db2.gz SUYPXPDTHLQJLB-CQSZACIVSA-N 0 3 313.388 4.009 20 0 DIADHN CCOc1ccc(CNC[C@@H]2CCCC2(F)F)cc1OCC ZINC000449499080 338631982 /nfs/dbraw/zinc/63/19/82/338631982.db2.gz SUYPXPDTHLQJLB-AWEZNQCLSA-N 0 3 313.388 4.009 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1cnccc1C ZINC000449526291 338634610 /nfs/dbraw/zinc/63/46/10/338634610.db2.gz VNWSGQJELQMUMV-LCYFTJDESA-N 0 3 318.367 4.185 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(c1ccccc1)c1ccccc1 ZINC000449567698 338638400 /nfs/dbraw/zinc/63/84/00/338638400.db2.gz XRYGYQWMCNLYLM-KRWDZBQOSA-N 0 3 319.452 4.386 20 0 DIADHN C[C@H]1C[C@H](NCCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000449571735 338638939 /nfs/dbraw/zinc/63/89/39/338638939.db2.gz LYLDBPNVVYFYPO-NHYWBVRUSA-N 0 3 324.255 4.418 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H](C)c1ccc(Cl)cc1 ZINC000449573701 338639085 /nfs/dbraw/zinc/63/90/85/338639085.db2.gz RSRJXBWSMPHHFR-RSUWNVLCSA-N 0 3 303.837 4.324 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000449573877 338639126 /nfs/dbraw/zinc/63/91/26/338639126.db2.gz SKZPTGFXXLEJMN-TYNCELHUSA-N 0 3 323.362 4.129 20 0 DIADHN C[C@H]1C[C@H](NC2CC(c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449573310 338639140 /nfs/dbraw/zinc/63/91/40/338639140.db2.gz QKOXXAAGHWLFSW-WIRQWFGLSA-N 0 3 301.821 4.078 20 0 DIADHN Cc1ccncc1CCCN[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449587881 338640072 /nfs/dbraw/zinc/64/00/72/338640072.db2.gz PKEMZXJEAWBFTQ-OAHLLOKOSA-N 0 3 320.358 4.017 20 0 DIADHN CCCc1ncc(CN[C@H]2CCC[C@H]2c2ccc(F)cc2)o1 ZINC000449612484 338641020 /nfs/dbraw/zinc/64/10/20/338641020.db2.gz MKMAXSSDADKEDU-IRXDYDNUSA-N 0 3 302.393 4.192 20 0 DIADHN CCCc1csc(CN[C@H]2CCO[C@@H](c3cccs3)C2)n1 ZINC000449621085 338642050 /nfs/dbraw/zinc/64/20/50/338642050.db2.gz GPXQUVBPVRVIRC-GXTWGEPZSA-N 0 3 322.499 4.167 20 0 DIADHN CO[C@H]([C@H](C)NCc1cnc(-c2ccc(C)cc2)s1)C1CC1 ZINC000449625314 338642480 /nfs/dbraw/zinc/64/24/80/338642480.db2.gz BFBDAORQPOPKKB-SUMWQHHRSA-N 0 3 316.470 4.022 20 0 DIADHN CO[C@@H]([C@H](C)NCc1cnc(-c2ccc(C)cc2)s1)C1CC1 ZINC000449625313 338642516 /nfs/dbraw/zinc/64/25/16/338642516.db2.gz BFBDAORQPOPKKB-GUYCJALGSA-N 0 3 316.470 4.022 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@H](c3cccs3)C2)c1 ZINC000449625527 338642530 /nfs/dbraw/zinc/64/25/30/338642530.db2.gz OQKYMAAIOZNDGB-LZWOXQAQSA-N 0 3 302.443 4.022 20 0 DIADHN CN1CCC[C@H](NCc2cc3ccc(F)cc3[nH]2)c2ccccc21 ZINC000449647227 338644136 /nfs/dbraw/zinc/64/41/36/338644136.db2.gz DDHJGTGVLUPCCU-SFHVURJKSA-N 0 3 323.415 4.368 20 0 DIADHN CN1CCC[C@@H](NCc2ccc(Cl)s2)c2ccccc21 ZINC000449653300 338644427 /nfs/dbraw/zinc/64/44/27/338644427.db2.gz RWLBISDEZXULBP-CQSZACIVSA-N 0 3 306.862 4.462 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1cnc(-c2ccsc2)s1 ZINC000449756165 338650133 /nfs/dbraw/zinc/65/01/33/338650133.db2.gz ALBHSXJOFBAUDB-HUUCEWRRSA-N 0 3 322.499 4.309 20 0 DIADHN Fc1cnccc1CN[C@@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000449778863 338652252 /nfs/dbraw/zinc/65/22/52/338652252.db2.gz WYIIHTSVUMHXFA-XJKSGUPXSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CC[C@@H](C)[C@@H](C)C2)c(CN(C)C)c1 ZINC000449859737 338656067 /nfs/dbraw/zinc/65/60/67/338656067.db2.gz HQYMZSIXZGAQPZ-OWCLPIDISA-N 0 3 302.462 4.067 20 0 DIADHN CCC[C@@H](NCc1ccn(CC(F)(F)F)n1)C1CCCCC1 ZINC000450681118 338698664 /nfs/dbraw/zinc/69/86/64/338698664.db2.gz ADEJPVUWAZNXMN-OAHLLOKOSA-N 0 3 317.399 4.284 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)c(Cl)c1)c1cccs1 ZINC000450711686 338700436 /nfs/dbraw/zinc/70/04/36/338700436.db2.gz DDSBPEBUSYMFCW-AWEZNQCLSA-N 0 3 323.845 4.429 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(Cl)c(OC)c1 ZINC000450721096 338701264 /nfs/dbraw/zinc/70/12/64/338701264.db2.gz VTUQYFHDSMXPRA-GFCCVEGCSA-N 0 3 304.821 4.157 20 0 DIADHN C[C@@H](NCc1ccn(CC(F)(F)F)n1)[C@H](C)C1CCCCC1 ZINC000450725505 338701711 /nfs/dbraw/zinc/70/17/11/338701711.db2.gz IKUCEMUKRHLHRR-QWHCGFSZSA-N 0 3 317.399 4.140 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC[C@H]1CCCCC1(F)F ZINC000450764477 338704297 /nfs/dbraw/zinc/70/42/97/338704297.db2.gz CMLGURVWRPWJAT-TZMCWYRMSA-N 0 3 313.436 4.423 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1nnc(C2CC2)s1 ZINC000451295830 338728690 /nfs/dbraw/zinc/72/86/90/338728690.db2.gz KZZHPJCIOPEALZ-QWRGUYRKSA-N 0 3 319.396 4.016 20 0 DIADHN CC1(C)[C@H](NCc2nc(C3CCCCC3)cs2)[C@H]2CCO[C@H]21 ZINC000451471266 338735851 /nfs/dbraw/zinc/73/58/51/338735851.db2.gz OWZXLVQIBVUQJE-KBRIMQKVSA-N 0 3 320.502 4.094 20 0 DIADHN CC[C@@H](F)CN[C@@H](CCOC)c1cccc(C(F)(F)F)c1 ZINC000451689570 338742069 /nfs/dbraw/zinc/74/20/69/338742069.db2.gz JKNGWIVLTKNCPR-KGLIPLIRSA-N 0 3 307.331 4.121 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1CCC[C@@H](C)C1)c1nc2ccccc2c(=O)[nH]1 ZINC000452064658 338752505 /nfs/dbraw/zinc/75/25/05/338752505.db2.gz GRZWVZSDALCIBC-LXTVHRRPSA-N 0 3 313.445 4.201 20 0 DIADHN C[C@@H](N[C@@H](C)[C@H]1CCC[C@@H](C)C1)C(=O)Nc1c(F)cccc1F ZINC000452071354 338752639 /nfs/dbraw/zinc/75/26/39/338752639.db2.gz QUEJTEWINQKUSH-RQJABVFESA-N 0 3 324.415 4.096 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@H]1CCc2cc(Br)ccc21 ZINC000163614357 338763940 /nfs/dbraw/zinc/76/39/40/338763940.db2.gz HHFZCXBBPGRTSG-UFBFGSQYSA-N 0 3 322.168 4.367 20 0 DIADHN CCn1ccnc1[C@H](C)NCCc1ccc(Cl)cc1Cl ZINC000453054303 338788092 /nfs/dbraw/zinc/78/80/92/338788092.db2.gz HIQBRXYEEIUDPZ-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC1(c2ccc(F)cc2)CCCC1 ZINC000453057371 338788433 /nfs/dbraw/zinc/78/84/33/338788433.db2.gz DRJZLEHXVCYLTD-OAHLLOKOSA-N 0 3 315.436 4.205 20 0 DIADHN CCn1ccnc1[C@H](C)NCCc1cc(Cl)cc(Cl)c1 ZINC000453072856 338790445 /nfs/dbraw/zinc/79/04/45/338790445.db2.gz IKUGANJEUIZMHA-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN C[C@@H]1C[C@H](NCC2(c3ccc(F)cc3)CCCC2)c2nccn21 ZINC000453072535 338790558 /nfs/dbraw/zinc/79/05/58/338790558.db2.gz YTGUNFBNXZKKBQ-PBHICJAKSA-N 0 3 313.420 4.130 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccnc2-n2cccn2)c2sccc21 ZINC000453076584 338790930 /nfs/dbraw/zinc/79/09/30/338790930.db2.gz JEQOKTCZGIBFGK-BBRMVZONSA-N 0 3 324.453 4.057 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccncn1 ZINC000453081678 338791462 /nfs/dbraw/zinc/79/14/62/338791462.db2.gz CGXZHNLXFSUYMC-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN CC[C@@H](N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccncc1 ZINC000453090061 338792504 /nfs/dbraw/zinc/79/25/04/338792504.db2.gz ORAPZPTVTCVSOU-XLIONFOSSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCCCC1)c1ccncn1 ZINC000453095991 338793184 /nfs/dbraw/zinc/79/31/84/338793184.db2.gz OBCQRDGTIXJJDR-HNNXBMFYSA-N 0 3 313.420 4.168 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@@H](C)c3ccsc32)cc1O ZINC000453097202 338793368 /nfs/dbraw/zinc/79/33/68/338793368.db2.gz QOVJPJWZLODVMD-BXUZGUMPSA-N 0 3 303.427 4.191 20 0 DIADHN C[C@H]1C[C@@H](NCC2(c3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453101410 338793954 /nfs/dbraw/zinc/79/39/54/338793954.db2.gz YXSMDDYDCWACEV-XJKSGUPXSA-N 0 3 315.848 4.254 20 0 DIADHN CC(C)c1nc(CN[C@@H](C)CCCc2cccnc2)cs1 ZINC000453101681 338794017 /nfs/dbraw/zinc/79/40/17/338794017.db2.gz OMZVPLTWSLHVNZ-AWEZNQCLSA-N 0 3 303.475 4.163 20 0 DIADHN CCc1nc([C@H](C)N[C@H](C)CCCc2cccnc2)cs1 ZINC000453112144 338795213 /nfs/dbraw/zinc/79/52/13/338795213.db2.gz FPUMBOCKVCMPFV-KGLIPLIRSA-N 0 3 303.475 4.163 20 0 DIADHN CC[C@H](NCc1cccc(-c2cnn(C)c2)c1)c1ccsc1 ZINC000453181833 338803689 /nfs/dbraw/zinc/80/36/89/338803689.db2.gz QTJBKKUDAVJGME-SFHVURJKSA-N 0 3 311.454 4.390 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H](C)c1cc(-c2ccccc2)nn1C ZINC000453190800 338805233 /nfs/dbraw/zinc/80/52/33/338805233.db2.gz DCLGTQXRTMOJPW-RYUDHWBXSA-N 0 3 311.351 4.079 20 0 DIADHN C[C@@H](Cc1cnccn1)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000453202155 338807211 /nfs/dbraw/zinc/80/72/11/338807211.db2.gz CZNVFVAZWFHSKI-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN C[C@@H](NC1CC(C)(F)C1)c1cc(Br)ccc1F ZINC000453230909 338811341 /nfs/dbraw/zinc/81/13/41/338811341.db2.gz FJRKCPUFMZXIHH-NCZMNARYSA-N 0 3 304.178 4.129 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(Cl)cc(Cl)c1)[C@H](O)C(F)F ZINC000453265423 338816133 /nfs/dbraw/zinc/81/61/33/338816133.db2.gz BYUNPGZJRQLURP-MKCWBWRRSA-N 0 3 312.187 4.049 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3c(F)cccc32)cc1O ZINC000453222700 338809993 /nfs/dbraw/zinc/80/99/93/338809993.db2.gz AHSILDNYYRXABJ-SJKOYZFVSA-N 0 3 315.388 4.268 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3c(F)cccc32)cc1O ZINC000453222698 338810085 /nfs/dbraw/zinc/81/00/85/338810085.db2.gz AHSILDNYYRXABJ-PXAZEXFGSA-N 0 3 315.388 4.268 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCCc3c(F)cccc32)cn1 ZINC000453222737 338810098 /nfs/dbraw/zinc/81/00/98/338810098.db2.gz ARKRBKGGVQZVOW-UGSOOPFHSA-N 0 3 301.409 4.331 20 0 DIADHN COC[C@H](NCCCC(C)(F)F)c1cccc(Cl)c1F ZINC000453230831 338811225 /nfs/dbraw/zinc/81/12/25/338811225.db2.gz ICWIMRXSCOAWMX-LBPRGKRZSA-N 0 3 309.759 4.192 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCN(C3CCCCC3)C2=O)cs1 ZINC000453237817 338812274 /nfs/dbraw/zinc/81/22/74/338812274.db2.gz ZUDIRBKXHLQHHI-WMLDXEAASA-N 0 3 320.502 4.031 20 0 DIADHN C[C@H](NC1CC(C)(F)C1)c1ccc(Br)cc1F ZINC000453238085 338812527 /nfs/dbraw/zinc/81/25/27/338812527.db2.gz NIAJBDOCBOSKMN-LUHBLDKBSA-N 0 3 304.178 4.129 20 0 DIADHN CC[C@H](COCC(F)(F)F)N[C@H]1CCSc2ccccc21 ZINC000453265188 338816053 /nfs/dbraw/zinc/81/60/53/338816053.db2.gz XOTZTVZIPOFXNV-YPMHNXCESA-N 0 3 319.392 4.171 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(SC(C)C)cc1)[C@@H](O)C(F)F ZINC000453269850 338816644 /nfs/dbraw/zinc/81/66/44/338816644.db2.gz NNIVHXWLOMZNKG-BYCMXARLSA-N 0 3 317.445 4.242 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(SC(C)C)cc1)[C@H](O)C(F)F ZINC000453269858 338816679 /nfs/dbraw/zinc/81/66/79/338816679.db2.gz NNIVHXWLOMZNKG-CQDKDKBSSA-N 0 3 317.445 4.242 20 0 DIADHN CC[C@H](N[C@H]1CC[C@@H](CO)C1)c1ccc(Cl)cc1Cl ZINC000453293044 338819741 /nfs/dbraw/zinc/81/97/41/338819741.db2.gz UZEMNQZPOWTMCQ-GMXABZIVSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1cccc([C@@H](N[C@H](C)CCCCO)c2cccc(F)c2)c1 ZINC000453281083 338818061 /nfs/dbraw/zinc/81/80/61/338818061.db2.gz HCMLSQHZQFFDRJ-OXQOHEQNSA-N 0 3 315.432 4.364 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000453281821 338818232 /nfs/dbraw/zinc/81/82/32/338818232.db2.gz HNXDOGMUORFLCZ-HNNXBMFYSA-N 0 3 306.331 4.186 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)NCC2=CCOCC2)cc1 ZINC000453284408 338818541 /nfs/dbraw/zinc/81/85/41/338818541.db2.gz IMYNPNLHPLUWJY-MRXNPFEDSA-N 0 3 323.436 4.360 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(OC(F)(F)F)cc32)ccn1 ZINC000453287407 338819083 /nfs/dbraw/zinc/81/90/83/338819083.db2.gz LEKMJQNNBYUPSJ-INIZCTEOSA-N 0 3 322.330 4.066 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccn1)c1cnn(C2CCCC2)c1 ZINC000453295581 338820240 /nfs/dbraw/zinc/82/02/40/338820240.db2.gz RRIXQYSGBKSLRT-HNNXBMFYSA-N 0 3 312.461 4.022 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@H]3CCOC3(C)C)cs2)cs1 ZINC000453299603 338820826 /nfs/dbraw/zinc/82/08/26/338820826.db2.gz VAKZYZFEWTYQQM-BONVTDFDSA-N 0 3 322.499 4.398 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000453318835 338824003 /nfs/dbraw/zinc/82/40/03/338824003.db2.gz LRUWOTAQBRYQGH-DIFFPNOSSA-N 0 3 321.827 4.497 20 0 DIADHN COC[C@@H](CN[C@H](C)c1csc(C)n1)c1ccc(Cl)cc1 ZINC000453325717 338825238 /nfs/dbraw/zinc/82/52/38/338825238.db2.gz RSDYICZLODKUEQ-BXUZGUMPSA-N 0 3 324.877 4.186 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H]2CCOC3(CCC3)C2)cs1 ZINC000453330981 338826107 /nfs/dbraw/zinc/82/61/07/338826107.db2.gz ZBGKEEMAYLHZBW-ZIAGYGMSSA-N 0 3 308.491 4.266 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@H]1COCC1(C)C ZINC000453340490 338827710 /nfs/dbraw/zinc/82/77/10/338827710.db2.gz BXUBGNCSLYVJKR-DOMZBBRYSA-N 0 3 316.470 4.194 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@H]3COCC3(C)C)cs2)cs1 ZINC000453354015 338830171 /nfs/dbraw/zinc/83/01/71/338830171.db2.gz IEDUHFXHSHOOLO-BONVTDFDSA-N 0 3 322.499 4.256 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCCN(C)c2ccccc21 ZINC000453366625 338832506 /nfs/dbraw/zinc/83/25/06/338832506.db2.gz MDGYOPRIWCSCAI-MOPGFXCFSA-N 0 3 322.452 4.243 20 0 DIADHN Cc1cc([C@@H](C)N2CCC(OCCOC(C)C)CC2)cs1 ZINC000453371048 338833360 /nfs/dbraw/zinc/83/33/60/338833360.db2.gz RRVUVUBRPPNPAR-OAHLLOKOSA-N 0 3 311.491 4.024 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H](Cc1ccccc1Cl)C1CC1 ZINC000453372031 338833432 /nfs/dbraw/zinc/83/34/32/338833432.db2.gz WMFUREQDUWWCMV-CXAGYDPISA-N 0 3 317.864 4.228 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H]1C[C@@H](c2cccc(OC)c2)C1 ZINC000453371708 338833471 /nfs/dbraw/zinc/83/34/71/338833471.db2.gz OALDNBBJIREIGN-QEJZJMRPSA-N 0 3 317.454 4.362 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCCc1cnc(C)cn1 ZINC000453420544 338840972 /nfs/dbraw/zinc/84/09/72/338840972.db2.gz ZQRXPCNNNCTDPO-HNNXBMFYSA-N 0 3 323.440 4.295 20 0 DIADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](C)c1ccc(NC(C)=O)cc1OC ZINC000453464932 338843899 /nfs/dbraw/zinc/84/38/99/338843899.db2.gz XZVDGEBCEOBLBP-FASAQXTFSA-N 0 3 318.461 4.129 20 0 DIADHN Cc1cc(Br)c(CN[C@H](C)CC(F)(F)F)s1 ZINC000453564874 338848207 /nfs/dbraw/zinc/84/82/07/338848207.db2.gz HNOOYKKVRXEOEV-ZCFIWIBFSA-N 0 3 316.186 4.250 20 0 DIADHN CC(C)(CO)[C@H](NC/C=C/c1ccc(F)cc1)c1cccs1 ZINC000453576813 338848787 /nfs/dbraw/zinc/84/87/87/338848787.db2.gz NQGJNTCNFJFCPA-NJELEJDNSA-N 0 3 319.445 4.250 20 0 DIADHN CC(C)(CO)[C@H](NCc1coc2ccccc12)c1cccs1 ZINC000453577458 338848948 /nfs/dbraw/zinc/84/89/48/338848948.db2.gz OOQSKSVMCHAPCD-QGZVFWFLSA-N 0 3 315.438 4.344 20 0 DIADHN Cc1ccc(-c2ncc(CNCCOCC3CCCC3)s2)o1 ZINC000453579889 338848982 /nfs/dbraw/zinc/84/89/82/338848982.db2.gz DZFCFCQXGKLESR-UHFFFAOYSA-N 0 3 320.458 4.008 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CC[C@H](CC(C)(C)C)C2)c1 ZINC000454358429 338861508 /nfs/dbraw/zinc/86/15/08/338861508.db2.gz IWTOIVXFCOKQDH-MRXNPFEDSA-N 0 3 317.477 4.038 20 0 DIADHN c1cnn(-c2ccccc2CNc2ccnc3ccccc32)c1 ZINC000195601434 338909890 /nfs/dbraw/zinc/90/98/90/338909890.db2.gz PYXPSCPMFGZUAE-UHFFFAOYSA-N 0 3 300.365 4.033 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cc(Cl)ccc2C)cc1 ZINC000195651173 338912326 /nfs/dbraw/zinc/91/23/26/338912326.db2.gz RTGHSMXUCXPHGS-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN CC[C@H](CC(F)F)C(=O)Nc1cccc(CN(CC)CC)c1 ZINC000457432205 338963403 /nfs/dbraw/zinc/96/34/03/338963403.db2.gz CWHUJRPJRYVUJU-CQSZACIVSA-N 0 3 312.404 4.148 20 0 DIADHN Cc1cc(NC(=O)c2sccc2Cl)ccc1CN(C)C ZINC000458257118 338993495 /nfs/dbraw/zinc/99/34/95/338993495.db2.gz CSWHQHIFDVAFOC-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN c1c(CN2CCCCCC2)c(-c2ccccn2)nn1C1CCCC1 ZINC000459297211 339019966 /nfs/dbraw/zinc/01/99/66/339019966.db2.gz NYNATDVQGNOCCH-UHFFFAOYSA-N 0 3 324.472 4.436 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccccc1)Cc1cnc(C2CC2)nc1 ZINC000459298606 339020112 /nfs/dbraw/zinc/02/01/12/339020112.db2.gz PDBVKQFWKVDIMT-HNNXBMFYSA-N 0 3 307.441 4.155 20 0 DIADHN O=C(c1ccccc1)C1CCN(C[C@@H]2C[C@H]2c2ccccc2)CC1 ZINC000459302459 339020371 /nfs/dbraw/zinc/02/03/71/339020371.db2.gz DPBXPRZPYSLTGX-SFTDATJTSA-N 0 3 319.448 4.385 20 0 DIADHN OC[C@H](N[C@@H]1CCC[C@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000467409314 339021129 /nfs/dbraw/zinc/02/11/29/339021129.db2.gz WBEMOYXNWLHHRF-ZENOOKHLSA-N 0 3 311.828 4.071 20 0 DIADHN CCOc1cc(CN(CC)[C@H](C)c2cccc(O)c2)ccc1O ZINC000459329878 339022164 /nfs/dbraw/zinc/02/21/64/339022164.db2.gz RBHCTGJEDNJNAZ-CQSZACIVSA-N 0 3 315.413 4.080 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459337759 339022725 /nfs/dbraw/zinc/02/27/25/339022725.db2.gz GAQKETCUCLXFJB-CRAIPNDOSA-N 0 3 307.441 4.040 20 0 DIADHN c1ccc(OC2CCCC2)c(NC2CCN(C3CC3)CC2)c1 ZINC000459346378 339023503 /nfs/dbraw/zinc/02/35/03/339023503.db2.gz JTUJQOSEGJUORS-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN c1nc(C2CC2)oc1-c1ccc(NC2CCN(C3CC3)CC2)cc1 ZINC000459350985 339023510 /nfs/dbraw/zinc/02/35/10/339023510.db2.gz PTGWIAWDHBWPBE-UHFFFAOYSA-N 0 3 323.440 4.258 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNCc1ccc(C)cc1 ZINC000459358221 339024350 /nfs/dbraw/zinc/02/43/50/339024350.db2.gz CBWJDUVMDGZUOJ-UHFFFAOYSA-N 0 3 311.454 4.180 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2cnc(C3CC3)nc2)cc1 ZINC000459373623 339025344 /nfs/dbraw/zinc/02/53/44/339025344.db2.gz LCELCUYSZFYXTA-UHFFFAOYSA-N 0 3 309.457 4.072 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)nc1)c1ccc(Cl)cc1 ZINC000459374579 339025488 /nfs/dbraw/zinc/02/54/88/339025488.db2.gz OUDAPARVUCVRFN-UHFFFAOYSA-N 0 3 315.848 4.075 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2ccc(C3CC3)cc2)cc1 ZINC000459390695 339026491 /nfs/dbraw/zinc/02/64/91/339026491.db2.gz OYRJSUZJTONSQE-UHFFFAOYSA-N 0 3 322.452 4.448 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)nc1)c1cccc(Cl)c1 ZINC000459391331 339026521 /nfs/dbraw/zinc/02/65/21/339026521.db2.gz QWAXDIPAEIYLCQ-UHFFFAOYSA-N 0 3 315.848 4.075 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)nc1)c1cc2ccccc2s1 ZINC000459406804 339027346 /nfs/dbraw/zinc/02/73/46/339027346.db2.gz LNDCLERGBZAJCZ-LBPRGKRZSA-N 0 3 309.438 4.420 20 0 DIADHN CC[C@@](C)(NC[C@@H]1C[C@H]1c1ccccc1)c1nc(C)cs1 ZINC000459406761 339027361 /nfs/dbraw/zinc/02/73/61/339027361.db2.gz GWXAVPMQJRVRNN-XYJFISCASA-N 0 3 300.471 4.470 20 0 DIADHN c1cc(C2(NCc3ccc(C4CC4)cc3)CC2)cc2c1OCO2 ZINC000459429698 339028665 /nfs/dbraw/zinc/02/86/65/339028665.db2.gz HUPKFXIEBJDLGX-UHFFFAOYSA-N 0 3 307.393 4.072 20 0 DIADHN Cc1ccn(-c2ccccc2CNCc2ccc(C3CC3)cc2)n1 ZINC000459446885 339029623 /nfs/dbraw/zinc/02/96/23/339029623.db2.gz QAUVZSBXDIXXDA-UHFFFAOYSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@H](C)CC(F)(F)F ZINC000459478267 339031633 /nfs/dbraw/zinc/03/16/33/339031633.db2.gz KMBFFHWUTRZZKV-MRVPVSSYSA-N 0 3 317.380 4.012 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3cnc(C4CC4)nc3)C2)cc1 ZINC000459510606 339033403 /nfs/dbraw/zinc/03/34/03/339033403.db2.gz WXSCOHUWCZEVQT-KXBFYZLASA-N 0 3 307.441 4.040 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cnc(C2CC2)nc1 ZINC000459529396 339034194 /nfs/dbraw/zinc/03/41/94/339034194.db2.gz LVQJNGYSVLTYHA-KXBFYZLASA-N 0 3 307.441 4.122 20 0 DIADHN c1ccc(COc2cnccc2CNC2CC3(CCC3)C2)cc1 ZINC000459540680 339034741 /nfs/dbraw/zinc/03/47/41/339034741.db2.gz GPXQYKRCAVMNNB-UHFFFAOYSA-N 0 3 308.425 4.083 20 0 DIADHN C[C@@H]1CC[C@H](c2ccccc2)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459551701 339035298 /nfs/dbraw/zinc/03/52/98/339035298.db2.gz XCGBBYBIQWTRNJ-DNVCBOLYSA-N 0 3 307.441 4.327 20 0 DIADHN c1c(CN2CC[C@@H]2C2CC2)c(-c2ccccn2)nn1C1CCCC1 ZINC000459567767 339036403 /nfs/dbraw/zinc/03/64/03/339036403.db2.gz AODJHAYVKDUSKN-LJQANCHMSA-N 0 3 322.456 4.045 20 0 DIADHN CC(=O)Nc1cccc(CN2Cc3sccc3CC2(C)C)c1 ZINC000459573772 339036696 /nfs/dbraw/zinc/03/66/96/339036696.db2.gz CBDDAIZJEJUPLK-UHFFFAOYSA-N 0 3 314.454 4.043 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000459573251 339036811 /nfs/dbraw/zinc/03/68/11/339036811.db2.gz RYINCWCTNMDYDI-VCBZYWHSSA-N 0 3 307.441 4.121 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2CCOC3(CCC3)C2)c(Cl)c1 ZINC000459583641 339037205 /nfs/dbraw/zinc/03/72/05/339037205.db2.gz SSODNCGLMZTTMQ-ZDUSSCGKSA-N 0 3 311.828 4.226 20 0 DIADHN c1cnc(Oc2ccc(CN3CC4(C3)CCCCC4)cc2)cn1 ZINC000459596899 339037964 /nfs/dbraw/zinc/03/79/64/339037964.db2.gz OOOSWRWCGYCCKM-UHFFFAOYSA-N 0 3 309.413 4.035 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1nnc(-c2ccccc2Cl)o1 ZINC000459607321 339038298 /nfs/dbraw/zinc/03/82/98/339038298.db2.gz GGVHOTVMUATBOE-YVEFUNNKSA-N 0 3 319.836 4.448 20 0 DIADHN CC(C)(O)C1CN(Cc2ccc(-c3ccc(Cl)cc3)cc2)C1 ZINC000459608149 339038330 /nfs/dbraw/zinc/03/83/30/339038330.db2.gz HGEZTFDSRZSSAE-UHFFFAOYSA-N 0 3 315.844 4.210 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC4(C3)CCOCC4)s2)cc1 ZINC000459611284 339038415 /nfs/dbraw/zinc/03/84/15/339038415.db2.gz CNZUURLKWJPPGI-UHFFFAOYSA-N 0 3 313.466 4.336 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000459612088 339038422 /nfs/dbraw/zinc/03/84/22/339038422.db2.gz MDJSTDFIHBWELY-SJKOYZFVSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1ccc(Oc2ccccc2)nn1 ZINC000459619481 339038644 /nfs/dbraw/zinc/03/86/44/339038644.db2.gz UOFLJKPPJCHYGD-BEFAXECRSA-N 0 3 311.429 4.327 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000459623151 339038825 /nfs/dbraw/zinc/03/88/25/339038825.db2.gz YUPUELNSGRKNIG-SJCJKPOMSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@H](CCc1ccsc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000459648969 339040210 /nfs/dbraw/zinc/04/02/10/339040210.db2.gz LFGCFLKRSNMMNT-NEPJUHHUSA-N 0 3 306.500 4.365 20 0 DIADHN COc1ccc([C@@H](C)CN[C@@H](C)c2nc3c(s2)CCC3)cc1 ZINC000459649815 339040324 /nfs/dbraw/zinc/04/03/24/339040324.db2.gz MNBCWAWYLIEYNW-STQMWFEESA-N 0 3 316.470 4.095 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2c(s1)CCC2)C1CCC(F)(F)CC1 ZINC000459655240 339040861 /nfs/dbraw/zinc/04/08/61/339040861.db2.gz UQBVGMDCTXRHLL-MNOVXSKESA-N 0 3 314.445 4.496 20 0 DIADHN Cc1ncc(CN[C@@H](CCc2ccccc2)Cc2ccccc2)o1 ZINC000459669090 339041922 /nfs/dbraw/zinc/04/19/22/339041922.db2.gz CYJNQHKEFNEWTN-FQEVSTJZSA-N 0 3 320.436 4.317 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)o1 ZINC000459675447 339042379 /nfs/dbraw/zinc/04/23/79/339042379.db2.gz MLXOXEZUNMEKLS-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1cnc(CNCC2(Cc3cccc(Cl)c3)CC2)s1 ZINC000459721347 339044220 /nfs/dbraw/zinc/04/42/20/339044220.db2.gz VXEQNPVRSNFPFN-UHFFFAOYSA-N 0 3 306.862 4.217 20 0 DIADHN CC(=O)Nc1ccccc1CN1CC2(CCCCC2)[C@@H]1C(C)C ZINC000459726814 339044485 /nfs/dbraw/zinc/04/44/85/339044485.db2.gz BUFVSQDHCIRYKV-IBGZPJMESA-N 0 3 314.473 4.436 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000459737097 339045293 /nfs/dbraw/zinc/04/52/93/339045293.db2.gz HDYIZITXOBKOBA-CABCVRRESA-N 0 3 322.861 4.121 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@H](C)Cc2cccc(F)c2)o1 ZINC000459760900 339046776 /nfs/dbraw/zinc/04/67/76/339046776.db2.gz KGOJJVHPQKEBME-CYBMUJFWSA-N 0 3 304.409 4.138 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)[C@H](C)Cc1cccc(F)c1 ZINC000459760151 339046858 /nfs/dbraw/zinc/04/68/58/339046858.db2.gz JRVNKIHMJAZTGX-CYBMUJFWSA-N 0 3 304.409 4.309 20 0 DIADHN Clc1ccc(-c2nc(CN[C@H]3CCC[C@@H]4C[C@@H]43)co2)cc1 ZINC000459773356 339047650 /nfs/dbraw/zinc/04/76/50/339047650.db2.gz IMBIIRZKERLPFI-KCXAZCMYSA-N 0 3 302.805 4.273 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1nnc(-c2cccs2)o1)C1CCC1 ZINC000459811677 339049551 /nfs/dbraw/zinc/04/95/51/339049551.db2.gz VBFIJFXZYKBFJH-WCQYABFASA-N 0 3 305.447 4.418 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)c2ccccc21)c1ccc(NC(N)=O)cc1 ZINC000165458179 339052987 /nfs/dbraw/zinc/05/29/87/339052987.db2.gz ITHWFIIUPNBBMC-BIENJYKASA-N 0 3 323.440 4.466 20 0 DIADHN COc1ccc([C@@H](NC[C@@H](C)SC)c2ccccc2)cc1 ZINC000166173344 339053142 /nfs/dbraw/zinc/05/31/42/339053142.db2.gz BSBZEHHQEMUDAP-KDOFPFPSSA-N 0 3 301.455 4.126 20 0 DIADHN CCC[C@H](CCO)CN[C@H](CC)c1cccc(Cl)c1F ZINC000461090865 339059060 /nfs/dbraw/zinc/05/90/60/339059060.db2.gz UJJGNBPPZSIMIR-IUODEOHRSA-N 0 3 301.833 4.319 20 0 DIADHN CC[C@@H](C(=O)N(C)[C@@H](C)C1CCN(C)CC1)C1CCC(C)CC1 ZINC000461110760 339059529 /nfs/dbraw/zinc/05/95/29/339059529.db2.gz RSULXHQASZQZIG-DHRZBBNESA-N 0 3 322.537 4.028 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@@H]1c1cccc(Cl)c1)N(CC)CC ZINC000461351509 339062149 /nfs/dbraw/zinc/06/21/49/339062149.db2.gz IODLHBDAQRUPSX-IAGOWNOFSA-N 0 3 322.880 4.124 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@H]1c1cccc(Cl)c1)N(CC)CC ZINC000461351511 339062175 /nfs/dbraw/zinc/06/21/75/339062175.db2.gz IODLHBDAQRUPSX-IRXDYDNUSA-N 0 3 322.880 4.124 20 0 DIADHN CN(CCOCc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461391649 339062878 /nfs/dbraw/zinc/06/28/78/339062878.db2.gz SWZJLHAFURPYRZ-UHFFFAOYSA-N 0 3 305.368 4.273 20 0 DIADHN CN(C)Cc1cccc(CNC(=O)CCCC2CCCCC2)c1 ZINC000498188121 339065239 /nfs/dbraw/zinc/06/52/39/339065239.db2.gz UHDAJRHPXTUAOM-UHFFFAOYSA-N 0 3 316.489 4.115 20 0 DIADHN CCCC[C@@H](C)N(C)C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000461620525 339065306 /nfs/dbraw/zinc/06/53/06/339065306.db2.gz WVFTVZKXZIJSSJ-QGZVFWFLSA-N 0 3 316.489 4.323 20 0 DIADHN CC[C@H](C(=O)N1CC[C@H](C)C[C@H]1c1ccc(C)o1)N(CC)CC ZINC000461751289 339066562 /nfs/dbraw/zinc/06/65/62/339066562.db2.gz QCCLULFSUUFGEB-UAGQMJEPSA-N 0 3 320.477 4.008 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@@H](c2ccccc2)C(C)(C)C)c1 ZINC000461880593 339067897 /nfs/dbraw/zinc/06/78/97/339067897.db2.gz HAYREHFWEQYKJL-IBGZPJMESA-N 0 3 324.468 4.265 20 0 DIADHN CCC[C@@H]1C[C@H]1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000461901692 339068209 /nfs/dbraw/zinc/06/82/09/339068209.db2.gz WCMCWQPIYKNAQY-SJLPKXTDSA-N 0 3 300.446 4.047 20 0 DIADHN C[C@H](c1cccs1)N(CCC(C)(C)O)Cc1ccccc1 ZINC000462326524 339075904 /nfs/dbraw/zinc/07/59/04/339075904.db2.gz SRBGGHAIPVWWHQ-OAHLLOKOSA-N 0 3 303.471 4.472 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1ccc(OC(F)(F)F)cc1 ZINC000462351680 339076450 /nfs/dbraw/zinc/07/64/50/339076450.db2.gz ILXPXDLQFIJUSM-GFCCVEGCSA-N 0 3 317.351 4.053 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1ccc(C(F)(F)F)cc1 ZINC000462363192 339076747 /nfs/dbraw/zinc/07/67/47/339076747.db2.gz YGBLKCUMCJCFJG-GFCCVEGCSA-N 0 3 301.352 4.173 20 0 DIADHN CC[C@H](C)NC(=O)CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462463143 339079449 /nfs/dbraw/zinc/07/94/49/339079449.db2.gz KAIHKMRMZNFRJE-QWHCGFSZSA-N 0 3 318.848 4.059 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@H](C2CCOCC2)C1 ZINC000462492623 339080116 /nfs/dbraw/zinc/08/01/16/339080116.db2.gz QQHNDEPFQUQRCM-KRWDZBQOSA-N 0 3 310.441 4.105 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3c4ccccc4CCC[C@H]3C)n2c1 ZINC000462543635 339080954 /nfs/dbraw/zinc/08/09/54/339080954.db2.gz UESXRJTTYWKPBV-IERDGZPVSA-N 0 3 319.452 4.446 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@H]2Oc2ccccc2C)cn1 ZINC000462552980 339081277 /nfs/dbraw/zinc/08/12/77/339081277.db2.gz PDDNKELFKXMYAV-UYAOXDASSA-N 0 3 310.441 4.178 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cnc(N(C)C)s1 ZINC000462558958 339081391 /nfs/dbraw/zinc/08/13/91/339081391.db2.gz YHGOBGBMAPFFKL-CXAGYDPISA-N 0 3 315.486 4.012 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cnn(C(C)(C)C)c1 ZINC000462555518 339081421 /nfs/dbraw/zinc/08/14/21/339081421.db2.gz SETYRXAQBGQPEL-DNVCBOLYSA-N 0 3 311.473 4.441 20 0 DIADHN CCCN(C)CC(=O)N(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000462642690 339083120 /nfs/dbraw/zinc/08/31/20/339083120.db2.gz NTTCJUXLMREBFB-GOSISDBHSA-N 0 3 324.468 4.118 20 0 DIADHN Cc1cc(CNCCOc2ccccc2)c(OC(F)F)cc1C ZINC000462670009 339083678 /nfs/dbraw/zinc/08/36/78/339083678.db2.gz WGPSAYRSIIHTRX-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN CC[C@@H]1CCC[C@@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000462671974 339083826 /nfs/dbraw/zinc/08/38/26/339083826.db2.gz DHYLCKIPFNOXAC-TZMCWYRMSA-N 0 3 316.367 4.081 20 0 DIADHN COc1ccc(CNCCO[C@H]2CCCC[C@@H]2C)cc1Cl ZINC000462684616 339083998 /nfs/dbraw/zinc/08/39/98/339083998.db2.gz QYQYNRFOKZUKPC-BBRMVZONSA-N 0 3 311.853 4.034 20 0 DIADHN CC(C)C1CCC(NCc2cn3cc(Cl)ccc3n2)CC1 ZINC000462687018 339084103 /nfs/dbraw/zinc/08/41/03/339084103.db2.gz VBHARIILXQXMRC-UHFFFAOYSA-N 0 3 305.853 4.292 20 0 DIADHN CC[C@H](NCc1nc2ccccc2[nH]1)C(C)(C)c1ccccc1 ZINC000462725465 339084959 /nfs/dbraw/zinc/08/49/59/339084959.db2.gz MJLIPUNWZIGYCV-SFHVURJKSA-N 0 3 307.441 4.409 20 0 DIADHN COc1cc(CNC/C(C)=C\c2ccccc2)c2ccccc2n1 ZINC000462733168 339085501 /nfs/dbraw/zinc/08/55/01/339085501.db2.gz GXJVUXJOPLASDP-VBKFSLOCSA-N 0 3 318.420 4.437 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2cccc(Cl)c2)cc1F ZINC000462734326 339085545 /nfs/dbraw/zinc/08/55/45/339085545.db2.gz ACZVOPSEWBRFTR-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccccc2C)cc1Cl ZINC000462736697 339085868 /nfs/dbraw/zinc/08/58/68/339085868.db2.gz UHKZKZHCVNCMKA-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)c(F)c1)Oc1ccc(F)cc1 ZINC000462736842 339085890 /nfs/dbraw/zinc/08/58/90/339085890.db2.gz ICYXTMIABPLTGS-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN C[C@H](CNCc1ccc(F)c(F)c1)Oc1cccc(Cl)c1 ZINC000462738201 339086006 /nfs/dbraw/zinc/08/60/06/339086006.db2.gz YGUPXJAHOYEWOV-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN C[C@@H](CNCc1ccc(F)c(F)c1)Oc1cccc(Cl)c1 ZINC000462738202 339086082 /nfs/dbraw/zinc/08/60/82/339086082.db2.gz YGUPXJAHOYEWOV-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CO[C@@H](CNCc1ccccc1Cl)c1ccc(Cl)cc1 ZINC000462739230 339086102 /nfs/dbraw/zinc/08/61/02/339086102.db2.gz NQHJLLOAGCEHMD-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN CO[C@H](CNCc1cccc(Cl)c1)c1ccc(Cl)cc1 ZINC000462739646 339086136 /nfs/dbraw/zinc/08/61/36/339086136.db2.gz OWCQVVMTYCIEPQ-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccc(F)cc2)c(Cl)c1 ZINC000462742532 339086275 /nfs/dbraw/zinc/08/62/75/339086275.db2.gz VTLVYJJHOAQWBC-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@@H](CNCc1ccc(F)c(F)c1)Oc1ccc(Cl)cc1 ZINC000462743837 339086489 /nfs/dbraw/zinc/08/64/89/339086489.db2.gz FCVGYIJXXPDPJR-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN C[C@H](CNCc1ccc(F)c(F)c1)Oc1ccc(Cl)cc1 ZINC000462743835 339086519 /nfs/dbraw/zinc/08/65/19/339086519.db2.gz FCVGYIJXXPDPJR-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC000462753933 339087038 /nfs/dbraw/zinc/08/70/38/339087038.db2.gz KAPGNESCUKUARS-XLIONFOSSA-N 0 3 324.443 4.147 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000462753929 339087050 /nfs/dbraw/zinc/08/70/50/339087050.db2.gz KAPGNESCUKUARS-FXAWDEMLSA-N 0 3 324.443 4.147 20 0 DIADHN COc1ccc(CNC[C@H](C)Cc2cccs2)c(Cl)c1 ZINC000462758029 339087187 /nfs/dbraw/zinc/08/71/87/339087187.db2.gz CWUPXWQFCOSSNT-GFCCVEGCSA-N 0 3 309.862 4.379 20 0 DIADHN Brc1cc(CN[C@H]2C[C@@H]2Cc2ccccc2)cs1 ZINC000462760130 339087253 /nfs/dbraw/zinc/08/72/53/339087253.db2.gz FIVICRWHMOQZSH-KBPBESRZSA-N 0 3 322.271 4.231 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC000462763075 339087381 /nfs/dbraw/zinc/08/73/81/339087381.db2.gz NUEOELYJLIXXJR-MJGOQNOKSA-N 0 3 319.452 4.167 20 0 DIADHN Clc1ccc2ncc(CN[C@@H]3C[C@@H]3C3CCCCC3)n2c1 ZINC000462767654 339087577 /nfs/dbraw/zinc/08/75/77/339087577.db2.gz SYSUCBXYCLLGMO-HZPDHXFCSA-N 0 3 303.837 4.046 20 0 DIADHN COc1ccc(CNCCCOc2ccc(F)cc2)cc1Cl ZINC000462771231 339087791 /nfs/dbraw/zinc/08/77/91/339087791.db2.gz AJYIXZQKIRCVKD-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CC(C)C[C@@H](NCc1cn(C)nc1C(F)F)c1cccs1 ZINC000462783038 339088383 /nfs/dbraw/zinc/08/83/83/339088383.db2.gz HPVXVECMBAIEJJ-GFCCVEGCSA-N 0 3 313.417 4.296 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCSc1ccc(Cl)cc1 ZINC000462784744 339088414 /nfs/dbraw/zinc/08/84/14/339088414.db2.gz IYJDNJQWQAGLRC-UHFFFAOYSA-N 0 3 323.893 4.308 20 0 DIADHN Cn1cc(CNC2(c3ccccc3)CCCCC2)c(C(F)F)n1 ZINC000462783717 339088516 /nfs/dbraw/zinc/08/85/16/339088516.db2.gz UVFBTLHOCYARRM-UHFFFAOYSA-N 0 3 319.399 4.307 20 0 DIADHN COc1ccc(F)cc1CNC[C@H](C)Sc1ccccc1 ZINC000462790739 339088851 /nfs/dbraw/zinc/08/88/51/339088851.db2.gz MRLCPBNIJCOGKL-ZDUSSCGKSA-N 0 3 305.418 4.105 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000462796998 339089431 /nfs/dbraw/zinc/08/94/31/339089431.db2.gz ASGPXQDELNODSR-JHJVBQTASA-N 0 3 320.433 4.167 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccsc1)c1ccc(F)cc1 ZINC000462799166 339089553 /nfs/dbraw/zinc/08/95/53/339089553.db2.gz WHGGHBKVULZYDU-DYVFJYSZSA-N 0 3 307.434 4.186 20 0 DIADHN C[C@@H](NC[C@]1(C)CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462802563 339089820 /nfs/dbraw/zinc/08/98/20/339089820.db2.gz LKVQKMNLIYUWSE-PXAZEXFGSA-N 0 3 320.433 4.026 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000462803520 339089946 /nfs/dbraw/zinc/08/99/46/339089946.db2.gz KBMDPBKRVRQIBN-PBEJRMEISA-N 0 3 323.436 4.137 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@@](C)(OC)C3CC3)oc21 ZINC000462809152 339090544 /nfs/dbraw/zinc/09/05/44/339090544.db2.gz WQVVCVGJXSOHDZ-ORAYPTAESA-N 0 3 317.429 4.297 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1csc(-c2ccccc2F)n1 ZINC000462808317 339090572 /nfs/dbraw/zinc/09/05/72/339090572.db2.gz CWHYPNHYUZWGPG-NWDGAFQWSA-N 0 3 322.474 4.495 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC[C@@](C)(OC)C3CC3)oc21 ZINC000462809150 339090592 /nfs/dbraw/zinc/09/05/92/339090592.db2.gz WQVVCVGJXSOHDZ-BFUOFWGJSA-N 0 3 317.429 4.297 20 0 DIADHN CC[C@@H](NC[C@](C)(OC)C1CC1)c1cc(Cl)ccc1OC ZINC000462818186 339091682 /nfs/dbraw/zinc/09/16/82/339091682.db2.gz VEDVUQGNAYMDFF-WBVHZDCISA-N 0 3 311.853 4.204 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3ccc(Cl)cc3)CC2)cs1 ZINC000462820459 339091976 /nfs/dbraw/zinc/09/19/76/339091976.db2.gz VYUHKLHOXXGHPV-NSHDSACASA-N 0 3 306.862 4.487 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2CCN(c3ccccc3F)C2)oc1C ZINC000462821839 339092135 /nfs/dbraw/zinc/09/21/35/339092135.db2.gz RHVHXSXOENCVAU-ZBFHGGJFSA-N 0 3 316.420 4.213 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(C[C@H]1C[C@H]1c1ccccc1)C2 ZINC000462829334 339092829 /nfs/dbraw/zinc/09/28/29/339092829.db2.gz BFXOFEUZUPARSO-MJGOQNOKSA-N 0 3 305.421 4.043 20 0 DIADHN CCN(Cc1ccc(Cl)c(C(F)(F)F)c1)C[C@@H](C)OC ZINC000462829352 339092853 /nfs/dbraw/zinc/09/28/53/339092853.db2.gz BQUAGNXFMAGSNJ-SNVBAGLBSA-N 0 3 309.759 4.216 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccc2c(c1)COC(C)(C)O2 ZINC000462828867 339092872 /nfs/dbraw/zinc/09/28/72/339092872.db2.gz ROYPVXYCMQUTEK-UHFFFAOYSA-N 0 3 317.454 4.334 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1cccc(OC(F)(F)F)c1 ZINC000462831792 339093191 /nfs/dbraw/zinc/09/31/91/339093191.db2.gz DBWVBYLWNZNWBY-LBPRGKRZSA-N 0 3 317.351 4.053 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2c3ccccc3CCC[C@@H]2C)c(C)n1 ZINC000462838843 339093563 /nfs/dbraw/zinc/09/35/63/339093563.db2.gz IATXELOKVBWSAV-KPHUOKFYSA-N 0 3 309.457 4.458 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccncc2)C1(C)C ZINC000462843939 339093852 /nfs/dbraw/zinc/09/38/52/339093852.db2.gz KKRGFZCTQAJYBP-XUVXKRRUSA-N 0 3 324.468 4.353 20 0 DIADHN C[C@H](CCC1CCCC1)Nc1cccc(CN2CC[C@H](O)C2)c1 ZINC000462868796 339095282 /nfs/dbraw/zinc/09/52/82/339095282.db2.gz BRFHZWAEVPCUGE-UZLBHIALSA-N 0 3 316.489 4.024 20 0 DIADHN CC(C)N(Cc1ccc(Cl)c(C(F)(F)F)c1)[C@H]1CCOC1 ZINC000462870196 339095361 /nfs/dbraw/zinc/09/53/61/339095361.db2.gz ZCZRPTFQYUGOPD-LBPRGKRZSA-N 0 3 321.770 4.358 20 0 DIADHN Fc1ccccc1N1CC[C@@H](CNCc2ccsc2Cl)C1 ZINC000462907310 339097371 /nfs/dbraw/zinc/09/73/71/339097371.db2.gz FJPCCYXEIZUVOX-LBPRGKRZSA-N 0 3 324.852 4.157 20 0 DIADHN Fc1ccccc1C1CN(Cc2cccc(-c3cccnc3)c2)C1 ZINC000463027825 339101385 /nfs/dbraw/zinc/10/13/85/339101385.db2.gz IWLWTDKJDDUOAH-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN CCc1ccc(-c2ccc(CN3CC[C@@H](n4cccn4)C3)o2)cc1 ZINC000463036065 339102171 /nfs/dbraw/zinc/10/21/71/339102171.db2.gz SORCMCLZDJCWEA-GOSISDBHSA-N 0 3 321.424 4.153 20 0 DIADHN CN(Cc1cnn(-c2ccccc2)c1)C[C@@H]1C[C@H]1c1ccccc1 ZINC000463080128 339105015 /nfs/dbraw/zinc/10/50/15/339105015.db2.gz RXACTINAIBZPOT-FPOVZHCZSA-N 0 3 317.436 4.108 20 0 DIADHN C[C@H]1CCCN(Cc2nc3ccc(Br)cc3o2)[C@H]1C ZINC000463092604 339105409 /nfs/dbraw/zinc/10/54/09/339105409.db2.gz KLEAVKUHDNHCCV-QWRGUYRKSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cncc(C(F)(F)F)c2)cc1 ZINC000463092547 339105422 /nfs/dbraw/zinc/10/54/22/339105422.db2.gz XSKGTKFSXLAMJH-CQSZACIVSA-N 0 3 322.374 4.472 20 0 DIADHN C[C@H]1CC[C@H](C)N(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463100295 339105722 /nfs/dbraw/zinc/10/57/22/339105722.db2.gz XYFFINYMHWHNTJ-QWRGUYRKSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nc2ccc(Br)cc2o1 ZINC000463105981 339105922 /nfs/dbraw/zinc/10/59/22/339105922.db2.gz OVRQYMGJGYTCOP-CMPLNLGQSA-N 0 3 323.234 4.211 20 0 DIADHN COc1cccc(NC2CCN([C@@H]3C[C@H]3c3ccccc3)CC2)c1 ZINC000463110866 339106144 /nfs/dbraw/zinc/10/61/44/339106144.db2.gz WPLUKYXXQGHATH-LEWJYISDSA-N 0 3 322.452 4.128 20 0 DIADHN CC(C(=O)N(Cc1ccccc1)c1ccccc1)C(F)(F)F ZINC000463174329 339107950 /nfs/dbraw/zinc/10/79/50/339107950.db2.gz DTGLENUIUJYMTB-ZDUSSCGKSA-N 0 3 307.315 4.418 20 0 DIADHN C[C@H]1CC[C@@H](N(C)Cc2nc3ccc(Br)cc3o2)C1 ZINC000463216822 339109931 /nfs/dbraw/zinc/10/99/31/339109931.db2.gz YIGQNKPOPNYGPG-CMPLNLGQSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2Cc2ccc(F)cc2F)C1 ZINC000463216590 339109957 /nfs/dbraw/zinc/10/99/57/339109957.db2.gz ZHQTYBZGOXMWPC-JXIYXGQPSA-N 0 3 323.427 4.116 20 0 DIADHN CC(C)Oc1ccc(CN(C(C)C)[C@H]2CCOC2)cc1Cl ZINC000463219400 339110084 /nfs/dbraw/zinc/11/00/84/339110084.db2.gz BSQJQAWEIJGUID-HNNXBMFYSA-N 0 3 311.853 4.127 20 0 DIADHN CC(C)Cc1ccc(CC(=O)Nc2ccc(CN(C)C)cc2)cc1 ZINC000498215245 339112858 /nfs/dbraw/zinc/11/28/58/339112858.db2.gz CMSOPYHGMIWSLM-UHFFFAOYSA-N 0 3 324.468 4.128 20 0 DIADHN CCCOc1ccc(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000463284044 339113268 /nfs/dbraw/zinc/11/32/68/339113268.db2.gz GJGVSEMCCGSGKV-MRVPVSSYSA-N 0 3 309.715 4.266 20 0 DIADHN CCCOc1ccc(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000463284045 339113278 /nfs/dbraw/zinc/11/32/78/339113278.db2.gz GJGVSEMCCGSGKV-QMMMGPOBSA-N 0 3 309.715 4.266 20 0 DIADHN Cc1cc(O)c(C(C)C)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000463331117 339115022 /nfs/dbraw/zinc/11/50/22/339115022.db2.gz WJYNGMSEBJITCN-KFWWJZLASA-N 0 3 318.461 4.024 20 0 DIADHN CCN(Cc1coc(-c2c(F)cccc2F)n1)CC(C)(C)C ZINC000463361607 339115756 /nfs/dbraw/zinc/11/57/56/339115756.db2.gz OUJLBSWMYGAJCC-UHFFFAOYSA-N 0 3 308.372 4.488 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc(C)cc(F)c2)c1 ZINC000463413722 339116925 /nfs/dbraw/zinc/11/69/25/339116925.db2.gz HPLYYZXPTZSBEQ-UHFFFAOYSA-N 0 3 314.404 4.228 20 0 DIADHN CCC[C@H]1CCc2nc(NC(=O)C(C)C(F)(F)F)sc2C1 ZINC000463631189 339122964 /nfs/dbraw/zinc/12/29/64/339122964.db2.gz RMHQQUHEOCSRLS-IUCAKERBSA-N 0 3 320.380 4.185 20 0 DIADHN CC(C)CCOc1cccc(NC(=O)C(C)C(F)(F)F)c1 ZINC000463653641 339123322 /nfs/dbraw/zinc/12/33/22/339123322.db2.gz CGZMBTZTEDUOLX-NSHDSACASA-N 0 3 303.324 4.248 20 0 DIADHN CCCCOc1cc(CN[C@H](C)CC(F)(F)F)ccc1OC ZINC000463710526 339124292 /nfs/dbraw/zinc/12/42/92/339124292.db2.gz NQUWHLKKFHVYSE-GFCCVEGCSA-N 0 3 319.367 4.305 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cnc(-c2cccs2)s1 ZINC000463709740 339124308 /nfs/dbraw/zinc/12/43/08/339124308.db2.gz UVVLLSOTXXNKSR-QMMMGPOBSA-N 0 3 306.378 4.302 20 0 DIADHN Brc1ccc(CNCCC2=CCCCCC2)nc1 ZINC000463713002 339124452 /nfs/dbraw/zinc/12/44/52/339124452.db2.gz GDQNTMHKQBVLMB-UHFFFAOYSA-N 0 3 309.251 4.214 20 0 DIADHN CCCCOc1ccccc1CN[C@H]1CCO[C@H](C(C)C)C1 ZINC000463748883 339125399 /nfs/dbraw/zinc/12/53/99/339125399.db2.gz TZSUQBJZUXGYID-HKUYNNGSSA-N 0 3 305.462 4.159 20 0 DIADHN CC(C(=O)Nc1ccc(Oc2ccccn2)cc1)C(F)(F)F ZINC000463815107 339126418 /nfs/dbraw/zinc/12/64/18/339126418.db2.gz QDTDVZOJXOCZIX-JTQLQIEISA-N 0 3 310.275 4.011 20 0 DIADHN CC[C@H](C)C[C@@H](C)NC(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000463892509 339128160 /nfs/dbraw/zinc/12/81/60/339128160.db2.gz JNBJOLHWSUOSAZ-JKSUJKDBSA-N 0 3 316.489 4.225 20 0 DIADHN CC[C@H](C)NC(=O)[C@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909909 339128772 /nfs/dbraw/zinc/12/87/72/339128772.db2.gz RVYYODXJWINORW-ZQIUZPCESA-N 0 3 322.468 4.196 20 0 DIADHN COC(C)(C)CNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464042390 339131531 /nfs/dbraw/zinc/13/15/31/339131531.db2.gz BNSCTJKEPRXZIK-UHFFFAOYSA-N 0 3 311.853 4.176 20 0 DIADHN CC1(C)[C@@H](NCc2cn3ccsc3n2)C[C@H]1c1ccccc1 ZINC000464073618 339133132 /nfs/dbraw/zinc/13/31/32/339133132.db2.gz KYFAYDUYINAVMA-HOTGVXAUSA-N 0 3 311.454 4.068 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2cnc(C(C)(C)C)s2)C1(C)C ZINC000464099142 339134437 /nfs/dbraw/zinc/13/44/37/339134437.db2.gz XMGNVMCWRFKNGL-KGLIPLIRSA-N 0 3 310.507 4.122 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000464727266 339150243 /nfs/dbraw/zinc/15/02/43/339150243.db2.gz BKBQXOAECOFLSS-NVXWUHKLSA-N 0 3 313.445 4.446 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000464727265 339150367 /nfs/dbraw/zinc/15/03/67/339150367.db2.gz BKBQXOAECOFLSS-DOTOQJQBSA-N 0 3 313.445 4.446 20 0 DIADHN O=[S@@](CCN1CCCC2(C1)CCCCCC2)c1ccccc1 ZINC000464764085 339151544 /nfs/dbraw/zinc/15/15/44/339151544.db2.gz UFWBYAQHPXNXOG-QFIPXVFZSA-N 0 3 319.514 4.231 20 0 DIADHN COC(=O)CCCN(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464766020 339151911 /nfs/dbraw/zinc/15/19/11/339151911.db2.gz RSOXNGLMOZBJRE-MRXNPFEDSA-N 0 3 311.425 4.300 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCCC[C@@H]1c1cccc(C)c1C ZINC000464770976 339152395 /nfs/dbraw/zinc/15/23/95/339152395.db2.gz FSKRVSDZRPGCPL-GOSISDBHSA-N 0 3 316.489 4.135 20 0 DIADHN CC1(C)CCN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000464796766 339154391 /nfs/dbraw/zinc/15/43/91/339154391.db2.gz VSRGOSQUSBIYEV-UHFFFAOYSA-N 0 3 308.425 4.171 20 0 DIADHN C[C@@H]1CN(Cc2cccc(C(=O)Nc3ccccc3)c2)C[C@H]1C ZINC000464842027 339156977 /nfs/dbraw/zinc/15/69/77/339156977.db2.gz ZYKHQUXUSPQAAR-HZPDHXFCSA-N 0 3 308.425 4.027 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C)C1 ZINC000464845661 339157230 /nfs/dbraw/zinc/15/72/30/339157230.db2.gz OHLRHMTUYKUCTG-JJXSEGSLSA-N 0 3 302.462 4.115 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C)C[C@@H]2c2ccco2)cc1 ZINC000464850229 339157697 /nfs/dbraw/zinc/15/76/97/339157697.db2.gz MQRPUBRRMRMVDS-WMLDXEAASA-N 0 3 313.397 4.039 20 0 DIADHN CCc1ccc([C@H]2C[C@H](C)CCN2CCn2nc(C)cc2C)o1 ZINC000464855509 339158228 /nfs/dbraw/zinc/15/82/28/339158228.db2.gz UKMVOZRBFFGDIX-RDTXWAMCSA-N 0 3 315.461 4.129 20 0 DIADHN CCOC(=O)CCCCN1CC[C@@H](C)C[C@@H]1c1ccc(CC)o1 ZINC000464859553 339158527 /nfs/dbraw/zinc/15/85/27/339158527.db2.gz YDKNCOIWQYPBLU-NVXWUHKLSA-N 0 3 321.461 4.348 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@H]3Cc3ccccc3)nc2c1 ZINC000464866397 339159212 /nfs/dbraw/zinc/15/92/12/339159212.db2.gz QGFXHQGZANZNEH-INIZCTEOSA-N 0 3 310.372 4.174 20 0 DIADHN Cc1cccc2nc(CNC(C)(C)CCc3ccccc3)cn21 ZINC000464873883 339159598 /nfs/dbraw/zinc/15/95/98/339159598.db2.gz IUKNVFNVRXKVMJ-UHFFFAOYSA-N 0 3 307.441 4.144 20 0 DIADHN CCOC(=O)CCCCN1CCC(=Cc2ccccc2F)CC1 ZINC000464880403 339160073 /nfs/dbraw/zinc/16/00/73/339160073.db2.gz XCSPGXUFXOJNLR-UHFFFAOYSA-N 0 3 319.420 4.038 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc2cc(F)ccc2o1 ZINC000464896244 339160762 /nfs/dbraw/zinc/16/07/62/339160762.db2.gz OFKQXCVKABZAAA-MRXNPFEDSA-N 0 3 312.388 4.420 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2cccc(F)c2C)o1)C(C)C ZINC000465014846 339165508 /nfs/dbraw/zinc/16/55/08/339165508.db2.gz DWVFOFCKGFMJKE-SFHVURJKSA-N 0 3 305.393 4.155 20 0 DIADHN CO[C@H](CNCc1cccc(OC2CCCC2)c1)C(C)(C)C ZINC000465012555 339165527 /nfs/dbraw/zinc/16/55/27/339165527.db2.gz PEFTWKUYBWDJTA-GOSISDBHSA-N 0 3 305.462 4.159 20 0 DIADHN CCC(C)(C)CC(=O)NCc1ccccc1CN1CCCCC1 ZINC000465051310 339166750 /nfs/dbraw/zinc/16/67/50/339166750.db2.gz PQWGMFWLNHCHKL-UHFFFAOYSA-N 0 3 316.489 4.115 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C ZINC000465227433 339172080 /nfs/dbraw/zinc/17/20/80/339172080.db2.gz SYUKBMVPNXQYMQ-QRVBRYPASA-N 0 3 320.521 4.068 20 0 DIADHN CCCCCc1ccc(NC(=O)N[C@H]2CCN(C)[C@@H](C)C2)cc1 ZINC000466044190 339191711 /nfs/dbraw/zinc/19/17/11/339191711.db2.gz STVWOAQUTLAUMW-YJBOKZPZSA-N 0 3 317.477 4.024 20 0 DIADHN Cc1ccccc1C[C@H](C)N[C@@H](CO)c1cccc(Cl)c1F ZINC000466380375 339201613 /nfs/dbraw/zinc/20/16/13/339201613.db2.gz NHZAEUAGJSEXMZ-GUYCJALGSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@H](CCNCc1nc(-c2ccccc2)c[nH]1)c1ccccc1 ZINC000466404912 339202752 /nfs/dbraw/zinc/20/27/52/339202752.db2.gz DVGGUAWONRTQEV-MRXNPFEDSA-N 0 3 305.425 4.360 20 0 DIADHN COc1ccc2nc(CNC3CC(c4ccccc4)C3)sc2c1 ZINC000466422586 339204108 /nfs/dbraw/zinc/20/41/08/339204108.db2.gz UNOUVNMBXQBUGB-UHFFFAOYSA-N 0 3 324.449 4.341 20 0 DIADHN c1[nH]c(CNC2CC(c3ccccc3)C2)nc1-c1ccccc1 ZINC000466426007 339204425 /nfs/dbraw/zinc/20/44/25/339204425.db2.gz QMCKKZREBVIVHC-UHFFFAOYSA-N 0 3 303.409 4.113 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCC1CCC(C(F)(F)F)CC1)CO2 ZINC000466454495 339205743 /nfs/dbraw/zinc/20/57/43/339205743.db2.gz WQANSGJUSUUHNQ-QIMFLAQGSA-N 0 3 317.326 4.218 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1cc(F)cc2c1OCOC2 ZINC000466688434 339213040 /nfs/dbraw/zinc/21/30/40/339213040.db2.gz ACKQBRLZCXKHDW-KRWDZBQOSA-N 0 3 307.409 4.093 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H](C)C[C@H](C)C1 ZINC000466729862 339214638 /nfs/dbraw/zinc/21/46/38/339214638.db2.gz BOBIIXGRAHHFDO-FRRDWIJNSA-N 0 3 319.836 4.429 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H](CC(C)C)C3)co2)cc1 ZINC000466743955 339215424 /nfs/dbraw/zinc/21/54/24/339215424.db2.gz RIVBPGCXWFTXNO-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN Cn1cc(CNCc2cccc3cc[nH]c32)c(C2CCCCC2)n1 ZINC000466901414 339220668 /nfs/dbraw/zinc/22/06/68/339220668.db2.gz ZYUQDSPDFMTVGP-UHFFFAOYSA-N 0 3 322.456 4.239 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000466908191 339220869 /nfs/dbraw/zinc/22/08/69/339220869.db2.gz OOOVFSHUBCNZOW-LSDHHAIUSA-N 0 3 302.462 4.043 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000466912708 339221193 /nfs/dbraw/zinc/22/11/93/339221193.db2.gz MTTFACGDWRESQM-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN FC(F)(F)Oc1cccc(CNCc2cccc3cc[nH]c32)c1 ZINC000466918271 339221395 /nfs/dbraw/zinc/22/13/95/339221395.db2.gz KBZWNJLJGUPKGW-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1nc2ccc(F)cc2o1 ZINC000466951224 339222491 /nfs/dbraw/zinc/22/24/91/339222491.db2.gz LKIQUBZIMROPFZ-DZGCQCFKSA-N 0 3 310.372 4.345 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc2ccc(F)cc2o1 ZINC000466968201 339222956 /nfs/dbraw/zinc/22/29/56/339222956.db2.gz AYLXIBHTUMBZPH-INIZCTEOSA-N 0 3 312.388 4.420 20 0 DIADHN COc1ccc2cc(CNCc3ccc(C)cc3OC)ccc2c1 ZINC000466979016 339223235 /nfs/dbraw/zinc/22/32/35/339223235.db2.gz LNXXHPYLBLKJKO-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ncc(-c3ccccc3)s2)C1 ZINC000467119722 339226874 /nfs/dbraw/zinc/22/68/74/339226874.db2.gz LRNPZGQCJTZBAJ-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCO[C@@H]2CCCC[C@H]2C)o1 ZINC000467119352 339226948 /nfs/dbraw/zinc/22/69/48/339226948.db2.gz BCYAJMNLCARVAQ-BPQIPLTHSA-N 0 3 321.461 4.158 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2CCC3(CCCCC3)O2)sc1C ZINC000467201417 339228053 /nfs/dbraw/zinc/22/80/53/339228053.db2.gz MPKBRRGIJBAOQU-HNNXBMFYSA-N 0 3 322.518 4.467 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1csc(-c2ccccc2OC)n1 ZINC000467206739 339228211 /nfs/dbraw/zinc/22/82/11/339228211.db2.gz LPOGFNIWKDGYHB-UKRRQHHQSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@H](C)c1ccccn1 ZINC000467305975 339230705 /nfs/dbraw/zinc/23/07/05/339230705.db2.gz WDJOLAOVOZGELQ-CHWSQXEVSA-N 0 3 308.347 4.382 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCc2cc(F)c(OC)cc21 ZINC000467331495 339232015 /nfs/dbraw/zinc/23/20/15/339232015.db2.gz MFXNQIFNXSBTHI-KPZWWZAWSA-N 0 3 314.404 4.130 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)N[C@@H]1CCCc2c[nH]nc21 ZINC000467333816 339232189 /nfs/dbraw/zinc/23/21/89/339232189.db2.gz DLFDMPDYHINKLV-XHDPSFHLSA-N 0 3 323.362 4.027 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1)c1cccc(F)c1F ZINC000467351705 339233415 /nfs/dbraw/zinc/23/34/15/339233415.db2.gz CGRWKWYZYYXDID-GBJTYRQASA-N 0 3 309.400 4.211 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(C2CC2)cc1 ZINC000467367410 339234370 /nfs/dbraw/zinc/23/43/70/339234370.db2.gz RRTPYDVKCQLWGY-IBGZPJMESA-N 0 3 311.400 4.489 20 0 DIADHN Fc1cncc([C@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467371454 339234790 /nfs/dbraw/zinc/23/47/90/339234790.db2.gz VNGQSONUUGCNGS-ZWKOTPCHSA-N 0 3 318.436 4.143 20 0 DIADHN Fc1cncc([C@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467371452 339234894 /nfs/dbraw/zinc/23/48/94/339234894.db2.gz VNGQSONUUGCNGS-QZTJIDSGSA-N 0 3 318.436 4.143 20 0 DIADHN Fc1cncc([C@H](NCCc2cc3ccccc3o2)C2CC2)c1 ZINC000467374277 339235119 /nfs/dbraw/zinc/23/51/19/339235119.db2.gz VPJPOJGNYADPCG-LJQANCHMSA-N 0 3 310.372 4.250 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CC[C@@H]3CCCO3)s2)cc1 ZINC000467379595 339235567 /nfs/dbraw/zinc/23/55/67/339235567.db2.gz AMZYDNKBRXLEJB-INIZCTEOSA-N 0 3 316.470 4.119 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H]1CCc2cc(F)c(OC)cc21 ZINC000467388975 339235959 /nfs/dbraw/zinc/23/59/59/339235959.db2.gz OAMQDQWPTKJCNB-GXFFZTMASA-N 0 3 305.315 4.142 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H]1CCc2cc(F)c(OC)cc21 ZINC000467388976 339236165 /nfs/dbraw/zinc/23/61/65/339236165.db2.gz OAMQDQWPTKJCNB-MFKMUULPSA-N 0 3 305.315 4.142 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1)c1c(F)cccc1F ZINC000467389363 339236205 /nfs/dbraw/zinc/23/62/05/339236205.db2.gz JYPSAFNWWCOPNR-LJISPDSOSA-N 0 3 309.400 4.211 20 0 DIADHN Cc1ccc(C[C@H](CO)N[C@@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000467415343 339237375 /nfs/dbraw/zinc/23/73/75/339237375.db2.gz DJXNWTKJJMYYAL-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccc(C[C@H](CO)N[C@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000467415342 339237406 /nfs/dbraw/zinc/23/74/06/339237406.db2.gz DJXNWTKJJMYYAL-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@@H](CO)c1cccc(Cl)c1F ZINC000467421327 339237722 /nfs/dbraw/zinc/23/77/22/339237722.db2.gz VILHWEIBWDBZPQ-YOEHRIQHSA-N 0 3 321.823 4.123 20 0 DIADHN CCCC[C@@H](CC)NCc1ccncc1OCC(F)(F)F ZINC000467430408 339238025 /nfs/dbraw/zinc/23/80/25/339238025.db2.gz QJVBCHRWDLIVMX-CYBMUJFWSA-N 0 3 304.356 4.081 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC(C)(c2ccccc2)CC1 ZINC000467433592 339238265 /nfs/dbraw/zinc/23/82/65/339238265.db2.gz JSPHEJPMWVKHDX-UHFFFAOYSA-N 0 3 311.473 4.326 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NC1CC(c2ccc(F)c(Cl)c2)C1 ZINC000467434864 339238335 /nfs/dbraw/zinc/23/83/35/339238335.db2.gz WNUCKSUVJPWSDI-FNBIGBAISA-N 0 3 321.827 4.118 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cnn(C)c1 ZINC000467441164 339238692 /nfs/dbraw/zinc/23/86/92/339238692.db2.gz CAILVGOAVNDMIG-JKOKRWQUSA-N 0 3 324.255 4.324 20 0 DIADHN Cc1nn(C(C)C)cc1CN1C[C@H](c2ccc(F)cc2)C[C@H]1C ZINC000467444672 339239084 /nfs/dbraw/zinc/23/90/84/339239084.db2.gz PNGVYZRRJJSRNG-RHSMWYFYSA-N 0 3 315.436 4.290 20 0 DIADHN CCCN(CCc1cccs1)Cc1cn(C(C)C)nc1C ZINC000467485315 339240293 /nfs/dbraw/zinc/24/02/93/339240293.db2.gz NOLUGQMBFCODEZ-UHFFFAOYSA-N 0 3 305.491 4.289 20 0 DIADHN C[C@@H](CCN[C@@H](CO)c1cccc(Cl)c1F)c1ccccc1 ZINC000467513373 339240582 /nfs/dbraw/zinc/24/05/82/339240582.db2.gz RBXKTRKVQRAJOA-GUYCJALGSA-N 0 3 321.823 4.296 20 0 DIADHN Cn1cccc1CN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC000467541708 339241276 /nfs/dbraw/zinc/24/12/76/339241276.db2.gz HNEPKJFSYSULRA-UHFFFAOYSA-N 0 3 306.812 4.139 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cnn2ccccc12 ZINC000467554826 339242308 /nfs/dbraw/zinc/24/23/08/339242308.db2.gz QYVVKLJLVCMUKF-WMZOPIPTSA-N 0 3 305.425 4.102 20 0 DIADHN Cc1[nH]nc2ncc(CN3CC[C@H](c4ccccc4)C[C@H]3C)cc12 ZINC000467555046 339242485 /nfs/dbraw/zinc/24/24/85/339242485.db2.gz SFENNUYPEDJKIZ-KDOFPFPSSA-N 0 3 320.440 4.034 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H]2CCC[C@H]2OCc2ccccc2)c1 ZINC000467733947 339245109 /nfs/dbraw/zinc/24/51/09/339245109.db2.gz DAROWOWVLLHMQD-PWIZWCRZSA-N 0 3 310.441 4.179 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(F)c(F)c1)c1ccco1 ZINC000467837804 339247297 /nfs/dbraw/zinc/24/72/97/339247297.db2.gz BYMPXKMUAYIMPM-CXAGYDPISA-N 0 3 322.399 4.292 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1c3ccccc3C[C@@H]1C)CCO2 ZINC000468031760 339251830 /nfs/dbraw/zinc/25/18/30/339251830.db2.gz XOEZSDDBIJZCFI-YHJVDBJQSA-N 0 3 309.409 4.042 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)CC(F)(F)F)ccc1SC ZINC000468045524 339252507 /nfs/dbraw/zinc/25/25/07/339252507.db2.gz VHNHDUGOBGCFTI-NXEZZACHSA-N 0 3 307.381 4.409 20 0 DIADHN C[C@H](CN(C)Cc1cc(Br)cn1C)c1ccccc1 ZINC000468085182 339253641 /nfs/dbraw/zinc/25/36/41/339253641.db2.gz BBYDNGLYXWXIDV-CYBMUJFWSA-N 0 3 321.262 4.023 20 0 DIADHN CC(C)[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccc(Cl)cc1 ZINC000468234846 339257007 /nfs/dbraw/zinc/25/70/07/339257007.db2.gz PBGGLNVKBZWSKY-QWQRMKEZSA-N 0 3 322.880 4.026 20 0 DIADHN Cc1ccc(C(=O)Nc2cccc(CN(C)C)c2)c(F)c1Cl ZINC000468249408 339257553 /nfs/dbraw/zinc/25/75/53/339257553.db2.gz IKTYQRXVEPMWMG-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1nc(CN2C[C@H](C)[C@@H](C)C2)oc1-c1ccc(Cl)cc1 ZINC000468278113 339258757 /nfs/dbraw/zinc/25/87/57/339258757.db2.gz QFCJJFLGSYAFOX-RYUDHWBXSA-N 0 3 304.821 4.391 20 0 DIADHN CCC(CC)C(=O)N(CC)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000468279517 339258764 /nfs/dbraw/zinc/25/87/64/339258764.db2.gz POWIJUKHOOATCQ-VQIMIIECSA-N 0 3 316.489 4.107 20 0 DIADHN CCC(CC)C(=O)N(CC)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000468279514 339258790 /nfs/dbraw/zinc/25/87/90/339258790.db2.gz POWIJUKHOOATCQ-APWZRJJASA-N 0 3 316.489 4.107 20 0 DIADHN Cc1sc(CN[C@H](C)Cc2ccc(F)cc2)nc1C(C)C ZINC000468384479 339261160 /nfs/dbraw/zinc/26/11/60/339261160.db2.gz RMQOOVUYQGWTQL-GFCCVEGCSA-N 0 3 306.450 4.435 20 0 DIADHN Cc1sc(CN[C@H](C)CCc2ccc(O)cc2)nc1C(C)C ZINC000468382545 339261206 /nfs/dbraw/zinc/26/12/06/339261206.db2.gz JHXCEZWCPMZGLO-CYBMUJFWSA-N 0 3 318.486 4.391 20 0 DIADHN Oc1ccc2c(c1)CN(CC1CCC(C(F)(F)F)CC1)CC2 ZINC000468392920 339261514 /nfs/dbraw/zinc/26/15/14/339261514.db2.gz QKPOEGIVORXNDL-UHFFFAOYSA-N 0 3 313.363 4.119 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)[C@H](CCO)c1ccccc1 ZINC000468394002 339261768 /nfs/dbraw/zinc/26/17/68/339261768.db2.gz SGLHMCPHWLJDHL-GOSISDBHSA-N 0 3 303.833 4.204 20 0 DIADHN C[C@H](CC1CC1)NCc1cc(Oc2ccc(F)cc2)ccn1 ZINC000468425213 339262699 /nfs/dbraw/zinc/26/26/99/339262699.db2.gz HUGIQNOVGJXISY-CYBMUJFWSA-N 0 3 300.377 4.291 20 0 DIADHN c1c(CN[C@@H]2CCC[C@H]2CCc2ccccc2)nc2n1CCCC2 ZINC000468513506 339265033 /nfs/dbraw/zinc/26/50/33/339265033.db2.gz CMTSEMDTHZYXAK-AZUAARDMSA-N 0 3 323.484 4.111 20 0 DIADHN CCCN(CCc1cccs1)Cc1cnn(C(C)(C)C)c1 ZINC000468540823 339265685 /nfs/dbraw/zinc/26/56/85/339265685.db2.gz IQFPNPUSKWPHPY-UHFFFAOYSA-N 0 3 305.491 4.154 20 0 DIADHN CO[C@H](CCNCc1cc2cc(C)c(C)cc2o1)C(F)(F)F ZINC000468616517 339267170 /nfs/dbraw/zinc/26/71/70/339267170.db2.gz BXPDTWXOHHQAEM-OAHLLOKOSA-N 0 3 315.335 4.107 20 0 DIADHN CO[C@H](CCNCc1c(Cl)cccc1Cl)C(F)(F)F ZINC000468619861 339267205 /nfs/dbraw/zinc/26/72/05/339267205.db2.gz IQAIFSOFXBGAKP-LLVKDONJSA-N 0 3 316.150 4.050 20 0 DIADHN CO[C@@H](CCNCc1ccc(Cl)cc1Cl)C(F)(F)F ZINC000468623205 339267296 /nfs/dbraw/zinc/26/72/96/339267296.db2.gz RVBCNCNQVPDVCM-NSHDSACASA-N 0 3 316.150 4.050 20 0 DIADHN COCC[C@@H]1CCCCN(C/C=C\c2ccc(F)cc2F)C1 ZINC000468688063 339268607 /nfs/dbraw/zinc/26/86/07/339268607.db2.gz DFHZWVUHHFFSPJ-VOKGJARPSA-N 0 3 309.400 4.117 20 0 DIADHN COCC[C@H]1CCCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000468690722 339268757 /nfs/dbraw/zinc/26/87/57/339268757.db2.gz QSAODHCUJDVZQP-OAHLLOKOSA-N 0 3 322.518 4.439 20 0 DIADHN COC[C@H]1CCCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000468694695 339268876 /nfs/dbraw/zinc/26/88/76/339268876.db2.gz AYSREWXFBFZEFS-ZDUSSCGKSA-N 0 3 307.821 4.335 20 0 DIADHN CC(C)c1ccc(CCNCc2nc(C3CC3)cs2)cc1 ZINC000468763606 339270142 /nfs/dbraw/zinc/27/01/42/339270142.db2.gz AMXXMPUQJMCUMG-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](NCCN1CCc2ccccc2C1)c1csc(Cl)c1 ZINC000468796743 339271056 /nfs/dbraw/zinc/27/10/56/339271056.db2.gz CVWUZLQXSOWKLP-CYBMUJFWSA-N 0 3 320.889 4.110 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1nc(-c2ccccc2)no1 ZINC000468836731 339272164 /nfs/dbraw/zinc/27/21/64/339272164.db2.gz DOIGBIJKOAOSEC-GOSISDBHSA-N 0 3 321.424 4.238 20 0 DIADHN CCCC1(C(=O)NC[C@@H](c2ccsc2)N(CC)CC)CCC1 ZINC000468848792 339272606 /nfs/dbraw/zinc/27/26/06/339272606.db2.gz HHSXCTIZECTMBQ-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN CCC(CC)(CC)CNC(=O)Nc1cccc(CN(C)C)c1 ZINC000468855907 339272761 /nfs/dbraw/zinc/27/27/61/339272761.db2.gz FRTVXSWBZAWXGB-UHFFFAOYSA-N 0 3 305.466 4.086 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@H](CC(C)C)C1 ZINC000468857778 339272828 /nfs/dbraw/zinc/27/28/28/339272828.db2.gz FYUAHSQVKBVBKR-ZWKOTPCHSA-N 0 3 316.489 4.389 20 0 DIADHN CCC(CC)CC(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000468888905 339273748 /nfs/dbraw/zinc/27/37/48/339273748.db2.gz JUHCNPZNWBSVCN-INIZCTEOSA-N 0 3 310.507 4.074 20 0 DIADHN C[C@@H](NC[C@H](C)N1CCC(C)CC1)c1csc(Cl)c1 ZINC000468986699 339276866 /nfs/dbraw/zinc/27/68/66/339276866.db2.gz OZKWJDJRLMSYHX-QWHCGFSZSA-N 0 3 300.899 4.173 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@H](C)c1ccccc1Br ZINC000468931184 339274995 /nfs/dbraw/zinc/27/49/95/339274995.db2.gz IUBZPGSBILFKBV-CHWSQXEVSA-N 0 3 319.246 4.126 20 0 DIADHN C[C@H](CN[C@H](C)c1csc(Cl)c1)N1CCC(C)CC1 ZINC000468986221 339276809 /nfs/dbraw/zinc/27/68/09/339276809.db2.gz OZKWJDJRLMSYHX-CHWSQXEVSA-N 0 3 300.899 4.173 20 0 DIADHN C[C@@H](CN[C@@H](C)c1csc(Cl)c1)N1CCC(C)CC1 ZINC000468989433 339276873 /nfs/dbraw/zinc/27/68/73/339276873.db2.gz OZKWJDJRLMSYHX-STQMWFEESA-N 0 3 300.899 4.173 20 0 DIADHN Cc1ccc(OCCCN2CCC(OC(C)(C)C)CC2)cc1C ZINC000468990625 339276939 /nfs/dbraw/zinc/27/69/39/339276939.db2.gz MYPVABAVESJKCN-UHFFFAOYSA-N 0 3 319.489 4.352 20 0 DIADHN COC(C)(C)CCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000469037275 339278363 /nfs/dbraw/zinc/27/83/63/339278363.db2.gz MQLGAALDMXVNHJ-GOSISDBHSA-N 0 3 301.405 4.320 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@H](C)c1csc(Cl)c1 ZINC000469133200 339281071 /nfs/dbraw/zinc/28/10/71/339281071.db2.gz RAVUYTGCSBVNLY-DGCLKSJQSA-N 0 3 313.850 4.293 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](N[C@H](C)Cn1cccn1)C(C)C ZINC000469173008 339282086 /nfs/dbraw/zinc/28/20/86/339282086.db2.gz MKXWKERFLHMRIB-KDOFPFPSSA-N 0 3 311.429 4.313 20 0 DIADHN C[C@H](NCCCC(=O)OC(C)(C)C)c1csc(Cl)c1 ZINC000469228125 339283696 /nfs/dbraw/zinc/28/36/96/339283696.db2.gz XKFCOFKUWBBBFH-JTQLQIEISA-N 0 3 303.855 4.174 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H](C)c1csc(Cl)c1 ZINC000469240849 339283918 /nfs/dbraw/zinc/28/39/18/339283918.db2.gz VLONXIWZNZYYTR-SWLSCSKDSA-N 0 3 309.862 4.310 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H](C)c1csc(Cl)c1 ZINC000469240810 339284008 /nfs/dbraw/zinc/28/40/08/339284008.db2.gz VLONXIWZNZYYTR-IUODEOHRSA-N 0 3 309.862 4.310 20 0 DIADHN CCC(CC)CC(=O)NCc1ccccc1CN1CCCCC1 ZINC000469338755 339286423 /nfs/dbraw/zinc/28/64/23/339286423.db2.gz NPFLNJPPWWEQKH-UHFFFAOYSA-N 0 3 316.489 4.115 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CCOCC(F)(F)C(F)F)cc1 ZINC000469368489 339287053 /nfs/dbraw/zinc/28/70/53/339287053.db2.gz ZODLFSPYLLGHGC-AWEZNQCLSA-N 0 3 319.342 4.049 20 0 DIADHN Fc1ccc(C=C2CCN(CC[C@H]3CCCCO3)CC2)cc1F ZINC000469446263 339289616 /nfs/dbraw/zinc/28/96/16/339289616.db2.gz MKKKPJKMGBAYFU-QGZVFWFLSA-N 0 3 321.411 4.403 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCCN2C[C@@H]2CCOC2)c1 ZINC000469513135 339292216 /nfs/dbraw/zinc/29/22/16/339292216.db2.gz CHXPNSVTRXBWCA-XJKSGUPXSA-N 0 3 313.363 4.269 20 0 DIADHN CC(C)[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)c1ccccc1Cl ZINC000469518712 339292366 /nfs/dbraw/zinc/29/23/66/339292366.db2.gz IRUPYAVBDJBDIW-LBTNJELSSA-N 0 3 322.880 4.026 20 0 DIADHN Cc1ccnc(NC[C@H](c2cccc(C(F)(F)F)c2)N(C)C)c1 ZINC000469559430 339293268 /nfs/dbraw/zinc/29/32/68/339293268.db2.gz YASKODKQKBRPCO-OAHLLOKOSA-N 0 3 323.362 4.124 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(CCOCC(F)F)C1CC1 ZINC000469715399 339296073 /nfs/dbraw/zinc/29/60/73/339296073.db2.gz NCLYTRMEIILIHM-NSHDSACASA-N 0 3 303.780 4.147 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H](Oc2ccncc2)C1 ZINC000469744232 339297473 /nfs/dbraw/zinc/29/74/73/339297473.db2.gz ZIYIVLUSPSCYHX-ZWKOTPCHSA-N 0 3 316.832 4.339 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cc(OC)c(OC)cc2C)c1 ZINC000469759353 339297840 /nfs/dbraw/zinc/29/78/40/339297840.db2.gz YGOFZDFKHHCFIQ-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cnn(Cc3ccccc3)c2)c1 ZINC000469759370 339297889 /nfs/dbraw/zinc/29/78/89/339297889.db2.gz YKUKMGZYTVPHEW-QGZVFWFLSA-N 0 3 319.452 4.345 20 0 DIADHN Fc1ccccc1[C@H](Cc1ccccc1)NCC[C@@H]1CCCO1 ZINC000469765785 339298200 /nfs/dbraw/zinc/29/82/00/339298200.db2.gz VAYITPUWXLDVHQ-PXNSSMCTSA-N 0 3 313.416 4.268 20 0 DIADHN OCC[C@H](N[C@H]1CCCC[C@H](c2ccccc2)C1)c1ccco1 ZINC000469778722 339299282 /nfs/dbraw/zinc/29/92/82/339299282.db2.gz FFKQPXBOGCLISZ-FHWLQOOXSA-N 0 3 313.441 4.409 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1cc(-c2ccccc2)no1 ZINC000469779724 339299321 /nfs/dbraw/zinc/29/93/21/339299321.db2.gz KSLPYXXYRIFWAN-SJLPKXTDSA-N 0 3 316.470 4.496 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C)CN2C[C@H](C)C[C@H](C)C2)cs1 ZINC000469787296 339300024 /nfs/dbraw/zinc/30/00/24/339300024.db2.gz SIGLQTWIKFJPGH-FZKCQIBNSA-N 0 3 323.550 4.284 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nc2ccccc2s1 ZINC000469796042 339300690 /nfs/dbraw/zinc/30/06/90/339300690.db2.gz IJVUKJZGRSCVHI-GXTWGEPZSA-N 0 3 306.500 4.450 20 0 DIADHN CCn1cc([C@@H](C)NCC(C)(C)c2cccc(Cl)c2F)cn1 ZINC000469799414 339300890 /nfs/dbraw/zinc/30/08/90/339300890.db2.gz IZVJNBBUAOFAEB-GFCCVEGCSA-N 0 3 323.843 4.324 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H](C)N2CCCC[C@@H]2C)cs1 ZINC000469801878 339301100 /nfs/dbraw/zinc/30/11/00/339301100.db2.gz SVELRVLHHLXHCC-ZNMIVQPWSA-N 0 3 309.523 4.180 20 0 DIADHN COC1CC(N[C@@H](c2ccsc2)c2ccc(Cl)cc2)C1 ZINC000470026265 339309142 /nfs/dbraw/zinc/30/91/42/339309142.db2.gz DGIOGPCELWJQDI-UYSNPLJNSA-N 0 3 307.846 4.258 20 0 DIADHN COc1cccc(CNC[C@H](C)c2cccc(Cl)c2)c1OC ZINC000470194764 339313683 /nfs/dbraw/zinc/31/36/83/339313683.db2.gz LXJRVZGTSMCSJA-ZDUSSCGKSA-N 0 3 319.832 4.251 20 0 DIADHN C[C@@H]1C[C@H](C)N(Cc2cc(Br)cc3cccnc32)C1 ZINC000470204473 339314167 /nfs/dbraw/zinc/31/41/67/339314167.db2.gz VLFBCEICNIJBEQ-NEPJUHHUSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1cccc2nc(CNC[C@H](C)c3cccc(Cl)c3)cn21 ZINC000470351809 339318021 /nfs/dbraw/zinc/31/80/21/339318021.db2.gz AVGBEJUQTUCMQL-ZDUSSCGKSA-N 0 3 313.832 4.189 20 0 DIADHN CC(C)Cn1ccnc1CNC[C@H](C)c1cccc(Cl)c1 ZINC000470364713 339318375 /nfs/dbraw/zinc/31/83/75/339318375.db2.gz MFZRYRZLFQPHPP-AWEZNQCLSA-N 0 3 305.853 4.086 20 0 DIADHN Cc1cccc([C@@H](NC(=O)c2ccc3c(c2)CNC3)C(C)(C)C)c1 ZINC000470367567 339318496 /nfs/dbraw/zinc/31/84/96/339318496.db2.gz QEXQFEDVGICSFT-LJQANCHMSA-N 0 3 322.452 4.115 20 0 DIADHN Cc1cc(C(=O)Nc2ccc(CN(C)C)cc2)c(Cl)cc1F ZINC000470495512 339321017 /nfs/dbraw/zinc/32/10/17/339321017.db2.gz UTJLXSLVOVMLEG-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H](C)[C@@H](C)c1ccccc1)n2C ZINC000470545593 339321941 /nfs/dbraw/zinc/32/19/41/339321941.db2.gz GTJYGAVIGFSLEI-CVEARBPZSA-N 0 3 307.441 4.164 20 0 DIADHN C[C@H](N(Cc1cnc2cnccn12)Cc1ccccc1)C(C)(C)C ZINC000470550014 339322033 /nfs/dbraw/zinc/32/20/33/339322033.db2.gz SUAWRDDYKRUXQN-INIZCTEOSA-N 0 3 322.456 4.166 20 0 DIADHN COc1ccc(OCCN(C)Cc2cccc(C(F)F)c2)cc1 ZINC000470717785 339325933 /nfs/dbraw/zinc/32/59/33/339325933.db2.gz VWUHACWRCAWOPA-UHFFFAOYSA-N 0 3 321.367 4.144 20 0 DIADHN C[C@H](c1ccco1)N(C)C[C@@H](O)Cc1ccc2ccccc2c1 ZINC000470727944 339326296 /nfs/dbraw/zinc/32/62/96/339326296.db2.gz XHVIZCSLSSGLQE-BEFAXECRSA-N 0 3 309.409 4.029 20 0 DIADHN C[C@H](c1ccco1)N(C)C[C@H](O)Cc1ccc2ccccc2c1 ZINC000470727945 339326368 /nfs/dbraw/zinc/32/63/68/339326368.db2.gz XHVIZCSLSSGLQE-DNVCBOLYSA-N 0 3 309.409 4.029 20 0 DIADHN CC1(c2ccc(Cl)cc2)CCN(Cc2ccccn2)CC1 ZINC000470868059 339329659 /nfs/dbraw/zinc/32/96/59/339329659.db2.gz XPNGWRRNQZABKW-UHFFFAOYSA-N 0 3 300.833 4.289 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(F)c2cccnc12 ZINC000470950527 339332458 /nfs/dbraw/zinc/33/24/58/339332458.db2.gz RKKVQWJZUGBKID-MFKMUULPSA-N 0 3 312.310 4.147 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(OC(F)F)cc1 ZINC000470953691 339332772 /nfs/dbraw/zinc/33/27/72/339332772.db2.gz RFCABNKHWQWXRN-BXKDBHETSA-N 0 3 309.278 4.061 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](C(F)(F)F)[C@H]2C)c1 ZINC000470957317 339332814 /nfs/dbraw/zinc/33/28/14/339332814.db2.gz WSAGOHUPYOQSEZ-DOMZBBRYSA-N 0 3 301.352 4.247 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c1 ZINC000470957319 339332885 /nfs/dbraw/zinc/33/28/85/339332885.db2.gz WSAGOHUPYOQSEZ-SWLSCSKDSA-N 0 3 301.352 4.247 20 0 DIADHN Cc1ccc([C@@H](NCCc2ccccc2F)c2ccccn2)cc1 ZINC000471072653 339336346 /nfs/dbraw/zinc/33/63/46/339336346.db2.gz OKDNJQSOGCGUQP-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1cc(C)c(C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)c(C)c1 ZINC000471118109 339337621 /nfs/dbraw/zinc/33/76/21/339337621.db2.gz ZWROJHSALSIDNV-YLJYHZDGSA-N 0 3 324.468 4.033 20 0 DIADHN CC(C)CC1(C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)CCC1 ZINC000471119569 339337625 /nfs/dbraw/zinc/33/76/25/339337625.db2.gz WLJLDJJZMDURBG-WMZOPIPTSA-N 0 3 316.489 4.010 20 0 DIADHN CCCc1ccccc1NC(=O)CCN1CCc2ccccc2C1 ZINC000471411255 339344391 /nfs/dbraw/zinc/34/43/91/339344391.db2.gz JPLWPTMUMBSMST-UHFFFAOYSA-N 0 3 322.452 4.026 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1cccc(F)c1F ZINC000471581726 339348108 /nfs/dbraw/zinc/34/81/08/339348108.db2.gz JYWQLQNFOVBLHV-NJSLBKSFSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1cc(F)c(F)c(F)c1 ZINC000471612041 339349555 /nfs/dbraw/zinc/34/95/55/339349555.db2.gz DOYNSYBNILXVGG-VIFPVBQESA-N 0 3 315.360 4.067 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCCc2c(C)ccc(C)c21 ZINC000471620186 339349809 /nfs/dbraw/zinc/34/98/09/339349809.db2.gz PCXCTGHIIQGWLA-IAGOWNOFSA-N 0 3 315.482 4.374 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCCOCC(F)F ZINC000471761229 339353390 /nfs/dbraw/zinc/35/33/90/339353390.db2.gz SRJNAKQPNQAAGE-LBPRGKRZSA-N 0 3 311.372 4.236 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2ccccc2OC(F)F)o1 ZINC000471791646 339354084 /nfs/dbraw/zinc/35/40/84/339354084.db2.gz XLUWSPRZOJGIID-GFCCVEGCSA-N 0 3 309.356 4.337 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1ccc(Br)s1 ZINC000471800662 339354476 /nfs/dbraw/zinc/35/44/76/339354476.db2.gz SSMNEMGAZVWHEP-JTQLQIEISA-N 0 3 320.296 4.102 20 0 DIADHN C[C@@H]1C[C@H](O)CN1Cc1ccc(-c2ccc(Cl)cc2)s1 ZINC000471800123 339354532 /nfs/dbraw/zinc/35/45/32/339354532.db2.gz UFOKQMXTXROIOZ-RISCZKNCSA-N 0 3 307.846 4.024 20 0 DIADHN CN(CCCCO)Cc1ccc(-c2cccc(Cl)c2)s1 ZINC000471800088 339354577 /nfs/dbraw/zinc/35/45/77/339354577.db2.gz SZBATOFBAAJSIE-UHFFFAOYSA-N 0 3 309.862 4.273 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](CN(C)C)c3ccccc3)cc2c1C ZINC000472037024 339359961 /nfs/dbraw/zinc/35/99/61/339359961.db2.gz HGWOXORBUUTXTD-OAQYLSRUSA-N 0 3 321.468 4.177 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nn(CC)c2ccccc12 ZINC000472045261 339360481 /nfs/dbraw/zinc/36/04/81/339360481.db2.gz RJKDYVHNFBFKBC-CRAIPNDOSA-N 0 3 317.502 4.210 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cc(O)cc(F)c2)c1C ZINC000472046718 339360617 /nfs/dbraw/zinc/36/06/17/339360617.db2.gz GSGYZNCAGWKQKO-UHFFFAOYSA-N 0 3 312.388 4.228 20 0 DIADHN COCc1cccc(CNCc2cc3c(ccc(C)c3C)[nH]2)c1 ZINC000472056728 339361264 /nfs/dbraw/zinc/36/12/64/339361264.db2.gz YRHDOCASKQLLIL-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccc(F)cc1)OC(C)C ZINC000472055135 339361360 /nfs/dbraw/zinc/36/13/60/339361360.db2.gz NGDUWHWNDHYGHV-LJQANCHMSA-N 0 3 317.404 4.090 20 0 DIADHN CCc1ccc(CNCC2(CCOCc3ccccc3)CC2)o1 ZINC000472061570 339361755 /nfs/dbraw/zinc/36/17/55/339361755.db2.gz FHRQPMZCTMZSKR-UHFFFAOYSA-N 0 3 313.441 4.319 20 0 DIADHN Cc1ccc2[nH]c(CNCc3ccccc3N(C)C)cc2c1C ZINC000472061051 339361780 /nfs/dbraw/zinc/36/17/80/339361780.db2.gz ATJBNLDAYFBTLD-UHFFFAOYSA-N 0 3 307.441 4.141 20 0 DIADHN CCSc1cccc(CNCc2ccc(C)c(OC)c2)c1 ZINC000472064994 339361873 /nfs/dbraw/zinc/36/18/73/339361873.db2.gz VDTHFGQMEPRXTI-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN CCSc1cccc(CN[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000472063704 339361912 /nfs/dbraw/zinc/36/19/12/339361912.db2.gz OSEIGSWBRHPSGK-CYBMUJFWSA-N 0 3 315.438 4.378 20 0 DIADHN CCn1nc(CNCCc2ccccc2Cl)c2ccccc21 ZINC000472068809 339362130 /nfs/dbraw/zinc/36/21/30/339362130.db2.gz QXGYBQCQLKUKMQ-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN C[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@H](c1ccccc1)N(C)C ZINC000472072829 339362455 /nfs/dbraw/zinc/36/24/55/339362455.db2.gz HHEHEUCNBXFTLU-HCCHORAYSA-N 0 3 312.457 4.184 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)COc1cccc(F)c1 ZINC000472128267 339363537 /nfs/dbraw/zinc/36/35/37/339363537.db2.gz NXWUVJWRWQDGGO-RYUDHWBXSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H](C)Oc1ccc(F)cc1 ZINC000472129621 339363733 /nfs/dbraw/zinc/36/37/33/339363733.db2.gz DVLCXNBSMANDBC-VXGBXAGGSA-N 0 3 309.406 4.014 20 0 DIADHN CC(C)(C)C1CCN(Cc2cncn2Cc2ccccc2)CC1 ZINC000472181914 339365485 /nfs/dbraw/zinc/36/54/85/339365485.db2.gz JYLOVBUTIGILFY-UHFFFAOYSA-N 0 3 311.473 4.190 20 0 DIADHN C[C@@H]1C[C@@H](NC(=O)C2(C3CCCCC3)CCCCC2)CCN1C ZINC000472201846 339365917 /nfs/dbraw/zinc/36/59/17/339365917.db2.gz VAHPLOWJMUTBOP-AEFFLSMTSA-N 0 3 320.521 4.116 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccncc1Cl ZINC000472239193 339367124 /nfs/dbraw/zinc/36/71/24/339367124.db2.gz HXHWRFDMEYLKCE-WFASDCNBSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2ccncc2Cl)C1 ZINC000472250385 339367632 /nfs/dbraw/zinc/36/76/32/339367632.db2.gz ILOFWUIWIWUNJX-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2ccncc2Cl)C1 ZINC000472250390 339367664 /nfs/dbraw/zinc/36/76/64/339367664.db2.gz ILOFWUIWIWUNJX-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@@H](C)CC1(C)C ZINC000472257961 339367940 /nfs/dbraw/zinc/36/79/40/339367940.db2.gz JDYDTWAONGKMKB-AWEZNQCLSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@@H]1CN(Cc2nc(-c3ccc(Cl)cc3)no2)C(C)(C)C1 ZINC000472267442 339368272 /nfs/dbraw/zinc/36/82/72/339368272.db2.gz GVJNRLDLSIKPIZ-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H]1CN(Cc2nc(-c3cccc(Cl)c3)no2)C(C)(C)C1 ZINC000472279977 339368660 /nfs/dbraw/zinc/36/86/60/339368660.db2.gz QYFDPKGWLHDNIK-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2ccccc2o1 ZINC000472314650 339369538 /nfs/dbraw/zinc/36/95/38/339369538.db2.gz MBTWOANYBFZJFJ-NVXWUHKLSA-N 0 3 306.409 4.281 20 0 DIADHN Clc1cnccc1CN1CCC[C@H]1CCc1ccccc1 ZINC000472439047 339371837 /nfs/dbraw/zinc/37/18/37/339371837.db2.gz SKBFESRKVRCAQM-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N[C@@H]1CCCC1(C)C ZINC000472598247 339374826 /nfs/dbraw/zinc/37/48/26/339374826.db2.gz DNAARUIARIHEEV-SWLSCSKDSA-N 0 3 308.853 4.144 20 0 DIADHN CC1(C)CCC[C@H]1NCC(=O)Nc1ccc(Cl)cc1Cl ZINC000472636233 339375551 /nfs/dbraw/zinc/37/55/51/339375551.db2.gz OLKYLKATPBYXRT-CYBMUJFWSA-N 0 3 315.244 4.100 20 0 DIADHN Cc1ccc2[nH]c(CN(C)CCc3ccccc3O)cc2c1C ZINC000473130368 339381570 /nfs/dbraw/zinc/38/15/70/339381570.db2.gz ZBRXSLAPHCPNKF-UHFFFAOYSA-N 0 3 308.425 4.165 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C2)OCc2ccccc23)ccc1Cl ZINC000473122016 339381636 /nfs/dbraw/zinc/38/16/36/339381636.db2.gz XYRTVUPCTQMYFR-IBGZPJMESA-N 0 3 313.828 4.280 20 0 DIADHN CCS[C@H]1CCCCN(Cc2nn(CC)c3ccccc23)C1 ZINC000473130990 339381805 /nfs/dbraw/zinc/38/18/05/339381805.db2.gz FDGBYURAIIFPCY-HNNXBMFYSA-N 0 3 317.502 4.164 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2nn(CC)c3ccccc23)C1 ZINC000473134498 339381938 /nfs/dbraw/zinc/38/19/38/339381938.db2.gz XKFOQCZOOOEAMW-HUUCEWRRSA-N 0 3 317.502 4.162 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1nn(CC)c2ccccc12 ZINC000473143356 339382690 /nfs/dbraw/zinc/38/26/90/339382690.db2.gz XJFPHXJNTKNSNW-CQSZACIVSA-N 0 3 305.491 4.020 20 0 DIADHN CCOC[C@@H](NCc1ccc(OC(F)F)c(Cl)c1)C(C)C ZINC000474021205 339397353 /nfs/dbraw/zinc/39/73/53/339397353.db2.gz KHJZUJKJEQCSPG-CYBMUJFWSA-N 0 3 321.795 4.092 20 0 DIADHN CCn1nc(CN2CCC[C@H]2c2ccccc2)c2ccccc21 ZINC000473145277 339382815 /nfs/dbraw/zinc/38/28/15/339382815.db2.gz QKLZTRQSQUAUII-IBGZPJMESA-N 0 3 305.425 4.393 20 0 DIADHN CCN(CCc1nccs1)Cc1cc2c(ccc(C)c2C)[nH]1 ZINC000473146640 339382871 /nfs/dbraw/zinc/38/28/71/339382871.db2.gz NBNCGWRQAUFDED-UHFFFAOYSA-N 0 3 313.470 4.306 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NCCCc1ccsc1 ZINC000473177070 339383548 /nfs/dbraw/zinc/38/35/48/339383548.db2.gz MLPPAXGMQHBUGT-UHFFFAOYSA-N 0 3 313.470 4.092 20 0 DIADHN C[C@@H](NCc1ccc(Br)cn1)[C@H](C)c1ccccc1 ZINC000473926651 339395041 /nfs/dbraw/zinc/39/50/41/339395041.db2.gz ZIBNCICONWKNJS-QWHCGFSZSA-N 0 3 319.246 4.126 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000473986064 339396229 /nfs/dbraw/zinc/39/62/29/339396229.db2.gz VVYOBLYTDQERDN-ZWKOTPCHSA-N 0 3 307.865 4.197 20 0 DIADHN Cc1ccc(CNC(C)(C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000473989391 339396405 /nfs/dbraw/zinc/39/64/05/339396405.db2.gz ZXELMQMCOKRUBB-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN COc1ccc2nc(CNC[C@@H](SC)C(C)(C)C)sc2c1 ZINC000473996095 339396746 /nfs/dbraw/zinc/39/67/46/339396746.db2.gz PHCJHZKDPDBNQQ-CQSZACIVSA-N 0 3 324.515 4.172 20 0 DIADHN COc1ccc2nc(CNCCCSCC(C)C)sc2c1 ZINC000474000599 339396869 /nfs/dbraw/zinc/39/68/69/339396869.db2.gz NEXHJZDVXNJURD-UHFFFAOYSA-N 0 3 324.515 4.174 20 0 DIADHN COc1ccc2nc(CNC[C@@H](C)c3ccccc3)sc2c1 ZINC000474017156 339397284 /nfs/dbraw/zinc/39/72/84/339397284.db2.gz ACUABVFECVQPBS-CYBMUJFWSA-N 0 3 312.438 4.198 20 0 DIADHN Cc1sc(CN[C@@H]2CCCC[C@H]2[C@@H]2CCOC2)nc1C(C)C ZINC000474035842 339398145 /nfs/dbraw/zinc/39/81/45/339398145.db2.gz QOSGAZZFGHSNQM-OWCLPIDISA-N 0 3 322.518 4.260 20 0 DIADHN COc1ccc(CN[C@H]2CC(C)(C)c3cc(OC)ccc32)cc1 ZINC000474037818 339398210 /nfs/dbraw/zinc/39/82/10/339398210.db2.gz TYJNJPKOPLWFJG-IBGZPJMESA-N 0 3 311.425 4.216 20 0 DIADHN Cc1cc2cc([C@H](C)NCCOC(F)(F)F)oc2cc1C ZINC000474038480 339398327 /nfs/dbraw/zinc/39/83/27/339398327.db2.gz SCZXYDDPBIQTQO-NSHDSACASA-N 0 3 301.308 4.237 20 0 DIADHN COc1ccc(OC(F)F)c(CN[C@@H]2CCC23CCCC3)c1 ZINC000474041384 339398546 /nfs/dbraw/zinc/39/85/46/339398546.db2.gz YVLPVWOCDCIDQT-OAHLLOKOSA-N 0 3 311.372 4.109 20 0 DIADHN COc1ccc2nc(CN[C@@H](C)Cc3cccs3)sc2c1 ZINC000474351587 339410534 /nfs/dbraw/zinc/41/05/34/339410534.db2.gz NVDKPVMRTLQDES-NSHDSACASA-N 0 3 318.467 4.087 20 0 DIADHN COc1ccc2nc(CN[C@H]3C[C@@H]3Cc3ccccc3)sc2c1 ZINC000474378294 339411566 /nfs/dbraw/zinc/41/15/66/339411566.db2.gz OSIPFDSKQKTOKK-YOEHRIQHSA-N 0 3 324.449 4.026 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)c1cccc2ccsc21 ZINC000474627351 339420749 /nfs/dbraw/zinc/42/07/49/339420749.db2.gz DXJRQILULJYCMG-UHFFFAOYSA-N 0 3 324.449 4.258 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H](NCc2nccn2CC(F)(F)F)C1 ZINC000474716716 339423592 /nfs/dbraw/zinc/42/35/92/339423592.db2.gz DCFHMXHWPWZWNO-KBPBESRZSA-N 0 3 317.399 4.140 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H]1CCSC1 ZINC000474798767 339426669 /nfs/dbraw/zinc/42/66/69/339426669.db2.gz ZSPNBLPUKLOKKA-NSHDSACASA-N 0 3 301.908 4.436 20 0 DIADHN COc1ccc(-c2csc(CNCc3cnccc3C)c2)cc1 ZINC000474804526 339427012 /nfs/dbraw/zinc/42/70/12/339427012.db2.gz ABUZHBBVJLDOMX-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H](N[C@H]2CCCn3nc(C(C)C)nc32)C1 ZINC000474810723 339427537 /nfs/dbraw/zinc/42/75/37/339427537.db2.gz SQYSJROWDBGYOI-BBWFWOEESA-N 0 3 318.509 4.431 20 0 DIADHN COC[C@H](N[C@H](C)[C@H](C)c1ccc(Cl)cc1)c1ccco1 ZINC000474811509 339427641 /nfs/dbraw/zinc/42/76/41/339427641.db2.gz RZTRMTHQLPPJJJ-ZENOOKHLSA-N 0 3 307.821 4.402 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC(C)(C)CCO ZINC000474812461 339427757 /nfs/dbraw/zinc/42/77/57/339427757.db2.gz DMLCAJSTRAXUHA-UHFFFAOYSA-N 0 3 315.910 4.339 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CCC[C@H](C(C)C)C1 ZINC000474882739 339429677 /nfs/dbraw/zinc/42/96/77/339429677.db2.gz WHYJDBZBJWGNFJ-IRXDYDNUSA-N 0 3 302.462 4.046 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H]1CCC[C@@H](C(C)C)C1 ZINC000474882738 339429744 /nfs/dbraw/zinc/42/97/44/339429744.db2.gz WHYJDBZBJWGNFJ-IAGOWNOFSA-N 0 3 302.462 4.046 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC[C@H](C)CO ZINC000474895181 339430371 /nfs/dbraw/zinc/43/03/71/339430371.db2.gz VTITZBASYXGCIJ-ZDUSSCGKSA-N 0 3 315.910 4.339 20 0 DIADHN COC1CCC(C)(CNCc2ncc(C(C)(C)C)s2)CC1 ZINC000475204733 339441598 /nfs/dbraw/zinc/44/15/98/339441598.db2.gz PMVWKHUEFHCOMM-UHFFFAOYSA-N 0 3 310.507 4.126 20 0 DIADHN Fc1cccc(-c2ccc(CNCC3(C(F)F)CC3)o2)c1F ZINC000475218126 339442085 /nfs/dbraw/zinc/44/20/85/339442085.db2.gz UWZXAYWUPIOVDL-UHFFFAOYSA-N 0 3 313.294 4.360 20 0 DIADHN CC[C@@H]1C[C@H](CN[C@@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000475327874 339446491 /nfs/dbraw/zinc/44/64/91/339446491.db2.gz FYVWDHSGASUWCV-QFUCXCTJSA-N 0 3 324.468 4.274 20 0 DIADHN COCCC1(NCc2ccc(F)c(Cl)c2Cl)CCC1 ZINC000475484132 339452128 /nfs/dbraw/zinc/45/21/28/339452128.db2.gz CPZHVCRYHHNCIA-UHFFFAOYSA-N 0 3 306.208 4.181 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCC1=Cc3ccccc3OC1)CCC2 ZINC000475506165 339453175 /nfs/dbraw/zinc/45/31/75/339453175.db2.gz GMFGJYSKYPJYTB-IBGZPJMESA-N 0 3 309.384 4.269 20 0 DIADHN Cn1c(CN[C@@H]2CC[C@H](c3cccc(F)c3)C2)nc2ccccc21 ZINC000475573336 339456139 /nfs/dbraw/zinc/45/61/39/339456139.db2.gz HRNWZJUTIZXVRV-DOTOQJQBSA-N 0 3 323.415 4.138 20 0 DIADHN Cc1ccncc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000475578207 339456435 /nfs/dbraw/zinc/45/64/35/339456435.db2.gz NEBRWBBHOYPNSI-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)Cn1cc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC000475673311 339459167 /nfs/dbraw/zinc/45/91/67/339459167.db2.gz WOMDOMCZHUEBKV-SAABIXHNSA-N 0 3 317.864 4.228 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1cnc(-c2ccccc2)s1 ZINC000475679286 339459402 /nfs/dbraw/zinc/45/94/02/339459402.db2.gz MBOGYOQVMMIXQU-HNNXBMFYSA-N 0 3 318.486 4.087 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@H](C2CCOCC2)C1 ZINC000477107701 339487154 /nfs/dbraw/zinc/48/71/54/339487154.db2.gz LOWYAZMCEGZLOV-KRWDZBQOSA-N 0 3 324.468 4.359 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC000477264704 339490822 /nfs/dbraw/zinc/49/08/22/339490822.db2.gz HVRKHHDBTGPMCY-CRAIPNDOSA-N 0 3 301.430 4.277 20 0 DIADHN C[C@H](NCCCO[C@H]1CCCCO1)c1cc2ccccc2o1 ZINC000477671780 339498894 /nfs/dbraw/zinc/49/88/94/339498894.db2.gz NIWCPJIFANTCHC-KSSFIOAISA-N 0 3 303.402 4.017 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@@H]1CCC3(CCCCC3)O1)CCC2 ZINC000477862988 339503289 /nfs/dbraw/zinc/50/32/89/339503289.db2.gz XZHHZDCFSFYSLI-LSDHHAIUSA-N 0 3 320.502 4.300 20 0 DIADHN Cn1ccc(CNCc2ccc(OCc3cccc(F)c3)cc2)c1 ZINC000478341765 339513839 /nfs/dbraw/zinc/51/38/39/339513839.db2.gz OSSOMCQBPOSWNX-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1cccc(Cl)c1F ZINC000478410311 339514880 /nfs/dbraw/zinc/51/48/80/339514880.db2.gz JKQQHAOJMIYNPD-XOPPTJLZSA-N 0 3 309.812 4.087 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000478444101 339515635 /nfs/dbraw/zinc/51/56/35/339515635.db2.gz LUKMIWZKXFUFSF-LMOYCYGVSA-N 0 3 317.408 4.351 20 0 DIADHN COCc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000479123190 339527919 /nfs/dbraw/zinc/52/79/19/339527919.db2.gz ZWALDSBAPVZCCG-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cn1 ZINC000479222533 339529337 /nfs/dbraw/zinc/52/93/37/339529337.db2.gz KFZYPVANMXDZKB-KRWDZBQOSA-N 0 3 316.832 4.299 20 0 DIADHN CO[C@H](CN[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000479237644 339529597 /nfs/dbraw/zinc/52/95/97/339529597.db2.gz ATCBIERTGVKPBE-QZTJIDSGSA-N 0 3 301.817 4.305 20 0 DIADHN C[C@H](NC1CC1)c1ccc(Br)cc1C(F)(F)F ZINC000479255908 339529895 /nfs/dbraw/zinc/52/98/95/339529895.db2.gz OJSZFAPFCMTPLR-ZETCQYMHSA-N 0 3 308.141 4.281 20 0 DIADHN CC(C)=CCN[C@@H](C)c1ccc(OC[C@H]2CCCCO2)c(F)c1 ZINC000479274046 339530172 /nfs/dbraw/zinc/53/01/72/339530172.db2.gz UQTMJMPVNRQYEZ-DOTOQJQBSA-N 0 3 321.436 4.390 20 0 DIADHN CCc1ccc([C@H](N[C@@H]2CCCc3nn(C)cc32)C(C)C)cc1 ZINC000479339709 339531375 /nfs/dbraw/zinc/53/13/75/339531375.db2.gz BTSSOTURMFRBJY-UYAOXDASSA-N 0 3 311.473 4.347 20 0 DIADHN COC1(CNCc2cnc(-c3ccsc3)s2)CCCCC1 ZINC000479416665 339533927 /nfs/dbraw/zinc/53/39/27/339533927.db2.gz NYQOVXGRMQJHRS-UHFFFAOYSA-N 0 3 322.499 4.311 20 0 DIADHN COc1ccc2oc(CNC3(Cc4ccccc4)CC3)cc2c1 ZINC000479427927 339534672 /nfs/dbraw/zinc/53/46/72/339534672.db2.gz XCWDOJAKBJPASN-UHFFFAOYSA-N 0 3 307.393 4.306 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cccc(-n3ccnc3)c1)CC2 ZINC000479525945 339539366 /nfs/dbraw/zinc/53/93/66/339539366.db2.gz IMUVJRUSLXTOIV-IBGZPJMESA-N 0 3 323.827 4.303 20 0 DIADHN C[C@@H]1CC[C@@H](CN[C@@H](c2cccnc2)c2ccc(F)cc2F)C1 ZINC000479532928 339539679 /nfs/dbraw/zinc/53/96/79/339539679.db2.gz YFSSPBLSIOCSQM-LPMFXHHGSA-N 0 3 316.395 4.475 20 0 DIADHN CCC1(Cc2ccccc2)CCN([C@@H](C)c2cnccn2)CC1 ZINC000479554176 339540752 /nfs/dbraw/zinc/54/07/52/339540752.db2.gz RJQKTWFDPLBCKK-KRWDZBQOSA-N 0 3 309.457 4.273 20 0 DIADHN C[C@@H](N[C@@H](CO)CCC(C)(C)C)c1ccc2ccccc2n1 ZINC000479556915 339541005 /nfs/dbraw/zinc/54/10/05/339541005.db2.gz WRNIHBBRXFJRID-GDBMZVCRSA-N 0 3 300.446 4.073 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1cccc(Cl)c1Cl ZINC000479556927 339541111 /nfs/dbraw/zinc/54/11/11/339541111.db2.gz WTYUYWRLIOBERW-ZYHUDNBSSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@@H](NC[C@H](c1cccc(F)c1)N(C)C)c1cc(F)cc(F)c1 ZINC000479849146 339550472 /nfs/dbraw/zinc/55/04/72/339550472.db2.gz HFQXQEOMNXLWCS-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NC[C@@H](c1ccco1)N1CCCC1 ZINC000479867510 339551535 /nfs/dbraw/zinc/55/15/35/339551535.db2.gz VKNUBITWGPWSGO-OALUTQOASA-N 0 3 310.441 4.002 20 0 DIADHN CCc1nc2c(s1)[C@H](NCCSc1ccccc1)CCC2 ZINC000479896595 339552936 /nfs/dbraw/zinc/55/29/36/339552936.db2.gz DEHVQVDXWAUGQP-CQSZACIVSA-N 0 3 318.511 4.465 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCO[C@@H](C(C)(C)C)C2)cs1 ZINC000479927303 339553502 /nfs/dbraw/zinc/55/35/02/339553502.db2.gz KBEQUQCZDWHZSY-TZMCWYRMSA-N 0 3 310.507 4.124 20 0 DIADHN CCc1ccc([C@@H](C)NCCCn2c(C)nc3ccccc32)o1 ZINC000480080402 339558157 /nfs/dbraw/zinc/55/81/57/339558157.db2.gz GHAODUFRCFHLSZ-CQSZACIVSA-N 0 3 311.429 4.241 20 0 DIADHN C[C@H](NCC1(C)Cc2ccccc2C1)c1ccc(Cl)cn1 ZINC000480167193 339561493 /nfs/dbraw/zinc/56/14/93/339561493.db2.gz KVFMUOGLHBFVGP-ZDUSSCGKSA-N 0 3 300.833 4.191 20 0 DIADHN C[C@@H](NCC1(C)Cc2ccccc2C1)c1ccc(Cl)cn1 ZINC000480167191 339561534 /nfs/dbraw/zinc/56/15/34/339561534.db2.gz KVFMUOGLHBFVGP-CYBMUJFWSA-N 0 3 300.833 4.191 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H](C)CCCC(F)(F)F)o1 ZINC000480171253 339561891 /nfs/dbraw/zinc/56/18/91/339561891.db2.gz PZQIXHDJIGZBQO-DGCLKSJQSA-N 0 3 307.356 4.240 20 0 DIADHN COC[C@@H](N[C@@H](C)CCCC(F)(F)F)c1ccc(F)cc1 ZINC000480173016 339562073 /nfs/dbraw/zinc/56/20/73/339562073.db2.gz XMRRKFKSLUNUJQ-SMDDNHRTSA-N 0 3 307.331 4.224 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)Oc1cccc(F)c1 ZINC000480189810 339562794 /nfs/dbraw/zinc/56/27/94/339562794.db2.gz KINSWUCZVQTKRP-WFASDCNBSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc(Cl)cn1)COCc1ccccc1 ZINC000480194260 339562892 /nfs/dbraw/zinc/56/28/92/339562892.db2.gz GAUHZZYUVMALPD-CABCVRRESA-N 0 3 318.848 4.239 20 0 DIADHN C[C@@H](NCC1(Cc2ccccc2)CC1)c1ccc(Cl)cn1 ZINC000480213583 339563783 /nfs/dbraw/zinc/56/37/83/339563783.db2.gz KUCNPXVXTXDUSK-CQSZACIVSA-N 0 3 300.833 4.409 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1ccccc1OC(F)F ZINC000480218252 339563935 /nfs/dbraw/zinc/56/39/35/339563935.db2.gz ASTZQVHWXPBBIS-STQMWFEESA-N 0 3 301.377 4.144 20 0 DIADHN C[C@H](NCC(C)(C)Oc1ccccc1)c1ccc(Cl)cn1 ZINC000480259277 339565805 /nfs/dbraw/zinc/56/58/05/339565805.db2.gz SUNDJIIMHIIIFR-ZDUSSCGKSA-N 0 3 304.821 4.243 20 0 DIADHN CC(C)(C)c1nc(CCNCc2ccsc2Cl)cs1 ZINC000480281948 339567147 /nfs/dbraw/zinc/56/71/47/339567147.db2.gz WRHSRZBWUMNQQJ-UHFFFAOYSA-N 0 3 314.907 4.488 20 0 DIADHN COCCC1(CNCc2ccc(-c3cccc(F)c3F)o2)CC1 ZINC000480281760 339567221 /nfs/dbraw/zinc/56/72/21/339567221.db2.gz KAWOGKCDSIDPGC-UHFFFAOYSA-N 0 3 321.367 4.131 20 0 DIADHN CC(C)(C)OC(=O)CCCCCNCc1ccsc1Cl ZINC000480304354 339568210 /nfs/dbraw/zinc/56/82/10/339568210.db2.gz ABMUHVDGUQVICW-UHFFFAOYSA-N 0 3 317.882 4.393 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2c3ccccc3CCC[C@@H]2C)cn1 ZINC000480310812 339568521 /nfs/dbraw/zinc/56/85/21/339568521.db2.gz UEYQJXJVZASWDQ-KKSFZXQISA-N 0 3 323.484 4.341 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1nnc2n1CCCCC2)C1CCCCC1 ZINC000480326251 339569290 /nfs/dbraw/zinc/56/92/90/339569290.db2.gz LDSSWDNXHHTSAE-DOTOQJQBSA-N 0 3 318.509 4.404 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@]2(C)CCCc3ccccc32)cn1 ZINC000480337020 339569655 /nfs/dbraw/zinc/56/96/55/339569655.db2.gz PSTVSASVOJWBPI-OAQYLSRUSA-N 0 3 323.484 4.268 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccc(N(C)C(C)C)nc1)C1CC1 ZINC000480362789 339570578 /nfs/dbraw/zinc/57/05/78/339570578.db2.gz ZHNRFXSRIYTDSI-OAQYLSRUSA-N 0 3 323.484 4.476 20 0 DIADHN Fc1cccc2c1CC[C@H]2NCc1ccc(OCC2CC2)cc1 ZINC000480395834 339572039 /nfs/dbraw/zinc/57/20/39/339572039.db2.gz MCLNJJSBULQILR-HXUWFJFHSA-N 0 3 311.400 4.392 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1cccc(OC(F)F)c1 ZINC000480649593 339581224 /nfs/dbraw/zinc/58/12/24/339581224.db2.gz ZTQJSUMYYKMCPU-JSGCOSHPSA-N 0 3 301.377 4.144 20 0 DIADHN Cn1cc([C@@H](N[C@H]2CCCC(C)(C)C2)c2ccc(F)cc2)cn1 ZINC000480675391 339582823 /nfs/dbraw/zinc/58/28/23/339582823.db2.gz MCRSTRRNCXHBFI-ROUUACIJSA-N 0 3 315.436 4.207 20 0 DIADHN CC[C@H](N[C@@H](CO)Cc1ccccc1)c1ccc(Cl)s1 ZINC000480694721 339583761 /nfs/dbraw/zinc/58/37/61/339583761.db2.gz NIFQIMGOSGKHLP-KGLIPLIRSA-N 0 3 309.862 4.046 20 0 DIADHN CC(C)CCC[C@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707063 339584214 /nfs/dbraw/zinc/58/42/14/339584214.db2.gz KCSRRRRRFSZAJB-KXBFYZLASA-N 0 3 317.452 4.453 20 0 DIADHN CCC[C@H](C)[C@@H](CC)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707523 339584240 /nfs/dbraw/zinc/58/42/40/339584240.db2.gz HJCVPAIMZPKKSW-KYNGSXCRSA-N 0 3 317.452 4.453 20 0 DIADHN C[C@@H](CC1CCCC1)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721408 339584778 /nfs/dbraw/zinc/58/47/78/339584778.db2.gz VPDUJNPVJRKJGU-LIRRHRJNSA-N 0 3 315.436 4.207 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CC(C)(C)c3ccccc32)cn1 ZINC000480730594 339585078 /nfs/dbraw/zinc/58/50/78/339585078.db2.gz VQRDCZOGHXUWSY-GOSISDBHSA-N 0 3 310.441 4.381 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000480739268 339585369 /nfs/dbraw/zinc/58/53/69/339585369.db2.gz ZBGYVPBIZXJJLA-LZWOXQAQSA-N 0 3 322.518 4.266 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000480818020 339588102 /nfs/dbraw/zinc/58/81/02/339588102.db2.gz JNUCYLLWIAEWFZ-XPGWFJOJSA-N 0 3 313.416 4.462 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)c(C)n1 ZINC000480875255 339589379 /nfs/dbraw/zinc/58/93/79/339589379.db2.gz FDHYBUXNCHPXNU-LBPRGKRZSA-N 0 3 324.346 4.448 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1ccc2ccccc2n1 ZINC000480880767 339589498 /nfs/dbraw/zinc/58/94/98/339589498.db2.gz JHEYSDPVJXTLAW-TWOQFEAHSA-N 0 3 312.457 4.481 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H]3CCCCC3(C)C)o2)cc1 ZINC000481168176 339596851 /nfs/dbraw/zinc/59/68/51/339596851.db2.gz HMZYIDXBZZGEBQ-KRWDZBQOSA-N 0 3 314.429 4.409 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000481200341 339598370 /nfs/dbraw/zinc/59/83/70/339598370.db2.gz QFIAIFDLRKEMHD-ABAIWWIYSA-N 0 3 321.371 4.073 20 0 DIADHN CCC(C)(C)CCN[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000481398246 339603058 /nfs/dbraw/zinc/60/30/58/339603058.db2.gz DEKSIGINIUDTMA-KRWDZBQOSA-N 0 3 303.425 4.065 20 0 DIADHN Cn1cc([C@H](NCCC2CCCCC2)c2ccc(F)cc2)cn1 ZINC000481409703 339603614 /nfs/dbraw/zinc/60/36/14/339603614.db2.gz VEULZYLBAFPNMV-LJQANCHMSA-N 0 3 315.436 4.209 20 0 DIADHN Cc1[nH]c2ccc(CN[C@H](C)c3ccc4c(c3)OCO4)cc2c1C ZINC000481414398 339603718 /nfs/dbraw/zinc/60/37/18/339603718.db2.gz DJTDGJFFAGKSPJ-CQSZACIVSA-N 0 3 322.408 4.364 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481544278 339606257 /nfs/dbraw/zinc/60/62/57/339606257.db2.gz GNJMWCGVIGAFFD-CQSZACIVSA-N 0 3 316.420 4.141 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481545552 339606340 /nfs/dbraw/zinc/60/63/40/339606340.db2.gz JRJRHBMDHQNMJC-ZDUSSCGKSA-N 0 3 318.461 4.464 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCC(C)(C)c2ccccc21 ZINC000481647214 339608582 /nfs/dbraw/zinc/60/85/82/339608582.db2.gz SIQJICMFDKGDNE-DLBZAZTESA-N 0 3 315.482 4.492 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2ncc(Br)s2)[C@H]1C ZINC000481820345 339614657 /nfs/dbraw/zinc/61/46/57/339614657.db2.gz BOMSMDDSKYAHCT-OUAUKWLOSA-N 0 3 317.296 4.068 20 0 DIADHN Cn1c(CN[C@@H]2CC[C@H](c3ccccc3F)C2)nc2ccccc21 ZINC000481826472 339614979 /nfs/dbraw/zinc/61/49/79/339614979.db2.gz WTOWGTWTYFQMNZ-LSDHHAIUSA-N 0 3 323.415 4.138 20 0 DIADHN CC[C@@H](N[C@H](CC)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000481935082 339617521 /nfs/dbraw/zinc/61/75/21/339617521.db2.gz MFFGAZPYTLNMCZ-IAGOWNOFSA-N 0 3 318.509 4.404 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cc(F)cc(F)c1)c1ccco1 ZINC000481958343 339617881 /nfs/dbraw/zinc/61/78/81/339617881.db2.gz IYUHLCOJASGSOW-CXAGYDPISA-N 0 3 322.399 4.292 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cccc(F)c1)c1ccco1 ZINC000481956354 339617909 /nfs/dbraw/zinc/61/79/09/339617909.db2.gz BQRKJLVOWMXBMU-RHSMWYFYSA-N 0 3 304.409 4.152 20 0 DIADHN CC(C)[C@H](C)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000482024019 339620187 /nfs/dbraw/zinc/62/01/87/339620187.db2.gz CZBSFMYBTCATIA-HNAYVOBHSA-N 0 3 307.441 4.297 20 0 DIADHN CC(C)[C@H](C)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000482024020 339620294 /nfs/dbraw/zinc/62/02/94/339620294.db2.gz CZBSFMYBTCATIA-KXBFYZLASA-N 0 3 307.441 4.297 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2ccc(C)s2)N2CCCC2)o1 ZINC000482029636 339620420 /nfs/dbraw/zinc/62/04/20/339620420.db2.gz CBDHXWMJXXDCAI-JKSUJKDBSA-N 0 3 318.486 4.446 20 0 DIADHN CNC(=O)C[C@H](N[C@@H](C)c1ccc(C(C)C)cc1)c1ccccc1 ZINC000482060303 339621401 /nfs/dbraw/zinc/62/14/01/339621401.db2.gz KDWMFOQUIKIHRV-JXFKEZNVSA-N 0 3 324.468 4.338 20 0 DIADHN CC[C@H](C(=O)N[C@@H](C)c1oc2ccccc2c1C)N(CC)CC ZINC000482167911 339625437 /nfs/dbraw/zinc/62/54/37/339625437.db2.gz GTZDIEMEAOLGMK-GOEBONIOSA-N 0 3 316.445 4.039 20 0 DIADHN CC(C)=CCC[C@@H](C)CCNCc1nccn1CC(F)(F)F ZINC000482234835 339626928 /nfs/dbraw/zinc/62/69/28/339626928.db2.gz AQOHWEZQCMNNPZ-CQSZACIVSA-N 0 3 317.399 4.308 20 0 DIADHN Cc1ccn2cc(CN[C@@H](C)C(C)(C)c3ccccc3)nc2c1 ZINC000482492428 339633371 /nfs/dbraw/zinc/63/33/71/339633371.db2.gz CRBWTEZOMVLDDD-INIZCTEOSA-N 0 3 307.441 4.099 20 0 DIADHN CC(C)CC[C@H](O)CN[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000482815436 339643265 /nfs/dbraw/zinc/64/32/65/339643265.db2.gz ZIWKBWCXZOUQJX-YOEHRIQHSA-N 0 3 323.452 4.062 20 0 DIADHN CCC[C@@H](O)CN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000482940776 339647490 /nfs/dbraw/zinc/64/74/90/339647490.db2.gz BPKMZCWHDJMHJN-SJLPKXTDSA-N 0 3 313.441 4.077 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1ccccc1OC(F)(F)F ZINC000482960173 339648479 /nfs/dbraw/zinc/64/84/79/339648479.db2.gz NGCBCMMHUZZHAX-YWPYICTPSA-N 0 3 319.367 4.033 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)CC2CC2)nnn1-c1ccc(Cl)cc1 ZINC000482962521 339648681 /nfs/dbraw/zinc/64/86/81/339648681.db2.gz QIQVJRUSRIXGAE-VXGBXAGGSA-N 0 3 318.852 4.068 20 0 DIADHN CCC[C@](C)(O)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000482970378 339648725 /nfs/dbraw/zinc/64/87/25/339648725.db2.gz JRXDEAHKZZMKMD-GUYCJALGSA-N 0 3 304.459 4.012 20 0 DIADHN CCCC1CCC(N(C)C(=O)c2cccc(CN(C)C)c2)CC1 ZINC000483091121 339651402 /nfs/dbraw/zinc/65/14/02/339651402.db2.gz QVQPKDDCGHARIL-UHFFFAOYSA-N 0 3 316.489 4.179 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H]1CCCN(c2ccccc2)C1 ZINC000483132439 339653275 /nfs/dbraw/zinc/65/32/75/339653275.db2.gz UQRPRKSXTWQHGK-IRXDYDNUSA-N 0 3 310.441 4.015 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@H](N[C@@H](C)c2ccco2)C1 ZINC000483165110 339654798 /nfs/dbraw/zinc/65/47/98/339654798.db2.gz BOMJDWZATIQASH-HOCLYGCPSA-N 0 3 302.393 4.047 20 0 DIADHN CCc1nc2c(s1)[C@H](NCCOC1CCCCCC1)CCC2 ZINC000483196939 339656027 /nfs/dbraw/zinc/65/60/27/339656027.db2.gz KUQHDJMZADALPX-OAHLLOKOSA-N 0 3 322.518 4.412 20 0 DIADHN CSC[C@@H](C)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000483213183 339656628 /nfs/dbraw/zinc/65/66/28/339656628.db2.gz UXBRBIBLIHACAJ-OLZOCXBDSA-N 0 3 320.527 4.444 20 0 DIADHN CCCC(O)(CCC)CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000483296262 339660354 /nfs/dbraw/zinc/66/03/54/339660354.db2.gz MWIOZRFTXZMTMT-NSHDSACASA-N 0 3 303.368 4.086 20 0 DIADHN CCCC(O)(CCC)CN[C@H]1CCSc2ccc(F)cc21 ZINC000483303324 339660631 /nfs/dbraw/zinc/66/06/31/339660631.db2.gz MAXDEVBEFNULBY-HNNXBMFYSA-N 0 3 311.466 4.284 20 0 DIADHN C[C@H](CCO)N[C@@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000483315990 339661297 /nfs/dbraw/zinc/66/12/97/339661297.db2.gz MWGYAXVWGGDBRD-ACJLOTCBSA-N 0 3 321.823 4.123 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccc(F)cc2Cl)s1 ZINC000483447079 339666288 /nfs/dbraw/zinc/66/62/88/339666288.db2.gz YVSRNNWANKZTAF-SNVBAGLBSA-N 0 3 312.841 4.391 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2cc(Cl)ccc2OC)s1 ZINC000483456095 339666512 /nfs/dbraw/zinc/66/65/12/339666512.db2.gz RIFVLENTVNPVEX-LLVKDONJSA-N 0 3 324.877 4.261 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](C)Oc2cccc(F)c2)cc1F ZINC000483536714 339668342 /nfs/dbraw/zinc/66/83/42/339668342.db2.gz CVVRHKLWJJRGSE-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN FC(F)C1CCN(CCSc2ccc(Cl)cc2)CC1 ZINC000483534193 339668407 /nfs/dbraw/zinc/66/84/07/339668407.db2.gz BSAULTOGKZDOFO-UHFFFAOYSA-N 0 3 305.821 4.409 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cc(F)cc(F)c1)Oc1ccccc1F ZINC000483620215 339669699 /nfs/dbraw/zinc/66/96/99/339669699.db2.gz QPIMLSIBWOCVNO-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@@H](C)c2cc(F)ccc2F)c1 ZINC000483628516 339669880 /nfs/dbraw/zinc/66/98/80/339669880.db2.gz PZMGBXPSFHWZLW-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CCC[C@@H](C)CN[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483633776 339670057 /nfs/dbraw/zinc/67/00/57/339670057.db2.gz GEJGEYUSRKPSRE-DGCLKSJQSA-N 0 3 305.397 4.271 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCC[C@@H](C)C3)n2)ccc1F ZINC000483654754 339670901 /nfs/dbraw/zinc/67/09/01/339670901.db2.gz OPUQGVRSUCSHDT-ZLDLUXBVSA-N 0 3 317.408 4.413 20 0 DIADHN CCC[C@H](C)CN[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483660731 339671115 /nfs/dbraw/zinc/67/11/15/339671115.db2.gz WCDSICPYNOCXQQ-AAEUAGOBSA-N 0 3 305.397 4.271 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000483662132 339671258 /nfs/dbraw/zinc/67/12/58/339671258.db2.gz YJXWUPNYEFQSAI-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1n1cccn1)c1cc2ccccc2o1 ZINC000483706496 339672029 /nfs/dbraw/zinc/67/20/29/339672029.db2.gz CGFAKEJNVRDSIQ-XIRDDKMYSA-N 0 3 309.413 4.464 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@H]3CCC[C@H]3C2)nnn1-c1ccccc1 ZINC000483720570 339672785 /nfs/dbraw/zinc/67/27/85/339672785.db2.gz APBHYXPJQHIMHE-XOYOTBSWSA-N 0 3 324.472 4.195 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccccc1OC(F)(F)F ZINC000483734029 339673449 /nfs/dbraw/zinc/67/34/49/339673449.db2.gz ORCWTNWUEUZBOE-CORIIIEPSA-N 0 3 317.351 4.193 20 0 DIADHN CC(C)[C@H](O)CCN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000483748601 339674036 /nfs/dbraw/zinc/67/40/36/339674036.db2.gz SDBZYSCADHXURQ-LKFCYVNXSA-N 0 3 308.224 4.190 20 0 DIADHN CCO[C@@H](CCN[C@@H](C)c1ccccc1OC(F)F)C(C)C ZINC000483866433 339677148 /nfs/dbraw/zinc/67/71/48/339677148.db2.gz BYEFVMPZCDUOGR-ZFWWWQNUSA-N 0 3 315.404 4.390 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](Cc1ccccc1)c1ccccc1F ZINC000484126757 339681316 /nfs/dbraw/zinc/68/13/16/339681316.db2.gz JFURHLQGLOBZAN-QMTMVMCOSA-N 0 3 301.405 4.123 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1ccc(-c2ccccc2)o1 ZINC000484158692 339682339 /nfs/dbraw/zinc/68/23/39/339682339.db2.gz FXRZEALBKBGTIT-LZBRWLDZSA-N 0 3 311.425 4.411 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@@H]2CCC[C@H](C3CC3)C2)n1 ZINC000484310716 339684592 /nfs/dbraw/zinc/68/45/92/339684592.db2.gz QRGJAOXGVOGOBP-VQTJNVASSA-N 0 3 323.484 4.184 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CC[C@H]3SC)c(C)c2c1 ZINC000484373587 339685761 /nfs/dbraw/zinc/68/57/61/339685761.db2.gz QRRWRZPEDUDITK-HZUKXOBISA-N 0 3 305.443 4.294 20 0 DIADHN CC(C)C[C@@H](NCCc1cc(F)ccc1F)c1ccccn1 ZINC000484473564 339686864 /nfs/dbraw/zinc/68/68/64/339686864.db2.gz MRGHPPFGVBYKLE-GOSISDBHSA-N 0 3 304.384 4.279 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc2c(c1)COC2 ZINC000484500329 339688297 /nfs/dbraw/zinc/68/82/97/339688297.db2.gz KPKGEFWAZFPFAM-ORAYPTAESA-N 0 3 309.409 4.064 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCS1)c1ccc(F)cc1OC(F)F ZINC000484501815 339688311 /nfs/dbraw/zinc/68/83/11/339688311.db2.gz JDAZLWWTWKTCPR-MEBBXXQBSA-N 0 3 319.392 4.363 20 0 DIADHN COC[C@@H](N[C@H](c1ccccc1)c1cccc(F)c1)C(C)C ZINC000484602207 339690130 /nfs/dbraw/zinc/69/01/30/339690130.db2.gz GODAXTUHVNZJGM-RTBURBONSA-N 0 3 301.405 4.176 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H]2CCCC[C@@H]2C2CC2)no1 ZINC000485714296 339710724 /nfs/dbraw/zinc/71/07/24/339710724.db2.gz CXZAPUWMRGQRJK-SJORKVTESA-N 0 3 306.450 4.048 20 0 DIADHN CC(C)[C@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1 ZINC000485817291 339713613 /nfs/dbraw/zinc/71/36/13/339713613.db2.gz QGUSJMSOTBPPFX-SFHVURJKSA-N 0 3 303.833 4.319 20 0 DIADHN C[C@H](Nc1ccnc2ccccc21)c1nc(C(F)(F)F)cs1 ZINC000486490077 339728277 /nfs/dbraw/zinc/72/82/77/339728277.db2.gz RYRMAHWAIZYJQV-VIFPVBQESA-N 0 3 323.343 4.305 20 0 DIADHN Fc1ccc2cc(CNCc3ccc(F)c(Cl)c3)[nH]c2c1 ZINC000486692190 339731456 /nfs/dbraw/zinc/73/14/56/339731456.db2.gz BBEMXGMADQYFRE-UHFFFAOYSA-N 0 3 306.743 4.389 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cc2ccc(F)cc2[nH]1)C(C)C ZINC000486722202 339732474 /nfs/dbraw/zinc/73/24/74/339732474.db2.gz ISOUZUYMANPIQG-SFHVURJKSA-N 0 3 311.404 4.497 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NC/C=C\c1ccncc1 ZINC000486977414 339741141 /nfs/dbraw/zinc/74/11/41/339741141.db2.gz DXOBMYIXBRQDPQ-YPQKKHBXSA-N 0 3 300.402 4.061 20 0 DIADHN Clc1ccsc1CNCCCOCCc1ccccc1 ZINC000486990862 339741742 /nfs/dbraw/zinc/74/17/42/339741742.db2.gz RNOKMXGETIETDI-UHFFFAOYSA-N 0 3 309.862 4.141 20 0 DIADHN Clc1ccsc1CNCCc1ccc2ccccc2n1 ZINC000486989534 339741855 /nfs/dbraw/zinc/74/18/55/339741855.db2.gz MHONQGVYTCDKPK-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2NCc1scnc1C1CC1 ZINC000486989672 339741867 /nfs/dbraw/zinc/74/18/67/339741867.db2.gz NHBFSVXFAKYCPG-AWEZNQCLSA-N 0 3 304.846 4.451 20 0 DIADHN C[C@H](NC/C=C\c1ccncc1)c1ccc(OC(F)F)cc1 ZINC000486991340 339741908 /nfs/dbraw/zinc/74/19/08/339741908.db2.gz VGLNSEUCJCAJPZ-ZRMMWKCHSA-N 0 3 304.340 4.047 20 0 DIADHN Cc1cscc1CNCc1c[nH]nc1-c1ccc(Cl)cc1 ZINC000487179026 339745415 /nfs/dbraw/zinc/74/54/15/339745415.db2.gz CLBWSBWDJZADTN-UHFFFAOYSA-N 0 3 317.845 4.390 20 0 DIADHN CCOc1c(Cl)cccc1CNCCCCCSC ZINC000487560950 339757361 /nfs/dbraw/zinc/75/73/61/339757361.db2.gz PTZXMXLPXYHJMJ-UHFFFAOYSA-N 0 3 301.883 4.362 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1sccc1Cl ZINC000487537378 339756069 /nfs/dbraw/zinc/75/60/69/339756069.db2.gz LDPNRXXGJJVRCR-ZUZCIYMTSA-N 0 3 313.825 4.406 20 0 DIADHN CC(C)(NC/C=C/c1ccncc1)c1cccc(Cl)c1F ZINC000487557082 339757160 /nfs/dbraw/zinc/75/71/60/339757160.db2.gz WGEVCGCTZWXLTL-SNAWJCMRSA-N 0 3 304.796 4.412 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H]1CS[C@@H](C(C)C)C1 ZINC000488332969 339777407 /nfs/dbraw/zinc/77/74/07/339777407.db2.gz LJDMWTVCSJPIOW-HZPDHXFCSA-N 0 3 313.507 4.308 20 0 DIADHN CCOc1cc(CN[C@@H]2CS[C@@H](C(C)(C)C)C2)ccc1OC ZINC000488409568 339780221 /nfs/dbraw/zinc/78/02/21/339780221.db2.gz HFXPPOSXARDWPD-WMLDXEAASA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2CCSc3ccc(F)cc32)CS1 ZINC000488421289 339781049 /nfs/dbraw/zinc/78/10/49/339781049.db2.gz JOJJXPKISUNYCD-XPKDYRNWSA-N 0 3 311.491 4.482 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000488436315 339782210 /nfs/dbraw/zinc/78/22/10/339782210.db2.gz UILXSAYIDSGJBP-GDZNZVCISA-N 0 3 323.502 4.275 20 0 DIADHN Cc1cnc([C@H](N[C@H]2CS[C@H](C(C)(C)C)C2)C2CC2)s1 ZINC000488458544 339783693 /nfs/dbraw/zinc/78/36/93/339783693.db2.gz IHHKZUGLDVCEMM-HZSPNIEDSA-N 0 3 310.532 4.412 20 0 DIADHN CCCCCn1cc(CN[C@H]2CS[C@H](C(C)C)C2)c(C)n1 ZINC000488459256 339783787 /nfs/dbraw/zinc/78/37/87/339783787.db2.gz KWEZVUSXMUHOEB-SJORKVTESA-N 0 3 309.523 4.001 20 0 DIADHN CC(C)[C@@H]1C[C@H](NC[C@@H](c2ccccc2)C(F)(F)F)CS1 ZINC000488586677 339788692 /nfs/dbraw/zinc/78/86/92/339788692.db2.gz WOWDXTOSARSKRI-KKUMJFAQSA-N 0 3 317.420 4.452 20 0 DIADHN Cc1nc2ccccc2c(N2CCOC[C@H](C3CCC3)C2)c1C ZINC000488720937 339791318 /nfs/dbraw/zinc/79/13/18/339791318.db2.gz QZZTUHDRGZRKBU-QGZVFWFLSA-N 0 3 310.441 4.105 20 0 DIADHN CCC[C@H](O)CNCc1ccc(Oc2cc(F)cc(F)c2)cc1 ZINC000489053593 339800907 /nfs/dbraw/zinc/80/09/07/339800907.db2.gz NIIPZWVPLCHQAO-INIZCTEOSA-N 0 3 321.367 4.008 20 0 DIADHN Oc1ccccc1CCNC(c1ccccc1)c1ccccc1 ZINC000490142333 339826830 /nfs/dbraw/zinc/82/68/30/339826830.db2.gz HMCLFPHGSJLUTQ-UHFFFAOYSA-N 0 3 303.405 4.314 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc3c(c1)OCO3)CCC2 ZINC000490355526 339831078 /nfs/dbraw/zinc/83/10/78/339831078.db2.gz QCKKEAJAFYHYSD-KDOFPFPSSA-N 0 3 309.409 4.452 20 0 DIADHN Cc1cccc(CN[C@H](C)[C@H]2OCCc3sccc32)c1C ZINC000492110151 339891812 /nfs/dbraw/zinc/89/18/12/339891812.db2.gz SRZOYIQTDIDSMU-RDTXWAMCSA-N 0 3 301.455 4.157 20 0 DIADHN Cc1sc2nc([C@@H](C)N[C@H]3CCCC3(C)C)nc(N)c2c1C ZINC000492938945 339912995 /nfs/dbraw/zinc/91/29/95/339912995.db2.gz WYJADQDPZMRHCG-PWSUYJOCSA-N 0 3 318.490 4.120 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1cccc(C)c1)c1ccc(F)cn1 ZINC000493174805 339919010 /nfs/dbraw/zinc/91/90/10/339919010.db2.gz JVISYBQPMCNWMV-YOEHRIQHSA-N 0 3 302.393 4.037 20 0 DIADHN C[C@@H](CCO)N[C@H](c1ccccc1)c1cccc2ccccc21 ZINC000493207716 339919775 /nfs/dbraw/zinc/91/97/75/339919775.db2.gz UAJOKMAUWDSSHS-HRAATJIYSA-N 0 3 305.421 4.290 20 0 DIADHN CC(C)[C@H](O)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493245363 339920941 /nfs/dbraw/zinc/92/09/41/339920941.db2.gz AYODTFUOGAXBNJ-QZTJIDSGSA-N 0 3 321.823 4.175 20 0 DIADHN CC(C)[C@H](O)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493276508 339921707 /nfs/dbraw/zinc/92/17/07/339921707.db2.gz KDCNWJRQXGBQAS-MSOLQXFVSA-N 0 3 321.823 4.175 20 0 DIADHN COCc1cc(CN[C@H]2c3cc(C)ccc3C[C@H]2C)ccc1F ZINC000493276352 339921714 /nfs/dbraw/zinc/92/17/14/339921714.db2.gz IMKJBVWNVIQXOR-JLTOFOAXSA-N 0 3 313.416 4.304 20 0 DIADHN C[C@@H](CCO)CN[C@@H](c1ccc(F)cc1)c1ccccc1Cl ZINC000493278688 339921888 /nfs/dbraw/zinc/92/18/88/339921888.db2.gz VCMZWKREIVURRG-UGSOOPFHSA-N 0 3 321.823 4.177 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nc(-c2ccoc2)no1 ZINC000493573283 339928613 /nfs/dbraw/zinc/92/86/13/339928613.db2.gz OMHATZSUAMITEO-UKRRQHHQSA-N 0 3 303.406 4.024 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccco1)c1ccc(Cl)cc1 ZINC000493851713 339934937 /nfs/dbraw/zinc/93/49/37/339934937.db2.gz WVCMHBKSLFJNRS-DYVFJYSZSA-N 0 3 307.821 4.231 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1cc(F)ccc1SC ZINC000493882126 339935643 /nfs/dbraw/zinc/93/56/43/339935643.db2.gz HJAHAMCPTRYUIA-FZKQIMNGSA-N 0 3 319.445 4.413 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccccc1Cl)c1ccc(F)cn1 ZINC000494003454 339938598 /nfs/dbraw/zinc/93/85/98/339938598.db2.gz HVWKPEFIQBZJOL-DOMZBBRYSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccc(OC)cc1)c1ccc(F)cn1 ZINC000494063140 339940299 /nfs/dbraw/zinc/94/02/99/339940299.db2.gz AOEIAPQWLBNZLX-KRWDZBQOSA-N 0 3 316.420 4.248 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@@]1(C)CCO[C@H]1C ZINC000494227651 339944199 /nfs/dbraw/zinc/94/41/99/339944199.db2.gz PXCPZZCWVMFJLI-YWZLYKJASA-N 0 3 313.441 4.284 20 0 DIADHN CCCc1csc(CNCCCSc2ccccc2)n1 ZINC000494318141 339946547 /nfs/dbraw/zinc/94/65/47/339946547.db2.gz XWNSXUYNBGXKSD-UHFFFAOYSA-N 0 3 306.500 4.368 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3nc(C)sc3c2)cc1 ZINC000494322996 339946685 /nfs/dbraw/zinc/94/66/85/339946685.db2.gz NUCKLURQVLMLSE-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1nc2ccc(CNCCOc3ccccc3C)cc2s1 ZINC000494328390 339946820 /nfs/dbraw/zinc/94/68/20/339946820.db2.gz KLWGPUSYBZMMFP-UHFFFAOYSA-N 0 3 312.438 4.082 20 0 DIADHN Cc1nc2ccc(CNCc3cc4ccccc4[nH]3)cc2s1 ZINC000494367940 339947692 /nfs/dbraw/zinc/94/76/92/339947692.db2.gz LHZMYRNRCTWZHX-UHFFFAOYSA-N 0 3 307.422 4.376 20 0 DIADHN Cc1cscc1CN[C@H](C)CC(=O)Nc1cccc(Cl)c1 ZINC000494523584 339952522 /nfs/dbraw/zinc/95/25/22/339952522.db2.gz JMSDIRYQWNSRRK-GFCCVEGCSA-N 0 3 322.861 4.217 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2OC1)c1ccc(F)cc1F ZINC000494601088 339954835 /nfs/dbraw/zinc/95/48/35/339954835.db2.gz JMYKFIGZNBWHGF-IUODEOHRSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OC(F)F)cc2)cc(C)c1O ZINC000494662355 339957118 /nfs/dbraw/zinc/95/71/18/339957118.db2.gz CLBIWPWFAMNHSC-CYBMUJFWSA-N 0 3 321.367 4.461 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1-n1cccn1)[C@H](C)C2 ZINC000494732010 339958784 /nfs/dbraw/zinc/95/87/84/339958784.db2.gz RMYPNCJZEOJART-IIBYNOLFSA-N 0 3 317.436 4.204 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccnc2Oc2cccc(F)c2)[C@@H]1C ZINC000494883784 339963572 /nfs/dbraw/zinc/96/35/72/339963572.db2.gz TWYVFTVTWIIPNZ-XNJGSVPQSA-N 0 3 300.377 4.147 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccccc1C)C1CCCC1 ZINC000495066443 339972331 /nfs/dbraw/zinc/97/23/31/339972331.db2.gz LPQOXIDWKNVMNX-FQEVSTJZSA-N 0 3 311.473 4.366 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3c(c1)OCCO3)CC2 ZINC000495158050 339976844 /nfs/dbraw/zinc/97/68/44/339976844.db2.gz OSKQJUOMMOJYAA-LJQANCHMSA-N 0 3 323.436 4.358 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccsc1 ZINC000495164280 339977270 /nfs/dbraw/zinc/97/72/70/339977270.db2.gz GPRQMGRSOZZVAV-AAEUAGOBSA-N 0 3 324.852 4.470 20 0 DIADHN OCC[C@@H]1CCC[C@@H]1NCc1ccc(-c2ccccc2)cc1F ZINC000495170193 339977736 /nfs/dbraw/zinc/97/77/36/339977736.db2.gz FTLAUTQPAOBRQU-JXFKEZNVSA-N 0 3 313.416 4.133 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@@H](CC)c2ccc(F)cn2)CCO1 ZINC000495431346 339984215 /nfs/dbraw/zinc/98/42/15/339984215.db2.gz CGTJAKWUJUVIKA-RYRKJORJSA-N 0 3 308.441 4.245 20 0 DIADHN Cc1c(Cl)c(CN[C@@H](CCO)C(C)(C)C)nc2ccccc12 ZINC000495428474 339984259 /nfs/dbraw/zinc/98/42/59/339984259.db2.gz WZQIGOLIRYUWPM-INIZCTEOSA-N 0 3 320.864 4.083 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000495440787 339984743 /nfs/dbraw/zinc/98/47/43/339984743.db2.gz XDRMFJYJPOEFML-JOZWUWCOSA-N 0 3 320.436 4.280 20 0 DIADHN C[C@@H](NCCC(=O)OC(C)(C)C)c1cccc(C(F)(F)F)c1 ZINC000495440462 339984745 /nfs/dbraw/zinc/98/47/45/339984745.db2.gz SLEBPDFOJZVVJY-LLVKDONJSA-N 0 3 317.351 4.088 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2)C1)c1ccccc1Cl ZINC000495490390 339985869 /nfs/dbraw/zinc/98/58/69/339985869.db2.gz HCSBMNITGLYYDX-CABCVRRESA-N 0 3 300.833 4.270 20 0 DIADHN CCc1nc(CCN[C@H](C)c2cc(F)ccc2F)sc1C ZINC000495703304 339990524 /nfs/dbraw/zinc/99/05/24/339990524.db2.gz PXFXWVFNYVQQHM-SNVBAGLBSA-N 0 3 310.413 4.185 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NCCCNc1ccccc1 ZINC000513352374 340005944 /nfs/dbraw/zinc/00/59/44/340005944.db2.gz AUPDLSIIGANMAD-QGZVFWFLSA-N 0 3 302.368 4.044 20 0 DIADHN C[C@H](C1CC1)N(C)Cc1cc(Br)ccc1Cl ZINC000496838815 340014202 /nfs/dbraw/zinc/01/42/02/340014202.db2.gz LWHYGNYBGRJRNZ-SECBINFHSA-N 0 3 302.643 4.333 20 0 DIADHN CC(C)(C)[C@H](NCCc1cnccn1)c1cc2ccccc2o1 ZINC000498751876 340022578 /nfs/dbraw/zinc/02/25/78/340022578.db2.gz DKDZBWGYOVGDHM-GOSISDBHSA-N 0 3 309.413 4.142 20 0 DIADHN C[C@H](CC[S@](C)=O)N[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000498766180 340022937 /nfs/dbraw/zinc/02/29/37/340022937.db2.gz UDRFZXJYTYVZJK-BUTRMIIQSA-N 0 3 321.486 4.267 20 0 DIADHN C[C@H](CCN[C@@H](c1cc2ccccc2o1)C(C)(C)C)[S@@](C)=O ZINC000498804564 340023596 /nfs/dbraw/zinc/02/35/96/340023596.db2.gz BBSSYNVLXHZQQD-LEXZLQQCSA-N 0 3 321.486 4.267 20 0 DIADHN CC(C)[C@H](NCCOc1ccccc1Cl)c1ccc(F)cn1 ZINC000498809121 340023650 /nfs/dbraw/zinc/02/36/50/340023650.db2.gz XZYRFEPMPPEGTB-KRWDZBQOSA-N 0 3 322.811 4.240 20 0 DIADHN CCO[C@H](CCN[C@H](c1ccc(F)cn1)C(C)C)C1CCCC1 ZINC000498941980 340026724 /nfs/dbraw/zinc/02/67/24/340026724.db2.gz LHTVBEZORSSHSS-MOPGFXCFSA-N 0 3 322.468 4.493 20 0 DIADHN Clc1ccc([C@H]2CCCCCN2CCn2ccnc2)cc1 ZINC000499051170 340028471 /nfs/dbraw/zinc/02/84/71/340028471.db2.gz ANNSXWDVKQWFJI-QGZVFWFLSA-N 0 3 303.837 4.154 20 0 DIADHN CC[C@H]1CCCCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499051555 340028619 /nfs/dbraw/zinc/02/86/19/340028619.db2.gz ACNBPMNMIDETQF-KRWDZBQOSA-N 0 3 311.429 4.424 20 0 DIADHN CCC1(CC)CCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499055896 340028739 /nfs/dbraw/zinc/02/87/39/340028739.db2.gz YMZWHDYISACDHZ-UHFFFAOYSA-N 0 3 311.429 4.281 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccc(F)cc2)c2cccnc2)cc1 ZINC000499148185 340031158 /nfs/dbraw/zinc/03/11/58/340031158.db2.gz CAUYQOHVIJXGTQ-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN COCCN(Cc1cnc2ccccc2c1)C[C@@H]1CC=CCC1 ZINC000499734657 340043722 /nfs/dbraw/zinc/04/37/22/340043722.db2.gz NFVOSIKKTZLGFR-QGZVFWFLSA-N 0 3 310.441 4.040 20 0 DIADHN COc1ccc(CNC[C@H]2CCCC(F)(F)C2)c(Cl)c1 ZINC000500366286 340055748 /nfs/dbraw/zinc/05/57/48/340055748.db2.gz UFHIUIDFJYRBLF-NSHDSACASA-N 0 3 303.780 4.264 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2ncc(C(C)(C)C)o2)cc1 ZINC000500188520 340052431 /nfs/dbraw/zinc/05/24/31/340052431.db2.gz QPLDWUZFROSHGT-KRWDZBQOSA-N 0 3 312.457 4.488 20 0 DIADHN COc1c(C)cnc(CN(C)CCc2ccc(Cl)cc2)c1C ZINC000500235460 340053583 /nfs/dbraw/zinc/05/35/83/340053583.db2.gz JXRNSDDPWQYJBQ-UHFFFAOYSA-N 0 3 318.848 4.035 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](C)C(=O)N(C(C)C)C(C)C)cc1 ZINC000500239854 340053744 /nfs/dbraw/zinc/05/37/44/340053744.db2.gz WTNSGIDEAXWIBR-LSDHHAIUSA-N 0 3 322.518 4.093 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1nc2ccccc2o1 ZINC000500239140 340053756 /nfs/dbraw/zinc/05/37/56/340053756.db2.gz XDNCQWIKTANXLR-UHFFFAOYSA-N 0 3 312.388 4.422 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1ccc(SC)cc1 ZINC000500242799 340053761 /nfs/dbraw/zinc/05/37/61/340053761.db2.gz BJRHCVFIQNALOA-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN COc1cccc(CN[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)c1 ZINC000500416329 340057622 /nfs/dbraw/zinc/05/76/22/340057622.db2.gz NNEAEZDSFISNKW-SCLBCKFNSA-N 0 3 301.817 4.374 20 0 DIADHN Clc1cccc(CN[C@H]2CCCC23CCOCC3)c1Cl ZINC000500422818 340057878 /nfs/dbraw/zinc/05/78/78/340057878.db2.gz QXUVSOZOZIXAKV-AWEZNQCLSA-N 0 3 314.256 4.432 20 0 DIADHN CC(C)(C)c1cnc(CNCC2CCC(F)(F)CC2)s1 ZINC000500435941 340058622 /nfs/dbraw/zinc/05/86/22/340058622.db2.gz YSLSYVWGXQPMHA-UHFFFAOYSA-N 0 3 302.434 4.356 20 0 DIADHN FC1(F)CCC(CNCc2ccccc2Br)CC1 ZINC000500436791 340058726 /nfs/dbraw/zinc/05/87/26/340058726.db2.gz ANBNMPNHZWDUMJ-UHFFFAOYSA-N 0 3 318.205 4.364 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@@H]3CC(C)C)o2)cc1 ZINC000500453486 340059310 /nfs/dbraw/zinc/05/93/10/340059310.db2.gz CXWUJMRCIRLWSP-MRXNPFEDSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1cccn2c(CN[C@@H](c3ccc(F)cc3)C(C)C)cnc12 ZINC000500465312 340059801 /nfs/dbraw/zinc/05/98/01/340059801.db2.gz ZRVOBQNBOHVDCJ-GOSISDBHSA-N 0 3 311.404 4.269 20 0 DIADHN CC(C)[C@H](NCc1ccc(-c2cc[nH]n2)o1)c1ccc(F)cc1 ZINC000500469164 340059991 /nfs/dbraw/zinc/05/99/91/340059991.db2.gz KERXPJPLGZRRTA-SFHVURJKSA-N 0 3 313.376 4.296 20 0 DIADHN CC(C)[C@@H](NCc1ccc(-c2cc[nH]n2)o1)c1ccc(F)cc1 ZINC000500469162 340060033 /nfs/dbraw/zinc/06/00/33/340060033.db2.gz KERXPJPLGZRRTA-GOSISDBHSA-N 0 3 313.376 4.296 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3cc(C)ccc3F)C2)cc1F ZINC000500476252 340060148 /nfs/dbraw/zinc/06/01/48/340060148.db2.gz QZCXPITWNWLXDP-KOMQPUFPSA-N 0 3 317.379 4.318 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cnc2cc(C)ccn12)c1cccc(C)c1 ZINC000500489699 340060485 /nfs/dbraw/zinc/06/04/85/340060485.db2.gz ZTZKNVWHSKVMCZ-UWJYYQICSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@H]1C[C@]1(NCc1ccc2c(c1)OCCO2)c1cccc(C)c1 ZINC000500497396 340060698 /nfs/dbraw/zinc/06/06/98/340060698.db2.gz KAXFWAJKWUVQSC-LAUBAEHRSA-N 0 3 323.436 4.181 20 0 DIADHN CC(C)Cn1cc(CN[C@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000500529395 340061571 /nfs/dbraw/zinc/06/15/71/340061571.db2.gz AKLUIVQZWLMCSN-SFHVURJKSA-N 0 3 303.425 4.165 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cccc2nccn21)c1cccc(C)c1 ZINC000500538976 340061904 /nfs/dbraw/zinc/06/19/04/340061904.db2.gz VXZCDHOFLCESEA-UZLBHIALSA-N 0 3 305.425 4.058 20 0 DIADHN C[C@@H](NCCCc1cccc(C(F)(F)F)c1)c1ccccn1 ZINC000500606337 340063524 /nfs/dbraw/zinc/06/35/24/340063524.db2.gz ANFAYLICNMWNHN-CYBMUJFWSA-N 0 3 308.347 4.384 20 0 DIADHN C[C@@H](N[C@H](COc1ccccc1F)C(C)(C)C)c1ccccn1 ZINC000500612653 340063621 /nfs/dbraw/zinc/06/36/21/340063621.db2.gz BIZWGMXFAZGZEE-RDTXWAMCSA-N 0 3 316.420 4.365 20 0 DIADHN CCC[C@@H](NCC[C@H](OCC)C1CCCC1)c1ccccn1 ZINC000500615846 340063734 /nfs/dbraw/zinc/06/37/34/340063734.db2.gz JXBLQXZQWSTRQW-MJGOQNOKSA-N 0 3 304.478 4.498 20 0 DIADHN COCC1(C)CCN(Cc2ccc(-c3ccccc3F)o2)CC1 ZINC000500783858 340067156 /nfs/dbraw/zinc/06/71/56/340067156.db2.gz XIELRWNYPGKTET-UHFFFAOYSA-N 0 3 317.404 4.334 20 0 DIADHN COCC1(C)CCN(Cc2cc3cc(C)c(C)cc3o2)CC1 ZINC000500784505 340067192 /nfs/dbraw/zinc/06/71/92/340067192.db2.gz MORLGNGMWKKCPE-UHFFFAOYSA-N 0 3 301.430 4.298 20 0 DIADHN COC[C@H](NCCSC1CCCCC1)c1ccc(F)cc1 ZINC000500987887 340071647 /nfs/dbraw/zinc/07/16/47/340071647.db2.gz GZAUXRPHAXERIY-KRWDZBQOSA-N 0 3 311.466 4.169 20 0 DIADHN CN(CCSc1ccc(Cl)cc1)CCc1ccncc1 ZINC000501027490 340072583 /nfs/dbraw/zinc/07/25/83/340072583.db2.gz WMCUMUCYPLECDF-UHFFFAOYSA-N 0 3 306.862 4.002 20 0 DIADHN CCC[C@H](N[C@@H](C)CCc1ccccc1)c1nnc2ccccn21 ZINC000501065537 340073457 /nfs/dbraw/zinc/07/34/57/340073457.db2.gz ZKUBLOMJBWXRBG-WMZOPIPTSA-N 0 3 322.456 4.181 20 0 DIADHN C(CN1CCC(OC2CCCC2)CC1)SC1CCCCC1 ZINC000501165286 340075300 /nfs/dbraw/zinc/07/53/00/340075300.db2.gz VZJQOCJDAQYDRU-UHFFFAOYSA-N 0 3 311.535 4.476 20 0 DIADHN COc1ncc(CN[C@H](C)c2cc(C)ccc2C)cc1Cl ZINC000501226415 340076555 /nfs/dbraw/zinc/07/65/55/340076555.db2.gz JAKLANNEKLDFGE-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN CC(C)n1ccnc1CN(CCc1ccccc1)C1CCCC1 ZINC000501299724 340078231 /nfs/dbraw/zinc/07/82/31/340078231.db2.gz CSJZRZJVCQXARM-UHFFFAOYSA-N 0 3 311.473 4.451 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(F)c(Cl)c1 ZINC000501400895 340080011 /nfs/dbraw/zinc/08/00/11/340080011.db2.gz RNQVFVKQQNGRNW-UHFFFAOYSA-N 0 3 307.796 4.340 20 0 DIADHN CCn1cc(CNC/C(C)=C\c2ccccc2C(F)(F)F)cn1 ZINC000501444582 340081136 /nfs/dbraw/zinc/08/11/36/340081136.db2.gz AZAVQOWAXYHDJR-JYRVWZFOSA-N 0 3 323.362 4.115 20 0 DIADHN COc1ccc(-c2ccc(CN[C@H](C)COC(C)C)s2)cc1 ZINC000501447244 340081188 /nfs/dbraw/zinc/08/11/88/340081188.db2.gz CZLGCMINOJTLTN-CQSZACIVSA-N 0 3 319.470 4.327 20 0 DIADHN COc1ccc(-c2ccc(CN[C@H](C)COC(C)C)cc2)cc1 ZINC000501469659 340081796 /nfs/dbraw/zinc/08/17/96/340081796.db2.gz WBQICYQTRAJDEZ-MRXNPFEDSA-N 0 3 313.441 4.265 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1c(C)nc2ccccn21 ZINC000501488899 340082163 /nfs/dbraw/zinc/08/21/63/340082163.db2.gz DRQYPZSJRCBTMC-CYBMUJFWSA-N 0 3 311.454 4.215 20 0 DIADHN Cc1ccc(NC(=O)NC[C@H](C)N2CCCC[C@@H]2C)cc1Cl ZINC000501504665 340082377 /nfs/dbraw/zinc/08/23/77/340082377.db2.gz SHEWHBDIITZFMM-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN C[C@H]1CCC[C@H]1NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000501520128 340082742 /nfs/dbraw/zinc/08/27/42/340082742.db2.gz LHDTUFJTHXXEGM-MAUKXSAKSA-N 0 3 308.425 4.070 20 0 DIADHN CCCn1nccc1C1CCN(Cc2ccc(C)c(C)c2)CC1 ZINC000501559428 340083638 /nfs/dbraw/zinc/08/36/38/340083638.db2.gz FKHLTNWJNUJTMX-UHFFFAOYSA-N 0 3 311.473 4.290 20 0 DIADHN Cc1ccc([C@H](CNc2cccc(C(F)(F)F)n2)N(C)C)cc1 ZINC000501583785 340084427 /nfs/dbraw/zinc/08/44/27/340084427.db2.gz JZHFKEWKNOLRIG-AWEZNQCLSA-N 0 3 323.362 4.124 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C)[C@@H](C)C1 ZINC000501821266 340089371 /nfs/dbraw/zinc/08/93/71/340089371.db2.gz WYHFFHVIIWPLRT-PMPSAXMXSA-N 0 3 302.462 4.115 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCc1nc(-c2c(F)cccc2F)cs1 ZINC000501936785 340091061 /nfs/dbraw/zinc/09/10/61/340091061.db2.gz AZMOTSJSHLLEGG-MFKMUULPSA-N 0 3 308.397 4.367 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc2c(s1)CCC2)c1ccc(F)cc1 ZINC000502010839 340092876 /nfs/dbraw/zinc/09/28/76/340092876.db2.gz AHXCFFJAPMVCMJ-NEPJUHHUSA-N 0 3 304.434 4.225 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc2c(s1)CCC2)c1ccc(F)cc1 ZINC000502010841 340092904 /nfs/dbraw/zinc/09/29/04/340092904.db2.gz AHXCFFJAPMVCMJ-RYUDHWBXSA-N 0 3 304.434 4.225 20 0 DIADHN C[C@H](NC1CC(c2cccc(F)c2)C1)c1nc2c(s1)CCC2 ZINC000502020284 340093170 /nfs/dbraw/zinc/09/31/70/340093170.db2.gz UNJNAXDOLGNUIS-ZOODHJKOSA-N 0 3 316.445 4.368 20 0 DIADHN CN(CC(=O)N(c1ccccc1)C1CCCCC1)C1CCCC1 ZINC000502025443 340093407 /nfs/dbraw/zinc/09/34/07/340093407.db2.gz WEGMPNLCCHYVLI-UHFFFAOYSA-N 0 3 314.473 4.227 20 0 DIADHN Fc1ccc(OCCCN(Cc2cccs2)C2CC2)cc1 ZINC000502176764 340096455 /nfs/dbraw/zinc/09/64/55/340096455.db2.gz VANVCOOVZQDKRF-UHFFFAOYSA-N 0 3 305.418 4.321 20 0 DIADHN CCCCOC1CCN(Cc2ccc(OC)cc2CC)CC1 ZINC000502249916 340097701 /nfs/dbraw/zinc/09/77/01/340097701.db2.gz GSCAFSSLVMVVTE-UHFFFAOYSA-N 0 3 305.462 4.039 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)CN(C)Cc2ccccc2)oc1C ZINC000502362610 340100680 /nfs/dbraw/zinc/10/06/80/340100680.db2.gz AFEZUYUSLXCZHR-HZPDHXFCSA-N 0 3 300.446 4.068 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2CCC(C)(C)c3ccccc32)nn1C ZINC000502366381 340100793 /nfs/dbraw/zinc/10/07/93/340100793.db2.gz OATVSTSACZQCLU-HZPDHXFCSA-N 0 3 311.473 4.234 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000502364555 340100821 /nfs/dbraw/zinc/10/08/21/340100821.db2.gz GVMXNSJVUVHYID-JCGVRSQUSA-N 0 3 305.393 4.462 20 0 DIADHN COc1ccccc1OC[C@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000502376199 340101038 /nfs/dbraw/zinc/10/10/38/340101038.db2.gz QMWAGPGPELCTRB-UKRRQHHQSA-N 0 3 317.429 4.271 20 0 DIADHN CC(C)CCCN[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000502383626 340101225 /nfs/dbraw/zinc/10/12/25/340101225.db2.gz CJBNJPJEDYHICG-IBGZPJMESA-N 0 3 310.441 4.392 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)Cc2cccc(Cl)c2)nn1C ZINC000502385751 340101277 /nfs/dbraw/zinc/10/12/77/340101277.db2.gz FAIWFLANSPIXAG-AWEZNQCLSA-N 0 3 319.880 4.301 20 0 DIADHN COc1ccc(OC)c(CCCN[C@H](C)c2cc(C)c(C)o2)c1 ZINC000502404382 340102015 /nfs/dbraw/zinc/10/20/15/340102015.db2.gz GKFZXRYSGZFKOL-CQSZACIVSA-N 0 3 317.429 4.197 20 0 DIADHN Cc1cc([C@H](C)NCCCCCC(=O)OC(C)(C)C)oc1C ZINC000502416026 340102630 /nfs/dbraw/zinc/10/26/30/340102630.db2.gz PMAZCQACPOPXCX-AWEZNQCLSA-N 0 3 309.450 4.449 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@H](c2ccccc2)N(C)C)oc1C ZINC000502433398 340103353 /nfs/dbraw/zinc/10/33/53/340103353.db2.gz WHHXWRWDQARKAW-QMTMVMCOSA-N 0 3 300.446 4.238 20 0 DIADHN CCCN(CCN(C)C)C(=O)c1ccc(C2CCCCC2)cc1 ZINC000502434628 340103432 /nfs/dbraw/zinc/10/34/32/340103432.db2.gz IAEDVGNGCRKHQS-UHFFFAOYSA-N 0 3 316.489 4.148 20 0 DIADHN Cc1cc([C@H](C)NC[C@@](C)(Cc2ccccc2)[C@@H](C)O)oc1C ZINC000502435538 340103470 /nfs/dbraw/zinc/10/34/70/340103470.db2.gz OARIZCWXBUQYBR-XAUMDUMWSA-N 0 3 315.457 4.177 20 0 DIADHN C[C@@H](NC1(Cc2ccccc2)CC1)c1cnn(C2CCCC2)c1 ZINC000502440602 340103669 /nfs/dbraw/zinc/10/36/69/340103669.db2.gz YMRPXVWUXDMIFZ-MRXNPFEDSA-N 0 3 309.457 4.424 20 0 DIADHN Fc1cc(CN[C@H]2CCCC23CCOCC3)c(F)cc1Cl ZINC000502445870 340103916 /nfs/dbraw/zinc/10/39/16/340103916.db2.gz OCAQDIHOXDLKDU-HNNXBMFYSA-N 0 3 315.791 4.057 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000502465312 340104763 /nfs/dbraw/zinc/10/47/63/340104763.db2.gz UXJQWZMYVAYHRB-KBPBESRZSA-N 0 3 303.402 4.023 20 0 DIADHN C[C@H](NC[C@@H]1CCCC(F)(F)C1)c1nc2c(s1)CCC2 ZINC000502509100 340106456 /nfs/dbraw/zinc/10/64/56/340106456.db2.gz LPLSDBLNXGGULO-WDEREUQCSA-N 0 3 300.418 4.108 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NC[C@@H]1CCCC(F)(F)C1 ZINC000502511895 340106588 /nfs/dbraw/zinc/10/65/88/340106588.db2.gz VBLKGDWBJGNVPZ-VXGBXAGGSA-N 0 3 301.352 4.310 20 0 DIADHN COC(=O)c1cccc(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000502541349 340107280 /nfs/dbraw/zinc/10/72/80/340107280.db2.gz KGKFFIPRSWOVQY-SFHVURJKSA-N 0 3 315.388 4.099 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccc(F)cc1F ZINC000502592728 340108590 /nfs/dbraw/zinc/10/85/90/340108590.db2.gz QTZZESMSJRWJPE-CUOATXAZSA-N 0 3 305.315 4.166 20 0 DIADHN Fc1cccc(Oc2ncccc2CN[C@@H]2CCC23CCC3)c1 ZINC000502682468 340110281 /nfs/dbraw/zinc/11/02/81/340110281.db2.gz BNZJDWWEYYSPML-QGZVFWFLSA-N 0 3 312.388 4.435 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1F)c1cnn(C2CCCC2)c1 ZINC000502682721 340110297 /nfs/dbraw/zinc/11/02/97/340110297.db2.gz HRNHLGLWXXCUFG-CYBMUJFWSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1cc([C@@H](C)NCCCOCCc2ccccc2)oc1C ZINC000502684799 340110328 /nfs/dbraw/zinc/11/03/28/340110328.db2.gz DAXMHZUHFOMKRJ-MRXNPFEDSA-N 0 3 301.430 4.196 20 0 DIADHN C[C@@H](NCCc1ccccc1F)c1cnn(C2CCCC2)c1 ZINC000502688449 340110574 /nfs/dbraw/zinc/11/05/74/340110574.db2.gz ZKGUBAQTRDILPO-CQSZACIVSA-N 0 3 301.409 4.031 20 0 DIADHN C[C@H](NCCc1ccccc1F)c1cnn(C2CCCC2)c1 ZINC000502688448 340110650 /nfs/dbraw/zinc/11/06/50/340110650.db2.gz ZKGUBAQTRDILPO-AWEZNQCLSA-N 0 3 301.409 4.031 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccc(C)cc2)N(C)C)oc1C ZINC000502695006 340110965 /nfs/dbraw/zinc/11/09/65/340110965.db2.gz CLJIGSXDGJHZJM-YJBOKZPZSA-N 0 3 300.446 4.158 20 0 DIADHN Fc1cccc(Oc2ccc(CN[C@H]3CCC34CCC4)cn2)c1 ZINC000502699496 340111323 /nfs/dbraw/zinc/11/13/23/340111323.db2.gz ZFNMARSSHHBWIK-KRWDZBQOSA-N 0 3 312.388 4.435 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1cc(Cl)ccc1C ZINC000502704115 340111386 /nfs/dbraw/zinc/11/13/86/340111386.db2.gz XDFGQLNLCTZTGO-HNNXBMFYSA-N 0 3 323.868 4.034 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2ccccc2)N2CCCC2)oc1C ZINC000502706772 340111572 /nfs/dbraw/zinc/11/15/72/340111572.db2.gz IYVDDFSJRYTHRC-QFBILLFUSA-N 0 3 312.457 4.384 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502723112 340112230 /nfs/dbraw/zinc/11/22/30/340112230.db2.gz BAIRQQXJHDTHQE-HUUCEWRRSA-N 0 3 315.436 4.419 20 0 DIADHN CCN(CCSc1ccc(C)c(C)c1)Cc1ccncc1 ZINC000502756781 340112946 /nfs/dbraw/zinc/11/29/46/340112946.db2.gz VVMUNHFXGSVJJX-UHFFFAOYSA-N 0 3 300.471 4.313 20 0 DIADHN Cc1nc(CCN2CCC[C@](C)(c3ccccc3)C2)cs1 ZINC000502858116 340114880 /nfs/dbraw/zinc/11/48/80/340114880.db2.gz RPCAULXLQYFHRY-SFHVURJKSA-N 0 3 300.471 4.048 20 0 DIADHN c1ccc(-c2nnc(CNC[C@@H]3C[C@@H]3c3ccccc3)s2)cc1 ZINC000502991459 340118179 /nfs/dbraw/zinc/11/81/79/340118179.db2.gz SPUITXDIJKSOJO-DLBZAZTESA-N 0 3 321.449 4.098 20 0 DIADHN Brc1ccsc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000503005832 340118417 /nfs/dbraw/zinc/11/84/17/340118417.db2.gz PZQGKJVPKCLXOJ-STQMWFEESA-N 0 3 322.271 4.404 20 0 DIADHN c1nc(CNC[C@@H]2C[C@@H]2c2ccccc2)c(-c2ccccc2)o1 ZINC000503002794 340118466 /nfs/dbraw/zinc/11/84/66/340118466.db2.gz DMFRRCQIGFFYDK-ZWKOTPCHSA-N 0 3 304.393 4.235 20 0 DIADHN c1csc(-c2nc(CNC[C@@H]3C[C@@H]3c3ccccc3)co2)c1 ZINC000503013839 340118684 /nfs/dbraw/zinc/11/86/84/340118684.db2.gz NOLGQKKBAAUXFC-GOEBONIOSA-N 0 3 310.422 4.296 20 0 DIADHN CCCN(Cc1ccc(C)o1)[C@H](C)CC(=O)Nc1ccccc1 ZINC000503064158 340119981 /nfs/dbraw/zinc/11/99/81/340119981.db2.gz SPHYCOFBXZIFBK-OAHLLOKOSA-N 0 3 314.429 4.217 20 0 DIADHN c1ccc2cc(OCCN3CCC[C@H]3c3ccncc3)ccc2c1 ZINC000503142313 340121901 /nfs/dbraw/zinc/12/19/01/340121901.db2.gz BFKPVOGSZXZAIN-NRFANRHFSA-N 0 3 318.420 4.451 20 0 DIADHN Cc1noc(C)c1CCN[C@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000503364010 340126362 /nfs/dbraw/zinc/12/63/62/340126362.db2.gz CDXWEUQATFYAPY-APPDUMDISA-N 0 3 304.821 4.012 20 0 DIADHN Cc1ccc(CN[C@]2(c3ccc(Cl)cc3)C[C@H]2C)c(C)n1 ZINC000503410770 340127645 /nfs/dbraw/zinc/12/76/45/340127645.db2.gz CKHQWSQPQHPBNW-KZULUSFZSA-N 0 3 300.833 4.377 20 0 DIADHN Cc1ccc(CN[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)c(C)n1 ZINC000503410771 340127689 /nfs/dbraw/zinc/12/76/89/340127689.db2.gz CKHQWSQPQHPBNW-SGTLLEGYSA-N 0 3 300.833 4.377 20 0 DIADHN C[C@@H]1C[C@]1(NCc1ccccc1N(C)C)c1ccc(Cl)cc1 ZINC000503436616 340128236 /nfs/dbraw/zinc/12/82/36/340128236.db2.gz VVRPSNDFZPREMV-AUUYWEPGSA-N 0 3 314.860 4.431 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@H]1CCO[C@H]1C1CC1 ZINC000503558260 340130360 /nfs/dbraw/zinc/13/03/60/340130360.db2.gz PMFHOUCUCCQDLU-PMACEKPBSA-N 0 3 311.400 4.150 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1CCO[C@@H]1C1CC1 ZINC000503558263 340130375 /nfs/dbraw/zinc/13/03/75/340130375.db2.gz PMFHOUCUCCQDLU-WOJBJXKFSA-N 0 3 311.400 4.150 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(-c2ccccc2)cc1F ZINC000503593008 340132035 /nfs/dbraw/zinc/13/20/35/340132035.db2.gz SFGOHUYZAVBLSF-DNVCBOLYSA-N 0 3 315.432 4.379 20 0 DIADHN CC[C@@H](C[C@@H](C)CO)NCc1ccc(-c2ccccc2)cc1F ZINC000503593007 340132045 /nfs/dbraw/zinc/13/20/45/340132045.db2.gz SFGOHUYZAVBLSF-BEFAXECRSA-N 0 3 315.432 4.379 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@@H](c4ccccc4)CC3)cn21 ZINC000503622472 340132639 /nfs/dbraw/zinc/13/26/39/340132639.db2.gz OBIDCDSHDOMJIU-LJQANCHMSA-N 0 3 319.452 4.412 20 0 DIADHN CCCCCN(CC(=O)Nc1c(C)cc(C)cc1C)C(C)C ZINC000503705033 340133905 /nfs/dbraw/zinc/13/39/05/340133905.db2.gz WSKCGLZXPVPAFV-UHFFFAOYSA-N 0 3 304.478 4.451 20 0 DIADHN COc1ccc([C@H]2C[C@H](N[C@H](C)c3ccc(C)o3)C2)cc1F ZINC000503855020 340135099 /nfs/dbraw/zinc/13/50/99/340135099.db2.gz JBBNKPVMFSFZCL-BPLDGKMQSA-N 0 3 303.377 4.333 20 0 DIADHN C[C@H]1C[C@H](N[C@@H]2CCC[C@H]2OC(F)F)c2ccsc2S1 ZINC000503855078 340135163 /nfs/dbraw/zinc/13/51/63/340135163.db2.gz JSTZEXHDOPPQDE-ZDDJMSTPSA-N 0 3 319.442 4.423 20 0 DIADHN COC[C@@H](C)N(C)C/C(C)=C/c1ccccc1C(F)(F)F ZINC000503910997 340136513 /nfs/dbraw/zinc/13/65/13/340136513.db2.gz NCZSKWPEHPMFNA-CNELAYHGSA-N 0 3 301.352 4.075 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)cc1F ZINC000503911989 340136552 /nfs/dbraw/zinc/13/65/52/340136552.db2.gz QEUSOCQVBRFGKQ-WDBKCZKBSA-N 0 3 317.351 4.035 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC(OC2CCCC2)CC1 ZINC000503919510 340136864 /nfs/dbraw/zinc/13/68/64/340136864.db2.gz GVQVKWYFFCMYNR-UHFFFAOYSA-N 0 3 317.473 4.181 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CCCC2CCCCC2)cc1 ZINC000503917680 340136883 /nfs/dbraw/zinc/13/68/83/340136883.db2.gz HZYDBXDMUHENKS-UHFFFAOYSA-N 0 3 302.462 4.437 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2)cc1F)[C@H]1CCCOC1 ZINC000503922793 340137025 /nfs/dbraw/zinc/13/70/25/340137025.db2.gz YVWSEAVJLVTNON-BEFAXECRSA-N 0 3 313.416 4.397 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC[C@@H]2CCCCO2)o1 ZINC000503947655 340138290 /nfs/dbraw/zinc/13/82/90/340138290.db2.gz UDIJBMSMGONOLC-HNNXBMFYSA-N 0 3 317.404 4.443 20 0 DIADHN CC[C@@H](C)[C@H](C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000504068946 340140300 /nfs/dbraw/zinc/14/03/00/340140300.db2.gz FPFLDSHRCRXQED-CVEARBPZSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1ncccc1CNC(C)(C)c1cccc(C(F)(F)F)c1 ZINC000504089002 340140640 /nfs/dbraw/zinc/14/06/40/340140640.db2.gz UKPVHLCXLCXTON-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN COCC[C@H](C)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000504401155 340147122 /nfs/dbraw/zinc/14/71/22/340147122.db2.gz KJIAFQILVRSVSB-KSSFIOAISA-N 0 3 318.848 4.033 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)Oc2cccc(F)c2)ccc1F ZINC000504900043 340155300 /nfs/dbraw/zinc/15/53/00/340155300.db2.gz QFJWJWMGBYNJMK-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)Oc2ccc(F)cc2)ccc1F ZINC000504899615 340155357 /nfs/dbraw/zinc/15/53/57/340155357.db2.gz JJEDHXKMUWNZRH-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCCC(=O)Nc1ccccc1 ZINC000504925277 340156421 /nfs/dbraw/zinc/15/64/21/340156421.db2.gz AKUFMWPWYMAUPV-QAPCUYQASA-N 0 3 308.425 4.162 20 0 DIADHN Cc1ccccc1-c1noc(-c2cccc(CN3CCCC3)c2)n1 ZINC000504992584 340158162 /nfs/dbraw/zinc/15/81/62/340158162.db2.gz VSICKOGRLPCYAB-UHFFFAOYSA-N 0 3 319.408 4.308 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@@H](C)N(C)C)c(C(C)C)c1 ZINC000505071499 340160689 /nfs/dbraw/zinc/16/06/89/340160689.db2.gz NGKBPZQKTCOCIZ-CQSZACIVSA-N 0 3 305.466 4.005 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC000505295729 340165704 /nfs/dbraw/zinc/16/57/04/340165704.db2.gz MAYNLSSFMVXERS-QGZVFWFLSA-N 0 3 313.466 4.042 20 0 DIADHN Cc1ccc(C)c(OCCN2CCC[C@H](c3nccs3)C2)c1 ZINC000505293132 340165727 /nfs/dbraw/zinc/16/57/27/340165727.db2.gz DWZGPNJUDQQEKU-INIZCTEOSA-N 0 3 316.470 4.018 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cnn2ccccc12)Cc1ccccc1 ZINC000505777299 340177646 /nfs/dbraw/zinc/17/76/46/340177646.db2.gz ONMQWGNPPBFQNN-INIZCTEOSA-N 0 3 305.425 4.135 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@@H]1/C=C\c1ccccc1 ZINC000505827111 340179005 /nfs/dbraw/zinc/17/90/05/340179005.db2.gz UEUASHPYIVUVQG-SYGIOELKSA-N 0 3 317.436 4.321 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@@H](COc3ccccc3)C2)c1 ZINC000505840711 340179284 /nfs/dbraw/zinc/17/92/84/340179284.db2.gz QMRKSVLXPLOAAN-DLBZAZTESA-N 0 3 311.425 4.157 20 0 DIADHN CC(C)N(C)Cc1cccc(NC(=O)c2ccc(Cl)cc2)c1 ZINC000505881930 340180574 /nfs/dbraw/zinc/18/05/74/340180574.db2.gz WDXAUCOJDMDOAJ-UHFFFAOYSA-N 0 3 316.832 4.433 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@@H]2/C=C/c2ccccc2)c1 ZINC000505908019 340181378 /nfs/dbraw/zinc/18/13/78/340181378.db2.gz WKYXBNCYPDNVNY-JNCYCUAHSA-N 0 3 320.436 4.323 20 0 DIADHN CCOCc1cc(CN(C)[C@H](C)c2ccccc2)ccc1OC ZINC000506005539 340183050 /nfs/dbraw/zinc/18/30/50/340183050.db2.gz YQRFPGHLFQVREM-MRXNPFEDSA-N 0 3 313.441 4.425 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccc(OC(F)(F)F)cc1 ZINC000506013555 340183292 /nfs/dbraw/zinc/18/32/92/340183292.db2.gz XXGBNMOHRJUSRP-INIZCTEOSA-N 0 3 324.346 4.261 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1cccc(C)c1 ZINC000506027823 340183849 /nfs/dbraw/zinc/18/38/49/340183849.db2.gz UOYOXOVRBODDJH-UHFFFAOYSA-N 0 3 311.454 4.469 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccccc1OCC1CC1 ZINC000506041572 340183987 /nfs/dbraw/zinc/18/39/87/340183987.db2.gz VXQNTFQVLKBFGN-IBGZPJMESA-N 0 3 310.441 4.151 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cccs2)c(OC)c1 ZINC000506099957 340185607 /nfs/dbraw/zinc/18/56/07/340185607.db2.gz SBDKISLFXZYITP-OAHLLOKOSA-N 0 3 303.427 4.102 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000506175716 340187572 /nfs/dbraw/zinc/18/75/72/340187572.db2.gz IBCBXALFBMXWKF-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN CCCN(Cc1cn2cc(Cl)cc(Cl)c2n1)CC1CC1 ZINC000506176018 340187712 /nfs/dbraw/zinc/18/77/12/340187712.db2.gz VUTWMZKRAGHVCQ-UHFFFAOYSA-N 0 3 312.244 4.263 20 0 DIADHN Cc1nn2c(CN(C)[C@@H]3CCC[C@H](C)C3)c(C(C)C)nc2s1 ZINC000506206653 340188723 /nfs/dbraw/zinc/18/87/23/340188723.db2.gz VQWANOWTMOBBGE-GXTWGEPZSA-N 0 3 320.506 4.233 20 0 DIADHN Cc1nn2c(CN(C)[C@H]3CCC[C@H](C)C3)c(C(C)C)nc2s1 ZINC000506206663 340188727 /nfs/dbraw/zinc/18/87/27/340188727.db2.gz VQWANOWTMOBBGE-JSGCOSHPSA-N 0 3 320.506 4.233 20 0 DIADHN CN(Cc1ccc(Cl)c(C(F)(F)F)c1)[C@H]1CCSC1 ZINC000506215879 340189002 /nfs/dbraw/zinc/18/90/02/340189002.db2.gz DMRGPJMSPJUIGA-JTQLQIEISA-N 0 3 309.784 4.296 20 0 DIADHN CCCCOc1ccc(CN(C)[C@@H]2CCSC2)cc1OCC ZINC000506218111 340189009 /nfs/dbraw/zinc/18/90/09/340189009.db2.gz LRWILOPERUJTFC-MRXNPFEDSA-N 0 3 323.502 4.202 20 0 DIADHN COc1ccc([C@@H](NCCCCF)c2ccccc2OC)cc1 ZINC000506244426 340189835 /nfs/dbraw/zinc/18/98/35/340189835.db2.gz AKZQPMGHUITKSV-LJQANCHMSA-N 0 3 317.404 4.133 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2ccccc2)cc1OC ZINC000506267874 340190669 /nfs/dbraw/zinc/19/06/69/340190669.db2.gz FUZLESCGPSLKMW-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc(CN2CCC(OCc3ccccc3)CC2)cc1F ZINC000506269397 340190675 /nfs/dbraw/zinc/19/06/75/340190675.db2.gz MZVFQEBFZGIKFF-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2cccc3c2OCCCO3)cc1 ZINC000506296297 340191582 /nfs/dbraw/zinc/19/15/82/340191582.db2.gz XXXRMTHESCXXSX-SFHVURJKSA-N 0 3 309.409 4.185 20 0 DIADHN Fc1ccccc1CNCCCO[C@@H]1CCCc2ccccc21 ZINC000506299092 340191655 /nfs/dbraw/zinc/19/16/55/340191655.db2.gz FBARFNHNFNAMRX-HXUWFJFHSA-N 0 3 313.416 4.400 20 0 DIADHN Fc1ccccc1CNCCCO[C@H]1CCCc2ccccc21 ZINC000506299091 340191722 /nfs/dbraw/zinc/19/17/22/340191722.db2.gz FBARFNHNFNAMRX-FQEVSTJZSA-N 0 3 313.416 4.400 20 0 DIADHN C[C@H](CNCc1cccc(Br)c1)Oc1ccccc1 ZINC000506314934 340191993 /nfs/dbraw/zinc/19/19/93/340191993.db2.gz NFXHJMMFCKRIHR-CYBMUJFWSA-N 0 3 320.230 4.006 20 0 DIADHN COc1ccc([C@@H](C)N(C)C/C=C\c2ccccc2OC)cc1 ZINC000506338592 340192786 /nfs/dbraw/zinc/19/27/86/340192786.db2.gz CHUDGIXYMZQTJL-DZFYGRHCSA-N 0 3 311.425 4.410 20 0 DIADHN Cc1ccn2c(CN3CCC(c4ccccc4)CC3)cnc2c1 ZINC000506383528 340193825 /nfs/dbraw/zinc/19/38/25/340193825.db2.gz GIFUEEQUUPZNAR-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN c1cc2c(cccc2CN2CCSC[C@@H]2c2ccccc2)[nH]1 ZINC000506475584 340195245 /nfs/dbraw/zinc/19/52/45/340195245.db2.gz AHRJLROGDWUZEN-LJQANCHMSA-N 0 3 308.450 4.458 20 0 DIADHN Cc1ccc(CN2CCC(C(=O)c3ccccc3)CC2)cc1C ZINC000506619258 340197816 /nfs/dbraw/zinc/19/78/16/340197816.db2.gz WCVYBFUKEYERDS-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccccc1)[C@@H]1CCc2ccccc21 ZINC000506687258 340199497 /nfs/dbraw/zinc/19/94/97/340199497.db2.gz DHVASSIZINRBLN-LJQANCHMSA-N 0 3 303.409 4.196 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc3c[nH]nc32)cc1 ZINC000506707628 340199783 /nfs/dbraw/zinc/19/97/83/340199783.db2.gz QISGISDQAIGNOI-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN Cc1ccsc1CN(Cc1cccs1)C[C@H]1CCCO1 ZINC000506718752 340200093 /nfs/dbraw/zinc/20/00/93/340200093.db2.gz KGXFVSVWNZVKEN-CQSZACIVSA-N 0 3 307.484 4.299 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccccc1)Cc1ccc(Cl)cc1 ZINC000506768474 340201342 /nfs/dbraw/zinc/20/13/42/340201342.db2.gz ULAPEGLCPCHFOC-UHFFFAOYSA-N 0 3 311.816 4.362 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@@H]1c1ccc[nH]1 ZINC000506814089 340202334 /nfs/dbraw/zinc/20/23/34/340202334.db2.gz IFCDUQHWQYHIOJ-MQMQVCBVSA-N 0 3 310.441 4.402 20 0 DIADHN COC1CCN(Cc2sc3c(cccc3Cl)c2C)CC1 ZINC000507050996 340206172 /nfs/dbraw/zinc/20/61/72/340206172.db2.gz HHADZVMFJLPUBX-UHFFFAOYSA-N 0 3 309.862 4.474 20 0 DIADHN CCN(CCc1ccccc1)Cc1cnc(-c2ccncc2)s1 ZINC000507112097 340207860 /nfs/dbraw/zinc/20/78/60/340207860.db2.gz TXXSYKGSDARLMP-UHFFFAOYSA-N 0 3 323.465 4.270 20 0 DIADHN COCCN(Cc1ccc(-c2ccc(F)cc2C)o1)C1CC1 ZINC000507154720 340209822 /nfs/dbraw/zinc/20/98/22/340209822.db2.gz KUUAJPJVEHYEJJ-UHFFFAOYSA-N 0 3 303.377 4.005 20 0 DIADHN CCn1c2ccccc2nc1CN(C)C1CCC(F)(F)CC1 ZINC000516603646 340210226 /nfs/dbraw/zinc/21/02/26/340210226.db2.gz FEEPURCFYFNZGB-UHFFFAOYSA-N 0 3 307.388 4.066 20 0 DIADHN CCc1ncc(CN2CCC[C@H]2CCc2ccccc2)s1 ZINC000507173911 340211033 /nfs/dbraw/zinc/21/10/33/340211033.db2.gz PMHPLTHPWMRIMM-INIZCTEOSA-N 0 3 300.471 4.303 20 0 DIADHN Cn1nccc1-c1ccccc1CN(Cc1cccs1)C1CC1 ZINC000507176769 340211358 /nfs/dbraw/zinc/21/13/58/340211358.db2.gz ZJMJLMIWYFAYTJ-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN COCCOc1ccc(CN(C)[C@@H](C)c2ccc(C)cc2)cc1 ZINC000507209222 340213603 /nfs/dbraw/zinc/21/36/03/340213603.db2.gz IDTMVPADUIPKBR-KRWDZBQOSA-N 0 3 313.441 4.213 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)Cc2ccc([S@](C)=O)cc2)c1 ZINC000507210925 340213636 /nfs/dbraw/zinc/21/36/36/340213636.db2.gz LDNQLEKPPCDGJQ-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1cccc(Br)c1 ZINC000507221304 340214127 /nfs/dbraw/zinc/21/41/27/340214127.db2.gz IAAQMOQIMZTRBF-SECBINFHSA-N 0 3 322.168 4.442 20 0 DIADHN CN(Cc1cccc(Cl)c1)C[C@@H]1OCCc2ccccc21 ZINC000507220300 340214168 /nfs/dbraw/zinc/21/41/68/340214168.db2.gz VJETXQZZWPVYTH-SFHVURJKSA-N 0 3 301.817 4.086 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1cccc(Br)c1 ZINC000507221305 340214209 /nfs/dbraw/zinc/21/42/09/340214209.db2.gz IAAQMOQIMZTRBF-VIFPVBQESA-N 0 3 322.168 4.442 20 0 DIADHN CCN(Cc1ccc(OCc2cccs2)c(OC)c1)C1CC1 ZINC000507233493 340214861 /nfs/dbraw/zinc/21/48/61/340214861.db2.gz VLBGJJYKWDEINH-UHFFFAOYSA-N 0 3 317.454 4.320 20 0 DIADHN CCOc1cccc(CN(C)[C@H](C)C(C)C)c1OC(F)F ZINC000507243052 340215208 /nfs/dbraw/zinc/21/52/08/340215208.db2.gz JNCBLNMFQACSPR-GFCCVEGCSA-N 0 3 301.377 4.163 20 0 DIADHN CCCCN(Cc1cc(OC)c(OC)cc1SC)C1CC1 ZINC000507247369 340215415 /nfs/dbraw/zinc/21/54/15/340215415.db2.gz NXBNQIVGEGPFRT-UHFFFAOYSA-N 0 3 309.475 4.190 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc([S@@](C)=O)cc2)c(C)c1 ZINC000507250784 340215625 /nfs/dbraw/zinc/21/56/25/340215625.db2.gz CUJXIEZYARHMFX-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN CN(CCc1ccccc1Cl)C[C@@H]1OCCc2ccccc21 ZINC000507254360 340215856 /nfs/dbraw/zinc/21/58/56/340215856.db2.gz QOPTVFOXIMBAOW-IBGZPJMESA-N 0 3 315.844 4.128 20 0 DIADHN CN(CCc1ccccc1Cl)C[C@H]1OCCc2ccccc21 ZINC000507254361 340215912 /nfs/dbraw/zinc/21/59/12/340215912.db2.gz QOPTVFOXIMBAOW-LJQANCHMSA-N 0 3 315.844 4.128 20 0 DIADHN CCCN(Cc1cnn(CC(F)F)c1)[C@H]1CCc2ccccc21 ZINC000507315388 340217050 /nfs/dbraw/zinc/21/70/50/340217050.db2.gz DBQGITFLAIFOCN-KRWDZBQOSA-N 0 3 319.399 4.048 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CNC(C)(C)c1ccccc1 ZINC000507359801 340217866 /nfs/dbraw/zinc/21/78/66/340217866.db2.gz NIJUZXUKMSCOQF-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN CCC[C@H](NCCc1cc(F)c(F)c(F)c1)c1ccccn1 ZINC000507400146 340218600 /nfs/dbraw/zinc/21/86/00/340218600.db2.gz JTGAMMVAGVHMQM-HNNXBMFYSA-N 0 3 308.347 4.172 20 0 DIADHN COCC[C@H](N[C@@H](C)Cc1ccc(Cl)cc1)c1ccco1 ZINC000507440084 340219579 /nfs/dbraw/zinc/21/95/79/340219579.db2.gz FFRICEMJRAPMEM-BBRMVZONSA-N 0 3 307.821 4.231 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1ccc(F)cc1)c1ccco1 ZINC000507444795 340219862 /nfs/dbraw/zinc/21/98/62/340219862.db2.gz VBTBQCLCBYNNGJ-PBHICJAKSA-N 0 3 305.393 4.107 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1ccc(F)cc1)c1ccco1 ZINC000507444800 340219904 /nfs/dbraw/zinc/21/99/04/340219904.db2.gz VBTBQCLCBYNNGJ-YOEHRIQHSA-N 0 3 305.393 4.107 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](C)COc1ccc(F)cc1F ZINC000507463410 340220621 /nfs/dbraw/zinc/22/06/21/340220621.db2.gz STRSMKRRRWPYCG-QWHCGFSZSA-N 0 3 320.383 4.040 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1csc(C(C)C)n1 ZINC000507463376 340220750 /nfs/dbraw/zinc/22/07/50/340220750.db2.gz SNZDEHUEGJHXTE-CYBMUJFWSA-N 0 3 303.475 4.117 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1csc(C(C)C)n1 ZINC000507463377 340220778 /nfs/dbraw/zinc/22/07/78/340220778.db2.gz SNZDEHUEGJHXTE-ZDUSSCGKSA-N 0 3 303.475 4.117 20 0 DIADHN CCN(CC)C(=O)[C@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000507464957 340220801 /nfs/dbraw/zinc/22/08/01/340220801.db2.gz PCJBHSQWJIEWFQ-CABCVRRESA-N 0 3 322.518 4.095 20 0 DIADHN CCN(CC)C(=O)[C@@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000507464961 340220870 /nfs/dbraw/zinc/22/08/70/340220870.db2.gz PCJBHSQWJIEWFQ-HUUCEWRRSA-N 0 3 322.518 4.095 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](CC)Oc1ccccc1F ZINC000507467108 340220988 /nfs/dbraw/zinc/22/09/88/340220988.db2.gz DVMUIPQRRDWBSA-ZBFHGGJFSA-N 0 3 316.420 4.291 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000507473574 340221488 /nfs/dbraw/zinc/22/14/88/340221488.db2.gz VHVUJUWCJMMGOB-ZWOKBUDYSA-N 0 3 323.484 4.130 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(c2ccccc2OC)CC1 ZINC000507488410 340222373 /nfs/dbraw/zinc/22/23/73/340222373.db2.gz UIRNRKUDFGUHRF-HNNXBMFYSA-N 0 3 310.441 4.035 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@H](C)c1ccc(SCC)cc1 ZINC000507511043 340223552 /nfs/dbraw/zinc/22/35/52/340223552.db2.gz YHRKGPOZSASINX-CABCVRRESA-N 0 3 322.518 4.144 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(SCC(F)F)cc1)OC ZINC000516605718 340223642 /nfs/dbraw/zinc/22/36/42/340223642.db2.gz QWWAWLGCGFXBAP-YPMHNXCESA-N 0 3 303.418 4.119 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1cccc(F)c1)[C@H]1CCCOC1 ZINC000507516473 340223745 /nfs/dbraw/zinc/22/37/45/340223745.db2.gz WHHORGUIUWYTAM-QSFXBCCZSA-N 0 3 313.416 4.320 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCOc1ccccc1Cl ZINC000507519232 340223910 /nfs/dbraw/zinc/22/39/10/340223910.db2.gz HSMFPPCWBBQLDR-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@@H]2CCCC[C@H]2C2CC2)n1 ZINC000507520528 340224073 /nfs/dbraw/zinc/22/40/73/340224073.db2.gz RCZOBSVMSAFMMC-VQTJNVASSA-N 0 3 323.484 4.184 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCCOCc1ccccc1 ZINC000507521732 340224271 /nfs/dbraw/zinc/22/42/71/340224271.db2.gz AIUYICYXOVUADW-KRWDZBQOSA-N 0 3 312.457 4.292 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCCOc1ccc(C)cc1 ZINC000507523220 340224450 /nfs/dbraw/zinc/22/44/50/340224450.db2.gz IFOPNDJKBHBYLZ-QGZVFWFLSA-N 0 3 312.457 4.462 20 0 DIADHN CN(CCCc1cc(-c2ccccc2)n[nH]1)Cc1ccccc1F ZINC000507631289 340226847 /nfs/dbraw/zinc/22/68/47/340226847.db2.gz YACDGPIIPRTJBH-UHFFFAOYSA-N 0 3 323.415 4.280 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCCO1)c1ccccc1OC(F)(F)F ZINC000507625809 340226879 /nfs/dbraw/zinc/22/68/79/340226879.db2.gz QEHYLCVAZBWUIO-OLZOCXBDSA-N 0 3 317.351 4.195 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@H](C)c1cccc(Cl)c1Cl ZINC000507643962 340226969 /nfs/dbraw/zinc/22/69/69/340226969.db2.gz JPPRRGCPJHTVQJ-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN c1ccc(C(CN2CCO[C@@H]3CCC[C@H]32)c2ccccc2)cc1 ZINC000507660941 340227397 /nfs/dbraw/zinc/22/73/97/340227397.db2.gz QNUKKZDHFXTTRR-NHCUHLMSSA-N 0 3 307.437 4.072 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(n3cc(Cl)cn3)CC2)cc1 ZINC000507695445 340228443 /nfs/dbraw/zinc/22/84/43/340228443.db2.gz SUGSTFHPPIBQGL-OWOJBTEDSA-N 0 3 319.811 4.026 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC(n2cc(Cl)cn2)CC1 ZINC000507694885 340228521 /nfs/dbraw/zinc/22/85/21/340228521.db2.gz OEJZXZAWXMATTQ-RVDMUPIBSA-N 0 3 315.848 4.277 20 0 DIADHN CC[C@@H](C)N(Cc1cc(C)c(C(=O)OC)o1)Cc1ccccc1 ZINC000507704368 340228814 /nfs/dbraw/zinc/22/88/14/340228814.db2.gz DWIMNABIEHAHGC-OAHLLOKOSA-N 0 3 315.413 4.175 20 0 DIADHN COc1ccccc1/C=C\CN1CC[C@@H](COc2ccccc2)C1 ZINC000507713962 340229265 /nfs/dbraw/zinc/22/92/65/340229265.db2.gz MYBBEEOPMSRJCV-YPLUBFQTSA-N 0 3 323.436 4.109 20 0 DIADHN CC(C)(C)c1nc(CNC2(C)Cc3ccccc3C2)cs1 ZINC000507721199 340229383 /nfs/dbraw/zinc/22/93/83/340229383.db2.gz AOFXWOHHGHXMEC-UHFFFAOYSA-N 0 3 300.471 4.088 20 0 DIADHN COc1cccc([C@H](C)N2CCN([C@H](C)c3ccccc3)CC2)c1 ZINC000507721060 340229470 /nfs/dbraw/zinc/22/94/70/340229470.db2.gz YOTLFNPZEUPQDO-MSOLQXFVSA-N 0 3 324.468 4.135 20 0 DIADHN C[C@@H]1CN(CCCOc2cccc3ccccc32)CCS1 ZINC000507717922 340229517 /nfs/dbraw/zinc/22/95/17/340229517.db2.gz LQICNSKILKQGKG-OAHLLOKOSA-N 0 3 301.455 4.046 20 0 DIADHN C[C@@H]1CN(CCCOc2ccc3ccccc3c2)CCS1 ZINC000507772602 340230777 /nfs/dbraw/zinc/23/07/77/340230777.db2.gz ZADVQEZIKUBJSM-OAHLLOKOSA-N 0 3 301.455 4.046 20 0 DIADHN COC[C@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000507782988 340231132 /nfs/dbraw/zinc/23/11/32/340231132.db2.gz KJOMXPPBWPVVTK-INIZCTEOSA-N 0 3 313.416 4.351 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000507788536 340231578 /nfs/dbraw/zinc/23/15/78/340231578.db2.gz CCRROYMASZGHGC-LBPRGKRZSA-N 0 3 320.795 4.159 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2N[C@@H]1CCOC2(CCCCC2)C1 ZINC000507790528 340231640 /nfs/dbraw/zinc/23/16/40/340231640.db2.gz AUMDQNZKYVUDKP-CXAGYDPISA-N 0 3 321.411 4.424 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@@H]2COCC[C@@H]21 ZINC000507814604 340232899 /nfs/dbraw/zinc/23/28/99/340232899.db2.gz STGLOCHXAGELML-QAPCUYQASA-N 0 3 313.397 4.073 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCCc1c[nH]c2ccccc12 ZINC000507825929 340233515 /nfs/dbraw/zinc/23/35/15/340233515.db2.gz NXNIIWVMVDIFGO-SFHVURJKSA-N 0 3 312.363 4.266 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1cc(Cl)ccc1Cl ZINC000507842629 340233947 /nfs/dbraw/zinc/23/39/47/340233947.db2.gz BLDPPAMNEOVXRB-PVRXDPTQSA-N 0 3 314.256 4.458 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NC[C@H]1CCOc2ccccc21 ZINC000507849546 340233966 /nfs/dbraw/zinc/23/39/66/340233966.db2.gz CPTLFSBZJDICSW-PXAZEXFGSA-N 0 3 315.363 4.108 20 0 DIADHN CC(C)[C@H](CN[C@@H]1CCc2c1c(F)ccc2F)c1cccnc1 ZINC000507869728 340234689 /nfs/dbraw/zinc/23/46/89/340234689.db2.gz DETGNNHNPPNDGL-MAUKXSAKSA-N 0 3 316.395 4.377 20 0 DIADHN CCC(CC)(NCc1cnc(C)nc1)c1ccc(Cl)cc1 ZINC000507941140 340236267 /nfs/dbraw/zinc/23/62/67/340236267.db2.gz WLKINGIHYBSBPR-UHFFFAOYSA-N 0 3 303.837 4.244 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccc(Br)s1)C1CC1 ZINC000508025542 340237694 /nfs/dbraw/zinc/23/76/94/340237694.db2.gz JLUCNZOPBYIXPF-SECBINFHSA-N 0 3 300.265 4.274 20 0 DIADHN Cc1ccc(-c2ncc(CN([C@@H](C)C3CC3)C3CC3)s2)o1 ZINC000508037207 340237925 /nfs/dbraw/zinc/23/79/25/340237925.db2.gz FUIZBGUGCBOLSC-LBPRGKRZSA-N 0 3 302.443 4.474 20 0 DIADHN FC1(F)CC[C@@H](CNCc2sccc2Br)C1 ZINC000300792517 340240947 /nfs/dbraw/zinc/24/09/47/340240947.db2.gz CDYCRTVDQTYIJF-MRVPVSSYSA-N 0 3 310.207 4.036 20 0 DIADHN Cc1ccc(-c2cc(CN(C)CCc3ccc(F)cc3)on2)cc1 ZINC000508475821 340244385 /nfs/dbraw/zinc/24/43/85/340244385.db2.gz YHBZYVINYSFDKL-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN COCCN(Cc1ccc2ccccc2n1)[C@H](C)c1ccccc1 ZINC000508531707 340245872 /nfs/dbraw/zinc/24/58/72/340245872.db2.gz OQGWHTZKDMMZAV-QGZVFWFLSA-N 0 3 320.436 4.444 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2cnn(CC(F)F)c2)cc1 ZINC000508563244 340246598 /nfs/dbraw/zinc/24/65/98/340246598.db2.gz ZIYAASYVRFBNMD-QGZVFWFLSA-N 0 3 319.399 4.184 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1ccc(C(=O)OC)o1 ZINC000508616083 340248186 /nfs/dbraw/zinc/24/81/86/340248186.db2.gz BESCNLWMAXDZCJ-INIZCTEOSA-N 0 3 301.386 4.039 20 0 DIADHN Cc1sccc1CN1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000508709760 340251106 /nfs/dbraw/zinc/25/11/06/340251106.db2.gz OWSCAVGBGVRSPR-MRXNPFEDSA-N 0 3 317.429 4.291 20 0 DIADHN Cc1csc(CN(CCc2ccccc2)C2CCCC2)n1 ZINC000508773567 340252918 /nfs/dbraw/zinc/25/29/18/340252918.db2.gz IKGYPFKDHVFUQS-UHFFFAOYSA-N 0 3 300.471 4.439 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc(C3CCC3)cc2)s1 ZINC000508872262 340254834 /nfs/dbraw/zinc/25/48/34/340254834.db2.gz IMTUMBHPSXSERJ-UHFFFAOYSA-N 0 3 300.471 4.394 20 0 DIADHN CCC[C@@H](NCCc1scnc1C)c1ccc(F)cc1F ZINC000508964071 340256297 /nfs/dbraw/zinc/25/62/97/340256297.db2.gz OTAYDDJOSILWAR-OAHLLOKOSA-N 0 3 310.413 4.403 20 0 DIADHN CCOC1CC(CN2CC=C(c3ccccc3Cl)CC2)C1 ZINC000508964067 340256344 /nfs/dbraw/zinc/25/63/44/340256344.db2.gz ORPAMJBJAHXIBU-UHFFFAOYSA-N 0 3 305.849 4.244 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nc2cc(Br)ccc2o1 ZINC000508990931 340256884 /nfs/dbraw/zinc/25/68/84/340256884.db2.gz JKWKUDXBCROMPE-CMPLNLGQSA-N 0 3 323.234 4.259 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1conc1Cc1ccccc1 ZINC000508993596 340257473 /nfs/dbraw/zinc/25/74/73/340257473.db2.gz ZDTYEOWOPNPGNW-HNNXBMFYSA-N 0 3 318.486 4.229 20 0 DIADHN Cc1ncsc1CCN1[C@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000509005613 340257870 /nfs/dbraw/zinc/25/78/70/340257870.db2.gz DOYUPVADNMNKJD-YPMHNXCESA-N 0 3 304.434 4.141 20 0 DIADHN CCOC1CC(CNC2(c3c(Cl)cccc3Cl)CC2)C1 ZINC000509007609 340257937 /nfs/dbraw/zinc/25/79/37/340257937.db2.gz RABIMJCBKSQOKK-UHFFFAOYSA-N 0 3 314.256 4.387 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1nc2cc(Br)ccc2o1 ZINC000509023349 340258456 /nfs/dbraw/zinc/25/84/56/340258456.db2.gz VIYPGJWJGJXFEZ-UWVGGRQHSA-N 0 3 311.223 4.115 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509032831 340258942 /nfs/dbraw/zinc/25/89/42/340258942.db2.gz OETXHFDINAUYGF-ZYHUDNBSSA-N 0 3 323.234 4.353 20 0 DIADHN Cc1nccc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)n1 ZINC000509040344 340259226 /nfs/dbraw/zinc/25/92/26/340259226.db2.gz SQXWQXYJGVWHIU-ZCPJJFRWSA-N 0 3 307.441 4.243 20 0 DIADHN C[C@@H](F)CCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000509266144 340264987 /nfs/dbraw/zinc/26/49/87/340264987.db2.gz XFPYUXUGDLJLKU-RISCZKNCSA-N 0 3 300.215 4.334 20 0 DIADHN Cc1ncsc1CCN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000509279603 340265258 /nfs/dbraw/zinc/26/52/58/340265258.db2.gz OFKIRQFSHWFAIF-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN C[C@H](F)CCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000509280235 340265329 /nfs/dbraw/zinc/26/53/29/340265329.db2.gz SQKAEYCNLUJRMB-SMDDNHRTSA-N 0 3 300.215 4.334 20 0 DIADHN Cc1ncsc1CCN(C)CCCOc1cccc(Cl)c1 ZINC000509280863 340265351 /nfs/dbraw/zinc/26/53/51/340265351.db2.gz XRUMISZSTSVVQN-UHFFFAOYSA-N 0 3 324.877 4.048 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2o1)CC1CCCC1 ZINC000509313361 340266065 /nfs/dbraw/zinc/26/60/65/340266065.db2.gz IHHDUDFWYDRPRA-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN Cc1nn2c(CN(CC(C)C)C(C)C)c(C(C)C)nc2s1 ZINC000509349093 340266887 /nfs/dbraw/zinc/26/68/87/340266887.db2.gz VVUWWFQMJQZQDA-UHFFFAOYSA-N 0 3 308.495 4.089 20 0 DIADHN CCC(CC)(CCO)NCc1cc(Cl)cc(Cl)c1OC ZINC000509410812 340268601 /nfs/dbraw/zinc/26/86/01/340268601.db2.gz OSUFAEAZMQMGEI-UHFFFAOYSA-N 0 3 320.260 4.033 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(F)c(Cl)c1 ZINC000509413522 340268674 /nfs/dbraw/zinc/26/86/74/340268674.db2.gz HKVFKNMNMLVMEW-INIZCTEOSA-N 0 3 323.770 4.404 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(F)cc1C ZINC000509426253 340269120 /nfs/dbraw/zinc/26/91/20/340269120.db2.gz RASVWUJDWBCSLL-KRWDZBQOSA-N 0 3 303.352 4.059 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccc(-c2cnn(C)c2)s1 ZINC000509462523 340270266 /nfs/dbraw/zinc/27/02/66/340270266.db2.gz HTASVJMBZMJDDC-ORAYPTAESA-N 0 3 323.465 4.172 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2OC1)c1ccc(F)c(F)c1 ZINC000509517079 340271964 /nfs/dbraw/zinc/27/19/64/340271964.db2.gz SFPJAKLHQWTYKS-DOMZBBRYSA-N 0 3 303.352 4.009 20 0 DIADHN CC[C@H]1CCCN(Cc2ccccc2OCc2ccccn2)C1 ZINC000509543977 340272894 /nfs/dbraw/zinc/27/28/94/340272894.db2.gz OYLDRZKAQGXSNQ-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccccc2OCc2cccnc2)C1 ZINC000509552641 340273254 /nfs/dbraw/zinc/27/32/54/340273254.db2.gz CGVWHEOTMIWLCG-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN CCOC1CC(CN2CCC(=Cc3ccc(F)c(F)c3)CC2)C1 ZINC000509617330 340274820 /nfs/dbraw/zinc/27/48/20/340274820.db2.gz AAANESTXXARNTO-UHFFFAOYSA-N 0 3 321.411 4.259 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nc2cc(Br)ccc2o1 ZINC000509649453 340275660 /nfs/dbraw/zinc/27/56/60/340275660.db2.gz FMWWYKJWETVUJX-CMPLNLGQSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@H]1CCCN(Cc2nc3cc(Br)ccc3o2)[C@H]1C ZINC000509684637 340276926 /nfs/dbraw/zinc/27/69/26/340276926.db2.gz MAYHWCPYRQJUAB-QWRGUYRKSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1ncsc1CCN1CCSC[C@H]1c1ccc(C)cc1 ZINC000509696137 340277248 /nfs/dbraw/zinc/27/72/48/340277248.db2.gz HPUYIKDLVXCFIM-INIZCTEOSA-N 0 3 318.511 4.093 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc(OC)c2)cc1 ZINC000302733463 340280011 /nfs/dbraw/zinc/28/00/11/340280011.db2.gz AQJMMYBXIWBYCF-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cc(C)ccc2C)cc1OC ZINC000302749399 340281103 /nfs/dbraw/zinc/28/11/03/340281103.db2.gz UPRCWDYIHXYXHU-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN COCC[C@@H](NCc1csc(-c2cccs2)n1)C(C)(C)C ZINC000509957775 340283823 /nfs/dbraw/zinc/28/38/23/340283823.db2.gz LJRSOTAPIWEFDS-CQSZACIVSA-N 0 3 324.515 4.412 20 0 DIADHN CCc1sc(-c2nnc(CN[C@H]3CCCC[C@H]3C)o2)cc1C ZINC000510030189 340285674 /nfs/dbraw/zinc/28/56/74/340285674.db2.gz PHAJALBRWHLCQI-YPMHNXCESA-N 0 3 319.474 4.337 20 0 DIADHN COc1cccc(C2(NCc3ccc(Cl)cc3C)CC2)c1 ZINC000510198646 340292326 /nfs/dbraw/zinc/29/23/26/340292326.db2.gz JSUCHYGAIBRCPE-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN c1ccc(CN(CCc2cccnc2)Cc2ccccc2)cc1 ZINC000510219673 340292898 /nfs/dbraw/zinc/29/28/98/340292898.db2.gz GYWPMJIJPPMIDJ-UHFFFAOYSA-N 0 3 302.421 4.327 20 0 DIADHN Cc1cc(CN(C)CCOc2ccc(F)cc2)c2ccccc2n1 ZINC000510221488 340293020 /nfs/dbraw/zinc/29/30/20/340293020.db2.gz HKUOFZFVKVNYGN-UHFFFAOYSA-N 0 3 324.399 4.193 20 0 DIADHN Cc1cc(CN(C)CCOc2ccccc2C)c2ccccc2n1 ZINC000510234338 340293557 /nfs/dbraw/zinc/29/35/57/340293557.db2.gz ZWBHYNLFNFYWGF-UHFFFAOYSA-N 0 3 320.436 4.362 20 0 DIADHN C[C@@H](NC(C)(C)CNCc1c(F)cccc1F)c1ccccc1 ZINC000510295484 340295353 /nfs/dbraw/zinc/29/53/53/340295353.db2.gz MHDVCCAYVILQNF-CQSZACIVSA-N 0 3 318.411 4.184 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCCOC1CCCCC1 ZINC000510298219 340295394 /nfs/dbraw/zinc/29/53/94/340295394.db2.gz NBSNFXPFPLXALD-SAPNQHFASA-N 0 3 317.473 4.175 20 0 DIADHN C[C@H](N[C@H]1CSC1(C)C)c1ccc(Br)s1 ZINC000309001618 340297607 /nfs/dbraw/zinc/29/76/07/340297607.db2.gz OHVJWRIXBJNDHG-CBAPKCEASA-N 0 3 306.294 4.055 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC[C@H]1Cc1cccc(F)c1 ZINC000510392962 340297883 /nfs/dbraw/zinc/29/78/83/340297883.db2.gz GFFHKQXTUFTYCF-KRWDZBQOSA-N 0 3 315.436 4.053 20 0 DIADHN CCN(Cc1c(C)nc2ccccn21)[C@H](C)c1ccc(F)cc1 ZINC000510393419 340297928 /nfs/dbraw/zinc/29/79/28/340297928.db2.gz GWCUYIYKUWFRKG-OAHLLOKOSA-N 0 3 311.404 4.365 20 0 DIADHN c1nn([C@H]2CCN(Cc3cc4ccccc4o3)C2)c2ccccc12 ZINC000510405590 340298338 /nfs/dbraw/zinc/29/83/38/340298338.db2.gz DWAWTAQNKGZBCM-KRWDZBQOSA-N 0 3 317.392 4.230 20 0 DIADHN Cc1ccc(CN(Cc2cnc[nH]2)[C@@H]2CCc3ccccc32)cc1 ZINC000510463690 340300142 /nfs/dbraw/zinc/30/01/42/340300142.db2.gz WXEKIEGRXXOVQU-OAQYLSRUSA-N 0 3 317.436 4.408 20 0 DIADHN CC[C@@H](C)Oc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC000510483949 340300734 /nfs/dbraw/zinc/30/07/34/340300734.db2.gz WMVBGZVWUKKCOM-HZPDHXFCSA-N 0 3 318.461 4.067 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC[C@@H](c3ncc[nH]3)C2)s1 ZINC000510533160 340302075 /nfs/dbraw/zinc/30/20/75/340302075.db2.gz JCOXDFZDVIIGSH-CYBMUJFWSA-N 0 3 303.475 4.148 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@H](c3nc(C)no3)C2)cc1 ZINC000510571552 340302947 /nfs/dbraw/zinc/30/29/47/340302947.db2.gz PFFVPOBRSSANIH-INIZCTEOSA-N 0 3 313.445 4.055 20 0 DIADHN OCCN(Cc1ccc(Cl)cc1)[C@@H]1CCCc2ccccc21 ZINC000510638283 340304519 /nfs/dbraw/zinc/30/45/19/340304519.db2.gz LVWQQBAUSDFPTM-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN COc1ccc(CN(C2CC2)[C@@H]2CCc3ccccc32)cc1OC ZINC000510652445 340305191 /nfs/dbraw/zinc/30/51/91/340305191.db2.gz WTBNNBPRXKLLGW-LJQANCHMSA-N 0 3 323.436 4.356 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](c1ccccc1)c1cccnc1 ZINC000510667489 340305741 /nfs/dbraw/zinc/30/57/41/340305741.db2.gz OYURMGGGYFYSQE-KKSFZXQISA-N 0 3 318.420 4.097 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@H](c1ccccc1)c1cccnc1 ZINC000510667483 340305769 /nfs/dbraw/zinc/30/57/69/340305769.db2.gz OYURMGGGYFYSQE-HRAATJIYSA-N 0 3 318.420 4.097 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](c1ccccc1)c1cccnc1 ZINC000510667485 340305796 /nfs/dbraw/zinc/30/57/96/340305796.db2.gz OYURMGGGYFYSQE-IERDGZPVSA-N 0 3 318.420 4.097 20 0 DIADHN Cc1cccc([C@@H](NC[C@]2(C)CCOC2)c2cccc(F)c2)c1 ZINC000510684395 340306476 /nfs/dbraw/zinc/30/64/76/340306476.db2.gz PXASJFDGZPFMPD-UXHICEINSA-N 0 3 313.416 4.240 20 0 DIADHN CO[C@H](C)CCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510688448 340306830 /nfs/dbraw/zinc/30/68/30/340306830.db2.gz ZIVWBWCUVCDZAN-DNVCBOLYSA-N 0 3 301.405 4.238 20 0 DIADHN OCCN(Cc1cccc(Cl)c1)[C@H]1CCCc2ccccc21 ZINC000510697657 340307071 /nfs/dbraw/zinc/30/70/71/340307071.db2.gz IIHZGUNCPVGFKO-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CC[C@H]2C2CCC2)c2ccccn2)c1 ZINC000510720794 340308130 /nfs/dbraw/zinc/30/81/30/340308130.db2.gz LVDNJECBXIMBJJ-NSHGMRRFSA-N 0 3 310.416 4.478 20 0 DIADHN CN(C)Cc1ccc(C(=O)N[C@H]2CCCC[C@@H]2C(C)(C)C)cc1 ZINC000510734854 340308667 /nfs/dbraw/zinc/30/86/67/340308667.db2.gz KJYVPZMULGFUBS-ROUUACIJSA-N 0 3 316.489 4.083 20 0 DIADHN Cc1cccc([C@@H](NC[C@@H]2CCCOC2)c2cccc(F)c2)c1 ZINC000510739729 340308912 /nfs/dbraw/zinc/30/89/12/340308912.db2.gz YDPSXKBEGQQBND-OXJNMPFZSA-N 0 3 313.416 4.240 20 0 DIADHN Cc1cccc([C@H](NC[C@H]2CCCOC2)c2cccc(F)c2)c1 ZINC000510739731 340309119 /nfs/dbraw/zinc/30/91/19/340309119.db2.gz YDPSXKBEGQQBND-UZLBHIALSA-N 0 3 313.416 4.240 20 0 DIADHN CC[C@H](N[C@@H](C)CCc1c(F)cccc1F)c1nccs1 ZINC000510752397 340309633 /nfs/dbraw/zinc/30/96/33/340309633.db2.gz KJKJCFXWVFTNTH-NHYWBVRUSA-N 0 3 310.413 4.483 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)C(=O)[C@@H](C)N1CCCCCC1 ZINC000510772253 340310410 /nfs/dbraw/zinc/31/04/10/340310410.db2.gz FKHANVIKOSEDFX-MJGOQNOKSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@@H]1CC[C@H](N(C)Cc2nc3cc(Br)ccc3o2)C1 ZINC000510790404 340311106 /nfs/dbraw/zinc/31/11/06/340311106.db2.gz HIPDSSLYOQJCSO-PWSUYJOCSA-N 0 3 323.234 4.211 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1cccc(N(C)C)c1 ZINC000510841565 340312685 /nfs/dbraw/zinc/31/26/85/340312685.db2.gz LALBCUHMXQPAMK-SFQUDFHCSA-N 0 3 324.468 4.092 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)N[C@H](C)c1ccncc1F ZINC000510916946 340314794 /nfs/dbraw/zinc/31/47/94/340314794.db2.gz PLTFQRCOAYEXMY-VXGBXAGGSA-N 0 3 308.347 4.171 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@@H](CO)CC1CCCC1 ZINC000510927992 340315120 /nfs/dbraw/zinc/31/51/20/340315120.db2.gz KLZTXIQTZXNVAT-SWLSCSKDSA-N 0 3 324.534 4.341 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H]1CCc2c1cccc2O ZINC000510929382 340315211 /nfs/dbraw/zinc/31/52/11/340315211.db2.gz FVDWZJZQMHNDNW-IURSYISVSA-N 0 3 323.436 4.219 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)[C@H](C)N2CCCCCC2)c(C)c1 ZINC000510939628 340315631 /nfs/dbraw/zinc/31/56/31/340315631.db2.gz OVAFHJMWXRANJQ-ROUUACIJSA-N 0 3 316.489 4.087 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)C(=O)[C@H](C)N2CCCCCC2)c1 ZINC000510942505 340315762 /nfs/dbraw/zinc/31/57/62/340315762.db2.gz YWOOZWZCBXEFKC-MSOLQXFVSA-N 0 3 316.489 4.087 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@@H](CO)CC3CCCC3)oc21 ZINC000510954292 340316109 /nfs/dbraw/zinc/31/61/09/340316109.db2.gz XYZMRDNJOSBYML-CZUORRHYSA-N 0 3 317.429 4.033 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@H](CO)CC3CCCC3)oc21 ZINC000510954290 340316154 /nfs/dbraw/zinc/31/61/54/340316154.db2.gz XYZMRDNJOSBYML-CJNGLKHVSA-N 0 3 317.429 4.033 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCc2c1cccc2C ZINC000510957642 340316156 /nfs/dbraw/zinc/31/61/56/340316156.db2.gz IHVLSHXDMXCXPR-MOPGFXCFSA-N 0 3 311.400 4.407 20 0 DIADHN C[C@H](N[C@H]1CC[C@H]1C1CCC1)c1ccc(Br)cn1 ZINC000510953814 340316187 /nfs/dbraw/zinc/31/61/87/340316187.db2.gz WQKANMJJPANMEH-XEGUGMAKSA-N 0 3 309.251 4.073 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H](C)c1cccc(F)c1 ZINC000510977782 340316940 /nfs/dbraw/zinc/31/69/40/340316940.db2.gz JNJYPMNRCSFVCP-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@H](C)c1ccncc1F)CC3 ZINC000510996955 340317572 /nfs/dbraw/zinc/31/75/72/340317572.db2.gz IBJWXBZVHGRCTM-ZIAGYGMSSA-N 0 3 323.415 4.219 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccncc1F ZINC000511001953 340317861 /nfs/dbraw/zinc/31/78/61/340317861.db2.gz SINFDFMJNKNBOB-BJJXKVORSA-N 0 3 302.368 4.347 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1ccc(F)cc1F ZINC000162960927 340318713 /nfs/dbraw/zinc/31/87/13/340318713.db2.gz CDBYWWVAGDWJLY-MKBNYLNASA-N 0 3 303.352 4.180 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)C(C)(C)C ZINC000511035758 340318898 /nfs/dbraw/zinc/31/88/98/340318898.db2.gz TWLKHTMZNOOOIB-VHSXEESVSA-N 0 3 324.412 4.264 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN[C@H]1CCC[C@H]1CCCF ZINC000511053749 340319525 /nfs/dbraw/zinc/31/95/25/340319525.db2.gz YXGVKPVDCQGAQB-JSGCOSHPSA-N 0 3 323.843 4.235 20 0 DIADHN Fc1ccc(CN(CC2CC2)C[C@@H]2CCCOC2)c(Cl)c1 ZINC000511068159 340319966 /nfs/dbraw/zinc/31/99/66/340319966.db2.gz YXLVGKKRSMFFJV-AWEZNQCLSA-N 0 3 311.828 4.118 20 0 DIADHN CC(C)[C@@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000511094512 340320550 /nfs/dbraw/zinc/32/05/50/340320550.db2.gz XQONZSXDCMCVPG-LAUBAEHRSA-N 0 3 324.468 4.193 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(C[C@@H]2CCCCO2)CC1 ZINC000511094502 340320671 /nfs/dbraw/zinc/32/06/71/340320671.db2.gz XNKZHMWNVNUIIV-YJBOKZPZSA-N 0 3 309.400 4.117 20 0 DIADHN CC(C)Sc1ccc(CN2CC[C@@]3(O)CCCC[C@H]3C2)cc1 ZINC000511160907 340322874 /nfs/dbraw/zinc/32/28/74/340322874.db2.gz LARJIRJCGJLYIZ-HKUYNNGSSA-N 0 3 319.514 4.314 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000303437564 340325497 /nfs/dbraw/zinc/32/54/97/340325497.db2.gz DWTTUBYOSKVLNG-WDEREUQCSA-N 0 3 317.260 4.298 20 0 DIADHN CCSCC[C@H](C)NCc1ccc(Sc2ccccn2)o1 ZINC000511362650 340326091 /nfs/dbraw/zinc/32/60/91/340326091.db2.gz UXYPNMGHBYAEJL-ZDUSSCGKSA-N 0 3 322.499 4.447 20 0 DIADHN CCOC(=O)CCCCCN1CC[C@@H]1c1ccc(Cl)cc1 ZINC000511612249 340332418 /nfs/dbraw/zinc/33/24/18/340332418.db2.gz UWEQRZUBBQQAEO-MRXNPFEDSA-N 0 3 309.837 4.210 20 0 DIADHN CCN(Cc1ccc(C)cn1)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000511621193 340332815 /nfs/dbraw/zinc/33/28/15/340332815.db2.gz BMHUWJBBYKNTME-RXVVDRJESA-N 0 3 323.484 4.047 20 0 DIADHN COCCN(CCc1ccc2ccccc2c1)Cc1ccco1 ZINC000511658838 340334146 /nfs/dbraw/zinc/33/41/46/340334146.db2.gz MJXLSAWKIZJIEO-UHFFFAOYSA-N 0 3 309.409 4.124 20 0 DIADHN CN(Cc1coc2ccccc12)C[C@H]1OCCc2ccccc21 ZINC000511665388 340334594 /nfs/dbraw/zinc/33/45/94/340334594.db2.gz JPUMJEGIRIUVTK-HXUWFJFHSA-N 0 3 307.393 4.179 20 0 DIADHN CCn1nccc1CN1CCC[C@H]1c1cccc2ccccc21 ZINC000511762702 340337469 /nfs/dbraw/zinc/33/74/69/340337469.db2.gz NRJJUCINEAQMGH-FQEVSTJZSA-N 0 3 305.425 4.393 20 0 DIADHN Cc1oncc1CN[C@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000511814227 340338931 /nfs/dbraw/zinc/33/89/31/340338931.db2.gz MRBYNFPWJAUQGC-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN COc1cc(CN2CCC[C@@H]3CCC[C@@H]32)ccc1OC(F)F ZINC000511845195 340340174 /nfs/dbraw/zinc/34/01/74/340340174.db2.gz VUCRMXBIJIFEQT-KBPBESRZSA-N 0 3 311.372 4.061 20 0 DIADHN COc1cc(CN2CCC[C@@H]3CCC[C@H]32)cc(Cl)c1OC ZINC000511858593 340340603 /nfs/dbraw/zinc/34/06/03/340340603.db2.gz HVLUUXLSYVLUGP-DZGCQCFKSA-N 0 3 309.837 4.122 20 0 DIADHN C[C@@H]1CN(Cc2cccc3cc[nH]c32)C[C@H](c2ccccc2)O1 ZINC000511860088 340340774 /nfs/dbraw/zinc/34/07/74/340340774.db2.gz OZJHMAIHVDFBPZ-DNVCBOLYSA-N 0 3 306.409 4.130 20 0 DIADHN c1cn2cc(CN3C[C@@H](c4ccccc4)[C@@H]4CCC[C@H]43)nc2s1 ZINC000511875352 340341247 /nfs/dbraw/zinc/34/12/47/340341247.db2.gz RXTHCRNFTGKIDA-OKZBNKHCSA-N 0 3 323.465 4.164 20 0 DIADHN CCc1cnccc1[C@@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC000511926307 340343050 /nfs/dbraw/zinc/34/30/50/340343050.db2.gz KNOROWSVMYBDNB-DSYXLKISSA-N 0 3 302.368 4.286 20 0 DIADHN CCc1ccc(CN[C@@H](C)CSc2ccc(C)cc2)cn1 ZINC000511941797 340343331 /nfs/dbraw/zinc/34/33/31/340343331.db2.gz NILVPFOYHSIKNV-HNNXBMFYSA-N 0 3 300.471 4.223 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(OC(F)(F)F)c2)cn1 ZINC000512030797 340345942 /nfs/dbraw/zinc/34/59/42/340345942.db2.gz LJGZNCKLTPVAFO-GFCCVEGCSA-N 0 3 324.346 4.393 20 0 DIADHN CO[C@H](CNC/C=C/c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512036734 340346302 /nfs/dbraw/zinc/34/63/02/340346302.db2.gz LFPDWZANSOFDCX-ZMUQKWFASA-N 0 3 321.342 4.094 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1cccc(-n2cccn2)c1 ZINC000512050312 340346670 /nfs/dbraw/zinc/34/66/70/340346670.db2.gz CHYVNVACJYRVFQ-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)NCc1c(C)cnn1C ZINC000512050099 340346746 /nfs/dbraw/zinc/34/67/46/340346746.db2.gz AYYOYTQZGIDYHJ-MRXNPFEDSA-N 0 3 317.502 4.006 20 0 DIADHN Fc1ccc(/C=C/CN[C@H](c2cccnc2)C2CC2)cc1F ZINC000512068389 340347449 /nfs/dbraw/zinc/34/74/49/340347449.db2.gz RKFFVVXKIUEZCS-FVIAUOKHSA-N 0 3 300.352 4.114 20 0 DIADHN Fc1ccc(/C=C\CNCc2nccc3ccccc32)cc1F ZINC000512082181 340347978 /nfs/dbraw/zinc/34/79/78/340347978.db2.gz HSHFNIMRVUOPCJ-ARJAWSKDSA-N 0 3 310.347 4.316 20 0 DIADHN CCCCC1CCC(CNCc2ncc(CN(C)C)s2)CC1 ZINC000512084675 340348128 /nfs/dbraw/zinc/34/81/28/340348128.db2.gz XZKNQZGHWZJCAW-UHFFFAOYSA-N 0 3 323.550 4.291 20 0 DIADHN CCCCOCCN[C@@H](C)c1cc(C)c(Br)s1 ZINC000512113685 340348897 /nfs/dbraw/zinc/34/88/97/340348897.db2.gz JCRPNYWBAJGYFY-NSHDSACASA-N 0 3 320.296 4.286 20 0 DIADHN c1cc2cc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)ccc2o1 ZINC000512114654 340348903 /nfs/dbraw/zinc/34/89/03/340348903.db2.gz ICNCETGPEWDZTC-PMACEKPBSA-N 0 3 307.393 4.443 20 0 DIADHN COc1cccc([C@H](C)NC/C=C\c2ccc(F)c(F)c2)c1 ZINC000512130781 340349385 /nfs/dbraw/zinc/34/93/85/340349385.db2.gz OZIQGLPSFODHKT-ZFDPJTLLSA-N 0 3 303.352 4.337 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CCC[C@]3(CC=CCC3)C2)c1 ZINC000512190732 340351632 /nfs/dbraw/zinc/35/16/32/340351632.db2.gz AMJXRLLMXISHPM-YLJYHZDGSA-N 0 3 312.457 4.144 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1nc(-c2ccccc2Cl)cs1 ZINC000512212597 340352519 /nfs/dbraw/zinc/35/25/19/340352519.db2.gz LQVUGUPVEAZVMQ-GXFFZTMASA-N 0 3 324.902 4.305 20 0 DIADHN COc1ccc(CNCC[C@@H]2CCCC[C@@H]2C)c(OC)c1OC ZINC000512224083 340353088 /nfs/dbraw/zinc/35/30/88/340353088.db2.gz HDUCPSLAGXUZSX-GJZGRUSLSA-N 0 3 321.461 4.018 20 0 DIADHN CCC[C@]1(NCc2cc(-c3ccc(Cl)cc3)no2)CCOC1 ZINC000512237326 340353570 /nfs/dbraw/zinc/35/35/70/340353570.db2.gz PLRZYTAZHHGXJB-KRWDZBQOSA-N 0 3 320.820 4.044 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCC[C@H]2OCCC(C)C)cn1 ZINC000512384696 340359895 /nfs/dbraw/zinc/35/98/95/340359895.db2.gz UWJSCRRBUBNZJX-RTBURBONSA-N 0 3 304.478 4.108 20 0 DIADHN COCC[C@@H](NCc1cscc1Br)C(C)(C)C ZINC000512389961 340360081 /nfs/dbraw/zinc/36/00/81/340360081.db2.gz WJHZWNODBLOUFS-GFCCVEGCSA-N 0 3 320.296 4.051 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1CCO[C@H]1C1CC1 ZINC000512396857 340360452 /nfs/dbraw/zinc/36/04/52/340360452.db2.gz VJAMBFOBSOJITD-FPOVZHCZSA-N 0 3 323.436 4.019 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N(C)CCC(=O)c1ccc(F)cc1 ZINC000512449288 340362298 /nfs/dbraw/zinc/36/22/98/340362298.db2.gz DYZGWFGSUZVQER-ZDUSSCGKSA-N 0 3 303.352 4.231 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc(OC(C)C)nc2)cc1 ZINC000173326918 340362722 /nfs/dbraw/zinc/36/27/22/340362722.db2.gz TYWVXRXRYDCCIO-AWEZNQCLSA-N 0 3 316.470 4.442 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@H](C)c1nnc2n1CCCCC2 ZINC000512493740 340364012 /nfs/dbraw/zinc/36/40/12/340364012.db2.gz KAZKAGBJSDAKGX-IAGOWNOFSA-N 0 3 318.509 4.428 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(SC)cc2)cn1 ZINC000173401908 340364207 /nfs/dbraw/zinc/36/42/07/340364207.db2.gz CECOYGGJRLHMLF-AWEZNQCLSA-N 0 3 316.470 4.443 20 0 DIADHN COc1ccc(CN2CCC(n3c(C)ccc3C)CC2)cc1C ZINC000512521715 340365432 /nfs/dbraw/zinc/36/54/32/340365432.db2.gz IRHCDLZZKQSNBF-UHFFFAOYSA-N 0 3 312.457 4.259 20 0 DIADHN COCCCOc1ccc(CNCc2cc(C)ccc2C)cc1 ZINC000512552303 340366529 /nfs/dbraw/zinc/36/65/29/340366529.db2.gz MEFKDOBMTOTOQM-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN c1ccc(COc2cnccc2CNC[C@@H]2CC=CCC2)cc1 ZINC000512552209 340366592 /nfs/dbraw/zinc/36/65/92/340366592.db2.gz KOQXFZBGUQIVCF-QGZVFWFLSA-N 0 3 308.425 4.107 20 0 DIADHN Cc1cccc(CCCNCc2cc(Cl)ccc2Cl)n1 ZINC000512582165 340367945 /nfs/dbraw/zinc/36/79/45/340367945.db2.gz LIKWJRGXNLBHHR-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN COc1cccc(CN2CCC[C@H]2C2CCC2)c1OC(F)F ZINC000512621788 340369492 /nfs/dbraw/zinc/36/94/92/340369492.db2.gz COMWTRWDFBMHRC-AWEZNQCLSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@]3(C2)OCc2ccccc23)c1 ZINC000512622699 340369517 /nfs/dbraw/zinc/36/95/17/340369517.db2.gz NOQBDQNGWCYXEF-LJQANCHMSA-N 0 3 313.828 4.280 20 0 DIADHN COCc1cccc(CN2CC=C(c3cccc(OC)c3)CC2)c1 ZINC000512679565 340372765 /nfs/dbraw/zinc/37/27/65/340372765.db2.gz XXNGXRZYWBXIOE-UHFFFAOYSA-N 0 3 323.436 4.131 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCc1ccc(Cl)cc1 ZINC000512701894 340373580 /nfs/dbraw/zinc/37/35/80/340373580.db2.gz XASPOGBQIWNSQT-UHFFFAOYSA-N 0 3 319.861 4.032 20 0 DIADHN CC[C@@](C)(NCCCc1cccc(OC)c1)c1nc(C)cs1 ZINC000173946086 340378453 /nfs/dbraw/zinc/37/84/53/340378453.db2.gz SADLUIQQDCAEDC-GOSISDBHSA-N 0 3 318.486 4.308 20 0 DIADHN CCOC1CC2(C1)CCN(C/C=C\c1ccc(Cl)cc1)C2 ZINC000512818426 340379218 /nfs/dbraw/zinc/37/92/18/340379218.db2.gz UBIFDTJMZBWZFY-ARJAWSKDSA-N 0 3 305.849 4.244 20 0 DIADHN FC(F)OC[C@H]1CCN(Cc2ccc(-c3cccs3)cc2)C1 ZINC000512849737 340380798 /nfs/dbraw/zinc/38/07/98/340380798.db2.gz GHPPGBUXRHLFQQ-AWEZNQCLSA-N 0 3 323.408 4.476 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CSC[C@H]2C)o1 ZINC000512851716 340380890 /nfs/dbraw/zinc/38/08/90/340380890.db2.gz QCEWSVMOFNOEFF-WBMJQRKESA-N 0 3 305.418 4.235 20 0 DIADHN COC[C@H](C)N[C@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000174065561 340381268 /nfs/dbraw/zinc/38/12/68/340381268.db2.gz DVKWIRQOJLOSST-WDEREUQCSA-N 0 3 324.877 4.457 20 0 DIADHN CCC[C@]1(NCc2cccc(OC3CCCC3)c2)CCOC1 ZINC000512879921 340382243 /nfs/dbraw/zinc/38/22/43/340382243.db2.gz LUCMZVGLGQYAMU-IBGZPJMESA-N 0 3 303.446 4.057 20 0 DIADHN CCC[C@]1(NCc2cc(Cl)c(OC)cc2Cl)CCOC1 ZINC000512879857 340382261 /nfs/dbraw/zinc/38/22/61/340382261.db2.gz KUWUYIDCUURLMZ-HNNXBMFYSA-N 0 3 318.244 4.051 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC000512881064 340382269 /nfs/dbraw/zinc/38/22/69/340382269.db2.gz ZXGKNSRUPLXDKQ-PELKAZGASA-N 0 3 309.784 4.200 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2nccn2C)C2CC2)C[C@@H](c2ccccc2)C1 ZINC000512886514 340382602 /nfs/dbraw/zinc/38/26/02/340382602.db2.gz YVFNLYXUXCSUBI-KNTRFNDTSA-N 0 3 323.484 4.433 20 0 DIADHN CCCCOc1ccccc1CNCC1(C(F)(F)F)CC1 ZINC000512912916 340383819 /nfs/dbraw/zinc/38/38/19/340383819.db2.gz JJXOOVMZXUBIPG-UHFFFAOYSA-N 0 3 301.352 4.298 20 0 DIADHN CC(C)Oc1cccc(CNCC2(C(F)(F)F)CCC2)c1 ZINC000512977732 340386574 /nfs/dbraw/zinc/38/65/74/340386574.db2.gz QVSAYFOYKXVETL-UHFFFAOYSA-N 0 3 301.352 4.296 20 0 DIADHN FC(F)(F)C1(CNCc2ccc(OCC3CC3)cc2)CCC1 ZINC000513001736 340387797 /nfs/dbraw/zinc/38/77/97/340387797.db2.gz MNXCTDIZLQUNTO-UHFFFAOYSA-N 0 3 313.363 4.298 20 0 DIADHN C[C@H](NCc1ccc(Cl)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000513021628 340388872 /nfs/dbraw/zinc/38/88/72/340388872.db2.gz HFZJHRHKEWOLDB-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN COc1ccc2oc(CN[C@@H](C)c3ccc4n[nH]cc4c3)cc2c1 ZINC000513027850 340389217 /nfs/dbraw/zinc/38/92/17/340389217.db2.gz PWPDTODEOAOGAZ-LBPRGKRZSA-N 0 3 321.380 4.169 20 0 DIADHN C[C@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000513028269 340389356 /nfs/dbraw/zinc/38/93/56/340389356.db2.gz XOEYKRRWOSSXQV-JDGPPOGSSA-N 0 3 313.351 4.205 20 0 DIADHN CC(C)COc1cccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)c1 ZINC000513036375 340389968 /nfs/dbraw/zinc/38/99/68/340389968.db2.gz MLOCTCLUYOOSHO-HNNXBMFYSA-N 0 3 323.440 4.449 20 0 DIADHN CCOc1ccc(CNCC2(C(F)(F)F)CCC2)cc1F ZINC000513036612 340389998 /nfs/dbraw/zinc/38/99/98/340389998.db2.gz MVWUFWGJFUMXDG-UHFFFAOYSA-N 0 3 305.315 4.047 20 0 DIADHN CCn1cc(CNCc2cccc(-c3ccc(C)cc3)c2)cn1 ZINC000513035087 340390030 /nfs/dbraw/zinc/39/00/30/340390030.db2.gz FOKHWUWTJLGSOQ-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NCc1ccc(Cl)o1 ZINC000513046517 340390695 /nfs/dbraw/zinc/39/06/95/340390695.db2.gz LSPGCANBPKYDDA-BHYGNILZSA-N 0 3 319.832 4.374 20 0 DIADHN Cc1cc2cc(CNCc3ccc(F)c4cccnc34)ccc2[nH]1 ZINC000513112340 340393855 /nfs/dbraw/zinc/39/38/55/340393855.db2.gz XPQZBDZUVBFUHY-UHFFFAOYSA-N 0 3 319.383 4.453 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1)C(C)C ZINC000513127249 340394508 /nfs/dbraw/zinc/39/45/08/340394508.db2.gz FOXCUGLEDJICQT-WFASDCNBSA-N 0 3 305.397 4.269 20 0 DIADHN CO[C@H](C)CN(C)Cc1c(OC(C)C)ccc2ccccc21 ZINC000513241759 340398994 /nfs/dbraw/zinc/39/89/94/340398994.db2.gz LTKROPUSPPMTHP-OAHLLOKOSA-N 0 3 301.430 4.094 20 0 DIADHN COCC1CCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000513449834 340408199 /nfs/dbraw/zinc/40/81/99/340408199.db2.gz AHXZACSXMHZAQJ-UHFFFAOYSA-N 0 3 319.445 4.413 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)ccc2Cl)[C@H]2CCCO[C@H]21 ZINC000513534070 340412611 /nfs/dbraw/zinc/41/26/11/340412611.db2.gz VHWHAJXNLMFEPL-BPLDGKMQSA-N 0 3 314.256 4.287 20 0 DIADHN Clc1cccc(CCNCc2cccc3c2OCO3)c1Cl ZINC000513608733 340414862 /nfs/dbraw/zinc/41/48/62/340414862.db2.gz LULPFPKDJQVJKF-UHFFFAOYSA-N 0 3 324.207 4.054 20 0 DIADHN CC(C)Oc1ccc(CNCCO[C@@H]2CCCC[C@H]2C)cc1 ZINC000513647136 340417000 /nfs/dbraw/zinc/41/70/00/340417000.db2.gz FCCTZHJHOIBEPY-VQIMIIECSA-N 0 3 305.462 4.159 20 0 DIADHN Cc1ccnc([C@@H](C)NCCOc2ccc3ccccc3c2)c1 ZINC000513655597 340417485 /nfs/dbraw/zinc/41/74/85/340417485.db2.gz NNCVSRDPYWPALP-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(C(=O)OC)cs1)c1cccs1 ZINC000513660122 340417752 /nfs/dbraw/zinc/41/77/52/340417752.db2.gz FZUSKDXQGOYUJL-CMPLNLGQSA-N 0 3 309.456 4.398 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCC(C)(C)C1)c1ccsc1 ZINC000513730892 340422290 /nfs/dbraw/zinc/42/22/90/340422290.db2.gz PIZLWENFHRBIBU-GOEBONIOSA-N 0 3 322.518 4.074 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cccs2)c(C)c1OC ZINC000513753510 340423404 /nfs/dbraw/zinc/42/34/04/340423404.db2.gz CXNZQYYKZNMBHC-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@@H]2NC[C@H]1CCCCO1 ZINC000513790151 340425079 /nfs/dbraw/zinc/42/50/79/340425079.db2.gz SQYJBKLSOSPUTM-AEFFLSMTSA-N 0 3 315.457 4.372 20 0 DIADHN COC(=O)[C@H](C)[C@H](NCc1cc(C)sc1C)c1ccccc1 ZINC000176225149 340425825 /nfs/dbraw/zinc/42/58/25/340425825.db2.gz CNWODSGTMOOACA-DYVFJYSZSA-N 0 3 317.454 4.005 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)NCc1ccc(F)cn1 ZINC000513830123 340427230 /nfs/dbraw/zinc/42/72/30/340427230.db2.gz QBJKSDGMCHPULO-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN COc1cc(CN[C@H]2CCc3c2cccc3F)c2ccccc2n1 ZINC000513860093 340429039 /nfs/dbraw/zinc/42/90/39/340429039.db2.gz UAGSCYHUINZZOO-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccc(F)cc1Br ZINC000310118169 340436524 /nfs/dbraw/zinc/43/65/24/340436524.db2.gz AOPIYAHKAYDGFI-SNVBAGLBSA-N 0 3 320.271 4.380 20 0 DIADHN C[C@@H](N[C@@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000177277054 340438640 /nfs/dbraw/zinc/43/86/40/340438640.db2.gz VYWVSTYGQNVIEA-AUUYWEPGSA-N 0 3 305.425 4.051 20 0 DIADHN C[C@H](NCc1ccccc1OCCO)c1ccc2ccccc2c1 ZINC000177367114 340439716 /nfs/dbraw/zinc/43/97/16/340439716.db2.gz YOEFLHJQGZHDSP-INIZCTEOSA-N 0 3 321.420 4.062 20 0 DIADHN Fc1ccc(Br)c(CNC[C@H]2CCC(F)(F)C2)c1 ZINC000310413260 340440087 /nfs/dbraw/zinc/44/00/87/340440087.db2.gz YQOLRVYJADVNFL-VIFPVBQESA-N 0 3 322.168 4.113 20 0 DIADHN COCCC[C@H](C)NCc1ccc(Br)cc1Cl ZINC000177814647 340443926 /nfs/dbraw/zinc/44/39/26/340443926.db2.gz RWPBGAFEZGKCFB-JTQLQIEISA-N 0 3 320.658 4.007 20 0 DIADHN Clc1ccc(CN[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)c(Cl)c1 ZINC000514074871 340444630 /nfs/dbraw/zinc/44/46/30/340444630.db2.gz WABHARLWEAQVBD-NWANDNLSSA-N 0 3 312.240 4.041 20 0 DIADHN C[C@H](NC[C@H](CO)C1CC1)c1cc2c(ccc3ccccc23)o1 ZINC000517060960 340462850 /nfs/dbraw/zinc/46/28/50/340462850.db2.gz QOYIJFWYGKQXSN-XJKSGUPXSA-N 0 3 309.409 4.255 20 0 DIADHN FC(F)(F)COc1cccc(CNC/C=C\c2ccccc2)c1 ZINC000517537483 340471496 /nfs/dbraw/zinc/47/14/96/340471496.db2.gz ZQXLAQRDGGOGEM-UITAMQMPSA-N 0 3 321.342 4.431 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)NCc1c(Cl)cccc1Cl ZINC000517547883 340471781 /nfs/dbraw/zinc/47/17/81/340471781.db2.gz ZRKYSCDNIDGJQQ-NSHDSACASA-N 0 3 310.224 4.420 20 0 DIADHN c1coc(CNCC2(c3ccc4c(c3)OCO4)CCCCC2)c1 ZINC000520961428 340478081 /nfs/dbraw/zinc/47/80/81/340478081.db2.gz QUCNAQIHGZRBHK-UHFFFAOYSA-N 0 3 313.397 4.000 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@H](C)Oc2cccc(Cl)c2)c1 ZINC000184410703 340492705 /nfs/dbraw/zinc/49/27/05/340492705.db2.gz BBOVSWJUCZEDPF-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCCc3c(C)ccc(C)c32)c1 ZINC000186107234 340508318 /nfs/dbraw/zinc/50/83/18/340508318.db2.gz IIHPNZLARLQQHC-HXUWFJFHSA-N 0 3 322.452 4.429 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Cl)cc1)c1c(C)nn(C)c1C ZINC000152565906 340527500 /nfs/dbraw/zinc/52/75/00/340527500.db2.gz BCATTXHRIQUWQW-BZNIZROVSA-N 0 3 305.853 4.492 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Cl)cc1)c1c(C)nn(C)c1C ZINC000152565713 340527522 /nfs/dbraw/zinc/52/75/22/340527522.db2.gz BCATTXHRIQUWQW-ZBEGNZNMSA-N 0 3 305.853 4.492 20 0 DIADHN Cc1ccc(CSCCNCc2ccccc2N(C)C)cc1 ZINC000521820412 340533683 /nfs/dbraw/zinc/53/36/83/340533683.db2.gz UQFMVQLUKMLRRB-UHFFFAOYSA-N 0 3 314.498 4.084 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CCC[C@@H]2CCO)o1 ZINC000189978883 340541622 /nfs/dbraw/zinc/54/16/22/340541622.db2.gz WOFYCAHKOBQWEC-RDTXWAMCSA-N 0 3 317.404 4.035 20 0 DIADHN Cc1c([C@H](C)NCCSc2ccccc2)cnn1C(C)(C)C ZINC000191129495 340550238 /nfs/dbraw/zinc/55/02/38/340550238.db2.gz OIAXDWNPVVJKRH-AWEZNQCLSA-N 0 3 317.502 4.389 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(F)c(C)c1)CCS2 ZINC000191490157 340554653 /nfs/dbraw/zinc/55/46/53/340554653.db2.gz RJZSEELSMWWMJN-QGZVFWFLSA-N 0 3 317.429 4.469 20 0 DIADHN C[C@@H](NCc1cc(Cl)cs1)c1ccc(-n2cccn2)cc1 ZINC000193133620 340572938 /nfs/dbraw/zinc/57/29/38/340572938.db2.gz QHLAAUMOVFTMIZ-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CCCCN(CCCC)C(=O)c1cccc(CN2CCCC2)c1 ZINC000195651823 340584567 /nfs/dbraw/zinc/58/45/67/340584567.db2.gz YCBXZFMHLWOPKE-UHFFFAOYSA-N 0 3 316.489 4.325 20 0 DIADHN c1ccc([C@@H](NCc2cccc3c2OCO3)C2CCCC2)cc1 ZINC000195719271 340586349 /nfs/dbraw/zinc/58/63/49/340586349.db2.gz KQVSJDFLHLDGLL-LJQANCHMSA-N 0 3 309.409 4.436 20 0 DIADHN COC[C@H](NC[C@@H](C)c1nc(C)cs1)c1ccc(Cl)cc1 ZINC000194172475 340589732 /nfs/dbraw/zinc/58/97/32/340589732.db2.gz AZSDNNXXBNHUML-ABAIWWIYSA-N 0 3 324.877 4.186 20 0 DIADHN C[C@@H]1CCCC[C@H]1CCNCc1cnc(-c2ccccc2)nc1 ZINC000522259763 340594631 /nfs/dbraw/zinc/59/46/31/340594631.db2.gz DEXDRNBJKOAQLK-AEFFLSMTSA-N 0 3 309.457 4.450 20 0 DIADHN C[C@H]1CCC[C@H](CCNCc2nccn2Cc2ccccc2)C1 ZINC000522368366 340600031 /nfs/dbraw/zinc/60/00/31/340600031.db2.gz LEKJNIDOUVOTDS-ZWKOTPCHSA-N 0 3 311.473 4.237 20 0 DIADHN CSC1(CNCc2cc(Br)ccc2Cl)CC1 ZINC000227948233 340605032 /nfs/dbraw/zinc/60/50/32/340605032.db2.gz YGFDBWADILKLSR-UHFFFAOYSA-N 0 3 320.683 4.088 20 0 DIADHN C[C@@H](NCCC[C@H](C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000228027717 340605951 /nfs/dbraw/zinc/60/59/51/340605951.db2.gz FGLZGNYOKORGCF-DTWKUNHWSA-N 0 3 310.652 4.458 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1CCO)c1cccc(Cl)c1Cl ZINC000250120873 340612179 /nfs/dbraw/zinc/61/21/79/340612179.db2.gz VGEIWFOXWFQGBB-MJVIPROJSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCO)c1cc(Cl)ccc1Cl ZINC000250129432 340612230 /nfs/dbraw/zinc/61/22/30/340612230.db2.gz WUHFOECRJFFHSB-ZIBATOQPSA-N 0 3 302.245 4.195 20 0 DIADHN CSCCCCCNCc1cc(F)ccc1Br ZINC000229515643 340614080 /nfs/dbraw/zinc/61/40/80/340614080.db2.gz ICUZUWQJCAXKAE-UHFFFAOYSA-N 0 3 320.271 4.211 20 0 DIADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1cccc(Cl)c1Cl ZINC000229576930 340614396 /nfs/dbraw/zinc/61/43/96/340614396.db2.gz UVGLZKROXKZLHE-MFKMUULPSA-N 0 3 304.261 4.441 20 0 DIADHN FC(F)(F)C[C@H](NCC[C@@H]1CCCCO1)c1ccccc1 ZINC000230615613 340620327 /nfs/dbraw/zinc/62/03/27/340620327.db2.gz BDTRPLKRBHGVMR-GJZGRUSLSA-N 0 3 301.352 4.229 20 0 DIADHN C[C@H](CNCc1ccc(Cl)cc1Cl)c1nccs1 ZINC000230693238 340620695 /nfs/dbraw/zinc/62/06/95/340620695.db2.gz RUGNFHIBHIYPFJ-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](CNCc1cc(F)cc(C(F)(F)F)c1)c1nccs1 ZINC000230692551 340620704 /nfs/dbraw/zinc/62/07/04/340620704.db2.gz RHCPKZQDTARWDD-SECBINFHSA-N 0 3 318.339 4.194 20 0 DIADHN CC[C@@H](NCCc1ccn(C)n1)c1ccc(Cl)cc1Cl ZINC000230899793 340621166 /nfs/dbraw/zinc/62/11/66/340621166.db2.gz KMNHNAXDLJAZSC-OAHLLOKOSA-N 0 3 312.244 4.010 20 0 DIADHN C[C@H](NCC(=O)N(C)c1ccccc1)c1cccc2ccccc21 ZINC000302758069 340630864 /nfs/dbraw/zinc/63/08/64/340630864.db2.gz SKTCLRXSDFDTAX-INIZCTEOSA-N 0 3 318.420 4.153 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)CCCC(C)(C)C)c1ccccc1 ZINC000303345745 340634955 /nfs/dbraw/zinc/63/49/55/340634955.db2.gz DVFJIWYLZYTBBT-INIZCTEOSA-N 0 3 318.505 4.448 20 0 DIADHN Cc1ccc(C(=O)NCC(C)(C)N[C@H](C)c2ccccc2)cc1C ZINC000303382488 340635401 /nfs/dbraw/zinc/63/54/01/340635401.db2.gz LXXGYXWGJTXMPT-QGZVFWFLSA-N 0 3 324.468 4.163 20 0 DIADHN CCCCOCCNCc1ccc(-c2c(F)cccc2F)o1 ZINC000523738464 340636809 /nfs/dbraw/zinc/63/68/09/340636809.db2.gz VVCKESIBSFLRQQ-UHFFFAOYSA-N 0 3 309.356 4.131 20 0 DIADHN CCC1(C)CCN(Cc2cccc(C(=O)NCC(C)C)c2)CC1 ZINC000524177835 340649257 /nfs/dbraw/zinc/64/92/57/340649257.db2.gz OOEUDYQXHMSQAK-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN Cc1ccccc1[C@@H](C)CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000524188753 340649579 /nfs/dbraw/zinc/64/95/79/340649579.db2.gz HDVJWSFUIMEGJM-INIZCTEOSA-N 0 3 310.441 4.189 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@@H](O)C1)c1ccc(Cl)cc1Cl ZINC000234041803 340650575 /nfs/dbraw/zinc/65/05/75/340650575.db2.gz OLAXSCFDYNADMH-HCKVZZMMSA-N 0 3 302.245 4.195 20 0 DIADHN CS[C@@H]1CC[C@H]1NCc1cc(Cl)ccc1Br ZINC000309897288 340660492 /nfs/dbraw/zinc/66/04/92/340660492.db2.gz GIXRCAUNRNUEGZ-VXGBXAGGSA-N 0 3 320.683 4.086 20 0 DIADHN CCCC(CCC)N[C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000236780578 340664990 /nfs/dbraw/zinc/66/49/90/340664990.db2.gz XRENCZBGOKAQRW-GFCCVEGCSA-N 0 3 305.397 4.495 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(SC)cc1)c1ccco1 ZINC000236848068 340665592 /nfs/dbraw/zinc/66/55/92/340665592.db2.gz QSGOQVPOIFKBBY-KRWDZBQOSA-N 0 3 318.486 4.174 20 0 DIADHN CCOc1cc(CNC/C(C)=C/c2ccccc2)ccc1OC ZINC000236917478 340666426 /nfs/dbraw/zinc/66/64/26/340666426.db2.gz ZVHQCTRSIFOQOQ-FOWTUZBSSA-N 0 3 311.425 4.287 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](c3ccco3)N(C)C)o2)cc1 ZINC000237030322 340667471 /nfs/dbraw/zinc/66/74/71/340667471.db2.gz YVAVTVYTMWDVCX-GOSISDBHSA-N 0 3 324.424 4.241 20 0 DIADHN CN(C)Cc1cccc(NCc2cccc3c2OC(C)(C)C3)c1 ZINC000237075292 340667721 /nfs/dbraw/zinc/66/77/21/340667721.db2.gz PEYBNUOKQTXSLT-UHFFFAOYSA-N 0 3 310.441 4.074 20 0 DIADHN CNCc1ccccc1NC(=O)CC(C)(C)c1ccc(C)cc1 ZINC000237110656 340668095 /nfs/dbraw/zinc/66/80/95/340668095.db2.gz FIWFGDAUSCCSLD-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1)N[C@@H](C)c1ccc(F)cc1F ZINC000237316698 340669925 /nfs/dbraw/zinc/66/99/25/340669925.db2.gz GVRVSABHZWOBRF-STQMWFEESA-N 0 3 318.367 4.033 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1cccnc1 ZINC000237508901 340671635 /nfs/dbraw/zinc/67/16/35/340671635.db2.gz JNYJRMMOVMBXAI-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN C[C@H](NC/C=C/c1ccccc1)c1ccc(-n2cccn2)cc1 ZINC000237515229 340671667 /nfs/dbraw/zinc/67/16/67/340671667.db2.gz XAXYFOBPFDJASM-MPNRVQBSSA-N 0 3 303.409 4.236 20 0 DIADHN FC(F)COc1cccc(CNCc2cccc3cc[nH]c32)c1 ZINC000237786869 340673901 /nfs/dbraw/zinc/67/39/01/340673901.db2.gz AAEMQIDELGAXAS-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000237795643 340673930 /nfs/dbraw/zinc/67/39/30/340673930.db2.gz XMUBSCRUYQMRFC-ZWKOTPCHSA-N 0 3 324.443 4.319 20 0 DIADHN C[C@@H](Nc1cccc(OCCN(C)C)c1)c1cccc(Cl)c1 ZINC000315900885 340677320 /nfs/dbraw/zinc/67/73/20/340677320.db2.gz QTXQGHOFZNVFLG-CQSZACIVSA-N 0 3 318.848 4.454 20 0 DIADHN CCOc1cccc(CNC[C@@H](OC)c2ccc(Cl)cc2)c1 ZINC000525181689 340693898 /nfs/dbraw/zinc/69/38/98/340693898.db2.gz IDZGBVYVWCNADJ-GOSISDBHSA-N 0 3 319.832 4.216 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1ccc(-c2ccccc2)o1 ZINC000525401464 340702670 /nfs/dbraw/zinc/70/26/70/340702670.db2.gz VEHUDJAWQXHFJM-IBGZPJMESA-N 0 3 301.430 4.223 20 0 DIADHN C[C@H](NCCCC(C)(C)CO)c1ccc(Cl)cc1Cl ZINC000393408777 340703876 /nfs/dbraw/zinc/70/38/76/340703876.db2.gz XZYCRGDXDRDEMJ-NSHDSACASA-N 0 3 304.261 4.443 20 0 DIADHN FC(F)Oc1ccc(CNCc2coc3ccccc23)cc1 ZINC000393508299 340704328 /nfs/dbraw/zinc/70/43/28/340704328.db2.gz AMPQWSXQNFIEID-UHFFFAOYSA-N 0 3 303.308 4.324 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)NCc2cc(F)ccc2OC)cc1 ZINC000525991875 340707710 /nfs/dbraw/zinc/70/77/10/340707710.db2.gz MIORMEAFXBSIIM-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN Cc1cccc(C)c1NC(=O)CNC[C@H](c1ccccc1)C(C)C ZINC000525991363 340707793 /nfs/dbraw/zinc/70/77/93/340707793.db2.gz XCGIZVKULIVLRK-IBGZPJMESA-N 0 3 324.468 4.271 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(C/C=C(/C)Cl)CC1 ZINC000528432027 340718675 /nfs/dbraw/zinc/71/86/75/340718675.db2.gz OSJCXBZASCQLFR-ZSOIEALJSA-N 0 3 317.864 4.378 20 0 DIADHN CC[C@H](NCc1cccnc1C(F)(F)F)c1ccc(OC)cc1 ZINC000528435247 340718772 /nfs/dbraw/zinc/71/87/72/340718772.db2.gz ZVYDVIYEFRWNKD-HNNXBMFYSA-N 0 3 324.346 4.350 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cc(CO)ccc1F)C(C)C ZINC000528436981 340719004 /nfs/dbraw/zinc/71/90/04/340719004.db2.gz KUELFLXRORQYTF-IBGZPJMESA-N 0 3 301.405 4.113 20 0 DIADHN FC(F)(F)c1ncccc1CN[C@H](c1ccccc1)C1CC1 ZINC000528439608 340719129 /nfs/dbraw/zinc/71/91/29/340719129.db2.gz PHMQQFWRIHSZSV-OAHLLOKOSA-N 0 3 306.331 4.341 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN[C@H](c1ccccn1)C1CCC1 ZINC000528652691 340728035 /nfs/dbraw/zinc/72/80/35/340728035.db2.gz VYIUTBDWTHKAAH-LZQZEXGQSA-N 0 3 302.462 4.108 20 0 DIADHN COCc1cccc(CN[C@H]2CCc3sc(Cl)cc32)c1 ZINC000123395284 340764908 /nfs/dbraw/zinc/76/49/08/340764908.db2.gz FVALXJMWPXQBSL-AWEZNQCLSA-N 0 3 307.846 4.325 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccc(F)cc1Cl ZINC000037218154 340774856 /nfs/dbraw/zinc/77/48/56/340774856.db2.gz UVEBTKKVXNAYPN-IAQYHMDHSA-N 0 3 310.800 4.026 20 0 DIADHN Clc1ccc(CNc2ccc3c(c2)OCCNC3)cc1Cl ZINC000530181265 340781959 /nfs/dbraw/zinc/78/19/59/340781959.db2.gz JGRMHNUWZDWISF-UHFFFAOYSA-N 0 3 323.223 4.088 20 0 DIADHN Cc1ccc(NC2CCN(c3ccncc3)CC2)cc1Cl ZINC000037374119 340785250 /nfs/dbraw/zinc/78/52/50/340785250.db2.gz ZRSPFNABCZHMLF-UHFFFAOYSA-N 0 3 301.821 4.124 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(SC)cc2)cc1F ZINC000037502486 340785550 /nfs/dbraw/zinc/78/55/50/340785550.db2.gz OEOCTERJRXYYOQ-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN C[C@@H](NCCc1cccnc1)c1cc(F)c(Cl)cc1Cl ZINC000037718159 340786025 /nfs/dbraw/zinc/78/60/25/340786025.db2.gz SPQZPBKEWHDPEF-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(F)c(Cl)c1 ZINC000037762766 340786663 /nfs/dbraw/zinc/78/66/63/340786663.db2.gz LMTNZMMZZNHYIW-GFCCVEGCSA-N 0 3 307.796 4.476 20 0 DIADHN Fc1ccc(CCNCc2cnc(C3CCC3)s2)cc1F ZINC000530325058 340787639 /nfs/dbraw/zinc/78/76/39/340787639.db2.gz YQYZNGNFSONXNF-UHFFFAOYSA-N 0 3 308.397 4.021 20 0 DIADHN CO[C@H]1CC[C@@H](NCc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000530352219 340788894 /nfs/dbraw/zinc/78/88/94/340788894.db2.gz SHRYBOOOGFLXLC-CABCVRRESA-N 0 3 305.805 4.257 20 0 DIADHN CCC(CC)(CO)CN[C@H](C)c1oc2ccc(OC)cc2c1C ZINC000537938829 340791836 /nfs/dbraw/zinc/79/18/36/340791836.db2.gz SDIJLJNRCCCEGM-CQSZACIVSA-N 0 3 319.445 4.199 20 0 DIADHN Cc1cnccc1CCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000537942870 340792180 /nfs/dbraw/zinc/79/21/80/340792180.db2.gz RKEYWMVLFZWEHL-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccccc1)c1cc2c(s1)CCCC2 ZINC000123588938 340792261 /nfs/dbraw/zinc/79/22/61/340792261.db2.gz XDCQHIWKSQMPFA-BBRMVZONSA-N 0 3 301.455 4.011 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccccc1)c1cc2c(s1)CCCC2 ZINC000123589193 340792270 /nfs/dbraw/zinc/79/22/70/340792270.db2.gz XDCQHIWKSQMPFA-CJNGLKHVSA-N 0 3 301.455 4.011 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(Cl)cc1)c1ccco1 ZINC000062423709 340793443 /nfs/dbraw/zinc/79/34/43/340793443.db2.gz CAFVYGUGCQFEAX-MRXNPFEDSA-N 0 3 306.837 4.106 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc(OCC(F)F)c1)CC2 ZINC000537976441 340794957 /nfs/dbraw/zinc/79/49/57/340794957.db2.gz XLBFRMAYWNAIKO-GOSISDBHSA-N 0 3 317.379 4.416 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C)s2)c2ccccc2n1 ZINC000124798724 340800992 /nfs/dbraw/zinc/80/09/92/340800992.db2.gz AUBCZFGVKMWYFW-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H](c2ccc(F)cc2)C2CC2)C1 ZINC000538113506 340809731 /nfs/dbraw/zinc/80/97/31/340809731.db2.gz UTUWXFVUFHGNLY-PMACEKPBSA-N 0 3 310.416 4.053 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@@H](C)c2cccc(C)c2C)c1 ZINC000538189608 340812521 /nfs/dbraw/zinc/81/25/21/340812521.db2.gz HPUZOYPUWRQGTK-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](NCCNc1ccccn1)c1cc2cc(Cl)ccc2o1 ZINC000538394828 340820633 /nfs/dbraw/zinc/82/06/33/340820633.db2.gz QRNNSKJBKPCQNG-LBPRGKRZSA-N 0 3 315.804 4.244 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@@](C)(O)CCC(C)C)oc21 ZINC000538395271 340820772 /nfs/dbraw/zinc/82/07/72/340820772.db2.gz IWKDDCPAQBOBAP-KUHUBIRLSA-N 0 3 319.445 4.279 20 0 DIADHN CC(C)[C@H](O)C1(CN[C@H]2C[C@H](C)Sc3sccc32)CC1 ZINC000538407825 340821711 /nfs/dbraw/zinc/82/17/11/340821711.db2.gz MOTBFZMJSOOXKS-UBHSHLNASA-N 0 3 311.516 4.060 20 0 DIADHN CCCCCOc1cc(C)ccc1CNCC1=CCCOC1 ZINC000538413445 340822033 /nfs/dbraw/zinc/82/20/33/340822033.db2.gz YWHZADYCKLNFPO-UHFFFAOYSA-N 0 3 303.446 4.000 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCC(F)(F)C2)c(Br)c1 ZINC000538425604 340823310 /nfs/dbraw/zinc/82/33/10/340823310.db2.gz OXRDGSYNCHQFOI-LLVKDONJSA-N 0 3 322.168 4.256 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ncc(Br)s1 ZINC000126359179 340826383 /nfs/dbraw/zinc/82/63/83/340826383.db2.gz PWSRHBHHVHAPEQ-UTLUCORTSA-N 0 3 303.269 4.135 20 0 DIADHN COc1ccc2oc(CN[C@@H]3CCc4c3cccc4OC)cc2c1 ZINC000126693080 340830684 /nfs/dbraw/zinc/83/06/84/340830684.db2.gz BKXIFVXXJJRJNS-GOSISDBHSA-N 0 3 323.392 4.227 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc(-n3ccnn3)cc2)cc1 ZINC000538691262 340838300 /nfs/dbraw/zinc/83/83/00/340838300.db2.gz ZJQAXTJHGSKXIZ-MRXNPFEDSA-N 0 3 320.440 4.071 20 0 DIADHN C[C@H](NCc1cscn1)C(c1ccccc1)c1ccccc1 ZINC000127082895 340843619 /nfs/dbraw/zinc/84/36/19/340843619.db2.gz IDBBDKZTRDZOFR-HNNXBMFYSA-N 0 3 308.450 4.453 20 0 DIADHN CC[C@H](N[C@H]1CCOC2(CCCC2)C1)c1cc(F)ccc1F ZINC000127283433 340848337 /nfs/dbraw/zinc/84/83/37/340848337.db2.gz OYLNCXKSVNCLRJ-YOEHRIQHSA-N 0 3 309.400 4.497 20 0 DIADHN C/C=C/COc1ccc(CC[C@@H](C)NCc2cscn2)cc1 ZINC000127338080 340849550 /nfs/dbraw/zinc/84/95/50/340849550.db2.gz OODFBJDLPGLSKI-NHZBNJEXSA-N 0 3 316.470 4.209 20 0 DIADHN C[C@H](NCc1cccc(COCc2ccco2)c1)c1cccnc1 ZINC000538867214 340859446 /nfs/dbraw/zinc/85/94/46/340859446.db2.gz VIOJQSOWPZWMLD-INIZCTEOSA-N 0 3 322.408 4.242 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@@H](C)Oc1ccc(F)cc1)CCC2 ZINC000128093005 340860689 /nfs/dbraw/zinc/86/06/89/340860689.db2.gz GMRFXZZTFJCDAR-IAQYHMDHSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2cnccc2C)cc1 ZINC000538986240 340864484 /nfs/dbraw/zinc/86/44/84/340864484.db2.gz PXQZTLBKTXZVDW-AHRSYUTCSA-N 0 3 310.441 4.269 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@H](C)[C@@H]1COc2ccccc21 ZINC000539041659 340866475 /nfs/dbraw/zinc/86/64/75/340866475.db2.gz OLZYSADCHMEJFI-DAYGRLMNSA-N 0 3 311.425 4.219 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000539042038 340866516 /nfs/dbraw/zinc/86/65/16/340866516.db2.gz BJWNIDLUTUWSTM-MOPGFXCFSA-N 0 3 315.844 4.213 20 0 DIADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1sccc1Br ZINC000539046018 340866894 /nfs/dbraw/zinc/86/68/94/340866894.db2.gz FPHCKGCNOXASHF-OPRDCNLKSA-N 0 3 318.280 4.117 20 0 DIADHN COc1cc([C@@H](C)NCCOc2ccccc2Cl)ccc1F ZINC000539045202 340866920 /nfs/dbraw/zinc/86/69/20/340866920.db2.gz GIWBWPBLKVNDPV-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H](C)c2ccc(F)cc2)cc1 ZINC000539045602 340867163 /nfs/dbraw/zinc/86/71/63/340867163.db2.gz CZRCWHAZFKPLGO-CKFHNAJUSA-N 0 3 317.404 4.261 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CO)c2ccc(C(F)(F)F)cc2)cc1 ZINC000539055699 340868565 /nfs/dbraw/zinc/86/85/65/340868565.db2.gz GFCSTMYJXVBMAD-DYVFJYSZSA-N 0 3 323.358 4.398 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1ccccc1F ZINC000539055046 340868567 /nfs/dbraw/zinc/86/85/67/340868567.db2.gz SGPFEIWCRBRIAU-LXIYXOSZSA-N 0 3 305.368 4.392 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccc2c(c1)CCC2 ZINC000539056205 340868579 /nfs/dbraw/zinc/86/85/79/340868579.db2.gz ZULHLPMKEWNYCF-QVKFZJNVSA-N 0 3 306.453 4.013 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccccc2N(C)C)cc1F ZINC000539069943 340870316 /nfs/dbraw/zinc/87/03/16/340870316.db2.gz AUXLCJFJYZKKKJ-ZDUSSCGKSA-N 0 3 318.461 4.464 20 0 DIADHN Cc1cccc(OCCN[C@@H](C)c2ccc3ccccc3n2)c1 ZINC000539073026 340870620 /nfs/dbraw/zinc/87/06/20/340870620.db2.gz WPCAPNOGQZTLKY-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1ccccc1N(C)C ZINC000539074808 340870799 /nfs/dbraw/zinc/87/07/99/340870799.db2.gz DZOVPQNZQUAPMN-XOBRGWDASA-N 0 3 312.432 4.305 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1F)c1cc(F)c(F)c(F)c1 ZINC000539077364 340870996 /nfs/dbraw/zinc/87/09/96/340870996.db2.gz LJSSFDQFCNUHEF-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H]1CCc2c1cccc2OC ZINC000539078360 340871174 /nfs/dbraw/zinc/87/11/74/340871174.db2.gz ONGRTTPORYHCJZ-RTBURBONSA-N 0 3 323.436 4.358 20 0 DIADHN CC(C)C[C@H](NCCc1cccc(F)c1F)c1ccccn1 ZINC000539079158 340871194 /nfs/dbraw/zinc/87/11/94/340871194.db2.gz JHOARXPESJAWGW-KRWDZBQOSA-N 0 3 304.384 4.279 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCC(F)(F)F)c(C)c2c1 ZINC000539090126 340872428 /nfs/dbraw/zinc/87/24/28/340872428.db2.gz ZWPYCODKQUJXIM-SNVBAGLBSA-N 0 3 301.308 4.353 20 0 DIADHN Cc1ccc([C@H](C)NCCCC(=O)Nc2ccc(Cl)cc2)o1 ZINC000539093997 340872960 /nfs/dbraw/zinc/87/29/60/340872960.db2.gz RKSZTEDRACCXKG-ZDUSSCGKSA-N 0 3 320.820 4.311 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)Oc1ccccc1C ZINC000539124246 340874576 /nfs/dbraw/zinc/87/45/76/340874576.db2.gz MXJPJRPTPUOQCE-AEFFLSMTSA-N 0 3 315.461 4.281 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@@H](C)c1nc2ccccc2n1C ZINC000539199148 340875759 /nfs/dbraw/zinc/87/57/59/340875759.db2.gz AQMFNEYISXWYHZ-RDJZCZTQSA-N 0 3 307.441 4.245 20 0 DIADHN COCCNc1ccc(CN[C@H](c2ccc(C)cc2)C2CC2)cc1 ZINC000539200108 340875964 /nfs/dbraw/zinc/87/59/64/340875964.db2.gz AVLLMBKTNRZKGV-OAQYLSRUSA-N 0 3 324.468 4.294 20 0 DIADHN Cc1cc([C@@H](NCc2ccc3c(c2)COC3)C2CC2)ccc1F ZINC000539216748 340876821 /nfs/dbraw/zinc/87/68/21/340876821.db2.gz JHWOFFVOCKOHKQ-FQEVSTJZSA-N 0 3 311.400 4.405 20 0 DIADHN CC(C)[C@H](NCCOc1ccc(Cl)cc1)c1cccnc1 ZINC000539217528 340876956 /nfs/dbraw/zinc/87/69/56/340876956.db2.gz XQWSAZGEEKYPET-KRWDZBQOSA-N 0 3 304.821 4.101 20 0 DIADHN CO[C@H]1CCC[C@@H]1CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000539226588 340877412 /nfs/dbraw/zinc/87/74/12/340877412.db2.gz LRADWLGUCPXQED-WBMJQRKESA-N 0 3 321.367 4.130 20 0 DIADHN C[C@@H](CNC1(c2ccc(Br)cc2)CC1)C(F)(F)F ZINC000539277337 340879174 /nfs/dbraw/zinc/87/91/74/340879174.db2.gz XMDUVIDZWLGPIH-VIFPVBQESA-N 0 3 322.168 4.226 20 0 DIADHN CC[C@@H](NC1CCC(C)(c2ccccc2)CC1)c1nccn1C ZINC000539294418 340879727 /nfs/dbraw/zinc/87/97/27/340879727.db2.gz DHJCIHLPKDPKMY-QPIRBTGLSA-N 0 3 311.473 4.361 20 0 DIADHN CO[C@@H]1CCC[C@H]1CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000539297675 340879911 /nfs/dbraw/zinc/87/99/11/340879911.db2.gz LCKFECXDXSJGAX-SXLOBPIMSA-N 0 3 313.416 4.320 20 0 DIADHN CC(C)c1ccc(CCCN[C@@H](c2nccn2C)C2CC2)cc1 ZINC000539393694 340883656 /nfs/dbraw/zinc/88/36/56/340883656.db2.gz ZGTONTGBGXIUSL-LJQANCHMSA-N 0 3 311.473 4.217 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@]3(C)CCCC[C@H]3O)oc21 ZINC000539495112 340887209 /nfs/dbraw/zinc/88/72/09/340887209.db2.gz DZKADBHLPIUYOW-BOFPYLFWSA-N 0 3 317.429 4.033 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCCNc1ccccn1 ZINC000539495776 340887364 /nfs/dbraw/zinc/88/73/64/340887364.db2.gz DNSJKJXUWYUNMP-AWEZNQCLSA-N 0 3 309.413 4.153 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000539506969 340888332 /nfs/dbraw/zinc/88/83/32/340888332.db2.gz DWYKQFNIVDMMMY-VBHSOAQHSA-N 0 3 305.368 4.392 20 0 DIADHN COc1ccc(CCN[C@H](C)c2cccc(F)c2F)cc1F ZINC000539544093 340889909 /nfs/dbraw/zinc/88/99/09/340889909.db2.gz DJEIBWDGGSXBDH-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN Cc1ccc(N[C@@H](C)c2ccc(OCCN(C)C)cc2)cc1F ZINC000539611144 340892754 /nfs/dbraw/zinc/89/27/54/340892754.db2.gz SXEWTFAGLGGOED-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN Cc1cccc(C)c1Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000539628374 340893449 /nfs/dbraw/zinc/89/34/49/340893449.db2.gz MGUIHAZOUNNCSK-UHFFFAOYSA-N 0 3 321.424 4.006 20 0 DIADHN COCC1(N[C@H](C)c2ccc(SCC(F)(F)F)cc2)CC1 ZINC000539652052 340893875 /nfs/dbraw/zinc/89/38/75/340893875.db2.gz DVXFLSOVYOJDGQ-LLVKDONJSA-N 0 3 319.392 4.171 20 0 DIADHN O=C(CCN1CCC(COc2ccccc2)CC1)c1ccccc1 ZINC000539722541 340897172 /nfs/dbraw/zinc/89/71/72/340897172.db2.gz MIZYTSXXXLVIJU-UHFFFAOYSA-N 0 3 323.436 4.050 20 0 DIADHN CCC1(O)CCN(Cc2cccc(-c3ccccc3C)c2)CC1 ZINC000539817737 340901557 /nfs/dbraw/zinc/90/15/57/340901557.db2.gz MJCJYZRVXWXAKQ-UHFFFAOYSA-N 0 3 309.453 4.399 20 0 DIADHN C[C@H](NCCc1ccccc1C(F)(F)F)c1ccc(F)cn1 ZINC000539968308 340911379 /nfs/dbraw/zinc/91/13/79/340911379.db2.gz RUPWGMRBSGWZKN-NSHDSACASA-N 0 3 312.310 4.133 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)[C@H]2CCOC2)cc1C ZINC000540068572 340914165 /nfs/dbraw/zinc/91/41/65/340914165.db2.gz NULJEAKEINSCAU-PZJWPPBQSA-N 0 3 309.453 4.479 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)c1 ZINC000540139710 340916124 /nfs/dbraw/zinc/91/61/24/340916124.db2.gz XBRBSRNVBDOJAB-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2COc3ccccc3C2)cc1Cl ZINC000540138290 340916126 /nfs/dbraw/zinc/91/61/26/340916126.db2.gz BEDPFHVABQTGTP-DOMZBBRYSA-N 0 3 317.816 4.003 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@@H](C)c1cc3ccccc3o1)C2 ZINC000540142806 340916653 /nfs/dbraw/zinc/91/66/53/340916653.db2.gz GVFWEIYZWJYIJX-BBRMVZONSA-N 0 3 323.392 4.096 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CC(C)(C)c3ccccc32)cc1 ZINC000540144056 340916775 /nfs/dbraw/zinc/91/67/75/340916775.db2.gz LMVZHFBVEXRGCS-PMACEKPBSA-N 0 3 309.453 4.295 20 0 DIADHN COc1ccc([C@H](NC2CCCC2)c2ccccc2OC)cc1 ZINC000540146846 340917145 /nfs/dbraw/zinc/91/71/45/340917145.db2.gz NBUKXFVETZQRTP-FQEVSTJZSA-N 0 3 311.425 4.325 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccccn1 ZINC000540149403 340917256 /nfs/dbraw/zinc/91/72/56/340917256.db2.gz ODNDNMQSAJFUNS-ILZDJORESA-N 0 3 310.441 4.431 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)Cc1ccccc1)c1ccc(F)cc1Cl ZINC000540149578 340917308 /nfs/dbraw/zinc/91/73/08/340917308.db2.gz WAFHZVBHPDCKLP-LXIYXOSZSA-N 0 3 321.823 4.122 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H]1CCc2cc(F)ccc21 ZINC000540151022 340917637 /nfs/dbraw/zinc/91/76/37/340917637.db2.gz LMCWAUHMBFTVCF-NXXSPTCGSA-N 0 3 317.379 4.318 20 0 DIADHN OC[C@H](N[C@H]1CCc2ccccc21)c1ccc(C(F)(F)F)cc1 ZINC000540154100 340918211 /nfs/dbraw/zinc/91/82/11/340918211.db2.gz VPCNQESKIVDBDO-IRXDYDNUSA-N 0 3 321.342 4.016 20 0 DIADHN C[C@@H](NCCSCC(F)(F)F)c1ccc(F)c(Cl)c1 ZINC000540160254 340918915 /nfs/dbraw/zinc/91/89/15/340918915.db2.gz BLFSZXALNRWPLH-MRVPVSSYSA-N 0 3 315.763 4.425 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000540163287 340919354 /nfs/dbraw/zinc/91/93/54/340919354.db2.gz JFFRJOAHAWBDFT-GHMZBOCLSA-N 0 3 300.418 4.250 20 0 DIADHN COc1ccc([C@H](C)NCCOc2ccccc2F)cc1Cl ZINC000540173527 340920497 /nfs/dbraw/zinc/92/04/97/340920497.db2.gz IDZXDJOJIRIZBQ-LBPRGKRZSA-N 0 3 323.795 4.217 20 0 DIADHN CC(C)[C@H](O)CN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000540185003 340921767 /nfs/dbraw/zinc/92/17/67/340921767.db2.gz YRACSPHDTWQAJB-MLGOLLRUSA-N 0 3 323.383 4.109 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1Cl)c1cncc(F)c1 ZINC000540187546 340921989 /nfs/dbraw/zinc/92/19/89/340921989.db2.gz DXNNDHZAVPFRPY-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1Cl)c1cncs1 ZINC000540192088 340922609 /nfs/dbraw/zinc/92/26/09/340922609.db2.gz ZWDIAEIVCGGNNL-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1)c1nc2ccccc2n1C ZINC000540261176 340924975 /nfs/dbraw/zinc/92/49/75/340924975.db2.gz UPJBNSUKKCSRQR-ZDUSSCGKSA-N 0 3 313.832 4.120 20 0 DIADHN Cc1cc(C)cc(CCN[C@H](C)c2nc3ccccc3n2C)c1 ZINC000540312496 340926133 /nfs/dbraw/zinc/92/61/33/340926133.db2.gz HKBIZADGLRWERB-MRXNPFEDSA-N 0 3 307.441 4.083 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2ccccc2OC(C)C)cs1 ZINC000540323374 340926971 /nfs/dbraw/zinc/92/69/71/340926971.db2.gz GXDDOLDQRBQHQZ-ZDUSSCGKSA-N 0 3 304.459 4.132 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](C)C(C)(C)c2ccccc2)c1 ZINC000540354234 340928316 /nfs/dbraw/zinc/92/83/16/340928316.db2.gz KTAUBBLSJFWVNB-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)[C@@H]2CCOC2)c(C)c1 ZINC000540386193 340928879 /nfs/dbraw/zinc/92/88/79/340928879.db2.gz PCGTUFUGGNSABX-TZIWHRDSSA-N 0 3 309.453 4.479 20 0 DIADHN CCOc1ccccc1OCCN[C@@H](C)c1cccc(F)c1F ZINC000540431931 340931103 /nfs/dbraw/zinc/93/11/03/340931103.db2.gz JDUBPDSMRAYCEM-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN CC(C)OCCN[C@@H](Cc1ccccc1)c1ccccc1F ZINC000540459131 340932170 /nfs/dbraw/zinc/93/21/70/340932170.db2.gz WDQBKIVGOMMZKG-IBGZPJMESA-N 0 3 301.405 4.124 20 0 DIADHN CCc1ccc(NC(=O)c2cccc(CN(C)C)c2)cc1CC ZINC000540472279 340932664 /nfs/dbraw/zinc/93/26/64/340932664.db2.gz SRGIEFNNVMTFBU-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32)c1cnn(C)c1 ZINC000540660729 340940769 /nfs/dbraw/zinc/94/07/69/340940769.db2.gz VVKCKKAVNYHOAG-PMUMKWKESA-N 0 3 309.457 4.371 20 0 DIADHN CCOc1cccc(CN[C@H](C)[C@H](OC)c2ccccc2F)c1 ZINC000540687032 340941312 /nfs/dbraw/zinc/94/13/12/340941312.db2.gz CXXNLPWOVLVJRA-KUHUBIRLSA-N 0 3 317.404 4.090 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2ccc3c(c2)OCCO3)cc1 ZINC000540707535 340942512 /nfs/dbraw/zinc/94/25/12/340942512.db2.gz HRZRZVBIAZAXJG-GOSISDBHSA-N 0 3 309.409 4.089 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccc(OC(F)F)cc1 ZINC000540954024 340953070 /nfs/dbraw/zinc/95/30/70/340953070.db2.gz YNPNORHBKWPKCP-ZDUSSCGKSA-N 0 3 320.383 4.139 20 0 DIADHN CSCCCNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000069434248 340959613 /nfs/dbraw/zinc/95/96/13/340959613.db2.gz ZUKNGERHNOKTIF-UHFFFAOYSA-N 0 3 318.467 4.399 20 0 DIADHN CCc1cnc(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)s1 ZINC000541378009 340968957 /nfs/dbraw/zinc/96/89/57/340968957.db2.gz QPRFKYRFYVIZQT-GHMZBOCLSA-N 0 3 306.397 4.164 20 0 DIADHN C[C@]1(NCc2ccc(Oc3ccccc3)o2)CCO[C@H]1C1CC1 ZINC000541383370 340969035 /nfs/dbraw/zinc/96/90/35/340969035.db2.gz IXDFXJIXOZGQDB-OALUTQOASA-N 0 3 313.397 4.119 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)N[C@H](C)CCC(F)(F)F ZINC000541556509 340974263 /nfs/dbraw/zinc/97/42/63/340974263.db2.gz KUHURYBEDUUGPU-NEPJUHHUSA-N 0 3 313.367 4.438 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000541627723 340978541 /nfs/dbraw/zinc/97/85/41/340978541.db2.gz XLIDGAMEQCYSTH-DZGCQCFKSA-N 0 3 310.507 4.118 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C)cc2)ccc1OC(F)F ZINC000069755888 340980440 /nfs/dbraw/zinc/98/04/40/340980440.db2.gz YUROQOYKIFTWMJ-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@H]3CC(C)(C)OC3(C)C)oc21 ZINC000541846174 340985554 /nfs/dbraw/zinc/98/55/54/340985554.db2.gz SIHXTQUVSOYFDL-LRDDRELGSA-N 0 3 317.429 4.438 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@H](C)Sc2ccccc2)cn1 ZINC000129766463 340985869 /nfs/dbraw/zinc/98/58/69/340985869.db2.gz KGGKPMTVEHDOOL-LSDHHAIUSA-N 0 3 303.475 4.295 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2sccc2C)c(OC)c1 ZINC000070090341 340988675 /nfs/dbraw/zinc/98/86/75/340988675.db2.gz YWDDZNPACOCIAI-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN CC(C)COC1CCN([C@H]2CCc3ccc(Cl)cc32)CC1 ZINC000542203815 340999759 /nfs/dbraw/zinc/99/97/59/340999759.db2.gz WRFZPVUYUSQERT-SFHVURJKSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N(C)C[C@@H]3CC=CCC3)o2)cc1 ZINC000542251726 341002102 /nfs/dbraw/zinc/00/21/02/341002102.db2.gz MODCNHCUQTZTHR-JKSUJKDBSA-N 0 3 311.429 4.394 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)C[C@H]2CC=CCC2)c(Cl)c1 ZINC000542254023 341002151 /nfs/dbraw/zinc/00/21/51/341002151.db2.gz JHFSYMPVQVDLEI-CABCVRRESA-N 0 3 320.864 4.264 20 0 DIADHN CN(Cc1coc(-c2ccc(F)cc2)n1)C[C@@H]1CC=CCC1 ZINC000542251475 341002172 /nfs/dbraw/zinc/00/21/72/341002172.db2.gz BRUDKVPQXWYFIS-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN COc1cc(CN(C)[C@H]2CCc3ccc(Cl)cc32)ccc1O ZINC000542277591 341003220 /nfs/dbraw/zinc/00/32/20/341003220.db2.gz VXRGZEHTLKKXID-INIZCTEOSA-N 0 3 317.816 4.174 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC[C@@]3(CCCOC3)C1)CC2 ZINC000542282318 341003426 /nfs/dbraw/zinc/00/34/26/341003426.db2.gz QWVZULWAIVGLCS-QZTJIDSGSA-N 0 3 305.849 4.220 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2c(Cl)oc3ccccc32)CCO1 ZINC000542297953 341003563 /nfs/dbraw/zinc/00/35/63/341003563.db2.gz IGWPEKGMRWLWFH-STQMWFEESA-N 0 3 307.821 4.381 20 0 DIADHN FC(F)(F)COc1ccccc1CNC[C@H]1CCCCS1 ZINC000542310409 341003946 /nfs/dbraw/zinc/00/39/46/341003946.db2.gz UBEAZPUVAHLYHR-CYBMUJFWSA-N 0 3 319.392 4.003 20 0 DIADHN c1cc(-c2ncc(CNC[C@H]3CCCCS3)s2)cs1 ZINC000542312956 341004035 /nfs/dbraw/zinc/00/40/35/341004035.db2.gz IHZRXWWZCICXGC-GFCCVEGCSA-N 0 3 310.513 4.247 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccc(F)cc3)o2)CCO[C@@H]1C1CC1 ZINC000542313733 341004203 /nfs/dbraw/zinc/00/42/03/341004203.db2.gz WXTHJYWGEIWEJQ-MOPGFXCFSA-N 0 3 315.388 4.133 20 0 DIADHN C[C@]1(NCc2cccc(OC3CCCC3)c2)CCO[C@H]1C1CC1 ZINC000542314945 341004305 /nfs/dbraw/zinc/00/43/05/341004305.db2.gz PZSOEIZGMCCDSD-PMACEKPBSA-N 0 3 315.457 4.055 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000542319578 341004635 /nfs/dbraw/zinc/00/46/35/341004635.db2.gz IVBBWPTWWZAPTF-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N1CC[C@H](C(C)(C)C)C1 ZINC000542320364 341004816 /nfs/dbraw/zinc/00/48/16/341004816.db2.gz QBPJFVMMWWWDDZ-NEPJUHHUSA-N 0 3 305.447 4.227 20 0 DIADHN COc1ccc(-c2cnc(CN3CC[C@H](C(C)(C)C)C3)o2)cc1 ZINC000542323417 341005285 /nfs/dbraw/zinc/00/52/85/341005285.db2.gz VLWJZPOHZACFAS-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2nc(C3CC3)cs2)C1 ZINC000130211910 341006778 /nfs/dbraw/zinc/00/67/78/341006778.db2.gz IVYZAOSMVILRAN-GHMZBOCLSA-N 0 3 304.381 4.231 20 0 DIADHN COc1ccc(CNC2CC(c3ccc(Cl)cc3)C2)cc1O ZINC000542472795 341008801 /nfs/dbraw/zinc/00/88/01/341008801.db2.gz WKVHXDMNUIUWBU-UHFFFAOYSA-N 0 3 317.816 4.090 20 0 DIADHN C[C@H](CN[C@@H](c1ccco1)c1ccccc1)N1CCCC[C@H]1C ZINC000542480587 341009376 /nfs/dbraw/zinc/00/93/76/341009376.db2.gz HEUJXDABURYNKU-MBOZVWFJSA-N 0 3 312.457 4.222 20 0 DIADHN CN(Cc1nc2ccc(Cl)cc2n1C)C[C@@H]1CC=CCC1 ZINC000542483463 341009475 /nfs/dbraw/zinc/00/94/75/341009475.db2.gz OMBYYTUCXPIEJS-CYBMUJFWSA-N 0 3 303.837 4.015 20 0 DIADHN C[C@H](NC[C@H](O)CCc1ccccc1)c1ccc(F)cc1Cl ZINC000542486827 341009858 /nfs/dbraw/zinc/00/98/58/341009858.db2.gz NNUCJWZOHZAJRV-XJKSGUPXSA-N 0 3 321.823 4.123 20 0 DIADHN C[C@@H](NC[C@H](O)CCc1ccccc1)c1ccc(Cl)c(F)c1 ZINC000542488180 341009951 /nfs/dbraw/zinc/00/99/51/341009951.db2.gz BGVGZIFDOVUQTP-CZUORRHYSA-N 0 3 321.823 4.123 20 0 DIADHN CCCC[C@H](N[C@H](C)C(=O)c1c[nH]c2ncccc12)C1CCC1 ZINC000542522342 341012014 /nfs/dbraw/zinc/01/20/14/341012014.db2.gz ULJOKYPKLHTZLG-DYVFJYSZSA-N 0 3 313.445 4.083 20 0 DIADHN COc1cc(CN[C@@H](Cc2ccccc2)c2cccs2)ccn1 ZINC000542526477 341012173 /nfs/dbraw/zinc/01/21/73/341012173.db2.gz QHRMXECENITBEL-KRWDZBQOSA-N 0 3 324.449 4.225 20 0 DIADHN Cc1cnc([C@@H](NC[C@@H]2CCc3ccccc3C2)C2CC2)s1 ZINC000542534483 341012538 /nfs/dbraw/zinc/01/25/38/341012538.db2.gz WVIMVOLGWAEWRL-KDOFPFPSSA-N 0 3 312.482 4.297 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](C)[C@H](C)c2ccccc2)c1C ZINC000542544452 341012914 /nfs/dbraw/zinc/01/29/14/341012914.db2.gz NUGGHZBDWFDBNM-BZSNNMDCSA-N 0 3 324.468 4.412 20 0 DIADHN COC(=O)c1oc([C@H](C)N[C@H](C)[C@@H](C)c2ccccc2)cc1C ZINC000542545713 341012952 /nfs/dbraw/zinc/01/29/52/341012952.db2.gz YETARXOTDSWECF-KFWWJZLASA-N 0 3 315.413 4.217 20 0 DIADHN COc1ccccc1C[C@@H](NCc1ccncc1Cl)C(C)C ZINC000542566671 341013898 /nfs/dbraw/zinc/01/38/98/341013898.db2.gz KOZWLMJXLSOZHE-QGZVFWFLSA-N 0 3 318.848 4.101 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1cncnc1)c1cccs1 ZINC000542664976 341016139 /nfs/dbraw/zinc/01/61/39/341016139.db2.gz YULIVRXMZYMQHD-GOSISDBHSA-N 0 3 323.465 4.195 20 0 DIADHN Cc1ccc([C@@H](NCCOc2ncccc2Cl)C2CCC2)o1 ZINC000542668394 341016383 /nfs/dbraw/zinc/01/63/83/341016383.db2.gz LOKBGXHIAHIUHP-INIZCTEOSA-N 0 3 320.820 4.146 20 0 DIADHN Cc1ccc([C@H](NCCOc2ncccc2Cl)C2CCC2)o1 ZINC000542668395 341016450 /nfs/dbraw/zinc/01/64/50/341016450.db2.gz LOKBGXHIAHIUHP-MRXNPFEDSA-N 0 3 320.820 4.146 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@H]1Cc1ccccc1)c1ccn(C)n1 ZINC000542677543 341017011 /nfs/dbraw/zinc/01/70/11/341017011.db2.gz KBIYCRKTUSJKRQ-FHWLQOOXSA-N 0 3 311.473 4.262 20 0 DIADHN Fc1cccc(CNCc2ccc(Cl)c3cccnc23)c1 ZINC000130336570 341017415 /nfs/dbraw/zinc/01/74/15/341017415.db2.gz QTGIOLRSXFSXFH-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN C[C@H](CN[C@@H](C)c1nnc2n1CCCCC2)CC1CCCCC1 ZINC000542702166 341018036 /nfs/dbraw/zinc/01/80/36/341018036.db2.gz VCDXNTCSMCQMAR-HOTGVXAUSA-N 0 3 318.509 4.262 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](c2cnn(C)c2)c2cccc(F)c2)C[C@H]1C ZINC000542706929 341018311 /nfs/dbraw/zinc/01/83/11/341018311.db2.gz CYQHUTJTFUJNDJ-TUFGIGEDSA-N 0 3 315.436 4.063 20 0 DIADHN C[C@H]1N(Cc2ccc(Cl)c(Cl)c2)CCC12CCOCC2 ZINC000542709508 341018588 /nfs/dbraw/zinc/01/85/88/341018588.db2.gz VYRKGPZXFSTRAQ-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN C[C@H](N[C@H](c1cccs1)c1ccc(F)cc1)[C@H]1CCCOC1 ZINC000542811898 341021732 /nfs/dbraw/zinc/02/17/32/341021732.db2.gz RVZRGSTZXFJJQU-YEWWUXTCSA-N 0 3 319.445 4.381 20 0 DIADHN CC(C)Oc1ccc(CN2CCC(Cc3cccnc3)CC2)cc1 ZINC000542820241 341022066 /nfs/dbraw/zinc/02/20/66/341022066.db2.gz OUJCUNJXRUOEFQ-UHFFFAOYSA-N 0 3 324.468 4.324 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3coc(-c4cccc(F)c4)n3)C2)C1 ZINC000542882596 341025092 /nfs/dbraw/zinc/02/50/92/341025092.db2.gz AVBVELQYWGIYFZ-KUHUBIRLSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3ncc(-c4ccc(F)cc4)o3)C2)C1 ZINC000542881628 341025102 /nfs/dbraw/zinc/02/51/02/341025102.db2.gz SMWOBWQEJVSMGZ-LIRRHRJNSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3ncc(-c4ccccc4F)o3)C2)C1 ZINC000542884219 341025170 /nfs/dbraw/zinc/02/51/70/341025170.db2.gz UUGHQMMWTNHCMG-KUHUBIRLSA-N 0 3 314.404 4.493 20 0 DIADHN CN(Cc1nc2ccccc2o1)C[C@@H]1CCc2ccccc2C1 ZINC000542913843 341026237 /nfs/dbraw/zinc/02/62/37/341026237.db2.gz DIJKUDDKASBHEO-OAHLLOKOSA-N 0 3 306.409 4.065 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccco3)n2)[C@H]2CCCC[C@@H]12 ZINC000542966507 341027388 /nfs/dbraw/zinc/02/73/88/341027388.db2.gz DOPMYOCHBHLFFM-SNPRPXQTSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@H](C)[C@@H]3CCCC[C@H]32)c(C)c1C ZINC000542969822 341027526 /nfs/dbraw/zinc/02/75/26/341027526.db2.gz YZKWGTSNAOWQOF-KNXALSJPSA-N 0 3 320.502 4.122 20 0 DIADHN CCc1ccc([C@@H](COC)NC2CC(c3ccc(C)cc3)C2)o1 ZINC000543106018 341032334 /nfs/dbraw/zinc/03/23/34/341032334.db2.gz DYFXDQLHDVBODU-FAFZWHIHSA-N 0 3 313.441 4.374 20 0 DIADHN COc1ccc(-c2nc(CN(C)C[C@@H]3CC=CCC3)co2)cc1 ZINC000543172147 341034940 /nfs/dbraw/zinc/03/49/40/341034940.db2.gz GQJGFYBZJCQKNV-OAHLLOKOSA-N 0 3 312.413 4.138 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN(C)C[C@@H]1CC=CCC1 ZINC000543174426 341035118 /nfs/dbraw/zinc/03/51/18/341035118.db2.gz IIIJYFJKQCTHNA-CQSZACIVSA-N 0 3 302.443 4.500 20 0 DIADHN COc1ccc(C2(CNCc3ccc(Cl)o3)CCC2)cc1 ZINC000543229741 341037342 /nfs/dbraw/zinc/03/73/42/341037342.db2.gz VWOPFKBUORKJTN-UHFFFAOYSA-N 0 3 305.805 4.153 20 0 DIADHN C[C@]1(NCc2cccc(Cl)c2Cl)CCO[C@@H]1C1CC1 ZINC000543241082 341037646 /nfs/dbraw/zinc/03/76/46/341037646.db2.gz DCWLMKVXCAYQBF-CABCVRRESA-N 0 3 300.229 4.041 20 0 DIADHN CS[C@H](CNCc1cnc(-c2ccccc2)nc1)C(C)(C)C ZINC000543240671 341037648 /nfs/dbraw/zinc/03/76/48/341037648.db2.gz YBEWPBNTKKCJPI-MRXNPFEDSA-N 0 3 315.486 4.011 20 0 DIADHN CCc1cnc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)s1 ZINC000543395671 341042106 /nfs/dbraw/zinc/04/21/06/341042106.db2.gz PLIQXWVEUHOBPE-ZBFHGGJFSA-N 0 3 300.471 4.159 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCSC2)ccc1OCCC(C)C ZINC000543398150 341042291 /nfs/dbraw/zinc/04/22/91/341042291.db2.gz HJNDJESABWWRTL-HOCLYGCPSA-N 0 3 323.502 4.276 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1nc2ccc(Cl)cc2n1C ZINC000543427056 341043966 /nfs/dbraw/zinc/04/39/66/341043966.db2.gz QPEQYCYUYNEKST-YPMHNXCESA-N 0 3 323.893 4.163 20 0 DIADHN C[C@@H](NC[C@H]1CCCCS1)c1nc2ccc(Cl)cc2n1C ZINC000543427052 341044021 /nfs/dbraw/zinc/04/40/21/341044021.db2.gz QPEQYCYUYNEKST-DGCLKSJQSA-N 0 3 323.893 4.163 20 0 DIADHN CS[C@H](CN[C@@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000543426472 341044097 /nfs/dbraw/zinc/04/40/97/341044097.db2.gz BYCUGSGLXYCODD-SMDDNHRTSA-N 0 3 312.548 4.450 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCCS2)c2cccnc2)cc1 ZINC000543428577 341044161 /nfs/dbraw/zinc/04/41/61/341044161.db2.gz AOWNPRCPPHHAGE-ROUUACIJSA-N 0 3 316.445 4.185 20 0 DIADHN COc1ncc(CN[C@@H](c2cccs2)C2CC2)cc1Cl ZINC000543438565 341044550 /nfs/dbraw/zinc/04/45/50/341044550.db2.gz KDSAGMVHGJHSKX-CQSZACIVSA-N 0 3 308.834 4.046 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc(N3CCCC3)nc2)cc1 ZINC000130802798 341045983 /nfs/dbraw/zinc/04/59/83/341045983.db2.gz SSUFGCIKLNBYIR-KRWDZBQOSA-N 0 3 309.457 4.183 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H](C)[C@@H](C)c3ccccc3)o2)cc1 ZINC000543475609 341046501 /nfs/dbraw/zinc/04/65/01/341046501.db2.gz YNQLKAXFOSQMSL-HZPDHXFCSA-N 0 3 321.424 4.327 20 0 DIADHN Cc1csc([C@H](NCCSc2ccc(F)cc2)C2CC2)n1 ZINC000543483924 341046796 /nfs/dbraw/zinc/04/67/96/341046796.db2.gz DZZHJHNRIOZFPF-OAHLLOKOSA-N 0 3 322.474 4.424 20 0 DIADHN CC(C)C[C@H](NCC[S@](=O)c1ccccc1)c1cccs1 ZINC000543484630 341046861 /nfs/dbraw/zinc/04/68/61/341046861.db2.gz CZUURYSSCKPNSH-KKSFZXQISA-N 0 3 321.511 4.233 20 0 DIADHN CC(C)C[C@@H](NCC(=O)Nc1cccc(F)c1)c1cccs1 ZINC000543485044 341046969 /nfs/dbraw/zinc/04/69/69/341046969.db2.gz JACDWQGGRNJQSC-OAHLLOKOSA-N 0 3 320.433 4.203 20 0 DIADHN CC(C)C[C@@H](N[C@@H](C)C(=O)N1CCC(C)CC1)c1cccs1 ZINC000543486227 341047109 /nfs/dbraw/zinc/04/71/09/341047109.db2.gz UXPWNSWTRKLPLD-JKSUJKDBSA-N 0 3 322.518 4.072 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2nc(C(C)(C)C)cs2)cc1 ZINC000543516489 341048239 /nfs/dbraw/zinc/04/82/39/341048239.db2.gz WJMYWKBTKBWHFV-ZDUSSCGKSA-N 0 3 318.486 4.343 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC2(CCOCC2)[C@@H]1C ZINC000543575326 341049469 /nfs/dbraw/zinc/04/94/69/341049469.db2.gz WDKXIXAMZAQYNH-OLZOCXBDSA-N 0 3 311.828 4.431 20 0 DIADHN CC[C@H](NC1CCC(c2ccc(F)cc2)CC1)c1ccn(C)n1 ZINC000543593917 341049810 /nfs/dbraw/zinc/04/98/10/341049810.db2.gz OZEBSMGGCKVSTR-VJFUWPCTSA-N 0 3 315.436 4.326 20 0 DIADHN CC[C@H](NCC1(c2cccs2)CCCCC1)c1ccn(C)n1 ZINC000543594091 341050040 /nfs/dbraw/zinc/05/00/40/341050040.db2.gz HKPAITFWPBDZBG-HNNXBMFYSA-N 0 3 317.502 4.424 20 0 DIADHN Cc1c([C@@H](C)NC[C@@H](C)c2ccccc2)nnn1-c1ccccc1 ZINC000543598611 341050234 /nfs/dbraw/zinc/05/02/34/341050234.db2.gz AJCHPMJSUMLPAK-HZPDHXFCSA-N 0 3 320.440 4.030 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CN1CCCSCC1 ZINC000543621703 341051431 /nfs/dbraw/zinc/05/14/31/341051431.db2.gz ZTSIWQUPYXRGJR-UHFFFAOYSA-N 0 3 323.408 4.380 20 0 DIADHN C[C@@H](CN(C)C/C=C/c1ccc(F)cc1F)c1nccs1 ZINC000543623876 341051586 /nfs/dbraw/zinc/05/15/86/341051586.db2.gz MBRWOUFXHWAXHR-PCAWENJQSA-N 0 3 308.397 4.170 20 0 DIADHN C[C@@H](CCc1cccc(C(F)(F)F)c1)N[C@@H](C)c1ccon1 ZINC000543628687 341051838 /nfs/dbraw/zinc/05/18/38/341051838.db2.gz WBGRYJZQHKMKKC-RYUDHWBXSA-N 0 3 312.335 4.365 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C/C=C\c1ccc(F)cc1F ZINC000543630436 341052096 /nfs/dbraw/zinc/05/20/96/341052096.db2.gz NTXZMFUGGSQNMK-RYWCDNDXSA-N 0 3 303.352 4.377 20 0 DIADHN CCC[C@@H](O)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131027344 341056688 /nfs/dbraw/zinc/05/66/88/341056688.db2.gz KTEXVXDMAPKEGE-RKDXNWHRSA-N 0 3 310.652 4.458 20 0 DIADHN CC[C@@H]1C[C@@H](CNCc2cccc(Cl)c2Cl)CCO1 ZINC000543728505 341055469 /nfs/dbraw/zinc/05/54/69/341055469.db2.gz AYYPHPDCQXPBHB-WCQYABFASA-N 0 3 302.245 4.288 20 0 DIADHN Cc1nnc(CN[C@@H](Cc2ccccc2)C2CCCCC2)s1 ZINC000543740207 341056054 /nfs/dbraw/zinc/05/60/54/341056054.db2.gz FSDLJMYDWPZWJH-KRWDZBQOSA-N 0 3 315.486 4.128 20 0 DIADHN FCCCNCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000543765617 341057669 /nfs/dbraw/zinc/05/76/69/341057669.db2.gz MUHQCRBOACQAFD-UHFFFAOYSA-N 0 3 301.283 4.415 20 0 DIADHN CSC[C@H](NC[C@H](C)SC)c1ccc(C(F)(F)F)cc1 ZINC000543773507 341057952 /nfs/dbraw/zinc/05/79/52/341057952.db2.gz ATLQFOGPGJFSEH-GWCFXTLKSA-N 0 3 323.449 4.451 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC000543776381 341058117 /nfs/dbraw/zinc/05/81/17/341058117.db2.gz PAIPTIZDFPAWLB-CZUORRHYSA-N 0 3 301.833 4.317 20 0 DIADHN CC[C@@H](O)CCCN[C@H](c1ccc(Cl)cc1F)C(C)C ZINC000543776380 341058161 /nfs/dbraw/zinc/05/81/61/341058161.db2.gz PAIPTIZDFPAWLB-CJNGLKHVSA-N 0 3 301.833 4.317 20 0 DIADHN COc1cc(C)c(CN(Cc2cccs2)C(C)C)c(C)n1 ZINC000543783990 341058700 /nfs/dbraw/zinc/05/87/00/341058700.db2.gz YNEHLHSUBIPEHZ-UHFFFAOYSA-N 0 3 304.459 4.179 20 0 DIADHN CC1(NCc2ccc(-c3ccc(Cl)cc3)o2)CCOCC1 ZINC000131174662 341063661 /nfs/dbraw/zinc/06/36/61/341063661.db2.gz GEZFHXFWXQANGB-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN COc1ccccc1[C@@H](N[C@@H]1CCCSC1)c1ccccc1 ZINC000544035299 341067412 /nfs/dbraw/zinc/06/74/12/341067412.db2.gz DQDSXQAQLTZGKH-APWZRJJASA-N 0 3 313.466 4.270 20 0 DIADHN Fc1ccc(C2CC(NCc3ccc4ccccc4n3)C2)cc1 ZINC000544042043 341067761 /nfs/dbraw/zinc/06/77/61/341067761.db2.gz NCCDWCKRQCYDCN-UHFFFAOYSA-N 0 3 306.384 4.410 20 0 DIADHN CC(C)(C)OC(=O)CCCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000544070510 341069300 /nfs/dbraw/zinc/06/93/00/341069300.db2.gz DMORHFGENHPVOZ-QGZVFWFLSA-N 0 3 321.436 4.475 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N(C)C[C@@H]2CC=CCC2)c1 ZINC000544112322 341070710 /nfs/dbraw/zinc/07/07/10/341070710.db2.gz ZRHHDLRHFKTAQR-LSDHHAIUSA-N 0 3 318.486 4.024 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3C[C@H](C)CC(C)(C)C3)o2)cc1 ZINC000544118475 341070812 /nfs/dbraw/zinc/07/08/12/341070812.db2.gz ULGNOESUGWDFDH-HUUCEWRRSA-N 0 3 313.445 4.474 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000544186277 341073544 /nfs/dbraw/zinc/07/35/44/341073544.db2.gz VIGRPNQUDJXRJV-ZDUSSCGKSA-N 0 3 320.383 4.488 20 0 DIADHN C[C@H](NCC1(C2CC2)CC1)c1sccc1Br ZINC000131320833 341076144 /nfs/dbraw/zinc/07/61/44/341076144.db2.gz QWIJRFOFDKXNFU-VIFPVBQESA-N 0 3 300.265 4.351 20 0 DIADHN CCCN(Cc1ncc(C(C)C)s1)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000544348059 341077159 /nfs/dbraw/zinc/07/71/59/341077159.db2.gz HPGHCLKAIAMGGN-XYPHTWIQSA-N 0 3 322.518 4.292 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)CCc2ccccc2)[C@H](c2ccco2)C1 ZINC000544397073 341080096 /nfs/dbraw/zinc/08/00/96/341080096.db2.gz YNPFGILGQKTUOC-NEWSRXKRSA-N 0 3 313.441 4.046 20 0 DIADHN Clc1cc(CN[C@H](c2cccs2)C2CC2)cc2c1OCO2 ZINC000544397756 341080198 /nfs/dbraw/zinc/08/01/98/341080198.db2.gz JVXKXOQCIHUWDR-HNNXBMFYSA-N 0 3 321.829 4.371 20 0 DIADHN CCN(CCO[C@H]1CCCCO1)[C@@H](C)c1cc2ccccc2o1 ZINC000544403907 341080539 /nfs/dbraw/zinc/08/05/39/341080539.db2.gz UIDZSRBIRWVKAW-KXBFYZLASA-N 0 3 317.429 4.359 20 0 DIADHN CCCC[C@H](NCc1nc(-c2ccsc2)no1)C1CCC1 ZINC000544415016 341081243 /nfs/dbraw/zinc/08/12/43/341081243.db2.gz GCIHFYYUVWGERX-AWEZNQCLSA-N 0 3 305.447 4.247 20 0 DIADHN COCC[C@@H](NCCCOc1c(C)cccc1C)c1ccco1 ZINC000544421744 341081538 /nfs/dbraw/zinc/08/15/38/341081538.db2.gz UPWRCUSHBLMQSN-QGZVFWFLSA-N 0 3 317.429 4.033 20 0 DIADHN COCC[C@H](NCCc1ccc2ccccc2c1)c1ccco1 ZINC000544420424 341081603 /nfs/dbraw/zinc/08/16/03/341081603.db2.gz FFKGJPQBQBIDBX-IBGZPJMESA-N 0 3 309.409 4.343 20 0 DIADHN CC(C)C[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)c1cccs1 ZINC000544448435 341082613 /nfs/dbraw/zinc/08/26/13/341082613.db2.gz UHBPFSGNQHWLRB-GOEBONIOSA-N 0 3 316.470 4.452 20 0 DIADHN CC(C)(NCCC(=O)Nc1cccc(Cl)c1)c1cccs1 ZINC000544469649 341083435 /nfs/dbraw/zinc/08/34/35/341083435.db2.gz WAHQIDRLAWPARQ-UHFFFAOYSA-N 0 3 322.861 4.255 20 0 DIADHN COCC[C@H](NCCCc1cccc(C)c1)c1ccc(C)o1 ZINC000544471799 341083669 /nfs/dbraw/zinc/08/36/69/341083669.db2.gz GIKYWFXZYWEKMN-SFHVURJKSA-N 0 3 301.430 4.196 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccnc(N3CCCC3)c2)c1 ZINC000131398254 341084391 /nfs/dbraw/zinc/08/43/91/341084391.db2.gz RWBLWXNPUXLZOA-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000131426188 341086012 /nfs/dbraw/zinc/08/60/12/341086012.db2.gz HYAMVXSZUJIEME-FQEVSTJZSA-N 0 3 323.484 4.485 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](c2cccs2)N(C)C)C2CCC2)o1 ZINC000544580373 341087094 /nfs/dbraw/zinc/08/70/94/341087094.db2.gz RSIJMDJUERKOHI-QAPCUYQASA-N 0 3 318.486 4.383 20 0 DIADHN FC(F)(F)CCCCN(Cc1cccs1)C[C@@H]1CCCO1 ZINC000131437189 341087705 /nfs/dbraw/zinc/08/77/05/341087705.db2.gz CAJDWALTQUUTFN-ZDUSSCGKSA-N 0 3 321.408 4.462 20 0 DIADHN C[C@H](CC1CCCCC1)CN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000544607557 341088533 /nfs/dbraw/zinc/08/85/33/341088533.db2.gz CFRXQISMOHGPDK-OPAMFIHVSA-N 0 3 321.530 4.462 20 0 DIADHN CCC(CC)CC(=O)NC[C@H]1CCCN(C)[C@@H]1c1cccs1 ZINC000131478786 341091127 /nfs/dbraw/zinc/09/11/27/341091127.db2.gz KNVOCALPUPZCRQ-QAPCUYQASA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccc(C(C)(C)NCCOc2cccc3ccccc32)cn1 ZINC000544760073 341093087 /nfs/dbraw/zinc/09/30/87/341093087.db2.gz LOBJUYXKEWNKDU-UHFFFAOYSA-N 0 3 320.436 4.447 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cc(OC)c(OC)cc1F ZINC000544829878 341095157 /nfs/dbraw/zinc/09/51/57/341095157.db2.gz OHEMBKJFJDFOAR-VHSXEESVSA-N 0 3 323.330 4.225 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)C(=O)N(C)Cc1ccccc1 ZINC000544895423 341097880 /nfs/dbraw/zinc/09/78/80/341097880.db2.gz CEGOYOPQTIMPIJ-ZWKOTPCHSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1nccn1CCNC(c1ccccc1C)c1ccccc1C ZINC000544895629 341097935 /nfs/dbraw/zinc/09/79/35/341097935.db2.gz JHCQYWGACHOXAW-UHFFFAOYSA-N 0 3 319.452 4.188 20 0 DIADHN c1ccc(-c2noc([C@@H]3CC34CCCC4)n2)c(CN2CCCC2)c1 ZINC000544906531 341098782 /nfs/dbraw/zinc/09/87/82/341098782.db2.gz RACCQVFJCCJPBG-KRWDZBQOSA-N 0 3 323.440 4.380 20 0 DIADHN COc1ccc(C[C@@H](C)CN(C)Cc2ccoc2C)cc1F ZINC000545013369 341104096 /nfs/dbraw/zinc/10/40/96/341104096.db2.gz YHXDMQAEDAONHI-CYBMUJFWSA-N 0 3 305.393 4.046 20 0 DIADHN CC[C@H](NCCc1ccc(C)nc1)c1cccc(Cl)c1F ZINC000545184503 341112165 /nfs/dbraw/zinc/11/21/65/341112165.db2.gz GWJINWDAKBHBFQ-INIZCTEOSA-N 0 3 306.812 4.466 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@H]3c4ccccc4CCC[C@@H]3C)cc12 ZINC000545196220 341112965 /nfs/dbraw/zinc/11/29/65/341112965.db2.gz OKIGBVIMZGEQMI-ORAYPTAESA-N 0 3 320.440 4.070 20 0 DIADHN Cc1cccn2cc(CN[C@@H]3CCC[C@@H]3c3ccc(F)cc3)nc12 ZINC000545241642 341116685 /nfs/dbraw/zinc/11/66/85/341116685.db2.gz NJEGTJHCERJFNV-RTBURBONSA-N 0 3 323.415 4.208 20 0 DIADHN COCC[C@@H](C)N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000545246339 341117070 /nfs/dbraw/zinc/11/70/70/341117070.db2.gz XNASMJPPPJRVBD-KDOFPFPSSA-N 0 3 303.833 4.444 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000132218512 341118506 /nfs/dbraw/zinc/11/85/06/341118506.db2.gz GISJQOZCZIHWDF-QZTJIDSGSA-N 0 3 309.457 4.424 20 0 DIADHN C[C@@H](NC1CC(c2ccccc2)C1)c1nc2ccccc2n1C ZINC000545274216 341118559 /nfs/dbraw/zinc/11/85/59/341118559.db2.gz HYDQZEKOXPEELR-ODIFPOPNSA-N 0 3 305.425 4.170 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1CC(c3ccccc3)C1)CCCO2 ZINC000545274131 341118596 /nfs/dbraw/zinc/11/85/96/341118596.db2.gz XFVMQUJSQFWHIF-LBXVMSDZSA-N 0 3 323.436 4.445 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@H](NCc1ccccc1)CCC2 ZINC000132370937 341121620 /nfs/dbraw/zinc/12/16/20/341121620.db2.gz VSZUTWONGYINOZ-OAHLLOKOSA-N 0 3 306.331 4.268 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(C(F)(F)F)cc2)cn1 ZINC000545353147 341122873 /nfs/dbraw/zinc/12/28/73/341122873.db2.gz PECRBQUPMIDXDY-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000545457459 341125875 /nfs/dbraw/zinc/12/58/75/341125875.db2.gz OWMXOFSMGWCFQO-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cnn(-c2ccccc2)c1 ZINC000545466798 341126427 /nfs/dbraw/zinc/12/64/27/341126427.db2.gz JPGLHWJWFRPPKU-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCCC(=O)Nc1ccc(F)cc1 ZINC000545517715 341128019 /nfs/dbraw/zinc/12/80/19/341128019.db2.gz UGOMVMNETFNHOM-OAHLLOKOSA-N 0 3 314.404 4.122 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@H](C)c1c(C)cccc1C ZINC000545519172 341128202 /nfs/dbraw/zinc/12/82/02/341128202.db2.gz BGPBSFXVHXXCCQ-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](C)c1c(C)cccc1C ZINC000545518256 341128223 /nfs/dbraw/zinc/12/82/23/341128223.db2.gz RJVQFVAEQJMYDC-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCCC[C@@H](CC)CN(C)CC(=O)Nc1c(C)cccc1C ZINC000545519710 341128427 /nfs/dbraw/zinc/12/84/27/341128427.db2.gz LJCJKEXZJHBGJK-QGZVFWFLSA-N 0 3 304.478 4.390 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2c(C)cccc2C)c1C ZINC000545520218 341128434 /nfs/dbraw/zinc/12/84/34/341128434.db2.gz AEPYXLCYOYALBC-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@@H](Cc1ccsc1)N1CCC(C(=O)c2ccccc2)CC1 ZINC000545550103 341129925 /nfs/dbraw/zinc/12/99/25/341129925.db2.gz QRDQFYRNLBFCFK-HNNXBMFYSA-N 0 3 313.466 4.274 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](c2cccs2)C(C)(C)CO)c1 ZINC000564294286 341141354 /nfs/dbraw/zinc/14/13/54/341141354.db2.gz APGAGCYFISJAEZ-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN C[C@@H](NCc1ccccn1)C(c1ccccc1)c1ccccc1 ZINC000074314645 341143439 /nfs/dbraw/zinc/14/34/39/341143439.db2.gz MNUCWHMDLGZZNM-QGZVFWFLSA-N 0 3 302.421 4.392 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(CCCOc2ccc(F)cc2)C1 ZINC000545884477 341144030 /nfs/dbraw/zinc/14/40/30/341144030.db2.gz BESVETNRMVNBBB-BEFAXECRSA-N 0 3 314.404 4.073 20 0 DIADHN COCCCCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000074350902 341144601 /nfs/dbraw/zinc/14/46/01/341144601.db2.gz FVEMXRUICIEMJJ-OAHLLOKOSA-N 0 3 312.251 4.013 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000545937563 341147227 /nfs/dbraw/zinc/14/72/27/341147227.db2.gz KBTDEEMANDDCAT-KBPBESRZSA-N 0 3 324.453 4.027 20 0 DIADHN C[C@H](O)[C@@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000545949489 341148012 /nfs/dbraw/zinc/14/80/12/341148012.db2.gz NQXUTPFTZFSMAB-MAUKXSAKSA-N 0 3 313.416 4.086 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H]2CCc3ccccc3C2)cs1 ZINC000545985201 341149622 /nfs/dbraw/zinc/14/96/22/341149622.db2.gz RMFSNQIYWJCEMK-BBRMVZONSA-N 0 3 300.471 4.475 20 0 DIADHN Cn1cc(C(C)(C)NCCCSc2ccccc2Cl)cn1 ZINC000546000740 341150355 /nfs/dbraw/zinc/15/03/55/341150355.db2.gz IYEDFYISDHQYBQ-UHFFFAOYSA-N 0 3 323.893 4.081 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1cncs1 ZINC000546166720 341155653 /nfs/dbraw/zinc/15/56/53/341155653.db2.gz CZTCODNXLSCQDL-PGUXBMHVSA-N 0 3 310.850 4.223 20 0 DIADHN CCN(CCC1CC1)CC(=O)Nc1ccccc1C(C)(C)C ZINC000546225815 341157893 /nfs/dbraw/zinc/15/78/93/341157893.db2.gz QAZTVMRBNUUAJM-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN OCC[C@@H](NCc1csc(C2CCCC2)n1)C1CCCCC1 ZINC000546227293 341157993 /nfs/dbraw/zinc/15/79/93/341157993.db2.gz SAPIBZYQRNPMOU-QGZVFWFLSA-N 0 3 322.518 4.222 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2CN2CCCC2)no1)C(C)(C)C ZINC000546238636 341158887 /nfs/dbraw/zinc/15/88/87/341158887.db2.gz JHCDAKFGCJEIRP-AWEZNQCLSA-N 0 3 313.445 4.482 20 0 DIADHN CS[C@H](C)c1nc(-c2ccccc2CN2CCCCC2)no1 ZINC000546406474 341167074 /nfs/dbraw/zinc/16/70/74/341167074.db2.gz SXFPDNBYOYVONT-CYBMUJFWSA-N 0 3 317.458 4.147 20 0 DIADHN CC[C@H](C)C[C@@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000075162418 341168871 /nfs/dbraw/zinc/16/88/71/341168871.db2.gz UMJOQTRCFTXTSB-UONOGXRCSA-N 0 3 316.445 4.049 20 0 DIADHN CC1(CO)CCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000546585974 341173504 /nfs/dbraw/zinc/17/35/04/341173504.db2.gz YEHDNAJBDVDVNM-UHFFFAOYSA-N 0 3 311.425 4.073 20 0 DIADHN Cc1cc(CN[C@@H](Cc2cccc(Cl)c2)CC(C)C)no1 ZINC000546606550 341174588 /nfs/dbraw/zinc/17/45/88/341174588.db2.gz KORRPLHMJMFJQB-MRXNPFEDSA-N 0 3 306.837 4.383 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1cccnc1 ZINC000133485773 341176565 /nfs/dbraw/zinc/17/65/65/341176565.db2.gz JJLSGHCOLFZPGQ-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CC[C@H](C)NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000546649278 341177190 /nfs/dbraw/zinc/17/71/90/341177190.db2.gz RWPWLCDZQKRHHZ-ZFWWWQNUSA-N 0 3 300.471 4.304 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@@H](C)[C@H]1CCCO1 ZINC000546649732 341177224 /nfs/dbraw/zinc/17/72/24/341177224.db2.gz FGNKLUCPEKVEBU-HNAYVOBHSA-N 0 3 313.441 4.284 20 0 DIADHN COC[C@H](N[C@@H](C)CC(C)(C)c1ccccc1)c1ccco1 ZINC000546657069 341177539 /nfs/dbraw/zinc/17/75/39/341177539.db2.gz FYFSEPHNXUMLQI-RDJZCZTQSA-N 0 3 301.430 4.313 20 0 DIADHN CC(C)c1cnc(CNC2CC(C)(c3ccccc3)C2)s1 ZINC000546668064 341178157 /nfs/dbraw/zinc/17/81/57/341178157.db2.gz YOVHJPGWDJYVIH-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN CC1(c2ccccc2)CC(NCc2cccc3c2OCCCO3)C1 ZINC000546668052 341178181 /nfs/dbraw/zinc/17/81/81/341178181.db2.gz WGQZZRMTPJHCOH-UHFFFAOYSA-N 0 3 323.436 4.058 20 0 DIADHN COc1ccsc1[C@@H](C)NC1CC(OCc2ccccc2)C1 ZINC000546731262 341180899 /nfs/dbraw/zinc/18/08/99/341180899.db2.gz DRMOPVMUPHAFJF-IUDNXUCKSA-N 0 3 317.454 4.155 20 0 DIADHN C[C@H](CCO)N(Cc1ccccc1)Cc1ccc2ncccc2c1 ZINC000546735817 341181006 /nfs/dbraw/zinc/18/10/06/341181006.db2.gz RPQWEOAMMVLGTE-QGZVFWFLSA-N 0 3 320.436 4.008 20 0 DIADHN COC[C@H](NCCCCSC)c1cccc(C(F)(F)F)c1 ZINC000133673180 341181413 /nfs/dbraw/zinc/18/14/13/341181413.db2.gz WEOWLNKKIQSCRG-AWEZNQCLSA-N 0 3 321.408 4.126 20 0 DIADHN CC[C@@H](O)CN(C)[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000075765713 341182399 /nfs/dbraw/zinc/18/23/99/341182399.db2.gz QZFZDTGOAUVNNX-GOEBONIOSA-N 0 3 318.486 4.191 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@@H]4CCC[C@@H]43)o2)cc1 ZINC000075768860 341182619 /nfs/dbraw/zinc/18/26/19/341182619.db2.gz MKXFTOJIJLWTMO-YOEHRIQHSA-N 0 3 312.413 4.115 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ccc(Cl)s1)C(=O)OC ZINC000546870669 341184349 /nfs/dbraw/zinc/18/43/49/341184349.db2.gz XZQATHCHZFAGCP-SNVBAGLBSA-N 0 3 303.855 4.032 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(OC(F)F)c(F)c1 ZINC000546879897 341185105 /nfs/dbraw/zinc/18/51/05/341185105.db2.gz OYOQBXWUFKNVCC-VIFPVBQESA-N 0 3 315.257 4.248 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCC(=O)N(C)[C@H](C)c1ccccc1 ZINC000546936441 341187569 /nfs/dbraw/zinc/18/75/69/341187569.db2.gz YUXLOMWWRMBSOX-ZWKOTPCHSA-N 0 3 324.468 4.174 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CNCc2ccc(F)cc2)cc1 ZINC000236807820 341201550 /nfs/dbraw/zinc/20/15/50/341201550.db2.gz UJHXFTPZOKPFHI-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN CCc1cnc(CCNCc2ccc(-c3ccccc3)o2)s1 ZINC000237013557 341205814 /nfs/dbraw/zinc/20/58/14/341205814.db2.gz LDUVRFJDQBMWEW-UHFFFAOYSA-N 0 3 312.438 4.298 20 0 DIADHN Cc1cc2cc(CNC[C@H](c3ccco3)N(C)C)oc2cc1C ZINC000237027864 341206157 /nfs/dbraw/zinc/20/61/57/341206157.db2.gz IBVGRHJQHXTRDD-QGZVFWFLSA-N 0 3 312.413 4.035 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N([C@@H](C)c2nc(C3CC3)no2)C1 ZINC000076385166 341206477 /nfs/dbraw/zinc/20/64/77/341206477.db2.gz CGNMUAFAJMWTSO-IJEWVQPXSA-N 0 3 311.429 4.194 20 0 DIADHN Cc1ccc(CNCc2cccc(OC(F)(F)F)c2)s1 ZINC000076397004 341206734 /nfs/dbraw/zinc/20/67/34/341206734.db2.gz OJCOLORLVCGHRX-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN Brc1ccc(C2(NCc3cccs3)CC2)cc1 ZINC000076399651 341206871 /nfs/dbraw/zinc/20/68/71/341206871.db2.gz VYRVBLQBMQGKPK-UHFFFAOYSA-N 0 3 308.244 4.290 20 0 DIADHN CO[C@@H](CNCc1cccc2cc[nH]c21)c1cccc(Cl)c1 ZINC000237091532 341207168 /nfs/dbraw/zinc/20/71/68/341207168.db2.gz SWQBQKROTIHWGG-KRWDZBQOSA-N 0 3 314.816 4.299 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCC([C@](C)(O)C(F)(F)F)CC2)C1 ZINC000547344603 341207528 /nfs/dbraw/zinc/20/75/28/341207528.db2.gz DMRLAODKJWUJSS-FMKPAKJESA-N 0 3 321.427 4.228 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCC([C@@](C)(O)C(F)(F)F)CC1 ZINC000547346176 341207631 /nfs/dbraw/zinc/20/76/31/341207631.db2.gz MAVKMDDPBGVWPW-XJKSGUPXSA-N 0 3 315.379 4.081 20 0 DIADHN Cc1cc2cc(CNC[C@@H](c3ccco3)N(C)C)oc2cc1C ZINC000237170974 341208455 /nfs/dbraw/zinc/20/84/55/341208455.db2.gz IBVGRHJQHXTRDD-KRWDZBQOSA-N 0 3 312.413 4.035 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@@H](C)Cc2ccsc2)o1 ZINC000547365100 341208991 /nfs/dbraw/zinc/20/89/91/341208991.db2.gz DATXCOROPDMYGP-BBRMVZONSA-N 0 3 305.443 4.256 20 0 DIADHN CCOc1ccc([C@H](N[C@H](C)CCOC)c2ccccc2)cc1 ZINC000547367060 341209277 /nfs/dbraw/zinc/20/92/77/341209277.db2.gz MKVBOMMMTZWPQI-OXQOHEQNSA-N 0 3 313.441 4.189 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccn(-c3ccccc3)n2)C1 ZINC000547375225 341209808 /nfs/dbraw/zinc/20/98/08/341209808.db2.gz JONXBYXZICHDOI-UHFFFAOYSA-N 0 3 317.436 4.082 20 0 DIADHN COc1cccc(NC2CCN(Cc3cccc(C)c3)CC2)c1 ZINC000237251525 341210034 /nfs/dbraw/zinc/21/00/34/341210034.db2.gz JVYPFKFQJXFVDM-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN Cc1cccn2c(CN[C@H](C)c3ccc4c(c3)CCCC4)cnc12 ZINC000237360159 341212551 /nfs/dbraw/zinc/21/25/51/341212551.db2.gz OQQSTHDCTRMUJR-MRXNPFEDSA-N 0 3 319.452 4.372 20 0 DIADHN C/C=C\C[C@@H](CO)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000547433255 341213023 /nfs/dbraw/zinc/21/30/23/341213023.db2.gz JNGFMVCAYPJWOJ-GWQWAINWSA-N 0 3 305.805 4.017 20 0 DIADHN COCCOc1cccc(CNC(C)(C)c2cccc(C)c2)c1 ZINC000076764292 341213789 /nfs/dbraw/zinc/21/37/89/341213789.db2.gz TYCOFTXJNNWQOI-UHFFFAOYSA-N 0 3 313.441 4.045 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2ccc3c(c2)CCCC3)s1 ZINC000076865389 341215052 /nfs/dbraw/zinc/21/50/52/341215052.db2.gz JJIIOERNLHMQOO-LBPRGKRZSA-N 0 3 300.471 4.490 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N(C)CCCc1ccccc1 ZINC000076988826 341216771 /nfs/dbraw/zinc/21/67/71/341216771.db2.gz UOADSWOENSMRTO-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN CC[C@H](CCO)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000152643403 341217434 /nfs/dbraw/zinc/21/74/34/341217434.db2.gz IQXZKMNCMCYHSL-CYBMUJFWSA-N 0 3 307.821 4.098 20 0 DIADHN CCOc1ccc([C@H](C)NC[C@@H](C)C(F)(F)F)cc1OCC ZINC000547506790 341218716 /nfs/dbraw/zinc/21/87/16/341218716.db2.gz GCAJNCHOWMGJRW-NEPJUHHUSA-N 0 3 319.367 4.333 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCO[C@@H]2CC(C)C)ccc1F ZINC000547508258 341218960 /nfs/dbraw/zinc/21/89/60/341218960.db2.gz IQQUUNRQFBWSSD-QANKJYHBSA-N 0 3 309.425 4.079 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCO[C@@H]2CC(C)C)ccc1F ZINC000547508256 341219007 /nfs/dbraw/zinc/21/90/07/341219007.db2.gz IQQUUNRQFBWSSD-FDQGKXFDSA-N 0 3 309.425 4.079 20 0 DIADHN C[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(-c2ccc(F)cc2)s1 ZINC000134633511 341220434 /nfs/dbraw/zinc/22/04/34/341220434.db2.gz SWOAMYJENKCLGG-HEHGZKQESA-N 0 3 317.429 4.142 20 0 DIADHN C[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(-c2ccc(F)cc2)s1 ZINC000134633532 341220497 /nfs/dbraw/zinc/22/04/97/341220497.db2.gz SWOAMYJENKCLGG-DVOMOZLQSA-N 0 3 317.429 4.142 20 0 DIADHN CCCN(Cc1ccc2[nH]cnc2c1)[C@@H]1CCCc2cccnc21 ZINC000547531710 341221073 /nfs/dbraw/zinc/22/10/73/341221073.db2.gz IZQLDIMCALGKAV-LJQANCHMSA-N 0 3 320.440 4.248 20 0 DIADHN CCCN(Cc1ccc2nc[nH]c2c1)[C@@H]1CCCc2cccnc21 ZINC000547531710 341221074 /nfs/dbraw/zinc/22/10/74/341221074.db2.gz IZQLDIMCALGKAV-LJQANCHMSA-N 0 3 320.440 4.248 20 0 DIADHN Fc1cc(CNCCCc2c[nH]c3ccccc23)cc(F)c1F ZINC000237846801 341221790 /nfs/dbraw/zinc/22/17/90/341221790.db2.gz WIIYVQCITAISGA-UHFFFAOYSA-N 0 3 318.342 4.308 20 0 DIADHN O=C(Nc1ccccc1)[C@H](NC[C@H]1CC=CCC1)c1ccccc1 ZINC000237918130 341222981 /nfs/dbraw/zinc/22/29/81/341222981.db2.gz BFHZDNCFYMPFFH-FXAWDEMLSA-N 0 3 320.436 4.312 20 0 DIADHN CC(C)COc1cccc(CN[C@H]2CCc3c2cccc3O)c1 ZINC000134810169 341224504 /nfs/dbraw/zinc/22/45/04/341224504.db2.gz BFILTBPZFHUFPH-IBGZPJMESA-N 0 3 311.425 4.204 20 0 DIADHN Cc1cc(C(=O)Nc2cccc(CN3CCCCC3)c2)oc1C ZINC000134949423 341227101 /nfs/dbraw/zinc/22/71/01/341227101.db2.gz RANBTBUZMYVPNF-UHFFFAOYSA-N 0 3 312.413 4.135 20 0 DIADHN CC(C)OCCCN1CCC[C@@H](c2nc3c(s2)CCCC3)C1 ZINC000152647629 341228013 /nfs/dbraw/zinc/22/80/13/341228013.db2.gz KHMFPORXQMYUFQ-OAHLLOKOSA-N 0 3 322.518 4.016 20 0 DIADHN CC(C)Cn1cc(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)cn1 ZINC000564330287 341230797 /nfs/dbraw/zinc/23/07/97/341230797.db2.gz MUQUNHIOCWMJHD-GASCZTMLSA-N 0 3 317.399 4.092 20 0 DIADHN C[C@H]1C[C@H](C)N1CCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000547769210 341231473 /nfs/dbraw/zinc/23/14/73/341231473.db2.gz MHPXWJXLQNLXQH-HOTGVXAUSA-N 0 3 324.424 4.290 20 0 DIADHN Fc1cccc(F)c1-c1csc(CNC2(C3CC3)CC2)n1 ZINC000547831322 341236093 /nfs/dbraw/zinc/23/60/93/341236093.db2.gz HFLBGSXMWNXXIM-UHFFFAOYSA-N 0 3 306.381 4.121 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccoc1 ZINC000547831539 341236111 /nfs/dbraw/zinc/23/61/11/341236111.db2.gz BVEOOISBADCCRZ-TZMCWYRMSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1nc2cccc(Cl)c2n1C ZINC000547830630 341236242 /nfs/dbraw/zinc/23/62/42/341236242.db2.gz WCDLSXCORJJZMO-LLVKDONJSA-N 0 3 319.861 4.009 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000547832614 341236402 /nfs/dbraw/zinc/23/64/02/341236402.db2.gz PCUNFBHPCJXLGY-HOCLYGCPSA-N 0 3 317.433 4.395 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCCC[C@@H]2C)c(Cl)c1 ZINC000548075512 341245834 /nfs/dbraw/zinc/24/58/34/341245834.db2.gz PDRYSLUJPTXGMG-BBRMVZONSA-N 0 3 322.880 4.406 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCCC[C@H]2C)c(Cl)c1 ZINC000548075513 341245863 /nfs/dbraw/zinc/24/58/63/341245863.db2.gz PDRYSLUJPTXGMG-CJNGLKHVSA-N 0 3 322.880 4.406 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCCC[C@H]2C)c(Cl)c1 ZINC000548075514 341245870 /nfs/dbraw/zinc/24/58/70/341245870.db2.gz PDRYSLUJPTXGMG-CZUORRHYSA-N 0 3 322.880 4.406 20 0 DIADHN O=C(Nc1cccc2cc[nH]c21)c1cccc(CN2CCCC2)c1 ZINC000548145037 341249238 /nfs/dbraw/zinc/24/92/38/341249238.db2.gz YXHNIOIZUIQMML-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN c1c(CNC2(c3ccccc3)CCC2)[nH]nc1-c1ccccc1 ZINC000135989058 341249654 /nfs/dbraw/zinc/24/96/54/341249654.db2.gz RILJJSVJWSZUHR-UHFFFAOYSA-N 0 3 303.409 4.246 20 0 DIADHN Cc1ncccc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000548176315 341251737 /nfs/dbraw/zinc/25/17/37/341251737.db2.gz JTCAJZNOAASTDA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN c1cc2cccc(N[C@H]3CCCN(Cc4ccccc4)C3)c2[nH]1 ZINC000548181456 341252066 /nfs/dbraw/zinc/25/20/66/341252066.db2.gz KHUZLBQYHHRREJ-SFHVURJKSA-N 0 3 305.425 4.244 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccc2ccccc2n1 ZINC000548324809 341256181 /nfs/dbraw/zinc/25/61/81/341256181.db2.gz TWUIVQCMDVRAGM-MSOLQXFVSA-N 0 3 300.471 4.389 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ccc2ccccc2n1 ZINC000548324811 341256188 /nfs/dbraw/zinc/25/61/88/341256188.db2.gz TWUIVQCMDVRAGM-ROUUACIJSA-N 0 3 300.471 4.389 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(F)ccc2C)cc1 ZINC000079324279 341256677 /nfs/dbraw/zinc/25/66/77/341256677.db2.gz ICKCTFZZLPVZJD-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)C1(C)CCCCC1)c1ccccc1 ZINC000548372812 341257378 /nfs/dbraw/zinc/25/73/78/341257378.db2.gz PJLARGMEFRIKNI-INIZCTEOSA-N 0 3 316.489 4.202 20 0 DIADHN Cc1ncc2c(n1)CCN(CC1CCC(c3ccccc3)CC1)C2 ZINC000548393969 341258617 /nfs/dbraw/zinc/25/86/17/341258617.db2.gz XCUBYTBQGULVOR-UHFFFAOYSA-N 0 3 321.468 4.117 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccccc1Br)C(F)(F)F ZINC000548485804 341263334 /nfs/dbraw/zinc/26/33/34/341263334.db2.gz DQOZHODBTVKKIO-BDAKNGLRSA-N 0 3 310.157 4.298 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2ccccc2[nH]1 ZINC000548485101 341263413 /nfs/dbraw/zinc/26/34/13/341263413.db2.gz HMVOXVYGMDWPKC-RDJZCZTQSA-N 0 3 305.425 4.016 20 0 DIADHN COc1cc(CN(Cc2ccc(F)cc2C)C2CC2)ccc1O ZINC000548488494 341263610 /nfs/dbraw/zinc/26/36/10/341263610.db2.gz MLOZSIUVVGXUDC-UHFFFAOYSA-N 0 3 315.388 4.013 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CCN[C@H](C)c1cccs1 ZINC000152651055 341266182 /nfs/dbraw/zinc/26/61/82/341266182.db2.gz GWGXDUKUDFFGKO-GFCCVEGCSA-N 0 3 322.861 4.389 20 0 DIADHN CC1(C)CC[C@H](CNCc2cnc(C3CCCCC3)s2)O1 ZINC000548627913 341267836 /nfs/dbraw/zinc/26/78/36/341267836.db2.gz YYGWYNYMZZRUJZ-CQSZACIVSA-N 0 3 308.491 4.238 20 0 DIADHN CCN(Cc1cn2cc(C)ccc2n1)[C@@H](C)Cc1ccsc1 ZINC000548627918 341267856 /nfs/dbraw/zinc/26/78/56/341267856.db2.gz AKHBOROGXNDQMP-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCc1cccc(Br)c1 ZINC000136866229 341268461 /nfs/dbraw/zinc/26/84/61/341268461.db2.gz XLNNIYXXUOZTIE-CYBMUJFWSA-N 0 3 319.246 4.080 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCc1cccc(Br)c1 ZINC000136914360 341268985 /nfs/dbraw/zinc/26/89/85/341268985.db2.gz AVCAOUHFCRVREL-CYBMUJFWSA-N 0 3 319.246 4.080 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@H]3CCCC[C@H]3C)o2)cc1 ZINC000548729944 341270854 /nfs/dbraw/zinc/27/08/54/341270854.db2.gz MEECVNYOIPBXQH-PBHICJAKSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1cccc(C)c1C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000137502634 341275092 /nfs/dbraw/zinc/27/50/92/341275092.db2.gz QIAVLRLIHGDRMT-QGZVFWFLSA-N 0 3 324.468 4.163 20 0 DIADHN CC(C)(CNCc1cccc(Br)c1)C(F)(F)F ZINC000548859106 341278541 /nfs/dbraw/zinc/27/85/41/341278541.db2.gz MTZRWAZDNYCRPR-UHFFFAOYSA-N 0 3 310.157 4.127 20 0 DIADHN C[C@H](N[C@@H]1CCC12CCOCC2)c1nc(C(C)(C)C)cs1 ZINC000548860698 341278632 /nfs/dbraw/zinc/27/86/32/341278632.db2.gz GFHFULJYHHKDOW-QWHCGFSZSA-N 0 3 308.491 4.050 20 0 DIADHN CCC(CC)(CC)NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000081156816 341280360 /nfs/dbraw/zinc/28/03/60/341280360.db2.gz VUKVANQSGADPDP-UHFFFAOYSA-N 0 3 307.825 4.448 20 0 DIADHN CCOc1cccc([C@H](C)NC[C@H]2CCC3(CCCC3)O2)c1 ZINC000548916879 341280801 /nfs/dbraw/zinc/28/08/01/341280801.db2.gz FZPURAIBYPVGOA-MAUKXSAKSA-N 0 3 303.446 4.228 20 0 DIADHN Cc1ccc(-c2nnc(CN(C)[C@H](C)C3CCCCC3)o2)cc1 ZINC000548930145 341281372 /nfs/dbraw/zinc/28/13/72/341281372.db2.gz OXHRXEPPPQTUQK-OAHLLOKOSA-N 0 3 313.445 4.446 20 0 DIADHN COc1cccc(C2(F)CCN(CCSC(C)C)CC2)c1 ZINC000548935850 341281770 /nfs/dbraw/zinc/28/17/70/341281770.db2.gz ACJRMIACYHSOBW-UHFFFAOYSA-N 0 3 311.466 4.097 20 0 DIADHN CCC(CC)(CCO)NCc1nc2ccccc2c(C)c1Cl ZINC000548940971 341281906 /nfs/dbraw/zinc/28/19/06/341281906.db2.gz XWPPNUQBVMYJMX-UHFFFAOYSA-N 0 3 320.864 4.227 20 0 DIADHN Cc1ccc(CNCc2ccc(C)cc2-c2ccnn2C)s1 ZINC000548975172 341282667 /nfs/dbraw/zinc/28/26/67/341282667.db2.gz VRRXJPZDHIDDSW-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN CCc1ccccc1CNCc1ccc(C)cc1-c1ccnn1C ZINC000548980387 341282796 /nfs/dbraw/zinc/28/27/96/341282796.db2.gz JNPISQWUKZWAHK-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN CCCCN(C(=O)c1ccc(CN2CCCC2)cc1)[C@@H](C)CC ZINC000549035182 341284124 /nfs/dbraw/zinc/28/41/24/341284124.db2.gz UWLXQEQPPAKFMZ-KRWDZBQOSA-N 0 3 316.489 4.323 20 0 DIADHN Fc1cccc([C@H]2CCN(C[C@H]3CCC4(CCCC4)O3)C2)c1 ZINC000549137109 341286716 /nfs/dbraw/zinc/28/67/16/341286716.db2.gz KDQSXRJRAXOEMH-FUHWJXTLSA-N 0 3 303.421 4.107 20 0 DIADHN Fc1cccc([C@@H]2CCN(C[C@@H]3CCC4(CCCC4)O3)C2)c1 ZINC000549137108 341286729 /nfs/dbraw/zinc/28/67/29/341286729.db2.gz KDQSXRJRAXOEMH-AEFFLSMTSA-N 0 3 303.421 4.107 20 0 DIADHN c1csc(-c2nc(CNC(C3CCC3)C3CCC3)co2)c1 ZINC000549143237 341287209 /nfs/dbraw/zinc/28/72/09/341287209.db2.gz WCKUASYRVPRVCP-UHFFFAOYSA-N 0 3 302.443 4.462 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)[C@H](C)C1CCCCC1 ZINC000549159851 341287389 /nfs/dbraw/zinc/28/73/89/341287389.db2.gz RTXXGKPNZYLDRN-OAHLLOKOSA-N 0 3 302.462 4.088 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H]2CSC2(C)C)cc1OCC ZINC000549227135 341289057 /nfs/dbraw/zinc/28/90/57/341289057.db2.gz BERFHYIYRGQHEU-WBMJQRKESA-N 0 3 309.475 4.029 20 0 DIADHN CCCC(=O)NC[C@H]1CCCN([C@H](C)c2ccccc2Cl)C1 ZINC000245392387 341290722 /nfs/dbraw/zinc/29/07/22/341290722.db2.gz TUWGCYWTRMTPIR-HUUCEWRRSA-N 0 3 322.880 4.029 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCc2ccnn2CC)o1 ZINC000549349968 341292167 /nfs/dbraw/zinc/29/21/67/341292167.db2.gz HZMWEHVANZSXGZ-QAPCUYQASA-N 0 3 315.461 4.074 20 0 DIADHN Cn1cc(-c2ccc(CN3CCCc4ccccc4C3)s2)cn1 ZINC000549401078 341293142 /nfs/dbraw/zinc/29/31/42/341293142.db2.gz HNYRIKHUIOCOKI-UHFFFAOYSA-N 0 3 323.465 4.097 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000549408191 341293673 /nfs/dbraw/zinc/29/36/73/341293673.db2.gz LBCFOBPUOCDJTD-CJNGLKHVSA-N 0 3 308.491 4.395 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@@H](OC)c2ccccc2Cl)nc1 ZINC000549538038 341296947 /nfs/dbraw/zinc/29/69/47/341296947.db2.gz WNWCUOXUGWVAIG-FZKQIMNGSA-N 0 3 318.848 4.163 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2N[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000245507130 341298229 /nfs/dbraw/zinc/29/82/29/341298229.db2.gz PLRWFWGMKMKVAJ-HBUWYVDXSA-N 0 3 323.440 4.385 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2N[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000245507131 341298233 /nfs/dbraw/zinc/29/82/33/341298233.db2.gz PLRWFWGMKMKVAJ-LBTNJELSSA-N 0 3 323.440 4.385 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1cccs1 ZINC000549610811 341298888 /nfs/dbraw/zinc/29/88/88/341298888.db2.gz QAWFVYYLHNMXIE-IUODEOHRSA-N 0 3 308.878 4.355 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CC[C@H]3CCCC[C@@H]3C2)cc1 ZINC000549839324 341304815 /nfs/dbraw/zinc/30/48/15/341304815.db2.gz FFKRQXASMKMCDJ-KZNAEPCWSA-N 0 3 314.473 4.293 20 0 DIADHN COC1(CCNCc2ccc(F)c(Cl)c2Cl)CCC1 ZINC000549842964 341304894 /nfs/dbraw/zinc/30/48/94/341304894.db2.gz FFIIPWGRWNOZIZ-UHFFFAOYSA-N 0 3 306.208 4.181 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cncn1Cc1ccccc1 ZINC000549867986 341305576 /nfs/dbraw/zinc/30/55/76/341305576.db2.gz LNYBEWZITGFYPL-UHFFFAOYSA-N 0 3 319.452 4.265 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccc2Cl)o1)C(C)(C)O ZINC000549911482 341306368 /nfs/dbraw/zinc/30/63/68/341306368.db2.gz RHUMNEDQMRIELZ-MRXNPFEDSA-N 0 3 307.821 4.239 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)s1 ZINC000549935380 341307398 /nfs/dbraw/zinc/30/73/98/341307398.db2.gz BYHCMCUFOKXNQJ-HAQNSBGRSA-N 0 3 306.862 4.395 20 0 DIADHN CC(C)N1CCCC[C@@H]1CSc1nnc(-c2cccs2)o1 ZINC000550012886 341308842 /nfs/dbraw/zinc/30/88/42/341308842.db2.gz FJQIRJOYEQTZBF-GFCCVEGCSA-N 0 3 323.487 4.153 20 0 DIADHN FC[C@@H]1CCCN1CCCCOc1cccc(C(F)(F)F)c1 ZINC000550028613 341308890 /nfs/dbraw/zinc/30/88/90/341308890.db2.gz HLRVZHLMYJUHBQ-AWEZNQCLSA-N 0 3 319.342 4.298 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CC(C)(C)[C@@H]3CCC[C@H]32)c(C)c1 ZINC000550073278 341309576 /nfs/dbraw/zinc/30/95/76/341309576.db2.gz VHDKIXJTZHKZQF-IAGOWNOFSA-N 0 3 314.473 4.061 20 0 DIADHN CSCc1cnc(CN[C@@H]2CCC[C@@H]2c2ccccc2)s1 ZINC000550142421 341310925 /nfs/dbraw/zinc/31/09/25/341310925.db2.gz OEENRWYENXMRPS-HZPDHXFCSA-N 0 3 318.511 4.432 20 0 DIADHN CCc1nocc1CNCCCc1ccc(Cl)cc1Cl ZINC000550157226 341311437 /nfs/dbraw/zinc/31/14/37/341311437.db2.gz VXVURSQUPUFVRA-UHFFFAOYSA-N 0 3 313.228 4.266 20 0 DIADHN Cc1sccc1CN[C@H]1CCO[C@@H]1c1ccc(Cl)cc1 ZINC000550199075 341312226 /nfs/dbraw/zinc/31/22/26/341312226.db2.gz SSHUZGZSBRRKHP-JKSUJKDBSA-N 0 3 307.846 4.330 20 0 DIADHN COc1cc(CNCc2coc3ccccc23)ccc1SC ZINC000550225886 341312911 /nfs/dbraw/zinc/31/29/11/341312911.db2.gz XBJLWKJZTGGECF-UHFFFAOYSA-N 0 3 313.422 4.453 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)CC1CC(C)(C)C1)c1ccccc1 ZINC000550260619 341313718 /nfs/dbraw/zinc/31/37/18/341313718.db2.gz IFAIMPWHXVWTEN-OAHLLOKOSA-N 0 3 316.489 4.058 20 0 DIADHN Cc1cc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)on1 ZINC000550367039 341315766 /nfs/dbraw/zinc/31/57/66/341315766.db2.gz AOLKEBLPYHEGEL-KRWDZBQOSA-N 0 3 306.837 4.383 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)[C@H](C)C2 ZINC000245902695 341317704 /nfs/dbraw/zinc/31/77/04/341317704.db2.gz DVGKYLMNDIAZFE-PJIJBLCYSA-N 0 3 323.440 4.070 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCCO[C@@H](C(C)C)C2)c1C ZINC000550558860 341318540 /nfs/dbraw/zinc/31/85/40/341318540.db2.gz NKVQYJUELWIPGA-LJQANCHMSA-N 0 3 317.473 4.061 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccccc1F ZINC000245943213 341319128 /nfs/dbraw/zinc/31/91/28/341319128.db2.gz VHPHKNAGTUXCNI-PDSMFRHLSA-N 0 3 321.342 4.285 20 0 DIADHN C[C@H](NCC1(c2cccc(Cl)c2)CC1)c1ccncc1F ZINC000550665069 341320831 /nfs/dbraw/zinc/32/08/31/341320831.db2.gz DAZKOYCBADNQBS-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](C(F)(F)F)C1)c1ccncc1F ZINC000550678446 341321087 /nfs/dbraw/zinc/32/10/87/341321087.db2.gz GODWPFOJLJEDBZ-TUAOUCFPSA-N 0 3 304.331 4.240 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@H]1C)c1ccc(C(=O)OC)cc1 ZINC000550700723 341321563 /nfs/dbraw/zinc/32/15/63/341321563.db2.gz MAUIOAKDHCAMKJ-VOBQZIQPSA-N 0 3 323.436 4.447 20 0 DIADHN CC(C)[C@@H]1OCCC[C@@H]1CN[C@H](c1ccccc1)c1ccccn1 ZINC000246002628 341321634 /nfs/dbraw/zinc/32/16/34/341321634.db2.gz RXXQDNAEPQAQFE-NRSPTQNISA-N 0 3 324.468 4.212 20 0 DIADHN c1nc(CCN2CCCC[C@@H]2c2cc3ccccc3[nH]2)cs1 ZINC000550714286 341321903 /nfs/dbraw/zinc/32/19/03/341321903.db2.gz RVNGOUMGEBVHHR-GOSISDBHSA-N 0 3 311.454 4.394 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)o1 ZINC000246013024 341322085 /nfs/dbraw/zinc/32/20/85/341322085.db2.gz IMIRMTIGTRDHOJ-MJEQTWJJSA-N 0 3 305.805 4.422 20 0 DIADHN CCCN(Cc1ccccc1)[C@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000550730908 341322102 /nfs/dbraw/zinc/32/21/02/341322102.db2.gz YBFOUNINTRCUCI-FGTMMUONSA-N 0 3 316.489 4.077 20 0 DIADHN CCCN(Cc1ccccc1)[C@@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C ZINC000550730910 341322136 /nfs/dbraw/zinc/32/21/36/341322136.db2.gz YBFOUNINTRCUCI-KSZLIROESA-N 0 3 316.489 4.077 20 0 DIADHN CN1CC[C@@H](Nc2ccc(F)c(F)c2)C[C@@H]1c1ccccc1 ZINC000550758405 341322664 /nfs/dbraw/zinc/32/26/64/341322664.db2.gz AGODWKKOGQWZNA-CRAIPNDOSA-N 0 3 302.368 4.212 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccc(F)c2ccccc21 ZINC000550819008 341323588 /nfs/dbraw/zinc/32/35/88/341323588.db2.gz LMNWFMFMZNODAR-CQSZACIVSA-N 0 3 319.383 4.477 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(C(F)(F)F)cc1)c1cc[nH]c(=O)c1 ZINC000550857602 341324743 /nfs/dbraw/zinc/32/47/43/341324743.db2.gz CFOCWUXIZQFYCP-WDEREUQCSA-N 0 3 310.319 4.218 20 0 DIADHN C[C@H](NC[C@@H](CO)C1CCCCC1)c1csc(Cl)c1 ZINC000550895688 341326041 /nfs/dbraw/zinc/32/60/41/341326041.db2.gz ROBJEXYMIDGLGC-FZMZJTMJSA-N 0 3 301.883 4.241 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C(C)C)ccn1 ZINC000550932143 341327216 /nfs/dbraw/zinc/32/72/16/341327216.db2.gz UCVKSFMCYKPNKZ-FZKQIMNGSA-N 0 3 302.393 4.277 20 0 DIADHN COc1cc([C@H](C)N[C@@H](c2ccc(F)c(C)c2)C2CC2)ccn1 ZINC000550939094 341327486 /nfs/dbraw/zinc/32/74/86/341327486.db2.gz CWVLNCWAFXLJFI-ORAYPTAESA-N 0 3 314.404 4.340 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCc3c2cccc3Cl)ccn1 ZINC000550940006 341327516 /nfs/dbraw/zinc/32/75/16/341327516.db2.gz QEAIYNJZKMJUPT-ZBEGNZNMSA-N 0 3 302.805 4.082 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2cccc(C3CC3)c2)cc1 ZINC000551030038 341329703 /nfs/dbraw/zinc/32/97/03/341329703.db2.gz DUKSZHDIDBHMIS-INIZCTEOSA-N 0 3 324.468 4.473 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1N[C@H](C)c1nc2ccccc2n1C ZINC000246153590 341330010 /nfs/dbraw/zinc/33/00/10/341330010.db2.gz TVCSVIFKBJUCKS-FRFSOERESA-N 0 3 317.502 4.288 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)n1)c1ccc(F)c2ccccc21 ZINC000551085948 341331168 /nfs/dbraw/zinc/33/11/68/341331168.db2.gz JVSSIAGSOFOUBZ-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@H](C)c1ncc(Br)cn1 ZINC000551211867 341334062 /nfs/dbraw/zinc/33/40/62/341334062.db2.gz YOPTWUZGKKCQBQ-LOWVWBTDSA-N 0 3 314.271 4.105 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)C1CC1)c1nc2ccccc2n1C ZINC000551227351 341334442 /nfs/dbraw/zinc/33/44/42/341334442.db2.gz OHXZIFQRRREOAY-YJBOKZPZSA-N 0 3 319.452 4.418 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1)C1CC1)c1nc2ccccc2n1C ZINC000551227350 341334455 /nfs/dbraw/zinc/33/44/55/341334455.db2.gz OHXZIFQRRREOAY-QAPCUYQASA-N 0 3 319.452 4.418 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CC[C@@H](c4ccc(F)cc4)C3)cn2c1 ZINC000551231005 341334716 /nfs/dbraw/zinc/33/47/16/341334716.db2.gz HKIQANPWAHISLY-SJLPKXTDSA-N 0 3 323.415 4.208 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC[C@@H](c1ccccc1)C(F)(F)F ZINC000551241986 341335143 /nfs/dbraw/zinc/33/51/43/341335143.db2.gz XWVPRPNGAHRMKC-DOMZBBRYSA-N 0 3 323.362 4.172 20 0 DIADHN COC1(CCN[C@H](C)c2ccccc2OC(F)(F)F)CCC1 ZINC000551246676 341335481 /nfs/dbraw/zinc/33/54/81/341335481.db2.gz VUPVAKHBZCAOMG-GFCCVEGCSA-N 0 3 317.351 4.195 20 0 DIADHN COc1cc([C@H](C)N[C@H]2c3ccccc3CCC[C@@H]2C)ccn1 ZINC000551279761 341336889 /nfs/dbraw/zinc/33/68/89/341336889.db2.gz JFVGTOLLCSYIFL-AUSJPIAWSA-N 0 3 310.441 4.455 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1nccn1C ZINC000551296082 341337526 /nfs/dbraw/zinc/33/75/26/341337526.db2.gz GBHUAYZAOPCFSX-RDTXWAMCSA-N 0 3 319.880 4.382 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000551307527 341337943 /nfs/dbraw/zinc/33/79/43/341337943.db2.gz FBWRCZZXVHUJPX-NXEZZACHSA-N 0 3 317.296 4.383 20 0 DIADHN CSc1ccc([C@@H](CN[C@H](C)c2ccc(C)o2)N(C)C)cc1 ZINC000551310826 341338156 /nfs/dbraw/zinc/33/81/56/341338156.db2.gz MTVDQUIQMUHYAG-RHSMWYFYSA-N 0 3 318.486 4.263 20 0 DIADHN FC1(c2cccnc2)CCN(CCOC2CCCCCC2)CC1 ZINC000551465598 341342409 /nfs/dbraw/zinc/34/24/09/341342409.db2.gz NAKQGGJSEPZPQR-UHFFFAOYSA-N 0 3 320.452 4.082 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCC[C@H]2NCc2ccon2)c1 ZINC000551521554 341343710 /nfs/dbraw/zinc/34/37/10/341343710.db2.gz KDWREAXYNAECNB-HUUCEWRRSA-N 0 3 310.319 4.119 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCC[C@H]2NCc2ccon2)c1 ZINC000551521555 341343773 /nfs/dbraw/zinc/34/37/73/341343773.db2.gz KDWREAXYNAECNB-LSDHHAIUSA-N 0 3 310.319 4.119 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCC[C@H]3c3ccc(F)cc3)cn2c1 ZINC000551537060 341344704 /nfs/dbraw/zinc/34/47/04/341344704.db2.gz ZRMJIOZXHQTDAP-RBUKOAKNSA-N 0 3 323.415 4.208 20 0 DIADHN CCCCOc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)cc1 ZINC000551571208 341345877 /nfs/dbraw/zinc/34/58/77/341345877.db2.gz KWLSDDLQKVPOBG-RTBURBONSA-N 0 3 303.446 4.009 20 0 DIADHN FC(F)Oc1cc(CN[C@H](CC2CC2)c2ccccc2)ccn1 ZINC000551569553 341345917 /nfs/dbraw/zinc/34/59/17/341345917.db2.gz FYPIUAKIIUCUPJ-MRXNPFEDSA-N 0 3 318.367 4.314 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CCC=C(c2ccccc2)C1 ZINC000551709943 341349635 /nfs/dbraw/zinc/34/96/35/341349635.db2.gz LHNODYQOSLVNIG-UHFFFAOYSA-N 0 3 320.436 4.113 20 0 DIADHN CO[C@H](CN1CCC(CC(F)(F)F)CC1)c1ccccc1 ZINC000551710071 341349684 /nfs/dbraw/zinc/34/96/84/341349684.db2.gz WNRQGQKFRMJCNG-OAHLLOKOSA-N 0 3 301.352 4.039 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@@H]2NCc2ncccn2)cc1 ZINC000551719884 341349887 /nfs/dbraw/zinc/34/98/87/341349887.db2.gz GFGSILLOZOWDCM-SJORKVTESA-N 0 3 315.848 4.336 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(c2c[nH]nc2C)CC1 ZINC000551722468 341350015 /nfs/dbraw/zinc/35/00/15/341350015.db2.gz IEBBAJSIIZZTTO-SFHVURJKSA-N 0 3 301.409 4.188 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCCN2CC=CCC2)cc1Cl ZINC000551779316 341350967 /nfs/dbraw/zinc/35/09/67/341350967.db2.gz YSMUDMGSJLHQFN-HNNXBMFYSA-N 0 3 322.880 4.040 20 0 DIADHN CCC1CC(N[C@H](CO)c2ccc(Cl)cc2C(F)(F)F)C1 ZINC000551778769 341350981 /nfs/dbraw/zinc/35/09/81/341350981.db2.gz ILTIAVSOLLYFKF-PFMWSLTASA-N 0 3 321.770 4.170 20 0 DIADHN C/C=C/C[C@H](CO)N[C@@H](C)c1ccc(OC(C)C)c(Cl)c1 ZINC000551778405 341351040 /nfs/dbraw/zinc/35/10/40/341351040.db2.gz FVEQTITWHSAGDS-XPKDBEDXSA-N 0 3 311.853 4.105 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)n(C)n1 ZINC000551777456 341351064 /nfs/dbraw/zinc/35/10/64/341351064.db2.gz KYPJYYCEIUDJEK-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2ccnc(OC)c2)cc1 ZINC000551794177 341351972 /nfs/dbraw/zinc/35/19/72/341351972.db2.gz YKUVQOFOBMBWNY-OAHLLOKOSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2cnc(C(C)(C)C)nc2)c1 ZINC000551799035 341352138 /nfs/dbraw/zinc/35/21/38/341352138.db2.gz GOHCJZPSVQQIRK-UHFFFAOYSA-N 0 3 311.473 4.150 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC(F)F)c1)[C@H](C)C2 ZINC000551811245 341352594 /nfs/dbraw/zinc/35/25/94/341352594.db2.gz BHMFWXUFZIBKRP-PXAZEXFGSA-N 0 3 318.367 4.015 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cnc3n1CCCC3)CCC2 ZINC000551812651 341352776 /nfs/dbraw/zinc/35/27/76/341352776.db2.gz OWHHUWMWFNZGBA-QGZVFWFLSA-N 0 3 315.848 4.040 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1c(F)cccc1N1CCCC1 ZINC000551828482 341353293 /nfs/dbraw/zinc/35/32/93/341353293.db2.gz QUWMJKVBJBJGBZ-AWEZNQCLSA-N 0 3 318.461 4.208 20 0 DIADHN Cc1nc2c(s1)[C@H](NCCO[C@H]1CCCC[C@H]1C)CCC2 ZINC000247113553 341361088 /nfs/dbraw/zinc/36/10/88/341361088.db2.gz QSCXNQLNSVDYGG-XPKDYRNWSA-N 0 3 308.491 4.014 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCO1)c1nc(-c2ccccc2)cs1 ZINC000247103891 341361113 /nfs/dbraw/zinc/36/11/13/341361113.db2.gz QDBIJXJTAKEGBM-HEHGZKQESA-N 0 3 302.443 4.028 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@H](C)[C@H]1CCCO1 ZINC000247211074 341362151 /nfs/dbraw/zinc/36/21/51/341362151.db2.gz WYSSFHVJXVMSLO-DVOMOZLQSA-N 0 3 316.470 4.337 20 0 DIADHN Cc1ccnc([C@H](C)NCC2(c3cccc(Cl)c3)CC2)c1 ZINC000552043684 341364434 /nfs/dbraw/zinc/36/44/34/341364434.db2.gz YMRNNLGGBAXBNE-AWEZNQCLSA-N 0 3 300.833 4.426 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1ncc[nH]1)c1ccc(Cl)cc1 ZINC000552045387 341364674 /nfs/dbraw/zinc/36/46/74/341364674.db2.gz FTSSHAWJMLROFM-ZDUSSCGKSA-N 0 3 305.853 4.472 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1ccc(F)cc1F)c1ccc(C)o1 ZINC000552045361 341364726 /nfs/dbraw/zinc/36/47/26/341364726.db2.gz FDTLASHIPKVEFK-YVEFUNNKSA-N 0 3 323.383 4.165 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)CCc2ccc(F)cc2F)n1 ZINC000552045927 341364782 /nfs/dbraw/zinc/36/47/82/341364782.db2.gz TUDUZHHQSQSXAT-PWSUYJOCSA-N 0 3 310.413 4.402 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC(F)(F)[C@H](C)C1 ZINC000552052074 341365316 /nfs/dbraw/zinc/36/53/16/341365316.db2.gz QLORJGJRNOOWEF-YVGNARHYSA-N 0 3 309.400 4.213 20 0 DIADHN FCC[C@H]1CCC[C@@H]1NCc1c(F)cccc1OC(F)F ZINC000552056827 341365582 /nfs/dbraw/zinc/36/55/82/341365582.db2.gz UVTRQACTNNZSQK-MFKMUULPSA-N 0 3 305.315 4.045 20 0 DIADHN CCC1(CC)CCN(Cc2cnc(-c3ccncc3)s2)C1 ZINC000552059486 341365943 /nfs/dbraw/zinc/36/59/43/341365943.db2.gz FHNZDSRWTCDEOY-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCOc1c(C)cccc1C ZINC000552059153 341365986 /nfs/dbraw/zinc/36/59/86/341365986.db2.gz PHQRMYFESQDHKN-UHFFFAOYSA-N 0 3 316.445 4.202 20 0 DIADHN CC(C)CSCCCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000552061435 341366095 /nfs/dbraw/zinc/36/60/95/341366095.db2.gz LIAMOYSDWUXJAO-MRXNPFEDSA-N 0 3 309.523 4.400 20 0 DIADHN c1cn(-c2ccccc2)c(CNC[C@@H](c2ccccc2)C2CC2)n1 ZINC000552060675 341366097 /nfs/dbraw/zinc/36/60/97/341366097.db2.gz DCVWVNMKSGJUOD-FQEVSTJZSA-N 0 3 317.436 4.156 20 0 DIADHN CC(C)c1ncc(CN[C@H]2C[C@H](c3ccc(F)cc3)C2)s1 ZINC000552071381 341367412 /nfs/dbraw/zinc/36/74/12/341367412.db2.gz AFMGSIXWKXTSFN-CTYIDZIISA-N 0 3 304.434 4.441 20 0 DIADHN C[C@H]1CCN(Cc2cnn3ccccc23)[C@@H]1c1cccc(F)c1 ZINC000552076718 341367978 /nfs/dbraw/zinc/36/79/78/341367978.db2.gz BAZZDPSJUCUBOL-LIRRHRJNSA-N 0 3 309.388 4.057 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@@H](C)c1cnccc1C ZINC000552087948 341368681 /nfs/dbraw/zinc/36/86/81/341368681.db2.gz XSTOZBSNISZZLL-RCVZYCBYSA-N 0 3 324.468 4.392 20 0 DIADHN COc1cccc(C2(NC3CC(OCc4ccccc4)C3)CC2)c1 ZINC000552089511 341368976 /nfs/dbraw/zinc/36/89/76/341368976.db2.gz MAKABXCNVZZLIN-UHFFFAOYSA-N 0 3 323.436 4.022 20 0 DIADHN C[C@H](CCc1ccccc1F)N[C@@H](C)c1c(F)cncc1F ZINC000552093100 341369173 /nfs/dbraw/zinc/36/91/73/341369173.db2.gz WNABFKXGAYBOIC-NEPJUHHUSA-N 0 3 308.347 4.171 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1csc(-c2ccccn2)n1 ZINC000247708889 341369447 /nfs/dbraw/zinc/36/94/47/341369447.db2.gz ZREJDKWCTXMEPK-CKEIUWERSA-N 0 3 313.470 4.358 20 0 DIADHN C[C@H](NC[C@H]1CCOC[C@@H]1C)c1cc(F)c(Cl)cc1Cl ZINC000552098756 341369734 /nfs/dbraw/zinc/36/97/34/341369734.db2.gz NRDPLPGCYQXPGF-GARJFASQSA-N 0 3 320.235 4.456 20 0 DIADHN C[C@@H](NCc1cc(F)c(F)cc1Br)C1CCC1 ZINC000552099213 341369829 /nfs/dbraw/zinc/36/98/29/341369829.db2.gz AVGLKMKDQOEXIR-MRVPVSSYSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1cc(C)c(CNC[C@@H](c2ccco2)N2CCCC2)c(C)c1 ZINC000247855027 341370738 /nfs/dbraw/zinc/37/07/38/341370738.db2.gz CFAWPEAVEIQEKX-IBGZPJMESA-N 0 3 312.457 4.132 20 0 DIADHN C[C@@H](CN[C@H](C)c1cc(F)c(Cl)cc1Cl)C[C@H](C)O ZINC000248128197 341373567 /nfs/dbraw/zinc/37/35/67/341373567.db2.gz ODVFOKQQEIQLQP-KXUCPTDWSA-N 0 3 308.224 4.190 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccccn3)n2)[C@@H]2CCCC[C@@H]21 ZINC000248414620 341377130 /nfs/dbraw/zinc/37/71/30/341377130.db2.gz HDLVJCHBYZSUKE-FRFSOERESA-N 0 3 313.470 4.216 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@H](C)[C@@H]3CCCC[C@H]32)c(C)c1 ZINC000248454500 341378218 /nfs/dbraw/zinc/37/82/18/341378218.db2.gz ZKVUGEMCGUHILQ-OKZBNKHCSA-N 0 3 314.473 4.061 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCCC[C@H]2C)c(C)c1 ZINC000248532382 341379197 /nfs/dbraw/zinc/37/91/97/341379197.db2.gz QYAZPUWUKKAWDW-RHSMWYFYSA-N 0 3 302.462 4.061 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C1CCCC1)c1ccc(Cl)cc1 ZINC000552244400 341380902 /nfs/dbraw/zinc/38/09/02/341380902.db2.gz ZDSAFLVOLJSBNN-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2cc(C)ccn2)N2CCCC2)cc1 ZINC000552246019 341381024 /nfs/dbraw/zinc/38/10/24/341381024.db2.gz FTLRSQLOOKWYJD-WIYYLYMNSA-N 0 3 323.484 4.186 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2ccccc2Br)c1 ZINC000552247754 341381122 /nfs/dbraw/zinc/38/11/22/341381122.db2.gz YYQYBJSTMOIKTI-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1nnc(-c2ccccc2)s1 ZINC000248643172 341382598 /nfs/dbraw/zinc/38/25/98/341382598.db2.gz YRJNFHKNCCEONO-FVQBIDKESA-N 0 3 313.470 4.358 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1ncc[nH]1 ZINC000552275168 341383248 /nfs/dbraw/zinc/38/32/48/341383248.db2.gz NAQXHWOHWKOWOU-LSDHHAIUSA-N 0 3 303.475 4.248 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@]2(C)CCCN2Cc2ccccc2)c1 ZINC000552280394 341383740 /nfs/dbraw/zinc/38/37/40/341383740.db2.gz FWGKFEONKGKPBB-RXVVDRJESA-N 0 3 323.484 4.095 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3nccn3-c3ccccc3)C2)cc1 ZINC000552292724 341384922 /nfs/dbraw/zinc/38/49/22/341384922.db2.gz CPIBSWBERLPQRI-SAABIXHNSA-N 0 3 321.399 4.047 20 0 DIADHN c1nc2cc(CN3CC4(CCC4)[C@H]3c3ccccc3)ccc2[nH]1 ZINC000552315151 341385779 /nfs/dbraw/zinc/38/57/79/341385779.db2.gz HZNBAJHYCYBXTH-LJQANCHMSA-N 0 3 303.409 4.290 20 0 DIADHN c1nc2ccc(CN3CC4(CCC4)[C@H]3c3ccccc3)cc2[nH]1 ZINC000552315151 341385780 /nfs/dbraw/zinc/38/57/80/341385780.db2.gz HZNBAJHYCYBXTH-LJQANCHMSA-N 0 3 303.409 4.290 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC000248751115 341385888 /nfs/dbraw/zinc/38/58/88/341385888.db2.gz TYFYHSMULMMYCV-VHSSKADRSA-N 0 3 316.832 4.224 20 0 DIADHN Cc1cc(C)cc(CN[C@H](c2ccccc2)C2(CO)CCC2)c1 ZINC000552316978 341385996 /nfs/dbraw/zinc/38/59/96/341385996.db2.gz WVMHEQFDCMIZBF-HXUWFJFHSA-N 0 3 309.453 4.297 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@@H]2N[C@H]2CCCc3occc32)cc1 ZINC000248761727 341386267 /nfs/dbraw/zinc/38/62/67/341386267.db2.gz DRECZUMNBIAMHS-BQFCYCMXSA-N 0 3 317.816 4.430 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1c2ccccc2CC[C@H]1C(C)C ZINC000248853708 341388625 /nfs/dbraw/zinc/38/86/25/341388625.db2.gz PBEYSNFCQJUSOJ-VHFSOBRXSA-N 0 3 311.473 4.339 20 0 DIADHN Cc1cc(CN2CC3(CCOCC3)[C@@H]2C2CC2)ccc1Cl ZINC000552390888 341390880 /nfs/dbraw/zinc/39/08/80/341390880.db2.gz NJMTYZTZUAZHDO-KRWDZBQOSA-N 0 3 305.849 4.039 20 0 DIADHN C[C@H](c1nc(-c2ccccc2Cl)no1)N1[C@H](C)CC[C@H]1C ZINC000248977172 341391056 /nfs/dbraw/zinc/39/10/56/341391056.db2.gz UQWWBZYEFAWEQM-IJLUTSLNSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1sccc1Br ZINC000087667956 341394427 /nfs/dbraw/zinc/39/44/27/341394427.db2.gz FDQVVVHPKGPVGY-DTWKUNHWSA-N 0 3 306.294 4.057 20 0 DIADHN COC[C@@H](C)CN1CCc2sccc2[C@H]1c1cccs1 ZINC000249171069 341394918 /nfs/dbraw/zinc/39/49/18/341394918.db2.gz BOPHXQUGSBJROH-LRDDRELGSA-N 0 3 307.484 4.040 20 0 DIADHN Cc1cnc(CN2CCC[C@H]2CCCc2ccccc2)s1 ZINC000552467341 341394962 /nfs/dbraw/zinc/39/49/62/341394962.db2.gz RZJMJBLNHWDFAB-QGZVFWFLSA-N 0 3 300.471 4.439 20 0 DIADHN COC(=O)c1cccc(CN[C@H](CC(C)C)c2ccccc2)c1 ZINC000552483966 341395797 /nfs/dbraw/zinc/39/57/97/341395797.db2.gz NVYNZPZSKDMPRI-LJQANCHMSA-N 0 3 311.425 4.350 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000249784911 341401004 /nfs/dbraw/zinc/40/10/04/341401004.db2.gz LZMPARKAQCFENG-ZVDOUQERSA-N 0 3 323.436 4.019 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000552696027 341402290 /nfs/dbraw/zinc/40/22/90/341402290.db2.gz JATPKLXFWNJZMP-RLHHIGHOSA-N 0 3 317.404 4.408 20 0 DIADHN Cc1noc(CCN[C@H](C)c2ccc(C3CCCCC3)cc2)n1 ZINC000089518823 341405154 /nfs/dbraw/zinc/40/51/54/341405154.db2.gz IOBXCQUIRKNYJF-CQSZACIVSA-N 0 3 313.445 4.319 20 0 DIADHN COC[C@@H](N[C@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000552893010 341407940 /nfs/dbraw/zinc/40/79/40/341407940.db2.gz IMTSBQMWDCDXRM-RTBURBONSA-N 0 3 315.844 4.444 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)c1cccs1)c1cnn(C)c1 ZINC000090015619 341412405 /nfs/dbraw/zinc/41/24/05/341412405.db2.gz XKYLKIOXKUSEHI-YOEHRIQHSA-N 0 3 311.454 4.116 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000090468818 341416084 /nfs/dbraw/zinc/41/60/84/341416084.db2.gz HUHNRCPAPSRXPZ-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1ccc(Cl)cc1Cl ZINC000251554536 341417341 /nfs/dbraw/zinc/41/73/41/341417341.db2.gz RDVIBGMGCCBDNX-JQWOWJDXSA-N 0 3 314.256 4.458 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2sccc2C)c1 ZINC000090719041 341418611 /nfs/dbraw/zinc/41/86/11/341418611.db2.gz QWWFORPTLAUBEM-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN CC[C@H](N[C@H]1CCN(c2ccccn2)C1)c1ccc(Cl)s1 ZINC000090801706 341419425 /nfs/dbraw/zinc/41/94/25/341419425.db2.gz BEXYESCRHYBGBM-STQMWFEESA-N 0 3 321.877 4.116 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)[C@H]1CCCO1 ZINC000090859844 341419707 /nfs/dbraw/zinc/41/97/07/341419707.db2.gz IZPJROMPNHGQFE-LEWSCRJBSA-N 0 3 317.351 4.035 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)[C@@H]1CCCO1 ZINC000090859841 341419792 /nfs/dbraw/zinc/41/97/92/341419792.db2.gz IZPJROMPNHGQFE-BPNCWPANSA-N 0 3 317.351 4.035 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H]1C[C@@H](C)c2c1cccc2C ZINC000090846370 341419799 /nfs/dbraw/zinc/41/97/99/341419799.db2.gz FMDMXLXOLXNAGV-RDTXWAMCSA-N 0 3 311.473 4.191 20 0 DIADHN COc1ccccc1[C@H](N[C@@H]1CCCC[C@H]1C)c1ccccn1 ZINC000090913618 341420276 /nfs/dbraw/zinc/42/02/76/341420276.db2.gz RMDVXSCUQZGUHT-MDYRTPRTSA-N 0 3 310.441 4.348 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccco1 ZINC000090943618 341420404 /nfs/dbraw/zinc/42/04/04/341420404.db2.gz MDHWUHCHQLXCRV-VXGBXAGGSA-N 0 3 308.784 4.002 20 0 DIADHN CCCCOCCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091261488 341423680 /nfs/dbraw/zinc/42/36/80/341423680.db2.gz CXPCRDGNJQGMJU-CYBMUJFWSA-N 0 3 323.868 4.084 20 0 DIADHN CS[C@@H]1CC[C@@H]1NCc1ccccc1OCc1ccccc1 ZINC000553564212 341431694 /nfs/dbraw/zinc/43/16/94/341431694.db2.gz RAFRIDXKGJLCEW-PKOBYXMFSA-N 0 3 313.466 4.249 20 0 DIADHN CC(C)C(C)(C)NCc1nc2cc(C(F)(F)F)ccc2n1C ZINC000553771875 341435743 /nfs/dbraw/zinc/43/57/43/341435743.db2.gz OVQWTXGEFGFHNN-UHFFFAOYSA-N 0 3 313.367 4.116 20 0 DIADHN CC[C@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@H]1CCCO1 ZINC000554272637 341448221 /nfs/dbraw/zinc/44/82/21/341448221.db2.gz PQJCEMPDDIGPJV-UONOGXRCSA-N 0 3 323.305 4.031 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2cccc(-n3ccnn3)c2)c1 ZINC000554360447 341451148 /nfs/dbraw/zinc/45/11/48/341451148.db2.gz GFUJZWCFGIJGIQ-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2N[C@@H]1CC[C@@H](F)C1 ZINC000554370216 341451835 /nfs/dbraw/zinc/45/18/35/341451835.db2.gz LOZKMSRVFWPBGG-KKFJDGPESA-N 0 3 316.189 4.056 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CC[C@H](F)C2)c2ccc(F)cc2)cc1 ZINC000554372912 341452011 /nfs/dbraw/zinc/45/20/11/341452011.db2.gz ADIUHZGBDRVSKY-YQVWRLOYSA-N 0 3 317.379 4.404 20 0 DIADHN Cc1cc([C@H](NCCC2(F)CCC2)c2cccnc2)ccc1F ZINC000554375019 341452249 /nfs/dbraw/zinc/45/22/49/341452249.db2.gz FOODEDKREKHEGS-SFHVURJKSA-N 0 3 316.395 4.490 20 0 DIADHN CCc1nc2ccccc2c(N[C@H]2CCOC3(CCC3)C2)c1C ZINC000554581486 341458984 /nfs/dbraw/zinc/45/89/84/341458984.db2.gz BBUUFUNZLYNEHT-HNNXBMFYSA-N 0 3 310.441 4.041 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CN[C@@H]1CC[C@H](F)C1 ZINC000554719695 341461934 /nfs/dbraw/zinc/46/19/34/341461934.db2.gz HWLVPCZFUXIUHT-JKSUJKDBSA-N 0 3 315.436 4.150 20 0 DIADHN C[C@H](NCCCOc1ccc2ccccc2c1)c1ccncc1F ZINC000554839855 341465902 /nfs/dbraw/zinc/46/59/02/341465902.db2.gz RMRAPFVJEWQJLN-HNNXBMFYSA-N 0 3 324.399 4.494 20 0 DIADHN CCn1nccc1CN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000554936754 341469821 /nfs/dbraw/zinc/46/98/21/341469821.db2.gz UGPOJKOBLKKIPL-MRXNPFEDSA-N 0 3 323.362 4.163 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1cccnc1 ZINC000555003290 341472477 /nfs/dbraw/zinc/47/24/77/341472477.db2.gz RLOPMUZOWFLFOR-HSALFYBXSA-N 0 3 310.441 4.289 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CNCc1ccn(C)c1 ZINC000555552840 341485182 /nfs/dbraw/zinc/48/51/82/341485182.db2.gz UWYKQFQILFSVBD-UHFFFAOYSA-N 0 3 304.846 4.491 20 0 DIADHN CCc1nocc1CNC[C@H](c1ccc(Cl)cc1)C(C)C ZINC000555586986 341485996 /nfs/dbraw/zinc/48/59/96/341485996.db2.gz QCPHEXBYDCBMNH-INIZCTEOSA-N 0 3 306.837 4.420 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)s1 ZINC000555607777 341486742 /nfs/dbraw/zinc/48/67/42/341486742.db2.gz JBCYWECRCYQECG-CYBMUJFWSA-N 0 3 315.417 4.232 20 0 DIADHN Cc1nn2c(CNC3CC(C(C)(C)C)C3)c(C(C)C)nc2s1 ZINC000555608242 341486780 /nfs/dbraw/zinc/48/67/80/341486780.db2.gz BCEKGSXUPKGNCQ-UHFFFAOYSA-N 0 3 320.506 4.137 20 0 DIADHN COC(=O)c1cc(CNC(C)(C)c2ccccc2C)c(C)s1 ZINC000555612196 341486911 /nfs/dbraw/zinc/48/69/11/341486911.db2.gz RJGIYSBUZKLYNR-UHFFFAOYSA-N 0 3 317.454 4.176 20 0 DIADHN F[C@H]1CCC[C@H]1NCc1ccc(-n2cnc3ccccc32)cc1 ZINC000555645430 341487892 /nfs/dbraw/zinc/48/78/92/341487892.db2.gz YZMRQGXJXNYFLK-DLBZAZTESA-N 0 3 309.388 4.006 20 0 DIADHN C[C@H](NCC[C@@H](c1ccccc1)C(F)(F)F)c1ccccn1 ZINC000556217401 341497739 /nfs/dbraw/zinc/49/77/39/341497739.db2.gz MKJRPBOFKPKUCJ-ZFWWWQNUSA-N 0 3 308.347 4.468 20 0 DIADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccc(OC(C)(C)C)cc1 ZINC000556225704 341498223 /nfs/dbraw/zinc/49/82/23/341498223.db2.gz YVRYTHAWTYNAJO-ZIAGYGMSSA-N 0 3 314.429 4.376 20 0 DIADHN CC[C@H](NCC1(c2cccc(OC)c2)CC1)c1ccc(F)cn1 ZINC000564427525 341501683 /nfs/dbraw/zinc/50/16/83/341501683.db2.gz MYBFTUBOBLEIKV-KRWDZBQOSA-N 0 3 314.404 4.002 20 0 DIADHN Cc1cccc2c1ncc(C)c2NCc1noc2ccccc12 ZINC000564582599 341504427 /nfs/dbraw/zinc/50/44/27/341504427.db2.gz NYLVWSSJZNNTRC-UHFFFAOYSA-N 0 3 303.365 4.027 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H]1CCCc2c(OC)cccc21 ZINC000564807286 341510219 /nfs/dbraw/zinc/51/02/19/341510219.db2.gz KDNUULUQCQUUMF-FZMZJTMJSA-N 0 3 301.352 4.393 20 0 DIADHN CCC(CC)(NCc1cnc(C)n1C)c1ccc(Cl)cc1 ZINC000565242631 341521244 /nfs/dbraw/zinc/52/12/44/341521244.db2.gz QJDRGUMTPUBYKR-UHFFFAOYSA-N 0 3 305.853 4.187 20 0 DIADHN NC(=O)c1cccc(CNCc2ccc(C3CCCCC3)cc2)c1 ZINC000565295689 341522967 /nfs/dbraw/zinc/52/29/67/341522967.db2.gz LCWRWJMLDWPDPV-UHFFFAOYSA-N 0 3 322.452 4.123 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@@H](C)c1cnn(C)c1C)CCC2 ZINC000565363920 341525310 /nfs/dbraw/zinc/52/53/10/341525310.db2.gz YGDMCGIOIKMGDB-SGTLLEGYSA-N 0 3 315.486 4.179 20 0 DIADHN CCO[C@@H](C)c1nc(COc2cc(C)nc(C)c2C)cs1 ZINC000565550227 341530821 /nfs/dbraw/zinc/53/08/21/341530821.db2.gz VGYBUIPVLUVPCM-ZDUSSCGKSA-N 0 3 306.431 4.140 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CNCc2cccc3nccn32)cc1 ZINC000565618574 341532901 /nfs/dbraw/zinc/53/29/01/341532901.db2.gz DCQMAMILOALKCC-MRXNPFEDSA-N 0 3 323.440 4.015 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)ccc1F ZINC000153335251 341535606 /nfs/dbraw/zinc/53/56/06/341535606.db2.gz DTSDIBNHDSGXIO-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000153384871 341537597 /nfs/dbraw/zinc/53/75/97/341537597.db2.gz SKXYNICSYMOYIR-ZMZPIMSZSA-N 0 3 303.352 4.084 20 0 DIADHN CC[C@@H](N[C@H](CCC(C)C)C1CC1)c1nnc2n1CCCCC2 ZINC000565847072 341540037 /nfs/dbraw/zinc/54/00/37/341540037.db2.gz KDJFCGVXRONJTB-IAGOWNOFSA-N 0 3 318.509 4.260 20 0 DIADHN Cc1cnc([C@@H](NCCCN(C)c2ccccc2)C2CC2)s1 ZINC000566066081 341546753 /nfs/dbraw/zinc/54/67/53/341546753.db2.gz ZIUDMGGTPWCIOF-KRWDZBQOSA-N 0 3 315.486 4.019 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)N[C@H]1CO[C@@H](C)C1 ZINC000566216000 341550896 /nfs/dbraw/zinc/55/08/96/341550896.db2.gz HRTZLAJHJJCMGM-ZIBATOQPSA-N 0 3 320.433 4.086 20 0 DIADHN COC(=O)CC[C@H](CC1CCCCC1)N[C@H](C)c1ccccn1 ZINC000566242965 341551728 /nfs/dbraw/zinc/55/17/28/341551728.db2.gz ODFLNYAHCAGKKB-NVXWUHKLSA-N 0 3 318.461 4.024 20 0 DIADHN Fc1cccc(C=C2CCN(CCCc3ccncc3)CC2)c1 ZINC000566414978 341557171 /nfs/dbraw/zinc/55/71/71/341557171.db2.gz VNNGIPFUUVXATK-UHFFFAOYSA-N 0 3 310.416 4.333 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CNCc2cn3ccccc3n2)cc1 ZINC000566525119 341560910 /nfs/dbraw/zinc/56/09/10/341560910.db2.gz JRCGKTNMDUUGGB-MRXNPFEDSA-N 0 3 323.440 4.015 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCOCC1CCC1 ZINC000566594946 341562792 /nfs/dbraw/zinc/56/27/92/341562792.db2.gz WBQVGOJURAHACB-GFCCVEGCSA-N 0 3 310.507 4.216 20 0 DIADHN C[C@H](CCC(=O)Nc1ccccc1CN(C)C)c1ccccc1 ZINC000566835394 341569460 /nfs/dbraw/zinc/56/94/60/341569460.db2.gz HNBWITWARODZSQ-MRXNPFEDSA-N 0 3 310.441 4.271 20 0 DIADHN C[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1ccncc1F ZINC000566902980 341571260 /nfs/dbraw/zinc/57/12/60/341571260.db2.gz VWGUUCXJQVCQPS-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)NCc2noc3ccccc23)cc1 ZINC000566983646 341573393 /nfs/dbraw/zinc/57/33/93/341573393.db2.gz MHFQDJNXIVKBIP-UONOGXRCSA-N 0 3 310.397 4.118 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H]1CCCC[C@H]1CC)c1ccco1 ZINC000567017384 341574667 /nfs/dbraw/zinc/57/46/67/341574667.db2.gz YTCFXGAUULGZOP-VVLHAWIVSA-N 0 3 320.477 4.041 20 0 DIADHN CN(C)c1ncccc1CN[C@H](c1cccs1)C1CCCC1 ZINC000154048747 341583713 /nfs/dbraw/zinc/58/37/13/341583713.db2.gz NKLPXXRKVQZRDX-KRWDZBQOSA-N 0 3 315.486 4.230 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@@H](c2ccco2)N(C)C)cc1F ZINC000154087439 341584435 /nfs/dbraw/zinc/58/44/35/341584435.db2.gz HZSXAOWMTAFZMS-DOMZBBRYSA-N 0 3 322.449 4.094 20 0 DIADHN CC(C)COc1ccc(CCN[C@@H](C)c2cncs2)cc1 ZINC000154857270 341597102 /nfs/dbraw/zinc/59/71/02/341597102.db2.gz HYZFSMDZNBBZBQ-AWEZNQCLSA-N 0 3 304.459 4.071 20 0 DIADHN Fc1ccc([C@@H](NCCCC(F)(F)F)c2cccnc2)cc1 ZINC000567396696 341601174 /nfs/dbraw/zinc/60/11/74/341601174.db2.gz UQHLMPQINRZZLK-OAHLLOKOSA-N 0 3 312.310 4.242 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000268693820 341602864 /nfs/dbraw/zinc/60/28/64/341602864.db2.gz AIKKMIYCEHTZQS-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN CCCC[C@H](C)[C@H](C)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000567541928 341613822 /nfs/dbraw/zinc/61/38/22/341613822.db2.gz USSFWPTWSMJVHK-YOEHRIQHSA-N 0 3 304.478 4.355 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)Cc3ccc(O)cc3)co2)cc1 ZINC000155942346 341615213 /nfs/dbraw/zinc/61/52/13/341615213.db2.gz CEHBWWSEQFGIBV-HNNXBMFYSA-N 0 3 322.408 4.076 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCc3nc(CC)sc32)s1 ZINC000156289943 341619428 /nfs/dbraw/zinc/61/94/28/341619428.db2.gz YQCVLFOKUYGISX-CYBMUJFWSA-N 0 3 306.500 4.497 20 0 DIADHN C[C@H](CC[S@@](C)=O)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000271522010 341623112 /nfs/dbraw/zinc/62/31/12/341623112.db2.gz QLXNDOYSVHLGCL-XCGNWRKASA-N 0 3 315.482 4.161 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000272486680 341628620 /nfs/dbraw/zinc/62/86/20/341628620.db2.gz UAJMHNCLYILJIM-KXBFYZLASA-N 0 3 301.405 4.056 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1ccc(C2CCC2)cc1 ZINC000567712576 341629126 /nfs/dbraw/zinc/62/91/26/341629126.db2.gz CHGLRFWKAZRNKP-QGZVFWFLSA-N 0 3 301.455 4.147 20 0 DIADHN C[C@H](NCCOCc1ccccc1)c1cnc2ccsc2c1 ZINC000156972384 341629712 /nfs/dbraw/zinc/62/97/12/341629712.db2.gz ZKXXHVHKPCHTQG-AWEZNQCLSA-N 0 3 312.438 4.164 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccc(Cl)s2)cs1 ZINC000157066187 341631493 /nfs/dbraw/zinc/63/14/93/341631493.db2.gz IWIWPPJQQHXCOU-SECBINFHSA-N 0 3 300.880 4.314 20 0 DIADHN CC[C@H](NCc1ccc2[nH]ccc2c1)c1ccc2c(c1)OCCO2 ZINC000567915143 341640507 /nfs/dbraw/zinc/64/05/07/341640507.db2.gz ISSXUBYKBYUTSC-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(OCCOC)cc1 ZINC000157956755 341648330 /nfs/dbraw/zinc/64/83/30/341648330.db2.gz CCUJCRGOMQSHSG-MRXNPFEDSA-N 0 3 313.441 4.125 20 0 DIADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000158080351 341650979 /nfs/dbraw/zinc/65/09/79/341650979.db2.gz LFIXKLCMULZACJ-CZUORRHYSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](NCCCc1cnn(C)c1)c1cc(Cl)ccc1Cl ZINC000158369423 341655329 /nfs/dbraw/zinc/65/53/29/341655329.db2.gz XSFWSHJOLQMWQP-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN Cc1ncncc1[C@@H](C)NC1CC(F)(c2cccc(Cl)c2)C1 ZINC000568294115 341663985 /nfs/dbraw/zinc/66/39/85/341663985.db2.gz ZZDBLFKZSMKRIF-OLJMKKDRSA-N 0 3 319.811 4.116 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](c1cccs1)N(C)C ZINC000315950835 341665285 /nfs/dbraw/zinc/66/52/85/341665285.db2.gz YJKQMXYSZBQROT-ZBFHGGJFSA-N 0 3 318.486 4.019 20 0 DIADHN CCC[C@@H](NCCc1csc(C(C)C)n1)c1ccccn1 ZINC000158950594 341666728 /nfs/dbraw/zinc/66/67/28/341666728.db2.gz ZPFPMAPEWJUWFV-OAHLLOKOSA-N 0 3 303.475 4.335 20 0 DIADHN CC[C@H](CN[C@@H](CSC)c1ccc(C(F)(F)F)cc1)OC ZINC000568569134 341674455 /nfs/dbraw/zinc/67/44/55/341674455.db2.gz FBEWMFYETINUSB-KGLIPLIRSA-N 0 3 321.408 4.124 20 0 DIADHN CC[C@H](NC[C@@]1(C)OCCc2sccc21)c1ccc(F)cc1 ZINC000568569274 341675209 /nfs/dbraw/zinc/67/52/09/341675209.db2.gz KVDDVGWOHHAGTO-FUHWJXTLSA-N 0 3 319.445 4.416 20 0 DIADHN CCC[C@H](NC[C@H](C)Oc1ccccc1F)c1ccccn1 ZINC000159237868 341691992 /nfs/dbraw/zinc/69/19/92/341691992.db2.gz RQRVWMMCYUSXMM-HOCLYGCPSA-N 0 3 302.393 4.119 20 0 DIADHN CC[C@@H](COC)N[C@H](C)c1ccc(OC2CCCC2)c(OC)c1 ZINC000159292023 341693918 /nfs/dbraw/zinc/69/39/18/341693918.db2.gz ZGUWNIAHBHUOCQ-ZBFHGGJFSA-N 0 3 321.461 4.092 20 0 DIADHN FC(F)C1(CN[C@H]2CCc3sc(Br)cc32)CC1 ZINC000573112981 341717408 /nfs/dbraw/zinc/71/74/08/341717408.db2.gz PHKAJAQLKNAKKM-QMMMGPOBSA-N 0 3 322.218 4.133 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1ccc(OCc2ccc(C)cc2)cc1 ZINC000573126277 341717878 /nfs/dbraw/zinc/71/78/78/341717878.db2.gz MWGBVJZDNPEMSH-IAGOWNOFSA-N 0 3 313.441 4.087 20 0 DIADHN C[C@@H](NCc1ccc(C(F)(F)F)cn1)[C@H](C)c1ccccc1 ZINC000573393393 341727138 /nfs/dbraw/zinc/72/71/38/341727138.db2.gz ZEBXZLKTWVTGEO-QWHCGFSZSA-N 0 3 308.347 4.382 20 0 DIADHN Cn1ncc2ccc(CN[C@@H](CC3CC3)c3ccccc3)cc21 ZINC000573620724 341733834 /nfs/dbraw/zinc/73/38/34/341733834.db2.gz HYZJUBJNXXYGIC-IBGZPJMESA-N 0 3 305.425 4.204 20 0 DIADHN COC[C@H](NCCCCCSC)c1cccc(Cl)c1 ZINC000573767429 341738701 /nfs/dbraw/zinc/73/87/01/341738701.db2.gz ZSLVDOPHZDDFIK-HNNXBMFYSA-N 0 3 301.883 4.150 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccc2occc2c1 ZINC000574421540 341758307 /nfs/dbraw/zinc/75/83/07/341758307.db2.gz KXEDLFJVXDHZKV-UHFFFAOYSA-N 0 3 310.397 4.115 20 0 DIADHN CC[C@H](N[C@@H](CCOC)COC)c1ccc(Cl)cc1Cl ZINC000336834714 341767170 /nfs/dbraw/zinc/76/71/70/341767170.db2.gz RWPKITNHTUTNED-WFASDCNBSA-N 0 3 320.260 4.086 20 0 DIADHN Cc1ccc(C(=O)Nc2ccccc2CN(C)C)c(F)c1Cl ZINC000574807766 341769516 /nfs/dbraw/zinc/76/95/16/341769516.db2.gz PAOYHELNOZKWII-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Brc1ccccc1CNC1CCC2(CCCO2)CC1 ZINC000574856431 341771000 /nfs/dbraw/zinc/77/10/00/341771000.db2.gz IEQIBMKRXWINAD-UHFFFAOYSA-N 0 3 324.262 4.031 20 0 DIADHN c1ccc(Oc2ccccc2CN[C@H]2CCO[C@@H]2C2CC2)cc1 ZINC000575065834 341774871 /nfs/dbraw/zinc/77/48/71/341774871.db2.gz IKQDWYZSIHVVJP-AZUAARDMSA-N 0 3 309.409 4.136 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1F)c1cccc(-n2cccn2)c1 ZINC000173204216 341813626 /nfs/dbraw/zinc/81/36/26/341813626.db2.gz UBZMVKKCBWTXNM-GJZGRUSLSA-N 0 3 309.388 4.423 20 0 DIADHN CC(C)c1nn(-c2ccccc2)cc1CNCCC1(F)CCC1 ZINC000576023590 341820771 /nfs/dbraw/zinc/82/07/71/341820771.db2.gz WZFRYJCQVINAIQ-UHFFFAOYSA-N 0 3 315.436 4.368 20 0 DIADHN FC(F)(F)C1CCN(CCCOc2ccc(Cl)cc2)CC1 ZINC000576324860 341840334 /nfs/dbraw/zinc/84/03/34/341840334.db2.gz QJLGLVYZXMJCGJ-UHFFFAOYSA-N 0 3 321.770 4.383 20 0 DIADHN c1c[nH]c([C@H](NCC2(c3ccccc3)CC2)C2CCCCC2)n1 ZINC000576689849 341858516 /nfs/dbraw/zinc/85/85/16/341858516.db2.gz DCMZPXYCKBLVBJ-GOSISDBHSA-N 0 3 309.457 4.353 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc2sccc2c1 ZINC000576693327 341858683 /nfs/dbraw/zinc/85/86/83/341858683.db2.gz WIFCDHHOSJCDNO-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN CC(C)CC1(CN[C@@H](C)c2ccc(Br)cn2)CC1 ZINC000576882003 341867934 /nfs/dbraw/zinc/86/79/34/341867934.db2.gz ZTAJZYOAKMLSGT-LBPRGKRZSA-N 0 3 311.267 4.321 20 0 DIADHN Cn1ccnc1[C@H](NC1CC(c2cccc(Cl)c2)C1)C1CC1 ZINC000577048529 341880707 /nfs/dbraw/zinc/88/07/07/341880707.db2.gz ADGXUCKXNPCWHF-BDVYOWHSSA-N 0 3 315.848 4.060 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N[C@H]1CCC1(C)C ZINC000577549178 341916961 /nfs/dbraw/zinc/91/69/61/341916961.db2.gz IXEHGIKPMZVFHX-ZBFHGGJFSA-N 0 3 302.462 4.224 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cccnc1)Oc1ccccc1Cl ZINC000177545779 341920918 /nfs/dbraw/zinc/92/09/18/341920918.db2.gz JYZNSKMIFRJYOP-ZFWWWQNUSA-N 0 3 304.821 4.243 20 0 DIADHN c1cc(-c2ccc(CNCCc3cc4ccccc4s3)o2)n[nH]1 ZINC000577656052 341927747 /nfs/dbraw/zinc/92/77/47/341927747.db2.gz MENHWXPXEAIIFK-UHFFFAOYSA-N 0 3 323.421 4.217 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)CCCC(C)C)nc1C1CC1 ZINC000577799888 341937486 /nfs/dbraw/zinc/93/74/86/341937486.db2.gz AGXHNUYWIVPOOX-CQSZACIVSA-N 0 3 318.461 4.050 20 0 DIADHN COCCC[C@H](C)N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000177766452 341937778 /nfs/dbraw/zinc/93/77/78/341937778.db2.gz XRMGVIQVSNEULM-HNAYVOBHSA-N 0 3 301.405 4.320 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCOc2ccc(Cl)cc2)s1 ZINC000177849781 341938823 /nfs/dbraw/zinc/93/88/23/341938823.db2.gz HQYSHVUXHMINHF-SNVBAGLBSA-N 0 3 310.850 4.143 20 0 DIADHN CCOc1ccc([C@H](N[C@H]2CCSC2)c2ccccc2)cc1 ZINC000177871421 341938983 /nfs/dbraw/zinc/93/89/83/341938983.db2.gz YUTFKCHFASAZPF-PKOBYXMFSA-N 0 3 313.466 4.270 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H]2CCSC2)cc1OC ZINC000177898764 341939567 /nfs/dbraw/zinc/93/95/67/341939567.db2.gz QZMHZKDCKOUOAZ-DZGCQCFKSA-N 0 3 309.475 4.030 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(F)c(F)c1 ZINC000178051852 341942524 /nfs/dbraw/zinc/94/25/24/341942524.db2.gz QMRVQOYEVVNCCY-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@H]3CCC[C@H]4C[C@H]43)n2)cc1F ZINC000577869240 341945314 /nfs/dbraw/zinc/94/53/14/341945314.db2.gz ZYRKZMOPKQQXCM-FIRUKDTASA-N 0 3 315.392 4.023 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1cscn1 ZINC000178472187 341955756 /nfs/dbraw/zinc/95/57/56/341955756.db2.gz PKBOQCPVSACELD-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN CC(C)c1noc(CCCN[C@@H](C)c2cc3ccccc3o2)n1 ZINC000178517732 341957083 /nfs/dbraw/zinc/95/70/83/341957083.db2.gz BKQBXADIDPFDIO-ZDUSSCGKSA-N 0 3 313.401 4.223 20 0 DIADHN Cc1cc([C@@H](NCC[C@@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000577989493 341964103 /nfs/dbraw/zinc/96/41/03/341964103.db2.gz FHOVMABSEIIUMX-DIFFPNOSSA-N 0 3 323.889 4.468 20 0 DIADHN CC[C@H](C)[C@H](CN[C@@H](C)c1sc(C(C)(C)C)nc1C)OC ZINC000394481440 517807819 /nfs/dbraw/zinc/80/78/19/517807819.db2.gz LMFMYFZKFKMIED-OBJOEFQTSA-N 0 3 312.523 4.461 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000178088413 517864844 /nfs/dbraw/zinc/86/48/44/517864844.db2.gz PDWOBENOHWGZAC-HUUCEWRRSA-N 0 3 316.445 4.499 20 0 DIADHN CO[C@H](CN[C@H]1CCc2c1cccc2O)c1ccc(Cl)cc1 ZINC000451187921 518120432 /nfs/dbraw/zinc/12/04/32/518120432.db2.gz PTUNPXHBBDDCGA-FUHWJXTLSA-N 0 3 317.816 4.010 20 0 DIADHN COc1ccc(C(C)(C)CNCc2ccc(Cl)o2)cc1OC ZINC000517559635 518146869 /nfs/dbraw/zinc/14/68/69/518146869.db2.gz KRRZFQXAHJMLQQ-UHFFFAOYSA-N 0 3 323.820 4.018 20 0 DIADHN C[C@@H](NCCC(C)(C)C1CC1)c1ncc(Br)s1 ZINC000515316006 518178250 /nfs/dbraw/zinc/17/82/50/518178250.db2.gz PZEDNGKDDWHSOE-SECBINFHSA-N 0 3 317.296 4.383 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000480818022 518182530 /nfs/dbraw/zinc/18/25/30/518182530.db2.gz JNUCYLLWIAEWFZ-YSGRDPCXSA-N 0 3 313.416 4.462 20 0 DIADHN Cc1ccc(Cl)c(NC2CCN(c3ccncc3)CC2)c1 ZINC000232230775 518188942 /nfs/dbraw/zinc/18/89/42/518188942.db2.gz CRJBTXNAVCYPHP-UHFFFAOYSA-N 0 3 301.821 4.124 20 0 DIADHN Fc1cccc(Cl)c1CCCNCc1cnc(C2CC2)o1 ZINC000449709604 518196137 /nfs/dbraw/zinc/19/61/37/518196137.db2.gz ZOFIIKUWSVEMFF-UHFFFAOYSA-N 0 3 308.784 4.067 20 0 DIADHN Fc1ccc2oc(CNCc3ccc4ncccc4c3)cc2c1 ZINC000355298023 518196175 /nfs/dbraw/zinc/19/61/75/518196175.db2.gz WANIJJJJQKDKGU-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@H](C)c2cccc(O)c2)c1C ZINC000181524908 518235058 /nfs/dbraw/zinc/23/50/58/518235058.db2.gz OTPNLWOETVIATQ-OAHLLOKOSA-N 0 3 311.425 4.371 20 0 DIADHN C(=C\c1ccccc1)\CN[C@H](Cc1ccccn1)c1cccnc1 ZINC000338169864 518373728 /nfs/dbraw/zinc/37/37/28/518373728.db2.gz NPPIHVBFLIWJMC-RACPOZDESA-N 0 3 315.420 4.063 20 0 DIADHN C(=C\c1ccccc1)\CN[C@@H](Cc1ccccn1)c1cccnc1 ZINC000338169862 518375129 /nfs/dbraw/zinc/37/51/29/518375129.db2.gz NPPIHVBFLIWJMC-PNWMKBJFSA-N 0 3 315.420 4.063 20 0 DIADHN CC(C)(C)n1ncnc1CNC1CCC2(CCCCC2)CC1 ZINC000337296738 519180240 /nfs/dbraw/zinc/18/02/40/519180240.db2.gz OLPMRLGLYWUPOD-UHFFFAOYSA-N 0 3 304.482 4.016 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCCc3cc(F)ccc32)cc1 ZINC000186614404 519371820 /nfs/dbraw/zinc/37/18/20/519371820.db2.gz ZVXQVDAYSAAZFN-IBGZPJMESA-N 0 3 313.416 4.220 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@H]2CCCc3nn(C)cc32)cc1 ZINC000338009489 519449955 /nfs/dbraw/zinc/44/99/55/519449955.db2.gz WOQMKGNRCWMKPJ-KXBFYZLASA-N 0 3 311.473 4.347 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCc3cc(F)ccc32)cc1 ZINC000182028453 519733840 /nfs/dbraw/zinc/73/38/40/519733840.db2.gz ZKXBBNOLZQSOOU-HXPMCKFVSA-N 0 3 312.388 4.122 20 0 DIADHN CC(C)OCCCCN[C@H](C)c1ccc(F)cc1OC(F)F ZINC000180460930 519761213 /nfs/dbraw/zinc/76/12/13/519761213.db2.gz KQLYGKFHIRXKEC-GFCCVEGCSA-N 0 3 319.367 4.283 20 0 DIADHN CC(C)c1nc(CCN[C@@H]2CCCOc3ccccc32)cs1 ZINC000156998344 519861359 /nfs/dbraw/zinc/86/13/59/519861359.db2.gz IIHJXOZNSSCFRU-MRXNPFEDSA-N 0 3 316.470 4.313 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H]2CCc3ccccc32)cc1 ZINC000158874655 519878419 /nfs/dbraw/zinc/87/84/19/519878419.db2.gz BECRJAJQUJPMTQ-IBGZPJMESA-N 0 3 308.425 4.202 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H]2CC[C@H](C)c3ccccc32)cc1 ZINC000156541459 519891547 /nfs/dbraw/zinc/89/15/47/519891547.db2.gz VJMCGHSBPYIPNB-MGPUTAFESA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)n1nccc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000337143795 520101810 /nfs/dbraw/zinc/10/18/10/520101810.db2.gz YVVCZOABBZHLAH-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cnc3ccccc3c2)C12CCC2 ZINC000337145683 520344239 /nfs/dbraw/zinc/34/42/39/520344239.db2.gz DYAHNKOIRNKIIU-RTBURBONSA-N 0 3 310.441 4.014 20 0 DIADHN CC1(C)CCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000191303767 520361700 /nfs/dbraw/zinc/36/17/00/520361700.db2.gz XAWNKBHWVIMXMJ-CYBMUJFWSA-N 0 3 305.809 4.058 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000189270709 520371464 /nfs/dbraw/zinc/37/14/64/520371464.db2.gz GVGFDNGDQXTPTQ-INIZCTEOSA-N 0 3 315.461 4.412 20 0 DIADHN CCC[C@@H](NCc1cccc(OCC(F)F)c1)c1cccnc1 ZINC000185982302 520783966 /nfs/dbraw/zinc/78/39/66/520783966.db2.gz TZHHFRWVAVMDJE-QGZVFWFLSA-N 0 3 320.383 4.357 20 0 DIADHN CCC[C@H](NCc1ccc(OCC)c(OC)c1)c1cccnc1 ZINC000185009317 521163748 /nfs/dbraw/zinc/16/37/48/521163748.db2.gz DQSFEHQZOUOLMD-KRWDZBQOSA-N 0 3 314.429 4.120 20 0 DIADHN CCC[C@H](NCc1ccc(C(=O)NCC)cc1)c1ccccc1 ZINC000156458154 521163812 /nfs/dbraw/zinc/16/38/12/521163812.db2.gz KHBHUTJOGUMWMR-IBGZPJMESA-N 0 3 310.441 4.067 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000157836480 521171267 /nfs/dbraw/zinc/17/12/67/521171267.db2.gz DHDPHIRVFJQVJA-JXFKEZNVSA-N 0 3 320.440 4.459 20 0 DIADHN CCN(Cc1ccc(C(=O)Nc2ccccc2F)cc1)C1CC1 ZINC000338010657 521300815 /nfs/dbraw/zinc/30/08/15/521300815.db2.gz GWRCSYZKNLRADQ-UHFFFAOYSA-N 0 3 312.388 4.062 20 0 DIADHN CCOc1ccccc1CN1CCC(OCCC(C)C)CC1 ZINC000339197460 521481047 /nfs/dbraw/zinc/48/10/47/521481047.db2.gz DFEHJRHXDHJVLG-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CCOc1ccccc1[C@H](C)NCCc1sc(C)nc1C ZINC000157998404 521583556 /nfs/dbraw/zinc/58/35/56/521583556.db2.gz YRWLEYHNRWKTAU-LBPRGKRZSA-N 0 3 304.459 4.052 20 0 DIADHN CCOC1CC(CCNCc2csc(-c3cccs3)n2)C1 ZINC000188777655 521645168 /nfs/dbraw/zinc/64/51/68/521645168.db2.gz OTWQSQGBHIFTHR-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ncc(CSC)s1 ZINC000339293722 521730906 /nfs/dbraw/zinc/73/09/06/521730906.db2.gz NVDWQKOOWNDZST-STQMWFEESA-N 0 3 316.561 4.160 20 0 DIADHN CCc1ccccc1CN[C@@H](Cc1ccccn1)c1cccnc1 ZINC000338207949 521842250 /nfs/dbraw/zinc/84/22/50/521842250.db2.gz HAACMOQTBXAJIG-NRFANRHFSA-N 0 3 317.436 4.113 20 0 DIADHN CN(C)c1ccc(CN[C@@H](CC(C)(C)C)c2ccccc2)cn1 ZINC000339514545 522211049 /nfs/dbraw/zinc/21/10/49/522211049.db2.gz XMZWAZQTOTYEEM-SFHVURJKSA-N 0 3 311.473 4.415 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](C)c1nccnc1C ZINC000188268016 522289406 /nfs/dbraw/zinc/28/94/06/522289406.db2.gz ZONBUJPZSHONAT-HUUCEWRRSA-N 0 3 301.459 4.007 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCC[C@@H](c3cccnc3)C2)cs1 ZINC000337379859 522456961 /nfs/dbraw/zinc/45/69/61/522456961.db2.gz IPJAMLGNKWTBHA-LZWOXQAQSA-N 0 3 315.486 4.478 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(OC(F)F)cc2)ccc1C ZINC000237165950 522474187 /nfs/dbraw/zinc/47/41/87/522474187.db2.gz FGMHFYIXQODXTN-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(-n3ccnc3)cc2)ccc1C ZINC000161799498 522474403 /nfs/dbraw/zinc/47/44/03/522474403.db2.gz NTCHUXDGVCPLKM-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@H](NCCc1c(C)noc1C)c1cc(Cl)ccc1OC ZINC000155946194 522600997 /nfs/dbraw/zinc/60/09/97/522600997.db2.gz KVWAIBNXTHICHP-INIZCTEOSA-N 0 3 322.836 4.237 20 0 DIADHN CC[C@H](NCc1ccc(CO)c(F)c1)c1ccc(Cl)s1 ZINC000178567311 522644649 /nfs/dbraw/zinc/64/46/49/522644649.db2.gz HRRZMOARRNLICD-ZDUSSCGKSA-N 0 3 313.825 4.274 20 0 DIADHN CC[C@H](N[C@@H]1CCCOc2ccc(OC)cc21)c1ccncc1 ZINC000182225586 522713846 /nfs/dbraw/zinc/71/38/46/522713846.db2.gz ZTEWWSRMYHJQPY-ZWKOTPCHSA-N 0 3 312.413 4.045 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(N2CCCC2=O)cc1)c1ccncc1 ZINC000163777575 522739695 /nfs/dbraw/zinc/73/96/95/522739695.db2.gz HPKQNNFQZHCHSD-BEFAXECRSA-N 0 3 323.440 4.010 20 0 DIADHN CC[C@H](N[C@H](C)c1cccnc1)c1ccc(OC(F)F)cc1 ZINC000158549857 522742033 /nfs/dbraw/zinc/74/20/33/522742033.db2.gz XCXUHUILQNYMRZ-WBMJQRKESA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccc(C)cc1 ZINC000131375103 522885780 /nfs/dbraw/zinc/88/57/80/522885780.db2.gz NQRGGORSZKLCBF-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccc(F)cc1 ZINC000131486932 522887336 /nfs/dbraw/zinc/88/73/36/522887336.db2.gz WSIFYPJRZNCQSQ-GOSISDBHSA-N 0 3 313.420 4.062 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C(C)C)c1)c1ccc(OC)cc1 ZINC000178291261 522917516 /nfs/dbraw/zinc/91/75/16/522917516.db2.gz GGJICMBQGPOSNJ-KBXCAEBGSA-N 0 3 301.434 4.275 20 0 DIADHN CC[C@@H](N[C@@H](CCCO)c1ccccc1)c1cccc(F)c1 ZINC000188061391 522920158 /nfs/dbraw/zinc/92/01/58/522920158.db2.gz CNIJPTMQUYWRNM-MOPGFXCFSA-N 0 3 301.405 4.380 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2c1cccc2O)c1ccc2c(c1)CCO2 ZINC000130347859 522926314 /nfs/dbraw/zinc/92/63/14/522926314.db2.gz FPTRFDGYTITFLU-QZTJIDSGSA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(F)cc1N(C)C)c1ccncc1 ZINC000163763463 522935216 /nfs/dbraw/zinc/93/52/16/522935216.db2.gz SRSWXINXCCUNDH-CXAGYDPISA-N 0 3 301.409 4.089 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccc(F)cc1 ZINC000158875568 522935826 /nfs/dbraw/zinc/93/58/26/522935826.db2.gz CDZDLXZTICIHQH-AUUYWEPGSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C(C)(C)C)c1C)c1ccncc1 ZINC000191307169 522940570 /nfs/dbraw/zinc/94/05/70/522940570.db2.gz DUAZUSDDRICVFT-CXAGYDPISA-N 0 3 300.450 4.143 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCOc3cc(C)ccc32)c1 ZINC000158523403 523057298 /nfs/dbraw/zinc/05/72/98/523057298.db2.gz YBLTWBXPGWGSBU-LJQANCHMSA-N 0 3 311.425 4.145 20 0 DIADHN COCCCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000158303371 523176999 /nfs/dbraw/zinc/17/69/99/523176999.db2.gz HTNBPCDIFKYTTF-SNVBAGLBSA-N 0 3 307.768 4.019 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2c3cccc(F)c3CC[C@@H]2C)cn1 ZINC000163298332 523462503 /nfs/dbraw/zinc/46/25/03/523462503.db2.gz ICVLFKAGIKYVBH-VEVIJQCQSA-N 0 3 301.409 4.016 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000157910800 523475163 /nfs/dbraw/zinc/47/51/63/523475163.db2.gz BUISCTOOIQAZNJ-CVEARBPZSA-N 0 3 320.440 4.377 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@H](N[C@@H](C)c2ccc(Cl)s2)C1 ZINC000162852684 523648541 /nfs/dbraw/zinc/64/85/41/523648541.db2.gz QFFXDCRTPQOQMZ-BKWIFCJWSA-N 0 3 319.923 4.132 20 0 DIADHN CC[S@](=O)[C@H]1CCC[C@H](N[C@@H](C)c2ccc(Cl)s2)C1 ZINC000162852935 523652838 /nfs/dbraw/zinc/65/28/38/523652838.db2.gz QFFXDCRTPQOQMZ-BCVWFYPKSA-N 0 3 319.923 4.132 20 0 DIADHN CO[C@H](CN(Cc1ccncc1)C1CC1)c1ccc(Cl)cc1 ZINC000338270971 523929423 /nfs/dbraw/zinc/92/94/23/523929423.db2.gz PSYGBNBJVRQWHJ-GOSISDBHSA-N 0 3 316.832 4.087 20 0 DIADHN CO[C@H](CN(C)C[C@@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000338285148 523930624 /nfs/dbraw/zinc/93/06/24/523930624.db2.gz DMDOBLKYRQYNMF-IUODEOHRSA-N 0 3 324.877 4.220 20 0 DIADHN CO[C@H](CN[C@H](C)c1sc(C)nc1C)c1ccc(Cl)cc1 ZINC000338306780 523953648 /nfs/dbraw/zinc/95/36/48/523953648.db2.gz WRSQDXHVODFLKU-MEBBXXQBSA-N 0 3 324.877 4.452 20 0 DIADHN CN(C)C(=O)c1cccc(CN(Cc2ccccc2)C(C)(C)C)c1 ZINC000130460570 524028259 /nfs/dbraw/zinc/02/82/59/524028259.db2.gz QZRJMZQVDGAFEI-UHFFFAOYSA-N 0 3 324.468 4.189 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)[C@@H](C)c1ccccc1 ZINC000181524986 524063408 /nfs/dbraw/zinc/06/34/08/524063408.db2.gz RGAAIWACXDOYHE-SJORKVTESA-N 0 3 324.468 4.278 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc(N3CCOC3=O)cc2)c1 ZINC000189838054 524070041 /nfs/dbraw/zinc/07/00/41/524070041.db2.gz FORAQUMMBSGVIM-OAHLLOKOSA-N 0 3 324.424 4.056 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000127426923 524102497 /nfs/dbraw/zinc/10/24/97/524102497.db2.gz MPESYFFOHYUCLG-GOSISDBHSA-N 0 3 306.413 4.050 20 0 DIADHN COc1cccc([C@H](C)NCCc2nc3c(cccc3C)o2)c1 ZINC000267290558 524154590 /nfs/dbraw/zinc/15/45/90/524154590.db2.gz XQCMIFMLBPJWEK-AWEZNQCLSA-N 0 3 310.397 4.038 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)C(=O)c2ccc(CN(C)C)cc2)c1 ZINC000337997459 524201261 /nfs/dbraw/zinc/20/12/61/524201261.db2.gz YVXPUZFMMHHYKW-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2cnc3ccccc3c2)CC1 ZINC000337139112 524223743 /nfs/dbraw/zinc/22/37/43/524223743.db2.gz PSXNJRKRBYFRID-UHFFFAOYSA-N 0 3 319.452 4.490 20 0 DIADHN C[C@H](CCn1cccn1)NC(c1cccs1)c1cccs1 ZINC000159059726 524264967 /nfs/dbraw/zinc/26/49/67/524264967.db2.gz YXCOJHPZVCTIRB-CYBMUJFWSA-N 0 3 317.483 4.164 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CC[C@H](c2ccccc2)C1 ZINC000130249496 524281104 /nfs/dbraw/zinc/28/11/04/524281104.db2.gz WJUKMQLXKHYWGM-OALUTQOASA-N 0 3 322.452 4.168 20 0 DIADHN Cc1cc(C)cc(CNC2(c3ccc(F)cc3)CCOCC2)c1 ZINC000237635300 524382854 /nfs/dbraw/zinc/38/28/54/524382854.db2.gz RKDQSUSILODPRK-UHFFFAOYSA-N 0 3 313.416 4.238 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000180284909 524402088 /nfs/dbraw/zinc/40/20/88/524402088.db2.gz BBCRWHZIVGSDGT-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN C[C@H](CNCc1nc(C(F)(F)F)cs1)c1ccccc1 ZINC000194477654 524491108 /nfs/dbraw/zinc/49/11/08/524491108.db2.gz CGTVVZZQATWROF-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN C[C@H](CN[C@H]1CC2(CCC2)Oc2ccccc21)c1nccs1 ZINC000186725314 524503766 /nfs/dbraw/zinc/50/37/66/524503766.db2.gz JIGVSDZOZQHKQF-HIFRSBDPSA-N 0 3 314.454 4.283 20 0 DIADHN C[C@@H](CCO)N(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337193943 524509094 /nfs/dbraw/zinc/50/90/94/524509094.db2.gz VWFDXJPRBDUMGD-KRWDZBQOSA-N 0 3 320.436 4.008 20 0 DIADHN C[C@@H](CCn1cccn1)NC(c1cccs1)c1cccs1 ZINC000159059577 524548773 /nfs/dbraw/zinc/54/87/73/524548773.db2.gz YXCOJHPZVCTIRB-ZDUSSCGKSA-N 0 3 317.483 4.164 20 0 DIADHN C[C@@H](CNC(=O)N(c1ccccc1)c1ccccc1)N(C)C1CC1 ZINC000181981771 524664505 /nfs/dbraw/zinc/66/45/05/524664505.db2.gz QWMURJJOXIVPPC-INIZCTEOSA-N 0 3 323.440 4.017 20 0 DIADHN Cc1cc(CN2CCC(OC(C)(C)C)CC2)c2ccccc2n1 ZINC000162511043 524677890 /nfs/dbraw/zinc/67/78/90/524677890.db2.gz RELVCTDNHWGCGP-UHFFFAOYSA-N 0 3 312.457 4.323 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000130195292 524809757 /nfs/dbraw/zinc/80/97/57/524809757.db2.gz AFSLVGJQKIRRCX-IACUBPJLSA-N 0 3 322.499 4.159 20 0 DIADHN C[C@@H](COCC(F)(F)F)N[C@@H](C)c1ccc(Cl)s1 ZINC000269060759 524817693 /nfs/dbraw/zinc/81/76/93/524817693.db2.gz XUXJECCSIRSMOI-YUMQZZPRSA-N 0 3 301.761 4.020 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000339326371 524873282 /nfs/dbraw/zinc/87/32/82/524873282.db2.gz XEUWHZIFBSDAGJ-LRTDBIEQSA-N 0 3 321.873 4.237 20 0 DIADHN C[C@H]1C[C@H](NC[C@@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000130194665 524923160 /nfs/dbraw/zinc/92/31/60/524923160.db2.gz AFSLVGJQKIRRCX-UBHSHLNASA-N 0 3 322.499 4.159 20 0 DIADHN Cc1ccccc1CC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128776246 525098655 /nfs/dbraw/zinc/09/86/55/525098655.db2.gz POOFTTAYNGBKEI-UHFFFAOYSA-N 0 3 322.452 4.080 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cnc(C)nc2C)C(C)C)cc1 ZINC000163040112 525204626 /nfs/dbraw/zinc/20/46/26/525204626.db2.gz GAKFTCCOJSAHCE-LIRRHRJNSA-N 0 3 313.445 4.150 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1cccc(Cn2cccn2)c1 ZINC000159167656 525417788 /nfs/dbraw/zinc/41/77/88/525417788.db2.gz GVKZSBJDXQJUJL-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN C[C@@H](NCCCCOCc1ccccc1)c1cccnc1Cl ZINC000127462601 525435409 /nfs/dbraw/zinc/43/54/09/525435409.db2.gz LIKMXHQWUXRWOT-OAHLLOKOSA-N 0 3 318.848 4.383 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000336842053 525438115 /nfs/dbraw/zinc/43/81/15/525438115.db2.gz QSSIVAOFHLRMKN-KYOSRNDESA-N 0 3 317.479 4.267 20 0 DIADHN C[C@@H]1C[C@H](NCCN2CCCCCC2)c2ccsc2S1 ZINC000336826730 525438177 /nfs/dbraw/zinc/43/81/77/525438177.db2.gz UISPZALBARJLNJ-HIFRSBDPSA-N 0 3 310.532 4.139 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000336842056 525438510 /nfs/dbraw/zinc/43/85/10/525438510.db2.gz QSSIVAOFHLRMKN-ZLDLUXBVSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@H](NCc1ccc(N2CCCCC2=O)cc1)c1ccccc1 ZINC000129440224 525446782 /nfs/dbraw/zinc/44/67/82/525446782.db2.gz LRGRBNCPORCMNU-INIZCTEOSA-N 0 3 308.425 4.054 20 0 DIADHN C[C@@H](NCC[C@H](C)c1cccc(C(F)(F)F)c1)c1cnccn1 ZINC000155867937 525457506 /nfs/dbraw/zinc/45/75/06/525457506.db2.gz HTARKEGGWMWWMZ-QWHCGFSZSA-N 0 3 323.362 4.340 20 0 DIADHN C[C@H](NCc1cccc(SC(=O)N(C)C)c1)c1ccccc1 ZINC000181370045 525458575 /nfs/dbraw/zinc/45/85/75/525458575.db2.gz XOTSYDAYQXJRSF-AWEZNQCLSA-N 0 3 314.454 4.311 20 0 DIADHN C[C@H](NCc1cccc(SC(=O)N(C)C)c1)c1cccs1 ZINC000180456669 525459515 /nfs/dbraw/zinc/45/95/15/525459515.db2.gz UMNWNLDXNQFVQK-LBPRGKRZSA-N 0 3 320.483 4.373 20 0 DIADHN C[C@H](NCc1ccn(C)c1)c1ccc(SCC(F)F)cc1 ZINC000158450200 525468822 /nfs/dbraw/zinc/46/88/22/525468822.db2.gz PYYYCFVAFMOIKA-LBPRGKRZSA-N 0 3 310.413 4.233 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)c(C)s1 ZINC000192847001 525473574 /nfs/dbraw/zinc/47/35/74/525473574.db2.gz JALXSQZKJOSBGY-VXGBXAGGSA-N 0 3 317.458 4.267 20 0 DIADHN Cc1ccc(Oc2ccccc2NC(=O)C2CCN(C)CC2)cc1 ZINC000174538994 525475957 /nfs/dbraw/zinc/47/59/57/525475957.db2.gz ZGPJKPPUGGJMGR-UHFFFAOYSA-N 0 3 324.424 4.068 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccsc1)c1csc(Cl)c1 ZINC000191303944 525508342 /nfs/dbraw/zinc/50/83/42/525508342.db2.gz BKCJMVREHHWZAO-RNCFNFMXSA-N 0 3 301.864 4.021 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000269065709 525547146 /nfs/dbraw/zinc/54/71/46/525547146.db2.gz YSUOXVSIFSESQX-RVHYNSKXSA-N 0 3 323.436 4.288 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc([S@@](C)=O)cc1 ZINC000339501783 525554844 /nfs/dbraw/zinc/55/48/44/525554844.db2.gz JIBBVDJFHDNZNB-MCMMXHMISA-N 0 3 313.422 4.021 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](c2ccnn2C)C1)c1cccc(F)c1F ZINC000363954662 525558826 /nfs/dbraw/zinc/55/88/26/525558826.db2.gz QKMSTZWGTNCFQT-BFHYXJOUSA-N 0 3 319.399 4.075 20 0 DIADHN C[C@H](N[C@@H]1c2cccc(F)c2CC[C@@H]1C)c1cncc(F)c1 ZINC000163305426 525590390 /nfs/dbraw/zinc/59/03/90/525590390.db2.gz OVXRYOIPNRFRID-PZROIBLQSA-N 0 3 302.368 4.334 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1nc(-c2ccccc2)cs1 ZINC000337316527 525602005 /nfs/dbraw/zinc/60/20/05/525602005.db2.gz KQFFQZJKQSEYQS-LSDHHAIUSA-N 0 3 323.465 4.487 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(CO)c1)c1cccc(OC(F)F)c1 ZINC000270544297 525612766 /nfs/dbraw/zinc/61/27/66/525612766.db2.gz YIHYONUJUKTSJR-QWHCGFSZSA-N 0 3 321.367 4.192 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2O)c1ccc(OC(F)F)cc1 ZINC000130593349 525650741 /nfs/dbraw/zinc/65/07/41/525650741.db2.gz OEUKFTXXJLZMEI-BDJLRTHQSA-N 0 3 319.351 4.332 20 0 DIADHN C[C@@H](N[C@H](CCCO)c1ccccc1)c1ccc(F)c(F)c1 ZINC000266751158 525668105 /nfs/dbraw/zinc/66/81/05/525668105.db2.gz CSRYNZXBJNFMCX-FZKQIMNGSA-N 0 3 305.368 4.129 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)Oc2ccccc21)c1cccc(CO)c1 ZINC000122267948 525671696 /nfs/dbraw/zinc/67/16/96/525671696.db2.gz VROGKSQNJVYDFM-KDOFPFPSSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccccc1Br ZINC000157732760 525686391 /nfs/dbraw/zinc/68/63/91/525686391.db2.gz IJWXCENSMYWNFZ-FFSVYQOJSA-N 0 3 324.262 4.057 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cn(C)nc2-c2ccccc2)s1 ZINC000180411769 525736686 /nfs/dbraw/zinc/73/66/86/525736686.db2.gz WPFBCQFMHACTNE-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(N3CCCCC3)c2)s1 ZINC000162382583 525737773 /nfs/dbraw/zinc/73/77/73/525737773.db2.gz BFEHXMSCGSPORH-OAHLLOKOSA-N 0 3 315.486 4.293 20 0 DIADHN CSCc1cnc(CNC2(Cc3ccccc3)CCC2)s1 ZINC000339299112 525745873 /nfs/dbraw/zinc/74/58/73/525745873.db2.gz JZPYYMDBZVDTMU-UHFFFAOYSA-N 0 3 318.511 4.261 20 0 DIADHN CSCc1cnc(CNCC2(c3ccccc3C)CC2)s1 ZINC000339215320 525750373 /nfs/dbraw/zinc/75/03/73/525750373.db2.gz SFAOVZXBXBSCRI-UHFFFAOYSA-N 0 3 318.511 4.136 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccc[nH]2)cc1 ZINC000127421896 525789111 /nfs/dbraw/zinc/78/91/11/525789111.db2.gz NLTAMCBSQKOYKM-GOSISDBHSA-N 0 3 306.413 4.050 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2cc3ccccc3s2)o1 ZINC000339416524 525792710 /nfs/dbraw/zinc/79/27/10/525792710.db2.gz NGUQCFIVOSIRCD-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2CCCO[C@H]2CCCCO2)o1 ZINC000338310040 525801948 /nfs/dbraw/zinc/80/19/48/525801948.db2.gz XQOXKOXZVMKHHL-WMZOPIPTSA-N 0 3 307.434 4.048 20 0 DIADHN C[C@@H](O)C[C@@H](C)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000157597303 525813321 /nfs/dbraw/zinc/81/33/21/525813321.db2.gz VHWNGFCWGOTFJM-FXPVBKGRSA-N 0 3 322.301 4.429 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccc(OCC2CC2)nc1 ZINC000131330408 525886954 /nfs/dbraw/zinc/88/69/54/525886954.db2.gz LWEPTLLQOQMFFB-ZDUSSCGKSA-N 0 3 302.443 4.091 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2cccc(C(F)(F)F)c2)cnn1C ZINC000192854667 525902500 /nfs/dbraw/zinc/90/25/00/525902500.db2.gz XNTSUGUFTZGDEL-GHMZBOCLSA-N 0 3 311.351 4.159 20 0 DIADHN Cc1ccc([C@H](C)NCc2cn(C)nc2-c2ccccc2)cc1 ZINC000180413336 525930689 /nfs/dbraw/zinc/93/06/89/525930689.db2.gz PGMLJVIISBMJSO-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cn2c(n1)[C@@H](CNCc1cc(Cl)ccc1Cl)CCC2 ZINC000338212636 525935513 /nfs/dbraw/zinc/93/55/13/525935513.db2.gz JYEPXZOXZCFHRV-GFCCVEGCSA-N 0 3 324.255 4.166 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2CN[C@@H](C)c2ccccn2)cc1 ZINC000159268439 526045193 /nfs/dbraw/zinc/04/51/93/526045193.db2.gz CRKFHJBDYJDXIC-XKGZKEIXSA-N 0 3 310.441 4.209 20 0 DIADHN Cc1cnc([C@H](NCCCCC2CCOCC2)C2CC2)s1 ZINC000337217098 526164700 /nfs/dbraw/zinc/16/47/00/526164700.db2.gz ZZRVJAQCECBWHO-MRXNPFEDSA-N 0 3 308.491 4.089 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000266944745 526183142 /nfs/dbraw/zinc/18/31/42/526183142.db2.gz SGBFDTLXLKSODM-GDBMZVCRSA-N 0 3 322.412 4.005 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(N3CCCC3)c1)[C@@H](C)C2 ZINC000180065219 526191926 /nfs/dbraw/zinc/19/19/26/526191926.db2.gz NAUQRYUHVVRMBO-KKSFZXQISA-N 0 3 321.468 4.013 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(OCC(F)(F)F)cc2)c1 ZINC000181841221 526206016 /nfs/dbraw/zinc/20/60/16/526206016.db2.gz HDQLPNUORQADOX-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Fc1ccc(C2(NCc3cccc(Cl)c3)CCOCC2)cc1 ZINC000237744630 526325701 /nfs/dbraw/zinc/32/57/01/526325701.db2.gz ZBXPAYRXFGVRJS-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NCCc2cccnc2)cc1 ZINC000180571721 526360401 /nfs/dbraw/zinc/36/04/01/526360401.db2.gz SMOXBNKDEYNDAX-OAHLLOKOSA-N 0 3 312.310 4.047 20 0 DIADHN c1cc2c(cccc2CN[C@H](CN2CCCC2)c2ccccc2)[nH]1 ZINC000236656934 526441223 /nfs/dbraw/zinc/44/12/23/526441223.db2.gz GKBJZUGFUPQNEO-OAQYLSRUSA-N 0 3 319.452 4.095 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NC[C@H]3CCCCO3)cs2)cs1 ZINC000156413212 526562935 /nfs/dbraw/zinc/56/29/35/526562935.db2.gz SULNBDPIOWCGAX-BXUZGUMPSA-N 0 3 322.499 4.400 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)N[C@H]1CCCOC1 ZINC000132551221 526575181 /nfs/dbraw/zinc/57/51/81/526575181.db2.gz ZBVSJHXFXQRKOG-ABAIWWIYSA-N 0 3 320.433 4.087 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCCOCC(C)C)cc2)cs1 ZINC000337318204 526579293 /nfs/dbraw/zinc/57/92/93/526579293.db2.gz MHIXDIJHNINNOE-AWEZNQCLSA-N 0 3 318.486 4.442 20 0 DIADHN c1[nH]nc2cc(CN3CCCCC[C@@H]3c3ccncc3)ccc12 ZINC000157005556 526589610 /nfs/dbraw/zinc/58/96/10/526589610.db2.gz UKTAEEUNMHSDFY-LJQANCHMSA-N 0 3 306.413 4.075 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@H](O)c1cccc(F)c1 ZINC000157609336 526677082 /nfs/dbraw/zinc/67/70/82/526677082.db2.gz WEYHDFKZIVXKTM-ABAIWWIYSA-N 0 3 322.449 4.098 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1ccc([C@@H](C)O)cc1 ZINC000178545621 526677491 /nfs/dbraw/zinc/67/74/91/526677491.db2.gz RIFWBSCEOTWSEE-TZMCWYRMSA-N 0 3 318.486 4.479 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](C(F)(F)F)C3(CCC3)C2)s1 ZINC000338386729 526717749 /nfs/dbraw/zinc/71/77/49/526717749.db2.gz ZYYMDSKWNGKEFG-ZDUSSCGKSA-N 0 3 318.408 4.314 20 0 DIADHN Cc1sccc1CNCc1cc(Cl)c2c(c1)OCCCO2 ZINC000339175264 526915296 /nfs/dbraw/zinc/91/52/96/526915296.db2.gz WHTYVTDHHYFFEB-UHFFFAOYSA-N 0 3 323.845 4.161 20 0 DIADHN Cc1nc([C@@H]2CCCCN2Cc2c(C)ccc3ccccc32)n[nH]1 ZINC000338327120 527041203 /nfs/dbraw/zinc/04/12/03/527041203.db2.gz JTPDJINOCOERKX-IBGZPJMESA-N 0 3 320.440 4.302 20 0 DIADHN Cc1ncc([C@@H](C)NCCCSc2ccc(F)cc2)c(C)n1 ZINC000268738519 527306811 /nfs/dbraw/zinc/30/68/11/527306811.db2.gz WYCDHUQSNURIIN-GFCCVEGCSA-N 0 3 319.449 4.066 20 0 DIADHN Cn1ccc(CN[C@H]2CCCc3nc(-c4ccccc4)sc32)c1 ZINC000158470231 527360484 /nfs/dbraw/zinc/36/04/84/527360484.db2.gz JUAMVCCNEJBDSC-INIZCTEOSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000188468847 527454774 /nfs/dbraw/zinc/45/47/74/527454774.db2.gz YTJZRHTZPSAHAA-MDYRTPRTSA-N 0 3 309.457 4.395 20 0 DIADHN Cn1cncc1CN1CCCCC[C@@H]1Cc1ccccc1Cl ZINC000339500014 527506782 /nfs/dbraw/zinc/50/67/82/527506782.db2.gz KSVATGPCWLIGAQ-MRXNPFEDSA-N 0 3 317.864 4.061 20 0 DIADHN Cn1cncc1CN[C@@H](CCCc1ccccc1)c1ccccc1 ZINC000237941485 527508591 /nfs/dbraw/zinc/50/85/91/527508591.db2.gz BQMAFVXUDRMVPV-NRFANRHFSA-N 0 3 319.452 4.274 20 0 DIADHN OCCC[C@H](N[C@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000183012219 527544229 /nfs/dbraw/zinc/54/42/29/527544229.db2.gz HAFGQHDIHUWXGE-PMACEKPBSA-N 0 3 313.416 4.307 20 0 DIADHN FC(F)(F)CCCNCc1csc(-c2ccsc2)n1 ZINC000266602512 527665184 /nfs/dbraw/zinc/66/51/84/527665184.db2.gz OMGXKHAEZSLZFI-UHFFFAOYSA-N 0 3 306.378 4.304 20 0 DIADHN FC(F)(F)c1cccc(CCNCc2ccsc2Cl)n1 ZINC000339413863 527685002 /nfs/dbraw/zinc/68/50/02/527685002.db2.gz PJUDZXZUYQRYDI-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3cccnc32)cn1 ZINC000272243904 535006792 /nfs/dbraw/zinc/00/67/92/535006792.db2.gz XBOOSUSTEUIIAV-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN CC(C)n1ncc2cc(CNC(C)(C)c3ccccc3)cnc21 ZINC000299554787 535137218 /nfs/dbraw/zinc/13/72/18/535137218.db2.gz KFDVATXPIOWBDC-UHFFFAOYSA-N 0 3 308.429 4.037 20 0 DIADHN CC(C)CCN(Cc1nnc(-c2ccco2)o1)C1CCCC1 ZINC000299423044 535385032 /nfs/dbraw/zinc/38/50/32/535385032.db2.gz NZJLPCLUGYPPCW-UHFFFAOYSA-N 0 3 303.406 4.120 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1cccc(C(F)(F)F)c1)C2 ZINC000285495068 535397398 /nfs/dbraw/zinc/39/73/98/535397398.db2.gz MSIYHNUXEDFGFB-UHFFFAOYSA-N 0 3 313.363 4.096 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2csc(C)c2)cn1 ZINC000296141561 535686243 /nfs/dbraw/zinc/68/62/43/535686243.db2.gz WGCFCHZPHSZZIJ-CQSZACIVSA-N 0 3 303.475 4.149 20 0 DIADHN CC[C@@H](NCc1cc(C)nn1C)c1ccc2cc(OC)ccc2c1 ZINC000284150858 535805639 /nfs/dbraw/zinc/80/56/39/535805639.db2.gz DOJJDXRWKBALOS-HXUWFJFHSA-N 0 3 323.440 4.131 20 0 DIADHN CC[C@@H](NCc1ccc(-n2ccnc2C)nc1)c1cccc(C)c1 ZINC000286222808 535805649 /nfs/dbraw/zinc/80/56/49/535805649.db2.gz SMDJAXGOAKDUNR-LJQANCHMSA-N 0 3 320.440 4.125 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2occc21)c1ccc(OC)c(OC)c1 ZINC000120786418 535936309 /nfs/dbraw/zinc/93/63/09/535936309.db2.gz PIIINHBNFMZQGP-JKSUJKDBSA-N 0 3 315.413 4.415 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1cccc(F)c1 ZINC000275673071 535941899 /nfs/dbraw/zinc/94/18/99/535941899.db2.gz CRJIXLCQKUAMCF-JCHSIQAQSA-N 0 3 319.445 4.365 20 0 DIADHN COC1(C)CCN(Cc2cnc(-c3ccc(C)cc3)s2)CC1 ZINC000272359645 536565484 /nfs/dbraw/zinc/56/54/84/536565484.db2.gz MXOFIBLLQHGPRF-UHFFFAOYSA-N 0 3 316.470 4.119 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc1C ZINC000273159570 536617857 /nfs/dbraw/zinc/61/78/57/536617857.db2.gz KCGJGXPNNAFXGF-CVEARBPZSA-N 0 3 313.441 4.216 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000287371288 536619675 /nfs/dbraw/zinc/61/96/75/536619675.db2.gz HSNBNGDHZFYEAM-CQSZACIVSA-N 0 3 305.443 4.014 20 0 DIADHN COCCOc1ccc([C@H](C)NC2(c3ccccc3)CC2)cc1 ZINC000271947627 536674967 /nfs/dbraw/zinc/67/49/67/536674967.db2.gz DFMHWWPWQSOTMF-INIZCTEOSA-N 0 3 311.425 4.052 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)[C@H](C)OC)ccc1SC(F)F ZINC000289591892 536881654 /nfs/dbraw/zinc/88/16/54/536881654.db2.gz BBPBTDVXYQIFIG-MXWKQRLJSA-N 0 3 319.417 4.084 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3cc(Cl)ccc32)cc1O ZINC000289762535 536905083 /nfs/dbraw/zinc/90/50/83/536905083.db2.gz NAMTVGJRZRYXQY-MEDUHNTESA-N 0 3 317.816 4.392 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000647319406 1039558707 /nfs/dbraw/zinc/55/87/07/1039558707.db2.gz ZOJUHHDNNCYNNA-BQBZGAKWSA-N 0 3 302.312 4.104 20 0 DIADHN Brc1csc([C@@H](N[C@@H]2CC=CCC2)C2CC2)n1 ZINC000817579673 1042115760 /nfs/dbraw/zinc/11/57/60/1042115760.db2.gz DITMIAOKAQRCJK-PWSUYJOCSA-N 0 3 313.264 4.055 20 0 DIADHN Cc1csc([C@@H](C)CNCc2ccnc(Cl)c2Cl)n1 ZINC000290825681 1043989421 /nfs/dbraw/zinc/98/94/21/1043989421.db2.gz HLWUTSOVSPIDHG-QMMMGPOBSA-N 0 3 316.257 4.047 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N1CCC2(CCOC2)CC1 ZINC000677720630 1046515145 /nfs/dbraw/zinc/51/51/45/1046515145.db2.gz IXHNSXLAIZYGOO-CYBMUJFWSA-N 0 3 324.262 4.013 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000834883467 1046696552 /nfs/dbraw/zinc/69/65/52/1046696552.db2.gz FGWXZKBQXIETEE-BXUZGUMPSA-N 0 3 302.245 4.238 20 0 DIADHN CCOC[C@H]1CCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000482166134 1048566125 /nfs/dbraw/zinc/56/61/25/1048566125.db2.gz BLRGQORUGZLTJJ-ZDUSSCGKSA-N 0 3 316.272 4.284 20 0 DIADHN CCSCCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856778635 1049105641 /nfs/dbraw/zinc/10/56/41/1049105641.db2.gz OQLZMFRJCILFIU-MRVPVSSYSA-N 0 3 312.788 4.158 20 0 DIADHN Cc1cncc(CN[C@H](C)c2cc(Br)ccc2C)c1 ZINC000623925588 1054826894 /nfs/dbraw/zinc/82/68/94/1054826894.db2.gz JYISWNGBKQWGEO-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cscc2Cl)C1(CC)CC ZINC001474027845 1061565891 /nfs/dbraw/zinc/56/58/91/1061565891.db2.gz IYWWCFJWIGORFL-KGLIPLIRSA-N 0 3 301.883 4.475 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(Br)c1)c1ccncc1 ZINC001308282757 1065879881 /nfs/dbraw/zinc/87/98/81/1065879881.db2.gz MZQNOYNEEOSRFD-OAHLLOKOSA-N 0 3 323.209 4.224 20 0 DIADHN COCc1ccc(CNC[C@H](C)Oc2ccc(C(C)C)cc2)o1 ZINC000579757461 1035558670 /nfs/dbraw/zinc/55/86/70/1035558670.db2.gz XDKQGNBFEGALQC-HNNXBMFYSA-N 0 3 317.429 4.107 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1)c1nc2ccccc2s1 ZINC000035143009 1035573979 /nfs/dbraw/zinc/57/39/79/1035573979.db2.gz MPGNJXONWCGOFG-GFCCVEGCSA-N 0 3 300.402 4.329 20 0 DIADHN Cc1cc(CN2CCC(OCCc3ccccc3)CC2)c(C)o1 ZINC000092195524 1035615418 /nfs/dbraw/zinc/61/54/18/1035615418.db2.gz WMQIWKLAGKKSSS-UHFFFAOYSA-N 0 3 313.441 4.120 20 0 DIADHN C[C@@H](CN1CCC2(CC2(F)F)CC1)c1cc(F)cc(F)c1 ZINC000651953182 1035630611 /nfs/dbraw/zinc/63/06/11/1035630611.db2.gz VIMYGNRPBXQILR-NSHDSACASA-N 0 3 301.327 4.190 20 0 DIADHN CCn1nccc1CN[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000118966166 1035643394 /nfs/dbraw/zinc/64/33/94/1035643394.db2.gz ZEBQCDLEVZDOMO-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN C[C@H](c1ccccc1F)N(C)C[C@H](O)Cc1cccc(Cl)c1 ZINC001167062099 1035682789 /nfs/dbraw/zinc/68/27/89/1035682789.db2.gz HDSVGKRMPIPEBR-CZUORRHYSA-N 0 3 321.823 4.076 20 0 DIADHN Fc1ccc(CN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C2CC2)c(F)c1 ZINC001167064658 1035697032 /nfs/dbraw/zinc/69/70/32/1035697032.db2.gz XGDXDWLIPRVIOO-UVGIYENGSA-N 0 3 313.338 4.221 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@H]2[C@@H]2CCCOC2)cs1 ZINC000579828803 1035774557 /nfs/dbraw/zinc/77/45/57/1035774557.db2.gz RBHCRJBIDJUVLF-WBVHZDCISA-N 0 3 322.518 4.123 20 0 DIADHN COc1ccccc1CN(CCCN(C)c1ccccc1)C1CC1 ZINC001167077137 1035791349 /nfs/dbraw/zinc/79/13/49/1035791349.db2.gz JXXOGUQXMBAAKI-UHFFFAOYSA-N 0 3 324.468 4.186 20 0 DIADHN COc1ccccc1CN(CCc1c(F)cccc1F)C1CC1 ZINC001167079068 1035813690 /nfs/dbraw/zinc/81/36/90/1035813690.db2.gz ZRPSJKNMFUSNPC-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cccc3cnccc32)o1 ZINC000137073128 1035863544 /nfs/dbraw/zinc/86/35/44/1035863544.db2.gz VUMYOGLPIIJSNM-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(F)c(OC)c1 ZINC000179431937 1035873450 /nfs/dbraw/zinc/87/34/50/1035873450.db2.gz FKGLPRTXSJDYOB-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1ncc(CN(C)CCCc2ccc(Cl)c(Cl)c2)cn1 ZINC001208836372 1035874416 /nfs/dbraw/zinc/87/44/16/1035874416.db2.gz CYDRPQYOIOYMPH-UHFFFAOYSA-N 0 3 324.255 4.156 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(F)c(OC)c1 ZINC000179431927 1035876636 /nfs/dbraw/zinc/87/66/36/1035876636.db2.gz FKGLPRTXSJDYOB-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@@H](CN1CCC[C@H](Oc2ccc(Cl)cc2)C1)C(F)(F)F ZINC001208891484 1035911880 /nfs/dbraw/zinc/91/18/80/1035911880.db2.gz KCMPMAJOEIMKAZ-FZMZJTMJSA-N 0 3 321.770 4.382 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc(Cl)s2)c(C)s1 ZINC000228321120 1035936790 /nfs/dbraw/zinc/93/67/90/1035936790.db2.gz MLIWMPATDQTZAG-MRVPVSSYSA-N 0 3 300.880 4.368 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)s1)c1ccc(NC(N)=O)cc1 ZINC000037158981 1035956750 /nfs/dbraw/zinc/95/67/50/1035956750.db2.gz ROGQVFRCVGWUDH-ZJUUUORDSA-N 0 3 323.849 4.304 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2ccccc2C)c2ccccc21 ZINC000339756263 1035959564 /nfs/dbraw/zinc/95/95/64/1035959564.db2.gz MPUBAEVYYWKGGQ-BEFAXECRSA-N 0 3 308.425 4.144 20 0 DIADHN CC1(C)CN(CCc2ccc(Cl)cc2Cl)CCCO1 ZINC001209081223 1036007599 /nfs/dbraw/zinc/00/75/99/1036007599.db2.gz LRTXUTANMBAGOH-UHFFFAOYSA-N 0 3 302.245 4.037 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N(C)C[C@@H](C)c1ccccc1 ZINC000066854569 1036002488 /nfs/dbraw/zinc/00/24/88/1036002488.db2.gz PMIVKRJLAKMYIR-SJORKVTESA-N 0 3 310.441 4.058 20 0 DIADHN CCCO[C@H]1CCN(CCc2ccc(Cl)cc2Cl)C1 ZINC001209080850 1036007545 /nfs/dbraw/zinc/00/75/45/1036007545.db2.gz HPIQDJLGSDRRGE-AWEZNQCLSA-N 0 3 302.245 4.037 20 0 DIADHN FC1(F)C[C@]12CCN(CCc1ccc(Cl)cc1Cl)C2 ZINC001209082474 1036008489 /nfs/dbraw/zinc/00/84/89/1036008489.db2.gz TXZBIWPJAUXQFB-ZDUSSCGKSA-N 0 3 306.183 4.267 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc(Cl)c2Cl)c1 ZINC000047452751 1036075721 /nfs/dbraw/zinc/07/57/21/1036075721.db2.gz ILGAUPIWJOJPEP-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN C[C@H](N[C@H]1CCCOC1)c1ccc(Cl)c(Cl)c1Cl ZINC000109545318 1036123257 /nfs/dbraw/zinc/12/32/57/1036123257.db2.gz FKDQAGPDXIJISI-IUCAKERBSA-N 0 3 308.636 4.476 20 0 DIADHN Cc1cccc(CN2CCC[C@H](c3nc(C(C)C)no3)C2)c1F ZINC001137678551 1036152798 /nfs/dbraw/zinc/15/27/98/1036152798.db2.gz OIOGUCISDDCHRR-HNNXBMFYSA-N 0 3 317.408 4.020 20 0 DIADHN Clc1cccc(CNCc2cc(Br)cs2)c1 ZINC000048408879 1036176236 /nfs/dbraw/zinc/17/62/36/1036176236.db2.gz ORLFINZWAKSBJU-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@@H]2CC[C@H](C(F)(F)F)O2)c1 ZINC001167139170 1036179018 /nfs/dbraw/zinc/17/90/18/1036179018.db2.gz XMJRRBUYKPTXCI-HRCADAONSA-N 0 3 313.363 4.242 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(Cl)cc1 ZINC000348221906 1036199025 /nfs/dbraw/zinc/19/90/25/1036199025.db2.gz WJPNZYSWVPQBED-ZWKOTPCHSA-N 0 3 319.807 4.180 20 0 DIADHN COc1cc(CN2CCC(c3ccc(Cl)cc3)CC2)ccn1 ZINC001209637567 1036239585 /nfs/dbraw/zinc/23/95/85/1036239585.db2.gz IPYWXAYTQTWPTD-UHFFFAOYSA-N 0 3 316.832 4.123 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](Cc3ccccc3)C2)o1 ZINC001204460013 1036255067 /nfs/dbraw/zinc/25/50/67/1036255067.db2.gz IAWCDSSFRLNWEN-INIZCTEOSA-N 0 3 301.455 4.456 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(C3CC3)c2)cc1OC ZINC000340168506 1036266265 /nfs/dbraw/zinc/26/62/65/1036266265.db2.gz RPYGILWEHLZGJW-CQSZACIVSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1cccc(C2CC2)c1 ZINC000340171323 1036269025 /nfs/dbraw/zinc/26/90/25/1036269025.db2.gz LYOVXMMPJGPQBI-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc3[nH]ccc31)CCCO2 ZINC000340171963 1036269145 /nfs/dbraw/zinc/26/91/45/1036269145.db2.gz DMPHEOOYOCFJPM-SFHVURJKSA-N 0 3 310.372 4.311 20 0 DIADHN COCCN(CCSC(F)(F)F)Cc1ccc(C)cc1C ZINC001167227060 1036290843 /nfs/dbraw/zinc/29/08/43/1036290843.db2.gz GCKMOCPHMNZGMS-UHFFFAOYSA-N 0 3 321.408 4.005 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001167227728 1036293270 /nfs/dbraw/zinc/29/32/70/1036293270.db2.gz XRSSDJUFRNQEPW-MAUKXSAKSA-N 0 3 316.489 4.433 20 0 DIADHN c1nc2ccccn2c1CN1CCC(CCc2ccccc2)CC1 ZINC001203153259 1036297578 /nfs/dbraw/zinc/29/75/78/1036297578.db2.gz FBXLUKKCDYBCHP-UHFFFAOYSA-N 0 3 319.452 4.179 20 0 DIADHN CSCC1CCN(Cc2oc(-c3ccccc3)nc2C)CC1 ZINC000932589479 1036315257 /nfs/dbraw/zinc/31/52/57/1036315257.db2.gz FODZFXKJCHPETI-UHFFFAOYSA-N 0 3 316.470 4.225 20 0 DIADHN COCCN(C[C@]1(C)CC1(Cl)Cl)[C@H](C)c1ccccc1 ZINC001167247863 1036316477 /nfs/dbraw/zinc/31/64/77/1036316477.db2.gz FWRIFESEKGHEPT-HIFRSBDPSA-N 0 3 316.272 4.280 20 0 DIADHN c1ccc(-c2nnc(CN3CCC[C@@H]3CC3CCCC3)o2)cc1 ZINC000653892869 1036334771 /nfs/dbraw/zinc/33/47/71/1036334771.db2.gz ONUQDMBUIDQUET-QGZVFWFLSA-N 0 3 311.429 4.281 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1Cl)c1ccc(C)cc1 ZINC000120531409 1036344780 /nfs/dbraw/zinc/34/47/80/1036344780.db2.gz DHPSOYHYKBUDBH-INIZCTEOSA-N 0 3 316.832 4.328 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2NCc1cccc2cccnc21 ZINC000121195875 1036356429 /nfs/dbraw/zinc/35/64/29/1036356429.db2.gz ZGQKJZQFVMFWNO-SFHVURJKSA-N 0 3 310.347 4.290 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1ccc(C(F)(F)F)cc1 ZINC000237590996 1036388489 /nfs/dbraw/zinc/38/84/89/1036388489.db2.gz LOQFVXGUYYZAFT-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN CNC(=O)C[C@@H](N[C@@H](C)c1cccc(C2CC2)c1)c1ccccc1 ZINC000340378487 1036391131 /nfs/dbraw/zinc/39/11/31/1036391131.db2.gz ZGVLREFGFUHJQZ-MGPUTAFESA-N 0 3 322.452 4.092 20 0 DIADHN COc1c(Br)cccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001140151147 1036393511 /nfs/dbraw/zinc/39/35/11/1036393511.db2.gz MLSYIUNQAFDFHK-BETUJISGSA-N 0 3 324.262 4.080 20 0 DIADHN CCN(Cc1c(F)cccc1F)C[C@@H]1CCCC12CCOCC2 ZINC001167283463 1036399510 /nfs/dbraw/zinc/39/95/10/1036399510.db2.gz HBFDFUUURFVUHU-HNNXBMFYSA-N 0 3 323.427 4.384 20 0 DIADHN C[C@@H](NCc1ccc(O[C@@H](C)C#N)cc1)c1cccc(Cl)c1 ZINC000237705743 1036399726 /nfs/dbraw/zinc/39/97/26/1036399726.db2.gz HGUAZMVTFMXYMD-UONOGXRCSA-N 0 3 314.816 4.482 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3C[C@@H](C)C[C@H](C)C3)n2)ccc1F ZINC000302954647 1036415686 /nfs/dbraw/zinc/41/56/86/1036415686.db2.gz OSWMQGIMPATACC-OBJOEFQTSA-N 0 3 317.408 4.223 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(-c3ccsc3)cc2)cn1 ZINC000683520878 1036437084 /nfs/dbraw/zinc/43/70/84/1036437084.db2.gz CULKRVNETVSCTN-UHFFFAOYSA-N 0 3 311.454 4.174 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(-c3cccs3)cc2)cn1 ZINC000683520805 1036437533 /nfs/dbraw/zinc/43/75/33/1036437533.db2.gz BAGXNBFWTBXSAC-UHFFFAOYSA-N 0 3 311.454 4.174 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](c1ccccc1)C(C)C ZINC000008002561 1036445889 /nfs/dbraw/zinc/44/58/89/1036445889.db2.gz ABEJUKWTMATHHP-IBGZPJMESA-N 0 3 310.441 4.229 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2)C(C)C)c(F)c1 ZINC000008002845 1036454026 /nfs/dbraw/zinc/45/40/26/1036454026.db2.gz ZXMDOEUMTSERSS-LJQANCHMSA-N 0 3 314.404 4.060 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN[C@@H](C)c1ccccc1 ZINC000655703221 1036494131 /nfs/dbraw/zinc/49/41/31/1036494131.db2.gz CAZKCURNIZQWKW-INIZCTEOSA-N 0 3 305.425 4.340 20 0 DIADHN c1c(CNC2CCC(c3ccccc3)CC2)nn2c1CCCC2 ZINC000655708287 1036497427 /nfs/dbraw/zinc/49/74/27/1036497427.db2.gz MQDCAMMJPMTFMC-UHFFFAOYSA-N 0 3 309.457 4.035 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCc1ccc(CO)cc1 ZINC000389010069 1036531328 /nfs/dbraw/zinc/53/13/28/1036531328.db2.gz JVDPUSGQOHSDPZ-GFCCVEGCSA-N 0 3 318.486 4.092 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1ccccc1F ZINC000655783355 1036540154 /nfs/dbraw/zinc/54/01/54/1036540154.db2.gz DUMAOYBWHGGWBL-KBXCAEBGSA-N 0 3 324.403 4.208 20 0 DIADHN Cc1ccsc1CN[C@H](CC(=O)OC(C)C)c1ccccc1 ZINC000349238963 1036566654 /nfs/dbraw/zinc/56/66/54/1036566654.db2.gz HPEWOBLRSVFQEZ-MRXNPFEDSA-N 0 3 317.454 4.229 20 0 DIADHN COCC1(CN[C@@H](C)c2csc(-c3ccccc3F)n2)CC1 ZINC000655816269 1036566845 /nfs/dbraw/zinc/56/68/45/1036566845.db2.gz SLBROPZXBPHATH-LBPRGKRZSA-N 0 3 320.433 4.026 20 0 DIADHN Cc1ncsc1CN(C)CCc1ccc(C(F)(F)F)cc1 ZINC001206988415 1036586644 /nfs/dbraw/zinc/58/66/44/1036586644.db2.gz CBPVSWKORSXYRT-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN Cc1n[nH]c(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)c1C ZINC001648821915 1036587563 /nfs/dbraw/zinc/58/75/63/1036587563.db2.gz HBYCXTATVFKCIJ-INIZCTEOSA-N 0 3 303.425 4.433 20 0 DIADHN C[C@@H](Cc1ccccn1)NCc1ccc(F)c(Cl)c1Cl ZINC000655843703 1036592116 /nfs/dbraw/zinc/59/21/16/1036592116.db2.gz VGQSCIODBJFDBA-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc2c(s1)CCCC2)[C@@H]1CCCCO1 ZINC000655847629 1036597761 /nfs/dbraw/zinc/59/77/61/1036597761.db2.gz CPUJJHUYPFYVRZ-YDHLFZDLSA-N 0 3 308.491 4.020 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1ccc2ccccc2n1 ZINC000655853152 1036608218 /nfs/dbraw/zinc/60/82/18/1036608218.db2.gz SODMUSDZXLIVOM-NVXWUHKLSA-N 0 3 310.441 4.377 20 0 DIADHN C[C@@H](CNCc1cc(Cl)sc1Cl)c1nccs1 ZINC000312568896 1036621747 /nfs/dbraw/zinc/62/17/47/1036621747.db2.gz ZUUMDPOLJDHLQA-ZETCQYMHSA-N 0 3 307.271 4.405 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000340447713 1036639496 /nfs/dbraw/zinc/63/94/96/1036639496.db2.gz FBFOURHYBQADDD-BREBYQMCSA-N 0 3 306.456 4.125 20 0 DIADHN C[C@H](N[C@@H]1CCSc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000340447239 1036640182 /nfs/dbraw/zinc/64/01/82/1036640182.db2.gz AXIIUPQCUSTICI-IINYFYTJSA-N 0 3 320.845 4.328 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccccc1OC(F)F ZINC000340449670 1036642943 /nfs/dbraw/zinc/64/29/43/1036642943.db2.gz OMVZMSZQQRYSRY-BXUZGUMPSA-N 0 3 322.355 4.191 20 0 DIADHN COc1nsc(CN[C@@H](C)[C@H]2CCC[C@@H](C)C2)c1Cl ZINC001651223093 1036652837 /nfs/dbraw/zinc/65/28/37/1036652837.db2.gz VQDBZZNPWPHZMV-VWYCJHECSA-N 0 3 302.871 4.110 20 0 DIADHN Cc1cc([C@@H](C)NCCC(F)(F)F)sc1Br ZINC000309368889 1036655753 /nfs/dbraw/zinc/65/57/53/1036655753.db2.gz BRHJWYSEKQYXRJ-SSDOTTSWSA-N 0 3 316.186 4.422 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@@H]1CCCC(C)(C)CC1 ZINC000579974018 1036663181 /nfs/dbraw/zinc/66/31/81/1036663181.db2.gz SUFGJIBWMHKMNF-HUUCEWRRSA-N 0 3 304.482 4.185 20 0 DIADHN CC(C)[C@H](NCc1ccc(Br)cn1)c1ccccc1 ZINC000070395914 1036665082 /nfs/dbraw/zinc/66/50/82/1036665082.db2.gz FMYLIBAPNWEYTK-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC3(CCOC3)CC2)c1 ZINC000683668295 1036669559 /nfs/dbraw/zinc/66/95/59/1036669559.db2.gz WVCNEMTVKZKSBC-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN Cc1csc(CCNCc2cc(Cl)ccc2Cl)n1 ZINC000229187725 1036672218 /nfs/dbraw/zinc/67/22/18/1036672218.db2.gz ZNQGZMUXNPCJSR-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CC(C)Oc1ccccc1CNCc1cccc2cnccc21 ZINC001648889294 1036672712 /nfs/dbraw/zinc/67/27/12/1036672712.db2.gz PMKUKAOIIKDNSA-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1Cl ZINC000579982068 1036688912 /nfs/dbraw/zinc/68/89/12/1036688912.db2.gz KSIWSDGZEGBIFH-SKDRFNHKSA-N 0 3 324.774 4.371 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1ccccc1Cl)C1CC1 ZINC000579986503 1036694525 /nfs/dbraw/zinc/69/45/25/1036694525.db2.gz WVHLQHMUJCCKLV-OLZOCXBDSA-N 0 3 308.853 4.178 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](Cc2nccn2C)c2ccccc2)c(C)o1 ZINC000683690034 1036705724 /nfs/dbraw/zinc/70/57/24/1036705724.db2.gz CSPGGCKMOSMSBL-BEFAXECRSA-N 0 3 323.440 4.265 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](Cc2nccn2C)c2ccccc2)c(C)o1 ZINC000683690035 1036706431 /nfs/dbraw/zinc/70/64/31/1036706431.db2.gz CSPGGCKMOSMSBL-DNVCBOLYSA-N 0 3 323.440 4.265 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@H](CN1CCCCC1)c1ccccc1 ZINC000683691258 1036715664 /nfs/dbraw/zinc/71/56/64/1036715664.db2.gz XDCROQOSRUJYIP-VQTJNVASSA-N 0 3 324.468 4.474 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000683691524 1036715899 /nfs/dbraw/zinc/71/58/99/1036715899.db2.gz HWYXINWUIDXLHS-XUVXKRRUSA-N 0 3 313.416 4.333 20 0 DIADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000683693602 1036719362 /nfs/dbraw/zinc/71/93/62/1036719362.db2.gz HNNCNNMZTAJUNT-VCTAVGKDSA-N 0 3 320.433 4.086 20 0 DIADHN CC1(CN2C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)CCC1 ZINC000683696697 1036724784 /nfs/dbraw/zinc/72/47/84/1036724784.db2.gz FYCASRPDMSYHTH-UWVGGRQHSA-N 0 3 303.290 4.239 20 0 DIADHN COc1ccc2c(c1)CCCN(CC[C@@H]1CC1(Cl)Cl)C2 ZINC001167325714 1036734357 /nfs/dbraw/zinc/73/43/57/1036734357.db2.gz DMJMXQMSWSPFLS-CQSZACIVSA-N 0 3 314.256 4.027 20 0 DIADHN COc1ccc2c(c1)CCCN([C@H]1CCc3c1cccc3F)C2 ZINC001167325902 1036736792 /nfs/dbraw/zinc/73/67/92/1036736792.db2.gz HMCMMYJBMNGGQG-FQEVSTJZSA-N 0 3 311.400 4.270 20 0 DIADHN Cc1ccc(Cl)cc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000622704201 1036738854 /nfs/dbraw/zinc/73/88/54/1036738854.db2.gz SHYXFVHAFGOZOE-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2cc(C)c(C)cc2C)c1 ZINC000155508498 1036743034 /nfs/dbraw/zinc/74/30/34/1036743034.db2.gz FASIAUWFBDHXDA-INIZCTEOSA-N 0 3 310.441 4.421 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nc2sc(C)c(C)c2c(N)n1 ZINC000317304142 1036747468 /nfs/dbraw/zinc/74/74/68/1036747468.db2.gz AQAWYDXQWCPGPN-CYBMUJFWSA-N 0 3 318.490 4.045 20 0 DIADHN CCCNc1ccc(CN[C@H]2CCCc3ccc(O)cc32)cc1 ZINC000340510429 1036772034 /nfs/dbraw/zinc/77/20/34/1036772034.db2.gz VLJNYHFVEYYRGI-FQEVSTJZSA-N 0 3 310.441 4.381 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@H]1CCCN1C1CC1 ZINC001167332809 1036787322 /nfs/dbraw/zinc/78/73/22/1036787322.db2.gz IQZOBFDVOKYHPM-SJLPKXTDSA-N 0 3 308.510 4.068 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@@H]1CCCN1C1CC1 ZINC001167332807 1036787700 /nfs/dbraw/zinc/78/77/00/1036787700.db2.gz IQZOBFDVOKYHPM-AEFFLSMTSA-N 0 3 308.510 4.068 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC(CC)CC1 ZINC001167337216 1036802613 /nfs/dbraw/zinc/80/26/13/1036802613.db2.gz KPWRHGKJMHGEKE-UHFFFAOYSA-N 0 3 315.413 4.232 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN(C)CCc1ccccc1F ZINC001167337973 1036806969 /nfs/dbraw/zinc/80/69/69/1036806969.db2.gz ZIWOGFXETUUKGD-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000042145484 1036817980 /nfs/dbraw/zinc/81/79/80/1036817980.db2.gz AJIVLSITNMYJAR-DLBZAZTESA-N 0 3 302.462 4.061 20 0 DIADHN Fc1ccc2nc(NCc3cnc(-c4ccccc4)s3)[nH]c2c1 ZINC000683752007 1036827991 /nfs/dbraw/zinc/82/79/91/1036827991.db2.gz XVGFSMLODRONEL-UHFFFAOYSA-N 0 3 324.384 4.438 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc3cnccc3c2)cc1 ZINC000683765456 1036862410 /nfs/dbraw/zinc/86/24/10/1036862410.db2.gz RSEJAUSEEKJNHG-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1ccc2cnccc2c1 ZINC000683768577 1036875021 /nfs/dbraw/zinc/87/50/21/1036875021.db2.gz HNMUIVZFNINXLN-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2cnccc2c1 ZINC000683775055 1036889447 /nfs/dbraw/zinc/88/94/47/1036889447.db2.gz UFCRZTMHQJHBKI-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1ccc2cnccc2c1 ZINC000683774732 1036892915 /nfs/dbraw/zinc/89/29/15/1036892915.db2.gz KHKULHUZIWAOQB-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1ccc2cnccc2c1 ZINC000683774875 1036893353 /nfs/dbraw/zinc/89/33/53/1036893353.db2.gz MQWJUXRZHLMCLI-AWEZNQCLSA-N 0 3 322.456 4.346 20 0 DIADHN CC(C)c1ncc(CN2CCC(c3ccc(O)cc3)CC2)s1 ZINC000124541063 1036896192 /nfs/dbraw/zinc/89/61/92/1036896192.db2.gz WJPGHJBSGRCAEC-UHFFFAOYSA-N 0 3 316.470 4.352 20 0 DIADHN CO[C@@H]1CCCN(CCc2cc(Cl)ccc2Cl)CC1 ZINC000683785518 1036922596 /nfs/dbraw/zinc/92/25/96/1036922596.db2.gz ZARGHVUWASKOIZ-CQSZACIVSA-N 0 3 302.245 4.037 20 0 DIADHN FC(F)(F)COc1ccccc1CNC/C=C\c1ccccc1 ZINC000517537059 1036926394 /nfs/dbraw/zinc/92/63/94/1036926394.db2.gz FDNZHMPSQSSFCP-TWGQIWQCSA-N 0 3 321.342 4.431 20 0 DIADHN Clc1cccc(CCNCc2nc3c(s2)CCCC3)c1 ZINC000049916928 1036938248 /nfs/dbraw/zinc/93/82/48/1036938248.db2.gz JTODJGXAVBTBBN-UHFFFAOYSA-N 0 3 306.862 4.008 20 0 DIADHN Cn1cncc1CCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000291420301 1036939716 /nfs/dbraw/zinc/93/97/16/1036939716.db2.gz HTVGEZYWIFALSJ-INIZCTEOSA-N 0 3 324.255 4.010 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1COc2c1cccc2Cl ZINC000683816293 1036966343 /nfs/dbraw/zinc/96/63/43/1036966343.db2.gz RNSOMAKGZCRVFX-ZLIFDBKOSA-N 0 3 317.816 4.139 20 0 DIADHN Cc1ncc(CN(C)CCc2ccc(C(F)(F)F)cc2)s1 ZINC001207063910 1036981720 /nfs/dbraw/zinc/98/17/20/1036981720.db2.gz GFDCRQHBPDYNOC-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2scnc2C2CC2)cc1 ZINC000683828038 1036985605 /nfs/dbraw/zinc/98/56/05/1036985605.db2.gz VBYDHRYOTXITIW-OAHLLOKOSA-N 0 3 300.427 4.063 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc2cnccc2c1 ZINC000683836593 1036998177 /nfs/dbraw/zinc/99/81/77/1036998177.db2.gz MUUNFXFSMYQBLD-WBMJQRKESA-N 0 3 308.347 4.398 20 0 DIADHN CCOc1ccc(CN2CCC[C@](C)(c3ccccc3)C2)nc1 ZINC001167368103 1036998272 /nfs/dbraw/zinc/99/82/72/1036998272.db2.gz VLVIEOBATQQNIR-FQEVSTJZSA-N 0 3 310.441 4.034 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc2cnccc2c1 ZINC000683836590 1036998788 /nfs/dbraw/zinc/99/87/88/1036998788.db2.gz MUUNFXFSMYQBLD-BLLLJJGKSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@@H]2CCCC(C)(C)O2)cc1 ZINC001167371514 1037013597 /nfs/dbraw/zinc/01/35/97/1037013597.db2.gz FOQNMBDRWJYNGH-ZWKOTPCHSA-N 0 3 319.514 4.433 20 0 DIADHN CC1(C)C[C@@]1(C)NCc1cccc(Br)c1Cl ZINC000706491408 1037025956 /nfs/dbraw/zinc/02/59/56/1037025956.db2.gz BTNDPHXRBFEKEC-CYBMUJFWSA-N 0 3 302.643 4.381 20 0 DIADHN C[C@@H](NCCc1cn[nH]c1)c1ccc(Cl)c(Cl)c1Cl ZINC000379575139 1037030760 /nfs/dbraw/zinc/03/07/60/1037030760.db2.gz XMBGHFAHFXOEGI-MRVPVSSYSA-N 0 3 318.635 4.263 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1ccccc1Cl ZINC000070988760 1037040444 /nfs/dbraw/zinc/04/04/44/1037040444.db2.gz GSACBUJSVXHNBO-ACJLOTCBSA-N 0 3 301.817 4.305 20 0 DIADHN Cc1cc(CN2CCC(Sc3ccncc3)CC2)oc1C ZINC001204533060 1037049531 /nfs/dbraw/zinc/04/95/31/1037049531.db2.gz KWPHDPLYGSIBAZ-UHFFFAOYSA-N 0 3 302.443 4.048 20 0 DIADHN Cc1cc(CN2CCC(n3ncc4ccccc43)CC2)oc1C ZINC001204533141 1037055405 /nfs/dbraw/zinc/05/54/05/1037055405.db2.gz LWWDZBLTKNQYOL-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)c1ccc2c(c1)CCC(=O)N2 ZINC000047826934 1037058767 /nfs/dbraw/zinc/05/87/67/1037058767.db2.gz HMQKHADFEFWSJW-SNVBAGLBSA-N 0 3 320.845 4.137 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CCC[C@@H]1C(=O)OCc1ccccc1 ZINC001167381153 1037059333 /nfs/dbraw/zinc/05/93/33/1037059333.db2.gz KHKCVBUZUFXWPD-NZSAHSFTSA-N 0 3 315.457 4.021 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)c1ccc2c(c1)CCC(=O)N2 ZINC000047827878 1037064319 /nfs/dbraw/zinc/06/43/19/1037064319.db2.gz GETQUOGBDJNDBO-LBPRGKRZSA-N 0 3 314.816 4.076 20 0 DIADHN CC(C)c1cnc(CNCCC[C@H]2CC2(Cl)Cl)s1 ZINC000846104704 1037095510 /nfs/dbraw/zinc/09/55/10/1037095510.db2.gz KDLNXYLXGGICMY-JTQLQIEISA-N 0 3 307.290 4.330 20 0 DIADHN C[C@@H]1C[C@@H](NCc2sccc2Cl)CN1Cc1ccccc1 ZINC000398004211 1037074426 /nfs/dbraw/zinc/07/44/26/1037074426.db2.gz ACUAUFOGMNTSQG-UKRRQHHQSA-N 0 3 320.889 4.154 20 0 DIADHN CO[C@@H](CNCc1ccc(Cl)c(C)c1Cl)C(C)(C)C ZINC001656592554 1037119044 /nfs/dbraw/zinc/11/90/44/1037119044.db2.gz YRMIMZWHQZWRAM-ZDUSSCGKSA-N 0 3 304.261 4.453 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2nc(C(C)(C)C)cs2)c(C)c1 ZINC000580440494 1037133122 /nfs/dbraw/zinc/13/31/22/1037133122.db2.gz ZTZKDUVYBLUUBA-CQSZACIVSA-N 0 3 317.502 4.346 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1cc2cc(Cl)ccc2o1 ZINC000125831921 1037179804 /nfs/dbraw/zinc/17/98/04/1037179804.db2.gz WMFGJQXFOQFHLA-NWDGAFQWSA-N 0 3 303.793 4.022 20 0 DIADHN Cc1cccc([C@@H](O)CN2CCC[C@@H]2c2ccc(F)c(F)c2)c1 ZINC001167420151 1037206966 /nfs/dbraw/zinc/20/69/66/1037206966.db2.gz BDCCNNSUZOUNHB-MOPGFXCFSA-N 0 3 317.379 4.144 20 0 DIADHN CCOc1cccc(Cl)c1CN1CCc2ccccc2CC1 ZINC001167421537 1037218274 /nfs/dbraw/zinc/21/82/74/1037218274.db2.gz WACVXQGTRDWNIH-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CCCCCO)s2)cc1C ZINC000656258764 1037220834 /nfs/dbraw/zinc/22/08/34/1037220834.db2.gz VGIREDWGYXWBLZ-UHFFFAOYSA-N 0 3 318.486 4.021 20 0 DIADHN CC1(C)CCN1Cc1c[nH]nc1-c1ccc(C(F)(F)F)cc1 ZINC000580612083 1037225229 /nfs/dbraw/zinc/22/52/29/1037225229.db2.gz BRTVHGBMGXVTJZ-UHFFFAOYSA-N 0 3 309.335 4.080 20 0 DIADHN CN(c1ccccc1)C1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000684021245 1037243433 /nfs/dbraw/zinc/24/34/33/1037243433.db2.gz UGGJOSKNOXSNSN-UHFFFAOYSA-N 0 3 319.452 4.269 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(OC2CCCCC2)CC1 ZINC000684021194 1037245871 /nfs/dbraw/zinc/24/58/71/1037245871.db2.gz RIXBWUTWYXOCCW-UHFFFAOYSA-N 0 3 312.457 4.482 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCCO[C@H](c2ccccc2)C1 ZINC000684022000 1037249054 /nfs/dbraw/zinc/24/90/54/1037249054.db2.gz AYSJIQJXPUADIR-FQEVSTJZSA-N 0 3 306.409 4.132 20 0 DIADHN c1cc(CN2CCC(c3ccc4cccnc4n3)CC2)cs1 ZINC000656270454 1037251831 /nfs/dbraw/zinc/25/18/31/1037251831.db2.gz AVXHDDJSSGDYAK-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125469476 1037273747 /nfs/dbraw/zinc/27/37/47/1037273747.db2.gz QHRKHZGUVCLZOT-GOSISDBHSA-N 0 3 322.537 4.317 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1ccc(F)cc1 ZINC000580860651 1037336554 /nfs/dbraw/zinc/33/65/54/1037336554.db2.gz JXNGKQOSTDBZSO-QGZVFWFLSA-N 0 3 301.409 4.283 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H]4CCC[C@@H]43)co2)c1 ZINC001167438021 1037347324 /nfs/dbraw/zinc/34/73/24/1037347324.db2.gz KSDAIMYLMRRBKU-KDOFPFPSSA-N 0 3 312.413 4.115 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2c(C)cccc2Cl)CC1 ZINC000580933548 1037369128 /nfs/dbraw/zinc/36/91/28/1037369128.db2.gz DKFKNVSBPZSJIE-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CCc1ccc(CN2C[C@@H](C)C[C@@H]2c2cccc(OC)c2)nc1 ZINC000580940321 1037376165 /nfs/dbraw/zinc/37/61/65/1037376165.db2.gz LTBLCJRGDBKMKS-MGPUTAFESA-N 0 3 310.441 4.236 20 0 DIADHN Cc1cccc(C(NCC2=CCCOC2)c2cccc(C)c2)c1 ZINC000127788542 1037393203 /nfs/dbraw/zinc/39/32/03/1037393203.db2.gz FCCOHQMSAVZFBD-UHFFFAOYSA-N 0 3 307.437 4.329 20 0 DIADHN C[C@H](c1ccccc1)N1CCC2(CC1)C[C@@H](O)c1ccccc1O2 ZINC000933076044 1037407906 /nfs/dbraw/zinc/40/79/06/1037407906.db2.gz RXODYVOCXNXQNU-VQIMIIECSA-N 0 3 323.436 4.098 20 0 DIADHN CCOc1ccc(CN2CCSC3(CCCCC3)C2)cc1F ZINC001204551962 1037445506 /nfs/dbraw/zinc/44/55/06/1037445506.db2.gz LPGVWIUSGFMQGX-UHFFFAOYSA-N 0 3 323.477 4.476 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1cnc(C2CCCCC2)s1 ZINC000581231624 1037486960 /nfs/dbraw/zinc/48/69/60/1037486960.db2.gz SUINESHJFDNGPR-ZBFHGGJFSA-N 0 3 322.518 4.316 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2cccc(F)c2)cc1OC ZINC000067690298 1037520921 /nfs/dbraw/zinc/52/09/21/1037520921.db2.gz QLXMCCJBGIKCIT-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN COc1ccc(-c2nc(CN3[C@@H](C)CCC[C@@H]3C)co2)cc1 ZINC000210546522 1037545181 /nfs/dbraw/zinc/54/51/81/1037545181.db2.gz IWYLYYLQGOMTNP-KBPBESRZSA-N 0 3 300.402 4.113 20 0 DIADHN Cc1ccc([C@@H](NCCCOC(C)(C)C)c2ccccn2)cc1 ZINC000684213019 1037568787 /nfs/dbraw/zinc/56/87/87/1037568787.db2.gz ZYTDBBWVWSJUNZ-LJQANCHMSA-N 0 3 312.457 4.274 20 0 DIADHN CCC(CC)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001625869904 1037598188 /nfs/dbraw/zinc/59/81/88/1037598188.db2.gz HVLLLTFIVQIXQF-UHFFFAOYSA-N 0 3 316.367 4.142 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089903591 1037601910 /nfs/dbraw/zinc/60/19/10/1037601910.db2.gz IXKUSRJFOGZVTM-CQSZACIVSA-N 0 3 303.406 4.101 20 0 DIADHN CC(C)CC[C@@H](C)N[C@H](C)c1ncc(Br)s1 ZINC000126371214 1037606777 /nfs/dbraw/zinc/60/67/77/1037606777.db2.gz LRVDDBFMCQFSIS-NXEZZACHSA-N 0 3 305.285 4.381 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)N(c2ccccc2)C(C)C)s1 ZINC000754673340 1037613679 /nfs/dbraw/zinc/61/36/79/1037613679.db2.gz WTAIYEOYLXWZAL-HNNXBMFYSA-N 0 3 316.470 4.149 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1cc(C)cc(C)c1 ZINC001167461205 1037634815 /nfs/dbraw/zinc/63/48/15/1037634815.db2.gz BQMPZNVTALICGP-FUHWJXTLSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)c1ccc(C)cc1 ZINC000341048446 1037639273 /nfs/dbraw/zinc/63/92/73/1037639273.db2.gz WJLMYOFBXMQKMD-KSSFIOAISA-N 0 3 321.424 4.183 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](c3ccccc3C)C[C@H]2C)cc1 ZINC001167464745 1037669489 /nfs/dbraw/zinc/66/94/89/1037669489.db2.gz QDYJIALQLRSYIP-MSOLQXFVSA-N 0 3 322.452 4.120 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccsc2)cc(Cl)c1O ZINC000656599202 1037678870 /nfs/dbraw/zinc/67/88/70/1037678870.db2.gz DZFWYHFIPDFQPN-JTQLQIEISA-N 0 3 311.834 4.357 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000072775499 1037695374 /nfs/dbraw/zinc/69/53/74/1037695374.db2.gz RCHDZPRCACSONT-CZUORRHYSA-N 0 3 317.408 4.276 20 0 DIADHN C[C@@H](CN1CC[C@@H](n2cc(Cl)cn2)C1)c1cccc(Cl)c1 ZINC000581517534 1037704742 /nfs/dbraw/zinc/70/47/42/1037704742.db2.gz KRSOGOQTCCYOHE-BLLLJJGKSA-N 0 3 324.255 4.240 20 0 DIADHN Cc1sc(CN[C@@H](C)Cc2ccn(C(C)C)n2)nc1C(C)C ZINC000341183259 1037712287 /nfs/dbraw/zinc/71/22/87/1037712287.db2.gz IEZXRFXFODVQEW-ZDUSSCGKSA-N 0 3 320.506 4.073 20 0 DIADHN Cc1cnccc1CN1CC(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000656655418 1037744237 /nfs/dbraw/zinc/74/42/37/1037744237.db2.gz GPCOIOGTDLNIKT-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(OCC(F)F)cc1 ZINC000581575311 1037748094 /nfs/dbraw/zinc/74/80/94/1037748094.db2.gz KAEWNCQKXUSRIH-KRWDZBQOSA-N 0 3 320.383 4.309 20 0 DIADHN CSc1ccc(CN2CC[C@@H](COc3ccccc3)C2)s1 ZINC000581580010 1037752375 /nfs/dbraw/zinc/75/23/75/1037752375.db2.gz BLJTVGKZXFGAAO-CQSZACIVSA-N 0 3 319.495 4.371 20 0 DIADHN COc1ccccc1-c1ncc(CN(C)[C@H](C)C(C)(C)C)cn1 ZINC000581584717 1037754565 /nfs/dbraw/zinc/75/45/65/1037754565.db2.gz QMALDYGCVWHHAY-CQSZACIVSA-N 0 3 313.445 4.019 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc(Cl)s2)c1 ZINC000656685128 1037790196 /nfs/dbraw/zinc/79/01/96/1037790196.db2.gz KPAJXJYGGLIQAI-VIFPVBQESA-N 0 3 301.864 4.460 20 0 DIADHN COCc1csc(CN[C@@H]2CCc3ccc(Cl)cc32)c1 ZINC000656685477 1037794286 /nfs/dbraw/zinc/79/42/86/1037794286.db2.gz ROUMCRZIWJOOGI-MRXNPFEDSA-N 0 3 307.846 4.325 20 0 DIADHN CCc1cccc2cc(CNc3cc(CN(C)C)ccn3)oc21 ZINC000656766799 1037851284 /nfs/dbraw/zinc/85/12/84/1037851284.db2.gz DQSKFTCAJRRHJU-UHFFFAOYSA-N 0 3 309.413 4.064 20 0 DIADHN CCCCOc1ccccc1CN[C@H]1CCc2cc(N)ccc21 ZINC000656791525 1037871326 /nfs/dbraw/zinc/87/13/26/1037871326.db2.gz IEFPOAKJYRICBX-IBGZPJMESA-N 0 3 310.441 4.225 20 0 DIADHN c1sc2ccccc2c1CNc1ccc([C@@H]2CNCCO2)cc1 ZINC000656793539 1037875338 /nfs/dbraw/zinc/87/53/38/1037875338.db2.gz ULKDXKJPDWIFCP-SFHVURJKSA-N 0 3 324.449 4.174 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000341333417 1037898113 /nfs/dbraw/zinc/89/81/13/1037898113.db2.gz ZRZDHYADMSKSEK-NRPADANISA-N 0 3 308.274 4.181 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000341333419 1037898414 /nfs/dbraw/zinc/89/84/14/1037898414.db2.gz ZRZDHYADMSKSEK-WEDXCCLWSA-N 0 3 308.274 4.181 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@H](OC)C1)c1nc(C(F)(F)F)cs1 ZINC000684381852 1037907382 /nfs/dbraw/zinc/90/73/82/1037907382.db2.gz RLMOPKGAVQFQGE-DCAQKATOSA-N 0 3 322.396 4.160 20 0 DIADHN CO[C@@H]1CCC[C@@H](N[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000684389413 1037920240 /nfs/dbraw/zinc/92/02/40/1037920240.db2.gz JKPUNFLRNKVIQM-QWFCFKBJSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1CCCc2cc(F)ccc21 ZINC000341388386 1037955815 /nfs/dbraw/zinc/95/58/15/1037955815.db2.gz BYTQDAHRFRWGJV-GOSISDBHSA-N 0 3 301.409 4.079 20 0 DIADHN CO[C@@H]1CCC[C@H](N[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000684413020 1037958387 /nfs/dbraw/zinc/95/83/87/1037958387.db2.gz LQRQIIVTRMQVJS-NLWGTHIKSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2cccc3ccccc32)s1 ZINC000090405505 1037978709 /nfs/dbraw/zinc/97/87/09/1037978709.db2.gz BYSCVAYWLVYAAY-ZDUSSCGKSA-N 0 3 312.438 4.334 20 0 DIADHN FC(F)(F)c1ccccc1CN(Cc1cnc[nH]1)C1CCCC1 ZINC000093103984 1037981550 /nfs/dbraw/zinc/98/15/50/1037981550.db2.gz IUZRKEWQMAJCIX-UHFFFAOYSA-N 0 3 323.362 4.373 20 0 DIADHN CC[C@H](F)CN[C@@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000581862960 1038040547 /nfs/dbraw/zinc/04/05/47/1038040547.db2.gz KGDKUJFFZZPPLF-YOEHRIQHSA-N 0 3 302.393 4.059 20 0 DIADHN CC(C)CC[C@@H](NCC(=O)N1CCCC1)c1ccc(Cl)cc1 ZINC000341471484 1038055782 /nfs/dbraw/zinc/05/57/82/1038055782.db2.gz OEIVZZKQFGYCDT-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1nc(CCN[C@@H](CC(F)(F)F)c2ccccc2)cs1 ZINC000223669181 1038070976 /nfs/dbraw/zinc/07/09/76/1038070976.db2.gz UNDRDPGEVMAONR-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccccn2)c2c(n1)CCCC2 ZINC000891629694 1038091173 /nfs/dbraw/zinc/09/11/73/1038091173.db2.gz HGMKYVQWBLPQIY-HXUWFJFHSA-N 0 3 307.441 4.001 20 0 DIADHN O=C(CN1CCC2(C1)CCCCC2)Nc1ccc2ccccc2c1 ZINC001167487885 1038093766 /nfs/dbraw/zinc/09/37/66/1038093766.db2.gz SZIDTABAAGKDNW-UHFFFAOYSA-N 0 3 322.452 4.435 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)s1 ZINC000090418535 1038115507 /nfs/dbraw/zinc/11/55/07/1038115507.db2.gz KDEVDAKMFYDVNK-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1cncc(Br)c1 ZINC000581954845 1038171966 /nfs/dbraw/zinc/17/19/66/1038171966.db2.gz LOSPWJVXRLRAFI-NWDGAFQWSA-N 0 3 305.219 4.256 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000581959158 1038174844 /nfs/dbraw/zinc/17/48/44/1038174844.db2.gz UBNLWKBQGGXMJT-ZBFHGGJFSA-N 0 3 305.805 4.230 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000581959155 1038175219 /nfs/dbraw/zinc/17/52/19/1038175219.db2.gz UBNLWKBQGGXMJT-GDBMZVCRSA-N 0 3 305.805 4.230 20 0 DIADHN COc1ccc(C2(NCc3cc(F)cc4cccnc43)CC2)cc1 ZINC000341685561 1038225637 /nfs/dbraw/zinc/22/56/37/1038225637.db2.gz SJAFZAACTYYBKO-UHFFFAOYSA-N 0 3 322.383 4.161 20 0 DIADHN CC(C)(C)n1ncnc1CNC1CCC(C2CCCCC2)CC1 ZINC000669686171 1038232316 /nfs/dbraw/zinc/23/23/16/1038232316.db2.gz TYNYBTGMPSVLQH-UHFFFAOYSA-N 0 3 318.509 4.262 20 0 DIADHN Cc1ccc(OCc2nc(CNC3(C)CCCC3)cs2)cc1 ZINC000603512404 1038236102 /nfs/dbraw/zinc/23/61/02/1038236102.db2.gz AYVLEKIBWRIALX-UHFFFAOYSA-N 0 3 316.470 4.453 20 0 DIADHN Cc1nn(C)cc1CN1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001204577596 1038242965 /nfs/dbraw/zinc/24/29/65/1038242965.db2.gz BLWAOOFBMDEGDK-ZDUSSCGKSA-N 0 3 324.255 4.025 20 0 DIADHN CN(C)C[C@H](N[C@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000341762878 1038261892 /nfs/dbraw/zinc/26/18/92/1038261892.db2.gz VXIVVQOWZMIDFH-PMACEKPBSA-N 0 3 312.432 4.096 20 0 DIADHN CN(C)C[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000341762881 1038262313 /nfs/dbraw/zinc/26/23/13/1038262313.db2.gz VXIVVQOWZMIDFH-WOJBJXKFSA-N 0 3 312.432 4.096 20 0 DIADHN CC(C)=C[C@H]1[C@H](C(=O)Nc2ccc(C)cc2CN(C)C)C1(C)C ZINC000603694981 1038265950 /nfs/dbraw/zinc/26/59/50/1038265950.db2.gz WIESLMZGLLAIDM-FUHWJXTLSA-N 0 3 314.473 4.234 20 0 DIADHN CC[C@@H](Cc1ccccc1)C(=O)Nc1ccc(C)cc1CN(C)C ZINC000603694579 1038266598 /nfs/dbraw/zinc/26/65/98/1038266598.db2.gz LSALMOHSXFYMKF-SFHVURJKSA-N 0 3 324.468 4.264 20 0 DIADHN Cc1ccc(NC(=O)C[C@H]2CCCC[C@H]2C)c(CN(C)C)c1 ZINC000603694876 1038266714 /nfs/dbraw/zinc/26/67/14/1038266714.db2.gz UANXRBJVTFCDEC-HZPDHXFCSA-N 0 3 302.462 4.212 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2NCc1ccc2ncccc2c1 ZINC000684557722 1038266974 /nfs/dbraw/zinc/26/69/74/1038266974.db2.gz DVDZYJONZPNABS-IBGZPJMESA-N 0 3 310.347 4.290 20 0 DIADHN c1[nH]nc(-c2cccnc2)c1CNCc1ccc(C2CCC2)cc1 ZINC000276903910 1038270527 /nfs/dbraw/zinc/27/05/27/1038270527.db2.gz IDKNRFQCZBAYQA-UHFFFAOYSA-N 0 3 318.424 4.029 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(OCC(F)F)cc2)CC1 ZINC000647224452 1038305864 /nfs/dbraw/zinc/30/58/64/1038305864.db2.gz IQHQCHLSRPGJOP-UHFFFAOYSA-N 0 3 317.379 4.418 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(Cl)cc32)cc1O ZINC000535687054 1038315223 /nfs/dbraw/zinc/31/52/23/1038315223.db2.gz VCSIGQIPIOYQNW-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN OCC[C@@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000535687141 1038315488 /nfs/dbraw/zinc/31/54/88/1038315488.db2.gz YWDUIGXCZRWARH-RTBURBONSA-N 0 3 315.844 4.431 20 0 DIADHN COc1cccc(CN(C)[C@@H]2CCCc3ccccc32)c1OC ZINC000535781918 1038336255 /nfs/dbraw/zinc/33/62/55/1038336255.db2.gz NMSYJCTYSKKYQB-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN COc1ccc(CN2C3CCC2CC3)cc1OCc1ccccc1 ZINC000535780257 1038339246 /nfs/dbraw/zinc/33/92/46/1038339246.db2.gz JSKPOVAMFSPYHA-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN CCOc1ccccc1-c1noc([C@H](C)N2[C@H](C)CC[C@@H]2C)n1 ZINC000277000233 1038349171 /nfs/dbraw/zinc/34/91/71/1038349171.db2.gz ZASMFHUTJAXRPX-MJBXVCDLSA-N 0 3 315.417 4.069 20 0 DIADHN C[C@H]1CCCC[C@H]1CCNCc1nccn1Cc1ccccc1 ZINC000604065851 1038354322 /nfs/dbraw/zinc/35/43/22/1038354322.db2.gz NOZHTSSPRSKTKU-HKUYNNGSSA-N 0 3 311.473 4.237 20 0 DIADHN Cc1noc(C[C@H](N[C@@H](C)c2cccs2)c2ccccc2)n1 ZINC000277085484 1038365529 /nfs/dbraw/zinc/36/55/29/1038365529.db2.gz KEKFZFCZZCXLCZ-WFASDCNBSA-N 0 3 313.426 4.074 20 0 DIADHN Cc1noc(C[C@@H](N[C@H](C)c2ccccc2C)c2ccccc2)n1 ZINC000277145272 1038387637 /nfs/dbraw/zinc/38/76/37/1038387637.db2.gz PQGXEZNBAVJOSJ-DNVCBOLYSA-N 0 3 321.424 4.321 20 0 DIADHN CO[C@H]1CCCN(Cc2cc(Cl)ccc2OCC2CC2)CC1 ZINC000684619770 1038395620 /nfs/dbraw/zinc/39/56/20/1038395620.db2.gz OKQFNVIWHMEROY-KRWDZBQOSA-N 0 3 323.864 4.130 20 0 DIADHN CC(C)[C@H](CC1CCCCC1)C(=O)N1CCCN(C(C)C)CC1 ZINC000604324459 1038402768 /nfs/dbraw/zinc/40/27/68/1038402768.db2.gz CVONSBAXUFUIDZ-IBGZPJMESA-N 0 3 322.537 4.172 20 0 DIADHN CC[C@H](N[C@H](Cc1nc(C)no1)c1ccccc1)c1ccccc1 ZINC000277164911 1038406382 /nfs/dbraw/zinc/40/63/82/1038406382.db2.gz RKYNGXRVIAHKMX-RBUKOAKNSA-N 0 3 321.424 4.403 20 0 DIADHN CCOC1CCC(NCc2c(F)ccc(F)c2Cl)CC1 ZINC000707792933 1038407953 /nfs/dbraw/zinc/40/79/53/1038407953.db2.gz GGANBSFCDCFZGI-UHFFFAOYSA-N 0 3 303.780 4.056 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(-c2cccc(F)c2C)o1 ZINC000604416703 1038413839 /nfs/dbraw/zinc/41/38/39/1038413839.db2.gz ZWECLAUQXAWIBY-SFHVURJKSA-N 0 3 319.420 4.281 20 0 DIADHN COC(=O)C1CCN(Cc2ccc(C(C)C)cc2C(C)C)CC1 ZINC000604434701 1038415676 /nfs/dbraw/zinc/41/56/76/1038415676.db2.gz RFAZHDQZMDMLQA-UHFFFAOYSA-N 0 3 317.473 4.318 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H]2c2cccc(C)c2)c(C)o1 ZINC000604512232 1038423632 /nfs/dbraw/zinc/42/36/32/1038423632.db2.gz SLRMKBDMEWECNE-KRWDZBQOSA-N 0 3 313.397 4.020 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCc4ccccc4CC3)o2)cc1 ZINC000604759458 1038449579 /nfs/dbraw/zinc/44/95/79/1038449579.db2.gz XVUAYYIMOSRTQA-UHFFFAOYSA-N 0 3 322.383 4.082 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@@H](c2ccccc2)[C@@H](C)C1 ZINC001167499624 1038452826 /nfs/dbraw/zinc/45/28/26/1038452826.db2.gz LYWQHYNOQIVQPL-DUVNUKRYSA-N 0 3 301.459 4.033 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ncc(-c2ccc(F)cc2)o1 ZINC000605000261 1038475565 /nfs/dbraw/zinc/47/55/65/1038475565.db2.gz BKLYJJFHCNLZKB-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC000605000692 1038475592 /nfs/dbraw/zinc/47/55/92/1038475592.db2.gz SVWGGMXQZVSSDW-GOSISDBHSA-N 0 3 301.409 4.022 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)CC(C)(C)C)o2)cc1 ZINC000605009132 1038477643 /nfs/dbraw/zinc/47/76/43/1038477643.db2.gz PNXXEHFPBXEUKV-INIZCTEOSA-N 0 3 301.430 4.142 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@@H](O)CC(C)(C)C)o1 ZINC000605009116 1038477965 /nfs/dbraw/zinc/47/79/65/1038477965.db2.gz OUWJDKUBINGTCN-AWEZNQCLSA-N 0 3 319.420 4.281 20 0 DIADHN Clc1ccc(Cl)c(CNCC[C@H]2CCOC2)c1Cl ZINC000230164793 1038483858 /nfs/dbraw/zinc/48/38/58/1038483858.db2.gz KOJITJRANXWBAG-VIFPVBQESA-N 0 3 308.636 4.163 20 0 DIADHN CCCN(Cc1cc(C(=O)OC)c(C)o1)Cc1ccc(C)cc1 ZINC000611565453 1038501487 /nfs/dbraw/zinc/50/14/87/1038501487.db2.gz GBBPUBFZRFHGPB-UHFFFAOYSA-N 0 3 315.413 4.095 20 0 DIADHN COc1cccc(Cl)c1CNCC(C)(C)Oc1ccccc1 ZINC000605322506 1038507052 /nfs/dbraw/zinc/50/70/52/1038507052.db2.gz XVEILSZWYOIUMP-UHFFFAOYSA-N 0 3 319.832 4.296 20 0 DIADHN CC(C)(C)[C@H]1OCCC[C@H]1CNCc1c(F)cccc1Cl ZINC000605323442 1038507125 /nfs/dbraw/zinc/50/71/25/1038507125.db2.gz WYDBVMKYIAKOKB-LRDDRELGSA-N 0 3 313.844 4.410 20 0 DIADHN CC(C)Oc1ccccc1CNCCOC1CCCCCC1 ZINC000605322772 1038507283 /nfs/dbraw/zinc/50/72/83/1038507283.db2.gz DCBHGNCZBOWMTC-UHFFFAOYSA-N 0 3 305.462 4.303 20 0 DIADHN CCC[C@H](NCc1cc(C(F)(F)F)nn1C)c1ccccc1 ZINC000657293106 1038508665 /nfs/dbraw/zinc/50/86/65/1038508665.db2.gz XOMIJZCWAVFTBY-AWEZNQCLSA-N 0 3 311.351 4.070 20 0 DIADHN CC(C)c1ccc([C@H](NCc2cnc3n2CCC3)C(C)C)cc1 ZINC000657293140 1038509455 /nfs/dbraw/zinc/50/94/55/1038509455.db2.gz JIIQUPOHGUIOTL-HXUWFJFHSA-N 0 3 311.473 4.440 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cc(COC)cs2)cc1 ZINC000657295360 1038511774 /nfs/dbraw/zinc/51/17/74/1038511774.db2.gz OELYJVDCIJAWBF-ZDUSSCGKSA-N 0 3 305.443 4.144 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CO[C@@H](CC)C3)oc21 ZINC000657295654 1038512442 /nfs/dbraw/zinc/51/24/42/1038512442.db2.gz GWOZNGHPZOZHKR-CFVMTHIKSA-N 0 3 303.402 4.050 20 0 DIADHN O=[N+]([O-])c1ccc(CN[C@@H]2CCCc3ccccc32)cc1Cl ZINC000187611505 1038515186 /nfs/dbraw/zinc/51/51/86/1038515186.db2.gz NCQQVVOUFJFRHA-MRXNPFEDSA-N 0 3 316.788 4.415 20 0 DIADHN Cc1cccc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1C ZINC000342283343 1038516228 /nfs/dbraw/zinc/51/62/28/1038516228.db2.gz QAPWCZDBGTYBDC-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc3c(C)cccn13)CCC2 ZINC000342303956 1038524220 /nfs/dbraw/zinc/52/42/20/1038524220.db2.gz FQVXHIPMWJWYCN-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(C)cc32)c(OC)c1 ZINC000342323668 1038534000 /nfs/dbraw/zinc/53/40/00/1038534000.db2.gz ZDYCTCWRKJBSTK-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(C)cc32)c(OC)c1 ZINC000342323667 1038534668 /nfs/dbraw/zinc/53/46/68/1038534668.db2.gz ZDYCTCWRKJBSTK-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN CCOc1ccc(CNCc2cc3ccc(C)cc3[nH]2)cc1OC ZINC000657307969 1038538575 /nfs/dbraw/zinc/53/85/75/1038538575.db2.gz JOKCNUGOPMRJHM-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1sc(C)nc1C(F)(F)F ZINC000183612581 1038545887 /nfs/dbraw/zinc/54/58/87/1038545887.db2.gz RHUQFEQWFREKGJ-MRVPVSSYSA-N 0 3 312.426 4.044 20 0 DIADHN Cc1nc(CN(CCc2ccc(F)cc2)CC(C)C)cs1 ZINC000605708890 1038548076 /nfs/dbraw/zinc/54/80/76/1038548076.db2.gz OGGSDMWGCKOKSY-UHFFFAOYSA-N 0 3 306.450 4.291 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccccc1OC)c1c(C)nn(C)c1C ZINC000151946998 1038550050 /nfs/dbraw/zinc/55/00/50/1038550050.db2.gz JOYLWFHVKSAHCW-DLBZAZTESA-N 0 3 315.461 4.238 20 0 DIADHN Cc1cccn2cc(CN3C[C@H](c4ccc(F)cc4)C[C@@H]3C)nc12 ZINC000605764172 1038551412 /nfs/dbraw/zinc/55/14/12/1038551412.db2.gz HWJZINNDZSFELY-DOTOQJQBSA-N 0 3 323.415 4.160 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2c(C)noc2CC)C12CCCCC2 ZINC001167540308 1038556763 /nfs/dbraw/zinc/55/67/63/1038556763.db2.gz ZAMPBZHYCHOMPU-ZWKOTPCHSA-N 0 3 320.477 4.105 20 0 DIADHN Cc1cccnc1[C@H](NCc1cccc(N(C)C)c1)C(C)(C)C ZINC000934290111 1038581695 /nfs/dbraw/zinc/58/16/95/1038581695.db2.gz WHAQKVPPPFXAJA-IBGZPJMESA-N 0 3 311.473 4.333 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccc(F)c(OC(F)(F)F)c2)CC1 ZINC001143436141 1038582147 /nfs/dbraw/zinc/58/21/47/1038582147.db2.gz MAWXANGQZFCCTN-CQSZACIVSA-N 0 3 323.305 4.438 20 0 DIADHN CC[C@@H](NCc1ccnn1C)c1ccc(Oc2ccccc2)cc1 ZINC000152192126 1038583517 /nfs/dbraw/zinc/58/35/17/1038583517.db2.gz PXPZYOLOTIXAJL-HXUWFJFHSA-N 0 3 321.424 4.453 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H]3CCCOc4ccccc43)cc21 ZINC000657338586 1038583681 /nfs/dbraw/zinc/58/36/81/1038583681.db2.gz CAKQLXUURSSPSG-SFHVURJKSA-N 0 3 306.409 4.480 20 0 DIADHN CSCC[C@H](C)N(C)Cc1nc(C(C)C)n2ccccc12 ZINC000563252728 1038585062 /nfs/dbraw/zinc/58/50/62/1038585062.db2.gz KJDPMJKJTQPZCI-AWEZNQCLSA-N 0 3 305.491 4.031 20 0 DIADHN COCC[C@H](C)N[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000563256301 1038590178 /nfs/dbraw/zinc/59/01/78/1038590178.db2.gz SASJMTMAECHSME-ZUZCIYMTSA-N 0 3 302.245 4.459 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3c2cccc3O)c(C)c1 ZINC000657351520 1038594937 /nfs/dbraw/zinc/59/49/37/1038594937.db2.gz PIYRTGGZRSJHMN-LJQANCHMSA-N 0 3 311.425 4.267 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2occc21)c1ccc2c(c1)OCCO2 ZINC000152247901 1038598040 /nfs/dbraw/zinc/59/80/40/1038598040.db2.gz LSHXVOZOJVBXFZ-CVEARBPZSA-N 0 3 313.397 4.169 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2noc3c2CCCC3)C1 ZINC000606435380 1038601635 /nfs/dbraw/zinc/60/16/35/1038601635.db2.gz ZQFAFAUIXLODQZ-JKSUJKDBSA-N 0 3 310.441 4.240 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccccc2C)no1 ZINC000606434181 1038602092 /nfs/dbraw/zinc/60/20/92/1038602092.db2.gz OPIJARSGTTVWFR-PBHICJAKSA-N 0 3 313.445 4.446 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1Cc1cccc2cccnc21 ZINC000606450015 1038603285 /nfs/dbraw/zinc/60/32/85/1038603285.db2.gz MCXYUHRVGTUBQR-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1Cc1ccc(-n2ccnc2)cc1 ZINC000606449231 1038603702 /nfs/dbraw/zinc/60/37/02/1038603702.db2.gz QTPNEGSZCVIRQQ-HNNXBMFYSA-N 0 3 321.399 4.131 20 0 DIADHN CC[C@@H](N[C@@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000606467268 1038605870 /nfs/dbraw/zinc/60/58/70/1038605870.db2.gz BCVLZUVYEGWVMI-DNVCBOLYSA-N 0 3 316.395 4.284 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)NCc1nccn1C(F)F ZINC000606470143 1038607333 /nfs/dbraw/zinc/60/73/33/1038607333.db2.gz DRDVVIIZIOACMU-CYBMUJFWSA-N 0 3 313.779 4.043 20 0 DIADHN CCn1ccnc1CNCC1(c2ccccc2)CCCCCC1 ZINC000606469825 1038607465 /nfs/dbraw/zinc/60/74/65/1038607465.db2.gz NWQKBPCBVHKRJT-UHFFFAOYSA-N 0 3 311.473 4.285 20 0 DIADHN Fc1ccc(CN2CCC3(CCC3)C2)cc1OC(F)(F)F ZINC001143442159 1038609492 /nfs/dbraw/zinc/60/94/92/1038609492.db2.gz GTNQHLFFJHOBFM-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN CCCC1CCN([C@@H](CC)C(=O)N(C)c2ccc(C)cc2)CC1 ZINC001167555099 1038613554 /nfs/dbraw/zinc/61/35/54/1038613554.db2.gz ZNBUQPMWEXCJOJ-IBGZPJMESA-N 0 3 316.489 4.249 20 0 DIADHN COc1ccnc(CN2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)c1 ZINC000606540661 1038614616 /nfs/dbraw/zinc/61/46/16/1038614616.db2.gz AJOUNGBUMLVKFM-OXJNMPFZSA-N 0 3 310.441 4.372 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(C(F)F)c(F)c2)C[C@H]1n1ccnc1 ZINC000892224598 1038615875 /nfs/dbraw/zinc/61/58/75/1038615875.db2.gz YBBLZVCKBQZBOR-MLGOLLRUSA-N 0 3 323.362 4.043 20 0 DIADHN CC[C@H](NCc1cc2c(cccc2C)[nH]1)c1c(C)nn(C)c1C ZINC000657379014 1038621024 /nfs/dbraw/zinc/62/10/24/1038621024.db2.gz UZCLPJNDPFPIGP-KRWDZBQOSA-N 0 3 310.445 4.068 20 0 DIADHN Cc1ncsc1-c1ccc(CNCCC(F)(F)F)cc1 ZINC000657380862 1038622457 /nfs/dbraw/zinc/62/24/57/1038622457.db2.gz BUZMSARCMWTZET-UHFFFAOYSA-N 0 3 300.349 4.161 20 0 DIADHN Cc1ccc(C(=O)N(CCN2CCCC2)c2ccccc2)cc1C ZINC000606815789 1038631307 /nfs/dbraw/zinc/63/13/07/1038631307.db2.gz WPXIRYYTGMZJGF-UHFFFAOYSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1cc(C)nc(NCCc2ccc(Br)s2)c1 ZINC000707974819 1038636780 /nfs/dbraw/zinc/63/67/80/1038636780.db2.gz XNMJXQQOLZJOHT-UHFFFAOYSA-N 0 3 311.248 4.177 20 0 DIADHN COc1cccc(C2(NCc3cc4ccc(C)cc4[nH]3)CC2)c1 ZINC000657394500 1038636847 /nfs/dbraw/zinc/63/68/47/1038636847.db2.gz OOPZQCDAZAIAOF-UHFFFAOYSA-N 0 3 306.409 4.264 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(Br)cc2)cn1 ZINC000044500538 1038637666 /nfs/dbraw/zinc/63/76/66/1038637666.db2.gz CZDVTXKBBSNBKI-NEPJUHHUSA-N 0 3 322.250 4.077 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(Br)cc2)cn1 ZINC000044500536 1038638187 /nfs/dbraw/zinc/63/81/87/1038638187.db2.gz CZDVTXKBBSNBKI-VXGBXAGGSA-N 0 3 322.250 4.077 20 0 DIADHN Cc1nc(CNCCc2ccc(-c3ccccc3)cc2)[nH]c1C ZINC000657399151 1038639060 /nfs/dbraw/zinc/63/90/60/1038639060.db2.gz HXVMQIBKYSKSSW-UHFFFAOYSA-N 0 3 305.425 4.026 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000026465890 1038639353 /nfs/dbraw/zinc/63/93/53/1038639353.db2.gz RJVKXNYZPVTZJM-IUODEOHRSA-N 0 3 308.853 4.097 20 0 DIADHN c1sc(CN[C@@H]2CCOC23CCCC3)nc1-c1ccccc1 ZINC000934392670 1038641262 /nfs/dbraw/zinc/64/12/62/1038641262.db2.gz VJEWIDOEQNEUCQ-MRXNPFEDSA-N 0 3 314.454 4.001 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)no1 ZINC000582380760 1038645242 /nfs/dbraw/zinc/64/52/42/1038645242.db2.gz NRDPGLAQFPGKIN-QAPCUYQASA-N 0 3 319.408 4.115 20 0 DIADHN CC[C@H]1CCCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001167582207 1038694188 /nfs/dbraw/zinc/69/41/88/1038694188.db2.gz MGRRRZXEKMVCNV-NHYWBVRUSA-N 0 3 302.245 4.149 20 0 DIADHN CC[C@@H]1CCCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001167582206 1038694602 /nfs/dbraw/zinc/69/46/02/1038694602.db2.gz MGRRRZXEKMVCNV-ABAIWWIYSA-N 0 3 302.245 4.149 20 0 DIADHN COCc1csc(CN[C@H]2CCCc3ccc(F)cc32)c1 ZINC000657425076 1038661263 /nfs/dbraw/zinc/66/12/63/1038661263.db2.gz BYBUFFXVRVBLOP-KRWDZBQOSA-N 0 3 305.418 4.201 20 0 DIADHN CC1(C)CC[C@H]2C[C@@H](NCc3cnn4c3CCC4)c3cccc1c32 ZINC000657428372 1038665261 /nfs/dbraw/zinc/66/52/61/1038665261.db2.gz IVVFYYZJEZKIFW-KBXCAEBGSA-N 0 3 321.468 4.219 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2ccc(F)c(F)c2F)CCO1 ZINC000657430518 1038671423 /nfs/dbraw/zinc/67/14/23/1038671423.db2.gz ZVLHGSMYDLUCDU-UKRRQHHQSA-N 0 3 315.379 4.177 20 0 DIADHN Fc1ccc(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c(F)c1F ZINC000657436192 1038675089 /nfs/dbraw/zinc/67/50/89/1038675089.db2.gz PRRWHIAUWLTUOH-GDBMZVCRSA-N 0 3 321.342 4.114 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@H](c2ccncc2)C1 ZINC000563364761 1038677264 /nfs/dbraw/zinc/67/72/64/1038677264.db2.gz QCJMYDKGHJOBGM-WFASDCNBSA-N 0 3 304.796 4.425 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc3ncsc3c2)c1 ZINC000657439885 1038678675 /nfs/dbraw/zinc/67/86/75/1038678675.db2.gz QDUDURLYINNEKK-NSHDSACASA-N 0 3 318.467 4.355 20 0 DIADHN CCSc1cc(CNCc2cc3ccncc3s2)ccn1 ZINC000657440507 1038680350 /nfs/dbraw/zinc/68/03/50/1038680350.db2.gz AEIJRNWFRRCXLA-UHFFFAOYSA-N 0 3 315.467 4.093 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc(N(C)C)c1)CCCO2 ZINC000119883344 1038683846 /nfs/dbraw/zinc/68/38/46/1038683846.db2.gz VXNJGYJIFKDPKQ-LJQANCHMSA-N 0 3 310.441 4.065 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CCOc4c(C)cccc43)cc21 ZINC000657447086 1038687173 /nfs/dbraw/zinc/68/71/73/1038687173.db2.gz VXEKPNDWLWFXNE-GOSISDBHSA-N 0 3 306.409 4.398 20 0 DIADHN CCc1ccc(CN[C@@H](Cc2nc(C)no2)c2ccccc2)cc1 ZINC000277663476 1038688873 /nfs/dbraw/zinc/68/88/73/1038688873.db2.gz OFLZEVZWWLYPHG-IBGZPJMESA-N 0 3 321.424 4.014 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](CC(F)(F)F)c2ccccc2C)CO1 ZINC000657450682 1038693215 /nfs/dbraw/zinc/69/32/15/1038693215.db2.gz YQFIPVOENQDHGD-GUTXKFCHSA-N 0 3 301.352 4.146 20 0 DIADHN Cn1cnc2cc(CN3CC=C(c4ccc(F)cc4)CC3)ccc21 ZINC000342632137 1038694378 /nfs/dbraw/zinc/69/43/78/1038694378.db2.gz GLSZVJLMCUHZHL-UHFFFAOYSA-N 0 3 321.399 4.002 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000657455726 1038697952 /nfs/dbraw/zinc/69/79/52/1038697952.db2.gz SKSDWHMQWLBLIE-KOMQPUFPSA-N 0 3 303.837 4.153 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H](NCc2cnc3n2CCC3)C1 ZINC000657459394 1038699245 /nfs/dbraw/zinc/69/92/45/1038699245.db2.gz ZVOATTVALGRUDX-SFHVURJKSA-N 0 3 309.457 4.023 20 0 DIADHN CC[C@H]1C[C@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CO1 ZINC000657460219 1038700673 /nfs/dbraw/zinc/70/06/73/1038700673.db2.gz VCLAZNZXLAFLFQ-KBPBESRZSA-N 0 3 322.861 4.121 20 0 DIADHN Fc1cccc(CNC[C@@H]2CCCCC2(F)F)c1OC(F)F ZINC000657478232 1038711827 /nfs/dbraw/zinc/71/18/27/1038711827.db2.gz ZAYFXFNOJGZLCP-NSHDSACASA-N 0 3 323.305 4.342 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@H]2CCC[C@@H]2C1 ZINC000152741246 1038716191 /nfs/dbraw/zinc/71/61/91/1038716191.db2.gz XKYHUMQVOWXKNQ-GVDBMIGSSA-N 0 3 314.473 4.177 20 0 DIADHN CC1(C)CCCN(Cc2nc(-c3cccc(Cl)c3)no2)CC1 ZINC000607748773 1038721958 /nfs/dbraw/zinc/72/19/58/1038721958.db2.gz MFHKQYUWQGKMQS-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN CCCN(Cc1cc(C)no1)[C@@H]1C[C@@H](OCC)C12CCCCC2 ZINC000607749475 1038722393 /nfs/dbraw/zinc/72/23/93/1038722393.db2.gz FGZCRZMDIJRROZ-QZTJIDSGSA-N 0 3 320.477 4.323 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cn(C3CCC3)nn2)C[C@@H](c2ccccc2)C1 ZINC000657492488 1038725028 /nfs/dbraw/zinc/72/50/28/1038725028.db2.gz FGKMDEMFMGXLME-RYQLBKOJSA-N 0 3 324.472 4.065 20 0 DIADHN CCOCCCN1CCc2sccc2[C@H]1c1cccs1 ZINC000158622329 1038725542 /nfs/dbraw/zinc/72/55/42/1038725542.db2.gz YXNDMLOOMHVXTP-INIZCTEOSA-N 0 3 307.484 4.184 20 0 DIADHN CC(C)Cc1ncc(CN2CCC([C@H]3CCCCO3)CC2)s1 ZINC000668628118 1038725849 /nfs/dbraw/zinc/72/58/49/1038725849.db2.gz QAOMFNDNCXRWHP-QGZVFWFLSA-N 0 3 322.518 4.123 20 0 DIADHN Cc1cc(CN2CCC(N(C)c3ccccc3)CC2)cs1 ZINC001167589864 1038726785 /nfs/dbraw/zinc/72/67/85/1038726785.db2.gz ISUXRSQNBRTFIE-UHFFFAOYSA-N 0 3 300.471 4.157 20 0 DIADHN OCc1ccccc1CN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000305105197 1038734698 /nfs/dbraw/zinc/73/46/98/1038734698.db2.gz CQSYMMMXHDCLCI-MRXNPFEDSA-N 0 3 322.235 4.263 20 0 DIADHN Cc1[nH]c2ccc(CN[C@H]3CCCc4cccnc43)cc2c1C ZINC000684955715 1038741749 /nfs/dbraw/zinc/74/17/49/1038741749.db2.gz LLAFAHQHWJPSHA-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN FC(F)(F)[C@H](CN[C@@H]1CCCc2cccnc21)c1ccccc1 ZINC000684962468 1038745564 /nfs/dbraw/zinc/74/55/64/1038745564.db2.gz TUIBKNCYVRKZEY-HZPDHXFCSA-N 0 3 320.358 4.395 20 0 DIADHN CCOc1ccccc1CNC[C@H](OC)c1ccc(Cl)cc1 ZINC000608062803 1038762649 /nfs/dbraw/zinc/76/26/49/1038762649.db2.gz PQVCODBGHLRGNH-SFHVURJKSA-N 0 3 319.832 4.216 20 0 DIADHN CCc1ccc(CN[C@@H](Cc2nccn2C)c2ccccc2)cc1 ZINC000608073603 1038765734 /nfs/dbraw/zinc/76/57/34/1038765734.db2.gz PAPNPRNYBMAEQK-FQEVSTJZSA-N 0 3 319.452 4.056 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@H]3COC(C)(C)C3)s2)cc1C ZINC000657530911 1038765885 /nfs/dbraw/zinc/76/58/85/1038765885.db2.gz GCJZUXOODMRKAD-OAHLLOKOSA-N 0 3 316.470 4.084 20 0 DIADHN Cc1cccn2c(CNCc3ccc(OC(C)(C)C)cc3)cnc12 ZINC000608055014 1038766821 /nfs/dbraw/zinc/76/68/21/1038766821.db2.gz RTKQWLKPNBTCHM-UHFFFAOYSA-N 0 3 323.440 4.110 20 0 DIADHN COCc1cccc(CNCc2ccc(OC(C)(C)C)cc2)c1 ZINC000608054972 1038767523 /nfs/dbraw/zinc/76/75/23/1038767523.db2.gz MGYICGGMXYIGLY-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN C[C@H](NCc1ccc(N(C)c2ccccc2)cc1)c1cnn(C)c1 ZINC000608058579 1038768283 /nfs/dbraw/zinc/76/82/83/1038768283.db2.gz ZFVFNIYRDMJGQJ-INIZCTEOSA-N 0 3 320.440 4.039 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001167602871 1038769002 /nfs/dbraw/zinc/76/90/02/1038769002.db2.gz KTAGFQOGEUPCDB-WMLDXEAASA-N 0 3 313.445 4.084 20 0 DIADHN C[C@H](CNCc1cc(Cl)sc1Cl)c1ccccn1 ZINC000657535242 1038771238 /nfs/dbraw/zinc/77/12/38/1038771238.db2.gz KZCMGDSWWDGOGG-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccc2c(c1)CCC(=O)N2 ZINC000173938782 1038830960 /nfs/dbraw/zinc/83/09/60/1038830960.db2.gz PVZNYLWEVAUEJC-QWHCGFSZSA-N 0 3 312.388 4.122 20 0 DIADHN CN(C)c1ncc(CN[C@@H](CC(C)(C)C)c2ccccc2)s1 ZINC000077328285 1038864115 /nfs/dbraw/zinc/86/41/15/1038864115.db2.gz TUTXJSFBEUNOME-INIZCTEOSA-N 0 3 317.502 4.476 20 0 DIADHN CC(C)[C@@H](NCc1cnc(N(C)C)s1)c1ccc(Cl)cc1 ZINC000077325404 1038865033 /nfs/dbraw/zinc/86/50/33/1038865033.db2.gz UJJTXKXYDJLNDB-OAHLLOKOSA-N 0 3 323.893 4.349 20 0 DIADHN CC(C)[C@H](NCc1cnc(N(C)C)s1)c1ccc(Cl)cc1 ZINC000077325398 1038865887 /nfs/dbraw/zinc/86/58/87/1038865887.db2.gz UJJTXKXYDJLNDB-HNNXBMFYSA-N 0 3 323.893 4.349 20 0 DIADHN Cc1nc(C)c(CN2CCC(OCC3CCCCC3)CC2)s1 ZINC000608500182 1038866825 /nfs/dbraw/zinc/86/68/25/1038866825.db2.gz WUXVWWUCYOEYGT-UHFFFAOYSA-N 0 3 322.518 4.321 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC(C2CCCC2)CC1 ZINC000608501873 1038868726 /nfs/dbraw/zinc/86/87/26/1038868726.db2.gz BTOJXSIMVXCISJ-UHFFFAOYSA-N 0 3 309.457 4.340 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000075765777 1038905441 /nfs/dbraw/zinc/90/54/41/1038905441.db2.gz PBLRCTNLTXPBDX-HNNXBMFYSA-N 0 3 303.381 4.030 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](c2ccccc2F)C(C)C)cc1 ZINC000657616625 1038917547 /nfs/dbraw/zinc/91/75/47/1038917547.db2.gz ZVXDZAOKHCRUGR-LJQANCHMSA-N 0 3 314.404 4.271 20 0 DIADHN Cc1nccn1CCCN(C)Cc1cccc(Cl)c1Cl ZINC000342856617 1038920615 /nfs/dbraw/zinc/92/06/15/1038920615.db2.gz GDQPALGELJMLSD-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN Cc1cc(C)cc(CNCc2cn(C)nc2-c2ccc(F)cc2)c1 ZINC000657626008 1038925350 /nfs/dbraw/zinc/92/53/50/1038925350.db2.gz MLSNXIMRCOLZIQ-UHFFFAOYSA-N 0 3 323.415 4.133 20 0 DIADHN COC(=O)c1coc(CN2CCCCC[C@H]2c2ccccc2)c1 ZINC000608832417 1038929840 /nfs/dbraw/zinc/92/98/40/1038929840.db2.gz OBFRQGRIANYZON-SFHVURJKSA-N 0 3 313.397 4.184 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1cc(F)ccc1F ZINC001167618843 1038940134 /nfs/dbraw/zinc/94/01/34/1038940134.db2.gz HHWTYGMJPAHWNK-HOCLYGCPSA-N 0 3 301.380 4.418 20 0 DIADHN COCc1csc(CNCc2ccc3nc(C)ccc3c2)c1 ZINC000657659531 1038960812 /nfs/dbraw/zinc/96/08/12/1038960812.db2.gz LAZQSYFMPKWHAL-UHFFFAOYSA-N 0 3 312.438 4.041 20 0 DIADHN CCCCOc1ccc(CNCc2cc(COC)cs2)cc1 ZINC000657659429 1038960956 /nfs/dbraw/zinc/96/09/56/1038960956.db2.gz WVOALHOTPMHSGX-UHFFFAOYSA-N 0 3 319.470 4.363 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000237647512 1038962954 /nfs/dbraw/zinc/96/29/54/1038962954.db2.gz MXWTWYUVRDDGIO-WMLDXEAASA-N 0 3 322.408 4.009 20 0 DIADHN C[C@@]1(CNCc2c(Cl)cccc2OC(F)F)CC1(F)F ZINC000657666582 1038970885 /nfs/dbraw/zinc/97/08/85/1038970885.db2.gz UUMSLRFPBIBDQJ-LBPRGKRZSA-N 0 3 311.706 4.076 20 0 DIADHN Cc1cccnc1[C@H](N[C@H](C)c1ccc2c(c1)OCO2)C(C)C ZINC000120647479 1039004492 /nfs/dbraw/zinc/00/44/92/1039004492.db2.gz AZXPVPDPZYSLAO-RDTXWAMCSA-N 0 3 312.413 4.167 20 0 DIADHN Cc1ncc([C@@H](C)NCc2cccc(-c3ccccn3)c2)s1 ZINC000090664243 1039009049 /nfs/dbraw/zinc/00/90/49/1039009049.db2.gz OLGYAWUQHYKGIU-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN CCCCOc1ccc(CN[C@@H]2CCc3ccc(O)cc32)cc1 ZINC000657692455 1039011638 /nfs/dbraw/zinc/01/16/38/1039011638.db2.gz VISWMPCIGYPTGR-HXUWFJFHSA-N 0 3 311.425 4.348 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1scnc1C1CC1 ZINC000425997692 1039046923 /nfs/dbraw/zinc/04/69/23/1039046923.db2.gz CYMYJIGAKWOVMQ-NSHDSACASA-N 0 3 304.381 4.183 20 0 DIADHN Cc1cccc(CN(C)CCc2cccc(C(F)(F)F)c2)n1 ZINC000628183188 1039056010 /nfs/dbraw/zinc/05/60/10/1039056010.db2.gz SZDYXESYYZIYEN-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)Cc2cccc3n[nH]cc32)s1 ZINC000628182882 1039056146 /nfs/dbraw/zinc/05/61/46/1039056146.db2.gz KNBMXGIWSZCIKZ-GFCCVEGCSA-N 0 3 314.458 4.083 20 0 DIADHN FC(F)(F)CCC(CCC(F)(F)F)NCc1cscn1 ZINC000657707270 1039056936 /nfs/dbraw/zinc/05/69/36/1039056936.db2.gz VBYDABSCAMMQKL-UHFFFAOYSA-N 0 3 320.302 4.286 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)Cc2cccc3n[nH]cc32)s1 ZINC000628182883 1039057293 /nfs/dbraw/zinc/05/72/93/1039057293.db2.gz KNBMXGIWSZCIKZ-LBPRGKRZSA-N 0 3 314.458 4.083 20 0 DIADHN c1cc(C2CCN(Cc3scnc3C3CC3)CC2)cs1 ZINC000426156273 1039068852 /nfs/dbraw/zinc/06/88/52/1039068852.db2.gz GYRXABIRSVYPKO-UHFFFAOYSA-N 0 3 304.484 4.462 20 0 DIADHN CCN(CCC(F)(F)F)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929467235 1039094254 /nfs/dbraw/zinc/09/42/54/1039094254.db2.gz YKPCFCZSCKZKTH-CHWSQXEVSA-N 0 3 307.306 4.310 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3cccc(F)c3C)o2)CC1 ZINC000091676931 1039101816 /nfs/dbraw/zinc/10/18/16/1039101816.db2.gz HEZFTVHRADEBRW-UHFFFAOYSA-N 0 3 317.404 4.395 20 0 DIADHN Cc1cc(SC2CCCCC2)ccc1NC(=O)[C@@H](N)C(C)C ZINC000457372220 1039139421 /nfs/dbraw/zinc/13/94/21/1039139421.db2.gz IFVPNOGWHKYSKX-KRWDZBQOSA-N 0 3 320.502 4.342 20 0 DIADHN CCC(=O)CCN(C)[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000190277479 1039139970 /nfs/dbraw/zinc/13/99/70/1039139970.db2.gz NNALKEIVPMJJHR-CQSZACIVSA-N 0 3 316.470 4.481 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)NCc3ccn(C)c3)cc2)cs1 ZINC000343069003 1039150876 /nfs/dbraw/zinc/15/08/76/1039150876.db2.gz RXOJVAVMOLMNKZ-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1ccc(NC(=O)c2cc(Cl)ccc2C)c(CN(C)C)c1 ZINC000123704765 1039165322 /nfs/dbraw/zinc/16/53/22/1039165322.db2.gz QTBSRERJAUJPJZ-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1nc(CN(CCc2cccc(F)c2)C2CCCC2)co1 ZINC000659998359 1039173107 /nfs/dbraw/zinc/17/31/07/1039173107.db2.gz OGIRXCHIDXAZSL-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)C1CCC(C)(C)CC1 ZINC000535279240 1039175125 /nfs/dbraw/zinc/17/51/25/1039175125.db2.gz CYIPMJFTMOVAQT-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)cc1 ZINC000657742463 1039205139 /nfs/dbraw/zinc/20/51/39/1039205139.db2.gz RKDFUHCDHDGDLV-OALUTQOASA-N 0 3 315.482 4.256 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)cc1 ZINC000657742465 1039205340 /nfs/dbraw/zinc/20/53/40/1039205340.db2.gz RKDFUHCDHDGDLV-RBUKOAKNSA-N 0 3 315.482 4.256 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cccc2cc[nH]c21)c1ccccc1 ZINC000657743489 1039213727 /nfs/dbraw/zinc/21/37/27/1039213727.db2.gz ALQLPMSQELKQJW-UXHICEINSA-N 0 3 308.425 4.016 20 0 DIADHN C[C@@H](NCc1ncc(C(F)(F)F)s1)C1CCC(F)CC1 ZINC000657744229 1039220933 /nfs/dbraw/zinc/22/09/33/1039220933.db2.gz NGWQXHWRWCUHNW-XNWIYYODSA-N 0 3 310.360 4.168 20 0 DIADHN O=C(Nc1ccccc1)[C@H](c1ccccc1)N1CC[C@H](C2CC2)C1 ZINC000491244470 1039234847 /nfs/dbraw/zinc/23/48/47/1039234847.db2.gz VWYDSMIVNGLLJH-ICSRJNTNSA-N 0 3 320.436 4.098 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N(C)Cc1ncc(C2CC2)o1 ZINC000535553394 1039240225 /nfs/dbraw/zinc/24/02/25/1039240225.db2.gz JIXRHBMCARNGPD-QGZVFWFLSA-N 0 3 316.420 4.390 20 0 DIADHN COc1ccc(CN(C)Cn2cnc3cc(C)c(C)cc32)cc1C ZINC000728559205 1039241117 /nfs/dbraw/zinc/24/11/17/1039241117.db2.gz CLXHICGUAQWJLR-UHFFFAOYSA-N 0 3 323.440 4.060 20 0 DIADHN CC[C@H](NCc1cccc(N2CCCC2=O)c1)c1ccc(C)cc1 ZINC000155265027 1039241238 /nfs/dbraw/zinc/24/12/38/1039241238.db2.gz LBNMTSVRMLSJDX-FQEVSTJZSA-N 0 3 322.452 4.363 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000657747598 1039244270 /nfs/dbraw/zinc/24/42/70/1039244270.db2.gz RFFUXOMSRZDCKC-MSOLQXFVSA-N 0 3 303.471 4.158 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000657747602 1039245822 /nfs/dbraw/zinc/24/58/22/1039245822.db2.gz RFFUXOMSRZDCKC-ROUUACIJSA-N 0 3 303.471 4.158 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000657747600 1039245925 /nfs/dbraw/zinc/24/59/25/1039245925.db2.gz RFFUXOMSRZDCKC-QZTJIDSGSA-N 0 3 303.471 4.158 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCc2ccsc2C1 ZINC000535574851 1039248411 /nfs/dbraw/zinc/24/84/11/1039248411.db2.gz CYMBUOYUZKSXKB-NSHDSACASA-N 0 3 309.381 4.469 20 0 DIADHN Clc1ccc([C@@H]2CCCN2CCCn2ccnc2)cc1Cl ZINC000539414643 1039260556 /nfs/dbraw/zinc/26/05/56/1039260556.db2.gz FSYHVHYFJCPKFV-INIZCTEOSA-N 0 3 324.255 4.417 20 0 DIADHN Cc1cc([C@H](NCc2cnc3ccccn23)C2CC2)ccc1F ZINC000539425964 1039261191 /nfs/dbraw/zinc/26/11/91/1039261191.db2.gz ZCUFRGAPQMWXPI-LJQANCHMSA-N 0 3 309.388 4.023 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccnc2ccccc12)c1ccccc1 ZINC000657748219 1039261985 /nfs/dbraw/zinc/26/19/85/1039261985.db2.gz UQNGBXRNBOXRNS-LEWJYISDSA-N 0 3 320.436 4.083 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2)cc1 ZINC000535989033 1039263308 /nfs/dbraw/zinc/26/33/08/1039263308.db2.gz QFFMJGMJRILBJS-KDOFPFPSSA-N 0 3 301.455 4.183 20 0 DIADHN CCc1nn(C)cc1CNC1(c2cccc(Cl)c2)CCCC1 ZINC000092412277 1039264326 /nfs/dbraw/zinc/26/43/26/1039264326.db2.gz FUSBTDKTEJTXAC-UHFFFAOYSA-N 0 3 317.864 4.195 20 0 DIADHN CN1c2cc(Nc3ccnc(C(C)(C)C)c3)ccc2C(C)(C)C1=O ZINC001213168190 1039278400 /nfs/dbraw/zinc/27/84/00/1039278400.db2.gz ACZLGECABVFJHN-UHFFFAOYSA-N 0 3 323.440 4.377 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(-c3ccncc3)cc2)cn1 ZINC000155780005 1039278490 /nfs/dbraw/zinc/27/84/90/1039278490.db2.gz UDXMUJAAQWVNQA-CVEARBPZSA-N 0 3 320.440 4.377 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@H](C)c2cccc(F)c2F)c1 ZINC000539545297 1039285804 /nfs/dbraw/zinc/28/58/04/1039285804.db2.gz RNTLJGPFCXKCPY-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1n[nH]c(C(C)C)n1 ZINC000428315545 1039289326 /nfs/dbraw/zinc/28/93/26/1039289326.db2.gz CYEVZONOGINEJI-MRXNPFEDSA-N 0 3 300.450 4.114 20 0 DIADHN C[C@H](N[C@@H](C)C(C)(C)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647287884 1039293077 /nfs/dbraw/zinc/29/30/77/1039293077.db2.gz XANZQAUYVXBCHH-HOTGVXAUSA-N 0 3 311.473 4.236 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4cc(OC)ccc43)CC2)cc1 ZINC000539624440 1039302033 /nfs/dbraw/zinc/30/20/33/1039302033.db2.gz RGBPLDPWLZEVLY-HXUWFJFHSA-N 0 3 323.436 4.360 20 0 DIADHN Cc1ncc(CN[C@H](C)Cc2ccc(-c3ccccc3)cc2)o1 ZINC000628205438 1039302893 /nfs/dbraw/zinc/30/28/93/1039302893.db2.gz OTGWQIRYJIZJON-OAHLLOKOSA-N 0 3 306.409 4.371 20 0 DIADHN COCc1cc(CN[C@@H](CC(C)C)c2ccncc2)ccc1F ZINC000647290019 1039309927 /nfs/dbraw/zinc/30/99/27/1039309927.db2.gz STFNKTPUSROWTR-IBGZPJMESA-N 0 3 316.420 4.244 20 0 DIADHN Cc1nc(-c2ccc(Nc3ccnc(C(C)(C)C)c3)cc2)no1 ZINC001213168926 1039311686 /nfs/dbraw/zinc/31/16/86/1039311686.db2.gz XAVFIWQNGZINQF-UHFFFAOYSA-N 0 3 308.385 4.481 20 0 DIADHN CCN1CCN(c2ccccc2N[C@H](C)c2ccccc2C)CC1 ZINC000539647640 1039313070 /nfs/dbraw/zinc/31/30/70/1039313070.db2.gz FAWOTTPNAUJSIW-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)CC(=O)Nc1cccc(C)c1C ZINC000525640599 1039313516 /nfs/dbraw/zinc/31/35/16/1039313516.db2.gz QEJGNXDNOFOKBX-IBGZPJMESA-N 0 3 324.468 4.195 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cc(C)sc2C)cc1 ZINC000035276408 1039326058 /nfs/dbraw/zinc/32/60/58/1039326058.db2.gz FNJIKHUZUXADLI-GFCCVEGCSA-N 0 3 303.427 4.002 20 0 DIADHN CC(C)Cc1ncc(CN2CC[C@@H]2Cc2ccccc2)s1 ZINC000539823364 1039353760 /nfs/dbraw/zinc/35/37/60/1039353760.db2.gz DSTWNWWWKUCSOB-MRXNPFEDSA-N 0 3 300.471 4.159 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN1CC=C(C)CC1 ZINC000539827675 1039358633 /nfs/dbraw/zinc/35/86/33/1039358633.db2.gz QSGRUAYPRKTBNJ-UHFFFAOYSA-N 0 3 309.457 4.009 20 0 DIADHN CO[C@@H](CNCc1cnc(C2CCCC2)s1)C1CCCC1 ZINC000657767006 1039359234 /nfs/dbraw/zinc/35/92/34/1039359234.db2.gz LWWCIGYGZZLRHH-INIZCTEOSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1csc(C2(NCCc3ccc(F)cc3Cl)CCC2)n1 ZINC000583537378 1039369722 /nfs/dbraw/zinc/36/97/22/1039369722.db2.gz HYVLNVKYWGSZDX-UHFFFAOYSA-N 0 3 324.852 4.456 20 0 DIADHN COC(=O)[C@@H]1Cc2cccc(Nc3ccnc(C(C)(C)C)c3)c2C1 ZINC001213170788 1039382110 /nfs/dbraw/zinc/38/21/10/1039382110.db2.gz PHVFWYDBEVGQAN-CQSZACIVSA-N 0 3 324.424 4.011 20 0 DIADHN COc1cc2c(cc1OC)[C@H](N[C@H](C)c1ccsc1)CC2 ZINC000669850655 1039382438 /nfs/dbraw/zinc/38/24/38/1039382438.db2.gz DGYUDVDYZTYSAF-IAQYHMDHSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1c[nH]c(-c2ccccc2)n1 ZINC000583578293 1039382992 /nfs/dbraw/zinc/38/29/92/1039382992.db2.gz JNZNCPQRVXOFRX-UHFFFAOYSA-N 0 3 305.425 4.410 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cnc(-c2ccccc2)[nH]1 ZINC000583578293 1039383005 /nfs/dbraw/zinc/38/30/05/1039383005.db2.gz JNZNCPQRVXOFRX-UHFFFAOYSA-N 0 3 305.425 4.410 20 0 DIADHN CCOc1ccc(CN(CCC(F)(F)F)CC2CC2)cc1 ZINC000539911697 1039388510 /nfs/dbraw/zinc/38/85/10/1039388510.db2.gz NFAAEJNYMCJUMP-UHFFFAOYSA-N 0 3 301.352 4.250 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC[C@]2(CCOC2)C1 ZINC001233350432 1039390539 /nfs/dbraw/zinc/39/05/39/1039390539.db2.gz HLFIYDJKVDEEFW-INIZCTEOSA-N 0 3 311.878 4.064 20 0 DIADHN COC(=O)[C@H]1CCc2cc(Nc3ccnc(C(C)(C)C)c3)ccc21 ZINC001213170995 1039393823 /nfs/dbraw/zinc/39/38/23/1039393823.db2.gz WFNAQZWAYKZBRG-KRWDZBQOSA-N 0 3 324.424 4.326 20 0 DIADHN COCCOc1ccc([C@H](C)N[C@@H](C)c2ccsc2)cc1 ZINC000669851269 1039394937 /nfs/dbraw/zinc/39/49/37/1039394937.db2.gz ZSHMEQQBZSMRNK-KBPBESRZSA-N 0 3 305.443 4.185 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000540056332 1039409751 /nfs/dbraw/zinc/40/97/51/1039409751.db2.gz NYVZHRQUQSNZQC-SMDDNHRTSA-N 0 3 306.356 4.383 20 0 DIADHN CCOC(=O)CC(C)(C)CCN1CC[C@H]1c1ccc(Cl)cc1 ZINC000561862864 1039411146 /nfs/dbraw/zinc/41/11/46/1039411146.db2.gz UNAVKYKTOZQDRQ-INIZCTEOSA-N 0 3 323.864 4.456 20 0 DIADHN COc1ccc([C@@H](OC)[C@@H](C)NCc2cc(C)ccc2F)cc1 ZINC000540069097 1039413852 /nfs/dbraw/zinc/41/38/52/1039413852.db2.gz ZOMJWXRVYZSVLX-KUHUBIRLSA-N 0 3 317.404 4.009 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCCOc1ccc(Cl)cc1 ZINC000540100414 1039416432 /nfs/dbraw/zinc/41/64/32/1039416432.db2.gz YIQJORXBZMQPPX-AWEZNQCLSA-N 0 3 304.821 4.197 20 0 DIADHN COc1cccc(C2(NCc3ccc4cnccc4c3)CCC2)c1 ZINC000657779474 1039416727 /nfs/dbraw/zinc/41/67/27/1039416727.db2.gz RTGQRCNEBBGIAI-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN Cc1cc(CN2CCC[C@]3(CCOC3)C2)cc(C(F)(F)F)c1 ZINC001143450156 1039422594 /nfs/dbraw/zinc/42/25/94/1039422594.db2.gz FZOBAGKLZWVMOD-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN CC[C@H](N[C@@H](C)c1sccc1Br)[C@H]1CCCO1 ZINC000540145937 1039424544 /nfs/dbraw/zinc/42/45/44/1039424544.db2.gz MOBPQQFWZXSUBV-ZMLRMANQSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ccccc1[C@@H](C)NCCOCc1ccc(Cl)cc1 ZINC000540143460 1039425719 /nfs/dbraw/zinc/42/57/19/1039425719.db2.gz BQBMOPANCXXIDV-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN OC[C@@H](N[C@H]1CCc2ccccc21)c1ccc(C(F)(F)F)cc1 ZINC000540154098 1039432200 /nfs/dbraw/zinc/43/22/00/1039432200.db2.gz VPCNQESKIVDBDO-DLBZAZTESA-N 0 3 321.342 4.016 20 0 DIADHN Cc1cccc(C[C@@H](NCc2nnc(C(C)C)s2)C2CC2)c1 ZINC000540155284 1039435356 /nfs/dbraw/zinc/43/53/56/1039435356.db2.gz CZWSWHQJSFGEFR-MRXNPFEDSA-N 0 3 315.486 4.081 20 0 DIADHN COC[C@@H](N[C@H]1CCCc2ccc(C)cc21)c1ccc(F)cc1 ZINC000540187335 1039442766 /nfs/dbraw/zinc/44/27/66/1039442766.db2.gz WIAQHGNEHMMRQS-VQTJNVASSA-N 0 3 313.416 4.489 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1C[C@H](O)c1ccc(Cl)cc1 ZINC000780381113 1039451966 /nfs/dbraw/zinc/45/19/66/1039451966.db2.gz ZKOPHOXASJJUIL-SUHUHFCYSA-N 0 3 321.770 4.036 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(Cl)cn1)c1ccccc1 ZINC000278422395 1039456985 /nfs/dbraw/zinc/45/69/85/1039456985.db2.gz IRUCKEXLOHAGNA-SUMWQHHRSA-N 0 3 304.821 4.163 20 0 DIADHN CCc1ccc([C@@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)cc1 ZINC000540219701 1039458758 /nfs/dbraw/zinc/45/87/58/1039458758.db2.gz HVCXEVGFTKZEMY-CYBMUJFWSA-N 0 3 324.403 4.024 20 0 DIADHN CCc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)cc1 ZINC000540219701 1039458769 /nfs/dbraw/zinc/45/87/69/1039458769.db2.gz HVCXEVGFTKZEMY-CYBMUJFWSA-N 0 3 324.403 4.024 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](CO)c2sccc2C)cc1 ZINC000540222561 1039459170 /nfs/dbraw/zinc/45/91/70/1039459170.db2.gz QXFFFARYLWAHAN-IUODEOHRSA-N 0 3 307.484 4.163 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cn(C)nc1C(C)(C)C ZINC000657796248 1039467217 /nfs/dbraw/zinc/46/72/17/1039467217.db2.gz DHVLSFIBFGLQDH-SFHVURJKSA-N 0 3 311.473 4.193 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cnc(C(C)(C)C)nc1 ZINC000657796298 1039470411 /nfs/dbraw/zinc/47/04/11/1039470411.db2.gz DSNKGMPFGPZHPS-GOSISDBHSA-N 0 3 309.457 4.250 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@H]1CCCc2c(C)cccc21 ZINC000657797758 1039472607 /nfs/dbraw/zinc/47/26/07/1039472607.db2.gz MLLZAFSQUSDTKI-FQEVSTJZSA-N 0 3 308.425 4.121 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2CCCc3c(C)cccc32)c1C ZINC000657797872 1039475027 /nfs/dbraw/zinc/47/50/27/1039475027.db2.gz NGQPUXBEDZYAFT-FQEVSTJZSA-N 0 3 311.473 4.386 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)N(C)[C@H](C)c2ccccc2)c1C ZINC000540389219 1039487000 /nfs/dbraw/zinc/48/70/00/1039487000.db2.gz FAHLTUAGBVOVKU-QZTJIDSGSA-N 0 3 324.468 4.174 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1csc(COc2ccc(F)cc2)n1 ZINC000540467224 1039499140 /nfs/dbraw/zinc/49/91/40/1039499140.db2.gz FEMGQHGICNLUGZ-OLZOCXBDSA-N 0 3 322.449 4.385 20 0 DIADHN C[C@@H]1CN(Cc2cn(C)nc2-c2ccccc2)[C@H]1c1ccccc1 ZINC000540505044 1039506479 /nfs/dbraw/zinc/50/64/79/1039506479.db2.gz OQMVDOJCZPLOAD-IIBYNOLFSA-N 0 3 317.436 4.280 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000278505063 1039511098 /nfs/dbraw/zinc/51/10/98/1039511098.db2.gz OBNLPSGBWYVGNM-GOSISDBHSA-N 0 3 302.368 4.299 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc(C)cc2C)ccc1F ZINC000151211312 1039514524 /nfs/dbraw/zinc/51/45/24/1039514524.db2.gz NQRQSXYFWPKHEV-HNNXBMFYSA-N 0 3 301.405 4.440 20 0 DIADHN CC1(C)[C@H](NCc2c(Cl)cccc2Cl)[C@@H]2CCCO[C@H]21 ZINC000540590952 1039521083 /nfs/dbraw/zinc/52/10/83/1039521083.db2.gz AXILAADZGYOIIL-COLVAYQJSA-N 0 3 314.256 4.287 20 0 DIADHN CCC[C@H](NCc1nc(-c2ccco2)no1)C1CCCCC1 ZINC000540591773 1039521365 /nfs/dbraw/zinc/52/13/65/1039521365.db2.gz ZGXNKANAQGXXEM-AWEZNQCLSA-N 0 3 303.406 4.168 20 0 DIADHN c1sc(CNCCC2SCCS2)nc1-c1ccccc1 ZINC000693830571 1039522193 /nfs/dbraw/zinc/52/21/93/1039522193.db2.gz NHGFAOWQYJSRTO-UHFFFAOYSA-N 0 3 322.524 4.096 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3CCO[C@H]3C3CC3)o2)cc1 ZINC000540622218 1039525220 /nfs/dbraw/zinc/52/52/20/1039525220.db2.gz QWHPDHKPFJJRAX-WMZOPIPTSA-N 0 3 317.816 4.257 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccccc3C)CC2)cc1OC ZINC000647316186 1039525974 /nfs/dbraw/zinc/52/59/74/1039525974.db2.gz TXPIFXLHNUMWSE-OAHLLOKOSA-N 0 3 311.425 4.352 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NC1(c3ccccc3C)CC1)CC2 ZINC000647316579 1039527161 /nfs/dbraw/zinc/52/71/61/1039527161.db2.gz SWVPQLCZTHDHCP-SFHVURJKSA-N 0 3 323.436 4.278 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CCO[C@@H]2C2CC2)o1 ZINC000540620838 1039531889 /nfs/dbraw/zinc/53/18/89/1039531889.db2.gz DFBDZTAFXCDYME-PKOBYXMFSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CCO[C@@H]2C2CC2)o1 ZINC000540620834 1039532131 /nfs/dbraw/zinc/53/21/31/1039532131.db2.gz DFBDZTAFXCDYME-IEBWSBKVSA-N 0 3 315.388 4.051 20 0 DIADHN COc1cccc(OCCCN[C@@H](C)c2cccc(F)c2F)c1 ZINC000540657877 1039540853 /nfs/dbraw/zinc/54/08/53/1039540853.db2.gz WVKZNVWLZVRADW-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H](c1ccccc1)[C@@H](C)O ZINC000657816604 1039544800 /nfs/dbraw/zinc/54/48/00/1039544800.db2.gz JYBHBGQOEWVWND-DYVFJYSZSA-N 0 3 323.358 4.226 20 0 DIADHN CCCCOc1ccc(CN[C@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000657816812 1039550783 /nfs/dbraw/zinc/55/07/83/1039550783.db2.gz MADOBKSBMBJECP-UZLBHIALSA-N 0 3 313.441 4.077 20 0 DIADHN COc1ccc(C2(N[C@H](C)c3ccccc3N(C)C)CC2)cc1 ZINC000540723208 1039550736 /nfs/dbraw/zinc/55/07/36/1039550736.db2.gz GDGJKUWWBPDPMR-OAHLLOKOSA-N 0 3 310.441 4.101 20 0 DIADHN C[C@H](N[C@H]1CCOc2ccccc21)c1cc2ccncc2s1 ZINC000657818554 1039556915 /nfs/dbraw/zinc/55/69/15/1039556915.db2.gz BXUCIZXZXDPKSH-WFASDCNBSA-N 0 3 310.422 4.471 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000647319408 1039559744 /nfs/dbraw/zinc/55/97/44/1039559744.db2.gz ZOJUHHDNNCYNNA-RNFRBKRXSA-N 0 3 302.312 4.104 20 0 DIADHN CCc1ccc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC000540749538 1039559707 /nfs/dbraw/zinc/55/97/07/1039559707.db2.gz JQVNYZHXTUGMMM-CQSZACIVSA-N 0 3 309.409 4.318 20 0 DIADHN COC[C@@H](NC[C@H](C)CC(F)F)c1cccc(Cl)c1F ZINC000647319548 1039564206 /nfs/dbraw/zinc/56/42/06/1039564206.db2.gz CFBNUGPIQYHVKQ-BXKDBHETSA-N 0 3 309.759 4.048 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCC(=O)c2ccccc2)cc1 ZINC000540822369 1039573209 /nfs/dbraw/zinc/57/32/09/1039573209.db2.gz DTQIKQMOEGYNGB-IBGZPJMESA-N 0 3 309.409 4.105 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2COC3(CCC3)C2)c2cccs2)cc1 ZINC000657822837 1039578224 /nfs/dbraw/zinc/57/82/24/1039578224.db2.gz BYSZEJPPDOZFDG-DOTOQJQBSA-N 0 3 317.429 4.278 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)cc1F ZINC000158823333 1039593880 /nfs/dbraw/zinc/59/38/80/1039593880.db2.gz XWOUAKCQORIUTL-LSDHHAIUSA-N 0 3 324.403 4.127 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1cc2cnccc2o1 ZINC000657826125 1039595969 /nfs/dbraw/zinc/59/59/69/1039595969.db2.gz DQRLHMNAMJVLSP-AWEZNQCLSA-N 0 3 310.397 4.215 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccc3[nH]cnc3c1)[C@@H]2C ZINC000540994902 1039602038 /nfs/dbraw/zinc/60/20/38/1039602038.db2.gz JRZYCPUXIIKNEI-UONOGXRCSA-N 0 3 321.424 4.079 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccc3[nH]cnc3c1)[C@@H]2C ZINC000540994903 1039603364 /nfs/dbraw/zinc/60/33/64/1039603364.db2.gz JRZYCPUXIIKNEI-ZIAGYGMSSA-N 0 3 321.424 4.079 20 0 DIADHN OCc1ccc(CN[C@H]2CCSc3c(Cl)cccc32)cc1 ZINC000647322661 1039606601 /nfs/dbraw/zinc/60/66/01/1039606601.db2.gz FXFCOWMMMRNOKF-INIZCTEOSA-N 0 3 319.857 4.159 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3ncc(-c4cccc(F)c4)o3)C2)C1 ZINC000541024734 1039610515 /nfs/dbraw/zinc/61/05/15/1039610515.db2.gz SCFIMKWPPLGWKI-IFXJQAMLSA-N 0 3 314.404 4.493 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2cc(C)ccc2OC)cc1 ZINC000151627861 1039612353 /nfs/dbraw/zinc/61/23/53/1039612353.db2.gz CUSAXTMBSGSNSR-MRXNPFEDSA-N 0 3 313.441 4.391 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H]3[C@@H]3C[C@@H](C)CC[C@H]3O)c2c1 ZINC000541077355 1039617902 /nfs/dbraw/zinc/61/79/02/1039617902.db2.gz PNOOMGBJGGNAPZ-ICSUOEFDSA-N 0 3 324.468 4.309 20 0 DIADHN CC1(C)CN(Cc2ccc(C(F)(F)F)cc2)[C@H]1[C@H]1CCCO1 ZINC000566884531 1039620231 /nfs/dbraw/zinc/62/02/31/1039620231.db2.gz OBSQMFADEMHKNP-CABCVRRESA-N 0 3 313.363 4.095 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C(=O)OC)cs1)c1ccccc1 ZINC000353501480 1039622056 /nfs/dbraw/zinc/62/20/56/1039622056.db2.gz ITBCGHLOOWVCJA-DOMZBBRYSA-N 0 3 303.427 4.337 20 0 DIADHN CCC[C@@H]1CN([C@H](C)c2ccccn2)CCN1Cc1ccccc1 ZINC001168437551 1039650347 /nfs/dbraw/zinc/65/03/47/1039650347.db2.gz LDPLDGJFWLODPA-UYAOXDASSA-N 0 3 323.484 4.129 20 0 DIADHN CCC[C@H]1CN([C@H](C)c2ccccn2)CCN1Cc1ccccc1 ZINC001168437549 1039651054 /nfs/dbraw/zinc/65/10/54/1039651054.db2.gz LDPLDGJFWLODPA-QUCCMNQESA-N 0 3 323.484 4.129 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)C[C@@H]2CC=CCC2)c(C)c1 ZINC000541312684 1039660825 /nfs/dbraw/zinc/66/08/25/1039660825.db2.gz CHXUNDQMDSQGAR-ZWKOTPCHSA-N 0 3 314.473 4.227 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H]2CC=CCC2)c(Cl)c1 ZINC000541316090 1039663392 /nfs/dbraw/zinc/66/33/92/1039663392.db2.gz TZZGHOAMDJGJKH-OAHLLOKOSA-N 0 3 320.864 4.183 20 0 DIADHN C[C@@]1(NCc2ccc(Oc3ccccc3)o2)CCO[C@@H]1C1CC1 ZINC000541383374 1039676188 /nfs/dbraw/zinc/67/61/88/1039676188.db2.gz IXDFXJIXOZGQDB-RTBURBONSA-N 0 3 313.397 4.119 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cc(-c4ccco4)on3)C2)cc1 ZINC000541394918 1039680409 /nfs/dbraw/zinc/68/04/09/1039680409.db2.gz ZBNWARLAJSSRDE-MRXNPFEDSA-N 0 3 308.381 4.233 20 0 DIADHN CC[C@@H](NCCN(CC)c1cccc(C)c1)c1ccc(F)cn1 ZINC000491318233 1039683805 /nfs/dbraw/zinc/68/38/05/1039683805.db2.gz ZZIWNFQZNBRSAT-GOSISDBHSA-N 0 3 315.436 4.096 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657846492 1039696663 /nfs/dbraw/zinc/69/66/63/1039696663.db2.gz YIKHDTKTZXHTST-BLLLJJGKSA-N 0 3 306.356 4.058 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657846495 1039697437 /nfs/dbraw/zinc/69/74/37/1039697437.db2.gz YIKHDTKTZXHTST-WBMJQRKESA-N 0 3 306.356 4.058 20 0 DIADHN CC[C@@H](Cc1ccc(C(F)(F)F)cc1)NCc1cc(C)on1 ZINC000657849863 1039699472 /nfs/dbraw/zinc/69/94/72/1039699472.db2.gz CVTGLUDHPDOUQV-AWEZNQCLSA-N 0 3 312.335 4.113 20 0 DIADHN Fc1ccc([C@H](NCCc2ccsc2)c2cccnc2)cc1 ZINC000541566490 1039699537 /nfs/dbraw/zinc/69/95/37/1039699537.db2.gz VIKVZYJRQKUQLS-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1ccc(F)cc1F ZINC000541566362 1039701030 /nfs/dbraw/zinc/70/10/30/1039701030.db2.gz LTTITEUXHGCEMN-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN C[C@H](CNCc1csc(-c2ccco2)n1)Cc1ccccc1 ZINC000541587652 1039713611 /nfs/dbraw/zinc/71/36/11/1039713611.db2.gz QAEXWMCXSHFMNM-AWEZNQCLSA-N 0 3 312.438 4.372 20 0 DIADHN CC(C)COC[C@H](NCCc1ccc(F)c(F)c1)c1ccco1 ZINC000541626647 1039719376 /nfs/dbraw/zinc/71/93/76/1039719376.db2.gz KSWZPDHXWLBPMM-KRWDZBQOSA-N 0 3 323.383 4.104 20 0 DIADHN C[C@@H]1N(Cc2c(Cl)cccc2Cl)CCC12CCOCC2 ZINC000541718754 1039732439 /nfs/dbraw/zinc/73/24/39/1039732439.db2.gz OOOPSLAYMVKKGR-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(Cl)cccc32)cc1O ZINC000541735957 1039735608 /nfs/dbraw/zinc/73/56/08/1039735608.db2.gz KXKHBBLGPAVEQM-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN Cc1cccc(C)c1CCN[C@@H](c1ccccc1)c1cncnc1 ZINC000541741173 1039737818 /nfs/dbraw/zinc/73/78/18/1039737818.db2.gz IKUUIXMGTRDOKB-NRFANRHFSA-N 0 3 317.436 4.015 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN2CCC(Cc3cccnc3)CC2)o1 ZINC000541764621 1039739632 /nfs/dbraw/zinc/73/96/32/1039739632.db2.gz IFDGGXUZTFEUFD-HNAYVOBHSA-N 0 3 310.441 4.253 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nnc(-c3ccccc3)s2)C1 ZINC000464682792 1039746031 /nfs/dbraw/zinc/74/60/31/1039746031.db2.gz SXHIZAVGIOHLRL-KGLIPLIRSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@@]1(C)CCCO1 ZINC000562838574 1039750897 /nfs/dbraw/zinc/75/08/97/1039750897.db2.gz RDESNCAIPVSGBB-YMTOWFKASA-N 0 3 323.305 4.031 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cc(Cl)cc3cccnc32)CCS1 ZINC000464774529 1039755506 /nfs/dbraw/zinc/75/55/06/1039755506.db2.gz PFAOCIGZBREGEH-INIZCTEOSA-N 0 3 320.889 4.462 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N(C)Cc1ccn(C(C)C)n1 ZINC000464786687 1039756106 /nfs/dbraw/zinc/75/61/06/1039756106.db2.gz PJYIPQBDXIYHTN-LJQANCHMSA-N 0 3 317.452 4.302 20 0 DIADHN C[C@H](NCCOc1cccc2ccccc21)c1ccc(F)cn1 ZINC000092741624 1039765527 /nfs/dbraw/zinc/76/55/27/1039765527.db2.gz BILJLLBSWAJZIY-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN Cl/C=C/CNC1(c2cccc(Br)c2)CCC1 ZINC000309598719 1039766117 /nfs/dbraw/zinc/76/61/17/1039766117.db2.gz VPZNMEVPKOBVNV-FPYGCLRLSA-N 0 3 300.627 4.170 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC001168439671 1039775203 /nfs/dbraw/zinc/77/52/03/1039775203.db2.gz WYQFEJALBPUMHI-QAPCUYQASA-N 0 3 321.436 4.127 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@@H]3CCCn4nccc43)ccc2c1 ZINC000657860659 1039790216 /nfs/dbraw/zinc/79/02/16/1039790216.db2.gz BOABLNUDYDVTIY-IFXJQAMLSA-N 0 3 321.424 4.231 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000090218742 1039794480 /nfs/dbraw/zinc/79/44/80/1039794480.db2.gz NIHIYHPFVZSEBF-ZBFHGGJFSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(F)cn1)Oc1ccccc1C ZINC000090218938 1039794947 /nfs/dbraw/zinc/79/49/47/1039794947.db2.gz UYUMMHWYKQSAEF-ZBFHGGJFSA-N 0 3 302.393 4.037 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCn3nccc32)C2CCCC2)cc1 ZINC000657859878 1039802302 /nfs/dbraw/zinc/80/23/02/1039802302.db2.gz VGGDARPQMLIOLN-HKUYNNGSSA-N 0 3 313.420 4.378 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(OC3CCC3)c2)s1 ZINC000090912051 1039807506 /nfs/dbraw/zinc/80/75/06/1039807506.db2.gz HODSTZZHEMZVDA-ZDUSSCGKSA-N 0 3 302.443 4.234 20 0 DIADHN CC[C@H](N[C@H]1CCCc2cc(F)ccc21)c1c(C)nn(C)c1C ZINC000090964168 1039808804 /nfs/dbraw/zinc/80/88/04/1039808804.db2.gz JYLJLDINNUPUPK-ROUUACIJSA-N 0 3 315.436 4.294 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](C)c1ccc(F)cn1 ZINC000090778504 1039817909 /nfs/dbraw/zinc/81/79/09/1039817909.db2.gz GIIIIBOIIIGYHW-ZFWWWQNUSA-N 0 3 304.434 4.442 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](CC)c2ccc(F)cc2)cc1 ZINC000090844430 1039820335 /nfs/dbraw/zinc/82/03/35/1039820335.db2.gz WBMCRKUHDIQKGP-RTBURBONSA-N 0 3 301.405 4.162 20 0 DIADHN CC(C)COC[C@H](N[C@@H](C)C1CC(F)(F)C1)c1ccco1 ZINC000628210715 1039837137 /nfs/dbraw/zinc/83/71/37/1039837137.db2.gz HDLCALCDOQRWCU-JSGCOSHPSA-N 0 3 301.377 4.017 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCC(=O)Nc1c(C)cccc1C)[C@@H](C)C2 ZINC000491380525 1039840542 /nfs/dbraw/zinc/84/05/42/1039840542.db2.gz NLQCCAIRPAGOPA-HRAATJIYSA-N 0 3 322.452 4.073 20 0 DIADHN COc1ccccc1[C@H](NCc1scnc1C)C1CCCC1 ZINC000091333803 1039841203 /nfs/dbraw/zinc/84/12/03/1039841203.db2.gz PZHUBIMHVKHHPJ-GOSISDBHSA-N 0 3 316.470 4.481 20 0 DIADHN COc1ccccc1[C@@H](NCc1scnc1C)C1CCCC1 ZINC000091333804 1039843690 /nfs/dbraw/zinc/84/36/90/1039843690.db2.gz PZHUBIMHVKHHPJ-SFHVURJKSA-N 0 3 316.470 4.481 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc2ccccc2n1C(F)F)[C@H]1CCCO1 ZINC000091406190 1039851441 /nfs/dbraw/zinc/85/14/41/1039851441.db2.gz QSGHFFBMDIMQCA-SLEUVZQESA-N 0 3 323.387 4.040 20 0 DIADHN Cc1nc(CNC2(Cc3ccc(Cl)cc3)CCC2)cs1 ZINC000491579436 1039857703 /nfs/dbraw/zinc/85/77/03/1039857703.db2.gz QMVDGDJFLPRVGE-UHFFFAOYSA-N 0 3 306.862 4.360 20 0 DIADHN FC1(F)CCCC[C@H](CNCc2csc(C3CC3)n2)C1 ZINC000491571433 1039861728 /nfs/dbraw/zinc/86/17/28/1039861728.db2.gz DHUQPLFAUJKKDC-NSHDSACASA-N 0 3 300.418 4.326 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@H](CC(F)(F)F)C(C)C ZINC000445904237 1039864942 /nfs/dbraw/zinc/86/49/42/1039864942.db2.gz OYTCCXPIDLIUQI-CQSZACIVSA-N 0 3 311.351 4.005 20 0 DIADHN CCCC[C@H](N[C@H]1CCCn2nccc21)c1ccc(OC)cc1 ZINC000657867398 1039877915 /nfs/dbraw/zinc/87/79/15/1039877915.db2.gz LVAKTUKEUCTBCG-ROUUACIJSA-N 0 3 313.445 4.248 20 0 DIADHN C[C@H](CN[C@@H](C)c1cccnc1Cl)c1ccc(F)cc1F ZINC000151855910 1039889863 /nfs/dbraw/zinc/88/98/63/1039889863.db2.gz UZRWVCLLNMUXFK-MNOVXSKESA-N 0 3 310.775 4.468 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)[C@H](OC)c1ccccc1 ZINC000491931300 1039894887 /nfs/dbraw/zinc/89/48/87/1039894887.db2.gz JUPVDMDKPYPORZ-UGSOOPFHSA-N 0 3 319.832 4.214 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3ccc(F)cc32)cc(OC)c1 ZINC000093116033 1039894502 /nfs/dbraw/zinc/89/45/02/1039894502.db2.gz HWBSYKLBDKFZSW-LJQANCHMSA-N 0 3 315.388 4.010 20 0 DIADHN CCC(CC)(CCO)NCc1coc(-c2cccc(Cl)c2)n1 ZINC000669942630 1039903604 /nfs/dbraw/zinc/90/36/04/1039903604.db2.gz CMYIHEVMMJIPAH-UHFFFAOYSA-N 0 3 322.836 4.026 20 0 DIADHN CC(C)c1ncc(CN(CCc2nccs2)C(C)C)s1 ZINC000093764107 1039903675 /nfs/dbraw/zinc/90/36/75/1039903675.db2.gz UXZYPUPSTAIEPO-UHFFFAOYSA-N 0 3 309.504 4.176 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2ncccc2C(F)(F)F)C1 ZINC000093868544 1039904441 /nfs/dbraw/zinc/90/44/41/1039904441.db2.gz JXAAFJNJCIFCIR-NEPJUHHUSA-N 0 3 318.408 4.206 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1ccc(Cl)cc1)c1ccco1 ZINC000151923201 1039908827 /nfs/dbraw/zinc/90/88/27/1039908827.db2.gz RJICHUSZANLXFS-HOTGVXAUSA-N 0 3 305.805 4.021 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccn3)C2)cc(C(F)(F)F)c1 ZINC001143455726 1039910905 /nfs/dbraw/zinc/91/09/05/1039910905.db2.gz XEBOTMHDOSFRMH-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)[C@H]1OCCc2sccc21 ZINC000492155989 1039928201 /nfs/dbraw/zinc/92/82/01/1039928201.db2.gz WZNFDYDZSRSBJW-YMTOWFKASA-N 0 3 313.875 4.255 20 0 DIADHN C[C@H](NCc1ccccc1Cl)[C@@H]1OCCc2sccc21 ZINC000492193295 1039929660 /nfs/dbraw/zinc/92/96/60/1039929660.db2.gz NYSYDLFTBXTXGY-ZBEGNZNMSA-N 0 3 307.846 4.194 20 0 DIADHN CCC[C@@H](O)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000492179220 1039931572 /nfs/dbraw/zinc/93/15/72/1039931572.db2.gz NVBHIMFPNPUWBC-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H]2CCCn3nccc32)cc1 ZINC000657869205 1039932723 /nfs/dbraw/zinc/93/27/23/1039932723.db2.gz ZKQCSHJWLAIBMT-CJNGLKHVSA-N 0 3 301.459 4.181 20 0 DIADHN OC1(CN[C@H](c2cccc(F)c2)c2cccc(Cl)c2)CCC1 ZINC000492224775 1039936693 /nfs/dbraw/zinc/93/66/93/1039936693.db2.gz OHWXRIVSPSPJCV-KRWDZBQOSA-N 0 3 319.807 4.073 20 0 DIADHN CCC1(CNCc2csc(-c3ccccc3)n2)CCOCC1 ZINC000492259508 1039938787 /nfs/dbraw/zinc/93/87/87/1039938787.db2.gz PMIUVTMOUKDTGH-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000141439440 1039942905 /nfs/dbraw/zinc/94/29/05/1039942905.db2.gz BVTJWAGXLKYDTE-CQSZACIVSA-N 0 3 316.474 4.001 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000141439270 1039945211 /nfs/dbraw/zinc/94/52/11/1039945211.db2.gz BVTJWAGXLKYDTE-AWEZNQCLSA-N 0 3 316.474 4.001 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000413558592 1039961379 /nfs/dbraw/zinc/96/13/79/1039961379.db2.gz WMLJXXCRSQKJDS-XHSDSOJGSA-N 0 3 302.462 4.318 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC000413558586 1039962847 /nfs/dbraw/zinc/96/28/47/1039962847.db2.gz WMLJXXCRSQKJDS-OAGGEKHMSA-N 0 3 302.462 4.318 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C2CCC(C)CC2)c(C)c1 ZINC000198202401 1039969664 /nfs/dbraw/zinc/96/96/64/1039969664.db2.gz IMPPIQRFFMKCLE-UHFFFAOYSA-N 0 3 302.462 4.061 20 0 DIADHN CO[C@H](C)c1nc(CN(CC2CC2)C2CCCCC2)cs1 ZINC000175168359 1040012636 /nfs/dbraw/zinc/01/26/36/1040012636.db2.gz SXQVECDDRAOCRK-CYBMUJFWSA-N 0 3 308.491 4.395 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC/C=C/c2ccccc2)c1 ZINC000151932174 1040024080 /nfs/dbraw/zinc/02/40/80/1040024080.db2.gz RNEPMQOFXJIFAY-IZZDOVSWSA-N 0 3 308.425 4.180 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C2)CCCOC3)cc(C(F)(F)F)c1 ZINC001143457840 1040036618 /nfs/dbraw/zinc/03/66/18/1040036618.db2.gz KKVQHNBWLXLCOR-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN Cc1ncccc1CN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000685436886 1040044032 /nfs/dbraw/zinc/04/40/32/1040044032.db2.gz VTZZATVEDBEERV-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN Cc1cc(CN2C[C@@H](C(F)(F)F)CC[C@@H]2C)cc(C)c1O ZINC000685426924 1040044202 /nfs/dbraw/zinc/04/42/02/1040044202.db2.gz UMJFJWDKPUHGKQ-JSGCOSHPSA-N 0 3 301.352 4.172 20 0 DIADHN C=C/C=C\CCN[C@H](C)c1ccc(OC)cc1Br ZINC001168446679 1040044198 /nfs/dbraw/zinc/04/41/98/1040044198.db2.gz BJJUDJQPYNYFEN-FOQNGQEVSA-N 0 3 310.235 4.241 20 0 DIADHN Cc1ncccc1CN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000685436887 1040044539 /nfs/dbraw/zinc/04/45/39/1040044539.db2.gz VTZZATVEDBEERV-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN CC(C)N(Cc1nn(-c2ccc(F)cc2)c2c1CCC2)C(C)C ZINC000492992691 1040052821 /nfs/dbraw/zinc/05/28/21/1040052821.db2.gz LTKMOWVZAPLGGT-UHFFFAOYSA-N 0 3 315.436 4.119 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(c2cccnc2)CC1 ZINC000685456554 1040054967 /nfs/dbraw/zinc/05/49/67/1040054967.db2.gz YFPBIJBRDJWPTI-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN c1ccc(OCC2CC2)c(CN2CCC(c3cccnc3)CC2)c1 ZINC000685456006 1040058782 /nfs/dbraw/zinc/05/87/82/1040058782.db2.gz LMDNOXJJOMSZBZ-UHFFFAOYSA-N 0 3 322.452 4.250 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1n[nH]c2ccccc21 ZINC000685463624 1040064784 /nfs/dbraw/zinc/06/47/84/1040064784.db2.gz QXIQVRCMAIZBHG-GOSISDBHSA-N 0 3 323.444 4.020 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1[nH]nc2ccccc21 ZINC000685463624 1040064802 /nfs/dbraw/zinc/06/48/02/1040064802.db2.gz QXIQVRCMAIZBHG-GOSISDBHSA-N 0 3 323.444 4.020 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@H](C[C@@H]3CCOC3)C2)c(F)c1 ZINC000685463991 1040064910 /nfs/dbraw/zinc/06/49/10/1040064910.db2.gz KRRTWKHWNCLHBD-AUMWNFEYSA-N 0 3 321.411 4.117 20 0 DIADHN CN(Cc1nc2c(s1)CCC2)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000685476826 1040073606 /nfs/dbraw/zinc/07/36/06/1040073606.db2.gz DVEIVKVLNGFEKF-PHIMTYICSA-N 0 3 318.408 4.185 20 0 DIADHN Cc1nc(C)c(CNCC2(c3c(F)cccc3F)CCCC2)o1 ZINC000628210524 1040085937 /nfs/dbraw/zinc/08/59/37/1040085937.db2.gz ANLUECWFIVSTQP-UHFFFAOYSA-N 0 3 320.383 4.171 20 0 DIADHN Fc1cccc([C@@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)c1 ZINC000657904226 1040103262 /nfs/dbraw/zinc/10/32/62/1040103262.db2.gz ITSCPHFBKSOLCY-OALUTQOASA-N 0 3 311.400 4.216 20 0 DIADHN Cc1ncc(CNCC[C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000628227937 1040107220 /nfs/dbraw/zinc/10/72/20/1040107220.db2.gz YGPGAPBWCAXEHI-LLVKDONJSA-N 0 3 312.335 4.285 20 0 DIADHN CCCOCc1ccccc1CN[C@@H](C)c1cccc(OC)c1 ZINC000177228106 1040111511 /nfs/dbraw/zinc/11/15/11/1040111511.db2.gz AEYQMAXQGAWHMZ-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN C[C@@H](NCc1cccnc1N(C)C)c1cccc2ccccc21 ZINC000177213484 1040111795 /nfs/dbraw/zinc/11/17/95/1040111795.db2.gz PVUGETXZRHYJAH-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3c4cc(C)ccc4C[C@@H]3C)[nH]c2c1 ZINC000493172539 1040122238 /nfs/dbraw/zinc/12/22/38/1040122238.db2.gz AESGMAGQZQWKJO-XOBRGWDASA-N 0 3 305.425 4.203 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3ccc(C)cc32)cc1OC ZINC000106479678 1040122678 /nfs/dbraw/zinc/12/26/78/1040122678.db2.gz WDFJZUQVRXOTDW-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN c1ccc(OC[C@@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657912269 1040126569 /nfs/dbraw/zinc/12/65/69/1040126569.db2.gz AUCFXIWQJWRDOO-AZUAARDMSA-N 0 3 323.436 4.108 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(C(F)F)nc1 ZINC000657912761 1040127232 /nfs/dbraw/zinc/12/72/32/1040127232.db2.gz JJSRRNGWTRTXGS-MEBBXXQBSA-N 0 3 306.331 4.496 20 0 DIADHN Cc1nc(C)c(CN[C@H](COc2ccccc2F)C(C)(C)C)o1 ZINC000685571714 1040135063 /nfs/dbraw/zinc/13/50/63/1040135063.db2.gz VFCPECGASAZTGW-QGZVFWFLSA-N 0 3 320.408 4.014 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@@H](OC4CCC4)C3)cc2c1 ZINC000653811601 1040138615 /nfs/dbraw/zinc/13/86/15/1040138615.db2.gz QTAOWOFRLZUDPB-LJQANCHMSA-N 0 3 310.441 4.077 20 0 DIADHN CCc1ccc(CN[C@H](c2cccs2)C(C)(C)CO)cc1 ZINC000685585437 1040148620 /nfs/dbraw/zinc/14/86/20/1040148620.db2.gz WBMFHPQBNOEMBZ-QGZVFWFLSA-N 0 3 303.471 4.160 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000685612161 1040156351 /nfs/dbraw/zinc/15/63/51/1040156351.db2.gz OOXMTSZCAHSDGG-NSHDSACASA-N 0 3 321.467 4.092 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@@H](C)c1ccccn1 ZINC000685612205 1040156794 /nfs/dbraw/zinc/15/67/94/1040156794.db2.gz PUDATPNBUZJOQN-FZDNWWAKSA-N 0 3 318.367 4.437 20 0 DIADHN Cc1cn[nH]c1CNCc1ccccc1Sc1ccccc1 ZINC000685615457 1040158614 /nfs/dbraw/zinc/15/86/14/1040158614.db2.gz DHXIJAHLMXVIBD-UHFFFAOYSA-N 0 3 309.438 4.159 20 0 DIADHN CC[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(OC(F)F)cc1 ZINC000657929572 1040183069 /nfs/dbraw/zinc/18/30/69/1040183069.db2.gz RXNLFTIJGGPQGL-HUUCEWRRSA-N 0 3 321.371 4.060 20 0 DIADHN COC[C@@H](NC[C@H](C)Oc1ccccc1)c1cccc(Cl)c1 ZINC000685650121 1040184063 /nfs/dbraw/zinc/18/40/63/1040184063.db2.gz RMSNKMMQFDDBBF-KBXCAEBGSA-N 0 3 319.832 4.085 20 0 DIADHN COC[C@H](NC[C@@H](C)Oc1ccccc1)c1cccc(Cl)c1 ZINC000685650122 1040184435 /nfs/dbraw/zinc/18/44/35/1040184435.db2.gz RMSNKMMQFDDBBF-KDOFPFPSSA-N 0 3 319.832 4.085 20 0 DIADHN C[C@H](NCc1ccc2c(c1)COC2)c1nccc2ccccc21 ZINC000685651030 1040185884 /nfs/dbraw/zinc/18/58/84/1040185884.db2.gz XAGVIPQVTPGXQE-AWEZNQCLSA-N 0 3 304.393 4.116 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C(F)F)nc2)c(C)c1 ZINC000657928231 1040186785 /nfs/dbraw/zinc/18/67/85/1040186785.db2.gz SFDVWUGBYSHWEA-LBPRGKRZSA-N 0 3 306.356 4.187 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cnc2ccccc2n1 ZINC000628231749 1040192033 /nfs/dbraw/zinc/19/20/33/1040192033.db2.gz ZQCYZTFRDSJRAS-JLTOFOAXSA-N 0 3 303.409 4.043 20 0 DIADHN C[C@@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1cccnc1 ZINC000685660240 1040201620 /nfs/dbraw/zinc/20/16/20/1040201620.db2.gz GIWOUMIWSZUWTE-CJNGLKHVSA-N 0 3 308.347 4.153 20 0 DIADHN Clc1ccc(C2(N[C@H]3CCCn4nccc43)CCC2)cc1 ZINC000657943619 1040202653 /nfs/dbraw/zinc/20/26/53/1040202653.db2.gz RZALPKWJPJXEGK-HNNXBMFYSA-N 0 3 301.821 4.040 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000611982726 1040209885 /nfs/dbraw/zinc/20/98/85/1040209885.db2.gz SDNWVTXTGOAWMQ-DZFIZOCASA-N 0 3 314.473 4.212 20 0 DIADHN Fc1ccc(C2(CNCc3cnc(C4CC4)o3)CC2)c(Cl)c1 ZINC000628231333 1040210443 /nfs/dbraw/zinc/21/04/43/1040210443.db2.gz LCVBAOVPCZSVCJ-UHFFFAOYSA-N 0 3 320.795 4.166 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1CC2(C1)CCCCC2 ZINC000611982586 1040211155 /nfs/dbraw/zinc/21/11/55/1040211155.db2.gz PRZWFWRSFJBNDU-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN CCOC1CCC(N[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000657994239 1040256519 /nfs/dbraw/zinc/25/65/19/1040256519.db2.gz CFLFVKBCDAFDET-WHXUTIOJSA-N 0 3 322.396 4.160 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1ccc(F)c2ccccc21 ZINC000658014490 1040261315 /nfs/dbraw/zinc/26/13/15/1040261315.db2.gz VQUFHDMQFRFRIQ-SCLBCKFNSA-N 0 3 309.388 4.361 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCn3nccc32)C2CC2)cc1Cl ZINC000658027229 1040265309 /nfs/dbraw/zinc/26/53/09/1040265309.db2.gz LBEUIZILQVURMJ-NVXWUHKLSA-N 0 3 319.811 4.251 20 0 DIADHN COC1CC(CCN[C@@H](C)c2csc(-c3ccccc3)n2)C1 ZINC000658061463 1040283375 /nfs/dbraw/zinc/28/33/75/1040283375.db2.gz UBRCBDNAHLXPBS-HLIUYOAVSA-N 0 3 316.470 4.276 20 0 DIADHN CCC[C@H](NCc1ccc(CNC(C)=O)cc1)c1ccsc1 ZINC000658073400 1040300127 /nfs/dbraw/zinc/30/01/27/1040300127.db2.gz OFOIKKHHULQFCN-SFHVURJKSA-N 0 3 316.470 4.015 20 0 DIADHN C[C@@H]1C[C@@H](NC2CCC(C3CCCCC3)CC2)c2ncnn21 ZINC000658099500 1040306229 /nfs/dbraw/zinc/30/62/29/1040306229.db2.gz CKITYXUOEPJODH-LHUBUQBQSA-N 0 3 302.466 4.013 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1ccc3occc3c1)CC2 ZINC000658117704 1040308277 /nfs/dbraw/zinc/30/82/77/1040308277.db2.gz ZIYNEDDOHTYRMH-KRWDZBQOSA-N 0 3 323.392 4.227 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cccnc1N1CCCC1 ZINC000658104021 1040311166 /nfs/dbraw/zinc/31/11/66/1040311166.db2.gz OBPCJMBPQKBDJY-UZLBHIALSA-N 0 3 321.468 4.095 20 0 DIADHN CC(C)[C@@H](NCc1cccnc1N1CCCC1)c1ccccc1 ZINC000658104462 1040313733 /nfs/dbraw/zinc/31/37/33/1040313733.db2.gz VEFJEXBUJFSOCT-LJQANCHMSA-N 0 3 309.457 4.169 20 0 DIADHN CCO[C@H]1C[C@H](N[C@H](C)c2ccc(Oc3ccccc3)cn2)C1 ZINC000658159785 1040332244 /nfs/dbraw/zinc/33/22/44/1040332244.db2.gz BGJFLABRAMBOPU-IIDMSEBBSA-N 0 3 312.413 4.092 20 0 DIADHN COC[C@@H](N[C@H]1CCCc2ccccc21)c1cccc(OC)c1 ZINC000658162102 1040334769 /nfs/dbraw/zinc/33/47/69/1040334769.db2.gz BTFJAJFCEUVNQH-VQTJNVASSA-N 0 3 311.425 4.050 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc(C)ccc1C)c1cccc(OC)c1 ZINC000658163913 1040336588 /nfs/dbraw/zinc/33/65/88/1040336588.db2.gz LWOSYFWUDMMWGZ-JXFKEZNVSA-N 0 3 313.441 4.350 20 0 DIADHN CC[C@H](N[C@H](COC)c1cccc(OC)c1)c1ccc(C)cc1 ZINC000658165515 1040339698 /nfs/dbraw/zinc/33/96/98/1040339698.db2.gz QWYAKFGUKCDIJU-VQTJNVASSA-N 0 3 313.441 4.432 20 0 DIADHN CC[C@@H](N[C@@H](COC)c1cccc(OC)c1)c1ccc(C)cc1 ZINC000658165514 1040340567 /nfs/dbraw/zinc/34/05/67/1040340567.db2.gz QWYAKFGUKCDIJU-UXHICEINSA-N 0 3 313.441 4.432 20 0 DIADHN Clc1ccc(CN[C@H]2CCCn3nccc32)c2ccccc12 ZINC000658174585 1040344142 /nfs/dbraw/zinc/34/41/42/1040344142.db2.gz MOMYBYWASRZLMP-KRWDZBQOSA-N 0 3 311.816 4.314 20 0 DIADHN C[C@@H](NCCO[C@@H]1CC1(F)F)c1ccc(-c2ccccc2)o1 ZINC000658169699 1040344239 /nfs/dbraw/zinc/34/42/39/1040344239.db2.gz OSXSNLRAOGMWSQ-MLGOLLRUSA-N 0 3 307.340 4.021 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1cc(COC)cs1)CCC2 ZINC000658208061 1040355604 /nfs/dbraw/zinc/35/56/04/1040355604.db2.gz FTTOOAMOMHZTMH-CYBMUJFWSA-N 0 3 322.499 4.081 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCC[C@@H]3C[C@@H]32)c2ccccn2)cc1 ZINC000658207555 1040356490 /nfs/dbraw/zinc/35/64/90/1040356490.db2.gz VIJLINJMAWJUGK-IGGJEDBVSA-N 0 3 322.452 4.205 20 0 DIADHN COC[C@H](NCC[C@@]1(C)CC1(F)F)c1cccc(Cl)c1F ZINC000658218992 1040357632 /nfs/dbraw/zinc/35/76/32/1040357632.db2.gz GMKWRNRJSMLJSV-JSGCOSHPSA-N 0 3 321.770 4.192 20 0 DIADHN COC[C@@H](NCC[C@]1(C)CC1(F)F)c1cccc(Cl)c1F ZINC000658218994 1040359625 /nfs/dbraw/zinc/35/96/25/1040359625.db2.gz GMKWRNRJSMLJSV-TZMCWYRMSA-N 0 3 321.770 4.192 20 0 DIADHN C[C@]1(CCN[C@H](c2ccc(F)cc2)c2ccccn2)CC1(F)F ZINC000658219934 1040360560 /nfs/dbraw/zinc/36/05/60/1040360560.db2.gz KHTRNCJLOGJAIR-SJORKVTESA-N 0 3 320.358 4.335 20 0 DIADHN COc1cc(OC)c([C@@H](C)NC[C@]2(C)CC2(F)F)cc1Cl ZINC000658222407 1040363525 /nfs/dbraw/zinc/36/35/25/1040363525.db2.gz WOCLDVDHUCGIRW-OTYXRUKQSA-N 0 3 319.779 4.053 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1sccc1Br ZINC000658222138 1040363941 /nfs/dbraw/zinc/36/39/41/1040363941.db2.gz VHKNMTJZFZZXHG-GMSGAONNSA-N 0 3 310.207 4.207 20 0 DIADHN C[C@@]1(CCN[C@H](c2ccccc2)c2ccc(F)cn2)CC1(F)F ZINC000658223215 1040367512 /nfs/dbraw/zinc/36/75/12/1040367512.db2.gz SWEDVDOODCGNRR-IAGOWNOFSA-N 0 3 320.358 4.335 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1cc2ccccc2s1 ZINC000658214806 1040372201 /nfs/dbraw/zinc/37/22/01/1040372201.db2.gz YCOQXFXZPTYQTL-LBPRGKRZSA-N 0 3 311.454 4.363 20 0 DIADHN COC[C@H](NC[C@]1(C)CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000658217518 1040374535 /nfs/dbraw/zinc/37/45/35/1040374535.db2.gz FZXPBVCHWLEBSA-STQMWFEESA-N 0 3 323.305 4.028 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@@H]1CCc2ccc(O)cc21 ZINC000658235932 1040379290 /nfs/dbraw/zinc/37/92/90/1040379290.db2.gz GKNPQVBKLOQIFD-WOJBJXKFSA-N 0 3 323.436 4.400 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3ccc(O)cc32)cc1Cl ZINC000658241812 1040385588 /nfs/dbraw/zinc/38/55/88/1040385588.db2.gz VNWYPVBNAVAVIM-APPDUMDISA-N 0 3 317.816 4.392 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@@H](CC1CC1)c1ccccc1 ZINC000658268629 1040387714 /nfs/dbraw/zinc/38/77/14/1040387714.db2.gz QIHWPBWFNKIHIT-IBGZPJMESA-N 0 3 309.457 4.319 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1c(C3CCC3)cnn1C)CC2 ZINC000658268160 1040392940 /nfs/dbraw/zinc/39/29/40/1040392940.db2.gz ZSEUMAUWADAAQS-SFHVURJKSA-N 0 3 309.457 4.082 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1c(C2CCC2)cnn1C ZINC000658267094 1040393702 /nfs/dbraw/zinc/39/37/02/1040393702.db2.gz BZPXAUWUTIOGHU-LJQANCHMSA-N 0 3 323.484 4.472 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@@H]1CC(C)(C)c2ccccc21 ZINC000658268573 1040398463 /nfs/dbraw/zinc/39/84/63/1040398463.db2.gz PDQLNSFRJFYCAM-GOSISDBHSA-N 0 3 309.457 4.200 20 0 DIADHN C[C@H](NCc1c(C2CCC2)cnn1C)c1ccc2c(c1)CCCC2 ZINC000658269376 1040399867 /nfs/dbraw/zinc/39/98/67/1040399867.db2.gz NVEHOVHFAKORBT-HNNXBMFYSA-N 0 3 323.484 4.417 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000658287904 1040404928 /nfs/dbraw/zinc/40/49/28/1040404928.db2.gz KXFZPFSNCLVSPS-RRQGHBQHSA-N 0 3 318.486 4.169 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@@H]1CCc2c1cccc2F)c1ccccc1 ZINC000658289250 1040405967 /nfs/dbraw/zinc/40/59/67/1040405967.db2.gz YMSIYVVCRUPDFV-AQNXPRMDSA-N 0 3 313.416 4.161 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3c(C)cccc32)cc1O ZINC000658337279 1040427423 /nfs/dbraw/zinc/42/74/23/1040427423.db2.gz FOXSJDHUXHVEEI-RDTXWAMCSA-N 0 3 311.425 4.437 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3c(C)cccc32)cc1O ZINC000658337274 1040427959 /nfs/dbraw/zinc/42/79/59/1040427959.db2.gz FOXSJDHUXHVEEI-KBXCAEBGSA-N 0 3 311.425 4.437 20 0 DIADHN COc1cccc(CN[C@H]2CCCOc3cc(C)ccc32)c1C ZINC000658352015 1040433276 /nfs/dbraw/zinc/43/32/76/1040433276.db2.gz FRGMSJQSLGDZTP-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(OC(F)F)cc2)c1C ZINC000658352284 1040434778 /nfs/dbraw/zinc/43/47/78/1040434778.db2.gz HINMSFHPTYEORT-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cccc(OC)c2C)s1 ZINC000658352700 1040437011 /nfs/dbraw/zinc/43/70/11/1040437011.db2.gz JNTCKHHGKKRGAX-GFCCVEGCSA-N 0 3 304.459 4.182 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1cc2c(s1)CCCC2)c1ccccc1 ZINC000658361989 1040440002 /nfs/dbraw/zinc/44/00/02/1040440002.db2.gz QFGJWXJDNKYVQE-KSMMKXTCSA-N 0 3 315.482 4.400 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000658360644 1040440640 /nfs/dbraw/zinc/44/06/40/1040440640.db2.gz ISPTXBBYHMUJQS-IGNZVWTISA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000658363025 1040440688 /nfs/dbraw/zinc/44/06/88/1040440688.db2.gz YPGVUYLYOVHMLZ-DCGLDWPTSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000658360647 1040440872 /nfs/dbraw/zinc/44/08/72/1040440872.db2.gz ISPTXBBYHMUJQS-JBBXEZCESA-N 0 3 301.817 4.039 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000658359370 1040441069 /nfs/dbraw/zinc/44/10/69/1040441069.db2.gz COCWQFOFIKBDMZ-OGHNNQOOSA-N 0 3 323.358 4.478 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](C)O)cc1Cl ZINC000658361645 1040442062 /nfs/dbraw/zinc/44/20/62/1040442062.db2.gz MQDUMGPUZYAVFA-VEVIJQCQSA-N 0 3 319.832 4.121 20 0 DIADHN COC[C@@H](NCc1cc(C)c(C)cc1O)c1ccc(Cl)cc1 ZINC000193280300 1040495908 /nfs/dbraw/zinc/49/59/08/1040495908.db2.gz DPHMZARQHCIGEE-QGZVFWFLSA-N 0 3 319.832 4.140 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2cc(C)ccc2OC)c1 ZINC000658672453 1040505490 /nfs/dbraw/zinc/50/54/90/1040505490.db2.gz RZEHQUBFGWVOOK-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN C[C@@H](N[C@H]1CCSc2c(Cl)cccc21)c1cc[nH]c(=O)c1 ZINC000340451555 1040509354 /nfs/dbraw/zinc/50/93/54/1040509354.db2.gz YVNGDJRCMVEAAS-YGRLFVJLSA-N 0 3 320.845 4.328 20 0 DIADHN Cc1ccc([C@@H](C)CNCc2nnc(-c3ccccc3)s2)cc1 ZINC000628229544 1040514843 /nfs/dbraw/zinc/51/48/43/1040514843.db2.gz YYGNWGVREPOTGH-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000658707918 1040516724 /nfs/dbraw/zinc/51/67/24/1040516724.db2.gz NEFCWVRMUGSOAJ-KRWDZBQOSA-N 0 3 316.489 4.186 20 0 DIADHN C[C@H](NCc1cnn(C)c1C1CC1)c1cccc2ccccc21 ZINC000892475845 1040521606 /nfs/dbraw/zinc/52/16/06/1040521606.db2.gz MKIPUELOGDTNPW-AWEZNQCLSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cnc2ccccc2n1 ZINC000628239045 1040528530 /nfs/dbraw/zinc/52/85/30/1040528530.db2.gz AJFFKTABIDUYTD-BTYIYWSLSA-N 0 3 317.436 4.433 20 0 DIADHN CCCCN(C)[C@H](C)C(=O)NC(c1ccccc1)c1ccccc1 ZINC000023991736 1040540355 /nfs/dbraw/zinc/54/03/55/1040540355.db2.gz KYRUQILTVZLYRP-QGZVFWFLSA-N 0 3 324.468 4.013 20 0 DIADHN Cc1ccc(OC(=O)C2CCN(Cc3ccccc3)CC2)c(C)c1 ZINC000065858465 1040559964 /nfs/dbraw/zinc/55/99/64/1040559964.db2.gz NABBVSWUKAIECX-UHFFFAOYSA-N 0 3 323.436 4.121 20 0 DIADHN O=c1ccc2c([nH]1)CCC[C@H]2NCc1ccc(C(F)F)c(F)c1 ZINC000892490666 1040574725 /nfs/dbraw/zinc/57/47/25/1040574725.db2.gz XQDFJGZZSSXFPD-CQSZACIVSA-N 0 3 322.330 4.031 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1NC(C)(C)C)N1[C@H](C)CC[C@@H]1C ZINC000413744518 1040599529 /nfs/dbraw/zinc/59/95/29/1040599529.db2.gz HRTSDXLURMNIQS-ZNMIVQPWSA-N 0 3 317.477 4.097 20 0 DIADHN Cc1cnccc1CCNCc1cc(Cl)sc1Cl ZINC000397540983 1040605494 /nfs/dbraw/zinc/60/54/94/1040605494.db2.gz QUPZAAXZBCTHAS-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CCC[C@@H](NC[C@H](C)c1ccccn1)c1ncccc1Cl ZINC000926676851 1040627125 /nfs/dbraw/zinc/62/71/25/1040627125.db2.gz MXAYVIQXYHIPBR-XJKSGUPXSA-N 0 3 303.837 4.365 20 0 DIADHN N#Cc1ccccc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000065966705 1040631958 /nfs/dbraw/zinc/63/19/58/1040631958.db2.gz CEEMHDHFUBDPIH-UHFFFAOYSA-N 0 3 315.420 4.419 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccc(F)cc1)c1ccccc1Cl ZINC000177345220 1040634351 /nfs/dbraw/zinc/63/43/51/1040634351.db2.gz SFLRPEWSFZHOSF-PRXAMGSTSA-N 0 3 307.796 4.252 20 0 DIADHN CC[C@H](NCc1ccc(Cl)nc1)c1ccc2c(c1)CCCO2 ZINC000153040884 1040635039 /nfs/dbraw/zinc/63/50/39/1040635039.db2.gz FYQHYSLMKCUTGP-INIZCTEOSA-N 0 3 316.832 4.301 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)N[C@@H]1CCCc2oc(C)nc21 ZINC000926682425 1040640617 /nfs/dbraw/zinc/64/06/17/1040640617.db2.gz WDIQGZQRHYYMLC-JKSUJKDBSA-N 0 3 302.393 4.110 20 0 DIADHN CC[C@@H](NCc1cnc(C)s1)c1ccc2c(c1)CCCO2 ZINC000153595202 1040663376 /nfs/dbraw/zinc/66/33/76/1040663376.db2.gz DWCWKCGNRXXILD-MRXNPFEDSA-N 0 3 302.443 4.017 20 0 DIADHN Cc1csc(CCNCc2c(Cl)oc3ccccc32)n1 ZINC000133156495 1040700082 /nfs/dbraw/zinc/70/00/82/1040700082.db2.gz UKNIRUALLVBQAM-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@@H]32)c(Br)c1 ZINC001140760861 1040701375 /nfs/dbraw/zinc/70/13/75/1040701375.db2.gz WGSBRNQBTMHUIH-WBMJQRKESA-N 0 3 324.262 4.222 20 0 DIADHN C[C@H](NCCCSc1ccccc1)c1cn2c(n1)CCCC2 ZINC000924557109 1040706154 /nfs/dbraw/zinc/70/61/54/1040706154.db2.gz JFXNYCFXJMBADR-HNNXBMFYSA-N 0 3 315.486 4.052 20 0 DIADHN CSc1ccc(CN(C)CCOc2ccccc2F)cc1 ZINC000612854091 1040722946 /nfs/dbraw/zinc/72/29/46/1040722946.db2.gz PZDCRVGFDYSVJC-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN C[C@H](NCCC(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000224720933 1040750080 /nfs/dbraw/zinc/75/00/80/1040750080.db2.gz QQCQMBGIHIYXAV-INIZCTEOSA-N 0 3 305.425 4.283 20 0 DIADHN C[C@@H](NCCC(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000224720973 1040751118 /nfs/dbraw/zinc/75/11/18/1040751118.db2.gz QQCQMBGIHIYXAV-MRXNPFEDSA-N 0 3 305.425 4.283 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1ccc(N2CCCC2=O)cc1 ZINC000177746368 1040766746 /nfs/dbraw/zinc/76/67/46/1040766746.db2.gz WADDBYRJLBKBGX-KGLIPLIRSA-N 0 3 314.454 4.287 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(F)c(F)c1)c1cc2ccccc2o1 ZINC000177753713 1040768793 /nfs/dbraw/zinc/76/87/93/1040768793.db2.gz LBOXOCGNCPGKKJ-ZBEGNZNMSA-N 0 3 317.335 4.095 20 0 DIADHN C[C@@H](NCc1ccc(-n2ccnc2)cc1)c1cc(F)cc(F)c1 ZINC000177806562 1040785706 /nfs/dbraw/zinc/78/57/06/1040785706.db2.gz OJAPZMKLTNZWJZ-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414055628 1040796178 /nfs/dbraw/zinc/79/61/78/1040796178.db2.gz CTOROIXERWRJHV-AWEZNQCLSA-N 0 3 304.459 4.276 20 0 DIADHN FC1(F)CC[C@H](NCc2cc(Br)ccc2Cl)C1 ZINC000310207014 1040834564 /nfs/dbraw/zinc/83/45/64/1040834564.db2.gz BVZLGYUKIIGGON-JTQLQIEISA-N 0 3 324.596 4.380 20 0 DIADHN C[C@H](NCC1(CCO)CCC1)c1csc(-c2ccccc2)n1 ZINC000414083739 1040838395 /nfs/dbraw/zinc/83/83/95/1040838395.db2.gz DTHHCNYONDKVIO-AWEZNQCLSA-N 0 3 316.470 4.013 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)c1ccccc1OC)[C@H]1C[C@H]1C ZINC000414092076 1040843208 /nfs/dbraw/zinc/84/32/08/1040843208.db2.gz DUSXWHUYVQAGOT-FKKBTLCVSA-N 0 3 310.441 4.204 20 0 DIADHN CCCc1ccc(CNCc2ccnc(N3CCCCC3)c2)cc1 ZINC000613262285 1040847810 /nfs/dbraw/zinc/84/78/10/1040847810.db2.gz RCRXOESCGUTULD-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H]2CC2(F)F)c2ccccn2)c1 ZINC000926734873 1040848490 /nfs/dbraw/zinc/84/84/90/1040848490.db2.gz BTPSCODOIBVVEG-NVXWUHKLSA-N 0 3 302.368 4.114 20 0 DIADHN CC[C@H](C[C@@H](C)CO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414111260 1040875255 /nfs/dbraw/zinc/87/52/55/1040875255.db2.gz MMSAVGYKOHMNGM-IJEWVQPXSA-N 0 3 318.486 4.258 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCc2ccc(OC)cc21 ZINC000173231947 1040878947 /nfs/dbraw/zinc/87/89/47/1040878947.db2.gz ORGVODIINTZZCJ-HXUWFJFHSA-N 0 3 311.425 4.009 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(OC(F)F)cc1)CC2 ZINC000173228384 1040880339 /nfs/dbraw/zinc/88/03/39/1040880339.db2.gz NGSWTCKIIITYDD-KRWDZBQOSA-N 0 3 319.351 4.074 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3cc(F)ccc32)cc1OC ZINC000173361751 1040885990 /nfs/dbraw/zinc/88/59/90/1040885990.db2.gz MRISNHFWLFDQLO-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](C)c2csc(-c3ccccc3)n2)C1 ZINC000414123606 1040893866 /nfs/dbraw/zinc/89/38/66/1040893866.db2.gz BMVAKXYOKYVTTC-VHDGCEQUSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](O)C1)c1csc(-c2ccccc2)n1 ZINC000414131676 1040907794 /nfs/dbraw/zinc/90/77/94/1040907794.db2.gz YELZKYUAPRWXEY-LZWOXQAQSA-N 0 3 316.470 4.012 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCC[C@H]3CCC[C@@H]32)o1 ZINC000173804699 1040921892 /nfs/dbraw/zinc/92/18/92/1040921892.db2.gz HJGVGJPYOHFLSA-CJNGLKHVSA-N 0 3 300.377 4.245 20 0 DIADHN CN(CCCOCCc1ccccc1)Cc1ccc(F)c(F)c1 ZINC000613409587 1040923682 /nfs/dbraw/zinc/92/36/82/1040923682.db2.gz ALJSCGRRGKYZCZ-UHFFFAOYSA-N 0 3 319.395 4.046 20 0 DIADHN COCC(C)(C)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414150537 1040928895 /nfs/dbraw/zinc/92/88/95/1040928895.db2.gz VOZKQWKHPOBVKR-ZDUSSCGKSA-N 0 3 304.459 4.133 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccn(C)n1 ZINC000414155503 1040929949 /nfs/dbraw/zinc/92/99/49/1040929949.db2.gz SYBLKEFXMQHZEC-DRZSPHRISA-N 0 3 324.255 4.324 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccccc3)no2)[C@H]2CCCC[C@@H]12 ZINC000613416029 1040931080 /nfs/dbraw/zinc/93/10/80/1040931080.db2.gz PMKYJVNFDDKNBL-PVAVHDDUSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@@H](NC[C@H]1CCCC[C@@H]1O)c1csc(-c2ccccc2)n1 ZINC000414157955 1040938945 /nfs/dbraw/zinc/93/89/45/1040938945.db2.gz YRVIFHUCASBGPP-UNEWFSDZSA-N 0 3 316.470 4.012 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@@H]2c2cccc(F)c2)cc1 ZINC000178137813 1040950433 /nfs/dbraw/zinc/95/04/33/1040950433.db2.gz PKSDLLRGKVIMBC-LJQANCHMSA-N 0 3 313.372 4.088 20 0 DIADHN Cc1ccc(-c2noc(CN(C3CC3)C3CCCCC3)n2)cc1 ZINC000613432525 1040951633 /nfs/dbraw/zinc/95/16/33/1040951633.db2.gz HHDCQAMWUMMFGN-UHFFFAOYSA-N 0 3 311.429 4.342 20 0 DIADHN CCc1nc(CN2CCC[C@@H]2CCc2ccccc2)cs1 ZINC000613474469 1040981419 /nfs/dbraw/zinc/98/14/19/1040981419.db2.gz SXDFPOYYRAFENC-QGZVFWFLSA-N 0 3 300.471 4.303 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@@H]1CCc1ccccc1 ZINC000613476059 1040985802 /nfs/dbraw/zinc/98/58/02/1040985802.db2.gz GPUNTULDRNTVNG-LJQANCHMSA-N 0 3 322.452 4.031 20 0 DIADHN Cc1cc([C@H](C)NCC2(Cc3cccc(Cl)c3)CC2)nn1C ZINC000414200190 1040989638 /nfs/dbraw/zinc/98/96/38/1040989638.db2.gz ZLNJILYWYUQLCY-AWEZNQCLSA-N 0 3 317.864 4.055 20 0 DIADHN COCC[C@H](C)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000414200195 1040990511 /nfs/dbraw/zinc/99/05/11/1040990511.db2.gz AXAAQSNYHVQNHF-STQMWFEESA-N 0 3 322.449 4.272 20 0 DIADHN CC[C@@H]1OCC[C@H]1N[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000414211606 1041006226 /nfs/dbraw/zinc/00/62/26/1041006226.db2.gz OZGAJXMIGUPWJU-ZMYBRWDISA-N 0 3 312.413 4.092 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)N[C@@H](C)c2nccs2)cc1OC ZINC000414227236 1041025174 /nfs/dbraw/zinc/02/51/74/1041025174.db2.gz WAJHIUREUXKNBD-XQQFMLRXSA-N 0 3 320.458 4.003 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CCC[C@H](C4CC4)C3)o2)c1 ZINC000808777728 1041026516 /nfs/dbraw/zinc/02/65/16/1041026516.db2.gz DNANNSYVMWAMSY-YOEHRIQHSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CCC[C@H](C4CC4)C3)o2)c1 ZINC000808777723 1041027176 /nfs/dbraw/zinc/02/71/76/1041027176.db2.gz DNANNSYVMWAMSY-PBHICJAKSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](N[C@@H](C)c2nccs2)C1 ZINC000414225074 1041027932 /nfs/dbraw/zinc/02/79/32/1041027932.db2.gz RVHKAPVEGRGKSH-ZNMIVQPWSA-N 0 3 301.459 4.224 20 0 DIADHN COC[C@@H](NCCSC(C)(C)C)c1cccc(Cl)c1 ZINC000808801007 1041031071 /nfs/dbraw/zinc/03/10/71/1041031071.db2.gz PUVXNBLWXZFCRK-CQSZACIVSA-N 0 3 301.883 4.149 20 0 DIADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000414237322 1041040033 /nfs/dbraw/zinc/04/00/33/1041040033.db2.gz WOCPJRQBWYXYRK-QLFBSQMISA-N 0 3 314.454 4.419 20 0 DIADHN COc1cccc(CNCc2ccc(C(F)(F)F)c(C)c2)c1 ZINC000414247584 1041045152 /nfs/dbraw/zinc/04/51/52/1041045152.db2.gz CSAHIKOKKONQKS-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN Cc1cc(CNCCC(c2ccccc2)c2ccccc2)nn1C ZINC000414248492 1041047812 /nfs/dbraw/zinc/04/78/12/1041047812.db2.gz VHDQEORLPBDVOM-UHFFFAOYSA-N 0 3 319.452 4.040 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCS[C@H](C(C)C)C2)c1C ZINC000464773829 1041066305 /nfs/dbraw/zinc/06/63/05/1041066305.db2.gz OLXOHAOPTCURFX-SFHVURJKSA-N 0 3 319.514 4.388 20 0 DIADHN C[C@H](NC[C@@H](O)[C@@H](C)c1ccccc1)c1ccc(F)cc1Cl ZINC001170570020 1041083139 /nfs/dbraw/zinc/08/31/39/1041083139.db2.gz BGZAIGRFGKMNRS-ZJNRKIDTSA-N 0 3 321.823 4.294 20 0 DIADHN Brc1cnccc1CN1CC[C@@H](C2CCCCC2)C1 ZINC000708847830 1041091645 /nfs/dbraw/zinc/09/16/45/1041091645.db2.gz VIHSAHVESRLEFE-CQSZACIVSA-N 0 3 323.278 4.246 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2cn3ccnc3s2)cc1 ZINC000892604137 1041099728 /nfs/dbraw/zinc/09/97/28/1041099728.db2.gz ZZYMXDDSHPXCPD-ZDUSSCGKSA-N 0 3 315.442 4.034 20 0 DIADHN Fc1ccc([C@H](C[C@@H]2CCOC2)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414315292 1041115587 /nfs/dbraw/zinc/11/55/87/1041115587.db2.gz RTINFVSMAMWONR-LIRZEXBASA-N 0 3 309.400 4.164 20 0 DIADHN CC[C@@H](NCC[S@](=O)C(C)(C)C)c1cccc(Cl)c1F ZINC000161697453 1041118693 /nfs/dbraw/zinc/11/86/93/1041118693.db2.gz MVHUXCPIFGWRQZ-ZUOKHONESA-N 0 3 319.873 4.067 20 0 DIADHN CCC[C@@H](NCc1cn(C)nc1-c1ccccc1)c1cccnc1 ZINC000186520936 1041153138 /nfs/dbraw/zinc/15/31/38/1041153138.db2.gz NUQAUUJTPWVFAS-LJQANCHMSA-N 0 3 320.440 4.113 20 0 DIADHN CCc1nc([C@H](C)N[C@@H](C)CCc2ccc(OC)cc2)cs1 ZINC000162311755 1041154723 /nfs/dbraw/zinc/15/47/23/1041154723.db2.gz ASTXDEVCHPFUIU-KBPBESRZSA-N 0 3 318.486 4.386 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@@H]2C[C@H]2c2ccco2)cc1Cl ZINC000414347948 1041155109 /nfs/dbraw/zinc/15/51/09/1041155109.db2.gz WHCJFDKHOSYOQX-ZKYQVNSYSA-N 0 3 321.804 4.157 20 0 DIADHN C[C@@H](Cc1cn(C)c2ccc(F)cc12)N[C@@H](C)c1nccs1 ZINC000414347833 1041155531 /nfs/dbraw/zinc/15/55/31/1041155531.db2.gz NSBIENCSDMQZBT-RYUDHWBXSA-N 0 3 317.433 4.056 20 0 DIADHN Cc1ccccc1NC(=O)CN(Cc1ccccc1)[C@H](C)C1CC1 ZINC000024672759 1041161567 /nfs/dbraw/zinc/16/15/67/1041161567.db2.gz GVWILJCPFGISPY-QGZVFWFLSA-N 0 3 322.452 4.234 20 0 DIADHN Cc1ccc(-n2nc(CN)c3ccccc32)cc1-c1ccccc1 ZINC001167701248 1041184539 /nfs/dbraw/zinc/18/45/39/1041184539.db2.gz NRWDURBCMCRGFX-UHFFFAOYSA-N 0 3 313.404 4.460 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1cc(Br)ccc1F ZINC000310927895 1041184702 /nfs/dbraw/zinc/18/47/02/1041184702.db2.gz HATRBDKQZOPIHE-WCBMZHEXSA-N 0 3 322.168 4.427 20 0 DIADHN CCC1CCC(N(Cc2cnc([C@H](C)O)s2)C2CC2)CC1 ZINC000809545855 1041189913 /nfs/dbraw/zinc/18/99/13/1041189913.db2.gz JPHIHIYGNOPGOO-HSBZDZAISA-N 0 3 308.491 4.130 20 0 DIADHN Clc1nc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)cs1 ZINC000809578512 1041190640 /nfs/dbraw/zinc/19/06/40/1041190640.db2.gz MBUQTXMJUWINAZ-DZGCQCFKSA-N 0 3 306.862 4.298 20 0 DIADHN CCc1ncc(CN[C@@H](c2cccc(F)c2)C2CCCC2)cn1 ZINC000414374287 1041192329 /nfs/dbraw/zinc/19/23/29/1041192329.db2.gz CGJBPBJHPZMOCK-LJQANCHMSA-N 0 3 313.420 4.199 20 0 DIADHN C[C@@H](CCC1CCCCC1)NCc1ncc(C2OCCO2)s1 ZINC000809619554 1041197758 /nfs/dbraw/zinc/19/77/58/1041197758.db2.gz CUTVRDVTYUYKJV-ZDUSSCGKSA-N 0 3 324.490 4.027 20 0 DIADHN C[C@H](O)c1ncc(CN2CCC[C@H]2CCC2CCCC2)s1 ZINC000809596424 1041199681 /nfs/dbraw/zinc/19/96/81/1041199681.db2.gz ZKTQJENBPQFEOY-ZFWWWQNUSA-N 0 3 308.491 4.131 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NCCc1cscn1)CC2 ZINC000134443380 1041204768 /nfs/dbraw/zinc/20/47/68/1041204768.db2.gz ROMDQAMMMJGCBH-CYBMUJFWSA-N 0 3 313.253 4.270 20 0 DIADHN c1oc(C2CC2)nc1CN1C[C@@H](c2ccccc2)[C@@H]2CCC[C@H]21 ZINC000809693998 1041215139 /nfs/dbraw/zinc/21/51/39/1041215139.db2.gz GKSDXURPEOTBMH-GBESFXJTSA-N 0 3 308.425 4.320 20 0 DIADHN O[C@@H](CCNCc1ccc(Oc2ccccc2)o1)c1ccccc1 ZINC000809748560 1041243042 /nfs/dbraw/zinc/24/30/42/1041243042.db2.gz VCQMFGVEOCTBGQ-IBGZPJMESA-N 0 3 323.392 4.285 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cc2cccc(OC)c2o1 ZINC000809765778 1041250179 /nfs/dbraw/zinc/25/01/79/1041250179.db2.gz QNKRMXUHUVFFGN-QGZVFWFLSA-N 0 3 310.397 4.420 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cc2cccc(OC)c2o1 ZINC000809765777 1041251267 /nfs/dbraw/zinc/25/12/67/1041251267.db2.gz QNKRMXUHUVFFGN-KRWDZBQOSA-N 0 3 310.397 4.420 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccc(C(F)F)c(F)c2)cc1 ZINC000892672238 1041264980 /nfs/dbraw/zinc/26/49/80/1041264980.db2.gz IBJIXOIIHQSZQN-LLVKDONJSA-N 0 3 309.331 4.106 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(-c2ccc(F)cc2)o1 ZINC000605592893 1041265276 /nfs/dbraw/zinc/26/52/76/1041265276.db2.gz PUVBLIUICMVLEW-INIZCTEOSA-N 0 3 305.393 4.381 20 0 DIADHN Fc1ccccc1-c1cnc(CN2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000177546651 1041278103 /nfs/dbraw/zinc/27/81/03/1041278103.db2.gz QJURUKBGQJEAJF-OKILXGFUSA-N 0 3 300.377 4.103 20 0 DIADHN CCCCOc1ccccc1NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659250055 1041278178 /nfs/dbraw/zinc/27/81/78/1041278178.db2.gz UUWLDMXOGGYLKL-SFHVURJKSA-N 0 3 324.424 4.039 20 0 DIADHN Clc1cccc(-c2nnc(CN3C[C@H]4CCCC[C@@H]4C3)o2)c1 ZINC000177563950 1041280063 /nfs/dbraw/zinc/28/00/63/1041280063.db2.gz BKTRLKVZIAXOQJ-ZIAGYGMSSA-N 0 3 317.820 4.012 20 0 DIADHN C[C@@H](NCc1ccc(C2CC2)cc1)c1ccc2c(c1)OCCO2 ZINC000177608325 1041285716 /nfs/dbraw/zinc/28/57/16/1041285716.db2.gz QUGYWVMREKNCNT-CQSZACIVSA-N 0 3 309.409 4.186 20 0 DIADHN CC(C)(C)Oc1ccccc1NC(=O)C[C@H]1NCc2ccccc21 ZINC000659291799 1041293975 /nfs/dbraw/zinc/29/39/75/1041293975.db2.gz NCTKRCZIJUTVPG-QGZVFWFLSA-N 0 3 324.424 4.037 20 0 DIADHN COCC1(CN2CCC[C@@H]2c2nc3ccccc3s2)CCC1 ZINC000361295031 1041302252 /nfs/dbraw/zinc/30/22/52/1041302252.db2.gz HQNFBTWVOHBVDK-OAHLLOKOSA-N 0 3 316.470 4.250 20 0 DIADHN COCC1CC(N[C@@H](c2ccc(C(C)C)cc2)c2cccnc2)C1 ZINC000926810718 1041312253 /nfs/dbraw/zinc/31/22/53/1041312253.db2.gz VSJSPRLZVNBHHD-LFNLJPBCSA-N 0 3 324.468 4.309 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cc(O)cc(F)c1 ZINC000926811649 1041313780 /nfs/dbraw/zinc/31/37/80/1041313780.db2.gz YVWHUEFMEGKJQL-OWYVNGRQSA-N 0 3 303.299 4.079 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(N2CCCCC2=O)cc1)c1ccncc1 ZINC000810130598 1041317402 /nfs/dbraw/zinc/31/74/02/1041317402.db2.gz WKMABVUCIOYWMA-HZPDHXFCSA-N 0 3 323.440 4.010 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924590337 1041320313 /nfs/dbraw/zinc/32/03/13/1041320313.db2.gz GSKIVQDRKFEZHM-FZKQIMNGSA-N 0 3 324.453 4.131 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc2c(c1)OCCO2 ZINC000178664968 1041336564 /nfs/dbraw/zinc/33/65/64/1041336564.db2.gz YVMGTEZPFORAGD-GFCCVEGCSA-N 0 3 319.351 4.246 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1ccc(Br)nc1 ZINC000810217504 1041337971 /nfs/dbraw/zinc/33/79/71/1041337971.db2.gz FSVUWCOMNMVGGO-OQHXTRMZSA-N 0 3 317.230 4.208 20 0 DIADHN COCCN(Cc1cc2cccnc2o1)Cc1ccc(C)cc1C ZINC000648359778 1041339805 /nfs/dbraw/zinc/33/98/05/1041339805.db2.gz UQOQGFBARHSWET-UHFFFAOYSA-N 0 3 324.424 4.093 20 0 DIADHN CCOCOc1ccc(CNC(C)(C)c2cccc(F)c2)cc1 ZINC000782959605 1041351470 /nfs/dbraw/zinc/35/14/70/1041351470.db2.gz JVJLNSOLQZNCDA-UHFFFAOYSA-N 0 3 317.404 4.223 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NC[C@@H]2CCCC[C@H]2C)nc1C ZINC000589820450 1041353608 /nfs/dbraw/zinc/35/36/08/1041353608.db2.gz POSPXKSEDPXSAC-XBFCOCLRSA-N 0 3 324.490 4.105 20 0 DIADHN COc1cccc(C2(CN[C@@H](C)c3cccnc3Cl)CC2)c1 ZINC000810240323 1041354860 /nfs/dbraw/zinc/35/48/60/1041354860.db2.gz VFXWBINRFOULGH-ZDUSSCGKSA-N 0 3 316.832 4.126 20 0 DIADHN Cc1ccc(CNCc2cnn(C(C)(C)C)c2)c2ccccc12 ZINC000414488843 1041358120 /nfs/dbraw/zinc/35/81/20/1041358120.db2.gz XNLMYOFIJFUSDV-UHFFFAOYSA-N 0 3 307.441 4.390 20 0 DIADHN C[C@@]1(C(F)(F)F)CCN(Cc2cc3c(cccc3O)s2)C1 ZINC001207440308 1041364453 /nfs/dbraw/zinc/36/44/53/1041364453.db2.gz YWVCLWZNSGCSEN-CQSZACIVSA-N 0 3 315.360 4.381 20 0 DIADHN CN(Cc1cnc2ccccc2n1)[C@H]1CCCc2ccccc21 ZINC000659817690 1041375704 /nfs/dbraw/zinc/37/57/04/1041375704.db2.gz NWKHNLKVFULNSB-FQEVSTJZSA-N 0 3 303.409 4.139 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1ccnn1CC1CCC1 ZINC000924597676 1041380091 /nfs/dbraw/zinc/38/00/91/1041380091.db2.gz RJBYTUMLDHWSRM-FOIQADDNSA-N 0 3 309.457 4.485 20 0 DIADHN c1ccc(C2CCN(Cc3cnc4ccccc4n3)CC2)cc1 ZINC000659823997 1041380369 /nfs/dbraw/zinc/38/03/69/1041380369.db2.gz XYBMDZBTQAHXNO-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CC1(C)CN(CCCCC(F)(F)F)Cc2ccccc2O1 ZINC000564436119 1041383049 /nfs/dbraw/zinc/38/30/49/1041383049.db2.gz QJOQSIJIMXDXMJ-UHFFFAOYSA-N 0 3 301.352 4.392 20 0 DIADHN CN(Cc1csc2ccccc12)[C@@H]1CCCc2c1cnn2C ZINC000135571569 1041386917 /nfs/dbraw/zinc/38/69/17/1041386917.db2.gz NHAYQMFIEYICBO-MRXNPFEDSA-N 0 3 311.454 4.144 20 0 DIADHN CCn1cc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c(C)n1 ZINC000892757392 1041396246 /nfs/dbraw/zinc/39/62/46/1041396246.db2.gz IJQVXFVUPYNHGX-KRWDZBQOSA-N 0 3 303.425 4.228 20 0 DIADHN CC(C)(C)OCc1cccc(C[NH2+]Cc2ccc([O-])c(F)c2)c1 ZINC000892792485 1041402379 /nfs/dbraw/zinc/40/23/79/1041402379.db2.gz GXUFUYOFOLJXFP-UHFFFAOYSA-N 0 3 317.404 4.136 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2ccc(O)c(F)c2)c1 ZINC000892792485 1041402392 /nfs/dbraw/zinc/40/23/92/1041402392.db2.gz GXUFUYOFOLJXFP-UHFFFAOYSA-N 0 3 317.404 4.136 20 0 DIADHN CC(C)(NCc1cn2ccnc2s1)c1ccc(Cl)cc1 ZINC000892859816 1041411499 /nfs/dbraw/zinc/41/14/99/1041411499.db2.gz KAXJDUQHFLKHMV-UHFFFAOYSA-N 0 3 305.834 4.074 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cn3ccnc3s2)cc1 ZINC000892914888 1041419692 /nfs/dbraw/zinc/41/96/92/1041419692.db2.gz YZGLJUBQUQBQSX-GFCCVEGCSA-N 0 3 317.483 4.359 20 0 DIADHN Cc1n[nH]cc1CNCc1ccccc1-c1ccc(C)cc1C ZINC000892985219 1041449141 /nfs/dbraw/zinc/44/91/41/1041449141.db2.gz GCSNFFATKNUMMX-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN CCc1nocc1CN(Cc1ccc(OC)cc1)[C@H](C)C1CC1 ZINC000659851249 1041451295 /nfs/dbraw/zinc/45/12/95/1041451295.db2.gz DVRCZWHOJAZCLL-CQSZACIVSA-N 0 3 314.429 4.046 20 0 DIADHN COc1cccc(-c2csc(CN[C@H](C)CCSC)n2)c1 ZINC000893003336 1041455055 /nfs/dbraw/zinc/45/50/55/1041455055.db2.gz IMNPIBUCIBVVJR-GFCCVEGCSA-N 0 3 322.499 4.050 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1sccc1Br ZINC000308912478 1041485897 /nfs/dbraw/zinc/48/58/97/1041485897.db2.gz YHLPEIZJLNISGW-QXFUBDJGSA-N 0 3 306.294 4.055 20 0 DIADHN C[C@H](NCCCCOc1ccc(Cl)cc1)c1cscn1 ZINC000271061934 1041492222 /nfs/dbraw/zinc/49/22/22/1041492222.db2.gz CGQBNCOTGUZLPP-LBPRGKRZSA-N 0 3 310.850 4.306 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000395220046 1041493105 /nfs/dbraw/zinc/49/31/05/1041493105.db2.gz NMDSDHYZIDODCR-ZDUSSCGKSA-N 0 3 317.816 4.270 20 0 DIADHN CCCC(C)(C)NCc1ncc(Br)cc1Cl ZINC000659874685 1041495533 /nfs/dbraw/zinc/49/55/33/1041495533.db2.gz GMTDKBWJWTXCSI-UHFFFAOYSA-N 0 3 305.647 4.166 20 0 DIADHN Fc1cc(CNCc2ccc3c(c2)COC3)ccc1C(F)F ZINC000893146511 1041501862 /nfs/dbraw/zinc/50/18/62/1041501862.db2.gz MGNQBOFYHZNMTM-UHFFFAOYSA-N 0 3 307.315 4.083 20 0 DIADHN CCN(CCc1ccccn1)Cc1cscc1C(F)(F)F ZINC000811458582 1041519623 /nfs/dbraw/zinc/51/96/23/1041519623.db2.gz VSZKEVFQZWJNGU-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN CCN(Cc1ccc(SC)s1)C[C@@H](O)c1ccc(C)cc1 ZINC000811465330 1041521683 /nfs/dbraw/zinc/52/16/83/1041521683.db2.gz CXEXQWNSIZDEDT-MRXNPFEDSA-N 0 3 321.511 4.334 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1ccnc(Cl)c1Cl ZINC000811484958 1041523203 /nfs/dbraw/zinc/52/32/03/1041523203.db2.gz QOICMOVOUQWZSB-NWDGAFQWSA-N 0 3 317.260 4.072 20 0 DIADHN CCN(C#N)CCN[C@@H](CCCc1ccccc1)c1ccccc1 ZINC001167728537 1041523562 /nfs/dbraw/zinc/52/35/62/1041523562.db2.gz PJZTUBYTRVWFGH-NRFANRHFSA-N 0 3 321.468 4.143 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2ccnc(Cl)c2Cl)C1(C)C ZINC000811491054 1041524682 /nfs/dbraw/zinc/52/46/82/1041524682.db2.gz YPZIRROTGNBPPI-VXGBXAGGSA-N 0 3 317.260 4.070 20 0 DIADHN CN(CCCNCc1ccnc(Cl)c1Cl)c1ccccc1 ZINC000811471869 1041526794 /nfs/dbraw/zinc/52/67/94/1041526794.db2.gz LZUUYAKJAUNCGL-UHFFFAOYSA-N 0 3 324.255 4.005 20 0 DIADHN CC[C@@H](NCc1ccc(-n2ccnc2)cc1)c1ccccc1F ZINC000180265415 1041527215 /nfs/dbraw/zinc/52/72/15/1041527215.db2.gz ZFHNORLWYCPNGJ-LJQANCHMSA-N 0 3 309.388 4.252 20 0 DIADHN Fc1ccc(CCN2CCC(c3cccnc3)CC2)c(Cl)c1 ZINC000811507034 1041533721 /nfs/dbraw/zinc/53/37/21/1041533721.db2.gz OTPGGMJCMQUPDV-UHFFFAOYSA-N 0 3 318.823 4.296 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3cc(C4CC4)no3)C2)cc1 ZINC000659921382 1041541658 /nfs/dbraw/zinc/54/16/58/1041541658.db2.gz VCSRCUTZCPGLJI-CQSZACIVSA-N 0 3 302.805 4.195 20 0 DIADHN C[C@H](NC(=O)c1cccc(CN(C)C)c1)c1ccc(C2CC2)cc1 ZINC000416286228 1041555527 /nfs/dbraw/zinc/55/55/27/1041555527.db2.gz ADWNDKFPMUQCLY-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(/C=C/C(=O)Nc2ccccc2CN(C)C)o1 ZINC000042752636 1041560591 /nfs/dbraw/zinc/56/05/91/1041560591.db2.gz WJMCJPFBAJAVOR-JCECQIOLSA-N 0 3 324.424 4.117 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1cnc2n1CCCC2 ZINC000367435384 1041585169 /nfs/dbraw/zinc/58/51/69/1041585169.db2.gz BRRCSVLHWDULOE-SFHVURJKSA-N 0 3 309.457 4.003 20 0 DIADHN c1c(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)onc1C1CC1 ZINC000660040936 1041589511 /nfs/dbraw/zinc/58/95/11/1041589511.db2.gz HFNCRLHRGACILG-MRXNPFEDSA-N 0 3 321.424 4.413 20 0 DIADHN c1c(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)onc1C1CC1 ZINC000660040935 1041589762 /nfs/dbraw/zinc/58/97/62/1041589762.db2.gz HFNCRLHRGACILG-INIZCTEOSA-N 0 3 321.424 4.413 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Br)C1(C)CC1 ZINC000309782397 1041595858 /nfs/dbraw/zinc/59/58/58/1041595858.db2.gz NFSCXJMBGSFMPA-SECBINFHSA-N 0 3 302.643 4.381 20 0 DIADHN CCc1ccccc1CNCc1ccc([N+](=O)[O-])c(Cl)c1 ZINC000185295894 1041610497 /nfs/dbraw/zinc/61/04/97/1041610497.db2.gz BFUABFHAQYCYFB-UHFFFAOYSA-N 0 3 304.777 4.100 20 0 DIADHN COc1cccc(SCCN2CCC[C@H]2c2ccncc2)c1 ZINC000660135667 1041625920 /nfs/dbraw/zinc/62/59/20/1041625920.db2.gz BBVWFFXZANLXCJ-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC[C@@H](c2ccncn2)C1 ZINC000364008798 1041632465 /nfs/dbraw/zinc/63/24/65/1041632465.db2.gz BKCNAVASKWHXPB-DZGCQCFKSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC[C@H](c2ccncn2)C1 ZINC000364008799 1041632598 /nfs/dbraw/zinc/63/25/98/1041632598.db2.gz BKCNAVASKWHXPB-HIFRSBDPSA-N 0 3 301.821 4.071 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CC(C)(C)Cc3occc32)cc1 ZINC000186609646 1041635366 /nfs/dbraw/zinc/63/53/66/1041635366.db2.gz DYUXEFVVJQQBMM-KRWDZBQOSA-N 0 3 313.441 4.310 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2c(O)cccc21 ZINC000812719837 1041641402 /nfs/dbraw/zinc/64/14/02/1041641402.db2.gz WLMQRVWAYHOVAU-GOSISDBHSA-N 0 3 310.441 4.016 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2[nH]ccc2c1F ZINC001170685874 1041642479 /nfs/dbraw/zinc/64/24/79/1041642479.db2.gz ITPXIYBHIXQGNR-LBPRGKRZSA-N 0 3 320.371 4.179 20 0 DIADHN Clc1cccc(Cl)c1-c1noc(C23CCCN2CCC3)n1 ZINC000417234332 1041647996 /nfs/dbraw/zinc/64/79/96/1041647996.db2.gz VDQNOYLWHAIINM-UHFFFAOYSA-N 0 3 324.211 4.128 20 0 DIADHN COC[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccc(C)o1 ZINC000417309143 1041655693 /nfs/dbraw/zinc/65/56/93/1041655693.db2.gz IGSQLBXXADEWCS-XQLPTFJDSA-N 0 3 305.443 4.119 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2)n1)[C@@H]1C[C@H]1c1cccs1 ZINC000417349170 1041660303 /nfs/dbraw/zinc/66/03/03/1041660303.db2.gz ZSOBEJSNCSCDSN-JCGIZDLHSA-N 0 3 323.465 4.216 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(C(F)F)cc1 ZINC000364088327 1041660351 /nfs/dbraw/zinc/66/03/51/1041660351.db2.gz YCXXYXVGANPUDA-INIZCTEOSA-N 0 3 305.372 4.003 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc2ccccc2n1)C(C)C ZINC000660196935 1041661645 /nfs/dbraw/zinc/66/16/45/1041661645.db2.gz IAZSXSCUVKKEKY-HXUWFJFHSA-N 0 3 305.425 4.425 20 0 DIADHN c1c2ccccc2oc1[C@H](NC[C@H]1CC12CC2)c1cccnc1 ZINC000417345894 1041663029 /nfs/dbraw/zinc/66/30/29/1041663029.db2.gz YKFGUWWQOVCQRN-VQIMIIECSA-N 0 3 304.393 4.307 20 0 DIADHN c1c2ccccc2oc1[C@@H](NC[C@@H]1CC12CC2)c1cccnc1 ZINC000417345892 1041663035 /nfs/dbraw/zinc/66/30/35/1041663035.db2.gz YKFGUWWQOVCQRN-LPHOPBHVSA-N 0 3 304.393 4.307 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc2ccccc2n1)C1CC1 ZINC000660210847 1041670888 /nfs/dbraw/zinc/67/08/88/1041670888.db2.gz MJOLFMAVEGTWOE-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN Cc1csc([C@H](C)N[C@@H](C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000162430640 1041678195 /nfs/dbraw/zinc/67/81/95/1041678195.db2.gz WUFHRGJGHNFVPR-AAEUAGOBSA-N 0 3 319.430 4.032 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCn1ccc2cc(Cl)ccc21 ZINC000584810617 1041678505 /nfs/dbraw/zinc/67/85/05/1041678505.db2.gz LAILVRQBRVJRLV-CQSZACIVSA-N 0 3 313.832 4.383 20 0 DIADHN CC[C@H](NCCSc1cccc(OC)c1)c1nc(C)cs1 ZINC000660251722 1041689482 /nfs/dbraw/zinc/68/94/82/1041689482.db2.gz BPJGOHRJTMUHMA-HNNXBMFYSA-N 0 3 322.499 4.293 20 0 DIADHN Cc1nc(CN[C@H](C)C(c2ccccc2)c2ccccc2)co1 ZINC000660254357 1041692135 /nfs/dbraw/zinc/69/21/35/1041692135.db2.gz PGDDBCFJWFTWJL-OAHLLOKOSA-N 0 3 306.409 4.293 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000417928365 1041710694 /nfs/dbraw/zinc/71/06/94/1041710694.db2.gz MZNLAMZOWGJPGQ-AFAVFJNCSA-N 0 3 303.837 4.043 20 0 DIADHN C[C@@H](NCC1(C)OCCCO1)c1ccc(-c2ccccc2)cc1 ZINC000190648869 1041717211 /nfs/dbraw/zinc/71/72/11/1041717211.db2.gz WKNPLRSNOZZJBV-MRXNPFEDSA-N 0 3 311.425 4.157 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](C)c1ccc(C2CC2)cc1 ZINC000418111860 1041727352 /nfs/dbraw/zinc/72/73/52/1041727352.db2.gz FUHDFUQKELBCKL-CQSZACIVSA-N 0 3 311.473 4.273 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(-c3cccnc3)cc2)c1 ZINC000364319150 1041742642 /nfs/dbraw/zinc/74/26/42/1041742642.db2.gz UELXBFQHASHGII-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CCOc1ccc(C[C@@H](C)CN[C@@H](C)c2csc(C)n2)cc1 ZINC000651489917 1041747855 /nfs/dbraw/zinc/74/78/55/1041747855.db2.gz PPERADKJFJZJFD-KGLIPLIRSA-N 0 3 318.486 4.380 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2csc(-c3ccccn3)n2)C1 ZINC000123622604 1041752761 /nfs/dbraw/zinc/75/27/61/1041752761.db2.gz RJIIIGNGPLBRKH-ZIAGYGMSSA-N 0 3 301.459 4.121 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CC[C@@]3(CC3(F)F)C2)s1 ZINC000652064591 1041771780 /nfs/dbraw/zinc/77/17/80/1041771780.db2.gz USIPHWASOSZQOU-OAHLLOKOSA-N 0 3 324.371 4.180 20 0 DIADHN COc1ccccc1CN1CCC[C@@H]2O[C@H](c3ccccc3)C[C@@H]21 ZINC000652069767 1041772603 /nfs/dbraw/zinc/77/26/03/1041772603.db2.gz YGHGLYUCPHRFBH-JBACZVJFSA-N 0 3 323.436 4.190 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cc2cccc(OC)c2o1 ZINC000759849891 1041777108 /nfs/dbraw/zinc/77/71/08/1041777108.db2.gz NPHZNZXATBPASX-KRWDZBQOSA-N 0 3 323.392 4.227 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(Br)cs1)c1cccnc1 ZINC000193948154 1041779400 /nfs/dbraw/zinc/77/94/00/1041779400.db2.gz AOMIBFBBKMOVME-ZJUUUORDSA-N 0 3 311.248 4.317 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@]2(C1)CCc1ccccc1O2 ZINC001170731755 1041780322 /nfs/dbraw/zinc/78/03/22/1041780322.db2.gz GJOVSPYUMREIDR-YWZLYKJASA-N 0 3 311.400 4.356 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)C1CCC1 ZINC000660423703 1041784340 /nfs/dbraw/zinc/78/43/40/1041784340.db2.gz OSCVNDGLVWRTKC-JKSUJKDBSA-N 0 3 315.379 4.393 20 0 DIADHN C[C@H](CN(Cc1sccc1Cl)[C@@H](C)CO)c1ccccc1 ZINC001170732515 1041786128 /nfs/dbraw/zinc/78/61/28/1041786128.db2.gz KYBYRFRQSVGMCU-KGLIPLIRSA-N 0 3 323.889 4.388 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000660431594 1041791311 /nfs/dbraw/zinc/79/13/11/1041791311.db2.gz HHUYEGKIPDQGJI-JGVFFNPUSA-N 0 3 316.339 4.494 20 0 DIADHN C[C@@H](NCc1cnn(CC(F)F)c1)c1csc2ccccc21 ZINC000814291597 1041805215 /nfs/dbraw/zinc/80/52/15/1041805215.db2.gz RBMAYFBVZPPPLP-LLVKDONJSA-N 0 3 321.396 4.214 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN3CCCc3cccnc3)nc2c1 ZINC001170744896 1041816945 /nfs/dbraw/zinc/81/69/45/1041816945.db2.gz OAHPNHNHOXUDHA-IBGZPJMESA-N 0 3 320.440 4.036 20 0 DIADHN Cc1ccc2nc([C@@H]3CCCN3CCCc3cccnc3)[nH]c2c1 ZINC001170744896 1041816951 /nfs/dbraw/zinc/81/69/51/1041816951.db2.gz OAHPNHNHOXUDHA-IBGZPJMESA-N 0 3 320.440 4.036 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2)cc(C(F)(F)F)c1 ZINC001143512060 1041821973 /nfs/dbraw/zinc/82/19/73/1041821973.db2.gz UHXOIZGYJUGMFE-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1N(C)Cc1cc(C)nc(Cl)c1 ZINC001167767645 1041824453 /nfs/dbraw/zinc/82/44/53/1041824453.db2.gz ZISHRWSXSGLQLF-GJZGRUSLSA-N 0 3 310.869 4.069 20 0 DIADHN Cc1noc([C@@H]2CCCN2C[C@@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC000660548296 1041829776 /nfs/dbraw/zinc/82/97/76/1041829776.db2.gz JYKQHLHOUDHTHL-NXHRZFHOSA-N 0 3 311.429 4.099 20 0 DIADHN COc1cccc(CN(C)C2CCC(F)(F)CC2)c1Cl ZINC000660560763 1041835346 /nfs/dbraw/zinc/83/53/46/1041835346.db2.gz ZWLYJLOQLGRALZ-UHFFFAOYSA-N 0 3 303.780 4.358 20 0 DIADHN CC(C)c1cccc(CN[C@@H](c2cccnc2)C(C)(C)CO)c1 ZINC000660634845 1041848662 /nfs/dbraw/zinc/84/86/62/1041848662.db2.gz BPDCXLZIOOQXHE-IBGZPJMESA-N 0 3 312.457 4.054 20 0 DIADHN CCc1cccc(CNCc2cc(Cl)c(OC)c(OC)c2)c1 ZINC000651696468 1041885566 /nfs/dbraw/zinc/88/55/66/1041885566.db2.gz SEPVGGIBNOLSTI-UHFFFAOYSA-N 0 3 319.832 4.209 20 0 DIADHN CC[C@H](C)N(CC)Cc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000661103868 1041887178 /nfs/dbraw/zinc/88/71/78/1041887178.db2.gz DALLNDCIGDQUOA-LBPRGKRZSA-N 0 3 320.458 4.145 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000651718831 1041890925 /nfs/dbraw/zinc/89/09/25/1041890925.db2.gz ZYKOFHYELZJLHR-PSLIRLAXSA-N 0 3 322.811 4.292 20 0 DIADHN CCc1cccc(CN[C@H](Cc2nccn2C)c2ccccc2)c1 ZINC000651724473 1041896364 /nfs/dbraw/zinc/89/63/64/1041896364.db2.gz WEPNXIMAZGNCFT-HXUWFJFHSA-N 0 3 319.452 4.056 20 0 DIADHN CN(Cc1cccc(Cl)c1)C[C@@H](O)c1cc2ccccc2o1 ZINC000815012590 1041904477 /nfs/dbraw/zinc/90/44/77/1041904477.db2.gz JUYUXLCBFZIFHV-MRXNPFEDSA-N 0 3 315.800 4.252 20 0 DIADHN O[C@@H](CN1CC[C@H]1c1cccc(F)c1)c1cccc2ccccc21 ZINC000815057873 1041907464 /nfs/dbraw/zinc/90/74/64/1041907464.db2.gz CHNRNVKJQXCPFR-SFTDATJTSA-N 0 3 321.395 4.459 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1nnc(-c2ccc(Cl)cc2)n1C ZINC000661231974 1041908038 /nfs/dbraw/zinc/90/80/38/1041908038.db2.gz TWHFZQMAHLWNBV-GFCCVEGCSA-N 0 3 320.868 4.050 20 0 DIADHN Brc1cc(CN[C@@H](c2cccs2)C2CC2)ccn1 ZINC000815080070 1041910950 /nfs/dbraw/zinc/91/09/50/1041910950.db2.gz FSLGOZGNHKLHTL-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN CC(C)(NC[C@@H](O)c1cc2ccccc2o1)c1cccc(F)c1 ZINC000815083323 1041912340 /nfs/dbraw/zinc/91/23/40/1041912340.db2.gz MNQMKVOTPDBIGK-MRXNPFEDSA-N 0 3 313.372 4.130 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2cc3cnccc3o2)CC12CCC2 ZINC000651795676 1041916146 /nfs/dbraw/zinc/91/61/46/1041916146.db2.gz NYBJDHQXCUEKIH-OAHLLOKOSA-N 0 3 324.346 4.382 20 0 DIADHN CCN(C)c1ccc(CNC2(c3ccccc3C)CCC2)cn1 ZINC000651862060 1041936565 /nfs/dbraw/zinc/93/65/65/1041936565.db2.gz AFLWEZADFYIDEG-UHFFFAOYSA-N 0 3 309.457 4.015 20 0 DIADHN c1coc([C@@H]2N(CCCC3CCOCC3)CC23CCCC3)c1 ZINC000661435850 1041937109 /nfs/dbraw/zinc/93/71/09/1041937109.db2.gz IXBIFXJNCXQYDE-SFHVURJKSA-N 0 3 303.446 4.404 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(SC(F)F)cc2)CCCO1 ZINC000815317148 1041943336 /nfs/dbraw/zinc/94/33/36/1041943336.db2.gz VPGWMKDCOCLOKB-OAHLLOKOSA-N 0 3 315.429 4.248 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)CCOCC1CCCC1 ZINC001170782751 1041943556 /nfs/dbraw/zinc/94/35/56/1041943556.db2.gz IPXIAUUOTDWDBM-SFHVURJKSA-N 0 3 305.462 4.285 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1ncc(-c2ccccc2)o1 ZINC000661522444 1041947988 /nfs/dbraw/zinc/94/79/88/1041947988.db2.gz CJXHLPOHPPJWHC-KBXCAEBGSA-N 0 3 304.393 4.160 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(F)cc1)C1CCCC1)c1cnn(C)c1 ZINC000164405578 1041950852 /nfs/dbraw/zinc/95/08/52/1041950852.db2.gz FDPNNHJMBNSRPS-FZKQIMNGSA-N 0 3 301.409 4.141 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](C)c2ccc(F)cc2C)c1 ZINC000815561065 1041963109 /nfs/dbraw/zinc/96/31/09/1041963109.db2.gz XIWKFBVVTPUNBF-CQSZACIVSA-N 0 3 314.404 4.333 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](C)c2ccc(F)cc2C)c1 ZINC000815561064 1041963180 /nfs/dbraw/zinc/96/31/80/1041963180.db2.gz XIWKFBVVTPUNBF-AWEZNQCLSA-N 0 3 314.404 4.333 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C)cc2)s1)[C@@H]1CCCOC1 ZINC000651948142 1041963958 /nfs/dbraw/zinc/96/39/58/1041963958.db2.gz DKLFLZUBZVRTOG-MRXNPFEDSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H](C)c1cccc(C2CC2)c1 ZINC000815581543 1041965755 /nfs/dbraw/zinc/96/57/55/1041965755.db2.gz JDBKYXDRFSGIDE-BFUOFWGJSA-N 0 3 307.441 4.391 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc(NC(N)=O)cc1 ZINC000815579695 1041965792 /nfs/dbraw/zinc/96/57/92/1041965792.db2.gz SINLFQAUSSXRIU-QWHCGFSZSA-N 0 3 315.392 4.037 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](CCCO)c1ccccc1 ZINC000815582664 1041967510 /nfs/dbraw/zinc/96/75/10/1041967510.db2.gz MHXJYBLSBBINRY-HNAYVOBHSA-N 0 3 301.405 4.299 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc(OCC2CC2)nc1 ZINC000815573168 1041972867 /nfs/dbraw/zinc/97/28/67/1041972867.db2.gz ZYYDQKKMXLGCQO-CQSZACIVSA-N 0 3 314.404 4.169 20 0 DIADHN FCC[C@@H]1CCCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000661700280 1041973177 /nfs/dbraw/zinc/97/31/77/1041973177.db2.gz ZYIFSOYVDZLPSU-ZDUSSCGKSA-N 0 3 306.356 4.052 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc2c(c1)OCCCO2 ZINC000815574105 1041973161 /nfs/dbraw/zinc/97/31/61/1041973161.db2.gz FAHOENPSTWRZNG-CQSZACIVSA-N 0 3 315.388 4.146 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC[C@@H](C)C2)c2ccccn2)cc1 ZINC000652195133 1041974301 /nfs/dbraw/zinc/97/43/01/1041974301.db2.gz LEXGOLAKOVSRMT-QINHECLXSA-N 0 3 310.441 4.205 20 0 DIADHN CC(C)C[C@@H](NCc1cnn2ccccc12)c1ccc(F)cc1 ZINC000652198337 1041976131 /nfs/dbraw/zinc/97/61/31/1041976131.db2.gz COPCNOPXCYWWPK-GOSISDBHSA-N 0 3 311.404 4.350 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1ccccc1SC(C)(C)C ZINC000815620663 1041976206 /nfs/dbraw/zinc/97/62/06/1041976206.db2.gz XPUXMUJDMPZFST-RYUDHWBXSA-N 0 3 317.445 4.244 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2nc3ccccc3nc2C)cc1 ZINC000047959403 1041976739 /nfs/dbraw/zinc/97/67/39/1041976739.db2.gz GSAUHNUDSLLWMR-MRXNPFEDSA-N 0 3 305.425 4.440 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(F)c2ccccc21)C(F)(F)F ZINC000815605448 1041979521 /nfs/dbraw/zinc/97/95/21/1041979521.db2.gz UYAOGWJVQOYBCE-BONVTDFDSA-N 0 3 315.310 4.207 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1F ZINC000652251111 1041984609 /nfs/dbraw/zinc/98/46/09/1041984609.db2.gz ZDCXDYKQWANTQU-KUHUBIRLSA-N 0 3 324.403 4.208 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1sccc1Br ZINC000652267837 1041985252 /nfs/dbraw/zinc/98/52/52/1041985252.db2.gz BYOIYMYYNXRYKC-MVWJERBFSA-N 0 3 318.280 4.119 20 0 DIADHN Cc1cc(OC(C)C)ccc1NC(=O)[C@H](N)CC1CCCCC1 ZINC000323904082 1041996959 /nfs/dbraw/zinc/99/69/59/1041996959.db2.gz KIQAMSUTTXSBQQ-QGZVFWFLSA-N 0 3 318.461 4.018 20 0 DIADHN C[C@H](NCCOc1cccc(Cl)c1Cl)c1ccco1 ZINC000053585008 1041998129 /nfs/dbraw/zinc/99/81/29/1041998129.db2.gz ZCICVIOHQGVDID-JTQLQIEISA-N 0 3 300.185 4.316 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(O)cc2)cc1OCC ZINC000236877643 1042007285 /nfs/dbraw/zinc/00/72/85/1042007285.db2.gz WBGZVAKPZJGEEK-CQSZACIVSA-N 0 3 315.413 4.040 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC([C@@H]2CCCCO2)CC1 ZINC000661864934 1042009754 /nfs/dbraw/zinc/00/97/54/1042009754.db2.gz WNCMYPFHYJMWKY-FQEVSTJZSA-N 0 3 316.489 4.295 20 0 DIADHN c1ccc2sc(CN3CCC[C@H]([C@@H]4CCCCO4)C3)nc2c1 ZINC000661873954 1042010726 /nfs/dbraw/zinc/01/07/26/1042010726.db2.gz IEGGMWZVAAPLEX-HOCLYGCPSA-N 0 3 316.470 4.077 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000661880072 1042014489 /nfs/dbraw/zinc/01/44/89/1042014489.db2.gz ZEDCEDGIPSXLJE-BFYDXBDKSA-N 0 3 314.429 4.170 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2occc2Br)s1 ZINC000217819254 1042022949 /nfs/dbraw/zinc/02/29/49/1042022949.db2.gz BTGGPOJLBQYKBV-VIFPVBQESA-N 0 3 314.248 4.133 20 0 DIADHN CC[C@@H](NCC/C=C/c1ccccc1)c1nnc2n1CCCCC2 ZINC000661940833 1042027142 /nfs/dbraw/zinc/02/71/42/1042027142.db2.gz BBADUSHCGGXHST-INMULRNOSA-N 0 3 324.472 4.149 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487370022 1042038021 /nfs/dbraw/zinc/03/80/21/1042038021.db2.gz VNXMZALPYIQXQL-AWEZNQCLSA-N 0 3 321.371 4.025 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@H]2CCCN2CC(C)C)cc1 ZINC001135506231 1042038439 /nfs/dbraw/zinc/03/84/39/1042038439.db2.gz VRDSPQPPPKLWDQ-LJQANCHMSA-N 0 3 316.489 4.478 20 0 DIADHN Cc1ccccc1-c1noc(CN[C@@H](C)C2CCC(F)CC2)n1 ZINC000662006345 1042041821 /nfs/dbraw/zinc/04/18/21/1042041821.db2.gz SGWCATRNTQAYKH-NFOMZHRRSA-N 0 3 317.408 4.051 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCC(c2ccon2)CC1 ZINC000662046685 1042048701 /nfs/dbraw/zinc/04/87/01/1042048701.db2.gz KXRZONCDGNPUSW-GFCCVEGCSA-N 0 3 322.355 4.217 20 0 DIADHN Clc1ccc([C@@H](c2ccccc2)N2CCC3(COC3)C2)cc1 ZINC000662076541 1042056944 /nfs/dbraw/zinc/05/69/44/1042056944.db2.gz MGWAALISNCUQCU-GOSISDBHSA-N 0 3 313.828 4.152 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)c1 ZINC000816520297 1042060629 /nfs/dbraw/zinc/06/06/29/1042060629.db2.gz LNAONBLWBHIFFG-DLBZAZTESA-N 0 3 319.832 4.330 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2cnn(C)c2Cl)cc1 ZINC000816515837 1042062024 /nfs/dbraw/zinc/06/20/24/1042062024.db2.gz MKBTWACKUXVFOG-DLBZAZTESA-N 0 3 317.864 4.150 20 0 DIADHN COc1cc(CNCc2cc3ccccc3[nH]2)ccc1SC ZINC000058473640 1042070991 /nfs/dbraw/zinc/07/09/91/1042070991.db2.gz PMQZRNXAIKPHEH-UHFFFAOYSA-N 0 3 312.438 4.188 20 0 DIADHN C[C@]1(Cc2cccc3ccccc32)CCCN1Cc1ncccn1 ZINC000662163863 1042075758 /nfs/dbraw/zinc/07/57/58/1042075758.db2.gz OWHDQHOZRFTYRR-OAQYLSRUSA-N 0 3 317.436 4.227 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1csc(-c2ccccn2)n1 ZINC000662158859 1042076005 /nfs/dbraw/zinc/07/60/05/1042076005.db2.gz XUSCKAGFGGQFHX-DZGCQCFKSA-N 0 3 301.459 4.358 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CCC2CC2)CC2CC2)c(C)c1 ZINC000662178355 1042078534 /nfs/dbraw/zinc/07/85/34/1042078534.db2.gz BBORZGVAGJBMII-UHFFFAOYSA-N 0 3 314.473 4.062 20 0 DIADHN CCC[C@H](NCc1ccc(OC)nc1)c1ccc(Cl)cc1 ZINC000020548239 1042085574 /nfs/dbraw/zinc/08/55/74/1042085574.db2.gz QLKZMTXJQJPLBS-INIZCTEOSA-N 0 3 304.821 4.375 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000165049075 1042087046 /nfs/dbraw/zinc/08/70/46/1042087046.db2.gz IFBIEXAJALGMMA-KXBFYZLASA-N 0 3 315.461 4.494 20 0 DIADHN C[C@H](c1ccc(CN(C)CC2=CCSC2)cc1)C(F)(F)F ZINC000662267797 1042101789 /nfs/dbraw/zinc/10/17/89/1042101789.db2.gz YIJPHPAGJOJFJO-GFCCVEGCSA-N 0 3 315.404 4.457 20 0 DIADHN FC(F)(F)Cc1ccc(CNCc2ccc3c(c2)COC3)cc1 ZINC000631092860 1042105998 /nfs/dbraw/zinc/10/59/98/1042105998.db2.gz FAEBHFDOUHMKBQ-UHFFFAOYSA-N 0 3 321.342 4.111 20 0 DIADHN CN(C)Cc1ccnc(NCc2ccc(Oc3ccccc3)o2)c1 ZINC000161521346 1042109843 /nfs/dbraw/zinc/10/98/43/1042109843.db2.gz WABIBWVKVSHYAM-UHFFFAOYSA-N 0 3 323.396 4.141 20 0 DIADHN Brc1csc([C@H](NCCCC2CC2)C2CC2)n1 ZINC000817582461 1042117126 /nfs/dbraw/zinc/11/71/26/1042117126.db2.gz YFQCFKPPARGQKR-GFCCVEGCSA-N 0 3 315.280 4.137 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N(C)C[C@@H]1CC1(C)C ZINC000662347520 1042117627 /nfs/dbraw/zinc/11/76/27/1042117627.db2.gz KYWCWTXHVDJHOZ-YPMHNXCESA-N 0 3 319.836 4.429 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H](C)[C@@H](O)c1ccccc1 ZINC000817584430 1042117813 /nfs/dbraw/zinc/11/78/13/1042117813.db2.gz CELNGUVGDLKVDW-ZJNRKIDTSA-N 0 3 319.832 4.121 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC[C@@H]2CCc3ccccc32)c1 ZINC001135963829 1042121786 /nfs/dbraw/zinc/12/17/86/1042121786.db2.gz DYWVUDWFLJYDGD-SFHVURJKSA-N 0 3 322.452 4.197 20 0 DIADHN COCc1csc(CN[C@@]2(c3ccccc3)CC2(C)C)c1 ZINC000663341659 1042124955 /nfs/dbraw/zinc/12/49/55/1042124955.db2.gz PQHCLKKPMCJSBH-GOSISDBHSA-N 0 3 301.455 4.310 20 0 DIADHN CCC(CC)(CNCc1nc(C)c(C)[nH]1)c1ccc(F)cc1 ZINC000663354833 1042131769 /nfs/dbraw/zinc/13/17/69/1042131769.db2.gz ZVXQFCJQINUYTM-UHFFFAOYSA-N 0 3 303.425 4.013 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2nccc3ccccc32)C1 ZINC000643294898 1042131822 /nfs/dbraw/zinc/13/18/22/1042131822.db2.gz LMMMXRCKXHCFTD-ZDUSSCGKSA-N 0 3 308.347 4.399 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(Cl)nc1 ZINC000817967227 1042133667 /nfs/dbraw/zinc/13/36/67/1042133667.db2.gz FTEHYKTWFJQZNV-ZBEGNZNMSA-N 0 3 301.821 4.045 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2cc(Cl)c(N)c(Cl)c2)c1 ZINC000817980042 1042136307 /nfs/dbraw/zinc/13/63/07/1042136307.db2.gz IJYBHVMJMZCPRC-SNVBAGLBSA-N 0 3 324.255 4.317 20 0 DIADHN Nc1ccnc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)c1 ZINC000925768087 1042137525 /nfs/dbraw/zinc/13/75/25/1042137525.db2.gz SGBOMUPJZUEFIJ-SFHVURJKSA-N 0 3 315.848 4.338 20 0 DIADHN Cc1cccc([C@@H](NC(=O)c2cccc3c2CNC3)C(C)(C)C)c1 ZINC000662495326 1042137762 /nfs/dbraw/zinc/13/77/62/1042137762.db2.gz QOFIZCSDWLFULM-LJQANCHMSA-N 0 3 322.452 4.115 20 0 DIADHN C[C@H](NCc1cc(N)ccn1)c1ccccc1SC(C)(C)C ZINC000925768429 1042138994 /nfs/dbraw/zinc/13/89/94/1042138994.db2.gz UJODAPGKEUJKRU-ZDUSSCGKSA-N 0 3 315.486 4.405 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1OC ZINC000067011175 1042141865 /nfs/dbraw/zinc/14/18/65/1042141865.db2.gz GRIAWSCXIDRQGK-UHFFFAOYSA-N 0 3 319.832 4.382 20 0 DIADHN Cc1cc(-c2cccc(Cl)c2)ccc1NC(=O)CNC1CC1 ZINC000818515952 1042152464 /nfs/dbraw/zinc/15/24/64/1042152464.db2.gz HEDXROVRNSYYKZ-UHFFFAOYSA-N 0 3 314.816 4.006 20 0 DIADHN Nc1ccnc(CN[C@@H](c2ccc(Cl)c(Cl)c2)C2CC2)c1 ZINC000925771970 1042156657 /nfs/dbraw/zinc/15/66/57/1042156657.db2.gz PRYUUPNYTKJRDJ-MRXNPFEDSA-N 0 3 322.239 4.212 20 0 DIADHN c1ccc(C2(NCc3cccc4c3OCCCO4)CCCC2)cc1 ZINC000603723010 1042158606 /nfs/dbraw/zinc/15/86/06/1042158606.db2.gz BYMXQHUZJSKSSH-UHFFFAOYSA-N 0 3 323.436 4.407 20 0 DIADHN Clc1cccc2c1C[C@@H](N1CCc3sccc3C1)CC2 ZINC001170920430 1042166279 /nfs/dbraw/zinc/16/62/79/1042166279.db2.gz RPIRISPQRQXRPK-AWEZNQCLSA-N 0 3 303.858 4.317 20 0 DIADHN CN(CC1CC=CC1)[C@H](c1ccc(Cl)cc1)c1cnccn1 ZINC001167810467 1042167145 /nfs/dbraw/zinc/16/71/45/1042167145.db2.gz DURLYOLYTXNLIL-GOSISDBHSA-N 0 3 313.832 4.117 20 0 DIADHN CCn1nccc1CN[C@H]1CCCc2c3ccccc3sc21 ZINC000663468672 1042180201 /nfs/dbraw/zinc/18/02/01/1042180201.db2.gz XGTCNRUHKFHTNX-INIZCTEOSA-N 0 3 311.454 4.285 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccnc(Cl)c2)C1(CC)CC ZINC001167820222 1042183062 /nfs/dbraw/zinc/18/30/62/1042183062.db2.gz QZKWKCSVQLCUMD-GJZGRUSLSA-N 0 3 310.869 4.151 20 0 DIADHN CC1(C)CO[C@@H](CN2CC=C(c3ccc(Cl)cc3)CC2)C1 ZINC001167820661 1042184687 /nfs/dbraw/zinc/18/46/87/1042184687.db2.gz VGTWLMJNSGEREG-QGZVFWFLSA-N 0 3 305.849 4.244 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnccc2C(F)(F)F)s1 ZINC000663474815 1042186010 /nfs/dbraw/zinc/18/60/10/1042186010.db2.gz RIQZJSOHEUVPEQ-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828629938 1042187738 /nfs/dbraw/zinc/18/77/38/1042187738.db2.gz YSEBUQNLOXGJPU-BXUZGUMPSA-N 0 3 302.245 4.238 20 0 DIADHN Fc1cccc2c1CN([C@H]1CCc3cccc(Cl)c3C1)C2 ZINC001170935977 1042193413 /nfs/dbraw/zinc/19/34/13/1042193413.db2.gz WJFYIPPTNSQART-AWEZNQCLSA-N 0 3 301.792 4.352 20 0 DIADHN Fc1cccc(F)c1CN(CCc1ccncc1)C1CCCC1 ZINC000303181751 1042194242 /nfs/dbraw/zinc/19/42/42/1042194242.db2.gz DCNRQMNKRGEKRD-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN CC(C)c1cccc(CN2CCC[C@](O)(C(F)(F)F)CC2)c1 ZINC000660538267 1042205605 /nfs/dbraw/zinc/20/56/05/1042205605.db2.gz FYAVOJMCPDKVLI-MRXNPFEDSA-N 0 3 315.379 4.089 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](NC(=O)OC(C)(C)C)C(C)C)c(C)o1 ZINC000219269353 1042207025 /nfs/dbraw/zinc/20/70/25/1042207025.db2.gz ZHGHWAFGIZIECX-CJNGLKHVSA-N 0 3 324.465 4.096 20 0 DIADHN Cc1cccc(CN2CCC[C@H](C(=O)c3ccc(F)cc3)C2)c1 ZINC000303794554 1042211140 /nfs/dbraw/zinc/21/11/40/1042211140.db2.gz VMOPJBQUFNXNBG-SFHVURJKSA-N 0 3 311.400 4.229 20 0 DIADHN CC(C)C[C@@H](C)OC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000820773647 1042228440 /nfs/dbraw/zinc/22/84/40/1042228440.db2.gz CKPBVBGPIXUFCN-HUUCEWRRSA-N 0 3 324.490 4.046 20 0 DIADHN CCN(CC)[C@H](CNCc1cc(C)ccc1F)c1ccsc1 ZINC000604891137 1042230424 /nfs/dbraw/zinc/23/04/24/1042230424.db2.gz PLWSXBAHGLEJEU-GOSISDBHSA-N 0 3 320.477 4.368 20 0 DIADHN CCOc1ccccc1CNCCOc1ccccc1C(C)C ZINC000032230264 1042231722 /nfs/dbraw/zinc/23/17/22/1042231722.db2.gz CDUCGQSZLMCAQA-UHFFFAOYSA-N 0 3 313.441 4.377 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@H]2CCCC23CCCCC3)s1 ZINC000315431520 1042233001 /nfs/dbraw/zinc/23/30/01/1042233001.db2.gz XYZPRNLSVHFLNJ-JSGCOSHPSA-N 0 3 322.474 4.083 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1cc(-c3ccccc3)on1)CC2 ZINC001170955663 1042236452 /nfs/dbraw/zinc/23/64/52/1042236452.db2.gz NWKVZESNVIFZDO-INIZCTEOSA-N 0 3 322.383 4.128 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@H](c2cccnc2)C1 ZINC000563158178 1042241964 /nfs/dbraw/zinc/24/19/64/1042241964.db2.gz NMNSEYJKRARXIS-KRWDZBQOSA-N 0 3 320.392 4.456 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)Cc1ccc2nonc2c1 ZINC000821354542 1042248751 /nfs/dbraw/zinc/24/87/51/1042248751.db2.gz HKVVHIQPWGIGJV-NSHDSACASA-N 0 3 301.777 4.069 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)Nc1ccc(Cl)cc1 ZINC000007379389 1042248827 /nfs/dbraw/zinc/24/88/27/1042248827.db2.gz LTEFOXWJTKOEHR-STQMWFEESA-N 0 3 302.805 4.018 20 0 DIADHN C[C@@H](COc1ccccc1)NCc1cnc(C2CCCC2)s1 ZINC001648941006 1042251342 /nfs/dbraw/zinc/25/13/42/1042251342.db2.gz PCQVONXZZTUYGG-AWEZNQCLSA-N 0 3 316.470 4.358 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)cn1 ZINC000279020697 1042260358 /nfs/dbraw/zinc/26/03/58/1042260358.db2.gz HQTIUMKDJBMVRC-RTBURBONSA-N 0 3 323.440 4.014 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCCCN(C(=O)OC(C)(C)C)C2)o1 ZINC000223561692 1042262293 /nfs/dbraw/zinc/26/22/93/1042262293.db2.gz UILVWRSIHXPRID-LSDHHAIUSA-N 0 3 322.449 4.028 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)s2)s1 ZINC000038018649 1042268025 /nfs/dbraw/zinc/26/80/25/1042268025.db2.gz ZSAZUNNVDJZGFO-UHFFFAOYSA-N 0 3 316.289 4.424 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccnc(SC)c2)cc1C ZINC000753677644 1042271154 /nfs/dbraw/zinc/27/11/54/1042271154.db2.gz MPLBLEZQZDQXEM-AWEZNQCLSA-N 0 3 316.470 4.280 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000279213406 1042282821 /nfs/dbraw/zinc/28/28/21/1042282821.db2.gz HFVLNMXDRSPCCB-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc1F ZINC000178548249 1042286728 /nfs/dbraw/zinc/28/67/28/1042286728.db2.gz WDZQXSKDGTVRDK-QWHCGFSZSA-N 0 3 319.445 4.452 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc([C@H](C)O)cc2)cc1F ZINC000178548238 1042286800 /nfs/dbraw/zinc/28/68/00/1042286800.db2.gz WDZQXSKDGTVRDK-STQMWFEESA-N 0 3 319.445 4.452 20 0 DIADHN COc1cc(CN2CCS[C@@H]3CCCC[C@@H]32)ccc1SC ZINC000531470424 1042286824 /nfs/dbraw/zinc/28/68/24/1042286824.db2.gz XMHQAYLHUJJJSL-GOEBONIOSA-N 0 3 323.527 4.277 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCSc3c(F)cccc32)cc1 ZINC000178552751 1042287962 /nfs/dbraw/zinc/28/79/62/1042287962.db2.gz IBMORFOHUCUCOI-SJCJKPOMSA-N 0 3 317.429 4.206 20 0 DIADHN COc1c(F)cccc1CN(C)CCc1cccc(Cl)c1 ZINC001143530080 1042288047 /nfs/dbraw/zinc/28/80/47/1042288047.db2.gz LMYGWXISXPCJAO-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN CO[C@@H]1C[C@H](NCc2cc(Cl)cc(Cl)c2F)C12CCC2 ZINC001170990188 1042288593 /nfs/dbraw/zinc/28/85/93/1042288593.db2.gz IFHGOCZFAJGVFM-QWHCGFSZSA-N 0 3 318.219 4.180 20 0 DIADHN COc1c(F)cccc1CN(C)CCc1ccccc1Cl ZINC001143530429 1042290406 /nfs/dbraw/zinc/29/04/06/1042290406.db2.gz VTUYHNVGLNJYAK-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H]2CCCC[C@@H]2C1)c1nnc2n1CCCCC2 ZINC000319889751 1042294393 /nfs/dbraw/zinc/29/43/93/1042294393.db2.gz YBOPIZTYKLRCIN-YLFCFFPRSA-N 0 3 316.493 4.014 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccccc2)cc(OC)c1OC ZINC000034256843 1042301344 /nfs/dbraw/zinc/30/13/44/1042301344.db2.gz IMPNFUOQNQDWPG-KBPBESRZSA-N 0 3 315.413 4.124 20 0 DIADHN CN(C)CCN(C(=O)CCCC1CCCC1)C1CCCCC1 ZINC001136626976 1042302321 /nfs/dbraw/zinc/30/23/21/1042302321.db2.gz SISHEKRBYDONMZ-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)ccn1 ZINC000391187879 1042304040 /nfs/dbraw/zinc/30/40/40/1042304040.db2.gz NYVPYXGEBTUYFZ-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN Cc1csc(C2(NCC[C@@H]3CC3(Cl)Cl)CCC2)n1 ZINC000822845214 1042304185 /nfs/dbraw/zinc/30/41/85/1042304185.db2.gz IPURSZVFKPOIRG-SNVBAGLBSA-N 0 3 305.274 4.004 20 0 DIADHN CC1(CNCc2csc(CCc3ccccc3)n2)CCC1 ZINC000434780785 1042306030 /nfs/dbraw/zinc/30/60/30/1042306030.db2.gz AOHIVMPOCBMDLB-UHFFFAOYSA-N 0 3 300.471 4.208 20 0 DIADHN Cc1ccc(-c2nc(CN(C)C(C3CC3)C3CC3)cs2)o1 ZINC000050988774 1042307386 /nfs/dbraw/zinc/30/73/86/1042307386.db2.gz HREUMEGOBBZKGY-UHFFFAOYSA-N 0 3 302.443 4.332 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCc3ccccc31)CCO2 ZINC000320136027 1042309768 /nfs/dbraw/zinc/30/97/68/1042309768.db2.gz ZTUWARDOFNCLBL-RTBURBONSA-N 0 3 309.409 4.186 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000279328374 1042311923 /nfs/dbraw/zinc/31/19/23/1042311923.db2.gz SYKCNKBLIVBXJU-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccccc1)C1CCC1 ZINC001136689394 1042316737 /nfs/dbraw/zinc/31/67/37/1042316737.db2.gz GCGBMQDCJJATQB-FQEVSTJZSA-N 0 3 316.489 4.156 20 0 DIADHN Clc1cccc2c1CC[C@@H](NCc1nc3ccccc3o1)C2 ZINC001171013877 1042320910 /nfs/dbraw/zinc/32/09/10/1042320910.db2.gz RHOXTQZTYXXNRI-CYBMUJFWSA-N 0 3 312.800 4.128 20 0 DIADHN C[C@H](c1ccco1)N(CCN1CCCc2ccccc21)C1CC1 ZINC001167881240 1042327956 /nfs/dbraw/zinc/32/79/56/1042327956.db2.gz KBTBREOAYMNKTR-MRXNPFEDSA-N 0 3 310.441 4.258 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000823578586 1042333465 /nfs/dbraw/zinc/33/34/65/1042333465.db2.gz DVHCXXMLWHKWOT-SFHVURJKSA-N 0 3 315.848 4.192 20 0 DIADHN CC(C)[C@H](NCc1cc(C2CC2)nn1C)c1ccc(Cl)cc1 ZINC000823614765 1042334750 /nfs/dbraw/zinc/33/47/50/1042334750.db2.gz VKTZWOXRDRCEIZ-SFHVURJKSA-N 0 3 317.864 4.438 20 0 DIADHN COCc1cc([C@H](C)NCc2cscc2C)ccc1OC ZINC000094877319 1042335342 /nfs/dbraw/zinc/33/53/42/1042335342.db2.gz UVFNPUIISYJGFW-ZDUSSCGKSA-N 0 3 305.443 4.062 20 0 DIADHN CC(C)[C@@H](CNCc1ccnc(Cl)c1F)Nc1ccccc1 ZINC000823619841 1042336025 /nfs/dbraw/zinc/33/60/25/1042336025.db2.gz NJSLIKVMRJHXLR-OAHLLOKOSA-N 0 3 321.827 4.100 20 0 DIADHN Cc1cccc(NC(=O)CN2CCCC[C@H]2CC(C)C)c1C ZINC001167896772 1042341535 /nfs/dbraw/zinc/34/15/35/1042341535.db2.gz ANIYMSZWWLPMTP-KRWDZBQOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](NCc1nccn1C(F)F)[C@H](C)c1ccc(Cl)cc1 ZINC000279776190 1042341873 /nfs/dbraw/zinc/34/18/73/1042341873.db2.gz BTVGQZITBQGDAA-WDEREUQCSA-N 0 3 313.779 4.213 20 0 DIADHN CCCC(=CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C)CCC ZINC001625919569 1042344259 /nfs/dbraw/zinc/34/42/59/1042344259.db2.gz QZLJOPIHNLINMA-IBGZPJMESA-N 0 3 316.489 4.241 20 0 DIADHN CC(C)(CNCc1coc(-c2ccccc2)n1)c1ccccc1 ZINC000236753379 1042348486 /nfs/dbraw/zinc/34/84/86/1042348486.db2.gz POSPTIQCRMIOBZ-UHFFFAOYSA-N 0 3 306.409 4.409 20 0 DIADHN CCCCOCCN[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000320297162 1042362365 /nfs/dbraw/zinc/36/23/65/1042362365.db2.gz ZYZRQJBHXYTRGW-FQEVSTJZSA-N 0 3 313.441 4.191 20 0 DIADHN COc1ccc2ccc(Nc3ccc4nc(C)[nH]c4c3)cc2n1 ZINC001213077473 1042365839 /nfs/dbraw/zinc/36/58/39/1042365839.db2.gz ZMZPNEILXUMHSW-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN c1ccc([C@H](NCc2cccc3c2OCCO3)C2CCC2)cc1 ZINC000236622143 1042370265 /nfs/dbraw/zinc/37/02/65/1042370265.db2.gz JBISPGKYAWWMJE-IBGZPJMESA-N 0 3 309.409 4.089 20 0 DIADHN CCC(CC)N(C)Cc1cc(OC)ccc1Br ZINC000087797986 1042381361 /nfs/dbraw/zinc/38/13/61/1042381361.db2.gz BZSCVCIGMUNQEX-UHFFFAOYSA-N 0 3 300.240 4.078 20 0 DIADHN CC[C@H](CN1CCc2sccc2[C@@H]1c1cccs1)OC ZINC000419180578 1042385315 /nfs/dbraw/zinc/38/53/15/1042385315.db2.gz XLNFOKVVLVNYJP-MLGOLLRUSA-N 0 3 307.484 4.182 20 0 DIADHN Cc1ccoc1CN1CCC(n2c(C)nc3ccccc32)CC1 ZINC000279943261 1042385926 /nfs/dbraw/zinc/38/59/26/1042385926.db2.gz ZALOZVVUNXPSNH-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cc(OC)c(OC)cc2C)cc1 ZINC000236926305 1042394750 /nfs/dbraw/zinc/39/47/50/1042394750.db2.gz WAVDVDWAOVMCPP-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN Fc1cc(CN2CCC([C@@H]3CCCO3)CC2)ccc1C(F)F ZINC000893902884 1042395183 /nfs/dbraw/zinc/39/51/83/1042395183.db2.gz ZUKCXWFOLQNAPC-INIZCTEOSA-N 0 3 313.363 4.154 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cn1nccc1-c1ccncc1 ZINC000067094422 1042410785 /nfs/dbraw/zinc/41/07/85/1042410785.db2.gz CRTJALUOXZSMCX-FQEVSTJZSA-N 0 3 318.424 4.048 20 0 DIADHN CCOc1cccc(CNCc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000236916765 1042411983 /nfs/dbraw/zinc/41/19/83/1042411983.db2.gz GFPDCWBNIPKUPF-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1)c1ccc2ccccc2c1 ZINC000120455020 1042429165 /nfs/dbraw/zinc/42/91/65/1042429165.db2.gz ZFNVDUJQDITOMW-HNNXBMFYSA-N 0 3 304.393 4.129 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@H]1NCc1ccc2c(c1)OCO2 ZINC000138849062 1042443908 /nfs/dbraw/zinc/44/39/08/1042443908.db2.gz HETNOGAUQLLCOB-QGZVFWFLSA-N 0 3 320.392 4.064 20 0 DIADHN CCOc1cc(F)c(F)cc1Nc1ccccc1CN(C)C ZINC001211803809 1042455964 /nfs/dbraw/zinc/45/59/64/1042455964.db2.gz YDPBNZBQHZQKIW-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN Clc1ccccc1-c1cc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000419278157 1042459575 /nfs/dbraw/zinc/45/95/75/1042459575.db2.gz HNFMSILUVKKQGF-DIOULYMOSA-N 0 3 302.805 4.273 20 0 DIADHN CN(CC(=O)Nc1c(F)cccc1F)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000419327844 1042469995 /nfs/dbraw/zinc/46/99/95/1042469995.db2.gz DVAVTBYSTAIYSE-IUODEOHRSA-N 0 3 324.415 4.050 20 0 DIADHN CN(Cc1nnc(-c2ccccc2)o1)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000419332048 1042471302 /nfs/dbraw/zinc/47/13/02/1042471302.db2.gz SMIVQVHIIPIJTQ-HZPDHXFCSA-N 0 3 313.445 4.383 20 0 DIADHN CCC1CCC(N(Cc2nnc(-c3ccco3)o2)C2CC2)CC1 ZINC000052103388 1042474900 /nfs/dbraw/zinc/47/49/00/1042474900.db2.gz HTMFMQPSHRTCNY-UHFFFAOYSA-N 0 3 315.417 4.263 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2F)s1)N1C[C@@H](C)[C@H](C)[C@H]1C ZINC000419351351 1042476209 /nfs/dbraw/zinc/47/62/09/1042476209.db2.gz IYPZGXQTKSWAQO-YVECIDJPSA-N 0 3 319.449 4.382 20 0 DIADHN N#CC(C(=O)CCc1ccccc1F)c1ccc2ccccc2n1 ZINC000047375190 1042476968 /nfs/dbraw/zinc/47/69/68/1042476968.db2.gz BJWXRMSCSZHYTI-MRXNPFEDSA-N 0 3 318.351 4.183 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000171413695 1042477970 /nfs/dbraw/zinc/47/79/70/1042477970.db2.gz SDFMLINBFOXBGN-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN N#CC(C(=O)CCCc1cccs1)c1ccc2ccccc2n1 ZINC000047376456 1042478539 /nfs/dbraw/zinc/47/85/39/1042478539.db2.gz GATAUNZBBGRPOR-MRXNPFEDSA-N 0 3 320.417 4.495 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC001167923279 1042481678 /nfs/dbraw/zinc/48/16/78/1042481678.db2.gz BLEDYBJVOAVNED-CLWJZODNSA-N 0 3 317.436 4.051 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCO2)c1ccccc1C(F)(F)F ZINC000067249658 1042489436 /nfs/dbraw/zinc/48/94/36/1042489436.db2.gz OBLRLSRVVVQLTC-LBPRGKRZSA-N 0 3 321.342 4.491 20 0 DIADHN C[C@H](c1ccccc1Cl)N(CCCc1cnn(C)c1)C1CC1 ZINC000129256313 1042492853 /nfs/dbraw/zinc/49/28/53/1042492853.db2.gz JCDWULAVKSMIOA-CQSZACIVSA-N 0 3 317.864 4.232 20 0 DIADHN CCCSC[C@H](C)N[C@@H](C)c1ccc(Br)cn1 ZINC000894170860 1042494350 /nfs/dbraw/zinc/49/43/50/1042494350.db2.gz IUVHBMNKMJOQMV-QWRGUYRKSA-N 0 3 317.296 4.026 20 0 DIADHN CCCCN(C)[C@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000025510113 1042494407 /nfs/dbraw/zinc/49/44/07/1042494407.db2.gz YXURKGDKGYQDLS-QGZVFWFLSA-N 0 3 324.468 4.336 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc2ccccc21)C(=O)N1CCCCCC1 ZINC000216236203 1042495903 /nfs/dbraw/zinc/49/59/03/1042495903.db2.gz MBLFIOUQXFOQMX-IAGOWNOFSA-N 0 3 324.468 4.282 20 0 DIADHN c1csc(SC[C@H]2CN(Cc3ccccc3)CCCO2)c1 ZINC000430111111 1042507447 /nfs/dbraw/zinc/50/74/47/1042507447.db2.gz NUKMJESEZQVVPF-MRXNPFEDSA-N 0 3 319.495 4.131 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NCC(=O)N(C)C2CC2)C(C)C)cc1 ZINC001167937050 1042515329 /nfs/dbraw/zinc/51/53/29/1042515329.db2.gz QLMAJDIKYBSXMA-YWZLYKJASA-N 0 3 316.489 4.108 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NCC(=O)N(C)C2CC2)C(C)C)cc1 ZINC001167937047 1042515868 /nfs/dbraw/zinc/51/58/68/1042515868.db2.gz QLMAJDIKYBSXMA-FOIQADDNSA-N 0 3 316.489 4.108 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CCCCC1 ZINC000729296136 1042517990 /nfs/dbraw/zinc/51/79/90/1042517990.db2.gz IKCBWTADGOSYNM-IBGZPJMESA-N 0 3 310.441 4.289 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N(C)CCCc1ccccc1 ZINC000052802871 1042518271 /nfs/dbraw/zinc/51/82/71/1042518271.db2.gz QJZWJMINVLKULY-KRWDZBQOSA-N 0 3 324.468 4.141 20 0 DIADHN CC(C)COc1ccc(CN[C@H]2CCc3c2cccc3O)cc1 ZINC000106469744 1042530960 /nfs/dbraw/zinc/53/09/60/1042530960.db2.gz MFIBOAVVBICJGF-IBGZPJMESA-N 0 3 311.425 4.204 20 0 DIADHN Cc1noc2ncc(CNC(C)(C)c3ccc(Cl)cc3)cc12 ZINC000894222453 1042533837 /nfs/dbraw/zinc/53/38/37/1042533837.db2.gz TYFJUHPTNDRRNL-UHFFFAOYSA-N 0 3 315.804 4.210 20 0 DIADHN C[C@@H](COCc1ccccc1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000513376493 1042536810 /nfs/dbraw/zinc/53/68/10/1042536810.db2.gz HYVMHBKFYNQHBA-SCLBCKFNSA-N 0 3 317.379 4.147 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)o1 ZINC000513422671 1042540102 /nfs/dbraw/zinc/54/01/02/1042540102.db2.gz WVTJTIAQPJZOHZ-HFTRVMKXSA-N 0 3 321.424 4.200 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)o1 ZINC000513422674 1042540469 /nfs/dbraw/zinc/54/04/69/1042540469.db2.gz WVTJTIAQPJZOHZ-UWWQBHOKSA-N 0 3 321.424 4.200 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cnc(C(C)C)s1 ZINC000513472617 1042546015 /nfs/dbraw/zinc/54/60/15/1042546015.db2.gz IGIOOSBMYNQDJR-CQSZACIVSA-N 0 3 318.486 4.338 20 0 DIADHN COc1cccc(CN2CCC[C@H]2c2ccc(C)s2)c1OC ZINC000513491893 1042546774 /nfs/dbraw/zinc/54/67/74/1042546774.db2.gz WQSFNLUNNXFXFV-HNNXBMFYSA-N 0 3 317.454 4.411 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2c2ccc(C)s2)c1OC ZINC000513491894 1042547048 /nfs/dbraw/zinc/54/70/48/1042547048.db2.gz WQSFNLUNNXFXFV-OAHLLOKOSA-N 0 3 317.454 4.411 20 0 DIADHN CN(CCC(=O)Nc1cccc2ccccc21)CCC(F)(F)F ZINC000513637607 1042556083 /nfs/dbraw/zinc/55/60/83/1042556083.db2.gz KLTIWSIBYFAODG-UHFFFAOYSA-N 0 3 324.346 4.053 20 0 DIADHN Cc1ccnc([C@@H](C)NCCSCc2ccccc2F)c1 ZINC000513659056 1042556883 /nfs/dbraw/zinc/55/68/83/1042556883.db2.gz ARJVYMXYXIMZQO-CQSZACIVSA-N 0 3 304.434 4.113 20 0 DIADHN CNC(=O)c1ccc(CN[C@H](C)c2cccc(CC(C)C)c2)cc1 ZINC000513682791 1042559284 /nfs/dbraw/zinc/55/92/84/1042559284.db2.gz BYHSQBINKWBACK-MRXNPFEDSA-N 0 3 324.468 4.096 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccccc1C(F)(F)F ZINC000279992213 1042561336 /nfs/dbraw/zinc/56/13/36/1042561336.db2.gz FJDUKIQNQKNFHK-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN CCC[C@H](N[C@H](C)c1cccnc1Cl)[C@H](O)c1ccccc1 ZINC000280212714 1042567326 /nfs/dbraw/zinc/56/73/26/1042567326.db2.gz UFPXGNYECIHJHL-XOKHGSTOSA-N 0 3 318.848 4.288 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cccnc1Cl)[C@@H](O)c1ccccc1 ZINC000280212706 1042567546 /nfs/dbraw/zinc/56/75/46/1042567546.db2.gz UFPXGNYECIHJHL-JQFCIGGWSA-N 0 3 318.848 4.288 20 0 DIADHN CC(C)c1nc(CC[NH2+][C@H](C)c2cc([O-])cc(F)c2)cs1 ZINC000924909365 1042568797 /nfs/dbraw/zinc/56/87/97/1042568797.db2.gz XMOSAYICTARXEV-LLVKDONJSA-N 0 3 308.422 4.005 20 0 DIADHN CCOC(CCCNCc1c(Cl)cccc1Cl)OCC ZINC000237024044 1042589763 /nfs/dbraw/zinc/58/97/63/1042589763.db2.gz TXDCTDKCMMUTMA-UHFFFAOYSA-N 0 3 320.260 4.262 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC[C@H](c4ccccc4)C3)o2)cc1 ZINC000030824521 1042595092 /nfs/dbraw/zinc/59/50/92/1042595092.db2.gz KHCLTVZHSVDSPF-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2ccoc2C)CC1 ZINC000414443640 1042596715 /nfs/dbraw/zinc/59/67/15/1042596715.db2.gz OCVQIZQSLGXCMQ-UHFFFAOYSA-N 0 3 323.440 4.337 20 0 DIADHN CC(C)(C)c1ncc(CN2CCSC3(CCC3)CC2)s1 ZINC000414478593 1042615803 /nfs/dbraw/zinc/61/58/03/1042615803.db2.gz PONDNZNDLKRIMF-UHFFFAOYSA-N 0 3 310.532 4.302 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1CCCc2ccccc2C1 ZINC000513732385 1042615824 /nfs/dbraw/zinc/61/58/24/1042615824.db2.gz FGVSZMJZXWXYDD-UHFFFAOYSA-N 0 3 303.409 4.025 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@H](C4CCCC4)C3)nn2)cc1 ZINC000420961539 1042617897 /nfs/dbraw/zinc/61/78/97/1042617897.db2.gz IVUKKDFOWSXVEP-KRWDZBQOSA-N 0 3 323.440 4.281 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CC[C@@H](C2CCCC2)C1 ZINC000420966802 1042618059 /nfs/dbraw/zinc/61/80/59/1042618059.db2.gz WGALJIQTJCPACP-SJLPKXTDSA-N 0 3 314.473 4.143 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@H]2CCC[C@@H]2C2CC2)s1 ZINC000414486393 1042619864 /nfs/dbraw/zinc/61/98/64/1042619864.db2.gz QRCQRUFLHFMVSS-GHMZBOCLSA-N 0 3 304.381 4.078 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc3cc(OC)ccc3c2)cn1 ZINC000268981227 1042623523 /nfs/dbraw/zinc/62/35/23/1042623523.db2.gz HWTIHJTTZWVLRL-HUUCEWRRSA-N 0 3 323.440 4.477 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1c[nH]nc1-c1ccccc1 ZINC000414500811 1042626869 /nfs/dbraw/zinc/62/68/69/1042626869.db2.gz QQVWSCXXPXLMKQ-UHFFFAOYSA-N 0 3 320.440 4.059 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc2ncccc2c1 ZINC000414502757 1042627154 /nfs/dbraw/zinc/62/71/54/1042627154.db2.gz UXRJLAKXZYQLHC-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(Br)c2)cc(C)n1 ZINC000421207084 1042627794 /nfs/dbraw/zinc/62/77/94/1042627794.db2.gz VLHXEDZXZMPCIP-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cn1cnc2cc(CN[C@@H](CC(F)F)c3ccccc3)ccc21 ZINC000421365490 1042632677 /nfs/dbraw/zinc/63/26/77/1042632677.db2.gz ASLIOVDUEUBBFS-HNNXBMFYSA-N 0 3 315.367 4.059 20 0 DIADHN FC(F)C[C@@H](NCC1=Cc2ccccc2OC1)c1ccccc1 ZINC000421380294 1042634410 /nfs/dbraw/zinc/63/44/10/1042634410.db2.gz YITCSXDRHAFBTQ-QGZVFWFLSA-N 0 3 315.363 4.449 20 0 DIADHN CCCn1nc(C)c(CN2CCC[C@H](CC(F)(F)F)C2)c1C ZINC000421382666 1042634922 /nfs/dbraw/zinc/63/49/22/1042634922.db2.gz BXHCNFWTWVUOAT-CQSZACIVSA-N 0 3 317.399 4.074 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)s1)[C@@H]1CCOC1 ZINC000513750324 1042641202 /nfs/dbraw/zinc/64/12/02/1042641202.db2.gz GMYPUOZXOFUORY-GFCCVEGCSA-N 0 3 307.846 4.289 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000631248707 1042643437 /nfs/dbraw/zinc/64/34/37/1042643437.db2.gz WEYREZSYYKJWOB-LLVKDONJSA-N 0 3 316.426 4.398 20 0 DIADHN COc1ccc([C@@H](NCc2sccc2C)C2CC2)c(F)c1 ZINC000421826116 1042653451 /nfs/dbraw/zinc/65/34/51/1042653451.db2.gz RAYSDAIIBDQFSP-KRWDZBQOSA-N 0 3 305.418 4.445 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccncc1Cl ZINC000421852122 1042656665 /nfs/dbraw/zinc/65/66/65/1042656665.db2.gz HMLBSPUBUDTVAF-DDUZABMNSA-N 0 3 316.832 4.306 20 0 DIADHN COc1ccc([C@@H](NCC2(C(F)(F)F)CC2)C2CC2)c(F)c1 ZINC000421861953 1042658075 /nfs/dbraw/zinc/65/80/75/1042658075.db2.gz WPCFXHZXEGXFAS-AWEZNQCLSA-N 0 3 317.326 4.218 20 0 DIADHN C[C@@H](NCCc1csc(C(C)(C)C)n1)c1ccncc1Cl ZINC000421838965 1042658790 /nfs/dbraw/zinc/65/87/90/1042658790.db2.gz WCDKNMLUEAUQMM-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000271863482 1042670971 /nfs/dbraw/zinc/67/09/71/1042670971.db2.gz KZCCEHQGTFDVBN-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CC1)c1ccc(N2CCCC2=O)cc1 ZINC000271989229 1042674906 /nfs/dbraw/zinc/67/49/06/1042674906.db2.gz LISOSDYHQMZGHT-MRXNPFEDSA-N 0 3 320.436 4.153 20 0 DIADHN Cc1noc2ncc(CN[C@H](CC3CC3)c3ccccc3)cc12 ZINC000894269443 1042680903 /nfs/dbraw/zinc/68/09/03/1042680903.db2.gz STGQSSSSCKEQJG-GOSISDBHSA-N 0 3 307.397 4.162 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCC4(CCC4)CO3)CCCC2)n1 ZINC001167949862 1042685032 /nfs/dbraw/zinc/68/50/32/1042685032.db2.gz PJOUNYCXXWAZBC-OAHLLOKOSA-N 0 3 320.502 4.160 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000760018598 1042692638 /nfs/dbraw/zinc/69/26/38/1042692638.db2.gz QKBZWWFWYPOLKH-RYUDHWBXSA-N 0 3 322.836 4.317 20 0 DIADHN C[C@@H](c1nc(-c2nccc3ccccc32)no1)N1CCCCCC1 ZINC000276250734 1042705944 /nfs/dbraw/zinc/70/59/44/1042705944.db2.gz WCYFNHZKFGRULL-AWEZNQCLSA-N 0 3 322.412 4.222 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCc3cc(N)ccc32)cnn1C(C)C ZINC000423337311 1042707020 /nfs/dbraw/zinc/70/70/20/1042707020.db2.gz ANLYELFTWUGOCA-ORAYPTAESA-N 0 3 312.461 4.083 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CC(C)(C)Oc3ccc(N)cc31)CC2 ZINC000423436344 1042713055 /nfs/dbraw/zinc/71/30/55/1042713055.db2.gz LRVBOHWCBSHOFQ-OALUTQOASA-N 0 3 322.452 4.457 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCCN3)CCCO2 ZINC000423435966 1042713339 /nfs/dbraw/zinc/71/33/39/1042713339.db2.gz FCIFREUBPJQVHZ-HXUWFJFHSA-N 0 3 322.452 4.357 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCOc3cc(Cl)ccc32)c1 ZINC000423478868 1042714649 /nfs/dbraw/zinc/71/46/49/1042714649.db2.gz NCZZOEDUFBCXLV-QGZVFWFLSA-N 0 3 316.832 4.234 20 0 DIADHN CC[C@@H](NCc1cc(C)cc(N)c1)c1cc(Cl)ccc1OC ZINC000423484521 1042716109 /nfs/dbraw/zinc/71/61/09/1042716109.db2.gz UMPQUBZXODCAET-QGZVFWFLSA-N 0 3 318.848 4.480 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCc1cc(C)cc(N)c1 ZINC000423486165 1042716528 /nfs/dbraw/zinc/71/65/28/1042716528.db2.gz VZUTWFHUZYSSTC-LBPRGKRZSA-N 0 3 317.502 4.490 20 0 DIADHN CSc1ccc([C@H](C)NCc2cc(C)cc(N)c2)cc1F ZINC000423485108 1042716922 /nfs/dbraw/zinc/71/69/22/1042716922.db2.gz UZTXNTCTGSETOP-LBPRGKRZSA-N 0 3 304.434 4.289 20 0 DIADHN Cc1cc(O)c(C(C)C)cc1NC(=O)[C@@H](N)CC1CCCCC1 ZINC000423711989 1042720138 /nfs/dbraw/zinc/72/01/38/1042720138.db2.gz NKSOMRNZTACSTO-INIZCTEOSA-N 0 3 318.461 4.060 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CC[C@@H](c2ccncc2)C1 ZINC000647934285 1042722379 /nfs/dbraw/zinc/72/23/79/1042722379.db2.gz YHIFFYCGXVLTJG-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN Cc1cc(F)c(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c(F)c1 ZINC000424178345 1042727475 /nfs/dbraw/zinc/72/74/75/1042727475.db2.gz RBNAGRSDFRLXPX-HNAYVOBHSA-N 0 3 317.379 4.283 20 0 DIADHN c1sc(-c2ccccn2)nc1CN[C@@H]1CCC[C@H](C2CC2)C1 ZINC000424201572 1042729589 /nfs/dbraw/zinc/72/95/89/1042729589.db2.gz HZCGSUDCULDAGI-LSDHHAIUSA-N 0 3 313.470 4.264 20 0 DIADHN Cc1cc(CN[C@@H](Cc2ccncc2)c2cccs2)cc(C)n1 ZINC000424339823 1042737254 /nfs/dbraw/zinc/73/72/54/1042737254.db2.gz WQVJCBNANUQWCW-SFHVURJKSA-N 0 3 323.465 4.229 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1csc(-c2ccccc2F)n1 ZINC000424343970 1042737995 /nfs/dbraw/zinc/73/79/95/1042737995.db2.gz HMOWNHRLSJEVDP-RVMXOQNASA-N 0 3 302.418 4.398 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1cc(C)no1)c1ccc(Cl)cc1 ZINC000513894020 1042762269 /nfs/dbraw/zinc/76/22/69/1042762269.db2.gz FIEZGNHAOLNVIY-RDJZCZTQSA-N 0 3 320.820 4.161 20 0 DIADHN Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CC[C@H](C)C2)cc1 ZINC000514004228 1042766939 /nfs/dbraw/zinc/76/69/39/1042766939.db2.gz DXSJDUBPAFRWRF-LPHOPBHVSA-N 0 3 308.425 4.017 20 0 DIADHN Cc1cnccc1CN[C@H](C)c1ccc(F)c(Br)c1 ZINC000228656606 1042768935 /nfs/dbraw/zinc/76/89/35/1042768935.db2.gz QZUOTRFCCWLKAY-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN CCc1nc(CN2CCC[C@@H]2Cc2ccccc2C)cs1 ZINC000514181153 1042773047 /nfs/dbraw/zinc/77/30/47/1042773047.db2.gz ZFGVRHHPKNEFNI-QGZVFWFLSA-N 0 3 300.471 4.221 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccnn2C(C)C)c1C ZINC000281044960 1042776029 /nfs/dbraw/zinc/77/60/29/1042776029.db2.gz ZSCKMSCIZXOKMY-UHFFFAOYSA-N 0 3 310.445 4.160 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC000058876325 1042777285 /nfs/dbraw/zinc/77/72/85/1042777285.db2.gz CROFEUQVUUKDRA-UHFFFAOYSA-N 0 3 323.358 4.354 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000281258672 1042778904 /nfs/dbraw/zinc/77/89/04/1042778904.db2.gz GVZJBBOXTPUMNI-DJJJIMSYSA-N 0 3 315.436 4.325 20 0 DIADHN CC(C)Cc1ccccc1CNCc1cc2ccccc2[nH]c1=O ZINC001203216396 1042781638 /nfs/dbraw/zinc/78/16/38/1042781638.db2.gz SUVMJIPNJMRDKF-UHFFFAOYSA-N 0 3 320.436 4.429 20 0 DIADHN FC(F)C1CCC(NCc2cc(-c3ccccc3)on2)CC1 ZINC000281604011 1042781752 /nfs/dbraw/zinc/78/17/52/1042781752.db2.gz AOXFCENDJANIIC-UHFFFAOYSA-N 0 3 306.356 4.255 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@@H]2CCCOC2)c2cccnc2)cc1 ZINC000924985464 1042782916 /nfs/dbraw/zinc/78/29/16/1042782916.db2.gz HCOMMNSBEPNCLV-WOJBJXKFSA-N 0 3 310.441 4.063 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000514787475 1042790899 /nfs/dbraw/zinc/79/08/99/1042790899.db2.gz HAMBKGYGWCFXOT-HZPDHXFCSA-N 0 3 312.457 4.137 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000425338936 1042793719 /nfs/dbraw/zinc/79/37/19/1042793719.db2.gz BNQQEFXMXOSJLL-FRRDWIJNSA-N 0 3 307.356 4.303 20 0 DIADHN COc1cccc(CN[C@H]2CC[C@@H]2SC)c1OC1CCCC1 ZINC000425363181 1042796840 /nfs/dbraw/zinc/79/68/40/1042796840.db2.gz WCRQLYMOYHOOTC-RDJZCZTQSA-N 0 3 321.486 4.000 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1ccc(C(F)(F)F)c(C)c1 ZINC000514921190 1042800161 /nfs/dbraw/zinc/80/01/61/1042800161.db2.gz LHZKCBPMSXKJOY-KRWDZBQOSA-N 0 3 323.358 4.145 20 0 DIADHN Clc1ccccc1CN1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425371285 1042800325 /nfs/dbraw/zinc/80/03/25/1042800325.db2.gz GLHVMHPAIISFGW-QGZVFWFLSA-N 0 3 305.849 4.121 20 0 DIADHN CO[C@H](CN(Cc1cccs1)Cc1cccs1)C1CC1 ZINC000425389397 1042804824 /nfs/dbraw/zinc/80/48/24/1042804824.db2.gz UPBVZPWLMLYVHK-MRXNPFEDSA-N 0 3 307.484 4.237 20 0 DIADHN CO[C@@H](CN1CCc2sccc2[C@H]1c1cccs1)C1CC1 ZINC000425388755 1042805499 /nfs/dbraw/zinc/80/54/99/1042805499.db2.gz SUHVTQFZSMJPFD-YOEHRIQHSA-N 0 3 319.495 4.182 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000515168913 1042811126 /nfs/dbraw/zinc/81/11/26/1042811126.db2.gz JHRWJEMBELGQOZ-KXBFYZLASA-N 0 3 300.421 4.088 20 0 DIADHN Clc1ccccc1-c1nc2c(s1)CN(CC1CC1)CC2 ZINC000515250527 1042813899 /nfs/dbraw/zinc/81/38/99/1042813899.db2.gz WPJZOQAHKPQBHX-UHFFFAOYSA-N 0 3 304.846 4.232 20 0 DIADHN Cc1n[nH]cc1C1CCN(c2c(C)cnc3c(C)cccc32)CC1 ZINC000515319701 1042816407 /nfs/dbraw/zinc/81/64/07/1042816407.db2.gz QQQOWEKWRPBCSE-UHFFFAOYSA-N 0 3 320.440 4.267 20 0 DIADHN Fc1cncc(CNC2(c3cccc(Cl)c3)CCCC2)c1 ZINC000515818805 1042836539 /nfs/dbraw/zinc/83/65/39/1042836539.db2.gz CAVMLUDEJVCIQC-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN CCc1ccc2nccc(N3CCC(c4ccon4)CC3)c2c1 ZINC000664566522 1042840660 /nfs/dbraw/zinc/84/06/60/1042840660.db2.gz NNXCMBQTNNMTTB-UHFFFAOYSA-N 0 3 307.397 4.169 20 0 DIADHN C[C@@H](N[C@@H](c1nnc[nH]1)C1CCCCC1)c1ccc(Cl)cc1 ZINC000638290301 1042842405 /nfs/dbraw/zinc/84/24/05/1042842405.db2.gz FFMPLJBMXWGDAH-MLGOLLRUSA-N 0 3 318.852 4.430 20 0 DIADHN C[C@H]1CN(CCc2cccc(OC(F)(F)F)c2)CCC1(F)F ZINC000516895680 1042859342 /nfs/dbraw/zinc/85/93/42/1042859342.db2.gz ZSFOCZMBBMHPGU-NSHDSACASA-N 0 3 323.305 4.105 20 0 DIADHN Cc1cc([C@H](N[C@H]2CCC[C@@H](F)C2)c2cccnc2)ccc1F ZINC000516926757 1042860426 /nfs/dbraw/zinc/86/04/26/1042860426.db2.gz KEXBXFOBHKTLPO-AOIWGVFYSA-N 0 3 316.395 4.489 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCC(F)(F)[C@H](C)C1 ZINC000517059479 1042865182 /nfs/dbraw/zinc/86/51/82/1042865182.db2.gz SKIFXOJKYLCLJG-GHMZBOCLSA-N 0 3 305.315 4.326 20 0 DIADHN COc1c(F)cc(F)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001143563410 1042865222 /nfs/dbraw/zinc/86/52/22/1042865222.db2.gz YVRLJCWWKDOZPJ-ZDUSSCGKSA-N 0 3 313.363 4.078 20 0 DIADHN CCc1nnc(CN[C@@H]2CCCC[C@@H](c3ccccc3)C2)s1 ZINC000517060040 1042865671 /nfs/dbraw/zinc/86/56/71/1042865671.db2.gz BUBIJTWGSMXVTM-HZPDHXFCSA-N 0 3 315.486 4.307 20 0 DIADHN CCc1nnc(CN[C@H]2CCCC[C@H](c3ccccc3)C2)s1 ZINC000517060039 1042865768 /nfs/dbraw/zinc/86/57/68/1042865768.db2.gz BUBIJTWGSMXVTM-HOTGVXAUSA-N 0 3 315.486 4.307 20 0 DIADHN CN(Cc1cnc([C@H]2CCCO2)s1)C1CCC(F)(F)CC1 ZINC000517202934 1042871778 /nfs/dbraw/zinc/87/17/78/1042871778.db2.gz FFCKDCWGHMNHRM-CYBMUJFWSA-N 0 3 316.417 4.004 20 0 DIADHN CCC[C@@H](NCCc1ccc(C)nc1)c1ncccc1Cl ZINC000925028977 1042884647 /nfs/dbraw/zinc/88/46/47/1042884647.db2.gz PWDGRGAJDDOTMT-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN c1coc(COCc2cccc(CNCc3ccsc3)c2)c1 ZINC000517537496 1042885272 /nfs/dbraw/zinc/88/52/72/1042885272.db2.gz VWZBFOMDWKUOQM-UHFFFAOYSA-N 0 3 313.422 4.348 20 0 DIADHN CC(C)OCc1ccccc1CNCC1=Cc2ccccc2OC1 ZINC000517552658 1042885860 /nfs/dbraw/zinc/88/58/60/1042885860.db2.gz VEKLBBUIELJCSF-UHFFFAOYSA-N 0 3 323.436 4.177 20 0 DIADHN CC(C)OCc1ccccc1CNc1ccnc2ccccc21 ZINC000517647965 1042891599 /nfs/dbraw/zinc/89/15/99/1042891599.db2.gz UDIQDLFGRNOIRL-UHFFFAOYSA-N 0 3 306.409 4.194 20 0 DIADHN CC(C)N1CCN([C@@H](C)c2ccc(Cl)c(Cl)c2)CC1 ZINC000517725934 1042894117 /nfs/dbraw/zinc/89/41/17/1042894117.db2.gz HPYDEXFAHRTTNM-LBPRGKRZSA-N 0 3 301.261 4.080 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2ccc(F)cc2)no1)C1CC1 ZINC000517896371 1042902017 /nfs/dbraw/zinc/90/20/17/1042902017.db2.gz DKMPPOAPIFXNEN-QWHCGFSZSA-N 0 3 317.408 4.275 20 0 DIADHN Cc1cccnc1CCCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000296576752 1042918687 /nfs/dbraw/zinc/91/86/87/1042918687.db2.gz UBHROQYHMGNJKL-CQSZACIVSA-N 0 3 315.486 4.009 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@H]1C[C@@H](OC(C)(C)C)C12CCC2 ZINC000925059551 1042926416 /nfs/dbraw/zinc/92/64/16/1042926416.db2.gz BIOWEESAZBUHQF-TUKIKUTGSA-N 0 3 306.450 4.068 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCCc1ccsc1 ZINC000783434019 1042930946 /nfs/dbraw/zinc/93/09/46/1042930946.db2.gz GKXDNEBOUYOUPB-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nc3ccccc3n2C(F)F)C1 ZINC000519227485 1042939833 /nfs/dbraw/zinc/93/98/33/1042939833.db2.gz RJFFIYSIHFHXFW-CHWSQXEVSA-N 0 3 307.388 4.442 20 0 DIADHN CN(CCCOc1cccc(C(F)(F)F)c1)Cc1ccoc1 ZINC000519223287 1042939922 /nfs/dbraw/zinc/93/99/22/1042939922.db2.gz YPYKEOIOCMBFLJ-UHFFFAOYSA-N 0 3 313.319 4.199 20 0 DIADHN CCOc1ccc(-c2noc(CN(CC)[C@@H](C)C(C)C)n2)cc1 ZINC000519272956 1042941514 /nfs/dbraw/zinc/94/15/14/1042941514.db2.gz XMPBPVWHFUVMQO-AWEZNQCLSA-N 0 3 317.433 4.002 20 0 DIADHN CN(Cc1nnc(-c2cccc(Cl)c2)o1)C1CCCCC1 ZINC000519345223 1042945139 /nfs/dbraw/zinc/94/51/39/1042945139.db2.gz BXFCRDCPOXJMOK-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CCCCC[C@@H]1/C=C\c1cccs1 ZINC000519476831 1042950507 /nfs/dbraw/zinc/95/05/07/1042950507.db2.gz BQHSEJKYUWCLEB-FJVVXJACSA-N 0 3 314.454 4.307 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CCCCC[C@@H]1/C=C\c1cccs1 ZINC000519476831 1042950512 /nfs/dbraw/zinc/95/05/12/1042950512.db2.gz BQHSEJKYUWCLEB-FJVVXJACSA-N 0 3 314.454 4.307 20 0 DIADHN Cc1ccc2c(CCN[C@@H]3CCCc4scnc43)c[nH]c2c1 ZINC000623881030 1042955609 /nfs/dbraw/zinc/95/56/09/1042955609.db2.gz BCLWGGSNTCSTKL-OAHLLOKOSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1nnc(CNC[C@@H](c2ccc(Cl)cc2)C(C)C)s1 ZINC000894426287 1042957157 /nfs/dbraw/zinc/95/71/57/1042957157.db2.gz JWKNMNNWTBUYJX-CQSZACIVSA-N 0 3 309.866 4.029 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccncc2)c2c(n1)CCCC2 ZINC000894449044 1042957911 /nfs/dbraw/zinc/95/79/11/1042957911.db2.gz JGLRKWSFBFVOSC-FQEVSTJZSA-N 0 3 307.441 4.001 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccnc2)c2c(n1)CCCC2 ZINC000894450144 1042958298 /nfs/dbraw/zinc/95/82/98/1042958298.db2.gz SIQMRVVMLYDJRP-FQEVSTJZSA-N 0 3 307.441 4.001 20 0 DIADHN Cc1nc([C@@H](C)NCc2ccccc2-c2ccc(C)cc2C)n[nH]1 ZINC000894467909 1042961328 /nfs/dbraw/zinc/96/13/28/1042961328.db2.gz ROXGTPXNWWNCEI-OAHLLOKOSA-N 0 3 320.440 4.248 20 0 DIADHN CC1(C)CN(CCCCC2CCOCC2)[C@H]1c1cccnc1 ZINC000664822968 1042964681 /nfs/dbraw/zinc/96/46/81/1042964681.db2.gz LADPXJOVIBORRQ-SFHVURJKSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](CCN1CC(C)(C)[C@H]1c1ccncc1)OCc1ccccc1 ZINC000664827968 1042966855 /nfs/dbraw/zinc/96/68/55/1042966855.db2.gz AQKAKYWTSDRVMB-YLJYHZDGSA-N 0 3 324.468 4.460 20 0 DIADHN C[C@@H](NC[C@H]1CCCCO1)c1cc(F)c(Cl)cc1Cl ZINC000049855541 1042974393 /nfs/dbraw/zinc/97/43/93/1042974393.db2.gz QCELHCFRDNQXTG-NXEZZACHSA-N 0 3 306.208 4.352 20 0 DIADHN Fc1ccc(CN(CCc2ccns2)C2CCCC2)c(F)c1 ZINC001167982515 1042975695 /nfs/dbraw/zinc/97/56/95/1042975695.db2.gz DNFBPQYXIGQYTN-UHFFFAOYSA-N 0 3 322.424 4.409 20 0 DIADHN Cc1[nH]nc(CN2CCC([C@H](F)c3ccccc3F)CC2)c1C ZINC000894739614 1042975903 /nfs/dbraw/zinc/97/59/03/1042975903.db2.gz JWGAYKGLRFBJRI-SFHVURJKSA-N 0 3 319.399 4.088 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@@H]3c3cccnc3)cc21 ZINC000894762640 1042976287 /nfs/dbraw/zinc/97/62/87/1042976287.db2.gz WMUOBAXHHXOBBT-MRXNPFEDSA-N 0 3 310.422 4.339 20 0 DIADHN CCCCN1Cc2cccc(N[C@H](C)c3cnc(C)cn3)c2C1 ZINC000427441617 1042987741 /nfs/dbraw/zinc/98/77/41/1042987741.db2.gz BPFPVCVIKRDJKD-OAHLLOKOSA-N 0 3 310.445 4.074 20 0 DIADHN C[C@@H](NCc1nccc2ccccc21)[C@@H]1OCCc2sccc21 ZINC000894852913 1042987846 /nfs/dbraw/zinc/98/78/46/1042987846.db2.gz RGVQJLZGDXASQZ-YJYMSZOUSA-N 0 3 324.449 4.088 20 0 DIADHN C[C@@H](NCc1nccc2ccccc21)[C@H]1OCCc2sccc21 ZINC000894852896 1042988043 /nfs/dbraw/zinc/98/80/43/1042988043.db2.gz RGVQJLZGDXASQZ-BFUOFWGJSA-N 0 3 324.449 4.088 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1ncc(Br)cc1F ZINC000427521424 1042989798 /nfs/dbraw/zinc/98/97/98/1042989798.db2.gz JQSKDMOUHORIKU-ONGXEEELSA-N 0 3 315.230 4.213 20 0 DIADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@H]2c2ccccc2F)s1 ZINC000353697350 1042990364 /nfs/dbraw/zinc/99/03/64/1042990364.db2.gz GELLEEDDILOPDP-ZBEGNZNMSA-N 0 3 304.434 4.482 20 0 DIADHN c1ccc(C[C@H]2CC[C@@H](NCc3noc4c3CCCC4)C2)cc1 ZINC000519728417 1043000051 /nfs/dbraw/zinc/00/00/51/1043000051.db2.gz POYQINSENOJKJF-IAGOWNOFSA-N 0 3 310.441 4.054 20 0 DIADHN CCOc1c(CN(CC)C2CCCC2)c(C)nn1CC(C)C ZINC000519754333 1043002108 /nfs/dbraw/zinc/00/21/08/1043002108.db2.gz MPYXQTLEURZWFN-UHFFFAOYSA-N 0 3 307.482 4.011 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(OC)c(C#N)c2)cc1 ZINC000237153133 1043002451 /nfs/dbraw/zinc/00/24/51/1043002451.db2.gz YQVQSPMISYUVAY-UHFFFAOYSA-N 0 3 308.425 4.154 20 0 DIADHN COc1ccc(CNCc2ccc(Cl)c(C)c2)c(C)c1OC ZINC000519781934 1043003126 /nfs/dbraw/zinc/00/31/26/1043003126.db2.gz HZLLVYIEQQTDSD-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN COC(=O)c1oc(CN(Cc2ccccc2)CC(C)C)cc1C ZINC000519905208 1043009821 /nfs/dbraw/zinc/00/98/21/1043009821.db2.gz YREVXZCSUISFTA-UHFFFAOYSA-N 0 3 315.413 4.033 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000519968867 1043012376 /nfs/dbraw/zinc/01/23/76/1043012376.db2.gz QYXOVMHUPDHJRK-GUYCJALGSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000519968868 1043012790 /nfs/dbraw/zinc/01/27/90/1043012790.db2.gz QYXOVMHUPDHJRK-SUMWQHHRSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccsc1)c1ccc(F)cc1Cl ZINC000159944609 1043018030 /nfs/dbraw/zinc/01/80/30/1043018030.db2.gz KOGRSCFDLIBWEG-MEBBXXQBSA-N 0 3 313.825 4.099 20 0 DIADHN C[C@H](c1nc(-c2ccc(C(F)F)nc2)no1)N1[C@H](C)CC[C@@H]1C ZINC000664957102 1043018921 /nfs/dbraw/zinc/01/89/21/1043018921.db2.gz KUTUZLDGKNLLON-OUAUKWLOSA-N 0 3 322.359 4.003 20 0 DIADHN CCCN(CC(=O)N1CCCCCC1)[C@H](CC)c1ccccc1 ZINC000520171794 1043021177 /nfs/dbraw/zinc/02/11/77/1043021177.db2.gz DYMLQKOKMKEBNE-LJQANCHMSA-N 0 3 316.489 4.252 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N[C@H](C)c1ccccc1 ZINC000520175027 1043022052 /nfs/dbraw/zinc/02/20/52/1043022052.db2.gz QHSRUGZTGJTRLE-XLIONFOSSA-N 0 3 324.468 4.337 20 0 DIADHN CCc1ccc([C@@H](N[C@H](C)CSC)c2cccnc2)cc1 ZINC000925097565 1043022524 /nfs/dbraw/zinc/02/25/24/1043022524.db2.gz SGPHHVBTFPOYLE-RDTXWAMCSA-N 0 3 300.471 4.075 20 0 DIADHN CCN(CC)[C@@H](CNCc1c(F)cccc1F)c1ccsc1 ZINC000520203255 1043023086 /nfs/dbraw/zinc/02/30/86/1043023086.db2.gz SOLBZEVNJPCUJU-KRWDZBQOSA-N 0 3 324.440 4.199 20 0 DIADHN CCOc1ccccc1OCCN1CCC[C@H]1c1ccsc1 ZINC000441187997 1043025839 /nfs/dbraw/zinc/02/58/39/1043025839.db2.gz IXPXGPGSJDRORW-INIZCTEOSA-N 0 3 317.454 4.363 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1sc(C)c(C)c1C ZINC000441181285 1043025884 /nfs/dbraw/zinc/02/58/84/1043025884.db2.gz XQDXIOUWUMAKJM-UHFFFAOYSA-N 0 3 310.507 4.370 20 0 DIADHN Cc1sc(NC(=O)CN(C)C2CCC(C)CC2)c(C)c1C ZINC000441164119 1043026131 /nfs/dbraw/zinc/02/61/31/1043026131.db2.gz GBUCNCXMWMQJSU-UHFFFAOYSA-N 0 3 308.491 4.122 20 0 DIADHN CC[C@H](NCCC(=O)Nc1ccccc1)c1ccc(F)cc1F ZINC000164991264 1043035756 /nfs/dbraw/zinc/03/57/56/1043035756.db2.gz SSYHXHXCHWHMSY-KRWDZBQOSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccccc3N(C)C)CC2)cc1 ZINC000441401693 1043043681 /nfs/dbraw/zinc/04/36/81/1043043681.db2.gz YJTCZOBGRHVRDC-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN CN1CCC[C@H](NCc2nccc3ccccc32)c2ccccc21 ZINC000894915849 1043045780 /nfs/dbraw/zinc/04/57/80/1043045780.db2.gz GVOVPURFSHPOTA-IBGZPJMESA-N 0 3 317.436 4.296 20 0 DIADHN C[C@@H](NCc1ccc(COCC(F)(F)F)cc1)c1ccncc1 ZINC000173849649 1043053170 /nfs/dbraw/zinc/05/31/70/1043053170.db2.gz LLIKCTRFJKMSDC-CYBMUJFWSA-N 0 3 324.346 4.011 20 0 DIADHN CCN(CC(=O)Nc1ccccc1-c1ccccc1)CC1CC1 ZINC000112983031 1043063598 /nfs/dbraw/zinc/06/35/98/1043063598.db2.gz IZRGPZIEXPGSBX-UHFFFAOYSA-N 0 3 308.425 4.024 20 0 DIADHN CC[C@H](NCc1cn(C)nc1-c1ccccc1)c1cccc(F)c1 ZINC000188446600 1043065720 /nfs/dbraw/zinc/06/57/20/1043065720.db2.gz AAUZLRORIYWSOC-IBGZPJMESA-N 0 3 323.415 4.467 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1csc(-c2ccsc2)n1 ZINC000174553923 1043068138 /nfs/dbraw/zinc/06/81/38/1043068138.db2.gz SHQHSFDIMBSQQX-LLVKDONJSA-N 0 3 310.488 4.165 20 0 DIADHN C[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccc(Cl)s1 ZINC000175444869 1043085159 /nfs/dbraw/zinc/08/51/59/1043085159.db2.gz DKUSNXSWLPHHEB-NSHDSACASA-N 0 3 322.861 4.205 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@@H](C(C)C)[C@H](C)C2)cs1 ZINC000536301388 1043091882 /nfs/dbraw/zinc/09/18/82/1043091882.db2.gz AZRLLSSIZZBUMU-KQTLUZQSSA-N 0 3 310.507 4.401 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@H]2CC2(Cl)Cl)n1 ZINC000865637144 1043121422 /nfs/dbraw/zinc/12/14/22/1043121422.db2.gz NMYAIZUKEXZGJE-VIFPVBQESA-N 0 3 307.290 4.114 20 0 DIADHN CC(C)[C@H](CNCc1c(Cl)cncc1Cl)c1cccnc1 ZINC000865486150 1043105328 /nfs/dbraw/zinc/10/53/28/1043105328.db2.gz JYQDIMVSKLLLGM-ZDUSSCGKSA-N 0 3 324.255 4.313 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OCC2CC2)c(F)c1)c1ccncc1 ZINC000177572907 1043109806 /nfs/dbraw/zinc/10/98/06/1043109806.db2.gz JIGKEDAINPCWAM-KGLIPLIRSA-N 0 3 314.404 4.421 20 0 DIADHN CC(C)(c1ccccc1)C1(NCc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000865593354 1043116757 /nfs/dbraw/zinc/11/67/57/1043116757.db2.gz KLAQEYLUGPCMEB-UHFFFAOYSA-N 0 3 322.408 4.133 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1ccc(-n2cccn2)cc1 ZINC000177940687 1043117351 /nfs/dbraw/zinc/11/73/51/1043117351.db2.gz LSVAZNXUIKDKCQ-KSSFIOAISA-N 0 3 307.397 4.194 20 0 DIADHN C[C@@H](CNCc1c(Cl)cncc1Cl)CC(F)(F)F ZINC000865603630 1043117558 /nfs/dbraw/zinc/11/75/58/1043117558.db2.gz JQUSJUWUDFXFHF-SSDOTTSWSA-N 0 3 301.139 4.067 20 0 DIADHN C[C@H](N[C@H](CCc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000177906366 1043117802 /nfs/dbraw/zinc/11/78/02/1043117802.db2.gz ZOMBPGVALIFPSQ-LAUBAEHRSA-N 0 3 319.452 4.445 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000178089206 1043121907 /nfs/dbraw/zinc/12/19/07/1043121907.db2.gz LTVYVBXUWNRVLN-ZBFHGGJFSA-N 0 3 302.418 4.245 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)(C)C)s2)cc1O ZINC000178163870 1043122385 /nfs/dbraw/zinc/12/23/85/1043122385.db2.gz RCFKWDWTKUGBPB-UHFFFAOYSA-N 0 3 305.443 4.050 20 0 DIADHN Clc1ccc([C@@H](NCC2=CCCOC2)c2cccs2)cc1 ZINC000178160178 1043122635 /nfs/dbraw/zinc/12/26/35/1043122635.db2.gz AGMKLPAKCMBIDC-QGZVFWFLSA-N 0 3 319.857 4.427 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000178254011 1043125889 /nfs/dbraw/zinc/12/58/89/1043125889.db2.gz QGNHUGFRGUKXTM-GJZGRUSLSA-N 0 3 320.440 4.202 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(CSC)c1)CC2 ZINC000188717206 1043126316 /nfs/dbraw/zinc/12/63/16/1043126316.db2.gz BTZYAXATZBMNAS-LJQANCHMSA-N 0 3 313.466 4.335 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H]2CCCOc3ccccc32)s1 ZINC000178316221 1043127308 /nfs/dbraw/zinc/12/73/08/1043127308.db2.gz OKXZZMIZSWFZCR-IUODEOHRSA-N 0 3 302.443 4.324 20 0 DIADHN CC(C)(C)c1csc(CNCC2([C@@H]3CCCCO3)CCC2)n1 ZINC000865726442 1043128571 /nfs/dbraw/zinc/12/85/71/1043128571.db2.gz OBZLOXRGMYQAKS-HNNXBMFYSA-N 0 3 322.518 4.270 20 0 DIADHN CC[C@H](NCc1ccc2[nH]ccc2c1)c1cccc(OC)c1OC ZINC000865757474 1043130011 /nfs/dbraw/zinc/13/00/11/1043130011.db2.gz JXQKQDIUWCFHPJ-KRWDZBQOSA-N 0 3 324.424 4.426 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cnn(C(C)C)c2)C2CC2)cc1 ZINC000178467695 1043131916 /nfs/dbraw/zinc/13/19/16/1043131916.db2.gz QMNSRGBIQNSWFM-IFXJQAMLSA-N 0 3 313.445 4.275 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCSc3ccc(F)cc32)cc1 ZINC000178542522 1043132733 /nfs/dbraw/zinc/13/27/33/1043132733.db2.gz MWJUCDGXELOEIF-SJCJKPOMSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H](C)c2cnc3ccsc3c2)cc1 ZINC000178553468 1043133314 /nfs/dbraw/zinc/13/33/14/1043133314.db2.gz VHIWAKKPYGDOLB-CHWSQXEVSA-N 0 3 312.438 4.200 20 0 DIADHN C[C@@H](NCc1ccc([C@H](C)O)cc1)c1ccc(F)c(Cl)c1 ZINC000178551201 1043133551 /nfs/dbraw/zinc/13/35/51/1043133551.db2.gz FCDRCDONMQXJSS-NEPJUHHUSA-N 0 3 307.796 4.383 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000178656922 1043135212 /nfs/dbraw/zinc/13/52/12/1043135212.db2.gz ABXCPDCVDPTYHJ-KZULUSFZSA-N 0 3 301.409 4.060 20 0 DIADHN CC[C@H](N[C@H]1CCSc2c(F)cccc21)c1ccncc1 ZINC000178761960 1043136512 /nfs/dbraw/zinc/13/65/12/1043136512.db2.gz MNLOIBNUVWZCLF-HOTGVXAUSA-N 0 3 302.418 4.499 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1cnc(C2CC2)s1 ZINC000865829725 1043136781 /nfs/dbraw/zinc/13/67/81/1043136781.db2.gz FDHDCNFSGRLSGO-VXGBXAGGSA-N 0 3 302.365 4.007 20 0 DIADHN Fc1cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)cc(F)c1F ZINC000865832358 1043138353 /nfs/dbraw/zinc/13/83/53/1043138353.db2.gz NEBNTCFRDPFKNB-BXKDBHETSA-N 0 3 309.253 4.091 20 0 DIADHN Cc1cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)cnc1Cl ZINC000865830853 1043138525 /nfs/dbraw/zinc/13/85/25/1043138525.db2.gz VBGYZTUNJOUCDV-VXGBXAGGSA-N 0 3 304.743 4.030 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1scnc1C1CC1 ZINC000865830418 1043138778 /nfs/dbraw/zinc/13/87/78/1043138778.db2.gz PBVVSXNAYBDLTM-GHMZBOCLSA-N 0 3 302.365 4.007 20 0 DIADHN Cc1cc(CN[C@@H](C)[C@H](C)c2ccccc2C(F)(F)F)on1 ZINC000179420216 1043144478 /nfs/dbraw/zinc/14/44/78/1043144478.db2.gz KEQUEAWIOYABLV-RYUDHWBXSA-N 0 3 312.335 4.284 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cncc(Br)c2)c1 ZINC000179591548 1043146728 /nfs/dbraw/zinc/14/67/28/1043146728.db2.gz AUEPTHZSVAPKHI-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nc2cc(F)ccc2o1 ZINC000189483155 1043155809 /nfs/dbraw/zinc/15/58/09/1043155809.db2.gz QKOWNOYMNCOGRL-SWLSCSKDSA-N 0 3 308.422 4.121 20 0 DIADHN C[C@@H](CCc1cccnc1)NCc1csc(-c2ccccc2)n1 ZINC000866247401 1043165956 /nfs/dbraw/zinc/16/59/56/1043165956.db2.gz UZSFMOSAOQSJGM-HNNXBMFYSA-N 0 3 323.465 4.316 20 0 DIADHN CCn1nc(C)c(CN[C@H]2c3cc(F)ccc3CC[C@H]2C)c1C ZINC000866257227 1043167699 /nfs/dbraw/zinc/16/76/99/1043167699.db2.gz UFPAVOUNHDMPGY-CWTRNNRKSA-N 0 3 315.436 4.072 20 0 DIADHN C[C@@]1(CN[C@H](c2ccccc2)c2ccc(F)cn2)CCCS1 ZINC000183714765 1043170853 /nfs/dbraw/zinc/17/08/53/1043170853.db2.gz MSOWWTWXPXVUAR-MSOLQXFVSA-N 0 3 316.445 4.185 20 0 DIADHN COC[C@H](N[C@H](C)CCc1cncc2ccccc21)c1ccco1 ZINC000866277486 1043172246 /nfs/dbraw/zinc/17/22/46/1043172246.db2.gz MTTTZSZOOKDGSZ-BEFAXECRSA-N 0 3 324.424 4.126 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc2c(c1)CCO2 ZINC000183989094 1043177362 /nfs/dbraw/zinc/17/73/62/1043177362.db2.gz FGVCBLRHKZZMJV-GFCCVEGCSA-N 0 3 303.352 4.410 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1ccc(C(F)F)cc1 ZINC000183984082 1043177516 /nfs/dbraw/zinc/17/75/16/1043177516.db2.gz PRPXQZLHIBWYMH-OAHLLOKOSA-N 0 3 305.372 4.301 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487392682 1043183416 /nfs/dbraw/zinc/18/34/16/1043183416.db2.gz HJJHCIWHJOVLDP-ABAIWWIYSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1cc2c(ccnc2Cl)o1 ZINC000866358438 1043187612 /nfs/dbraw/zinc/18/76/12/1043187612.db2.gz MLCNINCBOIKGGN-MRVPVSSYSA-N 0 3 318.726 4.474 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000866365770 1043189566 /nfs/dbraw/zinc/18/95/66/1043189566.db2.gz XBYQFMAGCABEFJ-LJQANCHMSA-N 0 3 306.409 4.476 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2CCc3cccc4cccc2c43)cn1 ZINC000866371436 1043190952 /nfs/dbraw/zinc/19/09/52/1043190952.db2.gz MBYJVTSMALGCAV-LIRRHRJNSA-N 0 3 305.425 4.394 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ncccc21)c1ccc2c(c1)CCCO2 ZINC000866393928 1043196926 /nfs/dbraw/zinc/19/69/26/1043196926.db2.gz SJNDOAXFSZZCHG-IFXJQAMLSA-N 0 3 308.425 4.135 20 0 DIADHN CCSc1cccc(CNCc2ccc(OC)c(OC)c2)c1 ZINC000185446944 1043201631 /nfs/dbraw/zinc/20/16/31/1043201631.db2.gz TXJXQFHPWUAOEQ-UHFFFAOYSA-N 0 3 317.454 4.106 20 0 DIADHN OC1(c2ccccc2)CCN(Cc2ccc(C(F)F)cc2)CC1 ZINC000185508153 1043203305 /nfs/dbraw/zinc/20/33/05/1043203305.db2.gz PFMYGUDCVZSFHV-UHFFFAOYSA-N 0 3 317.379 4.108 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000185647737 1043205791 /nfs/dbraw/zinc/20/57/91/1043205791.db2.gz JAQNQQZLLVMTFK-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ccn(-c2ccc(F)cc2)n1 ZINC000441526988 1043206186 /nfs/dbraw/zinc/20/61/86/1043206186.db2.gz XHIXHLVIODDUHS-SFHVURJKSA-N 0 3 301.409 4.022 20 0 DIADHN CSc1ccc2c(c1)[C@H](NCc1ccnc(F)c1)CCC2 ZINC000866474472 1043206750 /nfs/dbraw/zinc/20/67/50/1043206750.db2.gz MPWYMTLBGVWAMZ-MRXNPFEDSA-N 0 3 302.418 4.110 20 0 DIADHN C[C@@H](NCC[C@H]1CCCS1)c1ncc(-c2ccccc2)n1C ZINC000866479879 1043207259 /nfs/dbraw/zinc/20/72/59/1043207259.db2.gz AFCGTSLGNZKCGK-GDBMZVCRSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@H](NCC[C@@H]1CCCS1)c1ncc(-c2ccccc2)n1C ZINC000866479881 1043209131 /nfs/dbraw/zinc/20/91/31/1043209131.db2.gz AFCGTSLGNZKCGK-HOCLYGCPSA-N 0 3 315.486 4.023 20 0 DIADHN Fc1ccc([C@H](NCC[C@@H]2CCCS2)c2cccnc2)cc1 ZINC000866480049 1043209195 /nfs/dbraw/zinc/20/91/95/1043209195.db2.gz CZZCDEDTKAKQJM-ROUUACIJSA-N 0 3 316.445 4.185 20 0 DIADHN COC(C)(C)CCN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000866504196 1043211640 /nfs/dbraw/zinc/21/16/40/1043211640.db2.gz AMCQCIPZKWSCEE-GOSISDBHSA-N 0 3 316.420 4.023 20 0 DIADHN COC(C)(C)CCN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000866505000 1043212319 /nfs/dbraw/zinc/21/23/19/1043212319.db2.gz RKTCOPAKFCOAIY-IBGZPJMESA-N 0 3 324.424 4.322 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)C[C@H](O)c1ccccc1 ZINC000121095059 1043215269 /nfs/dbraw/zinc/21/52/69/1043215269.db2.gz QSLCYKBFGKEOMW-HOCLYGCPSA-N 0 3 318.486 4.301 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H](CCO)c2ccccc2)cs1 ZINC000186352890 1043220071 /nfs/dbraw/zinc/22/00/71/1043220071.db2.gz SAXQKDOXFCFHII-GOEBONIOSA-N 0 3 318.486 4.083 20 0 DIADHN C[C@@H](NCCC1CCCC1)c1ncc(Br)cc1F ZINC000427608340 1043238923 /nfs/dbraw/zinc/23/89/23/1043238923.db2.gz XTANLWVHNTWHGL-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC(C)C)cc2)cc1F ZINC000237192513 1043225948 /nfs/dbraw/zinc/22/59/48/1043225948.db2.gz XGTHCDSWEITPHQ-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN CC(C)C[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccccn1 ZINC000186607957 1043229849 /nfs/dbraw/zinc/22/98/49/1043229849.db2.gz OQHITAIOQMKUSS-IBGZPJMESA-N 0 3 312.457 4.186 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H](c2ccccc2)c2ccccn2)n1 ZINC000186579305 1043230177 /nfs/dbraw/zinc/23/01/77/1043230177.db2.gz OKOAAGHCXHXZMC-KDOFPFPSSA-N 0 3 323.465 4.329 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccc(Cl)cn1 ZINC000866609362 1043232578 /nfs/dbraw/zinc/23/25/78/1043232578.db2.gz MDQNQWQMJRKFMY-IRUJWGPZSA-N 0 3 304.743 4.283 20 0 DIADHN C[C@@H](N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1ccccn1 ZINC000866607619 1043233074 /nfs/dbraw/zinc/23/30/74/1043233074.db2.gz TXTOFJYTUXKRLH-XWIAVFTESA-N 0 3 316.832 4.306 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)c1ccnn1C ZINC000866613419 1043235541 /nfs/dbraw/zinc/23/55/41/1043235541.db2.gz SKRZQBDDXWUZEN-JKSUJKDBSA-N 0 3 305.425 4.499 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H](C)c1cc2cnccc2o1 ZINC000866622007 1043237586 /nfs/dbraw/zinc/23/75/86/1043237586.db2.gz LAVHAFZCKXVIRO-YVEFUNNKSA-N 0 3 308.381 4.175 20 0 DIADHN C[C@H](NCCC1CCCC1)c1ncc(Br)cc1F ZINC000427608339 1043238857 /nfs/dbraw/zinc/23/88/57/1043238857.db2.gz XTANLWVHNTWHGL-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC000441647162 1043244037 /nfs/dbraw/zinc/24/40/37/1043244037.db2.gz NIGCWMOVFMCXLD-KRWDZBQOSA-N 0 3 316.489 4.451 20 0 DIADHN CCC[C@H](N[C@@H](CCO)c1ccc(OC)cc1)c1ccsc1 ZINC000187763861 1043249436 /nfs/dbraw/zinc/24/94/36/1043249436.db2.gz ZAYYFUAOGKVSEP-ROUUACIJSA-N 0 3 319.470 4.311 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCC2)nc1)c1cccc(F)c1 ZINC000187751067 1043249507 /nfs/dbraw/zinc/24/95/07/1043249507.db2.gz XTHVHOAAIDACML-SFHVURJKSA-N 0 3 313.420 4.062 20 0 DIADHN CC1(C)[C@@H](N)c2ccccc2N1C(=O)c1cccc(C(F)F)c1 ZINC000867988478 1043269126 /nfs/dbraw/zinc/26/91/26/1043269126.db2.gz MLZJPGWTGJGNCU-HNNXBMFYSA-N 0 3 316.351 4.063 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1ccc(NC(=O)C2CC2)cc1 ZINC000192632467 1043273364 /nfs/dbraw/zinc/27/33/64/1043273364.db2.gz RYHAHPFANNYJPB-FZKQIMNGSA-N 0 3 324.424 4.356 20 0 DIADHN C[C@H](N[C@H](c1ccc(F)cc1)C1CCCC1)c1ccnn1C ZINC000192771919 1043274329 /nfs/dbraw/zinc/27/43/29/1043274329.db2.gz XBWJGWOHFNEUAN-UGSOOPFHSA-N 0 3 301.409 4.141 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1ccnn1C)c1ccc(OC)cc1 ZINC000192817778 1043275451 /nfs/dbraw/zinc/27/54/51/1043275451.db2.gz PDPGOCLWDMTWEY-YOEHRIQHSA-N 0 3 301.434 4.011 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1cccc(-n2cccn2)c1 ZINC000192920996 1043276537 /nfs/dbraw/zinc/27/65/37/1043276537.db2.gz GAYQHBQOKBAFSH-RDTXWAMCSA-N 0 3 307.397 4.194 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2N[C@@H]2CCCc3occc32)cc1F ZINC000193070761 1043279326 /nfs/dbraw/zinc/27/93/26/1043279326.db2.gz DVASFFITVIVKCZ-NUJGCVRESA-N 0 3 319.351 4.055 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NC[C@@H]2CCC=CO2)cc1 ZINC000194529311 1043293659 /nfs/dbraw/zinc/29/36/59/1043293659.db2.gz JCQBUFUAMYBARH-KBPBESRZSA-N 0 3 303.299 4.102 20 0 DIADHN COCc1ccc(CN2CCC3(CC2)c2ccccc2N=C3C)o1 ZINC000895131502 1043294478 /nfs/dbraw/zinc/29/44/78/1043294478.db2.gz RXHUYRGTDUSBMS-UHFFFAOYSA-N 0 3 324.424 4.066 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccccc2SC)c1 ZINC000194852663 1043295676 /nfs/dbraw/zinc/29/56/76/1043295676.db2.gz YBVYBEVNEDRVBZ-AWEZNQCLSA-N 0 3 301.455 4.406 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)c1ccc(F)cc1N(C)C ZINC000195147882 1043296740 /nfs/dbraw/zinc/29/67/40/1043296740.db2.gz OKCVDMARCAFNLF-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc3ncccc3c2)cnn1C(C)C ZINC000195146854 1043297240 /nfs/dbraw/zinc/29/72/40/1043297240.db2.gz PYEFQJUYZQDDDM-CQSZACIVSA-N 0 3 308.429 4.171 20 0 DIADHN CC[C@@H](NCc1ccc(C(C)C)nc1C)c1c(C)nn(C)c1C ZINC000339085141 1043298370 /nfs/dbraw/zinc/29/83/70/1043298370.db2.gz PMPXTAGOZXNKMP-QGZVFWFLSA-N 0 3 314.477 4.105 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ncc(Br)cc1F ZINC000427699502 1043298602 /nfs/dbraw/zinc/29/86/02/1043298602.db2.gz XPMPMVMEOCVOGS-CWSCBRNRSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H]1CCC(C)(C)C[C@@H]1NCc1ncccc1Br ZINC000717480715 1043299538 /nfs/dbraw/zinc/29/95/38/1043299538.db2.gz CQXCQELPZKZEKK-YPMHNXCESA-N 0 3 311.267 4.149 20 0 DIADHN CC[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000339086103 1043300656 /nfs/dbraw/zinc/30/06/56/1043300656.db2.gz XBISOIWFUKPCSH-VLEAKVRGSA-N 0 3 322.396 4.159 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000339088957 1043304588 /nfs/dbraw/zinc/30/45/88/1043304588.db2.gz KHYVKKMKVKWLNM-QRWLVFNGSA-N 0 3 309.457 4.492 20 0 DIADHN COc1ccc2[nH]cc(C3CCN(C/C=C/Cl)CC3)c2c1 ZINC000067459600 1043320943 /nfs/dbraw/zinc/32/09/43/1043320943.db2.gz IWHVCVIMHLBGHR-FARCUNLSSA-N 0 3 304.821 4.108 20 0 DIADHN CC(C)OC1CC(N[C@H](CC(F)(F)F)c2ccccc2)C1 ZINC000428103918 1043323579 /nfs/dbraw/zinc/32/35/79/1043323579.db2.gz PMESSABGJWLMTN-YMAMQOFZSA-N 0 3 301.352 4.226 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(N3CCCCC3)nc1)CC2 ZINC000268754454 1043326493 /nfs/dbraw/zinc/32/64/93/1043326493.db2.gz DLGALJGHOZTZJI-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@@H](C)c2cccnc2Cl)c1 ZINC000122783203 1043327283 /nfs/dbraw/zinc/32/72/83/1043327283.db2.gz QEDKDDLDPNIFDB-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cnc([C@@H](C)N2CC[C@](C)(CCc3ccccc3)C2)cn1 ZINC000428205522 1043328985 /nfs/dbraw/zinc/32/89/85/1043328985.db2.gz LJCFZVAHRMVVAP-XLIONFOSSA-N 0 3 309.457 4.191 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1sccc1C)CCCO2 ZINC000268794664 1043330772 /nfs/dbraw/zinc/33/07/72/1043330772.db2.gz HSBMAJVZQVXBCW-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)COC2 ZINC000268810486 1043334119 /nfs/dbraw/zinc/33/41/19/1043334119.db2.gz GXFOEVHMLYORDD-NSHDSACASA-N 0 3 305.780 4.360 20 0 DIADHN Cc1cccnc1[C@@H](N[C@@H](C)c1ccc2c(c1)COC2)C(C)C ZINC000268845339 1043335045 /nfs/dbraw/zinc/33/50/45/1043335045.db2.gz ATKPZRUFSFYPRR-KXBFYZLASA-N 0 3 310.441 4.468 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2csc(C)n2)cc1 ZINC000268864949 1043337923 /nfs/dbraw/zinc/33/79/23/1043337923.db2.gz FIOVZTKPCWBMBP-STQMWFEESA-N 0 3 304.459 4.132 20 0 DIADHN CC[C@H](N[C@H]1CCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000665268317 1043339336 /nfs/dbraw/zinc/33/93/36/1043339336.db2.gz MERMFEOEEJPAAK-ROUUACIJSA-N 0 3 302.368 4.275 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccccc2OCCOC)s1 ZINC000268883892 1043339755 /nfs/dbraw/zinc/33/97/55/1043339755.db2.gz YNEZBFPNRCRSBI-AWEZNQCLSA-N 0 3 319.470 4.187 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000665268305 1043339902 /nfs/dbraw/zinc/33/99/02/1043339902.db2.gz LXZLBTZVFJYSOU-XXFAHNHDSA-N 0 3 306.331 4.024 20 0 DIADHN Cc1cccc([C@H](C)NCc2cccc(C(=O)NC3CC3)c2)c1C ZINC000268879345 1043341427 /nfs/dbraw/zinc/34/14/27/1043341427.db2.gz ZNDWPBAGVKSABJ-INIZCTEOSA-N 0 3 322.452 4.046 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc3c(c2)COC3)cc1F ZINC000268899729 1043342129 /nfs/dbraw/zinc/34/21/29/1043342129.db2.gz QWLFWMIYWQMRKE-ZDUSSCGKSA-N 0 3 315.388 4.105 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1csc([C@@H](C)OC)n1 ZINC000268901727 1043342179 /nfs/dbraw/zinc/34/21/79/1043342179.db2.gz BKAKDTWVTUSEKR-GDBMZVCRSA-N 0 3 318.486 4.352 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1ccccc1C(F)(F)F ZINC000268940485 1043345437 /nfs/dbraw/zinc/34/54/37/1043345437.db2.gz AAPODENHCVZFPU-CXAGYDPISA-N 0 3 323.358 4.480 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H]2CCSc3ccc(Cl)cc32)o1 ZINC000268947697 1043345651 /nfs/dbraw/zinc/34/56/51/1043345651.db2.gz BQOJAZAROTUXRW-UONOGXRCSA-N 0 3 323.845 4.102 20 0 DIADHN COc1ccccc1[C@@H](C)NCCc1nc2c(cccc2C)o1 ZINC000268976099 1043345935 /nfs/dbraw/zinc/34/59/35/1043345935.db2.gz NMCGSCQNIFWVSP-CQSZACIVSA-N 0 3 310.397 4.038 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(N)ccc21)c1nccc2ccccc21 ZINC000665270970 1043346405 /nfs/dbraw/zinc/34/64/05/1043346405.db2.gz DQBOYORLZSFJAM-YJYMSZOUSA-N 0 3 303.409 4.155 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(N)ccc21)c1ccc2ccccc2n1 ZINC000665270402 1043348789 /nfs/dbraw/zinc/34/87/89/1043348789.db2.gz XIVPKLHOIDYBKU-RBZFPXEDSA-N 0 3 303.409 4.155 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CCC2(C1)CCOCC2 ZINC000268994666 1043349299 /nfs/dbraw/zinc/34/92/99/1043349299.db2.gz HYYJGSQNQKJUDJ-ZDUSSCGKSA-N 0 3 324.262 4.013 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H](CCO)c2ccccc2)cc1 ZINC000269006242 1043350101 /nfs/dbraw/zinc/35/01/01/1043350101.db2.gz QONYEYOGDKYAPY-OXQOHEQNSA-N 0 3 313.441 4.248 20 0 DIADHN C[C@@H](NCCc1cscn1)c1nc(-c2ccccc2)cs1 ZINC000269008835 1043351145 /nfs/dbraw/zinc/35/11/45/1043351145.db2.gz UAIOWYYOFPJTTC-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](C)c1ccc(OC)cc1)CCC2 ZINC000269063253 1043354452 /nfs/dbraw/zinc/35/44/52/1043354452.db2.gz YHDYBQVGUKNTSY-IUODEOHRSA-N 0 3 316.470 4.442 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2sc(C(C)(C)C)nc2C)o1 ZINC000269057730 1043354644 /nfs/dbraw/zinc/35/46/44/1043354644.db2.gz SNGKJOWYKIAVLP-DGCLKSJQSA-N 0 3 322.474 4.035 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@H]2c2ccccc2)s1 ZINC000269097768 1043357545 /nfs/dbraw/zinc/35/75/45/1043357545.db2.gz SGGAZMBSXQXJDX-GJZGRUSLSA-N 0 3 301.459 4.087 20 0 DIADHN CC(C)O[C@@H](CNCc1cnc(Cl)s1)c1ccccc1 ZINC000895153997 1043363259 /nfs/dbraw/zinc/36/32/59/1043363259.db2.gz UZRSOKPZKCYNDC-AWEZNQCLSA-N 0 3 310.850 4.052 20 0 DIADHN CCCN(CC(=O)N1CCC(C)CC1)[C@@H](CC)c1ccccc1 ZINC000521193495 1043369891 /nfs/dbraw/zinc/36/98/91/1043369891.db2.gz GBDAEUWYFOYBFE-IBGZPJMESA-N 0 3 316.489 4.108 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000270143716 1043379980 /nfs/dbraw/zinc/37/99/80/1043379980.db2.gz RHTOMLZIRAFGOZ-AOMKIAJQSA-N 0 3 315.482 4.200 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc([S@@](C)=O)cc2)cc1C ZINC000270143718 1043380095 /nfs/dbraw/zinc/38/00/95/1043380095.db2.gz RHTOMLZIRAFGOZ-OPAMFIHVSA-N 0 3 315.482 4.200 20 0 DIADHN Cc1cc([C@@H](NCc2cnn(C(C)(C)C)c2)C2CC2)ccc1F ZINC000270292964 1043382071 /nfs/dbraw/zinc/38/20/71/1043382071.db2.gz PJFXSEYZWIGGFF-SFHVURJKSA-N 0 3 315.436 4.327 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CC[C@@H](C3CCC3)C2)o1 ZINC000665284885 1043385522 /nfs/dbraw/zinc/38/55/22/1043385522.db2.gz XQSXWBCFLSRBHK-CYBMUJFWSA-N 0 3 317.820 4.012 20 0 DIADHN C[C@@H](NCc1ccc(C(F)(F)F)cc1)c1cccc(CO)c1 ZINC000270428886 1043387289 /nfs/dbraw/zinc/38/72/89/1043387289.db2.gz HJNHTXYUZALCBU-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000521349164 1043389530 /nfs/dbraw/zinc/38/95/30/1043389530.db2.gz FRNOXZVPIAYVJM-CXAGYDPISA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000270669480 1043392373 /nfs/dbraw/zinc/39/23/73/1043392373.db2.gz WABNZXUCPAGZHB-KMUNFCNLSA-N 0 3 323.408 4.115 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3cc(OC)ccc3o2)cc1 ZINC000270658391 1043392832 /nfs/dbraw/zinc/39/28/32/1043392832.db2.gz SLWJITYGAIRMFO-ZDUSSCGKSA-N 0 3 311.381 4.301 20 0 DIADHN CCCN(Cc1ncc(C2CC2)o1)[C@H]1CCc2ccccc2C1 ZINC000535560776 1043398228 /nfs/dbraw/zinc/39/82/28/1043398228.db2.gz GLZUPNLQBAKUBD-SFHVURJKSA-N 0 3 310.441 4.322 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccc(Oc2cnccn2)cc1 ZINC000271027481 1043400490 /nfs/dbraw/zinc/40/04/90/1043400490.db2.gz AQUBGRVNBAYJSS-UHFFFAOYSA-N 0 3 323.396 4.273 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2CN[C@H](C)c2cscn2)cc1 ZINC000271113215 1043401373 /nfs/dbraw/zinc/40/13/73/1043401373.db2.gz HREQXTXUKTWJFM-HFTRVMKXSA-N 0 3 316.470 4.270 20 0 DIADHN COCCC1(CN[C@@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000925279622 1043406193 /nfs/dbraw/zinc/40/61/93/1043406193.db2.gz XXGFBBOCZPSFOD-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN CCC1(O)CCC(N[C@@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000925294063 1043407808 /nfs/dbraw/zinc/40/78/08/1043407808.db2.gz AWLFJZUTFVFCPO-CKHPTIKVSA-N 0 3 324.468 4.153 20 0 DIADHN CCC1(O)CCC(N[C@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000925295043 1043408347 /nfs/dbraw/zinc/40/83/47/1043408347.db2.gz ILDBIPBKQQUIIZ-VEDCXYSMSA-N 0 3 324.468 4.153 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@]3(CC[C@H](C)C3)C2)c1 ZINC000557908874 1043412009 /nfs/dbraw/zinc/41/20/09/1043412009.db2.gz FGTBFZUFFAMDJO-KNBMTAEXSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCCc1ccc(Cl)cc1 ZINC000925358793 1043412990 /nfs/dbraw/zinc/41/29/90/1043412990.db2.gz HRJGGOYNXYWOMP-OAHLLOKOSA-N 0 3 304.821 4.236 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(C(C)(C)O)cc1 ZINC000925373189 1043414766 /nfs/dbraw/zinc/41/47/66/1043414766.db2.gz CXXXMZYKDVXOJB-ORAYPTAESA-N 0 3 313.416 4.391 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1ccc(C(F)(F)F)nc1 ZINC000871937245 1043416739 /nfs/dbraw/zinc/41/67/39/1043416739.db2.gz SZHQLNWAJXKQHO-NHYWBVRUSA-N 0 3 306.331 4.439 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccc(Cl)cc1Cl ZINC000271430955 1043416836 /nfs/dbraw/zinc/41/68/36/1043416836.db2.gz BBZBJDSPYTUQOC-LKFCYVNXSA-N 0 3 310.228 4.445 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C)s1 ZINC000271430215 1043417324 /nfs/dbraw/zinc/41/73/24/1043417324.db2.gz NWZLLGJHFUMPOD-HZPDHXFCSA-N 0 3 301.459 4.005 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nnc(-c2ccccc2Cl)o1 ZINC000271439956 1043419008 /nfs/dbraw/zinc/41/90/08/1043419008.db2.gz BRLUNKRZLHSZEW-NEPJUHHUSA-N 0 3 305.809 4.153 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1c(C)oc2ccccc21 ZINC000925495823 1043422913 /nfs/dbraw/zinc/42/29/13/1043422913.db2.gz OGFNTKTWVRCKNZ-IUODEOHRSA-N 0 3 315.438 4.495 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](C)c1cccc(OC(F)F)c1 ZINC000271478529 1043423466 /nfs/dbraw/zinc/42/34/66/1043423466.db2.gz DCBODROKKCLPOX-STQMWFEESA-N 0 3 306.356 4.403 20 0 DIADHN C[C@@H](NCCc1nc2cc(Cl)ccc2s1)c1ccns1 ZINC000925517839 1043423730 /nfs/dbraw/zinc/42/37/30/1043423730.db2.gz HFGLONXOBPNLHS-SECBINFHSA-N 0 3 323.874 4.300 20 0 DIADHN CC(C)C[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccccc1 ZINC000442802645 1043426465 /nfs/dbraw/zinc/42/64/65/1043426465.db2.gz SRQPUMFXEZYUFX-HXUWFJFHSA-N 0 3 322.452 4.300 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2cc(-c3ccccc3)on2)CCO1 ZINC000872030864 1043426726 /nfs/dbraw/zinc/42/67/26/1043426726.db2.gz JXGOJMVGMCLHCA-MAUKXSAKSA-N 0 3 314.429 4.025 20 0 DIADHN CCCC[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccccc1 ZINC000442822926 1043426933 /nfs/dbraw/zinc/42/69/33/1043426933.db2.gz YJRHVKQQYSJASJ-HXUWFJFHSA-N 0 3 322.452 4.444 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000271505183 1043427499 /nfs/dbraw/zinc/42/74/99/1043427499.db2.gz MPGJELIGSYLNJL-LBTNJELSSA-N 0 3 318.848 4.032 20 0 DIADHN COc1ccccc1SC[C@@H](C)CN[C@@H](C)c1cscn1 ZINC000271514045 1043429091 /nfs/dbraw/zinc/42/90/91/1043429091.db2.gz TWJDBCXMTAYDNS-STQMWFEESA-N 0 3 322.499 4.231 20 0 DIADHN COc1ccccc1SC[C@@H](C)CN[C@H](C)c1cscn1 ZINC000271514044 1043429838 /nfs/dbraw/zinc/42/98/38/1043429838.db2.gz TWJDBCXMTAYDNS-QWHCGFSZSA-N 0 3 322.499 4.231 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cccc(Br)n1 ZINC000925563332 1043430625 /nfs/dbraw/zinc/43/06/25/1043430625.db2.gz MPJGIUZUXYWJQK-VHSXEESVSA-N 0 3 319.193 4.073 20 0 DIADHN C[C@H](N[C@@H](c1cccc(Cl)c1)C1CCCC1)c1cnnn1C ZINC000925579363 1043434171 /nfs/dbraw/zinc/43/41/71/1043434171.db2.gz DNRNGRJDHLXKAS-YVEFUNNKSA-N 0 3 318.852 4.051 20 0 DIADHN CCC[C@@H](NCC1(CCOCC)CC1)c1ncccc1Cl ZINC000925598844 1043435839 /nfs/dbraw/zinc/43/58/39/1043435839.db2.gz MTMSMPOOWVSFSF-OAHLLOKOSA-N 0 3 310.869 4.373 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@H](C)c2cn[nH]c2)cc1OCC ZINC000271554571 1043436434 /nfs/dbraw/zinc/43/64/34/1043436434.db2.gz SMIREGWTPKCPQH-CJNGLKHVSA-N 0 3 317.433 4.009 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3cncc(F)c3)CC2)cc1 ZINC000558095629 1043437523 /nfs/dbraw/zinc/43/75/23/1043437523.db2.gz VDMHIWYDGHJVPW-OAHLLOKOSA-N 0 3 302.368 4.130 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1cc2ccccc2[nH]1 ZINC000925633284 1043439949 /nfs/dbraw/zinc/43/99/49/1043439949.db2.gz DAUJCMLNZTZCEC-VBKZILBWSA-N 0 3 305.425 4.005 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000271595798 1043440506 /nfs/dbraw/zinc/44/05/06/1043440506.db2.gz SYWAPQVHOKRCIT-STQMWFEESA-N 0 3 306.356 4.403 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cccc(OC(F)F)c2)C1 ZINC000558116892 1043441086 /nfs/dbraw/zinc/44/10/86/1043441086.db2.gz OWAFLBMCWCDXPS-SUMWQHHRSA-N 0 3 318.367 4.266 20 0 DIADHN CC(C)[C@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccccc1 ZINC000271628472 1043443899 /nfs/dbraw/zinc/44/38/99/1043443899.db2.gz CLDKNPUKOGAKKM-FQEVSTJZSA-N 0 3 322.452 4.066 20 0 DIADHN CO[C@H]1CCN(CCCc2c(Cl)cccc2Cl)[C@H](C)C1 ZINC000443066052 1043475215 /nfs/dbraw/zinc/47/52/15/1043475215.db2.gz IVRGGEVYSLETGT-OLZOCXBDSA-N 0 3 316.272 4.425 20 0 DIADHN C[C@H](c1ccco1)N(C)CCOc1ccc(Br)cc1 ZINC000521814331 1043476918 /nfs/dbraw/zinc/47/69/18/1043476918.db2.gz VTQKFMVEZOKNNW-GFCCVEGCSA-N 0 3 324.218 4.114 20 0 DIADHN Cc1cccc([C@@H](NC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)c1 ZINC000925661341 1043447735 /nfs/dbraw/zinc/44/77/35/1043447735.db2.gz BYDXNHVKYBOJEC-AQDPXUSMSA-N 0 3 324.493 4.496 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2cc3ccccc3o2)c1 ZINC000442883315 1043448204 /nfs/dbraw/zinc/44/82/04/1043448204.db2.gz UQQIKHUCLDTABN-CQSZACIVSA-N 0 3 311.381 4.171 20 0 DIADHN Cc1cccc([C@H](NC2CC3(C2)CO[C@@H](C)C3)c2ccccn2)c1 ZINC000925663491 1043451136 /nfs/dbraw/zinc/45/11/36/1043451136.db2.gz UFZSAKQRVXSFFM-LEDRIZOLSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2cccc(C)c2)s1 ZINC000521656584 1043451551 /nfs/dbraw/zinc/45/15/51/1043451551.db2.gz RDNUCZWYGCOOOK-AWEZNQCLSA-N 0 3 304.459 4.140 20 0 DIADHN C[C@H](N[C@H](c1cccc(C(F)(F)F)c1)C1CC1)c1ccnnc1 ZINC000925680291 1043452522 /nfs/dbraw/zinc/45/25/22/1043452522.db2.gz PYXPGDADQFOJHP-ZBEGNZNMSA-N 0 3 321.346 4.297 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H]1CCCc2c(O)cccc21 ZINC000339127916 1043455287 /nfs/dbraw/zinc/45/52/87/1043455287.db2.gz MFRXXZVRDOSNIE-LJQANCHMSA-N 0 3 310.441 4.386 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1cccnc1)c1ccc(Cl)cc1 ZINC000283070531 1043458337 /nfs/dbraw/zinc/45/83/37/1043458337.db2.gz KBLTWDNHQZIWRS-GUYCJALGSA-N 0 3 304.821 4.116 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1cccnc1)c1ccc(Cl)cc1 ZINC000283070534 1043459507 /nfs/dbraw/zinc/45/95/07/1043459507.db2.gz KBLTWDNHQZIWRS-SUMWQHHRSA-N 0 3 304.821 4.116 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777574141 1043460817 /nfs/dbraw/zinc/46/08/17/1043460817.db2.gz HFTYOUUUOISFQH-OAHLLOKOSA-N 0 3 320.440 4.446 20 0 DIADHN CC(C)c1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC000777574141 1043460819 /nfs/dbraw/zinc/46/08/19/1043460819.db2.gz HFTYOUUUOISFQH-OAHLLOKOSA-N 0 3 320.440 4.446 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1ccc(C)nc1 ZINC000348704758 1043461134 /nfs/dbraw/zinc/46/11/34/1043461134.db2.gz FEPIAELNJXVAAG-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN Clc1cnc(CN[C@H]2CCC[C@H](c3cccnc3)C2)s1 ZINC000872112037 1043462645 /nfs/dbraw/zinc/46/26/45/1043462645.db2.gz HEPOPSAEKXGRTD-AAEUAGOBSA-N 0 3 307.850 4.008 20 0 DIADHN C[C@@H](NCCC[C@@H]1CCOC1=O)c1cc(Cl)sc1Cl ZINC000872112260 1043463171 /nfs/dbraw/zinc/46/31/71/1043463171.db2.gz HYAPAJCAPPYHRN-RKDXNWHRSA-N 0 3 322.257 4.049 20 0 DIADHN C[C@@H](NCc1cccc(OC(C)(C)C)c1)c1cccc(CO)c1 ZINC000872114545 1043465288 /nfs/dbraw/zinc/46/52/88/1043465288.db2.gz ZJEPBKMTRSOTGJ-OAHLLOKOSA-N 0 3 313.441 4.207 20 0 DIADHN COc1c(C)cc(CN2CCC[C@@H](C(F)(F)F)C2)cc1C ZINC000506789013 1043465909 /nfs/dbraw/zinc/46/59/09/1043465909.db2.gz DUAIBSZGESSROI-CQSZACIVSA-N 0 3 301.352 4.086 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCOc3c(F)cccc32)c(F)c1 ZINC000443002735 1043466630 /nfs/dbraw/zinc/46/66/30/1043466630.db2.gz SDYPYIVCEFUXSZ-KYVUIXNKSA-N 0 3 319.326 4.231 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc3oc(=O)[nH]c3c2)cc1C ZINC000872122480 1043466585 /nfs/dbraw/zinc/46/65/85/1043466585.db2.gz FZQMJZCZTTUTSE-CQSZACIVSA-N 0 3 310.397 4.309 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2cc(N)ccn2)cc1 ZINC000925770701 1043467361 /nfs/dbraw/zinc/46/73/61/1043467361.db2.gz IBHAERQNXIQASS-HNNXBMFYSA-N 0 3 313.445 4.084 20 0 DIADHN CC(C)(C)[C@H](NCc1cc(N)ccn1)c1cc2ccccc2o1 ZINC000925771962 1043468566 /nfs/dbraw/zinc/46/85/66/1043468566.db2.gz PMBJTOLNXAKLFK-GOSISDBHSA-N 0 3 309.413 4.287 20 0 DIADHN CC[C@@H](NCc1ccc2oc(=O)[nH]c2c1)c1ccc(Cl)s1 ZINC000872123404 1043468605 /nfs/dbraw/zinc/46/86/05/1043468605.db2.gz PAFBCJRONFLTGL-SNVBAGLBSA-N 0 3 322.817 4.489 20 0 DIADHN CC(C)[C@@H](NCc1cc(N)ccn1)c1cccc(C(F)(F)F)c1 ZINC000925772648 1043469916 /nfs/dbraw/zinc/46/99/16/1043469916.db2.gz VGSTXERQQCPWGL-MRXNPFEDSA-N 0 3 323.362 4.170 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@@H]2CC[C@](C)(c3ccccc3)C2)n1 ZINC000348815555 1043469990 /nfs/dbraw/zinc/46/99/90/1043469990.db2.gz KBJMQLKCTIGWSV-AOIWGVFYSA-N 0 3 311.429 4.025 20 0 DIADHN c1cc(C2=CCCN(Cc3ccc(OCC4CC4)cc3)C2)ccn1 ZINC000348835683 1043473545 /nfs/dbraw/zinc/47/35/45/1043473545.db2.gz ASOLXUAJYZLIPU-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN Cc1nccnc1[C@@H](C)NC1CC(c2ccc(Cl)cc2)C1 ZINC000872133169 1043475713 /nfs/dbraw/zinc/47/57/13/1043475713.db2.gz LBJKCHKWAPOBBK-XEBKBJJBSA-N 0 3 301.821 4.035 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccc(C(C)C)cc1)c1ccn(C)n1 ZINC000558259344 1043475958 /nfs/dbraw/zinc/47/59/58/1043475958.db2.gz UHJBWGKFULJOSU-MAUKXSAKSA-N 0 3 315.461 4.052 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(-c3c(F)cccc3F)o2)[C@H](C)C1 ZINC000443071114 1043476497 /nfs/dbraw/zinc/47/64/97/1043476497.db2.gz SFBOUSSSSXPLTP-OLZOCXBDSA-N 0 3 321.367 4.224 20 0 DIADHN c1cncc([C@H]2CCCN2Cc2cccc(-c3ccncc3)c2)c1 ZINC000348893206 1043478345 /nfs/dbraw/zinc/47/83/45/1043478345.db2.gz KGKWYLONPLTKMU-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCCN(C3CCCCC3)C2=O)s1 ZINC000443083862 1043479190 /nfs/dbraw/zinc/47/91/90/1043479190.db2.gz DSQMWQHJMOBWGJ-HOCLYGCPSA-N 0 3 320.502 4.031 20 0 DIADHN c1ccc(-c2noc([C@@H]3CCCCN3CC3CCCC3)n2)cc1 ZINC000521801041 1043480522 /nfs/dbraw/zinc/48/05/22/1043480522.db2.gz WEGJTNCVQYOFQM-KRWDZBQOSA-N 0 3 311.429 4.454 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)cc1F ZINC000443086288 1043480695 /nfs/dbraw/zinc/48/06/95/1043480695.db2.gz GCJGYKDWRDBGGE-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN c1cncc([C@@H]2CCCN2Cc2ccc(OCC3CC3)cc2)c1 ZINC000348903045 1043481174 /nfs/dbraw/zinc/48/11/74/1043481174.db2.gz FOAFZHIGHIGIDT-FQEVSTJZSA-N 0 3 308.425 4.208 20 0 DIADHN C[C@H](NC1CC(C(F)(F)F)C1)c1ccccc1Br ZINC000558294734 1043482907 /nfs/dbraw/zinc/48/29/07/1043482907.db2.gz PZXKYZLHBOEUEA-IDKOKCKLSA-N 0 3 322.168 4.441 20 0 DIADHN FCc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCO3)cc1 ZINC000777579179 1043486451 /nfs/dbraw/zinc/48/64/51/1043486451.db2.gz SKRSDADWGJUDHL-KRWDZBQOSA-N 0 3 313.372 4.222 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1nc(-c2cccc3ccccc32)no1 ZINC000443102486 1043487515 /nfs/dbraw/zinc/48/75/15/1043487515.db2.gz OSEZIMSCDOIRDH-OKILXGFUSA-N 0 3 307.397 4.263 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cnc(C2CCC2)s1 ZINC000349064813 1043489336 /nfs/dbraw/zinc/48/93/36/1043489336.db2.gz WZRWQZVPJKHZRE-UHFFFAOYSA-N 0 3 315.486 4.260 20 0 DIADHN Cc1ccc([C@@H](NCc2nnc(-c3ccccc3)[nH]2)C2CC2)cc1 ZINC000777578990 1043489384 /nfs/dbraw/zinc/48/93/84/1043489384.db2.gz PHXXKJUGJSGCQP-LJQANCHMSA-N 0 3 318.424 4.021 20 0 DIADHN CCC[C@H](N[C@H](CO)c1ccc(CC)cc1)c1ccsc1 ZINC000443093452 1043490614 /nfs/dbraw/zinc/49/06/14/1043490614.db2.gz JCOYDNDNWDMPBB-ZWKOTPCHSA-N 0 3 303.471 4.475 20 0 DIADHN Fc1cccc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c[nH]c21 ZINC001137058958 1043495521 /nfs/dbraw/zinc/49/55/21/1043495521.db2.gz GZUKJFPDBOQGPJ-OKILXGFUSA-N 0 3 306.384 4.394 20 0 DIADHN CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2ncccc2[O-])o1 ZINC000558401031 1043500983 /nfs/dbraw/zinc/50/09/83/1043500983.db2.gz DGXNMFDKGDRJJZ-INIZCTEOSA-N 0 3 300.402 4.060 20 0 DIADHN CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2ncccc2[O-])o1 ZINC000558401031 1043500991 /nfs/dbraw/zinc/50/09/91/1043500991.db2.gz DGXNMFDKGDRJJZ-INIZCTEOSA-N 0 3 300.402 4.060 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137060143 1043502179 /nfs/dbraw/zinc/50/21/79/1043502179.db2.gz KGCRZLWKEOGALP-OAHLLOKOSA-N 0 3 322.383 4.012 20 0 DIADHN CC(C)[C@@H](c1ccccc1Cl)N(C)Cc1ncccc1O ZINC000558402086 1043501916 /nfs/dbraw/zinc/50/19/16/1043501916.db2.gz ZLVQHTGADVDBHK-KRWDZBQOSA-N 0 3 304.821 4.270 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccc(Cl)cn1 ZINC000558410261 1043502696 /nfs/dbraw/zinc/50/26/96/1043502696.db2.gz NYXPHUOZHNSECT-GDBMZVCRSA-N 0 3 300.833 4.188 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)cn1 ZINC000558410363 1043503153 /nfs/dbraw/zinc/50/31/53/1043503153.db2.gz UADCSSMSUXKHCZ-JSGCOSHPSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@](O)(CNCc1ccc(-c2ccccc2)o1)c1ccsc1 ZINC000124143436 1043504514 /nfs/dbraw/zinc/50/45/14/1043504514.db2.gz LWWBOAQFQIZUSX-SFHVURJKSA-N 0 3 313.422 4.005 20 0 DIADHN C/C=C/C[C@H](CO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000349357124 1043505431 /nfs/dbraw/zinc/50/54/31/1043505431.db2.gz SUTYCHOVTNJOSA-GZWSGWKISA-N 0 3 320.285 4.349 20 0 DIADHN Cc1ccc(F)c(CN2CCC(CCCn3ccnc3)CC2)c1 ZINC000349366625 1043505874 /nfs/dbraw/zinc/50/58/74/1043505874.db2.gz JYVRNLWXFBLZTG-UHFFFAOYSA-N 0 3 315.436 4.023 20 0 DIADHN CCC[C@]1(CO)CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000558426178 1043509362 /nfs/dbraw/zinc/50/93/62/1043509362.db2.gz IQBYORVJRTXHAC-SFHVURJKSA-N 0 3 322.518 4.175 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cc(Cl)ccc1OC(F)F)OC ZINC000558503858 1043523740 /nfs/dbraw/zinc/52/37/40/1043523740.db2.gz ZQYUDOASLCSJBR-GXSJLCMTSA-N 0 3 307.768 4.017 20 0 DIADHN CC(C)COc1ccc(CN2CCC[C@@H]2c2cccnc2)cc1 ZINC000521942459 1043513190 /nfs/dbraw/zinc/51/31/90/1043513190.db2.gz MYMOXDMKTMGWFP-HXUWFJFHSA-N 0 3 310.441 4.454 20 0 DIADHN CC(=O)Nc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)cc1 ZINC000349633990 1043515932 /nfs/dbraw/zinc/51/59/32/1043515932.db2.gz UPWBTGMWQHDMQO-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN COC1CCN(Cc2ccc(Sc3ccccc3)cc2)CC1 ZINC000349730325 1043518640 /nfs/dbraw/zinc/51/86/40/1043518640.db2.gz PPSSRHLGHOOYCD-UHFFFAOYSA-N 0 3 313.466 4.449 20 0 DIADHN C[C@]1(CCNCc2nc3cc(F)ccc3o2)CC1(Cl)Cl ZINC000873131313 1043519137 /nfs/dbraw/zinc/51/91/37/1043519137.db2.gz MWRDRHHOKGSEKF-ZDUSSCGKSA-N 0 3 317.191 4.031 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2n[nH]c3ccccc23)c1 ZINC000873164364 1043521431 /nfs/dbraw/zinc/52/14/31/1043521431.db2.gz HIOVHXQVXYZOCC-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2[nH]nc3ccccc32)c1 ZINC000873164364 1043521439 /nfs/dbraw/zinc/52/14/39/1043521439.db2.gz HIOVHXQVXYZOCC-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccccc2)o1 ZINC000349750125 1043522130 /nfs/dbraw/zinc/52/21/30/1043522130.db2.gz UUIYTLCWPDTUTE-KRWDZBQOSA-N 0 3 307.397 4.315 20 0 DIADHN CC1(NCc2ccc(OC(F)F)c(Cl)c2)CC(F)(F)C1 ZINC000873197863 1043525754 /nfs/dbraw/zinc/52/57/54/1043525754.db2.gz GSXPTLVQXQUBKK-UHFFFAOYSA-N 0 3 311.706 4.219 20 0 DIADHN CCOc1cc(CNC2(C)CC(F)(F)C2)cc(Cl)c1OC ZINC000873198159 1043526280 /nfs/dbraw/zinc/52/62/80/1043526280.db2.gz UOMKRUFYKGDTDD-UHFFFAOYSA-N 0 3 319.779 4.025 20 0 DIADHN Cc1cc([C@H](NCc2cc(N)cc(F)c2)C2CC2)ccc1F ZINC000873289766 1043533479 /nfs/dbraw/zinc/53/34/79/1043533479.db2.gz XSSFVHUBASRXFN-GOSISDBHSA-N 0 3 302.368 4.096 20 0 DIADHN CC[C@@H]1CCCN1CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000522083639 1043535812 /nfs/dbraw/zinc/53/58/12/1043535812.db2.gz HHNBVIAIYKMFOU-LJQANCHMSA-N 0 3 322.452 4.094 20 0 DIADHN C[C@@H](NC[C@H](C)c1nccs1)c1cc(-c2ccccc2)no1 ZINC000926159767 1043536522 /nfs/dbraw/zinc/53/65/22/1043536522.db2.gz FCVXISWIKBDYBC-QWHCGFSZSA-N 0 3 313.426 4.252 20 0 DIADHN CCC[C@H](NC[C@H](C)c1nccs1)c1ncccc1Cl ZINC000926160829 1043537136 /nfs/dbraw/zinc/53/71/36/1043537136.db2.gz KOYRVLSXUBOUKN-AAEUAGOBSA-N 0 3 309.866 4.426 20 0 DIADHN Cc1ccc([C@@H](NCCC[C@H]2CCCCO2)c2ccccn2)cc1 ZINC000926174650 1043537888 /nfs/dbraw/zinc/53/78/88/1043537888.db2.gz LRPNXICUWKGUNZ-TZIWHRDSSA-N 0 3 324.468 4.418 20 0 DIADHN CCC[C@H](N[C@@H]1CCO[C@@H](C2CC2)C1)c1ncccc1Cl ZINC000926183522 1043539554 /nfs/dbraw/zinc/53/95/54/1043539554.db2.gz OJOONMASBIKGLM-VNQPRFMTSA-N 0 3 308.853 4.123 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCO[C@@H](C3CC3)C2)c2ccccn2)cc1 ZINC000926184017 1043540439 /nfs/dbraw/zinc/54/04/39/1043540439.db2.gz RCJXXJMHNKTXTQ-TYPHKJRUSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1C(C)=CCC[C@@H]1C ZINC000443407980 1043542059 /nfs/dbraw/zinc/54/20/59/1043542059.db2.gz JIDLMWFRCQYWBV-MAUKXSAKSA-N 0 3 314.473 4.166 20 0 DIADHN C[C@H](c1ccccn1)N(C)Cc1cccc(-c2ccncc2)c1 ZINC000350349145 1043544118 /nfs/dbraw/zinc/54/41/18/1043544118.db2.gz BRKSCQYFPINSQS-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN Fc1c(F)c(F)c(CN[C@H]2CC3CCC2CC3)c(F)c1F ZINC001307794904 1043544739 /nfs/dbraw/zinc/54/47/39/1043544739.db2.gz ZAQIEPBBYXUUKG-KTOWXAHTSA-N 0 3 305.290 4.050 20 0 DIADHN Cc1oc2ccccc2c1CN1CCC(Oc2ccccn2)CC1 ZINC000350616056 1043546659 /nfs/dbraw/zinc/54/66/59/1043546659.db2.gz NCBDFTXAPLXMKC-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H](C)c2ccc(C(C)(C)C)cc2)cc1 ZINC000074333930 1043548319 /nfs/dbraw/zinc/54/83/19/1043548319.db2.gz ORBSKFNQOMGJIL-HNNXBMFYSA-N 0 3 324.468 4.195 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnn(CC(F)F)c2)cc1C ZINC000350629968 1043549951 /nfs/dbraw/zinc/54/99/51/1043549951.db2.gz VBRFIPRKDDTDCG-KRWDZBQOSA-N 0 3 319.399 4.102 20 0 DIADHN CCc1ccc([C@H](NC[C@H]2CCC=CO2)c2cccnc2)cc1 ZINC000926232088 1043549937 /nfs/dbraw/zinc/54/99/37/1043549937.db2.gz QSWFELRTVIOBQE-UXHICEINSA-N 0 3 308.425 4.016 20 0 DIADHN CCc1ccc([C@@H](NC[C@H]2CCC=CO2)c2cccnc2)cc1 ZINC000926232090 1043550062 /nfs/dbraw/zinc/55/00/62/1043550062.db2.gz QSWFELRTVIOBQE-WOJBJXKFSA-N 0 3 308.425 4.016 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccnn1C1CCC1 ZINC000926238646 1043552988 /nfs/dbraw/zinc/55/29/88/1043552988.db2.gz XSDPVHXMBBMRRP-AAEUAGOBSA-N 0 3 303.372 4.236 20 0 DIADHN C[C@H](NCc1ccnc(Cl)c1)c1ccc2c(c1)CCCC2 ZINC000179031141 1043555899 /nfs/dbraw/zinc/55/58/99/1043555899.db2.gz VOPHFTBIQPOEDD-ZDUSSCGKSA-N 0 3 300.833 4.465 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccnn1C1CCC1)c1ccccc1 ZINC000926301020 1043559488 /nfs/dbraw/zinc/55/94/88/1043559488.db2.gz YYWCJBJDXUOCNQ-DNVCBOLYSA-N 0 3 313.445 4.037 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cnn(C2CCC2)c1)c1ccccc1 ZINC000926297333 1043559786 /nfs/dbraw/zinc/55/97/86/1043559786.db2.gz KAGZIYWTRVDARX-BEFAXECRSA-N 0 3 313.445 4.037 20 0 DIADHN CCO[C@H](CN[C@H]1CCc2c1nccc2Cl)c1ccccc1 ZINC000926297776 1043560323 /nfs/dbraw/zinc/56/03/23/1043560323.db2.gz LNLJNNIXFIHUIT-DLBZAZTESA-N 0 3 316.832 4.090 20 0 DIADHN C[C@H](NC1C[C@H]2CC[C@@H](C1)S2)c1nc(C(F)(F)F)cs1 ZINC000926318435 1043562640 /nfs/dbraw/zinc/56/26/40/1043562640.db2.gz XZAXKLPDXSGVOP-BFARCFIYSA-N 0 3 322.421 4.239 20 0 DIADHN C[C@H](NC[C@@H]1CCSC1)c1ncc(C(F)(F)F)cc1Cl ZINC000926325156 1043563983 /nfs/dbraw/zinc/56/39/83/1043563983.db2.gz ZJFJNWSZKMKGOE-IUCAKERBSA-N 0 3 324.799 4.158 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000926339191 1043566033 /nfs/dbraw/zinc/56/60/33/1043566033.db2.gz DDJAMVCSUUYSFR-OIISXLGYSA-N 0 3 314.404 4.425 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cn(C)cn1 ZINC000926345443 1043568010 /nfs/dbraw/zinc/56/80/10/1043568010.db2.gz CDNSEKJUSKBJIT-WHOFXGATSA-N 0 3 323.362 4.036 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@H](C)c2cn3c(n2)CCCC3)C1 ZINC000926350122 1043568087 /nfs/dbraw/zinc/56/80/87/1043568087.db2.gz HSCZYGBMDLDASN-BRWVUGGUSA-N 0 3 309.457 4.125 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000926416835 1043573985 /nfs/dbraw/zinc/57/39/85/1043573985.db2.gz RXRFVFBKNBSSSM-AWEZNQCLSA-N 0 3 315.486 4.322 20 0 DIADHN Cc1cccc([C@H](NC[C@@H]2CCCSC2)c2ccccn2)c1 ZINC000926431627 1043576252 /nfs/dbraw/zinc/57/62/52/1043576252.db2.gz PPQRJLDYNKNBQP-LPHOPBHVSA-N 0 3 312.482 4.212 20 0 DIADHN C[C@@H](NCC1=CCCOC1)c1ccccc1SC(F)(F)F ZINC000926431075 1043576406 /nfs/dbraw/zinc/57/64/06/1043576406.db2.gz LKMVPXUMHWAVSK-LLVKDONJSA-N 0 3 317.376 4.296 20 0 DIADHN Clc1ccccc1C[C@@H](NCC1=CCCOC1)c1ccoc1 ZINC000926426720 1043576567 /nfs/dbraw/zinc/57/65/67/1043576567.db2.gz NLQWQRMXTFPONL-GOSISDBHSA-N 0 3 317.816 4.153 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@@H]1CCC[C@H]2C[C@H]21 ZINC000558699732 1043576657 /nfs/dbraw/zinc/57/66/57/1043576657.db2.gz KSNQSWOYLVBXLC-CGTJXYLNSA-N 0 3 312.482 4.207 20 0 DIADHN C[C@H](NC[C@H]1COc2ccccc21)c1cc(-c2ccccc2)no1 ZINC000926440752 1043577966 /nfs/dbraw/zinc/57/79/66/1043577966.db2.gz RTXWVOAYOVKKNC-HOCLYGCPSA-N 0 3 320.392 4.168 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(-c2ccccc2)no1)[C@@H](O)c1ccccc1 ZINC000926437081 1043578648 /nfs/dbraw/zinc/57/86/48/1043578648.db2.gz RUGQRHYIGOGULE-BXTJHSDWSA-N 0 3 322.408 4.114 20 0 DIADHN Cc1cccc([C@H](NCC2CC(F)(F)C2)c2ccccn2)c1 ZINC000926466006 1043579890 /nfs/dbraw/zinc/57/98/90/1043579890.db2.gz SSUHBQVVCWJAGR-KRWDZBQOSA-N 0 3 302.368 4.114 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc3c(c2)CC(C)(C)O3)cn1 ZINC000759186858 1043583873 /nfs/dbraw/zinc/58/38/73/1043583873.db2.gz TZXLJYVBUJPBMZ-UHFFFAOYSA-N 0 3 310.441 4.128 20 0 DIADHN C[C@H](NCc1ccc(C[S@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000353121313 1043585871 /nfs/dbraw/zinc/58/58/71/1043585871.db2.gz DFUQBFSVUOKASE-ZSEKCTLFSA-N 0 3 321.873 4.069 20 0 DIADHN CCC[C@H](NCCCC1CCOCC1)c1ncccc1Cl ZINC000926494525 1043586870 /nfs/dbraw/zinc/58/68/70/1043586870.db2.gz HTEDCPQDPDYEDK-INIZCTEOSA-N 0 3 310.869 4.373 20 0 DIADHN COc1cc(CNC(C)(C)c2ccc(C)nc2)ccc1SC ZINC000353130727 1043587184 /nfs/dbraw/zinc/58/71/84/1043587184.db2.gz PNQHFOPKUWAZIE-UHFFFAOYSA-N 0 3 316.470 4.145 20 0 DIADHN CC(C)SCCNCc1cc(Cl)ccc1OC(F)F ZINC000389020953 1043594260 /nfs/dbraw/zinc/59/42/60/1043594260.db2.gz AWTAUKDOAZIQKM-UHFFFAOYSA-N 0 3 309.809 4.173 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cnn(CC(F)F)c2)c2ccccc21 ZINC000353259134 1043595462 /nfs/dbraw/zinc/59/54/62/1043595462.db2.gz WCWNWKGXUBCELT-DLBZAZTESA-N 0 3 319.399 4.122 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(CC(F)(F)F)cc2)s1 ZINC000631080175 1043605229 /nfs/dbraw/zinc/60/52/29/1043605229.db2.gz XNPFZSROMSITIX-UHFFFAOYSA-N 0 3 314.376 4.155 20 0 DIADHN Cc1nc(C(C)(C)NCCCSCC(C)C)sc1C ZINC000443584052 1043607100 /nfs/dbraw/zinc/60/71/00/1043607100.db2.gz SFFLPBOBYYVCCW-UHFFFAOYSA-N 0 3 300.537 4.364 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccccc3)C(C)(C)C2)c(C)s1 ZINC000443595233 1043608114 /nfs/dbraw/zinc/60/81/14/1043608114.db2.gz VJFQZFOGBAKVAZ-INIZCTEOSA-N 0 3 300.471 4.386 20 0 DIADHN C[C@@H]1CC[C@H](c2ccccc2)N(Cc2cnn(CC(F)F)c2)C1 ZINC000353476200 1043608784 /nfs/dbraw/zinc/60/87/84/1043608784.db2.gz XPSHFXVMGMLHEG-RHSMWYFYSA-N 0 3 319.399 4.121 20 0 DIADHN CC[C@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C)c1 ZINC000353514454 1043611602 /nfs/dbraw/zinc/61/16/02/1043611602.db2.gz GYKGTYRAIPDMJU-PMACEKPBSA-N 0 3 324.468 4.255 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(Cc1cccnc1)C1CC1 ZINC000353518511 1043614204 /nfs/dbraw/zinc/61/42/04/1043614204.db2.gz LEHMMOGMHQWBDT-SFQUDFHCSA-N 0 3 322.452 4.296 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCc3nc(C)sc32)cc1C ZINC000353553017 1043615072 /nfs/dbraw/zinc/61/50/72/1043615072.db2.gz APQGOYWQNXTJNL-INIZCTEOSA-N 0 3 316.470 4.278 20 0 DIADHN CSCC1(CCN[C@@H]2COCc3cccc(Cl)c32)CC1 ZINC000926689516 1043616002 /nfs/dbraw/zinc/61/60/02/1043616002.db2.gz QQBMTMPBCRHISS-CQSZACIVSA-N 0 3 311.878 4.034 20 0 DIADHN C[C@@H](NCCCOC(C)(C)C)c1cc(-c2ccccc2)no1 ZINC000926701202 1043617532 /nfs/dbraw/zinc/61/75/32/1043617532.db2.gz VDLYYKFFTRNMIS-CQSZACIVSA-N 0 3 302.418 4.197 20 0 DIADHN CCc1ccc([C@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926702304 1043618153 /nfs/dbraw/zinc/61/81/53/1043618153.db2.gz AUTHCRNDLGWGES-ZWKOTPCHSA-N 0 3 316.395 4.368 20 0 DIADHN CCc1ccc([C@@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926702302 1043618590 /nfs/dbraw/zinc/61/85/90/1043618590.db2.gz AUTHCRNDLGWGES-QZTJIDSGSA-N 0 3 316.395 4.368 20 0 DIADHN CN(C)[C@H](CNC(c1ccccc1)c1ccccc1)c1ccco1 ZINC000323961003 1043621095 /nfs/dbraw/zinc/62/10/95/1043621095.db2.gz SDUXCXKVANZNRK-LJQANCHMSA-N 0 3 320.436 4.262 20 0 DIADHN CCOC[C@H]1CCN([C@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000443617356 1043622108 /nfs/dbraw/zinc/62/21/08/1043622108.db2.gz WECOVUMRCDLTMY-ZBEGNZNMSA-N 0 3 314.256 4.339 20 0 DIADHN CC(C)[C@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000443621355 1043622388 /nfs/dbraw/zinc/62/23/88/1043622388.db2.gz VHHXNDWZRLRPQA-LBPRGKRZSA-N 0 3 306.356 4.098 20 0 DIADHN C[C@@H](NCCC1SCCS1)c1cc(-c2ccccc2)no1 ZINC000926727764 1043623018 /nfs/dbraw/zinc/62/30/18/1043623018.db2.gz MWAMFVIQQDDBCG-GFCCVEGCSA-N 0 3 320.483 4.188 20 0 DIADHN CCOC[C@@H]1CCN([C@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000443617355 1043623373 /nfs/dbraw/zinc/62/33/73/1043623373.db2.gz WECOVUMRCDLTMY-BZNIZROVSA-N 0 3 314.256 4.339 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3ccc(C)cc32)cc1O ZINC000353608792 1043623351 /nfs/dbraw/zinc/62/33/51/1043623351.db2.gz AZVOHGFQFVZPJK-KBXCAEBGSA-N 0 3 311.425 4.437 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN(C)C1CCC1 ZINC000353682423 1043632547 /nfs/dbraw/zinc/63/25/47/1043632547.db2.gz CZBYJWMJAQXBJL-UHFFFAOYSA-N 0 3 303.837 4.127 20 0 DIADHN Cc1noc(C)c1[C@@H](C)N[C@H]1C[C@H](Sc2ccccc2)C1 ZINC000926791765 1043633956 /nfs/dbraw/zinc/63/39/56/1043633956.db2.gz FUBWZBOHIHYXAY-DJSGYFEHSA-N 0 3 302.443 4.265 20 0 DIADHN CCC(CC)(CN[C@H](C)c1cc(OC)ccn1)C(F)(F)F ZINC000926795489 1043634098 /nfs/dbraw/zinc/63/40/98/1043634098.db2.gz QZILLRIHLPOTMN-LLVKDONJSA-N 0 3 304.356 4.110 20 0 DIADHN Cc1ccc([C@@H](NCC[C@H]2CCCS2)c2ccccn2)cc1 ZINC000926788984 1043634162 /nfs/dbraw/zinc/63/41/62/1043634162.db2.gz DLRUUVUESDVNHP-IEBWSBKVSA-N 0 3 312.482 4.355 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](O)c2ccc(OC(C)C)cc2)o1 ZINC000125558387 1043635013 /nfs/dbraw/zinc/63/50/13/1043635013.db2.gz VNRKIMFPHHHIAG-KSSFIOAISA-N 0 3 317.429 4.013 20 0 DIADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@H]2c2cccc(F)c2)s1 ZINC000353718411 1043635706 /nfs/dbraw/zinc/63/57/06/1043635706.db2.gz WGEKCIDNEDRQFO-ZBEGNZNMSA-N 0 3 304.434 4.482 20 0 DIADHN Cc1ccc([C@H](CNCc2cscc2Cl)N(C)C)cc1 ZINC001648961086 1043635647 /nfs/dbraw/zinc/63/56/47/1043635647.db2.gz XITYKCQBCBNDJM-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1nc(C)c(CN2C[C@H](C)C[C@@H]2c2cccc(F)c2)s1 ZINC000353718408 1043636981 /nfs/dbraw/zinc/63/69/81/1043636981.db2.gz WGEKCIDNEDRQFO-BDJLRTHQSA-N 0 3 304.434 4.482 20 0 DIADHN CC[C@H](NC[C@H]1C(C)=CCC[C@@H]1C)c1nnc2n1CCCCC2 ZINC000443638043 1043637604 /nfs/dbraw/zinc/63/76/04/1043637604.db2.gz CSERELOXLZRUOW-ULQDDVLXSA-N 0 3 316.493 4.038 20 0 DIADHN C(c1nc(C2CCCC2)no1)N(CC1CC1)C1CCCCC1 ZINC000443641678 1043638438 /nfs/dbraw/zinc/63/84/38/1043638438.db2.gz WKFGHBFTHAMJFQ-UHFFFAOYSA-N 0 3 303.450 4.272 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@]12CCCO2)c1cc(-c2ccccc2)no1 ZINC000926863931 1043643602 /nfs/dbraw/zinc/64/36/02/1043643602.db2.gz DSSLJMHVOLJWFZ-GDIGMMSISA-N 0 3 312.413 4.094 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCC2)O1)c1cc(-c2ccccc2)no1 ZINC000926859162 1043643944 /nfs/dbraw/zinc/64/39/44/1043643944.db2.gz FVQWDDFETWIBDM-ZBFHGGJFSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1[nH]nc2ncc(CN(Cc3ccoc3)C3CCCCC3)cc12 ZINC000353772144 1043644154 /nfs/dbraw/zinc/64/41/54/1043644154.db2.gz ZKQLTSXGTOBRJU-UHFFFAOYSA-N 0 3 324.428 4.194 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C)C[C@H](C)C1 ZINC000443651568 1043646729 /nfs/dbraw/zinc/64/67/29/1043646729.db2.gz JLSYKYASQOYYDB-FRRDWIJNSA-N 0 3 305.447 4.227 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@@H](C)c1cccnc1Cl ZINC000125698973 1043650324 /nfs/dbraw/zinc/65/03/24/1043650324.db2.gz OCNHRFRFRLJRID-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000443662688 1043651520 /nfs/dbraw/zinc/65/15/20/1043651520.db2.gz VOKFGBIGMKOILH-UPJWGTAASA-N 0 3 305.447 4.227 20 0 DIADHN Fc1cccc([C@H]2CCCN2Cc2ccccc2-n2cccn2)c1 ZINC001137099165 1043656183 /nfs/dbraw/zinc/65/61/83/1043656183.db2.gz BDHWTRMFIXNFKG-LJQANCHMSA-N 0 3 321.399 4.349 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccn2)cc1 ZINC000926946231 1043662347 /nfs/dbraw/zinc/66/23/47/1043662347.db2.gz AEJLWRYTCNKCEL-JGGQBBKZSA-N 0 3 324.321 4.098 20 0 DIADHN CC[C@@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000166648944 1043662300 /nfs/dbraw/zinc/66/23/00/1043662300.db2.gz QBPJAIWJBZGBMQ-SQNIBIBYSA-N 0 3 301.817 4.039 20 0 DIADHN CCn1cc(CN[C@@H]2CCCCc3ccc(Cl)cc32)cn1 ZINC000353947021 1043665225 /nfs/dbraw/zinc/66/52/25/1043665225.db2.gz KOQCOUSAHHEUNL-QGZVFWFLSA-N 0 3 303.837 4.114 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CC[C@H]1Cc1ccccc1 ZINC000353946505 1043665441 /nfs/dbraw/zinc/66/54/41/1043665441.db2.gz HHVYOFQQPUVFBY-KRWDZBQOSA-N 0 3 321.449 4.022 20 0 DIADHN C[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cccnc1Cl ZINC000926977417 1043666107 /nfs/dbraw/zinc/66/61/07/1043666107.db2.gz VAWNELUIEORXDM-KCPJHIHWSA-N 0 3 322.786 4.435 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CCCOc3cc(Cl)ccc32)CC1 ZINC000353977531 1043666636 /nfs/dbraw/zinc/66/66/36/1043666636.db2.gz ZWNYRVJMOCOQDF-GFCCVEGCSA-N 0 3 319.754 4.486 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2ccc(F)cc2)c2ccccn2)CCS1 ZINC000927028897 1043667884 /nfs/dbraw/zinc/66/78/84/1043667884.db2.gz BKIJPMCBXGPJNI-MZMPZRCHSA-N 0 3 316.445 4.184 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)cnn1C(C)C ZINC000353983495 1043669336 /nfs/dbraw/zinc/66/93/36/1043669336.db2.gz YFDKLKDNMLPDHW-QWHCGFSZSA-N 0 3 311.433 4.061 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCS[C@H](C)C2)c2ccccn2)c1 ZINC000927035359 1043670458 /nfs/dbraw/zinc/67/04/58/1043670458.db2.gz VLDZYTFGZHPWRZ-SUMDDJOVSA-N 0 3 312.482 4.353 20 0 DIADHN OCC1([C@H](NCc2ccc3occc3c2)c2ccccc2)CCC1 ZINC000354007419 1043670956 /nfs/dbraw/zinc/67/09/56/1043670956.db2.gz DNHFKHPHKVKHFX-HXUWFJFHSA-N 0 3 321.420 4.426 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353998004 1043671019 /nfs/dbraw/zinc/67/10/19/1043671019.db2.gz UFIZAQMLXUVOCO-VHSXEESVSA-N 0 3 300.431 4.048 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CCC2)c(C)s1 ZINC000354007103 1043671309 /nfs/dbraw/zinc/67/13/09/1043671309.db2.gz BBSFOZTVOSZCPL-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3cccnc31)CCC2 ZINC000927055739 1043673872 /nfs/dbraw/zinc/67/38/72/1043673872.db2.gz MCLHPBXISQEKHN-RBUKOAKNSA-N 0 3 308.425 4.135 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2cccnc21)c1ccc2c(c1)CCO2 ZINC000927059295 1043675614 /nfs/dbraw/zinc/67/56/14/1043675614.db2.gz CZHNEPDVKLMMFY-ZWKOTPCHSA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cncs2)C2CCC2)cc1 ZINC000927066374 1043678654 /nfs/dbraw/zinc/67/86/54/1043678654.db2.gz MDQPXGMBJOBCBG-SJKOYZFVSA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cnc2ccsc2c1)c1cnn(C)c1 ZINC000927066287 1043679694 /nfs/dbraw/zinc/67/96/94/1043679694.db2.gz AYDAROWLKLNHQZ-PXAZEXFGSA-N 0 3 314.458 4.078 20 0 DIADHN CC(C)[C@H](N[C@H]1CCSc2ccc(F)cc21)c1cnn(C)c1 ZINC000927065459 1043679903 /nfs/dbraw/zinc/67/99/03/1043679903.db2.gz HONAUIBNUCEUBL-RDJZCZTQSA-N 0 3 319.449 4.083 20 0 DIADHN Cc1ccc(NC(=O)CC(C)(C)c2ccccc2)c(CN(C)C)c1 ZINC000113911236 1043680065 /nfs/dbraw/zinc/68/00/65/1043680065.db2.gz XKWZRCLQZFZISX-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1cnn(C)c1 ZINC000927069598 1043680696 /nfs/dbraw/zinc/68/06/96/1043680696.db2.gz XUCYJGGHGCBTIW-SJORKVTESA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCc2cc(Cl)ccc21)c1cnn(C)c1 ZINC000927069596 1043680785 /nfs/dbraw/zinc/68/07/85/1043680785.db2.gz XUCYJGGHGCBTIW-IAGOWNOFSA-N 0 3 303.837 4.048 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccns2)C2CCC2)cc1 ZINC000927066801 1043680952 /nfs/dbraw/zinc/68/09/52/1043680952.db2.gz OFYXVOPGHAHTAY-SJKOYZFVSA-N 0 3 302.443 4.344 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H](c1cnn(C)c1)C(C)C ZINC000927068504 1043681194 /nfs/dbraw/zinc/68/11/94/1043681194.db2.gz JOSGMCAEBUVORV-IUODEOHRSA-N 0 3 320.506 4.356 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCc2c1cccc2Cl)c1cnn(C)c1 ZINC000927069576 1043681379 /nfs/dbraw/zinc/68/13/79/1043681379.db2.gz XLXVBXSGVPMUFL-SJORKVTESA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927069005 1043681613 /nfs/dbraw/zinc/68/16/13/1043681613.db2.gz UPXIYVBFMZZKKM-KXBFYZLASA-N 0 3 315.461 4.255 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCc3cccnc32)C2CCC2)cc1 ZINC000927068116 1043681659 /nfs/dbraw/zinc/68/16/59/1043681659.db2.gz TWRPXXQYAAQGPJ-RBUKOAKNSA-N 0 3 308.425 4.209 20 0 DIADHN CC(C)[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000777614969 1043685043 /nfs/dbraw/zinc/68/50/43/1043685043.db2.gz NQVOITNVLIDVCX-OAQYLSRUSA-N 0 3 323.484 4.248 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cc(C3CC3)nn2C)c2sccc21 ZINC000927100389 1043687665 /nfs/dbraw/zinc/68/76/65/1043687665.db2.gz MLFMWHPXYGSKRN-IAQYHMDHSA-N 0 3 301.459 4.087 20 0 DIADHN Clc1nccc2cc(CN3CC[C@@H]4CSC[C@H]4C3)sc21 ZINC000895460932 1043689801 /nfs/dbraw/zinc/68/98/01/1043689801.db2.gz IOANBFQSOVYHAP-VXGBXAGGSA-N 0 3 324.902 4.135 20 0 DIADHN C[C@H](NC[C@@]1(c2ccccc2)CCCO1)c1cccnc1Cl ZINC000927115289 1043690599 /nfs/dbraw/zinc/69/05/99/1043690599.db2.gz NGSJCAFIFITZMG-KBXCAEBGSA-N 0 3 316.832 4.092 20 0 DIADHN Fc1ccc(C2CCN(C[C@H]3CC3(Cl)Cl)CC2)cc1F ZINC000729543390 1043695643 /nfs/dbraw/zinc/69/56/43/1043695643.db2.gz SZHRCNZUPUGJMF-GFCCVEGCSA-N 0 3 320.210 4.338 20 0 DIADHN CCc1onc(C)c1CNC1CC(c2ccc(Cl)cc2)C1 ZINC000927135804 1043696439 /nfs/dbraw/zinc/69/64/39/1043696439.db2.gz VJRNBZOSHUPFTC-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cc3c(ccnc3Cl)o2)CC1 ZINC000876720360 1043706510 /nfs/dbraw/zinc/70/65/10/1043706510.db2.gz GKZCIAITALODCP-CYBMUJFWSA-N 0 3 322.836 4.262 20 0 DIADHN C[C@]1(c2ccccc2)CCCN(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000876742019 1043708909 /nfs/dbraw/zinc/70/89/09/1043708909.db2.gz QBOHZXACLSETDO-FQEVSTJZSA-N 0 3 322.408 4.087 20 0 DIADHN c1csc([C@@H]2CCN(Cc3cnc(C4CCCC4)s3)C2)n1 ZINC000895582387 1043709745 /nfs/dbraw/zinc/70/97/45/1043709745.db2.gz SPVDUTPHSMCXIM-CYBMUJFWSA-N 0 3 319.499 4.247 20 0 DIADHN C[C@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)CC[C@H]1c1ccccc1 ZINC000876768503 1043711572 /nfs/dbraw/zinc/71/15/72/1043711572.db2.gz WKWWQQCEGJXJFV-WMLDXEAASA-N 0 3 322.408 4.159 20 0 DIADHN O[C@@H](C[C@H]1CCCCCN1Cc1ccns1)c1cccs1 ZINC000876802071 1043712002 /nfs/dbraw/zinc/71/20/02/1043712002.db2.gz AYOINLWPSSFUFO-HIFRSBDPSA-N 0 3 322.499 4.073 20 0 DIADHN Cc1ccccc1-n1nccc1CN[C@H]1CCCc2sccc21 ZINC000354666213 1043712959 /nfs/dbraw/zinc/71/29/59/1043712959.db2.gz PVXGTLVBOOMIMW-KRWDZBQOSA-N 0 3 323.465 4.409 20 0 DIADHN COCC1(C)CCN(Cc2cnc(C3CCCC3)s2)CC1 ZINC000354786014 1043719127 /nfs/dbraw/zinc/71/91/27/1043719127.db2.gz JPZXFHGKXIVWQH-UHFFFAOYSA-N 0 3 308.491 4.049 20 0 DIADHN CCC[C@@H](C)NCc1coc(-c2cccc(Br)c2)n1 ZINC001257315186 1043722027 /nfs/dbraw/zinc/72/20/27/1043722027.db2.gz WDRSWPAPNLSGLH-LLVKDONJSA-N 0 3 323.234 4.382 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)CC1CC1 ZINC000096970287 1043772516 /nfs/dbraw/zinc/77/25/16/1043772516.db2.gz CGLYVSMSVWKWOB-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN Clc1ccc([C@H](Cc2cccnc2)NCc2cccnc2)cc1 ZINC000354975458 1043734189 /nfs/dbraw/zinc/73/41/89/1043734189.db2.gz YVMBJXROYILOCZ-IBGZPJMESA-N 0 3 323.827 4.204 20 0 DIADHN ClC1(Cl)C[C@H]1CN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000877094065 1043741739 /nfs/dbraw/zinc/74/17/39/1043741739.db2.gz GJODBJWLHMSDSC-WCQYABFASA-N 0 3 312.240 4.216 20 0 DIADHN COCc1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC000126354304 1043743706 /nfs/dbraw/zinc/74/37/06/1043743706.db2.gz JPZJATPPMSPMMB-UHFFFAOYSA-N 0 3 303.471 4.482 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)NCc1nc(C(C)C)cs1 ZINC000877107995 1043744502 /nfs/dbraw/zinc/74/45/02/1043744502.db2.gz NUTHQALCMROAAN-BUXKBTBVSA-N 0 3 318.423 4.079 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H](C)C2CCC(F)(F)CC2)[nH]1 ZINC000355125231 1043745604 /nfs/dbraw/zinc/74/56/04/1043745604.db2.gz PYAQMJHQHQZDRX-SRVKXCTJSA-N 0 3 314.424 4.183 20 0 DIADHN CC(C)CC[C@H]1CCCCN1Cc1ccc([S@](C)=O)cc1 ZINC000355173905 1043746807 /nfs/dbraw/zinc/74/68/07/1043746807.db2.gz PUIAIQDSRNHIKM-UTKZUKDTSA-N 0 3 307.503 4.215 20 0 DIADHN Cn1ccc(CN2CC=C(c3ccccc3C(F)(F)F)CC2)c1 ZINC000355167235 1043748164 /nfs/dbraw/zinc/74/81/64/1043748164.db2.gz RWBAWHQQYIIYIU-UHFFFAOYSA-N 0 3 320.358 4.333 20 0 DIADHN C[S@@](=O)c1ccc(CN2CCC[C@H]2CCC2CCCC2)cc1 ZINC000355218391 1043753517 /nfs/dbraw/zinc/75/35/17/1043753517.db2.gz IFNPTINYRRLNMK-PGRDOPGGSA-N 0 3 319.514 4.359 20 0 DIADHN O[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1)CC1CCCC1 ZINC000355261192 1043754250 /nfs/dbraw/zinc/75/42/50/1043754250.db2.gz OVYHSDWUSBFAPX-CVEARBPZSA-N 0 3 315.379 4.211 20 0 DIADHN Cc1cc(CCNCc2ccc(F)c(F)c2F)ccc1Cl ZINC001202546236 1043759417 /nfs/dbraw/zinc/75/94/17/1043759417.db2.gz MPPGGUOQALSUMB-UHFFFAOYSA-N 0 3 313.750 4.398 20 0 DIADHN CC[C@H](NCC[C@@H](C)OCc1ccccc1)c1nccs1 ZINC000355297506 1043760664 /nfs/dbraw/zinc/76/06/64/1043760664.db2.gz USLKYFZEQOIUOI-ZBFHGGJFSA-N 0 3 304.459 4.179 20 0 DIADHN Fc1ccccc1C[C@H](NCc1cccnc1)c1ccccc1 ZINC000191691621 1043762380 /nfs/dbraw/zinc/76/23/80/1043762380.db2.gz KNQYXSGQYWDZNX-FQEVSTJZSA-N 0 3 306.384 4.294 20 0 DIADHN Cc1nc(CCN2CCc3c(cccc3C(C)C)C2)cs1 ZINC000355384451 1043764376 /nfs/dbraw/zinc/76/43/76/1043764376.db2.gz UYHSBZJPGOKQNA-UHFFFAOYSA-N 0 3 300.471 4.176 20 0 DIADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1ccc(C(F)(F)F)nc1Cl ZINC000877551129 1043774905 /nfs/dbraw/zinc/77/49/05/1043774905.db2.gz WJEWAFLQFBIIRI-HRDYMLBCSA-N 0 3 324.799 4.298 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCc2nccs2)o1 ZINC000115614879 1043777398 /nfs/dbraw/zinc/77/73/98/1043777398.db2.gz ZHWHWXAXHNAXMU-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@H]1CCc2cccc3cccc1c32 ZINC000895933121 1043786147 /nfs/dbraw/zinc/78/61/47/1043786147.db2.gz AJBKZJYOERLJEW-IBGZPJMESA-N 0 3 317.436 4.228 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCC[C@@H]1CCCCO1 ZINC000355758517 1043787370 /nfs/dbraw/zinc/78/73/70/1043787370.db2.gz ANTCLIGQNCAVEY-HNNXBMFYSA-N 0 3 301.386 4.121 20 0 DIADHN CC(C)n1cc(CN[C@@H]2CCc3cccc4cccc2c43)cn1 ZINC000895936714 1043787734 /nfs/dbraw/zinc/78/77/34/1043787734.db2.gz VJMONJILHCKJPJ-LJQANCHMSA-N 0 3 305.425 4.394 20 0 DIADHN CSc1ccc(CN2CC[C@@H](c3nc(C)cs3)C2)s1 ZINC000877813948 1043804005 /nfs/dbraw/zinc/80/40/05/1043804005.db2.gz LZMHNXRZJSHDOE-LLVKDONJSA-N 0 3 310.513 4.224 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)[nH]1 ZINC000929314847 1043807373 /nfs/dbraw/zinc/80/73/73/1043807373.db2.gz DSMUAWMSMRUWFC-UHFFFAOYSA-N 0 3 322.456 4.196 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@@H]2CC[C@H](c3ccccc3)O2)C1 ZINC000877901144 1043819068 /nfs/dbraw/zinc/81/90/68/1043819068.db2.gz HUBAAOOECOVUDV-OWCLPIDISA-N 0 3 313.363 4.181 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@@H]2CC[C@@H](c3ccccc3)O2)C1 ZINC000877901145 1043819598 /nfs/dbraw/zinc/81/95/98/1043819598.db2.gz HUBAAOOECOVUDV-PMPSAXMXSA-N 0 3 313.363 4.181 20 0 DIADHN COc1cc(CN(C)[C@H]2CCCc3ccc(F)cc32)ccc1O ZINC000487444421 1043822606 /nfs/dbraw/zinc/82/26/06/1043822606.db2.gz IIMWRBFQBPXRRK-KRWDZBQOSA-N 0 3 315.388 4.049 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@H](C)C(C)(C)C)co1 ZINC000877953605 1043829475 /nfs/dbraw/zinc/82/94/75/1043829475.db2.gz OXNSLGYSUXRRFY-CYBMUJFWSA-N 0 3 302.418 4.217 20 0 DIADHN CCOC(CN[C@@H](Cc1ccccc1)c1cccs1)OCC ZINC000126827249 1043832098 /nfs/dbraw/zinc/83/20/98/1043832098.db2.gz FVIPULZTTVRWEB-INIZCTEOSA-N 0 3 319.470 4.021 20 0 DIADHN C=C/C=C/CCN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000877989857 1043835051 /nfs/dbraw/zinc/83/50/51/1043835051.db2.gz GPOOGPXPVUFXIN-YIZUVKHSSA-N 0 3 301.274 4.181 20 0 DIADHN C[C@@H](CN(C)Cc1cscc1C(F)(F)F)c1nccs1 ZINC000356429209 1043839466 /nfs/dbraw/zinc/83/94/66/1043839466.db2.gz ATVKNDFGFWSNBO-VIFPVBQESA-N 0 3 320.405 4.459 20 0 DIADHN C[C@H](NCC(Cc1ccccc1)Cc1ccccc1)c1ccon1 ZINC000519570757 1043842498 /nfs/dbraw/zinc/84/24/98/1043842498.db2.gz CFAAZTLBEMKYHR-KRWDZBQOSA-N 0 3 320.436 4.427 20 0 DIADHN CC(C)CC1(C)CCN(C(=O)c2cccc(CN(C)C)c2)CC1 ZINC000356485651 1043844292 /nfs/dbraw/zinc/84/42/92/1043844292.db2.gz WESAHCQUOITGTH-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)cc2cccnc12 ZINC000356523021 1043845079 /nfs/dbraw/zinc/84/50/79/1043845079.db2.gz JLORTYXRNCMACG-ICSRJNTNSA-N 0 3 322.452 4.077 20 0 DIADHN Cc1nc(C)c(CN[C@@H](Cc2ccccc2)c2ccccc2)[nH]1 ZINC000929860925 1043846989 /nfs/dbraw/zinc/84/69/89/1043846989.db2.gz MVARDDPAJNYMAS-IBGZPJMESA-N 0 3 305.425 4.100 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)o1 ZINC000929882274 1043849447 /nfs/dbraw/zinc/84/94/47/1043849447.db2.gz MILDSFBRRHODPQ-OAHLLOKOSA-N 0 3 309.413 4.098 20 0 DIADHN FC(F)(F)C1CCN(C[C@@H]2CC[C@@H](c3ccccc3)O2)CC1 ZINC000878149617 1043851813 /nfs/dbraw/zinc/85/18/13/1043851813.db2.gz ZVQUKBRCDLYRET-HOTGVXAUSA-N 0 3 313.363 4.181 20 0 DIADHN CC1(C)C[C@H](CN[C@H](c2ccccc2)c2ccccn2)C(C)(C)O1 ZINC000878204668 1043856035 /nfs/dbraw/zinc/85/60/35/1043856035.db2.gz VZPZRZOADQOJET-IEBWSBKVSA-N 0 3 324.468 4.354 20 0 DIADHN CC1(C)C[C@@H](CN[C@H](c2ccccc2)c2ccccn2)C(C)(C)O1 ZINC000878204670 1043858249 /nfs/dbraw/zinc/85/82/49/1043858249.db2.gz VZPZRZOADQOJET-PKOBYXMFSA-N 0 3 324.468 4.354 20 0 DIADHN CC1CCC(C)(NCc2csc(-c3ccccn3)n2)CC1 ZINC000116341493 1043858459 /nfs/dbraw/zinc/85/84/59/1043858459.db2.gz FJKRBHHQLYCAOG-UHFFFAOYSA-N 0 3 301.459 4.264 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC[C@@H]3c3cccnc3)O2)cc1 ZINC000878310452 1043868235 /nfs/dbraw/zinc/86/82/35/1043868235.db2.gz ZKQLSYPEEWZPRK-ZCNNSNEGSA-N 0 3 308.425 4.139 20 0 DIADHN CN(CCc1nccs1)Cc1ccc2ccc(Cl)cc2n1 ZINC000878297016 1043868766 /nfs/dbraw/zinc/86/87/66/1043868766.db2.gz SCOMZZRYUUDGLG-UHFFFAOYSA-N 0 3 317.845 4.019 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCC[C@H](c2ccccc2)O1 ZINC000878313173 1043870117 /nfs/dbraw/zinc/87/01/17/1043870117.db2.gz DTRAUOOVMGGVAA-NSISKUIASA-N 0 3 310.441 4.385 20 0 DIADHN CCN(Cc1cc(-c2cccs2)on1)[C@H]1CCOC(C)(C)C1 ZINC000289783113 1043874096 /nfs/dbraw/zinc/87/40/96/1043874096.db2.gz LVSSGNMSKSCKRD-AWEZNQCLSA-N 0 3 320.458 4.183 20 0 DIADHN Clc1cc(CNC2CCC3(COC3)CC2)c(Cl)s1 ZINC000883389083 1043881054 /nfs/dbraw/zinc/88/10/54/1043881054.db2.gz JVTQJEKVBQAAHR-UHFFFAOYSA-N 0 3 306.258 4.104 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)cc1 ZINC000289807062 1043881987 /nfs/dbraw/zinc/88/19/87/1043881987.db2.gz OGVNGSASVGLAQP-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1conc1CN[C@H](C)[C@H](C)c1ccccc1C(F)(F)F ZINC000878411332 1043886830 /nfs/dbraw/zinc/88/68/30/1043886830.db2.gz HZDCCYNFOBDWGX-NWDGAFQWSA-N 0 3 312.335 4.284 20 0 DIADHN COc1ccccc1-c1nc(CN(C)C[C@@H]2CC=CCC2)co1 ZINC000878403749 1043887055 /nfs/dbraw/zinc/88/70/55/1043887055.db2.gz GUHQNLUUHWVIKO-OAHLLOKOSA-N 0 3 312.413 4.138 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2C[C@H](c3ccccc3)C[C@H]2C)c1 ZINC000522467430 1043887992 /nfs/dbraw/zinc/88/79/92/1043887992.db2.gz MASJJTDUMPVMNX-ZIFCJYIRSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2C[C@@H](c3ccccc3)C[C@@H]2C)c1 ZINC000522467429 1043888640 /nfs/dbraw/zinc/88/86/40/1043888640.db2.gz MASJJTDUMPVMNX-LNLFQRSKSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnc(Br)c(C)c2)cc1 ZINC000930189559 1043889788 /nfs/dbraw/zinc/88/97/88/1043889788.db2.gz XQQYJJBADSGDNU-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)Oc1cccc([C@H](C)N[C@@H](C)c2ccc(F)nc2)c1 ZINC000930197117 1043891373 /nfs/dbraw/zinc/89/13/73/1043891373.db2.gz DXPDNNBCHOXQJB-KBPBESRZSA-N 0 3 302.393 4.420 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccncc2)cc1 ZINC000289877396 1043891489 /nfs/dbraw/zinc/89/14/89/1043891489.db2.gz RVGBITDJHYAURX-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NCCc2ccns2)cc1 ZINC000930207376 1043892476 /nfs/dbraw/zinc/89/24/76/1043892476.db2.gz LSGLASNOTGHXGQ-CYBMUJFWSA-N 0 3 318.339 4.108 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)c(C)nn1 ZINC000930205923 1043892982 /nfs/dbraw/zinc/89/29/82/1043892982.db2.gz IGDNVRPUWZDTFT-LJQANCHMSA-N 0 3 313.420 4.254 20 0 DIADHN CCCC[C@H](NCc1[nH]c(C)nc1C)c1ccc(OC)cc1 ZINC000930213220 1043894837 /nfs/dbraw/zinc/89/48/37/1043894837.db2.gz HHKJQWBIBRQOGM-KRWDZBQOSA-N 0 3 301.434 4.056 20 0 DIADHN CCC(CC)[C@H](NCc1cc(C)nnc1C)c1cccs1 ZINC000930214605 1043897732 /nfs/dbraw/zinc/89/77/32/1043897732.db2.gz YPQAFJXZTLEJAE-KRWDZBQOSA-N 0 3 303.475 4.422 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@H](C)c1ccccn1 ZINC000289943816 1043898924 /nfs/dbraw/zinc/89/89/24/1043898924.db2.gz PUDATPNBUZJOQN-YPDDLIOESA-N 0 3 318.367 4.437 20 0 DIADHN CCn1nc(C)c(CN2CC=C(c3cccc(C)c3)CC2)c1C ZINC000356945982 1043900240 /nfs/dbraw/zinc/90/02/40/1043900240.db2.gz JMIWYXKWADKEEJ-UHFFFAOYSA-N 0 3 309.457 4.118 20 0 DIADHN c1c[nH]c([C@H]2CCCN(Cc3ccc(Oc4ccccc4)o3)C2)n1 ZINC000356967272 1043900784 /nfs/dbraw/zinc/90/07/84/1043900784.db2.gz OKQHRXFFUNNTRV-HNNXBMFYSA-N 0 3 323.396 4.175 20 0 DIADHN Cc1ccncc1CN[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000474722227 1043901912 /nfs/dbraw/zinc/90/19/12/1043901912.db2.gz YVMWOMHFTHQMBR-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3nccs3)C2)ccc1Cl ZINC000356994489 1043903760 /nfs/dbraw/zinc/90/37/60/1043903760.db2.gz ORAFJBXUMRHLLC-AWEZNQCLSA-N 0 3 306.862 4.485 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccc(F)nc2)C2CC2)cc1 ZINC000930239046 1043904223 /nfs/dbraw/zinc/90/42/23/1043904223.db2.gz JIJXXQSMWZGJCR-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cc([C@@H](N[C@H](C)c2ccc(F)nc2)C2CC2)ccc1F ZINC000930240699 1043904328 /nfs/dbraw/zinc/90/43/28/1043904328.db2.gz ZEXOQGLNGBVCCJ-XIKOKIGWSA-N 0 3 302.368 4.470 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Br)nc2)s1 ZINC000289974308 1043905126 /nfs/dbraw/zinc/90/51/26/1043905126.db2.gz XOMCFQCYKWCLMN-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN COC(=O)c1cccc(CNC(C)(C)c2cccc(Cl)c2)c1 ZINC000561158287 1043906686 /nfs/dbraw/zinc/90/66/86/1043906686.db2.gz CRRRQOIZSUFVRR-UHFFFAOYSA-N 0 3 317.816 4.152 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000878613857 1043906591 /nfs/dbraw/zinc/90/65/91/1043906591.db2.gz XYCSTRXDCZNHTF-SJORKVTESA-N 0 3 314.429 4.036 20 0 DIADHN C[C@@H](NCC[S@](=O)C(C)(C)C)c1ccc(Cl)c(Cl)c1 ZINC000127288459 1043912980 /nfs/dbraw/zinc/91/29/80/1043912980.db2.gz UHGVRJQYMQXIRJ-GIGQVBGESA-N 0 3 322.301 4.191 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H](N[C@@H]2CCCn3nc(C(C)C)nc32)C1 ZINC000474810724 1043922799 /nfs/dbraw/zinc/92/27/99/1043922799.db2.gz SQYSJROWDBGYOI-GVDBMIGSSA-N 0 3 318.509 4.431 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)C3CCSCC3)co2)cc1 ZINC000474808473 1043926363 /nfs/dbraw/zinc/92/63/63/1043926363.db2.gz BOHJZXARXSFVAJ-CQSZACIVSA-N 0 3 316.470 4.271 20 0 DIADHN CC[C@@H](CCO)NCc1c(Cl)cccc1SC(C)C ZINC000474806454 1043926406 /nfs/dbraw/zinc/92/64/06/1043926406.db2.gz XZNRHCRCNVLOIX-LBPRGKRZSA-N 0 3 301.883 4.091 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc2ncccc2c1 ZINC000290199659 1043928396 /nfs/dbraw/zinc/92/83/96/1043928396.db2.gz GOOFAJGIQYUPHX-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN CCC(CC)c1cc(CNCCCc2cnccc2C)on1 ZINC000290211440 1043928969 /nfs/dbraw/zinc/92/89/69/1043928969.db2.gz DVGAGSWMRKBSBL-UHFFFAOYSA-N 0 3 301.434 4.004 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930475571 1043929938 /nfs/dbraw/zinc/92/99/38/1043929938.db2.gz FVBYOBZIDPTZSV-GDBMZVCRSA-N 0 3 320.383 4.165 20 0 DIADHN CC(C)COc1ccc([C@H](C)N[C@H](CO)c2ccccc2)cc1 ZINC000102888268 1043933090 /nfs/dbraw/zinc/93/30/90/1043933090.db2.gz DXLAWXDOBLZEQN-OXJNMPFZSA-N 0 3 313.441 4.106 20 0 DIADHN C[C@H](NC[C@@H]1Cc2ccccc21)c1ncc(-c2ccccc2)o1 ZINC000561347961 1043933794 /nfs/dbraw/zinc/93/37/94/1043933794.db2.gz LKIDIAMRFCXXEC-YOEHRIQHSA-N 0 3 304.393 4.332 20 0 DIADHN CCOc1cc(CN(C)[C@H](CC)c2ccncc2)ccc1OC ZINC000290305511 1043938214 /nfs/dbraw/zinc/93/82/14/1043938214.db2.gz BRGDXNQQTSPWOJ-QGZVFWFLSA-N 0 3 314.429 4.072 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](c2ccccc2)O1)c1ccns1 ZINC000879061426 1043941040 /nfs/dbraw/zinc/94/10/40/1043941040.db2.gz CBMIDYYOQKQLEU-NUEKZKHPSA-N 0 3 302.443 4.104 20 0 DIADHN Cc1ccnc(CNC[C@H]2C[C@@H]2C2CCCC2)c1Br ZINC001308552785 1043944336 /nfs/dbraw/zinc/94/43/36/1043944336.db2.gz GKZWWCARGFGUOQ-ZIAGYGMSSA-N 0 3 323.278 4.068 20 0 DIADHN CCC(=O)CCCNC(C)(C)c1nc2cc(Cl)ccc2o1 ZINC000879160316 1043948998 /nfs/dbraw/zinc/94/89/98/1043948998.db2.gz WURKZOXZKNQUEZ-UHFFFAOYSA-N 0 3 308.809 4.065 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000290420822 1043949186 /nfs/dbraw/zinc/94/91/86/1043949186.db2.gz USTYXKIBLMCANS-IAQYHMDHSA-N 0 3 304.340 4.021 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000179305981 1043954724 /nfs/dbraw/zinc/95/47/24/1043954724.db2.gz RWAJTVZXSKQUQX-KEYYUXOJSA-N 0 3 303.837 4.181 20 0 DIADHN CCn1cc(CN[C@@H](CC(C)C)c2ccc(Cl)cc2)cn1 ZINC000353978868 1043957264 /nfs/dbraw/zinc/95/72/64/1043957264.db2.gz OGNJYRACPXBUIE-KRWDZBQOSA-N 0 3 305.853 4.433 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000561516596 1043964260 /nfs/dbraw/zinc/96/42/60/1043964260.db2.gz VIRSXCVOFQUDSL-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2[C@@H]2CCCOC2)sc1C(C)(C)C ZINC000930810302 1043966625 /nfs/dbraw/zinc/96/66/25/1043966625.db2.gz GZGWLMSLHGHWCR-HUUCEWRRSA-N 0 3 322.518 4.140 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@@H](C)c2ccc(Cl)cc2)[n-]1 ZINC000474890146 1043968725 /nfs/dbraw/zinc/96/87/25/1043968725.db2.gz JEPYGWPGDCZWPY-AGIUHOORSA-N 0 3 320.868 4.424 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@H](C)c2ccc(Cl)cc2)[n-]1 ZINC000474890147 1043969566 /nfs/dbraw/zinc/96/95/66/1043969566.db2.gz JEPYGWPGDCZWPY-AVGNSLFASA-N 0 3 320.868 4.424 20 0 DIADHN Cc1ccc(CCCNCc2cc(Cl)sc2Cl)cn1 ZINC000474892731 1043971365 /nfs/dbraw/zinc/97/13/65/1043971365.db2.gz KRKWUBLSCPXBCN-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN c1ccc([C@@H]2C[C@H]3[C@H](CCCN3CCCc3cccnc3)O2)cc1 ZINC000930867307 1043971282 /nfs/dbraw/zinc/97/12/82/1043971282.db2.gz MPDICAGXXUFTCX-ACRUOGEOSA-N 0 3 322.452 4.009 20 0 DIADHN C[C@@H](N[C@@H](c1nc2ccccc2n1C)c1ccccc1)C1CCC1 ZINC000474891377 1043973850 /nfs/dbraw/zinc/97/38/50/1043973850.db2.gz DKKODFGLFZNMQD-FOIQADDNSA-N 0 3 319.452 4.441 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@H]2CSC[C@H]2C1 ZINC000879558543 1043975065 /nfs/dbraw/zinc/97/50/65/1043975065.db2.gz KBQKZBCUQRLFNL-VNQPRFMTSA-N 0 3 314.454 4.088 20 0 DIADHN Cc1nc(CN(C[C@H]2CCCO2)C2CCC(C)CC2)sc1C ZINC000357706443 1043978769 /nfs/dbraw/zinc/97/87/69/1043978769.db2.gz KRKBEJFBPDLTCY-QWFMPGPPSA-N 0 3 322.518 4.320 20 0 DIADHN Cc1cccc2c(CN3CCC(c4ccc(F)cc4)CC3)[nH]nc21 ZINC001137146339 1043985671 /nfs/dbraw/zinc/98/56/71/1043985671.db2.gz HOGGGLGVKMLFME-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cncc([C@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)c1 ZINC000879749125 1043985973 /nfs/dbraw/zinc/98/59/73/1043985973.db2.gz DOIYVTVTMRJSQS-ZWKOTPCHSA-N 0 3 300.446 4.019 20 0 DIADHN Cc1cncc([C@H]2CCCN2Cc2ccc3ncccc3c2)c1 ZINC000879748939 1043987212 /nfs/dbraw/zinc/98/72/12/1043987212.db2.gz BCYVKUYNXJEBTH-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H]([NH2+][C@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000474937950 1043991698 /nfs/dbraw/zinc/99/16/98/1043991698.db2.gz BWUYJBPXPJGFLJ-SZMVWBNQSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@H](N[C@H](c1nc[nH]n1)c1ccccc1)[C@@H](C)C1CCCCC1 ZINC000474937966 1043991807 /nfs/dbraw/zinc/99/18/07/1043991807.db2.gz CBWMCQMOFCHQOL-VKJFTORMSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@H]([NH2+][C@H](c1nnc[n-]1)c1ccccc1)[C@@H](C)C1CCCCC1 ZINC000474937966 1043991812 /nfs/dbraw/zinc/99/18/12/1043991812.db2.gz CBWMCQMOFCHQOL-VKJFTORMSA-N 0 3 312.461 4.089 20 0 DIADHN CCc1nn(C)cc1CN[C@@H]1CCCCc2ccc(Cl)cc21 ZINC000357846469 1043992268 /nfs/dbraw/zinc/99/22/68/1043992268.db2.gz CLNLKHRWEVTDOC-GOSISDBHSA-N 0 3 317.864 4.193 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3ccc(F)cc32)cc1O ZINC000290845321 1043992836 /nfs/dbraw/zinc/99/28/36/1043992836.db2.gz YZKDRLRGKQNBQW-SJKOYZFVSA-N 0 3 315.388 4.268 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290845609 1043992872 /nfs/dbraw/zinc/99/28/72/1043992872.db2.gz JIDYSOAMKFTRSY-NEPJUHHUSA-N 0 3 317.260 4.072 20 0 DIADHN Cc1cccc2c(CN(C)Cc3cccc4cccnc43)[nH]nc21 ZINC001137147840 1043998429 /nfs/dbraw/zinc/99/84/29/1043998429.db2.gz HMASBCPDNGLGDI-UHFFFAOYSA-N 0 3 316.408 4.052 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290909450 1043999980 /nfs/dbraw/zinc/99/99/80/1043999980.db2.gz PARIYINKJBPXQK-QWHCGFSZSA-N 0 3 317.260 4.072 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3cccc(C(F)F)c3)C2)n1 ZINC000880012443 1044006412 /nfs/dbraw/zinc/00/64/12/1044006412.db2.gz SHWQSZUANPPJTC-CQSZACIVSA-N 0 3 308.397 4.379 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](CC(C)C)c2ccccc2)c1 ZINC000358017729 1044006685 /nfs/dbraw/zinc/00/66/85/1044006685.db2.gz IOCLDWWMJYSNMK-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN CC[C@@H](NCc1cnc2ccccc2c1)c1cccc(OC)c1 ZINC000358036149 1044010168 /nfs/dbraw/zinc/01/01/68/1044010168.db2.gz JRFZCQQRQVJBFA-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN FC(F)(F)c1ccccc1CN(CCOC1CCC1)C1CC1 ZINC000561841976 1044011185 /nfs/dbraw/zinc/01/11/85/1044011185.db2.gz RLFHMZUNSGTANZ-UHFFFAOYSA-N 0 3 313.363 4.239 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001648969003 1044012531 /nfs/dbraw/zinc/01/25/31/1044012531.db2.gz JZWNGSDFDBPEKE-CABCVRRESA-N 0 3 311.425 4.260 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2CCc3sc(Cl)cc32)cc1 ZINC000358081282 1044017295 /nfs/dbraw/zinc/01/72/95/1044017295.db2.gz HUHSTXPILIFEAW-AWEZNQCLSA-N 0 3 320.845 4.137 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@H](C)N[C@H](C)c1ccccc1 ZINC000358083260 1044017984 /nfs/dbraw/zinc/01/79/84/1044017984.db2.gz KLUUVRFFHKORKT-KGLIPLIRSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1ccc2ccccc2n1 ZINC000358099302 1044020534 /nfs/dbraw/zinc/02/05/34/1044020534.db2.gz QBRCQUYXKDWDOH-UONOGXRCSA-N 0 3 314.429 4.006 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@@H](c2nccs2)C1 ZINC000880314090 1044030418 /nfs/dbraw/zinc/03/04/18/1044030418.db2.gz VSSKWOLNAGLUMW-GFCCVEGCSA-N 0 3 312.360 4.151 20 0 DIADHN COCC[C@@H](C)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291163259 1044031656 /nfs/dbraw/zinc/03/16/56/1044031656.db2.gz JGDSKQVHBSGFKK-VXGBXAGGSA-N 0 3 308.422 4.025 20 0 DIADHN C[C@H](NC[C@H]1CCSC1)c1csc(-c2ccccc2F)n1 ZINC000291169025 1044032979 /nfs/dbraw/zinc/03/29/79/1044032979.db2.gz JTGUDGZEHNPSHQ-NWDGAFQWSA-N 0 3 322.474 4.353 20 0 DIADHN Cc1ncsc1CNC(C)(C)c1ccc(C(F)(F)F)cc1 ZINC000880385203 1044033397 /nfs/dbraw/zinc/03/33/97/1044033397.db2.gz KEPQMGAQTLTHEQ-UHFFFAOYSA-N 0 3 314.376 4.495 20 0 DIADHN Cc1ncc(CN2CCCSC[C@@H]2c2ccccc2)s1 ZINC000880388726 1044036765 /nfs/dbraw/zinc/03/67/65/1044036765.db2.gz UEIMESZFXJXMRY-MRXNPFEDSA-N 0 3 304.484 4.132 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)Cc2occc21)c1ccc2c(c1)OCO2 ZINC000358225528 1044036855 /nfs/dbraw/zinc/03/68/55/1044036855.db2.gz SLODQOHZNZPZOZ-IUODEOHRSA-N 0 3 313.397 4.373 20 0 DIADHN FC(F)COc1ccc(CN2CCC=C(c3ccco3)C2)cc1 ZINC000561969676 1044038066 /nfs/dbraw/zinc/03/80/66/1044038066.db2.gz RFGFEGUMAXUGKH-UHFFFAOYSA-N 0 3 319.351 4.213 20 0 DIADHN Cc1csc(CN(C)Cc2cccc(Br)c2)c1 ZINC001137153396 1044039221 /nfs/dbraw/zinc/03/92/21/1044039221.db2.gz WEGAVJLYMKRFFT-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN CCc1nc(CN[C@H](c2ccc([C@@H](C)CC)cc2)C(C)C)n[nH]1 ZINC000475025963 1044042338 /nfs/dbraw/zinc/04/23/38/1044042338.db2.gz JDVQFEDNZSNJLV-LIRRHRJNSA-N 0 3 314.477 4.368 20 0 DIADHN C[C@H]1CC2(CN1Cc1cscc1C(F)(F)F)CCOCC2 ZINC000648071376 1044042583 /nfs/dbraw/zinc/04/25/83/1044042583.db2.gz ZKNBBZRHOOFIIY-NSHDSACASA-N 0 3 319.392 4.158 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](O)c2cccc(OC(C)C)c2)o1 ZINC000128455147 1044043098 /nfs/dbraw/zinc/04/30/98/1044043098.db2.gz NJAXMFSJEWBAGI-KBXCAEBGSA-N 0 3 317.429 4.013 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](O)c2cccc(OC(C)C)c2)o1 ZINC000128454660 1044043749 /nfs/dbraw/zinc/04/37/49/1044043749.db2.gz NJAXMFSJEWBAGI-KSSFIOAISA-N 0 3 317.429 4.013 20 0 DIADHN NC(=O)Nc1ccc(CNCc2ccc(C3CCCC3)cc2)cc1 ZINC000291249849 1044044974 /nfs/dbraw/zinc/04/49/74/1044044974.db2.gz RIFOAOLSESGMMO-UHFFFAOYSA-N 0 3 323.440 4.125 20 0 DIADHN CCOCCCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291233001 1044045273 /nfs/dbraw/zinc/04/52/73/1044045273.db2.gz OEZYHMKPXQMWAF-CYBMUJFWSA-N 0 3 322.449 4.417 20 0 DIADHN Cc1nnc([C@H](C)N(CCc2ccccc2)CCC(C)(C)C)o1 ZINC000880574040 1044047791 /nfs/dbraw/zinc/04/77/91/1044047791.db2.gz OZRQVGYGXGTMQN-HNNXBMFYSA-N 0 3 315.461 4.420 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1ccc2c(c1)COC2 ZINC000358305248 1044049936 /nfs/dbraw/zinc/04/99/36/1044049936.db2.gz SBZLWJLWGFINLK-LBPRGKRZSA-N 0 3 316.470 4.456 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1ccc3c(c1)COC3)CCCO2 ZINC000358294060 1044050554 /nfs/dbraw/zinc/05/05/54/1044050554.db2.gz ISVIRFHJSIWMOJ-HXUWFJFHSA-N 0 3 323.436 4.337 20 0 DIADHN Oc1ccc2c(c1)[C@@H](N[C@@H]1CCCOc3ccccc31)CCC2 ZINC000291264839 1044050804 /nfs/dbraw/zinc/05/08/04/1044050804.db2.gz QIMIQWYMGDNIAE-MOPGFXCFSA-N 0 3 309.409 4.273 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)c1C ZINC000931619483 1044051568 /nfs/dbraw/zinc/05/15/68/1044051568.db2.gz XPMAUTXHHYJBAY-LBPRGKRZSA-N 0 3 324.346 4.268 20 0 DIADHN C[C@H](O)C[C@@H]1CCCN1[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000443881451 1044051493 /nfs/dbraw/zinc/05/14/93/1044051493.db2.gz VDGMWVNFQAFVJV-KNHMANMVSA-N 0 3 314.256 4.216 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccc(C(C)C)cc1)c1nccn1C ZINC000562057935 1044057013 /nfs/dbraw/zinc/05/70/13/1044057013.db2.gz KMGQMHLJHNVAAK-MAUKXSAKSA-N 0 3 315.461 4.052 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000562058081 1044058984 /nfs/dbraw/zinc/05/89/84/1044058984.db2.gz AUMMQCAEYNDBPF-SCLBCKFNSA-N 0 3 320.839 4.433 20 0 DIADHN C[C@H](N[C@@H]1CCOC[C@@H]1C)c1csc(-c2ccccc2F)n1 ZINC000291348720 1044061506 /nfs/dbraw/zinc/06/15/06/1044061506.db2.gz XDPXRIOECBIUDF-SLEUVZQESA-N 0 3 320.433 4.025 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000358368215 1044061532 /nfs/dbraw/zinc/06/15/32/1044061532.db2.gz ODTAAEYGVRIVNU-VLIAUNLRSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@@H](N[C@H]1CCCOCC1)c1csc(-c2ccccc2F)n1 ZINC000291342236 1044061769 /nfs/dbraw/zinc/06/17/69/1044061769.db2.gz WPCMOHKUDUIVLX-OLZOCXBDSA-N 0 3 320.433 4.169 20 0 DIADHN COCc1nc(CN[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cs1 ZINC000562100322 1044064823 /nfs/dbraw/zinc/06/48/23/1044064823.db2.gz QULJVFVQWDREHA-NEPJUHHUSA-N 0 3 324.877 4.225 20 0 DIADHN COc1ccccc1OC1CCN(Cc2cc(C)cs2)CC1 ZINC001137158062 1044066695 /nfs/dbraw/zinc/06/66/95/1044066695.db2.gz BYJSQVPQGFVINE-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN CC[C@@H](NCc1cc(C)nnc1C)c1cccc2ccccc21 ZINC000931755174 1044069294 /nfs/dbraw/zinc/06/92/94/1044069294.db2.gz PKNQNOQAAPLTPZ-HXUWFJFHSA-N 0 3 305.425 4.488 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cccc4cccc2c43)nc1 ZINC000931809062 1044074705 /nfs/dbraw/zinc/07/47/05/1044074705.db2.gz QJVLNWHCEQWEIP-IBGZPJMESA-N 0 3 304.393 4.021 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCC(F)(F)C2)c2ccccc2)nc1 ZINC000280893455 1044081862 /nfs/dbraw/zinc/08/18/62/1044081862.db2.gz DSLCDLUYGVLOSB-DYVFJYSZSA-N 0 3 320.358 4.335 20 0 DIADHN FCc1ccc(CN2CC[C@]3(CC(F)(F)C3(F)F)C2)cc1 ZINC000931918637 1044084725 /nfs/dbraw/zinc/08/47/25/1044084725.db2.gz OZRVLASBASIIQI-ZDUSSCGKSA-N 0 3 305.290 4.023 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3N[C@H](CO)c1ccccc1 ZINC000281077449 1044092623 /nfs/dbraw/zinc/09/26/23/1044092623.db2.gz UFWUYMUAEDSETQ-VQTJNVASSA-N 0 3 320.436 4.177 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1CN(C)C)c1ccc(Cl)s1 ZINC000562340925 1044103324 /nfs/dbraw/zinc/10/33/24/1044103324.db2.gz KTLVOCHPRSSMKP-NSHDSACASA-N 0 3 322.861 4.205 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1CN(C)C)c1ccc(Cl)s1 ZINC000562340924 1044104018 /nfs/dbraw/zinc/10/40/18/1044104018.db2.gz KTLVOCHPRSSMKP-LLVKDONJSA-N 0 3 322.861 4.205 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc3nsnc32)cc1 ZINC000281251750 1044108176 /nfs/dbraw/zinc/10/81/76/1044108176.db2.gz DSBYSZDVQDGGPJ-LLVKDONJSA-N 0 3 315.467 4.264 20 0 DIADHN CC[C@H](NCCC(C)(C)OC)c1nc(C(F)(F)F)cs1 ZINC000346522684 1044113161 /nfs/dbraw/zinc/11/31/61/1044113161.db2.gz DOLBRGCXYNQGSW-VIFPVBQESA-N 0 3 310.385 4.018 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1NCc1ccc(Cl)cn1 ZINC000562438073 1044114354 /nfs/dbraw/zinc/11/43/54/1044114354.db2.gz HTISCYIGHUWXTC-IAGOWNOFSA-N 0 3 310.869 4.199 20 0 DIADHN COCc1nc(CN2CCC[C@@H]2[C@@H](C)c2ccccc2)cs1 ZINC000932277354 1044114871 /nfs/dbraw/zinc/11/48/71/1044114871.db2.gz HRCDNIJNNVCZMC-WMLDXEAASA-N 0 3 316.470 4.058 20 0 DIADHN CSCCCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000346566624 1044115539 /nfs/dbraw/zinc/11/55/39/1044115539.db2.gz UHSZERNFRZHGDB-QGZVFWFLSA-N 0 3 304.434 4.043 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cccc2cccnc21 ZINC000281378061 1044123322 /nfs/dbraw/zinc/12/33/22/1044123322.db2.gz XAGPUESPNRDLOD-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccn1)C1CC1)c1ccc(Cl)cc1 ZINC000932385184 1044123515 /nfs/dbraw/zinc/12/35/15/1044123515.db2.gz IJVLJDGVKOOSMP-ROUUACIJSA-N 0 3 316.832 4.163 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1cc2cccnc2o1 ZINC000562521888 1044125231 /nfs/dbraw/zinc/12/52/31/1044125231.db2.gz OUYXWHXBJYTZAW-QGZVFWFLSA-N 0 3 324.428 4.285 20 0 DIADHN C[C@@H]1C[C@H](CN(Cc2nc3ccccc3s2)C2CC2)CCO1 ZINC000932397335 1044126835 /nfs/dbraw/zinc/12/68/35/1044126835.db2.gz SRUBOVBHNHBGAN-ZIAGYGMSSA-N 0 3 316.470 4.076 20 0 DIADHN CCc1ccc(CN[C@@H](c2cccs2)C(C)(C)CO)s1 ZINC000562574362 1044132166 /nfs/dbraw/zinc/13/21/66/1044132166.db2.gz YDKMFQNGDSAVKM-HNNXBMFYSA-N 0 3 309.500 4.221 20 0 DIADHN Cc1ncc(C[NH2+][C@H](C)c2cccc(-c3ccccc3)c2)c(=O)[n-]1 ZINC000346786607 1044140124 /nfs/dbraw/zinc/14/01/24/1044140124.db2.gz DTXATMVSSXLQEQ-CQSZACIVSA-N 0 3 319.408 4.008 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccnc(Br)c1 ZINC000932560504 1044141758 /nfs/dbraw/zinc/14/17/58/1044141758.db2.gz YJYYWRXOUSPHOO-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(C)c(Cl)c1 ZINC000443996445 1044148673 /nfs/dbraw/zinc/14/86/73/1044148673.db2.gz JGPGTXXHKFARSP-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN CCCCn1cc(CN[C@@H]2CCCc3sccc32)c(C)n1 ZINC000359335047 1044156098 /nfs/dbraw/zinc/15/60/98/1044156098.db2.gz TYQUYLPALYEPLG-MRXNPFEDSA-N 0 3 303.475 4.220 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@H]2CCCC[C@H]2C)c(C)c1C ZINC000444041072 1044157411 /nfs/dbraw/zinc/15/74/11/1044157411.db2.gz WGRWBRWBLSRDKF-ABAIWWIYSA-N 0 3 308.491 4.122 20 0 DIADHN CC[C@H](C)[C@H](NCc1n[nH]c(C(C)C)n1)c1ccc(Cl)cc1 ZINC000428322948 1044159143 /nfs/dbraw/zinc/15/91/43/1044159143.db2.gz IJJMGKLYQVMHQJ-LRDDRELGSA-N 0 3 320.868 4.459 20 0 DIADHN FC(F)(F)CC1C[C@H]2CC[C@@H](C1)N2C[C@@H]1CC1(Cl)Cl ZINC000932799142 1044159658 /nfs/dbraw/zinc/15/96/58/1044159658.db2.gz LHWYUABVVLGUCR-QBUCEGOBSA-N 0 3 316.194 4.376 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)CCCOc1ccc(C#N)cc1 ZINC000320435614 1044173886 /nfs/dbraw/zinc/17/38/86/1044173886.db2.gz JYMQKCWPMFBRJT-HNNXBMFYSA-N 0 3 312.388 4.159 20 0 DIADHN CC[C@@H](NC[C@H](c1ccsc1)N(C)C)c1cc(F)ccc1F ZINC000359671335 1044189303 /nfs/dbraw/zinc/18/93/03/1044189303.db2.gz AQVKUFJXFONWAC-IAGOWNOFSA-N 0 3 324.440 4.370 20 0 DIADHN C[C@@H](COc1ccc(C(C)(C)C)cc1)NCc1ccc(F)nc1 ZINC000778160396 1044189886 /nfs/dbraw/zinc/18/98/86/1044189886.db2.gz VJMQYAHMJNAXMD-AWEZNQCLSA-N 0 3 316.420 4.075 20 0 DIADHN CSCc1cccc(CN[C@@H](c2cncc(F)c2)C2CC2)c1 ZINC000281795453 1044191371 /nfs/dbraw/zinc/19/13/71/1044191371.db2.gz YAFSWWVFIWVTJL-GOSISDBHSA-N 0 3 316.445 4.325 20 0 DIADHN CCc1ccc2ccccc2c1CN(C)Cc1cccc(=O)[nH]1 ZINC000933407940 1044196668 /nfs/dbraw/zinc/19/66/68/1044196668.db2.gz RGPCTXOLQZUTKI-UHFFFAOYSA-N 0 3 306.409 4.135 20 0 DIADHN C[C@@H](NCc1cncc2ccccc21)c1ccc2c(c1)CCO2 ZINC000933487970 1044197472 /nfs/dbraw/zinc/19/74/72/1044197472.db2.gz FEKBWLQAHNMTRX-CQSZACIVSA-N 0 3 304.393 4.021 20 0 DIADHN CC(C)C[C@H](NCc1ccc2oc(=O)oc2c1)c1ccccc1 ZINC000882880536 1044197743 /nfs/dbraw/zinc/19/77/43/1044197743.db2.gz XKBQXHPNRRBBKF-INIZCTEOSA-N 0 3 311.381 4.263 20 0 DIADHN CSc1ccc([C@H](C)NC[C@@H](O)c2ccccc2F)cc1F ZINC000130345066 1044198373 /nfs/dbraw/zinc/19/83/73/1044198373.db2.gz CTMPMDIKFARGJS-MEDUHNTESA-N 0 3 323.408 4.071 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1F)c1ccc(F)c(Cl)c1 ZINC000130374751 1044201727 /nfs/dbraw/zinc/20/17/27/1044201727.db2.gz RBJHIKICCZELAN-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN C[C@H]1COc2ccccc2CN1Cc1cccc2cccnc21 ZINC000359847186 1044206442 /nfs/dbraw/zinc/20/64/42/1044206442.db2.gz OTMUOOJLKJTPEP-HNNXBMFYSA-N 0 3 304.393 4.018 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cnc(C)s2)C12CCCCC2 ZINC000347220069 1044208108 /nfs/dbraw/zinc/20/81/08/1044208108.db2.gz CFBWXEQDWZZQRM-CVEARBPZSA-N 0 3 308.491 4.011 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccco1)NCc1cccc(Cl)c1Cl ZINC000130617880 1044209127 /nfs/dbraw/zinc/20/91/27/1044209127.db2.gz REVLKYWVRZYALT-GXFFZTMASA-N 0 3 314.212 4.188 20 0 DIADHN C[C@H](NCCCCCCO)c1csc(-c2ccccc2)n1 ZINC000840733583 1044211827 /nfs/dbraw/zinc/21/18/27/1044211827.db2.gz SGVXACPWRRPNFM-AWEZNQCLSA-N 0 3 304.459 4.013 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc3oc(=O)oc3c1)CC2 ZINC000883093452 1044219834 /nfs/dbraw/zinc/21/98/34/1044219834.db2.gz WIGBVCYYTJYPJW-KRWDZBQOSA-N 0 3 323.392 4.287 20 0 DIADHN Cc1oncc1CN(C)[C@H](C)Cc1ccccc1C(F)(F)F ZINC000347289802 1044226005 /nfs/dbraw/zinc/22/60/05/1044226005.db2.gz BDOUXTHJBVQECK-LLVKDONJSA-N 0 3 312.335 4.065 20 0 DIADHN Cc1ncc(CN2CCC(Cc3cccc(F)c3)CC2)s1 ZINC000347295843 1044228910 /nfs/dbraw/zinc/22/89/10/1044228910.db2.gz CXSPJGIJRAAEDD-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN CC(C)(O)CCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360046560 1044229406 /nfs/dbraw/zinc/22/94/06/1044229406.db2.gz QESMRMKJNWBLMG-VIFPVBQESA-N 0 3 308.274 4.183 20 0 DIADHN O[C@@H]1CCCC[C@H]1N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360041821 1044230353 /nfs/dbraw/zinc/23/03/53/1044230353.db2.gz ZTPUXWKCCZCPDQ-GMTAPVOTSA-N 0 3 320.285 4.325 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](c2ccccc2)O1)c1cncs1 ZINC000934196279 1044230484 /nfs/dbraw/zinc/23/04/84/1044230484.db2.gz QKOZLZBSQHQPEQ-BPUTZDHNSA-N 0 3 302.443 4.104 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](c2ccccc2)O1)c1cncs1 ZINC000934196280 1044231273 /nfs/dbraw/zinc/23/12/73/1044231273.db2.gz QKOZLZBSQHQPEQ-CWRNSKLLSA-N 0 3 302.443 4.104 20 0 DIADHN CN(CCCCOC(C)(C)C)Cc1ccc(Br)o1 ZINC000475057927 1044231642 /nfs/dbraw/zinc/23/16/42/1044231642.db2.gz KXEFKGRSUWDOKW-UHFFFAOYSA-N 0 3 318.255 4.069 20 0 DIADHN CC1(C)CC[C@@H](NCc2cnn(CC(F)F)c2)c2ccccc21 ZINC000293008495 1044238580 /nfs/dbraw/zinc/23/85/80/1044238580.db2.gz OKJYMUUWJZIPJM-MRXNPFEDSA-N 0 3 319.399 4.051 20 0 DIADHN CCSc1ccc(CNCc2cc(COC)cs2)cc1 ZINC000883235723 1044240604 /nfs/dbraw/zinc/24/06/04/1044240604.db2.gz JPUDMSBGDBCTTF-UHFFFAOYSA-N 0 3 307.484 4.296 20 0 DIADHN CCSc1ccc(CN[C@@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000883245062 1044244408 /nfs/dbraw/zinc/24/44/08/1044244408.db2.gz CSMJZSJGRHBNKI-ZDUSSCGKSA-N 0 3 317.454 4.364 20 0 DIADHN C[C@@H](NCC1(C)CC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000883257162 1044247009 /nfs/dbraw/zinc/24/70/09/1044247009.db2.gz TZDPINCPMKRKNN-CYBMUJFWSA-N 0 3 319.399 4.173 20 0 DIADHN CC1(CN[C@H](c2ccc(F)cc2)c2ccccn2)CC(F)(F)C1 ZINC000883256437 1044248152 /nfs/dbraw/zinc/24/81/52/1044248152.db2.gz XOJPDOQUTSFYRT-MRXNPFEDSA-N 0 3 320.358 4.335 20 0 DIADHN COC1([C@@H](C)N[C@@H](C)c2sccc2Br)CCC1 ZINC000563346312 1044249253 /nfs/dbraw/zinc/24/92/53/1044249253.db2.gz MHBRDDZJVFVJKE-VHSXEESVSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1CC[C@@H](c2nccn2C)C1 ZINC000563351094 1044249815 /nfs/dbraw/zinc/24/98/15/1044249815.db2.gz WEAAUUYTISQRHM-WCQYABFASA-N 0 3 324.255 4.277 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@H](c2nccn2C)C1 ZINC000563351095 1044250288 /nfs/dbraw/zinc/25/02/88/1044250288.db2.gz WEAAUUYTISQRHM-YPMHNXCESA-N 0 3 324.255 4.277 20 0 DIADHN COCC[C@@H](NC[C@H](OC(C)C)c1ccccc1)c1ccco1 ZINC000293134562 1044250611 /nfs/dbraw/zinc/25/06/11/1044250611.db2.gz LLPIYWLJIIDMLA-MJGOQNOKSA-N 0 3 317.429 4.113 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCCC[C@H]1c1cccnc1 ZINC001137186531 1044257318 /nfs/dbraw/zinc/25/73/18/1044257318.db2.gz XUXVVKSHAYGYGV-IBGZPJMESA-N 0 3 319.408 4.063 20 0 DIADHN COc1cc([C@@H](C)NCc2[nH]c(C)nc2C)cc2ccccc21 ZINC000934631850 1044264425 /nfs/dbraw/zinc/26/44/25/1044264425.db2.gz YQOIIMKQVIJRPO-GFCCVEGCSA-N 0 3 309.413 4.039 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2cccc(C3CC3)c2)c1 ZINC000293245441 1044264640 /nfs/dbraw/zinc/26/46/40/1044264640.db2.gz BIOZDKZWJDPUFC-CQSZACIVSA-N 0 3 308.425 4.373 20 0 DIADHN C=COc1ccc(NC2CCN(CCC(F)(F)F)CC2)cc1 ZINC000840773183 1044268099 /nfs/dbraw/zinc/26/80/99/1044268099.db2.gz YZXMMYIPVKDXDW-UHFFFAOYSA-N 0 3 314.351 4.038 20 0 DIADHN COC[C@H]1C[C@@H](N[C@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000883319526 1044272432 /nfs/dbraw/zinc/27/24/32/1044272432.db2.gz FPXNVXJLILRHNX-UPJWGTAASA-N 0 3 320.433 4.025 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000360466757 1044273690 /nfs/dbraw/zinc/27/36/90/1044273690.db2.gz NYOJXXJEQKLLGU-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN CCN(Cc1cc(Br)ccc1OC(F)F)C1CC1 ZINC000063741245 1044273948 /nfs/dbraw/zinc/27/39/48/1044273948.db2.gz JWTZXMFOFKWCEF-UHFFFAOYSA-N 0 3 320.177 4.035 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000475115920 1044279334 /nfs/dbraw/zinc/27/93/34/1044279334.db2.gz DDVDKZANMUMODR-KPFFTGBYSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1csc(Cc2ccccc2)n1 ZINC000475149830 1044282612 /nfs/dbraw/zinc/28/26/12/1044282612.db2.gz IYABOXSCPMYYFN-WMLDXEAASA-N 0 3 300.471 4.402 20 0 DIADHN c1cc(C2CCC2)ccc1CNCc1ccc(C2OCCO2)cc1 ZINC000293426024 1044284346 /nfs/dbraw/zinc/28/43/46/1044284346.db2.gz ATFYRDTULZKPTG-UHFFFAOYSA-N 0 3 323.436 4.289 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1csc(Cc2ccccc2)n1 ZINC000475149828 1044284586 /nfs/dbraw/zinc/28/45/86/1044284586.db2.gz IYABOXSCPMYYFN-RHSMWYFYSA-N 0 3 300.471 4.402 20 0 DIADHN Fc1ccc(CCN2CC[C@@H](CC(F)(F)F)C2)c(Cl)c1 ZINC000563577172 1044284839 /nfs/dbraw/zinc/28/48/39/1044284839.db2.gz CACZGQJKPCEKMR-JTQLQIEISA-N 0 3 309.734 4.296 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)cc1 ZINC000360589806 1044288526 /nfs/dbraw/zinc/28/85/26/1044288526.db2.gz XKCQALKXHGPMQC-OXQOHEQNSA-N 0 3 324.468 4.119 20 0 DIADHN CC[C@@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1cccs1 ZINC000360596076 1044289485 /nfs/dbraw/zinc/28/94/85/1044289485.db2.gz GXXYHOCHAFZIQD-CVEARBPZSA-N 0 3 316.470 4.008 20 0 DIADHN CSCc1cnc(CNCC[C@@H](C)c2ccccc2)s1 ZINC000293524564 1044294340 /nfs/dbraw/zinc/29/43/40/1044294340.db2.gz IWPOAMKVJHJVLW-CYBMUJFWSA-N 0 3 306.500 4.290 20 0 DIADHN CC1(C)CC[C@@H](N[C@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000360662519 1044295776 /nfs/dbraw/zinc/29/57/76/1044295776.db2.gz GLFKFSJLLXZKBB-RTBURBONSA-N 0 3 313.416 4.261 20 0 DIADHN c1cncc([C@@H](NCc2ccnc(OC3CCC3)c2)C2CCC2)c1 ZINC000360658850 1044297498 /nfs/dbraw/zinc/29/74/98/1044297498.db2.gz WAXDYLMUCIMXCK-FQEVSTJZSA-N 0 3 323.440 4.039 20 0 DIADHN CC1(C)CC[C@@H](N[C@@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000360662516 1044297531 /nfs/dbraw/zinc/29/75/31/1044297531.db2.gz GLFKFSJLLXZKBB-MOPGFXCFSA-N 0 3 313.416 4.261 20 0 DIADHN CC[C@H](N[C@@H](Cn1ccnc1)c1ccccc1)c1cccs1 ZINC000883386996 1044298631 /nfs/dbraw/zinc/29/86/31/1044298631.db2.gz PXLKKEYARIVVAN-IRXDYDNUSA-N 0 3 311.454 4.427 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883386384 1044299038 /nfs/dbraw/zinc/29/90/38/1044299038.db2.gz FFBVOVLHDIFQET-RDJZCZTQSA-N 0 3 311.454 4.345 20 0 DIADHN Fc1cccc2c1CC[C@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387297 1044299763 /nfs/dbraw/zinc/29/97/63/1044299763.db2.gz VFXKRETWJXNGMN-WOJBJXKFSA-N 0 3 321.399 4.041 20 0 DIADHN CC[C@@H](N[C@@H](Cn1ccnc1)c1ccccc1)c1ccccc1 ZINC000883386161 1044300478 /nfs/dbraw/zinc/30/04/78/1044300478.db2.gz ASIKYTDOEXNHQN-UXHICEINSA-N 0 3 305.425 4.365 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387267 1044300962 /nfs/dbraw/zinc/30/09/62/1044300962.db2.gz UWCYSGQLKZXPSQ-FXAWDEMLSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387574 1044301235 /nfs/dbraw/zinc/30/12/35/1044301235.db2.gz ZUXIPIMOHFAEHV-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(OCC(C)C)CC1 ZINC000293589821 1044302387 /nfs/dbraw/zinc/30/23/87/1044302387.db2.gz UIWOKJDYOWVHED-UHFFFAOYSA-N 0 3 304.478 4.150 20 0 DIADHN Fc1ccc(CN[C@@H](C[C@H]2CCOC2)c2ccc(F)cc2)cc1 ZINC000293582042 1044302480 /nfs/dbraw/zinc/30/24/80/1044302480.db2.gz YPWJHXPBBRLMSU-BEFAXECRSA-N 0 3 317.379 4.222 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ncc[nH]1 ZINC000563693838 1044303926 /nfs/dbraw/zinc/30/39/26/1044303926.db2.gz RTTUSJFOZRDJGL-MFKMUULPSA-N 0 3 312.244 4.388 20 0 DIADHN CCC[C@@H](NCc1ccc(OC(F)F)c(F)c1)c1cccnc1 ZINC000293633206 1044308223 /nfs/dbraw/zinc/30/82/23/1044308223.db2.gz GQVKVXIXZBPFLM-OAHLLOKOSA-N 0 3 324.346 4.453 20 0 DIADHN Cc1noc(C)c1CNC1CC(F)(c2cccc(Cl)c2)C1 ZINC000360774354 1044310170 /nfs/dbraw/zinc/31/01/70/1044310170.db2.gz YLIMYTDPVWEBBF-UHFFFAOYSA-N 0 3 308.784 4.062 20 0 DIADHN Cc1ccc(OCc2nc(CNCCC3(C)CC3)cs2)cc1 ZINC000475191311 1044312872 /nfs/dbraw/zinc/31/28/72/1044312872.db2.gz NVEDRWWWRUZZAT-UHFFFAOYSA-N 0 3 316.470 4.310 20 0 DIADHN Cc1cccc2ncc(CN3CCC(Cc4ccccc4)CC3)n21 ZINC001137191209 1044314688 /nfs/dbraw/zinc/31/46/88/1044314688.db2.gz SPDVEBICUUQRFY-UHFFFAOYSA-N 0 3 319.452 4.097 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1Cl)c1ccc(F)cc1F ZINC000132161590 1044315329 /nfs/dbraw/zinc/31/53/29/1044315329.db2.gz MBQWCXASGIRRQD-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1sccc1CN[C@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000293713846 1044316525 /nfs/dbraw/zinc/31/65/25/1044316525.db2.gz XZMPABCQNABPMK-SFHVURJKSA-N 0 3 315.438 4.069 20 0 DIADHN CC[C@H](NCc1ccccc1N1CCCCC1)c1ccncc1 ZINC000360894605 1044323811 /nfs/dbraw/zinc/32/38/11/1044323811.db2.gz VEVWFWCLMJRITN-IBGZPJMESA-N 0 3 309.457 4.313 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(SC)c2)C2CC2)cn1 ZINC000626181126 1044330006 /nfs/dbraw/zinc/33/00/06/1044330006.db2.gz VHLDMUIJJJZHMY-SFHVURJKSA-N 0 3 314.454 4.053 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(OCC(F)F)cc1 ZINC000475275396 1044335884 /nfs/dbraw/zinc/33/58/84/1044335884.db2.gz GBNGNCBFXIYXHA-ZWNOBZJWSA-N 0 3 323.305 4.103 20 0 DIADHN Cc1cccnc1[C@@H](NC[C@@H](O)c1cc2ccccc2o1)C(C)C ZINC000293828053 1044336956 /nfs/dbraw/zinc/33/69/56/1044336956.db2.gz PONMZHDIFDLUNB-APWZRJJASA-N 0 3 324.424 4.157 20 0 DIADHN COc1c(C)cc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)cc1C ZINC000475279426 1044338156 /nfs/dbraw/zinc/33/81/56/1044338156.db2.gz NTQLHSMANWCXMG-TZMCWYRMSA-N 0 3 301.352 4.085 20 0 DIADHN COc1c(C)cc(CN2CC[C@H](C(F)(F)F)[C@H]2C)cc1C ZINC000475279425 1044338648 /nfs/dbraw/zinc/33/86/48/1044338648.db2.gz NTQLHSMANWCXMG-OCCSQVGLSA-N 0 3 301.352 4.085 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc(OCC(C)C)cc2)cnn1C ZINC000192852131 1044343044 /nfs/dbraw/zinc/34/30/44/1044343044.db2.gz WPZCALXUCLWSOA-CABCVRRESA-N 0 3 315.461 4.175 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(O)cc32)cc1Cl ZINC000361001404 1044344840 /nfs/dbraw/zinc/34/48/40/1044344840.db2.gz FNXKWWCXZAKFOC-KRWDZBQOSA-N 0 3 317.816 4.221 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2ccc(C)c(Cl)n2)C1(C)C ZINC001307757299 1044345430 /nfs/dbraw/zinc/34/54/30/1044345430.db2.gz MEKJOEDRHHJRDD-LSDHHAIUSA-N 0 3 310.869 4.117 20 0 DIADHN Cc1nc2ccc(CN3CC[C@H](C(F)(F)F)[C@H]3C)cc2s1 ZINC000475285628 1044346627 /nfs/dbraw/zinc/34/66/27/1044346627.db2.gz ZQTJPAHUEZFOJJ-SKDRFNHKSA-N 0 3 314.376 4.377 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(Cl)cc1 ZINC000564144227 1044347537 /nfs/dbraw/zinc/34/75/37/1044347537.db2.gz LQMKMMRFBCCHFC-HNNXBMFYSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CN(C)C)c2ccc(F)cc2)c2ccccc21 ZINC000564153555 1044348047 /nfs/dbraw/zinc/34/80/47/1044348047.db2.gz VVSLQBKJCQEMFN-VOBQZIQPSA-N 0 3 312.432 4.267 20 0 DIADHN CC/C=C/CNCc1c(C)nn(Cc2ccccc2Cl)c1C ZINC001202560494 1044351247 /nfs/dbraw/zinc/35/12/47/1044351247.db2.gz IUJNTVOCCYSTIX-VMPITWQZSA-N 0 3 317.864 4.257 20 0 DIADHN Cc1nc([C@@H]2CCCN2C[C@H](C)CC2CCOCC2)cs1 ZINC000361053561 1044352924 /nfs/dbraw/zinc/35/29/24/1044352924.db2.gz NLJLGWGNSMYQOC-DYVFJYSZSA-N 0 3 308.491 4.041 20 0 DIADHN CCc1nc(CNCC2(c3ccc(C)cc3C)CC2)cs1 ZINC001202572693 1044358204 /nfs/dbraw/zinc/35/82/04/1044358204.db2.gz ZMORNHHZBCSFCC-UHFFFAOYSA-N 0 3 300.471 4.144 20 0 DIADHN C[C@H](NCc1ccc(N2CCCC2)nc1)c1cccc(C2CC2)c1 ZINC000293960269 1044358538 /nfs/dbraw/zinc/35/85/38/1044358538.db2.gz ZVUWKSHHSSGYON-INIZCTEOSA-N 0 3 321.468 4.410 20 0 DIADHN COC1(C[C@H](C)N[C@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000475329486 1044363138 /nfs/dbraw/zinc/36/31/38/1044363138.db2.gz LYBVQPWKSPHQEJ-FXAWDEMLSA-N 0 3 324.468 4.417 20 0 DIADHN CN(C)[C@H](CNc1ccnc2ccsc21)c1ccsc1 ZINC000361161886 1044366927 /nfs/dbraw/zinc/36/69/27/1044366927.db2.gz OQCMSTMUZSMXTA-CQSZACIVSA-N 0 3 303.456 4.073 20 0 DIADHN C[C@](O)(CN[C@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000361350092 1044395205 /nfs/dbraw/zinc/39/52/05/1044395205.db2.gz BXXPUFOVIMUEOE-HZMBPMFUSA-N 0 3 320.285 4.183 20 0 DIADHN CC(C)c1nc(CNCC[C@H]2CCc3ccccc32)cs1 ZINC001202610753 1044370329 /nfs/dbraw/zinc/37/03/29/1044370329.db2.gz AZBIUYGJJXFHJB-OAHLLOKOSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361186711 1044372592 /nfs/dbraw/zinc/37/25/92/1044372592.db2.gz MKXRLNGIXUIBCC-JOYOIKCWSA-N 0 3 323.305 4.499 20 0 DIADHN CCO[P@@](C)(=O)CC[C@@H](C)NCc1c(F)cc(C)cc1F ZINC000897213064 1044373460 /nfs/dbraw/zinc/37/34/60/1044373460.db2.gz NXJOBTPEWIHQCR-XUSGNXJCSA-N 0 3 319.332 4.086 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1sccc1C1CC1 ZINC000361210314 1044375393 /nfs/dbraw/zinc/37/53/93/1044375393.db2.gz XVJNTWWFEFLIFU-UHFFFAOYSA-N 0 3 314.454 4.248 20 0 DIADHN CSc1ccc(CN[C@@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000564415980 1044375310 /nfs/dbraw/zinc/37/53/10/1044375310.db2.gz XRPNXXBSPIBMSA-HNNXBMFYSA-N 0 3 324.490 4.002 20 0 DIADHN FC(F)(F)c1csc(CNC[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000348264718 1044379214 /nfs/dbraw/zinc/37/92/14/1044379214.db2.gz VIBZEJKXCNSTAA-VXGBXAGGSA-N 0 3 312.360 4.055 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1csc(COC)n1 ZINC000564434515 1044380559 /nfs/dbraw/zinc/38/05/59/1044380559.db2.gz YPIIYUOVZZGQQY-INIZCTEOSA-N 0 3 318.486 4.181 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@@H]3C[C@H]3C(F)F)cs2)cs1 ZINC000348268868 1044388605 /nfs/dbraw/zinc/38/86/05/1044388605.db2.gz FELAGDVDICTRTB-WHGOUJPWSA-N 0 3 314.426 4.484 20 0 DIADHN COCCC[C@H](NCc1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000281995881 1044392778 /nfs/dbraw/zinc/39/27/78/1044392778.db2.gz PXHWSSMAPIKVTF-SFHVURJKSA-N 0 3 323.358 4.361 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000361444602 1044403747 /nfs/dbraw/zinc/40/37/47/1044403747.db2.gz RYNDNDJCCSKIGN-MSOLQXFVSA-N 0 3 323.864 4.061 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccccc1)c1ccccc1OC ZINC000192940978 1044404697 /nfs/dbraw/zinc/40/46/97/1044404697.db2.gz XHACAMPGBNLKGE-RBUKOAKNSA-N 0 3 312.457 4.039 20 0 DIADHN CC[C@@H](NCC[C@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000348283836 1044406345 /nfs/dbraw/zinc/40/63/45/1044406345.db2.gz YKQWDRJTEKWPNJ-GHMZBOCLSA-N 0 3 322.396 4.162 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000361481535 1044407779 /nfs/dbraw/zinc/40/77/79/1044407779.db2.gz OCIFCYZGDSONHJ-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN CCN(Cc1nnc(-c2ccccc2Cl)o1)CC1CCC1 ZINC000361482400 1044408023 /nfs/dbraw/zinc/40/80/23/1044408023.db2.gz XPTVVWZKJCUWQF-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN CC(C)C[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccccn1 ZINC000361483118 1044408146 /nfs/dbraw/zinc/40/81/46/1044408146.db2.gz CKNNRLSDTLPMCZ-HXUWFJFHSA-N 0 3 320.440 4.144 20 0 DIADHN CCN(C)c1ccc(CN2CCC[C@@H]2c2ccc(C)s2)cn1 ZINC000361551532 1044416720 /nfs/dbraw/zinc/41/67/20/1044416720.db2.gz VWVDGUXFXHBHTL-MRXNPFEDSA-N 0 3 315.486 4.245 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(CC1CC1)C1CCC1 ZINC000361575651 1044419951 /nfs/dbraw/zinc/41/99/51/1044419951.db2.gz CKULCFBFOLXAKZ-UHFFFAOYSA-N 0 3 314.473 4.321 20 0 DIADHN CC(C)c1cccc(CN[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC001202637747 1044430567 /nfs/dbraw/zinc/43/05/67/1044430567.db2.gz GTMJQYBYLVKIKF-FQEVSTJZSA-N 0 3 314.448 4.342 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000294541996 1044431929 /nfs/dbraw/zinc/43/19/29/1044431929.db2.gz AQOTWRYDQDLAOF-MGPQQGTHSA-N 0 3 301.821 4.035 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN(Cc1cccs1)C1CC1 ZINC000361701050 1044432381 /nfs/dbraw/zinc/43/23/81/1044432381.db2.gz FYOHKSMLZOZFIZ-UHFFFAOYSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1nc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)[nH]c1C ZINC000565434077 1044435188 /nfs/dbraw/zinc/43/51/88/1044435188.db2.gz WOGRBMIKASWPSD-CTYIDZIISA-N 0 3 323.362 4.081 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN(Cc1ccco1)C(C)C ZINC000361712640 1044435228 /nfs/dbraw/zinc/43/52/28/1044435228.db2.gz BGCANPZWOKIUHF-UHFFFAOYSA-N 0 3 309.413 4.184 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4cc(F)ccc43)CC2)c1 ZINC000565552979 1044441375 /nfs/dbraw/zinc/44/13/75/1044441375.db2.gz OKJHMVKBQHPRMF-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000565638460 1044448087 /nfs/dbraw/zinc/44/80/87/1044448087.db2.gz KYZFOGRAPUKOFC-ZDUSSCGKSA-N 0 3 323.362 4.159 20 0 DIADHN CCN(CC)C(=O)[C@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361835055 1044451417 /nfs/dbraw/zinc/45/14/17/1044451417.db2.gz SOFBEKGUQGDEPS-WMLDXEAASA-N 0 3 322.468 4.150 20 0 DIADHN CC[C@@H](NCCC1CCCCCC1)c1nnc2n1CCCCC2 ZINC000565744080 1044454292 /nfs/dbraw/zinc/45/42/92/1044454292.db2.gz RMRQYZWHFMNSHL-QGZVFWFLSA-N 0 3 318.509 4.406 20 0 DIADHN Cc1ccc(NC(=O)c2oc3ccccc3c2CN(C)C)c(C)c1 ZINC000114111549 1044456894 /nfs/dbraw/zinc/45/68/94/1044456894.db2.gz WTLJWDFPBUEDOI-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCc3ncsc3C2)cc1 ZINC000565807490 1044456961 /nfs/dbraw/zinc/45/69/61/1044456961.db2.gz UFAXADKMTVZMLR-UHFFFAOYSA-N 0 3 300.471 4.041 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](O)C1)c1nc(-c2ccccc2)cs1 ZINC000361892064 1044457667 /nfs/dbraw/zinc/45/76/67/1044457667.db2.gz YXEHHZREZWHMTR-DZKIICNBSA-N 0 3 316.470 4.012 20 0 DIADHN CCOCCCN1CCC[C@H]1c1nc2ccccc2n1C(C)C ZINC000348303409 1044467267 /nfs/dbraw/zinc/46/72/67/1044467267.db2.gz FGJQEIDLDJUPOW-SFHVURJKSA-N 0 3 315.461 4.181 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2ccc(C)c(C)c2)c2ccccc21 ZINC000362033855 1044471637 /nfs/dbraw/zinc/47/16/37/1044471637.db2.gz VZOGMAQGMLIHRD-UZLBHIALSA-N 0 3 322.452 4.452 20 0 DIADHN COCCCCCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000116876601 1044474447 /nfs/dbraw/zinc/47/44/47/1044474447.db2.gz JVMBOZPKLDKXIC-OAHLLOKOSA-N 0 3 304.459 4.250 20 0 DIADHN c1sc(C2CCCC2)nc1CN1CCC[C@H]1[C@@H]1CCCOC1 ZINC000566172766 1044477192 /nfs/dbraw/zinc/47/71/92/1044477192.db2.gz FYZFJONZFGYUOK-WBVHZDCISA-N 0 3 320.502 4.192 20 0 DIADHN CCC[C@@H](NC[C@@H]1CSc2ccccc2O1)c1ccccn1 ZINC000566220014 1044477868 /nfs/dbraw/zinc/47/78/68/1044477868.db2.gz HMFYQLYGRJQNNV-HUUCEWRRSA-N 0 3 314.454 4.066 20 0 DIADHN CC[C@H](N[C@H](C)c1csc(-c2ccccc2F)n1)C(C)(C)O ZINC000294996724 1044478976 /nfs/dbraw/zinc/47/89/76/1044478976.db2.gz LSXZHHOKLGGSSD-ABAIWWIYSA-N 0 3 322.449 4.149 20 0 DIADHN Brc1cccc(C2CCN(Cc3ccc[nH]3)CC2)c1 ZINC001204009617 1044490174 /nfs/dbraw/zinc/49/01/74/1044490174.db2.gz AKIREIAHSYQYHV-UHFFFAOYSA-N 0 3 319.246 4.157 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)cn1 ZINC000119339678 1044494645 /nfs/dbraw/zinc/49/46/45/1044494645.db2.gz DYBRRZXKRUEIBX-ACJLOTCBSA-N 0 3 301.409 4.234 20 0 DIADHN COc1nc2ccccc2cc1CN(C)CCc1ccc(F)cc1 ZINC001137206883 1044497431 /nfs/dbraw/zinc/49/74/31/1044497431.db2.gz OVNQHTBIRKYBDX-UHFFFAOYSA-N 0 3 324.399 4.057 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@H](c3cccc(F)c3)C2)c1 ZINC000475555644 1044499881 /nfs/dbraw/zinc/49/98/81/1044499881.db2.gz RCAJROTYMJYDLA-YOEHRIQHSA-N 0 3 303.352 4.096 20 0 DIADHN COc1ccc2nc(CN3CCC[C@@H]4CCC[C@@H]43)sc2c1 ZINC000475561789 1044500176 /nfs/dbraw/zinc/50/01/76/1044500176.db2.gz ANDHQTWOKVQCKQ-WFASDCNBSA-N 0 3 302.443 4.069 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@@H](c3cccc(F)c3)C2)c1 ZINC000475555642 1044500978 /nfs/dbraw/zinc/50/09/78/1044500978.db2.gz RCAJROTYMJYDLA-RHSMWYFYSA-N 0 3 303.352 4.096 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@H](C)c1ccccc1 ZINC000119965846 1044501456 /nfs/dbraw/zinc/50/14/56/1044501456.db2.gz PMWTXLUEIRWQCY-OAHLLOKOSA-N 0 3 310.441 4.101 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2cccc(C)c2C)cc1 ZINC000120259135 1044502716 /nfs/dbraw/zinc/50/27/16/1044502716.db2.gz OMCQETKXHAQSNH-UZLBHIALSA-N 0 3 313.441 4.086 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2CC(C)(C)Cc3occc32)cc1 ZINC000120185126 1044504188 /nfs/dbraw/zinc/50/41/88/1044504188.db2.gz DWDQNQOAAYZCQW-QGZVFWFLSA-N 0 3 312.413 4.041 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ccc(F)cc2C(F)(F)F)CC1 ZINC000475564948 1044505566 /nfs/dbraw/zinc/50/55/66/1044505566.db2.gz ZJZCFEVUNHAINU-OAHLLOKOSA-N 0 3 319.342 4.236 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2cccc(C)c2C)cc1 ZINC000120259411 1044505970 /nfs/dbraw/zinc/50/59/70/1044505970.db2.gz OMCQETKXHAQSNH-OXQOHEQNSA-N 0 3 313.441 4.086 20 0 DIADHN Cc1cc(F)ccc1CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC000566656487 1044507430 /nfs/dbraw/zinc/50/74/30/1044507430.db2.gz LGPLJZDECBISHR-LJQANCHMSA-N 0 3 313.416 4.128 20 0 DIADHN COc1ccc([C@H](NC2Cc3ccccc3C2)c2ccco2)cc1 ZINC000566712216 1044508531 /nfs/dbraw/zinc/50/85/31/1044508531.db2.gz UWFMNKIQYWCYLX-NRFANRHFSA-N 0 3 319.404 4.135 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N(C)CCC1CCOCC1 ZINC000120464352 1044508840 /nfs/dbraw/zinc/50/88/40/1044508840.db2.gz GEUBZTKNXAWRPE-HNNXBMFYSA-N 0 3 314.429 4.151 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1ncccc1C)C(C)C)CC2 ZINC000120505159 1044509938 /nfs/dbraw/zinc/50/99/38/1044509938.db2.gz FDUXKZSSHJVYSJ-MOPGFXCFSA-N 0 3 310.441 4.373 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1ccc(F)cc1N(C)C ZINC000120529777 1044510472 /nfs/dbraw/zinc/51/04/72/1044510472.db2.gz ZFKVOOWJFJAIMM-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc2[nH]c(C)cc2c1 ZINC000566729583 1044511345 /nfs/dbraw/zinc/51/13/45/1044511345.db2.gz PZXQDAFUMMCDLN-GOSISDBHSA-N 0 3 324.399 4.401 20 0 DIADHN CC(C)COc1ccccc1CN1CC[C@@H](Nc2ccccc2)C1 ZINC000566753425 1044513839 /nfs/dbraw/zinc/51/38/39/1044513839.db2.gz XWYMHFXXYYRUJW-HXUWFJFHSA-N 0 3 324.468 4.408 20 0 DIADHN CCCN(Cc1ccc(CC)nc1)[C@H]1CCCc2cccnc21 ZINC000566764729 1044514453 /nfs/dbraw/zinc/51/44/53/1044514453.db2.gz OLGGRBBHECYSQM-IBGZPJMESA-N 0 3 309.457 4.329 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c(C)s1 ZINC000566815175 1044518208 /nfs/dbraw/zinc/51/82/08/1044518208.db2.gz XYXPGFZAACFAPP-FZKQIMNGSA-N 0 3 320.477 4.458 20 0 DIADHN C[C@H](CCCCO)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000566837043 1044520947 /nfs/dbraw/zinc/52/09/47/1044520947.db2.gz CTBWCHQUVRTBBM-GFCCVEGCSA-N 0 3 324.877 4.104 20 0 DIADHN C[C@H](NCCc1cc(Cl)cc(Cl)c1)c1ccncc1F ZINC000566902979 1044525349 /nfs/dbraw/zinc/52/53/49/1044525349.db2.gz VWGUUCXJQVCQPS-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN c1coc(CN(CCCc2ccncc2)Cc2cccs2)c1 ZINC000347334829 1044532145 /nfs/dbraw/zinc/53/21/45/1044532145.db2.gz DMZPTPSRSNVCKO-UHFFFAOYSA-N 0 3 312.438 4.371 20 0 DIADHN O[C@@H](CN[C@H]1CCCSc2ccc(Cl)cc21)c1ccco1 ZINC000305083495 1044538536 /nfs/dbraw/zinc/53/85/36/1044538536.db2.gz SARGRLMQNINWAU-KBPBESRZSA-N 0 3 323.845 4.183 20 0 DIADHN CCCC[C@H](CCC)C[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000567142186 1044545735 /nfs/dbraw/zinc/54/57/35/1044545735.db2.gz HVHUUNWULCFCSQ-RDJZCZTQSA-N 0 3 300.450 4.090 20 0 DIADHN C[C@H]1C[C@H](NCc2ccnc(N3CCCCC3)c2)c2ccccc21 ZINC000567154880 1044545851 /nfs/dbraw/zinc/54/58/51/1044545851.db2.gz BTWUNXHMWQWFEF-JXFKEZNVSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(Br)cn1 ZINC000567208339 1044552275 /nfs/dbraw/zinc/55/22/75/1044552275.db2.gz OEEVSXGCJFDFFV-GXSJLCMTSA-N 0 3 319.193 4.073 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccccc1 ZINC000348337685 1044555717 /nfs/dbraw/zinc/55/57/17/1044555717.db2.gz ULBWFGHLVXNGKV-RTBURBONSA-N 0 3 300.421 4.169 20 0 DIADHN Cc1cccc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1F ZINC000295739005 1044555710 /nfs/dbraw/zinc/55/57/10/1044555710.db2.gz ORDAMAXFBMYUGO-LPHOPBHVSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CCC(C)C)c(Cl)c1 ZINC000053365540 1044557668 /nfs/dbraw/zinc/55/76/68/1044557668.db2.gz HUFUJOOJSPDWMG-AWEZNQCLSA-N 0 3 310.869 4.262 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](C)C(=O)Nc1c(C)cccc1C ZINC000028894966 1044561023 /nfs/dbraw/zinc/56/10/23/1044561023.db2.gz JFGMIQOUALGCDA-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN CCC[C@H](N[C@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000295822733 1044563224 /nfs/dbraw/zinc/56/32/24/1044563224.db2.gz BYPYGXGFWYNVPA-KDOFPFPSSA-N 0 3 301.434 4.104 20 0 DIADHN C[C@@H]1CSC[C@H]1N[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000295826850 1044564866 /nfs/dbraw/zinc/56/48/66/1044564866.db2.gz UZOGTPWKVIXAKS-OASPWFOLSA-N 0 3 307.356 4.160 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCCOc2cc3c(cc21)CCCC3 ZINC000567418064 1044565512 /nfs/dbraw/zinc/56/55/12/1044565512.db2.gz IBCFHWATVMNTGM-XHSDSOJGSA-N 0 3 307.384 4.022 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@H]1CCCOc2cc3c(cc21)CCCC3 ZINC000567418063 1044565759 /nfs/dbraw/zinc/56/57/59/1044565759.db2.gz IBCFHWATVMNTGM-JYJNAYRXSA-N 0 3 307.384 4.022 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C)c3ccccc23)ccn1 ZINC000567486927 1044567299 /nfs/dbraw/zinc/56/72/99/1044567299.db2.gz YJXDGYJALUGZHW-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000295851557 1044567528 /nfs/dbraw/zinc/56/75/28/1044567528.db2.gz DXUHNCZFVSVSJE-FZKQIMNGSA-N 0 3 308.429 4.113 20 0 DIADHN C/C(=C/c1ccc(C)cc1)C(=O)Nc1ccccc1CN(C)C ZINC000778591784 1044569937 /nfs/dbraw/zinc/56/99/37/1044569937.db2.gz CXXAMIAWKLWBDJ-SSZFMOIBSA-N 0 3 308.425 4.099 20 0 DIADHN c1nnc([C@@H](NCc2ccc(C3CCC3)cc2)C2CCCCC2)[nH]1 ZINC000567560103 1044574218 /nfs/dbraw/zinc/57/42/18/1044574218.db2.gz OSJQFFPEQVMSJG-IBGZPJMESA-N 0 3 324.472 4.483 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CCC2)cs1 ZINC000296017564 1044580886 /nfs/dbraw/zinc/58/08/86/1044580886.db2.gz MBOYAGLJFNMNPM-KRWDZBQOSA-N 0 3 301.455 4.050 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(OC)c3ccccc32)cn1 ZINC000296048801 1044584398 /nfs/dbraw/zinc/58/43/98/1044584398.db2.gz UXZHBCKLHPXHDY-CABCVRRESA-N 0 3 323.440 4.477 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2Cn2ccnc2)cs1 ZINC000296077966 1044589731 /nfs/dbraw/zinc/58/97/31/1044589731.db2.gz SKAVHUXEKGAADI-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(N3CCCCC3)nc2)cs1 ZINC000296083988 1044589762 /nfs/dbraw/zinc/58/97/62/1044589762.db2.gz SSGJRDJQQDXGAO-OAHLLOKOSA-N 0 3 315.486 4.293 20 0 DIADHN Cc1[nH]nc(CNCc2ccc(OC3CCCCC3)cc2)c1C ZINC000885959289 1044593348 /nfs/dbraw/zinc/59/33/48/1044593348.db2.gz WTCMDAIMGPQSSA-UHFFFAOYSA-N 0 3 313.445 4.028 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2ccc(C)cc2)o1 ZINC000444664826 1044593794 /nfs/dbraw/zinc/59/37/94/1044593794.db2.gz NERYAIFNIKYCFP-WBVHZDCISA-N 0 3 313.445 4.446 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)CC(=O)Nc1c(C)cccc1Cl ZINC000444664219 1044593992 /nfs/dbraw/zinc/59/39/92/1044593992.db2.gz QEPXOJKOCSECES-GOEBONIOSA-N 0 3 322.880 4.488 20 0 DIADHN CCN(Cc1csc(-c2ncccn2)n1)[C@H]1CCCC[C@@H]1C ZINC000444666522 1044594065 /nfs/dbraw/zinc/59/40/65/1044594065.db2.gz WXYHVMSABACOIC-ZFWWWQNUSA-N 0 3 316.474 4.001 20 0 DIADHN Cc1ccccc1CN(Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000523303569 1044594570 /nfs/dbraw/zinc/59/45/70/1044594570.db2.gz DCJQHMSBHQLCSU-HXUWFJFHSA-N 0 3 313.416 4.315 20 0 DIADHN CCCC[C@@H](NCc1[nH]nc(C)c1C)c1ccc(OC)cc1 ZINC000885967845 1044595672 /nfs/dbraw/zinc/59/56/72/1044595672.db2.gz ZPEYGIZSBYBIAQ-QGZVFWFLSA-N 0 3 301.434 4.056 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2ccc(Cl)cc2)c2nccn21 ZINC000296196658 1044596826 /nfs/dbraw/zinc/59/68/26/1044596826.db2.gz YVQZILVWFSAHRC-DOMZBBRYSA-N 0 3 303.837 4.110 20 0 DIADHN CSC[C@@H]1CCCN(Cc2nc(C(C)C)n3ccccc23)C1 ZINC000568033445 1044598458 /nfs/dbraw/zinc/59/84/58/1044598458.db2.gz NFTNGCQOBHAXNH-OAHLLOKOSA-N 0 3 317.502 4.033 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2C[C@@H](C)Oc3ccccc32)cc1 ZINC000296254549 1044603674 /nfs/dbraw/zinc/60/36/74/1044603674.db2.gz CYZPOPBRLWWQPR-PJIJBLCYSA-N 0 3 324.424 4.208 20 0 DIADHN FC(F)Oc1cccc(CN2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000444701353 1044608333 /nfs/dbraw/zinc/60/83/33/1044608333.db2.gz WGUFQHYIVIIXMJ-NSHDSACASA-N 0 3 309.278 4.062 20 0 DIADHN COc1ncc(CN[C@H]2C[C@@H](C)c3ccccc32)cc1Cl ZINC000296380478 1044613396 /nfs/dbraw/zinc/61/33/96/1044613396.db2.gz JPCNXUXXSRMHKH-BZNIZROVSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1cc(CN(C)Cc2cc3ccccc3[nH]c2=O)ccc1F ZINC000444735685 1044614280 /nfs/dbraw/zinc/61/42/80/1044614280.db2.gz PBTFCEGBAKSSTO-UHFFFAOYSA-N 0 3 310.372 4.020 20 0 DIADHN C=CCN(Cc1cccs1)C[C@@H](O)c1ccc(Cl)cc1 ZINC000042679689 1044615590 /nfs/dbraw/zinc/61/55/90/1044615590.db2.gz ALNLSQIIEYRZOV-MRXNPFEDSA-N 0 3 307.846 4.123 20 0 DIADHN CC(C)(CNCc1ccccc1OCC(F)F)C(F)(F)F ZINC000886106981 1044616339 /nfs/dbraw/zinc/61/63/39/1044616339.db2.gz HOBNILBRJHFBNX-UHFFFAOYSA-N 0 3 311.294 4.009 20 0 DIADHN CC(C)N(Cc1nc2ccccc2n1C(F)F)CC1CCC1 ZINC000444784150 1044620446 /nfs/dbraw/zinc/62/04/46/1044620446.db2.gz NEEAGQVQFOBCES-UHFFFAOYSA-N 0 3 307.388 4.442 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC[C@@H](C(C)C)C2)c(C)c1 ZINC000444754574 1044620630 /nfs/dbraw/zinc/62/06/30/1044620630.db2.gz UFANMZXVBQGAJF-ZWKOTPCHSA-N 0 3 316.489 4.307 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@H]1CC[C@H](C)O1 ZINC000444782504 1044621275 /nfs/dbraw/zinc/62/12/75/1044621275.db2.gz IVCPMCLUPKMBRF-GUTXKFCHSA-N 0 3 301.352 4.146 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H](c2cccnc2)C2CCC2)ccn1 ZINC000296450608 1044621151 /nfs/dbraw/zinc/62/11/51/1044621151.db2.gz MSPLMXNYEINKFC-MRXNPFEDSA-N 0 3 321.346 4.126 20 0 DIADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000568401211 1044621831 /nfs/dbraw/zinc/62/18/31/1044621831.db2.gz HGWFPXJIJSHDGL-DZKIICNBSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000296508059 1044622624 /nfs/dbraw/zinc/62/26/24/1044622624.db2.gz QKOXXAAGHWLFSW-UIBBOPPKSA-N 0 3 301.821 4.078 20 0 DIADHN Fc1ccc(CCN[C@H](c2ncc[nH]2)C2CCCCC2)c(F)c1 ZINC000568424672 1044623109 /nfs/dbraw/zinc/62/31/09/1044623109.db2.gz GSSXANZJCHLFLC-KRWDZBQOSA-N 0 3 319.399 4.142 20 0 DIADHN COc1ccc(-c2nc(CN(CC3CCC3)C(C)C)co2)cc1 ZINC000444768540 1044623809 /nfs/dbraw/zinc/62/38/09/1044623809.db2.gz UJXMVURXHSPVRJ-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1ccc([C@H](NCc2ccnc(C(F)(F)F)c2)C2CC2)cc1 ZINC000296584720 1044631028 /nfs/dbraw/zinc/63/10/28/1044631028.db2.gz UOYARNHEZGNMCF-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@H](C)c1cnccc1N)CCC2 ZINC000568499885 1044631895 /nfs/dbraw/zinc/63/18/95/1044631895.db2.gz SXENGBGFEAGPLE-JLTOFOAXSA-N 0 3 309.457 4.346 20 0 DIADHN CC(C)c1cnc(CNC[C@@]2(C)CCOC3(CCC3)C2)s1 ZINC000886343139 1044634451 /nfs/dbraw/zinc/63/44/51/1044634451.db2.gz QZLHBUWTALIZHJ-INIZCTEOSA-N 0 3 308.491 4.096 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3c2cccc3F)cc1OC ZINC000886365337 1044636077 /nfs/dbraw/zinc/63/60/77/1044636077.db2.gz GHZMNLUCHNLJLT-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2cn3ccsc3n2)C1 ZINC000523439956 1044639139 /nfs/dbraw/zinc/63/91/39/1044639139.db2.gz UWXOCNGROBEFEA-CABCVRRESA-N 0 3 311.454 4.082 20 0 DIADHN CCCCN(CC(=O)N1CCC[C@H](C)C1)[C@H](C)c1ccccc1 ZINC000523450230 1044641349 /nfs/dbraw/zinc/64/13/49/1044641349.db2.gz MZGXSZJXEMNYEB-ZWKOTPCHSA-N 0 3 316.489 4.108 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1ccsc1 ZINC000296736340 1044644279 /nfs/dbraw/zinc/64/42/79/1044644279.db2.gz JFIUJAJXKQUORY-QROBEVECSA-N 0 3 307.484 4.287 20 0 DIADHN COc1ccc2cc(CN[C@H](c3cnn(C)c3)C(C)C)ccc2c1 ZINC000886495053 1044649159 /nfs/dbraw/zinc/64/91/59/1044649159.db2.gz GHVGWBIJNGZMKE-FQEVSTJZSA-N 0 3 323.440 4.069 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2csc(C)c2)c1 ZINC000296930045 1044653699 /nfs/dbraw/zinc/65/36/99/1044653699.db2.gz QAOKTPAVPMUUSP-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000568831578 1044654082 /nfs/dbraw/zinc/65/40/82/1044654082.db2.gz GNARHFUJGBRYCQ-NJZAAPMLSA-N 0 3 318.852 4.007 20 0 DIADHN Cc1cncc(CN[C@@H]2CCCc3c4cc(F)ccc4[nH]c32)c1 ZINC000886558250 1044660683 /nfs/dbraw/zinc/66/06/83/1044660683.db2.gz FGDAQOBRHIWPGY-GOSISDBHSA-N 0 3 309.388 4.178 20 0 DIADHN CO[C@@H]1CCC[C@H](N[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000886603517 1044668038 /nfs/dbraw/zinc/66/80/38/1044668038.db2.gz PJWKDZOHEYJVOP-OUAUKWLOSA-N 0 3 322.396 4.160 20 0 DIADHN COCCCC[C@@H](N[C@@H]1CCc2cccnc21)c1ccccc1 ZINC000886602917 1044668098 /nfs/dbraw/zinc/66/80/98/1044668098.db2.gz CGQDXWFVUFJQFT-RTBURBONSA-N 0 3 310.441 4.217 20 0 DIADHN COC(=O)c1cncc([C@@H](C)N[C@@H](C)c2cccc(C3CC3)c2)c1 ZINC000886616044 1044669705 /nfs/dbraw/zinc/66/97/05/1044669705.db2.gz TVHMSWCJRXFYJU-UONOGXRCSA-N 0 3 324.424 4.157 20 0 DIADHN COc1cc(CN[C@@H](c2cnn(C)c2)C(C)C)cc2ccccc21 ZINC000886618895 1044671128 /nfs/dbraw/zinc/67/11/28/1044671128.db2.gz NSILZLBJWDWROF-HXUWFJFHSA-N 0 3 323.440 4.069 20 0 DIADHN Cc1ncccc1CN[C@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC000886642752 1044672573 /nfs/dbraw/zinc/67/25/73/1044672573.db2.gz ABOXJUULFWDOIA-SFHVURJKSA-N 0 3 309.388 4.178 20 0 DIADHN CCSc1ccc(CN[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000886649688 1044674640 /nfs/dbraw/zinc/67/46/40/1044674640.db2.gz GKGCSWQXBJOZCI-KRWDZBQOSA-N 0 3 303.475 4.019 20 0 DIADHN Cc1nc(C2CCN([C@H](C)c3c(F)cccc3F)CC2)[nH]c1C ZINC000886668136 1044676566 /nfs/dbraw/zinc/67/65/66/1044676566.db2.gz NZKGGJAACIGYEL-CYBMUJFWSA-N 0 3 319.399 4.245 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)NCc1cc(C2CC2)no1 ZINC000886685784 1044679740 /nfs/dbraw/zinc/67/97/40/1044679740.db2.gz BXTNKLHUZVSFHM-CQSZACIVSA-N 0 3 321.424 4.211 20 0 DIADHN Clc1ccc(Cl)c(CNCCc2cccnc2)c1Cl ZINC000037502563 1044683577 /nfs/dbraw/zinc/68/35/77/1044683577.db2.gz HGZXEIBDRVXDMZ-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN FC(F)c1cccc(CN2CC[C@]3(C2)OCc2ccccc23)c1 ZINC000365302466 1044683845 /nfs/dbraw/zinc/68/38/45/1044683845.db2.gz BWJPQYZTSPZFDG-LJQANCHMSA-N 0 3 315.363 4.256 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1cc(-c2ccco2)on1 ZINC000523788608 1044685133 /nfs/dbraw/zinc/68/51/33/1044685133.db2.gz DTDKPOMUVPZIPC-GOEBONIOSA-N 0 3 308.381 4.313 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)N1CCCC[C@@H]1c1cc[nH]n1 ZINC000569273038 1044689290 /nfs/dbraw/zinc/68/92/90/1044689290.db2.gz PRDAXACKTKQSKE-KBXCAEBGSA-N 0 3 301.409 4.097 20 0 DIADHN C[C@@H](CC(=O)Nc1cccc(CN(C)C)c1)c1cccc(F)c1 ZINC000523814958 1044690804 /nfs/dbraw/zinc/69/08/04/1044690804.db2.gz QVRGCNSWEAUSPS-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN CC1(c2cccc(Cl)c2)CCN(Cc2cncs2)CC1 ZINC000475626843 1044701546 /nfs/dbraw/zinc/70/15/46/1044701546.db2.gz PLWDWDAQLRJBAZ-UHFFFAOYSA-N 0 3 306.862 4.350 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CCC23CCOCC3)cc1 ZINC000365833038 1044705511 /nfs/dbraw/zinc/70/55/11/1044705511.db2.gz MAANNSQDIQLEDB-UHFFFAOYSA-N 0 3 317.376 4.053 20 0 DIADHN CC[C@@H](NCc1cc(=O)c(OC)co1)c1cccc2ccccc21 ZINC000886917800 1044718335 /nfs/dbraw/zinc/71/83/35/1044718335.db2.gz KTMYUBYDTFOGRQ-GOSISDBHSA-N 0 3 323.392 4.043 20 0 DIADHN C[C@@H](c1nc(-c2c[nH]c3ccccc23)no1)N1[C@H](C)CC[C@@H]1C ZINC000445441408 1044721123 /nfs/dbraw/zinc/72/11/23/1044721123.db2.gz HBCQFSSCOGEPEM-XQQFMLRXSA-N 0 3 310.401 4.152 20 0 DIADHN CCCn1ccc2cc(NC(=O)[C@H](CC)N(CC)CC)ccc21 ZINC000524038769 1044724089 /nfs/dbraw/zinc/72/40/89/1044724089.db2.gz YFRDDHAHMWPUCC-KRWDZBQOSA-N 0 3 315.461 4.110 20 0 DIADHN C[C@H](CCC(C)(C)C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000524065263 1044727030 /nfs/dbraw/zinc/72/70/30/1044727030.db2.gz NUBMJZKLABSRHJ-CQSZACIVSA-N 0 3 305.466 4.085 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1cc(F)ccc1F ZINC000570012252 1044726908 /nfs/dbraw/zinc/72/69/08/1044726908.db2.gz KWELEARONGWXFT-PIGZYNQJSA-N 0 3 323.408 4.165 20 0 DIADHN Fc1cc(CNCc2ccc3occc3c2)ccc1-n1ccnc1 ZINC000367219377 1044733603 /nfs/dbraw/zinc/73/36/03/1044733603.db2.gz WFYMGINCEYYCJC-UHFFFAOYSA-N 0 3 321.355 4.047 20 0 DIADHN C[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(F)c2ccccc21 ZINC000367492038 1044742007 /nfs/dbraw/zinc/74/20/07/1044742007.db2.gz XVFUIPHBCDUNCH-SGTLLEGYSA-N 0 3 309.388 4.430 20 0 DIADHN C[C@H](NCCCO[C@@H]1CCCc2ccccc21)c1nccs1 ZINC000089721625 1044743926 /nfs/dbraw/zinc/74/39/26/1044743926.db2.gz KQLVQKYZGIVKJI-WMLDXEAASA-N 0 3 316.470 4.278 20 0 DIADHN C/C(=C\c1ccc(C)cc1)C(=O)Nc1ccc(C)cc1CN(C)C ZINC000778746626 1044746871 /nfs/dbraw/zinc/74/68/71/1044746871.db2.gz QRBHNBOQJSAOJB-GHRIWEEISA-N 0 3 322.452 4.407 20 0 DIADHN CCC1CC(NCc2nc(COC)sc2-c2ccccc2)C1 ZINC000570309534 1044748249 /nfs/dbraw/zinc/74/82/49/1044748249.db2.gz DZFOKMGDUPUZRI-UHFFFAOYSA-N 0 3 316.470 4.235 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2ccsc2)c1 ZINC000090719152 1044749358 /nfs/dbraw/zinc/74/93/58/1044749358.db2.gz HWOXDIXHOXUAPR-AWEZNQCLSA-N 0 3 305.443 4.014 20 0 DIADHN CN(C)c1cccc(CN[C@H](c2cccs2)C2CCCC2)n1 ZINC000090850839 1044752471 /nfs/dbraw/zinc/75/24/71/1044752471.db2.gz WYNSBDMINPFIRK-SFHVURJKSA-N 0 3 315.486 4.230 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)NC3(C4CC4)CCC3)o2)cc1C ZINC000887146376 1044753228 /nfs/dbraw/zinc/75/32/28/1044753228.db2.gz SROKMLXAMIAACS-AWEZNQCLSA-N 0 3 311.429 4.337 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccco2)cc1F)[C@]1(C)CCCO1 ZINC000570429959 1044759207 /nfs/dbraw/zinc/75/92/07/1044759207.db2.gz GWZSWEIXCTWJQF-ACJLOTCBSA-N 0 3 303.377 4.133 20 0 DIADHN Fc1cc(F)cc(C2CC(NCc3ccc(Cl)cn3)C2)c1 ZINC000368032127 1044763486 /nfs/dbraw/zinc/76/34/86/1044763486.db2.gz XKGJPBJZYISEBI-UHFFFAOYSA-N 0 3 308.759 4.049 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000445574631 1044765773 /nfs/dbraw/zinc/76/57/73/1044765773.db2.gz NOENXBQTAFBELD-YWSWHFDRSA-N 0 3 314.256 4.147 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccccc1COC)CCC2 ZINC000122068262 1044770136 /nfs/dbraw/zinc/77/01/36/1044770136.db2.gz BQBKBZHDFQGBJV-HNNXBMFYSA-N 0 3 316.470 4.019 20 0 DIADHN CC(=O)CCN(C)[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000156173756 1044774379 /nfs/dbraw/zinc/77/43/79/1044774379.db2.gz KHTOEJATQVSELZ-HNNXBMFYSA-N 0 3 316.470 4.399 20 0 DIADHN OC[C@@H]1CCCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000570691783 1044774280 /nfs/dbraw/zinc/77/42/80/1044774280.db2.gz LWOWUUXUOVDZEV-CYBMUJFWSA-N 0 3 316.272 4.020 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCCc2nc(C)sc21 ZINC000122662049 1044776057 /nfs/dbraw/zinc/77/60/57/1044776057.db2.gz VMQMFENMNRPUQS-INIZCTEOSA-N 0 3 316.470 4.155 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN([C@@H]2CCCc3ccccc32)C1 ZINC000524251471 1044778122 /nfs/dbraw/zinc/77/81/22/1044778122.db2.gz DPCLAEGJJHKTTN-GDBMZVCRSA-N 0 3 313.363 4.107 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@@H](CC4CC4)C3)o2)cc1 ZINC000570743956 1044778904 /nfs/dbraw/zinc/77/89/04/1044778904.db2.gz VHNSZJMLSPEKEQ-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(-c2ccccc2F)o1 ZINC000524237970 1044781448 /nfs/dbraw/zinc/78/14/48/1044781448.db2.gz KJHWJFAHHYZFLS-CQSZACIVSA-N 0 3 305.393 4.381 20 0 DIADHN OCc1ccc(Nc2ccnc(C3CC3)c2)cc1C(F)(F)F ZINC001214679165 1044782647 /nfs/dbraw/zinc/78/26/47/1044782647.db2.gz IDVUOJPCDOKTLX-UHFFFAOYSA-N 0 3 308.303 4.214 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2ccc(F)c(Cl)c2Cl)C12CCC2 ZINC001202749105 1044783698 /nfs/dbraw/zinc/78/36/98/1044783698.db2.gz GOSNXVGGFUAGKN-VXGBXAGGSA-N 0 3 318.219 4.180 20 0 DIADHN C[C@H](NCCSC(F)(F)F)c1ccccc1OC(F)F ZINC000222537204 1044788200 /nfs/dbraw/zinc/78/82/00/1044788200.db2.gz QGCRWOWOZRJYNT-QMMMGPOBSA-N 0 3 315.307 4.192 20 0 DIADHN CC[C@H](C)[C@@H](N[C@@H](C)c1ccc(=O)[nH]n1)c1ccc(Cl)cc1 ZINC000571069750 1044795769 /nfs/dbraw/zinc/79/57/69/1044795769.db2.gz ZWNRGSGZHQBMQH-NVGCLXPQSA-N 0 3 319.836 4.274 20 0 DIADHN CC(C)C[C@H](C)CC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000524321523 1044798398 /nfs/dbraw/zinc/79/83/98/1044798398.db2.gz UTDSNNASAOOTDT-JKSUJKDBSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000571126380 1044798938 /nfs/dbraw/zinc/79/89/38/1044798938.db2.gz DZVRNEJYHBHLRW-CJNGLKHVSA-N 0 3 317.408 4.276 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000571126382 1044799593 /nfs/dbraw/zinc/79/95/93/1044799593.db2.gz DZVRNEJYHBHLRW-XJKSGUPXSA-N 0 3 317.408 4.276 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2s1)[C@H]1CCOC(C)(C)C1 ZINC000571132006 1044799796 /nfs/dbraw/zinc/79/97/96/1044799796.db2.gz SGOHQSLWSQFDNU-ZDUSSCGKSA-N 0 3 322.449 4.215 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@H](C)[C@@H]1C[C@H]1c1ccccc1 ZINC000367245218 1044803321 /nfs/dbraw/zinc/80/33/21/1044803321.db2.gz DYKFGYDAIBGADO-LAVFITLUSA-N 0 3 324.472 4.018 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)cs1 ZINC000369650474 1044812477 /nfs/dbraw/zinc/81/24/77/1044812477.db2.gz UUALRVCTWKFYSH-ZBFHGGJFSA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H](NCc1cc(F)ccc1Cl)[C@@H]1COc2ccccc21 ZINC000445781574 1044814745 /nfs/dbraw/zinc/81/47/45/1044814745.db2.gz KRLLMCRMLVVFEP-ABAIWWIYSA-N 0 3 305.780 4.133 20 0 DIADHN CCCC(=O)NC[C@@H]1CCCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC000263691173 1044817989 /nfs/dbraw/zinc/81/79/89/1044817989.db2.gz GYIFZSVBYMIDRZ-GJZGRUSLSA-N 0 3 322.880 4.029 20 0 DIADHN c1ncn(Cc2ccccc2)c1CNC1(c2ccccc2)CCC1 ZINC000445815915 1044826548 /nfs/dbraw/zinc/82/65/48/1044826548.db2.gz QHWCFPOGMAGEJL-UHFFFAOYSA-N 0 3 317.436 4.100 20 0 DIADHN CC[C@H](NCc1cncn1Cc1ccccc1)c1ccc(C)cc1 ZINC000445811202 1044826859 /nfs/dbraw/zinc/82/68/59/1044826859.db2.gz OTHROVVASHHPJP-NRFANRHFSA-N 0 3 319.452 4.481 20 0 DIADHN CN(Cc1coc(-c2ccccc2)n1)[C@@H]1CCc2ccccc2C1 ZINC000524425157 1044828647 /nfs/dbraw/zinc/82/86/47/1044828647.db2.gz XDTBKJYCIZDTAJ-HXUWFJFHSA-N 0 3 318.420 4.331 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cnc3ccccc3c2)c1 ZINC000263850015 1044830517 /nfs/dbraw/zinc/83/05/17/1044830517.db2.gz NMVHORKDCZHOKD-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1C(F)(F)F)N(C)CC(C)(C)C ZINC000263822293 1044831867 /nfs/dbraw/zinc/83/18/67/1044831867.db2.gz YIWRPBFVCPLXMC-NSHDSACASA-N 0 3 316.367 4.010 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C1CCC(C)(C)CC1)c1ccco1 ZINC000571988301 1044835377 /nfs/dbraw/zinc/83/53/77/1044835377.db2.gz AIZYDODJKFQXDV-GDBMZVCRSA-N 0 3 320.477 4.041 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCC[C@H]2C2CCC2)o1 ZINC000264017521 1044841395 /nfs/dbraw/zinc/84/13/95/1044841395.db2.gz UZQIFPGHVGEVSX-HNNXBMFYSA-N 0 3 317.820 4.155 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cccc(OC(C)(C)C)n1 ZINC000445881923 1044843042 /nfs/dbraw/zinc/84/30/42/1044843042.db2.gz XARHFIMUWQWWRQ-ZDUSSCGKSA-N 0 3 318.383 4.326 20 0 DIADHN FC(F)c1cccc(CN2CCC(C3CCOCC3)CC2)c1 ZINC000572089745 1044843798 /nfs/dbraw/zinc/84/37/98/1044843798.db2.gz KJOONMYQEWKFIM-UHFFFAOYSA-N 0 3 309.400 4.263 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccc(-n3ccnc3)nc2)cc1 ZINC000370669958 1044850205 /nfs/dbraw/zinc/85/02/05/1044850205.db2.gz TWABBSCRLHZRJP-IBGZPJMESA-N 0 3 320.440 4.071 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@@H](C(C)C)CC2)c1 ZINC000524482199 1044851795 /nfs/dbraw/zinc/85/17/95/1044851795.db2.gz HBBDQAMZFGEZOR-QGZVFWFLSA-N 0 3 302.462 4.000 20 0 DIADHN CC[C@H](N[C@@H]1CCOc2cc(OC)ccc21)c1cccs1 ZINC000370679255 1044851665 /nfs/dbraw/zinc/85/16/65/1044851665.db2.gz NJABLBJTTYEZIK-LSDHHAIUSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1nc2ccc(Nc3ccc4c(c3)C(C)(C)CC(=O)N4)cc2[nH]1 ZINC001213076085 1044863085 /nfs/dbraw/zinc/86/30/85/1044863085.db2.gz DMSDMCVGZDWQRC-UHFFFAOYSA-N 0 3 320.396 4.235 20 0 DIADHN Oc1cccnc1CN(Cc1ccccc1)Cc1ccc(F)cc1 ZINC000572563092 1044863351 /nfs/dbraw/zinc/86/33/51/1044863351.db2.gz OWBWSGSLFXHOPN-UHFFFAOYSA-N 0 3 322.383 4.129 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@H]1c1ccc(C)cc1 ZINC000371397062 1044873018 /nfs/dbraw/zinc/87/30/18/1044873018.db2.gz XHVBPEXXOGMZHE-FQEVSTJZSA-N 0 3 308.425 4.291 20 0 DIADHN CC(C)N(C/C=C\c1ccc(F)cc1F)Cc1cccnc1 ZINC000572921377 1044884329 /nfs/dbraw/zinc/88/43/29/1044884329.db2.gz IHBMOBYOWPIAMY-XQRVVYSFSA-N 0 3 302.368 4.284 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H](c2ccco2)C1 ZINC000371765981 1044890472 /nfs/dbraw/zinc/89/04/72/1044890472.db2.gz NNNMWPUPNHFAIB-GOEBONIOSA-N 0 3 308.381 4.485 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H](C)CC1CCCC1)c1ccco1 ZINC000573354167 1044904420 /nfs/dbraw/zinc/90/44/20/1044904420.db2.gz KHTLTXHHSSNHFB-YQQAZPJKSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@@H](C(=O)Nc1cccc2ccsc21)N1[C@H](C)CC[C@H]1C ZINC000337469079 1044905744 /nfs/dbraw/zinc/90/57/44/1044905744.db2.gz UIAWHWSSPLYJAZ-UPJWGTAASA-N 0 3 302.443 4.101 20 0 DIADHN Cc1ccc([C@H](CN[C@@H](C)c2cc(C)c(C)o2)N2CCCC2)o1 ZINC000337514923 1044911253 /nfs/dbraw/zinc/91/12/53/1044911253.db2.gz LIAJDCWVTBXLCW-RDJZCZTQSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1nc(CN(C)[C@@H](Cc2ccc(F)cc2)C(C)C)cs1 ZINC000524752939 1044912652 /nfs/dbraw/zinc/91/26/52/1044912652.db2.gz LBZGHTHRBCQUGT-KRWDZBQOSA-N 0 3 306.450 4.290 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(Cl)c3cccnc23)CCCO1 ZINC000573584034 1044913444 /nfs/dbraw/zinc/91/34/44/1044913444.db2.gz MMWFSWZHFHVCDO-KRWDZBQOSA-N 0 3 318.848 4.135 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC2(C1)CCCCC2 ZINC000372399903 1044913948 /nfs/dbraw/zinc/91/39/48/1044913948.db2.gz XRXBYLRLSLDFNO-OAHLLOKOSA-N 0 3 306.475 4.151 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@@H]1OC(F)F)c1cc(F)ccc1F ZINC000337545054 1044915417 /nfs/dbraw/zinc/91/54/17/1044915417.db2.gz KZLYNTOULDYEEQ-IHRRRGAJSA-N 0 3 305.315 4.166 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1sccc1Br ZINC000573656448 1044916725 /nfs/dbraw/zinc/91/67/25/1044916725.db2.gz PXLPZNIAPDIOJT-MVWJERBFSA-N 0 3 318.280 4.119 20 0 DIADHN CCc1ccc(-c2noc(CN3CCC[C@@H]3C(C)(C)C)n2)cc1 ZINC000524756866 1044916706 /nfs/dbraw/zinc/91/67/06/1044916706.db2.gz MMIODRVCLUCGEZ-MRXNPFEDSA-N 0 3 313.445 4.310 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](c2ccc(F)cc2)C(C)C)c(C)n1 ZINC000337551963 1044919184 /nfs/dbraw/zinc/91/91/84/1044919184.db2.gz PAFUQASSTFQSDE-UGSOOPFHSA-N 0 3 301.409 4.280 20 0 DIADHN CC(C)c1cccnc1NC(=O)c1cccc(CN2CCCC2)c1 ZINC000337570401 1044922936 /nfs/dbraw/zinc/92/29/36/1044922936.db2.gz QSEKFMKMROYMGO-UHFFFAOYSA-N 0 3 323.440 4.053 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1ccc(C)cc1C ZINC000524812212 1044926774 /nfs/dbraw/zinc/92/67/74/1044926774.db2.gz ZGBIRGRIZZZZJH-MAUKXSAKSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(c2nc3ccccc3o2)CC1 ZINC000373068063 1044938494 /nfs/dbraw/zinc/93/84/94/1044938494.db2.gz NXKBTPOJZCNVHD-AWEZNQCLSA-N 0 3 307.397 4.163 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCOc3ccccc31)CC2 ZINC000133516259 1044940337 /nfs/dbraw/zinc/94/03/37/1044940337.db2.gz VVIWDAPMXUUZCZ-RBUKOAKNSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1cc(OCc2ncc(-c3cccs3)o2)c(C)c(C)n1 ZINC000574291474 1044947017 /nfs/dbraw/zinc/94/70/17/1044947017.db2.gz VZHJCDUXHJMJJL-UHFFFAOYSA-N 0 3 300.383 4.302 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N(C)CCCN(C)Cc1ccco1 ZINC000574409116 1044953754 /nfs/dbraw/zinc/95/37/54/1044953754.db2.gz FQUSOMVXAWEZPW-AWEZNQCLSA-N 0 3 322.399 4.073 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1ccc(CC(C)C)cc1)C(C)C ZINC000574536584 1044958137 /nfs/dbraw/zinc/95/81/37/1044958137.db2.gz FKMCXKJCNQTBBE-HXUWFJFHSA-N 0 3 313.489 4.414 20 0 DIADHN CCc1ccc(CN(C)[C@H]2CCCc3cc(OC)ccc32)nc1 ZINC000574502154 1044958528 /nfs/dbraw/zinc/95/85/28/1044958528.db2.gz OTEOSIMLPKEBDA-FQEVSTJZSA-N 0 3 310.441 4.162 20 0 DIADHN C[C@H](NCc1ccc(C(C)(F)F)cc1)c1ccc2c(c1)OCO2 ZINC000574588822 1044958928 /nfs/dbraw/zinc/95/89/28/1044958928.db2.gz CTMBFDPIVZMDOC-LBPRGKRZSA-N 0 3 319.351 4.378 20 0 DIADHN CC(C)(C)c1n[nH]cc1CNCc1cccc(-c2cccnc2)c1 ZINC000373321985 1044966068 /nfs/dbraw/zinc/96/60/68/1044966068.db2.gz ZNVSOOVUZOOWCX-UHFFFAOYSA-N 0 3 320.440 4.059 20 0 DIADHN FC(F)SCc1ccc(CNC[C@@H]2CCCCS2)o1 ZINC000158061202 1044969255 /nfs/dbraw/zinc/96/92/55/1044969255.db2.gz PBJJGKFPFKDBLQ-LBPRGKRZSA-N 0 3 307.431 4.111 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1cc2ccccc2s1 ZINC000338021268 1044974139 /nfs/dbraw/zinc/97/41/39/1044974139.db2.gz SNPUBPWXLQSRGT-IUODEOHRSA-N 0 3 311.454 4.363 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](NCc3c(Cl)cccc3Cl)C[C@H]2C1 ZINC000574802834 1044974460 /nfs/dbraw/zinc/97/44/60/1044974460.db2.gz LMGHVSRWRJHTTA-PPANGVADSA-N 0 3 314.256 4.287 20 0 DIADHN CCc1ccccc1CN[C@H](c1cccs1)C(C)(C)CO ZINC000574844572 1044976460 /nfs/dbraw/zinc/97/64/60/1044976460.db2.gz NNLRUFWJSFVJKL-QGZVFWFLSA-N 0 3 303.471 4.160 20 0 DIADHN Cn1cncc1CN[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000135977172 1044984025 /nfs/dbraw/zinc/98/40/25/1044984025.db2.gz ZTEQOVDOAWBPBW-KRWDZBQOSA-N 0 3 303.837 4.095 20 0 DIADHN CN(Cc1ccc(-c2ncco2)cc1F)C1Cc2ccccc2C1 ZINC000411197482 1044984346 /nfs/dbraw/zinc/98/43/46/1044984346.db2.gz YBUIAQZGHCUUJD-UHFFFAOYSA-N 0 3 322.383 4.080 20 0 DIADHN CCCN(CC(C)C)[C@H](C)c1nc(Cc2ccccc2)no1 ZINC000411196746 1044984612 /nfs/dbraw/zinc/98/46/12/1044984612.db2.gz BPXAWRQATCVSSW-OAHLLOKOSA-N 0 3 301.434 4.089 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ncc(-c3ccccc3F)o2)C1 ZINC000525119790 1044990554 /nfs/dbraw/zinc/99/05/54/1044990554.db2.gz OJCFMENOOWDQFZ-ZIAGYGMSSA-N 0 3 302.393 4.491 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@@H]2c2cccc(C)c2C)o1 ZINC000525123210 1044991309 /nfs/dbraw/zinc/99/13/09/1044991309.db2.gz YTRFWSFYFOYDQC-QGZVFWFLSA-N 0 3 313.397 4.020 20 0 DIADHN CCCCN(C(=O)c1cccc(CN2CCCCC2)c1)C1CC1 ZINC000411353574 1045000434 /nfs/dbraw/zinc/00/04/34/1045000434.db2.gz QHESOURVUCJOIL-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1cnc2ccc(C)cc2c1 ZINC000411430680 1045005897 /nfs/dbraw/zinc/00/58/97/1045005897.db2.gz WDPCXNXIHCKHPY-LJQANCHMSA-N 0 3 320.440 4.220 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1c2ccc(F)cc2O[C@H]1C ZINC000575772818 1045007564 /nfs/dbraw/zinc/00/75/64/1045007564.db2.gz HWARXFGARXPYDQ-OYUDYFSCSA-N 0 3 315.388 4.014 20 0 DIADHN Cc1ccoc1CN[C@@H]1CCCN(c2ccccc2Cl)C1 ZINC000525181276 1045008172 /nfs/dbraw/zinc/00/81/72/1045008172.db2.gz QLWJKSPHSODXLK-CQSZACIVSA-N 0 3 304.821 4.000 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc3ccc(C)cc3c2)cc1 ZINC000411462031 1045010593 /nfs/dbraw/zinc/01/05/93/1045010593.db2.gz QQTCOEWNHIUICQ-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H](c2ccccc2)c2cccnc2)CS1 ZINC000373802550 1045010995 /nfs/dbraw/zinc/01/09/95/1045010995.db2.gz ZLTLPMZAWRHZKG-GBESFXJTSA-N 0 3 312.482 4.291 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](C)c3ccc4c(c3)OCO4)cc2c1 ZINC000411463808 1045011803 /nfs/dbraw/zinc/01/18/03/1045011803.db2.gz SSUIFMPBGNOAHD-AWEZNQCLSA-N 0 3 320.392 4.123 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000575836270 1045012440 /nfs/dbraw/zinc/01/24/40/1045012440.db2.gz TVHGZKNVKVZMJG-YVEFUNNKSA-N 0 3 303.837 4.285 20 0 DIADHN COC[C@H](NCc1cccc2[nH]ccc21)c1cccc(Cl)c1 ZINC000575900646 1045015645 /nfs/dbraw/zinc/01/56/45/1045015645.db2.gz HXDCPGGYPUJXIM-SFHVURJKSA-N 0 3 314.816 4.299 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccc(C3CC3)cc2)c1 ZINC000575889113 1045016359 /nfs/dbraw/zinc/01/63/59/1045016359.db2.gz NPDGNWUVRVZJLO-UHFFFAOYSA-N 0 3 308.425 4.202 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@@H](C(F)(F)F)[C@@H]3C)cc2c1 ZINC000411659746 1045022638 /nfs/dbraw/zinc/02/26/38/1045022638.db2.gz QAZVRCQPRBSENK-SWLSCSKDSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1nc([C@H](C)N[C@H](c2ccc(C(C)(C)C)cc2)C(C)C)n[nH]1 ZINC000411693145 1045023641 /nfs/dbraw/zinc/02/36/41/1045023641.db2.gz LPJLRSQWVDXOSQ-GUYCJALGSA-N 0 3 314.477 4.459 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H]1CCc2c1cccc2O ZINC000575979178 1045023658 /nfs/dbraw/zinc/02/36/58/1045023658.db2.gz BAKOKJACEPOTCF-QZTJIDSGSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](c2ccc(C(C)(C)C)cc2)C(C)C)n[nH]1 ZINC000411693147 1045024215 /nfs/dbraw/zinc/02/42/15/1045024215.db2.gz LPJLRSQWVDXOSQ-SUMWQHHRSA-N 0 3 314.477 4.459 20 0 DIADHN CCCCN(Cc1nnc2n1CCCCC2)[C@H]1CCC[C@@H](C)C1 ZINC000576006882 1045025241 /nfs/dbraw/zinc/02/52/41/1045025241.db2.gz WUJYUKHZQBWEQC-SJORKVTESA-N 0 3 318.509 4.185 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CC[C@@H](c2ccco2)C1 ZINC000374009194 1045025207 /nfs/dbraw/zinc/02/52/07/1045025207.db2.gz WCZVHDNPYQKNQX-MRXNPFEDSA-N 0 3 308.381 4.233 20 0 DIADHN CCS[C@@H]1CCC[C@H]1NCc1ccc(-c2ncco2)cc1F ZINC000411716965 1045026145 /nfs/dbraw/zinc/02/61/45/1045026145.db2.gz GPKQVEQRTXTLOV-HZPDHXFCSA-N 0 3 320.433 4.245 20 0 DIADHN CN(Cc1ccc(-c2ncco2)cc1F)C1CCC(F)(F)CC1 ZINC000411741638 1045028536 /nfs/dbraw/zinc/02/85/36/1045028536.db2.gz ZZCZMBBGTLSKFD-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2sc(CC)nc2C)cc1 ZINC000151609341 1045029113 /nfs/dbraw/zinc/02/91/13/1045029113.db2.gz SLDKSOALZURZQP-CYBMUJFWSA-N 0 3 318.486 4.401 20 0 DIADHN C[C@H]1CN(Cc2ccccc2Oc2cccnc2)C[C@H](C)S1 ZINC000525274709 1045030999 /nfs/dbraw/zinc/03/09/99/1045030999.db2.gz YBICSGXHRRTYOB-GJZGRUSLSA-N 0 3 314.454 4.200 20 0 DIADHN c1sc2ccccc2c1CN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000576076660 1045031166 /nfs/dbraw/zinc/03/11/66/1045031166.db2.gz HQYWLZYFOOTICU-AEFFLSMTSA-N 0 3 313.466 4.435 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2Oc2cccnc2)C[C@@H](C)S1 ZINC000525274710 1045031442 /nfs/dbraw/zinc/03/14/42/1045031442.db2.gz YBICSGXHRRTYOB-HUUCEWRRSA-N 0 3 314.454 4.200 20 0 DIADHN CC(C)c1ccc([C@H](C)N2C[C@H](C)OC3(CCOCC3)C2)cc1 ZINC000576101915 1045033447 /nfs/dbraw/zinc/03/34/47/1045033447.db2.gz WWVWWWJVODRXGD-IRXDYDNUSA-N 0 3 317.473 4.141 20 0 DIADHN CC(C)c1ccc([C@H](C)N2C[C@@H](C)OC3(CCOCC3)C2)cc1 ZINC000576101916 1045033584 /nfs/dbraw/zinc/03/35/84/1045033584.db2.gz WWVWWWJVODRXGD-SJORKVTESA-N 0 3 317.473 4.141 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000446737681 1045034094 /nfs/dbraw/zinc/03/40/94/1045034094.db2.gz YNOVGQAUMAMBIS-NWDGAFQWSA-N 0 3 305.809 4.183 20 0 DIADHN CO[C@H](C)c1nc(CNC(C)(C)CCc2ccccc2)cs1 ZINC000151938211 1045034833 /nfs/dbraw/zinc/03/48/33/1045034833.db2.gz XUUWWNHEFKSALT-CQSZACIVSA-N 0 3 318.486 4.352 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@@H](CC)c1ccccc1 ZINC000411888325 1045035201 /nfs/dbraw/zinc/03/52/01/1045035201.db2.gz QRUFMUUAYGVTDB-QAPCUYQASA-N 0 3 310.441 4.317 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000411882875 1045036485 /nfs/dbraw/zinc/03/64/85/1045036485.db2.gz BJGYPPRHYDKAKP-WMZOPIPTSA-N 0 3 310.441 4.371 20 0 DIADHN COCCOc1ccc([C@@H](C)N[C@H]2CCCc3occc32)cc1 ZINC000152246892 1045038845 /nfs/dbraw/zinc/03/88/45/1045038845.db2.gz RQNYVOJYBCINTQ-KDOFPFPSSA-N 0 3 315.413 4.033 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1C[C@H](O)C[C@@H]1c1ccccc1 ZINC000411924950 1045038772 /nfs/dbraw/zinc/03/87/72/1045038772.db2.gz MUWNJGUKXDQPBX-WIYYLYMNSA-N 0 3 324.468 4.075 20 0 DIADHN CCc1ccc([C@H](C)NCCc2nc(C(F)(F)F)cs2)o1 ZINC000152250374 1045039037 /nfs/dbraw/zinc/03/90/37/1045039037.db2.gz RIAVSTNWBIOTLB-VIFPVBQESA-N 0 3 318.364 4.211 20 0 DIADHN CC(C)N(C(=O)CN1CCC2(CCCC2)CC1)c1ccccc1 ZINC000576194475 1045040078 /nfs/dbraw/zinc/04/00/78/1045040078.db2.gz DSSAZTIHWJJJBI-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN C[C@H](N[C@H]1CC2CCC1CC2)c1nc(C(F)(F)F)cs1 ZINC000576176913 1045041263 /nfs/dbraw/zinc/04/12/63/1045041263.db2.gz CZKKUYSZCRPJCK-PMUOWJKOSA-N 0 3 304.381 4.391 20 0 DIADHN CCN(Cc1c[nH]nc1C)C(c1ccccc1)c1ccccc1 ZINC000576179019 1045041381 /nfs/dbraw/zinc/04/13/81/1045041381.db2.gz SWKVCZQBVBVNMF-UHFFFAOYSA-N 0 3 305.425 4.330 20 0 DIADHN COCCCCCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000152381615 1045042327 /nfs/dbraw/zinc/04/23/27/1045042327.db2.gz ZGDPJINJGGWMPG-GOSISDBHSA-N 0 3 318.848 4.231 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000152712014 1045046025 /nfs/dbraw/zinc/04/60/25/1045046025.db2.gz KDWFOJQFWUNIEX-ZCCHDVMBSA-N 0 3 315.482 4.398 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1cccc(F)c1)c1c(C)nn(C)c1C ZINC000446837696 1045046972 /nfs/dbraw/zinc/04/69/72/1045046972.db2.gz ZMPRLERPSGOGFV-DLBZAZTESA-N 0 3 303.425 4.368 20 0 DIADHN Cc1ccncc1CN[C@H](c1ccccc1)C1(CO)CCCCC1 ZINC000412009806 1045048438 /nfs/dbraw/zinc/04/84/38/1045048438.db2.gz OSHKMWNVTFJJFH-HXUWFJFHSA-N 0 3 324.468 4.164 20 0 DIADHN CCN(CC(C)C)[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000153699403 1045059091 /nfs/dbraw/zinc/05/90/91/1045059091.db2.gz MUASHVDMFVJNMF-LBPRGKRZSA-N 0 3 316.367 4.010 20 0 DIADHN CCN(CC(C)C)[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000153699574 1045059905 /nfs/dbraw/zinc/05/99/05/1045059905.db2.gz MUASHVDMFVJNMF-GFCCVEGCSA-N 0 3 316.367 4.010 20 0 DIADHN Cc1cc(C)cc(CCNCc2csc(C(C)(C)C)n2)c1 ZINC000525402646 1045061213 /nfs/dbraw/zinc/06/12/13/1045061213.db2.gz UMXNSDXLVVJIJZ-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN Cc1ccncc1CCCN1CC(C)(C)[C@H]1c1cccs1 ZINC000576500222 1045062058 /nfs/dbraw/zinc/06/20/58/1045062058.db2.gz QHLDPWJFXKPZGT-QGZVFWFLSA-N 0 3 300.471 4.467 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)C[C@H]3C)cs2)cc1 ZINC000525410048 1045063792 /nfs/dbraw/zinc/06/37/92/1045063792.db2.gz DSVBPACTERSPDX-UONOGXRCSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@H](N[C@@H](CO)c1cccc(F)c1)c1cc2ccccc2s1 ZINC000446924841 1045070218 /nfs/dbraw/zinc/07/02/18/1045070218.db2.gz JQIXHKHPQCRBPG-LRDDRELGSA-N 0 3 315.413 4.425 20 0 DIADHN CC[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cccc(F)c1 ZINC000446937448 1045072353 /nfs/dbraw/zinc/07/23/53/1045072353.db2.gz DIHBSOQFYBVAHE-INIZCTEOSA-N 0 3 313.372 4.284 20 0 DIADHN CCCN(C)CC(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000525472531 1045074841 /nfs/dbraw/zinc/07/48/41/1045074841.db2.gz VDWVXPYGLDCCSQ-INIZCTEOSA-N 0 3 324.468 4.234 20 0 DIADHN CCCN(C)CC(=O)N(CCC)[C@@H](C)c1cc2ccccc2o1 ZINC000525476595 1045077535 /nfs/dbraw/zinc/07/75/35/1045077535.db2.gz MPWCBJJBKHHWQF-HNNXBMFYSA-N 0 3 316.445 4.074 20 0 DIADHN Cc1ccc(-c2nc(CNC3C[C@H]4CC[C@@H](C3)S4)co2)cc1 ZINC000925190329 1045083550 /nfs/dbraw/zinc/08/35/50/1045083550.db2.gz HEJDDLXOFGEESX-ZXFUBFMLSA-N 0 3 314.454 4.166 20 0 DIADHN CCO[C@H](CN[C@H]1CCc2c1c(F)ccc2F)c1ccccc1 ZINC000447039954 1045086194 /nfs/dbraw/zinc/08/61/94/1045086194.db2.gz QPRFDTOBZJIGHO-ZWKOTPCHSA-N 0 3 317.379 4.320 20 0 DIADHN CCOc1cccc(CN2CC[C@H]3CCC[C@@H]32)c1OC(F)F ZINC000428465693 1045087578 /nfs/dbraw/zinc/08/75/78/1045087578.db2.gz XMZWQCZHTBTDBT-OCCSQVGLSA-N 0 3 311.372 4.061 20 0 DIADHN C[C@@H](CN(C)Cc1cc(C(C)(C)C)n[nH]1)c1ccc(F)cc1 ZINC000447055563 1045090337 /nfs/dbraw/zinc/09/03/37/1045090337.db2.gz GXNPDTFEQFHUAX-ZDUSSCGKSA-N 0 3 303.425 4.082 20 0 DIADHN Cc1oc2ccccc2c1CN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000447078862 1045090906 /nfs/dbraw/zinc/09/09/06/1045090906.db2.gz VQPPKQMIDLBQFM-UHFFFAOYSA-N 0 3 311.429 4.394 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CC2(C)CCCCC2)c(F)c1 ZINC000412561978 1045091197 /nfs/dbraw/zinc/09/11/97/1045091197.db2.gz VIAZHKKSYMJYIQ-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN CCCc1nc(C)c(CN(C)CCCc2ccc(OC)cc2)o1 ZINC000428465275 1045091859 /nfs/dbraw/zinc/09/18/59/1045091859.db2.gz YVZIAUDPPABWMI-UHFFFAOYSA-N 0 3 316.445 4.009 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)CCC1CCCCC1)c1ccco1 ZINC000577017461 1045094457 /nfs/dbraw/zinc/09/44/57/1045094457.db2.gz JZZTWPYKQROTGV-WBVHZDCISA-N 0 3 320.477 4.186 20 0 DIADHN Cc1cc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc2cccnc12 ZINC000447091381 1045095701 /nfs/dbraw/zinc/09/57/01/1045095701.db2.gz REFKMPJKGVFUSE-UHFFFAOYSA-N 0 3 322.456 4.196 20 0 DIADHN c1ccc(C[C@H]2CCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC000577025834 1045096294 /nfs/dbraw/zinc/09/62/94/1045096294.db2.gz DCODXBYTBPDPEE-SJLPKXTDSA-N 0 3 310.441 4.054 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1nc2ccc(F)cc2s1 ZINC000447102243 1045098030 /nfs/dbraw/zinc/09/80/30/1045098030.db2.gz ZWIJKJQMSPVWHF-QGZVFWFLSA-N 0 3 322.449 4.217 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccc2ccccc2c1)c1ccccn1 ZINC000159079285 1045102196 /nfs/dbraw/zinc/10/21/96/1045102196.db2.gz GNRCZJGRWFDQLR-TZIWHRDSSA-N 0 3 320.436 4.399 20 0 DIADHN CSCc1cccc(CNCc2cc(C)c(O)c(C)c2)c1 ZINC000577304288 1045114548 /nfs/dbraw/zinc/11/45/48/1045114548.db2.gz FGIZPWFHHCHMSJ-UHFFFAOYSA-N 0 3 301.455 4.162 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@H]3COCC[C@H]32)c1 ZINC000447311261 1045116302 /nfs/dbraw/zinc/11/63/02/1045116302.db2.gz AIBFKCKRQYRRMB-XJKSGUPXSA-N 0 3 314.256 4.037 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccccc1F ZINC000779006645 1045120818 /nfs/dbraw/zinc/12/08/18/1045120818.db2.gz UHYFDKPBVSGKBK-DLBZAZTESA-N 0 3 307.796 4.253 20 0 DIADHN CCCCCCN[C@@H](C)c1ncc(Br)cc1F ZINC000779006116 1045121565 /nfs/dbraw/zinc/12/15/65/1045121565.db2.gz NAALGKNDZVSXCR-JTQLQIEISA-N 0 3 303.219 4.214 20 0 DIADHN CCOC[C@H]1CCCN(CCc2cc(Cl)cc(Cl)c2)C1 ZINC000447350091 1045122267 /nfs/dbraw/zinc/12/22/67/1045122267.db2.gz ZHTBIWQQNIYIDI-AWEZNQCLSA-N 0 3 316.272 4.284 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCC2(C)C)nnn1-c1cccc(Cl)c1 ZINC000577429923 1045123393 /nfs/dbraw/zinc/12/33/93/1045123393.db2.gz QENFCZCRAMNPJM-NHYWBVRUSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1cccc([C@H](NCC2(CCO)CCCC2)c2ccccn2)c1 ZINC000925194888 1045124870 /nfs/dbraw/zinc/12/48/70/1045124870.db2.gz RDSKSPCERONYSC-FQEVSTJZSA-N 0 3 324.468 4.012 20 0 DIADHN Clc1cccc(Cl)c1C1(NCCCn2ccnc2)CCC1 ZINC000447388505 1045127834 /nfs/dbraw/zinc/12/78/34/1045127834.db2.gz WVYPZCHDEXHEJX-UHFFFAOYSA-N 0 3 324.255 4.249 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000600720076 1045132127 /nfs/dbraw/zinc/13/21/27/1045132127.db2.gz QRPKFZHNDBOCPS-AWEZNQCLSA-N 0 3 312.800 4.471 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@H]1CCc2cc(F)ccc21 ZINC000577539378 1045135197 /nfs/dbraw/zinc/13/51/97/1045135197.db2.gz VPARIBPVOKAFMU-IRXDYDNUSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@H]1/C=C/c1cccs1 ZINC000577561503 1045136422 /nfs/dbraw/zinc/13/64/22/1045136422.db2.gz RTTLFHLGPUXURV-SCOAYWHSSA-N 0 3 315.486 4.248 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC[C@H](F)CC1 ZINC001256839256 1045136602 /nfs/dbraw/zinc/13/66/02/1045136602.db2.gz ZPFSDLWLEPHQJE-JSGCOSHPSA-N 0 3 314.242 4.204 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000468758375 1045138755 /nfs/dbraw/zinc/13/87/55/1045138755.db2.gz ALVQUHSZMAFDGR-FUHIMQAGSA-N 0 3 316.489 4.023 20 0 DIADHN c1ccc([C@@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)nc1 ZINC000525972135 1045140165 /nfs/dbraw/zinc/14/01/65/1045140165.db2.gz KTZRKMCRHULKIV-AEFFLSMTSA-N 0 3 300.446 4.100 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H]2C[C@@H](C(C)C)c3ccccc32)cc1 ZINC000447536710 1045141385 /nfs/dbraw/zinc/14/13/85/1045141385.db2.gz PLVIVDNCZPZDAL-VQTJNVASSA-N 0 3 322.452 4.020 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1cccc(F)c1Cl ZINC000577619821 1045142389 /nfs/dbraw/zinc/14/23/89/1045142389.db2.gz FSGCKSIWGIOOTC-UHFFFAOYSA-N 0 3 323.843 4.150 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cc(F)cc(F)c1)c1ccccc1 ZINC000447550802 1045145818 /nfs/dbraw/zinc/14/58/18/1045145818.db2.gz IUKOOZODUNODPS-UGSOOPFHSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@H](CN[C@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000447574719 1045146984 /nfs/dbraw/zinc/14/69/84/1045146984.db2.gz WKBKUPJESXTMRU-MOPGFXCFSA-N 0 3 316.420 4.285 20 0 DIADHN CN(C)Cc1cc(CNCc2ccc(C(F)F)cc2)ccc1F ZINC000525996200 1045147190 /nfs/dbraw/zinc/14/71/90/1045147190.db2.gz JZOVPLGZJKEZNI-UHFFFAOYSA-N 0 3 322.374 4.115 20 0 DIADHN CCO[C@@H](CN[C@@H](CC)c1ccc(F)cn1)c1ccccc1 ZINC000447567268 1045150852 /nfs/dbraw/zinc/15/08/52/1045150852.db2.gz AFGWCASOSPNOHO-WMZOPIPTSA-N 0 3 302.393 4.039 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32)c1ccnn1C ZINC000447586841 1045152557 /nfs/dbraw/zinc/15/25/57/1045152557.db2.gz PBRLRJSFTMISJT-JJRVBVJISA-N 0 3 309.457 4.371 20 0 DIADHN COC[C@H](C)CN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000527106711 1045195870 /nfs/dbraw/zinc/19/58/70/1045195870.db2.gz NSLPNIGSZOPISY-IAQYHMDHSA-N 0 3 302.245 4.413 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC(c2ncc[nH]2)CC1 ZINC000447727566 1045168901 /nfs/dbraw/zinc/16/89/01/1045168901.db2.gz BTUZOWZKHLXJRS-UHFFFAOYSA-N 0 3 321.827 4.014 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC[C@H](C)c1ccccc1 ZINC000447741670 1045172848 /nfs/dbraw/zinc/17/28/48/1045172848.db2.gz LCGJJEXPNCGMNT-AWEZNQCLSA-N 0 3 313.470 4.330 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccc(F)cc1F)c1cn2ccccc2n1 ZINC000577817898 1045174822 /nfs/dbraw/zinc/17/48/22/1045174822.db2.gz CHZITARGWNFLQG-CHWSQXEVSA-N 0 3 315.367 4.067 20 0 DIADHN C[C@@H](CN[C@H](C)c1cn2ccccc2n1)c1ccc(F)cc1F ZINC000577817900 1045175482 /nfs/dbraw/zinc/17/54/82/1045175482.db2.gz CHZITARGWNFLQG-QWHCGFSZSA-N 0 3 315.367 4.067 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCc1ccc(C(F)(F)F)cc1 ZINC000924558744 1045175966 /nfs/dbraw/zinc/17/59/66/1045175966.db2.gz LANJUSOVWGYGCU-CQSZACIVSA-N 0 3 324.346 4.212 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924577850 1045178397 /nfs/dbraw/zinc/17/83/97/1045178397.db2.gz GDJDDPDFWFLXLO-DNVCBOLYSA-N 0 3 314.477 4.062 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cnnn1C)c1ccc(C(C)(C)C)cc1 ZINC000924581903 1045179535 /nfs/dbraw/zinc/17/95/35/1045179535.db2.gz UPJGCPSWZXIATQ-KDOFPFPSSA-N 0 3 314.477 4.161 20 0 DIADHN c1coc([C@@H](N[C@@H]2CC[C@@H]3COCC[C@H]3C2)c2ccccc2)c1 ZINC000924580407 1045179771 /nfs/dbraw/zinc/17/97/71/1045179771.db2.gz KBQDYXRAFJWCND-XFKSJGNHSA-N 0 3 311.425 4.164 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)c[nH]1)c1ccc(F)cc1 ZINC000447827786 1045180179 /nfs/dbraw/zinc/18/01/79/1045180179.db2.gz CTDUGAJPVSQFAZ-UHFFFAOYSA-N 0 3 323.415 4.283 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cnnn1C)c1ccc(C(C)(C)C)cc1 ZINC000924581901 1045180463 /nfs/dbraw/zinc/18/04/63/1045180463.db2.gz UPJGCPSWZXIATQ-KBXCAEBGSA-N 0 3 314.477 4.161 20 0 DIADHN Cc1ccccc1C1(CNCc2nc(-c3ccccc3)c[nH]2)CC1 ZINC000447836348 1045182580 /nfs/dbraw/zinc/18/25/80/1045182580.db2.gz XAYPPQOMFUOKTK-UHFFFAOYSA-N 0 3 317.436 4.207 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1coc2ccccc12 ZINC000924636601 1045185408 /nfs/dbraw/zinc/18/54/08/1045185408.db2.gz MWWCYOXEHHNHFI-OAHLLOKOSA-N 0 3 321.424 4.279 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1c(C)noc1C)c1ccsc1 ZINC000924659603 1045187738 /nfs/dbraw/zinc/18/77/38/1045187738.db2.gz QNQGSYCEAMZIRC-WBMJQRKESA-N 0 3 321.490 4.087 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000447896102 1045188269 /nfs/dbraw/zinc/18/82/69/1045188269.db2.gz GBGMEQVBBZRFMA-CHWSQXEVSA-N 0 3 310.507 4.124 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](C)c2coc3ccccc32)cc1 ZINC000924675165 1045189756 /nfs/dbraw/zinc/18/97/56/1045189756.db2.gz MHNIARJRXBXXFM-AWEZNQCLSA-N 0 3 322.408 4.033 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CCCO[C@H]2C(C)(C)C)s1 ZINC000447928448 1045190212 /nfs/dbraw/zinc/19/02/12/1045190212.db2.gz HOGNQXWCZTWMLF-CZUORRHYSA-N 0 3 324.534 4.372 20 0 DIADHN CC(C)c1ccc(CNCc2ccnn2-c2ccccc2)cc1 ZINC000447929023 1045192920 /nfs/dbraw/zinc/19/29/20/1045192920.db2.gz GQGICZNGJCNZNS-UHFFFAOYSA-N 0 3 305.425 4.286 20 0 DIADHN CCOCCCCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000924711636 1045193152 /nfs/dbraw/zinc/19/31/52/1045193152.db2.gz UEZXDEJVFXRAHU-GOSISDBHSA-N 0 3 318.848 4.231 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](CC)c2cccc(F)c2)c1 ZINC000527099461 1045194034 /nfs/dbraw/zinc/19/40/34/1045194034.db2.gz RCIBINZSMPZCSD-SFHVURJKSA-N 0 3 314.404 4.415 20 0 DIADHN CO[C@@H](CN[C@H](c1ccc(C)cc1)C1CCC1)C(F)(F)F ZINC000779039847 1045194132 /nfs/dbraw/zinc/19/41/32/1045194132.db2.gz GGOYWQUNSZJFBR-GJZGRUSLSA-N 0 3 301.352 4.003 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000924762865 1045196285 /nfs/dbraw/zinc/19/62/85/1045196285.db2.gz BYXDQIGEYDZZMW-CYFODOTGSA-N 0 3 307.393 4.050 20 0 DIADHN C[C@H](NCCSCc1cccc2ccccc21)c1ccnnc1 ZINC000924752462 1045196645 /nfs/dbraw/zinc/19/66/45/1045196645.db2.gz PHINAGHPLZWCCQ-HNNXBMFYSA-N 0 3 323.465 4.214 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(c3cccc(F)c3F)CC2)O1 ZINC000577999837 1045197279 /nfs/dbraw/zinc/19/72/79/1045197279.db2.gz LBRWPFLBBSQAMP-CQSZACIVSA-N 0 3 309.400 4.102 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H](C)Cc1ccccc1Cl ZINC000924817302 1045201146 /nfs/dbraw/zinc/20/11/46/1045201146.db2.gz JNAXFIICAIULRV-NHYWBVRUSA-N 0 3 304.821 4.235 20 0 DIADHN CCc1cc(CCCN(Cc2ccco2)Cc2ccccc2)on1 ZINC000578049933 1045202748 /nfs/dbraw/zinc/20/27/48/1045202748.db2.gz JPIWUPAXVXVLBN-UHFFFAOYSA-N 0 3 324.424 4.465 20 0 DIADHN CCCn1cc(CN(Cc2ccc(OC)cc2)C(C)(C)C)cn1 ZINC000578100518 1045206819 /nfs/dbraw/zinc/20/68/19/1045206819.db2.gz QPOASIMUDBZVBS-UHFFFAOYSA-N 0 3 315.461 4.102 20 0 DIADHN COCCCCCN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000924872056 1045207651 /nfs/dbraw/zinc/20/76/51/1045207651.db2.gz QFKMOUIJDMILKT-SFHVURJKSA-N 0 3 318.848 4.231 20 0 DIADHN COCCCCCN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000924872054 1045207993 /nfs/dbraw/zinc/20/79/93/1045207993.db2.gz QFKMOUIJDMILKT-GOSISDBHSA-N 0 3 318.848 4.231 20 0 DIADHN CN(Cc1nccn1CC(F)(F)F)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000418113962 1045208273 /nfs/dbraw/zinc/20/82/73/1045208273.db2.gz MXSYRKMUNNWCSI-CHWSQXEVSA-N 0 3 317.399 4.092 20 0 DIADHN Cn1ncc2ccc(CN[C@@H]3CCc4c3cccc4Cl)cc21 ZINC000578125399 1045211419 /nfs/dbraw/zinc/21/14/19/1045211419.db2.gz BVWVIDDGBUUFID-QGZVFWFLSA-N 0 3 311.816 4.004 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cn(C)nc2C)cc2ccccc21 ZINC000924951264 1045214972 /nfs/dbraw/zinc/21/49/72/1045214972.db2.gz RQCUCVQSCBQWEN-ZIAGYGMSSA-N 0 3 323.440 4.302 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000527325153 1045215649 /nfs/dbraw/zinc/21/56/49/1045215649.db2.gz LYZYUSNSQUVTBV-NRFANRHFSA-N 0 3 321.468 4.254 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000578165341 1045215731 /nfs/dbraw/zinc/21/57/31/1045215731.db2.gz MPGIIIJDOXVFID-CORIIIEPSA-N 0 3 318.852 4.007 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccnc(OC(F)F)c2)cc1 ZINC000578176125 1045217854 /nfs/dbraw/zinc/21/78/54/1045217854.db2.gz PLOYRNYGKGGTAI-UHFFFAOYSA-N 0 3 320.383 4.171 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2ccc(C(C)(C)O)cc2)cn1 ZINC000925044350 1045220801 /nfs/dbraw/zinc/22/08/01/1045220801.db2.gz FFQZTNQGGYOSQA-GJZGRUSLSA-N 0 3 315.461 4.103 20 0 DIADHN CCc1cccc2c(CCN[C@@H]3CCCc4oc(C)nc43)c[nH]c21 ZINC000925031930 1045221353 /nfs/dbraw/zinc/22/13/53/1045221353.db2.gz QVUJMBVHLZUSTO-QGZVFWFLSA-N 0 3 323.440 4.236 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)CCc2ccccn2)o1 ZINC000527358556 1045222672 /nfs/dbraw/zinc/22/26/72/1045222672.db2.gz LKAPQKUDHDHLRA-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN CSCCCCN[C@@H](c1cccc(C)c1)c1ccccn1 ZINC000925096676 1045225578 /nfs/dbraw/zinc/22/55/78/1045225578.db2.gz CSUBLDLFYTXQNB-SFHVURJKSA-N 0 3 300.471 4.212 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3ccccc32)cc1O ZINC000578285583 1045229092 /nfs/dbraw/zinc/22/90/92/1045229092.db2.gz MMIRRQYOWAZGEC-CQSZACIVSA-N 0 3 307.393 4.405 20 0 DIADHN COc1ccc2cc(CN(C)Cc3ccnc(C)c3)ccc2c1 ZINC000527535765 1045230515 /nfs/dbraw/zinc/23/05/15/1045230515.db2.gz VRJHVFQRTOLSTE-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Cc1ccc([C@@H](NCCOc2cccnc2)c2ccccc2)cc1 ZINC000779062499 1045231006 /nfs/dbraw/zinc/23/10/06/1045231006.db2.gz WGNCODXXXYQEFP-NRFANRHFSA-N 0 3 318.420 4.148 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC1CCC(C(F)(F)F)CC1 ZINC000925194449 1045234703 /nfs/dbraw/zinc/23/47/03/1045234703.db2.gz QNGOGZDGTKZBGU-BPCQOVAHSA-N 0 3 316.367 4.319 20 0 DIADHN C[C@H](O)C[C@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC000448361090 1045236520 /nfs/dbraw/zinc/23/65/20/1045236520.db2.gz VTUDHDSOPAJWIZ-QWHCGFSZSA-N 0 3 307.821 4.212 20 0 DIADHN Cc1cc(CN2CCC([C@H](F)c3ccccc3F)CC2)ccn1 ZINC000527539072 1045237020 /nfs/dbraw/zinc/23/70/20/1045237020.db2.gz KDMSFFAPZCUPSF-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC000448361092 1045237073 /nfs/dbraw/zinc/23/70/73/1045237073.db2.gz VTUDHDSOPAJWIZ-STQMWFEESA-N 0 3 307.821 4.212 20 0 DIADHN Clc1ccnc2c1CCC[C@H]2N[C@H]1CCCc2[nH]ccc21 ZINC000925228882 1045237410 /nfs/dbraw/zinc/23/74/10/1045237410.db2.gz PYSBBAYNAWAGRR-JKSUJKDBSA-N 0 3 301.821 4.108 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)N[C@@H]1c2ccccc2C[C@H]1O ZINC000925243300 1045240197 /nfs/dbraw/zinc/24/01/97/1045240197.db2.gz BYXDQIGEYDZZMW-LCIZUOBNSA-N 0 3 307.393 4.050 20 0 DIADHN c1cc2ccc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)cc2[nH]1 ZINC000527648472 1045243903 /nfs/dbraw/zinc/24/39/03/1045243903.db2.gz FVSDXCHTXBOCKC-PMACEKPBSA-N 0 3 306.409 4.178 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H](Cc1ccccc1)c1cccs1 ZINC000578453199 1045244419 /nfs/dbraw/zinc/24/44/19/1045244419.db2.gz JFRFJEWPRMCZDM-QAPCUYQASA-N 0 3 323.465 4.481 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1ncc(Cl)cc1Br ZINC000527645203 1045244640 /nfs/dbraw/zinc/24/46/40/1045244640.db2.gz KVTCDFCDHPRIFP-IUCAKERBSA-N 0 3 305.647 4.022 20 0 DIADHN FC(F)n1ccnc1CN[C@H]1CCC[C@H](c2ccccc2)CC1 ZINC000527646952 1045244833 /nfs/dbraw/zinc/24/48/33/1045244833.db2.gz SOSLDKYXELRFRU-HOTGVXAUSA-N 0 3 319.399 4.484 20 0 DIADHN Cc1nc2n(n1)CCC[C@@H]2N[C@H]1CCC[C@H](c2ccccc2)CC1 ZINC000527671573 1045245840 /nfs/dbraw/zinc/24/58/40/1045245840.db2.gz VJAWOEUSOOHZOV-FHWLQOOXSA-N 0 3 324.472 4.128 20 0 DIADHN Cc1sc(NC(=O)COc2cc(C)nc(C)c2C)c(C)c1C ZINC000578522121 1045250203 /nfs/dbraw/zinc/25/02/03/1045250203.db2.gz BJLGLKBEXOQABG-UHFFFAOYSA-N 0 3 318.442 4.011 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc2cc[nH]c2c1)c1ccccc1 ZINC000527725447 1045253883 /nfs/dbraw/zinc/25/38/83/1045253883.db2.gz FBPIUERUSZHQEW-PMACEKPBSA-N 0 3 308.425 4.016 20 0 DIADHN CCN(CCC1CC1)Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000578564915 1045255001 /nfs/dbraw/zinc/25/50/01/1045255001.db2.gz UNBMWSDYVCXHLY-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN C[C@@H](NCc1ncccc1O)c1ccccc1Oc1ccccc1 ZINC000578579421 1045256143 /nfs/dbraw/zinc/25/61/43/1045256143.db2.gz XCTCIMXZHCCQPT-OAHLLOKOSA-N 0 3 320.392 4.430 20 0 DIADHN c1ccc([C@H](NCc2cccc3cccnc32)C2CCC2)nc1 ZINC000527771303 1045260858 /nfs/dbraw/zinc/26/08/58/1045260858.db2.gz HLGZRHGDPLVJGU-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN CC[C@H](NCc1cnc2nc(C)cc(C)n12)c1cc(C)ccc1C ZINC000527817331 1045264589 /nfs/dbraw/zinc/26/45/89/1045264589.db2.gz BSBAWGJSMDIMME-IBGZPJMESA-N 0 3 322.456 4.204 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2cccc(C(=O)NC)c2)cc1 ZINC000527855669 1045268171 /nfs/dbraw/zinc/26/81/71/1045268171.db2.gz VQUWSCKURJZNHS-CVEARBPZSA-N 0 3 324.468 4.411 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2ccc(C(=O)NC)cc2)cc1 ZINC000527853296 1045268532 /nfs/dbraw/zinc/26/85/32/1045268532.db2.gz ULDQMFQOAMFMKG-CVEARBPZSA-N 0 3 324.468 4.411 20 0 DIADHN COCc1cccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)c1 ZINC000527853039 1045268917 /nfs/dbraw/zinc/26/89/17/1045268917.db2.gz SKWAIJFEKYUIDA-GOSISDBHSA-N 0 3 319.807 4.403 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H]1CC12CC2)c1nc(C(F)(F)F)cs1 ZINC000527865245 1045270137 /nfs/dbraw/zinc/27/01/37/1045270137.db2.gz MZOXZRMWTVBSGM-UTLUCORTSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@@H](CCc1ccccc1)NCc1csc([C@H](C)OC)n1 ZINC000527869880 1045271289 /nfs/dbraw/zinc/27/12/89/1045271289.db2.gz NAEGOFBHPNYPEF-HOCLYGCPSA-N 0 3 318.486 4.352 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC[C@H](c2ccncc2)C1 ZINC000578800931 1045272550 /nfs/dbraw/zinc/27/25/50/1045272550.db2.gz GHVFMTHXNKOXSR-HNNXBMFYSA-N 0 3 318.823 4.296 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2ccc(C)n(C)c2=O)cc1 ZINC000527899415 1045275971 /nfs/dbraw/zinc/27/59/71/1045275971.db2.gz VJMHGDMADASCDS-HOCLYGCPSA-N 0 3 312.457 4.058 20 0 DIADHN C[C@@H]1CC[C@@H](NC[C@H](n2cccn2)C(F)(F)F)c2ccccc21 ZINC000527928654 1045278734 /nfs/dbraw/zinc/27/87/34/1045278734.db2.gz CKVDMVCGALBLFD-WQVCFCJDSA-N 0 3 323.362 4.215 20 0 DIADHN CCc1ccc([C@@H](CC(C)C)NCc2n[nH]c(C(C)C)n2)cc1 ZINC000527905798 1045279563 /nfs/dbraw/zinc/27/95/63/1045279563.db2.gz VPFGADWWFDCNOX-QGZVFWFLSA-N 0 3 314.477 4.368 20 0 DIADHN COc1cccc([C@@H](CN[C@H](C)c2ccc(F)cc2F)OC)c1 ZINC000527918156 1045282362 /nfs/dbraw/zinc/28/23/62/1045282362.db2.gz DXRRIKIBWDLIKI-KZULUSFZSA-N 0 3 321.367 4.012 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCCC1)c1nnc(-c2cccs2)o1 ZINC000578938113 1045283879 /nfs/dbraw/zinc/28/38/79/1045283879.db2.gz ZLHQHLXBCPQRSM-VXGBXAGGSA-N 0 3 305.447 4.418 20 0 DIADHN Fc1ccc([C@@H](NCC2CC=CC2)c2cccnc2)c(F)c1 ZINC000527947662 1045284887 /nfs/dbraw/zinc/28/48/87/1045284887.db2.gz JVRKVLOCZLOCFM-SFHVURJKSA-N 0 3 300.352 4.005 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1ccncc1Br ZINC001258067886 1045285061 /nfs/dbraw/zinc/28/50/61/1045285061.db2.gz TXFBUUBVUWJKMC-OLZOCXBDSA-N 0 3 313.283 4.395 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](n2cccn2)C(F)(F)F)c(C)s1 ZINC000527932198 1045286011 /nfs/dbraw/zinc/28/60/11/1045286011.db2.gz LAVGCANWANQHRC-MFKMUULPSA-N 0 3 317.380 4.016 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(SC)cc1 ZINC000578996363 1045286976 /nfs/dbraw/zinc/28/69/76/1045286976.db2.gz INVNRDXIZGMGDQ-MRXNPFEDSA-N 0 3 317.429 4.333 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc2cn(C)nc2c1 ZINC000527957391 1045288556 /nfs/dbraw/zinc/28/85/56/1045288556.db2.gz BIJNBERLJCXQCV-CQSZACIVSA-N 0 3 319.408 4.479 20 0 DIADHN Cn1cc2ccc(CN[C@H]3CCc4c3cccc4Cl)cc2n1 ZINC000527967057 1045289162 /nfs/dbraw/zinc/28/91/62/1045289162.db2.gz WVIQOFLRFJCVTD-KRWDZBQOSA-N 0 3 311.816 4.004 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cccnc1C ZINC000527988820 1045291262 /nfs/dbraw/zinc/29/12/62/1045291262.db2.gz VJJDMUAQFVKRII-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN Cc1ncccc1CN[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000527987428 1045294027 /nfs/dbraw/zinc/29/40/27/1045294027.db2.gz PDRVATHLBZZCIC-MRXNPFEDSA-N 0 3 307.224 4.474 20 0 DIADHN CC[C@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1ccc(F)cc1 ZINC000528043107 1045297006 /nfs/dbraw/zinc/29/70/06/1045297006.db2.gz KJJBKYKPQIJCEY-HKUYNNGSSA-N 0 3 314.404 4.421 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2csc(C)c2)C2CC2)cn1 ZINC000528042819 1045297023 /nfs/dbraw/zinc/29/70/23/1045297023.db2.gz JMSAGNGIYMPDJR-PXAZEXFGSA-N 0 3 302.443 4.262 20 0 DIADHN CC1(C)OCc2cc(CN3CC4(CCC4)[C@@H]3C3CC3)ccc2O1 ZINC000579181096 1045299032 /nfs/dbraw/zinc/29/90/32/1045299032.db2.gz QKXXQKFNIBBQSY-SFHVURJKSA-N 0 3 313.441 4.096 20 0 DIADHN Cc1ccc(CN2CCC(c3ccncc3)CC2)cc1Cl ZINC000579291316 1045305694 /nfs/dbraw/zinc/30/56/94/1045305694.db2.gz JXNBICYCRNBXEY-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1cc(C)oc1C ZINC000779149917 1045321998 /nfs/dbraw/zinc/32/19/98/1045321998.db2.gz QGRJBHZYFWIAEM-PXAZEXFGSA-N 0 3 307.821 4.416 20 0 DIADHN O=C1CCC[C@@H]1CCS[C@H]1CCCN(Cc2ccccc2)C1 ZINC000579591743 1045324224 /nfs/dbraw/zinc/32/42/24/1045324224.db2.gz MBRHTHSDGUHWFD-MSOLQXFVSA-N 0 3 317.498 4.144 20 0 DIADHN COc1ncc([C@H](C)NC/C=C/c2ccccc2)cc1Cl ZINC000579620938 1045326265 /nfs/dbraw/zinc/32/62/65/1045326265.db2.gz JUDMXMSIQPVGGQ-PPGNKHEKSA-N 0 3 302.805 4.108 20 0 DIADHN COCCN(Cc1cc2cccnc2o1)[C@@H](C)c1ccccc1 ZINC000449013176 1045331212 /nfs/dbraw/zinc/33/12/12/1045331212.db2.gz JXDVVQDNWFHDSQ-HNNXBMFYSA-N 0 3 310.397 4.037 20 0 DIADHN COCCN(Cc1cc2cccnc2o1)[C@H](C)c1ccccc1 ZINC000449013186 1045331508 /nfs/dbraw/zinc/33/15/08/1045331508.db2.gz JXDVVQDNWFHDSQ-OAHLLOKOSA-N 0 3 310.397 4.037 20 0 DIADHN CCn1nnc(C)c1CN1CCC[C@H]1c1cccc2ccccc21 ZINC000449016597 1045333285 /nfs/dbraw/zinc/33/32/85/1045333285.db2.gz FIWNLVQEZOASJG-IBGZPJMESA-N 0 3 320.440 4.097 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCCO2)c1cccs1 ZINC000449065984 1045339469 /nfs/dbraw/zinc/33/94/69/1045339469.db2.gz XZHSNSODFUXYLR-CYBMUJFWSA-N 0 3 303.427 4.150 20 0 DIADHN Cc1cnc(CNCCc2cccc(Cl)c2Cl)s1 ZINC000449084527 1045341820 /nfs/dbraw/zinc/34/18/20/1045341820.db2.gz HRANSQMRIPRXRC-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)nc2)[C@@H](C)C2CC2)cc1 ZINC000189287161 1045344779 /nfs/dbraw/zinc/34/47/79/1045344779.db2.gz NHWUITMJECAEKK-AWEZNQCLSA-N 0 3 314.404 4.030 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3ccc(F)nc3)CC2)cc1 ZINC000779165687 1045346912 /nfs/dbraw/zinc/34/69/12/1045346912.db2.gz FIVSNUUFOJKNGN-HNNXBMFYSA-N 0 3 302.368 4.130 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)NCc1ccc(Cl)cc1F ZINC000189413404 1045347172 /nfs/dbraw/zinc/34/71/72/1045347172.db2.gz DDXQURAPPSFBGI-QMTHXVAHSA-N 0 3 313.825 4.142 20 0 DIADHN COc1ccc(C2(NCc3cc4cccnc4o3)CCCC2)cc1 ZINC000449142589 1045352361 /nfs/dbraw/zinc/35/23/61/1045352361.db2.gz OTKPXCOMHRPUJQ-UHFFFAOYSA-N 0 3 322.408 4.396 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H]2CCCC23CCCCC3)cs1 ZINC000449176870 1045354041 /nfs/dbraw/zinc/35/40/41/1045354041.db2.gz NXXLJWJTBMTWMB-ZFWWWQNUSA-N 0 3 308.491 4.443 20 0 DIADHN COC[C@H](NC1CCC(OC2CCCC2)CC1)c1ccc(C)o1 ZINC000449176511 1045354235 /nfs/dbraw/zinc/35/42/35/1045354235.db2.gz MXQXYIXIIYZHAF-VJFUWPCTSA-N 0 3 321.461 4.136 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CCCC23CCCCC3)cs1 ZINC000449176869 1045354921 /nfs/dbraw/zinc/35/49/21/1045354921.db2.gz NXXLJWJTBMTWMB-UKRRQHHQSA-N 0 3 308.491 4.443 20 0 DIADHN CCn1cc(CNCc2ccccc2-c2ccccc2C)cn1 ZINC000449159922 1045355444 /nfs/dbraw/zinc/35/54/44/1045355444.db2.gz JRNLKRSDWWTFNB-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449184535 1045359203 /nfs/dbraw/zinc/35/92/03/1045359203.db2.gz GLMBTMILXKGCPC-AMIZOPFISA-N 0 3 324.346 4.089 20 0 DIADHN C[C@@H]1CC[C@@H](C)[C@H](NCc2nnc(-c3ccccc3)s2)C1 ZINC000449183470 1045359211 /nfs/dbraw/zinc/35/92/11/1045359211.db2.gz FXJYYBPNIUUXOD-UMVBOHGHSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccnn1C1CCCC1 ZINC000449209571 1045360976 /nfs/dbraw/zinc/36/09/76/1045360976.db2.gz UYOQMRYDPINFPI-MGPUTAFESA-N 0 3 309.457 4.411 20 0 DIADHN COCC1CCC(NCc2cc(Cl)sc2Cl)CC1 ZINC000449202270 1045363654 /nfs/dbraw/zinc/36/36/54/1045363654.db2.gz IKMOCSWLFUIURN-UHFFFAOYSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccoc1)c1nc(-c2ccncc2)cs1 ZINC000449227776 1045365476 /nfs/dbraw/zinc/36/54/76/1045365476.db2.gz WHMVZBAMSXXQFB-QWHCGFSZSA-N 0 3 313.426 4.080 20 0 DIADHN CN(C)Cc1ccnc(NCc2cc(Cl)sc2Cl)c1 ZINC000449232577 1045365540 /nfs/dbraw/zinc/36/55/40/1045365540.db2.gz UXKIHCJTAAXCGB-UHFFFAOYSA-N 0 3 316.257 4.124 20 0 DIADHN C/C(Cl)=C\CN[C@@H](c1cncc(Br)c1)C1CC1 ZINC000528496456 1045366296 /nfs/dbraw/zinc/36/62/96/1045366296.db2.gz HNRCLUPIPSZYFA-QGQQYVBWSA-N 0 3 315.642 4.027 20 0 DIADHN CCC[C@H](NCc1cc(COC(C)(C)C)on1)C1CCCC1 ZINC000449256576 1045367580 /nfs/dbraw/zinc/36/75/80/1045367580.db2.gz JHXSDYRXTNNYAE-KRWDZBQOSA-N 0 3 308.466 4.438 20 0 DIADHN C[C@@H](CC(C)(C)C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000449259337 1045367932 /nfs/dbraw/zinc/36/79/32/1045367932.db2.gz SXMLZJFXOAUHBH-GUYCJALGSA-N 0 3 303.425 4.063 20 0 DIADHN Cc1cccc(C)c1Oc1ccccc1NC(=O)[C@@H](C)NC1CC1 ZINC000449243044 1045368443 /nfs/dbraw/zinc/36/84/43/1045368443.db2.gz HRDHOCBDWZRAPX-OAHLLOKOSA-N 0 3 324.424 4.175 20 0 DIADHN COc1ccc(O)c([C@H](C)NCc2cc(Cl)ccc2F)c1 ZINC000189844392 1045370331 /nfs/dbraw/zinc/37/03/31/1045370331.db2.gz JBZKAARDTYLVCQ-JTQLQIEISA-N 0 3 309.768 4.044 20 0 DIADHN C[C@H]1CCN(Cc2cnc(-c3ccccc3F)s2)CCS1 ZINC000449282692 1045370698 /nfs/dbraw/zinc/37/06/98/1045370698.db2.gz HYYVGHFHFKBHAR-LBPRGKRZSA-N 0 3 322.474 4.277 20 0 DIADHN C[C@@H]1CCSCCN1Cc1cnc(-c2ccccc2F)s1 ZINC000449282526 1045371309 /nfs/dbraw/zinc/37/13/09/1045371309.db2.gz HLITZWHKBWALOA-GFCCVEGCSA-N 0 3 322.474 4.277 20 0 DIADHN N#CC(C(=O)C1CCC(c2ccccc2)CC1)c1ccccn1 ZINC000076825668 1045374569 /nfs/dbraw/zinc/37/45/69/1045374569.db2.gz FBWPZUKAROSDRC-DAWZGUTISA-N 0 3 304.393 4.232 20 0 DIADHN C[C@H](NC1CCC(OC2CCCC2)CC1)c1c(F)cncc1F ZINC000449318643 1045376974 /nfs/dbraw/zinc/37/69/74/1045376974.db2.gz HLZJIYDNVQRUGW-OPFPJEHXSA-N 0 3 324.415 4.281 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCc3c(F)cc(F)cc32)c1 ZINC000449346825 1045379059 /nfs/dbraw/zinc/37/90/59/1045379059.db2.gz AMDDTMQJXLMFCB-LJQANCHMSA-N 0 3 317.379 4.278 20 0 DIADHN CCCc1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)s1 ZINC001138523888 1045379000 /nfs/dbraw/zinc/37/90/00/1045379000.db2.gz PYSRVCWCAKVAIW-ZWNOBZJWSA-N 0 3 309.372 4.280 20 0 DIADHN Cc1cc(C)n2c(CN[C@H](C)c3cccc(C4CC4)c3)cnc2n1 ZINC000449349909 1045379565 /nfs/dbraw/zinc/37/95/65/1045379565.db2.gz KXURKSMLWAEXCB-OAHLLOKOSA-N 0 3 320.440 4.074 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NC/C=C/c1ccncc1)CCC2 ZINC000449352537 1045380888 /nfs/dbraw/zinc/38/08/88/1045380888.db2.gz VBLAUBUSXBZXFA-ZMUQKWFASA-N 0 3 300.352 4.040 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NC/C=C\c1ccncc1)CCC2 ZINC000449352535 1045380993 /nfs/dbraw/zinc/38/09/93/1045380993.db2.gz VBLAUBUSXBZXFA-KVLZDYKBSA-N 0 3 300.352 4.040 20 0 DIADHN CC(C)c1cnc(CNC[C@H]2CSc3ccccc32)s1 ZINC000449364673 1045382272 /nfs/dbraw/zinc/38/22/72/1045382272.db2.gz OBWDHMRTHKEELJ-LBPRGKRZSA-N 0 3 304.484 4.246 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(F)cccc32)cc1F ZINC000449353163 1045384412 /nfs/dbraw/zinc/38/44/12/1045384412.db2.gz YQOVWSPXMQXOHY-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1ccc2nc(CNC[C@@H]3CCCCC3(F)F)sc2c1 ZINC000449376054 1045385684 /nfs/dbraw/zinc/38/56/84/1045385684.db2.gz KFNCXMSXXOYXKP-JTQLQIEISA-N 0 3 314.376 4.351 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000449411088 1045391909 /nfs/dbraw/zinc/39/19/09/1045391909.db2.gz FOJCCECJAXQXSP-ZIAGYGMSSA-N 0 3 322.880 4.015 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1ncc(Cl)s1 ZINC000449432961 1045392566 /nfs/dbraw/zinc/39/25/66/1045392566.db2.gz KCIXBQRHIBGGGI-IUCAKERBSA-N 0 3 312.788 4.397 20 0 DIADHN Cc1ccccc1CN[C@H](CCO)c1cccc(C(F)(F)F)c1 ZINC000449435310 1045393744 /nfs/dbraw/zinc/39/37/44/1045393744.db2.gz ASRSGOHCALLSKC-QGZVFWFLSA-N 0 3 323.358 4.227 20 0 DIADHN Cc1ncsc1CN[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000449453299 1045394572 /nfs/dbraw/zinc/39/45/72/1045394572.db2.gz WKIUJCNCQJKQCL-OAHLLOKOSA-N 0 3 310.825 4.485 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1ccc(-n2cccn2)cc1 ZINC000449460084 1045395894 /nfs/dbraw/zinc/39/58/94/1045395894.db2.gz MFSPVZPPJPGPKV-DZGCQCFKSA-N 0 3 323.362 4.035 20 0 DIADHN Oc1cccc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)c1 ZINC000449453326 1045396104 /nfs/dbraw/zinc/39/61/04/1045396104.db2.gz WQUPIPTUDLUNKF-QGZVFWFLSA-N 0 3 305.780 4.426 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1cc2ccccc2[nH]c1=O ZINC000449460493 1045396401 /nfs/dbraw/zinc/39/64/01/1045396401.db2.gz NMWGLFOJXKXTPB-SMDDNHRTSA-N 0 3 324.346 4.103 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1csc(-c2ccccn2)n1 ZINC000449443288 1045397144 /nfs/dbraw/zinc/39/71/44/1045397144.db2.gz RCTLSCAJULTHQQ-CQSZACIVSA-N 0 3 301.459 4.430 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1csc(-c2ncccn2)n1 ZINC000449437352 1045397183 /nfs/dbraw/zinc/39/71/83/1045397183.db2.gz YYFIEUYWNAATDI-QGZVFWFLSA-N 0 3 316.474 4.001 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1 ZINC000193365287 1045399482 /nfs/dbraw/zinc/39/94/82/1045399482.db2.gz WVMICUUHZFSBAV-INIZCTEOSA-N 0 3 311.473 4.425 20 0 DIADHN CCO[C@H]1CCN(Cc2cc3c(cc(C)cc3C)[nH]2)C[C@@H]1C ZINC000449493883 1045400611 /nfs/dbraw/zinc/40/06/11/1045400611.db2.gz FQSFYFVRFMZETN-KXBFYZLASA-N 0 3 300.446 4.032 20 0 DIADHN CO[C@](C)(CNCc1cnc(C(C)(C)C)s1)c1ccccc1 ZINC000449482972 1045401361 /nfs/dbraw/zinc/40/13/61/1045401361.db2.gz FJIGYLAFUYHDOI-GOSISDBHSA-N 0 3 318.486 4.092 20 0 DIADHN CO[C@@H]1CCN(Cc2cc3c(ccc(C)c3C)[nH]2)CC1(C)C ZINC000449496564 1045403634 /nfs/dbraw/zinc/40/36/34/1045403634.db2.gz HEZKOBBCTJSHDY-GOSISDBHSA-N 0 3 300.446 4.032 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3cccs3)s2)C[C@@H]1C ZINC000449506113 1045405417 /nfs/dbraw/zinc/40/54/17/1045405417.db2.gz ZZGCORBEANYQCH-GXTWGEPZSA-N 0 3 322.499 4.119 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3cccs3)s2)C[C@H]1C ZINC000449506116 1045406027 /nfs/dbraw/zinc/40/60/27/1045406027.db2.gz ZZGCORBEANYQCH-TZMCWYRMSA-N 0 3 322.499 4.119 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC[C@]2(CCc3ccccc3O2)C1 ZINC000528737844 1045406930 /nfs/dbraw/zinc/40/69/30/1045406930.db2.gz XSBKDQWQDDIFRE-JXFKEZNVSA-N 0 3 308.425 4.002 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(F)cc3)C2(C)C)c(C)o1 ZINC000528745174 1045407816 /nfs/dbraw/zinc/40/78/16/1045407816.db2.gz OOBBVXWXSQCKGP-MRXNPFEDSA-N 0 3 302.393 4.199 20 0 DIADHN c1coc(-c2ncc(CN3C[C@@H](C4CC4)[C@H]3C3CC3)s2)c1 ZINC000449534565 1045409552 /nfs/dbraw/zinc/40/95/52/1045409552.db2.gz GRYMBMIUJXYSRA-GOEBONIOSA-N 0 3 300.427 4.024 20 0 DIADHN CCn1ccnc1[C@@H](C)NC1CC(c2cccc(Cl)c2)C1 ZINC000449561952 1045413545 /nfs/dbraw/zinc/41/35/45/1045413545.db2.gz FTNLWUNOGUVZCO-XEBKBJJBSA-N 0 3 303.837 4.153 20 0 DIADHN C[C@H]1C[C@@H](NCCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000449571736 1045415540 /nfs/dbraw/zinc/41/55/40/1045415540.db2.gz LYLDBPNVVYFYPO-XHDPSFHLSA-N 0 3 324.255 4.418 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000449574227 1045416080 /nfs/dbraw/zinc/41/60/80/1045416080.db2.gz TZOWJEBQWLNGTI-MDZLAQPJSA-N 0 3 309.866 4.215 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000449574230 1045416160 /nfs/dbraw/zinc/41/61/60/1045416160.db2.gz TZOWJEBQWLNGTI-WZRBSPASSA-N 0 3 309.866 4.215 20 0 DIADHN CCCc1csc(CN[C@H]2C[C@H](c3cccc(OC)c3)C2)n1 ZINC000449617512 1045420297 /nfs/dbraw/zinc/42/02/97/1045420297.db2.gz HZBCECVXXNNSEV-KOMQPUFPSA-N 0 3 316.470 4.140 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](CC)c2c(C)nn(C)c2C)cc1 ZINC000119391117 1045422164 /nfs/dbraw/zinc/42/21/64/1045422164.db2.gz WQMKGJQCGDSHJH-UGSOOPFHSA-N 0 3 315.461 4.238 20 0 DIADHN CC(C)c1ccc([C@@H]2C[C@H]2C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449667958 1045425662 /nfs/dbraw/zinc/42/56/62/1045425662.db2.gz GJZJNPFSTYOWLF-VQTJNVASSA-N 0 3 320.436 4.155 20 0 DIADHN CC[C@H](NCc1ccc(F)c(C)c1)c1ccc(OC)c(OC)c1 ZINC000119402201 1045426397 /nfs/dbraw/zinc/42/63/97/1045426397.db2.gz ZZHDJVCBGAPQTR-KRWDZBQOSA-N 0 3 317.404 4.392 20 0 DIADHN CSc1ccc([C@@H](C)N2CCC[C@@]3(CCOC3)C2)cc1F ZINC000449684101 1045426526 /nfs/dbraw/zinc/42/65/26/1045426526.db2.gz QQKAKLCNMOJWMB-CXAGYDPISA-N 0 3 309.450 4.111 20 0 DIADHN Cc1c(C(=O)Nc2ccc3c(c2)CNC3)oc2c1c(C)ccc2C ZINC000449672129 1045427344 /nfs/dbraw/zinc/42/73/44/1045427344.db2.gz LSJANUIACQESTL-UHFFFAOYSA-N 0 3 320.392 4.214 20 0 DIADHN Clc1cccc(C2CC(NCc3cnc(C4CC4)o3)C2)c1 ZINC000449705527 1045428949 /nfs/dbraw/zinc/42/89/49/1045428949.db2.gz KPNJUQGCSRYPDQ-UHFFFAOYSA-N 0 3 302.805 4.241 20 0 DIADHN COc1cc([C@H](C)N2CCC[C@@]3(CCSC3)C2)ccc1F ZINC000449731175 1045430798 /nfs/dbraw/zinc/43/07/98/1045430798.db2.gz HQJUNVBXRBJELE-SUMWQHHRSA-N 0 3 309.450 4.114 20 0 DIADHN COc1cc([C@@H](C)N2CCC[C@]3(CCSC3)C2)ccc1F ZINC000449731173 1045430957 /nfs/dbraw/zinc/43/09/57/1045430957.db2.gz HQJUNVBXRBJELE-DYVFJYSZSA-N 0 3 309.450 4.114 20 0 DIADHN COc1cc([C@H](C)N2CCC[C@]3(CCSC3)C2)ccc1F ZINC000449731174 1045431118 /nfs/dbraw/zinc/43/11/18/1045431118.db2.gz HQJUNVBXRBJELE-GUYCJALGSA-N 0 3 309.450 4.114 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2cnc(C)cc2C)cc1 ZINC000449734811 1045431753 /nfs/dbraw/zinc/43/17/53/1045431753.db2.gz OIOFIGQGKLAFAE-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@]3(CCSC3)C2)s1 ZINC000449736075 1045433109 /nfs/dbraw/zinc/43/31/09/1045433109.db2.gz VTYVVGNNVWRAIU-MRXNPFEDSA-N 0 3 310.532 4.160 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)c(C)c1 ZINC000449766967 1045435403 /nfs/dbraw/zinc/43/54/03/1045435403.db2.gz PCHSGMGYWQCLPN-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN Cc1cc(CN[C@H](c2cccs2)C2(CO)CCC2)ccc1F ZINC000449767508 1045435790 /nfs/dbraw/zinc/43/57/90/1045435790.db2.gz QOPWNOQDVCNOMZ-QGZVFWFLSA-N 0 3 319.445 4.189 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1ccc(Br)s1 ZINC000487532656 1045440168 /nfs/dbraw/zinc/44/01/68/1045440168.db2.gz CNBFSROCQQDFFG-OTDNITJGSA-N 0 3 323.259 4.270 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2ccc(C)cc2C)cc1 ZINC000120380041 1045467851 /nfs/dbraw/zinc/46/78/51/1045467851.db2.gz WWNMISRJXOYDPZ-UZLBHIALSA-N 0 3 313.441 4.086 20 0 DIADHN FC(F)(F)c1sccc1CN1CC[C@H](C2CCOCC2)C1 ZINC000529527674 1045487286 /nfs/dbraw/zinc/48/72/86/1045487286.db2.gz ATDKIZJJJHKQRY-LBPRGKRZSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1cc(CN2CC=C(c3c[nH]c4ccccc34)CC2)ccn1 ZINC000529542838 1045492248 /nfs/dbraw/zinc/49/22/48/1045492248.db2.gz LIEDUQMWGIVSAC-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3)c(Cl)c2)CC[C@@H]1O ZINC000529548697 1045492926 /nfs/dbraw/zinc/49/29/26/1045492926.db2.gz KMEDHPMQQCFXNK-LIRRHRJNSA-N 0 3 315.844 4.210 20 0 DIADHN COC(=O)c1ccc(CNC(C)(C)c2ccccc2)cc1Cl ZINC000450349813 1045493107 /nfs/dbraw/zinc/49/31/07/1045493107.db2.gz PJCQUQXHSUNDFZ-UHFFFAOYSA-N 0 3 317.816 4.152 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H]3CCC(C)(C)C[C@@H]3C)o2)c(C)o1 ZINC000120818276 1045495082 /nfs/dbraw/zinc/49/50/82/1045495082.db2.gz UCOANLHIXOVMJV-XHDPSFHLSA-N 0 3 317.433 4.251 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307499851 1045510556 /nfs/dbraw/zinc/51/05/56/1045510556.db2.gz KASKIBJIIACHOQ-CZUORRHYSA-N 0 3 303.837 4.146 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cn2cccnc2n1)CC1CCC1 ZINC000121109647 1045514600 /nfs/dbraw/zinc/51/46/00/1045514600.db2.gz MBUAGQWLMSVHQP-MRXNPFEDSA-N 0 3 320.440 4.093 20 0 DIADHN OCCC[C@@H](NCc1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000077466708 1045522771 /nfs/dbraw/zinc/52/27/71/1045522771.db2.gz PCXLEXBOPYTJKR-QGZVFWFLSA-N 0 3 323.358 4.309 20 0 DIADHN C[C@](O)(CNCc1ccc(Cl)cc1Cl)c1ccsc1 ZINC000077460861 1045523023 /nfs/dbraw/zinc/52/30/23/1045523023.db2.gz VFIYEHJZXBOKPE-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCc1ccns1 ZINC001119771781 1045525456 /nfs/dbraw/zinc/52/54/56/1045525456.db2.gz OYGSAWQXVBVRGT-CQSZACIVSA-N 0 3 314.376 4.277 20 0 DIADHN CC(C)N(Cc1ncc(Br)cc1F)C1CCCC1 ZINC000628138170 1045527820 /nfs/dbraw/zinc/52/78/20/1045527820.db2.gz CAIOIRHZQNFBIG-UHFFFAOYSA-N 0 3 315.230 4.136 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4cnc(C)o4)CC3)c[nH]c21 ZINC000628152875 1045530483 /nfs/dbraw/zinc/53/04/83/1045530483.db2.gz RITGDRMBXQZNKV-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3cnc(C4CC4)o3)C2)cc1 ZINC000628172925 1045534954 /nfs/dbraw/zinc/53/49/54/1045534954.db2.gz APJVMKVHUUCZSQ-CQSZACIVSA-N 0 3 302.805 4.195 20 0 DIADHN c1ccc(SC2(CNCc3cnc4ccccc4n3)CC2)cc1 ZINC000628211801 1045545328 /nfs/dbraw/zinc/54/53/28/1045545328.db2.gz RXRVHGLTCQZEMV-UHFFFAOYSA-N 0 3 321.449 4.044 20 0 DIADHN COC[C@@H](NC[C@@H]1CCCCC1(F)F)c1ccc(F)c(F)c1 ZINC000450684241 1045545681 /nfs/dbraw/zinc/54/56/81/1045545681.db2.gz REPJERLCTUEFJA-SWLSCSKDSA-N 0 3 319.342 4.067 20 0 DIADHN COC[C@H](NC[C@H]1CCCCC1(F)F)c1ccc(F)c(F)c1 ZINC000450684239 1045545776 /nfs/dbraw/zinc/54/57/76/1045545776.db2.gz REPJERLCTUEFJA-DOMZBBRYSA-N 0 3 319.342 4.067 20 0 DIADHN Cc1ncc(CN2CCC(C)(c3ccc(Cl)cc3)CC2)o1 ZINC000628255668 1045555534 /nfs/dbraw/zinc/55/55/34/1045555534.db2.gz UHFZJLOGMQUKSP-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN C[C@H](N[C@@H](C)C1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000628254065 1045555850 /nfs/dbraw/zinc/55/58/50/1045555850.db2.gz LBJSTHRAYLRWLZ-BQBZGAKWSA-N 0 3 314.323 4.246 20 0 DIADHN CC(C)=CCN1CCC(N(CC2CC2)C(=O)OC(C)(C)C)CC1 ZINC000750834562 1045560007 /nfs/dbraw/zinc/56/00/07/1045560007.db2.gz QEPYCPUVWYVTRS-UHFFFAOYSA-N 0 3 322.493 4.064 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3cc(C)c(Cl)cc3O)C2)ccn1 ZINC000628349770 1045571079 /nfs/dbraw/zinc/57/10/79/1045571079.db2.gz QBBPJXVEMZARLW-OAHLLOKOSA-N 0 3 316.832 4.047 20 0 DIADHN Cc1cc(CN2CC[C@H](c3cc(C)c(Cl)cc3O)C2)ccn1 ZINC000628349769 1045571530 /nfs/dbraw/zinc/57/15/30/1045571530.db2.gz QBBPJXVEMZARLW-HNNXBMFYSA-N 0 3 316.832 4.047 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2C[C@@H](C)C(F)(F)[C@@H](C)C2)cc1 ZINC000628390750 1045574032 /nfs/dbraw/zinc/57/40/32/1045574032.db2.gz NRJYUHFFGLXLIQ-OKILXGFUSA-N 0 3 319.399 4.108 20 0 DIADHN C[C@H](O)CCCNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000450839602 1045578964 /nfs/dbraw/zinc/57/89/64/1045578964.db2.gz SZQDUBJWWXGKJH-JTQLQIEISA-N 0 3 321.770 4.099 20 0 DIADHN Cc1ncsc1-c1ccc(CN2CC(Cc3ccco3)C2)cc1 ZINC000628433352 1045583615 /nfs/dbraw/zinc/58/36/15/1045583615.db2.gz NINLLXSYIMWZPU-UHFFFAOYSA-N 0 3 324.449 4.386 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1F)c1cccc2ccccc21 ZINC000008269319 1045590661 /nfs/dbraw/zinc/59/06/61/1045590661.db2.gz IWWNBXYVTRXLPF-CQSZACIVSA-N 0 3 322.383 4.268 20 0 DIADHN CCN1[C@H](C)CN([C@@H](C)c2ccc(Cl)c(Cl)c2)C[C@@H]1C ZINC001460329302 1045596203 /nfs/dbraw/zinc/59/62/03/1045596203.db2.gz OUUQUXQVIZFAGZ-XQQFMLRXSA-N 0 3 315.288 4.469 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC(F)(c2ccccn2)CC1 ZINC000450945085 1045597123 /nfs/dbraw/zinc/59/71/23/1045597123.db2.gz WABQWLIHEIRULF-AWEZNQCLSA-N 0 3 302.368 4.243 20 0 DIADHN C[C@@H](NCC1(C)OCCCO1)c1cccc(-c2ccccc2)c1 ZINC000190669983 1045598121 /nfs/dbraw/zinc/59/81/21/1045598121.db2.gz WBDLZDUDNKHWGW-MRXNPFEDSA-N 0 3 311.425 4.157 20 0 DIADHN O=C1CCC(CN(Cc2ccc(F)cc2Cl)CC2CC2)CC1 ZINC000450974564 1045599874 /nfs/dbraw/zinc/59/98/74/1045599874.db2.gz MMYJHUCVOJMXOH-UHFFFAOYSA-N 0 3 323.839 4.450 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC=C(c2cccnc2)C1 ZINC000451022153 1045607290 /nfs/dbraw/zinc/60/72/90/1045607290.db2.gz AKNVVGAACSWFLI-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN3C[C@H]3CCC(F)(F)C3)nc2c1 ZINC000451011447 1045610353 /nfs/dbraw/zinc/61/03/53/1045610353.db2.gz CTKKBSCLGVONIM-XJKSGUPXSA-N 0 3 319.399 4.444 20 0 DIADHN Cc1ccc2nc([C@H]3CCCN3C[C@H]3CCC(F)(F)C3)[nH]c2c1 ZINC000451011447 1045610361 /nfs/dbraw/zinc/61/03/61/1045610361.db2.gz CTKKBSCLGVONIM-XJKSGUPXSA-N 0 3 319.399 4.444 20 0 DIADHN C[C@H](O)CCCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000451042039 1045615171 /nfs/dbraw/zinc/61/51/71/1045615171.db2.gz KSUGSHKAHINPJD-BONVTDFDSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2cccc(OC(C)C)c2)oc1C ZINC000800478497 1045626100 /nfs/dbraw/zinc/62/61/00/1045626100.db2.gz JFPFYNXPVBDIMH-KBXCAEBGSA-N 0 3 317.429 4.068 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1ccncc1Br ZINC001168127331 1045628746 /nfs/dbraw/zinc/62/87/46/1045628746.db2.gz VZRYHTHKBJAMJI-SWLSCSKDSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2COC3(CCCCCC3)O2)oc1C ZINC000800488144 1045628727 /nfs/dbraw/zinc/62/87/27/1045628727.db2.gz OUERXBTWRFRRJV-GDBMZVCRSA-N 0 3 307.434 4.013 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2cc(F)cc(Cl)c2)oc1C ZINC000800491053 1045630712 /nfs/dbraw/zinc/63/07/12/1045630712.db2.gz NFQIHWKNWUQJDP-MEBBXXQBSA-N 0 3 311.784 4.073 20 0 DIADHN CCc1ccc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)nc1 ZINC000451146878 1045632683 /nfs/dbraw/zinc/63/26/83/1045632683.db2.gz MMKOLLCVMCTZGO-OUCADQQQSA-N 0 3 300.368 4.195 20 0 DIADHN Cc1cc(Cl)nc(CN[C@@H]2c3ccccc3CC[C@H]2C)c1 ZINC000800496874 1045633767 /nfs/dbraw/zinc/63/37/67/1045633767.db2.gz YQISQVUKSBLXLY-ACJLOTCBSA-N 0 3 300.833 4.457 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2Cc3ccc(Cl)cc3C2)c(C)s1 ZINC000800496413 1045633915 /nfs/dbraw/zinc/63/39/15/1045633915.db2.gz VDDHPBHRBGCNBK-RFAUZJTJSA-N 0 3 306.862 4.231 20 0 DIADHN Cc1cnc(CN2CCCC[C@H]2CCCc2ccccc2)cn1 ZINC000752082252 1045656279 /nfs/dbraw/zinc/65/62/79/1045656279.db2.gz AVHCMFJGPQIXGQ-FQEVSTJZSA-N 0 3 309.457 4.162 20 0 DIADHN Fc1cccc(C2CCN(C[C@@H]3CC3(Cl)Cl)CC2)c1 ZINC000752090376 1045657961 /nfs/dbraw/zinc/65/79/61/1045657961.db2.gz VHCBCTMNYRIOOT-ZDUSSCGKSA-N 0 3 302.220 4.199 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1ccc(F)cc1)c1cccc(F)c1 ZINC000752090452 1045658507 /nfs/dbraw/zinc/65/85/07/1045658507.db2.gz YOJZQQZEZHNWFP-RTBURBONSA-N 0 3 317.379 4.225 20 0 DIADHN Clc1ccccc1O[C@H]1CCN(CCc2cccs2)C1 ZINC000752107858 1045660387 /nfs/dbraw/zinc/66/03/87/1045660387.db2.gz SCSRDLVAOGYYMB-ZDUSSCGKSA-N 0 3 307.846 4.097 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000785412218 1045662423 /nfs/dbraw/zinc/66/24/23/1045662423.db2.gz CBWYFTXAUQSOLH-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000451348616 1045665334 /nfs/dbraw/zinc/66/53/34/1045665334.db2.gz WCQHYVPTACCAFQ-BQGCOEIASA-N 0 3 309.400 4.041 20 0 DIADHN CN(C[C@H]1CCCCC1(F)F)[C@H](CO)c1ccc(Cl)cc1 ZINC000451338042 1045667536 /nfs/dbraw/zinc/66/75/36/1045667536.db2.gz YHEXHNFLXRDLCO-UKRRQHHQSA-N 0 3 317.807 4.131 20 0 DIADHN COc1cc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)ccn1 ZINC000451359963 1045671520 /nfs/dbraw/zinc/67/15/20/1045671520.db2.gz XMKUYXRLRWCIBE-KRWDZBQOSA-N 0 3 320.795 4.124 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(Br)cc21)c1ccc[nH]1 ZINC000800976469 1045674288 /nfs/dbraw/zinc/67/42/88/1045674288.db2.gz YSPUCBSFEHOLSJ-ZUZCIYMTSA-N 0 3 305.219 4.115 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@@H]1CCC3(CCCC3)O1)CCC2 ZINC000530516453 1045682096 /nfs/dbraw/zinc/68/20/96/1045682096.db2.gz NMEREIJJYWGGBP-GOEBONIOSA-N 0 3 320.502 4.253 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN[C@@H]2CCC23CCCC3)co1 ZINC000451455904 1045684364 /nfs/dbraw/zinc/68/43/64/1045684364.db2.gz KKKMCALBGBYQQL-OAHLLOKOSA-N 0 3 318.367 4.432 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccc2ncccc2c1 ZINC000530524101 1045684450 /nfs/dbraw/zinc/68/44/50/1045684450.db2.gz BXCVFUPIOOFARQ-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CCO[C@H]1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)C[C@H]1C ZINC000451522417 1045692182 /nfs/dbraw/zinc/69/21/82/1045692182.db2.gz HENDMXIYAMQIBV-BEFAXECRSA-N 0 3 317.473 4.061 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cccc(OC(F)F)c1 ZINC000530627602 1045703181 /nfs/dbraw/zinc/70/31/81/1045703181.db2.gz ONSLYSFNVXXDHH-KRWDZBQOSA-N 0 3 320.383 4.354 20 0 DIADHN FC(F)(F)c1cc(-c2ccc([C@H]3CNCCO3)cc2)cs1 ZINC000629753887 1045707053 /nfs/dbraw/zinc/70/70/53/1045707053.db2.gz HORKLORSUWDJML-CYBMUJFWSA-N 0 3 313.344 4.095 20 0 DIADHN COC/C(C)=C/CN[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000901353839 1045707655 /nfs/dbraw/zinc/70/76/55/1045707655.db2.gz UAMKAPYKCMLQGT-JZUUQJBKSA-N 0 3 305.418 4.159 20 0 DIADHN Cc1noc(CCCN2CCCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000451731187 1045717531 /nfs/dbraw/zinc/71/75/31/1045717531.db2.gz CSRQFDLFDYSJFH-INIZCTEOSA-N 0 3 319.836 4.191 20 0 DIADHN c1ccc([C@H]2CCCN2CCOc2ccc3ccccc3c2)nc1 ZINC000530725000 1045719988 /nfs/dbraw/zinc/71/99/88/1045719988.db2.gz AQPZZFGHTKGCJE-OAQYLSRUSA-N 0 3 318.420 4.451 20 0 DIADHN COc1ccc(CN2CCC(c3ccsc3)CC2)cc1OC ZINC000188694209 1045721429 /nfs/dbraw/zinc/72/14/29/1045721429.db2.gz ZZJNJUDDSOMCAX-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CC(C)NCc1cc(-c2cc3ccccc3nc2Cl)no1 ZINC000901400068 1045722434 /nfs/dbraw/zinc/72/24/34/1045722434.db2.gz KGCRSYFTNMTUFX-UHFFFAOYSA-N 0 3 301.777 4.041 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2ccccc2O)c1Br ZINC000191541076 1045725973 /nfs/dbraw/zinc/72/59/73/1045725973.db2.gz ARVKVXLIVMRBAM-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC000530816498 1045732194 /nfs/dbraw/zinc/73/21/94/1045732194.db2.gz RKZCSQQQZMKNLA-CALCHBBNSA-N 0 3 322.452 4.189 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@]2(CCCOC2)C1 ZINC000530865835 1045741070 /nfs/dbraw/zinc/74/10/70/1045741070.db2.gz JLHADBMIJZYBPR-MSOLQXFVSA-N 0 3 309.400 4.309 20 0 DIADHN FC(F)(F)[C@@H]1CCN(C[C@H]2CC2(Cl)Cl)CC12CCC2 ZINC000801591177 1045748118 /nfs/dbraw/zinc/74/81/18/1045748118.db2.gz ZMRNSKRKRKCUOI-NXEZZACHSA-N 0 3 316.194 4.235 20 0 DIADHN FC(F)(F)[C@H]1CCN(C[C@H]2CC2(Cl)Cl)CC12CCC2 ZINC000801591186 1045750609 /nfs/dbraw/zinc/75/06/09/1045750609.db2.gz ZMRNSKRKRKCUOI-ZJUUUORDSA-N 0 3 316.194 4.235 20 0 DIADHN Cc1nccn1CCCCN1CCC[C@@H]1c1ccc(F)cc1F ZINC000451971042 1045758733 /nfs/dbraw/zinc/75/87/33/1045758733.db2.gz MNDUNZLFFNGDJT-GOSISDBHSA-N 0 3 319.399 4.087 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1[C@@H](C)CCC1(C)C ZINC000452005834 1045763218 /nfs/dbraw/zinc/76/32/18/1045763218.db2.gz KMRXOGHIDKRGHQ-AWEZNQCLSA-N 0 3 302.462 4.013 20 0 DIADHN COC1CCC(N[C@@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000753572094 1045763279 /nfs/dbraw/zinc/76/32/79/1045763279.db2.gz SPDZBOJTTLYPPG-QLOJAFMTSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cnc(Cl)c(C)c3)C2)cc1 ZINC000753589342 1045769630 /nfs/dbraw/zinc/76/96/30/1045769630.db2.gz KDAJUTYYDAOIBD-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN COC[C@H]1CCCN([C@@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000452083599 1045770652 /nfs/dbraw/zinc/77/06/52/1045770652.db2.gz LUHXWYFWDYOFEQ-HOTGVXAUSA-N 0 3 314.429 4.151 20 0 DIADHN COC[C@@H]1CCCN([C@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000452083600 1045771794 /nfs/dbraw/zinc/77/17/94/1045771794.db2.gz LUHXWYFWDYOFEQ-HZPDHXFCSA-N 0 3 314.429 4.151 20 0 DIADHN Cc1ccc(-c2nnc(CN(C(C)C)C3CC(C)(C)C3)o2)cc1 ZINC000452105154 1045774574 /nfs/dbraw/zinc/77/45/74/1045774574.db2.gz NJEUBHHNQYGFSK-UHFFFAOYSA-N 0 3 313.445 4.444 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(F)c(F)c2)cc1C ZINC000753635969 1045783279 /nfs/dbraw/zinc/78/32/79/1045783279.db2.gz WROFOPSHOUXYIM-ZDUSSCGKSA-N 0 3 305.368 4.441 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H]2CCc3c2cccc3O)cc1C ZINC000753655638 1045784102 /nfs/dbraw/zinc/78/41/02/1045784102.db2.gz VNWYKPHMWZQPAI-KDOFPFPSSA-N 0 3 311.425 4.356 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2CCc3c2cccc3O)cc1C ZINC000753655640 1045785466 /nfs/dbraw/zinc/78/54/66/1045785466.db2.gz VNWYKPHMWZQPAI-KSSFIOAISA-N 0 3 311.425 4.356 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(C)cc2OC)cc1C ZINC000753669952 1045787712 /nfs/dbraw/zinc/78/77/12/1045787712.db2.gz QKMDFTQPQPHDCT-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc(C)c(OC)cc1C)c1ccccc1 ZINC000753678496 1045788595 /nfs/dbraw/zinc/78/85/95/1045788595.db2.gz JJRDFVUYIPVHLN-QFBILLFUSA-N 0 3 313.441 4.350 20 0 DIADHN COC[C@H](N[C@H](C)c1cc(C)c(OC)cc1C)c1ccccc1 ZINC000753678491 1045789085 /nfs/dbraw/zinc/78/90/85/1045789085.db2.gz JJRDFVUYIPVHLN-APWZRJJASA-N 0 3 313.441 4.350 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](CO)c2sccc2C)cc1C ZINC000753682174 1045789437 /nfs/dbraw/zinc/78/94/37/1045789437.db2.gz PMPTYIHYCKTJDL-GDBMZVCRSA-N 0 3 319.470 4.066 20 0 DIADHN CC(C)OC1CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC000531164801 1045795626 /nfs/dbraw/zinc/79/56/26/1045795626.db2.gz YTFRLMVXQSSFIH-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN CC(C)(C)C(=O)CCN1CCC(Oc2ccccc2Cl)CC1 ZINC000753747893 1045798080 /nfs/dbraw/zinc/79/80/80/1045798080.db2.gz TVBOFFPMULEJDU-UHFFFAOYSA-N 0 3 323.864 4.189 20 0 DIADHN COc1cccc(CNCc2ccc(CC(F)(F)F)cc2)c1 ZINC000631035944 1045799755 /nfs/dbraw/zinc/79/97/55/1045799755.db2.gz YZDZSSPLPPWRDL-UHFFFAOYSA-N 0 3 309.331 4.090 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(C(F)F)nc2)cc1 ZINC000631036087 1045800191 /nfs/dbraw/zinc/80/01/91/1045800191.db2.gz FLHMVOAJIYPUGS-GFCCVEGCSA-N 0 3 306.356 4.269 20 0 DIADHN COCCc1ncc(CN[C@H](c2ccccc2)C(C)(C)C)s1 ZINC000631039750 1045801012 /nfs/dbraw/zinc/80/10/12/1045801012.db2.gz LRHCJWWQOFGGCH-QGZVFWFLSA-N 0 3 318.486 4.209 20 0 DIADHN COCCc1ncc(CN[C@H](CC(C)C)c2ccccc2)s1 ZINC000631034063 1045801109 /nfs/dbraw/zinc/80/11/09/1045801109.db2.gz DVQADQSGNVBPIS-QGZVFWFLSA-N 0 3 318.486 4.209 20 0 DIADHN COCc1cccc(CNCc2ccc(CC(F)(F)F)cc2)c1 ZINC000631043610 1045801553 /nfs/dbraw/zinc/80/15/53/1045801553.db2.gz YITXGBXKKYAOEN-UHFFFAOYSA-N 0 3 323.358 4.228 20 0 DIADHN C[C@@H](NCc1ccc(CC(F)(F)F)cc1)c1cccc(O)c1 ZINC000631063333 1045806699 /nfs/dbraw/zinc/80/66/99/1045806699.db2.gz CGQBSRCLAYZQEJ-GFCCVEGCSA-N 0 3 309.331 4.348 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)NCc1oc2ccccc2c1Cl ZINC000631076559 1045810497 /nfs/dbraw/zinc/81/04/97/1045810497.db2.gz MZHJPKKMAJGAEA-SNVBAGLBSA-N 0 3 318.804 4.412 20 0 DIADHN CC[C@H](NCc1ccc(CC(F)(F)F)cc1)c1ccncc1 ZINC000631079679 1045810846 /nfs/dbraw/zinc/81/08/46/1045810846.db2.gz JWEWTHCHXOIPRA-INIZCTEOSA-N 0 3 308.347 4.427 20 0 DIADHN CC(C)(C)[C@@H](O)CNCc1cc(Cl)cc(Cl)c1Cl ZINC001253746842 1045815113 /nfs/dbraw/zinc/81/51/13/1045815113.db2.gz RQPZTDOVBSTCPU-NSHDSACASA-N 0 3 310.652 4.143 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)on1 ZINC000631127079 1045820637 /nfs/dbraw/zinc/82/06/37/1045820637.db2.gz QHUDEJWQWWOVBL-MQMHXKEQSA-N 0 3 310.319 4.038 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1csc(C)c1C ZINC000631113331 1045822938 /nfs/dbraw/zinc/82/29/38/1045822938.db2.gz LTMASWCKGSFQCJ-LBPRGKRZSA-N 0 3 305.491 4.476 20 0 DIADHN CN1CCC[C@H](NCc2ccc(C(F)F)nc2)c2ccccc21 ZINC000631154054 1045825695 /nfs/dbraw/zinc/82/56/95/1045825695.db2.gz PBTZCGHSKOHWFW-HNNXBMFYSA-N 0 3 317.383 4.080 20 0 DIADHN CC[C@@H](OCCNCc1ccc(C(F)F)nc1)c1ccccc1 ZINC000631167241 1045829504 /nfs/dbraw/zinc/82/95/04/1045829504.db2.gz HOSHMCPGMPPIKE-QGZVFWFLSA-N 0 3 320.383 4.277 20 0 DIADHN Cc1ccsc1CN[C@H](CCO)c1ccc(Cl)c(F)c1 ZINC000631190936 1045829994 /nfs/dbraw/zinc/82/99/94/1045829994.db2.gz KOGYFFCGEUDHOG-CQSZACIVSA-N 0 3 313.825 4.062 20 0 DIADHN COc1ccsc1CN[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000631186810 1045830911 /nfs/dbraw/zinc/83/09/11/1045830911.db2.gz SFXYLLLHKQWXPL-CJNGLKHVSA-N 0 3 323.845 4.030 20 0 DIADHN Cc1scc(CNCc2c(C3CC3)nc3sccn23)c1C ZINC000631208040 1045835320 /nfs/dbraw/zinc/83/53/20/1045835320.db2.gz YWQPHILFESIZRK-UHFFFAOYSA-N 0 3 317.483 4.241 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)cc1)c1ccc2c(c1)CCO2 ZINC000079076778 1045836357 /nfs/dbraw/zinc/83/63/57/1045836357.db2.gz DWQNYCXKJQDKDO-GFCCVEGCSA-N 0 3 319.351 4.074 20 0 DIADHN CC[C@@H](NCc1ccc(C)s1)c1cccc(OC)c1OC ZINC000631265006 1045845536 /nfs/dbraw/zinc/84/55/36/1045845536.db2.gz RUCOPIFIGQQRDV-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2nc3ccccc3s2)CS1 ZINC000754122688 1045850251 /nfs/dbraw/zinc/85/02/51/1045850251.db2.gz OXWWQLDZEQQSNR-YWPYICTPSA-N 0 3 306.500 4.477 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc3nsnc32)c(C)s1 ZINC000754128313 1045850858 /nfs/dbraw/zinc/85/08/58/1045850858.db2.gz OLTAIUNAUDNUAZ-SNVBAGLBSA-N 0 3 303.456 4.220 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccnc(N(C)C)c2)cc1 ZINC000754140271 1045852152 /nfs/dbraw/zinc/85/21/52/1045852152.db2.gz LMEYRMJLQDUOEK-AWEZNQCLSA-N 0 3 315.486 4.110 20 0 DIADHN CCn1c(CN[C@H]2CS[C@@H](C(C)(C)C)C2)nc2ccccc21 ZINC000754151421 1045855445 /nfs/dbraw/zinc/85/54/45/1045855445.db2.gz YXZNTCRXXSHHIG-CZUORRHYSA-N 0 3 317.502 4.066 20 0 DIADHN C[C@H](NCc1ccnc(N(C)C)c1)c1ccccc1C(F)(F)F ZINC000754158789 1045857866 /nfs/dbraw/zinc/85/78/66/1045857866.db2.gz JLSKZTRZCHFSOW-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H](C)c1ccc(OC)c(F)c1 ZINC000631564524 1045867499 /nfs/dbraw/zinc/86/74/99/1045867499.db2.gz SJESYJHQPFHHBS-KZULUSFZSA-N 0 3 315.388 4.181 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2N[C@H]1CCCc2ncccc21 ZINC000631567131 1045871546 /nfs/dbraw/zinc/87/15/46/1045871546.db2.gz UUSOBBXTRYXDIZ-IRXDYDNUSA-N 0 3 314.429 4.425 20 0 DIADHN CCC[C@@H](NCc1ccccc1-n1ccnc1)c1cc(C)ccn1 ZINC000631571922 1045873810 /nfs/dbraw/zinc/87/38/10/1045873810.db2.gz HTBYDQGCZUHPCK-GOSISDBHSA-N 0 3 320.440 4.207 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H]1CCCOc2ccccc21 ZINC000631577128 1045873853 /nfs/dbraw/zinc/87/38/53/1045873853.db2.gz PJAJLKHAQNQYRQ-OALUTQOASA-N 0 3 309.409 4.186 20 0 DIADHN CC[C@H](NCc1ccnc(N(C)C)c1)c1ccc(Cl)s1 ZINC000754334295 1045876004 /nfs/dbraw/zinc/87/60/04/1045876004.db2.gz QRLLXGUNYOTHNV-LBPRGKRZSA-N 0 3 309.866 4.103 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H](c1ncccc1C)C(C)C ZINC000631580971 1045876724 /nfs/dbraw/zinc/87/67/24/1045876724.db2.gz CVJOXDMGMYVLIX-RTBURBONSA-N 0 3 310.441 4.373 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1cccc(OC)c1OC)c1ccncc1 ZINC000631580590 1045877974 /nfs/dbraw/zinc/87/79/74/1045877974.db2.gz BADGNEDQZSQFSV-SJORKVTESA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](N[C@H]1CCCc2ncccc21)c1cccc(N2CCCC2)c1 ZINC000631591854 1045879307 /nfs/dbraw/zinc/87/93/07/1045879307.db2.gz ZLGZHUCDKBHKLD-KKSFZXQISA-N 0 3 321.468 4.410 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@@H]2CCCc3ncccc32)cc1 ZINC000631604445 1045886183 /nfs/dbraw/zinc/88/61/83/1045886183.db2.gz HPUODHXKXRXQRS-LJQANCHMSA-N 0 3 310.441 4.426 20 0 DIADHN COc1cccc(CN(C)[C@H](C)c2cccc3ccccc32)n1 ZINC001137535493 1045889833 /nfs/dbraw/zinc/88/98/33/1045889833.db2.gz RGXXVGDFJHNYLT-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CC[C@H](N[C@H](C)CC(F)(F)F)c1cccc(OC)c1OC ZINC000631619665 1045890077 /nfs/dbraw/zinc/89/00/77/1045890077.db2.gz WMESFVPKPHYBOA-PWSUYJOCSA-N 0 3 305.340 4.085 20 0 DIADHN COc1ccccc1C[C@@H](NC/C(Cl)=C\Cl)C(C)C ZINC000754480970 1045891325 /nfs/dbraw/zinc/89/13/25/1045891325.db2.gz FUKRVSLUHYWJBI-KADHNRKRSA-N 0 3 302.245 4.171 20 0 DIADHN CNc1ccccc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000902029307 1045891438 /nfs/dbraw/zinc/89/14/38/1045891438.db2.gz XEHGMEZBMYJPAW-LBPRGKRZSA-N 0 3 319.246 4.342 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000631632167 1045893523 /nfs/dbraw/zinc/89/35/23/1045893523.db2.gz MQXWQMLLPFCEOI-UPRAQXHNSA-N 0 3 309.409 4.184 20 0 DIADHN FC(F)(F)Cc1ccccc1CN[C@@H]1CCCc2ncccc21 ZINC000631651446 1045896890 /nfs/dbraw/zinc/89/68/90/1045896890.db2.gz QSLDHXLOQIJEHE-QGZVFWFLSA-N 0 3 320.358 4.354 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000631655580 1045897086 /nfs/dbraw/zinc/89/70/86/1045897086.db2.gz UXDZMSVQPMGWDR-LQAWEQHXSA-N 0 3 320.795 4.055 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccn1)C1CCC1 ZINC000631653303 1045898518 /nfs/dbraw/zinc/89/85/18/1045898518.db2.gz WBEQYGANXGVOSM-AZUAARDMSA-N 0 3 308.425 4.209 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1csc(C)c1C)CC2 ZINC000631681755 1045903146 /nfs/dbraw/zinc/90/31/46/1045903146.db2.gz GXQQNQUAAWKVPA-INIZCTEOSA-N 0 3 317.454 4.159 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc3cccnc3c2)s1 ZINC000631684180 1045904115 /nfs/dbraw/zinc/90/41/15/1045904115.db2.gz PMNMBCGGWRODRB-GFCCVEGCSA-N 0 3 311.454 4.413 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2C)s1 ZINC000754654400 1045904998 /nfs/dbraw/zinc/90/49/98/1045904998.db2.gz DETGMCWIZUUTFF-CABCVRRESA-N 0 3 316.470 4.351 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H](C)c1cnc(C)s1 ZINC000631706851 1045906506 /nfs/dbraw/zinc/90/65/06/1045906506.db2.gz BNMHOAUSVZGZSR-NHYWBVRUSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(C)ccc2C)s1 ZINC000754670388 1045907773 /nfs/dbraw/zinc/90/77/73/1045907773.db2.gz VHLNFKYEJRYWBA-LSDHHAIUSA-N 0 3 316.470 4.351 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2cccc3c2CCOC3)C1 ZINC000631728935 1045908468 /nfs/dbraw/zinc/90/84/68/1045908468.db2.gz BMSUNEKQINTVIQ-GOSISDBHSA-N 0 3 311.425 4.156 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2ccc(C)s2)c(C)s1 ZINC000754669233 1045908673 /nfs/dbraw/zinc/90/86/73/1045908673.db2.gz RFOOWBCCVIZEHD-JTQLQIEISA-N 0 3 309.456 4.064 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)s1 ZINC000754674923 1045909992 /nfs/dbraw/zinc/90/99/92/1045909992.db2.gz NAWBTVNEKNLNCS-AFHWFKKNSA-N 0 3 322.518 4.037 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(F)c2)s1 ZINC000754670457 1045910054 /nfs/dbraw/zinc/91/00/54/1045910054.db2.gz WDLHIFPQHOEODS-OLZOCXBDSA-N 0 3 320.433 4.182 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@H](C)c1ccc(C)s1)C1CCCCC1 ZINC000754674039 1045910578 /nfs/dbraw/zinc/91/05/78/1045910578.db2.gz ZJJSKUSVRSFLJJ-CABCVRRESA-N 0 3 322.518 4.277 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@@H](C)c1ccc(C)s1)c1ccccc1 ZINC000754671413 1045910996 /nfs/dbraw/zinc/91/09/96/1045910996.db2.gz QYJQJBMODGFTHG-LSDHHAIUSA-N 0 3 316.470 4.149 20 0 DIADHN Clc1cccc2c1CC[C@H]2NCc1cccc2c1CCOC2 ZINC000631729822 1045911776 /nfs/dbraw/zinc/91/17/76/1045911776.db2.gz SEDUVEYKXWOKLV-LJQANCHMSA-N 0 3 313.828 4.190 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](C)c1csc2ccccc21 ZINC000754731226 1045919736 /nfs/dbraw/zinc/91/97/36/1045919736.db2.gz KYCPDXFZCCTUSU-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN C[C@@H](NCc1cccc2[nH]ccc21)c1ccc(F)cc1N(C)C ZINC000631806951 1045922294 /nfs/dbraw/zinc/92/22/94/1045922294.db2.gz FWMMMUMLTSZIJE-CYBMUJFWSA-N 0 3 311.404 4.224 20 0 DIADHN CC(C)N(C(=O)CN[C@H](C)c1csc2ccccc21)C(C)C ZINC000754743007 1045922892 /nfs/dbraw/zinc/92/28/92/1045922892.db2.gz ZCCIPSAETKZPMM-CQSZACIVSA-N 0 3 318.486 4.197 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2csc3ccccc32)c1 ZINC000754737628 1045923082 /nfs/dbraw/zinc/92/30/82/1045923082.db2.gz XOWIJSXCDQVOTH-CYBMUJFWSA-N 0 3 324.449 4.112 20 0 DIADHN COc1cccc(CCN(C)Cc2ccc(Cl)cc2F)c1 ZINC001137660310 1045924521 /nfs/dbraw/zinc/92/45/21/1045924521.db2.gz CILMGNRAMDUISE-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](N[C@@H]1CCCn3nccc31)CCS2 ZINC000631810596 1045924773 /nfs/dbraw/zinc/92/47/73/1045924773.db2.gz WPRKDLAKIVUVQU-JKSUJKDBSA-N 0 3 313.470 4.162 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1cccc(-c2ccncc2)c1 ZINC000631810304 1045924956 /nfs/dbraw/zinc/92/49/56/1045924956.db2.gz YFIINEJLQUJRMZ-HNAYVOBHSA-N 0 3 318.424 4.131 20 0 DIADHN CCn1ccnc1[C@H](C)NCC1(c2ccc(Cl)cc2)CCC1 ZINC000453097756 1045925226 /nfs/dbraw/zinc/92/52/26/1045925226.db2.gz ULUFQGSDWVWTKM-AWEZNQCLSA-N 0 3 317.864 4.329 20 0 DIADHN c1sc(-c2ccccc2)nc1CN[C@@H]1CCOC2(CCC2)C1 ZINC000531759475 1045925447 /nfs/dbraw/zinc/92/54/47/1045925447.db2.gz VXGHVRYNCUJZPO-OAHLLOKOSA-N 0 3 314.454 4.001 20 0 DIADHN CCn1ccnc1[C@H](C)NCCc1cccc(Cl)c1Cl ZINC000453078434 1045927907 /nfs/dbraw/zinc/92/79/07/1045927907.db2.gz GDGGAOUMASDBEB-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)C2CCC2)c1)c1ccncc1 ZINC000453083964 1045930286 /nfs/dbraw/zinc/93/02/86/1045930286.db2.gz WKXHANOAVPXKFC-IBGZPJMESA-N 0 3 323.440 4.061 20 0 DIADHN CC(C)C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1ccccn1 ZINC000828774473 1045933641 /nfs/dbraw/zinc/93/36/41/1045933641.db2.gz KGPALBSXIWGRBJ-KBPBESRZSA-N 0 3 301.261 4.342 20 0 DIADHN Cc1ccc(NC(=O)Nc2cccc(CN(C)C)c2)cc1Cl ZINC001202809367 1045935635 /nfs/dbraw/zinc/93/56/35/1045935635.db2.gz NWAQCUDHDYUVSG-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000453102294 1045935490 /nfs/dbraw/zinc/93/54/90/1045935490.db2.gz XXCKOYOWCACQJY-HFAKWTLXSA-N 0 3 324.255 4.417 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](C)c1ncc(-c2ccccc2)n1C ZINC000453100947 1045936278 /nfs/dbraw/zinc/93/62/78/1045936278.db2.gz XOHVPFAWUORWGS-HZPDHXFCSA-N 0 3 323.440 4.352 20 0 DIADHN CC[C@@H]1CCN(Cc2csc(-c3ccc(OC)cc3)n2)C1 ZINC000531781277 1045936940 /nfs/dbraw/zinc/93/69/40/1045936940.db2.gz RUJBTYNJAZJFKR-CYBMUJFWSA-N 0 3 302.443 4.051 20 0 DIADHN Clc1ccc(C2(CN[C@H]3CCn4ccnc43)CCCC2)cc1 ZINC000453104360 1045937018 /nfs/dbraw/zinc/93/70/18/1045937018.db2.gz ZIGSBWXMDXAYNP-INIZCTEOSA-N 0 3 315.848 4.083 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(F)c(CN(C)C)c2)cs1 ZINC000453114829 1045940818 /nfs/dbraw/zinc/94/08/18/1045940818.db2.gz HIHLPBVSVCLHQT-CYBMUJFWSA-N 0 3 306.450 4.108 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@@H]1CCCCO1 ZINC000531789189 1045941226 /nfs/dbraw/zinc/94/12/26/1045941226.db2.gz ZBVXKDRBHWPXCG-IBGZPJMESA-N 0 3 311.425 4.021 20 0 DIADHN CCCOC1CCN(Cc2c[nH]c3ccc(Cl)cc23)CC1 ZINC001137712552 1045943779 /nfs/dbraw/zinc/94/37/79/1045943779.db2.gz HXTQGVYUKZWKNJ-UHFFFAOYSA-N 0 3 306.837 4.212 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccc(F)nc2)CC1 ZINC001137739911 1045953247 /nfs/dbraw/zinc/95/32/47/1045953247.db2.gz KWCRWGATRUDVFG-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CCC[C@@H]4C3)cs2)cc1 ZINC000531801418 1045953311 /nfs/dbraw/zinc/95/33/11/1045953311.db2.gz OVFLZQYQQJNFGA-OKILXGFUSA-N 0 3 302.418 4.181 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(-c2ccccc2)nn1C)c1ccncc1 ZINC000453127620 1045953760 /nfs/dbraw/zinc/95/37/60/1045953760.db2.gz PTFLZJGEQSSFFX-YJBOKZPZSA-N 0 3 320.440 4.284 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1cccc(-c2cncnc2)c1 ZINC001137768803 1045960762 /nfs/dbraw/zinc/96/07/62/1045960762.db2.gz BDWPIMWDUCMTHK-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NCc1ccc(-c2ccccc2)s1 ZINC000237577355 1045963173 /nfs/dbraw/zinc/96/31/73/1045963173.db2.gz RMBJBJSWCQWCRI-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN CC(C)(CN[C@@H]1CCn2ccnc21)c1c(Cl)cccc1Cl ZINC000453164166 1045963231 /nfs/dbraw/zinc/96/32/31/1045963231.db2.gz TZZYCVNPBVHEBN-CYBMUJFWSA-N 0 3 324.255 4.202 20 0 DIADHN Cc1ccnc(C2CCN([C@@H](C)c3cccc(Cl)c3)CC2)n1 ZINC000755212426 1045963779 /nfs/dbraw/zinc/96/37/79/1045963779.db2.gz LSDFDQLZFBJCEF-AWEZNQCLSA-N 0 3 315.848 4.379 20 0 DIADHN C/C(=C/C(C)(C)C)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC000802378510 1045964341 /nfs/dbraw/zinc/96/43/41/1045964341.db2.gz HZQFCDSKYBICQW-DVFVSVACSA-N 0 3 322.880 4.051 20 0 DIADHN COC(=O)c1ccccc1CN1CCC[C@@H]1c1ccccc1C ZINC001137785557 1045965808 /nfs/dbraw/zinc/96/58/08/1045965808.db2.gz LMNAXOQVMAKHHM-LJQANCHMSA-N 0 3 309.409 4.119 20 0 DIADHN COC(=O)c1ccccc1CN(C(C)C)[C@@H](C)c1ccccc1 ZINC001137792298 1045969169 /nfs/dbraw/zinc/96/91/69/1045969169.db2.gz BPISYMLZLGKCEV-INIZCTEOSA-N 0 3 311.425 4.445 20 0 DIADHN Cc1ccc(CN(C)CC2CC(F)(F)C2)c(Br)c1 ZINC001137807282 1045970441 /nfs/dbraw/zinc/97/04/41/1045970441.db2.gz QZXRVXRINCFRID-UHFFFAOYSA-N 0 3 318.205 4.235 20 0 DIADHN CNc1ccccc1CN[C@H](C)c1cccc(N2CCCC2)c1 ZINC000902308120 1045971560 /nfs/dbraw/zinc/97/15/60/1045971560.db2.gz VYYYMZAZRRJYTK-MRXNPFEDSA-N 0 3 309.457 4.179 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1ncc(-c2ccccc2)n1C ZINC000453200534 1045979073 /nfs/dbraw/zinc/97/90/73/1045979073.db2.gz ZDWGLEFRQRLXAX-ZBFHGGJFSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@@]1(CN2CCC(CC(F)(F)F)CC2)CC1(Cl)Cl ZINC000829542260 1045986005 /nfs/dbraw/zinc/98/60/05/1045986005.db2.gz LFYMIACVVLTKIJ-JTQLQIEISA-N 0 3 304.183 4.235 20 0 DIADHN C[C@]1(CN2CCC(CC(F)(F)F)CC2)CC1(Cl)Cl ZINC000829542281 1045986464 /nfs/dbraw/zinc/98/64/64/1045986464.db2.gz LFYMIACVVLTKIJ-SNVBAGLBSA-N 0 3 304.183 4.235 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H](c2ccccc2F)C1 ZINC000453223363 1045991158 /nfs/dbraw/zinc/99/11/58/1045991158.db2.gz RAQQRHIIYGNYFN-RRFJBIMHSA-N 0 3 301.409 4.029 20 0 DIADHN C[C@@H]1C[C@H](NC2(Cc3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453234935 1045998312 /nfs/dbraw/zinc/99/83/12/1045998312.db2.gz IWKUBXPRMVDLLX-CJNGLKHVSA-N 0 3 315.848 4.297 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccsc1 ZINC000453239458 1045998359 /nfs/dbraw/zinc/99/83/59/1045998359.db2.gz WYHGWTMQQLKNKC-DLBZAZTESA-N 0 3 306.450 4.231 20 0 DIADHN CC(F)(F)CCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000453238550 1045999470 /nfs/dbraw/zinc/99/94/70/1045999470.db2.gz QPXDXOZZYBQJKE-INIZCTEOSA-N 0 3 308.347 4.335 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc2cc(C)c(C)cc2o1)[C@@H](O)C(F)F ZINC000453276370 1046012224 /nfs/dbraw/zinc/01/22/24/1046012224.db2.gz YWCYNNIMPFBAJV-DETPVDSQSA-N 0 3 311.372 4.105 20 0 DIADHN CNc1ccccc1CNCc1cc(Br)ccc1C ZINC000902521156 1046012640 /nfs/dbraw/zinc/01/26/40/1046012640.db2.gz MFOOFLXGEZNTNN-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN CNc1ccccc1CNCc1ccc(C(F)(F)F)cc1C ZINC000902520175 1046013186 /nfs/dbraw/zinc/01/31/86/1046013186.db2.gz GIBLNSKNTRRPMC-UHFFFAOYSA-N 0 3 308.347 4.345 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000453283498 1046014176 /nfs/dbraw/zinc/01/41/76/1046014176.db2.gz JUCWRDDZDZYRTI-QFYYESIMSA-N 0 3 324.321 4.263 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccnc(C(F)(F)F)c2)c2ccccc21 ZINC000453289419 1046015444 /nfs/dbraw/zinc/01/54/44/1046015444.db2.gz QIZOHGUGYPKVRJ-ABAIWWIYSA-N 0 3 306.331 4.439 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)ccn1 ZINC000453287494 1046016181 /nfs/dbraw/zinc/01/61/81/1046016181.db2.gz LKHKBTZABYBTQG-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000453286264 1046017296 /nfs/dbraw/zinc/01/72/96/1046017296.db2.gz NEKGTFLFQWDTMO-MGPUTAFESA-N 0 3 324.424 4.058 20 0 DIADHN Cc1ccc2nc(Cl)c(CN3C[C@@H]4[C@H](C3)C4(C)C)cc2c1 ZINC001137922874 1046017482 /nfs/dbraw/zinc/01/74/82/1046017482.db2.gz PPSLJNQFDZUUQW-GASCZTMLSA-N 0 3 300.833 4.284 20 0 DIADHN CC[C@@](C)(CN[C@H](c1cccnc1)c1ccc(F)c(C)c1)OC ZINC000453294300 1046017843 /nfs/dbraw/zinc/01/78/43/1046017843.db2.gz FBAXCPSWBNCSKX-OALUTQOASA-N 0 3 316.420 4.023 20 0 DIADHN COc1ncc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)cc1Cl ZINC000453299169 1046019956 /nfs/dbraw/zinc/01/99/56/1046019956.db2.gz UENGJJQKKWLDBF-MLGOLLRUSA-N 0 3 316.832 4.390 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3cnn(C)c3)s2)Cc2ccccc21 ZINC000802637061 1046021324 /nfs/dbraw/zinc/02/13/24/1046021324.db2.gz LFWCIGDQKSVOHK-AWEZNQCLSA-N 0 3 323.465 4.268 20 0 DIADHN COc1ccc([C@H](C)NCc2cnccc2C)c2ccccc12 ZINC000453329619 1046024039 /nfs/dbraw/zinc/02/40/39/1046024039.db2.gz RHEGWQSAHNXXMU-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCOC3(CCC3)C2)cs1 ZINC000453330978 1046025491 /nfs/dbraw/zinc/02/54/91/1046025491.db2.gz ZBGKEEMAYLHZBW-KBPBESRZSA-N 0 3 308.491 4.266 20 0 DIADHN Cn1cc([C@@H](NCC(C)(C)C2CCC2)c2cccc(F)c2)cn1 ZINC000453306903 1046027356 /nfs/dbraw/zinc/02/73/56/1046027356.db2.gz KFMLXLDJTINBTJ-SFHVURJKSA-N 0 3 315.436 4.065 20 0 DIADHN COC[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1cccc(Cl)c1 ZINC000829935691 1046027904 /nfs/dbraw/zinc/02/79/04/1046027904.db2.gz NTRLGTQGVSSXMO-CHWSQXEVSA-N 0 3 322.663 4.201 20 0 DIADHN FC(F)(F)Sc1ccc(CN2C[C@H]3[C@H](CC3(F)F)C2)cc1 ZINC001137952961 1046030850 /nfs/dbraw/zinc/03/08/50/1046030850.db2.gz GQZNABHYCBQYEO-PWSUYJOCSA-N 0 3 323.330 4.386 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CC[C@@]3(CCCO3)C2)cc1 ZINC001137953268 1046031712 /nfs/dbraw/zinc/03/17/12/1046031712.db2.gz RXKWMKWTDBZDCR-AWEZNQCLSA-N 0 3 317.376 4.053 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137956403 1046032482 /nfs/dbraw/zinc/03/24/82/1046032482.db2.gz MGBBTGDKSKBYKC-ZDUSSCGKSA-N 0 3 319.392 4.298 20 0 DIADHN FCC1CCN(Cc2ccc(SC(F)(F)F)cc2)CC1 ZINC001137955345 1046033601 /nfs/dbraw/zinc/03/36/01/1046033601.db2.gz VFICQCXMVBCNEX-UHFFFAOYSA-N 0 3 307.356 4.480 20 0 DIADHN CNc1ccc(C)cc1CNC1(c2cccc(OC)c2)CCC1 ZINC000902621499 1046036036 /nfs/dbraw/zinc/03/60/36/1046036036.db2.gz LBGYVPRZGCGILE-UHFFFAOYSA-N 0 3 310.441 4.214 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](O)c3ccsc3)oc2c1 ZINC000756038770 1046041922 /nfs/dbraw/zinc/04/19/22/1046041922.db2.gz YQXWAUITGOZJHS-DOMZBBRYSA-N 0 3 301.411 4.187 20 0 DIADHN CCO[C@@H]1CCC[C@H](N[C@H](c2ccccc2)c2ccccn2)C1 ZINC000902725228 1046051439 /nfs/dbraw/zinc/05/14/39/1046051439.db2.gz SASZMMOAPMTGOL-NLWGTHIKSA-N 0 3 310.441 4.108 20 0 DIADHN C[C@@H](c1cncs1)N1CCC(Cc2cccc(F)c2F)CC1 ZINC000453350381 1046051788 /nfs/dbraw/zinc/05/17/88/1046051788.db2.gz HYOISJQDPFWBPL-LBPRGKRZSA-N 0 3 322.424 4.437 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H](CSc1ccccc1)C(C)C ZINC000453356108 1046054524 /nfs/dbraw/zinc/05/45/24/1046054524.db2.gz OYBUFMNQNQTOIT-WBVHZDCISA-N 0 3 317.502 4.371 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCc3c1cc(F)cc3F)CCC2 ZINC000779753016 1046056963 /nfs/dbraw/zinc/05/69/63/1046056963.db2.gz AKLWRNAJMAVOJK-HOCLYGCPSA-N 0 3 320.408 4.384 20 0 DIADHN COc1ccc([C@@H](C)N2CCC[C@]3(CCSC3)C2)cc1F ZINC000453384598 1046059993 /nfs/dbraw/zinc/05/99/93/1046059993.db2.gz ZWXJAKXWDXCEAS-DYVFJYSZSA-N 0 3 309.450 4.114 20 0 DIADHN Cc1ccc(CN2CC3(C2)C[C@H](F)CS3)c2ccccc12 ZINC001138017081 1046060496 /nfs/dbraw/zinc/06/04/96/1046060496.db2.gz WYAQRCAPTAEHOT-HNNXBMFYSA-N 0 3 301.430 4.178 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2NCc1ccc(Cl)nc1 ZINC000902754657 1046061505 /nfs/dbraw/zinc/06/15/05/1046061505.db2.gz FQKHEFAKLFABKI-YVEFUNNKSA-N 0 3 316.832 4.157 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1cncnc1)C1=CCCC1 ZINC000453386585 1046063960 /nfs/dbraw/zinc/06/39/60/1046063960.db2.gz DSAPMQZSZPEANP-IBGZPJMESA-N 0 3 307.441 4.292 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCCN(C)c3ccccc32)cn1 ZINC000453362692 1046065268 /nfs/dbraw/zinc/06/52/68/1046065268.db2.gz KYSKKMZRGKUJOV-YJBOKZPZSA-N 0 3 312.461 4.086 20 0 DIADHN CN1CCC[C@@H](N[C@@H]2CCCc3c(O)cccc32)c2ccccc21 ZINC000453373155 1046070679 /nfs/dbraw/zinc/07/06/79/1046070679.db2.gz OOQTUETUWQTQKJ-RTBURBONSA-N 0 3 322.452 4.331 20 0 DIADHN CO[C@@H]([C@H](C)N[C@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000453378481 1046072357 /nfs/dbraw/zinc/07/23/57/1046072357.db2.gz QSYJTHURQNQXGW-AHIWAGSCSA-N 0 3 316.470 4.274 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc(O)c3ccccc13)CC2 ZINC001138054387 1046077226 /nfs/dbraw/zinc/07/72/26/1046077226.db2.gz HOEMYVFJQSCFRX-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN CC(C)[C@@H](NCc1ccc2cncn2c1)c1ccc(F)cc1F ZINC000634684530 1046085585 /nfs/dbraw/zinc/08/55/85/1046085585.db2.gz SEDINHZAUYUOAB-GOSISDBHSA-N 0 3 315.367 4.099 20 0 DIADHN FC1(F)CC(CCN[C@H](c2ccccc2)c2ccccn2)C1 ZINC000453422093 1046086163 /nfs/dbraw/zinc/08/61/63/1046086163.db2.gz MOHUWEQCVSEHKO-QGZVFWFLSA-N 0 3 302.368 4.196 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@H](C)c1cnccc1C ZINC000902849508 1046086490 /nfs/dbraw/zinc/08/64/90/1046086490.db2.gz PSTVXCKNXQMYNV-XBHMSOGKSA-N 0 3 310.441 4.373 20 0 DIADHN CCOc1cccc(CN(C)Cc2cccc3cccnc32)c1 ZINC001138099907 1046090127 /nfs/dbraw/zinc/09/01/27/1046090127.db2.gz BIMRVUBGJJKATH-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CCOc1cccc(CN2CC=C(c3ccc(OC)cc3)CC2)c1 ZINC001138102436 1046090425 /nfs/dbraw/zinc/09/04/25/1046090425.db2.gz LZVOSCYRCKDUGC-UHFFFAOYSA-N 0 3 323.436 4.383 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cc(F)ccc1C(F)(F)F ZINC001138092655 1046090464 /nfs/dbraw/zinc/09/04/64/1046090464.db2.gz RKNOSYNZZDISEY-AWEZNQCLSA-N 0 3 319.342 4.234 20 0 DIADHN OCC1([C@H](NCc2cccc(F)c2)c2ccccc2)CCCC1 ZINC000453596367 1046091352 /nfs/dbraw/zinc/09/13/52/1046091352.db2.gz AJMRGHWVMIOHTR-LJQANCHMSA-N 0 3 313.416 4.209 20 0 DIADHN CC1(C)C[C@H](CNCc2csc(-c3ccccc3)n2)CCO1 ZINC000453575112 1046093329 /nfs/dbraw/zinc/09/33/29/1046093329.db2.gz CPOSAYMIKXILKP-CQSZACIVSA-N 0 3 316.470 4.105 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2cc[nH]c21)c1cccs1 ZINC000453574340 1046094212 /nfs/dbraw/zinc/09/42/12/1046094212.db2.gz ILNIHNVVKQLJPX-KRWDZBQOSA-N 0 3 314.454 4.079 20 0 DIADHN COc1ccc(-c2nc(CN3[C@H](C)CC[C@@H]3C)cs2)cc1 ZINC000532072944 1046094455 /nfs/dbraw/zinc/09/44/55/1046094455.db2.gz MKJOUDVIDZBUOO-BETUJISGSA-N 0 3 302.443 4.192 20 0 DIADHN O[C@H](CN1CC2(CC=CC2)[C@@H]1c1ccccc1)c1cccc(F)c1 ZINC000830749905 1046095032 /nfs/dbraw/zinc/09/50/32/1046095032.db2.gz SSSGCOADMDLHCQ-UXHICEINSA-N 0 3 323.411 4.252 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2ccc3ncccc3c2)cc1 ZINC001138110722 1046095714 /nfs/dbraw/zinc/09/57/14/1046095714.db2.gz XNTWBJGRPNRERC-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN c1cncc([C@@H]2CCCCN2Cc2ccc3ncccc3c2)c1 ZINC001138110461 1046096116 /nfs/dbraw/zinc/09/61/16/1046096116.db2.gz HZHQJYMGUFTIKG-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN CC(C)(CNCc1nccn1Cc1ccccc1)C1=CCCC1 ZINC000453587002 1046096612 /nfs/dbraw/zinc/09/66/12/1046096612.db2.gz WFHBTDUWNQERMR-UHFFFAOYSA-N 0 3 309.457 4.158 20 0 DIADHN c1cnc2ccc(CN3CCOC[C@@H]3C3CCCCC3)cc2c1 ZINC001138113490 1046099493 /nfs/dbraw/zinc/09/94/93/1046099493.db2.gz DBFOJNVNMGLZHG-HXUWFJFHSA-N 0 3 310.441 4.016 20 0 DIADHN C[C@@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccoc1 ZINC000532207110 1046108430 /nfs/dbraw/zinc/10/84/30/1046108430.db2.gz QCWQDAWMUKERIO-RYUDHWBXSA-N 0 3 313.319 4.417 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1c[nH]nc1-c1cccs1 ZINC001138157974 1046108718 /nfs/dbraw/zinc/10/87/18/1046108718.db2.gz SPLVEGNCWUQZJY-WEVVVXLNSA-N 0 3 309.438 4.283 20 0 DIADHN c1csc(-c2n[nH]cc2CN2C[C@@H]3C[C@H](C2)c2ccccc23)c1 ZINC001138157970 1046109002 /nfs/dbraw/zinc/10/90/02/1046109002.db2.gz SIGMDQFHGSVVAX-OKILXGFUSA-N 0 3 321.449 4.225 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](C)c2ccc3c(c2)CCC3)c1 ZINC000532192632 1046111016 /nfs/dbraw/zinc/11/10/16/1046111016.db2.gz NXJGHBKEYPIJDN-OAHLLOKOSA-N 0 3 322.452 4.375 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1ccc(N3CCCCC3)o1)CC2 ZINC001138177168 1046111212 /nfs/dbraw/zinc/11/12/12/1046111212.db2.gz IXTRLHFRQGGBRY-INIZCTEOSA-N 0 3 320.452 4.374 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H](C)c1cc2c(s1)CCCC2 ZINC000532248380 1046121112 /nfs/dbraw/zinc/12/11/12/1046121112.db2.gz SFKSWRQSWZPJSP-RHSMWYFYSA-N 0 3 315.482 4.320 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2c(F)cccc2F)C2CCC2)o1 ZINC000756741228 1046126572 /nfs/dbraw/zinc/12/65/72/1046126572.db2.gz WHOQGWIXGUTWDJ-MAUKXSAKSA-N 0 3 321.367 4.031 20 0 DIADHN CC[C@H]1CN(Cc2nc(C)cs2)CC[C@@H]1c1ccccc1 ZINC000532288174 1046128436 /nfs/dbraw/zinc/12/84/36/1046128436.db2.gz FZZJJRGNVZPWCN-RDJZCZTQSA-N 0 3 300.471 4.467 20 0 DIADHN CCCc1ccc(NC(=O)c2cccc(CN3CCCC3)c2)cc1 ZINC000195651800 1046129661 /nfs/dbraw/zinc/12/96/61/1046129661.db2.gz ZGYYUSWQBBAZHW-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN COC(=O)c1ccc([C@H](C)N[C@H](C)c2ccsc2)c(C)c1 ZINC000902981733 1046136231 /nfs/dbraw/zinc/13/62/31/1046136231.db2.gz CYYKWRMDYLPXMJ-OLZOCXBDSA-N 0 3 303.427 4.255 20 0 DIADHN COC(=O)/C(C)=C\CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001168144069 1046138194 /nfs/dbraw/zinc/13/81/94/1046138194.db2.gz AXEDHOXUIHXVTO-GBXZOGJXSA-N 0 3 313.441 4.064 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCCC3(CC(F)C3)CC2)n1 ZINC001138283860 1046138329 /nfs/dbraw/zinc/13/83/29/1046138329.db2.gz NJIWEDSCMUPBOD-UHFFFAOYSA-N 0 3 320.452 4.363 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903008403 1046141162 /nfs/dbraw/zinc/14/11/62/1046141162.db2.gz NYDQHTVKOBUJPK-ZJUUUORDSA-N 0 3 308.294 4.186 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1Cc1cccc2nccnc12 ZINC001138304291 1046141529 /nfs/dbraw/zinc/14/15/29/1046141529.db2.gz IUNQGHGGPKXUFG-SFHVURJKSA-N 0 3 307.372 4.106 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@@H]1C1CCC1 ZINC001461916339 1046145076 /nfs/dbraw/zinc/14/50/76/1046145076.db2.gz OLPDMCDXWMXXNK-OAHLLOKOSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@H](N[C@H]1C[C@H](OC(C)(C)C)C1)c1ccc(C(F)(F)F)cn1 ZINC000903044361 1046146530 /nfs/dbraw/zinc/14/65/30/1046146530.db2.gz MVJVWEPNFVSMSL-DRZSPHRISA-N 0 3 316.367 4.097 20 0 DIADHN C[C@H](NC[C@H]1C(C)(C)C1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903054470 1046148771 /nfs/dbraw/zinc/14/87/71/1046148771.db2.gz LNVWDALMYMWXGT-KWQFWETISA-N 0 3 308.294 4.042 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CCOC[C@@H]1C1CC1 ZINC001138314238 1046148985 /nfs/dbraw/zinc/14/89/85/1046148985.db2.gz FJQAVYXFVYYEMQ-GOSISDBHSA-N 0 3 312.457 4.076 20 0 DIADHN C[C@H]1CCCN(Cc2c[nH]c3ccc(Br)cc23)C1 ZINC001138315451 1046149107 /nfs/dbraw/zinc/14/91/07/1046149107.db2.gz GTMRKYDBTZLEQQ-NSHDSACASA-N 0 3 307.235 4.162 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CC[C@H]2OCC[C@H]2C1 ZINC001138315147 1046149196 /nfs/dbraw/zinc/14/91/96/1046149196.db2.gz VWEPCZOUDPTIHD-KBXCAEBGSA-N 0 3 312.457 4.076 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CC2(CSC2)C1 ZINC001138314640 1046149722 /nfs/dbraw/zinc/14/97/22/1046149722.db2.gz LMOXZCBOACKOQU-UHFFFAOYSA-N 0 3 300.471 4.014 20 0 DIADHN CCC[C@@H](NCC[S@](=O)CC)c1ccc(Cl)cc1Cl ZINC000756926546 1046152544 /nfs/dbraw/zinc/15/25/44/1046152544.db2.gz UQDSDWUUOGFJKE-AUUYWEPGSA-N 0 3 322.301 4.193 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)Cc3ccsc3)co2)cc1 ZINC000085304657 1046152668 /nfs/dbraw/zinc/15/26/68/1046152668.db2.gz ALZXXUFKUVRVFL-CQSZACIVSA-N 0 3 312.438 4.432 20 0 DIADHN COc1cc(F)cc(CNC2(c3ccc(F)cc3)CCC2)c1 ZINC000648439949 1046156670 /nfs/dbraw/zinc/15/66/70/1046156670.db2.gz VOAQTNXVWZWZKJ-UHFFFAOYSA-N 0 3 303.352 4.142 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1N[C@@H](CCc1cccnc1)c1ccccc1 ZINC000903080290 1046158322 /nfs/dbraw/zinc/15/83/22/1046158322.db2.gz JDEKYAOCFFMAFC-IXDOHACOSA-N 0 3 320.358 4.296 20 0 DIADHN COc1ccc(F)cc1CN(C)CCc1ccc(Cl)cc1 ZINC001138375034 1046160509 /nfs/dbraw/zinc/16/05/09/1046160509.db2.gz QBUIZEHYNDFMMM-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1ccc(C(F)(F)F)s1 ZINC000717956380 1046161828 /nfs/dbraw/zinc/16/18/28/1046161828.db2.gz KHTGNVVCHQIIKC-PSASIEDQSA-N 0 3 321.389 4.213 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)Cc1ccc(Cl)cc1 ZINC001168152129 1046162688 /nfs/dbraw/zinc/16/26/88/1046162688.db2.gz IHPWUDZABUWSHP-GFCCVEGCSA-N 0 3 307.796 4.209 20 0 DIADHN C[C@H](NCC1SCCS1)c1ccc(C(F)(F)F)s1 ZINC000717965986 1046163147 /nfs/dbraw/zinc/16/31/47/1046163147.db2.gz AXHVLNOFUSTJMG-ZETCQYMHSA-N 0 3 313.435 4.224 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CCC2(CCOC2(C)C)CC1 ZINC001138401746 1046164618 /nfs/dbraw/zinc/16/46/18/1046164618.db2.gz UDJXIENNNFZFHA-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1ccc2nccnc2c1 ZINC000634953869 1046165465 /nfs/dbraw/zinc/16/54/65/1046165465.db2.gz MSWMUKWDONZWBJ-SFHVURJKSA-N 0 3 321.424 4.335 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCc3cccc4cccc2c43)n(C)n1 ZINC000903107986 1046165640 /nfs/dbraw/zinc/16/56/40/1046165640.db2.gz JPKUWBBBZLZAFP-KBXCAEBGSA-N 0 3 305.425 4.220 20 0 DIADHN C[C@H]1CCCCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138405804 1046167599 /nfs/dbraw/zinc/16/75/99/1046167599.db2.gz PHHBYHCAMIIQQK-AWEZNQCLSA-N 0 3 319.449 4.193 20 0 DIADHN C[C@@H]1CCCN(Cc2ncccc2NC(=O)OC(C)(C)C)[C@@H]1C ZINC001138404641 1046167750 /nfs/dbraw/zinc/16/77/50/1046167750.db2.gz DKZVHTYVNVHXIT-ZIAGYGMSSA-N 0 3 319.449 4.049 20 0 DIADHN Clc1cccc(C2(NCc3ccc4nccnc4c3)CCC2)c1 ZINC000634985561 1046168696 /nfs/dbraw/zinc/16/86/96/1046168696.db2.gz XIVAVNPJUHTDBL-UHFFFAOYSA-N 0 3 323.827 4.452 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CC[C@@H](C)C(F)(F)CC1 ZINC001138409604 1046169694 /nfs/dbraw/zinc/16/96/94/1046169694.db2.gz BNOHSMCWJZQLQX-LLVKDONJSA-N 0 3 310.363 4.483 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CCC(F)(F)C(C)(C)C1 ZINC001138409829 1046170594 /nfs/dbraw/zinc/17/05/94/1046170594.db2.gz IUAWGYUZAPBHSN-UHFFFAOYSA-N 0 3 310.363 4.483 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](OCc4ccccc4)C3)cc2c1 ZINC001138415814 1046173118 /nfs/dbraw/zinc/17/31/18/1046173118.db2.gz MYYQCFAVQRBIAO-FQEVSTJZSA-N 0 3 320.436 4.267 20 0 DIADHN Cc1nc([C@H](C)NCC[C@H]2CNc3ccccc32)c(C)s1 ZINC000635019653 1046173342 /nfs/dbraw/zinc/17/33/42/1046173342.db2.gz JCSMFOLZLJOGJQ-FZMZJTMJSA-N 0 3 301.459 4.010 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cnn(CC2CCC2)c1 ZINC000903152299 1046175811 /nfs/dbraw/zinc/17/58/11/1046175811.db2.gz MXKMHMRZCBMDBM-BXUZGUMPSA-N 0 3 303.372 4.065 20 0 DIADHN C[C@H](NCc1cccs1)c1cc(Cl)c(N)c(Cl)c1 ZINC000760156951 1046177356 /nfs/dbraw/zinc/17/73/56/1046177356.db2.gz BTVHGBOJMVZNGI-QMMMGPOBSA-N 0 3 301.242 4.488 20 0 DIADHN CCOc1ccc(CN2CC[C@H](C(=O)c3ccccc3)C2)c(C)c1 ZINC001138436357 1046179494 /nfs/dbraw/zinc/17/94/94/1046179494.db2.gz FCHVDDFMVLHKRZ-IBGZPJMESA-N 0 3 323.436 4.099 20 0 DIADHN COc1c(C)cc(CN2CC[C@@H](C(=O)c3ccccc3)C2)cc1C ZINC001138429848 1046179975 /nfs/dbraw/zinc/17/99/75/1046179975.db2.gz NIHSVUXRJLBQGW-LJQANCHMSA-N 0 3 323.436 4.017 20 0 DIADHN COc1cc(C)c(CN2CC=C(c3ccccc3)CC2)cc1OC ZINC001138439538 1046182918 /nfs/dbraw/zinc/18/29/18/1046182918.db2.gz RNCRPCNZKWVUHW-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN CCOc1ccc2ccccc2c1CN1CCC(C(C)=O)CC1 ZINC001138444534 1046184559 /nfs/dbraw/zinc/18/45/59/1046184559.db2.gz VWJSURBYZIEEET-UHFFFAOYSA-N 0 3 311.425 4.040 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCCC[C@](C)(F)C2)cc1 ZINC001138446408 1046184802 /nfs/dbraw/zinc/18/48/02/1046184802.db2.gz GXLXPSYGIYYBIU-SFHVURJKSA-N 0 3 301.409 4.099 20 0 DIADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000475728045 1046185562 /nfs/dbraw/zinc/18/55/62/1046185562.db2.gz JHYNKUCPOMZIQK-AGIUHOORSA-N 0 3 319.836 4.256 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000475728047 1046185686 /nfs/dbraw/zinc/18/56/86/1046185686.db2.gz JHYNKUCPOMZIQK-JHJVBQTASA-N 0 3 319.836 4.256 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000475726560 1046186809 /nfs/dbraw/zinc/18/68/09/1046186809.db2.gz KTRPWMUCRGHDFX-BZUAXINKSA-N 0 3 302.462 4.115 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2ccccc2C)cc1 ZINC001138450906 1046187383 /nfs/dbraw/zinc/18/73/83/1046187383.db2.gz LMIZZEXQSDCDMU-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN CN(Cc1ccncc1NC(=O)C(C)(C)C)CC1CCCCC1 ZINC001138456687 1046189647 /nfs/dbraw/zinc/18/96/47/1046189647.db2.gz VURJWESPUOZPDY-UHFFFAOYSA-N 0 3 317.477 4.078 20 0 DIADHN CC(C)C[C@H](NCc1cc(N(C)C)ccn1)c1cccs1 ZINC000903201753 1046191138 /nfs/dbraw/zinc/19/11/38/1046191138.db2.gz MJWNAYUBTLBAKE-INIZCTEOSA-N 0 3 303.475 4.086 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cc(N(C)C)ccn2)cc1 ZINC000903200955 1046191334 /nfs/dbraw/zinc/19/13/34/1046191334.db2.gz CWPPGSHGSQNRAY-AWEZNQCLSA-N 0 3 315.486 4.110 20 0 DIADHN Cc1c2ccccc2sc1CN1CCc2cc(O)ccc2C1 ZINC001138463429 1046191523 /nfs/dbraw/zinc/19/15/23/1046191523.db2.gz YCVMGOCDSZDRDG-UHFFFAOYSA-N 0 3 309.434 4.474 20 0 DIADHN COc1ccc(CN2CC[C@H](c3cccc(F)c3)C2)cc1Cl ZINC001138474983 1046193362 /nfs/dbraw/zinc/19/33/62/1046193362.db2.gz KRBNYQURZFXIKS-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)cc1Cl ZINC001138476439 1046194506 /nfs/dbraw/zinc/19/45/06/1046194506.db2.gz HSCMMZRJHCLYPY-GASCZTMLSA-N 0 3 313.828 4.435 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc3c(c2)ncn3C)cc1 ZINC000903206429 1046194420 /nfs/dbraw/zinc/19/44/20/1046194420.db2.gz GVJZWDVMRHMJSV-HNNXBMFYSA-N 0 3 323.440 4.211 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3cccc(Cl)c3)o2)CCCO1 ZINC001204695687 1046195314 /nfs/dbraw/zinc/19/53/14/1046195314.db2.gz YTHOWSFKNYZQGH-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN CCOc1ccc([C@H](C)NCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000903230588 1046198015 /nfs/dbraw/zinc/19/80/15/1046198015.db2.gz AYQJQKBUVPKIPV-AWEZNQCLSA-N 0 3 322.408 4.190 20 0 DIADHN CN(C)c1ccc(CN2CCC[C@H]2c2ccccc2F)s1 ZINC001138494072 1046199812 /nfs/dbraw/zinc/19/98/12/1046199812.db2.gz JFSCFJSDEJKPJE-INIZCTEOSA-N 0 3 304.434 4.290 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC[C@H]2CCC[C@H]21 ZINC001138502101 1046200651 /nfs/dbraw/zinc/20/06/51/1046200651.db2.gz IUNPSOWUQLGTJF-TZIWHRDSSA-N 0 3 323.484 4.313 20 0 DIADHN O=c1[nH]cc(CN[C@H]2CCCc3sccc32)c2ccccc12 ZINC000903231908 1046201273 /nfs/dbraw/zinc/20/12/73/1046201273.db2.gz QLOYUZZHTOBRST-INIZCTEOSA-N 0 3 310.422 4.169 20 0 DIADHN COc1ccc2[nH]cc(CN3CCc4ccccc4[C@@H]3C)c2c1 ZINC001138503630 1046201927 /nfs/dbraw/zinc/20/19/27/1046201927.db2.gz HQHKCMNUYPUDCJ-AWEZNQCLSA-N 0 3 306.409 4.296 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(OC)c(O)c2)cc1C ZINC000903235276 1046202518 /nfs/dbraw/zinc/20/25/18/1046202518.db2.gz WYNPBOYCBBSMBU-ZIAGYGMSSA-N 0 3 315.413 4.130 20 0 DIADHN COc1ccc2[nH]cc(CN3CCC4(CC(F)(F)C4)CC3)c2c1 ZINC001138506988 1046203844 /nfs/dbraw/zinc/20/38/44/1046203844.db2.gz ZVJHUFAZTDPCBK-UHFFFAOYSA-N 0 3 320.383 4.188 20 0 DIADHN COc1ccc2[nH]cc(CN3CCCC[C@H]3c3cccnc3)c2c1 ZINC001138509147 1046203944 /nfs/dbraw/zinc/20/39/44/1046203944.db2.gz KFIIVGPJHNPVMY-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN C[C@@H](NCc1cnn(CC2CCC2)c1)c1cc2ccccc2[nH]1 ZINC000903240583 1046204303 /nfs/dbraw/zinc/20/43/03/1046204303.db2.gz UYERWCYTDPCTHW-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN COc1ccc2[nH]cc(CN3CCc4cc(C)ccc4C3)c2c1 ZINC001138513023 1046205589 /nfs/dbraw/zinc/20/55/89/1046205589.db2.gz UYHAMZBIVVKLKR-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)c2ccc3c(c2)CCO3)s1 ZINC000903238328 1046205835 /nfs/dbraw/zinc/20/58/35/1046205835.db2.gz HJBBSUCBKJTRLQ-NEPJUHHUSA-N 0 3 316.470 4.361 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CC[C@H](C3CC3)O2)c2cccnc2)cc1 ZINC000903253726 1046209254 /nfs/dbraw/zinc/20/92/54/1046209254.db2.gz REWXBKDIURUMKS-HBMCJLEFSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCCC[C@@H]2O)c2ccccn2)cc1 ZINC000903265646 1046213636 /nfs/dbraw/zinc/21/36/36/1046213636.db2.gz XCFKORGVVVOVHY-YYWHXJBOSA-N 0 3 324.468 4.010 20 0 DIADHN C[C@@H]1CN(Cc2ccnc3c(Br)cccc23)C[C@H]1C ZINC001461960088 1046213988 /nfs/dbraw/zinc/21/39/88/1046213988.db2.gz OFBBTFBUUZBXCZ-VXGBXAGGSA-N 0 3 319.246 4.085 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1cccnc1NC(=O)C(C)(C)C ZINC001138541172 1046216524 /nfs/dbraw/zinc/21/65/24/1046216524.db2.gz BLTXIYBIMZIPGL-MRXNPFEDSA-N 0 3 317.477 4.221 20 0 DIADHN Cc1ccc([C@@H](NCc2cnn(CCF)c2)C2CCCC2)cc1 ZINC000903274767 1046218856 /nfs/dbraw/zinc/21/88/56/1046218856.db2.gz SGDHTYKGNHOFNG-IBGZPJMESA-N 0 3 315.436 4.182 20 0 DIADHN Cc1nccn1-c1ccc(CN2Cc3cccc(C)c3C2)cc1 ZINC001138545156 1046218653 /nfs/dbraw/zinc/21/86/53/1046218653.db2.gz SJHWVDBIHYWBQE-UHFFFAOYSA-N 0 3 303.409 4.005 20 0 DIADHN C[C@@H](N[C@@H]1CCCC2(CC2)C1)c1ncc(Br)s1 ZINC000903281032 1046220330 /nfs/dbraw/zinc/22/03/30/1046220330.db2.gz YCMAOBLQEPQECQ-NXEZZACHSA-N 0 3 315.280 4.279 20 0 DIADHN C[C@@H](N[C@H]1CCCC2(CC2)C1)c1ncc(Br)s1 ZINC000903281035 1046220763 /nfs/dbraw/zinc/22/07/63/1046220763.db2.gz YCMAOBLQEPQECQ-ZJUUUORDSA-N 0 3 315.280 4.279 20 0 DIADHN COc1cccc(-c2cc(CN[C@@H](C)C(C)(C)C(F)F)on2)c1 ZINC000903292263 1046225952 /nfs/dbraw/zinc/22/59/52/1046225952.db2.gz UUBXTAAIZFKPBC-NSHDSACASA-N 0 3 324.371 4.120 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H]1CCSc2ccccc21 ZINC000903307208 1046231891 /nfs/dbraw/zinc/23/18/91/1046231891.db2.gz HAESBWDHBNPVGK-SFHVURJKSA-N 0 3 313.466 4.122 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1ccc(Cl)s1 ZINC000903309629 1046232608 /nfs/dbraw/zinc/23/26/08/1046232608.db2.gz WKSLNGVOXOEGKR-LBPRGKRZSA-N 0 3 309.862 4.361 20 0 DIADHN C[C@H]1C[C@@H](CF)N(Cc2ccc(-n3cnc4ccccc43)cc2)C1 ZINC001138582298 1046232717 /nfs/dbraw/zinc/23/27/17/1046232717.db2.gz UBDHHKKFVCDUQK-YJBOKZPZSA-N 0 3 323.415 4.205 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(c2ccc(F)cc2)CC1 ZINC001138579366 1046232865 /nfs/dbraw/zinc/23/28/65/1046232865.db2.gz MWVQPEMYTKFPOQ-UHFFFAOYSA-N 0 3 315.436 4.226 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@H](C)c1cncs1 ZINC000903310805 1046233140 /nfs/dbraw/zinc/23/31/40/1046233140.db2.gz LKRGYBAYRROJKK-IAQYHMDHSA-N 0 3 302.443 4.225 20 0 DIADHN COc1ccc2c(CN(C)Cc3ccc(Cl)cc3)c[nH]c2c1 ZINC001138587116 1046235922 /nfs/dbraw/zinc/23/59/22/1046235922.db2.gz CBCNYVWPOSZJOQ-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN COc1ccc2c(CN3CCC4(CC[C@H](F)C4)CC3)c[nH]c2c1 ZINC001138590181 1046236970 /nfs/dbraw/zinc/23/69/70/1046236970.db2.gz OFDRXZKNEGWPLG-HNNXBMFYSA-N 0 3 316.420 4.281 20 0 DIADHN Fc1ccccc1-n1cccc1CN1CC[C@H](c2ccncc2)C1 ZINC001138633940 1046248770 /nfs/dbraw/zinc/24/87/70/1046248770.db2.gz JALPEHGJDDFJQK-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc(-n2ccnc2)cc1 ZINC000903337011 1046249393 /nfs/dbraw/zinc/24/93/93/1046249393.db2.gz ATFOGDDZCBUYCD-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN Fc1ccccc1-n1cccc1CN1CC[C@@H](c2cccnc2)C1 ZINC001138634808 1046251324 /nfs/dbraw/zinc/25/13/24/1046251324.db2.gz XOYDPEGFHUQUER-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN([C@@H](C)C1CC1)C1CC1 ZINC000102655358 1046252518 /nfs/dbraw/zinc/25/25/18/1046252518.db2.gz RNXDOAQOXCKCCJ-NSHDSACASA-N 0 3 302.443 4.474 20 0 DIADHN FC1(F)CCC(CCN[C@@H]2CCCc3scnc32)CC1 ZINC000903345010 1046253655 /nfs/dbraw/zinc/25/36/55/1046253655.db2.gz KIFYQKKXUDBXTN-GFCCVEGCSA-N 0 3 300.418 4.326 20 0 DIADHN CCSc1ncc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001138649613 1046257560 /nfs/dbraw/zinc/25/75/60/1046257560.db2.gz HWCCYSHJVWRZKT-UHFFFAOYSA-N 0 3 305.491 4.135 20 0 DIADHN Fc1cccc(COc2ccc(CN3CCC(F)CC3)cc2)c1 ZINC001138657543 1046258154 /nfs/dbraw/zinc/25/81/54/1046258154.db2.gz JHCODFXDMDHOGO-UHFFFAOYSA-N 0 3 317.379 4.339 20 0 DIADHN CN(CCOCc1ccccc1)CCc1c(F)cccc1Cl ZINC001168173825 1046258403 /nfs/dbraw/zinc/25/84/03/1046258403.db2.gz DBEXDECSCJUBQB-UHFFFAOYSA-N 0 3 321.823 4.170 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@H](C)c1cc(F)ccc1F ZINC000245708530 1046262392 /nfs/dbraw/zinc/26/23/92/1046262392.db2.gz SKXHYXLTEUICLY-SNUQEOBHSA-N 0 3 305.368 4.128 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)C[C@@H](O)c2ccc(F)cc2)o1 ZINC000245713204 1046262993 /nfs/dbraw/zinc/26/29/93/1046262993.db2.gz UFZQYKKUJCWLMQ-IIYDPXPESA-N 0 3 305.393 4.144 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)NCc1ccc(CCO)cc1 ZINC000903403781 1046267415 /nfs/dbraw/zinc/26/74/15/1046267415.db2.gz VBDXHSVAIAOUPP-CQSZACIVSA-N 0 3 309.409 4.127 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)NCc1ccc(CCO)cc1 ZINC000903403779 1046267673 /nfs/dbraw/zinc/26/76/73/1046267673.db2.gz VBDXHSVAIAOUPP-AWEZNQCLSA-N 0 3 309.409 4.127 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc3c(c2)CCCO3)cc1 ZINC001138714958 1046273908 /nfs/dbraw/zinc/27/39/08/1046273908.db2.gz GJVHLJPSWSPLPP-HXUWFJFHSA-N 0 3 323.436 4.357 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000903428380 1046276920 /nfs/dbraw/zinc/27/69/20/1046276920.db2.gz ODSBZVISAIMTAJ-DJJJIMSYSA-N 0 3 313.420 4.089 20 0 DIADHN Fc1cccc2c1SCC[C@H]2N[C@H](c1ccncc1)C1CC1 ZINC000903428621 1046276938 /nfs/dbraw/zinc/27/69/38/1046276938.db2.gz PPFZWTQQQPKCBE-SJORKVTESA-N 0 3 314.429 4.499 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000903427773 1046277208 /nfs/dbraw/zinc/27/72/08/1046277208.db2.gz JWWFHDHYTYAGMY-SJKOYZFVSA-N 0 3 318.367 4.485 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](c2ccncc2)C2CC2)s1 ZINC000903428174 1046278095 /nfs/dbraw/zinc/27/80/95/1046278095.db2.gz MWVCVLWTKGPLBU-MLGOLLRUSA-N 0 3 301.459 4.211 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@H](C)c1cccc(F)c1F ZINC000245871705 1046278736 /nfs/dbraw/zinc/27/87/36/1046278736.db2.gz JLMBSXLRNYDYQY-JTNHKYCSSA-N 0 3 311.397 4.189 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2N[C@H](c1ccncc1)C1CC1 ZINC000903429786 1046279078 /nfs/dbraw/zinc/27/90/78/1046279078.db2.gz WQFITVFZJDTPCN-MSOLQXFVSA-N 0 3 300.352 4.088 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1nccc2ccccc21 ZINC000903430394 1046280405 /nfs/dbraw/zinc/28/04/05/1046280405.db2.gz ZMPRRGNAMLNRMK-VBKZILBWSA-N 0 3 303.409 4.432 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@H]4C[C@H]43)c(Cl)nc21 ZINC001138731077 1046283299 /nfs/dbraw/zinc/28/32/99/1046283299.db2.gz YFUJCNZSSNHBLX-XJKSGUPXSA-N 0 3 300.833 4.435 20 0 DIADHN CC1(C)Cc2cc(CN[C@@H](c3cccnc3)C3CC3)ccc2O1 ZINC000758633206 1046283516 /nfs/dbraw/zinc/28/35/16/1046283516.db2.gz UUYHKBDSTVJABV-LJQANCHMSA-N 0 3 308.425 4.036 20 0 DIADHN CCCCc1ccc(CN2CCC(c3noc(C)n3)CC2)s1 ZINC001138757022 1046288405 /nfs/dbraw/zinc/28/84/05/1046288405.db2.gz RJBNROCIAMHNFS-UHFFFAOYSA-N 0 3 319.474 4.162 20 0 DIADHN CC[C@H](NCc1cccnc1C)c1ccc(OC(F)F)cc1 ZINC000151237828 1046289327 /nfs/dbraw/zinc/28/93/27/1046289327.db2.gz HOLMCAGHOOOGEY-INIZCTEOSA-N 0 3 306.356 4.232 20 0 DIADHN Cc1cc(CN[C@@](C)(CO)c2ccccc2)ccc1C(F)(F)F ZINC000666275449 1046289894 /nfs/dbraw/zinc/28/98/94/1046289894.db2.gz WBVOOVAWKZCXDV-KRWDZBQOSA-N 0 3 323.358 4.011 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000638061041 1046291099 /nfs/dbraw/zinc/29/10/99/1046291099.db2.gz XOHUXOXDKAYRES-ZDUSSCGKSA-N 0 3 306.331 4.148 20 0 DIADHN C[Si](C)(C)CN(Cc1ccccc1)Cc1cccnc1F ZINC001138787739 1046294247 /nfs/dbraw/zinc/29/42/47/1046294247.db2.gz NHNXLTBOHDVMDI-UHFFFAOYSA-N 0 3 302.469 4.100 20 0 DIADHN CC[C@@H](N[C@H](COC)c1ccccc1)c1ccc2c(c1)CCO2 ZINC000089667991 1046296503 /nfs/dbraw/zinc/29/65/03/1046296503.db2.gz AIIOWLXGDPCKOW-RTBURBONSA-N 0 3 311.425 4.050 20 0 DIADHN Clc1cc(CN2CCC3(CCc4ccccc43)CC2)ccn1 ZINC001138816519 1046299764 /nfs/dbraw/zinc/29/97/64/1046299764.db2.gz WHTANMFXPNVVTJ-UHFFFAOYSA-N 0 3 312.844 4.215 20 0 DIADHN c1cc2cc(CN3CC[C@@H](OCc4ccccc4)C3)ccc2o1 ZINC001138821843 1046301707 /nfs/dbraw/zinc/30/17/07/1046301707.db2.gz IOEAKPBBPGETPG-LJQANCHMSA-N 0 3 307.393 4.224 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3ccc4occc4c3)C2)c1 ZINC001138825622 1046301865 /nfs/dbraw/zinc/30/18/65/1046301865.db2.gz DFCHZBUDAZOBTR-GOSISDBHSA-N 0 3 311.356 4.225 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3ccc4occc4c3)C2)cc1 ZINC001138821733 1046301967 /nfs/dbraw/zinc/30/19/67/1046301967.db2.gz DQPNMDYJZOIKIF-GOSISDBHSA-N 0 3 311.356 4.225 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3ccc4occc4c3)C2)c1 ZINC001138825623 1046302891 /nfs/dbraw/zinc/30/28/91/1046302891.db2.gz DFCHZBUDAZOBTR-SFHVURJKSA-N 0 3 311.356 4.225 20 0 DIADHN CC(C)OC1CCN(Cc2c[nH]c3c2cccc3Cl)CC1 ZINC001138835233 1046307773 /nfs/dbraw/zinc/30/77/73/1046307773.db2.gz OHBQXCBEGHEKCB-UHFFFAOYSA-N 0 3 306.837 4.211 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]c3c2cccc3Cl)c1 ZINC001138834534 1046307902 /nfs/dbraw/zinc/30/79/02/1046307902.db2.gz DWPWVOMFWHYYGB-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@@H](O)c1ccc(Cl)cc1)C1CC1 ZINC000246108781 1046309183 /nfs/dbraw/zinc/30/91/83/1046309183.db2.gz RMHRHIZIAUEWBC-BLLLJJGKSA-N 0 3 305.805 4.192 20 0 DIADHN Cc1ccc2cc(CN3C[C@H]4CCC[C@@H]4C3)c(Cl)nc2c1 ZINC001138858455 1046310054 /nfs/dbraw/zinc/31/00/54/1046310054.db2.gz HDUIYUBIAWDSIW-HUUCEWRRSA-N 0 3 300.833 4.429 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccccc3n2C)cc1F ZINC000638307247 1046312541 /nfs/dbraw/zinc/31/25/41/1046312541.db2.gz IFHXXSJQKXCSCT-CYBMUJFWSA-N 0 3 312.388 4.177 20 0 DIADHN c1csc([C@@H](N[C@@H](c2nc[nH]n2)C2CCCCC2)C2CC2)c1 ZINC000638318627 1046312783 /nfs/dbraw/zinc/31/27/83/1046312783.db2.gz MNRCBNZQWURICO-JKSUJKDBSA-N 0 3 316.474 4.229 20 0 DIADHN c1csc([C@@H]([NH2+][C@@H](c2nnc[n-]2)C2CCCCC2)C2CC2)c1 ZINC000638318627 1046312800 /nfs/dbraw/zinc/31/28/00/1046312800.db2.gz MNRCBNZQWURICO-JKSUJKDBSA-N 0 3 316.474 4.229 20 0 DIADHN CO[C@H]1CCCN(Cc2cc3ccc(C)cc3nc2Cl)CC1 ZINC001138862849 1046312940 /nfs/dbraw/zinc/31/29/40/1046312940.db2.gz RDPVIDKUMCVTLE-INIZCTEOSA-N 0 3 318.848 4.198 20 0 DIADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)c(F)c2)[C@@H]1c1ccccc1 ZINC000759062575 1046313967 /nfs/dbraw/zinc/31/39/67/1046313967.db2.gz KSHYJSQMJBNBOZ-PJSAGSTRSA-N 0 3 319.807 4.206 20 0 DIADHN Cc1nn(C)c(C)c1CNCc1ccc(-c2ccccc2)s1 ZINC000237712279 1046315611 /nfs/dbraw/zinc/31/56/11/1046315611.db2.gz XFDYYMAYSDCOAC-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN Cc1ccc([C@H](N[C@@H](c2nc[nH]n2)C2CCCCC2)C2CC2)cc1 ZINC000638347083 1046317529 /nfs/dbraw/zinc/31/75/29/1046317529.db2.gz OHWJGKVGBOSQOY-RBUKOAKNSA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccc([C@H]([NH2+][C@@H](c2nnc[n-]2)C2CCCCC2)C2CC2)cc1 ZINC000638347083 1046317543 /nfs/dbraw/zinc/31/75/43/1046317543.db2.gz OHWJGKVGBOSQOY-RBUKOAKNSA-N 0 3 324.472 4.475 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CCC[C@@H]3CCCC[C@H]32)cc1 ZINC001138882686 1046318311 /nfs/dbraw/zinc/31/83/11/1046318311.db2.gz QSFGVUKQDUUJDZ-KBXCAEBGSA-N 0 3 313.420 4.370 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CCC[C@H]3CCCC[C@@H]32)cc1 ZINC001138882687 1046319607 /nfs/dbraw/zinc/31/96/07/1046319607.db2.gz QSFGVUKQDUUJDZ-KDOFPFPSSA-N 0 3 313.420 4.370 20 0 DIADHN CN(Cc1cc(F)cnc1Cl)C12CC3CC(CC(C3)C1)C2 ZINC001138891978 1046320446 /nfs/dbraw/zinc/32/04/46/1046320446.db2.gz HUFYAZYAWIPDLM-UHFFFAOYSA-N 0 3 308.828 4.275 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001138912192 1046324673 /nfs/dbraw/zinc/32/46/73/1046324673.db2.gz GQQGORSBTJKYEW-AWEZNQCLSA-N 0 3 313.363 4.392 20 0 DIADHN C[C@H](NC1CC(n2cccn2)C1)c1nc(-c2ccccc2)cs1 ZINC000638532368 1046326317 /nfs/dbraw/zinc/32/63/17/1046326317.db2.gz CSKPGZSTEGQMHN-JEYLPNPQSA-N 0 3 324.453 4.061 20 0 DIADHN Nc1c(F)cccc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000638533348 1046329671 /nfs/dbraw/zinc/32/96/71/1046329671.db2.gz VKEDYDNNTSUKDU-GOSISDBHSA-N 0 3 302.368 4.178 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cccc(F)c2N)cc1 ZINC000638541772 1046329827 /nfs/dbraw/zinc/32/98/27/1046329827.db2.gz WYUJIXLVOUGJIT-GFCCVEGCSA-N 0 3 304.434 4.371 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](c2cccc(C)c2)c2ccccn2)CCO1 ZINC000648490184 1046331548 /nfs/dbraw/zinc/33/15/48/1046331548.db2.gz FLLRRYSUKGOERF-GGPKGHCWSA-N 0 3 310.441 4.027 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](c2cccc(C)c2)c2ccccn2)CCO1 ZINC000648490187 1046331871 /nfs/dbraw/zinc/33/18/71/1046331871.db2.gz FLLRRYSUKGOERF-QWFCFKBJSA-N 0 3 310.441 4.027 20 0 DIADHN COCC[C@H](C)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC000666408880 1046335351 /nfs/dbraw/zinc/33/53/51/1046335351.db2.gz BPPYKVGJQLBDQR-LBPRGKRZSA-N 0 3 324.877 4.226 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@H]2CCC(C)=C(C)C2)cs1 ZINC000638719782 1046337350 /nfs/dbraw/zinc/33/73/50/1046337350.db2.gz GLCMNJJTHDQSEU-KFWWJZLASA-N 0 3 308.491 4.465 20 0 DIADHN c1cn2c(n1)[C@H](NCCc1ccc(-c3ccccc3)cc1)CCC2 ZINC000655733430 1046337659 /nfs/dbraw/zinc/33/76/59/1046337659.db2.gz NDQLWTHQIYWSTJ-HXUWFJFHSA-N 0 3 317.436 4.217 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001138957836 1046338383 /nfs/dbraw/zinc/33/83/83/1046338383.db2.gz OUXMJUKLGJNZHL-PBHICJAKSA-N 0 3 323.864 4.128 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c3cc[nH]c3ncc1Cl)CC2 ZINC001138952817 1046339534 /nfs/dbraw/zinc/33/95/34/1046339534.db2.gz VSDLAMNDRHXWGF-UHFFFAOYSA-N 0 3 321.827 4.272 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc2cnccc2c1 ZINC000666416053 1046339650 /nfs/dbraw/zinc/33/96/50/1046339650.db2.gz XWIGNPOIFXRZGB-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CCC2(C1)CCOCC2 ZINC001138960566 1046341171 /nfs/dbraw/zinc/34/11/71/1046341171.db2.gz UJCPSNNWXGKMMG-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)[C@@H]1CC1(F)F ZINC000638800364 1046342257 /nfs/dbraw/zinc/34/22/57/1046342257.db2.gz LNYYVGGDXJUJSD-KPXOXKRLSA-N 0 3 311.269 4.453 20 0 DIADHN C[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)[C@H]1CC1(F)F ZINC000638800350 1046342546 /nfs/dbraw/zinc/34/25/46/1046342546.db2.gz LNYYVGGDXJUJSD-AXTRIDKLSA-N 0 3 311.269 4.453 20 0 DIADHN C[C@H](NCc1ccccc1OCc1ccccc1)[C@H]1CC1(F)F ZINC000666445174 1046349086 /nfs/dbraw/zinc/34/90/86/1046349086.db2.gz QQITWBQTCBNUEW-WMLDXEAASA-N 0 3 317.379 4.399 20 0 DIADHN Fc1cccc(F)c1CNCCCc1c[nH]c2ccccc12 ZINC000237776817 1046349644 /nfs/dbraw/zinc/34/96/44/1046349644.db2.gz NIAWGVCJMBLODH-UHFFFAOYSA-N 0 3 300.352 4.169 20 0 DIADHN CC(C)CO[C@@H]1CCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000759413935 1046351001 /nfs/dbraw/zinc/35/10/01/1046351001.db2.gz DRAWQQIMNGNSGU-CQSZACIVSA-N 0 3 315.429 4.248 20 0 DIADHN CCCN(Cc1cnc(N(CC)CC)s1)Cc1ccccc1 ZINC001138800032 1046351710 /nfs/dbraw/zinc/35/17/10/1046351710.db2.gz PIAJKAFKAVAYCP-UHFFFAOYSA-N 0 3 317.502 4.402 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)C[C@@H]3CC3(Cl)Cl)C2)c1 ZINC000832574253 1046352544 /nfs/dbraw/zinc/35/25/44/1046352544.db2.gz HIGRZKOFNDELTL-IHRRRGAJSA-N 0 3 314.256 4.067 20 0 DIADHN CCN(Cc1cc(Br)ccc1OC(C)C)C1CC1 ZINC001138990227 1046353683 /nfs/dbraw/zinc/35/36/83/1046353683.db2.gz BSGYFMDAHYVBDI-UHFFFAOYSA-N 0 3 312.251 4.221 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cc(Cl)cc(Cl)c1N ZINC000639051684 1046353781 /nfs/dbraw/zinc/35/37/81/1046353781.db2.gz VCDGJIULPXCMOM-ZCFIWIBFSA-N 0 3 301.139 4.006 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCCC[C@H]1CCOC ZINC001139009387 1046355138 /nfs/dbraw/zinc/35/51/38/1046355138.db2.gz LGLLZBRNVRSWCV-INIZCTEOSA-N 0 3 311.853 4.130 20 0 DIADHN CCCN([C@@H](C)c1cnc(C)nc1C)[C@@H]1CCCc2cccnc21 ZINC000639067730 1046356836 /nfs/dbraw/zinc/35/68/36/1046356836.db2.gz YFBHNZFXLWGQHN-HNAYVOBHSA-N 0 3 324.472 4.339 20 0 DIADHN CC1=C(C)C[C@H]([C@@H](C)N[C@H](c2nc[nH]n2)c2ccccc2)CC1 ZINC000639062624 1046356882 /nfs/dbraw/zinc/35/68/82/1046356882.db2.gz RNFAQEQCDDBULP-NXHRZFHOSA-N 0 3 310.445 4.009 20 0 DIADHN CC1=C(C)C[C@H]([C@@H](C)[NH2+][C@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000639062624 1046356890 /nfs/dbraw/zinc/35/68/90/1046356890.db2.gz RNFAQEQCDDBULP-NXHRZFHOSA-N 0 3 310.445 4.009 20 0 DIADHN CN(Cc1ccc(C(F)F)nc1)CC1(c2ccccc2)CC1 ZINC000639082865 1046357367 /nfs/dbraw/zinc/35/73/67/1046357367.db2.gz YQULANWVWLXONA-UHFFFAOYSA-N 0 3 302.368 4.183 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cc2ccccc2n1C ZINC000639098474 1046359867 /nfs/dbraw/zinc/35/98/67/1046359867.db2.gz YFPGJQMRAVNCPF-GOSISDBHSA-N 0 3 306.409 4.100 20 0 DIADHN CC(C)(CNCc1ccc2ccc(F)c(F)c2n1)C(F)(F)F ZINC000639141057 1046363124 /nfs/dbraw/zinc/36/31/24/1046363124.db2.gz KSHCHFZHJFPENM-UHFFFAOYSA-N 0 3 318.289 4.191 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3cc(C4CC4)no3)C2)c1 ZINC000639145491 1046363972 /nfs/dbraw/zinc/36/39/72/1046363972.db2.gz MLMLBTDKWFQNFQ-CTYIDZIISA-N 0 3 302.805 4.241 20 0 DIADHN C[C@H](NCc1ccncc1OCCC(C)(C)C)[C@H]1CC1(F)F ZINC000639184219 1046369582 /nfs/dbraw/zinc/36/95/82/1046369582.db2.gz LOSGODKPRLAELY-GXTWGEPZSA-N 0 3 312.404 4.030 20 0 DIADHN O=C1CCC[C@H]2CN(Cc3ccccc3Oc3ccccc3)C[C@@H]12 ZINC001139053189 1046369808 /nfs/dbraw/zinc/36/98/08/1046369808.db2.gz VFZRJHOUZYDPLH-QFBILLFUSA-N 0 3 321.420 4.280 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccccc2Oc2ccccc2)C1 ZINC001139052660 1046370146 /nfs/dbraw/zinc/37/01/46/1046370146.db2.gz JHSVVFZDWHYTPZ-LJQANCHMSA-N 0 3 311.425 4.478 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cc4ccccc4o3)CC2)n1 ZINC001139063953 1046373495 /nfs/dbraw/zinc/37/34/95/1046373495.db2.gz FWUOANCGSOOLIX-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1scc(CN[C@H](c2cccs2)C2(CO)CCC2)c1C ZINC000639241169 1046374832 /nfs/dbraw/zinc/37/48/32/1046374832.db2.gz IJKGIQRLFCHNPC-MRXNPFEDSA-N 0 3 321.511 4.420 20 0 DIADHN FC(F)c1ccc(CN2CCCc3ccccc3CC2)cn1 ZINC000639233958 1046374986 /nfs/dbraw/zinc/37/49/86/1046374986.db2.gz ZARQEXMOQKFQRF-UHFFFAOYSA-N 0 3 302.368 4.010 20 0 DIADHN COc1ccccc1O[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001139065462 1046375566 /nfs/dbraw/zinc/37/55/66/1046375566.db2.gz WDRCEXWYKKWOSR-INIZCTEOSA-N 0 3 323.392 4.095 20 0 DIADHN C[C@@H](NCc1cccc(Oc2ccc(F)cc2)n1)[C@H]1CC1(F)F ZINC000639290625 1046375707 /nfs/dbraw/zinc/37/57/07/1046375707.db2.gz JPZOSXZKAWAVBC-IAQYHMDHSA-N 0 3 322.330 4.146 20 0 DIADHN COc1cccc(CN(C)Cc2ccccc2N2CCCCC2)c1 ZINC000759766011 1046377657 /nfs/dbraw/zinc/37/76/57/1046377657.db2.gz DXRDYEXLOGQUNI-UHFFFAOYSA-N 0 3 324.468 4.318 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C=C2CCC(C)CC2)c1 ZINC000759748999 1046378725 /nfs/dbraw/zinc/37/87/25/1046378725.db2.gz OHGZXFOYJSGOHZ-UHFFFAOYSA-N 0 3 300.446 4.132 20 0 DIADHN FC(F)(F)Cc1ccccc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000639298415 1046379802 /nfs/dbraw/zinc/37/98/02/1046379802.db2.gz ZQSGJSNQNRHWOY-CYBMUJFWSA-N 0 3 305.290 4.023 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1C[C@H](O)c1ccc(Cl)cc1 ZINC000780381081 1046382451 /nfs/dbraw/zinc/38/24/51/1046382451.db2.gz ZKOPHOXASJJUIL-JKOKRWQUSA-N 0 3 321.770 4.036 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000780381099 1046382528 /nfs/dbraw/zinc/38/25/28/1046382528.db2.gz ZKOPHOXASJJUIL-MPKXVKKWSA-N 0 3 321.770 4.036 20 0 DIADHN O[C@H]1CCN(Cc2ccc(C(F)F)cc2)[C@H](c2ccccc2)C1 ZINC000639365738 1046385266 /nfs/dbraw/zinc/38/52/66/1046385266.db2.gz FXTRYZSSQJLJFE-ROUUACIJSA-N 0 3 317.379 4.322 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1ccccc1Cl)c1ccco1 ZINC000246623547 1046389126 /nfs/dbraw/zinc/38/91/26/1046389126.db2.gz NVLKGKOGTQUSFC-GDBMZVCRSA-N 0 3 305.805 4.021 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCCC[C@H]3C2)c(Cl)c1OC ZINC001139118072 1046390932 /nfs/dbraw/zinc/39/09/32/1046390932.db2.gz FVMCDXGOBKHTET-KGLIPLIRSA-N 0 3 323.864 4.369 20 0 DIADHN CC1CCC(NCc2ncc(Cl)cc2Br)CC1 ZINC001168199025 1046395754 /nfs/dbraw/zinc/39/57/54/1046395754.db2.gz GVTDTZGVHDVDJV-UHFFFAOYSA-N 0 3 317.658 4.166 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1cccs1)c1cccc(Cl)c1 ZINC000246645295 1046399309 /nfs/dbraw/zinc/39/93/09/1046399309.db2.gz CNEMUJVQENNQCT-LSDHHAIUSA-N 0 3 307.846 4.272 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2cccnc2c1 ZINC001139168744 1046400287 /nfs/dbraw/zinc/40/02/87/1046400287.db2.gz VWGLSOTWGBFYAC-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](c1ccccc1)C1CCOCC1 ZINC000780726578 1046400685 /nfs/dbraw/zinc/40/06/85/1046400685.db2.gz XUGOOAXSKQCFFF-IIBYNOLFSA-N 0 3 324.468 4.463 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639439779 1046400721 /nfs/dbraw/zinc/40/07/21/1046400721.db2.gz IYLOCQVEYNJRSB-CQSZACIVSA-N 0 3 309.413 4.366 20 0 DIADHN Cn1ccc2cc(CN3CCSc4ccccc4C3)ccc21 ZINC001139192933 1046406575 /nfs/dbraw/zinc/40/65/75/1046406575.db2.gz RUNZONNBKWBNOG-UHFFFAOYSA-N 0 3 308.450 4.286 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2c(ccn2C)c1 ZINC001139189396 1046406613 /nfs/dbraw/zinc/40/66/13/1046406613.db2.gz AQVBHEYARSOXTN-OAHLLOKOSA-N 0 3 308.425 4.380 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3cccc4ccccc43)o2)[C@H]1C ZINC000639511199 1046407320 /nfs/dbraw/zinc/40/73/20/1046407320.db2.gz NOJZAUHLYBDELU-KGLIPLIRSA-N 0 3 307.397 4.120 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1ccnc(Cl)c1 ZINC000748803509 1046407988 /nfs/dbraw/zinc/40/79/88/1046407988.db2.gz WOJNHZOCSOUENP-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc(OC)c3ccccc23)cc1 ZINC000639563171 1046410631 /nfs/dbraw/zinc/41/06/31/1046410631.db2.gz QXPIRAPIDIFEMU-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3ccn(C)c3c2)cn1 ZINC001139206842 1046412611 /nfs/dbraw/zinc/41/26/11/1046412611.db2.gz JOCPQQFFPFXGKP-LJQANCHMSA-N 0 3 305.425 4.219 20 0 DIADHN Brc1ccsc1CN1CC2CCC(CC2)C1 ZINC001139314367 1046414814 /nfs/dbraw/zinc/41/48/14/1046414814.db2.gz KBARANQLAROOQA-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN C(=C\[C@H]1CCCCCN1CCc1cnccn1)\c1cccs1 ZINC000639584949 1046415124 /nfs/dbraw/zinc/41/51/24/1046415124.db2.gz XJNVBYCUXACAGQ-ZPUOCTKUSA-N 0 3 313.470 4.039 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3ncsc3c2)c(C)n1 ZINC000639594987 1046416923 /nfs/dbraw/zinc/41/69/23/1046416923.db2.gz CIJHJXKKDUMUKJ-ZDUSSCGKSA-N 0 3 311.454 4.467 20 0 DIADHN CN(Cc1ccc(Br)cc1N1CCCCC1)C1CC1 ZINC001139250851 1046419107 /nfs/dbraw/zinc/41/91/07/1046419107.db2.gz YTFXZQBGVLHRCT-UHFFFAOYSA-N 0 3 323.278 4.034 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1oc(-c2ccccc2)nc1C ZINC000639667348 1046419955 /nfs/dbraw/zinc/41/99/55/1046419955.db2.gz DSJUZTZSAFZQBE-IAGOWNOFSA-N 0 3 314.429 4.087 20 0 DIADHN CO[C@H]1CCN(Cc2ccccc2Oc2cccc(Cl)c2)C1 ZINC001139259928 1046420298 /nfs/dbraw/zinc/42/02/98/1046420298.db2.gz KSVPGBBGSMQDIT-KRWDZBQOSA-N 0 3 317.816 4.353 20 0 DIADHN C[C@@]1(O)CCN(Cc2ccccc2Oc2ccc(Cl)cc2)C1 ZINC001139264121 1046420327 /nfs/dbraw/zinc/42/03/27/1046420327.db2.gz KYRRINASSWTJCV-GOSISDBHSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@@H]1C[C@@H](O)CN1Cc1ccccc1Oc1ccc(Cl)cc1 ZINC001139262241 1046420697 /nfs/dbraw/zinc/42/06/97/1046420697.db2.gz OOAKCLWAALUQGO-CZUORRHYSA-N 0 3 317.816 4.087 20 0 DIADHN Brc1sccc1CNC[C@@H]1C[C@H]1C1CCC1 ZINC001202914320 1046420789 /nfs/dbraw/zinc/42/07/89/1046420789.db2.gz XBKAVPKHCYZFGV-RYUDHWBXSA-N 0 3 300.265 4.036 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1[nH]c(-c2ccccc2)nc1Cl ZINC001139285650 1046425759 /nfs/dbraw/zinc/42/57/59/1046425759.db2.gz ZLDNLOOLLCSIFS-HNNXBMFYSA-N 0 3 303.837 4.351 20 0 DIADHN CN(Cc1ccccc1Oc1ccc(F)cc1)[C@@H]1CCCOC1 ZINC001139303587 1046428068 /nfs/dbraw/zinc/42/80/68/1046428068.db2.gz WVQXSXVFRSHPKE-QGZVFWFLSA-N 0 3 315.388 4.229 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2occc2Br)C1 ZINC000749110529 1046428822 /nfs/dbraw/zinc/42/88/22/1046428822.db2.gz KMRIJVREFFKWRC-MNOVXSKESA-N 0 3 318.280 4.196 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](O)c2ccc(Cl)c(F)c2)o1 ZINC000090921031 1046430855 /nfs/dbraw/zinc/43/08/55/1046430855.db2.gz RGFAQBBEYDFSGQ-BMIGLBTASA-N 0 3 311.784 4.019 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCCO1)c1ccc2c(c1)CCO2 ZINC000246870615 1046433893 /nfs/dbraw/zinc/43/38/93/1046433893.db2.gz COZDXPYVVYNTMX-RVHYNSKXSA-N 0 3 323.436 4.192 20 0 DIADHN Fc1ccc(C2CCN(CCCCC(F)(F)F)CC2)nc1 ZINC000639873980 1046434044 /nfs/dbraw/zinc/43/40/44/1046434044.db2.gz LMZJRSXVKZGDOK-UHFFFAOYSA-N 0 3 304.331 4.133 20 0 DIADHN Brc1cscc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001139347665 1046434242 /nfs/dbraw/zinc/43/42/42/1046434242.db2.gz OAIWEDNKONQPIR-GHMZBOCLSA-N 0 3 300.265 4.133 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@@H](O)CC1CCCC1 ZINC001168233802 1046434676 /nfs/dbraw/zinc/43/46/76/1046434676.db2.gz HUNUJBHRRFTEHD-LBTNJELSSA-N 0 3 305.437 4.075 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cc(Cl)cnc1Cl)CC2 ZINC001139359128 1046436080 /nfs/dbraw/zinc/43/60/80/1046436080.db2.gz MCBFJKNJOVZSQE-UHFFFAOYSA-N 0 3 317.235 4.493 20 0 DIADHN CC(C)c1ccc(NC(=O)N(C(C)C)C2CCN(C)CC2)cc1 ZINC001202925446 1046437171 /nfs/dbraw/zinc/43/71/71/1046437171.db2.gz IDUBEGIANMZGSN-UHFFFAOYSA-N 0 3 317.477 4.147 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc(-c2cnccn2)cc1 ZINC001139393469 1046442234 /nfs/dbraw/zinc/44/22/34/1046442234.db2.gz RTPCBIYHDLBJRS-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccc(-c3cnccn3)cc1)C2 ZINC001139394691 1046442311 /nfs/dbraw/zinc/44/23/11/1046442311.db2.gz KQRICVJZEITQOS-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2cnccn2)cc1 ZINC001139393504 1046442589 /nfs/dbraw/zinc/44/25/89/1046442589.db2.gz TUYZTAFOFCQVCR-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN Cc1c(CN(Cc2ccccc2)CC(C)C)nc2ccccn21 ZINC001137267636 1046447198 /nfs/dbraw/zinc/44/71/98/1046447198.db2.gz FCYWAFPCKGJOMN-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2ccc(N3CCCC3)nc2)cc1 ZINC000123653344 1046449529 /nfs/dbraw/zinc/44/95/29/1046449529.db2.gz BAXYMEJLNSFTSZ-LJQANCHMSA-N 0 3 307.441 4.019 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3c2cc(F)cc3F)c(C)c1 ZINC000677043288 1046456142 /nfs/dbraw/zinc/45/61/42/1046456142.db2.gz PLLMSLNIMPSPPE-GOSISDBHSA-N 0 3 303.352 4.059 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677042269 1046456220 /nfs/dbraw/zinc/45/62/20/1046456220.db2.gz IBVOBRBNXXRCNU-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN COc1ccc(CN[C@H]2CCc3c2cc(F)cc3F)c(C)c1 ZINC000677043290 1046456854 /nfs/dbraw/zinc/45/68/54/1046456854.db2.gz PLLMSLNIMPSPPE-SFHVURJKSA-N 0 3 303.352 4.059 20 0 DIADHN COc1ccc([C@@H](NCc2nccc3ccccc32)C2CC2)cc1 ZINC000091310862 1046458687 /nfs/dbraw/zinc/45/86/87/1046458687.db2.gz RNTSCTCJAMKIKZ-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccc(-c3ccccc3)nc2)C1 ZINC001139518207 1046459472 /nfs/dbraw/zinc/45/94/72/1046459472.db2.gz QXDNNUYAJXAGKY-MRXNPFEDSA-N 0 3 302.368 4.226 20 0 DIADHN CN(Cc1cnc2ccccc2c1)Cc1cccc2cccnc21 ZINC001137282198 1046459638 /nfs/dbraw/zinc/45/96/38/1046459638.db2.gz KHNZXZVJOSPSAV-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN FC(F)C1CCN(Cc2ccc(-c3ccccc3)nc2)CC1 ZINC001139519785 1046461497 /nfs/dbraw/zinc/46/14/97/1046461497.db2.gz XAXPDZYQZBFFIR-UHFFFAOYSA-N 0 3 302.368 4.226 20 0 DIADHN c1ccc(-c2ccc(CN3CCC4(CCCOC4)CC3)cn2)cc1 ZINC001139521707 1046461827 /nfs/dbraw/zinc/46/18/27/1046461827.db2.gz LKXLTHSMXDJJFN-UHFFFAOYSA-N 0 3 322.452 4.141 20 0 DIADHN CC1(C)OCCC12CCN(Cc1cnc3ccccc3c1)CC2 ZINC001137284595 1046462842 /nfs/dbraw/zinc/46/28/42/1046462842.db2.gz SAXRIMPKXIZOMP-UHFFFAOYSA-N 0 3 310.441 4.016 20 0 DIADHN Cc1ccoc1CNc1ccc(CN2CCSCC2)cc1C ZINC000125379756 1046465674 /nfs/dbraw/zinc/46/56/74/1046465674.db2.gz YJNYPEPJAKLKRZ-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2c2ccncc2)cc1 ZINC000125478725 1046467974 /nfs/dbraw/zinc/46/79/74/1046467974.db2.gz UGNYHJKGZXISIT-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccccc3)C2)cnc1Cl ZINC001139543684 1046468874 /nfs/dbraw/zinc/46/88/74/1046468874.db2.gz GUGYPPIVVBYQBB-QGZVFWFLSA-N 0 3 300.833 4.423 20 0 DIADHN Fc1ccccc1SCCN1CCC(c2ccccn2)CC1 ZINC000677188236 1046472938 /nfs/dbraw/zinc/47/29/38/1046472938.db2.gz VQUHVESHAZFXBW-UHFFFAOYSA-N 0 3 316.445 4.192 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(c2ccccn2)CC1 ZINC000677187652 1046473169 /nfs/dbraw/zinc/47/31/69/1046473169.db2.gz ABONNOWHWBFPIK-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCCc2ccc(C)cc21 ZINC000677240375 1046476423 /nfs/dbraw/zinc/47/64/23/1046476423.db2.gz HJRQVRKXOSGOGJ-PMACEKPBSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@H]1C[C@@H](O)CCN1Cc1ccccc1-c1ccccc1Cl ZINC001139577346 1046476874 /nfs/dbraw/zinc/47/68/74/1046476874.db2.gz XGPAAPGHTYXBFX-HOCLYGCPSA-N 0 3 315.844 4.352 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC[C@H]2c2ccccc2)c1 ZINC000044277274 1046477055 /nfs/dbraw/zinc/47/70/55/1046477055.db2.gz GMWZUMMJNHMIPO-IBGZPJMESA-N 0 3 323.440 4.117 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(C)cnc3Cl)C2)cc1 ZINC001139595020 1046479761 /nfs/dbraw/zinc/47/97/61/1046479761.db2.gz HHQHUTLGOZASJI-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CCOc1ccc(C)cc1CN(C)CCc1ccccc1OC ZINC001139603389 1046482048 /nfs/dbraw/zinc/48/20/48/1046482048.db2.gz AJUZYRGFRBETLA-UHFFFAOYSA-N 0 3 313.441 4.077 20 0 DIADHN C[C@@H](c1cccc2ccccc21)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000640992504 1046483670 /nfs/dbraw/zinc/48/36/70/1046483670.db2.gz RNLZVSIEZKDPSE-UGSOOPFHSA-N 0 3 308.429 4.087 20 0 DIADHN COc1ccc(CCN(C)Cc2scc(C)c2Cl)cc1 ZINC001137291279 1046490188 /nfs/dbraw/zinc/49/01/88/1046490188.db2.gz LSDCUQHVVOWSOR-UHFFFAOYSA-N 0 3 309.862 4.393 20 0 DIADHN Cc1csc(CN2CCC3(CCOC3(C)C)CC2)c1Cl ZINC001137294283 1046491493 /nfs/dbraw/zinc/49/14/93/1046491493.db2.gz YQVRETJXNPSJMX-UHFFFAOYSA-N 0 3 313.894 4.491 20 0 DIADHN c1cncc(-c2ccc(CN3CCOC4(CCCCC4)C3)cc2)c1 ZINC001139644902 1046493318 /nfs/dbraw/zinc/49/33/18/1046493318.db2.gz USRKOGNTUYIEQQ-UHFFFAOYSA-N 0 3 322.452 4.284 20 0 DIADHN CC(=O)N1CC[C@H](NCc2ccc(C(C)C)cc2)c2ccccc21 ZINC000677426536 1046496359 /nfs/dbraw/zinc/49/63/59/1046496359.db2.gz WVPXUSLUUPZDDX-FQEVSTJZSA-N 0 3 322.452 4.398 20 0 DIADHN Cn1nc(C(F)(F)F)c(CNCCC2=CCCCC2)c1Cl ZINC000782153686 1046497792 /nfs/dbraw/zinc/49/77/92/1046497792.db2.gz DWIGDLAKDNHXOZ-UHFFFAOYSA-N 0 3 321.774 4.072 20 0 DIADHN C[C@@H]1CCN([C@@H]2CCc3cc(Cl)ccc32)C[C@H]1n1ccnc1 ZINC000782191959 1046499137 /nfs/dbraw/zinc/49/91/37/1046499137.db2.gz RQSFIWHXOMPXLE-FSPWUOQZSA-N 0 3 315.848 4.107 20 0 DIADHN c1c(CN(Cc2ccccc2)C2CCCCC2)nc2cnccn12 ZINC001139694693 1046502229 /nfs/dbraw/zinc/50/22/29/1046502229.db2.gz XHFZRFWMCXISHH-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN CC(C)(C)c1cc(CN2CC(C3COC3)C2)cc(C(C)(C)C)c1 ZINC001139713124 1046503777 /nfs/dbraw/zinc/50/37/77/1046503777.db2.gz RPBHWDKAXIKOSF-UHFFFAOYSA-N 0 3 315.501 4.360 20 0 DIADHN CN(CCC1CCOCC1)Cc1cnc(C2CCCC2)s1 ZINC000129635197 1046504350 /nfs/dbraw/zinc/50/43/50/1046504350.db2.gz JBUVIEXGSKAYLJ-UHFFFAOYSA-N 0 3 308.491 4.049 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(C(F)F)cc2)c1 ZINC000677599959 1046504314 /nfs/dbraw/zinc/50/43/14/1046504314.db2.gz OYQRCFAJMCUWGS-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@H]2CCc3ccccc32)c1 ZINC000677600085 1046505288 /nfs/dbraw/zinc/50/52/88/1046505288.db2.gz VPSWBQFKGYOSKA-GOSISDBHSA-N 0 3 322.452 4.115 20 0 DIADHN Cc1ccc2ncc(CN3CCCC[C@@H]3c3ccccc3)n2c1 ZINC001137305101 1046505996 /nfs/dbraw/zinc/50/59/96/1046505996.db2.gz YWBHJMJGLGYDFA-LJQANCHMSA-N 0 3 305.425 4.370 20 0 DIADHN Fc1ccc(F)c(CNC2(CCOCc3ccccc3)CC2)c1 ZINC000677662439 1046509176 /nfs/dbraw/zinc/50/91/76/1046509176.db2.gz CYQDIZOAIYBCND-UHFFFAOYSA-N 0 3 317.379 4.194 20 0 DIADHN c1ccc([C@@H]2CCCCN2Cc2cnc3cccnc3c2)cc1 ZINC001139739998 1046510397 /nfs/dbraw/zinc/51/03/97/1046510397.db2.gz HPGFZEFOKWYGKH-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN CC1(C)CC[C@H]2C[C@@H](NCc3ccncc3N)c3cccc1c32 ZINC000641746399 1046510748 /nfs/dbraw/zinc/51/07/48/1046510748.db2.gz WNZRUQRQWIHQGX-SCLBCKFNSA-N 0 3 307.441 4.053 20 0 DIADHN Cc1cc(-c2nnc(CN(C3CC3)C3CCCCC3)o2)c(C)o1 ZINC000677676623 1046510932 /nfs/dbraw/zinc/51/09/32/1046510932.db2.gz SOHDIKBKHVFILS-UHFFFAOYSA-N 0 3 315.417 4.244 20 0 DIADHN CC(C)CN(CCc1ccc(F)cc1)Cc1ncc(C2CC2)o1 ZINC000677682575 1046511139 /nfs/dbraw/zinc/51/11/39/1046511139.db2.gz VDIZHCBEWJQRIB-UHFFFAOYSA-N 0 3 316.420 4.392 20 0 DIADHN Cc1ccc2ncc(CN(C)Cc3cccc4ccccc43)n2c1 ZINC001137308711 1046513364 /nfs/dbraw/zinc/51/33/64/1046513364.db2.gz ARXARDYEAWOWBB-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN C[C@@H](C(=O)Nc1cccc2ccccc21)N1CCC2(CC2)CC1 ZINC000677716484 1046514298 /nfs/dbraw/zinc/51/42/98/1046514298.db2.gz HFNGTDASRCYQRC-HNNXBMFYSA-N 0 3 308.425 4.043 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCSc2ccc(Cl)cc2)C1 ZINC000677714289 1046514516 /nfs/dbraw/zinc/51/45/16/1046514516.db2.gz VSVVIZPJCRBGAB-SNVBAGLBSA-N 0 3 309.784 4.316 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC(CF)CC3)s2)cc1 ZINC001139791623 1046515898 /nfs/dbraw/zinc/51/58/98/1046515898.db2.gz JZKHLOKMRKZDTF-UHFFFAOYSA-N 0 3 320.433 4.000 20 0 DIADHN CCc1cccc2cc(CNC[C@@H](C)C(=O)OC(C)(C)C)oc21 ZINC001202971131 1046516951 /nfs/dbraw/zinc/51/69/51/1046516951.db2.gz OVKRDUZEAMMVAC-CYBMUJFWSA-N 0 3 317.429 4.063 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3ccccc3C(F)F)C2)cc1 ZINC001139800878 1046520498 /nfs/dbraw/zinc/52/04/98/1046520498.db2.gz FGDARQNQWWYMBU-MRXNPFEDSA-N 0 3 321.342 4.417 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3ccccc3C(F)F)C2)c1 ZINC001139802304 1046520925 /nfs/dbraw/zinc/52/09/25/1046520925.db2.gz OCOQEEQSZGUZDR-INIZCTEOSA-N 0 3 321.342 4.417 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2NCc1ccc(OCC2CC2)nc1 ZINC000131369794 1046521213 /nfs/dbraw/zinc/52/12/13/1046521213.db2.gz LARMOZHMKVKJQX-INIZCTEOSA-N 0 3 314.454 4.099 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnc(C)s1)c1ccc(Cl)cc1 ZINC000677756052 1046523410 /nfs/dbraw/zinc/52/34/10/1046523410.db2.gz FHISALZTTIMMHA-HZMBPMFUSA-N 0 3 310.850 4.143 20 0 DIADHN COCc1ccc(CN2CCC[C@H]2c2ccccc2OC)s1 ZINC001139827034 1046525871 /nfs/dbraw/zinc/52/58/71/1046525871.db2.gz LNRXJXKXSQLYRA-KRWDZBQOSA-N 0 3 317.454 4.240 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(COC)s1 ZINC001139831365 1046529089 /nfs/dbraw/zinc/52/90/89/1046529089.db2.gz MUYDXXFGMQQISX-SFHVURJKSA-N 0 3 301.455 4.404 20 0 DIADHN Cc1ccc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)nc1 ZINC001139842277 1046530163 /nfs/dbraw/zinc/53/01/63/1046530163.db2.gz DGSVKCCWUDNNSA-AWEZNQCLSA-N 0 3 300.833 4.205 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2ccc(Cl)cc2F)CC1 ZINC000782532464 1046534107 /nfs/dbraw/zinc/53/41/07/1046534107.db2.gz JRPIAZVQRDGXJD-KRWDZBQOSA-N 0 3 319.807 4.083 20 0 DIADHN COc1ccc(-c2cc(CN(CCC(C)C)C3CC3)on2)cc1 ZINC000641977017 1046534760 /nfs/dbraw/zinc/53/47/60/1046534760.db2.gz RJSHIZYZCCRRQG-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)c1ccccc1CNCc1cnn(Cc2ccccc2)c1 ZINC000782539216 1046534991 /nfs/dbraw/zinc/53/49/91/1046534991.db2.gz HMYUFBIDNOAJNL-UHFFFAOYSA-N 0 3 319.452 4.345 20 0 DIADHN CCC(CC)[C@@H](CCNCc1ncccc1C(F)(F)F)OC ZINC000677964669 1046538237 /nfs/dbraw/zinc/53/82/37/1046538237.db2.gz CXESANCGBRZFQR-OAHLLOKOSA-N 0 3 318.383 4.031 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cnn(CC(F)(F)F)c1 ZINC000536626006 1046539036 /nfs/dbraw/zinc/53/90/36/1046539036.db2.gz UXMVCHDXFPRJTJ-IUODEOHRSA-N 0 3 323.362 4.092 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC001137319283 1046540090 /nfs/dbraw/zinc/54/00/90/1046540090.db2.gz UVNZYCIWARLAEP-GOSISDBHSA-N 0 3 306.409 4.130 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1cccc2cccnc21 ZINC001137319120 1046540340 /nfs/dbraw/zinc/54/03/40/1046540340.db2.gz IWSKMUNOSBZDDR-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN c1ccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2C2CC2)cc1 ZINC001139882133 1046541489 /nfs/dbraw/zinc/54/14/89/1046541489.db2.gz FZGKPHHQOVXWPD-SFTDATJTSA-N 0 3 318.464 4.023 20 0 DIADHN CCN(C(=O)CN[C@@H](CC(C)C)c1ccccc1)c1ccccc1 ZINC000782847516 1046543683 /nfs/dbraw/zinc/54/36/83/1046543683.db2.gz QJGXPODFSAYMGD-FQEVSTJZSA-N 0 3 324.468 4.417 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@@]2(C1)CCCCO2 ZINC001139888022 1046543946 /nfs/dbraw/zinc/54/39/46/1046543946.db2.gz ILTAIUUGKNSOAT-MRXNPFEDSA-N 0 3 313.363 4.159 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C(F)(F)F)ccc2C)[C@@H](C)C1 ZINC001139888840 1046548499 /nfs/dbraw/zinc/54/84/99/1046548499.db2.gz MBERNGPATVOAEN-WFASDCNBSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccc(C)nc2)CC1 ZINC001139929767 1046551903 /nfs/dbraw/zinc/55/19/03/1046551903.db2.gz AECVDSXXIIDDKR-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN COc1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1C ZINC000237342742 1046554123 /nfs/dbraw/zinc/55/41/23/1046554123.db2.gz IAJVEOGSDYDBPU-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN C[C@@H]1CCN(Cc2cncn2C)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000135396007 1046554358 /nfs/dbraw/zinc/55/43/58/1046554358.db2.gz HGUFFLCVKSHIPE-WBMJQRKESA-N 0 3 323.362 4.022 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccc(F)cc3F)CC2)cn1 ZINC001139933180 1046554394 /nfs/dbraw/zinc/55/43/94/1046554394.db2.gz MQWBLJAUSCBAEN-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cc(C)c(CN2CCC(c3nc4ccccc4o3)CC2)[nH]1 ZINC001137321426 1046554873 /nfs/dbraw/zinc/55/48/73/1046554873.db2.gz KYAZYSFVMCUHLL-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1ccc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)cn1 ZINC001139933552 1046554744 /nfs/dbraw/zinc/55/47/44/1046554744.db2.gz GUSMZQLGWIXELG-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN Clc1ncnc2[nH]cc(CN3CCC4(CCCCC4)CC3)c21 ZINC001139934272 1046555509 /nfs/dbraw/zinc/55/55/09/1046555509.db2.gz ADOOTAZNFJRSAI-UHFFFAOYSA-N 0 3 318.852 4.109 20 0 DIADHN C[C@@H](N[C@H](CN1CCCCC1)c1ccccc1)c1cscn1 ZINC000678114967 1046558714 /nfs/dbraw/zinc/55/87/14/1046558714.db2.gz RMSDTMJGFVXVBH-NVXWUHKLSA-N 0 3 315.486 4.021 20 0 DIADHN Cn1nc(CN(Cc2ccccc2)C(C)(C)C)c2ccccc21 ZINC000678120104 1046559291 /nfs/dbraw/zinc/55/92/91/1046559291.db2.gz LCBDSMSSYVKFQT-UHFFFAOYSA-N 0 3 307.441 4.374 20 0 DIADHN Oc1ccc(C2=CCN(Cc3nccc4ccccc43)CC2)cc1 ZINC000678128520 1046559805 /nfs/dbraw/zinc/55/98/05/1046559805.db2.gz YPSFSIZUPGXCJO-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cccnn1 ZINC001139974035 1046559919 /nfs/dbraw/zinc/55/99/19/1046559919.db2.gz RYIKVNYEKJQLDT-UHFFFAOYSA-N 0 3 317.436 4.131 20 0 DIADHN CC1(C)CCCN1Cc1c[nH]c2cccc(Br)c12 ZINC001139965390 1046560697 /nfs/dbraw/zinc/56/06/97/1046560697.db2.gz OLANSCRYAJENLZ-UHFFFAOYSA-N 0 3 307.235 4.305 20 0 DIADHN CC(C)CCN(CC(=O)N[C@@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000642094147 1046560734 /nfs/dbraw/zinc/56/07/34/1046560734.db2.gz UOWHIQLXDRRUME-AWEZNQCLSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2nn(C)c3ccccc23)cc1 ZINC000678134284 1046561445 /nfs/dbraw/zinc/56/14/45/1046561445.db2.gz VKNXDSASMVWRAX-IBGZPJMESA-N 0 3 305.425 4.219 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1nccc2ccccc21 ZINC000678127525 1046562344 /nfs/dbraw/zinc/56/23/44/1046562344.db2.gz DVOGXOPCZNTGAT-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)nc1 ZINC000536631720 1046568970 /nfs/dbraw/zinc/56/89/70/1046568970.db2.gz FPPTZMOATAVSLJ-OAHLLOKOSA-N 0 3 323.465 4.379 20 0 DIADHN CCCCN(CCCC)Cc1cc(Br)cnc1F ZINC001140007393 1046569153 /nfs/dbraw/zinc/56/91/53/1046569153.db2.gz HEVLJIRDVGEODS-UHFFFAOYSA-N 0 3 317.246 4.385 20 0 DIADHN Cc1ccc2ncc(CN(C)[C@@H]3CCCc4ccccc43)n2c1 ZINC000536631644 1046570466 /nfs/dbraw/zinc/57/04/66/1046570466.db2.gz LOKZETJPZXOEAE-LJQANCHMSA-N 0 3 305.425 4.152 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN(C1CC1)C1CCCCC1 ZINC000678141922 1046570646 /nfs/dbraw/zinc/57/06/46/1046570646.db2.gz USPHDYTZOWBJPC-INIZCTEOSA-N 0 3 306.475 4.292 20 0 DIADHN Cc1nccn1-c1ccccc1CN1CC[C@H]1c1ccccc1 ZINC001140026930 1046573367 /nfs/dbraw/zinc/57/33/67/1046573367.db2.gz QHGWZFQVCPOBQS-IBGZPJMESA-N 0 3 303.409 4.128 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@H]1CCC[C@@H](C(F)(F)F)C1)CO2 ZINC000783290828 1046574955 /nfs/dbraw/zinc/57/49/55/1046574955.db2.gz HEMNYCVRXWIEIL-QRTLGDNMSA-N 0 3 321.289 4.109 20 0 DIADHN COc1cccc(CN(Cc2scnc2C)CC(C)C)c1 ZINC000678156738 1046574942 /nfs/dbraw/zinc/57/49/42/1046574942.db2.gz JBNVWRZGVBRWQA-UHFFFAOYSA-N 0 3 304.459 4.118 20 0 DIADHN CC(C)(C)c1ccc(CCNCc2cccnc2Cl)cc1 ZINC000729678884 1046576175 /nfs/dbraw/zinc/57/61/75/1046576175.db2.gz VHNMAJMHUIMOHL-UHFFFAOYSA-N 0 3 302.849 4.365 20 0 DIADHN C[C@H](NC[C@H]1CCC=CO1)c1cc(Cl)ccc1OC(F)F ZINC000783307843 1046577179 /nfs/dbraw/zinc/57/71/79/1046577179.db2.gz AGIWJPHPWUCXGP-CMPLNLGQSA-N 0 3 317.763 4.285 20 0 DIADHN C[C@@H](NC[C@H]1CCC=CO1)c1cc(Cl)ccc1OC(F)F ZINC000783307846 1046577316 /nfs/dbraw/zinc/57/73/16/1046577316.db2.gz AGIWJPHPWUCXGP-ZYHUDNBSSA-N 0 3 317.763 4.285 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2C)cc1OC(F)F ZINC000642189496 1046578167 /nfs/dbraw/zinc/57/81/67/1046578167.db2.gz ABEBQEQHUATOKY-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN Cc1ccc(C(=O)C2CCN(Cc3ccccc3)CC2)c(C)c1 ZINC000642190349 1046580278 /nfs/dbraw/zinc/58/02/78/1046580278.db2.gz HJMWAEHYYWEEQY-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCC2CCCC2)c2ccccc2)cc1 ZINC000642194679 1046580379 /nfs/dbraw/zinc/58/03/79/1046580379.db2.gz DJYMSUFVOYMURD-FQEVSTJZSA-N 0 3 322.452 4.455 20 0 DIADHN COc1c(Cl)cccc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001140063337 1046580990 /nfs/dbraw/zinc/58/09/90/1046580990.db2.gz QPQOFRLZOMADRX-UHFFFAOYSA-N 0 3 323.864 4.272 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)CCC1CCCCC1 ZINC000642213465 1046581960 /nfs/dbraw/zinc/58/19/60/1046581960.db2.gz HRCKEQKWYBCZJE-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN COc1ccccc1CCN(C)Cc1cccc(Cl)c1OC ZINC001140067530 1046582486 /nfs/dbraw/zinc/58/24/86/1046582486.db2.gz MMONGZIJXYGZRW-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC[C@H]3c3cccc(C)c3)c2c1 ZINC001140066076 1046583375 /nfs/dbraw/zinc/58/33/75/1046583375.db2.gz QWSKIEYXFJLSAL-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2[nH]nc(CN3CCC[C@H]3c3cccc(C)c3)c2c1 ZINC001140066076 1046583389 /nfs/dbraw/zinc/58/33/89/1046583389.db2.gz QWSKIEYXFJLSAL-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1ccc(O)cc1Cl ZINC001140083319 1046583823 /nfs/dbraw/zinc/58/38/23/1046583823.db2.gz MSHOEJWCFFANOE-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN CCN(C(=O)CN(C)[C@@H](C)C(C)C)c1cccc2ccccc21 ZINC000642252673 1046587862 /nfs/dbraw/zinc/58/78/62/1046587862.db2.gz KVMQQLLBZGTKAM-INIZCTEOSA-N 0 3 312.457 4.169 20 0 DIADHN COc1ccccc1/C=C\CN1CCC[C@@H]1c1ccc(O)cc1 ZINC000678212552 1046588141 /nfs/dbraw/zinc/58/81/41/1046588141.db2.gz IIRNTCGXILGJSN-BQHGXPCASA-N 0 3 309.409 4.251 20 0 DIADHN CC(=O)Nc1cccc2c1CCN(Cc1cc(C)c(C)cc1C)C2 ZINC000678204382 1046588519 /nfs/dbraw/zinc/58/85/19/1046588519.db2.gz CDJVDGCCAFACCL-UHFFFAOYSA-N 0 3 322.452 4.129 20 0 DIADHN Fc1ccc(-c2ncc(CN3CC[C@@]4(CC4(F)F)C3)s2)cc1 ZINC001140095887 1046589005 /nfs/dbraw/zinc/58/90/05/1046589005.db2.gz IDFJFSOYBMZZOV-OAHLLOKOSA-N 0 3 324.371 4.180 20 0 DIADHN Cc1cccc2c(CN3CCCC3)cn(C(=O)OC(C)(C)C)c21 ZINC001140119119 1046593594 /nfs/dbraw/zinc/59/35/94/1046593594.db2.gz QCAFXTNIXJPDIS-UHFFFAOYSA-N 0 3 314.429 4.329 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC[C@H](n3ccnc3)C2)s1 ZINC000678234666 1046593727 /nfs/dbraw/zinc/59/37/27/1046593727.db2.gz ITGPPDQGTVHIAI-AWEZNQCLSA-N 0 3 303.475 4.079 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)CN1C[C@H]1CCOc2ccccc21 ZINC000678236338 1046596249 /nfs/dbraw/zinc/59/62/49/1046596249.db2.gz YVFWCXHRUWOKFZ-BLIXFSHQSA-N 0 3 323.436 4.015 20 0 DIADHN COc1cccc(CN[C@H](c2ccccc2)C2CCC2)c1OC ZINC000105430854 1046596974 /nfs/dbraw/zinc/59/69/74/1046596974.db2.gz BCJQSMSRESCNME-LJQANCHMSA-N 0 3 311.425 4.335 20 0 DIADHN Cc1cc2cc(CN3CCC[C@@H](n4ccnc4)C3)oc2cc1C ZINC000678235101 1046596913 /nfs/dbraw/zinc/59/69/13/1046596913.db2.gz OEVGUBMUNOEPPE-QGZVFWFLSA-N 0 3 309.413 4.083 20 0 DIADHN CC(C)(C)n1cc(CN[C@@H](c2ccc(F)cc2)C2CCC2)cn1 ZINC000105431125 1046597462 /nfs/dbraw/zinc/59/74/62/1046597462.db2.gz LLIZNEBAGHCVKP-GOSISDBHSA-N 0 3 315.436 4.408 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2C2CC2)cc(Cl)c1OC ZINC000678240209 1046598553 /nfs/dbraw/zinc/59/85/53/1046598553.db2.gz VBJMRBSILLXORH-HNNXBMFYSA-N 0 3 309.837 4.122 20 0 DIADHN Fc1cc2cccnc2c(CN2CC[C@@H](Nc3ccccc3)C2)c1 ZINC000237805085 1046598867 /nfs/dbraw/zinc/59/88/67/1046598867.db2.gz SHYAVHPHLGUTLE-LJQANCHMSA-N 0 3 321.399 4.060 20 0 DIADHN CCOCOc1ccc(CN2C[C@@H](C)[C@@H]2c2ccccc2)cc1 ZINC000783493474 1046600694 /nfs/dbraw/zinc/60/06/94/1046600694.db2.gz HFLDUCHOBZRIOT-OXQOHEQNSA-N 0 3 311.425 4.252 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2cccc3cnccc32)cc1 ZINC001140144790 1046600743 /nfs/dbraw/zinc/60/07/43/1046600743.db2.gz PLPSSPOJYGGMMR-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN Cc1cc(C)c(CN2CCC3(C[C@H](c4ccccc4)CO3)CC2)[nH]1 ZINC001137326098 1046601197 /nfs/dbraw/zinc/60/11/97/1046601197.db2.gz SDDXFFOZYSTAKH-IBGZPJMESA-N 0 3 324.468 4.170 20 0 DIADHN CC(C)C[C@]1(C)CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000454302543 1046601340 /nfs/dbraw/zinc/60/13/40/1046601340.db2.gz ORUHOWZSLUORBC-IBGZPJMESA-N 0 3 317.477 4.181 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(Cl)o1)c1ccsc1 ZINC000642342648 1046601673 /nfs/dbraw/zinc/60/16/73/1046601673.db2.gz WOILPLNCCAZTAJ-CQSZACIVSA-N 0 3 312.866 4.167 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000783506053 1046602523 /nfs/dbraw/zinc/60/25/23/1046602523.db2.gz OBCSIEDKRZOZBG-YPMHNXCESA-N 0 3 306.500 4.455 20 0 DIADHN CC1(C)CN(Cc2ccccc2OC2CCCC2)CCCO1 ZINC000678271984 1046606687 /nfs/dbraw/zinc/60/66/87/1046606687.db2.gz WSANPLSWCJDZHV-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN C[C@H]1COCCCN1Cc1ccc(-c2ccccc2F)s1 ZINC000678274956 1046607291 /nfs/dbraw/zinc/60/72/91/1046607291.db2.gz SERHGTJAXBMVJZ-ZDUSSCGKSA-N 0 3 305.418 4.165 20 0 DIADHN Cc1ccccc1C1CC(NCc2nc3cc(F)ccc3n2C)C1 ZINC000783572614 1046610324 /nfs/dbraw/zinc/61/03/24/1046610324.db2.gz CSTPKJYRHAPGRL-UHFFFAOYSA-N 0 3 323.415 4.057 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1nccc2ccccc21 ZINC000678282553 1046610603 /nfs/dbraw/zinc/61/06/03/1046610603.db2.gz YOILCUQXXUHJKJ-IBGZPJMESA-N 0 3 320.436 4.008 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1nccc2ccccc21 ZINC000678282554 1046610743 /nfs/dbraw/zinc/61/07/43/1046610743.db2.gz YOILCUQXXUHJKJ-LJQANCHMSA-N 0 3 320.436 4.008 20 0 DIADHN c1cc(N2CCCC2)ncc1CN1CCC(C2CCCC2)CC1 ZINC000678282908 1046611274 /nfs/dbraw/zinc/61/12/74/1046611274.db2.gz OZUPEGCXLQERPU-UHFFFAOYSA-N 0 3 313.489 4.084 20 0 DIADHN CCCO[C@@H]1CCCN([C@H](C)c2nc3ccccc3o2)CC1 ZINC000245559147 1046615731 /nfs/dbraw/zinc/61/57/31/1046615731.db2.gz WBBCKBNHYDQJSC-HUUCEWRRSA-N 0 3 302.418 4.170 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)C[C@H]1COc2ccccc2O1 ZINC000642524708 1046616463 /nfs/dbraw/zinc/61/64/63/1046616463.db2.gz PIJZJTFDDGMQOW-BBRMVZONSA-N 0 3 317.816 4.173 20 0 DIADHN Cc1cc(C)cc([C@H](N[C@H]2CCS[C@@H]2C)c2cccnc2)c1 ZINC000783636830 1046616702 /nfs/dbraw/zinc/61/67/02/1046616702.db2.gz CIFRKKGQYGOVAI-AYOQOUSVSA-N 0 3 312.482 4.271 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)C[C@@H]1COc2ccccc2O1 ZINC000642524147 1046617411 /nfs/dbraw/zinc/61/74/11/1046617411.db2.gz VOLRWGOUOUQEFX-GDBMZVCRSA-N 0 3 323.392 4.266 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(-c2ccccc2)o1 ZINC000678339484 1046620653 /nfs/dbraw/zinc/62/06/53/1046620653.db2.gz OYGIVDRUTYMOCW-IBGZPJMESA-N 0 3 321.424 4.326 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(C(C)(C)C)s1 ZINC000678337423 1046621076 /nfs/dbraw/zinc/62/10/76/1046621076.db2.gz POIVGMLHZBDZBT-MRXNPFEDSA-N 0 3 317.502 4.425 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1cc2cc(C)c(C)cc2o1 ZINC000678338702 1046622160 /nfs/dbraw/zinc/62/21/60/1046622160.db2.gz ZMGIVNQXFMVXFV-LJQANCHMSA-N 0 3 323.440 4.429 20 0 DIADHN CC(C)CC[C@@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000834487512 1046622657 /nfs/dbraw/zinc/62/26/57/1046622657.db2.gz FKGJHJDIZDBFDG-NXEZZACHSA-N 0 3 305.285 4.381 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1nc(Br)cs1 ZINC000834487976 1046623496 /nfs/dbraw/zinc/62/34/96/1046623496.db2.gz VGXPTJWLNYOVMC-UTLUCORTSA-N 0 3 303.269 4.135 20 0 DIADHN CCc1nn(C)cc1CNC(CC)(CC)c1ccc(Cl)cc1 ZINC000678360364 1046624865 /nfs/dbraw/zinc/62/48/65/1046624865.db2.gz KXPZHHBYEVMBGA-UHFFFAOYSA-N 0 3 319.880 4.441 20 0 DIADHN COc1cc(CN[C@@H](C)c2cnccc2C)ccc1OC(C)C ZINC000678361934 1046625927 /nfs/dbraw/zinc/62/59/27/1046625927.db2.gz OABDVRFFENENGX-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nc(C)c3ccccc3n2)C1 ZINC000532943542 1046629438 /nfs/dbraw/zinc/62/94/38/1046629438.db2.gz RFLDAWRAVMKHEH-QGZVFWFLSA-N 0 3 317.436 4.236 20 0 DIADHN CC[C@@H]1CCCN1Cc1csc(-c2cccc(OC)c2)n1 ZINC000532965472 1046630895 /nfs/dbraw/zinc/63/08/95/1046630895.db2.gz CACRJNPAALQEAD-OAHLLOKOSA-N 0 3 302.443 4.193 20 0 DIADHN Cn1cccc1C[N@H+](C)Cc1cc(-c2ccccc2)ccc1[O-] ZINC000093584503 1046633709 /nfs/dbraw/zinc/63/37/09/1046633709.db2.gz ZGDNXONXAPUIEI-UHFFFAOYSA-N 0 3 306.409 4.030 20 0 DIADHN Cn1cccc1C[N@@H+](C)Cc1cc(-c2ccccc2)ccc1[O-] ZINC000093584503 1046633712 /nfs/dbraw/zinc/63/37/12/1046633712.db2.gz ZGDNXONXAPUIEI-UHFFFAOYSA-N 0 3 306.409 4.030 20 0 DIADHN CN(Cc1cccn1C)Cc1cc(-c2ccccc2)ccc1O ZINC000093584503 1046633713 /nfs/dbraw/zinc/63/37/13/1046633713.db2.gz ZGDNXONXAPUIEI-UHFFFAOYSA-N 0 3 306.409 4.030 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccccc3)C[C@@H]2C)nc2ccccc12 ZINC000532977713 1046633781 /nfs/dbraw/zinc/63/37/81/1046633781.db2.gz GYAKCQQOXZXDPE-MAUKXSAKSA-N 0 3 317.436 4.316 20 0 DIADHN CCOc1ccc2[nH]cc(CN(C)Cc3cccs3)c2c1 ZINC001140270942 1046635692 /nfs/dbraw/zinc/63/56/92/1046635692.db2.gz UDHYIPSTMYZUQZ-UHFFFAOYSA-N 0 3 300.427 4.260 20 0 DIADHN CCOc1ccc2[nH]cc(CN(C)Cc3ccsc3)c2c1 ZINC001140270467 1046635786 /nfs/dbraw/zinc/63/57/86/1046635786.db2.gz INCWWLUFBFCKRL-UHFFFAOYSA-N 0 3 300.427 4.260 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnccc2C)cc1Cl ZINC000678426982 1046636313 /nfs/dbraw/zinc/63/63/13/1046636313.db2.gz LSZQOUSDQUFCFM-STQMWFEESA-N 0 3 304.821 4.464 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc(Br)cs1 ZINC000834619774 1046639594 /nfs/dbraw/zinc/63/95/94/1046639594.db2.gz LYLCJILQACKHHQ-AEJSXWLSSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cccc3c2OCCO3)c2sccc21 ZINC000834650461 1046645067 /nfs/dbraw/zinc/64/50/67/1046645067.db2.gz LYFHLYYXFOSAEH-DOMZBBRYSA-N 0 3 315.438 4.248 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2ccc(C3CC3)cc2)c1 ZINC000678482464 1046645141 /nfs/dbraw/zinc/64/51/41/1046645141.db2.gz HPERLBIVFKEEBY-UHFFFAOYSA-N 0 3 309.413 4.270 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000834673936 1046647785 /nfs/dbraw/zinc/64/77/85/1046647785.db2.gz XTOBFJUAMFSXGH-GUBZILKMSA-N 0 3 305.285 4.381 20 0 DIADHN CCC[C@@H](C)N[C@@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000643202994 1046648712 /nfs/dbraw/zinc/64/87/12/1046648712.db2.gz WOANJUIMGFDWPC-VQIMIIECSA-N 0 3 310.441 4.453 20 0 DIADHN Clc1cccc(C2CC(NCc3nc4c(s3)CCC4)C2)c1 ZINC000678498070 1046648938 /nfs/dbraw/zinc/64/89/38/1046648938.db2.gz YZLIBKUSOOKXLJ-UHFFFAOYSA-N 0 3 318.873 4.321 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678499422 1046650165 /nfs/dbraw/zinc/65/01/65/1046650165.db2.gz FXEJUYMBNXOQOS-FZKQIMNGSA-N 0 3 319.807 4.195 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678499423 1046650633 /nfs/dbraw/zinc/65/06/33/1046650633.db2.gz FXEJUYMBNXOQOS-SCLBCKFNSA-N 0 3 319.807 4.195 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000678535165 1046652399 /nfs/dbraw/zinc/65/23/99/1046652399.db2.gz QPWVLJADIHEKNR-FZMZJTMJSA-N 0 3 305.809 4.153 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000678541700 1046653981 /nfs/dbraw/zinc/65/39/81/1046653981.db2.gz KCFBVSSDINVXEM-GHTZIAJQSA-N 0 3 323.484 4.268 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CN1CC(C2CC2)C1 ZINC000643345708 1046660995 /nfs/dbraw/zinc/66/09/95/1046660995.db2.gz HRXGHRUHEVAICG-UHFFFAOYSA-N 0 3 303.352 4.283 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccccc3)[nH]2)c2sccc21 ZINC000834741208 1046661231 /nfs/dbraw/zinc/66/12/31/1046661231.db2.gz KTGDCWVJKZOERK-IUODEOHRSA-N 0 3 324.453 4.261 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1ccc(C)cc1C ZINC000678581697 1046661305 /nfs/dbraw/zinc/66/13/05/1046661305.db2.gz XEVPVLBZMFRLMJ-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN FC(F)(F)CCN1CCC[C@@H](COc2ccccc2Cl)C1 ZINC000533148130 1046662080 /nfs/dbraw/zinc/66/20/80/1046662080.db2.gz SRWFPNWBPYANRS-GFCCVEGCSA-N 0 3 321.770 4.383 20 0 DIADHN CCN(Cc1c[nH]c2ccc(F)cc12)Cc1cccc(F)c1 ZINC001137341356 1046662440 /nfs/dbraw/zinc/66/24/40/1046662440.db2.gz JRXXHUGYODXMSZ-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(OCC(F)F)c2)c(C)n1 ZINC000678583515 1046662460 /nfs/dbraw/zinc/66/24/60/1046662460.db2.gz UWMXRBLAZANYRH-ZDUSSCGKSA-N 0 3 320.383 4.193 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@H](C)c2nc(C)sc2C)c1 ZINC000678585395 1046663130 /nfs/dbraw/zinc/66/31/30/1046663130.db2.gz DVDPMQMXLYANGC-VXGBXAGGSA-N 0 3 304.459 4.050 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2ccccc2C(C)(C)C)s1 ZINC000678584149 1046663471 /nfs/dbraw/zinc/66/34/71/1046663471.db2.gz MIPXLVQQKWFHIX-ZDUSSCGKSA-N 0 3 318.486 4.479 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1c2ccccc2C[C@H]1C ZINC000678585704 1046664040 /nfs/dbraw/zinc/66/40/40/1046664040.db2.gz JHVNAPHGGXDFHC-FMEYXAORSA-N 0 3 309.409 4.042 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@@H]1c3ccccc3C[C@@H]1C)CCO2 ZINC000678585798 1046664303 /nfs/dbraw/zinc/66/43/03/1046664303.db2.gz LTMUAVQTJWHMLU-ZKYUUJBMSA-N 0 3 323.436 4.432 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2cccc(C(C)(C)C)c2)s1 ZINC000678588746 1046664322 /nfs/dbraw/zinc/66/43/22/1046664322.db2.gz VCWJNNGFJMIMSE-CYBMUJFWSA-N 0 3 318.486 4.479 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1c2ccccc2C[C@@H]1C ZINC000678585706 1046664401 /nfs/dbraw/zinc/66/44/01/1046664401.db2.gz JHVNAPHGGXDFHC-SOVGHPHASA-N 0 3 309.409 4.042 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN1CC[C@H](C)[C@H](F)C1 ZINC000643376257 1046664801 /nfs/dbraw/zinc/66/48/01/1046664801.db2.gz KJCARBMBKKSYTD-DOTOQJQBSA-N 0 3 317.452 4.195 20 0 DIADHN CC(C)(CO)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000678601516 1046665038 /nfs/dbraw/zinc/66/50/38/1046665038.db2.gz BNZPGFCHYMVBCZ-SECBINFHSA-N 0 3 308.274 4.040 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC000678594517 1046667630 /nfs/dbraw/zinc/66/76/30/1046667630.db2.gz HZGNWYKBWAVBOC-AUUYWEPGSA-N 0 3 317.404 4.181 20 0 DIADHN C[C@H](NC[C@H]1CCC=CO1)c1ccc(Oc2ccccc2)cn1 ZINC000834773067 1046667916 /nfs/dbraw/zinc/66/79/16/1046667916.db2.gz BFXLYWBGONABME-DOTOQJQBSA-N 0 3 310.397 4.217 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC000678594520 1046667906 /nfs/dbraw/zinc/66/79/06/1046667906.db2.gz HZGNWYKBWAVBOC-LIRRHRJNSA-N 0 3 317.404 4.181 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CC1)c1nc(Br)cs1 ZINC000834770842 1046668102 /nfs/dbraw/zinc/66/81/02/1046668102.db2.gz ZFFQETLRUAHNQB-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1cnc(C)s1)CCC2 ZINC000678594590 1046669412 /nfs/dbraw/zinc/66/94/12/1046669412.db2.gz MPPRWKDPPNXKFR-MEDUHNTESA-N 0 3 302.443 4.188 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N(C)CC1CCCCC1 ZINC000533222609 1046671340 /nfs/dbraw/zinc/67/13/40/1046671340.db2.gz HVABQJTTZUFLHQ-GFCCVEGCSA-N 0 3 305.447 4.371 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc(-c2cncnc2)cc1 ZINC001140356257 1046671342 /nfs/dbraw/zinc/67/13/42/1046671342.db2.gz WDGLVNOKAVDSRM-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc(-c2cncnc2)cc1 ZINC001140353404 1046672370 /nfs/dbraw/zinc/67/23/70/1046672370.db2.gz GPHRSBIAWWKABX-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4ccccc4sc32)ncn1 ZINC000678606575 1046677200 /nfs/dbraw/zinc/67/72/00/1046677200.db2.gz QBLYKDZNAVHZKO-INIZCTEOSA-N 0 3 309.438 4.167 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)C2CCC2)nnn1-c1cccc(Cl)c1 ZINC000678608122 1046677301 /nfs/dbraw/zinc/67/73/01/1046677301.db2.gz YSFVVCDUZXGEHX-VXGBXAGGSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)c(C)s1 ZINC000678606909 1046677485 /nfs/dbraw/zinc/67/74/85/1046677485.db2.gz XYVPHDFGSYDLAY-SCLBCKFNSA-N 0 3 300.471 4.202 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccn3)cc2)CCC1(F)F ZINC001140377207 1046677512 /nfs/dbraw/zinc/67/75/12/1046677512.db2.gz RSGSPCOMNBCIOZ-CQSZACIVSA-N 0 3 302.368 4.226 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1c2ccccc2CC[C@@H]1C ZINC000678609177 1046678206 /nfs/dbraw/zinc/67/82/06/1046678206.db2.gz HEYYPJPLIDWICW-ADLFWFRXSA-N 0 3 323.436 4.432 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3ccc(F)cc3F)CC2)c(C)s1 ZINC000678609162 1046678854 /nfs/dbraw/zinc/67/88/54/1046678854.db2.gz GRKMNSOLISAXKG-SNVBAGLBSA-N 0 3 322.424 4.421 20 0 DIADHN CCC[C@H](CCO)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000152520115 1046679110 /nfs/dbraw/zinc/67/91/10/1046679110.db2.gz MENDGTSKTRGQHB-LSDHHAIUSA-N 0 3 318.486 4.259 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccn3)cc2)CC(C)(C)O1 ZINC001140381483 1046679602 /nfs/dbraw/zinc/67/96/02/1046679602.db2.gz BRFKWESWWYDYRF-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cnc4ccc(C)cn34)cc2c1C ZINC000533255518 1046680179 /nfs/dbraw/zinc/68/01/79/1046680179.db2.gz GCMXCRFRZFUPQG-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CCC[C@H](C)CC1 ZINC000533247159 1046681246 /nfs/dbraw/zinc/68/12/46/1046681246.db2.gz SVYMRTTWMAWOOD-HOTGVXAUSA-N 0 3 316.489 4.260 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3C[C@@H](C)CC(C)(C)C3)o2)c1 ZINC000784347178 1046682628 /nfs/dbraw/zinc/68/26/28/1046682628.db2.gz ADMDNJIPBIPZFI-GJZGRUSLSA-N 0 3 313.445 4.474 20 0 DIADHN CN(Cc1ccc2n[nH]cc2c1)Cc1ccccc1C(F)(F)F ZINC001140386883 1046683926 /nfs/dbraw/zinc/68/39/26/1046683926.db2.gz WOJWMSYMGRSTSA-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CC(C)(C)C)c(Cl)c1 ZINC000533278398 1046684214 /nfs/dbraw/zinc/68/42/14/1046684214.db2.gz WBFSYXSNAFGVOC-CYBMUJFWSA-N 0 3 310.869 4.262 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N(C)C[C@H](C)c2ccccc2)c1C ZINC000533263302 1046685238 /nfs/dbraw/zinc/68/52/38/1046685238.db2.gz UWYMZYAOSBJTOJ-WMZOPIPTSA-N 0 3 324.468 4.366 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137342633 1046686195 /nfs/dbraw/zinc/68/61/95/1046686195.db2.gz GFMBKRLMVMEBGX-HNNXBMFYSA-N 0 3 322.383 4.012 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N(C)C[C@@H]3CC=CCC3)o2)c1 ZINC000784390885 1046688222 /nfs/dbraw/zinc/68/82/22/1046688222.db2.gz LIUOKOYNSPGMGF-JKSUJKDBSA-N 0 3 311.429 4.394 20 0 DIADHN Brc1ccc2oc(CNC[C@H]3CC=CCC3)nc2c1 ZINC000643885146 1046688378 /nfs/dbraw/zinc/68/83/78/1046688378.db2.gz IOGSDDNYTQJAER-NSHDSACASA-N 0 3 321.218 4.036 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc3[nH]ccc3c2)o1 ZINC000643890295 1046689135 /nfs/dbraw/zinc/68/91/35/1046689135.db2.gz DBDUYABTKGTFOX-GOSISDBHSA-N 0 3 310.397 4.244 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@H](C(C)C)CC1 ZINC000533280571 1046690059 /nfs/dbraw/zinc/69/00/59/1046690059.db2.gz GNTCEDVOHHIQNZ-MSOLQXFVSA-N 0 3 316.489 4.389 20 0 DIADHN CC(C)(CN1CCC[C@H](OCC(F)(F)F)C1)c1ccccc1 ZINC000678728295 1046691361 /nfs/dbraw/zinc/69/13/61/1046691361.db2.gz DAVRRSQJLSGRNR-HNNXBMFYSA-N 0 3 315.379 4.008 20 0 DIADHN Cn1cnc2cc(CNCc3ccc(OC(C)(C)C)cc3)ccc21 ZINC000678729114 1046691846 /nfs/dbraw/zinc/69/18/46/1046691846.db2.gz XVUBKTYNZFWIEN-UHFFFAOYSA-N 0 3 323.440 4.040 20 0 DIADHN CCN(C(=O)CN[C@H](C)c1ccc(C)c(C)c1)c1ccccc1 ZINC000678744390 1046692663 /nfs/dbraw/zinc/69/26/63/1046692663.db2.gz HGXHBYDBJAHXOW-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCc1cccc(N(C)C)c1 ZINC000678742767 1046693333 /nfs/dbraw/zinc/69/33/33/1046693333.db2.gz QEKCGFIJLLVXDG-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN O[C@H](CCN1CCC[C@@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000795916204 1046695616 /nfs/dbraw/zinc/69/56/16/1046695616.db2.gz KDPBCPQGQKBTFJ-RTBURBONSA-N 0 3 317.379 4.225 20 0 DIADHN C[C@@]1(CN[C@@H](c2ncc[nH]2)C2CCCCC2)CC1(Cl)Cl ZINC000834884587 1046696538 /nfs/dbraw/zinc/69/65/38/1046696538.db2.gz NVAABOPLBNVXPK-OCCSQVGLSA-N 0 3 316.276 4.205 20 0 DIADHN C[C@@]1(CN[C@H](c2ncc[nH]2)C2CCCCC2)CC1(Cl)Cl ZINC000834884586 1046696785 /nfs/dbraw/zinc/69/67/85/1046696785.db2.gz NVAABOPLBNVXPK-JSGCOSHPSA-N 0 3 316.276 4.205 20 0 DIADHN Cc1csc(CN[C@@H](C)c2cnn(-c3ccccc3)c2C)c1 ZINC000834882245 1046696947 /nfs/dbraw/zinc/69/69/47/1046696947.db2.gz GYDPJJYAJHPCKZ-AWEZNQCLSA-N 0 3 311.454 4.401 20 0 DIADHN COc1ccc([C@@H](C)NC[C@]2(C)CC2(Cl)Cl)c(F)c1 ZINC000834883106 1046696978 /nfs/dbraw/zinc/69/69/78/1046696978.db2.gz CIJXLRRFPKCPQH-RNCFNFMXSA-N 0 3 306.208 4.069 20 0 DIADHN CC(C)[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccc(F)cn1 ZINC000834885085 1046698921 /nfs/dbraw/zinc/69/89/21/1046698921.db2.gz SWTUMWBGJCJMMJ-CHWSQXEVSA-N 0 3 305.224 4.091 20 0 DIADHN C[C@]1(CN[C@H]2CCCOc3ccc(F)cc32)CC1(Cl)Cl ZINC000834885221 1046698763 /nfs/dbraw/zinc/69/87/63/1046698763.db2.gz UDAGYUSFSJSVEA-GXTWGEPZSA-N 0 3 318.219 4.213 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1cnn(C2CCCC2)c1 ZINC000834885762 1046698811 /nfs/dbraw/zinc/69/88/11/1046698811.db2.gz XVEVKVQENGNOGS-BXUZGUMPSA-N 0 3 316.276 4.233 20 0 DIADHN c1ccc2sc([C@@H]3CCCN(Cc4cccnc4)C3)nc2c1 ZINC000533334790 1046699517 /nfs/dbraw/zinc/69/95/17/1046699517.db2.gz ARAZFASGWMVQNY-OAHLLOKOSA-N 0 3 309.438 4.071 20 0 DIADHN COc1c(C)cnc(CN[C@@H](CC2CC2)c2ccccc2)c1C ZINC000678775890 1046699740 /nfs/dbraw/zinc/69/97/40/1046699740.db2.gz GFFQGATXOXBTDW-SFHVURJKSA-N 0 3 310.441 4.338 20 0 DIADHN CC1(NCc2occc2Br)CCC(F)(F)CC1 ZINC000784621258 1046701484 /nfs/dbraw/zinc/70/14/84/1046701484.db2.gz HRPXZMZSMWFCQW-UHFFFAOYSA-N 0 3 308.166 4.100 20 0 DIADHN CC1=C(C)CN(Cc2cccc(SC(=O)N(C)C)c2)CC1 ZINC000796019721 1046704157 /nfs/dbraw/zinc/70/41/57/1046704157.db2.gz FHAGAYHAZLREJY-UHFFFAOYSA-N 0 3 304.459 4.002 20 0 DIADHN C[C@@H](NC1(Cc2ccc(F)cc2)CC1)c1cccnc1Cl ZINC000834897543 1046706768 /nfs/dbraw/zinc/70/67/68/1046706768.db2.gz SYINFYCMMOGJEM-GFCCVEGCSA-N 0 3 304.796 4.300 20 0 DIADHN Cn1cnc2cc(CN3CC(C)(C)[C@H]3c3ccccc3)ccc21 ZINC000678819757 1046709902 /nfs/dbraw/zinc/70/99/02/1046709902.db2.gz WRKDKJSDBADFJC-LJQANCHMSA-N 0 3 305.425 4.156 20 0 DIADHN Fc1cc(C2CC2)ccc1CN[C@@H]1CCN(c2ccccc2)C1 ZINC000644407564 1046712778 /nfs/dbraw/zinc/71/27/78/1046712778.db2.gz SQIXQIBNVPGQGJ-GOSISDBHSA-N 0 3 310.416 4.072 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1n[nH]c2ccccc12 ZINC000644436148 1046712900 /nfs/dbraw/zinc/71/29/00/1046712900.db2.gz AWOXBGDYQAVAFT-GFCCVEGCSA-N 0 3 317.845 4.445 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1[nH]nc2ccccc21 ZINC000644436148 1046712912 /nfs/dbraw/zinc/71/29/12/1046712912.db2.gz AWOXBGDYQAVAFT-GFCCVEGCSA-N 0 3 317.845 4.445 20 0 DIADHN C[C@@H]1CN(Cc2nc3c(s2)CCC3)CC[C@H]1c1ccccc1 ZINC000678835752 1046716435 /nfs/dbraw/zinc/71/64/35/1046716435.db2.gz ZUVLGHWPMWHKGQ-GDBMZVCRSA-N 0 3 312.482 4.257 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2ccc(C)nc2)cc1Cl ZINC000796697015 1046717743 /nfs/dbraw/zinc/71/77/43/1046717743.db2.gz UOZWMMUHJAMKNX-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CC(C)N(Cc1cnc2ccc(Cl)cn12)Cc1ccccc1 ZINC001140426483 1046718496 /nfs/dbraw/zinc/71/84/96/1046718496.db2.gz ORBRPMIMIACSIK-UHFFFAOYSA-N 0 3 313.832 4.398 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N(C)CC1CCC1 ZINC000533440356 1046719687 /nfs/dbraw/zinc/71/96/87/1046719687.db2.gz OXXNCKCBNRCBOQ-GJZGRUSLSA-N 0 3 302.462 4.259 20 0 DIADHN CCC(C)(C)OCCN[C@@H](C)c1cnn(-c2ccccc2)c1C ZINC000834916412 1046720278 /nfs/dbraw/zinc/72/02/78/1046720278.db2.gz XXNSGTXASNEUFY-HNNXBMFYSA-N 0 3 315.461 4.037 20 0 DIADHN CC(C)OC1CCN(Cc2c[nH]c3cccc(Cl)c23)CC1 ZINC001140436950 1046723724 /nfs/dbraw/zinc/72/37/24/1046723724.db2.gz WQVWOXSUSBEEJW-UHFFFAOYSA-N 0 3 306.837 4.211 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3nc(Cl)ccc3C)C2)cc1 ZINC001140446569 1046725494 /nfs/dbraw/zinc/72/54/94/1046725494.db2.gz KUFPWWJHCVJFMG-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN Clc1cccc2[nH]cc(CN3CCC4(CCOCC4)CC3)c21 ZINC001140446700 1046726790 /nfs/dbraw/zinc/72/67/90/1046726790.db2.gz LSYYHSMIGKIBTE-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3nc(Cl)ccc3C)C2)c1 ZINC001140448539 1046727719 /nfs/dbraw/zinc/72/77/19/1046727719.db2.gz LJHNOUDXENKHRR-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CC[C@H](NCc1nc(-c2cccs2)no1)C1CCCCC1 ZINC000678895749 1046727977 /nfs/dbraw/zinc/72/79/77/1046727977.db2.gz UFUPHGPXMCTRAE-ZDUSSCGKSA-N 0 3 305.447 4.247 20 0 DIADHN CC(C)CC[C@H](NCc1nc(-c2ccccn2)no1)C(C)(C)C ZINC000678898953 1046728165 /nfs/dbraw/zinc/72/81/65/1046728165.db2.gz TVDZZQDAGWUMRP-HNNXBMFYSA-N 0 3 316.449 4.072 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cccc(CO)c2)cc1Cl ZINC000796760238 1046728046 /nfs/dbraw/zinc/72/80/46/1046728046.db2.gz BHNRSRWFEURSNN-CYBMUJFWSA-N 0 3 319.832 4.000 20 0 DIADHN CC(C)C(NCc1nc(-c2cccc(Cl)c2)no1)C(C)C ZINC000678902396 1046729924 /nfs/dbraw/zinc/72/99/24/1046729924.db2.gz ZIQKIWCCPGSEGG-UHFFFAOYSA-N 0 3 307.825 4.160 20 0 DIADHN CC[C@@H]1CCCCN1Cc1c[nH]c2ncc(Br)cc12 ZINC001140459773 1046733578 /nfs/dbraw/zinc/73/35/78/1046733578.db2.gz NQFJPAIUYVLWAR-CYBMUJFWSA-N 0 3 322.250 4.090 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1c[nH]c2ncc(Br)cc12 ZINC001140457790 1046734148 /nfs/dbraw/zinc/73/41/48/1046734148.db2.gz NZXPXRIKYSYRGU-QWRGUYRKSA-N 0 3 322.250 4.088 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccccc3)[C@@H]2C)nc2ccccc12 ZINC000533484588 1046737168 /nfs/dbraw/zinc/73/71/68/1046737168.db2.gz PZQKWOXOAGTJAS-QFBILLFUSA-N 0 3 317.436 4.316 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccc(F)cc3C)CC2)o1 ZINC000644703230 1046738074 /nfs/dbraw/zinc/73/80/74/1046738074.db2.gz IIFBTGRFLLEGIQ-UHFFFAOYSA-N 0 3 302.393 4.119 20 0 DIADHN CC[C@@H](NCc1ccc(O)cc1)c1ccccc1OC(F)F ZINC000237010804 1046748935 /nfs/dbraw/zinc/74/89/35/1046748935.db2.gz IXNCNNJXCJFHJL-OAHLLOKOSA-N 0 3 307.340 4.235 20 0 DIADHN C[C@@H](CCNCc1nc2c(s1)CCCC2)c1ccccc1 ZINC000678993466 1046750483 /nfs/dbraw/zinc/75/04/83/1046750483.db2.gz VTWXGYHZGSBVMR-AWEZNQCLSA-N 0 3 300.471 4.305 20 0 DIADHN CCc1nn(C)cc1CN[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000678971340 1046750956 /nfs/dbraw/zinc/75/09/56/1046750956.db2.gz TYUKOOBOZDAICL-KUHUBIRLSA-N 0 3 323.484 4.372 20 0 DIADHN CCN(C/C=C\c1ccccc1)Cc1ccc(OC)c(OC)c1 ZINC000645034561 1046759900 /nfs/dbraw/zinc/75/99/00/1046759900.db2.gz QBAYMZALDRBVPN-FLIBITNWSA-N 0 3 311.425 4.239 20 0 DIADHN C[C@H](NCc1ccc(-c2c(F)cccc2F)o1)[C@@H]1CCCCO1 ZINC000679028878 1046760293 /nfs/dbraw/zinc/76/02/93/1046760293.db2.gz ANBBERKPWAUYPD-LRDDRELGSA-N 0 3 321.367 4.272 20 0 DIADHN C[C@@H](NCCCCCCOc1ccc(Cl)cc1)c1ncc[nH]1 ZINC000796943120 1046760268 /nfs/dbraw/zinc/76/02/68/1046760268.db2.gz KDPYCFJOHVURSN-CQSZACIVSA-N 0 3 321.852 4.353 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1ccc2cccnc2c1 ZINC000679027852 1046760986 /nfs/dbraw/zinc/76/09/86/1046760986.db2.gz GSVIOOVKAIPFTL-GOSISDBHSA-N 0 3 322.383 4.377 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)[C@H](C)CC1CCCCC1 ZINC001140515245 1046761085 /nfs/dbraw/zinc/76/10/85/1046761085.db2.gz YCROIPYJLZZZTQ-GOSISDBHSA-N 0 3 322.537 4.317 20 0 DIADHN Cc1csc(C2(N[C@@H]3Cc4ccc(Cl)cc4C3)CCC2)n1 ZINC000679024329 1046761210 /nfs/dbraw/zinc/76/12/10/1046761210.db2.gz YLUBKKFBWRFPLA-OAHLLOKOSA-N 0 3 318.873 4.241 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C[C@H]2CCCc3ccccc32)c1 ZINC000520801551 1046764670 /nfs/dbraw/zinc/76/46/70/1046764670.db2.gz DZQJYGQCUAELBT-GOSISDBHSA-N 0 3 322.452 4.197 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1cccc(C(C)=O)c1O ZINC001211996341 1046765062 /nfs/dbraw/zinc/76/50/62/1046765062.db2.gz WPLWDZZURJSOHP-UHFFFAOYSA-N 0 3 312.413 4.180 20 0 DIADHN C[C@@H](c1nc(-c2cccnc2)no1)N1CCC[C@]2(CC=CCC2)C1 ZINC000246299586 1046766957 /nfs/dbraw/zinc/76/69/57/1046766957.db2.gz BWPZBDIWUGTOFQ-HNAYVOBHSA-N 0 3 324.428 4.015 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1nc2ccc(Cl)cc2n1C ZINC000679074617 1046769232 /nfs/dbraw/zinc/76/92/32/1046769232.db2.gz UDQUPPLETYDZMO-GXSJLCMTSA-N 0 3 313.779 4.065 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@H](C)c2cc(F)ccc2F)cc1 ZINC000797059640 1046781135 /nfs/dbraw/zinc/78/11/35/1046781135.db2.gz KFLNKHNIKXBVNT-FHSNZYRGSA-N 0 3 305.368 4.046 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000797057014 1046782083 /nfs/dbraw/zinc/78/20/83/1046782083.db2.gz DPLXEMFSPHHZKH-AGRHKRQWSA-N 0 3 319.395 4.436 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCCO[C@H](C(C)C)C1 ZINC000645276093 1046783197 /nfs/dbraw/zinc/78/31/97/1046783197.db2.gz FMFAQJXKWAHOEY-IBGZPJMESA-N 0 3 304.478 4.014 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@H](C)c2ccc(F)cc2F)cc1 ZINC000797061759 1046783247 /nfs/dbraw/zinc/78/32/47/1046783247.db2.gz ORRBJKVWYNWARP-FHSNZYRGSA-N 0 3 305.368 4.046 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@@H](C)c2cccc(F)c2F)cc1 ZINC000797064305 1046785598 /nfs/dbraw/zinc/78/55/98/1046785598.db2.gz STTWLEQQEGRZOU-VEVIJQCQSA-N 0 3 305.368 4.046 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc(O)c(C)c2)c1 ZINC001140579151 1046790190 /nfs/dbraw/zinc/79/01/90/1046790190.db2.gz MPGOPSYQHSJTMC-LJQANCHMSA-N 0 3 311.425 4.436 20 0 DIADHN CSc1ccccc1NC(=O)CCN(C)[C@@H](C)c1ccco1 ZINC000069067373 1046791322 /nfs/dbraw/zinc/79/13/22/1046791322.db2.gz PPVPTVZUJICGCP-ZDUSSCGKSA-N 0 3 318.442 4.023 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2C[C@H](c3ccc(F)cc3)C2)c(C)s1 ZINC000645474361 1046794792 /nfs/dbraw/zinc/79/47/92/1046794792.db2.gz ISXRUFQTCQRNKF-QSGSBWRWSA-N 0 3 304.434 4.496 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@H](Sc2ccncc2)C1 ZINC001140592925 1046799540 /nfs/dbraw/zinc/79/95/40/1046799540.db2.gz HAUPNUTXLMLGAI-SFHVURJKSA-N 0 3 316.445 4.286 20 0 DIADHN C[C@H](CCCC(F)(F)F)OC(=O)c1cccc(CN(C)C)c1 ZINC000835320271 1046800742 /nfs/dbraw/zinc/80/07/42/1046800742.db2.gz ZRPFQBIPTHEILN-GFCCVEGCSA-N 0 3 317.351 4.026 20 0 DIADHN CC[C@H](NCc1ccc(CF)cc1)c1ccc(OC)c(OC)c1 ZINC000796256794 1046802596 /nfs/dbraw/zinc/80/25/96/1046802596.db2.gz SQBZRMRJXHXXFZ-KRWDZBQOSA-N 0 3 317.404 4.414 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@@H](c2nc(C(C)C)no2)C1 ZINC001140594775 1046803499 /nfs/dbraw/zinc/80/34/99/1046803499.db2.gz NSHYYCMOFVXPAV-CQSZACIVSA-N 0 3 317.408 4.020 20 0 DIADHN C[C@@H]1C[C@@H](CN(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC000679325716 1046808803 /nfs/dbraw/zinc/80/88/03/1046808803.db2.gz OTBINDYQGVPZCP-NEPJUHHUSA-N 0 3 302.245 4.240 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(C(=O)NC(C)C)cc2)cc1 ZINC001140619015 1046815164 /nfs/dbraw/zinc/81/51/64/1046815164.db2.gz IPAXQOUBLQEGTN-UHFFFAOYSA-N 0 3 324.468 4.019 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H]1c2cc(C)ccc2C[C@@H]1C ZINC000679337609 1046815265 /nfs/dbraw/zinc/81/52/65/1046815265.db2.gz XZORFVCDGRMJCV-IFXJQAMLSA-N 0 3 310.441 4.039 20 0 DIADHN FC(F)(F)c1csc([C@@H]2CCCN(CC3=CCCC3)C2)n1 ZINC000645837569 1046822786 /nfs/dbraw/zinc/82/27/86/1046822786.db2.gz MKTYMZDNZUIAAX-GFCCVEGCSA-N 0 3 316.392 4.452 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1ccccn1)[C@@H]1CCCCO1 ZINC000679354928 1046823341 /nfs/dbraw/zinc/82/33/41/1046823341.db2.gz OAYSOAZCPMEWBS-PMACEKPBSA-N 0 3 324.468 4.356 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1ccccn1)[C@H]1CCCCO1 ZINC000679354929 1046824255 /nfs/dbraw/zinc/82/42/55/1046824255.db2.gz OAYSOAZCPMEWBS-UXHICEINSA-N 0 3 324.468 4.356 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(C(C)(C)C)[nH]2)C1 ZINC001137371048 1046827469 /nfs/dbraw/zinc/82/74/69/1046827469.db2.gz XRXPXRWHGFXRFL-HNNXBMFYSA-N 0 3 312.457 4.310 20 0 DIADHN Oc1ccc(CN2CC=C(c3c[nH]c4cc(F)ccc34)CC2)cc1 ZINC000679393714 1046835958 /nfs/dbraw/zinc/83/59/58/1046835958.db2.gz DMSHMGZNLSETGV-UHFFFAOYSA-N 0 3 322.383 4.302 20 0 DIADHN Fc1cccc(C2(NCc3ccc(-n4ccnc4)cc3)CCC2)c1 ZINC000646002289 1046840551 /nfs/dbraw/zinc/84/05/51/1046840551.db2.gz COMCMHHKOIQJMO-UHFFFAOYSA-N 0 3 321.399 4.180 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1ccc3cccnc3c1)CCC2 ZINC000679484247 1046846322 /nfs/dbraw/zinc/84/63/22/1046846322.db2.gz KDDBQKADGHKSEA-HNNXBMFYSA-N 0 3 309.438 4.167 20 0 DIADHN Cc1csc(CCNCc2ccc(Oc3ccccc3)o2)n1 ZINC000679476803 1046846530 /nfs/dbraw/zinc/84/65/30/1046846530.db2.gz NQAAPEYDDOJEEO-UHFFFAOYSA-N 0 3 314.410 4.169 20 0 DIADHN COc1ccc(CN2CCC(Oc3ccccc3)CC2)c(C)c1 ZINC001140673150 1046846546 /nfs/dbraw/zinc/84/65/46/1046846546.db2.gz AQDFFHDDTAILQZ-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN FCC[C@@H]1CCCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000827271331 1046864790 /nfs/dbraw/zinc/86/47/90/1046864790.db2.gz MKKGKFMXEFNZAC-NSHDSACASA-N 0 3 307.381 4.307 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC(c3ccccn3)CC2)c1 ZINC000646065658 1046851058 /nfs/dbraw/zinc/85/10/58/1046851058.db2.gz QGDDBQYYTUBZEA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CN(Cc1cc(C(C)(C)C)c(O)c(C(C)(C)C)c1)[C@H]1CCOC1 ZINC001140694533 1046852992 /nfs/dbraw/zinc/85/29/92/1046852992.db2.gz RRIKSMJKMUKZQJ-HNNXBMFYSA-N 0 3 319.489 4.208 20 0 DIADHN COc1ccc([C@H](C)N(C)C[C@]2(C)CC2(Cl)Cl)cc1 ZINC000827287659 1046883578 /nfs/dbraw/zinc/88/35/78/1046883578.db2.gz OATBIAPNJFKZRE-FZMZJTMJSA-N 0 3 302.245 4.272 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc(-n2cccn2)cc1 ZINC000679544690 1046865648 /nfs/dbraw/zinc/86/56/48/1046865648.db2.gz HLIKLFVUZDQIHK-HIFRSBDPSA-N 0 3 323.362 4.035 20 0 DIADHN CCCN(C(=O)Nc1c(C)cccc1C(C)C)[C@H]1CCN(C)C1 ZINC000646169436 1046870135 /nfs/dbraw/zinc/87/01/35/1046870135.db2.gz ZNALAIAEWWPGRM-INIZCTEOSA-N 0 3 317.477 4.066 20 0 DIADHN Clc1ncc2ccccc2c1CN1CCc2ccccc2CC1 ZINC001140721874 1046873848 /nfs/dbraw/zinc/87/38/48/1046873848.db2.gz CMFRTCQFLUSTCV-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN COc1ccc(CN2C[C@H](C(F)(F)F)CC[C@H]2C)cc1C ZINC000679582822 1046878215 /nfs/dbraw/zinc/87/82/15/1046878215.db2.gz ACPHKGZGNOFDBK-TZMCWYRMSA-N 0 3 301.352 4.166 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]1/C=C\c1ccccc1 ZINC000679582670 1046878546 /nfs/dbraw/zinc/87/85/46/1046878546.db2.gz LGZPJYMMDFYLTN-CLEWGBKMSA-N 0 3 320.436 4.323 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(c3ccc(O)cc3)CC2)[nH]1 ZINC001137379356 1046881870 /nfs/dbraw/zinc/88/18/70/1046881870.db2.gz QZEDJBFNCDFMFW-UHFFFAOYSA-N 0 3 312.457 4.397 20 0 DIADHN COc1c(O)cccc1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000646295253 1046882311 /nfs/dbraw/zinc/88/23/11/1046882311.db2.gz UYGSFDOCLWGHIL-HDJSIYSDSA-N 0 3 317.816 4.090 20 0 DIADHN Fc1ccc(CNCc2ccc3occc3c2)c2ncccc12 ZINC001203062635 1046882186 /nfs/dbraw/zinc/88/21/86/1046882186.db2.gz QEYGQPCMZDQRGI-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN Cn1cnc2ccc(CN3CCC[C@@H]3c3cccc(F)c3)cc21 ZINC001140740726 1046882963 /nfs/dbraw/zinc/88/29/63/1046882963.db2.gz XZGHTCNSFPQMSK-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN CC(C)CCn1cccc1CN[C@H](CCCO)c1ccccc1 ZINC000077466852 1046883728 /nfs/dbraw/zinc/88/37/28/1046883728.db2.gz CKSIVFSKJKGCBZ-HXUWFJFHSA-N 0 3 314.473 4.138 20 0 DIADHN COc1ccc([C@H](C)N(C)C[C@@]2(C)CC2(Cl)Cl)cc1 ZINC000827287661 1046884973 /nfs/dbraw/zinc/88/49/73/1046884973.db2.gz OATBIAPNJFKZRE-SMDDNHRTSA-N 0 3 302.245 4.272 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1c(C)nn(C)c1C ZINC001203063506 1046886674 /nfs/dbraw/zinc/88/66/74/1046886674.db2.gz JAAJGKSUOQDBMY-QGZVFWFLSA-N 0 3 315.486 4.096 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cc(Cl)sc1Cl ZINC000251659914 1046889033 /nfs/dbraw/zinc/88/90/33/1046889033.db2.gz HYLSPUPASLEBOO-OINDSLJFSA-N 0 3 306.258 4.273 20 0 DIADHN Cc1ccc(CN[C@@H]2c3ccccc3C[C@H]2C)c(N2CCCC2)n1 ZINC000679722771 1046894799 /nfs/dbraw/zinc/89/47/99/1046894799.db2.gz FREDSBSZMPJWLC-QRWLVFNGSA-N 0 3 321.468 4.013 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C)nc2N2CCCC2)cc1 ZINC000679723435 1046895017 /nfs/dbraw/zinc/89/50/17/1046895017.db2.gz NFCOOPQUCBZMCB-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cccnc1OC1CCN(Cc2coc3ccccc23)CC1 ZINC001140786284 1046898346 /nfs/dbraw/zinc/89/83/46/1046898346.db2.gz KKEOFNISKFSHLW-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cccc(OC2CCN(Cc3coc4ccccc34)CC2)n1 ZINC001140786122 1046899329 /nfs/dbraw/zinc/89/93/29/1046899329.db2.gz GJUHRGXYIXKMDW-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cccnc1O[C@@H]1CCCN(Cc2coc3ccccc23)C1 ZINC001140785959 1046899913 /nfs/dbraw/zinc/89/99/13/1046899913.db2.gz CCVRRYYMUSPVLW-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN CSCc1cccc(CNCc2nccc3ccccc32)c1 ZINC000614992607 1046900034 /nfs/dbraw/zinc/90/00/34/1046900034.db2.gz CJLGHTQQHASIOW-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccc(NC(C)=O)cc1)c1ccccn1 ZINC000679767983 1046901844 /nfs/dbraw/zinc/90/18/44/1046901844.db2.gz CTHIDMZMIXNMNA-IFXJQAMLSA-N 0 3 311.429 4.232 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C)cc2Cl)C[C@H]1C ZINC001140800130 1046903766 /nfs/dbraw/zinc/90/37/66/1046903766.db2.gz WFQKUWYYOKQKDU-CABCVRRESA-N 0 3 323.864 4.060 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3ccccn3)C2)c(Cl)c1 ZINC001140798369 1046905013 /nfs/dbraw/zinc/90/50/13/1046905013.db2.gz KNJKGOYQOPOURZ-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccncc3)CC2)c(Cl)c1 ZINC001140800401 1046905057 /nfs/dbraw/zinc/90/50/57/1046905057.db2.gz FYLHFIWFWSDINR-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@]1(CN2CC=C(c3ccc(O)cc3)CC2)CC1(Cl)Cl ZINC000827333055 1046906343 /nfs/dbraw/zinc/90/63/43/1046906343.db2.gz XBSOJIFUMHUXCK-OAHLLOKOSA-N 0 3 312.240 4.065 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@@H](c1ccccc1)C(C)C ZINC000679835225 1046907369 /nfs/dbraw/zinc/90/73/69/1046907369.db2.gz GTLDCPZJFRWQDA-HXUWFJFHSA-N 0 3 305.425 4.425 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1c[nH]c2ccncc12 ZINC001140802804 1046908184 /nfs/dbraw/zinc/90/81/84/1046908184.db2.gz FFUUKSQBYHYFLU-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN COc1cccc(CCN(C)Cc2ccc(OC)cc2Cl)c1 ZINC001140822268 1046915741 /nfs/dbraw/zinc/91/57/41/1046915741.db2.gz JKLSAQLTDFDAHJ-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000087012858 1046917294 /nfs/dbraw/zinc/91/72/94/1046917294.db2.gz VIVYIABDMWGUHE-ISVAXAHUSA-N 0 3 310.652 4.458 20 0 DIADHN CCOCCN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000161893450 1046917600 /nfs/dbraw/zinc/91/76/00/1046917600.db2.gz CPLJRNQLOCDZRK-LBPRGKRZSA-N 0 3 309.356 4.128 20 0 DIADHN CC[C@@H](C)CCCCC(=O)Nc1cc(CN(C)C)ccc1OC ZINC000680025744 1046932263 /nfs/dbraw/zinc/93/22/63/1046932263.db2.gz SKICYDHZMHJLOB-OAHLLOKOSA-N 0 3 320.477 4.302 20 0 DIADHN Cc1c[nH]c(CN2CCC(c3nc4ccccc4o3)CC2)c1C ZINC001140856827 1046932925 /nfs/dbraw/zinc/93/29/25/1046932925.db2.gz NVNLQMJMZKKVDQ-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1c[nH]c(CN2CCC3(C[C@@H](c4ccccc4)CO3)CC2)c1C ZINC001140861308 1046934322 /nfs/dbraw/zinc/93/43/22/1046934322.db2.gz JOKGSPPQXPDQCI-LJQANCHMSA-N 0 3 324.468 4.170 20 0 DIADHN CCN(Cc1[nH]cc(C)c1C)Cc1ccc(Br)cc1 ZINC001140861715 1046935715 /nfs/dbraw/zinc/93/57/15/1046935715.db2.gz MNEHIKUNPUNSLZ-UHFFFAOYSA-N 0 3 321.262 4.416 20 0 DIADHN c1cn2c(CN3CC[C@@H]3C3CC3)c(-c3ccccc3)nc2s1 ZINC000836227936 1046936763 /nfs/dbraw/zinc/93/67/63/1046936763.db2.gz ROMLVNMAKPXXEV-OAHLLOKOSA-N 0 3 309.438 4.047 20 0 DIADHN CCc1nnc(CN2CCC[C@H]2[C@@H](CC)c2ccccc2)s1 ZINC000680051601 1046941727 /nfs/dbraw/zinc/94/17/27/1046941727.db2.gz STTRBDPRNUIUQS-HOTGVXAUSA-N 0 3 315.486 4.259 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140882072 1046941728 /nfs/dbraw/zinc/94/17/28/1046941728.db2.gz OYVNNOWXQNHRBT-UHFFFAOYSA-N 0 3 313.294 4.356 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cccc(Cl)c2)cc1N ZINC001140900876 1046945743 /nfs/dbraw/zinc/94/57/43/1046945743.db2.gz CQTFWGMITNABRL-KRWDZBQOSA-N 0 3 316.832 4.268 20 0 DIADHN Fc1cccc(O[C@H]2CCN(c3ccnc4ccccc43)C2)c1 ZINC000680071119 1046946894 /nfs/dbraw/zinc/94/68/94/1046946894.db2.gz SYIVVVDDSYVXGC-INIZCTEOSA-N 0 3 308.356 4.032 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccccc2Cl)cc1N ZINC001140898906 1046946948 /nfs/dbraw/zinc/94/69/48/1046946948.db2.gz DQOPNBJQNJGGER-QGZVFWFLSA-N 0 3 316.832 4.268 20 0 DIADHN Cc1cc(CN2CCC(c3ccc4ccccc4c3)CC2)n[nH]1 ZINC001203077444 1046948146 /nfs/dbraw/zinc/94/81/46/1046948146.db2.gz YKUWQYMKBGARRB-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN C[C@H](NCC1(O)CCCC1)c1cc(F)c(Cl)cc1Cl ZINC000087015725 1046948362 /nfs/dbraw/zinc/94/83/62/1046948362.db2.gz AIBUNTSBIQLVRH-VIFPVBQESA-N 0 3 306.208 4.088 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC([C@H]2CCOC2)CC1 ZINC000680090739 1046948421 /nfs/dbraw/zinc/94/84/21/1046948421.db2.gz QBRDBWVHIOEFAF-KSSFIOAISA-N 0 3 309.400 4.164 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@H](Oc3cccc(F)c3)C2)c1 ZINC000680112887 1046948583 /nfs/dbraw/zinc/94/85/83/1046948583.db2.gz IFGFUSWGOLLVQL-LIRRHRJNSA-N 0 3 315.388 4.049 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cnccc1C(F)(F)F ZINC001140919733 1046950977 /nfs/dbraw/zinc/95/09/77/1046950977.db2.gz AYVCPAKIHKPFQX-GORDUTHDSA-N 0 3 321.346 4.031 20 0 DIADHN Cc1ccc(CNCc2ccnn2CC(C)C)c2ccccc12 ZINC000647217865 1046953974 /nfs/dbraw/zinc/95/39/74/1046953974.db2.gz JZZNHIXVPANYIC-UHFFFAOYSA-N 0 3 307.441 4.291 20 0 DIADHN C[C@@H]1C[C@@H](NCc2nccn2C(F)F)C[C@@H](c2ccccc2)C1 ZINC000162279088 1046954120 /nfs/dbraw/zinc/95/41/20/1046954120.db2.gz WTWNMHFYPBAJOE-CWRNSKLLSA-N 0 3 319.399 4.340 20 0 DIADHN c1ncc(CN2CCC(c3ccccc3)(c3ccccc3)CC2)o1 ZINC001140931214 1046954057 /nfs/dbraw/zinc/95/40/57/1046954057.db2.gz BSLNWZRTYQGEQA-UHFFFAOYSA-N 0 3 318.420 4.257 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000680189416 1046955162 /nfs/dbraw/zinc/95/51/62/1046955162.db2.gz UTZUGRYAAQBERM-QGZVFWFLSA-N 0 3 310.526 4.172 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1oc2ccccc2c1C)C(C)C ZINC000162300239 1046956040 /nfs/dbraw/zinc/95/60/40/1046956040.db2.gz QSGYGMJQEYJIFV-GOSISDBHSA-N 0 3 311.429 4.270 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cccn1-c1ccc(Cl)cc1)C2 ZINC001140941624 1046956444 /nfs/dbraw/zinc/95/64/44/1046956444.db2.gz PBAWQIVNPRUFQD-INIZCTEOSA-N 0 3 322.786 4.362 20 0 DIADHN Nc1ncc(CNC2(c3ccc(Cl)cc3)CCCCC2)s1 ZINC000261962666 1046956560 /nfs/dbraw/zinc/95/65/60/1046956560.db2.gz HLCCIBDHJFONSZ-UHFFFAOYSA-N 0 3 321.877 4.328 20 0 DIADHN CCCN(Cc1cnc(N)s1)[C@H](C)c1cc2ccccc2o1 ZINC000262095431 1046957910 /nfs/dbraw/zinc/95/79/10/1046957910.db2.gz VCLCDVGBZFJNRZ-GFCCVEGCSA-N 0 3 315.442 4.445 20 0 DIADHN CCCO[C@H]1CCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140944590 1046958087 /nfs/dbraw/zinc/95/80/87/1046958087.db2.gz KKVWEGOLAWGDFE-SFHVURJKSA-N 0 3 318.848 4.132 20 0 DIADHN C[C@@H]1SCC[C@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000647257390 1046962187 /nfs/dbraw/zinc/96/21/87/1046962187.db2.gz ASILRIHVKJFAKK-IINYFYTJSA-N 0 3 324.902 4.447 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2n[nH]c3ccccc32)c1 ZINC000680332799 1046963930 /nfs/dbraw/zinc/96/39/30/1046963930.db2.gz CTLIFISIRRZENS-VLIAUNLRSA-N 0 3 321.424 4.155 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc(-c3ncccn3)c1)CC2 ZINC001140975653 1046965756 /nfs/dbraw/zinc/96/57/56/1046965756.db2.gz NFHRNVYFMAEGFP-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000680337611 1046965945 /nfs/dbraw/zinc/96/59/45/1046965945.db2.gz SBJVDTZYXSPMAA-OKILXGFUSA-N 0 3 307.397 4.263 20 0 DIADHN CCOc1ccc(CN[C@H](CC(C)C)c2ccncc2)cc1F ZINC000647288252 1046968233 /nfs/dbraw/zinc/96/82/33/1046968233.db2.gz VSNCWUXOXBLCQF-GOSISDBHSA-N 0 3 316.420 4.496 20 0 DIADHN COc1cc(F)cc(CN[C@@H](CC(C)C)c2ccncc2)c1 ZINC000647295180 1046969311 /nfs/dbraw/zinc/96/93/11/1046969311.db2.gz BPJMKQSQWSZTAB-SFHVURJKSA-N 0 3 302.393 4.106 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@@H](C)c1cc2n(n1)CCCC2 ZINC000647300101 1046971319 /nfs/dbraw/zinc/97/13/19/1046971319.db2.gz SJDLCFNGSBODLV-AWEZNQCLSA-N 0 3 323.440 4.167 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccn3)s2)CCC1(F)F ZINC001141003391 1046974658 /nfs/dbraw/zinc/97/46/58/1046974658.db2.gz UFBDKQICHQMKOA-GFCCVEGCSA-N 0 3 308.397 4.287 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCSc1ccc(C)c(C)c1 ZINC000680381842 1046977739 /nfs/dbraw/zinc/97/77/39/1046977739.db2.gz YJLXQBVGFODZQX-ZDUSSCGKSA-N 0 3 304.459 4.351 20 0 DIADHN CC[C@H](NCCCOC(C)(C)C)c1nc(C(F)(F)F)cs1 ZINC000680401926 1046979637 /nfs/dbraw/zinc/97/96/37/1046979637.db2.gz KOFWCBNIGAVBFY-JTQLQIEISA-N 0 3 324.412 4.408 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1cc2cc(Cl)ccc2o1 ZINC000680423528 1046983374 /nfs/dbraw/zinc/98/33/74/1046983374.db2.gz JOLFKDYSTUPPKN-GFCCVEGCSA-N 0 3 315.800 4.429 20 0 DIADHN c1ccc([C@H](N[C@@H]2CCCc3cccnc32)C2CCOCC2)cc1 ZINC000798929503 1046987032 /nfs/dbraw/zinc/98/70/32/1046987032.db2.gz UZVCWJJOHJNOET-UXHICEINSA-N 0 3 322.452 4.217 20 0 DIADHN C[C@H](NCC1CCC(F)CC1)c1nc(C(F)(F)F)cs1 ZINC000647349814 1046990285 /nfs/dbraw/zinc/99/02/85/1046990285.db2.gz IFCJUOPWMHXHDZ-IDKOKCKLSA-N 0 3 310.360 4.341 20 0 DIADHN c1cn2ccc(CN3CCC(Sc4ccccc4)CC3)cc2n1 ZINC001141046590 1046991125 /nfs/dbraw/zinc/99/11/25/1046991125.db2.gz HCWRXPRQXJGZPQ-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CC[C@H]3C[C@H]3C2)c2cccnc2)c(F)c1 ZINC000647355502 1046991465 /nfs/dbraw/zinc/99/14/65/1046991465.db2.gz ZHEFCMYNHUUMQB-UETVFIHBSA-N 0 3 314.379 4.227 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccc(F)cc3F)CC2)ccn1 ZINC001137416652 1046991677 /nfs/dbraw/zinc/99/16/77/1046991677.db2.gz KMOPMFFVUZYQKH-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN CCN(Cc1ccc(Br)cc1)Cc1ccnc(C)c1 ZINC001137416633 1046992084 /nfs/dbraw/zinc/99/20/84/1046992084.db2.gz IRRRNUIFECJFLX-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1csc(-c2ccccc2)n1 ZINC000647362290 1046992761 /nfs/dbraw/zinc/99/27/61/1046992761.db2.gz ZIMJQLSMWFUOHR-SGMGOOAPSA-N 0 3 304.484 4.355 20 0 DIADHN Cc1cc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)ccn1 ZINC001137416843 1046992888 /nfs/dbraw/zinc/99/28/88/1046992888.db2.gz PPLZSGOQNVXKDD-ZDUSSCGKSA-N 0 3 300.833 4.205 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@H](C[C@@H]4CCOC4)C3)c2c1 ZINC000680623435 1046995881 /nfs/dbraw/zinc/99/58/81/1046995881.db2.gz RDQYBUFMOCYIOP-SJORKVTESA-N 0 3 310.441 4.186 20 0 DIADHN CCCCc1ncc(CN2CC[C@H](c3ccccc3Cl)C2)[nH]1 ZINC001141071685 1046998463 /nfs/dbraw/zinc/99/84/63/1046998463.db2.gz AJSPOUXMCSHWEY-AWEZNQCLSA-N 0 3 317.864 4.395 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1CCCC12CC2 ZINC001141079180 1047000281 /nfs/dbraw/zinc/00/02/81/1047000281.db2.gz WAOFRNJKDSVOBR-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CC[C@@H]3CCCCO3)nc2c1 ZINC000347400413 1047000576 /nfs/dbraw/zinc/00/05/76/1047000576.db2.gz OYVACGAOZZLSDH-HOCLYGCPSA-N 0 3 316.470 4.392 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1cnc(Cl)cn1 ZINC000799120523 1047002414 /nfs/dbraw/zinc/00/24/14/1047002414.db2.gz XLUGQDFQKWGUHF-DLBZAZTESA-N 0 3 315.848 4.288 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000799125636 1047002865 /nfs/dbraw/zinc/00/28/65/1047002865.db2.gz RIZDNLHIRVIJJP-NEPJUHHUSA-N 0 3 324.255 4.407 20 0 DIADHN C[C@@H]1CCCCCN1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141083115 1047003396 /nfs/dbraw/zinc/00/33/96/1047003396.db2.gz ICLDXQNGMKWGTP-CQSZACIVSA-N 0 3 319.449 4.193 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1cnc(Cl)cn1 ZINC000799120526 1047003718 /nfs/dbraw/zinc/00/37/18/1047003718.db2.gz XLUGQDFQKWGUHF-IAGOWNOFSA-N 0 3 315.848 4.288 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000799125637 1047004568 /nfs/dbraw/zinc/00/45/68/1047004568.db2.gz RIZDNLHIRVIJJP-NWDGAFQWSA-N 0 3 324.255 4.407 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1cccc2cccnc21 ZINC000321615782 1047005885 /nfs/dbraw/zinc/00/58/85/1047005885.db2.gz VYGGCTMDVOVEJJ-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCCCC[C@H](NCc1cnc(OC)nc1C)c1ccccc1 ZINC000799154855 1047005909 /nfs/dbraw/zinc/00/59/09/1047005909.db2.gz FRKWNUXEQFQILN-SFHVURJKSA-N 0 3 313.445 4.205 20 0 DIADHN CCC[C@H](NCc1ccc(N)nc1)c1cccc(C(F)(F)F)c1 ZINC000647550938 1047006858 /nfs/dbraw/zinc/00/68/58/1047006858.db2.gz PRTUYEDNKCDWPX-HNNXBMFYSA-N 0 3 323.362 4.144 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N(Cc1ccc(N)nc1)C1CC1 ZINC000647537845 1047007359 /nfs/dbraw/zinc/00/73/59/1047007359.db2.gz PMQVIERVPLLFKU-HNNXBMFYSA-N 0 3 317.436 4.373 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](c2ccccn2)C1 ZINC000799190951 1047008702 /nfs/dbraw/zinc/00/87/02/1047008702.db2.gz VTPQRNGBVAAIGJ-SCLBCKFNSA-N 0 3 302.368 4.300 20 0 DIADHN Clc1cccc(CCN2CC[C@@H](c3ccccn3)C2)c1Cl ZINC000799196739 1047011597 /nfs/dbraw/zinc/01/15/97/1047011597.db2.gz WLXZHLMTTPPWIQ-CQSZACIVSA-N 0 3 321.251 4.420 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cn(C)nc1C)c1ccc(Cl)cc1 ZINC000533756163 1047012065 /nfs/dbraw/zinc/01/20/65/1047012065.db2.gz KNRPYNGIDJOWHE-YVEFUNNKSA-N 0 3 305.853 4.259 20 0 DIADHN CC(C)=CCN(CCC1OCCCO1)Cc1ccc(Cl)cc1 ZINC000799199960 1047012612 /nfs/dbraw/zinc/01/26/12/1047012612.db2.gz RXKGOPIAFTWKLP-UHFFFAOYSA-N 0 3 323.864 4.261 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc(OC(C)=O)cc2)cc1 ZINC000799198772 1047012687 /nfs/dbraw/zinc/01/26/87/1047012687.db2.gz LRJDJFFMOSSTDQ-CYBMUJFWSA-N 0 3 315.438 4.185 20 0 DIADHN CC(C)(C)c1cccc(CN2CC[C@@H](Oc3cccnc3)C2)c1 ZINC001141104313 1047015487 /nfs/dbraw/zinc/01/54/87/1047015487.db2.gz ZZYZZKHAHQQJBN-LJQANCHMSA-N 0 3 310.441 4.032 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@@H](O)c1cc(F)ccc1F ZINC000799339107 1047018344 /nfs/dbraw/zinc/01/83/44/1047018344.db2.gz HDVRERYSCXMPJT-MEDUHNTESA-N 0 3 323.408 4.071 20 0 DIADHN CCOc1cc(CNC2(c3cccc(C)c3)CCC2)ccc1O ZINC000648058135 1047034216 /nfs/dbraw/zinc/03/42/16/1047034216.db2.gz RSMJQZBONFVTBV-UHFFFAOYSA-N 0 3 311.425 4.268 20 0 DIADHN COc1ccccc1-c1ncc(CN(C)CCC(C)(C)C)cn1 ZINC000648059972 1047034766 /nfs/dbraw/zinc/03/47/66/1047034766.db2.gz ZRAMQOWKSFUYOF-UHFFFAOYSA-N 0 3 313.445 4.020 20 0 DIADHN CCCOC1CCN(Cc2ccc3c(c2)CCC(C)(C)O3)CC1 ZINC001141183594 1047037775 /nfs/dbraw/zinc/03/77/75/1047037775.db2.gz ONZDSFPVUUNOAQ-UHFFFAOYSA-N 0 3 317.473 4.181 20 0 DIADHN CN(Cc1ccc(-c2ncccn2)cc1)Cc1cccc(Cl)c1 ZINC001141188431 1047040516 /nfs/dbraw/zinc/04/05/16/1047040516.db2.gz IDRYYOPXWXHNGG-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN Cc1ccc(CN2CCC(n3ncc4ccccc43)CC2)c(F)c1 ZINC001141195742 1047040707 /nfs/dbraw/zinc/04/07/07/1047040707.db2.gz BFXUJSILTXBNNJ-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccc(-c3ncccn3)cc1)C2 ZINC001141192203 1047042042 /nfs/dbraw/zinc/04/20/42/1047042042.db2.gz ROKUARMIOQKDNA-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN C[C@]1(CF)CCN(Cc2cccc(-c3ccc(F)cc3)n2)C1 ZINC001141206321 1047045720 /nfs/dbraw/zinc/04/57/20/1047045720.db2.gz WRLFHQYJARNNIG-GOSISDBHSA-N 0 3 302.368 4.069 20 0 DIADHN CSC1CCN(Cc2cccc(-c3ccc(F)cc3)n2)CC1 ZINC001141207893 1047046897 /nfs/dbraw/zinc/04/68/97/1047046897.db2.gz UBAXBZDZPPYFNK-UHFFFAOYSA-N 0 3 316.445 4.215 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H](CC)COCC1CC1 ZINC001203104504 1047046977 /nfs/dbraw/zinc/04/69/77/1047046977.db2.gz FCYVOYTVQFNSFA-HNNXBMFYSA-N 0 3 311.853 4.034 20 0 DIADHN Fc1ccc(-c2cccc(CN3CC[C@]4(CC4(F)F)C3)n2)cc1 ZINC001141207728 1047047650 /nfs/dbraw/zinc/04/76/50/1047047650.db2.gz MLBNAZIRZASYAR-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN c1c2cccnc2oc1CN1CCS[C@@H](c2ccccc2)C1 ZINC000648374264 1047049465 /nfs/dbraw/zinc/04/94/65/1047049465.db2.gz YPVQHROVQNIWAT-QGZVFWFLSA-N 0 3 310.422 4.118 20 0 DIADHN Clc1cnc2oc(CN3CCS[C@@H]4CCCC[C@H]43)cc2c1 ZINC000648378048 1047050555 /nfs/dbraw/zinc/05/05/55/1047050555.db2.gz OUJCNGPMKDCJMT-HUUCEWRRSA-N 0 3 322.861 4.341 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)Cc2ccc3[nH]cnc3c2)s1 ZINC000536660744 1047052403 /nfs/dbraw/zinc/05/24/03/1047052403.db2.gz PPWQPFPEXRCSIA-GFCCVEGCSA-N 0 3 314.458 4.083 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)Cc2ccc3nc[nH]c3c2)s1 ZINC000536660744 1047052415 /nfs/dbraw/zinc/05/24/15/1047052415.db2.gz PPWQPFPEXRCSIA-GFCCVEGCSA-N 0 3 314.458 4.083 20 0 DIADHN CC[C@@H](N[C@@H]1CCO[C@H](CC)C1)c1nc(C(F)(F)F)cs1 ZINC000648464261 1047056031 /nfs/dbraw/zinc/05/60/31/1047056031.db2.gz RAYNPCFHOONPIX-GMTAPVOTSA-N 0 3 322.396 4.160 20 0 DIADHN C[C@@H](CNCc1cc(Cl)sc1Cl)c1ccncc1 ZINC000648466228 1047056465 /nfs/dbraw/zinc/05/64/65/1047056465.db2.gz BQTDJKKXHMTFIM-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2ccc(Cl)c(C)c2)C[C@@H]1C ZINC001141236397 1047058408 /nfs/dbraw/zinc/05/84/08/1047058408.db2.gz BOKQLQOVDNFCQC-GOEBONIOSA-N 0 3 323.864 4.060 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2scnc2C)C12CCCCC2 ZINC000536681146 1047061573 /nfs/dbraw/zinc/06/15/73/1047061573.db2.gz PPBRFFRFEBYNCF-JKSUJKDBSA-N 0 3 308.491 4.011 20 0 DIADHN Clc1cnc(NC[C@@H]2CCCN(Cc3ccccc3)C2)s1 ZINC000680891732 1047062675 /nfs/dbraw/zinc/06/26/75/1047062675.db2.gz HKANHUQIKJOZOZ-AWEZNQCLSA-N 0 3 321.877 4.121 20 0 DIADHN C[C@H]1C[C@H](Nc2ncc(Cl)s2)CCN1Cc1ccccc1 ZINC000680895296 1047062808 /nfs/dbraw/zinc/06/28/08/1047062808.db2.gz JVGHMORRDPYXTA-GXTWGEPZSA-N 0 3 321.877 4.262 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000536670981 1047063342 /nfs/dbraw/zinc/06/33/42/1047063342.db2.gz FQSWLACUBQDVSR-SJORKVTESA-N 0 3 315.486 4.183 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](Nc2ncc(Cl)s2)C1 ZINC000680892827 1047063613 /nfs/dbraw/zinc/06/36/13/1047063613.db2.gz SROXSKKJGPNVEU-DGCLKSJQSA-N 0 3 307.850 4.044 20 0 DIADHN CC(C)(CNCc1cc2cc(Cl)cnc2o1)C(F)(F)F ZINC000648571132 1047069367 /nfs/dbraw/zinc/06/93/67/1047069367.db2.gz LWHPFDDBEVJXFS-UHFFFAOYSA-N 0 3 306.715 4.159 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1n[nH]c3ccccc31)CCC2 ZINC000648605241 1047070874 /nfs/dbraw/zinc/07/08/74/1047070874.db2.gz PDUMZJYETBIVGV-INIZCTEOSA-N 0 3 313.351 4.008 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1[nH]nc3ccccc31)CCC2 ZINC000648605241 1047070888 /nfs/dbraw/zinc/07/08/88/1047070888.db2.gz PDUMZJYETBIVGV-INIZCTEOSA-N 0 3 313.351 4.008 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccc(C)cc1)C1CC1 ZINC001141273041 1047070999 /nfs/dbraw/zinc/07/09/99/1047070999.db2.gz ODSIOUAENCGXLN-HXUWFJFHSA-N 0 3 316.489 4.074 20 0 DIADHN COC1CCC(N(C)Cc2csc(-c3ccccc3)n2)CC1 ZINC000536683905 1047071266 /nfs/dbraw/zinc/07/12/66/1047071266.db2.gz ZDNNDADYVMMKCF-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN CC[C@H](C)Oc1cc(CN[C@@H](C)CC(F)(F)F)ccc1OC ZINC000680973493 1047073275 /nfs/dbraw/zinc/07/32/75/1047073275.db2.gz GUMCFCFSCJIIDE-RYUDHWBXSA-N 0 3 319.367 4.303 20 0 DIADHN COC[C@@]1(C)CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000648643342 1047075926 /nfs/dbraw/zinc/07/59/26/1047075926.db2.gz HIYQWUDCSLPUPU-KRWDZBQOSA-N 0 3 308.491 4.049 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc2c1CCCC2)N1CCCCC1 ZINC001141287224 1047076829 /nfs/dbraw/zinc/07/68/29/1047076829.db2.gz PTIFIWDIMIVMCW-LJQANCHMSA-N 0 3 314.473 4.014 20 0 DIADHN C[C@H]1CN(Cc2cccc(-n3cccn3)c2)[C@H]1c1ccccc1 ZINC000536708645 1047080426 /nfs/dbraw/zinc/08/04/26/1047080426.db2.gz KWXSYBOVQBTQKK-OXJNMPFZSA-N 0 3 303.409 4.065 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@@H]1c1ccccc1 ZINC000536708655 1047081554 /nfs/dbraw/zinc/08/15/54/1047081554.db2.gz LJBSJQLHVKVXEU-QRWLVFNGSA-N 0 3 303.409 4.270 20 0 DIADHN CN(Cc1ccc(Cl)cc1)C[C@H](O)c1ccccc1Cl ZINC000799992268 1047081461 /nfs/dbraw/zinc/08/14/61/1047081461.db2.gz NWERRGVBRRXUKA-INIZCTEOSA-N 0 3 310.224 4.159 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc3cnccc3c2)c2ccccc2O1 ZINC000648698726 1047081962 /nfs/dbraw/zinc/08/19/62/1047081962.db2.gz MWARDATYUXLDFQ-AUUYWEPGSA-N 0 3 304.393 4.237 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@H]1c1ccccc1 ZINC000536708654 1047082395 /nfs/dbraw/zinc/08/23/95/1047082395.db2.gz LJBSJQLHVKVXEU-MGPUTAFESA-N 0 3 303.409 4.270 20 0 DIADHN COc1cccc([C@H]2CCCN2C[C@@H]2CC2(Cl)Cl)c1 ZINC001115823612 1047084079 /nfs/dbraw/zinc/08/40/79/1047084079.db2.gz KZKSDLAPTITHCA-GXTWGEPZSA-N 0 3 300.229 4.026 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141315046 1047086335 /nfs/dbraw/zinc/08/63/35/1047086335.db2.gz OANHVVBHDPDVGA-OAHLLOKOSA-N 0 3 315.388 4.086 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141315045 1047086787 /nfs/dbraw/zinc/08/67/87/1047086787.db2.gz OANHVVBHDPDVGA-HNNXBMFYSA-N 0 3 315.388 4.086 20 0 DIADHN c1ccc(C[C@H]2c3ccccc3CCN2Cc2ccccn2)cc1 ZINC000536732309 1047087553 /nfs/dbraw/zinc/08/75/53/1047087553.db2.gz BDLYAYFSUOOQKP-QFIPXVFZSA-N 0 3 314.432 4.424 20 0 DIADHN CCOc1ccc(CNCc2ccc(Cl)c(OC)c2)cc1 ZINC000648816845 1047092206 /nfs/dbraw/zinc/09/22/06/1047092206.db2.gz LZLBTJNJOVRAEO-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN CC(C)[C@@H](NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000681108661 1047095747 /nfs/dbraw/zinc/09/57/47/1047095747.db2.gz JUACVXIROHZXFQ-GOSISDBHSA-N 0 3 316.470 4.246 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(c2cccnc2)CC1 ZINC000681098747 1047095982 /nfs/dbraw/zinc/09/59/82/1047095982.db2.gz BERBRGIFVJSLKJ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CCCc1ccc(CN2CCC(C(=O)OC(C)(C)C)CC2)cc1 ZINC001115964190 1047097405 /nfs/dbraw/zinc/09/74/05/1047097405.db2.gz NHSPLHHWXTZSKT-UHFFFAOYSA-N 0 3 317.473 4.193 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000536836859 1047106155 /nfs/dbraw/zinc/10/61/55/1047106155.db2.gz CLBMEMXJVWUCNN-YVEFUNNKSA-N 0 3 318.367 4.485 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000536843730 1047108370 /nfs/dbraw/zinc/10/83/70/1047108370.db2.gz FWYSPUKSAGXLNF-SJORKVTESA-N 0 3 323.358 4.480 20 0 DIADHN CC(C)c1nnc(CN[C@@H](CCc2ccccc2)C(C)C)s1 ZINC000536845186 1047110430 /nfs/dbraw/zinc/11/04/30/1047110430.db2.gz MBZCRZFOUGDWQV-INIZCTEOSA-N 0 3 317.502 4.409 20 0 DIADHN C[C@@H](CC1CC1)N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000536861582 1047114889 /nfs/dbraw/zinc/11/48/89/1047114889.db2.gz DJUASAYWDZIHFW-KXBFYZLASA-N 0 3 308.425 4.145 20 0 DIADHN Cc1cc2cc([C@@H](C)NCCC(=O)NC(C)(C)C)oc2cc1C ZINC000536864896 1047114968 /nfs/dbraw/zinc/11/49/68/1047114968.db2.gz SLBHVZLSHPIPNH-CQSZACIVSA-N 0 3 316.445 4.005 20 0 DIADHN CC[C@@H](N[C@@H](C(=O)Nc1ccccc1)c1ccccc1)C1CC1 ZINC000536883197 1047120191 /nfs/dbraw/zinc/12/01/91/1047120191.db2.gz PYABRNJKHDPEEN-RTBURBONSA-N 0 3 308.425 4.145 20 0 DIADHN CO[C@@H]1CCCN(c2ccnc3ccc(OC(C)C)cc32)CC1 ZINC000649323422 1047121072 /nfs/dbraw/zinc/12/10/72/1047121072.db2.gz KDCVTGVKPAPYBY-OAHLLOKOSA-N 0 3 314.429 4.027 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(Br)cc1 ZINC000536892750 1047121332 /nfs/dbraw/zinc/12/13/32/1047121332.db2.gz HIPDXSFPYBTAOV-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN C(=C\c1ccccc1)\CCN1CC(c2nc3ccccc3[nH]2)C1 ZINC000649387239 1047125293 /nfs/dbraw/zinc/12/52/93/1047125293.db2.gz GBTNIESDQJUGHU-POHAHGRESA-N 0 3 303.409 4.066 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CCCC(=O)c1ccccc1 ZINC000649418868 1047126363 /nfs/dbraw/zinc/12/63/63/1047126363.db2.gz GDRFMSOGGGIVFT-HIFRSBDPSA-N 0 3 313.363 4.312 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCc1c(Cl)cccc1Cl ZINC000649410934 1047127257 /nfs/dbraw/zinc/12/72/57/1047127257.db2.gz JXCYSYXWEVIZMO-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CCc2ccccc2CC1 ZINC001141430780 1047129614 /nfs/dbraw/zinc/12/96/14/1047129614.db2.gz MRBVFFPJDKJJSX-UHFFFAOYSA-N 0 3 320.358 4.010 20 0 DIADHN CCc1ccc([C@H](NCc2cccc(N(C)C)n2)C(C)C)cc1 ZINC000537012761 1047129868 /nfs/dbraw/zinc/12/98/68/1047129868.db2.gz GFIXVBLTAZLZKE-HXUWFJFHSA-N 0 3 311.473 4.197 20 0 DIADHN Cc1ccsc1CCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000537013589 1047132227 /nfs/dbraw/zinc/13/22/27/1047132227.db2.gz JUKNHNWGEGGQQY-GFCCVEGCSA-N 0 3 306.500 4.285 20 0 DIADHN CC(C)[C@H](NCCC(=O)N1CCC[C@H]1C)c1ccccc1Cl ZINC000537086535 1047136250 /nfs/dbraw/zinc/13/62/50/1047136250.db2.gz WTEQOSHULVYVLM-KDOFPFPSSA-N 0 3 322.880 4.028 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc(OC)c(Cl)c2)cc1 ZINC000537092388 1047138329 /nfs/dbraw/zinc/13/83/29/1047138329.db2.gz VMFHPKRTGYYTMX-UHFFFAOYSA-N 0 3 318.848 4.331 20 0 DIADHN CC(C)Oc1ccc(CN2CCC3(CCOC3)CC2)cc1Cl ZINC000681690691 1047139404 /nfs/dbraw/zinc/13/94/04/1047139404.db2.gz JHZHQKJHYKTTIW-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN COc1ccc(CN[C@@H](c2cccc(F)c2)C2CCCC2)cn1 ZINC000537125994 1047140424 /nfs/dbraw/zinc/14/04/24/1047140424.db2.gz RKGGWDNBPUCSQM-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2c(F)cccc2N2CCCC2)C1 ZINC001116445724 1047142267 /nfs/dbraw/zinc/14/22/67/1047142267.db2.gz WPQAQCBFMIXVFF-GJZGRUSLSA-N 0 3 322.493 4.142 20 0 DIADHN CC(C)C[C@@H](NCc1cnc(C(F)(F)F)nc1)c1ccccc1 ZINC000649573439 1047144635 /nfs/dbraw/zinc/14/46/35/1047144635.db2.gz DNWUJEFHQONGEO-OAHLLOKOSA-N 0 3 323.362 4.372 20 0 DIADHN O=C(CN[C@H](c1cccs1)C1CC1)Nc1ccc(Cl)cc1 ZINC000537165579 1047144614 /nfs/dbraw/zinc/14/46/14/1047144614.db2.gz MYADCXLNODNDJO-INIZCTEOSA-N 0 3 320.845 4.081 20 0 DIADHN CC[C@H](OCCN1CCC[C@H]1c1cccnc1)c1ccccc1 ZINC000649569278 1047145524 /nfs/dbraw/zinc/14/55/24/1047145524.db2.gz GDSZQQVPPANIFN-PMACEKPBSA-N 0 3 310.441 4.386 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCC3)CCCO2 ZINC001116459660 1047145669 /nfs/dbraw/zinc/14/56/69/1047145669.db2.gz KQNLJHGEDKRFHD-LJQANCHMSA-N 0 3 311.400 4.318 20 0 DIADHN CC[C@H](NCc1cnc(C(F)(F)F)nc1)c1ccc(C)cc1 ZINC000649574038 1047146201 /nfs/dbraw/zinc/14/62/01/1047146201.db2.gz YTFOMYWSVLLKCM-AWEZNQCLSA-N 0 3 309.335 4.045 20 0 DIADHN C[C@@]1(CN2CCCO[C@@H](c3ccccc3)C2)CC1(Cl)Cl ZINC000827695880 1047150973 /nfs/dbraw/zinc/15/09/73/1047150973.db2.gz DZOJDNUDGUMIQD-CABCVRRESA-N 0 3 314.256 4.034 20 0 DIADHN COc1cc(CNC(C)(C)CC(F)(F)F)ccc1OC(C)C ZINC000681989807 1047159412 /nfs/dbraw/zinc/15/94/12/1047159412.db2.gz TYHJZMMLEKTGDQ-UHFFFAOYSA-N 0 3 319.367 4.303 20 0 DIADHN Cc1ccc2ncc(CNCc3csc4ccccc34)n2c1 ZINC000537291307 1047159844 /nfs/dbraw/zinc/15/98/44/1047159844.db2.gz OXXQACBMJVZRIN-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)cn1 ZINC000649685115 1047162635 /nfs/dbraw/zinc/16/26/35/1047162635.db2.gz ORGRZFSFGMDFPE-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN([C@@H](C)c2ccccc2C)C1 ZINC000682038918 1047165055 /nfs/dbraw/zinc/16/50/55/1047165055.db2.gz GHDDHRMHOLYAER-ZFWWWQNUSA-N 0 3 301.352 4.099 20 0 DIADHN CCc1nn(C)c(CC)c1CNC(C)(C)c1ccc(CC)cc1 ZINC000537312276 1047166864 /nfs/dbraw/zinc/16/68/64/1047166864.db2.gz SXEIRRMIJQZGJW-UHFFFAOYSA-N 0 3 313.489 4.132 20 0 DIADHN FC1(F)C(F)(F)C12CCN(CCSc1ccccc1)CC2 ZINC000649710539 1047166998 /nfs/dbraw/zinc/16/69/98/1047166998.db2.gz DDVKPZADSHIJPM-UHFFFAOYSA-N 0 3 319.367 4.145 20 0 DIADHN CSc1ccccc1CN1CCC2(CC1)C(F)(F)C2(F)F ZINC000649709265 1047167393 /nfs/dbraw/zinc/16/73/93/1047167393.db2.gz FTHJMMCMHXHOQU-UHFFFAOYSA-N 0 3 319.367 4.275 20 0 DIADHN C[C@H](c1ccsc1)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC000682074106 1047168760 /nfs/dbraw/zinc/16/87/60/1047168760.db2.gz QNRHDIOYGVMYOG-DNVCBOLYSA-N 0 3 312.482 4.114 20 0 DIADHN Cc1noc(C)c1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC000649747192 1047170792 /nfs/dbraw/zinc/17/07/92/1047170792.db2.gz SGAKATOZWVMGIV-NSHDSACASA-N 0 3 304.821 4.107 20 0 DIADHN CO[C@@H](CN1CCC2(CC2(F)F)CC1)c1ccc(Cl)cc1 ZINC000649772948 1047174520 /nfs/dbraw/zinc/17/45/20/1047174520.db2.gz DGJQWRATRDYPFR-AWEZNQCLSA-N 0 3 315.791 4.149 20 0 DIADHN Cc1ccc2ncc(CN3CCC4(CC4(F)F)CC3)cc2c1 ZINC000649773119 1047174634 /nfs/dbraw/zinc/17/46/34/1047174634.db2.gz JCGZWAMYELJWQD-UHFFFAOYSA-N 0 3 302.368 4.164 20 0 DIADHN Cc1nc(C)c(CN2CCCC[C@H]2Cc2ccccc2F)o1 ZINC000649795003 1047176204 /nfs/dbraw/zinc/17/62/04/1047176204.db2.gz ZOJLDHMSVPPOMB-INIZCTEOSA-N 0 3 302.393 4.028 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1)C1CC1 ZINC000838932844 1047177412 /nfs/dbraw/zinc/17/74/12/1047177412.db2.gz OVKIBJLHGXCXHI-HOTGVXAUSA-N 0 3 313.363 4.003 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1)C1CC1 ZINC000838932842 1047178123 /nfs/dbraw/zinc/17/81/23/1047178123.db2.gz OVKIBJLHGXCXHI-CVEARBPZSA-N 0 3 313.363 4.003 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC(C)(C)CC(F)(F)F ZINC000682167262 1047181538 /nfs/dbraw/zinc/18/15/38/1047181538.db2.gz ABUITOWKZSIOFX-UHFFFAOYSA-N 0 3 305.388 4.076 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)[C@@H](C)CCO)s2)cc1 ZINC000649866737 1047184713 /nfs/dbraw/zinc/18/47/13/1047184713.db2.gz XWEYQMYKEYPBLJ-AWEZNQCLSA-N 0 3 318.486 4.136 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cnc(-c3ccccc3)s1)C2 ZINC000649900949 1047186670 /nfs/dbraw/zinc/18/66/70/1047186670.db2.gz CHGQCNPKINAOME-HNNXBMFYSA-N 0 3 306.381 4.041 20 0 DIADHN COc1cccc2cc(CN[C@H](c3cccnc3)C3CCC3)oc21 ZINC001116878270 1047187011 /nfs/dbraw/zinc/18/70/11/1047187011.db2.gz PMLUORYUMCYQDR-IBGZPJMESA-N 0 3 322.408 4.467 20 0 DIADHN CCC[C@@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1ccsc1 ZINC000682376523 1047187075 /nfs/dbraw/zinc/18/70/75/1047187075.db2.gz SMZJJMDFTPXHFO-IAGOWNOFSA-N 0 3 320.502 4.113 20 0 DIADHN Cc1ccccc1[C@H](O)C1CCN(Cc2cccc(F)c2)CC1 ZINC000796406774 1047200142 /nfs/dbraw/zinc/20/01/42/1047200142.db2.gz MPYSFXOBOKOKPB-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc3c(c2)OCCCO3)c1 ZINC000682532080 1047203570 /nfs/dbraw/zinc/20/35/70/1047203570.db2.gz CYCCBFOHTYIRIT-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN C[C@H](CN[C@H](c1ccccc1)c1ccccn1)CC(F)(F)F ZINC000396983519 1047205073 /nfs/dbraw/zinc/20/50/73/1047205073.db2.gz XNGIFKKGRSOQHI-XJKSGUPXSA-N 0 3 308.347 4.349 20 0 DIADHN COc1cc(CN2CCC3(CCCCC3)CC2)cc(F)c1OC ZINC001137501631 1047207499 /nfs/dbraw/zinc/20/74/99/1047207499.db2.gz GVVBNHGHEZOVJD-UHFFFAOYSA-N 0 3 321.436 4.389 20 0 DIADHN COc1cc(CCC2CCN(C/C=C/Cl)CC2)cc(OC)c1 ZINC000839263833 1047208277 /nfs/dbraw/zinc/20/82/77/1047208277.db2.gz KFRWFQZUYGCKTJ-FPYGCLRLSA-N 0 3 323.864 4.101 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc(Br)cc2)cn1 ZINC000397091279 1047212286 /nfs/dbraw/zinc/21/22/86/1047212286.db2.gz VVAJMIJMKZSCLV-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NCCOc1cccnc1)CC2 ZINC000760329832 1047214403 /nfs/dbraw/zinc/21/44/03/1047214403.db2.gz LOUKHBBXQIRWKK-OAHLLOKOSA-N 0 3 323.223 4.044 20 0 DIADHN CCn1ccc(CN(C)Cc2cccc(OC(F)(F)F)c2)c1 ZINC000682778556 1047222843 /nfs/dbraw/zinc/22/28/43/1047222843.db2.gz KTFFCTMHWCNJLK-UHFFFAOYSA-N 0 3 312.335 4.039 20 0 DIADHN Cc1ccc(OCCCNCc2cccc(Cl)c2F)cc1 ZINC001648990311 1047225118 /nfs/dbraw/zinc/22/51/18/1047225118.db2.gz ANCRTUXCIFKMJY-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2ccccc2Br)n1 ZINC000399677146 1047226846 /nfs/dbraw/zinc/22/68/46/1047226846.db2.gz OMMSHXPJEMOEMU-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN CC(C)=CCN[C@H](c1ccc(Br)cc1)[C@@H]1CCCO1 ZINC000682909787 1047238486 /nfs/dbraw/zinc/23/84/86/1047238486.db2.gz JCGREIOTZMWZPZ-JKSUJKDBSA-N 0 3 324.262 4.225 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cc(F)c(OC)c(OC)c1 ZINC001137510676 1047239394 /nfs/dbraw/zinc/23/93/94/1047239394.db2.gz HDUOAHUWWUXHQA-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cc2cccnc2cc1F ZINC001141634545 1047240658 /nfs/dbraw/zinc/24/06/58/1047240658.db2.gz HRPVXEGYGVUXAC-QHHAFSJGSA-N 0 3 321.399 4.304 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(N(C)C(C)C)nc1)CC2 ZINC000682940858 1047241578 /nfs/dbraw/zinc/24/15/78/1047241578.db2.gz LCVOBYIJVBXBNK-LJQANCHMSA-N 0 3 309.457 4.012 20 0 DIADHN Fc1ccc2nc(CN3CCC4(CC(F)(F)C4)CC3)ccc2c1 ZINC001141640002 1047242290 /nfs/dbraw/zinc/24/22/90/1047242290.db2.gz KDVLYWTUVMDPPA-UHFFFAOYSA-N 0 3 320.358 4.385 20 0 DIADHN CC[C@]1(NCc2ccc(-c3cccc(F)c3C)o2)CCOC1 ZINC000682952590 1047243894 /nfs/dbraw/zinc/24/38/94/1047243894.db2.gz SUGYYRKPVMYWCZ-SFHVURJKSA-N 0 3 303.377 4.053 20 0 DIADHN CC[C@]1(NCc2cc(C(F)(F)F)ccc2Cl)CCOC1 ZINC000682951691 1047244274 /nfs/dbraw/zinc/24/42/74/1047244274.db2.gz JLJQFHKIWWSHFH-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN CC[C@]1(NCc2ccc(-c3ccccc3Cl)o2)CCOC1 ZINC000682952544 1047244198 /nfs/dbraw/zinc/24/41/98/1047244198.db2.gz ZOCVYGBBINHSPV-KRWDZBQOSA-N 0 3 305.805 4.259 20 0 DIADHN C[C@@H](NCC[C@@H](O)c1ccccc1)c1ccc(F)cc1Cl ZINC000839544620 1047245842 /nfs/dbraw/zinc/24/58/42/1047245842.db2.gz MYPVEWBRXVRYSG-SJKOYZFVSA-N 0 3 307.796 4.253 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccc2cc(F)ccc2n1 ZINC001141650628 1047247076 /nfs/dbraw/zinc/24/70/76/1047247076.db2.gz ALRZLOJBWVIUOP-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN CN(CCc1ccccc1F)Cc1ccc2cc(F)ccc2n1 ZINC001141652374 1047248811 /nfs/dbraw/zinc/24/88/11/1047248811.db2.gz KPPPNFXZQASIQY-UHFFFAOYSA-N 0 3 312.363 4.188 20 0 DIADHN CC[C@@H](C)Oc1cc(CN2CCSCC[C@@H]2C)ccc1OC ZINC000683000977 1047252029 /nfs/dbraw/zinc/25/20/29/1047252029.db2.gz KLWLLMMWFOCXKU-LSDHHAIUSA-N 0 3 323.502 4.200 20 0 DIADHN Cc1ccnc(CN2CCC(c3ccc(Cl)cc3)CC2)c1 ZINC000683024434 1047261619 /nfs/dbraw/zinc/26/16/19/1047261619.db2.gz LQUHKKVABXTTTR-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@@H]1CCCC[C@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000476258035 1047268319 /nfs/dbraw/zinc/26/83/19/1047268319.db2.gz XAVFYMZPXWFGHQ-IAGOWNOFSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)(C)N1CC[C@H]1CNCc1ccc(Oc2ccccc2)o1 ZINC001203113164 1047270133 /nfs/dbraw/zinc/27/01/33/1047270133.db2.gz IRFUNZSTYZVGJO-HNNXBMFYSA-N 0 3 314.429 4.034 20 0 DIADHN Cc1c(Br)cncc1CN1CCCC[C@@H]1C1CCC1 ZINC001462413843 1047277186 /nfs/dbraw/zinc/27/71/86/1047277186.db2.gz ZNTMXMUDQJTPGI-MRXNPFEDSA-N 0 3 323.278 4.307 20 0 DIADHN C[C@H]1CC2(CN1Cc1cc(Cl)ccc1Cl)CCOCC2 ZINC001307868462 1047280419 /nfs/dbraw/zinc/28/04/19/1047280419.db2.gz DZINKPIEDWHTPW-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN c1csc(-c2nc(CNC3C[C@H]4CC[C@@H](C3)S4)cs2)c1 ZINC000897748968 1047284706 /nfs/dbraw/zinc/28/47/06/1047284706.db2.gz MVTNVPYQXZOYSB-VGPLMAKISA-N 0 3 322.524 4.388 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccnc2)ccc1Br ZINC000073852442 1047287760 /nfs/dbraw/zinc/28/77/60/1047287760.db2.gz ZOFOWLJFZLKLNS-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Clc1ccc([C@@H](CNCc2ccoc2)OCC2CC2)cc1 ZINC000796443421 1047288106 /nfs/dbraw/zinc/28/81/06/1047288106.db2.gz WDJQSJJDQRJCTN-QGZVFWFLSA-N 0 3 305.805 4.191 20 0 DIADHN COc1ccccc1C1(NCc2cc3ccncc3s2)CCC1 ZINC001118421890 1047291457 /nfs/dbraw/zinc/29/14/57/1047291457.db2.gz PPTOHJBGMZVDON-UHFFFAOYSA-N 0 3 324.449 4.474 20 0 DIADHN CC[C@H](N[C@@H]1CCc2ccc(OC)cc21)c1ccccc1OC ZINC000390028288 1047292817 /nfs/dbraw/zinc/29/28/17/1047292817.db2.gz CEIIHRNIKBRLPY-RBUKOAKNSA-N 0 3 311.425 4.432 20 0 DIADHN CCC(CC)N(C)Cc1cc(Br)cc(F)c1F ZINC001141803034 1047292867 /nfs/dbraw/zinc/29/28/67/1047292867.db2.gz LMMSPLBUBFEOBR-UHFFFAOYSA-N 0 3 306.194 4.348 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc3c2NCCC3)cc1 ZINC000390983688 1047302732 /nfs/dbraw/zinc/30/27/32/1047302732.db2.gz WSGMRRGGAZNZRJ-OAHLLOKOSA-N 0 3 310.441 4.294 20 0 DIADHN COCc1c(C)cc(C)c(CN[C@@H](C)c2cncs2)c1C ZINC000897930224 1047303267 /nfs/dbraw/zinc/30/32/67/1047303267.db2.gz LDVOJDDMQHTSQK-AWEZNQCLSA-N 0 3 304.459 4.066 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2nc3cc(C)ccc3s2)C1 ZINC001118817022 1047311713 /nfs/dbraw/zinc/31/17/13/1047311713.db2.gz VMZCDPKCSMKGNK-QWHCGFSZSA-N 0 3 306.500 4.321 20 0 DIADHN CC(C)c1ccc(NC(=O)CNC(C)(C)c2ccccc2)cc1 ZINC000725800573 1047315833 /nfs/dbraw/zinc/31/58/33/1047315833.db2.gz PFYMTZJHFYACJI-UHFFFAOYSA-N 0 3 310.441 4.273 20 0 DIADHN CCOc1ccc(C[C@H](C)CN[C@H](C)c2cscn2)cc1 ZINC000651489959 1047318007 /nfs/dbraw/zinc/31/80/07/1047318007.db2.gz SMPLHVXTGYVGRQ-UONOGXRCSA-N 0 3 304.459 4.071 20 0 DIADHN CCOc1ccc(C[C@H](C)CNCc2ncc(Cl)s2)cc1 ZINC000651598028 1047319524 /nfs/dbraw/zinc/31/95/24/1047319524.db2.gz NPNRFFPLKXNJHN-LBPRGKRZSA-N 0 3 324.877 4.164 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cc3cnccc3o2)cc1 ZINC000651603784 1047319605 /nfs/dbraw/zinc/31/96/05/1047319605.db2.gz FMVYASUNHFXRPD-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H]1CCO[C@@H](C(C)(C)C)C1)CCC2 ZINC001119002593 1047321040 /nfs/dbraw/zinc/32/10/40/1047321040.db2.gz SPPUAYAUXMPTEJ-GVDBMIGSSA-N 0 3 319.493 4.025 20 0 DIADHN FC(F)(F)c1cnc(CN2C[C@@H]3CCCC[C@@H]3C2)c(Cl)c1 ZINC001142539022 1047321880 /nfs/dbraw/zinc/32/18/80/1047321880.db2.gz RNBSYCJPZXMUGG-PHIMTYICSA-N 0 3 318.770 4.376 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cnn(CC3CCC3)c2)s1 ZINC000898176744 1047323758 /nfs/dbraw/zinc/32/37/58/1047323758.db2.gz CFFMOQNYUAXYHN-CYBMUJFWSA-N 0 3 303.475 4.158 20 0 DIADHN C[C@@H](NCc1cc2cnccc2o1)c1cccc(N2CCCC2)c1 ZINC000651738596 1047325653 /nfs/dbraw/zinc/32/56/53/1047325653.db2.gz QSSADRIKRQUADG-OAHLLOKOSA-N 0 3 321.424 4.279 20 0 DIADHN C[C@H](NCc1ccc2[nH]ccc2c1)c1cnn(CC2CCC2)c1 ZINC000898181101 1047326464 /nfs/dbraw/zinc/32/64/64/1047326464.db2.gz AYHVNPFTONQAJB-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN COc1cc(F)c(CNC2CCC(C(F)(F)F)CC2)c(F)c1 ZINC001255508018 1047326499 /nfs/dbraw/zinc/32/64/99/1047326499.db2.gz AIWLLRRVDNARFJ-UHFFFAOYSA-N 0 3 323.305 4.184 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1cc2cnccc2o1 ZINC000651810609 1047328808 /nfs/dbraw/zinc/32/88/08/1047328808.db2.gz YSAXLWHODBIRSM-QGZVFWFLSA-N 0 3 324.428 4.285 20 0 DIADHN COC1([C@@H](C)N[C@H](C)c2ccc(-c3ccccc3)cn2)CCC1 ZINC000651831282 1047329109 /nfs/dbraw/zinc/32/91/09/1047329109.db2.gz KGFQNBSWXZSDGR-HZPDHXFCSA-N 0 3 310.441 4.357 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC(F)(F)[C@@H](C)C1 ZINC001141979363 1047329568 /nfs/dbraw/zinc/32/95/68/1047329568.db2.gz RLSFCLLHWNNLMC-LBPRGKRZSA-N 0 3 306.356 4.127 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2c1CCC2)c1cccs1 ZINC000651828018 1047330071 /nfs/dbraw/zinc/33/00/71/1047330071.db2.gz TYNJMDNZJJITPR-SFHVURJKSA-N 0 3 315.482 4.086 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1nccc3ccccc31)CC2 ZINC000651901303 1047332638 /nfs/dbraw/zinc/33/26/38/1047332638.db2.gz FPNCUGXZJTVRNC-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651951323 1047333785 /nfs/dbraw/zinc/33/37/85/1047333785.db2.gz IBZMPCNZFOGUIS-CWFSZBLJSA-N 0 3 310.441 4.357 20 0 DIADHN OC[C@@H]1CCCN(Cc2sccc2Oc2ccccc2)CC1 ZINC001141994461 1047334087 /nfs/dbraw/zinc/33/40/87/1047334087.db2.gz MJAYXOFWURIQRQ-OAHLLOKOSA-N 0 3 317.454 4.135 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CCC3(COC3)CC2)s1 ZINC001141994199 1047334259 /nfs/dbraw/zinc/33/42/59/1047334259.db2.gz CBOBZDJQYLASPG-UHFFFAOYSA-N 0 3 315.438 4.153 20 0 DIADHN CC[C@@H](OCCNCc1sccc1OC)c1ccccc1 ZINC000651908530 1047334958 /nfs/dbraw/zinc/33/49/58/1047334958.db2.gz HILQBIBPAZFCBS-OAHLLOKOSA-N 0 3 305.443 4.014 20 0 DIADHN COCC1CCN(Cc2sccc2Oc2ccccc2)CC1 ZINC001141994706 1047336681 /nfs/dbraw/zinc/33/66/81/1047336681.db2.gz WDZMZNUUYUKPSC-UHFFFAOYSA-N 0 3 317.454 4.399 20 0 DIADHN Fc1cc(CN[C@@H](c2ccncc2)C2CC2)ccc1OC(F)F ZINC000898324482 1047337190 /nfs/dbraw/zinc/33/71/90/1047337190.db2.gz IALFSBWYWIGNQV-MRXNPFEDSA-N 0 3 322.330 4.063 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cccc(OC3CCCC3)c1)C2 ZINC000652062453 1047342212 /nfs/dbraw/zinc/34/22/12/1047342212.db2.gz KHENBZWVYACDJM-QGZVFWFLSA-N 0 3 307.384 4.239 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC[C@@]4(CC4(F)F)C3)s2)cc1 ZINC000652063400 1047342806 /nfs/dbraw/zinc/34/28/06/1047342806.db2.gz LJNGEKUFIOKCOM-MRXNPFEDSA-N 0 3 320.408 4.350 20 0 DIADHN COc1ccc([C@H](NCC2(C(C)C)CC2)c2ccccn2)cc1 ZINC000652168328 1047343784 /nfs/dbraw/zinc/34/37/84/1047343784.db2.gz XUCHJSVDOQUCEW-IBGZPJMESA-N 0 3 310.441 4.205 20 0 DIADHN COc1ccc([C@H](NCC2CC(C)(C)C2)c2ccccn2)cc1 ZINC000652192254 1047345980 /nfs/dbraw/zinc/34/59/80/1047345980.db2.gz VLOXHDXEAUDSIM-IBGZPJMESA-N 0 3 310.441 4.205 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccccc3C)CCC2)cc1O ZINC000652217328 1047348144 /nfs/dbraw/zinc/34/81/44/1047348144.db2.gz UUGLNNGVYISLGS-OAHLLOKOSA-N 0 3 311.425 4.439 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1ccccc1F ZINC000652250346 1047350407 /nfs/dbraw/zinc/35/04/07/1047350407.db2.gz DUMAOYBWHGGWBL-KSSFIOAISA-N 0 3 324.403 4.208 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccccc2)C2CCCCC2)cn1 ZINC000840877104 1047350763 /nfs/dbraw/zinc/35/07/63/1047350763.db2.gz XIPZOMRWQISAHZ-FQEVSTJZSA-N 0 3 309.457 4.450 20 0 DIADHN Clc1nccc(CN2CCSC3(CCC3)CC2)c1Cl ZINC000840955314 1047363363 /nfs/dbraw/zinc/36/33/63/1047363363.db2.gz ULHJEZMKNYBEFM-UHFFFAOYSA-N 0 3 317.285 4.250 20 0 DIADHN COc1c(C)cc(CNCc2ccc(CSC)cc2)cc1C ZINC000796514833 1047367298 /nfs/dbraw/zinc/36/72/98/1047367298.db2.gz SUUHZNKKIPJNOF-UHFFFAOYSA-N 0 3 315.482 4.465 20 0 DIADHN Fc1cccc(C=C2CCN(C[C@@H]3C[C@@H]4CCC[C@@H]4O3)CC2)c1 ZINC001168302669 1047378196 /nfs/dbraw/zinc/37/81/96/1047378196.db2.gz PDGLRZIZPMJRQU-IHPCNDPISA-N 0 3 315.432 4.263 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cccnc2Cl)cc1 ZINC000726969935 1047396982 /nfs/dbraw/zinc/39/69/82/1047396982.db2.gz GMQIBOWHLGLPAY-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@@H]1c1ccncc1 ZINC000653592592 1047404787 /nfs/dbraw/zinc/40/47/87/1047404787.db2.gz HFDYRZCNDKLKSF-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN FC(F)[C@@H]1CCN(Cc2ccccc2OCc2ccccc2)C1 ZINC000653593735 1047405425 /nfs/dbraw/zinc/40/54/25/1047405425.db2.gz MSFDWMJXCRIIRW-QGZVFWFLSA-N 0 3 317.379 4.353 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001142230384 1047409043 /nfs/dbraw/zinc/40/90/43/1047409043.db2.gz IDUQVXTZWZNOFC-AWEZNQCLSA-N 0 3 317.864 4.350 20 0 DIADHN CCc1nc([C@@H](C)NCCCc2c(F)cccc2F)cs1 ZINC000653615282 1047409292 /nfs/dbraw/zinc/40/92/92/1047409292.db2.gz AMUJRRCYQWAEPY-LLVKDONJSA-N 0 3 310.413 4.267 20 0 DIADHN FCc1ccc(CN2CCCN(c3ccccc3F)CC2)cc1 ZINC000796579487 1047410086 /nfs/dbraw/zinc/41/00/86/1047410086.db2.gz PPHUVRBLIVIDDL-UHFFFAOYSA-N 0 3 316.395 4.008 20 0 DIADHN O[C@H]1CC[C@H](NCc2c(Cl)ccc(Cl)c2Cl)CC1 ZINC000252702016 1047413954 /nfs/dbraw/zinc/41/39/54/1047413954.db2.gz GCOKDCPKXNXZMS-KYZUINATSA-N 0 3 308.636 4.040 20 0 DIADHN Cc1ccnc([C@H]2CCN(Cc3cccc(C(F)F)c3)C2)c1 ZINC000653749428 1047418960 /nfs/dbraw/zinc/41/89/60/1047418960.db2.gz BCHUIEOMLIFDSN-INIZCTEOSA-N 0 3 302.368 4.317 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc2c(c1)oc1ccccc12 ZINC000749571455 1047427495 /nfs/dbraw/zinc/42/74/95/1047427495.db2.gz WTFOPJLIQKJMSF-QGZVFWFLSA-N 0 3 322.408 4.399 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001142371953 1047435790 /nfs/dbraw/zinc/43/57/90/1047435790.db2.gz JZSPWVCJMCRWCM-UYAOXDASSA-N 0 3 307.437 4.482 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3c(s2)CCC3)c(OC)c1 ZINC000118961564 1047440125 /nfs/dbraw/zinc/44/01/25/1047440125.db2.gz WYGFVLXZFQLPKT-GFCCVEGCSA-N 0 3 317.454 4.105 20 0 DIADHN C[C@@H](NCc1cc(F)cnc1Cl)[C@@H]1C[C@H]1c1cccs1 ZINC000841757727 1047453203 /nfs/dbraw/zinc/45/32/03/1047453203.db2.gz PVLAUYJDWCPVBD-JIMOISOXSA-N 0 3 310.825 4.217 20 0 DIADHN Cc1cc(Cl)cc(C(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000119371935 1047453667 /nfs/dbraw/zinc/45/36/67/1047453667.db2.gz ICROWTCYOITBDU-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cn1cc2c(cccc2CN2CCCC[C@@H]2c2ccccc2F)n1 ZINC001142456923 1047456922 /nfs/dbraw/zinc/45/69/22/1047456922.db2.gz TWPTWPGZENBDFK-HXUWFJFHSA-N 0 3 323.415 4.440 20 0 DIADHN OCCCCCCN(Cc1c(F)cccc1F)C1CCCC1 ZINC000750178105 1047463258 /nfs/dbraw/zinc/46/32/58/1047463258.db2.gz HPABSTTZQWIQJH-UHFFFAOYSA-N 0 3 311.416 4.262 20 0 DIADHN C[C@H](N[C@H](c1cccc(F)c1)C1CCCC1)c1cnn(C)c1 ZINC000119733725 1047465747 /nfs/dbraw/zinc/46/57/47/1047465747.db2.gz NOQDSNKPAVLSHN-UGSOOPFHSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccccc1OC(F)F ZINC000119762486 1047471837 /nfs/dbraw/zinc/47/18/37/1047471837.db2.gz UAYNSWIUKZHNEH-MRXNPFEDSA-N 0 3 303.352 4.374 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(OCc2ccncc2)cc1 ZINC000119785466 1047472578 /nfs/dbraw/zinc/47/25/78/1047472578.db2.gz ZKWYHIMPHZENAF-UHFFFAOYSA-N 0 3 318.420 4.293 20 0 DIADHN CCOC(=O)c1ccc(CN(CC)Cc2cccc(F)c2)cc1 ZINC001142599923 1047472592 /nfs/dbraw/zinc/47/25/92/1047472592.db2.gz ZRYAMWCMQCWCRB-UHFFFAOYSA-N 0 3 315.388 4.025 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(N(C)C)c2)cc1Cl ZINC000119858890 1047474796 /nfs/dbraw/zinc/47/47/96/1047474796.db2.gz FYCUJPAVQGJCFM-ZDUSSCGKSA-N 0 3 318.848 4.265 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nc(C2CC2)no1 ZINC000120090658 1047482611 /nfs/dbraw/zinc/48/26/11/1047482611.db2.gz IJECRIIKACEOMS-IRXDYDNUSA-N 0 3 311.429 4.105 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccc(F)cc1C)CCC2 ZINC000537627160 1047487565 /nfs/dbraw/zinc/48/75/65/1047487565.db2.gz OOKSEGYQLJFYCE-MRXNPFEDSA-N 0 3 304.434 4.408 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1cc(F)ccc1F ZINC000120307401 1047490659 /nfs/dbraw/zinc/49/06/59/1047490659.db2.gz YHIHBIYYVUFJNU-DYVFJYSZSA-N 0 3 320.383 4.046 20 0 DIADHN C[C@H](N)c1ccn(C2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC001168336906 1047492395 /nfs/dbraw/zinc/49/23/95/1047492395.db2.gz HZQGFXFCZMRZTM-YGONEPDPSA-N 0 3 303.837 4.455 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@@H]3CC(C)(C)C)o2)c(C)o1 ZINC000089891159 1047493106 /nfs/dbraw/zinc/49/31/06/1047493106.db2.gz UGZGKXKNYYNDPO-CQSZACIVSA-N 0 3 317.433 4.347 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@@H]3CCC(C)C)o2)c(C)o1 ZINC000089910149 1047494063 /nfs/dbraw/zinc/49/40/63/1047494063.db2.gz FDPWJYBKVWTXGB-OAHLLOKOSA-N 0 3 317.433 4.347 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCC1)c1cc(F)cc(F)c1 ZINC000120782194 1047499841 /nfs/dbraw/zinc/49/98/41/1047499841.db2.gz NRKKWXUTABJQBR-SUMWQHHRSA-N 0 3 320.383 4.046 20 0 DIADHN O[C@@H]1CCN(Cc2ccccc2Sc2ccc(Cl)cc2)C1 ZINC001142862736 1047505392 /nfs/dbraw/zinc/50/53/92/1047505392.db2.gz HKIWJEKBOVGBQO-OAHLLOKOSA-N 0 3 319.857 4.058 20 0 DIADHN CCn1c2ccccc2c2cc(CN3CC[C@@H]3COC)ccc21 ZINC001142862631 1047505604 /nfs/dbraw/zinc/50/56/04/1047505604.db2.gz GKAXMLDBACGXJK-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN COc1ccccc1CN1CCC[C@@H](Oc2ccc(C)cc2)C1 ZINC001203431833 1047507386 /nfs/dbraw/zinc/50/73/86/1047507386.db2.gz AIVCCAKGGQTTBV-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@@H]1CC(=O)CCN1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168341241 1047508470 /nfs/dbraw/zinc/50/84/70/1047508470.db2.gz ISVGGXFMXZYVDK-BZOOQXSSSA-N 0 3 305.849 4.420 20 0 DIADHN CO[C@@H]1CN(C2CCC(c3ccc(Cl)cc3)CC2)C[C@@H]1F ZINC001168341949 1047509272 /nfs/dbraw/zinc/50/92/72/1047509272.db2.gz VXRCDAZORGXGGT-MHVXSEJMSA-N 0 3 311.828 4.035 20 0 DIADHN CC(C)(C)c1ncc(CN(C[C@@H]2CCCO2)C2CCCC2)s1 ZINC000537635099 1047513260 /nfs/dbraw/zinc/51/32/60/1047513260.db2.gz CJXJZLATCJEOFP-HNNXBMFYSA-N 0 3 322.518 4.364 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000090911288 1047513546 /nfs/dbraw/zinc/51/35/46/1047513546.db2.gz QKPLCETYYBAHKY-GXTWGEPZSA-N 0 3 311.385 4.092 20 0 DIADHN Cc1n[nH]c(C)c1CN(C)Cc1ccccc1-c1ccccc1 ZINC000121160413 1047514595 /nfs/dbraw/zinc/51/45/95/1047514595.db2.gz KYLFPXOEQAIQPC-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN Cn1nc(-c2ccccc2)cc1CN1CCC2(CCCC2)CC1 ZINC001143006728 1047525115 /nfs/dbraw/zinc/52/51/15/1047525115.db2.gz RRGBHOBVSDUTTG-UHFFFAOYSA-N 0 3 309.457 4.243 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN2CCCO[C@H](C)C2)o1 ZINC000537636711 1047526746 /nfs/dbraw/zinc/52/67/46/1047526746.db2.gz LSVANNIEZUQBDY-CYBMUJFWSA-N 0 3 303.377 4.005 20 0 DIADHN CN(Cc1ccc(Cl)c(Cl)c1)Cc1cccc2nonc21 ZINC001203129851 1047527155 /nfs/dbraw/zinc/52/71/55/1047527155.db2.gz LCQOTIWNKZQVSD-UHFFFAOYSA-N 0 3 322.195 4.162 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000122109591 1047536631 /nfs/dbraw/zinc/53/66/31/1047536631.db2.gz YFGNXOKKBLIFAQ-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN C[C@@H](N(C)CC(=O)N[C@@H](c1cccs1)C(C)(C)C)C1(C)CC1 ZINC000476598510 1047536825 /nfs/dbraw/zinc/53/68/25/1047536825.db2.gz PQPPDPRPWWOIFA-CJNGLKHVSA-N 0 3 322.518 4.072 20 0 DIADHN c1sc(CN2CCCC[C@H]2c2ccccc2)c2c1OCCO2 ZINC001143181081 1047538718 /nfs/dbraw/zinc/53/87/18/1047538718.db2.gz DMHKLKXKVBXIFZ-HNNXBMFYSA-N 0 3 315.438 4.247 20 0 DIADHN Fc1ccc2oc(CN3CCC(OC4CCCC4)CC3)cc2c1 ZINC000092758560 1047539451 /nfs/dbraw/zinc/53/94/51/1047539451.db2.gz GDOBBVXXOVFCJY-UHFFFAOYSA-N 0 3 317.404 4.496 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](c3ccccc3)C3CC3)c(C)n2n1 ZINC000122211400 1047540586 /nfs/dbraw/zinc/54/05/86/1047540586.db2.gz LGPWISYPVFHOTL-JLTOFOAXSA-N 0 3 320.440 4.148 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cc3cccnc3[nH]2)C1 ZINC001143224491 1047542596 /nfs/dbraw/zinc/54/25/96/1047542596.db2.gz TXNWLLXZVPCKAV-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN FC(F)c1ccc(CN2CCC[C@@H](Oc3ccncc3)C2)cc1 ZINC001143256283 1047544318 /nfs/dbraw/zinc/54/43/18/1047544318.db2.gz IHBXUFAHFLIJPM-QGZVFWFLSA-N 0 3 318.367 4.063 20 0 DIADHN CC(C)c1ncc(CN(C)[C@H](C)Cc2ccc(O)cc2)s1 ZINC000093324587 1047545633 /nfs/dbraw/zinc/54/56/33/1047545633.db2.gz PZXMSESZBCOOLH-CYBMUJFWSA-N 0 3 304.459 4.035 20 0 DIADHN CC[C@@H](NCc1ccccc1COC)c1cc(C)ccc1OC ZINC000093371474 1047547049 /nfs/dbraw/zinc/54/70/49/1047547049.db2.gz XBPFNGOGYHKSAB-LJQANCHMSA-N 0 3 313.441 4.391 20 0 DIADHN CC[C@H](CCc1ccccc1)NCc1snc(OC)c1Cl ZINC001203136477 1047547135 /nfs/dbraw/zinc/54/71/35/1047547135.db2.gz PGSQHZMZKLTOEK-CYBMUJFWSA-N 0 3 324.877 4.306 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@H]4CCCC[C@H]43)cnc21 ZINC000093480651 1047548401 /nfs/dbraw/zinc/54/84/01/1047548401.db2.gz BKNZVUJXDYMAJZ-SJLPKXTDSA-N 0 3 312.461 4.167 20 0 DIADHN CCN(Cc1c(Cl)cccc1C(F)(F)F)C1CCOCC1 ZINC001143287367 1047549098 /nfs/dbraw/zinc/54/90/98/1047549098.db2.gz MOHHNVBAMIEAAX-UHFFFAOYSA-N 0 3 321.770 4.360 20 0 DIADHN CCOc1cccc(CN2CCC(OCC3CCCC3)CC2)c1 ZINC000537660716 1047572556 /nfs/dbraw/zinc/57/25/56/1047572556.db2.gz HPTWJNHYPSXTSS-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@@H]2COCC[C@H]21 ZINC000537690028 1047575157 /nfs/dbraw/zinc/57/51/57/1047575157.db2.gz BHGWUSNHZYILHZ-NVXWUHKLSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1ccc(SCCN(C)[C@H](C)c2ccncc2)cc1C ZINC000537678799 1047575177 /nfs/dbraw/zinc/57/51/77/1047575177.db2.gz VGWUISMVEDZOQC-MRXNPFEDSA-N 0 3 300.471 4.484 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccnc(Cl)c2)cc1C ZINC000926902997 1047576298 /nfs/dbraw/zinc/57/62/98/1047576298.db2.gz CBHJBGNKGMNOJL-STQMWFEESA-N 0 3 304.821 4.464 20 0 DIADHN Clc1cc(Cl)cc(CN2CCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000537696060 1047582966 /nfs/dbraw/zinc/58/29/66/1047582966.db2.gz DXZDFYXLUDRLQT-KGLIPLIRSA-N 0 3 314.256 4.242 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2cccc(F)c2[nH]1)C(C)C ZINC001150766399 1047584196 /nfs/dbraw/zinc/58/41/96/1047584196.db2.gz FSIVBCMEFXKOPV-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1CCc1noc2ccccc21 ZINC000653732176 1047602013 /nfs/dbraw/zinc/60/20/13/1047602013.db2.gz QXBBDENRHHSNDS-RYUDHWBXSA-N 0 3 312.335 4.033 20 0 DIADHN Cc1cc(CNCc2ccc(C(F)(F)F)cc2)cc(C)c1O ZINC000315282268 1047603195 /nfs/dbraw/zinc/60/31/95/1047603195.db2.gz CAMJTVXMJYZHEO-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN CC(C)CC(CC(C)C)N[C@H](C)c1nnc2n1CCCCC2 ZINC000315356630 1047604049 /nfs/dbraw/zinc/60/40/49/1047604049.db2.gz CRWVLAIQSDHOSE-OAHLLOKOSA-N 0 3 306.498 4.116 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N(C)CC1CCCC1 ZINC000060211386 1047605217 /nfs/dbraw/zinc/60/52/17/1047605217.db2.gz DGPFKVGJJRVTSS-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc3c(c2)C[C@H](C)O3)s1 ZINC000926922530 1047608996 /nfs/dbraw/zinc/60/89/96/1047608996.db2.gz IQNWYIQEQUKRSU-RYUDHWBXSA-N 0 3 316.470 4.188 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1ccn(C2CCCC2)n1 ZINC000477286318 1047610405 /nfs/dbraw/zinc/61/04/05/1047610405.db2.gz RSVGZOQIJMQOBZ-SJLPKXTDSA-N 0 3 309.457 4.376 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccc3c(c2)OCO3)c(C)s1 ZINC000477462582 1047620848 /nfs/dbraw/zinc/62/08/48/1047620848.db2.gz ZQHAGHRQVNNQEX-INIZCTEOSA-N 0 3 315.438 4.431 20 0 DIADHN CCc1ccc(-c2noc(CN3CCC[C@H]3C3CCC3)n2)cc1 ZINC000477604489 1047627449 /nfs/dbraw/zinc/62/74/49/1047627449.db2.gz URIJXJPCFYEHKL-KRWDZBQOSA-N 0 3 311.429 4.064 20 0 DIADHN COc1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)ccn1 ZINC000565962960 1047634724 /nfs/dbraw/zinc/63/47/24/1047634724.db2.gz ZHRGURXRXLYQSV-ORAYPTAESA-N 0 3 314.404 4.421 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)sc1C ZINC000477761483 1047635117 /nfs/dbraw/zinc/63/51/17/1047635117.db2.gz ICCGLRVNGWXDFV-NHYWBVRUSA-N 0 3 304.434 4.277 20 0 DIADHN CCOc1cccc(CN(CCC(F)(F)F)CC2CC2)c1 ZINC000537711563 1047635192 /nfs/dbraw/zinc/63/51/92/1047635192.db2.gz ZKKCPVFKYAQFIQ-UHFFFAOYSA-N 0 3 301.352 4.250 20 0 DIADHN CCCC[C@@H](CC)CCN[C@@H](C)c1nnc2n1CCCCC2 ZINC000537746037 1047636142 /nfs/dbraw/zinc/63/61/42/1047636142.db2.gz BHGGNCWTOWCRLK-JKSUJKDBSA-N 0 3 306.498 4.262 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)sc1C ZINC000477764818 1047636851 /nfs/dbraw/zinc/63/68/51/1047636851.db2.gz HJDCVCKGUDQWIR-CXAGYDPISA-N 0 3 300.471 4.386 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1CCC[C@H](C)C1 ZINC000537811475 1047638278 /nfs/dbraw/zinc/63/82/78/1047638278.db2.gz WOGYHMRZHGSLEW-YOEHRIQHSA-N 0 3 302.462 4.000 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CC[C@H](C)C3(CCC3)C2)c1C ZINC000537841649 1047640204 /nfs/dbraw/zinc/64/02/04/1047640204.db2.gz RQWDSQOQRFZPSZ-DOTOQJQBSA-N 0 3 314.473 4.143 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2csc(-c3cccs3)n2)CCO1 ZINC000537866305 1047640746 /nfs/dbraw/zinc/64/07/46/1047640746.db2.gz YJJFYDUMELFCIC-JSGCOSHPSA-N 0 3 322.499 4.165 20 0 DIADHN C[C@@H](NCCCc1cccc(F)c1)c1nc2c(s1)CCCC2 ZINC000537889112 1047642846 /nfs/dbraw/zinc/64/28/46/1047642846.db2.gz VHQAWIJOANCAIJ-CYBMUJFWSA-N 0 3 318.461 4.444 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccnc(SC)c2)cc1 ZINC000537936771 1047644691 /nfs/dbraw/zinc/64/46/91/1047644691.db2.gz HCXINANFEJQLLM-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN CC[C@@H](COC)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000537940849 1047644870 /nfs/dbraw/zinc/64/48/70/1047644870.db2.gz YKCYKFQBQGLFEZ-WMZOPIPTSA-N 0 3 318.848 4.033 20 0 DIADHN CSc1cc(CN[C@H]2CCc3ccc(Cl)cc32)ccn1 ZINC000537936781 1047645002 /nfs/dbraw/zinc/64/50/02/1047645002.db2.gz IDTSLOGRHJYAJN-HNNXBMFYSA-N 0 3 304.846 4.234 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)c(C)c1 ZINC000537958733 1047646714 /nfs/dbraw/zinc/64/67/14/1047646714.db2.gz GPANCFSKBTTXFC-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CCC[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccc(OC)cc1 ZINC000537946758 1047648813 /nfs/dbraw/zinc/64/88/13/1047648813.db2.gz SFDHXCGOUXHXPS-NHCUHLMSSA-N 0 3 324.468 4.313 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1C)c1ccc(F)cc1N(C)C ZINC000537980338 1047650027 /nfs/dbraw/zinc/65/00/27/1047650027.db2.gz RJTPEKRNLPSVRB-YRVVQQKDSA-N 0 3 312.432 4.476 20 0 DIADHN CC(C)C[C@@H](NCCOc1ccc(F)c(F)c1)c1ccccn1 ZINC000537967630 1047650531 /nfs/dbraw/zinc/65/05/31/1047650531.db2.gz SZIJZGXYDGLRJH-GOSISDBHSA-N 0 3 320.383 4.116 20 0 DIADHN CCN(Cc1ccccc1)C(=O)CN[C@H](C)c1cccc(C)c1C ZINC000537973238 1047651440 /nfs/dbraw/zinc/65/14/40/1047651440.db2.gz ATTSPTXXVFBHKR-GOSISDBHSA-N 0 3 324.468 4.003 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1c(Cl)ccc2cccnc21 ZINC000537994091 1047653366 /nfs/dbraw/zinc/65/33/66/1047653366.db2.gz AIKJVDPFXUNUKI-ZDUSSCGKSA-N 0 3 308.878 4.462 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1cc(C)c(C)cc1C ZINC000538018103 1047654208 /nfs/dbraw/zinc/65/42/08/1047654208.db2.gz KZMOQISTMVEOEE-DOTOQJQBSA-N 0 3 303.471 4.366 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCc1c(F)cccc1Cl ZINC000538036802 1047654557 /nfs/dbraw/zinc/65/45/57/1047654557.db2.gz DUWVSMGDMHVYEW-INIZCTEOSA-N 0 3 321.827 4.199 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2)c1C ZINC000538186468 1047661856 /nfs/dbraw/zinc/66/18/56/1047661856.db2.gz OJRLBBGHVKVORK-MSOLQXFVSA-N 0 3 324.468 4.001 20 0 DIADHN CC[C@H](C)[C@H](NCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000538196145 1047662584 /nfs/dbraw/zinc/66/25/84/1047662584.db2.gz ZOAMRSFIWNOANR-KSSFIOAISA-N 0 3 322.880 4.029 20 0 DIADHN Cn1cc(CN(Cc2ccccc2)C2CC2)c(-c2ccccc2)n1 ZINC000538283166 1047666655 /nfs/dbraw/zinc/66/66/55/1047666655.db2.gz NJAYISBLOFNMGP-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)cn1 ZINC000538324259 1047669495 /nfs/dbraw/zinc/66/94/95/1047669495.db2.gz FTSBBTWQDOVYPQ-ULFGMLNVSA-N 0 3 316.832 4.231 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cc(-c2ccccc2)ccn1 ZINC001155247471 1047672984 /nfs/dbraw/zinc/67/29/84/1047672984.db2.gz IHGNNDJFJMNHGZ-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN Cn1c(CN[C@H](CC2CCCCC2)C(C)(C)C)nnc1C1CC1 ZINC000538392195 1047673105 /nfs/dbraw/zinc/67/31/05/1047673105.db2.gz OPRMACMKKIWKLP-MRXNPFEDSA-N 0 3 318.509 4.167 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc2cc(Cl)ccc2n1 ZINC001155247828 1047673295 /nfs/dbraw/zinc/67/32/95/1047673295.db2.gz MQVVCRHXRWZLHN-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN CCO[C@H]1C[C@H](NCc2c(CC)noc2CC)C12CCCCC2 ZINC000538393216 1047674315 /nfs/dbraw/zinc/67/43/15/1047674315.db2.gz JROOGPXVQSXLLE-ROUUACIJSA-N 0 3 320.477 4.017 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H](c2cccnc2)C2CC2)cc1 ZINC000538419836 1047675319 /nfs/dbraw/zinc/67/53/19/1047675319.db2.gz BOWMINBOPKGBFE-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2[nH]ccc2c(F)c1)C(C)C ZINC001155408132 1047679322 /nfs/dbraw/zinc/67/93/22/1047679322.db2.gz RGNNPVOZTOEHLS-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN c1cc2c(s1)[C@H](Nc1cc(-c3ccccc3)ccn1)CNC2 ZINC001155560128 1047683879 /nfs/dbraw/zinc/68/38/79/1047683879.db2.gz XQYZNEDOIRXEKV-MRXNPFEDSA-N 0 3 307.422 4.067 20 0 DIADHN Clc1cc(N[C@H]2CNCc3ccsc32)c2ccccc2n1 ZINC001155559935 1047684053 /nfs/dbraw/zinc/68/40/53/1047684053.db2.gz SBJAIOWNBXVGJY-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN CC(C)c1ccc2ncnc(N[C@@H]3CNCc4ccsc43)c2c1 ZINC001155566293 1047684790 /nfs/dbraw/zinc/68/47/90/1047684790.db2.gz YIXDKZOTOSJHGF-MRXNPFEDSA-N 0 3 324.453 4.071 20 0 DIADHN Cc1ccc2c(C)cc(N[C@@H]3CNCc4ccsc43)nc2c1C ZINC001155563308 1047685180 /nfs/dbraw/zinc/68/51/80/1047685180.db2.gz BMALBZWXJMCNIX-MRXNPFEDSA-N 0 3 323.465 4.478 20 0 DIADHN CCN1CCN(c2ccccc2N[C@@H](C)c2cccc(C)c2)CC1 ZINC000538545303 1047685350 /nfs/dbraw/zinc/68/53/50/1047685350.db2.gz OISHMYCGAQTNBH-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000538533871 1047685752 /nfs/dbraw/zinc/68/57/52/1047685752.db2.gz CUXMHCSYSVXFQR-SJLPKXTDSA-N 0 3 314.429 4.025 20 0 DIADHN COCC1(N[C@@H](C)c2sc(-c3ccc(C)cc3)nc2C)CC1 ZINC000538551949 1047687573 /nfs/dbraw/zinc/68/75/73/1047687573.db2.gz LFZZKDAQAGYXBG-AWEZNQCLSA-N 0 3 316.470 4.257 20 0 DIADHN CN(Cc1ccc(C(F)F)cc1)C[C@@H]1OCCc2ccccc21 ZINC000461397113 1047689326 /nfs/dbraw/zinc/68/93/26/1047689326.db2.gz DIIYXMYFWKQITM-SFHVURJKSA-N 0 3 317.379 4.370 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC000538716866 1047699126 /nfs/dbraw/zinc/69/91/26/1047699126.db2.gz IIXWELSJHIYUPB-LBPRGKRZSA-N 0 3 307.846 4.400 20 0 DIADHN c1nc(-c2ccccn2)sc1CN1CC[C@H]1Cc1ccccc1 ZINC000538723555 1047701162 /nfs/dbraw/zinc/70/11/62/1047701162.db2.gz LUINZNVAPIJIOJ-INIZCTEOSA-N 0 3 321.449 4.022 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(C(C)(C)C)s1 ZINC000538727677 1047701172 /nfs/dbraw/zinc/70/11/72/1047701172.db2.gz OKTPSGIZVSBIQV-GFCCVEGCSA-N 0 3 300.537 4.404 20 0 DIADHN CCc1ncc(CN(CCc2cccc(F)c2)C2CC2)s1 ZINC000538726151 1047701217 /nfs/dbraw/zinc/70/12/17/1047701217.db2.gz ZMDRNVYPFIXQPJ-UHFFFAOYSA-N 0 3 304.434 4.052 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN(CCc1ccc(F)cc1)C1CC1 ZINC000538731331 1047703806 /nfs/dbraw/zinc/70/38/06/1047703806.db2.gz CQDBIUNXYZSSAQ-UHFFFAOYSA-N 0 3 315.436 4.053 20 0 DIADHN Cc1cccc([C@H]2CCCCCN2Cc2cnc3cnccn23)c1 ZINC000538737226 1047704628 /nfs/dbraw/zinc/70/46/28/1047704628.db2.gz NDXQONDGTUBYRH-LJQANCHMSA-N 0 3 320.440 4.155 20 0 DIADHN Cc1cnc(CCN(C)Cc2cc(Cl)cc(Cl)c2)s1 ZINC000538724918 1047706522 /nfs/dbraw/zinc/70/65/22/1047706522.db2.gz ISVQLUUBOVEUDD-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN Clc1ccccc1OC[C@H]1CCCN(Cc2ccco2)C1 ZINC000538761429 1047710184 /nfs/dbraw/zinc/71/01/84/1047710184.db2.gz CYGBHGCEUDXZNS-AWEZNQCLSA-N 0 3 305.805 4.224 20 0 DIADHN Fc1cnc(NC[C@H](c2ccccc2)N2CCCC2)c(Cl)c1 ZINC001156532632 1047716286 /nfs/dbraw/zinc/71/62/86/1047716286.db2.gz YRJBXPYWFJYCEV-MRXNPFEDSA-N 0 3 319.811 4.123 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@H]1CCC[C@@H](C)C1 ZINC000538912823 1047718687 /nfs/dbraw/zinc/71/86/87/1047718687.db2.gz DGEVDENDNCTNHN-KGLIPLIRSA-N 0 3 324.415 4.052 20 0 DIADHN Cc1csc(N2CCN(CC[C@H]3C[C@H](C)C[C@H](C)C3)CC2)n1 ZINC001307414438 1047720405 /nfs/dbraw/zinc/72/04/05/1047720405.db2.gz JYMWIRGGAMWRHD-QLPKVWCKSA-N 0 3 321.534 4.036 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000538954849 1047720535 /nfs/dbraw/zinc/72/05/35/1047720535.db2.gz DTUNIQWJHQJTSE-ZFWWWQNUSA-N 0 3 300.402 4.113 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(F)cc1N(C)C ZINC000539040268 1047726258 /nfs/dbraw/zinc/72/62/58/1047726258.db2.gz VKHRUHHNRFWKSU-SGTLLEGYSA-N 0 3 316.395 4.369 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@@H](C)c2ccccc2F)cc1 ZINC000539051325 1047727997 /nfs/dbraw/zinc/72/79/97/1047727997.db2.gz FIKCITBDMQDFEE-IQUTYRLHSA-N 0 3 317.404 4.261 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1nnc(C(C)(C)C)s1 ZINC000539060600 1047729878 /nfs/dbraw/zinc/72/98/78/1047729878.db2.gz UVNDBUHUZSSWBV-OAHLLOKOSA-N 0 3 317.502 4.337 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CSCCc3ccccc32)c1 ZINC000539047929 1047731152 /nfs/dbraw/zinc/73/11/52/1047731152.db2.gz ZMJOZPOGQOCWSZ-LJQANCHMSA-N 0 3 313.466 4.124 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CCc3c2cccc3Cl)cc1 ZINC000539046489 1047731549 /nfs/dbraw/zinc/73/15/49/1047731549.db2.gz YQRGADVXSFQFOZ-RTBURBONSA-N 0 3 315.844 4.213 20 0 DIADHN COC[C@H](N[C@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000539067046 1047733798 /nfs/dbraw/zinc/73/37/98/1047733798.db2.gz RTTXODWEPOPUCT-CJNGLKHVSA-N 0 3 301.455 4.275 20 0 DIADHN CC(C)[C@H](NCCOCC(F)(F)F)c1ccccc1Cl ZINC000539213591 1047740819 /nfs/dbraw/zinc/74/08/19/1047740819.db2.gz MLCCEVDVVXQBEK-ZDUSSCGKSA-N 0 3 309.759 4.206 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](C)c1nc2ccccc2n1C ZINC000539202123 1047740863 /nfs/dbraw/zinc/74/08/63/1047740863.db2.gz GGPWFBYQHGXFIS-INIZCTEOSA-N 0 3 323.440 4.001 20 0 DIADHN Cc1ccc(OCCCN[C@@H](C)c2nc3ccccc3n2C)cc1 ZINC000539202222 1047740929 /nfs/dbraw/zinc/74/09/29/1047740929.db2.gz JLGSGXONLJWEEE-INIZCTEOSA-N 0 3 323.440 4.001 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CN(C)Cc3ccccc32)C(C)C)cc1 ZINC000539214610 1047741959 /nfs/dbraw/zinc/74/19/59/1047741959.db2.gz REZXWLIXLPQAPV-NHCUHLMSSA-N 0 3 324.468 4.169 20 0 DIADHN COc1ccc([C@H](N[C@H]2CN(C)Cc3ccccc32)C(C)C)cc1 ZINC000539214609 1047742289 /nfs/dbraw/zinc/74/22/89/1047742289.db2.gz REZXWLIXLPQAPV-LEWJYISDSA-N 0 3 324.468 4.169 20 0 DIADHN CCC(CC)[C@H](NCC(=O)Nc1ccccc1)c1cccs1 ZINC000539276864 1047744019 /nfs/dbraw/zinc/74/40/19/1047744019.db2.gz LPBMMEJWMAVEJU-SFHVURJKSA-N 0 3 316.470 4.454 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2ccccc2Cl)c1C ZINC000539287233 1047745315 /nfs/dbraw/zinc/74/53/15/1047745315.db2.gz PEOPOAYYFFLFSH-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@H](c2cccs2)C2CC2)c1 ZINC000539368054 1047749859 /nfs/dbraw/zinc/74/98/59/1047749859.db2.gz DNYDQJNWQGSNOY-SFHVURJKSA-N 0 3 314.454 4.044 20 0 DIADHN C[C@H]1CCN(Cc2cccc3nccn32)[C@@H]1c1cccc(F)c1 ZINC000539381704 1047750755 /nfs/dbraw/zinc/75/07/55/1047750755.db2.gz NUQRBYJOLMRTKH-LIRRHRJNSA-N 0 3 309.388 4.057 20 0 DIADHN CCC[C@@H](O)CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000927031066 1047754442 /nfs/dbraw/zinc/75/44/42/1047754442.db2.gz YNGNDSFNLYLZDZ-DZGCQCFKSA-N 0 3 304.459 4.012 20 0 DIADHN CCC[C@H](O)CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000927031069 1047754847 /nfs/dbraw/zinc/75/48/47/1047754847.db2.gz YNGNDSFNLYLZDZ-HIFRSBDPSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477852644 1047755987 /nfs/dbraw/zinc/75/59/87/1047755987.db2.gz UAIVQFZSKHYMAZ-HOTGVXAUSA-N 0 3 306.425 4.143 20 0 DIADHN CC(C)OCCCNc1nc(N2CCCC2)cc2ccccc21 ZINC001157870786 1047760900 /nfs/dbraw/zinc/76/09/00/1047760900.db2.gz PSLAOKSDXSNOLG-UHFFFAOYSA-N 0 3 313.445 4.062 20 0 DIADHN Cc1ccc(C(C)(C)Nc2ccc(CN(C)C3CC3)cn2)cc1 ZINC001157978883 1047765623 /nfs/dbraw/zinc/76/56/23/1047765623.db2.gz BLSPTQIJSAZMAG-UHFFFAOYSA-N 0 3 309.457 4.331 20 0 DIADHN CC(C)(C)CC(C)(C)N1CCN(C(=O)C(F)=C2CCCC2)CC1 ZINC001158071547 1047768926 /nfs/dbraw/zinc/76/89/26/1047768926.db2.gz OOFYRNARVKNENE-UHFFFAOYSA-N 0 3 324.484 4.143 20 0 DIADHN COc1ccnc(NCCCc2ccc(C(F)(F)F)cc2)c1 ZINC001158460385 1047779830 /nfs/dbraw/zinc/77/98/30/1047779830.db2.gz FOQSBJLFROLXLN-UHFFFAOYSA-N 0 3 310.319 4.154 20 0 DIADHN Cc1nc(N[C@@H]2C[C@@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC001158469792 1047782684 /nfs/dbraw/zinc/78/26/84/1047782684.db2.gz XOCIMQGLNBZKTB-CXAGYDPISA-N 0 3 308.429 4.046 20 0 DIADHN Cc1nc(N[C@H]2C[C@@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC001158469793 1047782795 /nfs/dbraw/zinc/78/27/95/1047782795.db2.gz XOCIMQGLNBZKTB-DYVFJYSZSA-N 0 3 308.429 4.046 20 0 DIADHN C[C@H]1C[C@@H](Nc2ccc(CN(C)C3CC3)cn2)c2ccccc21 ZINC001158474994 1047784018 /nfs/dbraw/zinc/78/40/18/1047784018.db2.gz AAFXGBDHRBMEDY-IFXJQAMLSA-N 0 3 307.441 4.336 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000478435864 1047785548 /nfs/dbraw/zinc/78/55/48/1047785548.db2.gz VYFROQKGTIATAH-WFGGJUAMSA-N 0 3 317.408 4.351 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1Cl ZINC000927068149 1047793014 /nfs/dbraw/zinc/79/30/14/1047793014.db2.gz RBKAMECZOCOJBI-SJKOYZFVSA-N 0 3 321.852 4.130 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1F ZINC000927070883 1047796702 /nfs/dbraw/zinc/79/67/02/1047796702.db2.gz QDFVFYZVIOVSJI-YVEFUNNKSA-N 0 3 321.465 4.329 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCCOc1ccc(F)cc1F ZINC000552905560 1047805351 /nfs/dbraw/zinc/80/53/51/1047805351.db2.gz IZTGBVLDGSAXFE-CYBMUJFWSA-N 0 3 321.367 4.011 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1Nc1ccnc(CN)c1 ZINC001159296430 1047826378 /nfs/dbraw/zinc/82/63/78/1047826378.db2.gz MYZBIBZDDOUTMQ-UHFFFAOYSA-N 0 3 307.397 4.224 20 0 DIADHN CSc1cc(Nc2ccnc(CN)c2)cc(C(F)(F)F)c1 ZINC001159295983 1047826443 /nfs/dbraw/zinc/82/64/43/1047826443.db2.gz ADQKSBZXFJKPRU-UHFFFAOYSA-N 0 3 313.348 4.025 20 0 DIADHN CCCOc1ccc2cc(Nc3ccnc(CN)c3)ccc2c1 ZINC001159297069 1047826481 /nfs/dbraw/zinc/82/64/81/1047826481.db2.gz ZYKNOQBXZSBWMO-UHFFFAOYSA-N 0 3 307.397 4.226 20 0 DIADHN Fc1cc(Cl)cc(Cl)c1[N-]c1cc([C@H]2CCC[NH2+]2)no1 ZINC001159308166 1047828862 /nfs/dbraw/zinc/82/88/62/1047828862.db2.gz HUXZJVKVTQHMTO-SNVBAGLBSA-N 0 3 316.163 4.289 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1ccc(-n3cccn3)cc1)CC2 ZINC000479166158 1047842048 /nfs/dbraw/zinc/84/20/48/1047842048.db2.gz ICUYGELLDKREFW-LJQANCHMSA-N 0 3 323.827 4.303 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccc2c(c1)OCO2 ZINC000479162367 1047843501 /nfs/dbraw/zinc/84/35/01/1047843501.db2.gz CYXPSLLCYGEUMJ-BDJLRTHQSA-N 0 3 315.800 4.407 20 0 DIADHN CC(C)COc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000553379120 1047844003 /nfs/dbraw/zinc/84/40/03/1047844003.db2.gz HTIGZLGQCSBKLG-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN Nc1cc(F)ccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001159442427 1047844002 /nfs/dbraw/zinc/84/40/02/1047844002.db2.gz LZVXOLUSPOXNEO-MSOLQXFVSA-N 0 3 323.415 4.141 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1Cl)N(C)C[C@@H](C)C(C)(C)C ZINC001197600896 1047851786 /nfs/dbraw/zinc/85/17/86/1047851786.db2.gz FSDUQVVCXSAEFH-CHWSQXEVSA-N 0 3 310.869 4.281 20 0 DIADHN CC(C)(O)[C@@H]1CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC001197591378 1047853148 /nfs/dbraw/zinc/85/31/48/1047853148.db2.gz YEBYJVFWSGEAGY-GFCCVEGCSA-N 0 3 307.821 4.069 20 0 DIADHN CN1CCc2ccc(Nc3ccc(N4CCCC4)cc3)cc2C1 ZINC001174527922 1047856660 /nfs/dbraw/zinc/85/66/60/1047856660.db2.gz RFEHGIOWZFILGG-UHFFFAOYSA-N 0 3 307.441 4.018 20 0 DIADHN Brc1ccc([C@H](NC2CC2)c2ccccc2)cc1 ZINC000479262346 1047857141 /nfs/dbraw/zinc/85/71/41/1047857141.db2.gz YUEVELBHNZYCPE-MRXNPFEDSA-N 0 3 302.215 4.291 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C)[C@H]2c2ccccc2)cs1 ZINC001197657237 1047858370 /nfs/dbraw/zinc/85/83/70/1047858370.db2.gz ACQWSBDTXWAVMG-GUYCJALGSA-N 0 3 315.438 4.118 20 0 DIADHN Cc1cnccc1Nc1ccnc2cc(C(F)(F)F)ccc21 ZINC001174535967 1047863257 /nfs/dbraw/zinc/86/32/57/1047863257.db2.gz GVJLQAYIVTVESH-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN CCCCCC[C@H]1CCC[C@H]1NCc1nccc(OC)c1F ZINC001182950013 1047864257 /nfs/dbraw/zinc/86/42/57/1047864257.db2.gz SCJBTGNVMVOFQF-LSDHHAIUSA-N 0 3 308.441 4.458 20 0 DIADHN Fc1cccc(/C=C\CN2CCC([C@H]3CCCCO3)CC2)c1 ZINC001182918634 1047864605 /nfs/dbraw/zinc/86/46/05/1047864605.db2.gz PQPVBKVYZQCRIR-GJEJWFRCSA-N 0 3 303.421 4.120 20 0 DIADHN Cc1cnccc1Nc1cc(F)c(OCC2CCC2)c(F)c1 ZINC001174538744 1047865346 /nfs/dbraw/zinc/86/53/46/1047865346.db2.gz OVUABINMTZXYLL-UHFFFAOYSA-N 0 3 304.340 4.013 20 0 DIADHN Cc1cnccc1Nc1ccc(F)cc1NC(=O)OC(C)(C)C ZINC001174537455 1047865381 /nfs/dbraw/zinc/86/53/81/1047865381.db2.gz GATYLTSVMPBFPC-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN Fc1cccc(/C=C/CN2CCC([C@H]3CCCCO3)CC2)c1 ZINC001182918633 1047865428 /nfs/dbraw/zinc/86/54/28/1047865428.db2.gz PQPVBKVYZQCRIR-FHHGHWCOSA-N 0 3 303.421 4.120 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@H](C3CCC3)C2)cc(Cl)c1[O-] ZINC001182959150 1047866820 /nfs/dbraw/zinc/86/68/20/1047866820.db2.gz HPJFYXBWFNWPQM-HNNXBMFYSA-N 0 3 323.864 4.456 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@H](C3CCC3)C2)cc(Cl)c1[O-] ZINC001182959150 1047866835 /nfs/dbraw/zinc/86/68/35/1047866835.db2.gz HPJFYXBWFNWPQM-HNNXBMFYSA-N 0 3 323.864 4.456 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cc2ccccc2cn1 ZINC001159592032 1047875159 /nfs/dbraw/zinc/87/51/59/1047875159.db2.gz INHOIFSTTYUFQG-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN [O-]c1cccc(Nc2ccc(C3CC[NH2+]CC3)cc2)c1Cl ZINC001159598504 1047877916 /nfs/dbraw/zinc/87/79/16/1047877916.db2.gz QBGVGWWYOIGBFT-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc(C(C)(C)C)cn1 ZINC001159597215 1047878512 /nfs/dbraw/zinc/87/85/12/1047878512.db2.gz CFPZJOZXYKINCI-AWEZNQCLSA-N 0 3 320.440 4.252 20 0 DIADHN CCNC(=O)Nc1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001174519099 1047879949 /nfs/dbraw/zinc/87/99/49/1047879949.db2.gz YTVHNMOVMGBBAB-UHFFFAOYSA-N 0 3 310.401 4.234 20 0 DIADHN C[C@H]1Cc2n[nH]cc2CN(Cc2ccc(C3CCCC3)cc2)C1 ZINC001197785776 1047881721 /nfs/dbraw/zinc/88/17/21/1047881721.db2.gz LACQGPRLIRDOIC-HNNXBMFYSA-N 0 3 309.457 4.262 20 0 DIADHN Cc1ccccc1[C@@H](CO)N(C)Cc1cccc(C(F)F)c1 ZINC001197818939 1047888520 /nfs/dbraw/zinc/88/85/20/1047888520.db2.gz QIZUTSCYJCEISZ-QGZVFWFLSA-N 0 3 305.368 4.098 20 0 DIADHN CC(C)(C)OC(=O)c1ccccc1CNC1CC2(CCC2)C1 ZINC001183135856 1047896403 /nfs/dbraw/zinc/89/64/03/1047896403.db2.gz DPGOQQKCJQQSID-UHFFFAOYSA-N 0 3 301.430 4.064 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccccc1)c1ccc(F)cc1Cl ZINC000774593025 1047897263 /nfs/dbraw/zinc/89/72/63/1047897263.db2.gz WKLXZWQCTGSJNG-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2c3ccccc3C[C@H]2O)c2ccccc21 ZINC000479456792 1047897882 /nfs/dbraw/zinc/89/78/82/1047897882.db2.gz RECHRHNQMZVAHO-BURNTYAHSA-N 0 3 307.437 4.119 20 0 DIADHN CCc1ccc(C2CCN(Cc3cnc(F)cc3C)CC2)cc1 ZINC001183179497 1047898734 /nfs/dbraw/zinc/89/87/34/1047898734.db2.gz FIPFOADXBYXOAI-UHFFFAOYSA-N 0 3 312.432 4.471 20 0 DIADHN Cn1cccc1CN1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001203265751 1047902192 /nfs/dbraw/zinc/90/21/92/1047902192.db2.gz NODKOZKMXYCJHL-CYBMUJFWSA-N 0 3 309.240 4.321 20 0 DIADHN FC(F)n1cccc1CNCc1ccccc1CC(F)(F)F ZINC001183197449 1047903043 /nfs/dbraw/zinc/90/30/43/1047903043.db2.gz VSQOLADNJOPLMF-UHFFFAOYSA-N 0 3 318.289 4.278 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(C[C@H]2CCC=CO2)CC1 ZINC001183216804 1047905968 /nfs/dbraw/zinc/90/59/68/1047905968.db2.gz IIBXIGXLPWCWRP-QGZVFWFLSA-N 0 3 303.833 4.430 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cn1 ZINC000479490236 1047909672 /nfs/dbraw/zinc/90/96/72/1047909672.db2.gz URKUNWRDACMLMH-QGZVFWFLSA-N 0 3 316.832 4.301 20 0 DIADHN C[C@]1(CN2CCc3cccc(C(F)(F)F)c3C2)CCCS1 ZINC001183206368 1047910216 /nfs/dbraw/zinc/91/02/16/1047910216.db2.gz PNMIVNYDKAXZDC-OAHLLOKOSA-N 0 3 315.404 4.349 20 0 DIADHN CC(C)CN(Cc1ccccn1)C[C@@]1(C)CC1(Cl)Cl ZINC001198001233 1047912738 /nfs/dbraw/zinc/91/27/38/1047912738.db2.gz OPZKGPIGVHMUJM-CQSZACIVSA-N 0 3 301.261 4.124 20 0 DIADHN CC[C@H](NC[C@@H]1CCCC2(CCC2)O1)c1cc(F)ccc1OC ZINC001197970779 1047912980 /nfs/dbraw/zinc/91/29/80/1047912980.db2.gz ZDNYHKGEUCOYLK-RDJZCZTQSA-N 0 3 321.436 4.367 20 0 DIADHN CNc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001159741560 1047913412 /nfs/dbraw/zinc/91/34/12/1047913412.db2.gz YUSPATPKKMRUOE-RTWAWAEBSA-N 0 3 319.452 4.461 20 0 DIADHN O[C@H](CNCc1cc2ccccc2o1)c1ccc(F)cc1Cl ZINC000774614649 1047916398 /nfs/dbraw/zinc/91/63/98/1047916398.db2.gz KIPKZJWRXFIOKR-MRXNPFEDSA-N 0 3 319.763 4.049 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC001174629555 1047916431 /nfs/dbraw/zinc/91/64/31/1047916431.db2.gz AREGSXMLIBTERR-KDOFPFPSSA-N 0 3 323.864 4.130 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(C)c(C)c3)cc2)CC1 ZINC001174633041 1047916882 /nfs/dbraw/zinc/91/68/82/1047916882.db2.gz PGDVOYMCVXBOCX-UHFFFAOYSA-N 0 3 309.457 4.189 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(C)c(C)c3)c2C1 ZINC001174633330 1047916971 /nfs/dbraw/zinc/91/69/71/1047916971.db2.gz SWBOWLBUUYKLHO-UHFFFAOYSA-N 0 3 315.486 4.459 20 0 DIADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000479538434 1047921767 /nfs/dbraw/zinc/92/17/67/1047921767.db2.gz BUXKFQSBXNZZEH-GMTAPVOTSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1ccccc1C1CC(NCc2noc3ccc(F)cc23)C1 ZINC001183410179 1047924192 /nfs/dbraw/zinc/92/41/92/1047924192.db2.gz QUNYZYBWMOZLFF-UHFFFAOYSA-N 0 3 310.372 4.311 20 0 DIADHN O[C@@H](CN(Cc1ccoc1)C1CC1)c1cccc2ccccc21 ZINC001198147242 1047928993 /nfs/dbraw/zinc/92/89/93/1047928993.db2.gz NIRFBGINMJYUFT-FQEVSTJZSA-N 0 3 307.393 4.131 20 0 DIADHN CC(C)(C)OC(=O)[C@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001183463690 1047931414 /nfs/dbraw/zinc/93/14/14/1047931414.db2.gz HXZOVGJVMRUTLQ-SFHVURJKSA-N 0 3 315.457 4.118 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C1CCC(C)(C)CC1 ZINC001159871870 1047940871 /nfs/dbraw/zinc/94/08/71/1047940871.db2.gz IPGMFJHSUPLPFM-UHFFFAOYSA-N 0 3 317.477 4.259 20 0 DIADHN C(=C/[C@@H]1CCCN1C[C@H]1COc2ccccc2O1)\c1ccccc1 ZINC001198271201 1047942964 /nfs/dbraw/zinc/94/29/64/1047942964.db2.gz UMENIFHQQUNNMI-PKMDAXGDSA-N 0 3 321.420 4.004 20 0 DIADHN CCC[C@@H]1CCCN1Cc1nc2cc(Br)ccc2o1 ZINC001198430571 1047955095 /nfs/dbraw/zinc/95/50/95/1047955095.db2.gz RPURAWOHZFQYIF-GFCCVEGCSA-N 0 3 323.234 4.355 20 0 DIADHN C[C@H]1CCC[C@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C1 ZINC000775848065 1047955734 /nfs/dbraw/zinc/95/57/34/1047955734.db2.gz HSXDHKKQQFNAMK-QWHCGFSZSA-N 0 3 305.384 4.046 20 0 DIADHN CCC(CC)N(CCOC)Cc1coc(-c2cccc(F)c2)n1 ZINC000479695717 1047955708 /nfs/dbraw/zinc/95/57/08/1047955708.db2.gz WRWYSRPUDOHKMH-UHFFFAOYSA-N 0 3 320.408 4.118 20 0 DIADHN C[C@@H]1CN(CCCC2CCCCC2)C[C@H](C)N1CC(F)(F)F ZINC001198458294 1047958375 /nfs/dbraw/zinc/95/83/75/1047958375.db2.gz QDLQQBWXTICGIG-GASCZTMLSA-N 0 3 320.443 4.304 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2noc3ccccc23)C12CCC2 ZINC001183767942 1047961180 /nfs/dbraw/zinc/96/11/80/1047961180.db2.gz FCIUKRXUAVVYQY-IRXDYDNUSA-N 0 3 314.429 4.044 20 0 DIADHN COc1ccncc1CN(C)[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000553885838 1047961812 /nfs/dbraw/zinc/96/18/12/1047961812.db2.gz LVEHCJZODDAXNR-ZIAGYGMSSA-N 0 3 318.848 4.368 20 0 DIADHN C[C@@H](NC[C@@H]1Cc2ccccc21)c1nc(C(C)(C)C)cs1 ZINC000553918834 1047966100 /nfs/dbraw/zinc/96/61/00/1047966100.db2.gz MYVZQGSHODHKDT-OCCSQVGLSA-N 0 3 300.471 4.431 20 0 DIADHN Fc1cc(Cl)ccc1CN1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC001198563186 1047972033 /nfs/dbraw/zinc/97/20/33/1047972033.db2.gz JCZCVSNQJORTAE-DZGCQCFKSA-N 0 3 311.828 4.118 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(CC1CCCCCC1)C2 ZINC001174788740 1047972598 /nfs/dbraw/zinc/97/25/98/1047972598.db2.gz CUQDJYRSZRADKK-UHFFFAOYSA-N 0 3 301.478 4.103 20 0 DIADHN Cc1cc(CN2CCN(c3ccc(C)cc3C)CC2)c(C)s1 ZINC001174788998 1047972627 /nfs/dbraw/zinc/97/26/27/1047972627.db2.gz IKIAWTKEQFHXPQ-UHFFFAOYSA-N 0 3 314.498 4.304 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@@H]1CC2(CCC2)CO1 ZINC001183854010 1047972687 /nfs/dbraw/zinc/97/26/87/1047972687.db2.gz FVWVRLOYUNQJHS-LBPRGKRZSA-N 0 3 305.805 4.135 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cc3ccncc3s2)CC1 ZINC000667552757 1047972937 /nfs/dbraw/zinc/97/29/37/1047972937.db2.gz RZWDOVKRDTVNBF-UHFFFAOYSA-N 0 3 304.459 4.076 20 0 DIADHN C=CNc1ccccc1CN(C)C[C@@H](O)c1ccc(Cl)cc1 ZINC001174791454 1047974475 /nfs/dbraw/zinc/97/44/75/1047974475.db2.gz FDYRMKZIVCNEMU-GOSISDBHSA-N 0 3 316.832 4.061 20 0 DIADHN Cc1cccc(C2=CCN(C[C@H]3CCC4(CCC4)O3)CC2)c1C ZINC001198573756 1047975548 /nfs/dbraw/zinc/97/55/48/1047975548.db2.gz PNUZBFYPAZGZNA-LJQANCHMSA-N 0 3 311.469 4.494 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC[C@@H](c3ccn(C)n3)C2)c(C)c1 ZINC001183885560 1047975745 /nfs/dbraw/zinc/97/57/45/1047975745.db2.gz LLDWVKRGROUZNJ-ZLQZBKBYSA-N 0 3 323.484 4.238 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC[C@@H](c3ccn(C)n3)C2)c(C)c1 ZINC001183885558 1047975839 /nfs/dbraw/zinc/97/58/39/1047975839.db2.gz LLDWVKRGROUZNJ-MONCILHSSA-N 0 3 323.484 4.238 20 0 DIADHN Cn1cccc1CN1CCC[C@H]1c1ccc(OC(F)(F)F)cc1 ZINC001203269851 1047977962 /nfs/dbraw/zinc/97/79/62/1047977962.db2.gz ABZOJAFRDGBBGB-INIZCTEOSA-N 0 3 324.346 4.261 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1cccc(COC)c1 ZINC000553993856 1047979294 /nfs/dbraw/zinc/97/92/94/1047979294.db2.gz YGQAEQPDFNFKNG-IBGZPJMESA-N 0 3 313.441 4.425 20 0 DIADHN CC(C)c1ccc(NC(=S)Nc2cnccc2N(C)C)cc1 ZINC001198591596 1047979232 /nfs/dbraw/zinc/97/92/32/1047979232.db2.gz IYWPFXJTROGCDO-UHFFFAOYSA-N 0 3 314.458 4.080 20 0 DIADHN c1ccc2oc(CN[C@@H]3CCC[C@H](c4cccnc4)C3)nc2c1 ZINC001183909641 1047980297 /nfs/dbraw/zinc/98/02/97/1047980297.db2.gz POYQZDIWKZVTII-GOEBONIOSA-N 0 3 307.397 4.039 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1cn(C2CCC2)nn1 ZINC000667563603 1047985492 /nfs/dbraw/zinc/98/54/92/1047985492.db2.gz JDECHVRMJRTVPJ-WOJBJXKFSA-N 0 3 324.472 4.161 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1cn(C2CCC2)nn1 ZINC000667563602 1047986127 /nfs/dbraw/zinc/98/61/27/1047986127.db2.gz JDECHVRMJRTVPJ-VQTJNVASSA-N 0 3 324.472 4.161 20 0 DIADHN C[C@@]1(Cc2cccc3ccccc32)CCCN1Cc1ccon1 ZINC001174796836 1047988161 /nfs/dbraw/zinc/98/81/61/1047988161.db2.gz AIDILWKXOZCQCB-FQEVSTJZSA-N 0 3 306.409 4.425 20 0 DIADHN C[C@@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1ccc(F)cc1F ZINC000479875003 1047989995 /nfs/dbraw/zinc/98/99/95/1047989995.db2.gz PARPSSAZCTYMKW-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN Cc1nc(NCc2cccc3sccc32)cc(N2CCCC2)n1 ZINC001160186175 1047992473 /nfs/dbraw/zinc/99/24/73/1047992473.db2.gz PWMSJXWKZMUHRE-UHFFFAOYSA-N 0 3 324.453 4.212 20 0 DIADHN c1c2ccncc2sc1CN1CCC(OC2CCCC2)CC1 ZINC000667568568 1047995025 /nfs/dbraw/zinc/99/50/25/1047995025.db2.gz ICOHLZZWJYOYLR-UHFFFAOYSA-N 0 3 316.470 4.220 20 0 DIADHN Nc1ccc(NC2=CCCN(Cc3ccccc3)C2)cc1Cl ZINC001160206491 1047995587 /nfs/dbraw/zinc/99/55/87/1047995587.db2.gz NSGVIDRGDYEXHH-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)CC1CC(F)(F)C1 ZINC001198741735 1047997430 /nfs/dbraw/zinc/99/74/30/1047997430.db2.gz JWEWOZJXHVFZPH-LLVKDONJSA-N 0 3 314.445 4.135 20 0 DIADHN Fc1ccc([C@@H]2CCN2C[C@H]2CCN(c3ccccc3)C2)cc1 ZINC001184143109 1047998511 /nfs/dbraw/zinc/99/85/11/1047998511.db2.gz OHIBWJBCAYSWRG-JXFKEZNVSA-N 0 3 310.416 4.099 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCC[C@@H](C2CCCC2)C1 ZINC001198705851 1047998939 /nfs/dbraw/zinc/99/89/39/1047998939.db2.gz MUYCNWNHFITAJW-GOEBONIOSA-N 0 3 318.436 4.055 20 0 DIADHN CC[C@@H]1CC[C@H]1NCc1nc(COC)sc1-c1ccccc1 ZINC000554095035 1048000943 /nfs/dbraw/zinc/00/09/43/1048000943.db2.gz AXKFFXKKQQUEGB-UKRRQHHQSA-N 0 3 316.470 4.235 20 0 DIADHN C[C@@]12CSC[C@@H]1CN(Cc1cc3ccnc(Cl)c3s1)C2 ZINC001184188211 1048004730 /nfs/dbraw/zinc/00/47/30/1048004730.db2.gz LOHFWMCBGXVNQA-XHDPSFHLSA-N 0 3 324.902 4.135 20 0 DIADHN Cn1c2ccccc2nc1CN1CCC[C@H](C2CCCCC2)C1 ZINC001174862879 1048005936 /nfs/dbraw/zinc/00/59/36/1048005936.db2.gz DRBNUFLAGRKWQU-KRWDZBQOSA-N 0 3 311.473 4.366 20 0 DIADHN Nc1cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ccc1F ZINC001160241389 1048009475 /nfs/dbraw/zinc/00/94/75/1048009475.db2.gz LXPKYGFEMAFRAS-MSOLQXFVSA-N 0 3 323.415 4.141 20 0 DIADHN COCC1(NCc2nc3cc(C)ccc3s2)CCCCC1 ZINC001174890790 1048013465 /nfs/dbraw/zinc/01/34/65/1048013465.db2.gz LUVBSIZHRDJMAO-UHFFFAOYSA-N 0 3 304.459 4.044 20 0 DIADHN Cc1cccc(Nc2ccc(CN3CCCCC3)c(F)c2)c1N ZINC001160302841 1048026820 /nfs/dbraw/zinc/02/68/20/1048026820.db2.gz NOOQXWBRNFOOFW-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001174923679 1048032928 /nfs/dbraw/zinc/03/29/28/1048032928.db2.gz CQVUFGJZUCSFHQ-DOPJRALCSA-N 0 3 323.839 4.433 20 0 DIADHN Cc1ccc(Nc2cccc(CN3CCCCC3)c2F)cc1N ZINC001160329111 1048034586 /nfs/dbraw/zinc/03/45/86/1048034586.db2.gz KROJXJMZHOXWIO-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Cc1nc(CNCCCSc2ccc(F)cc2)sc1C ZINC001199085581 1048035541 /nfs/dbraw/zinc/03/55/41/1048035541.db2.gz LXSIPLSNBWBOSI-UHFFFAOYSA-N 0 3 310.463 4.171 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(N)c1 ZINC001160338724 1048035833 /nfs/dbraw/zinc/03/58/33/1048035833.db2.gz YJTRDUGCDLHRFE-MOPGFXCFSA-N 0 3 319.452 4.310 20 0 DIADHN COc1ccsc1CN[C@H](c1ccc(OC)cc1)C1CC1 ZINC001199102367 1048037329 /nfs/dbraw/zinc/03/73/29/1048037329.db2.gz XZZWTYMRBTZEKQ-KRWDZBQOSA-N 0 3 303.427 4.006 20 0 DIADHN CN(CCNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC001199151793 1048039587 /nfs/dbraw/zinc/03/95/87/1048039587.db2.gz DFKNUZBNVHBQKZ-UHFFFAOYSA-N 0 3 309.240 4.219 20 0 DIADHN c1cc2c(s1)CCCN(Cc1cc3ccncc3s1)C2 ZINC000667616125 1048045341 /nfs/dbraw/zinc/04/53/41/1048045341.db2.gz JEXDHZONKHOXHW-UHFFFAOYSA-N 0 3 300.452 4.306 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(SC)o1)c1ccsc1 ZINC001199248915 1048048103 /nfs/dbraw/zinc/04/81/03/1048048103.db2.gz YRXBVJNTTUVGBO-OAHLLOKOSA-N 0 3 324.515 4.236 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000554266328 1048050154 /nfs/dbraw/zinc/05/01/54/1048050154.db2.gz VOOCABBUIZFXQU-BBRMVZONSA-N 0 3 324.896 4.320 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(F)c(F)c(F)c3)cccc21 ZINC001174961020 1048051564 /nfs/dbraw/zinc/05/15/64/1048051564.db2.gz NTFYYDIBMBQMTE-OAHLLOKOSA-N 0 3 322.330 4.233 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1cc(F)c(C(F)(F)F)cc1F ZINC000554259501 1048055681 /nfs/dbraw/zinc/05/56/81/1048055681.db2.gz ISSICWIYUKGZNH-KBPBESRZSA-N 0 3 323.305 4.031 20 0 DIADHN CC(C)C[C@H](Nc1ncccc1CN1CCCC1)c1ccccn1 ZINC001160487405 1048056884 /nfs/dbraw/zinc/05/68/84/1048056884.db2.gz HTWCMTOWZFKBLX-IBGZPJMESA-N 0 3 324.472 4.272 20 0 DIADHN CN(C)c1cccc(N[C@@H](c2ccccc2)c2ccc(O)cc2)n1 ZINC001160494459 1048058009 /nfs/dbraw/zinc/05/80/09/1048058009.db2.gz MGMWFNJUNJLTRK-FQEVSTJZSA-N 0 3 319.408 4.055 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2cc(-c3ccccc3)no2)cc1 ZINC000924734107 1048059368 /nfs/dbraw/zinc/05/93/68/1048059368.db2.gz KYMSMJCMTWBPBK-BEFAXECRSA-N 0 3 322.408 4.034 20 0 DIADHN CC(C)Oc1cccc(CNCc2cc(F)cc3cccnc32)c1 ZINC001199392752 1048059833 /nfs/dbraw/zinc/05/98/33/1048059833.db2.gz XIXOAVQGMGEROJ-UHFFFAOYSA-N 0 3 324.399 4.451 20 0 DIADHN Cc1cc(CNCC2(c3cccc(C)c3)CCCCC2)nn1C ZINC001199365553 1048059933 /nfs/dbraw/zinc/05/99/33/1048059933.db2.gz LGHGITAUJIGICM-UHFFFAOYSA-N 0 3 311.473 4.029 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000554363862 1048079495 /nfs/dbraw/zinc/07/94/95/1048079495.db2.gz RNOQFPSNZUFXOH-KBXCAEBGSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000554363870 1048080799 /nfs/dbraw/zinc/08/07/99/1048080799.db2.gz RNOQFPSNZUFXOH-RDTXWAMCSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000480183641 1048082124 /nfs/dbraw/zinc/08/21/24/1048082124.db2.gz RYZPTIOSUWUMSV-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000554371440 1048083331 /nfs/dbraw/zinc/08/33/31/1048083331.db2.gz NGICNJLARYXTAU-BBRMVZONSA-N 0 3 306.450 4.149 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1ccn(-c2ccccc2)n1 ZINC000480185407 1048084901 /nfs/dbraw/zinc/08/49/01/1048084901.db2.gz VCCYPERNGCWINM-ZDUSSCGKSA-N 0 3 311.351 4.083 20 0 DIADHN CCc1cccc(F)c1CNCCOc1cc(C)cc(C)c1 ZINC001199708240 1048091871 /nfs/dbraw/zinc/09/18/71/1048091871.db2.gz FQGXZUMOHMAJCN-UHFFFAOYSA-N 0 3 301.405 4.174 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](c1ccc(F)cc1)C1CC1 ZINC000776023781 1048099744 /nfs/dbraw/zinc/09/97/44/1048099744.db2.gz OXKUJSVHGNPDLR-IBGZPJMESA-N 0 3 309.388 4.023 20 0 DIADHN COc1ncc(CN[C@@H](c2ccccc2C)C2CC2)cc1Cl ZINC000776023737 1048099866 /nfs/dbraw/zinc/09/98/66/1048099866.db2.gz IYKVXYGXGKRITK-QGZVFWFLSA-N 0 3 316.832 4.293 20 0 DIADHN COCc1csc(CN2CCS[C@@H](c3ccccc3)C2)c1 ZINC000667642926 1048100972 /nfs/dbraw/zinc/10/09/72/1048100972.db2.gz OIKNERXRBMCSJJ-QGZVFWFLSA-N 0 3 319.495 4.185 20 0 DIADHN CCCCC[C@@H](NCc1nc(-c2ccoc2)no1)C(C)(C)C ZINC000776023966 1048101863 /nfs/dbraw/zinc/10/18/63/1048101863.db2.gz SLXJEUFDJOWBCZ-CQSZACIVSA-N 0 3 305.422 4.414 20 0 DIADHN FC(F)Oc1ccccc1CCNCc1ccsc1Cl ZINC000480247147 1048104435 /nfs/dbraw/zinc/10/44/35/1048104435.db2.gz AXISCAHIIOIILT-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN Cc1ccsc1CNCc1cc(Cl)cc(Cl)c1N ZINC001199842991 1048107971 /nfs/dbraw/zinc/10/79/71/1048107971.db2.gz LRROVRSYVJLNSS-UHFFFAOYSA-N 0 3 301.242 4.235 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2ccc(Cl)cn2)cc1 ZINC000554496297 1048115663 /nfs/dbraw/zinc/11/56/63/1048115663.db2.gz PWXQHNIEWXQJDH-GOSISDBHSA-N 0 3 300.833 4.251 20 0 DIADHN COc1cccc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)n1 ZINC000480306632 1048117345 /nfs/dbraw/zinc/11/73/45/1048117345.db2.gz ISADMQQZOGNBCZ-XLIONFOSSA-N 0 3 310.441 4.140 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NCc1ccc(OCC2CC2)cc1 ZINC000480394915 1048137268 /nfs/dbraw/zinc/13/72/68/1048137268.db2.gz JBBVFJARTFRBPZ-FQEVSTJZSA-N 0 3 311.400 4.392 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(F)c(F)cc3F)cccc21 ZINC001175090740 1048137660 /nfs/dbraw/zinc/13/76/60/1048137660.db2.gz AXHSIROPMFJXFZ-MRXNPFEDSA-N 0 3 322.330 4.233 20 0 DIADHN CCc1ccc(C2CCN(Cc3cc(OC)cc(C)n3)CC2)cc1 ZINC001175087539 1048137888 /nfs/dbraw/zinc/13/78/88/1048137888.db2.gz COHBAJAMCYWNHE-UHFFFAOYSA-N 0 3 324.468 4.341 20 0 DIADHN C[C@@H]1Cc2cc(CNC(C)(C)c3ccc(F)c(F)c3)ccc2O1 ZINC001200873006 1048151036 /nfs/dbraw/zinc/15/10/36/1048151036.db2.gz YEFWFULSKZMXCZ-GFCCVEGCSA-N 0 3 317.379 4.313 20 0 DIADHN CCO[C@H](CCNCc1ccsc1Br)C(C)C ZINC001200910298 1048154420 /nfs/dbraw/zinc/15/44/20/1048154420.db2.gz BDWNJTNBPRIGDF-GFCCVEGCSA-N 0 3 320.296 4.051 20 0 DIADHN CC[C@@H](CNCc1sccc1Cl)Oc1cccc(F)c1 ZINC001200911713 1048154618 /nfs/dbraw/zinc/15/46/18/1048154618.db2.gz SDMBFUBZIKDTFZ-LBPRGKRZSA-N 0 3 313.825 4.488 20 0 DIADHN CCOCCC1(CNCc2ncc(C(C)C)s2)CCCC1 ZINC001200970953 1048162775 /nfs/dbraw/zinc/16/27/75/1048162775.db2.gz GCCPLGFLTCEGFQ-UHFFFAOYSA-N 0 3 310.507 4.343 20 0 DIADHN CCCCCCNC(=S)NCCP(C(C)C)C(C)C ZINC001200963970 1048163450 /nfs/dbraw/zinc/16/34/50/1048163450.db2.gz DEOOLMDXKKIGIP-UHFFFAOYSA-N 0 3 304.484 4.330 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3ccncc3)cc2)cnc1F ZINC001200940946 1048165237 /nfs/dbraw/zinc/16/52/37/1048165237.db2.gz AWDDPQGAPRWQST-OAHLLOKOSA-N 0 3 321.399 4.442 20 0 DIADHN COc1cncc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000554737112 1048168896 /nfs/dbraw/zinc/16/88/96/1048168896.db2.gz LQBKJUJVXTVNCY-GOSISDBHSA-N 0 3 302.393 4.058 20 0 DIADHN CCCCO[C@H]1C[C@@H]([NH2+]Cc2cc([O-])cc(Cl)c2)C1(C)C ZINC001200952194 1048168987 /nfs/dbraw/zinc/16/89/87/1048168987.db2.gz QZYHFKOBPXMZBT-CVEARBPZSA-N 0 3 311.853 4.119 20 0 DIADHN COc1ccc(CNCC2(OC(C)C)CCCCC2)c(F)c1 ZINC001201017551 1048173651 /nfs/dbraw/zinc/17/36/51/1048173651.db2.gz JJEWILHGNFYLTF-UHFFFAOYSA-N 0 3 309.425 4.052 20 0 DIADHN Cc1cccc2cc(CN[C@H]3CCC(F)(F)C3)c(Cl)nc12 ZINC001201008796 1048180222 /nfs/dbraw/zinc/18/02/22/1048180222.db2.gz RJQQRTGDGXYLFB-ZDUSSCGKSA-N 0 3 310.775 4.474 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1C[C@H]1CCC2(CCCC2)O1 ZINC000554812015 1048191545 /nfs/dbraw/zinc/19/15/45/1048191545.db2.gz JVVRMEQDANEBBI-MCIONIFRSA-N 0 3 305.384 4.141 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccccc1OC(F)(F)F ZINC000480661213 1048207343 /nfs/dbraw/zinc/20/73/43/1048207343.db2.gz HRFHWIRXSRZHPT-DTWKUNHWSA-N 0 3 315.257 4.434 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1ccc(Cl)cn1 ZINC000480667647 1048210763 /nfs/dbraw/zinc/21/07/63/1048210763.db2.gz RXDRHRKPJJQCGL-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN Fc1ccc(CNCc2ccc(OCC3CC3)cc2)cc1F ZINC000480673665 1048212420 /nfs/dbraw/zinc/21/24/20/1048212420.db2.gz SBYXPNZCNBVBIB-UHFFFAOYSA-N 0 3 303.352 4.043 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N[C@@H](C)c1c(F)cncc1F ZINC000554878428 1048218842 /nfs/dbraw/zinc/21/88/42/1048218842.db2.gz QQBIPBRWKGKMHU-ZFWWWQNUSA-N 0 3 304.384 4.422 20 0 DIADHN CC[S@](=O)CCN[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000776154594 1048236862 /nfs/dbraw/zinc/23/68/62/1048236862.db2.gz BEFQTGKIIPAKMO-DMZKTXOQSA-N 0 3 315.438 4.005 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000480779142 1048239758 /nfs/dbraw/zinc/23/97/58/1048239758.db2.gz PFHCAARWNDQDOI-KURKYZTESA-N 0 3 301.430 4.399 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC3CCCC3)c1)CC2 ZINC000480761324 1048240877 /nfs/dbraw/zinc/24/08/77/1048240877.db2.gz CACGBLIZVBHENX-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN CC(C)(C)c1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC001201338260 1048243714 /nfs/dbraw/zinc/24/37/14/1048243714.db2.gz KBHZFHMKBZJQNL-UHFFFAOYSA-N 0 3 323.436 4.492 20 0 DIADHN COc1ccc([C@H](C)NCc2csc3ccccc23)cc1O ZINC000554988523 1048244243 /nfs/dbraw/zinc/24/42/43/1048244243.db2.gz MUGLWTSOBKOZAD-LBPRGKRZSA-N 0 3 313.422 4.466 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3sccc3c2)cc1O ZINC000554991307 1048246526 /nfs/dbraw/zinc/24/65/26/1048246526.db2.gz JPJWKKNLTCKDEH-LBPRGKRZSA-N 0 3 313.422 4.466 20 0 DIADHN CC(=O)c1ccc(Cl)cc1Nc1cccc(CN(C)C)c1 ZINC001201403190 1048251384 /nfs/dbraw/zinc/25/13/84/1048251384.db2.gz RPAXZKKUTHIREV-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN Cc1nc(NCCP(C(C)C)C(C)C)nc(C)c1Cl ZINC001162361772 1048268449 /nfs/dbraw/zinc/26/84/49/1048268449.db2.gz DQDJQOTUUQRXLJ-UHFFFAOYSA-N 0 3 301.802 4.457 20 0 DIADHN CCS[C@H]1CCCCN(Cc2ccccc2OC(F)F)C1 ZINC000480857778 1048259749 /nfs/dbraw/zinc/25/97/49/1048259749.db2.gz PPXBRNJWEFPRFO-AWEZNQCLSA-N 0 3 315.429 4.396 20 0 DIADHN CC(C)P(CCNc1nnc(Cl)cc1Cl)C(C)C ZINC001162358119 1048263883 /nfs/dbraw/zinc/26/38/83/1048263883.db2.gz KRVRSCBDQTTYCH-UHFFFAOYSA-N 0 3 308.193 4.494 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1ccc(OC)nn1 ZINC000555077153 1048264022 /nfs/dbraw/zinc/26/40/22/1048264022.db2.gz STAGXDRSRILBGP-UHFFFAOYSA-N 0 3 319.474 4.128 20 0 DIADHN COc1ccc([C@@H]2CCCC[C@H]2NCc2nccs2)cc1F ZINC000480879096 1048264829 /nfs/dbraw/zinc/26/48/29/1048264829.db2.gz GMDMUGKSAAQEJS-DZGCQCFKSA-N 0 3 320.433 4.107 20 0 DIADHN CC(C)P(CCN[C@H](F)Oc1cccc(Cl)n1)C(C)C ZINC001162358027 1048266445 /nfs/dbraw/zinc/26/64/45/1048266445.db2.gz IYKRDNJSSQIJQA-AWEZNQCLSA-N 0 3 320.776 4.255 20 0 DIADHN C[C@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1ccc(F)cc1F ZINC000480896908 1048267258 /nfs/dbraw/zinc/26/72/58/1048267258.db2.gz JYNLSSVKVUSACE-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)P(CCNC(F)(F)c1cnnc(Cl)c1)C(C)C ZINC001162358183 1048268107 /nfs/dbraw/zinc/26/81/07/1048268107.db2.gz LKZRCFZXBALYTI-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN F[C@@H]1CCCC[C@H]1Nc1nc2cccc(Br)c2[nH]1 ZINC001162512388 1048290147 /nfs/dbraw/zinc/29/01/47/1048290147.db2.gz KERFMSBUEHDDOR-NXEZZACHSA-N 0 3 312.186 4.018 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@H](C)c1ccccc1OC(C)C ZINC000774991531 1048276801 /nfs/dbraw/zinc/27/68/01/1048276801.db2.gz MOTYDXVJPVPBAF-VQIMIIECSA-N 0 3 313.441 4.166 20 0 DIADHN CC[C@@H](C)CN1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001201499108 1048286693 /nfs/dbraw/zinc/28/66/93/1048286693.db2.gz FPNJBQSBYUFVNY-RHSMWYFYSA-N 0 3 302.462 4.143 20 0 DIADHN COc1cc(F)cc(CNCc2ccc(OCC(C)C)cc2)c1 ZINC001201502234 1048289665 /nfs/dbraw/zinc/28/96/65/1048289665.db2.gz LTMBSGYTJIWEED-UHFFFAOYSA-N 0 3 317.404 4.159 20 0 DIADHN C[C@H](COc1cccc(F)c1)N[C@@H](C)c1ccc2ccccc2n1 ZINC000481032092 1048291284 /nfs/dbraw/zinc/29/12/84/1048291284.db2.gz DRNHVBARBNGVMS-CABCVRRESA-N 0 3 324.399 4.492 20 0 DIADHN C[C@@H](N[C@@H](C)COc1cccc(F)c1)c1ccc2ccccc2n1 ZINC000481032096 1048291565 /nfs/dbraw/zinc/29/15/65/1048291565.db2.gz DRNHVBARBNGVMS-LSDHHAIUSA-N 0 3 324.399 4.492 20 0 DIADHN Oc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1F ZINC001201474622 1048293555 /nfs/dbraw/zinc/29/35/55/1048293555.db2.gz HVSRHBNDWVHNSV-MSOLQXFVSA-N 0 3 324.399 4.264 20 0 DIADHN Oc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1 ZINC001201474955 1048294144 /nfs/dbraw/zinc/29/41/44/1048294144.db2.gz PARSLNPQZBGXGG-MOPGFXCFSA-N 0 3 306.409 4.125 20 0 DIADHN COc1ccccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201474264 1048294546 /nfs/dbraw/zinc/29/45/46/1048294546.db2.gz BFWYHOOZOHYQBK-MOPGFXCFSA-N 0 3 320.436 4.428 20 0 DIADHN Cc1ncc(N=C2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)s1 ZINC001201475856 1048296531 /nfs/dbraw/zinc/29/65/31/1048296531.db2.gz ZWNWBQDSADRUCU-SJORKVTESA-N 0 3 311.454 4.184 20 0 DIADHN Oc1c(F)cccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477905 1048296712 /nfs/dbraw/zinc/29/67/12/1048296712.db2.gz OZPMGGBKKWRSER-SJORKVTESA-N 0 3 324.399 4.264 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccccc2OCCOC)cc1 ZINC000481042053 1048296945 /nfs/dbraw/zinc/29/69/45/1048296945.db2.gz VJSHJRYWQAMMKP-MRXNPFEDSA-N 0 3 313.441 4.125 20 0 DIADHN Cc1cc(O)cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201478081 1048297689 /nfs/dbraw/zinc/29/76/89/1048297689.db2.gz UWUNIDLJJGVRPE-UXHICEINSA-N 0 3 320.436 4.433 20 0 DIADHN CC1(C)[C@H](c2ccc(C(F)(F)F)cc2)CCN1Cc1cc[nH]n1 ZINC001175411488 1048299339 /nfs/dbraw/zinc/29/93/39/1048299339.db2.gz SETOMGNDEJJIHI-HNNXBMFYSA-N 0 3 323.362 4.197 20 0 DIADHN COc1cc([C@H](C)NC/C=C\Cl)ccc1OC1CCCC1 ZINC000775017563 1048302010 /nfs/dbraw/zinc/30/20/10/1048302010.db2.gz HPDFBQCFRIGZTE-PRFOUVDFSA-N 0 3 309.837 4.420 20 0 DIADHN Nc1cccc(NCc2ccc3nc(-c4ccccc4)oc3c2)n1 ZINC001162594658 1048308962 /nfs/dbraw/zinc/30/89/62/1048308962.db2.gz OIGKKEDVRNXPAM-UHFFFAOYSA-N 0 3 316.364 4.084 20 0 DIADHN NCc1ccnn1-c1ccc(-c2ccc(C(F)(F)F)cc2)cc1 ZINC001162598388 1048309433 /nfs/dbraw/zinc/30/94/33/1048309433.db2.gz WXMJJMDOOXYOPP-UHFFFAOYSA-N 0 3 317.314 4.017 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCCc1ccsc1 ZINC001201529072 1048310640 /nfs/dbraw/zinc/31/06/40/1048310640.db2.gz BWSFNBNMGBQAIC-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN Cc1ccc(C[C@@H](NCc2cnn(C)c2)c2ccc(C)cc2)cc1 ZINC000481103724 1048311620 /nfs/dbraw/zinc/31/16/20/1048311620.db2.gz MINATEWHUOMXAX-OAQYLSRUSA-N 0 3 319.452 4.111 20 0 DIADHN Cc1c2c[nH]nc2ccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001175467132 1048312488 /nfs/dbraw/zinc/31/24/88/1048312488.db2.gz KMXRXGHMVDGEMR-SFHVURJKSA-N 0 3 322.412 4.000 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CC[C@H](C)C[C@H]3C)o2)cc1 ZINC000481184074 1048325320 /nfs/dbraw/zinc/32/53/20/1048325320.db2.gz DNKIHRBXIDZXAQ-JJRVBVJISA-N 0 3 314.429 4.265 20 0 DIADHN CCCn1ccc(CN2CC[C@H](C(F)(F)F)C3(CCC3)C2)c1 ZINC000555349558 1048328193 /nfs/dbraw/zinc/32/81/93/1048328193.db2.gz RFCBUXGCUXLYIO-HNNXBMFYSA-N 0 3 314.395 4.453 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H]1CCCCN1CCC(C)C ZINC001201613501 1048332629 /nfs/dbraw/zinc/33/26/29/1048332629.db2.gz LOALQWSVVRCRMC-QGZVFWFLSA-N 0 3 302.462 4.143 20 0 DIADHN Fc1cccc(F)c1CCNC1(c2nccs2)CCCC1 ZINC000645832968 1048334724 /nfs/dbraw/zinc/33/47/24/1048334724.db2.gz VXJKGFZLNYENHS-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN CSc1ccccc1NC(=O)Nc1ccccc1CN(C)C ZINC001186745704 1048337369 /nfs/dbraw/zinc/33/73/69/1048337369.db2.gz HNTHUWLQLUUYGG-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN CC(C)CCN1CCN(c2ccc(C(F)(F)F)cc2F)CC1 ZINC001201627278 1048337777 /nfs/dbraw/zinc/33/77/77/1048337777.db2.gz RYQHZEBOEVSFEY-UHFFFAOYSA-N 0 3 318.358 4.013 20 0 DIADHN CC[C@H](NCc1cc(OC)cc(OC)c1)c1ccc(F)cc1 ZINC001201626785 1048338210 /nfs/dbraw/zinc/33/82/10/1048338210.db2.gz PEKRJSOCWLWZQX-SFHVURJKSA-N 0 3 303.377 4.084 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc(C4CC4)n3)CC2)cc1 ZINC001175504890 1048339806 /nfs/dbraw/zinc/33/98/06/1048339806.db2.gz IYQGXSXSOPVHFW-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN Fc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(F)c1 ZINC001175506218 1048341517 /nfs/dbraw/zinc/34/15/17/1048341517.db2.gz ZDPHYVIIAYOLHU-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc4cnccc43)CC2)cc1 ZINC001175505819 1048342613 /nfs/dbraw/zinc/34/26/13/1048342613.db2.gz SPEDLVJSJFBNEB-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN Fc1cccc(NCCCNCc2cc(Cl)ccc2F)c1 ZINC001201644415 1048344009 /nfs/dbraw/zinc/34/40/09/1048344009.db2.gz DWJABAXTFZLLGU-UHFFFAOYSA-N 0 3 310.775 4.210 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000667854111 1048346566 /nfs/dbraw/zinc/34/65/66/1048346566.db2.gz CGNIWRLKNYAVFL-KSSFIOAISA-N 0 3 315.461 4.016 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc4c3OCCC4)CC2)cc1 ZINC001175506826 1048346867 /nfs/dbraw/zinc/34/68/67/1048346867.db2.gz OLSPNEMKQORLRT-UHFFFAOYSA-N 0 3 320.436 4.213 20 0 DIADHN Cn1ccc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc21 ZINC001175507447 1048346977 /nfs/dbraw/zinc/34/69/77/1048346977.db2.gz VGRCWVTYCCPWII-UHFFFAOYSA-N 0 3 317.436 4.380 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc4c3CCCO4)CC2)cc1 ZINC001175506736 1048347120 /nfs/dbraw/zinc/34/71/20/1048347120.db2.gz FZDJTNFYGIMHSQ-UHFFFAOYSA-N 0 3 320.436 4.213 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ncc(Cl)cc1C(F)F ZINC001162759762 1048349454 /nfs/dbraw/zinc/34/94/54/1048349454.db2.gz GYJVSMPJJLGEGU-QMMMGPOBSA-N 0 3 322.746 4.031 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ncccc1-c1ccccc1 ZINC001162758433 1048350051 /nfs/dbraw/zinc/35/00/51/1048350051.db2.gz IRITWWGPWXBKIL-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cc(-c2cccs2)ccn1 ZINC001162758793 1048350527 /nfs/dbraw/zinc/35/05/27/1048350527.db2.gz MSDAZPJPZGLMAE-LBPRGKRZSA-N 0 3 320.421 4.169 20 0 DIADHN CC(C)c1cc(-n2c3ccccc3nc2[C@H](C)N)nc(C(C)C)n1 ZINC001162762762 1048351373 /nfs/dbraw/zinc/35/13/73/1048351373.db2.gz JWXLPRRQGQOVLE-ZDUSSCGKSA-N 0 3 323.444 4.082 20 0 DIADHN CC(C)c1cnc(C(C)C)c(-n2c3ccccc3nc2[C@H](C)N)n1 ZINC001162762460 1048352371 /nfs/dbraw/zinc/35/23/71/1048352371.db2.gz HQCMHGYEEKDIHN-ZDUSSCGKSA-N 0 3 323.444 4.082 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(-c2ccccc2)nc1 ZINC001162765767 1048353495 /nfs/dbraw/zinc/35/34/95/1048353495.db2.gz JQPQXWVUXMWQMA-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(CC(F)(F)F)cc1 ZINC001162765719 1048353544 /nfs/dbraw/zinc/35/35/44/1048353544.db2.gz IMBUBSIHEYNDON-LLVKDONJSA-N 0 3 319.330 4.150 20 0 DIADHN CSc1ccc(F)c(C)c1-n1c2ccccc2nc1[C@@H](C)N ZINC001162777457 1048356769 /nfs/dbraw/zinc/35/67/69/1048356769.db2.gz CFPCGRYXULWPII-LLVKDONJSA-N 0 3 315.417 4.215 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccccc1OC1CCCC1 ZINC001162777059 1048357420 /nfs/dbraw/zinc/35/74/20/1048357420.db2.gz JYBQSVWELSTUJZ-AWEZNQCLSA-N 0 3 321.424 4.367 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC1(C)CCCCCC1 ZINC001201692508 1048359972 /nfs/dbraw/zinc/35/99/72/1048359972.db2.gz BDOSAVXGTBWIIQ-UHFFFAOYSA-N 0 3 309.425 4.293 20 0 DIADHN C[C@H](CN1CCCC[C@H]1C(=O)OC(C)(C)C)C1CCCCC1 ZINC001175587515 1048361840 /nfs/dbraw/zinc/36/18/40/1048361840.db2.gz TUDPAZVSASSDCV-WBVHZDCISA-N 0 3 309.494 4.399 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OC3CCCC3)nc1)CC2 ZINC000481321715 1048364924 /nfs/dbraw/zinc/36/49/24/1048364924.db2.gz LZLBWOLUAMLEPY-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1cccc(N)c1-c1c(C)cccc1NC1=CCCN(C)C1 ZINC001175577501 1048376128 /nfs/dbraw/zinc/37/61/28/1048376128.db2.gz APDMYTDZZWCYDH-UHFFFAOYSA-N 0 3 307.441 4.184 20 0 DIADHN COc1ccccc1[C@@H](Nc1cc(C)cc(C)n1)C(F)(F)F ZINC001162896980 1048379440 /nfs/dbraw/zinc/37/94/40/1048379440.db2.gz LMBULHOFFXPTDD-OAHLLOKOSA-N 0 3 310.319 4.423 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NC[C@@H]1CC1(Cl)Cl ZINC000775088949 1048379660 /nfs/dbraw/zinc/37/96/60/1048379660.db2.gz XBMDCGXWGQJEJR-WCQYABFASA-N 0 3 302.245 4.320 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000481375081 1048380404 /nfs/dbraw/zinc/38/04/04/1048380404.db2.gz QDIWJWJKJFYLFA-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000481375083 1048380930 /nfs/dbraw/zinc/38/09/30/1048380930.db2.gz QDIWJWJKJFYLFA-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)CSCCNCc1nc2ccccc2n1C(C)C ZINC001201857048 1048381370 /nfs/dbraw/zinc/38/13/70/1048381370.db2.gz FNHGRAVZAGQOTE-UHFFFAOYSA-N 0 3 305.491 4.096 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H](OC(C)C)C2(C)C)c(Cl)c1 ZINC001201888874 1048390576 /nfs/dbraw/zinc/39/05/76/1048390576.db2.gz KGIHEMLGABQCIJ-HZPDHXFCSA-N 0 3 311.853 4.030 20 0 DIADHN Cc1noc(-c2ccc(CNCc3cc(C)c(C)cc3C)cc2)n1 ZINC001201901504 1048391766 /nfs/dbraw/zinc/39/17/66/1048391766.db2.gz ORQVRNFOXYTCFL-UHFFFAOYSA-N 0 3 321.424 4.260 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000481405705 1048394402 /nfs/dbraw/zinc/39/44/02/1048394402.db2.gz XNWIDQXYVLVPCJ-ABAIWWIYSA-N 0 3 306.356 4.240 20 0 DIADHN COc1ccccc1C1(CNCc2c(F)cccc2F)CCC1 ZINC001201929745 1048396269 /nfs/dbraw/zinc/39/62/69/1048396269.db2.gz QZCXRMADGSFLLP-UHFFFAOYSA-N 0 3 317.379 4.185 20 0 DIADHN Cc1sccc1CN[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC000555586586 1048398833 /nfs/dbraw/zinc/39/88/33/1048398833.db2.gz XLJCKJIIDLTCMR-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@@H]1NCc1ccnn1CC1CC1 ZINC001201911025 1048400120 /nfs/dbraw/zinc/40/01/20/1048400120.db2.gz LEZJKIWDXVBJSL-TZIWHRDSSA-N 0 3 323.484 4.342 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CC[C@H](CC2CC2)C1 ZINC000555696586 1048422327 /nfs/dbraw/zinc/42/23/27/1048422327.db2.gz HRQNTGVKCNXJFP-CQSZACIVSA-N 0 3 302.443 4.334 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)CC(C)(C)O)cc(F)c1F ZINC001143610491 1048425756 /nfs/dbraw/zinc/42/57/56/1048425756.db2.gz ZNYPSBQYURWKEF-UHFFFAOYSA-N 0 3 319.395 4.046 20 0 DIADHN Cc1ccc(-c2noc(CN3CC(C)(C)[C@@H]4CCC[C@@H]43)n2)cc1 ZINC000555712649 1048425978 /nfs/dbraw/zinc/42/59/78/1048425978.db2.gz QIJJSASXPWHBLF-CVEARBPZSA-N 0 3 311.429 4.056 20 0 DIADHN CC(C)=CCNCc1c(C)nn(-c2ccc(Cl)cc2)c1C ZINC001201999686 1048426360 /nfs/dbraw/zinc/42/63/60/1048426360.db2.gz HCIQEMSUXBBQKZ-UHFFFAOYSA-N 0 3 303.837 4.198 20 0 DIADHN CCC(C)(C)CNCc1ncc(Br)cc1Cl ZINC001201999412 1048426374 /nfs/dbraw/zinc/42/63/74/1048426374.db2.gz AARMUJIPXWFAGV-UHFFFAOYSA-N 0 3 305.647 4.023 20 0 DIADHN CCCN(Cc1ccccc1OC(C)C)C[C@@H]1CCCCO1 ZINC000555746697 1048432460 /nfs/dbraw/zinc/43/24/60/1048432460.db2.gz TYVWDYDFKORUQP-SFHVURJKSA-N 0 3 305.462 4.255 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CO[C@H](C3CC3)C2)c2ccccc2)c1 ZINC000667909575 1048439062 /nfs/dbraw/zinc/43/90/62/1048439062.db2.gz XKQLEOOGHMRGPM-HSALFYBXSA-N 0 3 311.400 4.072 20 0 DIADHN CC(C)c1cnc(C(C)C)c(N[C@@H]2CNCc3ccsc32)n1 ZINC001163221382 1048439079 /nfs/dbraw/zinc/43/90/79/1048439079.db2.gz SXGDENQKFZRLNH-CQSZACIVSA-N 0 3 316.474 4.041 20 0 DIADHN Clc1cnc(N[C@H]2CNCc3ccsc32)c2ccccc12 ZINC001163220923 1048439110 /nfs/dbraw/zinc/43/91/10/1048439110.db2.gz XJGZHECJDWCSID-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CCCC[C@H](C)C1 ZINC000481621602 1048440844 /nfs/dbraw/zinc/44/08/44/1048440844.db2.gz KOVQFYGRTRWUNC-HOTGVXAUSA-N 0 3 316.489 4.260 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccc3c(c1)nc1cc[nH]cc3-1)CNC2 ZINC001163230202 1048441043 /nfs/dbraw/zinc/44/10/43/1048441043.db2.gz NACYCUNYUFUINX-KRWDZBQOSA-N 0 3 320.421 4.034 20 0 DIADHN Clc1cccc2cnc(N[C@@H]3CNCc4ccsc43)cc12 ZINC001163228196 1048441346 /nfs/dbraw/zinc/44/13/46/1048441346.db2.gz JIVHRLSOTSZHJZ-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cscc3C)c2C1 ZINC001175766079 1048445628 /nfs/dbraw/zinc/44/56/28/1048445628.db2.gz WPMPAOGHJTXYLR-UHFFFAOYSA-N 0 3 307.488 4.212 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000481647216 1048446820 /nfs/dbraw/zinc/44/68/20/1048446820.db2.gz SIQJICMFDKGDNE-IAGOWNOFSA-N 0 3 315.482 4.492 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(C4CC4)cc3)cc2)CC1 ZINC001175781356 1048450343 /nfs/dbraw/zinc/45/03/43/1048450343.db2.gz GIVAZLJJPIZBQF-UHFFFAOYSA-N 0 3 321.468 4.450 20 0 DIADHN C[C@](O)(CCNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC001202101885 1048451101 /nfs/dbraw/zinc/45/11/01/1048451101.db2.gz LMKMAQHPZJOTTB-KRWDZBQOSA-N 0 3 324.251 4.381 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481679331 1048454687 /nfs/dbraw/zinc/45/46/87/1048454687.db2.gz YIMCSFBCVCOUTJ-YWZLYKJASA-N 0 3 311.400 4.408 20 0 DIADHN Cc1noc(C)c1CCN1CCC[C@@H]1c1cccc(Cl)c1 ZINC000164877104 1048459477 /nfs/dbraw/zinc/45/94/77/1048459477.db2.gz OVPZHQDRXLAMPH-QGZVFWFLSA-N 0 3 304.821 4.324 20 0 DIADHN CCOc1ccc(CN2CCC[C@]3(C2)OCc2ccccc23)cc1 ZINC000481711245 1048465887 /nfs/dbraw/zinc/46/58/87/1048465887.db2.gz ZFICWSOAOXWOGS-OAQYLSRUSA-N 0 3 323.436 4.107 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC000481730631 1048470398 /nfs/dbraw/zinc/47/03/98/1048470398.db2.gz TWLWYPALJAQOLO-PKOBYXMFSA-N 0 3 314.429 4.025 20 0 DIADHN OCc1ccc(CN2CC=C(c3cccc(Cl)c3)CC2)cc1 ZINC000481784510 1048484927 /nfs/dbraw/zinc/48/49/27/1048484927.db2.gz VLDNIVUYXMBMKS-UHFFFAOYSA-N 0 3 313.828 4.122 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc4c3ccn4C)cccc21 ZINC001175868544 1048485058 /nfs/dbraw/zinc/48/50/58/1048485058.db2.gz OCJBUOAYNBOARI-SFHVURJKSA-N 0 3 321.424 4.307 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1cc2ccncc2s1 ZINC000667959044 1048492996 /nfs/dbraw/zinc/49/29/96/1048492996.db2.gz CEKLTAUTZCOZFX-ZYHUDNBSSA-N 0 3 314.376 4.459 20 0 DIADHN COC(=O)C1(c2ccc(Nc3ccnc(C(C)(C)C)c3)cc2)CC1 ZINC001175919179 1048500416 /nfs/dbraw/zinc/50/04/16/1048500416.db2.gz QPEMQKHKEYLFMW-UHFFFAOYSA-N 0 3 324.424 4.327 20 0 DIADHN CN(c1nc(N2CCCC2)cc2ccccc21)C1CC(F)(F)C1 ZINC001163767971 1048522064 /nfs/dbraw/zinc/52/20/64/1048522064.db2.gz VIVURKFBCHKZEF-UHFFFAOYSA-N 0 3 317.383 4.069 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2ccc(F)cc2Cl)cs1 ZINC000481944288 1048531232 /nfs/dbraw/zinc/53/12/32/1048531232.db2.gz KKRXIPPUDXUBBL-JTQLQIEISA-N 0 3 312.841 4.391 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000481944129 1048531681 /nfs/dbraw/zinc/53/16/81/1048531681.db2.gz GVFZYJZEESTKFY-CXAGYDPISA-N 0 3 322.399 4.292 20 0 DIADHN C[C@@H](Cc1cnccn1)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000481954339 1048533960 /nfs/dbraw/zinc/53/39/60/1048533960.db2.gz PIBWBPLNHNOVRR-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN CN1CCc2ccc(Nc3ccccc3OC(F)F)cc2C1 ZINC001176014257 1048535329 /nfs/dbraw/zinc/53/53/29/1048535329.db2.gz GUCOWRJMNWGYNA-UHFFFAOYSA-N 0 3 304.340 4.020 20 0 DIADHN Cc1cc2[nH]c(CN3CCC(OCC4CC4)CC3)cc2c(C)c1 ZINC000482023437 1048545423 /nfs/dbraw/zinc/54/54/23/1048545423.db2.gz ZYXMIEGGSOCNSN-UHFFFAOYSA-N 0 3 312.457 4.176 20 0 DIADHN Cc1cc(F)c(CNCC[C@@]2(C)CC2(Cl)Cl)c(F)c1 ZINC001202417631 1048545903 /nfs/dbraw/zinc/54/59/03/1048545903.db2.gz MGROPCSXBRQBNY-ZDUSSCGKSA-N 0 3 308.199 4.337 20 0 DIADHN CC(C)(C)c1cc(Nc2ncc[nH]2)n(-c2ccc(Cl)cc2)n1 ZINC001176081959 1048546513 /nfs/dbraw/zinc/54/65/13/1048546513.db2.gz MAYLTFPLHVMJDH-UHFFFAOYSA-N 0 3 315.808 4.290 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2ccccc2cn1)C(C)C ZINC001151701537 1048548778 /nfs/dbraw/zinc/54/87/78/1048548778.db2.gz UCSMAKLMZLIVQF-UHFFFAOYSA-N 0 3 316.385 4.263 20 0 DIADHN C[C@H](NC1CC(F)(c2cccc(Cl)c2)C1)c1cncs1 ZINC000482074893 1048556511 /nfs/dbraw/zinc/55/65/11/1048556511.db2.gz XXBQLFUQSJBIPO-KKJMOOEGSA-N 0 3 310.825 4.475 20 0 DIADHN Cc1cccc2[nH]c(CN3C[C@@H](C)C[C@@H]3c3cccnc3)cc21 ZINC000667985973 1048558313 /nfs/dbraw/zinc/55/83/13/1048558313.db2.gz MGKYOPLDGDNDQB-VBKZILBWSA-N 0 3 305.425 4.454 20 0 DIADHN Cc1cccc2[nH]c(CN3C[C@@H](C)C[C@H]3c3cccnc3)cc21 ZINC000667985976 1048558680 /nfs/dbraw/zinc/55/86/80/1048558680.db2.gz MGKYOPLDGDNDQB-XOBRGWDASA-N 0 3 305.425 4.454 20 0 DIADHN COc1cccc(C)c1C(=O)NCCP(C(C)C)C(C)C ZINC001188822308 1048558950 /nfs/dbraw/zinc/55/89/50/1048558950.db2.gz KAOALWMPYIDCAW-UHFFFAOYSA-N 0 3 309.390 4.032 20 0 DIADHN CC[C@@H](NCc1ccc(OCC2CC2)nc1)c1ccc(C)cc1 ZINC000482113334 1048561288 /nfs/dbraw/zinc/56/12/88/1048561288.db2.gz IWZWKLSJGNYSKW-LJQANCHMSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc(OCC3CC3)nc2)c1 ZINC000482122071 1048562720 /nfs/dbraw/zinc/56/27/20/1048562720.db2.gz SFRUMBOZTJFJTK-INIZCTEOSA-N 0 3 310.441 4.338 20 0 DIADHN COc1ccc(O[C@@H](C)CNc2ccc3cnc(C)cc3c2)cc1 ZINC001164052906 1048573432 /nfs/dbraw/zinc/57/34/32/1048573432.db2.gz IOXREOXREIFTIE-HNNXBMFYSA-N 0 3 322.408 4.431 20 0 DIADHN Cc1ccc2ccc(F)c(Nc3ccc4c(c3)CN(C)CC4)c2n1 ZINC001176118183 1048573966 /nfs/dbraw/zinc/57/39/66/1048573966.db2.gz CPPXNFZHUFSZOB-UHFFFAOYSA-N 0 3 321.399 4.414 20 0 DIADHN CCc1nc(C2CCN(Cc3ccc(C(C)C)cc3)CC2)no1 ZINC001204168469 1048578107 /nfs/dbraw/zinc/57/81/07/1048578107.db2.gz PRWVVUXFWKKDFL-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN Cc1noc(C)c1CCNCc1ccc(-c2ccc(C)cc2)o1 ZINC000107320573 1048580662 /nfs/dbraw/zinc/58/06/62/1048580662.db2.gz JCQBISWJWYQISA-UHFFFAOYSA-N 0 3 310.397 4.192 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](C)c1ccc3c(c1)COC3)CCC2 ZINC000780747324 1048589266 /nfs/dbraw/zinc/58/92/66/1048589266.db2.gz WUVFPZVZIRDRCE-ZBEGNZNMSA-N 0 3 314.454 4.210 20 0 DIADHN C[C@H](Nc1ccc(CN(C)C)cn1)c1ccc2ccccc2c1 ZINC001164145404 1048591395 /nfs/dbraw/zinc/59/13/95/1048591395.db2.gz NJRGOYGQSWBMDC-HNNXBMFYSA-N 0 3 305.425 4.470 20 0 DIADHN C[C@H](Nc1ccc2c(n1)CNCC2)c1ccc2ccccc2c1 ZINC001164145186 1048591905 /nfs/dbraw/zinc/59/19/05/1048591905.db2.gz LAWSWBSZDPXHEN-AWEZNQCLSA-N 0 3 303.409 4.054 20 0 DIADHN CNc1ccc(CNc2cccc(N3CCCC[C@@H]3C)n2)cc1 ZINC001164152875 1048595425 /nfs/dbraw/zinc/59/54/25/1048595425.db2.gz UQFNLHVTDRZXKD-HNNXBMFYSA-N 0 3 310.445 4.114 20 0 DIADHN CN(Cc1ccccc1C(F)(F)F)C[C@@H]1Cc2ccccc2O1 ZINC001203698377 1048596175 /nfs/dbraw/zinc/59/61/75/1048596175.db2.gz UUNSVGKPZZWERA-HNNXBMFYSA-N 0 3 321.342 4.141 20 0 DIADHN CC(C)(C)c1cc(Nc2cnn(Cc3cccs3)c2)ccn1 ZINC001176159289 1048598141 /nfs/dbraw/zinc/59/81/41/1048598141.db2.gz LAANHAMINKKFRC-UHFFFAOYSA-N 0 3 312.442 4.429 20 0 DIADHN c1csc(Cn2cc(Nc3ccnc(C4CCC4)c3)cn2)c1 ZINC001176159907 1048599905 /nfs/dbraw/zinc/59/99/05/1048599905.db2.gz HDJPGOSRHMLWQV-UHFFFAOYSA-N 0 3 310.426 4.399 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(n2cccn2)CC1 ZINC000109006992 1048606238 /nfs/dbraw/zinc/60/62/38/1048606238.db2.gz AXUYBMALYKASLI-KRWDZBQOSA-N 0 3 303.837 4.325 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ncccn2)C1 ZINC001176218238 1048607785 /nfs/dbraw/zinc/60/77/85/1048607785.db2.gz OCFBFJONODASPR-WBVHZDCISA-N 0 3 315.848 4.461 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ncccn2)C1 ZINC001176218236 1048607929 /nfs/dbraw/zinc/60/79/29/1048607929.db2.gz OCFBFJONODASPR-NVXWUHKLSA-N 0 3 315.848 4.461 20 0 DIADHN CC1=C(C)CN(Cc2ccccc2OCc2ccncc2)CC1 ZINC000482461750 1048612182 /nfs/dbraw/zinc/61/21/82/1048612182.db2.gz TVHCDHQDYLIJTH-UHFFFAOYSA-N 0 3 308.425 4.203 20 0 DIADHN c1nc2n(c1CN[C@H](c1ccccc1)C1CCCCC1)CCC2 ZINC000850029992 1048612362 /nfs/dbraw/zinc/61/23/62/1048612362.db2.gz HANPEYQKLABONU-HXUWFJFHSA-N 0 3 309.457 4.241 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1ccc(C(F)(F)F)nc1)CC2 ZINC001205444115 1048620795 /nfs/dbraw/zinc/62/07/95/1048620795.db2.gz OPKLONSQUYRZKM-CYBMUJFWSA-N 0 3 316.342 4.205 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1ccc(C(F)(F)F)nc1)CC2 ZINC001205444116 1048620869 /nfs/dbraw/zinc/62/08/69/1048620869.db2.gz OPKLONSQUYRZKM-ZDUSSCGKSA-N 0 3 316.342 4.205 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1cc(Br)ccc1F ZINC000159184109 1048623654 /nfs/dbraw/zinc/62/36/54/1048623654.db2.gz BGECICYDKIANMZ-JTQLQIEISA-N 0 3 318.230 4.054 20 0 DIADHN CN(C)c1ccncc1NC(=O)c1cccc(-c2ccsc2)c1 ZINC001189361878 1048624375 /nfs/dbraw/zinc/62/43/75/1048624375.db2.gz LISUXDLSJSVLJI-UHFFFAOYSA-N 0 3 323.421 4.128 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@H]2COC3(CCC3)C2)c1 ZINC000850369225 1048642412 /nfs/dbraw/zinc/64/24/12/1048642412.db2.gz GUJMMQZCGICYKA-GFCCVEGCSA-N 0 3 319.754 4.160 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H]1CCC[C@H](C)C1 ZINC000482614046 1048646839 /nfs/dbraw/zinc/64/68/39/1048646839.db2.gz VVOYJEZZUXZUIU-HOCLYGCPSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H]1CCC[C@@H](C)C1 ZINC000482614044 1048647055 /nfs/dbraw/zinc/64/70/55/1048647055.db2.gz VVOYJEZZUXZUIU-GDBMZVCRSA-N 0 3 302.462 4.212 20 0 DIADHN CC[C@H](NC[C@@H](OC)C1CCCCC1)c1cc(F)ccc1OC ZINC001176313044 1048647763 /nfs/dbraw/zinc/64/77/63/1048647763.db2.gz ZVYDBNUNEFYLOQ-PKOBYXMFSA-N 0 3 323.452 4.470 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2ccc(Cl)c(Cl)c2)c(C)o1 ZINC000850399664 1048648212 /nfs/dbraw/zinc/64/82/12/1048648212.db2.gz NTJQSJCQTVNYSX-OAHLLOKOSA-N 0 3 314.212 4.026 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3c2cccc3OC)cc1F ZINC000482630031 1048649327 /nfs/dbraw/zinc/64/93/27/1048649327.db2.gz MGMRMCNHNKGSBD-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@H](NCc1cc(F)cc(F)c1)c1ccc2c(c1)CCCO2 ZINC000850426049 1048651182 /nfs/dbraw/zinc/65/11/82/1048651182.db2.gz WBMYMZZGTWTTFX-LBPRGKRZSA-N 0 3 303.352 4.141 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3c(c2)CCCO3)cc1F ZINC000850424366 1048651641 /nfs/dbraw/zinc/65/16/41/1048651641.db2.gz IIJMGXNQJTVMDL-ZDUSSCGKSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@@H](O)[C@@H](NCc1ccc(SC(F)F)cc1)c1ccccc1 ZINC000850420946 1048651906 /nfs/dbraw/zinc/65/19/06/1048651906.db2.gz USDZRORTOWVXFV-MLGOLLRUSA-N 0 3 323.408 4.213 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3c(c2)CCCO3)cc1F ZINC000850424365 1048652217 /nfs/dbraw/zinc/65/22/17/1048652217.db2.gz IIJMGXNQJTVMDL-CYBMUJFWSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@@H](O)[C@H](NCc1ccc(SC(F)F)cc1)c1ccccc1 ZINC000850421009 1048652439 /nfs/dbraw/zinc/65/24/39/1048652439.db2.gz USDZRORTOWVXFV-WBMJQRKESA-N 0 3 323.408 4.213 20 0 DIADHN C[C@H](NCc1ccc(F)c(F)c1)c1ccc2c(c1)CCCO2 ZINC000850426894 1048653759 /nfs/dbraw/zinc/65/37/59/1048653759.db2.gz GXYQEBIJVPKAQP-LBPRGKRZSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCCO2 ZINC000850429349 1048653788 /nfs/dbraw/zinc/65/37/88/1048653788.db2.gz BRVRRVLHSGZHPR-BFUOFWGJSA-N 0 3 311.400 4.489 20 0 DIADHN Cc1csc2c1nc(Cl)cc2N1CCN(C(C)(C)C)CC1 ZINC001165090560 1048659410 /nfs/dbraw/zinc/65/94/10/1048659410.db2.gz DEILBMIFTQZIQE-UHFFFAOYSA-N 0 3 323.893 4.179 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850449059 1048659961 /nfs/dbraw/zinc/65/99/61/1048659961.db2.gz ULJBQNJTECSIOP-CABCVRRESA-N 0 3 310.441 4.381 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000850450183 1048660172 /nfs/dbraw/zinc/66/01/72/1048660172.db2.gz YCJZXPBJAMKZQN-VLIAUNLRSA-N 0 3 308.425 4.209 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850449065 1048660850 /nfs/dbraw/zinc/66/08/50/1048660850.db2.gz ULJBQNJTECSIOP-LSDHHAIUSA-N 0 3 310.441 4.381 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)c1ccc(CN(C)C2CC2)cn1 ZINC001165159923 1048663017 /nfs/dbraw/zinc/66/30/17/1048663017.db2.gz OCHISKOIRUVANN-IBGZPJMESA-N 0 3 309.457 4.263 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(C(F)F)nc1 ZINC000850463511 1048665186 /nfs/dbraw/zinc/66/51/86/1048665186.db2.gz ZWSNAXHVOQJVAE-GFCCVEGCSA-N 0 3 320.383 4.097 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccc(Cl)nc1 ZINC000850464709 1048665471 /nfs/dbraw/zinc/66/54/71/1048665471.db2.gz VSUCIQYVJHZBOE-QWHCGFSZSA-N 0 3 316.832 4.472 20 0 DIADHN COc1ccc2oc(CN[C@H]3c4ccccc4C[C@@H]3C)cc2c1 ZINC000482758040 1048673953 /nfs/dbraw/zinc/67/39/53/1048673953.db2.gz ZAKGGAWFHZOZOR-RNODOKPDSA-N 0 3 307.393 4.465 20 0 DIADHN Clc1cc2c(s1)CC[C@H]2NCc1ccc2[nH]cnc2c1 ZINC000568068033 1048678037 /nfs/dbraw/zinc/67/80/37/1048678037.db2.gz PKIPSSVOVAVXMH-LLVKDONJSA-N 0 3 303.818 4.055 20 0 DIADHN Clc1cc2c(s1)CC[C@H]2NCc1ccc2nc[nH]c2c1 ZINC000568068033 1048678040 /nfs/dbraw/zinc/67/80/40/1048678040.db2.gz PKIPSSVOVAVXMH-LLVKDONJSA-N 0 3 303.818 4.055 20 0 DIADHN O[C@@H]1C[C@H](N[C@@H]2CCCc3ccc(Cl)cc32)c2ccccc21 ZINC000850527873 1048680434 /nfs/dbraw/zinc/68/04/34/1048680434.db2.gz IBYNJWMRFVEBRL-CEXWTWQISA-N 0 3 313.828 4.485 20 0 DIADHN CC(C)[C@H](N[C@H]1C[C@@H](O)c2ccccc21)c1ccc(F)c(F)c1 ZINC000850528746 1048681585 /nfs/dbraw/zinc/68/15/85/1048681585.db2.gz MTOVXPYPXXYDHB-OTWHNJEPSA-N 0 3 317.379 4.430 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](O)c2ccccc21)c1ccc(OC(F)F)cc1 ZINC000850529766 1048683410 /nfs/dbraw/zinc/68/34/10/1048683410.db2.gz SUFAIBZCRCZLDB-MZPVMMEZSA-N 0 3 319.351 4.117 20 0 DIADHN Cc1noc(C)c1CN[C@H]1CCCC[C@H]1Oc1ccccc1C ZINC000482840086 1048687466 /nfs/dbraw/zinc/68/74/66/1048687466.db2.gz CUANUQGIRWREAR-PKOBYXMFSA-N 0 3 314.429 4.080 20 0 DIADHN CN1CCC[C@H]1CSc1ncc(-c2ccc(Cl)cc2)o1 ZINC001165451827 1048689850 /nfs/dbraw/zinc/68/98/50/1048689850.db2.gz DTESTBQPUIKGIS-ZDUSSCGKSA-N 0 3 308.834 4.181 20 0 DIADHN Cc1cc2ncccc2cc1NCC1CN(Cc2ccccc2)C1 ZINC001165469833 1048690343 /nfs/dbraw/zinc/69/03/43/1048690343.db2.gz RYEVCHWIVRIUDU-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](O)c2ccc(Cl)c(Cl)c2)o1 ZINC000850556264 1048691457 /nfs/dbraw/zinc/69/14/57/1048691457.db2.gz KCBWXVVJSSABTL-IINYFYTJSA-N 0 3 314.212 4.279 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC3SCCS3)oc21 ZINC000850562287 1048692477 /nfs/dbraw/zinc/69/24/77/1048692477.db2.gz ZOKFALWSWOIBFH-NSHDSACASA-N 0 3 323.483 4.288 20 0 DIADHN Fc1ccc([C@@H](NCC2SCCS2)c2ccccc2)cc1 ZINC000850562259 1048693062 /nfs/dbraw/zinc/69/30/62/1048693062.db2.gz YRAOAWATDBAPFZ-KRWDZBQOSA-N 0 3 319.470 4.311 20 0 DIADHN CC[C@H](C)CN(CC)Cc1coc(-c2ccc(OC)cc2)n1 ZINC000482884379 1048696137 /nfs/dbraw/zinc/69/61/37/1048696137.db2.gz CGONDQZPAIPQFL-AWEZNQCLSA-N 0 3 302.418 4.218 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc4c(c3)CCO4)CCC2)c1 ZINC000850566796 1048696653 /nfs/dbraw/zinc/69/66/53/1048696653.db2.gz STLAVFMELYSVKO-HNNXBMFYSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CNCc1cc(Cl)ccc1Cl ZINC001203235452 1048700543 /nfs/dbraw/zinc/70/05/43/1048700543.db2.gz QFDDNBIMGVAAMW-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN CN(C[C@@H]1OCCc2ccccc21)[C@@H]1CCc2ccc(F)cc21 ZINC000482980509 1048716214 /nfs/dbraw/zinc/71/62/14/1048716214.db2.gz JRYHWIMKSRKSMB-UXHICEINSA-N 0 3 311.400 4.059 20 0 DIADHN CCc1ccc(CN2CCC(c3ncc(C)s3)CC2)s1 ZINC000568160348 1048718070 /nfs/dbraw/zinc/71/80/70/1048718070.db2.gz LUMHEDJKEIIMCE-UHFFFAOYSA-N 0 3 306.500 4.455 20 0 DIADHN CC[C@H]1c2ccsc2CCN1C[C@@H]1CCC2(CCC2)CO1 ZINC001190331265 1048718838 /nfs/dbraw/zinc/71/88/38/1048718838.db2.gz BDSLNQREZHODIC-HOCLYGCPSA-N 0 3 305.487 4.407 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)CCCN(C)C(=O)OC(C)(C)C ZINC001190392998 1048727465 /nfs/dbraw/zinc/72/74/65/1048727465.db2.gz XAEGWPMNCWJLNA-AWEZNQCLSA-N 0 3 324.440 4.076 20 0 DIADHN CC[C@@](O)(CN(Cc1cccc(Cl)c1)C(C)C)C(F)(F)F ZINC001190365910 1048730129 /nfs/dbraw/zinc/73/01/29/1048730129.db2.gz MBXZHBHBRWJNGB-CQSZACIVSA-N 0 3 323.786 4.254 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1CC[C@H](C2CC2)O1 ZINC001190407140 1048731726 /nfs/dbraw/zinc/73/17/26/1048731726.db2.gz LMVRABYQCQGYSM-JCGIZDLHSA-N 0 3 305.849 4.435 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2cc(F)ccc21 ZINC000775384047 1048734979 /nfs/dbraw/zinc/73/49/79/1048734979.db2.gz BRIMNBKRRPDRMB-LJQANCHMSA-N 0 3 312.432 4.449 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H]3CCC(C)(C)C3)co2)cc1 ZINC000483110264 1048737300 /nfs/dbraw/zinc/73/73/00/1048737300.db2.gz BIGGNLXGYWPVBT-MRXNPFEDSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H](NCc1ccc(Cl)c(F)c1)c1ccc2c(c1)CCO2 ZINC001176630086 1048740494 /nfs/dbraw/zinc/74/04/94/1048740494.db2.gz ZUNSWGAIIUCVDM-LLVKDONJSA-N 0 3 305.780 4.265 20 0 DIADHN CCN(CC1CCC1)[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483129639 1048742395 /nfs/dbraw/zinc/74/23/95/1048742395.db2.gz SXMXRXPBOXMVDO-CYBMUJFWSA-N 0 3 317.408 4.367 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)C(=O)Nc1cc(Cl)ccc1C ZINC000483130384 1048742795 /nfs/dbraw/zinc/74/27/95/1048742795.db2.gz OEIMKOIXYBBJIM-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)C(=O)Nc1ccc(C)cc1Cl ZINC000483128933 1048743145 /nfs/dbraw/zinc/74/31/45/1048743145.db2.gz JIGSCJKXFWKEON-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668212628 1048743399 /nfs/dbraw/zinc/74/33/99/1048743399.db2.gz IOJINVCMKLNQGT-PWSUYJOCSA-N 0 3 314.376 4.459 20 0 DIADHN CCOc1ccc(CN(Cc2ccccc2)CC2CCC2)nc1 ZINC001190503791 1048745604 /nfs/dbraw/zinc/74/56/04/1048745604.db2.gz XZTTZAQLGSLWKW-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2ccc(C(C)C)cc2)C[C@@]2(CCOC2)O1 ZINC000483147733 1048747569 /nfs/dbraw/zinc/74/75/69/1048747569.db2.gz BTGCVVSLAZXDTJ-NSISKUIASA-N 0 3 317.473 4.141 20 0 DIADHN CCCN(C/C=C(/C)C(=O)OC)[C@H](C)c1cc2ccccc2o1 ZINC001190518954 1048748476 /nfs/dbraw/zinc/74/84/76/1048748476.db2.gz KQFWUCZTKIQVHH-BAAYTWLHSA-N 0 3 315.413 4.325 20 0 DIADHN CC[C@](C)(NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000775394709 1048750405 /nfs/dbraw/zinc/75/04/05/1048750405.db2.gz MGCZOLLDCOJEMQ-UTKZUKDTSA-N 0 3 324.468 4.121 20 0 DIADHN CN(Cc1nc2cc(C(F)(F)F)ccc2o1)CC(C)(C)C ZINC000483211815 1048757875 /nfs/dbraw/zinc/75/78/75/1048757875.db2.gz HNBFHOXJFSNQGO-UHFFFAOYSA-N 0 3 300.324 4.325 20 0 DIADHN CSCCN(Cc1cc(Br)ccc1F)C(C)C ZINC001190605866 1048763626 /nfs/dbraw/zinc/76/36/26/1048763626.db2.gz PTTRDFVRVKSVSR-UHFFFAOYSA-N 0 3 320.271 4.162 20 0 DIADHN CC[C@@H](NC(=O)CN1CCC[C@H](C)CC1)c1ccc(Cl)cc1 ZINC001190660520 1048767952 /nfs/dbraw/zinc/76/79/52/1048767952.db2.gz LPHTXQYSTXHPOB-WMLDXEAASA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H](O)CCc1ccccc1 ZINC001190635280 1048769583 /nfs/dbraw/zinc/76/95/83/1048769583.db2.gz HHXDILHSUSBEER-LJQANCHMSA-N 0 3 315.432 4.030 20 0 DIADHN CC(C)CN(Cc1cc(Br)ccc1F)C1CC1 ZINC001190644040 1048772000 /nfs/dbraw/zinc/77/20/00/1048772000.db2.gz SCVUDZOMIGCBOW-UHFFFAOYSA-N 0 3 300.215 4.209 20 0 DIADHN Brc1ccc(CN2CC3C[C@@H]4CC2C[C@H](C3)C4)o1 ZINC000483291568 1048772441 /nfs/dbraw/zinc/77/24/41/1048772441.db2.gz JRPBIINEUWURCG-MPEURRAXSA-N 0 3 310.235 4.053 20 0 DIADHN Cc1ccc2c(c1)CN(C[C@@H](O)Cc1ccc3ccccc3c1)C2 ZINC000483328459 1048781795 /nfs/dbraw/zinc/78/17/95/1048781795.db2.gz VZNXQUAMJAJKCJ-QFIPXVFZSA-N 0 3 317.432 4.067 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]1CC(C)C ZINC001190768223 1048784647 /nfs/dbraw/zinc/78/46/47/1048784647.db2.gz AHJMOENRJRCOOW-OAHLLOKOSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1cc(OCCN2CCC(F)(C3CC3)CC2)ccc1Cl ZINC001176754279 1048787948 /nfs/dbraw/zinc/78/79/48/1048787948.db2.gz PCNPDXQYJIISIU-UHFFFAOYSA-N 0 3 315.791 4.072 20 0 DIADHN O[C@H](CNC1(c2ccc(Cl)cc2)CC1)c1cccc(Cl)c1 ZINC000775414714 1048791045 /nfs/dbraw/zinc/79/10/45/1048791045.db2.gz IKUNLDZDYKJGIE-MRXNPFEDSA-N 0 3 322.235 4.306 20 0 DIADHN Cc1cc(-c2noc(CNC(C)(C)CC(C)(C)C)n2)ccc1F ZINC001190827353 1048791867 /nfs/dbraw/zinc/79/18/67/1048791867.db2.gz OTLFBWUUEZTZTI-UHFFFAOYSA-N 0 3 319.424 4.489 20 0 DIADHN COc1ccc(CN(C)C[C@@]2(C)CC2(Cl)Cl)cc1C ZINC001190825757 1048792200 /nfs/dbraw/zinc/79/22/00/1048792200.db2.gz BAUARMKIJCYWCR-CQSZACIVSA-N 0 3 302.245 4.019 20 0 DIADHN C[C@@H](N[C@H]1CCOC12CCCC2)c1ccccc1Br ZINC001176823602 1048792423 /nfs/dbraw/zinc/79/24/23/1048792423.db2.gz KCPZCUCNVFTLMK-DOMZBBRYSA-N 0 3 324.262 4.201 20 0 DIADHN CC(C)c1ccccc1CN1Cc2ncccc2N2CCC[C@H]2C1 ZINC001176819434 1048792670 /nfs/dbraw/zinc/79/26/70/1048792670.db2.gz LQHYGGVQBMZVAE-SFHVURJKSA-N 0 3 321.468 4.190 20 0 DIADHN NC1(C(=O)Nc2ccc(-c3ccccc3)cc2F)CC2(CCC2)C1 ZINC000851626553 1048793042 /nfs/dbraw/zinc/79/30/42/1048793042.db2.gz CACLBEJEAUMQSF-UHFFFAOYSA-N 0 3 324.399 4.093 20 0 DIADHN Cc1ccc2sc(CN(C[C@@H](C)O)C3CCCCC3)nc2c1 ZINC001190853952 1048794854 /nfs/dbraw/zinc/79/48/54/1048794854.db2.gz CXMBRYUZFFOUIJ-CQSZACIVSA-N 0 3 318.486 4.120 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1[C@@H](C)CCC[C@@H]1C ZINC001190863798 1048796130 /nfs/dbraw/zinc/79/61/30/1048796130.db2.gz ARCVABGPRRRFFG-KBPBESRZSA-N 0 3 315.413 4.372 20 0 DIADHN CCC[C@@](C)(NCc1cc(C(=O)OC)oc1C)c1ccccc1 ZINC001176892374 1048798049 /nfs/dbraw/zinc/79/80/49/1048798049.db2.gz UVRSBVIOOGEMMB-LJQANCHMSA-N 0 3 315.413 4.180 20 0 DIADHN CN(C)c1ccccc1CN1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000483441493 1048799370 /nfs/dbraw/zinc/79/93/70/1048799370.db2.gz SDLSIRMKMJBSIP-HNNXBMFYSA-N 0 3 314.395 4.163 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](C3CCCC3)C2)c1C ZINC001176933392 1048801615 /nfs/dbraw/zinc/80/16/15/1048801615.db2.gz WSHAKVULSQWPDT-GOSISDBHSA-N 0 3 314.473 4.144 20 0 DIADHN Cc1cc(CN2CCO[C@@H](c3ccccc3)CC2)c(C)s1 ZINC001176939234 1048801915 /nfs/dbraw/zinc/80/19/15/1048801915.db2.gz FDGLQNBNWCPNKY-GOSISDBHSA-N 0 3 301.455 4.329 20 0 DIADHN CCc1ccc(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)cc1 ZINC001176932503 1048802927 /nfs/dbraw/zinc/80/29/27/1048802927.db2.gz LWSHAIHSVRCQAB-HRAATJIYSA-N 0 3 309.453 4.124 20 0 DIADHN Cc1cc(CN2CCO[C@H](c3ccccc3)CC2)c(C)s1 ZINC001176939235 1048803035 /nfs/dbraw/zinc/80/30/35/1048803035.db2.gz FDGLQNBNWCPNKY-SFHVURJKSA-N 0 3 301.455 4.329 20 0 DIADHN FC1(F)Oc2cccc(CN3CCC[C@@H](C4CCCC4)C3)c2O1 ZINC001176940548 1048804016 /nfs/dbraw/zinc/80/40/16/1048804016.db2.gz SWTBHZQYAXKUND-CQSZACIVSA-N 0 3 323.383 4.410 20 0 DIADHN ClC(Cl)=CCN[C@@H](c1ccccc1)C1CCOCC1 ZINC000851904504 1048810158 /nfs/dbraw/zinc/81/01/58/1048810158.db2.gz YPXLURUROYFKIT-HNNXBMFYSA-N 0 3 300.229 4.063 20 0 DIADHN CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001190966817 1048810523 /nfs/dbraw/zinc/81/05/23/1048810523.db2.gz ROGCTYQAMOOGGR-KGLIPLIRSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@H]1CCCN(CC(=O)Nc2cccc3ccccc32)CC1 ZINC001190967590 1048811059 /nfs/dbraw/zinc/81/10/59/1048811059.db2.gz WQJDWGKYQLKHIO-INIZCTEOSA-N 0 3 310.441 4.290 20 0 DIADHN Fc1ccc(CCN(Cc2cncc(Cl)c2)C2CC2)cc1 ZINC001190955039 1048813809 /nfs/dbraw/zinc/81/38/09/1048813809.db2.gz WCYQLVPHIAPGQW-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1ccccc1[C@H](C)N(C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC001190985864 1048814373 /nfs/dbraw/zinc/81/43/73/1048814373.db2.gz UNDHPGGNPATADS-FXAWDEMLSA-N 0 3 322.452 4.183 20 0 DIADHN Fc1cccc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)n1 ZINC000851939421 1048817101 /nfs/dbraw/zinc/81/71/01/1048817101.db2.gz DEXYAVRBIBNZSR-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc(F)cc1F)Oc1ccccc1F ZINC000483612034 1048825879 /nfs/dbraw/zinc/82/58/79/1048825879.db2.gz GTIMJXYSFGIWIT-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN CCc1cc2oc(=O)cc(CN3CCC[C@H]3CC)c2cc1Cl ZINC001191028813 1048825445 /nfs/dbraw/zinc/82/54/45/1048825445.db2.gz BLSCXCWPZSLFFY-CQSZACIVSA-N 0 3 319.832 4.383 20 0 DIADHN CCc1cc2oc(=O)cc(CN3CCC[C@@H]3CC)c2cc1Cl ZINC001191028796 1048826649 /nfs/dbraw/zinc/82/66/49/1048826649.db2.gz BLSCXCWPZSLFFY-AWEZNQCLSA-N 0 3 319.832 4.383 20 0 DIADHN c1ncc(CN2CCC(c3ccnc4ccccc43)CC2)s1 ZINC000852114048 1048827442 /nfs/dbraw/zinc/82/74/42/1048827442.db2.gz MVIHOEIDSHIXRG-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN COc1ccc(CN2CCS[C@H](C)[C@@H]2c2ccccc2)cc1 ZINC001191030053 1048827404 /nfs/dbraw/zinc/82/74/04/1048827404.db2.gz LKDDIXYCCYVTIW-DNVCBOLYSA-N 0 3 313.466 4.374 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@H]3CCCC3(C)C)n2)ccc1F ZINC000483661537 1048835177 /nfs/dbraw/zinc/83/51/77/1048835177.db2.gz YHZAVYWJZQAKSU-DOMZBBRYSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc(CNC(C)(C)/C=C/Cl)cc1Br ZINC001203733821 1048836300 /nfs/dbraw/zinc/83/63/00/1048836300.db2.gz LBRMHGFRWVYHCC-VOTSOKGWSA-N 0 3 302.643 4.378 20 0 DIADHN Cc1cnc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)cn1 ZINC000852347645 1048837985 /nfs/dbraw/zinc/83/79/85/1048837985.db2.gz HHHVAYKIDGJXGO-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccc(F)cc3)C2)cc(Cl)n1 ZINC000797590499 1048838337 /nfs/dbraw/zinc/83/83/37/1048838337.db2.gz CHJLJZIBJUKDLT-OAHLLOKOSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1cc(N2CCN(C)CC2)ccc1Nc1coc2ccccc12 ZINC001203151992 1048840619 /nfs/dbraw/zinc/84/06/19/1048840619.db2.gz KVVKIWUIGBPFRB-UHFFFAOYSA-N 0 3 321.424 4.237 20 0 DIADHN COc1ccc(F)c(CNC[C@@H](Nc2ccccc2)C(C)C)c1 ZINC001177186102 1048847039 /nfs/dbraw/zinc/84/70/39/1048847039.db2.gz DFWFVIRIBNNTFL-LJQANCHMSA-N 0 3 316.420 4.061 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCC[C@@H](CCF)C2)c1 ZINC000852532999 1048848768 /nfs/dbraw/zinc/84/87/68/1048848768.db2.gz OJTMTTZABXEFRF-AWEZNQCLSA-N 0 3 324.465 4.032 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c(C)cccc32)cc(OC)c1 ZINC001203234057 1048850057 /nfs/dbraw/zinc/85/00/57/1048850057.db2.gz CZQOHRFEVLNTOS-HXUWFJFHSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)(C)CCNCc1nc2cc(Br)ccc2o1 ZINC001177231327 1048851034 /nfs/dbraw/zinc/85/10/34/1048851034.db2.gz LPBHANNELOAMDO-UHFFFAOYSA-N 0 3 311.223 4.116 20 0 DIADHN c1cc(CNCc2ccc3c(c2)CCC3)cc(OC2CCCC2)n1 ZINC001177251077 1048854088 /nfs/dbraw/zinc/85/40/88/1048854088.db2.gz SAKWMKKXFJJJEZ-UHFFFAOYSA-N 0 3 322.452 4.182 20 0 DIADHN CCCC[C@@H](CC)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000483750418 1048854409 /nfs/dbraw/zinc/85/44/09/1048854409.db2.gz ZUZAQSGBZLDAKO-MJGOQNOKSA-N 0 3 316.489 4.156 20 0 DIADHN Cn1cccc1CN1CCSC[C@H]1c1cccc2ccccc21 ZINC001203270830 1048855320 /nfs/dbraw/zinc/85/53/20/1048855320.db2.gz QLMARUXQTCQVNT-FQEVSTJZSA-N 0 3 322.477 4.468 20 0 DIADHN Cn1cccc1CN1CCSC[C@@H]1c1cccc2ccccc21 ZINC001203270833 1048856038 /nfs/dbraw/zinc/85/60/38/1048856038.db2.gz QLMARUXQTCQVNT-HXUWFJFHSA-N 0 3 322.477 4.468 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)cc(Cl)n1 ZINC000797597331 1048858643 /nfs/dbraw/zinc/85/86/43/1048858643.db2.gz CQAUAWREOICSEU-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1csc(C(F)(F)F)c1 ZINC000903307161 1048859946 /nfs/dbraw/zinc/85/99/46/1048859946.db2.gz TZBCRUZIDRPKSE-DTWKUNHWSA-N 0 3 316.348 4.279 20 0 DIADHN CC(C)c1ccc2c(c1)CCCN2C(=O)c1cccc2c1CNC2 ZINC000852810422 1048860225 /nfs/dbraw/zinc/86/02/25/1048860225.db2.gz GWMKKMXRRYARHB-UHFFFAOYSA-N 0 3 320.436 4.006 20 0 DIADHN CC(C)COc1ccccc1CNCCSC(F)(F)F ZINC001177283386 1048866552 /nfs/dbraw/zinc/86/65/52/1048866552.db2.gz YIOHJTLLHBUPIU-UHFFFAOYSA-N 0 3 307.381 4.064 20 0 DIADHN CC[C@H](CNCc1ccc(C)cc1F)Oc1cccc(C)c1 ZINC001177315060 1048868745 /nfs/dbraw/zinc/86/87/45/1048868745.db2.gz IJWOOEKKHITRJC-QGZVFWFLSA-N 0 3 301.405 4.390 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CNCc1ccc(C)cc1C ZINC001177323304 1048870003 /nfs/dbraw/zinc/87/00/03/1048870003.db2.gz MTPHPPFWFYPNDK-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3CO[C@H](C4CC4)C3)o2)cc1 ZINC001203321464 1048870880 /nfs/dbraw/zinc/87/08/80/1048870880.db2.gz YABLZKOYOHOWDX-YJBOKZPZSA-N 0 3 317.816 4.257 20 0 DIADHN CCCCN(CC[S@@](=O)C(F)(F)F)[C@@H](C)c1ccccc1 ZINC001191164836 1048872359 /nfs/dbraw/zinc/87/23/59/1048872359.db2.gz NDEHWPMTEORZKZ-YEJXKQKISA-N 0 3 321.408 4.118 20 0 DIADHN CCn1nnc(C)c1CN1CCCCC[C@H]1c1cccc(C)c1 ZINC001191131781 1048872689 /nfs/dbraw/zinc/87/26/89/1048872689.db2.gz HIODJDIYUGEZRW-SFHVURJKSA-N 0 3 312.461 4.032 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1ccncc1Br ZINC001191132776 1048874145 /nfs/dbraw/zinc/87/41/45/1048874145.db2.gz MGKFTWUFQJSLGD-WFASDCNBSA-N 0 3 311.267 4.245 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1ccncc1Br ZINC001191132773 1048876749 /nfs/dbraw/zinc/87/67/49/1048876749.db2.gz MGKFTWUFQJSLGD-DOMZBBRYSA-N 0 3 311.267 4.245 20 0 DIADHN COc1cccc2c(CN[C@@H](C)c3cnc(C)s3)cccc12 ZINC001203351953 1048878269 /nfs/dbraw/zinc/87/82/69/1048878269.db2.gz KGINEVVGNCLQBV-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(N3CCOC3=O)cc2)c1 ZINC000853087109 1048880258 /nfs/dbraw/zinc/88/02/58/1048880258.db2.gz XEFVQOJHVSIVLQ-UHFFFAOYSA-N 0 3 324.424 4.056 20 0 DIADHN C[C@H](NCc1scnc1C1CC1)c1ccc2c(c1)CCCO2 ZINC000853095518 1048882856 /nfs/dbraw/zinc/88/28/56/1048882856.db2.gz ZLSDLVZSEUWZEG-LBPRGKRZSA-N 0 3 314.454 4.196 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001191201715 1048883534 /nfs/dbraw/zinc/88/35/34/1048883534.db2.gz CDXVZGRVTAHNCN-HKUYNNGSSA-N 0 3 305.462 4.109 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-c3ccccc3Cl)o2)[C@H](C)O1 ZINC001203368868 1048883807 /nfs/dbraw/zinc/88/38/07/1048883807.db2.gz IQNALPFZCHWNHK-WQGACYEGSA-N 0 3 305.805 4.256 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cc(OC)ccc2Cl)CC1 ZINC000483967122 1048887642 /nfs/dbraw/zinc/88/76/42/1048887642.db2.gz QVEGAHUEQUJPQK-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2cc(Cl)n(C)n2)cc1 ZINC001191216009 1048888765 /nfs/dbraw/zinc/88/87/65/1048888765.db2.gz XLIDHWQEJQARFA-IAGOWNOFSA-N 0 3 317.864 4.150 20 0 DIADHN Cc1csc(CNCC2(c3cccc(Cl)c3)CCC2)n1 ZINC001203402317 1048889132 /nfs/dbraw/zinc/88/91/32/1048889132.db2.gz GHWDWQTVMPNIGK-UHFFFAOYSA-N 0 3 306.862 4.316 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2C[C@@H]3CCCC[C@@H]32)c1 ZINC000668299933 1048890815 /nfs/dbraw/zinc/89/08/15/1048890815.db2.gz XNFYGDDQTSQMRI-HKUYNNGSSA-N 0 3 308.425 4.035 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2cccc(C)c2)c(Cl)c1 ZINC001177437288 1048891418 /nfs/dbraw/zinc/89/14/18/1048891418.db2.gz OPGKTVSWEYQDAM-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN COc1ccc(Cl)c(CN[C@H](CC(F)(F)F)C(C)C)c1 ZINC000484019967 1048892083 /nfs/dbraw/zinc/89/20/83/1048892083.db2.gz SBJUZVIWYKNFDK-CYBMUJFWSA-N 0 3 309.759 4.415 20 0 DIADHN CC(C)N(CCOc1ccc(Cl)c(F)c1)Cc1cccnc1 ZINC000484069673 1048895851 /nfs/dbraw/zinc/89/58/51/1048895851.db2.gz LEWXBRMMELLRBQ-UHFFFAOYSA-N 0 3 322.811 4.164 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3ccc(F)cc3[nH]c2=O)s1 ZINC001177496772 1048901612 /nfs/dbraw/zinc/90/16/12/1048901612.db2.gz PDUSLMFXWFUHQR-LLVKDONJSA-N 0 3 316.401 4.300 20 0 DIADHN Cc1coc(CN[C@H](C)COc2ccccc2C(F)(F)F)c1 ZINC001177497025 1048902583 /nfs/dbraw/zinc/90/25/83/1048902583.db2.gz WXAKXOQHJXGHDT-GFCCVEGCSA-N 0 3 313.319 4.164 20 0 DIADHN COc1cccc(CN2CCC[C@H]2c2ccc(C)cc2)c1OC ZINC001203449409 1048903061 /nfs/dbraw/zinc/90/30/61/1048903061.db2.gz INOSCZMAHKTMCG-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CC(C)P(CCNC(=O)NCCCc1ccccc1)C(C)C ZINC001191230495 1048903898 /nfs/dbraw/zinc/90/38/98/1048903898.db2.gz QYCFYSZUBTZLOT-UHFFFAOYSA-N 0 3 322.433 4.217 20 0 DIADHN Cc1ccsc1CN[C@@H](c1ccc(F)cc1)[C@H]1CCCO1 ZINC001177519835 1048904201 /nfs/dbraw/zinc/90/42/01/1048904201.db2.gz OZBYTAQRNMXUCE-WBVHZDCISA-N 0 3 305.418 4.206 20 0 DIADHN CN(c1ccccc1)c1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC001203447437 1048904214 /nfs/dbraw/zinc/90/42/14/1048904214.db2.gz NXSOKXDTYXSASW-IAGOWNOFSA-N 0 3 320.358 4.495 20 0 DIADHN Cc1ccsc1CN[C@H](c1ccc(F)cc1)[C@@H]1CCCO1 ZINC001177519832 1048905046 /nfs/dbraw/zinc/90/50/46/1048905046.db2.gz OZBYTAQRNMXUCE-DOTOQJQBSA-N 0 3 305.418 4.206 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cnc(-c3ccccc3)[nH]2)c1 ZINC001177554465 1048907471 /nfs/dbraw/zinc/90/74/71/1048907471.db2.gz ZYORSJMYJVMTMZ-HNNXBMFYSA-N 0 3 321.424 4.326 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1N[C@H]1CCOC2(CCCCC2)C1 ZINC001203464064 1048909820 /nfs/dbraw/zinc/90/98/20/1048909820.db2.gz KCMUSAAFFJDYOC-UHOSZYNNSA-N 0 3 317.448 4.484 20 0 DIADHN COc1ccc(CN2CCCC[C@H]2c2ccccc2)c(OC)c1 ZINC001203472141 1048910038 /nfs/dbraw/zinc/91/00/38/1048910038.db2.gz XXCZWHJCEUUHTB-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1nc2c(s1)CCCC2 ZINC000776688077 1048910180 /nfs/dbraw/zinc/91/01/80/1048910180.db2.gz ZYRSDMNJKWREEW-AWEZNQCLSA-N 0 3 300.471 4.051 20 0 DIADHN COc1ccccc1C(C)(C)C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001177566280 1048915692 /nfs/dbraw/zinc/91/56/92/1048915692.db2.gz RSJZRKXLRLLUTA-UHFFFAOYSA-N 0 3 319.832 4.122 20 0 DIADHN CC(C)CCC[C@@H](C)N(CCC(C)C)Cc1nccc(N)n1 ZINC001191297892 1048917586 /nfs/dbraw/zinc/91/75/86/1048917586.db2.gz SEWNAKFAMVZHNG-MRXNPFEDSA-N 0 3 306.498 4.122 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1nc2c(s1)C[C@H](C)CC2 ZINC001177576251 1048917550 /nfs/dbraw/zinc/91/75/50/1048917550.db2.gz UGSACDMQVDGRQE-UKRRQHHQSA-N 0 3 314.498 4.297 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1ccc(Cl)c(F)c1 ZINC001191298531 1048917899 /nfs/dbraw/zinc/91/78/99/1048917899.db2.gz ZRGSZRVDDDVURC-UHFFFAOYSA-N 0 3 314.832 4.320 20 0 DIADHN CC1(C)CCC[C@H]1NCc1nccn1CCCc1ccccc1 ZINC000484281095 1048918817 /nfs/dbraw/zinc/91/88/17/1048918817.db2.gz ZKPRULFWRIOBNN-GOSISDBHSA-N 0 3 311.473 4.184 20 0 DIADHN C[C@@H](Cc1ccoc1)N[C@@H]1COc2c1ccc(Cl)c2Cl ZINC000484292235 1048920924 /nfs/dbraw/zinc/92/09/24/1048920924.db2.gz CALBDQJTHMKIFD-TVQRCGJNSA-N 0 3 312.196 4.241 20 0 DIADHN C[C@@H](Cc1ccoc1)N[C@@H](C)c1ccccc1Br ZINC000484297325 1048922403 /nfs/dbraw/zinc/92/24/03/1048922403.db2.gz HWJHQAQAAZCNNP-RYUDHWBXSA-N 0 3 308.219 4.324 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCCOc3ccccc32)cc1F ZINC001177605033 1048923180 /nfs/dbraw/zinc/92/31/80/1048923180.db2.gz YAEXRAFEJBRARO-AJNOYIKESA-N 0 3 315.363 4.482 20 0 DIADHN CCC/C=C/C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001177616565 1048923775 /nfs/dbraw/zinc/92/37/75/1048923775.db2.gz VIKVIMOHUOVCJT-VOTSOKGWSA-N 0 3 314.351 4.062 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(CCSc2ccncc2)C1 ZINC001191319964 1048925566 /nfs/dbraw/zinc/92/55/66/1048925566.db2.gz UBFHLRWNLLBXTM-KBXCAEBGSA-N 0 3 316.445 4.396 20 0 DIADHN COc1cccc2cc(CNC/C=C/c3ccccc3OC)oc21 ZINC001177631603 1048925943 /nfs/dbraw/zinc/92/59/43/1048925943.db2.gz QTAWGRFVVYLEJU-RMKNXTFCSA-N 0 3 323.392 4.253 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(CCSc2ccncc2)C1 ZINC001191319965 1048926122 /nfs/dbraw/zinc/92/61/22/1048926122.db2.gz UBFHLRWNLLBXTM-KDOFPFPSSA-N 0 3 316.445 4.396 20 0 DIADHN c1cc(CNCc2ccc(C3CCC3)cc2)cc(OCC2CC2)n1 ZINC001177674423 1048928711 /nfs/dbraw/zinc/92/87/11/1048928711.db2.gz WHUFQJWZYKVKLM-UHFFFAOYSA-N 0 3 322.452 4.428 20 0 DIADHN C[C@@H](COCc1ccccc1)CN1CC[C@H]1c1cccc(F)c1 ZINC001191390261 1048929215 /nfs/dbraw/zinc/92/92/15/1048929215.db2.gz JXDVNBDDZBFGOE-UZLBHIALSA-N 0 3 313.416 4.425 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cccc3Cl)cnc1Cl ZINC001177646140 1048931303 /nfs/dbraw/zinc/93/13/03/1048931303.db2.gz GXCQQYRERBBGAL-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@H](CN(C)C)c1ccccc1 ZINC000194837922 1048932511 /nfs/dbraw/zinc/93/25/11/1048932511.db2.gz NUWJASVDKRSFOC-CRAIPNDOSA-N 0 3 314.498 4.362 20 0 DIADHN Cc1nc(CNCCc2csc3ccccc23)sc1C ZINC001177729132 1048933005 /nfs/dbraw/zinc/93/30/05/1048933005.db2.gz HZLRHMXLKINIOK-UHFFFAOYSA-N 0 3 302.468 4.307 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001177706952 1048934134 /nfs/dbraw/zinc/93/41/34/1048934134.db2.gz FLWKAXNQEDWTHB-DLBZAZTESA-N 0 3 308.510 4.068 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)NCc1c(F)ccc(F)c1Cl ZINC001177737233 1048936419 /nfs/dbraw/zinc/93/64/19/1048936419.db2.gz LEVJSSGIRVQXLF-JTQLQIEISA-N 0 3 311.759 4.045 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cc2ccc(F)cc2[nH]c1=O ZINC001177774462 1048936495 /nfs/dbraw/zinc/93/64/95/1048936495.db2.gz KICLFSFSGIUROR-GOSISDBHSA-N 0 3 322.383 4.165 20 0 DIADHN CCc1ccc(CN[C@@H]2CCOc3c(CC)cccc32)s1 ZINC001177757726 1048940744 /nfs/dbraw/zinc/94/07/44/1048940744.db2.gz AHZTWYNTXYMEAA-QGZVFWFLSA-N 0 3 301.455 4.486 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)ccc1Cl ZINC001177822200 1048943264 /nfs/dbraw/zinc/94/32/64/1048943264.db2.gz IFGOBKWLHOENKN-NSHDSACASA-N 0 3 315.804 4.081 20 0 DIADHN C[C@@H]1CCc2ccccc2N1CCNCc1ccccc1Cl ZINC001177829758 1048949553 /nfs/dbraw/zinc/94/95/53/1048949553.db2.gz RVBLSDLVEVNLDG-OAHLLOKOSA-N 0 3 314.860 4.271 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CCc1c(F)cccc1F ZINC001191486199 1048952681 /nfs/dbraw/zinc/95/26/81/1048952681.db2.gz MZNBANXQBKELHC-GOSISDBHSA-N 0 3 304.384 4.073 20 0 DIADHN CC(C)CC1CCN(Cc2cnc(-c3ccccc3)nc2)CC1 ZINC001191496438 1048954384 /nfs/dbraw/zinc/95/43/84/1048954384.db2.gz PEESROLBGXYLLS-UHFFFAOYSA-N 0 3 309.457 4.402 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H](C)Oc1ccccc1 ZINC001177885055 1048956000 /nfs/dbraw/zinc/95/60/00/1048956000.db2.gz MVYSXXXMXVNXQK-AWEZNQCLSA-N 0 3 303.833 4.460 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1ccccc1-n1cccn1 ZINC000484513936 1048961794 /nfs/dbraw/zinc/96/17/94/1048961794.db2.gz WAEUWPCQLCUMAW-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2ccc(Oc3ccccc3)o2)C1(C)C ZINC001177928148 1048965087 /nfs/dbraw/zinc/96/50/87/1048965087.db2.gz ARHINZHWMBHHIV-VQIMIIECSA-N 0 3 315.413 4.365 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1ccccc1C(F)(F)F ZINC001203692829 1048965434 /nfs/dbraw/zinc/96/54/34/1048965434.db2.gz BBFPKSZPPSEGFA-AWEZNQCLSA-N 0 3 301.352 4.096 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCCC[C@H]1c1nc2ccccc2o1 ZINC001191610826 1048972145 /nfs/dbraw/zinc/97/21/45/1048972145.db2.gz RVZHOKSVJFZBJL-RDJZCZTQSA-N 0 3 316.445 4.416 20 0 DIADHN COC[C@H](N[C@@H](c1ccccc1)c1cccc(F)c1)C(C)C ZINC000484602205 1048990170 /nfs/dbraw/zinc/99/01/70/1048990170.db2.gz GODAXTUHVNZJGM-OALUTQOASA-N 0 3 301.405 4.176 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2ccc(C)nc2)cc1C ZINC001203758964 1048990155 /nfs/dbraw/zinc/99/01/55/1048990155.db2.gz SZOISBDOBRJIQK-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN CCOc1cc(C)ccc1CNCc1cccc2cnccc21 ZINC001178161341 1048992701 /nfs/dbraw/zinc/99/27/01/1048992701.db2.gz ALEGQVXAQBEJNH-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN C[C@H]1COC[C@H]1NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001203770423 1048993313 /nfs/dbraw/zinc/99/33/13/1048993313.db2.gz FNSDJFSDRJTTFH-KBXCAEBGSA-N 0 3 313.441 4.369 20 0 DIADHN CCC(C)(C)C1CCC(NCc2nc3c(s2)COCC3)CC1 ZINC001203783471 1048995255 /nfs/dbraw/zinc/99/52/55/1048995255.db2.gz SMHPHLKFAUMDCF-UHFFFAOYSA-N 0 3 322.518 4.300 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCN(c3c(F)cccc3F)C2)o1 ZINC000484624587 1048997931 /nfs/dbraw/zinc/99/79/31/1048997931.db2.gz ZSFZAANCYLHKDY-STQMWFEESA-N 0 3 320.383 4.050 20 0 DIADHN Cc1cnc(CN[C@H]2CCCC[C@@H]2Oc2cccc(C)c2)s1 ZINC000484652821 1049000493 /nfs/dbraw/zinc/00/04/93/1049000493.db2.gz VWQBHKRKEPNDIP-IRXDYDNUSA-N 0 3 316.470 4.240 20 0 DIADHN CCCCOc1ccc(CNc2cc(C)cc(C)n2)cc1OC ZINC001178244053 1049001180 /nfs/dbraw/zinc/00/11/80/1049001180.db2.gz HZDVJUABRMFNPS-UHFFFAOYSA-N 0 3 314.429 4.498 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3ccc(Cl)c(Cl)c3)C[C@@H]21 ZINC001203804086 1049002355 /nfs/dbraw/zinc/00/23/55/1049002355.db2.gz RVLGWURNWOSPOK-DVOMOZLQSA-N 0 3 314.256 4.240 20 0 DIADHN c1coc(-c2noc(CN[C@H]3CCCC[C@@H]3c3ccccc3)n2)c1 ZINC000484654815 1049002556 /nfs/dbraw/zinc/00/25/56/1049002556.db2.gz SAVFUFDPJDIZEI-CVEARBPZSA-N 0 3 323.396 4.146 20 0 DIADHN [O-]c1c(F)cc(Nc2ccc(C[NH+]3CCCC3)cc2)cc1F ZINC001203807565 1049002571 /nfs/dbraw/zinc/00/25/71/1049002571.db2.gz XMSWNWATJNQISQ-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN c1coc(-c2noc(CN[C@H]3CCCC[C@H]3c3ccccc3)n2)c1 ZINC000484654816 1049002934 /nfs/dbraw/zinc/00/29/34/1049002934.db2.gz SAVFUFDPJDIZEI-HOTGVXAUSA-N 0 3 323.396 4.146 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@H]1CCCC(C)(C)O1)CCC2 ZINC001191862570 1049006111 /nfs/dbraw/zinc/00/61/11/1049006111.db2.gz XRAJWCQQPCFKQU-UKRRQHHQSA-N 0 3 308.491 4.108 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(CC)cn2)C1(CC)CC ZINC001191822291 1049007546 /nfs/dbraw/zinc/00/75/46/1049007546.db2.gz UFXFQHNQCCBSAQ-ZWKOTPCHSA-N 0 3 304.478 4.060 20 0 DIADHN [O-]c1ccc(Nc2ccc(C[NH+]3CCCC3)cc2)cc1Cl ZINC001203817205 1049009181 /nfs/dbraw/zinc/00/91/81/1049009181.db2.gz ZRGITHFTCUNPPE-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN COc1cccc(CN2CCC[C@H](Oc3ccc(C)cc3)C2)c1 ZINC001203822677 1049009577 /nfs/dbraw/zinc/00/95/77/1049009577.db2.gz YORRQMDMYPSPPF-FQEVSTJZSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc(CNCC2([C@@H](O)c3ccccc3)CC2)c(Cl)c1 ZINC001178272512 1049010198 /nfs/dbraw/zinc/01/01/98/1049010198.db2.gz XKFFSOLCGUBAHM-SFHVURJKSA-N 0 3 315.844 4.252 20 0 DIADHN CCC1(CC)[C@@H](NCc2nc3ccc(F)cc3s2)C[C@@H]1OC ZINC001178284791 1049011294 /nfs/dbraw/zinc/01/12/94/1049011294.db2.gz BJTNNDACLSJTOF-GJZGRUSLSA-N 0 3 322.449 4.119 20 0 DIADHN Fc1cccc(CNC[C@@H]2CCCCS2)c1OC(F)(F)F ZINC001178291587 1049013469 /nfs/dbraw/zinc/01/34/69/1049013469.db2.gz ZFWYUFJGPOUCNI-NSHDSACASA-N 0 3 323.355 4.100 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(C)cc1F ZINC001178305189 1049013727 /nfs/dbraw/zinc/01/37/27/1049013727.db2.gz UZSLQCLHTLMUIX-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)C[C@H]1C[C@@H](C)O[C@@H](C)C1 ZINC001191929196 1049018484 /nfs/dbraw/zinc/01/84/84/1049018484.db2.gz PFWDZWFNJCOEPV-LJISPDSOSA-N 0 3 318.486 4.493 20 0 DIADHN Oc1cccc(CN2CCC[C@]3(CCc4c3cccc4F)C2)c1 ZINC001203866398 1049019707 /nfs/dbraw/zinc/01/97/07/1049019707.db2.gz QBBGCOUTMLMVLZ-FQEVSTJZSA-N 0 3 311.400 4.011 20 0 DIADHN Cc1ccc(C)c(CN2CCN(c3ccc(Cl)cc3)CC2)c1 ZINC001191960137 1049025059 /nfs/dbraw/zinc/02/50/59/1049025059.db2.gz HBIZGBRNOYQVJZ-UHFFFAOYSA-N 0 3 314.860 4.279 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccc(OC)c(C)c3)CC2)cc1 ZINC001203898561 1049026454 /nfs/dbraw/zinc/02/64/54/1049026454.db2.gz GVMHBPAGUSZGNE-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3ccsc3)cc2)CC1 ZINC000776803994 1049027046 /nfs/dbraw/zinc/02/70/46/1049027046.db2.gz CYSIDRFXFONRAT-OAHLLOKOSA-N 0 3 315.486 4.063 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2cccc(OC3CC3)c2F)C1(C)C ZINC001178430910 1049029842 /nfs/dbraw/zinc/02/98/42/1049029842.db2.gz MVODYDBEXHNPKJ-IRXDYDNUSA-N 0 3 321.436 4.049 20 0 DIADHN C[C@@H](NCc1cn(C)nc1-c1cccs1)c1ccc(F)cc1 ZINC001203918584 1049033339 /nfs/dbraw/zinc/03/33/39/1049033339.db2.gz ZBVDATYWGZBNGG-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCOc3ccc(F)cc32)cc1 ZINC001178493226 1049038941 /nfs/dbraw/zinc/03/89/41/1049038941.db2.gz UFYAXLQEIFJNJU-WZHGYECESA-N 0 3 301.336 4.091 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1nc2c(o1)CCCC2 ZINC001192115936 1049039375 /nfs/dbraw/zinc/03/93/75/1049039375.db2.gz HRLFLKCVIDPKKD-KRWDZBQOSA-N 0 3 312.457 4.397 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)CC(=O)Nc1cccc(C)c1 ZINC001192108298 1049039686 /nfs/dbraw/zinc/03/96/86/1049039686.db2.gz TVDNVIWCLSTSAV-HXUWFJFHSA-N 0 3 324.468 4.277 20 0 DIADHN Fc1ccc(Cl)cc1CNC[C@H]1CCC2(CCCCC2)O1 ZINC001178493400 1049039746 /nfs/dbraw/zinc/03/97/46/1049039746.db2.gz YCAPERCFSRJVMA-OAHLLOKOSA-N 0 3 311.828 4.451 20 0 DIADHN COc1cc(Cl)c(CNC2(C)C(C)(C)C2(C)C)cc1OC ZINC001203954450 1049042798 /nfs/dbraw/zinc/04/27/98/1049042798.db2.gz TWPXOUTYKSXURI-UHFFFAOYSA-N 0 3 311.853 4.272 20 0 DIADHN COc1ccc(CN2CCC(Sc3ccccc3)CC2)cc1 ZINC001203958388 1049044489 /nfs/dbraw/zinc/04/44/89/1049044489.db2.gz XQKZOQREDIFQKC-UHFFFAOYSA-N 0 3 313.466 4.452 20 0 DIADHN CCOc1ccc(CN2CC[C@H](Oc3ccccc3C)C2)cc1 ZINC001203967716 1049047394 /nfs/dbraw/zinc/04/73/94/1049047394.db2.gz QOKJWMLTQIDNES-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CCCCOc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1 ZINC001203972885 1049048403 /nfs/dbraw/zinc/04/84/03/1049048403.db2.gz KDCYFWOJJSZXLG-IYBDPMFKSA-N 0 3 309.400 4.343 20 0 DIADHN Cc1ccnc(CNCC(C(C)C)C(C)C)c1Br ZINC001178519326 1049050118 /nfs/dbraw/zinc/05/01/18/1049050118.db2.gz RKHGBEVBWURWGE-UHFFFAOYSA-N 0 3 313.283 4.170 20 0 DIADHN CSC[C@H]1CCCN1Cc1ccc(OC(C)C)c(Cl)c1 ZINC001192200572 1049052135 /nfs/dbraw/zinc/05/21/35/1049052135.db2.gz SOXZAQSUYMBOCZ-CQSZACIVSA-N 0 3 313.894 4.455 20 0 DIADHN COc1ccc(CN2CCc3cc(O)ccc3C2)c2ccccc12 ZINC001203992551 1049054592 /nfs/dbraw/zinc/05/45/92/1049054592.db2.gz KHORMSDSLRJDPS-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN CC1(C)SC[C@@H]1NCc1ccccc1OCc1ccccc1 ZINC001178549519 1049055926 /nfs/dbraw/zinc/05/59/26/1049055926.db2.gz KZWHCIPYOQQSTI-SFHVURJKSA-N 0 3 313.466 4.249 20 0 DIADHN CC1(c2ccccc2)CC([NH2+]Cc2cc([O-])cc(Cl)c2)C1 ZINC001178593819 1049057853 /nfs/dbraw/zinc/05/78/53/1049057853.db2.gz BPENHNSGGNLQPR-UHFFFAOYSA-N 0 3 301.817 4.256 20 0 DIADHN Cc1cc(CN2CCC[C@H]2C[C@H](O)c2ccco2)ccc1Cl ZINC000780759228 1049061813 /nfs/dbraw/zinc/06/18/13/1049061813.db2.gz DMZXCVQBDSCXIP-RDJZCZTQSA-N 0 3 319.832 4.330 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCO[C@@]3(CCc4ccccc43)C2)C1 ZINC001192253448 1049062636 /nfs/dbraw/zinc/06/26/36/1049062636.db2.gz TUVQEVPSFSDBKQ-OPYAIIAOSA-N 0 3 313.485 4.377 20 0 DIADHN CN(C)c1cccc(Cl)c1CNC1CC(c2ccccc2)C1 ZINC001178565887 1049064105 /nfs/dbraw/zinc/06/41/05/1049064105.db2.gz HQSFJPGZMCZRIU-UHFFFAOYSA-N 0 3 314.860 4.442 20 0 DIADHN Cc1ccc(CN2CCC(Oc3cccc(Cl)n3)CC2)s1 ZINC001204033828 1049064811 /nfs/dbraw/zinc/06/48/11/1049064811.db2.gz YWOMPALKFMOAAF-UHFFFAOYSA-N 0 3 322.861 4.148 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC001178601113 1049065958 /nfs/dbraw/zinc/06/59/58/1049065958.db2.gz HQSFJPGZMCZRIU-WKILWMFISA-N 0 3 314.860 4.442 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CNCCC(F)F ZINC001204039009 1049067852 /nfs/dbraw/zinc/06/78/52/1049067852.db2.gz RDWQWTITZZMMPM-GFCCVEGCSA-N 0 3 302.384 4.053 20 0 DIADHN CC[C@H]1C[C@H](CN2CCc3[nH]c4ccc(C)cc4c3C2)CCO1 ZINC000780758811 1049071051 /nfs/dbraw/zinc/07/10/51/1049071051.db2.gz WSNIIUZGSKZFLI-CVEARBPZSA-N 0 3 312.457 4.040 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)no1 ZINC000776868082 1049073504 /nfs/dbraw/zinc/07/35/04/1049073504.db2.gz PSSPUEMIWQXELM-ARFHVFGLSA-N 0 3 320.477 4.438 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)no1 ZINC000776868093 1049073932 /nfs/dbraw/zinc/07/39/32/1049073932.db2.gz PSSPUEMIWQXELM-OWCLPIDISA-N 0 3 320.477 4.438 20 0 DIADHN Cc1cccc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)n1 ZINC001204069840 1049075584 /nfs/dbraw/zinc/07/55/84/1049075584.db2.gz CWJLETNQVGYSSN-ZDUSSCGKSA-N 0 3 300.833 4.205 20 0 DIADHN OC[C@@]1(NCc2ccc(C3CCCC3)cc2)CCc2ccccc21 ZINC001192327004 1049077756 /nfs/dbraw/zinc/07/77/56/1049077756.db2.gz ZLXLDILMFJOKPV-QFIPXVFZSA-N 0 3 321.464 4.268 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCN2Cc2ccncc2)cc1 ZINC001204082246 1049078551 /nfs/dbraw/zinc/07/85/51/1049078551.db2.gz QTXOHVVJQLYTGN-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCN2Cc2ccncc2)cc1 ZINC001204082249 1049079422 /nfs/dbraw/zinc/07/94/22/1049079422.db2.gz QTXOHVVJQLYTGN-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@]1(C)CC1(Cl)Cl ZINC001178748236 1049081504 /nfs/dbraw/zinc/08/15/04/1049081504.db2.gz SFVPWXLDBAIVOQ-AWEZNQCLSA-N 0 3 302.245 4.147 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2ccnc3ccccc23)cc1 ZINC001204088586 1049081695 /nfs/dbraw/zinc/08/16/95/1049081695.db2.gz QKGXKSZWMFBFRH-LJQANCHMSA-N 0 3 318.420 4.026 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccnc3ccccc13)CCS2 ZINC001204089800 1049081829 /nfs/dbraw/zinc/08/18/29/1049081829.db2.gz JBWASAGXEBSOAW-UHFFFAOYSA-N 0 3 306.434 4.343 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CCC(C)(C2CC2)CC1 ZINC000568683608 1049082322 /nfs/dbraw/zinc/08/23/22/1049082322.db2.gz ORWQWMSCUIGYCI-CQSZACIVSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCN(C)c1ccccc1 ZINC001192302399 1049082820 /nfs/dbraw/zinc/08/28/20/1049082820.db2.gz JGRRHWXDNUJJMF-HZPDHXFCSA-N 0 3 312.432 4.270 20 0 DIADHN Oc1ccc(CN2CCC(Oc3ccc(Cl)cc3)CC2)cc1 ZINC001204090779 1049083286 /nfs/dbraw/zinc/08/32/86/1049083286.db2.gz JPWDPGFTPHZUSK-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@H]1CCCC(C)(C)O1 ZINC001192305661 1049083816 /nfs/dbraw/zinc/08/38/16/1049083816.db2.gz ZJKOVCMBUKKNMR-CKEIUWERSA-N 0 3 305.437 4.481 20 0 DIADHN CC(C)(NCc1nc(Cl)ccc1Br)C1CCC1 ZINC001178762297 1049084365 /nfs/dbraw/zinc/08/43/65/1049084365.db2.gz IZJKSKDPEPIIBX-UHFFFAOYSA-N 0 3 317.658 4.166 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cccc(C(F)(F)F)n1 ZINC001178759078 1049086030 /nfs/dbraw/zinc/08/60/30/1049086030.db2.gz TZGSTCBHQHGIJI-RAXLEYEMSA-N 0 3 306.331 4.294 20 0 DIADHN CS[C@@H]1CCCCN(Cc2nc3cc(C)ccc3s2)C1 ZINC001192359352 1049086487 /nfs/dbraw/zinc/08/64/87/1049086487.db2.gz ZMTPRRUHIPELST-CYBMUJFWSA-N 0 3 306.500 4.322 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@H]1CSc2ccccc21 ZINC001178787480 1049088302 /nfs/dbraw/zinc/08/83/02/1049088302.db2.gz VXMJNGNAFFYPTM-NSHDSACASA-N 0 3 307.821 4.458 20 0 DIADHN Fc1cccc(C=C2CCN(C[C@@H]3CC4(CCC4)CO3)CC2)c1 ZINC001192380478 1049090785 /nfs/dbraw/zinc/09/07/85/1049090785.db2.gz NWTAYMXRNDIVGQ-IBGZPJMESA-N 0 3 315.432 4.264 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2c2ccc(C)cc2)ccc1O ZINC001204129724 1049091318 /nfs/dbraw/zinc/09/13/18/1049091318.db2.gz SEHRNKRLHLLVIV-SFHVURJKSA-N 0 3 311.425 4.436 20 0 DIADHN Fc1cccc(C=C2CCN(C[C@H]3CC4(CCC4)CO3)CC2)c1 ZINC001192380479 1049092135 /nfs/dbraw/zinc/09/21/35/1049092135.db2.gz NWTAYMXRNDIVGQ-LJQANCHMSA-N 0 3 315.432 4.264 20 0 DIADHN Cc1nc(CNCC2(c3ccc(C)cc3C)CC2)sc1C ZINC001178806063 1049092165 /nfs/dbraw/zinc/09/21/65/1049092165.db2.gz OWBAHQZEBMUZGT-UHFFFAOYSA-N 0 3 300.471 4.198 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)C2(C)CC2)nnn1-c1cccc(Cl)c1 ZINC000776883760 1049092911 /nfs/dbraw/zinc/09/29/11/1049092911.db2.gz RTWBGIDIYVCHNS-AAEUAGOBSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)C2(C)CC2)nnn1-c1cccc(Cl)c1 ZINC000776883765 1049093158 /nfs/dbraw/zinc/09/31/58/1049093158.db2.gz RTWBGIDIYVCHNS-YPMHNXCESA-N 0 3 318.852 4.068 20 0 DIADHN CSc1ccc(CN2CCC3(CCC(=O)CC3)CC2)cc1 ZINC001204141301 1049094650 /nfs/dbraw/zinc/09/46/50/1049094650.db2.gz NWYWIOGCNWAOEH-UHFFFAOYSA-N 0 3 303.471 4.134 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2CC(=O)OC(C)(C)C)cc1 ZINC001204141136 1049095102 /nfs/dbraw/zinc/09/51/02/1049095102.db2.gz IPDSRMBMDZZCQD-HNNXBMFYSA-N 0 3 321.486 4.105 20 0 DIADHN c1ccc2c(c1)CC[C@H]2CCNCc1nc2c(s1)CCCC2 ZINC001178827713 1049095896 /nfs/dbraw/zinc/09/58/96/1049095896.db2.gz SJVBOTIMQUAIPV-HNNXBMFYSA-N 0 3 312.482 4.232 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001178831666 1049096920 /nfs/dbraw/zinc/09/69/20/1049096920.db2.gz ZFQRRRCSIKDRCY-GHMZBOCLSA-N 0 3 320.260 4.294 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc1 ZINC000173346673 1049098465 /nfs/dbraw/zinc/09/84/65/1049098465.db2.gz KHASZMOQMHIDPP-ZIAGYGMSSA-N 0 3 301.455 4.313 20 0 DIADHN COc1cccc(C(=O)C2CCN(Cc3ccc(C)cc3)CC2)c1 ZINC001204168089 1049102058 /nfs/dbraw/zinc/10/20/58/1049102058.db2.gz FMVQTIFYTMKNCG-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN CCCc1ccc(CNCc2ccc(C3OCCO3)cc2)s1 ZINC000856770884 1049103619 /nfs/dbraw/zinc/10/36/19/1049103619.db2.gz QCQCCWCGXHTZQZ-UHFFFAOYSA-N 0 3 317.454 4.036 20 0 DIADHN COC(=O)[C@H]1CCN(Cc2ccc(C)cc2)[C@H](c2ccccc2)C1 ZINC001204175379 1049104170 /nfs/dbraw/zinc/10/41/70/1049104170.db2.gz WEEBEINJRDAOLR-PMACEKPBSA-N 0 3 323.436 4.121 20 0 DIADHN Cc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)cc1 ZINC001204173778 1049104225 /nfs/dbraw/zinc/10/42/25/1049104225.db2.gz CXFPZKRAIQUHNZ-UHFFFAOYSA-N 0 3 307.437 4.355 20 0 DIADHN CSCCCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856777935 1049105766 /nfs/dbraw/zinc/10/57/66/1049105766.db2.gz FOEKYIQRJHKVSL-MRVPVSSYSA-N 0 3 312.788 4.158 20 0 DIADHN CC[C@H](CC(C)C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622299 1049107927 /nfs/dbraw/zinc/10/79/27/1049107927.db2.gz GSGUGRVCVVFHRA-OAHLLOKOSA-N 0 3 301.434 4.338 20 0 DIADHN C[C@@H](CC1CCCC1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904621557 1049107964 /nfs/dbraw/zinc/10/79/64/1049107964.db2.gz ZGNLDXLSPKHTJK-AWEZNQCLSA-N 0 3 313.445 4.482 20 0 DIADHN CC1=CC[C@@](C)(c2nc(-c3ccc(CN(C)C)cc3)no2)CC1 ZINC000904624702 1049108070 /nfs/dbraw/zinc/10/80/70/1049108070.db2.gz JLQUJAVVAGGTSO-LJQANCHMSA-N 0 3 311.429 4.186 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3(C)CCCCCC3)n2)cc1 ZINC000904623345 1049108544 /nfs/dbraw/zinc/10/85/44/1049108544.db2.gz OHKPYADDPVFEOS-UHFFFAOYSA-N 0 3 313.445 4.410 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@H]1C[C@]1(C)Br ZINC001204271010 1049124819 /nfs/dbraw/zinc/12/48/19/1049124819.db2.gz UZPPAMWOZKIZOP-OCCSQVGLSA-N 0 3 316.670 4.335 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3CC4CCC3CC4)n2)cc1 ZINC000904623792 1049108593 /nfs/dbraw/zinc/10/85/93/1049108593.db2.gz OYWNUYUJVHNCTP-PUJMQQBBSA-N 0 3 311.429 4.092 20 0 DIADHN CCSc1ccc([C@@H](C)NC[C@@](O)(CC)C(F)(F)F)cc1 ZINC001192556861 1049115119 /nfs/dbraw/zinc/11/51/19/1049115119.db2.gz HKMQICIXGLJYNI-RISCZKNCSA-N 0 3 321.408 4.153 20 0 DIADHN CCc1ccc(CN2CCC[C@@H](N(C)c3ccc(C)cc3)C2)nc1 ZINC001192490839 1049110917 /nfs/dbraw/zinc/11/09/17/1049110917.db2.gz UBUWYLHUXJHGTH-OAQYLSRUSA-N 0 3 323.484 4.053 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(CCCC(F)(F)F)CC1 ZINC001204211649 1049111793 /nfs/dbraw/zinc/11/17/93/1049111793.db2.gz CXBXKEJCEPQPOE-INIZCTEOSA-N 0 3 314.395 4.098 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1ccc(F)cc1F ZINC001204211903 1049111827 /nfs/dbraw/zinc/11/18/27/1049111827.db2.gz JIXPTDZCXGVJTQ-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3ccncc3F)CC2)cc1 ZINC001192516658 1049112356 /nfs/dbraw/zinc/11/23/56/1049112356.db2.gz XRMNFAGCNZOUNI-CQSZACIVSA-N 0 3 302.368 4.130 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1ccc(F)c(Cl)c1Cl)C1CC1 ZINC001178949107 1049112545 /nfs/dbraw/zinc/11/25/45/1049112545.db2.gz DJNGLYMAXBWQGH-RFAUZJTJSA-N 0 3 320.235 4.426 20 0 DIADHN C[C@H](C(=O)N1CCC[C@@H]1c1cccs1)N1CCCCCCC1 ZINC000856884999 1049112899 /nfs/dbraw/zinc/11/28/99/1049112899.db2.gz OBHAVQQXSWDRMA-HZPDHXFCSA-N 0 3 320.502 4.066 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3ccncc3F)CC2)cc1 ZINC001192516657 1049113179 /nfs/dbraw/zinc/11/31/79/1049113179.db2.gz XRMNFAGCNZOUNI-AWEZNQCLSA-N 0 3 302.368 4.130 20 0 DIADHN COc1cncc(CNCc2ccc(C)c3ccccc23)c1C ZINC001178958219 1049114583 /nfs/dbraw/zinc/11/45/83/1049114583.db2.gz JYSPRAXVDGWNQR-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(C)c(F)c1 ZINC001192564718 1049116386 /nfs/dbraw/zinc/11/63/86/1049116386.db2.gz CXUYPHQCDMPUEO-QGZVFWFLSA-N 0 3 315.388 4.146 20 0 DIADHN COc1cc(CNC2(c3cccc(C)c3)CCC2)cc(OC)c1 ZINC001179021384 1049117195 /nfs/dbraw/zinc/11/71/95/1049117195.db2.gz VLVNXQFZPMDHND-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN C[C@@H](NC[C@H](O)[C@H](C)c1ccccc1)c1cc(Cl)ccc1F ZINC001192574980 1049118105 /nfs/dbraw/zinc/11/81/05/1049118105.db2.gz LSIVPXDCHVDIQE-VFVRVIDISA-N 0 3 321.823 4.294 20 0 DIADHN CC[C@H](N[C@@H](c1ccccc1)C1CC1)C(=O)Nc1ccc(C)cc1 ZINC001192584851 1049118464 /nfs/dbraw/zinc/11/84/64/1049118464.db2.gz LMXUIEQEKAKSBP-PMACEKPBSA-N 0 3 322.452 4.453 20 0 DIADHN COCCC1(CN[C@@H]2C[C@@]2(F)c2ccccc2)CCCCC1 ZINC001178999030 1049120756 /nfs/dbraw/zinc/12/07/56/1049120756.db2.gz XTNKRQXAAASKQP-IEBWSBKVSA-N 0 3 305.437 4.200 20 0 DIADHN COc1cccc(OC)c1CN1CCC[C@H]1c1cccc(C)c1 ZINC001204247609 1049121946 /nfs/dbraw/zinc/12/19/46/1049121946.db2.gz LFEDQCQFFJDIBB-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN c1c(CNC[C@@H]2CSc3ccccc3O2)oc2ccccc12 ZINC001179028539 1049125316 /nfs/dbraw/zinc/12/53/16/1049125316.db2.gz TYSRQMFIPDGZFI-OAHLLOKOSA-N 0 3 311.406 4.076 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001192646055 1049126246 /nfs/dbraw/zinc/12/62/46/1049126246.db2.gz ZEYYKUBDLXNKJP-CJNGLKHVSA-N 0 3 303.837 4.289 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001192645489 1049127260 /nfs/dbraw/zinc/12/72/60/1049127260.db2.gz NLHKGQRHJZHQHW-CPUCHLNUSA-N 0 3 322.518 4.214 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001192645490 1049127336 /nfs/dbraw/zinc/12/73/36/1049127336.db2.gz NLHKGQRHJZHQHW-JJRVBVJISA-N 0 3 322.518 4.214 20 0 DIADHN CC[C@H]1CCC[C@H](CN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001204286475 1049128308 /nfs/dbraw/zinc/12/83/08/1049128308.db2.gz AIXVQJIVQUVAHC-STQMWFEESA-N 0 3 317.399 4.087 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001204286460 1049128652 /nfs/dbraw/zinc/12/86/52/1049128652.db2.gz AIXVQJIVQUVAHC-CHWSQXEVSA-N 0 3 317.399 4.087 20 0 DIADHN Cn1ccc(CN2Cc3ccccc3C[C@H]2c2ccccc2)c1 ZINC001204289413 1049129674 /nfs/dbraw/zinc/12/96/74/1049129674.db2.gz ZFUVRLUAWDHJON-NRFANRHFSA-N 0 3 302.421 4.325 20 0 DIADHN CCCCCCOc1ccccc1CN(C)CC1(C)COC1 ZINC001204293747 1049129940 /nfs/dbraw/zinc/12/99/40/1049129940.db2.gz GXRYBQUMZFYBRZ-UHFFFAOYSA-N 0 3 305.462 4.114 20 0 DIADHN C[C@@H]1SCC[C@@H]1NCc1c(Cl)cc(Cl)cc1N(C)C ZINC001179087028 1049130096 /nfs/dbraw/zinc/13/00/96/1049130096.db2.gz XXCAHCLFHQKPEO-ZANVPECISA-N 0 3 319.301 4.043 20 0 DIADHN COc1cccc2c1CCN(Cc1c(C)[nH]c3ccccc31)C2 ZINC001204292399 1049130412 /nfs/dbraw/zinc/13/04/12/1049130412.db2.gz BNLIKAROTJJMJX-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC(O)(CC)CC1 ZINC001204293848 1049130437 /nfs/dbraw/zinc/13/04/37/1049130437.db2.gz JWMYJDNHUMPSPE-UHFFFAOYSA-N 0 3 319.489 4.383 20 0 DIADHN C[C@H]1SCC[C@H]1NCc1c(Cl)cc(Cl)cc1N(C)C ZINC001179087025 1049130621 /nfs/dbraw/zinc/13/06/21/1049130621.db2.gz XXCAHCLFHQKPEO-NOZJJQNGSA-N 0 3 319.301 4.043 20 0 DIADHN C[C@@H]1SCC[C@H]1NCc1c(Cl)cc(Cl)cc1N(C)C ZINC001179087027 1049130833 /nfs/dbraw/zinc/13/08/33/1049130833.db2.gz XXCAHCLFHQKPEO-TVQRCGJNSA-N 0 3 319.301 4.043 20 0 DIADHN COc1cc(CNCc2ccc3occc3c2)ccc1Cl ZINC001179104824 1049131490 /nfs/dbraw/zinc/13/14/90/1049131490.db2.gz DINZWWKIHNCLSF-UHFFFAOYSA-N 0 3 301.773 4.385 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(-c3ccccn3)nc2)cc1 ZINC001192730515 1049132237 /nfs/dbraw/zinc/13/22/37/1049132237.db2.gz JHCRQBWYRJZXOT-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN COCC1(NCc2ccc(F)c(Cl)c2Cl)CCCC1 ZINC001179143088 1049132351 /nfs/dbraw/zinc/13/23/51/1049132351.db2.gz RJBIXUPZTWUUKQ-UHFFFAOYSA-N 0 3 306.208 4.181 20 0 DIADHN CCCCCCOc1ccccc1CN1C[C@H]2[C@H](CF)[C@H]2C1 ZINC001204294518 1049132494 /nfs/dbraw/zinc/13/24/94/1049132494.db2.gz ROJGAEMWLOPLKO-NNZMDNLPSA-N 0 3 305.437 4.293 20 0 DIADHN CCCCCCOc1ccccc1CN1CCCO[C@H](C)C1 ZINC001204296553 1049132732 /nfs/dbraw/zinc/13/27/32/1049132732.db2.gz BRBFKHHFUARYFT-QGZVFWFLSA-N 0 3 305.462 4.257 20 0 DIADHN CC(C)(C)CNC(=O)CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001192664392 1049132825 /nfs/dbraw/zinc/13/28/25/1049132825.db2.gz ZTJCBBWQOQBGLL-MRXNPFEDSA-N 0 3 322.468 4.055 20 0 DIADHN CC(C)(C)CNC(=O)CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001192664391 1049132976 /nfs/dbraw/zinc/13/29/76/1049132976.db2.gz ZTJCBBWQOQBGLL-INIZCTEOSA-N 0 3 322.468 4.055 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@H]2COC[C@@H]2C1 ZINC001204297709 1049133135 /nfs/dbraw/zinc/13/31/35/1049133135.db2.gz LSIZZNFZAUNGMQ-MOPGFXCFSA-N 0 3 317.473 4.114 20 0 DIADHN Cc1ccc([C@H](C)NC(=O)c2cccc(CN3CCCC3)c2)cc1 ZINC000139259185 1049133779 /nfs/dbraw/zinc/13/37/79/1049133779.db2.gz WFPWWAHQDFAMOA-KRWDZBQOSA-N 0 3 322.452 4.082 20 0 DIADHN Cc1ccc(CN[C@]2(Cc3ccc(Cl)cc3)CCCOC2)o1 ZINC001179116369 1049133836 /nfs/dbraw/zinc/13/38/36/1049133836.db2.gz JANLVGNULZEWBA-SFHVURJKSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@H](NC[C@H]1CCCC(C)(C)O1)c1ccccc1OC(F)F ZINC001192747654 1049134482 /nfs/dbraw/zinc/13/44/82/1049134482.db2.gz WIEIXLNVPBIFHA-QWHCGFSZSA-N 0 3 313.388 4.286 20 0 DIADHN C[C@@H]1[C@H](C)N(Cc2cc(Cl)cc3ccccc32)CCN1C ZINC001192745705 1049134756 /nfs/dbraw/zinc/13/47/56/1049134756.db2.gz OSXOPCAVPVZVFF-KGLIPLIRSA-N 0 3 302.849 4.018 20 0 DIADHN CC[C@H](COCC1CC1)NCc1cccc(Cl)c1Cl ZINC001179135364 1049135144 /nfs/dbraw/zinc/13/51/44/1049135144.db2.gz OOFPTNHGPMSFMU-CYBMUJFWSA-N 0 3 302.245 4.288 20 0 DIADHN CC(C)(O)CCNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000484984338 1049136392 /nfs/dbraw/zinc/13/63/92/1049136392.db2.gz DRKSOKIQDFKQQR-UHFFFAOYSA-N 0 3 321.770 4.099 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2c(C3CCC3)cnn2C)cc1 ZINC001204308775 1049138641 /nfs/dbraw/zinc/13/86/41/1049138641.db2.gz VXYPKZWHHFXGHL-MRXNPFEDSA-N 0 3 311.473 4.059 20 0 DIADHN Cc1cc(C)c(/C=C/CNC[C@]23OCCC[C@H]2C3(F)F)c(C)c1 ZINC001179172838 1049139891 /nfs/dbraw/zinc/13/98/91/1049139891.db2.gz BXHOQOAYZMLFMW-RYECEPHISA-N 0 3 321.411 4.029 20 0 DIADHN Cn1cc(CN2CCSc3ccccc3C2)c2ccccc21 ZINC001204319343 1049139839 /nfs/dbraw/zinc/13/98/39/1049139839.db2.gz PTKFJYLVBBKUKM-UHFFFAOYSA-N 0 3 308.450 4.286 20 0 DIADHN Fc1ccc2c(c1)C[C@H]1[C@@H](NCc3ccc(F)cc3Cl)[C@@H]21 ZINC001179211077 1049141314 /nfs/dbraw/zinc/14/13/14/1049141314.db2.gz REVLYZHDMCAYCE-HYVNUMGLSA-N 0 3 305.755 4.046 20 0 DIADHN CN(C)c1ccncc1CNCc1ccc(-c2ccccc2)s1 ZINC001179232459 1049145949 /nfs/dbraw/zinc/14/59/49/1049145949.db2.gz AADFDANLKIUOJP-UHFFFAOYSA-N 0 3 323.465 4.166 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1ccc(N(C)C(C)C)nc1 ZINC001179238678 1049146086 /nfs/dbraw/zinc/14/60/86/1049146086.db2.gz WHAQIBJGNYBCKH-HXUWFJFHSA-N 0 3 323.484 4.402 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2ccnn2CCc2ccccc2)C1 ZINC001204347729 1049147501 /nfs/dbraw/zinc/14/75/01/1049147501.db2.gz LIZKOUHVLNFYRL-FQEVSTJZSA-N 0 3 311.473 4.138 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H]1Cc2ccccc2CO1 ZINC001179254298 1049149540 /nfs/dbraw/zinc/14/95/40/1049149540.db2.gz YKQYUMWSXKTLRF-SFHVURJKSA-N 0 3 313.441 4.117 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2C[C@H](Sc3ccccc3)C2)c1 ZINC001179286028 1049150548 /nfs/dbraw/zinc/15/05/48/1049150548.db2.gz WUUXUVMUUVPAJM-KOMQPUFPSA-N 0 3 305.393 4.378 20 0 DIADHN CCCCCCOc1ccc(CN2[C@@H]3CC[C@H]2CC(=O)C3)cc1 ZINC001204365749 1049151544 /nfs/dbraw/zinc/15/15/44/1049151544.db2.gz WZXFIVJUWZVFAW-HDICACEKSA-N 0 3 315.457 4.342 20 0 DIADHN Fc1cccc2c1CN(CCCOc1cccc(Cl)c1)CC2 ZINC001192955238 1049153795 /nfs/dbraw/zinc/15/37/95/1049153795.db2.gz GWAZKGNFEFXPBE-UHFFFAOYSA-N 0 3 319.807 4.306 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1nc2c(s1)C[C@H](C)CC2 ZINC001179348300 1049154839 /nfs/dbraw/zinc/15/48/39/1049154839.db2.gz VYDKIDUDJKDYME-LLVKDONJSA-N 0 3 316.461 4.429 20 0 DIADHN OCC[C@H](NC/C=C\c1ccccc1)c1ccc(Cl)c(F)c1 ZINC001179353709 1049154879 /nfs/dbraw/zinc/15/48/79/1049154879.db2.gz VBLLKVFYQQVJPY-GINYUPMKSA-N 0 3 319.807 4.206 20 0 DIADHN COc1ccc(OC)c(CN[C@H](c2cccc(C)c2)C(C)C)c1 ZINC001179325012 1049156447 /nfs/dbraw/zinc/15/64/47/1049156447.db2.gz IUBFRLBEUXBGMU-FQEVSTJZSA-N 0 3 313.441 4.499 20 0 DIADHN CC(=O)n1cc(CN(C)[C@@H]2CCc3ccccc32)c2ccccc21 ZINC001204413093 1049158041 /nfs/dbraw/zinc/15/80/41/1049158041.db2.gz AGFWSZVSGNJRKN-HXUWFJFHSA-N 0 3 318.420 4.421 20 0 DIADHN FC[C@H](NC1CCc2ccccc2CC1)c1ccc(F)cc1 ZINC001179401582 1049159616 /nfs/dbraw/zinc/15/96/16/1049159616.db2.gz HOSOICZKFUCDIL-IBGZPJMESA-N 0 3 301.380 4.374 20 0 DIADHN Cc1cc(C)cc(CN2CCN(c3ccc(C)c(C)c3)CC2)c1 ZINC001193003305 1049160063 /nfs/dbraw/zinc/16/00/63/1049160063.db2.gz TTXFXQFNSYJMSY-UHFFFAOYSA-N 0 3 308.469 4.242 20 0 DIADHN Brc1ccc(CN2CCC[C@@H]2C2CCCCC2)nc1 ZINC000485017263 1049160085 /nfs/dbraw/zinc/16/00/85/1049160085.db2.gz LYLCJBHHIJLUSY-MRXNPFEDSA-N 0 3 323.278 4.389 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001193003199 1049160461 /nfs/dbraw/zinc/16/04/61/1049160461.db2.gz PNCMPEUMUYBIBK-ACJLOTCBSA-N 0 3 321.823 4.076 20 0 DIADHN Fc1cc(-c2cccc([C@H]3CNCCO3)c2)ccc1C(F)F ZINC000904842862 1049165081 /nfs/dbraw/zinc/16/50/81/1049165081.db2.gz DRYPQXNMVBMNGO-MRXNPFEDSA-N 0 3 307.315 4.091 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](Oc3ccccc3)C2)cc1 ZINC001204456353 1049166136 /nfs/dbraw/zinc/16/61/36/1049166136.db2.gz PROGXWJSIVBYDA-FQEVSTJZSA-N 0 3 311.425 4.127 20 0 DIADHN CCCN(C(=O)c1cccc(CN(C)C)c1)c1ccc(CC)cc1 ZINC000857524216 1049168802 /nfs/dbraw/zinc/16/88/02/1049168802.db2.gz CGDQBFRTZSBVGN-UHFFFAOYSA-N 0 3 324.468 4.367 20 0 DIADHN COc1ccc(F)cc1CN1CCC(C)(c2ccccc2)CC1 ZINC001204462203 1049168841 /nfs/dbraw/zinc/16/88/41/1049168841.db2.gz XNYWBCQIUBJOHB-UHFFFAOYSA-N 0 3 313.416 4.388 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NC[C@H]2CCCCO2)cc1 ZINC001193070468 1049171050 /nfs/dbraw/zinc/17/10/50/1049171050.db2.gz QXPZVKRRNRGHGC-CABCVRRESA-N 0 3 301.352 4.147 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NC[C@@H]2CCCCO2)cc1 ZINC001193070470 1049171666 /nfs/dbraw/zinc/17/16/66/1049171666.db2.gz QXPZVKRRNRGHGC-GJZGRUSLSA-N 0 3 301.352 4.147 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2ccc3c(c2)CC(=O)N3C)c1 ZINC000775568166 1049171720 /nfs/dbraw/zinc/17/17/20/1049171720.db2.gz MPGIMQSGJOROCY-OAHLLOKOSA-N 0 3 322.452 4.180 20 0 DIADHN O[C@H]1C[C@](NCc2ccc(C3CCC3)cc2)(c2ccccc2)C1 ZINC001179521011 1049171890 /nfs/dbraw/zinc/17/18/90/1049171890.db2.gz UQEPWAJDASTCTB-OYRHEFFESA-N 0 3 307.437 4.094 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCOCC[C@H]1C ZINC000775568788 1049173114 /nfs/dbraw/zinc/17/31/14/1049173114.db2.gz XAZNOAVHBKSGQJ-MRXNPFEDSA-N 0 3 313.441 4.238 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2ccc(C)c(F)c2)c(C)o1 ZINC001193081103 1049174139 /nfs/dbraw/zinc/17/41/39/1049174139.db2.gz LSONALVOCLAIGM-ZDUSSCGKSA-N 0 3 318.392 4.023 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3c(c2)C[C@H](C)O3)cc1 ZINC001179537412 1049174103 /nfs/dbraw/zinc/17/41/03/1049174103.db2.gz JYAXSBVAAQNTIQ-INIZCTEOSA-N 0 3 309.453 4.498 20 0 DIADHN CC[C@@H]1CCC[C@@H](CNCc2noc3c2CC(C)(C)CC3)C1 ZINC001179501714 1049175887 /nfs/dbraw/zinc/17/58/87/1049175887.db2.gz DONOGIPSSNUORK-HUUCEWRRSA-N 0 3 304.478 4.496 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccc2cc(OC)ccc2c1 ZINC001204514638 1049178104 /nfs/dbraw/zinc/17/81/04/1049178104.db2.gz OCAIFEVXZREDQB-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)C[C@@H](C)O3)cc1Cl ZINC001179537640 1049179142 /nfs/dbraw/zinc/17/91/42/1049179142.db2.gz NWLDYOVRZULENX-CYBMUJFWSA-N 0 3 301.817 4.262 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CC(C)(C)CC(C)(C)C1 ZINC001193104294 1049179820 /nfs/dbraw/zinc/17/98/20/1049179820.db2.gz QDICHLVCFLASMY-KRWDZBQOSA-N 0 3 316.489 4.470 20 0 DIADHN COC(=O)c1ccc([C@@H]2CCCN2Cc2cc(C)c(C)o2)cc1 ZINC001204541926 1049184263 /nfs/dbraw/zinc/18/42/63/1049184263.db2.gz QLQKYCYZDYOWMN-SFHVURJKSA-N 0 3 313.397 4.020 20 0 DIADHN CCOc1cncc(CN2CCC[C@@H]2c2cc(C)ccc2C)c1 ZINC001204549946 1049187866 /nfs/dbraw/zinc/18/78/66/1049187866.db2.gz DBZDEEGWHBEGGN-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN COc1ccc(CNCc2cccc3c2OCC3)c2ccccc12 ZINC001179658152 1049189239 /nfs/dbraw/zinc/18/92/39/1049189239.db2.gz DUYUJXINRSRMBA-UHFFFAOYSA-N 0 3 319.404 4.073 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@H]2C(C)=CCC[C@@H]2C)c1C ZINC001204601012 1049196539 /nfs/dbraw/zinc/19/65/39/1049196539.db2.gz AQCLWUAPCBPRLY-WMZOPIPTSA-N 0 3 314.473 4.166 20 0 DIADHN C[C@@H](NCc1ccc(OCC(F)F)cc1)c1ccc(F)cc1 ZINC001179717669 1049198555 /nfs/dbraw/zinc/19/85/55/1049198555.db2.gz WUUKOQRZXJFFAL-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN CCCn1cncc1CNC(C)(C)c1ccc2ccccc2c1 ZINC001203295507 1049199619 /nfs/dbraw/zinc/19/96/19/1049199619.db2.gz WTVGDTPLYHUIEK-UHFFFAOYSA-N 0 3 307.441 4.471 20 0 DIADHN Cc1cc(C)cc(CCN2C[C@H](C)OC[C@H]2c2ccccc2)c1 ZINC001204615262 1049199826 /nfs/dbraw/zinc/19/98/26/1049199826.db2.gz GXGBBNZURTVGLQ-RXVVDRJESA-N 0 3 309.453 4.308 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C[C@H]1COC(C)(C)O1 ZINC001204614767 1049201184 /nfs/dbraw/zinc/20/11/84/1049201184.db2.gz CSOIPHKXVUMMNA-PWSUYJOCSA-N 0 3 318.244 4.138 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC001179768579 1049201345 /nfs/dbraw/zinc/20/13/45/1049201345.db2.gz SCMWMOCYHYXUAF-GBIKHYSHSA-N 0 3 301.764 4.220 20 0 DIADHN COc1ccc(Oc2ccc(CNC/C=C\Cl)cc2)cc1 ZINC001179747348 1049204001 /nfs/dbraw/zinc/20/40/01/1049204001.db2.gz KZRMPNREVSOGPJ-FUQNDXKWSA-N 0 3 303.789 4.330 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cccc3c2OCCO3)cc1C ZINC001179752543 1049204021 /nfs/dbraw/zinc/20/40/21/1049204021.db2.gz VGBWFAKQTGTARV-FNORWQNLSA-N 0 3 323.436 4.186 20 0 DIADHN C[C@@H](c1cccs1)N(C)C[C@@H](O)c1cc2ccccc2o1 ZINC000815018424 1049204209 /nfs/dbraw/zinc/20/42/09/1049204209.db2.gz PNSZDCYBLVPLOL-GXTWGEPZSA-N 0 3 301.411 4.221 20 0 DIADHN Cc1cccc(OC[C@@H](C)N[C@H]2CCc3ccc(Cl)nc32)c1 ZINC000857901590 1049207675 /nfs/dbraw/zinc/20/76/75/1049207675.db2.gz LZPUOHYCQMHCCS-CJNGLKHVSA-N 0 3 316.832 4.088 20 0 DIADHN COc1ccc(C[C@@H]2CCN2C/C=C\c2ccc(F)cc2)cc1 ZINC001204633734 1049207699 /nfs/dbraw/zinc/20/76/99/1049207699.db2.gz YESHABMAZQMHKJ-AXMVSILFSA-N 0 3 311.400 4.165 20 0 DIADHN Clc1ccc2c(n1)[C@H](NCCSc1ccccc1)CC2 ZINC000857900912 1049207878 /nfs/dbraw/zinc/20/78/78/1049207878.db2.gz FZBUJJNVJSQJKB-CQSZACIVSA-N 0 3 304.846 4.104 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857907043 1049208297 /nfs/dbraw/zinc/20/82/97/1049208297.db2.gz KYSIZSQEPZMYGM-CJNGLKHVSA-N 0 3 316.832 4.088 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@H]1OCc2ccccc21 ZINC001179800446 1049209019 /nfs/dbraw/zinc/20/90/19/1049209019.db2.gz QXZMMFFGWBVJJN-HXUWFJFHSA-N 0 3 305.377 4.308 20 0 DIADHN CC[C@@H]1CCC[C@@H](NCc2ncccc2Br)CC1 ZINC001179800642 1049209980 /nfs/dbraw/zinc/20/99/80/1049209980.db2.gz WMGKNKMHHFORIT-CHWSQXEVSA-N 0 3 311.267 4.293 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ccn(-c2c(F)cccc2F)n1 ZINC001193412583 1049210100 /nfs/dbraw/zinc/21/01/00/1049210100.db2.gz FREYSXKFUWHEEH-CXAGYDPISA-N 0 3 319.399 4.161 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@@]3(CCOC3)Oc3ccccc32)c(C)c1 ZINC001179834802 1049211959 /nfs/dbraw/zinc/21/19/59/1049211959.db2.gz VSPNERYARXRPSJ-PZJWPPBQSA-N 0 3 323.436 4.076 20 0 DIADHN CCOC(OCC)[C@H](C)NCc1ccc(-c2ccccc2)s1 ZINC001179838402 1049212957 /nfs/dbraw/zinc/21/29/57/1049212957.db2.gz WGAZHZRHOSCEPU-AWEZNQCLSA-N 0 3 319.470 4.292 20 0 DIADHN CC(C)(CC1CCCCCC1)NCc1cc2n(n1)CCCC2 ZINC001193474090 1049214029 /nfs/dbraw/zinc/21/40/29/1049214029.db2.gz UNLNGDUJPUWNQS-UHFFFAOYSA-N 0 3 303.494 4.448 20 0 DIADHN Cc1ccccc1CO[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001204660414 1049214099 /nfs/dbraw/zinc/21/40/99/1049214099.db2.gz HYROROUMVIVODP-HKUYNNGSSA-N 0 3 310.441 4.132 20 0 DIADHN CC(C)OC(=O)Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001193491382 1049215931 /nfs/dbraw/zinc/21/59/31/1049215931.db2.gz DKAMQPMHWUCIHH-QGZVFWFLSA-N 0 3 324.424 4.221 20 0 DIADHN FC(F)n1cccc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC001179854165 1049216838 /nfs/dbraw/zinc/21/68/38/1049216838.db2.gz BIIUQXGOXPTLTL-CQSZACIVSA-N 0 3 322.424 4.497 20 0 DIADHN CCOC(=O)c1[nH]c(C)c(CN[C@H](C)c2ccc(C)s2)c1C ZINC000858107475 1049218358 /nfs/dbraw/zinc/21/83/58/1049218358.db2.gz QCYVPNNDPUBMMA-CYBMUJFWSA-N 0 3 320.458 4.029 20 0 DIADHN CCOC(=O)c1[nH]c(C)c(CN[C@@H](C)c2ccc(C)s2)c1C ZINC000858107476 1049220548 /nfs/dbraw/zinc/22/05/48/1049220548.db2.gz QCYVPNNDPUBMMA-ZDUSSCGKSA-N 0 3 320.458 4.029 20 0 DIADHN C[C@H]1CCCCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001193517368 1049220701 /nfs/dbraw/zinc/22/07/01/1049220701.db2.gz RKTDFDPBWIKAGP-HNNXBMFYSA-N 0 3 302.462 4.045 20 0 DIADHN C[C@@H]1CN(Cc2cc3ccc(F)cc3[nH]c2=O)[C@@H]1c1ccccc1 ZINC001204680502 1049222035 /nfs/dbraw/zinc/22/20/35/1049222035.db2.gz DJLDJETZMZTJAQ-YJYMSZOUSA-N 0 3 322.383 4.273 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001204686732 1049225177 /nfs/dbraw/zinc/22/51/77/1049225177.db2.gz IWCROFDLZIXZAN-MJGOQNOKSA-N 0 3 310.441 4.132 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204695623 1049229788 /nfs/dbraw/zinc/22/97/88/1049229788.db2.gz WZWJSQLSXDUIIB-OAHLLOKOSA-N 0 3 305.805 4.211 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1nccc2c1CCCC2 ZINC001179930959 1049231280 /nfs/dbraw/zinc/23/12/80/1049231280.db2.gz IBFLGQNCMILOGG-NRFANRHFSA-N 0 3 306.453 4.470 20 0 DIADHN C[C@H]1CCN(Cc2nc(N)c3ccccc3n2)CC12CCCCC2 ZINC001193634999 1049234736 /nfs/dbraw/zinc/23/47/36/1049234736.db2.gz CWFHCUNXQNFAGQ-HNNXBMFYSA-N 0 3 324.472 4.004 20 0 DIADHN Clc1cccc(-c2ccc(CN3CCC[C@@]4(CCO4)C3)o2)c1 ZINC001204711222 1049235211 /nfs/dbraw/zinc/23/52/11/1049235211.db2.gz VONIATIVBVWGTC-GOSISDBHSA-N 0 3 317.816 4.355 20 0 DIADHN COCCC1CN(C/C(C)=C\c2cccc(C(F)(F)F)c2)C1 ZINC000668471928 1049236007 /nfs/dbraw/zinc/23/60/07/1049236007.db2.gz QNVAILNXMDHTIT-JYRVWZFOSA-N 0 3 313.363 4.077 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@@H]1C ZINC001193604883 1049238452 /nfs/dbraw/zinc/23/84/52/1049238452.db2.gz IIIGWJUJXLKVJI-IAGOWNOFSA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)[C@H]1C ZINC001193605784 1049238630 /nfs/dbraw/zinc/23/86/30/1049238630.db2.gz KLSHBNSSTCNEEE-MELADBBJSA-N 0 3 308.853 4.096 20 0 DIADHN Cc1cccc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)c1F ZINC001179952013 1049238697 /nfs/dbraw/zinc/23/86/97/1049238697.db2.gz NQAXNPFTCNRWLJ-JKSUJKDBSA-N 0 3 319.445 4.284 20 0 DIADHN C[C@@H](CCN1CCO[C@]2(CCc3ccccc32)C1)c1ccccc1 ZINC001179905535 1049239376 /nfs/dbraw/zinc/23/93/76/1049239376.db2.gz KOBKFWJIGPTVBF-PGRDOPGGSA-N 0 3 321.464 4.354 20 0 DIADHN Clc1csc(NC[C@H](c2cccs2)N2CCCC2)n1 ZINC000858316198 1049239906 /nfs/dbraw/zinc/23/99/06/1049239906.db2.gz NDYTVMFVTFGAHM-SNVBAGLBSA-N 0 3 313.879 4.107 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@@H](C)c1ccns1 ZINC000858318219 1049240758 /nfs/dbraw/zinc/24/07/58/1049240758.db2.gz MMFHMLJUTLTUQT-ZKQHCESOSA-N 0 3 324.396 4.499 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1ccns1 ZINC000858317822 1049242010 /nfs/dbraw/zinc/24/20/10/1049242010.db2.gz DMMRCJOKYDPLNP-UELRPHRMSA-N 0 3 302.443 4.044 20 0 DIADHN C(=C/[C@H]1CCCCCN1Cc1cnc2n1CCC2)\c1ccccc1 ZINC001179974455 1049244767 /nfs/dbraw/zinc/24/47/67/1049244767.db2.gz NLPUPKZDNDMJLR-JXOMPUQVSA-N 0 3 321.468 4.287 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1cc(F)ccc1Br ZINC001193676580 1049246004 /nfs/dbraw/zinc/24/60/04/1049246004.db2.gz YZAKLHKHLGVSBU-YGRLFVJLSA-N 0 3 300.215 4.209 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(Cl)cc2c1OCC2 ZINC001179955949 1049249097 /nfs/dbraw/zinc/24/90/97/1049249097.db2.gz BXCSVJDCLVZYGG-GFCCVEGCSA-N 0 3 313.894 4.238 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CC=C(c2ccc(C)cc2)CC1 ZINC001204743373 1049248910 /nfs/dbraw/zinc/24/89/10/1049248910.db2.gz FFDRFIIVBAFBIN-UHFFFAOYSA-N 0 3 323.484 4.143 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCc3ccccc3[C@H]2CO)cc1C ZINC001179956965 1049249801 /nfs/dbraw/zinc/24/98/01/1049249801.db2.gz IZICAYQHITZCPH-XOPAWIAISA-N 0 3 321.464 4.217 20 0 DIADHN Cc1ccc(Br)cc1[C@H](C)N[C@@H](C)c1ccc[nH]1 ZINC000858381906 1049251242 /nfs/dbraw/zinc/25/12/42/1049251242.db2.gz JTXIRCZMECUHBG-RYUDHWBXSA-N 0 3 307.235 4.497 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(-c3ccccc3)s2)n(C)n1 ZINC000858445263 1049259831 /nfs/dbraw/zinc/25/98/31/1049259831.db2.gz DPSJRGFKASXHIL-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12)c1cccnc1Cl ZINC000858456901 1049260315 /nfs/dbraw/zinc/26/03/15/1049260315.db2.gz FJCMHTCMVRDAIM-WXKRBDHGSA-N 0 3 319.235 4.377 20 0 DIADHN COc1cc(F)c(CN(C)C2CCC(C)(C)CC2)cc1OC ZINC001204775911 1049260374 /nfs/dbraw/zinc/26/03/74/1049260374.db2.gz JGPBEDJLKRLGQC-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN CCCCCOC(=O)Nc1ccccc1CN1CCCCC1 ZINC001193768127 1049260468 /nfs/dbraw/zinc/26/04/68/1049260468.db2.gz FFEAMDNLDBKEKG-UHFFFAOYSA-N 0 3 304.434 4.411 20 0 DIADHN CC(C)(C)OC1CC(N2CCC[C@H](c3ccc(F)c(F)c3)C2)C1 ZINC000858457822 1049261645 /nfs/dbraw/zinc/26/16/45/1049261645.db2.gz VFKILCNIHYOYKI-FHERZECASA-N 0 3 323.427 4.490 20 0 DIADHN OC[C@@H](NCC1=CCCC1)c1ccc(Cl)cc1C(F)(F)F ZINC001193838057 1049262613 /nfs/dbraw/zinc/26/26/13/1049262613.db2.gz FBNJTEGJHWSBAM-CQSZACIVSA-N 0 3 319.754 4.092 20 0 DIADHN CCc1cccc(F)c1CN(CC)C[C@H](O)c1ccc(C)cc1 ZINC001180091717 1049264171 /nfs/dbraw/zinc/26/41/71/1049264171.db2.gz FERBXONRDXSGLV-FQEVSTJZSA-N 0 3 315.432 4.252 20 0 DIADHN C[C@@H](NC1CCC(c2ccc(O)cc2)CC1)c1cscn1 ZINC000668500963 1049264943 /nfs/dbraw/zinc/26/49/43/1049264943.db2.gz APNAOVODPQLQSK-DNOWBOINSA-N 0 3 302.443 4.226 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1CCC[C@@H](C(C)(C)C)C1 ZINC001193808555 1049267048 /nfs/dbraw/zinc/26/70/48/1049267048.db2.gz WHFZDPYAVMHOEJ-ZIAGYGMSSA-N 0 3 322.880 4.425 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1ccc2c(c1)CCCO2 ZINC001180094226 1049267595 /nfs/dbraw/zinc/26/75/95/1049267595.db2.gz ZQEQUQFTVSFQSX-UHFFFAOYSA-N 0 3 306.409 4.125 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1CCC[C@H](C(C)(C)C)C1 ZINC001193808553 1049267793 /nfs/dbraw/zinc/26/77/93/1049267793.db2.gz WHFZDPYAVMHOEJ-KGLIPLIRSA-N 0 3 322.880 4.425 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3n[nH]cc31)CCC2 ZINC000858545897 1049271170 /nfs/dbraw/zinc/27/11/70/1049271170.db2.gz WRAKQLVROLPOMX-JKSUJKDBSA-N 0 3 301.821 4.108 20 0 DIADHN C[C@H](NC[C@H]1CCCC12CC2)c1nc(Br)cs1 ZINC000858594165 1049283108 /nfs/dbraw/zinc/28/31/08/1049283108.db2.gz MVJCDFIZNQZOHU-VHSXEESVSA-N 0 3 315.280 4.137 20 0 DIADHN CC(C)N1CC[C@@H](OCC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001204816131 1049273953 /nfs/dbraw/zinc/27/39/53/1049273953.db2.gz OYOMPNWGGZHIFB-HXUWFJFHSA-N 0 3 323.436 4.036 20 0 DIADHN CC[C@@]1(C)CCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C1 ZINC001180080568 1049275308 /nfs/dbraw/zinc/27/53/08/1049275308.db2.gz FGGPGBQIBDIFHN-HNNXBMFYSA-N 0 3 319.342 4.389 20 0 DIADHN CCCN(CC1=CCCC1)[C@H](C)C(=O)Nc1ccccc1C ZINC001193859940 1049275956 /nfs/dbraw/zinc/27/59/56/1049275956.db2.gz OEJIKEQRTJZTKV-MRXNPFEDSA-N 0 3 300.446 4.144 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1cccc(OC(F)F)c1 ZINC001204818142 1049276255 /nfs/dbraw/zinc/27/62/55/1049276255.db2.gz STPVPPIMJSPIHO-ZDUSSCGKSA-N 0 3 303.352 4.407 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](c2ccccc2C)C[C@@H]1C ZINC001180143907 1049280543 /nfs/dbraw/zinc/28/05/43/1049280543.db2.gz YCWXTBCBWZHKRG-KBPBESRZSA-N 0 3 317.864 4.312 20 0 DIADHN Cc1cc(C)cc(CCN2CCN(c3cccc(C)c3C)CC2)c1 ZINC001180103288 1049286179 /nfs/dbraw/zinc/28/61/79/1049286179.db2.gz JJDZSZTUGINAOO-UHFFFAOYSA-N 0 3 322.496 4.285 20 0 DIADHN O[C@H](C[C@@H]1CCCN1C/C=C/c1cccc(F)c1)c1ccco1 ZINC001180108432 1049288798 /nfs/dbraw/zinc/28/87/98/1049288798.db2.gz QUTVYWXKDNKSJP-ZEJXFXCUSA-N 0 3 315.388 4.020 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1nc2c(s1)CCCC2 ZINC001180123521 1049292198 /nfs/dbraw/zinc/29/21/98/1049292198.db2.gz BLGQJHNCDWVKBK-ZBFHGGJFSA-N 0 3 312.482 4.400 20 0 DIADHN CCNc1ccccc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC001180177772 1049295277 /nfs/dbraw/zinc/29/52/77/1049295277.db2.gz FUOQXFRAADZFHK-FQEVSTJZSA-N 0 3 324.468 4.300 20 0 DIADHN Fc1cc(CN2CC[C@H](c3ccccn3)C2)ccc1C(F)(F)F ZINC001204879980 1049295664 /nfs/dbraw/zinc/29/56/64/1049295664.db2.gz RWVKEGJZRRJXGM-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC001204888130 1049299775 /nfs/dbraw/zinc/29/97/75/1049299775.db2.gz DMRPIQCKKUXEIH-QRTARXTBSA-N 0 3 321.436 4.244 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC[C@H]3CCC[C@@H]32)s1 ZINC001204891929 1049302479 /nfs/dbraw/zinc/30/24/79/1049302479.db2.gz SVNDLZKZVDVEOA-HIFRSBDPSA-N 0 3 321.486 4.468 20 0 DIADHN CC(C)CN(Cc1nc(Br)cs1)C1CCCC1 ZINC001180154983 1049302978 /nfs/dbraw/zinc/30/29/78/1049302978.db2.gz ZXMROFVFQYMJEB-UHFFFAOYSA-N 0 3 317.296 4.306 20 0 DIADHN Cc1cc(CN(C)CCCOc2cccc(Cl)c2)c(C)o1 ZINC001180161530 1049303126 /nfs/dbraw/zinc/30/31/26/1049303126.db2.gz GEJNOPMRRVUKQA-UHFFFAOYSA-N 0 3 307.821 4.451 20 0 DIADHN COc1nc(CN(Cc2ccccc2)CC2CCC2)ccc1C ZINC001204898899 1049304221 /nfs/dbraw/zinc/30/42/21/1049304221.db2.gz MSNUYAKQOKSCAC-UHFFFAOYSA-N 0 3 310.441 4.201 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C)[C@@H](C)c1ccc(C)cc1C ZINC001180169865 1049305903 /nfs/dbraw/zinc/30/59/03/1049305903.db2.gz LIWZJMMCAZLQOR-INIZCTEOSA-N 0 3 313.489 4.355 20 0 DIADHN C[C@@H](NC[C@@H](O)Cc1cccc(Cl)c1)c1ccccc1Cl ZINC001194058397 1049310026 /nfs/dbraw/zinc/31/00/26/1049310026.db2.gz ONDSFXUDBKUOCN-DOMZBBRYSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@H](CCN1CCO[C@@](C)(c2ccccc2)C1)c1ccccc1 ZINC001180230164 1049313577 /nfs/dbraw/zinc/31/35/77/1049313577.db2.gz ZFWAVMURGHGPNA-WIYYLYMNSA-N 0 3 309.453 4.428 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4ccccc4n3C)C2)c(C)c1 ZINC001194074024 1049316755 /nfs/dbraw/zinc/31/67/55/1049316755.db2.gz ONDOFFYOTNXEOK-QGZVFWFLSA-N 0 3 319.452 4.180 20 0 DIADHN Brc1cccc([C@@H]2CCCN2CCC[C@H]2CCO2)c1 ZINC001180245191 1049317931 /nfs/dbraw/zinc/31/79/31/1049317931.db2.gz RHRXCSHHZYRARA-HOTGVXAUSA-N 0 3 324.262 4.155 20 0 DIADHN Brc1cccc([C@H]2CCCN2CCC[C@H]2CCO2)c1 ZINC001180245193 1049318798 /nfs/dbraw/zinc/31/87/98/1049318798.db2.gz RHRXCSHHZYRARA-JKSUJKDBSA-N 0 3 324.262 4.155 20 0 DIADHN COC(=O)C(C)(C)CCN(C)Cc1cc(Cl)cc(Cl)c1 ZINC001180240448 1049319380 /nfs/dbraw/zinc/31/93/80/1049319380.db2.gz YEZJARHRJCRSES-UHFFFAOYSA-N 0 3 318.244 4.015 20 0 DIADHN Cc1nc(CNCC2(c3ccc(F)cc3F)CCCC2)cs1 ZINC000485707753 1049319637 /nfs/dbraw/zinc/31/96/37/1049319637.db2.gz YDHKVUSECOWIBC-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN CCN(Cc1cccc2c1OCC2)[C@@H](C)c1cccc(OC)c1 ZINC001180240489 1049319711 /nfs/dbraw/zinc/31/97/11/1049319711.db2.gz ZDJJZQLQQZSZHI-HNNXBMFYSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CCCC[C@@H]2C2CC2)no1 ZINC000485714294 1049323679 /nfs/dbraw/zinc/32/36/79/1049323679.db2.gz CXZAPUWMRGQRJK-IAGOWNOFSA-N 0 3 306.450 4.048 20 0 DIADHN C[C@H](NC(C1CCCC1)C1CCCC1)c1nc(C2CC2)no1 ZINC000859233561 1049323757 /nfs/dbraw/zinc/32/37/57/1049323757.db2.gz RIRMWJACHKHOBA-LBPRGKRZSA-N 0 3 303.450 4.347 20 0 DIADHN C[C@@H](NC(C1CCCC1)C1CCCC1)c1nc(C2CC2)no1 ZINC000859233560 1049323941 /nfs/dbraw/zinc/32/39/41/1049323941.db2.gz RIRMWJACHKHOBA-GFCCVEGCSA-N 0 3 303.450 4.347 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](C)[C@@H]3CCCC[C@H]32)c(C)n1 ZINC001180271142 1049324665 /nfs/dbraw/zinc/32/46/65/1049324665.db2.gz DSXSKQMICPMHDR-QANKJYHBSA-N 0 3 302.462 4.108 20 0 DIADHN CCCCN(CCOC)Cc1cnc(-c2ccccc2F)s1 ZINC001180262149 1049325017 /nfs/dbraw/zinc/32/50/17/1049325017.db2.gz LEDJCSVJXDOYCB-UHFFFAOYSA-N 0 3 322.449 4.198 20 0 DIADHN CC(C)OCCCCNCc1ccc(C(F)(F)F)nc1Cl ZINC001180310627 1049329245 /nfs/dbraw/zinc/32/92/45/1049329245.db2.gz MXXIPYZVNHDSNY-UHFFFAOYSA-N 0 3 324.774 4.049 20 0 DIADHN Cc1c(C)c(-c2ccc(F)c(CN3CCCC3)c2)ccc1CO ZINC001204997448 1049335053 /nfs/dbraw/zinc/33/50/53/1049335053.db2.gz JZLGYLDENQMBQU-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3ccnn3C3CCC3)C2)cc1 ZINC001180291472 1049338129 /nfs/dbraw/zinc/33/81/29/1049338129.db2.gz BPNUJYNAJFPPRN-HNNXBMFYSA-N 0 3 315.848 4.251 20 0 DIADHN Cc1ncoc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC001180297406 1049340543 /nfs/dbraw/zinc/34/05/43/1049340543.db2.gz WOQOJBZWOOOUHI-UHFFFAOYSA-N 0 3 302.393 4.457 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)OCCO3)cc1Cl ZINC001180359270 1049341742 /nfs/dbraw/zinc/34/17/42/1049341742.db2.gz FIZNMTQVBPRFEJ-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN COc1ccc(C(C)(C)NCc2cncc3ccccc32)cc1F ZINC000859644382 1049342507 /nfs/dbraw/zinc/34/25/07/1049342507.db2.gz IBOSDWUTJMSTFP-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN CN(Cc1ccc2cc[nH]c2c1)Cc1ccccc1N1CCCC1 ZINC001180359758 1049342838 /nfs/dbraw/zinc/34/28/38/1049342838.db2.gz VJAVCKXWYYSIHQ-UHFFFAOYSA-N 0 3 319.452 4.400 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@@H]2NCCOC1CCC1 ZINC000777119166 1049343667 /nfs/dbraw/zinc/34/36/67/1049343667.db2.gz LOVQDMCLTHBTRZ-SFHVURJKSA-N 0 3 315.457 4.372 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1Cl)[C@H]1CC[C@H](O)CC1 ZINC001205036507 1049348675 /nfs/dbraw/zinc/34/86/75/1049348675.db2.gz JWANFVPRKFYEKE-HAQNSBGRSA-N 0 3 321.770 4.094 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc(-c3cnco3)cc2)cc1 ZINC000906520650 1049350500 /nfs/dbraw/zinc/35/05/00/1049350500.db2.gz SPPOKOKRBHYJII-UHFFFAOYSA-N 0 3 322.408 4.419 20 0 DIADHN Cc1c(Br)cncc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001180403647 1049351101 /nfs/dbraw/zinc/35/11/01/1049351101.db2.gz MFPATKGBVVFWEH-UONOGXRCSA-N 0 3 323.278 4.165 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(-c3cnco3)cc1)CC2 ZINC000906527098 1049352917 /nfs/dbraw/zinc/35/29/17/1049352917.db2.gz YLTIAJVMOLFCSU-IBGZPJMESA-N 0 3 320.392 4.127 20 0 DIADHN Cc1nc(C)c([C@H](C)NCc2ccc(-c3cnco3)cc2)s1 ZINC000906525841 1049353051 /nfs/dbraw/zinc/35/30/51/1049353051.db2.gz QATBCGBXBIIQQT-NSHDSACASA-N 0 3 313.426 4.266 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC001180402503 1049353310 /nfs/dbraw/zinc/35/33/10/1049353310.db2.gz DMBSWDVYUBJHMV-MOPGFXCFSA-N 0 3 309.457 4.193 20 0 DIADHN CC[C@H](C)N(Cc1cnc2ccc(C)cn12)Cc1ccccc1 ZINC001180423015 1049355332 /nfs/dbraw/zinc/35/53/32/1049355332.db2.gz RNNGJTRORRETGW-KRWDZBQOSA-N 0 3 307.441 4.443 20 0 DIADHN CN(Cc1ccc2ccccc2c1)C[C@@H]1C[C@@]1(C)Br ZINC001180413171 1049360206 /nfs/dbraw/zinc/36/02/06/1049360206.db2.gz RIINDOMLAKJWAT-DLBZAZTESA-N 0 3 318.258 4.445 20 0 DIADHN CC(C)N(C)c1ccc(CN(C)[C@H]2CCCc3ccccc32)cn1 ZINC001180413360 1049360435 /nfs/dbraw/zinc/36/04/35/1049360435.db2.gz SVQMIPSQBVUVNF-FQEVSTJZSA-N 0 3 323.484 4.436 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1)N1CCCCCCCC1 ZINC001194400558 1049368582 /nfs/dbraw/zinc/36/85/82/1049368582.db2.gz JUKAYKIGSTXYIL-AWEZNQCLSA-N 0 3 308.853 4.323 20 0 DIADHN CN(C)c1cc(CNC(C)(C)c2ccc3ccccc3c2)ccn1 ZINC000860074715 1049368854 /nfs/dbraw/zinc/36/88/54/1049368854.db2.gz CESLONDMTKAMIS-UHFFFAOYSA-N 0 3 319.452 4.326 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@@H]4CCCC[C@H]4C3)sc2C1 ZINC001205083136 1049369594 /nfs/dbraw/zinc/36/95/94/1049369594.db2.gz UMTSZWOGGZMBEI-ILXRZTDVSA-N 0 3 304.503 4.280 20 0 DIADHN Cc1ccc(CC(=O)NCCP(C(C)C)C(C)C)cc1C ZINC001180465716 1049369662 /nfs/dbraw/zinc/36/96/62/1049369662.db2.gz WHEMJPCCEZSJPX-UHFFFAOYSA-N 0 3 307.418 4.261 20 0 DIADHN Cc1coc(CN(Cc2ccc3c(c2)OCO3)C2CCCC2)c1 ZINC001205093387 1049372775 /nfs/dbraw/zinc/37/27/75/1049372775.db2.gz CKPTVCPVVQREMC-UHFFFAOYSA-N 0 3 313.397 4.262 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(C3CC3)c2)cc1OC ZINC001180531302 1049373586 /nfs/dbraw/zinc/37/35/86/1049373586.db2.gz FURAASPOAGPJMQ-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN c1csc(CN2CCN(CCC3CCCCCC3)CC2)c1 ZINC001180505186 1049374809 /nfs/dbraw/zinc/37/48/09/1049374809.db2.gz JDUKLWHUCVQSRX-UHFFFAOYSA-N 0 3 306.519 4.226 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@H](OC(C)C)C2(C)C)c1Cl ZINC001194456094 1049375067 /nfs/dbraw/zinc/37/50/67/1049375067.db2.gz CKFLSUUWNZJKST-CABCVRRESA-N 0 3 311.853 4.030 20 0 DIADHN O=C(CCN1CCC(c2ccsc2)CC1)c1ccc(F)cc1 ZINC000485995580 1049375308 /nfs/dbraw/zinc/37/53/08/1049375308.db2.gz GLKVYGJBIFYBBN-UHFFFAOYSA-N 0 3 317.429 4.340 20 0 DIADHN CO[C@H]1C[C@H](NCc2nc(-c3ccc(C)cc3)cs2)C1(C)C ZINC001194447774 1049379166 /nfs/dbraw/zinc/37/91/66/1049379166.db2.gz YFMAZFCVBRRPJA-HOTGVXAUSA-N 0 3 316.470 4.022 20 0 DIADHN CNc1ccc(C)cc1CN(C)CCOc1ccccc1Cl ZINC001205108863 1049380653 /nfs/dbraw/zinc/38/06/53/1049380653.db2.gz UKQAMATYBSMMFK-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN CCC1(CC)CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000668588200 1049390795 /nfs/dbraw/zinc/39/07/95/1049390795.db2.gz FSISPSHAAXXTCW-HNNXBMFYSA-N 0 3 308.491 4.397 20 0 DIADHN Cc1cc(CN2C[C@H](C)C[C@H]2c2cccnc2)c2c(n1)CCCC2 ZINC001180665278 1049395381 /nfs/dbraw/zinc/39/53/81/1049395381.db2.gz LYCREDNTOJZBPW-VFNWGFHPSA-N 0 3 321.468 4.247 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCC2)CO1)c1ccc(F)cc1Cl ZINC001194640391 1049401701 /nfs/dbraw/zinc/40/17/01/1049401701.db2.gz AJQAXUQWPNVONY-TZMCWYRMSA-N 0 3 311.828 4.479 20 0 DIADHN Cc1ccccc1CCN(C)Cc1csc(Br)c1 ZINC001194633573 1049401843 /nfs/dbraw/zinc/40/18/43/1049401843.db2.gz WMBXMOKPDDBEKE-UHFFFAOYSA-N 0 3 324.287 4.494 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OC(F)F)cc2F)CC12CCC2 ZINC001180733305 1049403246 /nfs/dbraw/zinc/40/32/46/1049403246.db2.gz WBZYUOPWSGIWHJ-GFCCVEGCSA-N 0 3 313.363 4.439 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CC(C)(C)O[C@@](C)(C(F)(F)F)C1 ZINC001194647605 1049403724 /nfs/dbraw/zinc/40/37/24/1049403724.db2.gz APWBUFMCCVIJJJ-UMVBOHGHSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@H]1CCN(Cc2ccnn2CCc2ccccc2)CC12CCC2 ZINC001180731731 1049403682 /nfs/dbraw/zinc/40/36/82/1049403682.db2.gz AXLBMQRZCRGFBS-SFHVURJKSA-N 0 3 323.484 4.138 20 0 DIADHN COc1cccc(Cl)c1CNCC1(OC)CCCCCC1 ZINC001180884596 1049410304 /nfs/dbraw/zinc/41/03/04/1049410304.db2.gz MAERLEFROHKVEH-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN CN(CCOc1ccc(Cl)cc1)Cc1cc2ccccc2[nH]1 ZINC001205215046 1049411065 /nfs/dbraw/zinc/41/10/65/1049411065.db2.gz CNCMUSVUHRBGHW-UHFFFAOYSA-N 0 3 314.816 4.332 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2C[C@H](c3ccccc3)[C@@](F)(CO)C2)C1 ZINC001194731147 1049413830 /nfs/dbraw/zinc/41/38/30/1049413830.db2.gz BGIPMPYWAQUPCM-LFGUQSLTSA-N 0 3 319.464 4.003 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2C[C@H](c3ccccc3)[C@](F)(CO)C2)C1 ZINC001194731139 1049414307 /nfs/dbraw/zinc/41/43/07/1049414307.db2.gz BGIPMPYWAQUPCM-HNBVOPMISA-N 0 3 319.464 4.003 20 0 DIADHN CCC(CC)COC(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC001194713093 1049418573 /nfs/dbraw/zinc/41/85/73/1049418573.db2.gz LUKHCJNBQRUKKV-MRXNPFEDSA-N 0 3 320.433 4.057 20 0 DIADHN C[C@@H]1C[C@H](CNC2(c3c(Cl)cccc3Cl)CC2)CCO1 ZINC000486389368 1049419301 /nfs/dbraw/zinc/41/93/01/1049419301.db2.gz RHDNJNMDBZNVQC-VXGBXAGGSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H]1C[C@H](CNC2(c3c(Cl)cccc3Cl)CC2)CCO1 ZINC000486389366 1049419314 /nfs/dbraw/zinc/41/93/14/1049419314.db2.gz RHDNJNMDBZNVQC-NWDGAFQWSA-N 0 3 314.256 4.387 20 0 DIADHN CCCCN(Cc1cn(C(C)(C)C)nn1)[C@H]1CCC[C@H](C)C1 ZINC001194713665 1049420662 /nfs/dbraw/zinc/42/06/62/1049420662.db2.gz AJRCYIKDARZENF-RDJZCZTQSA-N 0 3 306.498 4.214 20 0 DIADHN CCn1nc(CN(C)CC2(c3ccccc3)CC2)c2ccccc21 ZINC001180897284 1049421126 /nfs/dbraw/zinc/42/11/26/1049421126.db2.gz RYSBUVRDUPIBDN-UHFFFAOYSA-N 0 3 319.452 4.220 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CC[C@@H](C(C)(C)C)C[C@H]1C ZINC001194791272 1049424909 /nfs/dbraw/zinc/42/49/09/1049424909.db2.gz IIFURCOJOONPSN-UKRRQHHQSA-N 0 3 321.461 4.275 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@H](C(C)(C)C)C[C@@H]1C ZINC001194804085 1049430555 /nfs/dbraw/zinc/43/05/55/1049430555.db2.gz CIOKUGDZQGKRNA-ULQDDVLXSA-N 0 3 310.526 4.026 20 0 DIADHN COc1cc(C)ccc1CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC001181056067 1049431307 /nfs/dbraw/zinc/43/13/07/1049431307.db2.gz OPABVEQWJKOPHP-NRFANRHFSA-N 0 3 323.436 4.025 20 0 DIADHN Cc1ccc([C@@]2(C)CN(Cc3cccc4[nH]ccc43)CCO2)cc1 ZINC001205298240 1049431348 /nfs/dbraw/zinc/43/13/48/1049431348.db2.gz YCKJNTDGIQKZMA-OAQYLSRUSA-N 0 3 320.436 4.224 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCC[C@H](C2CC2)C1 ZINC001194806958 1049431823 /nfs/dbraw/zinc/43/18/23/1049431823.db2.gz OJNJBFUNLSIVJE-ZFWWWQNUSA-N 0 3 320.864 4.097 20 0 DIADHN CSc1ccc(CN2CCC([C@H]3CCCCO3)CC2)s1 ZINC000668628460 1049432784 /nfs/dbraw/zinc/43/27/84/1049432784.db2.gz XSUFYCPYOXIWOG-OAHLLOKOSA-N 0 3 311.516 4.251 20 0 DIADHN CCc1cccc(CNc2cccc(N3CCN(CC)CC3)c2)c1 ZINC001181066260 1049433064 /nfs/dbraw/zinc/43/30/64/1049433064.db2.gz UBFJRXYWQBLXSP-UHFFFAOYSA-N 0 3 323.484 4.003 20 0 DIADHN Clc1cc2c(c(CNCc3cccc4cnccc43)c1)OCC2 ZINC001205306738 1049434171 /nfs/dbraw/zinc/43/41/71/1049434171.db2.gz WUGROGODHGYBLI-UHFFFAOYSA-N 0 3 324.811 4.113 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001205321084 1049438081 /nfs/dbraw/zinc/43/80/81/1049438081.db2.gz XPPURWTVBJDPRG-ZWKOTPCHSA-N 0 3 312.461 4.233 20 0 DIADHN CC[C@@H](CC1CCCCC1)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC001205321084 1049438085 /nfs/dbraw/zinc/43/80/85/1049438085.db2.gz XPPURWTVBJDPRG-ZWKOTPCHSA-N 0 3 312.461 4.233 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@@](C)(C(=O)OC(C)(C)C)C1 ZINC001194906189 1049445909 /nfs/dbraw/zinc/44/59/09/1049445909.db2.gz OAJYDLDSRSQZBU-GOSISDBHSA-N 0 3 323.864 4.202 20 0 DIADHN C[C@@H](NCc1cc(-n2ccnc2)cs1)c1ccccc1Cl ZINC000486690427 1049454902 /nfs/dbraw/zinc/45/49/02/1049454902.db2.gz TWBPQLMHOVCIME-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN Fc1ccc2cc(CNCc3nccc4ccccc43)[nH]c2c1 ZINC000486688826 1049454935 /nfs/dbraw/zinc/45/49/35/1049454935.db2.gz AXIGNMJFYHDGGU-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2cc3ccccc3[nH]2)cn1 ZINC000775622049 1049455552 /nfs/dbraw/zinc/45/55/52/1049455552.db2.gz OYFDDZGLUDLYAH-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2cc3ccccc3[nH]2)c1 ZINC000775622455 1049457461 /nfs/dbraw/zinc/45/74/61/1049457461.db2.gz WASWRKXMSFNBPH-OAHLLOKOSA-N 0 3 324.424 4.044 20 0 DIADHN CC(C)(NCc1nc(Br)cs1)C1CCCCC1 ZINC001181286595 1049460065 /nfs/dbraw/zinc/46/00/65/1049460065.db2.gz UZPAJEAINLETSH-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC001195028603 1049462498 /nfs/dbraw/zinc/46/24/98/1049462498.db2.gz KSNQSWOYLVBXLC-NJAFHUGGSA-N 0 3 312.482 4.207 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@H]3CCc4ccccc43)CCO2)cc1 ZINC001205411596 1049463782 /nfs/dbraw/zinc/46/37/82/1049463782.db2.gz IRAMAAXNFMGQTE-IRLDBZIGSA-N 0 3 321.464 4.352 20 0 DIADHN CCc1ccc([C@@H]2CN(CC3Cc4ccccc4C3)CCO2)cc1 ZINC001205411683 1049464079 /nfs/dbraw/zinc/46/40/79/1049464079.db2.gz KUGIEGFAYPNSOM-QFIPXVFZSA-N 0 3 321.464 4.037 20 0 DIADHN Cc1ncsc1CN1CC[C@H](c2cc(C)c(Cl)cc2O)C1 ZINC000668678778 1049469280 /nfs/dbraw/zinc/46/92/80/1049469280.db2.gz RAOICUPCTABUPU-LBPRGKRZSA-N 0 3 322.861 4.108 20 0 DIADHN CN(CCCc1ccccc1)Cc1ccc(C(F)(F)F)nc1 ZINC001205431237 1049469332 /nfs/dbraw/zinc/46/93/32/1049469332.db2.gz YWLAGJPDZXIYOC-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CC(C)n1ccnc1CN1CCC[C@H]1CCCc1ccccc1 ZINC000486772099 1049469539 /nfs/dbraw/zinc/46/95/39/1049469539.db2.gz BHHMECROIRVSEK-LJQANCHMSA-N 0 3 311.473 4.451 20 0 DIADHN Fc1cccc(OC(F)F)c1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC001181383658 1049470532 /nfs/dbraw/zinc/47/05/32/1049470532.db2.gz YBAZEPOIMGMVIT-KBPBESRZSA-N 0 3 321.342 4.320 20 0 DIADHN CNc1ccc(C)cc1CN1CCC[C@H](C(F)(F)F)[C@@H]1C ZINC001205438611 1049471182 /nfs/dbraw/zinc/47/11/82/1049471182.db2.gz SIFPSUUFRBAKIX-JSGCOSHPSA-N 0 3 300.368 4.200 20 0 DIADHN CCC1(CC)CCN(Cc2ccnn2-c2ccc(F)cc2)CC1 ZINC001205446778 1049473067 /nfs/dbraw/zinc/47/30/67/1049473067.db2.gz OMOZFVHKGJNNCD-UHFFFAOYSA-N 0 3 315.436 4.414 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)Nc1ccccc1CC ZINC000486799200 1049474412 /nfs/dbraw/zinc/47/44/12/1049474412.db2.gz DXNIZLWAMYNMTD-QAPCUYQASA-N 0 3 302.462 4.088 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1C[C@H]1C[C@H]1c1ccccc1 ZINC001181390627 1049475150 /nfs/dbraw/zinc/47/51/50/1049475150.db2.gz GMATZNGMPLGJBJ-QRQLOZEOSA-N 0 3 324.443 4.140 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000486811768 1049476271 /nfs/dbraw/zinc/47/62/71/1049476271.db2.gz MNKHNZIJNFJDCM-KRWDZBQOSA-N 0 3 302.462 4.287 20 0 DIADHN CC[C@H]1CCN(Cc2nc(-c3cccc(OC)c3)cs2)[C@H]1C ZINC001205471610 1049479370 /nfs/dbraw/zinc/47/93/70/1049479370.db2.gz OQBZEVMIUVJAPD-KBPBESRZSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@@H]1CCN(Cc2nc(-c3cccc(OC)c3)cs2)[C@H]1C ZINC001205471612 1049479524 /nfs/dbraw/zinc/47/95/24/1049479524.db2.gz OQBZEVMIUVJAPD-UONOGXRCSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@H](C)[C@@H](CNC(C)(C)c1cccc(Cl)c1F)OC ZINC001205477765 1049480555 /nfs/dbraw/zinc/48/05/55/1049480555.db2.gz JCHFPGOBAYXZGN-SMDDNHRTSA-N 0 3 301.833 4.365 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1ccc2cc[nH]c2c1)c1cccs1 ZINC000862251740 1049480488 /nfs/dbraw/zinc/48/04/88/1049480488.db2.gz MHLGSPQHDITXJB-INIZCTEOSA-N 0 3 324.449 4.467 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CCCC(C)(C)C3)c2)CC1 ZINC001205478232 1049480820 /nfs/dbraw/zinc/48/08/20/1049480820.db2.gz YKLLVMAGXCSIPU-GOSISDBHSA-N 0 3 315.505 4.209 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CC[C@@H](C2CCC2)C1 ZINC001195145967 1049482468 /nfs/dbraw/zinc/48/24/68/1049482468.db2.gz AHWBBJYHAMXKQS-DZGCQCFKSA-N 0 3 320.864 4.097 20 0 DIADHN COc1ccc([C@H](NCc2ccnc(F)c2C)C(C)C)cc1 ZINC000862263195 1049482590 /nfs/dbraw/zinc/48/25/90/1049482590.db2.gz QYOVTWQPQOBLBP-QGZVFWFLSA-N 0 3 302.393 4.025 20 0 DIADHN C[C@H](CN1CCC[C@H](OCC2CC2)C1)c1cccc(Cl)c1 ZINC001205486814 1049482740 /nfs/dbraw/zinc/48/27/40/1049482740.db2.gz VGVNMTBDJNHGTJ-KDOFPFPSSA-N 0 3 307.865 4.335 20 0 DIADHN Cc1ccc(Cl)cc1Oc1ccccc1CN(C)[C@@H](C)CO ZINC001181498506 1049484396 /nfs/dbraw/zinc/48/43/96/1049484396.db2.gz ZDWWXSAZZPQTLW-AWEZNQCLSA-N 0 3 319.832 4.253 20 0 DIADHN COC(=O)[C@@H]1C[C@@H](C)CCN1CC1CCC2(CCCCC2)CC1 ZINC001205488983 1049485176 /nfs/dbraw/zinc/48/51/76/1049485176.db2.gz GLSUEYAALQJZMA-WMZOPIPTSA-N 0 3 321.505 4.401 20 0 DIADHN C[C@@H]1C[C@@H]1CN1CC[C@@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001205499014 1049486494 /nfs/dbraw/zinc/48/64/94/1049486494.db2.gz QVDRUJOXOSMSEG-JMSVASOKSA-N 0 3 301.327 4.232 20 0 DIADHN COc1ccc(CN2CCC(c3ccsc3)CC2)c(OC)c1 ZINC000486913096 1049488260 /nfs/dbraw/zinc/48/82/60/1049488260.db2.gz LYMSXEKZJFJHQE-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN FC(F)n1ccc(CN[C@H]2CCC[C@@H](c3ccccc3)C2)n1 ZINC000486906621 1049488654 /nfs/dbraw/zinc/48/86/54/1049488654.db2.gz UEODHGJIYUOHLU-CABCVRRESA-N 0 3 305.372 4.094 20 0 DIADHN Cc1ccccc1[C@]1(F)CCN(Cc2cccc3c2NCCC3)C1 ZINC001205517005 1049489011 /nfs/dbraw/zinc/48/90/11/1049489011.db2.gz DLMQFKLRBNFMRC-NRFANRHFSA-N 0 3 324.443 4.424 20 0 DIADHN Cc1ccccc1[C@@]1(F)CCN(Cc2cccc3c2NCCC3)C1 ZINC001205517006 1049489852 /nfs/dbraw/zinc/48/98/52/1049489852.db2.gz DLMQFKLRBNFMRC-OAQYLSRUSA-N 0 3 324.443 4.424 20 0 DIADHN Cc1c(Cl)ccc(CN(C)CCCn2ccnc2)c1Cl ZINC001181515690 1049489917 /nfs/dbraw/zinc/48/99/17/1049489917.db2.gz WOOXBLDNBXPBDI-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2F)no1)[C@H]1CCC[C@H](C)C1 ZINC001195176737 1049492581 /nfs/dbraw/zinc/49/25/81/1049492581.db2.gz CREVLWKEJXYNLC-IHRRRGAJSA-N 0 3 317.408 4.180 20 0 DIADHN CCc1cccc2cc(CN3CCC(c4ccon4)CC3)oc21 ZINC000668717415 1049496628 /nfs/dbraw/zinc/49/66/28/1049496628.db2.gz ATMPGQGOPZZIEC-UHFFFAOYSA-N 0 3 310.397 4.363 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@H](C)c1ccccc1Cl ZINC001195214375 1049497571 /nfs/dbraw/zinc/49/75/71/1049497571.db2.gz UIUOKYMGDKCWTD-PJSAGSTRSA-N 0 3 301.817 4.303 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@@H](c2ccc(C)cc2)C[C@@H]1C ZINC001205567881 1049498974 /nfs/dbraw/zinc/49/89/74/1049498974.db2.gz FDQIKRWRVGKEGQ-HOCLYGCPSA-N 0 3 317.864 4.243 20 0 DIADHN COCc1csc(CN2Cc3ccccc3C3(CCC3)C2)c1 ZINC001181654530 1049499165 /nfs/dbraw/zinc/49/91/65/1049499165.db2.gz COMWCAPHKIFBKI-UHFFFAOYSA-N 0 3 313.466 4.332 20 0 DIADHN FC(F)(F)CC1CCN(Cc2cccc3c2NCCC3)CC1 ZINC001181688146 1049499456 /nfs/dbraw/zinc/49/94/56/1049499456.db2.gz PPWUFSFPVMFDPQ-UHFFFAOYSA-N 0 3 312.379 4.209 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cccc2[nH]c(=O)oc21)C1CC1 ZINC001181657236 1049500249 /nfs/dbraw/zinc/50/02/49/1049500249.db2.gz XLPDRUZVYZMMMV-ZDUSSCGKSA-N 0 3 308.381 4.259 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H](OC)c1ccccc1 ZINC000486962296 1049500441 /nfs/dbraw/zinc/50/04/41/1049500441.db2.gz DBEMUOBTJVNDKB-QGZVFWFLSA-N 0 3 319.832 4.216 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)[NH2+]Cc2ncccc2[O-])cc1 ZINC000569625715 1049503829 /nfs/dbraw/zinc/50/38/29/1049503829.db2.gz PQCKHIAJLGRXEF-CYBMUJFWSA-N 0 3 302.443 4.139 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC001205599134 1049506729 /nfs/dbraw/zinc/50/67/29/1049506729.db2.gz MDNPRLZKSPXWHX-QGZVFWFLSA-N 0 3 319.452 4.047 20 0 DIADHN Fc1c(-c2cccc([C@@H]3CNCCO3)c2)cccc1C(F)F ZINC000630054308 1049507021 /nfs/dbraw/zinc/50/70/21/1049507021.db2.gz NHNWADRWROJZEZ-HNNXBMFYSA-N 0 3 307.315 4.091 20 0 DIADHN CC(C)P(CCNC(=O)Cc1cccc2[nH]ccc21)C(C)C ZINC001181730577 1049509032 /nfs/dbraw/zinc/50/90/32/1049509032.db2.gz PGMZRSKXWDLRLP-UHFFFAOYSA-N 0 3 318.401 4.125 20 0 DIADHN CC(C)(C)CCNC(=O)CN1CC(C)(C)C[C@H]1c1ccccc1 ZINC001195376308 1049521827 /nfs/dbraw/zinc/52/18/27/1049521827.db2.gz JENFRFQXXPOTHN-KRWDZBQOSA-N 0 3 316.489 4.012 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2CC[C@@H](c3cccc(F)c3)C2)cc1F ZINC001205650414 1049522156 /nfs/dbraw/zinc/52/21/56/1049522156.db2.gz LLAUEUWJDKQWIM-ZBFHGGJFSA-N 0 3 303.352 4.096 20 0 DIADHN Cc1ccc(F)c(CN2CCC3(Cc4ccccc4O3)CC2)c1 ZINC001205654628 1049522397 /nfs/dbraw/zinc/52/23/97/1049522397.db2.gz CAHAHMGFBHENPM-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2cc(C)ccc2F)CC1 ZINC001205654625 1049523879 /nfs/dbraw/zinc/52/38/79/1049523879.db2.gz BXBMHEKMGDAIBU-UHFFFAOYSA-N 0 3 313.416 4.272 20 0 DIADHN Cc1cscc1CNCc1cccc(OCC(F)(F)F)c1 ZINC000487171343 1049523982 /nfs/dbraw/zinc/52/39/82/1049523982.db2.gz KOKCEHGTLANKDB-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN1CCCCCCCC1 ZINC001181818498 1049524656 /nfs/dbraw/zinc/52/46/56/1049524656.db2.gz NCLAVTGSOCVJES-UHFFFAOYSA-N 0 3 305.853 4.383 20 0 DIADHN C[C@H]1C[C@@H](CO)CCN1Cc1ccc(F)c(-c2cccs2)c1 ZINC000569742576 1049526680 /nfs/dbraw/zinc/52/66/80/1049526680.db2.gz VVBOBLCDTVUPBL-ZFWWWQNUSA-N 0 3 319.445 4.147 20 0 DIADHN C[C@H]1Cc2cc(CN3CC(c4ccc(Cl)cc4)C3)ccc2O1 ZINC001181829397 1049526931 /nfs/dbraw/zinc/52/69/31/1049526931.db2.gz ZGLPKPROEYCCNM-ZDUSSCGKSA-N 0 3 313.828 4.263 20 0 DIADHN C[C@]1(Cc2ccccc2F)CCCN1Cc1ccncc1Cl ZINC001195463675 1049529948 /nfs/dbraw/zinc/52/99/48/1049529948.db2.gz NSXOCDOZNVSYMY-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(CC)CCc1nccs1 ZINC000339052629 1049536960 /nfs/dbraw/zinc/53/69/60/1049536960.db2.gz VHAHVYXUDSCALG-UHFFFAOYSA-N 0 3 317.502 4.289 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CC[C@@H]3CCCC[C@@H]32)cc1 ZINC001195508337 1049541483 /nfs/dbraw/zinc/54/14/83/1049541483.db2.gz FWSPLUZUPMPUIV-YJBOKZPZSA-N 0 3 314.473 4.187 20 0 DIADHN Fc1cccc(Br)c1CN1CC[C@@H]2CCCC[C@@H]21 ZINC001195508339 1049541907 /nfs/dbraw/zinc/54/19/07/1049541907.db2.gz FXBUPENDDBHUJP-NHYWBVRUSA-N 0 3 312.226 4.353 20 0 DIADHN CC(C)C[C@H](C)CNCc1cc(F)c(F)cc1Br ZINC001205723011 1049542729 /nfs/dbraw/zinc/54/27/29/1049542729.db2.gz ZTGTVUDTCARNCF-JTQLQIEISA-N 0 3 320.221 4.499 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(F)cc1C)c1ccccc1F ZINC001195622465 1049544522 /nfs/dbraw/zinc/54/45/22/1049544522.db2.gz KSGYHHQXLVGNKS-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN CC(C)[C@@H](NC/C=C/c1ccncc1)c1ccc(F)cc1F ZINC000487291967 1049546426 /nfs/dbraw/zinc/54/64/26/1049546426.db2.gz FYXRYROVBBTGLY-OAJJDEHYSA-N 0 3 302.368 4.360 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000487288521 1049547032 /nfs/dbraw/zinc/54/70/32/1049547032.db2.gz IJVHSAWBABFPDR-NWDGAFQWSA-N 0 3 307.825 4.429 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCC[C@H](OC2CCC2)C1 ZINC001195637718 1049549190 /nfs/dbraw/zinc/54/91/90/1049549190.db2.gz BHMDZILANHGLCG-WMZOPIPTSA-N 0 3 305.849 4.361 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2)cc1OC)C[C@@H](C)OC ZINC001181933388 1049550223 /nfs/dbraw/zinc/55/02/23/1049550223.db2.gz MWJYNHHVENRHAD-MRXNPFEDSA-N 0 3 313.441 4.219 20 0 DIADHN Cc1noc(C2CCN(Cc3cccc(C(C)(C)C)c3)CC2)n1 ZINC000659853658 1049550656 /nfs/dbraw/zinc/55/06/56/1049550656.db2.gz SLPRYGCJAMFLQQ-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN CN(Cc1ccc(-c2ccc(F)cc2)o1)CC1=CCSC1 ZINC000668775713 1049551323 /nfs/dbraw/zinc/55/13/23/1049551323.db2.gz NVJPQINXONUDLM-UHFFFAOYSA-N 0 3 303.402 4.191 20 0 DIADHN COC(=O)C(C)(C)CCN(Cc1ccccc1Cl)CC1CC1 ZINC001205755386 1049555123 /nfs/dbraw/zinc/55/51/23/1049555123.db2.gz HUGPVMUDRCIOTI-UHFFFAOYSA-N 0 3 323.864 4.141 20 0 DIADHN CC(C)CCOCCN1CCC[C@H]2O[C@@H](c3ccccc3)C[C@H]21 ZINC001195705382 1049560236 /nfs/dbraw/zinc/56/02/36/1049560236.db2.gz WSQYEAXUBJLAQP-VAMGGRTRSA-N 0 3 317.473 4.044 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(F)cc1C)c1ccccc1F ZINC001195757052 1049563950 /nfs/dbraw/zinc/56/39/50/1049563950.db2.gz KSGYHHQXLVGNKS-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN COc1nc2ccccc2cc1CN(C)CCc1ccccc1C ZINC001182020486 1049564247 /nfs/dbraw/zinc/56/42/47/1049564247.db2.gz KJJLUPVZRHYKRH-UHFFFAOYSA-N 0 3 320.436 4.226 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OCc2ccc(Cl)cc23)o1 ZINC001203302192 1049564193 /nfs/dbraw/zinc/56/41/93/1049564193.db2.gz VZSVNMJDXMACBI-UHFFFAOYSA-N 0 3 317.816 4.263 20 0 DIADHN C[C@H]1CN(CCCOc2cccc(Cl)c2)CC2(CCCC2)O1 ZINC001195796384 1049570478 /nfs/dbraw/zinc/57/04/78/1049570478.db2.gz FIFVKNIBSCYDJS-HNNXBMFYSA-N 0 3 323.864 4.142 20 0 DIADHN Cc1ccc2cc(CN3CCOCC34CCCCC4)ccc2n1 ZINC001205812560 1049571043 /nfs/dbraw/zinc/57/10/43/1049571043.db2.gz LHVJQYAQTWTLQF-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN CCOc1c(F)c(F)ccc1-c1ccc2c(N)ccnc2c1 ZINC001205818129 1049572327 /nfs/dbraw/zinc/57/23/27/1049572327.db2.gz UYRBTRNLVXKUSV-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN COC(=O)c1oc(CN(C(C)C)[C@@H](C)c2ccccc2)cc1C ZINC001182152615 1049580827 /nfs/dbraw/zinc/58/08/27/1049580827.db2.gz QAXAOPMBHYRNIX-HNNXBMFYSA-N 0 3 315.413 4.346 20 0 DIADHN FC1(F)CC(CN2CCc3oc(-c4ccccc4)cc3C2)C1 ZINC001195876867 1049584300 /nfs/dbraw/zinc/58/43/00/1049584300.db2.gz OMKFSOYLCMVMAA-UHFFFAOYSA-N 0 3 303.352 4.350 20 0 DIADHN CC(C)c1ccc2c(c1)CN(C[C@H](O)c1cccc(F)c1)CC2 ZINC001195912283 1049590493 /nfs/dbraw/zinc/59/04/93/1049590493.db2.gz YRVBPRXLRJBNAU-FQEVSTJZSA-N 0 3 313.416 4.041 20 0 DIADHN COc1c(Br)cccc1Nc1ccnc(C2CC2)c1 ZINC001250039771 1049590824 /nfs/dbraw/zinc/59/08/24/1049590824.db2.gz IWAUBOLKMBOZIB-UHFFFAOYSA-N 0 3 319.202 4.474 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3ncccc3c2)cc1F ZINC000119615335 1049592109 /nfs/dbraw/zinc/59/21/09/1049592109.db2.gz DIKMSLNYEOOJRG-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CC(C)[C@H](NCC1(Br)CC1)c1ccccc1F ZINC001195926804 1049592735 /nfs/dbraw/zinc/59/27/35/1049592735.db2.gz BGRHAAHMQCLPBR-ZDUSSCGKSA-N 0 3 300.215 4.040 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1c(F)cccc1F)c1ccccc1F ZINC001195929452 1049593223 /nfs/dbraw/zinc/59/32/23/1049593223.db2.gz URVDBAZOROAHEZ-AEFFLSMTSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1ccccc1F ZINC001195929458 1049593607 /nfs/dbraw/zinc/59/36/07/1049593607.db2.gz URVDBAZOROAHEZ-SJLPKXTDSA-N 0 3 323.358 4.124 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCSC[C@H](C)C1 ZINC000488190775 1049608683 /nfs/dbraw/zinc/60/86/83/1049608683.db2.gz CRKBHGWEACUODC-NEPJUHHUSA-N 0 3 314.292 4.195 20 0 DIADHN CC(C)P(CCNC(=O)[C@H]1C[C@H]1c1ccccc1F)C(C)C ZINC001182290037 1049608624 /nfs/dbraw/zinc/60/86/24/1049608624.db2.gz MAMJFEDHTAQQJT-HOTGVXAUSA-N 0 3 323.392 4.344 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1nc(Br)cs1 ZINC001182298591 1049610467 /nfs/dbraw/zinc/61/04/67/1049610467.db2.gz NTQRMSGTWPBACS-ZJUUUORDSA-N 0 3 317.296 4.210 20 0 DIADHN COC(=O)c1coc([C@@H](C)N[C@@H](C)Cc2ccccc2Cl)c1 ZINC000774150123 1049611696 /nfs/dbraw/zinc/61/16/96/1049611696.db2.gz JGXGBIIVVVLMNP-NWDGAFQWSA-N 0 3 321.804 4.001 20 0 DIADHN C[C@@H]1CSCCN(Cc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC000488244866 1049619254 /nfs/dbraw/zinc/61/92/54/1049619254.db2.gz AMMXVCNNHXYNNH-LBPRGKRZSA-N 0 3 322.861 4.180 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc(OC(C)C)cc2)c(C)o1 ZINC000774205260 1049625995 /nfs/dbraw/zinc/62/59/95/1049625995.db2.gz UGEAHIIGLRQAMG-AUUYWEPGSA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cccc(Cl)c1CN1Cc2ccccc2N(C)C[C@@H]1C ZINC001196175570 1049628571 /nfs/dbraw/zinc/62/85/71/1049628571.db2.gz MBSNOVFDQXQZSY-HNNXBMFYSA-N 0 3 314.860 4.489 20 0 DIADHN Fc1ccccc1CN(CC1CC1)C[C@H]1CCC2(CCC2)CO1 ZINC001196239106 1049635553 /nfs/dbraw/zinc/63/55/53/1049635553.db2.gz XHFRVHDLRVVLJU-GOSISDBHSA-N 0 3 317.448 4.387 20 0 DIADHN CCCOc1cccc(CN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC001196294172 1049638755 /nfs/dbraw/zinc/63/87/55/1049638755.db2.gz TXGSAMPYLGMXHP-HXUWFJFHSA-N 0 3 311.425 4.129 20 0 DIADHN CCCC[C@H](COC)NCc1cc(C)ccc1Br ZINC001182408444 1049639382 /nfs/dbraw/zinc/63/93/82/1049639382.db2.gz FMMTYOAYNKMPRY-CQSZACIVSA-N 0 3 314.267 4.052 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)c(C(C)C)[nH]1)c1ccccc1 ZINC001182407983 1049640007 /nfs/dbraw/zinc/64/00/07/1049640007.db2.gz BBTWICKNHNHXKW-KRWDZBQOSA-N 0 3 314.429 4.166 20 0 DIADHN Clc1ccccc1CCN1CC[C@@H](Oc2ccccc2)C1 ZINC001196294397 1049640562 /nfs/dbraw/zinc/64/05/62/1049640562.db2.gz VVJVJHXIXWUTOZ-QGZVFWFLSA-N 0 3 301.817 4.036 20 0 DIADHN COc1cccnc1CN[C@@H]1CCC[C@@H]1c1ccccc1Cl ZINC001182387329 1049640685 /nfs/dbraw/zinc/64/06/85/1049640685.db2.gz FNLAVOALUCCKSK-GDBMZVCRSA-N 0 3 316.832 4.170 20 0 DIADHN COc1cccnc1CN[C@H]1CCC[C@H]1c1ccccc1Cl ZINC001182387331 1049640853 /nfs/dbraw/zinc/64/08/53/1049640853.db2.gz FNLAVOALUCCKSK-HOCLYGCPSA-N 0 3 316.832 4.170 20 0 DIADHN C[C@H]1CSCCN(Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000488391793 1049643861 /nfs/dbraw/zinc/64/38/61/1049643861.db2.gz PKTMSRIPHAVCHX-CQSZACIVSA-N 0 3 324.921 4.125 20 0 DIADHN Cc1c[nH]c2ncc(Nc3cccc4c3OCC[C@@H]4N(C)C)cc12 ZINC001206063190 1049644704 /nfs/dbraw/zinc/64/47/04/1049644704.db2.gz PHNZTCDMIGGUNX-KRWDZBQOSA-N 0 3 322.412 4.000 20 0 DIADHN COC(=O)c1ccoc1CN1CCC[C@@H]1CC1CCCCC1 ZINC000488399489 1049646516 /nfs/dbraw/zinc/64/65/16/1049646516.db2.gz AIRMPIREZOAUSA-OAHLLOKOSA-N 0 3 305.418 4.001 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2nccn2-c2ccccc2)c1 ZINC000488402601 1049647945 /nfs/dbraw/zinc/64/79/45/1049647945.db2.gz KFYUDNAREWWRBS-KRWDZBQOSA-N 0 3 305.425 4.074 20 0 DIADHN C[C@]12CCN(Cc3ccc(SC(F)F)cc3)C[C@H]1C2(F)F ZINC000794625535 1049649549 /nfs/dbraw/zinc/64/95/49/1049649549.db2.gz XZAOJJPUWCTACT-OCCSQVGLSA-N 0 3 319.367 4.478 20 0 DIADHN c1coc(-c2noc(CN3CCC[C@H]3CC3CCCCC3)n2)c1 ZINC000488412506 1049651901 /nfs/dbraw/zinc/65/19/01/1049651901.db2.gz SFAWPTLHKYLSHU-HNNXBMFYSA-N 0 3 315.417 4.264 20 0 DIADHN C[C@H](Cc1ccco1)N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000774377901 1049653434 /nfs/dbraw/zinc/65/34/34/1049653434.db2.gz OYYZZQHJHDBYNN-VXGBXAGGSA-N 0 3 317.820 4.102 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCCNc3ccccc31)CCC2 ZINC000774356786 1049657015 /nfs/dbraw/zinc/65/70/15/1049657015.db2.gz XFDSKEPWGCQXGW-DOTOQJQBSA-N 0 3 313.470 4.366 20 0 DIADHN CC1(C)CN(Cc2ccc(F)c3ccccc23)[C@@H]1[C@H]1CCCO1 ZINC001206121058 1049659178 /nfs/dbraw/zinc/65/91/78/1049659178.db2.gz GVLKCLLHWAMEMI-RTBURBONSA-N 0 3 313.416 4.368 20 0 DIADHN CC1(C)CN(Cc2ccc(F)c3ccccc23)[C@H]1[C@H]1CCCO1 ZINC001206121055 1049659269 /nfs/dbraw/zinc/65/92/69/1049659269.db2.gz GVLKCLLHWAMEMI-MOPGFXCFSA-N 0 3 313.416 4.368 20 0 DIADHN CCCCOc1ccc(-c2cncc(CN(C)C)c2)c(F)c1 ZINC001206118067 1049659309 /nfs/dbraw/zinc/65/93/09/1049659309.db2.gz ARJCLLHQHNJIOE-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCc1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)n[nH]1 ZINC000774417947 1049660381 /nfs/dbraw/zinc/66/03/81/1049660381.db2.gz GBNXDHAHCCPHSP-MRXNPFEDSA-N 0 3 323.362 4.232 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCc2ccsc2)[n-]1 ZINC000488480844 1049661469 /nfs/dbraw/zinc/66/14/69/1049661469.db2.gz QCHXKQPADBBICT-RWMBFGLXSA-N 0 3 306.479 4.052 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CCC[C@H](C(F)(F)F)C2)[n-]1 ZINC000488504195 1049662841 /nfs/dbraw/zinc/66/28/41/1049662841.db2.gz VWWQWOYARZIOAT-BJDJZHNGSA-N 0 3 318.387 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCc2cccc(C)c2)[n-]1 ZINC000488504980 1049663109 /nfs/dbraw/zinc/66/31/09/1049663109.db2.gz LXZRYFAROJBQDC-XHSDSOJGSA-N 0 3 314.477 4.299 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000774436404 1049663069 /nfs/dbraw/zinc/66/30/69/1049663069.db2.gz QUPUKCUJHCPHDZ-GOSISDBHSA-N 0 3 315.844 4.345 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCc2ccc(F)cc2)[n-]1 ZINC000488507283 1049665220 /nfs/dbraw/zinc/66/52/20/1049665220.db2.gz WJKOQHZMEULTIR-MELADBBJSA-N 0 3 318.440 4.129 20 0 DIADHN Cc1cc(CN2CCC3(C=Cc4ccccc4O3)CC2)cs1 ZINC001196499063 1049665835 /nfs/dbraw/zinc/66/58/35/1049665835.db2.gz SZOZXBNJBWFLSP-UHFFFAOYSA-N 0 3 311.450 4.497 20 0 DIADHN COCC[C@H](N[C@@H]1CS[C@H](C(C)(C)C)C1)c1ccc(C)o1 ZINC000488521219 1049672131 /nfs/dbraw/zinc/67/21/31/1049672131.db2.gz JEOBPFSNVKPWKM-DZKIICNBSA-N 0 3 311.491 4.175 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1c[nH]nc1-c1ccsc1 ZINC000488539155 1049672348 /nfs/dbraw/zinc/67/23/48/1049672348.db2.gz DXBDUQQVGPVOIS-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN Cc1ccc(/C=C/c2cccc3cc([C@H]4CNCCO4)cn32)cc1 ZINC001206168723 1049673274 /nfs/dbraw/zinc/67/32/74/1049673274.db2.gz MWSKIIRQIQNTHY-LCCNJJIFSA-N 0 3 318.420 4.079 20 0 DIADHN Cc1ccc(/C=C/c2cccc3cc([C@@H]4CNCCO4)cn32)cc1 ZINC001206168721 1049673405 /nfs/dbraw/zinc/67/34/05/1049673405.db2.gz MWSKIIRQIQNTHY-GLCJEOIMSA-N 0 3 318.420 4.079 20 0 DIADHN COc1c2ccccc2oc1CN[C@H]1CS[C@@H](C(C)C)C1 ZINC000488550924 1049674491 /nfs/dbraw/zinc/67/44/91/1049674491.db2.gz XMKKNVSWYFCJEW-MLGOLLRUSA-N 0 3 305.443 4.061 20 0 DIADHN CC[C@H](OCCN1CCC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC001196583590 1049674471 /nfs/dbraw/zinc/67/44/71/1049674471.db2.gz SBNPCGHMBTUUPG-CVEARBPZSA-N 0 3 315.379 4.429 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2cc3ccccc3s2)o1 ZINC000120162548 1049677032 /nfs/dbraw/zinc/67/70/32/1049677032.db2.gz PTZXSBGBELITNX-TZMCWYRMSA-N 0 3 301.411 4.187 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC001182499905 1049679328 /nfs/dbraw/zinc/67/93/28/1049679328.db2.gz QRDHUJFFLKUCOH-BZSNNMDCSA-N 0 3 301.792 4.034 20 0 DIADHN CCc1ccc(C2CCN(Cc3cc(C)nc(C)n3)CC2)cc1 ZINC001196647079 1049680071 /nfs/dbraw/zinc/68/00/71/1049680071.db2.gz WGDWVBAKNPWPEN-UHFFFAOYSA-N 0 3 309.457 4.035 20 0 DIADHN CCC1(C)CN(Cc2cccc(NC(=O)OC(C)(C)C)c2)C1 ZINC001206195043 1049680642 /nfs/dbraw/zinc/68/06/42/1049680642.db2.gz OSAIKHBBEWXKGZ-UHFFFAOYSA-N 0 3 304.434 4.266 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1c[nH]nc1-c1cccs1 ZINC000488587893 1049681255 /nfs/dbraw/zinc/68/12/55/1049681255.db2.gz IUPQGASGWGVUNU-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC001182532524 1049684004 /nfs/dbraw/zinc/68/40/04/1049684004.db2.gz FAKHBBWGUQLFHF-GXTWGEPZSA-N 0 3 309.885 4.274 20 0 DIADHN Clc1cccc2c1CN(C[C@@H]1CCOc3ccccc31)CC2 ZINC001206224106 1049685007 /nfs/dbraw/zinc/68/50/07/1049685007.db2.gz MEGZCOYSQZPGQD-HNNXBMFYSA-N 0 3 313.828 4.264 20 0 DIADHN C[C@H]1CN(CCCSc2ccccc2Cl)Cc2c[nH]nc21 ZINC001196789465 1049688648 /nfs/dbraw/zinc/68/86/48/1049688648.db2.gz MHLUXLFQEHZGKZ-LBPRGKRZSA-N 0 3 321.877 4.165 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1C[C@H](O)C[C@@H]1c1ccccc1 ZINC001206247602 1049689516 /nfs/dbraw/zinc/68/95/16/1049689516.db2.gz LBQIPHHQTOWEOC-IEBWSBKVSA-N 0 3 315.844 4.265 20 0 DIADHN CCc1cccc2cc(CN3C[C@H](O)C[C@H]3c3ccccc3)oc21 ZINC001206248249 1049689755 /nfs/dbraw/zinc/68/97/55/1049689755.db2.gz STJLCBKNXWPISL-QUCCMNQESA-N 0 3 321.420 4.303 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1C[C@H](O)C[C@H]1c1ccccc1 ZINC001206247603 1049690145 /nfs/dbraw/zinc/69/01/45/1049690145.db2.gz LBQIPHHQTOWEOC-MJGOQNOKSA-N 0 3 315.844 4.265 20 0 DIADHN Cc1cc(C)cc(NC(=S)Nc2cccc(CN(C)C)c2)c1 ZINC000044133166 1049697504 /nfs/dbraw/zinc/69/75/04/1049697504.db2.gz MJYWPKNQOCBPLN-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN C[C@@H]1CN(Cc2coc3ccccc23)CCN1Cc1ccccc1 ZINC001206277160 1049698026 /nfs/dbraw/zinc/69/80/26/1049698026.db2.gz YVOLFLVKBALFQG-QGZVFWFLSA-N 0 3 320.436 4.139 20 0 DIADHN Cc1ccc(NC(=S)Nc2cccc(CN(C)C)c2)cc1F ZINC000044133098 1049698311 /nfs/dbraw/zinc/69/83/11/1049698311.db2.gz XXNWICWZXGHOMZ-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN COC(=O)c1coc(CN(C)[C@@H](c2ccccc2C)C(C)C)c1 ZINC000488809273 1049699825 /nfs/dbraw/zinc/69/98/25/1049699825.db2.gz XDKHLTPGMNFBDG-GOSISDBHSA-N 0 3 315.413 4.204 20 0 DIADHN COc1cccc(CC2CCN(Cc3ccc(F)cc3)CC2)c1 ZINC001196935092 1049701557 /nfs/dbraw/zinc/70/15/57/1049701557.db2.gz GOEMRHWCNYNUTB-UHFFFAOYSA-N 0 3 313.416 4.289 20 0 DIADHN Cc1ncoc1CN1CCC[C@]1(C)Cc1cccc(Cl)c1 ZINC001182704952 1049702536 /nfs/dbraw/zinc/70/25/36/1049702536.db2.gz RVHWKGCIMSZNOE-QGZVFWFLSA-N 0 3 304.821 4.234 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cc3ccccc3n1C)C2 ZINC000911596508 1049702587 /nfs/dbraw/zinc/70/25/87/1049702587.db2.gz UQCYWYHULZVIMC-UHFFFAOYSA-N 0 3 320.436 4.135 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@](C)(c2cccc(F)c2)C1 ZINC001182752843 1049703746 /nfs/dbraw/zinc/70/37/46/1049703746.db2.gz CFKIUJMWEGLCQW-LJQANCHMSA-N 0 3 315.436 4.075 20 0 DIADHN C[C@H]1CC(C)(C)CN1Cc1ccc(Sc2ccccn2)o1 ZINC001206308047 1049708588 /nfs/dbraw/zinc/70/85/88/1049708588.db2.gz MEMUWKWTXWPYKC-ZDUSSCGKSA-N 0 3 302.443 4.446 20 0 DIADHN CCN(CCSC)Cc1ccc(Oc2ccc(F)cc2)nc1 ZINC001182742645 1049709834 /nfs/dbraw/zinc/70/98/34/1049709834.db2.gz FQOZHRHNQPIMAJ-UHFFFAOYSA-N 0 3 320.433 4.198 20 0 DIADHN CCCCCc1ccc(NC(=O)NC[C@@H]2CCCCN2C)cc1 ZINC001197008895 1049714427 /nfs/dbraw/zinc/71/44/27/1049714427.db2.gz NHGJHQUGPBMBEZ-SFHVURJKSA-N 0 3 317.477 4.025 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(OC2CCC2)CC1 ZINC001206336326 1049715680 /nfs/dbraw/zinc/71/56/80/1049715680.db2.gz RCLGLUCIDYJXLF-UHFFFAOYSA-N 0 3 307.865 4.490 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@H](C4CCCC4)C3)sc2C1 ZINC001206351845 1049717453 /nfs/dbraw/zinc/71/74/53/1049717453.db2.gz YKWXHZZYQGXBGN-HIFRSBDPSA-N 0 3 304.503 4.280 20 0 DIADHN Cc1ccc2c(c1)CCN(C[C@H](C)c1cc(F)cc(F)c1)C2 ZINC001206351519 1049718268 /nfs/dbraw/zinc/71/82/68/1049718268.db2.gz VRDAXURKYKHEGE-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN COc1cc(CN2CC[C@@H](C3CCCC3)C2)c(Cl)cc1O ZINC001206350769 1049718397 /nfs/dbraw/zinc/71/83/97/1049718397.db2.gz NHCZLSONRHDLLO-CYBMUJFWSA-N 0 3 309.837 4.066 20 0 DIADHN COc1ccccc1[C@H](C)NCCOc1ccc(F)cc1Cl ZINC001197135773 1049721343 /nfs/dbraw/zinc/72/13/43/1049721343.db2.gz PFHFAUMSARIFHW-LBPRGKRZSA-N 0 3 323.795 4.217 20 0 DIADHN CCCN(C[C@@H]1CC1(Cl)Cl)[C@H]1CCCc2cccnc21 ZINC000775761051 1049725455 /nfs/dbraw/zinc/72/54/55/1049725455.db2.gz RVFFKQJPVNYPEQ-KBPBESRZSA-N 0 3 313.272 4.365 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CC[C@@H]2OCC[C@@H]2C1 ZINC001206392922 1049726145 /nfs/dbraw/zinc/72/61/45/1049726145.db2.gz NYLIWIISMLOEIA-WBMJQRKESA-N 0 3 314.256 4.037 20 0 DIADHN Cc1ccc(C[C@H](C)C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000489093390 1049727565 /nfs/dbraw/zinc/72/75/65/1049727565.db2.gz FVTLVWCQSOTWNE-KRWDZBQOSA-N 0 3 324.468 4.182 20 0 DIADHN Cc1ccc(C[C@@H](C)C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000489093391 1049727640 /nfs/dbraw/zinc/72/76/40/1049727640.db2.gz FVTLVWCQSOTWNE-QGZVFWFLSA-N 0 3 324.468 4.182 20 0 DIADHN Cc1ccc(CCO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001206415491 1049730047 /nfs/dbraw/zinc/73/00/47/1049730047.db2.gz BOSWUUWQAORTSZ-ICSRJNTNSA-N 0 3 324.468 4.175 20 0 DIADHN CCCCN(Cc1ccc(CO)cc1)Cc1ccccc1F ZINC000489135600 1049730838 /nfs/dbraw/zinc/73/08/38/1049730838.db2.gz HIMMPCNQICHXQI-UHFFFAOYSA-N 0 3 301.405 4.120 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC001197348055 1049740352 /nfs/dbraw/zinc/74/03/52/1049740352.db2.gz DDLMOWUIWDLGCU-HBGVWJBISA-N 0 3 324.443 4.060 20 0 DIADHN Cc1cc(F)ccc1CN1CCN([C@H](C)c2ccccc2)CC1 ZINC001197350000 1049742084 /nfs/dbraw/zinc/74/20/84/1049742084.db2.gz OAYZFAFKLNVBGL-QGZVFWFLSA-N 0 3 312.432 4.013 20 0 DIADHN Cc1cc(F)ccc1CN1CCN([C@@H](C)c2ccccc2)CC1 ZINC001197349999 1049742098 /nfs/dbraw/zinc/74/20/98/1049742098.db2.gz OAYZFAFKLNVBGL-KRWDZBQOSA-N 0 3 312.432 4.013 20 0 DIADHN CC[C@@H](C)CN(CC)[C@H](C)c1nc(-c2ccc(OC)cc2)no1 ZINC000489334261 1049745031 /nfs/dbraw/zinc/74/50/31/1049745031.db2.gz SUCHFAAUZDBZRS-ZIAGYGMSSA-N 0 3 317.433 4.174 20 0 DIADHN Cc1oncc1CN1CC[C@H](c2ccc(F)c(F)c2)C1(C)C ZINC001197420935 1049749804 /nfs/dbraw/zinc/74/98/04/1049749804.db2.gz FDCPJUJSBMCGAB-CQSZACIVSA-N 0 3 306.356 4.029 20 0 DIADHN CC[C@@H]1CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)[C@H](C)CO1 ZINC001206523907 1049750363 /nfs/dbraw/zinc/75/03/63/1049750363.db2.gz VNOLOORHZDLGIJ-XJKCOSOUSA-N 0 3 315.379 4.308 20 0 DIADHN CC1(C)[C@@H](c2ccc(F)c(F)c2)CCN1CCC(F)(F)F ZINC001197421542 1049750502 /nfs/dbraw/zinc/75/05/02/1049750502.db2.gz MBIPKSHJGWFRKY-LLVKDONJSA-N 0 3 307.306 4.485 20 0 DIADHN C[C@H](NCc1ccc2cc[nH]c2c1)c1ccc(C(F)(F)F)nc1 ZINC001197533536 1049756029 /nfs/dbraw/zinc/75/60/29/1049756029.db2.gz QSHCTHAHZBYJJA-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN CCN(C)c1ccc(CN2CCC(C3CCCCC3)CC2)cn1 ZINC001206569390 1049759108 /nfs/dbraw/zinc/75/91/08/1049759108.db2.gz UWKMGGCDQVYVPO-UHFFFAOYSA-N 0 3 315.505 4.330 20 0 DIADHN CC[C@H]1COC(C)(C)CN1Cc1cccc(CC2CCC2)c1 ZINC001206568676 1049759283 /nfs/dbraw/zinc/75/92/83/1049759283.db2.gz NWFHXIMCDDREMG-IBGZPJMESA-N 0 3 301.474 4.419 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1nc3ccccc3nc1C)[C@H](C)C2 ZINC001206664107 1049777044 /nfs/dbraw/zinc/77/70/44/1049777044.db2.gz OGVZMNYRTRUDGE-SZNDQCEHSA-N 0 3 317.436 4.270 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC([C@H]2CCCCO2)CC1 ZINC001206691542 1049780040 /nfs/dbraw/zinc/78/00/40/1049780040.db2.gz JNGGZTXDXNMVCW-GOSISDBHSA-N 0 3 307.865 4.430 20 0 DIADHN COc1cc(C)ccc1CN1CCC[C@@H](Oc2ccccc2)C1 ZINC001206762981 1049793677 /nfs/dbraw/zinc/79/36/77/1049793677.db2.gz SAOSYVKZGDZGPS-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3cn4ccsc4n3)C2)cc1 ZINC000490085216 1049801758 /nfs/dbraw/zinc/80/17/58/1049801758.db2.gz FFBOSPDNHCKTGS-HOCLYGCPSA-N 0 3 311.454 4.082 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OC(C)C)nc1)[C@H](C)C2 ZINC000490114702 1049804620 /nfs/dbraw/zinc/80/46/20/1049804620.db2.gz SILXIORDWFRGBB-QRWLVFNGSA-N 0 3 310.441 4.200 20 0 DIADHN COc1ccc(-c2cc(CN(C)C3CCCCC3)no2)cc1 ZINC001206822707 1049805429 /nfs/dbraw/zinc/80/54/29/1049805429.db2.gz BCJURMWSUQYTPA-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@H](C)[C@@H](C)C3)no2)cc1 ZINC001206825167 1049808058 /nfs/dbraw/zinc/80/80/58/1049808058.db2.gz LLJZWJGAXUKJDL-GJZGRUSLSA-N 0 3 314.429 4.218 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H]4CCC[C@H]4C3)no2)cc1 ZINC001206830802 1049808472 /nfs/dbraw/zinc/80/84/72/1049808472.db2.gz GCBATLAFXJZPDV-HIFRSBDPSA-N 0 3 300.377 4.103 20 0 DIADHN COc1ccc(-c2cc(CN3[C@H](C)CCC[C@H]3C)no2)cc1 ZINC001206823485 1049808917 /nfs/dbraw/zinc/80/89/17/1049808917.db2.gz MUJCYWLQPXKLCF-ZIAGYGMSSA-N 0 3 300.402 4.113 20 0 DIADHN COc1ccc(-c2cc(CN(C)CC3CCCCC3)no2)cc1 ZINC001206830233 1049809383 /nfs/dbraw/zinc/80/93/83/1049809383.db2.gz WAEVXTQZUCJAEL-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H](c4ccccc4)C3)no2)cc1 ZINC001206831349 1049809439 /nfs/dbraw/zinc/80/94/39/1049809439.db2.gz MFGDNFMLKBRWSC-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@@H](C(F)(F)F)C3)cc21 ZINC001206989996 1049817224 /nfs/dbraw/zinc/81/72/24/1049817224.db2.gz CWOLEKMNYGUDOJ-SNVBAGLBSA-N 0 3 315.360 4.381 20 0 DIADHN CN(Cc1cccc(Oc2ccccc2)c1)Cc1ccc[nH]c1=O ZINC001207010430 1049822003 /nfs/dbraw/zinc/82/20/03/1049822003.db2.gz NPJLGGIIRSAVKC-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN c1nc(CN2CCC[C@@H](c3ccccc3)CC2)n2ccccc12 ZINC001207054167 1049826461 /nfs/dbraw/zinc/82/64/61/1049826461.db2.gz BCHARGFHPQEAME-GOSISDBHSA-N 0 3 305.425 4.104 20 0 DIADHN O=c1cccc(CN2CCC(CCCc3ccccc3)CC2)[nH]1 ZINC001207065116 1049829505 /nfs/dbraw/zinc/82/95/05/1049829505.db2.gz IHSZQBLHLINODT-UHFFFAOYSA-N 0 3 310.441 4.022 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1ccc(Br)c2cccnc12 ZINC000490405679 1049829947 /nfs/dbraw/zinc/82/99/47/1049829947.db2.gz WMHPTKUCUJOWBE-TXEJJXNPSA-N 0 3 319.246 4.370 20 0 DIADHN Cc1cc(Br)c2c(c1)CCN(C[C@@H]1CC=CCC1)C2 ZINC001207111315 1049838196 /nfs/dbraw/zinc/83/81/96/1049838196.db2.gz SKTSDRMMGNFZQA-CQSZACIVSA-N 0 3 320.274 4.472 20 0 DIADHN C[C@@H](CN1CCC[C@@H](CF)C1)c1cccc(Br)c1 ZINC001207315166 1049879817 /nfs/dbraw/zinc/87/98/17/1049879817.db2.gz QIFMRVXDNFRQBV-STQMWFEESA-N 0 3 314.242 4.234 20 0 DIADHN FC(F)c1cccc(CN2CC[C@H](Oc3ccccc3)C2)c1 ZINC001207130850 1049839797 /nfs/dbraw/zinc/83/97/97/1049839797.db2.gz HBBRGIRALKJGPW-KRWDZBQOSA-N 0 3 303.352 4.278 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)C[C@@H]1Cc2ccccc2O1 ZINC001207133451 1049842877 /nfs/dbraw/zinc/84/28/77/1049842877.db2.gz VZONIIBSZRAAIJ-INIZCTEOSA-N 0 3 303.352 4.060 20 0 DIADHN C[C@H]1CCN(Cc2ccc(Br)c3cccnc23)[C@H]1C ZINC000490600007 1049845716 /nfs/dbraw/zinc/84/57/16/1049845716.db2.gz YCPZHIVTZZUXIV-RYUDHWBXSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)CC(=O)N1CCCC[C@@H]1C ZINC000490586004 1049846535 /nfs/dbraw/zinc/84/65/35/1049846535.db2.gz GTTZUEZCJBEQBJ-FXAWDEMLSA-N 0 3 316.489 4.025 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cccnc2C)CC1 ZINC001207150180 1049846538 /nfs/dbraw/zinc/84/65/38/1049846538.db2.gz YVMPGEYYQFULSN-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN Cc1nccc(CN2CCC(c3ccc4ccccc4c3)CC2)n1 ZINC001207165963 1049847144 /nfs/dbraw/zinc/84/71/44/1049847144.db2.gz QYUZVRCGALENKO-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CC=CC[C@@H]4C3)cs2)cc1 ZINC001207168265 1049850645 /nfs/dbraw/zinc/85/06/45/1049850645.db2.gz QLVNISBLSHFIQM-GASCZTMLSA-N 0 3 314.429 4.347 20 0 DIADHN O=C1CCN(C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)[C@@H]2CCCC[C@H]12 ZINC001207182321 1049853828 /nfs/dbraw/zinc/85/38/28/1049853828.db2.gz TUQBKZGPOMGLLV-DZJNRPSUSA-N 0 3 317.860 4.277 20 0 DIADHN COc1ccc(C2=CCN(CCOCc3ccccc3)CC2)cc1 ZINC001207237713 1049868794 /nfs/dbraw/zinc/86/87/94/1049868794.db2.gz OLKQJEVFZJUXCV-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN C[C@]1(F)CCCN(CCCc2ccc(Br)cc2)C1 ZINC001207317183 1049879000 /nfs/dbraw/zinc/87/90/00/1049879000.db2.gz GTLPXUUUGDRXDP-HNNXBMFYSA-N 0 3 314.242 4.206 20 0 DIADHN Cc1ccc(CCN2CCN([C@H](C)c3ccccc3)CC2)cc1C ZINC001207325717 1049880880 /nfs/dbraw/zinc/88/08/80/1049880880.db2.gz HPGFERDELKKLGM-HXUWFJFHSA-N 0 3 322.496 4.225 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](C2CC2)C1 ZINC000491141202 1049885973 /nfs/dbraw/zinc/88/59/73/1049885973.db2.gz QHMAWHVMPCGRTL-ZMSDIMECSA-N 0 3 314.473 4.259 20 0 DIADHN Brc1ccc(CCN(Cc2ccco2)C2CC2)cc1 ZINC001207383864 1049886671 /nfs/dbraw/zinc/88/66/71/1049886671.db2.gz IDNUOKJCHKTHQG-UHFFFAOYSA-N 0 3 320.230 4.249 20 0 DIADHN Clc1cccc(-c2nc(CN3CC[C@@H](C4CC4)C3)co2)c1 ZINC000491131184 1049889271 /nfs/dbraw/zinc/88/92/71/1049889271.db2.gz GWKFGCOXULCLGX-CQSZACIVSA-N 0 3 302.805 4.227 20 0 DIADHN CC1(C)CCc2onc(CNC[C@@H]3CCc4ccccc43)c2C1 ZINC001207415443 1049890496 /nfs/dbraw/zinc/89/04/96/1049890496.db2.gz OGYAJPYLQDUULD-HNNXBMFYSA-N 0 3 310.441 4.009 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OC)c(COC)c2)s1 ZINC000156293543 1049890544 /nfs/dbraw/zinc/89/05/44/1049890544.db2.gz PVLMYGAYTRVCNY-CYBMUJFWSA-N 0 3 319.470 4.316 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(CCc2cccc(Cl)c2)C1 ZINC001207425748 1049892485 /nfs/dbraw/zinc/89/24/85/1049892485.db2.gz QTTHESCGASWUOY-KRWDZBQOSA-N 0 3 313.828 4.087 20 0 DIADHN Fc1ccc(CCN(Cc2ccccc2)Cc2ccncc2)cc1 ZINC001207511939 1049900753 /nfs/dbraw/zinc/90/07/53/1049900753.db2.gz VHEVEQAGNISTEC-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN Fc1ccc(CCN2CCSC[C@@H]2c2ccc(F)cc2)cc1 ZINC001207515079 1049902001 /nfs/dbraw/zinc/90/20/01/1049902001.db2.gz IWGWDMFQSJUAKT-GOSISDBHSA-N 0 3 319.420 4.297 20 0 DIADHN Clc1ccc(CCCN2CCc3ncc(Cl)cc3C2)cc1 ZINC001207598169 1049914107 /nfs/dbraw/zinc/91/41/07/1049914107.db2.gz VIIFMJOWTYBKDG-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN Cc1cc([C@H]2CCCN2CC[C@@H](C)CCCC(C)(C)O)no1 ZINC001207635708 1049920079 /nfs/dbraw/zinc/92/00/79/1049920079.db2.gz LIMDUPABESSPHL-WMLDXEAASA-N 0 3 308.466 4.087 20 0 DIADHN Cc1csc(C[C@H]2CCN(Cc3ccc(Cl)c(C)c3)C2)n1 ZINC001207658079 1049922085 /nfs/dbraw/zinc/92/20/85/1049922085.db2.gz FLASBGIPYPVOGE-OAHLLOKOSA-N 0 3 320.889 4.478 20 0 DIADHN CSC1(CN2CCn3cccc3[C@H]2c2ccccc2)CCC1 ZINC000571216374 1049926398 /nfs/dbraw/zinc/92/63/98/1049926398.db2.gz IFXOFHIHHSFCMS-GOSISDBHSA-N 0 3 312.482 4.179 20 0 DIADHN C[C@@H](CC1CC1)NCc1cc(F)c(F)cc1Br ZINC000571271018 1049928542 /nfs/dbraw/zinc/92/85/42/1049928542.db2.gz USVHINLLOUFYBJ-QMMMGPOBSA-N 0 3 304.178 4.006 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H](N[C@@H](C(N)=O)c2ccc(Cl)cc2)C1 ZINC001207793798 1049938724 /nfs/dbraw/zinc/93/87/24/1049938724.db2.gz VHJHFDIJCHGWTM-XOKHGSTOSA-N 0 3 322.880 4.061 20 0 DIADHN CCN(C/C=C\c1ccc(F)c(F)c1)[C@@H](C)c1cccnc1 ZINC000571568568 1049939921 /nfs/dbraw/zinc/93/99/21/1049939921.db2.gz OSHCIMOYIKQILW-GXMNPTEKSA-N 0 3 302.368 4.456 20 0 DIADHN CCN(C/C=C\c1ccc(F)c(F)c1)[C@H](C)c1cccnc1 ZINC000571568563 1049940095 /nfs/dbraw/zinc/94/00/95/1049940095.db2.gz OSHCIMOYIKQILW-GEXIGZQTSA-N 0 3 302.368 4.456 20 0 DIADHN C(c1nc2c(s1)CCCC2)N1CCC[C@H](C2CCCC2)C1 ZINC001207806219 1049940983 /nfs/dbraw/zinc/94/09/83/1049940983.db2.gz REPMARYRTHUKRG-HNNXBMFYSA-N 0 3 304.503 4.424 20 0 DIADHN CN(CCCc1cccc(C(F)(F)F)c1)Cc1cncs1 ZINC001207848282 1049945616 /nfs/dbraw/zinc/94/56/16/1049945616.db2.gz KBTQTDNNWPEBIR-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN O[C@@H](CN(Cc1cccs1)C1CC1)c1ccc(Cl)cc1 ZINC000045007339 1049945653 /nfs/dbraw/zinc/94/56/53/1049945653.db2.gz VKAYLHPAUXKLDP-INIZCTEOSA-N 0 3 307.846 4.100 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2ccccc2n1C(F)F)[C@@H]1CC1(F)F ZINC001207872580 1049948304 /nfs/dbraw/zinc/94/83/04/1049948304.db2.gz FPEBMADGMSCXLP-UTLUCORTSA-N 0 3 315.314 4.126 20 0 DIADHN CCSC1(CNCc2csc(-c3ccc(C)cc3)n2)CC1 ZINC001203214736 1049949934 /nfs/dbraw/zinc/94/99/34/1049949934.db2.gz GZSJMRJLIVMDMJ-UHFFFAOYSA-N 0 3 318.511 4.494 20 0 DIADHN C[C@H](CN1CCc2nn(-c3ccccc3)cc2C1)c1ccccc1 ZINC001207911625 1049954458 /nfs/dbraw/zinc/95/44/58/1049954458.db2.gz GFQMPUNWGKNEIP-QGZVFWFLSA-N 0 3 317.436 4.034 20 0 DIADHN C[C@H](CN1CCO[C@](C)(c2ccc(F)cc2)C1)c1ccccc1 ZINC001207912636 1049954819 /nfs/dbraw/zinc/95/48/19/1049954819.db2.gz WZEDVNXWGNTAAH-UZLBHIALSA-N 0 3 313.416 4.177 20 0 DIADHN C[C@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1ccno1 ZINC001207917097 1049956092 /nfs/dbraw/zinc/95/60/92/1049956092.db2.gz DYPFUWLDMKXQNG-OAHLLOKOSA-N 0 3 300.446 4.283 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)CN2CCc3ccncc3C2)cc1 ZINC001207937546 1049958941 /nfs/dbraw/zinc/95/89/41/1049958941.db2.gz RIURGXJLWLIHFG-QGZVFWFLSA-N 0 3 308.469 4.442 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCc3ncn(C)c3C2)CC1 ZINC001207937850 1049960504 /nfs/dbraw/zinc/96/05/04/1049960504.db2.gz OZDNKCISSLQINP-GOSISDBHSA-N 0 3 313.489 4.251 20 0 DIADHN CC(C)c1ccc(C[C@H](C)CN2CCc3ncccc3C2)cc1 ZINC001207937559 1049960549 /nfs/dbraw/zinc/96/05/49/1049960549.db2.gz RSAJPTUIAXDEOK-KRWDZBQOSA-N 0 3 308.469 4.442 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCc3c(cnn3C)C2)CC1 ZINC001207938608 1049961001 /nfs/dbraw/zinc/96/10/01/1049961001.db2.gz UCVDMEPSSYFCCC-SFHVURJKSA-N 0 3 313.489 4.251 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCc3ncn(C)c3C2)CC1 ZINC001207937851 1049962074 /nfs/dbraw/zinc/96/20/74/1049962074.db2.gz OZDNKCISSLQINP-SFHVURJKSA-N 0 3 313.489 4.251 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CC(N3CC[C@H](F)C3)C2)CC1 ZINC001207938987 1049962244 /nfs/dbraw/zinc/96/22/44/1049962244.db2.gz YJHCBNIEECLEPS-MOPGFXCFSA-N 0 3 320.496 4.187 20 0 DIADHN Cc1ccc(CCCN2CCC3(CC(=O)c4ccccc43)CC2)o1 ZINC001207948803 1049963302 /nfs/dbraw/zinc/96/33/02/1049963302.db2.gz FTTFUSIJGYXZFI-UHFFFAOYSA-N 0 3 323.436 4.141 20 0 DIADHN Cc1ccc(CCCN2CCC(Oc3cccc(F)c3)CC2)o1 ZINC001207947420 1049963424 /nfs/dbraw/zinc/96/34/24/1049963424.db2.gz DRMWFHLVXUKWJS-UHFFFAOYSA-N 0 3 317.404 4.203 20 0 DIADHN CN(Cc1ccc(Cl)cc1Cl)CC1(C)CCOCC1 ZINC001207964217 1049966039 /nfs/dbraw/zinc/96/60/39/1049966039.db2.gz ROCXEIDKMDQZDG-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN C(=C/c1ccccc1)\CNCc1ccc(OC2CCCC2)nc1 ZINC000588177805 1049971586 /nfs/dbraw/zinc/97/15/86/1049971586.db2.gz KIXQTQOIUIGURD-RMKNXTFCSA-N 0 3 308.425 4.206 20 0 DIADHN C(=C/c1ccccc1)\CNCc1ccnc(OC2CCCC2)c1 ZINC000588177601 1049973230 /nfs/dbraw/zinc/97/32/30/1049973230.db2.gz ICGBJOVZEPRWKE-RMKNXTFCSA-N 0 3 308.425 4.206 20 0 DIADHN COc1ccc(CNC2(c3ccc(F)cc3)CCC2)cc1F ZINC000588179220 1049974534 /nfs/dbraw/zinc/97/45/34/1049974534.db2.gz NJVRONXWVAMSIH-UHFFFAOYSA-N 0 3 303.352 4.142 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCc3nc(Cl)ccc3C2)o1 ZINC001208153686 1049979267 /nfs/dbraw/zinc/97/92/67/1049979267.db2.gz BZEURTNTDFHOOI-LBPRGKRZSA-N 0 3 304.821 4.188 20 0 DIADHN COc1ccc(CN(C)CC[C@@H](C)c2ccc(C)o2)c(OC)c1 ZINC001208158320 1049979438 /nfs/dbraw/zinc/97/94/38/1049979438.db2.gz XOFDFKGFWWRTSF-CQSZACIVSA-N 0 3 317.429 4.231 20 0 DIADHN Cc1cc(F)ccc1CN[C@H](c1cccs1)C1(CO)CCC1 ZINC000571989761 1049979491 /nfs/dbraw/zinc/97/94/91/1049979491.db2.gz BCSQHBVBZXSGRW-QGZVFWFLSA-N 0 3 319.445 4.189 20 0 DIADHN CC1=C[C@H](C)[C@@H](CN2CCc3ncc(C(F)(F)F)cc3C2)CC1 ZINC001208181643 1049982395 /nfs/dbraw/zinc/98/23/95/1049982395.db2.gz OTORUZFSMJUWHB-UONOGXRCSA-N 0 3 324.390 4.451 20 0 DIADHN Cc1cc(Br)cc(Cl)c1NC1=CCN(C)CC1 ZINC001208838890 1050015992 /nfs/dbraw/zinc/01/59/92/1050015992.db2.gz URYUTERGFRDXCP-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN Fc1cccc(CCN2CCSC[C@@H]2c2ccccc2)c1 ZINC001208197941 1049984195 /nfs/dbraw/zinc/98/41/95/1049984195.db2.gz XNZIPVVPNDFWAX-GOSISDBHSA-N 0 3 301.430 4.158 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001208202160 1049984364 /nfs/dbraw/zinc/98/43/64/1049984364.db2.gz KUNVDXUWZOKAHO-OKZBNKHCSA-N 0 3 323.521 4.442 20 0 DIADHN Fc1cccc(CCN2CCC[C@@H](OCc3ccccc3)C2)c1 ZINC001208198519 1049984862 /nfs/dbraw/zinc/98/48/62/1049984862.db2.gz JAJNABJQROOZKR-HXUWFJFHSA-N 0 3 313.416 4.049 20 0 DIADHN Fc1cccc(CCN2CCC[C@@H](Sc3ccncc3)C2)c1 ZINC001208197427 1049984886 /nfs/dbraw/zinc/98/48/86/1049984886.db2.gz MEHCAYNKNLSYRO-GOSISDBHSA-N 0 3 316.445 4.020 20 0 DIADHN CCOc1cccc(CNCc2ccc(F)cc2CSC)c1 ZINC000088751641 1049986567 /nfs/dbraw/zinc/98/65/67/1049986567.db2.gz RFAMWMQVWNHUAX-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN Cc1csc(CNCCc2cccc(-c3ccccc3)c2)n1 ZINC000760700333 1049992114 /nfs/dbraw/zinc/99/21/14/1049992114.db2.gz GYSKXBGJIAUDFT-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)[C@@H]1CCCc2ccccc21 ZINC000588782384 1049996185 /nfs/dbraw/zinc/99/61/85/1049996185.db2.gz PMKDQWGBSLGJIV-HXUWFJFHSA-N 0 3 323.436 4.277 20 0 DIADHN C[C@@H](c1nc(-c2ccc3c(c2)CCC3)no1)N1[C@H](C)CC[C@H]1C ZINC000572293217 1049998981 /nfs/dbraw/zinc/99/89/81/1049998981.db2.gz MCVZVYCYCJJQFM-MCIONIFRSA-N 0 3 311.429 4.159 20 0 DIADHN CC(C)(CCN1CC2(C1)CC(F)(F)CS2)c1ccccc1 ZINC001208668813 1050000779 /nfs/dbraw/zinc/00/07/79/1050000779.db2.gz BCFGKUNCMULRRW-UHFFFAOYSA-N 0 3 311.441 4.181 20 0 DIADHN C[C@]1(F)CCCN(CCCc2ccc(C(F)(F)F)cc2)C1 ZINC001208685467 1050001174 /nfs/dbraw/zinc/00/11/74/1050001174.db2.gz BHGOJDHTFLBBCF-HNNXBMFYSA-N 0 3 303.343 4.462 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCc3occc3C2)cc1 ZINC001208691692 1050002332 /nfs/dbraw/zinc/00/23/32/1050002332.db2.gz HPJTUXMBAGGYHF-UHFFFAOYSA-N 0 3 309.331 4.289 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3ccccc3c2)cc1OC ZINC000588839728 1050004469 /nfs/dbraw/zinc/00/44/69/1050004469.db2.gz BGVCNJGVGFEIHT-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccc(Oc2ccccc2)nn1)C1CC1 ZINC000499052435 1050004934 /nfs/dbraw/zinc/00/49/34/1050004934.db2.gz FLVJNHADOKIAJX-CQSZACIVSA-N 0 3 309.413 4.032 20 0 DIADHN C[C@@H](CN1CCc2c(cc[nH]c2=O)C1)c1cccc2ccccc21 ZINC001208712150 1050006197 /nfs/dbraw/zinc/00/61/97/1050006197.db2.gz ZYSQIXXIZQXJAB-HNNXBMFYSA-N 0 3 318.420 4.102 20 0 DIADHN CCCCN(C(=O)CN(C)CC(C)(C)C)[C@H](C)c1ccc(C)o1 ZINC000588901905 1050007261 /nfs/dbraw/zinc/00/72/61/1050007261.db2.gz LQGONEYALXXBKG-MRXNPFEDSA-N 0 3 322.493 4.256 20 0 DIADHN C[C@H](C(=O)N[C@@H](C)c1ccc(Cl)cc1)N1CCC(C)(C)CC1 ZINC000588904639 1050008256 /nfs/dbraw/zinc/00/82/56/1050008256.db2.gz HPMFFBDYXIUQOL-UONOGXRCSA-N 0 3 322.880 4.028 20 0 DIADHN F[C@@H]1CCCCN(CCCc2ccccc2C(F)(F)F)C1 ZINC001208829018 1050013676 /nfs/dbraw/zinc/01/36/76/1050013676.db2.gz PFDQJXIMUIQLGG-CQSZACIVSA-N 0 3 303.343 4.462 20 0 DIADHN CN1CC=C(Nc2cccc3c(Br)cccc23)CC1 ZINC001208838109 1050016163 /nfs/dbraw/zinc/01/61/63/1050016163.db2.gz OPELUYOKBWVWHL-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN CN1CC=C(Nc2ccc(Br)c3ccccc23)CC1 ZINC001208838093 1050016350 /nfs/dbraw/zinc/01/63/50/1050016350.db2.gz OFLQHWUZKYFILX-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN COc1ccc(-c2nc(CNCCc3ccccc3)cs2)cc1 ZINC000589032725 1050016375 /nfs/dbraw/zinc/01/63/75/1050016375.db2.gz WZFMFLYMRTUTMC-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN CN1CC=C(Nc2cc(C(F)(F)F)cc(C(F)(F)F)c2)CC1 ZINC001208859666 1050017884 /nfs/dbraw/zinc/01/78/84/1050017884.db2.gz XGZNVEXRXRWZTF-UHFFFAOYSA-N 0 3 324.268 4.356 20 0 DIADHN C[C@H](CN1CCC[C@]2(CCc3c2cccc3F)C1)C(F)(F)F ZINC001208898727 1050020713 /nfs/dbraw/zinc/02/07/13/1050020713.db2.gz XSXHJZSRXKBWQS-WBMJQRKESA-N 0 3 315.354 4.304 20 0 DIADHN C[C@H](CN1CCC(c2nc3ccccc3o2)CC1)C(F)(F)F ZINC001208887355 1050021407 /nfs/dbraw/zinc/02/14/07/1050021407.db2.gz KGZPMVWUUSAGIH-LLVKDONJSA-N 0 3 312.335 4.206 20 0 DIADHN CN1CC=C(Nc2cccc(Oc3cc(F)cc(F)c3)c2)CC1 ZINC001208931181 1050023819 /nfs/dbraw/zinc/02/38/19/1050023819.db2.gz YUHUFOGKFVOPOW-UHFFFAOYSA-N 0 3 316.351 4.388 20 0 DIADHN COc1ccc(/C=C/c2ccc(NC3=CCN(C)CC3)cc2)cc1 ZINC001208931177 1050024333 /nfs/dbraw/zinc/02/43/33/1050024333.db2.gz YTAYNZOMFOFHKT-ONEGZZNKSA-N 0 3 320.436 4.497 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(C)C ZINC000589208317 1050025155 /nfs/dbraw/zinc/02/51/55/1050025155.db2.gz NFHOCDZKZGVIBU-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000056491705 1050026212 /nfs/dbraw/zinc/02/62/12/1050026212.db2.gz OBKDXSAUCQGAOJ-QRWLVFNGSA-N 0 3 313.416 4.332 20 0 DIADHN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@H]1CCCC(C)(C)C1 ZINC000165262129 1050028809 /nfs/dbraw/zinc/02/88/09/1050028809.db2.gz YBRSUSAHKKCYFO-CABCVRRESA-N 0 3 323.440 4.356 20 0 DIADHN CN1CC=C(Nc2cc(F)cc3c2-c2ccc(F)cc2C3)CC1 ZINC001208978552 1050030093 /nfs/dbraw/zinc/03/00/93/1050030093.db2.gz DFMTYRHPLGCXQI-UHFFFAOYSA-N 0 3 312.363 4.167 20 0 DIADHN C[C@@H]1CN(CCc2c(Cl)cccc2Cl)CCC[C@]1(C)O ZINC001209104773 1050035358 /nfs/dbraw/zinc/03/53/58/1050035358.db2.gz FXCHDGFGBHBCDJ-WBMJQRKESA-N 0 3 316.272 4.019 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC2(CCOC2)CC1 ZINC001209105660 1050035418 /nfs/dbraw/zinc/03/54/18/1050035418.db2.gz QKMNSMXMMLYKFS-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@@]2(C1)CCCOC2 ZINC001209108334 1050036002 /nfs/dbraw/zinc/03/60/02/1050036002.db2.gz FJMQCIPPXPSPRX-MRXNPFEDSA-N 0 3 314.256 4.038 20 0 DIADHN Fc1cccc([C@@H]2CCN(CCc3cc(F)cc(F)c3)C2)c1 ZINC001209140465 1050039672 /nfs/dbraw/zinc/03/96/72/1050039672.db2.gz JLBRPOZKEOQRPN-OAHLLOKOSA-N 0 3 305.343 4.136 20 0 DIADHN Fc1cc(F)cc(CCN2CC[C@@H](c3ccccc3F)C2)c1 ZINC001209139700 1050039812 /nfs/dbraw/zinc/03/98/12/1050039812.db2.gz DFXUQPNJXGZVAB-CQSZACIVSA-N 0 3 305.343 4.136 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCc2cc(F)cc(F)c2)cc1 ZINC001209134680 1050039930 /nfs/dbraw/zinc/03/99/30/1050039930.db2.gz IBJFGEJCWTZOJT-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2CCCc2cccnc2)c1 ZINC001209179959 1050041742 /nfs/dbraw/zinc/04/17/42/1050041742.db2.gz KDBIWFMDILRGLQ-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN c1ccc(CN(CCCc2cccnc2)Cc2cccnc2)cc1 ZINC001209177172 1050042090 /nfs/dbraw/zinc/04/20/90/1050042090.db2.gz QBKPOFMMJKFWEW-UHFFFAOYSA-N 0 3 317.436 4.112 20 0 DIADHN Fc1cccc([C@@H]2CCN(CCc3cc(F)c(F)cc3F)C2)c1 ZINC001209252223 1050047233 /nfs/dbraw/zinc/04/72/33/1050047233.db2.gz IOXGHZZXPIXPNW-CQSZACIVSA-N 0 3 323.333 4.275 20 0 DIADHN Fc1cccc([C@H]2CCN(CCc3cc(F)c(F)cc3F)C2)c1 ZINC001209252222 1050047271 /nfs/dbraw/zinc/04/72/71/1050047271.db2.gz IOXGHZZXPIXPNW-AWEZNQCLSA-N 0 3 323.333 4.275 20 0 DIADHN C[C@@H](NCCCO[C@H](C)c1ccccc1)c1cn2ccccc2n1 ZINC000572855676 1050047254 /nfs/dbraw/zinc/04/72/54/1050047254.db2.gz IJXOMSLVJVASLZ-IAGOWNOFSA-N 0 3 323.440 4.153 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)C[C@H](C)c1ccccc1 ZINC000589606816 1050048027 /nfs/dbraw/zinc/04/80/27/1050048027.db2.gz SVKFUNMUJGXAFZ-INIZCTEOSA-N 0 3 311.425 4.004 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)C[C@@H](C)c1ccccc1 ZINC000589606817 1050048078 /nfs/dbraw/zinc/04/80/78/1050048078.db2.gz SVKFUNMUJGXAFZ-MRXNPFEDSA-N 0 3 311.425 4.004 20 0 DIADHN CSC1CCN(CCc2ccccc2C(F)(F)F)CC1 ZINC001209283059 1050050496 /nfs/dbraw/zinc/05/04/96/1050050496.db2.gz MYHYLDDQPPCRPO-UHFFFAOYSA-N 0 3 303.393 4.075 20 0 DIADHN C[C@@H]1CCN1CCCN(C(=O)OC(C)(C)C)C1CCCCC1 ZINC001209312857 1050051292 /nfs/dbraw/zinc/05/12/92/1050051292.db2.gz OSIBBQSVEFAUDJ-OAHLLOKOSA-N 0 3 310.482 4.041 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc21)c1ccc2c(c1)CCCC2 ZINC000589637008 1050051312 /nfs/dbraw/zinc/05/13/12/1050051312.db2.gz XVFRKTBYWJTCRO-AWEZNQCLSA-N 0 3 305.425 4.293 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1ccc2c(c1)CCCC2 ZINC000589637008 1050051317 /nfs/dbraw/zinc/05/13/17/1050051317.db2.gz XVFRKTBYWJTCRO-AWEZNQCLSA-N 0 3 305.425 4.293 20 0 DIADHN CCc1ccc(CN2CCC(Cc3ccc(C)cc3)CC2)nc1 ZINC001168393310 1050051455 /nfs/dbraw/zinc/05/14/55/1050051455.db2.gz PIKJYXHUZUWTJJ-UHFFFAOYSA-N 0 3 308.469 4.407 20 0 DIADHN Clc1ccc([C@@H](NCc2n[nH]c3ccccc32)C2CC2)cc1 ZINC000589635911 1050051503 /nfs/dbraw/zinc/05/15/03/1050051503.db2.gz UTRZWEIGYMMIJM-SFHVURJKSA-N 0 3 311.816 4.457 20 0 DIADHN Clc1ccc([C@@H](NCc2[nH]nc3ccccc32)C2CC2)cc1 ZINC000589635911 1050051507 /nfs/dbraw/zinc/05/15/07/1050051507.db2.gz UTRZWEIGYMMIJM-SFHVURJKSA-N 0 3 311.816 4.457 20 0 DIADHN CCCCOc1cccc(CNCc2n[nH]c3ccccc23)c1 ZINC000589641339 1050052105 /nfs/dbraw/zinc/05/21/05/1050052105.db2.gz FNKCTHAJPJWCCN-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN CCCCOc1cccc(CNCc2[nH]nc3ccccc32)c1 ZINC000589641339 1050052111 /nfs/dbraw/zinc/05/21/11/1050052111.db2.gz FNKCTHAJPJWCCN-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN C[C@H](NC[C@H]1CSCCS1)c1cccc(Cl)c1Cl ZINC000224540394 1050102205 /nfs/dbraw/zinc/10/22/05/1050102205.db2.gz CEYXVNGAZOEYFB-UWVGGRQHSA-N 0 3 322.326 4.493 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)C[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC001209400814 1050056400 /nfs/dbraw/zinc/05/64/00/1050056400.db2.gz CHHLCUXLRMCITE-SFNKJDCFSA-N 0 3 317.473 4.173 20 0 DIADHN CCn1ccnc1CNCCC(c1ccccc1)c1ccccc1 ZINC000589831609 1050059967 /nfs/dbraw/zinc/05/99/67/1050059967.db2.gz ZHQICOUNVAZNRL-UHFFFAOYSA-N 0 3 319.452 4.215 20 0 DIADHN CCn1ccnc1CNCC1(c2cccc(C)c2)CCCCC1 ZINC000589838064 1050060460 /nfs/dbraw/zinc/06/04/60/1050060460.db2.gz SQSJDSGNIIYAGI-UHFFFAOYSA-N 0 3 311.473 4.203 20 0 DIADHN Cc1ccc(N2CCN(Cc3c[nH]c4c3cccc4C)CC2)cc1 ZINC001209484766 1050061914 /nfs/dbraw/zinc/06/19/14/1050061914.db2.gz LSHZEIWVFSJNID-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1cccc2c(CN3CCC(=O)[C@H](C)C34CCCC4)c[nH]c21 ZINC001209490149 1050062163 /nfs/dbraw/zinc/06/21/63/1050062163.db2.gz QOJFNXZCVPQGJX-HNNXBMFYSA-N 0 3 310.441 4.200 20 0 DIADHN Cc1ccc2[nH]cc(CN3CC[C@@H]3COCc3ccccc3)c2c1 ZINC001209496903 1050063769 /nfs/dbraw/zinc/06/37/69/1050063769.db2.gz KOOSSKGYDAIYNI-LJQANCHMSA-N 0 3 320.436 4.267 20 0 DIADHN c1cc2ccc(CN3CCC4(Cc5ccccc5O4)CC3)cc2[nH]1 ZINC001209513826 1050065068 /nfs/dbraw/zinc/06/50/68/1050065068.db2.gz FCLDNKZSIXGXGH-UHFFFAOYSA-N 0 3 318.420 4.138 20 0 DIADHN CN(CCCNCc1cccc(Cl)c1F)c1ccccc1 ZINC000166687905 1050065206 /nfs/dbraw/zinc/06/52/06/1050065206.db2.gz JTAYLIIEXQILFY-UHFFFAOYSA-N 0 3 306.812 4.095 20 0 DIADHN Clc1cnc2[nH]cc(CN3CCC[C@H]4CCCC[C@@H]43)c2c1 ZINC001209597638 1050071279 /nfs/dbraw/zinc/07/12/79/1050071279.db2.gz WFXNEMYVHIPYQG-WBMJQRKESA-N 0 3 303.837 4.371 20 0 DIADHN Clc1cnc2[nH]cc(CN3CC[C@H]4CCCC[C@@H]4C3)c2c1 ZINC001209602652 1050071448 /nfs/dbraw/zinc/07/14/48/1050071448.db2.gz MUTRISICUBFQCS-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN Cc1cc(Cl)ncc1CN1CC[C@@H](c2ccc(F)cc2)C1 ZINC001209636583 1050072501 /nfs/dbraw/zinc/07/25/01/1050072501.db2.gz WQXANGYOVXSIGS-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cnc(Cl)cc3C)C2)c1 ZINC001209635147 1050072785 /nfs/dbraw/zinc/07/27/85/1050072785.db2.gz BNTFSYNSPJQNDM-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC[C@@H]1Cc1ccc(F)cc1 ZINC001209637900 1050072801 /nfs/dbraw/zinc/07/28/01/1050072801.db2.gz OCYVTBRNZUQFDU-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN Fc1cccc(CN[C@@H](CN2CCCCC2)c2ccccc2)c1 ZINC000590075637 1050074238 /nfs/dbraw/zinc/07/42/38/1050074238.db2.gz UTMAFNJZFGASQG-FQEVSTJZSA-N 0 3 312.432 4.143 20 0 DIADHN Clc1c[nH]c2cccc(CN3CCC(OC4CCC4)CC3)c12 ZINC001209658487 1050074789 /nfs/dbraw/zinc/07/47/89/1050074789.db2.gz SDNIQXNZFZZPMF-UHFFFAOYSA-N 0 3 318.848 4.355 20 0 DIADHN O=C(Nc1ccccc1)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000590078981 1050075097 /nfs/dbraw/zinc/07/50/97/1050075097.db2.gz MZSMFUTUZVDBDH-IBGZPJMESA-N 0 3 323.440 4.035 20 0 DIADHN C[C@H]1CCN1Cc1c[nH]c2cccc(OCc3ccccc3)c12 ZINC001209672169 1050076126 /nfs/dbraw/zinc/07/61/26/1050076126.db2.gz SBOOJLFSNYOROF-HNNXBMFYSA-N 0 3 306.409 4.341 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCOc1cccc(F)c1 ZINC000590063961 1050076644 /nfs/dbraw/zinc/07/66/44/1050076644.db2.gz BZIDBZWHAADLQP-GOSISDBHSA-N 0 3 315.388 4.050 20 0 DIADHN CCCOC1CCN(Cc2cc3cc(Cl)ccc3[nH]2)CC1 ZINC001209724114 1050079205 /nfs/dbraw/zinc/07/92/05/1050079205.db2.gz XGLMOYSAXNPYBH-UHFFFAOYSA-N 0 3 306.837 4.212 20 0 DIADHN Clc1ccc2n[nH]c(CN3CC[C@H]4CCCC[C@H]4C3)c2c1 ZINC001209755990 1050080477 /nfs/dbraw/zinc/08/04/77/1050080477.db2.gz UMJPZQHQCHYLKB-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN CSCc1cnc(CNCCCCc2ccccc2)s1 ZINC000590181058 1050080585 /nfs/dbraw/zinc/08/05/85/1050080585.db2.gz CXMJGRPVYMSDSN-UHFFFAOYSA-N 0 3 306.500 4.119 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001209765372 1050081757 /nfs/dbraw/zinc/08/17/57/1050081757.db2.gz LZPLOYZCGIBMBG-CALCHBBNSA-N 0 3 315.420 4.163 20 0 DIADHN CC[NH+](CC)Cc1ccc(Nc2cc(F)c([O-])c(F)c2)cc1 ZINC001209824349 1050089869 /nfs/dbraw/zinc/08/98/69/1050089869.db2.gz STSVJTZNHVOWHH-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN Cc1ccccc1CN(Cc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000572941012 1050095950 /nfs/dbraw/zinc/09/59/50/1050095950.db2.gz MNFBCJCMRHVYNR-UHFFFAOYSA-N 0 3 307.397 4.143 20 0 DIADHN CN(CCSc1ccc(Cl)cc1)[C@@H](CO)c1ccccc1 ZINC000590622556 1050099873 /nfs/dbraw/zinc/09/98/73/1050099873.db2.gz ORFJBKRPIZCDNE-KRWDZBQOSA-N 0 3 321.873 4.098 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CCC[C@@H]3C3CC3)n2)cc1 ZINC000590789372 1050107499 /nfs/dbraw/zinc/10/74/99/1050107499.db2.gz UBHYGDVPVZYWCZ-QGZVFWFLSA-N 0 3 311.429 4.234 20 0 DIADHN O=C(CN1CCC[C@@H]1C1CC1)Nc1ccccc1-c1ccccc1 ZINC000590789622 1050107549 /nfs/dbraw/zinc/10/75/49/1050107549.db2.gz XNEXLSNPAVAHFJ-HXUWFJFHSA-N 0 3 320.436 4.167 20 0 DIADHN O=C(CN1CCC[C@H]1C1CC1)Nc1ccccc1-c1ccccc1 ZINC000590789621 1050107611 /nfs/dbraw/zinc/10/76/11/1050107611.db2.gz XNEXLSNPAVAHFJ-FQEVSTJZSA-N 0 3 320.436 4.167 20 0 DIADHN Clc1cccc(-c2nc(CN3CCC[C@@H]3C3CC3)co2)c1 ZINC000590820166 1050109664 /nfs/dbraw/zinc/10/96/64/1050109664.db2.gz KKFKPNDDSPFIGW-MRXNPFEDSA-N 0 3 302.805 4.369 20 0 DIADHN Cc1cccc(Nc2cccc3c2OCC[C@H]3N(C)C)c1F ZINC001210039241 1050127054 /nfs/dbraw/zinc/12/70/54/1050127054.db2.gz LKMUSIDAPRUCNY-MRXNPFEDSA-N 0 3 300.377 4.263 20 0 DIADHN Cc1cccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c1F ZINC001210039239 1050127070 /nfs/dbraw/zinc/12/70/70/1050127070.db2.gz LKMUSIDAPRUCNY-INIZCTEOSA-N 0 3 300.377 4.263 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC001168400407 1050133177 /nfs/dbraw/zinc/13/31/77/1050133177.db2.gz AKLJXVKCONXMIK-KGLIPLIRSA-N 0 3 322.880 4.343 20 0 DIADHN COc1ccc(C(C)=O)cc1Nc1ccccc1CN1CCCC1 ZINC001210078138 1050133410 /nfs/dbraw/zinc/13/34/10/1050133410.db2.gz LPHWZFZOLRQGQU-UHFFFAOYSA-N 0 3 324.424 4.237 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)c1 ZINC000770290721 1050146217 /nfs/dbraw/zinc/14/62/17/1050146217.db2.gz JJGDHCOJJMYEMD-NVXWUHKLSA-N 0 3 319.445 4.284 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(N3CCCC3=O)cc2)cs1 ZINC000823709404 1050149256 /nfs/dbraw/zinc/14/92/56/1050149256.db2.gz RAXVNTCWNADYBL-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN CCN1CCCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000591470133 1050152259 /nfs/dbraw/zinc/15/22/59/1050152259.db2.gz LRPCACKIKSYEQA-UHFFFAOYSA-N 0 3 308.878 4.082 20 0 DIADHN Cc1ccc(NC(=S)Nc2ccc(F)cc2)c(CN(C)C)c1 ZINC000733752189 1050152640 /nfs/dbraw/zinc/15/26/40/1050152640.db2.gz RGFFSEJDOHDVAC-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000770347397 1050155072 /nfs/dbraw/zinc/15/50/72/1050155072.db2.gz IFASTRCBKNMEIU-ZDUSSCGKSA-N 0 3 321.458 4.453 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)cc1C)C1CC1 ZINC000591475272 1050158334 /nfs/dbraw/zinc/15/83/34/1050158334.db2.gz MYLYXEDIGOJDEY-HOCLYGCPSA-N 0 3 302.462 4.141 20 0 DIADHN CCCCC[C@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000733875384 1050159611 /nfs/dbraw/zinc/15/96/11/1050159611.db2.gz JSUWWECGZFNWQZ-AWEZNQCLSA-N 0 3 316.445 4.193 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)Nc1ccc(CN(C)C)cc1F ZINC001299306789 1050161280 /nfs/dbraw/zinc/16/12/80/1050161280.db2.gz QSXRQJSWYDIQQG-HNNXBMFYSA-N 0 3 308.441 4.288 20 0 DIADHN Cc1cnc(=S)n(CN(CCc2ccccc2)CC(C)C)c1 ZINC000758305509 1050161863 /nfs/dbraw/zinc/16/18/63/1050161863.db2.gz OEIYCOBAKJPWEB-UHFFFAOYSA-N 0 3 315.486 4.079 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000282578549 1050163228 /nfs/dbraw/zinc/16/32/28/1050163228.db2.gz ZKTVSBMVOQGURB-AEFFLSMTSA-N 0 3 313.420 4.152 20 0 DIADHN Cc1cnc([C@@H](NCCc2cccc(F)c2F)C2CC2)s1 ZINC000347972567 1050163320 /nfs/dbraw/zinc/16/33/20/1050163320.db2.gz YHSIAYGVGYRASG-HNNXBMFYSA-N 0 3 308.397 4.013 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccnc(Cl)c1F ZINC000823966511 1050170316 /nfs/dbraw/zinc/17/03/16/1050170316.db2.gz RYGJSAUWGBMMHF-NEPJUHHUSA-N 0 3 302.846 4.028 20 0 DIADHN C[C@@H](NCCCc1c[nH]c2ccc(F)cc12)c1cscn1 ZINC000282684184 1050174423 /nfs/dbraw/zinc/17/44/23/1050174423.db2.gz JXJMBRVJNKHTPF-LLVKDONJSA-N 0 3 303.406 4.047 20 0 DIADHN FC(F)(F)c1ccccc1CCNc1c[nH]cc2ccnc1-2 ZINC001171040844 1050175440 /nfs/dbraw/zinc/17/54/40/1050175440.db2.gz GYWVTIZBRASVLP-UHFFFAOYSA-N 0 3 305.303 4.236 20 0 DIADHN FC(F)(F)[C@@H]1CCCN([C@@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171044569 1050181174 /nfs/dbraw/zinc/18/11/74/1050181174.db2.gz LXODBBWPEXOMKW-CHWSQXEVSA-N 0 3 317.782 4.472 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3cc(F)ncc3F)CC2)cc1 ZINC000824113741 1050186016 /nfs/dbraw/zinc/18/60/16/1050186016.db2.gz LETQXXPIUODYHV-MRXNPFEDSA-N 0 3 318.342 4.225 20 0 DIADHN CC(C)(NC[C@@H]1CC1(Cl)Cl)c1cccc(Cl)c1F ZINC000770687703 1050188600 /nfs/dbraw/zinc/18/86/00/1050188600.db2.gz NTBLOLSRPPPSDO-QMMMGPOBSA-N 0 3 310.627 4.498 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cnc(Cl)c(C)c1 ZINC000770745909 1050192382 /nfs/dbraw/zinc/19/23/82/1050192382.db2.gz IIMHREFVVJIIFF-BLLLJJGKSA-N 0 3 322.811 4.048 20 0 DIADHN CC[C@@H](NCc1ccc2ncccc2c1)c1ccccc1OC ZINC000378916943 1050193713 /nfs/dbraw/zinc/19/37/13/1050193713.db2.gz WOUUTHQXHWXWJZ-GOSISDBHSA-N 0 3 306.409 4.484 20 0 DIADHN CC(C)N(Cc1cc(Br)c(F)cc1F)CC1CC1 ZINC001136854314 1050197380 /nfs/dbraw/zinc/19/73/80/1050197380.db2.gz RJRDTJBKKFVBRM-UHFFFAOYSA-N 0 3 318.205 4.348 20 0 DIADHN Cc1cc(NCC2CN(Cc3ccccc3)C2)nc2ccccc12 ZINC000716220768 1050197995 /nfs/dbraw/zinc/19/79/95/1050197995.db2.gz LJCUREVTVLMOPE-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Fc1cc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)c(F)cn1 ZINC000824370548 1050206692 /nfs/dbraw/zinc/20/66/92/1050206692.db2.gz LFJKJBVZJLHWAH-NUJGCVRESA-N 0 3 314.379 4.128 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@](C)(O)c3ccccc3)o2)cc1 ZINC000734005128 1050212614 /nfs/dbraw/zinc/21/26/14/1050212614.db2.gz WZJZKFJDZISMAI-NRFANRHFSA-N 0 3 321.420 4.252 20 0 DIADHN Brc1ccoc1CNC[C@@H]1CSc2ccccc21 ZINC000824482776 1050213074 /nfs/dbraw/zinc/21/30/74/1050213074.db2.gz DKVOHDFKWJKZAQ-SNVBAGLBSA-N 0 3 324.243 4.021 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CC[C@H](C)C(F)(F)CC1 ZINC001141423776 1050213334 /nfs/dbraw/zinc/21/33/34/1050213334.db2.gz JXWZLPNTXMUBEV-JTQLQIEISA-N 0 3 322.321 4.276 20 0 DIADHN c1cc2cc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)ccc2[nH]1 ZINC000573577733 1050216728 /nfs/dbraw/zinc/21/67/28/1050216728.db2.gz XKLDUIOTXJGHSK-UXHICEINSA-N 0 3 306.409 4.178 20 0 DIADHN O=C(C=C1CCCCC1)N(CCN1CCCC1)c1ccccc1 ZINC001136887637 1050221857 /nfs/dbraw/zinc/22/18/57/1050221857.db2.gz WXUGHFFFMDLBRG-UHFFFAOYSA-N 0 3 312.457 4.006 20 0 DIADHN CSc1ccccc1C[C@@H](C)NCc1cc(F)cnc1Cl ZINC000824537598 1050225716 /nfs/dbraw/zinc/22/57/16/1050225716.db2.gz FHNCPTXROIFYRP-LLVKDONJSA-N 0 3 324.852 4.317 20 0 DIADHN CCOc1ccccc1CN1CCCO[C@H](c2ccccc2)C1 ZINC000591811791 1050230690 /nfs/dbraw/zinc/23/06/90/1050230690.db2.gz RQQNCUXUMSFIQM-FQEVSTJZSA-N 0 3 311.425 4.049 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC001168401299 1050239650 /nfs/dbraw/zinc/23/96/50/1050239650.db2.gz IKJSIJLQJCGLBL-ZIAGYGMSSA-N 0 3 322.880 4.343 20 0 DIADHN C[C@]1(CNCc2cc3cc(F)ccc3o2)CC1(Cl)Cl ZINC000824766522 1050240699 /nfs/dbraw/zinc/24/06/99/1050240699.db2.gz VJAUVJZDSGWADV-CYBMUJFWSA-N 0 3 302.176 4.245 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(SC(F)F)cc2)CC1(C)C ZINC000824820194 1050247251 /nfs/dbraw/zinc/24/72/51/1050247251.db2.gz BEVLOEOTGCFEOQ-AWEZNQCLSA-N 0 3 315.429 4.248 20 0 DIADHN CC(C)C[C@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000771456476 1050253056 /nfs/dbraw/zinc/25/30/56/1050253056.db2.gz ZUOUFZDZLAQKRG-SFHVURJKSA-N 0 3 319.395 4.051 20 0 DIADHN Cc1cccn2c(CN3CCC[C@@H]3c3ccccc3C)cnc12 ZINC001136959346 1050254307 /nfs/dbraw/zinc/25/43/07/1050254307.db2.gz DACJOOMZEQOQGW-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccsc1)c1ccccc1Cl ZINC000046088202 1050254808 /nfs/dbraw/zinc/25/48/08/1050254808.db2.gz OSRADVOSHUHJNJ-CVEARBPZSA-N 0 3 307.846 4.272 20 0 DIADHN CC(=O)Oc1ccc(CN2CC=C(c3cccc(C)c3)CC2)cc1 ZINC000771464719 1050256794 /nfs/dbraw/zinc/25/67/94/1050256794.db2.gz PKPQULZBBYKXPU-UHFFFAOYSA-N 0 3 321.420 4.210 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC[C@H]3C[C@H](O)c3ccco3)cc21 ZINC000824927939 1050258233 /nfs/dbraw/zinc/25/82/33/1050258233.db2.gz RWTUOVLTPWQTRD-LPHOPBHVSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1nc([C@H](C)NCC[C@]2(C)CC2(Cl)Cl)c(C)s1 ZINC000824968723 1050263767 /nfs/dbraw/zinc/26/37/67/1050263767.db2.gz DKDQMSPVPGAUGT-QPUJVOFHSA-N 0 3 307.290 4.385 20 0 DIADHN O[C@@H](CN(Cc1cccc(F)c1)C1CC1)c1ccc(Cl)cc1 ZINC000771577296 1050265766 /nfs/dbraw/zinc/26/57/66/1050265766.db2.gz CZONLYOCWPLHNI-SFHVURJKSA-N 0 3 319.807 4.177 20 0 DIADHN COc1cc(CN(C)Cc2c[nH]c3cc(C)ccc23)cc(OC)c1 ZINC001136973184 1050266278 /nfs/dbraw/zinc/26/62/78/1050266278.db2.gz OZQAQIQYABCPKR-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN CCc1nc(CNC(Cc2ccccc2)Cc2ccccc2)co1 ZINC000825058429 1050271242 /nfs/dbraw/zinc/27/12/42/1050271242.db2.gz YATFJFAHERFTDW-UHFFFAOYSA-N 0 3 320.436 4.181 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1c[nH]c3cccc(F)c13)CC2 ZINC001136987362 1050272342 /nfs/dbraw/zinc/27/23/42/1050272342.db2.gz VNIAJUXIDVDGFT-UHFFFAOYSA-N 0 3 314.404 4.032 20 0 DIADHN Clc1cccc(CC2(NCc3cccnc3Cl)CC2)c1 ZINC000825129752 1050279311 /nfs/dbraw/zinc/27/93/11/1050279311.db2.gz CWSWNNPWZDMECY-UHFFFAOYSA-N 0 3 307.224 4.253 20 0 DIADHN CCN(CCSC)Cc1ccccc1Oc1cccnc1 ZINC000592200109 1050280259 /nfs/dbraw/zinc/28/02/59/1050280259.db2.gz JNVHJTDGVBMLEB-UHFFFAOYSA-N 0 3 302.443 4.059 20 0 DIADHN Fc1cccc(CN[C@H](c2ccccc2)C2CCOCC2)c1F ZINC000734509698 1050281651 /nfs/dbraw/zinc/28/16/51/1050281651.db2.gz PDUVUDZPHDYFEN-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN Fc1cccc(CC2CCN(CCC(F)(F)F)CC2)c1F ZINC001171077725 1050286535 /nfs/dbraw/zinc/28/65/35/1050286535.db2.gz GENKSEDKMKJOSZ-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN COc1cccc(CN2CCCC[C@@H]2c2ccccc2OC)c1 ZINC000592333258 1050289584 /nfs/dbraw/zinc/28/95/84/1050289584.db2.gz ZHTAOFMYAYRFEV-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccc4c(c3)COC4)CC2)cc1 ZINC001137030759 1050302521 /nfs/dbraw/zinc/30/25/21/1050302521.db2.gz LQJZRQVQNSLPDL-UHFFFAOYSA-N 0 3 321.420 4.015 20 0 DIADHN Cc1sccc1CN1CCC(C)(C(=O)OC(C)(C)C)CC1 ZINC000592525692 1050315124 /nfs/dbraw/zinc/31/51/24/1050315124.db2.gz RLURNGBGYPZWOS-UHFFFAOYSA-N 0 3 309.475 4.000 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2CCc3cc(Cl)ccc3C2)cs1 ZINC001171093080 1050320109 /nfs/dbraw/zinc/32/01/09/1050320109.db2.gz BSXNOOLTWZZVTJ-MEBBXXQBSA-N 0 3 306.862 4.313 20 0 DIADHN CC(C)(C)c1ccc([C@@H](O)CNCc2ccc(F)cc2F)cc1 ZINC000734848432 1050324795 /nfs/dbraw/zinc/32/47/95/1050324795.db2.gz HQRBATSXUDDQML-SFHVURJKSA-N 0 3 319.395 4.086 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(C[C@@H](O)c2c(F)cccc2F)CC1 ZINC000734836298 1050324962 /nfs/dbraw/zinc/32/49/62/1050324962.db2.gz DWLHFWRKWWWASY-CZUORRHYSA-N 0 3 311.416 4.146 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000178122554 1050330996 /nfs/dbraw/zinc/33/09/96/1050330996.db2.gz DTFRTMHDYPXQMF-HSALFYBXSA-N 0 3 311.400 4.323 20 0 DIADHN COc1cccc(F)c1CN[C@@H]1CCc2cc(Cl)ccc2C1 ZINC001171095999 1050331258 /nfs/dbraw/zinc/33/12/58/1050331258.db2.gz SLAJSSBSDHNHAO-OAHLLOKOSA-N 0 3 319.807 4.135 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000178122542 1050331452 /nfs/dbraw/zinc/33/14/52/1050331452.db2.gz DTFRTMHDYPXQMF-AABGKKOBSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@]1(O)CCCCN(Cc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001143710051 1050342395 /nfs/dbraw/zinc/34/23/95/1050342395.db2.gz NZFCWJXALMFWJB-AWEZNQCLSA-N 0 3 321.770 4.096 20 0 DIADHN C[C@@]1(O)CCCN(Cc2ccc(C(F)(F)F)cc2Cl)CC1 ZINC001143710344 1050343005 /nfs/dbraw/zinc/34/30/05/1050343005.db2.gz QGYXBXAZDXGFMO-CQSZACIVSA-N 0 3 321.770 4.096 20 0 DIADHN Clc1ccc(OCCN2CCCc3sccc3C2)cc1 ZINC000592984481 1050358410 /nfs/dbraw/zinc/35/84/10/1050358410.db2.gz QJCWKYAIVCTTON-UHFFFAOYSA-N 0 3 307.846 4.229 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccnc(SC)c2)c1 ZINC000772472419 1050363952 /nfs/dbraw/zinc/36/39/52/1050363952.db2.gz PJMYDSYGFBLLFA-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN CC(C)(O)[C@H]1CCCN1Cc1ccc(C(F)(F)F)c(Cl)c1 ZINC001143809850 1050369946 /nfs/dbraw/zinc/36/99/46/1050369946.db2.gz FTJOWEYCRAPIAA-CYBMUJFWSA-N 0 3 321.770 4.094 20 0 DIADHN CC[C@@H](N[C@H](C)CCc1ccc(F)cc1F)c1nccs1 ZINC000574182980 1050373935 /nfs/dbraw/zinc/37/39/35/1050373935.db2.gz JNRLFGIAFXLUCO-IAQYHMDHSA-N 0 3 310.413 4.483 20 0 DIADHN Cc1cscc1CNCc1ccc2c(c1)CCC(C)(C)O2 ZINC000785520649 1050384880 /nfs/dbraw/zinc/38/48/80/1050384880.db2.gz BEDUVKIDXQQRFG-UHFFFAOYSA-N 0 3 301.455 4.450 20 0 DIADHN O[C@H](CNCc1cc2ccccc2o1)c1cc(F)cc(Cl)c1 ZINC000785608940 1050394104 /nfs/dbraw/zinc/39/41/04/1050394104.db2.gz HUZRCDSAVILQQJ-MRXNPFEDSA-N 0 3 319.763 4.049 20 0 DIADHN CC(C)=CC(=O)Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001143925977 1050401272 /nfs/dbraw/zinc/40/12/72/1050401272.db2.gz HPFIAFPCSJYKHU-GOSISDBHSA-N 0 3 320.436 4.169 20 0 DIADHN Cc1ncc(Nc2ccc(OC(F)F)c(OC3CCC3)c2)n1C ZINC001210879402 1050403329 /nfs/dbraw/zinc/40/33/29/1050403329.db2.gz HFNUXNMRIWLUCR-UHFFFAOYSA-N 0 3 323.343 4.005 20 0 DIADHN Cc1ccc(OCCN2CCS[C@H](c3ccccc3)C2)cc1 ZINC000735495287 1050403638 /nfs/dbraw/zinc/40/36/38/1050403638.db2.gz TWGLXZGJZXIOIP-IBGZPJMESA-N 0 3 313.466 4.164 20 0 DIADHN Cc1ccc(C)c(CN2CCN(Cc3ccccc3)[C@H](C)C2)c1 ZINC001171120150 1050404542 /nfs/dbraw/zinc/40/45/42/1050404542.db2.gz PXYFCSQDPCWOPF-LJQANCHMSA-N 0 3 308.469 4.010 20 0 DIADHN Cc1c(CN2CC[C@H]3OCCC[C@@H]3C2)cccc1C(F)(F)F ZINC001143917922 1050406700 /nfs/dbraw/zinc/40/67/00/1050406700.db2.gz QYYPKYPFPNZOHJ-GDBMZVCRSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1c(CN2CC[C@@]3(C2)CCCCO3)cccc1C(F)(F)F ZINC001143921837 1050409386 /nfs/dbraw/zinc/40/93/86/1050409386.db2.gz DGKFCKCZURVKET-MRXNPFEDSA-N 0 3 313.363 4.159 20 0 DIADHN FC1(F)CC12CCN(Cc1cc(Cl)nc(Cl)c1)CC2 ZINC000844407559 1050418892 /nfs/dbraw/zinc/41/88/92/1050418892.db2.gz NUAYEQNIHPGULL-UHFFFAOYSA-N 0 3 307.171 4.010 20 0 DIADHN COc1ccc(Cl)cc1CNCCOC1CCCCCC1 ZINC000735676744 1050420817 /nfs/dbraw/zinc/42/08/17/1050420817.db2.gz DSOMPTIXFPKVKK-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN CN(Cc1cc(Cl)cc(C(F)(F)F)c1)C[C@H]1CCCCO1 ZINC001143991864 1050433532 /nfs/dbraw/zinc/43/35/32/1050433532.db2.gz UAFFUBUMYMDJSS-CQSZACIVSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@H](N[C@@H]1CCN(C(=O)OC(C)(C)C)C1)c1ccccc1Cl ZINC000226370403 1050436632 /nfs/dbraw/zinc/43/66/32/1050436632.db2.gz KNJNFNFIQAVJOM-QWHCGFSZSA-N 0 3 324.852 4.000 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1ccccc1N1CCCCC1 ZINC000785969792 1050437476 /nfs/dbraw/zinc/43/74/76/1050437476.db2.gz AUEHQPSFRJBZAN-UHFFFAOYSA-N 0 3 310.441 4.015 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(SC(F)F)cc1)N(CC)CC ZINC000735990509 1050448231 /nfs/dbraw/zinc/44/82/31/1050448231.db2.gz ZUAVCWUMKRTEQR-CYBMUJFWSA-N 0 3 316.417 4.060 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000736348147 1050469547 /nfs/dbraw/zinc/46/95/47/1050469547.db2.gz NCELNSBIVUKSON-PXAZEXFGSA-N 0 3 307.796 4.037 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc(O)c2C)cc1 ZINC001144118994 1050469939 /nfs/dbraw/zinc/46/99/39/1050469939.db2.gz OOUOUUNLJGNDTR-IBGZPJMESA-N 0 3 311.425 4.436 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCOc2ccc3ccccc3c2)C1 ZINC000761046115 1050483297 /nfs/dbraw/zinc/48/32/97/1050483297.db2.gz ITNAFSDPPXHYGJ-HNNXBMFYSA-N 0 3 309.331 4.103 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)nc1 ZINC000736629383 1050484452 /nfs/dbraw/zinc/48/44/52/1050484452.db2.gz PRFAOZIDLWYNBT-IUODEOHRSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cccnc1Cl ZINC000736630176 1050484759 /nfs/dbraw/zinc/48/47/59/1050484759.db2.gz XLFAZUZEMZKXGB-WFASDCNBSA-N 0 3 304.796 4.252 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(F)F)cc1)c1ccccn1 ZINC000736648381 1050485835 /nfs/dbraw/zinc/48/58/35/1050485835.db2.gz XVQNAQUWKWBUGQ-INIZCTEOSA-N 0 3 306.356 4.170 20 0 DIADHN Oc1cc(Nc2ccnc(C3CC3)c2)cc(OC(F)(F)F)c1 ZINC001211122583 1050486532 /nfs/dbraw/zinc/48/65/32/1050486532.db2.gz LSEMEHXOZIZXKM-UHFFFAOYSA-N 0 3 310.275 4.307 20 0 DIADHN CN(Cc1ccc2cc[nH]c2n1)Cc1cccc2ccccc21 ZINC001144176882 1050486830 /nfs/dbraw/zinc/48/68/30/1050486830.db2.gz FIPRQRZWVKOCLK-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc3cc[nH]c3n2)c1 ZINC001144179949 1050487371 /nfs/dbraw/zinc/48/73/71/1050487371.db2.gz ZTWXFNUMFRTPBV-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2n[nH]c3ccc(F)cc32)cc1 ZINC001144196517 1050489576 /nfs/dbraw/zinc/48/95/76/1050489576.db2.gz JYUVCKKCEDDAJM-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCCOc2ccc3ccccc3c2)C1 ZINC000761053409 1050491125 /nfs/dbraw/zinc/49/11/25/1050491125.db2.gz ZMNFJFDYIHOFBT-MRXNPFEDSA-N 0 3 323.358 4.493 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)NCc2ccccc2CN(C)C)cc1 ZINC001144213849 1050496264 /nfs/dbraw/zinc/49/62/64/1050496264.db2.gz IHWXBBBNAXJCLL-MRXNPFEDSA-N 0 3 324.468 4.192 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCOc2cccc3ccccc32)C1 ZINC000761059004 1050503186 /nfs/dbraw/zinc/50/31/86/1050503186.db2.gz VUIYAEOEQOCHTB-AWEZNQCLSA-N 0 3 309.331 4.103 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCC(=O)N(C(C)C)C(C)C)cc1 ZINC000594396193 1050506018 /nfs/dbraw/zinc/50/60/18/1050506018.db2.gz KWJCUOGFDITSEY-UHFFFAOYSA-N 0 3 318.505 4.280 20 0 DIADHN c1ccn(-c2ccc(CN3CCC[C@@H]3c3ccccn3)cc2)c1 ZINC001144246928 1050516126 /nfs/dbraw/zinc/51/61/26/1050516126.db2.gz LCTLYCOXCMIKLA-HXUWFJFHSA-N 0 3 303.409 4.209 20 0 DIADHN c1ccc2c(c1)cccc2Cc1noc([C@H]2CC[C@H](C3CC3)N2)n1 ZINC000844908624 1050520259 /nfs/dbraw/zinc/52/02/59/1050520259.db2.gz DEVYFDKRUPWEEP-QZTJIDSGSA-N 0 3 319.408 4.017 20 0 DIADHN CC(C)(C)[C@H]1CCCN(CN2C(=O)C[C@H]2c2ccccc2)CC1 ZINC000738239074 1050526095 /nfs/dbraw/zinc/52/60/95/1050526095.db2.gz IGHNXLJHHSEXQR-ROUUACIJSA-N 0 3 314.473 4.066 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1Cl)c1csc(Cl)c1 ZINC000786914565 1050526358 /nfs/dbraw/zinc/52/63/58/1050526358.db2.gz BIMJPCUEFKJDQV-TVQRCGJNSA-N 0 3 316.253 4.439 20 0 DIADHN Brc1ccc(CN2CC[C@@H](C3CCC3)C2)s1 ZINC001171156441 1050532214 /nfs/dbraw/zinc/53/22/14/1050532214.db2.gz LUASRYXVZRPFMF-LLVKDONJSA-N 0 3 300.265 4.133 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1cc(F)cc(Cl)c1)c1ccccn1 ZINC000787039971 1050545940 /nfs/dbraw/zinc/54/59/40/1050545940.db2.gz YEYIJXVCQSEOMK-NVXWUHKLSA-N 0 3 322.811 4.039 20 0 DIADHN C[C@H](NCc1cccc2[nH]ccc21)c1cc(F)c(F)c(F)c1 ZINC000594784339 1050552462 /nfs/dbraw/zinc/55/24/62/1050552462.db2.gz FGAORPWPEYDOFV-JTQLQIEISA-N 0 3 304.315 4.436 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C/C1CCCCCC1 ZINC000845187011 1050552516 /nfs/dbraw/zinc/55/25/16/1050552516.db2.gz QJLZWSHFHIXTAT-BUHFOSPRSA-N 0 3 300.446 4.213 20 0 DIADHN CCOc1ccc(CN(Cc2ccc(F)nc2C)C(C)C)cc1 ZINC000787099379 1050554812 /nfs/dbraw/zinc/55/48/12/1050554812.db2.gz HPSWVGGDGHIWAM-UHFFFAOYSA-N 0 3 316.420 4.338 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCCC3(CC3)CC2)co1 ZINC000626115279 1050555877 /nfs/dbraw/zinc/55/58/77/1050555877.db2.gz NIJPPOFJXMSILU-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN Cc1nc(F)ccc1CN(C)CCCOc1cccc(Cl)c1 ZINC000787125388 1050557703 /nfs/dbraw/zinc/55/77/03/1050557703.db2.gz QVLCWXLQDDUDCN-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN c1ncc(CS[C@H]2CCCN(Cc3ccccc3)C2)s1 ZINC000594914486 1050560717 /nfs/dbraw/zinc/56/07/17/1050560717.db2.gz UGHNUWIMFFFKHK-HNNXBMFYSA-N 0 3 304.484 4.041 20 0 DIADHN CN(C/C=C/c1ccc(F)c(F)c1)Cc1cc(C(C)(C)C)[nH]n1 ZINC000574844717 1050568391 /nfs/dbraw/zinc/56/83/91/1050568391.db2.gz UDBOURFNCRNCNS-AATRIKPKSA-N 0 3 319.399 4.131 20 0 DIADHN Clc1ccc2c(CN3CC[C@H]4CCCC[C@@H]4C3)c[nH]c2n1 ZINC001144418665 1050573817 /nfs/dbraw/zinc/57/38/17/1050573817.db2.gz LQVKNQYIYLIWNF-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN Cc1nc2ccc(Nc3ccc(O)cc3Br)cc2[nH]1 ZINC001213075122 1050576241 /nfs/dbraw/zinc/57/62/41/1050576241.db2.gz FAXIZAVAOQGATD-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN Cc1nc2ccc(Nc3ccc(OC4CCOCC4)cc3)cc2[nH]1 ZINC001213075090 1050576692 /nfs/dbraw/zinc/57/66/92/1050576692.db2.gz CQDDXSDYLXWSLU-UHFFFAOYSA-N 0 3 323.396 4.173 20 0 DIADHN Cc1nc2ccc(Nc3ccc(Oc4ncccn4)cc3)cc2[nH]1 ZINC001213074521 1050576774 /nfs/dbraw/zinc/57/67/74/1050576774.db2.gz YWPYHMVKEPSFBQ-UHFFFAOYSA-N 0 3 317.352 4.197 20 0 DIADHN Cc1nc2ccc(Nc3cccc(O)c3Br)cc2[nH]1 ZINC001213076041 1050580552 /nfs/dbraw/zinc/58/05/52/1050580552.db2.gz BXAAUKZOPYCQCQ-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN C[C@@H](N(C)Cc1ccc(Cl)nc1C(F)(F)F)C1(C)CC1 ZINC000787265194 1050583523 /nfs/dbraw/zinc/58/35/23/1050583523.db2.gz ZUBPTJMQBJMTDV-SECBINFHSA-N 0 3 306.759 4.374 20 0 DIADHN CC(C)c1cnn2cc(Nc3ccnc(C(C)(C)C)c3)cnc12 ZINC001213163896 1050605330 /nfs/dbraw/zinc/60/53/30/1050605330.db2.gz BTVFJEZDCHLGHS-UHFFFAOYSA-N 0 3 309.417 4.289 20 0 DIADHN COCCNc1cccc(F)c1Nc1ccnc(C(C)(C)C)c1 ZINC001213168343 1050605467 /nfs/dbraw/zinc/60/54/67/1050605467.db2.gz FJEKGQYKFAPABD-UHFFFAOYSA-N 0 3 317.408 4.320 20 0 DIADHN CN1Cc2c(Nc3ccnc(C(C)(C)C)c3)cccc2NC1=O ZINC001213170906 1050607100 /nfs/dbraw/zinc/60/71/00/1050607100.db2.gz SMUKVVRJGFVLJB-UHFFFAOYSA-N 0 3 310.401 4.100 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)C(C)(C)C(=O)NC3)ccn1 ZINC001213168625 1050607867 /nfs/dbraw/zinc/60/78/67/1050607867.db2.gz NMXZBSDKDCLDOP-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN CCOC(=O)Cc1ccccc1Nc1ccnc(C(C)(C)C)c1 ZINC001213168752 1050608053 /nfs/dbraw/zinc/60/80/53/1050608053.db2.gz SLSWUNYZKKBZQY-UHFFFAOYSA-N 0 3 312.413 4.228 20 0 DIADHN [O-]c1cc(F)c(C[N@@H+]2CC[C@@H](c3ccc(Cl)cc3)C2)c(F)c1 ZINC001144559533 1050619285 /nfs/dbraw/zinc/61/92/85/1050619285.db2.gz NLBNSEUTGNPDAB-GFCCVEGCSA-N 0 3 323.770 4.313 20 0 DIADHN [O-]c1cc(F)c(C[N@H+]2CC[C@@H](c3ccc(Cl)cc3)C2)c(F)c1 ZINC001144559533 1050619295 /nfs/dbraw/zinc/61/92/95/1050619295.db2.gz NLBNSEUTGNPDAB-GFCCVEGCSA-N 0 3 323.770 4.313 20 0 DIADHN CCC(CC)OC(=O)C1(C)CCN(Cc2ccccc2)CC1 ZINC000772709180 1050631252 /nfs/dbraw/zinc/63/12/52/1050631252.db2.gz GCNIQOYYDFGRRV-UHFFFAOYSA-N 0 3 303.446 4.021 20 0 DIADHN c1nc(CN2CCC[C@H](CCc3ccccc3)C2)c2ccccn12 ZINC001144627382 1050635053 /nfs/dbraw/zinc/63/50/53/1050635053.db2.gz MIIVXUDCPDTKTM-LJQANCHMSA-N 0 3 319.452 4.179 20 0 DIADHN FC(F)(F)CCN1CCC[C@@H]1COc1ccc(Cl)cc1 ZINC000740874938 1050637241 /nfs/dbraw/zinc/63/72/41/1050637241.db2.gz SFPJTUFGSXYSNT-GFCCVEGCSA-N 0 3 307.743 4.136 20 0 DIADHN CCCCCCN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000740876529 1050637391 /nfs/dbraw/zinc/63/73/91/1050637391.db2.gz YMDDSUGWOARVJF-GOSISDBHSA-N 0 3 303.425 4.161 20 0 DIADHN C[C@H](NC(=O)CN1[C@@H](C)CCC[C@@H]1C)c1ccc2ccccc2c1 ZINC000595571670 1050640455 /nfs/dbraw/zinc/64/04/55/1050640455.db2.gz OGKJGRCUASHHNX-ULQDDVLXSA-N 0 3 324.468 4.280 20 0 DIADHN C(c1noc2c1CCCC2)N1CCC[C@H]1CC1CCCCC1 ZINC000595557645 1050641605 /nfs/dbraw/zinc/64/16/05/1050641605.db2.gz DVQZOSVGUMCCQY-INIZCTEOSA-N 0 3 302.462 4.488 20 0 DIADHN OCC1([C@H](NCc2cc3ccccc3[nH]2)c2ccccc2)CCC1 ZINC000646230149 1050642545 /nfs/dbraw/zinc/64/25/45/1050642545.db2.gz LEGSZOMRPLXJDU-HXUWFJFHSA-N 0 3 320.436 4.161 20 0 DIADHN Clc1ccc(-c2nc(CNC[C@@H]3CC34CC4)cs2)cc1 ZINC000669572654 1050643200 /nfs/dbraw/zinc/64/32/00/1050643200.db2.gz KOGPIHBTPSVXEL-LBPRGKRZSA-N 0 3 304.846 4.353 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(Cl)cc2)C(C)C)c1 ZINC000575214068 1050647666 /nfs/dbraw/zinc/64/76/66/1050647666.db2.gz VTAPQKJIKDIDJU-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN Cc1ccc(C)c(OC2CCN(CCC(=O)C(C)(C)C)CC2)c1 ZINC000741157417 1050660907 /nfs/dbraw/zinc/66/09/07/1050660907.db2.gz PTADEGGXRDORLV-UHFFFAOYSA-N 0 3 317.473 4.152 20 0 DIADHN Clc1cnc2oc(CN3CCSC[C@H]3C3CCC3)cc2c1 ZINC000669576929 1050663017 /nfs/dbraw/zinc/66/30/17/1050663017.db2.gz WVCPQRSAILDPKG-HNNXBMFYSA-N 0 3 322.861 4.199 20 0 DIADHN ClC1(Cl)C[C@@H]1CCCNCc1csc(C2CC2)n1 ZINC000846104012 1050670583 /nfs/dbraw/zinc/67/05/83/1050670583.db2.gz BQIROYTVDYFBJD-JTQLQIEISA-N 0 3 305.274 4.084 20 0 DIADHN Cc1ncc(C2CC2)cc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001213501272 1050674029 /nfs/dbraw/zinc/67/40/29/1050674029.db2.gz QDZMQXMSGMIQQX-IBGZPJMESA-N 0 3 323.440 4.396 20 0 DIADHN Cc1cc(N2CCOCC2)ccc1Nc1ccnc(C2CCC2)c1 ZINC001213559969 1050684126 /nfs/dbraw/zinc/68/41/26/1050684126.db2.gz CKRMFRYSCRAWSO-UHFFFAOYSA-N 0 3 323.440 4.238 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc(Br)nc2)c1 ZINC000846207999 1050686501 /nfs/dbraw/zinc/68/65/01/1050686501.db2.gz DTTPRKNMNZZHID-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nc(Br)ccc1F ZINC000788565921 1050705492 /nfs/dbraw/zinc/70/54/92/1050705492.db2.gz WSSFWSVMCBIXCG-LPEHRKFASA-N 0 3 303.219 4.068 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(Cl)cc3N)cccc21 ZINC001213658383 1050711202 /nfs/dbraw/zinc/71/12/02/1050711202.db2.gz NNKWFLKLBMIDCZ-MRXNPFEDSA-N 0 3 317.820 4.051 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccccc1Cl ZINC000788604221 1050713588 /nfs/dbraw/zinc/71/35/88/1050713588.db2.gz GYTVLBINNNGWJX-SJKOYZFVSA-N 0 3 304.821 4.489 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@H]2COCc3cccc(Cl)c32)o1 ZINC000788612554 1050715241 /nfs/dbraw/zinc/71/52/41/1050715241.db2.gz MWYDDYBHSSIBGP-PXAZEXFGSA-N 0 3 319.832 4.424 20 0 DIADHN C[C@H](Cc1cccs1)N[C@@H]1COCc2cccc(Cl)c21 ZINC000788650103 1050716216 /nfs/dbraw/zinc/71/62/16/1050716216.db2.gz WFVNNLVCLMPDQP-IAQYHMDHSA-N 0 3 307.846 4.194 20 0 DIADHN CC(C)CCCN[C@@H](C)c1nc(Br)ccc1F ZINC000788644851 1050716756 /nfs/dbraw/zinc/71/67/56/1050716756.db2.gz UHVRFDAHOSPCLX-JTQLQIEISA-N 0 3 303.219 4.070 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788688529 1050725175 /nfs/dbraw/zinc/72/51/75/1050725175.db2.gz SZRDKZNLCFTMRP-KBMXLJTQSA-N 0 3 323.864 4.095 20 0 DIADHN CC[C@@H](N[C@@H]1Cc2ccccc2[C@@H]1OC)c1ccc(F)cc1F ZINC001171232836 1050728171 /nfs/dbraw/zinc/72/81/71/1050728171.db2.gz YGUTZTHLUFWXKY-QRVBRYPASA-N 0 3 317.379 4.318 20 0 DIADHN COCc1cccc(CN(C)Cc2ccc(OC)c(Cl)c2)c1 ZINC001171240658 1050737299 /nfs/dbraw/zinc/73/72/99/1050737299.db2.gz QVRHIKNOSCJJGS-UHFFFAOYSA-N 0 3 319.832 4.127 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@@H](O)c1ccccc1C ZINC000741772900 1050738118 /nfs/dbraw/zinc/73/81/18/1050738118.db2.gz FCNUNMWLAGGKRB-OAHLLOKOSA-N 0 3 309.862 4.265 20 0 DIADHN Cc1ccccc1[C@H](O)CNC(c1ccccc1)c1ccccc1 ZINC000741773341 1050740334 /nfs/dbraw/zinc/74/03/34/1050740334.db2.gz UNABHMYVZBMZDB-OAQYLSRUSA-N 0 3 317.432 4.408 20 0 DIADHN C[C@H](CN[C@@H]1COCc2cccc(Cl)c21)c1ccccc1 ZINC000788743616 1050744776 /nfs/dbraw/zinc/74/47/76/1050744776.db2.gz ZQYURRNFQBZJOW-CXAGYDPISA-N 0 3 301.817 4.305 20 0 DIADHN CCO[C@H](CN[C@@H]1CCc2ccc(Cl)nc21)c1ccccc1 ZINC000788777651 1050752699 /nfs/dbraw/zinc/75/26/99/1050752699.db2.gz UUBFXLUEFHKAKJ-HZPDHXFCSA-N 0 3 316.832 4.090 20 0 DIADHN Clc1cccc2c1[C@H](NC1CC(c3ccccc3)C1)COC2 ZINC000788816203 1050755972 /nfs/dbraw/zinc/75/59/72/1050755972.db2.gz REMDXLGRBQOCOE-LEOMRAHMSA-N 0 3 313.828 4.447 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c(F)c(F)cc(Br)c2F)C1 ZINC001170091491 1050761991 /nfs/dbraw/zinc/76/19/91/1050761991.db2.gz GUIHDLAOQPPDHI-JGVFFNPUSA-N 0 3 322.168 4.145 20 0 DIADHN C[C@H](NCC1(Br)CC1)c1ccccc1C(F)(F)F ZINC000846844313 1050769065 /nfs/dbraw/zinc/76/90/65/1050769065.db2.gz ALSISVGXFSFVOA-VIFPVBQESA-N 0 3 322.168 4.284 20 0 DIADHN CC[C@@H](NCc1ccc2nonc2c1)c1cccc(Cl)c1 ZINC000846843370 1050769837 /nfs/dbraw/zinc/76/98/37/1050769837.db2.gz QJASVJLKINXMEP-CQSZACIVSA-N 0 3 301.777 4.117 20 0 DIADHN Clc1ccc([C@@H](NCc2ccc3nonc3c2)C2CC2)cc1 ZINC000846841105 1050770057 /nfs/dbraw/zinc/77/00/57/1050770057.db2.gz OEUCKINWKWQHAE-KRWDZBQOSA-N 0 3 313.788 4.117 20 0 DIADHN CCCCCC[C@@H](C)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000741885525 1050770191 /nfs/dbraw/zinc/77/01/91/1050770191.db2.gz NPVZTKXDQHYWQY-AEFFLSMTSA-N 0 3 304.478 4.012 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCC1(Br)CC1 ZINC000846842304 1050770264 /nfs/dbraw/zinc/77/02/64/1050770264.db2.gz HCAFGKDQWABDQY-GFCCVEGCSA-N 0 3 312.251 4.052 20 0 DIADHN CC[C@H](NCc1ccc2nonc2c1)c1ccc(Cl)s1 ZINC000846852459 1050771808 /nfs/dbraw/zinc/77/18/08/1050771808.db2.gz IUOKRYPYCZGIJC-JTQLQIEISA-N 0 3 307.806 4.179 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H](C)CSC(C)(C)C ZINC000788980403 1050777858 /nfs/dbraw/zinc/77/78/58/1050777858.db2.gz MZTWOYIRGLXDDU-LBPRGKRZSA-N 0 3 307.459 4.451 20 0 DIADHN C[C@H]1CCC[C@H](C)C1NCc1c(F)c(F)c(F)c(F)c1F ZINC001170096001 1050782354 /nfs/dbraw/zinc/78/23/54/1050782354.db2.gz SWGZQNABYHEDAA-YUMQZZPRSA-N 0 3 307.306 4.296 20 0 DIADHN CC(=O)C1CCN(Cc2ccc([C@H](C)C(F)(F)F)cc2)CC1 ZINC000846943718 1050786141 /nfs/dbraw/zinc/78/61/41/1050786141.db2.gz LSQPOQPJPZNTNP-LBPRGKRZSA-N 0 3 313.363 4.153 20 0 DIADHN CN1CCc2ccc(Nc3c(Cl)ccc(F)c3F)cc2C1 ZINC001213952209 1050789593 /nfs/dbraw/zinc/78/95/93/1050789593.db2.gz KLEGTXGOZBHKFU-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN Cc1ccc(CN2CCC(c3ccnc4ccccc43)CC2)cn1 ZINC000846951516 1050789582 /nfs/dbraw/zinc/78/95/82/1050789582.db2.gz VENOSZBYXIRQJM-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN c1csc(-c2ccn(CN3CCC4(C3)CCCCC4)n2)c1 ZINC000742168175 1050805364 /nfs/dbraw/zinc/80/53/64/1050805364.db2.gz YNYGDXNAYKZJQW-UHFFFAOYSA-N 0 3 301.459 4.225 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cn1cccnc1=S ZINC000742177450 1050808726 /nfs/dbraw/zinc/80/87/26/1050808726.db2.gz HMUYYGXHDHUUBW-QGZVFWFLSA-N 0 3 315.486 4.222 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H]1CCCc2cc(OC(F)F)ccc21 ZINC000180331687 1050810432 /nfs/dbraw/zinc/81/04/32/1050810432.db2.gz IVVRLLFPCUIGTP-MGPLVRAMSA-N 0 3 321.371 4.048 20 0 DIADHN FC(F)SCc1ccc(CN[C@@H]2C[C@@H]2Cc2ccccc2)o1 ZINC000742374821 1050834759 /nfs/dbraw/zinc/83/47/59/1050834759.db2.gz HHVUPZVQGNTPPN-XJKSGUPXSA-N 0 3 323.408 4.456 20 0 DIADHN Cc1ccc(N2CCC[C@@H](NCc3cccc(F)c3F)C2)cc1 ZINC000742380289 1050839308 /nfs/dbraw/zinc/83/93/08/1050839308.db2.gz NVZWHERCZYSALX-MRXNPFEDSA-N 0 3 316.395 4.032 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccc(OC)cc2)o1)[C@H]1CC1(C)C ZINC001171286256 1050843936 /nfs/dbraw/zinc/84/39/36/1050843936.db2.gz HDJOSVDPIFQHCD-HZPDHXFCSA-N 0 3 314.429 4.265 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c(F)cc(Br)cc2F)C1 ZINC001170112774 1050855579 /nfs/dbraw/zinc/85/55/79/1050855579.db2.gz LKKJYYGZXZXKET-SCZZXKLOSA-N 0 3 304.178 4.006 20 0 DIADHN C[C@@H](N[C@@H](CN1CCCCC1)c1ccccc1)c1cccc(O)c1 ZINC000669614495 1050858476 /nfs/dbraw/zinc/85/84/76/1050858476.db2.gz KAAGCXYZXABBAE-UTKZUKDTSA-N 0 3 324.468 4.270 20 0 DIADHN CC(C)N(C(=O)C[C@@H](N)c1ccc(Cl)cc1Cl)C(C)C ZINC001157459104 1050859304 /nfs/dbraw/zinc/85/93/04/1050859304.db2.gz XTTJPPDPUSCRIT-CQSZACIVSA-N 0 3 317.260 4.029 20 0 DIADHN COCOc1cccc(Cl)c1Nc1ccnc(C2CC2)c1 ZINC001214307172 1050865098 /nfs/dbraw/zinc/86/50/98/1050865098.db2.gz UTOPWSRNQHDDOH-UHFFFAOYSA-N 0 3 304.777 4.339 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCOc1ccccc1C(C)C ZINC000772979221 1050865863 /nfs/dbraw/zinc/86/58/63/1050865863.db2.gz HTQICLLBMAFXOK-AWEZNQCLSA-N 0 3 316.445 4.398 20 0 DIADHN C[C@@]1(Cc2ccc(F)cc2)CCCN1Cc1cccc(Cl)n1 ZINC001171307124 1050883144 /nfs/dbraw/zinc/88/31/44/1050883144.db2.gz LHFMXOAQSGMZHO-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000742661592 1050911407 /nfs/dbraw/zinc/91/14/07/1050911407.db2.gz AVCJEJIYHRSHFH-IEBWSBKVSA-N 0 3 308.510 4.068 20 0 DIADHN CSc1cc(Nc2cnc(C)n2C)cc(C(F)(F)F)c1 ZINC001214501344 1050926217 /nfs/dbraw/zinc/92/62/17/1050926217.db2.gz YDTQIVNWFYMYBG-UHFFFAOYSA-N 0 3 301.337 4.213 20 0 DIADHN CCC[C@@H](NCC(=O)N(C(C)C)C1CCCC1)c1ccccc1 ZINC001168773192 1050956861 /nfs/dbraw/zinc/95/68/61/1050956861.db2.gz BMELUBFKKWEAOM-LJQANCHMSA-N 0 3 316.489 4.297 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCCCc1ccccc1 ZINC001149004763 1050984031 /nfs/dbraw/zinc/98/40/31/1050984031.db2.gz PXKQBMWCGCQSNL-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN COC(=O)c1c(F)cc(Nc2ccnc(C3CCC3)c2)cc1F ZINC001214719824 1050986714 /nfs/dbraw/zinc/98/67/14/1050986714.db2.gz BZUXIEWEAGVVRS-UHFFFAOYSA-N 0 3 318.323 4.158 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccco2)c(-c2cnn(C)c2)c1 ZINC000282716786 1050989788 /nfs/dbraw/zinc/98/97/88/1050989788.db2.gz BWSMHQWGJUUUIX-OAHLLOKOSA-N 0 3 309.413 4.182 20 0 DIADHN Cc1cc(CN[C@@H]2CCCOc3cc(F)ccc32)cc(C)c1O ZINC000348141961 1050997185 /nfs/dbraw/zinc/99/71/85/1050997185.db2.gz MWGDOVIMQFLKME-QGZVFWFLSA-N 0 3 315.388 4.152 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@@H](O)c1ccc(C)cc1 ZINC000743258500 1051001855 /nfs/dbraw/zinc/00/18/55/1051001855.db2.gz SRUMJNHGBXALCV-OAHLLOKOSA-N 0 3 309.862 4.265 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@H](O)c1ccc(C)cc1 ZINC000743258499 1051002950 /nfs/dbraw/zinc/00/29/50/1051002950.db2.gz SRUMJNHGBXALCV-HNNXBMFYSA-N 0 3 309.862 4.265 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccsc1)c1cccc(Cl)c1 ZINC000743260760 1051007686 /nfs/dbraw/zinc/00/76/86/1051007686.db2.gz IJTGXKMNXQNSID-CVEARBPZSA-N 0 3 307.846 4.272 20 0 DIADHN Cc1cc(C)cc([C@H](O)CNCc2ccc(-c3ccccc3)o2)c1 ZINC000743262198 1051010217 /nfs/dbraw/zinc/01/02/17/1051010217.db2.gz NBAGMLYZBOYYFH-HXUWFJFHSA-N 0 3 321.420 4.387 20 0 DIADHN CCC(CC)N(CN1C(=O)C[C@@H]1c1ccc(Cl)cc1)C1CC1 ZINC000743351533 1051014910 /nfs/dbraw/zinc/01/49/10/1051014910.db2.gz PVHUVAPMXNSPTI-QGZVFWFLSA-N 0 3 320.864 4.224 20 0 DIADHN CCC[C@H]1CC(=O)N(CN[C@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000743352293 1051015195 /nfs/dbraw/zinc/01/51/95/1051015195.db2.gz WPSXIILWIJDBRY-KBXCAEBGSA-N 0 3 320.452 4.109 20 0 DIADHN CC(C)C[C@@H]1CCCN1CN1C(=O)C[C@@H]1c1ccc(Cl)cc1 ZINC000743360792 1051017356 /nfs/dbraw/zinc/01/73/56/1051017356.db2.gz ZRXRNZPIADOWBV-DLBZAZTESA-N 0 3 320.864 4.081 20 0 DIADHN c1cc(-c2ccncc2)n(CN2CCCC3(C2)CCCCCC3)n1 ZINC000743386152 1051019743 /nfs/dbraw/zinc/01/97/43/1051019743.db2.gz UADCMXNVEBZKJM-UHFFFAOYSA-N 0 3 324.472 4.339 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cn2ccc(-c3ccsc3)n2)C1 ZINC000743400014 1051022700 /nfs/dbraw/zinc/02/27/00/1051022700.db2.gz PQJDEOBSSYRMFQ-LSDHHAIUSA-N 0 3 321.515 4.175 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](OC)c1cccc(Cl)c1 ZINC000773036929 1051031760 /nfs/dbraw/zinc/03/17/60/1051031760.db2.gz YWIUGDGHEIAEDH-MEDUHNTESA-N 0 3 322.836 4.237 20 0 DIADHN CC[C@H](C)NCc1c(C)nn(-c2cccc(Cl)c2)c1Cl ZINC000743503758 1051036069 /nfs/dbraw/zinc/03/60/69/1051036069.db2.gz SOIRYAXMEOTKDM-JTQLQIEISA-N 0 3 312.244 4.376 20 0 DIADHN CCCCNCc1c(C)nn(-c2cccc(Cl)c2)c1Cl ZINC000743503622 1051037570 /nfs/dbraw/zinc/03/75/70/1051037570.db2.gz BLFZDJOKIIUENP-UHFFFAOYSA-N 0 3 312.244 4.377 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1cnn(Cc2ccccc2)c1 ZINC000773066259 1051045760 /nfs/dbraw/zinc/04/57/60/1051045760.db2.gz DKZYHKWCELOQOL-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1c(C)cccc1C)CCC2 ZINC000773067604 1051045941 /nfs/dbraw/zinc/04/59/41/1051045941.db2.gz JFFBZWXTZLAXEL-OAHLLOKOSA-N 0 3 300.471 4.490 20 0 DIADHN CC[C@@H](NC[C@H](O)c1cccc(C)c1)c1cc(F)ccc1F ZINC000773065729 1051048075 /nfs/dbraw/zinc/04/80/75/1051048075.db2.gz QOIUZCMAVYPAPD-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN(C[C@@H](O)c2ccccc2)C2CC2)o1 ZINC000791441563 1051050068 /nfs/dbraw/zinc/05/00/68/1051050068.db2.gz DDNRISAHKHWCFH-GDIGMMSISA-N 0 3 311.425 4.101 20 0 DIADHN C[C@@H](NCc1cccc(F)n1)[C@H](C)c1nc2ccccc2s1 ZINC000773090644 1051061566 /nfs/dbraw/zinc/06/15/66/1051061566.db2.gz VKAHAEIZUBPVQD-NWDGAFQWSA-N 0 3 315.417 4.112 20 0 DIADHN COc1ccc(Br)cc1CN(C)CCCC1CC1 ZINC000400689475 1051062166 /nfs/dbraw/zinc/06/21/66/1051062166.db2.gz GNGWSXVIGHDVMZ-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccc[nH]2)ccc1OC(F)F ZINC000773115559 1051064727 /nfs/dbraw/zinc/06/47/27/1051064727.db2.gz GFNSSDBGTJVLPB-QWRGUYRKSA-N 0 3 310.344 4.037 20 0 DIADHN Fc1cccc(-c2nnc(CN(C3CC3)C3CCCCC3)o2)c1 ZINC000743841581 1051066751 /nfs/dbraw/zinc/06/67/51/1051066751.db2.gz XMXPVXGVDYHCNK-UHFFFAOYSA-N 0 3 315.392 4.173 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3ccc(Cl)nc3)C2)c(C)c1 ZINC000791606597 1051067969 /nfs/dbraw/zinc/06/79/69/1051067969.db2.gz VMDIGFZLXGVHFQ-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](O)c1ccc(C)cc1 ZINC000743843501 1051068439 /nfs/dbraw/zinc/06/84/39/1051068439.db2.gz ZTHRHKOVWWTTGJ-LJQANCHMSA-N 0 3 301.405 4.080 20 0 DIADHN Clc1cccc(CN2CCC[C@@H]2CCc2ccccc2)n1 ZINC000743841692 1051068481 /nfs/dbraw/zinc/06/84/81/1051068481.db2.gz SIFXHEGTUNUCPQ-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(OC(F)(F)F)cc21)c1ccc[nH]1 ZINC000773125264 1051068912 /nfs/dbraw/zinc/06/89/12/1051068912.db2.gz YWJNKYIFTMPNLV-ZUZCIYMTSA-N 0 3 310.319 4.251 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)c(F)c1)c1ccc[nH]1 ZINC000773121579 1051069126 /nfs/dbraw/zinc/06/91/26/1051069126.db2.gz RYPLWWPDAUBJDM-VHSXEESVSA-N 0 3 311.198 4.328 20 0 DIADHN O[C@H](CN1CC[C@@H](c2cccc(F)c2)C1)c1cccc(Cl)c1 ZINC000743847063 1051071042 /nfs/dbraw/zinc/07/10/42/1051071042.db2.gz VSNHJAARSBCTIE-CRAIPNDOSA-N 0 3 319.807 4.002 20 0 DIADHN O[C@H](CN1CC[C@@H](c2ccccc2F)C1)c1cccc(Cl)c1 ZINC000743847804 1051072794 /nfs/dbraw/zinc/07/27/94/1051072794.db2.gz QUFWMUUSXGYAKL-RDTXWAMCSA-N 0 3 319.807 4.002 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1cccc(Cl)n1 ZINC000743850030 1051073851 /nfs/dbraw/zinc/07/38/51/1051073851.db2.gz MQZZOLIEUSUCQL-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)C[C@@H]2CC2(Cl)Cl)cc1 ZINC000743855586 1051076305 /nfs/dbraw/zinc/07/63/05/1051076305.db2.gz SEESIVYZKVFSFW-AWEZNQCLSA-N 0 3 300.273 4.398 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(C[C@@H](O)c2ccccc2F)C1 ZINC000743854780 1051078172 /nfs/dbraw/zinc/07/81/72/1051078172.db2.gz MGQWABWTABHORL-UPRAQXHNSA-N 0 3 317.379 4.081 20 0 DIADHN COc1ccc(CN2CC[C@H](C)C2)cc1SC(F)(F)F ZINC000743843860 1051082586 /nfs/dbraw/zinc/08/25/86/1051082586.db2.gz BVXOKHRUVNIEIA-JTQLQIEISA-N 0 3 305.365 4.149 20 0 DIADHN Clc1cc(CN2CCC3(CCCC3)C2)cc2c1OCCCO2 ZINC000743864456 1051082887 /nfs/dbraw/zinc/08/28/87/1051082887.db2.gz KUZHLXOGYRAVRL-UHFFFAOYSA-N 0 3 321.848 4.267 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ncc(Br)s1 ZINC000713719188 1051085285 /nfs/dbraw/zinc/08/52/85/1051085285.db2.gz QJQBSYHJWFRYKQ-QWRGUYRKSA-N 0 3 317.296 4.210 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2C[C@H](O)c2ccccc2F)o1 ZINC000743872575 1051086011 /nfs/dbraw/zinc/08/60/11/1051086011.db2.gz ZADASZYKBZSTNL-BVGQSLNGSA-N 0 3 317.404 4.234 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC000743869958 1051086406 /nfs/dbraw/zinc/08/64/06/1051086406.db2.gz YLSPYPIODODZDA-NWDGAFQWSA-N 0 3 319.301 4.494 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2C[C@H](O)c2cccc(F)c2)o1 ZINC000743871300 1051086731 /nfs/dbraw/zinc/08/67/31/1051086731.db2.gz ASVXIDDLAMMKNW-KKXDTOCCSA-N 0 3 317.404 4.234 20 0 DIADHN CCc1nc(CNCCc2ccc(OCC(C)C)cc2)cs1 ZINC001649155924 1051095338 /nfs/dbraw/zinc/09/53/38/1051095338.db2.gz VFJVCYDOQZZAMC-UHFFFAOYSA-N 0 3 318.486 4.073 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ncc(Br)s1 ZINC000714945527 1051097867 /nfs/dbraw/zinc/09/78/67/1051097867.db2.gz JNAFIGCQXPHSPS-ZJUUUORDSA-N 0 3 317.296 4.210 20 0 DIADHN Cc1cnc(CCNCc2cc(Cl)sc2Cl)c(C)c1 ZINC000401177661 1051114988 /nfs/dbraw/zinc/11/49/88/1051114988.db2.gz RQLGXBGEUCONIN-UHFFFAOYSA-N 0 3 315.269 4.399 20 0 DIADHN Cc1[nH]nc(CNCc2ccccc2Oc2ccccc2C)c1C ZINC001649161028 1051130600 /nfs/dbraw/zinc/13/06/00/1051130600.db2.gz IVCNDWLEAOIMRC-UHFFFAOYSA-N 0 3 321.424 4.417 20 0 DIADHN FC[C@@H](N[C@H]1CCc2cc(F)c(F)cc2C1)c1ccccc1 ZINC001171459029 1051140253 /nfs/dbraw/zinc/14/02/53/1051140253.db2.gz NODOTQBFWJVHFC-MAUKXSAKSA-N 0 3 305.343 4.123 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)c(F)cc2C1)c1c(F)cccc1F ZINC001171463207 1051142268 /nfs/dbraw/zinc/14/22/68/1051142268.db2.gz OEGDYTUPCKBSNR-GWCFXTLKSA-N 0 3 323.333 4.451 20 0 DIADHN Fc1cc2c(cc1F)C[C@H](N1CCC[C@H](C(F)(F)F)C1)CC2 ZINC001171486064 1051143250 /nfs/dbraw/zinc/14/32/50/1051143250.db2.gz AFOHJIKZDGHRFB-QWHCGFSZSA-N 0 3 319.317 4.096 20 0 DIADHN CC[C@H]1C[C@H](n2c3ccccc3nc2[C@H](N)CC(C)C)CCO1 ZINC001170181860 1051146087 /nfs/dbraw/zinc/14/60/87/1051146087.db2.gz JYFROZPDKZBVDC-OWCLPIDISA-N 0 3 315.461 4.212 20 0 DIADHN Fc1cc2c(cc1F)C[C@H](N1CCC(C(F)(F)F)CC1)CC2 ZINC001171475392 1051150059 /nfs/dbraw/zinc/15/00/59/1051150059.db2.gz BZRQBNXUMDEHAG-CYBMUJFWSA-N 0 3 319.317 4.096 20 0 DIADHN CCN(CC)Cc1cccc(NCCOC2CCCCC2)c1F ZINC001171479815 1051151680 /nfs/dbraw/zinc/15/16/80/1051151680.db2.gz QIBBHMFOHNQYJS-UHFFFAOYSA-N 0 3 322.468 4.429 20 0 DIADHN Fc1ccc(NCCOC2CCCCC2)cc1CN1CCCC1 ZINC001171480085 1051151880 /nfs/dbraw/zinc/15/18/80/1051151880.db2.gz VUPMELPZPHKGKH-UHFFFAOYSA-N 0 3 320.452 4.183 20 0 DIADHN CN(Cc1ccccc1F)[C@H]1CCc2cc(F)c(F)c(F)c2C1 ZINC001171541714 1051153238 /nfs/dbraw/zinc/15/32/38/1051153238.db2.gz LBAVLLRMKGRASV-ZDUSSCGKSA-N 0 3 323.333 4.232 20 0 DIADHN CC[C@@H]1C[C@@H](N(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC001170225922 1051162464 /nfs/dbraw/zinc/16/24/64/1051162464.db2.gz DNOWKUBIOBGYAJ-QWHCGFSZSA-N 0 3 302.245 4.383 20 0 DIADHN Cc1cccc2c1CC[C@H](n1nc(-c3ccccc3)cc1CN)C2 ZINC001171551773 1051163559 /nfs/dbraw/zinc/16/35/59/1051163559.db2.gz RQQQQUBIQSQNKW-SFHVURJKSA-N 0 3 317.436 4.047 20 0 DIADHN Cc1ccc(C(=O)CN[C@H](C)Cc2ccccc2Cl)cc1 ZINC001170257060 1051164423 /nfs/dbraw/zinc/16/44/23/1051164423.db2.gz RSFUUEJTJJJNGM-CQSZACIVSA-N 0 3 301.817 4.052 20 0 DIADHN CC(C)(NCc1cc2c(c(Cl)c1)OCO2)c1ccc(F)cc1 ZINC000744399109 1051170692 /nfs/dbraw/zinc/17/06/92/1051170692.db2.gz WUCWLSFZVSCXEX-UHFFFAOYSA-N 0 3 321.779 4.233 20 0 DIADHN OCC[C@@H]1CCCCCN1CCc1c(Cl)cccc1Cl ZINC001170253716 1051173181 /nfs/dbraw/zinc/17/31/81/1051173181.db2.gz NISZEADVTKSQFE-ZDUSSCGKSA-N 0 3 316.272 4.163 20 0 DIADHN Cc1ccc(CC[C@H](C)NCC(=O)c2cc(F)cc(F)c2)cc1 ZINC001171664851 1051187079 /nfs/dbraw/zinc/18/70/79/1051187079.db2.gz GLVROGPOWXJKFL-AWEZNQCLSA-N 0 3 317.379 4.067 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@H]2CCc3ccccc3C2=O)cc1 ZINC001171664805 1051187526 /nfs/dbraw/zinc/18/75/26/1051187526.db2.gz FMMGOCBFGHBOIR-UZLBHIALSA-N 0 3 307.437 4.103 20 0 DIADHN Cc1ccc(CC[C@H](C)N2CC3(C2)CC(F)(F)CS3)cc1 ZINC001171680985 1051191649 /nfs/dbraw/zinc/19/16/49/1051191649.db2.gz URQZHWANUCEKJG-AWEZNQCLSA-N 0 3 311.441 4.143 20 0 DIADHN Fc1c(Cl)cccc1CN1CCCc2ccccc2CC1 ZINC001170341951 1051193166 /nfs/dbraw/zinc/19/31/66/1051193166.db2.gz GIXWNUDOWXVXDB-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN Cc1ccc(CC[C@@H](C)N2CCc3cnc(C4CC4)nc3C2)cc1 ZINC001171681830 1051194227 /nfs/dbraw/zinc/19/42/27/1051194227.db2.gz NBCAPTZWFASGHI-MRXNPFEDSA-N 0 3 321.468 4.042 20 0 DIADHN Cc1ccc(CC[C@H](C)N2CCc3cnc(C4CC4)nc3C2)cc1 ZINC001171681829 1051194755 /nfs/dbraw/zinc/19/47/55/1051194755.db2.gz NBCAPTZWFASGHI-INIZCTEOSA-N 0 3 321.468 4.042 20 0 DIADHN C[C@@H](NCCOc1cc(Cl)cc(Cl)c1)c1ccccn1 ZINC000181788938 1051207160 /nfs/dbraw/zinc/20/71/60/1051207160.db2.gz BCDQFLADVGKDQA-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@@H](C3CCCCC3)C2)c1C ZINC001171799840 1051222819 /nfs/dbraw/zinc/22/28/19/1051222819.db2.gz SUHMRTFYLLQYCS-GOSISDBHSA-N 0 3 314.473 4.144 20 0 DIADHN Fc1ccc([C@@H]2CCCN2[C@H]2Cc3cccc(F)c3C2)c(F)c1 ZINC001171808796 1051226240 /nfs/dbraw/zinc/22/62/40/1051226240.db2.gz DRJKETKLIJDUIQ-LIRRHRJNSA-N 0 3 317.354 4.408 20 0 DIADHN COC(=O)c1ccc(CN2CCC3(CCCCC3)CC2)c(F)c1 ZINC001171835021 1051231092 /nfs/dbraw/zinc/23/10/92/1051231092.db2.gz SAVZKAWBTFSHPO-UHFFFAOYSA-N 0 3 319.420 4.159 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC=C(c2cnn(C)c2)C1 ZINC001171867645 1051233345 /nfs/dbraw/zinc/23/33/45/1051233345.db2.gz JTYJOQYVOBOTTB-SFHVURJKSA-N 0 3 315.848 4.314 20 0 DIADHN Cc1cc(Br)ccc1CN1CCC[C@H](CCF)C1 ZINC001171954299 1051240552 /nfs/dbraw/zinc/24/05/52/1051240552.db2.gz HLJHWDSTHPYWJC-CYBMUJFWSA-N 0 3 314.242 4.329 20 0 DIADHN CN1CCc2ccc(Nc3ccc(C(F)(F)F)cc3O)cc2C1 ZINC001212633819 1051259891 /nfs/dbraw/zinc/25/98/91/1051259891.db2.gz GCVLGRXCEAGFCF-UHFFFAOYSA-N 0 3 322.330 4.143 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2ccncc2C1 ZINC001171972897 1051261850 /nfs/dbraw/zinc/26/18/50/1051261850.db2.gz DRAXGUMTFFVTOT-ZDUSSCGKSA-N 0 3 320.358 4.090 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)NCc1cccnc1F ZINC000793288599 1051275342 /nfs/dbraw/zinc/27/53/42/1051275342.db2.gz HWOZFDLKLLRWGD-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cccc2nccn21 ZINC001215676610 1051292757 /nfs/dbraw/zinc/29/27/57/1051292757.db2.gz ZPZOQHWKKXQSKX-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccc2nccn2c1 ZINC001215676263 1051293150 /nfs/dbraw/zinc/29/31/50/1051293150.db2.gz SKQHNMPOGDDRHH-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Oc1cccc(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215677433 1051295518 /nfs/dbraw/zinc/29/55/18/1051295518.db2.gz PIIBODQFIRIXKS-UHFFFAOYSA-N 0 3 300.377 4.261 20 0 DIADHN OCc1ccc(C(F)(F)F)cc1Nc1ccnc(C2CC2)c1 ZINC001215684181 1051299799 /nfs/dbraw/zinc/29/97/99/1051299799.db2.gz XSDJJIYNDWSNLK-UHFFFAOYSA-N 0 3 308.303 4.214 20 0 DIADHN Cc1cc(CN[C@@H](CCCO)c2ccccc2)ccc1Cl ZINC000305888241 1051305393 /nfs/dbraw/zinc/30/53/93/1051305393.db2.gz ZLHGEJDLPADRON-SFHVURJKSA-N 0 3 303.833 4.252 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)n(C)n1 ZINC000793525178 1051306662 /nfs/dbraw/zinc/30/66/62/1051306662.db2.gz JGJNLFCRZHLPGE-SCLBCKFNSA-N 0 3 301.409 4.060 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)n(C)n1 ZINC000793525176 1051307128 /nfs/dbraw/zinc/30/71/28/1051307128.db2.gz JGJNLFCRZHLPGE-FZKQIMNGSA-N 0 3 301.409 4.060 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](Cc2ccccc2)c2ccccc2)n(C)n1 ZINC000793520659 1051307655 /nfs/dbraw/zinc/30/76/55/1051307655.db2.gz VBDFWSCMDPEGNU-YLJYHZDGSA-N 0 3 319.452 4.363 20 0 DIADHN c1ccc2sc(CN[C@H]3CSc4ccccc4C3)nc2c1 ZINC001172186728 1051308144 /nfs/dbraw/zinc/30/81/44/1051308144.db2.gz BUQMECMLLLJMLX-CYBMUJFWSA-N 0 3 312.463 4.103 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1CSc2ccccc2C1 ZINC001172186036 1051308235 /nfs/dbraw/zinc/30/82/35/1051308235.db2.gz WNWBRKQSIYSOCC-HNNXBMFYSA-N 0 3 319.857 4.155 20 0 DIADHN Cc1ccc(CN[C@@H]2CSc3ccccc3C2)c(F)c1F ZINC001172187208 1051308804 /nfs/dbraw/zinc/30/88/04/1051308804.db2.gz KDEKIZHJESYUER-AWEZNQCLSA-N 0 3 305.393 4.080 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1cc(C)nn1C)c1ccc(OC)cc1 ZINC000793529270 1051309598 /nfs/dbraw/zinc/30/95/98/1051309598.db2.gz WMPDQZGNDYHOHC-CRAIPNDOSA-N 0 3 315.461 4.319 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000746049444 1051310940 /nfs/dbraw/zinc/31/09/40/1051310940.db2.gz PFBZQQLVATXGLW-PXAZEXFGSA-N 0 3 313.470 4.235 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2N[C@H]1CSc2ccccc2C1 ZINC001172204023 1051315793 /nfs/dbraw/zinc/31/57/93/1051315793.db2.gz ZTHLDQVUVGEZRM-MLGOLLRUSA-N 0 3 317.404 4.259 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2N[C@@H]1CSc2ccccc2C1 ZINC001172204022 1051316333 /nfs/dbraw/zinc/31/63/33/1051316333.db2.gz ZTHLDQVUVGEZRM-LRDDRELGSA-N 0 3 317.404 4.259 20 0 DIADHN Cc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)cnc1F ZINC001215710500 1051316830 /nfs/dbraw/zinc/31/68/30/1051316830.db2.gz VROQGCIQEPYIEQ-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Fc1cncc(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215709932 1051317480 /nfs/dbraw/zinc/31/74/80/1051317480.db2.gz JIXINBHWOSVYCZ-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2c3ccccc3CC[C@H]2C(C)C)n(C)n1 ZINC000793553962 1051317974 /nfs/dbraw/zinc/31/79/74/1051317974.db2.gz OYNPGIXUPNNHLV-RIFZZMRRSA-N 0 3 311.473 4.339 20 0 DIADHN Cc1ccc(N)cc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215712083 1051319611 /nfs/dbraw/zinc/31/96/11/1051319611.db2.gz OXNWUOOGWMYBMC-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Fc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)cc(F)n1 ZINC001215711755 1051319645 /nfs/dbraw/zinc/31/96/45/1051319645.db2.gz WTNLQVHNXSQKCI-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN OCc1ccccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215712691 1051319666 /nfs/dbraw/zinc/31/96/66/1051319666.db2.gz ZYNXGUQFSZYTHL-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN Fc1cc(Nc2cnn(C(F)F)c2)ccc1CN1CCCCC1 ZINC001215712659 1051319997 /nfs/dbraw/zinc/31/99/97/1051319997.db2.gz YEFBFSNZWDDRFH-UHFFFAOYSA-N 0 3 324.350 4.147 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C(C)(C)C)n(C)n1 ZINC000793529979 1051321302 /nfs/dbraw/zinc/32/13/02/1051321302.db2.gz VQDUJJHNHRRBLC-CXAGYDPISA-N 0 3 303.425 4.306 20 0 DIADHN CN(Cc1cccc(Cl)c1Cl)C1C[C@H]2CC[C@@H](C1)O2 ZINC001172230284 1051321345 /nfs/dbraw/zinc/32/13/45/1051321345.db2.gz UBKKJYIRFZRWOY-YHWZYXNKSA-N 0 3 300.229 4.135 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2CSc3ccccc3C2)o1 ZINC001172193682 1051323583 /nfs/dbraw/zinc/32/35/83/1051323583.db2.gz OBLWNQKYZZPDGT-NSHDSACASA-N 0 3 313.344 4.105 20 0 DIADHN CCCN(Cc1ccc(F)cc1)C[C@@H](O)c1c(F)cccc1F ZINC000746137802 1051325032 /nfs/dbraw/zinc/32/50/32/1051325032.db2.gz PZBVJQODNRAKHR-QGZVFWFLSA-N 0 3 323.358 4.050 20 0 DIADHN CCN(CC)Cc1ccc(F)c(Nc2cccc(C(C)=O)n2)c1 ZINC001215725803 1051325385 /nfs/dbraw/zinc/32/53/85/1051325385.db2.gz MDLLFKQEFGPTPI-UHFFFAOYSA-N 0 3 315.392 4.009 20 0 DIADHN C[C@]12CCN(Cc3cccc4cnccc43)C[C@H]1C2(Cl)Cl ZINC000793609782 1051326728 /nfs/dbraw/zinc/32/67/28/1051326728.db2.gz BXOHFXMCPSJGMC-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN C[C@H](Cc1ccsc1)N1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC000793613366 1051327097 /nfs/dbraw/zinc/32/70/97/1051327097.db2.gz MFBWLLRJFAFTDX-RTXFEEFZSA-N 0 3 304.286 4.195 20 0 DIADHN C[C@@]12CCN(Cc3ccnc4ccccc34)C[C@@H]1C2(Cl)Cl ZINC000793615261 1051327635 /nfs/dbraw/zinc/32/76/35/1051327635.db2.gz NUDJEUZNXRSUSN-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@@H](O)c1ccccc1F ZINC000746151343 1051330935 /nfs/dbraw/zinc/33/09/35/1051330935.db2.gz AAQOZVPGILNVAS-LJQANCHMSA-N 0 3 319.395 4.156 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2Cc3ccccc32)c2ccccc2)nc1 ZINC000183554164 1051332055 /nfs/dbraw/zinc/33/20/55/1051332055.db2.gz TXOLWHOBSOGLRP-UWJYYQICSA-N 0 3 318.395 4.240 20 0 DIADHN CC1(C)C[C@H](N2CCC[C@@H]2c2ccccc2Br)CO1 ZINC001172284592 1051345546 /nfs/dbraw/zinc/34/55/46/1051345546.db2.gz VQRLUZHAZRNNGP-SWLSCSKDSA-N 0 3 324.262 4.154 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC001172328708 1051356846 /nfs/dbraw/zinc/35/68/46/1051356846.db2.gz DDOIPGXKFCSSHR-CHWSQXEVSA-N 0 3 318.383 4.126 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnc3ccccn13)CC2 ZINC000189618915 1051358449 /nfs/dbraw/zinc/35/84/49/1051358449.db2.gz IPETWFNZNFKOSU-LJQANCHMSA-N 0 3 305.425 4.235 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001172339142 1051360220 /nfs/dbraw/zinc/36/02/20/1051360220.db2.gz FTTGURXZQSKEGK-PMPSAXMXSA-N 0 3 315.461 4.336 20 0 DIADHN CCC1(CC)CCCN(Cc2nnc(-c3ccco3)o2)CC1 ZINC000190412624 1051362018 /nfs/dbraw/zinc/36/20/18/1051362018.db2.gz RHIYAXZXGXGBSE-UHFFFAOYSA-N 0 3 303.406 4.122 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1cc(-c2ccccc2F)no1 ZINC001172353412 1051370431 /nfs/dbraw/zinc/37/04/31/1051370431.db2.gz WPFYBYRIXUUCAR-KGLIPLIRSA-N 0 3 320.408 4.164 20 0 DIADHN C[C@@H]1CC[C@@H](NCC(=O)c2cccc(Br)c2)C[C@@H]1C ZINC001172361913 1051374117 /nfs/dbraw/zinc/37/41/17/1051374117.db2.gz OXYOMTBLWBENHP-TYNCELHUSA-N 0 3 324.262 4.046 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@@H](C)[C@H](C)C3)co2)cc1 ZINC001172367271 1051375936 /nfs/dbraw/zinc/37/59/36/1051375936.db2.gz LJLNSBJKAGIZCN-IIAWOOMASA-N 0 3 314.429 4.265 20 0 DIADHN COc1cccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c1C ZINC001215802115 1051378077 /nfs/dbraw/zinc/37/80/77/1051378077.db2.gz WXZSASGYIAFMSF-KRWDZBQOSA-N 0 3 312.413 4.132 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CC[C@@H](C)[C@H](C)C3)co2)c1 ZINC001172369144 1051378888 /nfs/dbraw/zinc/37/88/88/1051378888.db2.gz PPSWXLKEFIWGBW-IIAWOOMASA-N 0 3 314.429 4.265 20 0 DIADHN C[C@@H](N[C@@H](C)c1sccc1Br)[C@@H]1CCCCO1 ZINC000383177107 1051383660 /nfs/dbraw/zinc/38/36/60/1051383660.db2.gz IVSKWDGJDAPKFY-SCVCMEIPSA-N 0 3 318.280 4.119 20 0 DIADHN CCCCCC[C@@H](CCC)N1CCc2onc(COC)c2C1 ZINC001172387061 1051390267 /nfs/dbraw/zinc/39/02/67/1051390267.db2.gz PCOFUDMEWHKQAM-OAHLLOKOSA-N 0 3 308.466 4.318 20 0 DIADHN CCCCCC[C@H](CCC)N1CC(N2CCC(F)(F)C2)C1 ZINC001172386624 1051391212 /nfs/dbraw/zinc/39/12/12/1051391212.db2.gz MFHHLARAHZKQLW-HNNXBMFYSA-N 0 3 302.453 4.151 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](C)CCOCc2ccccc2)c(C)s1 ZINC000389955808 1051401172 /nfs/dbraw/zinc/40/11/72/1051401172.db2.gz WQVOESUGQWWRNZ-ZIAGYGMSSA-N 0 3 318.486 4.406 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H](C)COCc2ccccc2)c(C)s1 ZINC000389901497 1051401362 /nfs/dbraw/zinc/40/13/62/1051401362.db2.gz CGCZTUVYQLSZNC-KGLIPLIRSA-N 0 3 318.486 4.263 20 0 DIADHN CC(C)COCC[C@H](C)NCc1c(F)ccc(Cl)c1F ZINC001172458016 1051411369 /nfs/dbraw/zinc/41/13/69/1051411369.db2.gz VEWUUEAYZKIWSY-NSHDSACASA-N 0 3 305.796 4.159 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H](C)CCOC(C)C ZINC001172442808 1051407270 /nfs/dbraw/zinc/40/72/70/1051407270.db2.gz NHFBUKNQWUSASN-HZPDHXFCSA-N 0 3 317.477 4.458 20 0 DIADHN C[NH+]1C(C)(C)CC(Nc2ccc3c(c2)[N-]C(=S)C3)CC1(C)C ZINC001170452729 1051420006 /nfs/dbraw/zinc/42/00/06/1051420006.db2.gz AXNYDWPJNNULDK-UHFFFAOYSA-N 0 3 317.502 4.045 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(NCCCCc2ccccn2)nc1 ZINC001168792739 1051422650 /nfs/dbraw/zinc/42/26/50/1051422650.db2.gz BOCZUXIIDOBKPJ-LJQANCHMSA-N 0 3 324.472 4.068 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NCCCCc2ccccn2)n1 ZINC001168792715 1051422954 /nfs/dbraw/zinc/42/29/54/1051422954.db2.gz AUMXPOWQHQRSSR-QGZVFWFLSA-N 0 3 324.472 4.290 20 0 DIADHN CCCCCC[C@H](CCCC)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001172512202 1051428611 /nfs/dbraw/zinc/42/86/11/1051428611.db2.gz NSAFKWVXOSAWPF-DLBZAZTESA-N 0 3 317.539 4.025 20 0 DIADHN CCCCCC[C@H](CCCC)N1CCC(S(C)(=O)=O)CC1 ZINC001172512921 1051430778 /nfs/dbraw/zinc/43/07/78/1051430778.db2.gz VVYFAAUNJOAQJD-INIZCTEOSA-N 0 3 317.539 4.025 20 0 DIADHN COc1cc(F)c(CN[C@@H]2CC[C@@H](c3ccccc3)C2)c(F)c1 ZINC001172550037 1051433128 /nfs/dbraw/zinc/43/31/28/1051433128.db2.gz AYPCLGFBUYNEQW-HUUCEWRRSA-N 0 3 317.379 4.399 20 0 DIADHN Cc1ccccc1C1(N[C@H]2CC[C@@H](c3ccccc3)C2)COC1 ZINC001172552753 1051434408 /nfs/dbraw/zinc/43/44/08/1051434408.db2.gz BLKIWPXDVJNQQS-MOPGFXCFSA-N 0 3 307.437 4.146 20 0 DIADHN C=Cc1ccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)cc1 ZINC001215879785 1051435200 /nfs/dbraw/zinc/43/52/00/1051435200.db2.gz OFJLJJAWMYKNRV-UHFFFAOYSA-N 0 3 305.381 4.108 20 0 DIADHN C[C@@H](NC1CCC(C)(O)CC1)c1nc(-c2ccccc2)cs1 ZINC000766480855 1051435671 /nfs/dbraw/zinc/43/56/71/1051435671.db2.gz UYFBBQWLNIGJGW-MJJDPTRDSA-N 0 3 316.470 4.154 20 0 DIADHN O=C(CN[C@@H]1CC[C@@H](c2ccccc2)C1)c1cc(F)cc(F)c1 ZINC001172557933 1051439049 /nfs/dbraw/zinc/43/90/49/1051439049.db2.gz SIOZLRMKHLOADA-RDTXWAMCSA-N 0 3 315.363 4.073 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](N3CCO[C@@H](c4ccccc4)C3)C2)cc1 ZINC001172565021 1051442298 /nfs/dbraw/zinc/44/22/98/1051442298.db2.gz PJPBRFYQIZTTFK-NJDAHSKKSA-N 0 3 307.437 4.396 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](N3CCO[C@H](c4ccccc4)C3)C2)cc1 ZINC001172565039 1051442519 /nfs/dbraw/zinc/44/25/19/1051442519.db2.gz PJPBRFYQIZTTFK-NJYVYQBISA-N 0 3 307.437 4.396 20 0 DIADHN CC[C@H](OCCN[C@H](COC)c1ccccc1)c1ccccc1 ZINC001168812381 1051445048 /nfs/dbraw/zinc/44/50/48/1051445048.db2.gz KBFVSFCDSWXSRL-UXHICEINSA-N 0 3 313.441 4.132 20 0 DIADHN CC[C@@H](N[C@@H]1C[C@@H](C)N(C(=O)OC(C)(C)C)C1)c1ccc(C)o1 ZINC001168824277 1051446706 /nfs/dbraw/zinc/44/67/06/1051446706.db2.gz ONLABPHIINNLMW-BPLDGKMQSA-N 0 3 322.449 4.027 20 0 DIADHN c1ccc(C[C@H]2CN([C@@H]3CC[C@@H](c4ccccc4)C3)CCO2)cc1 ZINC001172569358 1051446743 /nfs/dbraw/zinc/44/67/43/1051446743.db2.gz SPEDNQWHQWJZDE-VSKRKVRLSA-N 0 3 321.464 4.266 20 0 DIADHN FC1(F)CSC2(CN([C@H]3CC[C@@H](c4ccccc4)C3)C2)C1 ZINC001172570851 1051446851 /nfs/dbraw/zinc/44/68/51/1051446851.db2.gz XVRLZLWJTHUTEI-CABCVRRESA-N 0 3 309.425 4.149 20 0 DIADHN CO[C@@H]1CCCN([C@@H](C)CCc2c(F)cc(Cl)cc2F)C1 ZINC001172568654 1051447122 /nfs/dbraw/zinc/44/71/22/1051447122.db2.gz ANPJVWFNPTVHND-WCQYABFASA-N 0 3 317.807 4.050 20 0 DIADHN C[C@@H](N)c1nccn1[C@@H](C)CCc1c(F)cc(Cl)cc1F ZINC001172575988 1051448079 /nfs/dbraw/zinc/44/80/79/1051448079.db2.gz KVCQVIFTMKCHHN-VHSXEESVSA-N 0 3 313.779 4.028 20 0 DIADHN Cc1ccc([C@H](C)Nc2ccc([C@@H]3CCCCN3C)cn2)nc1 ZINC001168852298 1051450411 /nfs/dbraw/zinc/45/04/11/1051450411.db2.gz SKGKTLBXRRDVML-YJBOKZPZSA-N 0 3 310.445 4.115 20 0 DIADHN Cc1cc(CN[C@H](C)CCc2c(F)cc(Cl)cc2F)on1 ZINC001172576481 1051450314 /nfs/dbraw/zinc/45/03/14/1051450314.db2.gz NJBAMDJODCCCLH-SECBINFHSA-N 0 3 314.763 4.026 20 0 DIADHN O=C(CN[C@H]1CCC[C@@H](c2ccccc2)C1)c1ccccc1F ZINC001172543704 1051455620 /nfs/dbraw/zinc/45/56/20/1051455620.db2.gz RLGORJUARKVBFE-SJORKVTESA-N 0 3 311.400 4.324 20 0 DIADHN CC1(C)CC(NCc2c(F)ccc(F)c2Cl)CC(C)(C)O1 ZINC001172644181 1051468287 /nfs/dbraw/zinc/46/82/87/1051468287.db2.gz JLKKLRFFTWUZHP-UHFFFAOYSA-N 0 3 317.807 4.444 20 0 DIADHN CCCC[C@@H](CC)CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C ZINC001168919330 1051468412 /nfs/dbraw/zinc/46/84/12/1051468412.db2.gz YIOYKZYIYNSVLO-JKSUJKDBSA-N 0 3 312.498 4.144 20 0 DIADHN CC1(C)CC(NCc2c(F)cc(Cl)cc2F)CC(C)(C)O1 ZINC001172647482 1051468741 /nfs/dbraw/zinc/46/87/41/1051468741.db2.gz JTXYRFDKICUCPE-UHFFFAOYSA-N 0 3 317.807 4.444 20 0 DIADHN CN1CCN(Cc2ccc3ccccc3c2)[C@H](c2ccccc2)C1 ZINC001168931106 1051475721 /nfs/dbraw/zinc/47/57/21/1051475721.db2.gz FBTYAGZCZCCJNS-QFIPXVFZSA-N 0 3 316.448 4.329 20 0 DIADHN COC(=O)c1ccc([C@H]2CCCCN2Cc2cccs2)cc1 ZINC001204019230 1051477773 /nfs/dbraw/zinc/47/77/73/1051477773.db2.gz RURKGPXXZKRTFM-QGZVFWFLSA-N 0 3 315.438 4.262 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H]2Cc3ccc(Cl)cc3C2)o1 ZINC001172717044 1051479381 /nfs/dbraw/zinc/47/93/81/1051479381.db2.gz VIQLWWNKBKTUID-LBPRGKRZSA-N 0 3 315.722 4.209 20 0 DIADHN COC(=O)CCCN(Cc1sccc1C)Cc1ccccc1 ZINC001204019436 1051485922 /nfs/dbraw/zinc/48/59/22/1051485922.db2.gz ZFIBKUUNGSNIMN-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N(CCN(C)C)Cc1ccsc1 ZINC001129701016 1051489292 /nfs/dbraw/zinc/48/92/92/1051489292.db2.gz OHEYIMYYZNYRON-OAHLLOKOSA-N 0 3 324.534 4.101 20 0 DIADHN CC(C)(C)CC(=O)N1CCN(C2CCC3(CCCC3)CC2)CC1 ZINC001172731408 1051489783 /nfs/dbraw/zinc/48/97/83/1051489783.db2.gz ZAWWNISZLGUDRX-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)CCC(=O)OC(C)C)c(F)c1F ZINC001172744822 1051489866 /nfs/dbraw/zinc/48/98/66/1051489866.db2.gz FTBFOEXNWBDKQQ-OLZOCXBDSA-N 0 3 313.388 4.044 20 0 DIADHN CC(C)(N[C@H]1Cc2ccc(Cl)cc2C1)C(=O)c1ccccc1 ZINC001172707246 1051491488 /nfs/dbraw/zinc/49/14/88/1051491488.db2.gz OSXLTDVZYLPGMV-KRWDZBQOSA-N 0 3 313.828 4.058 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N[C@H](C)c1cccc(F)c1Cl ZINC001172751382 1051491999 /nfs/dbraw/zinc/49/19/99/1051491999.db2.gz WMJXOORZKCDSNZ-NWDGAFQWSA-N 0 3 315.816 4.250 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)Nc1cccc(F)c1CN ZINC001169050941 1051495643 /nfs/dbraw/zinc/49/56/43/1051495643.db2.gz SSDZXQPPBINIMD-INIZCTEOSA-N 0 3 300.421 4.354 20 0 DIADHN Clc1ccc2c(c1)C[C@H](N[C@@H]1COc3ccc(Cl)cc31)C2 ZINC001172714504 1051496753 /nfs/dbraw/zinc/49/67/53/1051496753.db2.gz AFVVGWLKXGMWDZ-GDBMZVCRSA-N 0 3 320.219 4.184 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@H]1c1ccc(F)cc1 ZINC001172754870 1051496797 /nfs/dbraw/zinc/49/67/97/1051496797.db2.gz JTHGDXHERYTATE-YOEHRIQHSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)NCc1ncc(F)cc1F ZINC001169058041 1051499350 /nfs/dbraw/zinc/49/93/50/1051499350.db2.gz ASCGCZDSSUXCOT-INIZCTEOSA-N 0 3 304.384 4.107 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N(C)[C@H](C)c1ccccc1Cl ZINC001172762571 1051500398 /nfs/dbraw/zinc/50/03/98/1051500398.db2.gz MPSCNHYXDWWAAX-UONOGXRCSA-N 0 3 311.853 4.453 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)NCc1ncccc1Cl ZINC001169059543 1051500550 /nfs/dbraw/zinc/50/05/50/1051500550.db2.gz RNAIVFWLESFGAE-INIZCTEOSA-N 0 3 302.849 4.482 20 0 DIADHN Cc1ccc(F)c(CN[C@H](Cc2ccccc2)CC(C)C)n1 ZINC001169058380 1051500926 /nfs/dbraw/zinc/50/09/26/1051500926.db2.gz GZHFZKMAMBNXBX-KRWDZBQOSA-N 0 3 300.421 4.276 20 0 DIADHN Cc1cc2cc(NCc3cc(F)cc4c3OCOC4)ccc2cn1 ZINC001169099197 1051509535 /nfs/dbraw/zinc/50/95/35/1051509535.db2.gz VBFZSLKAKGQTST-UHFFFAOYSA-N 0 3 324.355 4.161 20 0 DIADHN NCc1nn(C2CCC(Cc3ccccc3)CC2)c2ccccc12 ZINC001172818194 1051512773 /nfs/dbraw/zinc/51/27/73/1051512773.db2.gz IQKSICPUONZTED-UHFFFAOYSA-N 0 3 319.452 4.469 20 0 DIADHN Cc1ccc2c(c1)C[C@H](n1c3ccccc3nc1[C@H](C)N)CC2 ZINC001172824058 1051515072 /nfs/dbraw/zinc/51/50/72/1051515072.db2.gz PPQODCBFJJTVQF-WMLDXEAASA-N 0 3 305.425 4.094 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](NCc1c(F)ccc(F)c1F)CC2 ZINC001172825873 1051516358 /nfs/dbraw/zinc/51/63/58/1051516358.db2.gz KTQHRSUAVIDSRC-AWEZNQCLSA-N 0 3 305.343 4.059 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000766605286 1051526982 /nfs/dbraw/zinc/52/69/82/1051526982.db2.gz TWKPZLUEAWRKTH-QJPTWQEYSA-N 0 3 318.269 4.301 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCC[C@@H](C(F)F)C1 ZINC001172867792 1051527191 /nfs/dbraw/zinc/52/71/91/1051527191.db2.gz AHGIZDJPTWXSCK-CMPLNLGQSA-N 0 3 305.771 4.387 20 0 DIADHN CC(C)=CCC/C(C)=C/CNc1ccc2c(c1)COC21CNC1 ZINC001169138721 1051529851 /nfs/dbraw/zinc/52/98/51/1051529851.db2.gz ULZVNZHUFVFRAB-CXUHLZMHSA-N 0 3 312.457 4.120 20 0 DIADHN CCc1ccc([C@H](COC)NCC[C@H]2CCc3ccccc32)o1 ZINC001169110701 1051530800 /nfs/dbraw/zinc/53/08/00/1051530800.db2.gz DBTDKHQJVBUMJV-APWZRJJASA-N 0 3 313.441 4.239 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N[C@H]1COc2ccccc21 ZINC001172843795 1051532408 /nfs/dbraw/zinc/53/24/08/1051532408.db2.gz ZGAMMMMRMTYDGH-ZBEGNZNMSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NC1(c2ccccn2)CC1 ZINC001172845155 1051532887 /nfs/dbraw/zinc/53/28/87/1051532887.db2.gz LSXZENNMZPRUKG-GFCCVEGCSA-N 0 3 304.796 4.084 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1cn(C2CCC2)cn1 ZINC001172856363 1051540858 /nfs/dbraw/zinc/54/08/58/1051540858.db2.gz GLRZCCNKXJFYEO-GFCCVEGCSA-N 0 3 321.827 4.121 20 0 DIADHN Cc1ccccc1OCCCN1Cc2ccccc2OC[C@@H]1C ZINC001169187016 1051543044 /nfs/dbraw/zinc/54/30/44/1051543044.db2.gz BFMQQQSELKRIAO-KRWDZBQOSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CCOC1CCCCCC1 ZINC001169178407 1051547353 /nfs/dbraw/zinc/54/73/53/1051547353.db2.gz PYKDLZGQGXFAOE-ZIAGYGMSSA-N 0 3 307.400 4.389 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)Cc2c(F)cccc2Cl)cn1 ZINC001172861829 1051547709 /nfs/dbraw/zinc/54/77/09/1051547709.db2.gz NIVKQCAFMRSNAU-CYBMUJFWSA-N 0 3 306.812 4.246 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCC(C(F)F)CC1 ZINC001172869903 1051554344 /nfs/dbraw/zinc/55/43/44/1051554344.db2.gz SEMVYKWCGKYKSP-JTQLQIEISA-N 0 3 305.771 4.387 20 0 DIADHN Cc1cccnc1CN[C@H](C)CSc1ccc(Cl)cc1 ZINC001172872365 1051555484 /nfs/dbraw/zinc/55/54/84/1051555484.db2.gz YCSZOSOGQHKCGQ-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN CC[C@@H](N[C@H]1CCc2c1cccc2OC)c1ccccc1OC ZINC000177926689 1051555407 /nfs/dbraw/zinc/55/54/07/1051555407.db2.gz RBXBPKVQXBWFKE-MSOLQXFVSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NC1(c2ccccn2)CC1 ZINC001172875603 1051559113 /nfs/dbraw/zinc/55/91/13/1051559113.db2.gz QOPSMINYVAWPOQ-ZDUSSCGKSA-N 0 3 318.873 4.495 20 0 DIADHN COc1ncccc1CN[C@@H](C)CSc1ccc(Cl)cc1 ZINC001172872808 1051559356 /nfs/dbraw/zinc/55/93/56/1051559356.db2.gz FEXCGUOUWQMEKI-LBPRGKRZSA-N 0 3 322.861 4.014 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCCC[C@@H]2C)cc1Cl ZINC001169283205 1051568431 /nfs/dbraw/zinc/56/84/31/1051568431.db2.gz HRROMCWHFTUQJH-XJKSGUPXSA-N 0 3 308.853 4.097 20 0 DIADHN CO[C@H]1CCC[C@H](N2CCc3c(cccc3C(F)(F)F)C2)C1 ZINC001172947195 1051580401 /nfs/dbraw/zinc/58/04/01/1051580401.db2.gz WWKIXFSUXJNAGJ-KBPBESRZSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](C(F)(F)F)C2(C)C)c(C)n1 ZINC001169301438 1051581082 /nfs/dbraw/zinc/58/10/82/1051581082.db2.gz SYJXVJPRYNYDAO-CQSZACIVSA-N 0 3 300.368 4.170 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H](C)c1c(F)cncc1F ZINC000804839538 1051582606 /nfs/dbraw/zinc/58/26/06/1051582606.db2.gz LTDIMOPZGKFGEA-SNVBAGLBSA-N 0 3 315.323 4.090 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(Cn3ccnc3)cc2)ccn1 ZINC001212694016 1051582400 /nfs/dbraw/zinc/58/24/00/1051582400.db2.gz FMZZCSXIYNTTIL-UHFFFAOYSA-N 0 3 306.413 4.368 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H](C)c1c(F)cncc1F ZINC000804839537 1051583524 /nfs/dbraw/zinc/58/35/24/1051583524.db2.gz LTDIMOPZGKFGEA-JTQLQIEISA-N 0 3 315.323 4.090 20 0 DIADHN CN(CCc1cccs1)[C@@]1(c2ccccc2)CCCCC1=O ZINC001169332745 1051583582 /nfs/dbraw/zinc/58/35/82/1051583582.db2.gz OQRVSIGXXYEVQW-LJQANCHMSA-N 0 3 313.466 4.261 20 0 DIADHN CN1CCc2ccc(Nc3ccc(N)cc3C(F)(F)F)cc2C1 ZINC001216133401 1051595589 /nfs/dbraw/zinc/59/55/89/1051595589.db2.gz HZKQOAMFPHRKBD-UHFFFAOYSA-N 0 3 321.346 4.019 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(Cl)c(Cl)c1 ZINC000179069996 1051600901 /nfs/dbraw/zinc/60/09/01/1051600901.db2.gz YLOOJQLTGAURFS-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN C[C@@H]1CC[C@@H](N2CC3(C2)CCCCC3)CN1C(=O)OC(C)(C)C ZINC001173067844 1051601706 /nfs/dbraw/zinc/60/17/06/1051601706.db2.gz ZIBLTIRPMSRAQU-HZPDHXFCSA-N 0 3 322.493 4.041 20 0 DIADHN CC(C)[C@H](O)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493276509 1051604910 /nfs/dbraw/zinc/60/49/10/1051604910.db2.gz KDCNWJRQXGBQAS-QZTJIDSGSA-N 0 3 321.823 4.175 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(N3CCOC3=O)c2)cc1 ZINC000493339276 1051611866 /nfs/dbraw/zinc/61/18/66/1051611866.db2.gz VLJXHDUWSOOWPN-HNNXBMFYSA-N 0 3 324.424 4.056 20 0 DIADHN Cc1cscc1CNCc1ccc(Br)s1 ZINC000087309432 1051613538 /nfs/dbraw/zinc/61/35/38/1051613538.db2.gz QVABUSRXGDXPSC-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(N3CCOC3=O)c2)cc1 ZINC000493339287 1051614649 /nfs/dbraw/zinc/61/46/49/1051614649.db2.gz VLJXHDUWSOOWPN-OAHLLOKOSA-N 0 3 324.424 4.056 20 0 DIADHN O=C1CC[C@@]2(CCCN(C3CCC4(CCCCC4)CC3)C2)O1 ZINC001173121342 1051615002 /nfs/dbraw/zinc/61/50/02/1051615002.db2.gz PTRXRSBTLRTLBS-LJQANCHMSA-N 0 3 305.462 4.051 20 0 DIADHN Clc1cccc(Cl)c1CN[C@H]1CCc2ncsc2C1 ZINC001173122645 1051615617 /nfs/dbraw/zinc/61/56/17/1051615617.db2.gz QOYPIFKEJMILPP-VIFPVBQESA-N 0 3 313.253 4.097 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1CCc2ncsc2C1 ZINC001173122644 1051615687 /nfs/dbraw/zinc/61/56/87/1051615687.db2.gz QOYPIFKEJMILPP-SECBINFHSA-N 0 3 313.253 4.097 20 0 DIADHN CC[C@H](N[C@@H]1CCc2ncsc2C1)c1c(F)cccc1F ZINC001173129377 1051618560 /nfs/dbraw/zinc/61/85/60/1051618560.db2.gz GXNJFEJXJZFUQB-MFKMUULPSA-N 0 3 308.397 4.020 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nnc(-c2ccccc2)o1 ZINC000493443744 1051621350 /nfs/dbraw/zinc/62/13/50/1051621350.db2.gz UMXBWYLJJZEPRQ-WBVHZDCISA-N 0 3 313.445 4.431 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000520838371 1051629291 /nfs/dbraw/zinc/62/92/91/1051629291.db2.gz YYJFGIGHHDZFTB-GUYCJALGSA-N 0 3 303.837 4.486 20 0 DIADHN Cc1nc([C@@H](C)NC2CCC(Oc3ccccc3)CC2)cs1 ZINC001173197154 1051629433 /nfs/dbraw/zinc/62/94/33/1051629433.db2.gz CYCJXJJRNCKGDG-BZOOQXSSSA-N 0 3 316.470 4.492 20 0 DIADHN Cc1ccc(F)c(CNC2CCC(Oc3ccccc3)CC2)n1 ZINC001173196685 1051629460 /nfs/dbraw/zinc/62/94/60/1051629460.db2.gz SLHQCUWPHIRNFS-UHFFFAOYSA-N 0 3 314.404 4.009 20 0 DIADHN CSc1cc(Nc2cnc(C)n2C)ccc1C(F)(F)F ZINC001216212880 1051629835 /nfs/dbraw/zinc/62/98/35/1051629835.db2.gz PTNIJZLQYVLWBQ-UHFFFAOYSA-N 0 3 301.337 4.213 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2ccc(C)c(F)c2)c1 ZINC000088765968 1051630009 /nfs/dbraw/zinc/63/00/09/1051630009.db2.gz RQYOKYUDVLEABV-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN([C@H]2C=CCCCCC2)CC1 ZINC001169536786 1051636555 /nfs/dbraw/zinc/63/65/55/1051636555.db2.gz DWRNCWUPFYUNRJ-WMZOPIPTSA-N 0 3 304.503 4.316 20 0 DIADHN CCc1ccc(C[C@H](C)n2nc(-c3ccccc3)cc2CN)cc1 ZINC001173235203 1051640490 /nfs/dbraw/zinc/64/04/90/1051640490.db2.gz KFDCMKUAHONIMN-INIZCTEOSA-N 0 3 319.452 4.375 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)N1C[C@@H]2C[C@H]1CO2 ZINC001173211814 1051650154 /nfs/dbraw/zinc/65/01/54/1051650154.db2.gz ULBSBRKXFCHTGH-VDGAXYAQSA-N 0 3 307.437 4.070 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1cnc(-c2ccccc2)o1 ZINC001169604927 1051653639 /nfs/dbraw/zinc/65/36/39/1051653639.db2.gz QFPZZYJPVYASFD-QZTJIDSGSA-N 0 3 314.429 4.025 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC000493841838 1051656620 /nfs/dbraw/zinc/65/66/20/1051656620.db2.gz GPFNHZUPWFPILW-VBHSOAQHSA-N 0 3 305.368 4.392 20 0 DIADHN [O-]c1cc(Nc2ccc(C[NH+]3CCCC3)cc2)ccc1Cl ZINC001212592301 1051659840 /nfs/dbraw/zinc/65/98/40/1051659840.db2.gz ITDVTLQBYHENBD-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN CC(C)(NCc1sncc1Br)C1CCCCC1 ZINC001169771512 1051695617 /nfs/dbraw/zinc/69/56/17/1051695617.db2.gz LNTYEXAHIPRXGC-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN CC(C)Cc1ccccc1CN[C@@]1(CO)CCCc2ccccc21 ZINC001169655516 1051667533 /nfs/dbraw/zinc/66/75/33/1051667533.db2.gz HHJFXBQOMZJHKD-JOCHJYFZSA-N 0 3 323.480 4.199 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccccc1 ZINC000493927644 1051668609 /nfs/dbraw/zinc/66/86/09/1051668609.db2.gz RSJHVXHZYODLKT-CKFHNAJUSA-N 0 3 317.404 4.261 20 0 DIADHN CCc1ccc(C[C@H](C)n2c3ccccc3nc2[C@H](C)N)cc1 ZINC001173236660 1051670868 /nfs/dbraw/zinc/67/08/68/1051670868.db2.gz BLKDBAMVHGUJHN-GJZGRUSLSA-N 0 3 307.441 4.422 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H](C)[C@@H](OC)c1ccccc1 ZINC000493978519 1051676315 /nfs/dbraw/zinc/67/63/15/1051676315.db2.gz SSJLSZKRDPNFBB-FZKQIMNGSA-N 0 3 319.832 4.214 20 0 DIADHN CCSC[C@H](C)N[C@@H](c1ncccc1C(F)(F)F)C1CC1 ZINC001173296250 1051677301 /nfs/dbraw/zinc/67/73/01/1051677301.db2.gz QRTLAVVTVQNELG-GXFFZTMASA-N 0 3 318.408 4.283 20 0 DIADHN Clc1ccc(CN[C@@H]2CCc3ccccc3OC2)c(Cl)c1 ZINC001169715901 1051681389 /nfs/dbraw/zinc/68/13/89/1051681389.db2.gz QPYGIPYMXIUPRO-OAHLLOKOSA-N 0 3 322.235 4.477 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccc(F)cc1)c1ccccc1F ZINC000494046175 1051682491 /nfs/dbraw/zinc/68/24/91/1051682491.db2.gz CFDCWPBLXJDAJC-ZWKOTPCHSA-N 0 3 305.368 4.393 20 0 DIADHN CCSC[C@@H](C)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001173311870 1051683936 /nfs/dbraw/zinc/68/39/36/1051683936.db2.gz QROLLQBQJFUQKC-UKRRQHHQSA-N 0 3 303.475 4.165 20 0 DIADHN CC[C@H](CN[C@H](CC)c1ccc(F)cn1)Oc1ccccc1C ZINC000494040329 1051685909 /nfs/dbraw/zinc/68/59/09/1051685909.db2.gz SEEHSKCYXKNITA-IAGOWNOFSA-N 0 3 316.420 4.427 20 0 DIADHN C[C@@H]1CN(C)[C@H](C)[C@@H](C)N1C(=O)C=C1CCC(C(C)(C)C)CC1 ZINC001130369541 1051686430 /nfs/dbraw/zinc/68/64/30/1051686430.db2.gz GXASZNKLPYZNRK-FLZRJIMASA-N 0 3 320.521 4.089 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCc3ccc(Cl)cc32)ccn1 ZINC000805833650 1051686596 /nfs/dbraw/zinc/68/65/96/1051686596.db2.gz DCJJZPPNNWVKBC-ZBEGNZNMSA-N 0 3 302.805 4.082 20 0 DIADHN CSc1ccc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)cc1 ZINC001169733290 1051689786 /nfs/dbraw/zinc/68/97/86/1051689786.db2.gz LRQNNXGLLMYIAP-AWEZNQCLSA-N 0 3 320.502 4.248 20 0 DIADHN Cc1nc2ccc(N[C@@H]3CCO[C@@H](c4ccccc4)C3)cc2[nH]1 ZINC001169757214 1051692984 /nfs/dbraw/zinc/69/29/84/1051692984.db2.gz SNHRIUKTWWAPRE-VQIMIIECSA-N 0 3 307.397 4.204 20 0 DIADHN Cc1cccc2c1[C@H](N[C@@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173339535 1051699227 /nfs/dbraw/zinc/69/92/27/1051699227.db2.gz OAMVBLKWNSQORL-QAPCUYQASA-N 0 3 312.457 4.471 20 0 DIADHN NCc1cc(-c2ccncc2)nn1[C@H]1CCCC2(CCCCC2)C1 ZINC001173338903 1051699329 /nfs/dbraw/zinc/69/93/29/1051699329.db2.gz CHNIFBXBGFHKMK-KRWDZBQOSA-N 0 3 324.472 4.469 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173338828 1051699766 /nfs/dbraw/zinc/69/97/66/1051699766.db2.gz KJPBJJKYUNPMCN-YJBOKZPZSA-N 0 3 312.457 4.471 20 0 DIADHN COc1cc(C)cc(CNCc2ccc3nc(C)sc3c2)c1 ZINC000494159097 1051700314 /nfs/dbraw/zinc/70/03/14/1051700314.db2.gz BGZUMOQDVBZXJU-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN Fc1ccc(N[C@@H]2C[C@H]2c2ccccc2)cc1CN1CCCC1 ZINC001169816411 1051707975 /nfs/dbraw/zinc/70/79/75/1051707975.db2.gz NMUXKLZOGBDFRD-AZUAARDMSA-N 0 3 310.416 4.390 20 0 DIADHN CCSC[C@@H](C)N1CCc2ccc(OC(F)(F)F)cc2C1 ZINC001173314960 1051707873 /nfs/dbraw/zinc/70/78/73/1051707873.db2.gz NGVXPSOBIPKAQU-LLVKDONJSA-N 0 3 319.392 4.085 20 0 DIADHN C[C@H](NC[C@H](O)Cc1ccccc1)c1ccc(Cl)cc1Cl ZINC001169854045 1051714912 /nfs/dbraw/zinc/71/49/12/1051714912.db2.gz JPLPYMGYYBUQCF-SWLSCSKDSA-N 0 3 324.251 4.248 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1Cc1ccccc1C1CC1 ZINC001169880416 1051715954 /nfs/dbraw/zinc/71/59/54/1051715954.db2.gz NTWIPEGPXSLVRN-HXUWFJFHSA-N 0 3 315.457 4.262 20 0 DIADHN Brc1ccc([C@H](NCC2CC2)c2ccco2)cc1 ZINC000183702567 1051717252 /nfs/dbraw/zinc/71/72/52/1051717252.db2.gz OMOLDOFRLWNMOB-HNNXBMFYSA-N 0 3 306.203 4.131 20 0 DIADHN COC[C@@H](NCC1CCC(C(F)(F)F)CC1)c1ccc(C)o1 ZINC000183724974 1051718419 /nfs/dbraw/zinc/71/84/19/1051718419.db2.gz LDOMPSZWEOVCTC-JXQTWKCFSA-N 0 3 319.367 4.234 20 0 DIADHN Fc1cc2c(cc1F)CC(NCc1cccc(Cl)c1F)C2 ZINC001173472352 1051720763 /nfs/dbraw/zinc/72/07/63/1051720763.db2.gz ARNASYJZOFERNA-UHFFFAOYSA-N 0 3 311.734 4.014 20 0 DIADHN CC(C)c1cccc2c1CCN(Cc1ccccc1N(C)C)C2 ZINC001169942049 1051731630 /nfs/dbraw/zinc/73/16/30/1051731630.db2.gz KKSPZJADSFIHBH-UHFFFAOYSA-N 0 3 308.469 4.434 20 0 DIADHN COc1ccc2oc(CN3C[C@H](C)C[C@H]3c3cccnc3)cc2c1 ZINC000184570500 1051739004 /nfs/dbraw/zinc/73/90/04/1051739004.db2.gz RAGCHDNHZRZWCL-KUHUBIRLSA-N 0 3 322.408 4.420 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)n1cnc2c1CNCC2 ZINC001173547102 1051740398 /nfs/dbraw/zinc/74/03/98/1051740398.db2.gz PARJGIDADDUTDV-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N[C@@H]1CCCN2CCCC[C@@H]12 ZINC001130518761 1051741102 /nfs/dbraw/zinc/74/11/02/1051741102.db2.gz QEWLCDRNCRAZKZ-AOIWGVFYSA-N 0 3 308.510 4.116 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N[C@H]1CCCN2CCCC[C@@H]12 ZINC001130518765 1051741540 /nfs/dbraw/zinc/74/15/40/1051741540.db2.gz QEWLCDRNCRAZKZ-LNLFQRSKSA-N 0 3 308.510 4.116 20 0 DIADHN CC[C@H](N[C@H]1CCc2nc(Cl)ccc21)c1ccc(OC)cc1 ZINC000806128764 1051745111 /nfs/dbraw/zinc/74/51/11/1051745111.db2.gz WXONVKGOJWSCJS-HOTGVXAUSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](CCO)c1ccc(Cl)cc1 ZINC000806176800 1051747826 /nfs/dbraw/zinc/74/78/26/1051747826.db2.gz PSOHCFNKBCCXKH-MOPGFXCFSA-N 0 3 315.844 4.349 20 0 DIADHN COC[C@H](N[C@H]1CC[C@H](SC)C1)c1cccc(Cl)c1F ZINC000806142786 1051750653 /nfs/dbraw/zinc/75/06/53/1051750653.db2.gz QSSOCARPOOUFBE-MJVIPROJSA-N 0 3 317.857 4.040 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H]1CCc3nc(Cl)ccc31)CC2 ZINC000806145071 1051752411 /nfs/dbraw/zinc/75/24/11/1051752411.db2.gz CBERDQKOMOAKPB-GDBMZVCRSA-N 0 3 302.780 4.139 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](c3c(F)cccc3F)C2)s1 ZINC000185314867 1051761864 /nfs/dbraw/zinc/76/18/64/1051761864.db2.gz SCSBYACPXUPGCI-LBPRGKRZSA-N 0 3 308.397 4.028 20 0 DIADHN c1ccc2c(c1)CCC21CCC(N2CCc3ccncc3C2)CC1 ZINC001173690169 1051779933 /nfs/dbraw/zinc/77/99/33/1051779933.db2.gz QZDQXXGYMVWRDF-UHFFFAOYSA-N 0 3 318.464 4.267 20 0 DIADHN COc1cccnc1CNC1CCC2(CCc3ccccc32)CC1 ZINC001173688345 1051781581 /nfs/dbraw/zinc/78/15/81/1051781581.db2.gz BKYNWVSXBZKTFN-UHFFFAOYSA-N 0 3 322.452 4.007 20 0 DIADHN CCOc1cncc(CN2CC3(CCCCC3)[C@H]2C(C)C)c1 ZINC001206214881 1051787784 /nfs/dbraw/zinc/78/77/84/1051787784.db2.gz GDYHHFXXMZFUEW-GOSISDBHSA-N 0 3 302.462 4.271 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(C)ccc(C)c32)cc1O ZINC000186146149 1051796457 /nfs/dbraw/zinc/79/64/57/1051796457.db2.gz VRMVKGJUBXOHLL-KRWDZBQOSA-N 0 3 311.425 4.185 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1sccc1OC)c1ccco1 ZINC000186136535 1051797215 /nfs/dbraw/zinc/79/72/15/1051797215.db2.gz TYORXMPKHGEURM-KGLIPLIRSA-N 0 3 322.474 4.083 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H]1CCc2c1cccc2OC ZINC000186194835 1051800107 /nfs/dbraw/zinc/80/01/07/1051800107.db2.gz SROWMSSCTMNQQM-BXUZGUMPSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2ccc(Cl)cc2Cl)c(C)o1 ZINC000767383709 1051800616 /nfs/dbraw/zinc/80/06/16/1051800616.db2.gz SPEDOLWJPVPBHY-OAHLLOKOSA-N 0 3 314.212 4.026 20 0 DIADHN CCN(Cc1nnc(-c2ccc(Cl)cc2)n1C)[C@H](C)C(C)C ZINC000186292563 1051807432 /nfs/dbraw/zinc/80/74/32/1051807432.db2.gz HPVVSSWRMMRRCZ-CYBMUJFWSA-N 0 3 320.868 4.002 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@]3(C2)OCc2ccccc23)c1 ZINC000186438368 1051814648 /nfs/dbraw/zinc/81/46/48/1051814648.db2.gz GOUYLHMCBAOSKB-OAQYLSRUSA-N 0 3 323.436 4.105 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001168463723 1051817416 /nfs/dbraw/zinc/81/74/16/1051817416.db2.gz CCXHJUGNHYIMJR-MEDUHNTESA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001168463721 1051818282 /nfs/dbraw/zinc/81/82/82/1051818282.db2.gz CCXHJUGNHYIMJR-BDJLRTHQSA-N 0 3 321.342 4.148 20 0 DIADHN CCCOc1cccc(CN2CC[C@@]3(C2)OCc2ccccc23)c1 ZINC000186448862 1051818856 /nfs/dbraw/zinc/81/88/56/1051818856.db2.gz VEJWLWLINNTTDD-NRFANRHFSA-N 0 3 323.436 4.107 20 0 DIADHN C[C@@H](NCC1(CO)CCCC1)c1nc(-c2ccccc2)cs1 ZINC000186486860 1051820013 /nfs/dbraw/zinc/82/00/13/1051820013.db2.gz BLSSQFBZXLCPHC-CQSZACIVSA-N 0 3 316.470 4.013 20 0 DIADHN Clc1ccccc1CCN1CC[C@]2(C1)OCc1ccccc12 ZINC000186690199 1051826797 /nfs/dbraw/zinc/82/67/97/1051826797.db2.gz VMXCQNHEINLWCM-LJQANCHMSA-N 0 3 313.828 4.014 20 0 DIADHN C[C@@H](N[C@H](c1ccco1)c1ccccc1)C1CCSCC1 ZINC000186950437 1051833287 /nfs/dbraw/zinc/83/32/87/1051833287.db2.gz OJFJPFTUFALTLZ-KDOFPFPSSA-N 0 3 301.455 4.490 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc(F)c3ccccc32)n(C)n1 ZINC000806662246 1051843287 /nfs/dbraw/zinc/84/32/87/1051843287.db2.gz BADLFAOHIFIAFP-KBPBESRZSA-N 0 3 311.404 4.433 20 0 DIADHN C[C@H](NCc1cc(-c2ccco2)on1)C1CCC(F)(F)CC1 ZINC000653867834 1051844019 /nfs/dbraw/zinc/84/40/19/1051844019.db2.gz HYUWGVCTVFBPEX-NSHDSACASA-N 0 3 310.344 4.238 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(OC(F)(F)F)c2)c(C)n1 ZINC000187444207 1051844920 /nfs/dbraw/zinc/84/49/20/1051844920.db2.gz QJBJFLQSWFUVFR-GFCCVEGCSA-N 0 3 324.346 4.448 20 0 DIADHN C[C@H](Cc1cccc(F)c1)NC1(c2ccc(F)cc2F)CC1 ZINC001168464664 1051846775 /nfs/dbraw/zinc/84/67/75/1051846775.db2.gz JDVWAKKURHHOCL-GFCCVEGCSA-N 0 3 305.343 4.314 20 0 DIADHN Cc1ccc2c(c1)nc(CNC1CC(c3ccc(F)cc3)C1)n2C ZINC000187575252 1051850925 /nfs/dbraw/zinc/85/09/25/1051850925.db2.gz ZREKIZWWKIUPQM-UHFFFAOYSA-N 0 3 323.415 4.057 20 0 DIADHN CCCOc1ccc(CNCc2cnc3ccc(C)cn23)c(C)c1 ZINC000188793000 1051853287 /nfs/dbraw/zinc/85/32/87/1051853287.db2.gz KLZJIULEDQEPCR-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1c(F)cccc1F ZINC000767820504 1051856458 /nfs/dbraw/zinc/85/64/58/1051856458.db2.gz SPDZZSUTKJUUQN-KBPBESRZSA-N 0 3 309.400 4.497 20 0 DIADHN C[C@]1(Cc2ccccc2)CCCN1Cc1cnc(Cl)s1 ZINC000767936044 1051866491 /nfs/dbraw/zinc/86/64/91/1051866491.db2.gz ONQFNXJLONHDES-MRXNPFEDSA-N 0 3 306.862 4.394 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cncc3ccccc32)o1 ZINC000767934825 1051866646 /nfs/dbraw/zinc/86/66/46/1051866646.db2.gz QSVYFRHBYDNUEH-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN CCCc1csc(CNCCc2c(C)[nH]c3ccccc32)n1 ZINC000494310615 1051869724 /nfs/dbraw/zinc/86/97/24/1051869724.db2.gz LHPPLSZNEBZKNV-UHFFFAOYSA-N 0 3 313.470 4.218 20 0 DIADHN CCCc1csc(CNCCc2ccc(Cl)s2)n1 ZINC000494312414 1051870034 /nfs/dbraw/zinc/87/00/34/1051870034.db2.gz NHMAVVZQVHTLAL-UHFFFAOYSA-N 0 3 300.880 4.143 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(F)cc(F)c3)c2C1 ZINC001173914584 1051873873 /nfs/dbraw/zinc/87/38/73/1051873873.db2.gz SDGHQPWTUFZCKE-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN Clc1cccc2c1[C@H](NCC1(c3ccccc3)CC1)COC2 ZINC000798180368 1051874537 /nfs/dbraw/zinc/87/45/37/1051874537.db2.gz FHUQQALVLIBPEH-QGZVFWFLSA-N 0 3 313.828 4.233 20 0 DIADHN CCCc1csc(CNCC(C)(C)c2ccc(F)cc2)n1 ZINC000494324714 1051875489 /nfs/dbraw/zinc/87/54/89/1051875489.db2.gz BUKJZHOWTZPWBU-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)NCc1coc(C2CC2)n1 ZINC000742713743 1051875724 /nfs/dbraw/zinc/87/57/24/1051875724.db2.gz JPDFFYSLJQPWQG-QGZVFWFLSA-N 0 3 302.393 4.048 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cncc(Cl)c3)C2)c(C)c1 ZINC001169980158 1051876827 /nfs/dbraw/zinc/87/68/27/1051876827.db2.gz UOFLGQKQYYXBTG-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN COC(=O)c1cccc(CN2CC[C@@H](CC(C)(C)C)C2)c1Cl ZINC000307811380 1051884495 /nfs/dbraw/zinc/88/44/95/1051884495.db2.gz IMVYDEGFIRDFLU-ZDUSSCGKSA-N 0 3 323.864 4.385 20 0 DIADHN COCc1ccc(CNCc2ccc3nc(C)sc3c2)cc1 ZINC000494377876 1051885950 /nfs/dbraw/zinc/88/59/50/1051885950.db2.gz OGHNFXLRRCHHHU-UHFFFAOYSA-N 0 3 312.438 4.041 20 0 DIADHN COc1ccccc1C1(NC[C@@]2(C)CC2(Cl)Cl)CCC1 ZINC001307636773 1051888397 /nfs/dbraw/zinc/88/83/97/1051888397.db2.gz UHDFPPCDGAARON-CQSZACIVSA-N 0 3 314.256 4.248 20 0 DIADHN COc1ccc(CN(C)Cc2cc3cccc(OC)c3o2)cc1 ZINC000768161765 1051889382 /nfs/dbraw/zinc/88/93/82/1051889382.db2.gz ZVULIIBQXOCJJD-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN CCOc1ccc(CN(C)Cc2cncc3ccccc32)cc1 ZINC000768164356 1051892659 /nfs/dbraw/zinc/89/26/59/1051892659.db2.gz YTTXCCHCGUVFLC-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Cc1cc(F)ccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001173967248 1051898310 /nfs/dbraw/zinc/89/83/10/1051898310.db2.gz AWRYHGNKTPPDFD-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN COc1ccc(-c2cc(Nc3ccccc3CN(C)C)on2)cc1 ZINC001173927532 1051899832 /nfs/dbraw/zinc/89/98/32/1051899832.db2.gz GBAAUVAASBIOMA-UHFFFAOYSA-N 0 3 323.396 4.155 20 0 DIADHN CN(C)Cc1ccccc1Nc1snnc1-c1ccccc1 ZINC001173928711 1051900741 /nfs/dbraw/zinc/90/07/41/1051900741.db2.gz AYLUEOSQABWLRJ-UHFFFAOYSA-N 0 3 310.426 4.010 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Cc2cccnc2)cc1 ZINC001173930558 1051902401 /nfs/dbraw/zinc/90/24/01/1051902401.db2.gz IQWARYXSLDIYIB-UHFFFAOYSA-N 0 3 317.436 4.478 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(-c2ccncn2)cs1 ZINC001173929788 1051902645 /nfs/dbraw/zinc/90/26/45/1051902645.db2.gz IJWYKAILEFRTDY-UHFFFAOYSA-N 0 3 310.426 4.010 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(OC(F)(F)F)cc1 ZINC001173929358 1051903212 /nfs/dbraw/zinc/90/32/12/1051903212.db2.gz CPKFAVBKQWRKMD-UHFFFAOYSA-N 0 3 310.319 4.390 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc2ocnc2cc1Cl ZINC001173930729 1051903363 /nfs/dbraw/zinc/90/33/63/1051903363.db2.gz NQQTZHCRDIOVCS-UHFFFAOYSA-N 0 3 301.777 4.286 20 0 DIADHN Cc1cc(C(F)(F)F)ncc1Nc1ccccc1CN(C)C ZINC001173929455 1051903678 /nfs/dbraw/zinc/90/36/78/1051903678.db2.gz QKSUWMLIKZKDCF-UHFFFAOYSA-N 0 3 309.335 4.214 20 0 DIADHN Cc1ccc(C2=CCN(Cc3ccc4c[nH]nc4c3)CC2)cc1 ZINC000768214793 1051903982 /nfs/dbraw/zinc/90/39/82/1051903982.db2.gz QAZNHBLOFGQGHI-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(-c2ccc(F)cc2)no1 ZINC001173929850 1051903905 /nfs/dbraw/zinc/90/39/05/1051903905.db2.gz YWVPBRZLBNBNBT-UHFFFAOYSA-N 0 3 311.360 4.286 20 0 DIADHN Cc1cc(C)n(-c2ccc(Nc3ccccc3CN(C)C)cc2)n1 ZINC001173931806 1051904538 /nfs/dbraw/zinc/90/45/38/1051904538.db2.gz LQHVTZNBLKRSDH-UHFFFAOYSA-N 0 3 320.440 4.294 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(F)c3F)c2C1 ZINC001173946074 1051911198 /nfs/dbraw/zinc/91/11/98/1051911198.db2.gz RPGOYUAKBPTVRT-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc2c(c1)COC2 ZINC000768339681 1051921673 /nfs/dbraw/zinc/92/16/73/1051921673.db2.gz XXFYLBSIJMDOMZ-UHFFFAOYSA-N 0 3 301.817 4.392 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2ccccc2N2CCCCC2)nc1 ZINC000768355694 1051922273 /nfs/dbraw/zinc/92/22/73/1051922273.db2.gz PKSSGJWTLVXZGK-NRFANRHFSA-N 0 3 321.468 4.409 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cnc4ccccc4c3)cccc21 ZINC001174003477 1051929626 /nfs/dbraw/zinc/92/96/26/1051929626.db2.gz RQGGCKCFWLNLML-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@H]1C[C@@H](O)c1ccco1 ZINC000768507365 1051937187 /nfs/dbraw/zinc/93/71/87/1051937187.db2.gz BPSNFBXANVHCDK-DLBZAZTESA-N 0 3 319.832 4.330 20 0 DIADHN CC[C@@H](NCCSCCCO)c1ccc(Cl)cc1Cl ZINC000223273656 1051944195 /nfs/dbraw/zinc/94/41/95/1051944195.db2.gz WPBPYTVZHJQQCN-CQSZACIVSA-N 0 3 322.301 4.150 20 0 DIADHN COc1ccc(-c2noc([C@H](C)N3CCCCCCC3)n2)cc1 ZINC000768543990 1051944123 /nfs/dbraw/zinc/94/41/23/1051944123.db2.gz ZHLUEFVYNWDSLT-AWEZNQCLSA-N 0 3 315.417 4.072 20 0 DIADHN Cc1cnc([C@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)s1 ZINC000191018621 1051959710 /nfs/dbraw/zinc/95/97/10/1051959710.db2.gz RWVAEQPOVMYHMF-JKSUJKDBSA-N 0 3 320.502 4.374 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(OCC2CC2)cc1 ZINC000191321344 1051970212 /nfs/dbraw/zinc/97/02/12/1051970212.db2.gz RYCMZOJLNYDIRZ-FQEVSTJZSA-N 0 3 323.436 4.261 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4c(ccn4C)c3)cccc21 ZINC001174099049 1051974399 /nfs/dbraw/zinc/97/43/99/1051974399.db2.gz GDPCKGIHPHFGLV-IBGZPJMESA-N 0 3 321.424 4.307 20 0 DIADHN CC1(C)CCC(=O)N1CNC1(c2ccc(Cl)cc2)CCCC1 ZINC000808152617 1051981381 /nfs/dbraw/zinc/98/13/81/1051981381.db2.gz OFTGASWXPBMRHU-UHFFFAOYSA-N 0 3 320.864 4.057 20 0 DIADHN COC[C@@H](NC[C@@H](C)Oc1ccccc1)c1ccc(Cl)cc1 ZINC000494592085 1051981666 /nfs/dbraw/zinc/98/16/66/1051981666.db2.gz NLQSKLZWSGJRKB-RDTXWAMCSA-N 0 3 319.832 4.085 20 0 DIADHN CC[C@H](NC1CC(OCc2ccccc2)C1)c1c(C)noc1C ZINC000494595259 1051982233 /nfs/dbraw/zinc/98/22/33/1051982233.db2.gz MFNFKLNSVKMMEL-ABHNRTSZSA-N 0 3 314.429 4.080 20 0 DIADHN CC1(C)CC(=O)N(CN2CCC[C@@H]2c2cccc3ccccc32)C1 ZINC000808143151 1051982384 /nfs/dbraw/zinc/98/23/84/1051982384.db2.gz ODPZQXFOHPTHPX-LJQANCHMSA-N 0 3 322.452 4.193 20 0 DIADHN CCN(CCc1ccccn1)Cc1ccccc1OC1CCCC1 ZINC000769035155 1051990242 /nfs/dbraw/zinc/99/02/42/1051990242.db2.gz VXHNRQWZKOYRME-UHFFFAOYSA-N 0 3 324.468 4.468 20 0 DIADHN C[C@@H](NCCSc1cc(Cl)ccc1Cl)c1cn[nH]c1 ZINC000494703763 1052007220 /nfs/dbraw/zinc/00/72/20/1052007220.db2.gz OGHFZLSNXWRQNQ-SECBINFHSA-N 0 3 316.257 4.159 20 0 DIADHN CN(Cc1ccccc1Cl)C1CC(C)(C)N(O)C(C)(C)C1 ZINC001170001539 1052009013 /nfs/dbraw/zinc/00/90/13/1052009013.db2.gz JRFMFVXWMSBAQB-UHFFFAOYSA-N 0 3 310.869 4.183 20 0 DIADHN Clc1ccc(Br)cc1CNCCc1ccco1 ZINC000225246644 1052010018 /nfs/dbraw/zinc/01/00/18/1052010018.db2.gz DIIOVIAGPPEVMV-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(OC)c1C)c1ccc(F)cc1 ZINC000808385250 1052010479 /nfs/dbraw/zinc/01/04/79/1052010479.db2.gz OBFYOYOIFHBENX-KRWDZBQOSA-N 0 3 317.404 4.392 20 0 DIADHN CCC[C@@H](C)N(CC)CC(=O)Nc1ccccc1C(F)(F)F ZINC000192718909 1052018723 /nfs/dbraw/zinc/01/87/23/1052018723.db2.gz VTIPRNZSLBTAOV-GFCCVEGCSA-N 0 3 316.367 4.154 20 0 DIADHN C[C@@H](NCc1cnc(C2CCCC2)s1)[C@@H](O)c1ccccc1 ZINC000808445356 1052022530 /nfs/dbraw/zinc/02/25/30/1052022530.db2.gz OQGTTZRDZPBIJE-CXAGYDPISA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc2c(c1)COC2 ZINC000769319690 1052023538 /nfs/dbraw/zinc/02/35/38/1052023538.db2.gz WUDKUOLTKQTOCX-LJQANCHMSA-N 0 3 309.409 4.063 20 0 DIADHN C[C@H](NCc1c(Cl)oc2ccccc21)[C@H](O)c1ccccc1 ZINC000808445742 1052023975 /nfs/dbraw/zinc/02/39/75/1052023975.db2.gz RHAMSOZXNPGIAB-SJCJKPOMSA-N 0 3 315.800 4.298 20 0 DIADHN c1coc(-c2ncc(CNCCOC3CCCCCC3)s2)c1 ZINC000193113369 1052029625 /nfs/dbraw/zinc/02/96/25/1052029625.db2.gz DLMNQZORQRRNOP-UHFFFAOYSA-N 0 3 320.458 4.232 20 0 DIADHN Clc1ccc([C@H](NCCc2cnccn2)c2ccccc2)cc1 ZINC000494748716 1052038581 /nfs/dbraw/zinc/03/85/81/1052038581.db2.gz WIFSZDQKICAEIX-LJQANCHMSA-N 0 3 323.827 4.052 20 0 DIADHN CCCCn1cc(CN[C@H]2c3cc(C)ccc3C[C@H]2C)c(C)n1 ZINC000494748760 1052038862 /nfs/dbraw/zinc/03/88/62/1052038862.db2.gz WODFYSLKNGXXSL-FOIQADDNSA-N 0 3 311.473 4.323 20 0 DIADHN C[C@@H](NCCOc1cccnc1)c1cccc(Cl)c1Cl ZINC000765998269 1052051313 /nfs/dbraw/zinc/05/13/13/1052051313.db2.gz RVISVWMTFONWLC-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000769455636 1052057140 /nfs/dbraw/zinc/05/71/40/1052057140.db2.gz FYAKPNMPSULJEI-CYBMUJFWSA-N 0 3 305.805 4.068 20 0 DIADHN Fc1ccccc1C1CCN(Cc2ccc3c(c2)COC3)CC1 ZINC000769467824 1052061093 /nfs/dbraw/zinc/06/10/93/1052061093.db2.gz ZLOATGQLXFBDPA-UHFFFAOYSA-N 0 3 311.400 4.236 20 0 DIADHN CN(CCc1cccs1)Cc1ccc(CSC(F)F)o1 ZINC000769499994 1052069902 /nfs/dbraw/zinc/06/99/02/1052069902.db2.gz UMFPINWNXGDEOT-UHFFFAOYSA-N 0 3 317.426 4.471 20 0 DIADHN C[C@H]1C[C@H](Nc2ccc(N3CCN(C)CC3)cc2)c2ccccc21 ZINC000808714429 1052076249 /nfs/dbraw/zinc/07/62/49/1052076249.db2.gz ZZFKLLTXBMAFJS-KKSFZXQISA-N 0 3 321.468 4.099 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NC2CC(C(F)F)C2)cc1 ZINC000769537912 1052078723 /nfs/dbraw/zinc/07/87/23/1052078723.db2.gz NYKZJPWKEAOKOR-QEWOUOOISA-N 0 3 311.269 4.453 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@H](C)[C@H](O)c1ccccc1 ZINC000808720776 1052079875 /nfs/dbraw/zinc/07/98/75/1052079875.db2.gz YFHUVGLOJYPOOZ-JCGVRSQUSA-N 0 3 319.832 4.121 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(-c2ccco2)s1 ZINC000194429136 1052113355 /nfs/dbraw/zinc/11/33/55/1052113355.db2.gz QTPOOUBBXKFMQO-GFCCVEGCSA-N 0 3 310.488 4.367 20 0 DIADHN Nc1ccc(F)c2ccn(C3=CCCN(Cc4ccccc4)C3)c21 ZINC001174394671 1052116278 /nfs/dbraw/zinc/11/62/78/1052116278.db2.gz RTZBMOOMJPLMNM-UHFFFAOYSA-N 0 3 321.399 4.109 20 0 DIADHN N[C@H](Cc1nnc(-c2ccc3ccccc3c2)o1)c1cccs1 ZINC001217692555 1052117975 /nfs/dbraw/zinc/11/79/75/1052117975.db2.gz ITNNFBMVYJLZSP-OAHLLOKOSA-N 0 3 321.405 4.194 20 0 DIADHN c1ccc(CN2CCC=C(Nc3cccc(C4CC4)n3)C2)cc1 ZINC001174395397 1052118979 /nfs/dbraw/zinc/11/89/79/1052118979.db2.gz XZGKCITWSIFXJZ-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN c1nc2cc(NC3=CCCN(Cc4ccccc4)C3)ccc2o1 ZINC001174397361 1052119017 /nfs/dbraw/zinc/11/90/17/1052119017.db2.gz VSURPBSBWWJRCI-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN Fc1ccc([C@@H]2CCC[C@@H]2NCc2ncc(Cl)s2)cc1 ZINC000769917002 1052122515 /nfs/dbraw/zinc/12/25/15/1052122515.db2.gz MNIROGDMVUWNPH-STQMWFEESA-N 0 3 310.825 4.362 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](Nc1ccccc1)C(C)C ZINC000769874032 1052124403 /nfs/dbraw/zinc/12/44/03/1052124403.db2.gz LSUWYBZBGJFKNF-KSSFIOAISA-N 0 3 315.461 4.333 20 0 DIADHN CCn1nc(C)c(CNCc2ccc(C(C)(C)C)s2)c1C ZINC000769927482 1052132204 /nfs/dbraw/zinc/13/22/04/1052132204.db2.gz YTXSJMYOZYQBOP-UHFFFAOYSA-N 0 3 305.491 4.169 20 0 DIADHN F[C@H]1CNCC[C@@H]1OCc1ccc(Sc2ccccc2)cc1 ZINC001217795638 1052144629 /nfs/dbraw/zinc/14/46/29/1052144629.db2.gz TUPUXDLJEUXQJB-ROUUACIJSA-N 0 3 317.429 4.054 20 0 DIADHN F[C@@H]1CNCC[C@H]1OCc1ccc(Sc2ccccc2)cc1 ZINC001217795635 1052144998 /nfs/dbraw/zinc/14/49/98/1052144998.db2.gz TUPUXDLJEUXQJB-QZTJIDSGSA-N 0 3 317.429 4.054 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc(C2CCC2)cc1 ZINC000494848163 1052150732 /nfs/dbraw/zinc/15/07/32/1052150732.db2.gz WSPPSCJBYKYQIJ-UHFFFAOYSA-N 0 3 305.425 4.200 20 0 DIADHN F[C@H]1CNCC[C@H]1O[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217846056 1052155190 /nfs/dbraw/zinc/15/51/90/1052155190.db2.gz KJKWTBFITPMCNO-RCCFBDPRSA-N 0 3 319.807 4.146 20 0 DIADHN c1ccc2c(c1)cccc2CCO[C@H]1CNCc2ccccc21 ZINC001217937235 1052173340 /nfs/dbraw/zinc/17/33/40/1052173340.db2.gz CMCKBOIAPGQBAZ-NRFANRHFSA-N 0 3 303.405 4.243 20 0 DIADHN c1ccc2c(c1)cccc2CCO[C@@H]1CNCc2ccccc21 ZINC001217937236 1052173723 /nfs/dbraw/zinc/17/37/23/1052173723.db2.gz CMCKBOIAPGQBAZ-OAQYLSRUSA-N 0 3 303.405 4.243 20 0 DIADHN COc1ccccc1CC1CCN(C/C=C/c2ccncc2)CC1 ZINC000494923730 1052173959 /nfs/dbraw/zinc/17/39/59/1052173959.db2.gz RLNPULAOQKHLIO-SNAWJCMRSA-N 0 3 322.452 4.058 20 0 DIADHN COc1ccccc1CC1CCN(C/C=C\c2ccncc2)CC1 ZINC000494923729 1052174510 /nfs/dbraw/zinc/17/45/10/1052174510.db2.gz RLNPULAOQKHLIO-PLNGDYQASA-N 0 3 322.452 4.058 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2CCSC2)s1 ZINC000494938429 1052178954 /nfs/dbraw/zinc/17/89/54/1052178954.db2.gz HJWVDWXILBMCIT-AWEZNQCLSA-N 0 3 307.484 4.405 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494938176 1052179357 /nfs/dbraw/zinc/17/93/57/1052179357.db2.gz GZQLNIGDJXWPDG-WMLDXEAASA-N 0 3 320.458 4.039 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494938172 1052179284 /nfs/dbraw/zinc/17/92/84/1052179284.db2.gz GZQLNIGDJXWPDG-PBHICJAKSA-N 0 3 320.458 4.039 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(Cl)cs3)C2)cc1 ZINC000494943911 1052182216 /nfs/dbraw/zinc/18/22/16/1052182216.db2.gz AOHKFRSHGVISQU-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@@H]1SCCN(C/C=C/c2ccncc2)[C@@H]1c1ccccc1 ZINC000494962761 1052183177 /nfs/dbraw/zinc/18/31/77/1052183177.db2.gz ZKCCCVNMBIHAMA-KCVJVMPHSA-N 0 3 310.466 4.273 20 0 DIADHN c1coc(-c2ncc(CN3CC[C@H]3Cc3ccccc3)s2)c1 ZINC000494981653 1052189119 /nfs/dbraw/zinc/18/91/19/1052189119.db2.gz UOCHNIXGDUISKA-HNNXBMFYSA-N 0 3 310.422 4.220 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218070105 1052192166 /nfs/dbraw/zinc/19/21/66/1052192166.db2.gz LNHAKZZQBUJVKZ-QZTJIDSGSA-N 0 3 317.429 4.058 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218068552 1052192501 /nfs/dbraw/zinc/19/25/01/1052192501.db2.gz WTUZNPRUZQGPHD-SJLPKXTDSA-N 0 3 319.807 4.010 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1ccc(Cl)cc1-c1ccccc1 ZINC001218073080 1052192623 /nfs/dbraw/zinc/19/26/23/1052192623.db2.gz YUNYSUDUXQPKOC-DOTOQJQBSA-N 0 3 305.780 4.086 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@@H]1CCCN1Cc1cccc(Cl)c1Cl ZINC000228968713 1052207800 /nfs/dbraw/zinc/20/78/00/1052207800.db2.gz QMROYCAAXPIQJL-AEGPPILISA-N 0 3 314.256 4.119 20 0 DIADHN c1ccc(COC2CCC(Oc3cccc4c3CNC4)CC2)cc1 ZINC001218306577 1052221446 /nfs/dbraw/zinc/22/14/46/1052221446.db2.gz IREUBIJOZKQQSI-UHFFFAOYSA-N 0 3 323.436 4.197 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cnc(-c2ccco2)s1 ZINC000495151481 1052235911 /nfs/dbraw/zinc/23/59/11/1052235911.db2.gz QADKQUOSTDBLJJ-UHFFFAOYSA-N 0 3 316.401 4.217 20 0 DIADHN CC(C)Cn1cc(CN[C@H]2CCc3ccc(C(C)C)cc32)cn1 ZINC000495151644 1052237201 /nfs/dbraw/zinc/23/72/01/1052237201.db2.gz QPVVEFFWVTUILO-FQEVSTJZSA-N 0 3 311.473 4.440 20 0 DIADHN Clc1ccccc1C[C@@H]1CCCN1C/C=C/c1ccncc1 ZINC000495162720 1052239630 /nfs/dbraw/zinc/23/96/30/1052239630.db2.gz CJKNBECYHFEOQV-YZTRRJNXSA-N 0 3 312.844 4.455 20 0 DIADHN Clc1cnc2ccc(O[C@@H]3CNCc4ccccc43)cc2c1 ZINC001218486298 1052246958 /nfs/dbraw/zinc/24/69/58/1052246958.db2.gz AMSTZSDSJBIRJT-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN COc1cccc2c(O[C@@H]3CNCc4ccccc43)cccc12 ZINC001218487098 1052247200 /nfs/dbraw/zinc/24/72/00/1052247200.db2.gz HJDJFNMOVCXDHJ-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1cnc2cc(O[C@H]3CNCc4ccccc43)ccc2c1 ZINC001218488167 1052247403 /nfs/dbraw/zinc/24/74/03/1052247403.db2.gz JPTKZOUVVYMVKM-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc(O[C@@H]2CNCc3ccccc32)c2ccccc12 ZINC001218488884 1052247608 /nfs/dbraw/zinc/24/76/08/1052247608.db2.gz RRUYUMSONUWSPF-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@@H]1CNCc2ccccc21 ZINC001218485801 1052247756 /nfs/dbraw/zinc/24/77/56/1052247756.db2.gz BCGSNNAJEQPEDJ-OAQYLSRUSA-N 0 3 317.388 4.266 20 0 DIADHN Clc1cccc2ccc(O[C@@H]3CNCc4ccccc43)nc12 ZINC001218489546 1052248250 /nfs/dbraw/zinc/24/82/50/1052248250.db2.gz PQFDUTIJNMEDCI-MRXNPFEDSA-N 0 3 310.784 4.112 20 0 DIADHN CC(=O)c1ccc2ccccc2c1O[C@H]1CNCc2ccccc21 ZINC001218487705 1052248295 /nfs/dbraw/zinc/24/82/95/1052248295.db2.gz VXHTVZPJXOITAF-FQEVSTJZSA-N 0 3 317.388 4.266 20 0 DIADHN O=Nc1c(O[C@H]2CNCc3ccccc32)ccc2ccccc21 ZINC001218490349 1052250032 /nfs/dbraw/zinc/25/00/32/1052250032.db2.gz YMOLNGYPCQAPBR-SFHVURJKSA-N 0 3 304.349 4.461 20 0 DIADHN Clc1cc2cc(O[C@@H]3CNCc4ccccc43)ccc2cn1 ZINC001218493083 1052250125 /nfs/dbraw/zinc/25/01/25/1052250125.db2.gz HASXMHUBGDNLST-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN CSc1c(Cl)cccc1O[C@@H]1CNCc2ccccc21 ZINC001218493811 1052250677 /nfs/dbraw/zinc/25/06/77/1052250677.db2.gz YTHIBYGIONRARI-OAHLLOKOSA-N 0 3 305.830 4.285 20 0 DIADHN Cc1ccc(C[C@H](N)C(=O)Nc2cc3ccccc3s2)c(C)c1 ZINC001218580652 1052252831 /nfs/dbraw/zinc/25/28/31/1052252831.db2.gz HIZPFXSOGJIMBG-INIZCTEOSA-N 0 3 324.449 4.027 20 0 DIADHN N[C@@H](CCCc1ccccc1)C(=O)Nc1cc2ccccc2s1 ZINC001218580188 1052253167 /nfs/dbraw/zinc/25/31/67/1052253167.db2.gz AAUGSXCACZYILU-INIZCTEOSA-N 0 3 324.449 4.190 20 0 DIADHN C=Cc1ccc(NC(=O)[C@H]2CCC[C@@H](c3ccccc3)N2)cc1 ZINC001218842146 1052258565 /nfs/dbraw/zinc/25/85/65/1052258565.db2.gz VLZHIWKRQFZQEE-RBUKOAKNSA-N 0 3 306.409 4.152 20 0 DIADHN CCCCCCc1ccc(NC(=O)C[C@H]2CCNC[C@H]2F)cc1 ZINC001218837849 1052258725 /nfs/dbraw/zinc/25/87/25/1052258725.db2.gz JRLPYSVDVGMBSG-SJLPKXTDSA-N 0 3 320.452 4.086 20 0 DIADHN CC(C)[C@H](N)C(=O)Nc1ccc(Oc2ccccc2)c(Cl)c1 ZINC001218956819 1052263237 /nfs/dbraw/zinc/26/32/37/1052263237.db2.gz AKHOCJDTVJKKCL-INIZCTEOSA-N 0 3 318.804 4.054 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](N)CCCc1ccccc1 ZINC001218949310 1052264109 /nfs/dbraw/zinc/26/41/09/1052264109.db2.gz RUIXTNLZGMUUQK-IBGZPJMESA-N 0 3 324.468 4.100 20 0 DIADHN CC(C)[C@@H](C)N(C)CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000642330244 1052291829 /nfs/dbraw/zinc/29/18/29/1052291829.db2.gz GTWXGSAVOULFGX-GOSISDBHSA-N 0 3 324.468 4.196 20 0 DIADHN CC1(C)CCC(CC(=O)N2CCN(C3CCCCC3)CC2)CC1 ZINC001127335078 1052298812 /nfs/dbraw/zinc/29/88/12/1052298812.db2.gz JFQSDPIXKKVKQF-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCc1ccc2c(CN[C@H](C)c3cccs3)cc(=O)oc2c1 ZINC000192677458 1052320136 /nfs/dbraw/zinc/32/01/36/1052320136.db2.gz CBZPTOHQSZJVJH-GFCCVEGCSA-N 0 3 313.422 4.268 20 0 DIADHN C[C@H](CNCc1cc(O)ccc1Br)c1ccccc1 ZINC000315415639 1052338039 /nfs/dbraw/zinc/33/80/39/1052338039.db2.gz LQMHXIJEKVZLHP-GFCCVEGCSA-N 0 3 320.230 4.048 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@@H](C)NC/C=C\c1ccccc1 ZINC000495406917 1052338344 /nfs/dbraw/zinc/33/83/44/1052338344.db2.gz HDYPNHWGFDLIMI-YYRKOSNBSA-N 0 3 324.424 4.018 20 0 DIADHN Cc1ccc([C@H](C)NCCNc2cccc(Br)c2)o1 ZINC000495426766 1052344730 /nfs/dbraw/zinc/34/47/30/1052344730.db2.gz NFPQNVSDGQUGKV-LBPRGKRZSA-N 0 3 323.234 4.113 20 0 DIADHN Cc1ccc([C@@H](C)NCCNc2cccc(Br)c2)o1 ZINC000495426764 1052345143 /nfs/dbraw/zinc/34/51/43/1052345143.db2.gz NFPQNVSDGQUGKV-GFCCVEGCSA-N 0 3 323.234 4.113 20 0 DIADHN C=CCc1cc(CN[C@@H](C)c2ccsc2)cc(OC)c1OC ZINC000089520623 1052393080 /nfs/dbraw/zinc/39/30/80/1052393080.db2.gz XHWBKDYOLGUINZ-ZDUSSCGKSA-N 0 3 317.454 4.345 20 0 DIADHN CCCCCC[C@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000915345352 1052398553 /nfs/dbraw/zinc/39/85/53/1052398553.db2.gz AWRIFUBOEKJLFS-HNNXBMFYSA-N 0 3 305.466 4.229 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N1CC[C@@H]1COCc1ccccc1 ZINC001168492364 1052408721 /nfs/dbraw/zinc/40/87/21/1052408721.db2.gz QYNPBPGYTGJMOX-OXQOHEQNSA-N 0 3 313.416 4.048 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc(OC(C)=O)cc1 ZINC000762633418 1052410220 /nfs/dbraw/zinc/41/02/20/1052410220.db2.gz PGACGINGLVZCHF-UHFFFAOYSA-N 0 3 317.816 4.287 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCc3c2cc(F)cc3F)cc1 ZINC000154563261 1052422152 /nfs/dbraw/zinc/42/21/52/1052422152.db2.gz XRVSHOSLRKQLRB-LJQANCHMSA-N 0 3 317.379 4.278 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCc3c2cc(F)cc3F)cc1 ZINC000154563366 1052422305 /nfs/dbraw/zinc/42/23/05/1052422305.db2.gz XRVSHOSLRKQLRB-IBGZPJMESA-N 0 3 317.379 4.278 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2cccc3[nH]ccc32)c1 ZINC000236759593 1052430057 /nfs/dbraw/zinc/43/00/57/1052430057.db2.gz JPLDEHBMKQJAIG-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CN1CCC[C@@H](N[C@@H]2CCCc3cccnc32)c2ccccc21 ZINC000648805610 1052431269 /nfs/dbraw/zinc/43/12/69/1052431269.db2.gz WHTJCEAUSKYUNC-QZTJIDSGSA-N 0 3 307.441 4.020 20 0 DIADHN CCCC[C@H](N)C(=O)Nc1cccc(CSC(C)C)c1C ZINC000236848693 1052431542 /nfs/dbraw/zinc/43/15/42/1052431542.db2.gz HETKSLMGUOINCF-HNNXBMFYSA-N 0 3 308.491 4.093 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)cc1)c1cccc(Cl)c1 ZINC000237007382 1052434320 /nfs/dbraw/zinc/43/43/20/1052434320.db2.gz SCYWXLFOCADFGV-QGZVFWFLSA-N 0 3 317.816 4.368 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccccc32)ccc1OC(C)C ZINC000237360175 1052439110 /nfs/dbraw/zinc/43/91/10/1052439110.db2.gz NOBWKRHKEWPOIK-GOSISDBHSA-N 0 3 311.425 4.260 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@@H]2CCCCO2)o1 ZINC000237660145 1052442848 /nfs/dbraw/zinc/44/28/48/1052442848.db2.gz YMCMLFKPPOPKKJ-ZDUSSCGKSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@@H]3CCC[C@@H]3C)cs2)cc1 ZINC000237602841 1052443272 /nfs/dbraw/zinc/44/32/72/1052443272.db2.gz HQENFULLVTVMCT-WMLDXEAASA-N 0 3 316.470 4.309 20 0 DIADHN CCOc1ccc(-c2cccc(CN3CCCC3)c2F)c(C)n1 ZINC001222122542 1052446117 /nfs/dbraw/zinc/44/61/17/1052446117.db2.gz YRHKOFRSSXXQRR-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1ccc(OC(C)C)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001222138018 1052448281 /nfs/dbraw/zinc/44/82/81/1052448281.db2.gz XBSQRIVYVMPUJL-UHFFFAOYSA-N 0 3 310.441 4.438 20 0 DIADHN CC(C)c1ccc(C(=O)N(CCN(C)C)Cc2ccccc2)cc1 ZINC000495965323 1052469073 /nfs/dbraw/zinc/46/90/73/1052469073.db2.gz JPBCLGFVQPNSBT-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Cl)ccc21)c1ccc2c(c1)OCO2 ZINC000316612409 1052470504 /nfs/dbraw/zinc/47/05/04/1052470504.db2.gz JOBIHMPCDOUQRG-BDJLRTHQSA-N 0 3 315.800 4.407 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1ccc2c(c1)OCO2 ZINC000316612414 1052470592 /nfs/dbraw/zinc/47/05/92/1052470592.db2.gz JOBIHMPCDOUQRG-MEDUHNTESA-N 0 3 315.800 4.407 20 0 DIADHN C[C@@H](NC[C@H](O)c1cccc(F)c1)c1cc(Cl)ccc1F ZINC001168499335 1052483539 /nfs/dbraw/zinc/48/35/39/1052483539.db2.gz WCHZBQYTTIQZHO-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763037590 1052492545 /nfs/dbraw/zinc/49/25/45/1052492545.db2.gz SXFPZIOHXXOWCR-BBRMVZONSA-N 0 3 317.408 4.324 20 0 DIADHN CCCn1cc(CNC2(c3ccc(F)cc3)CCCC2)c(C)n1 ZINC001649020456 1052516744 /nfs/dbraw/zinc/51/67/44/1052516744.db2.gz YSFZGBKLDHUKGY-UHFFFAOYSA-N 0 3 315.436 4.300 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(O)ccc2OC)c1F ZINC001222655720 1052523240 /nfs/dbraw/zinc/52/32/40/1052523240.db2.gz RNANLUVKHYMTTK-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1nc2ccc(NCc3ccc(F)c(Cl)c3F)cc2[nH]1 ZINC001168505118 1052532961 /nfs/dbraw/zinc/53/29/61/1052532961.db2.gz DIIRNNBKVRDZCA-UHFFFAOYSA-N 0 3 307.731 4.415 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1C ZINC001222850600 1052539321 /nfs/dbraw/zinc/53/93/21/1052539321.db2.gz BMATUSSQFKGENK-ICSRJNTNSA-N 0 3 324.468 4.441 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)Nc1cc(Cl)ccc1F ZINC000107071203 1052544453 /nfs/dbraw/zinc/54/44/53/1052544453.db2.gz XBPCRLJVNILAOK-VXGBXAGGSA-N 0 3 320.795 4.157 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)C(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000670268486 1052547692 /nfs/dbraw/zinc/54/76/92/1052547692.db2.gz KJORCFODRXVZGJ-DZGCQCFKSA-N 0 3 316.449 4.101 20 0 DIADHN CC(C)N1CCC[C@H](OC(F)(F)c2ccc(F)c(F)c2F)C1 ZINC001222985262 1052554384 /nfs/dbraw/zinc/55/43/84/1052554384.db2.gz OTPPGHYWOVYTKF-JTQLQIEISA-N 0 3 323.305 4.043 20 0 DIADHN CC(C)N1CCC[C@@H](OC(F)(F)c2ccc(F)c(F)c2F)C1 ZINC001222985263 1052554627 /nfs/dbraw/zinc/55/46/27/1052554627.db2.gz OTPPGHYWOVYTKF-SNVBAGLBSA-N 0 3 323.305 4.043 20 0 DIADHN C=Cc1ccc(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc1 ZINC001223150333 1052572973 /nfs/dbraw/zinc/57/29/73/1052572973.db2.gz BDMMUHKHLDKVQG-VQIMIIECSA-N 0 3 308.425 4.077 20 0 DIADHN CCN1CC[C@@H](OC(Cl)(c2ccccc2)c2ccccc2)C1 ZINC001223159892 1052573650 /nfs/dbraw/zinc/57/36/50/1052573650.db2.gz NDFKPBVRMOBVPI-GOSISDBHSA-N 0 3 315.844 4.237 20 0 DIADHN CCCC[C@@H](CC)CNC(=S)Nc1cccc(CN(C)C)c1 ZINC000916010531 1052581889 /nfs/dbraw/zinc/58/18/89/1052581889.db2.gz IVHFVLRWSZGTQY-OAHLLOKOSA-N 0 3 321.534 4.251 20 0 DIADHN C=C(O[C@H]1CCCN([C@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223639626 1052622843 /nfs/dbraw/zinc/62/28/43/1052622843.db2.gz SXPUVLBZUFSXPH-APWZRJJASA-N 0 3 308.425 4.295 20 0 DIADHN CC[C@@H](O[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223703909 1052628198 /nfs/dbraw/zinc/62/81/98/1052628198.db2.gz AEFLRPVAUUJIGK-ILZDJORESA-N 0 3 310.441 4.385 20 0 DIADHN Clc1ccc(CNC[C@@H]2Cc3ccccc3O2)c(Cl)c1 ZINC000037986431 1052630299 /nfs/dbraw/zinc/63/02/99/1052630299.db2.gz ATIAXFHCEFWRAF-AWEZNQCLSA-N 0 3 308.208 4.087 20 0 DIADHN CO[C@H](CN(C)Cc1ccc(Cl)c(F)c1)c1ccccc1 ZINC001307651097 1052630364 /nfs/dbraw/zinc/63/03/64/1052630364.db2.gz FMTMACMLYIGVEC-QGZVFWFLSA-N 0 3 307.796 4.299 20 0 DIADHN CC[C@@H](NCc1cnn(Cc2ccccc2)c1)c1ccccc1 ZINC000124027464 1052636274 /nfs/dbraw/zinc/63/62/74/1052636274.db2.gz PBIDFVNUWJCFNL-HXUWFJFHSA-N 0 3 305.425 4.172 20 0 DIADHN CC(C)(NCc1cc2ccccc2[nH]c1=O)c1cccc(F)c1 ZINC000124661107 1052642578 /nfs/dbraw/zinc/64/25/78/1052642578.db2.gz DYZSKLPAIXXMHG-UHFFFAOYSA-N 0 3 310.372 4.104 20 0 DIADHN c1ccc(C2CCN(Cc3ccc(N4CCCC4)nc3)CC2)cc1 ZINC000125409810 1052648504 /nfs/dbraw/zinc/64/85/04/1052648504.db2.gz UZTVMYBZYBEIJA-UHFFFAOYSA-N 0 3 321.468 4.061 20 0 DIADHN C[C@@H](O[C@@H]1CCN([C@H](C)c2ccccn2)C1)c1ccc(F)cc1 ZINC001224008405 1052659744 /nfs/dbraw/zinc/65/97/44/1052659744.db2.gz CLJIYGKEXSHZTH-IIDMSEBBSA-N 0 3 314.404 4.134 20 0 DIADHN CC(C)c1ccc(-c2nnc(SC[C@H]3CCCN3C)o2)cc1 ZINC000916874197 1052663788 /nfs/dbraw/zinc/66/37/88/1052663788.db2.gz RWTZIBFDXYHNKF-OAHLLOKOSA-N 0 3 317.458 4.046 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(OC)c3ccccc32)cn1 ZINC000126386270 1052669259 /nfs/dbraw/zinc/66/92/59/1052669259.db2.gz IEYLPSXGZMFFRX-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CC(C)CC[C@H](C)N[C@@H](C)c1ncc(Br)s1 ZINC000126370987 1052669301 /nfs/dbraw/zinc/66/93/01/1052669301.db2.gz LRVDDBFMCQFSIS-UWVGGRQHSA-N 0 3 305.285 4.381 20 0 DIADHN Fc1cc(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)ccc1Cl ZINC001224118997 1052670307 /nfs/dbraw/zinc/67/03/07/1052670307.db2.gz OTEFPINDDVOVQE-ZFWWWQNUSA-N 0 3 316.807 4.120 20 0 DIADHN C[C@H](N[C@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000126647010 1052672501 /nfs/dbraw/zinc/67/25/01/1052672501.db2.gz QQFPOVHWUOUGLS-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)c1ccc(CN(C)C[C@H](O)c2c(F)cccc2F)cc1 ZINC000916969075 1052675848 /nfs/dbraw/zinc/67/58/48/1052675848.db2.gz CEXGQPGKWYWLPW-SFHVURJKSA-N 0 3 319.395 4.254 20 0 DIADHN CCc1ccccc1CO[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001224218380 1052679298 /nfs/dbraw/zinc/67/92/98/1052679298.db2.gz OIQIGPWTJROXSS-XLIONFOSSA-N 0 3 324.468 4.386 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1C[C@@H](O)c1c(F)cccc1F ZINC000917012914 1052680393 /nfs/dbraw/zinc/68/03/93/1052680393.db2.gz MZDOMLYTCGDKGB-ZWKOTPCHSA-N 0 3 317.379 4.144 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2ccc(Cl)s2)cc1 ZINC000127047292 1052686704 /nfs/dbraw/zinc/68/67/04/1052686704.db2.gz VTJNMBRQRLBBPI-LBPRGKRZSA-N 0 3 324.877 4.311 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2cc3ccccc3o2)cc1 ZINC000127208353 1052689912 /nfs/dbraw/zinc/68/99/12/1052689912.db2.gz MDDBEVOOAQRJRR-OAHLLOKOSA-N 0 3 324.424 4.342 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C[C@H](C)C1 ZINC000127244368 1052691358 /nfs/dbraw/zinc/69/13/58/1052691358.db2.gz OGSAFZZXLBYHRH-QWHCGFSZSA-N 0 3 319.836 4.258 20 0 DIADHN CCn1nc(C)c(CN(C)Cc2cccc3ccccc32)c1C ZINC000127286173 1052692566 /nfs/dbraw/zinc/69/25/66/1052692566.db2.gz NAAAZIONBDGONK-UHFFFAOYSA-N 0 3 307.441 4.305 20 0 DIADHN Cc1cc(N[C@@H](c2ccccc2)c2cccc(Cl)c2)nc(N)n1 ZINC000917083251 1052693533 /nfs/dbraw/zinc/69/35/33/1052693533.db2.gz CGFZWMCYGKWCSK-KRWDZBQOSA-N 0 3 324.815 4.222 20 0 DIADHN Cc1cc(C)c(NC(=O)c2cccc(CN3CCCC3)c2)c(C)c1 ZINC000127593758 1052699235 /nfs/dbraw/zinc/69/92/35/1052699235.db2.gz LJDGOUDDDFZABD-UHFFFAOYSA-N 0 3 322.452 4.460 20 0 DIADHN CCN(C[C@@H](O)c1c(F)cccc1F)[C@H](C)c1ccc(F)cc1 ZINC000917141194 1052711393 /nfs/dbraw/zinc/71/13/93/1052711393.db2.gz QBRPELKEFLBOGO-SJKOYZFVSA-N 0 3 323.358 4.220 20 0 DIADHN Cc1cc2cc([C@@H](C)NC[C@H](O)c3ccsc3)oc2cc1C ZINC000154437240 1052734457 /nfs/dbraw/zinc/73/44/57/1052734457.db2.gz ATZIOSBFKGEYIW-CJNGLKHVSA-N 0 3 315.438 4.495 20 0 DIADHN C[C@@H](CCO[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001224994640 1052740998 /nfs/dbraw/zinc/74/09/98/1052740998.db2.gz LNPOFIXLUCAXRY-CMKODMSKSA-N 0 3 324.468 4.427 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OCC2=CCCCC2)C1 ZINC001224996063 1052741609 /nfs/dbraw/zinc/74/16/09/1052741609.db2.gz BKKWULXCAGPPFN-FUHWJXTLSA-N 0 3 300.446 4.124 20 0 DIADHN O=C1c2cccc3cccc(c23)N1CN1CCC[C@@H]1C1CCCC1 ZINC000917619539 1052745219 /nfs/dbraw/zinc/74/52/19/1052745219.db2.gz JTJRQAZXKHBGKP-GOSISDBHSA-N 0 3 320.436 4.412 20 0 DIADHN Cc1cc(C)cc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001225245126 1052762579 /nfs/dbraw/zinc/76/25/79/1052762579.db2.gz POMDAHVCQKAWRN-QZTJIDSGSA-N 0 3 310.441 4.303 20 0 DIADHN CN(Cc1cccc(C#N)c1)Cc1cc(Cl)nc2ccccc12 ZINC000154573185 1052763647 /nfs/dbraw/zinc/76/36/47/1052763647.db2.gz AFKQZMUOVGXGLQ-UHFFFAOYSA-N 0 3 321.811 4.392 20 0 DIADHN CN(Cc1ccc(C#N)cc1)Cc1cc(Cl)nc2ccccc12 ZINC000154573438 1052763785 /nfs/dbraw/zinc/76/37/85/1052763785.db2.gz VDMWVKWADOYVAU-UHFFFAOYSA-N 0 3 321.811 4.392 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2ccc3c(c2)CCC3)C1 ZINC001225267956 1052765860 /nfs/dbraw/zinc/76/58/60/1052765860.db2.gz SZLGTTSTWUTDRY-JXFKEZNVSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCCc1nc(-c2cccs2)no1 ZINC000069068211 1052779592 /nfs/dbraw/zinc/77/95/92/1052779592.db2.gz YPCSCQURPXAENO-LBPRGKRZSA-N 0 3 317.414 4.017 20 0 DIADHN Cc1c[nH]c2cccc(O[C@@H]3CCN([C@@H](C)c4ccccn4)C3)c12 ZINC001225392373 1052784849 /nfs/dbraw/zinc/78/48/49/1052784849.db2.gz CVAIATYVQMOIRU-JKSUJKDBSA-N 0 3 321.424 4.086 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@H](c2nccn2C)C1 ZINC000444796333 1052793031 /nfs/dbraw/zinc/79/30/31/1052793031.db2.gz BGHPMFUOZGWIEU-JSGCOSHPSA-N 0 3 321.827 4.153 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cnn(-c3ccccc3)c2)s1 ZINC000129517710 1052793213 /nfs/dbraw/zinc/79/32/13/1052793213.db2.gz LAQXIBKFHAQMCR-CQSZACIVSA-N 0 3 311.454 4.347 20 0 DIADHN CC[C@H](NCc1ccc(F)c(C)c1)c1ccc(C(=O)OC)cc1 ZINC000556055179 1052794305 /nfs/dbraw/zinc/79/43/05/1052794305.db2.gz QLDJZFFAMCXHRX-SFHVURJKSA-N 0 3 315.388 4.162 20 0 DIADHN CCCOc1cccc(CN[C@@H](C)c2ccc(OC)c(F)c2)c1 ZINC000069669280 1052798439 /nfs/dbraw/zinc/79/84/39/1052798439.db2.gz QJBMPTHXXAMSKU-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN CSc1ccccc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001225668237 1052815148 /nfs/dbraw/zinc/81/51/48/1052815148.db2.gz JCDFCCKOHYGARQ-KRWDZBQOSA-N 0 3 313.466 4.452 20 0 DIADHN C[C@H](CCN[C@@H](c1nnc[nH]1)c1ccc(F)cc1)CC(C)(C)C ZINC000556178687 1052816883 /nfs/dbraw/zinc/81/68/83/1052816883.db2.gz LKHOURISVKAQQQ-CZUORRHYSA-N 0 3 318.440 4.085 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2cc3c(s2)CCCC3)cnn1C ZINC000130061109 1052820267 /nfs/dbraw/zinc/82/02/67/1052820267.db2.gz AWUYVJNTWULDML-NEPJUHHUSA-N 0 3 303.475 4.081 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2csc(C(C)(C)C)n2)o1 ZINC000498353234 1052820532 /nfs/dbraw/zinc/82/05/32/1052820532.db2.gz LZUPEIFWTDTVDG-DOMZBBRYSA-N 0 3 318.486 4.489 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2csc(C(C)(C)C)n2)o1 ZINC000498353235 1052820658 /nfs/dbraw/zinc/82/06/58/1052820658.db2.gz LZUPEIFWTDTVDG-IUODEOHRSA-N 0 3 318.486 4.489 20 0 DIADHN CO[C@@H](CNC(c1ccc(F)cc1)c1ccc(F)cc1)C1CC1 ZINC000556238699 1052824553 /nfs/dbraw/zinc/82/45/53/1052824553.db2.gz LEOHWIRMQKIQJT-SFHVURJKSA-N 0 3 317.379 4.069 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1cccs1 ZINC000556242609 1052825236 /nfs/dbraw/zinc/82/52/36/1052825236.db2.gz UCGQIFOVGMPTKY-STQMWFEESA-N 0 3 315.417 4.485 20 0 DIADHN O[C@@H](CN1CCCCCCC1)c1ccccc1C(F)(F)F ZINC000918734738 1052827953 /nfs/dbraw/zinc/82/79/53/1052827953.db2.gz AJJCUNKFCGCSLU-HNNXBMFYSA-N 0 3 301.352 4.005 20 0 DIADHN Cc1ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc1Cl ZINC001225863864 1052839703 /nfs/dbraw/zinc/83/97/03/1052839703.db2.gz VGIKSHBXZJJRGE-GOEBONIOSA-N 0 3 316.832 4.258 20 0 DIADHN Fc1ccc(F)c(OC2CCN(Cc3ccccc3)CC2)c1F ZINC001225873259 1052840531 /nfs/dbraw/zinc/84/05/31/1052840531.db2.gz UGIFCNNSIBVNEQ-UHFFFAOYSA-N 0 3 321.342 4.147 20 0 DIADHN OC1(CCNCc2cc(Cl)ccc2OC2CCCC2)CCC1 ZINC000556409126 1052848994 /nfs/dbraw/zinc/84/89/94/1052848994.db2.gz CVLXJFHMZJLGHJ-UHFFFAOYSA-N 0 3 323.864 4.056 20 0 DIADHN COCC1(NCc2ccc(-c3cccc(F)c3C)o2)CCC1 ZINC000556409166 1052849433 /nfs/dbraw/zinc/84/94/33/1052849433.db2.gz SKANDTNSDOGWPL-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2ccccc2)cc1F ZINC001225969067 1052854477 /nfs/dbraw/zinc/85/44/77/1052854477.db2.gz NVEBKGIRTMCIRZ-IBGZPJMESA-N 0 3 315.388 4.100 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2cccs2)cc1F ZINC001225966441 1052854503 /nfs/dbraw/zinc/85/45/03/1052854503.db2.gz NYXDLVGLOFCHSF-INIZCTEOSA-N 0 3 321.417 4.162 20 0 DIADHN CN(CCCc1ccccc1)Cc1ccc(C(F)(F)F)cn1 ZINC000556491571 1052854853 /nfs/dbraw/zinc/85/48/53/1052854853.db2.gz AJDXXRVBFQZHMD-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2cccc(C(=O)c3ccccc3)c2)C1 ZINC001225999230 1052857377 /nfs/dbraw/zinc/85/73/77/1052857377.db2.gz RBMWTDBJUNMKIG-HXUWFJFHSA-N 0 3 323.436 4.169 20 0 DIADHN Clc1ccc(CNC2(c3ccccc3)CCOCC2)s1 ZINC000071907429 1052863519 /nfs/dbraw/zinc/86/35/19/1052863519.db2.gz WYIOQMOTFPZNOY-UHFFFAOYSA-N 0 3 307.846 4.197 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cnc(OC)c(Cl)c1 ZINC000556586464 1052867895 /nfs/dbraw/zinc/86/78/95/1052867895.db2.gz UQPGVJQABGNXBK-LBPRGKRZSA-N 0 3 304.821 4.157 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(C(C)(C)C)nc2)[C@@H]2CCCC[C@@H]12 ZINC000556599359 1052869439 /nfs/dbraw/zinc/86/94/39/1052869439.db2.gz MHNFWQRPLBGOAH-HYVNUMGLSA-N 0 3 301.478 4.175 20 0 DIADHN Cn1cccc1CN(Cc1cccs1)C1Cc2ccccc2C1 ZINC000556620230 1052872202 /nfs/dbraw/zinc/87/22/02/1052872202.db2.gz XJKNMRSFOCIVFS-UHFFFAOYSA-N 0 3 322.477 4.256 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@H]2CCSC2)c2cccnc2)cc1 ZINC000925471963 1052887736 /nfs/dbraw/zinc/88/77/36/1052887736.db2.gz FOXQYAOBCYMRDG-RBUKOAKNSA-N 0 3 312.482 4.390 20 0 DIADHN C[C@H](NCC1(c2cccc(F)c2)CCCCC1)c1ncc[nH]1 ZINC000556873312 1052891750 /nfs/dbraw/zinc/89/17/50/1052891750.db2.gz OUMQMHHPIAWQHJ-AWEZNQCLSA-N 0 3 301.409 4.102 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)N[C@@H](C)c1c(F)cncc1F ZINC000556917354 1052898425 /nfs/dbraw/zinc/89/84/25/1052898425.db2.gz QMOJXJJQHOYXCB-RYUDHWBXSA-N 0 3 308.347 4.171 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2ccccc2)C1)c1c(F)cncc1F ZINC000556917008 1052899091 /nfs/dbraw/zinc/89/90/91/1052899091.db2.gz LMJQXDXOHLBQHJ-AEGPPILISA-N 0 3 302.368 4.347 20 0 DIADHN COC[C@@H](NCc1cccc(-c2cccnc2)c1)c1ccccc1 ZINC000556967810 1052905884 /nfs/dbraw/zinc/90/58/84/1052905884.db2.gz REMIMLZUUMSUPE-OAQYLSRUSA-N 0 3 318.420 4.226 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCCN2Cc2cnc(N)s2)cc1 ZINC000557002323 1052910612 /nfs/dbraw/zinc/91/06/12/1052910612.db2.gz PURFGRFPUCGWQL-KRWDZBQOSA-N 0 3 315.486 4.576 20 0 DIADHN C[NH+](C)CC[C@@H](Oc1cccc([O-])c1Cl)c1ccccc1 ZINC001226708490 1052923951 /nfs/dbraw/zinc/92/39/51/1052923951.db2.gz GGZSJZINJFSTQA-OAHLLOKOSA-N 0 3 305.805 4.117 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cccc3c2CCC3)C1 ZINC001226796203 1052932746 /nfs/dbraw/zinc/93/27/46/1052932746.db2.gz VFTZRSDCSFTBMA-WMZOPIPTSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cccc3c2CCC3)C1 ZINC001226796202 1052932815 /nfs/dbraw/zinc/93/28/15/1052932815.db2.gz VFTZRSDCSFTBMA-SJLPKXTDSA-N 0 3 322.452 4.175 20 0 DIADHN CC(C)[C@@H]1CCCN1Cn1ccn(Cc2ccccc2)c1=S ZINC000920485554 1052942505 /nfs/dbraw/zinc/94/25/05/1052942505.db2.gz TWDNAVZDLMQEKL-KRWDZBQOSA-N 0 3 315.486 4.145 20 0 DIADHN CCC(=O)c1ccc(O[C@@H](CCN(C)C)c2ccccc2)cc1 ZINC001226960244 1052948809 /nfs/dbraw/zinc/94/88/09/1052948809.db2.gz TWLNRQZBCARESL-FQEVSTJZSA-N 0 3 311.425 4.351 20 0 DIADHN COc1ccc2ccccc2c1CNC[C@@H]1CC1(Cl)Cl ZINC000920956657 1052956406 /nfs/dbraw/zinc/95/64/06/1052956406.db2.gz SXIDNQKINICEJT-LBPRGKRZSA-N 0 3 310.224 4.132 20 0 DIADHN CCC[C@H](C)N(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000609798275 1052992854 /nfs/dbraw/zinc/99/28/54/1052992854.db2.gz OIBOWEPGKBWAHZ-JEOXALJRSA-N 0 3 322.301 4.221 20 0 DIADHN COc1ccc(Cl)cc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001227144875 1052964825 /nfs/dbraw/zinc/96/48/25/1052964825.db2.gz OXNZUHUPRFZATQ-MRXNPFEDSA-N 0 3 317.816 4.002 20 0 DIADHN CCCCN(CC)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000609798262 1052992978 /nfs/dbraw/zinc/99/29/78/1052992978.db2.gz NDRIVPJGVXSWNK-LJQANCHMSA-N 0 3 322.301 4.223 20 0 DIADHN CC(=O)c1ccc(O[C@@H]2CCN(Cc3ccccc3)C[C@H]2C)cc1 ZINC001227463475 1052993710 /nfs/dbraw/zinc/99/37/10/1052993710.db2.gz BGJKVOSUWZDGIQ-IIBYNOLFSA-N 0 3 323.436 4.179 20 0 DIADHN CC(C)N1CCC[C@H](Oc2nc(-c3ccccc3)cs2)C1 ZINC001227691504 1053008157 /nfs/dbraw/zinc/00/81/57/1053008157.db2.gz XTBYHJSBGZSLJF-HNNXBMFYSA-N 0 3 302.443 4.062 20 0 DIADHN CC(C)N1CCC(Oc2nc(-c3ccccc3)cs2)CC1 ZINC001227689886 1053008315 /nfs/dbraw/zinc/00/83/15/1053008315.db2.gz GUMGDBSEYWYPSO-UHFFFAOYSA-N 0 3 302.443 4.062 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(F)c2)cc1 ZINC000615330068 1053013407 /nfs/dbraw/zinc/01/34/07/1053013407.db2.gz XXBBCRJFMBQQMY-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN CC(C)CCOCCN(C)Cc1ccccc1C(F)(F)F ZINC000615346095 1053014026 /nfs/dbraw/zinc/01/40/26/1053014026.db2.gz XTOGMKUHAFLESF-UHFFFAOYSA-N 0 3 303.368 4.200 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CCN(c2ccccn2)CC1 ZINC000615455590 1053017168 /nfs/dbraw/zinc/01/71/68/1053017168.db2.gz XKYJIKNAVSNWKK-KRWDZBQOSA-N 0 3 317.436 4.118 20 0 DIADHN Cc1cc(F)cc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001227847054 1053021043 /nfs/dbraw/zinc/02/10/43/1053021043.db2.gz CTUBSTHKSKYHNT-NVXWUHKLSA-N 0 3 314.404 4.134 20 0 DIADHN CSCc1cc(F)ccc1CNCc1cc2ccccc2[nH]1 ZINC000618967668 1053024622 /nfs/dbraw/zinc/02/46/22/1053024622.db2.gz BUDYAHZFFCHWGW-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN C[C@@H](NC(c1cccs1)c1cccs1)[C@@H]1CCCCO1 ZINC000379212284 1053042511 /nfs/dbraw/zinc/04/25/11/1053042511.db2.gz FNUDVGMRBJLIIP-OLZOCXBDSA-N 0 3 307.484 4.446 20 0 DIADHN CCC(=O)c1cccc(O[C@H]2CN(Cc3ccccc3)C[C@@H]2C)c1 ZINC001228108652 1053044646 /nfs/dbraw/zinc/04/46/46/1053044646.db2.gz HTEGQZHIOUBIJY-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN Fc1ccccc1[C@H](N[C@@H]1CCCc2n[nH]cc21)C1CCCC1 ZINC000353392751 1053081504 /nfs/dbraw/zinc/08/15/04/1053081504.db2.gz HLNWHNJJGHWNNA-IEBWSBKVSA-N 0 3 313.420 4.447 20 0 DIADHN CO[C@H]1CCCC[C@@H]1Oc1ccc2ccccc2c1CN(C)C ZINC001228440280 1053104303 /nfs/dbraw/zinc/10/43/03/1053104303.db2.gz BNXNWERNIZMUKY-PMACEKPBSA-N 0 3 313.441 4.238 20 0 DIADHN CN(C)Cc1c(OC[C@@H]2CCC(C)(C)O2)ccc2ccccc21 ZINC001228439199 1053104457 /nfs/dbraw/zinc/10/44/57/1053104457.db2.gz DBJDPLGZBLNLRF-INIZCTEOSA-N 0 3 313.441 4.238 20 0 DIADHN C[C@@H](Oc1ccc2ccccc2c1CN(C)C)c1ccncc1 ZINC001228439543 1053105177 /nfs/dbraw/zinc/10/51/77/1053105177.db2.gz NRRANMBCCVGART-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CCC(=O)c1ccccc1O[C@H](CCN(C)C)c1ccccc1 ZINC001228454880 1053108717 /nfs/dbraw/zinc/10/87/17/1053108717.db2.gz OKNABOOBYQUCKR-LJQANCHMSA-N 0 3 311.425 4.351 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCC(Nc2ccccc2)CC1 ZINC001329176502 1053124919 /nfs/dbraw/zinc/12/49/19/1053124919.db2.gz HCMHSZFGZVZEEB-ZDUSSCGKSA-N 0 3 313.272 4.147 20 0 DIADHN C[C@H]1C[C@@H](Nc2nc(-c3ccccc3Cl)cs2)CCN1C ZINC000543209997 1053130324 /nfs/dbraw/zinc/13/03/24/1053130324.db2.gz HNLTXZZTPXPQLV-RYUDHWBXSA-N 0 3 321.877 4.358 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H]4CCCC[C@H]4C3)co2)cc1 ZINC001317839525 1053135286 /nfs/dbraw/zinc/13/52/86/1053135286.db2.gz BUPJLCJGSWQLRQ-HOCLYGCPSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@H]1CC(CCN2CCS[C@H](C(F)(F)F)C2)C[C@H](C)C1 ZINC001560147609 1053141933 /nfs/dbraw/zinc/14/19/33/1053141933.db2.gz UCOLBNDWHCURNS-BZPMIXESSA-N 0 3 309.441 4.429 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCC(C)(C)C1CCCC1 ZINC001560400421 1053143863 /nfs/dbraw/zinc/14/38/63/1053143863.db2.gz LFZTTWWWEZUIDC-UHFFFAOYSA-N 0 3 304.265 4.033 20 0 DIADHN c1[nH]nc2c1[C@@H](NCc1cccc(Oc3ccccc3)c1)CCC2 ZINC000353462158 1053147088 /nfs/dbraw/zinc/14/70/88/1053147088.db2.gz NQVGIEGGYIQNCR-IBGZPJMESA-N 0 3 319.408 4.369 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N(C)Cc1ccc2ccccc2c1 ZINC001318058882 1053147425 /nfs/dbraw/zinc/14/74/25/1053147425.db2.gz FWBCSGDJVVUAER-FQEVSTJZSA-N 0 3 324.468 4.063 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@H]4CCCC[C@@H]4C3)n2)cs1 ZINC001318359227 1053164220 /nfs/dbraw/zinc/16/42/20/1053164220.db2.gz ORIWRURZAXXICH-HUUCEWRRSA-N 0 3 301.459 4.081 20 0 DIADHN c1cc2ccc(OC3CCN(Cc4ccccc4)CC3)cc2o1 ZINC001228724546 1053165993 /nfs/dbraw/zinc/16/59/93/1053165993.db2.gz LBGXCTROGBYAKX-UHFFFAOYSA-N 0 3 307.393 4.476 20 0 DIADHN CCCCCN(Cn1nc(C)nc1-c1ccccc1)C(C)C ZINC001318547919 1053175176 /nfs/dbraw/zinc/17/51/76/1053175176.db2.gz WDSSJLIWEUNUCP-UHFFFAOYSA-N 0 3 300.450 4.112 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1cccc(-c2cccs2)c1 ZINC001360081434 1053181770 /nfs/dbraw/zinc/18/17/70/1053181770.db2.gz KSQODFOWXQAELS-INIZCTEOSA-N 0 3 314.454 4.226 20 0 DIADHN C[C@H](NC[C@H]1CC[C@H](C(F)(F)F)O1)c1ccccc1Cl ZINC000721399808 1053187913 /nfs/dbraw/zinc/18/79/13/1053187913.db2.gz WQOHGZXRGTXNJO-OPQQBVKSSA-N 0 3 307.743 4.101 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)Nc2cc(CN(C)C)ccc2C)CC1 ZINC000249835793 1053194720 /nfs/dbraw/zinc/19/47/20/1053194720.db2.gz MSZRTPYHHLLWRD-JCNLHEQBSA-N 0 3 302.462 4.212 20 0 DIADHN COc1ccnc(CN[C@H]2CCc3cccc4cccc2c43)c1 ZINC001351591053 1053199314 /nfs/dbraw/zinc/19/93/14/1053199314.db2.gz LDAVUGIVRHOOBZ-IBGZPJMESA-N 0 3 304.393 4.021 20 0 DIADHN CN1CCc2cc(O[C@H]3CCc4ccc(Cl)cc43)ccc2C1 ZINC001228942990 1053209585 /nfs/dbraw/zinc/20/95/85/1053209585.db2.gz CCLGOXFTERUCEE-IBGZPJMESA-N 0 3 313.828 4.394 20 0 DIADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1nc(-c2ccccc2)cs1 ZINC000090086053 1053224150 /nfs/dbraw/zinc/22/41/50/1053224150.db2.gz OILFBGWYZBUREG-UONOGXRCSA-N 0 3 318.486 4.258 20 0 DIADHN CN(C)CCN(C(=O)C[C@H]1CCCCC1(C)C)c1ccccc1 ZINC001351808377 1053226967 /nfs/dbraw/zinc/22/69/67/1053226967.db2.gz OLVRXAHUMXHYRT-QGZVFWFLSA-N 0 3 316.489 4.188 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1F)c1cc(F)ccc1F ZINC001319199034 1053227409 /nfs/dbraw/zinc/22/74/09/1053227409.db2.gz MNLGQTSCCVSZAL-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN CC(C)[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccccc1Cl ZINC001360457275 1053227510 /nfs/dbraw/zinc/22/75/10/1053227510.db2.gz HLBYVKIJJHCFDX-DLBZAZTESA-N 0 3 322.880 4.026 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H]1C[C@@H](C)c2c1cccc2C ZINC000090846371 1053246596 /nfs/dbraw/zinc/24/65/96/1053246596.db2.gz FMDMXLXOLXNAGV-KDOFPFPSSA-N 0 3 311.473 4.191 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2c(C)cccc2C)cc1 ZINC000091057466 1053250822 /nfs/dbraw/zinc/25/08/22/1053250822.db2.gz ZVXUMPHRIYLGJG-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN COc1cc(CNCc2ccc(OC(C)(C)C)cc2)ccc1F ZINC001319571166 1053251977 /nfs/dbraw/zinc/25/19/77/1053251977.db2.gz GAALORGJTBLHMZ-UHFFFAOYSA-N 0 3 317.404 4.301 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(Nc3ccccc3)CC1)CC2 ZINC001319590776 1053253245 /nfs/dbraw/zinc/25/32/45/1053253245.db2.gz XGKRXQZENVABEX-HXUWFJFHSA-N 0 3 310.416 4.390 20 0 DIADHN Cc1ccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)c(C(C)C)c1 ZINC001229126196 1053253497 /nfs/dbraw/zinc/25/34/97/1053253497.db2.gz SZPFUUBNHUNPJY-IYARVYRRSA-N 0 3 317.473 4.141 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(Nc3ccccc3)CC1)CC2 ZINC001319590775 1053253796 /nfs/dbraw/zinc/25/37/96/1053253796.db2.gz XGKRXQZENVABEX-FQEVSTJZSA-N 0 3 310.416 4.390 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCC[C@@H]3CCC[C@H]32)o1 ZINC000252481004 1053268103 /nfs/dbraw/zinc/26/81/03/1053268103.db2.gz HJGVGJPYOHFLSA-XJKSGUPXSA-N 0 3 300.377 4.245 20 0 DIADHN CC(C)c1ocnc1CN1CCC[C@H]1Cc1ccc(F)cc1 ZINC001319744482 1053270196 /nfs/dbraw/zinc/27/01/96/1053270196.db2.gz WFJZWPBFUCDHSX-INIZCTEOSA-N 0 3 302.393 4.144 20 0 DIADHN CC(C)c1ocnc1CN1CCC[C@@H]1Cc1ccc(F)cc1 ZINC001319744483 1053270471 /nfs/dbraw/zinc/27/04/71/1053270471.db2.gz WFJZWPBFUCDHSX-MRXNPFEDSA-N 0 3 302.393 4.144 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC(C)(C)Sc3ccccc32)cnn1C ZINC001352083723 1053274669 /nfs/dbraw/zinc/27/46/69/1053274669.db2.gz DNCDHWZKNYUBNI-BLLLJJGKSA-N 0 3 315.486 4.395 20 0 DIADHN CC(=O)Oc1ccc(CN[C@@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC001319806685 1053275245 /nfs/dbraw/zinc/27/52/45/1053275245.db2.gz XKYXBOXQEGGPNL-NSHDSACASA-N 0 3 321.779 4.255 20 0 DIADHN Oc1cccc(CN2CCC(Nc3ccccc3Cl)CC2)c1 ZINC001319815316 1053275861 /nfs/dbraw/zinc/27/58/61/1053275861.db2.gz RXRIHFUIKCEIHC-UHFFFAOYSA-N 0 3 316.832 4.122 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1ncc(Br)s1 ZINC000252730445 1053276095 /nfs/dbraw/zinc/27/60/95/1053276095.db2.gz LKJCHMJILOJBKD-GUBZILKMSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H](N[C@H]1CC[C@H](O)CC1)c1cc(F)c(Cl)cc1Cl ZINC000252728812 1053277045 /nfs/dbraw/zinc/27/70/45/1053277045.db2.gz CXCIRQSXUZHBIC-OPRDCNLKSA-N 0 3 306.208 4.087 20 0 DIADHN CN1CCC[C@@H](NCc2scnc2C2CC2)c2ccccc21 ZINC000449655393 1053283385 /nfs/dbraw/zinc/28/33/85/1053283385.db2.gz YXSLPSSGHRDORO-OAHLLOKOSA-N 0 3 313.470 4.081 20 0 DIADHN CCn1cc([C@H](C)NCc2cccc(-c3ccccc3)c2)cn1 ZINC000449671544 1053284125 /nfs/dbraw/zinc/28/41/25/1053284125.db2.gz SBWLCIMRFUIFJF-INIZCTEOSA-N 0 3 305.425 4.421 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCN(C)[C@@H]2c2ccc(F)cc2)o1 ZINC001319894775 1053284578 /nfs/dbraw/zinc/28/45/78/1053284578.db2.gz SQWASAZUFCHRDN-BOFPYLFWSA-N 0 3 316.420 4.077 20 0 DIADHN Cc1cccc2c1CC[C@@H]2Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229307720 1053295702 /nfs/dbraw/zinc/29/57/02/1053295702.db2.gz ZOAFKNOCCQLLPC-NRFANRHFSA-N 0 3 323.436 4.196 20 0 DIADHN Cc1ccc2c(c1)[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)CC2 ZINC001229305924 1053296091 /nfs/dbraw/zinc/29/60/91/1053296091.db2.gz GPXJCNNFJVHYIK-NRFANRHFSA-N 0 3 323.436 4.196 20 0 DIADHN CN(C)CCC(=O)c1ccc(O[C@@H]2CCCc3ccccc32)cc1 ZINC001229301703 1053296284 /nfs/dbraw/zinc/29/62/84/1053296284.db2.gz BEWBEHWSGJDUNH-OAQYLSRUSA-N 0 3 323.436 4.277 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)cc1C)c1ccc(F)cc1 ZINC001320039866 1053298811 /nfs/dbraw/zinc/29/88/11/1053298811.db2.gz QJQUVRRXLQXJCP-KRWDZBQOSA-N 0 3 314.404 4.122 20 0 DIADHN CS[C@H](CNCc1nc2c(s1)C[C@@H](C)CC2)C(C)(C)C ZINC001320084519 1053301472 /nfs/dbraw/zinc/30/14/72/1053301472.db2.gz AETHMFKMLPMQLY-SMDDNHRTSA-N 0 3 312.548 4.135 20 0 DIADHN CC(C)(C(=O)C(C#N)c1nc2ccccc2s1)c1ccccc1 ZINC000069833538 1053308129 /nfs/dbraw/zinc/30/81/29/1053308129.db2.gz CDFHTNJCAOKAEA-CQSZACIVSA-N 0 3 320.417 4.450 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1cccc(F)c1F ZINC000181978764 1053319595 /nfs/dbraw/zinc/31/95/95/1053319595.db2.gz BTAXKILEBWVBFJ-BONVTDFDSA-N 0 3 311.759 4.002 20 0 DIADHN CCCN(Cc1ccc(CO)o1)[C@@H](C)c1cc2ccccc2o1 ZINC000092470572 1053326269 /nfs/dbraw/zinc/32/62/69/1053326269.db2.gz NCPJAKZEXZIDGF-AWEZNQCLSA-N 0 3 313.397 4.491 20 0 DIADHN Clc1ccc(Cl)c(-c2ccc(CN[C@@H]3CCOC3)o2)c1 ZINC001320618494 1053347160 /nfs/dbraw/zinc/34/71/60/1053347160.db2.gz HNFUAJHTLZYLOK-LLVKDONJSA-N 0 3 312.196 4.132 20 0 DIADHN Brc1cccc(CNCc2cccc3ccoc32)c1 ZINC001200067823 1053347390 /nfs/dbraw/zinc/34/73/90/1053347390.db2.gz NASWXOMLFZJYRF-UHFFFAOYSA-N 0 3 316.198 4.485 20 0 DIADHN COc1cc(CN[C@H]2CCc3cc(C)ccc32)ccc1Cl ZINC001200067905 1053348022 /nfs/dbraw/zinc/34/80/22/1053348022.db2.gz PMQSWZMHZQSGAB-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN CCN1CCC[C@H](Oc2c(C(C)=O)cccc2-c2ccccc2)C1 ZINC001229571163 1053355261 /nfs/dbraw/zinc/35/52/61/1053355261.db2.gz FPCZKSSPOVOBJT-SFHVURJKSA-N 0 3 323.436 4.419 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000253603302 1053355360 /nfs/dbraw/zinc/35/53/60/1053355360.db2.gz CTOKSNLTHLPCDC-STQMWFEESA-N 0 3 304.821 4.473 20 0 DIADHN Cc1ccc2cc([C@H](C)NCCc3nc(C(C)C)no3)oc2c1 ZINC001320747193 1053357429 /nfs/dbraw/zinc/35/74/29/1053357429.db2.gz YJRRCJTXNWFOEW-ZDUSSCGKSA-N 0 3 313.401 4.141 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc3c(cccc3C)o2)c1 ZINC001545810986 1053366591 /nfs/dbraw/zinc/36/65/91/1053366591.db2.gz JLQXMCCENBLCBW-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1cc(C)cc(CCC(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC001545808913 1053367182 /nfs/dbraw/zinc/36/71/82/1053367182.db2.gz NVIAISJNLBPKCM-UHFFFAOYSA-N 0 3 324.468 4.245 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@@H]3C2)cs1 ZINC001320877596 1053373219 /nfs/dbraw/zinc/37/32/19/1053373219.db2.gz JYIKBKLOWVYEJD-ZIAGYGMSSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCOc1ccccc1C(F)(F)F ZINC000093234548 1053373831 /nfs/dbraw/zinc/37/38/31/1053373831.db2.gz XPJOYEUZZJPDHR-CYBMUJFWSA-N 0 3 324.346 4.172 20 0 DIADHN CC(C)c1nc(CNCCC2CC(OC(C)(C)C)C2)cs1 ZINC001320905957 1053374880 /nfs/dbraw/zinc/37/48/80/1053374880.db2.gz SUOPEVUKPUYYBX-UHFFFAOYSA-N 0 3 310.507 4.340 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cc3ccccc3[nH]1)CCC2 ZINC001320960081 1053377947 /nfs/dbraw/zinc/37/79/47/1053377947.db2.gz ANQLNNMYRXJEFC-GOSISDBHSA-N 0 3 308.429 4.113 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cc3ccccc3[nH]1)CCC2 ZINC001320960082 1053378277 /nfs/dbraw/zinc/37/82/77/1053378277.db2.gz ANQLNNMYRXJEFC-SFHVURJKSA-N 0 3 308.429 4.113 20 0 DIADHN Oc1cc(/C=C/c2ccccc2)cc(O[C@H]2CN3CCC2CC3)c1 ZINC001229670047 1053380148 /nfs/dbraw/zinc/38/01/48/1053380148.db2.gz GNTVRHZHOONBPU-BXKJMJEDSA-N 0 3 321.420 4.036 20 0 DIADHN C[C@@H](c1ccccc1)c1ccc(O)cc1O[C@@H]1CCCN(C)C1 ZINC001229688630 1053383840 /nfs/dbraw/zinc/38/38/40/1053383840.db2.gz KDSZZORLWVSCLD-MAUKXSAKSA-N 0 3 311.425 4.017 20 0 DIADHN C[C@H](c1ccccc1)c1ccc(O)cc1O[C@H]1CCCN(C)C1 ZINC001229688631 1053384219 /nfs/dbraw/zinc/38/42/19/1053384219.db2.gz KDSZZORLWVSCLD-QAPCUYQASA-N 0 3 311.425 4.017 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1cnc(C(C)(C)C)s1 ZINC000093324826 1053385659 /nfs/dbraw/zinc/38/56/59/1053385659.db2.gz NVTDBSMMBKAGAT-CYBMUJFWSA-N 0 3 318.486 4.209 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1ccc3cnccc3c1)CCC2 ZINC001321042874 1053385760 /nfs/dbraw/zinc/38/57/60/1053385760.db2.gz LMRXURABKGPINB-IBGZPJMESA-N 0 3 320.440 4.179 20 0 DIADHN COc1ccc([C@H](C)Oc2ccccc2CN(C)C)c(F)c1 ZINC001229703328 1053386902 /nfs/dbraw/zinc/38/69/02/1053386902.db2.gz YUMMVUGYBHFLCO-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN Cc1cc(CNCC2(Sc3ccccc3)CCCC2)on1 ZINC001200106138 1053387032 /nfs/dbraw/zinc/38/70/32/1053387032.db2.gz VEHIZKPQAQKQMW-UHFFFAOYSA-N 0 3 302.443 4.178 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)C[C@H]1CC=CCC1 ZINC001474754112 1053390108 /nfs/dbraw/zinc/39/01/08/1053390108.db2.gz NYDNHFIMFIEAQA-GJZGRUSLSA-N 0 3 304.503 4.446 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C(F)F)cc2)C1 ZINC001229710784 1053390372 /nfs/dbraw/zinc/39/03/72/1053390372.db2.gz UFCBPOMKTTWAKS-XJKSGUPXSA-N 0 3 318.367 4.234 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)C[C@@H]1CC=CCC1 ZINC001474754113 1053391817 /nfs/dbraw/zinc/39/18/17/1053391817.db2.gz NYDNHFIMFIEAQA-HUUCEWRRSA-N 0 3 304.503 4.446 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cc2ccccc2n1C ZINC001200116442 1053394376 /nfs/dbraw/zinc/39/43/76/1053394376.db2.gz WHZKTFGINILWPO-UHFFFAOYSA-N 0 3 308.425 4.212 20 0 DIADHN CCC[C@H](C)Oc1cccc(C2(C(=O)CC)CCN(C)CC2)c1 ZINC001229734253 1053395578 /nfs/dbraw/zinc/39/55/78/1053395578.db2.gz VWMMPONQCYPLFB-INIZCTEOSA-N 0 3 317.473 4.197 20 0 DIADHN C[C@H](NCCCOC1CCCC1)c1nc2c(s1)CCCC2 ZINC000093403516 1053402473 /nfs/dbraw/zinc/40/24/73/1053402473.db2.gz IPLIGJANUBJFJR-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1Cl)c1ccc(Cl)cn1 ZINC001321387777 1053410807 /nfs/dbraw/zinc/41/08/07/1053410807.db2.gz WKWMOSUQYRBBBE-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3ccc(F)cc32)ccc1F ZINC001321505941 1053416180 /nfs/dbraw/zinc/41/61/80/1053416180.db2.gz ARGBQYPICOTMFD-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000093593772 1053428539 /nfs/dbraw/zinc/42/85/39/1053428539.db2.gz ZGPCYEIKMXGSHO-AWEZNQCLSA-N 0 3 314.454 4.019 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1cccc(Cl)c1)c1ccccc1F ZINC001321752383 1053433059 /nfs/dbraw/zinc/43/30/59/1053433059.db2.gz OMPVKYRUDRCPHU-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccccc2)s1)C(F)(F)F ZINC001321800666 1053434857 /nfs/dbraw/zinc/43/48/57/1053434857.db2.gz LDRZYMNHWJWQAS-CQSZACIVSA-N 0 3 315.360 4.082 20 0 DIADHN Cc1cnc(C2CCN([C@H]3CCc4c3cccc4F)CC2)s1 ZINC001321967698 1053444753 /nfs/dbraw/zinc/44/47/53/1053444753.db2.gz PCJUNIODBKCGOD-KRWDZBQOSA-N 0 3 316.445 4.458 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cnc(-c2cccs2)s1 ZINC000093761280 1053447481 /nfs/dbraw/zinc/44/74/81/1053447481.db2.gz JSNPCCRDKCVMAA-NSHDSACASA-N 0 3 310.513 4.199 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1ccc2c(c1)CCC2 ZINC001322095490 1053457440 /nfs/dbraw/zinc/45/74/40/1053457440.db2.gz LFQDZTPEMZGNAA-HXUWFJFHSA-N 0 3 307.437 4.351 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccccc1N(C)C ZINC000093941859 1053465125 /nfs/dbraw/zinc/46/51/25/1053465125.db2.gz RXADJULGPLYCAF-INIZCTEOSA-N 0 3 312.457 4.140 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(C)o1)c1cccc(Cl)c1F ZINC000763541168 1053465350 /nfs/dbraw/zinc/46/53/50/1053465350.db2.gz YZHRWRDCPVYEGT-UONOGXRCSA-N 0 3 311.784 4.155 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@H]1[C@@H]2CCC[C@H]1CN(C)C2 ZINC001230065096 1053470740 /nfs/dbraw/zinc/47/07/40/1053470740.db2.gz BDJYMOQOBZAMMD-WGMWDUQUSA-N 0 3 323.436 4.152 20 0 DIADHN CC(C)n1ncc2cc(CN3CC[C@@H]4CCCC[C@H]4C3)cnc21 ZINC001322235093 1053472409 /nfs/dbraw/zinc/47/24/09/1053472409.db2.gz DPOXEGWZGYCEBR-IRXDYDNUSA-N 0 3 312.461 4.024 20 0 DIADHN Cc1nc(-c2ccccc2)n(CN(CC2CCCCC2)C2CC2)n1 ZINC001322367463 1053493342 /nfs/dbraw/zinc/49/33/42/1053493342.db2.gz KBPVNFZGSSQLQL-UHFFFAOYSA-N 0 3 324.472 4.256 20 0 DIADHN C[C@](O)(CNC1c2ccccc2-c2ccccc21)c1cccs1 ZINC000182676018 1053494368 /nfs/dbraw/zinc/49/43/68/1053494368.db2.gz NJXZTRRSSMILCX-FQEVSTJZSA-N 0 3 321.445 4.315 20 0 DIADHN CC[C@@H](C)CNC(=S)Nc1ccccc1CN1CCCCC1 ZINC001200258805 1053504772 /nfs/dbraw/zinc/50/47/72/1053504772.db2.gz IIONONICCLBZQW-OAHLLOKOSA-N 0 3 319.518 4.005 20 0 DIADHN CC(=O)c1cccc(C)c1O[C@H](CCN(C)C)c1ccccc1 ZINC001230318758 1053519195 /nfs/dbraw/zinc/51/91/95/1053519195.db2.gz MFNAYXZJOHZVRX-LJQANCHMSA-N 0 3 311.425 4.269 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1ccn(C2CCCC2)n1 ZINC001322603976 1053527068 /nfs/dbraw/zinc/52/70/68/1053527068.db2.gz BCPOXZYJZZDUAR-UHFFFAOYSA-N 0 3 311.473 4.451 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(F)c(OC)c2)cc1 ZINC000152851420 1053540199 /nfs/dbraw/zinc/54/01/99/1053540199.db2.gz UEPDDTJJPWXTSC-AWEZNQCLSA-N 0 3 317.404 4.222 20 0 DIADHN Cc1cc(CNCC(C)(C)c2ccc(OCC(C)C)cc2)on1 ZINC001200315277 1053548848 /nfs/dbraw/zinc/54/88/48/1053548848.db2.gz WRZZGDJUHFOUGS-UHFFFAOYSA-N 0 3 316.445 4.085 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(F)cc32)c2c(n1)CCCC2 ZINC001200334624 1053568318 /nfs/dbraw/zinc/56/83/18/1053568318.db2.gz UUJXRBFOYFYZQS-LJQANCHMSA-N 0 3 310.416 4.185 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@@H]2CCCC[C@H]2C1 ZINC001323317175 1053572674 /nfs/dbraw/zinc/57/26/74/1053572674.db2.gz LFLQRYUOZIQEAM-BZSNNMDCSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1cc(CN2CCC(c3cccc4ccccc43)CC2)n[nH]1 ZINC001323302879 1053573535 /nfs/dbraw/zinc/57/35/35/1053573535.db2.gz IHAFUSWIIQUEOA-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CCC(CC)[C@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccccc1 ZINC001353440453 1053574146 /nfs/dbraw/zinc/57/41/46/1053574146.db2.gz ZVKDQXCKNIIIRE-MOPGFXCFSA-N 0 3 316.489 4.153 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2CC3CCC2CC3)c1 ZINC001323381659 1053578748 /nfs/dbraw/zinc/57/87/48/1053578748.db2.gz WZQYSTUXNUWVCF-UHFFFAOYSA-N 0 3 320.436 4.313 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1)c1nc(C(C)(C)C)cs1 ZINC001323566976 1053588015 /nfs/dbraw/zinc/58/80/15/1053588015.db2.gz JYVRBZTYOLIPTL-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN CC(C)SCCN(C)Cc1cc(Br)cs1 ZINC000404149972 1053593670 /nfs/dbraw/zinc/59/36/70/1053593670.db2.gz OONAKSDBWPJFRQ-UHFFFAOYSA-N 0 3 308.310 4.084 20 0 DIADHN C[C@@H](NC1(c2ccc(Cl)cc2)CC1)c1cccc(CO)c1 ZINC000305811880 1053602296 /nfs/dbraw/zinc/60/22/96/1053602296.db2.gz JISJFVJMSPZUFP-CYBMUJFWSA-N 0 3 301.817 4.172 20 0 DIADHN COCCN1CCC(Oc2cccc(C3CCCCC3)c2)CC1 ZINC001230811950 1053606072 /nfs/dbraw/zinc/60/60/72/1053606072.db2.gz PQQBMNZKFWUHJY-UHFFFAOYSA-N 0 3 317.473 4.224 20 0 DIADHN COc1ccc(CNCc2ccc(OC(C)(C)C)cc2)cc1F ZINC000620125321 1053609650 /nfs/dbraw/zinc/60/96/50/1053609650.db2.gz PNQXRIGYRJCCRM-UHFFFAOYSA-N 0 3 317.404 4.301 20 0 DIADHN CCc1noc(C)c1CN1C[C@@H]2CCCC[C@@]21c1ccccc1 ZINC001324058923 1053620835 /nfs/dbraw/zinc/62/08/35/1053620835.db2.gz DDHXDIGQZCVSAI-FXAWDEMLSA-N 0 3 310.441 4.447 20 0 DIADHN CCCCC[C@@H]1CCCCN1C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001230895608 1053621508 /nfs/dbraw/zinc/62/15/08/1053621508.db2.gz JMVGKNIVBHWSAL-SJORKVTESA-N 0 3 323.525 4.004 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@@H](C)c1cccc(F)c1F ZINC000183284519 1053626950 /nfs/dbraw/zinc/62/69/50/1053626950.db2.gz YITUDYWVFIJUFQ-IGCXYCKISA-N 0 3 305.368 4.128 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@@H](C)c1cccc(F)c1F ZINC000183285022 1053627402 /nfs/dbraw/zinc/62/74/02/1053627402.db2.gz JLMBSXLRNYDYQY-UHIISALHSA-N 0 3 311.397 4.189 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cccc(C(F)F)c1 ZINC001324334946 1053644136 /nfs/dbraw/zinc/64/41/36/1053644136.db2.gz KSBOQLKCMQAQCH-MRXNPFEDSA-N 0 3 303.352 4.410 20 0 DIADHN CC[C@H](CC(=O)Nc1ccc(C)cc1CN(C)C)C(C)(C)C ZINC001353710066 1053648382 /nfs/dbraw/zinc/64/83/82/1053648382.db2.gz PBPJZUCDTSBMKK-MRXNPFEDSA-N 0 3 304.478 4.458 20 0 DIADHN COc1ccc2ccccc2c1CNCc1cccn1C1CC1 ZINC001324425634 1053652862 /nfs/dbraw/zinc/65/28/62/1053652862.db2.gz UDEOFKCITHBSEI-UHFFFAOYSA-N 0 3 306.409 4.275 20 0 DIADHN Cc1ccc(C(=O)c2ccc(O[C@H]3CCN(C(C)C)C3)cc2)cc1 ZINC001231023350 1053653767 /nfs/dbraw/zinc/65/37/67/1053653767.db2.gz LJLPEOXNRPNMFN-FQEVSTJZSA-N 0 3 323.436 4.088 20 0 DIADHN Cc1ccc(C(=O)c2ccc(O[C@@H]3CCN(C(C)C)C3)cc2)cc1 ZINC001231023358 1053653786 /nfs/dbraw/zinc/65/37/86/1053653786.db2.gz LJLPEOXNRPNMFN-HXUWFJFHSA-N 0 3 323.436 4.088 20 0 DIADHN CN(c1ccccc1)c1ccc(CNCc2cccnc2)cc1 ZINC000620434675 1053661311 /nfs/dbraw/zinc/66/13/11/1053661311.db2.gz XPTNGCQIGNKWEW-UHFFFAOYSA-N 0 3 303.409 4.139 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000684223591 1053675109 /nfs/dbraw/zinc/67/51/09/1053675109.db2.gz TZRHZJMXBTVJEB-RDJZCZTQSA-N 0 3 304.478 4.010 20 0 DIADHN Clc1ccc(-c2cc(CN3CC4CCC3CC4)on2)cc1 ZINC001324824187 1053682664 /nfs/dbraw/zinc/68/26/64/1053682664.db2.gz YUSKSVNOFASNRE-UHFFFAOYSA-N 0 3 302.805 4.369 20 0 DIADHN COc1ccc(Cl)cc1CCN[C@@H](C)c1nc(C)sc1C ZINC001324840436 1053683239 /nfs/dbraw/zinc/68/32/39/1053683239.db2.gz BOWRUKAYBHHFJV-JTQLQIEISA-N 0 3 324.877 4.315 20 0 DIADHN CCCCC[C@H](NCc1nc(Br)c[nH]1)C(C)(C)C ZINC001200470578 1053687446 /nfs/dbraw/zinc/68/74/46/1053687446.db2.gz IFPMZZCGMUPDRE-NSHDSACASA-N 0 3 316.287 4.257 20 0 DIADHN CO[C@H](C[NH2+][C@@H](C)c1ccccc1[O-])c1cccc(Cl)c1 ZINC000183613562 1053692149 /nfs/dbraw/zinc/69/21/49/1053692149.db2.gz UVICHYVMAKUYHO-YVEFUNNKSA-N 0 3 305.805 4.084 20 0 DIADHN C[N@@H+]1CCC[C@@H](Oc2ccccc2Oc2ccccc2[O-])CC1 ZINC001231223400 1053705761 /nfs/dbraw/zinc/70/57/61/1053705761.db2.gz PYDHEYKKPLOQTO-OAHLLOKOSA-N 0 3 313.397 4.048 20 0 DIADHN C[N@H+]1CCC[C@@H](Oc2ccccc2Oc2ccccc2[O-])CC1 ZINC001231223400 1053705772 /nfs/dbraw/zinc/70/57/72/1053705772.db2.gz PYDHEYKKPLOQTO-OAHLLOKOSA-N 0 3 313.397 4.048 20 0 DIADHN CC(C)(C)C[C@H](O)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC001200500223 1053709717 /nfs/dbraw/zinc/70/97/17/1053709717.db2.gz MNCIYUYDTRNWAJ-LBPRGKRZSA-N 0 3 323.383 4.112 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@@H](C)c2cnn(C)c2)C2CC2)cc1 ZINC000153793914 1053713680 /nfs/dbraw/zinc/71/36/80/1053713680.db2.gz QXJIKKGFUBUQIM-MGPUTAFESA-N 0 3 311.473 4.421 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2ccc3c(c2)OCCCO3)c1 ZINC000620754018 1053727131 /nfs/dbraw/zinc/72/71/31/1053727131.db2.gz HLFJPAOYIIDWGC-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc(-c2ccccn2)s1 ZINC000154251334 1053734294 /nfs/dbraw/zinc/73/42/94/1053734294.db2.gz HFHZKKMKYJWXIC-UHFFFAOYSA-N 0 3 323.465 4.270 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(C(F)(F)F)cc1 ZINC000266783602 1053735583 /nfs/dbraw/zinc/73/55/83/1053735583.db2.gz NWDKMVDGBWUORZ-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN Cc1cc([C@@H](NCCc2ccco2)c2cccnc2)ccc1F ZINC000154397973 1053735911 /nfs/dbraw/zinc/73/59/11/1053735911.db2.gz CQNHEFVLOKLCDB-LJQANCHMSA-N 0 3 310.372 4.044 20 0 DIADHN Cc1c(Cl)ccc2ccc(OC3CCN(C4CC4)CC3)nc21 ZINC001231392537 1053743092 /nfs/dbraw/zinc/74/30/92/1053743092.db2.gz WITRZCDNXLKLBM-UHFFFAOYSA-N 0 3 316.832 4.202 20 0 DIADHN CCN(Cc1nc(-c2cccc(Cl)c2)no1)[C@@H](C)C(C)C ZINC000620807851 1053745182 /nfs/dbraw/zinc/74/51/82/1053745182.db2.gz MBTMAURVYUFOTQ-LBPRGKRZSA-N 0 3 307.825 4.256 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3ccccn3)C2)cc1Cl ZINC001231421630 1053745706 /nfs/dbraw/zinc/74/57/06/1053745706.db2.gz DIMHMGITMXMINH-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1cc(CNC(C)(C)c2cccs2)c2c(n1)CCCC2 ZINC001200545252 1053749345 /nfs/dbraw/zinc/74/93/45/1053749345.db2.gz UTFYMUADQONRMQ-UHFFFAOYSA-N 0 3 300.471 4.355 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(Cl)c(F)c2)c(CN(C)C)c1 ZINC000155008855 1053757349 /nfs/dbraw/zinc/75/73/49/1053757349.db2.gz UPPZXNLOSRKIJV-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(F)c(C)c3F)C2)cc1 ZINC001231481260 1053761800 /nfs/dbraw/zinc/76/18/00/1053761800.db2.gz WUWOANKZSNFXAX-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(F)c(C)c1F ZINC001231479149 1053762411 /nfs/dbraw/zinc/76/24/11/1053762411.db2.gz KALNPIKGLQZRAZ-UHFFFAOYSA-N 0 3 304.384 4.123 20 0 DIADHN c1ccc(-c2nnc(CN3CCC[C@@H]3C3CCCC3)s2)cc1 ZINC000155126003 1053764093 /nfs/dbraw/zinc/76/40/93/1053764093.db2.gz PGUQSJKMKSIDTD-MRXNPFEDSA-N 0 3 313.470 4.360 20 0 DIADHN c1[nH]c2cccnc2c1CN1CCC2(CCc3ccccc32)CC1 ZINC001231487732 1053765662 /nfs/dbraw/zinc/76/56/62/1053765662.db2.gz PVEAHFDRTYKNIR-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN C(=C/c1ccc(CN2CC[C@@]23CCOC3)cc1)\c1ccccc1 ZINC001231488148 1053765972 /nfs/dbraw/zinc/76/59/72/1053765972.db2.gz GFDWSQUOXATESW-BXKJMJEDSA-N 0 3 305.421 4.222 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccnc(OCC(F)(F)F)c1 ZINC000155230118 1053769453 /nfs/dbraw/zinc/76/94/53/1053769453.db2.gz RYUCLOPHMFDPGX-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1nnc(-c2ccccc2)s1 ZINC000155274729 1053771134 /nfs/dbraw/zinc/77/11/34/1053771134.db2.gz YRJNFHKNCCEONO-KBMXLJTQSA-N 0 3 313.470 4.358 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cnn(Cc2ccccc2)c1 ZINC000268852009 1053771167 /nfs/dbraw/zinc/77/11/67/1053771167.db2.gz WQUYKFDRRRWKFZ-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cnn(Cc2ccccc2)c1 ZINC000268852006 1053771462 /nfs/dbraw/zinc/77/14/62/1053771462.db2.gz WQUYKFDRRRWKFZ-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccsc2)cc(OC)c1OC ZINC000268859405 1053771661 /nfs/dbraw/zinc/77/16/61/1053771661.db2.gz YMDBEDGMFZTRJR-VXGBXAGGSA-N 0 3 321.442 4.186 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cccc(N3CCCC3=O)c2)c1C ZINC000155268242 1053772530 /nfs/dbraw/zinc/77/25/30/1053772530.db2.gz ZPHKAQYAMBNBIC-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1ccc(OCCNCc2ccccc2OC(F)F)c(C)c1 ZINC000620879447 1053776593 /nfs/dbraw/zinc/77/65/93/1053776593.db2.gz CTQCFQKZOQABRI-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN CN(Cc1c[nH]c2cnccc12)Cc1cccc(C(F)(F)F)c1 ZINC001231519047 1053777044 /nfs/dbraw/zinc/77/70/44/1053777044.db2.gz UDFMCFYLLQRPDZ-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN COc1cc(Br)ccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001231515777 1053778139 /nfs/dbraw/zinc/77/81/39/1053778139.db2.gz XXWGSQKJYIYTMY-DOMZBBRYSA-N 0 3 324.262 4.222 20 0 DIADHN Cc1ccc(C[N@@H+](C)Cc2ccc([O-])c(C(F)(F)F)c2)cc1 ZINC001231524129 1053781557 /nfs/dbraw/zinc/78/15/57/1053781557.db2.gz FZHMTVSCFIKBOD-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1ccc(C[N@H+](C)Cc2ccc([O-])c(C(F)(F)F)c2)cc1 ZINC001231524129 1053781565 /nfs/dbraw/zinc/78/15/65/1053781565.db2.gz FZHMTVSCFIKBOD-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(O)c(C(F)(F)F)c2)cc1 ZINC001231524129 1053781575 /nfs/dbraw/zinc/78/15/75/1053781575.db2.gz FZHMTVSCFIKBOD-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2ccc(F)c3cccnc23)cc1 ZINC000620934949 1053797438 /nfs/dbraw/zinc/79/74/38/1053797438.db2.gz WPQUVNSGGBFHLL-LJQANCHMSA-N 0 3 322.383 4.417 20 0 DIADHN CCCCCC[C@H](CC)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001436158208 1053798524 /nfs/dbraw/zinc/79/85/24/1053798524.db2.gz PTWCSCSPWPCBIU-IRXDYDNUSA-N 0 3 310.526 4.220 20 0 DIADHN Cc1ccc2ncc(CNC(C)(C)c3ccc(Cl)cc3)n2c1 ZINC000156450372 1053812064 /nfs/dbraw/zinc/81/20/64/1053812064.db2.gz VCROENVNAVCYKE-UHFFFAOYSA-N 0 3 313.832 4.321 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc3cc(F)ccc3o2)s1 ZINC001200611720 1053812299 /nfs/dbraw/zinc/81/22/99/1053812299.db2.gz NQHGHWQTGGQJFT-JTQLQIEISA-N 0 3 304.390 4.058 20 0 DIADHN CCc1ccc(CN2CCC(Cc3cccc(OC)c3)CC2)nc1 ZINC001231642610 1053814437 /nfs/dbraw/zinc/81/44/37/1053814437.db2.gz IACJPYXBIVWCJF-UHFFFAOYSA-N 0 3 324.468 4.107 20 0 DIADHN CCc1ccc(CN2CCC[C@]3(CCc4c3cccc4F)C2)nc1 ZINC001231646665 1053817800 /nfs/dbraw/zinc/81/78/00/1053817800.db2.gz MCJBHLWOICKQJX-NRFANRHFSA-N 0 3 324.443 4.263 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@@H](c2ccccc2)C2CCC2)c1 ZINC000156619435 1053819047 /nfs/dbraw/zinc/81/90/47/1053819047.db2.gz PHIMFNHSPRGSTI-FQEVSTJZSA-N 0 3 322.452 4.019 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc3cc[nH]c3cn2)cc1 ZINC001231668882 1053831073 /nfs/dbraw/zinc/83/10/73/1053831073.db2.gz MRILEIQCTQQSJJ-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN COCc1ccc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)o1 ZINC001231693408 1053833347 /nfs/dbraw/zinc/83/33/47/1053833347.db2.gz JVJRJLXJUBCVRZ-INIZCTEOSA-N 0 3 317.404 4.020 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000157021208 1053836192 /nfs/dbraw/zinc/83/61/92/1053836192.db2.gz NOXFTBAMDLIWKQ-GFCCVEGCSA-N 0 3 304.459 4.480 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccccc1)c1ccc(OC(C)(C)C)cc1 ZINC000157103261 1053839025 /nfs/dbraw/zinc/83/90/25/1053839025.db2.gz PVGYKSMHVCYEQR-BEFAXECRSA-N 0 3 313.441 4.248 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc2c(c1)CCC2 ZINC001327152701 1053839313 /nfs/dbraw/zinc/83/93/13/1053839313.db2.gz FTOIJJRAYKKRRD-GOSISDBHSA-N 0 3 311.400 4.100 20 0 DIADHN CCN(CCOC)Cc1cc(Cl)c(OC(C)C)c(Cl)c1 ZINC001231728274 1053841927 /nfs/dbraw/zinc/84/19/27/1053841927.db2.gz YPKGKICFJIVPOR-UHFFFAOYSA-N 0 3 320.260 4.249 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cccc2ccoc21)c1ccccc1 ZINC001327211118 1053842126 /nfs/dbraw/zinc/84/21/26/1053842126.db2.gz VXBUSVDVNQUFQL-MOPGFXCFSA-N 0 3 309.409 4.281 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H]2c2ccccc2)nc2ccccc12 ZINC000157180338 1053845651 /nfs/dbraw/zinc/84/56/51/1053845651.db2.gz KWPXGNJKFYNETJ-RBUKOAKNSA-N 0 3 317.436 4.364 20 0 DIADHN CCOc1ncccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001231762235 1053847927 /nfs/dbraw/zinc/84/79/27/1053847927.db2.gz HJNRTTWVEKKMAU-UHFFFAOYSA-N 0 3 320.436 4.041 20 0 DIADHN CCCCc1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1 ZINC001231780814 1053857291 /nfs/dbraw/zinc/85/72/91/1053857291.db2.gz YWOMQRLLCDADSY-UHFFFAOYSA-N 0 3 323.427 4.419 20 0 DIADHN CSc1ccccc1CN1CC[C@](CF)(C(F)(F)F)C1 ZINC001231781644 1053858694 /nfs/dbraw/zinc/85/86/94/1053858694.db2.gz BKPBMRLOHVLRSX-CYBMUJFWSA-N 0 3 307.356 4.132 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CCC[C@H]2CCC[C@H]21 ZINC001231799425 1053861616 /nfs/dbraw/zinc/86/16/16/1053861616.db2.gz RPINKWUOXAAXEC-VQIMIIECSA-N 0 3 307.441 4.217 20 0 DIADHN COCCCOc1cccc(CN(C)[C@@H](C)c2cccs2)c1 ZINC000157869864 1053870192 /nfs/dbraw/zinc/87/01/92/1053870192.db2.gz KWPVYSNTJURQFH-HNNXBMFYSA-N 0 3 319.470 4.356 20 0 DIADHN CCOc1cc(CN(C)[C@H](C)c2cccs2)ccc1OC ZINC000157825163 1053870380 /nfs/dbraw/zinc/87/03/80/1053870380.db2.gz AZQMAEXSBCZQPE-CYBMUJFWSA-N 0 3 305.443 4.348 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](C)c2cccs2)cc1OC ZINC000157862159 1053871655 /nfs/dbraw/zinc/87/16/55/1053871655.db2.gz ULJQFTYLFIUKLW-ZDUSSCGKSA-N 0 3 305.443 4.348 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)[C@H](C)c2cccs2)o1 ZINC000157804982 1053872741 /nfs/dbraw/zinc/87/27/41/1053872741.db2.gz GPGXKVAVLPSOPU-GFCCVEGCSA-N 0 3 301.415 4.233 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cc(Cl)cc2cccnc21 ZINC000158024316 1053880586 /nfs/dbraw/zinc/88/05/86/1053880586.db2.gz FSUOZTCQUGAYFK-KRWDZBQOSA-N 0 3 324.811 4.371 20 0 DIADHN Cc1csc2sc(CN3CC4(C3)CCOCC4)c(C)c12 ZINC001231831157 1053886007 /nfs/dbraw/zinc/88/60/07/1053886007.db2.gz BGGJLZPICGEFPN-UHFFFAOYSA-N 0 3 307.484 4.192 20 0 DIADHN Cc1coc(CNCCc2nc(C3CCCCC3)cs2)c1 ZINC001200683393 1053889136 /nfs/dbraw/zinc/88/91/36/1053889136.db2.gz UZNSCOHXHBVEEI-UHFFFAOYSA-N 0 3 304.459 4.425 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cccc(C(F)F)c2)c1 ZINC000275758928 1053889479 /nfs/dbraw/zinc/88/94/79/1053889479.db2.gz SPUZUPWYYCGHOT-GFCCVEGCSA-N 0 3 318.367 4.433 20 0 DIADHN c1cc(OC2CCCCC2)ncc1CN1CCCCC12CC2 ZINC001231872801 1053899470 /nfs/dbraw/zinc/89/94/70/1053899470.db2.gz NTGYPAHVCSMWHH-UHFFFAOYSA-N 0 3 300.446 4.312 20 0 DIADHN C[C@@H](NCc1ccn(C)c1)c1ccc(OCc2ccccc2)cc1 ZINC000158438099 1053901724 /nfs/dbraw/zinc/90/17/24/1053901724.db2.gz MLIHQXTXYYATPN-QGZVFWFLSA-N 0 3 320.436 4.455 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001231878964 1053902930 /nfs/dbraw/zinc/90/29/30/1053902930.db2.gz WTWGUYYFIZFQSE-PMACEKPBSA-N 0 3 318.480 4.208 20 0 DIADHN FC(F)c1cc(CN2CC[C@H](Cc3ccccc3)C2)ccn1 ZINC001231888889 1053907285 /nfs/dbraw/zinc/90/72/85/1053907285.db2.gz JQGHCMONKKMQKL-MRXNPFEDSA-N 0 3 302.368 4.084 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CC3CCC(CC3)C2)cn1 ZINC001231905057 1053912171 /nfs/dbraw/zinc/91/21/71/1053912171.db2.gz SQNRLEACHBTXIN-UHFFFAOYSA-N 0 3 318.770 4.376 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)cnc1F ZINC001231925866 1053915786 /nfs/dbraw/zinc/91/57/86/1053915786.db2.gz ANNKHBGRMRHLLU-INIZCTEOSA-N 0 3 316.395 4.123 20 0 DIADHN CCN(Cc1nc(Br)ccc1F)C1CCCCC1 ZINC001231945730 1053919559 /nfs/dbraw/zinc/91/95/59/1053919559.db2.gz MVDAUQMPQZHKTC-UHFFFAOYSA-N 0 3 315.230 4.138 20 0 DIADHN CN(Cc1cc(Cl)ncc1C(F)(F)F)C[C@H]1CC1(C)C ZINC001231934554 1053919634 /nfs/dbraw/zinc/91/96/34/1053919634.db2.gz FBLYLMGFJULFBU-SNVBAGLBSA-N 0 3 306.759 4.232 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ncccc2Cl)cc1 ZINC001231961350 1053921779 /nfs/dbraw/zinc/92/17/79/1053921779.db2.gz NOFCOKMAIGBUQN-OAHLLOKOSA-N 0 3 304.796 4.081 20 0 DIADHN FC1(F)CC(NCc2cc(Br)c(Cl)s2)C1 ZINC000277690865 1053926096 /nfs/dbraw/zinc/92/60/96/1053926096.db2.gz QNCZIEMSVFOUNL-UHFFFAOYSA-N 0 3 316.598 4.051 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccc2ccnc(Cl)c2c1 ZINC001231975582 1053926449 /nfs/dbraw/zinc/92/64/49/1053926449.db2.gz BEHHRIZGGHLVHL-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1ccc(OCC(F)(F)F)nc1 ZINC000158986247 1053927996 /nfs/dbraw/zinc/92/79/96/1053927996.db2.gz MHDMEMFXYIPMBE-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccc3ccnc(Cl)c3c1)C2 ZINC001231981372 1053930269 /nfs/dbraw/zinc/93/02/69/1053930269.db2.gz ISGXEVRLRYCERD-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN Cc1cc(F)ncc1CN1CCC(CCc2ccccc2)CC1 ZINC001231984587 1053930711 /nfs/dbraw/zinc/93/07/11/1053930711.db2.gz IWYBDNHDSGCOQE-UHFFFAOYSA-N 0 3 312.432 4.374 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3Cl)C2)cc(C)n1 ZINC001232000245 1053934410 /nfs/dbraw/zinc/93/44/10/1053934410.db2.gz GZWQMSHHCRCOIX-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@@H](NCc1cncc(Cl)c1)c1cccc(N2CCCC2)c1 ZINC000621274528 1053938198 /nfs/dbraw/zinc/93/81/98/1053938198.db2.gz ORNIKWVEHZFSFF-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN COc1ccc([C@H](NCc2cncc(Cl)c2)C(C)C)cc1 ZINC000621272987 1053939349 /nfs/dbraw/zinc/93/93/49/1053939349.db2.gz IUEHRMTYSRWKET-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)Cc1cc2c[nH]ccc-2n1 ZINC001232018857 1053942821 /nfs/dbraw/zinc/94/28/21/1053942821.db2.gz PHOHIWYMVJKXKU-LJQANCHMSA-N 0 3 321.424 4.046 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)Cc1cc2cnccc2[nH]1 ZINC001232018857 1053942834 /nfs/dbraw/zinc/94/28/34/1053942834.db2.gz PHOHIWYMVJKXKU-LJQANCHMSA-N 0 3 321.424 4.046 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1Cc1cc2c[nH]ccc-2n1 ZINC001232017106 1053943159 /nfs/dbraw/zinc/94/31/59/1053943159.db2.gz LKBNPAIMCFPUBM-KRWDZBQOSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1Cc1cc2cnccc2[nH]1 ZINC001232017106 1053943170 /nfs/dbraw/zinc/94/31/70/1053943170.db2.gz LKBNPAIMCFPUBM-KRWDZBQOSA-N 0 3 313.351 4.178 20 0 DIADHN COc1cccc2[nH]cc(CN(C)[C@H](C)c3ccccc3OC)c21 ZINC001232036219 1053943294 /nfs/dbraw/zinc/94/32/94/1053943294.db2.gz CMDNWIXKAKPNRF-CQSZACIVSA-N 0 3 324.424 4.378 20 0 DIADHN Brc1cccc2c(CN3CCC4(CC4)CC3)c[nH]c21 ZINC001232018735 1053944086 /nfs/dbraw/zinc/94/40/86/1053944086.db2.gz YUWRYYNWYQFTEG-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN COc1cccc2[nH]cc(CN(C)Cc3ccc(Cl)cc3)c21 ZINC001232035492 1053945140 /nfs/dbraw/zinc/94/51/40/1053945140.db2.gz JEKGATCMBYYYGU-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]c2cccc(OC)c12 ZINC001232037886 1053947568 /nfs/dbraw/zinc/94/75/68/1053947568.db2.gz DGHOKGHLVUEURL-UHFFFAOYSA-N 0 3 300.427 4.260 20 0 DIADHN COc1cccc2[nH]cc(CN3Cc4ccccc4C[C@H]3C)c21 ZINC001232037905 1053948660 /nfs/dbraw/zinc/94/86/60/1053948660.db2.gz FAHKJJWNPGDBMD-CQSZACIVSA-N 0 3 306.409 4.123 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC001328717328 1053951754 /nfs/dbraw/zinc/95/17/54/1053951754.db2.gz QULXTSJBNVFHJR-NUEKZKHPSA-N 0 3 301.409 4.018 20 0 DIADHN c1c(CN2CCC(CCc3ccccc3)CC2)[nH]c2cccnc12 ZINC001232070814 1053955560 /nfs/dbraw/zinc/95/55/60/1053955560.db2.gz YGDXCSQYQHTXRR-UHFFFAOYSA-N 0 3 319.452 4.408 20 0 DIADHN COC1([C@H](C)N[C@H]2CCCc3cn(C(C)C)nc32)CCCCC1 ZINC001328808531 1053955464 /nfs/dbraw/zinc/95/54/64/1053955464.db2.gz CHAMLOAYBFALTE-RDJZCZTQSA-N 0 3 319.493 4.169 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)c(C)n1 ZINC001232082976 1053957571 /nfs/dbraw/zinc/95/75/71/1053957571.db2.gz PKQLBCMIOKDYLR-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc(C)nc2C)c1 ZINC001232083527 1053958995 /nfs/dbraw/zinc/95/89/95/1053958995.db2.gz BEFZBRXIVYUKNX-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)C)cc1)C(=O)Nc1ccccc1 ZINC000621352522 1053963657 /nfs/dbraw/zinc/96/36/57/1053963657.db2.gz NHSZSZZXHHOZKB-OAHLLOKOSA-N 0 3 310.441 4.101 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)ccc1F ZINC001329065909 1053964142 /nfs/dbraw/zinc/96/41/42/1053964142.db2.gz BKGLGCXVAPTKBA-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cc(CN[C@H]2CCc3ccc(Cl)cc32)ccc1F ZINC001329065904 1053964637 /nfs/dbraw/zinc/96/46/37/1053964637.db2.gz BKGLGCXVAPTKBA-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN CCOc1cncc(CNCc2ccc(C)c3ccccc23)c1 ZINC000621361878 1053966788 /nfs/dbraw/zinc/96/67/88/1053966788.db2.gz KMSFLONIPRCGNY-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN CC(=O)Nc1ccc(CN(C)Cc2cccc(Cl)c2)c(C)c1 ZINC001232185586 1053978456 /nfs/dbraw/zinc/97/84/56/1053978456.db2.gz DUTXBZOPHYXANL-UHFFFAOYSA-N 0 3 316.832 4.239 20 0 DIADHN CC(=O)Nc1ccc(CN2CCCC[C@H]2c2cccnc2)c(C)c1 ZINC001232185175 1053979379 /nfs/dbraw/zinc/97/93/79/1053979379.db2.gz BTGAKEWXRATNOQ-FQEVSTJZSA-N 0 3 323.440 4.076 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccnc2c(F)cccc12 ZINC001232208510 1053988225 /nfs/dbraw/zinc/98/82/25/1053988225.db2.gz XOYDZFLKRGCAPW-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN Cc1cc(C)cc(C[C@@H](C)[NH2+]Cc2cc([O-])cc(Cl)c2)c1 ZINC001200802987 1053988576 /nfs/dbraw/zinc/98/85/76/1053988576.db2.gz NBEZYISCQBCHDP-CQSZACIVSA-N 0 3 303.833 4.383 20 0 DIADHN CCCCn1nc(C)c(CN[C@@H]2CCC[C@@H](F)C2)c1Cl ZINC001329415996 1053988717 /nfs/dbraw/zinc/98/87/17/1053988717.db2.gz ZKAFSOQZCPYHRW-CHWSQXEVSA-N 0 3 301.837 4.015 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(c3ccccn3)CC2)ccn1 ZINC001232215455 1053994099 /nfs/dbraw/zinc/99/40/99/1053994099.db2.gz CYYSCWLEPUQDLZ-UHFFFAOYSA-N 0 3 309.457 4.154 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(c3ccc(O)cc3)CC2)ccn1 ZINC001232220977 1053995038 /nfs/dbraw/zinc/99/50/38/1053995038.db2.gz UCWKQBKHDAGNCA-UHFFFAOYSA-N 0 3 324.468 4.464 20 0 DIADHN CCCCN(CC(=O)N[C@@H](C)C(C)C)[C@H](C)c1ccccc1 ZINC000621736164 1054004065 /nfs/dbraw/zinc/00/40/65/1054004065.db2.gz BYDDXILIAHVXDX-DLBZAZTESA-N 0 3 304.478 4.010 20 0 DIADHN COCc1cccc(CN2CCC(CCc3ccccc3)CC2)n1 ZINC001232256537 1054005576 /nfs/dbraw/zinc/00/55/76/1054005576.db2.gz VMJSTCUDUDDWOT-UHFFFAOYSA-N 0 3 324.468 4.073 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3nccc4ccccc43)C2)cc1 ZINC001232253960 1054006194 /nfs/dbraw/zinc/00/61/94/1054006194.db2.gz JWNWPQFRYGOXQO-SFHVURJKSA-N 0 3 322.383 4.027 20 0 DIADHN CN(Cc1cc(Cl)cs1)[C@@H]1CCN(Cc2ccccc2)C1 ZINC001232277155 1054010671 /nfs/dbraw/zinc/01/06/71/1054010671.db2.gz RXUODEAMVXNASI-MRXNPFEDSA-N 0 3 320.889 4.108 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(Cl)cs3)C2)c1 ZINC001232283148 1054012880 /nfs/dbraw/zinc/01/28/80/1054012880.db2.gz OQNDJSXLIJCVEV-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@@H](NC1(c2ccc(C(F)(F)F)cc2)CCC1)c1cn[nH]c1 ZINC000621768362 1054013835 /nfs/dbraw/zinc/01/38/35/1054013835.db2.gz NUQAVNXWUSLODV-LLVKDONJSA-N 0 3 309.335 4.159 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2Cl)C1)c1ccccn1 ZINC000159211645 1054017668 /nfs/dbraw/zinc/01/76/68/1054017668.db2.gz AMKHCOWYEPDDCL-GJZGRUSLSA-N 0 3 315.848 4.055 20 0 DIADHN Cc1cc(Br)ncc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001232318648 1054019834 /nfs/dbraw/zinc/01/98/34/1054019834.db2.gz NQUWLXKJQXFNBK-ZIAGYGMSSA-N 0 3 323.278 4.165 20 0 DIADHN CSc1ccc(CN2CCC[C@H](Cc3ccccc3)C2)cn1 ZINC001232327937 1054020118 /nfs/dbraw/zinc/02/01/18/1054020118.db2.gz AUXVTUGUFAOWPD-QGZVFWFLSA-N 0 3 312.482 4.258 20 0 DIADHN Cc1nc(N)ccc1CN(C)C(c1ccccc1)c1ccccc1 ZINC001232336595 1054022502 /nfs/dbraw/zinc/02/25/02/1054022502.db2.gz DTXMZVFMVYCJHU-UHFFFAOYSA-N 0 3 317.436 4.194 20 0 DIADHN CSc1ccc(CN2CCC(C)(c3ccccc3)CC2)cn1 ZINC001232329861 1054024395 /nfs/dbraw/zinc/02/43/95/1054024395.db2.gz IBZHISMUWMYHEV-UHFFFAOYSA-N 0 3 312.482 4.357 20 0 DIADHN Cc1cc(NC(=O)C23CCCN2CCC3)ccc1-c1ccccc1 ZINC001330178483 1054029725 /nfs/dbraw/zinc/02/97/25/1054029725.db2.gz XJFUOYRWMRPRGO-UHFFFAOYSA-N 0 3 320.436 4.229 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN(C)CC2CC2)c1 ZINC001232376904 1054032984 /nfs/dbraw/zinc/03/29/84/1054032984.db2.gz ORLZATGTKGIUSO-UHFFFAOYSA-N 0 3 311.425 4.116 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CCC23CCCCC3)c1 ZINC001232386727 1054035152 /nfs/dbraw/zinc/03/51/52/1054035152.db2.gz WODBMBRDPJAEAP-UHFFFAOYSA-N 0 3 303.446 4.391 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CCC[C@@](C)(F)CC2)c1 ZINC001232387201 1054035261 /nfs/dbraw/zinc/03/52/61/1054035261.db2.gz FJMWAVSIJKBWFF-GOSISDBHSA-N 0 3 309.425 4.197 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1ccc(C(F)(F)F)o1 ZINC001232445881 1054047102 /nfs/dbraw/zinc/04/71/02/1054047102.db2.gz DTEQTYQDQZSADV-UHFFFAOYSA-N 0 3 301.283 4.112 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CCC[C@@H]4CCCC[C@@H]43)c21 ZINC001232461018 1054048820 /nfs/dbraw/zinc/04/88/20/1054048820.db2.gz XMIZZKPKCLNRQK-WFASDCNBSA-N 0 3 303.837 4.371 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]c2nccc(Cl)c12 ZINC001232463974 1054049035 /nfs/dbraw/zinc/04/90/35/1054049035.db2.gz UFTHCGWIAVXIAW-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCCc2ccc(O)cc21 ZINC000291169126 1054051078 /nfs/dbraw/zinc/05/10/78/1054051078.db2.gz JTVFEYSKZOALQF-FQEVSTJZSA-N 0 3 311.425 4.096 20 0 DIADHN Cc1nc(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)c[nH]1 ZINC001232465268 1054051770 /nfs/dbraw/zinc/05/17/70/1054051770.db2.gz GSGQZUJOKATXLS-HNNXBMFYSA-N 0 3 309.335 4.074 20 0 DIADHN Cc1ncc(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)[nH]1 ZINC001232465268 1054051778 /nfs/dbraw/zinc/05/17/78/1054051778.db2.gz GSGQZUJOKATXLS-HNNXBMFYSA-N 0 3 309.335 4.074 20 0 DIADHN Cc1ncc(CN2CCC(c3csc4ccccc34)CC2)[nH]1 ZINC001232467468 1054052634 /nfs/dbraw/zinc/05/26/34/1054052634.db2.gz FVCBAUXJLGMZIG-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1c(C)cccc1F ZINC001232483609 1054055435 /nfs/dbraw/zinc/05/54/35/1054055435.db2.gz LXATUIMMHVHWOC-HNNXBMFYSA-N 0 3 301.405 4.206 20 0 DIADHN Cc1cccc(F)c1CN1CCC(n2ncc3ccccc32)CC1 ZINC001232484019 1054057296 /nfs/dbraw/zinc/05/72/96/1054057296.db2.gz SATOBYNEWZINHC-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Cc1cccc(F)c1CN1CCC(CC(=O)OC(C)(C)C)CC1 ZINC001232483569 1054057852 /nfs/dbraw/zinc/05/78/52/1054057852.db2.gz LKVMXLQZJUHDGS-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(Cc2cccc(F)c2)CC1 ZINC001232511692 1054061853 /nfs/dbraw/zinc/06/18/53/1054061853.db2.gz QOJOZMRKOHGETQ-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@@H](C)[C@H](C)C1 ZINC001232528610 1054063253 /nfs/dbraw/zinc/06/32/53/1054063253.db2.gz IAYJSKFRDHOPPW-VXGBXAGGSA-N 0 3 311.267 4.021 20 0 DIADHN FCc1cccc(CN2CCC3(CCCc4ccccc43)CC2)n1 ZINC001232532841 1054064059 /nfs/dbraw/zinc/06/40/59/1054064059.db2.gz CSGJJXYTIUMCRA-UHFFFAOYSA-N 0 3 324.443 4.421 20 0 DIADHN C[C@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)CC1 ZINC001232540485 1054065869 /nfs/dbraw/zinc/06/58/69/1054065869.db2.gz LUHZTAAHCPFVOQ-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN FCc1cccc(CN2CCC(n3ccc4ccccc43)CC2)n1 ZINC001232537775 1054067214 /nfs/dbraw/zinc/06/72/14/1054067214.db2.gz JEILAAGJWUTNLF-UHFFFAOYSA-N 0 3 323.415 4.343 20 0 DIADHN FCc1cccc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)n1 ZINC001232539592 1054067332 /nfs/dbraw/zinc/06/73/32/1054067332.db2.gz OKXAUGYNRZWVLQ-INIZCTEOSA-N 0 3 316.395 4.145 20 0 DIADHN CC(C)(C)[C@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000293125779 1054067295 /nfs/dbraw/zinc/06/72/95/1054067295.db2.gz YMPBDZAKUDQWQK-MRXNPFEDSA-N 0 3 307.388 4.025 20 0 DIADHN c1cc2cc(CN3CCC4(C=Cc5ccccc54)CC3)ncc2o1 ZINC001232550868 1054069850 /nfs/dbraw/zinc/06/98/50/1054069850.db2.gz CQZKJTCZOWNMMZ-UHFFFAOYSA-N 0 3 316.404 4.388 20 0 DIADHN C1=CC2(CCN(Cc3nc4ccccc4o3)CC2)c2ccccc21 ZINC001232556376 1054070812 /nfs/dbraw/zinc/07/08/12/1054070812.db2.gz NQMXPVGPJUMGPH-UHFFFAOYSA-N 0 3 316.404 4.388 20 0 DIADHN c1ccc(COc2ccnc(CN3CCC4(CCCC4)C3)c2)cc1 ZINC001232591551 1054077063 /nfs/dbraw/zinc/07/70/63/1054077063.db2.gz QPEJVAKHDBZTNC-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1cc(OCc2ccccc2)ccn1 ZINC001232588432 1054077453 /nfs/dbraw/zinc/07/74/53/1054077453.db2.gz SSYVTNFULAUVIR-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN Cc1cccc(Nc2cccc(NC(=O)[C@@H](N)CC3CC3)c2C)c1 ZINC001331475412 1054077571 /nfs/dbraw/zinc/07/75/71/1054077571.db2.gz PXGMUWJEEKXQOA-KRWDZBQOSA-N 0 3 323.440 4.113 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccc(-n3cccn3)cc2Cl)CC1 ZINC001232595080 1054080245 /nfs/dbraw/zinc/08/02/45/1054080245.db2.gz YBDBRMSGUZODMH-QGZVFWFLSA-N 0 3 321.827 4.240 20 0 DIADHN Cc1cccnc1O[C@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232615569 1054081541 /nfs/dbraw/zinc/08/15/41/1054081541.db2.gz XCUCTURBFACBJB-KRWDZBQOSA-N 0 3 324.449 4.258 20 0 DIADHN CCOC(=O)C[C@@H]1CCCN(Cc2ccc3ccsc3c2)C1 ZINC001232610356 1054082964 /nfs/dbraw/zinc/08/29/64/1054082964.db2.gz OEMQDMJYIYBXNA-AWEZNQCLSA-N 0 3 317.454 4.067 20 0 DIADHN CC(C)(C)OC(=O)[C@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232612500 1054083076 /nfs/dbraw/zinc/08/30/76/1054083076.db2.gz LWYSVPNKRGMYNJ-HNNXBMFYSA-N 0 3 317.454 4.065 20 0 DIADHN CNc1ccccc1CN(C)Cc1ccc(Br)cc1 ZINC001232670985 1054095153 /nfs/dbraw/zinc/09/51/53/1054095153.db2.gz ICMXVMLXHHNZPK-UHFFFAOYSA-N 0 3 319.246 4.123 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cncc4cnccc43)C2)c1 ZINC001232652955 1054095893 /nfs/dbraw/zinc/09/58/93/1054095893.db2.gz PAYTTYWAWUMHGS-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)Cc1cncc2cnccc21 ZINC001232653301 1054096081 /nfs/dbraw/zinc/09/60/81/1054096081.db2.gz UXPDPGLZMQFJQV-ZDUSSCGKSA-N 0 3 311.816 4.476 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1cccc2ncsc21 ZINC001232736281 1054111013 /nfs/dbraw/zinc/11/10/13/1054111013.db2.gz ZEJSBTXEEQTRGC-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN c1nc2ccc(CN3CC[C@@H](OCc4ccccc4)C3)cc2s1 ZINC001232742052 1054112767 /nfs/dbraw/zinc/11/27/67/1054112767.db2.gz RFUIXAAPCLYPJZ-QGZVFWFLSA-N 0 3 324.449 4.087 20 0 DIADHN CSc1ccc([C@H](C)NCc2cnc3onc(C)c3c2)cc1 ZINC001332296103 1054113526 /nfs/dbraw/zinc/11/35/26/1054113526.db2.gz IQSYVQDLTFXYFL-NSHDSACASA-N 0 3 313.426 4.104 20 0 DIADHN Cc1c(CN2CCC3(CC(F)(F)C3)CC2)ccnc1Cl ZINC001232750911 1054116573 /nfs/dbraw/zinc/11/65/73/1054116573.db2.gz GWNUBISGXFRIMB-UHFFFAOYSA-N 0 3 300.780 4.055 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC3(CC3)CC2)c(Cl)n1 ZINC001232791854 1054121271 /nfs/dbraw/zinc/12/12/71/1054121271.db2.gz YVKSHEAZUPMXIK-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN FC(F)(F)c1ccc(CN2CC3CCC(CC3)C2)c(Cl)n1 ZINC001232794969 1054121591 /nfs/dbraw/zinc/12/15/91/1054121591.db2.gz ZBBSJAKFQOGLIG-UHFFFAOYSA-N 0 3 318.770 4.376 20 0 DIADHN COc1cc(Cl)cc(C[NH2+]C(C)(C)c2ccc(F)cc2)c1[O-] ZINC000184707330 1054128278 /nfs/dbraw/zinc/12/82/78/1054128278.db2.gz OMQNXRKNSFUMFX-UHFFFAOYSA-N 0 3 323.795 4.218 20 0 DIADHN COc1cc(Cl)cc(C[NH2+]C(C)(C)c2cccc(C)c2)c1[O-] ZINC000184709168 1054128411 /nfs/dbraw/zinc/12/84/11/1054128411.db2.gz GLEJCRIAMJWYLQ-UHFFFAOYSA-N 0 3 319.832 4.388 20 0 DIADHN C[C@@H](O)[C@H](N[C@H]1CC[C@@H](C)c2ccsc21)c1ccccc1 ZINC000658356884 1054128760 /nfs/dbraw/zinc/12/87/60/1054128760.db2.gz MVDFDKVGULASNM-OMNBBPDLSA-N 0 3 301.455 4.398 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc(Cl)n1C)C(C)(C)C ZINC000848539585 1054134574 /nfs/dbraw/zinc/13/45/74/1054134574.db2.gz HTGQYZNYUATCRU-OAHLLOKOSA-N 0 3 305.853 4.259 20 0 DIADHN CN(Cc1c[nH]c2ccc(O)cc12)Cc1ccc(Cl)cc1 ZINC001232866330 1054135787 /nfs/dbraw/zinc/13/57/87/1054135787.db2.gz WLJNJVDDOUOTKQ-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN CC(=O)N1CC[C@H](NCc2ccccc2C(C)C)c2ccccc21 ZINC000848543454 1054137026 /nfs/dbraw/zinc/13/70/26/1054137026.db2.gz DWOBZMQLALCCDV-FQEVSTJZSA-N 0 3 322.452 4.398 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](CC1CCCC1)c1ccccc1 ZINC000848542848 1054137146 /nfs/dbraw/zinc/13/71/46/1054137146.db2.gz DWCAPEAVHQNPRO-QGZVFWFLSA-N 0 3 317.864 4.485 20 0 DIADHN Cc1cc(O)cc(CN2CCC3(CCc4ccccc4O3)CC2)c1 ZINC001232880976 1054138158 /nfs/dbraw/zinc/13/81/58/1054138158.db2.gz BFANMIQXHFKXDV-UHFFFAOYSA-N 0 3 323.436 4.060 20 0 DIADHN CC(C)N(Cc1ccc(F)c(F)c1Cl)C1CCOCC1 ZINC001232880081 1054138311 /nfs/dbraw/zinc/13/83/11/1054138311.db2.gz WYVROURKIYQKKT-UHFFFAOYSA-N 0 3 303.780 4.008 20 0 DIADHN Cn1c(Cl)ncc1CNC(C)(C)c1ccc(C(C)(C)C)cc1 ZINC000848544074 1054139738 /nfs/dbraw/zinc/13/97/38/1054139738.db2.gz OLWFCRLKNVVQLY-UHFFFAOYSA-N 0 3 319.880 4.396 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H]2CCCc3cn(C4CCCC4)nc32)CS1 ZINC000848547289 1054141081 /nfs/dbraw/zinc/14/10/81/1054141081.db2.gz MLOXICXZDDBWGF-UNEWFSDZSA-N 0 3 319.518 4.249 20 0 DIADHN CN(CCOc1ccccc1)Cc1cncc(-c2ccccc2)c1 ZINC001232900566 1054143505 /nfs/dbraw/zinc/14/35/05/1054143505.db2.gz DDPSYEPLDSJMKX-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)NC(C)(C)c1ccc2ccccc2c1 ZINC001438152400 1054145845 /nfs/dbraw/zinc/14/58/45/1054145845.db2.gz IOVUJSVDKMSEFR-LJQANCHMSA-N 0 3 324.468 4.064 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccccc1-n1cccc1 ZINC001232911637 1054148715 /nfs/dbraw/zinc/14/87/15/1054148715.db2.gz XIFYBMGFZAXUGM-UHFFFAOYSA-N 0 3 318.420 4.182 20 0 DIADHN CCCc1ccccc1OC(=O)c1ccc(CN(CC)CC)o1 ZINC001438186946 1054149327 /nfs/dbraw/zinc/14/93/27/1054149327.db2.gz ZNMHIOCWKUERET-UHFFFAOYSA-N 0 3 315.413 4.293 20 0 DIADHN COC[C@H]1CCCCN1Cc1cccc(SC(F)(F)F)c1 ZINC001232943651 1054153531 /nfs/dbraw/zinc/15/35/31/1054153531.db2.gz CETCILCCQFNPJY-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN COC/C(C)=C/CN[C@H](CC(F)(F)F)c1ccccc1C ZINC001333486265 1054156423 /nfs/dbraw/zinc/15/64/23/1054156423.db2.gz KFNIBHZVELCFQK-INDWDQIESA-N 0 3 301.352 4.171 20 0 DIADHN CCO[C@@H]1CCCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232947920 1054156588 /nfs/dbraw/zinc/15/65/88/1054156588.db2.gz LFSMGJUHSYMSNP-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN COc1ncc(CN(Cc2ccccc2)C2CC2)c2ccccc21 ZINC001232951307 1054156930 /nfs/dbraw/zinc/15/69/30/1054156930.db2.gz FCUISRSYDVMEKV-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN FC(F)(F)COc1cccc(CNCc2cccn2C2CC2)c1 ZINC001333556625 1054158301 /nfs/dbraw/zinc/15/83/01/1054158301.db2.gz FJUCPGIXEBXFOC-UHFFFAOYSA-N 0 3 324.346 4.054 20 0 DIADHN CCn1cc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)c(C)n1 ZINC001333707328 1054168406 /nfs/dbraw/zinc/16/84/06/1054168406.db2.gz OLZKLFICOQJMHL-KRWDZBQOSA-N 0 3 303.425 4.228 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc(Cl)ncc2[O-])c1 ZINC001233032410 1054175377 /nfs/dbraw/zinc/17/53/77/1054175377.db2.gz JUTJMPMUSGMDBY-OAHLLOKOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1cccc([C@H]2CCC[N@@H+]2Cc2cc(Cl)ncc2[O-])c1 ZINC001233032410 1054175381 /nfs/dbraw/zinc/17/53/81/1054175381.db2.gz JUTJMPMUSGMDBY-OAHLLOKOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1cccc([C@H]2CCC[N@H+]2Cc2cc(Cl)ncc2[O-])c1 ZINC001233032410 1054175386 /nfs/dbraw/zinc/17/53/86/1054175386.db2.gz JUTJMPMUSGMDBY-OAHLLOKOSA-N 0 3 302.805 4.086 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(Br)c(F)c1 ZINC000721946469 1054178476 /nfs/dbraw/zinc/17/84/76/1054178476.db2.gz JJOMSQVHEUXITO-UHFFFAOYSA-N 0 3 308.194 4.220 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1n[nH]c2cc(F)ccc21 ZINC001233127235 1054189272 /nfs/dbraw/zinc/18/92/72/1054189272.db2.gz UOOGCXDLOULBBI-IBGZPJMESA-N 0 3 309.388 4.211 20 0 DIADHN CCN(Cc1n[nH]c2cc(F)ccc21)Cc1cccc(Cl)c1 ZINC001233128305 1054189371 /nfs/dbraw/zinc/18/93/71/1054189371.db2.gz KBYBZDJERUDLHG-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN COc1ccc(C2CCN(Cc3cnc(C)c(C)c3)CC2)cc1 ZINC001233162854 1054192872 /nfs/dbraw/zinc/19/28/72/1054192872.db2.gz HWOCPXSZGPELDU-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cnc(C)c(C)c2)cc1 ZINC001233161653 1054193115 /nfs/dbraw/zinc/19/31/15/1054193115.db2.gz GOAATAIFHRISPN-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3csc(C(F)(F)F)c3)C[C@@H]21 ZINC001233176611 1054196016 /nfs/dbraw/zinc/19/60/16/1054196016.db2.gz NNMFHWPLCVXILA-FRRDWIJNSA-N 0 3 319.392 4.014 20 0 DIADHN CO[C@@H]1CCCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233208111 1054201951 /nfs/dbraw/zinc/20/19/51/1054201951.db2.gz VRLMCQHHGGKHLW-LJQANCHMSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1c(C)c(CN(C)C2CC(F)(F)C2)ccc1Br ZINC001233218098 1054203208 /nfs/dbraw/zinc/20/32/08/1054203208.db2.gz BAFHUOCTOPELEL-UHFFFAOYSA-N 0 3 318.205 4.295 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(O)c(OCC3CC3)c2)c1 ZINC001233223854 1054207568 /nfs/dbraw/zinc/20/75/68/1054207568.db2.gz LJPRJOITKUHAJX-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN Cc1ccc(CN2CCC(c3nc4ccccc4o3)CC2)cc1O ZINC001233230921 1054208719 /nfs/dbraw/zinc/20/87/19/1054208719.db2.gz NRNLMTCMBSNCSW-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3ccc(C)c(O)c3)C2)cc1 ZINC001233233877 1054209630 /nfs/dbraw/zinc/20/96/30/1054209630.db2.gz TXZGKQZAZGWBII-LJQANCHMSA-N 0 3 311.425 4.052 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc(C)c(O)c2)c1 ZINC001233233896 1054210674 /nfs/dbraw/zinc/21/06/74/1054210674.db2.gz UKZRUUGEWKEMBV-IBGZPJMESA-N 0 3 311.425 4.436 20 0 DIADHN Fc1ccc(CN2C[C@H]3CC[C@@H]2C3)c(OCc2ccccc2)c1 ZINC001233244977 1054213191 /nfs/dbraw/zinc/21/31/91/1054213191.db2.gz BIWYUBJPACATQU-QFBILLFUSA-N 0 3 311.400 4.389 20 0 DIADHN COCCCC1(CN2CC(C)(C)[C@@H]2c2ccncc2)CCC1 ZINC001335544260 1054220199 /nfs/dbraw/zinc/22/01/99/1054220199.db2.gz RYYXIIMAQWLQEL-KRWDZBQOSA-N 0 3 302.462 4.061 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cccc(OCOC)c1 ZINC001233282301 1054223700 /nfs/dbraw/zinc/22/37/00/1054223700.db2.gz KNPQQEDOWNSARD-UHFFFAOYSA-N 0 3 319.832 4.345 20 0 DIADHN CC(C)c1ocnc1CN1CCC[C@@H](c2c[nH]c3ncccc23)C1 ZINC001336173832 1054232218 /nfs/dbraw/zinc/23/22/18/1054232218.db2.gz KKVSDFPEOSPNBT-CQSZACIVSA-N 0 3 324.428 4.054 20 0 DIADHN O=C(Nc1cc(F)cc(Cl)c1)[C@H]1CCCCN1C1CCCC1 ZINC000622444527 1054235667 /nfs/dbraw/zinc/23/56/67/1054235667.db2.gz LFLXBFVWLQWQER-MRXNPFEDSA-N 0 3 324.827 4.215 20 0 DIADHN c1c2cccnc2sc1CN1CCC(Oc2ccccc2)CC1 ZINC001233345237 1054242345 /nfs/dbraw/zinc/24/23/45/1054242345.db2.gz LOZWJCCMJAEZHP-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1cc3cccnc3s1)CC2 ZINC001233349424 1054246352 /nfs/dbraw/zinc/24/63/52/1054246352.db2.gz RGSXHXBGHXWKBA-UHFFFAOYSA-N 0 3 314.454 4.022 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cc3cccnc3s2)cn1 ZINC001233349350 1054246755 /nfs/dbraw/zinc/24/67/55/1054246755.db2.gz OIPJFADSFQZYFP-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H](c2ccncc2)C1 ZINC001233352808 1054250458 /nfs/dbraw/zinc/25/04/58/1054250458.db2.gz UOYFMBYDIKVCRE-CQSZACIVSA-N 0 3 318.873 4.446 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1ccc(N)cc1Cl ZINC001233376401 1054252285 /nfs/dbraw/zinc/25/22/85/1054252285.db2.gz HFJKEGPFKBANGB-UHFFFAOYSA-N 0 3 309.240 4.250 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(N)cc1Cl ZINC001233377238 1054253971 /nfs/dbraw/zinc/25/39/71/1054253971.db2.gz VHAMJBKGXJKUQJ-GOSISDBHSA-N 0 3 300.833 4.432 20 0 DIADHN Nc1cc(F)c(F)cc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001233381933 1054254869 /nfs/dbraw/zinc/25/48/69/1054254869.db2.gz YPDZBOBJKXUCDM-GFCCVEGCSA-N 0 3 322.786 4.190 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1cc(F)c(F)cc1N ZINC001233382433 1054256012 /nfs/dbraw/zinc/25/60/12/1054256012.db2.gz UVWCJMVGBDBKOJ-UHFFFAOYSA-N 0 3 304.384 4.186 20 0 DIADHN Cc1ccc([C@@H](CNc2ccc3ccccc3n2)N2CCCC2)o1 ZINC000506750298 1054260789 /nfs/dbraw/zinc/26/07/89/1054260789.db2.gz MBDWKRAWGDHAQD-GOSISDBHSA-N 0 3 321.424 4.385 20 0 DIADHN Cc1ncsc1CN[C@@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC001337586384 1054275495 /nfs/dbraw/zinc/27/54/95/1054275495.db2.gz RTZIYYRZXRBBGG-OAHLLOKOSA-N 0 3 315.417 4.239 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc3nc(Cl)ccc3c2)C1 ZINC001233445050 1054276729 /nfs/dbraw/zinc/27/67/29/1054276729.db2.gz NZDYRPXEHMIBKO-GFCCVEGCSA-N 0 3 314.738 4.272 20 0 DIADHN CC(=O)c1cc(Cl)c2ccccc2c1O[C@@H](C)CN(C)C ZINC001233542925 1054291033 /nfs/dbraw/zinc/29/10/33/1054291033.db2.gz UZYKHXWBAWOAOW-NSHDSACASA-N 0 3 305.805 4.025 20 0 DIADHN Cc1cc([O-])c(Cl)cc1OC1CC(C)(C)[NH+](C)C(C)(C)C1 ZINC001233590878 1054302372 /nfs/dbraw/zinc/30/23/72/1054302372.db2.gz BFPAWNUQOWJOLM-UHFFFAOYSA-N 0 3 311.853 4.384 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)[nH]n1 ZINC001338447664 1054305657 /nfs/dbraw/zinc/30/56/57/1054305657.db2.gz OTMFVVLCWLRDQA-FQEVSTJZSA-N 0 3 303.409 4.162 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)n[nH]1 ZINC001338447664 1054305672 /nfs/dbraw/zinc/30/56/72/1054305672.db2.gz OTMFVVLCWLRDQA-FQEVSTJZSA-N 0 3 303.409 4.162 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2ccc3occc3c2)C1 ZINC001233678195 1054329162 /nfs/dbraw/zinc/32/91/62/1054329162.db2.gz XELCSAMJYUJXCY-YJBOKZPZSA-N 0 3 322.408 4.432 20 0 DIADHN C[C@@H](CCC(=O)N(CCN(C)C)c1ccccc1)c1ccccc1 ZINC001356418787 1054330569 /nfs/dbraw/zinc/33/05/69/1054330569.db2.gz BHQKIYPYJCIXSN-SFHVURJKSA-N 0 3 324.468 4.165 20 0 DIADHN C[C@H](CCC(=O)N(CCN(C)C)c1ccccc1)c1ccccc1 ZINC001356418786 1054331039 /nfs/dbraw/zinc/33/10/39/1054331039.db2.gz BHQKIYPYJCIXSN-GOSISDBHSA-N 0 3 324.468 4.165 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1cccc(OC(F)F)c1 ZINC000171129185 1054348411 /nfs/dbraw/zinc/34/84/11/1054348411.db2.gz TWAMORPJBIXZDF-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN CCCCc1ccc(NC(=O)[C@H](C(C)C)N2CCCCC2)cc1 ZINC001440562387 1054357741 /nfs/dbraw/zinc/35/77/41/1054357741.db2.gz XOQGPZJRDJLCGQ-IBGZPJMESA-N 0 3 316.489 4.478 20 0 DIADHN C[C@H](c1ccccc1)c1ccccc1O[C@H]1CN2CCC1CC2 ZINC001233782900 1054357928 /nfs/dbraw/zinc/35/79/28/1054357928.db2.gz PYLFRDMEUMJHFA-IERDGZPVSA-N 0 3 307.437 4.311 20 0 DIADHN CN(C)[C@@H](CNC(=O)CCCCC(C)(C)C)c1ccccc1 ZINC001440586666 1054359313 /nfs/dbraw/zinc/35/93/13/1054359313.db2.gz AVCSCIJSFPNYPR-KRWDZBQOSA-N 0 3 304.478 4.012 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CCCCc2ccccc2)c1 ZINC001440817148 1054368336 /nfs/dbraw/zinc/36/83/36/1054368336.db2.gz OVYAOXGJCTTWML-UHFFFAOYSA-N 0 3 310.441 4.100 20 0 DIADHN CC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000171714839 1054383302 /nfs/dbraw/zinc/38/33/02/1054383302.db2.gz HYXFDAHSXHAHHO-SOUVJXGZSA-N 0 3 322.518 4.141 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@H]4CCCC[C@H]4C3)nn2)cc1 ZINC001341425003 1054387149 /nfs/dbraw/zinc/38/71/49/1054387149.db2.gz MOPNJWUOGCQYNG-SJORKVTESA-N 0 3 323.440 4.281 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(CC)(CC)CC)c1ccsc1 ZINC001441322620 1054388804 /nfs/dbraw/zinc/38/88/04/1054388804.db2.gz XOAHBBDOHDSPIC-MRXNPFEDSA-N 0 3 324.534 4.464 20 0 DIADHN Cc1ncc(O[C@H]2CCCN(Cc3ccccc3)C2)cc1Cl ZINC001233936720 1054393532 /nfs/dbraw/zinc/39/35/32/1054393532.db2.gz UHMFQSQGRDIODA-INIZCTEOSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001341523643 1054394078 /nfs/dbraw/zinc/39/40/78/1054394078.db2.gz FRYTYBNKQOMOHE-DLBZAZTESA-N 0 3 303.494 4.267 20 0 DIADHN CCOc1ccc2c(c1)CN(Cc1cccc3[nH]ccc31)CC2 ZINC001341554780 1054396198 /nfs/dbraw/zinc/39/61/98/1054396198.db2.gz UANFZUWFUDMUJV-UHFFFAOYSA-N 0 3 306.409 4.125 20 0 DIADHN CC(C)n1cc(CN2C[C@H]3CCCC[C@]32c2ccccc2)cn1 ZINC001341603890 1054399923 /nfs/dbraw/zinc/39/99/23/1054399923.db2.gz BWAQIELRDQVOHS-UXHICEINSA-N 0 3 309.457 4.365 20 0 DIADHN CC(C)n1cc(CN2C[C@H]3CCCC[C@@]32c2ccccc2)cn1 ZINC001341603892 1054400508 /nfs/dbraw/zinc/40/05/08/1054400508.db2.gz BWAQIELRDQVOHS-WOJBJXKFSA-N 0 3 309.457 4.365 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3ccco3)C2)cc1Br ZINC001473167365 1054404993 /nfs/dbraw/zinc/40/49/93/1054404993.db2.gz GTYGFXWCGGXSFZ-CQSZACIVSA-N 0 3 320.230 4.340 20 0 DIADHN Fc1cc(CN2CCC[C@@]3(CC=CCC3)C2)c2c(c1)COCO2 ZINC000172282137 1054421302 /nfs/dbraw/zinc/42/13/02/1054421302.db2.gz YRCARYXCUHZIBT-IBGZPJMESA-N 0 3 317.404 4.015 20 0 DIADHN CN(Cc1ccccc1F)C[C@H]1CCCO[C@H]1c1ccccc1 ZINC000622836843 1054430298 /nfs/dbraw/zinc/43/02/98/1054430298.db2.gz RRFJKKHODSQLKS-QUCCMNQESA-N 0 3 313.416 4.425 20 0 DIADHN CCn1cc(CCN(Cc2ccco2)Cc2cccc(C)c2)cn1 ZINC001473231295 1054431383 /nfs/dbraw/zinc/43/13/83/1054431383.db2.gz IDWQRTYSRRKBCL-UHFFFAOYSA-N 0 3 323.440 4.049 20 0 DIADHN CC[C@H](NC[C@H](c1ccco1)N1CCCC1)c1ccccc1F ZINC000336874528 1054456892 /nfs/dbraw/zinc/45/68/92/1054456892.db2.gz ZOYGABWCQZXDTP-ZWKOTPCHSA-N 0 3 316.420 4.297 20 0 DIADHN CSc1ccc(F)cc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001234221021 1054460117 /nfs/dbraw/zinc/46/01/17/1054460117.db2.gz HLXBFBOCIOXFDX-MRXNPFEDSA-N 0 3 317.429 4.201 20 0 DIADHN C[C@@H](NCc1cccn1C1CC1)c1cccc(N2CCCC2)c1 ZINC001344063632 1054461780 /nfs/dbraw/zinc/46/17/80/1054461780.db2.gz WAYCIDLROQBEIU-MRXNPFEDSA-N 0 3 309.457 4.274 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccnc(Cl)c2)C1(C)C ZINC001473258954 1054463486 /nfs/dbraw/zinc/46/34/86/1054463486.db2.gz BNHCPVFDCPVQFB-HUUCEWRRSA-N 0 3 310.869 4.151 20 0 DIADHN CCN(CC(=O)Nc1ccccc1SC(F)F)C(C)(C)C ZINC001344335064 1054465341 /nfs/dbraw/zinc/46/53/41/1054465341.db2.gz LOTITLDTRMYBBO-UHFFFAOYSA-N 0 3 316.417 4.060 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)c1cc(C)ccc1O ZINC000185205826 1054470054 /nfs/dbraw/zinc/47/00/54/1054470054.db2.gz KGLZBOFDURTIRL-LBPRGKRZSA-N 0 3 305.805 4.213 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1OC1C[C@H](C)C[C@@H](C)C1 ZINC001234244743 1054471617 /nfs/dbraw/zinc/47/16/17/1054471617.db2.gz SCPLYVQEGKWJEH-CHWSQXEVSA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)c1ccccc1C ZINC001234245119 1054472009 /nfs/dbraw/zinc/47/20/09/1054472009.db2.gz UJNZESGQSDNPNO-CQSZACIVSA-N 0 3 317.404 4.344 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1C[C@@H](C)CC[C@@H]1C ZINC001234242829 1054472151 /nfs/dbraw/zinc/47/21/51/1054472151.db2.gz DHOTXPHESUODIU-HEHGZKQESA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1OC1[C@H](C)CCC[C@H]1C ZINC001234243269 1054472435 /nfs/dbraw/zinc/47/24/35/1054472435.db2.gz GQRLTDJCCPBUMV-CHWSQXEVSA-N 0 3 309.425 4.099 20 0 DIADHN C[NH+](C)CC[C@H](Oc1c(Cl)ccc([O-])c1F)c1ccccc1 ZINC001234264241 1054479410 /nfs/dbraw/zinc/47/94/10/1054479410.db2.gz OOWATACCSXNFIA-HNNXBMFYSA-N 0 3 323.795 4.257 20 0 DIADHN Fc1cccc(CC2(NCc3cccnc3Cl)CCC2)c1 ZINC000185230735 1054482369 /nfs/dbraw/zinc/48/23/69/1054482369.db2.gz CTLMIKXXEPHPHO-UHFFFAOYSA-N 0 3 304.796 4.129 20 0 DIADHN CC[C@@H](C)C[C@@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001444694515 1054483297 /nfs/dbraw/zinc/48/32/97/1054483297.db2.gz OTEOIWJRSKDPCJ-IAGOWNOFSA-N 0 3 316.489 4.227 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)c1 ZINC001444868781 1054491303 /nfs/dbraw/zinc/49/13/03/1054491303.db2.gz SXJCXOOBNVAOJE-KKUMJFAQSA-N 0 3 302.462 4.011 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@@H](C)c2cccc(C)c2)c1 ZINC000173936914 1054498051 /nfs/dbraw/zinc/49/80/51/1054498051.db2.gz ZTNIHKTWUWYDPK-SJORKVTESA-N 0 3 324.468 4.374 20 0 DIADHN CC[C@@H](C)CCC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001445273178 1054501775 /nfs/dbraw/zinc/50/17/75/1054501775.db2.gz QQKUBEGUAIBNLP-LMDPOFIKSA-N 0 3 322.537 4.172 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2cccnc2)cc1 ZINC000174238402 1054505046 /nfs/dbraw/zinc/50/50/46/1054505046.db2.gz RTQARHNHJTYLOB-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN O=[N+]([O-])c1ccc(CNC2(c3ccccc3)CCC2)cc1Cl ZINC000185282585 1054508887 /nfs/dbraw/zinc/50/88/87/1054508887.db2.gz ZNYNXVQVFYMLFR-UHFFFAOYSA-N 0 3 316.788 4.417 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001445606335 1054510807 /nfs/dbraw/zinc/51/08/07/1054510807.db2.gz NVMNBWZWJLAAAJ-XHSDSOJGSA-N 0 3 302.462 4.034 20 0 DIADHN Cc1cc(CNCCc2c(C)cc(C(C)(C)C)cc2C)on1 ZINC000623013464 1054514796 /nfs/dbraw/zinc/51/47/96/1054514796.db2.gz WNJCLWGMWKNSNB-UHFFFAOYSA-N 0 3 300.446 4.230 20 0 DIADHN C[C@@H](Oc1cccc2c1CCN(C)C2)c1ccccc1Cl ZINC001234419744 1054517902 /nfs/dbraw/zinc/51/79/02/1054517902.db2.gz FRYOAJUYHIKOEB-CYBMUJFWSA-N 0 3 301.817 4.468 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(-n2cccn2)cc1 ZINC000175017779 1054518052 /nfs/dbraw/zinc/51/80/52/1054518052.db2.gz GQFWTHIVROYFGW-VBKZILBWSA-N 0 3 321.399 4.350 20 0 DIADHN CN1CCc2c(cccc2OC2CCC(C(F)(F)F)CC2)C1 ZINC001234420100 1054518312 /nfs/dbraw/zinc/51/83/12/1054518312.db2.gz KEQZSCZRTBKFFE-UHFFFAOYSA-N 0 3 313.363 4.174 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC000671296346 1054530855 /nfs/dbraw/zinc/53/08/55/1054530855.db2.gz CORRUCKWYIOAOO-AFAVFJNCSA-N 0 3 314.429 4.188 20 0 DIADHN c1nc2cccc(O[C@H]3CCCN(Cc4ccccc4)C3)c2s1 ZINC001234478637 1054531815 /nfs/dbraw/zinc/53/18/15/1054531815.db2.gz SUODFLMQJFXLQB-INIZCTEOSA-N 0 3 324.449 4.340 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000072775506 1054532245 /nfs/dbraw/zinc/53/22/45/1054532245.db2.gz RCHDZPRCACSONT-XJKSGUPXSA-N 0 3 317.408 4.276 20 0 DIADHN CCN(CCC(F)(F)F)Cc1ccc(F)c2cccnc12 ZINC000623121473 1054534850 /nfs/dbraw/zinc/53/48/50/1054534850.db2.gz YFNRJJFLNWBUFW-UHFFFAOYSA-N 0 3 300.299 4.148 20 0 DIADHN FC1(F)[C@@H]2CC[C@H](N[C@@H](c3ccccc3)c3ccccn3)C[C@@H]21 ZINC001346860215 1054552653 /nfs/dbraw/zinc/55/26/53/1054552653.db2.gz NUCOHFMOSIKWEP-DFGXFYAUSA-N 0 3 314.379 4.194 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1SC(F)F)N(CC)CC ZINC000763864495 1054553911 /nfs/dbraw/zinc/55/39/11/1054553911.db2.gz BWKJQIVDLDYGEL-LBPRGKRZSA-N 0 3 316.417 4.060 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)[nH]1)C(C)(C)c1ccccc1 ZINC000671309149 1054554138 /nfs/dbraw/zinc/55/41/38/1054554138.db2.gz DOXYLTQZFFIBMS-UHFFFAOYSA-N 0 3 305.425 4.444 20 0 DIADHN COc1ccc(CNCc2cccc(C(F)F)c2)c(C)c1OC ZINC001347140309 1054559422 /nfs/dbraw/zinc/55/94/22/1054559422.db2.gz HXNMDHVAWSWPOL-UHFFFAOYSA-N 0 3 321.367 4.240 20 0 DIADHN Fc1ccc(SCCCNCc2ccncc2Cl)cc1 ZINC000623337902 1054561927 /nfs/dbraw/zinc/56/19/27/1054561927.db2.gz ASJCDMQBHNFEPC-UHFFFAOYSA-N 0 3 310.825 4.146 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccccc2Br)C1 ZINC000379962481 1054564487 /nfs/dbraw/zinc/56/44/87/1054564487.db2.gz KZLJYKNLSHUKMB-GFCCVEGCSA-N 0 3 314.292 4.024 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccccc32)cc1OC1CC1 ZINC000623344455 1054565051 /nfs/dbraw/zinc/56/50/51/1054565051.db2.gz NJZXXRINJWRGGW-GOSISDBHSA-N 0 3 309.409 4.014 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@H]1C(C)(C)O ZINC001238267764 1054573315 /nfs/dbraw/zinc/57/33/15/1054573315.db2.gz MBAUEPCNGUARKO-AWEZNQCLSA-N 0 3 302.245 4.037 20 0 DIADHN c1cc(CN2CCC(OCCc3ccccc3)CC2)n(C2CC2)c1 ZINC001347781541 1054582356 /nfs/dbraw/zinc/58/23/56/1054582356.db2.gz GLMXGZACJHZQGO-UHFFFAOYSA-N 0 3 324.468 4.047 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(C)ccc32)cc1OC1CC1 ZINC000623381987 1054582892 /nfs/dbraw/zinc/58/28/92/1054582892.db2.gz RHCUJCWPNMLPAY-IBGZPJMESA-N 0 3 323.436 4.322 20 0 DIADHN Cc1cccc(NC[C@@H]2CCCN2Cc2ccc(Cl)cc2)n1 ZINC001347792901 1054584847 /nfs/dbraw/zinc/58/48/47/1054584847.db2.gz FGLHEYNOWSDHNM-KRWDZBQOSA-N 0 3 315.848 4.120 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@H]32)c(OC(C)C)c1 ZINC001234720684 1054584860 /nfs/dbraw/zinc/58/48/60/1054584860.db2.gz PMILOURYMZIULU-MAUKXSAKSA-N 0 3 303.446 4.247 20 0 DIADHN Clc1cc(Cl)cc(CCNCc2ccncc2Cl)c1 ZINC000722143703 1054586227 /nfs/dbraw/zinc/58/62/27/1054586227.db2.gz TTZFWDGHIVQWRI-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN CCN(Cc1nnc(-c2cc3c(s2)CCCC3)o1)C(C)(C)C ZINC001347839784 1054589081 /nfs/dbraw/zinc/58/90/81/1054589081.db2.gz XSNHMORAMRGVSX-UHFFFAOYSA-N 0 3 319.474 4.297 20 0 DIADHN COCC(C)(C)CCCNCc1ccsc1Br ZINC000623525386 1054611381 /nfs/dbraw/zinc/61/13/81/1054611381.db2.gz JIEQRGWENVBQAI-UHFFFAOYSA-N 0 3 320.296 4.053 20 0 DIADHN CCCc1ccc(OC2CCN(C(C)C)CC2)c(C(C)=O)c1 ZINC001234910308 1054617236 /nfs/dbraw/zinc/61/72/36/1054617236.db2.gz LOMAMDIKTOQXMI-UHFFFAOYSA-N 0 3 303.446 4.093 20 0 DIADHN CCCc1ccc(O[C@@H]2CCCN(C(C)C)C2)c(C(C)=O)c1 ZINC001234908322 1054617585 /nfs/dbraw/zinc/61/75/85/1054617585.db2.gz CWJVIXZBDDDONT-QGZVFWFLSA-N 0 3 303.446 4.093 20 0 DIADHN CC[C@H](COCC1CC1)NCc1csc(C(F)(F)F)c1 ZINC000623562831 1054623260 /nfs/dbraw/zinc/62/32/60/1054623260.db2.gz DWJGSCDYUMZXRQ-GFCCVEGCSA-N 0 3 307.381 4.062 20 0 DIADHN CCSCc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001449732967 1054626972 /nfs/dbraw/zinc/62/69/72/1054626972.db2.gz OWGPWLVNQNPMPZ-ZNMIVQPWSA-N 0 3 320.502 4.140 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccc(F)c(F)c1 ZINC000178051828 1054641574 /nfs/dbraw/zinc/64/15/74/1054641574.db2.gz QMRVQOYEVVNCCY-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001348466344 1054647841 /nfs/dbraw/zinc/64/78/41/1054647841.db2.gz NJUBVYGRKNNBII-SFHVURJKSA-N 0 3 302.462 4.231 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@@H](C)c2ccccc2C)cc1 ZINC000178334244 1054648511 /nfs/dbraw/zinc/64/85/11/1054648511.db2.gz GTTSIAJHBPOLRQ-KRWDZBQOSA-N 0 3 324.468 4.376 20 0 DIADHN Cc1nc(CN[C@@H](Cc2ccccc2)C2CC2)nc2ccccc12 ZINC000178459815 1054651157 /nfs/dbraw/zinc/65/11/57/1054651157.db2.gz ROXSYTFCAAWWOB-FQEVSTJZSA-N 0 3 317.436 4.049 20 0 DIADHN C[C@H](NCCOc1cccc(F)c1)c1ccc2ccccc2n1 ZINC000178473997 1054651254 /nfs/dbraw/zinc/65/12/54/1054651254.db2.gz DPVNYEMIBGKGAV-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN C[C@@H](NCCc1ccccc1O)c1nc(-c2ccccc2)cs1 ZINC000178417420 1054652445 /nfs/dbraw/zinc/65/24/45/1054652445.db2.gz XGZZQSJFTVBVJG-CQSZACIVSA-N 0 3 324.449 4.409 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc(N2CCCC2)c1 ZINC001235095196 1054657068 /nfs/dbraw/zinc/65/70/68/1054657068.db2.gz GCMLHEVNKQIEMB-KRWDZBQOSA-N 0 3 324.468 4.488 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnc(N(C)C)s2)c2ccccc21 ZINC000623706019 1054658920 /nfs/dbraw/zinc/65/89/20/1054658920.db2.gz DQLJYAXPZNLAEZ-IRXDYDNUSA-N 0 3 315.486 4.183 20 0 DIADHN COCc1csc(CN[C@@H]2CCc3cc(Cl)ccc32)c1 ZINC000623708595 1054661792 /nfs/dbraw/zinc/66/17/92/1054661792.db2.gz NVUZUZCUDZMQAF-MRXNPFEDSA-N 0 3 307.846 4.325 20 0 DIADHN C[C@@H]1Cc2cc(-c3ccc(CNCc4cc[nH]c4)cc3)ccc2O1 ZINC000179322986 1054676022 /nfs/dbraw/zinc/67/60/22/1054676022.db2.gz UEOBIEJEOMUGBM-OAHLLOKOSA-N 0 3 318.420 4.295 20 0 DIADHN c1cc(-c2csc(CN3CC4(C3)CCOCC4)c2)cs1 ZINC001235184647 1054678522 /nfs/dbraw/zinc/67/85/22/1054678522.db2.gz CUBBGNNDOPZIQV-UHFFFAOYSA-N 0 3 305.468 4.089 20 0 DIADHN c1cc(-c2csc(CN3CCN4CCCC[C@@H]4C3)c2)cs1 ZINC001235187643 1054678824 /nfs/dbraw/zinc/67/88/24/1054678824.db2.gz YQEAQYPCXSCSCC-MRXNPFEDSA-N 0 3 318.511 4.147 20 0 DIADHN CCN1CCN(Cc2cc(-c3ccsc3)cs2)[C@H](C)C1 ZINC001235193252 1054680713 /nfs/dbraw/zinc/68/07/13/1054680713.db2.gz MJNIQKHLNQYJSF-CYBMUJFWSA-N 0 3 306.500 4.003 20 0 DIADHN Clc1ccc(C2(NCc3cccc4nccn43)CCC2)cc1 ZINC000179421747 1054680999 /nfs/dbraw/zinc/68/09/99/1054680999.db2.gz UEJADUNTHQTGMN-UHFFFAOYSA-N 0 3 311.816 4.157 20 0 DIADHN CN(Cc1nc(Cl)ccc1C(F)(F)F)C[C@H]1CC1(C)C ZINC001235234604 1054687784 /nfs/dbraw/zinc/68/77/84/1054687784.db2.gz YAGSBEMUIWFPGL-SECBINFHSA-N 0 3 306.759 4.232 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc3cccc(F)c3[nH]2)C1 ZINC001235233686 1054687845 /nfs/dbraw/zinc/68/78/45/1054687845.db2.gz GVEKEBMPKWBVCV-OAHLLOKOSA-N 0 3 324.399 4.305 20 0 DIADHN Fc1cccc2cc(CN3CCC[C@@H](c4ccccn4)C3)[nH]c21 ZINC001235246143 1054688898 /nfs/dbraw/zinc/68/88/98/1054688898.db2.gz JLQDNMRAMLSEAP-OAHLLOKOSA-N 0 3 309.388 4.082 20 0 DIADHN CCc1ccccc1NC(=O)CNC(C)(C)c1cccc(C)c1 ZINC000179586543 1054689592 /nfs/dbraw/zinc/68/95/92/1054689592.db2.gz OPVKHIVYGMRKCZ-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN CCN1CCN(c2ccccc2N[C@H](C)c2ccccc2)CC1 ZINC000179604097 1054690770 /nfs/dbraw/zinc/69/07/70/1054690770.db2.gz YHSSLTJNHMYYKF-QGZVFWFLSA-N 0 3 309.457 4.002 20 0 DIADHN CCc1cccc(CN2CCC(Cc3ccc(F)cc3)CC2)n1 ZINC001235261851 1054694868 /nfs/dbraw/zinc/69/48/68/1054694868.db2.gz UELVSDDRHDKOQB-UHFFFAOYSA-N 0 3 312.432 4.238 20 0 DIADHN CCc1cccc(CN2CC[C@H](c3ccccc3Cl)C2)n1 ZINC001235262311 1054695548 /nfs/dbraw/zinc/69/55/48/1054695548.db2.gz YPUGGIBNNQYXBR-AWEZNQCLSA-N 0 3 300.833 4.287 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C2CC2)c(C)s1 ZINC000179688605 1054695990 /nfs/dbraw/zinc/69/59/90/1054695990.db2.gz RFRATJLKGXUHAH-KRWDZBQOSA-N 0 3 315.438 4.084 20 0 DIADHN CC[C@@H](NCCOC(C)(C)C)c1ccccc1OC(F)F ZINC000179714812 1054698426 /nfs/dbraw/zinc/69/84/26/1054698426.db2.gz VRVGTTVQWPCTIQ-CYBMUJFWSA-N 0 3 301.377 4.144 20 0 DIADHN CCOc1cc(O)cc(CN2CCC[C@H]2c2ccccc2C)c1 ZINC001235391506 1054713378 /nfs/dbraw/zinc/71/33/78/1054713378.db2.gz DSELMHLJNPMMBD-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN(C)[C@H]1CCc2ccccc21 ZINC001235434356 1054720148 /nfs/dbraw/zinc/72/01/48/1054720148.db2.gz OZUDPAGIHVJDQA-IBGZPJMESA-N 0 3 310.441 4.297 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001235433397 1054720263 /nfs/dbraw/zinc/72/02/63/1054720263.db2.gz QHNKQNXGQCUMPK-CALCHBBNSA-N 0 3 322.452 4.264 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3ncccc3C)CC2)cc1 ZINC001473432639 1054720729 /nfs/dbraw/zinc/72/07/29/1054720729.db2.gz VNQFZGBXLQZEHO-UHFFFAOYSA-N 0 3 324.468 4.386 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCOc3cc(F)ccc32)cc1 ZINC000179967145 1054721218 /nfs/dbraw/zinc/72/12/18/1054721218.db2.gz PBJYVNXISOJWHZ-FZKQIMNGSA-N 0 3 315.388 4.399 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)o1 ZINC000623752516 1054723808 /nfs/dbraw/zinc/72/38/08/1054723808.db2.gz HDOZVHMJMHGBOK-LIRRHRJNSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc(CF)cc3)CC2)s1 ZINC000763973637 1054726028 /nfs/dbraw/zinc/72/60/28/1054726028.db2.gz VQADVNZJOHMTGI-UHFFFAOYSA-N 0 3 304.434 4.301 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)o1 ZINC000623752994 1054726402 /nfs/dbraw/zinc/72/64/02/1054726402.db2.gz PHWYOLBWYOWIEB-HNAYVOBHSA-N 0 3 315.388 4.051 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCc3ccccc32)cc(F)c1F ZINC001235447360 1054726898 /nfs/dbraw/zinc/72/68/98/1054726898.db2.gz UZPVHYADKOJBTI-MRXNPFEDSA-N 0 3 303.352 4.093 20 0 DIADHN Cc1ccc(CN(C)Cc2cn(C)nc2-c2cccs2)s1 ZINC000180036275 1054729753 /nfs/dbraw/zinc/72/97/53/1054729753.db2.gz CJZRCRUHLKQZBA-UHFFFAOYSA-N 0 3 317.483 4.151 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(Cc2cccc(F)c2F)CC1 ZINC001473544130 1054737931 /nfs/dbraw/zinc/73/79/31/1054737931.db2.gz XKAVVRJYBJZGLG-AWEZNQCLSA-N 0 3 316.395 4.376 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2cc(C)cc(Cl)c2)C[C@H]1C ZINC001235541661 1054739900 /nfs/dbraw/zinc/73/99/00/1054739900.db2.gz WUJNYMSEKKQBLZ-GDBMZVCRSA-N 0 3 323.864 4.060 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)c1 ZINC001235539823 1054740767 /nfs/dbraw/zinc/74/07/67/1054740767.db2.gz BUHOPYHXKWIPLP-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@H](Oc3ccncc3C)C2)c1 ZINC001235540836 1054740972 /nfs/dbraw/zinc/74/09/72/1054740972.db2.gz PWWMKZXWWOPGAY-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1ncn(C)c1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001235545092 1054741057 /nfs/dbraw/zinc/74/10/57/1054741057.db2.gz WGENZKYHFAHYED-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN Cc1ccnc(CN2CCC[C@H](Cc3ccccc3)C2)c1Cl ZINC001235578752 1054743785 /nfs/dbraw/zinc/74/37/85/1054743785.db2.gz DLNFBLLKMRHQKD-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000180205354 1054744434 /nfs/dbraw/zinc/74/44/34/1054744434.db2.gz GATDCMHPKQJVJM-IBGZPJMESA-N 0 3 320.440 4.142 20 0 DIADHN CCN(CC)[C@H](CNc1nc2cc(C)ccc2o1)c1ccco1 ZINC000513645171 1054744839 /nfs/dbraw/zinc/74/48/39/1054744839.db2.gz IRQVNKOBQLYESC-OAHLLOKOSA-N 0 3 313.401 4.224 20 0 DIADHN c1cc2c(s1)CN(Cc1ccccc1-c1ccncc1)CC2 ZINC001235629548 1054762033 /nfs/dbraw/zinc/76/20/33/1054762033.db2.gz XGFSXTTVPGRIEX-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN Nc1c(F)cccc1CN1CCC(CCc2ccccc2)CC1 ZINC001235674529 1054771143 /nfs/dbraw/zinc/77/11/43/1054771143.db2.gz GOTBNOMGULTGIU-UHFFFAOYSA-N 0 3 312.432 4.253 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H](O)C2CCCCC2)c1Cl ZINC001473974915 1054774483 /nfs/dbraw/zinc/77/44/83/1054774483.db2.gz QMQZUOXMRXGDKY-OAHLLOKOSA-N 0 3 316.272 4.333 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCN1CCOCC1CC1 ZINC001349658007 1054782228 /nfs/dbraw/zinc/78/22/28/1054782228.db2.gz JDTYDJWRVSIEHE-MRXNPFEDSA-N 0 3 313.363 4.269 20 0 DIADHN Fc1ccc2[nH]c(CN3CCC[C@H]3C3CCCCC3)nc2c1 ZINC001235694559 1054782250 /nfs/dbraw/zinc/78/22/50/1054782250.db2.gz GMHAVNGXUJUHOS-KRWDZBQOSA-N 0 3 301.409 4.247 20 0 DIADHN Fc1ccc2[nH]c(CN3CCC[C@@H]3C3CCCCC3)nc2c1 ZINC001235694561 1054782946 /nfs/dbraw/zinc/78/29/46/1054782946.db2.gz GMHAVNGXUJUHOS-QGZVFWFLSA-N 0 3 301.409 4.247 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cnc3ccccn13)CCC2 ZINC000623832291 1054785132 /nfs/dbraw/zinc/78/51/32/1054785132.db2.gz UYQKVKSBANSLPS-QGZVFWFLSA-N 0 3 311.816 4.155 20 0 DIADHN CCCn1cc(CN[C@@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000623832710 1054785484 /nfs/dbraw/zinc/78/54/84/1054785484.db2.gz IFIGLEKHEGCNCP-QGZVFWFLSA-N 0 3 303.837 4.114 20 0 DIADHN Cc1ccc(Br)cc1[C@H](C)NCc1cccnc1 ZINC000623850058 1054787556 /nfs/dbraw/zinc/78/75/56/1054787556.db2.gz WLCMIHJRBLHRIS-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCC[C@H](NCc1cccc(C(N)=O)c1)c1cc(C)sc1C ZINC000623856443 1054790411 /nfs/dbraw/zinc/79/04/11/1054790411.db2.gz VOXNOXUTGGVAKH-KRWDZBQOSA-N 0 3 316.470 4.095 20 0 DIADHN Cc1c[nH]c(CNCC2(c3cccc(Cl)c3)CCCCC2)n1 ZINC001474154335 1054790474 /nfs/dbraw/zinc/79/04/74/1054790474.db2.gz XSNNXCYTXPBGDT-UHFFFAOYSA-N 0 3 317.864 4.363 20 0 DIADHN Fc1ccc(OCCCCN[C@@H]2CCCc3scnc32)cc1 ZINC000623861465 1054791783 /nfs/dbraw/zinc/79/17/83/1054791783.db2.gz YUDHNOABAQTOBH-OAHLLOKOSA-N 0 3 320.433 4.108 20 0 DIADHN CC(C)Oc1cc(C(F)(F)F)ccc1-c1cccc(CN)n1 ZINC001235736729 1054793144 /nfs/dbraw/zinc/79/31/44/1054793144.db2.gz LWEZJQSHAVQFOV-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc(Br)ccc2C)cn1 ZINC000623865973 1054793602 /nfs/dbraw/zinc/79/36/02/1054793602.db2.gz HHQRJXQFDCLVFZ-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Brc1ccc(-c2ccc(CN[C@@H]3CC34CC4)o2)cc1 ZINC001474187010 1054794233 /nfs/dbraw/zinc/79/42/33/1054794233.db2.gz XOFAQAYLGAZLCC-OAHLLOKOSA-N 0 3 318.214 4.351 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CNC(C)(C)/C=C\Cl)c1 ZINC001474272839 1054795888 /nfs/dbraw/zinc/79/58/88/1054795888.db2.gz WTNVYGHVGJUZAD-KTKRTIGZSA-N 0 3 309.837 4.263 20 0 DIADHN C[C@H](OCCCN[C@H]1CCCc2scnc21)c1ccccc1 ZINC000623854288 1054796548 /nfs/dbraw/zinc/79/65/48/1054796548.db2.gz XXPFNRIUAWJBCS-HOCLYGCPSA-N 0 3 316.470 4.278 20 0 DIADHN Clc1ccc([C@H]2CN(Cc3ccccc3Cl)CCN2)cc1 ZINC001235787351 1054805684 /nfs/dbraw/zinc/80/56/84/1054805684.db2.gz BRNRQHSMWDNNIU-QGZVFWFLSA-N 0 3 321.251 4.140 20 0 DIADHN CC(C)(CN[C@@H]1CCCc2scnc21)c1ccc(F)cc1 ZINC000623886057 1054810255 /nfs/dbraw/zinc/81/02/55/1054810255.db2.gz PYKRBJHYPGYIBV-CQSZACIVSA-N 0 3 304.434 4.227 20 0 DIADHN Cc1csc([C@H](C)NCC2(Sc3ccccc3)CC2)n1 ZINC000623891481 1054810530 /nfs/dbraw/zinc/81/05/30/1054810530.db2.gz NBLCVAPQCNCYPZ-ZDUSSCGKSA-N 0 3 304.484 4.427 20 0 DIADHN Cc1csc([C@H](C)NCCc2nc(C(C)(C)C)cs2)n1 ZINC000623889947 1054810785 /nfs/dbraw/zinc/81/07/85/1054810785.db2.gz ZZJRKVSYWDZTAE-NSHDSACASA-N 0 3 309.504 4.099 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@@H](CCc2ccccc2)C1 ZINC001235818166 1054811648 /nfs/dbraw/zinc/81/16/48/1054811648.db2.gz DZQORXACHVYYDB-SFHVURJKSA-N 0 3 312.432 4.374 20 0 DIADHN c1ccc([C@@H]2CSCCN2Cc2ccc3c(c2)CCCN3)cc1 ZINC001235808709 1054813361 /nfs/dbraw/zinc/81/33/61/1054813361.db2.gz DVPRQORZIDUMTK-FQEVSTJZSA-N 0 3 324.493 4.335 20 0 DIADHN C[C@H](NCc1ccn(C)c1)c1ccc(F)cc1C(F)(F)F ZINC000623902799 1054813244 /nfs/dbraw/zinc/81/32/44/1054813244.db2.gz QATYIOQHOFAQON-JTQLQIEISA-N 0 3 300.299 4.034 20 0 DIADHN CN(Cc1ccc2c(c1)CCN2)Cc1ccccc1C(F)(F)F ZINC001235839537 1054817956 /nfs/dbraw/zinc/81/79/56/1054817956.db2.gz UOSIDNJYOQDJOE-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)[C@@H]2Oc3ccccc3[C@@H]2C)n1 ZINC000623919160 1054822235 /nfs/dbraw/zinc/82/22/35/1054822235.db2.gz PDCNFWRKAXGOJK-RSUWNVLCSA-N 0 3 302.443 4.055 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3sc(C)nc3c2)cc1 ZINC001235859200 1054825062 /nfs/dbraw/zinc/82/50/62/1054825062.db2.gz MASNSCARDQOSQG-UHFFFAOYSA-N 0 3 312.438 4.245 20 0 DIADHN Fc1ccc(C2(CN[C@@H]3CCCc4scnc43)CC2)c(F)c1 ZINC000623925302 1054827701 /nfs/dbraw/zinc/82/77/01/1054827701.db2.gz AXXXEWBKCIBDLJ-CQSZACIVSA-N 0 3 320.408 4.120 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC000623961249 1054836413 /nfs/dbraw/zinc/83/64/13/1054836413.db2.gz XWDLCEDPJCPNAG-IMJJTQAJSA-N 0 3 302.443 4.022 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623958522 1054836856 /nfs/dbraw/zinc/83/68/56/1054836856.db2.gz PUSZCUROWBRWCZ-ZBFHGGJFSA-N 0 3 315.486 4.023 20 0 DIADHN COc1ccc(C2(CN[C@@H](C)c3nc(C)cs3)CCC2)cc1 ZINC000623959198 1054837187 /nfs/dbraw/zinc/83/71/87/1054837187.db2.gz JUDTXHVKLVTATK-AWEZNQCLSA-N 0 3 316.470 4.233 20 0 DIADHN Cc1csc([C@@H](C)NCCc2c(C)oc3ccccc32)n1 ZINC000623961502 1054837624 /nfs/dbraw/zinc/83/76/24/1054837624.db2.gz GOWBUMWZRBTLSO-GFCCVEGCSA-N 0 3 300.427 4.399 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2nc(C)cs2)CCC1 ZINC000623960179 1054837636 /nfs/dbraw/zinc/83/76/36/1054837636.db2.gz NGMSUHPIWYWRLR-CQSZACIVSA-N 0 3 316.470 4.233 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)n1 ZINC000623997951 1054850034 /nfs/dbraw/zinc/85/00/34/1054850034.db2.gz CLGMSVDKJORVTL-JHJVBQTASA-N 0 3 308.472 4.084 20 0 DIADHN c1csc([C@H]2C[C@H](N[C@@H]3CCCc4scnc43)CCO2)c1 ZINC000623997900 1054850605 /nfs/dbraw/zinc/85/06/05/1054850605.db2.gz BFWGNZRXZDYQLI-JHJVBQTASA-N 0 3 320.483 4.092 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCc2cc(F)c(Cl)cc21)c1ccccc1 ZINC000624016909 1054857130 /nfs/dbraw/zinc/85/71/30/1054857130.db2.gz KBOXRSJZODVREY-UZCIPKQKSA-N 0 3 319.807 4.178 20 0 DIADHN C[C@@H](NCC[C@@]1(C)CC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000624013600 1054857295 /nfs/dbraw/zinc/85/72/95/1054857295.db2.gz DTWQLQNJLGXAOQ-DYVFJYSZSA-N 0 3 319.399 4.173 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1-c1cccc(CN(C)C)n1 ZINC001235944668 1054862564 /nfs/dbraw/zinc/86/25/64/1054862564.db2.gz KIWRGGXFKNFTMU-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN Fc1cccc([C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2ccccn2)c1 ZINC000624032836 1054862534 /nfs/dbraw/zinc/86/25/34/1054862534.db2.gz BKIIBJDGVQNAKP-MJEZEWASSA-N 0 3 310.416 4.336 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc2ccncc21 ZINC001236003278 1054888748 /nfs/dbraw/zinc/88/87/48/1054888748.db2.gz VPACXMHLNMCBBB-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)nc2[nH]cnc21 ZINC001236006064 1054890994 /nfs/dbraw/zinc/89/09/94/1054890994.db2.gz XLJMHTSWXJVGMA-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cc2ccccc2nn1 ZINC001236005448 1054892172 /nfs/dbraw/zinc/89/21/72/1054892172.db2.gz RCAZPEBGRMSPGT-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1c(F)ccnc1-c1cccc(CN2CCCCC2)c1F ZINC001236005999 1054892423 /nfs/dbraw/zinc/89/24/23/1054892423.db2.gz WXMXDYQIOSAAQZ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ncc(C(F)F)cn1 ZINC001236003927 1054892446 /nfs/dbraw/zinc/89/24/46/1054892446.db2.gz FDWYZUXPEVXSFF-UHFFFAOYSA-N 0 3 321.346 4.206 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@@H](c1ccccc1)c1cncnc1 ZINC000624155822 1054892738 /nfs/dbraw/zinc/89/27/38/1054892738.db2.gz FVQNIBQOVHSHLY-INIZCTEOSA-N 0 3 319.399 4.227 20 0 DIADHN Cc1cnc(F)c(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236012672 1054896259 /nfs/dbraw/zinc/89/62/59/1054896259.db2.gz RLTVVMOBOGWILM-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2ncccc2c1 ZINC001236014388 1054896912 /nfs/dbraw/zinc/89/69/12/1054896912.db2.gz AHCPIGWDOPBELY-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cn1ccc2cc(-c3cccc(CN4CCCCC4)c3F)cnc21 ZINC001236014870 1054898102 /nfs/dbraw/zinc/89/81/02/1054898102.db2.gz IVFPWVMIVLJPPV-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1ccc(Br)cc1[C@@H](C)NCc1cccnc1C ZINC000624164335 1054898251 /nfs/dbraw/zinc/89/82/51/1054898251.db2.gz GWAXIBGULBVQDS-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Fc1cc(-c2cccc(CN3CCCCC3)c2F)cnc1F ZINC001236012164 1054898268 /nfs/dbraw/zinc/89/82/68/1054898268.db2.gz LYTSSNPJVFSPSC-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2ccnnc2c1 ZINC001236014865 1054898466 /nfs/dbraw/zinc/89/84/66/1054898466.db2.gz IUKHOFXZRZKKIT-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N(C)CCCn1ccnc1 ZINC000181069251 1054899595 /nfs/dbraw/zinc/89/95/95/1054899595.db2.gz DFRVUEHKRFEGCD-LBPRGKRZSA-N 0 3 312.244 4.273 20 0 DIADHN Cc1c2nc[nH]c2ncc1-c1cccc(CN2CCCCC2)c1F ZINC001236016795 1054899576 /nfs/dbraw/zinc/89/95/76/1054899576.db2.gz WAWDUBWYXHWWLA-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Cc1nc2[nH]ccc2nc1-c1cccc(CN2CCCCC2)c1F ZINC001236017052 1054900146 /nfs/dbraw/zinc/90/01/46/1054900146.db2.gz XHLYLSKRWUVJQH-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN CC(C)COc1ccc2cc(-c3cccc(CN)n3)ccc2c1 ZINC001236021437 1054900193 /nfs/dbraw/zinc/90/01/93/1054900193.db2.gz RFFIAGHENASKSS-UHFFFAOYSA-N 0 3 306.409 4.395 20 0 DIADHN C[C@@H](NCc1cn(C)nc1-c1ccccc1)c1cccc(F)c1 ZINC000181030645 1054901659 /nfs/dbraw/zinc/90/16/59/1054901659.db2.gz PTKJEFFFFKUXIX-CQSZACIVSA-N 0 3 309.388 4.077 20 0 DIADHN Nc1ccc(F)c(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236017420 1054901716 /nfs/dbraw/zinc/90/17/16/1054901716.db2.gz ZQLAQGMWMIKBAP-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cc(C(F)(F)F)ccc2CO)n1 ZINC001236040063 1054908069 /nfs/dbraw/zinc/90/80/69/1054908069.db2.gz CCVPSYZWPOGGFU-UHFFFAOYSA-N 0 3 306.287 4.049 20 0 DIADHN CN(C)Cc1ccnc(NCc2ccc(-c3ccccc3)o2)c1 ZINC000181526204 1054919942 /nfs/dbraw/zinc/91/99/42/1054919942.db2.gz OAUZHUZEMTXGNF-UHFFFAOYSA-N 0 3 307.397 4.015 20 0 DIADHN Cc1nccn1CCCCN[C@@H](C)c1c(F)cccc1Cl ZINC000181733379 1054931333 /nfs/dbraw/zinc/93/13/33/1054931333.db2.gz XVYKTYISUFZBBV-LBPRGKRZSA-N 0 3 309.816 4.115 20 0 DIADHN CC(C)C(=O)N1CCCN([C@@H](C)c2ccc(C(C)C)cc2)CC1 ZINC000181719527 1054931448 /nfs/dbraw/zinc/93/14/48/1054931448.db2.gz HBAZYXKXCZAXDB-KRWDZBQOSA-N 0 3 316.489 4.061 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc(C4CC4)c3)c2)CC1 ZINC001236082131 1054932598 /nfs/dbraw/zinc/93/25/98/1054932598.db2.gz BGDQBNXLSFIGIX-UHFFFAOYSA-N 0 3 308.425 4.099 20 0 DIADHN C[C@@H](NCCCCCn1cccn1)c1c(F)cccc1Cl ZINC000181749020 1054934730 /nfs/dbraw/zinc/93/47/30/1054934730.db2.gz MTZNDLKKJPIROZ-CYBMUJFWSA-N 0 3 309.816 4.197 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)c1 ZINC000181840337 1054941821 /nfs/dbraw/zinc/94/18/21/1054941821.db2.gz GVQHQNVIYJPDAR-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccc(N)c(F)c1 ZINC001236108518 1054952752 /nfs/dbraw/zinc/95/27/52/1054952752.db2.gz KDLHSZFMBIMAOU-QGZVFWFLSA-N 0 3 316.445 4.467 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000624220491 1054973184 /nfs/dbraw/zinc/97/31/84/1054973184.db2.gz WKTZSZQWRAPOCU-XHNCKOQMSA-N 0 3 308.636 4.068 20 0 DIADHN C[C@H](Nc1ccccc1CN1CCC(O)CC1)C1CCCCC1 ZINC000182251546 1054975199 /nfs/dbraw/zinc/97/51/99/1054975199.db2.gz SOOSIOZUVGPSIB-INIZCTEOSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000624222883 1054975698 /nfs/dbraw/zinc/97/56/98/1054975698.db2.gz IRAOWJTWXFITFJ-NBQZKYEYSA-N 0 3 324.424 4.092 20 0 DIADHN CSc1cccc(NC(=O)CN[C@@H](C)c2ccc(C)cc2)c1 ZINC000182310891 1054980254 /nfs/dbraw/zinc/98/02/54/1054980254.db2.gz PTZSJGHHGOCRCT-AWEZNQCLSA-N 0 3 314.454 4.006 20 0 DIADHN COc1cc(-c2cncc(CN3CCCCC3)c2)cc(C)c1F ZINC001236191420 1054990321 /nfs/dbraw/zinc/99/03/21/1054990321.db2.gz GFRVMYFVFPHYIF-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2c[nH]c3ccccc32)cnc1F ZINC001236191507 1054991078 /nfs/dbraw/zinc/99/10/78/1054991078.db2.gz MMWHWXNNFCDKNT-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2c[nH]c3ccccc32)cn1 ZINC001236192313 1054991152 /nfs/dbraw/zinc/99/11/52/1054991152.db2.gz PLNSXHDPMMVJIJ-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2c2c[nH]c3ccccc32)ccn1 ZINC001236194774 1054993897 /nfs/dbraw/zinc/99/38/97/1054993897.db2.gz QDPYUVNIYXEPSM-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN CC[C@@H](NCCc1c(Cl)cccc1Cl)c1nccn1C ZINC000182551251 1054995364 /nfs/dbraw/zinc/99/53/64/1054995364.db2.gz UWAPLZXPENDLJM-CQSZACIVSA-N 0 3 312.244 4.010 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)nc1C ZINC001236196082 1054995415 /nfs/dbraw/zinc/99/54/15/1054995415.db2.gz XHEJEFWHUMZLQM-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccc(Cl)nc2)c1C ZINC000083455363 1055003552 /nfs/dbraw/zinc/00/35/52/1055003552.db2.gz UNPFRRJFQAEOOI-UHFFFAOYSA-N 0 3 313.832 4.431 20 0 DIADHN CC[C@H](NCc1ccc[nH]c1=O)c1ccc(Cl)c(Cl)c1 ZINC000624257841 1055011413 /nfs/dbraw/zinc/01/14/13/1055011413.db2.gz RRRJIGYDISAZKG-AWEZNQCLSA-N 0 3 311.212 4.335 20 0 DIADHN CC(C)C[C@H](NCc1ccc[nH]c1=O)c1ccc(Cl)cc1 ZINC000624258287 1055015280 /nfs/dbraw/zinc/01/52/80/1055015280.db2.gz FSIQTCJAUKZMGJ-INIZCTEOSA-N 0 3 304.821 4.318 20 0 DIADHN CCC[C@@H](NCc1ccc[nH]c1=O)c1cccc(C(F)(F)F)c1 ZINC000624258403 1055015773 /nfs/dbraw/zinc/01/57/73/1055015773.db2.gz IVFLSMWNEINKON-OAHLLOKOSA-N 0 3 324.346 4.437 20 0 DIADHN CC[C@@H](NCC(C)(C)c1ccc(F)cc1Cl)c1nccn1C ZINC000182961804 1055020669 /nfs/dbraw/zinc/02/06/69/1055020669.db2.gz INZUCWPCYDKSLF-OAHLLOKOSA-N 0 3 323.843 4.231 20 0 DIADHN Nc1ccc2c(c1)CN(CCc1ccc(Cl)cc1Cl)CC2 ZINC001236232634 1055021680 /nfs/dbraw/zinc/02/16/80/1055021680.db2.gz NRLALRSJBWKMMT-UHFFFAOYSA-N 0 3 321.251 4.176 20 0 DIADHN Nc1ccc2c(c1)CN(Cc1ccc(-c3ccccc3)o1)CC2 ZINC001236234397 1055024601 /nfs/dbraw/zinc/02/46/01/1055024601.db2.gz ZSGQMGJBSSEPNA-UHFFFAOYSA-N 0 3 304.393 4.087 20 0 DIADHN C[C@@H](NCC1(c2cccs2)CCCCC1)c1nccn1C ZINC000183004534 1055024482 /nfs/dbraw/zinc/02/44/82/1055024482.db2.gz SPKSUNZNZAAOPT-CQSZACIVSA-N 0 3 303.475 4.034 20 0 DIADHN Fc1cc(-c2cc3ccccc3nn2)ccc1CN1CCCCC1 ZINC001236234231 1055025172 /nfs/dbraw/zinc/02/51/72/1055025172.db2.gz YTGGDGQMFYEKGK-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN C[S@](=O)C[C@H](NCc1cccc2ccccc21)c1ccccc1 ZINC000183070983 1055027234 /nfs/dbraw/zinc/02/72/34/1055027234.db2.gz CEYMFDYBQIBCGJ-REWPJTCUSA-N 0 3 323.461 4.049 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1csc(-c2ccccc2OC)n1 ZINC000183057148 1055027605 /nfs/dbraw/zinc/02/76/05/1055027605.db2.gz QSNSMRBDWJMXCV-STQMWFEESA-N 0 3 304.459 4.343 20 0 DIADHN Fc1cc(-c2nncc3ccoc32)ccc1CN1CCCCC1 ZINC001236246096 1055030197 /nfs/dbraw/zinc/03/01/97/1055030197.db2.gz YTVIAMYWECGKJO-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Fc1cc(-c2cnc3ocnc3c2)ccc1CN1CCCCC1 ZINC001236248117 1055030897 /nfs/dbraw/zinc/03/08/97/1055030897.db2.gz DKINAUNLHKLEJY-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Fc1cc(-c2cncc3[nH]ccc32)ccc1CN1CCCCC1 ZINC001236249634 1055032584 /nfs/dbraw/zinc/03/25/84/1055032584.db2.gz YOHYSPHQIVUMEP-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)Nc1ccccc1F)C(C)C ZINC000183209490 1055035117 /nfs/dbraw/zinc/03/51/17/1055035117.db2.gz CQSKMWUGNGPAJP-IBGZPJMESA-N 0 3 314.404 4.060 20 0 DIADHN Fc1ccc([C@H](NCCc2ccccc2)c2ccccc2)nc1 ZINC000183290415 1055039830 /nfs/dbraw/zinc/03/98/30/1055039830.db2.gz UWOBQBBIWAUIOO-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)o1 ZINC000183516506 1055047646 /nfs/dbraw/zinc/04/76/46/1055047646.db2.gz IMIRMTIGTRDHOJ-XGWLTEMNSA-N 0 3 305.805 4.422 20 0 DIADHN COC(=O)c1ccc(CNC2(c3ccccc3)CCCC2)s1 ZINC000183546155 1055050606 /nfs/dbraw/zinc/05/06/06/1055050606.db2.gz IWUIDNQUYKPXSB-UHFFFAOYSA-N 0 3 315.438 4.094 20 0 DIADHN OCC[C@H](CN[C@@H](c1ccco1)c1ccccc1)c1ccccc1 ZINC000183633609 1055052651 /nfs/dbraw/zinc/05/26/51/1055052651.db2.gz VNFYYARKDYQFGY-TZIWHRDSSA-N 0 3 321.420 4.125 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cccc(C)c2C)cc1F ZINC000183711342 1055054464 /nfs/dbraw/zinc/05/44/64/1055054464.db2.gz IKDUAVCABARDBZ-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN CN(CCc1ccncc1)Cc1ccc(Oc2ccccc2)o1 ZINC000183758897 1055057650 /nfs/dbraw/zinc/05/76/50/1055057650.db2.gz DMEVBVNKJJRHEO-UHFFFAOYSA-N 0 3 308.381 4.141 20 0 DIADHN Fc1ccc([C@H](NCC2(C3CC3)CCC2)c2ccccc2)nc1 ZINC000183807489 1055058134 /nfs/dbraw/zinc/05/81/34/1055058134.db2.gz FJVUCJXZWRMRFO-LJQANCHMSA-N 0 3 310.416 4.480 20 0 DIADHN Nc1ccc(C2CCN(Cc3sccc3Cl)CC2)cc1 ZINC001236336548 1055064438 /nfs/dbraw/zinc/06/44/38/1055064438.db2.gz QVUCYBWLVSQZRA-UHFFFAOYSA-N 0 3 306.862 4.363 20 0 DIADHN Cc1csc([C@@H](C)NCCCOc2ccc(C)c(C)c2)n1 ZINC000184178551 1055069655 /nfs/dbraw/zinc/06/96/55/1055069655.db2.gz XBLYQOHSSGOYHV-OAHLLOKOSA-N 0 3 304.459 4.188 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](C)c1cc2ccccc2s1 ZINC000184358459 1055074055 /nfs/dbraw/zinc/07/40/55/1055074055.db2.gz WOHHREZJLLRSGY-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1)c1cc2ccccc2s1 ZINC000184358511 1055074512 /nfs/dbraw/zinc/07/45/12/1055074512.db2.gz XWKQWSJXQBYWFA-CYBMUJFWSA-N 0 3 310.422 4.191 20 0 DIADHN C[C@H](NCC1(O)CCC(C)CC1)c1nc(C(C)(C)C)cs1 ZINC000184467071 1055077970 /nfs/dbraw/zinc/07/79/70/1055077970.db2.gz CIKXFFUFSSDRKZ-JZLYGMAVSA-N 0 3 310.507 4.032 20 0 DIADHN C[C@H](N[C@@H]1CCCc2n[nH]cc21)c1cccc(C(F)(F)F)c1 ZINC000367617455 1055079670 /nfs/dbraw/zinc/07/96/70/1055079670.db2.gz NITDJDBGZBXJOD-IINYFYTJSA-N 0 3 309.335 4.157 20 0 DIADHN COCCCN[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184942577 1055082566 /nfs/dbraw/zinc/08/25/66/1055082566.db2.gz VGMFIZNCXITINM-NSHDSACASA-N 0 3 324.877 4.459 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1nc(-c2cccnc2)no1)C1CCC1 ZINC000184889655 1055083510 /nfs/dbraw/zinc/08/35/10/1055083510.db2.gz XJJDNSUQBQSQJU-CZUORRHYSA-N 0 3 314.433 4.141 20 0 DIADHN NCc1cccc(-c2ccc(C(F)(F)F)cc2C(F)(F)F)n1 ZINC001236382479 1055086280 /nfs/dbraw/zinc/08/62/80/1055086280.db2.gz XNNVSRHUPDFMNH-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN CC[C@H](NCCCOc1ccc(C)c(C)c1)c1nccs1 ZINC000185064243 1055087744 /nfs/dbraw/zinc/08/77/44/1055087744.db2.gz YOHCVIIEPYSNGG-INIZCTEOSA-N 0 3 304.459 4.270 20 0 DIADHN COc1ccc([C@H]2CCCCCN2Cc2cnoc2C)cc1 ZINC000185161610 1055088889 /nfs/dbraw/zinc/08/88/89/1055088889.db2.gz VEDDVDLEKCCWNC-GOSISDBHSA-N 0 3 300.402 4.109 20 0 DIADHN Cc1cnc([C@@H](NCCCOc2ccc(F)cc2)C2CC2)s1 ZINC000185273543 1055090286 /nfs/dbraw/zinc/09/02/86/1055090286.db2.gz QYXAHLFPOQCDLF-INIZCTEOSA-N 0 3 320.433 4.100 20 0 DIADHN Cc1cnc([C@@H](NCCCOCc2ccccc2)C2CC2)s1 ZINC000185351161 1055091164 /nfs/dbraw/zinc/09/11/64/1055091164.db2.gz HYBGATVGDRLXGM-KRWDZBQOSA-N 0 3 316.470 4.099 20 0 DIADHN CCC[C@H]1CCCCN1C(=O)c1cccc(CN2CCCC2)c1 ZINC000185401422 1055091740 /nfs/dbraw/zinc/09/17/40/1055091740.db2.gz VYUAXXVIHALRNZ-IBGZPJMESA-N 0 3 314.473 4.077 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](CC)c1ccc(C)cc1 ZINC000194012338 1055112729 /nfs/dbraw/zinc/11/27/29/1055112729.db2.gz OGQTVEDDWVTJEG-SFHVURJKSA-N 0 3 310.441 4.237 20 0 DIADHN CCCOc1ccc(Cl)cc1-c1ccc2nc(N)[nH]c2c1 ZINC001236453965 1055122561 /nfs/dbraw/zinc/12/25/61/1055122561.db2.gz FPEOVMQUPUOIHW-UHFFFAOYSA-N 0 3 301.777 4.254 20 0 DIADHN CCCOc1ccc(Cl)cc1-c1ccc2[nH]c(N)nc2c1 ZINC001236453965 1055122565 /nfs/dbraw/zinc/12/25/65/1055122565.db2.gz FPEOVMQUPUOIHW-UHFFFAOYSA-N 0 3 301.777 4.254 20 0 DIADHN CCCOc1ccc(Cl)cc1-c1cncc(CN(C)C)c1 ZINC001236455235 1055124064 /nfs/dbraw/zinc/12/40/64/1055124064.db2.gz QQCLWMYFDIWTED-UHFFFAOYSA-N 0 3 304.821 4.252 20 0 DIADHN COc1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1C ZINC001236472327 1055131399 /nfs/dbraw/zinc/13/13/99/1055131399.db2.gz RYNKDBSCWDHVFG-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN CCOc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ccn1 ZINC001236472106 1055132293 /nfs/dbraw/zinc/13/22/93/1055132293.db2.gz NCYJJXRLHTYHCE-UXHICEINSA-N 0 3 320.436 4.301 20 0 DIADHN Nc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(F)c1 ZINC001236477206 1055137099 /nfs/dbraw/zinc/13/70/99/1055137099.db2.gz XPHDRWFYUKHUJB-MSOLQXFVSA-N 0 3 308.400 4.228 20 0 DIADHN COC[C@H](N[C@H]1CCCc2c(OC)cccc21)c1ccccc1 ZINC000194924040 1055139323 /nfs/dbraw/zinc/13/93/23/1055139323.db2.gz WDMJZLFOHZOMTC-OALUTQOASA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C)n1C1CC1 ZINC000195120266 1055147871 /nfs/dbraw/zinc/14/78/71/1055147871.db2.gz DIXNGALIRHLFAA-MOPGFXCFSA-N 0 3 324.472 4.080 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccsc2Br)CS1 ZINC000381127637 1055150233 /nfs/dbraw/zinc/15/02/33/1055150233.db2.gz JUDVICLAYUFVSY-QWRGUYRKSA-N 0 3 320.321 4.130 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)[C@H](C)N[C@@H](C)c1cc(C)sc1C ZINC000195585750 1055158045 /nfs/dbraw/zinc/15/80/45/1055158045.db2.gz BUNISQCFYCKUNO-OFQRWUPVSA-N 0 3 322.518 4.195 20 0 DIADHN CCOc1ccc(C)c(F)c1-c1cncc(CN2CCCC2)c1 ZINC001236541150 1055171234 /nfs/dbraw/zinc/17/12/34/1055171234.db2.gz WCDFFLHVDREVFN-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1ccc(Br)c(CNC[C@H]2CCCC2(F)F)c1 ZINC000381588087 1055173567 /nfs/dbraw/zinc/17/35/67/1055173567.db2.gz CZCAKSQMMKEWKQ-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000381762921 1055181408 /nfs/dbraw/zinc/18/14/08/1055181408.db2.gz HSCUBDCOKKKULQ-MOPGFXCFSA-N 0 3 301.405 4.176 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000381762923 1055181866 /nfs/dbraw/zinc/18/18/66/1055181866.db2.gz HSCUBDCOKKKULQ-RBUKOAKNSA-N 0 3 301.405 4.176 20 0 DIADHN CC(C)Oc1cc(-c2ccc(F)c(CN3CCCC3)c2)ccn1 ZINC001236571114 1055191416 /nfs/dbraw/zinc/19/14/16/1055191416.db2.gz VFCKMSDRBKLAOE-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@@H]3CCCC[C@@H]32)c1Cl ZINC000382126179 1055201719 /nfs/dbraw/zinc/20/17/19/1055201719.db2.gz MFFKFLCUPDRQQA-BBRMVZONSA-N 0 3 321.848 4.281 20 0 DIADHN Cc1c(Br)cccc1CN(C)Cc1cccs1 ZINC001236608424 1055204786 /nfs/dbraw/zinc/20/47/86/1055204786.db2.gz XDJBOCBRJQJOIG-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@H](Cc3ccccc3)C2)n1 ZINC001236639739 1055208865 /nfs/dbraw/zinc/20/88/65/1055208865.db2.gz RTVPLOAKLMKQQG-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN COc1ccc2sc(CN3C[C@H]4CC[C@@H](C3)C4(F)F)cc2c1 ZINC001236656233 1055214657 /nfs/dbraw/zinc/21/46/57/1055214657.db2.gz QGBLEUZHGJFJEF-BETUJISGSA-N 0 3 323.408 4.387 20 0 DIADHN COc1cccc2c1CCN(Cc1cccc3sccc31)C2 ZINC001236684730 1055228994 /nfs/dbraw/zinc/22/89/94/1055228994.db2.gz XKUBDQNXADCEKO-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CCOc1ncc(CN2CC[C@H](C3CCCCC3)C2)cc1F ZINC001236699345 1055231823 /nfs/dbraw/zinc/23/18/23/1055231823.db2.gz DLRDIDFPMAMPRA-INIZCTEOSA-N 0 3 306.425 4.022 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccc(OC(F)F)cc2F)CC1 ZINC001236719094 1055240834 /nfs/dbraw/zinc/24/08/34/1055240834.db2.gz QRTLDLMWSCOVEF-HNNXBMFYSA-N 0 3 305.315 4.141 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](O)c1ccc(Cl)cc1 ZINC000764747492 1055242708 /nfs/dbraw/zinc/24/27/08/1055242708.db2.gz IIEBGPKWYHSDEG-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000764747488 1055243567 /nfs/dbraw/zinc/24/35/67/1055243567.db2.gz IIEBGPKWYHSDEG-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN c1cc2c(cccc2CN2CCC(Oc3ccccc3)CC2)o1 ZINC001236735455 1055243632 /nfs/dbraw/zinc/24/36/32/1055243632.db2.gz QWJAXHUWRHAFRE-UHFFFAOYSA-N 0 3 307.393 4.476 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccco1)c1ccc(Oc2ccccc2)cc1 ZINC000764768482 1055247516 /nfs/dbraw/zinc/24/75/16/1055247516.db2.gz JYJUJSDJJUFUGD-HNAYVOBHSA-N 0 3 323.392 4.456 20 0 DIADHN c1cc2c(cccc2CN2CCC3(Cc4ccccc4O3)CC2)o1 ZINC001236740037 1055247744 /nfs/dbraw/zinc/24/77/44/1055247744.db2.gz RZBTXKQNNIIQSV-UHFFFAOYSA-N 0 3 319.404 4.403 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3occc32)cc1OC ZINC001236744583 1055248232 /nfs/dbraw/zinc/24/82/32/1055248232.db2.gz YJRAKNQMAQCVNK-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN C[C@H](NCCN(C)c1ccccc1)c1nc2ccccc2s1 ZINC000764789659 1055256751 /nfs/dbraw/zinc/25/67/51/1055256751.db2.gz QUEDTTYDRAADKE-AWEZNQCLSA-N 0 3 311.454 4.083 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001537269671 1055269780 /nfs/dbraw/zinc/26/97/80/1055269780.db2.gz CMBNPCGPWAVCSX-SJLPKXTDSA-N 0 3 316.489 4.112 20 0 DIADHN CCOc1cncc(CN2CCC[C@H]2c2cc(C)cc(C)c2)c1 ZINC001236841983 1055289163 /nfs/dbraw/zinc/28/91/63/1055289163.db2.gz XQNWCVYAPTZMEH-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN CCCN(Cc1cc2sccc2nc1Cl)C1CCOCC1 ZINC001236864974 1055295074 /nfs/dbraw/zinc/29/50/74/1055295074.db2.gz SYNPBYKYIMMFRD-UHFFFAOYSA-N 0 3 324.877 4.341 20 0 DIADHN COCC[C@H]1CCCCN1Cc1nc2ccccc2cc1Cl ZINC001236872775 1055300211 /nfs/dbraw/zinc/30/02/11/1055300211.db2.gz BIBQLUADRGBLLO-OAHLLOKOSA-N 0 3 318.848 4.279 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(-n2ccnc2)c(Cl)c1 ZINC001236902920 1055306186 /nfs/dbraw/zinc/30/61/86/1055306186.db2.gz JZLCCPVXJDJSGM-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN Fc1ccc(CN2CCC(C(F)(F)F)CC2)c2occc21 ZINC001236891541 1055306353 /nfs/dbraw/zinc/30/63/53/1055306353.db2.gz WEPNBHZZPNGKNG-UHFFFAOYSA-N 0 3 301.283 4.346 20 0 DIADHN CCC1CCN(Cc2ccc(-n3ccnc3)cc2Cl)CC1 ZINC001236913268 1055309138 /nfs/dbraw/zinc/30/91/38/1055309138.db2.gz JXLIQDLQOPNQRJ-UHFFFAOYSA-N 0 3 303.837 4.148 20 0 DIADHN CCC1CCN(Cc2ccc(-n3ccnc3)c(Cl)c2)CC1 ZINC001236905605 1055313461 /nfs/dbraw/zinc/31/34/61/1055313461.db2.gz GHTOBCQRLGREJY-UHFFFAOYSA-N 0 3 303.837 4.148 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3cccc(C)c3C)nc2)CC1 ZINC001236989592 1055326735 /nfs/dbraw/zinc/32/67/35/1055326735.db2.gz PDSIKOWGMKFJRN-UHFFFAOYSA-N 0 3 324.468 4.102 20 0 DIADHN Cc1cccc(-c2ccc(CN3CC[C@@]4(CCCO4)C3)cn2)c1C ZINC001236986425 1055326791 /nfs/dbraw/zinc/32/67/91/1055326791.db2.gz SEFKHXLUWNPATN-NRFANRHFSA-N 0 3 322.452 4.120 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(-c3cccc(C)c3C)nc2)C1 ZINC001236991358 1055328130 /nfs/dbraw/zinc/32/81/30/1055328130.db2.gz BOUQQOTXPIZDHG-LJQANCHMSA-N 0 3 324.468 4.366 20 0 DIADHN Cc1ccc(-c2cncc(CN3CCC4(CCCO4)CC3)c2)cc1 ZINC001236992417 1055330443 /nfs/dbraw/zinc/33/04/43/1055330443.db2.gz GSPLTVIYILWRNG-UHFFFAOYSA-N 0 3 322.452 4.202 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1c(C)cccc1Cl ZINC000002033219 1055331828 /nfs/dbraw/zinc/33/18/28/1055331828.db2.gz GQEIEHAXWCSWHT-UHFFFAOYSA-N 0 3 310.869 4.489 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@H](OC(C)C)C3)c2)cc1 ZINC001237002517 1055333321 /nfs/dbraw/zinc/33/33/21/1055333321.db2.gz LTDDWKGZXFTEFR-FQEVSTJZSA-N 0 3 310.441 4.056 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@H](OC(C)C)C3)c2)cc1 ZINC001236998680 1055334089 /nfs/dbraw/zinc/33/40/89/1055334089.db2.gz LTDDWKGZXFTEFR-HXUWFJFHSA-N 0 3 310.441 4.056 20 0 DIADHN CC1CC(N(C)Cc2ccc(-c3ccc(F)cc3F)nc2)C1 ZINC001237003448 1055336973 /nfs/dbraw/zinc/33/69/73/1055336973.db2.gz IPBKBOYFJCCJGD-UHFFFAOYSA-N 0 3 302.368 4.257 20 0 DIADHN CCCOC1CCN(Cc2ccccc2OC(C)(C)C)CC1 ZINC001237026248 1055342522 /nfs/dbraw/zinc/34/25/22/1055342522.db2.gz QFPFZNJKUWUSOO-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001237023758 1055342965 /nfs/dbraw/zinc/34/29/65/1055342965.db2.gz SAVJBJOLJVPWSS-HIFRSBDPSA-N 0 3 309.400 4.341 20 0 DIADHN C[C@@H](NCCSC(F)(F)F)c1cc(F)c(F)c(F)c1 ZINC000765240914 1055345827 /nfs/dbraw/zinc/34/58/27/1055345827.db2.gz ZVSWQZMCDLAWIG-ZCFIWIBFSA-N 0 3 303.271 4.008 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000765270907 1055351967 /nfs/dbraw/zinc/35/19/67/1055351967.db2.gz MRDSVLFJYBYNEP-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000765271929 1055352067 /nfs/dbraw/zinc/35/20/67/1055352067.db2.gz QLAIIHHZPRMIPJ-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc1Cl ZINC000765297830 1055357291 /nfs/dbraw/zinc/35/72/91/1055357291.db2.gz RISGDJHRIRUCPF-OLZOCXBDSA-N 0 3 319.832 4.253 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CC[C@H](F)C(F)(F)CC2)c1 ZINC001237099706 1055371453 /nfs/dbraw/zinc/37/14/53/1055371453.db2.gz YKQHBPJLQYFYGD-HNNXBMFYSA-N 0 3 315.379 4.433 20 0 DIADHN Cc1nnc(C2CCN(Cc3ccc(C4CCC4)cc3)CC2)o1 ZINC001237107178 1055372696 /nfs/dbraw/zinc/37/26/96/1055372696.db2.gz MWDPSCSLMAJZCI-UHFFFAOYSA-N 0 3 311.429 4.025 20 0 DIADHN c1cncc(OC2CCN(Cc3ccc(C4CCC4)cc3)CC2)c1 ZINC001237110490 1055375841 /nfs/dbraw/zinc/37/58/41/1055375841.db2.gz JYWNFDBRCUWUHQ-UHFFFAOYSA-N 0 3 322.452 4.393 20 0 DIADHN COc1cccc2c(CN3CCc4c(C)cccc4C3)ccnc12 ZINC001237113555 1055376564 /nfs/dbraw/zinc/37/65/64/1055376564.db2.gz MGRAFQWFIGRHJL-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN C[C@@H](NCCc1ccc(Cl)s1)c1cccnc1Cl ZINC000765383484 1055377511 /nfs/dbraw/zinc/37/75/11/1055377511.db2.gz YOBGTMOQTQRJRD-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CCOc1cccc([C@H](C)NCc2nccc3ccccc32)c1 ZINC000765404878 1055382182 /nfs/dbraw/zinc/38/21/82/1055382182.db2.gz OHKFGXYFAINISX-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1nccc2ccccc21 ZINC000765404629 1055382209 /nfs/dbraw/zinc/38/22/09/1055382209.db2.gz LNIBPGFIAOKSPC-SFHVURJKSA-N 0 3 304.393 4.021 20 0 DIADHN CC[C@@H](NCc1nccc2ccccc21)c1ccc(OC)cc1 ZINC000765406496 1055382347 /nfs/dbraw/zinc/38/23/47/1055382347.db2.gz UQDKMGZLMFQGCQ-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@H](NCc1nccc2ccccc21)c1ccc(OC)cc1 ZINC000765406493 1055382566 /nfs/dbraw/zinc/38/25/66/1055382566.db2.gz UQDKMGZLMFQGCQ-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN Clc1cccc2c(CN3CCC4(CCCO4)CC3)ccnc12 ZINC001237145789 1055384160 /nfs/dbraw/zinc/38/41/60/1055384160.db2.gz RMYCMYPOKXTTEG-UHFFFAOYSA-N 0 3 316.832 4.033 20 0 DIADHN C[C@H](N[C@@H]1CSCc2ccccc21)c1ccc2c(c1)CCO2 ZINC000765426681 1055387117 /nfs/dbraw/zinc/38/71/17/1055387117.db2.gz SIFSYHZELDUQSM-SCLBCKFNSA-N 0 3 311.450 4.260 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(F)c(F)c2C)C1 ZINC001237153612 1055388590 /nfs/dbraw/zinc/38/85/90/1055388590.db2.gz JZKBMWYDDQJFLA-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@@H](COc1ccccc1)N[C@@H](C)c1ccccc1OC(F)F ZINC000765435652 1055388594 /nfs/dbraw/zinc/38/85/94/1055388594.db2.gz CLXPCVITMBHKRJ-KBPBESRZSA-N 0 3 321.367 4.406 20 0 DIADHN CCOCC1CCN(Cc2ccnc3c(Cl)cccc23)CC1 ZINC001237152352 1055389057 /nfs/dbraw/zinc/38/90/57/1055389057.db2.gz NNNNFFTWWOIKQA-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CO[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000765427888 1055389869 /nfs/dbraw/zinc/38/98/69/1055389869.db2.gz NGVORCVJSFCWAV-DUVNUKRYSA-N 0 3 302.443 4.028 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2ccc(F)c(F)c2C)cc1 ZINC001237164013 1055392673 /nfs/dbraw/zinc/39/26/73/1055392673.db2.gz VCHDWYJATWNELF-CYBMUJFWSA-N 0 3 305.368 4.475 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@@H](C)c1cc(Cl)sc1Cl ZINC000765441592 1055393554 /nfs/dbraw/zinc/39/35/54/1055393554.db2.gz RDVAIBKJCVNPRJ-BDAKNGLRSA-N 0 3 316.257 4.127 20 0 DIADHN CCOCc1ccccc1CNc1ccnc2cc(OC)ccc21 ZINC000765467554 1055396089 /nfs/dbraw/zinc/39/60/89/1055396089.db2.gz YFLQRZKWFMDGBM-UHFFFAOYSA-N 0 3 322.408 4.392 20 0 DIADHN COc1cccc(CN2CCCC3(CC(F)C3)CC2)c1Cl ZINC001237190769 1055397952 /nfs/dbraw/zinc/39/79/52/1055397952.db2.gz BFGFEAUNMOYPNC-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN Fc1ccc(CC2CC[NH+](Cc3occc3[S-])CC2)cc1 ZINC001237189478 1055399688 /nfs/dbraw/zinc/39/96/88/1055399688.db2.gz DESVQKHBGSHCRG-UHFFFAOYSA-N 0 3 305.418 4.162 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cc2ccccc2[nH]1 ZINC000765483598 1055400459 /nfs/dbraw/zinc/40/04/59/1055400459.db2.gz VEVJBKADHUIJIM-UHFFFAOYSA-N 0 3 303.365 4.337 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3cccc(F)c3)C2)c1Cl ZINC001237194201 1055401750 /nfs/dbraw/zinc/40/17/50/1055401750.db2.gz UBFLITJALPPZLA-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN c1csc(-c2ccccc2CN2CCNC3=CCCC[C@H]32)c1 ZINC001237225198 1055403973 /nfs/dbraw/zinc/40/39/73/1055403973.db2.gz MCFFBDCGRCTWBP-GOSISDBHSA-N 0 3 310.466 4.257 20 0 DIADHN Cc1ccc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@@H]3C2)s1 ZINC001237237937 1055407520 /nfs/dbraw/zinc/40/75/20/1055407520.db2.gz ZLKIEDCJXSZYJU-RDJZCZTQSA-N 0 3 318.873 4.494 20 0 DIADHN Clc1ccc2c(c1)[C@H]1CN(Cc3cccs3)CC[C@@H]1N2 ZINC001237234391 1055408237 /nfs/dbraw/zinc/40/82/37/1055408237.db2.gz MEALCRHPBICEMK-ZBFHGGJFSA-N 0 3 304.846 4.185 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1ccncc1C(F)(F)F)CC2 ZINC001237295186 1055418002 /nfs/dbraw/zinc/41/80/02/1055418002.db2.gz OEEJIKWMUGMNAU-ZDUSSCGKSA-N 0 3 316.342 4.205 20 0 DIADHN Cc1cc(Br)c(CN2C[C@H]3CCCC[C@@H]3C2)cc1O ZINC001237298415 1055420807 /nfs/dbraw/zinc/42/08/07/1055420807.db2.gz KXKUMLICDMAUQT-CHWSQXEVSA-N 0 3 324.262 4.085 20 0 DIADHN CCc1cccc(C)c1CN(C)Cc1ccc(OC)c(OC)c1 ZINC001237328061 1055428449 /nfs/dbraw/zinc/42/84/49/1055428449.db2.gz UQFCDANFZWGAPF-UHFFFAOYSA-N 0 3 313.441 4.207 20 0 DIADHN COc1ccc(CNc2ccnc3cc(OC)ccc32)c(F)c1 ZINC000765525221 1055429662 /nfs/dbraw/zinc/42/96/62/1055429662.db2.gz KVEUOPRVPZDJDT-UHFFFAOYSA-N 0 3 312.344 4.003 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1NCc1ccccc1 ZINC001620839927 1055430365 /nfs/dbraw/zinc/43/03/65/1055430365.db2.gz XKBAIQUCIZVHBZ-UHFFFAOYSA-N 0 3 312.482 4.156 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC[C@H](F)CC1 ZINC001237338090 1055431475 /nfs/dbraw/zinc/43/14/75/1055431475.db2.gz JOGJYHDPNXVAGY-HNNXBMFYSA-N 0 3 322.424 4.358 20 0 DIADHN CCc1cccc(C)c1CN1CC[C@H](OC(=O)OC(C)(C)C)C1 ZINC001237336585 1055432325 /nfs/dbraw/zinc/43/23/25/1055432325.db2.gz XTKDJITXDVDYGG-INIZCTEOSA-N 0 3 319.445 4.083 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000012673739 1055434013 /nfs/dbraw/zinc/43/40/13/1055434013.db2.gz FNSHQPCFBFEVDQ-BEFAXECRSA-N 0 3 308.425 4.063 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H](C)c1ccccc1Cl ZINC000386237437 1055438052 /nfs/dbraw/zinc/43/80/52/1055438052.db2.gz RSWJXRWUBCVHPZ-CYBMUJFWSA-N 0 3 313.832 4.349 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2cccnc2Cl)CC1 ZINC000765543114 1055444928 /nfs/dbraw/zinc/44/49/28/1055444928.db2.gz ZLVKEJCZOQDZBD-ZDUSSCGKSA-N 0 3 316.832 4.126 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC[C@H]1c1cccc(C)n1 ZINC000765548148 1055447691 /nfs/dbraw/zinc/44/76/91/1055447691.db2.gz HFVOXFYHFDFEHY-FQEVSTJZSA-N 0 3 319.408 4.288 20 0 DIADHN CCC1(C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)CCCCC1 ZINC001537814569 1055447904 /nfs/dbraw/zinc/44/79/04/1055447904.db2.gz DKGKPLOVERLOBO-FUHWJXTLSA-N 0 3 316.489 4.155 20 0 DIADHN CCC1(C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)CCCCC1 ZINC001537814571 1055448287 /nfs/dbraw/zinc/44/82/87/1055448287.db2.gz DKGKPLOVERLOBO-WMZOPIPTSA-N 0 3 316.489 4.155 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(c2cccs2)CC1 ZINC001237417438 1055450798 /nfs/dbraw/zinc/45/07/98/1055450798.db2.gz SUQCKZJBIMHTGM-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc(F)c2cccnc12 ZINC001237434696 1055453769 /nfs/dbraw/zinc/45/37/69/1055453769.db2.gz SKJSTUUNAAIKCW-CQSZACIVSA-N 0 3 306.384 4.321 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001237460397 1055455934 /nfs/dbraw/zinc/45/59/34/1055455934.db2.gz HKYMGYWTFGPJGU-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cccc(OC(C)C)c1 ZINC001620841351 1055470538 /nfs/dbraw/zinc/47/05/38/1055470538.db2.gz BZOBYORAMPPVDR-LJQANCHMSA-N 0 3 311.425 4.260 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccn2nccc2c1 ZINC001237543705 1055473112 /nfs/dbraw/zinc/47/31/12/1055473112.db2.gz AUJXREUVLSZATD-GOSISDBHSA-N 0 3 323.465 4.393 20 0 DIADHN Cc1ncc(CN2CCC[C@H]3CCCC[C@@H]32)cc1Br ZINC001237554159 1055475023 /nfs/dbraw/zinc/47/50/23/1055475023.db2.gz CVSDQLQNRAZMCJ-ZBFHGGJFSA-N 0 3 323.278 4.307 20 0 DIADHN CCOc1ccc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c(F)c1 ZINC001237632591 1055488194 /nfs/dbraw/zinc/48/81/94/1055488194.db2.gz KMSRAAXWSUWIHM-IYBDPMFKSA-N 0 3 311.400 4.311 20 0 DIADHN Cc1ccnc2cccc(CN(C)Cc3ccc(Cl)nc3)c12 ZINC001237632094 1055489234 /nfs/dbraw/zinc/48/92/34/1055489234.db2.gz ZUWRMEVBTJPAQU-UHFFFAOYSA-N 0 3 311.816 4.224 20 0 DIADHN Fc1ccc2oc(CNCc3ccccc3-n3cccn3)cc2c1 ZINC001620864397 1055491955 /nfs/dbraw/zinc/49/19/55/1055491955.db2.gz YSZCARXBRHHCFR-UHFFFAOYSA-N 0 3 321.355 4.047 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC001620864330 1055492686 /nfs/dbraw/zinc/49/26/86/1055492686.db2.gz WMPXRZRFOZXLFB-LLVKDONJSA-N 0 3 320.795 4.288 20 0 DIADHN Oc1ccc(-c2ccc(CN3CC[C@H](C(F)(F)F)C3)cc2)cc1 ZINC001237663942 1055496693 /nfs/dbraw/zinc/49/66/93/1055496693.db2.gz AMWPJXIRYHZSFU-INIZCTEOSA-N 0 3 321.342 4.443 20 0 DIADHN CCc1n[nH]cc1CN1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001237658790 1055496992 /nfs/dbraw/zinc/49/69/92/1055496992.db2.gz LJHPBTAISPDAHY-LBPRGKRZSA-N 0 3 324.255 4.268 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCSCC3)cc2)c(F)c1 ZINC001237662214 1055498340 /nfs/dbraw/zinc/49/83/40/1055498340.db2.gz HTZHPYAHRXYLBY-UHFFFAOYSA-N 0 3 305.393 4.181 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@H]1CCCCS1 ZINC001620873596 1055501599 /nfs/dbraw/zinc/50/15/99/1055501599.db2.gz JDLGYVCKFSBYEK-MRXNPFEDSA-N 0 3 303.427 4.447 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cccc2cccnc21 ZINC000023626045 1055503140 /nfs/dbraw/zinc/50/31/40/1055503140.db2.gz WJJNPIZBAIOEOP-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cc(CN[C@H]2c3ccccc3CC[C@@H]2C)cnc1Cl ZINC001620877282 1055505731 /nfs/dbraw/zinc/50/57/31/1055505731.db2.gz WTJJCAYMSGYAEP-YVEFUNNKSA-N 0 3 300.833 4.457 20 0 DIADHN Cc1cc(CN(C)CC2CCOCC2)c(Cl)cc1Cl ZINC001237701673 1055507450 /nfs/dbraw/zinc/50/74/50/1055507450.db2.gz LYIAWQLFXZHFKY-UHFFFAOYSA-N 0 3 302.245 4.160 20 0 DIADHN CCC(CC)[C@@H]1C[C@H]([NH2+]Cc2cc([O-])cc(Cl)c2)CCO1 ZINC001620883357 1055509663 /nfs/dbraw/zinc/50/96/63/1055509663.db2.gz WEIJBIWFHJCCEK-WBVHZDCISA-N 0 3 311.853 4.119 20 0 DIADHN Cc1c[nH]c(CNCC2(c3ccccc3Cl)CCCCC2)n1 ZINC001620885648 1055510999 /nfs/dbraw/zinc/51/09/99/1055510999.db2.gz HUAQMJISWIMTOJ-UHFFFAOYSA-N 0 3 317.864 4.363 20 0 DIADHN CC[C@@H](NCc1ccc(C2CCCC2)cc1)[C@H](O)C(F)(F)F ZINC001620885955 1055511720 /nfs/dbraw/zinc/51/17/20/1055511720.db2.gz SHGROFPPSMGRAV-CVEARBPZSA-N 0 3 315.379 4.136 20 0 DIADHN Cc1c(Cl)cccc1CN1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001237733398 1055515771 /nfs/dbraw/zinc/51/57/71/1055515771.db2.gz QUZDCGRTNYJFCG-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN C[C@H](NCc1ccnn1CC1CCC1)c1ccc2c(c1)CCCC2 ZINC001620894782 1055518899 /nfs/dbraw/zinc/51/88/99/1055518899.db2.gz FNFLREMAVXIGMY-INIZCTEOSA-N 0 3 323.484 4.413 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CNCc1c(F)cccc1F ZINC001620894877 1055519941 /nfs/dbraw/zinc/51/99/41/1055519941.db2.gz IPDGBVPBQXYIEU-AWEZNQCLSA-N 0 3 317.379 4.183 20 0 DIADHN CCc1cc(CN(C)CCc2noc(C(C)C)n2)ccc1Cl ZINC001237738337 1055520001 /nfs/dbraw/zinc/52/00/01/1055520001.db2.gz IMXLNNPPFZYYMJ-UHFFFAOYSA-N 0 3 321.852 4.083 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc(Cl)ccc1Cl ZINC000024809341 1055521990 /nfs/dbraw/zinc/52/19/90/1055521990.db2.gz FAOUVHQRGVQHGE-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN Cc1nnc(CN[C@H]2CCCC[C@@H](c3ccccc3)C2)s1 ZINC001620898343 1055523364 /nfs/dbraw/zinc/52/33/64/1055523364.db2.gz QNEYCBCQBHROHP-CVEARBPZSA-N 0 3 301.459 4.053 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CC[C@H](Cc4ccccc4)C3)nc2c1 ZINC001620906592 1055530808 /nfs/dbraw/zinc/53/08/08/1055530808.db2.gz JZECQALJPOSAES-WBVHZDCISA-N 0 3 324.399 4.468 20 0 DIADHN FC(F)(F)[C@H](CNCc1cc2cccnc2o1)c1ccccc1 ZINC001620907421 1055531792 /nfs/dbraw/zinc/53/17/92/1055531792.db2.gz OJNHPRCEHZYLDN-OAHLLOKOSA-N 0 3 320.314 4.264 20 0 DIADHN CCCc1ccc(CNCc2ccnc(SCC)c2)s1 ZINC001620910806 1055534961 /nfs/dbraw/zinc/53/49/61/1055534961.db2.gz IJOXAWHEZWYSFN-UHFFFAOYSA-N 0 3 306.500 4.497 20 0 DIADHN CCc1ncc(CN2CCC3(C=Cc4ccccc43)CC2)s1 ZINC001237823063 1055536403 /nfs/dbraw/zinc/53/64/03/1055536403.db2.gz IEIFAEFEGHFDAP-UHFFFAOYSA-N 0 3 310.466 4.266 20 0 DIADHN C[C@H]1CCN(Cc2cccc(-c3ccccn3)n2)CCC1(F)F ZINC001237827951 1055540732 /nfs/dbraw/zinc/54/07/32/1055540732.db2.gz FXFXCRMPJNLJJO-AWEZNQCLSA-N 0 3 317.383 4.011 20 0 DIADHN CCc1ncc(CN2CCC(Cc3cccc(F)c3)CC2)s1 ZINC001237825915 1055541809 /nfs/dbraw/zinc/54/18/09/1055541809.db2.gz FCKPPHQNDVYJJS-UHFFFAOYSA-N 0 3 318.461 4.299 20 0 DIADHN COc1ccoc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001237868753 1055543523 /nfs/dbraw/zinc/54/35/23/1055543523.db2.gz NLZRIRMMNYTZPL-UHFFFAOYSA-N 0 3 311.425 4.158 20 0 DIADHN C[C@H](NCc1cnn(CCF)c1)c1ccc(C(C)(C)C)cc1 ZINC000921554043 1055545091 /nfs/dbraw/zinc/54/50/91/1055545091.db2.gz MCNHYZMBGISSJR-AWEZNQCLSA-N 0 3 303.425 4.001 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCC[C@](C)(F)C3)cc21 ZINC001237881188 1055547495 /nfs/dbraw/zinc/54/74/95/1055547495.db2.gz NKKGSMJAOKRJPS-IBGZPJMESA-N 0 3 302.437 4.012 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCCC[C@H](F)C3)cc21 ZINC001237881468 1055547945 /nfs/dbraw/zinc/54/79/45/1055547945.db2.gz STMIHVAPMLTCSN-KRWDZBQOSA-N 0 3 302.437 4.012 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCn4cccc4[C@@H]3C)cc21 ZINC001237885164 1055551425 /nfs/dbraw/zinc/55/14/25/1055551425.db2.gz GMCOCHOJBSNUBK-INIZCTEOSA-N 0 3 321.468 4.066 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCC[C@@H]1C(C)(C)OC ZINC001237906242 1055554170 /nfs/dbraw/zinc/55/41/70/1055554170.db2.gz SZHZTYXDDIPEPR-QGZVFWFLSA-N 0 3 309.425 4.004 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCC2(CCOCC2)CC1 ZINC001237910678 1055558815 /nfs/dbraw/zinc/55/88/15/1055558815.db2.gz QPEQMLVVCAAGNG-UHFFFAOYSA-N 0 3 321.436 4.007 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](C)c2ccc(OC)cc2)cc1F ZINC001237955263 1055563935 /nfs/dbraw/zinc/56/39/35/1055563935.db2.gz DROUITZSGUAUBO-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3cccc(F)c3)C2)cc1F ZINC001237955852 1055564901 /nfs/dbraw/zinc/56/49/01/1055564901.db2.gz HSDYJOOBGWZTSF-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN CCOC(=O)CCN(Cc1ccsc1C)Cc1ccccc1 ZINC001237968411 1055566300 /nfs/dbraw/zinc/56/63/00/1055566300.db2.gz LULVCYQNUYHDBN-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN CCOc1ccc(CN(CC)Cc2ccc(OC)cc2)cc1F ZINC001237961131 1055566534 /nfs/dbraw/zinc/56/65/34/1055566534.db2.gz SNHBSXYPXWCWRR-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN CC(C)(NCc1cc2ccncc2s1)c1ccccc1F ZINC001620951645 1055569080 /nfs/dbraw/zinc/56/90/80/1055569080.db2.gz ROKUGVSVFAMTHK-UHFFFAOYSA-N 0 3 300.402 4.460 20 0 DIADHN Oc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)cs2)cc1 ZINC001237977477 1055569465 /nfs/dbraw/zinc/56/94/65/1055569465.db2.gz IKERIMGSKXVFFY-GASCZTMLSA-N 0 3 314.454 4.138 20 0 DIADHN C[C@@H]1CCCN(Cc2csc(-c3ccc(O)cc3)n2)C[C@@H]1C ZINC001237977521 1055570495 /nfs/dbraw/zinc/57/04/95/1055570495.db2.gz JMBZOUUUHFAVTI-KGLIPLIRSA-N 0 3 316.470 4.384 20 0 DIADHN Fc1cccc(-c2nc(CN3C[C@H]4CCC[C@@H]4C3)cs2)c1 ZINC001237977207 1055570606 /nfs/dbraw/zinc/57/06/06/1055570606.db2.gz YAPHEMUNQWHRJS-ZIAGYGMSSA-N 0 3 302.418 4.181 20 0 DIADHN C[C@H]1CCCN(Cc2csc(-c3ccc(O)cc3)n2)C[C@H]1C ZINC001237977522 1055570636 /nfs/dbraw/zinc/57/06/36/1055570636.db2.gz JMBZOUUUHFAVTI-UONOGXRCSA-N 0 3 316.470 4.384 20 0 DIADHN C[C@H]1CCCCCN1Cc1csc(-c2ccc(O)cc2)n1 ZINC001237980822 1055573719 /nfs/dbraw/zinc/57/37/19/1055573719.db2.gz PRHSGAYDAXRGTM-ZDUSSCGKSA-N 0 3 302.443 4.280 20 0 DIADHN CCC(CC)[C@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921580903 1055575522 /nfs/dbraw/zinc/57/55/22/1055575522.db2.gz XJIVQYKKVPQWBR-SFHVURJKSA-N 0 3 303.425 4.120 20 0 DIADHN Oc1ccc(-c2nc(CN3CC4CCC(CC4)C3)cs2)cc1 ZINC001237990156 1055576218 /nfs/dbraw/zinc/57/62/18/1055576218.db2.gz KIAVQRIZGHMTDB-UHFFFAOYSA-N 0 3 314.454 4.138 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1n[nH]c3cc(Cl)ccc31)CC2 ZINC001237993746 1055577868 /nfs/dbraw/zinc/57/78/68/1055577868.db2.gz QSLFFKBEVGAOLU-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN Clc1ccc2c(c1)n[nH]c2CN1CC[C@H]2CCCC[C@H]2C1 ZINC001238000113 1055582702 /nfs/dbraw/zinc/58/27/02/1055582702.db2.gz ICKCQFZJPNOFNG-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccccc1Oc1cnccn1 ZINC001238011466 1055584337 /nfs/dbraw/zinc/58/43/37/1055584337.db2.gz NORDMCRWEUGDJU-UHFFFAOYSA-N 0 3 319.408 4.291 20 0 DIADHN CCOC(=O)c1c(Cl)cccc1CN(C)CC1CCCC1 ZINC001238047975 1055593445 /nfs/dbraw/zinc/59/34/45/1055593445.db2.gz RMGOIRCYYGWNHI-UHFFFAOYSA-N 0 3 309.837 4.139 20 0 DIADHN CC(C)CN(Cc1nc2cc(Cl)ccc2c(=O)[nH]1)CC(C)C ZINC000026647330 1055594398 /nfs/dbraw/zinc/59/43/98/1055594398.db2.gz WOHGUFDEBGAGEK-UHFFFAOYSA-N 0 3 321.852 4.103 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2cccc(OCC3CCCCC3)c2)C1 ZINC001238069841 1055600449 /nfs/dbraw/zinc/60/04/49/1055600449.db2.gz LPJHBXOPLGVOJG-IBGZPJMESA-N 0 3 315.457 4.057 20 0 DIADHN CO[C@@H](C)C1CN(Cc2cccc(OCC3CCCCC3)c2)C1 ZINC001238070055 1055601449 /nfs/dbraw/zinc/60/14/49/1055601449.db2.gz NLJIFSJCAJBASG-INIZCTEOSA-N 0 3 317.473 4.112 20 0 DIADHN CCOc1cc(CN2CC[C@H](C(=O)c3ccccc3)C2)ccc1C ZINC001238075631 1055601483 /nfs/dbraw/zinc/60/14/83/1055601483.db2.gz LKTHKOLZUMRGLZ-IBGZPJMESA-N 0 3 323.436 4.099 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cc(O)ccc3C)CC2)c1 ZINC001238102350 1055606088 /nfs/dbraw/zinc/60/60/88/1055606088.db2.gz HHFVOWVOCWTNEH-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc3cncn32)cc1 ZINC001238118282 1055608864 /nfs/dbraw/zinc/60/88/64/1055608864.db2.gz IVQUBTPOVQSRNT-FQEVSTJZSA-N 0 3 321.424 4.070 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@@H]1CC[C@@H](C)O1 ZINC001620961088 1055614681 /nfs/dbraw/zinc/61/46/81/1055614681.db2.gz QPMXHODDKJIJAT-BEFAXECRSA-N 0 3 311.425 4.019 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1cncc(C2CC2)c1 ZINC001238189011 1055615124 /nfs/dbraw/zinc/61/51/24/1055615124.db2.gz LNZRCNTXOQWOTG-UHFFFAOYSA-N 0 3 300.833 4.287 20 0 DIADHN c1ccc(CC2CCN(Cc3cncc(C4CC4)c3)CC2)cc1 ZINC001238185286 1055615780 /nfs/dbraw/zinc/61/57/80/1055615780.db2.gz CVKLKZGXVFKQPS-UHFFFAOYSA-N 0 3 306.453 4.414 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@H]1CCC[C@H](C)C1 ZINC001595694370 1055621618 /nfs/dbraw/zinc/62/16/18/1055621618.db2.gz HZHBNBGIDGWROF-BZSNNMDCSA-N 0 3 308.510 4.067 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001595722472 1055624386 /nfs/dbraw/zinc/62/43/86/1055624386.db2.gz YFBWHSLFKMYDSR-ZWKOTPCHSA-N 0 3 322.537 4.458 20 0 DIADHN CC(C)c1cc(CN2C[C@@H]3CCCC(=O)[C@@H]3C2)ccc1Cl ZINC001238223845 1055625026 /nfs/dbraw/zinc/62/50/26/1055625026.db2.gz CTXNPTZYWHNFME-GOEBONIOSA-N 0 3 305.849 4.264 20 0 DIADHN Cc1c(Cl)ccc(CN2CCC3(C[C@H](F)CO3)CC2)c1C ZINC001238220833 1055625112 /nfs/dbraw/zinc/62/51/12/1055625112.db2.gz WZPLZVQUSQVNSH-HNNXBMFYSA-N 0 3 311.828 4.050 20 0 DIADHN CC(C)c1cc(CN2CCC(n3ccnc3)CC2)ccc1Cl ZINC001238225309 1055625159 /nfs/dbraw/zinc/62/51/59/1055625159.db2.gz LPPBILIOOPMEJZ-UHFFFAOYSA-N 0 3 317.864 4.497 20 0 DIADHN Cc1c(Cl)ccc(CN2CCC(c3ccn(C)n3)CC2)c1C ZINC001238221021 1055625195 /nfs/dbraw/zinc/62/51/95/1055625195.db2.gz BQVRQKCEVYAJDE-UHFFFAOYSA-N 0 3 317.864 4.070 20 0 DIADHN CC(C)c1cc(CN2CC[C@]3(C2)CCCOC3)ccc1Cl ZINC001238224675 1055625833 /nfs/dbraw/zinc/62/58/33/1055625833.db2.gz IWIZOBYVQDNLJF-SFHVURJKSA-N 0 3 307.865 4.466 20 0 DIADHN COC(=O)CC[C@@H]1CCN(Cc2ccc(Cl)c(C(C)C)c2)C1 ZINC001238230513 1055626817 /nfs/dbraw/zinc/62/68/17/1055626817.db2.gz ZBCYJXFWUJABDW-CQSZACIVSA-N 0 3 323.864 4.239 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@@](C)(CO)CC1 ZINC001238263113 1055631972 /nfs/dbraw/zinc/63/19/72/1055631972.db2.gz MGNZGWFGJZZKOP-MRXNPFEDSA-N 0 3 316.272 4.286 20 0 DIADHN Cc1cc2[nH]c(C(=O)Nc3ccccc3CN(C)C)cc2c(C)c1 ZINC000031232005 1055635576 /nfs/dbraw/zinc/63/55/76/1055635576.db2.gz IUOUNRHCLUNTQD-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cccc(F)c1OC(C)C ZINC001238330652 1055641646 /nfs/dbraw/zinc/64/16/46/1055641646.db2.gz WXVCKFDGMDTUIB-MRXNPFEDSA-N 0 3 309.425 4.002 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001238369308 1055646697 /nfs/dbraw/zinc/64/66/97/1055646697.db2.gz JLSSMHZJZRXDSN-CALCHBBNSA-N 0 3 310.441 4.139 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H](C)C(C)(C)C)cs1 ZINC000077313174 1055653055 /nfs/dbraw/zinc/65/30/55/1055653055.db2.gz WTUAWXIHCPAPSQ-GFCCVEGCSA-N 0 3 304.459 4.343 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cccc(OC(C)C)c1F ZINC001238428397 1055657475 /nfs/dbraw/zinc/65/74/75/1055657475.db2.gz WJVLAFYHNWMOLZ-MRXNPFEDSA-N 0 3 309.425 4.004 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3ncc(C)cc3C)C2)cc1 ZINC001238428199 1055657525 /nfs/dbraw/zinc/65/75/25/1055657525.db2.gz HLSKLSXVXPUSJL-IBGZPJMESA-N 0 3 310.441 4.050 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(C)c(Cl)cn3)C2)cc1 ZINC001238430072 1055658864 /nfs/dbraw/zinc/65/88/64/1055658864.db2.gz MHDILSAOQQBZOE-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1cnc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c(C)c1 ZINC001238436808 1055660587 /nfs/dbraw/zinc/66/05/87/1055660587.db2.gz CZXKPBRCMULLHR-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@@H](CN1CCN(C/C=C\c2ccccc2)CC1)c1ccccc1 ZINC000033661199 1055660812 /nfs/dbraw/zinc/66/08/12/1055660812.db2.gz LHKXNIAUDSLCCY-WUQYLLKWSA-N 0 3 320.480 4.121 20 0 DIADHN CC[C@@H](CC(=O)N[C@H](C)CN(C)Cc1ccccc1)C(C)(C)C ZINC001596033778 1055661627 /nfs/dbraw/zinc/66/16/27/1055661627.db2.gz GWRJNIHBTWXYPK-AEFFLSMTSA-N 0 3 318.505 4.086 20 0 DIADHN CC(C)Oc1cncc(CN2CCC3(CCCCC3)CC2)c1 ZINC001238461337 1055665977 /nfs/dbraw/zinc/66/59/77/1055665977.db2.gz GMIUZRGCDBHMFU-UHFFFAOYSA-N 0 3 302.462 4.415 20 0 DIADHN COc1cnc(CN2CCC[C@H]2C2CCCCC2)c(Cl)c1 ZINC001238488648 1055671943 /nfs/dbraw/zinc/67/19/43/1055671943.db2.gz BNDBXSLBTFQHEU-KRWDZBQOSA-N 0 3 308.853 4.288 20 0 DIADHN C[C@H](NCc1ccccc1CC(F)(F)F)c1cccc(O)c1 ZINC000624307822 1055673857 /nfs/dbraw/zinc/67/38/57/1055673857.db2.gz VGAFZSVRKINEPM-LBPRGKRZSA-N 0 3 309.331 4.348 20 0 DIADHN Cc1ccnn1C1CCN(Cc2ccc(F)c(C3CC3)c2)CC1 ZINC001238516328 1055675879 /nfs/dbraw/zinc/67/58/79/1055675879.db2.gz OATRHHAZHJOSDW-UHFFFAOYSA-N 0 3 313.420 4.045 20 0 DIADHN COCCN(C)Cc1ccc(Oc2ccc(F)cc2)c(C)c1 ZINC001238521596 1055678820 /nfs/dbraw/zinc/67/88/20/1055678820.db2.gz KYNORKNMMQTRPB-UHFFFAOYSA-N 0 3 303.377 4.005 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccc(Oc3ccccc3)c(C)c2)C1 ZINC001238522079 1055679130 /nfs/dbraw/zinc/67/91/30/1055679130.db2.gz DQAILUURUPGSJC-SFHVURJKSA-N 0 3 309.409 4.198 20 0 DIADHN CC(C)Oc1c(F)ccc(CN2C[C@H]3CCCC[C@@H]3C2)c1F ZINC001238528208 1055681020 /nfs/dbraw/zinc/68/10/20/1055681020.db2.gz PQWYIWMRFQLULA-ZIAGYGMSSA-N 0 3 309.400 4.374 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(F)c(-c2ccncc2)c1 ZINC001238547814 1055682795 /nfs/dbraw/zinc/68/27/95/1055682795.db2.gz FIFJJLCMFYXBHQ-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C/C=C/Cc2ccccc2)c1 ZINC001596232810 1055685280 /nfs/dbraw/zinc/68/52/80/1055685280.db2.gz JHAAPJXFZNABRL-BQYQJAHWSA-N 0 3 322.452 4.184 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2-c2ccc(F)cc2F)CCCO1 ZINC001238579152 1055689239 /nfs/dbraw/zinc/68/92/39/1055689239.db2.gz CXXNMXXFEPUDCV-CQSZACIVSA-N 0 3 317.379 4.243 20 0 DIADHN COc1cc(C)cc(F)c1CN1CCC2(CC(F)(F)C2)CC1 ZINC001238588927 1055691535 /nfs/dbraw/zinc/69/15/35/1055691535.db2.gz QKPOWTBPZWDGQC-UHFFFAOYSA-N 0 3 313.363 4.154 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)cnc1F ZINC001238593729 1055691768 /nfs/dbraw/zinc/69/17/68/1055691768.db2.gz HXLJOMTZGXLUIE-HZPDHXFCSA-N 0 3 306.425 4.020 20 0 DIADHN CC(C)Oc1cc(CN2CCCC3(CC(F)C3)CC2)cnc1F ZINC001238603791 1055694110 /nfs/dbraw/zinc/69/41/10/1055694110.db2.gz NKCJJEDWTFYKCL-UHFFFAOYSA-N 0 3 324.415 4.112 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@H]3CCCC[C@H]3C2)cnc1F ZINC001238599208 1055694511 /nfs/dbraw/zinc/69/45/11/1055694511.db2.gz HXLJOMTZGXLUIE-CVEARBPZSA-N 0 3 306.425 4.020 20 0 DIADHN Cc1cc(CN2CC[C@@]3(CC3(F)F)C2)cnc1-c1ccccc1 ZINC001238613562 1055696226 /nfs/dbraw/zinc/69/62/26/1055696226.db2.gz AICFIGMQHPKOQE-GOSISDBHSA-N 0 3 314.379 4.288 20 0 DIADHN Cc1cc(CN(C)CCc2ccccn2)cnc1-c1ccccc1 ZINC001238608047 1055696837 /nfs/dbraw/zinc/69/68/37/1055696837.db2.gz ZIKMDYFFSKQGAS-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccccn3)C2)cnc1C1CC1 ZINC001238618344 1055699191 /nfs/dbraw/zinc/69/91/91/1055699191.db2.gz QQSYNSHIBQOFNO-GOSISDBHSA-N 0 3 307.441 4.042 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2cnc(C3CC3)c(C)c2)C1 ZINC001238618394 1055699223 /nfs/dbraw/zinc/69/92/23/1055699223.db2.gz RYOVEOBJUQKATP-IBGZPJMESA-N 0 3 322.452 4.229 20 0 DIADHN Cc1cc(CN2CCCC3(CC(F)C3)CC2)cnc1C1CC1 ZINC001238626428 1055703457 /nfs/dbraw/zinc/70/34/57/1055703457.db2.gz WLBTZWQPAXQTFS-UHFFFAOYSA-N 0 3 302.437 4.372 20 0 DIADHN COc1ccc(-c2ccc(F)cc2OC)cc1CN1CC[C@@H]1C ZINC001238645192 1055705864 /nfs/dbraw/zinc/70/58/64/1055705864.db2.gz ORROKWJDXDBSFC-ZDUSSCGKSA-N 0 3 315.388 4.104 20 0 DIADHN Cc1cc(C(C)(C)NCc2cccnc2)ccc1Br ZINC000289805705 1055709563 /nfs/dbraw/zinc/70/95/63/1055709563.db2.gz INZXWUGBVVOZLQ-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CCCc1ccc(C(=O)N(CCN(C)C)C2CCCCC2)s1 ZINC001565584305 1055711511 /nfs/dbraw/zinc/71/15/11/1055711511.db2.gz RBSOVTBZAWQTCH-UHFFFAOYSA-N 0 3 322.518 4.037 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N(CCN(C)C)C1CCCCC1 ZINC001565583960 1055712142 /nfs/dbraw/zinc/71/21/42/1055712142.db2.gz FJXUPFHYBPYKNY-INIZCTEOSA-N 0 3 310.526 4.172 20 0 DIADHN C[C@@H](NC[C@H]1CCCC12CC2)c1nc(C(F)(F)F)cs1 ZINC000624314360 1055718897 /nfs/dbraw/zinc/71/88/97/1055718897.db2.gz HUGWITKNYUREEY-NXEZZACHSA-N 0 3 304.381 4.393 20 0 DIADHN C[C@H](NC[C@@H]1CCCC12CC2)c1nc(C(F)(F)F)cs1 ZINC000624314361 1055719267 /nfs/dbraw/zinc/71/92/67/1055719267.db2.gz HUGWITKNYUREEY-UWVGGRQHSA-N 0 3 304.381 4.393 20 0 DIADHN C[C@H](NC[C@H]1CCCC12CC2)c1nc(C(F)(F)F)cs1 ZINC000624314362 1055719825 /nfs/dbraw/zinc/71/98/25/1055719825.db2.gz HUGWITKNYUREEY-VHSXEESVSA-N 0 3 304.381 4.393 20 0 DIADHN C[C@H](NC[C@H]1CCCC12CC2)c1ncc(Br)s1 ZINC000624314516 1055722150 /nfs/dbraw/zinc/72/21/50/1055722150.db2.gz JXOPYCJNYSZLIB-VHSXEESVSA-N 0 3 315.280 4.137 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1ccc(F)cc1OC(C)C ZINC001238695013 1055724459 /nfs/dbraw/zinc/72/44/59/1055724459.db2.gz GBNCDOSNRPTUOT-KRWDZBQOSA-N 0 3 309.425 4.004 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)[C@@H]1CCCCN1C1CCCC1 ZINC001538816757 1055725878 /nfs/dbraw/zinc/72/58/78/1055725878.db2.gz KIIFTZZIZSYQOA-INIZCTEOSA-N 0 3 306.837 4.076 20 0 DIADHN CC(C)Oc1cc(F)ccc1CN1CCC2(CCOCC2)CC1 ZINC001238702848 1055726903 /nfs/dbraw/zinc/72/69/03/1055726903.db2.gz RRBCCHATSKBFJH-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN CC(C)Oc1cc(F)ccc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001238696215 1055726998 /nfs/dbraw/zinc/72/69/98/1055726998.db2.gz WTDPCAXTBAMBOY-OKILXGFUSA-N 0 3 313.363 4.090 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cccc(OC2CCCC2)c1 ZINC000105486446 1055729979 /nfs/dbraw/zinc/72/99/79/1055729979.db2.gz BQZMJRDHLCAOON-HXUWFJFHSA-N 0 3 323.436 4.491 20 0 DIADHN CSc1ncc(C)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001238719220 1055730940 /nfs/dbraw/zinc/73/09/40/1055730940.db2.gz WPNRHHPVJWEONJ-OAHLLOKOSA-N 0 3 308.466 4.216 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000105493929 1055731490 /nfs/dbraw/zinc/73/14/90/1055731490.db2.gz CZZHYRLXEMZXFW-QGZVFWFLSA-N 0 3 303.837 4.393 20 0 DIADHN CCOc1ccc(C)c(CN2CC[C@@H](C(=O)c3ccccc3)C2)c1 ZINC001238715406 1055732103 /nfs/dbraw/zinc/73/21/03/1055732103.db2.gz YPWATUQXSYRFOZ-GOSISDBHSA-N 0 3 323.436 4.099 20 0 DIADHN CSc1ncc(C)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001238719216 1055733051 /nfs/dbraw/zinc/73/30/51/1055733051.db2.gz WPNRHHPVJWEONJ-HNNXBMFYSA-N 0 3 308.466 4.216 20 0 DIADHN CCOc1cc(CN(C)C23CC4CC(CC(C4)C2)C3)c(F)cn1 ZINC001238726963 1055733821 /nfs/dbraw/zinc/73/38/21/1055733821.db2.gz FYZUKNYJHZIQRD-UHFFFAOYSA-N 0 3 318.436 4.020 20 0 DIADHN Cc1cc(CN2CC[C@@H]3CCCO[C@H]3C2)cc(C)c1OC(C)C ZINC001238753635 1055742929 /nfs/dbraw/zinc/74/29/29/1055742929.db2.gz ONXLJWFUBBPIJN-OALUTQOASA-N 0 3 317.473 4.092 20 0 DIADHN COc1cc(C)c(C)cc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001238765419 1055743892 /nfs/dbraw/zinc/74/38/92/1055743892.db2.gz CUEGPIVWUWRLLX-CALCHBBNSA-N 0 3 307.437 4.399 20 0 DIADHN CC[C@H](C(=O)N1CC(C)(C)c2c1cccc2Cl)N(CC)CC ZINC001566138990 1055744069 /nfs/dbraw/zinc/74/40/69/1055744069.db2.gz YTUJIOILHOJGOC-CQSZACIVSA-N 0 3 322.880 4.085 20 0 DIADHN C[C@@H]1CCCN(Cc2cccnc2OCc2ccccc2)CC1 ZINC001238770040 1055744489 /nfs/dbraw/zinc/74/44/89/1055744489.db2.gz KUXBACYBQFTNNW-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ncccc2CN2CC[C@H]3CCC[C@@H]3C2)cc1 ZINC001238769245 1055745618 /nfs/dbraw/zinc/74/56/18/1055745618.db2.gz DQQAECCYAGVIRY-RTBURBONSA-N 0 3 322.452 4.283 20 0 DIADHN C[C@H]1CCN(Cc2cccnc2OCc2ccccc2)[C@@H](C)C1 ZINC001238772176 1055747616 /nfs/dbraw/zinc/74/76/16/1055747616.db2.gz CWLASDJZFPDPAQ-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN CN(Cc1cccnc1OCc1ccccc1)C[C@H]1CC1(C)C ZINC001238775830 1055748652 /nfs/dbraw/zinc/74/86/52/1055748652.db2.gz FBXBUELVNOLRRD-GOSISDBHSA-N 0 3 310.441 4.139 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@@H](COCC2CC2)C1 ZINC001238783763 1055749340 /nfs/dbraw/zinc/74/93/40/1055749340.db2.gz AOPZBPKYIWZPSQ-CQSZACIVSA-N 0 3 311.828 4.036 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@H](c2ccccn2)C1 ZINC001238785749 1055752366 /nfs/dbraw/zinc/75/23/66/1055752366.db2.gz RJCUPBRRBFPIEJ-ZDUSSCGKSA-N 0 3 304.796 4.172 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)Cc1ccsc1)CC(C)(C)C ZINC001596852256 1055753789 /nfs/dbraw/zinc/75/37/89/1055753789.db2.gz VPTMXRZLSSPQAG-OAHLLOKOSA-N 0 3 324.534 4.101 20 0 DIADHN c1c([C@H]2CNCCO2)cn2c1cccc2-c1ccc(C2CC2)cc1 ZINC001238830662 1055758425 /nfs/dbraw/zinc/75/84/25/1055758425.db2.gz COPWJQMPZQNZBV-OAQYLSRUSA-N 0 3 318.420 4.145 20 0 DIADHN CC(C)CC1(CNC(=O)[C@@H](C(C)C)N2CCCCC2)CCCC1 ZINC001566299150 1055759472 /nfs/dbraw/zinc/75/94/72/1055759472.db2.gz CFJJQOJMMWJVIS-GOSISDBHSA-N 0 3 322.537 4.220 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1cc(C)ccn1 ZINC000671705818 1055773012 /nfs/dbraw/zinc/77/30/12/1055773012.db2.gz NDXXFYLYMILYTI-VQIMIIECSA-N 0 3 310.441 4.372 20 0 DIADHN O=C(c1ccc2c(c1)CNC2)N1CCCCC[C@@H]1c1ccccc1 ZINC000347522087 1055773907 /nfs/dbraw/zinc/77/39/07/1055773907.db2.gz PCCKNZJVECPUPM-HXUWFJFHSA-N 0 3 320.436 4.047 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc2c(c1)OCCCO2 ZINC000671706955 1055774288 /nfs/dbraw/zinc/77/42/88/1055774288.db2.gz VWRNKAHDLNZWES-AWEZNQCLSA-N 0 3 323.392 4.445 20 0 DIADHN CCCN(C)CC(=O)N[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC001566538884 1055774591 /nfs/dbraw/zinc/77/45/91/1055774591.db2.gz MMRGGRANWAABKE-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN CN1CCC(Oc2ccnc(-c3csc4ccccc34)c2)CC1 ZINC001238888126 1055781433 /nfs/dbraw/zinc/78/14/33/1055781433.db2.gz QDWPSHROBMRGAT-UHFFFAOYSA-N 0 3 324.449 4.436 20 0 DIADHN COc1ccc(-c2ccc3c(N)ccnc3c2)cc1OC(C)C ZINC001238935645 1055796812 /nfs/dbraw/zinc/79/68/12/1055796812.db2.gz WPCKVWMAYDFLBF-UHFFFAOYSA-N 0 3 308.381 4.280 20 0 DIADHN CO[C@@H](CNCc1cc(Cl)ccc1OC(F)F)CC(C)C ZINC001620974274 1055812079 /nfs/dbraw/zinc/81/20/79/1055812079.db2.gz HRVITHWRXPCZRY-CYBMUJFWSA-N 0 3 321.795 4.092 20 0 DIADHN CCC(CC)(CC)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001539271903 1055814900 /nfs/dbraw/zinc/81/49/00/1055814900.db2.gz WLRGYGUFKGXOSR-GOSISDBHSA-N 0 3 316.489 4.156 20 0 DIADHN CN(Cc1ccccc1)C(=O)CN[C@H](c1ccccc1)C(C)(C)C ZINC000059985272 1055827368 /nfs/dbraw/zinc/82/73/68/1055827368.db2.gz RFAWREMKVGRNHK-HXUWFJFHSA-N 0 3 324.468 4.022 20 0 DIADHN COc1c(C)cnc(CN2CCC(Cc3ccccc3)CC2)c1C ZINC000060330542 1055832224 /nfs/dbraw/zinc/83/22/24/1055832224.db2.gz RNPLEIFTFZLUMK-UHFFFAOYSA-N 0 3 324.468 4.162 20 0 DIADHN CCCN(CC(=O)Nc1ccc(F)cc1F)CC1CCCCC1 ZINC000060495846 1055839182 /nfs/dbraw/zinc/83/91/82/1055839182.db2.gz BMRRYXGFVRBWJJ-UHFFFAOYSA-N 0 3 324.415 4.196 20 0 DIADHN CCCN(Cc1nc(-c2ccco2)no1)CC1CCCCC1 ZINC000060495367 1055839350 /nfs/dbraw/zinc/83/93/50/1055839350.db2.gz PLVTUCTWTPRDQQ-UHFFFAOYSA-N 0 3 303.406 4.122 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H]2COCc3ccccc32)c(C)c1 ZINC001620976316 1055840969 /nfs/dbraw/zinc/84/09/69/1055840969.db2.gz WPQDGEQTFDQUHF-JLXBBBJOSA-N 0 3 307.437 4.486 20 0 DIADHN CN(C)C1(C(=O)Nc2cccc(-c3ccccc3)c2)CCCC1 ZINC001568712646 1055844105 /nfs/dbraw/zinc/84/41/05/1055844105.db2.gz CCKVENPOJGRPBR-UHFFFAOYSA-N 0 3 308.425 4.167 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H]2Cc3[nH]c4ccccc4c3C2)cs1 ZINC000282229588 1055849561 /nfs/dbraw/zinc/84/95/61/1055849561.db2.gz IMANHNZEFLBKHQ-NEPJUHHUSA-N 0 3 311.454 4.005 20 0 DIADHN Clc1ccc(CNCCc2ccccc2Br)o1 ZINC000060952017 1055855756 /nfs/dbraw/zinc/85/57/56/1055855756.db2.gz NNMFSLGGXJIFNO-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN Cc1ccc([C@H](CNCc2ccc(Cl)o2)N2CCCC2)cc1 ZINC000060950391 1055856075 /nfs/dbraw/zinc/85/60/75/1055856075.db2.gz WUMRGZOJJJTKPM-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN CCC1(NC(=O)c2ccc(NC3=NCCC3)cc2)CCCCC1 ZINC001569347557 1055859172 /nfs/dbraw/zinc/85/91/72/1055859172.db2.gz FNPWTLKUIVLODV-UHFFFAOYSA-N 0 3 313.445 4.134 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)c(OC)c1 ZINC000061558013 1055868648 /nfs/dbraw/zinc/86/86/48/1055868648.db2.gz QELSCDLWSDIKNK-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1ccc(Cl)cc1 ZINC001569753474 1055872189 /nfs/dbraw/zinc/87/21/89/1055872189.db2.gz QGWQYFKUOINEAP-UHFFFAOYSA-N 0 3 317.820 4.010 20 0 DIADHN CCC[C@H]1CCC[C@@H]1C(=O)Nc1cnccc1NC(CC)CC ZINC001569753219 1055872259 /nfs/dbraw/zinc/87/22/59/1055872259.db2.gz MBSDINUTKZUOCU-HOCLYGCPSA-N 0 3 317.477 4.259 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)N(C)[C@@H]1CCCN(C)C1 ZINC001539624204 1055873608 /nfs/dbraw/zinc/87/36/08/1055873608.db2.gz KZQACVLYZXLFHA-IEBWSBKVSA-N 0 3 310.526 4.316 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc(C)nc2)cc1OC ZINC000155429845 1055883842 /nfs/dbraw/zinc/88/38/42/1055883842.db2.gz VDTXNMITGKHWNN-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)C[C@H](C)CC(C)(C)C ZINC001570178492 1055888896 /nfs/dbraw/zinc/88/88/96/1055888896.db2.gz NFXSKXWDYKNHBK-AWEZNQCLSA-N 0 3 320.477 4.158 20 0 DIADHN c1ccc2c(c1)cccc2-c1cncc([C@@H]2CN3CCC2CC3)n1 ZINC001239470376 1055896071 /nfs/dbraw/zinc/89/60/71/1055896071.db2.gz MAAYTARCYKOBAX-LJQANCHMSA-N 0 3 315.420 4.106 20 0 DIADHN CCC[C@H](O)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001649245413 1055903090 /nfs/dbraw/zinc/90/30/90/1055903090.db2.gz VPYJHCQRULPDIW-KRWDZBQOSA-N 0 3 315.457 4.495 20 0 DIADHN CN(C)CCN(C(=O)c1ccc2ccccc2c1)c1ccccc1 ZINC000063829564 1055905176 /nfs/dbraw/zinc/90/51/76/1055905176.db2.gz BKACDGITFJIQJL-UHFFFAOYSA-N 0 3 318.420 4.048 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)CC2CCCC2)c(Cl)c1 ZINC000064020550 1055908725 /nfs/dbraw/zinc/90/87/25/1055908725.db2.gz PFEZZEHKTCZVKX-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1cccc(F)c1C1(NC2CCC3(CCCO3)CC2)CCC1 ZINC000624345777 1055912816 /nfs/dbraw/zinc/91/28/16/1055912816.db2.gz URRFLZYPOKJOBY-UHFFFAOYSA-N 0 3 321.411 4.425 20 0 DIADHN CC[C@H](C)C[C@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001620981268 1055915218 /nfs/dbraw/zinc/91/52/18/1055915218.db2.gz JXSXOAYDIHBTCL-QWHCGFSZSA-N 0 3 323.383 4.112 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671741064 1055929335 /nfs/dbraw/zinc/92/93/35/1055929335.db2.gz FYUONMOMGUXNNW-SNVBAGLBSA-N 0 3 302.399 4.008 20 0 DIADHN Fc1ccc2oc(CNCc3ccc(-n4ccnc4)cc3)cc2c1 ZINC001620757886 1055929641 /nfs/dbraw/zinc/92/96/41/1055929641.db2.gz KKKIHEMVBJFSCA-UHFFFAOYSA-N 0 3 321.355 4.047 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1ccc2c(c1)ncn2C ZINC001620759413 1055931710 /nfs/dbraw/zinc/93/17/10/1055931710.db2.gz XONUBOCGPVYCDC-KRWDZBQOSA-N 0 3 323.440 4.213 20 0 DIADHN COc1ccc(CNC[C@H](C)c2c(Cl)cccc2Cl)o1 ZINC001620760929 1055932031 /nfs/dbraw/zinc/93/20/31/1055932031.db2.gz YLUIIFQFKBBKEZ-JTQLQIEISA-N 0 3 314.212 4.488 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCCOc3ccccc32)c(F)c1 ZINC001620762645 1055933216 /nfs/dbraw/zinc/93/32/16/1055933216.db2.gz HECUQNHOXSFRJP-YZTRRJNXSA-N 0 3 315.363 4.482 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCCCCc1ccccc1 ZINC001620765925 1055936768 /nfs/dbraw/zinc/93/67/68/1055936768.db2.gz ZUKHYLKFOYQJLF-UHFFFAOYSA-N 0 3 305.853 4.128 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671744168 1055939150 /nfs/dbraw/zinc/93/91/50/1055939150.db2.gz MCXOLZCIGLMEQV-MLGOLLRUSA-N 0 3 308.381 4.180 20 0 DIADHN CCCOc1ccc(CNCc2ccccc2N(C)CC)cc1 ZINC001620773390 1055940707 /nfs/dbraw/zinc/94/07/07/1055940707.db2.gz APBZORRFNGZOTD-UHFFFAOYSA-N 0 3 312.457 4.221 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC001620983261 1055941740 /nfs/dbraw/zinc/94/17/40/1055941740.db2.gz ZYBDRNBVZFCMBK-OKILXGFUSA-N 0 3 311.853 4.174 20 0 DIADHN CCCCNC(=O)[C@H]1CCCN([C@H](C)c2ccccc2Cl)C1 ZINC000065253075 1055943931 /nfs/dbraw/zinc/94/39/31/1055943931.db2.gz YCQUQJHSACVKOG-CABCVRRESA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@H](CC(C)(C)C)C2)c(C)c1 ZINC001618599659 1055951663 /nfs/dbraw/zinc/95/16/63/1055951663.db2.gz QOZNMHMRHPCMDJ-IAGOWNOFSA-N 0 3 316.489 4.389 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001621121403 1055958587 /nfs/dbraw/zinc/95/85/87/1055958587.db2.gz FJCQKVZJAPXGNS-VXGBXAGGSA-N 0 3 321.408 4.402 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccc(C)cn1 ZINC001620985387 1055958629 /nfs/dbraw/zinc/95/86/29/1055958629.db2.gz GYRLKIKMPGAFAZ-ZROIWOOFSA-N 0 3 318.367 4.185 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)[C@H](O)c1ccc(C)cc1 ZINC001620985818 1055958831 /nfs/dbraw/zinc/95/88/31/1055958831.db2.gz WHRICXXJZLPTMC-KUHUBIRLSA-N 0 3 315.457 4.406 20 0 DIADHN CO[C@H](CNCc1ccccc1-c1ccccc1C)C(F)(F)F ZINC001620786795 1055960404 /nfs/dbraw/zinc/96/04/04/1055960404.db2.gz WIPPOPXSQNBSPV-QGZVFWFLSA-N 0 3 323.358 4.329 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)c(C)s1 ZINC000671748764 1055960663 /nfs/dbraw/zinc/96/06/63/1055960663.db2.gz OBYUOIZFLIZNFU-JTQLQIEISA-N 0 3 302.399 4.063 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H]3CSC[C@H]3C2)c1 ZINC001620506667 1055962603 /nfs/dbraw/zinc/96/26/03/1055962603.db2.gz KOSREOZBAVUUML-QWHCGFSZSA-N 0 3 316.297 4.221 20 0 DIADHN Cc1ccc(SC2(CN[C@H](C)c3ncc[nH]3)CCCC2)cc1 ZINC000671750268 1055964819 /nfs/dbraw/zinc/96/48/19/1055964819.db2.gz VZYJMCSGYJTERD-OAHLLOKOSA-N 0 3 315.486 4.474 20 0 DIADHN CC(C)(C)OCCCNCc1ccc(F)c(Cl)c1Cl ZINC001620997458 1055966271 /nfs/dbraw/zinc/96/62/71/1055966271.db2.gz KPGLYIHNIZSSCE-UHFFFAOYSA-N 0 3 308.224 4.427 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCc2ccc3occc3c2)c1 ZINC001620998526 1055967550 /nfs/dbraw/zinc/96/75/50/1055967550.db2.gz BITQJPVBIPCYOU-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN Cc1cccc(CNC[C@@H](OC2CCCC2)C(F)(F)F)c1C ZINC001620998726 1055967831 /nfs/dbraw/zinc/96/78/31/1055967831.db2.gz KKRAOHVUAJQBNU-MRXNPFEDSA-N 0 3 315.379 4.283 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751517 1055968556 /nfs/dbraw/zinc/96/85/56/1055968556.db2.gz ARHJZHCIRPBSFT-QFSBIZTOSA-N 0 3 308.381 4.433 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H](C)C1(CO)CC1 ZINC001621000979 1055969064 /nfs/dbraw/zinc/96/90/64/1055969064.db2.gz XXISMPZPDSBZME-GFCCVEGCSA-N 0 3 313.894 4.091 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751597 1055969157 /nfs/dbraw/zinc/96/91/57/1055969157.db2.gz UYAZRQNHZVELRS-BONVTDFDSA-N 0 3 312.344 4.011 20 0 DIADHN CCn1cnc(CNCCc2ccc(C3CCCCC3)cc2)c1 ZINC001621004134 1055971609 /nfs/dbraw/zinc/97/16/09/1055971609.db2.gz KKXZVWAUXRWPPR-UHFFFAOYSA-N 0 3 311.473 4.283 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC001620591897 1055971853 /nfs/dbraw/zinc/97/18/53/1055971853.db2.gz UCDGDMHSODEZPF-FMKPAKJESA-N 0 3 313.445 4.474 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)c1F ZINC001621006952 1055974430 /nfs/dbraw/zinc/97/44/30/1055974430.db2.gz ZZPSANDHWXCCFT-CVEARBPZSA-N 0 3 321.436 4.050 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc2[nH]c(=O)oc2c1 ZINC000671752209 1055975606 /nfs/dbraw/zinc/97/56/06/1055975606.db2.gz GZXSEXBCVRUBSM-NSHDSACASA-N 0 3 308.337 4.130 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc(-c2ccccc2)n[nH]1 ZINC001620653066 1055977563 /nfs/dbraw/zinc/97/75/63/1055977563.db2.gz MQVQZXFWEJIPFH-UHFFFAOYSA-N 0 3 305.425 4.141 20 0 DIADHN CCSc1cccc(CNCc2cccc(OC)c2C)c1 ZINC001621012828 1055978379 /nfs/dbraw/zinc/97/83/79/1055978379.db2.gz GZGRDZHIFLVTSQ-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H]1COc2cccc(C)c2C1 ZINC001621013985 1055979263 /nfs/dbraw/zinc/97/92/63/1055979263.db2.gz AVFNEFGYKDAZKR-HNNXBMFYSA-N 0 3 315.844 4.304 20 0 DIADHN Cc1cc(F)c(CNCCc2c[nH]c3ccccc23)c(F)c1 ZINC001620697286 1055981404 /nfs/dbraw/zinc/98/14/04/1055981404.db2.gz LOGSDVPVKWYWPK-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN CCCn1cncc1CNCc1ccc(-c2ccccc2)s1 ZINC001621016680 1055981458 /nfs/dbraw/zinc/98/14/58/1055981458.db2.gz SQHLKUJZODFEAF-UHFFFAOYSA-N 0 3 311.454 4.311 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cccc(C2CC2)c1)c1ccccc1 ZINC001621017428 1055983110 /nfs/dbraw/zinc/98/31/10/1055983110.db2.gz QXWYVOZTDPNONZ-NHCUHLMSSA-N 0 3 309.453 4.412 20 0 DIADHN COc1ccc(CNCC2(Sc3ccccc3)CC2)c(F)c1 ZINC001649096216 1055983849 /nfs/dbraw/zinc/98/38/49/1055983849.db2.gz OGOXXGYGAULJBR-UHFFFAOYSA-N 0 3 317.429 4.249 20 0 DIADHN Cc1coc(CN[C@@H](C(C)C)[C@H](O)c2ccc(Cl)cc2)c1 ZINC001621019174 1055986014 /nfs/dbraw/zinc/98/60/14/1055986014.db2.gz ADUANXLTFDBJNH-DLBZAZTESA-N 0 3 307.821 4.089 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CCC1)c1ccc2[nH]c(=O)oc2c1 ZINC000671755977 1055986131 /nfs/dbraw/zinc/98/61/31/1055986131.db2.gz FLEXMFOHCTXHMY-CYBMUJFWSA-N 0 3 308.381 4.263 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1ccc(C)o1 ZINC001621021786 1055986554 /nfs/dbraw/zinc/98/65/54/1055986554.db2.gz WWSLNBJXDYGDKM-FMIVXFBMSA-N 0 3 307.340 4.383 20 0 DIADHN CN(Cc1ccco1)Cc1ccc(CN(C)Cc2ccco2)cc1 ZINC000067131509 1055987271 /nfs/dbraw/zinc/98/72/71/1055987271.db2.gz VUODKQYUKBYVGF-UHFFFAOYSA-N 0 3 324.424 4.137 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCC[C@H]1CCCS1 ZINC001621021329 1055987727 /nfs/dbraw/zinc/98/77/27/1055987727.db2.gz JGGSYQCROKINLM-SNVBAGLBSA-N 0 3 323.355 4.100 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccnc(-c2ccccc2)c1 ZINC001621025405 1055991129 /nfs/dbraw/zinc/99/11/29/1055991129.db2.gz OORAQCWEDJOUJO-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CC(C)c1cnc(CNC[C@H]2CCCO[C@H]2C(C)(C)C)s1 ZINC001620797824 1055992373 /nfs/dbraw/zinc/99/23/73/1055992373.db2.gz MPUQTGOCFGQVSG-CZUORRHYSA-N 0 3 310.507 4.197 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H](C)[C@@H](O)C(F)(F)F)c1 ZINC001621029883 1055992275 /nfs/dbraw/zinc/99/22/75/1055992275.db2.gz NDPPHXRLTJFTLO-SUMWQHHRSA-N 0 3 323.358 4.063 20 0 DIADHN Oc1cccc(CNCc2cn(C(F)F)c3ccccc23)c1 ZINC001621028436 1055992496 /nfs/dbraw/zinc/99/24/96/1055992496.db2.gz CIZOQWVTXJMTJL-UHFFFAOYSA-N 0 3 302.324 4.032 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671761387 1055995802 /nfs/dbraw/zinc/99/58/02/1055995802.db2.gz DGRQTCVGJZYIHA-JTQLQIEISA-N 0 3 318.323 4.322 20 0 DIADHN CC[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1cc(C)ccc1C ZINC001621033678 1055995945 /nfs/dbraw/zinc/99/59/45/1055995945.db2.gz UISLQZJYPBVZPW-SFHVURJKSA-N 0 3 320.440 4.329 20 0 DIADHN CC[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1cc(C)ccc1C ZINC001621033677 1055996392 /nfs/dbraw/zinc/99/63/92/1055996392.db2.gz UISLQZJYPBVZPW-GOSISDBHSA-N 0 3 320.440 4.329 20 0 DIADHN CC(C)(C)c1cccc(OCCNCc2ccc(Cl)nc2)c1 ZINC001620802031 1055996811 /nfs/dbraw/zinc/99/68/11/1055996811.db2.gz YJRNESFIZNCCLW-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2cccc(C)c2)c(Cl)c1 ZINC001620803536 1055998207 /nfs/dbraw/zinc/99/82/07/1055998207.db2.gz WAPMFQGTSPBYOC-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cccc(F)c2F)C12CCCCC2 ZINC001620803568 1055998396 /nfs/dbraw/zinc/99/83/96/1055998396.db2.gz XJLGUFAJSYSZSS-HZPDHXFCSA-N 0 3 309.400 4.182 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cccc(F)c2F)C12CCCCC2 ZINC001620803567 1055998468 /nfs/dbraw/zinc/99/84/68/1055998468.db2.gz XJLGUFAJSYSZSS-HOTGVXAUSA-N 0 3 309.400 4.182 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cccc(F)c2F)C12CCCCC2 ZINC001620803569 1055998779 /nfs/dbraw/zinc/99/87/79/1055998779.db2.gz XJLGUFAJSYSZSS-JKSUJKDBSA-N 0 3 309.400 4.182 20 0 DIADHN COc1ccccc1[C@H](C)NC/C=C/c1ccc(F)cc1F ZINC001621040008 1056000593 /nfs/dbraw/zinc/00/05/93/1056000593.db2.gz HOLKRVCABXNUGO-GFUIURDCSA-N 0 3 303.352 4.337 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccccc1OC ZINC001621040967 1056002396 /nfs/dbraw/zinc/00/23/96/1056002396.db2.gz QPLZIIDCVLCJKU-KBXCAEBGSA-N 0 3 311.425 4.260 20 0 DIADHN Cc1coc(CN[C@@H]2CCCN(c3ccccc3Cl)C2)c1 ZINC001620811702 1056005812 /nfs/dbraw/zinc/00/58/12/1056005812.db2.gz GLBWSYBWCOVZPE-CQSZACIVSA-N 0 3 304.821 4.000 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1COC2(CCC2)C1 ZINC001621046433 1056007421 /nfs/dbraw/zinc/00/74/21/1056007421.db2.gz GJKLYQFADFKDHP-IBGZPJMESA-N 0 3 323.436 4.163 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H]3C[C@H]4CCC[C@@H]4O3)o2)cc1 ZINC001621054119 1056012727 /nfs/dbraw/zinc/01/27/27/1056012727.db2.gz AACLTGFIXUGYEL-NEWSRXKRSA-N 0 3 311.425 4.302 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(F)cc3F)c(C)c2)CC1 ZINC001239675315 1056019696 /nfs/dbraw/zinc/01/96/96/1056019696.db2.gz GMYGRVZJUYYOFD-UHFFFAOYSA-N 0 3 314.379 4.444 20 0 DIADHN CCCCc1ccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1 ZINC001540356299 1056019932 /nfs/dbraw/zinc/01/99/32/1056019932.db2.gz LSGSNUPFAAQEDZ-JYJNAYRXSA-N 0 3 302.462 4.229 20 0 DIADHN COc1cccc(OC)c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001239675103 1056020408 /nfs/dbraw/zinc/02/04/08/1056020408.db2.gz ZORMRHSFWKTNKM-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN C[C@@H]1[C@H](C)N(CCCC2CCCC2)CCN1C(=O)OC(C)(C)C ZINC001168603725 1056022554 /nfs/dbraw/zinc/02/25/54/1056022554.db2.gz HZVZXCFCRBZFCH-JKSUJKDBSA-N 0 3 324.509 4.287 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001583140060 1056026801 /nfs/dbraw/zinc/02/68/01/1056026801.db2.gz RMQQPJVFDSEAMH-SFHVURJKSA-N 0 3 320.521 4.236 20 0 DIADHN CC1(C)CC[C@@H](NCc2cc(F)c(F)cc2Br)C1 ZINC001621319382 1056027319 /nfs/dbraw/zinc/02/73/19/1056027319.db2.gz CTOYFJIHSLYCME-SNVBAGLBSA-N 0 3 318.205 4.396 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC001621325165 1056032308 /nfs/dbraw/zinc/03/23/08/1056032308.db2.gz IOJZFPHDTCAMPC-QZTJIDSGSA-N 0 3 313.489 4.482 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)C[C@@H](C)CC(C)C)N(C)C)cc1 ZINC001540450989 1056032371 /nfs/dbraw/zinc/03/23/71/1056032371.db2.gz JDHUWNWWNOJOLL-QFBILLFUSA-N 0 3 318.505 4.040 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)C[C@H](CC)C(C)C)N(C)C)cc1 ZINC001540451138 1056033476 /nfs/dbraw/zinc/03/34/76/1056033476.db2.gz NLYQXAORJBWEHN-PKOBYXMFSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc2oc(C(=O)Nc3ccc(C)cc3CN(C)C)cc2c1 ZINC000072841234 1056035113 /nfs/dbraw/zinc/03/51/13/1056035113.db2.gz IDMHNDQHGCYJRJ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1ccc2cc(C(=O)Nc3ccc(C)cc3CN(C)C)[nH]c2c1 ZINC000072841283 1056035372 /nfs/dbraw/zinc/03/53/72/1056035372.db2.gz QHPZLWLTSZSGST-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN Cc1ccc(CN(C(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)C(C)C)s1 ZINC001583197437 1056037730 /nfs/dbraw/zinc/03/77/30/1056037730.db2.gz HFYRDZABHFSBNK-LZWOXQAQSA-N 0 3 322.518 4.055 20 0 DIADHN Cc1ccc(CN(C(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)C(C)C)s1 ZINC001583197436 1056038112 /nfs/dbraw/zinc/03/81/12/1056038112.db2.gz HFYRDZABHFSBNK-IJEWVQPXSA-N 0 3 322.518 4.055 20 0 DIADHN CCCc1ncc(CN[C@@H](C)Cc2ccccc2SCC)o1 ZINC001621334569 1056038426 /nfs/dbraw/zinc/03/84/26/1056038426.db2.gz PUMJAQAKZGCTQT-AWEZNQCLSA-N 0 3 318.486 4.460 20 0 DIADHN Cc1ccc(F)c(CCNCc2cncc(Cl)c2Cl)c1 ZINC001621339553 1056044306 /nfs/dbraw/zinc/04/43/06/1056044306.db2.gz NCYQMJOVPODTOQ-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1nc(-c2cccs2)oc1C ZINC001620817271 1056046631 /nfs/dbraw/zinc/04/66/31/1056046631.db2.gz PKXGIIGEPSQMRY-NSHDSACASA-N 0 3 308.447 4.005 20 0 DIADHN COc1cccc2c1CN(C[C@H](c1ccccc1)C(C)C)CC2 ZINC001621340166 1056047384 /nfs/dbraw/zinc/04/73/84/1056047384.db2.gz CJPVQXAEUYESAJ-IBGZPJMESA-N 0 3 309.453 4.493 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC001583263864 1056048360 /nfs/dbraw/zinc/04/83/60/1056048360.db2.gz QDUGHQYYOIRLSU-XHSDSOJGSA-N 0 3 302.462 4.034 20 0 DIADHN COc1cccc(-c2ccc(CN3CCCC3)c(F)c2)c1F ZINC001239751012 1056057229 /nfs/dbraw/zinc/05/72/29/1056057229.db2.gz BSHUFTUXCHLTAN-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN Fc1ccc(CNCc2ccnc3ccccc23)cc1Cl ZINC000111763654 1056058298 /nfs/dbraw/zinc/05/82/98/1056058298.db2.gz TWMFRJGWNBYOET-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(OC)c(Cl)c2)cc1F ZINC000112522897 1056061789 /nfs/dbraw/zinc/06/17/89/1056061789.db2.gz IVCRUIQJWZXFGC-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540702677 1056064248 /nfs/dbraw/zinc/06/42/48/1056064248.db2.gz UUHRUZWCFMGAPK-JXFKEZNVSA-N 0 3 318.505 4.354 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@@H]2CCCC[C@@H]2C1 ZINC000029332578 1056074120 /nfs/dbraw/zinc/07/41/20/1056074120.db2.gz RFENOTVRNCZEQA-RYQLBKOJSA-N 0 3 314.473 4.088 20 0 DIADHN C[C@@H](NCc1csc(COc2ccccc2)n1)C(C)(C)C ZINC000113342148 1056076442 /nfs/dbraw/zinc/07/64/42/1056076442.db2.gz KLRKCHMUJLIWJW-CYBMUJFWSA-N 0 3 304.459 4.246 20 0 DIADHN COc1cccc(-c2ccc(F)c(CN3CCCC3)c2)c1OC ZINC001239819977 1056088291 /nfs/dbraw/zinc/08/82/91/1056088291.db2.gz RXNVNELWTHFUJR-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CCOCc1cc(CNCc2cc3ccccc3[nH]2)ccc1OC ZINC000051188640 1056096647 /nfs/dbraw/zinc/09/66/47/1056096647.db2.gz WCWHMNPIAXSFMW-UHFFFAOYSA-N 0 3 324.424 4.003 20 0 DIADHN CN(C)Cc1cncc(-c2ccccc2OCc2ccccc2)c1 ZINC001239833378 1056098287 /nfs/dbraw/zinc/09/82/87/1056098287.db2.gz ZOUDQTUROSNGCM-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN COc1ccc([C@H](C)NCc2csc(C)c2C)cc1OC ZINC000399907661 1056102034 /nfs/dbraw/zinc/10/20/34/1056102034.db2.gz DMVLRWOLQZIUAQ-LBPRGKRZSA-N 0 3 305.443 4.233 20 0 DIADHN CCCCCCN(C)CC(=O)N(Cc1ccc(C)s1)C(C)C ZINC001542262388 1056113957 /nfs/dbraw/zinc/11/39/57/1056113957.db2.gz JGMKMXMMPSHQBY-UHFFFAOYSA-N 0 3 324.534 4.306 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2ccc(C(=O)N3CCCCC3)cc2)n1 ZINC001239899786 1056145408 /nfs/dbraw/zinc/14/54/08/1056145408.db2.gz KJFYTLQKXMMIDV-UHFFFAOYSA-N 0 3 319.408 4.164 20 0 DIADHN CC(C)CCCC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001611433574 1056158379 /nfs/dbraw/zinc/15/83/79/1056158379.db2.gz LJYVMOLQYIQYID-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](O)CCNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000114771886 1056163014 /nfs/dbraw/zinc/16/30/14/1056163014.db2.gz YBHPQGUMEWMXBN-JTQLQIEISA-N 0 3 314.212 4.114 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(COC)c3)c(C)c2)CC1 ZINC001239932991 1056167820 /nfs/dbraw/zinc/16/78/20/1056167820.db2.gz FGQNCVIKQKJBRW-UHFFFAOYSA-N 0 3 322.452 4.312 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C)c1C ZINC000115602479 1056185603 /nfs/dbraw/zinc/18/56/03/1056185603.db2.gz BMLVTPLMAZSWOS-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](CNc1nc(C2CCCCC2)ns1)N1CCC[C@@H](C)C1 ZINC001611793928 1056192091 /nfs/dbraw/zinc/19/20/91/1056192091.db2.gz JWDBUHJILFTLGK-ZIAGYGMSSA-N 0 3 322.522 4.118 20 0 DIADHN CCOc1ccc2cc(-c3cc(CN(C)C)ccn3)ccc2c1 ZINC001239957083 1056194208 /nfs/dbraw/zinc/19/42/08/1056194208.db2.gz RWKMXHVYBGRZRV-UHFFFAOYSA-N 0 3 306.409 4.362 20 0 DIADHN CCOc1ccc2cc(-c3cccc(CN(C)C)n3)ccc2c1 ZINC001239957071 1056194294 /nfs/dbraw/zinc/19/42/94/1056194294.db2.gz RFRNJFLTLXRNHE-UHFFFAOYSA-N 0 3 306.409 4.362 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccccc1C)C(C)C ZINC001543275054 1056194741 /nfs/dbraw/zinc/19/47/41/1056194741.db2.gz NUDLHCDRQOSYKQ-FQEVSTJZSA-N 0 3 318.505 4.320 20 0 DIADHN C[C@H](NC[C@@]12C[C@@H]1CCCC2)c1ncc(Br)s1 ZINC000903162719 1056195381 /nfs/dbraw/zinc/19/53/81/1056195381.db2.gz OBDGJCXYQFAWMW-OUJBWJOFSA-N 0 3 315.280 4.137 20 0 DIADHN C[C@@H]1CCCN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000054152526 1056207128 /nfs/dbraw/zinc/20/71/28/1056207128.db2.gz VLIQIBCONLFJJU-MRXNPFEDSA-N 0 3 308.425 4.171 20 0 DIADHN Cc1c(N)ccnc1-c1ccc(F)cc1OCc1ccccc1 ZINC001239978158 1056217317 /nfs/dbraw/zinc/21/73/17/1056217317.db2.gz AKLGEIIEDUETRC-UHFFFAOYSA-N 0 3 308.356 4.357 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001168624020 1056219715 /nfs/dbraw/zinc/21/97/15/1056219715.db2.gz ZTTPZOIONIOXRB-LEWSCRJBSA-N 0 3 323.305 4.115 20 0 DIADHN Cc1cccc([C@@H](NC(=O)CN2[C@H](C)CC[C@@H]2C)C(C)(C)C)c1 ZINC001543467592 1056228167 /nfs/dbraw/zinc/22/81/67/1056228167.db2.gz BVOMBKDBEIPPCV-JTDSTZFVSA-N 0 3 316.489 4.071 20 0 DIADHN Fc1cc(-c2ccc3cncnc3c2)ccc1CN1CCCC1 ZINC001240000263 1056237658 /nfs/dbraw/zinc/23/76/58/1056237658.db2.gz QSYCDZSUXQCRBX-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3cncnc3c2)cc1F ZINC001239999968 1056238616 /nfs/dbraw/zinc/23/86/16/1056238616.db2.gz MVPMKTPQDYNHOR-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN CN(Cc1ccc(-c2ccc(N3CCCCC3)cc2)nc1)C1CC1 ZINC001240007209 1056247134 /nfs/dbraw/zinc/24/71/34/1056247134.db2.gz ANGPJHNQQQGONC-UHFFFAOYSA-N 0 3 321.468 4.333 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(N2CCCCC2)cc1 ZINC001240017770 1056254241 /nfs/dbraw/zinc/25/42/41/1056254241.db2.gz JTMXQGGAIAFCKE-RVDMUPIBSA-N 0 3 307.441 4.271 20 0 DIADHN Fc1ccc([C@@H](NCc2ccnn2C2CCC2)C2CCC2)cc1 ZINC000921685769 1056268568 /nfs/dbraw/zinc/26/85/68/1056268568.db2.gz YFGNMJVPPLPMDC-IBGZPJMESA-N 0 3 313.420 4.378 20 0 DIADHN COc1nsc(CN[C@@H](C)[C@H](C)c2ccccc2)c1Cl ZINC001620823041 1056300427 /nfs/dbraw/zinc/30/04/27/1056300427.db2.gz AYGUGNQKWLJXST-QWRGUYRKSA-N 0 3 310.850 4.087 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2C)cc1 ZINC000056201592 1056301330 /nfs/dbraw/zinc/30/13/30/1056301330.db2.gz UGRVJQGCOBNWGY-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC1(C)CCCC1)c1ccsc1 ZINC001543821795 1056306151 /nfs/dbraw/zinc/30/61/51/1056306151.db2.gz PFNRGQJDYYJGQX-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN CCCCNC(=O)c1cccc(-c2ccc3c(N)ccnc3c2)c1 ZINC001240087703 1056313525 /nfs/dbraw/zinc/31/35/25/1056313525.db2.gz OAGCIARUNPHTMW-UHFFFAOYSA-N 0 3 319.408 4.014 20 0 DIADHN Cc1cc(NC(=O)[C@H]2CCCN2C(C)C)ccc1-c1ccccc1 ZINC001584331908 1056318254 /nfs/dbraw/zinc/31/82/54/1056318254.db2.gz XLPAJSYFDREICD-HXUWFJFHSA-N 0 3 322.452 4.473 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccnn2C2CCC2)c1C ZINC000921693888 1056319945 /nfs/dbraw/zinc/31/99/45/1056319945.db2.gz WKRFNQAARASISR-UHFFFAOYSA-N 0 3 322.456 4.304 20 0 DIADHN CC[C@H](NCc1ccccc1N(C)CC)c1ccc2c(c1)CCO2 ZINC000765848895 1056322535 /nfs/dbraw/zinc/32/25/35/1056322535.db2.gz LRXDXDYHGVOHKN-IBGZPJMESA-N 0 3 324.468 4.319 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccccc2N(C)CC)s1 ZINC000765849868 1056327033 /nfs/dbraw/zinc/32/70/33/1056327033.db2.gz ROZIZVLVQBLKHQ-CYBMUJFWSA-N 0 3 317.502 4.321 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001543934175 1056329757 /nfs/dbraw/zinc/32/97/57/1056329757.db2.gz OYDWOSQCLFCMIN-MOPGFXCFSA-N 0 3 322.537 4.173 20 0 DIADHN Cc1ccc2sc(N3CCN(C)C[C@@H]3CC(C)C)nc2c1C ZINC001612962829 1056342845 /nfs/dbraw/zinc/34/28/45/1056342845.db2.gz CBZUKFGQCOZAEH-HNNXBMFYSA-N 0 3 317.502 4.080 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F)c1ncc[nH]1 ZINC000353621337 1056343642 /nfs/dbraw/zinc/34/36/42/1056343642.db2.gz MFIRAKGQGSERAO-CORIIIEPSA-N 0 3 323.362 4.415 20 0 DIADHN CN(Cc1ccccc1Br)C[C@H]1CCC(F)(F)C1 ZINC000404182812 1056390203 /nfs/dbraw/zinc/39/02/03/1056390203.db2.gz ZHRZEVMJSYVHDY-NSHDSACASA-N 0 3 318.205 4.316 20 0 DIADHN COC[C@H](N[C@@H](C)C1CCC(C(F)(F)F)CC1)c1ccco1 ZINC000474811479 1056358964 /nfs/dbraw/zinc/35/89/64/1056358964.db2.gz RUCFTDHINHCDLL-ABXWRUBCSA-N 0 3 319.367 4.314 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnn(CCF)c1 ZINC000921731190 1056391532 /nfs/dbraw/zinc/39/15/32/1056391532.db2.gz CCFXASXUDHKMLY-SFHVURJKSA-N 0 3 303.425 4.038 20 0 DIADHN CC1(CNCc2cc(C(F)(F)F)ccc2Br)CC1 ZINC001620825465 1056400908 /nfs/dbraw/zinc/40/09/08/1056400908.db2.gz UYOVXHMDTXGMEV-UHFFFAOYSA-N 0 3 322.168 4.358 20 0 DIADHN CC(C)[C@H](Cc1ccccc1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000303746590 1056409785 /nfs/dbraw/zinc/40/97/85/1056409785.db2.gz AQZZQXSKEJDOCE-FQEVSTJZSA-N 0 3 324.468 4.202 20 0 DIADHN CCN(C)C(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001240286537 1056414494 /nfs/dbraw/zinc/41/44/94/1056414494.db2.gz SOTITJLQQTVNCT-UHFFFAOYSA-N 0 3 306.409 4.028 20 0 DIADHN Cc1nn(C)cc1-c1ccc(C(F)(F)F)cc1CN1CCCC1 ZINC001240303881 1056419390 /nfs/dbraw/zinc/41/93/90/1056419390.db2.gz RCPRSRHPAQPROT-UHFFFAOYSA-N 0 3 323.362 4.010 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC3(C2)CCCCC3)c(C)c1 ZINC000303850358 1056427018 /nfs/dbraw/zinc/42/70/18/1056427018.db2.gz DLEAXGDBGLQLHF-KRWDZBQOSA-N 0 3 314.473 4.287 20 0 DIADHN CC(C)CCc1ccc(NC(=O)[C@@H]2CCCN2CC(C)C)cc1 ZINC001544500372 1056444389 /nfs/dbraw/zinc/44/43/89/1056444389.db2.gz QYLYPNBCBTWIHL-IBGZPJMESA-N 0 3 316.489 4.334 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccco2)c2ccccc2)cc1F ZINC000530329523 1056459102 /nfs/dbraw/zinc/45/91/02/1056459102.db2.gz GSQRJXYOUADQFO-IBGZPJMESA-N 0 3 313.347 4.480 20 0 DIADHN CN(C)CCN(C(=O)C1CCCCCCC1)C1CCCCC1 ZINC001614982823 1056461294 /nfs/dbraw/zinc/46/12/94/1056461294.db2.gz VQYVOFRNRGQUQR-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN c1ccc(-c2cnccc2N[C@@H]2CCCc3cccnc32)cc1 ZINC001168662711 1056463606 /nfs/dbraw/zinc/46/36/06/1056463606.db2.gz ZIACSWOTXLNZRT-LJQANCHMSA-N 0 3 301.393 4.055 20 0 DIADHN Cc1cc(C(C)C)nc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240387045 1056468907 /nfs/dbraw/zinc/46/89/07/1056468907.db2.gz VRWNZMFTPPINPW-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCC(Oc2ccccc2)CC1 ZINC001615252175 1056485243 /nfs/dbraw/zinc/48/52/43/1056485243.db2.gz URAPXCRFZZSBAW-CYBMUJFWSA-N 0 3 314.256 4.114 20 0 DIADHN CCN(CCSC(C)(C)C)Cc1ccc(OC)cc1OC ZINC001615308911 1056491517 /nfs/dbraw/zinc/49/15/17/1056491517.db2.gz SASVPGVTPCKKFG-UHFFFAOYSA-N 0 3 311.491 4.057 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001615318103 1056491795 /nfs/dbraw/zinc/49/17/95/1056491795.db2.gz DQENFEGKVOLGFG-IEZWGBDMSA-N 0 3 305.437 4.241 20 0 DIADHN CCCN(CCCSC)Cc1ccccc1Br ZINC001615318107 1056491902 /nfs/dbraw/zinc/49/19/02/1056491902.db2.gz DQPXSRQLHXWOOS-UHFFFAOYSA-N 0 3 316.308 4.414 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1CC2(CCC2)CO1 ZINC001615346395 1056497820 /nfs/dbraw/zinc/49/78/20/1056497820.db2.gz FQFOFSYKXLSBJP-HNNXBMFYSA-N 0 3 313.363 4.096 20 0 DIADHN Cc1cccc2c1CCN(C[C@H]1CC[C@@H](c3ccccc3)O1)C2 ZINC001615465920 1056513236 /nfs/dbraw/zinc/51/32/36/1056513236.db2.gz LUROZIAFRVRCRW-CTNGQTDRSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@H]2CCC(C)(C)CO2)cc1 ZINC001615486453 1056520972 /nfs/dbraw/zinc/52/09/72/1056520972.db2.gz XITSJZIIZZTTMN-MSOLQXFVSA-N 0 3 319.514 4.290 20 0 DIADHN Cc1ccccc1[C@@H](NCc1c2c(nn1C)CCC2)C(C)(C)C ZINC000921822812 1056521972 /nfs/dbraw/zinc/52/19/72/1056521972.db2.gz LOCRINBPQXFSOS-LJQANCHMSA-N 0 3 311.473 4.094 20 0 DIADHN Clc1cccc2c1C[C@H](NCc1nc3ccccc3s1)C2 ZINC001168677129 1056527276 /nfs/dbraw/zinc/52/72/76/1056527276.db2.gz MSCNAANELYMXFV-GFCCVEGCSA-N 0 3 314.841 4.207 20 0 DIADHN CCC[C@H](C)CCCN1CCN(Cc2ccc(Cl)nc2)CC1 ZINC001615531179 1056528887 /nfs/dbraw/zinc/52/88/87/1056528887.db2.gz KXRKMUMPHNLUQQ-INIZCTEOSA-N 0 3 323.912 4.069 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@@H](C(C)C)CC2)c1 ZINC001615539961 1056530391 /nfs/dbraw/zinc/53/03/91/1056530391.db2.gz NFEFIFFXAJVHJV-QGZVFWFLSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)(N[C@H]1Cc2cccc(Cl)c2C1)C(=O)c1ccccc1 ZINC001168679873 1056537921 /nfs/dbraw/zinc/53/79/21/1056537921.db2.gz POMRVGZIULWPSD-HNNXBMFYSA-N 0 3 313.828 4.058 20 0 DIADHN CCOc1ncccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001240496480 1056538742 /nfs/dbraw/zinc/53/87/42/1056538742.db2.gz AJVOAKGKFORFHT-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN C[C@@H]1c2c(F)cccc2CCN1CCCOCc1ccccc1 ZINC001615630553 1056546254 /nfs/dbraw/zinc/54/62/54/1056546254.db2.gz NIJBWKYMQVYMKA-MRXNPFEDSA-N 0 3 313.416 4.352 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2nc3c(o2)CCCC3)C1 ZINC001615622266 1056546872 /nfs/dbraw/zinc/54/68/72/1056546872.db2.gz UCYNDRHXMHMXIX-HOTGVXAUSA-N 0 3 310.441 4.240 20 0 DIADHN Cc1n[nH]cc1-c1ccc(C(F)(F)F)cc1CN1CCCCC1 ZINC001240522695 1056548668 /nfs/dbraw/zinc/54/86/68/1056548668.db2.gz ITPFPROKZUFEJU-UHFFFAOYSA-N 0 3 323.362 4.390 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1(C)C ZINC001615650998 1056553410 /nfs/dbraw/zinc/55/34/10/1056553410.db2.gz WMHXAGQWRNEGJS-NHYWBVRUSA-N 0 3 317.260 4.024 20 0 DIADHN Cc1cccc([C@H]2CCCCN2CCn2cc(Cl)cn2)c1C ZINC001615651251 1056556803 /nfs/dbraw/zinc/55/68/03/1056556803.db2.gz ZEJNTAFUKQVPMT-GOSISDBHSA-N 0 3 317.864 4.381 20 0 DIADHN C[C@H]1C[C@H](c2cccc(F)c2)N(CCCOC(F)(F)F)C1 ZINC001615665713 1056558087 /nfs/dbraw/zinc/55/80/87/1056558087.db2.gz UUPQFFYJLMXQRI-SMDDNHRTSA-N 0 3 305.315 4.135 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCO[C@@H]2CCCCO2)o1 ZINC001615668845 1056559103 /nfs/dbraw/zinc/55/91/03/1056559103.db2.gz NIHXMYARLIHGFZ-VDZJLULYSA-N 0 3 321.461 4.158 20 0 DIADHN CCC[C@@]1(C)CCCN([C@H]2CCN(c3ccc(C)cc3)C2=O)C1 ZINC001615668818 1056559191 /nfs/dbraw/zinc/55/91/91/1056559191.db2.gz MQNMEUAIQPMPOI-ICSRJNTNSA-N 0 3 314.473 4.003 20 0 DIADHN C[C@H](NCCSC(C)(C)C)c1cccc(Br)n1 ZINC000925348882 1056560330 /nfs/dbraw/zinc/56/03/30/1056560330.db2.gz SEAYWAWSOSOABG-JTQLQIEISA-N 0 3 317.296 4.026 20 0 DIADHN CC[C@@H](OCCN1CCC(C(F)(F)F)CC1)c1ccccc1 ZINC001615679205 1056561983 /nfs/dbraw/zinc/56/19/83/1056561983.db2.gz SAWZWZHKKHXMNJ-MRXNPFEDSA-N 0 3 315.379 4.429 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(Cc2ccc3c[nH]nc3c2)C1 ZINC001615713896 1056567153 /nfs/dbraw/zinc/56/71/53/1056567153.db2.gz QICDWBXPSUJVLH-DJJJIMSYSA-N 0 3 309.388 4.285 20 0 DIADHN Cc1ccc(CCN(Cc2ccco2)C[C@H]2CC[C@@H](C)O2)cc1 ZINC001615718768 1056568397 /nfs/dbraw/zinc/56/83/97/1056568397.db2.gz BPPXOADBQQBKCW-YLJYHZDGSA-N 0 3 313.441 4.200 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@@H](CC(C)C)C1 ZINC001615728224 1056570613 /nfs/dbraw/zinc/57/06/13/1056570613.db2.gz MOFGUUAXIJSFDY-CABCVRRESA-N 0 3 322.880 4.343 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1CCOc1ccc(F)c(Cl)c1 ZINC001615725999 1056571049 /nfs/dbraw/zinc/57/10/49/1056571049.db2.gz OOBJDSOQAXOVDR-CYBMUJFWSA-N 0 3 319.807 4.305 20 0 DIADHN CCCC1CCN(Cc2ccc(-c3ncco3)cc2F)CC1 ZINC001615739974 1056572610 /nfs/dbraw/zinc/57/26/10/1056572610.db2.gz LGDFFPBBZMDYME-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN Fc1cc(-c2ccc3c[nH]nc3c2)ccc1CN1CCCCC1 ZINC001240558539 1056575000 /nfs/dbraw/zinc/57/50/00/1056575000.db2.gz FXUVIHGBYDGRIE-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN C[C@H](NC(=O)CCCCC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC001585552090 1056578710 /nfs/dbraw/zinc/57/87/10/1056578710.db2.gz IASCCOOWTURQAP-QFBILLFUSA-N 0 3 318.505 4.401 20 0 DIADHN Fc1cc(-c2cccc(-c3cc[nH]n3)c2)ccc1CN1CCCC1 ZINC001240564713 1056580621 /nfs/dbraw/zinc/58/06/21/1056580621.db2.gz MQUUMHQHWUQMSQ-UHFFFAOYSA-N 0 3 321.399 4.479 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@H](O)c1ccccc1C ZINC000765949786 1056580637 /nfs/dbraw/zinc/58/06/37/1056580637.db2.gz CVUBWNHPJOXMLW-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccccc1C)c1cc(F)ccc1F ZINC000765951353 1056584092 /nfs/dbraw/zinc/58/40/92/1056584092.db2.gz HLCJEXUPDKCYHQ-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CCC[C@H](C2CCCCC2)C1 ZINC001585616379 1056593273 /nfs/dbraw/zinc/59/32/73/1056593273.db2.gz IGKVTBFNVRRTPT-OALUTQOASA-N 0 3 320.521 4.070 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CCC[C@@H](C2CCCCC2)C1 ZINC001585616381 1056593755 /nfs/dbraw/zinc/59/37/55/1056593755.db2.gz IGKVTBFNVRRTPT-RTBURBONSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](c1ccccc1)C(C)(C)C ZINC001615906596 1056596389 /nfs/dbraw/zinc/59/63/89/1056596389.db2.gz ABRZOKMJTNZVMX-APWZRJJASA-N 0 3 318.505 4.256 20 0 DIADHN CCCN(C(=O)CN(C)C(C)C)[C@H](C)c1cc2ccccc2o1 ZINC000063582906 1056597993 /nfs/dbraw/zinc/59/79/93/1056597993.db2.gz RQPAAJNOEQIDLK-OAHLLOKOSA-N 0 3 316.445 4.073 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N(C)Cc1ccc(C(=O)OC)cc1 ZINC001615939518 1056599850 /nfs/dbraw/zinc/59/98/50/1056599850.db2.gz QSEGVLPXAZVYNA-GOSISDBHSA-N 0 3 315.388 4.195 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnn(C)c1C1CC1)C(C)(C)C ZINC001620829465 1056600125 /nfs/dbraw/zinc/60/01/25/1056600125.db2.gz GFIFEBYBBRADIC-IBGZPJMESA-N 0 3 311.473 4.483 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000063618582 1056600222 /nfs/dbraw/zinc/60/02/22/1056600222.db2.gz QCNHIMZKEKMDQP-CQSZACIVSA-N 0 3 305.809 4.010 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1cccc(C)c1C ZINC001615988507 1056604021 /nfs/dbraw/zinc/60/40/21/1056604021.db2.gz HMJBHFPLWRXTEB-MAUKXSAKSA-N 0 3 302.462 4.143 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1ccc(CC)cc1 ZINC001615988317 1056604242 /nfs/dbraw/zinc/60/42/42/1056604242.db2.gz BMKCTHPUUUZGBW-QAPCUYQASA-N 0 3 302.462 4.088 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(Cl)cn2)C1(CC)CC ZINC001615998835 1056605016 /nfs/dbraw/zinc/60/50/16/1056605016.db2.gz JUYAJROUUTZMFF-HOTGVXAUSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2ccccc2)C2CCCC2)c1 ZINC001616019226 1056607812 /nfs/dbraw/zinc/60/78/12/1056607812.db2.gz CWLCLJKANWQQLO-NRFANRHFSA-N 0 3 322.452 4.455 20 0 DIADHN CCC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001616159689 1056616818 /nfs/dbraw/zinc/61/68/18/1056616818.db2.gz RRVUAJKWVRHNDZ-KGLIPLIRSA-N 0 3 308.853 4.179 20 0 DIADHN COc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ccc1O ZINC001240617612 1056617395 /nfs/dbraw/zinc/61/73/95/1056617395.db2.gz IQHQSIJTVNPOKU-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCOc1cncc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000621326979 1056620497 /nfs/dbraw/zinc/62/04/97/1056620497.db2.gz ZYRLOTRNNXXXAN-SFHVURJKSA-N 0 3 316.832 4.375 20 0 DIADHN CCCCn1cc(CN[C@H]2c3ccccc3CC[C@@H]2C)c(C)n1 ZINC000678774539 1056621674 /nfs/dbraw/zinc/62/16/74/1056621674.db2.gz LDONTJWGXRFIAD-MGPUTAFESA-N 0 3 311.473 4.405 20 0 DIADHN CCCCn1cc(CN[C@@H]2c3ccccc3CC[C@@H]2C)c(C)n1 ZINC000678774541 1056623163 /nfs/dbraw/zinc/62/31/63/1056623163.db2.gz LDONTJWGXRFIAD-YWZLYKJASA-N 0 3 311.473 4.405 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000765954893 1056624225 /nfs/dbraw/zinc/62/42/25/1056624225.db2.gz REEJPKCKNSWMFR-SJCJKPOMSA-N 0 3 307.796 4.172 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC1CCC2(CCCCC2)CC1 ZINC001616339701 1056637025 /nfs/dbraw/zinc/63/70/25/1056637025.db2.gz CQYIPYZMRILUEC-GOSISDBHSA-N 0 3 320.521 4.260 20 0 DIADHN CC[C@H](NC(=O)CN1CC[C@](C)(CC)C1)c1ccc(Cl)cc1 ZINC001616338778 1056637835 /nfs/dbraw/zinc/63/78/35/1056637835.db2.gz PPSCIZYREMWVGJ-WMZOPIPTSA-N 0 3 322.880 4.029 20 0 DIADHN Fc1cc(-c2cnc(C3CC3)nc2)ccc1CN1CCCCC1 ZINC001240686747 1056640332 /nfs/dbraw/zinc/64/03/32/1056640332.db2.gz SLXJABHAVSEAHM-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Cc1cc([C@@H](NCc2cnn(C3CCC3)c2)C2CC2)ccc1F ZINC000921936970 1056646500 /nfs/dbraw/zinc/64/65/00/1056646500.db2.gz IPONIXZELYYKPQ-IBGZPJMESA-N 0 3 313.420 4.297 20 0 DIADHN CCCC[C@H](CC)CC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001128188569 1056649464 /nfs/dbraw/zinc/64/94/64/1056649464.db2.gz FXRPVAUGGYXVKI-UWVAXJGDSA-N 0 3 318.505 4.401 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@H]2C[C@H]2c2ccccc2)cs1 ZINC000338773850 1056650485 /nfs/dbraw/zinc/65/04/85/1056650485.db2.gz GJJXAXBAAFENEO-KFZJALRRSA-N 0 3 316.470 4.132 20 0 DIADHN Brc1cnccc1CN1CCCC12CCCCC2 ZINC001616402193 1056650627 /nfs/dbraw/zinc/65/06/27/1056650627.db2.gz JCEFCLMQRPAVRP-UHFFFAOYSA-N 0 3 309.251 4.143 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@@H]1CCC2(CCC2)O1 ZINC001616401674 1056650685 /nfs/dbraw/zinc/65/06/85/1056650685.db2.gz DONCCOVFOPEIHP-CPUCHLNUSA-N 0 3 303.421 4.235 20 0 DIADHN C[C@H](NC(=O)C1CCCCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001128190515 1056651736 /nfs/dbraw/zinc/65/17/36/1056651736.db2.gz VCTHQKWVYJAJNG-LPHOPBHVSA-N 0 3 316.489 4.155 20 0 DIADHN COc1nc(CN(Cc2ccc(C)s2)C(C)C)ccc1C ZINC001621190307 1056653853 /nfs/dbraw/zinc/65/38/53/1056653853.db2.gz STZUPCGQHQFRIA-UHFFFAOYSA-N 0 3 304.459 4.179 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001616434385 1056655302 /nfs/dbraw/zinc/65/53/02/1056655302.db2.gz RHVREVNDWMXHAG-MRXNPFEDSA-N 0 3 321.823 4.252 20 0 DIADHN C[C@@H](c1ccccc1)N(CCO)Cc1ccc(Cl)c(F)c1 ZINC001616466098 1056661401 /nfs/dbraw/zinc/66/14/01/1056661401.db2.gz JYJAIDNYIAPWDD-ZDUSSCGKSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1nc(C)c(CNC2(c3ccc(F)cc3)CCCC2)s1 ZINC000076868375 1056662149 /nfs/dbraw/zinc/66/21/49/1056662149.db2.gz MHOHMYBYFSQAHL-UHFFFAOYSA-N 0 3 304.434 4.458 20 0 DIADHN COc1c(F)ccc(F)c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001240725922 1056662496 /nfs/dbraw/zinc/66/24/96/1056662496.db2.gz GZUBYUGIWFIQAY-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Fc1cc(F)c2c(c1)CN(CC[C@@H]1CCc3ccccc31)CC2 ZINC001616489443 1056665299 /nfs/dbraw/zinc/66/52/99/1056665299.db2.gz MRTAGEUKNDPMIE-HNNXBMFYSA-N 0 3 313.391 4.443 20 0 DIADHN CN(Cc1ccc(-c2ncco2)cc1F)C[C@H]1CC=CCC1 ZINC001616548241 1056675654 /nfs/dbraw/zinc/67/56/54/1056675654.db2.gz FMMCKZBLNGEPFY-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN Nc1nc2cc(-c3ccc(OCc4ccccc4)cc3)ccc2[nH]1 ZINC001240748465 1056678687 /nfs/dbraw/zinc/67/86/87/1056678687.db2.gz NKBPGVPDRAYAET-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN Nc1nc2ccc(-c3ccc(OCc4ccccc4)cc3)cc2[nH]1 ZINC001240748465 1056678694 /nfs/dbraw/zinc/67/86/94/1056678694.db2.gz NKBPGVPDRAYAET-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001586154255 1056683381 /nfs/dbraw/zinc/68/33/81/1056683381.db2.gz SGDWBBQTXWHAQG-GOSISDBHSA-N 0 3 316.489 4.034 20 0 DIADHN CCO[C@@H](C)n1cc(-c2ccc(CN(CC)CC)c(F)c2)cn1 ZINC001240758272 1056684306 /nfs/dbraw/zinc/68/43/06/1056684306.db2.gz GXTNQSYZLOAWKG-AWEZNQCLSA-N 0 3 319.424 4.086 20 0 DIADHN CN1CCC(Oc2ccnc(-c3ccc(C(F)F)cc3)c2)CC1 ZINC001240768212 1056690031 /nfs/dbraw/zinc/69/00/31/1056690031.db2.gz NCTVJEDUYFUTNX-UHFFFAOYSA-N 0 3 318.367 4.159 20 0 DIADHN Cc1nc(CN[C@@H](C)[C@H](C)c2ccccc2)nc2ccccc12 ZINC001616644545 1056693484 /nfs/dbraw/zinc/69/34/84/1056693484.db2.gz HIZDFKXUSSCUNE-GJZGRUSLSA-N 0 3 305.425 4.220 20 0 DIADHN C[C@H](NCc1csc(-c2ccccn2)n1)[C@@H](C)c1ccccc1 ZINC001616646270 1056693839 /nfs/dbraw/zinc/69/38/39/1056693839.db2.gz PVJOFFLCLLMYLB-CABCVRRESA-N 0 3 323.465 4.487 20 0 DIADHN CO[C@@H](CN[C@H](c1ccc(F)cc1F)C1CC1)c1ccccc1 ZINC001616653342 1056695246 /nfs/dbraw/zinc/69/52/46/1056695246.db2.gz GZOZOPKFBAEVKD-OALUTQOASA-N 0 3 317.379 4.393 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001616653432 1056696046 /nfs/dbraw/zinc/69/60/46/1056696046.db2.gz HJFAPNOYSBMMKB-WMLDXEAASA-N 0 3 307.384 4.107 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2cc(C)cnc2Cl)CCO1 ZINC000921974975 1056698436 /nfs/dbraw/zinc/69/84/36/1056698436.db2.gz WETATOLEFPCADC-CVEARBPZSA-N 0 3 310.869 4.117 20 0 DIADHN CC(C)Cc1ccccc1CNC1(c2ccc3c(c2)OCO3)CC1 ZINC001616669616 1056698532 /nfs/dbraw/zinc/69/85/32/1056698532.db2.gz IDOVKYRCYOSKAJ-UHFFFAOYSA-N 0 3 323.436 4.393 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2cc(C)cnc2Cl)CCO1 ZINC000921974978 1056698767 /nfs/dbraw/zinc/69/87/67/1056698767.db2.gz WETATOLEFPCADC-JKSUJKDBSA-N 0 3 310.869 4.117 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2ccc([O-])c(F)c2)ccc1OC ZINC001240789745 1056699903 /nfs/dbraw/zinc/69/99/03/1056699903.db2.gz CBXAWKWLPCQGLP-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CC(C)CC[C@@H](NC[C@@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616690212 1056701766 /nfs/dbraw/zinc/70/17/66/1056701766.db2.gz CRIFGBNCBYOCGT-ZIAGYGMSSA-N 0 3 323.786 4.330 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@@H]1CCCCC1(F)F ZINC001616690785 1056701935 /nfs/dbraw/zinc/70/19/35/1056701935.db2.gz GSZGIXWYISNFLK-NEPJUHHUSA-N 0 3 301.352 4.310 20 0 DIADHN Clc1cc(CNCCc2ccc(Cl)nc2)c(Cl)s1 ZINC001620832585 1056705206 /nfs/dbraw/zinc/70/52/06/1056705206.db2.gz JLULWPZKILEPSU-UHFFFAOYSA-N 0 3 321.660 4.436 20 0 DIADHN CC[C@H](NC[C@H](O)[C@@H](C)c1ccccc1)c1cc(F)ccc1F ZINC001616720796 1056706196 /nfs/dbraw/zinc/70/61/96/1056706196.db2.gz OAIYKQWXXWWFKP-AGRHKRQWSA-N 0 3 319.395 4.170 20 0 DIADHN CC[C@@H](NC[C@@H]1CCC2(CCC2)CO1)c1cc(F)ccc1F ZINC001616720403 1056706309 /nfs/dbraw/zinc/70/63/09/1056706309.db2.gz DCYNSUGAJKCCBA-WMLDXEAASA-N 0 3 309.400 4.355 20 0 DIADHN CC[C@H](NC[C@H](O)[C@H](C)c1ccccc1)c1cc(F)ccc1F ZINC001616720799 1056706721 /nfs/dbraw/zinc/70/67/21/1056706721.db2.gz OAIYKQWXXWWFKP-VMDGZTHMSA-N 0 3 319.395 4.170 20 0 DIADHN C[C@@H](CCCC(C)(C)O)Nc1cccc(N2CCCC[C@@H]2C)n1 ZINC001168698602 1056707961 /nfs/dbraw/zinc/70/79/61/1056707961.db2.gz LHABNIJPIGFMDZ-HOTGVXAUSA-N 0 3 319.493 4.202 20 0 DIADHN CCCNC(=O)CN[C@@H](c1cccc(Cl)c1)C1CCCCC1 ZINC001616746361 1056709291 /nfs/dbraw/zinc/70/92/91/1056709291.db2.gz UMLMRBKFRPZTSJ-GOSISDBHSA-N 0 3 322.880 4.077 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2cc(C)sc2C)ccc1C ZINC001616764204 1056714181 /nfs/dbraw/zinc/71/41/81/1056714181.db2.gz RCBHTDGSOJTRIM-ZDUSSCGKSA-N 0 3 317.454 4.311 20 0 DIADHN Cc1ccccc1[C@H](NCC1=NOCC1)[C@H]1CCC[C@@H](C)C1 ZINC001616786456 1056716623 /nfs/dbraw/zinc/71/66/23/1056716623.db2.gz IIGPKKBVWFIXEX-SIXWZSSISA-N 0 3 300.446 4.228 20 0 DIADHN Cc1ncccc1CN1CC(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000656656084 1056719022 /nfs/dbraw/zinc/71/90/22/1056719022.db2.gz MOTHAUSBTXWKSE-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616816373 1056722013 /nfs/dbraw/zinc/72/20/13/1056722013.db2.gz JKVLVXDGNAHJKG-DRCTWCGVSA-N 0 3 323.305 4.115 20 0 DIADHN c1ccc([C@H](CC2CCC2)NCc2cccc3c2OCCO3)cc1 ZINC001616807565 1056722111 /nfs/dbraw/zinc/72/21/11/1056722111.db2.gz KVUBQWJRGQGFPK-IBGZPJMESA-N 0 3 323.436 4.479 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616816377 1056722844 /nfs/dbraw/zinc/72/28/44/1056722844.db2.gz JKVLVXDGNAHJKG-PXWWUCIGSA-N 0 3 323.305 4.115 20 0 DIADHN Cc1cnc(Cl)c(CN[C@H]2CCO[C@H](c3ccccc3)C2)c1 ZINC000922002480 1056723076 /nfs/dbraw/zinc/72/30/76/1056723076.db2.gz YZSSSBGNNUINED-IRXDYDNUSA-N 0 3 316.832 4.053 20 0 DIADHN CCCCC[C@H](N[C@@H]1CCCN(C(C)C)C1=O)c1ccccc1 ZINC001616864450 1056729189 /nfs/dbraw/zinc/72/91/89/1056729189.db2.gz BBRYBNWOXBQGPL-RBUKOAKNSA-N 0 3 316.489 4.297 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1ccc(C(C)C)nc1C)CC2 ZINC001616904719 1056736390 /nfs/dbraw/zinc/73/63/90/1056736390.db2.gz DDHNRZXLMNMVDV-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN CC(C)Oc1cccc(CN(C)C[C@H]2CCC3(CCC3)O2)c1 ZINC001616906644 1056737669 /nfs/dbraw/zinc/73/76/69/1056737669.db2.gz ZAOQSPBFNXYQEB-GOSISDBHSA-N 0 3 303.446 4.007 20 0 DIADHN CC[C@H](C)[C@H](C)N1CCO[C@@H](c2ccc(OC(F)F)cc2)C1 ZINC001616921659 1056739961 /nfs/dbraw/zinc/73/99/61/1056739961.db2.gz RKSQVIYFIDCIQE-HEHGZKQESA-N 0 3 313.388 4.096 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2cc(C)ccc2C)ccc1C ZINC001616942066 1056743875 /nfs/dbraw/zinc/74/38/75/1056743875.db2.gz CJPJNVOTNMIHSB-MRXNPFEDSA-N 0 3 311.425 4.249 20 0 DIADHN CCCCCN(Cc1ccc(Cl)cc1)C(=O)[C@H](CC)N(C)C ZINC001586491475 1056747645 /nfs/dbraw/zinc/74/76/45/1056747645.db2.gz UGGUOKRIPKYESK-KRWDZBQOSA-N 0 3 324.896 4.199 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCc2cscn2)cc1 ZINC001616981208 1056752589 /nfs/dbraw/zinc/75/25/89/1056752589.db2.gz KCQDDTWNKALUBZ-CQSZACIVSA-N 0 3 314.376 4.277 20 0 DIADHN CC[C@@H](N[C@@H]1CSC1(C)C)c1ccccc1OC(F)F ZINC000338790751 1056756646 /nfs/dbraw/zinc/75/66/46/1056756646.db2.gz OUARKTCIXNGMDD-DGCLKSJQSA-N 0 3 301.402 4.223 20 0 DIADHN CC[C@H](N[C@@H]1CSC1(C)C)c1ccccc1OC(F)F ZINC000338790752 1056756726 /nfs/dbraw/zinc/75/67/26/1056756726.db2.gz OUARKTCIXNGMDD-WCQYABFASA-N 0 3 301.402 4.223 20 0 DIADHN CCN(CCC1CC1)CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001617013512 1056758992 /nfs/dbraw/zinc/75/89/92/1056758992.db2.gz DQXKBNJMKNSHRG-UHFFFAOYSA-N 0 3 322.452 4.414 20 0 DIADHN c1ccc(CC[C@H]2CN([C@@H]3CCCc4ccccc43)CCO2)cc1 ZINC001617073456 1056771811 /nfs/dbraw/zinc/77/18/11/1056771811.db2.gz TWZMAMVUFYDYPI-RBBKRZOGSA-N 0 3 321.464 4.398 20 0 DIADHN Cc1ccc(CCN[C@@H](COCC(C)C)c2ccco2)cc1 ZINC001617087976 1056773066 /nfs/dbraw/zinc/77/30/66/1056773066.db2.gz BZYQIRAYMFUQMK-SFHVURJKSA-N 0 3 301.430 4.134 20 0 DIADHN Cc1ccc(CCN[C@H](COCC(C)C)c2ccco2)cc1 ZINC001617087975 1056773978 /nfs/dbraw/zinc/77/39/78/1056773978.db2.gz BZYQIRAYMFUQMK-GOSISDBHSA-N 0 3 301.430 4.134 20 0 DIADHN CCc1ccc([C@H](COC)NCCCc2cccc(C)c2)o1 ZINC001617096135 1056775829 /nfs/dbraw/zinc/77/58/29/1056775829.db2.gz NDGNCYCMNOBVSK-SFHVURJKSA-N 0 3 301.430 4.060 20 0 DIADHN CN(C)C[C@H](N[C@@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000338794304 1056776017 /nfs/dbraw/zinc/77/60/17/1056776017.db2.gz WSZOOOCXKQVSOT-SJORKVTESA-N 0 3 318.848 4.203 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCCCC1)c1nnnn1C1CCCCC1 ZINC001617108828 1056776624 /nfs/dbraw/zinc/77/66/24/1056776624.db2.gz DMAOPFMBNZVQSG-LSDHHAIUSA-N 0 3 319.497 4.188 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCCCC1)c1nnnn1C1CCCCC1 ZINC001617108819 1056776697 /nfs/dbraw/zinc/77/66/97/1056776697.db2.gz DMAOPFMBNZVQSG-GJZGRUSLSA-N 0 3 319.497 4.188 20 0 DIADHN Clc1ccc(CN2CCOCC3(CCC3)C2)c2ccccc12 ZINC001617116051 1056778091 /nfs/dbraw/zinc/77/80/91/1056778091.db2.gz SZTYWLVJXFHENK-UHFFFAOYSA-N 0 3 315.844 4.496 20 0 DIADHN COc1cc(F)c(-c2ccc(F)c(CN3CCCC3)c2)cc1F ZINC001240959468 1056785610 /nfs/dbraw/zinc/78/56/10/1056785610.db2.gz GCAFLEXGCPSRNQ-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCc1nc2cc(CN[C@@H](C)c3cccnc3)ccc2n1C1CC1 ZINC000338795760 1056793329 /nfs/dbraw/zinc/79/33/29/1056793329.db2.gz TYRDGESGFUZQTL-AWEZNQCLSA-N 0 3 320.440 4.179 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@H]3CCC[C@H](C)C3)CCO2)cc1 ZINC001617218488 1056797163 /nfs/dbraw/zinc/79/71/63/1056797163.db2.gz YXJPKNKDLXUTKP-QRFRQXIXSA-N 0 3 301.474 4.449 20 0 DIADHN Fc1cc(-c2cncc(CN3CCCCC3)c2)cc(F)c1F ZINC001240982935 1056799025 /nfs/dbraw/zinc/79/90/25/1056799025.db2.gz LZNOCFWSBUUIMS-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC[C@]1(C)CN(C[C@@H](C)CSc2ccccc2C)CCO1 ZINC001617258503 1056803814 /nfs/dbraw/zinc/80/38/14/1056803814.db2.gz BOAQOZNKEJOIHM-CRAIPNDOSA-N 0 3 307.503 4.224 20 0 DIADHN CC[C@@H](NC(=O)CN1CCCC[C@H](C)C1)c1ccc(Cl)cc1 ZINC001617291437 1056807736 /nfs/dbraw/zinc/80/77/36/1056807736.db2.gz KXCXGMSSXUQVRF-WMLDXEAASA-N 0 3 322.880 4.029 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2C)[C@@H]1C ZINC001617359384 1056820092 /nfs/dbraw/zinc/82/00/92/1056820092.db2.gz GQLMFXVBVFFPDE-MGPQQGTHSA-N 0 3 308.853 4.096 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@H](CC)N(CC)CC)cc1 ZINC001586909218 1056829423 /nfs/dbraw/zinc/82/94/23/1056829423.db2.gz NPTFQMJEYGKBGE-SFHVURJKSA-N 0 3 304.478 4.478 20 0 DIADHN CC(C)(NCCSc1ccncc1)c1cccc(Cl)c1F ZINC001617436432 1056832779 /nfs/dbraw/zinc/83/27/79/1056832779.db2.gz AKVQTTBQIFZQIS-UHFFFAOYSA-N 0 3 324.852 4.491 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc3[nH]c(=O)oc31)CCC2 ZINC000922132584 1056835020 /nfs/dbraw/zinc/83/50/20/1056835020.db2.gz XJNSGXUVIFPCFP-INIZCTEOSA-N 0 3 308.381 4.009 20 0 DIADHN CC(C)=CCN(CCOCC(F)F)Cc1ccc(Cl)cc1 ZINC001617482803 1056840879 /nfs/dbraw/zinc/84/08/79/1056840879.db2.gz FJQJCSSNRYYBCM-UHFFFAOYSA-N 0 3 317.807 4.390 20 0 DIADHN CCOc1ccc(-c2ccc3[nH]c(N)nc3c2)cc1C(F)(F)F ZINC001241054575 1056851581 /nfs/dbraw/zinc/85/15/81/1056851581.db2.gz GRLMPUJRCOFEFU-UHFFFAOYSA-N 0 3 321.302 4.230 20 0 DIADHN CCOc1ccc(-c2ccc3nc(N)[nH]c3c2)cc1C(F)(F)F ZINC001241054575 1056851592 /nfs/dbraw/zinc/85/15/92/1056851592.db2.gz GRLMPUJRCOFEFU-UHFFFAOYSA-N 0 3 321.302 4.230 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC001587056328 1056854582 /nfs/dbraw/zinc/85/45/82/1056854582.db2.gz LJWWTORTSRKCEG-HKUYNNGSSA-N 0 3 316.489 4.156 20 0 DIADHN CCOc1ccc(F)c(-c2ccc3c(c2)CN(C)CC3)c1F ZINC001241058933 1056855995 /nfs/dbraw/zinc/85/59/95/1056855995.db2.gz AUURDGURJBUCNR-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN CC(C)CCC[C@H](C)N(CCC(C)C)C(=O)[C@H]1CCCN1C ZINC001587107644 1056859424 /nfs/dbraw/zinc/85/94/24/1056859424.db2.gz AEGUMZWDIGPCRU-ZWKOTPCHSA-N 0 3 310.526 4.170 20 0 DIADHN CCOc1cc(-c2cc(N3CCCCC3)ccn2)ccc1F ZINC001241068017 1056860152 /nfs/dbraw/zinc/86/01/52/1056860152.db2.gz NCXIYCLILINOHV-UHFFFAOYSA-N 0 3 300.377 4.277 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](CO)N[C@@H](C)c1cccc(Cl)c1F ZINC000681412498 1056871269 /nfs/dbraw/zinc/87/12/69/1056871269.db2.gz OOLRXVZPTDMPCZ-IIYDPXPESA-N 0 3 321.823 4.294 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2nnc(-c3ccccc3)o2)CC1 ZINC000078759344 1056874255 /nfs/dbraw/zinc/87/42/55/1056874255.db2.gz VZUWYFLDPMNDSO-INIZCTEOSA-N 0 3 313.445 4.385 20 0 DIADHN CCc1ccc([C@@H]2CCCN(Cc3nc(C)cs3)C2)cc1 ZINC001617748929 1056877113 /nfs/dbraw/zinc/87/71/13/1056877113.db2.gz KMNPMROHSGXLIQ-QGZVFWFLSA-N 0 3 300.471 4.394 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCc3n[nH]cc32)C2CC2)cc1Cl ZINC000453309399 1056879926 /nfs/dbraw/zinc/87/99/26/1056879926.db2.gz DURHFSFYGPPSIF-WBVHZDCISA-N 0 3 319.811 4.321 20 0 DIADHN CCS[C@H]1CCC[C@H]1NCc1cc(-c2ccccc2)no1 ZINC001617806608 1056886064 /nfs/dbraw/zinc/88/60/64/1056886064.db2.gz KJKDEUUQAKDEGZ-WBVHZDCISA-N 0 3 302.443 4.105 20 0 DIADHN C[C@H]1CC[C@@H](c2ccccc2)N(CCn2cc(Cl)cn2)C1 ZINC001617836622 1056891212 /nfs/dbraw/zinc/89/12/12/1056891212.db2.gz JIDFVMMEAYVLQD-YOEHRIQHSA-N 0 3 303.837 4.010 20 0 DIADHN Brc1ccc2c(c1)CN(C[C@@H]1CC[C@H]3C[C@H]3C1)CC2 ZINC001617903546 1056898906 /nfs/dbraw/zinc/89/89/06/1056898906.db2.gz WDCLLBFLZLXCDD-VHDGCEQUSA-N 0 3 320.274 4.243 20 0 DIADHN CC(C)(C)C1CCC(CN2CC[S@](=O)C(C)(C)CC2)CC1 ZINC001617939728 1056904545 /nfs/dbraw/zinc/90/45/45/1056904545.db2.gz HLGJRYKDGFJRQJ-BUNACBEFSA-N 0 3 313.551 4.072 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cccc(Cl)c2F)C12CCC2 ZINC001618000065 1056912767 /nfs/dbraw/zinc/91/27/67/1056912767.db2.gz DELWRSSQXRLTTQ-NILFDRSVSA-N 0 3 311.828 4.477 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CN[C@H]1CCC1(C)C ZINC001618012539 1056914380 /nfs/dbraw/zinc/91/43/80/1056914380.db2.gz RYGYBBVICJKYAJ-INIZCTEOSA-N 0 3 317.864 4.171 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N[C@H](C)c2ccccc2C)cc1 ZINC000255957666 1056914922 /nfs/dbraw/zinc/91/49/22/1056914922.db2.gz NKIMVIQMDUTZQM-KSZLIROESA-N 0 3 324.468 4.220 20 0 DIADHN CN(CCCOc1cccc(C(F)(F)F)c1)Cc1ccccn1 ZINC001618166617 1056927870 /nfs/dbraw/zinc/92/78/70/1056927870.db2.gz ZGPOYFVCJSTJHS-UHFFFAOYSA-N 0 3 324.346 4.001 20 0 DIADHN C[C@@H]1CCC[C@@H](CN2CC(C)(C)O[C@@](C)(C(F)(F)F)C2)C1 ZINC001618171401 1056928442 /nfs/dbraw/zinc/92/84/42/1056928442.db2.gz WLIDKLRVTSISJL-UMVBOHGHSA-N 0 3 307.400 4.245 20 0 DIADHN OCc1ccc(F)c(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001241154997 1056930722 /nfs/dbraw/zinc/93/07/22/1056930722.db2.gz SDCLTWBZZNCCDY-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN CCCCN(Cc1nc(COC(C)C)no1)[C@H]1CCC[C@H](C)C1 ZINC001618213612 1056933831 /nfs/dbraw/zinc/93/38/31/1056933831.db2.gz CBCCIKPXGSXYGN-HOTGVXAUSA-N 0 3 323.481 4.175 20 0 DIADHN Cc1cnc(-c2cc(F)cc(OCc3ccccc3)c2)cc1N ZINC001241159677 1056934280 /nfs/dbraw/zinc/93/42/80/1056934280.db2.gz ILHNONMSKIHNAW-UHFFFAOYSA-N 0 3 308.356 4.357 20 0 DIADHN CCN(CC)Cc1cccc(N[C@@H](C)CCCC(C)(C)O)c1F ZINC001168708243 1056941827 /nfs/dbraw/zinc/94/18/27/1056941827.db2.gz NGDNTMOMWCCZLS-HNNXBMFYSA-N 0 3 324.484 4.409 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccccc3C(C)=O)c(C)c2)CC1 ZINC001241204068 1056957462 /nfs/dbraw/zinc/95/74/62/1056957462.db2.gz KXRKFZHMAFTHPH-UHFFFAOYSA-N 0 3 320.436 4.369 20 0 DIADHN CC(=O)c1ccccc1-c1ccc(CN2CCCC[C@H]2C)cn1 ZINC001241206070 1056957891 /nfs/dbraw/zinc/95/78/91/1056957891.db2.gz ZJICVBVBDBSFAU-OAHLLOKOSA-N 0 3 308.425 4.326 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000657429064 1056960162 /nfs/dbraw/zinc/96/01/62/1056960162.db2.gz OIJUFJYIXZYMLL-GOSISDBHSA-N 0 3 313.420 4.329 20 0 DIADHN Cc1ccc2cc(CNCc3cnccc3C(C)(C)C)[nH]c2c1 ZINC000657490883 1056976493 /nfs/dbraw/zinc/97/64/93/1056976493.db2.gz OXJMPPMGDHZZPT-UHFFFAOYSA-N 0 3 307.441 4.459 20 0 DIADHN Fc1cccnc1[C@@H](N[C@@H]1Cc2cccc(Cl)c2C1)C1CC1 ZINC001168715997 1056985303 /nfs/dbraw/zinc/98/53/03/1056985303.db2.gz SREPSXIYJFZOHO-DYVFJYSZSA-N 0 3 316.807 4.082 20 0 DIADHN COc1cc(CNC2(c3ccccc3)CC2)ccc1OC(C)C ZINC000068980263 1056990791 /nfs/dbraw/zinc/99/07/91/1056990791.db2.gz BIRSZYJQNORROL-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000358738788 1056991354 /nfs/dbraw/zinc/99/13/54/1056991354.db2.gz BKWYZACSIVEFBD-FUHWJXTLSA-N 0 3 315.436 4.314 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCC3(CCCCC3)CC2)o1 ZINC000316847308 1056991542 /nfs/dbraw/zinc/99/15/42/1056991542.db2.gz RNZHJSHFWYLZEU-CQSZACIVSA-N 0 3 305.418 4.174 20 0 DIADHN CC(C)OC(=O)c1ccc(-c2ccc3c(N)ccnc3c2)cc1 ZINC001241285120 1056996339 /nfs/dbraw/zinc/99/63/39/1056996339.db2.gz DFHQJDOAYKZENX-UHFFFAOYSA-N 0 3 306.365 4.049 20 0 DIADHN Cc1cccc([C@H](NCc2cccc3[nH]c(=O)oc32)C(C)C)c1 ZINC000922294455 1057001488 /nfs/dbraw/zinc/00/14/88/1057001488.db2.gz XFYVYQVPHWTKKN-QGZVFWFLSA-N 0 3 310.397 4.329 20 0 DIADHN CC[NH+](CC)Cc1cc(C)ccc1-c1c(F)ccc([O-])c1F ZINC001241295323 1057002076 /nfs/dbraw/zinc/00/20/76/1057002076.db2.gz OLVTZUSUHHOLDM-UHFFFAOYSA-N 0 3 305.368 4.488 20 0 DIADHN CC(C)COc1ccc(CN[C@@H]2CCc3ccc(O)cc32)cc1 ZINC000657694566 1057005122 /nfs/dbraw/zinc/00/51/22/1057005122.db2.gz UAAGWKDMAQFLBB-HXUWFJFHSA-N 0 3 311.425 4.204 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H]1CCc2ccc(O)cc21 ZINC000657694654 1057006430 /nfs/dbraw/zinc/00/64/30/1057006430.db2.gz UXRLCEWIFCKSLG-KRWDZBQOSA-N 0 3 321.342 4.497 20 0 DIADHN COc1cc(C)cc(OC)c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001143145293 1057007837 /nfs/dbraw/zinc/00/78/37/1057007837.db2.gz WVERWCSDNAFLCE-OAHLLOKOSA-N 0 3 321.436 4.116 20 0 DIADHN CN1CC=C(c2nc(-c3cccs3)nc3ccccc32)CC1 ZINC001241313355 1057010212 /nfs/dbraw/zinc/01/02/12/1057010212.db2.gz ZQDSVUTVFKFYCN-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN CN1CC=C(c2nc(-c3ccc(F)cc3)nc3ccccc32)CC1 ZINC001241312932 1057010229 /nfs/dbraw/zinc/01/02/29/1057010229.db2.gz PMSOKFVGISQDSG-UHFFFAOYSA-N 0 3 319.383 4.155 20 0 DIADHN CN1CC=C(c2cc(C(F)(F)F)c3c(n2)CCCCC3)CC1 ZINC001241317106 1057011497 /nfs/dbraw/zinc/01/14/97/1057011497.db2.gz JNHVTPLPESHEMJ-UHFFFAOYSA-N 0 3 310.363 4.088 20 0 DIADHN CC[C@@H](NCc1c2c(nn1C)CCC2)c1cccc2ccccc21 ZINC000922342277 1057013434 /nfs/dbraw/zinc/01/34/34/1057013434.db2.gz CBZSLASRRFEMLY-LJQANCHMSA-N 0 3 319.452 4.303 20 0 DIADHN CC(=O)Nc1cccc(CNCc2csc3ccccc23)c1 ZINC000069831415 1057014410 /nfs/dbraw/zinc/01/44/10/1057014410.db2.gz NEBNDVUCMUMAEV-UHFFFAOYSA-N 0 3 310.422 4.150 20 0 DIADHN CN(C)c1ccc2c(c1)Cc1cc(C3=CCN(C)CC3)ccc1-2 ZINC001241327262 1057015021 /nfs/dbraw/zinc/01/50/21/1057015021.db2.gz KCMFJCHGMMFZPE-UHFFFAOYSA-N 0 3 304.437 4.043 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cc3cnccc3o2)cc1 ZINC000657839687 1057024437 /nfs/dbraw/zinc/02/44/37/1057024437.db2.gz DGBUXGVKUXMGKK-CQSZACIVSA-N 0 3 310.397 4.467 20 0 DIADHN CCCc1ccc(CNCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000922496030 1057028602 /nfs/dbraw/zinc/02/86/02/1057028602.db2.gz KZSCWMIMFZYMIP-UHFFFAOYSA-N 0 3 306.409 4.183 20 0 DIADHN CCC(CC)[C@@H](CCNCc1nc2ccc(F)cc2s1)OC ZINC000428874992 1057030282 /nfs/dbraw/zinc/03/02/82/1057030282.db2.gz CGWOMMKTCHPWLB-OAHLLOKOSA-N 0 3 324.465 4.366 20 0 DIADHN CCc1cc(CN[C@@H](C)c2ccc(SC(C)C)cc2)n[nH]1 ZINC000765992322 1057031948 /nfs/dbraw/zinc/03/19/48/1057031948.db2.gz IMDGEXGDOTVSFE-ZDUSSCGKSA-N 0 3 303.475 4.323 20 0 DIADHN Fc1c(CN2CCCC2)cccc1-c1cnc2cccnc2c1 ZINC001241385868 1057033558 /nfs/dbraw/zinc/03/35/58/1057033558.db2.gz LROJVMDAXXFBBU-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN Fc1ccc(-c2cnc3cccnc3c2)cc1CN1CCCC1 ZINC001241385852 1057033625 /nfs/dbraw/zinc/03/36/25/1057033625.db2.gz KUGSZNHMVCZYAB-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](c1ccccn1)C1CC1 ZINC000922585604 1057035787 /nfs/dbraw/zinc/03/57/87/1057035787.db2.gz SNDJHMZREZCGFK-XHVGKJTDSA-N 0 3 322.452 4.372 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](c1ccccn1)C1CC1 ZINC000922585601 1057035981 /nfs/dbraw/zinc/03/59/81/1057035981.db2.gz SNDJHMZREZCGFK-QTIWRUEGSA-N 0 3 322.452 4.372 20 0 DIADHN c1cncc(C2=CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)c1 ZINC000347724149 1057037226 /nfs/dbraw/zinc/03/72/26/1057037226.db2.gz GVYONEDDQSZDRQ-IBGZPJMESA-N 0 3 312.457 4.053 20 0 DIADHN C[C@H](COCC1CCCCC1)NCc1ccc(C(F)F)nc1 ZINC000922602561 1057039304 /nfs/dbraw/zinc/03/93/04/1057039304.db2.gz MEVWZMXVFVHBDH-CYBMUJFWSA-N 0 3 312.404 4.094 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)N[C@H](C)C2CCC(F)(F)CC2)[nH]1 ZINC000355125191 1057042397 /nfs/dbraw/zinc/04/23/97/1057042397.db2.gz PYAQMJHQHQZDRX-QJPTWQEYSA-N 0 3 314.424 4.183 20 0 DIADHN Cn1ncc2cc(-c3ccc(CN4CCCCC4)c(F)c3)ccc21 ZINC001241440354 1057050097 /nfs/dbraw/zinc/05/00/97/1057050097.db2.gz QECUIMZTGKTNSC-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CN(C)C[C@@H](NCc1ccccc1C1CC1)c1ccc(F)cc1 ZINC000673611507 1057050978 /nfs/dbraw/zinc/05/09/78/1057050978.db2.gz JETSQXRUWHCIDQ-HXUWFJFHSA-N 0 3 312.432 4.096 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc3c2cnn3C)ccc1F ZINC001241452507 1057051636 /nfs/dbraw/zinc/05/16/36/1057051636.db2.gz WCLVUHFDXMWLIR-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCOC(=O)/C=C/CN[C@@H](c1oc2ccccc2c1C)C(C)C ZINC001168733837 1057052877 /nfs/dbraw/zinc/05/28/77/1057052877.db2.gz OVFRWYRMIRVQBK-VEGGFIAOSA-N 0 3 315.413 4.147 20 0 DIADHN Cn1ncc2cccc(-c3ccc(CN4CCCCC4)c(F)c3)c21 ZINC001241458035 1057053503 /nfs/dbraw/zinc/05/35/03/1057053503.db2.gz CQGALWNGVRHZEW-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4c(c3)OCCN4)cc21 ZINC001241459807 1057054543 /nfs/dbraw/zinc/05/45/43/1057054543.db2.gz AIQBTAXWUUIWJQ-UHFFFAOYSA-N 0 3 306.409 4.159 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@H]1CCCSC1 ZINC000673616392 1057058422 /nfs/dbraw/zinc/05/84/22/1057058422.db2.gz IOZQIXOEJFEZOC-SNVBAGLBSA-N 0 3 321.820 4.174 20 0 DIADHN C[C@@H](NCCOc1cccnc1)c1cc(Cl)ccc1Cl ZINC000766000520 1057058605 /nfs/dbraw/zinc/05/86/05/1057058605.db2.gz XUOMWLDYNJHXNC-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CCc1cc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)n[nH]1 ZINC000766000287 1057060387 /nfs/dbraw/zinc/06/03/87/1057060387.db2.gz DMTUPTQPOZGGRB-AWEZNQCLSA-N 0 3 310.228 4.056 20 0 DIADHN CCc1cc(CN[C@H](CCc2ccccc2)c2ccccc2)[nH]n1 ZINC000766000069 1057061130 /nfs/dbraw/zinc/06/11/30/1057061130.db2.gz CZWSSBQMXVCRMI-OAQYLSRUSA-N 0 3 319.452 4.436 20 0 DIADHN CCc1cc(CN[C@H](CCc2ccccc2)c2ccccc2)n[nH]1 ZINC000766000069 1057061146 /nfs/dbraw/zinc/06/11/46/1057061146.db2.gz CZWSSBQMXVCRMI-OAQYLSRUSA-N 0 3 319.452 4.436 20 0 DIADHN C[C@@H]1C[C@H](NCc2ncc(-c3ccccc3)s2)CCS1 ZINC000922835849 1057065495 /nfs/dbraw/zinc/06/54/95/1057065495.db2.gz GKPMYGQGFRIFAD-TZMCWYRMSA-N 0 3 304.484 4.184 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(-c3ccc(F)cc3)n2)CCS1 ZINC000922841337 1057066834 /nfs/dbraw/zinc/06/68/34/1057066834.db2.gz FWOXYNCPBQZGQL-BXUZGUMPSA-N 0 3 322.474 4.323 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3c(c2)NCCO3)c1F ZINC001241492379 1057067018 /nfs/dbraw/zinc/06/70/18/1057067018.db2.gz DPBWSVVOVJOZSR-UHFFFAOYSA-N 0 3 314.404 4.139 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCSC3(CCC3)C2)cs1 ZINC000922842645 1057067691 /nfs/dbraw/zinc/06/76/91/1057067691.db2.gz OMNWVPYKRGDNGI-GFCCVEGCSA-N 0 3 310.532 4.349 20 0 DIADHN c1ccc(-c2nnc(CN[C@H]3CCCCC34CCCC4)o2)cc1 ZINC000391887161 1057069460 /nfs/dbraw/zinc/06/94/60/1057069460.db2.gz VXZKVKFVWLAEMZ-INIZCTEOSA-N 0 3 311.429 4.329 20 0 DIADHN Fc1cc(-c2ccc3nccnc3c2)ccc1CN1CCCC1 ZINC001241498754 1057069501 /nfs/dbraw/zinc/06/95/01/1057069501.db2.gz HBEGPAAFMYKSHZ-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H]1CCCc2c(Cl)cccc21 ZINC000282397606 1057070361 /nfs/dbraw/zinc/07/03/61/1057070361.db2.gz KFTUHGFRFDSQRV-DLBZAZTESA-N 0 3 315.848 4.118 20 0 DIADHN Cc1ccc2c(c1)ncnc2C1=CCN(Cc2ccccc2)CC1 ZINC001241501514 1057071417 /nfs/dbraw/zinc/07/14/17/1057071417.db2.gz KAFKJVCACQEEQV-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN COCc1c(C)cc(C)c(CN[C@@H]2CCCc3cccnc32)c1C ZINC000922877445 1057071626 /nfs/dbraw/zinc/07/16/26/1057071626.db2.gz GNTNKILYLPOUDW-HXUWFJFHSA-N 0 3 324.468 4.320 20 0 DIADHN CSc1cnc(C2=CCN(Cc3ccccc3)CC2)c(C)c1 ZINC001241502432 1057072082 /nfs/dbraw/zinc/07/20/82/1057072082.db2.gz ISNDUFKISLMFOJ-UHFFFAOYSA-N 0 3 310.466 4.401 20 0 DIADHN CCCCc1cc(C2=CCN(Cc3ccccc3)CC2)ncn1 ZINC001241503634 1057073083 /nfs/dbraw/zinc/07/30/83/1057073083.db2.gz YNTMTDWZUXVYPO-UHFFFAOYSA-N 0 3 307.441 4.109 20 0 DIADHN FC(F)(F)c1ncccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241503775 1057073376 /nfs/dbraw/zinc/07/33/76/1057073376.db2.gz BVUUHISWMAJYBV-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN COC(=O)c1ccc(C)c(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241504140 1057073998 /nfs/dbraw/zinc/07/39/98/1057073998.db2.gz OPIFOXCKDICNFU-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN COc1c(F)cc(C2=CCN(Cc3ccccc3)CC2)cc1F ZINC001241504768 1057076008 /nfs/dbraw/zinc/07/60/08/1057076008.db2.gz ZSNAYOFTJWFQBB-UHFFFAOYSA-N 0 3 315.363 4.263 20 0 DIADHN CC(C)(C)c1ncc(C2=CCN(Cc3ccccc3)CC2)cn1 ZINC001241507225 1057076515 /nfs/dbraw/zinc/07/65/15/1057076515.db2.gz SAECOLOFDMAFFX-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN Cc1nc2cccc(C3=CCN(Cc4ccccc4)CC3)c2o1 ZINC001241506577 1057076744 /nfs/dbraw/zinc/07/67/44/1057076744.db2.gz HODGTABLNYLXNK-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN CC(=O)Nc1ccc(C)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241505650 1057076961 /nfs/dbraw/zinc/07/69/61/1057076961.db2.gz XJPHXIIXAHIYGM-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN COCc1cc(C2=CCN(Cc3ccccc3)CC2)ccc1F ZINC001241506842 1057077327 /nfs/dbraw/zinc/07/73/27/1057077327.db2.gz LQMTULPDEZWDLF-UHFFFAOYSA-N 0 3 311.400 4.261 20 0 DIADHN C[C@H](C1CC1)N(Cc1coc(-c2ccccc2F)n1)C1CC1 ZINC000625083229 1057086120 /nfs/dbraw/zinc/08/61/20/1057086120.db2.gz GOKZMIVNMSJEQR-GFCCVEGCSA-N 0 3 300.377 4.244 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1cccc2nsnc21 ZINC000766009499 1057086373 /nfs/dbraw/zinc/08/63/73/1057086373.db2.gz JJMDGTMSQMMDQB-JTQLQIEISA-N 0 3 319.380 4.163 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(OC)cc2)C2CCC2)s1 ZINC000922887255 1057088511 /nfs/dbraw/zinc/08/85/11/1057088511.db2.gz BGBQBMMXXYKIJY-GOSISDBHSA-N 0 3 316.470 4.345 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(Cl)nc2)C2CCC2)cc1 ZINC000922887994 1057089322 /nfs/dbraw/zinc/08/93/22/1057089322.db2.gz IGZPQLHWBGDLPH-SFHVURJKSA-N 0 3 316.832 4.375 20 0 DIADHN c1ccc([C@@H]2C[C@@H](NCC3=CCCOC3)c3ccccc3O2)cc1 ZINC000922888669 1057090444 /nfs/dbraw/zinc/09/04/44/1057090444.db2.gz NLUFGLUMPZTNLU-CTNGQTDRSA-N 0 3 321.420 4.188 20 0 DIADHN COc1ccc([C@@H](NCc2cc3cnccc3o2)C2CCC2)cc1 ZINC000922888252 1057090974 /nfs/dbraw/zinc/09/09/74/1057090974.db2.gz VXUPUPGUOADGEY-FQEVSTJZSA-N 0 3 322.408 4.467 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@@H]2C2CCC2)co1 ZINC000625140698 1057092131 /nfs/dbraw/zinc/09/21/31/1057092131.db2.gz VZIRYBOHUSXGCE-QGZVFWFLSA-N 0 3 300.377 4.245 20 0 DIADHN CN1CCC=C(c2nc3ccccc3c(-c3ccccc3)n2)C1 ZINC001241565526 1057093377 /nfs/dbraw/zinc/09/33/77/1057093377.db2.gz LXWNQDVEASUPMV-UHFFFAOYSA-N 0 3 301.393 4.016 20 0 DIADHN CN1CCC=C(c2cncc(-c3ccc(C(F)(F)F)cc3)c2)C1 ZINC001241572373 1057093894 /nfs/dbraw/zinc/09/38/94/1057093894.db2.gz YXBQQQSNWNFALH-UHFFFAOYSA-N 0 3 318.342 4.486 20 0 DIADHN Cc1cn[nH]c1CN[C@@H]1C[C@@H](c2ccccc2)Oc2ccccc21 ZINC000922889878 1057094457 /nfs/dbraw/zinc/09/44/57/1057094457.db2.gz YWCZWHQZGIADDZ-XLIONFOSSA-N 0 3 319.408 4.073 20 0 DIADHN CN1CCC=C(c2ccc(F)c(C(F)(F)C(F)(F)F)c2)C1 ZINC001241571623 1057094889 /nfs/dbraw/zinc/09/48/89/1057094889.db2.gz BAGYUJCHWQFCLT-UHFFFAOYSA-N 0 3 309.253 4.199 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(F)nc2C)C2CCC2)cc1 ZINC000922889922 1057095006 /nfs/dbraw/zinc/09/50/06/1057095006.db2.gz WIMSLEVSGAFMQK-IBGZPJMESA-N 0 3 314.404 4.169 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N(C)CCC(C)C ZINC000126681414 1057098821 /nfs/dbraw/zinc/09/88/21/1057098821.db2.gz VTUAAXVTWBJHQR-OAHLLOKOSA-N 0 3 304.478 4.116 20 0 DIADHN CCO[C@@H](CN(C)[C@H](C)c1sc(C(C)C)nc1C)C1CC1 ZINC000625263091 1057109212 /nfs/dbraw/zinc/10/92/12/1057109212.db2.gz BMQIIRXLXUYFSP-HIFRSBDPSA-N 0 3 310.507 4.383 20 0 DIADHN CC(C)Sc1ccc(-c2cnccc2N2CCOCC2)cc1 ZINC001241612432 1057109523 /nfs/dbraw/zinc/10/95/23/1057109523.db2.gz ZVJYSTYMZAHIKX-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN Cc1cc(C)c(-c2cccc3cc([C@@H]4CNCCO4)cn32)cc1C ZINC001241634064 1057116237 /nfs/dbraw/zinc/11/62/37/1057116237.db2.gz OJCOQTXJYMQYTA-NRFANRHFSA-N 0 3 320.436 4.192 20 0 DIADHN CSc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cn1 ZINC001241636865 1057117544 /nfs/dbraw/zinc/11/75/44/1057117544.db2.gz ITHQCXCZSKCVJC-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN CSc1ccc(-c2cccc(CN3CCCC3)c2F)cn1 ZINC001241636832 1057117741 /nfs/dbraw/zinc/11/77/41/1057117741.db2.gz HEIPHIDTCIVLGX-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@H](C3CC3)C2)co1 ZINC000625431606 1057137514 /nfs/dbraw/zinc/13/75/14/1057137514.db2.gz HNVSMJDIBUWSJV-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@@H](C3CC3)C2)co1 ZINC000625431608 1057137960 /nfs/dbraw/zinc/13/79/60/1057137960.db2.gz HNVSMJDIBUWSJV-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168745234 1057140385 /nfs/dbraw/zinc/14/03/85/1057140385.db2.gz UZJRYYVTMYNDJX-CYBMUJFWSA-N 0 3 307.771 4.217 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)ncc32)s1 ZINC000766041719 1057146609 /nfs/dbraw/zinc/14/66/09/1057146609.db2.gz AWWQHWDRXLSASQ-ABAIWWIYSA-N 0 3 301.459 4.137 20 0 DIADHN C/C=C(C)/C=C/C(=O)N1CC2(CCN2C[C@H](CC)CCCC)C1 ZINC001273111385 1057147852 /nfs/dbraw/zinc/14/78/52/1057147852.db2.gz KCRKWASDNJUWLA-JJUHQNNSSA-N 0 3 318.505 4.012 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@@H]3CCCC[C@@H]32)co1 ZINC000625505615 1057153637 /nfs/dbraw/zinc/15/36/37/1057153637.db2.gz DYQYBPQBVCGTIT-GUYCJALGSA-N 0 3 300.377 4.245 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc(F)c4cc[nH]c43)cc2)CC1 ZINC001241743705 1057153740 /nfs/dbraw/zinc/15/37/40/1057153740.db2.gz UHYIUPZIWIEGIW-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H]1CCc2cc(Cl)ccc21 ZINC000766043531 1057154238 /nfs/dbraw/zinc/15/42/38/1057154238.db2.gz IOBQLBWRBBKOIY-ZWKOTPCHSA-N 0 3 313.832 4.093 20 0 DIADHN CCN1CCN(c2ccc(-c3ccnc4ccccc43)cc2)CC1 ZINC001241743484 1057154226 /nfs/dbraw/zinc/15/42/26/1057154226.db2.gz MAWOIBABNPVSGP-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN C[C@@H](NC[C@@H]1CC2(CO1)CCCCC2)c1ccc(F)cc1F ZINC001168748913 1057158900 /nfs/dbraw/zinc/15/89/00/1057158900.db2.gz CEKXTJUAYZIBRZ-HIFRSBDPSA-N 0 3 309.400 4.355 20 0 DIADHN CCCn1nc(C)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001241765554 1057161504 /nfs/dbraw/zinc/16/15/04/1057161504.db2.gz RVFCHKGAUXHFJZ-UHFFFAOYSA-N 0 3 315.436 4.394 20 0 DIADHN COCCSc1ccc([C@@H](C)N[C@H](C)c2ccncc2)cc1 ZINC000350518122 1057170470 /nfs/dbraw/zinc/17/04/70/1057170470.db2.gz UACVIHQPZMMHPK-HUUCEWRRSA-N 0 3 316.470 4.232 20 0 DIADHN CC(C)=C[C@@H]1[C@H](C(=O)Nc2cc(CN(C)C)ccc2C)C1(C)C ZINC000674002477 1057173862 /nfs/dbraw/zinc/17/38/62/1057173862.db2.gz LOZFAXLGSGESMT-SJLPKXTDSA-N 0 3 314.473 4.234 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@H]2CCCOC2)c1Cl ZINC000038044993 1057173878 /nfs/dbraw/zinc/17/38/78/1057173878.db2.gz ONQVKMGNHBDTAH-SECBINFHSA-N 0 3 308.636 4.163 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)n1 ZINC000625655118 1057182566 /nfs/dbraw/zinc/18/25/66/1057182566.db2.gz KUUFCMBAXMFKPE-OAHLLOKOSA-N 0 3 302.368 4.048 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)F)cc2)C[C@H](C)C1(F)F ZINC000625645141 1057182617 /nfs/dbraw/zinc/18/26/17/1057182617.db2.gz FIOQRZDIYRWSNY-PHIMTYICSA-N 0 3 305.315 4.011 20 0 DIADHN CC(C)OC1CC(N2CCC[C@@H](c3ccc(F)c(F)c3)C2)C1 ZINC000625654974 1057183865 /nfs/dbraw/zinc/18/38/65/1057183865.db2.gz JNQSISAEWWYTAF-QQFBHYJXSA-N 0 3 309.400 4.100 20 0 DIADHN Cc1ccc2ncc(CN3C[C@@H](C)C(F)(F)[C@@H](C)C3)cc2c1 ZINC000625651653 1057184065 /nfs/dbraw/zinc/18/40/65/1057184065.db2.gz CPXQIFWBVZEMIA-OKILXGFUSA-N 0 3 304.384 4.266 20 0 DIADHN CCc1noc(C)c1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000625658019 1057184944 /nfs/dbraw/zinc/18/49/44/1057184944.db2.gz HAYLDBPLPRVTNL-AWEZNQCLSA-N 0 3 320.383 4.203 20 0 DIADHN Cc1nc(CCN2CCC[C@H](c3ccc(F)c(F)c3)C2)cs1 ZINC000625658319 1057185049 /nfs/dbraw/zinc/18/50/49/1057185049.db2.gz XZQLKOMLDGIKPA-AWEZNQCLSA-N 0 3 322.424 4.152 20 0 DIADHN CCOc1ccc(-c2ncccc2CN2CCCC2)c(Cl)c1 ZINC001241849149 1057190808 /nfs/dbraw/zinc/19/08/08/1057190808.db2.gz SMAJDIRMQYLVIP-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN CC(C)(C)c1cc(-c2ncc3c(n2)CNCC3)cc(C(C)(C)C)c1 ZINC001241970805 1057225502 /nfs/dbraw/zinc/22/55/02/1057225502.db2.gz GDBGJMHATJWXKL-UHFFFAOYSA-N 0 3 323.484 4.384 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1n[nH]c2ccccc12 ZINC000674336033 1057232238 /nfs/dbraw/zinc/23/22/38/1057232238.db2.gz WSGXBYRIHBTPBR-BLVKFPJESA-N 0 3 309.388 4.115 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1[nH]nc2ccccc21 ZINC000674336033 1057232243 /nfs/dbraw/zinc/23/22/43/1057232243.db2.gz WSGXBYRIHBTPBR-BLVKFPJESA-N 0 3 309.388 4.115 20 0 DIADHN Cc1cccn2cc(CN3CC[C@@H](c4ccccc4)[C@H]3C)nc12 ZINC000674375193 1057236240 /nfs/dbraw/zinc/23/62/40/1057236240.db2.gz AZPGRKBVFUUUOA-VQIMIIECSA-N 0 3 305.425 4.021 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc4c3OCC4)c(C)c2)CC1 ZINC001242008745 1057239165 /nfs/dbraw/zinc/23/91/65/1057239165.db2.gz PWCUMXNLQQBJRX-UHFFFAOYSA-N 0 3 320.436 4.101 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001128743294 1057253780 /nfs/dbraw/zinc/25/37/80/1057253780.db2.gz BDDYOPMEQJNYOP-ZWKOTPCHSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001128743289 1057254395 /nfs/dbraw/zinc/25/43/95/1057254395.db2.gz BDDYOPMEQJNYOP-MSOLQXFVSA-N 0 3 322.537 4.220 20 0 DIADHN FC(F)(F)c1ccc(C2=CCOCC2)c(CN2CCCC2)c1 ZINC001242079113 1057255993 /nfs/dbraw/zinc/25/59/93/1057255993.db2.gz UDVWEHHHAJWPSQ-UHFFFAOYSA-N 0 3 311.347 4.105 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1scc2c1OCCO2 ZINC001143191263 1057263070 /nfs/dbraw/zinc/26/30/70/1057263070.db2.gz YSVRAFNSIRSUET-CQSZACIVSA-N 0 3 303.427 4.102 20 0 DIADHN CCCN(CCN1CCCC1)C(=O)c1ccc([C@H](C)CC)cc1 ZINC001128771605 1057264663 /nfs/dbraw/zinc/26/46/63/1057264663.db2.gz MDQRJEFDTKNPCJ-QGZVFWFLSA-N 0 3 316.489 4.148 20 0 DIADHN O[C@H](CNCc1cc(Cl)cs1)c1c(F)cccc1Cl ZINC000785473288 1057265711 /nfs/dbraw/zinc/26/57/11/1057265711.db2.gz CKLATCLIPVVZGF-GFCCVEGCSA-N 0 3 320.216 4.017 20 0 DIADHN CCc1ccc([C@H](CC)NCc2cccnc2N2CCCC2)cc1 ZINC000658099563 1057267065 /nfs/dbraw/zinc/26/70/65/1057267065.db2.gz CSYOCYOAZZDMCB-FQEVSTJZSA-N 0 3 323.484 4.485 20 0 DIADHN CCCN(Cc1nc(-c2cccc(Cl)c2)no1)CC1CC1 ZINC000674787272 1057282978 /nfs/dbraw/zinc/28/29/78/1057282978.db2.gz OOEKCKUQIROJCA-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](c1ccccn1)C(C)C ZINC000766081730 1057296039 /nfs/dbraw/zinc/29/60/39/1057296039.db2.gz PNWDEGLOXSDCGW-AZUAARDMSA-N 0 3 310.441 4.455 20 0 DIADHN CCN(C[C@H]1COc2ccccc2O1)[C@@H](C)c1ccc(F)cc1 ZINC000675042544 1057312266 /nfs/dbraw/zinc/31/22/66/1057312266.db2.gz YEBIMIGJIPJVIC-YOEHRIQHSA-N 0 3 315.388 4.049 20 0 DIADHN Cc1nc([C@H](C)NCCc2cn(C)c3ccccc23)c(C)s1 ZINC000658215138 1057323528 /nfs/dbraw/zinc/32/35/28/1057323528.db2.gz PCCCOASPBIGPSJ-LBPRGKRZSA-N 0 3 313.470 4.145 20 0 DIADHN COC1CC(CN[C@@H](C)c2c(Cl)ccc(F)c2Cl)C1 ZINC000703138408 1057330049 /nfs/dbraw/zinc/33/00/49/1057330049.db2.gz RIYCFAWDJHCMNV-IDKOKCKLSA-N 0 3 306.208 4.208 20 0 DIADHN CC1(C)CC=C(c2cccc3cc([C@H]4CNCCO4)cn32)CC1 ZINC001242328886 1057335514 /nfs/dbraw/zinc/33/55/14/1057335514.db2.gz ARZTZJGHWCQEFS-LJQANCHMSA-N 0 3 310.441 4.194 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC2(CC1)OCc1ccccc12 ZINC000675324352 1057340362 /nfs/dbraw/zinc/34/03/62/1057340362.db2.gz BTHTXWGISOBVCM-HNNXBMFYSA-N 0 3 311.400 4.408 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccccc1 ZINC000658287491 1057354466 /nfs/dbraw/zinc/35/44/66/1057354466.db2.gz HAKMINUCCOUUCT-UFYCRDLUSA-N 0 3 313.416 4.161 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccccc1 ZINC000658288316 1057355239 /nfs/dbraw/zinc/35/52/39/1057355239.db2.gz NXMYWHUKGZNYON-JVPBZIDWSA-N 0 3 301.405 4.235 20 0 DIADHN Fc1c(CN2CCCC2)cccc1-c1ccc(OC(F)F)nc1 ZINC001242422031 1057362937 /nfs/dbraw/zinc/36/29/37/1057362937.db2.gz GUQXBFYSKYFFRG-UHFFFAOYSA-N 0 3 322.330 4.085 20 0 DIADHN Cc1cc(-c2ccc3c(c2)COC32CNC2)ccc1C(F)(F)F ZINC001242425626 1057364943 /nfs/dbraw/zinc/36/49/43/1057364943.db2.gz YCWHDZLICDGJJI-UHFFFAOYSA-N 0 3 319.326 4.010 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnc(OC)c(C)c2)cc1F ZINC001242432864 1057366308 /nfs/dbraw/zinc/36/63/08/1057366308.db2.gz OWSPGZPTNVWCAX-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2cc(Cl)ccc21)c1cccs1 ZINC000766136415 1057373148 /nfs/dbraw/zinc/37/31/48/1057373148.db2.gz OXIHHFIWBFKMIQ-HUUCEWRRSA-N 0 3 307.846 4.102 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccc(Cl)c(Cl)c2)o1 ZINC000766136254 1057373561 /nfs/dbraw/zinc/37/35/61/1057373561.db2.gz CJQPVDBCITUUKE-IINYFYTJSA-N 0 3 314.212 4.279 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccc(Cl)c(Cl)c2)o1 ZINC000766136256 1057373972 /nfs/dbraw/zinc/37/39/72/1057373972.db2.gz CJQPVDBCITUUKE-YGRLFVJLSA-N 0 3 314.212 4.279 20 0 DIADHN Cn1ccc(-c2ccc(C(F)(F)F)cc2CN2CCCCC2)n1 ZINC001242460783 1057374165 /nfs/dbraw/zinc/37/41/65/1057374165.db2.gz BWYNNZANRRZCMD-UHFFFAOYSA-N 0 3 323.362 4.092 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(-c2ccccc2)c1C)c1ccncc1 ZINC000766145688 1057381838 /nfs/dbraw/zinc/38/18/38/1057381838.db2.gz RIQGZBXQCBPGQV-YWZLYKJASA-N 0 3 320.440 4.378 20 0 DIADHN Fc1ccc(F)c(CN[C@@H](c2ccccc2)C2CCOCC2)c1 ZINC001168758041 1057382601 /nfs/dbraw/zinc/38/26/01/1057382601.db2.gz NMMVRBCHWUQSEN-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN COc1cccc(C=C2CCN(CCCOC(C)(C)C)CC2)c1 ZINC000675505933 1057384623 /nfs/dbraw/zinc/38/46/23/1057384623.db2.gz MHOZDGJKQGROQH-UHFFFAOYSA-N 0 3 317.473 4.380 20 0 DIADHN Cc1ccc(CN[C@H](COc2ccccc2F)C(C)(C)C)nc1 ZINC000675553456 1057387033 /nfs/dbraw/zinc/38/70/33/1057387033.db2.gz XRKAERMBSXOBMS-GOSISDBHSA-N 0 3 316.420 4.112 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc([C@H](C)O)c2)cc1F ZINC001242551751 1057402506 /nfs/dbraw/zinc/40/25/06/1057402506.db2.gz KMIBFNQSJMXSOI-AWEZNQCLSA-N 0 3 301.405 4.388 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccccc2-n2cccn2)cc1F ZINC000675736172 1057403115 /nfs/dbraw/zinc/40/31/15/1057403115.db2.gz OYFACVXDDUQAHI-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CCC[C@@H](C)N[C@@H](C)c1nnn(-c2ccc(Cl)cc2)c1C ZINC000675721967 1057404070 /nfs/dbraw/zinc/40/40/70/1057404070.db2.gz BIODKMBDUKRVHB-NEPJUHHUSA-N 0 3 306.841 4.068 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](CC1CC1)c1ccccc1 ZINC000675727211 1057404939 /nfs/dbraw/zinc/40/49/39/1057404939.db2.gz KVUHIZSNGBYPCI-IBGZPJMESA-N 0 3 322.452 4.373 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](CC2CC2)c2ccccc2)c1C ZINC000675726999 1057405013 /nfs/dbraw/zinc/40/50/13/1057405013.db2.gz MOGCMRCMDDDWCQ-HXUWFJFHSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)ccc1F ZINC000675728904 1057405919 /nfs/dbraw/zinc/40/59/19/1057405919.db2.gz HBHPQHPOPHQQMG-UONOGXRCSA-N 0 3 315.388 4.317 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](Cn2cccn2)c2ccccc2)c2ccccc21 ZINC000675757029 1057407835 /nfs/dbraw/zinc/40/78/35/1057407835.db2.gz YGGBZJSMYVGIPB-MAODMQOUSA-N 0 3 317.436 4.463 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NCc1ccc(C)cc1C)CCO2 ZINC000675753233 1057408158 /nfs/dbraw/zinc/40/81/58/1057408158.db2.gz DTULBNBFEQYHNR-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc(F)c3Cl)c2)CC1 ZINC001242573241 1057409832 /nfs/dbraw/zinc/40/98/32/1057409832.db2.gz MWMDWJNSFYYFFZ-UHFFFAOYSA-N 0 3 320.795 4.014 20 0 DIADHN C[C@@H](NCCN1Cc2ccccc2C1)c1ccc(F)cc1Cl ZINC000675781200 1057410488 /nfs/dbraw/zinc/41/04/88/1057410488.db2.gz XKNISBUPODIOLN-CYBMUJFWSA-N 0 3 318.823 4.146 20 0 DIADHN CC[C@H](NCCN1Cc2ccccc2C1)c1cc(F)ccc1F ZINC000675780136 1057410613 /nfs/dbraw/zinc/41/06/13/1057410613.db2.gz MPXPMEGNACJAJM-IBGZPJMESA-N 0 3 316.395 4.021 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1C[C@@H](C)c2ccccc21 ZINC000675767052 1057410673 /nfs/dbraw/zinc/41/06/73/1057410673.db2.gz FXAIZNFPPKNLFE-ZNZDAUKMSA-N 0 3 309.409 4.357 20 0 DIADHN COc1cc(F)c(F)cc1-c1cccc(CN2CCCC2)c1F ZINC001242579925 1057413507 /nfs/dbraw/zinc/41/35/07/1057413507.db2.gz HIRVZROXKURQHR-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc(C)c(C)c1 ZINC000675789343 1057415143 /nfs/dbraw/zinc/41/51/43/1057415143.db2.gz YVEPQWCWOWFHOO-YJBOKZPZSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cc2ccccc2[nH]1 ZINC000675789735 1057415391 /nfs/dbraw/zinc/41/53/91/1057415391.db2.gz KGHIDOBBTNREFU-ZDUSSCGKSA-N 0 3 310.445 4.279 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc(C)c(C)c1 ZINC000675789342 1057415406 /nfs/dbraw/zinc/41/54/06/1057415406.db2.gz YVEPQWCWOWFHOO-QAPCUYQASA-N 0 3 311.425 4.486 20 0 DIADHN Oc1ccc(CN[C@@H]2CC3(CCCC3)Oc3ccccc32)cc1 ZINC000675802483 1057416651 /nfs/dbraw/zinc/41/66/51/1057416651.db2.gz QCTZWHDEIFTPKY-GOSISDBHSA-N 0 3 309.409 4.318 20 0 DIADHN Cc1ccc2nc(CN(Cc3ccccc3)[C@@H](C)C3CC3)cn2c1 ZINC000675808993 1057417348 /nfs/dbraw/zinc/41/73/48/1057417348.db2.gz XWGWHLWFXOINDJ-KRWDZBQOSA-N 0 3 319.452 4.443 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCN(c3ccc(F)cc3F)C2)o1 ZINC000675830678 1057420210 /nfs/dbraw/zinc/42/02/10/1057420210.db2.gz HJIDOMHNFYZHHL-OCCSQVGLSA-N 0 3 320.383 4.050 20 0 DIADHN Cc1cc(C)cc(OCCN2CCC[C@H]2c2cccc(C)n2)c1 ZINC000675897776 1057427966 /nfs/dbraw/zinc/42/79/66/1057427966.db2.gz BXKAXINHABCEKL-FQEVSTJZSA-N 0 3 310.441 4.223 20 0 DIADHN CC[C@@H](N[C@H](Cn1cccn1)c1ccccc1)c1cccs1 ZINC000675899549 1057428343 /nfs/dbraw/zinc/42/83/43/1057428343.db2.gz JGTDTGNUGRPZGH-IAGOWNOFSA-N 0 3 311.454 4.427 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccco1 ZINC000675884326 1057429298 /nfs/dbraw/zinc/42/92/98/1057429298.db2.gz JRICGSQZFVQDML-TZMCWYRMSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccco1 ZINC000675884320 1057429394 /nfs/dbraw/zinc/42/93/94/1057429394.db2.gz JRICGSQZFVQDML-GXTWGEPZSA-N 0 3 324.346 4.228 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1cccc(C)c1C ZINC000675886462 1057429950 /nfs/dbraw/zinc/42/99/50/1057429950.db2.gz GYOIFJVFNRMJJI-MAUKXSAKSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ncc(CN[C@H]2CCc3ccc(C)cc32)n1-c1ccccc1 ZINC000675920523 1057430658 /nfs/dbraw/zinc/43/06/58/1057430658.db2.gz SMNXKWZPXCWFLF-NRFANRHFSA-N 0 3 317.436 4.266 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1cc(C)cc(C)c1 ZINC000675902821 1057432766 /nfs/dbraw/zinc/43/27/66/1057432766.db2.gz HAAVXMDDAHPUON-DNVCBOLYSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1ccc(C)cc1C ZINC000675903324 1057433483 /nfs/dbraw/zinc/43/34/83/1057433483.db2.gz CJHKBSDUURZVBB-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCOc3cc(OC)ccc32)s1 ZINC000675904217 1057433575 /nfs/dbraw/zinc/43/35/75/1057433575.db2.gz UCFWRTAONKZTNL-MLGOLLRUSA-N 0 3 317.454 4.494 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1ccc(C)c(C)c1 ZINC000675903232 1057433814 /nfs/dbraw/zinc/43/38/14/1057433814.db2.gz AXPRDODCYNXMJZ-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc([C@H](C)O)cc3)c(C)c2)CC1 ZINC001242646202 1057435144 /nfs/dbraw/zinc/43/51/44/1057435144.db2.gz ZIRFYXMOCNQIKH-INIZCTEOSA-N 0 3 322.452 4.219 20 0 DIADHN CC[C@H](Cc1cccs1)NCc1csc([C@H](C)OC)n1 ZINC000675929688 1057436636 /nfs/dbraw/zinc/43/66/36/1057436636.db2.gz AQCNNQNUVYHGRR-NWDGAFQWSA-N 0 3 310.488 4.023 20 0 DIADHN CCC1(CC)CCC([NH2+][C@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000675965037 1057438379 /nfs/dbraw/zinc/43/83/79/1057438379.db2.gz KTVFCYGRWTYLPB-KRWDZBQOSA-N 0 3 312.461 4.233 20 0 DIADHN c1sc2ccccc2c1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000675975859 1057440006 /nfs/dbraw/zinc/44/00/06/1057440006.db2.gz CSYMKGDVBXABLA-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN CCCC[C@H](C)[C@H](C)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000675976796 1057440502 /nfs/dbraw/zinc/44/05/02/1057440502.db2.gz MHDUGPDSXWAMIQ-KKUMJFAQSA-N 0 3 315.465 4.041 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1csc(-c2cnn(C)c2)n1 ZINC000676041269 1057445759 /nfs/dbraw/zinc/44/57/59/1057445759.db2.gz CFTYXPJALDYYFI-MRXNPFEDSA-N 0 3 318.490 4.088 20 0 DIADHN Fc1cc(-c2ccccc2-n2cccn2)ccc1CN1CCCC1 ZINC001242712882 1057456760 /nfs/dbraw/zinc/45/67/60/1057456760.db2.gz KUIWOWQQCQKYNR-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN Oc1ccc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001242765388 1057468064 /nfs/dbraw/zinc/46/80/64/1057468064.db2.gz RUWDFHZGFMDQLE-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN C[C@H](C1CC1)N(CC(=O)C12CC3CC(CC(C3)C1)C2)C1CC1 ZINC000676405577 1057470761 /nfs/dbraw/zinc/47/07/61/1057470761.db2.gz XTYHUWFASCYMNT-XXWNAHEMSA-N 0 3 301.474 4.035 20 0 DIADHN Clc1cscc1CNC[C@@H](c1ccco1)N1CCCCC1 ZINC000676413878 1057470912 /nfs/dbraw/zinc/47/09/12/1057470912.db2.gz KGDNSUVCIGCXNE-HNNXBMFYSA-N 0 3 324.877 4.311 20 0 DIADHN CCN(CC)[C@H](CNCc1cscc1Cl)c1ccco1 ZINC000676414514 1057471626 /nfs/dbraw/zinc/47/16/26/1057471626.db2.gz DZSFSBXRHXWMFJ-CQSZACIVSA-N 0 3 312.866 4.167 20 0 DIADHN Cc1ccc([C@@H](CNCc2cscc2Cl)N2CCCC2)o1 ZINC000676416565 1057472237 /nfs/dbraw/zinc/47/22/37/1057472237.db2.gz OREMHDWQIQZAPK-OAHLLOKOSA-N 0 3 324.877 4.230 20 0 DIADHN CCO[C@@H](CCN[C@@H](C)c1nc(C)sc1C)C1CCCC1 ZINC000676491666 1057476663 /nfs/dbraw/zinc/47/66/63/1057476663.db2.gz IQDGPYOKIJXHLK-LRDDRELGSA-N 0 3 310.507 4.396 20 0 DIADHN COc1ccc2oc(CNC(C)(C)CC(F)(F)F)cc2c1 ZINC000681985167 1057482226 /nfs/dbraw/zinc/48/22/26/1057482226.db2.gz RGMNUOUDFYKTRM-UHFFFAOYSA-N 0 3 301.308 4.262 20 0 DIADHN Cc1ccncc1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000676559121 1057483433 /nfs/dbraw/zinc/48/34/33/1057483433.db2.gz WQSKABPDEAMKNT-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN COc1c(F)cc(F)cc1-c1cnccc1CN1CCCCC1 ZINC001242811216 1057487144 /nfs/dbraw/zinc/48/71/44/1057487144.db2.gz QQPPOKMPYHSQCB-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(F)c(N)c(F)c2)ccc1F ZINC001242842066 1057495100 /nfs/dbraw/zinc/49/51/00/1057495100.db2.gz DTHCYCAWZOUSAD-UHFFFAOYSA-N 0 3 308.347 4.195 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)Cc1cccc(OC)c1)CCC2 ZINC000676707189 1057496910 /nfs/dbraw/zinc/49/69/10/1057496910.db2.gz MPBHHWMCFGPXSP-MRXNPFEDSA-N 0 3 316.470 4.224 20 0 DIADHN Cc1nc2c(cc(-c3ccc4[nH]c(N)nc4c3)cc2F)n1C(C)C ZINC001242850002 1057497769 /nfs/dbraw/zinc/49/77/69/1057497769.db2.gz OOZDLWDWKPCUCN-UHFFFAOYSA-N 0 3 323.375 4.190 20 0 DIADHN Cc1nc2c(cc(-c3ccc4nc(N)[nH]c4c3)cc2F)n1C(C)C ZINC001242850002 1057497776 /nfs/dbraw/zinc/49/77/76/1057497776.db2.gz OOZDLWDWKPCUCN-UHFFFAOYSA-N 0 3 323.375 4.190 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@H](c2nccn2C)C1 ZINC000373098181 1057508070 /nfs/dbraw/zinc/50/80/70/1057508070.db2.gz IEAUYZGIDZFRRV-SUMWQHHRSA-N 0 3 319.399 4.029 20 0 DIADHN COCC[C@H](N[C@@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000625786315 1057517602 /nfs/dbraw/zinc/51/76/02/1057517602.db2.gz HGOSRDMQWMLZEE-AZUAARDMSA-N 0 3 310.441 4.290 20 0 DIADHN C(NC1CCC2(CCCCC2)CC1)c1nc2c(s1)COCC2 ZINC000922960774 1057517617 /nfs/dbraw/zinc/51/76/17/1057517617.db2.gz KNPKPFCDOIWYJP-UHFFFAOYSA-N 0 3 320.502 4.199 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccn1)C1CCC1)c1ccc(F)cc1 ZINC000625787398 1057518860 /nfs/dbraw/zinc/51/88/60/1057518860.db2.gz VVXIFXHMEIYYTG-OALUTQOASA-N 0 3 314.404 4.039 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCSc2ccc(F)cc21 ZINC000316381933 1057520563 /nfs/dbraw/zinc/52/05/63/1057520563.db2.gz DEXWWMUKOWISFZ-DLBZAZTESA-N 0 3 314.429 4.222 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)c(C)n1 ZINC001242929151 1057521209 /nfs/dbraw/zinc/52/12/09/1057521209.db2.gz BTLXCQYFDSYFJP-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1nc2ccc(CN[C@@]3(C)CCOc4ccccc43)cc2s1 ZINC000923024298 1057521509 /nfs/dbraw/zinc/52/15/09/1057521509.db2.gz HQJVDZSMLNGTMS-IBGZPJMESA-N 0 3 324.449 4.392 20 0 DIADHN c1csc(-c2ncc(CNC3([C@@H]4CCCCO4)CC3)s2)c1 ZINC000923028715 1057521690 /nfs/dbraw/zinc/52/16/90/1057521690.db2.gz BKGGVNVRBHHUCA-AWEZNQCLSA-N 0 3 320.483 4.063 20 0 DIADHN Nc1ccnc2cc(-c3cc(C(F)(F)F)ccc3N)ccc12 ZINC001243004731 1057544435 /nfs/dbraw/zinc/54/44/35/1057544435.db2.gz GPFORQMTHRKKCM-UHFFFAOYSA-N 0 3 303.287 4.085 20 0 DIADHN Cc1cccc([C@@H](NCc2cc(CO)ccc2F)C(C)C)c1 ZINC000625984998 1057544979 /nfs/dbraw/zinc/54/49/79/1057544979.db2.gz XXIVVKHLQRVEFC-IBGZPJMESA-N 0 3 301.405 4.113 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(CO)c2C)cc1F ZINC001243016625 1057547842 /nfs/dbraw/zinc/54/78/42/1057547842.db2.gz JWMKPTICHXJISS-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN COc1ccc(CNCc2cccc(C)c2)cc1Br ZINC000035230233 1057549685 /nfs/dbraw/zinc/54/96/85/1057549685.db2.gz MEKISKOWNPGWCO-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CC[C@@H](NCc1cc(CO)ccc1F)c1cc(C)ccc1C ZINC000626020384 1057549917 /nfs/dbraw/zinc/54/99/17/1057549917.db2.gz JEJUUJYZSVKSEJ-LJQANCHMSA-N 0 3 301.405 4.176 20 0 DIADHN NCc1cc(-c2cc(C(F)(F)F)ccc2C(F)(F)F)ccn1 ZINC001243033101 1057551937 /nfs/dbraw/zinc/55/19/37/1057551937.db2.gz OUFIYRYNJBJIQF-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN CCC[C@H](NCc1ccnc(N)c1)c1ccc(Cl)c(Cl)c1 ZINC000411458420 1057555409 /nfs/dbraw/zinc/55/54/09/1057555409.db2.gz QRQVPODILKVZAZ-HNNXBMFYSA-N 0 3 324.255 4.602 20 0 DIADHN CCCOc1ccc(CNCc2scnc2C2CC2)c(C)c1 ZINC000487175356 1057571589 /nfs/dbraw/zinc/57/15/89/1057571589.db2.gz ZMTLQKKDKGCWGT-UHFFFAOYSA-N 0 3 316.470 4.408 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1scnc1C1CC1 ZINC000487556813 1057575106 /nfs/dbraw/zinc/57/51/06/1057575106.db2.gz ITUSMHAREURTQN-DIFFPNOSSA-N 0 3 320.433 4.025 20 0 DIADHN CCCCN(Cc1c[nH]c(C(=O)OC)c1)[C@H](C)c1ccc(C)o1 ZINC000611258107 1057587425 /nfs/dbraw/zinc/58/74/25/1057587425.db2.gz RGIXKBBMUUTJAR-CQSZACIVSA-N 0 3 318.417 4.066 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN([C@@H](C)c2ccccc2Cl)C1 ZINC000611320094 1057590184 /nfs/dbraw/zinc/59/01/84/1057590184.db2.gz URRRPBKIKQQAET-UONOGXRCSA-N 0 3 323.864 4.312 20 0 DIADHN COCOc1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc1 ZINC001243210040 1057606350 /nfs/dbraw/zinc/60/63/50/1057606350.db2.gz TWEPXLPJDIIOFY-UHFFFAOYSA-N 0 3 309.409 4.338 20 0 DIADHN CSc1ccc(F)c(-c2cnccc2/C=C/N(C)C)c1F ZINC001243217088 1057608575 /nfs/dbraw/zinc/60/85/75/1057608575.db2.gz FYFDBCJXNXFATA-VQHVLOKHSA-N 0 3 306.381 4.281 20 0 DIADHN COc1ccc([C@H](NCCc2cscn2)c2ccccc2)cc1 ZINC000108942063 1057627207 /nfs/dbraw/zinc/62/72/07/1057627207.db2.gz QVNRDGXQOJGZBE-LJQANCHMSA-N 0 3 324.449 4.073 20 0 DIADHN CCCOc1cccc(F)c1-c1cncc(CN2CCCC2)c1 ZINC001243268433 1057628469 /nfs/dbraw/zinc/62/84/69/1057628469.db2.gz IDKZHHJGFGCRMN-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CCOc1cc(F)c(F)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001243279152 1057634272 /nfs/dbraw/zinc/63/42/72/1057634272.db2.gz WQYATEAWIMQYSB-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN Nc1ccc(F)c(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001243286718 1057636462 /nfs/dbraw/zinc/63/64/62/1057636462.db2.gz SCRSJIFLWLNEKQ-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN COc1c(F)c(F)ccc1-c1cccc(CN2CCCC2)c1F ZINC001243303128 1057640167 /nfs/dbraw/zinc/64/01/67/1057640167.db2.gz CYZTZCFHJWPTKK-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN COc1c(F)c(F)ccc1-c1cnccc1CN1CCCCC1 ZINC001243303579 1057640457 /nfs/dbraw/zinc/64/04/57/1057640457.db2.gz QWSWKSQMDXBURL-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1nccc(-c2ccccc2CN2CCCCC2)c1Cl ZINC001243321332 1057645352 /nfs/dbraw/zinc/64/53/52/1057645352.db2.gz SLQBUJXXPGOTIX-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN Cc1cc(C)cc(OC(=O)C2CCN(Cc3ccccc3)CC2)c1 ZINC000727294215 1057648529 /nfs/dbraw/zinc/64/85/29/1057648529.db2.gz UMRNVYOGQNWLLH-UHFFFAOYSA-N 0 3 323.436 4.121 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](N[C@@H](C)c1cccs1)CC2 ZINC000280287848 1057653787 /nfs/dbraw/zinc/65/37/87/1057653787.db2.gz YZGHBPOBFPFFGL-FZMZJTMJSA-N 0 3 303.427 4.103 20 0 DIADHN CCOCc1ccc(CNCc2cnc3ccccc3c2)cc1 ZINC000727466539 1057655125 /nfs/dbraw/zinc/65/51/25/1057655125.db2.gz ZCIMFLOKUMYTTO-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN CC(C)CC[C@H](NCc1ccnn1C)c1ccc(Cl)cc1 ZINC000727644379 1057662454 /nfs/dbraw/zinc/66/24/54/1057662454.db2.gz BWZUNIMDGDBHHK-KRWDZBQOSA-N 0 3 305.853 4.341 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2cccc3ccccc32)C1 ZINC000534531199 1057672542 /nfs/dbraw/zinc/67/25/42/1057672542.db2.gz OYICOSZWIAKGBQ-OAQYLSRUSA-N 0 3 302.421 4.116 20 0 DIADHN CSc1ccc([C@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000536840381 1057673707 /nfs/dbraw/zinc/67/37/07/1057673707.db2.gz QJTPEBNTIIQANV-LIRRHRJNSA-N 0 3 312.482 4.246 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)s1 ZINC000536842250 1057674724 /nfs/dbraw/zinc/67/47/24/1057674724.db2.gz XNGKJLFNBCALSZ-SUMWQHHRSA-N 0 3 300.471 4.148 20 0 DIADHN COc1ccccc1[C@H]1CCCN1C[C@H]1CC1(Cl)Cl ZINC000727931915 1057680445 /nfs/dbraw/zinc/68/04/45/1057680445.db2.gz UDMBRFSOHRFFMZ-DGCLKSJQSA-N 0 3 300.229 4.026 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc[nH]1)[C@H](C)c1ccccc1C(F)(F)F ZINC000285942253 1057687534 /nfs/dbraw/zinc/68/75/34/1057687534.db2.gz DLGDJDVMJYNRRY-TUAOUCFPSA-N 0 3 311.351 4.271 20 0 DIADHN C[C@@H](CCN[C@@H](C)c1ncc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000286009614 1057687539 /nfs/dbraw/zinc/68/75/39/1057687539.db2.gz JCOHRAWNLMLXSV-RYUDHWBXSA-N 0 3 311.351 4.273 20 0 DIADHN Cc1ccc(C[C@@H](NCc2cncn2C)c2ccc(C)cc2)cc1 ZINC000289769657 1057690022 /nfs/dbraw/zinc/69/00/22/1057690022.db2.gz MAWSGQAGXJWJCK-OAQYLSRUSA-N 0 3 319.452 4.111 20 0 DIADHN CCOc1cc(-c2ccc(OC)c(CN(C)C)c2)c(F)cc1F ZINC001243529859 1057704293 /nfs/dbraw/zinc/70/42/93/1057704293.db2.gz DETAULQVBQVCGW-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2ccc(C)cc2)s1 ZINC000730265372 1057709345 /nfs/dbraw/zinc/70/93/45/1057709345.db2.gz RKAULNWBZDXSHV-CQSZACIVSA-N 0 3 304.459 4.140 20 0 DIADHN CC(C)Oc1ccc(CN2CCCc3sccc3C2)cc1 ZINC000730429463 1057714022 /nfs/dbraw/zinc/71/40/22/1057714022.db2.gz DYBCYGIXYFUTEI-UHFFFAOYSA-N 0 3 301.455 4.484 20 0 DIADHN CCOc1cc(-c2cnccc2/C=C/N(C)C)ccc1Cl ZINC001243573107 1057719127 /nfs/dbraw/zinc/71/91/27/1057719127.db2.gz VXFGTWPNNQGZGM-CSKARUKUSA-N 0 3 302.805 4.333 20 0 DIADHN COc1c(Cl)ccc(-c2ccc3c(c2)CN(C)CC3)c1F ZINC001243580118 1057722371 /nfs/dbraw/zinc/72/23/71/1057722371.db2.gz QSJXJQCERSDJRJ-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN CC[C@](C)(NCc1cnn(-c2ccc(F)cc2)c1)c1ccccc1 ZINC000730817451 1057726199 /nfs/dbraw/zinc/72/61/99/1057726199.db2.gz NOBUYHAQVVCFIQ-FQEVSTJZSA-N 0 3 323.415 4.426 20 0 DIADHN CC(C)Oc1c(F)cc(F)c(-c2cccc(CN(C)C)n2)c1F ZINC001243617313 1057734956 /nfs/dbraw/zinc/73/49/56/1057734956.db2.gz BRJOHWMJIYUBHC-UHFFFAOYSA-N 0 3 324.346 4.015 20 0 DIADHN CC(C)Oc1c(F)cc(F)c(-c2cc(CN(C)C)ccn2)c1F ZINC001243619854 1057735679 /nfs/dbraw/zinc/73/56/79/1057735679.db2.gz UVLDVHJJNVIZOZ-UHFFFAOYSA-N 0 3 324.346 4.015 20 0 DIADHN CSc1ncc(Cl)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001243622730 1057736933 /nfs/dbraw/zinc/73/69/33/1057736933.db2.gz LEGKYJSJDMMFNA-UHFFFAOYSA-N 0 3 319.861 4.113 20 0 DIADHN CCCC[C@@H](CC)CCC(=O)N1CC2(C1)CN(C1CCCC1)C2 ZINC001273238484 1057739002 /nfs/dbraw/zinc/73/90/02/1057739002.db2.gz FAWXVNVMEFUMNW-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CN(CCCc1ccccc1)Cn1ccc(-c2cccs2)n1 ZINC000731658023 1057749814 /nfs/dbraw/zinc/74/98/14/1057749814.db2.gz CLFVUCUWMRKIGY-UHFFFAOYSA-N 0 3 311.454 4.134 20 0 DIADHN c1cc(-c2ccn(CN(CCc3ccccc3)C3CC3)n2)cs1 ZINC000731661461 1057750403 /nfs/dbraw/zinc/75/04/03/1057750403.db2.gz MZGZHFPPVYQAPX-UHFFFAOYSA-N 0 3 323.465 4.276 20 0 DIADHN CCN(CCc1cccs1)Cn1ccc(-c2ccccc2)n1 ZINC000731661913 1057751291 /nfs/dbraw/zinc/75/12/91/1057751291.db2.gz XEQRNZCBLOMREI-UHFFFAOYSA-N 0 3 311.454 4.134 20 0 DIADHN Clc1ccc(CN2CCCC[C@@H]2Cc2ccccc2)cn1 ZINC000731751060 1057753454 /nfs/dbraw/zinc/75/34/54/1057753454.db2.gz GNTAXMYNRJZCMS-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1ccccc1CF ZINC001119818293 1057755449 /nfs/dbraw/zinc/75/54/49/1057755449.db2.gz NOPSFZBTCNCAFG-ZDUSSCGKSA-N 0 3 303.425 4.267 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1c1ccc(C)cc1 ZINC000731868617 1057757374 /nfs/dbraw/zinc/75/73/74/1057757374.db2.gz MASBCDSSRWMEPI-IBGZPJMESA-N 0 3 316.489 4.171 20 0 DIADHN COc1c(C)cnc(CN([C@@H](C)c2ccccc2)C2CC2)c1C ZINC000731890736 1057757515 /nfs/dbraw/zinc/75/75/15/1057757515.db2.gz YPGXHTNIZIKHER-INIZCTEOSA-N 0 3 310.441 4.433 20 0 DIADHN Cc1cc(CO)cc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001243704702 1057757680 /nfs/dbraw/zinc/75/76/80/1057757680.db2.gz YFFNJARNYRBZSB-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN S=c1oc2ccccc2n1CN1CC[C@H](c2ccco2)C1 ZINC000732183264 1057769117 /nfs/dbraw/zinc/76/91/17/1057769117.db2.gz NRRZSLWYWLFNEX-LBPRGKRZSA-N 0 3 300.383 4.004 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1c1cccc(C)c1 ZINC000732276169 1057771279 /nfs/dbraw/zinc/77/12/79/1057771279.db2.gz VHEXGWOFPIIHAJ-IBGZPJMESA-N 0 3 316.489 4.171 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)c1ccc(C)cc1 ZINC000732269876 1057772424 /nfs/dbraw/zinc/77/24/24/1057772424.db2.gz WPCSPTSUDAFIAR-QGZVFWFLSA-N 0 3 304.478 4.027 20 0 DIADHN Cc1nc(OCC(C)C)ccc1-c1cnccc1/C=C/N(C)C ZINC001243790714 1057780470 /nfs/dbraw/zinc/78/04/70/1057780470.db2.gz AIYFNMYYAKLUGF-PKNBQFBNSA-N 0 3 311.429 4.019 20 0 DIADHN C[C@H](NCCCOCCc1ccccc1)c1c(F)cccc1F ZINC000732917515 1057790049 /nfs/dbraw/zinc/79/00/49/1057790049.db2.gz WFCMXOTXWYHVJG-HNNXBMFYSA-N 0 3 319.395 4.265 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cn1nccc1-c1cccnc1 ZINC000732980615 1057795200 /nfs/dbraw/zinc/79/52/00/1057795200.db2.gz ZBVLJYMQCQVJQA-FQEVSTJZSA-N 0 3 320.440 4.232 20 0 DIADHN CO[C@@H](CN[C@@H](Cc1ccccc1)c1ccccc1)C(F)(F)F ZINC000733323495 1057812096 /nfs/dbraw/zinc/81/20/96/1057812096.db2.gz IPKBTBLNWMLXHA-IRXDYDNUSA-N 0 3 323.358 4.137 20 0 DIADHN CO[C@@H](CNCc1cc(-c2ccccc2)cs1)C(F)(F)F ZINC000733330489 1057814142 /nfs/dbraw/zinc/81/41/42/1057814142.db2.gz ZMHPDHBEBUHUOS-AWEZNQCLSA-N 0 3 315.360 4.082 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(C(F)(F)F)cc2Cl)c1 ZINC001243983295 1057828907 /nfs/dbraw/zinc/82/89/07/1057828907.db2.gz OQAQJNBWOVZKMC-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN O=C1CCCc2cc(-c3cnccc3CN3CCCCC3)ccc21 ZINC001243992042 1057831367 /nfs/dbraw/zinc/83/13/67/1057831367.db2.gz OJWVENJWEBMZTI-UHFFFAOYSA-N 0 3 320.436 4.254 20 0 DIADHN COC(=O)c1c(C)cc(-c2ccc(C3=NCCC3)cc2)cc1C ZINC001244005218 1057836106 /nfs/dbraw/zinc/83/61/06/1057836106.db2.gz VYUMYHWFJDKJRI-UHFFFAOYSA-N 0 3 307.393 4.340 20 0 DIADHN Fc1cc(-c2ccc3c(c2)NCC3)ccc1CN1CCCCC1 ZINC001244024598 1057841910 /nfs/dbraw/zinc/84/19/10/1057841910.db2.gz SMYVEQRJKITVGN-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN COc1cc(-c2cccc(CN(C)C)n2)ccc1-c1ccccc1 ZINC001244141782 1057884140 /nfs/dbraw/zinc/88/41/40/1057884140.db2.gz JWCWBEIYNAVMGL-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN COc1cc(-c2cc(CN(C)C)ccn2)ccc1-c1ccccc1 ZINC001244144987 1057886178 /nfs/dbraw/zinc/88/61/78/1057886178.db2.gz VEPZGHAPDONZCB-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN Cc1cc(N2CCOCC2)ccc1-c1cccc(C2=NCCC2)c1 ZINC001244164607 1057889258 /nfs/dbraw/zinc/88/92/58/1057889258.db2.gz DOVUACDIYSYXSG-UHFFFAOYSA-N 0 3 320.436 4.082 20 0 DIADHN Nc1nc2cc(-c3ccc(COc4ccccc4)cc3)ccc2[nH]1 ZINC001244187143 1057895567 /nfs/dbraw/zinc/89/55/67/1057895567.db2.gz NUTQNKVEXWOUDQ-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN Nc1nc2ccc(-c3ccc(COc4ccccc4)cc3)cc2[nH]1 ZINC001244187143 1057895570 /nfs/dbraw/zinc/89/55/70/1057895570.db2.gz NUTQNKVEXWOUDQ-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN Cc1nn(C)c2cc(-c3ccc(F)c(CN4CCCC4)c3)ccc12 ZINC001244238050 1057905884 /nfs/dbraw/zinc/90/58/84/1057905884.db2.gz YJPRVIYGTHVMQM-UHFFFAOYSA-N 0 3 323.415 4.284 20 0 DIADHN CSc1ncc(-c2ccc3c(N)ccnc3c2)cc1Cl ZINC001244244685 1057908582 /nfs/dbraw/zinc/90/85/82/1057908582.db2.gz GCQQWFYVKOZPRZ-UHFFFAOYSA-N 0 3 301.802 4.254 20 0 DIADHN COc1cccc(C2CC2)c1-c1ccc(CN(C)C2CC2)cn1 ZINC001244253631 1057911688 /nfs/dbraw/zinc/91/16/88/1057911688.db2.gz IRJFRHANIHRLAX-UHFFFAOYSA-N 0 3 308.425 4.229 20 0 DIADHN Cc1cc(C2CC2)ccc1-c1cc(OC2CCN(C)CC2)ccn1 ZINC001244277850 1057921523 /nfs/dbraw/zinc/92/15/23/1057921523.db2.gz MSADZWYJZVIAIM-UHFFFAOYSA-N 0 3 322.452 4.407 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(C3CC3)cc2C)c1 ZINC001244278466 1057922344 /nfs/dbraw/zinc/92/23/44/1057922344.db2.gz RWBBVMYRRUIIAY-UHFFFAOYSA-N 0 3 310.441 4.013 20 0 DIADHN CCc1cc(-c2cccc(CN(CC)CC)c2F)ccc1N ZINC001244309222 1057930479 /nfs/dbraw/zinc/93/04/79/1057930479.db2.gz LEVSOWNJIIPQEW-UHFFFAOYSA-N 0 3 300.421 4.479 20 0 DIADHN O=c1ccc2c(cccc2-c2ccc(F)c(CN3CCCC3)c2)[nH]1 ZINC001244319766 1057933148 /nfs/dbraw/zinc/93/31/48/1057933148.db2.gz WFMXYYUDNLMTDX-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1ccc2c(c1)C1(CC2)CC[NH2+]CC1 ZINC001244469699 1057968434 /nfs/dbraw/zinc/96/84/34/1057968434.db2.gz OZEQUNGTBAUWLR-UHFFFAOYSA-N 0 3 313.828 4.280 20 0 DIADHN Cc1cc(-c2ccc3c(c2)C2(CC3)CC[NH2+]CC2)cc([O-])c1F ZINC001244518107 1057983959 /nfs/dbraw/zinc/98/39/59/1057983959.db2.gz QJNDJYNGNIXLNL-UHFFFAOYSA-N 0 3 311.400 4.074 20 0 DIADHN Nc1ccncc1-c1c(Cl)ccc(C(F)(F)F)c1Cl ZINC001244546297 1057993238 /nfs/dbraw/zinc/99/32/38/1057993238.db2.gz VEPCQRYMEOBSSF-UHFFFAOYSA-N 0 3 307.102 4.487 20 0 DIADHN Cc1cc(-c2ccccc2C2CC[NH2+]CC2)cc(Cl)c1[O-] ZINC001244553071 1057994154 /nfs/dbraw/zinc/99/41/54/1057994154.db2.gz CACUYDRJIKMZGG-UHFFFAOYSA-N 0 3 301.817 4.488 20 0 DIADHN CCc1cc(-c2ccc(CN3CCCC3)c(F)c2)ccc1CO ZINC001244650165 1058020074 /nfs/dbraw/zinc/02/00/74/1058020074.db2.gz IMTSEMGTTGONOC-UHFFFAOYSA-N 0 3 313.416 4.143 20 0 DIADHN FC(F)(F)c1cc(Cl)ccc1-c1ccc2c(n1)CNCC2 ZINC001244771216 1058044663 /nfs/dbraw/zinc/04/46/63/1058044663.db2.gz SQTJGGQVVOZTKC-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN Cc1cc(Cl)ncc1-c1cccc(CN2CCCC2)c1F ZINC001244916929 1058071197 /nfs/dbraw/zinc/07/11/97/1058071197.db2.gz QXCVYTYGQDLMLK-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN Cc1c(F)ccc(F)c1-c1cnccc1CN1CCCCC1 ZINC001244986853 1058087045 /nfs/dbraw/zinc/08/70/45/1058087045.db2.gz JJVVKACPLBTASL-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN c1cc(-c2cnccc2N2CCOCC2)cc(C2CCCC2)c1 ZINC001244988868 1058087555 /nfs/dbraw/zinc/08/75/55/1058087555.db2.gz PDIOFAPOLGGEGK-UHFFFAOYSA-N 0 3 308.425 4.243 20 0 DIADHN COc1c(O)cccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001244992134 1058088320 /nfs/dbraw/zinc/08/83/20/1058088320.db2.gz GFTKINSFBPPZKY-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(O)c2OC)ccc1F ZINC001244992214 1058088334 /nfs/dbraw/zinc/08/83/34/1058088334.db2.gz HMQAECZFHHOFSC-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN COc1c(O)cccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001244993695 1058088633 /nfs/dbraw/zinc/08/86/33/1058088633.db2.gz LLSYNSVXSIRXRA-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1c(Cl)cc(CO)cc1Cl ZINC001245010748 1058091947 /nfs/dbraw/zinc/09/19/47/1058091947.db2.gz GRFKFXISQGTUAQ-GQCTYLIASA-N 0 3 323.223 4.080 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(OC)ncc2Cl)c1F ZINC001245038434 1058099900 /nfs/dbraw/zinc/09/99/00/1058099900.db2.gz BBOUHDJSNIYOHK-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(Cl)cc(CO)c2)c1F ZINC001245094568 1058111786 /nfs/dbraw/zinc/11/17/86/1058111786.db2.gz XKRHKGRGRFGAEJ-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCOc1cc(-c2ccc(F)c(CN(CC)CC)c2)ccn1 ZINC001245269370 1058137179 /nfs/dbraw/zinc/13/71/79/1058137179.db2.gz VFUVACKPRJLMCP-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc3c(c2)Cc2ccccc2-3)c1 ZINC001245283491 1058140410 /nfs/dbraw/zinc/14/04/10/1058140410.db2.gz DLHIYZOHIKTPMV-UHFFFAOYSA-N 0 3 300.405 4.381 20 0 DIADHN CN(Cc1ccc(-c2ccc3cc(N)ccc3c2)nc1)C1CC1 ZINC001245366758 1058153485 /nfs/dbraw/zinc/15/34/85/1058153485.db2.gz GZBDXMKYGLVDPI-UHFFFAOYSA-N 0 3 303.409 4.078 20 0 DIADHN Fc1ccc(-c2c[nH]c3cnccc23)cc1CN1CCCCC1 ZINC001245398685 1058159662 /nfs/dbraw/zinc/15/96/62/1058159662.db2.gz FJWRKGHKXJYPHF-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN COc1cc2ccccc2cc1-c1cnccc1/C=C/N(C)C ZINC001245420349 1058163954 /nfs/dbraw/zinc/16/39/54/1058163954.db2.gz SYJSTPJBECJENU-PKNBQFBNSA-N 0 3 304.393 4.443 20 0 DIADHN Cc1ccc(OC(C)C)cc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245423369 1058164209 /nfs/dbraw/zinc/16/42/09/1058164209.db2.gz NFKOBLDFUCVTQQ-GOSISDBHSA-N 0 3 315.457 4.050 20 0 DIADHN COc1ccc2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc2c1 ZINC001245427791 1058164573 /nfs/dbraw/zinc/16/45/73/1058164573.db2.gz YIULOPFFTZOQAI-HXUWFJFHSA-N 0 3 323.436 4.117 20 0 DIADHN Cc1ccc2cc(C3=CC[C@@H](N4CCOCC4)CC3)cc(C)c2n1 ZINC001245427707 1058164601 /nfs/dbraw/zinc/16/46/01/1058164601.db2.gz NEWWUXCNLJESJE-HXUWFJFHSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc2cc(C3=CC[C@H](N4CCOCC4)CC3)cc(C)c2n1 ZINC001245427705 1058164618 /nfs/dbraw/zinc/16/46/18/1058164618.db2.gz NEWWUXCNLJESJE-FQEVSTJZSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1cc2nccc(C3=CC[C@H](N4CCOCC4)CC3)c2cc1C ZINC001245426232 1058164773 /nfs/dbraw/zinc/16/47/73/1058164773.db2.gz BKCREUKOFNXRIX-SFHVURJKSA-N 0 3 322.452 4.120 20 0 DIADHN Fc1cnc2[nH]cc(-c3ccc(CN4CCCC4)c(F)c3)c2c1 ZINC001245433245 1058165995 /nfs/dbraw/zinc/16/59/95/1058165995.db2.gz QKTICGKINVZSMS-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN Cc1c(N)c(F)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001245463786 1058172282 /nfs/dbraw/zinc/17/22/82/1058172282.db2.gz NXRHFFGKUWUNGE-UHFFFAOYSA-N 0 3 302.368 4.118 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001545565257 1058210135 /nfs/dbraw/zinc/21/01/35/1058210135.db2.gz FGAXTJSYNUZHHS-XHSDSOJGSA-N 0 3 302.462 4.085 20 0 DIADHN Cc1cc(F)c(-c2cncc(CN3CCCCC3)c2)cc1F ZINC001245544191 1058222714 /nfs/dbraw/zinc/22/27/14/1058222714.db2.gz YHFBYMKVJVCCJF-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CN(C)CCOc1ccccc1-c1ccc2c(c1)C(=O)CCCC2 ZINC001245600789 1058278812 /nfs/dbraw/zinc/27/88/12/1058278812.db2.gz RQSWNFDSIIAXEQ-UHFFFAOYSA-N 0 3 323.436 4.203 20 0 DIADHN FC(F)C1(CN2CCC[C@@H]3O[C@@H](c4ccccc4)C[C@H]32)CC1 ZINC001549107261 1058282957 /nfs/dbraw/zinc/28/29/57/1058282957.db2.gz ODOZJAPYFCVYOL-OWCLPIDISA-N 0 3 307.384 4.026 20 0 DIADHN COc1ccc(-c2ccc(-c3ncco3)cc2)cc1CN(C)C ZINC001245620229 1058293266 /nfs/dbraw/zinc/29/32/66/1058293266.db2.gz CLZNBKLTLVUYTR-UHFFFAOYSA-N 0 3 308.381 4.079 20 0 DIADHN C[C@H](N[C@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1ccccn1 ZINC001549775806 1058305421 /nfs/dbraw/zinc/30/54/21/1058305421.db2.gz TXTOFJYTUXKRLH-KKXDTOCCSA-N 0 3 316.832 4.306 20 0 DIADHN [O-]c1cccc(F)c1-c1ccc(-c2c[nH]c([C@@H]3CCC[NH2+]3)n2)cc1 ZINC001245655386 1058315297 /nfs/dbraw/zinc/31/52/97/1058315297.db2.gz CLNZKXPOAFDTFO-HNNXBMFYSA-N 0 3 323.371 4.013 20 0 DIADHN CCCC[C@@H](C)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001518298732 1058330545 /nfs/dbraw/zinc/33/05/45/1058330545.db2.gz CFVUCNHCVZSFMH-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@H](C)c1cncc(C)c1 ZINC001551394479 1058390679 /nfs/dbraw/zinc/39/06/79/1058390679.db2.gz UXOJNYRNCGUJCB-AGKSTNPPSA-N 0 3 310.441 4.373 20 0 DIADHN Fc1ccc(Cl)c(F)c1-c1cncc(CN2CCCC2)c1 ZINC001245723944 1058398452 /nfs/dbraw/zinc/39/84/52/1058398452.db2.gz RDGNSPDDNPQGOJ-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN COc1c(Cl)ccc(F)c1-c1cncc(CN2CCCC2)c1 ZINC001245732411 1058411873 /nfs/dbraw/zinc/41/18/73/1058411873.db2.gz SWXMGLWXEFFQGW-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COc1c(Cl)ccc(F)c1-c1cnccc1/C=C/N(C)C ZINC001245733788 1058412921 /nfs/dbraw/zinc/41/29/21/1058412921.db2.gz KUJXVGQVBJGPLX-VQHVLOKHSA-N 0 3 306.768 4.082 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001519530097 1058432034 /nfs/dbraw/zinc/43/20/34/1058432034.db2.gz WKUUEGXFPLKTMD-INIZCTEOSA-N 0 3 304.478 4.044 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001519530098 1058432225 /nfs/dbraw/zinc/43/22/25/1058432225.db2.gz WKUUEGXFPLKTMD-MRXNPFEDSA-N 0 3 304.478 4.044 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001528620146 1058469369 /nfs/dbraw/zinc/46/93/69/1058469369.db2.gz SKNOULORWJMLAE-IBGZPJMESA-N 0 3 322.537 4.173 20 0 DIADHN CCc1cc(-c2ccnc(CN)c2)ccc1OCc1ccccc1 ZINC001245797058 1058479163 /nfs/dbraw/zinc/47/91/63/1058479163.db2.gz ILYRDTPEHQFJCB-UHFFFAOYSA-N 0 3 318.420 4.349 20 0 DIADHN CC(C)(C)N1CC[C@@](F)(C(=O)Nc2ccc(C3CCC3)cc2)C1 ZINC001519830253 1058488082 /nfs/dbraw/zinc/48/80/82/1058488082.db2.gz TYYSSVYBCIRZOR-IBGZPJMESA-N 0 3 318.436 4.105 20 0 DIADHN c1oc(-c2ccccn2)nc1CN[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001553868987 1058502622 /nfs/dbraw/zinc/50/26/22/1058502622.db2.gz WTQXZSDKDICOHB-QZTJIDSGSA-N 0 3 319.408 4.163 20 0 DIADHN CC(C)(C)Sc1ccc(NC(=O)C23CCCN2CCC3)cc1 ZINC001519925059 1058506991 /nfs/dbraw/zinc/50/69/91/1058506991.db2.gz CBMSYYPKANUMBX-UHFFFAOYSA-N 0 3 318.486 4.144 20 0 DIADHN c1cc2c(cccc2C2CCN(Cc3conc3C3CC3)CC2)[nH]1 ZINC001553899745 1058509613 /nfs/dbraw/zinc/50/96/13/1058509613.db2.gz OLXVLUKAAHSNEQ-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN COc1cc(-c2ccnc(CN)c2)ccc1Oc1ccccc1 ZINC001245820757 1058510457 /nfs/dbraw/zinc/51/04/57/1058510457.db2.gz GKPHHQRPZHTKSW-UHFFFAOYSA-N 0 3 306.365 4.008 20 0 DIADHN COc1cc(-c2ccc(CN)nc2)ccc1Oc1ccccc1 ZINC001245821768 1058514324 /nfs/dbraw/zinc/51/43/24/1058514324.db2.gz AKLRCEZYVSSAPU-UHFFFAOYSA-N 0 3 306.365 4.008 20 0 DIADHN Oc1ccc(F)c(-c2cnccc2CN2CCCCC2)c1Cl ZINC001245834349 1058536746 /nfs/dbraw/zinc/53/67/46/1058536746.db2.gz GKPBNXGYJRVNTB-UHFFFAOYSA-N 0 3 320.795 4.233 20 0 DIADHN CSc1c(Cl)c(C)ccc1-c1cccc(CN(C)C)n1 ZINC001245833921 1058537846 /nfs/dbraw/zinc/53/78/46/1058537846.db2.gz RCJIARXHLYOJIL-UHFFFAOYSA-N 0 3 306.862 4.494 20 0 DIADHN CSc1c(Cl)c(C)ccc1-c1cc(CN(C)C)ccn1 ZINC001245840074 1058546650 /nfs/dbraw/zinc/54/66/50/1058546650.db2.gz PCMOZMYORCXIDY-UHFFFAOYSA-N 0 3 306.862 4.494 20 0 DIADHN CC(C)CCCCCC(=O)N1CCN(C2CCCCC2)CC1 ZINC001520127281 1058546987 /nfs/dbraw/zinc/54/69/87/1058546987.db2.gz UHPREKWHWCLEBP-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CCN(CC)Cc1cc(-c2c(F)ccc(CO)c2F)ccc1F ZINC001245854638 1058560614 /nfs/dbraw/zinc/56/06/14/1058560614.db2.gz PDXYZXVNJCHMIF-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CC(C)Oc1c(F)cc(-c2nccc3c2CCN3)cc1Cl ZINC001245867967 1058575749 /nfs/dbraw/zinc/57/57/49/1058575749.db2.gz LTGLTUTZRYLPLK-UHFFFAOYSA-N 0 3 306.768 4.296 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC)nc2Cl)c1F ZINC001245879247 1058585773 /nfs/dbraw/zinc/58/57/73/1058585773.db2.gz YCODIBRSFURJBN-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NCCN1CCCC(C)(C)C1 ZINC001556385453 1058587566 /nfs/dbraw/zinc/58/75/66/1058587566.db2.gz JGMDGFNKJKZCEA-LJQANCHMSA-N 0 3 310.526 4.221 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)[C@@H]2CCCN2CC(C)C)cc1 ZINC001556484268 1058599433 /nfs/dbraw/zinc/59/94/33/1058599433.db2.gz SGZZMMQMLSGXCE-SFHVURJKSA-N 0 3 316.489 4.433 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001520724511 1058670571 /nfs/dbraw/zinc/67/05/71/1058670571.db2.gz IZQVJNDWGBNTEI-WMZOPIPTSA-N 0 3 316.489 4.112 20 0 DIADHN CCN(C(=O)c1ccc(C(C)C)c(Cl)c1)[C@@H]1CCN(CC)C1 ZINC001557444672 1058752743 /nfs/dbraw/zinc/75/27/43/1058752743.db2.gz CRHOWGOTZFUREB-OAHLLOKOSA-N 0 3 322.880 4.020 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H]1CCCc2c(C)cccc21 ZINC001557647667 1058780765 /nfs/dbraw/zinc/78/07/65/1058780765.db2.gz CCLSQVUEZRONJZ-IBGZPJMESA-N 0 3 316.489 4.001 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1CCN(C)C(C)(C)CC1 ZINC001557733048 1058786721 /nfs/dbraw/zinc/78/67/21/1058786721.db2.gz ZYAKCHKMILHNLW-LJQANCHMSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001558321638 1058861557 /nfs/dbraw/zinc/86/15/57/1058861557.db2.gz DXCGEFHDBNGSKM-FXAWDEMLSA-N 0 3 322.537 4.458 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N[C@H]2CN(C)CC2(C)C)CC1 ZINC001558502972 1058878161 /nfs/dbraw/zinc/87/81/61/1058878161.db2.gz LHORMVDFEOEKBG-JCYILVPMSA-N 0 3 322.537 4.076 20 0 DIADHN CCCC(CCC)C(=O)N(CCN(C)C)Cc1cccc(C)c1 ZINC001529928146 1058887759 /nfs/dbraw/zinc/88/77/59/1058887759.db2.gz YOAXIVKGHVUQJF-UHFFFAOYSA-N 0 3 318.505 4.102 20 0 DIADHN CCC[C@](C)(NC(=O)[C@H](C)N1CCCCCC1)c1ccccc1 ZINC001558780709 1058910681 /nfs/dbraw/zinc/91/06/81/1058910681.db2.gz VLERIVMVZRMEEH-PXNSSMCTSA-N 0 3 316.489 4.083 20 0 DIADHN CC1(C)CCc2ccccc2[C@H]1NCc1cc(CO)ccc1F ZINC000528437271 1058967525 /nfs/dbraw/zinc/96/75/25/1058967525.db2.gz PHPKVIDEMDESTR-LJQANCHMSA-N 0 3 313.416 4.121 20 0 DIADHN CC1CCC(N2CCN(C(=O)CC3(C)CCCCC3)CC2)CC1 ZINC001521787080 1058982916 /nfs/dbraw/zinc/98/29/16/1058982916.db2.gz WPWBLSJBOZVKOZ-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1Cc2ccccc2-c2ccccc21 ZINC001530290716 1058995520 /nfs/dbraw/zinc/99/55/20/1058995520.db2.gz GDJQWZMSLIJEBB-FQEVSTJZSA-N 0 3 320.436 4.073 20 0 DIADHN CCCC[C@@H](C(=O)Nc1ccc(C)cc1CN(C)C)C(C)C ZINC001521891315 1059023805 /nfs/dbraw/zinc/02/38/05/1059023805.db2.gz CEZPQRFNLSQXAT-QGZVFWFLSA-N 0 3 304.478 4.458 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCc2ccc(N)cc2C1 ZINC001246907911 1059168096 /nfs/dbraw/zinc/16/80/96/1059168096.db2.gz WFLXGYKADOQBDM-LBPRGKRZSA-N 0 3 318.823 4.051 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CCc2c(N)cccc2C1 ZINC001246933539 1059186601 /nfs/dbraw/zinc/18/66/01/1059186601.db2.gz KAZALUOKSNWVLM-GFCCVEGCSA-N 0 3 318.823 4.051 20 0 DIADHN CC(C)(CCN1CCc2c(cnn2-c2ccccc2)C1)C1CC1 ZINC001559481465 1059252515 /nfs/dbraw/zinc/25/25/15/1059252515.db2.gz SFLZDVGHXBKAHN-UHFFFAOYSA-N 0 3 309.457 4.057 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N(C)CCN(C)Cc1ccccc1 ZINC001559487967 1059259548 /nfs/dbraw/zinc/25/95/48/1059259548.db2.gz SQGMLENGCTZROO-OAHLLOKOSA-N 0 3 318.411 4.090 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2ccc(F)cc2F)c1 ZINC001559490886 1059270350 /nfs/dbraw/zinc/27/03/50/1059270350.db2.gz PJCVIOFZGWRDMA-KRWDZBQOSA-N 0 3 317.379 4.181 20 0 DIADHN C[C@@H](c1ccc(CN(C)C[C@H]2CCCCO2)cc1)C(F)(F)F ZINC001559495617 1059274684 /nfs/dbraw/zinc/27/46/84/1059274684.db2.gz MOYMRLQOZIOVGV-XJKSGUPXSA-N 0 3 315.379 4.353 20 0 DIADHN COc1cc(CN(C)CCSC2CCCCC2)cc(OC)c1 ZINC001559498356 1059280293 /nfs/dbraw/zinc/28/02/93/1059280293.db2.gz HFAFUUIRLQDYBS-UHFFFAOYSA-N 0 3 323.502 4.202 20 0 DIADHN COCCN(Cc1ccc(C)cc1C)C[C@@H]1CCCC(C)(C)O1 ZINC001559503582 1059289652 /nfs/dbraw/zinc/28/96/52/1059289652.db2.gz FBSQYSPZJHHJBY-IBGZPJMESA-N 0 3 319.489 4.100 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C2CC2)C2CCCC2)c1 ZINC001559533119 1059327805 /nfs/dbraw/zinc/32/78/05/1059327805.db2.gz BFRXHYRKLXSGQR-UHFFFAOYSA-N 0 3 307.821 4.034 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)cc1Cl)C1CC1 ZINC001559530611 1059331504 /nfs/dbraw/zinc/33/15/04/1059331504.db2.gz AHTVXVXPJWATCK-KBPBESRZSA-N 0 3 322.880 4.486 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@]3(CC=CCC3)C2)c(C)s1 ZINC001559536795 1059333246 /nfs/dbraw/zinc/33/32/46/1059333246.db2.gz GDHHTCPTWZINDV-GOSISDBHSA-N 0 3 319.470 4.165 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@@H](C(C)(C)C)CC2)c1 ZINC001559542005 1059343634 /nfs/dbraw/zinc/34/36/34/1059343634.db2.gz ZBRFSNUGMIGEPC-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001559547487 1059356215 /nfs/dbraw/zinc/35/62/15/1059356215.db2.gz XCCPXKGODVGKDV-CVEARBPZSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1cc(Cl)ccc1-c1noc(C[C@@H](N)c2cccs2)n1 ZINC001247258825 1059362699 /nfs/dbraw/zinc/36/26/99/1059362699.db2.gz HWXFXWDAAYFHDQ-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN Cc1ccc(Cl)c(-c2noc(C[C@@H](N)c3cccs3)n2)c1 ZINC001247259288 1059364071 /nfs/dbraw/zinc/36/40/71/1059364071.db2.gz QKANVNLLLUXTCQ-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@H](O)c2cccc(C)c2)s1 ZINC001559555349 1059368640 /nfs/dbraw/zinc/36/86/40/1059368640.db2.gz ALDDVUWVJWTFNW-HOCLYGCPSA-N 0 3 318.486 4.049 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@@H](O)c2cccc(C)c2)s1 ZINC001559555347 1059370993 /nfs/dbraw/zinc/37/09/93/1059370993.db2.gz ALDDVUWVJWTFNW-GOEBONIOSA-N 0 3 318.486 4.049 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2cncc(Cl)c2)C1 ZINC001559554841 1059372001 /nfs/dbraw/zinc/37/20/01/1059372001.db2.gz MEICJFHAUSJGMW-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1nc(CN[C@](C)(CO)C2CCCCC2)sc1C(C)(C)C ZINC001559556126 1059372088 /nfs/dbraw/zinc/37/20/88/1059372088.db2.gz SQDWGLSWBXFQAY-GOSISDBHSA-N 0 3 324.534 4.170 20 0 DIADHN CCSc1ccccc1CN(Cc1ccccc1)C[C@@H](C)O ZINC001559558610 1059374994 /nfs/dbraw/zinc/37/49/94/1059374994.db2.gz ZSWHDIKKJORJBK-MRXNPFEDSA-N 0 3 315.482 4.182 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001559560201 1059378061 /nfs/dbraw/zinc/37/80/61/1059378061.db2.gz GXOMVSMDGZNFNF-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN CCCCCC(C)(C)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001523848882 1059378292 /nfs/dbraw/zinc/37/82/92/1059378292.db2.gz YDQYBOYXIXKMAA-SJLPKXTDSA-N 0 3 318.505 4.401 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cccc(OC(C)(C)C)n2)C1 ZINC001559564296 1059387392 /nfs/dbraw/zinc/38/73/92/1059387392.db2.gz MRXASECOGBXXSC-CVEARBPZSA-N 0 3 322.518 4.365 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1nc2ccccc2s1 ZINC001559572182 1059406434 /nfs/dbraw/zinc/40/64/34/1059406434.db2.gz OWIRYOMALVGTFK-UHFFFAOYSA-N 0 3 319.474 4.137 20 0 DIADHN CC[C@@H](CN1CCC[C@H]1c1ccccc1Br)OC ZINC001559598484 1059431545 /nfs/dbraw/zinc/43/15/45/1059431545.db2.gz XIBTZGRHTVXBAK-WFASDCNBSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1cncc(Cl)c1 ZINC001559590162 1059424251 /nfs/dbraw/zinc/42/42/51/1059424251.db2.gz JCUFWOBEAATCLA-WMLDXEAASA-N 0 3 300.833 4.188 20 0 DIADHN C[C@@H]1c2ccccc2CCN1C[C@H](O)c1ccc(Cl)c(F)c1 ZINC001559591376 1059424540 /nfs/dbraw/zinc/42/45/40/1059424540.db2.gz WMOJHFLPAXJRTQ-XIKOKIGWSA-N 0 3 319.807 4.132 20 0 DIADHN CC(C)[C@@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)C1 ZINC001559596450 1059431631 /nfs/dbraw/zinc/43/16/31/1059431631.db2.gz OAYGEORYMABCBI-LJQANCHMSA-N 0 3 322.452 4.270 20 0 DIADHN CC[C@H](CN1CCC[C@@H]1c1ccccc1Br)OC ZINC001559598482 1059432619 /nfs/dbraw/zinc/43/26/19/1059432619.db2.gz XIBTZGRHTVXBAK-IUODEOHRSA-N 0 3 312.251 4.011 20 0 DIADHN CCC(CC)CC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001524120160 1059442332 /nfs/dbraw/zinc/44/23/32/1059442332.db2.gz YNRJDKWFXHKUBU-LJQANCHMSA-N 0 3 318.505 4.086 20 0 DIADHN CO[C@H](CN1CCCC[C@H]1c1ccc(F)cc1F)C1CCC1 ZINC001559612635 1059445476 /nfs/dbraw/zinc/44/54/76/1059445476.db2.gz MAQIDLXJTWBHLA-ZWKOTPCHSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccc3c(c1)CCC3)CCC2 ZINC001559622803 1059450680 /nfs/dbraw/zinc/45/06/80/1059450680.db2.gz WLVIBYWPTZLBGI-GOSISDBHSA-N 0 3 312.482 4.450 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC[C@H]1CCC[C@@H](C)C1 ZINC001559625222 1059453009 /nfs/dbraw/zinc/45/30/09/1059453009.db2.gz LKCQBVNLSFCJDZ-UKRRQHHQSA-N 0 3 305.491 4.352 20 0 DIADHN Fc1ccc(C2(NCc3ccc(F)c4cccnc34)CC2)cc1 ZINC001559624978 1059453448 /nfs/dbraw/zinc/45/34/48/1059453448.db2.gz YNXQLLOVPNYAKW-UHFFFAOYSA-N 0 3 310.347 4.292 20 0 DIADHN Fc1cccc(CN(Cc2ccnc(F)c2)C2CCCC2)c1 ZINC001559635064 1059460521 /nfs/dbraw/zinc/46/05/21/1059460521.db2.gz JHVYRWWRLABEOR-UHFFFAOYSA-N 0 3 302.368 4.305 20 0 DIADHN CN(C)c1cccc(CN(Cc2ccc(F)cc2)C(C)(C)C)n1 ZINC001559637897 1059464041 /nfs/dbraw/zinc/46/40/41/1059464041.db2.gz DWYKAWQWJXMXER-UHFFFAOYSA-N 0 3 315.436 4.087 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(C)c(OC)c2)no1 ZINC001247417078 1059467669 /nfs/dbraw/zinc/46/76/69/1059467669.db2.gz IKXJQKCSYLBPEI-AWEZNQCLSA-N 0 3 317.433 4.237 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(C)cc(F)c2)no1 ZINC001247416667 1059468152 /nfs/dbraw/zinc/46/81/52/1059468152.db2.gz CUAUWKDKQXCWQN-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(OC)cc2F)no1 ZINC001247417602 1059468223 /nfs/dbraw/zinc/46/82/23/1059468223.db2.gz OWSVZZRYPOVABA-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(C)cc2OC)no1 ZINC001247416795 1059468364 /nfs/dbraw/zinc/46/83/64/1059468364.db2.gz GIFBZHOKUXFUHI-AWEZNQCLSA-N 0 3 317.433 4.237 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccccc2OCC)no1 ZINC001247417118 1059468675 /nfs/dbraw/zinc/46/86/75/1059468675.db2.gz JMCMHLMNVDFARP-AWEZNQCLSA-N 0 3 317.433 4.318 20 0 DIADHN O[C@H](c1ccccc1)[C@@H]1CCCCN1Cc1sccc1Cl ZINC001559644301 1059469627 /nfs/dbraw/zinc/46/96/27/1059469627.db2.gz XLJSTHQYLRFNSB-DOTOQJQBSA-N 0 3 321.873 4.490 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@@H](CC(C)C)C2)cc1Cl ZINC001559649599 1059476786 /nfs/dbraw/zinc/47/67/86/1059476786.db2.gz KNWIMSQQQOODDV-AWEZNQCLSA-N 0 3 323.864 4.385 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@H](CC(C)C)C2)cc1Cl ZINC001559649600 1059476826 /nfs/dbraw/zinc/47/68/26/1059476826.db2.gz KNWIMSQQQOODDV-CQSZACIVSA-N 0 3 323.864 4.385 20 0 DIADHN CC(C)(C)c1nc(CN2CCC[C@@H]2CCC2CCCCC2)n[nH]1 ZINC001559652426 1059483438 /nfs/dbraw/zinc/48/34/38/1059483438.db2.gz LKSFNDKTLXQPFV-MRXNPFEDSA-N 0 3 318.509 4.427 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CCN(c2ccc(F)cc2Cl)CC1 ZINC001559659725 1059488378 /nfs/dbraw/zinc/48/83/78/1059488378.db2.gz YDAUTTKOHWALBJ-CABCVRRESA-N 0 3 324.871 4.427 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCOCc1ccccc1 ZINC001559659070 1059488553 /nfs/dbraw/zinc/48/85/53/1059488553.db2.gz ZKEWMTCSKVXYQB-CVEARBPZSA-N 0 3 313.416 4.350 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC001559673742 1059499885 /nfs/dbraw/zinc/49/98/85/1059499885.db2.gz RQJXRYSOPRVQNN-ZDUSSCGKSA-N 0 3 319.399 4.019 20 0 DIADHN CC[C@@H](NCc1ccc(OC(C)=O)cc1)c1ccc(C)c(F)c1 ZINC001559681042 1059509768 /nfs/dbraw/zinc/50/97/68/1059509768.db2.gz UJXSGEHCQPAPNM-LJQANCHMSA-N 0 3 315.388 4.300 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)NCCC2CCCCC2)c1C ZINC001559705408 1059532483 /nfs/dbraw/zinc/53/24/83/1059532483.db2.gz PCBQQBLREYFEDC-QGZVFWFLSA-N 0 3 316.489 4.041 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](C)c2ccc3c(c2)CCC3)cc1 ZINC001559703610 1059532766 /nfs/dbraw/zinc/53/27/66/1059532766.db2.gz HJHKVLNWXBNJJB-OAHLLOKOSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)NCCC2CCCCC2)c1C ZINC001559705407 1059533253 /nfs/dbraw/zinc/53/32/53/1059533253.db2.gz PCBQQBLREYFEDC-KRWDZBQOSA-N 0 3 316.489 4.041 20 0 DIADHN C[C@@H]1CN(CCC2=CCCCC2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001559704626 1059533351 /nfs/dbraw/zinc/53/33/51/1059533351.db2.gz RTSUUAMRDPPOSH-JKSUJKDBSA-N 0 3 322.493 4.207 20 0 DIADHN Cc1ccc([C@H](C)c2nc(-c3ccc(CN(C)C)cc3)no2)cc1 ZINC001247556781 1059540455 /nfs/dbraw/zinc/54/04/55/1059540455.db2.gz HLRMZBLDMRJQRK-HNNXBMFYSA-N 0 3 321.424 4.258 20 0 DIADHN Cc1nccnc1CN1CCC(Cc2ccc(C(C)C)cc2)CC1 ZINC001559722703 1059549828 /nfs/dbraw/zinc/54/98/28/1059549828.db2.gz AKILVHGLHCDUFG-UHFFFAOYSA-N 0 3 323.484 4.363 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N(C)CCCc1ccccc1 ZINC001559721757 1059552835 /nfs/dbraw/zinc/55/28/35/1059552835.db2.gz YUIGOIKUDUJAEZ-FQEVSTJZSA-N 0 3 324.468 4.277 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nnnn1C1CCCCC1 ZINC001559724836 1059553842 /nfs/dbraw/zinc/55/38/42/1059553842.db2.gz IDBYUBBJFMGNHK-UXLLHSPISA-N 0 3 319.497 4.188 20 0 DIADHN CCOC(=O)[C@H](C)[C@H](C)N[C@H](C)c1ccc(Cl)cc1Cl ZINC001559726745 1059558757 /nfs/dbraw/zinc/55/87/57/1059558757.db2.gz YTWURCQAISIZCJ-OUAUKWLOSA-N 0 3 318.244 4.232 20 0 DIADHN Cc1cc(C)c(CN2C[C@H](C(F)(F)F)CC[C@H]2C)c(C)n1 ZINC001559741450 1059576290 /nfs/dbraw/zinc/57/62/90/1059576290.db2.gz MSGYTWUWEQZLJR-TZMCWYRMSA-N 0 3 300.368 4.170 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)CC(=O)Nc1cccc2ccccc21 ZINC001559752342 1059586042 /nfs/dbraw/zinc/58/60/42/1059586042.db2.gz WIDFRKNVTVYLFJ-HNAYVOBHSA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)O[C@@H](CN1CC(C)(C)OC(C)(C)C1)c1ccccc1 ZINC001559757252 1059591217 /nfs/dbraw/zinc/59/12/17/1059591217.db2.gz XDMSPTCVARDXCA-KRWDZBQOSA-N 0 3 305.462 4.042 20 0 DIADHN COC(=O)c1ccc(CN2CCCC3(CCCC3)C2)cc1Cl ZINC001559762216 1059595899 /nfs/dbraw/zinc/59/58/99/1059595899.db2.gz ZVBYIFZKZOFYJJ-UHFFFAOYSA-N 0 3 321.848 4.283 20 0 DIADHN CCC1(CC)CCN([C@@H](C)c2cc(C)c(C(=O)OC)o2)CC1 ZINC001559763099 1059600698 /nfs/dbraw/zinc/60/06/98/1059600698.db2.gz CHHXZFVYCFRLLJ-AWEZNQCLSA-N 0 3 307.434 4.338 20 0 DIADHN CC[C@@H]1CCN(Cc2nc3ccc(Br)cc3o2)[C@@H]1C ZINC001559768864 1059604910 /nfs/dbraw/zinc/60/49/10/1059604910.db2.gz JNVGMTGDONADQL-GHMZBOCLSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@]3(C2)OCc2ccccc23)c(C)n1 ZINC001559770754 1059607728 /nfs/dbraw/zinc/60/77/28/1059607728.db2.gz VRUOICPFXSKZPI-NRFANRHFSA-N 0 3 322.452 4.028 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)C(=O)Nc1c(C)cccc1Cl ZINC001559783839 1059618181 /nfs/dbraw/zinc/61/81/81/1059618181.db2.gz BVEODHUJGOFGCP-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3nc4ccccc4n3C)C2)cc1 ZINC001559797965 1059633450 /nfs/dbraw/zinc/63/34/50/1059633450.db2.gz FEEUSWNIUXGNJV-AEFFLSMTSA-N 0 3 319.452 4.260 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1CC/C=C/c1ccccc1 ZINC001559801328 1059636713 /nfs/dbraw/zinc/63/67/13/1059636713.db2.gz WORHBOUMVXCZSC-BNCSFYMNSA-N 0 3 315.457 4.288 20 0 DIADHN CCC[C@]1(CO)CCCN1CCc1cccc(Cl)c1Cl ZINC001559801064 1059636756 /nfs/dbraw/zinc/63/67/56/1059636756.db2.gz PLKQGGQXSGFPJO-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN C[C@H](CN1CCN(c2cccc(F)c2F)CC1)CC(C)(C)C ZINC001559804798 1059639043 /nfs/dbraw/zinc/63/90/43/1059639043.db2.gz XFSCZHZNPSMVHF-AWEZNQCLSA-N 0 3 310.432 4.159 20 0 DIADHN CC(C)CC[C@H](O)CN(CCC(F)(F)F)Cc1ccccc1 ZINC001559812772 1059648565 /nfs/dbraw/zinc/64/85/65/1059648565.db2.gz KOXJBQSNXWHTHP-INIZCTEOSA-N 0 3 317.395 4.238 20 0 DIADHN CC(C)[C@@H](NCc1ccc(F)cc1Cl)[C@@H](O)c1ccccc1 ZINC001559822492 1059655584 /nfs/dbraw/zinc/65/55/84/1059655584.db2.gz GKVLRAVNXUVOKX-MSOLQXFVSA-N 0 3 321.823 4.327 20 0 DIADHN C([C@H]1CCC2(CCCCCC2)O1)N1CCOCC12CCCCC2 ZINC001559838995 1059668047 /nfs/dbraw/zinc/66/80/47/1059668047.db2.gz LRYYASWQLODYIU-GOSISDBHSA-N 0 3 321.505 4.294 20 0 DIADHN C([C@@H]1CCC2(CCCCCC2)O1)N1CCOCC12CCCCC2 ZINC001559838996 1059669038 /nfs/dbraw/zinc/66/90/38/1059669038.db2.gz LRYYASWQLODYIU-SFHVURJKSA-N 0 3 321.505 4.294 20 0 DIADHN FC(F)Oc1ccccc1CN1CCCc2ccccc2CC1 ZINC001559841524 1059672071 /nfs/dbraw/zinc/67/20/71/1059672071.db2.gz MJBLUJSBLCVGNH-UHFFFAOYSA-N 0 3 317.379 4.279 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2CCC[C@@H](OC(=O)N(C)C)C2)cc1 ZINC001559848086 1059673721 /nfs/dbraw/zinc/67/37/21/1059673721.db2.gz JIZXFAXBQQAEOC-CRAIPNDOSA-N 0 3 318.461 4.034 20 0 DIADHN COC[C@H](CN(C)[C@H](C)c1nc2cc(Cl)ccc2[nH]1)C(C)C ZINC001559846532 1059674391 /nfs/dbraw/zinc/67/43/91/1059674391.db2.gz ITAXPHCFZBHXMK-OLZOCXBDSA-N 0 3 323.868 4.128 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)cc(Cl)c2F)[C@H]2CCO[C@@H]21 ZINC001559850116 1059677416 /nfs/dbraw/zinc/67/74/16/1059677416.db2.gz QFIQKPBFVVXJIA-HONMWMINSA-N 0 3 318.219 4.036 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)cc(Cl)c2F)[C@@H]2CCO[C@H]21 ZINC001559850118 1059677922 /nfs/dbraw/zinc/67/79/22/1059677922.db2.gz QFIQKPBFVVXJIA-ZLKJLUDKSA-N 0 3 318.219 4.036 20 0 DIADHN CCN(Cc1ccccc1OCc1ccccc1)C[C@H](C)OC ZINC001559856020 1059680663 /nfs/dbraw/zinc/68/06/63/1059680663.db2.gz OLYOZAMCBAVYFH-KRWDZBQOSA-N 0 3 313.441 4.122 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CN[C@@H](C)c1ccccc1Cl ZINC001559862880 1059682354 /nfs/dbraw/zinc/68/23/54/1059682354.db2.gz FVNIXFGWUNVNSD-GLJUWKHASA-N 0 3 303.833 4.155 20 0 DIADHN C[C@H](NCCN(C)c1ccccc1)c1ccc(F)cc1Cl ZINC001559861770 1059682454 /nfs/dbraw/zinc/68/24/54/1059682454.db2.gz VNOJHRFGWMEPBK-ZDUSSCGKSA-N 0 3 306.812 4.266 20 0 DIADHN C[C@H](NC[C@H]1COC2(CCCCC2)O1)c1ccccc1Cl ZINC001559862917 1059682513 /nfs/dbraw/zinc/68/25/13/1059682513.db2.gz HFLBQRGQXRZBMK-KBPBESRZSA-N 0 3 309.837 4.066 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C(C)(C)C)C[C@H]2C)c(C)o1 ZINC001559880077 1059698994 /nfs/dbraw/zinc/69/89/94/1059698994.db2.gz XIQZOWBMLAONCS-IUODEOHRSA-N 0 3 307.434 4.021 20 0 DIADHN COc1ccc(-c2cnc(CN[C@]3(C)CCCC[C@H]3C)o2)cc1 ZINC001559893161 1059709681 /nfs/dbraw/zinc/70/96/81/1059709681.db2.gz KPWMQRJJQTWBED-AUUYWEPGSA-N 0 3 314.429 4.409 20 0 DIADHN CN(CC(=O)N[C@@H](c1cccs1)C(C)(C)C)C1CC(C)(C)C1 ZINC001559897658 1059712369 /nfs/dbraw/zinc/71/23/69/1059712369.db2.gz UVAKKPPCYVOKNE-INIZCTEOSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1nn(C)c(Cl)c1CN(C)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001559898987 1059713781 /nfs/dbraw/zinc/71/37/81/1059713781.db2.gz QZNZGAITGJCMPJ-WBVHZDCISA-N 0 3 317.864 4.150 20 0 DIADHN CN(Cc1ccc(OCc2ccccc2)nc1)C1CC(C)(C)C1 ZINC001559897893 1059714476 /nfs/dbraw/zinc/71/44/76/1059714476.db2.gz ZYYVJTUJSYBRHI-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN CC[C@@H]1CN(CCCSCc2ccccc2)C[C@H](CC)O1 ZINC001559923435 1059737114 /nfs/dbraw/zinc/73/71/14/1059737114.db2.gz ALMHUPJULVTVEV-HDICACEKSA-N 0 3 307.503 4.199 20 0 DIADHN Clc1ccccc1C1(NC[C@@H]2CC3(CCC3)CO2)CCC1 ZINC001559963070 1059768781 /nfs/dbraw/zinc/76/87/81/1059768781.db2.gz HUVNBLKWAKRQFT-AWEZNQCLSA-N 0 3 305.849 4.268 20 0 DIADHN O=C(CN1CCCC[C@@H]1C1CCC1)Nc1ccc2ccccc2c1 ZINC001559970534 1059777635 /nfs/dbraw/zinc/77/76/35/1059777635.db2.gz XRWUTQSKLVIVHO-HXUWFJFHSA-N 0 3 322.452 4.433 20 0 DIADHN CCc1noc(C)c1CN1CCCC[C@H]1Cc1ccccc1F ZINC001559975344 1059786516 /nfs/dbraw/zinc/78/65/16/1059786516.db2.gz LTTNHTKXGKOYHV-INIZCTEOSA-N 0 3 316.420 4.282 20 0 DIADHN COc1cc(Br)ccc1[C@@H]1CCCN1CCC1CC1 ZINC001559996736 1059800227 /nfs/dbraw/zinc/80/02/27/1059800227.db2.gz FHACAEKMIYIUAQ-HNNXBMFYSA-N 0 3 324.262 4.395 20 0 DIADHN CC1(C)C[C@@H](N(Cc2ccccc2)Cc2ccc(F)cc2)CO1 ZINC001559995168 1059800778 /nfs/dbraw/zinc/80/07/78/1059800778.db2.gz UTVHLLOBAZOJSF-LJQANCHMSA-N 0 3 313.416 4.395 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2cc(F)ccc2F)C12CCC2 ZINC001560000817 1059804330 /nfs/dbraw/zinc/80/43/30/1059804330.db2.gz YBKXVEYGAMHXSC-JKSUJKDBSA-N 0 3 309.400 4.181 20 0 DIADHN Cc1ccc(N2CCN(CC[C@@H]3CCCC3(F)F)CC2)c(C)c1 ZINC001560019386 1059829952 /nfs/dbraw/zinc/82/99/52/1059829952.db2.gz YWETXBHSINFRJQ-KRWDZBQOSA-N 0 3 322.443 4.251 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cc(Cl)cn3ccnc13)CC2 ZINC001248451849 1059839870 /nfs/dbraw/zinc/83/98/70/1059839870.db2.gz NHSXOQKJCDFGMY-UHFFFAOYSA-N 0 3 321.827 4.092 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)[C@H](C)CCc1ccccc1 ZINC001560028414 1059841565 /nfs/dbraw/zinc/84/15/65/1059841565.db2.gz PFBKBKPLSYMEMP-QGZVFWFLSA-N 0 3 312.457 4.160 20 0 DIADHN CN(Cc1cc(Cl)cn2ccnc12)[C@H]1CCc2ccccc21 ZINC001248459567 1059843114 /nfs/dbraw/zinc/84/31/14/1059843114.db2.gz ZNDYZJQQZDVTNX-KRWDZBQOSA-N 0 3 311.816 4.107 20 0 DIADHN CSCCN(C)Cc1cccc(-c2ccc(Cl)cc2)n1 ZINC001248520236 1059860310 /nfs/dbraw/zinc/86/03/10/1059860310.db2.gz NDSIREJLJKQQMN-UHFFFAOYSA-N 0 3 306.862 4.197 20 0 DIADHN O[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1ccc(Cl)c(F)c1 ZINC001560053574 1059868689 /nfs/dbraw/zinc/86/86/89/1059868689.db2.gz GXMYBRXUDOWMDS-ROUUACIJSA-N 0 3 319.807 4.177 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@H]3CCCC[C@@H]3C2)c1C ZINC001248532585 1059869880 /nfs/dbraw/zinc/86/98/80/1059869880.db2.gz BWWSMHSBASLWLQ-RCCFBDPRSA-N 0 3 314.473 4.143 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1c2ccoc2ccc1F ZINC001248539860 1059871608 /nfs/dbraw/zinc/87/16/08/1059871608.db2.gz WSNNLKPJXXQYNK-UHFFFAOYSA-N 0 3 301.336 4.386 20 0 DIADHN C[C@@]1(Cc2ccc(F)c(Cl)c2)CCCN1Cc1ccccn1 ZINC001560073669 1059885512 /nfs/dbraw/zinc/88/55/12/1059885512.db2.gz ASMVEHSKRDQTMG-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001525443984 1059890873 /nfs/dbraw/zinc/89/08/73/1059890873.db2.gz XURGRNMERVQDJR-QZTJIDSGSA-N 0 3 322.537 4.220 20 0 DIADHN Brc1nc2ccc(CN3C4CCC3CC4)cc2s1 ZINC001248592775 1059907209 /nfs/dbraw/zinc/90/72/09/1059907209.db2.gz YQAHUHOOQIGEQH-UHFFFAOYSA-N 0 3 323.259 4.186 20 0 DIADHN Cc1ccccc1CCCN1CCN([C@@H](C)c2ccccc2)CC1 ZINC001560106407 1059917374 /nfs/dbraw/zinc/91/73/74/1059917374.db2.gz LEHVDXSNVILJMK-FQEVSTJZSA-N 0 3 322.496 4.306 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3cccc(Cl)c3)C2(C)C)on1 ZINC001560117872 1059920176 /nfs/dbraw/zinc/92/01/76/1059920176.db2.gz JEYPNKROOXSJIE-INIZCTEOSA-N 0 3 304.821 4.405 20 0 DIADHN CCC1(CC)CCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001560120495 1059925747 /nfs/dbraw/zinc/92/57/47/1059925747.db2.gz YRVQDOAYLTUVTM-UHFFFAOYSA-N 0 3 319.399 4.305 20 0 DIADHN C[C@@H](CN(C)Cc1cc2c(cc1Cl)OCCO2)C(C)(C)C ZINC001560126835 1059929486 /nfs/dbraw/zinc/92/94/86/1059929486.db2.gz FMSLAAMUGIFVNR-LBPRGKRZSA-N 0 3 311.853 4.225 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc2onc(C)c2c1 ZINC001248702235 1059942224 /nfs/dbraw/zinc/94/22/24/1059942224.db2.gz FPWGEMCLOKJHBC-SFHVURJKSA-N 0 3 322.408 4.482 20 0 DIADHN Cc1ccccc1[C@H](CO)N(C)Cc1cccc(C(F)(F)F)c1 ZINC001560147546 1059943898 /nfs/dbraw/zinc/94/38/98/1059943898.db2.gz RSWUJOIINZWJCB-KRWDZBQOSA-N 0 3 323.358 4.179 20 0 DIADHN Cc1ccc(CN(CCCC(C)C)CCO[C@@H]2CCCCO2)o1 ZINC001560142876 1059944780 /nfs/dbraw/zinc/94/47/80/1059944780.db2.gz HADCWKKZYJWKSE-LJQANCHMSA-N 0 3 323.477 4.369 20 0 DIADHN Cc1noc2ccc(CN3CC=C(c4ccccc4)CC3)cc12 ZINC001248708778 1059944670 /nfs/dbraw/zinc/94/46/70/1059944670.db2.gz NHLVPRAYXKBBGH-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN COCCN(Cc1ccc2cc[nH]c2c1)Cc1ccc(C)c(C)c1 ZINC001560149289 1059947104 /nfs/dbraw/zinc/94/71/04/1059947104.db2.gz DWDBQXHDDDQVFH-UHFFFAOYSA-N 0 3 322.452 4.433 20 0 DIADHN CC[C@H]1C(=O)NCCCN1Cc1ccc(C(C)C)cc1C(C)C ZINC001560166818 1059961539 /nfs/dbraw/zinc/96/15/39/1059961539.db2.gz HLGRUCIXRHJEGC-IBGZPJMESA-N 0 3 316.489 4.034 20 0 DIADHN COc1ccccc1Oc1cccc(CN(C)CCSC)c1 ZINC001248760063 1059968339 /nfs/dbraw/zinc/96/83/39/1059968339.db2.gz CFEYISPKCQASCL-UHFFFAOYSA-N 0 3 317.454 4.282 20 0 DIADHN COc1cccc(CN2CCCSC[C@H]2C2CC2)c1Cl ZINC001560187685 1059973451 /nfs/dbraw/zinc/97/34/51/1059973451.db2.gz CXXHBAUTROLGNW-AWEZNQCLSA-N 0 3 311.878 4.066 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@@H](C)[C@@H](C)C1 ZINC001248778056 1059977174 /nfs/dbraw/zinc/97/71/74/1059977174.db2.gz KMGHKHMZKGAHHR-NEPJUHHUSA-N 0 3 311.267 4.021 20 0 DIADHN Cn1ccc(-c2ccc(CN3CC[C@H]3c3ccccc3)cc2)n1 ZINC001248766692 1059977753 /nfs/dbraw/zinc/97/77/53/1059977753.db2.gz BZRXYWZYJBYMEH-FQEVSTJZSA-N 0 3 303.409 4.034 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2ccc(F)c3cc[nH]c32)C1 ZINC001248815217 1059991005 /nfs/dbraw/zinc/99/10/05/1059991005.db2.gz PWJXDJYFQJAQRZ-AWEZNQCLSA-N 0 3 318.289 4.031 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCSCC(C)C)nc1 ZINC001560216272 1059991200 /nfs/dbraw/zinc/99/12/00/1059991200.db2.gz ZQMFLMZOGDQDAM-KRWDZBQOSA-N 0 3 308.491 4.006 20 0 DIADHN Cc1cccc(CCN2CC=C(c3cccc(C)c3C)CC2)n1 ZINC001560213823 1059992982 /nfs/dbraw/zinc/99/29/82/1059992982.db2.gz XOQNHAHDHCELKB-UHFFFAOYSA-N 0 3 306.453 4.339 20 0 DIADHN Fc1ccc(CN2CCC[C@H](C(F)(F)F)C2)c2[nH]ccc21 ZINC001248817031 1059993225 /nfs/dbraw/zinc/99/32/25/1059993225.db2.gz GGCPNLOTNNHKCN-NSHDSACASA-N 0 3 300.299 4.081 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3)cc2Cl)CC[C@H]1O ZINC001248819367 1059997822 /nfs/dbraw/zinc/99/78/22/1059997822.db2.gz XKABCSMWBHSIGU-AUUYWEPGSA-N 0 3 315.844 4.210 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3ccccc3)cc2Cl)C1 ZINC001248819054 1060000278 /nfs/dbraw/zinc/00/02/78/1060000278.db2.gz KSSGIUIKALSROV-HNNXBMFYSA-N 0 3 315.844 4.211 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)cc1Cl)CC1COC1 ZINC001248820637 1060000947 /nfs/dbraw/zinc/00/09/47/1060000947.db2.gz VURAGXPCTATWNN-UHFFFAOYSA-N 0 3 301.817 4.085 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCO[C@@H](C(C)(C)C)CC1 ZINC001560236247 1060006320 /nfs/dbraw/zinc/00/63/20/1060006320.db2.gz JEWDKKFOLQOEOE-LJQANCHMSA-N 0 3 318.505 4.404 20 0 DIADHN CC(C)Sc1nccc(CN2CCC[C@H]3CCCC[C@H]32)n1 ZINC001248832195 1060006210 /nfs/dbraw/zinc/00/62/10/1060006210.db2.gz FFWVSFCEXUDPJE-GDBMZVCRSA-N 0 3 305.491 4.132 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)C[C@]12CCC[C@H]1OCC2 ZINC001560235130 1060007429 /nfs/dbraw/zinc/00/74/29/1060007429.db2.gz AANMJIDXIYFSRW-SJLPKXTDSA-N 0 3 319.832 4.477 20 0 DIADHN CC(C)Sc1nccc(CN2CC[C@@H](C3CCCCC3)C2)n1 ZINC001248832948 1060010167 /nfs/dbraw/zinc/01/01/67/1060010167.db2.gz KRFVAKJOEFFABI-MRXNPFEDSA-N 0 3 319.518 4.379 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CCC12CCCC2 ZINC001248844524 1060011709 /nfs/dbraw/zinc/01/17/09/1060011709.db2.gz DUGOPIXIRPSNBK-UHFFFAOYSA-N 0 3 309.335 4.100 20 0 DIADHN CCCNCc1c(Cl)n(-c2ccccc2)nc1C(C)(C)C ZINC001560248305 1060014017 /nfs/dbraw/zinc/01/40/17/1060014017.db2.gz KDYNLVVITCNFOS-UHFFFAOYSA-N 0 3 305.853 4.323 20 0 DIADHN Cc1ccc(CNC[C@H](c2cccc(F)c2)N(C)C)c(Cl)c1 ZINC001560249192 1060014900 /nfs/dbraw/zinc/01/49/00/1060014900.db2.gz VMTZYHVPLYBMOB-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@H](c4ccccc4)C3)nc2c1 ZINC001248841465 1060015031 /nfs/dbraw/zinc/01/50/31/1060015031.db2.gz IJMYQYWIPWKVKF-QGZVFWFLSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@@H](c4ccccc4)C3)[nH]c2c1 ZINC001248841465 1060015055 /nfs/dbraw/zinc/01/50/55/1060015055.db2.gz IJMYQYWIPWKVKF-QGZVFWFLSA-N 0 3 305.425 4.251 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1CCC[C@H]2CCC[C@H]21 ZINC001248846883 1060017792 /nfs/dbraw/zinc/01/77/92/1060017792.db2.gz OWYNYMQPCWGXLD-BDJLRTHQSA-N 0 3 323.362 4.346 20 0 DIADHN CN(Cc1nc2cccc(Br)c2o1)C[C@@H]1CC1(C)C ZINC001248851563 1060020900 /nfs/dbraw/zinc/02/09/00/1060020900.db2.gz QNVOKUXTYUKUAJ-JTQLQIEISA-N 0 3 323.234 4.068 20 0 DIADHN Cc1cccc(OCCCNCc2cccc(Cl)c2F)c1 ZINC001560253287 1060021224 /nfs/dbraw/zinc/02/12/24/1060021224.db2.gz LCPNSKQHMRKGHP-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN CN(Cc1nc2cccc(Br)c2o1)CC(C)(C)C ZINC001248850870 1060023469 /nfs/dbraw/zinc/02/34/69/1060023469.db2.gz PMGYWIXYFJUANB-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN CC(C)CCCNCc1c(F)cc(Br)cc1F ZINC001560257158 1060024157 /nfs/dbraw/zinc/02/41/57/1060024157.db2.gz DHDMPPTZLSRBFW-UHFFFAOYSA-N 0 3 306.194 4.253 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(F)c(OC)c2)C2CC2)cc1 ZINC001560256677 1060025565 /nfs/dbraw/zinc/02/55/65/1060025565.db2.gz CBIZJSNQEOPHGG-IBGZPJMESA-N 0 3 315.388 4.084 20 0 DIADHN Cc1ccc([C@H](NCc2cccc(Cl)c2N)C2CC2)cc1 ZINC001560258545 1060027860 /nfs/dbraw/zinc/02/78/60/1060027860.db2.gz NQFIITYGJLGNJS-SFHVURJKSA-N 0 3 300.833 4.472 20 0 DIADHN COc1cc(CNCc2ccc(C)c(C)c2)ccc1OC(C)C ZINC001560261183 1060031022 /nfs/dbraw/zinc/03/10/22/1060031022.db2.gz SXVMJIJGQAJAIR-UHFFFAOYSA-N 0 3 313.441 4.389 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1ccc(Cl)c(C)n1 ZINC001248875396 1060032768 /nfs/dbraw/zinc/03/27/68/1060032768.db2.gz BEBNLNIWLQPKFR-CYBMUJFWSA-N 0 3 318.848 4.115 20 0 DIADHN CCCC[C@@H](CC)CN1CCC12CN(Cc1ccc(C)o1)C2 ZINC001278509394 1060032962 /nfs/dbraw/zinc/03/29/62/1060032962.db2.gz ZBLXBGGFPINMDD-QGZVFWFLSA-N 0 3 304.478 4.065 20 0 DIADHN COC(=O)C1CCN(Cc2ccc(C3CCCCC3)cc2)CC1 ZINC001248886600 1060040887 /nfs/dbraw/zinc/04/08/87/1060040887.db2.gz WPSJXHDNDYJIQQ-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN COC(=O)Nc1ccc(CNCc2ccc(C3CCC3)cc2)cc1 ZINC001560268331 1060043329 /nfs/dbraw/zinc/04/33/29/1060043329.db2.gz GCUTWFNLITUIBM-UHFFFAOYSA-N 0 3 324.424 4.422 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC/C=C/c1ccc(F)cc1 ZINC001560272515 1060045997 /nfs/dbraw/zinc/04/59/97/1060045997.db2.gz FSINWZNFDDEPCI-ITDFMYJTSA-N 0 3 303.352 4.337 20 0 DIADHN Cc1ccc(CNCc2cc(Cl)cc(Cl)c2N)c(C)c1 ZINC001560273679 1060049581 /nfs/dbraw/zinc/04/95/81/1060049581.db2.gz IUFHSBBRKQTKBZ-UHFFFAOYSA-N 0 3 309.240 4.482 20 0 DIADHN COc1ccc(F)c(CNCCOc2ccc(C(C)C)cc2)c1 ZINC001560282470 1060061220 /nfs/dbraw/zinc/06/12/20/1060061220.db2.gz NTIGCSMMIUQZFD-UHFFFAOYSA-N 0 3 317.404 4.126 20 0 DIADHN COc1ccc(CCCNCc2nc(C(C)(C)C)cs2)cc1 ZINC001560286978 1060066317 /nfs/dbraw/zinc/06/63/17/1060066317.db2.gz HRYQCQQGRYIPEJ-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)cc1 ZINC001560294191 1060076942 /nfs/dbraw/zinc/07/69/42/1060076942.db2.gz PZKMEACGXUDAEH-MOPGFXCFSA-N 0 3 313.466 4.418 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)s1 ZINC001560294382 1060078154 /nfs/dbraw/zinc/07/81/54/1060078154.db2.gz XLBCKVFPJOOKHV-ROUUACIJSA-N 0 3 301.455 4.320 20 0 DIADHN Clc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC001560294441 1060078407 /nfs/dbraw/zinc/07/84/07/1060078407.db2.gz ZQVLFBAHWOAXFB-ZWKOTPCHSA-N 0 3 301.817 4.350 20 0 DIADHN CCn1nccc1CN[C@@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC001560302656 1060088823 /nfs/dbraw/zinc/08/88/23/1060088823.db2.gz GMRRJHWMEBVCGF-FQEVSTJZSA-N 0 3 311.473 4.342 20 0 DIADHN COc1ccc(CNCc2cc(C)nc(C)c2)c(C(F)(F)F)c1 ZINC001560303675 1060089046 /nfs/dbraw/zinc/08/90/46/1060089046.db2.gz XJAFCGXLFDVYQT-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H]3CCc4c3cccc4Cl)ccc2O1 ZINC001560310065 1060096839 /nfs/dbraw/zinc/09/68/39/1060096839.db2.gz HQSNSNYBYKARGF-XIKOKIGWSA-N 0 3 313.828 4.441 20 0 DIADHN CC(C)(C)C[C@H](O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001560334378 1060121019 /nfs/dbraw/zinc/12/10/19/1060121019.db2.gz BBMNCHYVEWMGIX-LBPRGKRZSA-N 0 3 323.786 4.246 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc2scnc2c1 ZINC001560315033 1060101272 /nfs/dbraw/zinc/10/12/72/1060101272.db2.gz RQBBIRSCLGBIRG-UHFFFAOYSA-N 0 3 311.454 4.042 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H](C)C3(C)CC3)n2)c1 ZINC001560315343 1060101715 /nfs/dbraw/zinc/10/17/15/1060101715.db2.gz WHNDFUJJDVQRFX-LBPRGKRZSA-N 0 3 302.443 4.097 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1ccc(F)c(F)c1F ZINC001560316456 1060102126 /nfs/dbraw/zinc/10/21/26/1060102126.db2.gz VXAARRAPIGCTIT-UHFFFAOYSA-N 0 3 323.358 4.223 20 0 DIADHN Cc1cccn2c(CNCc3ccc4ccccc4c3)cnc12 ZINC001560315334 1060102502 /nfs/dbraw/zinc/10/25/02/1060102502.db2.gz VWIGQLAPFAUPCI-UHFFFAOYSA-N 0 3 301.393 4.086 20 0 DIADHN COc1cc(CNCc2cccc(C)c2C)cc(Cl)c1OC ZINC001560315340 1060102648 /nfs/dbraw/zinc/10/26/48/1060102648.db2.gz WDOSVGYYDMWOMQ-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cc(C)cc(C)c2)cc1C ZINC001560316652 1060104160 /nfs/dbraw/zinc/10/41/60/1060104160.db2.gz FNBSDZIJPNTSIV-MRXNPFEDSA-N 0 3 311.425 4.249 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc(C(=O)NC3CC3)cc2)c1 ZINC001560316744 1060104464 /nfs/dbraw/zinc/10/44/64/1060104464.db2.gz KEYOGIGQUUPZQO-INIZCTEOSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc(Br)nc2)c1 ZINC001560316852 1060104835 /nfs/dbraw/zinc/10/48/35/1060104835.db2.gz PTQCMZXYOBYFRB-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COc1ccc(CN[C@@H](c2ccccc2C)C2CC2)c(OC)c1 ZINC001560332337 1060117011 /nfs/dbraw/zinc/11/70/11/1060117011.db2.gz FLDFQPMKUKJMLB-HXUWFJFHSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@H]1CCCN(Cc2sc(Cl)c3ccccc32)[C@@H]1CO ZINC001249043161 1060127805 /nfs/dbraw/zinc/12/78/05/1060127805.db2.gz IMUBYBKATDYUSZ-SMDDNHRTSA-N 0 3 309.862 4.148 20 0 DIADHN COCC1CCN(Cc2sc(Cl)c3ccccc32)CC1 ZINC001249042064 1060128978 /nfs/dbraw/zinc/12/89/78/1060128978.db2.gz BXZIRSAJJGXULO-UHFFFAOYSA-N 0 3 309.862 4.413 20 0 DIADHN C[C@H]1CN(Cc2sc(Cl)c3ccccc32)CC[C@@]1(C)O ZINC001249049346 1060132001 /nfs/dbraw/zinc/13/20/01/1060132001.db2.gz DVRKJHPMPBTIFI-MEDUHNTESA-N 0 3 309.862 4.148 20 0 DIADHN CC[C@H](COC)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001560349968 1060134921 /nfs/dbraw/zinc/13/49/21/1060134921.db2.gz DBGHZTBOMVVCIV-OAHLLOKOSA-N 0 3 311.853 4.176 20 0 DIADHN Cc1cc(CNCc2cccc(-c3ccc(Cl)cc3)c2)n[nH]1 ZINC001560350165 1060136277 /nfs/dbraw/zinc/13/62/77/1060136277.db2.gz KQOZAJXJVPEPBG-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2c(C)cccc2F)c1C ZINC001560352119 1060138884 /nfs/dbraw/zinc/13/88/84/1060138884.db2.gz YDRCEVWTYGVIFY-UHFFFAOYSA-N 0 3 315.432 4.496 20 0 DIADHN Cc1cc(Cl)ccc1-c1noc([C@@H]2CCCN2CC2CC2)n1 ZINC001249072771 1060144869 /nfs/dbraw/zinc/14/48/69/1060144869.db2.gz JZHCTCCPACIXRL-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN O=C1CCC[C@@H]2CN(Cc3sc(Cl)c4ccccc43)C[C@H]12 ZINC001249074660 1060145817 /nfs/dbraw/zinc/14/58/17/1060145817.db2.gz CHUNLKSIBWSUSJ-RISCZKNCSA-N 0 3 319.857 4.356 20 0 DIADHN O=C1CCC[C@H]2CN(Cc3sc(Cl)c4ccccc43)C[C@H]12 ZINC001249074657 1060146124 /nfs/dbraw/zinc/14/61/24/1060146124.db2.gz CHUNLKSIBWSUSJ-FZMZJTMJSA-N 0 3 319.857 4.356 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2c(F)cc(C)cc2F)C1(CC)CC ZINC001560358877 1060146474 /nfs/dbraw/zinc/14/64/74/1060146474.db2.gz WQZHYMOKOUZKFT-IAGOWNOFSA-N 0 3 311.416 4.347 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ncc(C(C)C)s2)C12CCCC2 ZINC001560360935 1060147576 /nfs/dbraw/zinc/14/75/76/1060147576.db2.gz DFTKYIOWZLFWCW-LSDHHAIUSA-N 0 3 308.491 4.094 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ncc(C(C)C)s2)C12CCCC2 ZINC001560360932 1060149063 /nfs/dbraw/zinc/14/90/63/1060149063.db2.gz DFTKYIOWZLFWCW-CABCVRRESA-N 0 3 308.491 4.094 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ncc(C(C)C)s2)C12CCCC2 ZINC001560360934 1060149155 /nfs/dbraw/zinc/14/91/55/1060149155.db2.gz DFTKYIOWZLFWCW-HUUCEWRRSA-N 0 3 308.491 4.094 20 0 DIADHN CC(C)C1(CNCc2nc3cc(Br)ccc3o2)CC1 ZINC001560364852 1060151462 /nfs/dbraw/zinc/15/14/62/1060151462.db2.gz AAUOMAVVAGJFLI-UHFFFAOYSA-N 0 3 323.234 4.116 20 0 DIADHN OC[C@H](NCc1ccc(C2CC2)c(C2CC2)c1)c1ccccc1 ZINC001560371696 1060157361 /nfs/dbraw/zinc/15/73/61/1060157361.db2.gz DGKYFEYFZJVKSQ-NRFANRHFSA-N 0 3 307.437 4.265 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1ccn(-c2ccccc2)n1 ZINC001560370424 1060158065 /nfs/dbraw/zinc/15/80/65/1060158065.db2.gz IJBLPQSDIDFXFQ-ZWKOTPCHSA-N 0 3 315.486 4.026 20 0 DIADHN COc1cccc2c(CN[C@@H]3COc4ccc(C)cc43)cccc12 ZINC001560374469 1060161131 /nfs/dbraw/zinc/16/11/31/1060161131.db2.gz DUNHRJOMLOTLOU-LJQANCHMSA-N 0 3 319.404 4.380 20 0 DIADHN Cc1c(Cl)ccc(CNCCc2cscn2)c1Cl ZINC001560380184 1060164875 /nfs/dbraw/zinc/16/48/75/1060164875.db2.gz VVDBACMTVSJOCE-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN OC[C@H]1CC[C@@H](NCc2c(Cl)ccc(Cl)c2Cl)CC1 ZINC001560385443 1060172077 /nfs/dbraw/zinc/17/20/77/1060172077.db2.gz CGGGHBQUVAIDDH-AOOOYVTPSA-N 0 3 322.663 4.288 20 0 DIADHN c1oc(-c2ccccc2)nc1CNCC1(Cc2ccccc2)CC1 ZINC001560405098 1060190770 /nfs/dbraw/zinc/19/07/70/1060190770.db2.gz XIQBNRHKQOHTBO-UHFFFAOYSA-N 0 3 318.420 4.454 20 0 DIADHN Cc1ccc(-c2noc(C34CCCN3CCC4)n2)c2ccccc12 ZINC001249220058 1060193000 /nfs/dbraw/zinc/19/30/00/1060193000.db2.gz CZVIDQWGYJPFNX-UHFFFAOYSA-N 0 3 319.408 4.283 20 0 DIADHN COc1cccc(C(C)(C)CNCc2csc(C(C)C)n2)c1 ZINC001560410458 1060194715 /nfs/dbraw/zinc/19/47/15/1060194715.db2.gz FVWYLLDMILSYCO-UHFFFAOYSA-N 0 3 318.486 4.343 20 0 DIADHN CCC(C)(C)c1ccc(CNCc2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC001560411250 1060195540 /nfs/dbraw/zinc/19/55/40/1060195540.db2.gz USJOANKENLITDE-UHFFFAOYSA-N 0 3 323.440 4.246 20 0 DIADHN CCc1cc(OC)ccc1CN[C@@H]1CCCc2nc(C)sc21 ZINC001560412412 1060197537 /nfs/dbraw/zinc/19/75/37/1060197537.db2.gz UFMJIWZOMAFUJA-MRXNPFEDSA-N 0 3 316.470 4.190 20 0 DIADHN CC[C@@H](NCc1cnn(C2CCC2)c1)c1ccc(C)c(F)c1 ZINC001560418358 1060202060 /nfs/dbraw/zinc/20/20/60/1060202060.db2.gz KJBPTLUGKRQIBM-GOSISDBHSA-N 0 3 301.409 4.297 20 0 DIADHN Fc1cccc(/C=C/CN[C@@H]2CCCOc3c(F)cccc32)c1 ZINC001560420334 1060205615 /nfs/dbraw/zinc/20/56/15/1060205615.db2.gz BCDYCDMIMMCTRY-HNSSHINMSA-N 0 3 315.363 4.482 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2c(F)cc(C)cc2F)CCO1 ZINC001560421137 1060207841 /nfs/dbraw/zinc/20/78/41/1060207841.db2.gz ZRXPVVIOUMERLR-KDOFPFPSSA-N 0 3 311.416 4.347 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1ccc2ncccc2c1 ZINC001560421012 1060207942 /nfs/dbraw/zinc/20/79/42/1060207942.db2.gz WGVXHFGTXQAAQN-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN C[C@@H]1CCCN(Cc2csc(-c3ccncc3)n2)C[C@H]1C ZINC001249263670 1060208567 /nfs/dbraw/zinc/20/85/67/1060208567.db2.gz RLHMEAIDUOPQRI-ZIAGYGMSSA-N 0 3 301.459 4.073 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001249299056 1060213997 /nfs/dbraw/zinc/21/39/97/1060213997.db2.gz LROGCMBVGODVDE-RHSMWYFYSA-N 0 3 313.470 4.360 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1c3c(nn1C)CCC3)CCC2 ZINC001560427884 1060214257 /nfs/dbraw/zinc/21/42/57/1060214257.db2.gz SBHVIYHDMAKYPR-LJQANCHMSA-N 0 3 323.484 4.199 20 0 DIADHN CCCCCn1cc(CNCc2cccc3c2CCC3)c(C)n1 ZINC001560427632 1060216342 /nfs/dbraw/zinc/21/63/42/1060216342.db2.gz JAXMCSRHZYTXQX-UHFFFAOYSA-N 0 3 311.473 4.160 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1cccc3cnccc31)CCO2 ZINC001560433380 1060220928 /nfs/dbraw/zinc/22/09/28/1060220928.db2.gz ISDKWJVJNXACNQ-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1ccc(COC)cc1)CCO2 ZINC001560433230 1060220976 /nfs/dbraw/zinc/22/09/76/1060220976.db2.gz CZBPEIRTZLRDNT-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN O[C@H](CCc1ccccc1)CNCc1ccc(Cl)cc1Cl ZINC001560437619 1060225695 /nfs/dbraw/zinc/22/56/95/1060225695.db2.gz UZIHHTKTEPPUNK-MRXNPFEDSA-N 0 3 324.251 4.077 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN(C)CCc1cccc(Cl)c1 ZINC001249407180 1060234599 /nfs/dbraw/zinc/23/45/99/1060234599.db2.gz BTPWWGXPCCHLRX-AWEZNQCLSA-N 0 3 319.880 4.262 20 0 DIADHN CC[C@@H]1CCN(Cc2c(F)cccc2NC(=O)OC(C)(C)C)C1 ZINC001249386123 1060235411 /nfs/dbraw/zinc/23/54/11/1060235411.db2.gz DKCJGHSTJBMKOB-CYBMUJFWSA-N 0 3 322.424 4.405 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCC[C@@H]1c1cccs1 ZINC001249405861 1060235852 /nfs/dbraw/zinc/23/58/52/1060235852.db2.gz BQRLYHJKWZIWIF-UKRRQHHQSA-N 0 3 303.475 4.332 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cn3ccnc3s1)CC2 ZINC001560447853 1060236763 /nfs/dbraw/zinc/23/67/63/1060236763.db2.gz JHRXRIDMENNDEU-KRWDZBQOSA-N 0 3 311.454 4.296 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN(C)CCc1ccc(Cl)cc1 ZINC001249407869 1060237781 /nfs/dbraw/zinc/23/77/81/1060237781.db2.gz RNGIYDNZCLMQDR-AWEZNQCLSA-N 0 3 319.880 4.262 20 0 DIADHN CCN(Cc1nc2ccc(OC)cc2[nH]1)Cc1ccc(C)c(C)c1 ZINC001249415456 1060240093 /nfs/dbraw/zinc/24/00/93/1060240093.db2.gz ZNRKJHWHPNAUBH-UHFFFAOYSA-N 0 3 323.440 4.210 20 0 DIADHN CCSc1cc(CNCc2ccc(CSC)cc2)ccn1 ZINC001560448729 1060240258 /nfs/dbraw/zinc/24/02/58/1060240258.db2.gz NQILVPFITYKUGF-UHFFFAOYSA-N 0 3 318.511 4.346 20 0 DIADHN C[C@H]1CCC[C@@H]1CNCc1c(F)cc(Br)cc1F ZINC001560450543 1060242500 /nfs/dbraw/zinc/24/25/00/1060242500.db2.gz HVTNSQFWXPZFLU-VHSXEESVSA-N 0 3 318.205 4.253 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN(Cc1ccccc1)C1CCC1 ZINC001249410256 1060243056 /nfs/dbraw/zinc/24/30/56/1060243056.db2.gz RFECXLPJTZDMMA-MRXNPFEDSA-N 0 3 311.473 4.488 20 0 DIADHN CC1(C)SC[C@@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001560449974 1060242925 /nfs/dbraw/zinc/24/29/25/1060242925.db2.gz LRBBPBYJYYYNPE-HNNXBMFYSA-N 0 3 311.878 4.112 20 0 DIADHN C[C@H](CN1CCC(Oc2ccccc2Cl)CC1)C(F)(F)F ZINC000533148082 1060245452 /nfs/dbraw/zinc/24/54/52/1060245452.db2.gz PTKSXQVNVDSJGA-LLVKDONJSA-N 0 3 321.770 4.382 20 0 DIADHN CCn1ccc(CNC[C@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC001560464107 1060254405 /nfs/dbraw/zinc/25/44/05/1060254405.db2.gz RADRRZRBJHJDNH-KRWDZBQOSA-N 0 3 305.853 4.086 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(C(C)(C)C)c[nH]3)C2)c1 ZINC001249480575 1060264051 /nfs/dbraw/zinc/26/40/51/1060264051.db2.gz YOETYHJXYAVQOL-MRXNPFEDSA-N 0 3 312.457 4.310 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC001249516154 1060271073 /nfs/dbraw/zinc/27/10/73/1060271073.db2.gz FULMNTAJBOCVPM-ZIAGYGMSSA-N 0 3 312.379 4.421 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CCC3(CC(F)C3)CC2)cn1 ZINC001249512011 1060272951 /nfs/dbraw/zinc/27/29/51/1060272951.db2.gz ADYBLLUEAGXXPO-UHFFFAOYSA-N 0 3 316.342 4.123 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1cc(F)cc2cc[nH]c21 ZINC001249524828 1060274912 /nfs/dbraw/zinc/27/49/12/1060274912.db2.gz OJUUMSAYQZVVMZ-UHFFFAOYSA-N 0 3 310.372 4.012 20 0 DIADHN CCC[C@@H](CNCc1ccc(-c2ccccc2)cc1OC)OC ZINC001560483786 1060275132 /nfs/dbraw/zinc/27/51/32/1060275132.db2.gz MHXZWMUEEYPKIQ-IBGZPJMESA-N 0 3 313.441 4.267 20 0 DIADHN CCOc1c(CNC2CC(C(C)(C)C)C2)c(C)nn1CC(C)C ZINC001560486206 1060276882 /nfs/dbraw/zinc/27/68/82/1060276882.db2.gz COTBXRIGRLOETI-UHFFFAOYSA-N 0 3 321.509 4.161 20 0 DIADHN OC[C@@H](CNCc1c(Cl)cccc1Cl)C1CCCCC1 ZINC001560487180 1060280115 /nfs/dbraw/zinc/28/01/15/1060280115.db2.gz AWQXUMBEGJRHKD-CYBMUJFWSA-N 0 3 316.272 4.272 20 0 DIADHN CCCN(CCC)Cc1nc2cc(OC(F)(F)F)ccc2[nH]1 ZINC001249531327 1060281650 /nfs/dbraw/zinc/28/16/50/1060281650.db2.gz XDVHUOVWLANRMV-UHFFFAOYSA-N 0 3 315.339 4.084 20 0 DIADHN CCC1(CNCc2nc(-c3cccs3)oc2C)CCOCC1 ZINC001560491449 1060285594 /nfs/dbraw/zinc/28/55/94/1060285594.db2.gz MFIQXQLIFUFOTM-UHFFFAOYSA-N 0 3 320.458 4.008 20 0 DIADHN Cc1ccc2cccc(NC3=CC[C@@H](N4CCOCC4)CC3)c2c1 ZINC001249559439 1060290074 /nfs/dbraw/zinc/29/00/74/1060290074.db2.gz JBVDEEDJCTUNLG-LJQANCHMSA-N 0 3 322.452 4.329 20 0 DIADHN Cc1ccc2cccc(NC3=CC[C@H](N4CCOCC4)CC3)c2c1 ZINC001249559438 1060290592 /nfs/dbraw/zinc/29/05/92/1060290592.db2.gz JBVDEEDJCTUNLG-IBGZPJMESA-N 0 3 322.452 4.329 20 0 DIADHN CC(C)(CNCc1ccc(Cl)cc1Cl)C1(O)CCCC1 ZINC001560506011 1060300900 /nfs/dbraw/zinc/30/09/00/1060300900.db2.gz OEODPRSQNHKHTF-UHFFFAOYSA-N 0 3 316.272 4.414 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2ccc(Cl)o2)CCC1 ZINC001560506119 1060303458 /nfs/dbraw/zinc/30/34/58/1060303458.db2.gz SHLSWJUXORTCOG-UHFFFAOYSA-N 0 3 311.759 4.423 20 0 DIADHN CCOc1ccc(CNCC2(c3c(F)cccc3F)CCC2)o1 ZINC001560506084 1060305279 /nfs/dbraw/zinc/30/52/79/1060305279.db2.gz QXXFXTWDIPKFFF-UHFFFAOYSA-N 0 3 321.367 4.168 20 0 DIADHN COc1ccc(CNCc2cccc(C)c2OC)c2ccccc12 ZINC001560517890 1060319015 /nfs/dbraw/zinc/31/90/15/1060319015.db2.gz ABQRPJPPVAOMLN-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN C[C@H](COCC1CC1)NCc1ccc(-c2cccc(F)c2F)o1 ZINC001560524669 1060324455 /nfs/dbraw/zinc/32/44/55/1060324455.db2.gz WKIZXPHMRVIYHS-GFCCVEGCSA-N 0 3 321.367 4.130 20 0 DIADHN C[C@H]1CC[C@@](C)(CNCc2ccn(-c3ccccc3)n2)C1(C)C ZINC001560526912 1060326246 /nfs/dbraw/zinc/32/62/46/1060326246.db2.gz MHZWMGCYPYBIAS-JXFKEZNVSA-N 0 3 311.473 4.424 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1c(F)cccc1Cl ZINC001560529481 1060329833 /nfs/dbraw/zinc/32/98/33/1060329833.db2.gz GVJDFGRKESJAJW-UHFFFAOYSA-N 0 3 301.833 4.146 20 0 DIADHN COCC1(NCc2cc3cccc(C)c3nc2Cl)CCCC1 ZINC001560530905 1060333033 /nfs/dbraw/zinc/33/30/33/1060333033.db2.gz PTKBYLFWIWXOPP-UHFFFAOYSA-N 0 3 318.848 4.245 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@]12C[C@@H]1COC2(C)C ZINC001560532316 1060335428 /nfs/dbraw/zinc/33/54/28/1060335428.db2.gz HKUOQLUBOJWDQG-NQIIRXRSSA-N 0 3 323.436 4.019 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2c(C3CC3)cnn2C)c(C)c1 ZINC001560536391 1060341442 /nfs/dbraw/zinc/34/14/42/1060341442.db2.gz HRNVUFAHIIRJAE-WAYWQWQTSA-N 0 3 309.457 4.026 20 0 DIADHN Clc1ncc(Br)cc1CNCCCC1CCC1 ZINC001560536715 1060344060 /nfs/dbraw/zinc/34/40/60/1060344060.db2.gz SNYMKMCSMJBJAJ-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN CC(C)CC1(NCc2cc(Br)cnc2Cl)CC1 ZINC001560540539 1060346695 /nfs/dbraw/zinc/34/66/95/1060346695.db2.gz RQAJWEMMCLPUNK-UHFFFAOYSA-N 0 3 317.658 4.166 20 0 DIADHN Cn1c2ccccc2c(Br)c1CN[C@]1(C)CC1(C)C ZINC001560540364 1060347123 /nfs/dbraw/zinc/34/71/23/1060347123.db2.gz MCHSGCVVLWRJSN-MRXNPFEDSA-N 0 3 321.262 4.219 20 0 DIADHN CC(C)(NCc1ccc(C2CC2)cc1)C(=O)Nc1ccccc1 ZINC001560546156 1060354841 /nfs/dbraw/zinc/35/48/41/1060354841.db2.gz MCJBCSOOKHRBSL-UHFFFAOYSA-N 0 3 308.425 4.071 20 0 DIADHN Fc1ccc(CNCc2cn(C(F)F)c3ccccc23)cc1 ZINC001560554259 1060363462 /nfs/dbraw/zinc/36/34/62/1060363462.db2.gz OOCJJTZGFYBBGG-UHFFFAOYSA-N 0 3 304.315 4.465 20 0 DIADHN CC[C@@](C)(NCc1ccc(F)c(OC)c1)c1ccc(F)cc1 ZINC001560556034 1060366547 /nfs/dbraw/zinc/36/65/47/1060366547.db2.gz MXKNJWYGGNIRCS-GOSISDBHSA-N 0 3 305.368 4.388 20 0 DIADHN CCC[C@H](C)CCNCc1c(C)nn(-c2ccccn2)c1Cl ZINC001560572841 1060383675 /nfs/dbraw/zinc/38/36/75/1060383675.db2.gz FFVFGLJYAZELGV-ZDUSSCGKSA-N 0 3 320.868 4.145 20 0 DIADHN CC1(C)CC[C@H](CNCc2c(Cl)ccc3cccnc32)OC1 ZINC001560571963 1060384276 /nfs/dbraw/zinc/38/42/76/1060384276.db2.gz QCGVXNZCJCQALK-CQSZACIVSA-N 0 3 318.848 4.183 20 0 DIADHN CC(C)OC1(CNCc2nc3ccccc3n2C(C)C)CCC1 ZINC001560578773 1060391841 /nfs/dbraw/zinc/39/18/41/1060391841.db2.gz IEUUSULQFDKIGR-UHFFFAOYSA-N 0 3 315.461 4.055 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H]2CCc3c2cccc3F)c1 ZINC001560593732 1060407600 /nfs/dbraw/zinc/40/76/00/1060407600.db2.gz NDEWXFGVZDBTGX-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN c1cc(CNCc2ccc(C3CCCC3)cc2)cc2nonc12 ZINC001560612816 1060427936 /nfs/dbraw/zinc/42/79/36/1060427936.db2.gz ZVYMSMQAGKQOOV-UHFFFAOYSA-N 0 3 307.397 4.170 20 0 DIADHN CCC[C@](C)(NCc1ccnn1CC1CCC1)c1ccccc1 ZINC001560618211 1060430534 /nfs/dbraw/zinc/43/05/34/1060430534.db2.gz LYTUDMHOCBDJIN-FQEVSTJZSA-N 0 3 311.473 4.488 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC001560625881 1060435601 /nfs/dbraw/zinc/43/56/01/1060435601.db2.gz RQAWAOREBIVNJC-NILFDRSVSA-N 0 3 311.878 4.250 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2CCC2CCCCC2)c(Cl)n1 ZINC001560630394 1060440723 /nfs/dbraw/zinc/44/07/23/1060440723.db2.gz UWWZXXLWJPYSML-MRXNPFEDSA-N 0 3 309.885 4.398 20 0 DIADHN CCOC(=O)CN(CC(C)C)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC001560630678 1060440767 /nfs/dbraw/zinc/44/07/67/1060440767.db2.gz FYXAYHUVYBCERB-QUCCMNQESA-N 0 3 317.473 4.018 20 0 DIADHN COc1ccc2oc(CN3CCCc4sccc4C3)cc2c1 ZINC001560629313 1060441677 /nfs/dbraw/zinc/44/16/77/1060441677.db2.gz LNCZMTZEIHMTMM-UHFFFAOYSA-N 0 3 313.422 4.451 20 0 DIADHN CC(C)C(CN(C)CC(=O)Nc1ccccc1Cl)C(C)C ZINC001560638827 1060454912 /nfs/dbraw/zinc/45/49/12/1060454912.db2.gz KVOSLKMWZLNHGK-UHFFFAOYSA-N 0 3 310.869 4.139 20 0 DIADHN Cn1ccc(CN(Cc2ccccc2F)C2CCCCC2)c1 ZINC001560639975 1060455440 /nfs/dbraw/zinc/45/54/40/1060455440.db2.gz IEOCWKYRHCXRSU-UHFFFAOYSA-N 0 3 300.421 4.499 20 0 DIADHN CC[C@@H]1CCC[C@H](CN(C)CC(=O)Nc2c(C)cccc2C)C1 ZINC001560639604 1060455815 /nfs/dbraw/zinc/45/58/15/1060455815.db2.gz XSOJEBNBFYZTKY-MSOLQXFVSA-N 0 3 316.489 4.390 20 0 DIADHN COc1cccc(C(=O)Cc2cccc(CN3CCCCC3)c2)c1 ZINC001249898950 1060463376 /nfs/dbraw/zinc/46/33/76/1060463376.db2.gz PPPOJZZRZPRICG-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2scnc2C2CC2)C1(CC)CC ZINC001560644254 1060464354 /nfs/dbraw/zinc/46/43/54/1060464354.db2.gz LCDCOTZULSOYJY-CVEARBPZSA-N 0 3 322.518 4.436 20 0 DIADHN COCC(C)(C)CN(Cc1ccco1)Cc1cccc(C)c1 ZINC001560642366 1060464381 /nfs/dbraw/zinc/46/43/81/1060464381.db2.gz SZSCXLNUZAKLCF-UHFFFAOYSA-N 0 3 301.430 4.263 20 0 DIADHN CCc1cccc(F)c1CN1CCC(OCCC(C)C)CC1 ZINC001560651230 1060474639 /nfs/dbraw/zinc/47/46/39/1060474639.db2.gz LZAMSZIAQJKVHL-UHFFFAOYSA-N 0 3 307.453 4.415 20 0 DIADHN CC(C)CCN(Cc1ccnn1-c1ccc(F)cc1)C1CC1 ZINC001560650638 1060474851 /nfs/dbraw/zinc/47/48/51/1060474851.db2.gz SKHKGEUHVKSODG-UHFFFAOYSA-N 0 3 301.409 4.022 20 0 DIADHN Cc1n[nH]c(CN2CCCCC[C@@H]2/C=C/c2ccccc2)c1C ZINC001560649055 1060475201 /nfs/dbraw/zinc/47/52/01/1060475201.db2.gz YZHXIVVIPPADDD-JXOMPUQVSA-N 0 3 309.457 4.485 20 0 DIADHN COc1nc(CN(Cc2ccccc2)CC(C)(C)C)ccc1C ZINC001560652990 1060476160 /nfs/dbraw/zinc/47/61/60/1060476160.db2.gz LLAYUQPTHWUUJG-UHFFFAOYSA-N 0 3 312.457 4.447 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cc(C)cc(C)c2)nc1C ZINC001249913504 1060477409 /nfs/dbraw/zinc/47/74/09/1060477409.db2.gz BQFWRPSYWPDTII-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN CC(C)Cn1nccc1CN1C[C@H](c2ccc(F)cc2)C[C@@H]1C ZINC001560655986 1060479642 /nfs/dbraw/zinc/47/96/42/1060479642.db2.gz PSDSXNKJELRAHH-DOTOQJQBSA-N 0 3 315.436 4.056 20 0 DIADHN CCOC(=O)C1(N(C)CC[C@H](C)c2ccccc2)CCCCC1 ZINC001560662467 1060490797 /nfs/dbraw/zinc/49/07/97/1060490797.db2.gz ZUQBTJSXSOFDHR-KRWDZBQOSA-N 0 3 317.473 4.378 20 0 DIADHN CN1CCc2ccc(Nc3ccc(-c4ncco4)cc3)cc2C1 ZINC001249933904 1060491851 /nfs/dbraw/zinc/49/18/51/1060491851.db2.gz VYXYEQJQHJFGBB-UHFFFAOYSA-N 0 3 305.381 4.073 20 0 DIADHN C[C@]1(CCc2ccccc2)CCN(Cc2cnc(C3CC3)nc2)C1 ZINC001560665500 1060492759 /nfs/dbraw/zinc/49/27/59/1060492759.db2.gz AQVZZTDGFUFDTN-NRFANRHFSA-N 0 3 321.468 4.199 20 0 DIADHN C[C@@]1(CCc2ccccc2)CCN(Cc2cnc(C3CC3)nc2)C1 ZINC001560665501 1060494295 /nfs/dbraw/zinc/49/42/95/1060494295.db2.gz AQVZZTDGFUFDTN-OAQYLSRUSA-N 0 3 321.468 4.199 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccnc(F)c2C)C12CCCCC2 ZINC001560668644 1060496718 /nfs/dbraw/zinc/49/67/18/1060496718.db2.gz QOKAQDSHRLDPEY-DLBZAZTESA-N 0 3 320.452 4.089 20 0 DIADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)[C@H](c2ccccc2)CO1 ZINC001560668637 1060498210 /nfs/dbraw/zinc/49/82/10/1060498210.db2.gz QMJXULPHGPBJOZ-RCOXNQKVSA-N 0 3 307.437 4.252 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CCc1ccc(F)cc1F ZINC001560675145 1060512047 /nfs/dbraw/zinc/51/20/47/1060512047.db2.gz CYKVXSWTLBPWOV-SFHVURJKSA-N 0 3 304.384 4.073 20 0 DIADHN Cc1ccccc1[C@H]1CN(Cc2cccc3c2CCC3)[C@H](C)CO1 ZINC001560678443 1060516679 /nfs/dbraw/zinc/51/66/79/1060516679.db2.gz CRKHZACQNXBHEE-VGOFRKELSA-N 0 3 321.464 4.446 20 0 DIADHN Cc1ccccc1[C@H]1CN(Cc2cccc3c2CCC3)[C@@H](C)CO1 ZINC001560678441 1060517060 /nfs/dbraw/zinc/51/70/60/1060517060.db2.gz CRKHZACQNXBHEE-HTAPYJJXSA-N 0 3 321.464 4.446 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1c2c(nn1C)CCCC2 ZINC001560679456 1060522494 /nfs/dbraw/zinc/52/24/94/1060522494.db2.gz OKSFJCBNCSYUKF-LJQANCHMSA-N 0 3 311.473 4.272 20 0 DIADHN COc1cc(CN2CCCCC23CC3)ccc1OC(F)(F)F ZINC001249992178 1060524558 /nfs/dbraw/zinc/52/45/58/1060524558.db2.gz SHLJMADTYULHCS-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cnn(CC3CCC3)c2)c(C)c1 ZINC001560681523 1060524444 /nfs/dbraw/zinc/52/44/44/1060524444.db2.gz MLGBDZNAKXYKBW-QGZVFWFLSA-N 0 3 311.473 4.493 20 0 DIADHN Cc1cc(CN(C)CCCOc2cccc(Cl)c2)cc(C)n1 ZINC001560681198 1060524855 /nfs/dbraw/zinc/52/48/55/1060524855.db2.gz VWCKJNRJCDRQSV-UHFFFAOYSA-N 0 3 318.848 4.253 20 0 DIADHN CO[C@H]1CCCN(Cc2sc3c(cccc3Cl)c2C)C1 ZINC001560683745 1060526925 /nfs/dbraw/zinc/52/69/25/1060526925.db2.gz KYXKQEKPLGYXSN-LBPRGKRZSA-N 0 3 309.862 4.474 20 0 DIADHN Cc1nc(CN2CCCC[C@@H]2Cc2ccc(F)cc2)sc1C ZINC001560683816 1060529546 /nfs/dbraw/zinc/52/95/46/1060529546.db2.gz NNYJPBIFICUZHR-QGZVFWFLSA-N 0 3 318.461 4.496 20 0 DIADHN Fc1cc(F)c2c(c1)CN(C[C@@H]1CCc3ccccc3C1)CC2 ZINC001560689024 1060536929 /nfs/dbraw/zinc/53/69/29/1060536929.db2.gz AXDHQOJCXPYDQZ-CQSZACIVSA-N 0 3 313.391 4.128 20 0 DIADHN C[C@]1(c2ccccc2)CN(C[C@@H]2C[C@@H]2c2ccccc2)CCO1 ZINC001560690729 1060537390 /nfs/dbraw/zinc/53/73/90/1060537390.db2.gz DMGNKDBAXQMIGG-CEWLAPEOSA-N 0 3 307.437 4.038 20 0 DIADHN CC1(C)CN(Cc2cc3nc(Cl)ccc3s2)CCS1 ZINC001560687901 1060539073 /nfs/dbraw/zinc/53/90/73/1060539073.db2.gz SPEQPTPKMLMJGI-UHFFFAOYSA-N 0 3 312.891 4.277 20 0 DIADHN CC1CCN(Cc2ccc(Oc3ccc(F)cc3)nc2)CC1 ZINC001560721097 1060580254 /nfs/dbraw/zinc/58/02/54/1060580254.db2.gz WBRNGXZIHIDEQW-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cc(F)cc(Cl)c2)cc1 ZINC001560720589 1060582405 /nfs/dbraw/zinc/58/24/05/1060582405.db2.gz CWHZOAALNIHPAK-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN Cc1cccc(CN2CCN(Cc3cccc4ccoc43)CC2)c1 ZINC001560719014 1060583591 /nfs/dbraw/zinc/58/35/91/1060583591.db2.gz QWFIMIAKMDKKTA-UHFFFAOYSA-N 0 3 320.436 4.059 20 0 DIADHN COc1ccc(CN2CC=C(c3ccc(F)cc3)CC2)c(C)c1 ZINC001560722617 1060585470 /nfs/dbraw/zinc/58/54/70/1060585470.db2.gz MCYXBLWBTJTVKD-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN CCOc1cc(C[N@H+]([C@H](C)C(C)C)C2CC2)cc(Cl)c1[O-] ZINC001560724458 1060588632 /nfs/dbraw/zinc/58/86/32/1060588632.db2.gz KFCUQDPYMLZKDF-GFCCVEGCSA-N 0 3 311.853 4.453 20 0 DIADHN CCOc1cc(C[N@@H+]([C@H](C)C(C)C)C2CC2)cc(Cl)c1[O-] ZINC001560724458 1060588647 /nfs/dbraw/zinc/58/86/47/1060588647.db2.gz KFCUQDPYMLZKDF-GFCCVEGCSA-N 0 3 311.853 4.453 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCc2ccc(F)c(F)c2)cc1 ZINC001560726084 1060590047 /nfs/dbraw/zinc/59/00/47/1060590047.db2.gz BYLMZPDAGVTGDL-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN CN1CCc2ccc(Nc3c(F)ccc(Cl)c3F)cc2C1 ZINC001250091993 1060599141 /nfs/dbraw/zinc/59/91/41/1060599141.db2.gz JEXLWZWAKKHXOS-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN CCOc1cc(CN2Cc3ccccc3C[C@H]2CC)ccc1O ZINC001560733803 1060601048 /nfs/dbraw/zinc/60/10/48/1060601048.db2.gz ABHXWRROQGTMEU-GOSISDBHSA-N 0 3 311.425 4.128 20 0 DIADHN Cc1[nH]nc(CNCc2ccc(-c3cccc(C)c3)cc2)c1C ZINC001560747998 1060616917 /nfs/dbraw/zinc/61/69/17/1060616917.db2.gz DHXQWMAQQQBGHQ-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN Cc1cc(C)c2c(c1)CN(CCc1ccc(F)cc1F)CC2 ZINC001560766436 1060632413 /nfs/dbraw/zinc/63/24/13/1060632413.db2.gz OTSOANDISIFLLF-UHFFFAOYSA-N 0 3 301.380 4.182 20 0 DIADHN CCN1CCN(c2cccc(NC[C@@H]3CCCC[C@H]3C)c2)CC1 ZINC001560774676 1060636417 /nfs/dbraw/zinc/63/64/17/1060636417.db2.gz PAHGOOLSOJPSTQ-MSOLQXFVSA-N 0 3 315.505 4.067 20 0 DIADHN CCN1CCN(c2cccc(NC3CCC(C)(C)CC3)c2)CC1 ZINC001560774420 1060636637 /nfs/dbraw/zinc/63/66/37/1060636637.db2.gz IAVWAWBZHMKELF-UHFFFAOYSA-N 0 3 315.505 4.209 20 0 DIADHN CN1CCC(c2ccc(CC(=O)c3ccc(F)cc3)cc2)CC1 ZINC001250135307 1060637964 /nfs/dbraw/zinc/63/79/64/1060637964.db2.gz LXMMUQJZZYNXHW-UHFFFAOYSA-N 0 3 311.400 4.060 20 0 DIADHN CC(C)[C@H](CN1CCOc2cccc(F)c2C1)c1ccccc1 ZINC001560784888 1060647274 /nfs/dbraw/zinc/64/72/74/1060647274.db2.gz XPWAAKOVHWILBJ-KRWDZBQOSA-N 0 3 313.416 4.460 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2ncc(C(F)(F)F)s2)[C@H]1C ZINC001560786965 1060649106 /nfs/dbraw/zinc/64/91/06/1060649106.db2.gz HEKQFBHLAXMNOC-VWYCJHECSA-N 0 3 306.397 4.324 20 0 DIADHN Fc1c(CCN2CCCC2)ccc(OCc2ccccc2)c1F ZINC001250164252 1060654634 /nfs/dbraw/zinc/65/46/34/1060654634.db2.gz OODQTYVNTUZNED-UHFFFAOYSA-N 0 3 317.379 4.182 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1cc(F)c(Cl)cc1F ZINC001560796542 1060656723 /nfs/dbraw/zinc/65/67/23/1060656723.db2.gz CRFMFOXEVXSFTB-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1Cc1cccc2c1CCC2 ZINC001560801737 1060661474 /nfs/dbraw/zinc/66/14/74/1060661474.db2.gz AIXJCLNPQAXCGU-INIZCTEOSA-N 0 3 324.443 4.025 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1Cc1cccc2ccoc21 ZINC001560802344 1060662804 /nfs/dbraw/zinc/66/28/04/1060662804.db2.gz RIHXAHBGHAXYBF-HNNXBMFYSA-N 0 3 324.399 4.283 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cccc(Br)c1F ZINC001560813896 1060672311 /nfs/dbraw/zinc/67/23/11/1060672311.db2.gz LDBWVCDZAQIDRK-NSHDSACASA-N 0 3 320.271 4.162 20 0 DIADHN CCCCN(CCCO)Cc1ccc(Oc2ccccc2)o1 ZINC001560811472 1060672508 /nfs/dbraw/zinc/67/25/08/1060672508.db2.gz MAWBGNQWNOLUHM-UHFFFAOYSA-N 0 3 303.402 4.056 20 0 DIADHN CCN(Cc1ccncc1)Cc1c(C)cc(C)c(COC)c1C ZINC001560815881 1060675386 /nfs/dbraw/zinc/67/53/86/1060675386.db2.gz UJFWHXXONCOGFK-UHFFFAOYSA-N 0 3 312.457 4.175 20 0 DIADHN CCc1ccc([C@H]2CCCN(Cc3cnc(C4CC4)o3)C2)cc1 ZINC001560816846 1060677576 /nfs/dbraw/zinc/67/75/76/1060677576.db2.gz GNJAZLYMZRCGKV-SFHVURJKSA-N 0 3 310.441 4.494 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Oc3cccnc3)C2)c(C)c1Cl ZINC001250197947 1060677813 /nfs/dbraw/zinc/67/78/13/1060677813.db2.gz WRRCADPXCLNYHX-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1noc([C@@H]2CCCCN2CC2CCC(C(C)C)CC2)n1 ZINC001560823209 1060681734 /nfs/dbraw/zinc/68/17/34/1060681734.db2.gz ZJFMYHWFFITIQC-JCYILVPMSA-N 0 3 305.466 4.367 20 0 DIADHN c1coc(C2=CCCN(Cc3ccc(OCC4CC4)cc3)C2)c1 ZINC001560826549 1060683513 /nfs/dbraw/zinc/68/35/13/1060683513.db2.gz QHPIGYUKUCWSBX-UHFFFAOYSA-N 0 3 309.409 4.358 20 0 DIADHN CC[C@@H](NCc1c(Cl)cccc1SC(C)C)[C@H](C)O ZINC001560830802 1060689683 /nfs/dbraw/zinc/68/96/83/1060689683.db2.gz IFLQZWMVKDMNDW-SMDDNHRTSA-N 0 3 301.883 4.090 20 0 DIADHN COc1ccc(CN2CC(c3ccc(Cl)cc3)C2)c(C)c1 ZINC001560837107 1060692825 /nfs/dbraw/zinc/69/28/25/1060692825.db2.gz JOTIDZWHVSDJTI-UHFFFAOYSA-N 0 3 301.817 4.256 20 0 DIADHN Clc1ccc2sc(CN3CCSC[C@H]3C3CC3)cc2n1 ZINC001560844086 1060699871 /nfs/dbraw/zinc/69/98/71/1060699871.db2.gz SMAKYOASALFBAC-ZDUSSCGKSA-N 0 3 324.902 4.277 20 0 DIADHN C[C@H](Cc1coc2ccccc12)NCc1cc(F)cnc1Cl ZINC001560849606 1060706877 /nfs/dbraw/zinc/70/68/77/1060706877.db2.gz YCMGCNFERPKEIC-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN O=C(Cc1ccc(CN2CCCCC2)cc1)c1cccc(F)c1 ZINC001250235364 1060717386 /nfs/dbraw/zinc/71/73/86/1060717386.db2.gz HOXGSAAFELXAKY-UHFFFAOYSA-N 0 3 311.400 4.237 20 0 DIADHN CC(C)(C)C(=O)Nc1ccc(Cl)cc1CCN1CCCCC1 ZINC001250241268 1060722990 /nfs/dbraw/zinc/72/29/90/1060722990.db2.gz BLUJCTUVFREORA-UHFFFAOYSA-N 0 3 322.880 4.353 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nccn2-c2ccccc2)cc1 ZINC001560869173 1060731574 /nfs/dbraw/zinc/73/15/74/1060731574.db2.gz ZSENZWOAPLPLJO-QGZVFWFLSA-N 0 3 305.425 4.074 20 0 DIADHN COc1c(C)ccc(F)c1CN1CCCC2(CC(F)C2)CC1 ZINC001250251445 1060731642 /nfs/dbraw/zinc/73/16/42/1060731642.db2.gz QTCTYAILDPFBCA-UHFFFAOYSA-N 0 3 309.400 4.247 20 0 DIADHN COc1cc(CN2CCC(C)(CC(C)C)CC2)cc(OC)c1O ZINC001560872050 1060732589 /nfs/dbraw/zinc/73/25/89/1060732589.db2.gz ZQPDJRYUZZVEMO-UHFFFAOYSA-N 0 3 321.461 4.058 20 0 DIADHN Cc1cc(Br)cc(CN2CCC(C3CC3)CC2)c1 ZINC001560871482 1060733800 /nfs/dbraw/zinc/73/38/00/1060733800.db2.gz KASWZWZNFWKOQI-UHFFFAOYSA-N 0 3 308.263 4.380 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CC(C3CCCC3)C2)cc1 ZINC001560876514 1060734721 /nfs/dbraw/zinc/73/47/21/1060734721.db2.gz NHYJCZKCSPHDNJ-UHFFFAOYSA-N 0 3 315.457 4.264 20 0 DIADHN CCC1(CC)CN(CCN(C(=O)OC(C)(C)C)C(C)(C)C)C1 ZINC001560874639 1060735858 /nfs/dbraw/zinc/73/58/58/1060735858.db2.gz HJCKCCHLOZDSIB-UHFFFAOYSA-N 0 3 312.498 4.144 20 0 DIADHN CC(C)=CCC[C@H](C)CCN(C)CC(=O)NCc1ccccc1 ZINC001560894119 1060756927 /nfs/dbraw/zinc/75/69/27/1060756927.db2.gz CUNBTQVSDYRYIK-SFHVURJKSA-N 0 3 316.489 4.007 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CC[C@@H](C2CCCCC2)C1 ZINC001560921275 1060786758 /nfs/dbraw/zinc/78/67/58/1060786758.db2.gz HIBXVTXKSZTOSZ-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN COC1CCC(CN2CCc3[nH]c4ccc(C)cc4c3C2)CC1 ZINC001560922561 1060787355 /nfs/dbraw/zinc/78/73/55/1060787355.db2.gz ZXUWHTVFICAREL-UHFFFAOYSA-N 0 3 312.457 4.040 20 0 DIADHN COC(=O)c1sccc1CNCC1CC(C)(C)CC(C)(C)C1 ZINC001560923589 1060789561 /nfs/dbraw/zinc/78/95/61/1060789561.db2.gz HQQKETKJGDHNCS-UHFFFAOYSA-N 0 3 323.502 4.477 20 0 DIADHN Cc1cc(Br)cc(CN2CCC[C@@H](CCF)C2)c1 ZINC001560931581 1060795375 /nfs/dbraw/zinc/79/53/75/1060795375.db2.gz LSDFKFAUIBETPG-ZDUSSCGKSA-N 0 3 314.242 4.329 20 0 DIADHN CCCOc1ccc(CN2CCC(c3ccon3)CC2)cc1C ZINC001560938753 1060801536 /nfs/dbraw/zinc/80/15/36/1060801536.db2.gz MLWWDMPQQNFMHL-UHFFFAOYSA-N 0 3 314.429 4.151 20 0 DIADHN Cc1cccc(CC2CN(Cc3cnc4c(F)cccc4c3)C2)c1 ZINC001560942581 1060808165 /nfs/dbraw/zinc/80/81/65/1060808165.db2.gz CGLBQEIAVVPTKK-UHFFFAOYSA-N 0 3 320.411 4.357 20 0 DIADHN CC(C)CCO[C@@H]1CCN(Cc2ccccc2OCC(C)C)C1 ZINC001560950957 1060817520 /nfs/dbraw/zinc/81/75/20/1060817520.db2.gz SSNUFAVYVJEANM-LJQANCHMSA-N 0 3 319.489 4.358 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CCC(CCF)CC1 ZINC001560962768 1060827200 /nfs/dbraw/zinc/82/72/00/1060827200.db2.gz JEEGIDPEWCPARZ-UHFFFAOYSA-N 0 3 315.436 4.061 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CC(CC3CCOCC3)C2)c(C)c1 ZINC001560988186 1060852446 /nfs/dbraw/zinc/85/24/46/1060852446.db2.gz MEAWOYJFEBCZTK-SNAWJCMRSA-N 0 3 313.485 4.374 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccc(-c2ccccc2)cn1 ZINC001560993162 1060853921 /nfs/dbraw/zinc/85/39/21/1060853921.db2.gz AEBVAOKAENBWLJ-YYKUARIBSA-N 0 3 322.452 4.357 20 0 DIADHN CO[C@H](CNCc1ccc(Cl)cc1OC(C)C)CC(C)C ZINC001560989577 1060853945 /nfs/dbraw/zinc/85/39/45/1060853945.db2.gz ILQCJKFUUDWXTJ-INIZCTEOSA-N 0 3 313.869 4.278 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H](C)[C@@H]1CCCCO1 ZINC001560989429 1060854240 /nfs/dbraw/zinc/85/42/40/1060854240.db2.gz CMMJYCWZYPNRCI-BBRMVZONSA-N 0 3 311.853 4.174 20 0 DIADHN C[C@@H]1CCC(C)(C)C[C@@H]1NCc1noc2c1CC(C)(C)CC2 ZINC001560993995 1060856854 /nfs/dbraw/zinc/85/68/54/1060856854.db2.gz TYXOJBLKGKHCDR-HIFRSBDPSA-N 0 3 304.478 4.494 20 0 DIADHN CCO[C@H]1C[C@H](NCc2c(F)cc(C)cc2F)C12CCCCC2 ZINC001561019927 1060877915 /nfs/dbraw/zinc/87/79/15/1060877915.db2.gz SGCHGVZCGOKNDD-ROUUACIJSA-N 0 3 323.427 4.491 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1csc(NC(=O)OC(C)(C)C)n1 ZINC001561038418 1060891486 /nfs/dbraw/zinc/89/14/86/1060891486.db2.gz MOMXYEPDCDOFJQ-GHMZBOCLSA-N 0 3 313.467 4.014 20 0 DIADHN Cc1cc(C)cc(C2CCN(Cc3cncn3C(C)C)CC2)c1 ZINC001561037520 1060892040 /nfs/dbraw/zinc/89/20/40/1060892040.db2.gz LIRQUBLIYYSCBM-UHFFFAOYSA-N 0 3 311.473 4.460 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@H](Cc3nccs3)C2)c1 ZINC001561044108 1060895956 /nfs/dbraw/zinc/89/59/56/1060895956.db2.gz ROXQNJODDCZCCV-ZDUSSCGKSA-N 0 3 306.862 4.170 20 0 DIADHN Brc1cccnc1CNCCC1CCCCCC1 ZINC001561047801 1060899449 /nfs/dbraw/zinc/89/94/49/1060899449.db2.gz AFLVEWZSQHRSGE-UHFFFAOYSA-N 0 3 311.267 4.294 20 0 DIADHN C[C@H](NC1CC(C(F)F)C1)c1nc2ccccc2n1C(F)F ZINC001561058585 1060903609 /nfs/dbraw/zinc/90/36/09/1060903609.db2.gz WHOABDOTOUUFAB-IDKOKCKLSA-N 0 3 315.314 4.126 20 0 DIADHN CCCCC[C@H](C)N1CCN(c2nc3ccccc3s2)CC1 ZINC001561056672 1060905545 /nfs/dbraw/zinc/90/55/45/1060905545.db2.gz STUBSNKMRCGHKR-HNNXBMFYSA-N 0 3 317.502 4.387 20 0 DIADHN Cc1ccc([C@H](C)Cc2nc3ccc(N4CCCC4)cc3[nH]2)o1 ZINC001250568843 1060907536 /nfs/dbraw/zinc/90/75/36/1060907536.db2.gz GGAHUKFOFVIQJK-CYBMUJFWSA-N 0 3 309.413 4.411 20 0 DIADHN C[C@H](N[C@H]1C[C@H](C)CC[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC001462121487 1060943787 /nfs/dbraw/zinc/94/37/87/1060943787.db2.gz USXHVBZRJNQYSP-DVZHBHJUSA-N 0 3 317.408 4.351 20 0 DIADHN CCN1CCN(c2cccc(N[C@H]3CCC[C@]3(C)CC)c2)CC1 ZINC001462124062 1060945452 /nfs/dbraw/zinc/94/54/52/1060945452.db2.gz FZLFLIPNZVGFMP-PMACEKPBSA-N 0 3 315.505 4.209 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(C)cc(C)n2)C12CCCCC2 ZINC001473199517 1060959608 /nfs/dbraw/zinc/95/96/08/1060959608.db2.gz MTRAATJXZIQAMZ-MOPGFXCFSA-N 0 3 316.489 4.258 20 0 DIADHN C[C@H](COCc1ccccc1)CN(C)Cc1ccc(Cl)nc1 ZINC001473206337 1060972738 /nfs/dbraw/zinc/97/27/38/1060972738.db2.gz GHKMBQKCRFQDAR-HNNXBMFYSA-N 0 3 318.848 4.020 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4cccnc43)cc2)CC1 ZINC001250638995 1060974176 /nfs/dbraw/zinc/97/41/76/1060974176.db2.gz RAYXHKDQYCAHAO-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2ccc3c(n2)CCCC3)C1(C)C ZINC001462155461 1060980402 /nfs/dbraw/zinc/98/04/02/1060980402.db2.gz OPIBTNKCBRFJJL-RBUKOAKNSA-N 0 3 316.489 4.034 20 0 DIADHN CO[C@H](CN(C)Cc1cc2ccccc2o1)c1ccc(F)cc1 ZINC001473215197 1060985380 /nfs/dbraw/zinc/98/53/80/1060985380.db2.gz HGUJYKGVIFNGRC-LJQANCHMSA-N 0 3 313.372 4.391 20 0 DIADHN CN(CCN1CCCc2ccccc21)Cc1cc2ccccc2o1 ZINC001473216552 1060989835 /nfs/dbraw/zinc/98/98/35/1060989835.db2.gz VFXCOLVYXPAODH-UHFFFAOYSA-N 0 3 320.436 4.317 20 0 DIADHN CC(C)(C)OC(=O)C[C@H]1CCCN(Cc2ccccc2CF)C1 ZINC001473217299 1060989908 /nfs/dbraw/zinc/98/99/08/1060989908.db2.gz DTETUMXUWUANQG-OAHLLOKOSA-N 0 3 321.436 4.100 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1CC[C@@H](C)[C@@H]1C ZINC001473217679 1060992415 /nfs/dbraw/zinc/99/24/15/1060992415.db2.gz QUJMAOSTIXOFGK-VWYCJHECSA-N 0 3 315.244 4.051 20 0 DIADHN CCCC[C@H](CC)CN1CCOC[C@@H]1C[C@H](O)c1ccccc1 ZINC001473221098 1060994982 /nfs/dbraw/zinc/99/49/82/1060994982.db2.gz WRAKESVBCINQPB-IHPCNDPISA-N 0 3 319.489 4.027 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(Cl)ccc2OC)C12CCC2 ZINC001473221788 1061000618 /nfs/dbraw/zinc/00/06/18/1061000618.db2.gz LNLKAOWLSNJVGI-IRXDYDNUSA-N 0 3 323.864 4.128 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CCOC2(C1)CCCCCC2 ZINC001473221707 1061001244 /nfs/dbraw/zinc/00/12/44/1061001244.db2.gz JWZBBXPTKYKFTD-IBGZPJMESA-N 0 3 317.473 4.189 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C)C2CCC(C)(C)CC2)c1 ZINC001473223311 1061001845 /nfs/dbraw/zinc/00/18/45/1061001845.db2.gz YGZCATZHUYVUJN-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN FC1(F)CCCC[C@H]1CN1CCOC2(C1)CCCCCC2 ZINC001473223348 1061003312 /nfs/dbraw/zinc/00/33/12/1061003312.db2.gz YSRXXKYFOREPHZ-HNNXBMFYSA-N 0 3 301.421 4.237 20 0 DIADHN c1ccc2nc(N3CCN([C@@H]4C=CCCCCC4)CC3)ccc2c1 ZINC001473227747 1061004876 /nfs/dbraw/zinc/00/48/76/1061004876.db2.gz MHSWHWIEKZXOPX-LJQANCHMSA-N 0 3 321.468 4.246 20 0 DIADHN Cc1ccc(CN[C@H](Cn2cccn2)c2ccccc2)cc1C ZINC001473228403 1061009417 /nfs/dbraw/zinc/00/94/17/1061009417.db2.gz RCOGOZDFGPZXQW-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CCC[C@@H](CN(CCC(F)(F)F)Cc1ccccc1)OC ZINC001462186324 1061009624 /nfs/dbraw/zinc/00/96/24/1061009624.db2.gz CPZCHYVLQSCEJU-HNNXBMFYSA-N 0 3 303.368 4.256 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@@]1(C)Br)c1ccccc1Cl ZINC001462190392 1061010890 /nfs/dbraw/zinc/01/08/90/1061010890.db2.gz MIPFCEGRZQJOPY-GBIKHYSHSA-N 0 3 302.643 4.164 20 0 DIADHN CC(C)CCc1ccc(NC(=O)N(CCN(C)C)C(C)C)cc1 ZINC001562291679 1061022245 /nfs/dbraw/zinc/02/22/45/1061022245.db2.gz GEQHMHZWXJDQJZ-UHFFFAOYSA-N 0 3 319.493 4.079 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cccc(Cl)n2)C1(CC)CC ZINC001473244379 1061022344 /nfs/dbraw/zinc/02/23/44/1061022344.db2.gz RGNMJKXGFANEBT-HUUCEWRRSA-N 0 3 310.869 4.151 20 0 DIADHN C[C@@H](CCSc1ccccc1)N1CCc2ccc(O)cc2C1 ZINC001473245506 1061022429 /nfs/dbraw/zinc/02/24/29/1061022429.db2.gz SLESAHKCDMKKAI-HNNXBMFYSA-N 0 3 313.466 4.321 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@H]1CCCC3(CCC3)O1)CCC2 ZINC001473249334 1061025028 /nfs/dbraw/zinc/02/50/28/1061025028.db2.gz ZTXLBQDAHMRRQP-GDBMZVCRSA-N 0 3 320.502 4.253 20 0 DIADHN COc1ncc(CN(C)[C@H](C)c2cccc(O)c2)c2ccccc21 ZINC001473248610 1061025344 /nfs/dbraw/zinc/02/53/44/1061025344.db2.gz UXWGORPONBBCPN-CQSZACIVSA-N 0 3 322.408 4.142 20 0 DIADHN C[C@@H](NC[C@@]1(C)C[C@@H]1c1ccccc1)c1c(F)cncc1F ZINC001462218433 1061034226 /nfs/dbraw/zinc/03/42/26/1061034226.db2.gz TVSVCHOPSJQTLM-RVZJWNSFSA-N 0 3 302.368 4.204 20 0 DIADHN Cc1csc(C2(NCCc3c(F)cccc3F)CCCC2)n1 ZINC001473258121 1061035267 /nfs/dbraw/zinc/03/52/67/1061035267.db2.gz MADUURUWMPZODM-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@@H]2CCCC[C@@H]2C)cc(Cl)c1[O-] ZINC001462218521 1061036030 /nfs/dbraw/zinc/03/60/30/1061036030.db2.gz UGWGCCFSUWCMHC-SWLSCSKDSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@@H]2CCCC[C@@H]2C)cc(Cl)c1[O-] ZINC001462218521 1061036043 /nfs/dbraw/zinc/03/60/43/1061036043.db2.gz UGWGCCFSUWCMHC-SWLSCSKDSA-N 0 3 311.853 4.455 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1ncccc1Br ZINC001473271822 1061045024 /nfs/dbraw/zinc/04/50/24/1061045024.db2.gz CBHDRAVUNBXEAC-DOMZBBRYSA-N 0 3 311.267 4.245 20 0 DIADHN CSC[C@H]1CCCN1CCc1cccc(Cl)c1Cl ZINC001473276061 1061049236 /nfs/dbraw/zinc/04/92/36/1061049236.db2.gz UWYDOMIOBIVQTQ-GFCCVEGCSA-N 0 3 304.286 4.363 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1ccc(CC)cc1 ZINC001473275486 1061049550 /nfs/dbraw/zinc/04/95/50/1061049550.db2.gz FWEJKEOIRZWPNV-SFHVURJKSA-N 0 3 302.462 4.232 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCCc2ccccc2CC1 ZINC001462248734 1061052452 /nfs/dbraw/zinc/05/24/52/1061052452.db2.gz LLTVEZDKXMVODE-UHFFFAOYSA-N 0 3 311.473 4.072 20 0 DIADHN Fc1ccc(N2CCN(CC34CCC(CC3)C4)CC2)c(Cl)c1 ZINC001473289595 1061057814 /nfs/dbraw/zinc/05/78/14/1061057814.db2.gz MQVBOZTVTOVROP-UHFFFAOYSA-N 0 3 322.855 4.181 20 0 DIADHN c1ccc(-n2nnc(CNCC3CCCCCC3)c2C2CC2)cc1 ZINC001462255001 1061058451 /nfs/dbraw/zinc/05/84/51/1061058451.db2.gz PTOMCSNMQLOKSQ-UHFFFAOYSA-N 0 3 324.472 4.205 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCCCC1(C)OCCO1 ZINC001473287407 1061059382 /nfs/dbraw/zinc/05/93/82/1061059382.db2.gz MEJPTNAQQNIUIT-HUUCEWRRSA-N 0 3 321.436 4.067 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1ccccc1SC ZINC001473289272 1061060239 /nfs/dbraw/zinc/06/02/39/1061060239.db2.gz IQDGTGCDVSMHHB-GOSISDBHSA-N 0 3 315.482 4.182 20 0 DIADHN CC(C)=CCN1CCN(C2c3ccccc3-c3ccccc32)CC1 ZINC001473300373 1061065969 /nfs/dbraw/zinc/06/59/69/1061065969.db2.gz ZFJVQBRVABIDFA-UHFFFAOYSA-N 0 3 318.464 4.340 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1C[C@H](C)[C@H]2CCCC[C@H]21 ZINC001473303726 1061067609 /nfs/dbraw/zinc/06/76/09/1061067609.db2.gz VIRCPDXRIFJYRY-SQWLQELKSA-N 0 3 315.436 4.206 20 0 DIADHN CCCCOc1ccccc1CN1CCOC[C@@H](C2CCC2)C1 ZINC001462282205 1061076258 /nfs/dbraw/zinc/07/62/58/1061076258.db2.gz VXIXDJXVALLZGM-IBGZPJMESA-N 0 3 317.473 4.114 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2F)n1)[C@@H](C)c1ccccc1 ZINC001473312965 1061077452 /nfs/dbraw/zinc/07/74/52/1061077452.db2.gz CMJJWSZMQJLFGV-CVEARBPZSA-N 0 3 323.415 4.293 20 0 DIADHN Fc1ccc([C@H](NCCOCc2ccccc2)C2CC2)c(F)c1 ZINC001473315944 1061079540 /nfs/dbraw/zinc/07/95/40/1061079540.db2.gz PJTDOLRGSGVSSS-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nc2cc(Br)ccc2[nH]1 ZINC001473318297 1061081520 /nfs/dbraw/zinc/08/15/20/1061081520.db2.gz RMDPSCJZCOMMAU-ZYHUDNBSSA-N 0 3 322.250 4.088 20 0 DIADHN Cc1cc(Br)cc2c1CN(CC1CC(C)C1)CC2 ZINC001473318026 1061081686 /nfs/dbraw/zinc/08/16/86/1061081686.db2.gz LZMUBRMLBHNZIH-UHFFFAOYSA-N 0 3 308.263 4.162 20 0 DIADHN C[C@]1(Br)C[C@@H]1CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC001462296348 1061085733 /nfs/dbraw/zinc/08/57/33/1061085733.db2.gz RLRGQQDRZZSLBX-PMPSAXMXSA-N 0 3 320.274 4.387 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccnn1C)[C@@H]1CCC[C@H](C)C1 ZINC001473331709 1061091432 /nfs/dbraw/zinc/09/14/32/1061091432.db2.gz MCMASJFRXVBQHG-XAUMDUMWSA-N 0 3 311.473 4.386 20 0 DIADHN CCN1C[C@H](C)N(Cc2c(Cl)oc3ccccc32)C[C@H]1C ZINC001473341979 1061100674 /nfs/dbraw/zinc/10/06/74/1061100674.db2.gz KFZWHQXVNCZWQO-OLZOCXBDSA-N 0 3 306.837 4.001 20 0 DIADHN CCC(CC)CN1CCO[C@@H](c2ccc(OC(F)F)cc2)C1 ZINC001473347592 1061102217 /nfs/dbraw/zinc/10/22/17/1061102217.db2.gz IEKIEBGJWQFMRU-MRXNPFEDSA-N 0 3 313.388 4.098 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2Cc2cnn(CCF)c2)cc1 ZINC001473349043 1061105014 /nfs/dbraw/zinc/10/50/14/1061105014.db2.gz MJODVDLUXVGSPT-LJQANCHMSA-N 0 3 315.436 4.313 20 0 DIADHN CC(C)(C)C1=CCN(Cc2ccc(-c3ccccn3)nc2)CC1 ZINC001473351515 1061105783 /nfs/dbraw/zinc/10/57/83/1061105783.db2.gz NVDBCLHPPJKBNY-UHFFFAOYSA-N 0 3 307.441 4.322 20 0 DIADHN CSc1ccc(OCCN2CC=C(C(C)(C)C)CC2)cc1 ZINC001473350949 1061106052 /nfs/dbraw/zinc/10/60/52/1061106052.db2.gz DXUZPHPBRFGTMU-UHFFFAOYSA-N 0 3 305.487 4.466 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3ccncc3F)C2)cc1 ZINC001473355185 1061108109 /nfs/dbraw/zinc/10/81/09/1061108109.db2.gz QVYWIVLOSZLIRU-QGZVFWFLSA-N 0 3 312.432 4.409 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@]2(C)Cc2ccccc2)c1 ZINC001473354395 1061109210 /nfs/dbraw/zinc/10/92/10/1061109210.db2.gz PWGNKMKCHHYCQO-OAQYLSRUSA-N 0 3 322.452 4.031 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)CO1)c1nc2ccccc2s1 ZINC001473356162 1061111673 /nfs/dbraw/zinc/11/16/73/1061111673.db2.gz SWYSHXBWZBHQCW-OLZOCXBDSA-N 0 3 304.459 4.152 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1Cc1ccc2nccnc2c1 ZINC001462337104 1061113229 /nfs/dbraw/zinc/11/32/29/1061113229.db2.gz BBODSMZAYNARQF-FQEVSTJZSA-N 0 3 321.399 4.414 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1nc2cc(F)ccc2o1 ZINC001462336615 1061113463 /nfs/dbraw/zinc/11/34/63/1061113463.db2.gz VDGLKCSMVPJZFE-LBPRGKRZSA-N 0 3 316.351 4.169 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCCCC1)c1cn(-c2ccccc2)nn1 ZINC001473369466 1061115956 /nfs/dbraw/zinc/11/59/56/1061115956.db2.gz RXDSTSWDSWZULO-HZPDHXFCSA-N 0 3 312.461 4.277 20 0 DIADHN CC(C)COC[C@H](NCC[C@H]1CCCC1(F)F)c1ccco1 ZINC001473367475 1061115966 /nfs/dbraw/zinc/11/59/66/1061115966.db2.gz VNOGUTKHTCSQAA-CABCVRRESA-N 0 3 315.404 4.408 20 0 DIADHN C[C@H]1CCC[C@@H]1NCc1oc2ccccc2c1Br ZINC001473379968 1061122342 /nfs/dbraw/zinc/12/23/42/1061122342.db2.gz DEBCLORXKAPSQQ-JQWIXIFHSA-N 0 3 308.219 4.474 20 0 DIADHN CC(C)N(Cc1ccc2[nH]c(=O)[nH]c2c1)[C@H](C)c1ccsc1 ZINC001462355736 1061125946 /nfs/dbraw/zinc/12/59/46/1061125946.db2.gz JHIDAJRNWCJILE-GFCCVEGCSA-N 0 3 315.442 4.302 20 0 DIADHN CC(C)N(Cc1ccc2[nH]c(=O)[nH]c2c1)[C@@H](C)c1ccsc1 ZINC001462355739 1061126454 /nfs/dbraw/zinc/12/64/54/1061126454.db2.gz JHIDAJRNWCJILE-LBPRGKRZSA-N 0 3 315.442 4.302 20 0 DIADHN CC(C)N(Cc1ccc2c(c1)OCCO2)[C@H](C)c1ccsc1 ZINC001462358168 1061126711 /nfs/dbraw/zinc/12/67/11/1061126711.db2.gz QXNXKHBZPQQZJN-CQSZACIVSA-N 0 3 317.454 4.491 20 0 DIADHN CN(CC[C@@H]1C[C@H]1C1CC1)[C@]1(c2ccccc2)CCCCC1=O ZINC001473389037 1061129360 /nfs/dbraw/zinc/12/93/60/1061129360.db2.gz PMZGDFMHCDHYFO-LMNJBCLMSA-N 0 3 311.469 4.393 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CCCC[C@@H](C)C1 ZINC001473395727 1061133814 /nfs/dbraw/zinc/13/38/14/1061133814.db2.gz MZPBJJVYOFSCGS-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN O[C@H](CN1CCCC2(CCCC2)C1)c1ccc(F)cc1Cl ZINC001473394577 1061134279 /nfs/dbraw/zinc/13/42/79/1061134279.db2.gz ZZZTXQJZUDZLCK-MRXNPFEDSA-N 0 3 311.828 4.169 20 0 DIADHN CC[C@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)[C@H]1C ZINC001473401899 1061137447 /nfs/dbraw/zinc/13/74/47/1061137447.db2.gz FKYWMUHCWWVFDY-RYUDHWBXSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H](c1ccsc1)N1CCN(CCC2=CCCCC2)CC1 ZINC001473405433 1061139633 /nfs/dbraw/zinc/13/96/33/1061139633.db2.gz GATMYZYPZMMOEB-MRXNPFEDSA-N 0 3 304.503 4.317 20 0 DIADHN C[C@H](CN1CC[C@H](Oc2ccccc2)C1)c1cc(F)cc(F)c1 ZINC001462382414 1061140077 /nfs/dbraw/zinc/14/00/77/1061140077.db2.gz QEIYACVJVTUVHD-KUHUBIRLSA-N 0 3 317.379 4.222 20 0 DIADHN Fc1ccc(/C=C/CN2CCSC[C@H]2C2CCC2)cc1F ZINC001462382483 1061140145 /nfs/dbraw/zinc/14/01/45/1061140145.db2.gz GCJVPGWXCSQLAP-YATRRXNKSA-N 0 3 309.425 4.196 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CC[C@@H](C)C2(CCCCC2)C1 ZINC001473406863 1061140595 /nfs/dbraw/zinc/14/05/95/1061140595.db2.gz XHDHPMVAESAZQU-SJORKVTESA-N 0 3 314.473 4.306 20 0 DIADHN C[C@H]1CCN(Cc2noc3c2CCCC3)CC12CCCCC2 ZINC001473406740 1061141511 /nfs/dbraw/zinc/14/15/11/1061141511.db2.gz WTEAVOHFECFEJA-HNNXBMFYSA-N 0 3 302.462 4.346 20 0 DIADHN CC(C)c1cccc(CN[C@]2(CO)CCCc3ccccc32)c1 ZINC001473417256 1061150945 /nfs/dbraw/zinc/15/09/45/1061150945.db2.gz ZGJGGIWUPRMQEH-NRFANRHFSA-N 0 3 309.453 4.124 20 0 DIADHN C[C@@H]1CCc2nc(CN3CCCC[C@H]3C3CCC3)sc2C1 ZINC001462407024 1061154284 /nfs/dbraw/zinc/15/42/84/1061154284.db2.gz AEGWGMDLMFUWCT-CJNGLKHVSA-N 0 3 304.503 4.423 20 0 DIADHN Fc1ccccc1C[C@@H]1CCCCN1Cc1ccc2c(n1)CCC2 ZINC001462412164 1061156402 /nfs/dbraw/zinc/15/64/02/1061156402.db2.gz SKWTYERUFSOQBM-IBGZPJMESA-N 0 3 324.443 4.307 20 0 DIADHN COc1cccc(SCCN2CC[C@H](C(F)(F)F)[C@H]2C)c1 ZINC001473437656 1061158558 /nfs/dbraw/zinc/15/85/58/1061158558.db2.gz DNQBHYCWZWJNFS-RISCZKNCSA-N 0 3 319.392 4.060 20 0 DIADHN COc1cccc(SCCN2CC[C@@H](C(F)(F)F)[C@H]2C)c1 ZINC001473437652 1061158979 /nfs/dbraw/zinc/15/89/79/1061158979.db2.gz DNQBHYCWZWJNFS-BXUZGUMPSA-N 0 3 319.392 4.060 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1[C@@H]1C=CCCCCC1 ZINC001473441522 1061159746 /nfs/dbraw/zinc/15/97/46/1061159746.db2.gz SFJFDXSXLKVHJJ-IAGOWNOFSA-N 0 3 302.437 4.225 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1cnc(-c2ccccc2)o1 ZINC001473446332 1061162910 /nfs/dbraw/zinc/16/29/10/1061162910.db2.gz CJGOWLJHFPFRCD-DLBZAZTESA-N 0 3 314.429 4.025 20 0 DIADHN C[C@@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1nc(Cc2ccccc2)no1 ZINC001473456890 1061170588 /nfs/dbraw/zinc/17/05/88/1061170588.db2.gz LKAWZSBCQJQJNC-FSZRXZPDSA-N 0 3 313.445 4.136 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(C(F)(F)F)co2)C12CCCC2 ZINC001473457320 1061170779 /nfs/dbraw/zinc/17/07/79/1061170779.db2.gz SBDKLYKKHYPCQK-ZIAGYGMSSA-N 0 3 317.351 4.126 20 0 DIADHN Oc1ccc2c(c1)OCCN(C[C@@H]1CC[C@@H](c3ccccc3)C1)C2 ZINC001473462909 1061174782 /nfs/dbraw/zinc/17/47/82/1061174782.db2.gz KQNOSUHJLLJMNP-SJLPKXTDSA-N 0 3 323.436 4.171 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@@H]2NCc2nncs2)cc1 ZINC001473468847 1061178216 /nfs/dbraw/zinc/17/82/16/1061178216.db2.gz OAFYUXOPEAAQJZ-GJZGRUSLSA-N 0 3 321.877 4.398 20 0 DIADHN Fc1cccc2cc(CN3CC[C@@H](Oc4ccccc4)C3)cnc12 ZINC001462445853 1061179846 /nfs/dbraw/zinc/17/98/46/1061179846.db2.gz MZFXFNMNLGYGFL-GOSISDBHSA-N 0 3 322.383 4.027 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CCC1 ZINC001473483002 1061185204 /nfs/dbraw/zinc/18/52/04/1061185204.db2.gz DUVSETSTVDRKEI-MEDUHNTESA-N 0 3 321.852 4.024 20 0 DIADHN CC1(C)CC[C@@H]1NCc1oc2ccccc2c1Br ZINC001473484541 1061185320 /nfs/dbraw/zinc/18/53/20/1061185320.db2.gz RBSVAJQKOSCROF-ZDUSSCGKSA-N 0 3 308.219 4.474 20 0 DIADHN Cc1cc(CN2CC(C)(c3ccccc3)C2)c2c(n1)CCCC2 ZINC001462457918 1061186158 /nfs/dbraw/zinc/18/61/58/1061186158.db2.gz SIHJNHRDDOQNHA-UHFFFAOYSA-N 0 3 306.453 4.042 20 0 DIADHN CC(C)(C)c1ccc(C2CCN(Cc3cccnc3N)CC2)cc1 ZINC001473488332 1061187084 /nfs/dbraw/zinc/18/70/84/1061187084.db2.gz LNGONGCLPFFECQ-UHFFFAOYSA-N 0 3 323.484 4.341 20 0 DIADHN Brc1ccc2oc(CN3CC(CC4CC4)C3)cc2c1 ZINC001462461823 1061189103 /nfs/dbraw/zinc/18/91/03/1061189103.db2.gz ZXHPRKSKGQSNNH-UHFFFAOYSA-N 0 3 320.230 4.427 20 0 DIADHN Cc1cc(CN2CC(Cc3ccsc3)C2)c2c(n1)CCCC2 ZINC001462461538 1061189138 /nfs/dbraw/zinc/18/91/38/1061189138.db2.gz XXHLREXYMPCESH-UHFFFAOYSA-N 0 3 312.482 4.005 20 0 DIADHN CCCCCN(C)CC(=O)NC(c1ccccc1)c1ccccc1 ZINC001473500043 1061192733 /nfs/dbraw/zinc/19/27/33/1061192733.db2.gz PPHAQJIEDRETRU-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN Cc1oc2ccccc2c1CN1Cc2cnn(C)c2CC(C)(C)C1 ZINC001462468362 1061193620 /nfs/dbraw/zinc/19/36/20/1061193620.db2.gz NWSHXXWRLSHIKE-UHFFFAOYSA-N 0 3 323.440 4.059 20 0 DIADHN C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H](C)N1CC1CCC(C)(C)CC1 ZINC001473500146 1061194527 /nfs/dbraw/zinc/19/45/27/1061194527.db2.gz YAJNZIRAKNRMPJ-HZPDHXFCSA-N 0 3 322.537 4.170 20 0 DIADHN CC(C)C1CCC(CN2CCOc3cc(F)ccc3C2)CC1 ZINC001462477546 1061198529 /nfs/dbraw/zinc/19/85/29/1061198529.db2.gz CAHTYMGNWVLPNG-UHFFFAOYSA-N 0 3 305.437 4.483 20 0 DIADHN CCc1ccc(C2CCN(Cc3cncn3C(C)C)CC2)cc1 ZINC001462481112 1061201127 /nfs/dbraw/zinc/20/11/27/1061201127.db2.gz URXBALKQQIIDRC-UHFFFAOYSA-N 0 3 311.473 4.406 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc4ccccc4[nH]3)C2)cc1F ZINC001462484988 1061204084 /nfs/dbraw/zinc/20/40/84/1061204084.db2.gz ZSVLTRIUHHNUSZ-MRXNPFEDSA-N 0 3 324.399 4.305 20 0 DIADHN CCOc1cc(C[NH2+]CC[C@@H]2CC=CCC2)cc(Cl)c1[O-] ZINC001462505646 1061215698 /nfs/dbraw/zinc/21/56/98/1061215698.db2.gz UPLOCPPNGSIMAV-CYBMUJFWSA-N 0 3 309.837 4.280 20 0 DIADHN CN(C)Cc1cc(F)cc(-c2nc3cccc(Cl)c3n2C)c1 ZINC001251070655 1061217504 /nfs/dbraw/zinc/21/75/04/1061217504.db2.gz VIPDBVGWCRQKKP-UHFFFAOYSA-N 0 3 317.795 4.094 20 0 DIADHN CC(C)CN(Cc1cnn(C)c1)Cc1cccc(C(C)(C)C)c1 ZINC001473530267 1061224233 /nfs/dbraw/zinc/22/42/33/1061224233.db2.gz KAZUWVAQBSLFNG-UHFFFAOYSA-N 0 3 313.489 4.376 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1c(F)cccc1Cl ZINC001473537119 1061229811 /nfs/dbraw/zinc/22/98/11/1061229811.db2.gz PZUOELRHAJXHEY-ZDUSSCGKSA-N 0 3 306.812 4.375 20 0 DIADHN Cc1ccc([C@H](C)CN2CCc3cc(F)c(F)cc3C2)cc1 ZINC001462544560 1061250105 /nfs/dbraw/zinc/25/01/05/1061250105.db2.gz VLCGGVOPXLZLQM-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC001473564923 1061254121 /nfs/dbraw/zinc/25/41/21/1061254121.db2.gz PRHRMBRZOVNWKH-OUAUKWLOSA-N 0 3 312.335 4.323 20 0 DIADHN C[C@@H]1CCCN(CC2CC3(CCC3)C2)[C@@H]1C(=O)OC(C)(C)C ZINC001473572072 1061257030 /nfs/dbraw/zinc/25/70/30/1061257030.db2.gz YOKSUPOMQBPQMP-ZBFHGGJFSA-N 0 3 307.478 4.009 20 0 DIADHN CCC[C@H](C)CCCN1C[C@H]2CCN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001473572355 1061257262 /nfs/dbraw/zinc/25/72/62/1061257262.db2.gz MLUVNRMDTLHLMB-GVDBMIGSSA-N 0 3 324.509 4.144 20 0 DIADHN CC[C@](C)(C(=O)OC)N(Cc1c(C)cc(C)cc1C)CC1CC1 ZINC001473571964 1061258259 /nfs/dbraw/zinc/25/82/59/1061258259.db2.gz VJZPVOTVQFSFOK-HXUWFJFHSA-N 0 3 317.473 4.166 20 0 DIADHN CC[C@@](C)(C(=O)OC)N(Cc1ccccc1C(C)C)CC1CC1 ZINC001473572067 1061260263 /nfs/dbraw/zinc/26/02/63/1061260263.db2.gz YOKNLQVIEPKLHH-FQEVSTJZSA-N 0 3 317.473 4.364 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCO[C@H](C(F)(F)F)CC1 ZINC001462562055 1061264879 /nfs/dbraw/zinc/26/48/79/1061264879.db2.gz WUAYFEMMVFOUHW-GJZGRUSLSA-N 0 3 307.400 4.412 20 0 DIADHN CC1(C)CCc2onc(CN[C@@H]3CCC[C@H](C4CC4)C3)c2C1 ZINC001462562099 1061265414 /nfs/dbraw/zinc/26/54/14/1061265414.db2.gz XBMZLNYREQBGEG-LSDHHAIUSA-N 0 3 302.462 4.248 20 0 DIADHN Fc1cccc(F)c1CCNC1(c2ccccc2Cl)CC1 ZINC001473580209 1061267682 /nfs/dbraw/zinc/26/76/82/1061267682.db2.gz QMZJZCVBDAICIM-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN Brc1cc(CN2CCC[C@H]2CC2CCCC2)ccn1 ZINC001473595908 1061279042 /nfs/dbraw/zinc/27/90/42/1061279042.db2.gz QYLFSIZPKGYCFJ-HNNXBMFYSA-N 0 3 323.278 4.389 20 0 DIADHN C[C@@H]1CN(C[C@H]2CC3(CO2)CCCCC3)CC2(CCCCC2)O1 ZINC001473596861 1061280523 /nfs/dbraw/zinc/28/05/23/1061280523.db2.gz DIBLRYCAXOEMLJ-QZTJIDSGSA-N 0 3 321.505 4.149 20 0 DIADHN C[C@@]1(Cc2cccc(Cl)c2)CCCN1Cc1cccc(F)n1 ZINC001473606932 1061284193 /nfs/dbraw/zinc/28/41/93/1061284193.db2.gz AFPOZNXDKQUYQX-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN C[C@@H]1CN(Cc2cc(C(F)(F)F)co2)CCc2ccccc21 ZINC001473611488 1061287155 /nfs/dbraw/zinc/28/71/55/1061287155.db2.gz BQFMUYJHTHMVQT-GFCCVEGCSA-N 0 3 309.331 4.460 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCCC[C@H]2C2CCC2)c(C)c1 ZINC001473613845 1061289083 /nfs/dbraw/zinc/28/90/83/1061289083.db2.gz NHBLEGUFXIRWDM-SFHVURJKSA-N 0 3 314.473 4.205 20 0 DIADHN CC[C@@H]1CN(C[C@@H](OC(C)C)c2ccccc2)[C@@H](CC)CO1 ZINC001473616742 1061290767 /nfs/dbraw/zinc/29/07/67/1061290767.db2.gz ARKQEVRRTQDFSP-IPMKNSEASA-N 0 3 305.462 4.042 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)NCc1cc(C2CC2)n(C)n1 ZINC001462603332 1061291757 /nfs/dbraw/zinc/29/17/57/1061291757.db2.gz LMPXLGDNNHPUFQ-NSHDSACASA-N 0 3 323.893 4.123 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)NCc1cc(C2CC2)n(C)n1 ZINC001462603328 1061292705 /nfs/dbraw/zinc/29/27/05/1061292705.db2.gz LMPXLGDNNHPUFQ-LLVKDONJSA-N 0 3 323.893 4.123 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1cc(C2CC2)n(C)n1 ZINC001462613515 1061300158 /nfs/dbraw/zinc/30/01/58/1061300158.db2.gz NCGOTYGXHXFABD-STQMWFEESA-N 0 3 317.864 4.233 20 0 DIADHN C[C@H](NCc1cc(C2CC2)n(C)n1)[C@@H](C)c1ccc(Cl)cc1 ZINC001462613510 1061300384 /nfs/dbraw/zinc/30/03/84/1061300384.db2.gz NCGOTYGXHXFABD-OLZOCXBDSA-N 0 3 317.864 4.233 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCOCCC(C)C)cc1F ZINC001473626411 1061300659 /nfs/dbraw/zinc/30/06/59/1061300659.db2.gz WLRCCMGAHVHNMD-KRWDZBQOSA-N 0 3 309.425 4.034 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC(C)(C)CC(C)(C)C1 ZINC001473631898 1061302379 /nfs/dbraw/zinc/30/23/79/1061302379.db2.gz HTAVZAASULKETN-UHFFFAOYSA-N 0 3 316.489 4.390 20 0 DIADHN CC(C)(C)OC(=O)CCC1CCN(Cc2ccccc2F)CC1 ZINC001473629604 1061304119 /nfs/dbraw/zinc/30/41/19/1061304119.db2.gz LYQSNLOJJCJYMJ-UHFFFAOYSA-N 0 3 321.436 4.160 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC(C)(C)CC(C)(C)C2)cc1 ZINC001473631819 1061304395 /nfs/dbraw/zinc/30/43/95/1061304395.db2.gz FFEDRZMUWBDBFM-UHFFFAOYSA-N 0 3 316.489 4.336 20 0 DIADHN CC(C)c1ccccc1C(=O)NC[C@@H](C)N(C)Cc1ccccc1 ZINC001456404159 1061312075 /nfs/dbraw/zinc/31/20/75/1061312075.db2.gz WUYBBPLYWCIFAU-QGZVFWFLSA-N 0 3 324.468 4.060 20 0 DIADHN CCCN(CCOc1cccc(OC)c1)Cc1ccccc1F ZINC001473648382 1061314869 /nfs/dbraw/zinc/31/48/69/1061314869.db2.gz JPMMMOAPONDVRB-UHFFFAOYSA-N 0 3 317.404 4.125 20 0 DIADHN C[C@@H](CC(=O)N1CCN(C2CCC(C)CC2)CC1)CC(C)(C)C ZINC001456418124 1061315120 /nfs/dbraw/zinc/31/51/20/1061315120.db2.gz MMBAFDHXMLGZPI-ADKAHSJRSA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)C[C@@H](C)CN[C@H](CO)c1cccc(Cl)c1Cl ZINC001473660732 1061319995 /nfs/dbraw/zinc/31/99/95/1061319995.db2.gz ZYXAZQGDKJHLTG-BXUZGUMPSA-N 0 3 304.261 4.299 20 0 DIADHN CCCC[C@H](CC)CCCN(C)[C@H]1CCN(C2CCC2)C1=O ZINC001473659194 1061321658 /nfs/dbraw/zinc/32/16/58/1061321658.db2.gz GFGVNBOGCXAVDI-WMZOPIPTSA-N 0 3 308.510 4.068 20 0 DIADHN CCCOc1ccc(CN2CCO[C@H](C(C)(C)C)CC2)cc1C ZINC001462661070 1061323037 /nfs/dbraw/zinc/32/30/37/1061323037.db2.gz AZDJEJLXEPCLJY-IBGZPJMESA-N 0 3 319.489 4.421 20 0 DIADHN CCC(CC)CCCN(C)CC(=O)N(C(C)C)C1CCCC1 ZINC001473679033 1061335958 /nfs/dbraw/zinc/33/59/58/1061335958.db2.gz KNPIGSOEMRCJJU-UHFFFAOYSA-N 0 3 310.526 4.314 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(COC(C)(C)C)c1)N(CC)CC ZINC001456568982 1061336724 /nfs/dbraw/zinc/33/67/24/1061336724.db2.gz WWOBVVIDDXQJQU-QGZVFWFLSA-N 0 3 320.477 4.061 20 0 DIADHN CC(C)CN(Cc1ccnc(N)c1)Cc1ccc(F)c(Cl)c1 ZINC001473676322 1061336935 /nfs/dbraw/zinc/33/69/35/1061336935.db2.gz QKCGPIFUAIBGJQ-UHFFFAOYSA-N 0 3 321.827 4.115 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CCCc1ccccc1C ZINC001473674372 1061338332 /nfs/dbraw/zinc/33/83/32/1061338332.db2.gz FFUXRASAMFMKOA-UHFFFAOYSA-N 0 3 312.457 4.080 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc(F)ccc2N(C)C)cc(C)c1O ZINC001462687086 1061339887 /nfs/dbraw/zinc/33/98/87/1061339887.db2.gz TWCORIBWTYBMJG-CQSZACIVSA-N 0 3 316.420 4.065 20 0 DIADHN C[C@H](NCCOc1cc(Cl)cc(Cl)c1)c1ccns1 ZINC001473695046 1061353802 /nfs/dbraw/zinc/35/38/02/1061353802.db2.gz ZHYBWZJAEKLZSE-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN CC[C@H](NCC(=O)N[C@H](C)c1ccccc1)c1cc(C)ccc1C ZINC001473718563 1061366992 /nfs/dbraw/zinc/36/69/92/1061366992.db2.gz RJHVOHQNGPKBQP-XLIONFOSSA-N 0 3 324.468 4.222 20 0 DIADHN CCC[C@@H]1CCCN1C(=O)c1cccc(CN2CCCCC2)c1 ZINC001456799234 1061371599 /nfs/dbraw/zinc/37/15/99/1061371599.db2.gz FMHXNNMKMXSUND-LJQANCHMSA-N 0 3 314.473 4.077 20 0 DIADHN CO[C@@H](CN1CCC2(CCCCO2)CC1)c1ccc(Cl)cc1 ZINC001473747497 1061387058 /nfs/dbraw/zinc/38/70/58/1061387058.db2.gz QXYMGRXMJJYEAF-KRWDZBQOSA-N 0 3 323.864 4.063 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3Cl)C2(C)C)ncn1 ZINC001473750084 1061390581 /nfs/dbraw/zinc/39/05/81/1061390581.db2.gz FURXEMRRUCWZAI-INIZCTEOSA-N 0 3 315.848 4.207 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCN([C@H](C)c2ccccc2)CC1 ZINC001473753648 1061390931 /nfs/dbraw/zinc/39/09/31/1061390931.db2.gz AUMKMFSKLOGDKV-MOPGFXCFSA-N 0 3 308.469 4.435 20 0 DIADHN C[C@H](CN(C)[C@@H](C)C(=O)Nc1ccc(Cl)cc1)C(C)(C)C ZINC001473779707 1061406391 /nfs/dbraw/zinc/40/63/91/1061406391.db2.gz QNBBJBGGZPNNNT-OLZOCXBDSA-N 0 3 310.869 4.281 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](c3c(F)cccc3F)C2)c(C)n1 ZINC001473782584 1061409854 /nfs/dbraw/zinc/40/98/54/1061409854.db2.gz XDCRXPDNASMBAN-HNNXBMFYSA-N 0 3 316.395 4.275 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC[C@H](Cc2nccs2)C1 ZINC001473809672 1061417896 /nfs/dbraw/zinc/41/78/96/1061417896.db2.gz RDOSLAAQDHGRDV-ZIAGYGMSSA-N 0 3 304.434 4.298 20 0 DIADHN Cc1cnc(CN2CCC(C)(C)[C@@H](c3ccccc3)C2)s1 ZINC001473837140 1061428294 /nfs/dbraw/zinc/42/82/94/1061428294.db2.gz LLSJVJCQAGDCQD-MRXNPFEDSA-N 0 3 300.471 4.467 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1C[C@H]2CSC[C@@]2(C)C1 ZINC001473862116 1061436722 /nfs/dbraw/zinc/43/67/22/1061436722.db2.gz VHZHEWBCWLNUHQ-QIIPPGSGSA-N 0 3 314.454 4.088 20 0 DIADHN CCCCCCN(Cc1ccco1)Cc1ccc(CO)cc1 ZINC001473867206 1061440329 /nfs/dbraw/zinc/44/03/29/1061440329.db2.gz ULTRJDSRUNDUBW-UHFFFAOYSA-N 0 3 301.430 4.354 20 0 DIADHN Cc1c(Cl)cccc1N1CCN(CC[C@@H]2C[C@H]2C2CC2)CC1 ZINC001473871206 1061441033 /nfs/dbraw/zinc/44/10/33/1061441033.db2.gz VGXUBNXFYAYMGK-SJORKVTESA-N 0 3 318.892 4.207 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CC=CC[C@H]3C)co2)cc1 ZINC001473873791 1061445590 /nfs/dbraw/zinc/44/55/90/1061445590.db2.gz HEZXNFCHRGBKPU-RDTXWAMCSA-N 0 3 312.413 4.185 20 0 DIADHN CN(Cc1cc(F)ccc1Cl)[C@@H]1CCc2ccccc2C1 ZINC001473881989 1061447927 /nfs/dbraw/zinc/44/79/27/1061447927.db2.gz ZSGGPPHZKHXPKF-QGZVFWFLSA-N 0 3 303.808 4.468 20 0 DIADHN Cc1cccnc1[C@@H](C)NCCSc1ccc(Cl)cc1 ZINC001473879718 1061448160 /nfs/dbraw/zinc/44/81/60/1061448160.db2.gz LTSFDXRTQLHXPV-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)C[C@H](COC)C(C)C ZINC001473892275 1061450467 /nfs/dbraw/zinc/45/04/67/1061450467.db2.gz IWNMYSJVHVVDKS-HUUCEWRRSA-N 0 3 324.534 4.008 20 0 DIADHN CSc1ccc(CNCCSCc2ccccc2F)o1 ZINC001473909035 1061456590 /nfs/dbraw/zinc/45/65/90/1061456590.db2.gz GQTADWBELXDEAY-UHFFFAOYSA-N 0 3 311.447 4.164 20 0 DIADHN Cc1cc(Oc2ccc(CNCCCO)c(Cl)c2)ccc1F ZINC001473910902 1061457764 /nfs/dbraw/zinc/45/77/64/1061457764.db2.gz FPINFSPPSOXIHA-UHFFFAOYSA-N 0 3 323.795 4.052 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc(C(F)F)c(F)c2)cc1 ZINC001473919685 1061467764 /nfs/dbraw/zinc/46/77/64/1061467764.db2.gz MPQQDTWJIQKMSZ-UHFFFAOYSA-N 0 3 322.330 4.012 20 0 DIADHN COc1ccc([C@H](NCc2cnc(C3CC3)s2)C2CC2)cc1 ZINC001473922310 1061470624 /nfs/dbraw/zinc/47/06/24/1061470624.db2.gz LJQCNRUGZXWGTR-QGZVFWFLSA-N 0 3 314.454 4.270 20 0 DIADHN CCCn1cc(CN[C@@H](CC)c2ccc(Cl)cc2)c(C)n1 ZINC001473923674 1061473565 /nfs/dbraw/zinc/47/35/65/1061473565.db2.gz XXTLDUCZGWQLQG-KRWDZBQOSA-N 0 3 305.853 4.496 20 0 DIADHN CCCC(=O)Nc1cccc(CNC/C=C\c2ccccc2)c1 ZINC001473934985 1061479669 /nfs/dbraw/zinc/47/96/69/1061479669.db2.gz KWHTVTLHCYBQRI-GHXNOFRVSA-N 0 3 308.425 4.228 20 0 DIADHN Fc1cccc(OCCNCc2cccc(Cl)c2Cl)c1 ZINC001473948206 1061490343 /nfs/dbraw/zinc/49/03/43/1061490343.db2.gz ANTDCOZEHNUQHY-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Fc1cc(Cl)cc(CNCCOc2cccc(Cl)c2)c1 ZINC001473948682 1061490602 /nfs/dbraw/zinc/49/06/02/1061490602.db2.gz PVCZLFHDDPJERR-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001473952261 1061493941 /nfs/dbraw/zinc/49/39/41/1061493941.db2.gz VUIOESRTGMCQPD-QWHCGFSZSA-N 0 3 318.461 4.128 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cccc3c2OCO3)cc1C ZINC001473952316 1061494991 /nfs/dbraw/zinc/49/49/91/1061494991.db2.gz XYIPRNXSUWHKMO-FNORWQNLSA-N 0 3 309.409 4.144 20 0 DIADHN CC(C)Cc1ncc(CN[C@H](C)COc2cccc(F)c2)s1 ZINC001473952025 1061495188 /nfs/dbraw/zinc/49/51/88/1061495188.db2.gz KFWBKXLQHRLHJM-CYBMUJFWSA-N 0 3 322.449 4.038 20 0 DIADHN Fc1cc(CNCc2cccc3ccoc32)ccc1-n1ccnc1 ZINC001473955688 1061496835 /nfs/dbraw/zinc/49/68/35/1061496835.db2.gz MAFRCGUKISJDPT-UHFFFAOYSA-N 0 3 321.355 4.047 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCOC(C)(C)C ZINC001473964547 1061508084 /nfs/dbraw/zinc/50/80/84/1061508084.db2.gz CEKWZECANRKVNK-UHFFFAOYSA-N 0 3 313.441 4.267 20 0 DIADHN CCCCc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC001463655757 1061507972 /nfs/dbraw/zinc/50/79/72/1061507972.db2.gz KGPFUAXGJVGNHJ-MRXNPFEDSA-N 0 3 302.462 4.232 20 0 DIADHN Cc1cc(Br)cc(C)c1CNCc1cccnc1C ZINC001473967429 1061510229 /nfs/dbraw/zinc/51/02/29/1061510229.db2.gz FYADFZCBKYTQSS-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1cc(CN[C@@H]2CCCOc3ccccc32)c2c(n1)CCCC2 ZINC001473971754 1061516446 /nfs/dbraw/zinc/51/64/46/1061516446.db2.gz DUHSPWBSDSYIIE-LJQANCHMSA-N 0 3 322.452 4.272 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCC2)nc1)c1ccc(C)cc1 ZINC001473978074 1061521731 /nfs/dbraw/zinc/52/17/31/1061521731.db2.gz UKPNNHLTAINLEM-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN COC(=O)CC[C@H](NCc1cccc(C)c1C)c1ccccc1 ZINC001473980400 1061522945 /nfs/dbraw/zinc/52/29/45/1061522945.db2.gz KGNWOHCYXFUIIS-IBGZPJMESA-N 0 3 311.425 4.088 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@H]1CCCCN1CC ZINC001457936794 1061523760 /nfs/dbraw/zinc/52/37/60/1061523760.db2.gz VUTDFJFCIBJDCX-QZTJIDSGSA-N 0 3 310.526 4.316 20 0 DIADHN CCn1ccc(CNCC2(Sc3ccccc3)CCCC2)n1 ZINC001473981116 1061524402 /nfs/dbraw/zinc/52/44/02/1061524402.db2.gz VXIIRYJYZMGCFT-UHFFFAOYSA-N 0 3 315.486 4.098 20 0 DIADHN COc1ccccc1C1(NCC2=Cc3ccccc3OC2)CCC1 ZINC001251894995 1061527582 /nfs/dbraw/zinc/52/75/82/1061527582.db2.gz MAJPXRALYPBYAK-UHFFFAOYSA-N 0 3 321.420 4.140 20 0 DIADHN Cc1ccccc1CC(C)(C)NCc1nc2cc(F)ccc2o1 ZINC001473987022 1061528557 /nfs/dbraw/zinc/52/85/57/1061528557.db2.gz YVLDTFMMIYZNRX-UHFFFAOYSA-N 0 3 312.388 4.386 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1ccc(OC)cc1C ZINC001473989806 1061530566 /nfs/dbraw/zinc/53/05/66/1061530566.db2.gz GJBHHCFXUXUQNZ-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN CCC[C@@H](CC)NCc1ncc(Br)cc1Cl ZINC001473988366 1061530967 /nfs/dbraw/zinc/53/09/67/1061530967.db2.gz AXKRKTVNWHLZSY-SNVBAGLBSA-N 0 3 305.647 4.166 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cccc2cccnc21 ZINC001473990175 1061533759 /nfs/dbraw/zinc/53/37/59/1061533759.db2.gz PCIFGKUAYXKSQP-LJQANCHMSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1c[nH]c(-c2ccccc2)n1 ZINC001473991137 1061535902 /nfs/dbraw/zinc/53/59/02/1061535902.db2.gz AHLVZNYOZVAKAF-IBGZPJMESA-N 0 3 303.409 4.162 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cnc(-c2ccccc2)[nH]1 ZINC001473991137 1061535917 /nfs/dbraw/zinc/53/59/17/1061535917.db2.gz AHLVZNYOZVAKAF-IBGZPJMESA-N 0 3 303.409 4.162 20 0 DIADHN Cc1ccccc1OCCCNCc1ccc(F)cc1Cl ZINC001473992852 1061538769 /nfs/dbraw/zinc/53/87/69/1061538769.db2.gz FOHIFVSRHMIUAI-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN CC(C)(CNCc1nc2cc(F)ccc2o1)Cc1ccccc1 ZINC001474002143 1061543302 /nfs/dbraw/zinc/54/33/02/1061543302.db2.gz FOYPDXUFVLUJDA-UHFFFAOYSA-N 0 3 312.388 4.325 20 0 DIADHN CC(C)c1cnc(CNCC(C)(C)c2cccc(F)c2)s1 ZINC001474005633 1061547664 /nfs/dbraw/zinc/54/76/64/1061547664.db2.gz CWJJAXUXJAKALQ-UHFFFAOYSA-N 0 3 306.450 4.473 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1nc(Cl)ccc1Br ZINC001474014047 1061554521 /nfs/dbraw/zinc/55/45/21/1061554521.db2.gz XXRMRJKRKLPUHW-BDAKNGLRSA-N 0 3 305.647 4.022 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@@](C)(O)CCC(C)C ZINC001474014184 1061554795 /nfs/dbraw/zinc/55/47/95/1061554795.db2.gz CYFNJDSJCAJILJ-KRWDZBQOSA-N 0 3 313.869 4.016 20 0 DIADHN Brc1c2ccccc2oc1CN[C@@H]1CC=CCC1 ZINC001474013962 1061555510 /nfs/dbraw/zinc/55/55/10/1061555510.db2.gz VDFMSNOFDQMFHB-LLVKDONJSA-N 0 3 306.203 4.394 20 0 DIADHN CCc1ccccc1CNCc1cn(-c2cccc(C)c2)nc1C ZINC001474016701 1061557954 /nfs/dbraw/zinc/55/79/54/1061557954.db2.gz WKMCMICUEUVUHI-UHFFFAOYSA-N 0 3 319.452 4.341 20 0 DIADHN CCCCC1(C(=O)NC[C@H](c2ccsc2)N(CC)CC)CC1 ZINC001464141850 1061561042 /nfs/dbraw/zinc/56/10/42/1061561042.db2.gz RVZCQUPZVPWASV-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cscc2Cl)C1(CC)CC ZINC001474027844 1061566118 /nfs/dbraw/zinc/56/61/18/1061566118.db2.gz IYWWCFJWIGORFL-KBPBESRZSA-N 0 3 301.883 4.475 20 0 DIADHN OC[C@H](NCc1ccc(F)c(Cl)c1Cl)C1CCCCC1 ZINC001474025783 1061566793 /nfs/dbraw/zinc/56/67/93/1061566793.db2.gz ZOXAYBIQQOMSSC-ZDUSSCGKSA-N 0 3 320.235 4.163 20 0 DIADHN CC[C@H](CNCc1ccc(F)cc1F)Oc1ccccc1C ZINC001474028954 1061569346 /nfs/dbraw/zinc/56/93/46/1061569346.db2.gz VVYDNIJSYCLTNU-MRXNPFEDSA-N 0 3 305.368 4.220 20 0 DIADHN COc1ccccc1O[C@@H](C)CNCc1ccc(F)cc1Cl ZINC001474029009 1061569445 /nfs/dbraw/zinc/56/94/45/1061569445.db2.gz SDPMQQPKFSCNLI-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1cc(CN[C@H]2C[C@@H]2C2CCCCC2)ncc1Br ZINC001474029429 1061569491 /nfs/dbraw/zinc/56/94/91/1061569491.db2.gz JNFARSWAVHJTOT-ZBFHGGJFSA-N 0 3 323.278 4.211 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ncc(C(C)C)s2)C1(CC)CC ZINC001474029692 1061570885 /nfs/dbraw/zinc/57/08/85/1061570885.db2.gz XCFTXIMKSGCPPY-CABCVRRESA-N 0 3 310.507 4.340 20 0 DIADHN CC[C@H](NCc1cscc1Cl)[C@@H](O)c1ccccc1F ZINC001474028949 1061571557 /nfs/dbraw/zinc/57/15/57/1061571557.db2.gz VUYZYUCTANDOPK-GJZGRUSLSA-N 0 3 313.825 4.142 20 0 DIADHN CS[C@H]1CC[C@H](NCc2csc(-c3ccc(C)cc3)n2)C1 ZINC001474032121 1061574728 /nfs/dbraw/zinc/57/47/28/1061574728.db2.gz GZOCORPBCMECTB-HOCLYGCPSA-N 0 3 318.511 4.492 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(F)cc1F)c1ccc(Cl)cc1 ZINC001474033011 1061577567 /nfs/dbraw/zinc/57/75/67/1061577567.db2.gz YDKIZCPRIRDZCX-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN CCc1onc(C)c1CNC[C@@H](CC)Oc1cccc(Cl)c1 ZINC001474042354 1061589381 /nfs/dbraw/zinc/58/93/81/1061589381.db2.gz GAJXFAAJGANVAV-CQSZACIVSA-N 0 3 322.836 4.146 20 0 DIADHN Cc1ccc(CNC[C@@H](O)c2cc3ccccc3s2)c(F)c1 ZINC001474051367 1061596497 /nfs/dbraw/zinc/59/64/97/1061596497.db2.gz YTIVLQFUKKVBDV-MRXNPFEDSA-N 0 3 315.413 4.172 20 0 DIADHN CC(C)N(CCN(C)C)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC001458154715 1061601365 /nfs/dbraw/zinc/60/13/65/1061601365.db2.gz LSHMPDFZLLJVRC-UHFFFAOYSA-N 0 3 310.526 4.028 20 0 DIADHN CC1(C)C[C@H](NCc2cccc3c2OCCCO3)c2ccccc21 ZINC001474057005 1061603400 /nfs/dbraw/zinc/60/34/00/1061603400.db2.gz YMJHAHCRRHRZHZ-SFHVURJKSA-N 0 3 323.436 4.360 20 0 DIADHN CCCC1(CNCc2nc(-c3cccc(OC)c3)cs2)CC1 ZINC001474065906 1061614580 /nfs/dbraw/zinc/61/45/80/1061614580.db2.gz OMXYNGDLYNSTOG-UHFFFAOYSA-N 0 3 316.470 4.489 20 0 DIADHN COc1cnc(F)c(CN[C@@H](C)Cc2ccc(C(C)C)cc2)c1 ZINC001474073759 1061620841 /nfs/dbraw/zinc/62/08/41/1061620841.db2.gz RTFZLWRHHFOCSH-AWEZNQCLSA-N 0 3 316.420 4.074 20 0 DIADHN CCCCn1nc(C)c(CNc2cc(C)cc(C)n2)c1Cl ZINC001474077790 1061623320 /nfs/dbraw/zinc/62/33/20/1061623320.db2.gz PXVCWFXLENMHFE-UHFFFAOYSA-N 0 3 306.841 4.269 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCC1(C2CC2)CC1 ZINC001474081332 1061629683 /nfs/dbraw/zinc/62/96/83/1061629683.db2.gz SJWFLSYLXGPVOA-UHFFFAOYSA-N 0 3 316.189 4.007 20 0 DIADHN CCCn1cc(CN[C@@H](CC)c2ccc(C)c(F)c2)c(C)n1 ZINC001474094075 1061639504 /nfs/dbraw/zinc/63/95/04/1061639504.db2.gz UEQGONSPGVSRQI-SFHVURJKSA-N 0 3 303.425 4.290 20 0 DIADHN C[C@H](NCc1ccc2nccnc2c1)c1ccc(Cl)s1 ZINC001474092467 1061639630 /nfs/dbraw/zinc/63/96/30/1061639630.db2.gz LLUWTBARMWUILX-JTQLQIEISA-N 0 3 303.818 4.196 20 0 DIADHN CCCn1cc(CNCC2(C3CCCCC3)CCCCC2)nn1 ZINC001474096710 1061641713 /nfs/dbraw/zinc/64/17/13/1061641713.db2.gz NINYHYDVRHPFTN-UHFFFAOYSA-N 0 3 318.509 4.309 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)NCc1nccn1C1CC1 ZINC001474096295 1061642857 /nfs/dbraw/zinc/64/28/57/1061642857.db2.gz UCYLOOSLBMQEGM-NSHDSACASA-N 0 3 324.255 4.246 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC/C=C\c1cccc(F)c1 ZINC001474103532 1061650107 /nfs/dbraw/zinc/65/01/07/1061650107.db2.gz UPBILEUMQRMGBD-IUCKJTJTSA-N 0 3 315.436 4.450 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1cccc3[nH]ccc31)CCO2 ZINC001474105111 1061652996 /nfs/dbraw/zinc/65/29/96/1061652996.db2.gz QWCWYGHUKWNUDX-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCC[C@H]1CCCCO1 ZINC001474104979 1061654528 /nfs/dbraw/zinc/65/45/28/1061654528.db2.gz LYDXYZDCMLGAFK-CQSZACIVSA-N 0 3 320.458 4.150 20 0 DIADHN Cn1c(CNCc2cccc(C(F)F)c2)cc2ccccc21 ZINC001474113530 1061660985 /nfs/dbraw/zinc/66/09/85/1061660985.db2.gz QEKGHHVOYMHSDQ-UHFFFAOYSA-N 0 3 300.352 4.406 20 0 DIADHN CCOc1cc(F)cc(CNCc2cccc(C(F)F)c2)c1 ZINC001474112813 1061662206 /nfs/dbraw/zinc/66/22/06/1061662206.db2.gz DLSJMOIEFBVJJV-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@H](C)CC2CCCCC2)nn1 ZINC001474129049 1061673282 /nfs/dbraw/zinc/67/32/82/1061673282.db2.gz RIZWHIYRZLDVMM-MRXNPFEDSA-N 0 3 312.461 4.024 20 0 DIADHN Fc1ccc2[nH]cc(CCNCc3c(F)cccc3Cl)c2c1 ZINC001474126936 1061674559 /nfs/dbraw/zinc/67/45/59/1061674559.db2.gz DVAFOGGDCNEHDX-UHFFFAOYSA-N 0 3 320.770 4.432 20 0 DIADHN CCC[C@]1(NCc2ccc(-c3c(F)cccc3F)o2)CCOC1 ZINC001474144187 1061690730 /nfs/dbraw/zinc/69/07/30/1061690730.db2.gz GDNBWKDPDMFXCS-SFHVURJKSA-N 0 3 321.367 4.274 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@H]1CCC[C@H](F)C1 ZINC001474191284 1061741979 /nfs/dbraw/zinc/74/19/79/1061741979.db2.gz OYKWJLDAHDCYSE-UWVGGRQHSA-N 0 3 322.168 4.098 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc(C)c3ccccc23)c1 ZINC001474180909 1061726571 /nfs/dbraw/zinc/72/65/71/1061726571.db2.gz AUXOHEPKZNJGBF-UHFFFAOYSA-N 0 3 318.420 4.396 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1ccccc1F)c1ccc(F)cc1 ZINC001252846239 1061740648 /nfs/dbraw/zinc/74/06/48/1061740648.db2.gz IPQGOHGZRFCRIQ-RBUKOAKNSA-N 0 3 317.379 4.225 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1ccccc1F)c1ccc(F)cc1 ZINC001252846240 1061742212 /nfs/dbraw/zinc/74/22/12/1061742212.db2.gz IPQGOHGZRFCRIQ-RTBURBONSA-N 0 3 317.379 4.225 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@H](COC)CC(C)(C)C ZINC001474194620 1061745957 /nfs/dbraw/zinc/74/59/57/1061745957.db2.gz WAUJDRAAPXVSNN-HNNXBMFYSA-N 0 3 313.869 4.280 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1cccc(Cl)c1F ZINC001252857984 1061746994 /nfs/dbraw/zinc/74/69/94/1061746994.db2.gz DMHZFMWIVLZRSH-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc3c(F)cccc3c1)CC2 ZINC001474197671 1061746925 /nfs/dbraw/zinc/74/69/25/1061746925.db2.gz HBTRKRNGAOXZBC-LJQANCHMSA-N 0 3 306.384 4.459 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc3c(F)cccc3c1)CC2 ZINC001474197670 1061747134 /nfs/dbraw/zinc/74/71/34/1061747134.db2.gz HBTRKRNGAOXZBC-IBGZPJMESA-N 0 3 306.384 4.459 20 0 DIADHN Fc1ccccc1C1(CNCc2ccncc2Cl)CCC1 ZINC001474199783 1061751548 /nfs/dbraw/zinc/75/15/48/1061751548.db2.gz MZXFNEHLKLIMQC-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN CC(C)CC[C@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001474209171 1061761837 /nfs/dbraw/zinc/76/18/37/1061761837.db2.gz DHDNGGRKNCZQPT-CYBMUJFWSA-N 0 3 323.786 4.246 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1C[C@H](OC(C)(C)C)C12CCC2 ZINC001474210323 1061765532 /nfs/dbraw/zinc/76/55/32/1061765532.db2.gz PVMKYSIGXCYLFW-SJORKVTESA-N 0 3 321.436 4.050 20 0 DIADHN COc1cccc(CNCc2cccn2CCC(C)C)c1C ZINC001474215642 1061771378 /nfs/dbraw/zinc/77/13/78/1061771378.db2.gz DVGMAJYBUBSFJB-UHFFFAOYSA-N 0 3 300.446 4.141 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc2c(c1)CCCO2 ZINC001474216560 1061772640 /nfs/dbraw/zinc/77/26/40/1061772640.db2.gz XXHBBEVLHWZDFS-CABCVRRESA-N 0 3 323.436 4.186 20 0 DIADHN Cc1cc(C)cc(CNCc2cn(C)nc2C2CCCCC2)c1 ZINC001474218362 1061774119 /nfs/dbraw/zinc/77/41/19/1061774119.db2.gz FHXGGRQOXBYLJR-UHFFFAOYSA-N 0 3 311.473 4.374 20 0 DIADHN c1cc(-c2ccccc2)sc1CNCc1cnc2ccccn12 ZINC001474220197 1061776976 /nfs/dbraw/zinc/77/69/76/1061776976.db2.gz ZTUMANHCKNWLKJ-UHFFFAOYSA-N 0 3 319.433 4.353 20 0 DIADHN Cc1scc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)c1C ZINC001474220708 1061780672 /nfs/dbraw/zinc/78/06/72/1061780672.db2.gz NTWVHJVXZKAOPO-MSOLQXFVSA-N 0 3 303.471 4.213 20 0 DIADHN c1nc(CNCC[C@H]2CCCS2)sc1-c1ccccc1 ZINC001474224453 1061782794 /nfs/dbraw/zinc/78/27/94/1061782794.db2.gz SAIHOXNXNBMRKE-CQSZACIVSA-N 0 3 304.484 4.185 20 0 DIADHN CCCC[C@H](CC)COC[C@@H](O)CN1CC[C@@H]1c1ccccc1 ZINC001253003929 1061783329 /nfs/dbraw/zinc/78/33/29/1061783329.db2.gz AEAHRONGXJDSCK-YSIASYRMSA-N 0 3 319.489 4.027 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C/C=C/Cc1ccccc1 ZINC001465660961 1061791709 /nfs/dbraw/zinc/79/17/09/1061791709.db2.gz WHQYEBYQSZGKBP-BQYQJAHWSA-N 0 3 322.452 4.184 20 0 DIADHN CC1(C)CC[C@@H](O)[C@H](NCc2ccc(Oc3ccccc3)o2)C1 ZINC001474229708 1061793243 /nfs/dbraw/zinc/79/32/43/1061793243.db2.gz AKDRBDDGDHYUAP-IAGOWNOFSA-N 0 3 315.413 4.101 20 0 DIADHN C[C@](O)(CNc1ccnc(-c2ccccc2)c1)c1ccccc1 ZINC001253090838 1061799111 /nfs/dbraw/zinc/79/91/11/1061799111.db2.gz JEOVJLBXJYGWKO-FQEVSTJZSA-N 0 3 304.393 4.068 20 0 DIADHN CCc1cccc(F)c1CNCCSCCC(F)(F)F ZINC001474237587 1061800906 /nfs/dbraw/zinc/80/09/06/1061800906.db2.gz TYNXBGHZVSQXIL-UHFFFAOYSA-N 0 3 309.372 4.163 20 0 DIADHN CC(C)(NCc1ccc(CSC(F)F)o1)[C@@H]1CCCCO1 ZINC001474241524 1061804045 /nfs/dbraw/zinc/80/40/45/1061804045.db2.gz QHHCQEWFSAGTHQ-ZDUSSCGKSA-N 0 3 319.417 4.173 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(CSC(F)F)o2)OC1 ZINC001474249791 1061815511 /nfs/dbraw/zinc/81/55/11/1061815511.db2.gz TULMYMNMPANLGZ-LLVKDONJSA-N 0 3 319.417 4.030 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1cc(C)ccc1C ZINC001474252397 1061819244 /nfs/dbraw/zinc/81/92/44/1061819244.db2.gz RGYVOVPFYFOIFE-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1cccc(CNc2nc3cccc(Br)c3[nH]2)c1 ZINC001474264516 1061830249 /nfs/dbraw/zinc/83/02/49/1061830249.db2.gz KHFFZQRCRAPWPD-UHFFFAOYSA-N 0 3 316.202 4.246 20 0 DIADHN Clc1ccc(CCNCc2nc3ccccc3o2)cc1Cl ZINC001474264846 1061830302 /nfs/dbraw/zinc/83/03/02/1061830302.db2.gz FJHLYOAKXLOPBL-UHFFFAOYSA-N 0 3 321.207 4.467 20 0 DIADHN COc1cc(Cl)c(CNC[C@@]2(C)CCCCO2)cc1Cl ZINC001474284804 1061856130 /nfs/dbraw/zinc/85/61/30/1061856130.db2.gz IGHYARPASNESFC-OAHLLOKOSA-N 0 3 318.244 4.051 20 0 DIADHN CCCCOc1ccc(CNC(C)(C)/C=C/Cl)cc1OC ZINC001474273413 1061842334 /nfs/dbraw/zinc/84/23/34/1061842334.db2.gz AYQSTTDRODSYHX-MDZDMXLPSA-N 0 3 311.853 4.495 20 0 DIADHN Fc1cccc(Cl)c1CNCC[C@@H]1OCCc2ccccc21 ZINC001474280680 1061850087 /nfs/dbraw/zinc/85/00/87/1061850087.db2.gz ZFYLZWANPRIOKS-SFHVURJKSA-N 0 3 319.807 4.273 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc(C(C)(C)C)cc1 ZINC001474292533 1061862760 /nfs/dbraw/zinc/86/27/60/1061862760.db2.gz BAIZHAHKOHLAEI-UHFFFAOYSA-N 0 3 307.441 4.230 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@@H](C)c1cnn(CC2CCC2)c1 ZINC001474295522 1061863587 /nfs/dbraw/zinc/86/35/87/1061863587.db2.gz YGXQIMJLNBFWBY-YTBHAWNYSA-N 0 3 309.457 4.437 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1C[C@H]1Cc1ccccc1 ZINC001474300410 1061869629 /nfs/dbraw/zinc/86/96/29/1061869629.db2.gz XFEQDIPULWBEMG-RTBURBONSA-N 0 3 318.420 4.310 20 0 DIADHN CCCc1cccc(CNCc2cc3ccccc3[nH]c2=O)c1 ZINC001474304253 1061872632 /nfs/dbraw/zinc/87/26/32/1061872632.db2.gz MKKRTMOYWVHFMF-UHFFFAOYSA-N 0 3 306.409 4.183 20 0 DIADHN C[C@H]1CCc2nc(CNCCCc3ccsc3)sc2C1 ZINC001474303224 1061874076 /nfs/dbraw/zinc/87/40/76/1061874076.db2.gz UJZUGYCKPIFYNU-LBPRGKRZSA-N 0 3 306.500 4.052 20 0 DIADHN Cc1c(Cl)cccc1CNCc1cc2ccccc2[nH]c1=O ZINC001474304478 1061874683 /nfs/dbraw/zinc/87/46/83/1061874683.db2.gz TVSNJAWLZSTRAH-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H](F)CC2CCCCC2)c1 ZINC001466093642 1061877231 /nfs/dbraw/zinc/87/72/31/1061877231.db2.gz KVSYYNASYKZGMV-GOSISDBHSA-N 0 3 320.452 4.304 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccc(F)c(F)c1)c1ccccc1F ZINC001253381296 1061884723 /nfs/dbraw/zinc/88/47/23/1061884723.db2.gz WAIRJLJROYNUFZ-MSOLQXFVSA-N 0 3 323.358 4.268 20 0 DIADHN CCOc1cc(CN2C3CCCC2CCC3)cc(Cl)c1O ZINC001474314228 1061886506 /nfs/dbraw/zinc/88/65/06/1061886506.db2.gz KFMABCFVYDTCSJ-UHFFFAOYSA-N 0 3 309.837 4.351 20 0 DIADHN CC(C)N(Cc1cn2ccnc2s1)Cc1cccc(Cl)c1 ZINC001474319234 1061891317 /nfs/dbraw/zinc/89/13/17/1061891317.db2.gz IUFCGKUCXRWZBE-UHFFFAOYSA-N 0 3 319.861 4.460 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](c1cccc(Cl)c1)C1CCCC1)N(C)C ZINC001466190594 1061893337 /nfs/dbraw/zinc/89/33/37/1061893337.db2.gz DDHIPWSCLOOPLN-DLBZAZTESA-N 0 3 322.880 4.028 20 0 DIADHN OC[C@@H]1c2ccccc2CCN1Cc1ccc(C2CCC2)cc1 ZINC001474327036 1061912240 /nfs/dbraw/zinc/91/22/40/1061912240.db2.gz AIBGTPMCYXQNAC-OAQYLSRUSA-N 0 3 307.437 4.046 20 0 DIADHN CCCCC[C@H](O)CN(Cc1ccccc1)Cc1ccncc1 ZINC001253525816 1061912563 /nfs/dbraw/zinc/91/25/63/1061912563.db2.gz RQOAPGWJXDOBTN-FQEVSTJZSA-N 0 3 312.457 4.025 20 0 DIADHN CCCCC[C@@H](O)CN1CCC[C@H]1c1ccc(Cl)s1 ZINC001253536647 1061914514 /nfs/dbraw/zinc/91/45/14/1061914514.db2.gz TVQIUHXQVCGDQN-OLZOCXBDSA-N 0 3 301.883 4.480 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2csc(-c3ccc(F)cc3)n2)C1 ZINC001474330919 1061917889 /nfs/dbraw/zinc/91/78/89/1061917889.db2.gz JWYISHCUDFPVSC-CQSZACIVSA-N 0 3 304.434 4.427 20 0 DIADHN CCCCC[C@@H](O)CNCc1cccc(C(F)(F)F)c1Cl ZINC001253554180 1061924560 /nfs/dbraw/zinc/92/45/60/1061924560.db2.gz ONWYBSNRISYSSB-GFCCVEGCSA-N 0 3 323.786 4.390 20 0 DIADHN COc1nc(CN2CCC[C@@H]2c2cc(C)ccc2C)ccc1C ZINC001474344102 1061945411 /nfs/dbraw/zinc/94/54/11/1061945411.db2.gz CHKPHPSNUXHQEU-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@H]2CCCC[C@H]2C)c1C ZINC001474348788 1061955175 /nfs/dbraw/zinc/95/51/75/1061955175.db2.gz ONNQNZATTOBXBR-NVXWUHKLSA-N 0 3 302.462 4.000 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@@H]1C(C)=CCC[C@H]1C ZINC001474352743 1061963270 /nfs/dbraw/zinc/96/32/70/1061963270.db2.gz UVVBJTYTIOGRMV-SJLPKXTDSA-N 0 3 314.473 4.112 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3ccc(Oc4ccc(F)cc4)nc3)C[C@@H]21 ZINC001474351361 1061963385 /nfs/dbraw/zinc/96/33/85/1061963385.db2.gz WVBWMDCISMPJAM-CALCHBBNSA-N 0 3 312.388 4.101 20 0 DIADHN COc1cc(Cl)c(CN2CCC[C@@H]2C2CCC2)cc1OC ZINC001474351695 1061965389 /nfs/dbraw/zinc/96/53/89/1061965389.db2.gz YCCUIXSQJABBHV-OAHLLOKOSA-N 0 3 309.837 4.122 20 0 DIADHN CCc1ncc(CN2CCCC[C@@H]2CCCc2ccccc2)cn1 ZINC001474356219 1061972298 /nfs/dbraw/zinc/97/22/98/1061972298.db2.gz XBFPADAAEMORTP-HXUWFJFHSA-N 0 3 323.484 4.416 20 0 DIADHN COc1nsc(CN2CCC[C@@H]2C2CCCCC2)c1Cl ZINC001474357405 1061976583 /nfs/dbraw/zinc/97/65/83/1061976583.db2.gz IJYUKMCSAUOKTN-GFCCVEGCSA-N 0 3 314.882 4.350 20 0 DIADHN CC(C)[C@H]1CN(CCCc2c(F)cccc2Cl)CCS1 ZINC001474360016 1061980132 /nfs/dbraw/zinc/98/01/32/1061980132.db2.gz IJTDVNSHRMCHFH-MRXNPFEDSA-N 0 3 315.885 4.485 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccccc3C)C[C@H]2C)s1 ZINC001474360358 1061983448 /nfs/dbraw/zinc/98/34/48/1061983448.db2.gz OAANTZCWTPKVMU-HUUCEWRRSA-N 0 3 300.471 4.392 20 0 DIADHN C[C@]1(O)CCCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC001474361571 1061985128 /nfs/dbraw/zinc/98/51/28/1061985128.db2.gz VYRYUQDXVIIPHK-IBGZPJMESA-N 0 3 313.466 4.185 20 0 DIADHN C[C@@H]1c2ccccc2OCCN1CCCc1ccc(F)c(F)c1 ZINC001474364107 1061990399 /nfs/dbraw/zinc/99/03/99/1061990399.db2.gz UDNNMGWFZMDHMB-CQSZACIVSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccc(CN2CCC(Nc3ccccc3)CC2)cc1C ZINC001253997708 1062012330 /nfs/dbraw/zinc/01/23/30/1062012330.db2.gz RAJZOOKJVBNDQY-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN Cc1cc(CS[C@@H]2CCCN(Cc3ccccc3)C2)sn1 ZINC001459144210 1062023461 /nfs/dbraw/zinc/02/34/61/1062023461.db2.gz WRXLXMQVFKHTEL-MRXNPFEDSA-N 0 3 318.511 4.349 20 0 DIADHN COc1ccccc1OCCN(C)Cc1ccc(Cl)c(C)c1 ZINC001474381793 1062025207 /nfs/dbraw/zinc/02/52/07/1062025207.db2.gz QJGHPMRLWAEFSF-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC(CC)(CC)C2)cc(Cl)c1[O-] ZINC001474381875 1062026794 /nfs/dbraw/zinc/02/67/94/1062026794.db2.gz UGYTWIJKJBPWKS-UHFFFAOYSA-N 0 3 311.853 4.456 20 0 DIADHN CCOc1cc(C[N@H+]2CCC(CC)(CC)C2)cc(Cl)c1[O-] ZINC001474381875 1062026814 /nfs/dbraw/zinc/02/68/14/1062026814.db2.gz UGYTWIJKJBPWKS-UHFFFAOYSA-N 0 3 311.853 4.456 20 0 DIADHN c1nc2cc(CN3CC[C@@H](COc4ccccc4)C3)ccc2s1 ZINC001474389550 1062040797 /nfs/dbraw/zinc/04/07/97/1062040797.db2.gz OWCDOKKCMOQZEB-MRXNPFEDSA-N 0 3 324.449 4.197 20 0 DIADHN CC[C@H]1CCCCN1Cc1c(C)nc2scc(C3CC3)n12 ZINC001474399755 1062053726 /nfs/dbraw/zinc/05/37/26/1062053726.db2.gz LYFQPGNOVHQEAW-AWEZNQCLSA-N 0 3 303.475 4.346 20 0 DIADHN C[C@@H](C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C)[C@H](C)C(C)(C)C ZINC001459253512 1062056289 /nfs/dbraw/zinc/05/62/89/1062056289.db2.gz COWOIZWRFUVVEA-CVYDXHPNSA-N 0 3 318.505 4.112 20 0 DIADHN CCCC[C@H](C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C)C(C)C ZINC001459255450 1062057383 /nfs/dbraw/zinc/05/73/83/1062057383.db2.gz MVUHIBYDRBFXIR-YTQUADARSA-N 0 3 318.505 4.256 20 0 DIADHN CCCC[C@H](C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C)C(C)C ZINC001459255448 1062057913 /nfs/dbraw/zinc/05/79/13/1062057913.db2.gz MVUHIBYDRBFXIR-NZSAHSFTSA-N 0 3 318.505 4.256 20 0 DIADHN CC[C@@H](C)N(Cc1c(C2CCC2)cnn1C)Cc1ccccc1 ZINC001474404355 1062062876 /nfs/dbraw/zinc/06/28/76/1062062876.db2.gz AIYBBFRRYOPFOL-MRXNPFEDSA-N 0 3 311.473 4.488 20 0 DIADHN COc1cc(CN2CCCCCCC2)cc(C(F)(F)F)c1 ZINC001474403945 1062063941 /nfs/dbraw/zinc/06/39/41/1062063941.db2.gz CIXZSPVIPWAUJC-UHFFFAOYSA-N 0 3 301.352 4.480 20 0 DIADHN CC/C=C/CCN(Cc1ccccc1OC)C[C@@H]1CCCO1 ZINC001459306719 1062074795 /nfs/dbraw/zinc/07/47/95/1062074795.db2.gz LBRLEVYUDRIQQS-WRFKIARRSA-N 0 3 303.446 4.033 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CN(C[C@H]1CCOC1)C1CC1 ZINC001459311167 1062080368 /nfs/dbraw/zinc/08/03/68/1062080368.db2.gz NUGBNADDIDWAGY-SNVBAGLBSA-N 0 3 318.219 4.133 20 0 DIADHN CCN(C)c1ccc(CN2CCC[C@H]2c2ccc(C)cc2)cn1 ZINC001474413559 1062081311 /nfs/dbraw/zinc/08/13/11/1062081311.db2.gz OMXHEPPIVCUOGG-IBGZPJMESA-N 0 3 309.457 4.183 20 0 DIADHN Clc1cc(Cl)cc(C2=CCN([C@@H]3CCCOC3)CC2)c1 ZINC001254373672 1062086678 /nfs/dbraw/zinc/08/66/78/1062086678.db2.gz AURTXTIARQXSOP-MRXNPFEDSA-N 0 3 312.240 4.262 20 0 DIADHN CCn1cnc(Cl)c1CN1CCC[C@@]1(C)Cc1ccccc1 ZINC001474420196 1062090589 /nfs/dbraw/zinc/09/05/89/1062090589.db2.gz PMBOIJHUUHQZML-SFHVURJKSA-N 0 3 317.864 4.154 20 0 DIADHN CCCN(C[C@H](C)OC)[C@@H](C)c1ccccc1Br ZINC001459330062 1062091749 /nfs/dbraw/zinc/09/17/49/1062091749.db2.gz FJDCDCUWRBAIGC-STQMWFEESA-N 0 3 314.267 4.257 20 0 DIADHN Fc1cccc([C@@H]2CCCN2C[C@H]2CCC3(CCC3)CO2)c1 ZINC001459340587 1062096066 /nfs/dbraw/zinc/09/60/66/1062096066.db2.gz UXLBVTVEWWMKRB-MSOLQXFVSA-N 0 3 303.421 4.312 20 0 DIADHN O=C(CNC1CCC2(CC2)CC1)c1ccc(Cl)c(Cl)c1 ZINC001254436353 1062099921 /nfs/dbraw/zinc/09/99/21/1062099921.db2.gz UPDWPTFHESGODM-UHFFFAOYSA-N 0 3 312.240 4.489 20 0 DIADHN OC[C@H](NC1CCC2(CC2)CC1)c1ccc(Cl)cc1Cl ZINC001254436378 1062100323 /nfs/dbraw/zinc/10/03/23/1062100323.db2.gz VVQBKFITWDHFPX-HNNXBMFYSA-N 0 3 314.256 4.339 20 0 DIADHN Fc1ccc(-c2nc(CNC3CCC4(CC4)CC3)co2)cc1 ZINC001254438091 1062102960 /nfs/dbraw/zinc/10/29/60/1062102960.db2.gz PJYJBHGAVSFSHU-UHFFFAOYSA-N 0 3 300.377 4.293 20 0 DIADHN Fc1ccc(-c2ncc3c(n2)CN(C2CCC4(CC4)CC2)C3)cc1 ZINC001254445310 1062106357 /nfs/dbraw/zinc/10/63/57/1062106357.db2.gz KLVOUPHXSPFSIU-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN C[C@@]1(NCc2nccc3c2CCCC3)CCCc2ccccc21 ZINC001474432881 1062109062 /nfs/dbraw/zinc/10/90/62/1062109062.db2.gz NDTWUSCWXVPACY-OAQYLSRUSA-N 0 3 306.453 4.302 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@H](C)CN1CCC1CCCCC1 ZINC001474434596 1062109155 /nfs/dbraw/zinc/10/91/55/1062109155.db2.gz CZSSBXODAIMLTG-HZPDHXFCSA-N 0 3 324.509 4.287 20 0 DIADHN COc1ccc(F)c(CNC2CCc3ccccc3CC2)c1F ZINC001254450934 1062110401 /nfs/dbraw/zinc/11/04/01/1062110401.db2.gz GEVDNCZQZDWLSC-UHFFFAOYSA-N 0 3 317.379 4.011 20 0 DIADHN CCCOc1ccc(CN(C)Cc2ccn(CC)c2)cc1C ZINC001474441628 1062117393 /nfs/dbraw/zinc/11/73/93/1062117393.db2.gz CJXBRMOQHMZKHR-UHFFFAOYSA-N 0 3 300.446 4.237 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1cc(F)ccc1N(C)C ZINC001474441642 1062118718 /nfs/dbraw/zinc/11/87/18/1062118718.db2.gz AKQRXMWLCAAOLT-VBKFSLOCSA-N 0 3 312.432 4.427 20 0 DIADHN COCCN(Cc1cc(F)ccc1C)[C@@H](C)c1ccccc1 ZINC001459379273 1062119825 /nfs/dbraw/zinc/11/98/25/1062119825.db2.gz OHWSVFLSORCWHH-INIZCTEOSA-N 0 3 301.405 4.344 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)CC1 ZINC001459384989 1062120456 /nfs/dbraw/zinc/12/04/56/1062120456.db2.gz IQRKOQOQIDWPHT-LLVKDONJSA-N 0 3 312.335 4.469 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(Cc1ccccc1)CC(C)C ZINC001459383624 1062120838 /nfs/dbraw/zinc/12/08/38/1062120838.db2.gz UJLDQRRXYALOSI-GOSISDBHSA-N 0 3 318.505 4.038 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1nc(C)ccc1F ZINC001254486811 1062129315 /nfs/dbraw/zinc/12/93/15/1062129315.db2.gz FZUBBPOONKFTMV-QGZVFWFLSA-N 0 3 300.421 4.420 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H]1CC[C@H](C2CC2)O1 ZINC001459411201 1062134440 /nfs/dbraw/zinc/13/44/40/1062134440.db2.gz BYHHSBHSQBVIND-IEBWSBKVSA-N 0 3 305.437 4.385 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001459411208 1062135149 /nfs/dbraw/zinc/13/51/49/1062135149.db2.gz BYHHSBHSQBVIND-MJGOQNOKSA-N 0 3 305.437 4.385 20 0 DIADHN COC(=O)c1sccc1CN[C@@H]1CCC[C@@H](C(C)C)CC1 ZINC001474461790 1062141044 /nfs/dbraw/zinc/14/10/44/1062141044.db2.gz VIWFHWDYGLTCAP-UKRRQHHQSA-N 0 3 309.475 4.229 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N1CCO[C@H](c2ccncc2)C1 ZINC001254498193 1062141586 /nfs/dbraw/zinc/14/15/86/1062141586.db2.gz HJTLJEXKPSZGNR-SFTDATJTSA-N 0 3 324.468 4.256 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@H](C)N(C)C[C@@H]1C ZINC001474463650 1062143696 /nfs/dbraw/zinc/14/36/96/1062143696.db2.gz TZMFCJUYJPKKOT-RYUDHWBXSA-N 0 3 322.905 4.388 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1CCOCC1CCC1 ZINC001459451341 1062148341 /nfs/dbraw/zinc/14/83/41/1062148341.db2.gz RDFNYWAYTZSIJR-SFHVURJKSA-N 0 3 303.446 4.039 20 0 DIADHN CCOc1cc(C[NH2+]CC2C(C)(C)C2(C)C)cc(Cl)c1[O-] ZINC001474468513 1062148759 /nfs/dbraw/zinc/14/87/59/1062148759.db2.gz YVHVPLFWQRTDCC-UHFFFAOYSA-N 0 3 311.853 4.216 20 0 DIADHN CC[C@H]1CCCN(Cc2nn(-c3ccccc3)c3c2CCC3)CC1 ZINC001459472675 1062157824 /nfs/dbraw/zinc/15/78/24/1062157824.db2.gz AEMSTBKXOAEKSL-KRWDZBQOSA-N 0 3 323.484 4.373 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CC[C@@H](Oc3ccncc3)C2)c(C)c1 ZINC001474483324 1062161486 /nfs/dbraw/zinc/16/14/86/1062161486.db2.gz DNEKMBSVJFKJMG-BBIZLLLXSA-N 0 3 322.452 4.173 20 0 DIADHN CCCCCC[C@H](O)CN1CCSC[C@@H]1c1ccccc1 ZINC001459508458 1062172874 /nfs/dbraw/zinc/17/28/74/1062172874.db2.gz HHRJVQZCIQRIHI-ZWKOTPCHSA-N 0 3 307.503 4.108 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCc2cccnc2)cc1F ZINC001459508894 1062173639 /nfs/dbraw/zinc/17/36/39/1062173639.db2.gz HZPQTJSAKZKDDR-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN COC(=O)c1cccc(CN2C[C@@H](C)CCC[C@H]2C)c1Cl ZINC001459512645 1062176240 /nfs/dbraw/zinc/17/62/40/1062176240.db2.gz SHHWJCXGTHRKGE-QWHCGFSZSA-N 0 3 309.837 4.137 20 0 DIADHN CC[C@](C)(CN[C@H]1CCOc2c(Cl)c(Cl)ccc21)OC ZINC001474495618 1062176163 /nfs/dbraw/zinc/17/61/63/1062176163.db2.gz JBUKRTBFUGGVMN-SWLSCSKDSA-N 0 3 318.244 4.222 20 0 DIADHN Cc1ccccc1CN(Cc1cccnc1)C[C@@]1(C)CCCCO1 ZINC001474499205 1062180732 /nfs/dbraw/zinc/18/07/32/1062180732.db2.gz NFBAPZDDHYEROO-OAQYLSRUSA-N 0 3 324.468 4.351 20 0 DIADHN COc1nc(CN2C[C@@H](C)CC[C@@H]2c2ccccc2)ccc1C ZINC001474514633 1062198386 /nfs/dbraw/zinc/19/83/86/1062198386.db2.gz BNRSGMQAGFXSSR-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN CC(C)CCCCNc1cc(N(C)C)c(Br)cn1 ZINC001474527264 1062198591 /nfs/dbraw/zinc/19/85/91/1062198591.db2.gz WFQOULHJIFAMEX-UHFFFAOYSA-N 0 3 314.271 4.148 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCN([C@H]2C=CCCC2)CC1 ZINC001459559680 1062208427 /nfs/dbraw/zinc/20/84/27/1062208427.db2.gz JLLYSIMRQWLWKQ-YJBOKZPZSA-N 0 3 304.865 4.127 20 0 DIADHN CCCCN(Cc1ncc(CC)s1)[C@@H]1CCCC[C@H]1OC ZINC001474524443 1062208781 /nfs/dbraw/zinc/20/87/81/1062208781.db2.gz YJAJGHNRCWHBQU-HZPDHXFCSA-N 0 3 310.507 4.265 20 0 DIADHN C[C@H](C1CC1)N(C)Cc1c(Cl)cc(Cl)cc1N(C)C ZINC001474528510 1062212337 /nfs/dbraw/zinc/21/23/37/1062212337.db2.gz OQLMJOLGJSLLNP-SNVBAGLBSA-N 0 3 301.261 4.290 20 0 DIADHN CC[C@@H]1CCC[C@@H]1CNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474533545 1062217669 /nfs/dbraw/zinc/21/76/69/1062217669.db2.gz VBMSCNDYYFCJDP-NVXWUHKLSA-N 0 3 324.472 4.061 20 0 DIADHN CCC[C@@]1(C)CCCN([C@@H](C)C(=O)N[C@H](C)c2ccccc2)C1 ZINC001459575695 1062221631 /nfs/dbraw/zinc/22/16/31/1062221631.db2.gz IPPQPTUBVGADKT-UWVAXJGDSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459588852 1062229117 /nfs/dbraw/zinc/22/91/17/1062229117.db2.gz DMOCBVISJXXRFX-QGZVFWFLSA-N 0 3 301.409 4.022 20 0 DIADHN CC(C)c1ccc(CCNCc2cc(F)cnc2Cl)cc1 ZINC001474541144 1062232785 /nfs/dbraw/zinc/23/27/85/1062232785.db2.gz JUGMSISKPZKZQF-UHFFFAOYSA-N 0 3 306.812 4.330 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCN(C)Cc2ccccc21 ZINC001474540823 1062232842 /nfs/dbraw/zinc/23/28/42/1062232842.db2.gz GVUIAAPNZSSNEN-UHFFFAOYSA-N 0 3 300.833 4.100 20 0 DIADHN CCCCCCN(C)CC(=O)NC(C)(C)c1ccc(Cl)cc1 ZINC001467978902 1062238289 /nfs/dbraw/zinc/23/82/89/1062238289.db2.gz IPZZWWVETXWMDO-UHFFFAOYSA-N 0 3 324.896 4.203 20 0 DIADHN C[C@H](NC1CC(C(=O)OC(C)(C)C)C1)c1ccccc1Cl ZINC001474546603 1062242636 /nfs/dbraw/zinc/24/26/36/1062242636.db2.gz MRJFHYAJUCCGKG-HIFPTAJRSA-N 0 3 309.837 4.111 20 0 DIADHN C[C@@H]1CCCN(C[C@@H](O)c2ccc(Cl)cc2Cl)[C@H]1C ZINC001459611989 1062242634 /nfs/dbraw/zinc/24/26/34/1062242634.db2.gz FHWCJFCQICCCCL-JRPNMDOOSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1ccc(N2CCN(CCCOC(C)(C)C)[C@@H](C)C2)cc1C ZINC001459611666 1062242936 /nfs/dbraw/zinc/24/29/36/1062242936.db2.gz SVXNWHBKOXCTBH-SFHVURJKSA-N 0 3 318.505 4.019 20 0 DIADHN CCOc1ccccc1OCCN1C[C@@H](C)[C@H]1c1ccccc1 ZINC001459611315 1062244398 /nfs/dbraw/zinc/24/43/98/1062244398.db2.gz CFSKCXSRKOWZMJ-UZLBHIALSA-N 0 3 311.425 4.157 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC001474552025 1062251387 /nfs/dbraw/zinc/25/13/87/1062251387.db2.gz XVSFNPRBLCPGJA-FQEVSTJZSA-N 0 3 323.484 4.500 20 0 DIADHN CC(C)C(C)(C)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001468067694 1062256116 /nfs/dbraw/zinc/25/61/16/1062256116.db2.gz HFOROBACOUCIRJ-UHFFFAOYSA-N 0 3 310.526 4.028 20 0 DIADHN Cc1cc(Br)cc(CN2CCC[C@@H](C3CC3)C2)c1 ZINC001474561651 1062264425 /nfs/dbraw/zinc/26/44/25/1062264425.db2.gz KFSZAHNMKUILSH-OAHLLOKOSA-N 0 3 308.263 4.380 20 0 DIADHN COC(=O)c1cc(CN(C(C)C)[C@H](C)c2ccccc2)oc1C ZINC001474559042 1062259594 /nfs/dbraw/zinc/25/95/94/1062259594.db2.gz ZCYLZEQXIGAHQE-CQSZACIVSA-N 0 3 315.413 4.346 20 0 DIADHN CC[C@H](NC(=O)CN(C)[C@H](C)C1(C)CC1)c1ccc(Cl)cc1 ZINC001459626120 1062260384 /nfs/dbraw/zinc/26/03/84/1062260384.db2.gz GAVFZENLTAHENE-CJNGLKHVSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC001474559801 1062261256 /nfs/dbraw/zinc/26/12/56/1062261256.db2.gz DFJUSFULUVSTQN-FQEVSTJZSA-N 0 3 323.484 4.418 20 0 DIADHN CC1=CC[C@@H](NCc2nc3cc(Br)ccc3o2)CC1 ZINC001474559394 1062262341 /nfs/dbraw/zinc/26/23/41/1062262341.db2.gz HCMZPTNGYSUODN-GFCCVEGCSA-N 0 3 321.218 4.179 20 0 DIADHN COc1ccc(CN2Cc3ccccc3OC(C)(C)C2)c(C)c1 ZINC001474558096 1062262913 /nfs/dbraw/zinc/26/29/13/1062262913.db2.gz NKASSAKOBDHHIG-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN CCCN(CCC)Cc1nnc(-c2ccc(C(C)C)cc2)o1 ZINC001254858819 1062267017 /nfs/dbraw/zinc/26/70/17/1062267017.db2.gz ZBPCMBNLIGNPNF-UHFFFAOYSA-N 0 3 301.434 4.482 20 0 DIADHN CO[C@@H](CN1CC(Cc2c(F)cccc2F)C1)C1CCCCC1 ZINC001474567459 1062272719 /nfs/dbraw/zinc/27/27/19/1062272719.db2.gz FHZJAISHQVBUOF-IBGZPJMESA-N 0 3 323.427 4.034 20 0 DIADHN Cc1ccc(F)cc1CN1CC(Cc2ccc(Cl)cc2)C1 ZINC001474569041 1062276094 /nfs/dbraw/zinc/27/60/94/1062276094.db2.gz URWVQESGHBDINH-UHFFFAOYSA-N 0 3 303.808 4.462 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(Cl)cc2C)cc1 ZINC001459654754 1062279168 /nfs/dbraw/zinc/27/91/68/1062279168.db2.gz NRBBGPCZZBQBCH-SFHVURJKSA-N 0 3 315.844 4.474 20 0 DIADHN COc1ccc(C[C@@H]2CCN2CCOC2CCCCCC2)cc1 ZINC001459656104 1062282055 /nfs/dbraw/zinc/28/20/55/1062282055.db2.gz PNSCOGYIVABBLJ-SFHVURJKSA-N 0 3 317.473 4.051 20 0 DIADHN CC(C)[C@H]1N(Cc2cccc3nsnc32)CC12CCCC2 ZINC001474578088 1062287049 /nfs/dbraw/zinc/28/70/49/1062287049.db2.gz MTGKPZORCNKYNP-MRXNPFEDSA-N 0 3 301.459 4.092 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCC(C)(C)CC2)cc1C ZINC001459669672 1062286884 /nfs/dbraw/zinc/28/68/84/1062286884.db2.gz LCYFIFVCIFSIJK-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN CCCSC[C@H](C)NCc1cc(C)ccc1Br ZINC001474584546 1062292460 /nfs/dbraw/zinc/29/24/60/1062292460.db2.gz PLQVEZSBYARASR-LBPRGKRZSA-N 0 3 316.308 4.379 20 0 DIADHN CCCSC[C@@H](C)NCc1cc(C)ccc1Br ZINC001474584545 1062293249 /nfs/dbraw/zinc/29/32/49/1062293249.db2.gz PLQVEZSBYARASR-GFCCVEGCSA-N 0 3 316.308 4.379 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)C1Cc2ccccc2C1 ZINC001255026336 1062300999 /nfs/dbraw/zinc/30/09/99/1062300999.db2.gz UZIJSSRQGCGISI-HXUWFJFHSA-N 0 3 307.437 4.137 20 0 DIADHN CCN(Cc1cnn(C)c1)Cc1c(C)ccc2cc(C)ccc21 ZINC001459705647 1062320403 /nfs/dbraw/zinc/32/04/03/1062320403.db2.gz SMASHRAPOLHXLQ-UHFFFAOYSA-N 0 3 307.441 4.212 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccccc1CF)CCC2 ZINC001459707617 1062321621 /nfs/dbraw/zinc/32/16/21/1062321621.db2.gz LDOVTOWGYNRLCL-MRXNPFEDSA-N 0 3 304.434 4.430 20 0 DIADHN Cc1csc2nc(C)c(CN3CC4(CC=CC4)[C@@H]3C(C)C)n12 ZINC001474610361 1062325924 /nfs/dbraw/zinc/32/59/24/1062325924.db2.gz YVFMESYEIGWFDG-INIZCTEOSA-N 0 3 315.486 4.189 20 0 DIADHN O[C@H](CNC1(c2ccc(Cl)cc2)CCCCC1)C(F)(F)F ZINC001459717292 1062329761 /nfs/dbraw/zinc/32/97/61/1062329761.db2.gz WNPSYFZRTMJJBI-CYBMUJFWSA-N 0 3 321.770 4.012 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN(CC(=O)OC(C)(C)C)CC2CC2)C1 ZINC001474613255 1062329648 /nfs/dbraw/zinc/32/96/48/1062329648.db2.gz IDKXRIIFOAUBHI-NVXWUHKLSA-N 0 3 309.494 4.257 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C=CC1CCCCC1 ZINC001468497676 1062333280 /nfs/dbraw/zinc/33/32/80/1062333280.db2.gz FBNCTHUWKKTAKL-ZHACJKMWSA-N 0 3 315.461 4.179 20 0 DIADHN CC[C@@H]1COC(C)(C)CN1Cc1cccc(-c2ccccn2)c1 ZINC001474615557 1062334673 /nfs/dbraw/zinc/33/46/73/1062334673.db2.gz BWXDMFRPZALQKB-GOSISDBHSA-N 0 3 310.441 4.138 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1)CC1CC(C)(C)C1 ZINC001459738680 1062351395 /nfs/dbraw/zinc/35/13/95/1062351395.db2.gz FUNZBFOLOZDIJV-UHFFFAOYSA-N 0 3 322.880 4.427 20 0 DIADHN Cc1ccc([C@H](C)CN2CCc3nc(C(C)C)ncc3C2)cc1 ZINC001474639057 1062364489 /nfs/dbraw/zinc/36/44/89/1062364489.db2.gz VDQSONBNFZIFBH-MRXNPFEDSA-N 0 3 309.457 4.070 20 0 DIADHN CC(C)(CNCc1cncc(Cl)c1Cl)CC(F)(F)F ZINC001474641151 1062366427 /nfs/dbraw/zinc/36/64/27/1062366427.db2.gz UVGDARXTCHXCTF-UHFFFAOYSA-N 0 3 315.166 4.457 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(Cl)nc2)C1(CC)CC ZINC001459756387 1062367489 /nfs/dbraw/zinc/36/74/89/1062367489.db2.gz VYLWYTYFWGQTFL-LSDHHAIUSA-N 0 3 310.869 4.151 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001468646987 1062368797 /nfs/dbraw/zinc/36/87/97/1062368797.db2.gz PFRJIAPLIVKYHP-QAPCUYQASA-N 0 3 322.468 4.151 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1ccc(Cl)cc1F ZINC001459760899 1062372621 /nfs/dbraw/zinc/37/26/21/1062372621.db2.gz BHIFDYDZEZJUIY-GFCCVEGCSA-N 0 3 307.796 4.248 20 0 DIADHN CC[C@]1(C)CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001459770477 1062383878 /nfs/dbraw/zinc/38/38/78/1062383878.db2.gz SGTPUTSGPHZHPW-VNHYZAJKSA-N 0 3 305.384 4.046 20 0 DIADHN Brc1ccccc1CC1CN(C[C@@H]2CC=CCC2)C1 ZINC001474655538 1062386652 /nfs/dbraw/zinc/38/66/52/1062386652.db2.gz PXJWYCNMAZHNPV-CQSZACIVSA-N 0 3 320.274 4.280 20 0 DIADHN CCSc1ccccc1CN1CCC[C@H]1c1cn(C)nc1C ZINC001459774075 1062388935 /nfs/dbraw/zinc/38/89/35/1062388935.db2.gz QBLVYTKGSFKOPB-KRWDZBQOSA-N 0 3 315.486 4.178 20 0 DIADHN CCCC[C@@H](C)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001255315738 1062392513 /nfs/dbraw/zinc/39/25/13/1062392513.db2.gz POQLNHKIUBVQBU-SNVBAGLBSA-N 0 3 305.315 4.196 20 0 DIADHN COC1(CN2CCc3c(cccc3C(F)(F)F)C2)CCCC1 ZINC001474658782 1062392983 /nfs/dbraw/zinc/39/29/83/1062392983.db2.gz RYPUDACVHVGSKS-UHFFFAOYSA-N 0 3 313.363 4.023 20 0 DIADHN Cc1nccnc1CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001459778122 1062394611 /nfs/dbraw/zinc/39/46/11/1062394611.db2.gz XJCAFGFXRXNASV-TZZQJPOUSA-N 0 3 307.441 4.243 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2CC2(Br)CC2)c1 ZINC001459814607 1062420174 /nfs/dbraw/zinc/42/01/74/1062420174.db2.gz PHTOLKDAQMIZTQ-WFASDCNBSA-N 0 3 324.262 4.006 20 0 DIADHN CCOC(=O)c1ccc(CN(C)C[C@H](C)C2CCCCC2)o1 ZINC001474663993 1062397033 /nfs/dbraw/zinc/39/70/33/1062397033.db2.gz PIKONFXEZDTAML-AWEZNQCLSA-N 0 3 307.434 4.105 20 0 DIADHN CSCc1ccc(CN2CCC[C@H](C(F)(F)F)C2)cc1 ZINC001474670268 1062398332 /nfs/dbraw/zinc/39/83/32/1062398332.db2.gz WVNGFOCGZFKMJC-AWEZNQCLSA-N 0 3 303.393 4.324 20 0 DIADHN OCCCCCCCN1CC=C(c2ccccc2Cl)CC1 ZINC001459786825 1062398492 /nfs/dbraw/zinc/39/84/92/1062398492.db2.gz QYSNYLXSHXERGJ-UHFFFAOYSA-N 0 3 307.865 4.372 20 0 DIADHN CCc1cccc(CN(CCCO)Cc2cccc(Cl)c2)c1 ZINC001459786314 1062398746 /nfs/dbraw/zinc/39/87/46/1062398746.db2.gz LONKXTAHRVQVBC-UHFFFAOYSA-N 0 3 317.860 4.287 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@@H](Oc3cccc(F)c3)C2)cc1 ZINC001474670415 1062399208 /nfs/dbraw/zinc/39/92/08/1062399208.db2.gz YYDSKELYXIBUEW-LSTKMXGYSA-N 0 3 315.363 4.131 20 0 DIADHN C[C@@H](NCC[C@@H](O)C1CCCC1)c1ccc(-c2ccccc2)cn1 ZINC001474675343 1062405332 /nfs/dbraw/zinc/40/53/32/1062405332.db2.gz OBVXNALDSKGSCR-IIBYNOLFSA-N 0 3 324.468 4.340 20 0 DIADHN CCC(CC)(CNCc1cc(Cl)sc1Cl)C(=O)OC ZINC001459807157 1062413648 /nfs/dbraw/zinc/41/36/48/1062413648.db2.gz WUGGPDMJSACLDH-UHFFFAOYSA-N 0 3 324.273 4.124 20 0 DIADHN FC(F)(F)c1ccc(CNCC2(CC3CC3)CC2)c(Cl)n1 ZINC001474688272 1062418063 /nfs/dbraw/zinc/41/80/63/1062418063.db2.gz ZIZFGQCPNLGLAF-UHFFFAOYSA-N 0 3 318.770 4.424 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CC(CC3CCOCC3)C2)cc1C ZINC001474687604 1062418585 /nfs/dbraw/zinc/41/85/85/1062418585.db2.gz IHIINEHZWYXATJ-SNAWJCMRSA-N 0 3 313.485 4.374 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@]2(C)CCCc3ccccc32)cc1C ZINC001459816994 1062423479 /nfs/dbraw/zinc/42/34/79/1062423479.db2.gz GQQUFHJWVFOCTM-NRFANRHFSA-N 0 3 322.452 4.083 20 0 DIADHN COc1cccc(C2CC(NCc3cscc3Cl)C2)c1 ZINC001474711441 1062437498 /nfs/dbraw/zinc/43/74/98/1062437498.db2.gz ZWSSXTLHXXNZRD-UHFFFAOYSA-N 0 3 307.846 4.446 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@@H](Cc3nccs3)C2)cc1 ZINC001474714449 1062440290 /nfs/dbraw/zinc/44/02/90/1062440290.db2.gz UWTWXDACOBNTPX-VQRJYJFOSA-N 0 3 316.445 4.250 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)CO1)c1ccccc1OC(F)F ZINC001459840706 1062440952 /nfs/dbraw/zinc/44/09/52/1062440952.db2.gz PHSZHDDDPSWPSJ-CHWSQXEVSA-N 0 3 313.388 4.144 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)CO1)c1ccccc1OC(F)F ZINC001459840715 1062441888 /nfs/dbraw/zinc/44/18/88/1062441888.db2.gz PHSZHDDDPSWPSJ-QWHCGFSZSA-N 0 3 313.388 4.144 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001459840105 1062442010 /nfs/dbraw/zinc/44/20/10/1062442010.db2.gz VJBHFYFCQZCDQM-YJBOKZPZSA-N 0 3 321.411 4.497 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001459840095 1062442165 /nfs/dbraw/zinc/44/21/65/1062442165.db2.gz VJBHFYFCQZCDQM-QAPCUYQASA-N 0 3 321.411 4.497 20 0 DIADHN C[C@H](CCNC1(c2ccccc2F)CC1)OCc1ccccc1 ZINC001459846801 1062444052 /nfs/dbraw/zinc/44/40/52/1062444052.db2.gz YHRAQEJFBKLWAM-MRXNPFEDSA-N 0 3 313.416 4.400 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(C)Cc1ccccc1)c1ccccc1 ZINC001459843652 1062444310 /nfs/dbraw/zinc/44/43/10/1062444310.db2.gz PZVBUNRPTXSQEY-FQEVSTJZSA-N 0 3 324.468 4.022 20 0 DIADHN CCOC(=O)/C=C\CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC001459845787 1062445129 /nfs/dbraw/zinc/44/51/29/1062445129.db2.gz VAOQQJBVBBCSFY-VHNWJRSRSA-N 0 3 323.436 4.069 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC001459862041 1062454770 /nfs/dbraw/zinc/45/47/70/1062454770.db2.gz BIZQFGLLEIJZCX-WMZOPIPTSA-N 0 3 304.478 4.010 20 0 DIADHN COc1ccccc1C(=O)CNC1CCC(C(C)(C)C)CC1 ZINC001255497777 1062460784 /nfs/dbraw/zinc/46/07/84/1062460784.db2.gz WLSGGHDRBJPYPM-UHFFFAOYSA-N 0 3 303.446 4.072 20 0 DIADHN CC(C)(C)C1CCC(NCc2nccn2CC(F)(F)F)CC1 ZINC001255500187 1062460907 /nfs/dbraw/zinc/46/09/07/1062460907.db2.gz BVXZLHHDQBSODW-UHFFFAOYSA-N 0 3 317.399 4.140 20 0 DIADHN CC(C)(C)C1CCC(N[C@@H](CO)c2cc(F)ccc2F)CC1 ZINC001474750634 1062462165 /nfs/dbraw/zinc/46/21/65/1062462165.db2.gz SYINEBSEGVZBKJ-AJUCZRQESA-N 0 3 311.416 4.193 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@H]2CCC=CCCC2)s1 ZINC001474746072 1062462691 /nfs/dbraw/zinc/46/26/91/1062462691.db2.gz LUXBWPKJYUKKLZ-NSHDSACASA-N 0 3 304.381 4.388 20 0 DIADHN Clc1cccc(CCN2CCO[C@@H](c3ccccc3)CC2)c1 ZINC001474751501 1062463290 /nfs/dbraw/zinc/46/32/90/1062463290.db2.gz CZYFVIUCODVVLR-LJQANCHMSA-N 0 3 315.844 4.346 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1C1CCC(C(F)(F)F)CC1 ZINC001255506832 1062464613 /nfs/dbraw/zinc/46/46/13/1062464613.db2.gz OBJSWTCKQXWAIL-UNXYVOJBSA-N 0 3 311.351 4.350 20 0 DIADHN CCc1cccc(Cl)c1CN(C)C[C@]12CCC[C@H]1OCC2 ZINC001474756083 1062466985 /nfs/dbraw/zinc/46/69/85/1062466985.db2.gz YSZPLENWRRZJOR-QZTJIDSGSA-N 0 3 307.865 4.293 20 0 DIADHN CCCCOCCN[C@@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001459906171 1062489960 /nfs/dbraw/zinc/48/99/60/1062489960.db2.gz WYAGCIGXIOATDQ-HNNXBMFYSA-N 0 3 303.368 4.395 20 0 DIADHN COC/C(C)=C/CN[C@H](Cc1ccccc1)c1ccccc1F ZINC001459885556 1062472370 /nfs/dbraw/zinc/47/23/70/1062472370.db2.gz RRHDNVCDQIOARI-ZRNYNZGJSA-N 0 3 313.416 4.292 20 0 DIADHN CN(Cc1cccc(Cl)c1Cl)[C@@H]1CCOC(C)(C)C1 ZINC001255548536 1062479598 /nfs/dbraw/zinc/47/95/98/1062479598.db2.gz DWPYXIVSKKOVMT-GFCCVEGCSA-N 0 3 302.245 4.383 20 0 DIADHN CC1(C)CN(C[C@H](O)c2ccc(Cl)c(F)c2)CC(C)(C)C1 ZINC001459899743 1062481765 /nfs/dbraw/zinc/48/17/65/1062481765.db2.gz USSGKIKKCANXBZ-HNNXBMFYSA-N 0 3 313.844 4.271 20 0 DIADHN CC1(C)C[C@H](N2CCc3cccc(C(F)(F)F)c3C2)CCO1 ZINC001255555163 1062481853 /nfs/dbraw/zinc/48/18/53/1062481853.db2.gz XBNFHORKQKSDDA-CYBMUJFWSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NC[C@@H](O)c2ccccc2)cc1 ZINC001459904961 1062487284 /nfs/dbraw/zinc/48/72/84/1062487284.db2.gz HKJCEAVSNAIGBS-IAGOWNOFSA-N 0 3 323.358 4.312 20 0 DIADHN C[C@@H](c1ccncc1)N(C)C[C@@H]1CCC2(CCCCCC2)O1 ZINC001459902606 1062487351 /nfs/dbraw/zinc/48/73/51/1062487351.db2.gz SDWUQMAMUAWLKI-WMZOPIPTSA-N 0 3 302.462 4.346 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@H](c1ccccc1F)C1CCOCC1 ZINC001255636305 1062491756 /nfs/dbraw/zinc/49/17/56/1062491756.db2.gz ALGSHKRJWWETEH-NHYWBVRUSA-N 0 3 319.342 4.224 20 0 DIADHN COc1ccc(CN[C@H](C)CC(F)(F)F)cc1C(F)(F)F ZINC001255636263 1062492652 /nfs/dbraw/zinc/49/26/52/1062492652.db2.gz NAGALHKJGLVRFM-MRVPVSSYSA-N 0 3 315.257 4.145 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1ncc(C)c(OC)c1C ZINC001459913241 1062492772 /nfs/dbraw/zinc/49/27/72/1062492772.db2.gz MNOBLKZAHWNDRT-GOSISDBHSA-N 0 3 310.441 4.044 20 0 DIADHN C[C@@H](CC(F)(F)F)NC1(c2ccccc2Cl)CCOCC1 ZINC001255643736 1062494764 /nfs/dbraw/zinc/49/47/64/1062494764.db2.gz RWMICTDGIREPAX-NSHDSACASA-N 0 3 321.770 4.276 20 0 DIADHN Cc1ccccc1OC1CCN([C@H](C)CC(F)(F)F)CC1 ZINC001255647114 1062498047 /nfs/dbraw/zinc/49/80/47/1062498047.db2.gz SJQSRKIJNZVDCD-CYBMUJFWSA-N 0 3 301.352 4.179 20 0 DIADHN COc1ccccc1S[C@@H]1CCN([C@H](C)CC(F)(F)F)C1 ZINC001255660704 1062500435 /nfs/dbraw/zinc/50/04/35/1062500435.db2.gz KTBFCMAMYHKXMW-VXGBXAGGSA-N 0 3 319.392 4.203 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@H](C)CC(F)(F)F)C2)cc1 ZINC001255657870 1062503208 /nfs/dbraw/zinc/50/32/08/1062503208.db2.gz LYARDWDYUZYNQX-HIFRSBDPSA-N 0 3 301.352 4.179 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC2(CC1)O[C@H](C)c1ccccc12 ZINC001255662368 1062507306 /nfs/dbraw/zinc/50/73/06/1062507306.db2.gz JTOHXFGPPCTKCS-CHWSQXEVSA-N 0 3 313.363 4.410 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC001255686418 1062513549 /nfs/dbraw/zinc/51/35/49/1062513549.db2.gz JCUJPUHQRSTBLK-RKDXNWHRSA-N 0 3 311.269 4.315 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC001255689152 1062516961 /nfs/dbraw/zinc/51/69/61/1062516961.db2.gz JJFFONDLWZITQK-NXEZZACHSA-N 0 3 323.305 4.184 20 0 DIADHN COc1ccc(F)c(CN[C@H]2CCC[C@H](C(F)(F)F)C2)c1F ZINC001255689154 1062517660 /nfs/dbraw/zinc/51/76/60/1062517660.db2.gz JJFFONDLWZITQK-UWVGGRQHSA-N 0 3 323.305 4.184 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN(Cc2ccc3ccccc3c2)C1 ZINC001459958614 1062524910 /nfs/dbraw/zinc/52/49/10/1062524910.db2.gz XJULEWQZMDGQPB-QGZVFWFLSA-N 0 3 323.358 4.383 20 0 DIADHN COc1ccc2c(c1)CN([C@@H]1CCC[C@H](C(F)(F)F)C1)CC2 ZINC001255704394 1062530951 /nfs/dbraw/zinc/53/09/51/1062530951.db2.gz FHINAIDIYQOHJZ-LSDHHAIUSA-N 0 3 313.363 4.174 20 0 DIADHN CCCCCc1ccc(NC(=O)NCCN2CCCCC2)cc1 ZINC001475872866 1062534237 /nfs/dbraw/zinc/53/42/37/1062534237.db2.gz ABNVOGSRPKVFQT-UHFFFAOYSA-N 0 3 317.477 4.027 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1ccn(-c2cccc(F)c2)n1 ZINC001459972054 1062536950 /nfs/dbraw/zinc/53/69/50/1062536950.db2.gz VDSGGOCFJRSOSR-HNAYVOBHSA-N 0 3 315.436 4.412 20 0 DIADHN CCCCCCN1CCN([C@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC001255714880 1062539234 /nfs/dbraw/zinc/53/92/34/1062539234.db2.gz UDNXSHNQYZFNCZ-CVEARBPZSA-N 0 3 320.443 4.305 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cnc(Cl)s1 ZINC001255723408 1062541342 /nfs/dbraw/zinc/54/13/42/1062541342.db2.gz HYRSZKIIZIQNTD-VIFPVBQESA-N 0 3 316.854 4.206 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cnccc1Cl ZINC001255723194 1062542010 /nfs/dbraw/zinc/54/20/10/1062542010.db2.gz GWUOYGMWOJUUSM-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN Fc1ccc2c(c1)CCN([C@@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255722439 1062542350 /nfs/dbraw/zinc/54/23/50/1062542350.db2.gz ZSACRGWUDDKXAV-DZGCQCFKSA-N 0 3 301.327 4.305 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001459983298 1062543428 /nfs/dbraw/zinc/54/34/28/1062543428.db2.gz CNNNREZHWONYMX-HUUCEWRRSA-N 0 3 310.869 4.117 20 0 DIADHN COc1cccc2c1CCN([C@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255722847 1062543676 /nfs/dbraw/zinc/54/36/76/1062543676.db2.gz LQRHEHQYCDXQPQ-KGLIPLIRSA-N 0 3 313.363 4.174 20 0 DIADHN COc1cccc2c1CCN([C@@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255722849 1062544427 /nfs/dbraw/zinc/54/44/27/1062544427.db2.gz LQRHEHQYCDXQPQ-ZIAGYGMSSA-N 0 3 313.363 4.174 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)[C@@H]1C ZINC001459981953 1062544983 /nfs/dbraw/zinc/54/49/83/1062544983.db2.gz CXCGABXPOZSOQB-CABCVRRESA-N 0 3 302.462 4.043 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@H]1COc2ccccc21 ZINC001255728293 1062550740 /nfs/dbraw/zinc/55/07/40/1062550740.db2.gz QCNOXZOHLGVRBZ-LRDDRELGSA-N 0 3 303.402 4.030 20 0 DIADHN COc1cc(F)ccc1CN[C@H](C)CSc1ccc(F)cc1 ZINC001255730996 1062553450 /nfs/dbraw/zinc/55/34/50/1062553450.db2.gz YNPBETZYEDXRGQ-GFCCVEGCSA-N 0 3 323.408 4.244 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@H](C)CSc2ccc(F)cc2)c1 ZINC001255732600 1062554798 /nfs/dbraw/zinc/55/47/98/1062554798.db2.gz OIICZSJKQIZRNB-ZIAGYGMSSA-N 0 3 304.434 4.361 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)c(Cl)c1)CC1CCC1 ZINC001460007310 1062564674 /nfs/dbraw/zinc/56/46/74/1062564674.db2.gz HZQJFWKUNDSDND-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CCN(Cc1cccnc1)[C@@H](C)CSc1ccc(F)cc1 ZINC001255745712 1062567191 /nfs/dbraw/zinc/56/71/91/1062567191.db2.gz YGZVLXWERMKFLG-AWEZNQCLSA-N 0 3 304.434 4.223 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1ccn(-c2ccccc2F)n1 ZINC001460020184 1062574809 /nfs/dbraw/zinc/57/48/09/1062574809.db2.gz UXNWQDMOYIMLII-OAHLLOKOSA-N 0 3 315.436 4.412 20 0 DIADHN CSc1ccc(NC(=O)CN2CCC[C@H](C(C)(C)C)C2)cc1 ZINC001460023841 1062579485 /nfs/dbraw/zinc/57/94/85/1062579485.db2.gz RCNCTBAEFYCPIQ-AWEZNQCLSA-N 0 3 320.502 4.105 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2noc3ccccc23)cc1 ZINC001255756752 1062580249 /nfs/dbraw/zinc/58/02/49/1062580249.db2.gz SYVJQNYERZOPID-CQSZACIVSA-N 0 3 312.438 4.407 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CCc3c(O)cccc3C2)cc1 ZINC001255761942 1062585265 /nfs/dbraw/zinc/58/52/65/1062585265.db2.gz RVGXESBJNYSTSM-HNNXBMFYSA-N 0 3 313.466 4.240 20 0 DIADHN CCN(Cc1cccnc1)[C@H](C)CSc1ccc(C)cc1 ZINC001255764786 1062585713 /nfs/dbraw/zinc/58/57/13/1062585713.db2.gz AROFNJQAYLONEK-MRXNPFEDSA-N 0 3 300.471 4.393 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc([Si](C)(C)C)cc1 ZINC001460036621 1062590931 /nfs/dbraw/zinc/59/09/31/1062590931.db2.gz FWWLBCOWCDICSR-WFASDCNBSA-N 0 3 315.455 4.005 20 0 DIADHN Cc1ccc(SC[C@H](C)N(C)Cc2ccc(C)nc2)cc1 ZINC001255770749 1062592502 /nfs/dbraw/zinc/59/25/02/1062592502.db2.gz ODJGYNGMSCXKOM-INIZCTEOSA-N 0 3 300.471 4.311 20 0 DIADHN Cc1ccc(C[C@@H](C)NCC(=O)c2ccc(Cl)cc2)cc1 ZINC001255772488 1062595164 /nfs/dbraw/zinc/59/51/64/1062595164.db2.gz IXKHHYDNKHZDSU-CQSZACIVSA-N 0 3 301.817 4.052 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@H]2CCOc3cc(F)cc(F)c32)cc1 ZINC001255779746 1062602656 /nfs/dbraw/zinc/60/26/56/1062602656.db2.gz IGTBHQZPKSEDSJ-DYVFJYSZSA-N 0 3 317.379 4.318 20 0 DIADHN C[C@H](CCN(C)Cc1cc(F)cc(F)c1)OCc1ccccc1 ZINC001460057286 1062606731 /nfs/dbraw/zinc/60/67/31/1062606731.db2.gz HMIBEXXXMMTJQF-OAHLLOKOSA-N 0 3 319.395 4.392 20 0 DIADHN CC(=O)c1ccc2c(c1)CN([C@@H](C)Cc1ccc(C)cc1)CC2 ZINC001255794929 1062608609 /nfs/dbraw/zinc/60/86/09/1062608609.db2.gz GMYAVHUCOURRMO-INIZCTEOSA-N 0 3 307.437 4.187 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@@H](c2ccccc2)c2cccnn2)cc1 ZINC001255786853 1062608697 /nfs/dbraw/zinc/60/86/97/1062608697.db2.gz ZVVSCRCWUNTSCG-UTKZUKDTSA-N 0 3 317.436 4.095 20 0 DIADHN O=C(CN1CCC2(CCC2)CC1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001460066975 1062612876 /nfs/dbraw/zinc/61/28/76/1062612876.db2.gz CGXJUNXKSWWEPI-OKILXGFUSA-N 0 3 317.395 4.190 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@H](C)C[C@H]2c2ccccc2)c1 ZINC001460065840 1062612960 /nfs/dbraw/zinc/61/29/60/1062612960.db2.gz ACPQRBHDCSBRLP-XLIONFOSSA-N 0 3 322.452 4.325 20 0 DIADHN CCOc1ccc(CN2C[C@H](C)CC[C@@H]2c2ccccc2)nc1 ZINC001460079121 1062621215 /nfs/dbraw/zinc/62/12/15/1062621215.db2.gz AAXIIBIIVLFXCN-OXQOHEQNSA-N 0 3 310.441 4.454 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1ccc(C(F)(F)F)o1 ZINC001255837844 1062624357 /nfs/dbraw/zinc/62/43/57/1062624357.db2.gz IXZXQYCUBZRHHE-JTQLQIEISA-N 0 3 301.283 4.158 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC001460096457 1062633094 /nfs/dbraw/zinc/63/30/94/1062633094.db2.gz FJFBYHOAXKNJHU-UONOGXRCSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NCc1cnc(Cl)cc1Cl ZINC001255860338 1062635267 /nfs/dbraw/zinc/63/52/67/1062635267.db2.gz FQZZLVBDZSSHTO-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001255863818 1062642005 /nfs/dbraw/zinc/64/20/05/1062642005.db2.gz QKBSFGHJWDFQGY-MEDUHNTESA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@@H](c1ncccc1F)C1CC1 ZINC001255865646 1062645035 /nfs/dbraw/zinc/64/50/35/1062645035.db2.gz FWJGLCGZLLXIHR-SJKOYZFVSA-N 0 3 302.368 4.032 20 0 DIADHN Clc1ccc(CN2CCSC[C@H]2C2CC2)c2ncccc12 ZINC001460133925 1062654161 /nfs/dbraw/zinc/65/41/61/1062654161.db2.gz FMFXDNIDFVSPHE-INIZCTEOSA-N 0 3 318.873 4.216 20 0 DIADHN CC[C@@H](N[C@H]1CCCN(C(=O)OC(C)(C)C)C1)c1ccc(C)o1 ZINC001255899655 1062662063 /nfs/dbraw/zinc/66/20/63/1062662063.db2.gz PZTVTGUQXPGJRL-LSDHHAIUSA-N 0 3 322.449 4.028 20 0 DIADHN CC[C@@H](N[C@@H]1CCCN(C(=O)OC(C)(C)C)C1)c1ccc(C)o1 ZINC001255899654 1062662307 /nfs/dbraw/zinc/66/23/07/1062662307.db2.gz PZTVTGUQXPGJRL-HUUCEWRRSA-N 0 3 322.449 4.028 20 0 DIADHN Cc1ccc2ncc(CN3CCC=C(c4cccnc4)C3)cc2c1 ZINC001460179481 1062680911 /nfs/dbraw/zinc/68/09/11/1062680911.db2.gz YIHOXIGWUPSGNE-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Cc1cncc(CN(C)[C@@H]2C[C@H](Oc3ccccc3)C2(C)C)c1 ZINC001460195177 1062693124 /nfs/dbraw/zinc/69/31/24/1062693124.db2.gz IFGZPOKEOSORJJ-MOPGFXCFSA-N 0 3 310.441 4.068 20 0 DIADHN CN(Cc1ccccc1N(C)C)C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001460203219 1062698534 /nfs/dbraw/zinc/69/85/34/1062698534.db2.gz JRRYQYAVWCCWEB-PZJWPPBQSA-N 0 3 324.468 4.105 20 0 DIADHN CCOCCOCCN1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC001460220108 1062703667 /nfs/dbraw/zinc/70/36/67/1062703667.db2.gz ZMOFBZYKIMVROI-IBGZPJMESA-N 0 3 317.473 4.047 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1ccc2ccc(Cl)cc2n1 ZINC001460223966 1062706162 /nfs/dbraw/zinc/70/61/62/1062706162.db2.gz SZVKZZUGTIXRMY-KRWDZBQOSA-N 0 3 318.848 4.279 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC001460223016 1062707772 /nfs/dbraw/zinc/70/77/72/1062707772.db2.gz KUKIERZQSVIKNL-CYBMUJFWSA-N 0 3 307.821 4.477 20 0 DIADHN COc1ccccc1C(=O)CN[C@@H]1CCC[C@H](c2ccccc2)C1 ZINC001256108778 1062709906 /nfs/dbraw/zinc/70/99/06/1062709906.db2.gz ODVWDHYQAHIZEI-ZWKOTPCHSA-N 0 3 323.436 4.194 20 0 DIADHN COc1ccccc1C(=O)CN[C@H]1CCC[C@H](c2ccccc2)C1 ZINC001256108777 1062710077 /nfs/dbraw/zinc/71/00/77/1062710077.db2.gz ODVWDHYQAHIZEI-ROUUACIJSA-N 0 3 323.436 4.194 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@@H](C2CCC2)C1 ZINC001460238393 1062716883 /nfs/dbraw/zinc/71/68/83/1062716883.db2.gz DGAJFEWMKNHGCA-UKRRQHHQSA-N 0 3 320.864 4.097 20 0 DIADHN COc1cccc(C[C@H](C)NCc2c(F)ccc(C)c2F)c1 ZINC001256143705 1062726934 /nfs/dbraw/zinc/72/69/34/1062726934.db2.gz FCZRWBZYONUVLN-ZDUSSCGKSA-N 0 3 305.368 4.003 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2c(F)cccc2F)c1 ZINC001256152680 1062731189 /nfs/dbraw/zinc/73/11/89/1062731189.db2.gz XELRLQKUQBZPHA-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cccnc2C(F)(F)F)C1 ZINC001460255222 1062735722 /nfs/dbraw/zinc/73/57/22/1062735722.db2.gz MWZNHTQUCDFJSK-GFCCVEGCSA-N 0 3 300.368 4.359 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC(CF)CC1 ZINC001256185305 1062742824 /nfs/dbraw/zinc/74/28/24/1062742824.db2.gz ANXPVWVSPFIMJP-GFCCVEGCSA-N 0 3 314.242 4.062 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CC[C@@](C)(CF)C1 ZINC001256181188 1062744079 /nfs/dbraw/zinc/74/40/79/1062744079.db2.gz CKWFLFPWMKYVBX-WFASDCNBSA-N 0 3 314.242 4.062 20 0 DIADHN c1c(CN2CCSC[C@H]2C2CCC2)onc1-c1ccccc1 ZINC001460272776 1062751064 /nfs/dbraw/zinc/75/10/64/1062751064.db2.gz ULTSGOFTDXUKSG-SFHVURJKSA-N 0 3 314.454 4.059 20 0 DIADHN C[C@H]1CCCN(CCC(C)(C)C2CC2)[C@@H]1C(=O)OC(C)(C)C ZINC001460285849 1062756877 /nfs/dbraw/zinc/75/68/77/1062756877.db2.gz NMNRLQPEELYYBQ-HOCLYGCPSA-N 0 3 309.494 4.255 20 0 DIADHN C[C@@H]1CCCN(CCC(C)(C)C2CC2)[C@H]1C(=O)OC(C)(C)C ZINC001460285847 1062758720 /nfs/dbraw/zinc/75/87/20/1062758720.db2.gz NMNRLQPEELYYBQ-GDBMZVCRSA-N 0 3 309.494 4.255 20 0 DIADHN COC(=O)c1csc(CNC2(c3cccc(C)c3)CCC2)c1 ZINC001460292372 1062763636 /nfs/dbraw/zinc/76/36/36/1062763636.db2.gz PORBUWKBZZXIJR-UHFFFAOYSA-N 0 3 315.438 4.012 20 0 DIADHN CC(C)N(C[C@@H]1COc2ccccc2O1)[C@@H](C)c1ccsc1 ZINC001460307885 1062772421 /nfs/dbraw/zinc/77/24/21/1062772421.db2.gz YMKDMTSAUGTIBY-GOEBONIOSA-N 0 3 317.454 4.360 20 0 DIADHN CC(C)CC[C@H](C)NCC(=O)c1ccc(Br)cc1 ZINC001256351507 1062779025 /nfs/dbraw/zinc/77/90/25/1062779025.db2.gz QKLQLHUHNLFMJN-LBPRGKRZSA-N 0 3 312.251 4.046 20 0 DIADHN CN1CCN(Cc2cccc(Cl)c2Cl)C[C@@H]1C(C)(C)C ZINC001460328781 1062782399 /nfs/dbraw/zinc/78/23/99/1062782399.db2.gz LURARQSQNCSZFJ-CQSZACIVSA-N 0 3 315.288 4.156 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)CCC(C)C)co2)cc1 ZINC001256357713 1062782322 /nfs/dbraw/zinc/78/23/22/1062782322.db2.gz LECAEIYZQURWTN-CQSZACIVSA-N 0 3 302.418 4.265 20 0 DIADHN C[C@@H]1CN(CCCOC(F)(F)F)CCc2ccc(Cl)cc21 ZINC001460341325 1062794951 /nfs/dbraw/zinc/79/49/51/1062794951.db2.gz TWOGBZSQRIUUHS-LLVKDONJSA-N 0 3 321.770 4.228 20 0 DIADHN CCc1nocc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001460343529 1062797861 /nfs/dbraw/zinc/79/78/61/1062797861.db2.gz ZJXCQOWZTOTKSQ-LBPRGKRZSA-N 0 3 304.821 4.052 20 0 DIADHN C[C@H](Cc1ccccn1)N(Cc1ccccc1)Cc1ccncc1 ZINC001256410425 1062809069 /nfs/dbraw/zinc/80/90/69/1062809069.db2.gz KUUQJAUSJHVQBK-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CCC2(CCCCC2)CC1 ZINC001460360326 1062809300 /nfs/dbraw/zinc/80/93/00/1062809300.db2.gz FPGSLGXKTXWONG-QZTJIDSGSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)[C@@H](NCCOC1CCSCC1)c1ccccc1F ZINC001460367389 1062813551 /nfs/dbraw/zinc/81/35/51/1062813551.db2.gz WWNGTZRAIZECJS-QGZVFWFLSA-N 0 3 311.466 4.025 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@H]1c1c(F)cccc1F ZINC001256427003 1062819516 /nfs/dbraw/zinc/81/95/16/1062819516.db2.gz UFURMQQXIGFPGW-DYVFJYSZSA-N 0 3 302.368 4.128 20 0 DIADHN CCOC1CCC(N(C)Cc2nc3ccccc3s2)CC1 ZINC001256435986 1062821430 /nfs/dbraw/zinc/82/14/30/1062821430.db2.gz COPXRFYWYLXLOV-UHFFFAOYSA-N 0 3 304.459 4.076 20 0 DIADHN CCOC1CCC(N2Cc3ccc(Br)cc3C2)CC1 ZINC001256436590 1062823876 /nfs/dbraw/zinc/82/38/76/1062823876.db2.gz KUJMRNOKRLBQHV-UHFFFAOYSA-N 0 3 324.262 4.112 20 0 DIADHN CCOC1CCC(N2CCC[C@H]2c2c(F)cccc2F)CC1 ZINC001256445967 1062826167 /nfs/dbraw/zinc/82/61/67/1062826167.db2.gz YBSCWVQIWCNJRL-KVULBXGLSA-N 0 3 309.400 4.449 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)C1CCC(OCC)CC1 ZINC001256446854 1062828442 /nfs/dbraw/zinc/82/84/42/1062828442.db2.gz IIXRDSIZXSJMPF-CTWPCTMYSA-N 0 3 317.473 4.318 20 0 DIADHN CCOC1CCC(N2CCC[C@@H]2c2c(F)cccc2F)CC1 ZINC001256445969 1062828557 /nfs/dbraw/zinc/82/85/57/1062828557.db2.gz YBSCWVQIWCNJRL-MQBCKMQZSA-N 0 3 309.400 4.449 20 0 DIADHN Cc1nocc1CN1CCC[C@]1(C)Cc1ccccc1Cl ZINC001460404429 1062836981 /nfs/dbraw/zinc/83/69/81/1062836981.db2.gz PRTAUZISFIRUEV-QGZVFWFLSA-N 0 3 304.821 4.234 20 0 DIADHN CC1(C)CN(Cc2cncc(Cl)c2)CCc2ccccc21 ZINC001460402231 1062837527 /nfs/dbraw/zinc/83/75/27/1062837527.db2.gz AQTBQWYMVURCDF-UHFFFAOYSA-N 0 3 300.833 4.071 20 0 DIADHN CC[C@@]1(Cc2ccccc2)CCCN1Cc1cnc(Cl)cn1 ZINC001460412727 1062846050 /nfs/dbraw/zinc/84/60/50/1062846050.db2.gz WHBVEJFNAJRLHU-SFHVURJKSA-N 0 3 315.848 4.117 20 0 DIADHN C[C@@H](N[C@@H]1CCCC12CCCCC2)c1cn(-c2ccccc2)nn1 ZINC001460416443 1062846803 /nfs/dbraw/zinc/84/68/03/1062846803.db2.gz MLPNFFUJHDCJIV-VQIMIIECSA-N 0 3 324.472 4.421 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2cc(O)ccc2Cl)C12CCC2 ZINC001460426064 1062850465 /nfs/dbraw/zinc/85/04/65/1062850465.db2.gz DNDDRONKGAGGLY-HZPDHXFCSA-N 0 3 323.864 4.262 20 0 DIADHN CC1(C)CO[C@H](c2cccc(F)c2)CN1C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001460444380 1062861779 /nfs/dbraw/zinc/86/17/79/1062861779.db2.gz QKEKBEBEEQPIKQ-GFIVTLFCSA-N 0 3 317.448 4.414 20 0 DIADHN Fc1cccc(F)c1CCCN1CC[C@@H](Oc2ccccc2)C1 ZINC001460468656 1062868773 /nfs/dbraw/zinc/86/87/73/1062868773.db2.gz DHZOXMLGCXQSFS-MRXNPFEDSA-N 0 3 317.379 4.051 20 0 DIADHN CN(c1ccccc1)[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC001460526639 1062899557 /nfs/dbraw/zinc/89/95/57/1062899557.db2.gz FFRAIIUGVNJGAR-CQSZACIVSA-N 0 3 306.862 4.112 20 0 DIADHN Cc1cccc(C[C@]2(C)CCCN2Cc2ccc(Cl)nn2)c1 ZINC001460557196 1062911971 /nfs/dbraw/zinc/91/19/71/1062911971.db2.gz KNTWXPUTZJLQBR-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN OCC[C@H](NCC1=CCCC1)c1cc(Cl)cc(Cl)c1 ZINC001460574048 1062917969 /nfs/dbraw/zinc/91/79/69/1062917969.db2.gz ZBDWHHLAYVQQNN-HNNXBMFYSA-N 0 3 300.229 4.117 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C)C[C@@H](C)C1CCCCC1 ZINC001460600347 1062923993 /nfs/dbraw/zinc/92/39/93/1062923993.db2.gz RLIJBMHLUSJZKH-IAGOWNOFSA-N 0 3 310.526 4.028 20 0 DIADHN O=C(Nc1ccc2occc2c1)[C@H]1CCCCN1C1CCCC1 ZINC001471164582 1062931343 /nfs/dbraw/zinc/93/13/43/1062931343.db2.gz IGMIEDFORZXJNO-QGZVFWFLSA-N 0 3 312.413 4.168 20 0 DIADHN O=C(Nc1ccc2occc2c1)[C@@H]1CCCCN1C1CCCC1 ZINC001471164580 1062933261 /nfs/dbraw/zinc/93/32/61/1062933261.db2.gz IGMIEDFORZXJNO-KRWDZBQOSA-N 0 3 312.413 4.168 20 0 DIADHN CC[C@H](NCc1cnnn1-c1ccccc1)c1cc(C)ccc1C ZINC001460630104 1062949948 /nfs/dbraw/zinc/94/99/48/1062949948.db2.gz YMUWOFUHVYBGCF-FQEVSTJZSA-N 0 3 320.440 4.125 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H](C)Cc1ccncc1 ZINC001256722057 1062954111 /nfs/dbraw/zinc/95/41/11/1062954111.db2.gz AMCJHJLREMZOIM-NVXWUHKLSA-N 0 3 322.456 4.281 20 0 DIADHN C[C@H](Cc1ccncc1)N(C)Cc1ccc(Cl)cc1Cl ZINC001256734707 1062955504 /nfs/dbraw/zinc/95/55/04/1062955504.db2.gz AZAICEMMWHLEGE-GFCCVEGCSA-N 0 3 309.240 4.451 20 0 DIADHN Fc1ccc(N2CCN(CC3CCC4(CC4)CC3)CC2)c(F)c1 ZINC001460647204 1062958897 /nfs/dbraw/zinc/95/88/97/1062958897.db2.gz VVHIFFSFWVZKOH-UHFFFAOYSA-N 0 3 320.427 4.057 20 0 DIADHN CCCCCC[C@H](O)CN1CCc2ccc(Cl)c(C)c2C1 ZINC001460664272 1062968544 /nfs/dbraw/zinc/96/85/44/1062968544.db2.gz ARZWZLJYURTTKR-INIZCTEOSA-N 0 3 309.881 4.338 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC001256786860 1062975638 /nfs/dbraw/zinc/97/56/38/1062975638.db2.gz QWTMQACTVKHKKR-NVXWUHKLSA-N 0 3 321.342 4.114 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCO[C@H](c3ccccc3)C2)c1F ZINC001256787772 1062976878 /nfs/dbraw/zinc/97/68/78/1062976878.db2.gz XLATUCSYFQPADJ-GUYCJALGSA-N 0 3 321.342 4.114 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@H](c2nccs2)C1 ZINC001460686321 1062986295 /nfs/dbraw/zinc/98/62/95/1062986295.db2.gz CISVMVKTWGKAJS-NHYWBVRUSA-N 0 3 308.397 4.362 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC2(CCCCO2)CC1 ZINC001460695432 1062992132 /nfs/dbraw/zinc/99/21/32/1062992132.db2.gz WUIGILHPYTUOQW-UHFFFAOYSA-N 0 3 313.363 4.241 20 0 DIADHN CC(C)(C)OC(=O)N1c2ccccc2[C@H](NCCCF)C1(C)C ZINC001460696195 1062993336 /nfs/dbraw/zinc/99/33/36/1062993336.db2.gz SNJMFHSKSOQNBE-HNNXBMFYSA-N 0 3 322.424 4.211 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@]2(C)c2ccccc2)s1 ZINC001460699624 1062995636 /nfs/dbraw/zinc/99/56/36/1062995636.db2.gz JPWDKXDBNNAXDP-GOSISDBHSA-N 0 3 315.438 4.046 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC12CCCC2 ZINC001256839561 1063009203 /nfs/dbraw/zinc/00/92/03/1063009203.db2.gz GGIZXGUWIGKCIS-ZDUSSCGKSA-N 0 3 308.263 4.399 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256826748 1063007885 /nfs/dbraw/zinc/00/78/85/1063007885.db2.gz VFYYJEBIYTVQNV-QFBILLFUSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC12CCCC2 ZINC001256839560 1063010577 /nfs/dbraw/zinc/01/05/77/1063010577.db2.gz GGIZXGUWIGKCIS-CYBMUJFWSA-N 0 3 308.263 4.399 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@H]2CCCC(C)(C)C2)o1 ZINC001460721877 1063013249 /nfs/dbraw/zinc/01/32/49/1063013249.db2.gz DLYBAICPVDFJPD-AWEZNQCLSA-N 0 3 307.434 4.247 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC(CF)CC1 ZINC001256844914 1063013643 /nfs/dbraw/zinc/01/36/43/1063013643.db2.gz RBBGURKPWDSYFZ-LBPRGKRZSA-N 0 3 314.242 4.062 20 0 DIADHN CCC1(CC)CCCN1Cc1nc(CCc2ccccc2)no1 ZINC001460727527 1063022859 /nfs/dbraw/zinc/02/28/59/1063022859.db2.gz SNDAKLKDMHJURK-UHFFFAOYSA-N 0 3 313.445 4.009 20 0 DIADHN C[C@@H]1CN(C(=O)C[C@H]2CCCCC2(C)C)CCN1C1CCCC1 ZINC001471825142 1063023872 /nfs/dbraw/zinc/02/38/72/1063023872.db2.gz JNWZUBNZPZWFFP-IAGOWNOFSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccccc1[C@H](C)N1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC001460732082 1063023850 /nfs/dbraw/zinc/02/38/50/1063023850.db2.gz SGBUOTCGUDJBNX-KCQAQPDRSA-N 0 3 301.352 4.098 20 0 DIADHN CCC1CCC(N(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001256872876 1063027163 /nfs/dbraw/zinc/02/71/63/1063027163.db2.gz GDSRKLPIUUGCPK-UHFFFAOYSA-N 0 3 309.457 4.448 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN([C@@H](C)c1cccc(C)c1)CC2 ZINC001460743118 1063032561 /nfs/dbraw/zinc/03/25/61/1063032561.db2.gz HUXVMNHJIYJOAS-HNNXBMFYSA-N 0 3 308.425 4.073 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc2c(c1)OC(F)(F)O2 ZINC001460747074 1063037450 /nfs/dbraw/zinc/03/74/50/1063037450.db2.gz YYGYVVNIMJREAN-LLVKDONJSA-N 0 3 323.314 4.306 20 0 DIADHN FCc1ccc(CN2Cc3ccccc3OC3(CCC3)C2)cc1 ZINC001460750338 1063041632 /nfs/dbraw/zinc/04/16/32/1063041632.db2.gz XAFSEFOCOPJVNS-UHFFFAOYSA-N 0 3 311.400 4.473 20 0 DIADHN CCCCCN1[C@H](C(=O)OCc2ccccc2)C[C@@H]2CCC[C@@H]21 ZINC001460751046 1063041942 /nfs/dbraw/zinc/04/19/42/1063041942.db2.gz NHQRBMHJAKTVEW-FHWLQOOXSA-N 0 3 315.457 4.163 20 0 DIADHN CC(C)(NCc1c[nH]c(=O)c2ccccc12)c1ccc(F)cc1 ZINC001460783772 1063056371 /nfs/dbraw/zinc/05/63/71/1063056371.db2.gz GYKUSGJOYLBKPH-UHFFFAOYSA-N 0 3 310.372 4.104 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001278982131 1063072571 /nfs/dbraw/zinc/07/25/71/1063072571.db2.gz WKPVNMYNIQRBPA-QZTJIDSGSA-N 0 3 322.537 4.362 20 0 DIADHN Cc1cnc(CN2CC[C@H](c3ccc(Cl)cc3)C2(C)C)o1 ZINC001460811577 1063076682 /nfs/dbraw/zinc/07/66/82/1063076682.db2.gz ADKPDQGPZJIAHK-OAHLLOKOSA-N 0 3 304.821 4.405 20 0 DIADHN COC(=O)C[C@@H](NCc1cccc(Cl)c1Cl)C(C)(C)C ZINC001460833210 1063090987 /nfs/dbraw/zinc/09/09/87/1063090987.db2.gz DQXFZSKCJPQPNR-GFCCVEGCSA-N 0 3 318.244 4.061 20 0 DIADHN CCOC(=O)[C@H]1CC[C@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001257040772 1063091155 /nfs/dbraw/zinc/09/11/55/1063091155.db2.gz PTPKQRHGWBYQAO-KBPBESRZSA-N 0 3 321.848 4.041 20 0 DIADHN CCOC(=O)[C@H]1CC[C@@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001257040775 1063091828 /nfs/dbraw/zinc/09/18/28/1063091828.db2.gz PTPKQRHGWBYQAO-UONOGXRCSA-N 0 3 321.848 4.041 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@@H]2c2ccccc2Cl)C1 ZINC001257064138 1063095202 /nfs/dbraw/zinc/09/52/02/1063095202.db2.gz STLOTSGQBWYAIK-JKIFEVAISA-N 0 3 321.848 4.209 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@H]2c2ccc(Cl)cc2)C1 ZINC001257062540 1063097488 /nfs/dbraw/zinc/09/74/88/1063097488.db2.gz MBCPYEHUXFXUMC-OIISXLGYSA-N 0 3 321.848 4.209 20 0 DIADHN C[C@]12CN(Cc3cc(Br)cs3)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC001460858778 1063103323 /nfs/dbraw/zinc/10/33/23/1063103323.db2.gz KJDQGZZQOZTLJY-IDTSFGKNSA-N 0 3 324.287 4.155 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1C1C[C@H](C)O[C@@H](C)C1 ZINC001257078414 1063105981 /nfs/dbraw/zinc/10/59/81/1063105981.db2.gz KIAPEMNKUYMENN-OFQRWUPVSA-N 0 3 315.461 4.211 20 0 DIADHN CC1(C)CN(CC(=O)Nc2ccc3ccccc3c2)[C@@H]2CCC[C@@H]21 ZINC001460898959 1063122394 /nfs/dbraw/zinc/12/23/94/1063122394.db2.gz WVALMEMQAUTDJT-RBUKOAKNSA-N 0 3 322.452 4.289 20 0 DIADHN CCCCC1(NCc2c3ccccc3oc2C(=O)OCC)CC1 ZINC001460916697 1063133773 /nfs/dbraw/zinc/13/37/73/1063133773.db2.gz ISFAQCNNJMODSR-UHFFFAOYSA-N 0 3 315.413 4.422 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2cccc(Cl)n2)cc1 ZINC001460933585 1063143289 /nfs/dbraw/zinc/14/32/89/1063143289.db2.gz FEEGCDDBNCEFIR-INIZCTEOSA-N 0 3 316.832 4.038 20 0 DIADHN C(c1noc(CN2CCCCC23CCCCCC3)n1)C1CC1 ZINC001460958995 1063159611 /nfs/dbraw/zinc/15/96/11/1063159611.db2.gz PKVXLWZGMURICK-UHFFFAOYSA-N 0 3 303.450 4.101 20 0 DIADHN Cc1ccc(-c2ccc(CNCCOc3ccccc3)o2)cc1 ZINC001460993879 1063171864 /nfs/dbraw/zinc/17/18/64/1063171864.db2.gz CTXRBVPHUKPHHA-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)n3ccnc3[C@@H](C)N)ccc2c1 ZINC001257269769 1063172910 /nfs/dbraw/zinc/17/29/10/1063172910.db2.gz NBDGPZROYVUWEN-HUUCEWRRSA-N 0 3 323.440 4.258 20 0 DIADHN CCn1cc(CNCc2ccccc2C)c(-c2ccccc2)n1 ZINC001460998825 1063174835 /nfs/dbraw/zinc/17/48/35/1063174835.db2.gz FDPQFAHRXXEPJB-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN CC(C)(C)c1ccc(OCCNCc2ccc3c(n2)CCC3)cc1 ZINC001460999413 1063175025 /nfs/dbraw/zinc/17/50/25/1063175025.db2.gz CCFAWCKNXHQEBM-UHFFFAOYSA-N 0 3 324.468 4.036 20 0 DIADHN Clc1cc(Br)cnc1CNCC1CCCCC1 ZINC001460998388 1063175406 /nfs/dbraw/zinc/17/54/06/1063175406.db2.gz DWLHYNOIAYZTCN-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)N(C)Cc3ccno3)ccc2c1 ZINC001257283921 1063180405 /nfs/dbraw/zinc/18/04/05/1063180405.db2.gz BJVDVWOJFSLITN-OAHLLOKOSA-N 0 3 324.424 4.290 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc(-c3ccccn3)n[nH]2)c(C)s1 ZINC001461035495 1063205151 /nfs/dbraw/zinc/20/51/51/1063205151.db2.gz OJYJMTAQXAHZLD-GFCCVEGCSA-N 0 3 312.442 4.001 20 0 DIADHN Cc1cc2cc(CNCCSC(F)(F)F)oc2cc1C ZINC001461049051 1063214331 /nfs/dbraw/zinc/21/43/31/1063214331.db2.gz LSYNAFWOTASZMM-UHFFFAOYSA-N 0 3 303.349 4.392 20 0 DIADHN COCc1cccc(CNCc2cccc(-c3ccccn3)c2)c1 ZINC001461052987 1063217601 /nfs/dbraw/zinc/21/76/01/1063217601.db2.gz ZZUOEYKEZYTRGY-UHFFFAOYSA-N 0 3 318.420 4.185 20 0 DIADHN Nc1c(Cl)cccc1CNCc1csc2ccccc12 ZINC001461051081 1063218699 /nfs/dbraw/zinc/21/86/99/1063218699.db2.gz VZYWPXXXKZKLCD-UHFFFAOYSA-N 0 3 302.830 4.427 20 0 DIADHN CCOc1ccccc1CNCCN(CC)c1ccccc1C ZINC001461051710 1063219044 /nfs/dbraw/zinc/21/90/44/1063219044.db2.gz LNRLNXDABXMKJB-UHFFFAOYSA-N 0 3 312.457 4.010 20 0 DIADHN Cc1ccc(CNCc2cc(Cl)cc(Cl)c2N)s1 ZINC001461055007 1063221758 /nfs/dbraw/zinc/22/17/58/1063221758.db2.gz IOWJUSSYQXBHII-UHFFFAOYSA-N 0 3 301.242 4.235 20 0 DIADHN Cc1ccc(CNCc2sc(N3CCCCC3)nc2C)s1 ZINC001461055431 1063221891 /nfs/dbraw/zinc/22/18/91/1063221891.db2.gz KDRCPYAFATXXLK-UHFFFAOYSA-N 0 3 321.515 4.102 20 0 DIADHN CCCOc1ccc(CNCc2ccc3c(c2)C[C@H](C)O3)cc1 ZINC001461057320 1063224874 /nfs/dbraw/zinc/22/48/74/1063224874.db2.gz QDKMZDWVFNVHAR-HNNXBMFYSA-N 0 3 311.425 4.089 20 0 DIADHN CC(C)c1ccc(OCCNCc2cc(O)cc(Cl)c2)cc1 ZINC001461089040 1063244604 /nfs/dbraw/zinc/24/46/04/1063244604.db2.gz MAJZIHDUIITNHP-UHFFFAOYSA-N 0 3 319.832 4.338 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@H]1c1ccc(F)cc1 ZINC001257393515 1063253332 /nfs/dbraw/zinc/25/33/32/1063253332.db2.gz RRBKHPPCFFTUHC-YJBOKZPZSA-N 0 3 321.436 4.475 20 0 DIADHN Clc1cccc(CNCCN2CCc3ccccc32)c1Cl ZINC001461113870 1063262278 /nfs/dbraw/zinc/26/22/78/1063262278.db2.gz DMKBTJNKMQQTRE-UHFFFAOYSA-N 0 3 321.251 4.146 20 0 DIADHN c1cn(-c2ccccc2)nc1CNC1CCC2(CCCC2)CC1 ZINC001461111391 1063262891 /nfs/dbraw/zinc/26/28/91/1063262891.db2.gz VMIMVMAUFNTZJH-UHFFFAOYSA-N 0 3 309.457 4.465 20 0 DIADHN CC(C)Oc1ccccc1CNCCN1c2ccccc2C[C@@H]1C ZINC001461111898 1063263394 /nfs/dbraw/zinc/26/33/94/1063263394.db2.gz DPTDCEBVWQWQRH-KRWDZBQOSA-N 0 3 324.468 4.015 20 0 DIADHN CCCC[C@@H](CC)N1CCn2c(CN(C)C(C)C)cnc2[C@H]1C ZINC001257421455 1063268443 /nfs/dbraw/zinc/26/84/43/1063268443.db2.gz OICWHGZCTOFXJO-IAGOWNOFSA-N 0 3 320.525 4.069 20 0 DIADHN Cc1ccccc1CNCc1ccc(Oc2ccccc2)nc1 ZINC001461124091 1063275046 /nfs/dbraw/zinc/27/50/46/1063275046.db2.gz PPXHPRXDMQYSTH-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN C(Nc1nc(C2CCCCC2)ns1)[C@@H]1CCN1C1CCCC1 ZINC001472847637 1063281301 /nfs/dbraw/zinc/28/13/01/1063281301.db2.gz ODFUWEDFGBNKCE-HNNXBMFYSA-N 0 3 320.506 4.015 20 0 DIADHN COc1ccccc1/C=C\CN[C@H]1CCCOc2ccccc21 ZINC001461136222 1063284915 /nfs/dbraw/zinc/28/49/15/1063284915.db2.gz HSVFNZKJAROFNG-KKMIYCERSA-N 0 3 309.409 4.212 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1cc(Br)no1 ZINC001257465512 1063287236 /nfs/dbraw/zinc/28/72/36/1063287236.db2.gz BDDRDJHCOVFSTP-MNOVXSKESA-N 0 3 303.244 4.132 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CNCC1CCC1 ZINC001461138858 1063287695 /nfs/dbraw/zinc/28/76/95/1063287695.db2.gz CRELHZXBXYKSAD-UHFFFAOYSA-N 0 3 306.606 4.131 20 0 DIADHN COc1cccc2cc(CNCc3cc4ccccc4n3C)oc21 ZINC001461139621 1063288228 /nfs/dbraw/zinc/28/82/28/1063288228.db2.gz LXIWLTPMBVYTAY-UHFFFAOYSA-N 0 3 320.392 4.223 20 0 DIADHN Cc1nc(CNCC2(c3ccccc3)CCCCCC2)[nH]c1C ZINC001461140275 1063288966 /nfs/dbraw/zinc/28/89/66/1063288966.db2.gz PIVIQGIDUDYKFG-UHFFFAOYSA-N 0 3 311.473 4.408 20 0 DIADHN Cc1cc(F)c(CNCCc2ccc(F)cc2Cl)c(F)c1 ZINC001461173876 1063310981 /nfs/dbraw/zinc/31/09/81/1063310981.db2.gz KQKRGJZEPVEAJH-UHFFFAOYSA-N 0 3 313.750 4.398 20 0 DIADHN CCCCC[C@@H](C)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001257502502 1063315118 /nfs/dbraw/zinc/31/51/18/1063315118.db2.gz NPSUKLROLWEZPT-GFCCVEGCSA-N 0 3 301.352 4.447 20 0 DIADHN Cc1c[nH]c(CNCC2(c3ccc(C(C)C)cc3)CCCC2)n1 ZINC001461195666 1063324777 /nfs/dbraw/zinc/32/47/77/1063324777.db2.gz PBHBUWSQHZDMSQ-UHFFFAOYSA-N 0 3 311.473 4.443 20 0 DIADHN C[C@@H](COc1ccc(F)cc1)NCc1cccc(Cl)c1F ZINC001461193660 1063324902 /nfs/dbraw/zinc/32/49/02/1063324902.db2.gz VZQLQWNDGQLKSK-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(F)c(Cl)c1Cl ZINC001461198262 1063326953 /nfs/dbraw/zinc/32/69/53/1063326953.db2.gz UJQGFGOKUIIKJA-XPTSAGLGSA-N 0 3 308.224 4.019 20 0 DIADHN CCCCCC(CCCCC)NCc1cc2n(n1)CCCO2 ZINC001257551578 1063332672 /nfs/dbraw/zinc/33/26/72/1063332672.db2.gz NWNJILCSVNZPFG-UHFFFAOYSA-N 0 3 307.482 4.284 20 0 DIADHN CO[C@@H](CNCc1cccc(C)c1F)c1ccc(Cl)cc1 ZINC001461240576 1063356809 /nfs/dbraw/zinc/35/68/09/1063356809.db2.gz FSDJEMLBKDGFGN-INIZCTEOSA-N 0 3 307.796 4.265 20 0 DIADHN CO[C@H](CNCc1cccc(C)c1F)c1ccc(Cl)cc1 ZINC001461240577 1063357094 /nfs/dbraw/zinc/35/70/94/1063357094.db2.gz FSDJEMLBKDGFGN-MRXNPFEDSA-N 0 3 307.796 4.265 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@H]2CCCc3ccccc3C2)c1F ZINC001461274230 1063380650 /nfs/dbraw/zinc/38/06/50/1063380650.db2.gz RECOLKWHHDCSKG-ZDUSSCGKSA-N 0 3 323.333 4.280 20 0 DIADHN CO[C@@H](CNCc1ccccc1Cl)c1ccccc1Cl ZINC001461282436 1063389834 /nfs/dbraw/zinc/38/98/34/1063389834.db2.gz XKCJWUKCHNPXGM-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN CCc1ccc(CNC[C@@H](CC)Oc2cccc(Cl)c2)o1 ZINC001461286150 1063391772 /nfs/dbraw/zinc/39/17/72/1063391772.db2.gz NODIICJLRRIUIQ-OAHLLOKOSA-N 0 3 307.821 4.443 20 0 DIADHN Cc1cccc([C@H](O)CN(C)Cc2cc(Cl)cc(Cl)c2)c1 ZINC001473108376 1063406439 /nfs/dbraw/zinc/40/64/39/1063406439.db2.gz IVHKZYHUYJAMJV-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@@H](O)Cc1ccccc1 ZINC001473109960 1063409517 /nfs/dbraw/zinc/40/95/17/1063409517.db2.gz ZCAYMTJMAOGPPM-KRWDZBQOSA-N 0 3 324.251 4.029 20 0 DIADHN CC(C)(C)c1ccc([C@H]2CCCN2C[C@@H](O)C(F)(F)F)cc1 ZINC001473109474 1063410608 /nfs/dbraw/zinc/41/06/08/1063410608.db2.gz DFMZZADILIKORK-HUUCEWRRSA-N 0 3 315.379 4.044 20 0 DIADHN Cc1cccc(OCCN(C)CCOC2CCCCCC2)c1 ZINC001473112958 1063413078 /nfs/dbraw/zinc/41/30/78/1063413078.db2.gz VIGTVAHKAWGEPV-UHFFFAOYSA-N 0 3 305.462 4.045 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1CC(=O)N(C)[C@@H](C)CC(C)C ZINC001473112440 1063413833 /nfs/dbraw/zinc/41/38/33/1063413833.db2.gz OJFYSCODAYKGST-PKOBYXMFSA-N 0 3 316.489 4.025 20 0 DIADHN CC[C@@H]1CCCCN1Cc1coc(-c2cccc(OC)c2)n1 ZINC001473119374 1063422760 /nfs/dbraw/zinc/42/27/60/1063422760.db2.gz NANMNIVLWAGSEQ-MRXNPFEDSA-N 0 3 300.402 4.115 20 0 DIADHN CCCN(CC(=O)Nc1ccc(C)cc1)[C@H]1CCc2ccccc21 ZINC001473124669 1063426162 /nfs/dbraw/zinc/42/61/62/1063426162.db2.gz SOMPABKCBSFEIB-FQEVSTJZSA-N 0 3 322.452 4.333 20 0 DIADHN C[C@H](c1ccco1)N(C)CCC1(C(=O)OC(C)(C)C)CCC1 ZINC001473128398 1063432816 /nfs/dbraw/zinc/43/28/16/1063432816.db2.gz JZLOGKUXVYGXFM-CQSZACIVSA-N 0 3 307.434 4.175 20 0 DIADHN CC1(NCc2ccsc2Br)Cc2ccccc2C1 ZINC001461351407 1063434181 /nfs/dbraw/zinc/43/41/81/1063434181.db2.gz HKIYTLBOHHASNR-UHFFFAOYSA-N 0 3 322.271 4.158 20 0 DIADHN CN(Cc1ccc(Cl)cc1Cl)C1CCC2(COC2)CC1 ZINC001257904431 1063436731 /nfs/dbraw/zinc/43/67/31/1063436731.db2.gz GDIHIULVYJWYBY-UHFFFAOYSA-N 0 3 314.256 4.384 20 0 DIADHN CCCCCC/C(=C\c1ccccc1)CNCC1(C)OCCO1 ZINC001461356517 1063439555 /nfs/dbraw/zinc/43/95/55/1063439555.db2.gz HFWLDNRQQOLQEN-XDJHFCHBSA-N 0 3 317.473 4.393 20 0 DIADHN CC[C@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1ncccc1C ZINC001257948294 1063443996 /nfs/dbraw/zinc/44/39/96/1063443996.db2.gz OKDFVMMQLKQABU-HOTGVXAUSA-N 0 3 316.832 4.088 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nccn2-c2ccccc2)C1 ZINC001473136332 1063444767 /nfs/dbraw/zinc/44/47/67/1063444767.db2.gz WHDJHENRGGGBQX-SFHVURJKSA-N 0 3 317.436 4.170 20 0 DIADHN Fc1cccc(C2(N[C@@H]3COc4ccc(Cl)cc4C3)CC2)c1 ZINC001257954644 1063446404 /nfs/dbraw/zinc/44/64/04/1063446404.db2.gz PQBIXJJFQCBKNQ-INIZCTEOSA-N 0 3 317.791 4.062 20 0 DIADHN Clc1cc(CN[C@H]2COc3ccc(Cl)cc3C2)cs1 ZINC001257955230 1063446740 /nfs/dbraw/zinc/44/67/40/1063446740.db2.gz XCJDFVWZDWXHJR-GFCCVEGCSA-N 0 3 314.237 4.148 20 0 DIADHN COc1ccc(C[C@@H](C)N(C)Cc2cc(F)ccc2F)cc1 ZINC001473140475 1063447550 /nfs/dbraw/zinc/44/75/50/1063447550.db2.gz HOEQYGBURNXPBP-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN Cc1cc(C)cc(CN2CCC[C@@H]2c2cccc3c2OCCO3)c1 ZINC001473143875 1063449502 /nfs/dbraw/zinc/44/95/02/1063449502.db2.gz GNIDRYVHUJKYMX-LJQANCHMSA-N 0 3 323.436 4.412 20 0 DIADHN CCc1cccc(CN2CCC[C@@H]2c2cccc3c2OCCO3)c1 ZINC001473145053 1063450944 /nfs/dbraw/zinc/45/09/44/1063450944.db2.gz PSNUSFHDRNSONC-LJQANCHMSA-N 0 3 323.436 4.357 20 0 DIADHN O=C(CNC1CCC(c2ccccc2)CC1)c1ccccc1F ZINC001257989099 1063452992 /nfs/dbraw/zinc/45/29/92/1063452992.db2.gz GOEIMMDFSUEOMB-UHFFFAOYSA-N 0 3 311.400 4.324 20 0 DIADHN COc1cccc(C(C)(C)CNCc2ncc(C(C)C)s2)c1 ZINC001461374176 1063455657 /nfs/dbraw/zinc/45/56/57/1063455657.db2.gz VLMDACAWQCFGRP-UHFFFAOYSA-N 0 3 318.486 4.343 20 0 DIADHN CCOc1cc(F)cc(CNCCOc2ccccc2Cl)c1 ZINC001461373957 1063455703 /nfs/dbraw/zinc/45/57/03/1063455703.db2.gz RHJVQYOVUDGACM-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CC(C)c1ccc(CN(CC(N)=O)C2CCCC2)c(C(C)C)c1 ZINC001473152990 1063457003 /nfs/dbraw/zinc/45/70/03/1063457003.db2.gz QQZUIVKIVXQDAQ-UHFFFAOYSA-N 0 3 316.489 4.163 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)c(Cl)c1)C1CC1 ZINC001473155693 1063459441 /nfs/dbraw/zinc/45/94/41/1063459441.db2.gz HUODFIGFEHZJNS-OCCSQVGLSA-N 0 3 322.880 4.486 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@@H](O)CC2CCCC2)cc1 ZINC001473159664 1063462342 /nfs/dbraw/zinc/46/23/42/1063462342.db2.gz PVHKDFNJLJRVAU-RBUKOAKNSA-N 0 3 319.514 4.026 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H](O)CC2CCCC2)cc1 ZINC001473159663 1063463490 /nfs/dbraw/zinc/46/34/90/1063463490.db2.gz PVHKDFNJLJRVAU-OALUTQOASA-N 0 3 319.514 4.026 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2c3ccccc3CC[C@H]2C)c1 ZINC001461384994 1063464701 /nfs/dbraw/zinc/46/47/01/1063464701.db2.gz DXRZLLJZWIEFGH-VLIAUNLRSA-N 0 3 311.425 4.117 20 0 DIADHN CC[C@H]1CCCN(Cc2cccc(C(=O)C(F)(F)F)c2)CC1 ZINC001473161592 1063466459 /nfs/dbraw/zinc/46/64/59/1063466459.db2.gz WQZGEJCEMWJLIU-ZDUSSCGKSA-N 0 3 313.363 4.444 20 0 DIADHN CC[C@@H]1CCCN(Cc2cccc(C(=O)C(F)(F)F)c2)CC1 ZINC001473161591 1063466812 /nfs/dbraw/zinc/46/68/12/1063466812.db2.gz WQZGEJCEMWJLIU-CYBMUJFWSA-N 0 3 313.363 4.444 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1cccc2c1CCC2 ZINC001461390086 1063467075 /nfs/dbraw/zinc/46/70/75/1063467075.db2.gz MNQNEESVLUEHKP-LJQANCHMSA-N 0 3 311.400 4.318 20 0 DIADHN CC[C@@H](NCc1ccc2nccnc2c1)c1ccc(C)c(F)c1 ZINC001461388408 1063467182 /nfs/dbraw/zinc/46/71/82/1063467182.db2.gz NZHAEFJDCHHNDS-QGZVFWFLSA-N 0 3 309.388 4.318 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](C(C)(C)C)CC2)c1 ZINC001473165932 1063468651 /nfs/dbraw/zinc/46/86/51/1063468651.db2.gz IUGYDTANDFKRBZ-INIZCTEOSA-N 0 3 302.462 4.082 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N1CCN(C2CCC2)CC1 ZINC001473164372 1063470626 /nfs/dbraw/zinc/47/06/26/1063470626.db2.gz VNFUJOKDLNELKD-LBPRGKRZSA-N 0 3 313.272 4.225 20 0 DIADHN CC[C@H](C)CN(C)CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC001473169211 1063473401 /nfs/dbraw/zinc/47/34/01/1063473401.db2.gz XHUWQECYIAZMAP-SFHVURJKSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1scnc1Cl ZINC001473172752 1063475620 /nfs/dbraw/zinc/47/56/20/1063475620.db2.gz HNLMILZAYJUDBB-AWEZNQCLSA-N 0 3 306.862 4.312 20 0 DIADHN CC1(C)CN(C[C@H]2CCC3(CCCCCC3)O2)C(C)(C)CO1 ZINC001473172662 1063475763 /nfs/dbraw/zinc/47/57/63/1063475763.db2.gz QNPSSLCOEZRRJR-MRXNPFEDSA-N 0 3 309.494 4.148 20 0 DIADHN Fc1ccccc1C1CCN(CCSc2ccncc2)CC1 ZINC001473173025 1063476844 /nfs/dbraw/zinc/47/68/44/1063476844.db2.gz UYQLCOBXNXZOLB-UHFFFAOYSA-N 0 3 316.445 4.192 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001473173722 1063480643 /nfs/dbraw/zinc/48/06/43/1063480643.db2.gz MXJYLSPCRHRJBY-KBPBESRZSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@H](NCc1ccc(-n2cnc3ccccc32)cc1)c1ccc[nH]1 ZINC001461417896 1063486142 /nfs/dbraw/zinc/48/61/42/1063486142.db2.gz VYUACKLZGHQFNT-HNNXBMFYSA-N 0 3 316.408 4.204 20 0 DIADHN CCCn1cncc1CN[C@H]1c2ccccc2CC[C@@H]1C(C)C ZINC001461421225 1063489519 /nfs/dbraw/zinc/48/95/19/1063489519.db2.gz UGYHKOBDSAICCE-UYAOXDASSA-N 0 3 311.473 4.342 20 0 DIADHN CCc1ccc(CN[C@H]2CCOc3ccc(CC)cc32)s1 ZINC001461420967 1063489634 /nfs/dbraw/zinc/48/96/34/1063489634.db2.gz RWVSNBPQELNMSX-KRWDZBQOSA-N 0 3 301.455 4.486 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1cn(Cc2ccccc2)cn1 ZINC001258061678 1063490158 /nfs/dbraw/zinc/49/01/58/1063490158.db2.gz OQAXWRJJIHISNL-ROUUACIJSA-N 0 3 313.489 4.482 20 0 DIADHN C[C@@H]1CCCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)[C@@H]1C ZINC001473187568 1063496982 /nfs/dbraw/zinc/49/69/82/1063496982.db2.gz STKLJOAEOWMZRA-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN O=C(c1cccc(CN2CCC3(CCCC3)C2)c1)C(F)(F)F ZINC001473186794 1063492133 /nfs/dbraw/zinc/49/21/33/1063492133.db2.gz WPOWLENFZROWTL-UHFFFAOYSA-N 0 3 311.347 4.198 20 0 DIADHN CC[C@@]1(C)CCCN([C@@H]2CCCN(c3ccc(C)cc3)C2=O)C1 ZINC001473186863 1063497637 /nfs/dbraw/zinc/49/76/37/1063497637.db2.gz NEOICICJBCTYFY-QUCCMNQESA-N 0 3 314.473 4.003 20 0 DIADHN CCc1nc(CNC[C@H](Cc2ccccc2)C(C)C)cs1 ZINC001461435023 1063500841 /nfs/dbraw/zinc/50/08/41/1063500841.db2.gz NWSRRLMZHUZXDO-INIZCTEOSA-N 0 3 302.487 4.310 20 0 DIADHN Cc1nc([C@H]2CCCCN2CC2CCC(=O)CC2)sc1C ZINC001548851869 1063504342 /nfs/dbraw/zinc/50/43/42/1063504342.db2.gz RGWQFBZQIQDQDD-MRXNPFEDSA-N 0 3 306.475 4.046 20 0 DIADHN C[C@@H](NCc1nccn1C1CC1)C1(c2ccccc2)CCCC1 ZINC001461439682 1063504853 /nfs/dbraw/zinc/50/48/53/1063504853.db2.gz PVJTXDQVNBRSBV-MRXNPFEDSA-N 0 3 309.457 4.208 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1cn(Cc2cccc(F)c2)cn1 ZINC001258087925 1063510529 /nfs/dbraw/zinc/51/05/29/1063510529.db2.gz MRHJGCJPEZQKGR-INIZCTEOSA-N 0 3 315.436 4.295 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC1C(C)(C)C1(C)C ZINC001461457325 1063517288 /nfs/dbraw/zinc/51/72/88/1063517288.db2.gz PTEUEOMHNPVKPV-UHFFFAOYSA-N 0 3 304.459 4.483 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@H](O)c1cc(C)cc(C)c1 ZINC001461460750 1063520638 /nfs/dbraw/zinc/52/06/38/1063520638.db2.gz IDGXZVLMORGUCJ-SFHVURJKSA-N 0 3 315.457 4.326 20 0 DIADHN CCn1cc(CNCc2ccccc2-c2ccc(C)cc2C)cn1 ZINC001461486159 1063531145 /nfs/dbraw/zinc/53/11/45/1063531145.db2.gz FTBNAYFHABSVGX-UHFFFAOYSA-N 0 3 319.452 4.477 20 0 DIADHN C[C@H]1C[C@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@@H](C)C1 ZINC001258154238 1063532195 /nfs/dbraw/zinc/53/21/95/1063532195.db2.gz UYTMTZZVGZWWEM-JYAVWHMHSA-N 0 3 313.363 4.302 20 0 DIADHN C[C@H]1CC(NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@H](C)C1 ZINC001258154240 1063534167 /nfs/dbraw/zinc/53/41/67/1063534167.db2.gz UYTMTZZVGZWWEM-VXGBXAGGSA-N 0 3 313.363 4.302 20 0 DIADHN C[C@@H]1CC(N2CCc3nn(-c4ccccc4)cc3C2)C[C@@H](C)C1 ZINC001258169216 1063540318 /nfs/dbraw/zinc/54/03/18/1063540318.db2.gz WGSXYOGBOLYYSI-HOTGVXAUSA-N 0 3 309.457 4.055 20 0 DIADHN CC(C)(c1ccc(F)cc1)C1(NCc2cccnc2Cl)CC1 ZINC001461501442 1063541471 /nfs/dbraw/zinc/54/14/71/1063541471.db2.gz IGPNOLDIWIFLNH-UHFFFAOYSA-N 0 3 318.823 4.474 20 0 DIADHN Cc1ccccc1[C@@H](N[C@H](C)Cc1ccco1)c1ccncc1 ZINC001258170651 1063543967 /nfs/dbraw/zinc/54/39/67/1063543967.db2.gz JAJYPJUEUWKTDX-UZLBHIALSA-N 0 3 306.409 4.293 20 0 DIADHN C[C@@H](Cc1ccco1)NC(C)(C)c1ccccc1Br ZINC001258176944 1063546780 /nfs/dbraw/zinc/54/67/80/1063546780.db2.gz LICOPVIXPXUDSI-LBPRGKRZSA-N 0 3 322.246 4.498 20 0 DIADHN C[C@@]1(CNCc2cccc3c2OC(C)(C)C3)CC1(Cl)Cl ZINC001461512351 1063546975 /nfs/dbraw/zinc/54/69/75/1063546975.db2.gz DVRKAGSGJVCMJV-HNNXBMFYSA-N 0 3 314.256 4.074 20 0 DIADHN C[C@H](Cc1ccco1)NCc1ccc(C(F)(F)F)cc1F ZINC001258178044 1063547239 /nfs/dbraw/zinc/54/72/39/1063547239.db2.gz CYKXDZLLBDODFG-SNVBAGLBSA-N 0 3 301.283 4.158 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1cc(Cl)cc(Cl)c1OC)OC ZINC001461519782 1063553466 /nfs/dbraw/zinc/55/34/66/1063553466.db2.gz KUYMINNBMZFSDY-YGRLFVJLSA-N 0 3 320.260 4.153 20 0 DIADHN CC[C@H](C)[C@H](CNCc1cc(Cl)cc(Cl)c1OC)OC ZINC001461519771 1063554104 /nfs/dbraw/zinc/55/41/04/1063554104.db2.gz KUYMINNBMZFSDY-HZMBPMFUSA-N 0 3 320.260 4.153 20 0 DIADHN C[C@H](Cc1ccco1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001258195628 1063558998 /nfs/dbraw/zinc/55/89/98/1063558998.db2.gz SNWBKCWJTYYLJB-RHSMWYFYSA-N 0 3 309.413 4.248 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)CCSC)cs2)cc1 ZINC001258202825 1063561131 /nfs/dbraw/zinc/56/11/31/1063561131.db2.gz WXWFDVMBXJMUQR-LBPRGKRZSA-N 0 3 322.499 4.050 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H](C)CCSC)cs2)c1 ZINC001258213585 1063563223 /nfs/dbraw/zinc/56/32/23/1063563223.db2.gz SPKAFSHARBYOGH-LBPRGKRZSA-N 0 3 322.499 4.050 20 0 DIADHN CSCC[C@H](C)N(Cc1ccccc1)Cc1cccnc1 ZINC001258219124 1063566480 /nfs/dbraw/zinc/56/64/80/1063566480.db2.gz CVEFFQRRXGIHOI-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN O=C(CNC1CCCCCCC1)c1cccc(Br)c1 ZINC001258232225 1063571237 /nfs/dbraw/zinc/57/12/37/1063571237.db2.gz DOTJSOVMZKYDQG-UHFFFAOYSA-N 0 3 324.262 4.334 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCN(C1CCCCCCC1)C2 ZINC001258244222 1063579564 /nfs/dbraw/zinc/57/95/64/1063579564.db2.gz SDBVJAPBJSCYAG-UHFFFAOYSA-N 0 3 309.457 4.343 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNCCC1(F)CCC1 ZINC001461554813 1063580071 /nfs/dbraw/zinc/58/00/71/1063580071.db2.gz WBTIHRRKKGACAX-UHFFFAOYSA-N 0 3 319.251 4.431 20 0 DIADHN CC1(C)CCC[C@H](NCC(=O)c2cccc(Br)c2)C1 ZINC001258275940 1063581906 /nfs/dbraw/zinc/58/19/06/1063581906.db2.gz WSIRTTJAHAGBLF-AWEZNQCLSA-N 0 3 324.262 4.190 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCCC(C)(C)C3)co2)cc1 ZINC001258279536 1063584787 /nfs/dbraw/zinc/58/47/87/1063584787.db2.gz JCQPMYUYSSEBPN-HNNXBMFYSA-N 0 3 314.429 4.409 20 0 DIADHN COc1ccc(-c2nc(CN[C@@]3(C)CC=CCC3)cs2)cc1 ZINC001461576825 1063588016 /nfs/dbraw/zinc/58/80/16/1063588016.db2.gz VQXSJIUVBHKNCS-SFHVURJKSA-N 0 3 314.454 4.407 20 0 DIADHN C[C@H](NCc1csc(C(F)(F)F)n1)[C@@H]1CCC[C@@H](C)C1 ZINC001461581425 1063591575 /nfs/dbraw/zinc/59/15/75/1063591575.db2.gz RYOBOPCJGMEXGU-OUAUKWLOSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H](NCc1csc(C(F)(F)F)n1)[C@H]1CCC[C@@H](C)C1 ZINC001461581427 1063591651 /nfs/dbraw/zinc/59/16/51/1063591651.db2.gz RYOBOPCJGMEXGU-VWYCJHECSA-N 0 3 306.397 4.466 20 0 DIADHN CC1(C)CCC[C@H](N2CCc3nn(-c4ccccc4)cc3C2)C1 ZINC001258294422 1063593971 /nfs/dbraw/zinc/59/39/71/1063593971.db2.gz UUPAMPQGATXHBR-SFHVURJKSA-N 0 3 309.457 4.199 20 0 DIADHN Cn1cc(CN[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)c(Cl)n1 ZINC001461587021 1063594677 /nfs/dbraw/zinc/59/46/77/1063594677.db2.gz UEHDBGOXPUPGNG-IUODEOHRSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H]2COCc3ccccc32)cc1C ZINC001461590419 1063599775 /nfs/dbraw/zinc/59/97/75/1063599775.db2.gz VRSCSHYWYWYFBT-HSTAZWAASA-N 0 3 307.437 4.486 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCC(=O)[C@H](C)CC1 ZINC001258306111 1063599909 /nfs/dbraw/zinc/59/99/09/1063599909.db2.gz RIFVWAFUGOCBQK-CABCVRRESA-N 0 3 323.502 4.371 20 0 DIADHN CCn1cnc(CN[C@H](C)CC(C)(C)SCc2ccco2)c1 ZINC001258306627 1063601448 /nfs/dbraw/zinc/60/14/48/1063601448.db2.gz MINXSLORUCAQDH-CQSZACIVSA-N 0 3 321.490 4.076 20 0 DIADHN CCCC[C@@](C)(CO)NCc1ccc(F)c(Cl)c1Cl ZINC001461600137 1063604271 /nfs/dbraw/zinc/60/42/71/1063604271.db2.gz OKQREKUNNISDQR-AWEZNQCLSA-N 0 3 308.224 4.163 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@H]1CCC[C@H](F)C1 ZINC001461603760 1063605575 /nfs/dbraw/zinc/60/55/75/1063605575.db2.gz XUKASLWUGDDHSR-UWVGGRQHSA-N 0 3 307.743 4.312 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@H]1CCC[C@@H](F)C1 ZINC001461603764 1063605669 /nfs/dbraw/zinc/60/56/69/1063605669.db2.gz XUKASLWUGDDHSR-ZJUUUORDSA-N 0 3 307.743 4.312 20 0 DIADHN CSC(C)(C)C[C@@H](C)NCc1c(Cl)cncc1Cl ZINC001258324344 1063611029 /nfs/dbraw/zinc/61/10/29/1063611029.db2.gz QCLBPDZWTKJKBP-SECBINFHSA-N 0 3 307.290 4.398 20 0 DIADHN CSC(C)(C)C[C@H](C)N[C@H](c1ccccc1)c1cccnn1 ZINC001258332418 1063615373 /nfs/dbraw/zinc/61/53/73/1063615373.db2.gz WIIYTMWERJKMJI-WMLDXEAASA-N 0 3 315.486 4.076 20 0 DIADHN Cc1ccoc1CN[C@]1(Cc2ccc(Cl)cc2)CCCOC1 ZINC001461617267 1063615681 /nfs/dbraw/zinc/61/56/81/1063615681.db2.gz BVOJTHZBUROSOA-SFHVURJKSA-N 0 3 319.832 4.123 20 0 DIADHN CSC(C)(C)C[C@H](C)N1CCCC[C@@H]1C(=O)OC(C)(C)C ZINC001258339752 1063616696 /nfs/dbraw/zinc/61/66/96/1063616696.db2.gz AGDGNJRBPNHNEX-UONOGXRCSA-N 0 3 315.523 4.103 20 0 DIADHN CCO[C@@]1(C)C[C@H]1NCc1cc(-c2ccccc2)ccc1OC ZINC001461644211 1063632002 /nfs/dbraw/zinc/63/20/02/1063632002.db2.gz SLAKZIDRGGYLSB-UXHICEINSA-N 0 3 311.425 4.019 20 0 DIADHN CC1(C)CC(NCc2c(F)cc(Br)cc2F)C1 ZINC001461644345 1063632352 /nfs/dbraw/zinc/63/23/52/1063632352.db2.gz BACZSCROLIWJGV-UHFFFAOYSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCCc1ccco1 ZINC001461653921 1063638616 /nfs/dbraw/zinc/63/86/16/1063638616.db2.gz ALBDCCNCVSRSIS-UHFFFAOYSA-N 0 3 302.399 4.027 20 0 DIADHN COc1ccc(CC[C@H](C)N2CCCC(F)(F)[C@@H](F)C2)cc1 ZINC001258398054 1063638791 /nfs/dbraw/zinc/63/87/91/1063638791.db2.gz NJWOZZVMJAXGLC-BBRMVZONSA-N 0 3 315.379 4.086 20 0 DIADHN COc1ccc(CC[C@H](C)N2CCC[C@H]2c2nccs2)cc1 ZINC001258405233 1063639786 /nfs/dbraw/zinc/63/97/86/1063639786.db2.gz BHXAXGCPBZBSTO-YOEHRIQHSA-N 0 3 316.470 4.310 20 0 DIADHN C[C@]1(CNCc2c(F)cccc2Br)C[C@H]2C[C@H]2C1 ZINC001461681157 1063654999 /nfs/dbraw/zinc/65/49/99/1063654999.db2.gz MMYPQRSDYZQKNI-HBYGRHMLSA-N 0 3 312.226 4.114 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccccc2F)o1)C1CCCC1 ZINC001461655699 1063640683 /nfs/dbraw/zinc/64/06/83/1063640683.db2.gz UFQQZMLLGIJRJP-IBGZPJMESA-N 0 3 317.404 4.381 20 0 DIADHN COc1ccc(CC[C@@H](C)N2CCC[C@H]2c2nccs2)cc1 ZINC001258405228 1063640856 /nfs/dbraw/zinc/64/08/56/1063640856.db2.gz BHXAXGCPBZBSTO-PBHICJAKSA-N 0 3 316.470 4.310 20 0 DIADHN CCC[C@H](CNCc1cc2cccc(C)c2nc1Cl)OCC ZINC001461658646 1063642781 /nfs/dbraw/zinc/64/27/81/1063642781.db2.gz HLNVTQKFBWGYCE-MRXNPFEDSA-N 0 3 320.864 4.491 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)c1ccc2[nH]c(=O)oc2c1 ZINC001461668338 1063647913 /nfs/dbraw/zinc/64/79/13/1063647913.db2.gz BYMMVDHPSMYZKW-LLVKDONJSA-N 0 3 308.337 4.130 20 0 DIADHN Cc1scc(CNCc2ccc(F)c3cccnc23)c1C ZINC001461676256 1063650596 /nfs/dbraw/zinc/65/05/96/1063650596.db2.gz MTOCPMSMGXXCGP-UHFFFAOYSA-N 0 3 300.402 4.342 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)CC3CC3)cs2)cc1 ZINC001258450516 1063660661 /nfs/dbraw/zinc/66/06/61/1063660661.db2.gz PMCYSMOOYFTVKN-GFCCVEGCSA-N 0 3 302.443 4.097 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H]1CCCCN1[C@@H](C)CC1CC1 ZINC001258458191 1063663351 /nfs/dbraw/zinc/66/33/51/1063663351.db2.gz RKQZBHQBNWYPJB-FUHWJXTLSA-N 0 3 314.473 4.285 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccccc1Cl ZINC001258494801 1063673698 /nfs/dbraw/zinc/67/36/98/1063673698.db2.gz BGDOXKSRXHBCGO-CZUORRHYSA-N 0 3 309.837 4.209 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccccc1Cl ZINC001258494803 1063673782 /nfs/dbraw/zinc/67/37/82/1063673782.db2.gz BGDOXKSRXHBCGO-XJKSGUPXSA-N 0 3 309.837 4.209 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(-c3ccc(F)cc3)o2)OC1 ZINC001461714644 1063676025 /nfs/dbraw/zinc/67/60/25/1063676025.db2.gz LHTCBDCIQIDTCC-MRXNPFEDSA-N 0 3 317.404 4.381 20 0 DIADHN C[C@H]1Cc2cc(CNCc3cc(F)cc(Cl)c3)ccc2O1 ZINC001461736847 1063688295 /nfs/dbraw/zinc/68/82/95/1063688295.db2.gz QOEREKXPQVXPFK-NSHDSACASA-N 0 3 305.780 4.092 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2CC(C)(C)OC2(C)C)n1 ZINC001461781324 1063711944 /nfs/dbraw/zinc/71/19/44/1063711944.db2.gz ANBYIJODVNGSEC-LBPRGKRZSA-N 0 3 310.507 4.124 20 0 DIADHN Fc1ccc(CNCc2cccc3c2OCC3)c2ccccc12 ZINC001461780447 1063712431 /nfs/dbraw/zinc/71/24/31/1063712431.db2.gz KALXUDMYIKVAAU-UHFFFAOYSA-N 0 3 307.368 4.204 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@H]1c1cc(F)ccc1F ZINC001258628552 1063716606 /nfs/dbraw/zinc/71/66/06/1063716606.db2.gz QXKFXTIKVVCVQR-UGSOOPFHSA-N 0 3 302.368 4.128 20 0 DIADHN CCC1CCC(C2CCC(N3CC(N4CC(F)C4)C3)CC2)CC1 ZINC001258634375 1063718900 /nfs/dbraw/zinc/71/89/00/1063718900.db2.gz IFHSIASLZIOZHX-UHFFFAOYSA-N 0 3 322.512 4.100 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001258633779 1063719280 /nfs/dbraw/zinc/71/92/80/1063719280.db2.gz JQVWVMRYHFDBMS-ZDUSSCGKSA-N 0 3 320.358 4.090 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001258633778 1063719410 /nfs/dbraw/zinc/71/94/10/1063719410.db2.gz JQVWVMRYHFDBMS-CYBMUJFWSA-N 0 3 320.358 4.090 20 0 DIADHN CCCCOc1ccccc1CNC[C@H]1CCCC(C)(C)O1 ZINC001461793848 1063721192 /nfs/dbraw/zinc/72/11/92/1063721192.db2.gz KEYMBQDPJZLFSM-QGZVFWFLSA-N 0 3 305.462 4.303 20 0 DIADHN CCC[C@H](Cc1ccccc1)NC(=N)c1cccnc1Cl ZINC001258651949 1063723350 /nfs/dbraw/zinc/72/33/50/1063723350.db2.gz KSAKVWPOVOIWMX-CQSZACIVSA-N 0 3 301.821 4.061 20 0 DIADHN CCC[C@H](Cc1ccccc1)N1Cc2ccc(C(=O)OC)cc2C1 ZINC001258663154 1063729924 /nfs/dbraw/zinc/72/99/24/1063729924.db2.gz PDKUUXKAXANRJQ-HXUWFJFHSA-N 0 3 323.436 4.200 20 0 DIADHN CCCCC[C@H](CCC)n1nc(CN)c2cc(OC)ccc21 ZINC001258667317 1063735151 /nfs/dbraw/zinc/73/51/51/1063735151.db2.gz MSDAORGLNVULKN-AWEZNQCLSA-N 0 3 303.450 4.425 20 0 DIADHN CC(C)(CNCc1c(Cl)cccc1Cl)C1=CCOCC1 ZINC001461820031 1063735434 /nfs/dbraw/zinc/73/54/34/1063735434.db2.gz KAUZYBSTSLLJOO-UHFFFAOYSA-N 0 3 314.256 4.456 20 0 DIADHN CCCCC[C@@H](CCC)n1nc(-c2ccncc2)cc1CN ZINC001258667696 1063735709 /nfs/dbraw/zinc/73/57/09/1063735709.db2.gz RVOAEWQKLPBALS-MRXNPFEDSA-N 0 3 300.450 4.325 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@@H]3C[C@@H]3C3CCCC3)sc2C1 ZINC001461829080 1063739313 /nfs/dbraw/zinc/73/93/13/1063739313.db2.gz KMKHHOXVAHWBIN-VHDGCEQUSA-N 0 3 304.503 4.184 20 0 DIADHN Cc1oc2ccccc2c1CN1CCN(C)C[C@H]1c1ccccc1 ZINC001461837403 1063743890 /nfs/dbraw/zinc/74/38/90/1063743890.db2.gz HREDMEZIIYURFW-FQEVSTJZSA-N 0 3 320.436 4.230 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@@H](C)CC[C@H]3C)n2)c1 ZINC001461841103 1063746163 /nfs/dbraw/zinc/74/61/63/1063746163.db2.gz FOKFNPBVONAJHP-UONOGXRCSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1cnc(CN[C@H](C)Cc2ccc(Cl)cc2Cl)s1 ZINC001258680030 1063747208 /nfs/dbraw/zinc/74/72/08/1063747208.db2.gz VDVPSQIUEUUDMD-SECBINFHSA-N 0 3 315.269 4.479 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccccc1OCC(F)F ZINC001461845045 1063747847 /nfs/dbraw/zinc/74/78/47/1063747847.db2.gz PMFGCZMFPIYLDB-AWEZNQCLSA-N 0 3 317.379 4.450 20 0 DIADHN CCC1(O)CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)CC1 ZINC001258683940 1063748614 /nfs/dbraw/zinc/74/86/14/1063748614.db2.gz KBYMKKNAAOXBEI-LBPRGKRZSA-N 0 3 316.272 4.161 20 0 DIADHN CCc1cc(OC)ccc1CN1CCCc2sccc2C1 ZINC001461848268 1063748716 /nfs/dbraw/zinc/74/87/16/1063748716.db2.gz HBTBITLOAJMSCT-UHFFFAOYSA-N 0 3 301.455 4.268 20 0 DIADHN COC(=O)c1cccc(CN(C)Cc2ccc(C(C)C)cc2)c1 ZINC001461858120 1063751330 /nfs/dbraw/zinc/75/13/30/1063751330.db2.gz NQLHFRPWIFTTPP-UHFFFAOYSA-N 0 3 311.425 4.229 20 0 DIADHN C[C@H]1C[C@H](NCc2nc(Br)cs2)CC(C)(C)C1 ZINC001258689461 1063753829 /nfs/dbraw/zinc/75/38/29/1063753829.db2.gz CPCBHFLOHYINKB-UWVGGRQHSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2cccnn2)CC(C)(C)C1 ZINC001258692549 1063755251 /nfs/dbraw/zinc/75/52/51/1063755251.db2.gz BKOLSYZHJXVEPW-SZVBFZGTSA-N 0 3 309.457 4.370 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2cccnn2)CC(C)(C)C1 ZINC001258692546 1063755866 /nfs/dbraw/zinc/75/58/66/1063755866.db2.gz BKOLSYZHJXVEPW-AYBZRNKSSA-N 0 3 309.457 4.370 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N[C@@H]1C(=O)Nc2cccc(C)c21 ZINC001258701336 1063760262 /nfs/dbraw/zinc/76/02/62/1063760262.db2.gz GZWBYKCRESODRZ-XLIONFOSSA-N 0 3 322.452 4.379 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)NCc1nc(C)ccc1F ZINC001258706925 1063763363 /nfs/dbraw/zinc/76/33/63/1063763363.db2.gz YHNPCJCIIPWHDI-KRWDZBQOSA-N 0 3 300.421 4.420 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCN(C)CC1(C)C ZINC001461878431 1063769623 /nfs/dbraw/zinc/76/96/23/1063769623.db2.gz SNTQKKQWHXJIQO-UHFFFAOYSA-N 0 3 322.905 4.389 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)C1 ZINC001461902035 1063784808 /nfs/dbraw/zinc/78/48/08/1063784808.db2.gz UOHXYUWFJBZRKM-BBWFWOEESA-N 0 3 324.509 4.144 20 0 DIADHN Cc1cc(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)cnc1F ZINC001461906272 1063787621 /nfs/dbraw/zinc/78/76/21/1063787621.db2.gz VRMYDLFIMNRCIW-BBRMVZONSA-N 0 3 302.368 4.046 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2nc3ccc(F)cc3s2)C1 ZINC001461911824 1063794244 /nfs/dbraw/zinc/79/42/44/1063794244.db2.gz FFKVRXMKTYVGCA-NEPJUHHUSA-N 0 3 310.463 4.151 20 0 DIADHN COc1nc2ccccc2cc1CN1CC[C@@H]1Cc1ccccc1 ZINC001461917120 1063799466 /nfs/dbraw/zinc/79/94/66/1063799466.db2.gz ZWYRLZMZNUYENQ-LJQANCHMSA-N 0 3 318.420 4.060 20 0 DIADHN CCCC[C@H](CCC)NCc1nc(SC)ncc1Cl ZINC001258757423 1063802812 /nfs/dbraw/zinc/80/28/12/1063802812.db2.gz NSASFTUNINYNEJ-NSHDSACASA-N 0 3 301.887 4.300 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(Cl)c(C)c2)cc1 ZINC001461925705 1063808540 /nfs/dbraw/zinc/80/85/40/1063808540.db2.gz ILGGBPFJCZHUEG-QGZVFWFLSA-N 0 3 315.844 4.474 20 0 DIADHN CCc1cccc(Cl)c1CN1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC001461928849 1063814183 /nfs/dbraw/zinc/81/41/83/1063814183.db2.gz NMOIMWXEDIJYSY-KBXCAEBGSA-N 0 3 317.864 4.182 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cccc3[nH]c(=O)oc32)c(C)c1 ZINC001461934300 1063821106 /nfs/dbraw/zinc/82/11/06/1063821106.db2.gz BIFYDTDWQIVYRD-CQSZACIVSA-N 0 3 310.397 4.343 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1ccc(OCCOC)o1 ZINC001461936365 1063822771 /nfs/dbraw/zinc/82/27/71/1063822771.db2.gz DLPOOWVQLHYFJL-SFHVURJKSA-N 0 3 317.429 4.278 20 0 DIADHN CC[C@@H](C)C[C@H](CC)NCc1nc(Br)cs1 ZINC001258785192 1063824224 /nfs/dbraw/zinc/82/42/24/1063824224.db2.gz FKGFVPZHCWJKMX-ZJUUUORDSA-N 0 3 305.285 4.210 20 0 DIADHN CCOc1ccc(CN(Cc2cccc(OC)c2)CC(C)C)o1 ZINC001461939137 1063825072 /nfs/dbraw/zinc/82/50/72/1063825072.db2.gz YXRQDWDBCFQJEI-UHFFFAOYSA-N 0 3 317.429 4.345 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(OCC3CC3)cc2)CCS1 ZINC001461943863 1063829347 /nfs/dbraw/zinc/82/93/47/1063829347.db2.gz WDQPPQYPBBIPRA-SFHVURJKSA-N 0 3 305.487 4.049 20 0 DIADHN CC[C@H](C)C[C@H](CC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258795463 1063831262 /nfs/dbraw/zinc/83/12/62/1063831262.db2.gz RKNCRJKWBIXPDD-WMZOPIPTSA-N 0 3 317.477 4.051 20 0 DIADHN CC(C)C1CCC(N2CCC(C(=O)C(F)(F)F)CC2)CC1 ZINC001258804226 1063836070 /nfs/dbraw/zinc/83/60/70/1063836070.db2.gz RSQNCSZXWGELAF-UHFFFAOYSA-N 0 3 305.384 4.045 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1ccccc1Br)C1CC1 ZINC001461961853 1063842215 /nfs/dbraw/zinc/84/22/15/1063842215.db2.gz VJXAFCQGSBOEIQ-HOTGVXAUSA-N 0 3 324.262 4.011 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCC[C@H](C)C3)co2)c1 ZINC001258814840 1063844876 /nfs/dbraw/zinc/84/48/76/1063844876.db2.gz XNGIKKZJEWBSRO-DZGCQCFKSA-N 0 3 300.402 4.019 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001258839577 1063860200 /nfs/dbraw/zinc/86/02/00/1063860200.db2.gz GXZDQDHKXBZUKF-WBVHZDCISA-N 0 3 317.379 4.399 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001258839574 1063860763 /nfs/dbraw/zinc/86/07/63/1063860763.db2.gz GXZDQDHKXBZUKF-DOTOQJQBSA-N 0 3 317.379 4.399 20 0 DIADHN CC[C@H](Cc1ccccc1)[NH2+]Cc1cc(Cl)c([O-])c(OC)c1 ZINC001258840012 1063860893 /nfs/dbraw/zinc/86/08/93/1063860893.db2.gz MZARMXKBMASYET-OAHLLOKOSA-N 0 3 319.832 4.165 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1nccc(Cl)c1Cl ZINC001258840442 1063861197 /nfs/dbraw/zinc/86/11/97/1063861197.db2.gz UNGDPOREFIWQRM-CYBMUJFWSA-N 0 3 309.240 4.499 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCC([C@H]2CCOC2)CC1 ZINC001461989155 1063862068 /nfs/dbraw/zinc/86/20/68/1063862068.db2.gz DSMIIZFAULGVGY-INIZCTEOSA-N 0 3 305.462 4.134 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@@H](c1ccccc1)c1cccnn1 ZINC001258843286 1063862400 /nfs/dbraw/zinc/86/24/00/1063862400.db2.gz CZTAFKUQALNUCY-CTNGQTDRSA-N 0 3 317.436 4.177 20 0 DIADHN CCn1cncc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC001461991663 1063863551 /nfs/dbraw/zinc/86/35/51/1063863551.db2.gz XSDFFHWLRSNVBR-UHFFFAOYSA-N 0 3 315.436 4.377 20 0 DIADHN COc1ccccc1C[C@@H](C)NCc1ccc(C(F)(F)F)o1 ZINC001258886197 1063872961 /nfs/dbraw/zinc/87/29/61/1063872961.db2.gz GBACUQDUFJCNFW-LLVKDONJSA-N 0 3 313.319 4.028 20 0 DIADHN COc1ccccc1C[C@@H](C)N1CCc2cc(Cl)ccc2C1 ZINC001258892435 1063873554 /nfs/dbraw/zinc/87/35/54/1063873554.db2.gz FAUWOUIOLMYVNG-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN COCCOc1ccc(CN(Cc2ccccc2)C(C)(C)C)o1 ZINC001462008123 1063876455 /nfs/dbraw/zinc/87/64/55/1063876455.db2.gz OXRSTLDBQUXGRR-UHFFFAOYSA-N 0 3 317.429 4.106 20 0 DIADHN CCOc1ccc(CN2CCN(CCCCC(C)C)CC2)cc1 ZINC001462008366 1063876467 /nfs/dbraw/zinc/87/64/67/1063876467.db2.gz KCSVKXFQEMBGNK-UHFFFAOYSA-N 0 3 318.505 4.029 20 0 DIADHN COc1cc(CN(C)CCOc2cccc(Cl)c2)ccc1C ZINC001462025999 1063886798 /nfs/dbraw/zinc/88/67/98/1063886798.db2.gz MHWVEKVQOQOGNN-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN Cc1c(Cl)cccc1CN(C)CCOc1ccc(F)cc1 ZINC001462028332 1063889285 /nfs/dbraw/zinc/88/92/85/1063889285.db2.gz UOJSQSDYLCJQDN-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN COc1ccc(CN2CCCCC[C@@H]2c2ccc(OC)cc2)o1 ZINC001462029183 1063889894 /nfs/dbraw/zinc/88/98/94/1063889894.db2.gz MZHVFKAYNLTTFF-GOSISDBHSA-N 0 3 315.413 4.414 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1cc(C)cc(Cl)c1 ZINC001462035632 1063891626 /nfs/dbraw/zinc/89/16/26/1063891626.db2.gz RDXFURCLRZWZBP-UHFFFAOYSA-N 0 3 317.816 4.399 20 0 DIADHN CCC[C@H](CN[C@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001462052113 1063901499 /nfs/dbraw/zinc/90/14/99/1063901499.db2.gz KWWZRFSJEJGFPR-HUUCEWRRSA-N 0 3 303.368 4.393 20 0 DIADHN CCC[C@@H](CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001462052110 1063901543 /nfs/dbraw/zinc/90/15/43/1063901543.db2.gz KWWZRFSJEJGFPR-GJZGRUSLSA-N 0 3 303.368 4.393 20 0 DIADHN CCOc1ccc(-c2nc(CNC3(C(C)C)CC3)co2)cc1 ZINC000561052169 1063910141 /nfs/dbraw/zinc/91/01/41/1063910141.db2.gz CHZVPMMRRDBZKW-UHFFFAOYSA-N 0 3 300.402 4.019 20 0 DIADHN COc1cccc2c1CCN([C@@H](C)c1nccc3ccccc31)C2 ZINC001462085483 1063921561 /nfs/dbraw/zinc/92/15/61/1063921561.db2.gz NUTKZNIOGUAEAP-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001462085906 1063922277 /nfs/dbraw/zinc/92/22/77/1063922277.db2.gz JLHDOIJTHYHZLB-MEDUHNTESA-N 0 3 321.342 4.148 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC001261480884 1063927834 /nfs/dbraw/zinc/92/78/34/1063927834.db2.gz CZQQERLPXDZVHJ-WDEREUQCSA-N 0 3 316.207 4.011 20 0 DIADHN CO[C@@H]1CN(Cc2ccc(-c3cccc(F)c3F)o2)CC[C@H]1C ZINC001462101477 1063929032 /nfs/dbraw/zinc/92/90/32/1063929032.db2.gz DANBYBPVEYQPSS-SJKOYZFVSA-N 0 3 321.367 4.082 20 0 DIADHN CC(C)[C@H](C[NH2+]Cc1ccc([O-])c(F)c1)Cc1ccccc1 ZINC001462119241 1063937384 /nfs/dbraw/zinc/93/73/84/1063937384.db2.gz IESQXTLFEMALFK-KRWDZBQOSA-N 0 3 301.405 4.136 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCCc4cnn(C(C)C)c43)[nH]c2c1 ZINC001261671103 1063942570 /nfs/dbraw/zinc/94/25/70/1063942570.db2.gz HXMTYKJTDCUFNU-GOSISDBHSA-N 0 3 322.456 4.421 20 0 DIADHN COCCOc1ccc(CN[C@H](c2ccccc2C)C(C)C)o1 ZINC001262360667 1063963924 /nfs/dbraw/zinc/96/39/24/1063963924.db2.gz NLMUUDHTZNAJBS-IBGZPJMESA-N 0 3 317.429 4.100 20 0 DIADHN COc1ccc(C2(NCc3cnc(F)cc3C)CCCC2)cc1 ZINC001262361626 1063964256 /nfs/dbraw/zinc/96/42/56/1063964256.db2.gz SFRPMPBOJGNGAP-UHFFFAOYSA-N 0 3 314.404 4.097 20 0 DIADHN Cc1ccc(OC(=O)[C@H]2CCCN(Cc3ccccc3)C2)cc1C ZINC001264223665 1064046155 /nfs/dbraw/zinc/04/61/55/1064046155.db2.gz QNFDZKFMLTUVLF-IBGZPJMESA-N 0 3 323.436 4.121 20 0 DIADHN OC[C@@H](NCc1c(Cl)oc2ccccc21)C1CCCCC1 ZINC000386373316 1064048538 /nfs/dbraw/zinc/04/85/38/1064048538.db2.gz QHVILXQKYYOKRY-OAHLLOKOSA-N 0 3 307.821 4.117 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1ccsc1Br ZINC000388524738 1064111291 /nfs/dbraw/zinc/11/12/91/1064111291.db2.gz ZAKMOUWDPZEKFV-JTQLQIEISA-N 0 3 324.234 4.426 20 0 DIADHN CCCc1cc(C(=O)NC[C@H](C)N2CCCC[C@H]2C)sc1C ZINC001264556392 1064111616 /nfs/dbraw/zinc/11/16/16/1064111616.db2.gz VJZGSZXQFIWQOY-KGLIPLIRSA-N 0 3 322.518 4.002 20 0 DIADHN Brc1sccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000388994131 1064120544 /nfs/dbraw/zinc/12/05/44/1064120544.db2.gz HTPZOXBAKYGIKW-GHMZBOCLSA-N 0 3 300.265 4.133 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H](C)Cc1ccc(F)cc1 ZINC000389044166 1064120611 /nfs/dbraw/zinc/12/06/11/1064120611.db2.gz HWYDKXXECWICFG-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1ccn(Cc2ccccc2)n1 ZINC000389936536 1064143854 /nfs/dbraw/zinc/14/38/54/1064143854.db2.gz MSBIMEQNGPBLOB-FQEVSTJZSA-N 0 3 319.452 4.042 20 0 DIADHN C[C@H]1SCC[C@H]1NCc1cc(Br)ccc1Cl ZINC000390801707 1064170228 /nfs/dbraw/zinc/17/02/28/1064170228.db2.gz ZUQKGPJWBZNLBV-PRHODGIISA-N 0 3 320.683 4.086 20 0 DIADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1ccc(Cl)cc1Cl ZINC000701011186 1064234713 /nfs/dbraw/zinc/23/47/13/1064234713.db2.gz FNJPIZNUCDTQTA-QSJCVTFJSA-N 0 3 302.245 4.456 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c(C)c1 ZINC000615337452 1064249740 /nfs/dbraw/zinc/24/97/40/1064249740.db2.gz SGUZEQZVDOXFIX-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c(C)c1 ZINC000615337451 1064250305 /nfs/dbraw/zinc/25/03/05/1064250305.db2.gz SGUZEQZVDOXFIX-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN CC(C)CC1(NCc2ccc(F)cc2Br)CC1 ZINC000701445292 1064250824 /nfs/dbraw/zinc/25/08/24/1064250824.db2.gz TWRNZALUKNMQFN-UHFFFAOYSA-N 0 3 300.215 4.257 20 0 DIADHN C[C@@H]1C[C@H](CC(=O)N(CCN(C)C)C2CCCC2)CC(C)(C)C1 ZINC001265738410 1064266962 /nfs/dbraw/zinc/26/69/62/1064266962.db2.gz VPXJXFXPNCFLFX-IAGOWNOFSA-N 0 3 322.537 4.172 20 0 DIADHN COCc1cccc(CN[C@@H]2CCSc3ccc(F)cc32)c1 ZINC000393424378 1064279734 /nfs/dbraw/zinc/27/97/34/1064279734.db2.gz NXZLRDAMEWZVOL-QGZVFWFLSA-N 0 3 317.429 4.299 20 0 DIADHN c1sc(CNC2Cc3ccccc3C2)nc1-c1ccccc1 ZINC000393544555 1064284875 /nfs/dbraw/zinc/28/48/75/1064284875.db2.gz ITWFOCBBGCTCJF-UHFFFAOYSA-N 0 3 306.434 4.067 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1cnsc1 ZINC001279563287 1064356730 /nfs/dbraw/zinc/35/67/30/1064356730.db2.gz SEKXZNZVHCVCTI-NXHRZFHOSA-N 0 3 321.534 4.008 20 0 DIADHN CCCC[C@@H](CC)C[N@@H+]1CCC12CN(Cc1occc1[S-])C2 ZINC001279692480 1064363952 /nfs/dbraw/zinc/36/39/52/1064363952.db2.gz DNBDYTPMVGZZJP-OAHLLOKOSA-N 0 3 322.518 4.045 20 0 DIADHN CCCC[C@@H](CC)C[N@H+]1CCC12CN(Cc1occc1[S-])C2 ZINC001279692480 1064363964 /nfs/dbraw/zinc/36/39/64/1064363964.db2.gz DNBDYTPMVGZZJP-OAHLLOKOSA-N 0 3 322.518 4.045 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)[C@]23C[C@H]2CCN3CC2CC2)cc1 ZINC001279872970 1064368485 /nfs/dbraw/zinc/36/84/85/1064368485.db2.gz NYHFUNNKZKJTFZ-NQYLQCIDSA-N 0 3 312.457 4.013 20 0 DIADHN CC(C)=C(C)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001288763383 1064566913 /nfs/dbraw/zinc/56/69/13/1064566913.db2.gz ZTXKZIZBBCNUDH-UHFFFAOYSA-N 0 3 314.351 4.062 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC001290116214 1064681520 /nfs/dbraw/zinc/68/15/20/1064681520.db2.gz SWUVVNABEDXLCP-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)cc1Cl ZINC001290162483 1064684301 /nfs/dbraw/zinc/68/43/01/1064684301.db2.gz WTCNPHBYNYDROH-MRXNPFEDSA-N 0 3 308.853 4.097 20 0 DIADHN CCCCCCN(C)CC(=O)N(CC)Cc1ccc(Cl)cc1 ZINC001290758549 1064710826 /nfs/dbraw/zinc/71/08/26/1064710826.db2.gz XXQSOXDZQYZSPM-UHFFFAOYSA-N 0 3 324.896 4.201 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1NC(=O)C1(N(C)C)CCCC1 ZINC001290798094 1064712231 /nfs/dbraw/zinc/71/22/31/1064712231.db2.gz WACZVGRUICTTQC-UHFFFAOYSA-N 0 3 322.452 4.475 20 0 DIADHN C[C@H](C(=O)N[C@@H](c1ccccc1)C(C)(C)C)N1[C@H](C)CC[C@@H]1C ZINC001291719143 1064742752 /nfs/dbraw/zinc/74/27/52/1064742752.db2.gz SEJUVTMPZYKCHR-HPFXQQBRSA-N 0 3 316.489 4.151 20 0 DIADHN CCCCC1CCC(NC(=O)[C@H]2CCCCN2CCC)CC1 ZINC001291993013 1064754335 /nfs/dbraw/zinc/75/43/35/1064754335.db2.gz XBEWXYVVPAZELD-DAWZGUTISA-N 0 3 308.510 4.116 20 0 DIADHN CCCCC[C@H](CC)C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001292262530 1064766221 /nfs/dbraw/zinc/76/62/21/1064766221.db2.gz XVNLTSWSNVTJTG-HKUYNNGSSA-N 0 3 318.505 4.320 20 0 DIADHN CC(C)CCCCNC(=O)c1cccc(CN2CCCCC2)c1 ZINC001293790499 1064832589 /nfs/dbraw/zinc/83/25/89/1064832589.db2.gz ZQOHPMIQNPWFLB-UHFFFAOYSA-N 0 3 316.489 4.229 20 0 DIADHN CC[C@@H](C(=O)N(CC(C)C)c1ccc(Cl)cc1)N(CC)CC ZINC001294886061 1064888880 /nfs/dbraw/zinc/88/88/80/1064888880.db2.gz MUSZMZNSAFKQCH-KRWDZBQOSA-N 0 3 324.896 4.449 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@H]3CCCN3CC(C)C)cc2c1C ZINC001296672101 1064954980 /nfs/dbraw/zinc/95/49/80/1064954980.db2.gz SDSCLSQLAJCXOZ-QGZVFWFLSA-N 0 3 314.429 4.109 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC001297187161 1064972824 /nfs/dbraw/zinc/97/28/24/1064972824.db2.gz YBADLPZLMSSRLF-BFYDXBDKSA-N 0 3 314.473 4.036 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCC[C@@H]1C[C@H](C)CC(C)(C)C1 ZINC001297235369 1064975223 /nfs/dbraw/zinc/97/52/23/1064975223.db2.gz WWDVTEQZUSJWDC-RCCFBDPRSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001297504015 1064981201 /nfs/dbraw/zinc/98/12/01/1064981201.db2.gz XEDBOXQEYRPXHK-HKUYNNGSSA-N 0 3 320.521 4.116 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001298170118 1064999074 /nfs/dbraw/zinc/99/90/74/1064999074.db2.gz KVYPDBSMKCYHLY-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H](C)C(CC)CC ZINC001298609137 1065011867 /nfs/dbraw/zinc/01/18/67/1065011867.db2.gz LRNPBTFLBDTCMI-ZDUSSCGKSA-N 0 3 305.466 4.115 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H]1CCC=CCCC1 ZINC001298607909 1065012506 /nfs/dbraw/zinc/01/25/06/1065012506.db2.gz BYGIVSMWTOMBCX-OAHLLOKOSA-N 0 3 315.461 4.179 20 0 DIADHN C[C@H](CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1)CC(C)(C)C ZINC001298814378 1065022958 /nfs/dbraw/zinc/02/29/58/1065022958.db2.gz UYNAXARENAVZLV-PBHICJAKSA-N 0 3 322.468 4.007 20 0 DIADHN CC(C)[C@H]1N(C(=O)C(C)(C)N2CCCCC2)CC12CCCCC2 ZINC001299128363 1065033003 /nfs/dbraw/zinc/03/30/03/1065033003.db2.gz YMCSEOGRRKAFGS-QGZVFWFLSA-N 0 3 320.521 4.068 20 0 DIADHN CC(C)[C@@H]1N(C(=O)C(C)(C)N2CCCCC2)CC12CCCCC2 ZINC001299128362 1065033237 /nfs/dbraw/zinc/03/32/37/1065033237.db2.gz YMCSEOGRRKAFGS-KRWDZBQOSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCc3cccc(Cl)c3C2)cs1 ZINC000666088734 1065079111 /nfs/dbraw/zinc/07/91/11/1065079111.db2.gz PSDJOUVVOGFTOM-GXFFZTMASA-N 0 3 306.862 4.313 20 0 DIADHN Fc1cccc(CC2CCN(CCSC(F)(F)F)CC2)c1 ZINC001307419061 1065379621 /nfs/dbraw/zinc/37/96/21/1065379621.db2.gz PMRCRDGXNIOSPL-UHFFFAOYSA-N 0 3 321.383 4.333 20 0 DIADHN Cc1ccccc1OCCCN(C)Cc1cnc2ccccc2c1 ZINC001307420133 1065380555 /nfs/dbraw/zinc/38/05/55/1065380555.db2.gz GOJUZAMGWVNBIA-UHFFFAOYSA-N 0 3 320.436 4.444 20 0 DIADHN CCN(CCOC1CCC1)Cc1ccc(Cl)c(Cl)c1 ZINC001307424348 1065385783 /nfs/dbraw/zinc/38/57/83/1065385783.db2.gz SSLZYEOATUILOL-UHFFFAOYSA-N 0 3 302.245 4.384 20 0 DIADHN CCN(Cc1nn(-c2ccccc2)c2c1CCC2)[C@@H](C)C(C)C ZINC001307438874 1065398858 /nfs/dbraw/zinc/39/88/58/1065398858.db2.gz XLZVDDRURPEEHU-INIZCTEOSA-N 0 3 311.473 4.227 20 0 DIADHN CC(C)CN(CCCC(=O)OC(C)(C)C)Cc1ccccc1F ZINC001307437656 1065400500 /nfs/dbraw/zinc/40/05/00/1065400500.db2.gz ITTNJIYYQTXMMK-UHFFFAOYSA-N 0 3 323.452 4.406 20 0 DIADHN COCCN(Cc1ccc(Cl)cc1Cl)C1CCCC1 ZINC001307441832 1065402303 /nfs/dbraw/zinc/40/23/03/1065402303.db2.gz BXXDDEAUQVHMBG-UHFFFAOYSA-N 0 3 302.245 4.384 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1CCC1CC1 ZINC001307444667 1065404343 /nfs/dbraw/zinc/40/43/43/1065404343.db2.gz XURMZJKXOARJTA-HNNXBMFYSA-N 0 3 324.262 4.395 20 0 DIADHN Clc1cnn(CCN2CCCCC[C@H]2c2ccccc2)c1 ZINC001307444349 1065404626 /nfs/dbraw/zinc/40/46/26/1065404626.db2.gz UBJLBRSLKCSMFO-KRWDZBQOSA-N 0 3 303.837 4.154 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N(C)CCC1CCCCC1 ZINC001307445663 1065407680 /nfs/dbraw/zinc/40/76/80/1065407680.db2.gz JLVBEBZQUGUKLB-AWEZNQCLSA-N 0 3 306.425 4.055 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccc3c(c2)OCO3)cs1 ZINC001307456171 1065410096 /nfs/dbraw/zinc/41/00/96/1065410096.db2.gz JRHFSPGKYRWKPI-OAHLLOKOSA-N 0 3 301.411 4.122 20 0 DIADHN COc1ccc(CCN(C/C=C\Cl)Cc2ccccc2)cc1 ZINC001307454212 1065410729 /nfs/dbraw/zinc/41/07/29/1065410729.db2.gz MZXYHDBRPXEXAS-ACAGNQJTSA-N 0 3 315.844 4.492 20 0 DIADHN CCCC[C@@H](CC)CN(C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC001307464670 1065414818 /nfs/dbraw/zinc/41/48/18/1065414818.db2.gz JOKAYYKFIYKIKJ-CRAIPNDOSA-N 0 3 320.452 4.079 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1ccc(Cl)cc1C)C1CC1 ZINC001307462827 1065414933 /nfs/dbraw/zinc/41/49/33/1065414933.db2.gz HZJQQEYGYULQKF-JSGCOSHPSA-N 0 3 322.880 4.486 20 0 DIADHN CCCC[C@@H](CC)CN(C)[C@H]1CCN(c2ccccc2F)C1=O ZINC001307464673 1065415465 /nfs/dbraw/zinc/41/54/65/1065415465.db2.gz JOKAYYKFIYKIKJ-QAPCUYQASA-N 0 3 320.452 4.079 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2C)CC1 ZINC001307467395 1065416769 /nfs/dbraw/zinc/41/67/69/1065416769.db2.gz GOFKLHDVRRRRMD-GJZGRUSLSA-N 0 3 322.880 4.488 20 0 DIADHN C[C@@H](CN1CCC[C@@H]1C(=O)OCc1ccccc1)CC(C)(C)C ZINC001307467730 1065417166 /nfs/dbraw/zinc/41/71/66/1065417166.db2.gz MVNQDSATDKJMDW-SJLPKXTDSA-N 0 3 317.473 4.267 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2ncccc2Cl)cc1 ZINC001307469556 1065419118 /nfs/dbraw/zinc/41/91/18/1065419118.db2.gz LEZUAUHMNSYBND-INIZCTEOSA-N 0 3 300.833 4.251 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001307471585 1065421501 /nfs/dbraw/zinc/42/15/01/1065421501.db2.gz CURAHIIZWBINHL-KRWDZBQOSA-N 0 3 301.409 4.022 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1CCSC(F)(F)F ZINC001307480863 1065427051 /nfs/dbraw/zinc/42/70/51/1065427051.db2.gz UMYHWAGIXLKWQQ-CMPLNLGQSA-N 0 3 307.356 4.257 20 0 DIADHN Cc1c(C)c(C)c(CN2CCOC[C@H]2CC(C)C)c(C)c1C ZINC001307480488 1065427345 /nfs/dbraw/zinc/42/73/45/1065427345.db2.gz WIVQKBPLWXFPIF-LJQANCHMSA-N 0 3 303.490 4.476 20 0 DIADHN CC[C@H]1CCCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001307482394 1065428000 /nfs/dbraw/zinc/42/80/00/1065428000.db2.gz FIYCFXPFENITQX-INIZCTEOSA-N 0 3 301.409 4.166 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1cn(-c2ccc(Cl)cc2)nn1 ZINC001307483965 1065429627 /nfs/dbraw/zinc/42/96/27/1065429627.db2.gz XTGKTVDLEYSKCS-MRXNPFEDSA-N 0 3 318.852 4.075 20 0 DIADHN COc1ncccc1CN1CCC[C@H](C)[C@H]1c1ccc(C)cc1 ZINC001307489262 1065435261 /nfs/dbraw/zinc/43/52/61/1065435261.db2.gz IJDORUNBEWLZLE-LPHOPBHVSA-N 0 3 310.441 4.372 20 0 DIADHN Cc1ccc(CCCN2C[C@H](C)OC[C@H]2c2ccccc2)cc1 ZINC001307496775 1065439378 /nfs/dbraw/zinc/43/93/78/1065439378.db2.gz NCUYSQXWFIKNJL-RXVVDRJESA-N 0 3 309.453 4.390 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307499615 1065444914 /nfs/dbraw/zinc/44/49/14/1065444914.db2.gz JNPJCKDAUJYTMR-PWSUYJOCSA-N 0 3 315.244 4.052 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)C[C@@H]3CC)co2)cc1 ZINC001307501877 1065446722 /nfs/dbraw/zinc/44/67/22/1065446722.db2.gz UJDKAGBANDMKHF-PBHICJAKSA-N 0 3 314.429 4.361 20 0 DIADHN CCCN(Cc1n[nH]cc1C)[C@H]1C[C@@H](OCC)C12CCCCC2 ZINC001307502827 1065446786 /nfs/dbraw/zinc/44/67/86/1065446786.db2.gz FRCLWGMMMGVWEM-ZWKOTPCHSA-N 0 3 319.493 4.058 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC001307510635 1065450705 /nfs/dbraw/zinc/45/07/05/1065450705.db2.gz IEPFUGODLNLEEE-LLVKDONJSA-N 0 3 317.260 4.300 20 0 DIADHN CCc1ccc(N2CCN(Cc3cc(C)ccc3C)CC2)cc1 ZINC001307508379 1065450887 /nfs/dbraw/zinc/45/08/87/1065450887.db2.gz IFFPORMPBFUURD-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN Fc1cc(CN2CCC[C@@]3(CCCc4ccccc43)C2)ccn1 ZINC001307515915 1065455160 /nfs/dbraw/zinc/45/51/60/1065455160.db2.gz XUTPIHZHGFBFQI-FQEVSTJZSA-N 0 3 310.416 4.091 20 0 DIADHN C[C@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@H]1C ZINC001307524174 1065462422 /nfs/dbraw/zinc/46/24/22/1065462422.db2.gz SSPPBFKVUMELKA-HOTGVXAUSA-N 0 3 308.425 4.022 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cc(F)ccc1OC(F)F ZINC001307543497 1065474467 /nfs/dbraw/zinc/47/44/67/1065474467.db2.gz DATFMHJVPNZPFW-JTQLQIEISA-N 0 3 307.381 4.001 20 0 DIADHN COc1cc(C)nc(CN2CCc3ccccc3[C@H]2C(C)C)c1 ZINC001307525911 1065464958 /nfs/dbraw/zinc/46/49/58/1065464958.db2.gz BRVOCRZYOSGTRR-HXUWFJFHSA-N 0 3 310.441 4.154 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C[C@H]1COC(C)(C)O1)C1CC1 ZINC001307535262 1065468484 /nfs/dbraw/zinc/46/84/84/1065468484.db2.gz LIWSUTGFXNVLBH-WBMJQRKESA-N 0 3 309.837 4.017 20 0 DIADHN CC(C)Cc1ccc([C@@H](NC[C@H](O)C(F)(F)F)C(C)C)cc1 ZINC001307539945 1065473235 /nfs/dbraw/zinc/47/32/35/1065473235.db2.gz VTRZFBUCHYEJKS-HOTGVXAUSA-N 0 3 317.395 4.095 20 0 DIADHN CC1(C)CO[C@@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC001307551394 1065477857 /nfs/dbraw/zinc/47/78/57/1065477857.db2.gz IDGQUKJHWVENLX-MOPGFXCFSA-N 0 3 313.416 4.320 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001307552043 1065478150 /nfs/dbraw/zinc/47/81/50/1065478150.db2.gz SDUQMRPHIKXSGS-CVEARBPZSA-N 0 3 324.896 4.459 20 0 DIADHN CC(C)c1ccccc1CN(C)[C@H](C)CNC(=O)OC(C)(C)C ZINC001307553447 1065478177 /nfs/dbraw/zinc/47/81/77/1065478177.db2.gz PDTOLWBSIJPJMR-OAHLLOKOSA-N 0 3 320.477 4.155 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC001307551426 1065478253 /nfs/dbraw/zinc/47/82/53/1065478253.db2.gz IQAFRYFHKOZAHB-XPGWFJOJSA-N 0 3 311.425 4.305 20 0 DIADHN CCC[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001307562821 1065484049 /nfs/dbraw/zinc/48/40/49/1065484049.db2.gz GTWCSLLPZQEZPE-KBPBESRZSA-N 0 3 308.853 4.179 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1ccnc(Br)c1 ZINC001307580668 1065493128 /nfs/dbraw/zinc/49/31/28/1065493128.db2.gz XGNFUQKKIOOCQU-JSGCOSHPSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@@H]1CCC2(CCC2)CO1 ZINC001307587699 1065498506 /nfs/dbraw/zinc/49/85/06/1065498506.db2.gz DAGYFISYPOFDRF-RKVPGOIHSA-N 0 3 317.448 4.483 20 0 DIADHN Cc1cc(C)cc(C2=CCN(C[C@@H]3CC[C@H](C4CC4)O3)CC2)c1 ZINC001307585926 1065498638 /nfs/dbraw/zinc/49/86/38/1065498638.db2.gz PTEFAWOEQWEUGP-LEWJYISDSA-N 0 3 311.469 4.350 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2cscc2Br)C1 ZINC001307602718 1065508512 /nfs/dbraw/zinc/50/85/12/1065508512.db2.gz JYPFMRWIBFHWBT-NSHDSACASA-N 0 3 302.281 4.379 20 0 DIADHN CC(C)(C)SCCN1CC[C@@H](Oc2ccccc2Cl)C1 ZINC001307603780 1065508673 /nfs/dbraw/zinc/50/86/73/1065508673.db2.gz RIMPOMZUNTURSI-CYBMUJFWSA-N 0 3 313.894 4.325 20 0 DIADHN C[C@@H](NC[C@H](O)CCc1ccccc1)c1cc(Cl)ccc1F ZINC001307611344 1065514365 /nfs/dbraw/zinc/51/43/65/1065514365.db2.gz NHUSUACAZGWAGX-CZUORRHYSA-N 0 3 321.823 4.123 20 0 DIADHN CC[C@@](O)(CN[C@@H](c1cccc(F)c1)C(C)(C)C)C(F)(F)F ZINC001307613297 1065517488 /nfs/dbraw/zinc/51/74/88/1065517488.db2.gz QJJADGSUKAAEPP-DZGCQCFKSA-N 0 3 321.358 4.206 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307621587 1065522725 /nfs/dbraw/zinc/52/27/25/1065522725.db2.gz ORPUCMVATXXRGF-ZYHUDNBSSA-N 0 3 315.244 4.195 20 0 DIADHN C[C@H](NC[C@@H]1CC2(CO1)CCCCC2)c1ccc(F)cc1F ZINC001307636083 1065532079 /nfs/dbraw/zinc/53/20/79/1065532079.db2.gz CEKXTJUAYZIBRZ-ZFWWWQNUSA-N 0 3 309.400 4.355 20 0 DIADHN COc1ccc2c(c1)CCN([C@@H](C)c1ccc(F)cc1F)CC2 ZINC001307648200 1065538757 /nfs/dbraw/zinc/53/87/57/1065538757.db2.gz ZJMNUFCOROVTAI-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN CCc1ccccc1CCN1CCN(c2ccc(C)c(C)c2)CC1 ZINC001307651068 1065542416 /nfs/dbraw/zinc/54/24/16/1065542416.db2.gz DSEWGRXMEQGEJB-UHFFFAOYSA-N 0 3 322.496 4.231 20 0 DIADHN CCOC(=O)[C@H](C)[C@@H](C)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC001307656300 1065546521 /nfs/dbraw/zinc/54/65/21/1065546521.db2.gz DBMGVSPDFMGYSW-MXWKQRLJSA-N 0 3 318.244 4.232 20 0 DIADHN C[C@@H]1CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)CC1(C)C ZINC001307665729 1065552103 /nfs/dbraw/zinc/55/21/03/1065552103.db2.gz LQOSANXKPWTRBG-MCIONIFRSA-N 0 3 319.411 4.292 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccccc1N(C)C)[C@@H]2C ZINC001307672566 1065553841 /nfs/dbraw/zinc/55/38/41/1065553841.db2.gz FGZILZOYJGVEIU-HZPDHXFCSA-N 0 3 324.468 4.269 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1ncc(Br)cc1Cl ZINC001307682395 1065560151 /nfs/dbraw/zinc/56/01/51/1065560151.db2.gz JABXFMIVFPQKLZ-BXKDBHETSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CCC[C@@H](C(C)C)C2)n1 ZINC001307693269 1065566292 /nfs/dbraw/zinc/56/62/92/1065566292.db2.gz UUKMTIHTCHJMLC-HZPDHXFCSA-N 0 3 313.445 4.474 20 0 DIADHN CC[C@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@H]1C ZINC001307703318 1065573038 /nfs/dbraw/zinc/57/30/38/1065573038.db2.gz RLYLWQZXHORJDF-QWRGUYRKSA-N 0 3 312.335 4.467 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC[C@@H](OCC2CC2)C1 ZINC001307705520 1065574860 /nfs/dbraw/zinc/57/48/60/1065574860.db2.gz NDMDKLPFDKCPDK-RDTXWAMCSA-N 0 3 305.849 4.218 20 0 DIADHN c1ccc(C[C@H]2CCCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC001307706835 1065575616 /nfs/dbraw/zinc/57/56/16/1065575616.db2.gz IBZTZHSGIWVLPA-IEBWSBKVSA-N 0 3 324.468 4.445 20 0 DIADHN Cc1ccccc1[C@]1(F)CCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C1 ZINC001307716380 1065580539 /nfs/dbraw/zinc/58/05/39/1065580539.db2.gz BYODVZOQMAACGV-ORQFMDKSSA-N 0 3 309.375 4.157 20 0 DIADHN CC[C@H]1CN(Cc2cc(Cl)cc3ccccc32)CCCO1 ZINC001307714317 1065581824 /nfs/dbraw/zinc/58/18/24/1065581824.db2.gz CDKXDJUCENWIHF-KRWDZBQOSA-N 0 3 303.833 4.494 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(CC2([C@@H]3CCCCO3)CCC2)C1 ZINC001307721781 1065586793 /nfs/dbraw/zinc/58/67/93/1065586793.db2.gz QILVXVHAXKBJSB-ICSRJNTNSA-N 0 3 317.448 4.297 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1CC/C=C/c1ccccc1 ZINC001307736177 1065594072 /nfs/dbraw/zinc/59/40/72/1065594072.db2.gz KWOMJPQHQKPPEG-DBEXCURXSA-N 0 3 324.443 4.440 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H]2[C@@H]2C[C@H](C)CC[C@@H]2O)c1F ZINC001307732920 1065594229 /nfs/dbraw/zinc/59/42/29/1065594229.db2.gz SJVOUGZRFQMJOW-OLUCIUBSSA-N 0 3 323.427 4.035 20 0 DIADHN CN(Cc1coc(-c2ccccc2F)n1)[C@@H]1CCC(C)(C)C1 ZINC001307738913 1065596596 /nfs/dbraw/zinc/59/65/96/1065596596.db2.gz QSTXNKREIKUQPL-CQSZACIVSA-N 0 3 302.393 4.491 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2scnc2Cl)C12CCCCC2 ZINC001307743191 1065598835 /nfs/dbraw/zinc/59/88/35/1065598835.db2.gz AXNCGFRPGQLYOS-QWHCGFSZSA-N 0 3 314.882 4.014 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(C)nc2Cl)C12CCCCC2 ZINC001307743686 1065600550 /nfs/dbraw/zinc/60/05/50/1065600550.db2.gz KMNRKQDHWNMHHW-HOTGVXAUSA-N 0 3 322.880 4.261 20 0 DIADHN Cc1cnc(CCN[C@@H](C)c2ccc(F)cc2Cl)c(C)c1 ZINC001307791422 1065623003 /nfs/dbraw/zinc/62/30/03/1065623003.db2.gz OWVYFIULZKMWPN-ZDUSSCGKSA-N 0 3 306.812 4.384 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3c(c2)OC(F)(F)O3)ccc1F ZINC001307792044 1065624380 /nfs/dbraw/zinc/62/43/80/1065624380.db2.gz LGNICRZUDPTCOK-LLVKDONJSA-N 0 3 323.314 4.306 20 0 DIADHN C[C@H]1CN(c2cccc(F)c2)CCN1CC/C=C/c1ccccc1 ZINC001307809542 1065631785 /nfs/dbraw/zinc/63/17/85/1065631785.db2.gz WADZJXCTODVKIY-DNXKIMQPSA-N 0 3 324.443 4.440 20 0 DIADHN CC[C@H](OCCN1CC(C)(C)[C@@H]1[C@@H]1CCCO1)c1ccccc1 ZINC001307815907 1065635557 /nfs/dbraw/zinc/63/55/57/1065635557.db2.gz XUOZZSVMBDSALJ-FHWLQOOXSA-N 0 3 317.473 4.044 20 0 DIADHN Cc1cnccc1CN(C)[C@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC001307822158 1065638064 /nfs/dbraw/zinc/63/80/64/1065638064.db2.gz SSNLJUCUTWYEOA-RBUKOAKNSA-N 0 3 310.441 4.068 20 0 DIADHN CC(C)Oc1ccccc1CN1CCSC2(CCC2)CC1 ZINC001307839658 1065645533 /nfs/dbraw/zinc/64/55/33/1065645533.db2.gz JYAFVXKDYVOCPK-UHFFFAOYSA-N 0 3 305.487 4.335 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@H](C)[C@](C)(OC)C1CC1 ZINC001307843703 1065648149 /nfs/dbraw/zinc/64/81/49/1065648149.db2.gz JKJVUULEJSVKMW-PXAZEXFGSA-N 0 3 311.853 4.032 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CC[C@H](C3CCC3)C2)cc1 ZINC001307846300 1065650091 /nfs/dbraw/zinc/65/00/91/1065650091.db2.gz VDPJEHPOZBKVNK-INIZCTEOSA-N 0 3 314.473 4.045 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cccc(Cl)c1Cl)C1CC1 ZINC001307845458 1065650324 /nfs/dbraw/zinc/65/03/24/1065650324.db2.gz POCIPHIDHSTFIJ-ZUZCIYMTSA-N 0 3 302.245 4.287 20 0 DIADHN CC(C)CCOCCN1CCC[C@@H]1Cc1cc(F)ccc1F ZINC001307849576 1065652366 /nfs/dbraw/zinc/65/23/66/1065652366.db2.gz KDLIHJNBFOEEJX-QGZVFWFLSA-N 0 3 311.416 4.034 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N(C)C[C@@](C)(O)c1ccccc1 ZINC001307858643 1065656429 /nfs/dbraw/zinc/65/64/29/1065656429.db2.gz BRKWGWCFWYAJFA-SCLBCKFNSA-N 0 3 321.823 4.380 20 0 DIADHN COc1cccc(CNC2(c3ccc(Cl)cc3)CC2)c1C ZINC001307864124 1065658862 /nfs/dbraw/zinc/65/88/62/1065658862.db2.gz OVDKIVBAGCGCCI-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN c1cc(-c2ccc(CN3CCOC[C@@H]3C3CCC3)cc2)cs1 ZINC001307867933 1065660255 /nfs/dbraw/zinc/66/02/55/1065660255.db2.gz ISPCGMPAQZOXAT-LJQANCHMSA-N 0 3 313.466 4.416 20 0 DIADHN Clc1ccccc1C1(NCCSc2ccncc2)CC1 ZINC001307866682 1065660524 /nfs/dbraw/zinc/66/05/24/1065660524.db2.gz CCCKXQCWPVZWRN-UHFFFAOYSA-N 0 3 304.846 4.106 20 0 DIADHN CC(C)=CCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@@H](C)[C@H]1C ZINC001307866675 1065660769 /nfs/dbraw/zinc/66/07/69/1065660769.db2.gz CAWDHWQEUKNKTF-OAGGEKHMSA-N 0 3 310.482 4.061 20 0 DIADHN Cc1ccc(C)c(CN(C)Cc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001307883522 1065667748 /nfs/dbraw/zinc/66/77/48/1065667748.db2.gz VIUFQLDWLUDYMS-UHFFFAOYSA-N 0 3 319.351 4.257 20 0 DIADHN CN1CCN(Cc2c(Cl)oc3ccccc32)C[C@H]1C(C)(C)C ZINC001307887382 1065668475 /nfs/dbraw/zinc/66/84/75/1065668475.db2.gz LNORQWYPYZIITM-INIZCTEOSA-N 0 3 320.864 4.248 20 0 DIADHN CC(C)(C)OC(=O)CCN1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC001307898987 1065671270 /nfs/dbraw/zinc/67/12/70/1065671270.db2.gz CVMRTZPMHXFKCY-GOSISDBHSA-N 0 3 313.441 4.112 20 0 DIADHN Cc1nc(CCNC2(c3ccccc3Cl)CCC2)cs1 ZINC001307896933 1065671657 /nfs/dbraw/zinc/67/16/57/1065671657.db2.gz ISXZJUCBCRSSOO-UHFFFAOYSA-N 0 3 306.862 4.316 20 0 DIADHN Cc1cc(CN2CCCC[C@@H]2CC(=O)OC(C)(C)C)cs1 ZINC001307900399 1065673867 /nfs/dbraw/zinc/67/38/67/1065673867.db2.gz GOWUULDNEJQRHX-OAHLLOKOSA-N 0 3 309.475 4.143 20 0 DIADHN COc1ccc(C[C@H]2CCCCN2Cc2ncc(C)s2)cc1 ZINC001307904515 1065676129 /nfs/dbraw/zinc/67/61/29/1065676129.db2.gz COBXTICYYGABQA-MRXNPFEDSA-N 0 3 316.470 4.057 20 0 DIADHN c1ccc([C@H]2CN(C[C@H]3CCCC4(CCC4)O3)CCS2)cc1 ZINC001307904050 1065676356 /nfs/dbraw/zinc/67/63/56/1065676356.db2.gz JRKPDVMFOGRGAL-QZTJIDSGSA-N 0 3 317.498 4.268 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@H]2CCC3(CCC3)O2)cc1F ZINC001307915052 1065680705 /nfs/dbraw/zinc/68/07/05/1065680705.db2.gz RLLNIXFCMKZKIK-WBVHZDCISA-N 0 3 319.420 4.073 20 0 DIADHN CC[C@H](NC[C@@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC001307929486 1065686304 /nfs/dbraw/zinc/68/63/04/1065686304.db2.gz ZGRVCZNMEQANKX-WBVHZDCISA-N 0 3 318.509 4.262 20 0 DIADHN CCC1(CC)CCCN([C@@H]2CCN(c3ccc(C)cc3)C2=O)C1 ZINC001307927353 1065686778 /nfs/dbraw/zinc/68/67/78/1065686778.db2.gz BSRXKOVLJXHOSS-GOSISDBHSA-N 0 3 314.473 4.003 20 0 DIADHN COc1ccc(C(C)(C)NCc2cc(C)ccc2C)cc1F ZINC001307942556 1065690404 /nfs/dbraw/zinc/69/04/04/1065690404.db2.gz SCXHDDQZJDEZIJ-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CCC[C@H](C2CCCCC2)C1 ZINC001307958175 1065699599 /nfs/dbraw/zinc/69/95/99/1065699599.db2.gz MOQWOTHVYCTMTP-WMZOPIPTSA-N 0 3 314.473 4.306 20 0 DIADHN CC1(C)CN(CCc2ccc(Cl)cc2)[C@@H]1c1cccnc1 ZINC001307966404 1065702982 /nfs/dbraw/zinc/70/29/82/1065702982.db2.gz CLSCNTQXCWNEEI-QGZVFWFLSA-N 0 3 300.833 4.361 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2cc(C(=O)OC)sc2C)C1 ZINC001307973648 1065707971 /nfs/dbraw/zinc/70/79/71/1065707971.db2.gz HDJDFQMONOEALC-NNTXTVRGSA-N 0 3 307.459 4.021 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(c2cccc3[nH]ccc32)CC1 ZINC001308000547 1065719268 /nfs/dbraw/zinc/71/92/68/1065719268.db2.gz UIWYNDGCNALCFT-MRXNPFEDSA-N 0 3 305.425 4.051 20 0 DIADHN Cc1cc(C)c(CN[C@@H](Cn2ccnc2)c2ccccc2)c(C)c1 ZINC001308033070 1065734192 /nfs/dbraw/zinc/73/41/92/1065734192.db2.gz KDASTGQVOLIWST-NRFANRHFSA-N 0 3 319.452 4.339 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN(CC/C=C/c1ccccc1)CC2 ZINC001308061539 1065743652 /nfs/dbraw/zinc/74/36/52/1065743652.db2.gz KAEQJHPJYZIPOR-WEVVVXLNSA-N 0 3 320.436 4.107 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC001308071351 1065749731 /nfs/dbraw/zinc/74/97/31/1065749731.db2.gz RPIPFUHBWNKQML-ZWNOBZJWSA-N 0 3 305.290 4.253 20 0 DIADHN Cc1csc(C[C@H]2CCCN(Cc3ccc(F)cc3)C2)n1 ZINC001308086083 1065754991 /nfs/dbraw/zinc/75/49/91/1065754991.db2.gz VAZIPOGQVLVNBE-OAHLLOKOSA-N 0 3 304.434 4.045 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@H](Cc2nccs2)C1 ZINC001308091079 1065758084 /nfs/dbraw/zinc/75/80/84/1065758084.db2.gz XCVZVTWBGVZAFY-CQSZACIVSA-N 0 3 304.434 4.045 20 0 DIADHN COC(=O)[C@@H]1CCN(Cc2ccc(C3CCCC3)cc2)[C@H](C)C1 ZINC001308096674 1065760717 /nfs/dbraw/zinc/76/07/17/1065760717.db2.gz QEMMFXBQDPOEET-DNVCBOLYSA-N 0 3 315.457 4.118 20 0 DIADHN Cc1ccc(CN(CCc2ccccc2)C2CC2)nc1Cl ZINC001308101326 1065763542 /nfs/dbraw/zinc/76/35/42/1065763542.db2.gz ACKAJFFKEKQCKH-UHFFFAOYSA-N 0 3 300.833 4.251 20 0 DIADHN FC(F)(F)COCCN1CCC(CC2CCCCC2)CC1 ZINC001308112236 1065768760 /nfs/dbraw/zinc/76/87/60/1065768760.db2.gz FHWIBRNVXUQJPW-UHFFFAOYSA-N 0 3 307.400 4.248 20 0 DIADHN CN(CCCc1cccc(F)c1)Cc1cc(-c2ccccc2)n[nH]1 ZINC001308143405 1065777606 /nfs/dbraw/zinc/77/76/06/1065777606.db2.gz XHPXIEDPLOTTJL-UHFFFAOYSA-N 0 3 323.415 4.280 20 0 DIADHN FCCC1C[C@H]2CC[C@@H](C1)N2Cc1ccccc1OC(F)F ZINC001308139556 1065778705 /nfs/dbraw/zinc/77/87/05/1065778705.db2.gz GVDJSIAMRQKSLO-LQDVMPOASA-N 0 3 313.363 4.391 20 0 DIADHN Fc1ccc(CN[C@H](c2ccncc2)C2CC2)c2ncccc12 ZINC001308140651 1065778771 /nfs/dbraw/zinc/77/87/71/1065778771.db2.gz WHZSXJJAMBLQNI-SFHVURJKSA-N 0 3 307.372 4.010 20 0 DIADHN COc1ccccc1OCCNCc1cc(C(C)(C)C)oc1C ZINC001308179306 1065791049 /nfs/dbraw/zinc/79/10/49/1065791049.db2.gz BVQLBNOQSKSABH-UHFFFAOYSA-N 0 3 317.429 4.063 20 0 DIADHN Cc1cccc2cc(CNCCCOCC3CC3)c(Cl)nc12 ZINC001308187095 1065798040 /nfs/dbraw/zinc/79/80/40/1065798040.db2.gz QQDCLZIYSZIMQV-UHFFFAOYSA-N 0 3 318.848 4.103 20 0 DIADHN c1sc(-c2ccccn2)nc1CNCCCCc1ccccc1 ZINC001308188706 1065800006 /nfs/dbraw/zinc/80/00/06/1065800006.db2.gz VTRYFCIGPZJSQC-UHFFFAOYSA-N 0 3 323.465 4.318 20 0 DIADHN CCCn1cncc1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC001308190308 1065801932 /nfs/dbraw/zinc/80/19/32/1065801932.db2.gz MYLRZTFMVCWSQI-OAQYLSRUSA-N 0 3 319.452 4.367 20 0 DIADHN COc1ccc(CNCc2cc(C)ccc2C)cc1OC(F)F ZINC001308196700 1065805566 /nfs/dbraw/zinc/80/55/66/1065805566.db2.gz OFFIKMTZINXLCE-UHFFFAOYSA-N 0 3 321.367 4.203 20 0 DIADHN C[C@@H](CNCc1nccs1)Cc1ccc(C(C)(C)C)cc1 ZINC001308216860 1065824822 /nfs/dbraw/zinc/82/48/22/1065824822.db2.gz RARZNSIVSBSXRB-CQSZACIVSA-N 0 3 302.487 4.409 20 0 DIADHN CC(C)COc1cccc(CNCc2ccc3cc[nH]c3c2)c1 ZINC001308220647 1065829288 /nfs/dbraw/zinc/82/92/88/1065829288.db2.gz COLWZJXYLAEWIM-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN c1ccc([C@H](NCc2cccc3cccnc32)[C@@H]2CCCO2)cc1 ZINC001308228113 1065833757 /nfs/dbraw/zinc/83/37/57/1065833757.db2.gz DBYYESJUBHXDIM-FPOVZHCZSA-N 0 3 318.420 4.245 20 0 DIADHN CC(C)(C)[C@H](NCc1cnc2ccccn12)c1cccc(F)c1 ZINC001308229994 1065835509 /nfs/dbraw/zinc/83/55/09/1065835509.db2.gz QYFBQNVNCMWQJB-GOSISDBHSA-N 0 3 311.404 4.350 20 0 DIADHN CC1CCC(C)(NCc2ncc(Br)cc2F)CC1 ZINC001308247925 1065851670 /nfs/dbraw/zinc/85/16/70/1065851670.db2.gz JELHRRRDBCWYEP-UHFFFAOYSA-N 0 3 315.230 4.042 20 0 DIADHN CCN(C)c1ccccc1CNCc1cccc(OC(C)C)c1 ZINC001308249002 1065854515 /nfs/dbraw/zinc/85/45/15/1065854515.db2.gz VTISBMVDTJISCM-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)CC(=O)OC(C)(C)C ZINC001308252431 1065856195 /nfs/dbraw/zinc/85/61/95/1065856195.db2.gz YMFQMHFIJAACRY-LBPRGKRZSA-N 0 3 309.450 4.095 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc2c(c1)OC(F)(F)O2 ZINC001308257893 1065861951 /nfs/dbraw/zinc/86/19/51/1065861951.db2.gz WMQMPNNBAIRMHX-OAHLLOKOSA-N 0 3 317.335 4.094 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2c(Cl)cncc2Cl)C1 ZINC001308269217 1065868375 /nfs/dbraw/zinc/86/83/75/1065868375.db2.gz QLTAOOCJMBIYCU-ZJUUUORDSA-N 0 3 305.274 4.152 20 0 DIADHN COc1cccc(O[C@@H](C)CNCc2cccc(Cl)c2F)c1 ZINC001308286961 1065884271 /nfs/dbraw/zinc/88/42/71/1065884271.db2.gz XKURWISUQCGWFS-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1Cl)[C@@H](O)c1ccccc1F ZINC001308291492 1065888299 /nfs/dbraw/zinc/88/82/99/1065888299.db2.gz UBCOGMBRQQHFMR-MSOLQXFVSA-N 0 3 321.823 4.389 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1Cl)[C@H](O)c1ccccc1F ZINC001308291495 1065888336 /nfs/dbraw/zinc/88/83/36/1065888336.db2.gz UBCOGMBRQQHFMR-ZWKOTPCHSA-N 0 3 321.823 4.389 20 0 DIADHN CCc1ccccc1Oc1ccc(CN[C@@H](C)CO)c(Cl)c1 ZINC001308294146 1065890146 /nfs/dbraw/zinc/89/01/46/1065890146.db2.gz WKCJNVNMNFEGDR-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN CCC1(CNCc2csc(-c3ccc(OC)cc3)n2)CC1 ZINC001308294916 1065891412 /nfs/dbraw/zinc/89/14/12/1065891412.db2.gz GNDMDPHGRMORSY-UHFFFAOYSA-N 0 3 302.443 4.099 20 0 DIADHN COc1cc(Cl)c(CN[C@@H]2C[C@H]2C2CCCCC2)cc1OC ZINC001308294405 1065891567 /nfs/dbraw/zinc/89/15/67/1065891567.db2.gz CTMOXLRDDQZZGM-GOEBONIOSA-N 0 3 323.864 4.416 20 0 DIADHN CO[C@@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)C1(C)C ZINC001308297823 1065892086 /nfs/dbraw/zinc/89/20/86/1065892086.db2.gz LYCYIUOFYYAURN-QWHCGFSZSA-N 0 3 321.770 4.262 20 0 DIADHN COc1ccc(F)cc1CNCC1(OC(C)C)CCCCC1 ZINC001308306330 1065901063 /nfs/dbraw/zinc/90/10/63/1065901063.db2.gz KTWJXGATSRZOKK-UHFFFAOYSA-N 0 3 309.425 4.052 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN[C@H]1CCCC(F)(F)C1 ZINC001308330953 1065917471 /nfs/dbraw/zinc/91/74/71/1065917471.db2.gz QHDQOXAZQCTVJB-JTQLQIEISA-N 0 3 309.278 4.095 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3ccc(F)cc3)cc2)n[nH]1 ZINC001308335989 1065921042 /nfs/dbraw/zinc/92/10/42/1065921042.db2.gz ZCGMCDVNYAMRQX-AWEZNQCLSA-N 0 3 309.388 4.375 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3ccc(F)cc3)cc2)n[nH]1 ZINC001308335990 1065921752 /nfs/dbraw/zinc/92/17/52/1065921752.db2.gz ZCGMCDVNYAMRQX-CQSZACIVSA-N 0 3 309.388 4.375 20 0 DIADHN CC(C)C[C@H](C)NCc1c(F)cc(Br)cc1F ZINC001308341827 1065926690 /nfs/dbraw/zinc/92/66/90/1065926690.db2.gz FTNSTTNBTIJFED-VIFPVBQESA-N 0 3 306.194 4.252 20 0 DIADHN OCCCCCCNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001308347504 1065930327 /nfs/dbraw/zinc/93/03/27/1065930327.db2.gz WKMQBZAYZXDIIF-UHFFFAOYSA-N 0 3 324.877 4.106 20 0 DIADHN Cn1cc(CNC/C=C/c2ccccc2)c(C2CCCCC2)n1 ZINC001308348133 1065931536 /nfs/dbraw/zinc/93/15/36/1065931536.db2.gz DIHZHXWZNYKWAQ-DHZHZOJOSA-N 0 3 309.457 4.271 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3c(F)cccc32)c(C)c1 ZINC001308352926 1065934505 /nfs/dbraw/zinc/93/45/05/1065934505.db2.gz PWPUKRRMPCHGDZ-SFHVURJKSA-N 0 3 315.388 4.146 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3c(F)cccc32)c(C)c1 ZINC001308352913 1065934995 /nfs/dbraw/zinc/93/49/95/1065934995.db2.gz PWPUKRRMPCHGDZ-GOSISDBHSA-N 0 3 315.388 4.146 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@](C)(O)c1ccc(F)cc1 ZINC001308360026 1065939753 /nfs/dbraw/zinc/93/97/53/1065939753.db2.gz LFUZJBAQIJOUTI-GOSISDBHSA-N 0 3 321.823 4.039 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cccc2c1OCCO2 ZINC001308364076 1065942855 /nfs/dbraw/zinc/94/28/55/1065942855.db2.gz QOQPLCNRTZFPPR-QRWLVFNGSA-N 0 3 323.436 4.261 20 0 DIADHN COc1cccc(CNC[C@H](C)Oc2ccc(Cl)cc2)c1F ZINC001308369507 1065947428 /nfs/dbraw/zinc/94/74/28/1065947428.db2.gz NPJBUVQUYORCRC-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC001308385739 1065955082 /nfs/dbraw/zinc/95/50/82/1065955082.db2.gz OZEKKJHVIHDOJY-IBGZPJMESA-N 0 3 316.876 4.349 20 0 DIADHN Cc1ccc(C2(CNCc3cc4n(n3)CCCC4)CCC2)cc1C ZINC001308389885 1065958175 /nfs/dbraw/zinc/95/81/75/1065958175.db2.gz CWEPNBSLJFAALH-UHFFFAOYSA-N 0 3 323.484 4.048 20 0 DIADHN Cc1cscc1CNCc1cc(C)c(C2OCCO2)cc1C ZINC001308392077 1065958761 /nfs/dbraw/zinc/95/87/61/1065958761.db2.gz OXJZEVGTGKIICI-UHFFFAOYSA-N 0 3 317.454 4.009 20 0 DIADHN CCC[C@H](NCc1cccc(Cl)c1F)[C@H](O)c1ccccc1 ZINC001308393506 1065960424 /nfs/dbraw/zinc/96/04/24/1065960424.db2.gz WUPPQRTULXILLS-FUHWJXTLSA-N 0 3 321.823 4.471 20 0 DIADHN Fc1ccc(CC2(NCc3cc(Cl)ccc3F)CC2)cc1 ZINC001308403425 1065966001 /nfs/dbraw/zinc/96/60/01/1065966001.db2.gz DHSFIYJUCUEEEB-UHFFFAOYSA-N 0 3 307.771 4.483 20 0 DIADHN COc1cccc(CNC2(Cc3cccc(Cl)c3)CC2)c1F ZINC001308405841 1065966435 /nfs/dbraw/zinc/96/64/35/1065966435.db2.gz XHHXFCFYZSERIJ-UHFFFAOYSA-N 0 3 319.807 4.353 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@](C)(OC)c1ccccc1 ZINC001308411490 1065969041 /nfs/dbraw/zinc/96/90/41/1065969041.db2.gz QWETWRLOVKHPSK-GOSISDBHSA-N 0 3 319.832 4.000 20 0 DIADHN CC[C@@](C)(CNCc1cc2cccc(C)c2nc1Cl)OC ZINC001308411752 1065971179 /nfs/dbraw/zinc/97/11/79/1065971179.db2.gz GIQOOESBLJVSPK-KRWDZBQOSA-N 0 3 306.837 4.101 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNCC[C@H](C)F ZINC001308423374 1065977733 /nfs/dbraw/zinc/97/77/33/1065977733.db2.gz YIFMAJZSTTYGGW-ZDUSSCGKSA-N 0 3 323.843 4.487 20 0 DIADHN Fc1cccc(CNCC2=CCCCC2)c1OC(F)(F)F ZINC001308421774 1065978654 /nfs/dbraw/zinc/97/86/54/1065978654.db2.gz AXASALHYDSIIDR-UHFFFAOYSA-N 0 3 303.299 4.314 20 0 DIADHN CO[C@H]1C[C@H](NCc2ccc(Cl)c(C)c2Cl)C12CCC2 ZINC001308430202 1065982924 /nfs/dbraw/zinc/98/29/24/1065982924.db2.gz CAMBREHDSCUVCH-KBPBESRZSA-N 0 3 314.256 4.349 20 0 DIADHN Cc1ccc(CNCc2ccc(-c3cc[nH]n3)o2)c2ccccc12 ZINC001308438098 1065988064 /nfs/dbraw/zinc/98/80/64/1065988064.db2.gz WXAGPERGDFFWIX-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN Cc1ccc([C@@H](O)[C@H](C)NCc2ccc(C)cc2Cl)cc1 ZINC001308446594 1065993723 /nfs/dbraw/zinc/99/37/23/1065993723.db2.gz ABVDFSHRTYKVGL-KSSFIOAISA-N 0 3 303.833 4.169 20 0 DIADHN F[C@H]1CCC[C@H](NCc2cccc(Cl)c2Br)C1 ZINC001308447279 1065995472 /nfs/dbraw/zinc/99/54/72/1065995472.db2.gz LLDXWLMBHREMEK-QWRGUYRKSA-N 0 3 320.633 4.473 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@H](C)COCC2CC2)c1Cl ZINC001308455844 1066000283 /nfs/dbraw/zinc/00/02/83/1066000283.db2.gz PXJRVYTWVTZIKD-JTQLQIEISA-N 0 3 302.245 4.207 20 0 DIADHN CC[C@@H](OCCNCc1cc(F)cc(F)c1)c1ccccc1 ZINC001308455716 1066000445 /nfs/dbraw/zinc/00/04/45/1066000445.db2.gz ODQVLMFGJUNZRL-GOSISDBHSA-N 0 3 305.368 4.222 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1ccc(Cl)cc1Cl ZINC001308462406 1066005035 /nfs/dbraw/zinc/00/50/35/1066005035.db2.gz KWKJZABTFVNRRU-AWEZNQCLSA-N 0 3 302.245 4.288 20 0 DIADHN Fc1ccc(CN[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)c(F)c1F ZINC001308471278 1066010550 /nfs/dbraw/zinc/01/05/50/1066010550.db2.gz KSARPBIRBQIYPA-DXCKQFNASA-N 0 3 323.745 4.185 20 0 DIADHN CCC[C@H](CNCc1cccc(F)c1OC(F)(F)F)OCC ZINC001308474157 1066013298 /nfs/dbraw/zinc/01/32/98/1066013298.db2.gz ACCVTZYLACCDNR-GFCCVEGCSA-N 0 3 323.330 4.019 20 0 DIADHN CCn1cnc(Cl)c1CNC[C@]1(c2ccccc2)CC1(C)C ZINC001308473999 1066013523 /nfs/dbraw/zinc/01/35/23/1066013523.db2.gz XUCZRVHHUQNKEO-SFHVURJKSA-N 0 3 317.864 4.014 20 0 DIADHN COc1cc(CN[C@]2(c3ccccc3)CC2(C)C)cc(OC)c1 ZINC001308475093 1066013557 /nfs/dbraw/zinc/01/35/57/1066013557.db2.gz KANPOJIRHGJGAL-FQEVSTJZSA-N 0 3 311.425 4.119 20 0 DIADHN CCn1cnc(Cl)c1CNC[C@@]1(c2ccccc2)CC1(C)C ZINC001308473998 1066013726 /nfs/dbraw/zinc/01/37/26/1066013726.db2.gz XUCZRVHHUQNKEO-GOSISDBHSA-N 0 3 317.864 4.014 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H]1C(C)(C)C1(F)F ZINC001308474876 1066014335 /nfs/dbraw/zinc/01/43/35/1066014335.db2.gz JNECLOFBYITHMC-LBPRGKRZSA-N 0 3 303.780 4.120 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](c1ccccc1)[C@@H](O)C(C)C ZINC001308474894 1066014382 /nfs/dbraw/zinc/01/43/82/1066014382.db2.gz CHOKFVBPMVGJGG-OALUTQOASA-N 0 3 317.860 4.496 20 0 DIADHN CCC[C@@H](CNCc1c(Cl)ccc2cccnc21)OCC ZINC001308475876 1066015653 /nfs/dbraw/zinc/01/56/53/1066015653.db2.gz OXFPGWRKYRXACV-AWEZNQCLSA-N 0 3 306.837 4.183 20 0 DIADHN Brc1c2ccccc2oc1CN[C@@H]1[C@@H]2CCC[C@@H]21 ZINC001308480485 1066018238 /nfs/dbraw/zinc/01/82/38/1066018238.db2.gz ZHTWABOLYQMFGA-KEODLESXSA-N 0 3 306.203 4.083 20 0 DIADHN CS[C@H]1C[C@H](NCc2ccc(Cl)cc2Br)C1 ZINC001308482841 1066019839 /nfs/dbraw/zinc/01/98/39/1066019839.db2.gz WRLOSTLCYYTDQZ-XYPYZODXSA-N 0 3 320.683 4.086 20 0 DIADHN CCCn1cc(CN[C@@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC001308481961 1066020206 /nfs/dbraw/zinc/02/02/06/1066020206.db2.gz FIFPPPMLKSIPRL-GOSISDBHSA-N 0 3 317.864 4.422 20 0 DIADHN COc1cccc(CN[C@@H](c2cccc(C)c2)C(C)C)c1OC ZINC001308486728 1066021969 /nfs/dbraw/zinc/02/19/69/1066021969.db2.gz NAZGRVNHPLZPBG-LJQANCHMSA-N 0 3 313.441 4.499 20 0 DIADHN C=C/C=C\CCNCc1nc(-c2cccc(OC)c2)cs1 ZINC001308505198 1066033378 /nfs/dbraw/zinc/03/33/78/1066033378.db2.gz DSPNFSAGJNWVGY-PLNGDYQASA-N 0 3 300.427 4.041 20 0 DIADHN CC1(C)C[C@]1(C)CNCc1c(Cl)cccc1OC(F)F ZINC001308504747 1066034164 /nfs/dbraw/zinc/03/41/64/1066034164.db2.gz LNPAGWCWQQQOCL-OAHLLOKOSA-N 0 3 303.780 4.467 20 0 DIADHN Cc1cccn2c(CNCc3cccc(OC(C)(C)C)c3)cnc12 ZINC001308509159 1066036346 /nfs/dbraw/zinc/03/63/46/1066036346.db2.gz DNTAKKPVWUURTD-UHFFFAOYSA-N 0 3 323.440 4.110 20 0 DIADHN CCSc1ccccc1CCNCc1ccncc1Cl ZINC001308511831 1066037206 /nfs/dbraw/zinc/03/72/06/1066037206.db2.gz BUMUKGIRUMTXHH-UHFFFAOYSA-N 0 3 306.862 4.179 20 0 DIADHN Cc1cccc2cc(CNC[C@H]3CC(C)(C)CO3)c(Cl)nc12 ZINC001308511291 1066037689 /nfs/dbraw/zinc/03/76/89/1066037689.db2.gz QGENOIQJIWLWQX-OAHLLOKOSA-N 0 3 318.848 4.101 20 0 DIADHN CC1(C)CO[C@@H](CNCc2ccc(-c3c(F)cccc3F)o2)C1 ZINC001308511273 1066038355 /nfs/dbraw/zinc/03/83/55/1066038355.db2.gz PSMGISWKUGMIRH-CYBMUJFWSA-N 0 3 321.367 4.130 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3ccc(OCC4CC4)cc3)ccc2O1 ZINC001308514710 1066041408 /nfs/dbraw/zinc/04/14/08/1066041408.db2.gz QGXHKTQXJCVZIE-OAHLLOKOSA-N 0 3 323.436 4.089 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@@H]1CC(C)(C)CO1 ZINC001308519343 1066043049 /nfs/dbraw/zinc/04/30/49/1066043049.db2.gz HHJOHSZQHQNLSW-CYBMUJFWSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1c(Cl)cccc1CNCc1c[nH]c(=O)c2ccccc12 ZINC001308526031 1066046863 /nfs/dbraw/zinc/04/68/63/1066046863.db2.gz KONHJJANIVKDJZ-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN CC1(C)CCC[C@H](CNCc2ccsc2C(F)(F)F)O1 ZINC001308543070 1066058823 /nfs/dbraw/zinc/05/88/23/1066058823.db2.gz WVFNMZRQTDSNQB-LLVKDONJSA-N 0 3 307.381 4.204 20 0 DIADHN COC1(CNCc2nc3ccc(F)cc3s2)CCCCCC1 ZINC001308544000 1066059068 /nfs/dbraw/zinc/05/90/68/1066059068.db2.gz NRDJZRRKHYXKPA-UHFFFAOYSA-N 0 3 322.449 4.264 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@H]2COC[C@H]2C2CC2)o1 ZINC001308546289 1066061080 /nfs/dbraw/zinc/06/10/80/1066061080.db2.gz ADPZXCQKRMXHMK-RDJZCZTQSA-N 0 3 317.816 4.115 20 0 DIADHN CCc1ccc(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)s1 ZINC001308550344 1066063324 /nfs/dbraw/zinc/06/33/24/1066063324.db2.gz CXLWKRPEGAKLLG-KUHUBIRLSA-N 0 3 315.482 4.185 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2cccc3cc[nH]c32)c2ccccc21 ZINC001308552044 1066064093 /nfs/dbraw/zinc/06/40/93/1066064093.db2.gz SHYAGKQCGDAKID-VFNWGFHPSA-N 0 3 320.436 4.043 20 0 DIADHN Cc1cc(F)ncc1CN1CC[C@H](CSc2ccccc2)C1 ZINC001308561563 1066070681 /nfs/dbraw/zinc/07/06/81/1066070681.db2.gz QAPYICCXYFOEIS-HNNXBMFYSA-N 0 3 316.445 4.143 20 0 DIADHN Cc1cc(F)ncc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC001308561564 1066070717 /nfs/dbraw/zinc/07/07/17/1066070717.db2.gz QAPYICCXYFOEIS-OAHLLOKOSA-N 0 3 316.445 4.143 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1nccn1C1CC1 ZINC001308563924 1066073031 /nfs/dbraw/zinc/07/30/31/1066073031.db2.gz AGBZUVZRDVZJCD-SFHVURJKSA-N 0 3 311.473 4.370 20 0 DIADHN CO[C@H](C)CN(Cc1ccc(Oc2ccccc2)o1)C(C)C ZINC001308567726 1066078082 /nfs/dbraw/zinc/07/80/82/1066078082.db2.gz NZZDMFBUKFRETH-OAHLLOKOSA-N 0 3 303.402 4.317 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1cc(C(=O)OC)c(C(C)C)[nH]1 ZINC001308568584 1066078735 /nfs/dbraw/zinc/07/87/35/1066078735.db2.gz AFNBPVIGRJUWHL-MRXNPFEDSA-N 0 3 320.477 4.469 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1ccc(OC)c(OC)c1F ZINC001308570340 1066080431 /nfs/dbraw/zinc/08/04/31/1066080431.db2.gz OCIHWPSEIJAXLA-OAHLLOKOSA-N 0 3 309.425 4.388 20 0 DIADHN CCCN(Cc1cccc(OC)c1F)[C@@H]1C[C@H](OCC)C1(C)C ZINC001308570887 1066081839 /nfs/dbraw/zinc/08/18/39/1066081839.db2.gz PFVUVLJBCNXJPT-SJORKVTESA-N 0 3 323.452 4.250 20 0 DIADHN CNc1ccccc1CN1CCS[C@@H](C)[C@@H]1c1ccccc1 ZINC001308573511 1066086238 /nfs/dbraw/zinc/08/62/38/1066086238.db2.gz KQABCRMUVYEGBP-HNAYVOBHSA-N 0 3 312.482 4.407 20 0 DIADHN C1=CC[C@@]2(CC1)CCCN(Cc1nc3c(s1)CCCC3)C2 ZINC001308573260 1066087656 /nfs/dbraw/zinc/08/76/56/1066087656.db2.gz DYPNLUFYSUYFBV-GOSISDBHSA-N 0 3 302.487 4.344 20 0 DIADHN COc1cc(F)c(CN2CCC[C@@H](C(C)(C)C)CC2)cc1OC ZINC001308573788 1066088902 /nfs/dbraw/zinc/08/89/02/1066088902.db2.gz LDDLGJDZNKHMNC-OAHLLOKOSA-N 0 3 323.452 4.491 20 0 DIADHN CC(C)C1(CN(C)Cc2csc(Br)c2)CC1 ZINC001308576782 1066089485 /nfs/dbraw/zinc/08/94/85/1066089485.db2.gz CGNJMCYPXDZZNU-UHFFFAOYSA-N 0 3 302.281 4.379 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC[C@H](C(C)C)CC1 ZINC001308575609 1066092180 /nfs/dbraw/zinc/09/21/80/1066092180.db2.gz VQZCCGFZQNXVPP-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(F)ccc2OC)C1(CC)CC ZINC001308578480 1066092919 /nfs/dbraw/zinc/09/29/19/1066092919.db2.gz UNJHHSYOVZDUQF-ROUUACIJSA-N 0 3 323.452 4.250 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)CCN2Cc2cccc3c2OCC3)o1 ZINC001308577833 1066094639 /nfs/dbraw/zinc/09/46/39/1066094639.db2.gz MCVMZGBVIIRPPP-RDTXWAMCSA-N 0 3 311.425 4.496 20 0 DIADHN CCCN(CC(=O)OCC)CC1CCC2(CCCCC2)CC1 ZINC001308580132 1066095599 /nfs/dbraw/zinc/09/55/99/1066095599.db2.gz NUFLMZKXFHPPRX-UHFFFAOYSA-N 0 3 309.494 4.402 20 0 DIADHN C=Cn1cc(CN2CCCCC[C@H]2/C=C\c2ccccc2)cn1 ZINC001308581723 1066096519 /nfs/dbraw/zinc/09/65/19/1066096519.db2.gz FHSNPEAHPPUBNN-CBUFDYBVSA-N 0 3 307.441 4.442 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN1CCC[C@@H]1C1CC1 ZINC001308582458 1066097979 /nfs/dbraw/zinc/09/79/79/1066097979.db2.gz CWXPUOOHWIDWEB-OAHLLOKOSA-N 0 3 313.272 4.434 20 0 DIADHN CCN(CCc1ccccc1)Cc1ccnn1-c1ccc(F)cc1 ZINC001308587370 1066100708 /nfs/dbraw/zinc/10/07/08/1066100708.db2.gz IFPRQINVQXDLPR-UHFFFAOYSA-N 0 3 323.415 4.076 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)[C@@H](C)CCSC ZINC001308588370 1066101663 /nfs/dbraw/zinc/10/16/63/1066101663.db2.gz MPDPWSDFDAFAKP-LBPRGKRZSA-N 0 3 301.883 4.312 20 0 DIADHN CCC[C@@H]1CCCN(Cc2cc(OC)c(OC)cc2Cl)C1 ZINC001308594493 1066106967 /nfs/dbraw/zinc/10/69/67/1066106967.db2.gz NYLNOTHMSXVVRF-CYBMUJFWSA-N 0 3 311.853 4.369 20 0 DIADHN Cc1ccccc1[C@H]1CN(C[C@H]2CCc3ccccc32)CCO1 ZINC001308606062 1066113176 /nfs/dbraw/zinc/11/31/76/1066113176.db2.gz XBHHUMGTHOTJDW-WIYYLYMNSA-N 0 3 307.437 4.098 20 0 DIADHN Cc1ccccc1-n1cc(CN2CCC(CC(C)C)CC2)cn1 ZINC001308604147 1066113438 /nfs/dbraw/zinc/11/34/38/1066113438.db2.gz PYCTZGGNDZDREI-UHFFFAOYSA-N 0 3 311.473 4.439 20 0 DIADHN COc1cc(CN(Cc2ccc(F)cc2)C(C)C)ccc1F ZINC001308609979 1066116179 /nfs/dbraw/zinc/11/61/79/1066116179.db2.gz WKEVLAKXEMAELI-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@@H]2c2ccccc2)c2c(n1)CCCC2 ZINC001308609905 1066116505 /nfs/dbraw/zinc/11/65/05/1066116505.db2.gz VEPCNQLIXMKSJE-YCRPNKLZSA-N 0 3 306.453 4.462 20 0 DIADHN Cc1cc(F)ncc1CN(C)CCCOc1cccc(Cl)c1 ZINC001308613065 1066117316 /nfs/dbraw/zinc/11/73/16/1066117316.db2.gz ZBCDSXZDOTYSDX-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN COc1ccc(CCCN(C)Cc2cccc(C)c2F)cc1 ZINC001308611459 1066117380 /nfs/dbraw/zinc/11/73/80/1066117380.db2.gz IRVZMLQDPYIMPI-UHFFFAOYSA-N 0 3 301.405 4.207 20 0 DIADHN Cc1cccc2c1CCN(C[C@H](C)c1cc(F)cc(F)c1)C2 ZINC001308616108 1066120982 /nfs/dbraw/zinc/12/09/82/1066120982.db2.gz QGKSMMWKSYNZOW-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN C[C@]1(CN(Cc2ccco2)Cc2ccc(O)cc2)CCCS1 ZINC001308619953 1066122124 /nfs/dbraw/zinc/12/21/24/1066122124.db2.gz RVKIFMNPIMTZDS-GOSISDBHSA-N 0 3 317.454 4.273 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1sc(N2CCCC2)nc1Cl ZINC001308618795 1066122487 /nfs/dbraw/zinc/12/24/87/1066122487.db2.gz HVOAJJZERYUNAE-NWDGAFQWSA-N 0 3 313.898 4.017 20 0 DIADHN Cc1cc(CN2CCC(C(F)(F)F)CC2)c2c(n1)CCCC2 ZINC001308627851 1066125022 /nfs/dbraw/zinc/12/50/22/1066125022.db2.gz PNZAXMMNLMBJEQ-UHFFFAOYSA-N 0 3 312.379 4.043 20 0 DIADHN CC(C)N(C)c1ccc(CN2CC[C@@H](C)[C@@H]3CCCC[C@@H]32)cn1 ZINC001308630734 1066125988 /nfs/dbraw/zinc/12/59/88/1066125988.db2.gz LZBYDGAFQRQSRX-NEWSRXKRSA-N 0 3 315.505 4.327 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)C[C@H]1CCCC(C)(C)C1 ZINC001308629894 1066126541 /nfs/dbraw/zinc/12/65/41/1066126541.db2.gz MPUUWDHRGLCCDZ-AWEZNQCLSA-N 0 3 322.880 4.427 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CN(C)Cc1nc2c(s1)CCCC2 ZINC001308629203 1066126777 /nfs/dbraw/zinc/12/67/77/1066126777.db2.gz MMTTZLQIAVYRTD-HUUCEWRRSA-N 0 3 304.503 4.446 20 0 DIADHN CCc1cccc(CN(C)Cc2ccccc2N2CCCC2)c1 ZINC001308644651 1066133804 /nfs/dbraw/zinc/13/38/04/1066133804.db2.gz AAWKYLFFFLDCHI-UHFFFAOYSA-N 0 3 308.469 4.481 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC001308650121 1066136205 /nfs/dbraw/zinc/13/62/05/1066136205.db2.gz KFBSMTOMBYCBPF-UKRRQHHQSA-N 0 3 321.848 4.139 20 0 DIADHN CCSc1cccc(CN2CC[C@@H](C(C)(C)C)[C@@H](O)C2)c1 ZINC001308651648 1066137828 /nfs/dbraw/zinc/13/78/28/1066137828.db2.gz ADZZFRGNTHZCNL-SJORKVTESA-N 0 3 307.503 4.028 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1ccc(Cl)cc1C ZINC001308658580 1066140467 /nfs/dbraw/zinc/14/04/67/1066140467.db2.gz NZZGVBOBMUIVRZ-UHFFFAOYSA-N 0 3 317.816 4.399 20 0 DIADHN CO[C@@H](CC(C)C)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC001308661783 1066143169 /nfs/dbraw/zinc/14/31/69/1066143169.db2.gz COKOYIRVNPXHMG-ZDUSSCGKSA-N 0 3 304.261 4.486 20 0 DIADHN Cc1csc2nc(C)c(CN3CC[C@H](C)C4(CCC4)C3)n12 ZINC001308676538 1066150591 /nfs/dbraw/zinc/15/05/91/1066150591.db2.gz NHVLUSXGEFMLTP-LBPRGKRZSA-N 0 3 303.475 4.025 20 0 DIADHN Cc1csc2nc(C)c(CN3CC[C@@H](C)C4(CCC4)C3)n12 ZINC001308676534 1066150975 /nfs/dbraw/zinc/15/09/75/1066150975.db2.gz NHVLUSXGEFMLTP-GFCCVEGCSA-N 0 3 303.475 4.025 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@H]1CC[C@@H](c3ccccc3)C1)CO2 ZINC001308684660 1066155108 /nfs/dbraw/zinc/15/51/08/1066155108.db2.gz KSVDXVMFJJBZMI-KMFMINBZSA-N 0 3 315.363 4.324 20 0 DIADHN CCn1ccc(CN(C)Cc2cc(C)cc(Br)c2)c1 ZINC001308689764 1066182427 /nfs/dbraw/zinc/18/24/27/1066182427.db2.gz WVXUYKMQPUISQL-UHFFFAOYSA-N 0 3 321.262 4.211 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCC[C@@H]1C(=O)OC(C)(C)C ZINC001308689984 1066182225 /nfs/dbraw/zinc/18/22/25/1066182225.db2.gz SLHKHBLJRHEMFL-NVXWUHKLSA-N 0 3 309.494 4.399 20 0 DIADHN COC(=O)C(C)(C)CCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC001308704068 1066188973 /nfs/dbraw/zinc/18/89/73/1066188973.db2.gz PKAHQFSJHBKDTE-JTQLQIEISA-N 0 3 318.244 4.233 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC[C@H](C(C)(C)C)C1 ZINC001308719282 1066197363 /nfs/dbraw/zinc/19/73/63/1066197363.db2.gz ZMHIIUMHTVGTIM-ZDUSSCGKSA-N 0 3 309.425 4.101 20 0 DIADHN COc1cc(CN2CCC[C@H](C(C)(C)C)C2)c(Cl)cc1O ZINC001308719098 1066197572 /nfs/dbraw/zinc/19/75/72/1066197572.db2.gz XDEPEWNRQNJAEC-ZDUSSCGKSA-N 0 3 311.853 4.312 20 0 DIADHN COC(=O)C(C)(C)CCN1CCCC[C@H]1c1cccc(Cl)c1 ZINC001308725793 1066200126 /nfs/dbraw/zinc/20/01/26/1066200126.db2.gz UKNWWVAOPMWWCL-INIZCTEOSA-N 0 3 323.864 4.456 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COC(C)(C)O1)c1ccc(Cl)cc1Cl ZINC001308726309 1066203061 /nfs/dbraw/zinc/20/30/61/1066203061.db2.gz FVOYNUSTGLGHGB-PKFCDNJMSA-N 0 3 318.244 4.184 20 0 DIADHN CSC1CC(N[C@@H]2CCOc3c(Cl)c(Cl)ccc32)C1 ZINC001308740616 1066215094 /nfs/dbraw/zinc/21/50/94/1066215094.db2.gz DYTNNTLFAUNXTQ-SHVIVCPWSA-N 0 3 318.269 4.301 20 0 DIADHN COc1cc(CN2C[C@@H](C(C)C)[C@@H]2C(C)C)c(Cl)cc1O ZINC001308762542 1066233836 /nfs/dbraw/zinc/23/38/36/1066233836.db2.gz DZRXPCUZRQDMLB-GUYCJALGSA-N 0 3 311.853 4.167 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@@H]2c2ccc(Cl)cc2)co1 ZINC001308769106 1066241320 /nfs/dbraw/zinc/24/13/20/1066241320.db2.gz FLCLAQURORINHO-CVEARBPZSA-N 0 3 304.821 4.316 20 0 DIADHN CO[C@H]1CCN(CCCc2c(Cl)cccc2Cl)C[C@H]1C ZINC001308774313 1066247501 /nfs/dbraw/zinc/24/75/01/1066247501.db2.gz SWUFZLUVBRSUKT-WBMJQRKESA-N 0 3 316.272 4.283 20 0 DIADHN FC(F)Sc1ccc(CN2CCSC[C@@H]2C2CC2)cc1 ZINC001308776320 1066251133 /nfs/dbraw/zinc/25/11/33/1066251133.db2.gz VXOSBJNEPKOAAG-CQSZACIVSA-N 0 3 315.454 4.329 20 0 DIADHN Cc1c(Cl)cccc1CN(C)[C@H](CO)c1ccc(Cl)cc1 ZINC001308779069 1066252344 /nfs/dbraw/zinc/25/23/44/1066252344.db2.gz XSRGBHZNOUHMAD-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN CC(C)(CN1CC(C)(C)[C@@H]1c1cccnc1)Oc1ccccc1 ZINC001308779122 1066252949 /nfs/dbraw/zinc/25/29/49/1066252949.db2.gz LPAZGOHJUUNFAJ-SFHVURJKSA-N 0 3 310.441 4.322 20 0 DIADHN Cc1cc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)c(C)o1 ZINC001308788441 1066263611 /nfs/dbraw/zinc/26/36/11/1066263611.db2.gz HYMOLGWZKQRACY-QGZVFWFLSA-N 0 3 305.805 4.203 20 0 DIADHN CCCC[C@@H](C)NCc1nc2ccc(Br)cc2o1 ZINC001308788923 1066263792 /nfs/dbraw/zinc/26/37/92/1066263792.db2.gz XSXQESPDWISZAB-SNVBAGLBSA-N 0 3 311.223 4.259 20 0 DIADHN Oc1cccc2sc(CN3CC(c4ccc(F)cc4)C3)cc21 ZINC001308807190 1066284273 /nfs/dbraw/zinc/28/42/73/1066284273.db2.gz PPBBXLJDMXEWKJ-UHFFFAOYSA-N 0 3 313.397 4.345 20 0 DIADHN CCN(Cc1occc1C)Cc1ccc(C)cc1-c1ccnn1C ZINC001308822484 1066297913 /nfs/dbraw/zinc/29/79/13/1066297913.db2.gz GKQPXTAVJRSRNC-UHFFFAOYSA-N 0 3 323.440 4.319 20 0 DIADHN Fc1ccc(F)c(C[C@H]2CCCN2CCCC(F)(F)F)c1 ZINC001308823689 1066299181 /nfs/dbraw/zinc/29/91/81/1066299181.db2.gz PYJAAEYOAVXMSE-CYBMUJFWSA-N 0 3 307.306 4.314 20 0 DIADHN Oc1ccc(CNC2(c3cccc(Cl)c3)CCC2)cc1F ZINC001308833505 1066308531 /nfs/dbraw/zinc/30/85/31/1066308531.db2.gz ZGDLYITUABHNJX-UHFFFAOYSA-N 0 3 305.780 4.354 20 0 DIADHN [O-]c1ccc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)cc1F ZINC001308833505 1066308547 /nfs/dbraw/zinc/30/85/47/1066308547.db2.gz ZGDLYITUABHNJX-UHFFFAOYSA-N 0 3 305.780 4.354 20 0 DIADHN Cc1nn(C)c(CN2CC[C@@H]([C@@H]3CCCC3(C)C)C2)c1Cl ZINC001308835553 1066312058 /nfs/dbraw/zinc/31/20/58/1066312058.db2.gz XVGFCGFCTLHQIQ-KGLIPLIRSA-N 0 3 309.885 4.030 20 0 DIADHN Cc1nn(C)c(CN2CC[C@H]([C@H]3CCCC3(C)C)C2)c1Cl ZINC001308835554 1066312794 /nfs/dbraw/zinc/31/27/94/1066312794.db2.gz XVGFCGFCTLHQIQ-UONOGXRCSA-N 0 3 309.885 4.030 20 0 DIADHN Fc1ccc(CCN2CCC[C@@]3(CC3(F)F)C2)c(Cl)c1 ZINC001308845307 1066320027 /nfs/dbraw/zinc/32/00/27/1066320027.db2.gz GPZWVDSBHJQWDC-CQSZACIVSA-N 0 3 303.755 4.143 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-c3ccc(F)cc3)o2)C[C@H](C)O1 ZINC001308864619 1066343073 /nfs/dbraw/zinc/34/30/73/1066343073.db2.gz LKPXGQBNYAASFE-KGLIPLIRSA-N 0 3 303.377 4.085 20 0 DIADHN CCC(CC)CCCN(C)Cc1cc2c(cc1OC)OCO2 ZINC001308868737 1066349761 /nfs/dbraw/zinc/34/97/61/1066349761.db2.gz JDNNQIGLURRYPA-UHFFFAOYSA-N 0 3 307.434 4.072 20 0 DIADHN COCCC1CCN(Cc2ccccc2CC(F)(F)F)CC1 ZINC001308875078 1066355994 /nfs/dbraw/zinc/35/59/94/1066355994.db2.gz UCZCFDDWPGCZBG-UHFFFAOYSA-N 0 3 315.379 4.040 20 0 DIADHN Cc1oc2ccccc2c1CN1Cc2c[nH]nc2CC(C)(C)C1 ZINC001308882350 1066363810 /nfs/dbraw/zinc/36/38/10/1066363810.db2.gz GLSDBBLNJZHBQD-UHFFFAOYSA-N 0 3 309.413 4.049 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc(C(C)(C)C)o1 ZINC001308901493 1066380198 /nfs/dbraw/zinc/38/01/98/1066380198.db2.gz ILUZCWBTFOGFER-KSSFIOAISA-N 0 3 301.430 4.443 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@@H](c2ccc(OC)cc2)C1 ZINC001308900805 1066380294 /nfs/dbraw/zinc/38/02/94/1066380294.db2.gz AJCHUCYHTJJGEK-IMFGXOCKSA-N 0 3 317.473 4.285 20 0 DIADHN CCOc1ccc(CN2CC([C@H]3CCC[C@@H](C)C3)C2)cc1OC ZINC001308910700 1066387587 /nfs/dbraw/zinc/38/75/87/1066387587.db2.gz AFHFFBRXXWIKAZ-WBVHZDCISA-N 0 3 317.473 4.352 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1noc2cc(F)ccc21 ZINC001308912929 1066392746 /nfs/dbraw/zinc/39/27/46/1066392746.db2.gz IGMSAWGSZIBUFR-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN Cc1ccc(CN2CCC[C@H](Cc3nccs3)C2)c(F)c1 ZINC001308946213 1066412613 /nfs/dbraw/zinc/41/26/13/1066412613.db2.gz WYIFCLDERYPSSB-CQSZACIVSA-N 0 3 304.434 4.045 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC[C@@H](c3ccn(C)n3)C2)cc1C ZINC001308945842 1066413786 /nfs/dbraw/zinc/41/37/86/1066413786.db2.gz RMTFUKUUTHVDKH-ZEZYUPADSA-N 0 3 323.484 4.238 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2C[C@H]3CCC[C@H]32)c(Cl)n1 ZINC001308974425 1066427794 /nfs/dbraw/zinc/42/77/94/1066427794.db2.gz HXALZQZTBQZILF-FBIMIBRVSA-N 0 3 304.743 4.032 20 0 DIADHN Cc1cccnc1[C@H](C)N[C@@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC001308976743 1066429596 /nfs/dbraw/zinc/42/95/96/1066429596.db2.gz BZVHWHZCDWFNOB-CGTJXYLNSA-N 0 3 310.441 4.287 20 0 DIADHN CN(Cc1ccsc1C(F)(F)F)C[C@]12CCC[C@H]1OCC2 ZINC001308983515 1066431119 /nfs/dbraw/zinc/43/11/19/1066431119.db2.gz QQYWKGWIDAYJHS-TZMCWYRMSA-N 0 3 319.392 4.158 20 0 DIADHN COc1ccc(CNCc2cc(Br)ccc2C)cc1 ZINC000190295538 334927682 /nfs/dbraw/zinc/92/76/82/334927682.db2.gz USMCFBBRQHIAJY-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN COC(=O)c1ccc(CN2CCCCC[C@@H]2c2ccco2)cc1 ZINC000078830577 185117245 /nfs/dbraw/zinc/11/72/45/185117245.db2.gz GEWOGXRPFGVMOM-QGZVFWFLSA-N 0 3 313.397 4.184 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cccc(C(F)(F)F)c1 ZINC000078943030 185132965 /nfs/dbraw/zinc/13/29/65/185132965.db2.gz WHKQEUYPILNZOD-HNNXBMFYSA-N 0 3 307.315 4.188 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000087407760 185224079 /nfs/dbraw/zinc/22/40/79/185224079.db2.gz MHSWFJVRQWUIMF-PXAZEXFGSA-N 0 3 305.853 4.430 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)c1cccc(Cl)c1 ZINC000090173326 185284849 /nfs/dbraw/zinc/28/48/49/185284849.db2.gz SCEICUGVBKTMFD-DYVFJYSZSA-N 0 3 321.852 4.156 20 0 DIADHN Cc1sc(CCN[C@H](C)c2ccccn2)nc1-c1ccccc1 ZINC000090399959 185294229 /nfs/dbraw/zinc/29/42/29/185294229.db2.gz XOLXZBQYJPJVHH-CQSZACIVSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1sc(CCN[C@@H](C)c2ccncc2)nc1-c1ccccc1 ZINC000090399964 185294373 /nfs/dbraw/zinc/29/43/73/185294373.db2.gz VSBUDPKAEOXGPA-AWEZNQCLSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000090728427 185311723 /nfs/dbraw/zinc/31/17/23/185311723.db2.gz FMTSNOMKNLFSRT-JQWIXIFHSA-N 0 3 319.474 4.081 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cn(C)nc2C(F)(F)F)c2ccccc21 ZINC000090666531 185308512 /nfs/dbraw/zinc/30/85/12/185308512.db2.gz FWJPOUXZQOWSAY-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@H](C)c2ccccc2C)s1 ZINC000092719946 185336277 /nfs/dbraw/zinc/33/62/77/185336277.db2.gz LTPHJALDSRMMEK-ZIAGYGMSSA-N 0 3 318.486 4.361 20 0 DIADHN CCCC1(C(=O)N(CC)Cc2ccc(CN(C)C)cc2)CCC1 ZINC000343292884 334939324 /nfs/dbraw/zinc/93/93/24/334939324.db2.gz FSKHUEHKPVWLRC-UHFFFAOYSA-N 0 3 316.489 4.067 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NCc2ccc(C)cc2C)s1 ZINC000092739833 185337705 /nfs/dbraw/zinc/33/77/05/185337705.db2.gz UECQZKXNMFVRGH-CQSZACIVSA-N 0 3 318.486 4.108 20 0 DIADHN CC[C@](C)(NCCCCCn1cc(C)cn1)c1nc(C)cs1 ZINC000072864322 191244284 /nfs/dbraw/zinc/24/42/84/191244284.db2.gz GMIFOVQDRNYJMY-KRWDZBQOSA-N 0 3 320.506 4.042 20 0 DIADHN CCC[C@H](CC)N[C@@H](C(=O)NCc1ccccc1)c1ccccc1 ZINC000520125126 334974964 /nfs/dbraw/zinc/97/49/64/334974964.db2.gz FKTBGLINQOMKGO-VQTJNVASSA-N 0 3 324.468 4.212 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@@H](C)[C@H](N)c2ccccc2)c1 ZINC000236789482 202010344 /nfs/dbraw/zinc/01/03/44/202010344.db2.gz XBPSDLWZUHAGHQ-KXBFYZLASA-N 0 3 310.441 4.109 20 0 DIADHN CSc1cc(CN[C@H]2CCCc3c4ccccc4[nH]c32)ccn1 ZINC000563748667 334985438 /nfs/dbraw/zinc/98/54/38/334985438.db2.gz QDPHUVRPGWBBEH-KRWDZBQOSA-N 0 3 323.465 4.452 20 0 DIADHN CC(C)(C)[C@H](CCO)NCc1ccc(F)c(Cl)c1Cl ZINC000564537121 334985450 /nfs/dbraw/zinc/98/54/50/334985450.db2.gz OFSHMNSTPUXICA-NSHDSACASA-N 0 3 308.224 4.019 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(C[S@@](C)=O)cc2)c(C)s1 ZINC000353794108 334989267 /nfs/dbraw/zinc/98/92/67/334989267.db2.gz KVUWMJDYYLRDRO-YEJXKQKISA-N 0 3 321.511 4.094 20 0 DIADHN COc1ccc([C@H](C)CCN[C@H](C)c2cn3ccccc3n2)cc1 ZINC000565551285 370704525 /nfs/dbraw/zinc/70/45/25/370704525.db2.gz WJVGFVHKCMPCMP-HZPDHXFCSA-N 0 3 323.440 4.187 20 0 DIADHN Cc1ccc([C@H](NCc2ccnc(N3CCCC3)c2)C2CC2)cc1 ZINC000091283138 193030429 /nfs/dbraw/zinc/03/04/29/193030429.db2.gz DZGQKVDYTRWIIL-NRFANRHFSA-N 0 3 321.468 4.231 20 0 DIADHN CC(C)[C@H](NCc1ccnc(N2CCCC2)c1)c1ccccc1 ZINC000091283156 193030568 /nfs/dbraw/zinc/03/05/68/193030568.db2.gz RUUMNNAKWVRKMY-FQEVSTJZSA-N 0 3 309.457 4.169 20 0 DIADHN CN(C)Cc1cc(CN[C@H](c2ccccc2)C2CC2)ccc1F ZINC000091340392 193043315 /nfs/dbraw/zinc/04/33/15/193043315.db2.gz XOMJDNIOAHVMPF-HXUWFJFHSA-N 0 3 312.432 4.128 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2CN[C@@H](C)c2nccs2)cc1 ZINC000091731302 193098111 /nfs/dbraw/zinc/09/81/11/193098111.db2.gz BISGUOQQGULURU-BHYGNILZSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)[C@H](C)c3ccncc3)cc2)n1 ZINC000091989280 193138149 /nfs/dbraw/zinc/13/81/49/193138149.db2.gz RUQVRJMVPKORPT-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN CC[C@@H](NCc1ccc(NCCOC)cc1)c1cccc(F)c1 ZINC000525860359 334999276 /nfs/dbraw/zinc/99/92/76/334999276.db2.gz CTLCWRVARRHFLE-LJQANCHMSA-N 0 3 316.420 4.125 20 0 DIADHN C[C@@H]1CCC[C@@H](C)C1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000182725688 335002757 /nfs/dbraw/zinc/00/27/57/335002757.db2.gz LGEXWLIBFYCSCB-VXGBXAGGSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1ccc(OC(F)(F)F)cc1 ZINC000308727972 335000937 /nfs/dbraw/zinc/00/09/37/335000937.db2.gz HPLQOFYJPZEGGZ-LLVKDONJSA-N 0 3 305.340 4.051 20 0 DIADHN CC[C@@H](NCCOC(C)(C)C)c1cccc(Br)c1 ZINC000308729564 335001209 /nfs/dbraw/zinc/00/12/09/335001209.db2.gz IOSMPBSHWGVMCQ-CQSZACIVSA-N 0 3 314.267 4.305 20 0 DIADHN CC[C@@H](NCCOC(C)(C)C)c1ccc(Br)cc1 ZINC000308740600 335006604 /nfs/dbraw/zinc/00/66/04/335006604.db2.gz OMYDRIQMZMMGHR-CQSZACIVSA-N 0 3 314.267 4.305 20 0 DIADHN CN(C(=O)C1(C2CCCCC2)CCCCC1)C1CCN(C)CC1 ZINC000498064934 335017152 /nfs/dbraw/zinc/01/71/52/335017152.db2.gz NKXTWIMEXPGZMX-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H]1CCCCN1CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000016334071 182041343 /nfs/dbraw/zinc/04/13/43/182041343.db2.gz ZXDIEOCQHHMHDG-OXJNMPFZSA-N 0 3 324.468 4.282 20 0 DIADHN CC[C@@]1(CN[C@H](C)c2ccsc2)OCCc2ccccc21 ZINC000563802092 335020265 /nfs/dbraw/zinc/02/02/65/335020265.db2.gz MHFGVDAIHAQVSN-KDOFPFPSSA-N 0 3 301.455 4.277 20 0 DIADHN CCCOc1ccc(Br)cc1CNCCC(C)C ZINC000020929517 182254716 /nfs/dbraw/zinc/25/47/16/182254716.db2.gz OFHNVFRGFRMNNB-UHFFFAOYSA-N 0 3 314.267 4.374 20 0 DIADHN CCN(Cc1ncc(C)cn1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000535554581 335037453 /nfs/dbraw/zinc/03/74/53/335037453.db2.gz XVGULDDFPIWFDG-SFHVURJKSA-N 0 3 315.436 4.013 20 0 DIADHN C[C@@H](N[C@@H]1CCN(C)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000042545790 183283155 /nfs/dbraw/zinc/28/31/55/183283155.db2.gz AURYHMSXOKUYNT-RKDXNWHRSA-N 0 3 307.652 4.002 20 0 DIADHN CC[C@H]1CCCCN1Cc1coc(-c2ccc(OC)cc2)n1 ZINC000042737464 183303960 /nfs/dbraw/zinc/30/39/60/183303960.db2.gz PNHRHBOHAIVITN-INIZCTEOSA-N 0 3 300.402 4.115 20 0 DIADHN CC(C)(C)C[C@H](NCc1ccc(C(N)=O)cc1)c1ccccc1 ZINC000042956921 183322810 /nfs/dbraw/zinc/32/28/10/183322810.db2.gz XCKAMSXDIUNMOS-SFHVURJKSA-N 0 3 310.441 4.053 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1cccnc1 ZINC000563836449 335038687 /nfs/dbraw/zinc/03/86/87/335038687.db2.gz RLOPMUZOWFLFOR-VAMGGRTRSA-N 0 3 310.441 4.289 20 0 DIADHN CSc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)s1 ZINC000563839527 335040272 /nfs/dbraw/zinc/04/02/72/335040272.db2.gz CIBWNGSHSMZAIL-AWEZNQCLSA-N 0 3 309.504 4.081 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](c2ccccc2)C2CCC2)c1 ZINC000104702575 194028843 /nfs/dbraw/zinc/02/88/43/194028843.db2.gz QIXOEMANKUIQRK-HXUWFJFHSA-N 0 3 308.425 4.276 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H]2CCCc3sccc32)s1 ZINC000061360081 184169009 /nfs/dbraw/zinc/16/90/09/184169009.db2.gz NSFORCIPCZDVDW-CQSZACIVSA-N 0 3 321.515 4.218 20 0 DIADHN CC[C@@H](NCc1ccc(C)nc1)c1ccc(Br)cc1 ZINC000062051167 184202799 /nfs/dbraw/zinc/20/27/99/184202799.db2.gz QHNYRVTXJRFBLG-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1cscn1 ZINC000190689295 335047227 /nfs/dbraw/zinc/04/72/27/335047227.db2.gz RGUDQIGWUMRVJN-UHFFFAOYSA-N 0 3 314.376 4.273 20 0 DIADHN CC[C@H](NCc1ccc2cnn(C)c2c1)c1cccc(Cl)c1 ZINC000563845652 335047871 /nfs/dbraw/zinc/04/78/71/335047871.db2.gz RRYVFBISSAHEHL-KRWDZBQOSA-N 0 3 313.832 4.468 20 0 DIADHN Cc1cc([C@@H](C)NC2CC(F)(F)C2)sc1Br ZINC000286237097 131225429 /nfs/dbraw/zinc/22/54/29/131225429.db2.gz ZJNTTYAZFBTAPJ-SSDOTTSWSA-N 0 3 310.207 4.267 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@@H]1C ZINC000194031964 335059047 /nfs/dbraw/zinc/05/90/47/335059047.db2.gz GEXMBFKNHSEKQT-JMSVASOKSA-N 0 3 319.836 4.304 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000074333544 184973177 /nfs/dbraw/zinc/97/31/77/184973177.db2.gz TYKMNLIVEUCXAM-LBPRGKRZSA-N 0 3 307.340 4.147 20 0 DIADHN CC(C)[C@@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccccc1 ZINC000271628475 129942774 /nfs/dbraw/zinc/94/27/74/129942774.db2.gz CLDKNPUKOGAKKM-HXUWFJFHSA-N 0 3 322.452 4.066 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(SC(F)F)ccc21)c1cn[nH]c1 ZINC000271755826 129957186 /nfs/dbraw/zinc/95/71/86/129957186.db2.gz YSAVHWWLPXZTEO-BMIGLBTASA-N 0 3 323.412 4.453 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1cccc2cc[nH]c21 ZINC000271956468 129984209 /nfs/dbraw/zinc/98/42/09/129984209.db2.gz FNTZVTZQOFDIEI-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN OCCC1(CN[C@@H](c2ccccc2)c2ccccn2)CCCCC1 ZINC000272425662 130029480 /nfs/dbraw/zinc/02/94/80/130029480.db2.gz MNXXBFPALDYKDX-FQEVSTJZSA-N 0 3 324.468 4.094 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCc3cc(C)ccc32)cc1 ZINC000272749794 130060123 /nfs/dbraw/zinc/06/01/23/130060123.db2.gz NDZAGCLZFDKASU-JLTOFOAXSA-N 0 3 308.425 4.292 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3F)cc1 ZINC000272782675 130063449 /nfs/dbraw/zinc/06/34/49/130063449.db2.gz WFPHVRLTRUCKOX-BLVKFPJESA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3F)cc1 ZINC000272782694 130063681 /nfs/dbraw/zinc/06/36/81/130063681.db2.gz WFPHVRLTRUCKOX-HXPMCKFVSA-N 0 3 312.388 4.122 20 0 DIADHN Fc1ccc([C@H](NCCCC(F)(F)F)c2ccccc2)nc1 ZINC000273355194 130111490 /nfs/dbraw/zinc/11/14/90/130111490.db2.gz NRSMOMKOJRCKOP-OAHLLOKOSA-N 0 3 312.310 4.242 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1sccc1C)CCO2 ZINC000274438813 130235093 /nfs/dbraw/zinc/23/50/93/130235093.db2.gz XBHZXLRJQGIXCF-DOMZBBRYSA-N 0 3 303.427 4.240 20 0 DIADHN Cn1cc(CN[C@@H]2c3ccccc3CCC2(C)C)c(C(F)F)n1 ZINC000274508245 130242659 /nfs/dbraw/zinc/24/26/59/130242659.db2.gz UMPJTZDIXPIUAN-MRXNPFEDSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)[C@H](c1ccccc1)N(C)C ZINC000274571888 130245491 /nfs/dbraw/zinc/24/54/91/130245491.db2.gz IXGRRAQCLZLAFM-JJRVBVJISA-N 0 3 317.864 4.077 20 0 DIADHN C[C@@H](NC[C@@H]1Cc2ccccc21)c1nc(C(F)(F)F)cs1 ZINC000274589048 130247375 /nfs/dbraw/zinc/24/73/75/130247375.db2.gz JSXYGCYFFXGSSD-KOLCDFICSA-N 0 3 312.360 4.152 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccccc1SC(F)F ZINC000274604409 130248478 /nfs/dbraw/zinc/24/84/78/130248478.db2.gz NXVKAOUGONWSMH-JTQLQIEISA-N 0 3 316.417 4.107 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000274810832 130264047 /nfs/dbraw/zinc/26/40/47/130264047.db2.gz XVGUEQBDMYEHDM-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccc(Cl)cn1)c1cccnc1 ZINC000274858855 130268063 /nfs/dbraw/zinc/26/80/63/130268063.db2.gz CMWSGPYWJYBIEL-CZUORRHYSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](NC1CCN(c2ccccc2)CC1)c1ccc(Cl)cn1 ZINC000274916277 130273148 /nfs/dbraw/zinc/27/31/48/130273148.db2.gz OFBULVRFJVPHHD-CQSZACIVSA-N 0 3 315.848 4.055 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3ccc(Cl)cn3)nc21 ZINC000274926701 130274859 /nfs/dbraw/zinc/27/48/59/130274859.db2.gz PZOJOTLUABXMBV-GFCCVEGCSA-N 0 3 315.804 4.078 20 0 DIADHN Cc1ccncc1[C@@H](C)NC[C@H](c1ccccc1)C(F)(F)F ZINC000275007856 130282202 /nfs/dbraw/zinc/28/22/02/130282202.db2.gz PIRQVHLONOFACL-CZUORRHYSA-N 0 3 308.347 4.387 20 0 DIADHN Cc1ccncc1[C@@H](C)NC[C@@H](c1ccccc1)C(F)(F)F ZINC000275007855 130283355 /nfs/dbraw/zinc/28/33/55/130283355.db2.gz PIRQVHLONOFACL-CJNGLKHVSA-N 0 3 308.347 4.387 20 0 DIADHN CCCC[C@H](NCc1cncc(F)c1)c1ccc(OC)cc1 ZINC000275252217 130299626 /nfs/dbraw/zinc/29/96/26/130299626.db2.gz YUHGTIFWBKMBJM-SFHVURJKSA-N 0 3 302.393 4.250 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCC[C@H]1NCc1ccno1 ZINC000275419839 130316876 /nfs/dbraw/zinc/31/68/76/130316876.db2.gz HMRXGJXZCDCNMJ-DZGCQCFKSA-N 0 3 310.319 4.119 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC[C@](C)(c3ccccc3)C2)s1 ZINC000275706211 130365583 /nfs/dbraw/zinc/36/55/83/130365583.db2.gz LRMOPVOGHNOZAN-MJEQTWJJSA-N 0 3 301.459 4.008 20 0 DIADHN Cc1ccc(C(C)(C)C(=O)Nc2ccccc2CCN(C)C)cc1 ZINC000275734264 130374692 /nfs/dbraw/zinc/37/46/92/130374692.db2.gz XSWXBBAKFPSERC-UHFFFAOYSA-N 0 3 324.468 4.015 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@@H](NCc2ccc(Cl)cn2)C1 ZINC000281463503 130864688 /nfs/dbraw/zinc/86/46/88/130864688.db2.gz DXZOCFJGSZXBHQ-GOEBONIOSA-N 0 3 315.848 4.254 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000282597949 130930932 /nfs/dbraw/zinc/93/09/32/130930932.db2.gz JMDNJVYCKCQGOS-DZGCQCFKSA-N 0 3 319.449 4.213 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1cnc2ccccc2c1 ZINC000340173232 130991575 /nfs/dbraw/zinc/99/15/75/130991575.db2.gz FGPHPSRXCNVWSJ-OAQYLSRUSA-N 0 3 318.420 4.411 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cnc(OC)c(Cl)c2)s1 ZINC000284155890 131053506 /nfs/dbraw/zinc/05/35/06/131053506.db2.gz DUHXTTUNFRMYHC-JTQLQIEISA-N 0 3 310.850 4.218 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](Oc3cccc(Cl)c3)C2)s1 ZINC000340190242 131065625 /nfs/dbraw/zinc/06/56/25/131065625.db2.gz FIPBDSWHANWBPR-OAHLLOKOSA-N 0 3 322.861 4.067 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccn(C)c2)cc1Cl ZINC000284295126 131067699 /nfs/dbraw/zinc/06/76/99/131067699.db2.gz KLRAYIDXEGIYLB-ZDUSSCGKSA-N 0 3 306.837 4.317 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000284475058 131084825 /nfs/dbraw/zinc/08/48/25/131084825.db2.gz UOEMZNGWAKBINU-LSDHHAIUSA-N 0 3 301.434 4.011 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnc(OC)c(Cl)c2)cc1 ZINC000284550628 131091724 /nfs/dbraw/zinc/09/17/24/131091724.db2.gz XWFVQWJLESPDSZ-NWDGAFQWSA-N 0 3 320.820 4.164 20 0 DIADHN Clc1cc(Cl)cc(CN[C@H]2CCO[C@@H]2c2cccnc2)c1 ZINC000284909831 131121301 /nfs/dbraw/zinc/12/13/01/131121301.db2.gz IAJFCOCCQAPFSI-JKSUJKDBSA-N 0 3 323.223 4.008 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cn[nH]c1 ZINC000285011544 131130634 /nfs/dbraw/zinc/13/06/34/131130634.db2.gz HDLJCANHLJSZAT-IXPVHAAZSA-N 0 3 309.335 4.025 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCCCCF)c1ccccc1 ZINC000285237931 131150296 /nfs/dbraw/zinc/15/02/96/131150296.db2.gz HOJLSGYYFFRQCX-GOSISDBHSA-N 0 3 311.404 4.002 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000285492329 131173934 /nfs/dbraw/zinc/17/39/34/131173934.db2.gz AJEFWLINGYTVNW-QKLQHJQFSA-N 0 3 310.441 4.209 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnccc2C)c(C)c1OC ZINC000285502051 131175368 /nfs/dbraw/zinc/17/53/68/131175368.db2.gz AGAAPIOMJPPSTJ-LSDHHAIUSA-N 0 3 314.429 4.127 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2cnn(C(C)C)c2)cc1 ZINC000285523440 131176518 /nfs/dbraw/zinc/17/65/18/131176518.db2.gz CACPKLGKNDMBEV-CQSZACIVSA-N 0 3 303.475 4.178 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc3[nH]c(C)cc3c1)CC2 ZINC000285534182 131178209 /nfs/dbraw/zinc/17/82/09/131178209.db2.gz CWBYDOREKBULHN-FQEVSTJZSA-N 0 3 306.409 4.262 20 0 DIADHN COc1ccsc1[C@@H](C)NCc1ccc(CSC)cc1 ZINC000285662348 131191193 /nfs/dbraw/zinc/19/11/93/131191193.db2.gz MITMDSJXICHJRU-GFCCVEGCSA-N 0 3 307.484 4.471 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H](C)c2ccccc2C)c1 ZINC000285730683 131197187 /nfs/dbraw/zinc/19/71/87/131197187.db2.gz QRJWBEFLXKBIPP-OLZOCXBDSA-N 0 3 303.427 4.255 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@H]2CCCO[C@@H]2c2ccccc2)c1 ZINC000286032009 131216182 /nfs/dbraw/zinc/21/61/82/131216182.db2.gz KEUXPZKSGMCCAP-ILZDJORESA-N 0 3 310.441 4.209 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CC[C@@H](O)c2ccccc2)s1 ZINC000286194297 131224092 /nfs/dbraw/zinc/22/40/92/131224092.db2.gz AKAFUIXEILQJIS-GDBMZVCRSA-N 0 3 318.486 4.130 20 0 DIADHN Cc1cc([C@H](C)NCc2ccn(C)c2)sc1Br ZINC000286274485 131227337 /nfs/dbraw/zinc/22/73/37/131227337.db2.gz BKNDDABYRQKFSS-JTQLQIEISA-N 0 3 313.264 4.008 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H]2CCCc3sccc32)c1 ZINC000286345326 131231561 /nfs/dbraw/zinc/23/15/61/131231561.db2.gz ZARRIUHEBDTXRB-ZWNOBZJWSA-N 0 3 321.467 4.324 20 0 DIADHN FC(F)(F)Oc1cccc([C@H](NCc2ccncc2)C2CC2)c1 ZINC000286748562 131248660 /nfs/dbraw/zinc/24/86/60/131248660.db2.gz YPXMKKYBRKKGMH-MRXNPFEDSA-N 0 3 322.330 4.221 20 0 DIADHN FC(F)(F)Oc1cccc([C@@H](NCc2ccncc2)C2CC2)c1 ZINC000286748558 131248911 /nfs/dbraw/zinc/24/89/11/131248911.db2.gz YPXMKKYBRKKGMH-INIZCTEOSA-N 0 3 322.330 4.221 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)s1 ZINC000286892079 131254310 /nfs/dbraw/zinc/25/43/10/131254310.db2.gz DCLHVKJJDAKESG-DOMZBBRYSA-N 0 3 309.862 4.484 20 0 DIADHN FC(F)c1ccnc(CN[C@@H]2CCCC[C@H]2c2ccccc2)n1 ZINC000286971998 131258349 /nfs/dbraw/zinc/25/83/49/131258349.db2.gz IMBYXBNAUJYOBI-LSDHHAIUSA-N 0 3 317.383 4.230 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCC2=CCCOC2)cc1Cl ZINC000287047380 131261886 /nfs/dbraw/zinc/26/18/86/131261886.db2.gz MQOCQBHNQMFKJZ-CYBMUJFWSA-N 0 3 309.837 4.125 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000287065695 131262330 /nfs/dbraw/zinc/26/23/30/131262330.db2.gz NTNDTNCWWOCPKQ-SJCJKPOMSA-N 0 3 307.796 4.253 20 0 DIADHN CN(Cc1ncc(-c2ccccc2)o1)C1CCC(F)(F)CC1 ZINC000287090151 131262991 /nfs/dbraw/zinc/26/29/91/131262991.db2.gz XBCQDZQWQNKJBI-UHFFFAOYSA-N 0 3 306.356 4.351 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1ccc(F)c2ccccc21 ZINC000287171090 131267668 /nfs/dbraw/zinc/26/76/68/131267668.db2.gz CDTRVCNYTVEACF-QWHCGFSZSA-N 0 3 311.404 4.433 20 0 DIADHN C[C@H](NCCn1ccc2cc(Cl)ccc21)c1cncs1 ZINC000287498058 131283550 /nfs/dbraw/zinc/28/35/50/131283550.db2.gz NOTPXBWPWUOGLK-NSHDSACASA-N 0 3 305.834 4.102 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](c2ccccc2)C2CC2)c1 ZINC000287548384 131286117 /nfs/dbraw/zinc/28/61/17/131286117.db2.gz PQQWPVKYUKIWCP-GOSISDBHSA-N 0 3 310.397 4.106 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](c2ccc(C)cc2)C2CC2)c1 ZINC000287687739 131294456 /nfs/dbraw/zinc/29/44/56/131294456.db2.gz WNHJLJCOJPPQEU-IBGZPJMESA-N 0 3 324.424 4.414 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](c2ccc(C)cc2)C2CC2)c1 ZINC000287687744 131294510 /nfs/dbraw/zinc/29/45/10/131294510.db2.gz WNHJLJCOJPPQEU-LJQANCHMSA-N 0 3 324.424 4.414 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@H](N[C@@H](C)c2ncc(C)s2)C1 ZINC000340262061 131301624 /nfs/dbraw/zinc/30/16/24/131301624.db2.gz VNZIRGUAJPIERM-KKUMJFAQSA-N 0 3 324.490 4.004 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@H]1CCCc2ccc(C)cc21 ZINC000288352354 131321467 /nfs/dbraw/zinc/32/14/67/131321467.db2.gz CDXLYGFUDGUYBG-FQEVSTJZSA-N 0 3 308.425 4.121 20 0 DIADHN CN(Cc1cccc(Cl)n1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000288575790 131331391 /nfs/dbraw/zinc/33/13/91/131331391.db2.gz BTDHIBMZWNJBAT-KLPPZKSPSA-N 0 3 306.759 4.288 20 0 DIADHN COc1ccc(CN(Cc2c(C)noc2C)C(C)(C)C)cc1 ZINC000288640129 131333661 /nfs/dbraw/zinc/33/36/61/131333661.db2.gz ANAKWJKMTDFHEO-UHFFFAOYSA-N 0 3 302.418 4.101 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H](c2ccccc2)C2CCCC2)s1 ZINC000289044690 131354004 /nfs/dbraw/zinc/35/40/04/131354004.db2.gz AYMSWRZPHFKWEA-CXAGYDPISA-N 0 3 316.470 4.218 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(N3CCCCC3)nc2)c2ccccc21 ZINC000289142885 131361292 /nfs/dbraw/zinc/36/12/92/131361292.db2.gz FSORASSVRRRJNJ-UZLBHIALSA-N 0 3 321.468 4.410 20 0 DIADHN c1cc2cc(CN3CCC[C@@H](c4noc(C5CC5)n4)C3)ccc2o1 ZINC000289175685 131364137 /nfs/dbraw/zinc/36/41/37/131364137.db2.gz FOVZTCXEQQLJFI-MRXNPFEDSA-N 0 3 323.396 4.073 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H](C)CC(C)(C)c2ccccc2)s1 ZINC000289238546 131367543 /nfs/dbraw/zinc/36/75/43/131367543.db2.gz KWRPKIMKXMGKLM-ZIAGYGMSSA-N 0 3 318.486 4.042 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)NCc1cnc([C@H](C)O)s1 ZINC000289238542 131367721 /nfs/dbraw/zinc/36/77/21/131367721.db2.gz KWRPKIMKXMGKLM-KGLIPLIRSA-N 0 3 318.486 4.042 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)cc(C)c1O ZINC000289259146 131369319 /nfs/dbraw/zinc/36/93/19/131369319.db2.gz MLHVMFMZTORDEB-OALUTQOASA-N 0 3 311.425 4.019 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1ccc(C)nc1 ZINC000289270721 131370195 /nfs/dbraw/zinc/37/01/95/131370195.db2.gz PESRVJAXIKMPSF-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN Cc1cc(CN[C@@H](c2cccs2)C(C)(C)CO)c(C)s1 ZINC000289393027 131382425 /nfs/dbraw/zinc/38/24/25/131382425.db2.gz RCLCBNZSCNABKQ-HNNXBMFYSA-N 0 3 309.500 4.276 20 0 DIADHN Cc1ccc(F)cc1CN[C@@H](c1cccs1)C(C)(C)CO ZINC000289408469 131384019 /nfs/dbraw/zinc/38/40/19/131384019.db2.gz SRKAATXRLQWKTO-INIZCTEOSA-N 0 3 307.434 4.045 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](C)c1ccc(F)c2ccccc21 ZINC000289485387 131390096 /nfs/dbraw/zinc/39/00/96/131390096.db2.gz SBRVKXXFGXBWKI-LBPRGKRZSA-N 0 3 311.404 4.180 20 0 DIADHN COc1cc(CN[C@@H](C)c2nccc3ccccc32)ccc1C ZINC000289540654 131394798 /nfs/dbraw/zinc/39/47/98/131394798.db2.gz BQIDSUAGOYVIEH-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3Cl)cc1O ZINC000289636488 131402907 /nfs/dbraw/zinc/40/29/07/131402907.db2.gz HNDJDTOAUGFGIK-BZNIZROVSA-N 0 3 317.816 4.392 20 0 DIADHN COc1ccc([C@H](C)NCCc2ccc(Cl)s2)cc1O ZINC000289740064 131411602 /nfs/dbraw/zinc/41/16/02/131411602.db2.gz MAMACOIHFYGJBP-JTQLQIEISA-N 0 3 311.834 4.009 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccccc1)c1ccccc1OC(F)F ZINC000290481646 131491962 /nfs/dbraw/zinc/49/19/62/131491962.db2.gz XKPGJVICIPXCLN-GDZNZVCISA-N 0 3 321.367 4.061 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)s1 ZINC000291959626 131612388 /nfs/dbraw/zinc/61/23/88/131612388.db2.gz RUHKBQOPIKJCBJ-FTGAXOIBSA-N 0 3 322.499 4.175 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc(C(=O)N(C)C)cc2)c1 ZINC000292117314 131625835 /nfs/dbraw/zinc/62/58/35/131625835.db2.gz KPCQEJUPSWARBH-IRXDYDNUSA-N 0 3 324.468 4.417 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](c2cccnc2)C1)c1ccccc1Cl ZINC000296618409 131976354 /nfs/dbraw/zinc/97/63/54/131976354.db2.gz WRNHGQYVYUNIGV-YEWWUXTCSA-N 0 3 316.832 4.306 20 0 DIADHN C[C@H](CCO)CCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000297195769 132003741 /nfs/dbraw/zinc/00/37/41/132003741.db2.gz FRPZVMMWBDAZMU-STQMWFEESA-N 0 3 322.449 4.008 20 0 DIADHN C[C@H](NCCCC(C)(F)F)c1nc2ccc(Cl)cc2n1C ZINC000297241270 132005252 /nfs/dbraw/zinc/00/52/52/132005252.db2.gz INSHJVAYLODNGD-JTQLQIEISA-N 0 3 315.795 4.313 20 0 DIADHN COc1ccc([C@@H](CN(C)C)N[C@H](C)c2csc(C)c2)cc1 ZINC000297259521 132006272 /nfs/dbraw/zinc/00/62/72/132006272.db2.gz JXPJHIIZXAHYDJ-RDTXWAMCSA-N 0 3 318.486 4.019 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccn1)c1nc2c(s1)CCCC2 ZINC000297456527 132016256 /nfs/dbraw/zinc/01/62/56/132016256.db2.gz XKXMDXZWTYNQJV-ZDUSSCGKSA-N 0 3 315.486 4.045 20 0 DIADHN c1ccc2cc(CN3CCC(c4ccncn4)CC3)ccc2c1 ZINC000298105996 132046975 /nfs/dbraw/zinc/04/69/75/132046975.db2.gz JFWGSWISNOEYFW-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN Cc1csc(-c2ccc(NC3CCN(C4CC4)CC3)cc2)n1 ZINC000298258907 132053112 /nfs/dbraw/zinc/05/31/12/132053112.db2.gz FHLBNAUPQJAAOM-UHFFFAOYSA-N 0 3 313.470 4.157 20 0 DIADHN Cc1ccc(C)c(CN[C@H](C)c2ccc3c(c2)CCC(=O)N3)c1 ZINC000298546182 132067370 /nfs/dbraw/zinc/06/73/70/132067370.db2.gz CQPYLRWANHBOSY-OAHLLOKOSA-N 0 3 308.425 4.039 20 0 DIADHN Cc1ccc2c(CNC(C)(C)c3ccccc3)cc(=O)oc2c1 ZINC000299686774 132115637 /nfs/dbraw/zinc/11/56/37/132115637.db2.gz XJLXTGOMNFUOML-UHFFFAOYSA-N 0 3 307.393 4.126 20 0 DIADHN CC[C@@H](NCc1ccccc1COC)c1ccc2c(c1)CCO2 ZINC000119583237 132134485 /nfs/dbraw/zinc/13/44/85/132134485.db2.gz XTRNZZWJIAADFA-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN CC[C@@H](NCc1cccc(F)c1)c1ccc(OC)c(OC)c1 ZINC000120460306 132142103 /nfs/dbraw/zinc/14/21/03/132142103.db2.gz WBMDQQLMPRTZPE-MRXNPFEDSA-N 0 3 303.377 4.084 20 0 DIADHN NC1(c2nc(-c3cccc(Br)c3)cs2)CCCC1 ZINC000041164241 132146510 /nfs/dbraw/zinc/14/65/10/132146510.db2.gz UTFFRFZCSQGCJA-UHFFFAOYSA-N 0 3 323.259 4.301 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000120629436 132148861 /nfs/dbraw/zinc/14/88/61/132148861.db2.gz RDCMHJBMFFXBTR-OLZOCXBDSA-N 0 3 301.361 4.009 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc2c(c1)CCCC2 ZINC000122107201 132161741 /nfs/dbraw/zinc/16/17/41/132161741.db2.gz MOZPJVVJCCGHCW-IFXJQAMLSA-N 0 3 309.457 4.027 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(OC)c(F)c2)c1 ZINC000122187027 132162668 /nfs/dbraw/zinc/16/26/68/132162668.db2.gz KWIBOPMYEPJFSC-QWHCGFSZSA-N 0 3 303.377 4.255 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](c2ccccc2)C2CC2)c1 ZINC000122213352 132162737 /nfs/dbraw/zinc/16/27/37/132162737.db2.gz MZVGLLRQAWQPOR-JLTOFOAXSA-N 0 3 308.425 4.447 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)c(C)c2)cc1OC ZINC000122180381 132162761 /nfs/dbraw/zinc/16/27/61/132162761.db2.gz FVYPFVIAJNOOPN-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1cccc(C(F)(F)F)c1 ZINC000122169557 132162847 /nfs/dbraw/zinc/16/28/47/132162847.db2.gz IAVPCQGPDZZWNX-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@@H](C)CC1)c1cccc2ccccc21 ZINC000520136290 335065604 /nfs/dbraw/zinc/06/56/04/335065604.db2.gz HCYKFBBMRGLKNT-IAGOWNOFSA-N 0 3 324.468 4.139 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccccc2C(=O)N(C)C)c1 ZINC000417715560 335070897 /nfs/dbraw/zinc/07/08/97/335070897.db2.gz SYHOKJYQVVKJKW-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1ccccc1C(=O)N(C)C ZINC000417717121 335071191 /nfs/dbraw/zinc/07/11/91/335071191.db2.gz MOEFBRUGXDJKNG-FQEVSTJZSA-N 0 3 324.468 4.362 20 0 DIADHN Cc1cccnc1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC000320525667 335072525 /nfs/dbraw/zinc/07/25/25/335072525.db2.gz HSJFRSMISUKDGA-HXUWFJFHSA-N 0 3 302.421 4.464 20 0 DIADHN Cc1cccnc1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC000320525664 335072641 /nfs/dbraw/zinc/07/26/41/335072641.db2.gz HSJFRSMISUKDGA-FQEVSTJZSA-N 0 3 302.421 4.464 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2[nH]c(C)cc2c1 ZINC000580340361 335082330 /nfs/dbraw/zinc/08/23/30/335082330.db2.gz OPOZEAYMUOCJGG-LJQANCHMSA-N 0 3 324.399 4.401 20 0 DIADHN C[C@H](c1ccccc1F)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425553592 335086904 /nfs/dbraw/zinc/08/69/04/335086904.db2.gz MQVUCLWJYVBVSO-RDTXWAMCSA-N 0 3 303.421 4.168 20 0 DIADHN COC[C@H](C)CN[C@H](c1cccs1)c1ccc(Cl)cc1 ZINC000525874527 335088394 /nfs/dbraw/zinc/08/83/94/335088394.db2.gz JOOIGZYQWWRBST-WBMJQRKESA-N 0 3 309.862 4.363 20 0 DIADHN COC[C@H](C)CN[C@@H](c1cccs1)c1ccc(Cl)cc1 ZINC000525874526 335088466 /nfs/dbraw/zinc/08/84/66/335088466.db2.gz JOOIGZYQWWRBST-MLGOLLRUSA-N 0 3 309.862 4.363 20 0 DIADHN CC[C@H](N[C@H](C)CSC)c1ccccc1Br ZINC000167902586 336277539 /nfs/dbraw/zinc/27/75/39/336277539.db2.gz MDJWDMYTAUOEBO-MFKMUULPSA-N 0 3 302.281 4.241 20 0 DIADHN CCOc1ccc([C@H](NC[C@@H](C)COC)c2ccccc2)cc1 ZINC000525874442 335091316 /nfs/dbraw/zinc/09/13/16/335091316.db2.gz SJWKLBWBWSAIMU-OXQOHEQNSA-N 0 3 313.441 4.047 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000570824033 332823735 /nfs/dbraw/zinc/82/37/35/332823735.db2.gz VVLJLUJPMSWKTI-AUUYWEPGSA-N 0 3 317.404 4.014 20 0 DIADHN CSc1cc(CN[C@H]2CCc3c2cccc3Cl)ccn1 ZINC000537936068 332855775 /nfs/dbraw/zinc/85/57/75/332855775.db2.gz XCJSMOSRLYQKOR-HNNXBMFYSA-N 0 3 304.846 4.234 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1ccc(F)c(Cl)c1 ZINC000171130424 332857463 /nfs/dbraw/zinc/85/74/63/332857463.db2.gz ICOFESDUZPZBPB-GFCCVEGCSA-N 0 3 306.812 4.396 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(SC)cc2)cc1F ZINC000035016742 331465806 /nfs/dbraw/zinc/46/58/06/331465806.db2.gz UWKCPGMWHPRGLA-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2csc(-c3ccccn3)n2)[C@@H]1C ZINC000035054598 331467691 /nfs/dbraw/zinc/46/76/91/331467691.db2.gz ITRMDSHHIIGHSN-NFAWXSAZSA-N 0 3 301.459 4.119 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2CCC3(CCCC3)O2)sc1C ZINC000530463624 331486816 /nfs/dbraw/zinc/48/68/16/331486816.db2.gz PAWAWBITIJEORE-AWEZNQCLSA-N 0 3 308.491 4.076 20 0 DIADHN C[C@@H](N[C@H](CO)Cc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000063218392 331494987 /nfs/dbraw/zinc/49/49/87/331494987.db2.gz RSSRTILCUAQRBA-DOMZBBRYSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1ccc(C)c([C@@H](NCCOCC(F)F)c2ccccc2)c1 ZINC000322950795 331507335 /nfs/dbraw/zinc/50/73/35/331507335.db2.gz DMCIIXJYGPYYHG-IBGZPJMESA-N 0 3 319.395 4.264 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2)o1)[C@@H](O)Cc1ccccc1 ZINC000533467109 331537775 /nfs/dbraw/zinc/53/77/75/331537775.db2.gz OQWAINARVUKZLP-JXFKEZNVSA-N 0 3 321.420 4.028 20 0 DIADHN CC[C@@H](N[C@H](C)Cn1cccn1)c1ccc(Cl)cc1Cl ZINC000037155944 331542986 /nfs/dbraw/zinc/54/29/86/331542986.db2.gz HGVZRLRCCCWADB-IAQYHMDHSA-N 0 3 312.244 4.319 20 0 DIADHN CC(C)N(C(=O)[C@@H](C)N[C@@H](c1ccccc1)C1CCC1)C(C)C ZINC000533513237 331562037 /nfs/dbraw/zinc/56/20/37/331562037.db2.gz KRFPVZHPRKQGKU-APWZRJJASA-N 0 3 316.489 4.151 20 0 DIADHN CC(C)N(C(=O)[C@@H](C)N[C@H](c1ccccc1)C1CCC1)C(C)C ZINC000533513240 331562183 /nfs/dbraw/zinc/56/21/83/331562183.db2.gz KRFPVZHPRKQGKU-VQIMIIECSA-N 0 3 316.489 4.151 20 0 DIADHN C[C@H](NCC[C@H]1CCCCO1)c1ccc(F)cc1OC(F)F ZINC000180259154 331565060 /nfs/dbraw/zinc/56/50/60/331565060.db2.gz BHRMXMADJIJMIZ-WCQYABFASA-N 0 3 317.351 4.037 20 0 DIADHN C[C@@H](NCC[C@H]1CCCCO1)c1ccc(F)cc1OC(F)F ZINC000180259170 331565070 /nfs/dbraw/zinc/56/50/70/331565070.db2.gz BHRMXMADJIJMIZ-DGCLKSJQSA-N 0 3 317.351 4.037 20 0 DIADHN Cc1ccc(NC(=O)C2C[C@@H](C)C[C@H](C)C2)c(CN(C)C)c1 ZINC000180531045 331571654 /nfs/dbraw/zinc/57/16/54/331571654.db2.gz DUTZDZRNXIAQHO-GJZGRUSLSA-N 0 3 302.462 4.067 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc(C(F)(F)F)c2)c1 ZINC000047452657 331573075 /nfs/dbraw/zinc/57/30/75/331573075.db2.gz BWRAUNSTCCIUPP-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN Cc1c([C@@H](C)NCCCOc2ccc3ccccc3c2)cnn1C ZINC000180775017 331576731 /nfs/dbraw/zinc/57/67/31/331576731.db2.gz BKQGGOXDAYLHJO-OAHLLOKOSA-N 0 3 323.440 4.001 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2ccc(Cl)s2)cc1 ZINC000027221772 331595063 /nfs/dbraw/zinc/59/50/63/331595063.db2.gz VBDVSDBIYJCWSF-SNVBAGLBSA-N 0 3 308.834 4.211 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1sccc1Br ZINC000087683414 331610027 /nfs/dbraw/zinc/61/00/27/331610027.db2.gz PPAGJIDMPPTCGF-ZJUUUORDSA-N 0 3 320.321 4.447 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C/c3ccsc3)n2)cc1 ZINC000530632733 331613800 /nfs/dbraw/zinc/61/38/00/331613800.db2.gz GWCRFEPLEYSNSS-VMPITWQZSA-N 0 3 311.410 4.030 20 0 DIADHN CC[C@H](NCc1csc(-c2ccccc2)n1)C1CCOCC1 ZINC000530805356 331627402 /nfs/dbraw/zinc/62/74/02/331627402.db2.gz AFDXGZNHIHKBMH-KRWDZBQOSA-N 0 3 316.470 4.105 20 0 DIADHN Fc1cc(CN[C@@H]2CCCC(F)(F)C2)ccc1OC(F)F ZINC000533637722 331638859 /nfs/dbraw/zinc/63/88/59/331638859.db2.gz MUFYAOWAXDFOAF-SNVBAGLBSA-N 0 3 309.278 4.095 20 0 DIADHN O=C(CN[C@@H](c1ccccc1)C1CC1)Nc1ccc(Cl)cc1 ZINC000538114101 331640087 /nfs/dbraw/zinc/64/00/87/331640087.db2.gz XJYPGGJGRRWDEN-SFHVURJKSA-N 0 3 314.816 4.019 20 0 DIADHN CC(C)(C)C1CCC(CN2CCC(O)(C(F)(F)F)CC2)CC1 ZINC000556345443 331672070 /nfs/dbraw/zinc/67/20/70/331672070.db2.gz SIOLPWKOINUKHD-UHFFFAOYSA-N 0 3 321.427 4.228 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccc(OC)c(O)c2)C1(CC)CC ZINC000564424760 331672632 /nfs/dbraw/zinc/67/26/32/331672632.db2.gz YNBRZUAKXCYCBI-MORSLUCNSA-N 0 3 321.461 4.035 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCC(C)(C)[C@@H](O)C(C)C ZINC000539046437 331683609 /nfs/dbraw/zinc/68/36/09/331683609.db2.gz XRFDZOKNRSSJKV-WBMJQRKESA-N 0 3 313.869 4.042 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@H](CO)CC(F)(F)F)cc1 ZINC000539052760 331686929 /nfs/dbraw/zinc/68/69/29/331686929.db2.gz MHJLFSRDSSNCSH-AAEUAGOBSA-N 0 3 321.408 4.151 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cccc(CO)c2)cc1 ZINC000533784116 331689248 /nfs/dbraw/zinc/68/92/48/331689248.db2.gz DLLIPXOSNGAFHV-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN Clc1ccc(CNCc2cccc(Br)c2)s1 ZINC000042240006 331690896 /nfs/dbraw/zinc/69/08/96/331690896.db2.gz BMMREKXILOAHEH-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@H](CC)C1CCOCC1 ZINC000568166628 331722869 /nfs/dbraw/zinc/72/28/69/331722869.db2.gz PCVKTNPDEMNDQD-MRXNPFEDSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1ccnc([C@H](C)NCCCc2nc3c(s2)CCCC3)c1 ZINC000556868929 331745066 /nfs/dbraw/zinc/74/50/66/331745066.db2.gz XUDHGIJQRHRJJN-AWEZNQCLSA-N 0 3 315.486 4.009 20 0 DIADHN Fc1cccc(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC000530973986 331747716 /nfs/dbraw/zinc/74/77/16/331747716.db2.gz GMWDNJRCNLNAFE-ZYHUDNBSSA-N 0 3 307.306 4.423 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)c1 ZINC000556891408 331749280 /nfs/dbraw/zinc/74/92/80/331749280.db2.gz YFZRIFYGYAMJRN-GXSJLCMTSA-N 0 3 323.380 4.162 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)c1 ZINC000556891414 331749408 /nfs/dbraw/zinc/74/94/08/331749408.db2.gz YFZRIFYGYAMJRN-MWLCHTKSSA-N 0 3 323.380 4.162 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccccc2)C1)c1c(F)cncc1F ZINC000556917011 331753647 /nfs/dbraw/zinc/75/36/47/331753647.db2.gz LMJQXDXOHLBQHJ-CFVMTHIKSA-N 0 3 302.368 4.347 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2nc(C)cs2)C12CCCCC2 ZINC000087301575 331764415 /nfs/dbraw/zinc/76/44/15/331764415.db2.gz HLSYZMCHJZXHSJ-SOUVJXGZSA-N 0 3 308.491 4.230 20 0 DIADHN Cc1ccc([C@@H](C)NCCCc2cn(-c3ccccc3)nc2C)o1 ZINC000557245921 331778417 /nfs/dbraw/zinc/77/84/17/331778417.db2.gz CVDNZXXYFGGHPB-QGZVFWFLSA-N 0 3 323.440 4.366 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN[C@H](C)C1CCCCC1 ZINC000557255306 331778781 /nfs/dbraw/zinc/77/87/81/331778781.db2.gz KZZWRFBRQUZXOQ-CYBMUJFWSA-N 0 3 308.853 4.145 20 0 DIADHN COc1cc([C@@H](C)NCCSc2ccccc2)ccc1F ZINC000212380139 331786612 /nfs/dbraw/zinc/78/66/12/331786612.db2.gz UPGQGBUXQJSFRV-CYBMUJFWSA-N 0 3 305.418 4.277 20 0 DIADHN CC(C)CC[C@H]1CC[C@H](NCc2nccn2CC(F)(F)F)C1 ZINC000449117792 331804022 /nfs/dbraw/zinc/80/40/22/331804022.db2.gz QHYVHSUUWYTSAF-KBPBESRZSA-N 0 3 317.399 4.140 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CC[C@H]3SC)oc21 ZINC000557781558 331815694 /nfs/dbraw/zinc/81/56/94/331815694.db2.gz ANVKXSABCPGPJO-DETPVDSQSA-N 0 3 305.443 4.376 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCC[C@H]2NCc2cocn2)cc1 ZINC000558087783 331868229 /nfs/dbraw/zinc/86/82/29/331868229.db2.gz QRUVAWCNBLHIOO-LSDHHAIUSA-N 0 3 310.319 4.119 20 0 DIADHN COc1ccc([C@H](C)NC2(C3CC3)CC2)c(Br)c1 ZINC000558088983 331868313 /nfs/dbraw/zinc/86/83/13/331868313.db2.gz ZGSDRSAGHSNDAY-JTQLQIEISA-N 0 3 310.235 4.051 20 0 DIADHN C[C@@H](N[C@@H]1CCCC12CCC2)c1nc(-c2cccc(F)c2)no1 ZINC000584212651 331868857 /nfs/dbraw/zinc/86/88/57/331868857.db2.gz YLIGSOPVOPQBKJ-IUODEOHRSA-N 0 3 315.392 4.249 20 0 DIADHN COc1ccc(CNCc2ccc(F)c(Cl)c2)c(C)c1OC ZINC000558104499 331876950 /nfs/dbraw/zinc/87/69/50/331876950.db2.gz QFMIRSAUWNRFSP-UHFFFAOYSA-N 0 3 323.795 4.095 20 0 DIADHN Fc1ccc(Br)c(F)c1CNCCC1(F)CCC1 ZINC000558131393 331878718 /nfs/dbraw/zinc/87/87/18/331878718.db2.gz QBYSDRLKNXLZOL-UHFFFAOYSA-N 0 3 322.168 4.099 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)cc2C)c(C)c1OC ZINC000558153283 331879656 /nfs/dbraw/zinc/87/96/56/331879656.db2.gz DCYVHHQVPVNTEB-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(C)ccc2C)c(C)c1OC ZINC000558154095 331880300 /nfs/dbraw/zinc/88/03/00/331880300.db2.gz RSHWFDASSKHNGM-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN COc1cc([C@@H](C)NCC[C@@H](C)c2ccccc2)c(F)cn1 ZINC000558226808 331885010 /nfs/dbraw/zinc/88/50/10/331885010.db2.gz BCQZEGQFEHVKRE-ZIAGYGMSSA-N 0 3 302.393 4.074 20 0 DIADHN CC[C@@H](N[C@H]1C[C@@H]1C(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000558254908 331888238 /nfs/dbraw/zinc/88/82/38/331888238.db2.gz BYMIWSQOPWLROY-TUAOUCFPSA-N 0 3 309.278 4.280 20 0 DIADHN COC1(CN[C@@H](C)c2ccncc2)CCC(c2ccccc2)CC1 ZINC000558261138 331891070 /nfs/dbraw/zinc/89/10/70/331891070.db2.gz ZGJMLLXBSCBSCT-RPCJCACASA-N 0 3 324.468 4.475 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCCNc3ccccc32)c1 ZINC000558400555 331902523 /nfs/dbraw/zinc/90/25/23/331902523.db2.gz SZCWZIUJRIEMOS-IBGZPJMESA-N 0 3 323.440 4.072 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCCC(F)(F)F ZINC000558486419 331912098 /nfs/dbraw/zinc/91/20/98/331912098.db2.gz XVEYODHMWNDARL-NTMALXAHSA-N 0 3 309.278 4.233 20 0 DIADHN Fc1cc2cccnc2c(CN2CCC(c3ccccn3)CC2)c1 ZINC000363446871 331912765 /nfs/dbraw/zinc/91/27/65/331912765.db2.gz PWGVPPGIGYCMJN-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN COc1ccc([C@@H](C)NCCSc2ccc(C)cc2)cc1O ZINC000558499389 331913644 /nfs/dbraw/zinc/91/36/44/331913644.db2.gz XXEDKWDBMXTUGF-CQSZACIVSA-N 0 3 317.454 4.152 20 0 DIADHN Cc1cccc(CNCc2cc(Br)cs2)c1C ZINC000070212813 331918608 /nfs/dbraw/zinc/91/86/08/331918608.db2.gz WUYJAXFRSXSPIE-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CC[C@H](NCCc1cn(C)cn1)c1ccc(Cl)cc1Cl ZINC000313250875 331921339 /nfs/dbraw/zinc/92/13/39/331921339.db2.gz DQXFZXJGABPQNX-HNNXBMFYSA-N 0 3 312.244 4.010 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cnn(C3CCCC3)c2)c(C)c1 ZINC000558621533 331928018 /nfs/dbraw/zinc/92/80/18/331928018.db2.gz YMSMJOGHIQDYRY-HNNXBMFYSA-N 0 3 313.445 4.166 20 0 DIADHN CC(C)(CNCc1ccc(C(F)(F)F)s1)C(F)(F)F ZINC000558680266 331934497 /nfs/dbraw/zinc/93/44/97/331934497.db2.gz WMURAJZEZXCVLU-UHFFFAOYSA-N 0 3 305.287 4.445 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCC2)O1)c1ccc2ncsc2c1 ZINC000558723344 331938725 /nfs/dbraw/zinc/93/87/25/331938725.db2.gz XAPKFDRDCYWRID-DZGCQCFKSA-N 0 3 316.470 4.439 20 0 DIADHN COc1ccc([C@@H](C)NCC[C@@H]2C[C@@H](C)O[C@@H](C)C2)c(F)c1 ZINC000558968137 331961889 /nfs/dbraw/zinc/96/18/89/331961889.db2.gz BAURPPSUMSIESQ-LXTVHRRPSA-N 0 3 309.425 4.079 20 0 DIADHN CC(C)CC[C@H](NCc1ncc(-c2ccccc2)cn1)C1CC1 ZINC000558976173 331963349 /nfs/dbraw/zinc/96/33/49/331963349.db2.gz MLEHFOGBZFUEGH-IBGZPJMESA-N 0 3 309.457 4.448 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NCCC[C@H]1CCCCO1)CC2 ZINC000449241472 331967821 /nfs/dbraw/zinc/96/78/21/331967821.db2.gz AYZDORUDEWOBRT-OCCSQVGLSA-N 0 3 313.363 4.030 20 0 DIADHN Clc1ccc(Br)c(CNC(C2CC2)C2CC2)c1 ZINC000090249585 331990585 /nfs/dbraw/zinc/99/05/85/331990585.db2.gz SXSKUWGCVGHFFX-UHFFFAOYSA-N 0 3 314.654 4.381 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NC[C@@H](O)CC(C)(C)C ZINC000223696684 331993132 /nfs/dbraw/zinc/99/31/32/331993132.db2.gz TWHNXPIVXVGTOK-YPMHNXCESA-N 0 3 312.523 4.197 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccccc2)cc(OC)c1OC ZINC000127247504 332000629 /nfs/dbraw/zinc/00/06/29/332000629.db2.gz IMPNFUOQNQDWPG-KGLIPLIRSA-N 0 3 315.413 4.124 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000559245613 332001332 /nfs/dbraw/zinc/00/13/32/332001332.db2.gz MBKFQSIVGSJQQR-AWEZNQCLSA-N 0 3 322.456 4.495 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NC/C=C\c1ccccc1OC ZINC000518275714 332005021 /nfs/dbraw/zinc/00/50/21/332005021.db2.gz NCASFJRAJFNLHK-JNLAIUADSA-N 0 3 323.436 4.384 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NC/C=C\c1ccccc1OC ZINC000518275715 332005040 /nfs/dbraw/zinc/00/50/40/332005040.db2.gz NCASFJRAJFNLHK-KWEPMOPPSA-N 0 3 323.436 4.384 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NC/C=C/c1ccccc1OC ZINC000518275716 332005104 /nfs/dbraw/zinc/00/51/04/332005104.db2.gz NCASFJRAJFNLHK-QPGLYAHGSA-N 0 3 323.436 4.384 20 0 DIADHN Cc1sccc1CNCCc1coc(-c2ccc(F)cc2)n1 ZINC000559259765 332007926 /nfs/dbraw/zinc/00/79/26/332007926.db2.gz CKEXKOZLOOXCMR-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN Clc1ccc(Br)c(CN[C@H]2CC=CCC2)c1 ZINC000085220776 332009783 /nfs/dbraw/zinc/00/97/83/332009783.db2.gz DGIFEYCOUUKOHQ-LBPRGKRZSA-N 0 3 300.627 4.301 20 0 DIADHN CCc1nocc1CNCC1(c2cccs2)CCCCC1 ZINC000559273668 332011217 /nfs/dbraw/zinc/01/12/17/332011217.db2.gz XWCSDTFBLRHDFU-UHFFFAOYSA-N 0 3 304.459 4.290 20 0 DIADHN Cc1sccc1CN[C@@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000559272749 332011692 /nfs/dbraw/zinc/01/16/92/332011692.db2.gz AXNXFAWKYLUDOP-MSOLQXFVSA-N 0 3 301.455 4.375 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(OC)c(OC)c2)cc1 ZINC000128480069 332011883 /nfs/dbraw/zinc/01/18/83/332011883.db2.gz WEHUOSAMYUIRKE-UONOGXRCSA-N 0 3 315.413 4.124 20 0 DIADHN C[C@H](NCCc1ccc(Cl)cc1Cl)c1nccs1 ZINC000086507850 332022675 /nfs/dbraw/zinc/02/26/75/332022675.db2.gz DBYFLTCZTYMJKF-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CCc1ccccc1NC(=O)CNC(C)(C)c1ccccc1C ZINC000559326913 332026186 /nfs/dbraw/zinc/02/61/86/332026186.db2.gz RQJNQQRNAGDDKR-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN CCOC1(CNCc2ncc(-c3ccccc3)s2)CCCC1 ZINC000559329842 332027350 /nfs/dbraw/zinc/02/73/50/332027350.db2.gz IJKUCBYRVXYNPH-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(OC(F)F)c(F)c2)c1 ZINC000559335091 332028768 /nfs/dbraw/zinc/02/87/68/332028768.db2.gz XJOMOSOSHRYMTQ-UHFFFAOYSA-N 0 3 309.331 4.334 20 0 DIADHN Fc1cc(CNC/C=C\c2ccccc2)ccc1OC(F)F ZINC000559334845 332028811 /nfs/dbraw/zinc/02/88/11/332028811.db2.gz OFXFXZKTDVIRLZ-DAXSKMNVSA-N 0 3 307.315 4.230 20 0 DIADHN CC(C)[C@@H](NCc1cncc(Br)c1)c1ccccc1 ZINC000131647585 332031951 /nfs/dbraw/zinc/03/19/51/332031951.db2.gz QBLKXKJGYVJYPK-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000426307906 332042237 /nfs/dbraw/zinc/04/22/37/332042237.db2.gz BNTVTKRTDNLWFW-DEYYWGMASA-N 0 3 301.455 4.410 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](C)[C@H]1OCCc2sccc21 ZINC000426307908 332042357 /nfs/dbraw/zinc/04/23/57/332042357.db2.gz BNTVTKRTDNLWFW-PMUMKWKESA-N 0 3 301.455 4.410 20 0 DIADHN CCCOc1ccc(Br)cc1CNCCC1CC1 ZINC000088455190 332052251 /nfs/dbraw/zinc/05/22/51/332052251.db2.gz QQMRRZIRCBCLBW-UHFFFAOYSA-N 0 3 312.251 4.128 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2ccc(SC)cc2)c1 ZINC000090972227 332058038 /nfs/dbraw/zinc/05/80/38/332058038.db2.gz OPTWOSDFMHKZTO-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN CC[C@@H](N[C@H](C(=O)N(CC)CC)c1ccccc1)C(C)(C)C ZINC000518483335 332063936 /nfs/dbraw/zinc/06/39/36/332063936.db2.gz OBJIYWMUECWNIK-SJORKVTESA-N 0 3 304.478 4.010 20 0 DIADHN CC[C@H](N[C@H](C(=O)N(CC)CC)c1ccccc1)C(C)(C)C ZINC000518483334 332063940 /nfs/dbraw/zinc/06/39/40/332063940.db2.gz OBJIYWMUECWNIK-IRXDYDNUSA-N 0 3 304.478 4.010 20 0 DIADHN CC[C@H](NC[C@@H](OC)C1CC1)c1ccc(Cl)cc1Cl ZINC000381240038 332064989 /nfs/dbraw/zinc/06/49/89/332064989.db2.gz RTTKCOURODNQJL-LSDHHAIUSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@H](N[C@@H]1CCc2sc(Cl)cc21)c1cccc(CO)c1 ZINC000573449316 332066066 /nfs/dbraw/zinc/06/60/66/332066066.db2.gz NVLKCFDHKNGPCR-IINYFYTJSA-N 0 3 307.846 4.232 20 0 DIADHN C[C@H](NCCc1ccc(Cl)s1)c1nc2ccccc2n1C ZINC000091309603 332066538 /nfs/dbraw/zinc/06/65/38/332066538.db2.gz AKIWISHXUPZPNZ-NSHDSACASA-N 0 3 319.861 4.182 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCc2c1cccc2Br ZINC000089551398 332072368 /nfs/dbraw/zinc/07/23/68/332072368.db2.gz LPBHNUJVWGSULZ-QPUJVOFHSA-N 0 3 322.168 4.367 20 0 DIADHN OC[C@@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1cccc(F)c1 ZINC000569384220 332073038 /nfs/dbraw/zinc/07/30/38/332073038.db2.gz QCRCBYKWQZPKBH-RTBURBONSA-N 0 3 324.399 4.008 20 0 DIADHN Cc1cc(C)n(CCN2CCCCC[C@H]2c2ccc(C)o2)n1 ZINC000569410958 332078134 /nfs/dbraw/zinc/07/81/34/332078134.db2.gz PGSIFAKUOKYFBI-KRWDZBQOSA-N 0 3 301.434 4.019 20 0 DIADHN Cc1noc(C2CCN(Cc3ccc(C(C)(C)C)s3)CC2)n1 ZINC000091836045 332089175 /nfs/dbraw/zinc/08/91/75/332089175.db2.gz HZMNCBOGFZQEAJ-UHFFFAOYSA-N 0 3 319.474 4.117 20 0 DIADHN COc1cc(CNC[C@H]2CCCCC2(F)F)ccc1SC ZINC000449374790 332089449 /nfs/dbraw/zinc/08/94/49/332089449.db2.gz GHHLGRACLXNFJJ-CYBMUJFWSA-N 0 3 315.429 4.332 20 0 DIADHN CC[C@H](COCC(F)(F)F)NCc1cc2cc(F)ccc2o1 ZINC000449379985 332098836 /nfs/dbraw/zinc/09/88/36/332098836.db2.gz LJFZWUZXHABICT-GFCCVEGCSA-N 0 3 319.298 4.019 20 0 DIADHN CSc1ccc(CNCc2cnc3ccccc3c2)s1 ZINC000518622825 332099330 /nfs/dbraw/zinc/09/93/30/332099330.db2.gz JZJWITWDZQJPTR-UHFFFAOYSA-N 0 3 300.452 4.308 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1c2ccccc2CC[C@H]1C)c1ccccc1 ZINC000410230255 332103254 /nfs/dbraw/zinc/10/32/54/332103254.db2.gz NCDSRSIXCZIHMF-ZACQAIPSSA-N 0 3 322.452 4.094 20 0 DIADHN CN(CCCCC1CCOCC1)Cc1ccc(Cl)s1 ZINC000410300404 332109564 /nfs/dbraw/zinc/10/95/64/332109564.db2.gz YKQNNDQLFUHMFJ-UHFFFAOYSA-N 0 3 301.883 4.430 20 0 DIADHN Cc1cccc2oc(CCNCc3sccc3Cl)nc21 ZINC000410319659 332111616 /nfs/dbraw/zinc/11/16/16/332111616.db2.gz XJXYMPLFNYSVIK-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN Cc1cccc2oc(CCNCc3coc4ccccc34)nc21 ZINC000410349272 332115045 /nfs/dbraw/zinc/11/50/45/332115045.db2.gz ATDNQGURJHCJEO-UHFFFAOYSA-N 0 3 306.365 4.215 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3cc(F)cc(F)c3)nc21 ZINC000410361750 332116177 /nfs/dbraw/zinc/11/61/77/332116177.db2.gz TZYDPESZYNXLBY-LBPRGKRZSA-N 0 3 316.351 4.308 20 0 DIADHN COc1ccc2c(c1)C1(CC1)CN(CCCc1ccsc1)C2 ZINC000569512639 332116717 /nfs/dbraw/zinc/11/67/17/332116717.db2.gz JGYOYBNAYRBJKM-UHFFFAOYSA-N 0 3 313.466 4.237 20 0 DIADHN CC[C@@H](NCCc1nc2c(cccc2C)o1)c1ccccc1OC ZINC000410357723 332117016 /nfs/dbraw/zinc/11/70/16/332117016.db2.gz FTEPCLSQDNOTDJ-MRXNPFEDSA-N 0 3 324.424 4.428 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3ccccc3N(C)C)nc21 ZINC000410359072 332117095 /nfs/dbraw/zinc/11/70/95/332117095.db2.gz LSUZAYYATSRMJD-HNNXBMFYSA-N 0 3 323.440 4.096 20 0 DIADHN CC(C)(CNCc1ccccc1OC(F)(F)F)c1ccccn1 ZINC000449393120 332118457 /nfs/dbraw/zinc/11/84/57/332118457.db2.gz BAZYMMYBOAWXPQ-UHFFFAOYSA-N 0 3 324.346 4.048 20 0 DIADHN CC(C)C[C@H](C)CN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000410379346 332120935 /nfs/dbraw/zinc/12/09/35/332120935.db2.gz OYVPSJDKEXIHTB-KXBFYZLASA-N 0 3 317.452 4.263 20 0 DIADHN CCSCC[C@@H](C)N[C@H](C)c1ccccc1OC(F)F ZINC000132252486 332123846 /nfs/dbraw/zinc/12/38/46/332123846.db2.gz JJFRHRSGSIYHKB-VXGBXAGGSA-N 0 3 303.418 4.470 20 0 DIADHN C(CCN1CCOC2(C1)CCCCCC2)CC1CCOCC1 ZINC000410417162 332125469 /nfs/dbraw/zinc/12/54/69/332125469.db2.gz ZNQPGPZBZSOBIN-UHFFFAOYSA-N 0 3 309.494 4.009 20 0 DIADHN CCCn1nc(C)c(CN2C[C@H](C)C[C@@H]2c2ccccc2)c1C ZINC000569531361 332126375 /nfs/dbraw/zinc/12/63/75/332126375.db2.gz GTWFLTVYNHHKRI-FOIQADDNSA-N 0 3 311.473 4.493 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000559358139 332126412 /nfs/dbraw/zinc/12/64/12/332126412.db2.gz GNUWKIPXOUXQGO-MQMHXKEQSA-N 0 3 317.864 4.396 20 0 DIADHN Cc1noc([C@@H]2CCCN2C[C@@H](CC(C)C)c2ccccc2)n1 ZINC000569531910 332126592 /nfs/dbraw/zinc/12/65/92/332126592.db2.gz PEGVFKYAMPICQX-MSOLQXFVSA-N 0 3 313.445 4.345 20 0 DIADHN Cc1noc([C@H]2CCCN2C[C@H](CC(C)C)c2ccccc2)n1 ZINC000569531913 332126627 /nfs/dbraw/zinc/12/66/27/332126627.db2.gz PEGVFKYAMPICQX-ZWKOTPCHSA-N 0 3 313.445 4.345 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1ccc(Br)cc1F ZINC000132351796 332128164 /nfs/dbraw/zinc/12/81/64/332128164.db2.gz LPTNBEVBVAHOQI-ONGXEEELSA-N 0 3 320.271 4.380 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000317721526 332132187 /nfs/dbraw/zinc/13/21/87/332132187.db2.gz HAHZJAKQPDPVJZ-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN Cc1cnc([C@H](C)CN[C@H]2CCCOc3cc(F)ccc32)s1 ZINC000186775187 332945626 /nfs/dbraw/zinc/94/56/26/332945626.db2.gz LKCBSBKRSIYAST-ABAIWWIYSA-N 0 3 320.433 4.198 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NCc1cccc(Br)c1 ZINC000133387087 332139148 /nfs/dbraw/zinc/13/91/48/332139148.db2.gz IJAJGJDAWFJZTD-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN Cc1cccc2c1OC[C@@H](N[C@H](C)c1nccc3ccccc31)C2 ZINC000569563924 332139198 /nfs/dbraw/zinc/13/91/98/332139198.db2.gz ZFSIPLWRPUIVGB-QAPCUYQASA-N 0 3 318.420 4.198 20 0 DIADHN CCOCC(C)(C)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC000569585843 332146515 /nfs/dbraw/zinc/14/65/15/332146515.db2.gz DOJUGYUKHVXTFU-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN Clc1cccc(-c2noc(CN3C[C@@H]4CCCC[C@H]4C3)n2)c1 ZINC000426797785 332154751 /nfs/dbraw/zinc/15/47/51/332154751.db2.gz CLGUNOPDLLMUFK-KBPBESRZSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2ccc(F)cc2C)CC1 ZINC000428706734 332155302 /nfs/dbraw/zinc/15/53/02/332155302.db2.gz CFLNRFUGAHEYLP-UHFFFAOYSA-N 0 3 302.393 4.119 20 0 DIADHN C[C@H](NC[C@@H]1N(C)CCCC1(C)C)c1ccc2ccccc2n1 ZINC000448022678 332188632 /nfs/dbraw/zinc/18/86/32/332188632.db2.gz PFPOIMFAYFJLEE-KXBFYZLASA-N 0 3 311.473 4.006 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)OC1(C)C)c1cnc2ccsc2c1 ZINC000181258604 332188640 /nfs/dbraw/zinc/18/86/40/332188640.db2.gz GUEPPZGQIPJIRF-IAQYHMDHSA-N 0 3 304.459 4.293 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)OC1(C)C)c1cnc2ccsc2c1 ZINC000181258584 332188672 /nfs/dbraw/zinc/18/86/72/332188672.db2.gz GUEPPZGQIPJIRF-XHDPSFHLSA-N 0 3 304.459 4.293 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@H](c2ccccc2)C2CC2)cn1 ZINC000181351120 332191539 /nfs/dbraw/zinc/19/15/39/332191539.db2.gz LNSOWPWPPRJCAJ-LJQANCHMSA-N 0 3 310.441 4.500 20 0 DIADHN CC[C@@H](NC[C@@H]1C[C@@H](C(C)C)c2ccccc21)c1nccn1C ZINC000448026301 332194190 /nfs/dbraw/zinc/19/41/90/332194190.db2.gz HTESXNWUTRWSOH-ZYSHUDEJSA-N 0 3 311.473 4.388 20 0 DIADHN c1ccc2sc(CN(C[C@@H]3CCCO3)C3CCCC3)nc2c1 ZINC000215663253 332195733 /nfs/dbraw/zinc/19/57/33/332195733.db2.gz ZUWPUDAXEANZPQ-HNNXBMFYSA-N 0 3 316.470 4.220 20 0 DIADHN c1c2ccccc2oc1[C@@H](NC[C@@H]1CCSC1)c1cccnc1 ZINC000448027334 332198312 /nfs/dbraw/zinc/19/83/12/332198312.db2.gz MNMFJMUINWVBOH-LIRRHRJNSA-N 0 3 324.449 4.260 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NC[C@@H]1CCSC1)CC2 ZINC000448029769 332202394 /nfs/dbraw/zinc/20/23/94/332202394.db2.gz QVTMVIORVCWOKO-ZANVPECISA-N 0 3 302.270 4.323 20 0 DIADHN COc1cccc(CCCN[C@@H](c2nc(C)cs2)C2CC2)c1 ZINC000181609617 332205156 /nfs/dbraw/zinc/20/51/56/332205156.db2.gz DYIURXSOJSUJPS-QGZVFWFLSA-N 0 3 316.470 4.134 20 0 DIADHN C[C@@H]1CC(CCN[C@H](c2ccccc2)c2ccccn2)C[C@@H](C)O1 ZINC000569612365 332205633 /nfs/dbraw/zinc/20/56/33/332205633.db2.gz YOZCASVERSMAIK-CBGDNZLLSA-N 0 3 324.468 4.354 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CCCc3cc(F)ccc32)cc1 ZINC000538196243 332209903 /nfs/dbraw/zinc/20/99/03/332209903.db2.gz YIFBQXIUIDHWDD-VQTJNVASSA-N 0 3 313.416 4.089 20 0 DIADHN COc1cc(C)nc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC000533839124 332218295 /nfs/dbraw/zinc/21/82/95/332218295.db2.gz JDTXBAXLAZPTCZ-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN FC(F)Oc1ccc(Cl)cc1CNCCc1ccsc1 ZINC000047887969 332222946 /nfs/dbraw/zinc/22/29/46/332222946.db2.gz AMBLPVAOFHGEGV-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2cc(Cl)cc(Cl)c2)c1 ZINC000531047054 332231490 /nfs/dbraw/zinc/23/14/90/332231490.db2.gz SGCYIFOVHPPDNW-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN C[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccccc1C(F)(F)F ZINC000367518895 332233757 /nfs/dbraw/zinc/23/37/57/332233757.db2.gz KUCANGCIKXDNEW-YGRLFVJLSA-N 0 3 309.335 4.157 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1NCc1c(Cl)cccc1Cl ZINC000554560944 332235876 /nfs/dbraw/zinc/23/58/76/332235876.db2.gz MEHCSKFGGNUXKJ-NHYWBVRUSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1ccccc1[C@H]([C@@H](C)Nc1ncnc2ccccc21)N(C)C ZINC000584309304 332236137 /nfs/dbraw/zinc/23/61/37/332236137.db2.gz ZPTHTYGVKTVQSL-BEFAXECRSA-N 0 3 320.440 4.042 20 0 DIADHN COCC(C)(C)CN(Cc1cccs1)Cc1cccs1 ZINC000426052747 335096173 /nfs/dbraw/zinc/09/61/73/335096173.db2.gz ZKXKBSMSLGLICS-UHFFFAOYSA-N 0 3 309.500 4.484 20 0 DIADHN CCC[C@H](NCCOCCOC)c1ccc(Cl)c(Cl)c1 ZINC000358238553 332247792 /nfs/dbraw/zinc/24/77/92/332247792.db2.gz XKEBEGVHCWLFCX-HNNXBMFYSA-N 0 3 320.260 4.087 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)ccn1 ZINC000536476316 332250777 /nfs/dbraw/zinc/25/07/77/332250777.db2.gz NWVMKVDSWMNTIU-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN CC[C@H](N[C@@H](C)COCC(F)(F)F)c1ccc(Cl)cc1 ZINC000537970759 332250951 /nfs/dbraw/zinc/25/09/51/332250951.db2.gz KIQBGVAYICZAAW-GWCFXTLKSA-N 0 3 309.759 4.348 20 0 DIADHN CC[C@H](N[C@H](C)COCC(F)(F)F)c1ccc(Cl)cc1 ZINC000537970761 332251116 /nfs/dbraw/zinc/25/11/16/332251116.db2.gz KIQBGVAYICZAAW-MFKMUULPSA-N 0 3 309.759 4.348 20 0 DIADHN CC[C@@H](NCCc1ccccc1)c1nc(C(F)(F)F)cs1 ZINC000185935882 332256232 /nfs/dbraw/zinc/25/62/32/332256232.db2.gz ASHDJQZLAUPPDS-GFCCVEGCSA-N 0 3 314.376 4.445 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(F)c3ccccc32)ccn1 ZINC000559734974 332277855 /nfs/dbraw/zinc/27/78/55/332277855.db2.gz UHMZIXCOMLUXIP-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CCC1)c1ccncc1F ZINC000559754398 332279286 /nfs/dbraw/zinc/27/92/86/332279286.db2.gz ZPEDRYJRBUCETA-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN COCC(C)(C)NCc1ccc(Oc2cc(F)cc(F)c2)cc1 ZINC000559766650 332279711 /nfs/dbraw/zinc/27/97/11/332279711.db2.gz ICTZCIDOSRRTIQ-UHFFFAOYSA-N 0 3 321.367 4.272 20 0 DIADHN CCCC[C@@H](CCC)C[NH2+][C@@H](c1nnc[n-]1)c1ccc(F)cc1 ZINC000559774794 332279918 /nfs/dbraw/zinc/27/99/18/332279918.db2.gz YTCOVPCLJKFSHX-RHSMWYFYSA-N 0 3 318.440 4.229 20 0 DIADHN CC(C)c1ccc(CN(CCc2cscn2)C2CC2)cc1 ZINC000559781178 332281523 /nfs/dbraw/zinc/28/15/23/332281523.db2.gz WQHGDQDDGKFEIQ-UHFFFAOYSA-N 0 3 300.471 4.474 20 0 DIADHN CN1CC[C@@H](NCc2cccc(Cl)c2F)[C@@H]1c1ccccc1 ZINC000559795051 332282405 /nfs/dbraw/zinc/28/24/05/332282405.db2.gz HUPYHVKJGDUIRI-AEFFLSMTSA-N 0 3 318.823 4.014 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccsc1)c1ccc(F)c2ccccc21 ZINC000559845818 332284788 /nfs/dbraw/zinc/28/47/88/332284788.db2.gz VCHUWDOPLQKTMC-XIKOKIGWSA-N 0 3 315.413 4.425 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccsc1)c1ccc(F)c2ccccc21 ZINC000559845817 332284846 /nfs/dbraw/zinc/28/48/46/332284846.db2.gz VCHUWDOPLQKTMC-SGTLLEGYSA-N 0 3 315.413 4.425 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccncc1F ZINC000559855791 332285791 /nfs/dbraw/zinc/28/57/91/332285791.db2.gz QJLNHUBLDWDDNV-DOPJRALCSA-N 0 3 314.404 4.117 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3ccnc(OC)c3)ccc2c1 ZINC000559892446 332290275 /nfs/dbraw/zinc/29/02/75/332290275.db2.gz FKDBLVRBVQMYNS-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000559893098 332290564 /nfs/dbraw/zinc/29/05/64/332290564.db2.gz FXTPPHUUVPTIPA-HOTGVXAUSA-N 0 3 323.440 4.015 20 0 DIADHN c1c[nH]c([C@H](NC[C@@H]2C[C@H]2c2ccccc2)C2CCCCC2)n1 ZINC000559897368 332291083 /nfs/dbraw/zinc/29/10/83/332291083.db2.gz KGWDYFNULBERNT-GBESFXJTSA-N 0 3 309.457 4.424 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1F)c1ccc(OCC(F)(F)F)cc1 ZINC000559914798 332292501 /nfs/dbraw/zinc/29/25/01/332292501.db2.gz LXZPKVXHNWNZKP-LEWSCRJBSA-N 0 3 305.315 4.169 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cn2ccccc2n1 ZINC000559917705 332292840 /nfs/dbraw/zinc/29/28/40/332292840.db2.gz XFCNNEBVKUAKQG-QRTARXTBSA-N 0 3 309.388 4.070 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCC[C@H]3c3ccccc3)cn2c1 ZINC000560048619 332306070 /nfs/dbraw/zinc/30/60/70/332306070.db2.gz NYJMQWRFFBXLIA-OALUTQOASA-N 0 3 305.425 4.069 20 0 DIADHN Cn1ccnc1[C@H](NCCc1ccc(Cl)cc1Cl)C1CC1 ZINC000187759241 332307681 /nfs/dbraw/zinc/30/76/81/332307681.db2.gz IKPHJRADNZHHEY-OAHLLOKOSA-N 0 3 324.255 4.010 20 0 DIADHN c1nc2n(c1CNCc1cccc(-c3ccccc3)c1)CCCC2 ZINC000560071025 332308705 /nfs/dbraw/zinc/30/87/05/332308705.db2.gz LGERLBLALNSFSM-UHFFFAOYSA-N 0 3 317.436 4.176 20 0 DIADHN OC[C@H]1C=C[C@@H](NCc2ccc(-c3ccc(Cl)cc3)cc2)C1 ZINC000560081933 332309559 /nfs/dbraw/zinc/30/95/59/332309559.db2.gz KMIHISCHLMBSPW-HNAYVOBHSA-N 0 3 313.828 4.034 20 0 DIADHN Cc1cccc([C@H](N[C@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)c1 ZINC000560115245 332311918 /nfs/dbraw/zinc/31/19/18/332311918.db2.gz FWVOUBWTWINRLO-QXOCSCLMSA-N 0 3 310.441 4.025 20 0 DIADHN CCn1c(CNC[C@H]2CSc3ccccc32)nc2ccccc21 ZINC000560139891 332313663 /nfs/dbraw/zinc/31/36/63/332313663.db2.gz XILVOGPXFASALD-AWEZNQCLSA-N 0 3 323.465 4.035 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cn1)[C@H]1CCc2ccccc2C1 ZINC000560158891 332315419 /nfs/dbraw/zinc/31/54/19/332315419.db2.gz FUMTZCNAOYGGMB-KRWDZBQOSA-N 0 3 320.358 4.090 20 0 DIADHN CC[C@@H](N[C@H]1CCOc2ccc(OC)cc21)c1cccs1 ZINC000049529561 332315492 /nfs/dbraw/zinc/31/54/92/332315492.db2.gz SESDCYHSODLGEU-CABCVRRESA-N 0 3 303.427 4.321 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC000560182359 332317276 /nfs/dbraw/zinc/31/72/76/332317276.db2.gz IGQWLYHMSIYOHQ-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN CCCN(CCCCCF)[C@H](C)C(=O)Nc1ccccc1C ZINC000560193056 332318188 /nfs/dbraw/zinc/31/81/88/332318188.db2.gz RNPVXXPSALLNQQ-MRXNPFEDSA-N 0 3 308.441 4.174 20 0 DIADHN CCCN(CCCCCF)[C@@H](C)C(=O)Nc1ccccc1C ZINC000560193055 332318226 /nfs/dbraw/zinc/31/82/26/332318226.db2.gz RNPVXXPSALLNQQ-INIZCTEOSA-N 0 3 308.441 4.174 20 0 DIADHN Cc1nnsc1CN(C)[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000577955723 332319547 /nfs/dbraw/zinc/31/95/47/332319547.db2.gz FKQCAZWLDFJAAX-PWSUYJOCSA-N 0 3 309.866 4.124 20 0 DIADHN CC1(C)CC[C@H]1N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000560236362 332321286 /nfs/dbraw/zinc/32/12/86/332321286.db2.gz UACCDCSUSUZJSD-QWHCGFSZSA-N 0 3 321.770 4.170 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)N[C@H]2COc3ccc(C)cc32)cc1 ZINC000560243704 332322303 /nfs/dbraw/zinc/32/23/03/332322303.db2.gz FORWGZHIFKVMQJ-ZESCBINXSA-N 0 3 323.436 4.219 20 0 DIADHN CC(C)[C@H](N[C@@H](C)CCC(C)(C)C)c1nnc2ccccn21 ZINC000560243991 332322432 /nfs/dbraw/zinc/32/24/32/332322432.db2.gz IFELUYNIZOMRMO-HOCLYGCPSA-N 0 3 302.466 4.231 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](C)Cn2cccn2)cc1Cl ZINC000560244771 332322660 /nfs/dbraw/zinc/32/26/60/332322660.db2.gz GMXQYHCQNWUMNV-UONOGXRCSA-N 0 3 321.852 4.063 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](C)Cn2cccn2)cc1Cl ZINC000560244770 332322750 /nfs/dbraw/zinc/32/27/50/332322750.db2.gz GMXQYHCQNWUMNV-KGLIPLIRSA-N 0 3 321.852 4.063 20 0 DIADHN COC[C@H](N[C@H](C)c1ccc(OC(C)C)c(Cl)c1)C1CC1 ZINC000560245827 332322957 /nfs/dbraw/zinc/32/29/57/332322957.db2.gz WOLHMJSREJFDSB-WBMJQRKESA-N 0 3 311.853 4.203 20 0 DIADHN Cc1ccc([C@@H](NCc2ccnc(OC(F)F)c2)C2CC2)cc1 ZINC000560269287 332325772 /nfs/dbraw/zinc/32/57/72/332325772.db2.gz UFBWDBHBUHZISG-QGZVFWFLSA-N 0 3 318.367 4.232 20 0 DIADHN Cc1ccccc1C1CC(NCc2ccnc(OC(F)F)c2)C1 ZINC000560265567 332326488 /nfs/dbraw/zinc/32/64/88/332326488.db2.gz HPUZEWVJASEYTJ-UHFFFAOYSA-N 0 3 318.367 4.027 20 0 DIADHN CC[C@@H](N[C@@H](C)CCc1ccc(F)cc1F)c1nccs1 ZINC000574182982 332329631 /nfs/dbraw/zinc/32/96/31/332329631.db2.gz JNRLFGIAFXLUCO-XHDPSFHLSA-N 0 3 310.413 4.483 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cc(C)ccn1)c1ccsc1 ZINC000560517399 332349192 /nfs/dbraw/zinc/34/91/92/332349192.db2.gz VVBUDJIAKFJLHV-MAUKXSAKSA-N 0 3 317.502 4.185 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@@H]1c1cccnc1 ZINC000560524062 332350861 /nfs/dbraw/zinc/35/08/61/332350861.db2.gz NHCFQTRLBYWFDD-RYEVTAHJSA-N 0 3 322.452 4.468 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@H]1c1cccnc1 ZINC000560524065 332350911 /nfs/dbraw/zinc/35/09/11/332350911.db2.gz NHCFQTRLBYWFDD-ZDJZVTAXSA-N 0 3 322.452 4.468 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000560530138 332352493 /nfs/dbraw/zinc/35/24/93/332352493.db2.gz ZWPVDWPTXGWGHE-LPHOPBHVSA-N 0 3 305.425 4.087 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CC(F)(F)F)c2ccccc2)C[C@H](C)O1 ZINC000583362428 332354533 /nfs/dbraw/zinc/35/45/33/332354533.db2.gz VCPKVRKVYKFYNE-MXYBEHONSA-N 0 3 301.352 4.226 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@H](C)Oc2ccccc2Cl)c1 ZINC000560545431 332356763 /nfs/dbraw/zinc/35/67/63/332356763.db2.gz MRYXJFNLKNUZEX-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cnc(C3CC3)s2)cc1 ZINC000560551747 332358296 /nfs/dbraw/zinc/35/82/96/332358296.db2.gz OBEURDLEEAUCHI-AWEZNQCLSA-N 0 3 300.471 4.392 20 0 DIADHN CC(C)[C@H]1CC[C@@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000560553469 332359142 /nfs/dbraw/zinc/35/91/42/332359142.db2.gz UGSNIQMZWHYJEX-MSOLQXFVSA-N 0 3 304.503 4.082 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1c(F)cncc1F ZINC000560577109 332361487 /nfs/dbraw/zinc/36/14/87/332361487.db2.gz HIFYQDGCYQRXOY-BPUTZDHNSA-N 0 3 316.395 4.422 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000387556189 332361548 /nfs/dbraw/zinc/36/15/48/332361548.db2.gz RUHNCOKULHIDCA-ZDUSSCGKSA-N 0 3 317.816 4.320 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1c(F)cccc1N1CCCC1 ZINC000560573944 332363178 /nfs/dbraw/zinc/36/31/78/332363178.db2.gz MODRESVTIOUGRF-MAUKXSAKSA-N 0 3 322.443 4.434 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CSC[C@@H]3C)oc21 ZINC000560584257 332365463 /nfs/dbraw/zinc/36/54/63/332365463.db2.gz BZBQQNWSYHRPJR-SGMGOOAPSA-N 0 3 305.443 4.234 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)c1ccccc1-n1ccnn1 ZINC000583373105 332367793 /nfs/dbraw/zinc/36/77/93/332367793.db2.gz YWQYRZWJYASKOQ-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN COc1cccc(C2(CN[C@@H](C)c3cc(C)on3)CCCC2)c1 ZINC000583374038 332368679 /nfs/dbraw/zinc/36/86/79/332368679.db2.gz ICFXSQAATHEBQR-HNNXBMFYSA-N 0 3 314.429 4.154 20 0 DIADHN Nc1cccc(CN(Cc2ccc(C(F)(F)F)cc2)C2CC2)c1 ZINC000261793226 332369417 /nfs/dbraw/zinc/36/94/17/332369417.db2.gz UBLLUZYLSIDDGZ-UHFFFAOYSA-N 0 3 320.358 4.452 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@H](O)CC1 ZINC000560628202 332371653 /nfs/dbraw/zinc/37/16/53/332371653.db2.gz ARYQEHWNCQPXMW-LBPRGKRZSA-N 0 3 309.862 4.210 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cnc(-c3ccsc3)s2)CCCO1 ZINC000560638047 332371793 /nfs/dbraw/zinc/37/17/93/332371793.db2.gz DQSKQDWDUQHIMH-HNNXBMFYSA-N 0 3 322.499 4.119 20 0 DIADHN CCOc1ccccc1CN[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000119731573 332377152 /nfs/dbraw/zinc/37/71/52/332377152.db2.gz UWPNHQPFZTUVOL-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN Cc1cc(C)cc(OCCCN2CCC[C@H]2c2cccn2C)c1 ZINC000560841154 332389760 /nfs/dbraw/zinc/38/97/60/332389760.db2.gz QFGBHUGDNVNUPU-FQEVSTJZSA-N 0 3 312.457 4.248 20 0 DIADHN c1ccc(OCCCCCN2CCC=C(c3ccncc3)C2)cc1 ZINC000583408277 332391789 /nfs/dbraw/zinc/39/17/89/332391789.db2.gz PGLAWOAWAWHLTB-UHFFFAOYSA-N 0 3 322.452 4.420 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc(OC)cc1 ZINC000560899400 332392656 /nfs/dbraw/zinc/39/26/56/332392656.db2.gz OPHDECNHRSEVBL-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc(OC)cc1 ZINC000560899412 332392672 /nfs/dbraw/zinc/39/26/72/332392672.db2.gz OPHDECNHRSEVBL-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN O[C@H]1CC[C@H](N[C@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000560909376 332393272 /nfs/dbraw/zinc/39/32/72/332393272.db2.gz NITZMGDKVKEVQV-QXEWZRGKSA-N 0 3 320.285 4.325 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cccnc1)Oc1cccc(Cl)c1 ZINC000560912683 332394274 /nfs/dbraw/zinc/39/42/74/332394274.db2.gz WFUMOCOXWBXCGL-XJKSGUPXSA-N 0 3 304.821 4.243 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)NCCc1cccc(C)c1 ZINC000561015587 332401354 /nfs/dbraw/zinc/40/13/54/332401354.db2.gz JPJZDRMRAHZABK-MRXNPFEDSA-N 0 3 307.441 4.258 20 0 DIADHN Cc1nocc1CN[C@@H](COc1c(C)cccc1C)C(C)(C)C ZINC000561046591 332404033 /nfs/dbraw/zinc/40/40/33/332404033.db2.gz UWJRJLOGCMJENC-KRWDZBQOSA-N 0 3 316.445 4.183 20 0 DIADHN CC(C)(C)C(C)(C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000561063414 332405999 /nfs/dbraw/zinc/40/59/99/332405999.db2.gz XTHYTVVTFPBFRQ-QGZVFWFLSA-N 0 3 316.489 4.012 20 0 DIADHN Fc1cccc(CN[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)c1 ZINC000561090774 332408977 /nfs/dbraw/zinc/40/89/77/332408977.db2.gz RURDWHRWNPNIFY-IAGOWNOFSA-N 0 3 305.780 4.099 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCOC4(CCCC4)C3)sc2c1 ZINC000428854698 332439223 /nfs/dbraw/zinc/43/92/23/332439223.db2.gz BTYCTUSVMFQRMH-CYBMUJFWSA-N 0 3 320.433 4.017 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3c(c2)OCO3)C2CCC2)cc1 ZINC000427520946 332442427 /nfs/dbraw/zinc/44/24/27/332442427.db2.gz FPMVPKBOOAMPHY-HXUWFJFHSA-N 0 3 309.409 4.355 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2nc3ccc(F)cc3s2)CCO1 ZINC000428870413 332443992 /nfs/dbraw/zinc/44/39/92/332443992.db2.gz XUEFFRSEICMZOQ-SWLSCSKDSA-N 0 3 322.449 4.119 20 0 DIADHN FC(F)(F)c1ccc(CNC2CC(C(F)(F)F)C2)s1 ZINC000561383690 332444584 /nfs/dbraw/zinc/44/45/84/332444584.db2.gz VNYAHEUIJRHZSY-UHFFFAOYSA-N 0 3 303.271 4.197 20 0 DIADHN Cc1noc(C)c1C[C@H](C)NCc1ccc(C(F)(F)F)s1 ZINC000428871813 332444636 /nfs/dbraw/zinc/44/46/36/332444636.db2.gz KCCQDHFAVAQDJE-QMMMGPOBSA-N 0 3 318.364 4.093 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](NCc2nccn2C(F)F)C1 ZINC000561435757 332450357 /nfs/dbraw/zinc/45/03/57/332450357.db2.gz WTWNMHFYPBAJOE-IMJJTQAJSA-N 0 3 319.399 4.340 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)N(C)c1ccccc1)c1ccccc1 ZINC000561436968 332450721 /nfs/dbraw/zinc/45/07/21/332450721.db2.gz MMBFVEOHIQSSGM-LPHOPBHVSA-N 0 3 310.441 4.025 20 0 DIADHN CC1(C)[C@H](NC2c3ccccc3-c3ccccc32)[C@@H]2CCO[C@@H]21 ZINC000561455495 332452203 /nfs/dbraw/zinc/45/22/03/332452203.db2.gz VGXXBCLPMBMGJW-SXLOBPIMSA-N 0 3 305.421 4.160 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@H]2NCc2nc3ccccc3[nH]2)cc1 ZINC000561521838 332459666 /nfs/dbraw/zinc/45/96/66/332459666.db2.gz SUWGMNPLMYRXIU-DLBZAZTESA-N 0 3 305.425 4.064 20 0 DIADHN CSCC[C@H](C)N(C)Cc1csc(Br)c1 ZINC000353813054 335109795 /nfs/dbraw/zinc/10/97/95/335109795.db2.gz HFVXCNMJICRVPA-VIFPVBQESA-N 0 3 308.310 4.084 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c1 ZINC000561575887 332465770 /nfs/dbraw/zinc/46/57/70/332465770.db2.gz COSGNLWELGBCDS-QZTJIDSGSA-N 0 3 321.342 4.032 20 0 DIADHN CSC[C@H](N[C@@H]1CCSC1)c1ccc(C(F)(F)F)cc1 ZINC000561596958 332468282 /nfs/dbraw/zinc/46/82/82/332468282.db2.gz QFWUCFFLQBQMNE-OLZOCXBDSA-N 0 3 321.433 4.205 20 0 DIADHN COc1cccc(Oc2ccc(CNC3CC4(CCC4)C3)cn2)c1 ZINC000448070938 332489410 /nfs/dbraw/zinc/48/94/10/332489410.db2.gz USLWWTNGWJDUQZ-UHFFFAOYSA-N 0 3 324.424 4.305 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccc(N3CCCC3)nc2)cc1 ZINC000151042749 332492251 /nfs/dbraw/zinc/49/22/51/332492251.db2.gz PAHTWJCWYQDAGF-HXUWFJFHSA-N 0 3 323.484 4.485 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ncc(Br)cc1F ZINC000427699500 332492600 /nfs/dbraw/zinc/49/26/00/332492600.db2.gz XPMPMVMEOCVOGS-BREBYQMCSA-N 0 3 315.230 4.213 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000427717499 332498278 /nfs/dbraw/zinc/49/82/78/332498278.db2.gz BVAIVHLEMJPXLJ-JOYOIKCWSA-N 0 3 317.246 4.459 20 0 DIADHN COCc1ccccc1CNCc1ccc(C(F)(F)F)cc1C ZINC000190816485 335112168 /nfs/dbraw/zinc/11/21/68/335112168.db2.gz UMUNNRNIOOFXOP-UHFFFAOYSA-N 0 3 323.358 4.450 20 0 DIADHN CCc1cccc(CN[C@H](C)[C@H]2OCCc3sccc32)c1 ZINC000429133408 332534994 /nfs/dbraw/zinc/53/49/94/332534994.db2.gz OLJRODSZDHPXBL-FZKQIMNGSA-N 0 3 301.455 4.103 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1ccc(F)cc1Br ZINC000562057184 332539616 /nfs/dbraw/zinc/53/96/16/332539616.db2.gz NUAIQILUKYWWSU-IQJOONFLSA-N 0 3 304.178 4.129 20 0 DIADHN CCOC[C@H](C)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000427852819 332541952 /nfs/dbraw/zinc/54/19/52/332541952.db2.gz MZXJXKGDFUDPHJ-FZMZJTMJSA-N 0 3 321.408 4.124 20 0 DIADHN C[C@@H](NC1(C(=O)Nc2ccccc2)CC1)C1CCCCCC1 ZINC000427975374 332577619 /nfs/dbraw/zinc/57/76/19/332577619.db2.gz HPKXXSAZMWQXFI-OAHLLOKOSA-N 0 3 300.446 4.106 20 0 DIADHN FC(F)(F)COCCCNCc1csc(Cl)c1Cl ZINC000562404129 332589993 /nfs/dbraw/zinc/58/99/93/332589993.db2.gz GEVKXFQJKRTXLH-UHFFFAOYSA-N 0 3 322.179 4.114 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(OCC)cc2)cc1 ZINC000151647271 332597637 /nfs/dbraw/zinc/59/76/37/332597637.db2.gz OEBCEKFHSFPZHX-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN c1ccc([C@H](NC2CCC3(CCCO3)CC2)c2ccccn2)cc1 ZINC000562580804 332607084 /nfs/dbraw/zinc/60/70/84/332607084.db2.gz LYNMJANRTSUQHH-CKHPTIKVSA-N 0 3 322.452 4.252 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H](C)c2ccc(F)cc2F)cn1 ZINC000151879243 332629587 /nfs/dbraw/zinc/62/95/87/332629587.db2.gz YRKIUNZPTFAXSD-STQMWFEESA-N 0 3 307.388 4.197 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2)CC1)c1ccncc1F ZINC000562837363 332630939 /nfs/dbraw/zinc/63/09/39/332630939.db2.gz GQZZAQQJVNDZDS-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN C[C@H](NCc1ccc2sccc2c1)c1ccc2c(c1)OCO2 ZINC000562909719 332639656 /nfs/dbraw/zinc/63/96/56/332639656.db2.gz NYFWHNJSRYGESU-LBPRGKRZSA-N 0 3 311.406 4.481 20 0 DIADHN COc1cccc2cc([C@@H](C)NCCOC3CCCC3)oc21 ZINC000170999459 332640526 /nfs/dbraw/zinc/64/05/26/332640526.db2.gz JJXRHZHEXUBMPA-CYBMUJFWSA-N 0 3 303.402 4.051 20 0 DIADHN C[C@H](CNCc1nc(C(F)(F)F)cs1)Cc1ccccc1 ZINC000152683326 332640724 /nfs/dbraw/zinc/64/07/24/332640724.db2.gz VEVBNSIULQAAFM-NSHDSACASA-N 0 3 314.376 4.130 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)cc1Cl ZINC000443989296 332647914 /nfs/dbraw/zinc/64/79/14/332647914.db2.gz OSMXHBDPAPFXBC-LRTDBIEQSA-N 0 3 321.873 4.237 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@H]2CCCc3occc32)cc1 ZINC000562944381 332649803 /nfs/dbraw/zinc/64/98/03/332649803.db2.gz PUDWFFPVNRAETK-SOVGHPHASA-N 0 3 315.413 4.031 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccc(N2CCCC2=O)cc1 ZINC000171980387 332664195 /nfs/dbraw/zinc/66/41/95/332664195.db2.gz JEIHQBGLDPQJDX-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccnc(OC(F)F)c2)cc1 ZINC000569701991 332664705 /nfs/dbraw/zinc/66/47/05/332664705.db2.gz KKQDGHIROVACAZ-CYBMUJFWSA-N 0 3 320.383 4.486 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCc1ccccc1Cl ZINC000569754524 332675729 /nfs/dbraw/zinc/67/57/29/332675729.db2.gz BLYQYWKCVCTTNQ-UHFFFAOYSA-N 0 3 306.837 4.402 20 0 DIADHN COC[C@H](NCc1ccc(-c2ccc(F)cc2C)o1)C(C)C ZINC000525708347 332683219 /nfs/dbraw/zinc/68/32/19/332683219.db2.gz IMEMMYUKHPKXMJ-KRWDZBQOSA-N 0 3 305.393 4.155 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc([S@](C)=O)cc1 ZINC000152453193 332700418 /nfs/dbraw/zinc/70/04/18/332700418.db2.gz YYOFQFAUWXJAQG-RRMDADRESA-N 0 3 321.873 4.489 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000570065583 332707439 /nfs/dbraw/zinc/70/74/39/332707439.db2.gz ZYOYHUPMUIESMB-LSDHHAIUSA-N 0 3 314.429 4.378 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@@H]3COc4ccccc43)o2)cc1 ZINC000570359265 332744955 /nfs/dbraw/zinc/74/49/55/332744955.db2.gz CGKKLOFQQFQMON-OAHLLOKOSA-N 0 3 323.367 4.352 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H]3COc4ccccc43)oc21 ZINC000570479891 332760701 /nfs/dbraw/zinc/76/07/01/332760701.db2.gz GTZPSMXXHBMCEN-HIFRSBDPSA-N 0 3 323.392 4.268 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1cccc(OCC(F)F)c1 ZINC000537979544 332762187 /nfs/dbraw/zinc/76/21/87/332762187.db2.gz LDBFSAWLUQUUFR-YJYMSZOUSA-N 0 3 317.379 4.354 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)OCO2 ZINC000053149860 332962372 /nfs/dbraw/zinc/96/23/72/332962372.db2.gz RGRVSVWLUUVTLG-SNVBAGLBSA-N 0 3 307.752 4.059 20 0 DIADHN Fc1cccc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)co2)c1 ZINC000177532980 332991062 /nfs/dbraw/zinc/99/10/62/332991062.db2.gz ACEDJSIKVLDLQE-HUUCEWRRSA-N 0 3 300.377 4.103 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2NCc1ccccc1-n1cccn1 ZINC000178145781 333002295 /nfs/dbraw/zinc/00/22/95/333002295.db2.gz HWRWUELQKZRWEN-GOSISDBHSA-N 0 3 323.827 4.303 20 0 DIADHN C[C@@H](NCC(C)(C)CCO)c1cc(F)c(Cl)cc1Cl ZINC000178165575 333002859 /nfs/dbraw/zinc/00/28/59/333002859.db2.gz NUDCNLFLEPZYGX-SECBINFHSA-N 0 3 308.224 4.192 20 0 DIADHN CCC(=O)Nc1cccc(CNC/C(C)=C/c2ccccc2)c1 ZINC000518763441 333007118 /nfs/dbraw/zinc/00/71/18/333007118.db2.gz LEEBMQYKLSUMBA-FOWTUZBSSA-N 0 3 308.425 4.228 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3cc(F)ccc32)cc1F ZINC000178406064 333010681 /nfs/dbraw/zinc/01/06/81/333010681.db2.gz BHEPCBMSRGCPRH-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCc3cc(F)ccc32)c1 ZINC000178518812 333014300 /nfs/dbraw/zinc/01/43/00/333014300.db2.gz ZVUWWVFTECFBCB-BLVKFPJESA-N 0 3 312.388 4.122 20 0 DIADHN OC[C@@H](CNCc1ccc(C(F)(F)F)s1)CC1CCCC1 ZINC000466422953 333019748 /nfs/dbraw/zinc/01/97/48/333019748.db2.gz WUMOQYRVMNWMFG-GFCCVEGCSA-N 0 3 321.408 4.045 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1N[C@@H](C)c1nccc2ccccc21 ZINC000580249537 333041083 /nfs/dbraw/zinc/04/10/83/333041083.db2.gz IIHOTWZAUNHBCC-SNRMKQJTSA-N 0 3 312.457 4.479 20 0 DIADHN CCC[C@@H](CNCc1ccc(Cl)c(Br)c1)OC ZINC000378690591 333045567 /nfs/dbraw/zinc/04/55/67/333045567.db2.gz IFUQCYNOAHCQGM-NSHDSACASA-N 0 3 320.658 4.007 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2ccc(C)c(C)c2)cc1 ZINC000065981156 335117781 /nfs/dbraw/zinc/11/77/81/335117781.db2.gz KTRTZCWFAKLZOW-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN COc1cc2c(cc1CNC/C(C)=C\c1ccccc1)O[C@@H](C)C2 ZINC000518768514 333052846 /nfs/dbraw/zinc/05/28/46/333052846.db2.gz XCZVOOOZFCCYNQ-TYWAAFNRSA-N 0 3 323.436 4.212 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCC1)c1cccc(F)c1F ZINC000183205566 333053050 /nfs/dbraw/zinc/05/30/50/333053050.db2.gz CUJMNBSOPKKACH-XJKSGUPXSA-N 0 3 320.383 4.046 20 0 DIADHN CC[C@H](NCc1ccccc1CN1CCCC1=O)c1ccccc1 ZINC000171975836 333056623 /nfs/dbraw/zinc/05/66/23/333056623.db2.gz KFANTQPIBLWZMX-FQEVSTJZSA-N 0 3 322.452 4.050 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccccc1 ZINC000171978217 333056708 /nfs/dbraw/zinc/05/67/08/333056708.db2.gz QHIZRBTXWMGYJF-RDTXWAMCSA-N 0 3 308.425 4.373 20 0 DIADHN CCN(CC)[C@@H](CNCc1occc1C)c1ccccc1Cl ZINC000462667199 333058455 /nfs/dbraw/zinc/05/84/55/333058455.db2.gz ZINFGLHDBWMJPF-KRWDZBQOSA-N 0 3 320.864 4.414 20 0 DIADHN CC(C)[C@@H](NCc1ccc(-n2cccn2)cc1)c1ccc(F)cc1 ZINC000378876617 333059027 /nfs/dbraw/zinc/05/90/27/333059027.db2.gz APERLDFZWQRQNA-HXUWFJFHSA-N 0 3 323.415 4.498 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@@H]3CCCC[C@@H]3C2)s1 ZINC000183603750 333059903 /nfs/dbraw/zinc/05/99/03/333059903.db2.gz FNKBGENHPFNZJE-PHIMTYICSA-N 0 3 304.381 4.092 20 0 DIADHN Cc1ccc([C@H](C)NCCc2cccc(Br)c2)o1 ZINC000313714063 333066289 /nfs/dbraw/zinc/06/62/89/333066289.db2.gz JXTUTDITEQECHD-LBPRGKRZSA-N 0 3 308.219 4.244 20 0 DIADHN Cc1ccsc1CNCc1cc(Br)ccc1C ZINC000313718636 333066574 /nfs/dbraw/zinc/06/65/74/333066574.db2.gz URCPAYVLPORANP-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000460349494 333068802 /nfs/dbraw/zinc/06/88/02/333068802.db2.gz HLGMHRMBUWHRLD-JSGCOSHPSA-N 0 3 305.447 4.247 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(CCOc2ccc(Cl)cc2)C1 ZINC000460353124 333069662 /nfs/dbraw/zinc/06/96/62/333069662.db2.gz PGEFBFJQBYGWJK-KDOFPFPSSA-N 0 3 316.832 4.197 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCOc2ccc(Cl)cc2)C1 ZINC000460353126 333069723 /nfs/dbraw/zinc/06/97/23/333069723.db2.gz PGEFBFJQBYGWJK-RDTXWAMCSA-N 0 3 316.832 4.197 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2ccc(OC(F)F)cc2)C1 ZINC000460362857 333071401 /nfs/dbraw/zinc/07/14/01/333071401.db2.gz GFBLJWODPNBNRR-CXAGYDPISA-N 0 3 318.367 4.266 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1CCCO[C@@H]1CCCCO1 ZINC000460389983 333077334 /nfs/dbraw/zinc/07/73/34/333077334.db2.gz UTBZOOXYSCROTA-QZTJIDSGSA-N 0 3 323.864 4.410 20 0 DIADHN CC(C)(CNCc1cc(F)c(F)c(F)c1)c1ccccc1F ZINC000518772458 333087374 /nfs/dbraw/zinc/08/73/74/333087374.db2.gz CYCLYDMCBQHZPU-UHFFFAOYSA-N 0 3 311.322 4.310 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2ccc(Cl)c(Cl)c2)cn1 ZINC000518772782 333089688 /nfs/dbraw/zinc/08/96/88/333089688.db2.gz BWOLLFJWUYQGLN-UHFFFAOYSA-N 0 3 324.255 4.159 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)C2(C)C)cc(C)c1O ZINC000314148656 333089714 /nfs/dbraw/zinc/08/97/14/333089714.db2.gz FLOODWZIDHCZBB-ZDUSSCGKSA-N 0 3 301.352 4.172 20 0 DIADHN Cc1cc(Br)ccc1CN[C@@H](C)c1cccnc1 ZINC000112546484 333093683 /nfs/dbraw/zinc/09/36/83/333093683.db2.gz ILRAJDCJDRDXKV-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCCN[C@@H](c1ccc(OC)c(OC)c1)c1ccccc1Cl ZINC000314253588 333096367 /nfs/dbraw/zinc/09/63/67/333096367.db2.gz REQYCTJWFGGYPR-SFHVURJKSA-N 0 3 319.832 4.446 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@H](C)Oc1ccccc1F ZINC000462734131 333099996 /nfs/dbraw/zinc/09/99/96/333099996.db2.gz BCNUKEVIMQFOKJ-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN O[C@@H](CN[C@H](c1cccc(Cl)c1)C1CCCC1)C(F)(F)F ZINC000461384760 333100905 /nfs/dbraw/zinc/10/09/05/333100905.db2.gz YFCPYCLSMXDPJP-KBPBESRZSA-N 0 3 321.770 4.084 20 0 DIADHN Cc1ccc(O)c(CN[C@@H](C)c2ccc(Cl)c(Cl)c2)n1 ZINC000112716266 333101627 /nfs/dbraw/zinc/10/16/27/333101627.db2.gz HTPDACXKQXJWLU-JTQLQIEISA-N 0 3 311.212 4.253 20 0 DIADHN C[C@@H](CCc1cccn1C)NCc1cccc(Cl)c1Cl ZINC000462740253 333103798 /nfs/dbraw/zinc/10/37/98/333103798.db2.gz QAYVZAPKSAAWOJ-LBPRGKRZSA-N 0 3 311.256 4.443 20 0 DIADHN CO[C@H](CNCc1ccc(F)cc1C)c1ccc(Cl)cc1 ZINC000462742271 333105084 /nfs/dbraw/zinc/10/50/84/333105084.db2.gz OZCSLBYZYRTHOM-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN CO[C@@H](CNCc1ccc(C)cc1C)c1ccc(Cl)cc1 ZINC000462743332 333105207 /nfs/dbraw/zinc/10/52/07/333105207.db2.gz RXSVMWITARGRMY-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN c1c(CNCC2CCC(c3ccccc3)CC2)nc2ccccn12 ZINC000462745581 333106029 /nfs/dbraw/zinc/10/60/29/333106029.db2.gz POXDTHHPYLOFMK-UHFFFAOYSA-N 0 3 319.452 4.398 20 0 DIADHN COc1ccc([C@@H](C)NCCSc2ccccc2)c(OC)c1 ZINC000314437567 333115071 /nfs/dbraw/zinc/11/50/71/333115071.db2.gz BGFMRBIMSCCLAB-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN CC[C@H](O)CCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000462818137 333119599 /nfs/dbraw/zinc/11/95/99/333119599.db2.gz QCHLPPWONHRSLP-STQMWFEESA-N 0 3 322.449 4.151 20 0 DIADHN COc1ccccc1C[C@H](N[C@@H](C)c1csc(C)n1)C(C)C ZINC000462818538 333119779 /nfs/dbraw/zinc/11/97/79/333119779.db2.gz RDOAILPWFAXAQR-BBRMVZONSA-N 0 3 318.486 4.378 20 0 DIADHN CCN(Cc1ccc(Oc2cccnc2)c(C)c1)C[C@H](C)OC ZINC000462834484 333122832 /nfs/dbraw/zinc/12/28/32/333122832.db2.gz TWXFWCVJYDKTPD-INIZCTEOSA-N 0 3 314.429 4.039 20 0 DIADHN C[C@H](Cc1ccccc1Br)N[C@H](C)c1ccoc1 ZINC000540164814 333122885 /nfs/dbraw/zinc/12/28/85/333122885.db2.gz XZROOGYKHCYFTC-VXGBXAGGSA-N 0 3 308.219 4.324 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(C(C)C)[C@H]1CCOC1 ZINC000462869683 333126776 /nfs/dbraw/zinc/12/67/76/333126776.db2.gz GSJZNVJKWOVTBY-HNNXBMFYSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(C(F)F)c1 ZINC000177365507 333128285 /nfs/dbraw/zinc/12/82/85/333128285.db2.gz YBNGUOVOFOQKRD-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN CCc1ccc(/C=C/c2nc(-c3ccc(CN(C)C)cc3)no2)o1 ZINC000460730586 333130452 /nfs/dbraw/zinc/13/04/52/333130452.db2.gz VRKWKSCSXHDILC-VAWYXSNFSA-N 0 3 323.396 4.124 20 0 DIADHN Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)N[C@H]1CCOC1 ZINC000177974709 333133648 /nfs/dbraw/zinc/13/36/48/333133648.db2.gz QPGODVOCBQTWPY-HZMBPMFUSA-N 0 3 322.861 4.211 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(-n3cccn3)cc2)ccc1C ZINC000177986845 333133844 /nfs/dbraw/zinc/13/38/44/333133844.db2.gz MQEOONSCNXEPJX-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1csc(N(C(=O)C2[C@@H](C)C2(F)F)c2ccccc2)n1 ZINC000460765945 333137632 /nfs/dbraw/zinc/13/76/32/333137632.db2.gz GUJMEYSAUXKVPV-ZYHUDNBSSA-N 0 3 308.353 4.017 20 0 DIADHN CC(C)C[C@H](N[C@@H]1C[C@@H](OC(C)C)C1(C)C)c1ccccn1 ZINC000463014238 333139769 /nfs/dbraw/zinc/13/97/69/333139769.db2.gz UXPFJTUUZBUUKQ-RCCFBDPRSA-N 0 3 304.478 4.351 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000314570783 333145261 /nfs/dbraw/zinc/14/52/61/333145261.db2.gz UUUOZLUMXNKLNN-PIGZYNQJSA-N 0 3 310.507 4.215 20 0 DIADHN CN(CCc1nccs1)Cc1cc(Cl)cc2cccnc21 ZINC000179074670 333146206 /nfs/dbraw/zinc/14/62/06/333146206.db2.gz WNHGXCJRUCDKTM-UHFFFAOYSA-N 0 3 317.845 4.019 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1sccc1Cl ZINC000487562474 333147549 /nfs/dbraw/zinc/14/75/49/333147549.db2.gz SGISCRUJUVJTSZ-BONVTDFDSA-N 0 3 313.825 4.406 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1CCO[C@@H](C(C)C)C1 ZINC000487571543 333150910 /nfs/dbraw/zinc/15/09/10/333150910.db2.gz IEYXKOGWJDWUEG-GOEBONIOSA-N 0 3 311.853 4.032 20 0 DIADHN COc1ccc(CN(CCSC)Cc2ccccc2)cc1 ZINC000535556148 335125125 /nfs/dbraw/zinc/12/51/25/335125125.db2.gz MSFKJZOWQKFXTM-UHFFFAOYSA-N 0 3 301.455 4.060 20 0 DIADHN CCCC[C@H](CC)CC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000522681237 333164050 /nfs/dbraw/zinc/16/40/50/333164050.db2.gz OQXPVUADHAFUIL-KRWDZBQOSA-N 0 3 310.526 4.172 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000228227780 333166245 /nfs/dbraw/zinc/16/62/45/333166245.db2.gz LEANJUUMZZLOSE-OPRDCNLKSA-N 0 3 303.269 4.135 20 0 DIADHN CC[C@H]1CCCN(Cc2ccc(OC(F)F)c(OC)c2)CC1 ZINC000522712922 333172789 /nfs/dbraw/zinc/17/27/89/333172789.db2.gz KQUHIBBDUHZJKC-ZDUSSCGKSA-N 0 3 313.388 4.309 20 0 DIADHN C[C@H](NCCCF)c1cc2cc(Br)ccc2o1 ZINC000182028249 333174894 /nfs/dbraw/zinc/17/48/94/333174894.db2.gz FWMGQFRJVOUPKW-VIFPVBQESA-N 0 3 300.171 4.206 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)NCc1cccc(F)c1F ZINC000518780080 333178461 /nfs/dbraw/zinc/17/84/61/333178461.db2.gz DKNLAWJYXRQHHI-CQSZACIVSA-N 0 3 305.368 4.139 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nc2ccc(Br)cc2o1 ZINC000463210069 333183674 /nfs/dbraw/zinc/18/36/74/333183674.db2.gz BDYALPOQGVHJHL-ZWNOBZJWSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H](NCCC1CCOCC1)c1cccc(Cl)c1Cl ZINC000388073628 333201733 /nfs/dbraw/zinc/20/17/33/333201733.db2.gz NRLDWWYBEDFAJC-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000185625033 333210582 /nfs/dbraw/zinc/21/05/82/333210582.db2.gz VPCSONIZNDPILO-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccc(CNC[C@H](Cc2ccccc2)C(F)(F)F)cc1 ZINC000582091891 333210730 /nfs/dbraw/zinc/21/07/30/333210730.db2.gz PNIGGXJIDXYROK-INIZCTEOSA-N 0 3 323.358 4.206 20 0 DIADHN C[C@H](NCCOCc1ccccc1)c1ccc(OC(F)F)cc1 ZINC000390110160 333228556 /nfs/dbraw/zinc/22/85/56/333228556.db2.gz WHLNIZNCOBDUNR-AWEZNQCLSA-N 0 3 321.367 4.155 20 0 DIADHN COc1ccc(CN[C@@H](C)[C@H](OC)c2ccccc2Cl)cc1 ZINC000497261787 333229348 /nfs/dbraw/zinc/22/93/48/333229348.db2.gz NNSWIIOJUFDGHC-UGSOOPFHSA-N 0 3 319.832 4.214 20 0 DIADHN COc1cccc(OCCN[C@@H](C)c2ccc(SC)cc2)c1 ZINC000500221403 333235542 /nfs/dbraw/zinc/23/55/42/333235542.db2.gz WPWSKVGFZFAJKC-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN Fc1ccc(-c2ccc(CNCC[C@@H]3CCCCO3)o2)cc1 ZINC000318978772 333237363 /nfs/dbraw/zinc/23/73/63/333237363.db2.gz ITMJMGFCIBNCFD-INIZCTEOSA-N 0 3 303.377 4.135 20 0 DIADHN CC[C@@H](C[C@@H](C)CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000525759690 333239123 /nfs/dbraw/zinc/23/91/23/333239123.db2.gz KSMMHTYXIDJSQK-OLZOCXBDSA-N 0 3 323.383 4.112 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN[C@H](C)c1cn[nH]c1 ZINC000500397183 333239640 /nfs/dbraw/zinc/23/96/40/333239640.db2.gz LTEFMOZYPDHRMC-YTRUQHMWSA-N 0 3 309.335 4.183 20 0 DIADHN Clc1ccc(C2(N[C@@H]3CCCc4n[nH]cc43)CCC2)cc1 ZINC000368609534 333241856 /nfs/dbraw/zinc/24/18/56/333241856.db2.gz YYXPJBCRLPCNAH-OAHLLOKOSA-N 0 3 301.821 4.110 20 0 DIADHN C[C@@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cc2ccccc2s1 ZINC000188126608 333241955 /nfs/dbraw/zinc/24/19/55/333241955.db2.gz BWQNMVSLEQNHAT-GFJSAUFNSA-N 0 3 309.434 4.210 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N[C@H](C)c1ccccc1 ZINC000497386091 333243726 /nfs/dbraw/zinc/24/37/26/333243726.db2.gz HZOKFSHEDXRACS-DYESRHJHSA-N 0 3 324.468 4.193 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N[C@H]1CCCC[C@@H]1C ZINC000497424974 333249727 /nfs/dbraw/zinc/24/97/27/333249727.db2.gz DULFDFUYSPTENA-XKGZKEIXSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ccnn2C)C1 ZINC000497497852 333256343 /nfs/dbraw/zinc/25/63/43/333256343.db2.gz XQGYLGKNKJXYRW-DZGCQCFKSA-N 0 3 303.837 4.014 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)N(C)c1ccccc1)c1ccc(F)cn1 ZINC000497519246 333258151 /nfs/dbraw/zinc/25/81/51/333258151.db2.gz BEWFFUNLBYPUEN-DNVCBOLYSA-N 0 3 315.436 4.032 20 0 DIADHN COC(=O)c1ccc(CN(Cc2cccs2)C(C)C)cc1F ZINC000388844875 335130033 /nfs/dbraw/zinc/13/00/33/335130033.db2.gz FBQTZLHAGZDCOB-UHFFFAOYSA-N 0 3 321.417 4.084 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000390328910 333267252 /nfs/dbraw/zinc/26/72/52/333267252.db2.gz ZIOZZWHWMZOHRY-LSDHHAIUSA-N 0 3 311.429 4.206 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCCOc1ccccc1 ZINC000497605730 333268114 /nfs/dbraw/zinc/26/81/14/333268114.db2.gz PECCFLRGLLABNB-AWEZNQCLSA-N 0 3 305.368 4.427 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCCOc1ccccc1 ZINC000497605731 333268239 /nfs/dbraw/zinc/26/82/39/333268239.db2.gz PECCFLRGLLABNB-CQSZACIVSA-N 0 3 305.368 4.427 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CCC[C@H](C)[C@H]2C)cc(Cl)c1[O-] ZINC000497692663 333278795 /nfs/dbraw/zinc/27/87/95/333278795.db2.gz TVIFCXWFZFCSBD-YWPYICTPSA-N 0 3 311.853 4.359 20 0 DIADHN CCc1ccc(CCN[C@H](C)c2nc3c(s2)CCC3)cc1 ZINC000497706162 333280684 /nfs/dbraw/zinc/28/06/84/333280684.db2.gz SPZPMAUDQLULKJ-CYBMUJFWSA-N 0 3 300.471 4.088 20 0 DIADHN CCOc1cc(C[NH2+][C@H](C)CCc2ccco2)cc(Cl)c1[O-] ZINC000497707610 333280967 /nfs/dbraw/zinc/28/09/67/333280967.db2.gz ZWJJLKKSBJIPGS-GFCCVEGCSA-N 0 3 323.820 4.148 20 0 DIADHN C[C@H](NC[C@@H]1CCO[C@H]1c1ccccc1)c1cc(F)ccc1F ZINC000191861818 333283145 /nfs/dbraw/zinc/28/31/45/333283145.db2.gz PPYMBMAXXNYZDS-RFUYNDQBSA-N 0 3 317.379 4.393 20 0 DIADHN CC(C)[C@@H](NCCCN(C)c1ccccc1)c1ccc(F)cn1 ZINC000497810488 333295445 /nfs/dbraw/zinc/29/54/45/333295445.db2.gz WDVPOFJKNRSGKB-LJQANCHMSA-N 0 3 315.436 4.034 20 0 DIADHN CSC[C@@H](CCO)N[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497822029 333296604 /nfs/dbraw/zinc/29/66/04/333296604.db2.gz LGGZTJQNUBLEPT-PBHICJAKSA-N 0 3 321.486 4.224 20 0 DIADHN CC(C)(C)[C@H](N[C@H]1CCN(C2CC2)C1)c1cc2ccccc2o1 ZINC000497835427 333298594 /nfs/dbraw/zinc/29/85/94/333298594.db2.gz HGUCPGMZKGBBQY-HNAYVOBHSA-N 0 3 312.457 4.346 20 0 DIADHN C[C@H](N[C@@H]1CC12CCCC2)c1sccc1Br ZINC000390556000 333299068 /nfs/dbraw/zinc/29/90/68/333299068.db2.gz QKSXVJNTWMGZKV-GXSJLCMTSA-N 0 3 300.265 4.494 20 0 DIADHN C[C@H](CNCc1cc2ccccc2o1)N(C)Cc1ccccc1 ZINC000390561045 333300374 /nfs/dbraw/zinc/30/03/74/333300374.db2.gz LPUZJNRAOZFXSU-MRXNPFEDSA-N 0 3 308.425 4.043 20 0 DIADHN Cc1cccc(CCN[C@H](c2ccccc2)c2cccnc2)c1 ZINC000497844737 333300527 /nfs/dbraw/zinc/30/05/27/333300527.db2.gz DLGMAONWJCAIFH-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc(F)ccc2C)c1 ZINC000497852142 333301541 /nfs/dbraw/zinc/30/15/41/333301541.db2.gz ZTXMVMLDPQUPER-UHFFFAOYSA-N 0 3 314.404 4.228 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CSCCC(C)C)c1 ZINC000497851739 333301581 /nfs/dbraw/zinc/30/15/81/333301581.db2.gz VFXPXXUSCWGNHS-UHFFFAOYSA-N 0 3 322.518 4.246 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)Oc1cccc(F)c1)c1ccc(F)cn1 ZINC000497852651 333301854 /nfs/dbraw/zinc/30/18/54/333301854.db2.gz JWQRCDJCVSLNCP-SCLBCKFNSA-N 0 3 320.383 4.114 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@H](c2ccc(F)cn2)C(C)C)cc1 ZINC000497854598 333301896 /nfs/dbraw/zinc/30/18/96/333301896.db2.gz AMDCEYYVEKEMAH-BEFAXECRSA-N 0 3 316.420 4.283 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)Oc1ccccc1F)c1ccc(F)cn1 ZINC000497864441 333302405 /nfs/dbraw/zinc/30/24/05/333302405.db2.gz KISKVJAVDVRYJI-SCLBCKFNSA-N 0 3 320.383 4.114 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@@H](c1ccc(F)cn1)C(C)C ZINC000497861662 333302909 /nfs/dbraw/zinc/30/29/09/333302909.db2.gz XHGXCRQDPHPRKI-HNAYVOBHSA-N 0 3 316.420 4.283 20 0 DIADHN CC[C@H](N[C@H](C)COCc1ccccc1)c1ccccc1OC ZINC000390601133 333305963 /nfs/dbraw/zinc/30/59/63/333305963.db2.gz XCCGVXFWZXXIDE-APWZRJJASA-N 0 3 313.441 4.341 20 0 DIADHN CC[C@H](N[C@@H](C)COCc1ccccc1)c1ccccc1OC ZINC000390601134 333306025 /nfs/dbraw/zinc/30/60/25/333306025.db2.gz XCCGVXFWZXXIDE-LPHOPBHVSA-N 0 3 313.441 4.341 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1ccccc1OC(F)F ZINC000390623158 333307858 /nfs/dbraw/zinc/30/78/58/333307858.db2.gz HIUKFDAVOJMCCG-MNOVXSKESA-N 0 3 305.315 4.374 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000525783935 333309384 /nfs/dbraw/zinc/30/93/84/333309384.db2.gz WTUFUPGUYRELPI-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H]2CCCCC2(F)F)cc1F ZINC000390651016 333310862 /nfs/dbraw/zinc/31/08/62/333310862.db2.gz NMECPXWEPJDRIY-YPMHNXCESA-N 0 3 301.352 4.310 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(C(C)(C)C)cc2)c1 ZINC000497932580 333311539 /nfs/dbraw/zinc/31/15/39/333311539.db2.gz AJCNOAGHRKZHJQ-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@H]1C(C)(C)C)c1ccccc1Cl ZINC000525785933 333311568 /nfs/dbraw/zinc/31/15/68/333311568.db2.gz QXNZPPXEKXZRQK-CJNGLKHVSA-N 0 3 322.880 4.028 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H]1C(C)(C)C ZINC000525786786 333312600 /nfs/dbraw/zinc/31/26/00/333312600.db2.gz NCEHAVWBDWNXPB-MRXNPFEDSA-N 0 3 302.462 4.006 20 0 DIADHN CCCCc1ccc(CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000497953403 333313907 /nfs/dbraw/zinc/31/39/07/333313907.db2.gz RPFYCYNTOIUUNB-UHFFFAOYSA-N 0 3 324.468 4.272 20 0 DIADHN CCC[C@H](C)C(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000497983689 333317195 /nfs/dbraw/zinc/31/71/95/333317195.db2.gz CEKVPVJVXQBJFS-JKSUJKDBSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1)NCc1ccc(Cl)s1 ZINC000390744465 333322838 /nfs/dbraw/zinc/32/28/38/333322838.db2.gz OQYFFODZBXPCQX-CYBMUJFWSA-N 0 3 308.878 4.012 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](c2cccnc2)C1 ZINC000563073555 333324058 /nfs/dbraw/zinc/32/40/58/333324058.db2.gz WOYVBRAOFQVLOE-SWLSCSKDSA-N 0 3 304.796 4.425 20 0 DIADHN C[C@@H](NCCCC(C)(C)CO)c1cc(Cl)ccc1Cl ZINC000393405574 333333855 /nfs/dbraw/zinc/33/38/55/333333855.db2.gz ULOGXUDFJAQWBC-LLVKDONJSA-N 0 3 304.261 4.443 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000531212906 333335115 /nfs/dbraw/zinc/33/51/15/333335115.db2.gz CHUPPEOVVWSCTH-PWSUYJOCSA-N 0 3 307.306 4.423 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H](C)[C@H]3C)cs2)cc1 ZINC000531214403 333335161 /nfs/dbraw/zinc/33/51/61/333335161.db2.gz WAYXBNQGJIDSTK-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](C)c1ccc2c(c1)OCCCO2 ZINC000537938103 333336405 /nfs/dbraw/zinc/33/64/05/333336405.db2.gz MVJUYSQUKGPARH-DNVCBOLYSA-N 0 3 323.436 4.494 20 0 DIADHN Clc1cccc2c1CC[C@@H]2NCc1ccccc1-n1cccn1 ZINC000537939128 333339368 /nfs/dbraw/zinc/33/93/68/333339368.db2.gz DSMPMXHPSONFSS-SFHVURJKSA-N 0 3 323.827 4.303 20 0 DIADHN COC(=O)c1cc2cc(CNC(C)(C)c3ccccc3)ccc2o1 ZINC000531344671 333344986 /nfs/dbraw/zinc/34/49/86/333344986.db2.gz TXDHWOGPODONJA-UHFFFAOYSA-N 0 3 323.392 4.244 20 0 DIADHN CCc1ccsc1-c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000531348675 333345353 /nfs/dbraw/zinc/34/53/53/333345353.db2.gz SBYQAJWTRWCGIT-UHFFFAOYSA-N 0 3 313.426 4.089 20 0 DIADHN c1ccc2c(c1)C=C(CNC[C@@H]1SCCc3ccccc31)CO2 ZINC000531390935 333349047 /nfs/dbraw/zinc/34/90/47/333349047.db2.gz NFCAJICIFMFKBQ-FQEVSTJZSA-N 0 3 323.461 4.083 20 0 DIADHN COc1cc(C)c(CN[C@H](c2cccs2)C2CC2)cc1OC ZINC000531438892 333353233 /nfs/dbraw/zinc/35/32/33/333353233.db2.gz ITQGRMOBSDPZNM-SFHVURJKSA-N 0 3 317.454 4.315 20 0 DIADHN CC(C)n1cc([C@@H](C)NCC2(c3ccccc3Cl)CC2)cn1 ZINC000537941634 333353994 /nfs/dbraw/zinc/35/39/94/333353994.db2.gz YDGDFVXPKIGHHI-CQSZACIVSA-N 0 3 317.864 4.500 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC(C)(C)C(C)(C)CO)o1 ZINC000531445366 333354056 /nfs/dbraw/zinc/35/40/56/333354056.db2.gz ACENHAFQQKMLBD-UHFFFAOYSA-N 0 3 319.420 4.281 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CNC1CC(C)C1 ZINC000531444595 333354167 /nfs/dbraw/zinc/35/41/67/333354167.db2.gz RTMIIMUFZHRQMW-UHFFFAOYSA-N 0 3 317.864 4.090 20 0 DIADHN C[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)c1nccs1 ZINC000184291067 333354753 /nfs/dbraw/zinc/35/47/53/333354753.db2.gz CZZGPBWOELASGY-LBPRGKRZSA-N 0 3 316.401 4.436 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCC1(C(F)(F)F)CC1 ZINC000583456488 333357562 /nfs/dbraw/zinc/35/75/62/333357562.db2.gz GNTYLOGPNGMRGL-YGRLFVJLSA-N 0 3 301.327 4.381 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCC1(C(F)(F)F)CC1 ZINC000583456485 333357585 /nfs/dbraw/zinc/35/75/85/333357585.db2.gz GNTYLOGPNGMRGL-HZMBPMFUSA-N 0 3 301.327 4.381 20 0 DIADHN C[C@@H](CCc1ccco1)NCc1ccsc1Br ZINC000388563550 333357700 /nfs/dbraw/zinc/35/77/00/333357700.db2.gz PYXQKFOJYDLRFX-JTQLQIEISA-N 0 3 314.248 4.215 20 0 DIADHN C[C@H](NC[C@]1(C)CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000397302509 333358524 /nfs/dbraw/zinc/35/85/24/333358524.db2.gz OXEVVNDSNNGTGE-XPTSAGLGSA-N 0 3 306.208 4.210 20 0 DIADHN C[C@H](NCC(C)(C)CCCO)c1ccc(Cl)cc1Cl ZINC000221600157 333361398 /nfs/dbraw/zinc/36/13/98/333361398.db2.gz MZNWRDXAGVKQEH-NSHDSACASA-N 0 3 304.261 4.443 20 0 DIADHN Cc1ccc(N[C@H]2CS[C@@H](C(C)(C)C)C2)c(CN(C)C)c1 ZINC000488326405 333372240 /nfs/dbraw/zinc/37/22/40/333372240.db2.gz DJPGWUXLZJRIST-NVXWUHKLSA-N 0 3 306.519 4.389 20 0 DIADHN CC(C(=O)Nc1ccc(-c2cnc(C3CC3)o2)cc1)C(F)(F)F ZINC000463402882 333376355 /nfs/dbraw/zinc/37/63/55/333376355.db2.gz IHOCLMKOTJETGX-VIFPVBQESA-N 0 3 324.302 4.356 20 0 DIADHN Clc1ccc2nc(CN3CCC[C@H]3C3CCCC3)cn2c1 ZINC000521422391 333379102 /nfs/dbraw/zinc/37/91/02/333379102.db2.gz UZVPQCRLYADXNW-INIZCTEOSA-N 0 3 303.837 4.142 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(OC)c1)c1cccc(F)c1 ZINC000186835060 333379961 /nfs/dbraw/zinc/37/99/61/333379961.db2.gz LFBSWUWRWZAEKS-MRXNPFEDSA-N 0 3 303.377 4.084 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@@H]1CCCN1Cc1ccc(Cl)cc1Cl ZINC000228483097 333386887 /nfs/dbraw/zinc/38/68/87/333386887.db2.gz VNMKQWYVVBFJJJ-CWRNSKLLSA-N 0 3 314.256 4.119 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc(C)sc2C)cc1OC ZINC000021038111 333386889 /nfs/dbraw/zinc/38/68/89/333386889.db2.gz OKMLLYQMWFFMAY-LBPRGKRZSA-N 0 3 305.443 4.233 20 0 DIADHN C[C@H](N[C@H]1CCSc2ccccc21)c1ccc2c(c1)OCO2 ZINC000121765221 333388122 /nfs/dbraw/zinc/38/81/22/333388122.db2.gz IYYMIGSVFMMVPZ-WFASDCNBSA-N 0 3 313.422 4.303 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2cnc(CCc3ccccc3)s2)C1 ZINC000563121663 333392780 /nfs/dbraw/zinc/39/27/80/333392780.db2.gz ARGAXEQDAOJJMD-CVEARBPZSA-N 0 3 318.461 4.299 20 0 DIADHN F[C@H]1CC[C@H](NCc2cc(Cl)ccc2Br)C1 ZINC000390791313 333393730 /nfs/dbraw/zinc/39/37/30/333393730.db2.gz CCMKVSUDRULQIR-QWRGUYRKSA-N 0 3 306.606 4.083 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)n(C)n1 ZINC000417801949 335137898 /nfs/dbraw/zinc/13/78/98/335137898.db2.gz AACMGJWKNWEZEE-KGLIPLIRSA-N 0 3 305.853 4.006 20 0 DIADHN Cc1cccc(N[C@H]2CCN(Cc3ccccc3)CC2(C)C)n1 ZINC000390853727 333399877 /nfs/dbraw/zinc/39/98/77/333399877.db2.gz HSOHKFKEVLWRFL-SFHVURJKSA-N 0 3 309.457 4.103 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)N(C)C)c1cc2ccccc2o1 ZINC000319758163 333402656 /nfs/dbraw/zinc/40/26/56/333402656.db2.gz CESNPOZSCAWMRJ-YJBOKZPZSA-N 0 3 308.425 4.386 20 0 DIADHN CC(C)(NCc1cc(Cl)c2c(c1)OCCO2)c1ccccc1 ZINC000319799607 333407876 /nfs/dbraw/zinc/40/78/76/333407876.db2.gz GGAJEIGMYIVUNE-UHFFFAOYSA-N 0 3 317.816 4.136 20 0 DIADHN COc1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)ccn1 ZINC000538323057 333411184 /nfs/dbraw/zinc/41/11/84/333411184.db2.gz GEHQWXCTJARYJP-PZPSRYQVSA-N 0 3 316.832 4.231 20 0 DIADHN COC(=O)CCC1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC000531479679 333414749 /nfs/dbraw/zinc/41/47/49/333414749.db2.gz ZIMSXPKNXBTVPK-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000187057652 333416339 /nfs/dbraw/zinc/41/63/39/333416339.db2.gz KAPJSYOTHLFXBD-AEJSXWLSSA-N 0 3 303.219 4.068 20 0 DIADHN COC[C@H](NCCCc1ccsc1)c1ccc(Cl)cc1 ZINC000488735932 333421882 /nfs/dbraw/zinc/42/18/82/333421882.db2.gz OUJKUNSVDGGDMD-INIZCTEOSA-N 0 3 309.862 4.311 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3c(c2)CCC3)cc1OC ZINC000320046441 333422524 /nfs/dbraw/zinc/42/25/24/333422524.db2.gz RSNVOIRHAGAUKI-AWEZNQCLSA-N 0 3 311.425 4.043 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2ccco2)N2CCCC2)c(C)c1 ZINC000320079984 333423899 /nfs/dbraw/zinc/42/38/99/333423899.db2.gz VXVNLCMVWJJLIS-HKUYNNGSSA-N 0 3 312.457 4.384 20 0 DIADHN CCC[C@@H](NCc1ccc2c(c1)OCCCO2)c1ccccc1 ZINC000320090629 333424686 /nfs/dbraw/zinc/42/46/86/333424686.db2.gz ZBZOHECWCBVGMA-GOSISDBHSA-N 0 3 311.425 4.479 20 0 DIADHN CCC[C@@H](CC)N[C@H](C)c1ncc(Br)cc1F ZINC000187320271 333429638 /nfs/dbraw/zinc/42/96/38/333429638.db2.gz QWZRZENCHVIIHZ-MWLCHTKSSA-N 0 3 303.219 4.213 20 0 DIADHN CC[C@H](NCC1(CC)COC1)c1ccc(Cl)cc1Cl ZINC000393985146 333448523 /nfs/dbraw/zinc/44/85/23/333448523.db2.gz CFGJENPUPZZRMF-AWEZNQCLSA-N 0 3 302.245 4.461 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCCC[C@@H]2C2CC2)c(F)c1 ZINC000563195273 333458846 /nfs/dbraw/zinc/45/88/46/333458846.db2.gz OOBKHMWJUUXKSQ-HZPDHXFCSA-N 0 3 318.436 4.042 20 0 DIADHN C[C@H]1CC[C@H](NCc2cnc3n2CCCC3)c2sccc21 ZINC000565404471 333475879 /nfs/dbraw/zinc/47/58/79/333475879.db2.gz CHHRNSXMNRXHTF-WFASDCNBSA-N 0 3 301.459 4.009 20 0 DIADHN COc1cc(CN[C@@H](C)c2cc(C)oc2C)c2ccccc2n1 ZINC000571014998 333480318 /nfs/dbraw/zinc/48/03/18/333480318.db2.gz DTLNZTRHLVUZNG-ZDUSSCGKSA-N 0 3 310.397 4.304 20 0 DIADHN CCCC(O)(CCC)CN[C@@H](C)c1cnc2ccsc2c1 ZINC000152044670 333519083 /nfs/dbraw/zinc/51/90/83/333519083.db2.gz SSLCIEPZGAFFHS-ZDUSSCGKSA-N 0 3 306.475 4.278 20 0 DIADHN Cc1cc(CCN[C@@H](C)c2ccc(SC(C)C)cc2)on1 ZINC000563282815 333520443 /nfs/dbraw/zinc/52/04/43/333520443.db2.gz TZEDXNFPJORUHS-AWEZNQCLSA-N 0 3 304.459 4.377 20 0 DIADHN CCC[C@@](C)(O)CNCc1cc(-c2ccccc2)ccc1OC ZINC000531535353 333533968 /nfs/dbraw/zinc/53/39/68/333533968.db2.gz QQDRZDBDCAHKQW-HXUWFJFHSA-N 0 3 313.441 4.003 20 0 DIADHN CC(C)OCCCN[C@H](C)c1ccccc1OC(F)(F)F ZINC000531693228 333546133 /nfs/dbraw/zinc/54/61/33/333546133.db2.gz VHZILWDFZVMQIW-GFCCVEGCSA-N 0 3 305.340 4.051 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCOc2ccc(C)cc2C)s1 ZINC000531698657 333547100 /nfs/dbraw/zinc/54/71/00/333547100.db2.gz QICLPTAUCREWQJ-CYBMUJFWSA-N 0 3 304.459 4.106 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@@H]2CCO[C@H]2C2CC2)cc1 ZINC000534094764 333560144 /nfs/dbraw/zinc/56/01/44/333560144.db2.gz NAZMYYUKQYZVGL-CTNGQTDRSA-N 0 3 323.436 4.019 20 0 DIADHN C[C@@H](NCC[C@H](C)c1ccccc1)c1nc2ccccc2n1C ZINC000320815510 335147893 /nfs/dbraw/zinc/14/78/93/335147893.db2.gz BEBQUDCQEKOJBP-JKSUJKDBSA-N 0 3 307.441 4.418 20 0 DIADHN OC[C@@H](N[C@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000534238952 333569570 /nfs/dbraw/zinc/56/95/70/333569570.db2.gz PYBHXUSFPSNUIK-ZWKOTPCHSA-N 0 3 301.817 4.041 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNC2CCC3(CCOCC3)CC2)o1 ZINC000343346076 335148352 /nfs/dbraw/zinc/14/83/52/335148352.db2.gz HTXCCKIHVMKMJA-WMLDXEAASA-N 0 3 303.446 4.232 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2cccc(C)c2)c2ccccc21 ZINC000339752097 333589294 /nfs/dbraw/zinc/58/92/94/333589294.db2.gz JIMKARFLIVTSLL-BEFAXECRSA-N 0 3 308.425 4.144 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000563419790 333603147 /nfs/dbraw/zinc/60/31/47/333603147.db2.gz ZGEUOMAWFCWTGQ-CXAGYDPISA-N 0 3 301.409 4.362 20 0 DIADHN COCCN[C@@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000152118370 333613070 /nfs/dbraw/zinc/61/30/70/333613070.db2.gz LZEWPBWCABTXMV-JTQLQIEISA-N 0 3 310.850 4.069 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000563654144 333647367 /nfs/dbraw/zinc/64/73/67/333647367.db2.gz CLFPFBVZYKPVPA-BBRMVZONSA-N 0 3 323.358 4.464 20 0 DIADHN C[C@H](CC1CC1)NCc1cc(F)c(F)cc1Br ZINC000571271017 333694090 /nfs/dbraw/zinc/69/40/90/333694090.db2.gz USVHINLLOUFYBJ-MRVPVSSYSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2-n2ccnc2)c(C)c1 ZINC000152252075 333651197 /nfs/dbraw/zinc/65/11/97/333651197.db2.gz IUPDNHQPSPSESP-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccccc2-n2ccnc2)s1 ZINC000152268116 333654428 /nfs/dbraw/zinc/65/44/28/333654428.db2.gz APAYRIIOGLTUMP-AWEZNQCLSA-N 0 3 311.454 4.347 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C(C)(C)C)cs1 ZINC000411345520 333658917 /nfs/dbraw/zinc/65/89/17/333658917.db2.gz YWWBQFUVLIFNRU-INIZCTEOSA-N 0 3 317.454 4.412 20 0 DIADHN CC1(C)CC[C@H](CN[C@H](CC(F)(F)F)c2ccccc2)O1 ZINC000411457926 333673794 /nfs/dbraw/zinc/67/37/94/333673794.db2.gz OEPJPNLAPNVCSZ-ZIAGYGMSSA-N 0 3 301.352 4.227 20 0 DIADHN COC(=O)c1cccc(CNC(C)(C)CC2CCCCCC2)n1 ZINC000571270579 333693881 /nfs/dbraw/zinc/69/38/81/333693881.db2.gz YGHDKDPQDPDKOI-UHFFFAOYSA-N 0 3 318.461 4.097 20 0 DIADHN Cc1ccc2ncc(CN3CCC4(CCC(O)CC4)CC3)cc2c1 ZINC000411767158 333705610 /nfs/dbraw/zinc/70/56/10/333705610.db2.gz FDKPXSKXNLSOMW-UHFFFAOYSA-N 0 3 324.468 4.060 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CNC1CCC2(CC2)CC1 ZINC000434779665 333715403 /nfs/dbraw/zinc/71/54/03/333715403.db2.gz VTPJXPRIXIVVBY-UHFFFAOYSA-N 0 3 303.837 4.039 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2cccc(N3CCC3=O)c2)cc1C ZINC000442824341 335155927 /nfs/dbraw/zinc/15/59/27/335155927.db2.gz SHYBCNBPEULQLL-KRWDZBQOSA-N 0 3 322.452 4.199 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2CCC(C)(C)CC2)c(F)c1 ZINC000412558859 333763727 /nfs/dbraw/zinc/76/37/27/333763727.db2.gz LUAUHCOLVYNRKX-UHFFFAOYSA-N 0 3 306.425 4.042 20 0 DIADHN CC(C)c1ccsc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412556637 333763910 /nfs/dbraw/zinc/76/39/10/333763910.db2.gz FZLYCEIKTCMVOZ-UHFFFAOYSA-N 0 3 320.433 4.325 20 0 DIADHN CCCCOC1CCN(C/C=C/c2ccc(F)c(F)c2)CC1 ZINC000571365374 333778952 /nfs/dbraw/zinc/77/89/52/333778952.db2.gz RPLCNIXMBJOKKI-SNAWJCMRSA-N 0 3 309.400 4.259 20 0 DIADHN COc1ccncc1CN[C@@H](c1cccs1)C1CCCC1 ZINC000571424296 333788504 /nfs/dbraw/zinc/78/85/04/333788504.db2.gz JJMPURJKEHBMMM-QGZVFWFLSA-N 0 3 302.443 4.173 20 0 DIADHN CCc1nnc(CN[C@@H](C)C2(c3ccccc3)CCCC2)s1 ZINC000571453731 333791102 /nfs/dbraw/zinc/79/11/02/333791102.db2.gz APFUZZGPZKSTIJ-AWEZNQCLSA-N 0 3 315.486 4.091 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)N[C@@H]1CCCc2c1cnn2C ZINC000163769241 333807928 /nfs/dbraw/zinc/80/79/28/333807928.db2.gz NMLAXUFOLMOBDV-MOPGFXCFSA-N 0 3 311.473 4.483 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000343437427 335164380 /nfs/dbraw/zinc/16/43/80/335164380.db2.gz DNHDLKQVPWDBHU-GMTAPVOTSA-N 0 3 310.488 4.254 20 0 DIADHN C[C@H](NCCCOCC1CC1)c1csc(-c2ccccc2)n1 ZINC000414057849 333888406 /nfs/dbraw/zinc/88/84/06/333888406.db2.gz GJXUGFHCOPRPDB-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(-n3cccn3)cc2)c(C)c1 ZINC000414080241 333892828 /nfs/dbraw/zinc/89/28/28/333892828.db2.gz UKKFEZMTCUAQIO-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@@H]1CCCO1 ZINC000414095249 333895284 /nfs/dbraw/zinc/89/52/84/333895284.db2.gz HFTIVMJIYZEPFJ-QRTARXTBSA-N 0 3 316.470 4.418 20 0 DIADHN CC[C@H](N[C@H]1C[C@@H](OC(C)(C)C)C1(C)C)c1c(C)noc1C ZINC000414103661 333896650 /nfs/dbraw/zinc/89/66/50/333896650.db2.gz NSJGDJOYYXPJKM-SOUVJXGZSA-N 0 3 308.466 4.314 20 0 DIADHN CC[C@H](N[C@@H]1C[C@H](OC(C)(C)C)C1(C)C)c1c(C)noc1C ZINC000414103664 333896775 /nfs/dbraw/zinc/89/67/75/333896775.db2.gz NSJGDJOYYXPJKM-ZNMIVQPWSA-N 0 3 308.466 4.314 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1csc(-c2ccccc2)n1 ZINC000414122461 333898469 /nfs/dbraw/zinc/89/84/69/333898469.db2.gz BBFGOMRQARZRAI-SGMGOOAPSA-N 0 3 304.484 4.212 20 0 DIADHN COCc1nc(CN[C@H](C)[C@@H]2C[C@@H]2C)c(-c2ccccc2)s1 ZINC000414136794 333901096 /nfs/dbraw/zinc/90/10/96/333901096.db2.gz HWJTVDLPZMRJNN-GZBFAFLISA-N 0 3 316.470 4.091 20 0 DIADHN C[C@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1cncc(F)c1 ZINC000414142073 333902056 /nfs/dbraw/zinc/90/20/56/333902056.db2.gz KBHFMXQQBRQAFD-SGTLLEGYSA-N 0 3 309.388 4.430 20 0 DIADHN CCSc1cc(CN[C@H](C)c2ccc(OC)cc2C)ccn1 ZINC000414146115 333903059 /nfs/dbraw/zinc/90/30/59/333903059.db2.gz VADHZCNLGLZJBT-CQSZACIVSA-N 0 3 316.470 4.361 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000414153697 333904203 /nfs/dbraw/zinc/90/42/03/333904203.db2.gz KFCVBZVXCCZQDQ-ZIAGYGMSSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](N[C@H](CO)C1CCCC1)c1csc(-c2ccccc2)n1 ZINC000414154082 333904214 /nfs/dbraw/zinc/90/42/14/333904214.db2.gz YYOMLBMQMHQDAI-CZUORRHYSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3OC)c(C)c1 ZINC000414117814 333906175 /nfs/dbraw/zinc/90/61/75/333906175.db2.gz SRITVKLWPVSJDP-IFXJQAMLSA-N 0 3 311.425 4.350 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)NCc1cnc(C)o1 ZINC000414173843 333908155 /nfs/dbraw/zinc/90/81/55/333908155.db2.gz GFHHBUPBOLPVAZ-CYBMUJFWSA-N 0 3 310.850 4.297 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)NCc1cnc(C)o1 ZINC000414173844 333908274 /nfs/dbraw/zinc/90/82/74/333908274.db2.gz GFHHBUPBOLPVAZ-ZDUSSCGKSA-N 0 3 310.850 4.297 20 0 DIADHN CC(C)[C@H](NCc1ccc2cncn2c1)c1cc(F)ccc1F ZINC000414179552 333909447 /nfs/dbraw/zinc/90/94/47/333909447.db2.gz KNPMFWKQMYYGIY-SFHVURJKSA-N 0 3 315.367 4.099 20 0 DIADHN COCC[C@H](C)CN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000414200192 333913197 /nfs/dbraw/zinc/91/31/97/333913197.db2.gz AXAAQSNYHVQNHF-QWHCGFSZSA-N 0 3 322.449 4.272 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2C[C@H]2c2c(F)cccc2Cl)c(C)n1 ZINC000414164615 333915895 /nfs/dbraw/zinc/91/58/95/333915895.db2.gz BAEGQGCCCGVGAG-KANYHAFZSA-N 0 3 319.811 4.093 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@H]2c2ccccc2F)cnn1C(C)C ZINC000414167230 333916469 /nfs/dbraw/zinc/91/64/69/333916469.db2.gz GIJARXDAQDZTQO-XLWVJDPXSA-N 0 3 301.409 4.118 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CS[C@H](C)C3)c(C)c2c1 ZINC000414236929 333917634 /nfs/dbraw/zinc/91/76/34/333917634.db2.gz WFQUTRNNOLKQNY-KGYLQXTDSA-N 0 3 305.443 4.294 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@@H]1CCSc2ccccc21 ZINC000414220562 333923744 /nfs/dbraw/zinc/92/37/44/333923744.db2.gz KDHFNJGSJUMFIV-LMMKCTJWSA-N 0 3 311.450 4.126 20 0 DIADHN C[C@@H](NCC1(c2c(F)cccc2F)CCC1)c1cncs1 ZINC000414225813 333925304 /nfs/dbraw/zinc/92/53/04/333925304.db2.gz BOIMLGQZPDMTPI-LLVKDONJSA-N 0 3 308.397 4.194 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1cccc(Cl)c1Cl ZINC000414253954 333928084 /nfs/dbraw/zinc/92/80/84/333928084.db2.gz BJMUCTMYAQQHOY-NOOOWODRSA-N 0 3 302.245 4.458 20 0 DIADHN CC(C)(CN[C@H]1CCSc2ccc(F)cc21)OCC1CC1 ZINC000414257316 333928611 /nfs/dbraw/zinc/92/86/11/333928611.db2.gz GVGUYPYSGVCFMW-HNNXBMFYSA-N 0 3 309.450 4.157 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414289098 333934236 /nfs/dbraw/zinc/93/42/36/333934236.db2.gz QZKCIKSRYLVZSM-MORSLUCNSA-N 0 3 301.409 4.112 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@@H](C)C3(OC)CCC3)oc21 ZINC000414300382 333936173 /nfs/dbraw/zinc/93/61/73/333936173.db2.gz ITXUBBOPVYRYTP-STQMWFEESA-N 0 3 303.402 4.050 20 0 DIADHN CCc1ncc(CN[C@H](c2cccs2)C(CC)CC)cn1 ZINC000414309101 333937016 /nfs/dbraw/zinc/93/70/16/333937016.db2.gz ULNFQJNVGNNBOL-KRWDZBQOSA-N 0 3 303.475 4.368 20 0 DIADHN Fc1ccc([C@@H](C[C@@H]2CCOC2)N[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414341705 333942497 /nfs/dbraw/zinc/94/24/97/333942497.db2.gz IFKVOTFNZSIPSG-OKDNKWQNSA-N 0 3 315.388 4.032 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)NCc1ccc(C)nn1 ZINC000414342721 333942744 /nfs/dbraw/zinc/94/27/44/333942744.db2.gz JEXFBFGGWHDDED-AWEZNQCLSA-N 0 3 324.255 4.203 20 0 DIADHN COC1([C@H](C)N[C@@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000414305155 333943961 /nfs/dbraw/zinc/94/39/61/333943961.db2.gz NHHMQDWVMIWGDL-QWRGUYRKSA-N 0 3 317.351 4.035 20 0 DIADHN C[C@@H](NCc1cc(Cl)cc(C(F)(F)F)c1)[C@@H]1CCCCO1 ZINC000436403409 333959486 /nfs/dbraw/zinc/95/94/86/333959486.db2.gz ANVYZIUIYFWJCY-YGRLFVJLSA-N 0 3 321.770 4.406 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)CC(F)(F)F)CCS2 ZINC000343472586 335167686 /nfs/dbraw/zinc/16/76/86/335167686.db2.gz UYLZJGNSZDLNLX-SKDRFNHKSA-N 0 3 305.365 4.163 20 0 DIADHN CCCC[C@@H](COC)N[C@@H]1CCSc2ccc(OC)cc21 ZINC000343472736 335167864 /nfs/dbraw/zinc/16/78/64/335167864.db2.gz VZFXFYQISRUPKY-XJKSGUPXSA-N 0 3 309.475 4.027 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCC[C@@H]([C@H]4CCOC4)C3)CCC2)n1 ZINC000343475655 335168057 /nfs/dbraw/zinc/16/80/57/335168057.db2.gz ULYRJUWTVUAXHP-OWCLPIDISA-N 0 3 320.502 4.016 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CC(=O)N(C)C)c2ccccc2)c2ccccc21 ZINC000343474299 335168112 /nfs/dbraw/zinc/16/81/12/335168112.db2.gz IUMRKXZCCIPHMW-UIAACRFSSA-N 0 3 322.452 4.044 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1ccc(-c2c(F)cccc2F)o1 ZINC000343477353 335168138 /nfs/dbraw/zinc/16/81/38/335168138.db2.gz VVZRPQKAPVGURB-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1ccccc1OC1CCCC1 ZINC000343490923 335169055 /nfs/dbraw/zinc/16/90/55/335169055.db2.gz MTCVWVNQLHVSKO-QGZVFWFLSA-N 0 3 303.446 4.009 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@@](C)(O)CCC(C)C)CCS2 ZINC000343494414 335169339 /nfs/dbraw/zinc/16/93/39/335169339.db2.gz FLVNNEDVROETIN-AEFFLSMTSA-N 0 3 323.502 4.009 20 0 DIADHN Cc1ccc(CNCc2cn(C)nc2C(F)F)c2ccccc12 ZINC000414477609 333992888 /nfs/dbraw/zinc/99/28/88/333992888.db2.gz CYAWYPTZTWELKI-UHFFFAOYSA-N 0 3 315.367 4.109 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC[C@H]1CCC[C@H]1C1CC1 ZINC000414484637 333994811 /nfs/dbraw/zinc/99/48/11/333994811.db2.gz OHQYYPREYDCQKV-ZBFHGGJFSA-N 0 3 313.470 4.121 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@@H]2CCC[C@@H]2C2CC2)s1 ZINC000414487395 333995293 /nfs/dbraw/zinc/99/52/93/333995293.db2.gz SAWADHDXTJLYSC-CMPLNLGQSA-N 0 3 304.381 4.078 20 0 DIADHN C[C@H]1C[C@@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CS1 ZINC000414503827 334000277 /nfs/dbraw/zinc/00/02/77/334000277.db2.gz WXAKKGOEOOJOFR-GXFFZTMASA-N 0 3 324.902 4.447 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cnc(-c2ccsc2)s1)C1CC1 ZINC000414505080 334000542 /nfs/dbraw/zinc/00/05/42/334000542.db2.gz UIIYXEXOAYFWFW-BDJLRTHQSA-N 0 3 322.499 4.165 20 0 DIADHN CC(C)(CNCc1ccc(C(F)(F)F)s1)OCC1CC1 ZINC000414506493 334001067 /nfs/dbraw/zinc/00/10/67/334001067.db2.gz UBYUADNEQBQPRA-UHFFFAOYSA-N 0 3 307.381 4.062 20 0 DIADHN CC(C)n1cc(CN2C[C@H](c3ccccc3)C3(CCC3)C2)cn1 ZINC000414507169 334001225 /nfs/dbraw/zinc/00/12/25/334001225.db2.gz ANADWVMDWHFGLF-LJQANCHMSA-N 0 3 309.457 4.234 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(F)c(Cl)c2Cl)[C@@H](C)O1 ZINC000414509331 334001979 /nfs/dbraw/zinc/00/19/79/334001979.db2.gz CGBMZWMKPGKSHU-FXPVBKGRSA-N 0 3 306.208 4.036 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccn(C(F)F)n2)C[C@@H](c2ccccc2)C1 ZINC000414509893 334002170 /nfs/dbraw/zinc/00/21/70/334002170.db2.gz GQBZTWWDFMGOBR-QRTARXTBSA-N 0 3 319.399 4.340 20 0 DIADHN C[C@H]1C[C@H](NCc2cccc(N3CCCC3=O)c2)c2ccccc21 ZINC000343501043 335169875 /nfs/dbraw/zinc/16/98/75/335169875.db2.gz BJCRHSHEULQQLT-YWZLYKJASA-N 0 3 320.436 4.152 20 0 DIADHN CSc1ccc(CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000343502629 335169884 /nfs/dbraw/zinc/16/98/84/335169884.db2.gz ZAOVFZABJREEBN-KRWDZBQOSA-N 0 3 302.418 4.184 20 0 DIADHN F[C@@H]1CCCC[C@H]1NCc1cccc(OCc2ccccn2)c1 ZINC000414510840 334002909 /nfs/dbraw/zinc/00/29/09/334002909.db2.gz IZUMBCMQQJMKMM-RTBURBONSA-N 0 3 314.404 4.031 20 0 DIADHN CC[C@H](CNCc1nc2c(s1)C[C@H](C)CC2)CC(F)(F)F ZINC000414515085 334003570 /nfs/dbraw/zinc/00/35/70/334003570.db2.gz KPYCMUQYKUXSLZ-MNOVXSKESA-N 0 3 320.424 4.336 20 0 DIADHN C[C@H](CNCc1ncc(-c2ccccc2)s1)CC(F)(F)F ZINC000414518769 334005240 /nfs/dbraw/zinc/00/52/40/334005240.db2.gz SQOOWWNXABIFCV-NSHDSACASA-N 0 3 314.376 4.488 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2cc(C(F)(F)F)ccc2Cl)[C@H](C)O1 ZINC000414521439 334006248 /nfs/dbraw/zinc/00/62/48/334006248.db2.gz WEHMHDVKSYREPU-VWYCJHECSA-N 0 3 321.770 4.262 20 0 DIADHN CC(C)[C@H]1N(C/C=C\c2ccc(F)c(F)c2)CC12CCOCC2 ZINC000414521639 334006324 /nfs/dbraw/zinc/00/63/24/334006324.db2.gz AHTLNEPRGIBXLZ-DDKKJVIOSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(C(C)(C)C)s2)CC12CCOCC2 ZINC000414531219 334008527 /nfs/dbraw/zinc/00/85/27/334008527.db2.gz RLLFEUMAQZVWAV-HNNXBMFYSA-N 0 3 322.518 4.078 20 0 DIADHN C[C@@H](CNCc1c(F)cccc1N1CCCC1)CC(F)(F)F ZINC000414531151 334008695 /nfs/dbraw/zinc/00/86/95/334008695.db2.gz SSAJHQUXRLVSPS-GFCCVEGCSA-N 0 3 318.358 4.104 20 0 DIADHN CSc1ccc(CN2CC3(CCOCC3)[C@H]2C(C)C)cc1 ZINC000414532630 334009505 /nfs/dbraw/zinc/00/95/05/334009505.db2.gz SSQKKWRNWRAWTO-QGZVFWFLSA-N 0 3 305.487 4.046 20 0 DIADHN CC(C)[C@@H]1N(C/C=C\c2ccc(F)cc2F)CC12CCOCC2 ZINC000414538716 334012704 /nfs/dbraw/zinc/01/27/04/334012704.db2.gz MLSXHLSTYCOJGM-JXCVUKRWSA-N 0 3 321.411 4.115 20 0 DIADHN Cc1sccc1CN1CC2(CCOCC2)[C@H]1c1ccccc1 ZINC000414561706 334020452 /nfs/dbraw/zinc/02/04/52/334020452.db2.gz SLKGZASQTJLUIV-GOSISDBHSA-N 0 3 313.466 4.410 20 0 DIADHN CCn1cc(CN[C@@](C)(Cc2ccccc2)c2ccccc2)cn1 ZINC000414567042 334022071 /nfs/dbraw/zinc/02/20/71/334022071.db2.gz GDKSLUDGDQAAAT-NRFANRHFSA-N 0 3 319.452 4.151 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc4c(c3)COC4)CC2)c1 ZINC000272269531 334032312 /nfs/dbraw/zinc/03/23/12/334032312.db2.gz MZYCVOVRGPYHDS-AWEZNQCLSA-N 0 3 309.409 4.065 20 0 DIADHN C[C@H](CCCO)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000272270767 334032445 /nfs/dbraw/zinc/03/24/45/334032445.db2.gz LKEVUFBOCPAQRP-KDOFPFPSSA-N 0 3 303.833 4.180 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCc2cccc(F)c2F)s1 ZINC000343518372 335174174 /nfs/dbraw/zinc/17/41/74/335174174.db2.gz BMBRLEQCLCGPQD-NSHDSACASA-N 0 3 310.413 4.274 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(Cn3ccnc3)cc2)c2ccccc21 ZINC000343526501 335174845 /nfs/dbraw/zinc/17/48/45/335174845.db2.gz JRIFTSPHGMBXHH-HRAATJIYSA-N 0 3 317.436 4.270 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(O)cc1)c1ccc(Cl)cn1 ZINC000274951821 334068197 /nfs/dbraw/zinc/06/81/97/334068197.db2.gz VEMMTSHFAVOFAE-QWHCGFSZSA-N 0 3 304.821 4.113 20 0 DIADHN Cc1nnc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)s1 ZINC000274948902 334068200 /nfs/dbraw/zinc/06/82/00/334068200.db2.gz CZSBREWPZAVIDK-ZACQAIPSSA-N 0 3 313.470 4.005 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@H](C)c1ccc(Cl)cn1 ZINC000274951819 334068250 /nfs/dbraw/zinc/06/82/50/334068250.db2.gz VEMMTSHFAVOFAE-CHWSQXEVSA-N 0 3 304.821 4.113 20 0 DIADHN Cc1cncc(CNC(C)(C)c2ccc(OC(F)(F)F)cc2)c1 ZINC000276433847 334078073 /nfs/dbraw/zinc/07/80/73/334078073.db2.gz QFPNVHDRUQGUEW-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2cnn(C(C)C)c2C)c1 ZINC000276614136 334083772 /nfs/dbraw/zinc/08/37/72/334083772.db2.gz ZPEZAFLGAMFUBS-CQSZACIVSA-N 0 3 317.502 4.486 20 0 DIADHN OC[C@H](CN[C@H]1CCSc2ccc(F)cc21)CC1CCCC1 ZINC000343506979 335172521 /nfs/dbraw/zinc/17/25/21/335172521.db2.gz GSLBLKMYVVIVCN-YOEHRIQHSA-N 0 3 323.477 4.141 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc3c(c2)OCCCO3)c2ccccc21 ZINC000343506902 335172535 /nfs/dbraw/zinc/17/25/35/335172535.db2.gz GOZKFFQJFCOUTA-RDTXWAMCSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc3c(c2)OCCCO3)c2ccccc21 ZINC000343506900 335172588 /nfs/dbraw/zinc/17/25/88/335172588.db2.gz GOZKFFQJFCOUTA-KDOFPFPSSA-N 0 3 309.409 4.186 20 0 DIADHN OC[C@@H](CN[C@@H]1CCSc2ccc(F)cc21)CC1CCCC1 ZINC000343506977 335172762 /nfs/dbraw/zinc/17/27/62/335172762.db2.gz GSLBLKMYVVIVCN-RHSMWYFYSA-N 0 3 323.477 4.141 20 0 DIADHN Clc1ccsc1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000278800838 334101438 /nfs/dbraw/zinc/10/14/38/334101438.db2.gz RJRNZOPDIWBKLZ-BBRMVZONSA-N 0 3 307.846 4.269 20 0 DIADHN Cc1ccc(NCc2ccnc(Cl)c2Cl)c(CN(C)C)c1 ZINC000278929006 334103804 /nfs/dbraw/zinc/10/38/04/334103804.db2.gz LAZAXIXOVMLWHR-UHFFFAOYSA-N 0 3 324.255 4.371 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H](CC(F)(F)F)C(C)C ZINC000438490385 334120150 /nfs/dbraw/zinc/12/01/50/334120150.db2.gz ZWXJOHQYZSMIIS-QPBFKRLHSA-N 0 3 301.352 4.275 20 0 DIADHN CCOc1cccc(CNCc2c(C)c3ccccc3n2C)c1 ZINC000323706204 334122869 /nfs/dbraw/zinc/12/28/69/334122869.db2.gz LHZYFKAMZRBWQL-UHFFFAOYSA-N 0 3 308.425 4.175 20 0 DIADHN Cc1nn(C(C)C)cc1CNCC1(Sc2ccccc2)CC1 ZINC000281367686 334125453 /nfs/dbraw/zinc/12/54/53/334125453.db2.gz NOPIMPLASNWQIT-UHFFFAOYSA-N 0 3 315.486 4.187 20 0 DIADHN CCN(Cc1cn(C(C)C)nc1C)[C@@H](C)Cc1ccsc1 ZINC000343614778 335177617 /nfs/dbraw/zinc/17/76/17/335177617.db2.gz IBTPGSYPIPQFCX-AWEZNQCLSA-N 0 3 305.491 4.287 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cccc(OC(F)F)c1 ZINC000414905832 334168220 /nfs/dbraw/zinc/16/82/20/334168220.db2.gz AIEFKVVJJUWPRC-KRWDZBQOSA-N 0 3 318.367 4.037 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cccc(OC(F)F)c1 ZINC000414905835 334168254 /nfs/dbraw/zinc/16/82/54/334168254.db2.gz AIEFKVVJJUWPRC-QGZVFWFLSA-N 0 3 318.367 4.037 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H](C)c2nccc3ccccc32)cc1 ZINC000289873481 334169149 /nfs/dbraw/zinc/16/91/49/334169149.db2.gz SFBIRMHRIWZNSF-LSDHHAIUSA-N 0 3 306.409 4.139 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cnc(C2CCC2)s1 ZINC000414908979 334171343 /nfs/dbraw/zinc/17/13/43/334171343.db2.gz BRFPPTJXSFMKNI-KRWDZBQOSA-N 0 3 313.470 4.160 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccccc1OCC1CC1 ZINC000414910770 334172990 /nfs/dbraw/zinc/17/29/90/334172990.db2.gz GGJPVLJHQOETNC-FQEVSTJZSA-N 0 3 322.452 4.225 20 0 DIADHN C[C@H](NCC[C@](C)(O)c1ccccc1)c1nccc2ccccc21 ZINC000290680564 334174063 /nfs/dbraw/zinc/17/40/63/334174063.db2.gz LVSYZBLJQZOOBD-KKSFZXQISA-N 0 3 320.436 4.183 20 0 DIADHN CC(C)COc1ccc(CN[C@H]2CCCc3cc(N)ccc32)cc1 ZINC000414913217 334175024 /nfs/dbraw/zinc/17/50/24/334175024.db2.gz IUSUMQICRWECPK-NRFANRHFSA-N 0 3 324.468 4.471 20 0 DIADHN C[C@H](NCc1cccc2cc[nH]c21)[C@@H]1OCCc2sccc21 ZINC000291707663 334181059 /nfs/dbraw/zinc/18/10/59/334181059.db2.gz KZBJHJKROUYDTH-SGTLLEGYSA-N 0 3 312.438 4.022 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)c1 ZINC000291865942 334182663 /nfs/dbraw/zinc/18/26/63/334182663.db2.gz IAVSWGBOXWBIPR-LXIYXOSZSA-N 0 3 317.454 4.110 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCCc4cc(N)ccc43)ccc2n1 ZINC000414924658 334185134 /nfs/dbraw/zinc/18/51/34/334185134.db2.gz IXGUWHQURQJDHK-OAQYLSRUSA-N 0 3 317.436 4.293 20 0 DIADHN Cc1nocc1CNCC(C)(C)c1ccc(C(F)(F)F)cc1 ZINC000293411157 334197936 /nfs/dbraw/zinc/19/79/36/334197936.db2.gz ZBDGZDDVWFWTFF-UHFFFAOYSA-N 0 3 312.335 4.069 20 0 DIADHN CCC(CC)c1cc(CN[C@H](C)Cc2ccc(O)cc2)on1 ZINC000293742742 334201763 /nfs/dbraw/zinc/20/17/63/334201763.db2.gz XKDSNESIIAKACB-CYBMUJFWSA-N 0 3 302.418 4.005 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000340450606 334205002 /nfs/dbraw/zinc/20/50/02/334205002.db2.gz VIOYNNMKYYDANR-QWRGUYRKSA-N 0 3 305.447 4.087 20 0 DIADHN Cc1nn(C(C)C)cc1CN1C[C@H](c2ccc(F)cc2)C[C@@H]1C ZINC000467444673 334207150 /nfs/dbraw/zinc/20/71/50/334207150.db2.gz PNGVYZRRJJSRNG-WMLDXEAASA-N 0 3 315.436 4.290 20 0 DIADHN CC[C@@H](NCc1ccc(-n2cccn2)cc1)c1cccc(F)c1 ZINC000187776794 334272595 /nfs/dbraw/zinc/27/25/95/334272595.db2.gz MOCHHXBJFCPYTI-LJQANCHMSA-N 0 3 309.388 4.252 20 0 DIADHN CC[C@@H](CN[C@@H](CC)c1cc(F)cc(Br)c1)OC ZINC000353559592 334209540 /nfs/dbraw/zinc/20/95/40/334209540.db2.gz KYUSLYUAABVEFY-KBPBESRZSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1cc(CN[C@H]2c3ccccc3CC[C@H]2n2ccnc2)cs1 ZINC000294657157 334212044 /nfs/dbraw/zinc/21/20/44/334212044.db2.gz ADXYBARBLOZLCZ-MOPGFXCFSA-N 0 3 323.465 4.271 20 0 DIADHN Fc1cnc(Cl)c(CNCC2CCC(C(F)(F)F)CC2)c1 ZINC000295083877 334218252 /nfs/dbraw/zinc/21/82/52/334218252.db2.gz IMTKWGVHMNXZTB-UHFFFAOYSA-N 0 3 324.749 4.332 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccc(Cl)cc1 ZINC000022154016 334218959 /nfs/dbraw/zinc/21/89/59/334218959.db2.gz WCGXHASDTQNMMP-VXGBXAGGSA-N 0 3 303.789 4.481 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc3ncccc3c2)c2ccccc2O1 ZINC000295140870 334219820 /nfs/dbraw/zinc/21/98/20/334219820.db2.gz ZPQCONYZBZNEQM-AUUYWEPGSA-N 0 3 304.393 4.237 20 0 DIADHN CCSc1cccc(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414972168 334220026 /nfs/dbraw/zinc/22/00/26/334220026.db2.gz ACYJRLSGLCMKDJ-UHFFFAOYSA-N 0 3 312.482 4.447 20 0 DIADHN CCC[C@@]1(CO)CCN(Cc2cc3cc(C)c(C)cc3o2)C1 ZINC000582149711 334273656 /nfs/dbraw/zinc/27/36/56/334273656.db2.gz XVGJWUJCIVZFMK-LJQANCHMSA-N 0 3 301.430 4.034 20 0 DIADHN Cc1csc([C@H](C)NCCCCCOc2ccccc2)n1 ZINC000187809105 334273907 /nfs/dbraw/zinc/27/39/07/334273907.db2.gz UYJLSTCUGSFFTL-HNNXBMFYSA-N 0 3 304.459 4.351 20 0 DIADHN CCn1cc([C@@H](C)NC2CC(c3ccccc3Cl)C2)cn1 ZINC000340536136 334232841 /nfs/dbraw/zinc/23/28/41/334232841.db2.gz WYUGPYBZCJRCJL-DNOWBOINSA-N 0 3 303.837 4.153 20 0 DIADHN CCSc1cc(CN[C@H](CC)c2ccc(OC)cc2)ccn1 ZINC000187790312 334274874 /nfs/dbraw/zinc/27/48/74/334274874.db2.gz CUSRMXRJEAWAAB-QGZVFWFLSA-N 0 3 316.470 4.443 20 0 DIADHN C[C@H](NC[C@@H]1CCCCC1(F)F)c1nc2c(s1)CCC2 ZINC000453246887 334242785 /nfs/dbraw/zinc/24/27/85/334242785.db2.gz JTUKMPOOICAMEX-QWRGUYRKSA-N 0 3 300.418 4.108 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccnc(SCC)c2)cc1 ZINC000187790170 334275070 /nfs/dbraw/zinc/27/50/70/334275070.db2.gz SYHKIDISLMDXNU-CQSZACIVSA-N 0 3 316.470 4.443 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](CC)COCC(F)(F)F)s1 ZINC000453249940 334244129 /nfs/dbraw/zinc/24/41/29/334244129.db2.gz DQEOKRFUGIQCSF-KOLCDFICSA-N 0 3 324.412 4.022 20 0 DIADHN Cc1ccc(C)c(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)c1 ZINC000415019215 334259159 /nfs/dbraw/zinc/25/91/59/334259159.db2.gz DMTCQJOQCVNKMO-GOSISDBHSA-N 0 3 310.441 4.278 20 0 DIADHN Cc1cccc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)c1C ZINC000415025269 334259909 /nfs/dbraw/zinc/25/99/09/334259909.db2.gz PKSUJJOBQDZBFK-SFHVURJKSA-N 0 3 310.441 4.278 20 0 DIADHN Cc1ccc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)s1 ZINC000415028461 334260000 /nfs/dbraw/zinc/26/00/00/334260000.db2.gz YRMBCCOCDINZGY-HNNXBMFYSA-N 0 3 302.443 4.031 20 0 DIADHN Cc1ccc2cc(CNCc3cccc4c3CCCN4)ccc2n1 ZINC000415034073 334260357 /nfs/dbraw/zinc/26/03/57/334260357.db2.gz KWNKDWGHMUCIKL-UHFFFAOYSA-N 0 3 317.436 4.191 20 0 DIADHN Cc1cc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)ccc1F ZINC000415037027 334260525 /nfs/dbraw/zinc/26/05/25/334260525.db2.gz OISPQKZDDWPVTO-KRWDZBQOSA-N 0 3 314.404 4.108 20 0 DIADHN Cc1cc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)ccc1F ZINC000415037031 334260570 /nfs/dbraw/zinc/26/05/70/334260570.db2.gz OISPQKZDDWPVTO-QGZVFWFLSA-N 0 3 314.404 4.108 20 0 DIADHN Fc1ccc2oc(CNCc3cccc4c3CCCN4)cc2c1 ZINC000415040303 334260678 /nfs/dbraw/zinc/26/06/78/334260678.db2.gz RNKBHUNNGQGMDP-UHFFFAOYSA-N 0 3 310.372 4.220 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1cccc2c1CCCN2 ZINC000415046468 334261289 /nfs/dbraw/zinc/26/12/89/334261289.db2.gz ZTDWNDLZRXMHDI-UHFFFAOYSA-N 0 3 300.833 4.296 20 0 DIADHN CCC[C@H](NCCC(=O)Nc1ccccc1)c1ccsc1 ZINC000187717743 334269615 /nfs/dbraw/zinc/26/96/15/334269615.db2.gz KNMYJFKQJDYBLB-INIZCTEOSA-N 0 3 302.443 4.208 20 0 DIADHN CC[C@H](NCc1ccc(COCCOC)cc1)c1cccs1 ZINC000582144634 334270818 /nfs/dbraw/zinc/27/08/18/334270818.db2.gz VVOSDAWIHGXZNX-KRWDZBQOSA-N 0 3 319.470 4.152 20 0 DIADHN CC[C@@H](NCCCCCc1ccc(F)cc1)c1nccn1C ZINC000187760639 334271336 /nfs/dbraw/zinc/27/13/36/334271336.db2.gz HXDNBCPPKLUENH-QGZVFWFLSA-N 0 3 303.425 4.013 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000442993634 338441174 /nfs/dbraw/zinc/44/11/74/338441174.db2.gz OWNNHGKOQZUKNQ-NSHDSACASA-N 0 3 324.346 4.008 20 0 DIADHN CCOc1ccc(CN[C@H]2CSCCc3ccccc32)cc1 ZINC000531950442 334304337 /nfs/dbraw/zinc/30/43/37/334304337.db2.gz QMJUHVDHHJWQJD-IBGZPJMESA-N 0 3 313.466 4.206 20 0 DIADHN CCOc1ccc(CN[C@@H]2CSCCc3ccccc32)cc1 ZINC000531950443 334304429 /nfs/dbraw/zinc/30/44/29/334304429.db2.gz QMJUHVDHHJWQJD-LJQANCHMSA-N 0 3 313.466 4.206 20 0 DIADHN COc1cccc(CN[C@H](CC2CC2)c2ccccc2)c1OC ZINC000531955139 334306414 /nfs/dbraw/zinc/30/64/14/334306414.db2.gz OYRWEKOUOWLLJQ-GOSISDBHSA-N 0 3 311.425 4.335 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2-n2ccnc2)cc(C)c1F ZINC000531951704 334309582 /nfs/dbraw/zinc/30/95/82/334309582.db2.gz HUJOOJJLUZXLDV-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN C[C@H](NCCn1cnc2ccccc21)c1ccc(F)cc1Cl ZINC000531952580 334310267 /nfs/dbraw/zinc/31/02/67/334310267.db2.gz VJGOWNBFQPLIBC-LBPRGKRZSA-N 0 3 317.795 4.180 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCOc3c(F)cccc32)cc1 ZINC000443012741 338442030 /nfs/dbraw/zinc/44/20/30/338442030.db2.gz IGNPXEPROUZHKX-YATRRXNKSA-N 0 3 301.336 4.091 20 0 DIADHN CC[C@@H](N[C@@H](C)CCC=C(C)C)c1nnc2n1CCCCC2 ZINC000531961975 334312623 /nfs/dbraw/zinc/31/26/23/334312623.db2.gz DIZFFZJSZCKVFZ-JKSUJKDBSA-N 0 3 304.482 4.180 20 0 DIADHN CCn1c2ccccc2c2cc(CNC3(COC)CC3)ccc21 ZINC000531957638 334313500 /nfs/dbraw/zinc/31/35/00/334313500.db2.gz MISLTFNIENITJV-UHFFFAOYSA-N 0 3 308.425 4.083 20 0 DIADHN C[C@H](C(=O)Nc1cc(Cl)ccc1Cl)N(C)CC1CCC1 ZINC000111296804 334318376 /nfs/dbraw/zinc/31/83/76/334318376.db2.gz DAVJXJQXTGVDBS-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1Cl)N(C)CC1CCC1 ZINC000111298176 334318585 /nfs/dbraw/zinc/31/85/85/334318585.db2.gz FIKISETUJFUDSD-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1Cl)N(C)CC1CCC1 ZINC000111298174 334318643 /nfs/dbraw/zinc/31/86/43/334318643.db2.gz FIKISETUJFUDSD-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC12CCCCC2 ZINC000534665620 334318903 /nfs/dbraw/zinc/31/89/03/334318903.db2.gz CZNCEYLVBXSSIW-UHFFFAOYSA-N 0 3 314.473 4.295 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H](C)Cc2ccsc2)c1 ZINC000049154802 334322370 /nfs/dbraw/zinc/32/23/70/334322370.db2.gz UWWJOAPQEVDEGE-OLZOCXBDSA-N 0 3 305.443 4.047 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cc(Cl)ccc2OC)CC1 ZINC000303401491 334323263 /nfs/dbraw/zinc/32/32/63/334323263.db2.gz RALSIGXASHPTQS-MRXNPFEDSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCCC[C@H]2C(C)C)c(C)c1 ZINC000303649068 334326384 /nfs/dbraw/zinc/32/63/84/334326384.db2.gz DGWUKJUADSOOMT-KRWDZBQOSA-N 0 3 302.462 4.061 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@H](C)c2cccc(Cl)c2)c1 ZINC000101545129 334326702 /nfs/dbraw/zinc/32/67/02/334326702.db2.gz GJAGOACSXVZGTF-CYBMUJFWSA-N 0 3 316.832 4.491 20 0 DIADHN COc1ccc([C@H](C)NCCOC2CCCCCC2)cc1F ZINC000531969086 334326831 /nfs/dbraw/zinc/32/68/31/334326831.db2.gz RRDRJYAOHJCYGF-AWEZNQCLSA-N 0 3 309.425 4.224 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C(C)(C)C2CCCC2)c1 ZINC000534703664 334328577 /nfs/dbraw/zinc/32/85/77/334328577.db2.gz BHFBPBVCXYSUEV-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1cccc(C)c1 ZINC000323754683 334328923 /nfs/dbraw/zinc/32/89/23/334328923.db2.gz TYOFFLWWFIOEQY-IBGZPJMESA-N 0 3 305.425 4.422 20 0 DIADHN CC(C)C[C@@H](NCCOC1CCCCCC1)c1ccccn1 ZINC000531968993 334330878 /nfs/dbraw/zinc/33/08/78/334330878.db2.gz ZVDGZIIVCHPGKF-LJQANCHMSA-N 0 3 304.478 4.498 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000101586606 334333162 /nfs/dbraw/zinc/33/31/62/334333162.db2.gz WQMKSZVHUPPGOE-ZDUSSCGKSA-N 0 3 302.805 4.019 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2cnn(C(C)C)c2)cc1 ZINC000531972987 334335470 /nfs/dbraw/zinc/33/54/70/334335470.db2.gz ZRSKDSXQIBGUKR-GJZGRUSLSA-N 0 3 301.434 4.275 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(Cl)cc1)c1cccs1 ZINC000049530783 334345509 /nfs/dbraw/zinc/34/55/09/334345509.db2.gz OYBFRTJIUHVGLN-ZDUSSCGKSA-N 0 3 308.834 4.081 20 0 DIADHN Clc1ccc(CCCN(Cc2cccnc2)C2CC2)cc1 ZINC000534940259 334349683 /nfs/dbraw/zinc/34/96/83/334349683.db2.gz YXAZJVJQXZALMT-UHFFFAOYSA-N 0 3 300.833 4.332 20 0 DIADHN CO[C@H](CN[C@@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000531979879 334351456 /nfs/dbraw/zinc/35/14/56/334351456.db2.gz IELMQCLJGVNTLC-QZTJIDSGSA-N 0 3 301.817 4.305 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1csc(-c2ccccn2)n1 ZINC000111685946 334353543 /nfs/dbraw/zinc/35/35/43/334353543.db2.gz ICSCZQMHGYFLEM-HNNXBMFYSA-N 0 3 301.459 4.216 20 0 DIADHN Cc1cc(CNCc2ccc(Br)s2)c(C)s1 ZINC000049686944 334357533 /nfs/dbraw/zinc/35/75/33/334357533.db2.gz KHJPYLSAYIJAPG-UHFFFAOYSA-N 0 3 316.289 4.479 20 0 DIADHN CN(CCCC(=O)c1ccccc1)Cc1ccc(Cl)cc1 ZINC000049824745 334358677 /nfs/dbraw/zinc/35/86/77/334358677.db2.gz AYMJCKBOKBEXFE-UHFFFAOYSA-N 0 3 301.817 4.435 20 0 DIADHN c1ccc(Oc2ccc(CN(C3CC3)C3CCCC3)nn2)cc1 ZINC000535034921 334359021 /nfs/dbraw/zinc/35/90/21/334359021.db2.gz NBCDCYNEQHYCCI-UHFFFAOYSA-N 0 3 309.413 4.176 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCSc2ccc(F)cc2)n1 ZINC000531988359 334361839 /nfs/dbraw/zinc/36/18/39/334361839.db2.gz LVRQERIYEICPOQ-SFHVURJKSA-N 0 3 316.445 4.458 20 0 DIADHN CN(Cc1cccc(OCc2ccccc2)c1)[C@H]1CCSC1 ZINC000535068176 334363552 /nfs/dbraw/zinc/36/35/52/334363552.db2.gz ZOTFHEIOAISENA-SFHVURJKSA-N 0 3 313.466 4.203 20 0 DIADHN FC(F)(F)Cn1cc(CN[C@@H](CC2CC2)c2ccccc2)cn1 ZINC000531990180 334365440 /nfs/dbraw/zinc/36/54/40/334365440.db2.gz IMGLWKKSCAUBHP-INIZCTEOSA-N 0 3 323.362 4.076 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@@H]2CC(C)(C)OC2(C)C)c1 ZINC000531992465 334366231 /nfs/dbraw/zinc/36/62/31/334366231.db2.gz ADHPKMUNLRXPGZ-CQSZACIVSA-N 0 3 313.388 4.032 20 0 DIADHN OCc1ccc(CN2CCC(c3cccc4ccccc43)CC2)o1 ZINC000535083414 334366523 /nfs/dbraw/zinc/36/65/23/334366523.db2.gz OIALEKDIVBWQKN-UHFFFAOYSA-N 0 3 321.420 4.305 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000531994145 334367137 /nfs/dbraw/zinc/36/71/37/334367137.db2.gz RNZNSLNMEPITKW-SUMWQHHRSA-N 0 3 323.358 4.398 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H](C)c2ccccc2C(C)(C)C)cc1 ZINC000535088199 334369040 /nfs/dbraw/zinc/36/90/40/334369040.db2.gz MGRGYJZXQVSGMV-HNNXBMFYSA-N 0 3 324.468 4.195 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000531995632 334369683 /nfs/dbraw/zinc/36/96/83/334369683.db2.gz PYUMRERBAXQARZ-WFASDCNBSA-N 0 3 323.358 4.012 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)C[C@H]1CCC[C@@H]1O ZINC000535116513 334370344 /nfs/dbraw/zinc/37/03/44/334370344.db2.gz KNMCYHGZHFZYLP-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)C[C@H]1CCC[C@H]1O ZINC000535116515 334370451 /nfs/dbraw/zinc/37/04/51/334370451.db2.gz KNMCYHGZHFZYLP-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN Fc1ccc(CNCCc2ccc3ccccc3n2)c(Cl)c1 ZINC000532011060 334371161 /nfs/dbraw/zinc/37/11/61/334371161.db2.gz SHNCZFAKQDRXOW-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@@H](CC)c2cccc(C)c2)c1 ZINC000514097877 334373351 /nfs/dbraw/zinc/37/33/51/334373351.db2.gz BYXMRZXHQSYCTH-FQEVSTJZSA-N 0 3 324.468 4.376 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000532013640 334374369 /nfs/dbraw/zinc/37/43/69/334374369.db2.gz FIQDTSPUVKHKOD-DZGCQCFKSA-N 0 3 316.474 4.001 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCC(F)(F)C2)cc1OC ZINC000532015484 334375035 /nfs/dbraw/zinc/37/50/35/334375035.db2.gz QIGWTSQYBVMGPP-CQSZACIVSA-N 0 3 313.388 4.152 20 0 DIADHN Cc1sc(CN[C@H](C)Cc2ccn(C(C)C)n2)nc1C(C)C ZINC000341183252 334416478 /nfs/dbraw/zinc/41/64/78/334416478.db2.gz IEZXRFXFODVQEW-CYBMUJFWSA-N 0 3 320.506 4.073 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000341209608 334417499 /nfs/dbraw/zinc/41/74/99/334417499.db2.gz MFEDGIGZANPNDF-MLGOLLRUSA-N 0 3 318.367 4.033 20 0 DIADHN CC(C)n1cc(CNCC2(c3ccc(F)cc3Cl)CC2)cn1 ZINC000341297958 334424444 /nfs/dbraw/zinc/42/44/44/334424444.db2.gz ZNBSBNOSAFSKST-UHFFFAOYSA-N 0 3 321.827 4.078 20 0 DIADHN CO[C@H](C)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000443213663 338447517 /nfs/dbraw/zinc/44/75/17/338447517.db2.gz RNDWPLXQTQVNRW-SJKOYZFVSA-N 0 3 307.796 4.193 20 0 DIADHN Fc1ccc2c(c1)CCC[C@H]2NCc1cccc(-n2ccnc2)c1 ZINC000341696475 334471459 /nfs/dbraw/zinc/47/14/59/334471459.db2.gz QWILFJNETVLICP-HXUWFJFHSA-N 0 3 321.399 4.179 20 0 DIADHN Cc1nc(CCN[C@H]2CCCOc3cc(Cl)ccc32)cs1 ZINC000341755835 334477102 /nfs/dbraw/zinc/47/71/02/334477102.db2.gz BLJUBZVPBDVEIE-HNNXBMFYSA-N 0 3 322.861 4.151 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC(CC(F)(F)F)CC1 ZINC000341968208 334498090 /nfs/dbraw/zinc/49/80/90/334498090.db2.gz AOFBCEUNLLEPFQ-UHFFFAOYSA-N 0 3 324.346 4.105 20 0 DIADHN COCC[C@@H](NCCOC(C)C)c1ccc(Cl)c(Cl)c1 ZINC000342007389 334500749 /nfs/dbraw/zinc/50/07/49/334500749.db2.gz BBFKCKDOLTUIPV-OAHLLOKOSA-N 0 3 320.260 4.086 20 0 DIADHN CCSCCN[C@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000342031964 334507963 /nfs/dbraw/zinc/50/79/63/334507963.db2.gz LTVLTBFJTSQPAU-CQSZACIVSA-N 0 3 322.301 4.414 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(F)(c3cccnc3)CC1)CC2 ZINC000342113439 334511070 /nfs/dbraw/zinc/51/10/70/334511070.db2.gz HBXGWDZZMRWRGN-SFHVURJKSA-N 0 3 314.379 4.169 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2cnc3ccccc3c2)CC1 ZINC000342165656 334518682 /nfs/dbraw/zinc/51/86/82/334518682.db2.gz YSGAKRQFXZNSFE-UHFFFAOYSA-N 0 3 321.399 4.091 20 0 DIADHN CC[C@H](NCCCCCOC)c1ccccc1Br ZINC000342226044 334522786 /nfs/dbraw/zinc/52/27/86/334522786.db2.gz JIZSHYRINAAADW-HNNXBMFYSA-N 0 3 314.267 4.307 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2CCCc3ccc(C)cc32)c1 ZINC000342313819 334531293 /nfs/dbraw/zinc/53/12/93/334531293.db2.gz SHLFQSFUKGZFPG-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN COc1cc(CN[C@H]2CCCc3ccc(C)cc32)cc(OC)c1 ZINC000342322570 334532492 /nfs/dbraw/zinc/53/24/92/334532492.db2.gz YCDHFDKXMRFQIJ-FQEVSTJZSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc3ccccc3[nH]c1=O)CCC2 ZINC000342328357 334532993 /nfs/dbraw/zinc/53/29/93/334532993.db2.gz XBKVAGZGKDCZSJ-FQEVSTJZSA-N 0 3 318.420 4.416 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cccnc2C2CC2)c1 ZINC000342583568 334552884 /nfs/dbraw/zinc/55/28/84/334552884.db2.gz DJAZFUZRMKSZOI-UHFFFAOYSA-N 0 3 323.440 4.053 20 0 DIADHN CC(C)COc1cccc(CN2CCC(OCC3CC3)CC2)c1 ZINC000342917703 334588541 /nfs/dbraw/zinc/58/85/41/334588541.db2.gz NXFBKTZWWGPORL-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN OCCC[C@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105887 334600840 /nfs/dbraw/zinc/60/08/40/334600840.db2.gz WUIZVFSNOPPNBK-OALUTQOASA-N 0 3 315.844 4.431 20 0 DIADHN Cn1ccc(CN[C@@H]2CCOc3c(-c4ccccc4)cccc32)c1 ZINC000343196350 334655668 /nfs/dbraw/zinc/65/56/68/334655668.db2.gz XYKXCQWTHDAAIA-HXUWFJFHSA-N 0 3 318.420 4.306 20 0 DIADHN c1sc(CNCCCCCOc2ccccc2)nc1C1CC1 ZINC000188150907 334662077 /nfs/dbraw/zinc/66/20/77/334662077.db2.gz NKYMLFSIKKOBDU-UHFFFAOYSA-N 0 3 316.470 4.359 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1cccc(F)c1 ZINC000188275816 334671676 /nfs/dbraw/zinc/67/16/76/334671676.db2.gz ODQXPHLPPSUFKN-IFXJQAMLSA-N 0 3 324.403 4.208 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417343620 334705939 /nfs/dbraw/zinc/70/59/39/334705939.db2.gz ONSQJROFRPQOFG-ZRQNBYAXSA-N 0 3 303.402 4.103 20 0 DIADHN C[C@@H](NCc1ccn(-c2ccccc2)n1)[C@@H]1C[C@H]1c1cccs1 ZINC000417349169 334706284 /nfs/dbraw/zinc/70/62/84/334706284.db2.gz ZSOBEJSNCSCDSN-FHLIZLRMSA-N 0 3 323.465 4.216 20 0 DIADHN C[C@H](NC[C@H]1CC12CC2)c1csc(-c2ccccc2F)n1 ZINC000417331175 334709306 /nfs/dbraw/zinc/70/93/06/334709306.db2.gz BNSMJVIJBJSHDB-NWDGAFQWSA-N 0 3 302.418 4.400 20 0 DIADHN Cc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc1Cl ZINC000443990723 338465765 /nfs/dbraw/zinc/46/57/65/338465765.db2.gz RLYANXMYHBEWHR-MRXNPFEDSA-N 0 3 305.853 4.259 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](Cc1ccc(C)cc1)c1ccccn1 ZINC000425343076 334803751 /nfs/dbraw/zinc/80/37/51/334803751.db2.gz IBWKNAZCBATGQX-GBESFXJTSA-N 0 3 312.482 4.157 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1cnc(C(F)(F)F)s1 ZINC000443997797 338466305 /nfs/dbraw/zinc/46/63/05/338466305.db2.gz RTXDODDEJFNMMH-SSDOTTSWSA-N 0 3 320.302 4.373 20 0 DIADHN CO[C@@H](CN(Cc1cccs1)Cc1cccs1)C1CC1 ZINC000425389396 334862458 /nfs/dbraw/zinc/86/24/58/334862458.db2.gz UPBVZPWLMLYVHK-INIZCTEOSA-N 0 3 307.484 4.237 20 0 DIADHN COc1cccc([C@@H](C)NCc2cccc(CSC)c2)c1 ZINC000188725474 334873085 /nfs/dbraw/zinc/87/30/85/334873085.db2.gz LYEDCJLHZHYRQK-CQSZACIVSA-N 0 3 301.455 4.409 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H](C)[C@@H]3CC3(C)C)n2)cc1F ZINC000425969382 334875424 /nfs/dbraw/zinc/87/54/24/334875424.db2.gz WNUXPHQKDFHREX-OBJOEFQTSA-N 0 3 317.408 4.269 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cnn(Cc2ccc(F)cc2)c1 ZINC000188987993 334879980 /nfs/dbraw/zinc/87/99/80/334879980.db2.gz CMMRPKUCFXLZPG-MRXNPFEDSA-N 0 3 323.415 4.230 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC([C@H]3CCCO3)CC1)CCC2 ZINC000189216543 334886475 /nfs/dbraw/zinc/88/64/75/334886475.db2.gz YYMBSAWHLVDURC-RTBURBONSA-N 0 3 303.421 4.094 20 0 DIADHN CC[C@H](NCC1(CCOC)CCCCC1)c1nc(C)cs1 ZINC000189162349 334887826 /nfs/dbraw/zinc/88/78/26/334887826.db2.gz YUHPUFVHWCWMHE-HNNXBMFYSA-N 0 3 310.507 4.479 20 0 DIADHN CCc1ccc([C@H](CC)N[C@@H](CO)c2ccc(F)cc2)cc1 ZINC000563701875 334890770 /nfs/dbraw/zinc/89/07/70/334890770.db2.gz FSNOQGQKFVGZDY-OALUTQOASA-N 0 3 301.405 4.162 20 0 DIADHN CSCc1cccc(CNCc2ccc(OC(F)F)cc2)c1 ZINC000189481509 334897488 /nfs/dbraw/zinc/89/74/88/334897488.db2.gz NBBXAWKUCJORJT-UHFFFAOYSA-N 0 3 323.408 4.441 20 0 DIADHN CCc1nc(CNC23CCC(C(F)(F)F)(CC2)CC3)cs1 ZINC000425997151 334898636 /nfs/dbraw/zinc/89/86/36/334898636.db2.gz APIFQDPJUGNFEF-UHFFFAOYSA-N 0 3 318.408 4.450 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000162407136 334907438 /nfs/dbraw/zinc/90/74/38/334907438.db2.gz FHRKGILYJALWCU-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000162407026 334907510 /nfs/dbraw/zinc/90/75/10/334907510.db2.gz FHRKGILYJALWCU-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN c1cn(-c2ccccc2CNc2ccnc3ccccc32)cn1 ZINC000189752630 334910427 /nfs/dbraw/zinc/91/04/27/334910427.db2.gz ODQJGHBACCLQLF-UHFFFAOYSA-N 0 3 300.365 4.033 20 0 DIADHN CCC(CC)NCc1csc(COc2ccc(OC)cc2)n1 ZINC000076745304 334920015 /nfs/dbraw/zinc/92/00/15/334920015.db2.gz GXIMNCJKMSMTKW-UHFFFAOYSA-N 0 3 320.458 4.009 20 0 DIADHN COc1ccc(CN(C)Cc2cc(F)cc3cccnc32)cc1C ZINC000343650862 335185041 /nfs/dbraw/zinc/18/50/41/335185041.db2.gz CRQIJTYQBQWVEK-UHFFFAOYSA-N 0 3 324.399 4.323 20 0 DIADHN C[C@@H](NCCC[C@@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000343718907 335186493 /nfs/dbraw/zinc/18/64/93/335186493.db2.gz FQBQBEBZBRDUNF-MNOVXSKESA-N 0 3 322.396 4.162 20 0 DIADHN C[C@H](NCC[C@H]1CCO[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343751936 335190813 /nfs/dbraw/zinc/19/08/13/335190813.db2.gz STIZWDGPJMGHQF-VWYCJHECSA-N 0 3 322.396 4.018 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1C[C@@H](C)c3ccccc31)CCO2 ZINC000343827888 335195906 /nfs/dbraw/zinc/19/59/06/335195906.db2.gz KXUCDQGLYYVWPI-UPRAQXHNSA-N 0 3 309.409 4.357 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2cncc(C)c2)cc1OC ZINC000343840178 335196298 /nfs/dbraw/zinc/19/62/98/335196298.db2.gz NMSRLRSPJQDBPR-HUUCEWRRSA-N 0 3 314.429 4.209 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000343868120 335198718 /nfs/dbraw/zinc/19/87/18/335198718.db2.gz WALQSROWJOEVLA-GARJFASQSA-N 0 3 322.396 4.016 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)C1CCOCC1 ZINC000343866995 335198754 /nfs/dbraw/zinc/19/87/54/335198754.db2.gz TUBXTRLVRHBQLY-GXSJLCMTSA-N 0 3 322.396 4.018 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(C(F)(F)F)cs1)C1CCOCC1 ZINC000343866998 335198791 /nfs/dbraw/zinc/19/87/91/335198791.db2.gz TUBXTRLVRHBQLY-MWLCHTKSSA-N 0 3 322.396 4.018 20 0 DIADHN c1ccc([C@H](NCCCCC2CCOCC2)c2ccccn2)cc1 ZINC000366847469 335199575 /nfs/dbraw/zinc/19/95/75/335199575.db2.gz DGUGXXMVCHNCFZ-NRFANRHFSA-N 0 3 324.468 4.358 20 0 DIADHN C[C@H](NCC1(C2CC2)CCC1)c1nc(C(F)(F)F)cs1 ZINC000343835272 335200750 /nfs/dbraw/zinc/20/07/50/335200750.db2.gz AFNJQWMAKMYUJB-VIFPVBQESA-N 0 3 304.381 4.393 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](CCO)c2ccccc2)cc1 ZINC000343979699 335204969 /nfs/dbraw/zinc/20/49/69/335204969.db2.gz OIPGEZUZQCQTOV-KSSFIOAISA-N 0 3 301.455 4.183 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1ccc(F)c(F)c1 ZINC000343968870 335208713 /nfs/dbraw/zinc/20/87/13/335208713.db2.gz BNRDGSAJAYFKCS-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000343970357 335208775 /nfs/dbraw/zinc/20/87/75/335208775.db2.gz DMRDERIEMMGCGI-DYVFJYSZSA-N 0 3 323.358 4.480 20 0 DIADHN c1ccc([C@H](CC2CCC2)NCc2ccc3c(c2)OCO3)cc1 ZINC000344030874 335210450 /nfs/dbraw/zinc/21/04/50/335210450.db2.gz GAFQAIGDKKLQOB-SFHVURJKSA-N 0 3 309.409 4.436 20 0 DIADHN CN(C)c1ncccc1CN[C@H](CC1CCC1)c1ccccc1 ZINC000344036907 335211024 /nfs/dbraw/zinc/21/10/24/335211024.db2.gz VSBUCQXDTAKMKA-LJQANCHMSA-N 0 3 309.457 4.169 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2cnc(C)s2)c1 ZINC000344043106 335211579 /nfs/dbraw/zinc/21/15/79/335211579.db2.gz WQDIJTZSAGCGOX-SJKOYZFVSA-N 0 3 302.443 4.043 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3ccc(C)cc31)CCO2 ZINC000344119720 335218892 /nfs/dbraw/zinc/21/88/92/335218892.db2.gz VIQMDTRMPBPQNH-OALUTQOASA-N 0 3 309.409 4.104 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cnn(-c3ccccc3)c2)c2ccccc21 ZINC000344120580 335219220 /nfs/dbraw/zinc/21/92/20/335219220.db2.gz RZWJJJGEHISDPV-FOIQADDNSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H]1C[C@H](NCc2cnn(-c3ccccc3)c2)c2ccccc21 ZINC000344120582 335219306 /nfs/dbraw/zinc/21/93/06/335219306.db2.gz RZWJJJGEHISDPV-QRWLVFNGSA-N 0 3 303.409 4.210 20 0 DIADHN CC(C)n1nccc1CN(C)C1CCC(C(F)(F)F)CC1 ZINC000344136702 335220651 /nfs/dbraw/zinc/22/06/51/335220651.db2.gz UQJXXTVLFYWXIB-UHFFFAOYSA-N 0 3 303.372 4.017 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC[C@H](C3CC3)C2)c(C)c1 ZINC000344149118 335221995 /nfs/dbraw/zinc/22/19/95/335221995.db2.gz SMTMSNXSGDUMRJ-AEFFLSMTSA-N 0 3 314.473 4.061 20 0 DIADHN CC[C@H](NCC(C)(C)OC)c1cc(F)cc(Br)c1 ZINC000344150631 335222299 /nfs/dbraw/zinc/22/22/99/335222299.db2.gz WBOFEEMBQXZZDB-ZDUSSCGKSA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1sccc1C)CCO2 ZINC000344108461 335223846 /nfs/dbraw/zinc/22/38/46/335223846.db2.gz XBHZXLRJQGIXCF-IUODEOHRSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1sc(CN[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)nc1C(C)C ZINC000344106999 335223953 /nfs/dbraw/zinc/22/39/53/335223953.db2.gz QZKSWWSKZACOFF-XKQJLSEDSA-N 0 3 320.502 4.012 20 0 DIADHN Cc1sc(CN[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)nc1C(C)C ZINC000344106993 335223962 /nfs/dbraw/zinc/22/39/62/335223962.db2.gz QZKSWWSKZACOFF-IAOVAPTHSA-N 0 3 320.502 4.012 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cnn(C(C)C)c2)C1(CC)CC ZINC000344161534 335226279 /nfs/dbraw/zinc/22/62/79/335226279.db2.gz GKPJJIPRJNYSNL-BHYGNILZSA-N 0 3 307.482 4.098 20 0 DIADHN COc1ccc(CN(Cc2cnc(C)s2)C(C)(C)C)cc1 ZINC000344248247 335232335 /nfs/dbraw/zinc/23/23/35/335232335.db2.gz GOOZNIJZZUFZTM-UHFFFAOYSA-N 0 3 304.459 4.261 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2c(C)noc2C)C12CCCCC2 ZINC000344279325 335235906 /nfs/dbraw/zinc/23/59/06/335235906.db2.gz DYNCLOUXCPCMES-YESZJQIVSA-N 0 3 320.477 4.460 20 0 DIADHN Cc1nc(CCN[C@@H](c2cc(F)ccc2F)C(C)C)cs1 ZINC000479332373 335237859 /nfs/dbraw/zinc/23/78/59/335237859.db2.gz BJTNYYJGWILVHV-MRXNPFEDSA-N 0 3 310.413 4.259 20 0 DIADHN Cc1noc(CCCN[C@@H](C)c2cc3cc(Cl)ccc3o2)n1 ZINC000344303212 335238913 /nfs/dbraw/zinc/23/89/13/335238913.db2.gz LYNCCFAPRWNCQF-JTQLQIEISA-N 0 3 319.792 4.061 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@@H](C)CC[S@@](C)=O ZINC000344305889 335238977 /nfs/dbraw/zinc/23/89/77/335238977.db2.gz PFWJHRQNGSTBLJ-FBJOKTGGSA-N 0 3 321.486 4.111 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc(C3CC3)cs2)C1(CC)CC ZINC000344312718 335239768 /nfs/dbraw/zinc/23/97/68/335239768.db2.gz XRIWGQJOCSKCLX-HUUCEWRRSA-N 0 3 308.491 4.094 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](CC(C)(C)C)c2ccc(Cl)cc2)C1 ZINC000344313634 335240015 /nfs/dbraw/zinc/24/00/15/335240015.db2.gz ZDBYJIMRUFNSBA-IRXDYDNUSA-N 0 3 322.880 4.028 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC)c2c(C)noc2C)C1(CC)CC ZINC000344313636 335240144 /nfs/dbraw/zinc/24/01/44/335240144.db2.gz ZEAVZPBSXVGPSR-ARFHVFGLSA-N 0 3 308.466 4.316 20 0 DIADHN CS[C@H](CNCc1cnc(C)n1-c1ccccc1)C(C)(C)C ZINC000344370750 335245473 /nfs/dbraw/zinc/24/54/73/335245473.db2.gz YHKDCJZJXMBOFQ-QGZVFWFLSA-N 0 3 317.502 4.048 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cnccn1 ZINC000366870335 335260365 /nfs/dbraw/zinc/26/03/65/335260365.db2.gz OTXWTDRABZELNK-DRZSPHRISA-N 0 3 322.239 4.380 20 0 DIADHN CCc1ncc(CN[C@H]2CCCO[C@H]2c2ccc(C)cc2)s1 ZINC000344605308 335263627 /nfs/dbraw/zinc/26/36/27/335263627.db2.gz RVTALDWFMQDNBV-WMZOPIPTSA-N 0 3 316.470 4.024 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2cnc(C3CCCC3)s2)C1(C)C ZINC000344717450 335275199 /nfs/dbraw/zinc/27/51/99/335275199.db2.gz AHUCJBYPKIZSIS-RHSMWYFYSA-N 0 3 308.491 4.094 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2cnc(C3CCCC3)s2)C1(C)C ZINC000344717453 335275293 /nfs/dbraw/zinc/27/52/93/335275293.db2.gz AHUCJBYPKIZSIS-YOEHRIQHSA-N 0 3 308.491 4.094 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cnn(C(C)C)c2C)C[C@@H]1OC ZINC000345273190 335309018 /nfs/dbraw/zinc/30/90/18/335309018.db2.gz NQCJJLFYXUVFDD-XKQJLSEDSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1ncc([C@@H](C)N2CCC(Cc3ccccc3)CC2)c(C)n1 ZINC000345245946 335311672 /nfs/dbraw/zinc/31/16/72/335311672.db2.gz AIANBIHIZUZLQV-MRXNPFEDSA-N 0 3 309.457 4.109 20 0 DIADHN C([C@H]1CCC2(CCCCC2)O1)N1CCC(OC2CCCC2)CC1 ZINC000190951181 335320188 /nfs/dbraw/zinc/32/01/88/335320188.db2.gz HTAWYUQUOBQAPB-LJQANCHMSA-N 0 3 321.505 4.292 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cc4sccc4[nH]3)n2)cc1 ZINC000345737851 335346872 /nfs/dbraw/zinc/34/68/72/335346872.db2.gz LPLFGGHPGRDGBM-UHFFFAOYSA-N 0 3 324.409 4.008 20 0 DIADHN Clc1ccc(SCCN2CCC(c3ncc[nH]3)CC2)cc1 ZINC000345769396 335350121 /nfs/dbraw/zinc/35/01/21/335350121.db2.gz JYWDKZIZLGOVQZ-UHFFFAOYSA-N 0 3 321.877 4.035 20 0 DIADHN CCc1cccc(CN(C)[C@@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000345869836 335356980 /nfs/dbraw/zinc/35/69/80/335356980.db2.gz XFGASTJLKAQYML-INIZCTEOSA-N 0 3 320.440 4.023 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@H]1CCSc2ccc(Cl)cc21 ZINC000346083857 335377243 /nfs/dbraw/zinc/37/72/43/335377243.db2.gz QONHOTGWZAXAIU-PJODQICGSA-N 0 3 313.894 4.264 20 0 DIADHN Cc1cc(CN2CCC(OC(C)(C)C)CC2)cc2cccnc12 ZINC000346172213 335377631 /nfs/dbraw/zinc/37/76/31/335377631.db2.gz LCNUSLZCCWNBNE-UHFFFAOYSA-N 0 3 312.457 4.323 20 0 DIADHN Fc1cccc(CCN[C@@H]2CCCOc3ccc(F)cc32)c1 ZINC000346159907 335383446 /nfs/dbraw/zinc/38/34/46/335383446.db2.gz BFYRIODMHKVOGU-QGZVFWFLSA-N 0 3 303.352 4.011 20 0 DIADHN Fc1cccc(CCN[C@H]2CCCOc3ccc(F)cc32)c1 ZINC000346159888 335383551 /nfs/dbraw/zinc/38/35/51/335383551.db2.gz BFYRIODMHKVOGU-KRWDZBQOSA-N 0 3 303.352 4.011 20 0 DIADHN CCc1ccccc1CCNC1(c2nc(C)cs2)CCC1 ZINC000444673699 338481185 /nfs/dbraw/zinc/48/11/85/338481185.db2.gz IMZBHIQSKNIZKQ-UHFFFAOYSA-N 0 3 300.471 4.225 20 0 DIADHN c1ccc(OCCN[C@@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000346196807 335388995 /nfs/dbraw/zinc/38/89/95/335388995.db2.gz QPVSXQARDPHLGZ-GOSISDBHSA-N 0 3 309.409 4.102 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346209049 335390565 /nfs/dbraw/zinc/39/05/65/335390565.db2.gz NOILBQWBKMFREI-GOEBONIOSA-N 0 3 315.392 4.027 20 0 DIADHN C[C@H](CN(C)Cc1cnc(C2CCC2)s1)c1nccs1 ZINC000346243648 335393809 /nfs/dbraw/zinc/39/38/09/335393809.db2.gz ACSVNWRARPOQGW-LLVKDONJSA-N 0 3 307.488 4.103 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCCO[C@@H]2CCCCO2)cc1 ZINC000444677779 338481731 /nfs/dbraw/zinc/48/17/31/338481731.db2.gz VAOZTOLBCHSPBY-MAUKXSAKSA-N 0 3 323.502 4.381 20 0 DIADHN Cc1cc([C@@H](N[C@H]2CCCSC2)c2cccnc2)ccc1F ZINC000346553170 335414949 /nfs/dbraw/zinc/41/49/49/335414949.db2.gz BSOGKCFYUNJNGC-FUHWJXTLSA-N 0 3 316.445 4.104 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC2([C@@H](C)CCO)CCC2)cs1 ZINC000346527214 335418574 /nfs/dbraw/zinc/41/85/74/335418574.db2.gz IOEZGVBOQMODCD-UONOGXRCSA-N 0 3 310.507 4.106 20 0 DIADHN C[C@H](N[C@@H]1CCOc2c(-c3ccccc3)cccc21)[C@@H]1CCCO1 ZINC000346632060 335429691 /nfs/dbraw/zinc/42/96/91/335429691.db2.gz HIOWBSWZXZDRRO-BEVDRBHNSA-N 0 3 323.436 4.334 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@H](C)c1ccc2ccccc2n1 ZINC000346819863 335443912 /nfs/dbraw/zinc/44/39/12/335443912.db2.gz YBTFEIFAUXIPOG-NVXWUHKLSA-N 0 3 324.424 4.126 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1cccc(OCc2ccccc2)c1 ZINC000444703137 338484240 /nfs/dbraw/zinc/48/42/40/338484240.db2.gz SDLWPDDFJWRCPP-JXFKEZNVSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1nc(CCN[C@@H]2CCCOc3c(Cl)cccc32)cs1 ZINC000347122953 335467615 /nfs/dbraw/zinc/46/76/15/335467615.db2.gz IEUZGUQBHBOXCH-OAHLLOKOSA-N 0 3 322.861 4.151 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCc1cccnc1 ZINC000444776389 338487922 /nfs/dbraw/zinc/48/79/22/338487922.db2.gz CMVSJDQZQIQJFS-INIZCTEOSA-N 0 3 308.347 4.216 20 0 DIADHN CCC1(CC)CCCN(C(=O)c2ccc(CN(C)C)cc2)CC1 ZINC000348017913 335542422 /nfs/dbraw/zinc/54/24/22/335542422.db2.gz RVRQPHYFYYZMHH-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN OCC[C@@H](N[C@H]1CCCc2ccccc21)c1ccc(Cl)cc1 ZINC000348204201 335565505 /nfs/dbraw/zinc/56/55/05/335565505.db2.gz FWHBCSHZLVMHSR-MOPGFXCFSA-N 0 3 315.844 4.431 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@H]2C[C@H]2C(F)F)cc1OCC ZINC000348285864 335571592 /nfs/dbraw/zinc/57/15/92/335571592.db2.gz XHTYVLNCMPQYEZ-RDBSUJKOSA-N 0 3 313.388 4.178 20 0 DIADHN C[C@@H](N[C@@H](C)CCC(F)(F)F)c1nccn1-c1ccccc1 ZINC000348331643 335582658 /nfs/dbraw/zinc/58/26/58/335582658.db2.gz WGWMFSNSGKGTNQ-QWHCGFSZSA-N 0 3 311.351 4.254 20 0 DIADHN C[C@@H](N[C@@H]1CCCC12CCOCC2)c1nc2c(s1)CCCC2 ZINC000367084964 335659665 /nfs/dbraw/zinc/65/96/65/335659665.db2.gz CZAQYKSCKWZYKV-CZUORRHYSA-N 0 3 320.502 4.022 20 0 DIADHN COc1ccc([C@@H](C)NCC2(C(F)(F)F)CCC2)cc1OC ZINC000353947842 335672077 /nfs/dbraw/zinc/67/20/77/335672077.db2.gz PPMNIZCKCVRORV-LLVKDONJSA-N 0 3 317.351 4.087 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(Cl)ccc1Cl)[C@@H]1CCCOC1 ZINC000388998627 335681734 /nfs/dbraw/zinc/68/17/34/335681734.db2.gz QIPCTPMMJYFSIW-GRYCIOLGSA-N 0 3 302.245 4.459 20 0 DIADHN CC(C)n1ccc(CN[C@H](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000583599319 335759437 /nfs/dbraw/zinc/75/94/37/335759437.db2.gz HLOIDQZOEZDLNP-UONOGXRCSA-N 0 3 305.853 4.399 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(C)c(Cl)c2)c1 ZINC000536220572 335831441 /nfs/dbraw/zinc/83/14/41/335831441.db2.gz NIFYDHHRJCNNJK-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC[C@H](Cc1ccccc1)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000536218923 335831608 /nfs/dbraw/zinc/83/16/08/335831608.db2.gz DCSIMSRKSHDTMD-LJQANCHMSA-N 0 3 324.468 4.264 20 0 DIADHN COC[C@@H](N[C@H](C)Cc1ccccc1F)c1ccc(F)c(F)c1 ZINC000527354684 335838090 /nfs/dbraw/zinc/83/80/90/335838090.db2.gz ZBBAZEYWWGLCMX-KZULUSFZSA-N 0 3 323.358 4.012 20 0 DIADHN C[C@H](CCO)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000097439305 335855630 /nfs/dbraw/zinc/85/56/30/335855630.db2.gz GBDXJEQXPSYHQL-BDAKNGLRSA-N 0 3 310.652 4.316 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccccc1N(C)C)Oc1ccccc1F ZINC000536693702 335862412 /nfs/dbraw/zinc/86/24/12/335862412.db2.gz VFITWDLLUNYUQC-GJZGRUSLSA-N 0 3 316.420 4.010 20 0 DIADHN CCCCOc1ccc(CN2CCC(OCC3CC3)CC2)cc1 ZINC000536697733 335864296 /nfs/dbraw/zinc/86/42/96/335864296.db2.gz QNKDTJLPZVQPGP-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl)c1nccn1C ZINC000519651196 335885517 /nfs/dbraw/zinc/88/55/17/335885517.db2.gz AXGCBIBXPJXITD-UHIISALHSA-N 0 3 324.255 4.181 20 0 DIADHN Brc1cncc(CN[C@@H]2CCCc3sccc32)c1 ZINC000166893361 335885673 /nfs/dbraw/zinc/88/56/73/335885673.db2.gz IGIIEYOTSUJEME-CYBMUJFWSA-N 0 3 323.259 4.073 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2cccc(Cl)c2Cl)c(C)o1 ZINC000536771005 335887326 /nfs/dbraw/zinc/88/73/26/335887326.db2.gz HBHKEPOSSIGVME-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN CC(C)C[C@@H](NCc1ccc2c(c1)OCO2)c1cccs1 ZINC000532188642 335912800 /nfs/dbraw/zinc/91/28/00/335912800.db2.gz VRLUXBSMEFTPMG-CQSZACIVSA-N 0 3 303.427 4.354 20 0 DIADHN CC[C@H](N[C@@H](C)CC(=O)N(CC)CC)c1ccc(Cl)s1 ZINC000532223256 335917849 /nfs/dbraw/zinc/91/78/49/335917849.db2.gz DBJMZUOWQSXSIY-RYUDHWBXSA-N 0 3 316.898 4.089 20 0 DIADHN COCc1cc(CN[C@H](C)c2cc(C)ccc2C)ccc1F ZINC000532224303 335924764 /nfs/dbraw/zinc/92/47/64/335924764.db2.gz FSJMYYDQULLPHY-OAHLLOKOSA-N 0 3 301.405 4.440 20 0 DIADHN COc1ccc([C@H](C)NCCOC2CCCCCC2)cc1OC ZINC000532224898 335925189 /nfs/dbraw/zinc/92/51/89/335925189.db2.gz LFFJIWYTOGSETN-HNNXBMFYSA-N 0 3 321.461 4.094 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000532248409 335926163 /nfs/dbraw/zinc/92/61/63/335926163.db2.gz ILAHWPSYUURXPL-DYVFJYSZSA-N 0 3 323.358 4.398 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](CO)c2ccccc2C)cc1 ZINC000532249881 335926525 /nfs/dbraw/zinc/92/65/25/335926525.db2.gz TWXPYJCTVABSMC-OXQOHEQNSA-N 0 3 313.441 4.168 20 0 DIADHN Cn1cc(CNC2(c3ccc(Cl)cc3)CCCCC2)cn1 ZINC000102655935 335927317 /nfs/dbraw/zinc/92/73/17/335927317.db2.gz WYNIILVSBRUYFV-UHFFFAOYSA-N 0 3 303.837 4.023 20 0 DIADHN Cc1ccc(OCCNCc2ccc(OC(F)F)cc2)c(C)c1 ZINC000520217111 335937386 /nfs/dbraw/zinc/93/73/86/335937386.db2.gz HTLNCQQGDBHYMD-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNCCc1ccc(C)o1 ZINC000520203237 335938177 /nfs/dbraw/zinc/93/81/77/335938177.db2.gz RCSWAARUNZBUIW-UHFFFAOYSA-N 0 3 314.212 4.236 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNCC1(c2ccccc2)CC1 ZINC000520208749 335939599 /nfs/dbraw/zinc/93/95/99/335939599.db2.gz HSNDGZHXJZIVEP-UHFFFAOYSA-N 0 3 324.443 4.247 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2ccccc2OCc2cccnc2)C1 ZINC000520229377 335941888 /nfs/dbraw/zinc/94/18/88/335941888.db2.gz OCQXVBCSZZIEHE-ZWKOTPCHSA-N 0 3 314.404 4.031 20 0 DIADHN CCc1cccc(CNc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC000521445659 335975707 /nfs/dbraw/zinc/97/57/07/335975707.db2.gz XKOPHFZVVSIYLM-IBGZPJMESA-N 0 3 310.441 4.246 20 0 DIADHN Fc1ccc([C@H](NCCCC(F)(F)F)c2ccccn2)cc1 ZINC000520457506 335980069 /nfs/dbraw/zinc/98/00/69/335980069.db2.gz QLZZWIIYOORMKH-HNNXBMFYSA-N 0 3 312.310 4.242 20 0 DIADHN Cc1cc(CNC2(C3CC3)CC2)nc(-c2ccc(Cl)cc2)n1 ZINC000520459134 335980313 /nfs/dbraw/zinc/98/03/13/335980313.db2.gz QOSJMEXCEJKTLG-UHFFFAOYSA-N 0 3 313.832 4.138 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(-n3cccn3)c2)cc(C)c1O ZINC000520598996 335993926 /nfs/dbraw/zinc/99/39/26/335993926.db2.gz YVCBPOMUHFQERI-MRXNPFEDSA-N 0 3 321.424 4.046 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@H](c2cccnc2)C1 ZINC000583628591 336000705 /nfs/dbraw/zinc/00/07/05/336000705.db2.gz KRBSWIWKHHGUOZ-YJBOKZPZSA-N 0 3 319.408 4.287 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H](c2cccnc2)C1 ZINC000583628588 336000747 /nfs/dbraw/zinc/00/07/47/336000747.db2.gz KRBSWIWKHHGUOZ-CRAIPNDOSA-N 0 3 319.408 4.287 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCc4cc(N)ccc43)oc2cc1C ZINC000583641977 336013392 /nfs/dbraw/zinc/01/33/92/336013392.db2.gz KQEXAUWCPIGDNS-LJQANCHMSA-N 0 3 306.409 4.409 20 0 DIADHN C[C@H](CN1CCN(C/C=C/c2ccccc2)CC1)c1ccccc1 ZINC000019359393 336022237 /nfs/dbraw/zinc/02/22/37/336022237.db2.gz LHKXNIAUDSLCCY-OJLWIZQOSA-N 0 3 320.480 4.121 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cc(C)nn1C)c1ccc(Cl)cc1 ZINC000417952740 336023457 /nfs/dbraw/zinc/02/34/57/336023457.db2.gz CTKKLDVFOHXNRD-YVEFUNNKSA-N 0 3 305.853 4.259 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@@H]2C[C@@H]2c2ccccc2)cc1OC ZINC000351320132 336027370 /nfs/dbraw/zinc/02/73/70/336027370.db2.gz AKOBZAJAZACXSA-XGWLTEMNSA-N 0 3 315.388 4.050 20 0 DIADHN CC[C@H](NCCc1nc(C)c(C)s1)c1ccc(F)cc1F ZINC000351385107 336031633 /nfs/dbraw/zinc/03/16/33/336031633.db2.gz MXVYQOFOPMUHKW-HNNXBMFYSA-N 0 3 310.413 4.322 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1ccc([S@@](C)=O)cc1 ZINC000351619789 336051192 /nfs/dbraw/zinc/05/11/92/336051192.db2.gz KNYYGEQTOSBPRQ-DENIHFKCSA-N 0 3 315.482 4.397 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NC[C@@H](C)C(F)(F)F)CCCO2 ZINC000480660146 336068261 /nfs/dbraw/zinc/06/82/61/336068261.db2.gz DZFBZJITCBZNAP-TZMCWYRMSA-N 0 3 301.352 4.305 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(C3CCC3)cc2)C[C@H]1n1ccnc1 ZINC000351776192 336068616 /nfs/dbraw/zinc/06/86/16/336068616.db2.gz ZAQXXFZNINANJI-OXQOHEQNSA-N 0 3 309.457 4.234 20 0 DIADHN Cc1sccc1CN(CCCO)Cc1cccc(Cl)c1 ZINC000351813780 336069875 /nfs/dbraw/zinc/06/98/75/336069875.db2.gz RYRMLXWVRCZSFA-UHFFFAOYSA-N 0 3 309.862 4.095 20 0 DIADHN C[C@H](CNCc1nc(-c2ccccc2)c[nH]1)Cc1cccs1 ZINC000194339295 338515286 /nfs/dbraw/zinc/51/52/86/338515286.db2.gz KQVXAHMXVDJDLE-AWEZNQCLSA-N 0 3 311.454 4.107 20 0 DIADHN CC(C)[C@H](CNCc1nc(-c2ccccc2)c[nH]1)c1cccnc1 ZINC000194357057 338515995 /nfs/dbraw/zinc/51/59/95/338515995.db2.gz NNLDSWBIICFNSP-SFHVURJKSA-N 0 3 320.440 4.001 20 0 DIADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000332148392 336160547 /nfs/dbraw/zinc/16/05/47/336160547.db2.gz GQSMSTUKEKYTNR-SMDDNHRTSA-N 0 3 303.381 4.105 20 0 DIADHN c1csc([C@H](CN[C@@H]2CCCc3occc32)N2CCCC2)c1 ZINC000192590953 336167184 /nfs/dbraw/zinc/16/71/84/336167184.db2.gz NXGGVDQQBOVZQY-CVEARBPZSA-N 0 3 316.470 4.145 20 0 DIADHN c1coc([C@H](CN[C@H]2CCCc3occc32)N2CCCCC2)c1 ZINC000192598347 336178246 /nfs/dbraw/zinc/17/82/46/336178246.db2.gz XYTDHGUDWHNHID-IRXDYDNUSA-N 0 3 314.429 4.067 20 0 DIADHN CCOc1ccc(C2=CCN([C@@H](C)c3cccnc3)CC2)cc1 ZINC000351956183 336182629 /nfs/dbraw/zinc/18/26/29/336182629.db2.gz BBJFTRHHAJYPQP-INIZCTEOSA-N 0 3 308.425 4.331 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@]2(CCCOC2)C1 ZINC000352043466 336191380 /nfs/dbraw/zinc/19/13/80/336191380.db2.gz DQKNBYABBAFSKD-INIZCTEOSA-N 0 3 313.363 4.098 20 0 DIADHN Cc1cc([C@H]2CCCN2Cc2cc(C)nc3ccccc23)on1 ZINC000352096677 336194762 /nfs/dbraw/zinc/19/47/62/336194762.db2.gz IEOQEYZTPZPNQV-GOSISDBHSA-N 0 3 307.397 4.177 20 0 DIADHN CSc1ccc(CNCc2ccccc2-c2ccnn2C)cc1 ZINC000352230660 336204413 /nfs/dbraw/zinc/20/44/13/336204413.db2.gz LPWOKCYZULHRGK-UHFFFAOYSA-N 0 3 323.465 4.099 20 0 DIADHN CO[C@](C)(CN[C@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC000324391223 336206661 /nfs/dbraw/zinc/20/66/61/336206661.db2.gz NDHKSLHRCDYZSQ-WOJBJXKFSA-N 0 3 313.416 4.320 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cnc(C2CCC2)s1 ZINC000352303717 336211125 /nfs/dbraw/zinc/21/11/25/336211125.db2.gz UTPRJISKVDTRGM-UHFFFAOYSA-N 0 3 304.434 4.224 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC[C@@H](c4ncc[nH]4)C3)o2)cc1 ZINC000352339131 336213165 /nfs/dbraw/zinc/21/31/65/336213165.db2.gz ZULCYIFQDCPXFN-QGZVFWFLSA-N 0 3 321.424 4.358 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCc1ccncc1 ZINC000352342340 336213248 /nfs/dbraw/zinc/21/32/48/336213248.db2.gz RFSJOFVGLLFLAB-MRXNPFEDSA-N 0 3 308.347 4.216 20 0 DIADHN CC(C)COC1CCN(Cc2ccccc2OCC2CC2)CC1 ZINC000352376216 336215140 /nfs/dbraw/zinc/21/51/40/336215140.db2.gz LUFJEATYHOBSDU-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN Cc1cnn([C@H]2CCN(Cc3ccc(SC(C)C)cc3)C2)c1 ZINC000352400339 336216249 /nfs/dbraw/zinc/21/62/49/336216249.db2.gz XJSRUYHWKBHNJQ-KRWDZBQOSA-N 0 3 315.486 4.139 20 0 DIADHN Fc1ccc(CN2CCC=C(c3ccco3)C2)c2ncccc12 ZINC000352551455 336224270 /nfs/dbraw/zinc/22/42/70/336224270.db2.gz XYUBMCBKEOQRGN-UHFFFAOYSA-N 0 3 308.356 4.256 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@@H]1C1CCCC1 ZINC000352645391 336228308 /nfs/dbraw/zinc/22/83/08/336228308.db2.gz STWSJTVKYGAEBR-CVEARBPZSA-N 0 3 306.475 4.149 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@H]1C1CCCC1 ZINC000352645392 336228352 /nfs/dbraw/zinc/22/83/52/336228352.db2.gz STWSJTVKYGAEBR-HOTGVXAUSA-N 0 3 306.475 4.149 20 0 DIADHN Cc1nc(-c2ccc(CNC3CC(OC(C)(C)C)C3)o2)cs1 ZINC000352719461 336232180 /nfs/dbraw/zinc/23/21/80/336232180.db2.gz GOZUNQKQKFLBRD-UHFFFAOYSA-N 0 3 320.458 4.147 20 0 DIADHN COc1cc(CN2CCC[C@@H]3CCC[C@@H]32)c(SC)cc1OC ZINC000352718844 336232191 /nfs/dbraw/zinc/23/21/91/336232191.db2.gz JBHWOJLNASDGIH-ZFWWWQNUSA-N 0 3 321.486 4.190 20 0 DIADHN CC[C@H](NC/C=C\c1ccc(F)c(F)c1)c1c(C)nn(C)c1C ZINC000352749333 336234595 /nfs/dbraw/zinc/23/45/95/336234595.db2.gz MLIHZRHBPZGILM-TUYSUELWSA-N 0 3 319.399 4.069 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000352778860 336235999 /nfs/dbraw/zinc/23/59/99/336235999.db2.gz IXSMMZHYDTZWPJ-INIZCTEOSA-N 0 3 303.425 4.135 20 0 DIADHN CC[C@H](NC[C@H](c1cccnc1)C(C)C)c1ccc(F)cn1 ZINC000352782604 336236294 /nfs/dbraw/zinc/23/62/94/336236294.db2.gz IMFFTOLQTNJYDS-IRXDYDNUSA-N 0 3 301.409 4.096 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@@H]1n1cccn1)c1ccccc1F ZINC000352784388 336236358 /nfs/dbraw/zinc/23/63/58/336236358.db2.gz TZYKCVMGOABRTA-BZSNNMDCSA-N 0 3 301.409 4.247 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@@H]1n1cccn1)c1ccccc1F ZINC000352784396 336236367 /nfs/dbraw/zinc/23/63/67/336236367.db2.gz TZYKCVMGOABRTA-KSZLIROESA-N 0 3 301.409 4.247 20 0 DIADHN CC[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccccc1F ZINC000352790792 336236877 /nfs/dbraw/zinc/23/68/77/336236877.db2.gz VYPNDFBBWPUUMM-LJQANCHMSA-N 0 3 309.388 4.252 20 0 DIADHN C[C@@H](C1CC1)N(Cc1coc(-c2ccc(F)c(F)c2)n1)C1CC1 ZINC000103226450 336238821 /nfs/dbraw/zinc/23/88/21/336238821.db2.gz JDOWQKLJZQUOAY-NSHDSACASA-N 0 3 318.367 4.383 20 0 DIADHN CC(C)SCCN[C@H](CCO)c1ccc(Cl)c(Cl)c1 ZINC000352801925 336239287 /nfs/dbraw/zinc/23/92/87/336239287.db2.gz UNTUFUHRGFZQNF-CQSZACIVSA-N 0 3 322.301 4.148 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000324656610 336240922 /nfs/dbraw/zinc/24/09/22/336240922.db2.gz YZUFCESKBPEKSS-HNNXBMFYSA-N 0 3 323.440 4.281 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C1CC1)C1CCCC1 ZINC000103466529 336241138 /nfs/dbraw/zinc/24/11/38/336241138.db2.gz SJIZBGYOEQOCMY-UHFFFAOYSA-N 0 3 300.446 4.156 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CNCCc1ccco1 ZINC000532331188 336241884 /nfs/dbraw/zinc/24/18/84/336241884.db2.gz JLWWEXSMLQGBGK-UHFFFAOYSA-N 0 3 323.440 4.095 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)[C@H]1CCc2ccccc21 ZINC000042635206 336242159 /nfs/dbraw/zinc/24/21/59/336242159.db2.gz ILZSCCRIWWRTMB-FQEVSTJZSA-N 0 3 303.409 4.058 20 0 DIADHN CCn1cncc1CNCc1cccc(-c2ccc(C)cc2)c1 ZINC000417966375 336244385 /nfs/dbraw/zinc/24/43/85/336244385.db2.gz JJKVBNPOPVNKET-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN CCCN(Cc1cn2cccnc2n1)[C@H](CC)c1ccccc1 ZINC000532407225 336246437 /nfs/dbraw/zinc/24/64/37/336246437.db2.gz KMRGDPCMHYOODU-GOSISDBHSA-N 0 3 308.429 4.093 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000532441275 336247409 /nfs/dbraw/zinc/24/74/09/336247409.db2.gz AKKMUNCGEBTGMN-IYOUNJFTSA-N 0 3 303.833 4.442 20 0 DIADHN C[C@H](NC[C@H](c1cccs1)N1CCC(C)CC1)c1ccoc1 ZINC000532441933 336247468 /nfs/dbraw/zinc/24/74/68/336247468.db2.gz JMIZMHFREIWCRS-DOTOQJQBSA-N 0 3 318.486 4.465 20 0 DIADHN C[C@H](NC[C@H]1CCCO1)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532442559 336247606 /nfs/dbraw/zinc/24/76/06/336247606.db2.gz HLYXHOLPEXHUJM-WMLDXEAASA-N 0 3 315.388 4.448 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](CC)c2cccs2)c1 ZINC000532447313 336247836 /nfs/dbraw/zinc/24/78/36/336247836.db2.gz AMKXUAIGWVQWET-HNNXBMFYSA-N 0 3 302.443 4.338 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCc3c2cccc3Cl)cn1 ZINC000532446363 336247852 /nfs/dbraw/zinc/24/78/52/336247852.db2.gz LYVGCOYPJGLJJP-QGZVFWFLSA-N 0 3 316.832 4.299 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c4ccccc4sc32)ccn1 ZINC000532458920 336248516 /nfs/dbraw/zinc/24/85/16/336248516.db2.gz YFYVBPFIHWYYKO-INIZCTEOSA-N 0 3 324.449 4.472 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000532492077 336250521 /nfs/dbraw/zinc/25/05/21/336250521.db2.gz XKQBLWHXVLRGRK-QGZVFWFLSA-N 0 3 312.457 4.462 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCC[C@@H]2Oc2cccc(C)c2)s1 ZINC000532496107 336250842 /nfs/dbraw/zinc/25/08/42/336250842.db2.gz KXCOKUNPRJQTTE-MSOLQXFVSA-N 0 3 316.470 4.240 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@@H](C)c1cccc(-c2ccccc2)c1 ZINC000532475499 336251087 /nfs/dbraw/zinc/25/10/87/336251087.db2.gz HSGHROKSZKEEAX-SJORKVTESA-N 0 3 324.468 4.309 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCC[C@H](C)CC1 ZINC000532487829 336251866 /nfs/dbraw/zinc/25/18/66/336251866.db2.gz YHLLAWRBSLCJCN-JKSUJKDBSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@H](c1cccc(Br)c1)N(C)C[C@H]1CCCCO1 ZINC000532486649 336251915 /nfs/dbraw/zinc/25/19/15/336251915.db2.gz JBXUTLDOFKFCJY-IUODEOHRSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCc2ccccc2O)c1 ZINC000532488094 336252017 /nfs/dbraw/zinc/25/20/17/336252017.db2.gz QCEQWDSRTWGRNY-ZDUSSCGKSA-N 0 3 321.367 4.195 20 0 DIADHN COc1ccccc1CC1CCN([C@H](C)c2ccccn2)CC1 ZINC000532521439 336252022 /nfs/dbraw/zinc/25/20/22/336252022.db2.gz OPBHDOYDCDBEEX-MRXNPFEDSA-N 0 3 310.441 4.106 20 0 DIADHN CC[C@H](C)N[C@H](c1cccc(OC)c1)c1cc(F)ccc1OC ZINC000532522154 336252123 /nfs/dbraw/zinc/25/21/23/336252123.db2.gz HHHREPHBURKRAO-ORAYPTAESA-N 0 3 317.404 4.320 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(C)cc2OC)C12CCC2 ZINC000532522619 336252777 /nfs/dbraw/zinc/25/27/77/336252777.db2.gz OUTBHQYINSMDFF-ZTFGCOKTSA-N 0 3 303.446 4.002 20 0 DIADHN CO[C@@H](CN[C@H](C)c1sccc1Br)C(C)(C)C ZINC000532531252 336253812 /nfs/dbraw/zinc/25/38/12/336253812.db2.gz ADGOZSRKUYPJRF-KOLCDFICSA-N 0 3 320.296 4.222 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccoc1)Oc1cccc(Br)c1 ZINC000532530877 336253855 /nfs/dbraw/zinc/25/38/55/336253855.db2.gz UCMMJRBZIKOKOD-NEPJUHHUSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@H](CN[C@H](C)c1ccoc1)Oc1ccc(Br)cc1 ZINC000532532039 336253959 /nfs/dbraw/zinc/25/39/59/336253959.db2.gz SFSATTMNWVPPGE-VXGBXAGGSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@@H](NCCCOc1ccc2ccccc2c1)c1cncc(F)c1 ZINC000532540708 336254580 /nfs/dbraw/zinc/25/45/80/336254580.db2.gz TURBUCSJWPJFOP-OAHLLOKOSA-N 0 3 324.399 4.494 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN[C@H]2CCc3ccccc32)cc1 ZINC000532536547 336255111 /nfs/dbraw/zinc/25/51/11/336255111.db2.gz WLYZNSCNVVBNSG-IBGZPJMESA-N 0 3 323.436 4.419 20 0 DIADHN CC(C)C[C@H](NCCOc1ccc(F)cc1F)c1ccccn1 ZINC000532551045 336256115 /nfs/dbraw/zinc/25/61/15/336256115.db2.gz RINZRBASEKDTCZ-KRWDZBQOSA-N 0 3 320.383 4.116 20 0 DIADHN COc1cc(CN[C@@H]2CCCC(F)(F)C2)cc(Cl)c1OC ZINC000532627844 336257988 /nfs/dbraw/zinc/25/79/88/336257988.db2.gz MOSLHAPPHYATCZ-LLVKDONJSA-N 0 3 319.779 4.025 20 0 DIADHN C[C@@H](NCc1ccc(-n2cccn2)cc1)c1cc2ccccc2o1 ZINC000030545651 336258344 /nfs/dbraw/zinc/25/83/44/336258344.db2.gz SEKSBDQAZHJINI-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@H]2CCCc3c2cnn3C)cc1 ZINC000192726266 336259841 /nfs/dbraw/zinc/25/98/41/336259841.db2.gz XSVOYAKOLAIODT-KXBFYZLASA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)N[C@H]2CCCc3c2cnn3C)cc1 ZINC000192726254 336259889 /nfs/dbraw/zinc/25/98/89/336259889.db2.gz XSVOYAKOLAIODT-BEFAXECRSA-N 0 3 311.473 4.347 20 0 DIADHN COCc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)o1 ZINC000580355934 336259927 /nfs/dbraw/zinc/25/99/27/336259927.db2.gz QRTLIXQEDUOZHW-CQSZACIVSA-N 0 3 305.805 4.069 20 0 DIADHN C[C@H](CO)N(C)Cc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000580364604 336260365 /nfs/dbraw/zinc/26/03/65/336260365.db2.gz VSHPSTBVAVUKLW-SNVBAGLBSA-N 0 3 314.212 4.066 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccccc1OC ZINC000192758513 336260729 /nfs/dbraw/zinc/26/07/29/336260729.db2.gz JQXBEVJKQLNUDN-CJNGLKHVSA-N 0 3 313.397 4.226 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccccc1OC ZINC000192758489 336260760 /nfs/dbraw/zinc/26/07/60/336260760.db2.gz JQXBEVJKQLNUDN-BBRMVZONSA-N 0 3 313.397 4.226 20 0 DIADHN CC[C@@H](NCCSCc1ccccc1)c1c(C)noc1C ZINC000192779597 336261672 /nfs/dbraw/zinc/26/16/72/336261672.db2.gz GHLLOKKCXJYIME-MRXNPFEDSA-N 0 3 304.459 4.266 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2C[C@@H]2CCCOC2)c1 ZINC000532630061 336262560 /nfs/dbraw/zinc/26/25/60/336262560.db2.gz CEUYIZZQVRNUFQ-GUYCJALGSA-N 0 3 319.495 4.184 20 0 DIADHN CCC[C@@H](NCc1nc(=O)c2c(C)c(C)sc2[nH]1)C1CCC1 ZINC000324842023 336263052 /nfs/dbraw/zinc/26/30/52/336263052.db2.gz DBGDSMOWYRCDMV-CYBMUJFWSA-N 0 3 319.474 4.072 20 0 DIADHN Brc1ccc([C@H]2CCCN2C[C@H]2CCCOC2)cc1 ZINC000532640144 336264095 /nfs/dbraw/zinc/26/40/95/336264095.db2.gz WJPRQIGUTAVZSO-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1ccc(-c2nc(CCN[C@@H]3CCCc4occc43)co2)cc1 ZINC000192801942 336264577 /nfs/dbraw/zinc/26/45/77/336264577.db2.gz FXXTWJTTWYICLN-GOSISDBHSA-N 0 3 322.408 4.453 20 0 DIADHN c1c(CN2CC[C@@H](Nc3ccccc3)C2)onc1-c1ccccc1 ZINC000532682376 336266398 /nfs/dbraw/zinc/26/63/98/336266398.db2.gz JPEZVDGPJGCJOL-GOSISDBHSA-N 0 3 319.408 4.028 20 0 DIADHN c1c(CN2CC[C@H](Nc3ccccc3)C2)onc1-c1ccccc1 ZINC000532682377 336266414 /nfs/dbraw/zinc/26/64/14/336266414.db2.gz JPEZVDGPJGCJOL-SFHVURJKSA-N 0 3 319.408 4.028 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccoc2)no1 ZINC000532700988 336267036 /nfs/dbraw/zinc/26/70/36/336267036.db2.gz SNPGSNFHSXAQKX-KGLIPLIRSA-N 0 3 303.406 4.024 20 0 DIADHN CCc1nc(CCNCc2cscc2C(F)(F)F)cs1 ZINC000352831828 336269793 /nfs/dbraw/zinc/26/97/93/336269793.db2.gz CSUNWKLWYHFMME-UHFFFAOYSA-N 0 3 320.405 4.118 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)cc1 ZINC000192849119 336270389 /nfs/dbraw/zinc/27/03/89/336270389.db2.gz DYNOUCGTPDJMFB-KGLIPLIRSA-N 0 3 311.429 4.151 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)NCc1ccncc1Cl ZINC000542543949 336270607 /nfs/dbraw/zinc/27/06/07/336270607.db2.gz FWIWOAJDKRXDDF-QGZVFWFLSA-N 0 3 306.812 4.231 20 0 DIADHN Cc1cnc(CN[C@H](C)C(c2ccccc2)c2ccccc2)cn1 ZINC000324948883 336275046 /nfs/dbraw/zinc/27/50/46/336275046.db2.gz ARZJIRHHPGQGSU-QGZVFWFLSA-N 0 3 317.436 4.095 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000526944685 336275941 /nfs/dbraw/zinc/27/59/41/336275941.db2.gz UCWHHSYFKWHCKY-OLZOCXBDSA-N 0 3 318.486 4.245 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000526944684 336275944 /nfs/dbraw/zinc/27/59/44/336275944.db2.gz UCWHHSYFKWHCKY-CHWSQXEVSA-N 0 3 318.486 4.245 20 0 DIADHN CCO[C@@H](CCNCc1cscc1C(F)(F)F)C(C)C ZINC000352845168 336276303 /nfs/dbraw/zinc/27/63/03/336276303.db2.gz ZMNTUGSFORFRBL-ZDUSSCGKSA-N 0 3 309.397 4.308 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(c2ncc[nH]2)CC1 ZINC000352854545 336280546 /nfs/dbraw/zinc/28/05/46/336280546.db2.gz UIZMGKRPACDZMC-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1csc(Cl)c1 ZINC000527042994 336280832 /nfs/dbraw/zinc/28/08/32/336280832.db2.gz CEOZTSPEUFPNMX-NSHDSACASA-N 0 3 311.834 4.140 20 0 DIADHN CCCOc1ccc([C@@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000192914557 336284157 /nfs/dbraw/zinc/28/41/57/336284157.db2.gz NNRHLABXSLGJTG-QAPCUYQASA-N 0 3 316.445 4.022 20 0 DIADHN CCn1cncc1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000417996171 336286152 /nfs/dbraw/zinc/28/61/52/336286152.db2.gz QQADGKRWIRPZJB-NRFANRHFSA-N 0 3 317.436 4.347 20 0 DIADHN C[C@@H](N[C@H](Cc1ccccc1)c1cccs1)c1ccnn1C ZINC000192999769 336286695 /nfs/dbraw/zinc/28/66/95/336286695.db2.gz XWOYCQYAOXWDAT-GDBMZVCRSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)c1cccs1)c1ccnn1C ZINC000192999754 336286820 /nfs/dbraw/zinc/28/68/20/336286820.db2.gz XWOYCQYAOXWDAT-GOEBONIOSA-N 0 3 311.454 4.116 20 0 DIADHN c1ccc([C@@H]2C[C@H]2CNCc2nc3ccccc3n2C2CC2)cc1 ZINC000417994465 336287216 /nfs/dbraw/zinc/28/72/16/336287216.db2.gz RCIFHNQMRKGAQN-WMZOPIPTSA-N 0 3 317.436 4.265 20 0 DIADHN c1coc([C@H](CNc2nc3ccccc3s2)N2CCCC2)c1 ZINC000301055895 336287527 /nfs/dbraw/zinc/28/75/27/336287527.db2.gz DHOISROGWSNYBC-AWEZNQCLSA-N 0 3 313.426 4.138 20 0 DIADHN CC1CCN([C@@H](CNc2ccccn2)c2cccs2)CC1 ZINC000301056639 336287565 /nfs/dbraw/zinc/28/75/65/336287565.db2.gz LEBIOISGNBSMNO-HNNXBMFYSA-N 0 3 301.459 4.028 20 0 DIADHN c1coc([C@H](CNc2ccc3ccccc3n2)N2CCCC2)c1 ZINC000301057683 336287656 /nfs/dbraw/zinc/28/76/56/336287656.db2.gz MNCKBBQNKKHQKG-KRWDZBQOSA-N 0 3 307.397 4.077 20 0 DIADHN CN(C)[C@H](CNc1ccnc2ccc(Cl)cc21)c1ccco1 ZINC000301252122 336296899 /nfs/dbraw/zinc/29/68/99/336296899.db2.gz DLWWHAFBZUQNHP-MRXNPFEDSA-N 0 3 315.804 4.196 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2cc(F)ccc21)c1cccs1 ZINC000301286528 336298892 /nfs/dbraw/zinc/29/88/92/336298892.db2.gz RQEVJSSTDNSTRX-INIZCTEOSA-N 0 3 315.417 4.150 20 0 DIADHN c1cc2nccc(NC3CCN(Cc4ccccc4)CC3)c2s1 ZINC000301330907 336300879 /nfs/dbraw/zinc/30/08/79/336300879.db2.gz AYFHHFFZOVAUCW-UHFFFAOYSA-N 0 3 323.465 4.373 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(Cl)cccc1Cl)c1ccnn1C ZINC000193055686 336307502 /nfs/dbraw/zinc/30/75/02/336307502.db2.gz LFRSQZXKCBKUHG-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CN1CCC[C@H](CNc2ncccc2Cl)[C@@H]1c1cccs1 ZINC000301574330 336315854 /nfs/dbraw/zinc/31/58/54/336315854.db2.gz PWGAPAMIKKDDGY-IUODEOHRSA-N 0 3 321.877 4.292 20 0 DIADHN Cc1ccnc(NCc2ccccc2CN(C)Cc2ccco2)c1 ZINC000301650431 336320800 /nfs/dbraw/zinc/32/08/00/336320800.db2.gz PRFIPYWSPFHJRN-UHFFFAOYSA-N 0 3 321.424 4.227 20 0 DIADHN Cc1nc(C)c(C)c(NC2CCN([C@H](C)c3ccccc3)CC2)n1 ZINC000301672640 336322515 /nfs/dbraw/zinc/32/25/15/336322515.db2.gz GDTRIVJNHRBFBO-MRXNPFEDSA-N 0 3 324.472 4.039 20 0 DIADHN CCCc1cc(N2CC[C@H]3OCCC[C@H]3C2)c2ccccc2n1 ZINC000301763939 336328444 /nfs/dbraw/zinc/32/84/44/336328444.db2.gz AMZBTGBIQWIJER-MGPUTAFESA-N 0 3 310.441 4.193 20 0 DIADHN CCc1cc(NC[C@H](O)c2ccccc2F)c2ccccc2n1 ZINC000301775197 336328963 /nfs/dbraw/zinc/32/89/63/336328963.db2.gz GIEOLLCNHNHCMA-IBGZPJMESA-N 0 3 310.372 4.082 20 0 DIADHN CC(C)c1nnc(NC[C@@H](c2ccc(Cl)cc2)N(C)C)s1 ZINC000301782120 336329580 /nfs/dbraw/zinc/32/95/80/336329580.db2.gz SZFKTXMSJFQVAU-ZDUSSCGKSA-N 0 3 324.881 4.030 20 0 DIADHN CCc1cc(N[C@@H](C)Cc2c(C)nn(C)c2C)c2ccccc2n1 ZINC000301799396 336330755 /nfs/dbraw/zinc/33/07/55/336330755.db2.gz RMVRKZMXHUUYIK-ZDUSSCGKSA-N 0 3 322.456 4.191 20 0 DIADHN Cc1cc(NC[C@](C)(O)c2ccc(F)cc2)c2ccccc2n1 ZINC000301847910 336333926 /nfs/dbraw/zinc/33/39/26/336333926.db2.gz VFRTZXVUIYHSRX-IBGZPJMESA-N 0 3 310.372 4.002 20 0 DIADHN CN1CC[C@H](Nc2nc3ccccc3s2)[C@H]1c1ccccc1 ZINC000301850312 336334001 /nfs/dbraw/zinc/33/40/01/336334001.db2.gz WQFBZJWBSWNPDT-DOTOQJQBSA-N 0 3 309.438 4.154 20 0 DIADHN CCc1nn(C)c(CC)c1CNc1cc(C)nc2ccccc21 ZINC000301853506 336334305 /nfs/dbraw/zinc/33/43/05/336334305.db2.gz QIFRMXXIASWDLH-UHFFFAOYSA-N 0 3 308.429 4.014 20 0 DIADHN Cc1cc(NCc2ccc(CN(C)C)c(F)c2)c2ccccc2n1 ZINC000301854746 336334404 /nfs/dbraw/zinc/33/44/04/336334404.db2.gz CIQISFUREMSNHT-UHFFFAOYSA-N 0 3 323.415 4.356 20 0 DIADHN CCCc1cc(N2CCC[C@H](c3cc[nH]n3)C2)c2ccccc2n1 ZINC000301991571 336341780 /nfs/dbraw/zinc/34/17/80/336341780.db2.gz PXIABLXAPVRGFH-HNNXBMFYSA-N 0 3 320.440 4.294 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)CCSC)c1ccc(Cl)cc1 ZINC000514101891 336341987 /nfs/dbraw/zinc/34/19/87/336341987.db2.gz IDIMAKDJXGQOHK-DOMZBBRYSA-N 0 3 301.883 4.101 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000302065778 336346526 /nfs/dbraw/zinc/34/65/26/336346526.db2.gz UGLSLMOMFYGQKZ-OAHLLOKOSA-N 0 3 320.440 4.213 20 0 DIADHN CN1CCC(Nc2ccc3c(Cl)ccc(Cl)c3n2)CC1 ZINC000302082361 336347690 /nfs/dbraw/zinc/34/76/90/336347690.db2.gz YFVKCYQSWBCSIF-UHFFFAOYSA-N 0 3 310.228 4.048 20 0 DIADHN Cc1nc2ccccc2c(N2CCC(OCC(C)C)CC2)c1C ZINC000302097842 336348320 /nfs/dbraw/zinc/34/83/20/336348320.db2.gz SZUWILQESZOJMG-UHFFFAOYSA-N 0 3 312.457 4.493 20 0 DIADHN Cc1ccc2nccc(N[C@@H]3CCO[C@H]3c3ccccc3)c2c1 ZINC000302142497 336350639 /nfs/dbraw/zinc/35/06/39/336350639.db2.gz FSMOFAJPVXWCDX-UXHICEINSA-N 0 3 304.393 4.485 20 0 DIADHN Fc1cccc(F)c1CCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000514105534 336357708 /nfs/dbraw/zinc/35/77/08/336357708.db2.gz RRYMWWOMSKERLP-QGZVFWFLSA-N 0 3 319.399 4.142 20 0 DIADHN C[C@@H](CN(C)Cc1c[nH]c(-c2ccccc2)n1)c1ccccc1 ZINC000514106337 336361128 /nfs/dbraw/zinc/36/11/28/336361128.db2.gz XQNNSZYCBFHBRY-INIZCTEOSA-N 0 3 305.425 4.312 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000514106337 336361129 /nfs/dbraw/zinc/36/11/29/336361129.db2.gz XQNNSZYCBFHBRY-INIZCTEOSA-N 0 3 305.425 4.312 20 0 DIADHN Cc1cc(NCc2ccccc2-n2ccnc2)c2ccccc2n1 ZINC000302630253 336363011 /nfs/dbraw/zinc/36/30/11/336363011.db2.gz GKYYTPNEGODPGG-UHFFFAOYSA-N 0 3 314.392 4.341 20 0 DIADHN Cc1ccc2nccc(NCc3ccccc3-n3ccnc3)c2c1 ZINC000302677649 336364445 /nfs/dbraw/zinc/36/44/45/336364445.db2.gz QMCXOMKXFKVHNH-UHFFFAOYSA-N 0 3 314.392 4.341 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2c(F)cccc12)c1cccs1 ZINC000302679431 336364604 /nfs/dbraw/zinc/36/46/04/336364604.db2.gz OHBSUNGPSWBAPN-HNNXBMFYSA-N 0 3 315.417 4.150 20 0 DIADHN Cc1cc(NC[C@H](c2ccnn2C)C(C)C)c2ccccc2n1 ZINC000302749639 336367748 /nfs/dbraw/zinc/36/77/48/336367748.db2.gz GOFBJLWEGDGGLO-INIZCTEOSA-N 0 3 308.429 4.128 20 0 DIADHN CC(C)SCCN1CCC[C@@H]1CC(=O)c1ccc(F)cc1 ZINC000514114633 336368186 /nfs/dbraw/zinc/36/81/86/336368186.db2.gz IUIJWIDZJRMTKS-MRXNPFEDSA-N 0 3 309.450 4.005 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](OC)c2ccc(Cl)cc2)cc1 ZINC000514121867 336371748 /nfs/dbraw/zinc/37/17/48/336371748.db2.gz AXSZLGQIZLXAJY-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN COc1cccc([C@H](C)NC[C@H](OC)c2ccc(Cl)cc2)c1 ZINC000514126938 336374382 /nfs/dbraw/zinc/37/43/82/336374382.db2.gz NQMUQUBWDMJJFO-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN COc1cccc([C@@H](C)NC[C@@H](OC)c2ccc(Cl)cc2)c1 ZINC000514126936 336374403 /nfs/dbraw/zinc/37/44/03/336374403.db2.gz NQMUQUBWDMJJFO-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1cccc(OC(F)F)c1 ZINC000514129883 336375012 /nfs/dbraw/zinc/37/50/12/336375012.db2.gz CVIGRDDLURMMAO-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN Cc1ccc(C)c(OCCN2C[C@@H](C)C[C@@H]2c2cccnc2)c1 ZINC000563907165 336376057 /nfs/dbraw/zinc/37/60/57/336376057.db2.gz YAOSJONRGDUNDA-QFBILLFUSA-N 0 3 310.441 4.160 20 0 DIADHN CCC[C@H](CCO)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000152437125 336376238 /nfs/dbraw/zinc/37/62/38/336376238.db2.gz YTVZNLAEGBNLIZ-ZIAGYGMSSA-N 0 3 315.404 4.046 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCCCc2cccc(C)n2)c1 ZINC000352911755 336376554 /nfs/dbraw/zinc/37/65/54/336376554.db2.gz AIXBTWADEZTQJQ-UHFFFAOYSA-N 0 3 320.383 4.022 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC1CCC2(CC2)CC1 ZINC000352952302 336379031 /nfs/dbraw/zinc/37/90/31/336379031.db2.gz QCXKKFHHQOBKAE-UHFFFAOYSA-N 0 3 302.437 4.238 20 0 DIADHN COc1cc(CN2CCC[C@@H](C)[C@H]2C)c(SC)cc1OC ZINC000352962796 336379618 /nfs/dbraw/zinc/37/96/18/336379618.db2.gz MQMLYNOTSWJDOL-CHWSQXEVSA-N 0 3 309.475 4.046 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccc(F)cc3)CC2)s1 ZINC000352971190 336380265 /nfs/dbraw/zinc/38/02/65/336380265.db2.gz DHZYLHSLAGSCDZ-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN C/C(=C/c1ccccc1)CNC[C@]1(C)OCCc2sccc21 ZINC000563919857 336384122 /nfs/dbraw/zinc/38/41/22/336384122.db2.gz KAXIBVSCRXVNJG-RYBIEJRYSA-N 0 3 313.466 4.229 20 0 DIADHN c1ccc(OCCCN2CCC(OC3CCCCC3)CC2)cc1 ZINC000261384788 336384784 /nfs/dbraw/zinc/38/47/84/336384784.db2.gz UJQBTWAFGVFWLX-UHFFFAOYSA-N 0 3 317.473 4.269 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2ccc(Cl)cc2)[C@@]12CCCO2 ZINC000353033941 336384863 /nfs/dbraw/zinc/38/48/63/336384863.db2.gz YILVCAGIVFXNTA-FJIDUMEYSA-N 0 3 323.864 4.107 20 0 DIADHN CCCc1csc(CN[C@@H](C)CCOCc2ccccc2)n1 ZINC000514594656 336385395 /nfs/dbraw/zinc/38/53/95/336385395.db2.gz WWCPQWJHSVPVSZ-HNNXBMFYSA-N 0 3 318.486 4.181 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCCc1nc(C)no1 ZINC000105469332 336387622 /nfs/dbraw/zinc/38/76/22/336387622.db2.gz URIKDGVERGRKBI-JXAWBTAJSA-N 0 3 313.445 4.174 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@H](OC)C[C@@H]2C)cc1 ZINC000443070471 336390124 /nfs/dbraw/zinc/39/01/24/336390124.db2.gz OXDGNVZWOIRTHB-LPHOPBHVSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@H](CO)c1ccc(F)cc1 ZINC000563988484 336390281 /nfs/dbraw/zinc/39/02/81/336390281.db2.gz BUAYUCOFOYLQET-HXUWFJFHSA-N 0 3 309.384 4.111 20 0 DIADHN FC(F)(F)C1(CNCc2cccc(-c3ccncc3)c2)CC1 ZINC000353050763 336391608 /nfs/dbraw/zinc/39/16/08/336391608.db2.gz WHZZHXNABYFODA-UHFFFAOYSA-N 0 3 306.331 4.181 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H]1c2ccccc2CC[C@H]1C(C)C ZINC000582252092 336394095 /nfs/dbraw/zinc/39/40/95/336394095.db2.gz UUJVMLRSDHISNX-RIFZZMRRSA-N 0 3 309.457 4.395 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1COC(C)(C)C1 ZINC000418034334 336395731 /nfs/dbraw/zinc/39/57/31/336395731.db2.gz OJNYGEXZUKUXPH-OCCSQVGLSA-N 0 3 301.352 4.146 20 0 DIADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1ccc(Oc2cccnc2)cc1 ZINC000418054872 336398087 /nfs/dbraw/zinc/39/80/87/336398087.db2.gz BJKOSCMWVOEORU-GDBMZVCRSA-N 0 3 312.413 4.092 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(OC2CCC2)CC1 ZINC000418086916 336398710 /nfs/dbraw/zinc/39/87/10/336398710.db2.gz AKNYOYFTWTUWPO-UHFFFAOYSA-N 0 3 304.478 4.068 20 0 DIADHN Cc1cc2[nH]c(CN3CCC(OC4CCC4)CC3)cc2c(C)c1 ZINC000418087697 336398899 /nfs/dbraw/zinc/39/88/99/336398899.db2.gz DBLGOFPEXHOIJD-UHFFFAOYSA-N 0 3 312.457 4.318 20 0 DIADHN C[C@@H](CN1CCC(OC2CCC2)CC1)c1cccc(Cl)c1 ZINC000418087766 336398944 /nfs/dbraw/zinc/39/89/44/336398944.db2.gz DGNHUVAFCWMFQN-AWEZNQCLSA-N 0 3 307.865 4.477 20 0 DIADHN CC(C)Oc1ccc(CN2CCC(OC3CCC3)CC2)cc1 ZINC000418090477 336399051 /nfs/dbraw/zinc/39/90/51/336399051.db2.gz KVTJELWZWZFACH-UHFFFAOYSA-N 0 3 303.446 4.007 20 0 DIADHN CC(C)COc1cccc(CN2CCC(OC3CCC3)CC2)c1 ZINC000418091638 336399081 /nfs/dbraw/zinc/39/90/81/336399081.db2.gz NPNHUIQVUFSCED-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN c1cc(CN2CCC(OC3CCC3)CC2)cc(-c2ccncc2)c1 ZINC000418095225 336399377 /nfs/dbraw/zinc/39/93/77/336399377.db2.gz UABMIVDJBLPNDF-UHFFFAOYSA-N 0 3 322.452 4.282 20 0 DIADHN CC(C)Oc1ccccc1CNCC1(c2ccccn2)CCC1 ZINC000353096692 336402457 /nfs/dbraw/zinc/40/24/57/336402457.db2.gz ZNUFOZHBWFILGI-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN C[C@@H](NCc1cc(F)cc2cccnc21)c1ccc2n[nH]cc2c1 ZINC000353175022 336405581 /nfs/dbraw/zinc/40/55/81/336405581.db2.gz REAZMNVRWRPELI-GFCCVEGCSA-N 0 3 320.371 4.101 20 0 DIADHN CC(C)CC[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccoc1 ZINC000353455067 336418846 /nfs/dbraw/zinc/41/88/46/336418846.db2.gz OHRIHZSEVXXTJA-IAGOWNOFSA-N 0 3 304.434 4.242 20 0 DIADHN CC[C@@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C)c1 ZINC000353514458 336421787 /nfs/dbraw/zinc/42/17/87/336421787.db2.gz GYKGTYRAIPDMJU-WOJBJXKFSA-N 0 3 324.468 4.255 20 0 DIADHN Cc1ncsc1CN1CCC(OC2CCC(C)CC2)CC1 ZINC000353526882 336422617 /nfs/dbraw/zinc/42/26/17/336422617.db2.gz JYBADAIMPLFIFR-UHFFFAOYSA-N 0 3 308.491 4.011 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1ccnc1)c1ccc(C)cc1 ZINC000353592314 336427486 /nfs/dbraw/zinc/42/74/86/336427486.db2.gz ACPKADIVJCLTPU-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN CCOC[C@@H](C)N[C@H](CC)c1ccc(OC(F)(F)F)cc1 ZINC000353684487 336434839 /nfs/dbraw/zinc/43/48/39/336434839.db2.gz SNDKUMBDKJYLFC-BXUZGUMPSA-N 0 3 305.340 4.051 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc(C[S@](C)=O)cc2)c1 ZINC000353802076 336441155 /nfs/dbraw/zinc/44/11/55/336441155.db2.gz HJMZBVPCHZHRRY-AOMKIAJQSA-N 0 3 315.482 4.033 20 0 DIADHN CCC[C@@H](NCc1ccc(C[S@@](C)=O)cc1)c1ccsc1 ZINC000353806008 336441263 /nfs/dbraw/zinc/44/12/63/336441263.db2.gz PDHMPWMKAOEZDW-DYESRHJHSA-N 0 3 321.511 4.258 20 0 DIADHN CC[C@@H](NCc1ccc(C[S@@](C)=O)cc1)c1cccc(C)c1 ZINC000353810481 336441651 /nfs/dbraw/zinc/44/16/51/336441651.db2.gz WAECNHXVNDMACM-DENIHFKCSA-N 0 3 315.482 4.114 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc(C[S@](C)=O)cc2)c1 ZINC000353815222 336442064 /nfs/dbraw/zinc/44/20/64/336442064.db2.gz JZRDKCCHDIPNBI-AOMKIAJQSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCCOc4ccccc43)ccc2[nH]1 ZINC000353824893 336442720 /nfs/dbraw/zinc/44/27/20/336442720.db2.gz NVKDQAZHSILMMC-LJQANCHMSA-N 0 3 306.409 4.480 20 0 DIADHN c1nn2ccccc2c1CN[C@H](CC1CCC1)c1ccccc1 ZINC000353864769 336444568 /nfs/dbraw/zinc/44/45/68/336444568.db2.gz JMWRSNDQRPJNRD-LJQANCHMSA-N 0 3 305.425 4.355 20 0 DIADHN Cc1cccc(CCCN[C@H](c2ccccc2)c2ccccn2)n1 ZINC000353879166 336445580 /nfs/dbraw/zinc/44/55/80/336445580.db2.gz FWSKIVYWRWWEJA-OAQYLSRUSA-N 0 3 317.436 4.097 20 0 DIADHN Cc1cccc(CCCN[C@H](C)c2cc(F)c(F)c(F)c2)n1 ZINC000353930780 336449387 /nfs/dbraw/zinc/44/93/87/336449387.db2.gz SKISHKHQZRBZGM-GFCCVEGCSA-N 0 3 308.347 4.091 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1cc(F)cc(F)c1 ZINC000353946221 336450531 /nfs/dbraw/zinc/45/05/31/336450531.db2.gz FTTYGOXCEVASLE-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN CC[C@@H](NCC1(C(F)(F)F)CCC1)c1ccccc1OC ZINC000353953614 336450950 /nfs/dbraw/zinc/45/09/50/336450950.db2.gz GOHUKIASJQMXSN-CYBMUJFWSA-N 0 3 301.352 4.469 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1cnc2ccsc2c1 ZINC000353971686 336451899 /nfs/dbraw/zinc/45/18/99/336451899.db2.gz LOHNAIBXLSWTOG-VIFPVBQESA-N 0 3 300.349 4.289 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1cccc(OC)c1 ZINC000353973024 336452030 /nfs/dbraw/zinc/45/20/30/336452030.db2.gz PDCVZHBRZSQLDA-SCLBCKFNSA-N 0 3 309.413 4.373 20 0 DIADHN Cc1csc(C2(NCCCc3ccc(C)nc3)CCCC2)n1 ZINC000354352091 336467759 /nfs/dbraw/zinc/46/77/59/336467759.db2.gz OETKIZVNYOVPCL-UHFFFAOYSA-N 0 3 315.486 4.147 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cnc(-c2ccncc2)s1 ZINC000354642837 336477340 /nfs/dbraw/zinc/47/73/40/336477340.db2.gz OOXFYQPZNGQVGR-NSHDSACASA-N 0 3 315.364 4.026 20 0 DIADHN COCC1(C)CCN(Cc2cnc(-c3ccsc3)s2)CC1 ZINC000354791346 336482436 /nfs/dbraw/zinc/48/24/36/336482436.db2.gz NHFSDZLVVHHHLJ-UHFFFAOYSA-N 0 3 322.499 4.120 20 0 DIADHN Cc1csc([C@@H](C)NCC[C@H](C)OCc2ccccc2)n1 ZINC000355285073 336502533 /nfs/dbraw/zinc/50/25/33/336502533.db2.gz SKCHTABDGYHAQM-LSDHHAIUSA-N 0 3 304.459 4.098 20 0 DIADHN COCCCC[C@@H](NCc1cnn(C(C)C)c1)c1ccccc1 ZINC000355292761 336502964 /nfs/dbraw/zinc/50/29/64/336502964.db2.gz NXLPZWZLKULNDG-LJQANCHMSA-N 0 3 315.461 4.112 20 0 DIADHN CC[C@@H](NCCc1ccc(F)cc1Cl)c1c(C)noc1C ZINC000356114592 336536521 /nfs/dbraw/zinc/53/65/21/336536521.db2.gz YGIXLTNGRXDPEC-OAHLLOKOSA-N 0 3 310.800 4.367 20 0 DIADHN Cc1cscc1CNCc1ccc(C)cc1-c1cnn(C)c1 ZINC000367227617 336545139 /nfs/dbraw/zinc/54/51/39/336545139.db2.gz XUMYRATWYUKBLH-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN Fc1ccccc1OCCN[C@@H]1CCSc2ccccc21 ZINC000356948959 336566916 /nfs/dbraw/zinc/56/69/16/336566916.db2.gz PGTBIPJNGUBQKU-OAHLLOKOSA-N 0 3 303.402 4.031 20 0 DIADHN CC(C)C[C@H](N[C@@H](C)CN1CCCC1=O)c1ccc(Cl)cc1 ZINC000358014837 336606047 /nfs/dbraw/zinc/60/60/47/336606047.db2.gz COAWAUWSNJZVCM-YOEHRIQHSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H]3CC(C)(C)OC3(C)C)o2)cs1 ZINC000358016661 336606213 /nfs/dbraw/zinc/60/62/13/336606213.db2.gz GNWRHZIWFDLYOW-OAHLLOKOSA-N 0 3 320.458 4.147 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cnc3ccccc3c1)CC2 ZINC000358039760 336608068 /nfs/dbraw/zinc/60/80/68/336608068.db2.gz RGAXKJKANXMMJC-FQEVSTJZSA-N 0 3 304.393 4.021 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cnc3ccccc3c2)s1 ZINC000358045225 336608311 /nfs/dbraw/zinc/60/83/11/336608311.db2.gz YVQVNECWWOZOBS-LBPRGKRZSA-N 0 3 311.454 4.413 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCCOc1ccccc1F)CCCO2 ZINC000358067118 336609657 /nfs/dbraw/zinc/60/96/57/336609657.db2.gz WMHFRHMYYZYELX-QGZVFWFLSA-N 0 3 315.388 4.016 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000358088169 336610976 /nfs/dbraw/zinc/61/09/76/336610976.db2.gz ACCQWYHDNFXPSD-LNHNDHHWSA-N 0 3 313.466 4.071 20 0 DIADHN CC(C)(C)NC(=O)CCN[C@@H]1CCCCc2ccc(Cl)cc21 ZINC000358091255 336611283 /nfs/dbraw/zinc/61/12/83/336611283.db2.gz FNUHTGSEBGZFPT-MRXNPFEDSA-N 0 3 322.880 4.002 20 0 DIADHN COC(=O)CC[C@H](N[C@H]1CCCc2ccccc21)c1ccccc1 ZINC000358093454 336611366 /nfs/dbraw/zinc/61/13/66/336611366.db2.gz ZWZIZIMCUHMBBB-PMACEKPBSA-N 0 3 323.436 4.348 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000358138934 336612774 /nfs/dbraw/zinc/61/27/74/336612774.db2.gz JIETXGOGFXBCSZ-DYVFJYSZSA-N 0 3 316.832 4.408 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)ccc1F ZINC000358224512 336617745 /nfs/dbraw/zinc/61/77/45/336617745.db2.gz KNLRJNYQAOITRU-QLEMLULZSA-N 0 3 319.445 4.283 20 0 DIADHN CC[C@H](N[C@@H]1CCSc2ccc(Cl)cc21)[C@H]1CCCO1 ZINC000358255575 336619794 /nfs/dbraw/zinc/61/97/94/336619794.db2.gz RQYLJFYFVAEXLE-RRFJBIMHSA-N 0 3 311.878 4.424 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2sccc2C)c2ccccc21 ZINC000358353927 336625712 /nfs/dbraw/zinc/62/57/12/336625712.db2.gz KYUJPQDWXALVNC-CZUORRHYSA-N 0 3 314.454 4.205 20 0 DIADHN COc1cc([C@H](C)N[C@H](c2cccnc2)C2CC2)ccc1F ZINC000358366942 336626649 /nfs/dbraw/zinc/62/66/49/336626649.db2.gz LIUAIEHNKPBGLF-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1cnc2ccsc2c1 ZINC000358368736 336626865 /nfs/dbraw/zinc/62/68/65/336626865.db2.gz PIUDVJNBMLQLOI-KZULUSFZSA-N 0 3 309.438 4.493 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1cccnc1)C1CC1)CCS2 ZINC000358371777 336626884 /nfs/dbraw/zinc/62/68/84/336626884.db2.gz ACZGBORLXTWGNH-SJLPKXTDSA-N 0 3 314.429 4.499 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CC[C@H](C)c2ccccc21 ZINC000358396793 336628180 /nfs/dbraw/zinc/62/81/80/336628180.db2.gz ILGOBDAWAPETQM-VUCTXSBTSA-N 0 3 301.455 4.318 20 0 DIADHN CS[C@H](C)CCC(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000358553288 336634327 /nfs/dbraw/zinc/63/43/27/336634327.db2.gz NGKSUQXNBXCCLS-OAHLLOKOSA-N 0 3 320.502 4.143 20 0 DIADHN FC(F)C1(CN[C@H]2CCCOc3cc(Cl)ccc32)CC1 ZINC000358999511 336652386 /nfs/dbraw/zinc/65/23/86/336652386.db2.gz INIXLYZFONPHKY-LBPRGKRZSA-N 0 3 301.764 4.189 20 0 DIADHN CC[C@@H](NCCc1cccc(C)n1)c1ccccc1OC(F)F ZINC000359017507 336653446 /nfs/dbraw/zinc/65/34/46/336653446.db2.gz VYUYHBOAGBBKKY-MRXNPFEDSA-N 0 3 320.383 4.275 20 0 DIADHN CC(=O)Nc1ccc(CNCc2cc3c(cc(C)cc3C)[nH]2)cc1 ZINC000359089959 336657229 /nfs/dbraw/zinc/65/72/29/336657229.db2.gz ZFCUQIJYGYHSAW-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CCC[C@@H](CC)N[C@@H](CCO)c1ccccc1Br ZINC000359224519 336663555 /nfs/dbraw/zinc/66/35/55/336663555.db2.gz FAHPBKNLQHDQSC-DOMZBBRYSA-N 0 3 314.267 4.041 20 0 DIADHN CO[C@H](CN[C@H]1CCCOc2cc(F)ccc21)c1ccccc1 ZINC000359225540 336663623 /nfs/dbraw/zinc/66/36/23/336663623.db2.gz LXNJCQVTSFMUHO-PKOBYXMFSA-N 0 3 315.388 4.017 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(OC)c(OC)c1 ZINC000359331119 336668055 /nfs/dbraw/zinc/66/80/55/336668055.db2.gz LWZZAEJCOHMTHS-KBPBESRZSA-N 0 3 314.429 4.073 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(OC)c(OC)c1 ZINC000359331126 336668068 /nfs/dbraw/zinc/66/80/68/336668068.db2.gz LWZZAEJCOHMTHS-ZIAGYGMSSA-N 0 3 314.429 4.073 20 0 DIADHN CC[C@H](NCCc1ccco1)c1ccccc1Br ZINC000359405064 336671504 /nfs/dbraw/zinc/67/15/04/336671504.db2.gz XUOISJQJRDKQEX-HNNXBMFYSA-N 0 3 308.219 4.326 20 0 DIADHN CC[C@@H](NCCCC(C)(C)CO)c1cccc(Cl)c1F ZINC000359419511 336672145 /nfs/dbraw/zinc/67/21/45/336672145.db2.gz LGDYNDIYLWDNRA-CQSZACIVSA-N 0 3 301.833 4.319 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC[C@H](c1ccccc1)N(C)C)CCCO2 ZINC000359593893 336679426 /nfs/dbraw/zinc/67/94/26/336679426.db2.gz QBRWUELNSAGLPK-VQTJNVASSA-N 0 3 324.468 4.101 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCSc2ccccc21)c1ccsc1 ZINC000359681047 336683222 /nfs/dbraw/zinc/68/32/22/336683222.db2.gz DFQPHLPZJAXRMV-HZPDHXFCSA-N 0 3 318.511 4.178 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCCc4c(O)cccc43)ccc2n1 ZINC000359826669 336688839 /nfs/dbraw/zinc/68/88/39/336688839.db2.gz ADRYHRBZBSWBAL-FQEVSTJZSA-N 0 3 318.420 4.416 20 0 DIADHN Cc1csc([C@H](C)NC2CC(c3cc(F)cc(F)c3)C2)n1 ZINC000367362767 336690312 /nfs/dbraw/zinc/69/03/12/336690312.db2.gz ZJUSETDQFWGRQW-VLRKGOCCSA-N 0 3 308.397 4.327 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H](C)COc2cccc(F)c2)s1 ZINC000360242229 336705829 /nfs/dbraw/zinc/70/58/29/336705829.db2.gz NTBXKHCKZXAKNJ-GHMZBOCLSA-N 0 3 308.422 4.017 20 0 DIADHN C[C@H](NCCC(=O)N(C)c1ccccc1)c1ccc(Cl)s1 ZINC000360482580 336712538 /nfs/dbraw/zinc/71/25/38/336712538.db2.gz KDZKSTSQRNMFKH-LBPRGKRZSA-N 0 3 322.861 4.105 20 0 DIADHN c1cn(-c2ccccc2CN[C@H]2CCCCc3ccccc32)cn1 ZINC000360588898 336715424 /nfs/dbraw/zinc/71/54/24/336715424.db2.gz MGRGWDKPXQFJPA-FQEVSTJZSA-N 0 3 317.436 4.430 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@@H](c3ccccc3F)C2)s1 ZINC000360773965 336721810 /nfs/dbraw/zinc/72/18/10/336721810.db2.gz VXHHAGCSMQBHNC-CHWSQXEVSA-N 0 3 304.434 4.271 20 0 DIADHN Cc1ncc(CNC2CC(F)(c3cccc(Cl)c3)C2)s1 ZINC000360773089 336721821 /nfs/dbraw/zinc/72/18/21/336721821.db2.gz PKFWPPSSWPWDMG-UHFFFAOYSA-N 0 3 310.825 4.222 20 0 DIADHN CCc1ccc([C@H](CC)N[C@@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360920314 336727496 /nfs/dbraw/zinc/72/74/96/336727496.db2.gz PQGFMEOXMLVUGN-PMACEKPBSA-N 0 3 324.468 4.167 20 0 DIADHN CCc1ccc([C@H](CC)N[C@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360920316 336727500 /nfs/dbraw/zinc/72/75/00/336727500.db2.gz PQGFMEOXMLVUGN-VQTJNVASSA-N 0 3 324.468 4.167 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc3cc(F)ccc3o2)C12CCCC2 ZINC000360926960 336727828 /nfs/dbraw/zinc/72/78/28/336727828.db2.gz YLTLPFVUXLWZIL-MSOLQXFVSA-N 0 3 317.404 4.399 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCc3nccs3)c(C)c2c1 ZINC000360941817 336728564 /nfs/dbraw/zinc/72/85/64/336728564.db2.gz MLUIUVHVLOZSRL-GFCCVEGCSA-N 0 3 316.426 4.100 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c(C)oc3ccccc32)cs1 ZINC000360999690 336731866 /nfs/dbraw/zinc/73/18/66/336731866.db2.gz VLXUWAVZKADNBB-LLVKDONJSA-N 0 3 300.427 4.399 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1CCN(C3CC3)C1)CCCS2 ZINC000361059091 336735848 /nfs/dbraw/zinc/73/58/48/336735848.db2.gz LLPULCSNCQVFET-XJKSGUPXSA-N 0 3 322.905 4.093 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361176055 336742309 /nfs/dbraw/zinc/74/23/09/336742309.db2.gz BVHFBYZQTFMWLP-TUKIKUTGSA-N 0 3 313.363 4.296 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@@H]2NC[C@H]1C[C@H]1c1ccccc1 ZINC000361237455 336746137 /nfs/dbraw/zinc/74/61/37/336746137.db2.gz OTULQUNGBJIHGC-KEYYUXOJSA-N 0 3 315.363 4.182 20 0 DIADHN C[C@H](CN[C@@H]1CCSc2ccccc21)Oc1ccccc1F ZINC000361713500 336769594 /nfs/dbraw/zinc/76/95/94/336769594.db2.gz HHECTFUKUVFCQZ-CZUORRHYSA-N 0 3 317.429 4.420 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@H](C)CC(F)(F)F)s2)o1 ZINC000361735014 336770431 /nfs/dbraw/zinc/77/04/31/336770431.db2.gz MNAWJRXNOSMIFY-MRVPVSSYSA-N 0 3 304.337 4.142 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@@H]1n1cccn1)c1cc(F)ccc1F ZINC000361753888 336771405 /nfs/dbraw/zinc/77/14/05/336771405.db2.gz LLCRTATXXSKIRT-BZSNNMDCSA-N 0 3 319.399 4.386 20 0 DIADHN COc1ccsc1CNCc1cccc(-c2cccnc2)c1 ZINC000361757953 336771699 /nfs/dbraw/zinc/77/16/99/336771699.db2.gz UMIFJXGAFYIOHF-UHFFFAOYSA-N 0 3 310.422 4.109 20 0 DIADHN C[C@H](NCCCC(=O)OC(C)(C)C)c1ccc2ccccc2n1 ZINC000361810468 336774083 /nfs/dbraw/zinc/77/40/83/336774083.db2.gz DPJMNESGKNSUJK-AWEZNQCLSA-N 0 3 314.429 4.007 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@@H]1CCSc2ccc(F)cc21 ZINC000361877268 336776306 /nfs/dbraw/zinc/77/63/06/336776306.db2.gz LJYWVDOXKWGLKZ-WBVHZDCISA-N 0 3 311.466 4.139 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](CCO)c2ccccc2)cc1 ZINC000361879044 336776328 /nfs/dbraw/zinc/77/63/28/336776328.db2.gz FFQZASPTNFGBHF-JXFKEZNVSA-N 0 3 313.441 4.250 20 0 DIADHN Fc1ccc2cc(CNCc3cccc4cccnc43)[nH]c2c1 ZINC000362912524 336814577 /nfs/dbraw/zinc/81/45/77/336814577.db2.gz GSIJCCXBXUNKEE-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c(C)n1 ZINC000368447574 336844911 /nfs/dbraw/zinc/84/49/11/336844911.db2.gz STGPGNNMCOACFX-SRCQZFHVSA-N 0 3 315.848 4.344 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H]1CCCc2nn(C)cc21 ZINC000369217040 336860779 /nfs/dbraw/zinc/86/07/79/336860779.db2.gz WLEJGTFARTUBRU-MJGOQNOKSA-N 0 3 309.457 4.082 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@H]1CCCc2nn(C)cc21 ZINC000369217032 336860801 /nfs/dbraw/zinc/86/08/01/336860801.db2.gz WLEJGTFARTUBRU-HKUYNNGSSA-N 0 3 309.457 4.082 20 0 DIADHN CN(C)c1ccccc1CN[C@@H](c1ccccc1)C1CCOCC1 ZINC000369345501 336863054 /nfs/dbraw/zinc/86/30/54/336863054.db2.gz UTFIPFGYWMAISD-NRFANRHFSA-N 0 3 324.468 4.010 20 0 DIADHN Cc1cc(C)cc([C@H](NC[C@@H]2CCC=CO2)c2cccnc2)c1 ZINC000369737308 336870900 /nfs/dbraw/zinc/87/09/00/336870900.db2.gz SAZQODUQMIOZQA-VQTJNVASSA-N 0 3 308.425 4.070 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc(F)c3cccnc23)CC1 ZINC000118966316 336875540 /nfs/dbraw/zinc/87/55/40/336875540.db2.gz CCUOCCNUTOHCEL-UHFFFAOYSA-N 0 3 316.420 4.153 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000119120910 336880577 /nfs/dbraw/zinc/88/05/77/336880577.db2.gz VKLIVEDERADWKV-ROUUACIJSA-N 0 3 309.409 4.186 20 0 DIADHN Clc1scc(CN[C@H]2CCO[C@H](C3CC3)C2)c1Cl ZINC000370665477 336890248 /nfs/dbraw/zinc/89/02/48/336890248.db2.gz OVGQPOCRGVNYPX-QWRGUYRKSA-N 0 3 306.258 4.102 20 0 DIADHN CCO[C@@H](CN[C@H]1CCCc2nc(C)sc21)c1ccccc1 ZINC000193430151 336896496 /nfs/dbraw/zinc/89/64/96/336896496.db2.gz BDHXKMYTNIKWSD-RDJZCZTQSA-N 0 3 316.470 4.196 20 0 DIADHN CC[C@H](N[C@@H](CCO)c1ccc(OC)cc1)c1ccc(C)cc1 ZINC000120337521 336908543 /nfs/dbraw/zinc/90/85/43/336908543.db2.gz VLKXHFKSZPFPKJ-PMACEKPBSA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@@H](N[C@@H](CCO)c1ccc(OC)cc1)c1ccc(C)cc1 ZINC000120337680 336908597 /nfs/dbraw/zinc/90/85/97/336908597.db2.gz VLKXHFKSZPFPKJ-UXHICEINSA-N 0 3 313.441 4.168 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC(n2cc(Cl)cn2)CC1 ZINC000371654427 336910129 /nfs/dbraw/zinc/91/01/29/336910129.db2.gz GSLGFHLEUYVSIK-LBPRGKRZSA-N 0 3 307.800 4.074 20 0 DIADHN Cc1ccc([C@H](C)NC2CCN(c3cccc(F)c3)CC2)o1 ZINC000372047852 336920209 /nfs/dbraw/zinc/92/02/09/336920209.db2.gz UWNZLZFJMHSEIX-AWEZNQCLSA-N 0 3 302.393 4.047 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(F)cc1 ZINC000120960952 336926074 /nfs/dbraw/zinc/92/60/74/336926074.db2.gz XROSKBQJKCAQMW-LJQANCHMSA-N 0 3 318.411 4.267 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC000007378940 336927139 /nfs/dbraw/zinc/92/71/39/336927139.db2.gz VANRQOSREAYLFQ-OLZOCXBDSA-N 0 3 302.805 4.018 20 0 DIADHN Fc1cc(Br)ccc1CNC1CCC(F)(F)CC1 ZINC000389957953 336927741 /nfs/dbraw/zinc/92/77/41/336927741.db2.gz AZKJRYJHWGGGPP-UHFFFAOYSA-N 0 3 322.168 4.256 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc([S@](C)=O)cc2)c(C)c1 ZINC000121222372 336936388 /nfs/dbraw/zinc/93/63/88/336936388.db2.gz AWQWFTCLWKTXAA-KSFYIVLOSA-N 0 3 315.482 4.200 20 0 DIADHN Cc1nc(C)c(CN2CCC(Oc3ccc(C)cc3)CC2)s1 ZINC000121255553 336937009 /nfs/dbraw/zinc/93/70/09/336937009.db2.gz ABPFLVMBPHESOH-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccc(C2CC2)cc1 ZINC000391261431 336955829 /nfs/dbraw/zinc/95/58/29/336955829.db2.gz HASMCEJMWKRTFR-UHFFFAOYSA-N 0 3 310.441 4.246 20 0 DIADHN CC[C@H](NC(=O)[C@@H](C)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000303523326 336961614 /nfs/dbraw/zinc/96/16/14/336961614.db2.gz FOSATTOUILZCOE-PBHICJAKSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H](c1cccnc1)C1CCC1 ZINC000373598529 336966315 /nfs/dbraw/zinc/96/63/15/336966315.db2.gz WTZOJCFIDLILMD-MOPGFXCFSA-N 0 3 308.425 4.345 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1)c1cnn(C2CCCC2)c1 ZINC000582432484 336976794 /nfs/dbraw/zinc/97/67/94/336976794.db2.gz JQRRGFAHXCLMRU-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1ccc(CN2CCC(c3c[nH]c4ccccc43)CC2)nc1 ZINC000121815913 336980823 /nfs/dbraw/zinc/98/08/23/336980823.db2.gz JTHRMNNGCILKNY-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN Clc1ccccc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000374469440 336989887 /nfs/dbraw/zinc/98/98/87/336989887.db2.gz GRSSBUPPBJVEIL-FUHWJXTLSA-N 0 3 301.817 4.207 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)CC2 ZINC000374490323 336990406 /nfs/dbraw/zinc/99/04/06/336990406.db2.gz XAJMFLDSIIAROV-PWIZWCRZSA-N 0 3 311.400 4.180 20 0 DIADHN C[C@H](c1cnccn1)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000375117428 337003781 /nfs/dbraw/zinc/00/37/81/337003781.db2.gz ZXIUGHJHSWVGBT-OCCSQVGLSA-N 0 3 321.346 4.046 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCc3cnn(C(C)C)c32)c1 ZINC000375171268 337005160 /nfs/dbraw/zinc/00/51/60/337005160.db2.gz NUQRIQIRVZLBTK-KSSFIOAISA-N 0 3 313.445 4.201 20 0 DIADHN Cc1cccc2nc(C3CCN([C@H](C)c4cccnc4)CC3)oc21 ZINC000375244327 337006339 /nfs/dbraw/zinc/00/63/39/337006339.db2.gz FRPPJOVHJXCOGJ-OAHLLOKOSA-N 0 3 321.424 4.472 20 0 DIADHN c1csc(-c2ncc(CN3CCC[C@@H]3[C@H]3CCCO3)s2)c1 ZINC000375318028 337007909 /nfs/dbraw/zinc/00/79/09/337007909.db2.gz HHPAKEXAKJMVHZ-ZIAGYGMSSA-N 0 3 320.483 4.015 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2ccc3ccccc3n2)CC1 ZINC000375361214 337009193 /nfs/dbraw/zinc/00/91/93/337009193.db2.gz LBBUTYQUDPOMOO-UHFFFAOYSA-N 0 3 321.399 4.091 20 0 DIADHN CCCc1nc(CN2CCC[C@@H]2[C@H]2CCCC[C@]2(C)O)cs1 ZINC000375485329 337011917 /nfs/dbraw/zinc/01/19/17/337011917.db2.gz UQZBRALIKJBRBX-NUJGCVRESA-N 0 3 322.518 4.001 20 0 DIADHN Fc1ccc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)cn1 ZINC000375641147 337015386 /nfs/dbraw/zinc/01/53/86/337015386.db2.gz QBHFIDFZPLBOBB-CTYIDZIISA-N 0 3 324.321 4.275 20 0 DIADHN CCOCc1ccccc1CN[C@H](CC)c1cccc(OC)c1 ZINC000122619576 337016665 /nfs/dbraw/zinc/01/66/65/337016665.db2.gz DYVNICRAVZXQPS-HXUWFJFHSA-N 0 3 313.441 4.473 20 0 DIADHN CSc1cccc(F)c1CNC1CCC2(CCCO2)CC1 ZINC000375894987 337022394 /nfs/dbraw/zinc/02/23/94/337022394.db2.gz IFUIKOZCOGPMRK-UHFFFAOYSA-N 0 3 309.450 4.129 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccnc2)cc1)c1cccc(CO)c1 ZINC000305642955 337031550 /nfs/dbraw/zinc/03/15/50/337031550.db2.gz KUBNPRDKZKPKEA-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1)c1ccc(-c2cccnc2)cc1 ZINC000305776558 337036434 /nfs/dbraw/zinc/03/64/34/337036434.db2.gz OQAKIMCYPBKNAH-KKSFZXQISA-N 0 3 318.420 4.133 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1ccc(-c2cccnc2)cc1 ZINC000305776557 337036444 /nfs/dbraw/zinc/03/64/44/337036444.db2.gz OQAKIMCYPBKNAH-IIBYNOLFSA-N 0 3 318.420 4.133 20 0 DIADHN C[C@H](c1cnccn1)N1CCC(c2c[nH]c3ccc(F)cc32)CC1 ZINC000376835167 337042381 /nfs/dbraw/zinc/04/23/81/337042381.db2.gz SBLYRQXHUAHBGF-CYBMUJFWSA-N 0 3 324.403 4.038 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1nccn1C ZINC000377117343 337047132 /nfs/dbraw/zinc/04/71/32/337047132.db2.gz XLSWLECRVKGYHV-RAIGVLPGSA-N 0 3 324.255 4.324 20 0 DIADHN FC(F)COc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1 ZINC000377701267 337058891 /nfs/dbraw/zinc/05/88/91/337058891.db2.gz SLAJTNYRCQWPNA-QGZVFWFLSA-N 0 3 318.367 4.063 20 0 DIADHN C[C@H](NCC1(c2ccccn2)CCC1)c1nccc2ccccc21 ZINC000377742896 337059967 /nfs/dbraw/zinc/05/99/67/337059967.db2.gz IGYCZIBYQZIJFO-INIZCTEOSA-N 0 3 317.436 4.402 20 0 DIADHN Cc1cn2c(n1)[C@@H](CNCc1ccc(Cl)cc1Cl)CCC2 ZINC000377837779 337062293 /nfs/dbraw/zinc/06/22/93/337062293.db2.gz QWMVZTHSDITKED-CYBMUJFWSA-N 0 3 324.255 4.166 20 0 DIADHN Cc1ccccc1CN[C@H]1c2ccccc2CC[C@@H]1n1ccnc1 ZINC000377865835 337062835 /nfs/dbraw/zinc/06/28/35/337062835.db2.gz VAHCIEQGRIECSW-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN C[C@H]1CN(Cc2nnc(-c3ccccc3Cl)o2)C(C)(C)C1 ZINC000377933744 337064122 /nfs/dbraw/zinc/06/41/22/337064122.db2.gz WQNGTUHDANDLHL-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCn2cc(C)nc21)c1cc(F)ccc1F ZINC000378185693 337067877 /nfs/dbraw/zinc/06/78/77/337067877.db2.gz UJGFNRVPOXGGRP-SUMWQHHRSA-N 0 3 319.399 4.088 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000378391411 337071565 /nfs/dbraw/zinc/07/15/65/337071565.db2.gz DDEKUNMJCVLFKK-JQFCIGGWSA-N 0 3 316.470 4.188 20 0 DIADHN COc1cccc([C@H](C)N[C@H](c2ccccc2)[C@@H]2CCOC2)c1 ZINC000378391453 337071641 /nfs/dbraw/zinc/07/16/41/337071641.db2.gz DKXIQOCTHRWODL-QKYXUNIQSA-N 0 3 311.425 4.124 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCN(C(C)=O)c3ccccc32)cc1 ZINC000378400268 337071782 /nfs/dbraw/zinc/07/17/82/337071782.db2.gz HTMVWVVOTBQGRR-FOIQADDNSA-N 0 3 322.452 4.398 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)CC2 ZINC000378403250 337071857 /nfs/dbraw/zinc/07/18/57/337071857.db2.gz XDNJRBBQOCSDLP-CEWLAPEOSA-N 0 3 307.437 4.350 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000378400770 337071862 /nfs/dbraw/zinc/07/18/62/337071862.db2.gz UAFCBNOSURRXBQ-FFZOFVMBSA-N 0 3 311.400 4.180 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2-c2cnn(C)c2)s1 ZINC000378403201 337071903 /nfs/dbraw/zinc/07/19/03/337071903.db2.gz NNZJRXMCCYFHQL-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](c2ccccc2)C(C)C)c2ccccc21 ZINC000378430494 337072480 /nfs/dbraw/zinc/07/24/80/337072480.db2.gz MLMHJYFFWKUJPM-FPOVZHCZSA-N 0 3 322.452 4.471 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](c2ccccc2)C(C)C)c2ccccc21 ZINC000378430491 337072497 /nfs/dbraw/zinc/07/24/97/337072497.db2.gz MLMHJYFFWKUJPM-CTNGQTDRSA-N 0 3 322.452 4.471 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](c2ccccc2)C(C)C)c2ccccc21 ZINC000378430496 337072512 /nfs/dbraw/zinc/07/25/12/337072512.db2.gz MLMHJYFFWKUJPM-TZIWHRDSSA-N 0 3 322.452 4.471 20 0 DIADHN C[C@H](NCc1ccc(-c2ccncc2)cc1)c1cccc(CO)c1 ZINC000378448719 337073069 /nfs/dbraw/zinc/07/30/69/337073069.db2.gz DIUTVXQHOYRXJR-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000378464007 337073442 /nfs/dbraw/zinc/07/34/42/337073442.db2.gz BFJKRDRBCFISPI-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@@H](C)c2cnn(C(C)C)c2C)C1(C)C ZINC000469037057 337079096 /nfs/dbraw/zinc/07/90/96/337079096.db2.gz SMGMFPREVRNMGW-JCGIZDLHSA-N 0 3 321.509 4.407 20 0 DIADHN CC[C@H](NCC1(SC)CC1)c1ccccc1OC(F)F ZINC000193824754 337080328 /nfs/dbraw/zinc/08/03/28/337080328.db2.gz JHVLNLBLHWJCOT-LBPRGKRZSA-N 0 3 301.402 4.224 20 0 DIADHN COc1ccc([C@@H](NCC2(SC)CC2)c2ccccc2)cc1 ZINC000193821943 337080429 /nfs/dbraw/zinc/08/04/29/337080429.db2.gz XPZRNAZGXZBGAB-SFHVURJKSA-N 0 3 313.466 4.270 20 0 DIADHN C[C@@H](N[C@@H](C)CN1CCCC1=O)c1ccc(-c2ccccc2)cc1 ZINC000193918001 337080479 /nfs/dbraw/zinc/08/04/79/337080479.db2.gz OEZWBRNAGIIFOA-DLBZAZTESA-N 0 3 322.452 4.015 20 0 DIADHN COc1cccc([C@@H](C)NCc2cc3c(s2)CCCC3)c1 ZINC000537965559 337082076 /nfs/dbraw/zinc/08/20/76/337082076.db2.gz SIQRJHTXBKYAJR-CYBMUJFWSA-N 0 3 301.455 4.486 20 0 DIADHN Fc1c(Cl)cccc1CN[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000360058462 337084549 /nfs/dbraw/zinc/08/45/49/337084549.db2.gz LTFUBCRRDLZYDZ-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)N[C@H](CO)CC(C)(C)C ZINC000393534094 337092911 /nfs/dbraw/zinc/09/29/11/337092911.db2.gz ZITAUYMWZVDKMK-YPMHNXCESA-N 0 3 312.523 4.197 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCC[C@@H]2F)sc1Br ZINC000393942912 337098818 /nfs/dbraw/zinc/09/88/18/337098818.db2.gz AZXWBKABQGIIJU-KXUCPTDWSA-N 0 3 306.244 4.360 20 0 DIADHN CN1CC[C@@H](NCc2ccc(Cl)c(F)c2)[C@@H]1c1ccccc1 ZINC000582635217 337116542 /nfs/dbraw/zinc/11/65/42/337116542.db2.gz MMKIKFOPGVFHTI-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1ccc2c(N[C@@H](C)[C@@H](c3ccccc3)N(C)C)ccnc2n1 ZINC000582698466 337121692 /nfs/dbraw/zinc/12/16/92/337121692.db2.gz LIXNXBNVGYBOFA-KXBFYZLASA-N 0 3 320.440 4.042 20 0 DIADHN CC[C@H](NCc1ccc(F)c(COC)c1)c1ccc(F)cc1 ZINC000172620732 337130367 /nfs/dbraw/zinc/13/03/67/337130367.db2.gz MFGBQRWFTNTZJY-SFHVURJKSA-N 0 3 305.368 4.352 20 0 DIADHN CO[C@H](CCNC(c1ccccc1)c1ccccc1)C(F)(F)F ZINC000469081079 337134756 /nfs/dbraw/zinc/13/47/56/337134756.db2.gz PRGWVMKZEQYIHQ-MRXNPFEDSA-N 0 3 323.358 4.333 20 0 DIADHN OC1(CNCc2ccc(-c3ccc(F)cc3)o2)CCCCCC1 ZINC000320990646 337138023 /nfs/dbraw/zinc/13/80/23/337138023.db2.gz MDCGHLHFXZJLRC-UHFFFAOYSA-N 0 3 317.404 4.261 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1-n1cccn1)c1ccc(F)cc1 ZINC000177184257 337154588 /nfs/dbraw/zinc/15/45/88/337154588.db2.gz JFQLJNVNLPEQRS-HUUCEWRRSA-N 0 3 309.388 4.423 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc21)c1ccccc1-n1cccn1 ZINC000177193236 337154622 /nfs/dbraw/zinc/15/46/22/337154622.db2.gz FMXPFWZWOKFJIN-BEFAXECRSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccccc1-n1cccn1 ZINC000177193198 337154629 /nfs/dbraw/zinc/15/46/29/337154629.db2.gz FMXPFWZWOKFJIN-HNAYVOBHSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000177449313 337155944 /nfs/dbraw/zinc/15/59/44/337155944.db2.gz BSJMYYZLUATWOC-GDBMZVCRSA-N 0 3 323.864 4.061 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C)c1C)c1ccc(OC(F)F)cc1 ZINC000177962859 337159506 /nfs/dbraw/zinc/15/95/06/337159506.db2.gz YOFABRXYVXPZNT-BZNIZROVSA-N 0 3 323.387 4.132 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@@H](C)Oc2ccc(F)cc2)s1 ZINC000178037791 337159931 /nfs/dbraw/zinc/15/99/31/337159931.db2.gz KDTJPXADTNZQHC-MNOVXSKESA-N 0 3 308.422 4.017 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H]2CCCN(c3ccccc3)C2)s1 ZINC000178290823 337162189 /nfs/dbraw/zinc/16/21/89/337162189.db2.gz OAPLKKMHOYRODL-GDBMZVCRSA-N 0 3 315.486 4.080 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H]2CCCN(c3ccccc3)C2)s1 ZINC000178290869 337162195 /nfs/dbraw/zinc/16/21/95/337162195.db2.gz OAPLKKMHOYRODL-HOCLYGCPSA-N 0 3 315.486 4.080 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1sc(C)nc1C ZINC000178438647 337162827 /nfs/dbraw/zinc/16/28/27/337162827.db2.gz BQMJBGCCLDIAPT-XHDPSFHLSA-N 0 3 302.443 4.107 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2ccsc2)n1 ZINC000178480113 337163074 /nfs/dbraw/zinc/16/30/74/337163074.db2.gz OREKPABWRRNLIH-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2ccsc2)N2CCCC2)o1 ZINC000178402021 337164356 /nfs/dbraw/zinc/16/43/56/337164356.db2.gz IBAJLEPUQBXUAB-GOEBONIOSA-N 0 3 304.459 4.137 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCO1)c1nc(-c2ccccc2)cs1 ZINC000178403452 337164495 /nfs/dbraw/zinc/16/44/95/337164495.db2.gz AEKZHQITZSLMOK-DYVFJYSZSA-N 0 3 302.443 4.030 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000178431745 337164741 /nfs/dbraw/zinc/16/47/41/337164741.db2.gz GCENKHBNVPIGTK-SOHPYSCWSA-N 0 3 319.445 4.283 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1nc(-c2ccccc2)cs1 ZINC000178436986 337164784 /nfs/dbraw/zinc/16/47/84/337164784.db2.gz AKDQSHJRTDBIJQ-TZMCWYRMSA-N 0 3 304.484 4.356 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1cn(C)nc1C)c1ccc(OC)cc1 ZINC000178615743 337165631 /nfs/dbraw/zinc/16/56/31/337165631.db2.gz BIEWBCZTKXYMGV-IFXJQAMLSA-N 0 3 315.461 4.319 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCCc1scnc1C ZINC000179754467 337171701 /nfs/dbraw/zinc/17/17/01/337171701.db2.gz ADZNNKSOPSSGNN-OAHLLOKOSA-N 0 3 304.459 4.134 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1cccc(OC(C)C)c1 ZINC000180511895 337175975 /nfs/dbraw/zinc/17/59/75/337175975.db2.gz YBEVMQBIRFWINI-GOSISDBHSA-N 0 3 313.445 4.151 20 0 DIADHN CC[C@H](N[C@H](CCCO)c1ccccc1)c1ccccc1F ZINC000180613801 337176687 /nfs/dbraw/zinc/17/66/87/337176687.db2.gz UGMFWNQAAHHCIJ-RBUKOAKNSA-N 0 3 301.405 4.380 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1cccc(C)c1)c1ccccc1 ZINC000180672420 337177089 /nfs/dbraw/zinc/17/70/89/337177089.db2.gz HUNOBDOBNLIYDP-VQIMIIECSA-N 0 3 311.425 4.340 20 0 DIADHN C[C@@H](N[C@@H]1CCCOCC1)c1nc(-c2ccccc2)cs1 ZINC000180687206 337177204 /nfs/dbraw/zinc/17/72/04/337177204.db2.gz BLTIJFDRXBESNX-UKRRQHHQSA-N 0 3 302.443 4.030 20 0 DIADHN C(c1nnc(C2CC2)n1C1CC1)N1CCC[C@@H]1C1CCCCC1 ZINC000181111697 337180069 /nfs/dbraw/zinc/18/00/69/337180069.db2.gz XTGDOUUPQFZRBS-QGZVFWFLSA-N 0 3 314.477 4.035 20 0 DIADHN COC(C)(C)CCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000469767601 337182913 /nfs/dbraw/zinc/18/29/13/337182913.db2.gz ZFPKHXPBYGERDD-UHFFFAOYSA-N 0 3 309.400 4.259 20 0 DIADHN CC[C@@H](NC[C@H](C)c1c(C)noc1C)c1ccccc1OC ZINC000181629587 337183421 /nfs/dbraw/zinc/18/34/21/337183421.db2.gz XJDLLISGFIFCQD-BLLLJJGKSA-N 0 3 302.418 4.144 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(-c3ccncc3)cc2)c1 ZINC000181831510 337184864 /nfs/dbraw/zinc/18/48/64/337184864.db2.gz NSSNDWJDJGPDBT-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(-c3ccncc3)cc2)c1 ZINC000181831531 337184927 /nfs/dbraw/zinc/18/49/27/337184927.db2.gz NSSNDWJDJGPDBT-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN Cc1cncc(CN[C@H]2CCc3ccc(OC(F)(F)F)cc32)c1 ZINC000181834351 337184929 /nfs/dbraw/zinc/18/49/29/337184929.db2.gz GCXXZPHLEUPBMB-INIZCTEOSA-N 0 3 322.330 4.066 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2cccc(Cl)c2)cc1 ZINC000181907870 337185262 /nfs/dbraw/zinc/18/52/62/337185262.db2.gz HVSUJKATEHHUCF-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN CCc1cnccc1[C@H](C)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000467196800 337185582 /nfs/dbraw/zinc/18/55/82/337185582.db2.gz RHNJAJRNEUEDLO-RDJZCZTQSA-N 0 3 302.462 4.177 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc(NC(C)=O)cc2)cc1 ZINC000181993313 337185732 /nfs/dbraw/zinc/18/57/32/337185732.db2.gz RGHRATPPMCQTDT-CYBMUJFWSA-N 0 3 314.454 4.218 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2cccc3cccnc32)cc1 ZINC000182031997 337185838 /nfs/dbraw/zinc/18/58/38/337185838.db2.gz IFWSYIDRPRIKCD-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN C[C@H](c1ccccn1)N(C)Cc1cccc(-c2cccnc2)c1 ZINC000182068028 337186058 /nfs/dbraw/zinc/18/60/58/337186058.db2.gz DLPYEJQWOCUCQI-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)C(C2CC2)C2CC2)s1 ZINC000183575536 337192249 /nfs/dbraw/zinc/19/22/49/337192249.db2.gz PYGALJBEEHHEEX-UHFFFAOYSA-N 0 3 304.381 4.091 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2cncc(C)c2)cc1 ZINC000184384553 337198185 /nfs/dbraw/zinc/19/81/85/337198185.db2.gz BGXDEQHZKYDVPZ-HOTGVXAUSA-N 0 3 320.440 4.296 20 0 DIADHN CC[C@@H](O)CN[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184948549 337201924 /nfs/dbraw/zinc/20/19/24/337201924.db2.gz BVDFODCKJIGHOK-IINYFYTJSA-N 0 3 324.877 4.193 20 0 DIADHN C[C@@H](NCCC(=O)NC(C)(C)C)c1ccc(-c2ccccc2)cc1 ZINC000392356527 337205122 /nfs/dbraw/zinc/20/51/22/337205122.db2.gz RDPCSERIAUQXQD-MRXNPFEDSA-N 0 3 324.468 4.309 20 0 DIADHN CC(C)n1ccc(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)n1 ZINC000582868939 337209330 /nfs/dbraw/zinc/20/93/30/337209330.db2.gz NWTNPUPRVHJNQB-XBXGTLAGSA-N 0 3 303.372 4.017 20 0 DIADHN FC(F)OC[C@H]1CCN(Cc2cccc(-c3ccccc3)c2)C1 ZINC000582991930 337223194 /nfs/dbraw/zinc/22/31/94/337223194.db2.gz LDJJRLKTTIHFIF-INIZCTEOSA-N 0 3 317.379 4.415 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1CC(C)=C[C@@H](C)C1 ZINC000582995326 337223259 /nfs/dbraw/zinc/22/32/59/337223259.db2.gz DHPSNSNEVLVNQJ-KDOFPFPSSA-N 0 3 314.473 4.166 20 0 DIADHN COC[C@@H](NCCC1(F)CCC1)c1cccc(Cl)c1F ZINC000583090676 337239370 /nfs/dbraw/zinc/23/93/70/337239370.db2.gz FKKILMAMXICKJF-CYBMUJFWSA-N 0 3 303.780 4.039 20 0 DIADHN CCn1nc(C)c(CN(C)[C@H](C)Cc2ccc(Cl)cc2)c1C ZINC000583099397 337240615 /nfs/dbraw/zinc/24/06/15/337240615.db2.gz XJKBFLRROZDPBT-CYBMUJFWSA-N 0 3 319.880 4.236 20 0 DIADHN C[C@@H](NCc1nc(C(C)(C)C)cs1)[C@@H]1COc2ccccc21 ZINC000185549010 337248919 /nfs/dbraw/zinc/24/89/19/337248919.db2.gz RALCIYCBAPKKGI-OCCSQVGLSA-N 0 3 316.470 4.095 20 0 DIADHN C[C@@H](NCc1nc(C(C)(C)C)cs1)[C@H]1COc2ccccc21 ZINC000185549048 337248953 /nfs/dbraw/zinc/24/89/53/337248953.db2.gz RALCIYCBAPKKGI-TZMCWYRMSA-N 0 3 316.470 4.095 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2CCOC3(CCCC3)C2)cs1 ZINC000186423407 337256001 /nfs/dbraw/zinc/25/60/01/337256001.db2.gz IMDXKISHRVVTEW-UONOGXRCSA-N 0 3 308.491 4.409 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@]3(C2)OCc2ccccc23)o1 ZINC000186437973 337256175 /nfs/dbraw/zinc/25/61/75/337256175.db2.gz BEWGNASWTGMTCH-WIBUTAKZSA-N 0 3 309.409 4.034 20 0 DIADHN c1ccc(COc2ccccc2CN2CCCC3(CC3)C2)nc1 ZINC000186610742 337257940 /nfs/dbraw/zinc/25/79/40/337257940.db2.gz CWGMLOYYGSICOX-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3cc(OC)ccc32)cc1 ZINC000321338391 337257980 /nfs/dbraw/zinc/25/79/80/337257980.db2.gz AGJWGPNYEGUFKY-VBKZILBWSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3cc(OC)ccc32)cc1 ZINC000321338385 337258018 /nfs/dbraw/zinc/25/80/18/337258018.db2.gz AGJWGPNYEGUFKY-JLTOFOAXSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1cnn(CCN[C@H](c2ccccc2)c2cc(C)ccc2C)c1 ZINC000392497105 337258201 /nfs/dbraw/zinc/25/82/01/337258201.db2.gz IWKFCGWNPYRMOQ-OAQYLSRUSA-N 0 3 319.452 4.188 20 0 DIADHN O[C@@H]1CCCC[C@H]1CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000321385264 337260053 /nfs/dbraw/zinc/26/00/53/337260053.db2.gz WCGVBHGHZSDELE-DFQSSKMNSA-N 0 3 313.416 4.056 20 0 DIADHN C[C@H](NCc1coc(-c2cccs2)n1)C1CCSCC1 ZINC000187066942 337261488 /nfs/dbraw/zinc/26/14/88/337261488.db2.gz JPCKBSHLYGZNFU-NSHDSACASA-N 0 3 308.472 4.024 20 0 DIADHN C[C@H](NCc1csc(-c2ccco2)n1)C1CCSCC1 ZINC000187309091 337264076 /nfs/dbraw/zinc/26/40/76/337264076.db2.gz MLWWUHMEBADQHW-NSHDSACASA-N 0 3 308.472 4.024 20 0 DIADHN COCc1ccccc1CN[C@H]1CCCc2ccc(OC)cc21 ZINC000321578466 337278150 /nfs/dbraw/zinc/27/81/50/337278150.db2.gz JCPQZRAGAOXLJG-FQEVSTJZSA-N 0 3 311.425 4.009 20 0 DIADHN COC[C@@H](Nc1ccnc2ccccc21)c1cccc(Cl)c1 ZINC000583230288 337280885 /nfs/dbraw/zinc/28/08/85/337280885.db2.gz RMGHVDHWDWLXHL-GOSISDBHSA-N 0 3 312.800 4.110 20 0 DIADHN C[C@H]1CC[C@@H](CN2CCC(c3nc4ccccc4s3)CC2)O1 ZINC000190354101 337286988 /nfs/dbraw/zinc/28/69/88/337286988.db2.gz PGCJJAQPQYXMON-ZFWWWQNUSA-N 0 3 316.470 4.043 20 0 DIADHN CCCOc1ccc(CNCc2ccc3c(c2)CCCN3)cc1 ZINC000583250926 337288418 /nfs/dbraw/zinc/28/84/18/337288418.db2.gz CPJYAJMJNLODSB-UHFFFAOYSA-N 0 3 310.441 4.123 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H]2CCCc3ccccc32)cn1 ZINC000190672175 337289461 /nfs/dbraw/zinc/28/94/61/337289461.db2.gz UYQXKKNZOQZYKC-LJQANCHMSA-N 0 3 309.457 4.093 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000321793723 337291084 /nfs/dbraw/zinc/29/10/84/337291084.db2.gz VSVVBWFINPAVEO-JKSUJKDBSA-N 0 3 311.425 4.486 20 0 DIADHN Cn1cc(CNCc2ccc(C3CC3)cc2)c(-c2ccccc2)n1 ZINC000191680459 337298654 /nfs/dbraw/zinc/29/86/54/337298654.db2.gz GUQWJLSRHZVOTI-UHFFFAOYSA-N 0 3 317.436 4.254 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CNCc1ccc(C2CC2)cc1 ZINC000191680992 337298682 /nfs/dbraw/zinc/29/86/82/337298682.db2.gz MQBHYLZZTQOCFO-UHFFFAOYSA-N 0 3 321.399 4.383 20 0 DIADHN COCCC(C)(C)NCc1ccc(Cl)cc1Br ZINC000397938894 337300886 /nfs/dbraw/zinc/30/08/86/337300886.db2.gz XWMLXLJSFDRLDS-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN COc1ccc(C)cc1N[C@@H]1CCN(C)[C@H](c2ccccc2)C1 ZINC000583277109 337300977 /nfs/dbraw/zinc/30/09/77/337300977.db2.gz GJZPINQMPQKEIT-MJGOQNOKSA-N 0 3 310.441 4.251 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cc(F)cc2cccnc21 ZINC000192359252 337304714 /nfs/dbraw/zinc/30/47/14/337304714.db2.gz AQTGGQIHKVLPML-GOSISDBHSA-N 0 3 322.383 4.247 20 0 DIADHN Cc1nocc1CN[C@H](C)C(c1ccccc1)c1ccccc1 ZINC000398090360 337305489 /nfs/dbraw/zinc/30/54/89/337305489.db2.gz XFENZQMMZLQWSS-MRXNPFEDSA-N 0 3 306.409 4.293 20 0 DIADHN CCC[C@@]1(CO)CCN(c2c(C)c(CC)nc3ccccc32)C1 ZINC000583284617 337305891 /nfs/dbraw/zinc/30/58/91/337305891.db2.gz LXRIGOOHSHPDEG-HXUWFJFHSA-N 0 3 312.457 4.095 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2NC[C@@H](c1ccccc1)N1CCCC1 ZINC000321938762 337305902 /nfs/dbraw/zinc/30/59/02/337305902.db2.gz MCFUUSDBCOWGSG-OALUTQOASA-N 0 3 310.441 4.084 20 0 DIADHN C[C@H](CNCc1ncccc1F)c1ccc(C(F)(F)F)cc1 ZINC000583289768 337307099 /nfs/dbraw/zinc/30/70/99/337307099.db2.gz RBNVUTILPJMUTH-LLVKDONJSA-N 0 3 312.310 4.133 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2cc(C)ccc2F)cc1 ZINC000086279129 337310399 /nfs/dbraw/zinc/31/03/99/337310399.db2.gz JVNBJFJTUMVBTN-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN CC[C@H](O)CCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000398460648 337311432 /nfs/dbraw/zinc/31/14/32/337311432.db2.gz IXROGKCIBXXHRS-RBUKOAKNSA-N 0 3 301.405 4.056 20 0 DIADHN O=C(CCCN1C2CCC1CC2)c1ccc(Br)cc1 ZINC000398836172 337312787 /nfs/dbraw/zinc/31/27/87/337312787.db2.gz HIEGHONRAORYRH-UHFFFAOYSA-N 0 3 322.246 4.039 20 0 DIADHN CCC(CC)n1ccc(CN[C@@H](Cc2ccccc2)C2CC2)n1 ZINC000583838971 337340734 /nfs/dbraw/zinc/34/07/34/337340734.db2.gz NVVHLOHKSRHFKW-FQEVSTJZSA-N 0 3 311.473 4.355 20 0 DIADHN CCc1ccc([C@@H](C)NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)cc1 ZINC000218797274 337341200 /nfs/dbraw/zinc/34/12/00/337341200.db2.gz CZMXKUMHQUTLJE-BBWFWOEESA-N 0 3 324.468 4.166 20 0 DIADHN C[C@H](CCN1CCC[C@H](OCC(F)(F)F)C1)c1ccccc1 ZINC000583851876 337342754 /nfs/dbraw/zinc/34/27/54/337342754.db2.gz MAPZROSVHSUBLT-ZBFHGGJFSA-N 0 3 315.379 4.224 20 0 DIADHN CCCCCNCc1cc(Br)ccc1OC(F)F ZINC000035042964 337392951 /nfs/dbraw/zinc/39/29/51/337392951.db2.gz NASZRIJTPDDSQR-UHFFFAOYSA-N 0 3 322.193 4.330 20 0 DIADHN CCN(Cc1ccc2c(c1)CC(C)(C)O2)[C@H](C)c1cccnc1 ZINC000584497284 337350332 /nfs/dbraw/zinc/35/03/32/337350332.db2.gz QEFFGEDPXKNSRQ-OAHLLOKOSA-N 0 3 310.441 4.378 20 0 DIADHN Clc1ccccc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000583864178 337355825 /nfs/dbraw/zinc/35/58/25/337355825.db2.gz XPPNCRAGJLADLD-SJLPKXTDSA-N 0 3 301.817 4.350 20 0 DIADHN Cc1cc([C@H](C)NC[C@@]2(C)OCCc3sccc32)oc1C ZINC000584559421 337361192 /nfs/dbraw/zinc/36/11/92/337361192.db2.gz PMBYEKSVXHQWJO-YVEFUNNKSA-N 0 3 305.443 4.097 20 0 DIADHN C[C@@H](NC(C1CC1)C1CC1)c1sccc1Br ZINC000037230360 337362237 /nfs/dbraw/zinc/36/22/37/337362237.db2.gz FLKOXKLRZOVHQX-MRVPVSSYSA-N 0 3 300.265 4.350 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1ccc(F)c(F)c1 ZINC000584568817 337364873 /nfs/dbraw/zinc/36/48/73/337364873.db2.gz YJNGGENKJCNOTR-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN CCCOc1ccc(CN2CC3(CCC3)[C@H]2[C@@H]2CCCO2)cc1 ZINC000584583352 337368394 /nfs/dbraw/zinc/36/83/94/337368394.db2.gz QWAJHTFFVZBKNU-RBUKOAKNSA-N 0 3 315.457 4.009 20 0 DIADHN CCC[C@@H](NC[C@H]1CSc2ccccc2O1)c1cccnc1 ZINC000584590261 337368618 /nfs/dbraw/zinc/36/86/18/337368618.db2.gz SVCWNQYVOHXVHZ-JKSUJKDBSA-N 0 3 314.454 4.066 20 0 DIADHN C[C@H](Nc1cccc(C(F)(F)F)n1)[C@@H](c1ccccc1)N(C)C ZINC000583904591 337383839 /nfs/dbraw/zinc/38/38/39/337383839.db2.gz CWPCCGJYTMXMGC-LRDDRELGSA-N 0 3 323.362 4.204 20 0 DIADHN C[C@@H](Nc1cccc(C(F)(F)F)n1)[C@H](c1ccccc1)N(C)C ZINC000583904592 337383857 /nfs/dbraw/zinc/38/38/57/337383857.db2.gz CWPCCGJYTMXMGC-MLGOLLRUSA-N 0 3 323.362 4.204 20 0 DIADHN Cc1cccc2c1OC[C@@H](N[C@@H](C)c1ccc3ccccc3n1)C2 ZINC000583901664 337384911 /nfs/dbraw/zinc/38/49/11/337384911.db2.gz MRWHLOKHWNEKQN-YJBOKZPZSA-N 0 3 318.420 4.198 20 0 DIADHN C[C@H](CCc1ccco1)NCc1cscc1Br ZINC000310088240 337388427 /nfs/dbraw/zinc/38/84/27/337388427.db2.gz CABBHMCDTCLKTE-SNVBAGLBSA-N 0 3 314.248 4.215 20 0 DIADHN C[C@@H](N(C)Cc1coc(-c2ccc(F)c(F)c2)n1)C(C)(C)C ZINC000532928155 337393230 /nfs/dbraw/zinc/39/32/30/337393230.db2.gz DMIPDAUCUCRQPZ-LLVKDONJSA-N 0 3 308.372 4.486 20 0 DIADHN C[C@H](N(C)Cc1coc(-c2ccc(F)c(F)c2)n1)C(C)(C)C ZINC000532928156 337393329 /nfs/dbraw/zinc/39/33/29/337393329.db2.gz DMIPDAUCUCRQPZ-NSHDSACASA-N 0 3 308.372 4.486 20 0 DIADHN Clc1ccc(Br)c(CCNCc2ccco2)c1 ZINC000532932481 337393697 /nfs/dbraw/zinc/39/36/97/337393697.db2.gz TZNBSHIPYVECNX-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@H]2Oc2cccc(C)c2)nc1 ZINC000532931704 337393733 /nfs/dbraw/zinc/39/37/33/337393733.db2.gz UDCJARDIIDPKOW-WOJBJXKFSA-N 0 3 310.441 4.178 20 0 DIADHN Cc1csc(CN[C@@H]2CCCC[C@H]2Oc2cccc(C)c2)n1 ZINC000532932972 337393805 /nfs/dbraw/zinc/39/38/05/337393805.db2.gz SXXHIMVQVSVAHN-IAGOWNOFSA-N 0 3 316.470 4.240 20 0 DIADHN CC(C)(NCCCc1c(F)cccc1Cl)c1nccs1 ZINC000580400361 337396023 /nfs/dbraw/zinc/39/60/23/337396023.db2.gz XBRCYGPLBIXKSG-UHFFFAOYSA-N 0 3 312.841 4.393 20 0 DIADHN CC[C@H](NCc1cccc(CN(C)C)c1)c1ccc(F)cc1F ZINC000123665165 337400324 /nfs/dbraw/zinc/40/03/24/337400324.db2.gz LNCLGCABKOSIPI-IBGZPJMESA-N 0 3 318.411 4.267 20 0 DIADHN Cc1[nH]c2c(cccc2C(=O)Nc2cccc(CN(C)C)c2)c1C ZINC000047460389 337429988 /nfs/dbraw/zinc/42/99/88/337429988.db2.gz DNGWICOAKCFAME-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CCc1cnc(CCN[C@H]2CCCOc3ccc(C)cc32)s1 ZINC000130288452 337432701 /nfs/dbraw/zinc/43/27/01/337432701.db2.gz UIHKIAHHCZEPAF-INIZCTEOSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133681377 337446130 /nfs/dbraw/zinc/44/61/30/337446130.db2.gz XSGLKPOJWWYGBN-BXUZGUMPSA-N 0 3 320.506 4.166 20 0 DIADHN CCc1nc2ccccc2c(N2CCC(CC)(CO)CC2)c1C ZINC000564116167 337456149 /nfs/dbraw/zinc/45/61/49/337456149.db2.gz OUKYSEINUNRTGP-UHFFFAOYSA-N 0 3 312.457 4.095 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NC[C@H]1CC12CCSCC2 ZINC000421199262 337524640 /nfs/dbraw/zinc/52/46/40/337524640.db2.gz KCHNWDBQOROUAU-IAQYHMDHSA-N 0 3 309.425 4.075 20 0 DIADHN C[C@H](NCc1cccc(C2CC2)c1)c1ccc2c(c1)CCC(=O)N2 ZINC000421320666 337526190 /nfs/dbraw/zinc/52/61/90/337526190.db2.gz AUTHFFMYUAAIKR-AWEZNQCLSA-N 0 3 320.436 4.300 20 0 DIADHN Clc1cnn(C2CCN(Cc3cccc(C4CC4)c3)CC2)c1 ZINC000421340683 337527420 /nfs/dbraw/zinc/52/74/20/337527420.db2.gz IXXVPWYQEDJCGC-UHFFFAOYSA-N 0 3 315.848 4.251 20 0 DIADHN CC[C@H]1C[C@H](NCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000421385826 337529748 /nfs/dbraw/zinc/52/97/48/337529748.db2.gz NXVOQOPSVKXOAP-CABCVRRESA-N 0 3 301.386 4.119 20 0 DIADHN CC[C@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)CCO1 ZINC000421386542 337529903 /nfs/dbraw/zinc/52/99/03/337529903.db2.gz OFVYRFHJADJYPS-STQMWFEESA-N 0 3 321.770 4.406 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H]1CCCCO1 ZINC000432253314 337541952 /nfs/dbraw/zinc/54/19/52/337541952.db2.gz KCVMHMDTSLMWHC-CABZTGNLSA-N 0 3 306.208 4.180 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@@H](N[C@H](C)c2csc(Cl)c2)C1 ZINC000248739121 337567113 /nfs/dbraw/zinc/56/71/13/337567113.db2.gz YANAOWGVJCGQOA-UECKCYCWSA-N 0 3 319.923 4.132 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCOc3c(F)cccc31)[C@H](C)C2 ZINC000525961732 337574652 /nfs/dbraw/zinc/57/46/52/337574652.db2.gz GXSGJMDEXDCADT-VMDGZTHMSA-N 0 3 311.400 4.481 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H]1CCc2c1ccc(OC)c2F ZINC000571956697 337583314 /nfs/dbraw/zinc/58/33/14/337583314.db2.gz LZOZYOVEDGGEGJ-JOYOIKCWSA-N 0 3 305.315 4.142 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cccc(C2CCC2)c1 ZINC000571970006 337584643 /nfs/dbraw/zinc/58/46/43/337584643.db2.gz PZXXZIQSDNRRFO-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1ccc(F)cn1 ZINC000572017828 337586823 /nfs/dbraw/zinc/58/68/23/337586823.db2.gz PUHPIEAAMFLKDE-KBRIMQKVSA-N 0 3 302.368 4.347 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nc(-c3ccccc3)cs2)cn1 ZINC000161632340 337593329 /nfs/dbraw/zinc/59/33/29/337593329.db2.gz MGKIPOYNVRGGOF-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1nn(C)cc1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC000161709035 337595497 /nfs/dbraw/zinc/59/54/97/337595497.db2.gz DIVMNZWUPBYBFJ-UHFFFAOYSA-N 0 3 317.864 4.331 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)cc2N(C)C)ccc1C ZINC000161806442 337597450 /nfs/dbraw/zinc/59/74/50/337597450.db2.gz DZKNDFAGNONCHX-CQSZACIVSA-N 0 3 316.420 4.060 20 0 DIADHN CC(C)(NCc1cc(O)cc(C(F)(F)F)c1)c1cccs1 ZINC000572327090 337608754 /nfs/dbraw/zinc/60/87/54/337608754.db2.gz ULPJFQLLXXMICJ-UHFFFAOYSA-N 0 3 315.360 4.497 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000162253761 337610648 /nfs/dbraw/zinc/61/06/48/337610648.db2.gz NPKUHGIXRVHOKS-DYVFJYSZSA-N 0 3 318.486 4.258 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H](C)Cc1c(C)noc1C ZINC000421828432 337647012 /nfs/dbraw/zinc/64/70/12/337647012.db2.gz CQOSQGJMBOTVLS-QWRGUYRKSA-N 0 3 322.836 4.235 20 0 DIADHN COc1ccc([C@H](NC2CC(C(F)(F)F)C2)C2CC2)c(F)c1 ZINC000421851660 337649584 /nfs/dbraw/zinc/64/95/84/337649584.db2.gz GRHORGKPSGENBD-QKFMDRJYSA-N 0 3 317.326 4.216 20 0 DIADHN C[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccncc1Cl ZINC000421852123 337649798 /nfs/dbraw/zinc/64/97/98/337649798.db2.gz HMLBSPUBUDTVAF-JCKWVBRZSA-N 0 3 316.832 4.306 20 0 DIADHN COc1ccc([C@H](NCCc2scnc2C)C(C)C)c(F)c1 ZINC000421853436 337650103 /nfs/dbraw/zinc/65/01/03/337650103.db2.gz JEPNBLLDVGFOFE-QGZVFWFLSA-N 0 3 322.449 4.129 20 0 DIADHN C[C@@H](CCOCc1ccccc1)N[C@@H](C)c1ccncc1Cl ZINC000421854437 337650257 /nfs/dbraw/zinc/65/02/57/337650257.db2.gz LKIXKZKNGDUPFO-GJZGRUSLSA-N 0 3 318.848 4.381 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NC1CC(C(F)(F)F)C1 ZINC000421859409 337651166 /nfs/dbraw/zinc/65/11/66/337651166.db2.gz SODILKZUJAHCSZ-SILCLGDVSA-N 0 3 307.743 4.340 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000421836581 337652379 /nfs/dbraw/zinc/65/23/79/337652379.db2.gz HIGNYBCXRIYKTR-RZAIGCCYSA-N 0 3 323.864 4.204 20 0 DIADHN C[C@H](NC[C@@H]1CCCO[C@@H]1C(C)(C)C)c1ccncc1Cl ZINC000421837580 337652607 /nfs/dbraw/zinc/65/26/07/337652607.db2.gz SSNKASVSMZDKHL-XEZPLFJOSA-N 0 3 310.869 4.227 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCCC(F)(F)C2)C2CC2)c(F)c1 ZINC000421841067 337653409 /nfs/dbraw/zinc/65/34/09/337653409.db2.gz IAOMVQWMIWORRN-WBMJQRKESA-N 0 3 313.363 4.453 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1ccncc1Cl ZINC000421843282 337653747 /nfs/dbraw/zinc/65/37/47/337653747.db2.gz QAXRYRJSVQXGNW-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN C[C@@H](NCCCNc1cccc(F)c1)c1ccncc1Cl ZINC000421845043 337654035 /nfs/dbraw/zinc/65/40/35/337654035.db2.gz VQPNWMSXDWSNQW-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccncc1Cl ZINC000421845810 337654183 /nfs/dbraw/zinc/65/41/83/337654183.db2.gz XWHUYENTCIQISZ-ZIAGYGMSSA-N 0 3 308.853 4.268 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000421846570 337654471 /nfs/dbraw/zinc/65/44/71/337654471.db2.gz TTZXEQLQMOHHLJ-DUVNUKRYSA-N 0 3 311.853 4.203 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N[C@@H](C)c1ccncc1F ZINC000421861530 337655992 /nfs/dbraw/zinc/65/59/92/337655992.db2.gz WAMSRKYJNWHLKO-QWRGUYRKSA-N 0 3 310.775 4.295 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CC23CCSCC3)c(F)c1 ZINC000421871143 337657214 /nfs/dbraw/zinc/65/72/14/337657214.db2.gz FUINFXOIQOEZKQ-STQMWFEESA-N 0 3 309.450 4.018 20 0 DIADHN C[C@@H](N[C@H](CC(F)F)c1ccccc1)c1cccc(CO)c1 ZINC000421871284 337657220 /nfs/dbraw/zinc/65/72/20/337657220.db2.gz FTUFRKLIOAIHQA-CXAGYDPISA-N 0 3 305.368 4.226 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H]2Cc3[nH]c4ccccc4c3C2)o1 ZINC000574989932 337669372 /nfs/dbraw/zinc/66/93/72/337669372.db2.gz XEXGWJZRTXHJCD-XJKCOSOUSA-N 0 3 306.409 4.141 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000429770812 337691292 /nfs/dbraw/zinc/69/12/92/337691292.db2.gz LMYZSSLFAKPGRB-GUYCJALGSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@H](NC(=O)C(C)(C)[C@H](N)c1ccccc1)[C@@H](C)c1ccccc1 ZINC000423212247 337704436 /nfs/dbraw/zinc/70/44/36/337704436.db2.gz ZUDVRBKCHNQXLM-JTDSTZFVSA-N 0 3 324.468 4.021 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCc3cc(N)ccc32)cnn1C(C)C ZINC000423337309 337712695 /nfs/dbraw/zinc/71/26/95/337712695.db2.gz ANLYELFTWUGOCA-DJJJIMSYSA-N 0 3 312.461 4.083 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccccc1OC ZINC000423342781 337712985 /nfs/dbraw/zinc/71/29/85/337712985.db2.gz DEXOZARDUJXXAI-RTBURBONSA-N 0 3 310.441 4.396 20 0 DIADHN CC(C)C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccccn1 ZINC000423351826 337713640 /nfs/dbraw/zinc/71/36/40/337713640.db2.gz JAFPIPAMGVAFBI-ICSRJNTNSA-N 0 3 309.457 4.418 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1N[C@@H]1CCCc2cc(N)ccc21 ZINC000423363372 337714478 /nfs/dbraw/zinc/71/44/78/337714478.db2.gz YOOFYGRTGMSJEK-BYYRLHKVSA-N 0 3 310.416 4.308 20 0 DIADHN CC(C)C[C@H](NCc1cccc2c1CCCN2)c1ccccn1 ZINC000423410876 337716318 /nfs/dbraw/zinc/71/63/18/337716318.db2.gz DTQBRSXRYIJJGL-FQEVSTJZSA-N 0 3 309.457 4.317 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)Oc2ccc(N)cc21)c1cccs1 ZINC000423415273 337716503 /nfs/dbraw/zinc/71/65/03/337716503.db2.gz ALRAXKCSBIULKI-FZMZJTMJSA-N 0 3 302.443 4.283 20 0 DIADHN c1ccc2c(c1)SCC[C@H]2NCc1cccc2c1CCCN2 ZINC000423416090 337716508 /nfs/dbraw/zinc/71/65/08/337716508.db2.gz QBPZTUNLBHPOLT-GOSISDBHSA-N 0 3 310.466 4.371 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423424044 337716885 /nfs/dbraw/zinc/71/68/85/337716885.db2.gz CIKAJGZXPCGBIP-OALUTQOASA-N 0 3 322.452 4.457 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](CN)c1ccc2ccccc2c1 ZINC000423382665 337717636 /nfs/dbraw/zinc/71/76/36/337717636.db2.gz DSOQIQJOUUYTML-FOIQADDNSA-N 0 3 319.452 4.148 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CN)c2ccc3ccccc3c2)c(C)o1 ZINC000423389818 337718297 /nfs/dbraw/zinc/71/82/97/337718297.db2.gz JTWXKMDJPAUFDP-VLIAUNLRSA-N 0 3 308.425 4.400 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCN2)c1cc(F)c(F)c(F)c1 ZINC000423438123 337719100 /nfs/dbraw/zinc/71/91/00/337719100.db2.gz GVZZCOCPSRHAKB-LLVKDONJSA-N 0 3 320.358 4.313 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccc2c(c1)CCCN2 ZINC000423451042 337720102 /nfs/dbraw/zinc/72/01/02/337720102.db2.gz ULUOSRCCOJUWAJ-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000423467015 337720832 /nfs/dbraw/zinc/72/08/32/337720832.db2.gz ADVCEPHXJAPRBU-IBGZPJMESA-N 0 3 305.425 4.226 20 0 DIADHN COc1cc([C@@H](C)NCc2cc(C)cc(N)c2)ccc1SC ZINC000423474677 337721445 /nfs/dbraw/zinc/72/14/45/337721445.db2.gz IKBAILWCZKVBCH-CYBMUJFWSA-N 0 3 316.470 4.159 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2ccccc2OC(F)(F)F)c1 ZINC000423484512 337722167 /nfs/dbraw/zinc/72/21/67/337722167.db2.gz UKXRINSMGJDNFR-LBPRGKRZSA-N 0 3 324.346 4.327 20 0 DIADHN COCc1ccccc1CN[C@H]1CCCc2c3ccccc3[nH]c21 ZINC000325460758 337732324 /nfs/dbraw/zinc/73/23/24/337732324.db2.gz IVKLSZILUKRZIK-FQEVSTJZSA-N 0 3 320.436 4.482 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000536829575 337733673 /nfs/dbraw/zinc/73/36/73/337733673.db2.gz ZERGYFWFXVPWHS-VVBPWWLESA-N 0 3 315.482 4.453 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000536835442 337735067 /nfs/dbraw/zinc/73/50/67/337735067.db2.gz VTSJZVPDTVTRJX-NHYWBVRUSA-N 0 3 301.833 4.173 20 0 DIADHN CC(C)C[C@H](NCCSCC(F)(F)F)c1ccccn1 ZINC000536837442 337735500 /nfs/dbraw/zinc/73/55/00/337735500.db2.gz FHDREJMNZSAOKX-ZDUSSCGKSA-N 0 3 306.397 4.054 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000536837034 337735502 /nfs/dbraw/zinc/73/55/02/337735502.db2.gz DKSIBOYOKTVBGD-LDQXTDLNSA-N 0 3 324.468 4.100 20 0 DIADHN CCCn1c(CNC[C@@H](C)CC(F)(F)F)nc2ccccc21 ZINC000396950956 337736122 /nfs/dbraw/zinc/73/61/22/337736122.db2.gz CCLYYIXJBFMWGQ-LBPRGKRZSA-N 0 3 313.367 4.124 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)N(C)C)c1ccc(Cl)s1 ZINC000035285978 337742732 /nfs/dbraw/zinc/74/27/32/337742732.db2.gz OWTCRVWICUSJSZ-TZMCWYRMSA-N 0 3 308.878 4.355 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)s1 ZINC000536844199 337743173 /nfs/dbraw/zinc/74/31/73/337743173.db2.gz HILBQOGQLFQPOB-JKSUJKDBSA-N 0 3 315.486 4.163 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)NCc2ccc(OC)cc2F)cc1 ZINC000536846691 337744108 /nfs/dbraw/zinc/74/41/08/337744108.db2.gz SNWSXVXYOPOYKS-UONOGXRCSA-N 0 3 317.404 4.125 20 0 DIADHN OCc1ccc(CN[C@@H]2CCCc3ccc(Cl)cc32)cc1F ZINC000536848278 337744379 /nfs/dbraw/zinc/74/43/79/337744379.db2.gz YFBXGIFRNORFMA-GOSISDBHSA-N 0 3 319.807 4.139 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1nc(-c2ccncc2)cs1 ZINC000536869532 337751879 /nfs/dbraw/zinc/75/18/79/337751879.db2.gz LOMKFOJRHITYID-UMVBOHGHSA-N 0 3 301.459 4.434 20 0 DIADHN C[C@H](CN[C@@H](C)c1cccc(OC(F)F)c1)Oc1ccccc1 ZINC000536872625 337752263 /nfs/dbraw/zinc/75/22/63/337752263.db2.gz WJVGFAZFICDNQL-KGLIPLIRSA-N 0 3 321.367 4.406 20 0 DIADHN Cc1ccc2nccc(N3CCc4nc(C(C)C)ncc4C3)c2c1 ZINC000527616727 337752402 /nfs/dbraw/zinc/75/24/02/337752402.db2.gz NENRZVZECVRQQC-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN c1cc2ccc(CN[C@H](c3ccccc3)[C@H]3CCOC3)cc2[nH]1 ZINC000527682264 337755458 /nfs/dbraw/zinc/75/54/58/337755458.db2.gz DOWFIHVLFLUTQJ-AZUAARDMSA-N 0 3 306.409 4.035 20 0 DIADHN c1cc2ccc(CN[C@H](c3ccccc3)[C@H]3CCCO3)cc2[nH]1 ZINC000527648485 337755866 /nfs/dbraw/zinc/75/58/66/337755866.db2.gz FVSDXCHTXBOCKC-WOJBJXKFSA-N 0 3 306.409 4.178 20 0 DIADHN Cc1nnsc1CN[C@@H]1CCC[C@H](c2ccccc2)CC1 ZINC000527710495 337758035 /nfs/dbraw/zinc/75/80/35/337758035.db2.gz PNVDWBGZRCUMCF-JKSUJKDBSA-N 0 3 301.459 4.053 20 0 DIADHN CC[C@@H](NCc1cnn(CC(F)F)c1)c1cc(C)ccc1C ZINC000527818621 337763388 /nfs/dbraw/zinc/76/33/88/337763388.db2.gz GTUFCFPHTQWSFS-MRXNPFEDSA-N 0 3 307.388 4.006 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1cc(C)ccc1C ZINC000527847153 337768476 /nfs/dbraw/zinc/76/84/76/337768476.db2.gz LTGXXLYIBVYKQL-HXUWFJFHSA-N 0 3 324.468 4.246 20 0 DIADHN C[C@H](N[C@H](C(=O)Nc1ccccc1)c1ccccc1)[C@@H]1CC12CC2 ZINC000527851872 337769119 /nfs/dbraw/zinc/76/91/19/337769119.db2.gz BLWDXKXJEFWKNK-SNRMKQJTSA-N 0 3 320.436 4.145 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527891818 337772075 /nfs/dbraw/zinc/77/20/75/337772075.db2.gz DMCIVWCCUUOXPY-ZIAGYGMSSA-N 0 3 319.399 4.173 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2cccn(CC)c2=O)cc1 ZINC000527897337 337772486 /nfs/dbraw/zinc/77/24/86/337772486.db2.gz SWNRWZOGNMHNMS-JKSUJKDBSA-N 0 3 312.457 4.233 20 0 DIADHN C[C@H](F)CCN[C@@H](C)c1cc(F)c(Br)cc1F ZINC000527900379 337772744 /nfs/dbraw/zinc/77/27/44/337772744.db2.gz GUCMSVVGRZLWGY-YUMQZZPRSA-N 0 3 310.157 4.126 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2ccc(CC(N)=O)cc2)cc1 ZINC000527901152 337772870 /nfs/dbraw/zinc/77/28/70/337772870.db2.gz OBIMWWFYLYKSGP-JKSUJKDBSA-N 0 3 324.468 4.079 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NC[C@@H](n1cccn1)C(F)(F)F ZINC000527941130 337773349 /nfs/dbraw/zinc/77/33/49/337773349.db2.gz XHDMCCQWKYFIKI-BPLDGKMQSA-N 0 3 323.362 4.133 20 0 DIADHN CC[C@@H](NCc1ccc2cn(C)nc2c1)c1cccc(Cl)c1 ZINC000527957925 337774286 /nfs/dbraw/zinc/77/42/86/337774286.db2.gz CQURJJQJFWDAND-QGZVFWFLSA-N 0 3 313.832 4.468 20 0 DIADHN CC[C@H](CNCc1cncn1C(C)C)Oc1ccccc1Cl ZINC000424149467 337775101 /nfs/dbraw/zinc/77/51/01/337775101.db2.gz WKOLROQWAIEZKA-OAHLLOKOSA-N 0 3 321.852 4.065 20 0 DIADHN C[C@@H](NCC[C@H]1CCCOC1)c1nc(-c2ccccc2)cs1 ZINC000527922860 337775340 /nfs/dbraw/zinc/77/53/40/337775340.db2.gz BRYKNSYQYNZCBW-HUUCEWRRSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](NCC[C@H]1CCCOC1)c1ccc(-c2ccccc2)cn1 ZINC000527924272 337775388 /nfs/dbraw/zinc/77/53/88/337775388.db2.gz IKQBPMNEESSTTE-DLBZAZTESA-N 0 3 310.441 4.216 20 0 DIADHN COc1cccc([C@@H](CN[C@H](C)c2csc(C)c2)OC)c1 ZINC000527925430 337775689 /nfs/dbraw/zinc/77/56/89/337775689.db2.gz JWYDARVUGNWWHH-CXAGYDPISA-N 0 3 305.443 4.103 20 0 DIADHN COCCC1CCN([C@@H](C)c2sc(C(C)C)nc2C)CC1 ZINC000527934697 337776797 /nfs/dbraw/zinc/77/67/97/337776797.db2.gz KHWHLYGDGVSEKB-AWEZNQCLSA-N 0 3 310.507 4.384 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000527992289 337778395 /nfs/dbraw/zinc/77/83/95/337778395.db2.gz HKBBSBVZHSMDEP-MEDUHNTESA-N 0 3 302.805 4.082 20 0 DIADHN CCC[C@@H](NC[C@@H]1c2ccccc2C(=O)N1C)c1ccsc1 ZINC000528003082 337779706 /nfs/dbraw/zinc/77/97/06/337779706.db2.gz NSYHLNXHHYNZMO-IAGOWNOFSA-N 0 3 314.454 4.006 20 0 DIADHN Cn1cc2ccc(CN[C@@H]3CCCCc4ccccc43)cc2n1 ZINC000527963831 337780632 /nfs/dbraw/zinc/78/06/32/337780632.db2.gz QFNXPCJGKFCLSQ-LJQANCHMSA-N 0 3 305.425 4.131 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CCC1)c1ccc2c(c1)COC2 ZINC000527966444 337780947 /nfs/dbraw/zinc/78/09/47/337780947.db2.gz LCQNKLMCMURJLF-VBKZILBWSA-N 0 3 308.425 4.304 20 0 DIADHN CC[C@@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1cccs1 ZINC000528044481 337785798 /nfs/dbraw/zinc/78/57/98/337785798.db2.gz UACQKCRIGUEWTL-RHSMWYFYSA-N 0 3 302.443 4.344 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCc3c2cccc3C)C2CC2)cn1 ZINC000528045101 337785853 /nfs/dbraw/zinc/78/58/53/337785853.db2.gz XIWJTVGDPCAHFN-UYAOXDASSA-N 0 3 308.425 4.127 20 0 DIADHN C[C@@H](NCc1ccc(OCCO)cc1)c1ccc2ccccc2c1 ZINC000536879023 337795412 /nfs/dbraw/zinc/79/54/12/337795412.db2.gz YQTNNDANZMESIZ-MRXNPFEDSA-N 0 3 321.420 4.062 20 0 DIADHN C[C@H](c1cccs1)N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000044277322 337808947 /nfs/dbraw/zinc/80/89/47/337808947.db2.gz OOQCTIHSGYYQBK-CYBMUJFWSA-N 0 3 317.458 4.035 20 0 DIADHN COC(=O)CC1CC(N[C@@H](c2ccccc2)c2ccc(C)cc2)C1 ZINC000424260949 337813217 /nfs/dbraw/zinc/81/32/17/337813217.db2.gz OKDSYQJHMVAEOU-IOYBJCICSA-N 0 3 323.436 4.016 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](N[C@H](c3ccco3)c3ccccc3)C[C@H]2C1 ZINC000424262059 337813222 /nfs/dbraw/zinc/81/32/22/337813222.db2.gz PXYUQYIJHGJNCJ-VBRUWADQSA-N 0 3 311.425 4.162 20 0 DIADHN C[C@H](CN[C@H](Cc1ccncc1)c1cccs1)C(F)(F)F ZINC000424282823 337815170 /nfs/dbraw/zinc/81/51/70/337815170.db2.gz QUWXQHDOYUAHOO-DGCLKSJQSA-N 0 3 314.376 4.215 20 0 DIADHN COc1ccc([C@H](C)NCC[C@@H]2CCO[C@H](C)C2)c(Cl)c1 ZINC000424286434 337815502 /nfs/dbraw/zinc/81/55/02/337815502.db2.gz VZMVXYXKZJCWRU-HZSPNIEDSA-N 0 3 311.853 4.204 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1NC1CCC(OC2CCCC2)CC1 ZINC000424314752 337819621 /nfs/dbraw/zinc/81/96/21/337819621.db2.gz CVXDZXBFWAXYJL-HFPIOELMSA-N 0 3 317.448 4.484 20 0 DIADHN CC[C@H](NCCC(C)(C)C(=O)OC(C)(C)C)c1ccc(F)cn1 ZINC000424336862 337822858 /nfs/dbraw/zinc/82/28/58/337822858.db2.gz ZDULZNCWZBALQC-AWEZNQCLSA-N 0 3 324.440 4.019 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(CCc2ccc(O)cc2)CC1 ZINC000533244203 337837696 /nfs/dbraw/zinc/83/76/96/337837696.db2.gz UAUPYIXJIAUWQS-INIZCTEOSA-N 0 3 310.441 4.193 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000536882188 337844181 /nfs/dbraw/zinc/84/41/81/337844181.db2.gz KGMPWBHAIMNSDJ-BDAKNGLRSA-N 0 3 313.722 4.097 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccccc2)C2CCC2)s1 ZINC000118950557 337853047 /nfs/dbraw/zinc/85/30/47/337853047.db2.gz TZNPXZZBZNRSPF-KRWDZBQOSA-N 0 3 315.438 4.166 20 0 DIADHN CCn1nccc1CNC(CC)(CC)c1ccc(Cl)cc1 ZINC000119245502 337856758 /nfs/dbraw/zinc/85/67/58/337856758.db2.gz SHEGWUQKBPTMHP-UHFFFAOYSA-N 0 3 305.853 4.362 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(Cl)cc1 ZINC000564144230 337858383 /nfs/dbraw/zinc/85/83/83/337858383.db2.gz LQMKMMRFBCCHFC-OAHLLOKOSA-N 0 3 305.780 4.265 20 0 DIADHN Cc1cc([C@@H](N[C@@H]2CN(C)Cc3ccccc32)C2CC2)ccc1F ZINC000537012352 337864427 /nfs/dbraw/zinc/86/44/27/337864427.db2.gz MRNZDLOAUWSACJ-RTWAWAEBSA-N 0 3 324.443 4.362 20 0 DIADHN COc1ccc([C@@H](NCc2ccnc(SC)c2)C2CC2)cc1 ZINC000537013697 337865282 /nfs/dbraw/zinc/86/52/82/337865282.db2.gz OIIDQYOMDHHHAL-SFHVURJKSA-N 0 3 314.454 4.053 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCc2ccccn2)o1 ZINC000120179499 337871318 /nfs/dbraw/zinc/87/13/18/337871318.db2.gz LOXYPEDAADEWAD-UHFFFAOYSA-N 0 3 312.800 4.327 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCCOc1ccc(Cl)cc1)CCC2 ZINC000537966923 337874928 /nfs/dbraw/zinc/87/49/28/337874928.db2.gz KVJGSMCSKBTFLE-AWEZNQCLSA-N 0 3 322.861 4.151 20 0 DIADHN CO[C@@H](CN[C@H]1CCSc2ccccc21)c1ccc(F)cc1 ZINC000090176463 337878582 /nfs/dbraw/zinc/87/85/82/337878582.db2.gz LYEQSQNMFUZONI-IRXDYDNUSA-N 0 3 317.429 4.340 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1cc(F)cc(F)c1 ZINC000120782311 337879168 /nfs/dbraw/zinc/87/91/68/337879168.db2.gz NRKKWXUTABJQBR-CXAGYDPISA-N 0 3 320.383 4.046 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(F)c(CN(C)C)c2)c1 ZINC000120866808 337881658 /nfs/dbraw/zinc/88/16/58/337881658.db2.gz DOQAXWGOCXEWQT-MRXNPFEDSA-N 0 3 314.448 4.355 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@H](C)C(C)(C)c1ccccc1 ZINC000537054613 337884616 /nfs/dbraw/zinc/88/46/16/337884616.db2.gz PBHKZSVELQZGFJ-MRXNPFEDSA-N 0 3 319.452 4.394 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2ccnc2)cc1)c1c(C)noc1C ZINC000090886633 337886794 /nfs/dbraw/zinc/88/67/94/337886794.db2.gz SYFGAQVOSBWIKQ-ACJLOTCBSA-N 0 3 324.428 4.279 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000537099883 337890304 /nfs/dbraw/zinc/89/03/04/337890304.db2.gz UQKBMDIMMTXXAO-MSOLQXFVSA-N 0 3 324.468 4.163 20 0 DIADHN COC[C@@H](NCCCc1cccc(F)c1)c1ccc(F)c(F)c1 ZINC000537117231 337891449 /nfs/dbraw/zinc/89/14/49/337891449.db2.gz WZZBPSGXKYBTID-GOSISDBHSA-N 0 3 323.358 4.014 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCCCC1)c1nccn1C ZINC000537107302 337892204 /nfs/dbraw/zinc/89/22/04/337892204.db2.gz TWQQCXXBXNPDLB-HNNXBMFYSA-N 0 3 315.436 4.112 20 0 DIADHN CC[C@@H](NCCCOc1ccc2ccccc2c1)c1nccn1C ZINC000537110447 337892514 /nfs/dbraw/zinc/89/25/14/337892514.db2.gz AVBLADCVLKAOQT-LJQANCHMSA-N 0 3 323.440 4.083 20 0 DIADHN COc1cccc(CCCN[C@H](C)c2cccc(F)c2F)c1 ZINC000537127283 337894042 /nfs/dbraw/zinc/89/40/42/337894042.db2.gz IUBGJCJYWPJWEI-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H]2CCc3c2cccc3OC)s1 ZINC000121891735 337902912 /nfs/dbraw/zinc/90/29/12/337902912.db2.gz UKGNGGWREINZOR-IAQYHMDHSA-N 0 3 316.470 4.361 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)cc1F ZINC000121979108 337904085 /nfs/dbraw/zinc/90/40/85/337904085.db2.gz UABWLBRCCLIZAM-OLZOCXBDSA-N 0 3 301.361 4.275 20 0 DIADHN CCOCc1ccccc1CN[C@H]1c2cc(F)ccc2C[C@@H]1C ZINC000122692495 337924103 /nfs/dbraw/zinc/92/41/03/337924103.db2.gz CZIORDLPUBDLQF-VBKZILBWSA-N 0 3 313.416 4.385 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1N[C@H](CC1CCC1)c1ccccc1 ZINC000537296885 337929075 /nfs/dbraw/zinc/92/90/75/337929075.db2.gz ZIWSKCCICMIGDG-QHAWAJNXSA-N 0 3 307.437 4.166 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@@H]1c3ccccc3C[C@H]1O)CCC2 ZINC000537401609 337935494 /nfs/dbraw/zinc/93/54/94/337935494.db2.gz XTKDNTLPXCRDPH-YPAWHYETSA-N 0 3 321.464 4.435 20 0 DIADHN CC[C@H](NC1(c2ccc(OC)cc2)CC1)c1ccc(OC)cc1 ZINC000537423941 337935664 /nfs/dbraw/zinc/93/56/64/337935664.db2.gz WUGIPGSUPACUHW-IBGZPJMESA-N 0 3 311.425 4.434 20 0 DIADHN Cc1cccc(NC(=O)C[C@@H](C)NC2(c3ccccc3)CC2)c1C ZINC000537423109 337935722 /nfs/dbraw/zinc/93/57/22/337935722.db2.gz MVGCXHRVFJACRL-MRXNPFEDSA-N 0 3 322.452 4.299 20 0 DIADHN COCC1(N[C@@H](C)c2sc(-c3ccccc3F)nc2C)CC1 ZINC000537449897 337936721 /nfs/dbraw/zinc/93/67/21/337936721.db2.gz PIVXPQNQTYACIH-LBPRGKRZSA-N 0 3 320.433 4.087 20 0 DIADHN Cc1ccc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c(C)c1 ZINC000537450451 337936948 /nfs/dbraw/zinc/93/69/48/337936948.db2.gz QHLXVEZCYBJRTH-HNNXBMFYSA-N 0 3 309.409 4.372 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)cc1C ZINC000123026123 337939544 /nfs/dbraw/zinc/93/95/44/337939544.db2.gz UZYSXAKGQQDMEB-HUUCEWRRSA-N 0 3 311.429 4.206 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@]2(C)CCCc3ccccc32)c(C)c1 ZINC000537605125 337947431 /nfs/dbraw/zinc/94/74/31/337947431.db2.gz KGONKRPAMCGKBH-NRFANRHFSA-N 0 3 322.452 4.083 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(Cc3cccnc3)CC1)CC2 ZINC000537843829 337963211 /nfs/dbraw/zinc/96/32/11/337963211.db2.gz XBLWJXBWCYFVND-HXUWFJFHSA-N 0 3 310.416 4.163 20 0 DIADHN COc1ccc([C@@H](OC)[C@H](C)NCc2ccc(F)c(C)c2)cc1 ZINC000537861181 337963581 /nfs/dbraw/zinc/96/35/81/337963581.db2.gz FXGWXOLOEYRZPT-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2nc3c(s2)CCCC3)c1 ZINC000537883030 337964269 /nfs/dbraw/zinc/96/42/69/337964269.db2.gz OXTIFCIAEVLXSA-CQSZACIVSA-N 0 3 300.471 4.224 20 0 DIADHN COc1cc(C)c(CNCc2csc3ccccc23)c(C)n1 ZINC000514231530 337966846 /nfs/dbraw/zinc/96/68/46/337966846.db2.gz YYXNOMJADVFGFW-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN Cc1cc(Cl)cc(CNC2(c3ccccc3)CCOCC2)c1 ZINC000514801645 337971813 /nfs/dbraw/zinc/97/18/13/337971813.db2.gz LFGQEMXVGXNQNE-UHFFFAOYSA-N 0 3 315.844 4.444 20 0 DIADHN COC1(CCN[C@H](CC(F)(F)F)c2ccccc2)CCC1 ZINC000314988230 337977979 /nfs/dbraw/zinc/97/79/79/337977979.db2.gz VDVCDJOYMVJHLF-CQSZACIVSA-N 0 3 301.352 4.229 20 0 DIADHN CN(C)CCN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000515093421 337979097 /nfs/dbraw/zinc/97/90/97/337979097.db2.gz KRDRLNKSFLXBDI-UHFFFAOYSA-N 0 3 318.411 4.188 20 0 DIADHN CSc1ccc(CNC[C@H](C)N(C)c2ccccc2)s1 ZINC000515256571 337983772 /nfs/dbraw/zinc/98/37/72/337983772.db2.gz HHFONXJSJBECNA-ZDUSSCGKSA-N 0 3 306.500 4.085 20 0 DIADHN COc1ccc([C@H](C)Nc2ccnc3ccccc32)c(C)c1OC ZINC000515399779 337987905 /nfs/dbraw/zinc/98/79/05/337987905.db2.gz SGUAKRAKBJEHEE-AWEZNQCLSA-N 0 3 322.408 4.155 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC(CCCn2ccnc2)CC1 ZINC000515712178 337996364 /nfs/dbraw/zinc/99/63/64/337996364.db2.gz PBQYNIVZTFAXRC-YWEYNIOJSA-N 0 3 309.457 4.089 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(C)c(F)c2)ccc1OC ZINC000088511339 338003544 /nfs/dbraw/zinc/00/35/44/338003544.db2.gz LSSAJELDZWCJLB-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN O[C@@H](Cc1cccc(Cl)c1)CN1CCC[C@@H]1c1ccsc1 ZINC000516170309 338007042 /nfs/dbraw/zinc/00/70/42/338007042.db2.gz KDKPEEHXNVIYRK-DLBZAZTESA-N 0 3 321.873 4.142 20 0 DIADHN CC(C)N(Cc1cnc(-c2ccc(Cl)cc2)s1)C[C@@H](C)O ZINC000516322305 338010757 /nfs/dbraw/zinc/01/07/57/338010757.db2.gz RHAHPLDUYCRCBK-GFCCVEGCSA-N 0 3 324.877 4.055 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)CC(F)(F)F)cc1OC ZINC000516474914 338013187 /nfs/dbraw/zinc/01/31/87/338013187.db2.gz AYRNOMXMIWYTLW-WDEREUQCSA-N 0 3 305.340 4.004 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](C)c1ccc(Br)cc1F ZINC000425390469 338015456 /nfs/dbraw/zinc/01/54/56/338015456.db2.gz YNBMKLCRAFIWKD-ZEGGKSINSA-N 0 3 318.255 4.133 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccco1)C1(c2ccccc2)CCCC1 ZINC000516508233 338014016 /nfs/dbraw/zinc/01/40/16/338014016.db2.gz CPYXUIVTWHSINJ-AEFFLSMTSA-N 0 3 313.441 4.193 20 0 DIADHN CC(C)OCCN[C@H](Cc1cccnc1)c1cccc(Cl)c1 ZINC000426021037 338019623 /nfs/dbraw/zinc/01/96/23/338019623.db2.gz YCLQFTWDIIHOHL-GOSISDBHSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1nc2ccc(CN(C)CCOc3ccccc3)cc2s1 ZINC000426043478 338020088 /nfs/dbraw/zinc/02/00/88/338020088.db2.gz ONXGDEKODOJNLR-UHFFFAOYSA-N 0 3 312.438 4.116 20 0 DIADHN C(=C\c1ccncc1)\CN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000426151676 338021406 /nfs/dbraw/zinc/02/14/06/338021406.db2.gz YCTPNTCENWUMSQ-ARJAWSKDSA-N 0 3 315.420 4.365 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)C[C@H](C)c1nccs1 ZINC000426157914 338021566 /nfs/dbraw/zinc/02/15/66/338021566.db2.gz ZKBOEULTVNWZTI-LBPRGKRZSA-N 0 3 324.877 4.431 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC2(CCOCC2)[C@@H]1C ZINC000426170796 338022194 /nfs/dbraw/zinc/02/21/94/338022194.db2.gz QRGJUVAPQHBDEN-AWEZNQCLSA-N 0 3 323.864 4.130 20 0 DIADHN Cc1cc([C@@H](C)NCCc2nnc(C(C)C)s2)c(C)s1 ZINC000426288339 338024593 /nfs/dbraw/zinc/02/45/93/338024593.db2.gz IRIBNUUMEANQED-LLVKDONJSA-N 0 3 309.504 4.233 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1cc(F)cc(F)c1 ZINC000426287984 338024647 /nfs/dbraw/zinc/02/46/47/338024647.db2.gz BVGOZORJLPCSPR-WBMJQRKESA-N 0 3 320.358 4.033 20 0 DIADHN COCCC[C@H](C)NCc1ccc(-c2ccccc2)cc1F ZINC000426295599 338025070 /nfs/dbraw/zinc/02/50/70/338025070.db2.gz ZCKLNAJDCZKHKK-HNNXBMFYSA-N 0 3 301.405 4.397 20 0 DIADHN CC[C@H](NCc1cc2ccccc2n(C)c1=O)c1ccc(C)cc1 ZINC000426294422 338025163 /nfs/dbraw/zinc/02/51/63/338025163.db2.gz JRTUKJXGNYDHPW-IBGZPJMESA-N 0 3 320.436 4.088 20 0 DIADHN CC[C@H](O)CN[C@H](c1cc(C)sc1C)c1cccc(Cl)c1 ZINC000426299087 338025430 /nfs/dbraw/zinc/02/54/30/338025430.db2.gz SAKZCPYSRQSTKR-RDJZCZTQSA-N 0 3 323.889 4.468 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2oc(CCC)nc2C)C1(C)C ZINC000426300414 338025569 /nfs/dbraw/zinc/02/55/69/338025569.db2.gz FPFYJVWTIAGHOY-CVEARBPZSA-N 0 3 308.466 4.009 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000426308661 338026020 /nfs/dbraw/zinc/02/60/20/338026020.db2.gz FWNLYFHLSGJDQO-HUBLWGQQSA-N 0 3 307.484 4.471 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)[C@@H]1OCCc2sccc21 ZINC000426310354 338026212 /nfs/dbraw/zinc/02/62/12/338026212.db2.gz NRPIXTSCHOAJKV-LSKLOWJMSA-N 0 3 317.429 4.167 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1cc(F)ccc1F ZINC000426322614 338026918 /nfs/dbraw/zinc/02/69/18/338026918.db2.gz JPECOUWZLJWRJE-GXTWGEPZSA-N 0 3 320.358 4.033 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3ccccc3n(C)c2=O)cc1C ZINC000426322682 338026976 /nfs/dbraw/zinc/02/69/76/338026976.db2.gz JYGRJVRTFHEPDA-MRXNPFEDSA-N 0 3 320.436 4.006 20 0 DIADHN Cc1ccoc1CN[C@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000426331698 338027303 /nfs/dbraw/zinc/02/73/03/338027303.db2.gz ARLLIVJKHRMLRW-QWHCGFSZSA-N 0 3 300.427 4.480 20 0 DIADHN Cc1ccoc1CN[C@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000426331696 338027322 /nfs/dbraw/zinc/02/73/22/338027322.db2.gz ARLLIVJKHRMLRW-CHWSQXEVSA-N 0 3 300.427 4.480 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2ccc(F)cc2)o1 ZINC000426344059 338028041 /nfs/dbraw/zinc/02/80/41/338028041.db2.gz SCYHEIHPNMTYIO-CYBMUJFWSA-N 0 3 304.409 4.186 20 0 DIADHN COc1cccc2c1CC[C@@H](Nc1ccc(C)cc1CN(C)C)C2 ZINC000426397775 338030462 /nfs/dbraw/zinc/03/04/62/338030462.db2.gz UVFHPZZVQNHDPS-GOSISDBHSA-N 0 3 324.468 4.035 20 0 DIADHN Cc1nc2ccc(CN3CC[C@@H](Nc4ccccc4)C3)cc2s1 ZINC000426419309 338031722 /nfs/dbraw/zinc/03/17/22/338031722.db2.gz KJXMZNMECDFYAY-QGZVFWFLSA-N 0 3 323.465 4.291 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@H]3CCC[C@H]32)cs1 ZINC000426463383 338032740 /nfs/dbraw/zinc/03/27/40/338032740.db2.gz VYIORDHYGQALFA-CZUORRHYSA-N 0 3 314.454 4.193 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@@H]3CCC[C@@H]32)cs1 ZINC000426526632 338034073 /nfs/dbraw/zinc/03/40/73/338034073.db2.gz UWENPEMYHTUZQK-LRDDRELGSA-N 0 3 302.418 4.324 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@@H]4CCC[C@@H]43)nc2c1 ZINC000426525844 338034194 /nfs/dbraw/zinc/03/41/94/338034194.db2.gz SGMIISDNNVPSER-GWCFXTLKSA-N 0 3 310.319 4.221 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC(C)(C(C)C)CC3)cnc21 ZINC000426755394 338040918 /nfs/dbraw/zinc/04/09/18/338040918.db2.gz ZAERSCIJPWJCJY-UHFFFAOYSA-N 0 3 314.477 4.270 20 0 DIADHN Cc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)cs2)o1 ZINC000426765301 338041418 /nfs/dbraw/zinc/04/14/18/338041418.db2.gz MCCYUPZNSUWDGV-OKILXGFUSA-N 0 3 302.443 4.334 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2oc(C(C)C)nc2C)C1 ZINC000428201490 338042281 /nfs/dbraw/zinc/04/22/81/338042281.db2.gz BHCKRHPTQVWEPJ-HNNXBMFYSA-N 0 3 314.429 4.105 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@@H](C)Cc2ccc(C)cc2)o1 ZINC000428236392 338043597 /nfs/dbraw/zinc/04/35/97/338043597.db2.gz HCRCIQIPSNJXKS-HNNXBMFYSA-N 0 3 300.446 4.307 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)[C@@H](C)Cc1ccc(C)cc1 ZINC000428244675 338044046 /nfs/dbraw/zinc/04/40/46/338044046.db2.gz ZHFCUNHLTUKTNI-HNNXBMFYSA-N 0 3 300.446 4.478 20 0 DIADHN CC(C)O[C@@H](CN[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000428342788 338047863 /nfs/dbraw/zinc/04/78/63/338047863.db2.gz CDIOIYPPYZGEFK-KSSFIOAISA-N 0 3 302.393 4.038 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@@H](Nc3ccccc3)C2)o1 ZINC000428392415 338049961 /nfs/dbraw/zinc/04/99/61/338049961.db2.gz SUAIMUYGUUSXMN-QGZVFWFLSA-N 0 3 313.445 4.012 20 0 DIADHN c1cncc(-c2cccc(CN3CCC[C@@H](OCC4CC4)C3)c2)c1 ZINC000428407377 338050550 /nfs/dbraw/zinc/05/05/50/338050550.db2.gz YZZMOUXDHFTHHD-OAQYLSRUSA-N 0 3 322.452 4.140 20 0 DIADHN CC(C)(CO)[C@H](NC/C=C\c1ccc(F)cc1)c1ccccc1 ZINC000428436794 338051388 /nfs/dbraw/zinc/05/13/88/338051388.db2.gz GSNAOOBCQLAIOC-LIXSYLKWSA-N 0 3 313.416 4.188 20 0 DIADHN Cc1cc(CN[C@H](C)Cn2cccn2)ccc1-c1ccccc1 ZINC000428458604 338052839 /nfs/dbraw/zinc/05/28/39/338052839.db2.gz ODPTZXANFVULKX-QGZVFWFLSA-N 0 3 305.425 4.037 20 0 DIADHN CCOc1c(Cl)cc(CN2CC[C@H]3CCC[C@H]32)cc1OC ZINC000428458440 338052936 /nfs/dbraw/zinc/05/29/36/338052936.db2.gz NVJRHEUQYHHORD-UKRRQHHQSA-N 0 3 309.837 4.122 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCC[C@@H]32)c(-c2ccccc2Cl)n1 ZINC000428466094 338053767 /nfs/dbraw/zinc/05/37/67/338053767.db2.gz VYBMRUPHMQVWNO-DYVFJYSZSA-N 0 3 315.848 4.115 20 0 DIADHN CC(C)(CO)[C@@H](NCc1coc2ccccc12)c1ccccc1 ZINC000428474894 338054482 /nfs/dbraw/zinc/05/44/82/338054482.db2.gz UFUDUWCKFSIUHX-IBGZPJMESA-N 0 3 309.409 4.282 20 0 DIADHN CCc1nc(C)c(CN2CCC(c3c[nH]c4ccccc43)CC2)o1 ZINC000428486906 338054678 /nfs/dbraw/zinc/05/46/78/338054678.db2.gz PJMHNHHHCUUUON-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN CC(C)C1(C)CCN(Cc2cnc(-c3ccccn3)s2)CC1 ZINC000428485994 338054736 /nfs/dbraw/zinc/05/47/36/338054736.db2.gz WGYDQEJBHJKVDS-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN CC[C@]1(CO)CCCN(Cc2cc(-c3ccccc3)cs2)C1 ZINC000428489732 338054922 /nfs/dbraw/zinc/05/49/22/338054922.db2.gz WWMXADHBSOEDNG-IBGZPJMESA-N 0 3 315.482 4.400 20 0 DIADHN CCOC1(C)CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC000428725710 338059734 /nfs/dbraw/zinc/05/97/34/338059734.db2.gz PLKDQXCVADTUSR-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@]12CCN(CCOc3cccc4ccccc43)C[C@H]1C2(F)F ZINC000428734882 338059950 /nfs/dbraw/zinc/05/99/50/338059950.db2.gz UPJASFANUDOPIO-MSOLQXFVSA-N 0 3 317.379 4.196 20 0 DIADHN CCc1ccccc1CN1CCC(c2noc(C(C)C)n2)CC1 ZINC000428732058 338059965 /nfs/dbraw/zinc/05/99/65/338059965.db2.gz AFOSYFBKPFVLHQ-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN CCOC1(C)CCN(CCSc2ccc(C)c(C)c2)CC1 ZINC000428750649 338060401 /nfs/dbraw/zinc/06/04/01/338060401.db2.gz SVQHYTZMDYFXHN-UHFFFAOYSA-N 0 3 307.503 4.287 20 0 DIADHN Cc1nn(C)c2ncc(CN(C(C)C)[C@@H](C)c3ccccc3)cc12 ZINC000428849330 338062078 /nfs/dbraw/zinc/06/20/78/338062078.db2.gz KGFOODXNASPTGI-INIZCTEOSA-N 0 3 322.456 4.248 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1ccc(C(F)(F)F)s1 ZINC000428857083 338062634 /nfs/dbraw/zinc/06/26/34/338062634.db2.gz PUADXWRBKZNCFQ-CYBMUJFWSA-N 0 3 309.397 4.044 20 0 DIADHN Fc1ccc2nc(CNCCSc3ccccc3)sc2c1 ZINC000428859173 338062967 /nfs/dbraw/zinc/06/29/67/338062967.db2.gz YRQZLBKKUGAHOO-UHFFFAOYSA-N 0 3 318.442 4.317 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCc4ccccc4C3)sc2c1 ZINC000428862770 338063055 /nfs/dbraw/zinc/06/30/55/338063055.db2.gz OVMQDNYKTSSXHE-HNNXBMFYSA-N 0 3 312.413 4.083 20 0 DIADHN COc1cc(CNCc2ccc(C(F)(F)F)s2)ccc1C ZINC000428863759 338063059 /nfs/dbraw/zinc/06/30/59/338063059.db2.gz RRMCNGAFQSZKPM-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCc4ccccc4C3)sc2c1 ZINC000428862773 338063091 /nfs/dbraw/zinc/06/30/91/338063091.db2.gz OVMQDNYKTSSXHE-OAHLLOKOSA-N 0 3 312.413 4.083 20 0 DIADHN COCCC1(CNCc2nc3ccc(F)cc3s2)CCCC1 ZINC000428878469 338064085 /nfs/dbraw/zinc/06/40/85/338064085.db2.gz ZKMOHFBNXCZSAZ-UHFFFAOYSA-N 0 3 322.449 4.122 20 0 DIADHN FC(F)(F)c1ccc(CNCCCOc2ccccc2)s1 ZINC000428879058 338064331 /nfs/dbraw/zinc/06/43/31/338064331.db2.gz FASIWMFDJYLVQP-UHFFFAOYSA-N 0 3 315.360 4.326 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC000428965982 338067009 /nfs/dbraw/zinc/06/70/09/338067009.db2.gz UKLPAHXHGFLPFW-CQSZACIVSA-N 0 3 315.848 4.379 20 0 DIADHN CCc1nc(C)c(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)o1 ZINC000428965908 338067100 /nfs/dbraw/zinc/06/71/00/338067100.db2.gz UDGIALFIIHDZLV-TXEJJXNPSA-N 0 3 304.356 4.098 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@@H](C)c3cnc(C)cn3)C2)cc1 ZINC000428973289 338067252 /nfs/dbraw/zinc/06/72/52/338067252.db2.gz CQDIPRIIXJTPNC-QFBILLFUSA-N 0 3 309.457 4.288 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(C)[C@H]1[C@H]1CCCO1)c1ccccc1 ZINC000428997256 338068133 /nfs/dbraw/zinc/06/81/33/338068133.db2.gz SWMAPIRMGQUSPA-GUDVDZBRSA-N 0 3 317.473 4.042 20 0 DIADHN CC(C)CCCN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000429042340 338069723 /nfs/dbraw/zinc/06/97/23/338069723.db2.gz BLLXKIWOUHKJOZ-SFHVURJKSA-N 0 3 303.425 4.017 20 0 DIADHN C[C@@H](c1cccs1)N(C)CCC(=O)Nc1ccc(Cl)cc1 ZINC000429044421 338069799 /nfs/dbraw/zinc/06/97/99/338069799.db2.gz HSZMZLQEDGFDQU-LBPRGKRZSA-N 0 3 322.861 4.423 20 0 DIADHN O=C(CCN1CC[C@@H]1c1ccccc1)Nc1ccc(Cl)cc1 ZINC000429063610 338070225 /nfs/dbraw/zinc/07/02/25/338070225.db2.gz XZAXGFBCYPGNBK-QGZVFWFLSA-N 0 3 314.816 4.116 20 0 DIADHN C[C@@H]1CN(Cc2cccc3cccnc32)Cc2ccccc2O1 ZINC000429135494 338071563 /nfs/dbraw/zinc/07/15/63/338071563.db2.gz OAPTVSMXOCEUIA-OAHLLOKOSA-N 0 3 304.393 4.018 20 0 DIADHN CCc1cccc(CN[C@H](C)[C@@H]2OCCc3sccc32)c1 ZINC000429133407 338071609 /nfs/dbraw/zinc/07/16/09/338071609.db2.gz OLJRODSZDHPXBL-ACJLOTCBSA-N 0 3 301.455 4.103 20 0 DIADHN CC(C)c1cccc(NC(=O)CN(C2CCC2)C2CCC2)c1 ZINC000429138735 338071710 /nfs/dbraw/zinc/07/17/10/338071710.db2.gz WEIRPJAHWJTSKU-UHFFFAOYSA-N 0 3 300.446 4.156 20 0 DIADHN Clc1cccnc1C1CCN(CCc2ccccc2)CC1 ZINC000429210234 338073251 /nfs/dbraw/zinc/07/32/51/338073251.db2.gz HDAMGHDVHLZDOU-UHFFFAOYSA-N 0 3 300.833 4.157 20 0 DIADHN C[C@H](N[C@H]1Cc2cccc(O)c2C1)c1ccc(F)c(Cl)c1 ZINC000429232272 338074040 /nfs/dbraw/zinc/07/40/40/338074040.db2.gz ATKDAYAXUCMIML-GWCFXTLKSA-N 0 3 305.780 4.003 20 0 DIADHN C[C@@H](N[C@@H]1Cc2cccc(O)c2C1)c1ccc(F)c(Cl)c1 ZINC000429232278 338074128 /nfs/dbraw/zinc/07/41/28/338074128.db2.gz ATKDAYAXUCMIML-ZWNOBZJWSA-N 0 3 305.780 4.003 20 0 DIADHN CCOc1ccc(CN2CCC[C@H](CSC)C2)cc1OCC ZINC000429274510 338075642 /nfs/dbraw/zinc/07/56/42/338075642.db2.gz JGKISIMYXJBHEJ-INIZCTEOSA-N 0 3 323.502 4.059 20 0 DIADHN C[C@@H](NCCCOc1cccc(Cl)c1)c1cccc(O)c1 ZINC000429319617 338077560 /nfs/dbraw/zinc/07/75/60/338077560.db2.gz RXEOTBIQJKJDMA-CYBMUJFWSA-N 0 3 305.805 4.165 20 0 DIADHN CC(C)N(C(=O)C(C)C(F)(F)F)c1cnc2ccccc2c1 ZINC000448459831 338078161 /nfs/dbraw/zinc/07/81/61/338078161.db2.gz SAOYSGQLDOVWDZ-NSHDSACASA-N 0 3 310.319 4.175 20 0 DIADHN COC1(C[C@@H](C)NCc2ccccc2OC(F)(F)F)CCC1 ZINC000429384511 338079179 /nfs/dbraw/zinc/07/91/79/338079179.db2.gz WDWYKSVHYGGRRY-GFCCVEGCSA-N 0 3 317.351 4.023 20 0 DIADHN COC1(C[C@H](C)NCc2ccccc2OC(F)(F)F)CCC1 ZINC000429384513 338079262 /nfs/dbraw/zinc/07/92/62/338079262.db2.gz WDWYKSVHYGGRRY-LBPRGKRZSA-N 0 3 317.351 4.023 20 0 DIADHN COC1(C[C@@H](C)NCc2csc(-c3ccccc3)n2)CCC1 ZINC000429399245 338079591 /nfs/dbraw/zinc/07/95/91/338079591.db2.gz BYTKAQOLDDXSDB-CQSZACIVSA-N 0 3 316.470 4.247 20 0 DIADHN COc1cc(OC)c([C@@H](C)N[C@@H]2CC23CCCC3)cc1Cl ZINC000429419415 338080340 /nfs/dbraw/zinc/08/03/40/338080340.db2.gz QUNCOYSSVJBBLQ-BDJLRTHQSA-N 0 3 309.837 4.341 20 0 DIADHN C[C@H](N[C@@H]1CC12CCCC2)c1nc2ccc(Cl)cc2n1C ZINC000429423720 338080584 /nfs/dbraw/zinc/08/05/84/338080584.db2.gz YWNLCHQZLHCPMO-XHDPSFHLSA-N 0 3 303.837 4.210 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NC1CC(F)(F)C1)CCCO2 ZINC000429444081 338081172 /nfs/dbraw/zinc/08/11/72/338081172.db2.gz ATUOMAINYDCEKC-CYBMUJFWSA-N 0 3 301.764 4.249 20 0 DIADHN COC1(C[C@@H](C)NCc2nc3cc(Cl)ccc3s2)CCC1 ZINC000429456085 338081603 /nfs/dbraw/zinc/08/16/03/338081603.db2.gz RWVZDOUTTKACMH-LLVKDONJSA-N 0 3 324.877 4.387 20 0 DIADHN FC1(F)CC(N[C@@H]2CCCOc3cc4c(cc32)CCCC4)C1 ZINC000429472310 338082170 /nfs/dbraw/zinc/08/21/70/338082170.db2.gz SZBBBSMMDCJXMQ-MRXNPFEDSA-N 0 3 307.384 4.166 20 0 DIADHN CC[C@@H](NC1CC(F)(F)C1)c1ccc(Br)cc1 ZINC000429473336 338082206 /nfs/dbraw/zinc/08/22/06/338082206.db2.gz XGJHYUXLYMWKFS-GFCCVEGCSA-N 0 3 304.178 4.288 20 0 DIADHN COC1(C[C@H](C)N[C@@H](C)c2nc(C(C)(C)C)cs2)CCC1 ZINC000429526029 338082906 /nfs/dbraw/zinc/08/29/06/338082906.db2.gz HVMQVVUOWMSRQL-STQMWFEESA-N 0 3 310.507 4.439 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)Nc2cccc(Cl)c2Cl)C1 ZINC000429582963 338085331 /nfs/dbraw/zinc/08/53/31/338085331.db2.gz HUMHUORFVVSLBP-MNOVXSKESA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)N[C@H](c2cccs2)C(C)(C)C)C1 ZINC000429587853 338085382 /nfs/dbraw/zinc/08/53/82/338085382.db2.gz GSJWNBZEHLNWGU-JKIFEVAISA-N 0 3 322.518 4.072 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC(Oc3ccncc3C)CC2)c1 ZINC000429721723 338088469 /nfs/dbraw/zinc/08/84/69/338088469.db2.gz RXBPGYJVYYGIPJ-QGZVFWFLSA-N 0 3 310.441 4.303 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000429774774 338089497 /nfs/dbraw/zinc/08/94/97/338089497.db2.gz UVGXGPLFSDSOKO-XJKCOSOUSA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000429772778 338089565 /nfs/dbraw/zinc/08/95/65/338089565.db2.gz XZPZMNGELJXDFT-NHYWBVRUSA-N 0 3 306.356 4.288 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000429774775 338089582 /nfs/dbraw/zinc/08/95/82/338089582.db2.gz UVGXGPLFSDSOKO-ZENOOKHLSA-N 0 3 317.408 4.413 20 0 DIADHN CSC1(CNCc2cc(Cl)ccc2OCC2CC2)CC1 ZINC000430019580 338093678 /nfs/dbraw/zinc/09/36/78/338093678.db2.gz DZKRQAZENOOMAI-UHFFFAOYSA-N 0 3 311.878 4.114 20 0 DIADHN CC(C)N(Cc1cnc(N(C)C)s1)[C@H](C)c1ccccc1 ZINC000430084392 338095180 /nfs/dbraw/zinc/09/51/80/338095180.db2.gz KOBOPHYLPRGAIG-CQSZACIVSA-N 0 3 303.475 4.181 20 0 DIADHN CC(C)COc1cccc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)c1 ZINC000430086224 338095400 /nfs/dbraw/zinc/09/54/00/338095400.db2.gz AYFCQQLTTZAGLJ-SJORKVTESA-N 0 3 309.400 4.199 20 0 DIADHN CC(C)[C@H]1N(Cc2cn(C)nc2-c2cccs2)CC12CCC2 ZINC000430086746 338095544 /nfs/dbraw/zinc/09/55/44/338095544.db2.gz FRLMROSERVPRDN-QGZVFWFLSA-N 0 3 315.486 4.159 20 0 DIADHN C[C@]12CCN(Cc3cccc(OC4CCCC4)c3)C[C@H]1C2(F)F ZINC000430089198 338095726 /nfs/dbraw/zinc/09/57/26/338095726.db2.gz XTWPPNFZTBNBSS-MSOLQXFVSA-N 0 3 321.411 4.485 20 0 DIADHN C[C@@]12CCN(Cc3ccc4c(c3)CCC(C)(C)O4)C[C@@H]1C2(F)F ZINC000430088787 338095734 /nfs/dbraw/zinc/09/57/34/338095734.db2.gz WQMDEDAXPSVBCU-FUHWJXTLSA-N 0 3 321.411 4.267 20 0 DIADHN C[C@@]12CCN(Cc3cccc(OC(F)(F)F)c3)C[C@@H]1C2(F)F ZINC000430093414 338095914 /nfs/dbraw/zinc/09/59/14/338095914.db2.gz GVEINSFREFQFIK-QWHCGFSZSA-N 0 3 321.289 4.062 20 0 DIADHN C[C@]12CCN(Cc3cccc(OC(F)(F)F)c3)C[C@H]1C2(F)F ZINC000430093413 338096025 /nfs/dbraw/zinc/09/60/25/338096025.db2.gz GVEINSFREFQFIK-OLZOCXBDSA-N 0 3 321.289 4.062 20 0 DIADHN COCc1ccc(CN2CCC(c3ccc(F)cc3C)CC2)o1 ZINC000430101389 338096502 /nfs/dbraw/zinc/09/65/02/338096502.db2.gz CFNZUBDZGKHQFK-UHFFFAOYSA-N 0 3 317.404 4.253 20 0 DIADHN CSC[C@H]1CCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000430185532 338100048 /nfs/dbraw/zinc/10/00/48/338100048.db2.gz FAFNOPXWYBGLAZ-ZDUSSCGKSA-N 0 3 310.532 4.376 20 0 DIADHN CC[C@@H]1CCN1Cc1ccc(OCc2csc(C)n2)cc1 ZINC000430184413 338100111 /nfs/dbraw/zinc/10/01/11/338100111.db2.gz AHYXGGMNUSUYQG-MRXNPFEDSA-N 0 3 302.443 4.015 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cnc(-c3ccc(C)o3)s2)C1 ZINC000430192327 338100372 /nfs/dbraw/zinc/10/03/72/338100372.db2.gz BJVMOLVLOJDWAX-CYBMUJFWSA-N 0 3 322.499 4.287 20 0 DIADHN CSC[C@H]1CCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000430192572 338100498 /nfs/dbraw/zinc/10/04/98/338100498.db2.gz OYLUJCFKTDNFHU-LBPRGKRZSA-N 0 3 324.540 4.447 20 0 DIADHN CCCOc1ccc(CN2CCC[C@H](CSC)C2)cc1OC ZINC000430197235 338100884 /nfs/dbraw/zinc/10/08/84/338100884.db2.gz OGFRHWCXJSYANS-INIZCTEOSA-N 0 3 323.502 4.059 20 0 DIADHN CC[C@H]1CCN1Cc1cc2ccccc2nc1N1CCCCC1 ZINC000430205774 338101287 /nfs/dbraw/zinc/10/12/87/338101287.db2.gz NCBNOHFFWPHUFS-SFHVURJKSA-N 0 3 309.457 4.209 20 0 DIADHN CC1=C[C@H](C)CN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000430268879 338103840 /nfs/dbraw/zinc/10/38/40/338103840.db2.gz ORGBZKWYKSZADM-INIZCTEOSA-N 0 3 320.436 4.337 20 0 DIADHN FC(F)(F)C1CCN(CCSCc2ccccc2)CC1 ZINC000430725345 338112422 /nfs/dbraw/zinc/11/24/22/338112422.db2.gz QPRMBHVIFGDUTJ-UHFFFAOYSA-N 0 3 303.393 4.194 20 0 DIADHN CCCCOC1CCN(CCSCc2ccccc2)CC1 ZINC000430748393 338113423 /nfs/dbraw/zinc/11/34/23/338113423.db2.gz DICLDYRAZKFBFH-UHFFFAOYSA-N 0 3 307.503 4.201 20 0 DIADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000430754125 338113856 /nfs/dbraw/zinc/11/38/56/338113856.db2.gz MONKQRNQEFVMNA-QWRGUYRKSA-N 0 3 300.418 4.108 20 0 DIADHN COC[C@H](NCCSCc1ccccc1)c1ccc(F)cc1 ZINC000430757446 338113895 /nfs/dbraw/zinc/11/38/95/338113895.db2.gz GGDWLJKEOMMCHT-SFHVURJKSA-N 0 3 319.445 4.036 20 0 DIADHN COCC[C@H](NCCSCc1ccccc1)c1ccc(C)o1 ZINC000430772673 338114409 /nfs/dbraw/zinc/11/44/09/338114409.db2.gz CSDKPSKCWBKGJT-KRWDZBQOSA-N 0 3 319.470 4.189 20 0 DIADHN Fc1cccc(CNCCCC(F)(F)F)c1Br ZINC000149076959 338114651 /nfs/dbraw/zinc/11/46/51/338114651.db2.gz IKAPDIKKVYMBOF-UHFFFAOYSA-N 0 3 314.120 4.020 20 0 DIADHN C[C@@H](NCCc1ccco1)c1cc(Br)ccc1F ZINC000149334897 338114838 /nfs/dbraw/zinc/11/48/38/338114838.db2.gz SSKLQVSRCVGGGW-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCS2)c2ccccc2)cc1 ZINC000149491186 338115101 /nfs/dbraw/zinc/11/51/01/338115101.db2.gz PBALTEFXXPMZDI-QZTJIDSGSA-N 0 3 301.430 4.400 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@H]1C[C@@H]1c1ccccc1 ZINC000430867368 338117532 /nfs/dbraw/zinc/11/75/32/338117532.db2.gz KABYHVDMYDMBSQ-QRVBRYPASA-N 0 3 309.457 4.234 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccccc2OCc2cccnc2)C1 ZINC000430879241 338117839 /nfs/dbraw/zinc/11/78/39/338117839.db2.gz RVMDDFKNXINEQH-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN CN(C)[C@H](CNCc1ccc(C(C)(C)C)s1)c1ccco1 ZINC000149700352 338119814 /nfs/dbraw/zinc/11/98/14/338119814.db2.gz OVIDGKKQLPBWDP-CQSZACIVSA-N 0 3 306.475 4.031 20 0 DIADHN Fc1cccc(CN[C@H]2CCCOc3cc4c(cc32)CCC4)c1 ZINC000431323665 338127950 /nfs/dbraw/zinc/12/79/50/338127950.db2.gz PBDGXWJDPZWSCL-IBGZPJMESA-N 0 3 311.400 4.318 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000431506188 338132722 /nfs/dbraw/zinc/13/27/22/338132722.db2.gz GKTAIYTVDUNPBH-QGZVFWFLSA-N 0 3 316.832 4.280 20 0 DIADHN CCc1ccc([C@H](C)NC(=O)C[C@H](c2ccccc2)N(C)C)cc1 ZINC000431660103 338135842 /nfs/dbraw/zinc/13/58/42/338135842.db2.gz LZEVHPSALIYLCL-OXJNMPFZSA-N 0 3 324.468 4.119 20 0 DIADHN CC[C@@H](NC(=O)C[C@H](c1ccccc1)N(C)C)c1cccs1 ZINC000431752664 338138040 /nfs/dbraw/zinc/13/80/40/338138040.db2.gz MLNSDDISKGEVAR-HZPDHXFCSA-N 0 3 316.470 4.008 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1[C@H](c2ccccc2)[C@@H]1C ZINC000432120299 338146202 /nfs/dbraw/zinc/14/62/02/338146202.db2.gz OSDYRCPEVPXFHJ-RYGJVYDSSA-N 0 3 322.452 4.045 20 0 DIADHN CCOC1CC(CCNCc2ccc(F)c(Cl)c2Cl)C1 ZINC000432235813 338149626 /nfs/dbraw/zinc/14/96/26/338149626.db2.gz MQFSAUGKMIZTGQ-UHFFFAOYSA-N 0 3 320.235 4.427 20 0 DIADHN CC1(C)[C@H](NCc2ccc(F)c(Cl)c2Cl)[C@H]2CCO[C@@H]21 ZINC000432243088 338150208 /nfs/dbraw/zinc/15/02/08/338150208.db2.gz RSTJEIUSDMHPNS-FZQKWOKYSA-N 0 3 318.219 4.036 20 0 DIADHN CC1(C)[C@H](NCc2ccc(F)c(Cl)c2Cl)[C@@H]2CCO[C@@H]21 ZINC000432243089 338150248 /nfs/dbraw/zinc/15/02/48/338150248.db2.gz RSTJEIUSDMHPNS-FZZIBODNSA-N 0 3 318.219 4.036 20 0 DIADHN C[C@@]1(CNCc2csc(Cl)c2Cl)CCO[C@@H]1C1CC1 ZINC000432248477 338150540 /nfs/dbraw/zinc/15/05/40/338150540.db2.gz WRBNXURPCYQZSI-OCCSQVGLSA-N 0 3 320.285 4.350 20 0 DIADHN Cc1nc(C)c(CCNCc2csc(Cl)c2Cl)s1 ZINC000432247474 338150651 /nfs/dbraw/zinc/15/06/51/338150651.db2.gz SYGWWLMPEUGIND-UHFFFAOYSA-N 0 3 321.298 4.461 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1csc(Cl)c1Cl ZINC000432250919 338150978 /nfs/dbraw/zinc/15/09/78/338150978.db2.gz OLKGEPRKPKODAK-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN CC(C)C[C@H](CCO)CNCc1ccc(F)c(Cl)c1Cl ZINC000432250894 338150971 /nfs/dbraw/zinc/15/09/71/338150971.db2.gz ODWFPZYZVIEHDU-NSHDSACASA-N 0 3 322.251 4.267 20 0 DIADHN CC[C@@H](C[C@@H](C)CO)NCc1ccc(F)c(Cl)c1Cl ZINC000432251384 338151040 /nfs/dbraw/zinc/15/10/40/338151040.db2.gz SIEQDDQGFLSFHL-KOLCDFICSA-N 0 3 308.224 4.019 20 0 DIADHN COC1CCC(CNCc2csc(Cl)c2Cl)CC1 ZINC000432251967 338151115 /nfs/dbraw/zinc/15/11/15/338151115.db2.gz WFKVRGNUQSMJRY-UHFFFAOYSA-N 0 3 308.274 4.350 20 0 DIADHN Cc1nc(CCNCc2ccc(F)c(Cl)c2Cl)c(C)o1 ZINC000432253056 338151251 /nfs/dbraw/zinc/15/12/51/338151251.db2.gz IPMFRIMJCWAJTO-UHFFFAOYSA-N 0 3 317.191 4.070 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2csc(Cl)c2Cl)CCO1 ZINC000432253763 338151320 /nfs/dbraw/zinc/15/13/20/338151320.db2.gz LGPBPUPFDSEUPF-UWVGGRQHSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@@H](CNCc1csc(Cl)c1Cl)c1nccs1 ZINC000432258112 338151509 /nfs/dbraw/zinc/15/15/09/338151509.db2.gz MKHNGOXSVHVDEF-ZETCQYMHSA-N 0 3 307.271 4.405 20 0 DIADHN CC(C)[C@H](O)C1(CNCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000432257691 338151549 /nfs/dbraw/zinc/15/15/49/338151549.db2.gz HWSGGBNOCGHSAQ-AWEZNQCLSA-N 0 3 320.235 4.019 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432258194 338151617 /nfs/dbraw/zinc/15/16/17/338151617.db2.gz YHZJIRPTQDTELQ-WDEREUQCSA-N 0 3 320.235 4.427 20 0 DIADHN CCC(O)(CC)CCNCc1ccc(F)c(Cl)c1Cl ZINC000432259304 338151715 /nfs/dbraw/zinc/15/17/15/338151715.db2.gz OIMWRRNIFOYEEP-UHFFFAOYSA-N 0 3 308.224 4.163 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@H]1CCCOC1 ZINC000432260472 338151738 /nfs/dbraw/zinc/15/17/38/338151738.db2.gz XSDANHYBNFSCLT-KOLCDFICSA-N 0 3 306.208 4.037 20 0 DIADHN Fc1ccc2nc(CN3CC[C@H](c4ccccc4)C3)sc2c1 ZINC000432742699 338165595 /nfs/dbraw/zinc/16/55/95/338165595.db2.gz PPMJDLJLSKQKQK-AWEZNQCLSA-N 0 3 312.413 4.425 20 0 DIADHN COc1cccc(CN2CC3(CCC3)C[C@H]2C)c1OC(C)C ZINC000432789905 338167736 /nfs/dbraw/zinc/16/77/36/338167736.db2.gz UZPIWDQVTREUJD-OAHLLOKOSA-N 0 3 303.446 4.247 20 0 DIADHN FC(F)(F)CCN(CCC1CCOCC1)Cc1ccccc1 ZINC000432791126 338167788 /nfs/dbraw/zinc/16/77/88/338167788.db2.gz FLAZGNJIELWJMJ-UHFFFAOYSA-N 0 3 315.379 4.258 20 0 DIADHN CC(C)[C@H](O)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000432793614 338168014 /nfs/dbraw/zinc/16/80/14/338168014.db2.gz POJXUTWOHLNLLK-HZPDHXFCSA-N 0 3 315.379 4.249 20 0 DIADHN CN(Cc1cn2c(n1)CCCC2)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000432793780 338168074 /nfs/dbraw/zinc/16/80/74/338168074.db2.gz RUDSLLMGWXLXAW-TZIWHRDSSA-N 0 3 323.484 4.162 20 0 DIADHN CC(C)[C@@H](O)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000432793613 338168139 /nfs/dbraw/zinc/16/81/39/338168139.db2.gz POJXUTWOHLNLLK-HOTGVXAUSA-N 0 3 315.379 4.249 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C[C@H](O)C(C)C ZINC000432837311 338170313 /nfs/dbraw/zinc/17/03/13/338170313.db2.gz ZPOPTRGCOYCJNE-HOCLYGCPSA-N 0 3 318.486 4.128 20 0 DIADHN Cc1cnc(C2CCN(c3ccnc4ccccc43)CC2)s1 ZINC000432866580 338171404 /nfs/dbraw/zinc/17/14/04/338171404.db2.gz SGZXWXSFXPLPCR-UHFFFAOYSA-N 0 3 309.438 4.384 20 0 DIADHN Cc1ccc(C)c(CN(C)Cc2cc(-n3ccnc3)cs2)c1 ZINC000432909520 338172562 /nfs/dbraw/zinc/17/25/62/338172562.db2.gz HISFCSOZGVDBMA-UHFFFAOYSA-N 0 3 311.454 4.183 20 0 DIADHN CCn1nccc1C1CCN(c2ccnc3ccc(C)cc32)CC1 ZINC000432917923 338173024 /nfs/dbraw/zinc/17/30/24/338173024.db2.gz MKVPMPJUASPKAW-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN CN(CCSCc1ncc(C(C)(C)C)o1)Cc1ccccc1 ZINC000433023864 338176834 /nfs/dbraw/zinc/17/68/34/338176834.db2.gz ALBHYCMKSKFNPS-UHFFFAOYSA-N 0 3 318.486 4.337 20 0 DIADHN COc1ccc(CN2CC3(CCC3)C[C@@H]2C)cc1OC(F)F ZINC000433024577 338176962 /nfs/dbraw/zinc/17/69/62/338176962.db2.gz DOHJKKHGGULNNI-LBPRGKRZSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1nn2c(CN(C)C3CCC(C(C)C)CC3)c(C)nc2s1 ZINC000433026292 338177081 /nfs/dbraw/zinc/17/70/81/338177081.db2.gz DNZVLQAKGIYYTK-UHFFFAOYSA-N 0 3 320.506 4.054 20 0 DIADHN Cc1cnc(C2CCN(C/C=C\c3ccc(F)cc3)CC2)s1 ZINC000433028616 338177139 /nfs/dbraw/zinc/17/71/39/338177139.db2.gz CGJICZHEPZTXCI-IHWYPQMZSA-N 0 3 316.445 4.483 20 0 DIADHN Cc1cnc(C2CCN(CCc3ccccc3F)CC2)s1 ZINC000433030020 338177399 /nfs/dbraw/zinc/17/73/99/338177399.db2.gz DFFRWLWYDRMMPM-UHFFFAOYSA-N 0 3 304.434 4.013 20 0 DIADHN CN(CCSCc1csc(C2CC2)n1)Cc1ccccc1 ZINC000433038144 338177825 /nfs/dbraw/zinc/17/78/25/338177825.db2.gz IHWMNRCMZBCTAP-UHFFFAOYSA-N 0 3 318.511 4.386 20 0 DIADHN COc1sc(CN(C)[C@H]2CC[C@@H](C)C2)cc1Br ZINC000433040902 338178012 /nfs/dbraw/zinc/17/80/12/338178012.db2.gz BAOVCVCONPBOFJ-ZJUUUORDSA-N 0 3 318.280 4.140 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN(C)[C@H]1CC[C@H](C)C1 ZINC000433041964 338178018 /nfs/dbraw/zinc/17/80/18/338178018.db2.gz DXGDIEYRRXZDAQ-KXBFYZLASA-N 0 3 311.473 4.169 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CC4CCC3CC4)co2)cc1F ZINC000433056964 338179110 /nfs/dbraw/zinc/17/91/10/338179110.db2.gz FOSMTYRYXWQYPJ-VCMHEYGDSA-N 0 3 318.367 4.288 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3ccncc3Cl)C2)cc1 ZINC000433061592 338179390 /nfs/dbraw/zinc/17/93/90/338179390.db2.gz LEYWRPDQCVHAKE-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN CC1(C)CC(N[C@H](Cc2ccccc2)C(=O)Nc2ccccc2)C1 ZINC000433063980 338179675 /nfs/dbraw/zinc/17/96/75/338179675.db2.gz VKCNXURCAOPJPT-LJQANCHMSA-N 0 3 322.452 4.015 20 0 DIADHN CSC1(CN[C@@H]2CCc3cc(Cl)cc(Cl)c32)CC1 ZINC000433072967 338180295 /nfs/dbraw/zinc/18/02/95/338180295.db2.gz DSEIWQDKCNNNIB-GFCCVEGCSA-N 0 3 302.270 4.466 20 0 DIADHN CCc1ccc([C@H](C)NCC2(CO)CC(c3ccccc3)C2)o1 ZINC000433073113 338180322 /nfs/dbraw/zinc/18/03/22/338180322.db2.gz FKLKNVIEHRRNHQ-FVWXCPNNSA-N 0 3 313.441 4.049 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2cccn(CC)c2=O)cc1 ZINC000433074649 338180413 /nfs/dbraw/zinc/18/04/13/338180413.db2.gz WKJWDNFEPQWMCM-INIZCTEOSA-N 0 3 312.457 4.062 20 0 DIADHN C[C@@H](O)CCCN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000433076621 338180635 /nfs/dbraw/zinc/18/06/35/338180635.db2.gz KZODIXHCABVXBG-FZKQIMNGSA-N 0 3 321.823 4.319 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N[C@@H]2CC3CCC2CC3)n1 ZINC000433084975 338180977 /nfs/dbraw/zinc/18/09/77/338180977.db2.gz UUKQXIGZANKDJR-PBMMVSLJSA-N 0 3 311.429 4.274 20 0 DIADHN C[C@@H](NCC1(CO)CC(c2ccccc2)C1)c1ccccc1F ZINC000433092325 338181324 /nfs/dbraw/zinc/18/13/24/338181324.db2.gz QITODDMKMZXIND-XRTORIHISA-N 0 3 313.416 4.033 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1cc(F)c(Cl)cc1F ZINC000433094061 338181457 /nfs/dbraw/zinc/18/14/57/338181457.db2.gz TUVPGARVGNCKOQ-ZETCQYMHSA-N 0 3 301.686 4.439 20 0 DIADHN CCn1cccc(CN[C@@H](C)c2ccccc2C(C)(C)C)c1=O ZINC000433097971 338181573 /nfs/dbraw/zinc/18/15/73/338181573.db2.gz QQYTXZNALARBFO-HNNXBMFYSA-N 0 3 312.457 4.017 20 0 DIADHN CCC[C@@H](NCC1(CO)CC(c2ccccc2)C1)c1ccccn1 ZINC000433097960 338181644 /nfs/dbraw/zinc/18/16/44/338181644.db2.gz QPIXNTWTUDVMFX-OBXNSHGQSA-N 0 3 324.468 4.069 20 0 DIADHN CCn1cccc(CN[C@H](c2ccc(C)cc2)C2CCCC2)c1=O ZINC000433100795 338181726 /nfs/dbraw/zinc/18/17/26/338181726.db2.gz NHHUQHAYFUNXTI-FQEVSTJZSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1cccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)c1C ZINC000433110541 338182072 /nfs/dbraw/zinc/18/20/72/338182072.db2.gz BBAROQVRPVKBAQ-SFHVURJKSA-N 0 3 300.446 4.039 20 0 DIADHN CSC1(CNCc2ccc(-c3c(F)cccc3F)o2)CC1 ZINC000433179778 338184366 /nfs/dbraw/zinc/18/43/66/338184366.db2.gz XAZZTALOHVGSGM-UHFFFAOYSA-N 0 3 309.381 4.210 20 0 DIADHN CSC1(CNCc2c(OC(F)F)ccc3ccccc32)CC1 ZINC000433180386 338184486 /nfs/dbraw/zinc/18/44/86/338184486.db2.gz JGIQROTZXYHOFH-UHFFFAOYSA-N 0 3 323.408 4.426 20 0 DIADHN Clc1ccsc1CNC[C@H]1CCCN(c2ccccc2)C1 ZINC000433188196 338184996 /nfs/dbraw/zinc/18/49/96/338184996.db2.gz BAPPWFVFBGFPEB-CQSZACIVSA-N 0 3 320.889 4.408 20 0 DIADHN CSC1(CNCc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000433198071 338185327 /nfs/dbraw/zinc/18/53/27/338185327.db2.gz DFCHSSRPOHULTP-UHFFFAOYSA-N 0 3 305.418 4.379 20 0 DIADHN CC(C)[C@@H](O)CN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000433202088 338185514 /nfs/dbraw/zinc/18/55/14/338185514.db2.gz ZBJAKUNRXMLCTK-DZGCQCFKSA-N 0 3 302.245 4.051 20 0 DIADHN CC(C)[C@@H](O)CN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000433202091 338185623 /nfs/dbraw/zinc/18/56/23/338185623.db2.gz ZBJAKUNRXMLCTK-ZFWWWQNUSA-N 0 3 302.245 4.051 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3sccc3Cl)cccc21 ZINC000433208984 338186105 /nfs/dbraw/zinc/18/61/05/338186105.db2.gz VZYFEDXFZGHWMA-CQSZACIVSA-N 0 3 322.861 4.399 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000433224965 338186560 /nfs/dbraw/zinc/18/65/60/338186560.db2.gz FFWIRNBAWDLMOV-QGZVFWFLSA-N 0 3 316.832 4.280 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H]1CCCCN1C1CCCC1 ZINC000433228129 338186687 /nfs/dbraw/zinc/18/66/87/338186687.db2.gz VMKFFRVMCCWPDY-KRWDZBQOSA-N 0 3 320.864 4.384 20 0 DIADHN Cc1cnc(C2CCN(CCO[C@H]3CCCC[C@@H]3C)CC2)s1 ZINC000433275999 338188962 /nfs/dbraw/zinc/18/89/62/338188962.db2.gz RYLAVGJFBCYFKK-YOEHRIQHSA-N 0 3 322.518 4.226 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433327582 338192606 /nfs/dbraw/zinc/19/26/06/338192606.db2.gz AMZHOCIHTHCSHA-VLIAUNLRSA-N 0 3 321.424 4.473 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CC[C@H]3CCC[C@H]3C2)s1 ZINC000433341638 338193474 /nfs/dbraw/zinc/19/34/74/338193474.db2.gz SWLXHQHPRYVIJO-OUAUKWLOSA-N 0 3 304.381 4.220 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NC(=O)C[C@@H](c2ccccc2)N(C)C)c1 ZINC000433348839 338193567 /nfs/dbraw/zinc/19/35/67/338193567.db2.gz BDLLXEFZNZFVOA-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NC(=O)C[C@H](c2ccccc2)N(C)C)c1 ZINC000433348841 338193583 /nfs/dbraw/zinc/19/35/83/338193583.db2.gz BDLLXEFZNZFVOA-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN CN(C)[C@@H](CC(=O)N(CC1CC1)c1ccccc1)c1ccccc1 ZINC000433385376 338194395 /nfs/dbraw/zinc/19/43/95/338194395.db2.gz MIAHAIUCYXHMJK-FQEVSTJZSA-N 0 3 322.452 4.123 20 0 DIADHN CN(C)[C@H](CNC(=O)c1ccccc1C(C)(C)C)c1ccccc1 ZINC000433703332 338199773 /nfs/dbraw/zinc/19/97/73/338199773.db2.gz PKWVAMONPZTWBD-LJQANCHMSA-N 0 3 324.468 4.017 20 0 DIADHN CN(CCCCO)c1ccnc2ccc(Oc3ccccc3)cc21 ZINC000433793496 338202126 /nfs/dbraw/zinc/20/21/26/338202126.db2.gz NWZNIHUZOKNBPV-UHFFFAOYSA-N 0 3 322.408 4.236 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(F)c(OC)c2)cc1F ZINC000152658728 338209606 /nfs/dbraw/zinc/20/96/06/338209606.db2.gz RYQOZTVDSOEASO-VXGBXAGGSA-N 0 3 321.367 4.394 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(F)c(OC)c2)c1 ZINC000153075483 338215959 /nfs/dbraw/zinc/21/59/59/338215959.db2.gz HRGSMBCDSNEBJW-QWHCGFSZSA-N 0 3 303.377 4.255 20 0 DIADHN CC(C)n1ncnc1CN1C[C@H](c2ccccc2)[C@@H]2CCCC[C@@H]21 ZINC000434478496 338217352 /nfs/dbraw/zinc/21/73/52/338217352.db2.gz GKZGECPKHIQWFJ-OTWHNJEPSA-N 0 3 324.472 4.017 20 0 DIADHN CCc1noc(C)c1CN1CCC(F)(c2ccccc2)CC1 ZINC000434498029 338218435 /nfs/dbraw/zinc/21/84/35/338218435.db2.gz QXFLMGZJANHLFJ-UHFFFAOYSA-N 0 3 302.393 4.006 20 0 DIADHN Cc1ncsc1CN(C)CCc1cccc(Cl)c1Cl ZINC000434546725 338220056 /nfs/dbraw/zinc/22/00/56/338220056.db2.gz JISHUNYFCWHOSY-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(SC)cc2)CC1 ZINC000434750703 338224780 /nfs/dbraw/zinc/22/47/80/338224780.db2.gz YFKVBOVASMJADB-UHFFFAOYSA-N 0 3 315.486 4.005 20 0 DIADHN CCn1nccc1C1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC000434789712 338225661 /nfs/dbraw/zinc/22/56/61/338225661.db2.gz NMKHRUJYQDMLFY-AWEZNQCLSA-N 0 3 317.864 4.497 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000434793592 338225771 /nfs/dbraw/zinc/22/57/71/338225771.db2.gz KYRNKIJZXJLAMY-FTRWYGJKSA-N 0 3 311.425 4.094 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCSC(C)(C)C1 ZINC000434899738 338227268 /nfs/dbraw/zinc/22/72/68/338227268.db2.gz JUJWBEWRDXNRAJ-NSHDSACASA-N 0 3 301.402 4.176 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1nc(-c2ccccc2)no1 ZINC000434900214 338227343 /nfs/dbraw/zinc/22/73/43/338227343.db2.gz CKXJRDQSYWEDEE-YJBOKZPZSA-N 0 3 319.408 4.115 20 0 DIADHN Fc1ccc(CN(Cc2c[nH]nc2-c2ccccc2)C2CC2)cc1 ZINC000434981038 338228543 /nfs/dbraw/zinc/22/85/43/338228543.db2.gz HWGRLFKFZSVKFF-UHFFFAOYSA-N 0 3 321.399 4.380 20 0 DIADHN C[C@H](CNc1cccc2c1OCC[C@H]2N(C)C)c1ccccc1 ZINC000435023727 338229737 /nfs/dbraw/zinc/22/97/37/338229737.db2.gz NDQZMIKFLHJMFL-DNVCBOLYSA-N 0 3 310.441 4.287 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCSC(C)(C)CC3)s2)o1 ZINC000435045916 338230765 /nfs/dbraw/zinc/23/07/65/338230765.db2.gz MOPUIOIRHZGLDO-UHFFFAOYSA-N 0 3 322.499 4.429 20 0 DIADHN Cc1ccn(C2CCN(Cc3cc4cc(C)c(C)cc4o3)CC2)n1 ZINC000435054923 338231091 /nfs/dbraw/zinc/23/10/91/338231091.db2.gz GLPWNNJBBRLNDK-UHFFFAOYSA-N 0 3 323.440 4.392 20 0 DIADHN Cc1ccn(C2CCN(Cc3ccc(-c4ccccc4)o3)CC2)n1 ZINC000435058061 338231279 /nfs/dbraw/zinc/23/12/79/338231279.db2.gz SCSUQWWZXODSLP-UHFFFAOYSA-N 0 3 321.424 4.289 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCCOC(C)C)CC1 ZINC000435057694 338231328 /nfs/dbraw/zinc/23/13/28/338231328.db2.gz KQGOLEQRHFZFNV-UHFFFAOYSA-N 0 3 312.457 4.381 20 0 DIADHN CCN(Cc1ccc(-c2cc[nH]n2)o1)[C@H](C)c1ccc(F)cc1 ZINC000435124541 338232536 /nfs/dbraw/zinc/23/25/36/338232536.db2.gz QJBIGZKITHIQKC-CYBMUJFWSA-N 0 3 313.376 4.392 20 0 DIADHN Cc1ccc(CN(Cc2ccc(-c3cc[nH]n3)o2)C(C)C)s1 ZINC000435134407 338232952 /nfs/dbraw/zinc/23/29/52/338232952.db2.gz XILROUVLGMJFRZ-UHFFFAOYSA-N 0 3 315.442 4.450 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)s1 ZINC000435134654 338233032 /nfs/dbraw/zinc/23/30/32/338233032.db2.gz JJBJNEFWFDUFQC-HNNXBMFYSA-N 0 3 313.426 4.377 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000435248399 338235168 /nfs/dbraw/zinc/23/51/68/338235168.db2.gz GKLXWWTVOXTGIM-CYBMUJFWSA-N 0 3 321.873 4.273 20 0 DIADHN CSc1ccc(CCNCc2cnc(C(C)C)s2)cc1 ZINC000435746354 338247705 /nfs/dbraw/zinc/24/77/05/338247705.db2.gz WLSCYKGKVIRESZ-UHFFFAOYSA-N 0 3 306.500 4.321 20 0 DIADHN Brc1ccc(CN[C@H]2C[C@H](c3ccccc3)C2)o1 ZINC000435780394 338248842 /nfs/dbraw/zinc/24/88/42/338248842.db2.gz VXFQNJBBYBPWDI-JOCQHMNTSA-N 0 3 306.203 4.078 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435786168 338249150 /nfs/dbraw/zinc/24/91/50/338249150.db2.gz GROFQTODWJWRFG-IYARVYRRSA-N 0 3 324.443 4.462 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2cc(-c3ccccc3)n[nH]2)C1 ZINC000435787112 338249156 /nfs/dbraw/zinc/24/91/56/338249156.db2.gz LAWRWZNEIFCWIK-WKILWMFISA-N 0 3 321.399 4.252 20 0 DIADHN CCN(CC)c1ncc(CN[C@H]2C[C@H](c3ccccc3)C2)s1 ZINC000435787404 338249186 /nfs/dbraw/zinc/24/91/86/338249186.db2.gz MYTGQUWZYORLLJ-WKILWMFISA-N 0 3 315.486 4.025 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@@H]1C[C@@H](c2ccccc2)C1 ZINC000435785926 338249262 /nfs/dbraw/zinc/24/92/62/338249262.db2.gz FEFIHBRGXHFCJW-QAQDUYKDSA-N 0 3 321.449 4.241 20 0 DIADHN C[C@H](CNCc1ccc(N(C)c2ccccc2)nc1)C(F)(F)F ZINC000435791394 338249433 /nfs/dbraw/zinc/24/94/33/338249433.db2.gz PCYWFPZLXXKHOA-CYBMUJFWSA-N 0 3 323.362 4.138 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000436227814 338262377 /nfs/dbraw/zinc/26/23/77/338262377.db2.gz NCHLPGZJIJIWSH-GOSISDBHSA-N 0 3 324.399 4.483 20 0 DIADHN Fc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)cc21 ZINC000436250197 338263459 /nfs/dbraw/zinc/26/34/59/338263459.db2.gz UQQIIRBJDNMQNH-PMACEKPBSA-N 0 3 324.399 4.317 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H]1CC[C@H](Cc2ccccc2)C1 ZINC000436252621 338263763 /nfs/dbraw/zinc/26/37/63/338263763.db2.gz JFZBZTGGUNUPJV-RTBURBONSA-N 0 3 311.473 4.040 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNC[C@@H]2CCCCO2)c1 ZINC000436279255 338265277 /nfs/dbraw/zinc/26/52/77/338265277.db2.gz IQJHECQTSLSVIN-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000436282552 338265564 /nfs/dbraw/zinc/26/55/64/338265564.db2.gz RNKHYPYTYJVHBR-IBGZPJMESA-N 0 3 324.399 4.483 20 0 DIADHN c1ccc([C@H](N[C@@H]2C[C@@H](c3ccccc3)C2)c2cncnc2)cc1 ZINC000436289463 338266526 /nfs/dbraw/zinc/26/65/26/338266526.db2.gz WYMPWSPJILNBMQ-JBACZVJFSA-N 0 3 315.420 4.102 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@@H](C)c2cc3ccc(C)cc3o2)C1 ZINC000436293262 338266675 /nfs/dbraw/zinc/26/66/75/338266675.db2.gz CNKXIQPSLKHJAU-IMJJTQAJSA-N 0 3 315.413 4.124 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNC[C@@H]2CCCS2)c1 ZINC000436302835 338267680 /nfs/dbraw/zinc/26/76/80/338267680.db2.gz AWUNWOUTGIXPAO-LBPRGKRZSA-N 0 3 309.784 4.344 20 0 DIADHN COCc1cnc(CN[C@@H]2CCC[C@@H](C(C)(C)C)CC2)s1 ZINC000436401574 338270841 /nfs/dbraw/zinc/27/08/41/338270841.db2.gz WEMBVCNKZSFVKY-ZIAGYGMSSA-N 0 3 310.507 4.374 20 0 DIADHN C[C@H](NCc1cc(Cl)cc(C(F)(F)F)c1)[C@@H]1CCCCO1 ZINC000436403404 338270969 /nfs/dbraw/zinc/27/09/69/338270969.db2.gz ANVYZIUIYFWJCY-HZMBPMFUSA-N 0 3 321.770 4.406 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CN[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000436412654 338271663 /nfs/dbraw/zinc/27/16/63/338271663.db2.gz OCZILOYHGBGBOI-ZIAGYGMSSA-N 0 3 319.754 4.016 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000436415431 338271826 /nfs/dbraw/zinc/27/18/26/338271826.db2.gz KWXOGHSVFRPIOP-MAUKXSAKSA-N 0 3 322.452 4.480 20 0 DIADHN CCOC(C)(C)CNCc1ccccc1OCc1ccccc1 ZINC000436418712 338272057 /nfs/dbraw/zinc/27/20/57/338272057.db2.gz WOLPTQLLVLAABV-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN Fc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@@H]3CCOC3)cc21 ZINC000436419331 338272115 /nfs/dbraw/zinc/27/21/15/338272115.db2.gz RBHKIRCHWYMRSL-FOIQADDNSA-N 0 3 324.399 4.174 20 0 DIADHN Cc1cn[nH]c1CN(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000436651531 338281550 /nfs/dbraw/zinc/28/15/50/338281550.db2.gz GFAVQETWKADNJO-QGZVFWFLSA-N 0 3 305.425 4.482 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1F ZINC000437087053 338300170 /nfs/dbraw/zinc/30/01/70/338300170.db2.gz MWCYUIUELGXXPL-GXFFZTMASA-N 0 3 307.331 4.462 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](CC(F)(F)F)C(C)C)CCC2 ZINC000437094174 338300575 /nfs/dbraw/zinc/30/05/75/338300575.db2.gz HTGXARVCRQPPQL-NEPJUHHUSA-N 0 3 306.397 4.396 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](CC(F)(F)F)C(C)C)CCC2 ZINC000437094177 338300658 /nfs/dbraw/zinc/30/06/58/338300658.db2.gz HTGXARVCRQPPQL-RYUDHWBXSA-N 0 3 306.397 4.396 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)C[C@@H]1N[C@@H]1CCOc2c(F)cccc21 ZINC000437096260 338300689 /nfs/dbraw/zinc/30/06/89/338300689.db2.gz LCUDRMYSILERAK-QVSURHGTSA-N 0 3 311.400 4.431 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)c(F)c1 ZINC000437102829 338301006 /nfs/dbraw/zinc/30/10/06/338301006.db2.gz HMNVGUNKLHHFKB-QMTHXVAHSA-N 0 3 307.331 4.462 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000437105271 338301169 /nfs/dbraw/zinc/30/11/69/338301169.db2.gz RQXRYKTZJLYOFG-QUSSIACISA-N 0 3 321.408 4.052 20 0 DIADHN FCCNCc1ccc(-c2ccc(Br)cc2)s1 ZINC000437249619 338304655 /nfs/dbraw/zinc/30/46/55/338304655.db2.gz UTDKZZHYDVKAPB-UHFFFAOYSA-N 0 3 314.223 4.237 20 0 DIADHN Cc1nc(COc2ccc(CN3CCC=C(C)C3)cc2)cs1 ZINC000437345884 338307558 /nfs/dbraw/zinc/30/75/58/338307558.db2.gz JWELCCLEQQNOEQ-UHFFFAOYSA-N 0 3 314.454 4.183 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CC[C@H]2CCOC2)cc1 ZINC000437586940 338315011 /nfs/dbraw/zinc/31/50/11/338315011.db2.gz ZKIKMURHWHLORT-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1ccc2cc(CN(C)CCOc3ccccc3F)ccc2n1 ZINC000437591857 338315363 /nfs/dbraw/zinc/31/53/63/338315363.db2.gz QAHNBRVQTVKSOW-UHFFFAOYSA-N 0 3 324.399 4.193 20 0 DIADHN Cc1nc2sccn2c1CN(C)C[C@@H](C)c1ccc(F)cc1 ZINC000437621139 338316294 /nfs/dbraw/zinc/31/62/94/338316294.db2.gz OGNHFDROFUECFZ-GFCCVEGCSA-N 0 3 317.433 4.079 20 0 DIADHN Cc1ccc2cc(CN3CCC(OC(C)(C)C)CC3)ccc2n1 ZINC000437686779 338318353 /nfs/dbraw/zinc/31/83/53/338318353.db2.gz ITBGFMDQQYMAJL-UHFFFAOYSA-N 0 3 312.457 4.323 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](N2CCCC[C@H]2c2ncc[nH]2)C1 ZINC000437712102 338319198 /nfs/dbraw/zinc/31/91/98/338319198.db2.gz AJKHWCXXSFRAIO-BJLQDIEVSA-N 0 3 309.457 4.447 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC000437773264 338320807 /nfs/dbraw/zinc/32/08/07/338320807.db2.gz LQIJHEBSOIMXJJ-GUYCJALGSA-N 0 3 301.459 4.150 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1CC[C@@H](c3ccccc3)C1)n2C ZINC000437953529 338326230 /nfs/dbraw/zinc/32/62/30/338326230.db2.gz LAAOJSPBKLEKAP-QZTJIDSGSA-N 0 3 319.452 4.308 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1ccc(Cl)cc1F ZINC000438104683 338331809 /nfs/dbraw/zinc/33/18/09/338331809.db2.gz YBFVCBYQXZNPKO-UHFFFAOYSA-N 0 3 312.841 4.389 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc3c(cccc3F)[nH]2)C1 ZINC000438109592 338332264 /nfs/dbraw/zinc/33/22/64/338332264.db2.gz OVYDQMUOVRVVMC-ZIAGYGMSSA-N 0 3 306.450 4.413 20 0 DIADHN CC[C@@H](NCc1ccc2nc(C)ccc2c1)c1c(C)nn(C)c1C ZINC000438174406 338334758 /nfs/dbraw/zinc/33/47/58/338334758.db2.gz FLOFLQYGJZSYIB-GOSISDBHSA-N 0 3 322.456 4.134 20 0 DIADHN c1nc(CNCCCCOc2ccccc2)c(-c2ccccc2)o1 ZINC000438191978 338335833 /nfs/dbraw/zinc/33/58/33/338335833.db2.gz PZJORWICRMNLNU-UHFFFAOYSA-N 0 3 322.408 4.290 20 0 DIADHN C[C@H](N[C@H]1CC[C@](C)(c2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438195102 338335949 /nfs/dbraw/zinc/33/59/49/338335949.db2.gz ZHQGOPJDEJGZBD-LRQRDZAKSA-N 0 3 322.452 4.114 20 0 DIADHN C[C@H](N[C@H]1CC[C@@](C)(c2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438195103 338336042 /nfs/dbraw/zinc/33/60/42/338336042.db2.gz ZHQGOPJDEJGZBD-NBHGPNQESA-N 0 3 322.452 4.114 20 0 DIADHN Cc1sc(CN[C@H](C)Cc2ccccc2F)nc1C(C)C ZINC000438216952 338336927 /nfs/dbraw/zinc/33/69/27/338336927.db2.gz UXFFDUIRGBDCPL-GFCCVEGCSA-N 0 3 306.450 4.435 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccc(F)cc3)s2)CC[C@@H]1O ZINC000438332962 338339900 /nfs/dbraw/zinc/33/99/00/338339900.db2.gz GJGKJYVYZVYBSO-KRWDZBQOSA-N 0 3 319.445 4.147 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3)s2)CC[C@H]1O ZINC000438340756 338340265 /nfs/dbraw/zinc/34/02/65/338340265.db2.gz IBXYXSXZYOAGTA-QGZVFWFLSA-N 0 3 301.455 4.008 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3cccc(F)c3)s2)CC[C@H]1O ZINC000438346410 338340621 /nfs/dbraw/zinc/34/06/21/338340621.db2.gz LBQIEPICWZUDKU-QGZVFWFLSA-N 0 3 319.445 4.147 20 0 DIADHN CCOc1ccc(CN[C@@H](CC(F)(F)F)C(C)C)cc1OC ZINC000438485509 338345875 /nfs/dbraw/zinc/34/58/75/338345875.db2.gz LRDCVXSMYPBEGO-ZDUSSCGKSA-N 0 3 319.367 4.161 20 0 DIADHN CC[C@H]1CCN(Cc2csc(-c3cccc(OC)c3)n2)C1 ZINC000438507871 338346479 /nfs/dbraw/zinc/34/64/79/338346479.db2.gz KUOFRFRGPUVNIR-ZDUSSCGKSA-N 0 3 302.443 4.051 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@@H](C(C)C)C2)cs1 ZINC000438509232 338346509 /nfs/dbraw/zinc/34/65/09/338346509.db2.gz ZQFKWFFOTKCKIY-CQSZACIVSA-N 0 3 316.470 4.297 20 0 DIADHN C[C@@H](c1ccc(OC(F)(F)F)cc1)N(C)CCOCC1CC1 ZINC000438515401 338346615 /nfs/dbraw/zinc/34/66/15/338346615.db2.gz OSHQTMYBLMKLOR-LBPRGKRZSA-N 0 3 317.351 4.005 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCCOC1CCCCCC1)CCC2 ZINC000438833746 338353060 /nfs/dbraw/zinc/35/30/60/338353060.db2.gz GKMCXKPOKUGRTR-LJQANCHMSA-N 0 3 303.446 4.099 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCCOC1CCCCCC1)CCC2 ZINC000438833745 338353090 /nfs/dbraw/zinc/35/30/90/338353090.db2.gz GKMCXKPOKUGRTR-IBGZPJMESA-N 0 3 303.446 4.099 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(CCc2ccccn2)CC1 ZINC000439312525 338366801 /nfs/dbraw/zinc/36/68/01/338366801.db2.gz FMKJNNIQERJJMB-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(C[C@H]2CCCCO2)CC1 ZINC000439321386 338367215 /nfs/dbraw/zinc/36/72/15/338367215.db2.gz PBGVLQDWXZKXTE-MRXNPFEDSA-N 0 3 311.828 4.170 20 0 DIADHN C[C@H]1CC[C@@H](CN2CCC(F)(c3cccc(Cl)c3)CC2)O1 ZINC000439325126 338367308 /nfs/dbraw/zinc/36/73/08/338367308.db2.gz SZMZQKDMBRLJIF-BBRMVZONSA-N 0 3 311.828 4.168 20 0 DIADHN CC1(C)C[C@H]1CNCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000439470865 338370511 /nfs/dbraw/zinc/37/05/11/338370511.db2.gz POEAIVRLBFYGEW-HOCLYGCPSA-N 0 3 323.864 4.034 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNCCn3cccn3)o2)cc1 ZINC000439470345 338370539 /nfs/dbraw/zinc/37/05/39/338370539.db2.gz CFARXWBGFCYLDZ-UHFFFAOYSA-N 0 3 323.440 4.230 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1ccc(SC)cc1 ZINC000439480015 338371116 /nfs/dbraw/zinc/37/11/16/338371116.db2.gz BJRHCVFIQNALOA-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2cccc3ccccc32)c1 ZINC000439570959 338373405 /nfs/dbraw/zinc/37/34/05/338373405.db2.gz MTINJFRVFGEUMD-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN CCN(CC)C(=O)[C@H](N[C@@H](C)C1CCCCC1)c1ccccc1 ZINC000439602958 338374290 /nfs/dbraw/zinc/37/42/90/338374290.db2.gz TYCULWGIDLNXPS-QFBILLFUSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C(=O)Nc1cnccc1-c1cccc(F)c1)C(F)(F)F ZINC000439673573 338375781 /nfs/dbraw/zinc/37/57/81/338375781.db2.gz NPYPIECOIRLVCS-SECBINFHSA-N 0 3 312.266 4.025 20 0 DIADHN C[C@H](Nc1ccnc2ccccc21)c1ccc(-n2cccn2)cc1 ZINC000439691925 338375952 /nfs/dbraw/zinc/37/59/52/338375952.db2.gz IROCNSCQTHYQIY-HNNXBMFYSA-N 0 3 314.392 4.016 20 0 DIADHN CCCCOc1ccc(CN2CCC([C@H]3CCCO3)CC2)cc1 ZINC000439782014 338377783 /nfs/dbraw/zinc/37/77/83/338377783.db2.gz LMALADRMKDWZJN-HXUWFJFHSA-N 0 3 317.473 4.257 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC([C@H]2CCCO2)CC1 ZINC000439788796 338378101 /nfs/dbraw/zinc/37/81/01/338378101.db2.gz OJCXIVVTIVHYFL-MRXNPFEDSA-N 0 3 319.832 4.477 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000440325991 338385571 /nfs/dbraw/zinc/38/55/71/338385571.db2.gz JPOIYGGEKVJOBI-SJORKVTESA-N 0 3 313.470 4.264 20 0 DIADHN CCC(CC)(C(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000440365137 338386208 /nfs/dbraw/zinc/38/62/08/338386208.db2.gz ISNNJZFQGQVTSY-UHFFFAOYSA-N 0 3 324.468 4.445 20 0 DIADHN Cc1csc(C(C)(C)NCCCOc2c(C)cccc2C)n1 ZINC000440467181 338387935 /nfs/dbraw/zinc/38/79/35/338387935.db2.gz GYLAGOXZYVRKPU-UHFFFAOYSA-N 0 3 318.486 4.362 20 0 DIADHN Cc1ccc2nccc(N(C)Cc3cnc(C(C)C)nc3)c2c1 ZINC000440665307 338394754 /nfs/dbraw/zinc/39/47/54/338394754.db2.gz HADNSQXZRBNGFB-UHFFFAOYSA-N 0 3 306.413 4.093 20 0 DIADHN Cc1nc2ccccc2c(N(C)Cc2cnc(C(C)C)nc2)c1C ZINC000440673834 338395002 /nfs/dbraw/zinc/39/50/02/338395002.db2.gz NYAWUYJSCRMNJL-UHFFFAOYSA-N 0 3 320.440 4.401 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)C2(CC(C)C)CC2)c1 ZINC000440744359 338396422 /nfs/dbraw/zinc/39/64/22/338396422.db2.gz CBEXSTKMXROHCW-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@@H]2C)c(-c2ccccc2)s1 ZINC000441338531 338409202 /nfs/dbraw/zinc/40/92/02/338409202.db2.gz FTOGYPMEOJXLIW-ZFWWWQNUSA-N 0 3 316.470 4.235 20 0 DIADHN CC[C@@H](C)CNCc1nc(COC)sc1-c1ccccc1 ZINC000441349883 338409934 /nfs/dbraw/zinc/40/99/34/338409934.db2.gz GNMZBGMWVLDANI-CYBMUJFWSA-N 0 3 304.459 4.092 20 0 DIADHN C[C@@H](NCCc1ccc2[nH]ccc2c1)c1cc(F)ccc1F ZINC000442130575 338421729 /nfs/dbraw/zinc/42/17/29/338421729.db2.gz RKWNASJKMQPBPS-GFCCVEGCSA-N 0 3 300.352 4.339 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C1(CC(C)C)CC1)c1ccsc1 ZINC000442299551 338423265 /nfs/dbraw/zinc/42/32/65/338423265.db2.gz BMNLBNUNHZFUON-INIZCTEOSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@H](N[C@@H]1Cc2[nH]c3ccccc3c2C1)c1ccc(F)cc1F ZINC000442637156 338426306 /nfs/dbraw/zinc/42/63/06/338426306.db2.gz WDMTWZQVWDOUMR-AAEUAGOBSA-N 0 3 312.363 4.264 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@@H](c1cccs1)N(C)C ZINC000442633229 338426396 /nfs/dbraw/zinc/42/63/96/338426396.db2.gz IFYAFNAIQBWWDH-ZFWWWQNUSA-N 0 3 320.527 4.424 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000442777381 338430488 /nfs/dbraw/zinc/43/04/88/338430488.db2.gz IIWOLFNJOQSOHW-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@@H](NCCc1ccc2[nH]ccc2c1)c1cccc(F)c1F ZINC000442805915 338432726 /nfs/dbraw/zinc/43/27/26/338432726.db2.gz AUZFRMGPFHEDBF-GFCCVEGCSA-N 0 3 300.352 4.339 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H]1CCSc2ccc(Cl)cc21 ZINC000442809165 338432969 /nfs/dbraw/zinc/43/29/69/338432969.db2.gz JIZQSLWTIZGMSV-KKUMJFAQSA-N 0 3 311.878 4.424 20 0 DIADHN CC[C@@H](N[C@H]1CCCC[C@@H]1OC)c1ccccc1OC(F)F ZINC000442807618 338432985 /nfs/dbraw/zinc/43/29/85/338432985.db2.gz FHXIEPBDFBQCLB-YCPHGPKFSA-N 0 3 313.388 4.286 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccc(N3CCC3=O)c2)cc1 ZINC000442814336 338433204 /nfs/dbraw/zinc/43/32/04/338433204.db2.gz HRUNNWNCGHTGRR-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@@H](NCC1([S@@](C)=O)CC1)c1ccc(C2CCCCC2)cc1 ZINC000442819188 338433439 /nfs/dbraw/zinc/43/34/39/338433439.db2.gz IDZYZYWXTBXKQJ-IVZQSRNASA-N 0 3 319.514 4.296 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCOC1CCCC1)CCCS2 ZINC000446833843 338537491 /nfs/dbraw/zinc/53/74/91/338537491.db2.gz VDEVVTQPENQPIC-QGZVFWFLSA-N 0 3 321.486 4.171 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000446885794 338539473 /nfs/dbraw/zinc/53/94/73/338539473.db2.gz YNPZZDOKSDLEEM-RDTXWAMCSA-N 0 3 311.404 4.211 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccncc3C)CC2)c(C)c1 ZINC000447057972 338543834 /nfs/dbraw/zinc/54/38/34/338543834.db2.gz FMHUTLXHPVFAHV-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc(C)cc2C)cc1Cl ZINC000575004942 338545630 /nfs/dbraw/zinc/54/56/30/338545630.db2.gz MDXBHYDWNIODKF-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC(Oc2ncccc2F)CC1 ZINC000447358756 338550605 /nfs/dbraw/zinc/55/06/05/338550605.db2.gz ZYGZRNKKLXYSSR-SFHVURJKSA-N 0 3 314.404 4.215 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CCCc2occc21)c1ccccc1Cl ZINC000447519731 338553775 /nfs/dbraw/zinc/55/37/75/338553775.db2.gz ZGRVCEQHDNKJHF-IRXDYDNUSA-N 0 3 318.848 4.203 20 0 DIADHN COC(=O)c1coc([C@@H](C)NCC(C)(C)c2ccccc2C)c1 ZINC000447529936 338554392 /nfs/dbraw/zinc/55/43/92/338554392.db2.gz NEKLEPFVIHQFJR-CQSZACIVSA-N 0 3 315.413 4.003 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(OC)c(F)c1)c1ccccc1 ZINC000447569431 338557646 /nfs/dbraw/zinc/55/76/46/338557646.db2.gz PCEXBDKMYHVUIW-AUUYWEPGSA-N 0 3 317.404 4.263 20 0 DIADHN COC[C@H](N[C@@H](CC(F)(F)F)c1ccccc1C)C(C)C ZINC000447572010 338557771 /nfs/dbraw/zinc/55/77/71/338557771.db2.gz MHJGHSQMSBAHCY-GJZGRUSLSA-N 0 3 303.368 4.249 20 0 DIADHN COC[C@@H](N[C@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000447579771 338558814 /nfs/dbraw/zinc/55/88/14/338558814.db2.gz OKTPKPQLPXDTPL-HUUCEWRRSA-N 0 3 301.352 4.003 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCCOCC(F)(F)C(F)F ZINC000447598595 338561202 /nfs/dbraw/zinc/56/12/02/338561202.db2.gz VVNVESJNPSEVLC-WCQYABFASA-N 0 3 319.342 4.050 20 0 DIADHN CC(C)COC1CCN(C[C@H](C)c2cc(F)cc(F)c2)CC1 ZINC000449015681 338583551 /nfs/dbraw/zinc/58/35/51/338583551.db2.gz LLZPUZMJNSUUDB-AWEZNQCLSA-N 0 3 311.416 4.205 20 0 DIADHN c1c2cccnc2oc1CN1CCC(COc2ccccc2)CC1 ZINC000449016420 338583561 /nfs/dbraw/zinc/58/35/61/338583561.db2.gz NHTHUUQKMLIWLF-UHFFFAOYSA-N 0 3 322.408 4.119 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccc(F)cc2)CCO1 ZINC000449102034 338593516 /nfs/dbraw/zinc/59/35/16/338593516.db2.gz ICNNPWLAHMXTDN-AQNXPRMDSA-N 0 3 313.416 4.462 20 0 DIADHN Clc1ccc(C[C@@H]2CCC[C@@H]2NCc2cscn2)cc1 ZINC000449105677 338593878 /nfs/dbraw/zinc/59/38/78/338593878.db2.gz DYQLVMZOIAMCDI-BBRMVZONSA-N 0 3 306.862 4.298 20 0 DIADHN Cc1nn(C)c(C)c1CNCc1ccccc1-c1ccccc1C ZINC000449117051 338594832 /nfs/dbraw/zinc/59/48/32/338594832.db2.gz NFZGLDFKDZJGBE-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN Fc1cnccc1CNCC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000449118588 338595004 /nfs/dbraw/zinc/59/50/04/338595004.db2.gz SXVUAXFTZNMRDV-UHFFFAOYSA-N 0 3 324.321 4.061 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1cc2cccnc2o1 ZINC000449179346 338599921 /nfs/dbraw/zinc/59/99/21/338599921.db2.gz VPSPDAOOLPKDQW-SGTLLEGYSA-N 0 3 310.372 4.380 20 0 DIADHN Clc1cc(CNCCCc2ccccn2)c(Cl)s1 ZINC000449211186 338602837 /nfs/dbraw/zinc/60/28/37/338602837.db2.gz ROLXFFDYFOBOOZ-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN COc1cc(C)ccc1CN1CCC(c2ccc(C)nc2)CC1 ZINC000449235496 338605028 /nfs/dbraw/zinc/60/50/28/338605028.db2.gz UUTHRWRFWNOIJQ-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](NC[C@@H]1CCC(F)(F)C1)CC2 ZINC000449297953 338610667 /nfs/dbraw/zinc/61/06/67/338610667.db2.gz FMABHYHYHWHFCH-LDYMZIIASA-N 0 3 305.290 4.116 20 0 DIADHN COc1cc([C@H](C)NCc2cccc3c(OC)cccc23)ccn1 ZINC000449328831 338613455 /nfs/dbraw/zinc/61/34/55/338613455.db2.gz GNGLZXMJHJMPIY-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN[C@@H]2CC[C@H](F)C2)s1 ZINC000449332866 338614027 /nfs/dbraw/zinc/61/40/27/338614027.db2.gz SYHOLRYGPIIRNY-VHSXEESVSA-N 0 3 312.360 4.069 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN[C@H]2CC[C@H](F)C2)s1 ZINC000449332865 338614132 /nfs/dbraw/zinc/61/41/32/338614132.db2.gz SYHOLRYGPIIRNY-UWVGGRQHSA-N 0 3 312.360 4.069 20 0 DIADHN Cc1nnsc1CN[C@H]1CCC[C@@H]1Cc1ccc(Cl)cc1 ZINC000449336245 338614473 /nfs/dbraw/zinc/61/44/73/338614473.db2.gz MFKRJMJWWGAKGE-HIFRSBDPSA-N 0 3 321.877 4.001 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@@H]1CCCc2c(F)cccc21 ZINC000449350193 338616330 /nfs/dbraw/zinc/61/63/30/338616330.db2.gz LIKDQTYIKBGRQH-LJQANCHMSA-N 0 3 322.383 4.247 20 0 DIADHN CC(F)(F)CCNCc1c(Cl)cccc1N1CCCC1 ZINC000449354513 338617010 /nfs/dbraw/zinc/61/70/10/338617010.db2.gz OJTNSTZZTTYDDA-UHFFFAOYSA-N 0 3 302.796 4.075 20 0 DIADHN CC(C)(CN[C@H]1CCc2cc(F)c(F)c(F)c21)c1ccccn1 ZINC000449390869 338620222 /nfs/dbraw/zinc/62/02/22/338620222.db2.gz CHBKQFYNTZTEOX-ZDUSSCGKSA-N 0 3 320.358 4.054 20 0 DIADHN C[C@@H](CNCc1cccc(C(F)(F)F)n1)c1ccc(F)cc1 ZINC000449399861 338620685 /nfs/dbraw/zinc/62/06/85/338620685.db2.gz DXOCFQGWHVYLRH-NSHDSACASA-N 0 3 312.310 4.133 20 0 DIADHN Cc1csc(CN[C@H](C)CC(=O)Nc2cccc(C)c2C)c1 ZINC000449481763 338629435 /nfs/dbraw/zinc/62/94/35/338629435.db2.gz VNSDRSUEQROUMZ-CQSZACIVSA-N 0 3 316.470 4.180 20 0 DIADHN CO[C@](C)(CNCc1ccc(SC)s1)c1ccccc1 ZINC000449483500 338629568 /nfs/dbraw/zinc/62/95/68/338629568.db2.gz IZCKKVJDWKMSII-MRXNPFEDSA-N 0 3 307.484 4.121 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1ccc(Sc2ccccn2)o1 ZINC000449493552 338631261 /nfs/dbraw/zinc/63/12/61/338631261.db2.gz LRTHKAGRFRASSZ-LBPRGKRZSA-N 0 3 324.396 4.351 20 0 DIADHN CCCOc1cc(CNC[C@H]2CCCC2(F)F)ccc1OC ZINC000449497353 338631603 /nfs/dbraw/zinc/63/16/03/338631603.db2.gz RAGFMCOLFSCDPN-CQSZACIVSA-N 0 3 313.388 4.009 20 0 DIADHN Cc1ccncc1CN[C@@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000449526890 338634470 /nfs/dbraw/zinc/63/44/70/338634470.db2.gz YHKNHYRJRMCYSX-KBPBESRZSA-N 0 3 311.454 4.282 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CCC[C@@H]1c1ccc(F)cc1 ZINC000449562489 338637940 /nfs/dbraw/zinc/63/79/40/338637940.db2.gz LCRDXYMTKHRMJQ-XKQJLSEDSA-N 0 3 301.409 4.029 20 0 DIADHN C[C@@H]1C[C@@H](NC2CC(c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449573307 338639092 /nfs/dbraw/zinc/63/90/92/338639092.db2.gz QKOXXAAGHWLFSW-MLVVQWTHSA-N 0 3 301.821 4.078 20 0 DIADHN CSCc1cnc(CNC2CCc3ccccc3CC2)s1 ZINC000449591593 338640176 /nfs/dbraw/zinc/64/01/76/338640176.db2.gz MYAWUFCYRODXKA-UHFFFAOYSA-N 0 3 318.511 4.043 20 0 DIADHN CCc1ncc(CN[C@H](C)C2CCC(C(F)(F)F)CC2)o1 ZINC000449608140 338640661 /nfs/dbraw/zinc/64/06/61/338640661.db2.gz FIUFERIWGPUAML-VOMCLLRMSA-N 0 3 304.356 4.084 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3cnc(C(C)C)s3)C2)c1 ZINC000449618954 338641745 /nfs/dbraw/zinc/64/17/45/338641745.db2.gz MQLNQIZNAANPIP-SHTZXODSSA-N 0 3 316.470 4.311 20 0 DIADHN C[C@@H](NCc1cnc(C2CC2)o1)C1CCC(C(F)(F)F)CC1 ZINC000449707022 338647405 /nfs/dbraw/zinc/64/74/05/338647405.db2.gz PHZIPVMOFLIJCG-XSRFYTQQSA-N 0 3 316.367 4.399 20 0 DIADHN OCC1([C@H](NCc2ccc(Cl)cc2)c2cccs2)CCC1 ZINC000449767259 338651083 /nfs/dbraw/zinc/65/10/83/338651083.db2.gz PTKPEMINTUCHPQ-MRXNPFEDSA-N 0 3 321.873 4.395 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)cc1 ZINC000449771343 338651448 /nfs/dbraw/zinc/65/14/48/338651448.db2.gz YJZSINDXFXQNAT-KRWDZBQOSA-N 0 3 301.455 4.050 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1ccccc1Br ZINC000162908261 338671203 /nfs/dbraw/zinc/67/12/03/338671203.db2.gz VMNVICHMTZZSQK-LBPRGKRZSA-N 0 3 322.221 4.309 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2c(C)cccc2Cl)c1 ZINC000450425365 338683199 /nfs/dbraw/zinc/68/31/99/338683199.db2.gz NHRSKIFNFLEMTB-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCCc1ccc2nccc(N3CC[C@@H](COC(F)F)C3)c2c1 ZINC000450522888 338689260 /nfs/dbraw/zinc/68/92/60/338689260.db2.gz BBXLHKSAKFNLKT-CQSZACIVSA-N 0 3 320.383 4.253 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1ccn(CC(F)(F)F)n1 ZINC000450567140 338691257 /nfs/dbraw/zinc/69/12/57/338691257.db2.gz VVMRQGFOJOXHIN-KGLIPLIRSA-N 0 3 317.399 4.140 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450755679 338703835 /nfs/dbraw/zinc/70/38/35/338703835.db2.gz FPFLRWPVYUGKMD-WDEREUQCSA-N 0 3 300.418 4.108 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC[C@H]1CCCCC1(F)F ZINC000450764464 338704350 /nfs/dbraw/zinc/70/43/50/338704350.db2.gz CMLGURVWRPWJAT-GXTWGEPZSA-N 0 3 313.436 4.423 20 0 DIADHN Clc1ccc(C2CCN(CCc3cscn3)CC2)cc1 ZINC000450772005 338704856 /nfs/dbraw/zinc/70/48/56/338704856.db2.gz OXFXSHAVAGXDQZ-UHFFFAOYSA-N 0 3 306.862 4.219 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1ccn(CC(F)(F)F)n1 ZINC000450822865 338706995 /nfs/dbraw/zinc/70/69/95/338706995.db2.gz UFSBYXUJNHQLJM-ZFWWWQNUSA-N 0 3 317.399 4.140 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCc2cscn2)CC1 ZINC000450909260 338711998 /nfs/dbraw/zinc/71/19/98/338711998.db2.gz SWCLXTAZFSCMPC-UHFFFAOYSA-N 0 3 324.852 4.300 20 0 DIADHN FC1(F)CCC[C@@H](CN2CCC(F)(c3ccccn3)CC2)C1 ZINC000450932006 338713180 /nfs/dbraw/zinc/71/31/80/338713180.db2.gz QGOQXFPBENRXHG-CQSZACIVSA-N 0 3 312.379 4.168 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N1CCC2(CCC(O)CC2)CC1 ZINC000451145717 338722811 /nfs/dbraw/zinc/72/28/11/338722811.db2.gz LMRGKPCXKUWTLI-ZDUSSCGKSA-N 0 3 309.400 4.043 20 0 DIADHN CC(C)n1ccc(CN[C@H]2CCCC[C@H]2CC(F)(F)F)n1 ZINC000451292172 338728638 /nfs/dbraw/zinc/72/86/38/338728638.db2.gz AIGGDHLRSDBYEJ-JSGCOSHPSA-N 0 3 303.372 4.065 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000194964377 338784988 /nfs/dbraw/zinc/78/49/88/338784988.db2.gz NWSXSOKXDGHNKQ-WBMJQRKESA-N 0 3 309.331 4.389 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000194964403 338785467 /nfs/dbraw/zinc/78/54/67/338785467.db2.gz NWSXSOKXDGHNKQ-MLGOLLRUSA-N 0 3 309.331 4.389 20 0 DIADHN CC[C@H](NCCOc1ccc2ccccc2c1)c1ccncc1 ZINC000453061800 338789036 /nfs/dbraw/zinc/78/90/36/338789036.db2.gz DCZLOMOXLDQVCE-FQEVSTJZSA-N 0 3 306.409 4.355 20 0 DIADHN C[C@H]1C[C@@H](NCCc2cccc(Cl)c2Cl)c2nccn21 ZINC000453072995 338790562 /nfs/dbraw/zinc/79/05/62/338790562.db2.gz AGYGLOLCWCUBIA-GXFFZTMASA-N 0 3 310.228 4.028 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CCCC[C@@H]2Cc2ccccc2)c2nccn21 ZINC000453082240 338791544 /nfs/dbraw/zinc/79/15/44/338791544.db2.gz BHFOHYAYNHETEU-YSHGAJCASA-N 0 3 309.457 4.280 20 0 DIADHN COc1ccc(CN[C@H]2CC[C@@H](C)c3ccsc32)cc1O ZINC000453097204 338793355 /nfs/dbraw/zinc/79/33/55/338793355.db2.gz QOVJPJWZLODVMD-RISCZKNCSA-N 0 3 303.427 4.191 20 0 DIADHN Cc1cccc(C2(CN[C@H]3C[C@@H](C)n4ccnc43)CCCC2)c1 ZINC000453098552 338793523 /nfs/dbraw/zinc/79/35/23/338793523.db2.gz VLCPOBVADBKTEF-AEFFLSMTSA-N 0 3 309.457 4.299 20 0 DIADHN Fc1cccc([C@H](NCCC2CCOCC2)c2ccccc2)c1 ZINC000453098509 338793528 /nfs/dbraw/zinc/79/35/28/338793528.db2.gz VEEMWJWGCDAJHD-HXUWFJFHSA-N 0 3 313.416 4.321 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC1(c2ccc(Cl)cc2)CCC1 ZINC000453097757 338793584 /nfs/dbraw/zinc/79/35/84/338793584.db2.gz ULUFQGSDWVWTKM-CQSZACIVSA-N 0 3 317.864 4.329 20 0 DIADHN CC(C)c1nc(CN[C@H](C)CCCc2cccnc2)cs1 ZINC000453101682 338793909 /nfs/dbraw/zinc/79/39/09/338793909.db2.gz OMZVPLTWSLHVNZ-CQSZACIVSA-N 0 3 303.475 4.163 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ccncn1 ZINC000453102968 338794119 /nfs/dbraw/zinc/79/41/19/338794119.db2.gz ADEXHVBTGUMRJK-BXUZGUMPSA-N 0 3 324.255 4.455 20 0 DIADHN CC[C@@H](NCCc1nc2c(cccc2C)o1)c1ccsc1 ZINC000453121244 338796286 /nfs/dbraw/zinc/79/62/86/338796286.db2.gz OFNJFHXJPSUZNU-CQSZACIVSA-N 0 3 300.427 4.481 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000453124862 338796691 /nfs/dbraw/zinc/79/66/91/338796691.db2.gz IQGMRCXXPZKRRE-HNAYVOBHSA-N 0 3 312.413 4.094 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(-n2cccn2)c1)c1ccncc1 ZINC000453125732 338796820 /nfs/dbraw/zinc/79/68/20/338796820.db2.gz UDQHXOSGURCJBR-BEFAXECRSA-N 0 3 306.413 4.069 20 0 DIADHN C[C@H]1CC[C@H](N[C@H](CCO)c2ccccc2)c2sccc21 ZINC000453136321 338798270 /nfs/dbraw/zinc/79/82/70/338798270.db2.gz WSCPUTCTXNTENN-XKQJLSEDSA-N 0 3 301.455 4.400 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H](CO)c2cccc(F)c2)c2sccc21 ZINC000453141033 338798785 /nfs/dbraw/zinc/79/87/85/338798785.db2.gz IYSMLVGMMJMKBO-LYRGGWFBSA-N 0 3 305.418 4.149 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(Cl)cccc1Cl)c1ccncn1 ZINC000453188132 338804823 /nfs/dbraw/zinc/80/48/23/338804823.db2.gz YWVSXGVRQJRHTJ-WDEREUQCSA-N 0 3 310.228 4.065 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1ccncn1 ZINC000453188130 338804912 /nfs/dbraw/zinc/80/49/12/338804912.db2.gz YWVSXGVRQJRHTJ-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CSc2ccccc21)c1ccc(F)cn1 ZINC000453222166 338809852 /nfs/dbraw/zinc/80/98/52/338809852.db2.gz QWDJAWKDCVSNFN-SCLBCKFNSA-N 0 3 316.445 4.397 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3c(F)cccc32)cc1O ZINC000453222699 338810043 /nfs/dbraw/zinc/81/00/43/338810043.db2.gz AHSILDNYYRXABJ-SJCJKPOMSA-N 0 3 315.388 4.268 20 0 DIADHN C[C@@H](NC[C@@H]1CSc2ccccc21)c1ccc(Cl)cn1 ZINC000453223688 338810083 /nfs/dbraw/zinc/81/00/83/338810083.db2.gz RZXNDTWTVGKKQB-VXGBXAGGSA-N 0 3 304.846 4.275 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2c(F)cc(F)cc21)c1ccncc1 ZINC000453226152 338810655 /nfs/dbraw/zinc/81/06/55/338810655.db2.gz FDLPYFBBTQNQHN-QZTJIDSGSA-N 0 3 302.368 4.478 20 0 DIADHN C[C@@H](NC1CC(C)(F)C1)c1ccc(Br)c(F)c1 ZINC000453230706 338811281 /nfs/dbraw/zinc/81/12/81/338811281.db2.gz DNLLMBRIHMDWSB-NCZMNARYSA-N 0 3 304.178 4.129 20 0 DIADHN C[C@@H](NCC[C@H](C)CCO)c1cc(Cl)ccc1OC(F)F ZINC000453237344 338812259 /nfs/dbraw/zinc/81/22/59/338812259.db2.gz PRXHLHPVWBHWHZ-WDEREUQCSA-N 0 3 321.795 4.001 20 0 DIADHN C[C@H](NC1CC(C)(F)C1)c1ccc(Oc2ccccc2)cn1 ZINC000453239563 338812572 /nfs/dbraw/zinc/81/25/72/338812572.db2.gz PZTMAGJRZSUONQ-VNSJNIRKSA-N 0 3 300.377 4.415 20 0 DIADHN Fc1cncc([C@H](N[C@@H]2CCCc3c(F)cccc32)C2CC2)c1 ZINC000453239741 338812644 /nfs/dbraw/zinc/81/26/44/338812644.db2.gz STILNBSWKUTBKH-RTBURBONSA-N 0 3 314.379 4.478 20 0 DIADHN Cc1cc([C@@H](C)NC[C@]2(C)OCCc3sccc32)cs1 ZINC000453253644 338814775 /nfs/dbraw/zinc/81/47/75/338814775.db2.gz OCVWKGUPVAKDSK-WBMJQRKESA-N 0 3 307.484 4.257 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)N[C@@H](CC)[C@@H](O)C(F)F ZINC000453269590 338816681 /nfs/dbraw/zinc/81/66/81/338816681.db2.gz MXOABVNMEWKPTB-VZJVUDMVSA-N 0 3 311.372 4.050 20 0 DIADHN CC(C)(CO)CCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000453271094 338816860 /nfs/dbraw/zinc/81/68/60/338816860.db2.gz GHSMJEBGPOIOGM-UHFFFAOYSA-N 0 3 319.395 4.052 20 0 DIADHN CC(C)(CO)CCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000453272363 338816935 /nfs/dbraw/zinc/81/69/35/338816935.db2.gz IDHSEQPTKAXKOZ-GOSISDBHSA-N 0 3 317.860 4.428 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Cl)c(Cl)c1)[C@H](O)C(F)F ZINC000453273784 338817180 /nfs/dbraw/zinc/81/71/80/338817180.db2.gz VBVCHQOQQOPSIS-QILRFPOHSA-N 0 3 312.187 4.049 20 0 DIADHN C[C@H](CCCCO)N[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000453286265 338818931 /nfs/dbraw/zinc/81/89/31/338818931.db2.gz NEKGTFLFQWDTMO-QRWLVFNGSA-N 0 3 324.424 4.058 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccnc(C)c2)cc1OCC ZINC000453287378 338819032 /nfs/dbraw/zinc/81/90/32/338819032.db2.gz LBOAFOBKSAAKIZ-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN COc1ncc(CN[C@@H]2CCCc3cc(F)ccc32)cc1Cl ZINC000453294287 338819846 /nfs/dbraw/zinc/81/98/46/338819846.db2.gz OVFZTTIOAVIKOT-MRXNPFEDSA-N 0 3 320.795 4.050 20 0 DIADHN Clc1ccc([C@@H](NCC2=CCOCC2)c2ccccc2)cc1 ZINC000453295879 338820263 /nfs/dbraw/zinc/82/02/63/338820263.db2.gz SIGAHPNUXQACMR-IBGZPJMESA-N 0 3 313.828 4.366 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCc3ncccc3C)oc21 ZINC000453315820 338823417 /nfs/dbraw/zinc/82/34/17/338823417.db2.gz VVWZITKDRGVAIP-HNNXBMFYSA-N 0 3 324.424 4.428 20 0 DIADHN CC[C@H](NCCc1ncccc1C)c1ccccc1OC(F)F ZINC000453317369 338823653 /nfs/dbraw/zinc/82/36/53/338823653.db2.gz YJRLLXARYGBZOK-HNNXBMFYSA-N 0 3 320.383 4.275 20 0 DIADHN CC(C)n1cc([C@H](C)NC2(Cc3ccc(F)cc3)CC2)cn1 ZINC000453336940 338827032 /nfs/dbraw/zinc/82/70/32/338827032.db2.gz FQYFUGDJPJZHMS-AWEZNQCLSA-N 0 3 301.409 4.029 20 0 DIADHN CC(C)C[C@@H](NCC(C)(C)C1(O)CCCC1)c1ccccn1 ZINC000453359364 338831107 /nfs/dbraw/zinc/83/11/07/338831107.db2.gz AGWVNWFIRULPKH-QGZVFWFLSA-N 0 3 304.478 4.090 20 0 DIADHN CCC1(CN[C@@H](c2cccc(C)c2)c2cccc(F)c2)COC1 ZINC000453362913 338831891 /nfs/dbraw/zinc/83/18/91/338831891.db2.gz KNSSZECLTXSUPE-IBGZPJMESA-N 0 3 313.416 4.240 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000453374202 338833939 /nfs/dbraw/zinc/83/39/39/338833939.db2.gz XDENOHPJZMAILH-UAGQMJEPSA-N 0 3 315.486 4.295 20 0 DIADHN C[C@H](NCCC[C@H]1CCOC1)c1nc(-c2ccccc2)cs1 ZINC000453383987 338835674 /nfs/dbraw/zinc/83/56/74/338835674.db2.gz SXILUDBBBRYPHB-GJZGRUSLSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2cccc(Cl)c2)C1)c1cnccn1 ZINC000453388843 338836495 /nfs/dbraw/zinc/83/64/95/338836495.db2.gz FZJDXGCIVJJFST-DUVNUKRYSA-N 0 3 301.821 4.117 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H](C)[C@H](OC)C3CC3)c(C)c2c1 ZINC000453392505 338836977 /nfs/dbraw/zinc/83/69/77/338836977.db2.gz ZMYPSNOTJZKCGO-JMERFSKESA-N 0 3 317.429 4.214 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000453403358 338839050 /nfs/dbraw/zinc/83/90/50/338839050.db2.gz QMCMYUDYWDFFAN-LXZKKBNFSA-N 0 3 315.848 4.425 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NC[C@H]3CC[C@H](C)O3)cs2)cs1 ZINC000453405951 338839253 /nfs/dbraw/zinc/83/92/53/338839253.db2.gz KCUCZQDWWIGTDN-COPLHBTASA-N 0 3 322.499 4.398 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)cs1 ZINC000453415919 338840464 /nfs/dbraw/zinc/84/04/64/338840464.db2.gz IKDVGRXHVIXMLO-MPKXVKKWSA-N 0 3 308.472 4.084 20 0 DIADHN Cc1ccc(CCNCc2csc(Cl)c2Cl)nc1 ZINC000453605496 338850239 /nfs/dbraw/zinc/85/02/39/338850239.db2.gz SSEBFDVBEIHDHJ-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CC1(C)C[C@H](CNCc2csc(-c3cccs3)n2)CCO1 ZINC000453577286 338848928 /nfs/dbraw/zinc/84/89/28/338848928.db2.gz HKGNHVIVSTZCJY-GFCCVEGCSA-N 0 3 322.499 4.166 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OCCc2ccccc23)cc1 ZINC000453580412 338849095 /nfs/dbraw/zinc/84/90/95/338849095.db2.gz XWINLTVCKJSWBH-UHFFFAOYSA-N 0 3 307.437 4.059 20 0 DIADHN CC(C)(CO)[C@H](NC/C=C/c1ccccc1)c1cccs1 ZINC000453583957 338849260 /nfs/dbraw/zinc/84/92/60/338849260.db2.gz YVYUEBJWDWJWPR-DKISHCGFSA-N 0 3 301.455 4.111 20 0 DIADHN FC1(F)CC(CCNCc2ncc(-c3ccccc3)s2)C1 ZINC000453591505 338849457 /nfs/dbraw/zinc/84/94/57/338849457.db2.gz QWIAWTRBWVAHPN-UHFFFAOYSA-N 0 3 308.397 4.335 20 0 DIADHN CCC(C)(C)OCCNCc1cnc(-c2cccs2)s1 ZINC000453620930 338851152 /nfs/dbraw/zinc/85/11/52/338851152.db2.gz WKUPUCUWFJCQCR-UHFFFAOYSA-N 0 3 310.488 4.166 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCN1CCC(C)CC1 ZINC000195600406 338909843 /nfs/dbraw/zinc/90/98/43/338909843.db2.gz JLKLFNBANHZSPT-CQSZACIVSA-N 0 3 323.550 4.132 20 0 DIADHN Fc1ccc(-c2ccc(CN[C@H]3COc4ccccc4C3)o2)cc1 ZINC000195719260 338914632 /nfs/dbraw/zinc/91/46/32/338914632.db2.gz IJPOSUVPFRGGIX-QGZVFWFLSA-N 0 3 323.367 4.179 20 0 DIADHN Cc1cc(CN)cc(NC(=O)CCCc2ccc(Cl)cc2)c1 ZINC000457385688 338961287 /nfs/dbraw/zinc/96/12/87/338961287.db2.gz VQLCKMKLMZIHLB-UHFFFAOYSA-N 0 3 316.832 4.069 20 0 DIADHN C[C@H]1CC[C@@](C)(C(=O)Nc2ccccc2CCN(C)C)C1(C)C ZINC000457749242 338977256 /nfs/dbraw/zinc/97/72/56/338977256.db2.gz LQVKAAJFNOIWKP-YWZLYKJASA-N 0 3 316.489 4.192 20 0 DIADHN Cc1csc(C(=O)Nc2ccc(CN(C)C)c(C)c2)c1Cl ZINC000458256205 338993534 /nfs/dbraw/zinc/99/35/34/338993534.db2.gz CBTSIPGUPMAFHX-UHFFFAOYSA-N 0 3 322.861 4.332 20 0 DIADHN Clc1cccc(CCN2CCC[C@@H](c3nccs3)C2)c1 ZINC000459331155 339022406 /nfs/dbraw/zinc/02/24/06/339022406.db2.gz UUXWUAHNIYFVFJ-CQSZACIVSA-N 0 3 306.862 4.219 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@@H](C)CCSC)cc(Cl)c1[O-] ZINC000459342183 339023175 /nfs/dbraw/zinc/02/31/75/339023175.db2.gz KFQVFHUQUXRMPK-NSHDSACASA-N 0 3 317.882 4.018 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@@H](C)CCSC)cc(Cl)c1[O-] ZINC000459342183 339023176 /nfs/dbraw/zinc/02/31/76/339023176.db2.gz KFQVFHUQUXRMPK-NSHDSACASA-N 0 3 317.882 4.018 20 0 DIADHN Cc1csc(-c2cccc(NC3CCN(C4CC4)CC3)c2)n1 ZINC000459347689 339023417 /nfs/dbraw/zinc/02/34/17/339023417.db2.gz GPRCWZJGLBPOPE-UHFFFAOYSA-N 0 3 313.470 4.157 20 0 DIADHN c1cnn(Cc2ccc(CNCc3ccc(C4CC4)cc3)cc2)c1 ZINC000459350443 339023512 /nfs/dbraw/zinc/02/35/12/339023512.db2.gz CFGMMZLOBUDIAD-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN CC(C)OC1CC(N2CCC(=Cc3ccccc3F)CC2)C1 ZINC000459352470 339023844 /nfs/dbraw/zinc/02/38/44/339023844.db2.gz XYCZKCQVDGXVJY-UHFFFAOYSA-N 0 3 303.421 4.261 20 0 DIADHN C[C@@H]1CCCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459353356 339023858 /nfs/dbraw/zinc/02/38/58/339023858.db2.gz RNVGFVWALFBPMK-OAHLLOKOSA-N 0 3 310.445 4.045 20 0 DIADHN CC(C)Oc1c(Cl)cccc1NC1CCN(C2CC2)CC1 ZINC000459361375 339024522 /nfs/dbraw/zinc/02/45/22/339024522.db2.gz IJBILVIKKABZGB-UHFFFAOYSA-N 0 3 308.853 4.166 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459378084 339025659 /nfs/dbraw/zinc/02/56/59/339025659.db2.gz PSJKOWBYFZLDAW-LJQANCHMSA-N 0 3 309.457 4.116 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2Cc2cnc(C3CC3)nc2)c1 ZINC000459384694 339026188 /nfs/dbraw/zinc/02/61/88/339026188.db2.gz XZVSGPQIHHCTCW-IBGZPJMESA-N 0 3 307.441 4.308 20 0 DIADHN O=c1cc(CN[C@@H](CC2CCC2)c2ccccc2)nc(C2CC2)[nH]1 ZINC000459389730 339026561 /nfs/dbraw/zinc/02/65/61/339026561.db2.gz AJXRWPGRDSHBRZ-SFHVURJKSA-N 0 3 323.440 4.081 20 0 DIADHN c1cc(C2CC2)ccc1CNCc1ccc2c(c1)OCCCO2 ZINC000459399630 339027016 /nfs/dbraw/zinc/02/70/16/339027016.db2.gz GOMPDAMRGVWJFY-UHFFFAOYSA-N 0 3 309.409 4.015 20 0 DIADHN CCOc1cc(CN[C@@H](c2ccccc2)C2CCC2)ccc1O ZINC000459405433 339027354 /nfs/dbraw/zinc/02/73/54/339027354.db2.gz SPPKJSMJWIZKDA-FQEVSTJZSA-N 0 3 311.425 4.422 20 0 DIADHN COCc1ccc([C@H]2CCCN2CC2CCC(F)(F)CC2)o1 ZINC000459407857 339027468 /nfs/dbraw/zinc/02/74/68/339027468.db2.gz VXEJFMIXYTVNLZ-OAHLLOKOSA-N 0 3 313.388 4.388 20 0 DIADHN CC(C)c1ccc(CCCNCc2cnc(C3CC3)nc2)cc1 ZINC000459420292 339028141 /nfs/dbraw/zinc/02/81/41/339028141.db2.gz VVGBDIQCUXYEMQ-UHFFFAOYSA-N 0 3 309.457 4.200 20 0 DIADHN CC(=O)N1CC[C@H](NCc2ccc(C3CC3)cc2)c2ccccc21 ZINC000459448260 339029865 /nfs/dbraw/zinc/02/98/65/339029865.db2.gz XBLUQFFHLOWLOL-FQEVSTJZSA-N 0 3 320.436 4.152 20 0 DIADHN CSc1cccc(NC2CCN(c3ccncc3)CC2)c1C ZINC000459453237 339030040 /nfs/dbraw/zinc/03/00/40/339030040.db2.gz ANOXRYIRLFZUIA-UHFFFAOYSA-N 0 3 313.470 4.193 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cc3cccc(F)c3o2)[C@H]1SC ZINC000459498088 339032713 /nfs/dbraw/zinc/03/27/13/339032713.db2.gz ULXGQUDLGFOPEY-QYDQDYAYSA-N 0 3 323.433 4.132 20 0 DIADHN CCOc1cc(CN2CCC(c3ccsc3)CC2)ccc1O ZINC000459498769 339032776 /nfs/dbraw/zinc/03/27/76/339032776.db2.gz LJOAWULNPUDIHV-UHFFFAOYSA-N 0 3 317.454 4.232 20 0 DIADHN Fc1ccccc1Oc1cc(CNC2CC3(CCC3)C2)ccn1 ZINC000459514866 339033466 /nfs/dbraw/zinc/03/34/66/339033466.db2.gz MAAFLGQPLLPLAO-UHFFFAOYSA-N 0 3 312.388 4.435 20 0 DIADHN Cn1cc([C@H](NC2CC(C(C)(C)C)C2)c2ccc(F)cc2)cn1 ZINC000459527581 339034173 /nfs/dbraw/zinc/03/41/73/339034173.db2.gz KKTQVCDJRJOMFK-VMWRSERWSA-N 0 3 315.436 4.063 20 0 DIADHN c1cc(N2CCC(Nc3ccc(C4CCC4)cc3)CC2)ccn1 ZINC000459529118 339034266 /nfs/dbraw/zinc/03/42/66/339034266.db2.gz YEJWDIFBIZJTCA-UHFFFAOYSA-N 0 3 307.441 4.430 20 0 DIADHN Cc1ccc(Cc2ccccn2)cc1NC1CCN(C2CC2)CC1 ZINC000459549432 339035232 /nfs/dbraw/zinc/03/52/32/339035232.db2.gz VTPUFAPQOZCLPS-UHFFFAOYSA-N 0 3 321.468 4.020 20 0 DIADHN Cc1ccccc1-c1cccc(CN2CC3(C2)CCOCC3)c1 ZINC000459617981 339038739 /nfs/dbraw/zinc/03/87/39/339038739.db2.gz KGFMSQGXLDPXSW-UHFFFAOYSA-N 0 3 307.437 4.274 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1nnc(-c2ccccc2)s1 ZINC000459618260 339038755 /nfs/dbraw/zinc/03/87/55/339038755.db2.gz SGPIEFSZXRXSDR-GUYCJALGSA-N 0 3 301.459 4.264 20 0 DIADHN C[C@H](NCCCSCc1ccccc1)c1cncc(F)c1 ZINC000459620927 339038873 /nfs/dbraw/zinc/03/88/73/339038873.db2.gz MDPROQIKQZNCOF-AWEZNQCLSA-N 0 3 304.434 4.195 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000459623148 339038909 /nfs/dbraw/zinc/03/89/09/339038909.db2.gz YUPUELNSGRKNIG-PXAZEXFGSA-N 0 3 319.836 4.448 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H](C)Cc1ccn(C(C)C)n1 ZINC000459646412 339040230 /nfs/dbraw/zinc/04/02/30/339040230.db2.gz CBVVFVKCIDUOSE-HOTGVXAUSA-N 0 3 315.461 4.063 20 0 DIADHN COc1ccc([C@@H](C)CN[C@H](C)c2nc3c(s2)CCC3)cc1 ZINC000459649814 339040306 /nfs/dbraw/zinc/04/03/06/339040306.db2.gz MNBCWAWYLIEYNW-QWHCGFSZSA-N 0 3 316.470 4.095 20 0 DIADHN COc1ccc([C@H](C)CN[C@@H](C)c2nc3c(s2)CCC3)cc1 ZINC000459649811 339040399 /nfs/dbraw/zinc/04/03/99/339040399.db2.gz MNBCWAWYLIEYNW-OLZOCXBDSA-N 0 3 316.470 4.095 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc2c(s1)CCC2)c1cc(F)cc(F)c1 ZINC000459656921 339041007 /nfs/dbraw/zinc/04/10/07/339041007.db2.gz WJSPLAYKYTZSLK-WDEREUQCSA-N 0 3 322.424 4.364 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc2c(s1)CCC2)c1cc(F)cc(F)c1 ZINC000459656920 339041030 /nfs/dbraw/zinc/04/10/30/339041030.db2.gz WJSPLAYKYTZSLK-QWRGUYRKSA-N 0 3 322.424 4.364 20 0 DIADHN CSc1ccccc1C[C@@H](C)NCc1ccc(C)c(C)n1 ZINC000459675024 339042406 /nfs/dbraw/zinc/04/24/06/339042406.db2.gz SLFFUCHBLVZKHN-CQSZACIVSA-N 0 3 300.471 4.141 20 0 DIADHN Cc1ncc(CNCC(Cc2ccccc2)Cc2ccccc2)o1 ZINC000459677658 339042617 /nfs/dbraw/zinc/04/26/17/339042617.db2.gz PVRMQXJOBNJIMW-UHFFFAOYSA-N 0 3 320.436 4.174 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(C)c(C)n1 ZINC000459678984 339042678 /nfs/dbraw/zinc/04/26/78/339042678.db2.gz WFNMECYIROJWMQ-RVDMUPIBSA-N 0 3 310.441 4.038 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)o1 ZINC000459681839 339042904 /nfs/dbraw/zinc/04/29/04/339042904.db2.gz YBCWTROZAGNFDK-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN CN(Cc1nc2cccnc2s1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000459685434 339043037 /nfs/dbraw/zinc/04/30/37/339043037.db2.gz VVUHUBAWZLPQTI-NVXWUHKLSA-N 0 3 323.465 4.459 20 0 DIADHN CN(Cc1nc2cccnc2s1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000459685440 339043041 /nfs/dbraw/zinc/04/30/41/339043041.db2.gz VVUHUBAWZLPQTI-WBVHZDCISA-N 0 3 323.465 4.459 20 0 DIADHN COC1CCC(NCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000459690984 339043258 /nfs/dbraw/zinc/04/32/58/339043258.db2.gz UIVMQFQPQJBZHD-UHFFFAOYSA-N 0 3 306.208 4.180 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H](C)c1ccn(C)n1 ZINC000459757235 339046603 /nfs/dbraw/zinc/04/66/03/339046603.db2.gz PTWUNCUGXDQHRN-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN Fc1ccccc1-c1nc(CN[C@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000459795002 339049018 /nfs/dbraw/zinc/04/90/18/339049018.db2.gz XCIBGMLXJZMSNK-WPGHFRTFSA-N 0 3 302.418 4.227 20 0 DIADHN Fc1ccccc1-c1nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000459795001 339049037 /nfs/dbraw/zinc/04/90/37/339049037.db2.gz XCIBGMLXJZMSNK-DIOULYMOSA-N 0 3 302.418 4.227 20 0 DIADHN CCC[C@H](N[C@@H](C)c1nnc(-c2cccs2)o1)C1CCC1 ZINC000459811670 339049487 /nfs/dbraw/zinc/04/94/87/339049487.db2.gz VBFIJFXZYKBFJH-AAEUAGOBSA-N 0 3 305.447 4.418 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@@H](OC(C)(C)C)C2(C)C)o1 ZINC000459815954 339049694 /nfs/dbraw/zinc/04/96/94/339049694.db2.gz YYRNDOLWHYZSDC-HUUCEWRRSA-N 0 3 308.466 4.007 20 0 DIADHN Fc1ccc(CNC[C@H]2CCCCS2)c(C(F)(F)F)c1 ZINC000167126452 339053309 /nfs/dbraw/zinc/05/33/09/339053309.db2.gz HZDSJEIUMWPIHG-GFCCVEGCSA-N 0 3 307.356 4.220 20 0 DIADHN CC[C@@H](NCC1=CCCOC1)c1ccc(Cl)c(Cl)c1 ZINC000379757160 339053374 /nfs/dbraw/zinc/05/33/74/339053374.db2.gz YABQCNDUANDSSJ-OAHLLOKOSA-N 0 3 300.229 4.381 20 0 DIADHN Cc1csc(C2(NCCc3ccccc3Cl)CCC2)n1 ZINC000460341215 339055770 /nfs/dbraw/zinc/05/57/70/339055770.db2.gz AVKOELOXZOXJIA-UHFFFAOYSA-N 0 3 306.862 4.316 20 0 DIADHN C[C@@H](NC[C@@H]1CCN(c2ccccc2F)C1)c1ccccc1F ZINC000461053939 339058376 /nfs/dbraw/zinc/05/83/76/339058376.db2.gz RATLAIMQZCIDDV-CABCVRRESA-N 0 3 316.395 4.142 20 0 DIADHN CC[C@H](NCc1c2c(nn1C)CCCC2)c1ccc(Cl)cc1 ZINC000461084638 339058752 /nfs/dbraw/zinc/05/87/52/339058752.db2.gz IKLDYSBETYTHAL-INIZCTEOSA-N 0 3 317.864 4.193 20 0 DIADHN c1ccc(-c2cnc(CN[C@H]3CCCC[C@H]3C3CC3)nc2)cc1 ZINC000461087852 339058895 /nfs/dbraw/zinc/05/88/95/339058895.db2.gz SRTFJBGOWLYEFH-OALUTQOASA-N 0 3 307.441 4.202 20 0 DIADHN O[C@@H](CN[C@@H](c1ccc(Cl)cc1)C1CCCC1)C(F)(F)F ZINC000461357433 339062275 /nfs/dbraw/zinc/06/22/75/339062275.db2.gz CKWHAXLEDYZXOA-UONOGXRCSA-N 0 3 321.770 4.084 20 0 DIADHN COc1ccc(C2(NCc3ccc(C(F)F)cc3)CC2)cc1 ZINC000461360746 339062368 /nfs/dbraw/zinc/06/23/68/339062368.db2.gz LATAHLVDQYGVBK-UHFFFAOYSA-N 0 3 303.352 4.412 20 0 DIADHN CN(CCOc1ccc(F)cc1)Cc1ccc(C(F)F)cc1 ZINC000461397075 339063175 /nfs/dbraw/zinc/06/31/75/339063175.db2.gz SDZASNHKHSNTPP-UHFFFAOYSA-N 0 3 309.331 4.274 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@H]1c1cccc(C)c1C)N(CC)CC ZINC000461754861 339066518 /nfs/dbraw/zinc/06/65/18/339066518.db2.gz IVGSVFKMYDJICK-OALUTQOASA-N 0 3 316.489 4.087 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1cn2c(n1)CCCC2 ZINC000461886684 339067936 /nfs/dbraw/zinc/06/79/36/339067936.db2.gz HWQJIMWLVGCYBL-SFHVURJKSA-N 0 3 311.473 4.111 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)c2cccc(CN(C)C)c2)c1 ZINC000461968065 339070117 /nfs/dbraw/zinc/07/01/17/339070117.db2.gz TVHSVSNKXRJQLB-UHFFFAOYSA-N 0 3 310.441 4.148 20 0 DIADHN CC(C)COC[C@H](N[C@H]1CCc2ccccc2C1)c1ccco1 ZINC000462042332 339071207 /nfs/dbraw/zinc/07/12/07/339071207.db2.gz XSHGBICSIGPENT-OALUTQOASA-N 0 3 313.441 4.140 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(Cl)c(Cl)c2)c1 ZINC000462057417 339071541 /nfs/dbraw/zinc/07/15/41/339071541.db2.gz KSDNWGIBMJCCND-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H](COC)c1ccco1 ZINC000462121310 339072564 /nfs/dbraw/zinc/07/25/64/339072564.db2.gz NQRVFADFRHSSMZ-MSOLQXFVSA-N 0 3 301.430 4.358 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H](COC)c1ccco1 ZINC000462121312 339072586 /nfs/dbraw/zinc/07/25/86/339072586.db2.gz NQRVFADFRHSSMZ-ROUUACIJSA-N 0 3 301.430 4.358 20 0 DIADHN CC(C)(O)CCN(Cc1ccc(Cl)c(Cl)c1)C1CC1 ZINC000462314166 339075589 /nfs/dbraw/zinc/07/55/89/339075589.db2.gz GWUVBPXXHLVYBI-UHFFFAOYSA-N 0 3 302.245 4.119 20 0 DIADHN Fc1ccccc1C=C1CCN(CCCc2ccncc2)CC1 ZINC000462316855 339075700 /nfs/dbraw/zinc/07/57/00/339075700.db2.gz BGSWQFDARPSNGI-UHFFFAOYSA-N 0 3 310.416 4.333 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000462505046 339080303 /nfs/dbraw/zinc/08/03/03/339080303.db2.gz BKVROFBUWMVJRN-KDOFPFPSSA-N 0 3 320.440 4.136 20 0 DIADHN Cc1cccc2ncc(CN[C@H]3c4ccccc4CCC[C@@H]3C)n21 ZINC000462543149 339080923 /nfs/dbraw/zinc/08/09/23/339080923.db2.gz RFAQRKCLISMVKU-YCRPNKLZSA-N 0 3 319.452 4.446 20 0 DIADHN CC(C)Cn1cc(CN[C@@H]2c3ccccc3CCC[C@H]2C)cn1 ZINC000462542115 339081004 /nfs/dbraw/zinc/08/10/04/339081004.db2.gz BETIKDZNRQRPCB-UZLBHIALSA-N 0 3 311.473 4.342 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1NCc1cnn2ccccc12 ZINC000462547525 339081089 /nfs/dbraw/zinc/08/10/89/339081089.db2.gz HMHPVDQKNAZMQO-RXVVDRJESA-N 0 3 319.452 4.384 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1nc2ccccc2n1C ZINC000462728844 339085124 /nfs/dbraw/zinc/08/51/24/339085124.db2.gz MDJXMAQRSSDYMF-GOSISDBHSA-N 0 3 321.468 4.464 20 0 DIADHN CC[C@H](NCc1nc2ccccc2n1C)C(C)(C)c1ccccc1 ZINC000462731459 339085160 /nfs/dbraw/zinc/08/51/60/339085160.db2.gz XCUUOCKEPVLRAO-IBGZPJMESA-N 0 3 321.468 4.419 20 0 DIADHN CC[C@@H](NCc1nc2ccccc2n1C)C(C)(C)c1ccccc1 ZINC000462731460 339085228 /nfs/dbraw/zinc/08/52/28/339085228.db2.gz XCUUOCKEPVLRAO-LJQANCHMSA-N 0 3 321.468 4.419 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2cccc(C)c2)cc1Cl ZINC000462732847 339085293 /nfs/dbraw/zinc/08/52/93/339085293.db2.gz FPLZVOCBINDDRZ-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN Cc1cccc(O[C@H](C)CNCc2ccc(OC(F)F)cc2)c1 ZINC000462733285 339085408 /nfs/dbraw/zinc/08/54/08/339085408.db2.gz CLBLOVZDVOQQLL-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN Cc1cccc(O[C@@H](C)CNCc2ccc(OC(F)F)cc2)c1 ZINC000462733284 339085428 /nfs/dbraw/zinc/08/54/28/339085428.db2.gz CLBLOVZDVOQQLL-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2cccc(F)c2)c(Cl)c1 ZINC000462733639 339085432 /nfs/dbraw/zinc/08/54/32/339085432.db2.gz JUHKGYBRXCXTHC-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ccc(O[C@H](C)CNCc2ccc(OC(F)F)cc2)cc1 ZINC000462733744 339085488 /nfs/dbraw/zinc/08/54/88/339085488.db2.gz GIQFDQVMQFVEMP-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN COc1cc(CNC/C=C\c2ccccc2)c2ccccc2n1 ZINC000462737228 339085852 /nfs/dbraw/zinc/08/58/52/339085852.db2.gz IUICZALOGMEJOZ-YFHOEESVSA-N 0 3 304.393 4.046 20 0 DIADHN Cc1ccc(O[C@@H](C)CNCc2cccc(OC(F)F)c2)cc1 ZINC000462740290 339086181 /nfs/dbraw/zinc/08/61/81/339086181.db2.gz ZHGBOUSHHNRQMS-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@@H](CNCc1cccc(C)c1C)c1cccc(Cl)c1 ZINC000462753826 339086975 /nfs/dbraw/zinc/08/69/75/339086975.db2.gz FELOZZXUZWWWCR-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN CO[C@H](CNCc1cccc(C)c1C)c1cccc(Cl)c1 ZINC000462753825 339087093 /nfs/dbraw/zinc/08/70/93/339087093.db2.gz FELOZZXUZWWWCR-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN CO[C@H](CNCc1ccc(C)c(C)c1)c1cccc(Cl)c1 ZINC000462756455 339087158 /nfs/dbraw/zinc/08/71/58/339087158.db2.gz PXNGESUJMPLVMG-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H]2C2CCCCC2)c(Cl)c1OC ZINC000462763098 339087466 /nfs/dbraw/zinc/08/74/66/339087466.db2.gz LBPDCIFFXHQDHR-LSDHHAIUSA-N 0 3 323.864 4.416 20 0 DIADHN c1ccc(C[C@@H]2C[C@@H]2NCc2ccccc2OCC2CC2)cc1 ZINC000462763126 339087473 /nfs/dbraw/zinc/08/74/73/339087473.db2.gz LFGFWRPPJMEQHZ-UXHICEINSA-N 0 3 307.437 4.196 20 0 DIADHN Clc1ccc2ncc(CN[C@H]3C[C@@H]3C3CCCCC3)n2c1 ZINC000462767652 339087624 /nfs/dbraw/zinc/08/76/24/339087624.db2.gz SYSUCBXYCLLGMO-CVEARBPZSA-N 0 3 303.837 4.046 20 0 DIADHN CC(C)c1ccc(C[C@H](C)NCc2cn(C)nc2C(F)F)cc1 ZINC000462775115 339088032 /nfs/dbraw/zinc/08/80/32/339088032.db2.gz GRVKTFYUDPWSCU-ZDUSSCGKSA-N 0 3 321.415 4.202 20 0 DIADHN FC(F)(F)COCCNCc1ccc(C2CCCC2)cc1 ZINC000462781157 339088209 /nfs/dbraw/zinc/08/82/09/339088209.db2.gz YQNWSQSPKNJWGL-UHFFFAOYSA-N 0 3 301.352 4.013 20 0 DIADHN CN(C)c1ccc(CNCc2ccc(C3CCCC3)cc2)cn1 ZINC000462787482 339088630 /nfs/dbraw/zinc/08/86/30/339088630.db2.gz JZMXPSBPRCLVEY-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN C[C@H](NCc1cn(C)nc1C(F)F)c1cc2ccccc2s1 ZINC000462790112 339088714 /nfs/dbraw/zinc/08/87/14/339088714.db2.gz LALRIZHIICUEKL-JTQLQIEISA-N 0 3 321.396 4.423 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCC[C@H]2C(F)(F)F)cs1 ZINC000462800208 339089680 /nfs/dbraw/zinc/08/96/80/339089680.db2.gz ASPQKBKNFSCMHN-MVWJERBFSA-N 0 3 306.397 4.471 20 0 DIADHN CC[C@H](NC[C@@](C)(OC)C1CC1)c1ccccc1OC(F)F ZINC000462808891 339090529 /nfs/dbraw/zinc/09/05/29/339090529.db2.gz QBFNRUIVPSUOPE-WMLDXEAASA-N 0 3 313.388 4.144 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1csc(-c2ccccc2F)n1 ZINC000462808316 339090605 /nfs/dbraw/zinc/09/06/05/339090605.db2.gz CWHYPNHYUZWGPG-NEPJUHHUSA-N 0 3 322.474 4.495 20 0 DIADHN C[C@@H](NCc1c2c(nn1C)CCCC2)c1ccc2c(c1)CCCC2 ZINC000462814833 339091313 /nfs/dbraw/zinc/09/13/13/339091313.db2.gz GMOUUJOBJJGTLX-OAHLLOKOSA-N 0 3 323.484 4.029 20 0 DIADHN CCc1cccc2c(CCN[C@H](C)c3csc(C)n3)c[nH]c21 ZINC000462820766 339091940 /nfs/dbraw/zinc/09/19/40/339091940.db2.gz YVTJUOHBFWOPIV-GFCCVEGCSA-N 0 3 313.470 4.389 20 0 DIADHN C[C@H](O)CN(C)Cc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000462823836 339092220 /nfs/dbraw/zinc/09/22/20/339092220.db2.gz BNIQIQNEAGGZFQ-JTQLQIEISA-N 0 3 314.212 4.066 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CCCCOc1ccccc1)C2 ZINC000462829428 339092936 /nfs/dbraw/zinc/09/29/36/339092936.db2.gz DPYLZZMZMDFGMY-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000462831433 339092970 /nfs/dbraw/zinc/09/29/70/339092970.db2.gz CGKKPBVSHWHWQU-SNRMKQJTSA-N 0 3 310.441 4.215 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@@H](c2ccccc2)C1)c1ccc(F)cn1 ZINC000462837154 339093429 /nfs/dbraw/zinc/09/34/29/339093429.db2.gz PLIBWDPPCGRPTR-JENIJYKNSA-N 0 3 314.404 4.182 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1cccc(C(F)(F)F)c1 ZINC000462836789 339093481 /nfs/dbraw/zinc/09/34/81/339093481.db2.gz UBHNILPIJWVNKV-GFCCVEGCSA-N 0 3 301.352 4.173 20 0 DIADHN CC(C)N(Cc1cc(-c2ccccc2)cs1)[C@H]1CCOC1 ZINC000462871522 339095405 /nfs/dbraw/zinc/09/54/05/339095405.db2.gz QKDMSRDGOIFNIL-KRWDZBQOSA-N 0 3 301.455 4.414 20 0 DIADHN CC(C)N(Cc1ccc(Cl)c(C(F)(F)F)c1)[C@@H]1CCOC1 ZINC000462870195 339095440 /nfs/dbraw/zinc/09/54/40/339095440.db2.gz ZCZRPTFQYUGOPD-GFCCVEGCSA-N 0 3 321.770 4.358 20 0 DIADHN C=Cc1ccc(CCNCc2coc(-c3ccccc3)n2)cc1 ZINC000462907893 339097318 /nfs/dbraw/zinc/09/73/18/339097318.db2.gz VVIKWAYDKJOHGA-UHFFFAOYSA-N 0 3 304.393 4.317 20 0 DIADHN CO[C@](C)(CNCc1ccc(-c2ccc(F)cc2C)o1)C1CC1 ZINC000462907959 339097354 /nfs/dbraw/zinc/09/73/54/339097354.db2.gz WTZJDUUUOBQMFU-LJQANCHMSA-N 0 3 317.404 4.299 20 0 DIADHN Fc1ccccc1N1CC[C@H](CNCc2sccc2Cl)C1 ZINC000462914963 339097978 /nfs/dbraw/zinc/09/79/78/339097978.db2.gz TZSJZQREAJUBGF-GFCCVEGCSA-N 0 3 324.852 4.157 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cn(C)nc2C(F)F)c1C ZINC000462963820 339099277 /nfs/dbraw/zinc/09/92/77/339099277.db2.gz LPFMGYBKYATNCO-MRXNPFEDSA-N 0 3 319.399 4.312 20 0 DIADHN CCN(CC(C)(C)C)C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000463016775 339100635 /nfs/dbraw/zinc/10/06/35/339100635.db2.gz WJYUDXNMQMSBCN-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2C[C@@H](OC(C)C)C2(C)C)s1 ZINC000463025359 339101192 /nfs/dbraw/zinc/10/11/92/339101192.db2.gz UHFSQWIQOMMCAX-HUUCEWRRSA-N 0 3 310.507 4.023 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccc(OC(C)(C)C)cc1 ZINC000463031549 339101877 /nfs/dbraw/zinc/10/18/77/339101877.db2.gz VUNWHELGPRZQGW-OAHLLOKOSA-N 0 3 305.462 4.474 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)cc1F ZINC000463032295 339102036 /nfs/dbraw/zinc/10/20/36/339102036.db2.gz HWWUAZGRCWCMGX-OCCSQVGLSA-N 0 3 319.342 4.387 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CC[C@@H](n2cccn2)C1 ZINC000463041284 339102614 /nfs/dbraw/zinc/10/26/14/339102614.db2.gz XVRGKNPWVLVQSK-CYBMUJFWSA-N 0 3 324.255 4.070 20 0 DIADHN c1cnn([C@H]2CCN(Cc3ccc(-c4ccccc4)s3)C2)c1 ZINC000463043398 339102775 /nfs/dbraw/zinc/10/27/75/339102775.db2.gz NXWWWXJLPZVVMG-INIZCTEOSA-N 0 3 309.438 4.059 20 0 DIADHN Cc1nc(C)c(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)s1 ZINC000463045234 339102829 /nfs/dbraw/zinc/10/28/29/339102829.db2.gz VKGHQLKMYMNHMM-NEPJUHHUSA-N 0 3 306.397 4.313 20 0 DIADHN CCN(C/C(C)=C\c1ccccc1C(F)(F)F)[C@@H]1CCOC1 ZINC000463043116 339102845 /nfs/dbraw/zinc/10/28/45/339102845.db2.gz MVXGFODLNCHUNI-VSKPTYQZSA-N 0 3 313.363 4.220 20 0 DIADHN CCN(C/C(C)=C/c1ccccc1C(F)(F)F)[C@@H]1CCOC1 ZINC000463043114 339102864 /nfs/dbraw/zinc/10/28/64/339102864.db2.gz MVXGFODLNCHUNI-NRMKIYEFSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cn1 ZINC000463055170 339103416 /nfs/dbraw/zinc/10/34/16/339103416.db2.gz IXCWQBWXTYSKIJ-INIZCTEOSA-N 0 3 320.358 4.398 20 0 DIADHN CN(Cc1ccc(Br)o1)C[C@@H]1C[C@H]1c1ccccc1 ZINC000463069268 339103991 /nfs/dbraw/zinc/10/39/91/339103991.db2.gz JLEFGWMWAZWXKV-ZFWWWQNUSA-N 0 3 320.230 4.278 20 0 DIADHN c1coc(CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@H]2CCCO2)c1 ZINC000463073733 339104326 /nfs/dbraw/zinc/10/43/26/339104326.db2.gz WZRJVJXOHLVRNM-SXLOBPIMSA-N 0 3 311.425 4.064 20 0 DIADHN CCN(Cc1nc2ccc(Br)cc2o1)CC(C)C ZINC000463074629 339104374 /nfs/dbraw/zinc/10/43/74/339104374.db2.gz SYYSSWYUUKLZFI-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463077204 339104652 /nfs/dbraw/zinc/10/46/52/339104652.db2.gz MZQFNXMMIRSFKN-JTQLQIEISA-N 0 3 311.223 4.067 20 0 DIADHN CN(CCCc1ccccc1)Cc1cncc(C(F)(F)F)c1 ZINC000463079729 339104957 /nfs/dbraw/zinc/10/49/57/339104957.db2.gz JADVFXRIPSLLGK-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CCCN(CCC)Cc1nc2ccc(Br)cc2o1 ZINC000463080324 339105012 /nfs/dbraw/zinc/10/50/12/339105012.db2.gz WSCCQHPKKMYJNR-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cncc(C(F)(F)F)c2)cc1 ZINC000463092544 339105419 /nfs/dbraw/zinc/10/54/19/339105419.db2.gz XSKGTKFSXLAMJH-AWEZNQCLSA-N 0 3 322.374 4.472 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1cncc(C(F)(F)F)c1 ZINC000463092649 339105532 /nfs/dbraw/zinc/10/55/32/339105532.db2.gz YGUVFDXHCZBRCA-XJKSGUPXSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1cncc(C(F)(F)F)c1 ZINC000463092645 339105541 /nfs/dbraw/zinc/10/55/41/339105541.db2.gz YGUVFDXHCZBRCA-CJNGLKHVSA-N 0 3 320.358 4.478 20 0 DIADHN COCC1(CN2CCCC[C@@H]2c2nc3ccccc3o2)CCC1 ZINC000463113837 339106310 /nfs/dbraw/zinc/10/63/10/339106310.db2.gz PUTFKRCKSLYHFG-MRXNPFEDSA-N 0 3 314.429 4.172 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463132688 339106825 /nfs/dbraw/zinc/10/68/25/339106825.db2.gz BNPHZCMUPXFWCJ-ZYHUDNBSSA-N 0 3 323.234 4.259 20 0 DIADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccc1Oc1cccnc1 ZINC000463137712 339106869 /nfs/dbraw/zinc/10/68/69/339106869.db2.gz MKKUEHUWNLGXFN-NSHDSACASA-N 0 3 324.302 4.319 20 0 DIADHN C[C@@H]1CCCCN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463205495 339108863 /nfs/dbraw/zinc/10/88/63/339108863.db2.gz UPXOHMANMXIRES-LLVKDONJSA-N 0 3 323.234 4.212 20 0 DIADHN CCN(Cc1nc2ccc(Br)cc2o1)CC1CCC1 ZINC000463201347 339109042 /nfs/dbraw/zinc/10/90/42/339109042.db2.gz LKWKVPNANGIIKS-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN CC(C(=O)Nc1ccc(OC(F)F)c(Cl)c1)C(F)(F)F ZINC000463222434 339110283 /nfs/dbraw/zinc/11/02/83/339110283.db2.gz LKCWFVYIIGZTHP-RXMQYKEDSA-N 0 3 317.641 4.078 20 0 DIADHN Fc1ccc(CN(CCCC2CCOCC2)C2CC2)c(F)c1 ZINC000463278852 339113048 /nfs/dbraw/zinc/11/30/48/339113048.db2.gz DETVNXRFJTXXFO-UHFFFAOYSA-N 0 3 309.400 4.136 20 0 DIADHN OCCCCCN1CCC(c2cccc(Cl)c2Cl)CC1 ZINC000463360928 339115748 /nfs/dbraw/zinc/11/57/48/339115748.db2.gz XLWGOKFEURCQJA-UHFFFAOYSA-N 0 3 316.272 4.335 20 0 DIADHN c1csc(-c2noc(CN[C@H]3CCCCC34CCCC4)n2)c1 ZINC000463436277 339117672 /nfs/dbraw/zinc/11/76/72/339117672.db2.gz XSRFYSOOSJYWKA-AWEZNQCLSA-N 0 3 317.458 4.391 20 0 DIADHN c1ccc(COC2CC(N[C@H]3CSc4ccccc43)C2)cc1 ZINC000463577704 339122032 /nfs/dbraw/zinc/12/20/32/339122032.db2.gz ZNAJEWOGKCUZSJ-HTWSVDAQSA-N 0 3 311.450 4.171 20 0 DIADHN CCCOc1ccc(CN[C@H](C)CC(F)(F)F)cc1OCC ZINC000463703373 339124243 /nfs/dbraw/zinc/12/42/43/339124243.db2.gz ANOQPLINWSFUCP-GFCCVEGCSA-N 0 3 319.367 4.305 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cnc(-c2cccs2)s1 ZINC000463709739 339124332 /nfs/dbraw/zinc/12/43/32/339124332.db2.gz UVVLLSOTXXNKSR-MRVPVSSYSA-N 0 3 306.378 4.302 20 0 DIADHN Cc1ccc(-c2csc(NC(=O)C(C)C(F)(F)F)n2)cc1 ZINC000463760398 339125734 /nfs/dbraw/zinc/12/57/34/339125734.db2.gz OCJIOUGBUTUXPR-VIFPVBQESA-N 0 3 314.332 4.255 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)cc1-n1cccc1)C(F)(F)F ZINC000463875819 339127795 /nfs/dbraw/zinc/12/77/95/339127795.db2.gz FCFPYPUQPCESIM-VIFPVBQESA-N 0 3 316.710 4.268 20 0 DIADHN CC[C@H](C)C[C@H](C)NC(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000463892505 339128145 /nfs/dbraw/zinc/12/81/45/339128145.db2.gz JNBJOLHWSUOSAZ-HOTGVXAUSA-N 0 3 316.489 4.225 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNC[C@H]2CCCCO2)c1 ZINC000464043771 339131670 /nfs/dbraw/zinc/13/16/70/339131670.db2.gz LZRGFOQXOGIGJL-QGZVFWFLSA-N 0 3 323.864 4.320 20 0 DIADHN CC(C(=O)Nc1ccc(COC2CCCC2)cc1)C(F)(F)F ZINC000464063978 339132666 /nfs/dbraw/zinc/13/26/66/339132666.db2.gz JMIFLQSBIPHCTJ-NSHDSACASA-N 0 3 315.335 4.283 20 0 DIADHN CC(C(=O)Nc1cccc(N2CCCCCC2)c1)C(F)(F)F ZINC000464075245 339133260 /nfs/dbraw/zinc/13/32/60/339133260.db2.gz WJSVDMZLNCCCJE-LBPRGKRZSA-N 0 3 314.351 4.204 20 0 DIADHN Cc1ccccc1[C@H]([C@@H](C)NC(=O)c1c(C)cccc1C)N(C)C ZINC000464423998 339141634 /nfs/dbraw/zinc/14/16/34/339141634.db2.gz FIMSULZRCQJETL-XLIONFOSSA-N 0 3 324.468 4.033 20 0 DIADHN CCCN(CCc1cccs1)Cc1nc2cccnc2s1 ZINC000464594551 339146673 /nfs/dbraw/zinc/14/66/73/339146673.db2.gz UVPRLVREWMVBNC-UHFFFAOYSA-N 0 3 317.483 4.208 20 0 DIADHN CCN(Cc1cc(C)nc(-c2ccc(Cl)cc2)n1)CC1CC1 ZINC000464598450 339146921 /nfs/dbraw/zinc/14/69/21/339146921.db2.gz LWWUHHCKBVBKAC-UHFFFAOYSA-N 0 3 315.848 4.337 20 0 DIADHN CN(CCc1cccs1)Cc1cc(-c2ccc(F)cc2)no1 ZINC000464757332 339151290 /nfs/dbraw/zinc/15/12/90/339151290.db2.gz MFWCDYZFKYJSNW-UHFFFAOYSA-N 0 3 316.401 4.217 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCCC[C@H]1c1cccc(C)c1C ZINC000464770977 339152362 /nfs/dbraw/zinc/15/23/62/339152362.db2.gz FSKRVSDZRPGCPL-SFHVURJKSA-N 0 3 316.489 4.135 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(-c3ccccc3)no2)C1 ZINC000464772955 339152433 /nfs/dbraw/zinc/15/24/33/339152433.db2.gz JVRNJBUCUOKTNC-RDJZCZTQSA-N 0 3 316.470 4.448 20 0 DIADHN C[C@H]1CN(Cc2cccc(C(=O)Nc3ccccc3)c2)C[C@H]1C ZINC000464842028 339156903 /nfs/dbraw/zinc/15/69/03/339156903.db2.gz ZYKHQUXUSPQAAR-IYBDPMFKSA-N 0 3 308.425 4.027 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1C[C@H](C)[C@H](C)C1 ZINC000464845662 339157103 /nfs/dbraw/zinc/15/71/03/339157103.db2.gz OHLRHMTUYKUCTG-JONQDZQNSA-N 0 3 302.462 4.115 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(-c2ccccc2)no1 ZINC000464850703 339157635 /nfs/dbraw/zinc/15/76/35/339157635.db2.gz NVAUBIPKFHALMJ-CQSZACIVSA-N 0 3 304.459 4.305 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC000464852021 339157847 /nfs/dbraw/zinc/15/78/47/339157847.db2.gz ANNALZHEQVFAOS-CYBMUJFWSA-N 0 3 322.449 4.444 20 0 DIADHN CCCCOC1CCN(CCCOc2c(C)cccc2C)CC1 ZINC000464862206 339158608 /nfs/dbraw/zinc/15/86/08/339158608.db2.gz WTZJTPQSPIULKG-UHFFFAOYSA-N 0 3 319.489 4.353 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](C)c2ccc(C)cc2)cc1F ZINC000464864148 339158957 /nfs/dbraw/zinc/15/89/57/339158957.db2.gz HGPKMNOTVRWJPU-AWEZNQCLSA-N 0 3 315.388 4.114 20 0 DIADHN CSCCCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000464867344 339159101 /nfs/dbraw/zinc/15/91/01/339159101.db2.gz VGTIHQKIHHNDSF-CQSZACIVSA-N 0 3 314.292 4.339 20 0 DIADHN CN(CCCCc1ccccc1)Cc1nc2cc(F)ccc2o1 ZINC000464872060 339159610 /nfs/dbraw/zinc/15/96/10/339159610.db2.gz SJYIHLNGXVQZFS-UHFFFAOYSA-N 0 3 312.388 4.422 20 0 DIADHN Clc1ccc(-c2cc(CN3C[C@@H]4CCC[C@H]4C3)on2)cc1 ZINC000464882084 339160024 /nfs/dbraw/zinc/16/00/24/339160024.db2.gz XOFGOLFPBAOBSU-KBPBESRZSA-N 0 3 302.805 4.227 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3C[C@@H]4CCC[C@@H]4C3)n2)cc1 ZINC000464896189 339160734 /nfs/dbraw/zinc/16/07/34/339160734.db2.gz NUUPJANVTGPIPB-CALCHBBNSA-N 0 3 311.429 4.092 20 0 DIADHN Fc1ccc2oc(CN3CC[C@@H](Cc4ccccc4)C3)nc2c1 ZINC000464897165 339160962 /nfs/dbraw/zinc/16/09/62/339160962.db2.gz SLDXIIYTISYYOA-HNNXBMFYSA-N 0 3 310.372 4.032 20 0 DIADHN CCC1(C(=O)NCC(C)(C)N[C@@H](C)c2ccccc2)CCCC1 ZINC000464984146 339164461 /nfs/dbraw/zinc/16/44/61/339164461.db2.gz NDUVFUBTFYOUQE-INIZCTEOSA-N 0 3 316.489 4.202 20 0 DIADHN CO[C@@H](CNCc1cccc(OC2CCCC2)c1)C(C)(C)C ZINC000465012556 339165495 /nfs/dbraw/zinc/16/54/95/339165495.db2.gz PEFTWKUYBWDJTA-SFHVURJKSA-N 0 3 305.462 4.159 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@H](OC)C(C)(C)C ZINC000465019897 339165612 /nfs/dbraw/zinc/16/56/12/339165612.db2.gz VWYSCEIAOBNDQY-ZDUSSCGKSA-N 0 3 320.260 4.153 20 0 DIADHN CCC[C@@](C)(O)CNCc1ccc(-c2ccc(CC)cc2)o1 ZINC000465016557 339165653 /nfs/dbraw/zinc/16/56/53/339165653.db2.gz IGCOGNAIBMQIKX-LJQANCHMSA-N 0 3 301.430 4.150 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@H](O)C(C)(C)C)o2)cc1 ZINC000465019451 339165679 /nfs/dbraw/zinc/16/56/79/339165679.db2.gz RGJBDRKTTVTYJP-SFHVURJKSA-N 0 3 301.430 4.006 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@H](O)[C@H](C)CC)o2)cc1 ZINC000465020086 339165749 /nfs/dbraw/zinc/16/57/49/339165749.db2.gz YRKBKFASXMCMSD-KDOFPFPSSA-N 0 3 301.430 4.006 20 0 DIADHN CCC(C)(C)CC(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000465135275 339169983 /nfs/dbraw/zinc/16/99/83/339169983.db2.gz QDUDSAFPJYGCQN-HNNXBMFYSA-N 0 3 304.478 4.058 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccccc1Br)C(C)(C)C ZINC000465416722 339175602 /nfs/dbraw/zinc/17/56/02/339175602.db2.gz ZVIUGXSFBXOPLY-BXUZGUMPSA-N 0 3 314.267 4.161 20 0 DIADHN CC(C(=O)N(c1ccc(F)cc1)C1CCCC1)C(F)(F)F ZINC000465493378 339178269 /nfs/dbraw/zinc/17/82/69/339178269.db2.gz WSEBYTLBGSVWNR-JTQLQIEISA-N 0 3 303.299 4.300 20 0 DIADHN CC1(c2ccccc2)CC(NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000466394081 339202278 /nfs/dbraw/zinc/20/22/78/339202278.db2.gz AOBKPZYMLNHPIV-UHFFFAOYSA-N 0 3 317.436 4.287 20 0 DIADHN CC(C)Cc1ncc(CNC2CC(c3ccccc3)C2)s1 ZINC000466418938 339203744 /nfs/dbraw/zinc/20/37/44/339203744.db2.gz DKLUXYUMQTVQSC-UHFFFAOYSA-N 0 3 300.471 4.377 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNC1CC(c2ccccc2)C1 ZINC000466423635 339204241 /nfs/dbraw/zinc/20/42/41/339204241.db2.gz ZJDQMQCXYGUFSF-UHFFFAOYSA-N 0 3 317.436 4.217 20 0 DIADHN N=C1Nc2nc3ccccc3n2C2(CCC3(CCCCC3)CC2)N1 ZINC000466425553 339204302 /nfs/dbraw/zinc/20/43/02/339204302.db2.gz LLNFNAFFBHQRQO-UHFFFAOYSA-N 0 3 323.444 4.163 20 0 DIADHN Cc1oc2ccccc2c1CNCC1=Cc2ccccc2OC1 ZINC000466444754 339205287 /nfs/dbraw/zinc/20/52/87/339205287.db2.gz BVWKYXBCEYMMJY-UHFFFAOYSA-N 0 3 305.377 4.307 20 0 DIADHN CCn1cc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)cn1 ZINC000466476792 339206794 /nfs/dbraw/zinc/20/67/94/339206794.db2.gz ACCHTFNAFGRIOD-HDJSIYSDSA-N 0 3 324.255 4.246 20 0 DIADHN CCc1noc(C)c1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC000466829008 339218421 /nfs/dbraw/zinc/21/84/21/339218421.db2.gz NNXBCRVSTHVGRL-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000466908189 339220908 /nfs/dbraw/zinc/22/09/08/339220908.db2.gz OOOVFSHUBCNZOW-GJZGRUSLSA-N 0 3 302.462 4.043 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1csc(-c2cccc(OC)c2)n1 ZINC000466915340 339221327 /nfs/dbraw/zinc/22/13/27/339221327.db2.gz JFUAGVHGOSDPRE-BBRMVZONSA-N 0 3 316.470 4.439 20 0 DIADHN CCCCOc1ccc(CNCc2ccn(C)c2)cc1Cl ZINC000466916728 339221450 /nfs/dbraw/zinc/22/14/50/339221450.db2.gz KAUCQZFMBHGDSF-UHFFFAOYSA-N 0 3 306.837 4.147 20 0 DIADHN C[C@H](NC(=O)CN1[C@H](C)CCC[C@@H]1C)c1ccc2ccccc2c1 ZINC000466917235 339221512 /nfs/dbraw/zinc/22/15/12/339221512.db2.gz OGKJGRCUASHHNX-BBWFWOEESA-N 0 3 324.468 4.280 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000466924064 339221724 /nfs/dbraw/zinc/22/17/24/339221724.db2.gz NXDNZDXLNLLDPS-LZLYRXPVSA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@@H](C)C[C@H]1CC)c1ccc(Cl)cc1 ZINC000466926409 339221856 /nfs/dbraw/zinc/22/18/56/339221856.db2.gz SALKZVQHRPJKMH-XKQJLSEDSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1csc(-c2ccc(OC)cc2)n1 ZINC000466932054 339222193 /nfs/dbraw/zinc/22/21/93/339222193.db2.gz FCEJVRFKMFSCNJ-BBRMVZONSA-N 0 3 316.470 4.439 20 0 DIADHN CCc1noc(C)c1CN(C)CC(C)(C)c1ccc(C)cc1 ZINC000466959073 339222844 /nfs/dbraw/zinc/22/28/44/339222844.db2.gz FHZGOTHTIPRBBR-UHFFFAOYSA-N 0 3 300.446 4.263 20 0 DIADHN CCc1noc(C)c1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000466958664 339222924 /nfs/dbraw/zinc/22/29/24/339222924.db2.gz XNIIWUXEWSNNMY-LBPRGKRZSA-N 0 3 306.837 4.262 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCO[C@H]2CCCC[C@@H]2C)o1 ZINC000467119355 339226939 /nfs/dbraw/zinc/22/69/39/339226939.db2.gz BCYAJMNLCARVAQ-SZMVWBNQSA-N 0 3 321.461 4.158 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCC3(CCCCC3)O2)cc1F ZINC000467189993 339227601 /nfs/dbraw/zinc/22/76/01/339227601.db2.gz DMNVIRKFXFWPRS-GOEBONIOSA-N 0 3 321.436 4.367 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000467211704 339228427 /nfs/dbraw/zinc/22/84/27/339228427.db2.gz NLUUQPDTISRLOO-SJLPKXTDSA-N 0 3 322.452 4.413 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2cccc(C(F)(F)F)c2)C1 ZINC000467270806 339229576 /nfs/dbraw/zinc/22/95/76/339229576.db2.gz FJQSUWNSVOIPEH-AWEZNQCLSA-N 0 3 315.379 4.344 20 0 DIADHN C[C@H](NCCc1nc2ccccc2n1C)c1cc2ccccc2o1 ZINC000467279240 339229746 /nfs/dbraw/zinc/22/97/46/339229746.db2.gz CHKURUFTCCGYCA-AWEZNQCLSA-N 0 3 319.408 4.213 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ccc(Cl)c(Cl)c2)C1 ZINC000467325853 339231751 /nfs/dbraw/zinc/23/17/51/339231751.db2.gz IQLIVBNDEPWJJB-CYBMUJFWSA-N 0 3 302.245 4.242 20 0 DIADHN CC(C)(CN[C@@H](c1cncc(F)c1)C1CC1)Oc1ccccc1 ZINC000467337952 339232436 /nfs/dbraw/zinc/23/24/36/339232436.db2.gz HGSPAGALHAQYAP-GOSISDBHSA-N 0 3 314.404 4.119 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000467380263 339233686 /nfs/dbraw/zinc/23/36/86/339233686.db2.gz FIRWZOWWGROTCT-OYNCUSHFSA-N 0 3 308.274 4.445 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1CCC[C@H]([C@H]2CCOC2)C1 ZINC000467359846 339233895 /nfs/dbraw/zinc/23/38/95/339233895.db2.gz USJHEVWCFPLCDV-YHUYYLMFSA-N 0 3 321.436 4.080 20 0 DIADHN Cc1ccccc1OCCCN[C@H](c1cncc(F)c1)C1CC1 ZINC000467358871 339233923 /nfs/dbraw/zinc/23/39/23/339233923.db2.gz QDVHCUXRIYZHHI-IBGZPJMESA-N 0 3 314.404 4.039 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H](C)c1cc2ccccc2o1 ZINC000467363121 339234133 /nfs/dbraw/zinc/23/41/33/339234133.db2.gz XOTARFLLTGUEBM-YJBOKZPZSA-N 0 3 309.409 4.341 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](c2cncc(F)c2)C2CC2)C1(CC)CC ZINC000467370296 339234696 /nfs/dbraw/zinc/23/46/96/339234696.db2.gz PBAHOMGTAFVSGI-KURKYZTESA-N 0 3 320.452 4.245 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1cc(-c2ccccc2)cs1 ZINC000467373416 339234959 /nfs/dbraw/zinc/23/49/59/339234959.db2.gz MCXVPTYDRJMTIR-KRWDZBQOSA-N 0 3 301.455 4.416 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1cc(-c2ccccc2)cs1 ZINC000467373417 339234995 /nfs/dbraw/zinc/23/49/95/339234995.db2.gz MCXVPTYDRJMTIR-QGZVFWFLSA-N 0 3 301.455 4.416 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000467383273 339235760 /nfs/dbraw/zinc/23/57/60/339235760.db2.gz DNHDLKQVPWDBHU-AXFHLTTASA-N 0 3 310.488 4.254 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1)c1c(F)cccc1F ZINC000467389360 339236311 /nfs/dbraw/zinc/23/63/11/339236311.db2.gz JYPSAFNWWCOPNR-BYNSBNAKSA-N 0 3 309.400 4.211 20 0 DIADHN Cc1cccc(C[C@@H](CO)N[C@H](C)c2ccc(F)cc2Cl)c1 ZINC000467405423 339236871 /nfs/dbraw/zinc/23/68/71/339236871.db2.gz GADBJCNHJMVACD-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN CC[C@H](N[C@@H](CO)Cc1ccccc1C)c1ccc(Cl)s1 ZINC000467408910 339236956 /nfs/dbraw/zinc/23/69/56/339236956.db2.gz ROGSQUSSPGGCKH-CABCVRRESA-N 0 3 323.889 4.354 20 0 DIADHN C[C@@H]1CCC[C@H]1CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000467445148 339238984 /nfs/dbraw/zinc/23/89/84/339238984.db2.gz JTHSRILETDMQLB-BIENJYKASA-N 0 3 316.395 4.475 20 0 DIADHN C[C@H](NC[C@@H](CO)CC1CCCC1)c1ccc2ccccc2n1 ZINC000467461275 339239856 /nfs/dbraw/zinc/23/98/56/339239856.db2.gz WFWCYFYQNLBOAF-RDJZCZTQSA-N 0 3 312.457 4.074 20 0 DIADHN c1ccc([C@H](NCCC2=CCCCCC2)c2cncnc2)cc1 ZINC000467534016 339241232 /nfs/dbraw/zinc/24/12/32/339241232.db2.gz ZHQDBBPTKXFXOM-FQEVSTJZSA-N 0 3 307.441 4.436 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)N1CCCC[C@H]1c1ccnn1C ZINC000467540721 339241452 /nfs/dbraw/zinc/24/14/52/339241452.db2.gz CATXTZADDPQDFP-KXBFYZLASA-N 0 3 315.436 4.108 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2Cc2ccsc2Cl)C1 ZINC000467544427 339241745 /nfs/dbraw/zinc/24/17/45/339241745.db2.gz VLBMPLHLBOMQHU-UNQGMJICSA-N 0 3 313.894 4.163 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cnn(C(C)(C)C)c1 ZINC000467550737 339241965 /nfs/dbraw/zinc/24/19/65/339241965.db2.gz NIEPINLAIXMHEW-APWZRJJASA-N 0 3 311.473 4.406 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(CCSCc2ccccc2)C1 ZINC000467551718 339241974 /nfs/dbraw/zinc/24/19/74/339241974.db2.gz CHAVJRFVFPJOSO-CQSZACIVSA-N 0 3 303.393 4.194 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cnn2ccccc12 ZINC000467554825 339242362 /nfs/dbraw/zinc/24/23/62/339242362.db2.gz QYVVKLJLVCMUKF-SJLPKXTDSA-N 0 3 305.425 4.102 20 0 DIADHN CC[C@H]1CN(Cc2cc(-c3ccccc3)cs2)CCCO1 ZINC000467555830 339242549 /nfs/dbraw/zinc/24/25/49/339242549.db2.gz WJAVFLVGVKWUCL-KRWDZBQOSA-N 0 3 301.455 4.416 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1cncc(C)c1 ZINC000467645699 339243845 /nfs/dbraw/zinc/24/38/45/339243845.db2.gz GLGHFWMYFMZNLV-DEYYWGMASA-N 0 3 318.848 4.470 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1cncc(C)c1 ZINC000467645702 339243892 /nfs/dbraw/zinc/24/38/92/339243892.db2.gz GLGHFWMYFMZNLV-IYOUNJFTSA-N 0 3 318.848 4.470 20 0 DIADHN CC(C(=O)Nc1cc(Cl)ccc1NC1CC1)C(F)(F)F ZINC000467686625 339244360 /nfs/dbraw/zinc/24/43/60/339244360.db2.gz NPURGXOJZIEIHV-SSDOTTSWSA-N 0 3 306.715 4.051 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nc(C(F)(F)F)cs2)cc1 ZINC000467730345 339244923 /nfs/dbraw/zinc/24/49/23/339244923.db2.gz AXGOKONLPDXRNN-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cncc(C)c2)C12CCCCC2 ZINC000467732472 339244934 /nfs/dbraw/zinc/24/49/34/339244934.db2.gz HQUWHQYANQQUBE-RYQLBKOJSA-N 0 3 302.462 4.169 20 0 DIADHN c1cnn([C@H]2CCCC[C@@H]2NCc2ccc(-c3ccccc3)o2)c1 ZINC000467761969 339246000 /nfs/dbraw/zinc/24/60/00/339246000.db2.gz HEEIDNZPMMLSBU-OALUTQOASA-N 0 3 321.424 4.417 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2ccc(C)c(F)c2)cc1 ZINC000467762838 339246049 /nfs/dbraw/zinc/24/60/49/339246049.db2.gz DJZRVCAHYBYACG-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN CCO[C@@H](CCNCc1ccc(-c2ccccc2)o1)C(C)C ZINC000467765134 339246222 /nfs/dbraw/zinc/24/62/22/339246222.db2.gz AIKFDLRSUTYNGK-SFHVURJKSA-N 0 3 301.430 4.487 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCOc3ccc(OC)cc32)s1 ZINC000468022083 339251413 /nfs/dbraw/zinc/25/14/13/339251413.db2.gz XUJKJSNSZGJVRM-WBMJQRKESA-N 0 3 317.454 4.494 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1c3ccccc3C[C@H]1C)CCO2 ZINC000468031759 339251870 /nfs/dbraw/zinc/25/18/70/339251870.db2.gz XOEZSDDBIJZCFI-ORPRQENYSA-N 0 3 309.409 4.042 20 0 DIADHN CO[C@@H](C)[C@@H](C)NCc1c(OC(C)C)ccc2ccccc21 ZINC000468042978 339252354 /nfs/dbraw/zinc/25/23/54/339252354.db2.gz PTXIFBQBJPHILN-CABCVRRESA-N 0 3 301.430 4.140 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000468043398 339252411 /nfs/dbraw/zinc/25/24/11/339252411.db2.gz VFMDUZYOBFVPNO-JBBXEZCESA-N 0 3 323.864 4.204 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H](C)C[C@H](C)C3)o2)cc1C ZINC000468271716 339258504 /nfs/dbraw/zinc/25/85/04/339258504.db2.gz ZDPDAUYLHCYVNK-XEZPLFJOSA-N 0 3 313.445 4.392 20 0 DIADHN CCC(CC)C(=O)N(CC)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000468279515 339258655 /nfs/dbraw/zinc/25/86/55/339258655.db2.gz POWIJUKHOOATCQ-LPHOPBHVSA-N 0 3 316.489 4.107 20 0 DIADHN COc1ccc(C[C@H](C)NCc2nc(C(C)C)c(C)s2)cc1 ZINC000468384303 339261195 /nfs/dbraw/zinc/26/11/95/339261195.db2.gz QPEAVYITFDZNLZ-ZDUSSCGKSA-N 0 3 318.486 4.304 20 0 DIADHN CCCCOc1ccccc1CN(C)CCC1CCOCC1 ZINC000468408946 339262249 /nfs/dbraw/zinc/26/22/49/339262249.db2.gz PZYPODAYAUYSBY-UHFFFAOYSA-N 0 3 305.462 4.114 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@H](COCC)C1 ZINC000468411178 339262346 /nfs/dbraw/zinc/26/23/46/339262346.db2.gz GQMDQBHQZYJTAB-KRWDZBQOSA-N 0 3 305.462 4.114 20 0 DIADHN C[C@@H](CC1CC1)NCc1cc(Oc2ccc(F)cc2)ccn1 ZINC000468425214 339262749 /nfs/dbraw/zinc/26/27/49/339262749.db2.gz HUGIQNOVGJXISY-ZDUSSCGKSA-N 0 3 300.377 4.291 20 0 DIADHN Fc1ccc(CN[C@H]2CCC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000468508526 339264822 /nfs/dbraw/zinc/26/48/22/339264822.db2.gz SVIISBCATCTBRN-SJORKVTESA-N 0 3 304.796 4.300 20 0 DIADHN CCN(Cc1ccc2ccccc2c1)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000468523970 339265427 /nfs/dbraw/zinc/26/54/27/339265427.db2.gz SNCLBIMDQDPWAD-IRXDYDNUSA-N 0 3 324.468 4.061 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccccc1F)c1ccc(C)o1 ZINC000468551402 339265983 /nfs/dbraw/zinc/26/59/83/339265983.db2.gz DLUFDOAXVWRQQX-DYVFJYSZSA-N 0 3 305.393 4.026 20 0 DIADHN CO[C@@H](CCNCc1c(Cl)cccc1Cl)C(F)(F)F ZINC000468619862 339266852 /nfs/dbraw/zinc/26/68/52/339266852.db2.gz IQAIFSOFXBGAKP-NSHDSACASA-N 0 3 316.150 4.050 20 0 DIADHN CO[C@H](CCNCc1cccc(Cl)c1Cl)C(F)(F)F ZINC000468620797 339267142 /nfs/dbraw/zinc/26/71/42/339267142.db2.gz UNBXMGUUSXTVPI-SNVBAGLBSA-N 0 3 316.150 4.050 20 0 DIADHN CO[C@H](CCNCc1ccc(Cl)cc1Cl)C(F)(F)F ZINC000468623204 339267249 /nfs/dbraw/zinc/26/72/49/339267249.db2.gz RVBCNCNQVPDVCM-LLVKDONJSA-N 0 3 316.150 4.050 20 0 DIADHN Cc1c([C@@H](C)NCC(C)(C)Oc2ccccc2)cnn1C(C)C ZINC000468794063 339271083 /nfs/dbraw/zinc/27/10/83/339271083.db2.gz CPMWPRRJCNHFSE-OAHLLOKOSA-N 0 3 315.461 4.281 20 0 DIADHN CS[C@@H](CN[C@@H](C)c1cnn(C(C)(C)C)c1C)C(C)(C)C ZINC000468810724 339271373 /nfs/dbraw/zinc/27/13/73/339271373.db2.gz DWELDWOMZSRBHF-WFASDCNBSA-N 0 3 311.539 4.375 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccccc1)c1csc(Cl)c1 ZINC000469003067 339277389 /nfs/dbraw/zinc/27/73/89/339277389.db2.gz OYOZENZBBAASQT-XGWLTEMNSA-N 0 3 320.889 4.498 20 0 DIADHN CC(C)n1cc2c(n1)C[C@H](N[C@H](C)c1csc(Cl)c1)CC2 ZINC000469071629 339279220 /nfs/dbraw/zinc/27/92/20/339279220.db2.gz XDWKFHJZPGADIE-BXUZGUMPSA-N 0 3 323.893 4.387 20 0 DIADHN C[C@@H](NCCSCC(F)(F)F)c1csc(Cl)c1 ZINC000469076734 339279480 /nfs/dbraw/zinc/27/94/80/339279480.db2.gz XNOLOPYNUBVEMN-SSDOTTSWSA-N 0 3 303.802 4.348 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)c1cccc(CN(C)C)c1)C(C)C ZINC000469139189 339281266 /nfs/dbraw/zinc/28/12/66/339281266.db2.gz KOGJGRNNPASPHK-FQEVSTJZSA-N 0 3 324.468 4.184 20 0 DIADHN Cc1cc(CCNCc2cnc(C(C)(C)C)s2)cc(C)c1O ZINC000469175505 339282045 /nfs/dbraw/zinc/28/20/45/339282045.db2.gz MPBWUFQOISTQRJ-UHFFFAOYSA-N 0 3 318.486 4.095 20 0 DIADHN Cc1c2ccccc2oc1[C@H](N[C@@H](C)Cn1cccn1)C(C)C ZINC000469172901 339282066 /nfs/dbraw/zinc/28/20/66/339282066.db2.gz MKXWKERFLHMRIB-KBXCAEBGSA-N 0 3 311.429 4.313 20 0 DIADHN C[C@@H](NCCc1nn(C)c2ccccc12)c1csc(Cl)c1 ZINC000469247442 339284067 /nfs/dbraw/zinc/28/40/67/339284067.db2.gz WUDHINPDCLGAOA-LLVKDONJSA-N 0 3 319.861 4.182 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)CCOCC(F)F ZINC000469582994 339293626 /nfs/dbraw/zinc/29/36/26/339293626.db2.gz OTEZMLHQYYSNFN-SECBINFHSA-N 0 3 312.187 4.268 20 0 DIADHN Fc1ccc(C=C2CCN(CC[C@@H]3CCCCO3)CC2)cc1F ZINC000469446262 339289458 /nfs/dbraw/zinc/28/94/58/339289458.db2.gz MKKKPJKMGBAYFU-KRWDZBQOSA-N 0 3 321.411 4.403 20 0 DIADHN CC1(CN2CCCC[C@H]2c2cccc(C(F)(F)F)c2)COC1 ZINC000469516736 339292427 /nfs/dbraw/zinc/29/24/27/339292427.db2.gz GOVMICPIAWAXSS-HNNXBMFYSA-N 0 3 313.363 4.269 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2cccc(Cl)c2F)s1 ZINC000469559302 339293276 /nfs/dbraw/zinc/29/32/76/339293276.db2.gz WQPLXBFZGYOUEF-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)CCOCC(F)(F)C(F)F)c1 ZINC000469599016 339294102 /nfs/dbraw/zinc/29/41/02/339294102.db2.gz OZLNMBSZBXYZMY-CYBMUJFWSA-N 0 3 321.358 4.213 20 0 DIADHN Cc1ccc([C@@H]2[C@H](C)CCCN2C[C@@H](O)CC(F)(F)F)cc1 ZINC000469599713 339294119 /nfs/dbraw/zinc/29/41/19/339294119.db2.gz YVDXQZBKEUTEOW-KBMXLJTQSA-N 0 3 315.379 4.081 20 0 DIADHN CCCCN(Cc1ccccc1Cl)C[C@H](O)CC(F)(F)F ZINC000469611287 339294530 /nfs/dbraw/zinc/29/45/30/339294530.db2.gz MHUWRIVPKAMLSK-CYBMUJFWSA-N 0 3 323.786 4.255 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2CCOCC(F)F)o1 ZINC000469614582 339294662 /nfs/dbraw/zinc/29/46/62/339294662.db2.gz BXDBZWPUTXMYSV-AWEZNQCLSA-N 0 3 301.377 4.041 20 0 DIADHN CC(C)C[C@H]1CCCCCN1C(=O)c1cccc(CN(C)C)c1 ZINC000469689044 339295536 /nfs/dbraw/zinc/29/55/36/339295536.db2.gz FHPCHPCOKIHQOO-LJQANCHMSA-N 0 3 316.489 4.179 20 0 DIADHN CC(C)(NCCOCC(F)F)c1ccc(Cl)c(Cl)c1 ZINC000469713115 339296198 /nfs/dbraw/zinc/29/61/98/339296198.db2.gz LMWDWJXTDOVIQT-UHFFFAOYSA-N 0 3 312.187 4.100 20 0 DIADHN C[C@H]([C@@H](C)c1ccc(Cl)cc1)N(C)Cc1ccc(F)cn1 ZINC000469736660 339297420 /nfs/dbraw/zinc/29/74/20/339297420.db2.gz XJWXKHHKRJTXIY-CHWSQXEVSA-N 0 3 306.812 4.498 20 0 DIADHN CC(C)[C@@H](NCCOCC(F)F)c1ccc(Cl)cc1F ZINC000469759850 339298002 /nfs/dbraw/zinc/29/80/02/339298002.db2.gz DWUGFQAXGKUSNC-CQSZACIVSA-N 0 3 309.759 4.048 20 0 DIADHN Fc1ccccc1[C@@H](Cc1ccccc1)NCC[C@@H]1CCCO1 ZINC000469765784 339298136 /nfs/dbraw/zinc/29/81/36/339298136.db2.gz VAYITPUWXLDVHQ-FXAWDEMLSA-N 0 3 313.416 4.268 20 0 DIADHN Fc1ccccc1[C@@H](Cc1ccccc1)NCC[C@H]1CCCO1 ZINC000469765787 339298167 /nfs/dbraw/zinc/29/81/67/339298167.db2.gz VAYITPUWXLDVHQ-YLJYHZDGSA-N 0 3 313.416 4.268 20 0 DIADHN CCc1cccc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)c1 ZINC000469771387 339298502 /nfs/dbraw/zinc/29/85/02/339298502.db2.gz ABQFHRVVHDQNNA-OAQYLSRUSA-N 0 3 323.436 4.261 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@H]2NCc2ccc(F)cn2)c1 ZINC000469774417 339298771 /nfs/dbraw/zinc/29/87/71/339298771.db2.gz FVOOHTFXGRQPLP-MOPGFXCFSA-N 0 3 314.404 4.009 20 0 DIADHN OCC[C@H](N[C@@H]1CCC[C@@H]1CCc1ccccc1)c1ccco1 ZINC000469774330 339298776 /nfs/dbraw/zinc/29/87/76/339298776.db2.gz FDBKMBKTOHCLBX-QRVBRYPASA-N 0 3 313.441 4.094 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC(C)(C)N2CCC[C@H](C)C2)cs1 ZINC000469782278 339299555 /nfs/dbraw/zinc/29/95/55/339299555.db2.gz ZEDAOGXARMPYDI-LSDHHAIUSA-N 0 3 323.550 4.428 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H](C)CN2C[C@H](C)C[C@H](C)C2)cs1 ZINC000469787299 339300066 /nfs/dbraw/zinc/30/00/66/339300066.db2.gz SIGLQTWIKFJPGH-XUWVNRHRSA-N 0 3 323.550 4.284 20 0 DIADHN OCC[C@H](N[C@@H]1CCC[C@@H]1c1ccccc1Cl)c1ccco1 ZINC000469790956 339300349 /nfs/dbraw/zinc/30/03/49/339300349.db2.gz MRCTWRGFPLQAFJ-OIISXLGYSA-N 0 3 319.832 4.282 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1nc2ccccc2s1 ZINC000469796043 339300806 /nfs/dbraw/zinc/30/08/06/339300806.db2.gz IJVUKJZGRSCVHI-JSGCOSHPSA-N 0 3 306.500 4.450 20 0 DIADHN Fc1ccccc1CCN1CCC(OCC2CCCC2)CC1 ZINC000469969123 339306162 /nfs/dbraw/zinc/30/61/62/339306162.db2.gz JOJCKOUBDPVBQH-UHFFFAOYSA-N 0 3 305.437 4.039 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@@H]1CCC[C@@H]1C ZINC000469981030 339306579 /nfs/dbraw/zinc/30/65/79/339306579.db2.gz HYVJDBIOURLGBP-YJBOKZPZSA-N 0 3 314.473 4.356 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccc2ncccc2c1 ZINC000469997188 339307725 /nfs/dbraw/zinc/30/77/25/339307725.db2.gz WQXXZLAHUIZJFZ-HNNXBMFYSA-N 0 3 308.347 4.398 20 0 DIADHN CC(C(=O)N1CC2(CCCC2)c2cccc(F)c21)C(F)(F)F ZINC000470088586 339310362 /nfs/dbraw/zinc/31/03/62/339310362.db2.gz XBTWYFXEASVHDN-JTQLQIEISA-N 0 3 315.310 4.183 20 0 DIADHN COc1ccccc1/C=C\CN1CC[C@H](C(F)(F)F)C1(C)C ZINC000470131455 339311162 /nfs/dbraw/zinc/31/11/62/339311162.db2.gz GPOYHDUXGGBAJA-LYASGUKUSA-N 0 3 313.363 4.371 20 0 DIADHN COc1c(O)cccc1CNC[C@@H](Cc1ccccc1)C(C)C ZINC000470142404 339311579 /nfs/dbraw/zinc/31/15/79/339311579.db2.gz NZEZLQIPQSBHKK-GOSISDBHSA-N 0 3 313.441 4.005 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000470155658 339312031 /nfs/dbraw/zinc/31/20/31/339312031.db2.gz BCTIYORPGINHML-AWEZNQCLSA-N 0 3 323.362 4.240 20 0 DIADHN Clc1cccc(CNCCCC2CCOCC2)c1Cl ZINC000470172549 339312711 /nfs/dbraw/zinc/31/27/11/339312711.db2.gz YHUACELAGFYBQU-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN C[C@H]1CCN(Cc2cc(Br)cc3cccnc32)[C@H]1C ZINC000470207110 339314347 /nfs/dbraw/zinc/31/43/47/339314347.db2.gz BDHWBCCHNATMDZ-RYUDHWBXSA-N 0 3 319.246 4.228 20 0 DIADHN C[C@@H](NC[C@@H](C)c1cccc(Cl)c1)C(=O)Nc1ccccc1 ZINC000470355449 339318060 /nfs/dbraw/zinc/31/80/60/339318060.db2.gz VVBSRGIUSRJPDL-ZIAGYGMSSA-N 0 3 316.832 4.060 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)c1ccc2c(c1)CNC2)C(C)(C)C ZINC000470365812 339318353 /nfs/dbraw/zinc/31/83/53/339318353.db2.gz AELSWHJHUYHQRU-LJQANCHMSA-N 0 3 322.452 4.115 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCCO[C@@H]2C(C)(C)C)c(F)c1 ZINC000470452614 339320032 /nfs/dbraw/zinc/32/00/32/339320032.db2.gz QJOZNPVROHXCMD-IYOUNJFTSA-N 0 3 323.452 4.326 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(Cc2cccc3cc[nH]c32)C1 ZINC000470544100 339321960 /nfs/dbraw/zinc/32/19/60/339321960.db2.gz QTUXNEAOPRYPOZ-CQSZACIVSA-N 0 3 312.363 4.436 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@H]3[C@H](CCCN3C3CC3)C2)c1 ZINC000470764491 339327081 /nfs/dbraw/zinc/32/70/81/339327081.db2.gz JKQHUYYYAMZHMM-SJLPKXTDSA-N 0 3 320.427 4.073 20 0 DIADHN O[C@H](CNC1(c2ccccc2)CC1)Cc1ccc2ccccc2c1 ZINC000470775956 339327528 /nfs/dbraw/zinc/32/75/28/339327528.db2.gz BUISOAFLZHGHLN-NRFANRHFSA-N 0 3 317.432 4.022 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCCOC(F)(F)F)cc1 ZINC000470778136 339327698 /nfs/dbraw/zinc/32/76/98/339327698.db2.gz BKGUQFCQGSHQDH-NSHDSACASA-N 0 3 307.381 4.374 20 0 DIADHN O[C@@H](Cc1ccc2ccccc2c1)CN1CC[C@H]1c1ccccc1 ZINC000470779177 339327826 /nfs/dbraw/zinc/32/78/26/339327826.db2.gz KSSXRHXWYUUVBM-VXKWHMMOSA-N 0 3 317.432 4.190 20 0 DIADHN COc1cccc2c1CCN(Cc1cccc(C(F)F)c1)CC2 ZINC000470866316 339329415 /nfs/dbraw/zinc/32/94/15/339329415.db2.gz LOBISHMMAFNUHO-UHFFFAOYSA-N 0 3 317.379 4.234 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2ccnn2C)CC1 ZINC000470869214 339329651 /nfs/dbraw/zinc/32/96/51/339329651.db2.gz YYHSXUCEKPJHCX-UHFFFAOYSA-N 0 3 317.864 4.017 20 0 DIADHN CO[C@@]1(C)CCCN([C@@H](C)c2ccccc2Br)C1 ZINC000470906475 339330822 /nfs/dbraw/zinc/33/08/22/339330822.db2.gz NGBKGFMUXHITBB-WFASDCNBSA-N 0 3 312.251 4.011 20 0 DIADHN Clc1ccccc1CCNC1(c2nccs2)CCCC1 ZINC000471013650 339334363 /nfs/dbraw/zinc/33/43/63/339334363.db2.gz GBABGQLDYBPHFH-UHFFFAOYSA-N 0 3 306.862 4.398 20 0 DIADHN CCc1ccc(CCNC2(c3nccs3)CCCC2)cc1 ZINC000471023657 339334851 /nfs/dbraw/zinc/33/48/51/339334851.db2.gz VAYUVDBVHYOXGO-UHFFFAOYSA-N 0 3 300.471 4.307 20 0 DIADHN CC(C)CC1(C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)CCC1 ZINC000471119566 339337610 /nfs/dbraw/zinc/33/76/10/339337610.db2.gz WLJLDJJZMDURBG-AEFFLSMTSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cc(C)c(C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)c(C)c1 ZINC000471118107 339337619 /nfs/dbraw/zinc/33/76/19/339337619.db2.gz ZWROJHSALSIDNV-PXNSSMCTSA-N 0 3 324.468 4.033 20 0 DIADHN CCCC(CCC)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471132601 339337929 /nfs/dbraw/zinc/33/79/29/339337929.db2.gz FRRVDRXZYSMXAH-YJBOKZPZSA-N 0 3 304.478 4.010 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccc3sccc3c2)cc1 ZINC000471399661 339344156 /nfs/dbraw/zinc/34/41/56/339344156.db2.gz XCXNYWOBNQOWEM-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1ccc(Cl)s1 ZINC000471612939 339349499 /nfs/dbraw/zinc/34/94/99/339349499.db2.gz VLHDPKAISCACKN-SECBINFHSA-N 0 3 301.864 4.365 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1F)[C@H](c1ccccc1)N(C)C ZINC000471583525 339348130 /nfs/dbraw/zinc/34/81/30/339348130.db2.gz ACXXQXBLNNJULN-QMTMVMCOSA-N 0 3 300.421 4.168 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H]1SCCc2ccccc21 ZINC000471598119 339348787 /nfs/dbraw/zinc/34/87/87/339348787.db2.gz UGGUMKWIWJMSQM-BLLLJJGKSA-N 0 3 319.495 4.438 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)N[C@H](CO)c1ccsc1 ZINC000471608645 339349304 /nfs/dbraw/zinc/34/93/04/339349304.db2.gz DTZMYOIFXVNBRK-GXFFZTMASA-N 0 3 315.891 4.107 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1ccc(Cl)s1 ZINC000471612940 339349451 /nfs/dbraw/zinc/34/94/51/339349451.db2.gz VLHDPKAISCACKN-VIFPVBQESA-N 0 3 301.864 4.365 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000471611406 339349521 /nfs/dbraw/zinc/34/95/21/339349521.db2.gz QFEAICSHOKUJTO-RZQQEMMASA-N 0 3 312.457 4.037 20 0 DIADHN CCC(O)(CC)CCN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000471758161 339353071 /nfs/dbraw/zinc/35/30/71/339353071.db2.gz LKUBZMJTBHXGDJ-CQSZACIVSA-N 0 3 313.894 4.408 20 0 DIADHN FC(F)COCCN[C@@H](c1ccc(Cl)c(Cl)c1)C1CC1 ZINC000471760276 339353340 /nfs/dbraw/zinc/35/33/40/339353340.db2.gz LZHIRSZECYHEFD-CQSZACIVSA-N 0 3 324.198 4.316 20 0 DIADHN CC[C@H](N[C@H]1CC[C@@H](OC)C1)c1ccccc1Br ZINC000471790430 339354110 /nfs/dbraw/zinc/35/41/10/339354110.db2.gz SDGHZODJDHXYDP-ZOWXZIJZSA-N 0 3 312.251 4.057 20 0 DIADHN CCc1ccc([C@H](C)NCCc2ccccc2OC(F)F)o1 ZINC000471791647 339354143 /nfs/dbraw/zinc/35/41/43/339354143.db2.gz XLUWSPRZOJGIID-LBPRGKRZSA-N 0 3 309.356 4.337 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000471800417 339354543 /nfs/dbraw/zinc/35/45/43/339354543.db2.gz SBKMMMHQKNCBRC-CYBMUJFWSA-N 0 3 315.404 4.188 20 0 DIADHN CN(CCCCO)Cc1ccc(Sc2ccccc2)cc1 ZINC000471801359 339354702 /nfs/dbraw/zinc/35/47/02/339354702.db2.gz ZPPRCSSKTKXBNH-UHFFFAOYSA-N 0 3 301.455 4.042 20 0 DIADHN C[C@H]1C[C@H](O)CN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000471810809 339354867 /nfs/dbraw/zinc/35/48/67/339354867.db2.gz MMNHEBVIAZCYCO-RYUDHWBXSA-N 0 3 307.846 4.024 20 0 DIADHN C[C@@H]1C[C@@H](O)CN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000471810810 339354907 /nfs/dbraw/zinc/35/49/07/339354907.db2.gz MMNHEBVIAZCYCO-VXGBXAGGSA-N 0 3 307.846 4.024 20 0 DIADHN CCC(O)(CC)CCNCc1cc(Cl)c(OC)cc1Cl ZINC000471828073 339355574 /nfs/dbraw/zinc/35/55/74/339355574.db2.gz SRURZHOUCHKCNZ-UHFFFAOYSA-N 0 3 320.260 4.033 20 0 DIADHN CCSc1cccc(CNC[C@H](c2cccs2)N(C)C)c1 ZINC000471953188 339358182 /nfs/dbraw/zinc/35/81/82/339358182.db2.gz PLVHKIVBLGGTHP-MRXNPFEDSA-N 0 3 320.527 4.253 20 0 DIADHN CCn1nc(CNC[C@H](C)c2ccc(F)cc2)c2ccccc21 ZINC000472041465 339360289 /nfs/dbraw/zinc/36/02/89/339360289.db2.gz GFKVZUNTZQDRAZ-AWEZNQCLSA-N 0 3 311.404 4.089 20 0 DIADHN CCn1nc(CNCC2(c3cccc(F)c3)CC2)c2ccccc21 ZINC000472047980 339360629 /nfs/dbraw/zinc/36/06/29/339360629.db2.gz NRMIEALMLJORSS-UHFFFAOYSA-N 0 3 323.415 4.017 20 0 DIADHN CCn1nc(CN[C@@H](C)[C@@H](C)c2ccccc2)c2ccccc21 ZINC000472052470 339361069 /nfs/dbraw/zinc/36/10/69/339361069.db2.gz LNOFPLHUNXOGHC-CVEARBPZSA-N 0 3 307.441 4.338 20 0 DIADHN CCn1nc(CN[C@@H](C)Cc2ccccc2C)c2ccccc21 ZINC000472055935 339361300 /nfs/dbraw/zinc/36/13/00/339361300.db2.gz RBJDXKUDJKDNNJ-INIZCTEOSA-N 0 3 307.441 4.085 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccccc1F)OC(C)C ZINC000472058425 339361513 /nfs/dbraw/zinc/36/15/13/339361513.db2.gz GCROLTJQIFLBAG-LJQANCHMSA-N 0 3 317.404 4.090 20 0 DIADHN FC(F)(F)[C@H]1CCCC[C@H]1CNCc1ncc(Cl)s1 ZINC000472074181 339362550 /nfs/dbraw/zinc/36/25/50/339362550.db2.gz LGDCDAXMKLUMPF-IUCAKERBSA-N 0 3 312.788 4.255 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)[C@@H](c1ccccc1)N(C)C ZINC000472075161 339362748 /nfs/dbraw/zinc/36/27/48/339362748.db2.gz QXJYBYNHQFSPAM-LRDDRELGSA-N 0 3 308.878 4.183 20 0 DIADHN COc1ccccc1[C@@H](CNCc1sccc1C)OC(C)C ZINC000472079320 339362999 /nfs/dbraw/zinc/36/29/99/339362999.db2.gz HIEWUQQBSDGHLE-QGZVFWFLSA-N 0 3 319.470 4.321 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H](C)Oc1cccc(F)c1 ZINC000472128106 339363529 /nfs/dbraw/zinc/36/35/29/339363529.db2.gz MEPVTCSHQQNBLP-VXGBXAGGSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H](C)Oc1ccccc1C ZINC000472128514 339363630 /nfs/dbraw/zinc/36/36/30/339363630.db2.gz QKJXZXGGSHYVOT-ZIAGYGMSSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](C)Oc1ccc(F)cc1 ZINC000472129620 339363688 /nfs/dbraw/zinc/36/36/88/339363688.db2.gz DVLCXNBSMANDBC-RYUDHWBXSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](C)Oc1ccc(C)cc1 ZINC000472129898 339363823 /nfs/dbraw/zinc/36/38/23/339363823.db2.gz HODIIFIHFTYXKJ-UONOGXRCSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](C)Oc1ccc(C)cc1 ZINC000472129896 339363833 /nfs/dbraw/zinc/36/38/33/339363833.db2.gz HODIIFIHFTYXKJ-KBPBESRZSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NCC1(c2cccc(F)c2)CC1 ZINC000472137555 339364050 /nfs/dbraw/zinc/36/40/50/339364050.db2.gz BCUPPJDGHYHILP-LBPRGKRZSA-N 0 3 305.418 4.278 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC000472158164 339364843 /nfs/dbraw/zinc/36/48/43/339364843.db2.gz NWEAGMOYUKTLSD-HOCLYGCPSA-N 0 3 318.486 4.019 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccncc1Cl ZINC000472239192 339367150 /nfs/dbraw/zinc/36/71/50/339367150.db2.gz HXHWRFDMEYLKCE-SWLSCSKDSA-N 0 3 304.796 4.252 20 0 DIADHN CCN(Cc1ccncc1Cl)[C@H](C)Cc1ccc(OC)cc1 ZINC000472240348 339367298 /nfs/dbraw/zinc/36/72/98/339367298.db2.gz JRGDMDRWSOYIQL-CQSZACIVSA-N 0 3 318.848 4.197 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2ccccc2o1 ZINC000472314651 339369546 /nfs/dbraw/zinc/36/95/46/339369546.db2.gz MBTWOANYBFZJFJ-RDJZCZTQSA-N 0 3 306.409 4.281 20 0 DIADHN CCn1nccc1CCN[C@H](c1ccc(Cl)cc1F)C(C)C ZINC000472462064 339372435 /nfs/dbraw/zinc/37/24/35/339372435.db2.gz KJMBMNGXZMVUII-KRWDZBQOSA-N 0 3 323.843 4.225 20 0 DIADHN CCn1nccc1CCN[C@H](C)c1ccc(Cl)cc1Cl ZINC000472586947 339374352 /nfs/dbraw/zinc/37/43/52/339374352.db2.gz UICTVEUJWZDCMQ-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN Clc1cnccc1CN[C@H]1CCC[C@H]1Cc1ccccc1 ZINC000472611617 339375125 /nfs/dbraw/zinc/37/51/25/339375125.db2.gz OBDYGVIBCGPTQO-YJBOKZPZSA-N 0 3 300.833 4.236 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCCC3(C)C)n2)cc1F ZINC000472625084 339375438 /nfs/dbraw/zinc/37/54/38/339375438.db2.gz XHAYJMNKWHONMR-SWLSCSKDSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1cnc(CN[C@@H](C)C2(c3ccccc3)CCCC2)s1 ZINC000472632743 339375502 /nfs/dbraw/zinc/37/55/02/339375502.db2.gz BITOEPJICQVQMB-HNNXBMFYSA-N 0 3 300.471 4.442 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(OCC(C)C)CC3)cc2c1C ZINC000473130838 339381686 /nfs/dbraw/zinc/38/16/86/339381686.db2.gz DRHWWUCQWPKONB-UHFFFAOYSA-N 0 3 314.473 4.422 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(OCC4CC4)CC3)cc2c1C ZINC000473140982 339382251 /nfs/dbraw/zinc/38/22/51/339382251.db2.gz FEKCLWGNKLGHSN-UHFFFAOYSA-N 0 3 312.457 4.176 20 0 DIADHN CCCN(Cc1nnc(-c2ccc(Cl)cc2)n1C)CC(C)C ZINC000473223315 339383862 /nfs/dbraw/zinc/38/38/62/339383862.db2.gz PXDRWLOMSAAJNA-UHFFFAOYSA-N 0 3 320.868 4.004 20 0 DIADHN Clc1ccc(-c2cc(CN3CCCC4(CC4)C3)on2)cc1 ZINC000473439965 339385372 /nfs/dbraw/zinc/38/53/72/339385372.db2.gz ZESDZBAXDGDJLQ-UHFFFAOYSA-N 0 3 302.805 4.371 20 0 DIADHN CN(Cc1ccc(Br)o1)[C@@H]1C[C@H]1c1ccccc1 ZINC000473918959 339394829 /nfs/dbraw/zinc/39/48/29/339394829.db2.gz MRBMHZIPURSKCE-UONOGXRCSA-N 0 3 306.203 4.030 20 0 DIADHN C[C@H](NCc1ccc2c(c1)ncn2C)c1cc2cccc(F)c2o1 ZINC000473986220 339396202 /nfs/dbraw/zinc/39/62/02/339396202.db2.gz ZANVCCAOAVIHJY-LBPRGKRZSA-N 0 3 323.371 4.309 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000473986062 339396249 /nfs/dbraw/zinc/39/62/49/339396249.db2.gz VVYOBLYTDQERDN-QZTJIDSGSA-N 0 3 307.865 4.197 20 0 DIADHN COc1ccc2nc(CNC[C@H](SC)C(C)(C)C)sc2c1 ZINC000473996094 339396730 /nfs/dbraw/zinc/39/67/30/339396730.db2.gz PHCJHZKDPDBNQQ-AWEZNQCLSA-N 0 3 324.515 4.172 20 0 DIADHN CCCOc1ccc(CNCc2ccc(OC)c(F)c2)c(C)c1 ZINC000474011845 339397121 /nfs/dbraw/zinc/39/71/21/339397121.db2.gz QULVBZGREBBBCT-UHFFFAOYSA-N 0 3 317.404 4.221 20 0 DIADHN C[C@@H](NCc1ccn(-c2ccccc2)n1)C1CCC(F)(F)CC1 ZINC000474021848 339397388 /nfs/dbraw/zinc/39/73/88/339397388.db2.gz HXTVOLLZTXCLCS-CQSZACIVSA-N 0 3 319.399 4.176 20 0 DIADHN Cc1sc(CN[C@@H]2CCCC[C@@H]2[C@H]2CCOC2)nc1C(C)C ZINC000474035839 339398163 /nfs/dbraw/zinc/39/81/63/339398163.db2.gz QOSGAZZFGHSNQM-ARFHVFGLSA-N 0 3 322.518 4.260 20 0 DIADHN Cn1c(CN[C@@H]2CCC23CCCC3)nc2cccc(Cl)c21 ZINC000474043358 339398738 /nfs/dbraw/zinc/39/87/38/339398738.db2.gz GARSYTAETZENPP-CQSZACIVSA-N 0 3 303.837 4.039 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCCC[C@H]1[C@@H]1CCOC1 ZINC000474050766 339399262 /nfs/dbraw/zinc/39/92/62/339399262.db2.gz IQLITQCCJPBMEM-IIYDPXPESA-N 0 3 311.828 4.164 20 0 DIADHN FC(F)(F)OCCN[C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000474063002 339400230 /nfs/dbraw/zinc/40/02/30/339400230.db2.gz DMFCNGNBUMGBDR-JTQLQIEISA-N 0 3 314.134 4.107 20 0 DIADHN CCOC[C@@H](N[C@@H](C)c1cc(C)ccc1OC(F)F)C(C)C ZINC000474078566 339401162 /nfs/dbraw/zinc/40/11/62/339401162.db2.gz ZLKLWWKGROSMCB-DZGCQCFKSA-N 0 3 315.404 4.308 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000474396283 339412374 /nfs/dbraw/zinc/41/23/74/339412374.db2.gz YJGRSEPAOIRSRG-MAUKXSAKSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1ccccn1)C1CCSCC1 ZINC000474476153 339416551 /nfs/dbraw/zinc/41/65/51/339416551.db2.gz WFNUFLILUZMWST-HNAYVOBHSA-N 0 3 312.482 4.292 20 0 DIADHN CC(C)n1nccc1CNCCCCOc1ccc(Cl)cc1 ZINC000474625560 339420677 /nfs/dbraw/zinc/42/06/77/339420677.db2.gz BFEDBETUVBFHAO-UHFFFAOYSA-N 0 3 321.852 4.066 20 0 DIADHN Cc1cc(C)c(CNCc2ccnn2-c2ccc(F)cc2)c(C)c1 ZINC000474635443 339421126 /nfs/dbraw/zinc/42/11/26/339421126.db2.gz RQQFSYOKLRAKNO-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](C[C@H](C)O)c1ccccc1 ZINC000474793855 339426185 /nfs/dbraw/zinc/42/61/85/339426185.db2.gz AKNMYZGKLMKJNL-YJBOKZPZSA-N 0 3 315.432 4.032 20 0 DIADHN Cc1ccc(CCCN[C@H](C)c2c(F)cccc2Cl)cn1 ZINC000474808106 339427255 /nfs/dbraw/zinc/42/72/55/339427255.db2.gz FLAVYWKCOUDJIT-CYBMUJFWSA-N 0 3 306.812 4.466 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@H]2NCc2cnccc2C)c1 ZINC000474879495 339429498 /nfs/dbraw/zinc/42/94/98/339429498.db2.gz QTGZUEHTBGQNJH-UXHICEINSA-N 0 3 310.441 4.178 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H](C)C[C@H](C)O ZINC000474929047 339431655 /nfs/dbraw/zinc/43/16/55/339431655.db2.gz UYMSGBWKGZJDGO-RYUDHWBXSA-N 0 3 301.883 4.090 20 0 DIADHN C[C@@H](CC1CCCC1)N[C@@H](C)c1ccc(Br)cn1 ZINC000475510512 339453539 /nfs/dbraw/zinc/45/35/39/339453539.db2.gz QXLPJYCUOMPDKY-RYUDHWBXSA-N 0 3 311.267 4.464 20 0 DIADHN CC[C@](C)(NCC[C@@H](C)OCc1ccccc1)c1nccs1 ZINC000474978799 339434206 /nfs/dbraw/zinc/43/42/06/339434206.db2.gz MRFXLVBAJGYSAU-QAPCUYQASA-N 0 3 318.486 4.353 20 0 DIADHN C[C@H]1CC[C@H](CNC(C)(C)c2cccc(C(F)(F)F)c2)O1 ZINC000475056923 339437508 /nfs/dbraw/zinc/43/75/08/339437508.db2.gz OQEFTFCRUFMVIH-SMDDNHRTSA-N 0 3 301.352 4.098 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC[C@@H](C)O ZINC000475198639 339441297 /nfs/dbraw/zinc/44/12/97/339441297.db2.gz QSSQIOHWWJLWHE-GFCCVEGCSA-N 0 3 301.883 4.091 20 0 DIADHN CC[C@@H]1C[C@@H](CN[C@@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000475327872 339446476 /nfs/dbraw/zinc/44/64/76/339446476.db2.gz FYVWDHSGASUWCV-DSKINZAPSA-N 0 3 324.468 4.274 20 0 DIADHN COCc1ccc(CN2CCC(c3cccc(Cl)c3)CC2)o1 ZINC000475574529 339456298 /nfs/dbraw/zinc/45/62/98/339456298.db2.gz ONURCVRBNDTQSU-UHFFFAOYSA-N 0 3 319.832 4.459 20 0 DIADHN CCCn1cc(CN2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC000475579930 339456401 /nfs/dbraw/zinc/45/64/01/339456401.db2.gz WMPUSUFSKRKUIF-UHFFFAOYSA-N 0 3 317.864 4.326 20 0 DIADHN C[C@H](CC1CC1)N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000475634543 339457789 /nfs/dbraw/zinc/45/77/89/339457789.db2.gz MTUSEROALXQQNY-OTYXRUKQSA-N 0 3 321.770 4.170 20 0 DIADHN CN(Cc1nc2c(s1)CCCC2)c1ccnc2ccccc21 ZINC000476191904 339471050 /nfs/dbraw/zinc/47/10/50/339471050.db2.gz NDZRQPPKZICESO-UHFFFAOYSA-N 0 3 309.438 4.207 20 0 DIADHN CC(C)(C)OCCNC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000477544225 339497205 /nfs/dbraw/zinc/49/72/05/339497205.db2.gz UWRAHJGDVLQAOM-UHFFFAOYSA-N 0 3 301.352 4.099 20 0 DIADHN Cc1c([C@H](C)NC[C@@H]2CCC3(CCCCC3)O2)cnn1C(C)C ZINC000477841708 339502248 /nfs/dbraw/zinc/50/22/48/339502248.db2.gz CLKVAYYGTSAROM-RDJZCZTQSA-N 0 3 319.493 4.305 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1cccnc1 ZINC000477855553 339502794 /nfs/dbraw/zinc/50/27/94/339502794.db2.gz OWTISGJWSNRHLL-QZTJIDSGSA-N 0 3 302.462 4.250 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C1CCCCC1)c1ccc(Cl)s1 ZINC000477854565 339502833 /nfs/dbraw/zinc/50/28/33/339502833.db2.gz HOTHBCDISRIAIJ-IAQYHMDHSA-N 0 3 301.883 4.383 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000477855265 339502843 /nfs/dbraw/zinc/50/28/43/339502843.db2.gz XAJYNRFQFALQIS-RDJZCZTQSA-N 0 3 302.462 4.177 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@H]1CCC3(CCCCC3)O1)CCC2 ZINC000477862984 339503184 /nfs/dbraw/zinc/50/31/84/339503184.db2.gz XZHHZDCFSFYSLI-HUUCEWRRSA-N 0 3 320.502 4.300 20 0 DIADHN C[C@@H](NC[C@](C)(O)C1CCCCC1)c1csc(Cl)c1 ZINC000477867526 339503335 /nfs/dbraw/zinc/50/33/35/339503335.db2.gz UMWJVWJWWZHFFX-ABAIWWIYSA-N 0 3 301.883 4.383 20 0 DIADHN C[C@@H]1CCC[C@@H](CN[C@@H](c2cnn(C)c2)c2ccc(F)cc2)C1 ZINC000478318877 339513361 /nfs/dbraw/zinc/51/33/61/339513361.db2.gz YWJADBCWHMLTTP-SPYBWZPUSA-N 0 3 315.436 4.065 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NCc2cc(F)ccc2C)cc1 ZINC000478355022 339514109 /nfs/dbraw/zinc/51/41/09/339514109.db2.gz WTGIGPXEXWOXSY-AUUYWEPGSA-N 0 3 317.404 4.009 20 0 DIADHN FC(F)(F)CCN[C@H]1CCCc2cc(Br)ccc21 ZINC000479070694 339526872 /nfs/dbraw/zinc/52/68/72/339526872.db2.gz QKWXEFSAMZFNRX-LBPRGKRZSA-N 0 3 322.168 4.369 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)ccc1C ZINC000479188270 339528845 /nfs/dbraw/zinc/52/88/45/339528845.db2.gz FYTKLMSDDDAOQD-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CCc2ccc(Cl)cc21)c1ccsc1 ZINC000479257696 339529901 /nfs/dbraw/zinc/52/99/01/339529901.db2.gz ZOYJWGBPDXNOMO-SJORKVTESA-N 0 3 320.889 4.281 20 0 DIADHN Cc1c([C@H](C)NCCC2(C)CC2)nnn1-c1cccc(Cl)c1 ZINC000479443831 339535251 /nfs/dbraw/zinc/53/52/51/339535251.db2.gz ZVFMVFMGOBOVDQ-LBPRGKRZSA-N 0 3 318.852 4.070 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCC1(C(F)F)CC1 ZINC000479451862 339535731 /nfs/dbraw/zinc/53/57/31/339535731.db2.gz YFPQSYFARNBXEO-VIFPVBQESA-N 0 3 302.434 4.445 20 0 DIADHN CCCOc1ccc(CNC[C@H](C)C(F)(F)F)cc1OCC ZINC000479572406 339541801 /nfs/dbraw/zinc/54/18/01/339541801.db2.gz AVXSLJCPVIQICH-LBPRGKRZSA-N 0 3 319.367 4.162 20 0 DIADHN Cc1cc(CNC[C@@H](C)C(F)(F)F)ccc1Br ZINC000479574471 339541892 /nfs/dbraw/zinc/54/18/92/339541892.db2.gz ALQRGGNYDWUGIO-SECBINFHSA-N 0 3 310.157 4.046 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2CCCO[C@@H]2CC(C)C)s1 ZINC000479576231 339541912 /nfs/dbraw/zinc/54/19/12/339541912.db2.gz GHVAJZXVQOUDQQ-HZPDHXFCSA-N 0 3 310.507 4.025 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1cnc(C2CCCC2)s1 ZINC000479582029 339542352 /nfs/dbraw/zinc/54/23/52/339542352.db2.gz CCGGAOGGBIGEQF-IAGOWNOFSA-N 0 3 322.518 4.484 20 0 DIADHN COc1ccc(CN[C@H]2CCCO[C@H]2CC(C)C)cc1Cl ZINC000479603887 339543349 /nfs/dbraw/zinc/54/33/49/339543349.db2.gz RSRBNDYOQWKFHN-RDJZCZTQSA-N 0 3 311.853 4.032 20 0 DIADHN CSCCCCCNCc1cc(C(F)(F)F)ccc1F ZINC000479603797 339543413 /nfs/dbraw/zinc/54/34/13/339543413.db2.gz LRYYDUBYMYFVSW-UHFFFAOYSA-N 0 3 309.372 4.467 20 0 DIADHN Cc1ccc(CNC[C@@H](C)C(F)(F)F)c(Br)c1 ZINC000479606208 339543555 /nfs/dbraw/zinc/54/35/55/339543555.db2.gz JQMZJFKORJLRLU-SECBINFHSA-N 0 3 310.157 4.046 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1ccc(OC2CCCC2)cc1 ZINC000479841357 339549990 /nfs/dbraw/zinc/54/99/90/339549990.db2.gz LZVJHPNQOAUFNN-GOSISDBHSA-N 0 3 318.461 4.113 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)CCC(C)C)nnn1-c1ccccc1 ZINC000479848004 339550237 /nfs/dbraw/zinc/55/02/37/339550237.db2.gz CVYQMNYXMUEUGC-HUUCEWRRSA-N 0 3 300.450 4.051 20 0 DIADHN FC(F)(F)Oc1ccc2c(c1)[C@@H](NCc1ccccc1)CC2 ZINC000479876343 339552345 /nfs/dbraw/zinc/55/23/45/339552345.db2.gz SWVPCDHCFFPBOK-INIZCTEOSA-N 0 3 307.315 4.362 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000480098704 339559186 /nfs/dbraw/zinc/55/91/86/339559186.db2.gz LTCUTRZAPULCHJ-UKRRQHHQSA-N 0 3 310.507 4.025 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1cccc(OC(C)(C)C)n1 ZINC000480142150 339560432 /nfs/dbraw/zinc/56/04/32/339560432.db2.gz AKBJTRBXEVIRKS-LBPRGKRZSA-N 0 3 318.383 4.470 20 0 DIADHN C[C@@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccc(Cl)cn1 ZINC000480159423 339561049 /nfs/dbraw/zinc/56/10/49/339561049.db2.gz LJUDAEBLEGHAFS-HIFRSBDPSA-N 0 3 308.853 4.268 20 0 DIADHN COc1ccc(C(C)(C)CN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480165494 339561352 /nfs/dbraw/zinc/56/13/52/339561352.db2.gz FTRSSRJDVNPKEV-ZDUSSCGKSA-N 0 3 318.848 4.372 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H](C)CCCC(F)(F)F)o1 ZINC000480171256 339561900 /nfs/dbraw/zinc/56/19/00/339561900.db2.gz PZQIXHDJIGZBQO-WCQYABFASA-N 0 3 307.356 4.240 20 0 DIADHN C[C@@H](NCCCN(C)c1ccccc1F)c1ccc(Cl)cn1 ZINC000480258719 339565706 /nfs/dbraw/zinc/56/57/06/339565706.db2.gz QNYNXXXNUPJGNL-CYBMUJFWSA-N 0 3 321.827 4.051 20 0 DIADHN CC(C)(CO)[C@@H](CNCc1ccsc1Cl)c1ccccc1 ZINC000480259383 339565869 /nfs/dbraw/zinc/56/58/69/339565869.db2.gz FHQIUBYNIHRKNX-HNNXBMFYSA-N 0 3 323.889 4.293 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](C)c2ccc3c(c2)CCCC3)cn1 ZINC000480310342 339568476 /nfs/dbraw/zinc/56/84/76/339568476.db2.gz NAJGECYTJQYVHO-INIZCTEOSA-N 0 3 323.484 4.267 20 0 DIADHN Fc1cccc(-c2ccc(CNC3CCSCC3)o2)c1F ZINC000480358854 339570530 /nfs/dbraw/zinc/57/05/30/339570530.db2.gz RHLHXAUTPGJWKJ-UHFFFAOYSA-N 0 3 309.381 4.210 20 0 DIADHN C[C@H](N[C@H](c1cnn(C)c1)c1ccc(F)cc1)C1CCCCC1 ZINC000480665716 339582029 /nfs/dbraw/zinc/58/20/29/339582029.db2.gz QLRKMCCPNRDAKA-LIRRHRJNSA-N 0 3 315.436 4.207 20 0 DIADHN Cn1cc([C@@H](N[C@@H]2CCCC(C)(C)C2)c2ccc(F)cc2)cn1 ZINC000480675389 339582772 /nfs/dbraw/zinc/58/27/72/339582772.db2.gz MCRSTRRNCXHBFI-MSOLQXFVSA-N 0 3 315.436 4.207 20 0 DIADHN CC[C@H](N[C@@H](C)C1(c2ccccc2)CCCC1)c1ccn(C)n1 ZINC000480692291 339583553 /nfs/dbraw/zinc/58/35/53/339583553.db2.gz FYRJMQKASXCPML-WMZOPIPTSA-N 0 3 311.473 4.361 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000480818018 339588099 /nfs/dbraw/zinc/58/80/99/339588099.db2.gz JNUCYLLWIAEWFZ-CDHQVMDDSA-N 0 3 313.416 4.462 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cccc(Cn3cccn3)c2)c1 ZINC000480911054 339590435 /nfs/dbraw/zinc/59/04/35/339590435.db2.gz KZHMXMGRROQLNE-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000481117147 339595927 /nfs/dbraw/zinc/59/59/27/339595927.db2.gz QSPBCYFAODFAKV-WCQYABFASA-N 0 3 305.447 4.103 20 0 DIADHN c1nc(CN[C@H]2CCCC[C@@H]2Cc2ccccc2)oc1C1CC1 ZINC000481164841 339596745 /nfs/dbraw/zinc/59/67/45/339596745.db2.gz APWJBCYEDKYIBO-MSOLQXFVSA-N 0 3 310.441 4.443 20 0 DIADHN c1nc(CN[C@@H]2CCCC[C@H]2Cc2ccccc2)oc1C1CC1 ZINC000481164844 339596747 /nfs/dbraw/zinc/59/67/47/339596747.db2.gz APWJBCYEDKYIBO-ZWKOTPCHSA-N 0 3 310.441 4.443 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nc(-c2cccs2)no1 ZINC000481175853 339597074 /nfs/dbraw/zinc/59/70/74/339597074.db2.gz NEQHECGDVXCCRD-NDBYEHHHSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000481186871 339597828 /nfs/dbraw/zinc/59/78/28/339597828.db2.gz YOAGFSGNDHIPOZ-DVZHBHJUSA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)C[C@H](NCCc1c(F)cccc1F)c1ccccn1 ZINC000481314133 339600850 /nfs/dbraw/zinc/60/08/50/339600850.db2.gz ARQBEFOPTHVSAA-SFHVURJKSA-N 0 3 304.384 4.279 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(F)cc2N(C)C)c(C)c1 ZINC000481333057 339601496 /nfs/dbraw/zinc/60/14/96/339601496.db2.gz QBCUFKNKDVSGRF-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN CCC(C)(C)CCN[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000481398247 339603054 /nfs/dbraw/zinc/60/30/54/339603054.db2.gz DEKSIGINIUDTMA-QGZVFWFLSA-N 0 3 303.425 4.065 20 0 DIADHN C[C@H](NCCc1cc(Br)ccc1F)c1ccco1 ZINC000481411876 339603656 /nfs/dbraw/zinc/60/36/56/339603656.db2.gz IKYBPHCPMNISCS-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN COc1cccc(CN[C@@H](C)[C@@H](OC)c2ccccc2Cl)c1 ZINC000481428821 339604240 /nfs/dbraw/zinc/60/42/40/339604240.db2.gz VKSQDBVIELWWFT-SCLBCKFNSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@H](C)c1ccco1 ZINC000481545504 339605969 /nfs/dbraw/zinc/60/59/69/339605969.db2.gz NQYXEIFWLDCMEB-VXGBXAGGSA-N 0 3 313.319 4.417 20 0 DIADHN Cc1cc(C)n(CCN2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC000481828047 339614943 /nfs/dbraw/zinc/61/49/43/339614943.db2.gz AASMLGCIBCJDHR-UHFFFAOYSA-N 0 3 317.864 4.033 20 0 DIADHN CC[C@H](N[C@@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000481940084 339617557 /nfs/dbraw/zinc/61/75/57/339617557.db2.gz BGFDDFMRDBAEJJ-HOCLYGCPSA-N 0 3 304.482 4.014 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3c(s2)CCCC3)cc1O ZINC000482038060 339620692 /nfs/dbraw/zinc/62/06/92/339620692.db2.gz PYXSPXDBDACBEG-LBPRGKRZSA-N 0 3 317.454 4.192 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1ccccc1OC(F)(F)F ZINC000482096977 339622953 /nfs/dbraw/zinc/62/29/53/339622953.db2.gz IHVNYDVPBHUBHG-JHJVBQTASA-N 0 3 317.351 4.193 20 0 DIADHN CC1(CO)CCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000482233573 339626757 /nfs/dbraw/zinc/62/67/57/339626757.db2.gz GHLFJIDFINITHR-UHFFFAOYSA-N 0 3 316.272 4.020 20 0 DIADHN CCc1ccc([C@@H](C)NCCCc2nc3c(s2)CCCC3)o1 ZINC000482259261 339628025 /nfs/dbraw/zinc/62/80/25/339628025.db2.gz GIQNCCQJBVHSMG-CYBMUJFWSA-N 0 3 318.486 4.461 20 0 DIADHN CCCn1cc(CN2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC000482495038 339633336 /nfs/dbraw/zinc/63/33/36/339633336.db2.gz GPKKWYMJRUWRIQ-UHFFFAOYSA-N 0 3 317.864 4.326 20 0 DIADHN COc1ccccc1[C@@H](C)NCCc1nc(C(C)(C)C)cs1 ZINC000482551588 339635666 /nfs/dbraw/zinc/63/56/66/339635666.db2.gz HOTSTHCDMKOYFF-CYBMUJFWSA-N 0 3 318.486 4.343 20 0 DIADHN CCCOc1ccc(CN[C@@H]2c3cc(F)ccc3C[C@H]2C)cn1 ZINC000482621443 339637649 /nfs/dbraw/zinc/63/76/49/339637649.db2.gz SMPCJMWZCZZKIE-YJYMSZOUSA-N 0 3 314.404 4.033 20 0 DIADHN CCOc1ccc(CN[C@@H]2c3cc(F)ccc3C[C@@H]2C)cc1F ZINC000482631147 339638053 /nfs/dbraw/zinc/63/80/53/339638053.db2.gz CVNRQSFIFUNVEK-BUXKBTBVSA-N 0 3 317.379 4.387 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3c2cccc3OC)cc1F ZINC000482630030 339638197 /nfs/dbraw/zinc/63/81/97/339638197.db2.gz MGMRMCNHNKGSBD-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](C)c1ccccc1C(F)(F)F ZINC000482828900 339643700 /nfs/dbraw/zinc/64/37/00/339643700.db2.gz QTUBFXLJARITGW-OLZOCXBDSA-N 0 3 303.368 4.153 20 0 DIADHN Cc1noc(C)c1CN[C@H]1CCCC[C@@H]1Oc1cccc(C)c1 ZINC000482840056 339644080 /nfs/dbraw/zinc/64/40/80/339644080.db2.gz CTCZZOMOROPUPX-OALUTQOASA-N 0 3 314.429 4.080 20 0 DIADHN CCC[C@@H](O)CN[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000482940775 339647437 /nfs/dbraw/zinc/64/74/37/339647437.db2.gz BPKMZCWHDJMHJN-FUHWJXTLSA-N 0 3 313.441 4.077 20 0 DIADHN CC1(C)C[C@@H](NCCC(=O)Nc2ccccc2)c2ccccc21 ZINC000482941668 339647499 /nfs/dbraw/zinc/64/74/99/339647499.db2.gz AFYIHFJRPIWHCG-GOSISDBHSA-N 0 3 308.425 4.027 20 0 DIADHN CCCC1CCC(N(C)C(=O)c2ccc(CN(C)C)cc2)CC1 ZINC000483077694 339651008 /nfs/dbraw/zinc/65/10/08/339651008.db2.gz VNETUPMNUUMQSF-UHFFFAOYSA-N 0 3 316.489 4.179 20 0 DIADHN C[C@H](O)CCN[C@@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000483143359 339653928 /nfs/dbraw/zinc/65/39/28/339653928.db2.gz KONLVMKYVQXFRX-UGSOOPFHSA-N 0 3 321.823 4.123 20 0 DIADHN CSC[C@@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000483213048 339656421 /nfs/dbraw/zinc/65/64/21/339656421.db2.gz LCTCZAHHIXTGKM-RKDXNWHRSA-N 0 3 309.809 4.344 20 0 DIADHN CSCCCN[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000483226663 339656956 /nfs/dbraw/zinc/65/69/56/339656956.db2.gz KFRQHNOJVYBIGW-LBPRGKRZSA-N 0 3 323.893 4.238 20 0 DIADHN C[C@@H](CCO)N[C@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000483315992 339661303 /nfs/dbraw/zinc/66/13/03/339661303.db2.gz MWGYAXVWGGDBRD-SCLBCKFNSA-N 0 3 321.823 4.123 20 0 DIADHN CCC[C@H](C)CN[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483633775 339670108 /nfs/dbraw/zinc/67/01/08/339670108.db2.gz GEJGEYUSRKPSRE-AAEUAGOBSA-N 0 3 305.397 4.271 20 0 DIADHN CCCC[C@@H](CC)N[C@@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000483654642 339670987 /nfs/dbraw/zinc/67/09/87/339670987.db2.gz ODRZZQRKGSLQQZ-DZGCQCFKSA-N 0 3 317.433 4.365 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@H](C)c2cc(F)cc(F)c2)cc1 ZINC000483667567 339671448 /nfs/dbraw/zinc/67/14/48/339671448.db2.gz ZWNRLXXAYMFFFK-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1cc2ccccc2o1 ZINC000483706493 339672004 /nfs/dbraw/zinc/67/20/04/339672004.db2.gz CGFAKEJNVRDSIQ-BHYGNILZSA-N 0 3 309.413 4.464 20 0 DIADHN COc1ccc(Cl)c(CN[C@H](C)[C@@H]2COc3ccccc32)c1 ZINC000483716778 339672593 /nfs/dbraw/zinc/67/25/93/339672593.db2.gz WLGMDRNJJRLIOE-WBMJQRKESA-N 0 3 317.816 4.003 20 0 DIADHN CO[C@@H](CN[C@H](C)c1sc(-c2ccccc2)nc1C)C(C)C ZINC000483847798 339676254 /nfs/dbraw/zinc/67/62/54/339676254.db2.gz FNBCLESEUBLPMR-CJNGLKHVSA-N 0 3 318.486 4.440 20 0 DIADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000483875371 339677783 /nfs/dbraw/zinc/67/77/83/339677783.db2.gz YSZJJQHEQOXGQE-GMXVVIOVSA-N 0 3 304.261 4.441 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](Cc1ccccc1)c1ccccc1F ZINC000484126756 339681384 /nfs/dbraw/zinc/68/13/84/339681384.db2.gz JFURHLQGLOBZAN-KHYOSLBOSA-N 0 3 301.405 4.123 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCNc2ccccn2)cc1 ZINC000484142885 339681872 /nfs/dbraw/zinc/68/18/72/339681872.db2.gz JDTSPWOULBWJKP-OAHLLOKOSA-N 0 3 315.486 4.345 20 0 DIADHN CC(C)CC[C@@](C)(O)CN[C@H](C)c1ccccc1OC(F)F ZINC000484145322 339681941 /nfs/dbraw/zinc/68/19/41/339681941.db2.gz WXXAZEANRHSOSZ-CXAGYDPISA-N 0 3 315.404 4.126 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H](C)Cc1ccncc1 ZINC000484481577 339686476 /nfs/dbraw/zinc/68/64/76/339686476.db2.gz WCUWYRDRKWABAU-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1ccccc1OC)C1CCCC1 ZINC000484475802 339686816 /nfs/dbraw/zinc/68/68/16/339686816.db2.gz OHHGIUHRQXYUJT-CRAIPNDOSA-N 0 3 305.462 4.331 20 0 DIADHN COc1ccc([C@@H](C)NCCc2cc(F)ccc2F)c(F)c1 ZINC000484474907 339686848 /nfs/dbraw/zinc/68/68/48/339686848.db2.gz QXKQGBWPSASSHR-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN C[C@H]1C[C@H](NC[C@@]2(C)CCCC[C@H]2O)c2ccsc2S1 ZINC000484480472 339687116 /nfs/dbraw/zinc/68/71/16/339687116.db2.gz OIWJPKKTUYCADN-YYWXWVFPSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@H](NC[C@@H](CO)c1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000484484767 339687451 /nfs/dbraw/zinc/68/74/51/339687451.db2.gz OHXBGHRYKXQNAV-LPHOPBHVSA-N 0 3 321.420 4.373 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1ccccc1OC(F)(F)F ZINC000484486492 339687562 /nfs/dbraw/zinc/68/75/62/339687562.db2.gz LINYZNKMBITHNB-WDEREUQCSA-N 0 3 305.365 4.131 20 0 DIADHN C[C@@H](NC[C@H](CO)c1ccccc1)c1cccc(Cl)c1Cl ZINC000484487584 339687586 /nfs/dbraw/zinc/68/75/86/339687586.db2.gz DLNGBDWMJPDUSJ-TZMCWYRMSA-N 0 3 324.251 4.420 20 0 DIADHN C[C@H](NC[C@]1(C)CCCS1)c1ccc(F)cc1OC(F)F ZINC000484501560 339688509 /nfs/dbraw/zinc/68/85/09/339688509.db2.gz JDAZLWWTWKTCPR-BONVTDFDSA-N 0 3 319.392 4.363 20 0 DIADHN CC[C@H]1CCC[C@@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000485697086 339709840 /nfs/dbraw/zinc/70/98/40/339709840.db2.gz OPXIJSUYAYMNPU-LSDHHAIUSA-N 0 3 308.466 4.296 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cc(F)cc(Cl)c1 ZINC000485715858 339710838 /nfs/dbraw/zinc/71/08/38/339710838.db2.gz JOMPQIBXJZOJIY-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN Cc1cccc(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)c1F ZINC000485974608 339717659 /nfs/dbraw/zinc/71/76/59/339717659.db2.gz DZTVYUFBSBCWBZ-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN CN1CCC(CC(=O)Nc2cccc(-c3cccs3)c2)CC1 ZINC000486039051 339719026 /nfs/dbraw/zinc/71/90/26/339719026.db2.gz QGOWJXIHRPZCKU-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN COc1ccc(CNC2(c3ccc(Cl)cc3)CCCC2)cn1 ZINC000486479913 339728117 /nfs/dbraw/zinc/72/81/17/339728117.db2.gz FJGJKWFLXZTMFE-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CCOCc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1 ZINC000486713126 339732227 /nfs/dbraw/zinc/73/22/27/339732227.db2.gz PYVKXAUFHSHVIX-UHFFFAOYSA-N 0 3 312.388 4.133 20 0 DIADHN Cn1c2ccccc2nc1CN[C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC000486909789 339737757 /nfs/dbraw/zinc/73/77/57/339737757.db2.gz WBQWEMBZUQLQGD-QZTJIDSGSA-N 0 3 319.452 4.389 20 0 DIADHN FC(F)n1ccc(CN[C@@H]2CCC[C@@H](c3ccccc3)C2)n1 ZINC000486906626 339737802 /nfs/dbraw/zinc/73/78/02/339737802.db2.gz UEODHGJIYUOHLU-HUUCEWRRSA-N 0 3 305.372 4.094 20 0 DIADHN CCN(CC)[C@H](CNCc1cc(Cl)cs1)c1ccco1 ZINC000486931959 339738651 /nfs/dbraw/zinc/73/86/51/339738651.db2.gz BZJXODGIXSLRSO-CQSZACIVSA-N 0 3 312.866 4.167 20 0 DIADHN Cc1ccc([C@@H](CNCc2cc(Cl)cs2)N2CCCC2)o1 ZINC000486936604 339738912 /nfs/dbraw/zinc/73/89/12/339738912.db2.gz GFDOHDYTFDONFQ-OAHLLOKOSA-N 0 3 324.877 4.230 20 0 DIADHN Cc1ccc([C@@H](CNCc2sccc2Cl)N2CCCC2)o1 ZINC000486942389 339739258 /nfs/dbraw/zinc/73/92/58/339739258.db2.gz MCUIVKZXMBMNQX-CQSZACIVSA-N 0 3 324.877 4.230 20 0 DIADHN Cc1cc(NC(=O)c2ccccc2CN(C)C)ccc1C1CC1 ZINC000487089493 339743712 /nfs/dbraw/zinc/74/37/12/339743712.db2.gz VVYXNMHJRGLNIJ-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN Fc1cccc(CCNCc2cnc(-c3ccco3)s2)c1F ZINC000487309037 339750624 /nfs/dbraw/zinc/75/06/24/339750624.db2.gz NAXLJICWCGQQKW-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN C[C@H](NC/C=C\c1ccncc1)c1cccc(OC(F)(F)F)c1 ZINC000487318239 339750994 /nfs/dbraw/zinc/75/09/94/339750994.db2.gz FJDBSKUVFPNQPI-SCOBNMCVSA-N 0 3 322.330 4.344 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000487565523 339757731 /nfs/dbraw/zinc/75/77/31/339757731.db2.gz AJKZUVRZDUDMHG-QZTJIDSGSA-N 0 3 323.864 4.034 20 0 DIADHN CCOc1cc(CN[C@@H]2CS[C@H](C(C)(C)C)C2)ccc1OC ZINC000488409570 339780196 /nfs/dbraw/zinc/78/01/96/339780196.db2.gz HFXPPOSXARDWPD-YOEHRIQHSA-N 0 3 323.502 4.104 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CS[C@@H](C(C)(C)C)C2)cc1F ZINC000488427125 339781475 /nfs/dbraw/zinc/78/14/75/339781475.db2.gz XGNVEEDWAYRNQQ-DETPVDSQSA-N 0 3 311.466 4.405 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccnc(OC3CCC3)c2)CS1 ZINC000488437094 339782172 /nfs/dbraw/zinc/78/21/72/339782172.db2.gz YKWGQQDYWQTJPX-ZBFHGGJFSA-N 0 3 320.502 4.023 20 0 DIADHN COc1cc(F)c([C@H](C)NC2CCC3(CC3)CC2)cc1OC ZINC000488444187 339782700 /nfs/dbraw/zinc/78/27/00/339782700.db2.gz CKSQZMFANXLUDU-LBPRGKRZSA-N 0 3 307.409 4.216 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NC[C@@]1(C)CCCO1)CCCO2 ZINC000489521481 339811656 /nfs/dbraw/zinc/81/16/56/339811656.db2.gz DYYYMDJUWIJMPB-NVXWUHKLSA-N 0 3 309.837 4.021 20 0 DIADHN Cc1ccc(C)n1C1CCN(CCc2ccc(F)c(F)c2)CC1 ZINC000490076279 339825311 /nfs/dbraw/zinc/82/53/11/339825311.db2.gz BCOJSFDMNBUFAT-UHFFFAOYSA-N 0 3 318.411 4.263 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCOC2)c2ccc(Cl)cc2)cc1 ZINC000490158085 339827379 /nfs/dbraw/zinc/82/73/79/339827379.db2.gz QHGFILQCWVBTAV-FZKQIMNGSA-N 0 3 319.807 4.195 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc3c(c1)OCO3)CCC2 ZINC000490355527 339831149 /nfs/dbraw/zinc/83/11/49/339831149.db2.gz QCKKEAJAFYHYSD-KSSFIOAISA-N 0 3 309.409 4.452 20 0 DIADHN CC[C@H](N)C(=O)Nc1ccc([C@@H](C)Sc2ccccc2)cc1 ZINC000491002153 339845817 /nfs/dbraw/zinc/84/58/17/339845817.db2.gz JLPBLZWCSLGFIG-DYVFJYSZSA-N 0 3 314.454 4.216 20 0 DIADHN CC[C@](C)(O)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000491058927 339847399 /nfs/dbraw/zinc/84/73/99/339847399.db2.gz JAEBNXZSXPNIRU-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN CCn1nc(CNC[C@@H]2CCCCC(F)(F)C2)c2ccccc21 ZINC000491593862 339868252 /nfs/dbraw/zinc/86/82/52/339868252.db2.gz KBXIWTAHDKLRQA-CQSZACIVSA-N 0 3 321.415 4.361 20 0 DIADHN CC(C)c1nnc(CCNCc2ccc(C(C)(C)C)s2)s1 ZINC000492113446 339891855 /nfs/dbraw/zinc/89/18/55/339891855.db2.gz YXLLJZDJONKARO-UHFFFAOYSA-N 0 3 323.531 4.353 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)[C@H]1OCCc2sccc21 ZINC000492193143 339893913 /nfs/dbraw/zinc/89/39/13/339893913.db2.gz MVPDFOLXMAWEJM-KPZWWZAWSA-N 0 3 313.422 4.286 20 0 DIADHN OC1(CN[C@H](c2ccccc2)c2cccc3ccccc32)CCC1 ZINC000492212224 339894371 /nfs/dbraw/zinc/89/43/71/339894371.db2.gz YHQWLOWCCHVXSH-OAQYLSRUSA-N 0 3 317.432 4.434 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NC/C=C/c1ccncc1 ZINC000492357080 339898440 /nfs/dbraw/zinc/89/84/40/339898440.db2.gz MEWMJNNUTNHDTM-BAABZTOOSA-N 0 3 304.846 4.477 20 0 DIADHN Cc1nnsc1CN[C@H]1CCCC[C@@H](c2ccccc2)C1 ZINC000492457989 339901122 /nfs/dbraw/zinc/90/11/22/339901122.db2.gz CHPLIFKDWNJLEX-CVEARBPZSA-N 0 3 301.459 4.053 20 0 DIADHN CC[C@@](C)(NCCCc1cccc(F)c1)c1nc(C)cs1 ZINC000492732401 339907734 /nfs/dbraw/zinc/90/77/34/339907734.db2.gz YGJFUJNWAXHHAS-QGZVFWFLSA-N 0 3 306.450 4.438 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)c1ccc(Cl)cc1)[C@H](C)CO ZINC000493038720 339915637 /nfs/dbraw/zinc/91/56/37/339915637.db2.gz WLSOBKUPDPSDDR-HBUWYVDXSA-N 0 3 303.833 4.036 20 0 DIADHN CCO[C@@H](CCN[C@H](CC)c1ccc(F)cn1)C1CCCC1 ZINC000493082700 339916773 /nfs/dbraw/zinc/91/67/73/339916773.db2.gz VTXIMYPRJYKKRE-AEFFLSMTSA-N 0 3 308.441 4.247 20 0 DIADHN CC[C@H](NC[C@@H]1SCCc2ccccc21)c1ccc(F)cn1 ZINC000493101346 339917173 /nfs/dbraw/zinc/91/71/73/339917173.db2.gz ALVLEAFAYXSTQK-WMZOPIPTSA-N 0 3 316.445 4.292 20 0 DIADHN COCc1cc(CN[C@@H]2c3cc(C)ccc3C[C@H]2C)ccc1F ZINC000493276354 339921745 /nfs/dbraw/zinc/92/17/45/339921745.db2.gz IMKJBVWNVIQXOR-VLIAUNLRSA-N 0 3 313.416 4.304 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccccc2)o1 ZINC000493443743 339925765 /nfs/dbraw/zinc/92/57/65/339925765.db2.gz UMXBWYLJJZEPRQ-RDJZCZTQSA-N 0 3 313.445 4.431 20 0 DIADHN CCc1ccccc1CN[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1 ZINC000493800054 339933831 /nfs/dbraw/zinc/93/38/31/339933831.db2.gz XSIOEOZCHYOPJM-RTBURBONSA-N 0 3 317.379 4.147 20 0 DIADHN COC[C@H](N[C@H]1CCC[C@H](SC)C1)c1ccc(Cl)cc1 ZINC000493814497 339934204 /nfs/dbraw/zinc/93/42/04/339934204.db2.gz GYCZCWWPZKFIBY-JYJNAYRXSA-N 0 3 313.894 4.291 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccco1)c1ccc(Cl)cc1 ZINC000493851711 339934927 /nfs/dbraw/zinc/93/49/27/339934927.db2.gz WVCMHBKSLFJNRS-CXAGYDPISA-N 0 3 307.821 4.231 20 0 DIADHN Cc1sc(CN[C@H]2CCc3ccccc3OC2)nc1C(C)C ZINC000493875544 339935341 /nfs/dbraw/zinc/93/53/41/339935341.db2.gz QKHPTJBYWSXHBZ-HNNXBMFYSA-N 0 3 316.470 4.058 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc(SC)cc1 ZINC000493895019 339936032 /nfs/dbraw/zinc/93/60/32/339936032.db2.gz TVAMRIHLPMQNQU-KSSFIOAISA-N 0 3 301.455 4.274 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccccc1OC(C)C ZINC000493897827 339936095 /nfs/dbraw/zinc/93/60/95/339936095.db2.gz RLDHCUAAABXMSL-UZLBHIALSA-N 0 3 313.441 4.340 20 0 DIADHN Cc1ccc([C@@H](NCC2(CCO)CCC2)c2ccccc2)cc1 ZINC000494029065 339939325 /nfs/dbraw/zinc/93/93/25/339939325.db2.gz OJIANANDZUCVTK-FQEVSTJZSA-N 0 3 309.453 4.227 20 0 DIADHN c1ccc([C@H](NCC2Cc3ccccc3C2)c2ccccn2)cc1 ZINC000494038258 339939567 /nfs/dbraw/zinc/93/95/67/339939567.db2.gz KKJMYXNQTHWUKT-QFIPXVFZSA-N 0 3 314.432 4.176 20 0 DIADHN CC[C@@H](NCCc1ccc(F)cc1Cl)c1ccc(F)cn1 ZINC000494052069 339939854 /nfs/dbraw/zinc/93/98/54/339939854.db2.gz ZXZMUFBCGZJKQB-OAHLLOKOSA-N 0 3 310.775 4.297 20 0 DIADHN CCCc1csc(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000494337287 339946963 /nfs/dbraw/zinc/94/69/63/339946963.db2.gz ZZIVTWWKEGXYIL-MNOVXSKESA-N 0 3 306.397 4.306 20 0 DIADHN COC1(C[C@H](C)N[C@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000494392014 339948129 /nfs/dbraw/zinc/94/81/29/339948129.db2.gz JSVYRESADZMLKD-VHSXEESVSA-N 0 3 322.396 4.160 20 0 DIADHN CC[C@H](C[C@@H](C)CC)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494389310 339948133 /nfs/dbraw/zinc/94/81/33/339948133.db2.gz CAHNYWNXMYXCLE-NWANDNLSSA-N 0 3 315.465 4.041 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H](C)c1cccc(F)c1F ZINC000494638310 339956242 /nfs/dbraw/zinc/95/62/42/339956242.db2.gz QFLWSARLSYVMIR-VBHSOAQHSA-N 0 3 305.368 4.392 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC3CCC3)c1)[C@@H](C)C2 ZINC000494745405 339959083 /nfs/dbraw/zinc/95/90/83/339959083.db2.gz JBVMSALIARUSGH-BTYIYWSLSA-N 0 3 322.452 4.344 20 0 DIADHN CCCc1csc(CNC(C)(C)Cc2ccc(OC)cc2)n1 ZINC000494848194 339962327 /nfs/dbraw/zinc/96/23/27/339962327.db2.gz MSZXKORONUBLOE-UHFFFAOYSA-N 0 3 318.486 4.215 20 0 DIADHN CC[C@@H](NCCc1csc(C(C)(C)C)n1)c1ccc(F)cn1 ZINC000494975265 339968115 /nfs/dbraw/zinc/96/81/15/339968115.db2.gz WULSOKMWVOOWNV-CQSZACIVSA-N 0 3 321.465 4.258 20 0 DIADHN CC(C)(C)C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccccc1 ZINC000495019161 339970384 /nfs/dbraw/zinc/97/03/84/339970384.db2.gz QBMMSQDEJZNJNC-HSALFYBXSA-N 0 3 309.453 4.412 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CCC[C@H]2CCO)o1 ZINC000495184095 339978184 /nfs/dbraw/zinc/97/81/84/339978184.db2.gz MKPNFPDMAOOFGT-KBXCAEBGSA-N 0 3 317.404 4.035 20 0 DIADHN CC[C@@H](NCC1(Cc2ccccc2)CCCC1)c1nccn1C ZINC000495406477 339983202 /nfs/dbraw/zinc/98/32/02/339983202.db2.gz FZTWALKNWLOPMZ-GOSISDBHSA-N 0 3 311.473 4.264 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2ccc(C)s2)c1 ZINC000495615512 339988455 /nfs/dbraw/zinc/98/84/55/339988455.db2.gz AWRATWPWFVIAFG-GFCCVEGCSA-N 0 3 304.415 4.086 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)c1ccco1 ZINC000496331234 340003218 /nfs/dbraw/zinc/00/32/18/340003218.db2.gz UGOAKEHDHUDDHR-SOUVJXGZSA-N 0 3 322.449 4.014 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(C3CC3)cc2)c1 ZINC000496620305 340009095 /nfs/dbraw/zinc/00/90/95/340009095.db2.gz AXPYGCAVYFJCHC-UHFFFAOYSA-N 0 3 310.397 4.032 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NC1(c2ccc(Cl)cc2)CC1 ZINC000498748143 340022563 /nfs/dbraw/zinc/02/25/63/340022563.db2.gz WERZJFIPPMUSSK-LBPRGKRZSA-N 0 3 309.837 4.039 20 0 DIADHN CC(C)[C@@H](O)C1(CNC2c3ccccc3-c3ccccc32)CC1 ZINC000498766381 340022992 /nfs/dbraw/zinc/02/29/92/340022992.db2.gz QQNPEMVXNXIICY-HXUWFJFHSA-N 0 3 307.437 4.143 20 0 DIADHN CC(C)[C@H](NCCc1cc2ccccc2o1)c1ccc(F)cn1 ZINC000498773570 340023118 /nfs/dbraw/zinc/02/31/18/340023118.db2.gz KAVNVYKWWWPWOQ-IBGZPJMESA-N 0 3 312.388 4.496 20 0 DIADHN Cc1cnc(CCN[C@@H](c2cc3ccccc3o2)C(C)(C)C)nc1 ZINC000498780584 340023152 /nfs/dbraw/zinc/02/31/52/340023152.db2.gz JQYOZLFODZFDBR-IBGZPJMESA-N 0 3 323.440 4.451 20 0 DIADHN OC[C@@H](CNC1c2ccccc2-c2ccccc21)c1ccccc1 ZINC000498939633 340026710 /nfs/dbraw/zinc/02/67/10/340026710.db2.gz GSQRZJVCYAWSIU-QGZVFWFLSA-N 0 3 315.416 4.122 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@H]3C3CCCC3)nn2)cc1 ZINC000499056942 340028675 /nfs/dbraw/zinc/02/86/75/340028675.db2.gz RUCNLXJMZMUVJP-IBGZPJMESA-N 0 3 323.440 4.424 20 0 DIADHN C[C@@H](c1ccc(-c2ccccc2)cc1)N(C)CCn1ccnc1 ZINC000499068030 340029011 /nfs/dbraw/zinc/02/90/11/340029011.db2.gz FGJQONJSZYLMEG-KRWDZBQOSA-N 0 3 305.425 4.243 20 0 DIADHN COC[C@H]1CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000499083672 340029257 /nfs/dbraw/zinc/02/92/57/340029257.db2.gz GYNYLNKZMMJIHL-KRWDZBQOSA-N 0 3 311.425 4.337 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccc(F)cc2)c2ccccn2)cc1 ZINC000499163040 340031484 /nfs/dbraw/zinc/03/14/84/340031484.db2.gz DLGZQMNDYRXANV-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC000499399414 340036293 /nfs/dbraw/zinc/03/62/93/340036293.db2.gz FSBNPZCUTZWSPO-HKUYNNGSSA-N 0 3 316.489 4.074 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cnc2ccccc2c1 ZINC000499705533 340043104 /nfs/dbraw/zinc/04/31/04/340043104.db2.gz KAXVNTCMRNGFLD-OAHLLOKOSA-N 0 3 308.347 4.398 20 0 DIADHN CCOCCN1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499937619 340047366 /nfs/dbraw/zinc/04/73/66/340047366.db2.gz UMLPMCMMDNVGIZ-UHFFFAOYSA-N 0 3 319.342 4.003 20 0 DIADHN C[C@H]1CC[C@H](CN2CCC(F)(c3ccc(Cl)cc3)CC2)O1 ZINC000500141296 340051159 /nfs/dbraw/zinc/05/11/59/340051159.db2.gz SDWWLMXLBHAJMO-XJKSGUPXSA-N 0 3 311.828 4.168 20 0 DIADHN COc1cccc(C2(F)CCN([C@@H](C)c3ccccn3)CC2)c1 ZINC000500158154 340051634 /nfs/dbraw/zinc/05/16/34/340051634.db2.gz WCZLAHWXNHPVSI-HNNXBMFYSA-N 0 3 314.404 4.112 20 0 DIADHN COc1cccc(C2(F)CCN([C@H](C)c3ccccn3)CC2)c1 ZINC000500158156 340051688 /nfs/dbraw/zinc/05/16/88/340051688.db2.gz WCZLAHWXNHPVSI-OAHLLOKOSA-N 0 3 314.404 4.112 20 0 DIADHN CCc1ccc(-c2ccc(CNCCc3nc(C)oc3C)o2)cc1 ZINC000500251434 340054060 /nfs/dbraw/zinc/05/40/60/340054060.db2.gz QTUAIMXBIIWNSU-UHFFFAOYSA-N 0 3 324.424 4.446 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN[C@@H](C)c1cn[nH]c1 ZINC000500397182 340056693 /nfs/dbraw/zinc/05/66/93/340056693.db2.gz LTEFMOZYPDHRMC-VNKGSWCUSA-N 0 3 309.335 4.183 20 0 DIADHN Clc1scc(CN[C@H]2CCCC23CCOCC3)c1Cl ZINC000500416035 340057604 /nfs/dbraw/zinc/05/76/04/340057604.db2.gz MKSJZSOSFYBAPD-NSHDSACASA-N 0 3 320.285 4.494 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@H]3CCCC(F)(F)C3)sc2C1 ZINC000500417391 340057716 /nfs/dbraw/zinc/05/77/16/340057716.db2.gz SRASJSVNSPMCLE-NEPJUHHUSA-N 0 3 314.445 4.183 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H]2CCCC23CCOCC3)c1 ZINC000500419220 340057736 /nfs/dbraw/zinc/05/77/36/340057736.db2.gz GFKOPRBLSIDMEQ-HNNXBMFYSA-N 0 3 314.256 4.432 20 0 DIADHN CCCn1c(CNC[C@H]2CCCC(F)(F)C2)nc2ccccc21 ZINC000500438310 340058596 /nfs/dbraw/zinc/05/85/96/340058596.db2.gz GIPSHACYJZWINE-AWEZNQCLSA-N 0 3 321.415 4.361 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)N[C@H](C)c1ccccc1Cl ZINC000500459127 340059346 /nfs/dbraw/zinc/05/93/46/340059346.db2.gz VHPCLYRLIPWKEU-HUUCEWRRSA-N 0 3 322.880 4.028 20 0 DIADHN Fc1cc(Cl)cc(CN[C@@H]2CCCC[C@H]2OC(F)F)c1 ZINC000500459909 340059672 /nfs/dbraw/zinc/05/96/72/340059672.db2.gz IDYCDLKEFLNIHE-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1cccc(Cl)c1Cl ZINC000500472682 340059929 /nfs/dbraw/zinc/05/99/29/340059929.db2.gz ANWRAGCGOFAOTI-GHMZBOCLSA-N 0 3 310.171 4.243 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCC[C@H]3OC(F)F)oc2cc1C ZINC000500475627 340060057 /nfs/dbraw/zinc/06/00/57/340060057.db2.gz NZARRYFDPIIJHP-HUUCEWRRSA-N 0 3 309.356 4.300 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccc(Cl)c(Cl)c1 ZINC000500477669 340060181 /nfs/dbraw/zinc/06/01/81/340060181.db2.gz YIARWXZRXPVZNK-VXGBXAGGSA-N 0 3 310.171 4.243 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1cc(Cl)ccc1Cl ZINC000500494683 340060600 /nfs/dbraw/zinc/06/06/00/340060600.db2.gz DWSXZOHCZOIZOC-VXGBXAGGSA-N 0 3 310.171 4.243 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1ccc2c[nH]nc2c1)c1cccc(C)c1 ZINC000500527789 340061487 /nfs/dbraw/zinc/06/14/87/340061487.db2.gz DXYPULFUQRYSGT-YLJYHZDGSA-N 0 3 305.425 4.286 20 0 DIADHN CC[C@H]1C[C@]1(NCc1ccc2c(c1)ncn2C)c1cccc(C)c1 ZINC000500535175 340061684 /nfs/dbraw/zinc/06/16/84/340061684.db2.gz QIHLPQKKSNGVES-LAUBAEHRSA-N 0 3 319.452 4.297 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000500562642 340062372 /nfs/dbraw/zinc/06/23/72/340062372.db2.gz HPMFXPSKDOQAGA-LJQANCHMSA-N 0 3 315.436 4.164 20 0 DIADHN CC(C)[C@H](NCc1cccc(-n2cccn2)c1)c1ccc(F)cc1 ZINC000500565152 340062453 /nfs/dbraw/zinc/06/24/53/340062453.db2.gz AAUHJQXHGGPUIC-FQEVSTJZSA-N 0 3 323.415 4.498 20 0 DIADHN C[C@H](NCCCCOc1ccc(Cl)cc1)c1ccccn1 ZINC000500626085 340064069 /nfs/dbraw/zinc/06/40/69/340064069.db2.gz WUCQNDGEIGCOJM-AWEZNQCLSA-N 0 3 304.821 4.245 20 0 DIADHN CCC[C@@H](NCCc1ccc(OC)cc1Cl)c1ccccn1 ZINC000500637944 340064304 /nfs/dbraw/zinc/06/43/04/340064304.db2.gz VRIOIBBRCFHJAW-QGZVFWFLSA-N 0 3 318.848 4.417 20 0 DIADHN C[C@H](COc1ccc(C(C)(C)C)cc1)N[C@@H](C)c1ccccn1 ZINC000500650843 340064477 /nfs/dbraw/zinc/06/44/77/340064477.db2.gz UXNWGTITDIAMIE-CVEARBPZSA-N 0 3 312.457 4.497 20 0 DIADHN CCC[C@H](N[C@@H]1C[C@@H](OCC)C12CCCC2)c1ccccn1 ZINC000500645975 340064544 /nfs/dbraw/zinc/06/45/44/340064544.db2.gz DIKVNJPTJOLRHD-RCCFBDPRSA-N 0 3 302.462 4.250 20 0 DIADHN COCC1(C)CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC000500734564 340066154 /nfs/dbraw/zinc/06/61/54/340066154.db2.gz UWVLCTIKKRXTBT-UHFFFAOYSA-N 0 3 307.821 4.335 20 0 DIADHN O=C(CC1CCCCC1)NCCNCc1ccc2ccccc2c1 ZINC000500884520 340069138 /nfs/dbraw/zinc/06/91/38/340069138.db2.gz BIZZHBZLMBXQHY-UHFFFAOYSA-N 0 3 324.468 4.016 20 0 DIADHN OC[C@H](NCCSC1CCCCC1)c1ccc(Cl)cc1 ZINC000501008247 340072206 /nfs/dbraw/zinc/07/22/06/340072206.db2.gz PMVLPRFSNIJWJL-INIZCTEOSA-N 0 3 313.894 4.029 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCCSC2CCCCC2)c1 ZINC000501014558 340072234 /nfs/dbraw/zinc/07/22/34/340072234.db2.gz LLDGIQDGMKPGDK-CQSZACIVSA-N 0 3 320.502 4.362 20 0 DIADHN C[C@@H](CN1CCOCC12CCC2)c1ccc(C(F)(F)F)cc1 ZINC000501060064 340073430 /nfs/dbraw/zinc/07/34/30/340073430.db2.gz PMJXXIKDNKUTCD-ZDUSSCGKSA-N 0 3 313.363 4.064 20 0 DIADHN CC(C)COCCN1CCC(c2nc3ccccc3s2)CC1 ZINC000501131012 340074860 /nfs/dbraw/zinc/07/48/60/340074860.db2.gz ZIFVSQNWIAIPAG-UHFFFAOYSA-N 0 3 318.486 4.148 20 0 DIADHN Cc1ncc(CN2CC[C@](C)(CCc3ccccc3)C2)s1 ZINC000501217882 340076371 /nfs/dbraw/zinc/07/63/71/340076371.db2.gz MTYGZPGQEJAQMJ-SFHVURJKSA-N 0 3 300.471 4.296 20 0 DIADHN CC(C)OC[C@H](C)NCc1ccccc1OCc1ccccc1 ZINC000501384602 340079657 /nfs/dbraw/zinc/07/96/57/340079657.db2.gz ABPUTKBHYLDOTA-KRWDZBQOSA-N 0 3 313.441 4.169 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cnc2ccccc2c1 ZINC000501384947 340079698 /nfs/dbraw/zinc/07/96/98/340079698.db2.gz YNFVQJGHFAPRBW-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN CC(C)OC[C@@H](C)NCc1ccc(Br)cc1Cl ZINC000501386746 340079782 /nfs/dbraw/zinc/07/97/82/340079782.db2.gz IYCOXPFPHKCKHN-SNVBAGLBSA-N 0 3 320.658 4.006 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000501388250 340079864 /nfs/dbraw/zinc/07/98/64/340079864.db2.gz OHOHIHUHECCUKK-DNVCBOLYSA-N 0 3 301.405 4.318 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](COc1ccccc1)c1ccccc1 ZINC000501409911 340080326 /nfs/dbraw/zinc/08/03/26/340080326.db2.gz FHFVBSBNGRZHNY-PXNSSMCTSA-N 0 3 313.441 4.210 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@H](c1nnc2ccccn21)C(C)C ZINC000501421626 340080629 /nfs/dbraw/zinc/08/06/29/340080629.db2.gz NMWNXYIKUXCWEN-XAVZPLJISA-N 0 3 320.440 4.120 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000501434377 340080936 /nfs/dbraw/zinc/08/09/36/340080936.db2.gz IVHVRGWJSFMIQV-YGRLFVJLSA-N 0 3 302.245 4.384 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000501444657 340081177 /nfs/dbraw/zinc/08/11/77/340081177.db2.gz BJVNQUNZEOICMM-QWHCGFSZSA-N 0 3 319.367 4.092 20 0 DIADHN COc1ccc(-c2ccc(CN[C@@H](C)COC(C)C)s2)cc1 ZINC000501447243 340081198 /nfs/dbraw/zinc/08/11/98/340081198.db2.gz CZLGCMINOJTLTN-AWEZNQCLSA-N 0 3 319.470 4.327 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H](C)COC(C)C)oc21 ZINC000501449617 340081371 /nfs/dbraw/zinc/08/13/71/340081371.db2.gz KLEATFVZSFBQQZ-KBPBESRZSA-N 0 3 305.418 4.296 20 0 DIADHN COc1cccc(-c2ccc(CN[C@@H](C)COC(C)C)cc2)c1 ZINC000501463963 340081703 /nfs/dbraw/zinc/08/17/03/340081703.db2.gz XLUVJLWJLSYCKY-INIZCTEOSA-N 0 3 313.441 4.265 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2ccccc2SC)c1 ZINC000501492332 340082255 /nfs/dbraw/zinc/08/22/55/340082255.db2.gz SUDPPZHCQBXCJD-CYBMUJFWSA-N 0 3 315.438 4.046 20 0 DIADHN CCCn1nccc1C1CCN(Cc2ccc(F)cc2C)CC1 ZINC000501529921 340082775 /nfs/dbraw/zinc/08/27/75/340082775.db2.gz AQEOWJPBYIWAGS-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@H](C)N2CCCC[C@@H]2C)cc1 ZINC000501535699 340083008 /nfs/dbraw/zinc/08/30/08/340083008.db2.gz XKPXZDDJTPZPBR-HOTGVXAUSA-N 0 3 317.477 4.194 20 0 DIADHN CCCn1nccc1C1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000501547202 340083229 /nfs/dbraw/zinc/08/32/29/340083229.db2.gz ACICULLPDKVRPH-HNNXBMFYSA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@H](C)N2CCCC[C@@H]2C)c1 ZINC000501544490 340083263 /nfs/dbraw/zinc/08/32/63/340083263.db2.gz DQTCBYUUNNUGIQ-HOTGVXAUSA-N 0 3 317.477 4.194 20 0 DIADHN COc1ccc(C(=O)C2CCN([C@@H](C)c3ccccc3)CC2)cc1 ZINC000501780452 340088736 /nfs/dbraw/zinc/08/87/36/340088736.db2.gz MEEASQGVJYQAOH-INIZCTEOSA-N 0 3 323.436 4.351 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](C)[C@H](C)C3)o2)cc1C ZINC000501796356 340088963 /nfs/dbraw/zinc/08/89/63/340088963.db2.gz REAGKKLWRDASOH-IMJJTQAJSA-N 0 3 313.445 4.392 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C)[C@H](C)C1 ZINC000501821264 340089392 /nfs/dbraw/zinc/08/93/92/340089392.db2.gz WYHFFHVIIWPLRT-OAGGEKHMSA-N 0 3 302.462 4.115 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@@H](C)C1 ZINC000501816960 340089424 /nfs/dbraw/zinc/08/94/24/340089424.db2.gz KNHZIQQJJRZPLU-FRRDWIJNSA-N 0 3 319.836 4.429 20 0 DIADHN CCC[C@H](C)N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000501898733 340090273 /nfs/dbraw/zinc/09/02/73/340090273.db2.gz ISMTUPZVDMWJAE-ZANVPECISA-N 0 3 309.759 4.170 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCC[C@H]3C)cs2)cc1 ZINC000501900412 340090384 /nfs/dbraw/zinc/09/03/84/340090384.db2.gz QGOWVOLMTJDOGW-DYVFJYSZSA-N 0 3 316.470 4.487 20 0 DIADHN C[C@@H]1CCC[C@H]1NCc1csc(COc2ccc(F)cc2)n1 ZINC000501922790 340090769 /nfs/dbraw/zinc/09/07/69/340090769.db2.gz ZPPTVVQYHVYMKY-MLGOLLRUSA-N 0 3 320.433 4.139 20 0 DIADHN C[C@H](NC1CC(c2ccccc2F)C1)c1nc2c(s1)CCC2 ZINC000502016585 340092999 /nfs/dbraw/zinc/09/29/99/340092999.db2.gz LCSFHCIBFMNMQG-HIFPTAJRSA-N 0 3 316.445 4.368 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2nc3c(s2)CCC3)C12CCCC2 ZINC000502059882 340094487 /nfs/dbraw/zinc/09/44/87/340094487.db2.gz ZDGUZNLAYPGVHF-VBNZEHGJSA-N 0 3 320.502 4.020 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000502156736 340096081 /nfs/dbraw/zinc/09/60/81/340096081.db2.gz RLRFRZLRDXUGTK-STQMWFEESA-N 0 3 304.821 4.473 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000502364558 340100742 /nfs/dbraw/zinc/10/07/42/340100742.db2.gz GVMXNSJVUVHYID-VFVRVIDISA-N 0 3 305.393 4.462 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1cc(C)n(C)n1 ZINC000502387363 340101267 /nfs/dbraw/zinc/10/12/67/340101267.db2.gz AKZZISUOSBEWOO-XJKSGUPXSA-N 0 3 305.853 4.054 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](C)c1cc(C)n(C)n1 ZINC000502387362 340101271 /nfs/dbraw/zinc/10/12/71/340101271.db2.gz AKZZISUOSBEWOO-CZUORRHYSA-N 0 3 305.853 4.054 20 0 DIADHN Cc1cc([C@H](C)Nc2cccc(OCCN(C)C)c2)oc1C ZINC000502401514 340101804 /nfs/dbraw/zinc/10/18/04/340101804.db2.gz LBILUGDHDCJGEA-AWEZNQCLSA-N 0 3 302.418 4.010 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502431710 340103221 /nfs/dbraw/zinc/10/32/21/340103221.db2.gz ZZYCIICZXDFQNH-LZQZEXGQSA-N 0 3 319.493 4.243 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)oc1C ZINC000502433396 340103359 /nfs/dbraw/zinc/10/33/59/340103359.db2.gz WHHXWRWDQARKAW-DOXZYTNZSA-N 0 3 300.446 4.238 20 0 DIADHN C[C@H](NC1(Cc2ccccc2)CC1)c1cnn(C2CCCC2)c1 ZINC000502440601 340103638 /nfs/dbraw/zinc/10/36/38/340103638.db2.gz YMRPXVWUXDMIFZ-INIZCTEOSA-N 0 3 309.457 4.424 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1Cc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502452004 340104160 /nfs/dbraw/zinc/10/41/60/340104160.db2.gz MESBDXMXCYJVCO-XAUMDUMWSA-N 0 3 309.457 4.280 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccccc2)C(C)(C)CO)oc1C ZINC000502457385 340104412 /nfs/dbraw/zinc/10/44/12/340104412.db2.gz WTSKOGBFLOVMJD-YJBOKZPZSA-N 0 3 315.457 4.349 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@H](C)c2cc(C)c(C)o2)cc1 ZINC000502458681 340104477 /nfs/dbraw/zinc/10/44/77/340104477.db2.gz DMOUDDYDQDZPCB-UONOGXRCSA-N 0 3 303.402 4.023 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502471276 340104909 /nfs/dbraw/zinc/10/49/09/340104909.db2.gz IWFKUGYSBDJJGF-AAEUAGOBSA-N 0 3 303.372 4.380 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NC[C@H]1CCCC(F)(F)C1 ZINC000502511885 340106660 /nfs/dbraw/zinc/10/66/60/340106660.db2.gz VBLKGDWBJGNVPZ-NEPJUHHUSA-N 0 3 301.352 4.310 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1CCN(C2CCC2)CC1 ZINC000502541490 340107261 /nfs/dbraw/zinc/10/72/61/340107261.db2.gz QOAVNVOVSLAXEP-LBPRGKRZSA-N 0 3 313.272 4.225 20 0 DIADHN CCSCCCN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000502557198 340107698 /nfs/dbraw/zinc/10/76/98/340107698.db2.gz BWIQXWVOMMITNE-GFCCVEGCSA-N 0 3 303.418 4.390 20 0 DIADHN CCSCCCN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000502557199 340107781 /nfs/dbraw/zinc/10/77/81/340107781.db2.gz BWIQXWVOMMITNE-LBPRGKRZSA-N 0 3 303.418 4.390 20 0 DIADHN COC[C@@H](NC[C@H]1CCCC(F)(F)C1)c1ccc(F)c(F)c1 ZINC000502581359 340108323 /nfs/dbraw/zinc/10/83/23/340108323.db2.gz XYOIVKQJEZPNLC-XHDPSFHLSA-N 0 3 319.342 4.067 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccco2)N2CCCCC2)oc1C ZINC000502683934 340110230 /nfs/dbraw/zinc/11/02/30/340110230.db2.gz LRUZVALQAKXMTD-RDJZCZTQSA-N 0 3 316.445 4.367 20 0 DIADHN C[C@@H](NCCCOC1CCCCC1)c1cnn(C2CCCC2)c1 ZINC000502686067 340110417 /nfs/dbraw/zinc/11/04/17/340110417.db2.gz SQNLNAYFGHYLMM-MRXNPFEDSA-N 0 3 319.493 4.388 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)COc2ccc(F)cc2F)oc1C ZINC000502685971 340110460 /nfs/dbraw/zinc/11/04/60/340110460.db2.gz IEBXODDGQMVLEX-NWDGAFQWSA-N 0 3 309.356 4.293 20 0 DIADHN Fc1ccc(Oc2ccc(CN[C@@H]3CCC34CCC4)cn2)cc1 ZINC000502688529 340110546 /nfs/dbraw/zinc/11/05/46/340110546.db2.gz WVIBUJZSYRCQJV-QGZVFWFLSA-N 0 3 312.388 4.435 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3cccc(C)c3)CCCC2)nn1C ZINC000502700232 340111321 /nfs/dbraw/zinc/11/13/21/340111321.db2.gz PZVBEJYLOLAEBQ-QGZVFWFLSA-N 0 3 311.473 4.200 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)c2ccccc2Cl)nn1C ZINC000502701447 340111518 /nfs/dbraw/zinc/11/15/18/340111518.db2.gz UUNRXLWXSJOCRA-CYBMUJFWSA-N 0 3 305.853 4.010 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3ccccc3)CCOCC2)oc1C ZINC000502707175 340111521 /nfs/dbraw/zinc/11/15/21/340111521.db2.gz KLYJSPAIBIHVCM-INIZCTEOSA-N 0 3 313.441 4.295 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3ccccc3)CCOCC2)oc1C ZINC000502707177 340111601 /nfs/dbraw/zinc/11/16/01/340111601.db2.gz KLYJSPAIBIHVCM-MRXNPFEDSA-N 0 3 313.441 4.295 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)c2ccc(F)cc2Cl)nn1C ZINC000502710115 340111799 /nfs/dbraw/zinc/11/17/99/340111799.db2.gz UQBIQLWDTWCDEO-LBPRGKRZSA-N 0 3 323.843 4.149 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2CCN(c3ccc(F)cc3)C2)oc1C ZINC000502720298 340112062 /nfs/dbraw/zinc/11/20/62/340112062.db2.gz AIVDOKOQKALKTE-ZBFHGGJFSA-N 0 3 316.420 4.213 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)c1ccccc1 ZINC000502721323 340112072 /nfs/dbraw/zinc/11/20/72/340112072.db2.gz IUKMLKYPYZTIKS-KBXCAEBGSA-N 0 3 321.424 4.121 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](C)N(C)Cc2ccccc2)oc1C ZINC000502723712 340112304 /nfs/dbraw/zinc/11/23/04/340112304.db2.gz NSAKMGBYOMKFOU-CVEARBPZSA-N 0 3 300.446 4.068 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C3CCCC3)c2)cc1 ZINC000502729636 340112626 /nfs/dbraw/zinc/11/26/26/340112626.db2.gz YVQQYZGTBWVFRJ-LSDHHAIUSA-N 0 3 313.445 4.419 20 0 DIADHN CCN(CCc1cccc(OC(F)(F)F)c1)Cc1ccncc1 ZINC000502755584 340112976 /nfs/dbraw/zinc/11/29/76/340112976.db2.gz LYYLVTMUEBUDNG-UHFFFAOYSA-N 0 3 324.346 4.045 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2C[C@H]2c2ccccc2)n1 ZINC000502995944 340118275 /nfs/dbraw/zinc/11/82/75/340118275.db2.gz LGPSPBDLTFOACX-CABCVRRESA-N 0 3 300.471 4.334 20 0 DIADHN Brc1ccsc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000503005829 340118501 /nfs/dbraw/zinc/11/85/01/340118501.db2.gz PZQGKJVPKCLXOJ-CHWSQXEVSA-N 0 3 322.271 4.404 20 0 DIADHN CC[C@H](CNCc1csc([C@H](C)OC)n1)c1ccccc1 ZINC000503006397 340118537 /nfs/dbraw/zinc/11/85/37/340118537.db2.gz UCSXJDGUHVELMO-UONOGXRCSA-N 0 3 304.459 4.134 20 0 DIADHN CC(C)(C)n1ncnc1CN[C@@H]1CCCC[C@H]1C1CCCCC1 ZINC000503028106 340119029 /nfs/dbraw/zinc/11/90/29/340119029.db2.gz BBJRCUYYUGWSEU-DLBZAZTESA-N 0 3 318.509 4.262 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1CCC(OC2CCCC2)CC1 ZINC000503563983 340130768 /nfs/dbraw/zinc/13/07/68/340130768.db2.gz XQROUPOWOINIRE-QFBILLFUSA-N 0 3 309.494 4.005 20 0 DIADHN Clc1ccc(OCCN2CCC(OC3CCCC3)CC2)cc1 ZINC000503567375 340130863 /nfs/dbraw/zinc/13/08/63/340130863.db2.gz AKWHJTUJGNSUFY-UHFFFAOYSA-N 0 3 323.864 4.142 20 0 DIADHN Cc1ccc(C)c(OCCN2CCC(OC3CCCC3)CC2)c1 ZINC000503569466 340130913 /nfs/dbraw/zinc/13/09/13/340130913.db2.gz SBPIJBRABOXWJS-UHFFFAOYSA-N 0 3 317.473 4.106 20 0 DIADHN CC[C@H]1C[C@@H](CNC2(c3ccc(F)cc3F)CCC2)CCO1 ZINC000503572612 340131011 /nfs/dbraw/zinc/13/10/11/340131011.db2.gz GUFFUPYMDGKEEV-ZFWWWQNUSA-N 0 3 309.400 4.139 20 0 DIADHN Oc1ccccc1CCNCc1ccc(Oc2ccccc2)o1 ZINC000503574241 340131138 /nfs/dbraw/zinc/13/11/38/340131138.db2.gz QUHHQTBZVHSKSV-UHFFFAOYSA-N 0 3 309.365 4.110 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000503585708 340131680 /nfs/dbraw/zinc/13/16/80/340131680.db2.gz DYCGASDQYJTCNP-MAUKXSAKSA-N 0 3 315.413 4.365 20 0 DIADHN C[C@@H](C[C@@H]1CCCO1)NCc1ccc(Oc2ccccc2)o1 ZINC000503587603 340131798 /nfs/dbraw/zinc/13/17/98/340131798.db2.gz WNIYKTZPULMZIU-HOCLYGCPSA-N 0 3 301.386 4.119 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1ccc(-c2ccccc2)cc1F ZINC000503593009 340132022 /nfs/dbraw/zinc/13/20/22/340132022.db2.gz SFGOHUYZAVBLSF-HNAYVOBHSA-N 0 3 315.432 4.379 20 0 DIADHN CC[C@@H]1C[C@@H](CNCc2cc(Cl)sc2Cl)CCO1 ZINC000503596481 340132225 /nfs/dbraw/zinc/13/22/25/340132225.db2.gz APISPTHEANRKDW-GXSJLCMTSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@H](NCc1ccc(Oc2ccccc2)o1)[C@H]1CCCCO1 ZINC000503597218 340132228 /nfs/dbraw/zinc/13/22/28/340132228.db2.gz GFCRTNTVJOTQEX-WMLDXEAASA-N 0 3 301.386 4.119 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN1CCOC[C@H](C)C1 ZINC000503868342 340135382 /nfs/dbraw/zinc/13/53/82/340135382.db2.gz AWAVBNVNVYMFKJ-RNQWEJQRSA-N 0 3 313.363 4.077 20 0 DIADHN CC[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cc(F)ccc1F ZINC000503909239 340136427 /nfs/dbraw/zinc/13/64/27/340136427.db2.gz KZLYNTOULDYEEQ-MGPQQGTHSA-N 0 3 305.315 4.166 20 0 DIADHN COC1(CNCc2ccc(-c3cccc(F)c3C)o2)CCC1 ZINC000503938516 340137798 /nfs/dbraw/zinc/13/77/98/340137798.db2.gz ZVOORYTVJABYIN-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(F)cc1Cl ZINC000503946358 340138130 /nfs/dbraw/zinc/13/81/30/340138130.db2.gz JLXXWOLVUIRFOY-ZEGGKSINSA-N 0 3 307.743 4.290 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)c(F)c1 ZINC000503954751 340138627 /nfs/dbraw/zinc/13/86/27/340138627.db2.gz YFRXHYNTPZXYQB-VCTAVGKDSA-N 0 3 317.351 4.035 20 0 DIADHN CC[C@@H](C)[C@@H](C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000504068986 340140325 /nfs/dbraw/zinc/14/03/25/340140325.db2.gz FPFLDSHRCRXQED-HZPDHXFCSA-N 0 3 302.462 4.293 20 0 DIADHN CNC(=O)c1cccc(CN(Cc2cccc(C)c2)CC(C)C)c1 ZINC000504103319 340140982 /nfs/dbraw/zinc/14/09/82/340140982.db2.gz JVEHORXCFZLYMX-UHFFFAOYSA-N 0 3 324.468 4.013 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NC(=O)CCCC(C)(C)C)C1 ZINC000504286817 340144451 /nfs/dbraw/zinc/14/44/51/340144451.db2.gz VSANROTYPSIMDW-FUHWJXTLSA-N 0 3 316.489 4.155 20 0 DIADHN COCC[C@H](C)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000504401153 340147143 /nfs/dbraw/zinc/14/71/43/340147143.db2.gz KJIAFQILVRSVSB-KBXCAEBGSA-N 0 3 318.848 4.033 20 0 DIADHN COc1cc([C@H](C)NCCO[C@H]2CCCC[C@@H]2C)ccc1F ZINC000504893211 340154817 /nfs/dbraw/zinc/15/48/17/340154817.db2.gz CYCFPUJOFSTKAR-ZQIUZPCESA-N 0 3 309.425 4.080 20 0 DIADHN COc1cc([C@H](C)NCCO[C@@H]2CCCC[C@H]2C)ccc1F ZINC000504893209 340154838 /nfs/dbraw/zinc/15/48/38/340154838.db2.gz CYCFPUJOFSTKAR-JKIFEVAISA-N 0 3 309.425 4.080 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](C)Oc2cccc(F)c2)ccc1F ZINC000504900040 340155302 /nfs/dbraw/zinc/15/53/02/340155302.db2.gz QFJWJWMGBYNJMK-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1ccc2c(c1)OCCCO2 ZINC000504911602 340155764 /nfs/dbraw/zinc/15/57/64/340155764.db2.gz IVCDZDBDUUHMSU-YJBOKZPZSA-N 0 3 323.436 4.494 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](C)c3c2cccc3C)c(OC)c1 ZINC000504912108 340155791 /nfs/dbraw/zinc/15/57/91/340155791.db2.gz QIDCNOMEWLSMNZ-KSSFIOAISA-N 0 3 311.425 4.350 20 0 DIADHN CC[C@@H](CC(=O)Nc1ccc(CN(C)C)cc1)c1ccccc1 ZINC000504929354 340156588 /nfs/dbraw/zinc/15/65/88/340156588.db2.gz IJNAVBHGYVFMPB-KRWDZBQOSA-N 0 3 310.441 4.271 20 0 DIADHN CC(C)[C@@H](C)N(Cc1cnc(-c2ccccn2)s1)C1CC1 ZINC000505248738 340164833 /nfs/dbraw/zinc/16/48/33/340164833.db2.gz FTIMPFBRCKDWRX-CYBMUJFWSA-N 0 3 301.459 4.214 20 0 DIADHN CC(C)[C@H](C)N(Cc1cnc(-c2ccccn2)s1)C1CC1 ZINC000505248739 340164890 /nfs/dbraw/zinc/16/48/90/340164890.db2.gz FTIMPFBRCKDWRX-ZDUSSCGKSA-N 0 3 301.459 4.214 20 0 DIADHN COc1ccsc1CN1CCCCC[C@H]1c1ccncc1 ZINC000505272940 340165232 /nfs/dbraw/zinc/16/52/32/340165232.db2.gz MMRVOFHSEIHLKA-HNNXBMFYSA-N 0 3 302.443 4.269 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CCC[C@H]3CCCC[C@H]32)c1 ZINC000505331264 340166392 /nfs/dbraw/zinc/16/63/92/340166392.db2.gz PLMOBGGSPGXEJP-BHIYHBOVSA-N 0 3 314.473 4.293 20 0 DIADHN Cc1nc2ccccn2c1CN(Cc1ccccc1)C(C)(C)C ZINC000505439352 340168519 /nfs/dbraw/zinc/16/85/19/340168519.db2.gz WYZQPECNMBBINM-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN COc1ccccc1CCCN[C@H](C)c1c(F)cccc1F ZINC000505582052 340171554 /nfs/dbraw/zinc/17/15/54/340171554.db2.gz QKKDQLCEYUHIRF-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN CCCC(C)(C)CC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000505590042 340171940 /nfs/dbraw/zinc/17/19/40/340171940.db2.gz DBZWMJJUDXZAGX-CRAIPNDOSA-N 0 3 304.478 4.010 20 0 DIADHN CCc1ccc(CN(C)Cc2cnc3c(cnn3C(C)C)c2)cc1 ZINC000505590672 340172053 /nfs/dbraw/zinc/17/20/53/340172053.db2.gz OPTLCUNCGALMHF-UHFFFAOYSA-N 0 3 322.456 4.207 20 0 DIADHN C[C@@H](NC(=O)CCCC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC000505614783 340173088 /nfs/dbraw/zinc/17/30/88/340173088.db2.gz VQZFTIBECWSAKX-CRAIPNDOSA-N 0 3 304.478 4.010 20 0 DIADHN C[C@H](NC(=O)CCCC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC000505614786 340173095 /nfs/dbraw/zinc/17/30/95/340173095.db2.gz VQZFTIBECWSAKX-YJBOKZPZSA-N 0 3 304.478 4.010 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]nc2-c2ccc(C)cc2)c1 ZINC000505640431 340174005 /nfs/dbraw/zinc/17/40/05/340174005.db2.gz UOPISVAUORTCQH-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN COCCCOc1cccc(CN(C)Cc2ccccc2C)c1 ZINC000505643260 340174168 /nfs/dbraw/zinc/17/41/68/340174168.db2.gz YTGGCVWGVSRAPQ-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN CCOc1ccc(CN(C)Cc2c[nH]nc2-c2ccccc2)cc1 ZINC000505704494 340175318 /nfs/dbraw/zinc/17/53/18/340175318.db2.gz DKLNIECSPKMGLI-UHFFFAOYSA-N 0 3 321.424 4.107 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@H]1/C=C\c1ccccc1 ZINC000505827108 340178967 /nfs/dbraw/zinc/17/89/67/340178967.db2.gz UEUASHPYIVUVQG-HSNDNRACSA-N 0 3 317.436 4.321 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@H](COc3ccccc3)C2)c1 ZINC000505840713 340179330 /nfs/dbraw/zinc/17/93/30/340179330.db2.gz QMRKSVLXPLOAAN-IRXDYDNUSA-N 0 3 311.425 4.157 20 0 DIADHN c1ccc(OC[C@@H]2CCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC000505844839 340179492 /nfs/dbraw/zinc/17/94/92/340179492.db2.gz IURYZPYCVFEWEQ-QGZVFWFLSA-N 0 3 318.420 4.136 20 0 DIADHN COc1cc(CN2CCCC[C@@H]2C)ccc1OCC(F)(F)F ZINC000505891742 340180961 /nfs/dbraw/zinc/18/09/61/340180961.db2.gz OJIYUFQVSNZUER-LBPRGKRZSA-N 0 3 317.351 4.011 20 0 DIADHN COc1cc(C)c(CN(C)C/C=C\c2ccccc2)cc1OC ZINC000506050519 340184346 /nfs/dbraw/zinc/18/43/46/340184346.db2.gz CCTPPZNPNOVDQP-FLIBITNWSA-N 0 3 311.425 4.157 20 0 DIADHN CCCCCN(Cc1cnn(C)c1C)Cc1ccc(F)cc1 ZINC000506055453 340184538 /nfs/dbraw/zinc/18/45/38/340184538.db2.gz KGFBFROKXVJELY-UHFFFAOYSA-N 0 3 303.425 4.060 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cc1ccc(N2CCCC2)nc1 ZINC000506100765 340185762 /nfs/dbraw/zinc/18/57/62/340185762.db2.gz HUJINJGANWCMOD-SFHVURJKSA-N 0 3 323.484 4.483 20 0 DIADHN CN(Cc1ccccc1OCc1ccccc1)[C@H]1CCSC1 ZINC000506193878 340188242 /nfs/dbraw/zinc/18/82/42/340188242.db2.gz PJXPIYLNLMKGGD-SFHVURJKSA-N 0 3 313.466 4.203 20 0 DIADHN COc1cc(CN(C)Cc2cccc(C)c2)cc(Cl)c1OC ZINC000506206309 340188621 /nfs/dbraw/zinc/18/86/21/340188621.db2.gz OIVZVDZWCPGHHF-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN CCCCCOc1cc(CN(C)[C@@H]2CCSC2)ccc1OC ZINC000506204925 340188644 /nfs/dbraw/zinc/18/86/44/340188644.db2.gz QTCVAIWBKAMAID-MRXNPFEDSA-N 0 3 323.502 4.202 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@H](C)C3CCCC3)n2)cc1F ZINC000506221217 340189170 /nfs/dbraw/zinc/18/91/70/340189170.db2.gz DFDOWGYKJREMPW-CHWSQXEVSA-N 0 3 317.408 4.413 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000506235250 340189488 /nfs/dbraw/zinc/18/94/88/340189488.db2.gz UPHUZXACARGDSR-IAQYHMDHSA-N 0 3 309.837 4.037 20 0 DIADHN CCOC1CC(CN[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000506267821 340190604 /nfs/dbraw/zinc/19/06/04/340190604.db2.gz KCFXMRKHHASJHV-RGKRWLCDSA-N 0 3 313.416 4.320 20 0 DIADHN Clc1ccc(CN2CCCN(Cc3ccsc3)CC2)cc1 ZINC000506310118 340191894 /nfs/dbraw/zinc/19/18/94/340191894.db2.gz PTWKLLBNHFAHDF-UHFFFAOYSA-N 0 3 320.889 4.109 20 0 DIADHN COc1ccc(CN2CCC(c3ccccc3)CC2)cc1OC ZINC000506325078 340192259 /nfs/dbraw/zinc/19/22/59/340192259.db2.gz KAPNFPXPYSPQOE-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H]1c1ccc(C)cc1 ZINC000506343159 340192833 /nfs/dbraw/zinc/19/28/33/340192833.db2.gz QDSXCNXCINPTEQ-HXUWFJFHSA-N 0 3 311.473 4.190 20 0 DIADHN COc1ccc([C@@H](C)N(C)CC2=Cc3ccccc3OC2)cc1 ZINC000506343668 340192970 /nfs/dbraw/zinc/19/29/70/340192970.db2.gz UERPMCNDWJSCHJ-OAHLLOKOSA-N 0 3 309.409 4.164 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CCc3ccccc3)s2)o1 ZINC000506366925 340193368 /nfs/dbraw/zinc/19/33/68/340193368.db2.gz AGXLUVNPVRVRDY-UHFFFAOYSA-N 0 3 312.438 4.386 20 0 DIADHN Cc1ccc2ncc(CN([C@@H](C)c3ccccc3)C3CC3)n2c1 ZINC000506553427 340196744 /nfs/dbraw/zinc/19/67/44/340196744.db2.gz ZXAQOYWFFWRECF-INIZCTEOSA-N 0 3 305.425 4.368 20 0 DIADHN COc1ccccc1CN(Cc1cccc2cc[nH]c21)C1CC1 ZINC000506572152 340196929 /nfs/dbraw/zinc/19/69/29/340196929.db2.gz WPPWXJLLANTRTE-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN Fc1ccccc1-c1ccc(CN(C[C@@H]2CCOC2)C2CC2)o1 ZINC000506594810 340197332 /nfs/dbraw/zinc/19/73/32/340197332.db2.gz NUDXWBUBDPETFR-AWEZNQCLSA-N 0 3 315.388 4.087 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1ccc(N2CCCC2)nc1)C1CC1 ZINC000506600491 340197485 /nfs/dbraw/zinc/19/74/85/340197485.db2.gz GAEOHIHYFKTTQT-QGZVFWFLSA-N 0 3 321.468 4.407 20 0 DIADHN Cc1ccc(C)c(CN2CCC(C(=O)c3ccccc3)CC2)c1 ZINC000506625654 340197938 /nfs/dbraw/zinc/19/79/38/340197938.db2.gz VEOHUYGERGRNOP-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN Cc1cc(C)cc(CN2CCC(C(=O)c3ccccc3)CC2)c1 ZINC000506630408 340198033 /nfs/dbraw/zinc/19/80/33/340198033.db2.gz KXWWUTLAEMBGSD-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccc(F)cc1)[C@H]1CCc2ccccc21 ZINC000506629288 340198045 /nfs/dbraw/zinc/19/80/45/340198045.db2.gz AGAGLARSPBHOMT-IBGZPJMESA-N 0 3 321.399 4.335 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2ccccc2C)cc1 ZINC000506632954 340198107 /nfs/dbraw/zinc/19/81/07/340198107.db2.gz VRHRYOWPZXPMGJ-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN Cc1cc(CN2CC=C(c3c[nH]c4ncccc34)CC2)ccc1F ZINC000506638784 340198327 /nfs/dbraw/zinc/19/83/27/340198327.db2.gz FWLRCDLRIMHYDU-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC000506690445 340199677 /nfs/dbraw/zinc/19/96/77/340199677.db2.gz RJYKSUDLXCZAMZ-UHFFFAOYSA-N 0 3 319.448 4.270 20 0 DIADHN COc1ccc(CN(Cc2cccc(O)c2)[C@@H](C)C2CC2)cc1 ZINC000506730274 340200291 /nfs/dbraw/zinc/20/02/91/340200291.db2.gz LXQJXDJPENFMSC-HNNXBMFYSA-N 0 3 311.425 4.202 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc(OCC(F)F)cc1 ZINC000506749419 340200774 /nfs/dbraw/zinc/20/07/74/340200774.db2.gz YTRDYODLJFLXQM-UHFFFAOYSA-N 0 3 309.331 4.102 20 0 DIADHN Fc1ccc([C@H](NCCC[C@@H]2CCOC2)c2ccccc2)cc1 ZINC000402077547 340202492 /nfs/dbraw/zinc/20/24/92/340202492.db2.gz SQLKBOCMDRVETL-OXQOHEQNSA-N 0 3 313.416 4.321 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@@H]3CCC(C)(C)C3)n2)ccc1F ZINC000506860873 340202971 /nfs/dbraw/zinc/20/29/71/340202971.db2.gz IYXQUDCXEFWWEV-TZMCWYRMSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCC(C)(C)C3)n2)ccc1F ZINC000506860870 340202982 /nfs/dbraw/zinc/20/29/82/340202982.db2.gz IYXQUDCXEFWWEV-GXTWGEPZSA-N 0 3 317.408 4.413 20 0 DIADHN CCN(CCC(=O)Nc1ccccc1)Cc1cccc(Cl)c1 ZINC000506914458 340203483 /nfs/dbraw/zinc/20/34/83/340203483.db2.gz BQYLGVNPCVJPIA-UHFFFAOYSA-N 0 3 316.832 4.191 20 0 DIADHN Cn1nccc1-c1ccccc1CN1CCC[C@@H]1c1cccs1 ZINC000507003697 340205059 /nfs/dbraw/zinc/20/50/59/340205059.db2.gz XVYBVYZYCIMOSJ-GOSISDBHSA-N 0 3 323.465 4.486 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2)[nH]1)Cc1ccc(OC)cc1 ZINC000507000562 340205101 /nfs/dbraw/zinc/20/51/01/340205101.db2.gz DHCSSZPOOJALNS-UHFFFAOYSA-N 0 3 321.424 4.107 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccccc1-c1ccnn1C ZINC000507020577 340205674 /nfs/dbraw/zinc/20/56/74/340205674.db2.gz KCJJPMGIELBZLP-UHFFFAOYSA-N 0 3 323.415 4.248 20 0 DIADHN CCC[C@H](C)N(C)Cc1cc(Br)c(OC)s1 ZINC000507027886 340205766 /nfs/dbraw/zinc/20/57/66/340205766.db2.gz ZEBAGGYYNCIXCL-VIFPVBQESA-N 0 3 306.269 4.140 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccsc2C)cc1OC ZINC000507083601 340206918 /nfs/dbraw/zinc/20/69/18/340206918.db2.gz WFRQPHGYDAIQRX-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN Cc1nc2ccccn2c1CN(Cc1ccc(F)cc1)C(C)C ZINC000507116132 340208200 /nfs/dbraw/zinc/20/82/00/340208200.db2.gz NYUVOXMVYMEWER-UHFFFAOYSA-N 0 3 311.404 4.192 20 0 DIADHN Cc1ccc(-c2nn(-c3ccccc3)cc2CN(C)C2CC2)o1 ZINC000507131412 340208831 /nfs/dbraw/zinc/20/88/31/340208831.db2.gz ARERROFULJVFNM-UHFFFAOYSA-N 0 3 307.397 4.035 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccccc1-c1ccnn1C ZINC000507134084 340208928 /nfs/dbraw/zinc/20/89/28/340208928.db2.gz ISLJRJNHOCVELY-UHFFFAOYSA-N 0 3 309.413 4.091 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc(-c2ccncc2)s1 ZINC000507153157 340209696 /nfs/dbraw/zinc/20/96/96/340209696.db2.gz ADALSTMVNRYZCX-UHFFFAOYSA-N 0 3 323.465 4.270 20 0 DIADHN CN(CCCc1ccccc1)Cc1c[nH]c(-c2ccccc2)n1 ZINC000507161045 340210205 /nfs/dbraw/zinc/21/02/05/340210205.db2.gz ORUUKLLVTAZHGI-UHFFFAOYSA-N 0 3 305.425 4.141 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc(-c2ccccc2)[nH]1 ZINC000507161045 340210206 /nfs/dbraw/zinc/21/02/06/340210206.db2.gz ORUUKLLVTAZHGI-UHFFFAOYSA-N 0 3 305.425 4.141 20 0 DIADHN CC(C)N(Cc1ccncc1)Cc1ccc(Br)cc1 ZINC000507168292 340210287 /nfs/dbraw/zinc/21/02/87/340210287.db2.gz BSUYCHODHCZNFJ-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN CCN(CCc1ccccc1)Cc1ncccc1C(F)(F)F ZINC000507168973 340210644 /nfs/dbraw/zinc/21/06/44/340210644.db2.gz TVVLGEHYRIVXJA-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1ccc2c[nH]nc2c1 ZINC000507175069 340211165 /nfs/dbraw/zinc/21/11/65/340211165.db2.gz UKMCXXUFPYCVMF-FQEVSTJZSA-N 0 3 321.424 4.081 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2ccn(-c3ccccc3)n2)C1 ZINC000507178369 340211394 /nfs/dbraw/zinc/21/13/94/340211394.db2.gz ILNIEIIGBNIUSQ-GOSISDBHSA-N 0 3 317.436 4.170 20 0 DIADHN CC(=O)c1ccccc1OCCN1CC[C@@H](c2ccccc2C)C1 ZINC000507184895 340211653 /nfs/dbraw/zinc/21/16/53/340211653.db2.gz UZKWHAKAWBMGHR-GOSISDBHSA-N 0 3 323.436 4.066 20 0 DIADHN Cc1ccc(O)c(CN(C)[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000507205242 340213258 /nfs/dbraw/zinc/21/32/58/340213258.db2.gz UZDNERDIQPMGGZ-LBPRGKRZSA-N 0 3 324.346 4.307 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@H](C)c2cc(C)ccc2C)cc1 ZINC000507204073 340213271 /nfs/dbraw/zinc/21/32/71/340213271.db2.gz PCSBGISGXSBBIX-MRXNPFEDSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1ccc(OC(F)F)cc1 ZINC000507208725 340213641 /nfs/dbraw/zinc/21/36/41/340213641.db2.gz HQCOBEWRNBRCMS-SECBINFHSA-N 0 3 309.278 4.281 20 0 DIADHN CCN(CCc1ccccc1)Cc1conc1Cc1ccccc1 ZINC000507228363 340214613 /nfs/dbraw/zinc/21/46/13/340214613.db2.gz GQAXSWPQORBZIS-UHFFFAOYSA-N 0 3 320.436 4.330 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCC1(c2ccccn2)CCC1 ZINC000507251103 340215699 /nfs/dbraw/zinc/21/56/99/340215699.db2.gz GAPZIJSZHGPCLY-INIZCTEOSA-N 0 3 314.379 4.059 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCC1(C(F)(F)F)CCC1 ZINC000507269480 340216193 /nfs/dbraw/zinc/21/61/93/340216193.db2.gz YKGMEEVOGXHEMX-LBPRGKRZSA-N 0 3 305.290 4.274 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnn(CC(F)F)c1 ZINC000507335033 340217465 /nfs/dbraw/zinc/21/74/65/340217465.db2.gz STNLOOWWSNONQK-MRXNPFEDSA-N 0 3 307.388 4.121 20 0 DIADHN CCCN(CCc1cccs1)[C@H](C)C(=O)Nc1ccccc1 ZINC000507341488 340217642 /nfs/dbraw/zinc/21/76/42/340217642.db2.gz NUJNTRWNVSDYFO-OAHLLOKOSA-N 0 3 316.470 4.030 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1ccccc1F)c1ccco1 ZINC000507406290 340218694 /nfs/dbraw/zinc/21/86/94/340218694.db2.gz ROMIYVFGJHPOBD-YOEHRIQHSA-N 0 3 305.393 4.107 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1cccc(F)c1)c1ccco1 ZINC000507419718 340218972 /nfs/dbraw/zinc/21/89/72/340218972.db2.gz INIGOIPVLJXIHE-WMLDXEAASA-N 0 3 305.393 4.107 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1cccc(C)c1)c1ccco1 ZINC000507421167 340219007 /nfs/dbraw/zinc/21/90/07/340219007.db2.gz NGZJPWQKAYFOPJ-FUHWJXTLSA-N 0 3 301.430 4.277 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccc(OC)cc1Cl ZINC000507467482 340221018 /nfs/dbraw/zinc/22/10/18/340221018.db2.gz FNLUGHVHCROLFU-ZDUSSCGKSA-N 0 3 318.848 4.199 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000507467225 340221093 /nfs/dbraw/zinc/22/10/93/340221093.db2.gz FIVFLLOSOLGBBS-SQGPQFPESA-N 0 3 324.468 4.463 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000507472392 340221466 /nfs/dbraw/zinc/22/14/66/340221466.db2.gz RAXGISHGQTTZEM-SUMWQHHRSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCn1ccc2ccccc21 ZINC000507475098 340221592 /nfs/dbraw/zinc/22/15/92/340221592.db2.gz ZIITVOTVLDDHIW-MRXNPFEDSA-N 0 3 307.441 4.340 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H]1SCCc2ccccc21 ZINC000507482808 340222108 /nfs/dbraw/zinc/22/21/08/340222108.db2.gz FUTLTIDDTSMLDC-KBXCAEBGSA-N 0 3 312.482 4.325 20 0 DIADHN CCc1cccnc1[C@H](C)NCCSc1ccc(C)cc1 ZINC000507486527 340222271 /nfs/dbraw/zinc/22/22/71/340222271.db2.gz OTNPQLGQEBINLH-HNNXBMFYSA-N 0 3 300.471 4.395 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000507492315 340222651 /nfs/dbraw/zinc/22/26/51/340222651.db2.gz DYOKAMQLVQHIBL-KSMMKXTCSA-N 0 3 316.420 4.210 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)C(=O)NC(CC)CC)cc1 ZINC000507508111 340223195 /nfs/dbraw/zinc/22/31/95/340223195.db2.gz IJNNJVVPJSYACQ-ZIAGYGMSSA-N 0 3 322.518 4.143 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)C(=O)NC(CC)CC)cc1 ZINC000507508107 340223245 /nfs/dbraw/zinc/22/32/45/340223245.db2.gz IJNNJVVPJSYACQ-UONOGXRCSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](Nc1ccccc1)C(C)C ZINC000507511375 340223428 /nfs/dbraw/zinc/22/34/28/340223428.db2.gz NYDBORVUHIPZOH-APWZRJJASA-N 0 3 311.473 4.431 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)C(=O)NCCC(C)C)cc1 ZINC000507513315 340223662 /nfs/dbraw/zinc/22/36/62/340223662.db2.gz WQRJNRFVWYVLKD-GJZGRUSLSA-N 0 3 322.518 4.000 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)N[C@H](C)c1nnc2n1CCCCC2 ZINC000507514200 340223699 /nfs/dbraw/zinc/22/36/99/340223699.db2.gz BLFWVZPLRGKHMN-HRCADAONSA-N 0 3 306.498 4.116 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCC[C@H]1OCc1ccccc1 ZINC000507516330 340223821 /nfs/dbraw/zinc/22/38/21/340223821.db2.gz XEJCVNDCXAHLOM-PWIZWCRZSA-N 0 3 324.468 4.433 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](C)c1ccc(F)cc1F ZINC000507519842 340223865 /nfs/dbraw/zinc/22/38/65/340223865.db2.gz KPSRNDDNMKWABQ-STQMWFEESA-N 0 3 304.384 4.377 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ncccc2CC)C12CCCC2 ZINC000507520296 340224014 /nfs/dbraw/zinc/22/40/14/340224014.db2.gz OXCKQKREXTWWOY-USXIJHARSA-N 0 3 302.462 4.032 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2ncccc2CC)c1 ZINC000507535491 340224620 /nfs/dbraw/zinc/22/46/20/340224620.db2.gz VLOVTKVZSSVRIN-MRXNPFEDSA-N 0 3 312.457 4.326 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCOc1ccccc1C(C)C ZINC000507541233 340224760 /nfs/dbraw/zinc/22/47/60/340224760.db2.gz YUBNXBMARRFIFB-MRXNPFEDSA-N 0 3 312.457 4.497 20 0 DIADHN FC(F)Cn1cc(CN2CCCCC[C@H]2c2ccccc2)cn1 ZINC000507623533 340226806 /nfs/dbraw/zinc/22/68/06/340226806.db2.gz ZYECPDHKLPEEQY-KRWDZBQOSA-N 0 3 319.399 4.266 20 0 DIADHN C[C@H](NCC[C@H]1CCCCO1)c1ccccc1OC(F)(F)F ZINC000507625811 340226817 /nfs/dbraw/zinc/22/68/17/340226817.db2.gz QEHYLCVAZBWUIO-QWHCGFSZSA-N 0 3 317.351 4.195 20 0 DIADHN C[C@@H](Cc1cnn(C)c1)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC000507660547 340227455 /nfs/dbraw/zinc/22/74/55/340227455.db2.gz NSUGNYFOIKXKOV-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](N[C@H](COc1ccccc1F)C(C)(C)C)c1ccncc1 ZINC000507661438 340227474 /nfs/dbraw/zinc/22/74/74/340227474.db2.gz UKHUABURLHVZCG-KBXCAEBGSA-N 0 3 316.420 4.365 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cc1cccc(NC(C)=O)c1 ZINC000507709081 340229056 /nfs/dbraw/zinc/22/90/56/340229056.db2.gz LNHDHAPZGBSKKR-INIZCTEOSA-N 0 3 310.441 4.446 20 0 DIADHN COc1cccc([C@@H](C)N2CCN([C@@H](C)c3ccccc3)CC2)c1 ZINC000507721068 340229416 /nfs/dbraw/zinc/22/94/16/340229416.db2.gz YOTLFNPZEUPQDO-ZWKOTPCHSA-N 0 3 324.468 4.135 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1ccc2c(c1)CCCC2 ZINC000507788468 340231609 /nfs/dbraw/zinc/23/16/09/340231609.db2.gz BESXWYIUCFUVQN-MRXNPFEDSA-N 0 3 322.452 4.245 20 0 DIADHN COc1cc(CN[C@H]2CCc3c2cccc3Cl)ccc1C ZINC000507792474 340231761 /nfs/dbraw/zinc/23/17/61/340231761.db2.gz IDXJPFCPYABEFH-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@@H]2COCC[C@H]21 ZINC000507814602 340232912 /nfs/dbraw/zinc/23/29/12/340232912.db2.gz STGLOCHXAGELML-CRAIPNDOSA-N 0 3 313.397 4.073 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NC[C@@H]1CCOc2ccccc21 ZINC000507849548 340234029 /nfs/dbraw/zinc/23/40/29/340234029.db2.gz CPTLFSBZJDICSW-SJCJKPOMSA-N 0 3 315.363 4.108 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2Cl)c1ccc2c(c1)OCO2 ZINC000507866812 340234610 /nfs/dbraw/zinc/23/46/10/340234610.db2.gz UQPNWSGOKYGLHC-BZNIZROVSA-N 0 3 315.800 4.407 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@H]3/C=C\c3ccccc3)ccc21 ZINC000507876197 340234922 /nfs/dbraw/zinc/23/49/22/340234922.db2.gz PEMDJTCHROYBGT-JQYPEGPJSA-N 0 3 317.436 4.251 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@@H]2/C=C/c2ccccc2)cc1 ZINC000507880421 340235026 /nfs/dbraw/zinc/23/50/26/340235026.db2.gz COZSZTKXYHZBRA-QGFLDLQWSA-N 0 3 320.436 4.323 20 0 DIADHN CC(C)SCCN[C@H](C)c1cc(Br)cs1 ZINC000308839306 340235310 /nfs/dbraw/zinc/23/53/10/340235310.db2.gz XNPJTBXQNJLHJD-SECBINFHSA-N 0 3 308.310 4.303 20 0 DIADHN CC(C)SCCN[C@@H](C)c1cc(Br)cs1 ZINC000308839310 340235360 /nfs/dbraw/zinc/23/53/60/340235360.db2.gz XNPJTBXQNJLHJD-VIFPVBQESA-N 0 3 308.310 4.303 20 0 DIADHN COc1sc(CN(CC(C)C)C2CC2)cc1Br ZINC000508015030 340237405 /nfs/dbraw/zinc/23/74/05/340237405.db2.gz MHQZGSPMLDHDDB-UHFFFAOYSA-N 0 3 318.280 4.140 20 0 DIADHN CC[C@H](CNCc1cn2cc(Cl)ccc2n1)CC(F)(F)F ZINC000402561620 340243066 /nfs/dbraw/zinc/24/30/66/340243066.db2.gz KDQNRIXPMYYOML-JTQLQIEISA-N 0 3 319.758 4.056 20 0 DIADHN CCCCN(CCOC)Cc1ccc(Sc2ccccn2)o1 ZINC000508501288 340244981 /nfs/dbraw/zinc/24/49/81/340244981.db2.gz YODOLEMSJJGARD-UHFFFAOYSA-N 0 3 320.458 4.074 20 0 DIADHN CCOc1ccc(CN(Cc2cnn3ccccc23)C(C)C)cc1 ZINC000508544577 340246274 /nfs/dbraw/zinc/24/62/74/340246274.db2.gz YWOFTEGQNRWKPK-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC000508594477 340247275 /nfs/dbraw/zinc/24/72/75/340247275.db2.gz JJUNCGLNYWTUIL-OKILXGFUSA-N 0 3 300.427 4.058 20 0 DIADHN CCCN(Cc1cc(C(N)=O)cs1)[C@H](CC)c1ccccc1 ZINC000508601893 340247622 /nfs/dbraw/zinc/24/76/22/340247622.db2.gz BGGUJPZPPKTUIC-QGZVFWFLSA-N 0 3 316.470 4.210 20 0 DIADHN CCCN(Cc1nc(C)ccc1O)[C@@H](C)c1ccccc1OC ZINC000508610670 340248043 /nfs/dbraw/zinc/24/80/43/340248043.db2.gz YNYHCRGJVGNCQD-HNNXBMFYSA-N 0 3 314.429 4.077 20 0 DIADHN c1ccc(C(CN2CCOC3(CCC3)C2)c2ccccc2)cc1 ZINC000508726771 340251615 /nfs/dbraw/zinc/25/16/15/340251615.db2.gz LOSXHJHOBBNPOW-UHFFFAOYSA-N 0 3 307.437 4.073 20 0 DIADHN Cc1ccccc1CN(Cc1ccccc1)CC1=CCCOC1 ZINC000508743401 340252187 /nfs/dbraw/zinc/25/21/87/340252187.db2.gz NJASKZYOCJIAAA-UHFFFAOYSA-N 0 3 307.437 4.344 20 0 DIADHN Cc1nccc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000508795662 340253262 /nfs/dbraw/zinc/25/32/62/340253262.db2.gz OGIVZPRTXOBCCO-OLZOCXBDSA-N 0 3 303.837 4.062 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000508872094 340254858 /nfs/dbraw/zinc/25/48/58/340254858.db2.gz FMWDCVYFWAHZOA-KYLNKMBASA-N 0 3 321.420 4.308 20 0 DIADHN C[C@@H](F)CCN[C@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC000508964242 340256443 /nfs/dbraw/zinc/25/64/43/340256443.db2.gz JWKLDHIENHKJQF-HUUCEWRRSA-N 0 3 314.404 4.338 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@H](c1cccnc1)C1CC1 ZINC000508990981 340257265 /nfs/dbraw/zinc/25/72/65/340257265.db2.gz JWRPCZPISHEWQR-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1nccc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)n1 ZINC000509040343 340259203 /nfs/dbraw/zinc/25/92/03/340259203.db2.gz SQXWQXYJGVWHIU-YVNCXZRQSA-N 0 3 307.441 4.243 20 0 DIADHN CCN(Cc1ccccc1C)Cc1nc2ccccc2nc1C ZINC000509054891 340259753 /nfs/dbraw/zinc/25/97/53/340259753.db2.gz SEFCKCOXERSRNH-UHFFFAOYSA-N 0 3 305.425 4.269 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@@H]1CCCC[C@H]1n1cccn1 ZINC000509128607 340261931 /nfs/dbraw/zinc/26/19/31/340261931.db2.gz WBCUTVVNLVHWCT-HZPDHXFCSA-N 0 3 323.362 4.175 20 0 DIADHN CO[C@H]1CCCC[C@H]1NCc1nc(-c2ccc(C)cc2)cs1 ZINC000509134418 340262145 /nfs/dbraw/zinc/26/21/45/340262145.db2.gz DOZZLDRFBYSFFQ-WBVHZDCISA-N 0 3 316.470 4.166 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000509151882 340262436 /nfs/dbraw/zinc/26/24/36/340262436.db2.gz LOSMQTBYUUKULA-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN CCN(Cc1nc2cc(Br)ccc2o1)CC(C)C ZINC000509260588 340264732 /nfs/dbraw/zinc/26/47/32/340264732.db2.gz SDZDRFZKJILWDP-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN COCCN(CC[C@@H](C)F)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000509280126 340265359 /nfs/dbraw/zinc/26/53/59/340265359.db2.gz VDHGEXYWPSCGGP-OLZOCXBDSA-N 0 3 321.358 4.463 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000509293260 340265529 /nfs/dbraw/zinc/26/55/29/340265529.db2.gz HFMJKOHIBKOEKX-SNVBAGLBSA-N 0 3 311.223 4.067 20 0 DIADHN COc1ccc(C(=O)CCN(C)[C@@H](C)c2cccs2)cc1 ZINC000509293330 340265546 /nfs/dbraw/zinc/26/55/46/340265546.db2.gz FSSFXGPSCJWFPV-ZDUSSCGKSA-N 0 3 303.427 4.023 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCC(n3ccnc3)CC2)cc1 ZINC000509347886 340266878 /nfs/dbraw/zinc/26/68/78/340266878.db2.gz GRIWRUDIWPUZHE-UHFFFAOYSA-N 0 3 311.473 4.408 20 0 DIADHN Cc1noc([C@@H]2CCCN(Cc3ccc(C(C)(C)C)s3)C2)n1 ZINC000509347970 340266926 /nfs/dbraw/zinc/26/69/26/340266926.db2.gz HZMLHJGQXQPVGL-CYBMUJFWSA-N 0 3 319.474 4.117 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CNCCCOC(F)F ZINC000509399558 340268288 /nfs/dbraw/zinc/26/82/88/340268288.db2.gz HZJKCMSGJPRZIM-UHFFFAOYSA-N 0 3 309.331 4.212 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1coc2ccccc12 ZINC000509419172 340269052 /nfs/dbraw/zinc/26/90/52/340269052.db2.gz VSGAETUGUURKQW-QGZVFWFLSA-N 0 3 311.356 4.358 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NC/C=C/c1ccc(F)cc1 ZINC000509429960 340269265 /nfs/dbraw/zinc/26/92/65/340269265.db2.gz JWKVUKVDPFNZAP-ZMUQKWFASA-N 0 3 315.363 4.264 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNCCC1=CCCCC1 ZINC000302221760 340270599 /nfs/dbraw/zinc/27/05/99/340270599.db2.gz KSOQTGZURYQAAE-UHFFFAOYSA-N 0 3 302.437 4.406 20 0 DIADHN Cc1ccc(CNCc2ccc(-c3cnn(C)c3)s2)c(C)c1 ZINC000509530392 340272478 /nfs/dbraw/zinc/27/24/78/340272478.db2.gz YVSGEONZWHHLEC-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN Cc1cnc(N[C@@H]2CCN(Cc3ccccc3)CC2(C)C)s1 ZINC000509533498 340272617 /nfs/dbraw/zinc/27/26/17/340272617.db2.gz RQQOVHVHCPKAEX-MRXNPFEDSA-N 0 3 315.486 4.164 20 0 DIADHN CCN(C/C=C\c1ccccc1OC)[C@H](C)c1cccc(O)c1 ZINC000509537519 340272677 /nfs/dbraw/zinc/27/26/77/340272677.db2.gz CSDBHNKEWINTHD-JXMNSVBMSA-N 0 3 311.425 4.497 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccccc2OCc2ccncc2)C1 ZINC000509542053 340272812 /nfs/dbraw/zinc/27/28/12/340272812.db2.gz LRXONLQWEADHLF-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc(F)ccc1CNCCSCc1ccccc1F ZINC000509609786 340274686 /nfs/dbraw/zinc/27/46/86/340274686.db2.gz SIQSMRCRHNMKOO-UHFFFAOYSA-N 0 3 307.409 4.296 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509642093 340275317 /nfs/dbraw/zinc/27/53/17/340275317.db2.gz IXTYEFSOOGSCQX-GHMZBOCLSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509642096 340275331 /nfs/dbraw/zinc/27/53/31/340275331.db2.gz IXTYEFSOOGSCQX-QWRGUYRKSA-N 0 3 323.234 4.353 20 0 DIADHN COc1ccc2cc(CN3CCC[C@H]3c3cc(C)no3)ccc2c1 ZINC000509767129 340278658 /nfs/dbraw/zinc/27/86/58/340278658.db2.gz RXSXPSNYNUVQPH-IBGZPJMESA-N 0 3 322.408 4.482 20 0 DIADHN c1cc(CCNCc2ccc(Sc3ccccn3)o2)cs1 ZINC000509783861 340278952 /nfs/dbraw/zinc/27/89/52/340278952.db2.gz SECLFDXPGHDUTF-UHFFFAOYSA-N 0 3 316.451 4.220 20 0 DIADHN CCc1oc2ccccc2c1CN(C)CCc1scnc1C ZINC000509799825 340279547 /nfs/dbraw/zinc/27/95/47/340279547.db2.gz RNEWLAVADROEKW-UHFFFAOYSA-N 0 3 314.454 4.435 20 0 DIADHN CC(C)CC[C@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000509804083 340279705 /nfs/dbraw/zinc/27/97/05/340279705.db2.gz CMTYOGFIQZFZTE-AWEZNQCLSA-N 0 3 316.445 4.049 20 0 DIADHN COc1ccc([C@H]2CCCN2CCOc2ccccc2F)cc1 ZINC000302743853 340280917 /nfs/dbraw/zinc/28/09/17/340280917.db2.gz NSLQDFZZVLRTOF-GOSISDBHSA-N 0 3 315.388 4.050 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cccc(C)c2)c(OC)c1 ZINC000302743651 340280983 /nfs/dbraw/zinc/28/09/83/340280983.db2.gz UIDUOUOPJGJUMI-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(OCc2ccccc2)cc1 ZINC000302832981 340283294 /nfs/dbraw/zinc/28/32/94/340283294.db2.gz XNDIKVGNNXOTJT-UZLBHIALSA-N 0 3 311.425 4.095 20 0 DIADHN COCC[C@@H](NCc1sccc1Br)C(C)(C)C ZINC000509957228 340283821 /nfs/dbraw/zinc/28/38/21/340283821.db2.gz JKFBNWIYHKELEG-GFCCVEGCSA-N 0 3 320.296 4.051 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(C)s1 ZINC000509955621 340283855 /nfs/dbraw/zinc/28/38/55/340283855.db2.gz AYIZOWNKQPDEJG-GXDHUFHOSA-N 0 3 301.455 4.396 20 0 DIADHN Clc1ccc(CCNCc2coc(-c3cccs3)n2)cc1 ZINC000510014929 340285079 /nfs/dbraw/zinc/28/50/79/340285079.db2.gz PTVXOXLUJQGYAO-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN C[C@@H](N[C@H]1CSC1(C)C)c1cc(Br)cs1 ZINC000308997131 340285331 /nfs/dbraw/zinc/28/53/31/340285331.db2.gz MVVMXCLAPIYEDK-XCBNKYQSSA-N 0 3 306.294 4.055 20 0 DIADHN CCc1ccc(-c2ccc(CNCCCn3ccnc3C)o2)cc1 ZINC000510023308 340285530 /nfs/dbraw/zinc/28/55/30/340285530.db2.gz JZQHQBPKNKGEGQ-UHFFFAOYSA-N 0 3 323.440 4.194 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000510047387 340286351 /nfs/dbraw/zinc/28/63/51/340286351.db2.gz HGBPRYRZRODWBB-QGZVFWFLSA-N 0 3 302.462 4.293 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000510071477 340287266 /nfs/dbraw/zinc/28/72/66/340287266.db2.gz LXGARZCCMPMLAS-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN CCn1cc(CCN(Cc2ccccc2Cl)CC2CC2)cn1 ZINC000510167585 340291331 /nfs/dbraw/zinc/29/13/31/340291331.db2.gz FGOQMOKGDAZBLA-UHFFFAOYSA-N 0 3 317.864 4.011 20 0 DIADHN COc1ccccc1[C@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC000510187023 340291958 /nfs/dbraw/zinc/29/19/58/340291958.db2.gz VTQZIOLDVOYJGM-GFUIURDCSA-N 0 3 303.352 4.337 20 0 DIADHN Clc1ccccc1CN(CCc1ccncc1)CC1CC1 ZINC000510199464 340292310 /nfs/dbraw/zinc/29/23/10/340292310.db2.gz MRAGYZAYIAOCLK-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(Br)cc2)cn1 ZINC000510222350 340292784 /nfs/dbraw/zinc/29/27/84/340292784.db2.gz LUPXHRXFJBEDRI-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN CCN(CCSc1ccccc1)Cc1ccc2c(c1)OCO2 ZINC000510224487 340293246 /nfs/dbraw/zinc/29/32/46/340293246.db2.gz UILCURCLCJUALM-UHFFFAOYSA-N 0 3 315.438 4.030 20 0 DIADHN Cc1cccc(C)c1NC(=O)CNCC1CCC(C(C)C)CC1 ZINC000510231163 340293350 /nfs/dbraw/zinc/29/33/50/340293350.db2.gz WOEHUFCLFAQUMP-UHFFFAOYSA-N 0 3 316.489 4.294 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cc(C)nc3ccccc23)cc1 ZINC000510234283 340293551 /nfs/dbraw/zinc/29/35/51/340293551.db2.gz YTWMIDNFKFWKIY-UHFFFAOYSA-N 0 3 320.436 4.362 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(Br)c2)cn1 ZINC000510238877 340293692 /nfs/dbraw/zinc/29/36/92/340293692.db2.gz ILFJQIGRQHJOMW-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(Br)c2)cn1 ZINC000510238876 340293823 /nfs/dbraw/zinc/29/38/23/340293823.db2.gz ILFJQIGRQHJOMW-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN C[C@H](C1CCCCC1)N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000510284966 340295094 /nfs/dbraw/zinc/29/50/94/340295094.db2.gz BKKXXDSMJSLUSG-OAHLLOKOSA-N 0 3 317.477 4.181 20 0 DIADHN Cc1cc(C)c(CNCc2ccccc2-c2ccnn2C)c(C)c1 ZINC000510318276 340295882 /nfs/dbraw/zinc/29/58/82/340295882.db2.gz FUYXKIVWEBYJPK-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN CCCN(CC(=O)N[C@H](C)c1cccs1)CC1CCCCC1 ZINC000303031961 340296978 /nfs/dbraw/zinc/29/69/78/340296978.db2.gz UJTKUWKMAAXVCQ-OAHLLOKOSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@H](n2ncc3ccccc32)C1 ZINC000510418480 340298688 /nfs/dbraw/zinc/29/86/88/340298688.db2.gz WTIMWMRGAXSMHE-HOCLYGCPSA-N 0 3 309.388 4.183 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCCCC[C@@H]3c3ccccc3)cc12 ZINC000510450112 340299766 /nfs/dbraw/zinc/29/97/66/340299766.db2.gz ROGQPZFAAIYTEO-LJQANCHMSA-N 0 3 320.440 4.384 20 0 DIADHN CC1(C)CCCN(Cc2ccc(OCc3ccncc3)cc2)C1 ZINC000510501156 340301332 /nfs/dbraw/zinc/30/13/32/340301332.db2.gz IKIQHPCTGRKIQO-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CCCC1(C(=O)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)CCC1 ZINC000510545680 340302368 /nfs/dbraw/zinc/30/23/68/340302368.db2.gz LQNKHYOFKSOTPF-WMZOPIPTSA-N 0 3 316.489 4.073 20 0 DIADHN COc1ccc(CNCC(C)(C)c2ccccc2C)c(OC)c1 ZINC000303115459 340303311 /nfs/dbraw/zinc/30/33/11/340303311.db2.gz LFIXMGJCCNFBEG-UHFFFAOYSA-N 0 3 313.441 4.080 20 0 DIADHN CCOC[C@@H](NCc1nc(-c2ccc(C)cc2)cs1)C(C)C ZINC000510612952 340303911 /nfs/dbraw/zinc/30/39/11/340303911.db2.gz FNGWTWXGCWBLRB-MRXNPFEDSA-N 0 3 318.486 4.269 20 0 DIADHN OCCCN(Cc1cccc(Cl)c1)[C@@H]1CCc2ccccc21 ZINC000510646012 340304846 /nfs/dbraw/zinc/30/48/46/340304846.db2.gz LMUFJVMQCNJRHF-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCN(CCC(C)(C)C)CC1 ZINC000510643710 340304917 /nfs/dbraw/zinc/30/49/17/340304917.db2.gz HJFPJLZBDILZDK-FBMGVBCBSA-N 0 3 300.490 4.144 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C1CC1)[C@H]1CCc2ccccc21 ZINC000510661890 340305569 /nfs/dbraw/zinc/30/55/69/340305569.db2.gz BNJMWWZGLOHCHQ-NRFANRHFSA-N 0 3 323.484 4.197 20 0 DIADHN CO[C@@H](C)CCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510688449 340306799 /nfs/dbraw/zinc/30/67/99/340306799.db2.gz ZIVWBWCUVCDZAN-HNAYVOBHSA-N 0 3 301.405 4.238 20 0 DIADHN C[C@@H](C(=O)N(C)Cc1cccc2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC000510688508 340306808 /nfs/dbraw/zinc/30/68/08/340306808.db2.gz RENTYZOYVHDACY-BBWFWOEESA-N 0 3 324.468 4.060 20 0 DIADHN CCC1CCN(Cc2ccc(OCc3cccnc3)cc2)CC1 ZINC000510692826 340306997 /nfs/dbraw/zinc/30/69/97/340306997.db2.gz IWPJRNIVHSNBOY-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1c(F)cccc1F)c1ccc(C)o1 ZINC000510718193 340308017 /nfs/dbraw/zinc/30/80/17/340308017.db2.gz SMAQYUQBCDYARX-YVEFUNNKSA-N 0 3 323.383 4.165 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@H]2CC[C@H]2C2CCC2)cc1OC ZINC000510718235 340308020 /nfs/dbraw/zinc/30/80/20/340308020.db2.gz HQACYIGBFQEIDO-RBOXIYTFSA-N 0 3 307.409 4.072 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1csc(-c2ccco2)n1 ZINC000510721288 340308121 /nfs/dbraw/zinc/30/81/21/340308121.db2.gz NIUZVLGZGAZLPW-JSGCOSHPSA-N 0 3 308.422 4.411 20 0 DIADHN CN(C)Cc1ccc(C(=O)N[C@@H]2CCCC[C@@H]2C(C)(C)C)cc1 ZINC000510734855 340308592 /nfs/dbraw/zinc/30/85/92/340308592.db2.gz KJYVPZMULGFUBS-ZWKOTPCHSA-N 0 3 316.489 4.083 20 0 DIADHN Cc1cccc([C@@H](NCC[C@H](O)C(C)C)c2cccc(F)c2)c1 ZINC000510738452 340308951 /nfs/dbraw/zinc/30/89/51/340308951.db2.gz REVAINHCTZRXRY-VQTJNVASSA-N 0 3 315.432 4.220 20 0 DIADHN CC[C@@H](N[C@@H](C)CCc1c(F)cccc1F)c1nccs1 ZINC000510752398 340309588 /nfs/dbraw/zinc/30/95/88/340309588.db2.gz KJKJCFXWVFTNTH-XHDPSFHLSA-N 0 3 310.413 4.483 20 0 DIADHN CCCN1CCC[C@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000510774485 340310584 /nfs/dbraw/zinc/31/05/84/340310584.db2.gz SUHCPENXZSRXLK-OAHLLOKOSA-N 0 3 305.466 4.172 20 0 DIADHN CC(C)CCCNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000303187709 340310960 /nfs/dbraw/zinc/31/09/60/340310960.db2.gz LNWCDWMQZVTRIN-UHFFFAOYSA-N 0 3 318.442 4.065 20 0 DIADHN Cc1ccc(SCCN(C)CCc2nccs2)cc1C ZINC000510786479 340311144 /nfs/dbraw/zinc/31/11/44/340311144.db2.gz GTQNBNOKNPLRMU-UHFFFAOYSA-N 0 3 306.500 4.027 20 0 DIADHN Cc1ncsc1CCN[C@H](C)c1cc2cccc(F)c2o1 ZINC000510797307 340311391 /nfs/dbraw/zinc/31/13/91/340311391.db2.gz ORSHXWJYHBNDJZ-SNVBAGLBSA-N 0 3 304.390 4.230 20 0 DIADHN CN(CCCCF)Cc1ccc(Br)cc1Cl ZINC000510797700 340311442 /nfs/dbraw/zinc/31/14/42/340311442.db2.gz PUNXZVMGUFZBAW-UHFFFAOYSA-N 0 3 308.622 4.284 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1cccc(O)c1 ZINC000510886698 340314037 /nfs/dbraw/zinc/31/40/37/340314037.db2.gz HWXOLVNGBREPGT-SFKKXYGYSA-N 0 3 311.425 4.293 20 0 DIADHN CCN(Cc1ccc(C(=O)Nc2cccc(F)c2)cc1)C1CC1 ZINC000510919130 340314703 /nfs/dbraw/zinc/31/47/03/340314703.db2.gz TXCRIKQNEJFQDR-UHFFFAOYSA-N 0 3 312.388 4.062 20 0 DIADHN C[C@H](N[C@H]1CC[C@H]1C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000510926559 340315043 /nfs/dbraw/zinc/31/50/43/340315043.db2.gz CLCGGDWCCXOBJG-LSJOCFKGSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@H](C(=O)N(C)Cc1ccc2ccccc2c1)N1CCCCCC1 ZINC000510925176 340315084 /nfs/dbraw/zinc/31/50/84/340315084.db2.gz OOVSHRZNVSMQGW-QGZVFWFLSA-N 0 3 324.468 4.063 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(C(C)(C)C)cc1 ZINC000510929135 340315096 /nfs/dbraw/zinc/31/50/96/340315096.db2.gz LMHFLOIDXQKELJ-KDOFPFPSSA-N 0 3 311.473 4.446 20 0 DIADHN Cc1cccc([C@@H](NCCOCC(F)F)c2cccc(F)c2)c1 ZINC000510945418 340315851 /nfs/dbraw/zinc/31/58/51/340315851.db2.gz BZHJZSBUAHRWGU-GOSISDBHSA-N 0 3 323.358 4.095 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCc2c1cccc2C ZINC000510957644 340316150 /nfs/dbraw/zinc/31/61/50/340316150.db2.gz IHVLSHXDMXCXPR-RBUKOAKNSA-N 0 3 311.400 4.407 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@@H](CO)CC3CCCC3)oc21 ZINC000510954295 340316228 /nfs/dbraw/zinc/31/62/28/340316228.db2.gz XYZMRDNJOSBYML-XJKSGUPXSA-N 0 3 317.429 4.033 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H]1CCC[C@@H]1CCCF ZINC000510961546 340316383 /nfs/dbraw/zinc/31/63/83/340316383.db2.gz MIQGGBIWTGGYON-UKRRQHHQSA-N 0 3 305.393 4.449 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2OC1)c1cc(F)c(F)c(F)c1 ZINC000510981268 340316997 /nfs/dbraw/zinc/31/69/97/340316997.db2.gz BRMFQSQFYLNPID-RISCZKNCSA-N 0 3 321.342 4.148 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCc2ccc(F)cc21 ZINC000510980737 340317024 /nfs/dbraw/zinc/31/70/24/340317024.db2.gz QXTXLOFSDBCXDP-ZWKOTPCHSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@H](C)c1ccncc1F)CC3 ZINC000510996953 340317617 /nfs/dbraw/zinc/31/76/17/340317617.db2.gz IBJWXBZVHGRCTM-KGLIPLIRSA-N 0 3 323.415 4.219 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N2c3ccccc3C[C@H]2C)cc1 ZINC000511000967 340317648 /nfs/dbraw/zinc/31/76/48/340317648.db2.gz RHQOBAIUPPTTSG-IKGGRYGDSA-N 0 3 322.452 4.012 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@@H](C)c1ccc(C)cc1)C1CCCCC1 ZINC000510999639 340317651 /nfs/dbraw/zinc/31/76/51/340317651.db2.gz PHCMQLFJKRAABF-DLBZAZTESA-N 0 3 316.489 4.215 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@H](C)CCc1c(F)cccc1F ZINC000511001642 340317755 /nfs/dbraw/zinc/31/77/55/340317755.db2.gz UASCQRFYGXCJIZ-CHWSQXEVSA-N 0 3 320.383 4.040 20 0 DIADHN FC(F)C1CCC(NCc2ccsc2Br)CC1 ZINC000511001869 340317815 /nfs/dbraw/zinc/31/78/15/340317815.db2.gz RYIPTFDKIZGWNB-UHFFFAOYSA-N 0 3 324.234 4.424 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCc3cc(F)c(OC)cc32)c1 ZINC000511016718 340318296 /nfs/dbraw/zinc/31/82/96/340318296.db2.gz XKMBELXIKSSLRB-KPZWWZAWSA-N 0 3 315.388 4.181 20 0 DIADHN CSc1ccccc1C[C@H](C)N[C@H](C)c1ccncc1F ZINC000511019064 340318386 /nfs/dbraw/zinc/31/83/86/340318386.db2.gz SICGMVCKBDAKJL-QWHCGFSZSA-N 0 3 304.434 4.224 20 0 DIADHN CCCCc1ccc([C@@H](C)N[C@H]2CCCc3nn(C)cc32)cc1 ZINC000511019397 340318480 /nfs/dbraw/zinc/31/84/80/340318480.db2.gz VTIGBKKJLOYKRD-BEFAXECRSA-N 0 3 311.473 4.491 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H](C)c2ccncc2F)cc1 ZINC000511029587 340318827 /nfs/dbraw/zinc/31/88/27/340318827.db2.gz IZYVMYFDEYJTQL-RDBSUJKOSA-N 0 3 302.393 4.072 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN[C@@H]1CCC[C@H]1CCCF ZINC000511053747 340319423 /nfs/dbraw/zinc/31/94/23/340319423.db2.gz YXGVKPVDCQGAQB-GXTWGEPZSA-N 0 3 323.843 4.235 20 0 DIADHN C[C@@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccncc1F ZINC000511052238 340319530 /nfs/dbraw/zinc/31/95/30/340319530.db2.gz UDYJUJNRDGDJIO-NUYPLMSZSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2Cc2ccccc2Cl)nc1 ZINC000511111617 340321128 /nfs/dbraw/zinc/32/11/28/340321128.db2.gz WEUBQSIQUUNEBI-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1cc(CN2CCC=C(c3ccco3)C2)c2ccccc2n1 ZINC000511119258 340321390 /nfs/dbraw/zinc/32/13/90/340321390.db2.gz DOQCZSFGORZBEI-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(C)c(C)c2)cc1O ZINC000511122892 340321457 /nfs/dbraw/zinc/32/14/57/340321457.db2.gz JABGACWXNQXUTI-GOSISDBHSA-N 0 3 311.425 4.355 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCC[C@@H]3c3ccc(C)c(C)c3)cc12 ZINC000511124842 340321620 /nfs/dbraw/zinc/32/16/20/340321620.db2.gz MMQCRDCPKOWUQF-LJQANCHMSA-N 0 3 320.440 4.220 20 0 DIADHN CSc1ccccc1CN1CCCN(c2ccccc2)CC1 ZINC000511131753 340321813 /nfs/dbraw/zinc/32/18/13/340321813.db2.gz SFDPDKAUKYUIRV-UHFFFAOYSA-N 0 3 312.482 4.121 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1 ZINC000511151482 340322626 /nfs/dbraw/zinc/32/26/26/340322626.db2.gz OBGBEKSXAKKTMY-ZACQAIPSSA-N 0 3 316.489 4.030 20 0 DIADHN C[C@@H](CC(=O)Nc1cccc(CN(C)C)c1)C1CCCCC1 ZINC000511192506 340323657 /nfs/dbraw/zinc/32/36/57/340323657.db2.gz YNFFIRGKGWUUGG-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN CSc1ccccc1CN(Cc1ccccc1)CC(C)(C)O ZINC000511197712 340323698 /nfs/dbraw/zinc/32/36/98/340323698.db2.gz HTVYOKSDHMVPPB-UHFFFAOYSA-N 0 3 315.482 4.182 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@@H](CO)c3ccccc3)ccc2c1 ZINC000303378548 340324031 /nfs/dbraw/zinc/32/40/31/340324031.db2.gz PXUKXAOQMZUMOS-BTYIYWSLSA-N 0 3 321.420 4.233 20 0 DIADHN CCCCCN(C(=O)N[C@@H](C)C1=CCN(C)CC1)[C@H](C)CCC ZINC000511291050 340324864 /nfs/dbraw/zinc/32/48/64/340324864.db2.gz UXUPLBWDUNYLSE-SJORKVTESA-N 0 3 323.525 4.027 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CCCSC3)c(C)c2c1 ZINC000511363679 340325978 /nfs/dbraw/zinc/32/59/78/340325978.db2.gz AXSTVKOLSFIHJW-STQMWFEESA-N 0 3 305.443 4.296 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@@H]3CCCSC3)c(C)c2c1 ZINC000511363669 340326064 /nfs/dbraw/zinc/32/60/64/340326064.db2.gz AXSTVKOLSFIHJW-CHWSQXEVSA-N 0 3 305.443 4.296 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1cccc(Nc2ccccc2)c1 ZINC000511445205 340327482 /nfs/dbraw/zinc/32/74/82/340327482.db2.gz DEBGAIXKMIZLBV-LJQANCHMSA-N 0 3 323.440 4.243 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000303541357 340332326 /nfs/dbraw/zinc/33/23/26/340332326.db2.gz UAQCHXAJTFFCBF-QKLQHJQFSA-N 0 3 316.489 4.105 20 0 DIADHN CCCN(Cc1nc(C)cs1)[C@H]1CCc2ccccc2C1 ZINC000511632953 340333136 /nfs/dbraw/zinc/33/31/36/340333136.db2.gz YQJXOURAVPIKEO-KRWDZBQOSA-N 0 3 300.471 4.221 20 0 DIADHN Fc1ccc(CNCC(c2ccccc2)c2ccccc2)nc1 ZINC000511669679 340334855 /nfs/dbraw/zinc/33/48/55/340334855.db2.gz YPXIJXJTCIALNA-UHFFFAOYSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000303577650 340335233 /nfs/dbraw/zinc/33/52/33/340335233.db2.gz QFQSFLRBRNSSTC-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN CCCCOc1cccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC000511701223 340335638 /nfs/dbraw/zinc/33/56/38/340335638.db2.gz GMYUGZBSJDSACE-XHSDSOJGSA-N 0 3 318.461 4.065 20 0 DIADHN CCn1cc(CN2CCC[C@H]2c2cccc3ccccc32)cn1 ZINC000511759734 340337413 /nfs/dbraw/zinc/33/74/13/340337413.db2.gz GDANDLMTHFCUBA-FQEVSTJZSA-N 0 3 305.425 4.393 20 0 DIADHN CCc1nc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@H]32)cs1 ZINC000511842070 340339974 /nfs/dbraw/zinc/33/99/74/340339974.db2.gz IVRPEWPVKGSZEN-KZNAEPCWSA-N 0 3 312.482 4.474 20 0 DIADHN COc1cc(CN2CCC[C@H]3CCC[C@H]32)cc(Cl)c1OC ZINC000511858595 340340639 /nfs/dbraw/zinc/34/06/39/340340639.db2.gz HVLUUXLSYVLUGP-UKRRQHHQSA-N 0 3 309.837 4.122 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@H]3CCC[C@@H]32)nc1 ZINC000511860700 340340790 /nfs/dbraw/zinc/34/07/90/340340790.db2.gz QYFJOMAHHLILRY-XLIONFOSSA-N 0 3 322.452 4.425 20 0 DIADHN c1cn2cc(CN3C[C@@H](c4ccccc4)[C@@H]4CCC[C@@H]43)nc2s1 ZINC000511875346 340341306 /nfs/dbraw/zinc/34/13/06/340341306.db2.gz RXTHCRNFTGKIDA-BZSNNMDCSA-N 0 3 323.465 4.164 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000511877882 340341385 /nfs/dbraw/zinc/34/13/85/340341385.db2.gz WEOLSHHEBGZVHK-UYAOXDASSA-N 0 3 322.452 4.425 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@H]32)cc1OC(F)F ZINC000511876241 340341412 /nfs/dbraw/zinc/34/14/12/340341412.db2.gz VIHBAWVOQPKWIZ-ZIAGYGMSSA-N 0 3 311.372 4.061 20 0 DIADHN CCc1cnccc1[C@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC000511926308 340343001 /nfs/dbraw/zinc/34/30/01/340343001.db2.gz KNOROWSVMYBDNB-IHVVCDCBSA-N 0 3 302.368 4.286 20 0 DIADHN CCc1ccc(CNC[C@H](c2ccccc2)C(F)(F)F)cn1 ZINC000511926943 340343009 /nfs/dbraw/zinc/34/30/09/340343009.db2.gz NRSYHSJNQHRIDZ-MRXNPFEDSA-N 0 3 308.347 4.080 20 0 DIADHN Cc1cnn(C)c1CN[C@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000511988859 340344621 /nfs/dbraw/zinc/34/46/21/340344621.db2.gz JVTZUKYEBSEDNN-OAHLLOKOSA-N 0 3 323.415 4.385 20 0 DIADHN COCc1cc(CNC/C=C/c2ccc(F)c(F)c2)ccc1F ZINC000512004083 340344956 /nfs/dbraw/zinc/34/49/56/340344956.db2.gz BZONPTILCWAAAE-NSCUHMNNSA-N 0 3 321.342 4.053 20 0 DIADHN CO[C@@H](C)c1nc(CNCC2CCC(C(C)C)CC2)cs1 ZINC000512007183 340345085 /nfs/dbraw/zinc/34/50/85/340345085.db2.gz MMPKSAONSYZWGK-NFOMZHRRSA-N 0 3 310.507 4.403 20 0 DIADHN C[C@@H](c1cnn(C)c1)N(C)CC(c1ccccc1)c1ccccc1 ZINC000512007149 340345150 /nfs/dbraw/zinc/34/51/50/340345150.db2.gz MFASSQWMLAXELQ-KRWDZBQOSA-N 0 3 319.452 4.245 20 0 DIADHN FC(F)(F)c1ccc(-n2cc(CN[C@@H]3C=CCCC3)cn2)cc1 ZINC000512010229 340345282 /nfs/dbraw/zinc/34/52/82/340345282.db2.gz VKWUAVKFDQIYPK-OAHLLOKOSA-N 0 3 321.346 4.089 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)cn1 ZINC000512030798 340345926 /nfs/dbraw/zinc/34/59/26/340345926.db2.gz LJGZNCKLTPVAFO-LBPRGKRZSA-N 0 3 324.346 4.393 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(C)c(Br)s1)C(C)C ZINC000512044925 340346565 /nfs/dbraw/zinc/34/65/65/340346565.db2.gz IWVNVHSRSAMFEB-WDEREUQCSA-N 0 3 320.296 4.141 20 0 DIADHN Cn1cc([C@@H](NC/C=C\c2ccc(F)c(F)c2)C(C)(C)C)cn1 ZINC000512047291 340346675 /nfs/dbraw/zinc/34/66/75/340346675.db2.gz YZJLNWYLHCORLU-KEGWNNHHSA-N 0 3 319.399 4.089 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)NCc1c(C)cnn1C ZINC000512050098 340346696 /nfs/dbraw/zinc/34/66/96/340346696.db2.gz AYYOYTQZGIDYHJ-INIZCTEOSA-N 0 3 317.502 4.006 20 0 DIADHN COCCCN[C@@H](c1ccc(OC)cc1)c1ccccc1Cl ZINC000172915675 340348149 /nfs/dbraw/zinc/34/81/49/340348149.db2.gz OZAMFSMPBXTZQW-SFHVURJKSA-N 0 3 319.832 4.064 20 0 DIADHN CCC[C@H](NCc1ncc(CN(C)C)s1)C1CCCCC1 ZINC000512100025 340348558 /nfs/dbraw/zinc/34/85/58/340348558.db2.gz QZFYSLNHOLBGOC-INIZCTEOSA-N 0 3 309.523 4.043 20 0 DIADHN CCOCc1ccc(CNC/C=C/c2ccc(F)c(F)c2)cc1 ZINC000512104165 340348568 /nfs/dbraw/zinc/34/85/68/340348568.db2.gz HWKGBDCZNZNVIO-ONEGZZNKSA-N 0 3 317.379 4.304 20 0 DIADHN COc1cccc([C@@H](C)NC/C=C\c2ccc(F)c(F)c2)c1 ZINC000512130495 340349379 /nfs/dbraw/zinc/34/93/79/340349379.db2.gz OZIQGLPSFODHKT-DSYXLKISSA-N 0 3 303.352 4.337 20 0 DIADHN CCc1ccc(-c2nc(CN[C@H]3CSC[C@@H]3C)cs2)cc1 ZINC000512148366 340349780 /nfs/dbraw/zinc/34/97/80/340349780.db2.gz NXNKAXPZQRVAEL-LRDDRELGSA-N 0 3 318.511 4.214 20 0 DIADHN C[C@@H]1CCCCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000512162016 340350351 /nfs/dbraw/zinc/35/03/51/340350351.db2.gz CXIKITCTGXUVDR-GFCCVEGCSA-N 0 3 305.809 4.155 20 0 DIADHN CC[C@H](C)Oc1cccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)c1 ZINC000512169880 340350726 /nfs/dbraw/zinc/35/07/26/340350726.db2.gz YYQSUWWDKYIRLP-VGWMRTNUSA-N 0 3 318.461 4.064 20 0 DIADHN CC[C@H](C)Oc1cccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC000512169884 340350782 /nfs/dbraw/zinc/35/07/82/340350782.db2.gz YYQSUWWDKYIRLP-XUWVNRHRSA-N 0 3 318.461 4.064 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1nc(-c2ccccc2Cl)cs1 ZINC000512212598 340352508 /nfs/dbraw/zinc/35/25/08/340352508.db2.gz LQVUGUPVEAZVMQ-MFKMUULPSA-N 0 3 324.902 4.305 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cn(-c2ccccc2)nc1C ZINC000512338136 340357972 /nfs/dbraw/zinc/35/79/72/340357972.db2.gz WEZBHBQYIYWVTL-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN COc1ccc([C@@H]2CCN(C/C=C\c3ccccc3OC)C2)cc1 ZINC000512344165 340358195 /nfs/dbraw/zinc/35/81/95/340358195.db2.gz VGWBLDAAYDOUCC-SUOMESGTSA-N 0 3 323.436 4.207 20 0 DIADHN COc1ccc([C@@H]2CCN(C/C=C/c3ccccc3OC)C2)cc1 ZINC000512344167 340358239 /nfs/dbraw/zinc/35/82/39/340358239.db2.gz VGWBLDAAYDOUCC-WSSFADSRSA-N 0 3 323.436 4.207 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC[C@H]1CCCCS1 ZINC000512379713 340359537 /nfs/dbraw/zinc/35/95/37/340359537.db2.gz NQDXRWNZDORDSC-CQSZACIVSA-N 0 3 320.483 4.201 20 0 DIADHN c1cn(C2CCN(Cc3ccc(-c4ccccc4)cc3)CC2)cn1 ZINC000512389401 340360124 /nfs/dbraw/zinc/36/01/24/340360124.db2.gz LIQOXTNPUFGZDJ-UHFFFAOYSA-N 0 3 317.436 4.387 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCc1ccncc1 ZINC000512395915 340360405 /nfs/dbraw/zinc/36/04/05/340360405.db2.gz DNOUDBUSLIHUMS-UHFFFAOYSA-N 0 3 318.420 4.090 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CCN[C@@H](C)c1ccccc1 ZINC000173369891 340363567 /nfs/dbraw/zinc/36/35/67/340363567.db2.gz SMXBXMRLCKCQLR-AWEZNQCLSA-N 0 3 316.832 4.328 20 0 DIADHN COCCCOc1ccc(CNCc2cccc(C)c2C)cc1 ZINC000512497161 340364149 /nfs/dbraw/zinc/36/41/49/340364149.db2.gz RIKDMVUTHKTZTJ-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN Cc1cccc(CCCNCc2ccccc2OC2CCCC2)n1 ZINC000512497627 340364157 /nfs/dbraw/zinc/36/41/57/340364157.db2.gz YQHACKBYYKCIKF-UHFFFAOYSA-N 0 3 324.468 4.434 20 0 DIADHN OCCC1(NCc2ccc(-c3ccccc3Cl)o2)CCCC1 ZINC000512501581 340364376 /nfs/dbraw/zinc/36/43/76/340364376.db2.gz MHIDQKAFLKHCGF-UHFFFAOYSA-N 0 3 319.832 4.385 20 0 DIADHN C[C@@H](NCC(C)(C)Oc1cc(F)cc(F)c1)c1cncc(F)c1 ZINC000512511394 340364853 /nfs/dbraw/zinc/36/48/53/340364853.db2.gz GXRKSMKLVGPQSF-LLVKDONJSA-N 0 3 324.346 4.007 20 0 DIADHN Cc1cccc(CCCNCc2csc(-c3ccccc3)n2)n1 ZINC000512511702 340364943 /nfs/dbraw/zinc/36/49/43/340364943.db2.gz KQMFLQOLEATZLU-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000173436967 340365008 /nfs/dbraw/zinc/36/50/08/340365008.db2.gz CZYKKAPAGKVKCV-LJQANCHMSA-N 0 3 310.441 4.127 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC(C(C)(C)C)CC3)cnc21 ZINC000512522204 340365347 /nfs/dbraw/zinc/36/53/47/340365347.db2.gz PZHCDYKUXJBQNJ-UHFFFAOYSA-N 0 3 314.477 4.270 20 0 DIADHN Cc1cc(CN2CCC(OC3CCCCC3)CC2)ccc1F ZINC000512536703 340365947 /nfs/dbraw/zinc/36/59/47/340365947.db2.gz KIWFTMLGVHMDHO-UHFFFAOYSA-N 0 3 305.437 4.448 20 0 DIADHN CCCN(C)[C@H](CNCc1ccco1)c1ccc(Cl)cc1 ZINC000512568280 340367345 /nfs/dbraw/zinc/36/73/45/340367345.db2.gz GTBSVTUFMFYFLS-QGZVFWFLSA-N 0 3 306.837 4.106 20 0 DIADHN COc1cccc(C2=CCN(Cc3cccc4cc[nH]c43)CC2)c1 ZINC000512671906 340372330 /nfs/dbraw/zinc/37/23/30/340372330.db2.gz DNLPVSGDAFRIEP-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN Oc1cccc(CN2CCC(c3c[nH]c4cc(F)ccc43)CC2)c1 ZINC000512672144 340372350 /nfs/dbraw/zinc/37/23/50/340372350.db2.gz GQJKJPVECUABIF-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@@H](O)[C@H](C)C1 ZINC000512719008 340374233 /nfs/dbraw/zinc/37/42/33/340374233.db2.gz XFZDUIDGRXCFJX-QMTHXVAHSA-N 0 3 309.862 4.066 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CC[C@H]2CCC[C@@H]21 ZINC000512723180 340374369 /nfs/dbraw/zinc/37/43/69/340374369.db2.gz PPFKCXPWNPPRQE-HIFRSBDPSA-N 0 3 300.427 4.200 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000174065597 340381306 /nfs/dbraw/zinc/38/13/06/340381306.db2.gz DVKWIRQOJLOSST-MNOVXSKESA-N 0 3 324.877 4.457 20 0 DIADHN FC(F)(F)C1(CNCc2cnc(-c3ccsc3)s2)CC1 ZINC000512866132 340381550 /nfs/dbraw/zinc/38/15/50/340381550.db2.gz XJDUEWJKLLHDOP-UHFFFAOYSA-N 0 3 318.389 4.304 20 0 DIADHN c1cc(-c2ccccc2)sc1CNCc1cnn2ccccc12 ZINC000512869650 340381753 /nfs/dbraw/zinc/38/17/53/340381753.db2.gz WOPZPEVCTPDNPM-UHFFFAOYSA-N 0 3 319.433 4.353 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000512874199 340381917 /nfs/dbraw/zinc/38/19/17/340381917.db2.gz KXUINKQCEUVTLC-IAQYHMDHSA-N 0 3 307.846 4.441 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2nccn2C)C2CC2)C[C@@H](c2ccccc2)C1 ZINC000512886518 340382565 /nfs/dbraw/zinc/38/25/65/340382565.db2.gz YVFNLYXUXCSUBI-MVJPYGJCSA-N 0 3 323.484 4.433 20 0 DIADHN C[C@H]1COCC[C@H]1CNCc1cc(C(F)(F)F)ccc1Cl ZINC000512888646 340382694 /nfs/dbraw/zinc/38/26/94/340382694.db2.gz BJFVZTPMVMINHO-QWRGUYRKSA-N 0 3 321.770 4.121 20 0 DIADHN c1nn2ccccc2c1CNCc1cc(-c2ccccc2)cs1 ZINC000512889548 340382722 /nfs/dbraw/zinc/38/27/22/340382722.db2.gz GEJFUDGKLGACCB-UHFFFAOYSA-N 0 3 319.433 4.353 20 0 DIADHN FC(F)(F)Oc1ccccc1CNCC1(C(F)(F)F)CC1 ZINC000512900065 340383356 /nfs/dbraw/zinc/38/33/56/340383356.db2.gz WHLAYUFAKPRKRQ-UHFFFAOYSA-N 0 3 313.241 4.017 20 0 DIADHN COc1ccc2ccccc2c1CNCC1(C(F)(F)F)CC1 ZINC000512904267 340383386 /nfs/dbraw/zinc/38/33/86/340383386.db2.gz PWPOXPHVZSUANS-UHFFFAOYSA-N 0 3 309.331 4.281 20 0 DIADHN CC(C)COc1cccc(CNCC2(C(F)(F)F)CC2)c1 ZINC000512908418 340383624 /nfs/dbraw/zinc/38/36/24/340383624.db2.gz CXMZNLMCUUMJMZ-UHFFFAOYSA-N 0 3 301.352 4.154 20 0 DIADHN C[C@@H](NCc1cccc(OC(F)F)c1)c1ccc2n[nH]cc2c1 ZINC000512982354 340386670 /nfs/dbraw/zinc/38/66/70/340386670.db2.gz DGZAIFAAFBORQK-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN CC[C@H](C)CCC(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000512989368 340387130 /nfs/dbraw/zinc/38/71/30/340387130.db2.gz STRVQMFXTYLLDZ-IRXDYDNUSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1nc(CCN(C)Cc2cccc(-c3ccc(C)cc3)c2)no1 ZINC000513022772 340389080 /nfs/dbraw/zinc/38/90/80/340389080.db2.gz YFWRXZIOEJSLIA-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN CC(C)(C)N(Cc1cnn2ccccc12)Cc1ccc(F)cc1 ZINC000513028306 340389461 /nfs/dbraw/zinc/38/94/61/340389461.db2.gz YAHAGLSYIGKPLM-UHFFFAOYSA-N 0 3 311.404 4.274 20 0 DIADHN CCC(CC)CN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000513046183 340390519 /nfs/dbraw/zinc/39/05/19/340390519.db2.gz JYTQFIGMYDGNFH-GOSISDBHSA-N 0 3 303.425 4.017 20 0 DIADHN C[C@H]1CC[C@@H]1NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000513046995 340390633 /nfs/dbraw/zinc/39/06/33/340390633.db2.gz OPFKGFAERWTLHE-GUYCJALGSA-N 0 3 316.832 4.202 20 0 DIADHN CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NCc1ccc(Cl)o1 ZINC000513046520 340390753 /nfs/dbraw/zinc/39/07/53/340390753.db2.gz LSPGCANBPKYDDA-USXIJHARSA-N 0 3 319.832 4.374 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NCc1ccc(Cl)o1 ZINC000513046519 340390760 /nfs/dbraw/zinc/39/07/60/340390760.db2.gz LSPGCANBPKYDDA-HYVNUMGLSA-N 0 3 319.832 4.374 20 0 DIADHN Cc1ccc(CN2CCC(c3noc(C(C)C)n3)CC2)c(C)c1 ZINC000513065347 340391681 /nfs/dbraw/zinc/39/16/81/340391681.db2.gz VEIKPLNXFCXKML-UHFFFAOYSA-N 0 3 313.445 4.189 20 0 DIADHN FC1(F)CCC[C@H](CNCc2sccc2Br)C1 ZINC000305995759 340392375 /nfs/dbraw/zinc/39/23/75/340392375.db2.gz MTKZZFBWVPVVHA-VIFPVBQESA-N 0 3 324.234 4.426 20 0 DIADHN CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NCc1ccsc1 ZINC000513078672 340392391 /nfs/dbraw/zinc/39/23/91/340392391.db2.gz ZDLLIBUWOSHLOE-RCCFBDPRSA-N 0 3 301.455 4.189 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@H](C)c1cccc(Cl)c1 ZINC000174659927 340393925 /nfs/dbraw/zinc/39/39/25/340393925.db2.gz HBGWLQGDTCHMME-CQSZACIVSA-N 0 3 316.832 4.328 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C(C)C ZINC000513126997 340394246 /nfs/dbraw/zinc/39/42/46/340394246.db2.gz BCKLFIOJQRWHAP-WFASDCNBSA-N 0 3 305.397 4.269 20 0 DIADHN CC[C@H](CNc1ncc(C(F)(F)F)cc1Cl)N1CCCC1 ZINC000513167285 340395736 /nfs/dbraw/zinc/39/57/36/340395736.db2.gz TWDSWUAFPMFMPR-LLVKDONJSA-N 0 3 321.774 4.040 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C2CCC(C)(C)CC2)c(C)c1 ZINC000513222739 340398125 /nfs/dbraw/zinc/39/81/25/340398125.db2.gz JFYSCOFYWYUAEC-UHFFFAOYSA-N 0 3 316.489 4.451 20 0 DIADHN Fc1cccc(CNCCOc2ccc(C(F)(F)F)cc2)c1 ZINC000513260424 340399759 /nfs/dbraw/zinc/39/97/59/340399759.db2.gz FARWMWMUAXSVHO-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](c2ccccc2)C(C)(C)CO)c1 ZINC000513286625 340400845 /nfs/dbraw/zinc/40/08/45/340400845.db2.gz MEUWIPGZJZUUIZ-SFHVURJKSA-N 0 3 317.860 4.498 20 0 DIADHN Cc1cccnc1CCN[C@@H](C)c1ccccc1Br ZINC000404619023 340405856 /nfs/dbraw/zinc/40/58/56/340405856.db2.gz MIUNBWRRKWUFGZ-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN CC(C)[C@H](NCC(=O)NCCC(C)(C)C)c1ccc(Cl)cc1 ZINC000513409065 340406323 /nfs/dbraw/zinc/40/63/23/340406323.db2.gz RVBFLJAEPRACKS-KRWDZBQOSA-N 0 3 324.896 4.179 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC000513498772 340411032 /nfs/dbraw/zinc/41/10/32/340411032.db2.gz BQPNZKRIGCBEBE-GXFFZTMASA-N 0 3 323.786 4.246 20 0 DIADHN Cc1ccnc([C@@H](C)NCCOc2ccc(C(F)(F)F)cc2)c1 ZINC000513713226 340421226 /nfs/dbraw/zinc/42/12/26/340421226.db2.gz MMDCXRKMQOVHSS-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2C)c1 ZINC000513716953 340421373 /nfs/dbraw/zinc/42/13/73/340421373.db2.gz KBQSBOWHWQLLRT-PEBVRCNWSA-N 0 3 315.438 4.119 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1cc2ccccc2o1 ZINC000176050477 340424705 /nfs/dbraw/zinc/42/47/05/340424705.db2.gz DAYKCSMCPSVZDB-CQSZACIVSA-N 0 3 322.408 4.237 20 0 DIADHN CC(C)[C@](C)(O)CN[C@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000513821292 340426654 /nfs/dbraw/zinc/42/66/54/340426654.db2.gz GISJAMZWDMPQJQ-PKOBYXMFSA-N 0 3 317.473 4.210 20 0 DIADHN C[C@@]1(CN[C@@H]2CC3(CCCCC3)Oc3ccccc32)CCCO1 ZINC000513827508 340427080 /nfs/dbraw/zinc/42/70/80/340427080.db2.gz QOQNURYXGRAAMS-MJGOQNOKSA-N 0 3 315.457 4.372 20 0 DIADHN C[C@H](CN[C@@H](C)c1cc(Br)cs1)C(F)(F)F ZINC000309724752 340432376 /nfs/dbraw/zinc/43/23/76/340432376.db2.gz CTRFXMCEYGXWRW-RQJHMYQMSA-N 0 3 316.186 4.360 20 0 DIADHN C[C@@H](NCc1cccc(CN(C)C)c1)c1ccccc1Cl ZINC000177199451 340437213 /nfs/dbraw/zinc/43/72/13/340437213.db2.gz PGTTXHLSBPUFNH-CQSZACIVSA-N 0 3 302.849 4.252 20 0 DIADHN CCCc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000177301161 340438978 /nfs/dbraw/zinc/43/89/78/340438978.db2.gz VBGGPICHMVNQDF-UHFFFAOYSA-N 0 3 310.441 4.261 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C)(C)Cc1ccccc1 ZINC000177350552 340439635 /nfs/dbraw/zinc/43/96/35/340439635.db2.gz SDECTXANRVDPKF-UHFFFAOYSA-N 0 3 324.468 4.264 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)CC1CCCC1 ZINC000177358041 340439743 /nfs/dbraw/zinc/43/97/43/340439743.db2.gz KKULUPIUPGMSJV-HNNXBMFYSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](C)CC1CCCC1 ZINC000177358058 340439779 /nfs/dbraw/zinc/43/97/79/340439779.db2.gz KKULUPIUPGMSJV-OAHLLOKOSA-N 0 3 302.462 4.212 20 0 DIADHN CCc1ccc(CCC(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000177361402 340439811 /nfs/dbraw/zinc/43/98/11/340439811.db2.gz HRNISOMZTFNLRL-UHFFFAOYSA-N 0 3 324.468 4.190 20 0 DIADHN Fc1ccc(Br)c(CNC[C@@H]2CCC(F)(F)C2)c1 ZINC000310413259 340439987 /nfs/dbraw/zinc/43/99/87/340439987.db2.gz YQOLRVYJADVNFL-SECBINFHSA-N 0 3 322.168 4.113 20 0 DIADHN COc1cccc(C(N[C@H](C)C2CC2)c2cccc(OC)c2)c1 ZINC000177399103 340439994 /nfs/dbraw/zinc/43/99/94/340439994.db2.gz XJQAAPXDOQJYQI-CQSZACIVSA-N 0 3 311.425 4.181 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccncc1)Oc1ccccc1Cl ZINC000177546177 340441405 /nfs/dbraw/zinc/44/14/05/340441405.db2.gz RBBRZGJJWGNJKB-UKRRQHHQSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1ccc2c(C[NH2+]C3(c4ccccc4)CCC3)ccc([O-])c2n1 ZINC000514078893 340445249 /nfs/dbraw/zinc/44/52/49/340445249.db2.gz UJWGYMOTQDOIPR-UHFFFAOYSA-N 0 3 318.420 4.418 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1cccc(F)c1)C1CCCC1 ZINC000178446356 340449477 /nfs/dbraw/zinc/44/94/77/340449477.db2.gz ROLHNEAEUHTRFA-YJYMSZOUSA-N 0 3 315.436 4.450 20 0 DIADHN C[C@@H](NCCN(C)Cc1ccccc1)c1ccc2ccccc2n1 ZINC000178508225 340450335 /nfs/dbraw/zinc/45/03/35/340450335.db2.gz XFHPLWXIDJONKL-QGZVFWFLSA-N 0 3 319.452 4.017 20 0 DIADHN COc1cc([C@H](C)NC2(c3ccc(Cl)cc3)CCC2)ccn1 ZINC000516984893 340460640 /nfs/dbraw/zinc/46/06/40/340460640.db2.gz SEGDRMVKFHUCQS-ZDUSSCGKSA-N 0 3 316.832 4.474 20 0 DIADHN CCCOc1ccc(CNCC(C)(C)C(F)(F)F)cc1OC ZINC000517248161 340466630 /nfs/dbraw/zinc/46/66/30/340466630.db2.gz ZGYMKSVJHRVGPQ-UHFFFAOYSA-N 0 3 319.367 4.162 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000517442290 340469868 /nfs/dbraw/zinc/46/98/68/340469868.db2.gz PSANXBXQEOGJKB-RLLQIKCJSA-N 0 3 311.400 4.323 20 0 DIADHN CCn1c2ccccc2nc1CNC[C@H]1CCCc2ccccc21 ZINC000517525605 340471065 /nfs/dbraw/zinc/47/10/65/340471065.db2.gz NGQKYINDSONKLZ-QGZVFWFLSA-N 0 3 319.452 4.266 20 0 DIADHN CCc1ccc(CNC[C@H](c2ccco2)N(CC)CC)s1 ZINC000517552784 340472149 /nfs/dbraw/zinc/47/21/49/340472149.db2.gz CKGVFLGPENXVAO-MRXNPFEDSA-N 0 3 306.475 4.076 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2ccc3[nH]ccc3c2)c1 ZINC000521068360 340479772 /nfs/dbraw/zinc/47/97/72/340479772.db2.gz VZYBNVOZWRXUAS-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN Cc1cncc([C@@H](C)NCCOc2ccccc2C(F)(F)F)c1 ZINC000184401791 340492614 /nfs/dbraw/zinc/49/26/14/340492614.db2.gz RBCMQGKQPGATHR-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN CSCCCCCNCc1csc(-c2cccs2)n1 ZINC000185167419 340498633 /nfs/dbraw/zinc/49/86/33/340498633.db2.gz YAMIEAJHSJPAQM-UHFFFAOYSA-N 0 3 312.529 4.495 20 0 DIADHN COc1cccc(CCN[C@@H](C)c2csc(C(C)C)n2)c1 ZINC000186249734 340509982 /nfs/dbraw/zinc/50/99/82/340509982.db2.gz RTLFDYQFMCVGJI-ZDUSSCGKSA-N 0 3 304.459 4.168 20 0 DIADHN Cc1nccn1CCCCNC(C)(C)c1cccc(Cl)c1F ZINC000186882109 340516710 /nfs/dbraw/zinc/51/67/10/340516710.db2.gz HCNHCGJKBDPGSA-UHFFFAOYSA-N 0 3 323.843 4.289 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCCc1cccs1 ZINC000187096416 340517745 /nfs/dbraw/zinc/51/77/45/340517745.db2.gz AGMJDLWOVZNOOG-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN Cc1cccc(OC[C@H](C)NCc2cccc(OC(F)F)c2)c1 ZINC000521814447 340532934 /nfs/dbraw/zinc/53/29/34/340532934.db2.gz CXAHNMBCJZMCBY-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(C)c(F)c1)c1ccsc1 ZINC000521815217 340533085 /nfs/dbraw/zinc/53/30/85/340533085.db2.gz RETCBNFCIKSZRE-SFHVURJKSA-N 0 3 320.477 4.368 20 0 DIADHN Clc1ccc(CNCCc2ccc3ccccc3n2)s1 ZINC000521834167 340535670 /nfs/dbraw/zinc/53/56/70/340535670.db2.gz WEAYFSRAFGBQJJ-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3c[nH]nc3c1)CC2 ZINC000189612103 340538473 /nfs/dbraw/zinc/53/84/73/340538473.db2.gz PJDQGRYVEGOFQO-LJQANCHMSA-N 0 3 305.425 4.463 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(F)c(Br)c1 ZINC000222916493 340542020 /nfs/dbraw/zinc/54/20/20/340542020.db2.gz MMVXAZMBGUCRJO-ZETCQYMHSA-N 0 3 314.120 4.019 20 0 DIADHN CSCCCCN[C@@H](C)c1ccc(F)cc1Br ZINC000223738350 340547237 /nfs/dbraw/zinc/54/72/37/340547237.db2.gz DLCKGCSCFFJVET-JTQLQIEISA-N 0 3 320.271 4.382 20 0 DIADHN CC(C)N(C)c1ccc(CNC2(c3ccccc3)CCC2)cn1 ZINC000190911018 340547986 /nfs/dbraw/zinc/54/79/86/340547986.db2.gz BAPGLUQFRSHJJN-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](CO)SC)c1ccc(Cl)cc1Cl ZINC000223894338 340548836 /nfs/dbraw/zinc/54/88/36/340548836.db2.gz IOOOEWLYOYLUDU-BIGNPOOSSA-N 0 3 322.301 4.147 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1cccc2nccn21 ZINC000191676508 340557444 /nfs/dbraw/zinc/55/74/44/340557444.db2.gz GQTFUPXOQWKKKB-CQSZACIVSA-N 0 3 305.381 4.240 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N[C@@H]2CCCC2(C)C)c(Cl)c1 ZINC000191861063 340559616 /nfs/dbraw/zinc/55/96/16/340559616.db2.gz NJCBEJOAJDQLGX-UKRRQHHQSA-N 0 3 322.880 4.452 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@H]1COc2ccccc21 ZINC000522028513 340563130 /nfs/dbraw/zinc/56/31/30/340563130.db2.gz FQDJJXLRIDDDIB-INIZCTEOSA-N 0 3 305.377 4.212 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c(O)cccc32)c2ccccc2n1 ZINC000192353539 340566451 /nfs/dbraw/zinc/56/64/51/340566451.db2.gz CESPDCPEGYYYQZ-LJQANCHMSA-N 0 3 318.420 4.416 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@H](C)C[C@H](C)O ZINC000192593586 340567985 /nfs/dbraw/zinc/56/79/85/340567985.db2.gz FUFNJWNOEDITIZ-STQMWFEESA-N 0 3 315.910 4.337 20 0 DIADHN Clc1ccsc1CNC[C@H]1CCN(c2ccccc2)C1 ZINC000193001708 340571372 /nfs/dbraw/zinc/57/13/72/340571372.db2.gz DYLQHPFSGWUAIR-CYBMUJFWSA-N 0 3 306.862 4.018 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCc2ccnc(N)c2)cc1 ZINC000193500693 340578714 /nfs/dbraw/zinc/57/87/14/340578714.db2.gz ASWZKHIPEZAJJQ-ZDUSSCGKSA-N 0 3 301.459 4.015 20 0 DIADHN Fc1ccc([C@@H](NCCCOC(F)F)c2ccccc2)cc1 ZINC000194028266 340588251 /nfs/dbraw/zinc/58/82/51/340588251.db2.gz OAUQZVWLSKMRRA-INIZCTEOSA-N 0 3 309.331 4.134 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2ccccc2Br)C1 ZINC000227376191 340598397 /nfs/dbraw/zinc/59/83/97/340598397.db2.gz WKTBGKGWQJEKKZ-CHWSQXEVSA-N 0 3 314.292 4.213 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCOC2CCCC2)o1 ZINC000522379968 340600090 /nfs/dbraw/zinc/60/00/90/340600090.db2.gz RSPIKOGZFPTNMP-UHFFFAOYSA-N 0 3 317.404 4.443 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCc2ccccc2CC1 ZINC000522556176 340604253 /nfs/dbraw/zinc/60/42/53/340604253.db2.gz QPBCPMKILWKEMY-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1C(F)(F)F)c1nccs1 ZINC000230694012 340620655 /nfs/dbraw/zinc/62/06/55/340620655.db2.gz CYIXKTUYOXKWNV-SECBINFHSA-N 0 3 318.339 4.194 20 0 DIADHN Cc1ncsc1CCN1CCC(c2ccccc2F)CC1 ZINC000523439673 340624293 /nfs/dbraw/zinc/62/42/93/340624293.db2.gz JITFXICTOPAVTK-UHFFFAOYSA-N 0 3 304.434 4.013 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)CCCC(C)(C)C)c1ccccc1 ZINC000303345748 340634993 /nfs/dbraw/zinc/63/49/93/340634993.db2.gz DVFJIWYLZYTBBT-MRXNPFEDSA-N 0 3 318.505 4.448 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C[C@H](C)CC(C)C)c1ccsc1 ZINC000524312367 340652238 /nfs/dbraw/zinc/65/22/38/340652238.db2.gz MSURHELNHYJKDW-NVXWUHKLSA-N 0 3 324.534 4.320 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000236797161 340665211 /nfs/dbraw/zinc/66/52/11/340665211.db2.gz NSPNXYWLMQRJGN-CQSZACIVSA-N 0 3 313.397 4.053 20 0 DIADHN CCc1nc(CCNCc2ccc(-c3ccccc3)o2)cs1 ZINC000237039429 340667528 /nfs/dbraw/zinc/66/75/28/340667528.db2.gz FOPDHJHCRPLWBY-UHFFFAOYSA-N 0 3 312.438 4.298 20 0 DIADHN CNCc1cccc(NC(=O)CC(C)(C)c2ccc(C)cc2)c1 ZINC000237204087 340668948 /nfs/dbraw/zinc/66/89/48/340668948.db2.gz CBHKJNBTKYRWLN-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN COc1ccc(C[C@@H](C)N[C@H](C)c2ccccc2F)cc1OC ZINC000237226717 340669192 /nfs/dbraw/zinc/66/91/92/340669192.db2.gz BKBZEEKXJQIDBF-ZIAGYGMSSA-N 0 3 317.404 4.125 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000237316332 340669798 /nfs/dbraw/zinc/66/97/98/340669798.db2.gz RGULMWDKKWJXHV-VKGGURKZSA-N 0 3 313.363 4.419 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)N[C@@H](C)c1ccc(F)cc1F ZINC000237313040 340669842 /nfs/dbraw/zinc/66/98/42/340669842.db2.gz AYSFZJQBDKFPTI-STQMWFEESA-N 0 3 305.368 4.342 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1)N[C@@H](C)c1ccccc1Cl ZINC000237317171 340670004 /nfs/dbraw/zinc/67/00/04/340670004.db2.gz SZLQOEKIWIYLGG-KBPBESRZSA-N 0 3 316.832 4.408 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3[nH]ccc32)cc1OC ZINC000237480046 340671234 /nfs/dbraw/zinc/67/12/34/340671234.db2.gz VZKWLQIERVDLHW-CYBMUJFWSA-N 0 3 310.397 4.036 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000237566050 340672085 /nfs/dbraw/zinc/67/20/85/340672085.db2.gz NMGIJINJXAGCRF-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1cccc2[nH]cc(CCNCc3c(F)cccc3F)c21 ZINC000237741888 340673531 /nfs/dbraw/zinc/67/35/31/340673531.db2.gz PNSUQOPFSHUXON-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN CC(C)Oc1ccccc1CNCCc1cc(F)cc(F)c1 ZINC000315955350 340677682 /nfs/dbraw/zinc/67/76/82/340677682.db2.gz SNVJYPYQUWARIP-UHFFFAOYSA-N 0 3 305.368 4.084 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCOC1CCCCC1)CCC2 ZINC000316454542 340685719 /nfs/dbraw/zinc/68/57/19/340685719.db2.gz GQUYHRMQHRIZGZ-LJQANCHMSA-N 0 3 303.446 4.012 20 0 DIADHN CC[C@@H](C[C@@H](C)CO)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC000525247126 340696746 /nfs/dbraw/zinc/69/67/46/340696746.db2.gz NDMARCDXXKBRRP-CJNGLKHVSA-N 0 3 319.420 4.281 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000525246175 340696843 /nfs/dbraw/zinc/69/68/43/340696843.db2.gz RIKDYUVPJAXLPU-ZIAGYGMSSA-N 0 3 321.848 4.487 20 0 DIADHN CCC1(CN[C@@H](C)c2cc(F)c(Cl)cc2Cl)COC1 ZINC000393957696 340706281 /nfs/dbraw/zinc/70/62/81/340706281.db2.gz JAYHYFDTZJWLJZ-VIFPVBQESA-N 0 3 306.208 4.210 20 0 DIADHN CC[C@H](C)[C@H](C(=O)Nc1cc(C)cc(CN)c1)c1ccccc1 ZINC000322848388 340706582 /nfs/dbraw/zinc/70/65/82/340706582.db2.gz OCUDIEYUCQRNHO-KXBFYZLASA-N 0 3 310.441 4.222 20 0 DIADHN CC[C@H](NCC1=CCOCC1)c1ccc(Cl)cc1Cl ZINC000397777602 340714121 /nfs/dbraw/zinc/71/41/21/340714121.db2.gz NGLUJURGLFRKMI-HNNXBMFYSA-N 0 3 300.229 4.381 20 0 DIADHN COc1ncccc1CNCC(c1ccccc1)c1ccccc1 ZINC000326428464 340714700 /nfs/dbraw/zinc/71/47/00/340714700.db2.gz STMMRIWDGUSTGG-UHFFFAOYSA-N 0 3 318.420 4.012 20 0 DIADHN C[C@]1(NCc2cccnc2C(F)(F)F)CCCc2ccccc21 ZINC000528412366 340717341 /nfs/dbraw/zinc/71/73/41/340717341.db2.gz AXBFWODBBMKSAJ-KRWDZBQOSA-N 0 3 320.358 4.442 20 0 DIADHN C/C(Cl)=C\CN[C@@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000528430403 340718584 /nfs/dbraw/zinc/71/85/84/340718584.db2.gz CBKBFGGYZRVBBD-HNRFISLBSA-N 0 3 316.832 4.454 20 0 DIADHN C/C(Cl)=C/CN[C@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000528430405 340718672 /nfs/dbraw/zinc/71/86/72/340718672.db2.gz CBKBFGGYZRVBBD-KQGZCTBQSA-N 0 3 316.832 4.454 20 0 DIADHN Cc1ccc2sc(CN[C@H](C)[C@H]3COc4ccccc43)nc2c1 ZINC000528448178 340719621 /nfs/dbraw/zinc/71/96/21/340719621.db2.gz XOZGJMHKPOIIDT-UKRRQHHQSA-N 0 3 324.449 4.259 20 0 DIADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000537917305 340723165 /nfs/dbraw/zinc/72/31/65/340723165.db2.gz MYUUVPKJPHNRPD-GJZGRUSLSA-N 0 3 311.454 4.351 20 0 DIADHN CNCc1cccc(NC(=O)N(C)C[C@H](C)C2CCCCC2)c1 ZINC000528694533 340729754 /nfs/dbraw/zinc/72/97/54/340729754.db2.gz GYUHLLRTESTEBM-HNNXBMFYSA-N 0 3 317.477 4.086 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccncc1)C1CC(F)(F)C1 ZINC000529538799 340760965 /nfs/dbraw/zinc/76/09/65/340760965.db2.gz RXSONFWJTAUIED-GUYCJALGSA-N 0 3 302.368 4.194 20 0 DIADHN C[C@H](N[C@@H](c1cccc(F)c1)c1ccccn1)C1CC(F)(F)C1 ZINC000529542845 340761685 /nfs/dbraw/zinc/76/16/85/340761685.db2.gz LLOWOXPBWQVTPJ-SJCJKPOMSA-N 0 3 320.358 4.334 20 0 DIADHN C[C@@H](NCc1ccccc1)c1ccc(F)c(Br)c1 ZINC000037187733 340770733 /nfs/dbraw/zinc/77/07/33/340770733.db2.gz QKQJASFBVALYAW-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1)c1nc2c(s1)CCCC2 ZINC000530329551 340787783 /nfs/dbraw/zinc/78/77/83/340787783.db2.gz QDAOHCAKTVEDQX-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN CC[C@H](N[C@@H](c1ccc(C)cc1)c1ccccn1)C1CCOCC1 ZINC000530370793 340789651 /nfs/dbraw/zinc/78/96/51/340789651.db2.gz CBCLSYNZRWTLRN-FPOVZHCZSA-N 0 3 324.468 4.274 20 0 DIADHN CC[C@H](N[C@@H](C)c1oc2ccc(OC)cc2c1C)[C@H]1CCCO1 ZINC000537939166 340791856 /nfs/dbraw/zinc/79/18/56/340791856.db2.gz GMERPKIQPAQPPP-QANKJYHBSA-N 0 3 317.429 4.358 20 0 DIADHN CCOC[C@H](C)N[C@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000537943777 340792317 /nfs/dbraw/zinc/79/23/17/340792317.db2.gz AOZGRMOJPBUHTQ-OXJNMPFZSA-N 0 3 313.441 4.108 20 0 DIADHN CS[C@@H](C)CN[C@@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000537952440 340793001 /nfs/dbraw/zinc/79/30/01/340793001.db2.gz FOLZZLXFQMDPNP-GJZGRUSLSA-N 0 3 317.502 4.200 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1cnccc1C ZINC000537969732 340794315 /nfs/dbraw/zinc/79/43/15/340794315.db2.gz ODTAALOEXOZBOY-GLJUWKHASA-N 0 3 318.848 4.470 20 0 DIADHN FC(F)COc1cccc(CN[C@H]2CCCc3ccccc32)c1 ZINC000537975685 340794896 /nfs/dbraw/zinc/79/48/96/340794896.db2.gz YIFGZAYMPWTHFP-SFHVURJKSA-N 0 3 317.379 4.498 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](OC)c2cccc(Cl)c2)cc1 ZINC000537983524 340795461 /nfs/dbraw/zinc/79/54/61/340795461.db2.gz OQEHBHNPZOFOAY-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN COCc1ccc(CN[C@H](C)c2cc3c(s2)CCC3)cc1 ZINC000124422689 340799099 /nfs/dbraw/zinc/79/90/99/340799099.db2.gz NDPLJMWYHBGGNW-CYBMUJFWSA-N 0 3 301.455 4.234 20 0 DIADHN CCOCCOc1cc(C)ccc1CN[C@H](C)c1ccccc1 ZINC000124907966 340801420 /nfs/dbraw/zinc/80/14/20/340801420.db2.gz AXBKQBCCJGSZKF-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCCOc1c(C)cccc1C)CCC2 ZINC000537985806 340805594 /nfs/dbraw/zinc/80/55/94/340805594.db2.gz CYXAACDWQLRNNA-HNNXBMFYSA-N 0 3 316.470 4.114 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCCOc2c(C)cccc2C)s1 ZINC000537990586 340806124 /nfs/dbraw/zinc/80/61/24/340806124.db2.gz IQBCOQZWPQCHLN-AWEZNQCLSA-N 0 3 318.486 4.496 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(F)c(OC)c1 ZINC000538113399 340809662 /nfs/dbraw/zinc/80/96/62/340809662.db2.gz FXAWMGVMECUJCD-CHWSQXEVSA-N 0 3 302.393 4.204 20 0 DIADHN CCCCn1cc(CN[C@@H](C)c2ccc3c(c2)CCC3)c(C)n1 ZINC000538113594 340809760 /nfs/dbraw/zinc/80/97/60/340809760.db2.gz ISQUVWOVLSBXHC-HNNXBMFYSA-N 0 3 311.473 4.331 20 0 DIADHN CC(C)(C)C[C@@H](NCCOC[C@H]1CCCO1)c1ccc(F)cc1 ZINC000538153462 340811656 /nfs/dbraw/zinc/81/16/56/340811656.db2.gz WCDKKWOBRWNBHL-QZTJIDSGSA-N 0 3 323.452 4.088 20 0 DIADHN CCc1cc(C(=O)Nc2ccc(C)cc2CN(C)C)oc1CC ZINC000125881585 340813599 /nfs/dbraw/zinc/81/35/99/340813599.db2.gz VLYYBBYHHAWFKY-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN Cc1ccc([C@H](N[C@H]2C[C@H](C)N(C3CC3)C2)C2CCCC2)o1 ZINC000538299680 340816875 /nfs/dbraw/zinc/81/68/75/340816875.db2.gz MRSQQMLGVBEPJO-IYJAJMOOSA-N 0 3 302.462 4.034 20 0 DIADHN CC(C)COc1ccccc1CN[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC000538397886 340821039 /nfs/dbraw/zinc/82/10/39/340821039.db2.gz YTAWEJRCBULZBB-QXAKKESOSA-N 0 3 317.473 4.015 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@@H]2C[C@H](C)Sc3sccc32)CC1 ZINC000538407824 340821758 /nfs/dbraw/zinc/82/17/58/340821758.db2.gz MOTBFZMJSOOXKS-IACUBPJLSA-N 0 3 311.516 4.060 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1cccc(F)c1F ZINC000538444798 340824087 /nfs/dbraw/zinc/82/40/87/340824087.db2.gz QRCFBOASKCTGIS-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN CCC1(CN[C@H](C)c2ncc(Br)s2)CCC1 ZINC000126648609 340829954 /nfs/dbraw/zinc/82/99/54/340829954.db2.gz TVNTXHYJNGUQJI-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN CCC(=O)N1CC[C@H](N[C@@H](C)c2ccc(-c3ccccc3)cc2)C1 ZINC000126655791 340830146 /nfs/dbraw/zinc/83/01/46/340830146.db2.gz PRNKIUYWAKBHTF-JXFKEZNVSA-N 0 3 322.452 4.015 20 0 DIADHN Cc1c(F)cccc1N[C@@H](C)c1ccc(OCCN(C)C)cc1 ZINC000538639884 340836726 /nfs/dbraw/zinc/83/67/26/340836726.db2.gz QLCVQVGWQHAIBK-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](C)Oc2ccccc2F)s1 ZINC000127366830 340849908 /nfs/dbraw/zinc/84/99/08/340849908.db2.gz ONQNAHHCYCOKEM-RYUDHWBXSA-N 0 3 322.449 4.271 20 0 DIADHN C[C@@H](NCCc1cc(F)cc(F)c1)c1nc2c(s1)CCCC2 ZINC000538984987 340864338 /nfs/dbraw/zinc/86/43/38/340864338.db2.gz JPOSQGLZTDEWOF-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN C[C@H](NCCc1ccc(F)c(Br)c1)c1ccco1 ZINC000539044160 340866697 /nfs/dbraw/zinc/86/66/97/340866697.db2.gz JYTQQJXSKSHENO-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCN(C)[C@@H]2c2ccc(Cl)cc2)o1 ZINC000539046216 340867161 /nfs/dbraw/zinc/86/71/61/340867161.db2.gz IHWOEFZOGGSJST-QANKJYHBSA-N 0 3 318.848 4.337 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2N[C@@H]1CCO[C@H]1C1CC1 ZINC000539049380 340867639 /nfs/dbraw/zinc/86/76/39/340867639.db2.gz HLEVCGKQCJWDCM-OAGGEKHMSA-N 0 3 312.240 4.138 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)Cc1ccccc1)c1ccc(F)c(Cl)c1 ZINC000539050866 340867725 /nfs/dbraw/zinc/86/77/25/340867725.db2.gz RKQXKEMWGUTJFA-LXIYXOSZSA-N 0 3 321.823 4.122 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1cc2c(s1)CCCC2 ZINC000539055475 340868531 /nfs/dbraw/zinc/86/85/31/340868531.db2.gz BYQZKUUWIRYSSO-JSGCOSHPSA-N 0 3 321.511 4.381 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@@H]1CCOC2(CCC2)C1 ZINC000539063181 340869613 /nfs/dbraw/zinc/86/96/13/340869613.db2.gz VBRWPYCFZRRBLT-UKRRQHHQSA-N 0 3 323.864 4.491 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc3c(s1)CCCC3)CC2 ZINC000539064785 340869744 /nfs/dbraw/zinc/86/97/44/340869744.db2.gz ICSIOFOLFAGELQ-GOSISDBHSA-N 0 3 313.466 4.413 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H]1CCc2c1cccc2F ZINC000539065703 340869863 /nfs/dbraw/zinc/86/98/63/340869863.db2.gz KHWFFGUJWJGCKB-HQOQDVMHSA-N 0 3 317.379 4.318 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000539068044 340870108 /nfs/dbraw/zinc/87/01/08/340870108.db2.gz ZSDBIYBGXVQPQZ-KSMMKXTCSA-N 0 3 317.404 4.261 20 0 DIADHN CCc1nc2c(s1)[C@H](NCCOc1ccc(C)cc1)CCC2 ZINC000539067712 340870183 /nfs/dbraw/zinc/87/01/83/340870183.db2.gz JEGBOAYQHJRRAW-OAHLLOKOSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc(C)c([C@H](C)NCCOc2ccc(C)cc2)s1 ZINC000539071002 340870523 /nfs/dbraw/zinc/87/05/23/340870523.db2.gz CAELDHNBUILXGL-ZDUSSCGKSA-N 0 3 304.459 4.052 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCCOC1CCCCC1)CCCO2 ZINC000539070675 340870534 /nfs/dbraw/zinc/87/05/34/340870534.db2.gz KHHJTVILOAZKJE-GOSISDBHSA-N 0 3 303.446 4.148 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccccc1)c1ccc(F)cc1 ZINC000539086655 340872079 /nfs/dbraw/zinc/87/20/79/340872079.db2.gz MJWOYWLOMXKCBY-BEFAXECRSA-N 0 3 301.405 4.124 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2C[C@H]2C2CCCCC2)c1 ZINC000539086401 340872094 /nfs/dbraw/zinc/87/20/94/340872094.db2.gz KYJVNTVAVQBYEM-AGRHKRQWSA-N 0 3 300.446 4.264 20 0 DIADHN Cc1nc2c(s1)[C@H](NCCOc1cc(C)cc(C)c1)CCC2 ZINC000539091815 340872482 /nfs/dbraw/zinc/87/24/82/340872482.db2.gz PHQZONMKNQMSTR-MRXNPFEDSA-N 0 3 316.470 4.114 20 0 DIADHN Cc1ccccc1OCCN[C@@H](C)c1ccc2ccccc2n1 ZINC000539092109 340872565 /nfs/dbraw/zinc/87/25/65/340872565.db2.gz WVQOVQCWLZUXKF-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN C[C@@H](NCCOc1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000539090312 340872639 /nfs/dbraw/zinc/87/26/39/340872639.db2.gz OLVUYQDZQBIUOP-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccccn1)Oc1cccc(Cl)c1 ZINC000539121681 340874343 /nfs/dbraw/zinc/87/43/43/340874343.db2.gz BRCGVMHPBLKCSF-ZFWWWQNUSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCc2ccc(Cl)cc21 ZINC000539121621 340874429 /nfs/dbraw/zinc/87/44/29/340874429.db2.gz JNQCVWIITBCGTF-HUUCEWRRSA-N 0 3 307.846 4.020 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCc2c1cccc2Cl ZINC000539123959 340874480 /nfs/dbraw/zinc/87/44/80/340874480.db2.gz IIBRUXYWHLPNKA-CABCVRRESA-N 0 3 307.846 4.020 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc3[nH]c(=O)oc3c2)C(C)C)cc1 ZINC000539162679 340875167 /nfs/dbraw/zinc/87/51/67/340875167.db2.gz JQLPXUSATYVMJP-GOSISDBHSA-N 0 3 310.397 4.329 20 0 DIADHN C[C@@H](N[C@H]1C=CCCC1)c1ccc(NC(=O)c2ccncc2)cc1 ZINC000539290020 340879527 /nfs/dbraw/zinc/87/95/27/340879527.db2.gz VZXJPRYZDATUMW-QAPCUYQASA-N 0 3 321.424 4.093 20 0 DIADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000539297672 340879831 /nfs/dbraw/zinc/87/98/31/340879831.db2.gz LCKFECXDXSJGAX-RLLQIKCJSA-N 0 3 313.416 4.320 20 0 DIADHN CC(C)(C)NC(=O)CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000539308641 340880360 /nfs/dbraw/zinc/88/03/60/340880360.db2.gz OCVLHBSRAOAFMJ-QGZVFWFLSA-N 0 3 322.880 4.076 20 0 DIADHN Cc1noc(C)c1CCNC1(c2ccc(F)cc2F)CCCC1 ZINC000539327380 340881310 /nfs/dbraw/zinc/88/13/10/340881310.db2.gz WFBCSRAMHCBVQE-UHFFFAOYSA-N 0 3 320.383 4.171 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(OCC2CC2)c(F)c1 ZINC000539327458 340881352 /nfs/dbraw/zinc/88/13/52/340881352.db2.gz YLKLGWXDAIIVRP-SNVBAGLBSA-N 0 3 305.315 4.218 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](c2ccccc2C)C2CC2)c1 ZINC000539492786 340886918 /nfs/dbraw/zinc/88/69/18/340886918.db2.gz CFQMNSDOBZZWGZ-OAQYLSRUSA-N 0 3 322.452 4.291 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2C)C2CC2)c(C)c1 ZINC000539494497 340887161 /nfs/dbraw/zinc/88/71/61/340887161.db2.gz NNAMFQINJRTZLV-NRFANRHFSA-N 0 3 322.452 4.291 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2ccccc2)c2cccnc2)C[C@H]1OC ZINC000539496197 340887583 /nfs/dbraw/zinc/88/75/83/340887583.db2.gz LSWIPWNAAWXXLX-VAMGGRTRSA-N 0 3 324.468 4.354 20 0 DIADHN c1ccc([C@@H](NCc2ccc3ncccc3c2)[C@H]2CCOC2)cc1 ZINC000539553919 340890475 /nfs/dbraw/zinc/89/04/75/340890475.db2.gz ZHUNNUWADOCTNF-PZJWPPBQSA-N 0 3 318.420 4.102 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCO[C@H](CC(C)C)C1 ZINC000539634751 340893775 /nfs/dbraw/zinc/89/37/75/340893775.db2.gz XKJSUPINPDHJAQ-ZIAGYGMSSA-N 0 3 311.853 4.032 20 0 DIADHN CC[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1ccc(F)cc1 ZINC000539650457 340894266 /nfs/dbraw/zinc/89/42/66/340894266.db2.gz DOQMYKURTFXNSD-MRXNPFEDSA-N 0 3 313.372 4.284 20 0 DIADHN CCC(CC)CC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128581964 340898728 /nfs/dbraw/zinc/89/87/28/340898728.db2.gz ASFHVGANPQOGCQ-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN FC(F)(F)CSCCNCc1cccc(C(F)(F)F)c1 ZINC000539856924 340906756 /nfs/dbraw/zinc/90/67/56/340906756.db2.gz WUTLHWHSBUBSMN-UHFFFAOYSA-N 0 3 317.298 4.091 20 0 DIADHN FC(F)(F)CSCCNCc1cccc(Cl)c1Cl ZINC000539855089 340906782 /nfs/dbraw/zinc/90/67/82/340906782.db2.gz ACPMDCIQYSBIRG-UHFFFAOYSA-N 0 3 318.191 4.379 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(CCC(C)C)CC1 ZINC000539927233 340909984 /nfs/dbraw/zinc/90/99/84/340909984.db2.gz NBVRYYUKPROHPD-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C1CCCCC1 ZINC000128726890 340910311 /nfs/dbraw/zinc/91/03/11/340910311.db2.gz SARFSTWILLYKEV-UHFFFAOYSA-N 0 3 300.446 4.110 20 0 DIADHN CCCC[C@H](CC)CCN[C@H]1CCCn2nc(C(C)C)nc21 ZINC000539973800 340911597 /nfs/dbraw/zinc/91/15/97/340911597.db2.gz GHLPRGYHIGAMED-HOTGVXAUSA-N 0 3 306.498 4.433 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@H](C)C(C)C ZINC000128766645 340912520 /nfs/dbraw/zinc/91/25/20/340912520.db2.gz VXJIPLDJLVISKC-INIZCTEOSA-N 0 3 302.462 4.212 20 0 DIADHN CC[C@@H](C)CN[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000540071660 340914270 /nfs/dbraw/zinc/91/42/70/340914270.db2.gz UBBKXQLCWGOEME-DNVCBOLYSA-N 0 3 307.441 4.298 20 0 DIADHN Cc1nc(CCN[C@H](C)c2sc(C(C)(C)C)nc2C)c(C)o1 ZINC000540085409 340914687 /nfs/dbraw/zinc/91/46/87/340914687.db2.gz MDPABGXJWOKELW-SNVBAGLBSA-N 0 3 321.490 4.247 20 0 DIADHN CSc1cc(CN[C@@H](C)c2cc(C)c(F)c(C)c2)ccn1 ZINC000540138964 340916229 /nfs/dbraw/zinc/91/62/29/340916229.db2.gz LGFFAIMYTQEINO-ZDUSSCGKSA-N 0 3 304.434 4.410 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000540140935 340916250 /nfs/dbraw/zinc/91/62/50/340916250.db2.gz BDFNAFXAQVANRT-VQTJNVASSA-N 0 3 311.400 4.489 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](C)c2cc3c(s2)CCC3)cc1 ZINC000540141188 340916522 /nfs/dbraw/zinc/91/65/22/340916522.db2.gz YVYBERFEWAPOTG-DYVFJYSZSA-N 0 3 315.482 4.183 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1cncs1 ZINC000540142391 340916649 /nfs/dbraw/zinc/91/66/49/340916649.db2.gz BSTWIJJREBYWIV-JTQLQIEISA-N 0 3 310.825 4.318 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cnn(Cc2ccccc2)c1 ZINC000540158126 340918699 /nfs/dbraw/zinc/91/86/99/340918699.db2.gz JMQOUPGXNRXCEQ-WMLDXEAASA-N 0 3 319.399 4.160 20 0 DIADHN COC[C@H](N[C@@H](c1ccccc1)c1ccccc1OC)C(C)C ZINC000540168880 340919896 /nfs/dbraw/zinc/91/98/96/340919896.db2.gz NYXASDXDPNKMGJ-ICSRJNTNSA-N 0 3 313.441 4.045 20 0 DIADHN COC[C@H](N[C@H](c1cccs1)c1ccc(F)cc1)C(C)C ZINC000540169953 340919990 /nfs/dbraw/zinc/91/99/90/340919990.db2.gz ATGUABMLLWOWKV-RDJZCZTQSA-N 0 3 307.434 4.237 20 0 DIADHN COc1ccc([C@@H](C)NCCOC2CCCCC2)cc1Cl ZINC000540173242 340920333 /nfs/dbraw/zinc/92/03/33/340920333.db2.gz LUDHLABCMUULDX-CYBMUJFWSA-N 0 3 311.853 4.349 20 0 DIADHN CCCCCc1ccc([C@@H](NCc2cnn(C)c2)C2CC2)cc1 ZINC000540302078 340925979 /nfs/dbraw/zinc/92/59/79/340925979.db2.gz OVXBEGHZJOKLET-HXUWFJFHSA-N 0 3 311.473 4.394 20 0 DIADHN COc1ccccc1[C@@H](NC[C@H]1CCCCO1)C1CCCC1 ZINC000540302972 340925991 /nfs/dbraw/zinc/92/59/91/340925991.db2.gz WDTFPAVZFQXCKG-APWZRJJASA-N 0 3 303.446 4.085 20 0 DIADHN COc1ccc(C2(NCc3cc(C)nc4ccccc34)CC2)cc1 ZINC000540360714 340928437 /nfs/dbraw/zinc/92/84/37/340928437.db2.gz XOZQPZSSQXIRAX-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2cccs2)C2CC2)c(C)c1 ZINC000540468355 340932586 /nfs/dbraw/zinc/93/25/86/340932586.db2.gz MNTASFPBFDLCCM-SFHVURJKSA-N 0 3 314.454 4.044 20 0 DIADHN COCc1ccc(CNCC(C)(C)Cc2cccc(Cl)c2)o1 ZINC000540612912 340938840 /nfs/dbraw/zinc/93/88/40/340938840.db2.gz QOJIXPHBDAPODP-UHFFFAOYSA-N 0 3 321.848 4.438 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](c1cc2ccccc2o1)C(C)C ZINC000540646919 340940048 /nfs/dbraw/zinc/94/00/48/340940048.db2.gz XLFMGBCBTKIKOW-IFXJQAMLSA-N 0 3 316.445 4.024 20 0 DIADHN CCn1nccc1CN[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000540687177 340941315 /nfs/dbraw/zinc/94/13/15/340941315.db2.gz HHSCGIWDDVVGBT-KBXCAEBGSA-N 0 3 309.457 4.293 20 0 DIADHN CC(C)(C)OCCN[C@@H]1CC2(CCCC2)Oc2ccccc21 ZINC000540726091 340943463 /nfs/dbraw/zinc/94/34/63/340943463.db2.gz NEFWOJDHGOQJNW-MRXNPFEDSA-N 0 3 303.446 4.228 20 0 DIADHN C[C@H](Nc1ccc(F)c(F)c1)c1cccc(OCCN(C)C)c1 ZINC000540746657 340944143 /nfs/dbraw/zinc/94/41/43/340944143.db2.gz XCESMOJPBIVPLT-ZDUSSCGKSA-N 0 3 320.383 4.078 20 0 DIADHN Cc1c2ccccc2oc1CN[C@H]1CCC(=O)Nc2ccccc21 ZINC000541545495 340973698 /nfs/dbraw/zinc/97/36/98/340973698.db2.gz GYOCAPGKLONWCD-INIZCTEOSA-N 0 3 320.392 4.304 20 0 DIADHN C[C@H](NCCCNc1cccc(F)c1)c1cccc(F)c1F ZINC000541557955 340974374 /nfs/dbraw/zinc/97/43/74/340974374.db2.gz LRCAIONOYAFXJI-LBPRGKRZSA-N 0 3 308.347 4.257 20 0 DIADHN COC(=O)c1cc(CN[C@H](CC(C)C)c2cccs2)c(C)o1 ZINC000541626846 340978496 /nfs/dbraw/zinc/97/84/96/340978496.db2.gz QPJOHSDAIGBNEN-CQSZACIVSA-N 0 3 321.442 4.313 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2c3cc(C)ccc3C[C@H]2C)c1 ZINC000541845139 340985456 /nfs/dbraw/zinc/98/54/56/340985456.db2.gz WFSFLUNSEMNJLT-VFNWGFHPSA-N 0 3 322.452 4.367 20 0 DIADHN Cc1cnc(CCN[C@H](c2ccc(Cl)cc2F)C(C)C)nc1 ZINC000541917270 340989301 /nfs/dbraw/zinc/98/93/01/340989301.db2.gz IPSMPNBLDVHZRX-KRWDZBQOSA-N 0 3 321.827 4.107 20 0 DIADHN Clc1cc(Cl)cc(CNCCOC2CCCCC2)c1 ZINC000070168063 340989389 /nfs/dbraw/zinc/98/93/89/340989389.db2.gz YBGLZHFEGQHAGT-UHFFFAOYSA-N 0 3 302.245 4.432 20 0 DIADHN C[C@H](c1ccccc1F)N(C)CCCCC(=O)OC(C)(C)C ZINC000542210144 341000187 /nfs/dbraw/zinc/00/01/87/341000187.db2.gz OOXGKHXBWJTJDI-CQSZACIVSA-N 0 3 309.425 4.331 20 0 DIADHN CN(Cc1coc(-c2cccc(F)c2)n1)C[C@H]1CC=CCC1 ZINC000542243955 341001557 /nfs/dbraw/zinc/00/15/57/341001557.db2.gz ZQQISGXYEMHDJP-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN C[C@@H](NCc1cccc(-n2cccn2)c1)c1cc2ccccc2o1 ZINC000130140075 341001920 /nfs/dbraw/zinc/00/19/20/341001920.db2.gz MDVJQWZPKRDQHV-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CCC(CC)[C@H](NCc1cc(OC)ccn1)c1cccs1 ZINC000542255646 341002266 /nfs/dbraw/zinc/00/22/66/341002266.db2.gz KQMGWPGUFDZYGM-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN COc1ccc(CN(C)CCCc2ccccc2Cl)cc1O ZINC000542278327 341003248 /nfs/dbraw/zinc/00/32/48/341003248.db2.gz OSEIIWJSMWWMBQ-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN C[C@H]1C[C@H](CCNCc2cc(C(F)(F)F)ccc2F)CCO1 ZINC000542302177 341003751 /nfs/dbraw/zinc/00/37/51/341003751.db2.gz FEBGSQYHMUTYQW-NWDGAFQWSA-N 0 3 319.342 4.139 20 0 DIADHN FC(F)(F)COc1ccccc1CNC[C@@H]1CCCCS1 ZINC000542310771 341003966 /nfs/dbraw/zinc/00/39/66/341003966.db2.gz UBEAZPUVAHLYHR-ZDUSSCGKSA-N 0 3 319.392 4.003 20 0 DIADHN O[C@@H](CCc1ccccc1)CNCc1cccc(Cl)c1Cl ZINC000542313381 341004118 /nfs/dbraw/zinc/00/41/18/341004118.db2.gz SCHLLJMWJPJDBJ-HNNXBMFYSA-N 0 3 324.251 4.077 20 0 DIADHN C[C@H]1OCC[C@]1(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000542316531 341004490 /nfs/dbraw/zinc/00/44/90/341004490.db2.gz UVUKNRRYWLXPOX-RNCFNFMXSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](C(C)(C)C)C3)o2)cc1 ZINC000542322467 341005080 /nfs/dbraw/zinc/00/50/80/341005080.db2.gz KNIJRMWTVDGTBZ-GOEBONIOSA-N 0 3 313.445 4.474 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2ncc(-c3ccccc3F)o2)C1 ZINC000542322480 341005087 /nfs/dbraw/zinc/00/50/87/341005087.db2.gz KZXYUGGLEBBUJN-ZDUSSCGKSA-N 0 3 302.393 4.349 20 0 DIADHN COc1ccc(-c2cnc(CN3CC[C@@H](C(C)(C)C)C3)o2)cc1 ZINC000542323418 341005147 /nfs/dbraw/zinc/00/51/47/341005147.db2.gz VLWJZPOHZACFAS-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN C[C@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@H]1c1ccccc1 ZINC000542467119 341008440 /nfs/dbraw/zinc/00/84/40/341008440.db2.gz WODHPMUUUUZWFO-KBXCAEBGSA-N 0 3 309.438 4.127 20 0 DIADHN C[C@@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@H]1c1ccccc1 ZINC000542467125 341008494 /nfs/dbraw/zinc/00/84/94/341008494.db2.gz WODHPMUUUUZWFO-RDTXWAMCSA-N 0 3 309.438 4.127 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@H]1CCCC[C@@H]1C ZINC000542467909 341008745 /nfs/dbraw/zinc/00/87/45/341008745.db2.gz XSMOGXFESBNIJL-UONOGXRCSA-N 0 3 324.415 4.052 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@H](C)C1CCCCCC1 ZINC000542472953 341008849 /nfs/dbraw/zinc/00/88/49/341008849.db2.gz QFTPGYOHZJTJFH-GDBMZVCRSA-N 0 3 304.482 4.185 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CC=CCC2)c2cccnc2)c(F)c1 ZINC000542476268 341009028 /nfs/dbraw/zinc/00/90/28/341009028.db2.gz DPJPRGPHDZWHDA-IFXJQAMLSA-N 0 3 314.379 4.395 20 0 DIADHN COCCCN[C@@H](c1ccc(F)cc1)c1cnc2ccccc2c1 ZINC000542476596 341009146 /nfs/dbraw/zinc/00/91/46/341009146.db2.gz PWABTCHKZJQSMI-FQEVSTJZSA-N 0 3 324.399 4.089 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN[C@H](c1ccc(F)cc1)c1cnccn1 ZINC000542476825 341009151 /nfs/dbraw/zinc/00/91/51/341009151.db2.gz CXSVYCUFOHADKU-SIXWZSSISA-N 0 3 313.420 4.121 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN[C@H](c1ccc(F)cc1)c1cnccn1 ZINC000542476823 341009162 /nfs/dbraw/zinc/00/91/62/341009162.db2.gz CXSVYCUFOHADKU-IDHHARJASA-N 0 3 313.420 4.121 20 0 DIADHN C[C@H](CN[C@H](c1ccco1)c1ccccc1)N1CCCC[C@H]1C ZINC000542480584 341009321 /nfs/dbraw/zinc/00/93/21/341009321.db2.gz HEUJXDABURYNKU-HLIPFELVSA-N 0 3 312.457 4.222 20 0 DIADHN Fc1ccc([C@H](NCCc2ccccc2F)c2cccnc2)cc1 ZINC000542481208 341009351 /nfs/dbraw/zinc/00/93/51/341009351.db2.gz XKAZSVAOGYXXQW-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CCCCCc1ccc([C@H](N[C@@H](C)C[S@@](C)=O)C2CC2)cc1 ZINC000542485825 341009741 /nfs/dbraw/zinc/00/97/41/341009741.db2.gz AJSXYKIWTOECBA-WQFQCULDSA-N 0 3 321.530 4.227 20 0 DIADHN C[C@H](NC[C@@H](O)CCc1ccccc1)c1ccc(F)cc1Cl ZINC000542486822 341009834 /nfs/dbraw/zinc/00/98/34/341009834.db2.gz NNUCJWZOHZAJRV-BBRMVZONSA-N 0 3 321.823 4.123 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NC[C@H](SC)C(C)(C)C)c1 ZINC000542497106 341010488 /nfs/dbraw/zinc/01/04/88/341010488.db2.gz XKIUPOVNOWTNCE-WBMJQRKESA-N 0 3 311.491 4.132 20 0 DIADHN CC(C)N(CCO[C@@H]1CCCCO1)Cc1cccc(Cl)c1 ZINC000542514501 341011372 /nfs/dbraw/zinc/01/13/72/341011372.db2.gz DVOWPOHSSJFUHY-QGZVFWFLSA-N 0 3 311.853 4.094 20 0 DIADHN CCOc1ccc(-c2nc(CNC3(C4CC4)CC3)cs2)cc1 ZINC000542526996 341012151 /nfs/dbraw/zinc/01/21/51/341012151.db2.gz SWLBEMAHGULRMS-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN COCC[C@H](NCCCOc1cccc(Cl)c1)c1ccco1 ZINC000542528598 341012395 /nfs/dbraw/zinc/01/23/95/341012395.db2.gz WFZOKRSMDZBMBJ-INIZCTEOSA-N 0 3 323.820 4.069 20 0 DIADHN Cc1csc([C@H](NC[C@@H]2CCc3ccccc3C2)C2CC2)n1 ZINC000542547680 341013012 /nfs/dbraw/zinc/01/30/12/341013012.db2.gz OOPQHCDTQREEQU-RDTXWAMCSA-N 0 3 312.482 4.297 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C(C)C)C(C)C)c1cccs1 ZINC000542555063 341013317 /nfs/dbraw/zinc/01/33/17/341013317.db2.gz MQBZUMWMUPLKJD-OAHLLOKOSA-N 0 3 310.507 4.070 20 0 DIADHN COC(=O)c1ccc(CN[C@H](CC(C)C)c2cccs2)s1 ZINC000542555761 341013398 /nfs/dbraw/zinc/01/33/98/341013398.db2.gz HEYYWJXTLJRCLY-CYBMUJFWSA-N 0 3 323.483 4.473 20 0 DIADHN COc1ccccc1C[C@H](NCc1ccncc1Cl)C(C)C ZINC000542566669 341013956 /nfs/dbraw/zinc/01/39/56/341013956.db2.gz KOZWLMJXLSOZHE-KRWDZBQOSA-N 0 3 318.848 4.101 20 0 DIADHN CCc1nc([C@@H](C)NC[C@@H]2CCc3ccccc3C2)cs1 ZINC000542575446 341014332 /nfs/dbraw/zinc/01/43/32/341014332.db2.gz ILBGYKABLGFQBY-ZIAGYGMSSA-N 0 3 300.471 4.161 20 0 DIADHN CCc1nc([C@H](C)NC[C@H]2CCc3ccccc3C2)cs1 ZINC000542575443 341014400 /nfs/dbraw/zinc/01/44/00/341014400.db2.gz ILBGYKABLGFQBY-KBPBESRZSA-N 0 3 300.471 4.161 20 0 DIADHN C[C@@H](CN1CCCCC1)N[C@@H]1C[C@H](C)Sc2sccc21 ZINC000130307488 341014974 /nfs/dbraw/zinc/01/49/74/341014974.db2.gz GWWMDZIWDSFSOQ-KCQAQPDRSA-N 0 3 310.532 4.138 20 0 DIADHN C[C@@H](CN1CCCCC1)N[C@H]1C[C@H](C)Sc2sccc21 ZINC000130307296 341015041 /nfs/dbraw/zinc/01/50/41/341015041.db2.gz GWWMDZIWDSFSOQ-YDHLFZDLSA-N 0 3 310.532 4.138 20 0 DIADHN C[C@H]1N(Cc2cc(Cl)ccc2Cl)CCC12CCOCC2 ZINC000542646614 341015597 /nfs/dbraw/zinc/01/55/97/341015597.db2.gz KAJXUBWCJPHFHH-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN COc1ccccc1OCCN[C@H](c1ccc(C)o1)C1CCC1 ZINC000542669548 341016681 /nfs/dbraw/zinc/01/66/81/341016681.db2.gz MCLVWRARTLDOSZ-IBGZPJMESA-N 0 3 315.413 4.106 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccc3ccccc3n2)c(F)c1 ZINC000542700234 341017806 /nfs/dbraw/zinc/01/78/06/341017806.db2.gz PLUSFQMPOYZOII-HYXAFXHYSA-N 0 3 310.347 4.316 20 0 DIADHN CC(C)N(C/C=C/c1ccc(F)cc1F)CCc1nccs1 ZINC000542702774 341018049 /nfs/dbraw/zinc/01/80/49/341018049.db2.gz SWEUAWDQGHRKSD-ONEGZZNKSA-N 0 3 322.424 4.388 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2CC[C@H]1C)c1ccc2c(c1)OCCO2 ZINC000542776250 341020125 /nfs/dbraw/zinc/02/01/25/341020125.db2.gz GQHXHEAPTKDRIL-WIEQDCTASA-N 0 3 323.436 4.432 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)NCc1cnoc1C ZINC000130414049 341021102 /nfs/dbraw/zinc/02/11/02/341021102.db2.gz JQJKNUWTGHINAC-QGZVFWFLSA-N 0 3 312.438 4.427 20 0 DIADHN Clc1cc(Br)ccc1CNC[C@@H]1CCCS1 ZINC000130426611 341021339 /nfs/dbraw/zinc/02/13/39/341021339.db2.gz ICDXGJSZYPYPGU-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCN(C2CC2)C1)c1ccc(Cl)cc1F ZINC000542844193 341023154 /nfs/dbraw/zinc/02/31/54/341023154.db2.gz OIGQWAJFKMEYID-CXAGYDPISA-N 0 3 310.844 4.003 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@]2(CC[C@H](C)C2)C1 ZINC000542883150 341025268 /nfs/dbraw/zinc/02/52/68/341025268.db2.gz JWOYLAKHPYVLRM-FTRWYGJKSA-N 0 3 314.473 4.088 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc12)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000543015308 341028377 /nfs/dbraw/zinc/02/83/77/341028377.db2.gz WVGKOGXUBFGXPW-JJRVBVJISA-N 0 3 323.440 4.390 20 0 DIADHN CC(C)Cn1cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)cn1 ZINC000543093368 341031557 /nfs/dbraw/zinc/03/15/57/341031557.db2.gz ZPJSLHPDEPRBOI-QGZVFWFLSA-N 0 3 317.864 4.182 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2C[C@@H](C)CC(C)(C)C2)c(C)c1 ZINC000543172935 341035165 /nfs/dbraw/zinc/03/51/65/341035165.db2.gz XSNFXVVHQPDDFQ-WMLDXEAASA-N 0 3 316.489 4.307 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N(C)C[C@@H]2CC=CCC2)n1 ZINC000543182523 341035595 /nfs/dbraw/zinc/03/55/95/341035595.db2.gz WDKXPDXGYWCKLK-HZPDHXFCSA-N 0 3 311.429 4.394 20 0 DIADHN C[C@H](C(=O)Nc1cc(Cl)ccc1F)N(C)C[C@H]1CC=CCC1 ZINC000543181801 341035700 /nfs/dbraw/zinc/03/57/00/341035700.db2.gz LFUSPHHMPLBGEV-OLZOCXBDSA-N 0 3 324.827 4.094 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@@H]3CCCCS3)s2)cc1 ZINC000543239879 341037566 /nfs/dbraw/zinc/03/75/66/341037566.db2.gz YZNPXMMYKKCGKN-HNNXBMFYSA-N 0 3 318.511 4.494 20 0 DIADHN Cc1ccc(OCCCN(C)C[C@@H](C)c2nccs2)cc1C ZINC000543240867 341037598 /nfs/dbraw/zinc/03/75/98/341037598.db2.gz SSHCTQDYMBGLHQ-MRXNPFEDSA-N 0 3 318.486 4.264 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3nc4cc(F)ccc4o3)C2)c1 ZINC000543252283 341038461 /nfs/dbraw/zinc/03/84/61/341038461.db2.gz JOXRXNQBOCAJGN-OAHLLOKOSA-N 0 3 310.372 4.265 20 0 DIADHN C[C@H]1CO[C@@H](c2ccccc2)CN1Cc1cccc2[nH]ccc21 ZINC000130686694 341039216 /nfs/dbraw/zinc/03/92/16/341039216.db2.gz LYFHMCUUDDOYPA-MGPUTAFESA-N 0 3 306.409 4.130 20 0 DIADHN CCCOc1ccc(CN(C)C[C@H](C)c2nccs2)cc1 ZINC000543393000 341041580 /nfs/dbraw/zinc/04/15/80/341041580.db2.gz NLXJFCYLKITCGH-AWEZNQCLSA-N 0 3 304.459 4.167 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN(C)Cc2ccc([S@](C)=O)cc2)C1 ZINC000543393753 341041812 /nfs/dbraw/zinc/04/18/12/341041812.db2.gz DVEOZLGLSPQGKI-CEQIKUNHSA-N 0 3 307.503 4.072 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCSC2)ccc1OCCC(C)C ZINC000543398149 341042323 /nfs/dbraw/zinc/04/23/23/341042323.db2.gz HJNDJESABWWRTL-GOEBONIOSA-N 0 3 323.502 4.276 20 0 DIADHN Fc1ccc([C@@H](NCC(C2CC2)C2CC2)c2ccccn2)cc1 ZINC000543400855 341042436 /nfs/dbraw/zinc/04/24/36/341042436.db2.gz FRBNKBAGOGWZIB-HXUWFJFHSA-N 0 3 310.416 4.336 20 0 DIADHN CC[C@@H](NC[C@@H](O)CCc1ccccc1)c1ccc(Cl)s1 ZINC000543416980 341043301 /nfs/dbraw/zinc/04/33/01/341043301.db2.gz IESWSIRSNDQMNK-LSDHHAIUSA-N 0 3 323.889 4.436 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000543416845 341043381 /nfs/dbraw/zinc/04/33/81/341043381.db2.gz UKUKTOSXXQWNQD-VIFPVBQESA-N 0 3 303.233 4.099 20 0 DIADHN O[C@@H]1CCCC[C@H]1CCCN[C@@H](c1ccco1)c1ccccc1 ZINC000543425384 341043912 /nfs/dbraw/zinc/04/39/12/341043912.db2.gz WLUXBDAGNGEDPE-ILZDJORESA-N 0 3 313.441 4.290 20 0 DIADHN COc1ccccc1[C@H](C)NCCc1ccc(F)c(F)c1F ZINC000543426338 341043979 /nfs/dbraw/zinc/04/39/79/341043979.db2.gz POUUKDRKNSXTAE-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CS[C@H](CN[C@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000543426469 341043992 /nfs/dbraw/zinc/04/39/92/341043992.db2.gz BYCUGSGLXYCODD-BXUZGUMPSA-N 0 3 312.548 4.450 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1cc(F)cc(F)c1 ZINC000543427008 341044091 /nfs/dbraw/zinc/04/40/91/341044091.db2.gz PVHHGHFSUMIKIK-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CC[C@H]3CCCCO3)nc2c1 ZINC000543443079 341044848 /nfs/dbraw/zinc/04/48/48/341044848.db2.gz OOOQVUQGGKUSQQ-NVXWUHKLSA-N 0 3 314.429 4.314 20 0 DIADHN CC[C@H](C)[C@H](C)NCC(=O)Nc1ccccc1Cc1ccccc1 ZINC000543469083 341046228 /nfs/dbraw/zinc/04/62/28/341046228.db2.gz MDQMRACJESCEKZ-IRXDYDNUSA-N 0 3 324.468 4.240 20 0 DIADHN CCc1sc(-c2nnc(CN[C@H](C)[C@@H](C)CC)o2)cc1C ZINC000543471469 341046279 /nfs/dbraw/zinc/04/62/79/341046279.db2.gz GKYLFXMGPDWJEZ-CMPLNLGQSA-N 0 3 307.463 4.193 20 0 DIADHN CC(C)C[C@@H](NCC[S@](=O)c1ccccc1)c1cccs1 ZINC000543484626 341046907 /nfs/dbraw/zinc/04/69/07/341046907.db2.gz CZUURYSSCKPNSH-IERDGZPVSA-N 0 3 321.511 4.233 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000543484746 341046997 /nfs/dbraw/zinc/04/69/97/341046997.db2.gz IBCFXVBYKOZAFN-SOUVJXGZSA-N 0 3 310.507 4.118 20 0 DIADHN CC[C@H](NC[C@@H](O)Cc1ccccc1)c1ccc(Cl)s1 ZINC000543488573 341047270 /nfs/dbraw/zinc/04/72/70/341047270.db2.gz CKFNGKXPVQZKMI-KBPBESRZSA-N 0 3 309.862 4.046 20 0 DIADHN CC[C@H](NC[C@H](O)Cc1ccccc1)c1ccc(Cl)s1 ZINC000543488578 341047321 /nfs/dbraw/zinc/04/73/21/341047321.db2.gz CKFNGKXPVQZKMI-KGLIPLIRSA-N 0 3 309.862 4.046 20 0 DIADHN CCc1cccc(C)c1NC(=O)CNC(C)(C)c1cccs1 ZINC000543498857 341047680 /nfs/dbraw/zinc/04/76/80/341047680.db2.gz SDFAEQLZYXGVJO-UHFFFAOYSA-N 0 3 316.470 4.082 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC2(CCOCC2)[C@@H]1C ZINC000543575328 341049429 /nfs/dbraw/zinc/04/94/29/341049429.db2.gz WDKXIXAMZAQYNH-STQMWFEESA-N 0 3 311.828 4.431 20 0 DIADHN OC[C@@H](N[C@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000543592772 341049890 /nfs/dbraw/zinc/04/98/90/341049890.db2.gz BYQQSMRJBGKZQD-ZWKOTPCHSA-N 0 3 301.817 4.041 20 0 DIADHN C[C@@H](CN(C)C/C=C\c1ccc(F)cc1F)c1nccs1 ZINC000543623875 341051557 /nfs/dbraw/zinc/05/15/57/341051557.db2.gz MBRWOUFXHWAXHR-MVZIDQBPSA-N 0 3 308.397 4.170 20 0 DIADHN CCc1cc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)on1 ZINC000543632593 341052153 /nfs/dbraw/zinc/05/21/53/341052153.db2.gz XYBRNHMEYADTQT-KRWDZBQOSA-N 0 3 300.377 4.102 20 0 DIADHN COC1CCC(CCN[C@H]2CCc3c2c(F)ccc3F)CC1 ZINC000543636819 341052608 /nfs/dbraw/zinc/05/26/08/341052608.db2.gz PLYBDKAKCSOTKG-FVKWTLKZSA-N 0 3 309.400 4.137 20 0 DIADHN Cc1ccc(OCCN[C@@H](c2ccc(F)cn2)C(C)C)c(C)c1 ZINC000543707658 341054636 /nfs/dbraw/zinc/05/46/36/341054636.db2.gz SLVBZRCCHWRMRN-LJQANCHMSA-N 0 3 316.420 4.203 20 0 DIADHN Cc1cccc2nc(CN(Cc3ccccc3)[C@H](C)C3CC3)cn21 ZINC000071952441 341055623 /nfs/dbraw/zinc/05/56/23/341055623.db2.gz DIGPOUKTUCWRJF-QGZVFWFLSA-N 0 3 319.452 4.443 20 0 DIADHN CN(C(=O)CN[C@@H](c1ccccc1)C(C)(C)C)c1ccccc1 ZINC000071966369 341055802 /nfs/dbraw/zinc/05/58/02/341055802.db2.gz PHOVEPVCTOLZIS-IBGZPJMESA-N 0 3 310.441 4.026 20 0 DIADHN CN(CCCCC(F)(F)F)Cc1cnn(-c2ccccc2)c1 ZINC000130995253 341055818 /nfs/dbraw/zinc/05/58/18/341055818.db2.gz UWJLVTUJOZPLGI-UHFFFAOYSA-N 0 3 311.351 4.037 20 0 DIADHN C[C@@H](O)[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000543748352 341056610 /nfs/dbraw/zinc/05/66/10/341056610.db2.gz VLZRBIAJZGDCDL-UKRRQHHQSA-N 0 3 319.832 4.193 20 0 DIADHN CC(C)(C)[C@H](NCC(=O)Nc1ccccc1)c1ccc(F)cc1 ZINC000072018984 341056980 /nfs/dbraw/zinc/05/69/80/341056980.db2.gz FFLBDJBVPJRQIX-GOSISDBHSA-N 0 3 314.404 4.141 20 0 DIADHN CC(C)(C)[C@@H](NCC(=O)Nc1ccccc1)c1ccc(F)cc1 ZINC000072018985 341057037 /nfs/dbraw/zinc/05/70/37/341057037.db2.gz FFLBDJBVPJRQIX-SFHVURJKSA-N 0 3 314.404 4.141 20 0 DIADHN CSC[C@H](NC[C@@H](C)SC)c1ccc(C(F)(F)F)cc1 ZINC000543773511 341058011 /nfs/dbraw/zinc/05/80/11/341058011.db2.gz ATLQFOGPGJFSEH-MFKMUULPSA-N 0 3 323.449 4.451 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccc3c[nH]nc3c1)[C@@H]2C ZINC000543780082 341058436 /nfs/dbraw/zinc/05/84/36/341058436.db2.gz MUPKHKPOIZSUDW-UONOGXRCSA-N 0 3 321.424 4.079 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnn(CC(C)C)c2)c1C ZINC000543875691 341062328 /nfs/dbraw/zinc/06/23/28/341062328.db2.gz MOIBZGKCHDMYKD-UHFFFAOYSA-N 0 3 324.472 4.235 20 0 DIADHN Cc1cc(C2CCN([C@H](C)c3ccccc3Cl)CC2)n[nH]1 ZINC000543905026 341062989 /nfs/dbraw/zinc/06/29/89/341062989.db2.gz AMTJKWHWSTYOOF-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN Cc1cc(C2CCN([C@H](C)c3ccccc3Cl)CC2)[nH]n1 ZINC000543905026 341062990 /nfs/dbraw/zinc/06/29/90/341062990.db2.gz AMTJKWHWSTYOOF-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN COCC[C@@H](N[C@@H](C)Cc1ccccc1SC)c1ccco1 ZINC000544039806 341067623 /nfs/dbraw/zinc/06/76/23/341067623.db2.gz QJHRMBDIMNSCNQ-GOEBONIOSA-N 0 3 319.470 4.300 20 0 DIADHN CC(C)[C@@H](O)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131262603 341070891 /nfs/dbraw/zinc/07/08/91/341070891.db2.gz NHAITSGKSXMWDV-KCJUWKMLSA-N 0 3 310.652 4.314 20 0 DIADHN Cc1cnn([C@@H]2CCCN([C@H]3CCc4ccc(Cl)cc43)C2)c1 ZINC000544142712 341071976 /nfs/dbraw/zinc/07/19/76/341071976.db2.gz GRMCLDGOQNIREI-AEFFLSMTSA-N 0 3 315.848 4.169 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2csc(-c3ccccc3)n2)CCO1 ZINC000544165780 341072563 /nfs/dbraw/zinc/07/25/63/341072563.db2.gz OJRKBOBITNINQL-CABCVRRESA-N 0 3 316.470 4.105 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2csc(-c3ccccn3)n2)C1 ZINC000544183027 341073151 /nfs/dbraw/zinc/07/31/51/341073151.db2.gz CEHLSPGXLMJHMF-ZDUSSCGKSA-N 0 3 301.459 4.073 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@]1(C)CCO[C@@H]1C ZINC000544185611 341073273 /nfs/dbraw/zinc/07/32/73/341073273.db2.gz QGCLDGOXGSEYCF-FOIQADDNSA-N 0 3 311.425 4.019 20 0 DIADHN CCCN(Cc1ncc(C(C)C)s1)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000544348057 341077324 /nfs/dbraw/zinc/07/73/24/341077324.db2.gz HPGHCLKAIAMGGN-KBRIMQKVSA-N 0 3 322.518 4.292 20 0 DIADHN Cc1ccccc1CN(Cc1cc(-n2ccnc2)cs1)C1CC1 ZINC000544349188 341077347 /nfs/dbraw/zinc/07/73/47/341077347.db2.gz VHGCKIWQOIQPCY-UHFFFAOYSA-N 0 3 323.465 4.407 20 0 DIADHN CC(C)c1cnc(CN2CCC[C@H]2Cc2ccccc2)s1 ZINC000544352591 341077563 /nfs/dbraw/zinc/07/75/63/341077563.db2.gz GSLGOEAENQRMRR-INIZCTEOSA-N 0 3 300.471 4.474 20 0 DIADHN CCO[C@@H](CCN[C@@H](c1ccco1)c1ccccc1)C(C)C ZINC000544354587 341077811 /nfs/dbraw/zinc/07/78/11/341077811.db2.gz HHIYTOIBSNNOIV-PKOBYXMFSA-N 0 3 301.430 4.410 20 0 DIADHN Cc1cc([C@H](NCCCOC(C)C)c2cccnc2)ccc1F ZINC000544355085 341077828 /nfs/dbraw/zinc/07/78/28/341077828.db2.gz CBXJEFQAQBCOLZ-IBGZPJMESA-N 0 3 316.420 4.023 20 0 DIADHN CC(C)[C@@H](C)CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000544359300 341078010 /nfs/dbraw/zinc/07/80/10/341078010.db2.gz MEZZKXWEHKOHKK-SCLBCKFNSA-N 0 3 304.384 4.331 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2c(Cl)cccc2Cl)CCO1 ZINC000544365382 341078150 /nfs/dbraw/zinc/07/81/50/341078150.db2.gz IHXQPXURCFPALC-RYUDHWBXSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@H](NC1(c2cccc(C(F)(F)F)c2)CCC1)[C@@H]1CCCO1 ZINC000544384530 341079554 /nfs/dbraw/zinc/07/95/54/341079554.db2.gz UTMDTQRRLZYUCT-WFASDCNBSA-N 0 3 313.363 4.242 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@@H](C)c1ccccc1Cl ZINC000544385949 341079636 /nfs/dbraw/zinc/07/96/36/341079636.db2.gz JXGQNWTUJUCHGE-UONOGXRCSA-N 0 3 307.821 4.231 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)CN[C@@H](c1cccs1)C1CC1 ZINC000544397251 341080193 /nfs/dbraw/zinc/08/01/93/341080193.db2.gz AUZZUSDWOMLALH-KBXCAEBGSA-N 0 3 322.518 4.120 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1nc2c(cc(C)cc2C)s1 ZINC000544402823 341080389 /nfs/dbraw/zinc/08/03/89/341080389.db2.gz SOHRTFIAAUJATA-LBPRGKRZSA-N 0 3 319.474 4.018 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](Nc3ccnc4ccccc43)CCO2)cc1 ZINC000544415491 341081291 /nfs/dbraw/zinc/08/12/91/341081291.db2.gz RLYAQVQHXYSIBP-UZLBHIALSA-N 0 3 322.383 4.128 20 0 DIADHN Cc1cnc([C@@H](NCCCc2cccc(C)c2)C2CC2)s1 ZINC000544422355 341081484 /nfs/dbraw/zinc/08/14/84/341081484.db2.gz YMVBJFINWXTMIF-KRWDZBQOSA-N 0 3 300.471 4.433 20 0 DIADHN COc1ccccc1C[C@@H](NCc1nc(C)c(C)s1)C(C)C ZINC000544460878 341083248 /nfs/dbraw/zinc/08/32/48/341083248.db2.gz BHDXUSWMHSYMAU-MRXNPFEDSA-N 0 3 318.486 4.125 20 0 DIADHN C[C@H](CN(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1)C(F)(F)F ZINC000544491321 341084337 /nfs/dbraw/zinc/08/43/37/341084337.db2.gz BHTZXMVEOFPKIA-KCPJHIHWSA-N 0 3 319.342 4.176 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000131421006 341085708 /nfs/dbraw/zinc/08/57/08/341085708.db2.gz ZUVOWKHOVAUUGQ-QGZVFWFLSA-N 0 3 323.484 4.485 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1nc2c(s1)CCCC2 ZINC000544574318 341086522 /nfs/dbraw/zinc/08/65/22/341086522.db2.gz QXROQZHVJUVTFD-ZIAGYGMSSA-N 0 3 300.471 4.476 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](c2ccsc2)N(C)C)C2CCC2)o1 ZINC000544581446 341087239 /nfs/dbraw/zinc/08/72/39/341087239.db2.gz JLPFQFRRUJCCQH-FUHWJXTLSA-N 0 3 318.486 4.383 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](NCc3ccc(F)cc3F)CCO2)cc1 ZINC000544595594 341087850 /nfs/dbraw/zinc/08/78/50/341087850.db2.gz AVQSWSBERIERCX-WMZOPIPTSA-N 0 3 321.342 4.114 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](CC)c1ccn(C)n1 ZINC000544614898 341089190 /nfs/dbraw/zinc/08/91/90/341089190.db2.gz IHCSBUVWBUKBBW-HOCLYGCPSA-N 0 3 303.475 4.032 20 0 DIADHN C[C@@H]1N(Cc2c(Cl)oc3ccccc32)CCC12CCOCC2 ZINC000544616453 341089335 /nfs/dbraw/zinc/08/93/35/341089335.db2.gz IUPCUZABUUKSDN-ZDUSSCGKSA-N 0 3 319.832 4.477 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H](C)[C@H]3CCCC[C@H]32)s1 ZINC000544748516 341092751 /nfs/dbraw/zinc/09/27/51/341092751.db2.gz ZXWHPWBPVZLDAV-MVWJERBFSA-N 0 3 318.408 4.481 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cc(OC)c(OC)cc1F ZINC000544829877 341095233 /nfs/dbraw/zinc/09/52/33/341095233.db2.gz OHEMBKJFJDFOAR-UWVGGRQHSA-N 0 3 323.330 4.225 20 0 DIADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1ccc2c(c1)CCCC2 ZINC000544842264 341095952 /nfs/dbraw/zinc/09/59/52/341095952.db2.gz GXBMJCKALGOHLX-AWEZNQCLSA-N 0 3 305.425 4.293 20 0 DIADHN C[C@H](NCc1ccc2nc[nH]c2c1)c1ccc2c(c1)CCCC2 ZINC000544842264 341095953 /nfs/dbraw/zinc/09/59/53/341095953.db2.gz GXBMJCKALGOHLX-AWEZNQCLSA-N 0 3 305.425 4.293 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@H](C)c2c(C)cccc2C)c1 ZINC000544895391 341097938 /nfs/dbraw/zinc/09/79/38/341097938.db2.gz AZCIGWSYNMYHTQ-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CCN(CCC1CC1)[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000544896206 341098016 /nfs/dbraw/zinc/09/80/16/341098016.db2.gz OCWCSNDWAFOESE-CYBMUJFWSA-N 0 3 317.408 4.367 20 0 DIADHN FC(F)c1ccc(CNCc2cccc(-n3cccn3)c2)cc1 ZINC000545202153 341113503 /nfs/dbraw/zinc/11/35/03/341113503.db2.gz NSXJLEGJJFOICW-UHFFFAOYSA-N 0 3 313.351 4.100 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1sccc1Br)C(C)C ZINC000233787525 341115736 /nfs/dbraw/zinc/11/57/36/341115736.db2.gz AHKQTJZOCVWXKE-JQWIXIFHSA-N 0 3 320.296 4.222 20 0 DIADHN COCC[C@H](C)N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000545246340 341117100 /nfs/dbraw/zinc/11/71/00/341117100.db2.gz XNASMJPPPJRVBD-KSSFIOAISA-N 0 3 303.833 4.444 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC(C)C)C(C)C ZINC000073510161 341117817 /nfs/dbraw/zinc/11/78/17/341117817.db2.gz UQQNEJDKAQDNFY-UHFFFAOYSA-N 0 3 304.478 4.116 20 0 DIADHN C[C@H](NC1CC(c2ccccc2)C1)c1nc2ccccc2n1C ZINC000545274217 341118564 /nfs/dbraw/zinc/11/85/64/341118564.db2.gz HYDQZEKOXPEELR-OOHWJJMZSA-N 0 3 305.425 4.170 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCC[C@@H](c3ncccc3C)C1)CO2 ZINC000545275955 341118676 /nfs/dbraw/zinc/11/86/76/341118676.db2.gz MQYZNKYMJXVAJH-ZHALLVOQSA-N 0 3 322.452 4.448 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(-c3ccccc3)cc2)cn1 ZINC000545291175 341119503 /nfs/dbraw/zinc/11/95/03/341119503.db2.gz QQHPJDPNVOIFPA-UHFFFAOYSA-N 0 3 305.425 4.112 20 0 DIADHN CC(C)c1ncc(CNCCCc2c[nH]c3ccccc23)s1 ZINC000132273097 341119895 /nfs/dbraw/zinc/11/98/95/341119895.db2.gz XESYNWWBNWNWPE-UHFFFAOYSA-N 0 3 313.470 4.470 20 0 DIADHN C[C@@H](N(Cc1ccc(CO)o1)Cc1ccccc1)C(C)(C)C ZINC000545304914 341120192 /nfs/dbraw/zinc/12/01/92/341120192.db2.gz ZPACEYXNNWPBOA-OAHLLOKOSA-N 0 3 301.430 4.209 20 0 DIADHN Cc1ncsc1CN[C@@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000132428604 341122802 /nfs/dbraw/zinc/12/28/02/341122802.db2.gz PFPDCOZUFCTIPZ-QWRGUYRKSA-N 0 3 317.483 4.343 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cccc2c1OCCCO2 ZINC000545467869 341126506 /nfs/dbraw/zinc/12/65/06/341126506.db2.gz LQRHDCJWVYEFDF-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@@H](c4cccc(F)c4)C3)c2c1 ZINC000545474970 341126777 /nfs/dbraw/zinc/12/67/77/341126777.db2.gz VEJVRRVCQQKVFX-HXUWFJFHSA-N 0 3 322.383 4.260 20 0 DIADHN CC[C@H](COC)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000132564778 341127513 /nfs/dbraw/zinc/12/75/13/341127513.db2.gz FSQSRUXKLRZQIT-IAQYHMDHSA-N 0 3 322.449 4.333 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)C(=O)Nc1cccc(F)c1 ZINC000545517830 341127993 /nfs/dbraw/zinc/12/79/93/341127993.db2.gz BJWWGRBRLJEWOU-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)C(=O)Nc1cccc(F)c1 ZINC000545517829 341127997 /nfs/dbraw/zinc/12/79/97/341127997.db2.gz BJWWGRBRLJEWOU-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@@H](C)c1ccc(-n2cncn2)cc1 ZINC000545520816 341128407 /nfs/dbraw/zinc/12/84/07/341128407.db2.gz UBQLRZABZJVLRG-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1ccc(-n2cncn2)cc1 ZINC000545520819 341128432 /nfs/dbraw/zinc/12/84/32/341128432.db2.gz UBQLRZABZJVLRG-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN CC(C)(C)/C=C\c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545526829 341128946 /nfs/dbraw/zinc/12/89/46/341128946.db2.gz RTLPPZZKOTZIOD-KHPPLWFESA-N 0 3 311.429 4.392 20 0 DIADHN Clc1cccc2c1SCC[C@H]2NCCOCC1CCC1 ZINC000564292594 341132761 /nfs/dbraw/zinc/13/27/61/341132761.db2.gz ANEPLWPYIFVPJZ-OAHLLOKOSA-N 0 3 311.878 4.283 20 0 DIADHN CCSCCN[C@@H](Cc1ccc(C)cc1)c1ccccn1 ZINC000545625541 341133897 /nfs/dbraw/zinc/13/38/97/341133897.db2.gz XPPNOJODKXLRMG-SFHVURJKSA-N 0 3 300.471 4.017 20 0 DIADHN CCc1cc(CCCN[C@H](CC)c2ccc(F)cc2F)on1 ZINC000564295288 341144332 /nfs/dbraw/zinc/14/43/32/341144332.db2.gz SSCDUMTZNLKVSV-QGZVFWFLSA-N 0 3 308.372 4.189 20 0 DIADHN Cc1cccc(C(C)(C)NCc2ccc(N3CCCC3)nc2)c1 ZINC000132801743 341145942 /nfs/dbraw/zinc/14/59/42/341145942.db2.gz MAPLKFQGIXNZKR-UHFFFAOYSA-N 0 3 309.457 4.015 20 0 DIADHN CC(C)c1ncc(CNC2CC(OCc3ccccc3)C2)s1 ZINC000545918442 341146118 /nfs/dbraw/zinc/14/61/18/341146118.db2.gz JFBDRZIYDNNILU-UHFFFAOYSA-N 0 3 316.470 4.104 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC([C@@](C)(O)C(F)(F)F)CC1 ZINC000545932216 341146955 /nfs/dbraw/zinc/14/69/55/341146955.db2.gz QFNOLAOEPUXMRK-HZPDHXFCSA-N 0 3 315.379 4.163 20 0 DIADHN Cc1cccc([C@H](C)N2CCC([C@](C)(O)C(F)(F)F)CC2)c1 ZINC000545931985 341147018 /nfs/dbraw/zinc/14/70/18/341147018.db2.gz FZMNBASBHWXNHQ-BBRMVZONSA-N 0 3 315.379 4.081 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H]1CCC(=O)Nc2ccccc21 ZINC000545943921 341147710 /nfs/dbraw/zinc/14/77/10/341147710.db2.gz UUOVWPGRCPRKEZ-QGZVFWFLSA-N 0 3 320.392 4.304 20 0 DIADHN Clc1ccc(C2(N[C@@H]3CCCSC3)CC2)cc1Cl ZINC000545981179 341149445 /nfs/dbraw/zinc/14/94/45/341149445.db2.gz SCBJQUCUWPSBMT-LLVKDONJSA-N 0 3 302.270 4.468 20 0 DIADHN Cc1cc(C(C)(C)C)cc(C)c1CNC(C)(C)c1cnn(C)c1 ZINC000546000291 341150325 /nfs/dbraw/zinc/15/03/25/341150325.db2.gz BZCCPVHPCFLDST-UHFFFAOYSA-N 0 3 313.489 4.359 20 0 DIADHN COc1ccsc1[C@H](C)NCCOc1ccc(C)cc1C ZINC000546019499 341151312 /nfs/dbraw/zinc/15/13/12/341151312.db2.gz UQXWYQGSTQOOSJ-AWEZNQCLSA-N 0 3 305.443 4.103 20 0 DIADHN CCSc1cccc(CN[C@@H]2CN(C)Cc3ccccc32)c1 ZINC000546022080 341151662 /nfs/dbraw/zinc/15/16/62/341151662.db2.gz BVTSMIUSTQNZHW-LJQANCHMSA-N 0 3 312.482 4.075 20 0 DIADHN CCSc1cccc(CN[C@H]2CN(C)Cc3ccccc32)c1 ZINC000546022079 341151670 /nfs/dbraw/zinc/15/16/70/341151670.db2.gz BVTSMIUSTQNZHW-IBGZPJMESA-N 0 3 312.482 4.075 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cncc(Br)c1 ZINC000546936156 341187607 /nfs/dbraw/zinc/18/76/07/341187607.db2.gz WNFPFMXMUBIIRL-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H]1C[C@H](C)N1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000564300490 341155866 /nfs/dbraw/zinc/15/58/66/341155866.db2.gz RBOMHKYXWYSURU-GJZGRUSLSA-N 0 3 310.445 4.043 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1ccc(-n2cncn2)c(C)c1 ZINC000546176826 341155999 /nfs/dbraw/zinc/15/59/99/341155999.db2.gz HZWKZXCMSOGDFY-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cnc(-c2ccccn2)s1 ZINC000546179175 341156132 /nfs/dbraw/zinc/15/61/32/341156132.db2.gz UYIARQKQZDEQIO-SNVBAGLBSA-N 0 3 315.364 4.026 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000546228610 341158110 /nfs/dbraw/zinc/15/81/10/341158110.db2.gz NFXONCAFVGCUFC-AWEZNQCLSA-N 0 3 322.880 4.406 20 0 DIADHN CCN(CCC1CC1)Cc1ccccc1OCc1ccccn1 ZINC000546265627 341160261 /nfs/dbraw/zinc/16/02/61/341160261.db2.gz SSEBTZPUTNOHRW-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(-c2noc(CCC3CC3)n2)c(CN2CCCCC2)c1 ZINC000546411291 341167191 /nfs/dbraw/zinc/16/71/91/341167191.db2.gz TWDSVXFBDPPNQO-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@@H]1CCSC1 ZINC000546656651 341177619 /nfs/dbraw/zinc/17/76/19/341177619.db2.gz PIVBASLQKGDNEN-OAHLLOKOSA-N 0 3 301.455 4.222 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)C(C)(C)CO)s1 ZINC000564307247 341178237 /nfs/dbraw/zinc/17/82/37/341178237.db2.gz XNIRECAFBTZRRV-QGZVFWFLSA-N 0 3 303.471 4.160 20 0 DIADHN c1ccc([C@@H]2CCCCN2Cc2ccc(N3CCCC3)nc2)cc1 ZINC000546691672 341179063 /nfs/dbraw/zinc/17/90/63/341179063.db2.gz WFRIPGKKIJOVNZ-FQEVSTJZSA-N 0 3 321.468 4.409 20 0 DIADHN c1ccc(-c2noc(CN[C@@H]3CCC[C@@H]3c3ccccc3)n2)cc1 ZINC000133659537 341181100 /nfs/dbraw/zinc/18/11/00/341181100.db2.gz XXWDNBASYVONSD-QZTJIDSGSA-N 0 3 319.408 4.163 20 0 DIADHN c1ccc(-c2noc(CN[C@H]3CCC[C@H]3c3ccccc3)n2)cc1 ZINC000133660121 341181147 /nfs/dbraw/zinc/18/11/47/341181147.db2.gz XXWDNBASYVONSD-ROUUACIJSA-N 0 3 319.408 4.163 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@@H]43)co2)cc1 ZINC000075768534 341182530 /nfs/dbraw/zinc/18/25/30/341182530.db2.gz IHEFAVIZEAYTPZ-KDOFPFPSSA-N 0 3 312.413 4.115 20 0 DIADHN CSCCCCN[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000133718997 341183017 /nfs/dbraw/zinc/18/30/17/341183017.db2.gz ZLEIGCVNQLOQLR-JTQLQIEISA-N 0 3 307.381 4.221 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](CC)CC(F)(F)F)CCC2 ZINC000546871485 341184416 /nfs/dbraw/zinc/18/44/16/341184416.db2.gz LANCVBZHWQVQFO-ZJUUUORDSA-N 0 3 306.397 4.404 20 0 DIADHN CCC(CC)c1noc(C2CCN(Cc3ccccc3)CC2)n1 ZINC000547088675 341195324 /nfs/dbraw/zinc/19/53/24/341195324.db2.gz UNUWBQXZVZHPDW-UHFFFAOYSA-N 0 3 313.445 4.353 20 0 DIADHN CSCCCCN[C@@H](C)c1sccc1Br ZINC000133919939 341200019 /nfs/dbraw/zinc/20/00/19/341200019.db2.gz WPZYCESGVSRKPX-VIFPVBQESA-N 0 3 308.310 4.304 20 0 DIADHN C[C@H](NC1Cc2ccccc2C1)c1ccc(F)cc1OC(F)F ZINC000547278816 341203444 /nfs/dbraw/zinc/20/34/44/341203444.db2.gz DRQOBEXWGIYGOE-NSHDSACASA-N 0 3 321.342 4.245 20 0 DIADHN Cc1cc(CN[C@H](CCc2ccccc2)Cc2ccccc2)no1 ZINC000547313132 341205041 /nfs/dbraw/zinc/20/50/41/341205041.db2.gz AQWDFTZBYQNDKN-HXUWFJFHSA-N 0 3 320.436 4.317 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1cnc(C2CC2)s1 ZINC000547333778 341206613 /nfs/dbraw/zinc/20/66/13/341206613.db2.gz BOSGCFNYOHPZLH-UHFFFAOYSA-N 0 3 312.438 4.407 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCC([C@](C)(O)C(F)(F)F)CC1 ZINC000547346174 341207508 /nfs/dbraw/zinc/20/75/08/341207508.db2.gz MAVKMDDPBGVWPW-CJNGLKHVSA-N 0 3 315.379 4.081 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@H](C)Cc2ccsc2)o1 ZINC000547365101 341208979 /nfs/dbraw/zinc/20/89/79/341208979.db2.gz DATXCOROPDMYGP-CJNGLKHVSA-N 0 3 305.443 4.256 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2nc(-c3ccccc3)cs2)C1(C)C ZINC000237276104 341210563 /nfs/dbraw/zinc/21/05/63/341210563.db2.gz AJGJWNWMXXKKJH-MAUKXSAKSA-N 0 3 316.470 4.103 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@H](c1ccccc1)c1ccccn1 ZINC000237361401 341212451 /nfs/dbraw/zinc/21/24/51/341212451.db2.gz ZTJMYHPBSMXNNM-OXJNMPFZSA-N 0 3 306.409 4.375 20 0 DIADHN C[C@H](Cc1ccsc1)NC(C)(C)c1nc2ccccc2n1C ZINC000547449188 341214428 /nfs/dbraw/zinc/21/44/28/341214428.db2.gz RPRPZVQSLWBEBV-CYBMUJFWSA-N 0 3 313.470 4.091 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@@]2(C)CCCO2)o1 ZINC000237653827 341217370 /nfs/dbraw/zinc/21/73/70/341217370.db2.gz XVVKJGGPKDIPCH-GOSISDBHSA-N 0 3 303.377 4.053 20 0 DIADHN C[C@@H](CN[C@H]1CCc2c1cccc2Br)C(F)(F)F ZINC000547507225 341218823 /nfs/dbraw/zinc/21/88/23/341218823.db2.gz FMCRHIPICZISQQ-UFBFGSQYSA-N 0 3 322.168 4.224 20 0 DIADHN Fc1ccc(CN2CC[C@H](Nc3ccccc3)C2)c2ncccc12 ZINC000237764403 341220119 /nfs/dbraw/zinc/22/01/19/341220119.db2.gz JHYKGZYLXOBKGC-KRWDZBQOSA-N 0 3 321.399 4.060 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccnc2ccccc12 ZINC000547525138 341220534 /nfs/dbraw/zinc/22/05/34/341220534.db2.gz MTTGNVPYBUHAFO-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN OCCCCCN[C@H](c1ccc(F)cc1)c1ccccc1Cl ZINC000134648754 341220793 /nfs/dbraw/zinc/22/07/93/341220793.db2.gz YMAQDSYFURIKTN-GOSISDBHSA-N 0 3 321.823 4.321 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)cc1C ZINC000237883750 341222521 /nfs/dbraw/zinc/22/25/21/341222521.db2.gz RLKYFDCFTYQWBE-OAQYLSRUSA-N 0 3 323.436 4.316 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)cc1C ZINC000237883220 341222548 /nfs/dbraw/zinc/22/25/48/341222548.db2.gz RLKYFDCFTYQWBE-NRFANRHFSA-N 0 3 323.436 4.316 20 0 DIADHN C[C@@H](NC1CCC(C(F)(F)F)CC1)c1nc2c(s1)CCC2 ZINC000134726408 341222733 /nfs/dbraw/zinc/22/27/33/341222733.db2.gz XTKNFGMXDBQEML-KPPDAEKUSA-N 0 3 318.408 4.404 20 0 DIADHN CSCC[C@H](C)N(C)Cc1ccc(F)c(Br)c1 ZINC000134850839 341225142 /nfs/dbraw/zinc/22/51/42/341225142.db2.gz ARTFBSFEAHAIDV-JTQLQIEISA-N 0 3 320.271 4.162 20 0 DIADHN C[C@H](NCCc1cscn1)c1cccc(Cl)c1Cl ZINC000134851250 341225324 /nfs/dbraw/zinc/22/53/24/341225324.db2.gz VNDKKFAGNJONLW-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1ccccc1CO)CCS2 ZINC000547653021 341225419 /nfs/dbraw/zinc/22/54/19/341225419.db2.gz RXMPKLYXLMVCHP-GOSISDBHSA-N 0 3 313.466 4.122 20 0 DIADHN Cc1ccc(CNCc2cc(F)cc(Br)c2)s1 ZINC000077507301 341225925 /nfs/dbraw/zinc/22/59/25/341225925.db2.gz UPRSHHFMHRHUNR-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CC1)c1cn2ccccc2n1 ZINC000547727653 341229140 /nfs/dbraw/zinc/22/91/40/341229140.db2.gz QQWJZGOLKCFBCS-HNNXBMFYSA-N 0 3 323.465 4.310 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2c(s1)CCC2)[C@@H](C)c1ccccc1 ZINC000135054531 341229860 /nfs/dbraw/zinc/22/98/60/341229860.db2.gz HNLUHFPZQXSJOM-MCIONIFRSA-N 0 3 300.471 4.475 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](C)c1ccccc1)c1nc2c(s1)CCC2 ZINC000135054581 341229881 /nfs/dbraw/zinc/22/98/81/341229881.db2.gz HNLUHFPZQXSJOM-RDBSUJKOSA-N 0 3 300.471 4.475 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@H]1CCCC[C@H]1C ZINC000547747645 341230007 /nfs/dbraw/zinc/23/00/07/341230007.db2.gz ZOFDDRGOMKEUMA-QAPCUYQASA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H](CNCc1cnc(C2CCCCC2)s1)C(F)(F)F ZINC000547823102 341235152 /nfs/dbraw/zinc/23/51/52/341235152.db2.gz JDOZRDOAXDANPB-JTQLQIEISA-N 0 3 306.397 4.479 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CCC1CC1 ZINC000135302686 341236197 /nfs/dbraw/zinc/23/61/97/341236197.db2.gz MZQKIMJGMGUYIP-MRXNPFEDSA-N 0 3 322.452 4.413 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000547832612 341236405 /nfs/dbraw/zinc/23/64/05/341236405.db2.gz PCUNFBHPCJXLGY-GDBMZVCRSA-N 0 3 317.433 4.395 20 0 DIADHN CC(C)n1ccc(CN[C@@H](C)C2(c3ccccc3)CCCC2)n1 ZINC000547841446 341236967 /nfs/dbraw/zinc/23/69/67/341236967.db2.gz ZBEMSVPVYAQVNJ-KRWDZBQOSA-N 0 3 311.473 4.454 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccnc3ccccc13)CC21CC1 ZINC000547848914 341237530 /nfs/dbraw/zinc/23/75/30/341237530.db2.gz AWUIJGZMXDVQIE-UHFFFAOYSA-N 0 3 300.405 4.282 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2NCc2ncccc2C)c1 ZINC000547883202 341238824 /nfs/dbraw/zinc/23/88/24/341238824.db2.gz COUCURHTFLUXQH-UYAOXDASSA-N 0 3 310.441 4.178 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)o1 ZINC000547947504 341240149 /nfs/dbraw/zinc/24/01/49/341240149.db2.gz DUTPAKVUXNWRFB-OTWHNJEPSA-N 0 3 310.441 4.395 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCSC2)c2ccccc2)cc1 ZINC000135762682 341245517 /nfs/dbraw/zinc/24/55/17/341245517.db2.gz VRHLIJDHLRCRGO-ROUUACIJSA-N 0 3 301.430 4.400 20 0 DIADHN CC(C)(O)CN(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC000548114923 341247772 /nfs/dbraw/zinc/24/77/72/341247772.db2.gz FTWSPXBAKPSXMD-UHFFFAOYSA-N 0 3 309.862 4.175 20 0 DIADHN CCOc1ccccc1CN[C@H](c1ccc(OC)cc1)C1CC1 ZINC000135958615 341248907 /nfs/dbraw/zinc/24/89/07/341248907.db2.gz JMZIFDGNDNHCLL-FQEVSTJZSA-N 0 3 311.425 4.335 20 0 DIADHN Cc1ccc(OCCN[C@H](C)c2ccc(Cl)cn2)c(C)c1 ZINC000548155457 341249893 /nfs/dbraw/zinc/24/98/93/341249893.db2.gz GWIMPMGDVNOTBQ-CQSZACIVSA-N 0 3 304.821 4.081 20 0 DIADHN C[C@@H](NCc1ccc2ccccc2c1)c1ccc(NC(N)=O)cc1 ZINC000079001091 341251865 /nfs/dbraw/zinc/25/18/65/341251865.db2.gz WJEYFRVPPQKPFZ-CQSZACIVSA-N 0 3 319.408 4.181 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nnc(C4CC4)o3)[C@H]3CCCC[C@H]23)cc1 ZINC000548275699 341253935 /nfs/dbraw/zinc/25/39/35/341253935.db2.gz TUPQMRDCYTYHSI-SQNIBIBYSA-N 0 3 323.440 4.105 20 0 DIADHN OCC[C@@H]1CCC[C@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000548299685 341254923 /nfs/dbraw/zinc/25/49/23/341254923.db2.gz AGYYGOKOZFFRTO-SUMWQHHRSA-N 0 3 319.832 4.241 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2F)cc1 ZINC000079324324 341256640 /nfs/dbraw/zinc/25/66/40/341256640.db2.gz KVEBKXFSMJMFTC-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN CC(C)(C)OCCNCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000548362493 341257010 /nfs/dbraw/zinc/25/70/10/341257010.db2.gz PYAYBURBHYWRJW-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)NCc1cc(-c2ccccc2)on1 ZINC000136381716 341258095 /nfs/dbraw/zinc/25/80/95/341258095.db2.gz WTUZZFGMJUTAJN-OAHLLOKOSA-N 0 3 322.408 4.158 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCC2(CCO)CCC2)o1 ZINC000136523057 341261266 /nfs/dbraw/zinc/26/12/66/341261266.db2.gz VIGKKBMHWOHDQR-UHFFFAOYSA-N 0 3 317.404 4.036 20 0 DIADHN C[C@@H](CC(C)(C)C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000548468390 341262173 /nfs/dbraw/zinc/26/21/73/341262173.db2.gz USAWGSOIICLTQI-ZDUSSCGKSA-N 0 3 301.434 4.338 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc(OC(F)(F)F)cc1)C(F)(F)F ZINC000548485381 341263275 /nfs/dbraw/zinc/26/32/75/341263275.db2.gz UZYBTSWRDGEYJF-RKDXNWHRSA-N 0 3 315.257 4.434 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000548488600 341263667 /nfs/dbraw/zinc/26/36/67/341263667.db2.gz SAORETOXRIFMRH-NVXWUHKLSA-N 0 3 313.445 4.494 20 0 DIADHN Cc1ncsc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000548504626 341264680 /nfs/dbraw/zinc/26/46/80/341264680.db2.gz MNBABDQUTPBKKN-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1ccccc1O[C@H]1CCCC[C@H]1NCc1ncccc1C ZINC000548539688 341265878 /nfs/dbraw/zinc/26/58/78/341265878.db2.gz HXBIIUWFBLLKJI-XLIONFOSSA-N 0 3 310.441 4.178 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc3[nH]cnc3c1)CC2 ZINC000548627650 341267736 /nfs/dbraw/zinc/26/77/36/341267736.db2.gz GRXXMYJFGRGGKH-SFHVURJKSA-N 0 3 305.425 4.463 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc3nc[nH]c3c1)CC2 ZINC000548627650 341267737 /nfs/dbraw/zinc/26/77/37/341267737.db2.gz GRXXMYJFGRGGKH-SFHVURJKSA-N 0 3 305.425 4.463 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1C(F)(F)F ZINC000548636790 341268138 /nfs/dbraw/zinc/26/81/38/341268138.db2.gz GUDUQEAOBSBTNP-NEPJUHHUSA-N 0 3 301.352 4.314 20 0 DIADHN FC(F)(F)CCNCc1ccc(Cl)c(Br)c1 ZINC000137124808 341272871 /nfs/dbraw/zinc/27/28/71/341272871.db2.gz ISSVIGBADDDSHX-UHFFFAOYSA-N 0 3 316.548 4.145 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000548785059 341275546 /nfs/dbraw/zinc/27/55/46/341275546.db2.gz YWRUSEVDJDPBHB-SUMWQHHRSA-N 0 3 307.434 4.380 20 0 DIADHN COc1ncc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc1Cl ZINC000548789602 341275578 /nfs/dbraw/zinc/27/55/78/341275578.db2.gz HCVUHZSFDBMOPO-MRXNPFEDSA-N 0 3 322.811 4.370 20 0 DIADHN Fc1ccc(CN2CCC3(CCO3)CC2)cc1-c1cccs1 ZINC000548806990 341276537 /nfs/dbraw/zinc/27/65/37/341276537.db2.gz FYMNKGDNPPFEHN-UHFFFAOYSA-N 0 3 317.429 4.309 20 0 DIADHN CCCCCOc1ccc(CN2CCC3(CCO3)CC2)cc1 ZINC000548807022 341276564 /nfs/dbraw/zinc/27/65/64/341276564.db2.gz OYHJEXHPAAOLOV-UHFFFAOYSA-N 0 3 303.446 4.011 20 0 DIADHN CC(C)(CNCc1cccc(-c2ccccn2)c1)C(F)(F)F ZINC000548859248 341278556 /nfs/dbraw/zinc/27/85/56/341278556.db2.gz WPVPWVIHVFMHRB-UHFFFAOYSA-N 0 3 308.347 4.427 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC[C@@H]1c1cccc(C)n1 ZINC000548874519 341279236 /nfs/dbraw/zinc/27/92/36/341279236.db2.gz QHPXOJGCJNWYMU-HXUWFJFHSA-N 0 3 310.441 4.298 20 0 DIADHN Clc1ccsc1CN1CC[C@@H](COCc2ccccc2)C1 ZINC000549041095 341284655 /nfs/dbraw/zinc/28/46/55/341284655.db2.gz WBPZOMZXFBXAGP-OAHLLOKOSA-N 0 3 321.873 4.440 20 0 DIADHN Fc1ccc(C2=CCN(C[C@@H]3CCC4(CCCC4)O3)CC2)cc1 ZINC000549120007 341286350 /nfs/dbraw/zinc/28/63/50/341286350.db2.gz IQKVXBOBZZJYTG-IBGZPJMESA-N 0 3 315.432 4.407 20 0 DIADHN CCOc1ccc([C@H](C)NC/C=C\c2ccccc2)cc1OC ZINC000549172372 341288003 /nfs/dbraw/zinc/28/80/03/341288003.db2.gz ZACUPNCJZOIKLH-CLOOOTJHSA-N 0 3 311.425 4.458 20 0 DIADHN Cc1ccccc1CN(C)CCC(=O)Nc1ccc(Cl)cc1 ZINC000549181907 341288230 /nfs/dbraw/zinc/28/82/30/341288230.db2.gz YTNRIFZJLWRLKB-UHFFFAOYSA-N 0 3 316.832 4.109 20 0 DIADHN COCc1nc(CNCCCC(C)C)c(-c2ccccc2)s1 ZINC000549249937 341289636 /nfs/dbraw/zinc/28/96/36/341289636.db2.gz RWVLEGMITNSMRD-UHFFFAOYSA-N 0 3 318.486 4.482 20 0 DIADHN Cc1cc(N2CCC[C@H]2CF)c2cc(OC(F)F)ccc2n1 ZINC000549257468 341289740 /nfs/dbraw/zinc/28/97/40/341289740.db2.gz XKOLAGQUZVTSAD-NSHDSACASA-N 0 3 310.319 4.083 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@H]3CSC3(C)C)s2)cc1 ZINC000549266583 341290035 /nfs/dbraw/zinc/29/00/35/341290035.db2.gz BXZSEVATXALYPO-AWEZNQCLSA-N 0 3 304.484 4.102 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H]3CSC3(C)C)s2)cc1 ZINC000549266586 341290110 /nfs/dbraw/zinc/29/01/10/341290110.db2.gz BXZSEVATXALYPO-CQSZACIVSA-N 0 3 304.484 4.102 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@@H](OC)c2ccccc2)s1 ZINC000549349706 341292002 /nfs/dbraw/zinc/29/20/02/341292002.db2.gz DOUKBFPQCWKDRT-GOEBONIOSA-N 0 3 318.486 4.394 20 0 DIADHN COCCN(C[C@@H](OC)c1ccccc1)[C@H](C)c1ccccc1 ZINC000549349323 341292097 /nfs/dbraw/zinc/29/20/97/341292097.db2.gz XRWCFWNPKVDYKL-YLJYHZDGSA-N 0 3 313.441 4.084 20 0 DIADHN C[C@H](NCc1ccc(F)cc1Cl)[C@H]1COc2ccccc21 ZINC000549532669 341296522 /nfs/dbraw/zinc/29/65/22/341296522.db2.gz XMDNLBWFUDOPLC-XHDPSFHLSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1Cl)[C@@H]1COc2ccccc21 ZINC000549532666 341296582 /nfs/dbraw/zinc/29/65/82/341296582.db2.gz XMDNLBWFUDOPLC-ABAIWWIYSA-N 0 3 305.780 4.133 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@H](OC)c2ccccc2Cl)nc1 ZINC000549538037 341296983 /nfs/dbraw/zinc/29/69/83/341296983.db2.gz WNWCUOXUGWVAIG-ACJLOTCBSA-N 0 3 318.848 4.163 20 0 DIADHN Brc1cscc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000549889759 341306020 /nfs/dbraw/zinc/30/60/20/341306020.db2.gz NVDFBQYXNYIAMO-JSGCOSHPSA-N 0 3 322.271 4.404 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H]1CCCO[C@H]1CC(C)C ZINC000549553582 341297585 /nfs/dbraw/zinc/29/75/85/341297585.db2.gz DYRHOCGKYSYBOC-OALUTQOASA-N 0 3 304.478 4.197 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccccc2OCC(C)C)s1 ZINC000549642414 341299879 /nfs/dbraw/zinc/29/98/79/341299879.db2.gz JPBVJIZHTBGUAB-UHFFFAOYSA-N 0 3 318.486 4.161 20 0 DIADHN CCS[C@H]1CCCCN([C@H](C)c2nc3ccccc3o2)C1 ZINC000245551540 341301534 /nfs/dbraw/zinc/30/15/34/341301534.db2.gz PQYBINPPPNFBTQ-KGLIPLIRSA-N 0 3 304.459 4.496 20 0 DIADHN CSCc1cnc(CNC[C@@H](C)c2ccc(C)cc2)s1 ZINC000549763823 341302541 /nfs/dbraw/zinc/30/25/41/341302541.db2.gz NNCKPKZFIKXQKY-CYBMUJFWSA-N 0 3 306.500 4.208 20 0 DIADHN CCc1ccc(CN2CCC(CCc3ccc(O)cc3)CC2)nc1 ZINC000549770438 341302785 /nfs/dbraw/zinc/30/27/85/341302785.db2.gz DSTZPGKRBLLUNX-UHFFFAOYSA-N 0 3 324.468 4.194 20 0 DIADHN Cc1nn(-c2ccccn2)c(C)c1CN1CC=C(C(C)(C)C)CC1 ZINC000549800410 341303316 /nfs/dbraw/zinc/30/33/16/341303316.db2.gz KWMAIPJAJZVSMA-UHFFFAOYSA-N 0 3 324.472 4.062 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000549802682 341303322 /nfs/dbraw/zinc/30/33/22/341303322.db2.gz WKJJKXPBNHLSLS-LBPRGKRZSA-N 0 3 317.483 4.403 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@@H](c3ccccc3F)C2)o1 ZINC000549816964 341304078 /nfs/dbraw/zinc/30/40/78/341304078.db2.gz FBLKHGOGBRAINJ-CQSZACIVSA-N 0 3 302.393 4.064 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCc2coc3ccccc23)c1 ZINC000549822917 341304136 /nfs/dbraw/zinc/30/41/36/341304136.db2.gz JCQCIVVVNRXMFP-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(CC(=O)OC)CC1 ZINC000549825782 341304395 /nfs/dbraw/zinc/30/43/95/341304395.db2.gz XSYFXWLGURMUIU-INIZCTEOSA-N 0 3 309.837 4.066 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1cc2ccccc2s1 ZINC000549833176 341304475 /nfs/dbraw/zinc/30/44/75/341304475.db2.gz VOHNWQGOWKNVDL-UHFFFAOYSA-N 0 3 320.417 4.445 20 0 DIADHN CCN(CC)c1ncc(CNC(C)(C)c2ccccc2C)s1 ZINC000549867748 341305608 /nfs/dbraw/zinc/30/56/08/341305608.db2.gz DJCMAEPXENAJSN-UHFFFAOYSA-N 0 3 317.502 4.323 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(OC(F)F)c(F)c2)c1 ZINC000549888119 341306070 /nfs/dbraw/zinc/30/60/70/341306070.db2.gz XMAXDRRNCKCYCY-UHFFFAOYSA-N 0 3 309.331 4.334 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)on1 ZINC000245628684 341306319 /nfs/dbraw/zinc/30/63/19/341306319.db2.gz RQHKZYFMHQHVSJ-CGTJXYLNSA-N 0 3 318.461 4.016 20 0 DIADHN CCc1nocc1CN(C)[C@H](C)CCSc1ccccc1 ZINC000549961077 341307872 /nfs/dbraw/zinc/30/78/72/341307872.db2.gz YQOUBETZIDFIHR-CQSZACIVSA-N 0 3 304.459 4.240 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1cnccn1 ZINC000549989038 341308333 /nfs/dbraw/zinc/30/83/33/341308333.db2.gz YNBNFCUMCNUFDM-KBXCAEBGSA-N 0 3 317.864 4.438 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](CC4CC4)C3)o2)cc1 ZINC000550029502 341308871 /nfs/dbraw/zinc/30/88/71/341308871.db2.gz STMYOOQQYSHLHG-HOCLYGCPSA-N 0 3 311.429 4.228 20 0 DIADHN CSCc1cnc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)s1 ZINC000550080576 341309681 /nfs/dbraw/zinc/30/96/81/341309681.db2.gz UTUIHIHVFUMLJW-BFHYXJOUSA-N 0 3 310.532 4.455 20 0 DIADHN CSCc1cnc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)s1 ZINC000550080590 341309783 /nfs/dbraw/zinc/30/97/83/341309783.db2.gz UTUIHIHVFUMLJW-MGPQQGTHSA-N 0 3 310.532 4.455 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000550105069 341310041 /nfs/dbraw/zinc/31/00/41/341310041.db2.gz HPNYDXJJSRRGQN-DLBZAZTESA-N 0 3 308.416 4.245 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000550105072 341310117 /nfs/dbraw/zinc/31/01/17/341310117.db2.gz HPNYDXJJSRRGQN-SJORKVTESA-N 0 3 308.416 4.245 20 0 DIADHN CCN(c1ccccc1)c1ccc(CN[C@@H](C)c2cn[nH]c2)cc1 ZINC000550153947 341311227 /nfs/dbraw/zinc/31/12/27/341311227.db2.gz CJUREFXESVBLMX-INIZCTEOSA-N 0 3 320.440 4.419 20 0 DIADHN Cc1c2cccc(Cl)c2sc1C[NH2+]Cc1ncccc1[O-] ZINC000550212448 341312565 /nfs/dbraw/zinc/31/25/65/341312565.db2.gz YRUZVJOLENAIAJ-UHFFFAOYSA-N 0 3 318.829 4.254 20 0 DIADHN C[C@H](NCCc1cccc(C(F)(F)F)n1)c1ccccc1F ZINC000550229932 341313067 /nfs/dbraw/zinc/31/30/67/341313067.db2.gz SXBUNDBUCYODCB-NSHDSACASA-N 0 3 312.310 4.133 20 0 DIADHN C[C@@H](NCCc1cccc(C(F)(F)F)n1)c1ccccc1F ZINC000550229931 341313083 /nfs/dbraw/zinc/31/30/83/341313083.db2.gz SXBUNDBUCYODCB-LLVKDONJSA-N 0 3 312.310 4.133 20 0 DIADHN C[C@@H](NCc1cccc(-c2ccccc2)c1)c1cc[nH]c(=O)c1 ZINC000550255543 341313497 /nfs/dbraw/zinc/31/34/97/341313497.db2.gz WEDHHFWXTSHOLM-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN COCC1(CCNCc2cccc(Cl)c2Cl)CCC1 ZINC000550256432 341313688 /nfs/dbraw/zinc/31/36/88/341313688.db2.gz IEJMXKMOPMITJQ-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN CCOC1(CNCc2ccc(C(F)(F)F)s2)CCCC1 ZINC000550270470 341314022 /nfs/dbraw/zinc/31/40/22/341314022.db2.gz RNCPJZGRQQTNQI-UHFFFAOYSA-N 0 3 307.381 4.206 20 0 DIADHN CCc1ncc(CNCCc2cc3ccccc3s2)s1 ZINC000550272914 341314037 /nfs/dbraw/zinc/31/40/37/341314037.db2.gz IIAYQNYPCLDQNU-UHFFFAOYSA-N 0 3 302.468 4.253 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000550275194 341314144 /nfs/dbraw/zinc/31/41/44/341314144.db2.gz WZVCKDRDHSUIAU-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]2OCC[C@H]21)c1ccccc1Br ZINC000245776068 341314503 /nfs/dbraw/zinc/31/45/03/341314503.db2.gz PKYRXBDFICDMGH-DDUYRFODSA-N 0 3 324.262 4.057 20 0 DIADHN C[C@@H](NC[C@@H](C[C@H](C)O)c1ccccc1)c1cccc(F)c1F ZINC000245842653 341315965 /nfs/dbraw/zinc/31/59/65/341315965.db2.gz DWVBXCOOWBGBDP-SQWLQELKSA-N 0 3 319.395 4.170 20 0 DIADHN Cc1noc(C)c1CCN1CCC(CCc2ccccc2)CC1 ZINC000550380076 341316056 /nfs/dbraw/zinc/31/60/56/341316056.db2.gz OVENTOURWHROPJ-UHFFFAOYSA-N 0 3 312.457 4.179 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](c2ccccc2)c2cccnc2)C12CCC2 ZINC000245855933 341316307 /nfs/dbraw/zinc/31/63/07/341316307.db2.gz HBEOONKUKIQNSJ-XUVXKRRUSA-N 0 3 322.452 4.108 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@](CO)(C(C)C)C1 ZINC000550432141 341316807 /nfs/dbraw/zinc/31/68/07/341316807.db2.gz NOYOTRHOSYHJLG-ZWKOTPCHSA-N 0 3 311.416 4.146 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1ccc(F)cc1Cl ZINC000245876622 341316933 /nfs/dbraw/zinc/31/69/33/341316933.db2.gz MMZDBTPHDATHNB-YWSWHFDRSA-N 0 3 309.812 4.087 20 0 DIADHN CC1(C)CN(Cc2coc(-c3ccc(F)cc3)n2)[C@@H]2CCC[C@H]21 ZINC000550444962 341317116 /nfs/dbraw/zinc/31/71/16/341317116.db2.gz VZCOHPXHJUUWPT-IAGOWNOFSA-N 0 3 314.404 4.491 20 0 DIADHN C[C@H](O)C[C@H](C)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000245888613 341317528 /nfs/dbraw/zinc/31/75/28/341317528.db2.gz BYCFYPQJNUGWRX-YZVOILCLSA-N 0 3 317.860 4.426 20 0 DIADHN CCCCN(Cc1nc(-c2ccoc2)no1)C1CCCCC1 ZINC000550525625 341318149 /nfs/dbraw/zinc/31/81/49/341318149.db2.gz KPJQEQPZYIQGSV-UHFFFAOYSA-N 0 3 303.406 4.264 20 0 DIADHN COc1ccc([C@@H](C)CN2CCC[C@@H](C(F)(F)F)C2)cc1 ZINC000245943447 341319140 /nfs/dbraw/zinc/31/91/40/341319140.db2.gz VCYOWQQAJUZHQA-GXTWGEPZSA-N 0 3 301.352 4.073 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cccs1 ZINC000245949367 341319392 /nfs/dbraw/zinc/31/93/92/341319392.db2.gz WEIDUYKPXSJGGV-FSBGKCOPSA-N 0 3 309.381 4.207 20 0 DIADHN CCc1cnc(CN[C@@H](Cc2ccccc2Cl)C2CC2)s1 ZINC000550662286 341320856 /nfs/dbraw/zinc/32/08/56/341320856.db2.gz SWJHUNOBONAPBP-INIZCTEOSA-N 0 3 320.889 4.470 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1ccncc1F ZINC000550678439 341321197 /nfs/dbraw/zinc/32/11/97/341321197.db2.gz GODWPFOJLJEDBZ-QJPTWQEYSA-N 0 3 304.331 4.240 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccncc1F)Oc1cccc(C)c1 ZINC000550700373 341321559 /nfs/dbraw/zinc/32/15/59/341321559.db2.gz GIRCWRAMXPBUBM-LSDHHAIUSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@H](N[C@@H]1c2ccccc2C[C@@H]1C)c1ccc(C(=O)OC)cc1 ZINC000550700720 341321617 /nfs/dbraw/zinc/32/16/17/341321617.db2.gz MAUIOAKDHCAMKJ-GKCIPKSASA-N 0 3 323.436 4.447 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccncc1F)Oc1cccc(C)c1 ZINC000550700372 341321620 /nfs/dbraw/zinc/32/16/20/341321620.db2.gz GIRCWRAMXPBUBM-HUUCEWRRSA-N 0 3 302.393 4.037 20 0 DIADHN CN1CC[C@@H](Nc2ccc(F)c(F)c2)C[C@H]1c1ccccc1 ZINC000550758407 341322683 /nfs/dbraw/zinc/32/26/83/341322683.db2.gz AGODWKKOGQWZNA-QAPCUYQASA-N 0 3 302.368 4.212 20 0 DIADHN CC1(C)OCC[C@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000550797625 341323233 /nfs/dbraw/zinc/32/32/33/341323233.db2.gz SQRXBVFJDYMXHW-CQSZACIVSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccncc1F ZINC000550797167 341323246 /nfs/dbraw/zinc/32/32/46/341323246.db2.gz NLAMUJJWBQOPMJ-IXPVHAAZSA-N 0 3 306.331 4.096 20 0 DIADHN Cc1ccccc1NC(=O)C[C@@H](C)NCc1coc2ccccc12 ZINC000550828018 341323795 /nfs/dbraw/zinc/32/37/95/341323795.db2.gz VFVYPKXYZBORPP-OAHLLOKOSA-N 0 3 322.408 4.248 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)NCc1ccsc1 ZINC000550828831 341323850 /nfs/dbraw/zinc/32/38/50/341323850.db2.gz OJPKOLNOJCECMP-LLVKDONJSA-N 0 3 315.360 4.324 20 0 DIADHN COc1ccncc1CN[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000550844129 341324237 /nfs/dbraw/zinc/32/42/37/341324237.db2.gz JGTJSRCZNQXFAV-OLZOCXBDSA-N 0 3 304.821 4.025 20 0 DIADHN COc1ccncc1CN[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000550844128 341324327 /nfs/dbraw/zinc/32/43/27/341324327.db2.gz JGTJSRCZNQXFAV-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN C[C@@H](NCc1cc2ccccc2s1)c1ccc2c(c1)OCO2 ZINC000550856446 341324508 /nfs/dbraw/zinc/32/45/08/341324508.db2.gz VNAKFIZVJMYDKF-GFCCVEGCSA-N 0 3 311.406 4.481 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1ccc(C(C)(F)F)cc1 ZINC000550857258 341324722 /nfs/dbraw/zinc/32/47/22/341324722.db2.gz PVVLIOOEJJFBHJ-GFCCVEGCSA-N 0 3 313.388 4.008 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1ccc(C(C)(F)F)cc1 ZINC000550857259 341324760 /nfs/dbraw/zinc/32/47/60/341324760.db2.gz PVVLIOOEJJFBHJ-LBPRGKRZSA-N 0 3 313.388 4.008 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccsc1 ZINC000550860775 341324958 /nfs/dbraw/zinc/32/49/58/341324958.db2.gz OWQHOCHIHRWCPF-CHWSQXEVSA-N 0 3 315.417 4.485 20 0 DIADHN COc1cc([C@@H](C)NCc2cc(C)cc3c(C)c(C)[nH]c32)ccn1 ZINC000550890079 341325823 /nfs/dbraw/zinc/32/58/23/341325823.db2.gz CUHVFEZQPPVRFE-OAHLLOKOSA-N 0 3 323.440 4.348 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccncc1F ZINC000550899474 341326335 /nfs/dbraw/zinc/32/63/35/341326335.db2.gz WDIBGCKWMZBWFL-JGGQBBKZSA-N 0 3 302.368 4.347 20 0 DIADHN CC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000246101002 341326342 /nfs/dbraw/zinc/32/63/42/341326342.db2.gz HYXFDAHSXHAHHO-RRFJBIMHSA-N 0 3 322.518 4.141 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)N[C@@H](C)c2ccccc2Cl)n1 ZINC000550920855 341326547 /nfs/dbraw/zinc/32/65/47/341326547.db2.gz KFIIIQXKDIQGJW-KGLIPLIRSA-N 0 3 305.853 4.399 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccncc1F ZINC000550927506 341327000 /nfs/dbraw/zinc/32/70/00/341327000.db2.gz DETQVTAHHFIQQI-BMGDILEWSA-N 0 3 308.441 4.245 20 0 DIADHN COc1cc([C@H](C)N[C@H](c2ccc(F)c(C)c2)C2CC2)ccn1 ZINC000550939093 341327463 /nfs/dbraw/zinc/32/74/63/341327463.db2.gz CWVLNCWAFXLJFI-DJJJIMSYSA-N 0 3 314.404 4.340 20 0 DIADHN CC(C)Sc1cc(Br)ccc1CNC1CC1 ZINC000082616233 341328502 /nfs/dbraw/zinc/32/85/02/341328502.db2.gz LOSXZDXGOUQHMK-UHFFFAOYSA-N 0 3 300.265 4.202 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1ccncc1F ZINC000551084193 341331039 /nfs/dbraw/zinc/33/10/39/341331039.db2.gz SFIGEBVJFDTVFX-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccncc1F ZINC000551085338 341331225 /nfs/dbraw/zinc/33/12/25/341331225.db2.gz AUQCHBSKJILMGD-NSHDSACASA-N 0 3 322.786 4.396 20 0 DIADHN COc1cc(F)ccc1N[C@@H]1CCN(C)[C@@H](c2ccccc2)C1 ZINC000551125110 341332127 /nfs/dbraw/zinc/33/21/27/341332127.db2.gz KCRVPDTUOCDUTI-SJLPKXTDSA-N 0 3 314.404 4.082 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@@H](C)c1ccc2ccccc2c1 ZINC000551221647 341334253 /nfs/dbraw/zinc/33/42/53/341334253.db2.gz FASBRMNVXKIAKU-CVEARBPZSA-N 0 3 312.457 4.043 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1nc2ccccc2n1C ZINC000551222050 341334355 /nfs/dbraw/zinc/33/43/55/341334355.db2.gz GLFPAWKWLIMRJZ-QRTARXTBSA-N 0 3 323.415 4.309 20 0 DIADHN COc1ccncc1CN[C@@H]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000551228949 341334528 /nfs/dbraw/zinc/33/45/28/341334528.db2.gz NHMSXUUOOGPWDH-DLBZAZTESA-N 0 3 316.832 4.170 20 0 DIADHN CCc1noc(C)c1CN[C@H]1CCC[C@H]1CCc1ccccc1 ZINC000551243882 341335330 /nfs/dbraw/zinc/33/53/30/341335330.db2.gz PEBGCAKETLFLAZ-PXNSSMCTSA-N 0 3 312.457 4.437 20 0 DIADHN COc1ccc([C@H](N[C@@H]2COCc3ccccc32)C(C)C)cc1 ZINC000551267939 341336181 /nfs/dbraw/zinc/33/61/81/341336181.db2.gz XXWJELNSQODXLI-WOJBJXKFSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)c(F)c1)c1ccc(F)cc1 ZINC000551274845 341336811 /nfs/dbraw/zinc/33/68/11/341336811.db2.gz NPINDUKDLBWJIM-SNVBAGLBSA-N 0 3 313.294 4.417 20 0 DIADHN Cn1cc([C@H](NC[C@@H]2C[C@H]2C2CCCCC2)c2ccccc2)cn1 ZINC000551275837 341336953 /nfs/dbraw/zinc/33/69/53/341336953.db2.gz ZAOSWRZKLKOPFM-SESVDKBCSA-N 0 3 323.484 4.316 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@H]1CCCc2c(O)cccc21 ZINC000551287012 341337268 /nfs/dbraw/zinc/33/72/68/341337268.db2.gz ZFKMVGBTDJXKDC-IBGZPJMESA-N 0 3 324.468 4.404 20 0 DIADHN C=Cc1ccc(C(=O)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)cc1 ZINC000551311761 341338090 /nfs/dbraw/zinc/33/80/90/341338090.db2.gz LRZRVHRPNXABFV-OXJNMPFZSA-N 0 3 322.452 4.059 20 0 DIADHN CC(C)[C@H](NCc1cnn2ccccc12)c1ccc(F)c(F)c1 ZINC000551331087 341338805 /nfs/dbraw/zinc/33/88/05/341338805.db2.gz XJWZIEXSFPYXKW-SFHVURJKSA-N 0 3 315.367 4.099 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2c(C)cccc2C(C)C)C1 ZINC000246263119 341339010 /nfs/dbraw/zinc/33/90/10/341339010.db2.gz RBOVQPMSYJXNHF-HZPDHXFCSA-N 0 3 302.462 4.177 20 0 DIADHN Cc1cnn(CCN(C)[C@H](C)c2ccc(Cl)c(Cl)c2)c1 ZINC000551382454 341340106 /nfs/dbraw/zinc/34/01/06/341340106.db2.gz ALUXQQGHUCAFIF-GFCCVEGCSA-N 0 3 312.244 4.191 20 0 DIADHN CCN(Cc1nc2cc(Br)ccc2[nH]1)C1CCCC1 ZINC000551402316 341340635 /nfs/dbraw/zinc/34/06/35/341340635.db2.gz YZQBKUHKECADKU-UHFFFAOYSA-N 0 3 322.250 4.090 20 0 DIADHN CCN(Cc1nc2ccc(Br)cc2[nH]1)C1CCCC1 ZINC000551402316 341340636 /nfs/dbraw/zinc/34/06/36/341340636.db2.gz YZQBKUHKECADKU-UHFFFAOYSA-N 0 3 322.250 4.090 20 0 DIADHN CC(C)Sc1ccc(CN2CCC[C@@H]2[C@H]2CCCO2)cc1 ZINC000551424342 341341334 /nfs/dbraw/zinc/34/13/34/341341334.db2.gz ZIEYVSJKZWVRIC-QZTJIDSGSA-N 0 3 305.487 4.331 20 0 DIADHN C[C@H](NC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12)c1nc2c(s1)CCCC2 ZINC000246327603 341342357 /nfs/dbraw/zinc/34/23/57/341342357.db2.gz FXFRDVZCUKOLTR-XJTZBENFSA-N 0 3 324.493 4.258 20 0 DIADHN Cc1ccc2nc(CNCCCc3cccc(Cl)c3)cn2c1 ZINC000551537471 341344823 /nfs/dbraw/zinc/34/48/23/341344823.db2.gz KICZZHRCUMLLMH-UHFFFAOYSA-N 0 3 313.832 4.019 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000246383393 341346552 /nfs/dbraw/zinc/34/65/52/341346552.db2.gz ACQCMPWXOGMVFH-GUBZILKMSA-N 0 3 306.208 4.208 20 0 DIADHN FC(F)(F)CC1CCN(Cc2ccc3ncccc3c2)CC1 ZINC000551707367 341349576 /nfs/dbraw/zinc/34/95/76/341349576.db2.gz FXIARHJTTUCPQX-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@@H]2NCc2ncccn2)cc1 ZINC000551719883 341349978 /nfs/dbraw/zinc/34/99/78/341349978.db2.gz GFGSILLOZOWDCM-IRXDYDNUSA-N 0 3 315.848 4.336 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H](C)Cc2ccccc2F)cc1Cl ZINC000551787964 341351442 /nfs/dbraw/zinc/35/14/42/341351442.db2.gz QHBKDPOPHDIQOZ-NWDGAFQWSA-N 0 3 322.811 4.165 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000246510336 341351822 /nfs/dbraw/zinc/35/18/22/341351822.db2.gz ZXNFRFFHQNMMEL-JYJNAYRXSA-N 0 3 311.429 4.228 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1cnc(-c2cccs2)s1 ZINC000083471667 341352393 /nfs/dbraw/zinc/35/23/93/341352393.db2.gz ZARWVLJFZGUBMY-MRXNPFEDSA-N 0 3 324.515 4.148 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1cc2c(s1)CCCC2 ZINC000551814509 341352866 /nfs/dbraw/zinc/35/28/66/341352866.db2.gz QYGAPMSRCNTVJW-CYBMUJFWSA-N 0 3 315.486 4.011 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1ccccc1C(C)(C)C ZINC000551817039 341353050 /nfs/dbraw/zinc/35/30/50/341353050.db2.gz HUARULHRRBCTJE-OAHLLOKOSA-N 0 3 311.473 4.368 20 0 DIADHN C[C@H](Cc1ccsc1)N1CCC(F)(c2cccnc2)CC1 ZINC000551825410 341353189 /nfs/dbraw/zinc/35/31/89/341353189.db2.gz HCEIIJBQMHOWDS-CQSZACIVSA-N 0 3 304.434 4.035 20 0 DIADHN Cc1cccc(Oc2ccc(NC(=O)C3(N)CCCCC3)cc2)c1 ZINC000551935344 341355489 /nfs/dbraw/zinc/35/54/89/341355489.db2.gz SSMDQTMMOHVPGP-UHFFFAOYSA-N 0 3 324.424 4.387 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2nc(C)cs2)C12CCCCC2 ZINC000246783099 341357041 /nfs/dbraw/zinc/35/70/41/341357041.db2.gz HLSYZMCHJZXHSJ-RBSFLKMASA-N 0 3 308.491 4.230 20 0 DIADHN FC(F)(F)CCN(Cc1cccc2cccnc21)CC1CC1 ZINC000084137911 341357590 /nfs/dbraw/zinc/35/75/90/341357590.db2.gz NMJLGFPUHFQWFP-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@H]1COc2ccccc21 ZINC000246935820 341358753 /nfs/dbraw/zinc/35/87/53/341358753.db2.gz SCVAMYZPFCQEGZ-HWWQOWPSSA-N 0 3 303.352 4.180 20 0 DIADHN CS[C@@H]1CCCCN([C@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000247005302 341359732 /nfs/dbraw/zinc/35/97/32/341359732.db2.gz XCYSOYJXOLALHZ-UKRRQHHQSA-N 0 3 317.458 4.015 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@H]1CCCO1 ZINC000247103874 341361053 /nfs/dbraw/zinc/36/10/53/341361053.db2.gz QDBIJXJTAKEGBM-DVOMOZLQSA-N 0 3 302.443 4.028 20 0 DIADHN CC[C@@H](CNCc1ccncc1)Oc1ccccc1C(F)(F)F ZINC000084675104 341361548 /nfs/dbraw/zinc/36/15/48/341361548.db2.gz IEGQUOKQNAJNCL-AWEZNQCLSA-N 0 3 324.346 4.048 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3cnc(C4CC4)s3)C2)c1 ZINC000552032794 341363328 /nfs/dbraw/zinc/36/33/28/341363328.db2.gz VJBSWSFJOPMHCK-AWEZNQCLSA-N 0 3 302.418 4.149 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCCO[C@H](C)C1 ZINC000552038160 341363965 /nfs/dbraw/zinc/36/39/65/341363965.db2.gz YZFPRPYZBQHRRU-MRXNPFEDSA-N 0 3 313.441 4.238 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)N[C@@H]2c3ccccc3C[C@@H]2O)c1 ZINC000552046697 341364888 /nfs/dbraw/zinc/36/48/88/341364888.db2.gz ONZSDHUEPWOUJD-GQWLDOHISA-N 0 3 309.453 4.194 20 0 DIADHN FCC[C@@H]1CCC[C@@H]1NCc1c(F)cccc1OC(F)F ZINC000552056825 341365657 /nfs/dbraw/zinc/36/56/57/341365657.db2.gz UVTRQACTNNZSQK-GWCFXTLKSA-N 0 3 305.315 4.045 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccccn1 ZINC000552059268 341365868 /nfs/dbraw/zinc/36/58/68/341365868.db2.gz VBVMWNJDPKEBNI-OCBCSQNSSA-N 0 3 318.367 4.011 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@H](C)c1cc(C)ccn1 ZINC000552061403 341366011 /nfs/dbraw/zinc/36/60/11/341366011.db2.gz JMJICUQFULOUCM-CQSZACIVSA-N 0 3 318.848 4.335 20 0 DIADHN NC(=O)c1ccc(CNCc2ccc(C3CCCCC3)cc2)cc1 ZINC000552060680 341366089 /nfs/dbraw/zinc/36/60/89/341366089.db2.gz DLNMHNSRDBTKEM-UHFFFAOYSA-N 0 3 322.452 4.123 20 0 DIADHN c1nc2cnccn2c1CNCc1ccc(C2CCCCC2)cc1 ZINC000552060908 341366137 /nfs/dbraw/zinc/36/61/37/341366137.db2.gz OXHQHTKRGGVEEH-UHFFFAOYSA-N 0 3 320.440 4.067 20 0 DIADHN c1csc(CCCN[C@@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000552062189 341366185 /nfs/dbraw/zinc/36/61/85/341366185.db2.gz PCPWBSOUKXFJCC-MRXNPFEDSA-N 0 3 303.475 4.315 20 0 DIADHN C[C@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)Oc1ccccc1 ZINC000552062286 341366266 /nfs/dbraw/zinc/36/62/66/341366266.db2.gz RZVPWOSTZQQJEY-QAPCUYQASA-N 0 3 313.445 4.088 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@H](C)Oc2cccc(Cl)c2)c1 ZINC000552061937 341366280 /nfs/dbraw/zinc/36/62/80/341366280.db2.gz GHPGJHFCMMAIRD-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC000247520380 341366444 /nfs/dbraw/zinc/36/64/44/341366444.db2.gz NILIMZQUULWRKG-KBAYOESNSA-N 0 3 314.473 4.149 20 0 DIADHN CC(C)C1CCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000552064469 341366677 /nfs/dbraw/zinc/36/66/77/341366677.db2.gz SCDDNFXTFFSSHM-UHFFFAOYSA-N 0 3 301.459 4.073 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc(OC)c(OC)c1C ZINC000552066926 341366981 /nfs/dbraw/zinc/36/69/81/341366981.db2.gz VDKZGIFFYWUHFI-NWDGAFQWSA-N 0 3 319.367 4.394 20 0 DIADHN COc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)cc1O ZINC000552085288 341368326 /nfs/dbraw/zinc/36/83/26/341368326.db2.gz YIUGFWQMKIRRBH-HNNXBMFYSA-N 0 3 317.816 4.044 20 0 DIADHN C[C@@H](NCC(C)(C)Oc1cc(F)cc(F)c1)c1ccc(F)cn1 ZINC000552087817 341368632 /nfs/dbraw/zinc/36/86/32/341368632.db2.gz SOLOVRZUFDRVRL-LLVKDONJSA-N 0 3 324.346 4.007 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1cnccc1C ZINC000552087946 341368677 /nfs/dbraw/zinc/36/86/77/341368677.db2.gz XSTOZBSNISZZLL-FRTACKCFSA-N 0 3 324.468 4.392 20 0 DIADHN C[C@H](CCc1ccccc1F)N[C@H](C)c1c(F)cncc1F ZINC000552093103 341369178 /nfs/dbraw/zinc/36/91/78/341369178.db2.gz WNABFKXGAYBOIC-VXGBXAGGSA-N 0 3 308.347 4.171 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@H]1O)c1nc(-c2ccccc2)cs1 ZINC000247996075 341372124 /nfs/dbraw/zinc/37/21/24/341372124.db2.gz JXAONJCDIMALEW-YSVLISHTSA-N 0 3 316.470 4.012 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2ccc3occc3c2)c1 ZINC000552161911 341374831 /nfs/dbraw/zinc/37/48/31/341374831.db2.gz AXVBLYFCSTVXIR-UHFFFAOYSA-N 0 3 322.408 4.461 20 0 DIADHN CCCCN(CC)CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000248222772 341374928 /nfs/dbraw/zinc/37/49/28/341374928.db2.gz IHYNHSRGUXAPDF-MRXNPFEDSA-N 0 3 312.457 4.139 20 0 DIADHN CCC[C@@]1(NCc2cnc(C3CCCCC3)s2)CCOC1 ZINC000552189649 341376885 /nfs/dbraw/zinc/37/68/85/341376885.db2.gz ULRDBTJRBGXSHS-QGZVFWFLSA-N 0 3 308.491 4.240 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000248422308 341377508 /nfs/dbraw/zinc/37/75/08/341377508.db2.gz MPAGUDRDYQPRIZ-SZMVWBNQSA-N 0 3 314.473 4.006 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C[S@@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000248509725 341379001 /nfs/dbraw/zinc/37/90/01/341379001.db2.gz ZFWGUNZUHAIRBP-GFGOEQTCSA-N 0 3 322.301 4.047 20 0 DIADHN COc1ccc(C[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC000552228178 341379685 /nfs/dbraw/zinc/37/96/85/341379685.db2.gz JVXVGYOOPMYOSM-FUHWJXTLSA-N 0 3 310.441 4.106 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3ccccc3)s2)[C@@H]2CCCC[C@H]21 ZINC000248628440 341382113 /nfs/dbraw/zinc/38/21/13/341382113.db2.gz POYUDZBBQSDEMP-VNQPRFMTSA-N 0 3 313.470 4.216 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3ccccc3)s2)[C@@H]2CCCC[C@@H]21 ZINC000248628435 341382125 /nfs/dbraw/zinc/38/21/25/341382125.db2.gz POYUDZBBQSDEMP-FVQBIDKESA-N 0 3 313.470 4.216 20 0 DIADHN CCn1nc(CNC[C@@H](c2ccccc2)C2CC2)c2ccccc21 ZINC000552273114 341382703 /nfs/dbraw/zinc/38/27/03/341382703.db2.gz SVBJMQLMLGKAFD-IBGZPJMESA-N 0 3 319.452 4.340 20 0 DIADHN c1c[nH]c([C@@H](N[C@H]2C[C@H]2Cc2ccccc2)C2CCCCC2)n1 ZINC000552275122 341383143 /nfs/dbraw/zinc/38/31/43/341383143.db2.gz LUYKZPDQLSAEAG-QYZOEREBSA-N 0 3 309.457 4.252 20 0 DIADHN c1c[nH]c([C@H](N[C@H]2C[C@H]2Cc2ccccc2)C2CCCCC2)n1 ZINC000552275119 341383153 /nfs/dbraw/zinc/38/31/53/341383153.db2.gz LUYKZPDQLSAEAG-CEXWTWQISA-N 0 3 309.457 4.252 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)s1 ZINC000552277683 341383417 /nfs/dbraw/zinc/38/34/17/341383417.db2.gz DRWZQFKSHNDSOG-RHSMWYFYSA-N 0 3 300.471 4.331 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@]2(C)CCCN2Cc2ccccc2)c1 ZINC000552280392 341383728 /nfs/dbraw/zinc/38/37/28/341383728.db2.gz FWGKFEONKGKPBB-GHTZIAJQSA-N 0 3 323.484 4.095 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)CC(F)(F)F)c(C)c1OC ZINC000552280442 341383849 /nfs/dbraw/zinc/38/38/49/341383849.db2.gz HDLKXJURSSIPPF-ONGXEEELSA-N 0 3 305.340 4.004 20 0 DIADHN FCC[C@@H]1CCC[C@@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000552288429 341384390 /nfs/dbraw/zinc/38/43/90/341384390.db2.gz VUSCCEMHYLPNKR-WMZOPIPTSA-N 0 3 322.493 4.176 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)cc1 ZINC000552317579 341386225 /nfs/dbraw/zinc/38/62/25/341386225.db2.gz DDJLJSHZOABEMV-FQEVSTJZSA-N 0 3 309.453 4.243 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@H]2N[C@H]2CCCc3occc32)cc1 ZINC000248761734 341386252 /nfs/dbraw/zinc/38/62/52/341386252.db2.gz DRECZUMNBIAMHS-JZXOWHBKSA-N 0 3 317.816 4.430 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccc(OC(C)(C)C)cc1 ZINC000552319932 341386290 /nfs/dbraw/zinc/38/62/90/341386290.db2.gz UKLNASNKSNOGPO-OAHLLOKOSA-N 0 3 323.440 4.362 20 0 DIADHN C[C@@H](NCCn1ccc2cc(Cl)ccc21)c1cccc(O)c1 ZINC000552321550 341386348 /nfs/dbraw/zinc/38/63/48/341386348.db2.gz WGRXMPFQOWTNTD-CYBMUJFWSA-N 0 3 314.816 4.351 20 0 DIADHN Cc1cccc([C@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)n1 ZINC000248812419 341387411 /nfs/dbraw/zinc/38/74/11/341387411.db2.gz HWAZQEULJKQYRH-IEBWSBKVSA-N 0 3 314.473 4.409 20 0 DIADHN Cc1cc(CCN[C@@H](c2ccccc2F)C2CCCC2)on1 ZINC000552340546 341387964 /nfs/dbraw/zinc/38/79/64/341387964.db2.gz YHIYZLNJQIYMGB-GOSISDBHSA-N 0 3 302.393 4.186 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H]1c2ccccc2CC[C@H]1C(C)C ZINC000248853706 341388596 /nfs/dbraw/zinc/38/85/96/341388596.db2.gz PBEYSNFCQJUSOJ-GZRFBZBPSA-N 0 3 311.473 4.339 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2cc(Cl)ccc2OC(F)F)C1 ZINC000248994046 341391524 /nfs/dbraw/zinc/39/15/24/341391524.db2.gz GIFQULQEDWDGAS-YUSALJHKSA-N 0 3 319.779 4.160 20 0 DIADHN CC(C)[C@@]1(CO)CCCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000552407866 341391830 /nfs/dbraw/zinc/39/18/30/341391830.db2.gz HRPRLHBCZPTITA-FQEVSTJZSA-N 0 3 313.441 4.177 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3ccccc3Cl)o2)CC1 ZINC000552423115 341392792 /nfs/dbraw/zinc/39/27/92/341392792.db2.gz RVDXVJPDOOMRKG-CQSZACIVSA-N 0 3 319.832 4.194 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NC[C@H]1CC[C@@H](C)O1 ZINC000249131076 341394442 /nfs/dbraw/zinc/39/44/42/341394442.db2.gz UWDRQWUANDOOOG-DVOMOZLQSA-N 0 3 316.470 4.337 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCS1)c1sccc1Br ZINC000087792970 341395426 /nfs/dbraw/zinc/39/54/26/341395426.db2.gz IXGKHRLHVSYWCC-SKDRFNHKSA-N 0 3 320.321 4.447 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCO)c1cc(F)c(Cl)cc1Cl ZINC000249770135 341400828 /nfs/dbraw/zinc/40/08/28/341400828.db2.gz BPAZUMJORZBDGH-AMJWSMQMSA-N 0 3 320.235 4.334 20 0 DIADHN CC(C)c1ccccc1OCCN[C@H](C)c1cncc(F)c1 ZINC000089597302 341405906 /nfs/dbraw/zinc/40/59/06/341405906.db2.gz ZNROMGBTYJMNIM-CQSZACIVSA-N 0 3 302.393 4.074 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1Cl ZINC000090468864 341416117 /nfs/dbraw/zinc/41/61/17/341416117.db2.gz ZSDNEXYHULPJHR-CYBMUJFWSA-N 0 3 318.848 4.265 20 0 DIADHN CC(C)[C@@H](NCC(=O)N(C(C)C)C(C)C)c1ccc(Cl)cc1 ZINC000553191940 341419353 /nfs/dbraw/zinc/41/93/53/341419353.db2.gz SZKQXPOUIWIJCH-GOSISDBHSA-N 0 3 324.896 4.272 20 0 DIADHN CCc1ccc([C@@H](C)NCc2c(CC)nn(C)c2CC)s1 ZINC000090846311 341419709 /nfs/dbraw/zinc/41/97/09/341419709.db2.gz DKGIIZXLCXSAKF-GFCCVEGCSA-N 0 3 305.491 4.020 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)cc1 ZINC000090879759 341419981 /nfs/dbraw/zinc/41/99/81/341419981.db2.gz KCUAZWDELHTAGV-JKSUJKDBSA-N 0 3 320.440 4.242 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)c1C ZINC000090880049 341420120 /nfs/dbraw/zinc/42/01/20/341420120.db2.gz VDSHKUNJLWRHGX-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)cc1 ZINC000553218319 341420279 /nfs/dbraw/zinc/42/02/79/341420279.db2.gz BOOZNVMOHUABJK-MSOLQXFVSA-N 0 3 301.817 4.268 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccco1 ZINC000090943615 341420525 /nfs/dbraw/zinc/42/05/25/341420525.db2.gz MDHWUHCHQLXCRV-NWDGAFQWSA-N 0 3 308.784 4.002 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2csc(-c3ccccn3)n2)C[C@H]1C ZINC000251837927 341421032 /nfs/dbraw/zinc/42/10/32/341421032.db2.gz NXSUQKIERZKFKR-MGPQQGTHSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@H](NCCc1ccsc1)c1nc2ccc(Cl)cc2n1C ZINC000091281045 341424091 /nfs/dbraw/zinc/42/40/91/341424091.db2.gz PZZHRZRBFVGGRE-NSHDSACASA-N 0 3 319.861 4.182 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@@H](COC(F)F)C1 ZINC000553304652 341424469 /nfs/dbraw/zinc/42/44/69/341424469.db2.gz IHVKBEWDFSBSAF-CYBMUJFWSA-N 0 3 320.383 4.171 20 0 DIADHN CC(C)CN(C(=O)CCCCC(C)(C)C)C1CCN(C)CC1 ZINC000553319662 341425482 /nfs/dbraw/zinc/42/54/82/341425482.db2.gz VTUDUYAUDJDQNR-UHFFFAOYSA-N 0 3 310.526 4.172 20 0 DIADHN CC(C)CSCCCN[C@@H](C)c1nc2ccccc2n1C ZINC000091406425 341425685 /nfs/dbraw/zinc/42/56/85/341425685.db2.gz UYSARNUCCDBDON-AWEZNQCLSA-N 0 3 305.491 4.003 20 0 DIADHN C[C@H](NCC1(C2CC2)CC1)c1nc2ccc(Cl)cc2n1C ZINC000091403635 341425744 /nfs/dbraw/zinc/42/57/44/341425744.db2.gz NEUYINRHVJDMAH-NSHDSACASA-N 0 3 303.837 4.068 20 0 DIADHN C[C@@H](NC[C@H](CO)c1ccccc1Cl)c1ccccc1Cl ZINC000554067358 341442241 /nfs/dbraw/zinc/44/22/41/341442241.db2.gz KPTKOXQLNZETEK-CHWSQXEVSA-N 0 3 324.251 4.420 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCC2(C)C)nnn1-c1ccc(Cl)cc1 ZINC000554097360 341444265 /nfs/dbraw/zinc/44/42/65/341444265.db2.gz YIGARQLBQSOQGY-NHYWBVRUSA-N 0 3 318.852 4.068 20 0 DIADHN COC[C@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000554097296 341444267 /nfs/dbraw/zinc/44/42/67/341444267.db2.gz WSSDSYRIFGDDJF-IRXDYDNUSA-N 0 3 323.358 4.310 20 0 DIADHN C[C@@H](N[C@@H](C)CCOCc1ccccc1)c1ccc(Cl)cn1 ZINC000554145412 341445489 /nfs/dbraw/zinc/44/54/89/341445489.db2.gz QWXYMXLBLATZII-LSDHHAIUSA-N 0 3 318.848 4.381 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000554266336 341448089 /nfs/dbraw/zinc/44/80/89/341448089.db2.gz VOOCABBUIZFXQU-XJKSGUPXSA-N 0 3 324.896 4.320 20 0 DIADHN c1ccc2oc(CNC3[C@@H]4CC[C@H]3Cc3ccccc3C4)nc2c1 ZINC000554276820 341448422 /nfs/dbraw/zinc/44/84/22/341448422.db2.gz GCBMFGFZFPYSFP-QRTHJKPMSA-N 0 3 318.420 4.111 20 0 DIADHN CC[C@H](NCCc1ccc(OC(C)C)cc1)c1ccc(F)cn1 ZINC000554538330 341457033 /nfs/dbraw/zinc/45/70/33/341457033.db2.gz IAKUBSYRLUODBQ-SFHVURJKSA-N 0 3 316.420 4.291 20 0 DIADHN Clc1ccc(-c2ccc(CN3CCC4(CCO4)CC3)o2)cc1 ZINC000554574424 341458639 /nfs/dbraw/zinc/45/86/39/341458639.db2.gz HYYLJWMFNFHUKZ-UHFFFAOYSA-N 0 3 317.816 4.355 20 0 DIADHN Cc1cccnc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000093158012 341460895 /nfs/dbraw/zinc/46/08/95/341460895.db2.gz FXLVBVUBPFXNTD-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN c1ccc([C@@H]2CCCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC000554889939 341468680 /nfs/dbraw/zinc/46/86/80/341468680.db2.gz QLQNMFKUEGKTEH-WMZOPIPTSA-N 0 3 310.441 4.369 20 0 DIADHN OC[C@H](CNCc1cscc1C(F)(F)F)CC1CCCC1 ZINC000554979617 341471255 /nfs/dbraw/zinc/47/12/55/341471255.db2.gz WCTHVMSUBCKKGH-LBPRGKRZSA-N 0 3 321.408 4.045 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000554998031 341471786 /nfs/dbraw/zinc/47/17/86/341471786.db2.gz GZQXVNQOQJXDPZ-UTLUCORTSA-N 0 3 307.768 4.015 20 0 DIADHN CCn1nccc1CCN[C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000555051112 341473800 /nfs/dbraw/zinc/47/38/00/341473800.db2.gz AAHQYXSYTABSPJ-QGZVFWFLSA-N 0 3 321.415 4.101 20 0 DIADHN COc1ccc(F)c(CNC[C@@H](C)Sc2ccccc2)c1 ZINC000555553256 341485225 /nfs/dbraw/zinc/48/52/25/341485225.db2.gz PCSILYNPGRFKJE-CYBMUJFWSA-N 0 3 305.418 4.105 20 0 DIADHN Cc1cnc(CN[C@H](Cc2ccccc2Cl)C2CC2)s1 ZINC000556093523 341494097 /nfs/dbraw/zinc/49/40/97/341494097.db2.gz JOENKNJNPKSNJY-OAHLLOKOSA-N 0 3 306.862 4.216 20 0 DIADHN CCc1cc(CN[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)on1 ZINC000556138031 341495349 /nfs/dbraw/zinc/49/53/49/341495349.db2.gz FIMPAICBNFBNKP-CZUORRHYSA-N 0 3 304.821 4.316 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1ccc(Cl)cn1 ZINC000556204333 341497239 /nfs/dbraw/zinc/49/72/39/341497239.db2.gz FEXDCRXQXOHTQG-RBOXIYTFSA-N 0 3 304.796 4.471 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NC[C@@H]1CCC2(CCCCC2)O1 ZINC000556243077 341499029 /nfs/dbraw/zinc/49/90/29/341499029.db2.gz YGDTUWLFTMHVNT-YJBOKZPZSA-N 0 3 315.457 4.241 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N[C@@H](C)c1ccncc1F ZINC000556247771 341499083 /nfs/dbraw/zinc/49/90/83/341499083.db2.gz OMTXXQYMFCEHAP-SCLBCKFNSA-N 0 3 304.384 4.278 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@H](C)N[C@@H]1CCC[C@@H]1F ZINC000556260172 341499805 /nfs/dbraw/zinc/49/98/05/341499805.db2.gz JWXZQYODSDETQR-JLJPHGGASA-N 0 3 306.425 4.007 20 0 DIADHN CCN(CC)C(=O)C[C@@H](C)N[C@@H](C)c1oc2ccccc2c1C ZINC000152716069 341502885 /nfs/dbraw/zinc/50/28/85/341502885.db2.gz ZUKDNSGQWHYFIJ-HIFRSBDPSA-N 0 3 316.445 4.039 20 0 DIADHN CC(C)(CCC(=O)Nc1cccc2c1CCNC2)c1ccccc1 ZINC000564837185 341511188 /nfs/dbraw/zinc/51/11/88/341511188.db2.gz NVRXQWHETOIPQP-UHFFFAOYSA-N 0 3 322.452 4.029 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000152925668 341512112 /nfs/dbraw/zinc/51/21/12/341512112.db2.gz HOUSUTLVQFKUQM-DNVCBOLYSA-N 0 3 322.452 4.083 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1ccc(F)cc1Cl ZINC000565077411 341516537 /nfs/dbraw/zinc/51/65/37/341516537.db2.gz VFDCGEAWZSFARN-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN Cc1ccncc1CCCN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000565331267 341524130 /nfs/dbraw/zinc/52/41/30/341524130.db2.gz HKULNXDXAQKLQX-AWEZNQCLSA-N 0 3 317.502 4.427 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@H](C)c1cnn(C)c1C)CCC2 ZINC000565363919 341525231 /nfs/dbraw/zinc/52/52/31/341525231.db2.gz YGDMCGIOIKMGDB-KZULUSFZSA-N 0 3 315.486 4.179 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cnc3n2CCCC3)c2sccc21 ZINC000565404469 341526490 /nfs/dbraw/zinc/52/64/90/341526490.db2.gz CHHRNSXMNRXHTF-IUODEOHRSA-N 0 3 301.459 4.009 20 0 DIADHN C[C@H](NCCOC(C)(C)C)c1ccc(Oc2cccnc2)cc1 ZINC000565445969 341527568 /nfs/dbraw/zinc/52/75/68/341527568.db2.gz ULISOKHGOJKWAL-HNNXBMFYSA-N 0 3 314.429 4.340 20 0 DIADHN CC(C)C[C@H](C(=O)Nc1cccc2c1CCNC2)c1ccccc1 ZINC000565476060 341528481 /nfs/dbraw/zinc/52/84/81/341528481.db2.gz RHXIEMQNPFOKAP-IBGZPJMESA-N 0 3 322.452 4.101 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1c(C)nsc1C ZINC000565550890 341530763 /nfs/dbraw/zinc/53/07/63/341530763.db2.gz VIPCNLNDDFEVKP-ZBEGNZNMSA-N 0 3 324.877 4.279 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H](c3ccc(Cl)cc3)C2)[nH]c1C ZINC000565670236 341534548 /nfs/dbraw/zinc/53/45/48/341534548.db2.gz ICKWDFXHBJAGGP-DOTOQJQBSA-N 0 3 317.864 4.496 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@@H](CC(F)(F)F)C(C)C ZINC000565680392 341534837 /nfs/dbraw/zinc/53/48/37/341534837.db2.gz RTPYSERJUOJVDY-STQMWFEESA-N 0 3 319.342 4.388 20 0 DIADHN CC(C)COc1cccc(CN[C@H]2CCc3cc(N)ccc32)c1 ZINC000565726107 341536300 /nfs/dbraw/zinc/53/63/00/341536300.db2.gz OZIUNBGKUHEBSH-FQEVSTJZSA-N 0 3 310.441 4.081 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc(Cl)cn1 ZINC000565804104 341538678 /nfs/dbraw/zinc/53/86/78/341538678.db2.gz DLDPXNPWFHJVTG-QBIMZIAESA-N 0 3 316.832 4.306 20 0 DIADHN C[C@@H](N[C@@H]1CSC1(C)C)c1ccc(OCC(F)(F)F)cc1 ZINC000565847626 341540103 /nfs/dbraw/zinc/54/01/03/341540103.db2.gz QTFCHAAZDYOOMX-ZWNOBZJWSA-N 0 3 319.392 4.172 20 0 DIADHN C[C@H](NCCOc1ccccc1F)c1nccc2ccccc21 ZINC000565979223 341544499 /nfs/dbraw/zinc/54/44/99/341544499.db2.gz ULNFWYAAXLHZMK-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCC2(C)C)cs1 ZINC000566428878 341557707 /nfs/dbraw/zinc/55/77/07/341557707.db2.gz LYGBEVLENFXKKE-HNNXBMFYSA-N 0 3 302.443 4.097 20 0 DIADHN CC(C)(C)OC(=O)CCCNC1(c2ccc(Cl)cc2)CC1 ZINC000566585305 341562489 /nfs/dbraw/zinc/56/24/89/341562489.db2.gz PPOUNUGSPAQXDU-UHFFFAOYSA-N 0 3 309.837 4.041 20 0 DIADHN CCC(CC)[C@H](NCc1c[nH]c2nccnc12)c1cccs1 ZINC000566709715 341565885 /nfs/dbraw/zinc/56/58/85/341565885.db2.gz LSOMWMFGNBMKDT-HNNXBMFYSA-N 0 3 314.458 4.238 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc(CO)c(F)c2)c2ccccc21 ZINC000566718579 341566190 /nfs/dbraw/zinc/56/61/90/341566190.db2.gz SGORSCWDVKCRCU-UYAOXDASSA-N 0 3 313.416 4.292 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccnc(N3CCCCC3)c2)c2ccccc21 ZINC000567154883 341583109 /nfs/dbraw/zinc/58/31/09/341583109.db2.gz BTWUNXHMWQWFEF-OXQOHEQNSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(n1)CCCC3)[C@@H](C)C2 ZINC000567280687 341592979 /nfs/dbraw/zinc/59/29/79/341592979.db2.gz OLGVYRRIRFNPQG-BTYIYWSLSA-N 0 3 306.453 4.292 20 0 DIADHN COc1ccc(F)cc1CNC1CC(c2cc(F)cc(F)c2)C1 ZINC000567428863 341603903 /nfs/dbraw/zinc/60/39/03/341603903.db2.gz VEMHHJJEJAWNAS-UHFFFAOYSA-N 0 3 321.342 4.148 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2cc(C)c(F)c(C)c2)c1 ZINC000155513390 341608455 /nfs/dbraw/zinc/60/84/55/341608455.db2.gz GHOBYFPNSGUDTR-CQSZACIVSA-N 0 3 314.404 4.252 20 0 DIADHN Cc1nc([C@H](C)NCCCCOCc2ccccc2)cs1 ZINC000269451525 341610074 /nfs/dbraw/zinc/61/00/74/341610074.db2.gz VWVMWNUDPFJXSG-AWEZNQCLSA-N 0 3 304.459 4.099 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NC[C@@H]1CCCCO1 ZINC000156435475 341621408 /nfs/dbraw/zinc/62/14/08/341621408.db2.gz MPEZXOKVJTYOOK-CJNGLKHVSA-N 0 3 316.470 4.338 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(N2CCOC2=O)c1)c1ccccc1 ZINC000156960993 341629546 /nfs/dbraw/zinc/62/95/46/341629546.db2.gz LFSIKQZLIGPAKE-KXBFYZLASA-N 0 3 324.424 4.445 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(-n3ccnc3)c2)c(C)c1 ZINC000567936213 341641558 /nfs/dbraw/zinc/64/15/58/341641558.db2.gz LXYFXQYEZPAVJN-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CC[C@H](N[C@@H]1CCc2c1cccc2OC)c1ccc(OC)cc1 ZINC000157691591 341643846 /nfs/dbraw/zinc/64/38/46/341643846.db2.gz KDBQXDYCYLXJGX-RBUKOAKNSA-N 0 3 311.425 4.432 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2c1cccc2OC)c1ccc(OC)cc1 ZINC000157691719 341643850 /nfs/dbraw/zinc/64/38/50/341643850.db2.gz KDBQXDYCYLXJGX-RTBURBONSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](O)C[C@H](C)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000157700719 341643857 /nfs/dbraw/zinc/64/38/57/341643857.db2.gz ODVFOKQQEIQLQP-GUBZILKMSA-N 0 3 308.224 4.190 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H]1CCO[C@H](C2CC2)C1 ZINC000157772480 341645273 /nfs/dbraw/zinc/64/52/73/341645273.db2.gz SYBIOICDJFHYHD-QITLCBANSA-N 0 3 323.864 4.347 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCc1ccc(F)c(F)c1 ZINC000157954738 341648379 /nfs/dbraw/zinc/64/83/79/341648379.db2.gz AEUFBDYKGGRRHH-LBPRGKRZSA-N 0 3 321.415 4.118 20 0 DIADHN COCCCC[C@H](NCc1c(C)nsc1C)c1ccccc1 ZINC000568167891 341656117 /nfs/dbraw/zinc/65/61/17/341656117.db2.gz RIKAJEOHSOSVGO-SFHVURJKSA-N 0 3 318.486 4.408 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCOCC1CCC1)CCCS2 ZINC000568174504 341656423 /nfs/dbraw/zinc/65/64/23/341656423.db2.gz HJEMOTJTWNKMPF-KRWDZBQOSA-N 0 3 321.486 4.029 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)o2)cc1C ZINC000568728513 341679764 /nfs/dbraw/zinc/67/97/64/341679764.db2.gz TZGIDHOBZHBOIQ-JHNDHUHGSA-N 0 3 311.429 4.193 20 0 DIADHN FC(F)(F)CCN1CCC(c2ccnc3ccccc32)CC1 ZINC000568879697 341684208 /nfs/dbraw/zinc/68/42/08/341684208.db2.gz KNDNWCSCCKLXSD-UHFFFAOYSA-N 0 3 308.347 4.367 20 0 DIADHN COC[C@H](N[C@@H](C)Cc1ccsc1)c1cccc(Cl)c1 ZINC000569089134 341690432 /nfs/dbraw/zinc/69/04/32/341690432.db2.gz BSEYNIBVKHLQKU-LRDDRELGSA-N 0 3 309.862 4.310 20 0 DIADHN CC(C)c1nc(CN[C@H](C)CCc2ccco2)c2ccccn21 ZINC000569250751 341695643 /nfs/dbraw/zinc/69/56/43/341695643.db2.gz URHPXDKZNWJBIF-OAHLLOKOSA-N 0 3 311.429 4.162 20 0 DIADHN CCC[C@H](NCc1nc2cc(Cl)ccc2c(=O)[nH]1)C(C)(C)C ZINC000573436684 341728445 /nfs/dbraw/zinc/72/84/45/341728445.db2.gz KTRAHWCHSMXXTQ-AWEZNQCLSA-N 0 3 321.852 4.293 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1ccc2[nH]ccc2c1 ZINC000573981378 341745028 /nfs/dbraw/zinc/74/50/28/341745028.db2.gz ORDVSBZUEFSSTI-LJQANCHMSA-N 0 3 306.409 4.344 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@H]2CCSC2)o1 ZINC000574029156 341746308 /nfs/dbraw/zinc/74/63/08/341746308.db2.gz TWOAYIAFBXWJIL-GFCCVEGCSA-N 0 3 307.846 4.443 20 0 DIADHN C[C@@H](N[C@@H]1CCOC1)c1ccc(Oc2ccccc2)c(F)c1 ZINC000574181594 341751227 /nfs/dbraw/zinc/75/12/27/341751227.db2.gz HXZQUZFDNASUOB-UKRRQHHQSA-N 0 3 301.361 4.058 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000574243844 341752925 /nfs/dbraw/zinc/75/29/25/341752925.db2.gz NJFNAXAVJBHWSF-HOCLYGCPSA-N 0 3 322.374 4.462 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000574425485 341758305 /nfs/dbraw/zinc/75/83/05/341758305.db2.gz UTXJTAJGCGZKMH-AYOQOUSVSA-N 0 3 308.425 4.145 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@@H]1CCCC[C@@H]1C)c1ccco1 ZINC000574597382 341763271 /nfs/dbraw/zinc/76/32/71/341763271.db2.gz VPNMUUYDNIQMDD-LUKYLMHMSA-N 0 3 320.477 4.041 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H](c1cccnc1)C1CC1 ZINC000574870274 341771289 /nfs/dbraw/zinc/77/12/89/341771289.db2.gz ULXFXYCAKCDYBC-QUCCMNQESA-N 0 3 308.425 4.209 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H](c1cccnc1)C1CC1 ZINC000574870273 341771292 /nfs/dbraw/zinc/77/12/92/341771292.db2.gz ULXFXYCAKCDYBC-ICSRJNTNSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000171672650 341782294 /nfs/dbraw/zinc/78/22/94/341782294.db2.gz RWOZGIJITATAKA-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN CCOc1ccccc1OCCN[C@H](C)c1cc(C)oc1C ZINC000336855030 341808211 /nfs/dbraw/zinc/80/82/11/341808211.db2.gz UEUOSJSPGYPRHV-CQSZACIVSA-N 0 3 303.402 4.025 20 0 DIADHN CC(C)(C)C(C)(C)NC(=O)c1ccc(CN2CCCCC2)cc1 ZINC000575905435 341813830 /nfs/dbraw/zinc/81/38/30/341813830.db2.gz WCBNPLFXDYOJGR-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CC[C@@H]3C3CCC3)cccc21 ZINC000576005951 341819781 /nfs/dbraw/zinc/81/97/81/341819781.db2.gz JMQPMSGKIYRKGL-QGPMSJSTSA-N 0 3 300.446 4.062 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CC[C@@H]3C3CCC3)cccc21 ZINC000576005953 341819821 /nfs/dbraw/zinc/81/98/21/341819821.db2.gz JMQPMSGKIYRKGL-UWWQBHOKSA-N 0 3 300.446 4.062 20 0 DIADHN COC[C@@H](C)CNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000576285253 341838210 /nfs/dbraw/zinc/83/82/10/341838210.db2.gz LQKHFFSSVCPVJX-JTQLQIEISA-N 0 3 321.770 4.220 20 0 DIADHN Fc1cccc(C[C@H](NCc2cc[nH]c2)c2cccc(F)c2)c1 ZINC000175703229 341842639 /nfs/dbraw/zinc/84/26/39/341842639.db2.gz DCOFMVJXDMFDIF-IBGZPJMESA-N 0 3 312.363 4.367 20 0 DIADHN C[C@@H](NCc1cccs1)c1cccc(OC(F)(F)F)c1 ZINC000175752521 341845027 /nfs/dbraw/zinc/84/50/27/341845027.db2.gz DVFAPRKDJOPIDA-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@H]1CCCC(C)(C)C1)c1ccco1 ZINC000576424079 341845700 /nfs/dbraw/zinc/84/57/00/341845700.db2.gz XFQRAIVPMOZJMK-JYJNAYRXSA-N 0 3 320.477 4.041 20 0 DIADHN CC(C)(C)c1cc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)no1 ZINC000576505392 341849736 /nfs/dbraw/zinc/84/97/36/341849736.db2.gz DTNDCSWZPGDJSK-SJLPKXTDSA-N 0 3 312.457 4.473 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1C1CC1)c1nc(-c2cccc(F)c2)no1 ZINC000576522756 341850764 /nfs/dbraw/zinc/85/07/64/341850764.db2.gz IEOJGWQGORJONH-UVBJJODRSA-N 0 3 315.392 4.105 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCC2)c1ccc2n[nH]cc2c1 ZINC000576537471 341851490 /nfs/dbraw/zinc/85/14/90/341851490.db2.gz YOPDZIJRUQCPKT-ZIAGYGMSSA-N 0 3 305.425 4.463 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(OC(F)F)c2)c(C)c1 ZINC000576603722 341854741 /nfs/dbraw/zinc/85/47/41/341854741.db2.gz CZOPHDKBFCQPAD-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2CCCC[C@H]2OCCC(C)C)c1 ZINC000576616027 341855247 /nfs/dbraw/zinc/85/52/47/341855247.db2.gz SAYWIYYZZXQMSJ-YQVWRLOYSA-N 0 3 304.478 4.415 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cncc(Br)c2)s1 ZINC000576767652 341862511 /nfs/dbraw/zinc/86/25/11/341862511.db2.gz CYLWBDBFLHEMJZ-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN CCc1nc2ccccc2c(N2CC[C@H](n3cc(C)cn3)C2)c1C ZINC000576914283 341869362 /nfs/dbraw/zinc/86/93/62/341869362.db2.gz BGWYEQIRSRUTAF-INIZCTEOSA-N 0 3 320.440 4.062 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccccc1C)c1ccc(C)cc1 ZINC000192675068 341880600 /nfs/dbraw/zinc/88/06/00/341880600.db2.gz JBZWXBBIRFTPNU-FUHWJXTLSA-N 0 3 310.441 4.371 20 0 DIADHN Fc1ccc(C(NCCc2ccccn2)c2ccc(F)cc2)cc1 ZINC000192703488 341882872 /nfs/dbraw/zinc/88/28/72/341882872.db2.gz WMZRSQTYZRGXBQ-UHFFFAOYSA-N 0 3 324.374 4.282 20 0 DIADHN CC(C)c1ccc(NC(=O)C[C@@H](C)NC2(CF)CCC2)cc1 ZINC000577108868 341886588 /nfs/dbraw/zinc/88/65/88/341886588.db2.gz GFBNGTHIWNANBR-CQSZACIVSA-N 0 3 306.425 4.009 20 0 DIADHN CC(C)c1ccc(NC(=O)C[C@H](C)NC2(CF)CCC2)cc1 ZINC000577108867 341886646 /nfs/dbraw/zinc/88/66/46/341886646.db2.gz GFBNGTHIWNANBR-AWEZNQCLSA-N 0 3 306.425 4.009 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@H](C)c1cnccc1N)CCC2 ZINC000580639866 341890551 /nfs/dbraw/zinc/89/05/51/341890551.db2.gz SXENGBGFEAGPLE-VLIAUNLRSA-N 0 3 309.457 4.346 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2cccc(Cl)c2)o1 ZINC000577347960 341905214 /nfs/dbraw/zinc/90/52/14/341905214.db2.gz HNBZBGJEKWWVRR-IDTSFGKNSA-N 0 3 317.820 4.229 20 0 DIADHN OCC1([C@H](NCc2ccc(Cl)s2)c2ccccc2)CCC1 ZINC000577372406 341906510 /nfs/dbraw/zinc/90/65/10/341906510.db2.gz VWJCEADNVGIXOC-MRXNPFEDSA-N 0 3 321.873 4.395 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000577502133 341913457 /nfs/dbraw/zinc/91/34/57/341913457.db2.gz UIDHHQFVTKTEMF-KUHUBIRLSA-N 0 3 310.441 4.314 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1ccc2ccccc2c1 ZINC000177246681 341918200 /nfs/dbraw/zinc/91/82/00/341918200.db2.gz NEOCEHBVLMCERT-CQSZACIVSA-N 0 3 309.384 4.322 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccccc1-n1cccn1 ZINC000177315081 341918547 /nfs/dbraw/zinc/91/85/47/341918547.db2.gz VVAWUTVZIKEJPC-IFXJQAMLSA-N 0 3 321.399 4.350 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@@H](C)C(=O)N(C)C(C)C)cc1 ZINC000177445927 341920015 /nfs/dbraw/zinc/92/00/15/341920015.db2.gz GTCPQDLJKPRUBD-GJZGRUSLSA-N 0 3 322.518 4.093 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1cnc(-c2ccccc2)nc1 ZINC000577585017 341920717 /nfs/dbraw/zinc/92/07/17/341920717.db2.gz FHFKDUDIZUECSQ-INIZCTEOSA-N 0 3 317.383 4.059 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCC[C@H]1c1ccc(F)cc1 ZINC000577594647 341921563 /nfs/dbraw/zinc/92/15/63/341921563.db2.gz JGZHDFFVYRBSLZ-MAUKXSAKSA-N 0 3 302.393 4.110 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCc3c2ccc(OC)c3F)c1 ZINC000577676534 341928523 /nfs/dbraw/zinc/92/85/23/341928523.db2.gz INYSRLVKTVUVTK-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1ccccc1CO ZINC000577776121 341936324 /nfs/dbraw/zinc/93/63/24/341936324.db2.gz JHQLGHUTCWRHAU-FQEVSTJZSA-N 0 3 320.436 4.136 20 0 DIADHN Clc1ccc([C@H](N[C@H]2CCCOC2)c2cccs2)cc1 ZINC000177898243 341939456 /nfs/dbraw/zinc/93/94/56/341939456.db2.gz IREQIOTWQVQGJT-HOCLYGCPSA-N 0 3 307.846 4.260 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCCOCC1CC1 ZINC000178010557 341941483 /nfs/dbraw/zinc/94/14/83/341941483.db2.gz HAUFNYZZICLPID-CYBMUJFWSA-N 0 3 316.470 4.196 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1cccc(Cl)c1)[C@H]1CCOC1 ZINC000178118161 341946867 /nfs/dbraw/zinc/94/68/67/341946867.db2.gz MRHUABSOUHRVCX-FNHZYXHNSA-N 0 3 315.844 4.444 20 0 DIADHN CC(C)c1noc(CCCN[C@H](C)c2cc3ccccc3o2)n1 ZINC000178517747 341957015 /nfs/dbraw/zinc/95/70/15/341957015.db2.gz BKQBXADIDPFDIO-CYBMUJFWSA-N 0 3 313.401 4.223 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H](NCc2ccccc2CO)C1 ZINC000576898689 517510026 /nfs/dbraw/zinc/51/00/26/517510026.db2.gz GAPDQMCWVVKVTI-FQEVSTJZSA-N 0 3 309.453 4.372 20 0 DIADHN CC[C@H](CC(=O)N(CC)Cc1ccc(CN(C)C)cc1)C(C)C ZINC000519129067 517813820 /nfs/dbraw/zinc/81/38/20/517813820.db2.gz MOFZTZPOQLKGAO-LJQANCHMSA-N 0 3 318.505 4.169 20 0 DIADHN COc1cc(CNCC2(OC)CCC(C)CC2)ccc1SC ZINC000475431730 518134346 /nfs/dbraw/zinc/13/43/46/518134346.db2.gz ALQXFKPTNNYUCQ-UHFFFAOYSA-N 0 3 323.502 4.102 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000475285136 518181847 /nfs/dbraw/zinc/18/18/47/518181847.db2.gz XYNPEZZWJBJZKZ-AEFFLSMTSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1cc(Br)cs1 ZINC000414352858 518183464 /nfs/dbraw/zinc/18/34/64/518183464.db2.gz UZHXSZCFFNMLJV-JMJZKYOTSA-N 0 3 312.232 4.310 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H](CO)Cc1ccccc1 ZINC000481194438 518186191 /nfs/dbraw/zinc/18/61/91/518186191.db2.gz WITMZCBJGBOGPO-WMZOPIPTSA-N 0 3 323.436 4.243 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](N[C@@H](C)c2nccs2)C1 ZINC000414225070 518191152 /nfs/dbraw/zinc/19/11/52/518191152.db2.gz RVHKAPVEGRGKSH-RRFJBIMHSA-N 0 3 301.459 4.224 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1cc2c(s1)CCCC2 ZINC000482482893 518193764 /nfs/dbraw/zinc/19/37/64/518193764.db2.gz HISPUBYISJPLJR-AAEUAGOBSA-N 0 3 303.475 4.081 20 0 DIADHN CC(C)(C)CCCN(CCc1ccccn1)Cc1cccnc1 ZINC000337265089 518642746 /nfs/dbraw/zinc/64/27/46/518642746.db2.gz YFASCYLPXAMAQC-UHFFFAOYSA-N 0 3 311.473 4.348 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H]2CCCc3sccc32)cn1 ZINC000191610895 519633557 /nfs/dbraw/zinc/63/35/57/519633557.db2.gz CPXZSTCUSUNPKB-INIZCTEOSA-N 0 3 315.486 4.155 20 0 DIADHN CC(=O)Nc1ccc(CN2CCCCC[C@H]2c2ccncc2)cc1 ZINC000157014040 519715798 /nfs/dbraw/zinc/71/57/98/519715798.db2.gz HBFFOVWKTKKLDR-FQEVSTJZSA-N 0 3 323.440 4.157 20 0 DIADHN CC(=O)Nc1ccc(CN(Cc2ccco2)C2CCCC2)cc1 ZINC000132172176 519715928 /nfs/dbraw/zinc/71/59/28/519715928.db2.gz ODTDUOZWWVMAQR-UHFFFAOYSA-N 0 3 312.413 4.183 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H](c2ccccc2)C2CC2)cc1 ZINC000181926903 519733192 /nfs/dbraw/zinc/73/31/92/519733192.db2.gz PWAWNHDELIPDJL-VLIAUNLRSA-N 0 3 308.425 4.447 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCCOC2CCCCCC2)cc1 ZINC000182087156 519733625 /nfs/dbraw/zinc/73/36/25/519733625.db2.gz NPPXDYOILIZGRN-HNNXBMFYSA-N 0 3 318.461 4.035 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@@H]2c2ccc(C)cc2)c1 ZINC000337636786 519739121 /nfs/dbraw/zinc/73/91/21/519739121.db2.gz TZJUBNFABMMTJS-HXUWFJFHSA-N 0 3 308.425 4.291 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(c2ccsc2)CC1 ZINC000188692897 519750524 /nfs/dbraw/zinc/75/05/24/519750524.db2.gz ZFALHRWSQRNGAM-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccncc2)CS1 ZINC000364853674 519805205 /nfs/dbraw/zinc/80/52/05/519805205.db2.gz FVCSQJFDLXYUFT-CEXWTWQISA-N 0 3 312.482 4.291 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cncc(C(F)(F)F)c1 ZINC000361395166 519813639 /nfs/dbraw/zinc/81/36/39/519813639.db2.gz MACPILBVJBQISH-NSHDSACASA-N 0 3 314.273 4.167 20 0 DIADHN CC(C)c1ccc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)cc1 ZINC000268873271 519836265 /nfs/dbraw/zinc/83/62/65/519836265.db2.gz VYDFGGZWENZGDL-OYHNWAKOSA-N 0 3 315.482 4.398 20 0 DIADHN CCCC(=O)NC[C@@H]1CCCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC000263801589 519873711 /nfs/dbraw/zinc/87/37/11/519873711.db2.gz ZMWDHUJYCUUWMF-GJZGRUSLSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)n1cc(CNC2CC(c3ccccc3Cl)C2)cn1 ZINC000339587172 519952021 /nfs/dbraw/zinc/95/20/21/519952021.db2.gz JKFKLHUFWLEIKS-UHFFFAOYSA-N 0 3 303.837 4.153 20 0 DIADHN CCCO[C@H]1CCCN([C@@H](C)c2nc3ccccc3o2)CC1 ZINC000181105305 520287768 /nfs/dbraw/zinc/28/77/68/520287768.db2.gz WBBCKBNHYDQJSC-GJZGRUSLSA-N 0 3 302.418 4.170 20 0 DIADHN CCCOc1ccc(CN[C@H](CC)c2ccc(OC)cc2)cn1 ZINC000156674920 520374255 /nfs/dbraw/zinc/37/42/55/520374255.db2.gz NCVYWXNJJGYOFK-GOSISDBHSA-N 0 3 314.429 4.120 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCc3sccc32)cn1 ZINC000156691683 520375254 /nfs/dbraw/zinc/37/52/54/520375254.db2.gz XUTBMTROJGEUST-HNNXBMFYSA-N 0 3 302.443 4.099 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@H](C)c2ccccc2)cc1 ZINC000129399357 520552377 /nfs/dbraw/zinc/55/23/77/520552377.db2.gz APLRSWJSLCDFKQ-MRXNPFEDSA-N 0 3 310.441 4.019 20 0 DIADHN CCC[C@@H](NC(=O)c1ccc(CN(C)C)cc1)c1ccccc1 ZINC000338012794 520752110 /nfs/dbraw/zinc/75/21/10/520752110.db2.gz BWXFFFGHRRMFTF-LJQANCHMSA-N 0 3 310.441 4.019 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2sccc2C)cn1 ZINC000126827152 520910348 /nfs/dbraw/zinc/91/03/48/520910348.db2.gz CSAPNFATALMNFL-CQSZACIVSA-N 0 3 303.475 4.149 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2ccc(C)s2)cn1 ZINC000126778529 520911245 /nfs/dbraw/zinc/91/12/45/520911245.db2.gz GPRJZBSFTQJAFG-CQSZACIVSA-N 0 3 303.475 4.149 20 0 DIADHN CCC[C@H](NCc1c[nH]nc1C)c1cccc(C(F)(F)F)c1 ZINC000237029192 521160412 /nfs/dbraw/zinc/16/04/12/521160412.db2.gz IWTAFWCWPRDMCY-HNNXBMFYSA-N 0 3 311.351 4.368 20 0 DIADHN CCC[C@H](NCc1ccc(NC(C)=O)cc1)c1ccsc1 ZINC000191190887 521164157 /nfs/dbraw/zinc/16/41/57/521164157.db2.gz JCJFBJTYUAFISN-KRWDZBQOSA-N 0 3 302.443 4.338 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCc3nccs3)oc21 ZINC000181632946 521435194 /nfs/dbraw/zinc/43/51/94/521435194.db2.gz VGYVSZRCAODTBG-GFCCVEGCSA-N 0 3 316.426 4.181 20 0 DIADHN CCCN(CC(C)C)C(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000338029376 521582299 /nfs/dbraw/zinc/58/22/99/521582299.db2.gz JKXGUDDQKVCQNW-UHFFFAOYSA-N 0 3 316.489 4.179 20 0 DIADHN CCC(CC)c1cc(CNCCCc2ccc(C)nc2)on1 ZINC000354501688 521634010 /nfs/dbraw/zinc/63/40/10/521634010.db2.gz MGTFPBIZZLDLAX-UHFFFAOYSA-N 0 3 301.434 4.004 20 0 DIADHN CCc1ccccc1CN[C@H](Cc1ccccn1)c1cccnc1 ZINC000338207952 521843787 /nfs/dbraw/zinc/84/37/87/521843787.db2.gz HAACMOQTBXAJIG-OAQYLSRUSA-N 0 3 317.436 4.113 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2cccc3[nH]ccc32)cc1OC ZINC000237282570 522226684 /nfs/dbraw/zinc/22/66/84/522226684.db2.gz TYVCDPRVLFTIRG-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN CCc1nc(C)c([C@H](C)NCCc2csc(C(C)C)n2)s1 ZINC000156949451 522231971 /nfs/dbraw/zinc/23/19/71/522231971.db2.gz BTTOJLWIDBYTCX-NSHDSACASA-N 0 3 323.531 4.487 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc(C)c(OC)c2)s1 ZINC000161772921 522233551 /nfs/dbraw/zinc/23/35/51/522233551.db2.gz BUCDWYJLJMEAHI-LBPRGKRZSA-N 0 3 304.459 4.182 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](C)c1nccnc1C ZINC000188267972 522288200 /nfs/dbraw/zinc/28/82/00/522288200.db2.gz ZONBUJPZSHONAT-LSDHHAIUSA-N 0 3 301.459 4.007 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccc(Cl)cc1)c1c(C)noc1C ZINC000338294350 522613336 /nfs/dbraw/zinc/61/33/36/522613336.db2.gz YYIBIDUGKQUOHO-JKSUJKDBSA-N 0 3 322.836 4.373 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccc(Cl)cc1)c1c(C)noc1C ZINC000338294346 522630042 /nfs/dbraw/zinc/63/00/42/522630042.db2.gz YYIBIDUGKQUOHO-HOTGVXAUSA-N 0 3 322.836 4.373 20 0 DIADHN CC[C@H](NCc1cccc2[nH]ccc21)c1ccc2c(c1)OCCO2 ZINC000236949198 522657382 /nfs/dbraw/zinc/65/73/82/522657382.db2.gz WQPCLQBYLFPAFT-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN CC[C@H](NCc1ccn(C)c1)c1cccc(Br)c1 ZINC000158564823 522658786 /nfs/dbraw/zinc/65/87/86/522658786.db2.gz VKDPIGFCLUMAAF-HNNXBMFYSA-N 0 3 307.235 4.029 20 0 DIADHN CC[C@H](N[C@@H](C)COC(C)C)c1ccccc1OC(F)F ZINC000337341902 522687567 /nfs/dbraw/zinc/68/75/67/522687567.db2.gz QTKXJEAEBPUXIH-JSGCOSHPSA-N 0 3 301.377 4.142 20 0 DIADHN CC[C@@H](NCc1cc(C)cc(Cl)c1)c1c(C)nn(C)c1C ZINC000339284846 522869740 /nfs/dbraw/zinc/86/97/40/522869740.db2.gz IGPCWYKYGSQMRL-MRXNPFEDSA-N 0 3 305.853 4.240 20 0 DIADHN CC[C@@H](NCc1ccc(COC)cc1)c1ccc2c(c1)CCO2 ZINC000124413345 522875308 /nfs/dbraw/zinc/87/53/08/522875308.db2.gz MFULPXIFECEISE-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN CC[C@@H](NCc1cccc2[nH]ccc21)c1ccc2c(c1)OCCO2 ZINC000237018403 522882598 /nfs/dbraw/zinc/88/25/98/522882598.db2.gz WQPCLQBYLFPAFT-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1F ZINC000180005163 522910544 /nfs/dbraw/zinc/91/05/44/522910544.db2.gz LDGFWPYWXSVFQQ-IFXJQAMLSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccncc1 ZINC000163655316 522937469 /nfs/dbraw/zinc/93/74/69/522937469.db2.gz IZOZLMHNKRHJKA-CXAGYDPISA-N 0 3 323.440 4.113 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(F)c2)ccc1SC ZINC000124326656 522945433 /nfs/dbraw/zinc/94/54/33/522945433.db2.gz MVVNNPBCASYJDD-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(SC)c(OC)c1 ZINC000130215203 522967316 /nfs/dbraw/zinc/96/73/16/522967316.db2.gz GABBGDQEXKNPMB-MRXNPFEDSA-N 0 3 316.470 4.395 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(SC)c(OC)c1 ZINC000130214957 523120934 /nfs/dbraw/zinc/12/09/34/523120934.db2.gz GABBGDQEXKNPMB-INIZCTEOSA-N 0 3 316.470 4.395 20 0 DIADHN CO[C@@H](CN(Cc1cccnc1)C(C)C)c1ccc(Cl)cc1 ZINC000338276882 523498112 /nfs/dbraw/zinc/49/81/12/523498112.db2.gz OJDWASUCQMHUPB-SFHVURJKSA-N 0 3 318.848 4.333 20 0 DIADHN CC[C@@]1(C)CCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC000354099975 523713530 /nfs/dbraw/zinc/71/35/30/523713530.db2.gz ISMRADYTXMQQPU-KRWDZBQOSA-N 0 3 309.475 4.048 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccccc1-n1cccn1 ZINC000128916401 523859088 /nfs/dbraw/zinc/85/90/88/523859088.db2.gz MIPVDILMZLHGFW-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN COc1ccc(CCN(C)[C@@H](C)c2sc(C)nc2C)cc1 ZINC000106877381 523940255 /nfs/dbraw/zinc/94/02/55/523940255.db2.gz SNYTWCVDSXUBMO-ZDUSSCGKSA-N 0 3 304.459 4.004 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)c1ccc(C)cc1 ZINC000182347845 524063491 /nfs/dbraw/zinc/06/34/91/524063491.db2.gz IXTZNSFLMDGBGE-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000189838450 524070136 /nfs/dbraw/zinc/07/01/36/524070136.db2.gz XLSBKEZMGBCQDS-HNNXBMFYSA-N 0 3 324.424 4.056 20 0 DIADHN COc1cccc([C@@H](C)NCCc2nc3c(cccc3C)o2)c1 ZINC000267290559 524091074 /nfs/dbraw/zinc/09/10/74/524091074.db2.gz XQCMIFMLBPJWEK-CQSZACIVSA-N 0 3 310.397 4.038 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](c1ncccc1C)C(C)C ZINC000268898621 524227287 /nfs/dbraw/zinc/22/72/87/524227287.db2.gz KZGYPEVHQJRTAH-IEBWSBKVSA-N 0 3 310.441 4.373 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)c(C)c1 ZINC000107071258 524301721 /nfs/dbraw/zinc/30/17/21/524301721.db2.gz QAAAEWFPIYRBDA-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2cnc([C@@H]3CCCO3)s2)o1 ZINC000339505797 524346981 /nfs/dbraw/zinc/34/69/81/524346981.db2.gz WVPLHJXVWGLWKJ-WBMJQRKESA-N 0 3 320.458 4.007 20 0 DIADHN Cc1ccc(CN2CCC(c3c[nH]c4cc(F)ccc43)CC2)nc1 ZINC000163427768 524440514 /nfs/dbraw/zinc/44/05/14/524440514.db2.gz VZLAZOWJYFKKSQ-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cncc(Br)c2)c1 ZINC000182914819 524461778 /nfs/dbraw/zinc/46/17/78/524461778.db2.gz TUJGTOIGODZZHG-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@H](C)c3ccccc3C)cn2)n1 ZINC000162817750 524485732 /nfs/dbraw/zinc/48/57/32/524485732.db2.gz LNNZUPUTECZKDP-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccnc2)cc1Br ZINC000127846877 524605471 /nfs/dbraw/zinc/60/54/71/524605471.db2.gz CAMGWNJHKCAPOC-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(Cl)nc1 ZINC000181436752 524618961 /nfs/dbraw/zinc/61/89/61/524618961.db2.gz KPPZHOZFEQTOQY-BFQNTYOBSA-N 0 3 322.811 4.037 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@H]1CCc2c1c(F)ccc2F ZINC000270669482 524645529 /nfs/dbraw/zinc/64/55/29/524645529.db2.gz WABNZXUCPAGZHB-ONERCXAPSA-N 0 3 323.408 4.115 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000337316524 524758626 /nfs/dbraw/zinc/75/86/26/524758626.db2.gz KQFFQZJKQSEYQS-HUUCEWRRSA-N 0 3 323.465 4.487 20 0 DIADHN Cc1cc(CN[C@@H](CCCO)c2ccccc2)c2ccccc2n1 ZINC000265842388 524769200 /nfs/dbraw/zinc/76/92/00/524769200.db2.gz GPXMUXWTGSCIHC-FQEVSTJZSA-N 0 3 320.436 4.147 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)ccc1Cl ZINC000181039105 524777967 /nfs/dbraw/zinc/77/79/67/524777967.db2.gz GFJVCPDXBRQGEM-LRTDBIEQSA-N 0 3 321.873 4.237 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)c1C ZINC000158815085 524874024 /nfs/dbraw/zinc/87/40/24/524874024.db2.gz XBNXKTHISAMJOP-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H](Cn1ccnc1)NCc1ccc(Sc2ccccc2)cc1 ZINC000338327322 524961351 /nfs/dbraw/zinc/96/13/51/524961351.db2.gz ZJBVILCLOIYYHC-INIZCTEOSA-N 0 3 323.465 4.213 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000336835331 525265046 /nfs/dbraw/zinc/26/50/46/525265046.db2.gz AFSLVGJQKIRRCX-BNOWGMLFSA-N 0 3 322.499 4.159 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000336897119 525274210 /nfs/dbraw/zinc/27/42/10/525274210.db2.gz OTHXUHICKGLIPQ-OSAQELSMSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@H](NC1(c2cccc(F)c2)CC1)c1ccc(-n2ccnc2)cc1 ZINC000182213822 525285435 /nfs/dbraw/zinc/28/54/35/525285435.db2.gz CEOVPTHLFJPMJX-HNNXBMFYSA-N 0 3 321.399 4.351 20 0 DIADHN C[C@H](NC1CCN(c2ccc(Cl)cc2)CC1)c1nccs1 ZINC000153357703 525296075 /nfs/dbraw/zinc/29/60/75/525296075.db2.gz DKWFZACBLPRHCM-LBPRGKRZSA-N 0 3 321.877 4.116 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc(C(=O)N(C)C)c1)C(C)C ZINC000179996459 525372490 /nfs/dbraw/zinc/37/24/90/525372490.db2.gz IPJIETIYADLGMW-FQEVSTJZSA-N 0 3 324.468 4.184 20 0 DIADHN C[C@H](NC[C@H](CCO)c1ccccc1)c1csc(Cl)c1 ZINC000191303230 525402730 /nfs/dbraw/zinc/40/27/30/525402730.db2.gz QFVRONCVIOBFEI-JSGCOSHPSA-N 0 3 309.862 4.218 20 0 DIADHN C[C@@H](NC[C@@H](C)c1c(Cl)cccc1Cl)c1cnccn1 ZINC000155946692 525472023 /nfs/dbraw/zinc/47/20/23/525472023.db2.gz GQDAKCHNJMCJNM-GHMZBOCLSA-N 0 3 310.228 4.238 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc([S@](C)=O)cc1 ZINC000339501786 525484052 /nfs/dbraw/zinc/48/40/52/525484052.db2.gz JIBBVDJFHDNZNB-XMHCIUCPSA-N 0 3 313.422 4.021 20 0 DIADHN C[C@@H](NC[C@H](C[C@H](C)O)c1ccccc1)c1ccccc1F ZINC000269027829 525493976 /nfs/dbraw/zinc/49/39/76/525493976.db2.gz SHFWBHCDGOIGSA-UXLLHSPISA-N 0 3 301.405 4.031 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1cccs1)c1csc(Cl)c1 ZINC000191302022 525508810 /nfs/dbraw/zinc/50/88/10/525508810.db2.gz YTRIDIQFSAGBNG-RNCFNFMXSA-N 0 3 301.864 4.021 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCOC1)c1cc(Cl)ccc1OC(F)F ZINC000119717871 525525247 /nfs/dbraw/zinc/52/52/47/525525247.db2.gz DZIGGGCTEOBPRW-GARJFASQSA-N 0 3 319.779 4.017 20 0 DIADHN Cc1c(Br)cccc1CN[C@H](C)c1cccnc1 ZINC000181644756 525529717 /nfs/dbraw/zinc/52/97/17/525529717.db2.gz HXFCNJCDUSEHPH-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](NCc1cccc(SC(=O)N(C)C)c1)c1ccccc1 ZINC000181370065 525534821 /nfs/dbraw/zinc/53/48/21/525534821.db2.gz XOTSYDAYQXJRSF-CQSZACIVSA-N 0 3 314.454 4.311 20 0 DIADHN C[C@@H](NCc1cccc(SC(=O)N(C)C)c1)c1cccs1 ZINC000180456683 525535306 /nfs/dbraw/zinc/53/53/06/525535306.db2.gz UMNWNLDXNQFVQK-GFCCVEGCSA-N 0 3 320.483 4.373 20 0 DIADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1ncc(Br)s1 ZINC000336739145 525550561 /nfs/dbraw/zinc/55/05/61/525550561.db2.gz KXKAAWACHQCGBI-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2O)c1ccc(OC(F)F)cc1 ZINC000130593138 525583106 /nfs/dbraw/zinc/58/31/06/525583106.db2.gz OEUKFTXXJLZMEI-MEDUHNTESA-N 0 3 319.351 4.332 20 0 DIADHN C[C@@H](N[C@@H](CCCO)c1ccccc1)c1ccc(F)c(F)c1 ZINC000266751155 525624186 /nfs/dbraw/zinc/62/41/86/525624186.db2.gz CSRYNZXBJNFMCX-ACJLOTCBSA-N 0 3 305.368 4.129 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C2CC2)C1)c1ccccc1Br ZINC000157732634 525624571 /nfs/dbraw/zinc/62/45/71/525624571.db2.gz IJWXCENSMYWNFZ-RBOXIYTFSA-N 0 3 324.262 4.057 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1cc2c(s1)CCCC2 ZINC000338009610 525640072 /nfs/dbraw/zinc/64/00/72/525640072.db2.gz DGEDFCCVLOGINP-IUODEOHRSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(OC(F)(F)F)cc21)c1cccnc1 ZINC000158575722 525653062 /nfs/dbraw/zinc/65/30/62/525653062.db2.gz GJLQOTYTJOIOBE-BDJLRTHQSA-N 0 3 322.330 4.318 20 0 DIADHN C[C@H](Nc1cccc(CN2CCSCC2)c1)c1ccco1 ZINC000182801967 525667821 /nfs/dbraw/zinc/66/78/21/525667821.db2.gz SKVSASQDLCFABR-AWEZNQCLSA-N 0 3 302.443 4.002 20 0 DIADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1ncc(Br)s1 ZINC000336739144 525675911 /nfs/dbraw/zinc/67/59/11/525675911.db2.gz KXKAAWACHQCGBI-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)c2ccc(CN(C)C)cc2)c(C)c1 ZINC000337989549 525688253 /nfs/dbraw/zinc/68/82/53/525688253.db2.gz AJWMTKKIKWQTAF-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN C[C@H](O)C[C@H](C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000180600045 525806467 /nfs/dbraw/zinc/80/64/67/525806467.db2.gz HQHBKPFULDHAGU-KBPBESRZSA-N 0 3 319.395 4.051 20 0 DIADHN C[C@H](O)C[C@H](CNCc1ccc([C@@H]2C[C@@H]2C)o1)c1ccccc1 ZINC000268304777 525818878 /nfs/dbraw/zinc/81/88/78/525818878.db2.gz ZABFNTOXBVQFMR-MFWIUYSUSA-N 0 3 313.441 4.047 20 0 DIADHN C[C@@H](O)C[C@H](C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000180600001 525829146 /nfs/dbraw/zinc/82/91/46/525829146.db2.gz HQHBKPFULDHAGU-UONOGXRCSA-N 0 3 319.395 4.051 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2CN[C@@H](C)c2ccccn2)cc1 ZINC000159268572 525862572 /nfs/dbraw/zinc/86/25/72/525862572.db2.gz CRKFHJBDYJDXIC-QRFRQXIXSA-N 0 3 310.441 4.209 20 0 DIADHN Cc1c([C@H](C)NC[C@@H](C)Oc2ccccc2F)cnn1C(C)C ZINC000191315337 525917696 /nfs/dbraw/zinc/91/76/96/525917696.db2.gz XENDDLTUVHFYHF-KGLIPLIRSA-N 0 3 319.424 4.030 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCc3cc(F)ccc32)cnn1C(C)C ZINC000191232855 525926585 /nfs/dbraw/zinc/92/65/85/525926585.db2.gz AFABDVJJDQAAET-SGTLLEGYSA-N 0 3 301.409 4.250 20 0 DIADHN Cc1ccc([C@H](NCc2cnc3n2CCCC3)C2CCC2)cc1 ZINC000367440084 525970810 /nfs/dbraw/zinc/97/08/10/525970810.db2.gz ZKMFMQZDCYDOEF-HXUWFJFHSA-N 0 3 309.457 4.159 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)CCC1OCCCO1 ZINC000267911248 526038881 /nfs/dbraw/zinc/03/88/81/526038881.db2.gz GGWGELWLYTUNEX-NSHDSACASA-N 0 3 318.244 4.139 20 0 DIADHN CSc1ccc([C@H](C)NC[C@](C)(O)c2cccs2)cc1 ZINC000266822847 526070910 /nfs/dbraw/zinc/07/09/10/526070910.db2.gz ZRWCUTXJYGEFEJ-LRDDRELGSA-N 0 3 307.484 4.028 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CCC(c2ncc[nH]2)CC1 ZINC000266762732 526177886 /nfs/dbraw/zinc/17/78/86/526177886.db2.gz QLQINZCKOOVNNK-AWEZNQCLSA-N 0 3 322.412 4.005 20 0 DIADHN Cc1ccc2cc(CN[C@@H](CCCO)c3ccccc3)ccc2n1 ZINC000189356926 526231987 /nfs/dbraw/zinc/23/19/87/526231987.db2.gz HPBXPNHTGYKKNW-FQEVSTJZSA-N 0 3 320.436 4.147 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCC[C@@H](c3cccnc3)C2)c1 ZINC000337348677 526349495 /nfs/dbraw/zinc/34/94/95/526349495.db2.gz ZGKRPLHEJJOGHT-DYVFJYSZSA-N 0 3 302.368 4.176 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000181036015 526371604 /nfs/dbraw/zinc/37/16/04/526371604.db2.gz PATCPPUYJLFQKP-SFHVURJKSA-N 0 3 310.372 4.311 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000339380795 526418053 /nfs/dbraw/zinc/41/80/53/526418053.db2.gz QZSXIVOIZRGALY-LBPRGKRZSA-N 0 3 324.321 4.229 20 0 DIADHN c1cc2cccc(CN[C@H](C[C@H]3CCOC3)c3ccccc3)c2[nH]1 ZINC000338191731 526449531 /nfs/dbraw/zinc/44/95/31/526449531.db2.gz JGNZCHUOXVOBKV-OXQOHEQNSA-N 0 3 320.436 4.425 20 0 DIADHN Cc1noc(C)c1CCN[C@H]1CCSc2ccc(Cl)cc21 ZINC000155995771 526498649 /nfs/dbraw/zinc/49/86/49/526498649.db2.gz CUNJRBKCWNYSIK-HNNXBMFYSA-N 0 3 322.861 4.314 20 0 DIADHN Cc1noc(C)c1CNC[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000191158532 526514235 /nfs/dbraw/zinc/51/42/35/526514235.db2.gz MUAGINOAMOBAPO-JTQLQIEISA-N 0 3 312.335 4.204 20 0 DIADHN c1ccc2ncc(CN3CCC(OCC4CCCC4)CC3)cc2c1 ZINC000337199132 526518511 /nfs/dbraw/zinc/51/85/11/526518511.db2.gz YOBASOWOZIPLMP-UHFFFAOYSA-N 0 3 324.468 4.406 20 0 DIADHN c1coc([C@H](CNc2nccc3occc32)N2CCCCC2)c1 ZINC000156699856 526570288 /nfs/dbraw/zinc/57/02/88/526570288.db2.gz LKHJOJPFVVESOI-HNNXBMFYSA-N 0 3 311.385 4.060 20 0 DIADHN c1csc(C2CCN(C[C@H]3CCC4(CCCCC4)O3)CC2)n1 ZINC000336861541 526577894 /nfs/dbraw/zinc/57/78/94/526577894.db2.gz CEFSUUBPJUFRTE-MRXNPFEDSA-N 0 3 320.502 4.204 20 0 DIADHN Cc1noc(C2CCC(N[C@H](C)c3c(F)cccc3F)CC2)n1 ZINC000267827162 526585431 /nfs/dbraw/zinc/58/54/31/526585431.db2.gz ZGHRHZXCOZCEMU-QFWMXSHPSA-N 0 3 321.371 4.033 20 0 DIADHN c1csc([C@H](NCc2cnc([C@H]3CCCO3)s2)C2CC2)c1 ZINC000339514609 526587363 /nfs/dbraw/zinc/58/73/63/526587363.db2.gz FAYJHMACEARWAF-UKRRQHHQSA-N 0 3 320.483 4.297 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCC1=CCCOC1 ZINC000126854005 526605779 /nfs/dbraw/zinc/60/57/79/526605779.db2.gz MEBSICPGFNBGKQ-ZDUSSCGKSA-N 0 3 314.454 4.116 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1cccs1 ZINC000156518726 526611805 /nfs/dbraw/zinc/61/18/05/526611805.db2.gz XFXVZZOKPKZWML-LLVKDONJSA-N 0 3 315.467 4.426 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@@H](C)c1cccnc1 ZINC000158528583 526611980 /nfs/dbraw/zinc/61/19/80/526611980.db2.gz KBDCKHOGPULBKB-STQMWFEESA-N 0 3 324.453 4.320 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@@H](O)c1cccc(F)c1 ZINC000157609636 526677002 /nfs/dbraw/zinc/67/70/02/526677002.db2.gz WEYHDFKZIVXKTM-IAQYHMDHSA-N 0 3 322.449 4.098 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@@H]2CCCOC2)o1 ZINC000237660239 526984547 /nfs/dbraw/zinc/98/45/47/526984547.db2.gz MUWWBSHANNNYNM-ZDUSSCGKSA-N 0 3 305.805 4.116 20 0 DIADHN Clc1ccsc1CN[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000364858233 526994974 /nfs/dbraw/zinc/99/49/74/526994974.db2.gz LGXQADZGFZRNOF-CZUORRHYSA-N 0 3 307.846 4.269 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCc3c1cccc3F)CCC2 ZINC000163587077 527109123 /nfs/dbraw/zinc/10/91/23/527109123.db2.gz LLSITWJMJJBLFK-ZBFHGGJFSA-N 0 3 302.418 4.245 20 0 DIADHN Cc1ncc(CNCCc2cccc(Cl)c2Cl)s1 ZINC000338192534 527271047 /nfs/dbraw/zinc/27/10/47/527271047.db2.gz SGNVZJKVEQJTPF-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H](CC1CCC1)c1ccccc1 ZINC000181263830 527580643 /nfs/dbraw/zinc/58/06/43/527580643.db2.gz RVZUPZWQSHSPRD-RTBURBONSA-N 0 3 309.457 4.319 20 0 DIADHN O[C@@H](C[C@@H]1CCCCCN1Cc1cccnc1)c1cccs1 ZINC000267439862 527662877 /nfs/dbraw/zinc/66/28/77/527662877.db2.gz BOXPGPNEHIPEHY-IRXDYDNUSA-N 0 3 316.470 4.011 20 0 DIADHN O[C@@H](C[C@@H]1CCCCCN1Cc1ccccn1)c1cccs1 ZINC000267436508 527662957 /nfs/dbraw/zinc/66/29/57/527662957.db2.gz GNIGRMJRHSHRIQ-IRXDYDNUSA-N 0 3 316.470 4.011 20 0 DIADHN FC(F)O[C@H]1CCCC[C@H]1NCc1cnc(C2CCC2)s1 ZINC000337271421 527695151 /nfs/dbraw/zinc/69/51/51/527695151.db2.gz DAQQOVARHRPPTP-OLZOCXBDSA-N 0 3 316.417 4.051 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CC[C@@H](c3ccccc3)C2)cs1 ZINC000275496457 536514997 /nfs/dbraw/zinc/51/49/97/536514997.db2.gz LRICLJNQOJTINB-BMFZPTHFSA-N 0 3 316.470 4.276 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@H](C)c2ccc(Cl)cn2)CCO1 ZINC000274767581 535130648 /nfs/dbraw/zinc/13/06/48/535130648.db2.gz LVCFRVDYJRGRQY-VMGRFDJRSA-N 0 3 310.869 4.369 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@@H]2C[C@H](C)c3ccccc32)c1 ZINC000289090185 535145027 /nfs/dbraw/zinc/14/50/27/535145027.db2.gz BMWDTFCEGORXIB-MGPUTAFESA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(Cl)cc1)c1cccs1 ZINC000289255995 535171813 /nfs/dbraw/zinc/17/18/13/535171813.db2.gz CTGVQMFKGBFJAM-HNNXBMFYSA-N 0 3 309.862 4.251 20 0 DIADHN CC(C)(NCc1ccc2c(c1)COC(C)(C)O2)c1ccccc1 ZINC000274382314 535250349 /nfs/dbraw/zinc/25/03/49/535250349.db2.gz NHKFJRZVTWGKKS-UHFFFAOYSA-N 0 3 311.425 4.357 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCc3c2cccc3Cl)cc1 ZINC000274749739 535325483 /nfs/dbraw/zinc/32/54/83/535325483.db2.gz GJMSABDLADHHTJ-GOSISDBHSA-N 0 3 315.844 4.345 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1ccccc1C(F)(F)F)C2 ZINC000285554514 535398107 /nfs/dbraw/zinc/39/81/07/535398107.db2.gz RUDSKRHJIUTWNT-UHFFFAOYSA-N 0 3 313.363 4.096 20 0 DIADHN CCOc1ccc([C@@H](C)NCCC(F)(F)F)cc1OCC ZINC000272155922 535412007 /nfs/dbraw/zinc/41/20/07/535412007.db2.gz CTZXBGNVORZYOU-LLVKDONJSA-N 0 3 305.340 4.087 20 0 DIADHN CC[C@@H](C)[C@@H](O)CN[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000163952381 535692273 /nfs/dbraw/zinc/69/22/73/535692273.db2.gz MIZUVEKQEZSZCG-ZETOZRRWSA-N 0 3 324.515 4.238 20 0 DIADHN CCSc1cc(CN[C@@H](C)c2ccc3c(c2)COC3)ccn1 ZINC000275125729 535732382 /nfs/dbraw/zinc/73/23/82/535732382.db2.gz MLURLKALWFCVKO-ZDUSSCGKSA-N 0 3 314.454 4.075 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCOC2=O)cc1)c1cccc(C)c1 ZINC000286107241 535806101 /nfs/dbraw/zinc/80/61/01/535806101.db2.gz MXQDMFCSYCUAFJ-LJQANCHMSA-N 0 3 324.424 4.193 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)OC)c1)c1ccc(C)cc1 ZINC000287498565 535806123 /nfs/dbraw/zinc/80/61/23/535806123.db2.gz NQOZIRIYOZIFOK-GOSISDBHSA-N 0 3 312.413 4.414 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)OC)c1)c1cccc(C)c1 ZINC000287412665 535806131 /nfs/dbraw/zinc/80/61/31/535806131.db2.gz JQZTVAGMMUMLQM-GOSISDBHSA-N 0 3 312.413 4.414 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)OC)c1)c1cccc(F)c1 ZINC000287748048 535806168 /nfs/dbraw/zinc/80/61/68/535806168.db2.gz ZEGDOHZUPCICSR-QGZVFWFLSA-N 0 3 316.376 4.245 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2nc(Cl)ccc21)c1ccncc1 ZINC000297817908 535808319 /nfs/dbraw/zinc/80/83/19/535808319.db2.gz REGHGBKDPOCPOU-HUUCEWRRSA-N 0 3 301.821 4.248 20 0 DIADHN CC[C@H](NCc1ccc(C(C)(C)O)cc1)c1cccc(F)c1 ZINC000275813249 535916146 /nfs/dbraw/zinc/91/61/46/535916146.db2.gz ZZEQNUVQZKZOMS-SFHVURJKSA-N 0 3 301.405 4.294 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCCO2)c1ccsc1 ZINC000297834260 535918067 /nfs/dbraw/zinc/91/80/67/535918067.db2.gz SBRDGPXVCJWTSN-HNNXBMFYSA-N 0 3 303.427 4.150 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(OC)c(OC)c1C)c1ccncc1 ZINC000286019653 535941127 /nfs/dbraw/zinc/94/11/27/535941127.db2.gz JQKPZBLDZZOHAY-PBHICJAKSA-N 0 3 314.429 4.209 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cncc(C)c1)c1ccc(Cl)cc1 ZINC000274616114 536644967 /nfs/dbraw/zinc/64/49/67/536644967.db2.gz OLSYCQLRFDYZRV-SUMWQHHRSA-N 0 3 304.821 4.082 20 0 DIADHN COCCOc1ccccc1CN[C@@H]1C[C@@H](C)c2ccccc21 ZINC000289242284 536732744 /nfs/dbraw/zinc/73/27/44/536732744.db2.gz MXDAAMSHJIDNSD-DNVCBOLYSA-N 0 3 311.425 4.050 20 0 DIADHN COCC(C)(C)CCCNCc1csc(-c2cccs2)n1 ZINC000290057966 536784748 /nfs/dbraw/zinc/78/47/48/536784748.db2.gz GZLRGMBWXRKNFH-UHFFFAOYSA-N 0 3 324.515 4.414 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1cccc2cccnc21 ZINC000289208096 537022327 /nfs/dbraw/zinc/02/23/27/537022327.db2.gz KEPCMBMWCHUVSI-KRWDZBQOSA-N 0 3 322.383 4.160 20 0 DIADHN Clc1ccc2c(c1)COC21CCN(Cc2ccccc2)CC1 ZINC000095093367 563528937 /nfs/dbraw/zinc/52/89/37/563528937.db2.gz MLODJXIQFZSFIC-UHFFFAOYSA-N 0 3 313.828 4.362 20 0 DIADHN Cc1cncn1C1CCN([C@H]2CCc3c2cccc3Cl)CC1 ZINC000225409601 563753891 /nfs/dbraw/zinc/75/38/91/563753891.db2.gz YMVXVIZBNDXWAK-SFHVURJKSA-N 0 3 315.848 4.169 20 0 DIADHN Brc1cc(CNC/C=C\c2ccccc2)cs1 ZINC000397477302 1036383379 /nfs/dbraw/zinc/38/33/79/1036383379.db2.gz IHSDWLDCTJZUIW-DAXSKMNVSA-N 0 3 308.244 4.314 20 0 DIADHN CCCCN(CCCC)Cc1cnc(Br)s1 ZINC000718888431 1043771577 /nfs/dbraw/zinc/77/15/77/1043771577.db2.gz DUZQIHLNBJHWDY-UHFFFAOYSA-N 0 3 305.285 4.308 20 0 DIADHN C[C@@H](NCC12CCC(CC1)C2)c1nc(Br)cs1 ZINC000834692420 1046649570 /nfs/dbraw/zinc/64/95/70/1046649570.db2.gz ASWARZKELJJUMQ-TTXORMCVSA-N 0 3 315.280 4.137 20 0 DIADHN CO[C@@H]1CCCN([C@@H](C)c2ccccc2Br)CC1 ZINC000679953185 1046914641 /nfs/dbraw/zinc/91/46/41/1046914641.db2.gz MFAIIMOFPRZLNW-QWHCGFSZSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@@H](CNCc1ccc(F)c(Cl)c1Cl)c1nccs1 ZINC000432259439 1046790436 /nfs/dbraw/zinc/79/04/36/1046790436.db2.gz SESNRHNQYBAWOJ-QMMMGPOBSA-N 0 3 319.232 4.482 20 0 DIADHN Clc1cccc(Cl)c1C1(N[C@@H]2CCCOCC2)CC1 ZINC000538589610 1047690064 /nfs/dbraw/zinc/69/00/64/1047690064.db2.gz NQXDFXANRKCBOP-LLVKDONJSA-N 0 3 300.229 4.141 20 0 DIADHN CC(C)OCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000186636275 1051348676 /nfs/dbraw/zinc/34/86/76/1051348676.db2.gz GVQTUFWLRIBSHP-JTQLQIEISA-N 0 3 307.768 4.017 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@]1(C)CC1(Cl)Cl ZINC001560477143 1060265625 /nfs/dbraw/zinc/26/56/25/1060265625.db2.gz HAUTUBHFHVIPBG-LBPRGKRZSA-N 0 3 308.636 4.022 20 0 DIADHN CN(Cc1cc(Br)cnc1F)C1CCCCCC1 ZINC001474407930 1062065934 /nfs/dbraw/zinc/06/59/34/1062065934.db2.gz KGBHGJZAEHCSRS-UHFFFAOYSA-N 0 3 315.230 4.138 20 0 DIADHN CC(C)N(Cc1nc(-c2cccs2)no1)C1CCCCC1 ZINC000533371039 1035501044 /nfs/dbraw/zinc/50/10/44/1035501044.db2.gz QKPVOCXBNRZJPJ-UHFFFAOYSA-N 0 3 305.447 4.341 20 0 DIADHN CC(C)Cc1ccc([C@H](CNC(=O)CC(C)(C)C)N(C)C)cc1 ZINC001625777812 1035521136 /nfs/dbraw/zinc/52/11/36/1035521136.db2.gz GUHUMBJBPIWIOY-SFHVURJKSA-N 0 3 318.505 4.040 20 0 DIADHN CC(C)Cc1ccc([C@@H](CNC(=O)CC(C)(C)C)N(C)C)cc1 ZINC001625777811 1035521487 /nfs/dbraw/zinc/52/14/87/1035521487.db2.gz GUHUMBJBPIWIOY-GOSISDBHSA-N 0 3 318.505 4.040 20 0 DIADHN C=Cn1cc(CN(C)[C@H](C)Cc2ccccc2C(F)(F)F)cn1 ZINC000349021804 1035535399 /nfs/dbraw/zinc/53/53/99/1035535399.db2.gz DCASBMPWEQQAIN-CYBMUJFWSA-N 0 3 323.362 4.065 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@]2(C1)CCCOC2 ZINC001139887725 1035557047 /nfs/dbraw/zinc/55/70/47/1035557047.db2.gz ZDCMUKSUQBEPRF-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1cc2ccccc2s1 ZINC000339417659 1035557320 /nfs/dbraw/zinc/55/73/20/1035557320.db2.gz XPQHMFXCMNEJJK-UHFFFAOYSA-N 0 3 310.422 4.150 20 0 DIADHN Fc1ccc([C@@H](NCCc2cscn2)c2ccccc2)cc1 ZINC000108941887 1035594321 /nfs/dbraw/zinc/59/43/21/1035594321.db2.gz QBAYNHCTQDLKEG-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN CCN(Cc1ccc(OC)cc1)C[C@]1(C)CC1(Cl)Cl ZINC001167054825 1035619032 /nfs/dbraw/zinc/61/90/32/1035619032.db2.gz MLXBQPDPLSROFR-AWEZNQCLSA-N 0 3 302.245 4.101 20 0 DIADHN FC(F)(F)Oc1ccccc1CN1CCC2(CC2(F)F)CC1 ZINC000651953585 1035640420 /nfs/dbraw/zinc/64/04/20/1035640420.db2.gz MZCBARGDQLMJMZ-UHFFFAOYSA-N 0 3 321.289 4.206 20 0 DIADHN CC[C@@H](C)Oc1cc(CN2CCS[C@H](C)CC2)ccc1OC ZINC000683164565 1035642766 /nfs/dbraw/zinc/64/27/66/1035642766.db2.gz JXSGLMGNRFNOPH-HUUCEWRRSA-N 0 3 323.502 4.200 20 0 DIADHN CCOc1cc(CN[C@H](C)c2cc(C)sc2C)ccc1O ZINC000035252805 1035645539 /nfs/dbraw/zinc/64/55/39/1035645539.db2.gz PCKJAYILJJMXMG-GFCCVEGCSA-N 0 3 305.443 4.320 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000092409403 1035663795 /nfs/dbraw/zinc/66/37/95/1035663795.db2.gz PUPMNUIPOWPPLC-BJOOFOGMSA-N 0 3 317.864 4.123 20 0 DIADHN CN(Cc1ccc(SC(F)F)cc1)C[C@@H]1CCCCO1 ZINC000064557669 1035697932 /nfs/dbraw/zinc/69/79/32/1035697932.db2.gz LNSGYFMYWBFEOO-ZDUSSCGKSA-N 0 3 301.402 4.002 20 0 DIADHN CN(CCOC(C)(C)C)Cc1ccc(SC(F)F)cc1 ZINC000064571405 1035698562 /nfs/dbraw/zinc/69/85/62/1035698562.db2.gz GAIWYKKHJGPPSC-UHFFFAOYSA-N 0 3 303.418 4.248 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CC[C@](C)(F)[C@@H](F)C1 ZINC001208203749 1035730154 /nfs/dbraw/zinc/73/01/54/1035730154.db2.gz SUXPLJFSLAJNOM-ZOBUZTSGSA-N 0 3 305.453 4.380 20 0 DIADHN CC(C)(CNCc1cscc1Br)C(F)(F)F ZINC000309692556 1035730222 /nfs/dbraw/zinc/73/02/22/1035730222.db2.gz QFJVFNMWKMXPNG-UHFFFAOYSA-N 0 3 316.186 4.189 20 0 DIADHN Fc1cccc(COc2ccc(CNC3CC(F)(F)C3)cc2)c1 ZINC000683205983 1035735653 /nfs/dbraw/zinc/73/56/53/1035735653.db2.gz BASXRVFZRIRMHS-UHFFFAOYSA-N 0 3 321.342 4.292 20 0 DIADHN Clc1nc(Sc2ccccc2)sc1CNCC1CC1 ZINC000065890149 1035743510 /nfs/dbraw/zinc/74/35/10/1035743510.db2.gz TYLOCSDKLLSGKW-UHFFFAOYSA-N 0 3 310.875 4.447 20 0 DIADHN CSc1ccccc1CN(C)CCOc1cccc(C)c1 ZINC001167072570 1035769617 /nfs/dbraw/zinc/76/96/17/1035769617.db2.gz RHVRTJCJENUEMP-UHFFFAOYSA-N 0 3 301.455 4.228 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc3c(c2)OCCCO3)cc1F ZINC000067120500 1035797650 /nfs/dbraw/zinc/79/76/50/1035797650.db2.gz MCQRCDAGGKRBJN-CQSZACIVSA-N 0 3 315.388 4.146 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1C)c1ccc(Cl)cc1 ZINC000024252213 1035830493 /nfs/dbraw/zinc/83/04/93/1035830493.db2.gz GGTIVCLTCKJOAO-INIZCTEOSA-N 0 3 316.832 4.328 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)c(C)n1 ZINC000339657355 1035903386 /nfs/dbraw/zinc/90/33/86/1035903386.db2.gz JYHSVJOAYXGAIB-GOSISDBHSA-N 0 3 316.832 4.005 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCOC2=O)c1)c1cccs1 ZINC000154475231 1035903962 /nfs/dbraw/zinc/90/39/62/1035903962.db2.gz DLAVPFJVMZVWSX-QWHCGFSZSA-N 0 3 316.426 4.117 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(N2CCCC2=O)c1)c1cccs1 ZINC000154496087 1035906800 /nfs/dbraw/zinc/90/68/00/1035906800.db2.gz QPPCUFYLGSXLBW-KBPBESRZSA-N 0 3 314.454 4.287 20 0 DIADHN COc1cc(F)cc(OC)c1CNCCCC1CCCCC1 ZINC001648789360 1035907757 /nfs/dbraw/zinc/90/77/57/1035907757.db2.gz HDKYABVIRTTXQN-UHFFFAOYSA-N 0 3 309.425 4.293 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@@H](CC3CC3)C2)c(C)c1 ZINC000339679655 1035908171 /nfs/dbraw/zinc/90/81/71/1035908171.db2.gz TYOFBYPNHQOHFI-AEFFLSMTSA-N 0 3 314.473 4.061 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2C)cc1 ZINC000339679203 1035908346 /nfs/dbraw/zinc/90/83/46/1035908346.db2.gz PBHVHLJLJDSSRT-STQMWFEESA-N 0 3 303.475 4.252 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc(OC)nc2)cc1 ZINC000339684689 1035913853 /nfs/dbraw/zinc/91/38/53/1035913853.db2.gz UQEYEFHIHFYZND-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N(C)CC1CCC1 ZINC000533440358 1035917757 /nfs/dbraw/zinc/91/77/57/1035917757.db2.gz OXXNCKCBNRCBOQ-LSDHHAIUSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@H](CN(C)CCc1ccc(C(F)(F)F)cc1)C(F)(F)F ZINC001208898504 1035923254 /nfs/dbraw/zinc/92/32/54/1035923254.db2.gz UYZKKSPZLLKYDD-SNVBAGLBSA-N 0 3 313.285 4.378 20 0 DIADHN Cc1cccc(OC2CCN(C[C@@H](C)C(F)(F)F)CC2)c1 ZINC001208906439 1035934042 /nfs/dbraw/zinc/93/40/42/1035934042.db2.gz XALZCWZYJPBWOG-CYBMUJFWSA-N 0 3 301.352 4.037 20 0 DIADHN FC1(F)CCC(NCc2csc(-c3ccccc3)n2)CC1 ZINC000535613831 1035935714 /nfs/dbraw/zinc/93/57/14/1035935714.db2.gz LQTAIKABDOJEBC-UHFFFAOYSA-N 0 3 308.397 4.478 20 0 DIADHN c1ccc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)nc1 ZINC000533471010 1035947952 /nfs/dbraw/zinc/94/79/52/1035947952.db2.gz CPNHZGPNDBRGFF-CQSZACIVSA-N 0 3 309.438 4.071 20 0 DIADHN CC[C@H](N[C@@H](C)Cn1ccnc1)c1ccc(Cl)cc1Cl ZINC000037158361 1035957204 /nfs/dbraw/zinc/95/72/04/1035957204.db2.gz BXMJOYYECRCERD-NHYWBVRUSA-N 0 3 312.244 4.319 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccc(Cl)s1 ZINC000037158979 1035958220 /nfs/dbraw/zinc/95/82/20/1035958220.db2.gz ROGQVFRCVGWUDH-UWVGGRQHSA-N 0 3 323.849 4.304 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2cc(C)ccc2C)c2ccccc21 ZINC000339756154 1035960413 /nfs/dbraw/zinc/96/04/13/1035960413.db2.gz LUHFRHMNRBBSEM-JXFKEZNVSA-N 0 3 322.452 4.452 20 0 DIADHN Cn1cc([C@@H](NCCC2=CCCCC2)c2cccc(F)c2)cn1 ZINC000179952215 1035998048 /nfs/dbraw/zinc/99/80/48/1035998048.db2.gz ZJBHEURSOSQHSL-IBGZPJMESA-N 0 3 313.420 4.129 20 0 DIADHN CC(=O)Nc1ccc(CN(C)Cc2csc3ccccc23)cc1 ZINC000179989512 1036015752 /nfs/dbraw/zinc/01/57/52/1036015752.db2.gz SCRGDIZMRKPIGI-UHFFFAOYSA-N 0 3 324.449 4.492 20 0 DIADHN CSCCCC[NH2+]Cc1cc(-c2ccccc2)ccc1[O-] ZINC000076764777 1036033711 /nfs/dbraw/zinc/03/37/11/1036033711.db2.gz OXDVDDGPTHBOET-UHFFFAOYSA-N 0 3 301.455 4.292 20 0 DIADHN CCOC(=O)[C@H]1CCCN([C@H](CC)c2ccc(Cl)cc2)C1 ZINC000060113071 1036034294 /nfs/dbraw/zinc/03/42/94/1036034294.db2.gz RDCNPHZTYDNWOW-GOEBONIOSA-N 0 3 309.837 4.066 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)cn1 ZINC000154880604 1036051670 /nfs/dbraw/zinc/05/16/70/1036051670.db2.gz BOGAFCLRBUEECH-HZPDHXFCSA-N 0 3 323.444 4.062 20 0 DIADHN CCN(CC)[C@@H](CNc1nc(Cl)cs1)c1ccsc1 ZINC001650622546 1036058829 /nfs/dbraw/zinc/05/88/29/1036058829.db2.gz KFQSDDLUVYSXPY-NSHDSACASA-N 0 3 315.895 4.353 20 0 DIADHN CCc1ccc(CNCc2cn(C)nc2C2CCCCC2)s1 ZINC001648792040 1036062844 /nfs/dbraw/zinc/06/28/44/1036062844.db2.gz GHWDYASEPHTHEU-UHFFFAOYSA-N 0 3 317.502 4.382 20 0 DIADHN COCCCCN1CC[C@H](c2cccc(Cl)c2Cl)C1 ZINC001209218748 1036075244 /nfs/dbraw/zinc/07/52/44/1036075244.db2.gz NFRXKQUVWDPMTP-LBPRGKRZSA-N 0 3 302.245 4.209 20 0 DIADHN Cc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c(C)c1 ZINC000538021819 1036078050 /nfs/dbraw/zinc/07/80/50/1036078050.db2.gz WLYSEVJGSAGXOI-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccc([C@@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c(C)c1 ZINC000538021819 1036078066 /nfs/dbraw/zinc/07/80/66/1036078066.db2.gz WLYSEVJGSAGXOI-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C(C)C)C1CCCC1 ZINC000047966425 1036086859 /nfs/dbraw/zinc/08/68/59/1036086859.db2.gz MMKDDFAQRCMYBP-UHFFFAOYSA-N 0 3 302.462 4.321 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](c2ccc(F)cc2)C2CC2)c1 ZINC000155034830 1036101391 /nfs/dbraw/zinc/10/13/91/1036101391.db2.gz LFSKKIAZNKNFPS-LJQANCHMSA-N 0 3 312.388 4.025 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@@H]1C(C)C ZINC000109462125 1036104386 /nfs/dbraw/zinc/10/43/86/1036104386.db2.gz HSFOLHKNCGFXOG-CQSZACIVSA-N 0 3 312.251 4.078 20 0 DIADHN Cc1cc2cc(NCc3ccc(-c4ncon4)cc3)ccc2cn1 ZINC001167118275 1036115017 /nfs/dbraw/zinc/11/50/17/1036115017.db2.gz VPKNHQCFAARMBU-UHFFFAOYSA-N 0 3 316.364 4.205 20 0 DIADHN Cc1csc(CCNCc2csc(Cl)c2Cl)n1 ZINC000683341832 1036172465 /nfs/dbraw/zinc/17/24/65/1036172465.db2.gz MKPYEONXSCJNMX-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN Cc1csc(CCNCc2ccc(F)c(Cl)c2Cl)n1 ZINC000683342870 1036175642 /nfs/dbraw/zinc/17/56/42/1036175642.db2.gz YFJCLKXIFITHQF-UHFFFAOYSA-N 0 3 319.232 4.230 20 0 DIADHN Cc1nc([C@H](C)NCCC2CC(OC(C)(C)C)C2)c(C)s1 ZINC000683348175 1036192552 /nfs/dbraw/zinc/19/25/52/1036192552.db2.gz YPUKOTSXMSJZBC-QGLTVNCISA-N 0 3 310.507 4.394 20 0 DIADHN CCN(CC)[C@H](CNCc1ccccc1Cl)c1ccco1 ZINC000062423706 1036208168 /nfs/dbraw/zinc/20/81/68/1036208168.db2.gz MVPBOJGWOGGWET-MRXNPFEDSA-N 0 3 306.837 4.106 20 0 DIADHN COc1cccc2cc(CN(C)[C@@H](C)c3cccc(O)c3)oc21 ZINC000093315495 1036209400 /nfs/dbraw/zinc/20/94/00/1036209400.db2.gz XYLPVKBOEUQEFG-ZDUSSCGKSA-N 0 3 311.381 4.340 20 0 DIADHN Cc1noc(C)c1CCN1CCC[C@H]1c1ccccc1Cl ZINC000164891464 1036233873 /nfs/dbraw/zinc/23/38/73/1036233873.db2.gz KQZCBVSMNKLOIE-KRWDZBQOSA-N 0 3 304.821 4.324 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001209631756 1036237251 /nfs/dbraw/zinc/23/72/51/1036237251.db2.gz PVTDGOJBQXFMTC-UHFFFAOYSA-N 0 3 300.780 4.055 20 0 DIADHN CCOc1cc(C)ccc1CN[C@H](C)c1c[nH]c2ncccc12 ZINC000119243542 1036245478 /nfs/dbraw/zinc/24/54/78/1036245478.db2.gz JMURJSTZMVMJQU-CQSZACIVSA-N 0 3 309.413 4.121 20 0 DIADHN Cc1c(Cl)cccc1CNCc1ccc(-n2cccn2)cc1 ZINC001648795807 1036253860 /nfs/dbraw/zinc/25/38/60/1036253860.db2.gz WQDKHSVKKIORGW-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(F)c2ccccc21 ZINC000340175537 1036277819 /nfs/dbraw/zinc/27/78/19/1036277819.db2.gz MLNXWQYHJXACBV-BFUOFWGJSA-N 0 3 323.415 4.441 20 0 DIADHN Clc1ccccc1CCN(Cc1ccco1)C[C@H]1CCCO1 ZINC000060215570 1036283200 /nfs/dbraw/zinc/28/32/00/1036283200.db2.gz LATXNDWDNJSUEU-QGZVFWFLSA-N 0 3 319.832 4.157 20 0 DIADHN Clc1ccccc1CCN(Cc1ccco1)C[C@@H]1CCCO1 ZINC000060215568 1036283300 /nfs/dbraw/zinc/28/33/00/1036283300.db2.gz LATXNDWDNJSUEU-KRWDZBQOSA-N 0 3 319.832 4.157 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccc([Si](C)(C)C)cc1 ZINC001167237514 1036305632 /nfs/dbraw/zinc/30/56/32/1036305632.db2.gz ISCKBTUYEDIFNM-UHFFFAOYSA-N 0 3 301.506 4.235 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)Cc1cccc2nonc21 ZINC001203142266 1036324733 /nfs/dbraw/zinc/32/47/33/1036324733.db2.gz FPGJCYAJIHVBDB-UHFFFAOYSA-N 0 3 322.195 4.162 20 0 DIADHN COc1cc(CNC(C)(C)c2ccc(Cl)cc2)cc(OC)c1 ZINC000237098470 1036333673 /nfs/dbraw/zinc/33/36/73/1036333673.db2.gz WOILNKCAOUVSHW-UHFFFAOYSA-N 0 3 319.832 4.382 20 0 DIADHN c1ccc(CSCCCN2CCC=C(c3ccccn3)C2)cc1 ZINC000579910541 1036386779 /nfs/dbraw/zinc/38/67/79/1036386779.db2.gz FDQFMHURBJFCOU-UHFFFAOYSA-N 0 3 324.493 4.494 20 0 DIADHN CCN1CCN(c2ccc(Nc3coc4ccccc34)cc2)CC1 ZINC001203153809 1036410295 /nfs/dbraw/zinc/41/02/95/1036410295.db2.gz HJCSKFSMSWZRBQ-UHFFFAOYSA-N 0 3 321.424 4.318 20 0 DIADHN C[C@@](CO)(NCc1ccc(-c2ccsc2)cc1)c1ccccc1 ZINC000683520048 1036437906 /nfs/dbraw/zinc/43/79/06/1036437906.db2.gz HCSISMFJWLBOJZ-FQEVSTJZSA-N 0 3 323.461 4.412 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(C)c(Cl)n3)C2)cc1 ZINC001167288095 1036443553 /nfs/dbraw/zinc/44/35/53/1036443553.db2.gz XSODJTNSHYOQKR-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2ccccc2)C(C)C)c1C ZINC000008002543 1036445183 /nfs/dbraw/zinc/44/51/83/1036445183.db2.gz MLFWUHBSAUQVJE-HXUWFJFHSA-N 0 3 310.441 4.229 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCc2c1cc(F)cc2F)c1ccccc1 ZINC000121430271 1036453293 /nfs/dbraw/zinc/45/32/93/1036453293.db2.gz FHSOLARSZFSMTM-RTBURBONSA-N 0 3 317.379 4.056 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCc3cc(C)cc(C)c32)c1 ZINC000655689337 1036477899 /nfs/dbraw/zinc/47/78/99/1036477899.db2.gz AMGPNUJMQKNLHX-LJQANCHMSA-N 0 3 308.425 4.039 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001167294419 1036485976 /nfs/dbraw/zinc/48/59/76/1036485976.db2.gz PYAPNAMOXHWPGK-HHXXYDBFSA-N 0 3 305.437 4.385 20 0 DIADHN Cc1cccc(C)c1NC(=O)c1cccc(CN2CCCC2)c1 ZINC000039297738 1036486700 /nfs/dbraw/zinc/48/67/00/1036486700.db2.gz VITWMLOHXKVCJS-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN COc1cccc(CN[C@@H]2CCc3cc(C)cc(C)c32)c1OC ZINC000655696615 1036488393 /nfs/dbraw/zinc/48/83/93/1036488393.db2.gz HTQWFVIUPSUUOM-QGZVFWFLSA-N 0 3 311.425 4.098 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nc3cc(Cl)ccc3s2)CS1 ZINC000655701134 1036489318 /nfs/dbraw/zinc/48/93/18/1036489318.db2.gz ULDAFVCATYGWQH-MWLCHTKSSA-N 0 3 312.891 4.323 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@H]1CCCn2ccnc21 ZINC000655704961 1036491476 /nfs/dbraw/zinc/49/14/76/1036491476.db2.gz RHLDASNHXQUNHD-NHYWBVRUSA-N 0 3 324.255 4.246 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H]1CCCn2ccnc21 ZINC000655704959 1036491799 /nfs/dbraw/zinc/49/17/99/1036491799.db2.gz RHLDASNHXQUNHD-ABAIWWIYSA-N 0 3 324.255 4.246 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CNC(C)(C)c1ccccc1 ZINC000655719550 1036503570 /nfs/dbraw/zinc/50/35/70/1036503570.db2.gz VTJZLQMMARGPKB-UHFFFAOYSA-N 0 3 323.415 4.345 20 0 DIADHN CC(C)(CN[C@@H]1CCCn2ccnc21)c1cccc(Cl)c1F ZINC000655757815 1036524685 /nfs/dbraw/zinc/52/46/85/1036524685.db2.gz XZHQOTQLJIZVCO-CQSZACIVSA-N 0 3 321.827 4.078 20 0 DIADHN COc1cc([C@H](C)NC2(c3cccc(Cl)c3)CCC2)ccn1 ZINC000655779848 1036537132 /nfs/dbraw/zinc/53/71/32/1036537132.db2.gz SJEHSMHYIUSJIT-ZDUSSCGKSA-N 0 3 316.832 4.474 20 0 DIADHN COc1c(C)cccc1CN[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000655810265 1036561261 /nfs/dbraw/zinc/56/12/61/1036561261.db2.gz JGTNYUVCKXHAIY-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN COc1ccc(-c2nc(CNC3(C)CC=CC3)cs2)cc1 ZINC000655814723 1036563966 /nfs/dbraw/zinc/56/39/66/1036563966.db2.gz OYCSKHPBUTVRNI-UHFFFAOYSA-N 0 3 300.427 4.017 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cccc3ccccc32)c1 ZINC001648818732 1036582182 /nfs/dbraw/zinc/58/21/82/1036582182.db2.gz JWHBGAVZMKEXMQ-UHFFFAOYSA-N 0 3 318.420 4.478 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc2c(c1)OCCCO2 ZINC000340430008 1036597577 /nfs/dbraw/zinc/59/75/77/1036597577.db2.gz ZZQVPPNMWNNIIF-AWEZNQCLSA-N 0 3 323.392 4.445 20 0 DIADHN Clc1cnc2oc(CNC[C@H]3CCC4(CCCC4)O3)cc2c1 ZINC000655863851 1036620232 /nfs/dbraw/zinc/62/02/32/1036620232.db2.gz VQXRAEQNKJNYEJ-CQSZACIVSA-N 0 3 320.820 4.063 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](C)c2sccc2C)c1 ZINC000070090082 1036622319 /nfs/dbraw/zinc/62/23/19/1036622319.db2.gz QSXMWBFZESJGLX-STQMWFEESA-N 0 3 302.443 4.427 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@H]1CCCC(C)(C)CC1 ZINC000579974019 1036662912 /nfs/dbraw/zinc/66/29/12/1036662912.db2.gz SUFGJIBWMHKMNF-LSDHHAIUSA-N 0 3 304.482 4.185 20 0 DIADHN CO[C@H]1CCCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC000683668654 1036670023 /nfs/dbraw/zinc/67/00/23/1036670023.db2.gz BFMFCUJGPURKAN-HNNXBMFYSA-N 0 3 302.245 4.037 20 0 DIADHN Cc1ncc([C@H](C)NC[C@H](O)c2cc3ccccc3s2)s1 ZINC000090419329 1036692521 /nfs/dbraw/zinc/69/25/21/1036692521.db2.gz WDZAHPBFGKBUDH-GWCFXTLKSA-N 0 3 318.467 4.050 20 0 DIADHN Cc1ncc([C@H](C)NC[C@@H](O)c2cc3ccccc3s2)s1 ZINC000090419331 1036692925 /nfs/dbraw/zinc/69/29/25/1036692925.db2.gz WDZAHPBFGKBUDH-GXFFZTMASA-N 0 3 318.467 4.050 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1CC1(F)F)c1ccc(Br)cc1F ZINC000639193515 1036699752 /nfs/dbraw/zinc/69/97/52/1036699752.db2.gz VKBYRRGFKOENDQ-FYBVGQRMSA-N 0 3 322.168 4.283 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1sccc1Br ZINC000309446662 1036712527 /nfs/dbraw/zinc/71/25/27/1036712527.db2.gz JZHVQRZQMGIOQX-SSDOTTSWSA-N 0 3 316.186 4.331 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCc2occc21)c1ccccc1Cl ZINC000683692798 1036716738 /nfs/dbraw/zinc/71/67/38/1036716738.db2.gz UJVVMIZRRIUWAW-WBVHZDCISA-N 0 3 305.805 4.288 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000121948817 1036717316 /nfs/dbraw/zinc/71/73/16/1036717316.db2.gz AVWJPKYJXWEWBV-NWDGAFQWSA-N 0 3 319.474 4.201 20 0 DIADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1sc(-c2ccccc2F)nc1C ZINC000683693600 1036719873 /nfs/dbraw/zinc/71/98/73/1036719873.db2.gz HNNCNNMZTAJUNT-COLVAYQJSA-N 0 3 320.433 4.086 20 0 DIADHN Cc1ccc(CN2CCC(c3ccccn3)CC2)cc1Cl ZINC000683697159 1036722222 /nfs/dbraw/zinc/72/22/22/1036722222.db2.gz FHEVSRQGWIWNDQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CSCc1cccc(CN[C@H]2CCCc3ccc(O)cc32)c1 ZINC000340493770 1036761368 /nfs/dbraw/zinc/76/13/68/1036761368.db2.gz BROLNCAUTSVXPQ-IBGZPJMESA-N 0 3 313.466 4.422 20 0 DIADHN CC1(C)Cc2cccc(CN3CC[C@@H](CC(F)(F)F)C3)c2O1 ZINC000683720748 1036764936 /nfs/dbraw/zinc/76/49/36/1036764936.db2.gz LEODNCQOFGDZQD-LBPRGKRZSA-N 0 3 313.363 4.174 20 0 DIADHN CC1(C)Cc2cccc(CN3CC[C@H](CC(F)(F)F)C3)c2O1 ZINC000683720747 1036766177 /nfs/dbraw/zinc/76/61/77/1036766177.db2.gz LEODNCQOFGDZQD-GFCCVEGCSA-N 0 3 313.363 4.174 20 0 DIADHN Cc1c2ccccc2n(C)c1CN[C@H]1CCCc2ccc(O)cc21 ZINC000340499028 1036766330 /nfs/dbraw/zinc/76/63/30/1036766330.db2.gz MULJQZOIOYFXGU-IBGZPJMESA-N 0 3 320.436 4.360 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@H]2CCC[C@@H]2F)cc1OCC ZINC000340521377 1036780439 /nfs/dbraw/zinc/78/04/39/1036780439.db2.gz CURHARVOYKDWJT-JYJNAYRXSA-N 0 3 309.425 4.415 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2cccc(OC(C)C)c2)s1 ZINC000080693574 1036785023 /nfs/dbraw/zinc/78/50/23/1036785023.db2.gz PRHRMVDGTDXKLA-LBPRGKRZSA-N 0 3 304.459 4.398 20 0 DIADHN Clc1cc(CNCCCc2nccs2)c(Cl)s1 ZINC000312618026 1036798592 /nfs/dbraw/zinc/79/85/92/1036798592.db2.gz GVVHZMHLVLDHTM-UHFFFAOYSA-N 0 3 307.271 4.234 20 0 DIADHN c1ccc2c(c1)CSC[C@H]2NCc1ccc2cnccc2c1 ZINC000683766994 1036868030 /nfs/dbraw/zinc/86/80/30/1036868030.db2.gz CLGMYTMQSXTBJA-LJQANCHMSA-N 0 3 306.434 4.313 20 0 DIADHN c1ccc([C@@H](NCc2ccc3cnccc3c2)[C@@H]2CCCO2)cc1 ZINC000683767485 1036871099 /nfs/dbraw/zinc/87/10/99/1036871099.db2.gz UWNBUUKNIUAZFR-LEWJYISDSA-N 0 3 318.420 4.245 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1ccc2cnccc2c1 ZINC000683768583 1036872865 /nfs/dbraw/zinc/87/28/65/1036872865.db2.gz HNMUIVZFNINXLN-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN COC(=O)/C(C)=C/CN([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC001167347396 1036910119 /nfs/dbraw/zinc/91/01/19/1036910119.db2.gz NFBIUABVTMGSHO-STTHAQSSSA-N 0 3 323.436 4.485 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2N1CC(c2nc3ccccc3s2)C1 ZINC000683785689 1036920303 /nfs/dbraw/zinc/92/03/03/1036920303.db2.gz NRAYPYGENKUTRW-KRWDZBQOSA-N 0 3 306.434 4.383 20 0 DIADHN CC(C)CCCCN1CCN(C/C=C/c2ccccc2)CC1 ZINC001204526042 1036947652 /nfs/dbraw/zinc/94/76/52/1036947652.db2.gz XRNSYPADXKVSRC-XYOKQWHBSA-N 0 3 300.490 4.144 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C[C@@H]1CCCc2ccccc21 ZINC000580107301 1036955186 /nfs/dbraw/zinc/95/51/86/1036955186.db2.gz UMZGFPJZKMZOLC-KRWDZBQOSA-N 0 3 322.452 4.197 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1ccn(-c2ccccc2F)n1)C1CC1 ZINC001167355804 1036960717 /nfs/dbraw/zinc/96/07/17/1036960717.db2.gz AWCCLBBDVOATPM-HUUCEWRRSA-N 0 3 315.436 4.410 20 0 DIADHN C[C@@H](NCCC1CCCC1)c1nc(Br)cs1 ZINC000834496860 1036996018 /nfs/dbraw/zinc/99/60/18/1036996018.db2.gz SRCJGCVGKWFCGP-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc2cnccc2c1 ZINC000683836592 1036999166 /nfs/dbraw/zinc/99/91/66/1036999166.db2.gz MUUNFXFSMYQBLD-MLGOLLRUSA-N 0 3 308.347 4.398 20 0 DIADHN CCN(Cc1cc(OC)ccc1Br)[C@H](C)C(C)C ZINC000706486449 1037024421 /nfs/dbraw/zinc/02/44/21/1037024421.db2.gz XXLCDKGNVKBQEB-GFCCVEGCSA-N 0 3 314.267 4.324 20 0 DIADHN O=C(OCc1ccccc1)[C@@H]1CCCN1CC1CCCCCC1 ZINC001167380240 1037054994 /nfs/dbraw/zinc/05/49/94/1037054994.db2.gz FGXAOBBGIPEMMZ-IBGZPJMESA-N 0 3 315.457 4.165 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1ccc2c(c1)CCC(=O)N2 ZINC000047827645 1037058401 /nfs/dbraw/zinc/05/84/01/1037058401.db2.gz DHVSFLDIUCHAAY-GFCCVEGCSA-N 0 3 314.816 4.076 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1)c1ccc2c(c1)CCC(=O)N2 ZINC000047827881 1037063785 /nfs/dbraw/zinc/06/37/85/1037063785.db2.gz GETQUOGBDJNDBO-GFCCVEGCSA-N 0 3 314.816 4.076 20 0 DIADHN CC(C)(CNCc1c(F)cccc1N1CCCC1)C(F)(F)F ZINC000580348565 1037075673 /nfs/dbraw/zinc/07/56/73/1037075673.db2.gz TVGACHJEMLZIQJ-UHFFFAOYSA-N 0 3 318.358 4.104 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(F)cc1F)c1cc2ccccc2o1 ZINC000177754989 1037105893 /nfs/dbraw/zinc/10/58/93/1037105893.db2.gz NHGXXMRINCOFOP-ZBEGNZNMSA-N 0 3 317.335 4.095 20 0 DIADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1sccc1Br ZINC000308912482 1037109002 /nfs/dbraw/zinc/10/90/02/1037109002.db2.gz YHLPEIZJLNISGW-XKSSXDPKSA-N 0 3 306.294 4.055 20 0 DIADHN CC(C)C1CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)CC1 ZINC001167402363 1037139715 /nfs/dbraw/zinc/13/97/15/1037139715.db2.gz SUDDGRKELZKYQR-GASCZTMLSA-N 0 3 319.411 4.292 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1cc2c(cc1Cl)OCCO2 ZINC001167406316 1037151353 /nfs/dbraw/zinc/15/13/53/1037151353.db2.gz PFWHJYCPPXAAAG-OAHLLOKOSA-N 0 3 309.837 4.122 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC[C@H](C(C)(C)C)CC2)cc1 ZINC001167406280 1037151406 /nfs/dbraw/zinc/15/14/06/1037151406.db2.gz OMPBLJDPESPGLG-KRWDZBQOSA-N 0 3 316.489 4.336 20 0 DIADHN COC[C@H](NCCSCC(C)C)c1cccc(Cl)c1 ZINC000580479192 1037155908 /nfs/dbraw/zinc/15/59/08/1037155908.db2.gz OUSFPXDGSZQGJX-HNNXBMFYSA-N 0 3 301.883 4.006 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(C3CCCCC3)s2)[C@@H](C)C1 ZINC000568351285 1037172310 /nfs/dbraw/zinc/17/23/10/1037172310.db2.gz PFPJPKSOCGYECT-ZFWWWQNUSA-N 0 3 308.491 4.190 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(C3CCCCC3)s2)[C@H](C)C1 ZINC000568351283 1037172799 /nfs/dbraw/zinc/17/27/99/1037172799.db2.gz PFPJPKSOCGYECT-HIFRSBDPSA-N 0 3 308.491 4.190 20 0 DIADHN C[C@H](Cn1cccn1)N[C@@H](C)c1cc2cc(Cl)ccc2o1 ZINC000125831648 1037179666 /nfs/dbraw/zinc/17/96/66/1037179666.db2.gz WMFGJQXFOQFHLA-NEPJUHHUSA-N 0 3 303.793 4.022 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc(Cl)cc1C ZINC000349335237 1037198192 /nfs/dbraw/zinc/19/81/92/1037198192.db2.gz UIFHWSLZROCFJA-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN Cc1cccc([C@@H](O)CN2CCC[C@H]2c2ccc(F)c(F)c2)c1 ZINC001167420152 1037207829 /nfs/dbraw/zinc/20/78/29/1037207829.db2.gz BDCCNNSUZOUNHB-OALUTQOASA-N 0 3 317.379 4.144 20 0 DIADHN Clc1cccc(CCN2CCC[C@H](n3ccnc3)C2)c1Cl ZINC000683994933 1037210852 /nfs/dbraw/zinc/21/08/52/1037210852.db2.gz WKDDYVXPVGRZBO-AWEZNQCLSA-N 0 3 324.255 4.070 20 0 DIADHN Cc1cccc(CN2CC[C@H](c3ccco3)C2)c1Br ZINC001167421424 1037219539 /nfs/dbraw/zinc/21/95/39/1037219539.db2.gz TUSAJEMEFHSMTQ-ZDUSSCGKSA-N 0 3 320.230 4.340 20 0 DIADHN C[C@H]1CC[C@H](NCc2nc(-c3ccccc3F)cs2)CS1 ZINC000656257241 1037219939 /nfs/dbraw/zinc/21/99/39/1037219939.db2.gz GBZGLBIKCXMBDN-RYUDHWBXSA-N 0 3 322.474 4.323 20 0 DIADHN COc1cc(CNCc2ccccc2N(C)C(C)C)ccc1C ZINC000580616994 1037227729 /nfs/dbraw/zinc/22/77/29/1037227729.db2.gz IYYDVGDCCKNXIE-UHFFFAOYSA-N 0 3 312.457 4.138 20 0 DIADHN CCn1nccc1C1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000684007173 1037232923 /nfs/dbraw/zinc/23/29/23/1037232923.db2.gz SGCOZPVULKLRMS-AWEZNQCLSA-N 0 3 317.864 4.497 20 0 DIADHN CCN(Cc1cc2ccccc2[nH]1)Cc1ccc(OC)c(OC)c1 ZINC000684019362 1037244186 /nfs/dbraw/zinc/24/41/86/1037244186.db2.gz FGZPNPOANVXDIV-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN Cc1nc2ccc(CN3CCC[C@H](OC4CCC4)C3)cc2s1 ZINC000656270858 1037246023 /nfs/dbraw/zinc/24/60/23/1037246023.db2.gz CFKYAXCQBCVEGU-INIZCTEOSA-N 0 3 316.470 4.138 20 0 DIADHN Cc1ccc(CN2CCN(Cc3ccccc3)C[C@H]2C)c(C)c1 ZINC001167430042 1037251243 /nfs/dbraw/zinc/25/12/43/1037251243.db2.gz QQWQPHULGXGSOO-LJQANCHMSA-N 0 3 308.469 4.010 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nc2cc(Cl)ccc2n1C ZINC001167430021 1037251374 /nfs/dbraw/zinc/25/13/74/1037251374.db2.gz QINGQBNVGGLQQW-QWHCGFSZSA-N 0 3 305.853 4.237 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC001167430020 1037252204 /nfs/dbraw/zinc/25/22/04/1037252204.db2.gz QINGQBNVGGLQQW-OLZOCXBDSA-N 0 3 305.853 4.237 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CCN1CC[C@@H]1CCCC1(F)F ZINC001167430163 1037253616 /nfs/dbraw/zinc/25/36/16/1037253616.db2.gz UQMKKPBQMCEQLV-AEFFLSMTSA-N 0 3 322.443 4.018 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccc(F)cc1F ZINC001167433913 1037290672 /nfs/dbraw/zinc/29/06/72/1037290672.db2.gz OXYMRBHESKLMIW-WFASDCNBSA-N 0 3 305.343 4.482 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2CCCc3ccc(SC)cc32)cn1 ZINC000580834550 1037324957 /nfs/dbraw/zinc/32/49/57/1037324957.db2.gz TWGNQMKBVMASJI-SCLBCKFNSA-N 0 3 315.486 4.353 20 0 DIADHN Cc1nnsc1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000580871563 1037340164 /nfs/dbraw/zinc/34/01/64/1037340164.db2.gz HHDVGDRCTJGFBU-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN Fc1ccc(CNCCSCc2ccccc2F)c(F)c1 ZINC000060842481 1037341259 /nfs/dbraw/zinc/34/12/59/1037341259.db2.gz JDSNRVWATHLGCA-UHFFFAOYSA-N 0 3 311.372 4.127 20 0 DIADHN FC(F)(F)Oc1cccc(C2(NCc3ccccc3)CC2)c1 ZINC000656399567 1037443017 /nfs/dbraw/zinc/44/30/17/1037443017.db2.gz AVAHBTIOIKCOKS-UHFFFAOYSA-N 0 3 307.315 4.364 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H](C)c1ccc(OC(C)C)cc1 ZINC000581285842 1037516697 /nfs/dbraw/zinc/51/66/97/1037516697.db2.gz LVUBRICNKLQVPK-OXQOHEQNSA-N 0 3 313.441 4.166 20 0 DIADHN COc1ccc(CNCc2ccc(Cl)s2)c(C)c1OC ZINC000581332980 1037537588 /nfs/dbraw/zinc/53/75/88/1037537588.db2.gz KFJPYQYOIFKBOK-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001167457649 1037579406 /nfs/dbraw/zinc/57/94/06/1037579406.db2.gz HFKSJTAJEOLOEC-GUYCJALGSA-N 0 3 322.880 4.488 20 0 DIADHN Clc1ccccc1C1(CNCc2cnccn2)CCCCC1 ZINC000656515889 1037579978 /nfs/dbraw/zinc/57/99/78/1037579978.db2.gz IMDDBIKPULIOOP-UHFFFAOYSA-N 0 3 315.848 4.122 20 0 DIADHN CC[C@@H](NCc1cc(F)cc(OC)c1)c1ccc(OC)cc1 ZINC000090972094 1037598108 /nfs/dbraw/zinc/59/81/08/1037598108.db2.gz JVEIEMSCVOURKA-GOSISDBHSA-N 0 3 303.377 4.084 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)c1c[nH]c2ncccc12)c1ccc(C)cc1 ZINC000341048447 1037640449 /nfs/dbraw/zinc/64/04/49/1037640449.db2.gz WJLMYOFBXMQKMD-RDTXWAMCSA-N 0 3 321.424 4.183 20 0 DIADHN Cc1nc2ccc(NCc3ccsc3Br)cc2[nH]1 ZINC000707028053 1037682557 /nfs/dbraw/zinc/68/25/57/1037682557.db2.gz MTBLVGPKVFDQRD-UHFFFAOYSA-N 0 3 322.231 4.307 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2cnn(C(C)C)c2C)c1 ZINC000276308638 1037693324 /nfs/dbraw/zinc/69/33/24/1037693324.db2.gz FBKOXBQWLPJLBH-UONOGXRCSA-N 0 3 301.434 4.193 20 0 DIADHN OC1CCC(N[C@@H](c2c(Cl)cccc2Cl)C2CC2)CC1 ZINC000581518140 1037704449 /nfs/dbraw/zinc/70/44/49/1037704449.db2.gz NEEHKEOIKXPZJA-ZEPSKSRBSA-N 0 3 314.256 4.338 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1cnn(C2CCCC2)c1 ZINC000834885765 1037705789 /nfs/dbraw/zinc/70/57/89/1037705789.db2.gz XVEVKVQENGNOGS-SMDDNHRTSA-N 0 3 316.276 4.233 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C(C)(C)C)c2C)cc1 ZINC000276325769 1037706256 /nfs/dbraw/zinc/70/62/56/1037706256.db2.gz HRLMAWITWBSLLF-ZIAGYGMSSA-N 0 3 315.461 4.367 20 0 DIADHN CSc1ccc(CN2CCC(c3ccncc3)CC2)s1 ZINC000581520316 1037710087 /nfs/dbraw/zinc/71/00/87/1037710087.db2.gz QVWOSPYLCJIEFH-UHFFFAOYSA-N 0 3 304.484 4.245 20 0 DIADHN Brc1cccc2c1CCC[C@H]2NCc1cccnc1 ZINC000341193424 1037723913 /nfs/dbraw/zinc/72/39/13/1037723913.db2.gz NWGBXWFXPOAFAR-MRXNPFEDSA-N 0 3 317.230 4.011 20 0 DIADHN CC[C@H](NCc1c(C2CC2)cnn1C)c1ccc(Cl)cc1 ZINC000656687787 1037795787 /nfs/dbraw/zinc/79/57/87/1037795787.db2.gz LUVQBVJLKFLXPE-INIZCTEOSA-N 0 3 303.837 4.192 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C)c(F)c2)c(C)c1OC ZINC000581623700 1037797176 /nfs/dbraw/zinc/79/71/76/1037797176.db2.gz YOQZJNFXVGQHGY-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN COc1cc([C@@H](C)NCc2ccsc2)ccc1OC(C)C ZINC000075755926 1037804149 /nfs/dbraw/zinc/80/41/49/1037804149.db2.gz OKNLQFDMIONSPY-CYBMUJFWSA-N 0 3 305.443 4.395 20 0 DIADHN FC(F)Oc1cc(CNCc2csc3ccccc23)ccn1 ZINC000341262398 1037821436 /nfs/dbraw/zinc/82/14/36/1037821436.db2.gz CEZXEWGOYJPJFA-UHFFFAOYSA-N 0 3 320.364 4.188 20 0 DIADHN CCOc1cc(CNCc2ccc(C)cc2)cc(Cl)c1O ZINC000076275294 1037824711 /nfs/dbraw/zinc/82/47/11/1037824711.db2.gz LDLWMLSKAXNYLI-UHFFFAOYSA-N 0 3 305.805 4.043 20 0 DIADHN CCOc1cc(C[NH2+]Cc2ccc(C)cc2)cc(Cl)c1[O-] ZINC000076275294 1037824733 /nfs/dbraw/zinc/82/47/33/1037824733.db2.gz LDLWMLSKAXNYLI-UHFFFAOYSA-N 0 3 305.805 4.043 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cnc(C)s2)C2CC2)cc1 ZINC000090400999 1037827028 /nfs/dbraw/zinc/82/70/28/1037827028.db2.gz ZCZXHTMBSYONTA-PIGZYNQJSA-N 0 3 302.443 4.262 20 0 DIADHN Fc1ccc(CC2CN(Cc3nccc4ccccc43)C2)cc1F ZINC000656773009 1037859600 /nfs/dbraw/zinc/85/96/00/1037859600.db2.gz TWWPXPOGEHLDKE-UHFFFAOYSA-N 0 3 324.374 4.188 20 0 DIADHN c1csc(CCCNCc2csc(-c3ccsc3)n2)n1 ZINC000076892525 1037864642 /nfs/dbraw/zinc/86/46/42/1037864642.db2.gz XXYOPUBYEOKXBJ-UHFFFAOYSA-N 0 3 321.496 4.051 20 0 DIADHN CC[C@H](NC(=O)CN1CCC[C@@H](C)[C@@H]1C)c1ccc(Cl)cc1 ZINC001167477723 1037898069 /nfs/dbraw/zinc/89/80/69/1037898069.db2.gz HBHIDZFYUHTBIU-KEYYUXOJSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc(Cl)nc1CN1CC[C@@H](c2ccc(F)cc2)C1 ZINC001140444457 1037906011 /nfs/dbraw/zinc/90/60/11/1037906011.db2.gz UDJUTHNURWDQCJ-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN c1ccc(Oc2cccnc2)c(CNC2([C@H]3CCCCO3)CC2)c1 ZINC000933377141 1037929059 /nfs/dbraw/zinc/92/90/59/1037929059.db2.gz FXDCNPWTJFSPSL-LJQANCHMSA-N 0 3 324.424 4.065 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCc3c(Cl)cccc32)ccn1 ZINC000348683297 1037949027 /nfs/dbraw/zinc/94/90/27/1037949027.db2.gz OUDOMHWZIDFYOF-PXAZEXFGSA-N 0 3 316.832 4.472 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCc3c(Cl)cccc32)ccn1 ZINC000348683298 1037949233 /nfs/dbraw/zinc/94/92/33/1037949233.db2.gz OUDOMHWZIDFYOF-SJCJKPOMSA-N 0 3 316.832 4.472 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc(C)s1)c1ccc2c(c1)OCCO2 ZINC000090404135 1037956936 /nfs/dbraw/zinc/95/69/36/1037956936.db2.gz PMLSYGYBDQFZFO-FZMZJTMJSA-N 0 3 318.442 4.025 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC1(C(F)F)CC1 ZINC000684409687 1037957653 /nfs/dbraw/zinc/95/76/53/1037957653.db2.gz XMPXKTUVHADERU-UHFFFAOYSA-N 0 3 311.706 4.076 20 0 DIADHN CN(C)[C@H](CNc1nccc2sccc21)c1cccc(F)c1 ZINC000597895791 1037973377 /nfs/dbraw/zinc/97/33/77/1037973377.db2.gz MDQQHWGEHLLYOX-OAHLLOKOSA-N 0 3 315.417 4.150 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2ccnc(N(C)C)c2)cc1 ZINC001167482136 1037978844 /nfs/dbraw/zinc/97/88/44/1037978844.db2.gz FUYGZGDGWYZNTM-LJQANCHMSA-N 0 3 309.457 4.183 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125535169 1038003651 /nfs/dbraw/zinc/00/36/51/1038003651.db2.gz GHEUNOBXGSYLHJ-IBGZPJMESA-N 0 3 322.537 4.317 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@H](Cc1cccnc1)c1ccc(Cl)cc1 ZINC000581839012 1038016132 /nfs/dbraw/zinc/01/61/32/1038016132.db2.gz LRXCUGVFTKJELB-FGTMMUONSA-N 0 3 318.823 4.499 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1ccnn1CC1CCC1 ZINC000926190512 1038027027 /nfs/dbraw/zinc/02/70/27/1038027027.db2.gz VJGRBCFYDGMQGG-CZUORRHYSA-N 0 3 315.486 4.473 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CN1C2CCC1CC2 ZINC000891555283 1038037426 /nfs/dbraw/zinc/03/74/26/1038037426.db2.gz KQQCSKLZAHNZTP-UHFFFAOYSA-N 0 3 308.447 4.222 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc3ccc(F)c(F)c3n2)C1 ZINC000602670598 1038066009 /nfs/dbraw/zinc/06/60/09/1038066009.db2.gz QHIPAZFSQVSHRD-LBPRGKRZSA-N 0 3 322.424 4.088 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc([C@H]4C[C@@H]4C)o3)CC2)cn1 ZINC000341528485 1038070367 /nfs/dbraw/zinc/07/03/67/1038070367.db2.gz KEYDCXWFZSRWGZ-LIRRHRJNSA-N 0 3 310.441 4.486 20 0 DIADHN CSC1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000602727012 1038081463 /nfs/dbraw/zinc/08/14/63/1038081463.db2.gz WAGXNQMAFFVEIF-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1cnc2ccsc2c1 ZINC000126529627 1038103335 /nfs/dbraw/zinc/10/33/35/1038103335.db2.gz UAWXQCSDPSNZLA-CYBMUJFWSA-N 0 3 312.438 4.294 20 0 DIADHN C[C@H](NCc1ccc(Br)c(F)c1)c1ccccc1 ZINC000083798959 1038109664 /nfs/dbraw/zinc/10/96/64/1038109664.db2.gz OPJCSZAGUITDFL-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2cccc(-n3ccnc3)c2)s1 ZINC000090418408 1038115318 /nfs/dbraw/zinc/11/53/18/1038115318.db2.gz KSMOOAYNIHRHIG-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN C=CCOc1ccc(CN[C@H](C)c2ccc3c(c2)CCO3)cc1 ZINC000060951004 1038122402 /nfs/dbraw/zinc/12/24/02/1038122402.db2.gz WEPVBRVZWGHMJS-OAHLLOKOSA-N 0 3 309.409 4.037 20 0 DIADHN Cc1csc(C(=O)Nc2ccc(C)cc2CN(C)C)c1Cl ZINC000276700079 1038138609 /nfs/dbraw/zinc/13/86/09/1038138609.db2.gz WUSYNSJYGJGTEC-UHFFFAOYSA-N 0 3 322.861 4.332 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)s1 ZINC000598635258 1038142292 /nfs/dbraw/zinc/14/22/92/1038142292.db2.gz CIQZTFUCGGPBKC-ZSEKCTLFSA-N 0 3 321.511 4.289 20 0 DIADHN CC(C)c1ccc([C@H](NCCC(C)(C)O)c2cccnc2)cc1 ZINC000926214208 1038160825 /nfs/dbraw/zinc/16/08/25/1038160825.db2.gz VVKVLDHQGBFXQE-IBGZPJMESA-N 0 3 312.457 4.045 20 0 DIADHN CCCNCc1c(C)nn(Cc2ccccc2Cl)c1Cl ZINC000061003442 1038160720 /nfs/dbraw/zinc/16/07/20/1038160720.db2.gz RKHFWDXLSUHJKN-UHFFFAOYSA-N 0 3 312.244 4.046 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2ccc(OCC(F)(F)F)nc2)C1 ZINC001167490630 1038164211 /nfs/dbraw/zinc/16/42/11/1038164211.db2.gz FPIMKIRPUWXBPL-HNNXBMFYSA-N 0 3 316.367 4.035 20 0 DIADHN COc1ccc([C@H](N[C@H](C)COC(C)C)c2ccccc2)cc1 ZINC000669678842 1038196934 /nfs/dbraw/zinc/19/69/34/1038196934.db2.gz RLSVWCIUIZCNQK-OXQOHEQNSA-N 0 3 313.441 4.188 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)COC(C)C)c2ccccc2)cc1 ZINC000669678840 1038197922 /nfs/dbraw/zinc/19/79/22/1038197922.db2.gz RLSVWCIUIZCNQK-JXFKEZNVSA-N 0 3 313.441 4.188 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)COC(C)C)c2ccccc2)cc1 ZINC000669678843 1038197999 /nfs/dbraw/zinc/19/79/99/1038197999.db2.gz RLSVWCIUIZCNQK-UZLBHIALSA-N 0 3 313.441 4.188 20 0 DIADHN Cc1cc(CN2CCC(OC(C)(C)C)CC2)c2c(n1)CCCC2 ZINC000891789487 1038198495 /nfs/dbraw/zinc/19/84/95/1038198495.db2.gz MCKBPRCCRRLIPM-UHFFFAOYSA-N 0 3 316.489 4.048 20 0 DIADHN Cc1nc2ccccc2nc1CN(Cc1ccccc1)C(C)C ZINC000052877126 1038199174 /nfs/dbraw/zinc/19/91/74/1038199174.db2.gz SDQJLQIVYIPCFZ-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN C[C@](O)(CNCc1c(Cl)oc2ccccc21)c1ccccc1 ZINC000761313383 1038212156 /nfs/dbraw/zinc/21/21/56/1038212156.db2.gz MUGRUPLXUWCDHE-SFHVURJKSA-N 0 3 315.800 4.084 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2ccc([S@@](C)=O)cc2)c1 ZINC000599032497 1038218269 /nfs/dbraw/zinc/21/82/69/1038218269.db2.gz SKANEYLUQAVHPG-IVZQSRNASA-N 0 3 315.482 4.398 20 0 DIADHN Cc1ccc(-c2nc(CNCCc3ccc(O)cc3)cs2)cc1 ZINC000603511770 1038235699 /nfs/dbraw/zinc/23/56/99/1038235699.db2.gz YYBOECCFNPFSSH-UHFFFAOYSA-N 0 3 324.449 4.156 20 0 DIADHN CCOC(=O)CC1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC000603554570 1038242189 /nfs/dbraw/zinc/24/21/89/1038242189.db2.gz LZPPDKZGWUKEDY-CYBMUJFWSA-N 0 3 309.837 4.066 20 0 DIADHN Cc1ccc(C)c(OC2CCN([C@@H](C)c3ccncc3)CC2)c1 ZINC000599207426 1038256772 /nfs/dbraw/zinc/25/67/72/1038256772.db2.gz RQMWQUGJNMITOL-KRWDZBQOSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(C(F)F)cc2)c(CN(C)C)c1 ZINC000603694891 1038265494 /nfs/dbraw/zinc/26/54/94/1038265494.db2.gz ZBXYATRWIPAAOL-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cc1ccc([S@](C)=O)cc1 ZINC000349613915 1038267359 /nfs/dbraw/zinc/26/73/59/1038267359.db2.gz MUMPGDMDSXIDBU-ZHRRBRCNSA-N 0 3 315.482 4.225 20 0 DIADHN CC(C)=C[C@H]1[C@@H](C(=O)Nc2ccc(C)cc2CN(C)C)C1(C)C ZINC000603694983 1038267406 /nfs/dbraw/zinc/26/74/06/1038267406.db2.gz WIESLMZGLLAIDM-WMZOPIPTSA-N 0 3 314.473 4.234 20 0 DIADHN CCCC[C@@H](NCc1ccnc(OC)c1)c1ccc(OC)cc1 ZINC000341788025 1038270561 /nfs/dbraw/zinc/27/05/61/1038270561.db2.gz IUZAOZRQFRJJOA-GOSISDBHSA-N 0 3 314.429 4.120 20 0 DIADHN Clc1cccc(N2CCN(c3ccnc4ccccc43)CC2)c1 ZINC000599435342 1038284332 /nfs/dbraw/zinc/28/43/32/1038284332.db2.gz JVYBTRAGRBRZAO-UHFFFAOYSA-N 0 3 323.827 4.215 20 0 DIADHN C[C@@H](c1nc(-c2ccc3ncccc3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000276930315 1038285165 /nfs/dbraw/zinc/28/51/65/1038285165.db2.gz OBGAUUDRGPOMBB-MJBXVCDLSA-N 0 3 322.412 4.219 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCCOc1cccc(Cl)c1 ZINC000535667496 1038308698 /nfs/dbraw/zinc/30/86/98/1038308698.db2.gz AGIZNMYZHBWHII-CQSZACIVSA-N 0 3 304.821 4.197 20 0 DIADHN C[C@@H](c1nc(-c2cccc3cnccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276979742 1038310840 /nfs/dbraw/zinc/31/08/40/1038310840.db2.gz VQPHDLGOVKHQLR-MJBXVCDLSA-N 0 3 322.412 4.219 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@H]1CCC12CCC2 ZINC000669703001 1038317187 /nfs/dbraw/zinc/31/71/87/1038317187.db2.gz ZTFQLLRKPNZBOV-SFHVURJKSA-N 0 3 316.514 4.288 20 0 DIADHN CCOc1ccc(CN(C)[C@H](C)c2ccc(F)cc2)cc1OC ZINC000535782051 1038334952 /nfs/dbraw/zinc/33/49/52/1038334952.db2.gz FUWHTPXMXKIUDI-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2[nH]cnc2c1 ZINC000535780252 1038339830 /nfs/dbraw/zinc/33/98/30/1038339830.db2.gz HPMNTZLAMVRVKX-LLVKDONJSA-N 0 3 301.340 4.034 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2nc[nH]c2c1 ZINC000535780252 1038339846 /nfs/dbraw/zinc/33/98/46/1038339846.db2.gz HPMNTZLAMVRVKX-LLVKDONJSA-N 0 3 301.340 4.034 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(-c3ccccc3F)o2)CC1 ZINC000535796536 1038342661 /nfs/dbraw/zinc/34/26/61/1038342661.db2.gz DDUGGANJXOUTGV-UHFFFAOYSA-N 0 3 317.404 4.475 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc(N3CCCC3)nc2)cc1 ZINC000131770369 1038346450 /nfs/dbraw/zinc/34/64/50/1038346450.db2.gz YRVPTLDFGJHMRV-KRWDZBQOSA-N 0 3 323.484 4.485 20 0 DIADHN C[C@@H](c1nc(-c2ccc3[nH]ccc3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000276997261 1038349480 /nfs/dbraw/zinc/34/94/80/1038349480.db2.gz YSFGYUYCBRZBQA-XQQFMLRXSA-N 0 3 310.401 4.152 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnc(C)s1)c1ccccc1Cl ZINC000090453639 1038382029 /nfs/dbraw/zinc/38/20/29/1038382029.db2.gz RVLYVSZFUMZFFY-QMTHXVAHSA-N 0 3 310.850 4.143 20 0 DIADHN CCc1ccccc1CN[C@@H](Cc1nc(C)no1)c1ccccc1 ZINC000277145277 1038387716 /nfs/dbraw/zinc/38/77/16/1038387716.db2.gz JINUTGLFRPPJDE-IBGZPJMESA-N 0 3 321.424 4.014 20 0 DIADHN Cc1nc2ccccc2n1C1CCN([C@@H](C)c2ccncc2)CC1 ZINC000684620979 1038399518 /nfs/dbraw/zinc/39/95/18/1038399518.db2.gz RPJSMCLEQCZOGV-HNNXBMFYSA-N 0 3 320.440 4.138 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCCC4(CC4)CC3)o2)cc1 ZINC000626113294 1038405725 /nfs/dbraw/zinc/40/57/25/1038405725.db2.gz MQNDLUDETRZWLY-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN Cc1cccn2cc(CN3C[C@@H](c4ccccc4)C[C@@H]3C)nc12 ZINC000604392930 1038411669 /nfs/dbraw/zinc/41/16/69/1038411669.db2.gz LIUMAOPRFMIJBV-WMZOPIPTSA-N 0 3 305.425 4.021 20 0 DIADHN C[C@@H](CCC1CCCCC1)N[C@@H](C(=O)N(C)C)c1ccccc1 ZINC000604773461 1038445999 /nfs/dbraw/zinc/44/59/99/1038445999.db2.gz ORBGYVJMWXXYEH-QFBILLFUSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1nc(Cc2ccccc2)sc1[C@H](C)NC1CC(F)(F)C1 ZINC000277206036 1038450632 /nfs/dbraw/zinc/45/06/32/1038450632.db2.gz WBVNMXZAAKXXQK-NSHDSACASA-N 0 3 322.424 4.491 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNC(C)(C)c1cccs1 ZINC000604891950 1038463294 /nfs/dbraw/zinc/46/32/94/1038463294.db2.gz DPNKXPXPSPMGEI-UHFFFAOYSA-N 0 3 311.454 4.267 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nnc(-c2cccc(F)c2)o1)C1CC1 ZINC000075717332 1038470235 /nfs/dbraw/zinc/47/02/35/1038470235.db2.gz HERDPACTKJQQSV-OLZOCXBDSA-N 0 3 317.408 4.275 20 0 DIADHN CC[C@H]1CCCN(Cc2coc(-c3ccc(F)cc3)n2)CC1 ZINC000604998894 1038474598 /nfs/dbraw/zinc/47/45/98/1038474598.db2.gz ONPOIQBQAWRZRB-AWEZNQCLSA-N 0 3 302.393 4.493 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000605000617 1038475742 /nfs/dbraw/zinc/47/57/42/1038475742.db2.gz KRJFABQMVAPWBE-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCCC[C@@H]1C(C)C ZINC000605000649 1038475772 /nfs/dbraw/zinc/47/57/72/1038475772.db2.gz NLFIHBFROHUUMO-GOSISDBHSA-N 0 3 302.462 4.259 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000605000341 1038476001 /nfs/dbraw/zinc/47/60/01/1038476001.db2.gz JOZXFQREXNVGQT-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCCC[C@H]1C(C)C ZINC000605000650 1038476550 /nfs/dbraw/zinc/47/65/50/1038476550.db2.gz NLFIHBFROHUUMO-SFHVURJKSA-N 0 3 302.462 4.259 20 0 DIADHN FC1(F)CC[C@H](NCc2cc(Cl)ccc2OCC2CC2)C1 ZINC000684662472 1038477568 /nfs/dbraw/zinc/47/75/68/1038477568.db2.gz JHCCPIKTTQDIMK-AWEZNQCLSA-N 0 3 315.791 4.406 20 0 DIADHN C[C@@H](CO)CCCNCc1c(Cl)ccc(Cl)c1Cl ZINC000230161595 1038483566 /nfs/dbraw/zinc/48/35/66/1038483566.db2.gz BROZDQNBCPPNQR-SECBINFHSA-N 0 3 310.652 4.145 20 0 DIADHN COc1cc(C)c(CN(C)[C@@H](C)c2ccc(F)cc2)cc1OC ZINC000216367575 1038486023 /nfs/dbraw/zinc/48/60/23/1038486023.db2.gz GTLAYJSDCLYXOQ-AWEZNQCLSA-N 0 3 317.404 4.344 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(Cc2ccc3c(c2)COC3)C1 ZINC001167506110 1038493511 /nfs/dbraw/zinc/49/35/11/1038493511.db2.gz YPNLTRXBYYJEBX-JLTOFOAXSA-N 0 3 311.400 4.439 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccsc1C(F)F ZINC000934170011 1038494398 /nfs/dbraw/zinc/49/43/98/1038494398.db2.gz YPGRJPOWFFVSPL-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN CC(C)(C)[C@H]1OCCC[C@@H]1CNCc1c(F)cccc1Cl ZINC000605323444 1038507313 /nfs/dbraw/zinc/50/73/13/1038507313.db2.gz WYDBVMKYIAKOKB-WBMJQRKESA-N 0 3 313.844 4.410 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000657293117 1038509300 /nfs/dbraw/zinc/50/93/00/1038509300.db2.gz XZIHGICIGLIYCE-GOSISDBHSA-N 0 3 315.848 4.192 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3c(cccc3C)[nH]2)cc1F ZINC000657296040 1038511938 /nfs/dbraw/zinc/51/19/38/1038511938.db2.gz OWERFSUGQWBBDI-CYBMUJFWSA-N 0 3 312.388 4.475 20 0 DIADHN CC[C@H](C(=O)Nc1ccc2oc(C(C)C)nc2c1)N(CC)CC ZINC000605424562 1038514951 /nfs/dbraw/zinc/51/49/51/1038514951.db2.gz HHJRUPKNIPGODH-OAHLLOKOSA-N 0 3 317.433 4.010 20 0 DIADHN CCCOc1ccc(CNCc2ccccc2COC)c(C)c1 ZINC000657299360 1038521975 /nfs/dbraw/zinc/52/19/75/1038521975.db2.gz UXXOVRIKTWMCHL-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cnc(C2CC2)s1 ZINC000093102445 1038529711 /nfs/dbraw/zinc/52/97/11/1038529711.db2.gz OCWLYEMUEBHLRN-CYBMUJFWSA-N 0 3 316.470 4.092 20 0 DIADHN CCOCc1ccc(CNCc2cc3ccc(C)cc3[nH]2)cc1 ZINC000657306766 1038534572 /nfs/dbraw/zinc/53/45/72/1038534572.db2.gz VZNKJOGZGGWOLK-UHFFFAOYSA-N 0 3 308.425 4.303 20 0 DIADHN CN(C)c1ccc(CNCc2cccc(C3CCCC3)c2)cn1 ZINC000657306576 1038534929 /nfs/dbraw/zinc/53/49/29/1038534929.db2.gz NDNZJBFUKROMEK-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN FC(F)c1cccc(-c2noc(C3CCN(C4CC4)CC3)n2)c1 ZINC000350272303 1038538142 /nfs/dbraw/zinc/53/81/42/1038538142.db2.gz HMUFKBMNTXUZHO-UHFFFAOYSA-N 0 3 319.355 4.016 20 0 DIADHN CCN(CCc1nccs1)Cc1ccc(C(F)F)c(F)c1 ZINC000892138113 1038542571 /nfs/dbraw/zinc/54/25/71/1038542571.db2.gz AREHZFCGDQDZBU-UHFFFAOYSA-N 0 3 314.376 4.284 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cc3c(cccc3C)[nH]2)c1 ZINC000657313253 1038545747 /nfs/dbraw/zinc/54/57/47/1038545747.db2.gz IDEGFBMGXIBNMI-UHFFFAOYSA-N 0 3 321.424 4.115 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1cn2ccsc2n1 ZINC000605732684 1038548671 /nfs/dbraw/zinc/54/86/71/1038548671.db2.gz RWUZELKJZVVZOF-LBPRGKRZSA-N 0 3 319.861 4.112 20 0 DIADHN Fc1ccc(C[C@@H]2CCN(c3ccnc4ccccc43)C2)cc1 ZINC000605698759 1038548942 /nfs/dbraw/zinc/54/89/42/1038548942.db2.gz QNAKUPIWRSVFFI-INIZCTEOSA-N 0 3 306.384 4.443 20 0 DIADHN Cc1cc(CN[C@@H](Cc2ccccc2)c2sccc2C)[nH]n1 ZINC000605827566 1038550617 /nfs/dbraw/zinc/55/06/17/1038550617.db2.gz ZNXIFHHYUDPAPC-KRWDZBQOSA-N 0 3 311.454 4.162 20 0 DIADHN Fc1ccc(C[C@H]2CCN(Cc3cccc(F)c3F)C2)cc1 ZINC000605760121 1038550910 /nfs/dbraw/zinc/55/09/10/1038550910.db2.gz WUVIBANTLSBHQA-CQSZACIVSA-N 0 3 305.343 4.169 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCCC(C)(C)CC1 ZINC001167529255 1038551319 /nfs/dbraw/zinc/55/13/19/1038551319.db2.gz GAWMPPTWHBAFGV-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1cc2c(cc1Cl)OCCO2 ZINC001167543964 1038563523 /nfs/dbraw/zinc/56/35/23/1038563523.db2.gz GYOQZWDOSYENHP-MRXNPFEDSA-N 0 3 309.837 4.122 20 0 DIADHN CC(C)n1ccnc1CNCC(C)(C)c1ccc(Cl)cc1 ZINC000657323944 1038568357 /nfs/dbraw/zinc/56/83/57/1038568357.db2.gz JPSXTJSGQDFHSY-UHFFFAOYSA-N 0 3 305.853 4.185 20 0 DIADHN COCc1ccc(CN[C@@H](c2ncccc2C)C(C)(C)C)cc1 ZINC000934288024 1038578129 /nfs/dbraw/zinc/57/81/29/1038578129.db2.gz IIFPTIQMCBALDC-IBGZPJMESA-N 0 3 312.457 4.413 20 0 DIADHN CCc1cccc2cc(CN[C@H]3CCCc4[nH]c(=O)ccc43)oc21 ZINC000657336588 1038578374 /nfs/dbraw/zinc/57/83/74/1038578374.db2.gz VBPMCUKKCLPUJQ-KRWDZBQOSA-N 0 3 322.408 4.263 20 0 DIADHN CCC(C)(C)C(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000072084537 1038586620 /nfs/dbraw/zinc/58/66/20/1038586620.db2.gz ZTPCEQQTNKAFGO-OAHLLOKOSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000152243596 1038596449 /nfs/dbraw/zinc/59/64/49/1038596449.db2.gz DPCKZGBCBOMWIS-WBMJQRKESA-N 0 3 324.424 4.238 20 0 DIADHN CC(C)(C)CCC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000606364866 1038597388 /nfs/dbraw/zinc/59/73/88/1038597388.db2.gz UDZULBONTVIQQG-GOSISDBHSA-N 0 3 316.489 4.156 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2occc21)c1ccc2c(c1)OCCO2 ZINC000152247623 1038597959 /nfs/dbraw/zinc/59/79/59/1038597959.db2.gz LSHXVOZOJVBXFZ-JKSUJKDBSA-N 0 3 313.397 4.169 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000657359970 1038598882 /nfs/dbraw/zinc/59/88/82/1038598882.db2.gz SNCLDFXUFNYAMS-HNNXBMFYSA-N 0 3 301.434 4.022 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000657359971 1038599588 /nfs/dbraw/zinc/59/95/88/1038599588.db2.gz SNCLDFXUFNYAMS-OAHLLOKOSA-N 0 3 301.434 4.022 20 0 DIADHN FC(F)c1cccc(CN2CC[C@H](Cc3nccs3)C2)c1 ZINC000934331290 1038601941 /nfs/dbraw/zinc/60/19/41/1038601941.db2.gz ANQXTTFNBGXSFP-CYBMUJFWSA-N 0 3 308.397 4.145 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(SC)c1 ZINC000606434157 1038601979 /nfs/dbraw/zinc/60/19/79/1038601979.db2.gz NGHRYGYXVYCCBQ-PBHICJAKSA-N 0 3 320.502 4.248 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCC[C@H](C)[C@H]1c1ccc(C)cc1 ZINC000606452246 1038604672 /nfs/dbraw/zinc/60/46/72/1038604672.db2.gz PXFONGXOPVBTRX-LPHOPBHVSA-N 0 3 316.489 4.073 20 0 DIADHN CCOc1cc(CNCc2cc3ccc(C)cc3[nH]2)ccc1OC ZINC000657361656 1038604679 /nfs/dbraw/zinc/60/46/79/1038604679.db2.gz PJIVHHIOABPVDB-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCC[C@@H](C)[C@@H]1c1ccc(C)cc1 ZINC000606452248 1038605223 /nfs/dbraw/zinc/60/52/23/1038605223.db2.gz PXFONGXOPVBTRX-VQIMIIECSA-N 0 3 316.489 4.073 20 0 DIADHN CC[C@H](NCCOc1ccc(OC)cc1)c1ccc(F)cc1F ZINC000606466764 1038606264 /nfs/dbraw/zinc/60/62/64/1038606264.db2.gz NHOJDULZZMGIEU-SFHVURJKSA-N 0 3 321.367 4.093 20 0 DIADHN O=C(Nc1cccc(Oc2ccccc2)c1)C12CCCN1CCC2 ZINC000582194631 1038611123 /nfs/dbraw/zinc/61/11/23/1038611123.db2.gz SOJYOUADVFHCEB-UHFFFAOYSA-N 0 3 322.408 4.046 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](NCc1cnn2c1CCC2)C(C)C ZINC000657369320 1038616014 /nfs/dbraw/zinc/61/60/14/1038616014.db2.gz DFFBEJFFDQOMRN-IBGZPJMESA-N 0 3 323.440 4.371 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000051531794 1038616991 /nfs/dbraw/zinc/61/69/91/1038616991.db2.gz LQRJZXHGJJDBGN-FOIQADDNSA-N 0 3 313.416 4.332 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](CCCO)c3ccccc3)cc21 ZINC000657372976 1038618780 /nfs/dbraw/zinc/61/87/80/1038618780.db2.gz NBJMJUVYRQJLHP-IBGZPJMESA-N 0 3 308.425 4.080 20 0 DIADHN CC(C)C[C@@H](NCc1cnn2c1CCC2)c1ccc(Cl)cc1 ZINC000657374171 1038619819 /nfs/dbraw/zinc/61/98/19/1038619819.db2.gz JANGZLOYDQOUQN-QGZVFWFLSA-N 0 3 317.864 4.360 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](c3cnn(C)c3)C(C)(C)C)cc21 ZINC000657386413 1038627750 /nfs/dbraw/zinc/62/77/50/1038627750.db2.gz BDTPISYZMBPBAI-GOSISDBHSA-N 0 3 310.445 4.087 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](c3cnn(C)c3)C(C)(C)C)cc21 ZINC000657386414 1038627768 /nfs/dbraw/zinc/62/77/68/1038627768.db2.gz BDTPISYZMBPBAI-SFHVURJKSA-N 0 3 310.445 4.087 20 0 DIADHN O=C(C1CCCCCC1)N(CCN1CCCC1)c1ccccc1 ZINC000606813636 1038629963 /nfs/dbraw/zinc/62/99/63/1038629963.db2.gz GZMKTAMQHSTLNX-UHFFFAOYSA-N 0 3 314.473 4.086 20 0 DIADHN Cc1cccc([C@@H](NCc2ccnn2CC2CC2)C(C)(C)C)c1 ZINC000657390261 1038632227 /nfs/dbraw/zinc/63/22/27/1038632227.db2.gz VRVIGECGQXCUSN-LJQANCHMSA-N 0 3 311.473 4.479 20 0 DIADHN COc1cccc(C2(NCc3cc4c(cccc4C)[nH]3)CC2)c1 ZINC000657393938 1038633829 /nfs/dbraw/zinc/63/38/29/1038633829.db2.gz GOTZZGJPTMRCHN-UHFFFAOYSA-N 0 3 306.409 4.264 20 0 DIADHN COCc1csc(CNCc2ccc(C)cc2SC)c1 ZINC000657392978 1038634321 /nfs/dbraw/zinc/63/43/21/1038634321.db2.gz HISIKEMXAVVMKW-UHFFFAOYSA-N 0 3 307.484 4.215 20 0 DIADHN Cc1ccc(-c2cccc(CNCc3cnc4n3CCC4)c2)cc1 ZINC000657394301 1038635028 /nfs/dbraw/zinc/63/50/28/1038635028.db2.gz NADNCSBNMJJMQO-UHFFFAOYSA-N 0 3 317.436 4.095 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000606900485 1038637964 /nfs/dbraw/zinc/63/79/64/1038637964.db2.gz DZMBHBQZWIGCEC-HNNXBMFYSA-N 0 3 303.381 4.030 20 0 DIADHN Cc1ccc(OCc2nc(CNC[C@@H](C)C(C)C)cs2)cc1 ZINC000606915265 1038639967 /nfs/dbraw/zinc/63/99/67/1038639967.db2.gz XEUFAPZZOWSHNU-OAHLLOKOSA-N 0 3 318.486 4.412 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccc(-c2ccncc2)cc1 ZINC000606914320 1038640223 /nfs/dbraw/zinc/64/02/23/1038640223.db2.gz LKIUJIAAVFDNRU-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CC[C@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc2ccccc2c1 ZINC001167567967 1038645276 /nfs/dbraw/zinc/64/52/76/1038645276.db2.gz MMGYVIHISHTNNU-SFTDATJTSA-N 0 3 324.468 4.061 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@@H](c2ccncc2)C1 ZINC000582398480 1038657054 /nfs/dbraw/zinc/65/70/54/1038657054.db2.gz DJADPZCLDCWRFE-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc3c(c2)OCCCO3)s1 ZINC000047892517 1038663716 /nfs/dbraw/zinc/66/37/16/1038663716.db2.gz MKKQKVFMZXIHPP-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN CC(C)C[C@H]1CCCCCN1Cc1nc(-c2ccco2)no1 ZINC000607157028 1038664174 /nfs/dbraw/zinc/66/41/74/1038664174.db2.gz WWEMJNAAKPHAAP-CQSZACIVSA-N 0 3 303.406 4.120 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1Cc1nc(-c2ccco2)no1 ZINC000607157027 1038664453 /nfs/dbraw/zinc/66/44/53/1038664453.db2.gz WWEMJNAAKPHAAP-AWEZNQCLSA-N 0 3 303.406 4.120 20 0 DIADHN Cc1ccc2cc(CNCc3ccc(OC(C)(C)C)nc3)[nH]c2c1 ZINC000657430050 1038668877 /nfs/dbraw/zinc/66/88/77/1038668877.db2.gz LIXJBYURKSBTBL-UHFFFAOYSA-N 0 3 323.440 4.338 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2C[C@H](C)[C@H]2c2ccccc2)cc1 ZINC001167575621 1038672436 /nfs/dbraw/zinc/67/24/36/1038672436.db2.gz FGTRFAYZXUZION-JXFKEZNVSA-N 0 3 323.436 4.445 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1ccnn1CC1CC1 ZINC000657434325 1038672487 /nfs/dbraw/zinc/67/24/87/1038672487.db2.gz FXUAVSIAVNKLOO-MGPUTAFESA-N 0 3 309.457 4.096 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1ccnn1CC1CC1 ZINC000657434327 1038672803 /nfs/dbraw/zinc/67/28/03/1038672803.db2.gz FXUAVSIAVNKLOO-YWZLYKJASA-N 0 3 309.457 4.096 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(C)s2)cc1OC ZINC000049159000 1038673955 /nfs/dbraw/zinc/67/39/55/1038673955.db2.gz NMVOKFDDJUQJHB-CYBMUJFWSA-N 0 3 305.443 4.315 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(C)s2)ccc1OC ZINC000049158948 1038674074 /nfs/dbraw/zinc/67/40/74/1038674074.db2.gz KQVFURYZXYQHOM-ZDUSSCGKSA-N 0 3 305.443 4.315 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1cccc(CN(C)C)c1 ZINC000607385294 1038674123 /nfs/dbraw/zinc/67/41/23/1038674123.db2.gz ALSJSSUQOPAVPL-HKUYNNGSSA-N 0 3 316.489 4.083 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2CCCc3ccccc32)cc1Cl ZINC000342613664 1038688628 /nfs/dbraw/zinc/68/86/28/1038688628.db2.gz IRLSRVMDOXHPFG-SJCJKPOMSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2CCCOC2)s1 ZINC000342612488 1038688918 /nfs/dbraw/zinc/68/89/18/1038688918.db2.gz GQKLTOSSQXVEMS-OAHLLOKOSA-N 0 3 305.443 4.078 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)C[C@H]1CC2(CO1)CCCCC2 ZINC001167582557 1038696102 /nfs/dbraw/zinc/69/61/02/1038696102.db2.gz TUOPJGZKJGRFFZ-GOSISDBHSA-N 0 3 312.457 4.339 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)C[C@@H]1CC2(CO1)CCCCC2 ZINC001167582558 1038696226 /nfs/dbraw/zinc/69/62/26/1038696226.db2.gz TUOPJGZKJGRFFZ-SFHVURJKSA-N 0 3 312.457 4.339 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccccc2SC)c1 ZINC000657459295 1038699347 /nfs/dbraw/zinc/69/93/47/1038699347.db2.gz XAWIJGREZKYILS-LBPRGKRZSA-N 0 3 307.484 4.467 20 0 DIADHN COC[C@H](C)Oc1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000657462521 1038701695 /nfs/dbraw/zinc/70/16/95/1038701695.db2.gz UOXIBGIBUNUIRM-KBPBESRZSA-N 0 3 305.443 4.013 20 0 DIADHN C[C@H](NCc1ccnn1CC1CC1)c1ccc(F)c2ccccc21 ZINC000657469236 1038705658 /nfs/dbraw/zinc/70/56/58/1038705658.db2.gz QTFVEFLFXWREBQ-AWEZNQCLSA-N 0 3 323.415 4.436 20 0 DIADHN CCSc1cccc(CNCc2cc(COC)cs2)c1 ZINC000657472165 1038707071 /nfs/dbraw/zinc/70/70/71/1038707071.db2.gz NYDXQQXLEVOLLP-UHFFFAOYSA-N 0 3 307.484 4.296 20 0 DIADHN CCOc1ccc(CNCc2ccnc(C(F)(F)F)c2)c(C)c1 ZINC000657478879 1038713579 /nfs/dbraw/zinc/71/35/79/1038713579.db2.gz PZZIUPITARTWPH-UHFFFAOYSA-N 0 3 324.346 4.097 20 0 DIADHN CCc1ccc(NC(=O)CN(C)C2CCC(CC)CC2)cc1 ZINC001167586920 1038714860 /nfs/dbraw/zinc/71/48/60/1038714860.db2.gz SRFJXPKWXPUGKQ-UHFFFAOYSA-N 0 3 302.462 4.088 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c(c1)ncn2C ZINC000342675840 1038715995 /nfs/dbraw/zinc/71/59/95/1038715995.db2.gz NTJHHNSQVTWJES-GFCCVEGCSA-N 0 3 315.367 4.045 20 0 DIADHN Cc1nc(C)c(CN2CCS[C@@H](c3ccccc3)C2)s1 ZINC000607735026 1038717785 /nfs/dbraw/zinc/71/77/85/1038717785.db2.gz RQWZJSHLSPFISG-MRXNPFEDSA-N 0 3 304.484 4.050 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000607742532 1038721090 /nfs/dbraw/zinc/72/10/90/1038721090.db2.gz LTABUKDOXBLQSH-OLZOCXBDSA-N 0 3 319.836 4.401 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CCC2(C1)CCCCC2 ZINC000607752451 1038721653 /nfs/dbraw/zinc/72/16/53/1038721653.db2.gz AAFHIWKOYHQSIP-INIZCTEOSA-N 0 3 314.473 4.232 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cn1cc[nH]c1=S ZINC000173366441 1038724586 /nfs/dbraw/zinc/72/45/86/1038724586.db2.gz SITDWDCNUGDNIS-INIZCTEOSA-N 0 3 303.475 4.155 20 0 DIADHN CN(c1ccccc1)C1CCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC001167589973 1038727770 /nfs/dbraw/zinc/72/77/70/1038727770.db2.gz LNVIOUJCGBJYMW-NRFANRHFSA-N 0 3 324.443 4.414 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCc3cccnc32)C(C)C)cc1 ZINC000684954347 1038739540 /nfs/dbraw/zinc/73/95/40/1038739540.db2.gz ZEVFAPQJUAEINW-RTBURBONSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cccnc21)c1cccc(N2CCCC2)c1 ZINC000684957536 1038741737 /nfs/dbraw/zinc/74/17/37/1038741737.db2.gz LZBIADAQSOYYMN-OXQOHEQNSA-N 0 3 321.468 4.410 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCc2cccnc21)c1cccc(Cl)c1 ZINC000684959891 1038743927 /nfs/dbraw/zinc/74/39/27/1038743927.db2.gz RJJWUTOCUVEQER-SJORKVTESA-N 0 3 316.832 4.090 20 0 DIADHN c1ccc([C@H](C[C@@H]2CCOC2)N[C@H]2CCCc3cccnc32)cc1 ZINC000684959630 1038744592 /nfs/dbraw/zinc/74/45/92/1038744592.db2.gz DQZBYMTWVZTTDH-VDGAXYAQSA-N 0 3 322.452 4.217 20 0 DIADHN CO[C@H](CN[C@H]1CCCc2cccnc21)c1ccccc1Cl ZINC000684960194 1038745062 /nfs/dbraw/zinc/74/50/62/1038745062.db2.gz UFQHSLLYMKZRLZ-DLBZAZTESA-N 0 3 316.832 4.090 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(F)cnc2Br)ccn1 ZINC001213162914 1038749136 /nfs/dbraw/zinc/74/91/36/1038749136.db2.gz MEBWLCXJSFEYTH-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN CN(Cc1cn[nH]c1)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000684966772 1038749593 /nfs/dbraw/zinc/74/95/93/1038749593.db2.gz OPWOLXLOSGFPCZ-KRWDZBQOSA-N 0 3 303.837 4.426 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)C[C@@H]1C[C@H]1C ZINC000128063287 1038754262 /nfs/dbraw/zinc/75/42/62/1038754262.db2.gz AXWKTVDHZGMJQG-OLZOCXBDSA-N 0 3 319.836 4.256 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc(-c3ccncc3)cc2)cnn1C ZINC000153011048 1038756737 /nfs/dbraw/zinc/75/67/37/1038756737.db2.gz WMZCAFDSYIQGFK-HUUCEWRRSA-N 0 3 320.440 4.202 20 0 DIADHN c1sc(-c2ccccn2)nc1CN[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000608064102 1038762517 /nfs/dbraw/zinc/76/25/17/1038762517.db2.gz ZEMMWOYUJLWAMT-RRFJBIMHSA-N 0 3 313.470 4.264 20 0 DIADHN Cc1cc([C@H](C)NCc2cccc(-c3cnn(C)c3)c2)c(C)o1 ZINC000342768046 1038765543 /nfs/dbraw/zinc/76/55/43/1038765543.db2.gz FUTFBNIXCDYRTG-AWEZNQCLSA-N 0 3 309.413 4.148 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccsc2)n1)c1ccccn1 ZINC000657534298 1038771605 /nfs/dbraw/zinc/77/16/05/1038771605.db2.gz WMTBXVHNHTWMJE-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CCC3(CCCC3)C2)n1 ZINC000173902561 1038818901 /nfs/dbraw/zinc/81/89/01/1038818901.db2.gz XJBVZPVNUQEQQX-OAHLLOKOSA-N 0 3 311.429 4.372 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(SC)cc2)cc(OC)c1 ZINC000075756142 1038821596 /nfs/dbraw/zinc/82/15/96/1038821596.db2.gz XDBACKYFUICVBF-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(C)o1)c1ccccc1Cl ZINC000061015701 1038837093 /nfs/dbraw/zinc/83/70/93/1038837093.db2.gz QEBQKBLMJAMBSQ-SFHVURJKSA-N 0 3 320.864 4.414 20 0 DIADHN CN(CCCc1cc(-c2ccccc2)no1)CCC(F)(F)F ZINC000077275649 1038861894 /nfs/dbraw/zinc/86/18/94/1038861894.db2.gz LYSJMSOCDALBTK-UHFFFAOYSA-N 0 3 312.335 4.158 20 0 DIADHN CCCc1nc(CN(CCC)[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)cs1 ZINC000077321837 1038865290 /nfs/dbraw/zinc/86/52/90/1038865290.db2.gz PFZBGFDRCLFUQB-UAGQMJEPSA-N 0 3 322.518 4.121 20 0 DIADHN Clc1cccc(CN2CC[C@@H](COCc3ccccc3)C2)c1 ZINC000608501181 1038865605 /nfs/dbraw/zinc/86/56/05/1038865605.db2.gz UMZFNWFOYIZADR-GOSISDBHSA-N 0 3 315.844 4.379 20 0 DIADHN COc1ccc(-c2cc(CN3CCC(C(C)C)CC3)on2)cc1 ZINC000608499377 1038869209 /nfs/dbraw/zinc/86/92/09/1038869209.db2.gz FMDFYDWMQHEQHF-UHFFFAOYSA-N 0 3 314.429 4.218 20 0 DIADHN CCN(Cc1nccn1CC)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000608510333 1038871852 /nfs/dbraw/zinc/87/18/52/1038871852.db2.gz UVKWECYECSFLDC-GOSISDBHSA-N 0 3 317.452 4.131 20 0 DIADHN Cc1ccncc1CCNCc1cc(Cl)sc1Cl ZINC000657589181 1038888953 /nfs/dbraw/zinc/88/89/53/1038888953.db2.gz TTWYIBPHKDJBLI-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN OCCC1(CNCc2c(Cl)cccc2Cl)CCCC1 ZINC000608579141 1038889965 /nfs/dbraw/zinc/88/99/65/1038889965.db2.gz AAAKPHORKZEWBM-UHFFFAOYSA-N 0 3 302.245 4.026 20 0 DIADHN c1cc2cc(CNCc3ccc4c(c3)OCCCCO4)ccc2o1 ZINC000657585873 1038893282 /nfs/dbraw/zinc/89/32/82/1038893282.db2.gz WBRSWABEQABFMX-UHFFFAOYSA-N 0 3 323.392 4.274 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CCC4(CCCC4)C3)o2)cc1 ZINC000174270590 1038912118 /nfs/dbraw/zinc/91/21/18/1038912118.db2.gz ZEZQHKKKLJHKCB-OAHLLOKOSA-N 0 3 311.429 4.372 20 0 DIADHN O[C@H](CCNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC000693641121 1038921160 /nfs/dbraw/zinc/92/11/60/1038921160.db2.gz VKDJZQYCQVNTRO-OAHLLOKOSA-N 0 3 310.224 4.207 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cnc(C2CC2)o1 ZINC000628158584 1038932427 /nfs/dbraw/zinc/93/24/27/1038932427.db2.gz RWTQDJNUFDZJNE-UHFFFAOYSA-N 0 3 306.409 4.380 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@](C)(O)c2cccc(Cl)c2)oc1C ZINC000800490090 1038955182 /nfs/dbraw/zinc/95/51/82/1038955182.db2.gz HBOXILKSXXGPBN-SJKOYZFVSA-N 0 3 307.821 4.108 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@](C)(O)c2ccccc2Cl)oc1C ZINC000800490639 1038962963 /nfs/dbraw/zinc/96/29/63/1038962963.db2.gz MZDGJFSTRUAZAC-SJKOYZFVSA-N 0 3 307.821 4.108 20 0 DIADHN C[C@]1(CNCc2ccc(Br)cc2Cl)CC1(F)F ZINC000657666036 1038972306 /nfs/dbraw/zinc/97/23/06/1038972306.db2.gz RZHPIIHDUANGOH-LLVKDONJSA-N 0 3 324.596 4.237 20 0 DIADHN C[C@@]1(CNCc2cc(C(F)(F)F)ccc2Cl)CC1(F)F ZINC000657664840 1038976907 /nfs/dbraw/zinc/97/69/07/1038976907.db2.gz MJMGOQHYIXUFJL-NSHDSACASA-N 0 3 313.697 4.494 20 0 DIADHN C[C@@]1(CCNCc2csc(-c3ccccc3)n2)CC1(F)F ZINC000657671470 1038981050 /nfs/dbraw/zinc/98/10/50/1038981050.db2.gz PEBOESBZNGUVGO-OAHLLOKOSA-N 0 3 308.397 4.335 20 0 DIADHN Fc1ccc(Br)c(CN[C@@H]2CCCC(F)(F)C2)c1 ZINC000534133091 1038993462 /nfs/dbraw/zinc/99/34/62/1038993462.db2.gz NCLFFTFZICQIQD-LLVKDONJSA-N 0 3 322.168 4.256 20 0 DIADHN C[C@@H](CN1CCN(c2cc(F)ccc2F)CC1)CC(C)(C)C ZINC001167630920 1038995727 /nfs/dbraw/zinc/99/57/27/1038995727.db2.gz RZADFKCRMKUTDQ-CQSZACIVSA-N 0 3 310.432 4.159 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccc([Si](C)(C)C)cc1 ZINC001167629581 1038995775 /nfs/dbraw/zinc/99/57/75/1038995775.db2.gz LMXOKEMEGCQRSB-INIZCTEOSA-N 0 3 309.529 4.351 20 0 DIADHN Cc1cccnc1[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)C(C)C ZINC000120647383 1039004653 /nfs/dbraw/zinc/00/46/53/1039004653.db2.gz AZXPVPDPZYSLAO-KSSFIOAISA-N 0 3 312.413 4.167 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)C[C@H]1CCCOC1 ZINC000534350913 1039034977 /nfs/dbraw/zinc/03/49/77/1039034977.db2.gz NNBIGVVITVYPBP-CQSZACIVSA-N 0 3 308.491 4.049 20 0 DIADHN Cc1noc(C)c1CCN(Cc1ccccc1F)CC(C)C ZINC000534463925 1039063382 /nfs/dbraw/zinc/06/33/82/1039063382.db2.gz NIUNHIXURJRXSA-UHFFFAOYSA-N 0 3 304.409 4.131 20 0 DIADHN c1oc(-c2ccccc2)nc1CN[C@@H]1CC[C@@H]1c1ccccc1 ZINC000657713955 1039073569 /nfs/dbraw/zinc/07/35/69/1039073569.db2.gz NBLNMIWEKBVOBP-RTBURBONSA-N 0 3 304.393 4.377 20 0 DIADHN FC1(F)CC12CCC(NCc1coc(-c3ccccc3)n1)CC2 ZINC000657717313 1039082545 /nfs/dbraw/zinc/08/25/45/1039082545.db2.gz OJTDIVGOELRWOL-UHFFFAOYSA-N 0 3 318.367 4.399 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2ccco2)N2CCCCC2)o1 ZINC000154044121 1039161206 /nfs/dbraw/zinc/16/12/06/1039161206.db2.gz YINWQVWEYZEDPY-JKSUJKDBSA-N 0 3 302.418 4.059 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](c2ccco2)N2CCCCC2)o1 ZINC000154043828 1039162096 /nfs/dbraw/zinc/16/20/96/1039162096.db2.gz YINWQVWEYZEDPY-CVEARBPZSA-N 0 3 302.418 4.059 20 0 DIADHN Cc1ccc(NC(=O)C2CC(C(C)(C)C)C2)c(CN(C)C)c1 ZINC000457584082 1039170426 /nfs/dbraw/zinc/17/04/26/1039170426.db2.gz XJKPARBCJUWTLW-UHFFFAOYSA-N 0 3 302.462 4.067 20 0 DIADHN COc1ccc([C@H](C)CN2CCOC3(C2)CCCCCC3)cc1 ZINC001167658486 1039196319 /nfs/dbraw/zinc/19/63/19/1039196319.db2.gz IINDKKNOMXIUJF-QGZVFWFLSA-N 0 3 317.473 4.224 20 0 DIADHN C[C@@H]1N(Cc2ccc(-c3ccsc3)cc2)CCOC1(C)C ZINC001167658606 1039198964 /nfs/dbraw/zinc/19/89/64/1039198964.db2.gz JDENJZRLNGFFQC-AWEZNQCLSA-N 0 3 301.455 4.414 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CCCc2cccs2)c1 ZINC000535418693 1039205484 /nfs/dbraw/zinc/20/54/84/1039205484.db2.gz QQRLYTFLFVAARH-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc2ncccc2c1)c1ccccc1 ZINC000657742222 1039207752 /nfs/dbraw/zinc/20/77/52/1039207752.db2.gz NVTGIPOELRLUCL-RTWAWAEBSA-N 0 3 320.436 4.083 20 0 DIADHN CCN(Cc1ccc(-n2cccn2)cc1)Cc1cccc(F)c1 ZINC000343115834 1039210378 /nfs/dbraw/zinc/21/03/78/1039210378.db2.gz CLADMAJNHQGVEM-UHFFFAOYSA-N 0 3 309.388 4.034 20 0 DIADHN C[C@@H](NCc1csc(-c2ccco2)n1)C1CCC(F)CC1 ZINC000657742880 1039219492 /nfs/dbraw/zinc/21/94/92/1039219492.db2.gz CUJMCVOHNIXWHT-PNESKVBLSA-N 0 3 308.422 4.410 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cc2ccccc2[nH]1)c1ccccc1 ZINC000657746203 1039240113 /nfs/dbraw/zinc/24/01/13/1039240113.db2.gz KWRMGWSTWYYHMA-PMACEKPBSA-N 0 3 308.425 4.016 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3ccccc3)[C@@H](O)C(C)C)[nH]c2c1 ZINC000657746764 1039243095 /nfs/dbraw/zinc/24/30/95/1039243095.db2.gz NNBHWMHKAZWMIZ-SFTDATJTSA-N 0 3 322.452 4.324 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](CO)c2ccccc2)cc1 ZINC000535989113 1039265318 /nfs/dbraw/zinc/26/53/18/1039265318.db2.gz QFFMJGMJRILBJS-RDTXWAMCSA-N 0 3 301.455 4.183 20 0 DIADHN CC[C@H](NCc1nc(-c2ccc(F)c(C)c2)no1)C(C)(C)C ZINC000539492192 1039270878 /nfs/dbraw/zinc/27/08/78/1039270878.db2.gz JJCRXPUQTMCQAK-AWEZNQCLSA-N 0 3 305.397 4.098 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](c2ccccc2C)C2CC2)c1 ZINC000539492785 1039273948 /nfs/dbraw/zinc/27/39/48/1039273948.db2.gz CFQMNSDOBZZWGZ-NRFANRHFSA-N 0 3 322.452 4.291 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccccc1)c1cccc(F)c1F ZINC000539558017 1039288792 /nfs/dbraw/zinc/28/87/92/1039288792.db2.gz SNBFFCJKRQKQNN-BOFPYLFWSA-N 0 3 316.395 4.061 20 0 DIADHN C[C@H](NCc1ccc(-c2cnn(C)c2)s1)c1ccsc1 ZINC000669830805 1039288997 /nfs/dbraw/zinc/28/89/97/1039288997.db2.gz FAWWHQCUYDPZBN-NSHDSACASA-N 0 3 303.456 4.061 20 0 DIADHN C[C@H](NCc1ccc(Oc2cnccn2)cc1)c1ccsc1 ZINC000669830912 1039293914 /nfs/dbraw/zinc/29/39/14/1039293914.db2.gz BNFJLQMRTVCEFL-ZDUSSCGKSA-N 0 3 311.410 4.181 20 0 DIADHN Cn1ccc(CN[C@H]2CCOc3c(-c4ccccc4)cccc32)c1 ZINC000343196349 1039297011 /nfs/dbraw/zinc/29/70/11/1039297011.db2.gz XYKXCQWTHDAAIA-FQEVSTJZSA-N 0 3 318.420 4.306 20 0 DIADHN Cn1nccc1CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000080208920 1039300714 /nfs/dbraw/zinc/30/07/14/1039300714.db2.gz GMIHYNIBQLKCJV-QGZVFWFLSA-N 0 3 303.837 4.095 20 0 DIADHN COCC1(N[C@H](C)c2ccccc2OCc2ccccc2)CC1 ZINC000539651802 1039308454 /nfs/dbraw/zinc/30/84/54/1039308454.db2.gz UKHGSQMECHCPPM-MRXNPFEDSA-N 0 3 311.425 4.095 20 0 DIADHN CC[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1ccc(F)cc1 ZINC000539650456 1039308901 /nfs/dbraw/zinc/30/89/01/1039308901.db2.gz DOQMYKURTFXNSD-INIZCTEOSA-N 0 3 313.372 4.284 20 0 DIADHN CCN1CCN(c2ccccc2N[C@@H](C)c2ccccc2C)CC1 ZINC000539647642 1039312362 /nfs/dbraw/zinc/31/23/62/1039312362.db2.gz FAWOTTPNAUJSIW-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)CC(=O)Nc1cccc(C)c1C ZINC000525640600 1039313605 /nfs/dbraw/zinc/31/36/05/1039313605.db2.gz QEJGNXDNOFOKBX-LJQANCHMSA-N 0 3 324.468 4.195 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)CC1 ZINC000539827757 1039353614 /nfs/dbraw/zinc/35/36/14/1039353614.db2.gz XVVSKTUKAMYOFX-HOCLYGCPSA-N 0 3 308.491 4.253 20 0 DIADHN C[C@H](C1CC1)N(Cc1nc2ccccc2n1C(F)F)C1CC1 ZINC000047909817 1039361132 /nfs/dbraw/zinc/36/11/32/1039361132.db2.gz YKZIXIVRANZBIN-LLVKDONJSA-N 0 3 305.372 4.194 20 0 DIADHN CC(C)(C)c1cc(CNC(C)(C)CCc2ccccc2)no1 ZINC000583528184 1039363058 /nfs/dbraw/zinc/36/30/58/1039363058.db2.gz IDUCEZWTFUKQBR-UHFFFAOYSA-N 0 3 300.446 4.473 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCCC[C@H]3c3ccc(C)cc3)cc12 ZINC000539839944 1039364384 /nfs/dbraw/zinc/36/43/84/1039364384.db2.gz DDOPDIKZHGHRMF-IBGZPJMESA-N 0 3 320.440 4.302 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000278304419 1039366058 /nfs/dbraw/zinc/36/60/58/1039366058.db2.gz AGXIAGQGHOIJGT-JTQLQIEISA-N 0 3 323.209 4.142 20 0 DIADHN Cc1noc(C2CCN(Cc3ccc(C4CCC4)cc3)CC2)n1 ZINC000278304005 1039366163 /nfs/dbraw/zinc/36/61/63/1039366163.db2.gz ANSYTEQGMIOMGV-UHFFFAOYSA-N 0 3 311.429 4.025 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000343276453 1039367739 /nfs/dbraw/zinc/36/77/39/1039367739.db2.gz ODBLSAOYHGPUPD-GOSISDBHSA-N 0 3 310.441 4.373 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](N[C@H](C)c1ccsc1)CC2 ZINC000669850654 1039384382 /nfs/dbraw/zinc/38/43/82/1039384382.db2.gz DGYUDVDYZTYSAF-ABAIWWIYSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc(N3CCCC3)nc2)cc1C ZINC000151055206 1039385456 /nfs/dbraw/zinc/38/54/56/1039385456.db2.gz GRMGGIOANZHXGF-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC[C@@]2(CCOC2)C1 ZINC001233350433 1039392323 /nfs/dbraw/zinc/39/23/23/1039392323.db2.gz HLFIYDJKVDEEFW-MRXNPFEDSA-N 0 3 311.878 4.064 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2ncc(-c3ccccc3)s2)C1(C)C ZINC000539979295 1039401996 /nfs/dbraw/zinc/40/19/96/1039401996.db2.gz KKXJKBNFWOAKIK-MAUKXSAKSA-N 0 3 316.470 4.103 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2ncc(-c3ccccc3)s2)C1(C)C ZINC000539979297 1039402932 /nfs/dbraw/zinc/40/29/32/1039402932.db2.gz KKXJKBNFWOAKIK-YJBOKZPZSA-N 0 3 316.470 4.103 20 0 DIADHN CC(C)c1ncc(CN[C@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000540069266 1039411539 /nfs/dbraw/zinc/41/15/39/1039411539.db2.gz RTZSODYFHTVLRD-DOTOQJQBSA-N 0 3 316.470 4.134 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000540056324 1039412235 /nfs/dbraw/zinc/41/22/35/1039412235.db2.gz NYVZHRQUQSNZQC-BXUZGUMPSA-N 0 3 306.356 4.383 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccccc3Cl)o2)CCCOC1 ZINC000540068303 1039412856 /nfs/dbraw/zinc/41/28/56/1039412856.db2.gz ISOPHQZYWSECOB-QGZVFWFLSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2ccc(-n3ccnc3)cc2)s1 ZINC000126938657 1039412848 /nfs/dbraw/zinc/41/28/48/1039412848.db2.gz URTOLIQORVGANH-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@]1(NCc2cc(C(F)(F)F)ccc2Cl)CCCOC1 ZINC000540068267 1039413005 /nfs/dbraw/zinc/41/30/05/1039413005.db2.gz GHBKYYSLWAUEKY-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN Cc1cnc([C@H](C)N2CC[C@](C)(CCc3ccccc3)C2)cn1 ZINC000428205520 1039414036 /nfs/dbraw/zinc/41/40/36/1039414036.db2.gz LJCFZVAHRMVVAP-PXNSSMCTSA-N 0 3 309.457 4.191 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCOc1cccc2ccccc21 ZINC000540097949 1039419754 /nfs/dbraw/zinc/41/97/54/1039419754.db2.gz LCHXXJKBGYJGKO-MRXNPFEDSA-N 0 3 306.409 4.307 20 0 DIADHN Cc1cc(CN2CCC[C@@]3(CCOC3)C2)cc(C(F)(F)F)c1 ZINC001143450157 1039422810 /nfs/dbraw/zinc/42/28/10/1039422810.db2.gz FZOBAGKLZWVMOD-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000540146907 1039423743 /nfs/dbraw/zinc/42/37/43/1039423743.db2.gz BYPMDYDXXWMBFL-SUMWQHHRSA-N 0 3 323.358 4.398 20 0 DIADHN Cc1c([C@@H](C)NCC2(C3CC3)CCC2)nnn1-c1ccc(C)cc1 ZINC000540146153 1039424269 /nfs/dbraw/zinc/42/42/69/1039424269.db2.gz AAMDLGJTGAWWKA-OAHLLOKOSA-N 0 3 324.472 4.115 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](C)c2cc3c(s2)CCC3)cc1 ZINC000540141190 1039424864 /nfs/dbraw/zinc/42/48/64/1039424864.db2.gz YVYBERFEWAPOTG-SUMWQHHRSA-N 0 3 315.482 4.183 20 0 DIADHN CCOc1ccc(CNC2(c3cccc(OC)c3)CCC2)cc1 ZINC000657783796 1039428068 /nfs/dbraw/zinc/42/80/68/1039428068.db2.gz XHWWYGVMAZYPQG-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1nc(-c2ccccc2)cs1 ZINC000540163047 1039429610 /nfs/dbraw/zinc/42/96/10/1039429610.db2.gz JDVYSRPCICTBKB-WFASDCNBSA-N 0 3 324.453 4.261 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1nc(-c2ccccc2)cs1 ZINC000540163046 1039430908 /nfs/dbraw/zinc/43/09/08/1039430908.db2.gz JDVYSRPCICTBKB-SWLSCSKDSA-N 0 3 324.453 4.261 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2N[C@@H]1CN(C)Cc2ccccc21 ZINC000540153155 1039431955 /nfs/dbraw/zinc/43/19/55/1039431955.db2.gz ISKCGAXYFBXKEQ-CDHQVMDDSA-N 0 3 306.453 4.320 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2N[C@H]1CN(C)Cc2ccccc21 ZINC000540153157 1039432193 /nfs/dbraw/zinc/43/21/93/1039432193.db2.gz ISKCGAXYFBXKEQ-XPGWFJOJSA-N 0 3 306.453 4.320 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(F)cn1)Oc1cccc(Cl)c1 ZINC000540154904 1039432629 /nfs/dbraw/zinc/43/26/29/1039432629.db2.gz ZTBZWGHBWOQZHA-SWLSCSKDSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000540167298 1039434629 /nfs/dbraw/zinc/43/46/29/1039434629.db2.gz BBFKALCXFDPEEP-KKXDTOCCSA-N 0 3 301.817 4.196 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc3c(c2)COC3)cc1F ZINC000540156016 1039435311 /nfs/dbraw/zinc/43/53/11/1039435311.db2.gz CVSWQMBBMGLSQY-GFCCVEGCSA-N 0 3 317.429 4.429 20 0 DIADHN C[C@H](NCCOc1ccc(C(C)(C)C)cc1)c1cncs1 ZINC000540172547 1039436725 /nfs/dbraw/zinc/43/67/25/1039436725.db2.gz LZGBCYYDSAPNGT-ZDUSSCGKSA-N 0 3 304.459 4.170 20 0 DIADHN CCOc1ccc([C@H](N[C@H](C)[C@@H](C)OC)c2ccccc2)cc1 ZINC000540189634 1039441307 /nfs/dbraw/zinc/44/13/07/1039441307.db2.gz BYZFTKYABXIHKE-JXXFODFXSA-N 0 3 313.441 4.188 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@@H](OC)c1cccc(Cl)c1 ZINC000540186686 1039447539 /nfs/dbraw/zinc/44/75/39/1039447539.db2.gz IKPMHUYGHCPHPO-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]1Cc1ccccc1)c1nc2c(s1)CCCC2 ZINC000540183525 1039447640 /nfs/dbraw/zinc/44/76/40/1039447640.db2.gz IONDCKULASAGTI-JLJPHGGASA-N 0 3 312.482 4.304 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CC(C)(C)c2ccccc21 ZINC000540222241 1039460903 /nfs/dbraw/zinc/46/09/03/1039460903.db2.gz NRIDUXWPCFBNFF-JKSUJKDBSA-N 0 3 301.455 4.102 20 0 DIADHN C[C@@H](NCc1cnn(C)c1)c1ccc(-c2ccccc2F)s1 ZINC000151148107 1039462502 /nfs/dbraw/zinc/46/25/02/1039462502.db2.gz PHXMLBOTSBFWLD-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H]1CCCc2c(C)cccc21 ZINC000657796396 1039464351 /nfs/dbraw/zinc/46/43/51/1039464351.db2.gz GQJSUPIVDAWTIK-IBGZPJMESA-N 0 3 311.473 4.021 20 0 DIADHN COc1ccc([C@@H](NCc2nc3ccc(C)cc3[nH]2)C(C)C)cc1 ZINC000540314779 1039475627 /nfs/dbraw/zinc/47/56/27/1039475627.db2.gz XVGKOJFJWOHZLC-FQEVSTJZSA-N 0 3 323.440 4.367 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1ccc(-c2cc[nH]n2)o1 ZINC000657798516 1039477070 /nfs/dbraw/zinc/47/70/70/1039477070.db2.gz PQQUWNBCXYCXJX-QGZVFWFLSA-N 0 3 307.397 4.145 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)Nc2cccc(C)c2C)c1 ZINC000540416668 1039491854 /nfs/dbraw/zinc/49/18/54/1039491854.db2.gz KSBDEQDQKITSFS-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Clc1cccc([C@H](NC[C@@H]2CCSC2)c2ccccn2)c1 ZINC000278477644 1039495365 /nfs/dbraw/zinc/49/53/65/1039495365.db2.gz KCEXMGUJIRLOGP-GUYCJALGSA-N 0 3 318.873 4.167 20 0 DIADHN Cc1ccc(CN(C)CCCc2cc(-c3ccccc3)no2)nc1 ZINC000158018606 1039496616 /nfs/dbraw/zinc/49/66/16/1039496616.db2.gz XYYPJBZYBWEPJA-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2cccs2)C2CC2)c(C)c1 ZINC000540468354 1039498283 /nfs/dbraw/zinc/49/82/83/1039498283.db2.gz MNTASFPBFDLCCM-GOSISDBHSA-N 0 3 314.454 4.044 20 0 DIADHN FC(F)(F)CCN[C@H](COCc1ccccc1)c1ccccc1 ZINC000540456732 1039498568 /nfs/dbraw/zinc/49/85/68/1039498568.db2.gz OZRNETBJXWRJIC-QGZVFWFLSA-N 0 3 323.358 4.487 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc(C)c(C)c2)ccc1F ZINC000151197156 1039500195 /nfs/dbraw/zinc/50/01/95/1039500195.db2.gz SRYAHLNMNJWPLA-HNNXBMFYSA-N 0 3 301.405 4.440 20 0 DIADHN COC[C@H](NCCSc1ccccc1F)c1ccc(F)cc1 ZINC000540475700 1039500384 /nfs/dbraw/zinc/50/03/84/1039500384.db2.gz BIYUPDJTHGMPDG-INIZCTEOSA-N 0 3 323.408 4.034 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)Cc1ccc2c(c1)ncn2C ZINC000540506503 1039506212 /nfs/dbraw/zinc/50/62/12/1039506212.db2.gz MQXYBCDGNGOQSJ-CYBMUJFWSA-N 0 3 313.832 4.420 20 0 DIADHN C[C@H]1CN(Cc2cn(C)nc2-c2ccccc2)[C@H]1c1ccccc1 ZINC000540505042 1039508132 /nfs/dbraw/zinc/50/81/32/1039508132.db2.gz OQMVDOJCZPLOAD-HRAATJIYSA-N 0 3 317.436 4.280 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000929621433 1039516762 /nfs/dbraw/zinc/51/67/62/1039516762.db2.gz DDMGJMLAGHPPIV-WCQYABFASA-N 0 3 307.850 4.050 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2ccc3ccccc3n2)o1 ZINC000540595372 1039524264 /nfs/dbraw/zinc/52/42/64/1039524264.db2.gz DAIUWIMTRNBKRU-KDOFPFPSSA-N 0 3 306.409 4.284 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(F)cc2C1)c1ccc2ccccc2n1 ZINC000583958325 1039525110 /nfs/dbraw/zinc/52/51/10/1039525110.db2.gz JVYXFKZZJONYIV-DYVFJYSZSA-N 0 3 322.383 4.028 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3CCO[C@@H]3C3CC3)o2)cc1 ZINC000540622217 1039525327 /nfs/dbraw/zinc/52/53/27/1039525327.db2.gz QWHPDHKPFJJRAX-SJLPKXTDSA-N 0 3 317.816 4.257 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NC2(c3ccccc3C)CC2)cc1 ZINC000647316402 1039525827 /nfs/dbraw/zinc/52/58/27/1039525827.db2.gz GKEZJYCMHOALAZ-OAHLLOKOSA-N 0 3 308.425 4.293 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@](C)(O)C(F)(F)F)c1ccc(F)cc1 ZINC000540605787 1039526301 /nfs/dbraw/zinc/52/63/01/1039526301.db2.gz FBCNJJWJMKIYCN-HIFRSBDPSA-N 0 3 321.358 4.206 20 0 DIADHN C[C@H](O)[C@@H](NCc1ccc(C(C)(C)C)s1)c1ccccc1 ZINC000657813998 1039543349 /nfs/dbraw/zinc/54/33/49/1039543349.db2.gz CBRSTMCNPKKVDG-SUMWQHHRSA-N 0 3 303.471 4.257 20 0 DIADHN CN(Cc1cc[nH]n1)[C@H]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000540694393 1039546849 /nfs/dbraw/zinc/54/68/49/1039546849.db2.gz YGDGJHZLIBNENJ-HOTGVXAUSA-N 0 3 323.362 4.197 20 0 DIADHN C[C@@H](NC1CCN(c2ccccc2)CC1)c1cccnc1Cl ZINC000132751111 1039551091 /nfs/dbraw/zinc/55/10/91/1039551091.db2.gz MIXMYWOEYSYSNO-CQSZACIVSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@H](NC1CCN(c2ccccc2)CC1)c1cccnc1Cl ZINC000132750904 1039551257 /nfs/dbraw/zinc/55/12/57/1039551257.db2.gz MIXMYWOEYSYSNO-AWEZNQCLSA-N 0 3 315.848 4.055 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NCc3ccn(C)c3)cs2)cs1 ZINC000158516425 1039551671 /nfs/dbraw/zinc/55/16/71/1039551671.db2.gz XLKJVICSXUMOMO-LLVKDONJSA-N 0 3 317.483 4.369 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H]3COC4(CCC4)C3)oc21 ZINC000657824658 1039587530 /nfs/dbraw/zinc/58/75/30/1039587530.db2.gz SJAPLWXOEFXBQW-HIFRSBDPSA-N 0 3 315.413 4.194 20 0 DIADHN CCOC(CNC(CC)(CC)c1ccc(Cl)cc1)OCC ZINC001167673761 1039590115 /nfs/dbraw/zinc/59/01/15/1039590115.db2.gz FJLXRKIAQMNNFO-UHFFFAOYSA-N 0 3 313.869 4.344 20 0 DIADHN CN(C)CCN(C(=O)c1cccc(C2CCC2)c1)c1ccccc1 ZINC000541001782 1039608048 /nfs/dbraw/zinc/60/80/48/1039608048.db2.gz REHZVOQPMSECSS-UHFFFAOYSA-N 0 3 322.452 4.163 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000278633795 1039608465 /nfs/dbraw/zinc/60/84/65/1039608465.db2.gz HDOWYGSQTQHEHZ-OAHLLOKOSA-N 0 3 314.477 4.445 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657829241 1039612292 /nfs/dbraw/zinc/61/22/92/1039612292.db2.gz BJTRBPMPOYIOJB-RDJZCZTQSA-N 0 3 303.837 4.358 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2cc(F)cc(F)c2)cc1 ZINC000151633809 1039614524 /nfs/dbraw/zinc/61/45/24/1039614524.db2.gz GABJPQGNRRLGGB-ZDUSSCGKSA-N 0 3 305.368 4.352 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@H](C)N[C@@H]2CCC[C@@H]2F)cc1 ZINC000584127857 1039614564 /nfs/dbraw/zinc/61/45/64/1039614564.db2.gz SGLOSKMHOIPRAQ-RRQGHBQHSA-N 0 3 321.440 4.102 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H]3[C@@H]3C[C@H](C)CC[C@H]3O)c2c1 ZINC000541077358 1039619674 /nfs/dbraw/zinc/61/96/74/1039619674.db2.gz PNOOMGBJGGNAPZ-UKHYVGRKSA-N 0 3 324.468 4.309 20 0 DIADHN COc1ccccc1CNC[C@H](Cc1ccccc1)C(F)(F)F ZINC000584201716 1039638650 /nfs/dbraw/zinc/63/86/50/1039638650.db2.gz HNUHXOJDTYVQCW-INIZCTEOSA-N 0 3 323.358 4.206 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc1 ZINC000393384313 1039639778 /nfs/dbraw/zinc/63/97/78/1039639778.db2.gz GSEGBHCSGBJFAT-GOSISDBHSA-N 0 3 315.388 4.099 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCC[C@H]1c1cccc(F)c1 ZINC000541281420 1039657681 /nfs/dbraw/zinc/65/76/81/1039657681.db2.gz CDJHTCUOCKBLJC-INIZCTEOSA-N 0 3 307.409 4.085 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N(C)C[C@H]1CC=CCC1 ZINC000541321483 1039665820 /nfs/dbraw/zinc/66/58/20/1039665820.db2.gz XDPSKRRIJKOYEL-SJORKVTESA-N 0 3 314.473 4.425 20 0 DIADHN CCc1noc(C)c1CN1C[C@@H](C)C[C@H]1c1cccc(OC)c1 ZINC000541321848 1039665855 /nfs/dbraw/zinc/66/58/55/1039665855.db2.gz DKZWPHRPSLBRNV-DJJJIMSYSA-N 0 3 314.429 4.137 20 0 DIADHN CC[C@H](NCCSCc1ccccc1F)c1ccc(F)cn1 ZINC000491317523 1039675228 /nfs/dbraw/zinc/67/52/28/1039675228.db2.gz UNVKBRXUYZYJJO-INIZCTEOSA-N 0 3 322.424 4.334 20 0 DIADHN C[C@@]1(NCc2ccc(Oc3ccccc3)o2)CCO[C@H]1C1CC1 ZINC000541383372 1039677512 /nfs/dbraw/zinc/67/75/12/1039677512.db2.gz IXDFXJIXOZGQDB-RBUKOAKNSA-N 0 3 313.397 4.119 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1ccc(C(F)F)nc1 ZINC000657844777 1039686239 /nfs/dbraw/zinc/68/62/39/1039686239.db2.gz SPMUDBIYQJEKGW-XEZPLFJOSA-N 0 3 312.404 4.265 20 0 DIADHN CC(C)COC[C@@H](N[C@@H](C)CCC(F)(F)F)c1ccco1 ZINC000541540705 1039695328 /nfs/dbraw/zinc/69/53/28/1039695328.db2.gz NCFRPARNIDIAOT-QWHCGFSZSA-N 0 3 307.356 4.314 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1cc2cnccc2o1 ZINC000657845830 1039697278 /nfs/dbraw/zinc/69/72/78/1039697278.db2.gz YCOWRHSYGRZRAS-DZKIICNBSA-N 0 3 302.418 4.074 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccccc3F)o2)CCO[C@@H]1C1CC1 ZINC000541535991 1039700568 /nfs/dbraw/zinc/70/05/68/1039700568.db2.gz ZUBXHHBRIPHIFU-RTBURBONSA-N 0 3 315.388 4.133 20 0 DIADHN CCCCOc1ncccc1CN[C@H](C)C(C)(C)C(F)F ZINC000657850593 1039702126 /nfs/dbraw/zinc/70/21/26/1039702126.db2.gz WGWQHOLLLJKNIO-GFCCVEGCSA-N 0 3 300.393 4.030 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@H](C)Cc2ccccc2)c1C ZINC000541588652 1039712359 /nfs/dbraw/zinc/71/23/59/1039712359.db2.gz RJSWTCGHAGSAJO-MRXNPFEDSA-N 0 3 324.468 4.053 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NCc1nnc(-c2ccccc2)o1 ZINC000541614811 1039716333 /nfs/dbraw/zinc/71/63/33/1039716333.db2.gz WXZCNWLPJSTLDW-OIISXLGYSA-N 0 3 313.445 4.287 20 0 DIADHN COC[C@H](N[C@H](C)CCSc1ccccc1)c1ccc(C)o1 ZINC000541630246 1039718330 /nfs/dbraw/zinc/71/83/30/1039718330.db2.gz GGQFTPMATKPNOF-PBHICJAKSA-N 0 3 319.470 4.436 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)CN[C@@H](CC(C)C)c1cccs1 ZINC000541627236 1039719576 /nfs/dbraw/zinc/71/95/76/1039719576.db2.gz PAZJIHMHTKDWLM-CVEARBPZSA-N 0 3 322.518 4.216 20 0 DIADHN CC(C)c1ccc(NC(=O)CNC(C)(C)c2cccs2)cc1 ZINC000541646481 1039721342 /nfs/dbraw/zinc/72/13/42/1039721342.db2.gz NTXCDMVEUSWYEI-UHFFFAOYSA-N 0 3 316.470 4.335 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000172682657 1039738224 /nfs/dbraw/zinc/73/82/24/1039738224.db2.gz ASQCJCLQKQYCSY-GHMZBOCLSA-N 0 3 311.351 4.159 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000172682663 1039738959 /nfs/dbraw/zinc/73/89/59/1039738959.db2.gz ASQCJCLQKQYCSY-MNOVXSKESA-N 0 3 311.351 4.159 20 0 DIADHN c1sc(-c2ccccc2)nc1CN[C@@H]1CCCSCC1 ZINC000657855111 1039744798 /nfs/dbraw/zinc/74/47/98/1039744798.db2.gz DDKCUOFBIJIUSR-CQSZACIVSA-N 0 3 304.484 4.185 20 0 DIADHN FC(F)(F)CCN1CCC(Nc2cccc3ccncc32)CC1 ZINC000541881691 1039753568 /nfs/dbraw/zinc/75/35/68/1039753568.db2.gz CZFYKERVROACMO-UHFFFAOYSA-N 0 3 323.362 4.064 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1ccc(C(F)F)nc1 ZINC000657856981 1039761571 /nfs/dbraw/zinc/76/15/71/1039761571.db2.gz AJMRONFJPNHUSB-ZUZCIYMTSA-N 0 3 306.331 4.496 20 0 DIADHN Cc1c(C)c(C)c(CN[C@@H](CO)c2cccc(F)c2)c(C)c1C ZINC000541950753 1039767451 /nfs/dbraw/zinc/76/74/51/1039767451.db2.gz NQIPTHCFBQZVJE-FQEVSTJZSA-N 0 3 315.432 4.191 20 0 DIADHN Cc1c(C)c(C)c(CN[C@H](CO)c2cccc(F)c2)c(C)c1C ZINC000541950754 1039767726 /nfs/dbraw/zinc/76/77/26/1039767726.db2.gz NQIPTHCFBQZVJE-HXUWFJFHSA-N 0 3 315.432 4.191 20 0 DIADHN C[C@@H](O)[C@@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC000136821805 1039774746 /nfs/dbraw/zinc/77/47/46/1039774746.db2.gz WGBIVXAAUREYMJ-UKRRQHHQSA-N 0 3 319.445 4.147 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1c3ccccc3C[C@H]1C)CCO2 ZINC000089614203 1039777308 /nfs/dbraw/zinc/77/73/08/1039777308.db2.gz XOEZSDDBIJZCFI-YHBQJITHSA-N 0 3 309.409 4.042 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1ccc(F)cn1 ZINC000090035214 1039787505 /nfs/dbraw/zinc/78/75/05/1039787505.db2.gz RTINOMWSUNTDHP-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1ccc(F)cn1 ZINC000090035216 1039788241 /nfs/dbraw/zinc/78/82/41/1039788241.db2.gz RTINOMWSUNTDHP-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)NCc2cccc(C(N)=O)c2)cc1 ZINC000128193206 1039809969 /nfs/dbraw/zinc/80/99/69/1039809969.db2.gz YHLSMAAUSJKEJE-UHFFFAOYSA-N 0 3 324.468 4.108 20 0 DIADHN Cc1ncc([C@@H](C)NCc2c(C)c(C)c(C)c(C)c2C)c(C)n1 ZINC000090581078 1039810478 /nfs/dbraw/zinc/81/04/78/1039810478.db2.gz RJXGMWBNCULRHJ-MRXNPFEDSA-N 0 3 311.473 4.486 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](C)c2ccc(SC)cc2)cc1 ZINC000090844141 1039818060 /nfs/dbraw/zinc/81/80/60/1039818060.db2.gz MWILDIUXRWQBCN-KUHUBIRLSA-N 0 3 315.482 4.355 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](CO)c2ccc(CC)cc2)cc1 ZINC000090844123 1039819180 /nfs/dbraw/zinc/81/91/80/1039819180.db2.gz QAWPOAFZBGLWJQ-YWZLYKJASA-N 0 3 313.441 4.032 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cc(F)cc(OC)c2)c1 ZINC000090972421 1039824504 /nfs/dbraw/zinc/82/45/04/1039824504.db2.gz HFYIMIRYASWGLL-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN FC(F)O[C@@H]1CCCN(C(c2ccccc2)c2ccccc2)C1 ZINC000669932744 1039827011 /nfs/dbraw/zinc/82/70/11/1039827011.db2.gz BBOPTWUIWVDRIV-QGZVFWFLSA-N 0 3 317.379 4.480 20 0 DIADHN CC[C@@H](CN[C@H](c1ccccc1)c1ccccn1)CC(F)F ZINC000660020526 1039828079 /nfs/dbraw/zinc/82/80/79/1039828079.db2.gz FIBXJWWUKHXPKM-RDTXWAMCSA-N 0 3 304.384 4.442 20 0 DIADHN CC[C@H](NCCOc1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000491357122 1039837610 /nfs/dbraw/zinc/83/76/10/1039837610.db2.gz WADYSVDILHKHHV-IBGZPJMESA-N 0 3 324.399 4.494 20 0 DIADHN COCCCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000491357370 1039838424 /nfs/dbraw/zinc/83/84/24/1039838424.db2.gz YNUNWXKPAZJQFM-QGZVFWFLSA-N 0 3 307.796 4.195 20 0 DIADHN CC(C)[C@H](NCc1cccc(OC(F)F)c1)c1cccnc1 ZINC000091372848 1039845348 /nfs/dbraw/zinc/84/53/48/1039845348.db2.gz OJJZZFNLMFKULJ-INIZCTEOSA-N 0 3 306.356 4.170 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccnc(Cl)c2)cc1 ZINC000151635706 1039849010 /nfs/dbraw/zinc/84/90/10/1039849010.db2.gz JHXRWYTZJPEDBN-CYBMUJFWSA-N 0 3 304.821 4.122 20 0 DIADHN CCSc1cccc(CNCc2cnc3c(C)cccn23)c1 ZINC000491458686 1039853088 /nfs/dbraw/zinc/85/30/88/1039853088.db2.gz NJTLIIZFCAJKLS-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN OCC1(CNCc2cc(Cl)sc2Cl)CCCCC1 ZINC000312642765 1039872695 /nfs/dbraw/zinc/87/26/95/1039872695.db2.gz KLXCZJFUVGHOCL-UHFFFAOYSA-N 0 3 308.274 4.087 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccc(F)cn1)Nc1ccccc1 ZINC000092747229 1039883369 /nfs/dbraw/zinc/88/33/69/1039883369.db2.gz LLNQXKCPMHTEJX-RDTXWAMCSA-N 0 3 301.409 4.008 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(F)cn1)Oc1ccccc1Cl ZINC000092766576 1039886214 /nfs/dbraw/zinc/88/62/14/1039886214.db2.gz WSVYZMRCRZLSNN-JSGCOSHPSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cccnc1Cl)c1ccc(F)cc1F ZINC000151855837 1039891281 /nfs/dbraw/zinc/89/12/81/1039891281.db2.gz UZRWVCLLNMUXFK-QWRGUYRKSA-N 0 3 310.775 4.468 20 0 DIADHN C[C@H](Cc1ccsc1)N[C@H](C)c1nc2c(s1)CCCC2 ZINC000093400053 1039910949 /nfs/dbraw/zinc/91/09/49/1039910949.db2.gz OTESZQDBYLOVGU-VXGBXAGGSA-N 0 3 306.500 4.365 20 0 DIADHN C[C@H](NCc1sccc1Cl)[C@@H]1OCCc2sccc21 ZINC000492112926 1039921001 /nfs/dbraw/zinc/92/10/01/1039921001.db2.gz XVAYDYXYPKHFAB-XPTSAGLGSA-N 0 3 313.875 4.255 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1ccccc1F ZINC000492148692 1039924211 /nfs/dbraw/zinc/92/42/11/1039924211.db2.gz BYMKEIKWDXLOKU-NVGCLXPQSA-N 0 3 305.418 4.240 20 0 DIADHN Cc1ccoc1CNC1(Cc2ccccc2Br)CC1 ZINC000492153148 1039927252 /nfs/dbraw/zinc/92/72/52/1039927252.db2.gz PSWZZQSEQFITOT-UHFFFAOYSA-N 0 3 320.230 4.215 20 0 DIADHN CCSc1cccc(CNCc2cnc3cc(C)ccn23)c1 ZINC000492208308 1039932645 /nfs/dbraw/zinc/93/26/45/1039932645.db2.gz IEFWFWGBYSZUGW-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@@H]1NCc1ccc2c(c1)OCO2 ZINC000138849142 1039935102 /nfs/dbraw/zinc/93/51/02/1039935102.db2.gz HETNOGAUQLLCOB-KRWDZBQOSA-N 0 3 320.392 4.064 20 0 DIADHN Cc1cc2cc(NC(=O)Nc3cccc(CN(C)C)c3)ccc2[nH]1 ZINC000150123472 1039978905 /nfs/dbraw/zinc/97/89/05/1039978905.db2.gz OXBNHCYTFAQNJU-UHFFFAOYSA-N 0 3 322.412 4.182 20 0 DIADHN COCC[C@H](C)CN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926578395 1039991549 /nfs/dbraw/zinc/99/15/49/1039991549.db2.gz NPYBHICDZBGXAD-KBXCAEBGSA-N 0 3 318.848 4.087 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1CCc2cc(F)ccc2C1 ZINC001168445960 1040012433 /nfs/dbraw/zinc/01/24/33/1040012433.db2.gz NPCMGHCIKOQWOB-MRXNPFEDSA-N 0 3 305.343 4.093 20 0 DIADHN C[C@@H](NCCOC1CCCCCC1)c1ccc(C(F)F)nc1 ZINC000657888495 1040013419 /nfs/dbraw/zinc/01/34/19/1040013419.db2.gz UKTLEMVORCPIAM-CYBMUJFWSA-N 0 3 312.404 4.409 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cccc(Br)c1 ZINC000492757569 1040015563 /nfs/dbraw/zinc/01/55/63/1040015563.db2.gz RBPLSMDIRMMNJE-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(/C=C/C(=O)Nc2ccccc2CN(C)C)o1 ZINC000492779673 1040016143 /nfs/dbraw/zinc/01/61/43/1040016143.db2.gz WJMCJPFBAJAVOR-RMPFSWKXSA-N 0 3 324.424 4.117 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000492847803 1040028840 /nfs/dbraw/zinc/02/88/40/1040028840.db2.gz PRSQMZLBLJEFDH-ZBFHGGJFSA-N 0 3 317.433 4.395 20 0 DIADHN CCc1ccc(CN2CCC(OCC3CCCCC3)CC2)cn1 ZINC000685412058 1040034210 /nfs/dbraw/zinc/03/42/10/1040034210.db2.gz KQSSITXUTJAXSS-UHFFFAOYSA-N 0 3 316.489 4.205 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN1CCCOC[C@@H]1C ZINC000685418871 1040037975 /nfs/dbraw/zinc/03/79/75/1040037975.db2.gz QJYHPFFNCCGCMZ-XXYUJHKVSA-N 0 3 313.363 4.220 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCCC3(C)C)co2)cc1 ZINC000492935622 1040041440 /nfs/dbraw/zinc/04/14/40/1040041440.db2.gz DRJYUMVALURVMS-QGZVFWFLSA-N 0 3 314.429 4.409 20 0 DIADHN COc1ccc(CN2CCC3(CCC3)CC2)c(OC(F)F)c1 ZINC000685444363 1040050848 /nfs/dbraw/zinc/05/08/48/1040050848.db2.gz WIVFAQULAOOKDE-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN Cc1nc2ccc(CN3CCC(c4cccnc4)CC3)cc2s1 ZINC000685455949 1040060106 /nfs/dbraw/zinc/06/01/06/1040060106.db2.gz CVLWKFXJFBYEKX-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Oc1ccc(CN(CCc2ccccc2)Cc2cccnc2)cc1 ZINC000685455730 1040061198 /nfs/dbraw/zinc/06/11/98/1040061198.db2.gz WHTZZTGNUJLLMS-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN CC[C@@H](O)CCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039337 1040068306 /nfs/dbraw/zinc/06/83/06/1040068306.db2.gz ZGJQXBSWDWJVGV-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN COC(=O)[C@@H](C)[C@H](NCc1cc(C)sc1C)c1ccccc1 ZINC000176225179 1040069895 /nfs/dbraw/zinc/06/98/95/1040069895.db2.gz CNWODSGTMOOACA-GUYCJALGSA-N 0 3 317.454 4.005 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@H]4CCC[C@@H]4C3)cs2)c1 ZINC000176265040 1040070348 /nfs/dbraw/zinc/07/03/48/1040070348.db2.gz APDGBZXMTZPVKT-HUUCEWRRSA-N 0 3 314.454 4.051 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CCCn3c(cc4ccccc43)C2)CCO1 ZINC000685473764 1040070771 /nfs/dbraw/zinc/07/07/71/1040070771.db2.gz CPRZYRXOVBYTIC-QFBILLFUSA-N 0 3 312.457 4.052 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@@H]4CCC[C@@H]4C3)cs2)c1 ZINC000176265024 1040070923 /nfs/dbraw/zinc/07/09/23/1040070923.db2.gz APDGBZXMTZPVKT-GASCZTMLSA-N 0 3 314.454 4.051 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2nccn2-c2ccccc2)CS1 ZINC000685472085 1040071950 /nfs/dbraw/zinc/07/19/50/1040071950.db2.gz NHEMJRNDVBSVPL-UXLLHSPISA-N 0 3 315.486 4.053 20 0 DIADHN CC1(C)CN(Cc2ccc3cnccc3c2)Cc2ccccc2O1 ZINC000685476946 1040073507 /nfs/dbraw/zinc/07/35/07/1040073507.db2.gz UVQKMQVFLHOMSL-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccccc1C)c1ccc(F)cn1 ZINC000493059116 1040073620 /nfs/dbraw/zinc/07/36/20/1040073620.db2.gz OJXFFEJRVPAAAK-ZBFHGGJFSA-N 0 3 302.393 4.037 20 0 DIADHN c1csc(-c2ncc(CN3CCC[C@H](C4CC4)C3)s2)c1 ZINC000685475958 1040074300 /nfs/dbraw/zinc/07/43/00/1040074300.db2.gz DMASPDDKTQKSBH-ZDUSSCGKSA-N 0 3 304.484 4.494 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1n[nH]c2ccccc21 ZINC000685474251 1040074364 /nfs/dbraw/zinc/07/43/64/1040074364.db2.gz ABCMGWOMYNVAKE-IBGZPJMESA-N 0 3 309.413 4.155 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1[nH]nc2ccccc21 ZINC000685474251 1040074384 /nfs/dbraw/zinc/07/43/84/1040074384.db2.gz ABCMGWOMYNVAKE-IBGZPJMESA-N 0 3 309.413 4.155 20 0 DIADHN CCC[C@H](C)CCCN1CCN(C(=O)C(C)(C)C)CC1(C)C ZINC001168447318 1040078216 /nfs/dbraw/zinc/07/82/16/1040078216.db2.gz GYJQYFAZBITKIZ-INIZCTEOSA-N 0 3 310.526 4.172 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(OC2CCC(C)CC2)CC1 ZINC000493084639 1040081139 /nfs/dbraw/zinc/08/11/39/1040081139.db2.gz ZBQGMUYNDQUJNB-AQFXKWCLSA-N 0 3 302.462 4.202 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccc(C)cc1)c1ccc(F)cn1 ZINC000493084412 1040085064 /nfs/dbraw/zinc/08/50/64/1040085064.db2.gz ZQCQGBIHLKYEBM-WMLDXEAASA-N 0 3 302.393 4.037 20 0 DIADHN Cc1ccc(F)c(NC(=S)Nc2cccc(CN(C)C)c2)c1 ZINC000065702659 1040085495 /nfs/dbraw/zinc/08/54/95/1040085495.db2.gz QNWCFUJRBSGEFS-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN CC[C@H]1c2ccsc2CCN1CCOc1ccc(OC)cc1 ZINC000302859142 1040089041 /nfs/dbraw/zinc/08/90/41/1040089041.db2.gz UYACBQVMOYGEEX-KRWDZBQOSA-N 0 3 317.454 4.145 20 0 DIADHN Cc1cccc(COc2ccc([C@H](C)NCc3cnc[nH]3)cc2)c1 ZINC000171769910 1040117236 /nfs/dbraw/zinc/11/72/36/1040117236.db2.gz CITBCJQAVCHHKE-INIZCTEOSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(c2ccccc2C)CC1 ZINC000177335374 1040117862 /nfs/dbraw/zinc/11/78/62/1040117862.db2.gz FIGUWEWWNGNHSC-UHFFFAOYSA-N 0 3 322.452 4.035 20 0 DIADHN CSc1cc(CN[C@@H](C)c2cc3ccncc3s2)ccn1 ZINC000657908124 1040120444 /nfs/dbraw/zinc/12/04/44/1040120444.db2.gz FUZNYRYCUQUIHJ-NSHDSACASA-N 0 3 315.467 4.264 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(C(F)F)nc1 ZINC000657912760 1040125611 /nfs/dbraw/zinc/12/56/11/1040125611.db2.gz JJSRRNGWTRTXGS-BONVTDFDSA-N 0 3 306.331 4.496 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3cccnc3C)ccc2c1 ZINC000685570902 1040137923 /nfs/dbraw/zinc/13/79/23/1040137923.db2.gz GTOMWNXZPHSSON-CQSZACIVSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCCC[C@H]2Oc2cccc(C)c2)o1 ZINC000685573197 1040138089 /nfs/dbraw/zinc/13/80/89/1040138089.db2.gz UIHRAGGGOYTQDS-ZWKOTPCHSA-N 0 3 314.429 4.080 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCC[C@@H]2Oc2cccc(C)c2)o1 ZINC000685573194 1040139907 /nfs/dbraw/zinc/13/99/07/1040139907.db2.gz UIHRAGGGOYTQDS-MSOLQXFVSA-N 0 3 314.429 4.080 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1ccccc1C(F)(F)F ZINC000177849631 1040140648 /nfs/dbraw/zinc/14/06/48/1040140648.db2.gz BMZAYVRHKDZEAI-GFCCVEGCSA-N 0 3 316.367 4.012 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000493228712 1040142906 /nfs/dbraw/zinc/14/29/06/1040142906.db2.gz LWOORMIKKYFABL-NVXWUHKLSA-N 0 3 322.811 4.303 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000177860764 1040145553 /nfs/dbraw/zinc/14/55/53/1040145553.db2.gz ULBMJDFEBKYNBK-NSHDSACASA-N 0 3 317.260 4.300 20 0 DIADHN COc1ccc(CN(Cc2oc(C)nc2C)C(C)(C)C)cc1 ZINC000685585764 1040146806 /nfs/dbraw/zinc/14/68/06/1040146806.db2.gz QJANQDPAOTUKFY-UHFFFAOYSA-N 0 3 302.418 4.101 20 0 DIADHN Cc1cn[nH]c1CNCc1ccccc1Oc1ccccc1C ZINC000685615411 1040158294 /nfs/dbraw/zinc/15/82/94/1040158294.db2.gz RJMKORMFFFGOMK-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN FC(F)(F)c1ccc(Br)c(CNC2CC=CC2)c1 ZINC000685618161 1040162284 /nfs/dbraw/zinc/16/22/84/1040162284.db2.gz BPRWIPLVQAQJSO-UHFFFAOYSA-N 0 3 320.152 4.276 20 0 DIADHN Cc1cn[nH]c1CNCc1ccc(C)cc1O[C@H](C)CC(C)C ZINC000685618501 1040162318 /nfs/dbraw/zinc/16/23/18/1040162318.db2.gz DHFFKSQMWDADGA-MRXNPFEDSA-N 0 3 315.461 4.130 20 0 DIADHN CC[C@H](NCc1csc(C)c1)c1ccc(OC)c(OC)c1 ZINC000294340415 1040174662 /nfs/dbraw/zinc/17/46/62/1040174662.db2.gz YLGANSODJPWSRQ-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1cc2ccncc2s1 ZINC000657922598 1040175019 /nfs/dbraw/zinc/17/50/19/1040175019.db2.gz LWLJAQXRGCEFCB-AAEUAGOBSA-N 0 3 318.467 4.050 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000685644406 1040177866 /nfs/dbraw/zinc/17/78/66/1040177866.db2.gz WVIIFVMAJFEKAD-KBPBESRZSA-N 0 3 315.413 4.211 20 0 DIADHN CC1(C)[C@H](NCc2nnc(-c3ccccc3)s2)[C@@H]2CCC[C@@H]21 ZINC000657927225 1040181055 /nfs/dbraw/zinc/18/10/55/1040181055.db2.gz OUAIMRGOKIGOPW-IJEWVQPXSA-N 0 3 313.470 4.119 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936854 1040196173 /nfs/dbraw/zinc/19/61/73/1040196173.db2.gz RSISWAQDNVZOQS-WMZOPIPTSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cccnc1 ZINC000685660244 1040200198 /nfs/dbraw/zinc/20/01/98/1040200198.db2.gz GIWOUMIWSZUWTE-XJKSGUPXSA-N 0 3 308.347 4.153 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(C)c(C)c2)cc(N2CCCC2)n1 ZINC000685661579 1040200808 /nfs/dbraw/zinc/20/08/08/1040200808.db2.gz UAXRYLBQHYAWLF-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC000611982728 1040209213 /nfs/dbraw/zinc/20/92/13/1040209213.db2.gz SDNWVTXTGOAWMQ-IRXDYDNUSA-N 0 3 314.473 4.212 20 0 DIADHN c1csc(CN(Cc2ccc(-n3ccnc3)cc2)C2CC2)c1 ZINC000101544134 1040228080 /nfs/dbraw/zinc/22/80/80/1040228080.db2.gz NYAFVYFCMOXSGA-UHFFFAOYSA-N 0 3 309.438 4.098 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3nnc(-c4ccco4)o3)C2)cc1 ZINC000278782649 1040230314 /nfs/dbraw/zinc/23/03/14/1040230314.db2.gz XLMVUXZFXNBXEG-GOEBONIOSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3nnc(-c4ccco4)o3)C2)cc1 ZINC000278782651 1040231779 /nfs/dbraw/zinc/23/17/79/1040231779.db2.gz XLMVUXZFXNBXEG-HOCLYGCPSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3nnc(-c4ccco4)o3)C2)cc1 ZINC000278782647 1040231956 /nfs/dbraw/zinc/23/19/56/1040231956.db2.gz XLMVUXZFXNBXEG-GDBMZVCRSA-N 0 3 323.396 4.016 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@H](C)c1nnc(C)s1 ZINC000657974623 1040239735 /nfs/dbraw/zinc/23/97/35/1040239735.db2.gz QDXJFVMQIOSKTA-YGRLFVJLSA-N 0 3 309.866 4.172 20 0 DIADHN Cc1ccc(CCN(C)Cc2ccc(Br)o2)cc1 ZINC000605573913 1040243657 /nfs/dbraw/zinc/24/36/57/1040243657.db2.gz JMCXLDBABVIZPR-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN CCn1cc(CN[C@@H](Cc2ccccc2)c2ccccc2)c(C)n1 ZINC000892418810 1040246032 /nfs/dbraw/zinc/24/60/32/1040246032.db2.gz UWWJVIMBKBMXME-NRFANRHFSA-N 0 3 319.452 4.285 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccccc1N(C)C ZINC000093947112 1040252809 /nfs/dbraw/zinc/25/28/09/1040252809.db2.gz YIJLJDKBRCMLIH-KSSFIOAISA-N 0 3 310.441 4.099 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000657993770 1040256229 /nfs/dbraw/zinc/25/62/29/1040256229.db2.gz MAYWYZFHANDQSF-AUUYWEPGSA-N 0 3 310.397 4.256 20 0 DIADHN C[C@@H](N[C@@H](C)c1nccn1-c1ccccc1)C(C)(C)C(F)F ZINC000658016619 1040260480 /nfs/dbraw/zinc/26/04/80/1040260480.db2.gz XPZUHXBWQMFUCN-QWHCGFSZSA-N 0 3 307.388 4.203 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCn3nccc32)C2CC2)cc1Cl ZINC000658027226 1040267128 /nfs/dbraw/zinc/26/71/28/1040267128.db2.gz LBEUIZILQVURMJ-DOTOQJQBSA-N 0 3 319.811 4.251 20 0 DIADHN C[C@H](N[C@@H]1COC(C)(C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000658058989 1040281085 /nfs/dbraw/zinc/28/10/85/1040281085.db2.gz PJBUFSHCHNMZRA-GJZGRUSLSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000658058987 1040281132 /nfs/dbraw/zinc/28/11/32/1040281132.db2.gz PJBUFSHCHNMZRA-CABCVRRESA-N 0 3 312.413 4.092 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cccnc2N2CCCC2)c1C ZINC000658101105 1040310062 /nfs/dbraw/zinc/31/00/62/1040310062.db2.gz MRTXIROFSKPVIA-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN CC[C@H](C)CCN[C@H](C)c1ncc(Br)cc1F ZINC000658121868 1040320191 /nfs/dbraw/zinc/32/01/91/1040320191.db2.gz RGMHAGPTWDJGTA-VHSXEESVSA-N 0 3 303.219 4.070 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1CCCCC(=O)OC(C)(C)C ZINC000612079601 1040331437 /nfs/dbraw/zinc/33/14/37/1040331437.db2.gz JDIBNJZGOWBFAI-CQSZACIVSA-N 0 3 321.436 4.257 20 0 DIADHN COC[C@H](N[C@H]1C[C@@H](C)c2ccccc21)c1cccc(OC)c1 ZINC000658161049 1040333009 /nfs/dbraw/zinc/33/30/09/1040333009.db2.gz IRIWPDHVBNVSJO-UAOJZALGSA-N 0 3 311.425 4.221 20 0 DIADHN COC[C@H](N[C@H](C)c1ccc(C)cc1C)c1cccc(OC)c1 ZINC000658165976 1040338309 /nfs/dbraw/zinc/33/83/09/1040338309.db2.gz UAILDEAIIMZIRA-UZLBHIALSA-N 0 3 313.441 4.350 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2cncc(Br)c2)c1 ZINC000658176026 1040342095 /nfs/dbraw/zinc/34/20/95/1040342095.db2.gz GTKHEEAMHQEUJJ-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCn3nccc32)c2ccccc12 ZINC000658174588 1040342432 /nfs/dbraw/zinc/34/24/32/1040342432.db2.gz MOMYBYWASRZLMP-QGZVFWFLSA-N 0 3 311.816 4.314 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)COc1c(F)cccc1F ZINC000658178826 1040345688 /nfs/dbraw/zinc/34/56/88/1040345688.db2.gz WBYHTKHMLLPNFU-OLZOCXBDSA-N 0 3 320.383 4.040 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cc(COC)cs2)s1 ZINC000658208821 1040357363 /nfs/dbraw/zinc/35/73/63/1040357363.db2.gz LDNHCHRWZBRMMM-JTQLQIEISA-N 0 3 310.488 4.073 20 0 DIADHN C[C@@H](NCC[C@]1(C)CC1(F)F)c1nc(C(F)(F)F)cs1 ZINC000658218441 1040360398 /nfs/dbraw/zinc/36/03/98/1040360398.db2.gz DSFLLIWCSRCBIB-GMSGAONNSA-N 0 3 314.323 4.248 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccc(F)cc1OC(F)F ZINC000658221183 1040363698 /nfs/dbraw/zinc/36/36/98/1040363698.db2.gz SFECKVVDTPMZRH-SDBXPKJASA-N 0 3 309.278 4.123 20 0 DIADHN COc1ccc([C@@H](NCCCC2CCC2)c2ccccn2)cc1 ZINC000658224261 1040366007 /nfs/dbraw/zinc/36/60/07/1040366007.db2.gz RTOXUDLURIVGSG-HXUWFJFHSA-N 0 3 310.441 4.350 20 0 DIADHN COc1ccnc(CN[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)c1F ZINC000658225523 1040369046 /nfs/dbraw/zinc/36/90/46/1040369046.db2.gz UQVZUDLAVFSLMO-NEPJUHHUSA-N 0 3 322.811 4.165 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H]1CCCc2c(Cl)cccc21 ZINC000658213323 1040372704 /nfs/dbraw/zinc/37/27/04/1040372704.db2.gz PIGDPFCARSEWFJ-QGZVFWFLSA-N 0 3 315.848 4.118 20 0 DIADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1sccc1Br ZINC000658217236 1040374976 /nfs/dbraw/zinc/37/49/76/1040374976.db2.gz CRCNZUCJOJJFQT-GMTAPVOTSA-N 0 3 318.280 4.119 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H]1CCc2ccc(O)cc21 ZINC000658235403 1040378619 /nfs/dbraw/zinc/37/86/19/1040378619.db2.gz DDPKHKBETURQIW-OALUTQOASA-N 0 3 309.409 4.055 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1c(C3CCC3)cnn1C)[C@H](C)C2 ZINC000658267916 1040393221 /nfs/dbraw/zinc/39/32/21/1040393221.db2.gz IHQBCUQWYPFLHE-VLIAUNLRSA-N 0 3 309.457 4.019 20 0 DIADHN Cc1ccc([C@@H](NCc2c(C3CCC3)cnn2C)C2CC2)cc1 ZINC000658269235 1040399166 /nfs/dbraw/zinc/39/91/66/1040399166.db2.gz UWHBVHLXXFNGOG-HXUWFJFHSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000658287898 1040405463 /nfs/dbraw/zinc/40/54/63/1040405463.db2.gz KXFZPFSNCLVSPS-IAOVAPTHSA-N 0 3 318.486 4.169 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccc1)c1ccncc1)C1CCCCC1 ZINC000658327384 1040413235 /nfs/dbraw/zinc/41/32/35/1040413235.db2.gz BTQPSNGJFMMXQB-LEWJYISDSA-N 0 3 324.468 4.356 20 0 DIADHN CC[C@@H](CSC)N[C@H](C)c1ccccc1Br ZINC000162122265 1040415040 /nfs/dbraw/zinc/41/50/40/1040415040.db2.gz YUMJEQJXYQSRBH-MNOVXSKESA-N 0 3 302.281 4.241 20 0 DIADHN CO[C@@]1(C)C[C@H]1N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000658330623 1040415492 /nfs/dbraw/zinc/41/54/92/1040415492.db2.gz FOOSJLAOEPXXDY-AMFBXLIHSA-N 0 3 308.472 4.008 20 0 DIADHN C[C@@H](NCC1(O)CCC(F)(F)CC1)c1ccc(F)cc1Cl ZINC000658323543 1040421098 /nfs/dbraw/zinc/42/10/98/1040421098.db2.gz RRFYEQDKQJRIRU-SNVBAGLBSA-N 0 3 321.770 4.070 20 0 DIADHN COc1cccc(C2(N[C@H]3CCc4c3cccc4OC)CCC2)c1 ZINC000658324136 1040421608 /nfs/dbraw/zinc/42/16/08/1040421608.db2.gz YECBCYFACBUUNH-IBGZPJMESA-N 0 3 323.436 4.360 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc4c(c3)COC4)CCC2)c1 ZINC000658322617 1040421730 /nfs/dbraw/zinc/42/17/30/1040421730.db2.gz AQJJDGPWUMMVKB-OAHLLOKOSA-N 0 3 323.436 4.455 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccccc3N(C)C)CCC2)c1 ZINC000658322964 1040421944 /nfs/dbraw/zinc/42/19/44/1040421944.db2.gz FOINLIADPQKGOA-INIZCTEOSA-N 0 3 324.468 4.491 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4c(O)cccc43)CCC2)c1 ZINC000658323924 1040422401 /nfs/dbraw/zinc/42/24/01/1040422401.db2.gz UPFNSPRNYDXRII-IBGZPJMESA-N 0 3 323.436 4.447 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000658359722 1040441618 /nfs/dbraw/zinc/44/16/18/1040441618.db2.gz HKQXHPMYSVPKME-MJXNMMHHSA-N 0 3 315.844 4.429 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccnn2-c2ccccc2)c1C ZINC000658347015 1040445118 /nfs/dbraw/zinc/44/51/18/1040445118.db2.gz VNNPBRYJJJODSN-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@H](NC/C=C\Cl)c1cccc(OCc2ccccn2)c1 ZINC000255756996 1040461551 /nfs/dbraw/zinc/46/15/51/1040461551.db2.gz SROPUBWMUVTQQI-UQYHUFHVSA-N 0 3 302.805 4.064 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](C)CC(F)F)c2ccccn2)cc1 ZINC000926625736 1040481714 /nfs/dbraw/zinc/48/17/14/1040481714.db2.gz DTBUOLAMSZQQDN-KSSFIOAISA-N 0 3 304.384 4.360 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(F)c(C)c2)C(C)C)cc1 ZINC000892468084 1040491398 /nfs/dbraw/zinc/49/13/98/1040491398.db2.gz OSHMYMYZCNBUML-KRWDZBQOSA-N 0 3 302.393 4.025 20 0 DIADHN C[C@@H](NCc1cnn(C)c1C1CC1)c1cccc2ccccc21 ZINC000892475846 1040519438 /nfs/dbraw/zinc/51/94/38/1040519438.db2.gz MKIPUELOGDTNPW-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN COc1cccc(Cl)c1CN1CCCC2(CC(F)C2)CC1 ZINC001140730649 1040522723 /nfs/dbraw/zinc/52/27/23/1040522723.db2.gz VIBUHOSKMJSWBI-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN C[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(Oc2ccccc2)cc1 ZINC000569741902 1040535907 /nfs/dbraw/zinc/53/59/07/1040535907.db2.gz YAMLBLHWEQPDMB-OAHLLOKOSA-N 0 3 320.392 4.430 20 0 DIADHN FC(F)(F)CCN[C@@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000165500397 1040568095 /nfs/dbraw/zinc/56/80/95/1040568095.db2.gz MLCJXUNKTNZAQH-LLVKDONJSA-N 0 3 313.241 4.115 20 0 DIADHN c1ccc(C2(NCc3cccc4c3OCCO4)CCCC2)cc1 ZINC000236631565 1040616714 /nfs/dbraw/zinc/61/67/14/1040616714.db2.gz FVYOJMDCCPCXCS-UHFFFAOYSA-N 0 3 309.409 4.017 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1nc(-c2ccccc2)cs1 ZINC000236631260 1040616950 /nfs/dbraw/zinc/61/69/50/1040616950.db2.gz PZFJMXYNAZGRNP-SFHVURJKSA-N 0 3 318.486 4.087 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)/C=C/C1CCCCC1 ZINC000177337479 1040629195 /nfs/dbraw/zinc/62/91/95/1040629195.db2.gz QZAVQAVFQDUKDL-VAWYXSNFSA-N 0 3 300.446 4.132 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1)c1csc(C(F)(F)F)c1 ZINC000924555862 1040694520 /nfs/dbraw/zinc/69/45/20/1040694520.db2.gz ZRYFACRGLUZPMJ-GWCFXTLKSA-N 0 3 315.360 4.151 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)c(Br)c1 ZINC001140760849 1040700422 /nfs/dbraw/zinc/70/04/22/1040700422.db2.gz WGSBRNQBTMHUIH-LRDDRELGSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1sccc1Br ZINC000309630320 1040715400 /nfs/dbraw/zinc/71/54/00/1040715400.db2.gz RBSFLYLLSCZVKT-JGVFFNPUSA-N 0 3 310.207 4.349 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000924560789 1040735967 /nfs/dbraw/zinc/73/59/67/1040735967.db2.gz ZIJLYYYGWNFRKS-CABCVRRESA-N 0 3 313.441 4.334 20 0 DIADHN Cc1cn[nH]c1CN(Cc1ccccc1)Cc1ccccc1C ZINC000685834101 1040771309 /nfs/dbraw/zinc/77/13/09/1040771309.db2.gz MZZCIMWFFSYSPD-UHFFFAOYSA-N 0 3 305.425 4.229 20 0 DIADHN Cc1cnn([C@@H]2CCN(Cc3cc4cc(C)c(C)cc4o3)C2)c1 ZINC000685852608 1040799899 /nfs/dbraw/zinc/79/98/99/1040799899.db2.gz XAOUMBBIOMMVBH-QGZVFWFLSA-N 0 3 309.413 4.002 20 0 DIADHN COc1cc(CN2CC(C)(C)[C@H]2C2CC2)ccc1OC(C)C ZINC000685849878 1040800935 /nfs/dbraw/zinc/80/09/35/1040800935.db2.gz GHNKKZQKYCSYQA-GOSISDBHSA-N 0 3 303.446 4.103 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C2CCC2)c1)c1ccc(OC)cc1 ZINC000924566896 1040803881 /nfs/dbraw/zinc/80/38/81/1040803881.db2.gz JIJDPKFPVRKXLB-IFXJQAMLSA-N 0 3 313.445 4.419 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C2CCC2)c1)c1ccc(OC)cc1 ZINC000924566895 1040804564 /nfs/dbraw/zinc/80/45/64/1040804564.db2.gz JIJDPKFPVRKXLB-AUUYWEPGSA-N 0 3 313.445 4.419 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)c(C)c1 ZINC000414065439 1040809600 /nfs/dbraw/zinc/80/96/00/1040809600.db2.gz OPTYJZQFNZLHSY-ZIAGYGMSSA-N 0 3 313.397 4.144 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCSCc1ccc(C)cc1 ZINC000924568381 1040826069 /nfs/dbraw/zinc/82/60/69/1040826069.db2.gz BDGUKFLVBINUMH-ZDUSSCGKSA-N 0 3 304.459 4.184 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc(OC)cc2C)ccc1F ZINC000414082604 1040834738 /nfs/dbraw/zinc/83/47/38/1040834738.db2.gz FRFQQUQBOMICHK-CQSZACIVSA-N 0 3 317.404 4.140 20 0 DIADHN C[C@H](NCC(C)(C)c1cccc(Cl)c1)c1cc2n(n1)CCC2 ZINC000414084060 1040838015 /nfs/dbraw/zinc/83/80/15/1040838015.db2.gz WULJMOHTOLLGPB-ZDUSSCGKSA-N 0 3 317.864 4.111 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CCCC1)c1ccn(C)n1 ZINC000414109853 1040874880 /nfs/dbraw/zinc/87/48/80/1040874880.db2.gz HQCJNAVYIVOQRQ-OAHLLOKOSA-N 0 3 315.486 4.176 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1ccc(OC)c(F)c1)CC2 ZINC000173230683 1040878669 /nfs/dbraw/zinc/87/86/69/1040878669.db2.gz ZMRHSPVZIKBIKJ-KZULUSFZSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1csc(-c2ccccc2)n1 ZINC000414112104 1040880214 /nfs/dbraw/zinc/88/02/14/1040880214.db2.gz MUURNWNDQRRKMN-OCCSQVGLSA-N 0 3 304.484 4.356 20 0 DIADHN CN(C)[C@H](CNc1nccc2sccc21)c1cccs1 ZINC000613335317 1040885843 /nfs/dbraw/zinc/88/58/43/1040885843.db2.gz GNGXPILCVDXBFA-GFCCVEGCSA-N 0 3 303.456 4.073 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](O)C1)c1csc(-c2ccccc2)n1 ZINC000414131675 1040908303 /nfs/dbraw/zinc/90/83/03/1040908303.db2.gz YELZKYUAPRWXEY-DZKIICNBSA-N 0 3 316.470 4.012 20 0 DIADHN CCCN1CCc2cc(OC)c(OC)cc2[C@H]1c1ccccc1 ZINC000613393316 1040915590 /nfs/dbraw/zinc/91/55/90/1040915590.db2.gz WZYJDZILVRVMLW-HXUWFJFHSA-N 0 3 311.425 4.061 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)c1ccc2c(c1)CCO2 ZINC000178121603 1040929049 /nfs/dbraw/zinc/92/90/49/1040929049.db2.gz COZDXPYVVYNTMX-ONGXBYRLSA-N 0 3 323.436 4.192 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@@H]3CCCC[C@@H]32)c(C)c1 ZINC000613416764 1040935160 /nfs/dbraw/zinc/93/51/60/1040935160.db2.gz YOVKWNGPMJCQHU-IEZWGBDMSA-N 0 3 314.473 4.143 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2ccccc2C)no1 ZINC000613423789 1040943544 /nfs/dbraw/zinc/94/35/44/1040943544.db2.gz ZLUPLDCYPZWYAJ-UHFFFAOYSA-N 0 3 301.434 4.303 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2c(C)cc(C)cc2C)s1 ZINC000178130780 1040944106 /nfs/dbraw/zinc/94/41/06/1040944106.db2.gz ONSCMLHQICABCX-OAHLLOKOSA-N 0 3 316.470 4.271 20 0 DIADHN COCc1ccccc1CN[C@H]1CCSc2ccc(F)cc21 ZINC000174095156 1040947745 /nfs/dbraw/zinc/94/77/45/1040947745.db2.gz MTHLWTWSYCVTSH-KRWDZBQOSA-N 0 3 317.429 4.299 20 0 DIADHN Cc1ccc(CN(CCCOCCc2ccccc2)C2CC2)o1 ZINC000613443342 1040952149 /nfs/dbraw/zinc/95/21/49/1040952149.db2.gz GYSQDUFQQUWXSR-UHFFFAOYSA-N 0 3 313.441 4.202 20 0 DIADHN CCOCc1ccc(CNCc2ccc(CC(C)C)nc2)cc1 ZINC000892555436 1040994167 /nfs/dbraw/zinc/99/41/67/1040994167.db2.gz TXBYCOLVFVIXNI-UHFFFAOYSA-N 0 3 312.457 4.106 20 0 DIADHN CC(C)N(CCSc1ccc(F)cc1)Cc1ccccn1 ZINC000613494831 1041009971 /nfs/dbraw/zinc/00/99/71/1041009971.db2.gz NPMWBNRGXVCCHH-UHFFFAOYSA-N 0 3 304.434 4.223 20 0 DIADHN CC(C)N(CCSc1ccccc1F)Cc1ccccn1 ZINC000613495159 1041010585 /nfs/dbraw/zinc/01/05/85/1041010585.db2.gz YVTXQYOMGAVYJE-UHFFFAOYSA-N 0 3 304.434 4.223 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1CC(=O)N[C@H](C)c1ccccc1 ZINC000613494210 1041010937 /nfs/dbraw/zinc/01/09/37/1041010937.db2.gz YFCITFYOERTMGG-YLJYHZDGSA-N 0 3 322.452 4.009 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](CO)SC)c1ccc(Cl)cc1Cl ZINC000300410554 1041012073 /nfs/dbraw/zinc/01/20/73/1041012073.db2.gz IOOOEWLYOYLUDU-IIMNLJJBSA-N 0 3 322.301 4.147 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@@H](C)c1ccc2ccccc2n1 ZINC000414217342 1041016024 /nfs/dbraw/zinc/01/60/24/1041016024.db2.gz BCJKYRKOXYTYMZ-DLPLYFIVSA-N 0 3 318.420 4.198 20 0 DIADHN Cc1ccc(OCCN2C[C@H](C(F)(F)F)CC[C@H]2C)cc1 ZINC000780385886 1041019142 /nfs/dbraw/zinc/01/91/42/1041019142.db2.gz VMSOKYMSFIHSMZ-ZIAGYGMSSA-N 0 3 301.352 4.037 20 0 DIADHN CCC1CCN([C@H](C)C(=O)N[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000613505568 1041023744 /nfs/dbraw/zinc/02/37/44/1041023744.db2.gz BHKPRBGIFVCTIT-UONOGXRCSA-N 0 3 322.880 4.028 20 0 DIADHN CCC[C@H](NCC(=O)N[C@H](C)c1cccs1)c1ccccc1 ZINC000170767731 1041038066 /nfs/dbraw/zinc/03/80/66/1041038066.db2.gz NYYYRDAJMBYUTL-ZBFHGGJFSA-N 0 3 316.470 4.056 20 0 DIADHN C[C@H](NCc1cnccc1C(C)(C)C)c1ccc2c(c1)COC2 ZINC000414239750 1041041691 /nfs/dbraw/zinc/04/16/91/1041041691.db2.gz YXWKVKVXZQZDBM-AWEZNQCLSA-N 0 3 310.441 4.260 20 0 DIADHN COCc1cccc(CNCc2ccc(C(F)F)c(F)c2)c1 ZINC000892589782 1041066219 /nfs/dbraw/zinc/06/62/19/1041066219.db2.gz QAEPDZGGLWHKMJ-UHFFFAOYSA-N 0 3 309.331 4.200 20 0 DIADHN C[C@@H](NCC(C)(C)c1cccnc1)c1nc2c(s1)CCCC2 ZINC000414339400 1041144430 /nfs/dbraw/zinc/14/44/30/1041144430.db2.gz SQWHNWQUJHBUKF-CYBMUJFWSA-N 0 3 315.486 4.045 20 0 DIADHN C1CC1N1CCC(c2nc(C3CCCCCCC3)no2)CC1 ZINC000809440841 1041168885 /nfs/dbraw/zinc/16/88/85/1041168885.db2.gz MRBKCXNJONALEN-UHFFFAOYSA-N 0 3 303.450 4.239 20 0 DIADHN Cc1occc1CN[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 ZINC000414368752 1041184476 /nfs/dbraw/zinc/18/44/76/1041184476.db2.gz SMQUKAAAMGHYSO-HOTGVXAUSA-N 0 3 309.768 4.000 20 0 DIADHN Cc1ccncc1CCCN1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000809577125 1041188514 /nfs/dbraw/zinc/18/85/14/1041188514.db2.gz VQDQNVFEPWTFGW-LJQANCHMSA-N 0 3 316.395 4.438 20 0 DIADHN CCCCN(Cc1ncc(CN(C)C)s1)C1CCCCC1 ZINC000809547218 1041189824 /nfs/dbraw/zinc/18/98/24/1041189824.db2.gz NBBWLDAOXZOQIH-UHFFFAOYSA-N 0 3 309.523 4.140 20 0 DIADHN CCc1ncc(CN[C@H](c2cccc(F)c2)C2CCCC2)cn1 ZINC000414374285 1041191198 /nfs/dbraw/zinc/19/11/98/1041191198.db2.gz CGJBPBJHPZMOCK-IBGZPJMESA-N 0 3 313.420 4.199 20 0 DIADHN CCC(CC)[C@H](NCc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000809607989 1041194887 /nfs/dbraw/zinc/19/48/87/1041194887.db2.gz FJNCIUYSUXHPDQ-DYVFJYSZSA-N 0 3 318.486 4.464 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NCCc1cscn1)CC2 ZINC000134443361 1041205979 /nfs/dbraw/zinc/20/59/79/1041205979.db2.gz ROMDQAMMMJGCBH-ZDUSSCGKSA-N 0 3 313.253 4.270 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2cccc3ccccc32)nn1 ZINC000414383435 1041207070 /nfs/dbraw/zinc/20/70/70/1041207070.db2.gz RHIRAQUUKXMCKZ-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc(CN2CCC(c3cccc4ccccc43)CC2)nn1 ZINC000414382352 1041208802 /nfs/dbraw/zinc/20/88/02/1041208802.db2.gz ORYSWHGDJMRYTP-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H](CC2CCC2)c2ccccc2)s1 ZINC000809635328 1041209956 /nfs/dbraw/zinc/20/99/56/1041209956.db2.gz WBYWYFPFJQHTNA-GUYCJALGSA-N 0 3 316.470 4.218 20 0 DIADHN CCCCCC[C@H](CC)N[C@@H](CC(=O)OC)c1ccccn1 ZINC001170585699 1041226267 /nfs/dbraw/zinc/22/62/67/1041226267.db2.gz GEZPKHHZFHEZEW-RDJZCZTQSA-N 0 3 306.450 4.024 20 0 DIADHN CC(C)(C)[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(F)cc1 ZINC000375894964 1041226281 /nfs/dbraw/zinc/22/62/81/1041226281.db2.gz IBZHQRXWOMZILJ-WBVHZDCISA-N 0 3 301.409 4.303 20 0 DIADHN CCCCCC[C@H](CC)n1nc(-c2ccncc2)cc1CN ZINC001170585445 1041228583 /nfs/dbraw/zinc/22/85/83/1041228583.db2.gz FJGYSVJBUVWSGC-INIZCTEOSA-N 0 3 300.450 4.325 20 0 DIADHN Cc1ccc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)nn1 ZINC000414394649 1041229424 /nfs/dbraw/zinc/22/94/24/1041229424.db2.gz GDHDGHDXHGEYBZ-CMQXMCPJSA-N 0 3 307.441 4.243 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)N(Cc2nn(C)c3ccccc23)C1 ZINC000809716306 1041233869 /nfs/dbraw/zinc/23/38/69/1041233869.db2.gz SQAIWOIMEHSNTH-QRWLVFNGSA-N 0 3 305.425 4.156 20 0 DIADHN O[C@@H](CCNCc1nc(-c2ccccc2)cs1)c1ccccc1 ZINC000809748367 1041242611 /nfs/dbraw/zinc/24/26/11/1041242611.db2.gz PTIRGARIALXPOJ-SFHVURJKSA-N 0 3 324.449 4.023 20 0 DIADHN O[C@H](CCNCc1ccc(Oc2ccccc2)o1)c1ccccc1 ZINC000809748561 1041243386 /nfs/dbraw/zinc/24/33/86/1041243386.db2.gz VCQMFGVEOCTBGQ-LJQANCHMSA-N 0 3 323.392 4.285 20 0 DIADHN Cc1ccoc1CNCC[C@@H](O)c1ccc(Cl)c(Cl)c1 ZINC000809755780 1041247738 /nfs/dbraw/zinc/24/77/38/1041247738.db2.gz KQOXIPNJCPUWPD-CQSZACIVSA-N 0 3 314.212 4.108 20 0 DIADHN CC(C)(CCc1ccccc1)NCc1nnc(-c2ccccc2)o1 ZINC000177186729 1041248998 /nfs/dbraw/zinc/24/89/98/1041248998.db2.gz WUSMLYKTIIMJAL-UHFFFAOYSA-N 0 3 321.424 4.238 20 0 DIADHN CCc1ccc(CCNCc2csc(C(C)(C)C)n2)cc1 ZINC000605592332 1041262544 /nfs/dbraw/zinc/26/25/44/1041262544.db2.gz PFRWLONLMLVVDX-UHFFFAOYSA-N 0 3 302.487 4.335 20 0 DIADHN CCCOc1cc(CN2CCCC(F)(F)CC2)ccc1OC ZINC000628421990 1041269596 /nfs/dbraw/zinc/26/95/96/1041269596.db2.gz ICHLBQXKKRCAQK-UHFFFAOYSA-N 0 3 313.388 4.105 20 0 DIADHN Fc1cccc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)co2)c1 ZINC000177532959 1041276941 /nfs/dbraw/zinc/27/69/41/1041276941.db2.gz ACEDJSIKVLDLQE-GASCZTMLSA-N 0 3 300.377 4.103 20 0 DIADHN CCCCCC[C@H](CC)n1nc(CN)c2cc(OC)ccc21 ZINC001170591556 1041280966 /nfs/dbraw/zinc/28/09/66/1041280966.db2.gz WNJZCBXCJBDTGA-AWEZNQCLSA-N 0 3 303.450 4.425 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)C(=O)C[C@@H]1NCc2ccccc21 ZINC000659265463 1041282985 /nfs/dbraw/zinc/28/29/85/1041282985.db2.gz JMVJEYUBAPSHML-PZJWPPBQSA-N 0 3 322.452 4.077 20 0 DIADHN CC(C)(C)[C@H](NC(=O)C[C@@H]1NCc2ccccc21)c1ccccc1 ZINC000659275332 1041288319 /nfs/dbraw/zinc/28/83/19/1041288319.db2.gz LKCITEXRTQUDPR-AZUAARDMSA-N 0 3 322.452 4.125 20 0 DIADHN Fc1cccc(CCN2CC[C@H](Oc3ccc(Cl)cc3)C2)c1 ZINC001170593439 1041290632 /nfs/dbraw/zinc/29/06/32/1041290632.db2.gz LPRNKLFMOMUIHO-SFHVURJKSA-N 0 3 319.807 4.175 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N(C)CCc1ccccc1C ZINC001170593451 1041291562 /nfs/dbraw/zinc/29/15/62/1041291562.db2.gz LUPREUBHZJWLQC-HXUWFJFHSA-N 0 3 324.468 4.195 20 0 DIADHN CC[C@@H](NCC1(COC)CCC1)c1nc(C(F)(F)F)cs1 ZINC000361337206 1041299193 /nfs/dbraw/zinc/29/91/93/1041299193.db2.gz TZRBUDNXLUFBGQ-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN COCC1(CN(C)[C@@H](C)c2nc3ccccc3s2)CCC1 ZINC000361317478 1041301228 /nfs/dbraw/zinc/30/12/28/1041301228.db2.gz ARONRHGOBDXJRQ-ZDUSSCGKSA-N 0 3 304.459 4.106 20 0 DIADHN C[C@@H](N[C@H](C)c1cccnc1)c1ccc(N2CCCCC2=O)cc1 ZINC000810129369 1041315674 /nfs/dbraw/zinc/31/56/74/1041315674.db2.gz MMKYYIOIQVSMFV-HZPDHXFCSA-N 0 3 323.440 4.010 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2ccc(F)c(F)c2)c1 ZINC000613563575 1041316466 /nfs/dbraw/zinc/31/64/66/1041316466.db2.gz MQZIUNKBIMDXJS-INIZCTEOSA-N 0 3 317.379 4.181 20 0 DIADHN CN(C)[C@H](CNc1nccc2sccc21)c1ccc(F)cc1 ZINC000613572525 1041324183 /nfs/dbraw/zinc/32/41/83/1041324183.db2.gz KARCEBIBUTZRIC-OAHLLOKOSA-N 0 3 315.417 4.150 20 0 DIADHN CSc1ccc(CN[C@@H]2CCCc3nc(C)sc32)s1 ZINC000810151184 1041326625 /nfs/dbraw/zinc/32/66/25/1041326625.db2.gz DTBBBESGPKMHHG-LLVKDONJSA-N 0 3 310.513 4.402 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccc(Br)nc1 ZINC000810227920 1041342360 /nfs/dbraw/zinc/34/23/60/1041342360.db2.gz NDQYUAMOHQBEEP-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CCCC[C@@H](CC)C(=O)N(CCN(C)C)Cc1cccc(C)c1 ZINC001125846935 1041346892 /nfs/dbraw/zinc/34/68/92/1041346892.db2.gz BEIGHOPWPNBQAY-LJQANCHMSA-N 0 3 318.505 4.102 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ncc(Br)s1 ZINC000179230317 1041351444 /nfs/dbraw/zinc/35/14/44/1041351444.db2.gz BSJXBOLZDKBEOY-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN CN(Cc1cnc2ccccc2n1)[C@@H]1CCCc2ccccc21 ZINC000659817691 1041376082 /nfs/dbraw/zinc/37/60/82/1041376082.db2.gz NWKHNLKVFULNSB-HXUWFJFHSA-N 0 3 303.409 4.139 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1nc2ccccc2s1)C1CCC1 ZINC000659827272 1041382115 /nfs/dbraw/zinc/38/21/15/1041382115.db2.gz OYLVFYAKXKHKCZ-JKSUJKDBSA-N 0 3 316.470 4.248 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNCC1CC1 ZINC000236629867 1041390084 /nfs/dbraw/zinc/39/00/84/1041390084.db2.gz ZBFBQQGKOOMHLI-UHFFFAOYSA-N 0 3 303.837 4.149 20 0 DIADHN CC[C@H](NCc1cccc2cccnc21)c1ccccc1OC ZINC000378883313 1041397451 /nfs/dbraw/zinc/39/74/51/1041397451.db2.gz GIBHTKYYBVKRCO-SFHVURJKSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@@H](CN[C@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000309787361 1041427411 /nfs/dbraw/zinc/42/74/11/1041427411.db2.gz SYFQUFJETCHULM-DTWKUNHWSA-N 0 3 305.285 4.238 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(C)(C)O ZINC000394007366 1041475193 /nfs/dbraw/zinc/47/51/93/1041475193.db2.gz ZJSSJDLJJXFZOP-AMIZOPFISA-N 0 3 308.224 4.333 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1-n1cccn1)c1cccc(F)c1 ZINC000177179166 1041475087 /nfs/dbraw/zinc/47/50/87/1041475087.db2.gz QRMBFCDDJMDKHW-GJZGRUSLSA-N 0 3 309.388 4.423 20 0 DIADHN CC(C)c1nnc(CNC[C@@H]2CCC[C@H](C(F)(F)F)C2)s1 ZINC000893101198 1041487952 /nfs/dbraw/zinc/48/79/52/1041487952.db2.gz KGBDMIMDVVYBAU-MNOVXSKESA-N 0 3 321.412 4.120 20 0 DIADHN C[C@H]1CN(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)CCC1(F)F ZINC000930511674 1041512069 /nfs/dbraw/zinc/51/20/69/1041512069.db2.gz GTUHGAHSGADVSC-IACUBPJLSA-N 0 3 301.327 4.012 20 0 DIADHN CC(C)(CNCc1ccnc(Cl)c1Cl)[C@@H]1CCCCO1 ZINC000811483583 1041521067 /nfs/dbraw/zinc/52/10/67/1041521067.db2.gz IFENWEHTZLFVEZ-LBPRGKRZSA-N 0 3 317.260 4.073 20 0 DIADHN CC(C)CSCCCNCc1ccnc(Cl)c1Cl ZINC000811485435 1041521574 /nfs/dbraw/zinc/52/15/74/1041521574.db2.gz KOXQOXLWULORQE-UHFFFAOYSA-N 0 3 307.290 4.257 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2ccnc(Cl)c2Cl)o1 ZINC000811482703 1041522179 /nfs/dbraw/zinc/52/21/79/1041522179.db2.gz PKGNCJRMQIIBND-JTQLQIEISA-N 0 3 313.228 4.401 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2ccnc(Cl)c2Cl)C1(C)C ZINC000811491049 1041524448 /nfs/dbraw/zinc/52/44/48/1041524448.db2.gz YPZIRROTGNBPPI-NEPJUHHUSA-N 0 3 317.260 4.070 20 0 DIADHN Clc1ccc(CCNCc2ccnc(Cl)c2Cl)cc1 ZINC000811471900 1041527589 /nfs/dbraw/zinc/52/75/89/1041527589.db2.gz MIXXWFVRDHGKSE-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1ccnc(Cl)c1Cl ZINC000811474920 1041527597 /nfs/dbraw/zinc/52/75/97/1041527597.db2.gz FVLFOQYUUIWWGN-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN c1csc([C@H](Cc2ccccc2)NCc2cncs2)c1 ZINC000136163127 1041528077 /nfs/dbraw/zinc/52/80/77/1041528077.db2.gz YPBOWBPIRCRWRH-HNNXBMFYSA-N 0 3 300.452 4.278 20 0 DIADHN C[C@@](O)(CNC(c1ccccc1)c1ccccc1)c1cccs1 ZINC000180596235 1041535906 /nfs/dbraw/zinc/53/59/06/1041535906.db2.gz UIYIHVDAYGXJNP-HXUWFJFHSA-N 0 3 323.461 4.335 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cccc(OC)c2Cl)CC1 ZINC000659912021 1041537581 /nfs/dbraw/zinc/53/75/81/1041537581.db2.gz USKIKDXXGGHBNR-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1cc(C2CC2)no1 ZINC000659927012 1041545732 /nfs/dbraw/zinc/54/57/32/1041545732.db2.gz IGRPTEHXUQHHOT-UHFFFAOYSA-N 0 3 302.393 4.146 20 0 DIADHN Cc1noc(C)c1CN1Cc2ccccc2[C@@H](c2ccccc2)C1 ZINC000026098820 1041565844 /nfs/dbraw/zinc/56/58/44/1041565844.db2.gz ZHEWGSZYUYGOOR-OAQYLSRUSA-N 0 3 318.420 4.439 20 0 DIADHN COc1ccc(C2CCN(c3ccnc4ccccc43)CC2)nc1 ZINC000651367881 1041565936 /nfs/dbraw/zinc/56/59/36/1041565936.db2.gz KAMMAFVWNYUBPW-UHFFFAOYSA-N 0 3 319.408 4.022 20 0 DIADHN FC(F)(F)C1CCN(CCc2nsc3ccccc32)CC1 ZINC000659975499 1041567555 /nfs/dbraw/zinc/56/75/55/1041567555.db2.gz MMHJSRMXUFVADE-UHFFFAOYSA-N 0 3 314.376 4.113 20 0 DIADHN Cc1nc(CN2CCC[C@]3(CCCc4ccccc43)C2)c(C)o1 ZINC000659993070 1041574790 /nfs/dbraw/zinc/57/47/90/1041574790.db2.gz IZUSRHDYGWGLOQ-HXUWFJFHSA-N 0 3 310.441 4.162 20 0 DIADHN CCc1nc([C@@H](C)N[C@H](C)CCc2ccc(OC)cc2)cs1 ZINC000162311844 1041580969 /nfs/dbraw/zinc/58/09/69/1041580969.db2.gz ASTXDEVCHPFUIU-ZIAGYGMSSA-N 0 3 318.486 4.386 20 0 DIADHN CC[C@H](NCc1n[nH]c(C(C)C)n1)c1cccc2ccccc21 ZINC000651389719 1041584915 /nfs/dbraw/zinc/58/49/15/1041584915.db2.gz RYGFXHXLWOUUBJ-KRWDZBQOSA-N 0 3 308.429 4.322 20 0 DIADHN CC(C)(NC[C@H]1CCC[C@H](C(F)(F)F)O1)c1ccccc1F ZINC001170651803 1041587991 /nfs/dbraw/zinc/58/79/91/1041587991.db2.gz YGKSPKJGGKOPEJ-BXUZGUMPSA-N 0 3 319.342 4.150 20 0 DIADHN Fc1cc(CN[C@@H](c2cccnc2)C2CC2)ccc1C(F)F ZINC000893255324 1041588745 /nfs/dbraw/zinc/58/87/45/1041588745.db2.gz MBGFPBYTXFZATB-MRXNPFEDSA-N 0 3 306.331 4.399 20 0 DIADHN CC[C@](C)(NCCc1nsc2ccccc12)c1nccs1 ZINC000660080128 1041604491 /nfs/dbraw/zinc/60/44/91/1041604491.db2.gz XVJLEHCULOLLBN-INIZCTEOSA-N 0 3 317.483 4.210 20 0 DIADHN CS[C@@H]1CC[C@H]1NCc1cc(Br)ccc1Cl ZINC000309917752 1041613601 /nfs/dbraw/zinc/61/36/01/1041613601.db2.gz QIUSWMNYWCRNTQ-VXGBXAGGSA-N 0 3 320.683 4.086 20 0 DIADHN CC[C@H](CC(F)F)CN1CC(c2nc3ccccc3s2)C1 ZINC000660149042 1041633013 /nfs/dbraw/zinc/63/30/13/1041633013.db2.gz ZQOGDBMKGKQRQS-LLVKDONJSA-N 0 3 310.413 4.377 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cccc3[nH]ccc31)CCC2 ZINC000364030742 1041636332 /nfs/dbraw/zinc/63/63/32/1041636332.db2.gz RIBOJZITLUITOC-GOSISDBHSA-N 0 3 308.429 4.113 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cc(C)nc2c1CCCC2 ZINC000893294004 1041637507 /nfs/dbraw/zinc/63/75/07/1041637507.db2.gz VYXFDUJDYIZZQX-HNNXBMFYSA-N 0 3 309.457 4.077 20 0 DIADHN CCC(CC)(CC)CNCc1ncc(Br)s1 ZINC000310026316 1041638437 /nfs/dbraw/zinc/63/84/37/1041638437.db2.gz PLXMZOZHFKDATL-UHFFFAOYSA-N 0 3 305.285 4.212 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2ccc(O)cc21 ZINC000812717773 1041640036 /nfs/dbraw/zinc/64/00/36/1041640036.db2.gz FJSRBBXEKVKKBJ-IBGZPJMESA-N 0 3 310.441 4.016 20 0 DIADHN CCc1ccc(F)c(-n2c(-c3ccccc3)cnc2[C@H](C)N)c1 ZINC001170686691 1041648952 /nfs/dbraw/zinc/64/89/52/1041648952.db2.gz ZKINZMCNLWZGRR-ZDUSSCGKSA-N 0 3 309.388 4.261 20 0 DIADHN CCC(CC)CNCc1c(F)ccc(C2OCCO2)c1Cl ZINC000812831642 1041653979 /nfs/dbraw/zinc/65/39/79/1041653979.db2.gz SSKNQGLPZHIDBR-UHFFFAOYSA-N 0 3 315.816 4.050 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)C1CCC1 ZINC000660190351 1041657750 /nfs/dbraw/zinc/65/77/50/1041657750.db2.gz TUEINWXGPCTLCW-GJZGRUSLSA-N 0 3 319.342 4.224 20 0 DIADHN C[C@@H](NC[C@H]1CC12CC2)c1csc(-c2ccccc2F)n1 ZINC000417331178 1041659293 /nfs/dbraw/zinc/65/92/93/1041659293.db2.gz BNSMJVIJBJSHDB-VXGBXAGGSA-N 0 3 302.418 4.400 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCSc1cccc(Cl)c1 ZINC000660195678 1041660357 /nfs/dbraw/zinc/66/03/57/1041660357.db2.gz ARXYXQBNQFPXIM-JTQLQIEISA-N 0 3 310.850 4.388 20 0 DIADHN CCc1ccc([C@H](NC[C@H](C)SC)c2cccnc2)cc1 ZINC000925602830 1041670734 /nfs/dbraw/zinc/67/07/34/1041670734.db2.gz XCMUXQSXFJUKGR-KSSFIOAISA-N 0 3 300.471 4.075 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3cc(C4CC4)no3)CC2)cc1 ZINC000660223787 1041675900 /nfs/dbraw/zinc/67/59/00/1041675900.db2.gz GHMWOSIPCPAVHI-CQSZACIVSA-N 0 3 314.404 4.461 20 0 DIADHN CC1(C)C[C@H](O)CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000584820342 1041682128 /nfs/dbraw/zinc/68/21/28/1041682128.db2.gz VUYSDEUWVRZSEF-OAHLLOKOSA-N 0 3 322.518 4.174 20 0 DIADHN CC[C@@H](NCCSc1cccc(OC)c1)c1nc(C)cs1 ZINC000660251724 1041689306 /nfs/dbraw/zinc/68/93/06/1041689306.db2.gz BPJGOHRJTMUHMA-OAHLLOKOSA-N 0 3 322.499 4.293 20 0 DIADHN CO[C@H](CN[C@@H](c1ncc(C)s1)C1CC1)C1CCCCC1 ZINC000660253545 1041691900 /nfs/dbraw/zinc/69/19/00/1041691900.db2.gz IRZFFJRWLRNAIQ-HZPDHXFCSA-N 0 3 308.491 4.088 20 0 DIADHN CC[C@H](NCCSc1cccc(OC)c1)c1c(C)noc1C ZINC000660260132 1041694096 /nfs/dbraw/zinc/69/40/96/1041694096.db2.gz SVRAIXRCMOKNEP-INIZCTEOSA-N 0 3 320.458 4.133 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)NCc1ccc(Cl)cc1F ZINC000189412708 1041701625 /nfs/dbraw/zinc/70/16/25/1041701625.db2.gz ZYWLPAAZTKFUJK-SJKOYZFVSA-N 0 3 307.796 4.081 20 0 DIADHN CSc1cccc(CN2CC[C@]3(C2)OCc2ccccc23)c1 ZINC000660303712 1041707737 /nfs/dbraw/zinc/70/77/37/1041707737.db2.gz UZPXUNFTYMHGCA-LJQANCHMSA-N 0 3 311.450 4.040 20 0 DIADHN CCC(CC)(NCc1cc(C)nn1C)c1ccc(Cl)cc1 ZINC000417928013 1041710651 /nfs/dbraw/zinc/71/06/51/1041710651.db2.gz GPGSZBXYUUWRCP-UHFFFAOYSA-N 0 3 305.853 4.187 20 0 DIADHN CCn1cncc1CNC(CC)(CC)c1ccc(Cl)cc1 ZINC000417931062 1041710673 /nfs/dbraw/zinc/71/06/73/1041710673.db2.gz KWZMHRZMEAJLFQ-UHFFFAOYSA-N 0 3 305.853 4.362 20 0 DIADHN CCn1cncc1CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC000417940743 1041712467 /nfs/dbraw/zinc/71/24/67/1041712467.db2.gz LVIWSHCLCVXGDI-QGZVFWFLSA-N 0 3 305.853 4.433 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cc(F)ccc32)ccc1Cl ZINC000651468080 1041722987 /nfs/dbraw/zinc/72/29/87/1041722987.db2.gz VFHPXNPRQLRSPS-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1ccnc(Cl)c1 ZINC000813885931 1041742539 /nfs/dbraw/zinc/74/25/39/1041742539.db2.gz ZGVYZBXAONFACZ-MMPTUQATSA-N 0 3 322.861 4.150 20 0 DIADHN CCOc1ccc(C[C@@H](C)CN[C@H](C)c2csc(C)n2)cc1 ZINC000651489919 1041748092 /nfs/dbraw/zinc/74/80/92/1041748092.db2.gz PPERADKJFJZJFD-ZIAGYGMSSA-N 0 3 318.486 4.380 20 0 DIADHN CCc1cccc(CNCc2ccc(SC)c(OC)c2)c1 ZINC000652032630 1041761465 /nfs/dbraw/zinc/76/14/65/1041761465.db2.gz LERNQPYENWIIGN-UHFFFAOYSA-N 0 3 301.455 4.269 20 0 DIADHN O[C@H](CNCc1cc(Cl)cs1)c1cc2ccccc2s1 ZINC000193148774 1041763071 /nfs/dbraw/zinc/76/30/71/1041763071.db2.gz MNVJHISAXUOYCB-CYBMUJFWSA-N 0 3 323.870 4.439 20 0 DIADHN C[C@]1(F)CCCN(CCc2cccc(Br)c2)CC1 ZINC001207503921 1041767904 /nfs/dbraw/zinc/76/79/04/1041767904.db2.gz RQHFHVWMJSSESF-HNNXBMFYSA-N 0 3 314.242 4.206 20 0 DIADHN CC(C)N(Cc1ccco1)C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000759841822 1041769366 /nfs/dbraw/zinc/76/93/66/1041769366.db2.gz ADEPMHNDBKQJED-MRXNPFEDSA-N 0 3 311.784 4.016 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CN1CC[C@@]2(CC2(F)F)C1 ZINC000652063751 1041771140 /nfs/dbraw/zinc/77/11/40/1041771140.db2.gz UOTHFBNHHPTJDT-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN CC1(C)Cc2cc(CN[C@@H]3CCc4cc(F)ccc43)ccc2O1 ZINC000236696456 1041776899 /nfs/dbraw/zinc/77/68/99/1041776899.db2.gz BFNCYIRTKXPWRV-GOSISDBHSA-N 0 3 311.400 4.316 20 0 DIADHN C[C@H](N[C@@H](C)c1cccnc1)c1cc(Br)cs1 ZINC000193948139 1041779652 /nfs/dbraw/zinc/77/96/52/1041779652.db2.gz AOMIBFBBKMOVME-UWVGGRQHSA-N 0 3 311.248 4.317 20 0 DIADHN Cc1cc(CN2Cc3ccccc3O[C@@H](C)C2)c2ccccc2n1 ZINC001170731118 1041780690 /nfs/dbraw/zinc/78/06/90/1041780690.db2.gz BVPQGGNPZIVNFL-INIZCTEOSA-N 0 3 318.420 4.326 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc(Cl)c(F)c2)c(C)o1 ZINC000759867752 1041784389 /nfs/dbraw/zinc/78/43/89/1041784389.db2.gz LDCOLMAKSWXOAP-QLJPJBMISA-N 0 3 311.784 4.073 20 0 DIADHN CCC[C@@H](C)N1Cc2ccc(NC(=S)NC3CCC3)cc2C1 ZINC000814197054 1041785017 /nfs/dbraw/zinc/78/50/17/1041785017.db2.gz QWARHFQPMFIMPN-CYBMUJFWSA-N 0 3 317.502 4.030 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc2ccccc2s1)C(F)(F)F ZINC000783270229 1041789092 /nfs/dbraw/zinc/78/90/92/1041789092.db2.gz SGSYTUMKYIIJPE-ZANVPECISA-N 0 3 303.349 4.129 20 0 DIADHN CC[C@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000660431596 1041791281 /nfs/dbraw/zinc/79/12/81/1041791281.db2.gz HHUYEGKIPDQGJI-YUMQZZPRSA-N 0 3 316.339 4.494 20 0 DIADHN CC[C@H](CN[C@H](C)c1nc(C(F)(F)F)cs1)CC(F)F ZINC000660431595 1041791483 /nfs/dbraw/zinc/79/14/83/1041791483.db2.gz HHUYEGKIPDQGJI-SFYZADRCSA-N 0 3 316.339 4.494 20 0 DIADHN Clc1cc2c(cc1CN(C1CCC1)C1CCC1)OCCO2 ZINC001170734548 1041794246 /nfs/dbraw/zinc/79/42/46/1041794246.db2.gz ZEFRTCXCGZUGBQ-UHFFFAOYSA-N 0 3 307.821 4.018 20 0 DIADHN Cc1cccc2nc(CN3C[C@@H](c4ccc(F)cc4)C[C@H]3C)cn21 ZINC000605759959 1041798460 /nfs/dbraw/zinc/79/84/60/1041798460.db2.gz TXZAQILWIMYSQR-WBVHZDCISA-N 0 3 323.415 4.160 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCc1nsc2ccccc21 ZINC000660444343 1041802935 /nfs/dbraw/zinc/80/29/35/1041802935.db2.gz CIAPAOGOFSDDEI-JQWIXIFHSA-N 0 3 314.376 4.112 20 0 DIADHN Cc1ccc(CNCc2ccc(C(C)(C)O)cc2)cc1Cl ZINC000195450500 1041808518 /nfs/dbraw/zinc/80/85/18/1041808518.db2.gz FVJTYNVHPVQTRT-UHFFFAOYSA-N 0 3 303.833 4.166 20 0 DIADHN CC(C)c1ncc(CN(C)CC[C@@H]2CCc3ccccc32)cn1 ZINC000660476882 1041815433 /nfs/dbraw/zinc/81/54/33/1041815433.db2.gz JAVQEBAZXMCFOD-SFHVURJKSA-N 0 3 309.457 4.152 20 0 DIADHN c1coc(C2=CCCN(CCc3nsc4ccccc43)C2)c1 ZINC000660533368 1041827711 /nfs/dbraw/zinc/82/77/11/1041827711.db2.gz JUVQXGYCCUSAII-UHFFFAOYSA-N 0 3 310.422 4.221 20 0 DIADHN Cc1noc([C@H]2CCCN2C[C@@H]2CC[C@@H](c3ccccc3)C2)n1 ZINC000660548294 1041829893 /nfs/dbraw/zinc/82/98/93/1041829893.db2.gz JYKQHLHOUDHTHL-KBAYOESNSA-N 0 3 311.429 4.099 20 0 DIADHN CSc1cccc(CN[C@@H](c2cccs2)C(C)(C)CO)c1 ZINC000660557186 1041832884 /nfs/dbraw/zinc/83/28/84/1041832884.db2.gz LBWMNQXLOCXXJL-INIZCTEOSA-N 0 3 321.511 4.319 20 0 DIADHN CCN(Cc1nsc2ccccc21)[C@@H]1CCOC(C)(C)C1 ZINC000660562228 1041835279 /nfs/dbraw/zinc/83/52/79/1041835279.db2.gz DRTMKAFWHXXKNV-CYBMUJFWSA-N 0 3 304.459 4.076 20 0 DIADHN Cn1ncc(CN[C@H](CC2CCC2)c2ccccc2)c1C1CC1 ZINC000893401450 1041839224 /nfs/dbraw/zinc/83/92/24/1041839224.db2.gz IITSTJMGXYOPLS-LJQANCHMSA-N 0 3 309.457 4.319 20 0 DIADHN CC[C@@H](CNC(C)(C)c1cc(C(F)(F)F)on1)CC(F)F ZINC000660615891 1041842492 /nfs/dbraw/zinc/84/24/92/1041842492.db2.gz VWQYKKKBHFCYKP-MRVPVSSYSA-N 0 3 314.298 4.200 20 0 DIADHN COc1ccc(CN[C@H](CC(C)C)c2ccc(F)cc2)cn1 ZINC000652137123 1041845500 /nfs/dbraw/zinc/84/55/00/1041845500.db2.gz AHRXBTXHRQTICM-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN FC(F)c1cccc(CN2CCC[C@@H](c3ccccn3)C2)c1 ZINC000660625412 1041845724 /nfs/dbraw/zinc/84/57/24/1041845724.db2.gz HISZVMIYBXSOKF-MRXNPFEDSA-N 0 3 302.368 4.399 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)C[C@@H]1CCO[C@H](C)C1 ZINC000660708422 1041855168 /nfs/dbraw/zinc/85/51/68/1041855168.db2.gz OSCOGKSZLOQARR-JHJVBQTASA-N 0 3 321.852 4.024 20 0 DIADHN CCC(C)(C)OCCNCc1c(Cl)ccc2cccnc21 ZINC000651619355 1041858267 /nfs/dbraw/zinc/85/82/67/1041858267.db2.gz NCQVJNDZMPKXRC-UHFFFAOYSA-N 0 3 306.837 4.183 20 0 DIADHN CCCC[C@@H](CC)CN(C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764373 1041860518 /nfs/dbraw/zinc/86/05/18/1041860518.db2.gz OBKYMFMVARUXDC-NVXWUHKLSA-N 0 3 300.450 4.042 20 0 DIADHN C[C@@H]1CCCCC[C@@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654905 1041866582 /nfs/dbraw/zinc/86/65/82/1041866582.db2.gz HBMBUPWBBXVKBL-APWZRJJASA-N 0 3 316.489 4.024 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1cccc(Cl)c1Cl ZINC000661109900 1041888891 /nfs/dbraw/zinc/88/88/91/1041888891.db2.gz UXJWVVVQFXMFKX-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN Fc1ccc(OC(F)F)c(CN2CCC3(CC3(F)F)CC2)c1 ZINC000660917754 1041871256 /nfs/dbraw/zinc/87/12/56/1041871256.db2.gz PTEVVVSXBZMRKW-UHFFFAOYSA-N 0 3 321.289 4.048 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2C[C@@H]3CCCC[C@@H]32)c1 ZINC000660933459 1041872762 /nfs/dbraw/zinc/87/27/62/1041872762.db2.gz RHVGKGFPRGEGCN-ICSRJNTNSA-N 0 3 320.436 4.313 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)C[C@@H]1CCC(C)(C)CO1 ZINC001170768610 1041876905 /nfs/dbraw/zinc/87/69/05/1041876905.db2.gz BILOOJRTHHUVGI-RDJZCZTQSA-N 0 3 305.462 4.283 20 0 DIADHN CC[C@H](C)N(CC)Cc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000661107968 1041886612 /nfs/dbraw/zinc/88/66/12/1041886612.db2.gz VNCFXLIVSCGKNE-LBPRGKRZSA-N 0 3 319.474 4.297 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1cc2cnccc2o1 ZINC000651729147 1041895926 /nfs/dbraw/zinc/89/59/26/1041895926.db2.gz XQOZQNIICAGCRU-CWTRNNRKSA-N 0 3 310.372 4.380 20 0 DIADHN Fc1cc2cccnc2c(CN2CCC(c3ccco3)CC2)c1 ZINC000661164312 1041899219 /nfs/dbraw/zinc/89/92/19/1041899219.db2.gz VKYQTURWXBVLBT-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN c1c2cnccc2oc1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000651742106 1041900200 /nfs/dbraw/zinc/90/02/00/1041900200.db2.gz DBRWZLMADUNXHN-KXBFYZLASA-N 0 3 322.408 4.085 20 0 DIADHN c1c2cnccc2oc1CN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000651742105 1041900735 /nfs/dbraw/zinc/90/07/35/1041900735.db2.gz DBRWZLMADUNXHN-HNAYVOBHSA-N 0 3 322.408 4.085 20 0 DIADHN C[C@@H]1CCCCC[C@H]1N[C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000651749980 1041902448 /nfs/dbraw/zinc/90/24/48/1041902448.db2.gz QVSVLODWBQPLBO-ZTFGCOKTSA-N 0 3 315.436 4.207 20 0 DIADHN O[C@H](CN(Cc1cccs1)C1CC1)c1cc2ccccc2o1 ZINC000815009900 1041902890 /nfs/dbraw/zinc/90/28/90/1041902890.db2.gz LSECPOWWTCKUFJ-MRXNPFEDSA-N 0 3 313.422 4.192 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccnc(Br)c2)cc1 ZINC000815019781 1041904585 /nfs/dbraw/zinc/90/45/85/1041904585.db2.gz NERJAESNXHDRAP-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN CN(Cc1ccc(Cl)cc1)C[C@@H](O)c1cc2ccccc2o1 ZINC000815013387 1041905135 /nfs/dbraw/zinc/90/51/35/1041905135.db2.gz OKQGKJOITKXFCG-MRXNPFEDSA-N 0 3 315.800 4.252 20 0 DIADHN O[C@@H](CN1CCc2c(F)cccc2C1)c1cccc2ccccc21 ZINC000815075613 1041911665 /nfs/dbraw/zinc/91/16/65/1041911665.db2.gz HZSPSRGSYFOPQM-NRFANRHFSA-N 0 3 321.395 4.071 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(Br)c2)s1 ZINC000815088230 1041913956 /nfs/dbraw/zinc/91/39/56/1041913956.db2.gz CLDOBCWGWSSBMP-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc(-n3ccnc3)cc2)c1 ZINC000815118817 1041915607 /nfs/dbraw/zinc/91/56/07/1041915607.db2.gz MKHNMPRWOQWRJE-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN COC1(CN[C@H](C)c2ccc(-c3ccccc3)cn2)CCCC1 ZINC000651817969 1041922210 /nfs/dbraw/zinc/92/22/10/1041922210.db2.gz GMELBDNSVMZZAG-MRXNPFEDSA-N 0 3 310.441 4.358 20 0 DIADHN C[C@H](CCCCO)NCc1c(Cl)ccc(Cl)c1Cl ZINC000398586054 1041922576 /nfs/dbraw/zinc/92/25/76/1041922576.db2.gz NPDCEINMQYGZSE-SECBINFHSA-N 0 3 310.652 4.288 20 0 DIADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651844713 1041932871 /nfs/dbraw/zinc/93/28/71/1041932871.db2.gz SDZHEGDCUZQGSQ-TXPKVOOTSA-N 0 3 312.457 4.196 20 0 DIADHN C[C@H](NCCCc1ccc(C(F)(F)F)cc1)c1cscn1 ZINC000661418078 1041935192 /nfs/dbraw/zinc/93/51/92/1041935192.db2.gz FJFDAZWCYHUXEB-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN Cc1cnc(CCN2CC3(CCCC3)[C@@H]2c2ccco2)c(C)c1 ZINC000661436727 1041936364 /nfs/dbraw/zinc/93/63/64/1041936364.db2.gz VJEKRDNAVCYXNK-IBGZPJMESA-N 0 3 310.441 4.451 20 0 DIADHN CCN(C)c1ccc(CNCc2cccc3ccsc32)cn1 ZINC000651863288 1041936379 /nfs/dbraw/zinc/93/63/79/1041936379.db2.gz BUGDRJACRNFSIW-UHFFFAOYSA-N 0 3 311.454 4.042 20 0 DIADHN c1cnn(CCCCCN2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC000661448038 1041938769 /nfs/dbraw/zinc/93/87/69/1041938769.db2.gz YQNJXYRORYIKAG-GOSISDBHSA-N 0 3 313.445 4.264 20 0 DIADHN C[C@@H](NCc1cnc[nH]1)c1ccc(O[C@@H](C)c2ccccc2)cc1 ZINC000661460024 1041940794 /nfs/dbraw/zinc/94/07/94/1041940794.db2.gz XMXNXWUBJZRRJL-CVEARBPZSA-N 0 3 321.424 4.401 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN1CC[C@@H](c2ccco2)C1 ZINC000662862931 1041945339 /nfs/dbraw/zinc/94/53/39/1041945339.db2.gz ZCDWEJKGMPIQAO-OAHLLOKOSA-N 0 3 312.344 4.063 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1coc(-c2cccc(F)c2)n1 ZINC000661522212 1041947418 /nfs/dbraw/zinc/94/74/18/1041947418.db2.gz AXUOJNPVBFBXKF-ORAYPTAESA-N 0 3 322.383 4.299 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000815553486 1041961341 /nfs/dbraw/zinc/96/13/41/1041961341.db2.gz BHLORVBYZNMXLY-KBPBESRZSA-N 0 3 315.388 4.317 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccc(OC(C)C)nc1 ZINC000815560915 1041963029 /nfs/dbraw/zinc/96/30/29/1041963029.db2.gz UFTPLZPSOFYPDF-AWEZNQCLSA-N 0 3 302.393 4.167 20 0 DIADHN COC(C)(C)[C@H](C)NCc1nc(-c2ccc(C)cc2)cs1 ZINC000815561946 1041963756 /nfs/dbraw/zinc/96/37/56/1041963756.db2.gz TVXWIZWHJSKYAU-ZDUSSCGKSA-N 0 3 304.459 4.022 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000815579693 1041965580 /nfs/dbraw/zinc/96/55/80/1041965580.db2.gz SINLFQAUSSXRIU-CHWSQXEVSA-N 0 3 315.392 4.037 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000815579707 1041966010 /nfs/dbraw/zinc/96/60/10/1041966010.db2.gz SNNFGYBRTBPCJK-NEPJUHHUSA-N 0 3 313.376 4.128 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(F)cc2C)cn1 ZINC000815578498 1041966154 /nfs/dbraw/zinc/96/61/54/1041966154.db2.gz PKOCJMFLNXGNGK-AWEZNQCLSA-N 0 3 302.393 4.169 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(C(C)C)[C@H](C)c1ccccc1 ZINC001170793603 1041969120 /nfs/dbraw/zinc/96/91/20/1041969120.db2.gz ADFDMOJJXVDEMQ-ZWKOTPCHSA-N 0 3 318.505 4.351 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccc2c(c1)OCCCO2 ZINC000815574104 1041973146 /nfs/dbraw/zinc/97/31/46/1041973146.db2.gz FAHOENPSTWRZNG-AWEZNQCLSA-N 0 3 315.388 4.146 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2C[C@H]2C(C)C)c2ccccn2)cc1 ZINC000652205177 1041974634 /nfs/dbraw/zinc/97/46/34/1041974634.db2.gz PJAJLCXUWDOELQ-QRFRQXIXSA-N 0 3 310.441 4.061 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CC[C@@H](C)C2)c2ccccn2)cc1 ZINC000652195132 1041975036 /nfs/dbraw/zinc/97/50/36/1041975036.db2.gz LEXGOLAKOVSRMT-GUXCAODWSA-N 0 3 310.441 4.205 20 0 DIADHN Fc1ccc(-c2noc(CN(C3CC3)C3CCCCC3)n2)cc1 ZINC000048008812 1041977346 /nfs/dbraw/zinc/97/73/46/1041977346.db2.gz GOCABMKBYWPSNA-UHFFFAOYSA-N 0 3 315.392 4.173 20 0 DIADHN Fc1cc(F)c(CNC2CCC(C(F)(F)F)CC2)c(F)c1 ZINC000815650254 1041986003 /nfs/dbraw/zinc/98/60/03/1041986003.db2.gz MTKUOJXTAARCLR-UHFFFAOYSA-N 0 3 311.269 4.315 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1sccc1Br ZINC000652267838 1041986245 /nfs/dbraw/zinc/98/62/45/1041986245.db2.gz BYOIYMYYNXRYKC-YUSALJHKSA-N 0 3 318.280 4.119 20 0 DIADHN Cc1ccc(CN2CC3(C2)C[C@@H](F)CS3)c2ccccc12 ZINC001138017082 1041991019 /nfs/dbraw/zinc/99/10/19/1041991019.db2.gz WYAQRCAPTAEHOT-OAHLLOKOSA-N 0 3 301.430 4.178 20 0 DIADHN C[C@H](NCCC1(CO)CCC1)c1nc(-c2ccccc2)cs1 ZINC000652310525 1042000102 /nfs/dbraw/zinc/00/01/02/1042000102.db2.gz KEDSFHXJTSJQCD-AWEZNQCLSA-N 0 3 316.470 4.013 20 0 DIADHN CN(Cc1cnc(CC(F)(F)F)s1)C[C@H]1CC=CCC1 ZINC000893522285 1042001550 /nfs/dbraw/zinc/00/15/50/1042001550.db2.gz PBADQUYLQDGNKM-NSHDSACASA-N 0 3 304.381 4.036 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@H](OC(C)(C)C)C23CCC3)o1 ZINC000661838110 1042003680 /nfs/dbraw/zinc/00/36/80/1042003680.db2.gz DKYJESQSXBEVHY-HOTGVXAUSA-N 0 3 320.477 4.151 20 0 DIADHN COc1cc(Cl)ncc1CN(C)C(C)(C)Cc1ccccc1 ZINC001141346290 1042005995 /nfs/dbraw/zinc/00/59/95/1042005995.db2.gz CYLOTZWVCRJIRN-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN C[C@H](NC1CC2(CCC2)C1)c1nc2ccc(Cl)cc2n1C ZINC000652327854 1042008640 /nfs/dbraw/zinc/00/86/40/1042008640.db2.gz LWRSPSZUFOBJAF-NSHDSACASA-N 0 3 303.837 4.210 20 0 DIADHN COc1cc(CN2CCC[C@H]([C@H]3CCCCO3)C2)ccc1Cl ZINC000661872340 1042012776 /nfs/dbraw/zinc/01/27/76/1042012776.db2.gz AEPOXHAJIJXGEN-DOTOQJQBSA-N 0 3 323.864 4.130 20 0 DIADHN COc1cc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)ccc1Cl ZINC000661872342 1042012888 /nfs/dbraw/zinc/01/28/88/1042012888.db2.gz AEPOXHAJIJXGEN-RDJZCZTQSA-N 0 3 323.864 4.130 20 0 DIADHN CC[C@H](NCC/C=C/c1ccccc1)c1nnc2n1CCCCC2 ZINC000661940834 1042026749 /nfs/dbraw/zinc/02/67/49/1042026749.db2.gz BBADUSHCGGXHST-RORWEDLISA-N 0 3 324.472 4.149 20 0 DIADHN C[C@@H](NC(=O)c1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC001135441386 1042033299 /nfs/dbraw/zinc/03/32/99/1042033299.db2.gz ZSJZYXPFTNADKX-QGZVFWFLSA-N 0 3 322.452 4.164 20 0 DIADHN C[C@@H](NCc1nc(C(F)(F)F)cs1)C1CCC(F)CC1 ZINC000661999365 1042037971 /nfs/dbraw/zinc/03/79/71/1042037971.db2.gz ADVVRUPSIUMGNU-XNWIYYODSA-N 0 3 310.360 4.168 20 0 DIADHN C[C@@H](NCc1ncc(Cl)cc1Cl)C1CCC(F)CC1 ZINC000662001150 1042038577 /nfs/dbraw/zinc/03/85/77/1042038577.db2.gz LLIPRXZBPMDVQI-GRZMOONWSA-N 0 3 305.224 4.395 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC(F)CC1)c1nc(-c2cccs2)no1 ZINC000662000176 1042039278 /nfs/dbraw/zinc/03/92/78/1042039278.db2.gz KCNKWCJOJIBBOC-ZSVAQUKISA-N 0 3 323.437 4.366 20 0 DIADHN C[C@@H](N[C@@H](C)c1nnc(-c2ccccc2)o1)C1CCC(F)CC1 ZINC000662003517 1042040015 /nfs/dbraw/zinc/04/00/15/1042040015.db2.gz RHCXXVOSWYXJQE-NNNQGMHWSA-N 0 3 317.408 4.304 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC(F)CC1)c1nnc(-c2ccccc2)o1 ZINC000662003518 1042040360 /nfs/dbraw/zinc/04/03/60/1042040360.db2.gz RHCXXVOSWYXJQE-NOZYUVCASA-N 0 3 317.408 4.304 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487372447 1042041471 /nfs/dbraw/zinc/04/14/71/1042041471.db2.gz XJVVZGVYASFHHR-NWDGAFQWSA-N 0 3 321.371 4.025 20 0 DIADHN Cc1ccc(-c2noc(CN[C@@H](C)C3CCC(F)CC3)n2)cc1 ZINC000662007056 1042041649 /nfs/dbraw/zinc/04/16/49/1042041649.db2.gz YVXUWLRMFDQGEK-HLIUYOAVSA-N 0 3 317.408 4.051 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CCC[C@H](C2CCC2)C1 ZINC000662040615 1042046460 /nfs/dbraw/zinc/04/64/60/1042046460.db2.gz VELDIPKMFYCYJS-YOEHRIQHSA-N 0 3 311.429 4.310 20 0 DIADHN OC[C@@H](NC[C@@H]1CCC(F)(F)C1)c1cccc(Cl)c1Cl ZINC000662053152 1042049911 /nfs/dbraw/zinc/04/99/11/1042049911.db2.gz WNTZIAMJMSJHFK-BXKDBHETSA-N 0 3 324.198 4.052 20 0 DIADHN C[C@H](c1ccccn1)N1CCC2(CCc3ccccc3O2)CC1 ZINC000662050953 1042049935 /nfs/dbraw/zinc/04/99/35/1042049935.db2.gz VEACIEBKBBMQBW-MRXNPFEDSA-N 0 3 308.425 4.002 20 0 DIADHN Clc1cccc(CC2(NCc3cnc(C4CC4)o3)CC2)c1 ZINC000628301411 1042050196 /nfs/dbraw/zinc/05/01/96/1042050196.db2.gz SVDQOVUYKOOJML-UHFFFAOYSA-N 0 3 302.805 4.070 20 0 DIADHN CCc1nocc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000816497076 1042057787 /nfs/dbraw/zinc/05/77/87/1042057787.db2.gz LTCHFTJWHODEJF-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN CC(C)(c1ccc(F)cc1)C1(NCc2cnc(C3CC3)o2)CC1 ZINC000628302146 1042060992 /nfs/dbraw/zinc/06/09/92/1042060992.db2.gz SBNGJZQONAHXJK-UHFFFAOYSA-N 0 3 314.404 4.291 20 0 DIADHN C[C@@H](c1ccc2c(c1)CCC2)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC001170834706 1042069154 /nfs/dbraw/zinc/06/91/54/1042069154.db2.gz GRBZSQITBOMJEZ-SCLBCKFNSA-N 0 3 315.848 4.033 20 0 DIADHN CC[C@H]1CC[C@H](NCc2c(Cl)n(C)nc2C(F)(F)F)CC1 ZINC000816625022 1042070016 /nfs/dbraw/zinc/07/00/16/1042070016.db2.gz OPLVEWZYBWCCOX-MGCOHNPYSA-N 0 3 323.790 4.151 20 0 DIADHN c1cc2c(o1)CCCN(C[C@@H]1CCC[C@H](c3ccccc3)O1)C2 ZINC001170835618 1042073681 /nfs/dbraw/zinc/07/36/81/1042073681.db2.gz NBRNGAIIVSPLHF-AZUAARDMSA-N 0 3 311.425 4.338 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cccc(Cl)c2)cc1OC ZINC000301577534 1042079160 /nfs/dbraw/zinc/07/91/60/1042079160.db2.gz ADLSCYGVAYEYGM-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(O)cc2)cc1OCC ZINC000236890302 1042084897 /nfs/dbraw/zinc/08/48/97/1042084897.db2.gz WBGZVAKPZJGEEK-AWEZNQCLSA-N 0 3 315.413 4.040 20 0 DIADHN Cn1cc(CN2CCC[C@H](C3CCCCC3)C2)c(C(F)F)n1 ZINC000662203471 1042086004 /nfs/dbraw/zinc/08/60/04/1042086004.db2.gz XROOCOKDZAAXGM-AWEZNQCLSA-N 0 3 311.420 4.150 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccnc2C)cc1OCC ZINC000236891729 1042088395 /nfs/dbraw/zinc/08/83/95/1042088395.db2.gz JIUPXDLOBNWUKQ-AWEZNQCLSA-N 0 3 314.429 4.038 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001135742267 1042088481 /nfs/dbraw/zinc/08/84/81/1042088481.db2.gz HSZLWZATXTXIOT-ICSRJNTNSA-N 0 3 318.505 4.402 20 0 DIADHN Brc1csc([C@@H](N[C@H]2CC=CCC2)C2CC2)n1 ZINC000817579672 1042116456 /nfs/dbraw/zinc/11/64/56/1042116456.db2.gz DITMIAOKAQRCJK-JQWIXIFHSA-N 0 3 313.264 4.055 20 0 DIADHN COc1ccc(-c2cc(SC)ccc2F)cc1CN(C)C ZINC001245748981 1042102833 /nfs/dbraw/zinc/10/28/33/1042102833.db2.gz MKEJHKJNZPIDNM-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2cccc(C(C)C)c2)c2ccccc21 ZINC000663321242 1042111872 /nfs/dbraw/zinc/11/18/72/1042111872.db2.gz XCTZQXUFEXURBR-HXUWFJFHSA-N 0 3 322.452 4.398 20 0 DIADHN COc1cc(CN[C@@H]2CCSc3ccc(F)cc32)ccc1C ZINC000161769196 1042114271 /nfs/dbraw/zinc/11/42/71/1042114271.db2.gz RAFYXSBDTAIONQ-MRXNPFEDSA-N 0 3 317.429 4.469 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2ccncc2Cl)c1 ZINC000817579427 1042116562 /nfs/dbraw/zinc/11/65/62/1042116562.db2.gz XSAAYVDXUDOGRI-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN CC1(C)CC[C@H]1N[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817585302 1042117766 /nfs/dbraw/zinc/11/77/66/1042117766.db2.gz KUTWJKYQSIPJNG-MWLCHTKSSA-N 0 3 315.280 4.135 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](c2nc(Br)cs2)C2CC2)C1 ZINC000817584336 1042117923 /nfs/dbraw/zinc/11/79/23/1042117923.db2.gz WYSRRVMUIVNXHB-QRTLGDNMSA-N 0 3 315.280 4.135 20 0 DIADHN CC(C)(C)C[C@@H](NC(=O)c1cccc2c1CNC2)c1ccccc1 ZINC000662386699 1042118355 /nfs/dbraw/zinc/11/83/55/1042118355.db2.gz FCCKLDBVIHWFBS-LJQANCHMSA-N 0 3 322.452 4.197 20 0 DIADHN C[C@@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1ccncc1Cl ZINC000817587025 1042118561 /nfs/dbraw/zinc/11/85/61/1042118561.db2.gz YAZZIOBOXONOFY-YGRLFVJLSA-N 0 3 307.224 4.206 20 0 DIADHN CCCCCN(CCCCC)C(=O)c1cccc2c1CNC2 ZINC000662387973 1042118873 /nfs/dbraw/zinc/11/88/73/1042118873.db2.gz JMQILYJJVUSPMB-UHFFFAOYSA-N 0 3 302.462 4.112 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001167799153 1042120066 /nfs/dbraw/zinc/12/00/66/1042120066.db2.gz UBNDNZOYRBBZDS-XJKSGUPXSA-N 0 3 308.853 4.179 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(C(F)F)cc2F)c1 ZINC001135963774 1042121850 /nfs/dbraw/zinc/12/18/50/1042121850.db2.gz BYEPOOPUAGPDOK-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN COc1ccc(CN[C@]2(c3ccccc3)CC2(C)C)cc1OC ZINC000663338486 1042125020 /nfs/dbraw/zinc/12/50/20/1042125020.db2.gz RIMLCDDTHGMGQR-FQEVSTJZSA-N 0 3 311.425 4.119 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000065933761 1042139073 /nfs/dbraw/zinc/13/90/73/1042139073.db2.gz CZBFIEDJFSZOHS-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)C[C@H](O)c2ccco2)c(Cl)c1 ZINC000818331809 1042147395 /nfs/dbraw/zinc/14/73/95/1042147395.db2.gz HVCWDEGKVNENKI-WQGACYEGSA-N 0 3 323.820 4.104 20 0 DIADHN CCCN(C)CC(=O)N[C@H](c1ccc(CC(C)C)cc1)C(C)C ZINC001136136762 1042150441 /nfs/dbraw/zinc/15/04/41/1042150441.db2.gz TUXKSLKTQWYOHR-FQEVSTJZSA-N 0 3 318.505 4.040 20 0 DIADHN Clc1cccc2c1C[C@H](NCc1noc3ccccc31)CC2 ZINC001170906488 1042157360 /nfs/dbraw/zinc/15/73/60/1042157360.db2.gz HAOUBGRPOZSPKP-CYBMUJFWSA-N 0 3 312.800 4.128 20 0 DIADHN CCN(C(=O)CN[C@H](c1ccc(C)cc1)C1CC1)c1ccccc1 ZINC000818748060 1042159834 /nfs/dbraw/zinc/15/98/34/1042159834.db2.gz UTHDQBIDQJHEIJ-OAQYLSRUSA-N 0 3 322.452 4.089 20 0 DIADHN COc1cc(CN([C@H](C)c2ccccc2)C2CCCC2)on1 ZINC000660525014 1042169621 /nfs/dbraw/zinc/16/96/21/1042169621.db2.gz IKUVFEWCMZCEFH-CQSZACIVSA-N 0 3 300.402 4.189 20 0 DIADHN OC1(CN[C@@H](CC(F)(F)F)c2ccc(F)cc2)CCCCC1 ZINC000819199720 1042174211 /nfs/dbraw/zinc/17/42/11/1042174211.db2.gz NJNWVRGFBZEXDX-AWEZNQCLSA-N 0 3 319.342 4.104 20 0 DIADHN CCn1nccc1CN[C@@H](CCC(C)C)c1ccc(OC)cc1 ZINC000663466666 1042179423 /nfs/dbraw/zinc/17/94/23/1042179423.db2.gz AEZHGTJZAXXBFL-IBGZPJMESA-N 0 3 315.461 4.179 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cncc(Cl)c2)C1(CC)CC ZINC001167821016 1042186205 /nfs/dbraw/zinc/18/62/05/1042186205.db2.gz ZPVBEUQPNINQLX-HZPDHXFCSA-N 0 3 310.869 4.151 20 0 DIADHN C[C@@H]1C[C@H](NCc2cnccc2C(F)(F)F)c2ccccc21 ZINC000663475974 1042188476 /nfs/dbraw/zinc/18/84/76/1042188476.db2.gz WVTZQJNXSHLDQU-BZNIZROVSA-N 0 3 306.331 4.439 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000026467522 1042188672 /nfs/dbraw/zinc/18/86/72/1042188672.db2.gz QDUOEZQGYPPFPL-CVEARBPZSA-N 0 3 302.462 4.259 20 0 DIADHN CCCCN(CCCC)C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001136238101 1042189151 /nfs/dbraw/zinc/18/91/51/1042189151.db2.gz BCMJTOVSQIMAFI-UHFFFAOYSA-N 0 3 315.461 4.333 20 0 DIADHN CCCCCN1CCN([C@@H]2CCc3cccc(Cl)c3C2)CC1 ZINC001170932532 1042191475 /nfs/dbraw/zinc/19/14/75/1042191475.db2.gz FJUUCWGIHMSHKE-QGZVFWFLSA-N 0 3 320.908 4.005 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCCc3ccc(C)cc32)c1 ZINC000166157256 1042203205 /nfs/dbraw/zinc/20/32/05/1042203205.db2.gz WFMKGZPHMLGTCR-HXUWFJFHSA-N 0 3 308.425 4.121 20 0 DIADHN C[C@H](N[C@@H](CNC(=O)OC(C)(C)C)C1CCCC1)c1ccco1 ZINC000219327917 1042207673 /nfs/dbraw/zinc/20/76/73/1042207673.db2.gz YOUXVXDNSKJBDN-ZFWWWQNUSA-N 0 3 322.449 4.014 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](C(C)C)CC2)c1C ZINC000303576272 1042208000 /nfs/dbraw/zinc/20/80/00/1042208000.db2.gz CQQTWQCRZDTHSQ-KRWDZBQOSA-N 0 3 302.462 4.000 20 0 DIADHN C[C@@H](N[C@@H](CNC(=O)OC(C)(C)C)C1CCCC1)c1ccco1 ZINC000219327891 1042208040 /nfs/dbraw/zinc/20/80/40/1042208040.db2.gz YOUXVXDNSKJBDN-HIFRSBDPSA-N 0 3 322.449 4.014 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000658359141 1042210850 /nfs/dbraw/zinc/21/08/50/1042210850.db2.gz AKCNASHOHFPXSU-IGNZVWTISA-N 0 3 301.817 4.039 20 0 DIADHN CCCCN(Cc1ccc(C(=O)OC)o1)[C@H](C)c1ccccc1 ZINC000604494879 1042214417 /nfs/dbraw/zinc/21/44/17/1042214417.db2.gz WIDAJEHTTIESCC-OAHLLOKOSA-N 0 3 315.413 4.430 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCc3cccc(F)c3C2)c1F ZINC001170948534 1042216171 /nfs/dbraw/zinc/21/61/71/1042216171.db2.gz IEDDRONKWIXUMN-ZDUSSCGKSA-N 0 3 305.343 4.059 20 0 DIADHN Clc1cccc(CNCCN2Cc3ccccc3C2)c1Cl ZINC001648935360 1042221567 /nfs/dbraw/zinc/22/15/67/1042221567.db2.gz ICCKYUJWKMEGTR-UHFFFAOYSA-N 0 3 321.251 4.099 20 0 DIADHN C[C@@H](NCc1nc2cc(Cl)ccc2c(=O)[nH]1)C1CCCCC1 ZINC000315377085 1042231765 /nfs/dbraw/zinc/23/17/65/1042231765.db2.gz DHTXAMULHGEIHI-LLVKDONJSA-N 0 3 319.836 4.047 20 0 DIADHN CSc1cccc(CN[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000660554892 1042235045 /nfs/dbraw/zinc/23/50/45/1042235045.db2.gz OJCSORKSFOEHOM-QGZVFWFLSA-N 0 3 321.873 4.275 20 0 DIADHN C[C@H](N[C@@H]1CCCC(C)(C)CC1)c1nnc2n1CCCCC2 ZINC000315930292 1042236454 /nfs/dbraw/zinc/23/64/54/1042236454.db2.gz RABPJAMZCWRCIX-LSDHHAIUSA-N 0 3 304.482 4.014 20 0 DIADHN CC[C@H](NC[C@@H](n1cccn1)C(F)(F)F)c1ccc(C)cc1 ZINC000624042042 1042239922 /nfs/dbraw/zinc/23/99/22/1042239922.db2.gz RGPKAFBEJNFRMI-LSDHHAIUSA-N 0 3 311.351 4.036 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1ccc2nonc2c1 ZINC000821355880 1042248688 /nfs/dbraw/zinc/24/86/88/1042248688.db2.gz XQQHRJRJQMTDFM-UHFFFAOYSA-N 0 3 309.394 4.070 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H]2CCCCN2C(=O)OC(C)(C)C)o1 ZINC000222832062 1042255328 /nfs/dbraw/zinc/25/53/28/1042255328.db2.gz MZYGVBWNELLOPK-LSDHHAIUSA-N 0 3 322.449 4.028 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H]2CCCCN2C(=O)OC(C)(C)C)o1 ZINC000222832008 1042255456 /nfs/dbraw/zinc/25/54/56/1042255456.db2.gz MZYGVBWNELLOPK-HUUCEWRRSA-N 0 3 322.449 4.028 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2cc3ccccc3o2)cc1 ZINC000026313125 1042259380 /nfs/dbraw/zinc/25/93/80/1042259380.db2.gz XAEVHNPRAMVZPK-ZDUSSCGKSA-N 0 3 308.381 4.242 20 0 DIADHN CCC[C@H](CC)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001136479992 1042261109 /nfs/dbraw/zinc/26/11/09/1042261109.db2.gz ZAMWGHRCQDGILD-HKUYNNGSSA-N 0 3 316.489 4.074 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)[C@H](CCO)c1ccccc1 ZINC000279171482 1042279491 /nfs/dbraw/zinc/27/94/91/1042279491.db2.gz AEEMYFOKQMALEE-QGZVFWFLSA-N 0 3 305.368 4.180 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000279213404 1042282587 /nfs/dbraw/zinc/28/25/87/1042282587.db2.gz HFVLNMXDRSPCCB-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN COc1c(F)cccc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001143529646 1042284810 /nfs/dbraw/zinc/28/48/10/1042284810.db2.gz AANVBVBCUZMJSI-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc1F ZINC000178548256 1042286941 /nfs/dbraw/zinc/28/69/41/1042286941.db2.gz WDZQXSKDGTVRDK-OLZOCXBDSA-N 0 3 319.445 4.452 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCSc3c(F)cccc32)cc1 ZINC000178552729 1042287857 /nfs/dbraw/zinc/28/78/57/1042287857.db2.gz IBMORFOHUCUCOI-YVEFUNNKSA-N 0 3 317.429 4.206 20 0 DIADHN CCc1ccc2c(CN(C)Cc3ccccc3C)cc(=O)oc2c1 ZINC000006653651 1042294668 /nfs/dbraw/zinc/29/46/68/1042294668.db2.gz QWGSPOCERYQQRR-UHFFFAOYSA-N 0 3 321.420 4.296 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)c1nnc2n1CCCCC2 ZINC000319889745 1042294924 /nfs/dbraw/zinc/29/49/24/1042294924.db2.gz YBOPIZTYKLRCIN-MWDXBVQZSA-N 0 3 316.493 4.014 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(Br)cc2)ccn1 ZINC000391159330 1042303552 /nfs/dbraw/zinc/30/35/52/1042303552.db2.gz FQRNLEVBOOQFLX-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCc2nc(Cl)ccc21 ZINC000806150050 1042306739 /nfs/dbraw/zinc/30/67/39/1042306739.db2.gz KHJBPFNETVZEAI-NHYWBVRUSA-N 0 3 301.821 4.031 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1ccc2c(c1)OCO2 ZINC000061326618 1042308206 /nfs/dbraw/zinc/30/82/06/1042308206.db2.gz WTCIOPAXNKGVLU-RISCZKNCSA-N 0 3 301.411 4.205 20 0 DIADHN Cc1ccc2cc(CN(C)[C@@H](C)c3cccc(O)c3)ccc2n1 ZINC000187258141 1042308581 /nfs/dbraw/zinc/30/85/81/1042308581.db2.gz IIYONUXZWFTVFC-HNNXBMFYSA-N 0 3 306.409 4.442 20 0 DIADHN CCC[C@H](CC)N[C@@H](C)c1ncc(Br)cc1F ZINC000187320252 1042310796 /nfs/dbraw/zinc/31/07/96/1042310796.db2.gz QWZRZENCHVIIHZ-ONGXEEELSA-N 0 3 303.219 4.213 20 0 DIADHN c1cc(CCNCc2nc(-c3ccccc3)cs2)cs1 ZINC000061680960 1042312063 /nfs/dbraw/zinc/31/20/63/1042312063.db2.gz YKSQPAXWAUUNSU-UHFFFAOYSA-N 0 3 300.452 4.204 20 0 DIADHN CC(C)C[C@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000187391381 1042312330 /nfs/dbraw/zinc/31/23/30/1042312330.db2.gz SHJBUFYLEUIIBF-VHSXEESVSA-N 0 3 303.219 4.068 20 0 DIADHN CCN(Cc1ccncc1)Cc1cccc(-c2ccccn2)c1 ZINC000348919347 1042317322 /nfs/dbraw/zinc/31/73/22/1042317322.db2.gz GHWDVXOVPHYBGI-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN Fc1ccc(CNCc2ccc3cnccc3c2)cc1Cl ZINC000236157438 1042320878 /nfs/dbraw/zinc/32/08/78/1042320878.db2.gz HZFHWJIJNREKFF-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC000021812077 1042325052 /nfs/dbraw/zinc/32/50/52/1042325052.db2.gz PCVYBYBJHMGEAJ-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN Clc1cccc(-c2cc(CNc3c[nH]cc4ccnc3-4)on2)c1 ZINC001171020412 1042329680 /nfs/dbraw/zinc/32/96/80/1042329680.db2.gz PXHMSSBEXQEKGA-UHFFFAOYSA-N 0 3 324.771 4.483 20 0 DIADHN Clc1cccc(-c2cc(CNc3cncc4cc[nH]c43)on2)c1 ZINC001171020412 1042329690 /nfs/dbraw/zinc/32/96/90/1042329690.db2.gz PXHMSSBEXQEKGA-UHFFFAOYSA-N 0 3 324.771 4.483 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3cnccc3c2)cc1F ZINC000324496220 1042331265 /nfs/dbraw/zinc/33/12/65/1042331265.db2.gz JYNALQPYBLOLHS-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](C)c2cccnc2)cc1OC ZINC000112529393 1042340798 /nfs/dbraw/zinc/34/07/98/1042340798.db2.gz ZEPJYFHITASWCH-GJZGRUSLSA-N 0 3 314.429 4.291 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2cccc(Cl)c2)c1 ZINC000112527690 1042341038 /nfs/dbraw/zinc/34/10/38/1042341038.db2.gz PYMOWLXCGBISFQ-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@@H](CC)c2ccccc2)c1 ZINC000112530186 1042341140 /nfs/dbraw/zinc/34/11/40/1042341140.db2.gz GPRFYDUCLDPXFL-JXFKEZNVSA-N 0 3 324.468 4.456 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccncc2)cc1Br ZINC000097898179 1042342607 /nfs/dbraw/zinc/34/26/07/1042342607.db2.gz RQBVIIFZHBXVON-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)c1ccc([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000324894435 1042347192 /nfs/dbraw/zinc/34/71/92/1042347192.db2.gz UIYHIHLDRMSGJM-UONOGXRCSA-N 0 3 323.440 4.391 20 0 DIADHN CC(C)c1ccc([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000324894436 1042347565 /nfs/dbraw/zinc/34/75/65/1042347565.db2.gz UIYHIHLDRMSGJM-ZIAGYGMSSA-N 0 3 323.440 4.391 20 0 DIADHN CCOC[C@H](N[C@H](C)c1sccc1Br)C(C)C ZINC000233791498 1042351612 /nfs/dbraw/zinc/35/16/12/1042351612.db2.gz AHKQTJZOCVWXKE-PWSUYJOCSA-N 0 3 320.296 4.222 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccccc1)c1cc(F)ccc1F ZINC000338567725 1042354453 /nfs/dbraw/zinc/35/44/53/1042354453.db2.gz BDCKXFNOGGFISD-QZTJIDSGSA-N 0 3 305.368 4.393 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@H](C3CCOCC3)C2)s1 ZINC000432729562 1042355424 /nfs/dbraw/zinc/35/54/24/1042355424.db2.gz QKROTBPEVLVEMN-LBPRGKRZSA-N 0 3 319.392 4.015 20 0 DIADHN CCCCN(CC)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000216455259 1042365634 /nfs/dbraw/zinc/36/56/34/1042365634.db2.gz DYQGTORMXGDXOB-NSHDSACASA-N 0 3 317.260 4.442 20 0 DIADHN CCCCCCNCc1csc(-c2cccc([N+](=O)[O-])c2)n1 ZINC000236652887 1042370669 /nfs/dbraw/zinc/37/06/69/1042370669.db2.gz UJCASOSWUHRHIZ-UHFFFAOYSA-N 0 3 319.430 4.388 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnn(C)c1C1CC1)CC2 ZINC000893718095 1042372912 /nfs/dbraw/zinc/37/29/12/1042372912.db2.gz ZBLZBNWPIFUKJB-IBGZPJMESA-N 0 3 309.457 4.198 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC[C@H]2CCC(C)C)cc1 ZINC001167905047 1042378268 /nfs/dbraw/zinc/37/82/68/1042378268.db2.gz QIVAVVYHKPAIKP-IBGZPJMESA-N 0 3 316.489 4.478 20 0 DIADHN C[C@]1(CNCc2c(Cl)ccc(Cl)c2Cl)CCOC1 ZINC000397344639 1042381153 /nfs/dbraw/zinc/38/11/53/1042381153.db2.gz DVTHCCAEFVSNTK-CYBMUJFWSA-N 0 3 308.636 4.163 20 0 DIADHN CC(C)(C)[C@H](NCc1cccc(F)c1N)c1cccc(F)c1 ZINC001648946977 1042384538 /nfs/dbraw/zinc/38/45/38/1042384538.db2.gz XYVSCJMUDLNDJN-QGZVFWFLSA-N 0 3 304.384 4.424 20 0 DIADHN CC[C@H](CN1CCc2sccc2[C@H]1c1cccs1)OC ZINC000419180581 1042384945 /nfs/dbraw/zinc/38/49/45/1042384945.db2.gz XLNFOKVVLVNYJP-WBMJQRKESA-N 0 3 307.484 4.182 20 0 DIADHN CC[C@@H](CN1CCc2sccc2[C@@H]1c1cccs1)OC ZINC000419180540 1042385468 /nfs/dbraw/zinc/38/54/68/1042385468.db2.gz XLNFOKVVLVNYJP-BLLLJJGKSA-N 0 3 307.484 4.182 20 0 DIADHN COc1cc(C)cc(Nc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC001211731269 1042387793 /nfs/dbraw/zinc/38/77/93/1042387793.db2.gz DMOIUYQRNODMLW-GOSISDBHSA-N 0 3 312.413 4.132 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000925930054 1042401776 /nfs/dbraw/zinc/40/17/76/1042401776.db2.gz SFNYVNWNJFNNEI-IRXDYDNUSA-N 0 3 302.368 4.257 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000924859881 1042408307 /nfs/dbraw/zinc/40/83/07/1042408307.db2.gz YOXJOGTWEJLYQV-MAUKXSAKSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCO2)c1ccc(Cl)s1 ZINC000152078575 1042409969 /nfs/dbraw/zinc/40/99/69/1042409969.db2.gz CPCHUUGTVXCCOO-LLVKDONJSA-N 0 3 309.818 4.371 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3ccnc3)cc2)cc1F ZINC000067120016 1042417638 /nfs/dbraw/zinc/41/76/38/1042417638.db2.gz YTGDKTYECKXHTJ-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1cnc2ccc(C)cc2c1 ZINC000411430679 1042445335 /nfs/dbraw/zinc/44/53/35/1042445335.db2.gz WDPCXNXIHCKHPY-IBGZPJMESA-N 0 3 320.440 4.220 20 0 DIADHN CC1(C)CC[C@@H](CN[C@@H](CC(F)(F)F)c2ccc(F)cc2)O1 ZINC000411459581 1042448796 /nfs/dbraw/zinc/44/87/96/1042448796.db2.gz PXAFGRJHTPEUGN-KBPBESRZSA-N 0 3 319.342 4.366 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nc2ccccc2c(=O)[nH]1 ZINC000664048141 1042458351 /nfs/dbraw/zinc/45/83/51/1042458351.db2.gz ZSPSPSKWYCORCV-HOCLYGCPSA-N 0 3 313.445 4.030 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC(OC3CCC3)CC1)CC2 ZINC000419296660 1042462642 /nfs/dbraw/zinc/46/26/42/1042462642.db2.gz DTVNMFJLBBCHDR-GOSISDBHSA-N 0 3 305.849 4.361 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2C3CCC2CC3)c1 ZINC000614326698 1042466416 /nfs/dbraw/zinc/46/64/16/1042466416.db2.gz GKRXATPKAFFQDR-UHFFFAOYSA-N 0 3 306.409 4.066 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC(C)(C)c1ccccc1F ZINC000924883744 1042470248 /nfs/dbraw/zinc/47/02/48/1042470248.db2.gz SVLZGWJNSAVIAN-OAHLLOKOSA-N 0 3 302.393 4.067 20 0 DIADHN CN(CC(=O)Nc1c(F)cccc1F)[C@H]1CCC[C@H]1C(C)(C)C ZINC000419327843 1042470533 /nfs/dbraw/zinc/47/05/33/1042470533.db2.gz DVAVTBYSTAIYSE-DOMZBBRYSA-N 0 3 324.415 4.050 20 0 DIADHN Cc1sc2[n-]c([C@H](C)[NH2+][C@@H]3CCCC[C@H]3C)nc(=O)c2c1C ZINC000253610044 1042475621 /nfs/dbraw/zinc/47/56/21/1042475621.db2.gz CSBCJQOKYCAZAV-SUZMYJTESA-N 0 3 319.474 4.243 20 0 DIADHN N#CC(C(=O)CCc1ccsc1)c1ccc2ccccc2n1 ZINC000047375482 1042477383 /nfs/dbraw/zinc/47/73/83/1042477383.db2.gz CHMPMAHVKZDWCY-OAHLLOKOSA-N 0 3 306.390 4.105 20 0 DIADHN CCCCc1ccc(C(=O)NC[C@H](c2ccccc2)N(C)C)cc1 ZINC000025421602 1042490887 /nfs/dbraw/zinc/49/08/87/1042490887.db2.gz ZNAHWOKQVAIQSP-HXUWFJFHSA-N 0 3 324.468 4.062 20 0 DIADHN Cc1noc2ncc(CN[C@H](c3ccccc3)C(C)(C)C)cc12 ZINC000894171871 1042495465 /nfs/dbraw/zinc/49/54/65/1042495465.db2.gz CKSVBIJRVZLFMY-QGZVFWFLSA-N 0 3 309.413 4.408 20 0 DIADHN CCC[C@@H](NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000216256259 1042496157 /nfs/dbraw/zinc/49/61/57/1042496157.db2.gz ZTJAKZBQBLMXAR-SQGPQFPESA-N 0 3 324.468 4.383 20 0 DIADHN CCC[C@H](NC(=O)[C@H](C)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000216256392 1042496191 /nfs/dbraw/zinc/49/61/91/1042496191.db2.gz ZTJAKZBQBLMXAR-ZWOKBUDYSA-N 0 3 324.468 4.383 20 0 DIADHN CC(C)Oc1cccc(CNCc2cccc3c2NCCC3)c1 ZINC000894191483 1042498822 /nfs/dbraw/zinc/49/88/22/1042498822.db2.gz LYDFWKPLZSWFLP-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN CC(C)[C@@H]1N(Cc2c[nH]nc2-c2cccs2)CC12CCC2 ZINC000430102789 1042498992 /nfs/dbraw/zinc/49/89/92/1042498992.db2.gz PEFHKGBFRGNGQY-INIZCTEOSA-N 0 3 301.459 4.149 20 0 DIADHN CCCOCc1ccccc1CNCc1nccc2ccccc21 ZINC000894191475 1042499062 /nfs/dbraw/zinc/49/90/62/1042499062.db2.gz LNJTZFATRWZYDD-UHFFFAOYSA-N 0 3 320.436 4.451 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CCc1ccc(Cl)s1 ZINC000052666306 1042506711 /nfs/dbraw/zinc/50/67/11/1042506711.db2.gz FXMOZMLXETVZFA-UHFFFAOYSA-N 0 3 322.861 4.034 20 0 DIADHN Cc1ccc(CNCc2cc(F)cc(Br)c2)c(C)c1 ZINC000614469242 1042526752 /nfs/dbraw/zinc/52/67/52/1042526752.db2.gz BUULOQKPJRFDGW-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000052869945 1042520560 /nfs/dbraw/zinc/52/05/60/1042520560.db2.gz AMKMUBKSBHTDGF-UXLLHSPISA-N 0 3 316.489 4.423 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1cccc2c1NCCC2 ZINC000894216707 1042530242 /nfs/dbraw/zinc/53/02/42/1042530242.db2.gz SBLDCFCQYPZVEF-GOSISDBHSA-N 0 3 308.425 4.048 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCC2)c1)c1cccc(C)c1 ZINC000513355407 1042536170 /nfs/dbraw/zinc/53/61/70/1042536170.db2.gz PLVAMQGHYKPALL-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN CC(C)c1ncc(CN2CC[C@@H](c3cccc(F)c3)C2)s1 ZINC000513460816 1042544135 /nfs/dbraw/zinc/54/41/35/1042544135.db2.gz IHRXUSYXXUOTFM-CQSZACIVSA-N 0 3 304.434 4.395 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1ccnn1CC)c1ccc(Cl)cc1 ZINC000513530878 1042551176 /nfs/dbraw/zinc/55/11/76/1042551176.db2.gz CPFAJTJOZKZIRO-CXAGYDPISA-N 0 3 305.853 4.433 20 0 DIADHN CCN1CCN(c2ccc(NCc3ccc(C)cc3)c(C)c2)CC1 ZINC000513561936 1042552682 /nfs/dbraw/zinc/55/26/82/1042552682.db2.gz CCTVKOYVDFEZEZ-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000026466092 1042554277 /nfs/dbraw/zinc/55/42/77/1042554277.db2.gz YGXMUEABMYZHOJ-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCC[C@@H](C)C2)c(Cl)c1 ZINC000026467751 1042554478 /nfs/dbraw/zinc/55/44/78/1042554478.db2.gz WPNFTBFNQSTOHM-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(OCCN[C@H](c2ncc[nH]2)C2CCCCC2)cc1 ZINC000513668247 1042557164 /nfs/dbraw/zinc/55/71/64/1042557164.db2.gz WBYJOUCXOPCRBR-SFHVURJKSA-N 0 3 313.445 4.008 20 0 DIADHN COC1([C@@H](C)N[C@@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000414305158 1042559316 /nfs/dbraw/zinc/55/93/16/1042559316.db2.gz NHHMQDWVMIWGDL-WDEREUQCSA-N 0 3 317.351 4.035 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000513683833 1042559523 /nfs/dbraw/zinc/55/95/23/1042559523.db2.gz MWGQPUVHXFIVQF-BLLLJJGKSA-N 0 3 315.438 4.181 20 0 DIADHN Cc1cc([C@H](NCc2ccnn2C(C)C)C2CC2)ccc1F ZINC000280214381 1042567685 /nfs/dbraw/zinc/56/76/85/1042567685.db2.gz INYQQJZTFRLCBW-GOSISDBHSA-N 0 3 301.409 4.152 20 0 DIADHN CC(C)c1nc(CC[NH2+][C@@H](C)c2cc([O-])cc(F)c2)cs1 ZINC000924909369 1042569356 /nfs/dbraw/zinc/56/93/56/1042569356.db2.gz XMOSAYICTARXEV-NSHDSACASA-N 0 3 308.422 4.005 20 0 DIADHN Cc1ccc(CN2CCC[C@H](CCCc3ccccc3)C2)nn1 ZINC000414416756 1042587724 /nfs/dbraw/zinc/58/77/24/1042587724.db2.gz WRZWCVQVFJFBFS-IBGZPJMESA-N 0 3 309.457 4.020 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2ccc(C)nn2)CC1 ZINC000414448586 1042598390 /nfs/dbraw/zinc/59/83/90/1042598390.db2.gz SCEVBCQZXGCOQM-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)Cc1cccc(N)c1 ZINC000420371039 1042599840 /nfs/dbraw/zinc/59/98/40/1042599840.db2.gz KAYASBUHUWHFAK-CYBMUJFWSA-N 0 3 303.475 4.350 20 0 DIADHN C[C@@H](c1nc(-c2ccsc2Cl)no1)N1[C@H](C)CC[C@H]1C ZINC000420500259 1042606886 /nfs/dbraw/zinc/60/68/86/1042606886.db2.gz CVJWWYCQCUPBPT-BBBLOLIVSA-N 0 3 311.838 4.385 20 0 DIADHN C[C@@H](c1nc(-c2ccsc2Cl)no1)N1[C@H](C)CC[C@@H]1C ZINC000420500257 1042607123 /nfs/dbraw/zinc/60/71/23/1042607123.db2.gz CVJWWYCQCUPBPT-AEJSXWLSSA-N 0 3 311.838 4.385 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCSC2(CCC2)CC1 ZINC000414475677 1042613626 /nfs/dbraw/zinc/61/36/26/1042613626.db2.gz HYXFBSQFAYVFNV-UHFFFAOYSA-N 0 3 321.534 4.117 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@H](C2CCCC2)C1 ZINC000420961887 1042618067 /nfs/dbraw/zinc/61/80/67/1042618067.db2.gz JHDSIFLRWCMFLV-PBHICJAKSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CC[C@H](C2CCCC2)C1 ZINC000420966796 1042618128 /nfs/dbraw/zinc/61/81/28/1042618128.db2.gz WGALJIQTJCPACP-AEFFLSMTSA-N 0 3 314.473 4.143 20 0 DIADHN CNC(=O)c1cccc(CN(C(C)C)[C@H](C)c2ccsc2)c1 ZINC000421012760 1042619900 /nfs/dbraw/zinc/61/99/00/1042619900.db2.gz QIEYMTUODUJICW-CQSZACIVSA-N 0 3 316.470 4.079 20 0 DIADHN CC1(C)[C@H](c2ccccc2)CCN1Cc1nc(-c2ccoc2)no1 ZINC000421012174 1042619909 /nfs/dbraw/zinc/61/99/09/1042619909.db2.gz FCQCOUAAOKZKPB-INIZCTEOSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)cc(C)n1 ZINC000421201582 1042627598 /nfs/dbraw/zinc/62/75/98/1042627598.db2.gz NIXMGNZEJYZWAQ-ZDUSSCGKSA-N 0 3 324.346 4.448 20 0 DIADHN c1cc(CNCc2ccc(OCC3CC3)nc2)cc(C2CC2)c1 ZINC000421322432 1042629780 /nfs/dbraw/zinc/62/97/80/1042629780.db2.gz GFVGAHOGCCETGQ-UHFFFAOYSA-N 0 3 308.425 4.038 20 0 DIADHN COc1ccc(CN(C(C)C)[C@@H](C)c2ccsc2)cc1O ZINC000421380677 1042634132 /nfs/dbraw/zinc/63/41/32/1042634132.db2.gz ZAONSQCXZXTBKY-ZDUSSCGKSA-N 0 3 305.443 4.434 20 0 DIADHN COc1ccc(CN(C(C)C)[C@H](C)c2ccsc2)cc1O ZINC000421380676 1042634224 /nfs/dbraw/zinc/63/42/24/1042634224.db2.gz ZAONSQCXZXTBKY-CYBMUJFWSA-N 0 3 305.443 4.434 20 0 DIADHN CC(C)n1cc(C(C)(C)NC[C@@H](C)c2cccc(Cl)c2)nn1 ZINC000421405077 1042637213 /nfs/dbraw/zinc/63/72/13/1042637213.db2.gz QKUCAEXLQBUUIH-CYBMUJFWSA-N 0 3 320.868 4.141 20 0 DIADHN COc1cc([C@@H](C)NCc2cccnc2C)ccc1OC(C)C ZINC000237255622 1042651598 /nfs/dbraw/zinc/65/15/98/1042651598.db2.gz SEZRDZLUWDURQE-CQSZACIVSA-N 0 3 314.429 4.037 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1csc(-c2ccccn2)n1 ZINC000513759067 1042652657 /nfs/dbraw/zinc/65/26/57/1042652657.db2.gz DMGMOPFSMHATCN-HNNXBMFYSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@@H](NC1(Cc2ccc(F)cc2)CC1)c1ccncc1Cl ZINC000421850861 1042656959 /nfs/dbraw/zinc/65/69/59/1042656959.db2.gz FBFTZMKSLDWCIV-GFCCVEGCSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1cnc(C2CC2)o1 ZINC000421850530 1042657028 /nfs/dbraw/zinc/65/70/28/1042657028.db2.gz DHELMBNYVQXXAA-JTQLQIEISA-N 0 3 308.784 4.065 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1cnc(C2CC2)o1 ZINC000421850532 1042657063 /nfs/dbraw/zinc/65/70/63/1042657063.db2.gz DHELMBNYVQXXAA-SNVBAGLBSA-N 0 3 308.784 4.065 20 0 DIADHN CCCc1ncc(CN[C@H](C)Cc2ccc(F)cc2Cl)o1 ZINC000421858686 1042657524 /nfs/dbraw/zinc/65/75/24/1042657524.db2.gz QZCFUTBIPTXNCR-LLVKDONJSA-N 0 3 310.800 4.140 20 0 DIADHN COCCC[C@@H](NCc1cc(C)nc(C)c1)c1ccc(F)cc1 ZINC000421856948 1042657632 /nfs/dbraw/zinc/65/76/32/1042657632.db2.gz OVKSUEWKAFZMCM-LJQANCHMSA-N 0 3 316.420 4.095 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1ccncc1Cl ZINC000421859792 1042658342 /nfs/dbraw/zinc/65/83/42/1042658342.db2.gz SMFSFBZLYGNVQO-UXIGCNINSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@H](C)c2ccncc2Cl)c1 ZINC000421839540 1042658802 /nfs/dbraw/zinc/65/88/02/1042658802.db2.gz XKMQIUFWDJECPG-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc([C@H](NC[C@@H](C)C(F)(F)F)C2CC2)c(F)c1 ZINC000421843915 1042659728 /nfs/dbraw/zinc/65/97/28/1042659728.db2.gz RWDMNCZJBADDOP-YMTOWFKASA-N 0 3 305.315 4.073 20 0 DIADHN c1ccc([C@H](NC[C@H]2CC23CCSCC3)c2ccccn2)cc1 ZINC000421877933 1042660188 /nfs/dbraw/zinc/66/01/88/1042660188.db2.gz LNPPXMWAVKOBCK-MJGOQNOKSA-N 0 3 324.493 4.294 20 0 DIADHN COC(=O)c1ccc(CN(Cc2cccc(F)c2)C(C)C)cc1 ZINC000513762670 1042662973 /nfs/dbraw/zinc/66/29/73/1042662973.db2.gz SAIRONBUKVYFCV-UHFFFAOYSA-N 0 3 315.388 4.023 20 0 DIADHN Cc1cc([C@@H](NCc2ccnc(Cl)c2)C2CC2)ccc1F ZINC000271775678 1042668215 /nfs/dbraw/zinc/66/82/15/1042668215.db2.gz ARQRNULHJPNZCP-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN CC[C@@H](NCc1ccc(Br)c(C)c1)c1ccncc1 ZINC000072738797 1042675490 /nfs/dbraw/zinc/67/54/90/1042675490.db2.gz OFLMTXXZUFMFGH-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H](C)c1ccc2c(c1)CCO2 ZINC000319687644 1042679273 /nfs/dbraw/zinc/67/92/73/1042679273.db2.gz BFUBUBGSWFBNSX-CABCVRRESA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H](C)c1ccc2c(c1)CCO2 ZINC000319687645 1042679426 /nfs/dbraw/zinc/67/94/26/1042679426.db2.gz BFUBUBGSWFBNSX-GJZGRUSLSA-N 0 3 311.425 4.350 20 0 DIADHN CN1CCCc2cc(CNCc3ccc(F)c(Cl)c3)ccc21 ZINC000237130243 1042690761 /nfs/dbraw/zinc/69/07/61/1042690761.db2.gz NALCJYPHRMKIJK-UHFFFAOYSA-N 0 3 318.823 4.151 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(Cl)cn1 ZINC000423354289 1042707371 /nfs/dbraw/zinc/70/73/71/1042707371.db2.gz NGHXKWNRWJDJDR-APPDUMDISA-N 0 3 301.821 4.045 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)c(F)c1 ZINC000423354907 1042708578 /nfs/dbraw/zinc/70/85/78/1042708578.db2.gz OHZHPCQLQOXLLH-CWTRNNRKSA-N 0 3 314.404 4.145 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1cccc2c1CCCN2 ZINC000423421611 1042710233 /nfs/dbraw/zinc/71/02/33/1042710233.db2.gz YDRSLRVFZPVXDE-IBGZPJMESA-N 0 3 308.425 4.048 20 0 DIADHN C[C@@H](NCc1cccc2c1CCCN2)c1ccc2ccccc2n1 ZINC000423412862 1042711761 /nfs/dbraw/zinc/71/17/61/1042711761.db2.gz IWZCQQMKGVJGBE-OAHLLOKOSA-N 0 3 317.436 4.444 20 0 DIADHN C[C@@H](NCc1cccc2c1CCCN2)c1cc(F)c(F)c(F)c1 ZINC000423416256 1042712691 /nfs/dbraw/zinc/71/26/91/1042712691.db2.gz QGXGBQOGWNERRJ-LLVKDONJSA-N 0 3 320.358 4.313 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1cc(F)c(F)c(F)c1 ZINC000423438125 1042712983 /nfs/dbraw/zinc/71/29/83/1042712983.db2.gz GVZZCOCPSRHAKB-NSHDSACASA-N 0 3 320.358 4.313 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CC(C)(C)Oc3ccc(N)cc31)CC2 ZINC000423436345 1042713425 /nfs/dbraw/zinc/71/34/25/1042713425.db2.gz LRVBOHWCBSHOFQ-RBUKOAKNSA-N 0 3 322.452 4.457 20 0 DIADHN CC(C)C[C@H](NCc1ccc2c(c1)CCCN2)c1ccccn1 ZINC000423439783 1042713483 /nfs/dbraw/zinc/71/34/83/1042713483.db2.gz IDDYLHCHXATDQW-FQEVSTJZSA-N 0 3 309.457 4.317 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)c1 ZINC000423476046 1042715087 /nfs/dbraw/zinc/71/50/87/1042715087.db2.gz JRYIHOXRUZCGGY-LBPRGKRZSA-N 0 3 324.346 4.327 20 0 DIADHN Cc1cc(O)c(C(C)C)cc1NC(=O)[C@H](N)CC1CCCCC1 ZINC000423711996 1042720050 /nfs/dbraw/zinc/72/00/50/1042720050.db2.gz NKSOMRNZTACSTO-MRXNPFEDSA-N 0 3 318.461 4.060 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cncn2C(C)C)c1C ZINC000424163965 1042728680 /nfs/dbraw/zinc/72/86/80/1042728680.db2.gz YKFKENKYLDXLLS-UHFFFAOYSA-N 0 3 310.445 4.160 20 0 DIADHN FC(F)(F)C1CCC(N[C@@H]2C[C@]2(F)c2ccccc2)CC1 ZINC000424334600 1042734591 /nfs/dbraw/zinc/73/45/91/1042734591.db2.gz YUTCVRNBEUSKIB-CVSAEHQPSA-N 0 3 301.327 4.334 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ccncc1F)C(=O)OC(C)(C)C ZINC000424331923 1042734766 /nfs/dbraw/zinc/73/47/66/1042734766.db2.gz UKNBHMBGLZIJHJ-CYBMUJFWSA-N 0 3 324.440 4.019 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1ncc(C(C)(C)C)o1 ZINC000514189089 1042773779 /nfs/dbraw/zinc/77/37/79/1042773779.db2.gz JNOBAGYWKVPYKV-QGZVFWFLSA-N 0 3 312.457 4.488 20 0 DIADHN Fc1ccc(C2CCC(NCc3ccn(C(F)F)n3)CC2)cc1 ZINC000514233473 1042776040 /nfs/dbraw/zinc/77/60/40/1042776040.db2.gz ARHPKAVSXIJZBY-UHFFFAOYSA-N 0 3 323.362 4.233 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nnc(-c2ccccc2F)s1 ZINC000514241704 1042776945 /nfs/dbraw/zinc/77/69/45/1042776945.db2.gz MOADGCSYDGLXOQ-QJPTWQEYSA-N 0 3 307.438 4.429 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nnc(-c2ccccc2F)s1 ZINC000514241707 1042777072 /nfs/dbraw/zinc/77/70/72/1042777072.db2.gz MOADGCSYDGLXOQ-TUAOUCFPSA-N 0 3 307.438 4.429 20 0 DIADHN COCC(C)(C)N(C)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000430115379 1042779896 /nfs/dbraw/zinc/77/98/96/1042779896.db2.gz VRVISCSONZJDQT-UHFFFAOYSA-N 0 3 324.877 4.320 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)CN1CCC[C@H]1c1ccccc1 ZINC000514523049 1042785206 /nfs/dbraw/zinc/78/52/06/1042785206.db2.gz XNZZZCUHBSSOHP-PXNSSMCTSA-N 0 3 322.452 4.043 20 0 DIADHN Cc1nc(CN2CCC[C@H](c3ccccc3)C2)nc2ccccc12 ZINC000514772294 1042790758 /nfs/dbraw/zinc/79/07/58/1042790758.db2.gz XUVJUWFCIYQQLQ-SFHVURJKSA-N 0 3 317.436 4.318 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2cncn2C(C)C)c1 ZINC000425362701 1042796004 /nfs/dbraw/zinc/79/60/04/1042796004.db2.gz VQJPYSBDRGQSOA-KXBFYZLASA-N 0 3 313.445 4.056 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1nc[nH]n1)C1CCCCC1)CCC2 ZINC000514904451 1042797663 /nfs/dbraw/zinc/79/76/63/1042797663.db2.gz GTSUGTLBUJHTBA-MOPGFXCFSA-N 0 3 324.472 4.402 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)CCC2 ZINC000514904451 1042797669 /nfs/dbraw/zinc/79/76/69/1042797669.db2.gz GTSUGTLBUJHTBA-MOPGFXCFSA-N 0 3 324.472 4.402 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1conc1C ZINC000425363871 1042798095 /nfs/dbraw/zinc/79/80/95/1042798095.db2.gz DDBLZQSPWJWEDL-UHFFFAOYSA-N 0 3 312.335 4.066 20 0 DIADHN Clc1ccc(CN2CC3(CCC3)[C@H]2C2CCOCC2)cc1 ZINC000425368285 1042798951 /nfs/dbraw/zinc/79/89/51/1042798951.db2.gz DNLXYXRCYIFZLN-QGZVFWFLSA-N 0 3 305.849 4.121 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2sc(C)nc2C)C1(C)C ZINC001167958941 1042802314 /nfs/dbraw/zinc/80/23/14/1042802314.db2.gz MTRQSNNHEPWSQY-JKSUJKDBSA-N 0 3 310.507 4.176 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H](Nc1ccccc1)C(C)C ZINC000924993915 1042803020 /nfs/dbraw/zinc/80/30/20/1042803020.db2.gz MVZOZCYMPBJTGK-GUYCJALGSA-N 0 3 301.434 4.079 20 0 DIADHN Cc1nc(C)c(CNCc2cc3ccnc(Cl)c3s2)s1 ZINC000894309057 1042812741 /nfs/dbraw/zinc/81/27/41/1042812741.db2.gz XNDLNJBWZYPBQQ-UHFFFAOYSA-N 0 3 323.874 4.313 20 0 DIADHN CCC[C@@H]1CCCN(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001167959691 1042813574 /nfs/dbraw/zinc/81/35/74/1042813574.db2.gz PFPJTJIORVNHHD-CYBMUJFWSA-N 0 3 309.837 4.139 20 0 DIADHN CCC[C@H]1CCCN(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001167959696 1042813598 /nfs/dbraw/zinc/81/35/98/1042813598.db2.gz PFPJTJIORVNHHD-ZDUSSCGKSA-N 0 3 309.837 4.139 20 0 DIADHN COc1ccc([C@@H](C)Nc2ccnc3ccccc32)c(C)c1OC ZINC000515399780 1042818126 /nfs/dbraw/zinc/81/81/26/1042818126.db2.gz SGUAKRAKBJEHEE-CQSZACIVSA-N 0 3 322.408 4.155 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1ccc(-c2ccccn2)nc1 ZINC000425419445 1042819216 /nfs/dbraw/zinc/81/92/16/1042819216.db2.gz QIXFCWYFIQVSRX-HNNXBMFYSA-N 0 3 315.486 4.107 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](C)[C@@H]3CCCC[C@H]32)c1C ZINC000515547988 1042825217 /nfs/dbraw/zinc/82/52/17/1042825217.db2.gz BQPMMWDQVFTRJC-VDZJLULYSA-N 0 3 314.473 4.143 20 0 DIADHN CCn1nnc(C)c1CN([C@H](C)c1ccccc1)C1CCCC1 ZINC000425454974 1042825672 /nfs/dbraw/zinc/82/56/72/1042825672.db2.gz FOHZIZVMNIQELT-MRXNPFEDSA-N 0 3 312.461 4.112 20 0 DIADHN CCc1ccccc1NC(=O)CN1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000515549533 1042826582 /nfs/dbraw/zinc/82/65/82/1042826582.db2.gz YDRNMVVODGSLLI-KVSKMBFKSA-N 0 3 314.473 4.088 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc(OCC3CC3)nc2)c2ccccc21 ZINC000515702702 1042832499 /nfs/dbraw/zinc/83/24/99/1042832499.db2.gz WHJCXHNFIIIGSR-LIRRHRJNSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(OCC3CC3)nc2)c2ccccc21 ZINC000515702700 1042832641 /nfs/dbraw/zinc/83/26/41/1042832641.db2.gz WHJCXHNFIIIGSR-IFXJQAMLSA-N 0 3 308.425 4.209 20 0 DIADHN FC(F)(F)C1CC(NCc2csc(-c3ccsc3)n2)C1 ZINC000515702052 1042832786 /nfs/dbraw/zinc/83/27/86/1042832786.db2.gz SYZCVBGIOYFIGE-UHFFFAOYSA-N 0 3 318.389 4.302 20 0 DIADHN CCC[C@@H]1CCCN(Cc2cccc(C(=O)C(F)(F)F)c2)C1 ZINC001167960649 1042833850 /nfs/dbraw/zinc/83/38/50/1042833850.db2.gz WFBWJUVFGUPWLG-CYBMUJFWSA-N 0 3 313.363 4.444 20 0 DIADHN CC[C@@H]([NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1)c1cccc(C)c1 ZINC000516883120 1042858574 /nfs/dbraw/zinc/85/85/74/1042858574.db2.gz JRQMSOOPNCOZCB-QGZVFWFLSA-N 0 3 324.403 4.160 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1cccc(C)c1 ZINC000516883120 1042858579 /nfs/dbraw/zinc/85/85/79/1042858579.db2.gz JRQMSOOPNCOZCB-QGZVFWFLSA-N 0 3 324.403 4.160 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CC[C@@H](C)c3ccsc32)c1C ZINC000516909048 1042858784 /nfs/dbraw/zinc/85/87/84/1042858784.db2.gz OCPSXGKOHUBOMW-BDJLRTHQSA-N 0 3 303.475 4.310 20 0 DIADHN CC1CCC(OC2CCN(Cc3ccc(F)cc3)CC2)CC1 ZINC000353520926 1042859714 /nfs/dbraw/zinc/85/97/14/1042859714.db2.gz PYNNKSAYONYHPK-UHFFFAOYSA-N 0 3 305.437 4.385 20 0 DIADHN Cc1ccc2nccc(N3C[C@H](C)O[C@@H](CC(F)(F)F)C3)c2c1 ZINC000664635809 1042861234 /nfs/dbraw/zinc/86/12/34/1042861234.db2.gz RIZXTWCDWWVRNM-STQMWFEESA-N 0 3 324.346 4.089 20 0 DIADHN COc1c(F)cc(F)cc1CN(C)C(C)(C)Cc1ccccc1 ZINC001143563328 1042863587 /nfs/dbraw/zinc/86/35/87/1042863587.db2.gz VQXWXBSLMTVAAY-UHFFFAOYSA-N 0 3 319.395 4.427 20 0 DIADHN Cc1ccc(CNc2cc(C)cc(C)n2)c(-c2cnn(C)c2)c1 ZINC000517181850 1042870365 /nfs/dbraw/zinc/87/03/65/1042870365.db2.gz RDVNWJLDDPCQGV-UHFFFAOYSA-N 0 3 306.413 4.019 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCO[C@@H]2CCCC[C@@H]2C)s1 ZINC000517381154 1042877921 /nfs/dbraw/zinc/87/79/21/1042877921.db2.gz WHDPULHUGGNPLV-DUVNUKRYSA-N 0 3 310.507 4.348 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN(C1CC1)C1CCCC1 ZINC000517427118 1042879841 /nfs/dbraw/zinc/87/98/41/1042879841.db2.gz FAFSEIUEHMBPLZ-UHFFFAOYSA-N 0 3 314.473 4.330 20 0 DIADHN CCCCN(C(=O)[C@H]1CCCN1C(C)C)[C@@H](C)c1ccccc1 ZINC001126458684 1042882386 /nfs/dbraw/zinc/88/23/86/1042882386.db2.gz DUIWZPDHBICCOH-PKOBYXMFSA-N 0 3 316.489 4.249 20 0 DIADHN CCCCN(C(=O)[C@H]1CCCN1C(C)C)[C@H](C)c1ccccc1 ZINC001126458682 1042882497 /nfs/dbraw/zinc/88/24/97/1042882497.db2.gz DUIWZPDHBICCOH-IEBWSBKVSA-N 0 3 316.489 4.249 20 0 DIADHN c1cc2cccc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)c2[nH]1 ZINC000517550800 1042885312 /nfs/dbraw/zinc/88/53/12/1042885312.db2.gz MBRMNJRZIFKJST-QUCCMNQESA-N 0 3 306.409 4.178 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@@H](COC(F)F)C2)s1 ZINC000517627022 1042888751 /nfs/dbraw/zinc/88/87/51/1042888751.db2.gz RCECVZRZAPCFPW-LLVKDONJSA-N 0 3 303.418 4.107 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](c1ccccc1)C(C)(C)CO ZINC000517625788 1042888782 /nfs/dbraw/zinc/88/87/82/1042888782.db2.gz MZBOYHLSRYYMSW-GOSISDBHSA-N 0 3 317.860 4.498 20 0 DIADHN Cc1cscc1CNCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000425971356 1042889806 /nfs/dbraw/zinc/88/98/06/1042889806.db2.gz OKDSFKUKCZAOFT-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN Clc1ccc2[nH]c([C@@H]3CCCN3C[C@H]3CCSC3)nc2c1 ZINC000425971831 1042889850 /nfs/dbraw/zinc/88/98/50/1042889850.db2.gz SPKIODGLYDLNLJ-ABAIWWIYSA-N 0 3 321.877 4.106 20 0 DIADHN COc1ccc2cc(CN3CCC(F)(F)[C@@H](C)C3)ccc2c1 ZINC000517789956 1042897321 /nfs/dbraw/zinc/89/73/21/1042897321.db2.gz MMRLUSYVVAPBKI-ZDUSSCGKSA-N 0 3 305.368 4.326 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccnc(Br)c2)c1C ZINC000293736623 1042904392 /nfs/dbraw/zinc/90/43/92/1042904392.db2.gz HFJLENHMVQFFHJ-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@H](C)c1cc(-c2ccccc2)no1 ZINC000925039447 1042909306 /nfs/dbraw/zinc/90/93/06/1042909306.db2.gz FUCHXLIYEMUDPD-DNVCBOLYSA-N 0 3 322.408 4.034 20 0 DIADHN Cc1ccccc1[C@H](N[C@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000638591223 1042913820 /nfs/dbraw/zinc/91/38/20/1042913820.db2.gz DUWMAYKJGLRAHH-MOPGFXCFSA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccccc1[C@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)C1CC1 ZINC000638591223 1042913824 /nfs/dbraw/zinc/91/38/24/1042913824.db2.gz DUWMAYKJGLRAHH-MOPGFXCFSA-N 0 3 324.472 4.475 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000518804199 1042921228 /nfs/dbraw/zinc/92/12/28/1042921228.db2.gz POHDBGLWGUSPCP-KBXCAEBGSA-N 0 3 309.457 4.187 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]43)cs2)cc1 ZINC000426458583 1042922546 /nfs/dbraw/zinc/92/25/46/1042922546.db2.gz IGVAJJVZPYDLHO-GUYCJALGSA-N 0 3 314.454 4.193 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H]4CCC[C@H]43)cs2)cc1 ZINC000426460414 1042922596 /nfs/dbraw/zinc/92/25/96/1042922596.db2.gz OQOWOPTZXIMGAC-MLGOLLRUSA-N 0 3 302.418 4.324 20 0 DIADHN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1ccccc1F ZINC000519037061 1042930804 /nfs/dbraw/zinc/93/08/04/1042930804.db2.gz NPNDLFRMOWRGGT-YVEFUNNKSA-N 0 3 305.418 4.026 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1cc(C)ccc1C)C1CC1 ZINC000519190233 1042937499 /nfs/dbraw/zinc/93/74/99/1042937499.db2.gz FKLOZVXSSHCUKI-GDBMZVCRSA-N 0 3 302.462 4.141 20 0 DIADHN COC(=O)c1ccc(CN2CCCCC[C@H]2c2ccccc2)o1 ZINC000519219824 1042938636 /nfs/dbraw/zinc/93/86/36/1042938636.db2.gz RGVSEQJSCWFMKC-KRWDZBQOSA-N 0 3 313.397 4.184 20 0 DIADHN CCN(Cc1nc(-c2ccc(Cl)cc2)no1)[C@@H](C)C(C)C ZINC000519272733 1042940971 /nfs/dbraw/zinc/94/09/71/1042940971.db2.gz AVGODJREUQZGFB-LBPRGKRZSA-N 0 3 307.825 4.256 20 0 DIADHN Cc1noc2ncc(CN[C@@H]3CCc4ccc(C(C)C)cc43)cc12 ZINC000894503096 1042963547 /nfs/dbraw/zinc/96/35/47/1042963547.db2.gz HITPQRQIKOGBGU-LJQANCHMSA-N 0 3 321.424 4.432 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc3ccccc32)cc1 ZINC000008268912 1042964465 /nfs/dbraw/zinc/96/44/65/1042964465.db2.gz UTSSGSZKMKYUNV-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN FCC1(NCc2ccc3oc4ccccc4c3c2)CCOCC1 ZINC000894694096 1042973532 /nfs/dbraw/zinc/97/35/32/1042973532.db2.gz AXEAHNXOWYQCBQ-UHFFFAOYSA-N 0 3 313.372 4.194 20 0 DIADHN OCC[C@@H]1CCCCN1Cc1sc2ccccc2c1Cl ZINC000050104981 1042974602 /nfs/dbraw/zinc/97/46/02/1042974602.db2.gz NMMSKVFUHQIVMT-LBPRGKRZSA-N 0 3 309.862 4.292 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@H]3c3ccncc3)cc21 ZINC000894761300 1042976359 /nfs/dbraw/zinc/97/63/59/1042976359.db2.gz NWZODTFNMKYJHK-INIZCTEOSA-N 0 3 310.422 4.339 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@@H]3c3ccncc3)cc21 ZINC000894761301 1042976518 /nfs/dbraw/zinc/97/65/18/1042976518.db2.gz NWZODTFNMKYJHK-MRXNPFEDSA-N 0 3 310.422 4.339 20 0 DIADHN CC(C)c1nnc(CN[C@](C)(Cc2ccccc2)C2CC2)s1 ZINC000894791506 1042977109 /nfs/dbraw/zinc/97/71/09/1042977109.db2.gz HFGLISHHBJHLON-GOSISDBHSA-N 0 3 315.486 4.163 20 0 DIADHN Cc1nnc([C@H](C)N[C@H](C)Cc2ccc(-c3ccccc3)cc2)[nH]1 ZINC000894778872 1042977123 /nfs/dbraw/zinc/97/71/23/1042977123.db2.gz TZUFTKIQAXODKG-CABCVRRESA-N 0 3 320.440 4.062 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000894778247 1042977486 /nfs/dbraw/zinc/97/74/86/1042977486.db2.gz ORJRUFFCCMCKAH-SGIREYDYSA-N 0 3 318.852 4.144 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000894778245 1042977506 /nfs/dbraw/zinc/97/75/06/1042977506.db2.gz ORJRUFFCCMCKAH-PEYYIBSZSA-N 0 3 318.852 4.144 20 0 DIADHN C[C@H]1CC[C@H](CN(Cc2ccc(F)cc2F)C2CCCC2)O1 ZINC001167984328 1042980727 /nfs/dbraw/zinc/98/07/27/1042980727.db2.gz QYDYDXCUUHLSAF-SUMWQHHRSA-N 0 3 309.400 4.277 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cccc(C(F)(F)F)c1)c1cscn1 ZINC000578884773 1042981163 /nfs/dbraw/zinc/98/11/63/1042981163.db2.gz RYPPOQPHZPLFFY-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccccc1COC(C)C ZINC001648956140 1042985131 /nfs/dbraw/zinc/98/51/31/1042985131.db2.gz KTJIMEQYANGHPH-UHFFFAOYSA-N 0 3 301.405 4.349 20 0 DIADHN O=C(CCN1CC[C@@H](c2ccc(F)cc2)C1)c1ccc(F)cc1 ZINC000519611708 1042987297 /nfs/dbraw/zinc/98/72/97/1042987297.db2.gz YXJQPGFACFVOPY-MRXNPFEDSA-N 0 3 315.363 4.027 20 0 DIADHN C[C@H](NCc1nccc2ccccc21)[C@@H]1OCCc2sccc21 ZINC000894852903 1042987918 /nfs/dbraw/zinc/98/79/18/1042987918.db2.gz RGVQJLZGDXASQZ-DJJJIMSYSA-N 0 3 324.449 4.088 20 0 DIADHN Cc1cnc([C@H](C)NCCCCCOc2ccccc2)s1 ZINC000188087433 1043011093 /nfs/dbraw/zinc/01/10/93/1043011093.db2.gz WQRZKIUXEIIZCM-HNNXBMFYSA-N 0 3 304.459 4.351 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)N[C@@H](C)c1ccnnc1 ZINC000925094534 1043013323 /nfs/dbraw/zinc/01/33/23/1043013323.db2.gz BAUIXXSHSKKRRI-YJBOKZPZSA-N 0 3 323.465 4.481 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCC(C)(C)C2)c(C)c1 ZINC000579163002 1043019673 /nfs/dbraw/zinc/01/96/73/1043019673.db2.gz SMEAXZBWPNJKQZ-INIZCTEOSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](NC(=O)CN(C)CC1CCCC1)c1cccc2ccccc21 ZINC000520171264 1043020891 /nfs/dbraw/zinc/02/08/91/1043020891.db2.gz ZEYAIOYVPAYRLB-INIZCTEOSA-N 0 3 324.468 4.139 20 0 DIADHN Cc1cccc(CN(CC(=O)Nc2ccccc2C)CC(C)C)c1 ZINC000520173579 1043021352 /nfs/dbraw/zinc/02/13/52/1043021352.db2.gz QLVGCOCYXTWWJT-UHFFFAOYSA-N 0 3 324.468 4.400 20 0 DIADHN CC1CCC(O)(CNCc2ccc(Cl)cc2Cl)CC1 ZINC000087043948 1043021901 /nfs/dbraw/zinc/02/19/01/1043021901.db2.gz NTTATAOQUDCDMP-UHFFFAOYSA-N 0 3 302.245 4.024 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@@H]2CCC[C@@H](C)C2)c(C)c1C ZINC000441173604 1043024899 /nfs/dbraw/zinc/02/48/99/1043024899.db2.gz CMXVEKUIULAYIF-IAQYHMDHSA-N 0 3 308.491 4.122 20 0 DIADHN CSc1ccc(OCCN(C)Cc2cccc(F)c2)cc1 ZINC000441175433 1043024911 /nfs/dbraw/zinc/02/49/11/1043024911.db2.gz FQUCXKXYPAEFPK-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN Cc1sc(NC(=O)CN2CCC[C@H]2C2CCCC2)c(C)c1C ZINC000441221611 1043027499 /nfs/dbraw/zinc/02/74/99/1043027499.db2.gz WGWHGCHGZBAQQF-INIZCTEOSA-N 0 3 320.502 4.266 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N(C)CCC1CC1 ZINC000441221412 1043027622 /nfs/dbraw/zinc/02/76/22/1043027622.db2.gz GVEFSYSXBYKLQA-GJZGRUSLSA-N 0 3 302.462 4.259 20 0 DIADHN Clc1csc(CN2CCCN3c4ccccc4C[C@H]3C2)c1 ZINC000520297134 1043032078 /nfs/dbraw/zinc/03/20/78/1043032078.db2.gz KXUVLCRYKAPLMV-HNNXBMFYSA-N 0 3 318.873 4.039 20 0 DIADHN c1ccc(CCOCCCCNc2ccnc3ccccc32)cc1 ZINC000520325995 1043034155 /nfs/dbraw/zinc/03/41/55/1043034155.db2.gz GOPIIVKTADKLMK-UHFFFAOYSA-N 0 3 320.436 4.108 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1nc(C)c(C)o1 ZINC000441368994 1043040871 /nfs/dbraw/zinc/04/08/71/1043040871.db2.gz LVNCLLOEVUENPN-GOSISDBHSA-N 0 3 300.446 4.443 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)Cc1cn2ccsc2n1 ZINC000441370182 1043041171 /nfs/dbraw/zinc/04/11/71/1043041171.db2.gz GXIVYCVBHARBOG-KRWDZBQOSA-N 0 3 313.470 4.157 20 0 DIADHN C[C@H](CCc1ccccc1)N1C[C@@H](C)O[C@H](c2ccccc2)C1 ZINC000520392012 1043041718 /nfs/dbraw/zinc/04/17/18/1043041718.db2.gz DFIDKHMZECNUPJ-OPYAIIAOSA-N 0 3 309.453 4.470 20 0 DIADHN C[C@@H](CCc1ccccc1)N1C[C@@H](C)O[C@@H](c2ccccc2)C1 ZINC000520392014 1043042330 /nfs/dbraw/zinc/04/23/30/1043042330.db2.gz DFIDKHMZECNUPJ-WAOWUJCRSA-N 0 3 309.453 4.470 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(OC)c(Cl)c1 ZINC000112522791 1043050015 /nfs/dbraw/zinc/05/00/15/1043050015.db2.gz PONVWEUMVUGBGN-CYBMUJFWSA-N 0 3 319.832 4.346 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@H](c1cc(F)ccc1F)C(C)C ZINC000794805403 1043050186 /nfs/dbraw/zinc/05/01/86/1043050186.db2.gz GNCYIWBPUQMCIR-MOPGFXCFSA-N 0 3 319.395 4.294 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1cccc(Cl)c1 ZINC000112522134 1043050387 /nfs/dbraw/zinc/05/03/87/1043050387.db2.gz YHOXDPCQXZGOCC-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1ccsc1)c1cc(F)ccc1F ZINC000794803009 1043050982 /nfs/dbraw/zinc/05/09/82/1043050982.db2.gz PSYXTMKCMRHBDI-HOTGVXAUSA-N 0 3 311.397 4.047 20 0 DIADHN COc1c(C)cc(CN(C)Cc2ccccc2N(C)C)cc1C ZINC000112686099 1043055242 /nfs/dbraw/zinc/05/52/42/1043055242.db2.gz UYRPPVNBDVAQSO-UHFFFAOYSA-N 0 3 312.457 4.010 20 0 DIADHN N=C(N)N(c1ccc(F)cc1)c1ccc2c(c1)[nH]c1ccccc12 ZINC001167992569 1043060014 /nfs/dbraw/zinc/06/00/14/1043060014.db2.gz QPMUKECYZSRHIN-UHFFFAOYSA-N 0 3 318.355 4.492 20 0 DIADHN FC(F)COc1ccc(CN[C@H]2CCCc3occc32)cc1 ZINC000174265194 1043061976 /nfs/dbraw/zinc/06/19/76/1043061976.db2.gz FBTSSKHJPLIVIB-HNNXBMFYSA-N 0 3 307.340 4.091 20 0 DIADHN Cc1ccc(CNC2(c3cccc(F)c3)CCOCC2)cc1F ZINC000174647182 1043069453 /nfs/dbraw/zinc/06/94/53/1043069453.db2.gz JWTQETFYTNBVRG-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@H]2CCC[C@H]21 ZINC000441430666 1043083252 /nfs/dbraw/zinc/08/32/52/1043083252.db2.gz APIXLOGUDLBYFR-CZUORRHYSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1ccc(C)c(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000175379819 1043083835 /nfs/dbraw/zinc/08/38/35/1043083835.db2.gz DSNIAOIFEKBHRS-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccc(CC(F)(F)F)cc2)cc1 ZINC000865429361 1043097144 /nfs/dbraw/zinc/09/71/44/1043097144.db2.gz RYBJZOHSSYZTPP-ZDUSSCGKSA-N 0 3 323.358 4.135 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@H](CCO)c2ccccc2)c1 ZINC000865472663 1043103185 /nfs/dbraw/zinc/10/31/85/1043103185.db2.gz BZDLUAAIWUGHDS-LJQANCHMSA-N 0 3 313.441 4.077 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1cccc(OC(C)(C)C)c1 ZINC000865485166 1043104285 /nfs/dbraw/zinc/10/42/85/1043104285.db2.gz NQZCMWSYDOLGPW-MRXNPFEDSA-N 0 3 319.470 4.057 20 0 DIADHN Fc1cccc(CN[C@H]2CCC(F)(F)C2)c1OC(F)(F)F ZINC000865494428 1043104328 /nfs/dbraw/zinc/10/43/28/1043104328.db2.gz YYSSZSCAFFGARY-VIFPVBQESA-N 0 3 313.241 4.002 20 0 DIADHN CC(C)C[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000177199655 1043104897 /nfs/dbraw/zinc/10/48/97/1043104897.db2.gz NTWHHZVNMANEAV-FQEVSTJZSA-N 0 3 324.468 4.265 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2CCOC3(CCCC3)C2)n1 ZINC000865496979 1043107023 /nfs/dbraw/zinc/10/70/23/1043107023.db2.gz XCGVHARBXCKVPB-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2nc(C(C)(C)C)cs2)CCO1 ZINC000865521801 1043107773 /nfs/dbraw/zinc/10/77/73/1043107773.db2.gz HAPYBDWLMOZWRO-UONOGXRCSA-N 0 3 310.507 4.124 20 0 DIADHN COC1CCC(CCNCc2nc(C(C)(C)C)cs2)CC1 ZINC000865527438 1043109719 /nfs/dbraw/zinc/10/97/19/1043109719.db2.gz MKRZTNUHYZEMLJ-UHFFFAOYSA-N 0 3 310.507 4.126 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1nnc(-c2ccccc2)o1 ZINC000177544471 1043110325 /nfs/dbraw/zinc/11/03/25/1043110325.db2.gz DPHDRLIMFHIGDK-MAUKXSAKSA-N 0 3 319.408 4.115 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865522683 1043110279 /nfs/dbraw/zinc/11/02/79/1043110279.db2.gz VYVJEYZWUUHDDX-NWDGAFQWSA-N 0 3 317.260 4.072 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cnc2c(F)cccc2c1 ZINC000865539698 1043111947 /nfs/dbraw/zinc/11/19/47/1043111947.db2.gz YJHCTUMNCJILQZ-UHFFFAOYSA-N 0 3 300.299 4.195 20 0 DIADHN C[C@@H](CNCc1cn(C2CC2)cn1)c1ccc(C(F)(F)F)cc1 ZINC000865539777 1043112047 /nfs/dbraw/zinc/11/20/47/1043112047.db2.gz WFFFTMFGQFIBAB-LBPRGKRZSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCOC2=O)c1)c1ccsc1 ZINC000177749837 1043112380 /nfs/dbraw/zinc/11/23/80/1043112380.db2.gz RTDSROUWPVQLCO-QWHCGFSZSA-N 0 3 316.426 4.117 20 0 DIADHN C[C@H](NCC[C@H]1CCCO1)c1nc(-c2ccccc2)cs1 ZINC000177845521 1043115862 /nfs/dbraw/zinc/11/58/62/1043115862.db2.gz WCOZUJKSCHTYQE-DZGCQCFKSA-N 0 3 302.443 4.030 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@H]1CC12CCSCC2 ZINC000865606449 1043116613 /nfs/dbraw/zinc/11/66/13/1043116613.db2.gz ZXAXVIMVVTWZCV-SNVBAGLBSA-N 0 3 317.285 4.011 20 0 DIADHN C[C@H](N[C@@H](CCc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000177906339 1043117728 /nfs/dbraw/zinc/11/77/28/1043117728.db2.gz ZOMBPGVALIFPSQ-UWJYYQICSA-N 0 3 319.452 4.445 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2ccsc2)cc1OC ZINC000178039516 1043118484 /nfs/dbraw/zinc/11/84/84/1043118484.db2.gz FNAIWHUVXHMFRS-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN C[C@@]1(CCNCc2c(Cl)cncc2Cl)C[C@]1(F)Cl ZINC000865618458 1043119170 /nfs/dbraw/zinc/11/91/70/1043119170.db2.gz YJBMMNATHQBLTP-VXGBXAGGSA-N 0 3 311.615 4.183 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1ccsc1 ZINC000178025058 1043119820 /nfs/dbraw/zinc/11/98/20/1043119820.db2.gz UPTHUQBDDQSTTM-NSHDSACASA-N 0 3 315.467 4.426 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2C)c2ccccc12 ZINC000177958854 1043120025 /nfs/dbraw/zinc/12/00/25/1043120025.db2.gz WMZRKBWPCMDCBE-UONOGXRCSA-N 0 3 323.440 4.302 20 0 DIADHN C[C@@H](N[C@H](C)c1cccs1)c1cnn(Cc2ccccc2)c1 ZINC000178177285 1043123923 /nfs/dbraw/zinc/12/39/23/1043123923.db2.gz DBVVNGWXUURXOC-HUUCEWRRSA-N 0 3 311.454 4.405 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C(C)C)c1)c1ccc(OC)cc1 ZINC000178291277 1043126041 /nfs/dbraw/zinc/12/60/41/1043126041.db2.gz GGJICMBQGPOSNJ-KDOFPFPSSA-N 0 3 301.434 4.275 20 0 DIADHN Cc1ccccc1-c1cccc(CNC[C@](C)(O)C(F)(F)F)c1 ZINC000536336411 1043126278 /nfs/dbraw/zinc/12/62/78/1043126278.db2.gz FEMVECOGIYQGBR-KRWDZBQOSA-N 0 3 323.358 4.065 20 0 DIADHN c1cc(-c2nc(CNCC[C@H]3CCCS3)cs2)cs1 ZINC000865725364 1043128013 /nfs/dbraw/zinc/12/80/13/1043128013.db2.gz YDPXUOQJBIDLHD-CYBMUJFWSA-N 0 3 310.513 4.247 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1CNCc1ncc(Cl)s1 ZINC000865782084 1043133068 /nfs/dbraw/zinc/13/30/68/1043133068.db2.gz MHMOOMWCJVYOLP-DTWKUNHWSA-N 0 3 310.772 4.031 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1ccc([C@@H](C)O)cc1 ZINC000178545921 1043133455 /nfs/dbraw/zinc/13/34/55/1043133455.db2.gz BLHQZTUKMIVEMC-DNVCBOLYSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000178551189 1043133569 /nfs/dbraw/zinc/13/35/69/1043133569.db2.gz FCDRCDONMQXJSS-NWDGAFQWSA-N 0 3 307.796 4.383 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000178656933 1043135094 /nfs/dbraw/zinc/13/50/94/1043135094.db2.gz ABXCPDCVDPTYHJ-KPZWWZAWSA-N 0 3 301.409 4.060 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000178656963 1043135375 /nfs/dbraw/zinc/13/53/75/1043135375.db2.gz ABXCPDCVDPTYHJ-SGTLLEGYSA-N 0 3 301.409 4.060 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2cccc(O)c2)cc1 ZINC000178756836 1043136849 /nfs/dbraw/zinc/13/68/49/1043136849.db2.gz ILEICEPHKYFVQY-LSDHHAIUSA-N 0 3 321.424 4.298 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cc3cnccc3o2)c(C)c1 ZINC000865852560 1043141960 /nfs/dbraw/zinc/14/19/60/1043141960.db2.gz BYOYHHVFICQEDI-AWEZNQCLSA-N 0 3 310.397 4.386 20 0 DIADHN CC(=O)Nc1cccc(CNC(C)(C)c2cccc(Cl)c2)c1 ZINC000179606589 1043149289 /nfs/dbraw/zinc/14/92/89/1043149289.db2.gz ITABSXNKNLAIPG-UHFFFAOYSA-N 0 3 316.832 4.323 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2cccc3ccccc32)nn1C ZINC000487387860 1043149785 /nfs/dbraw/zinc/14/97/85/1043149785.db2.gz DWRWTIBSBRFCTR-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1ccc(-c2ccccn2)nc1 ZINC000425419447 1043151062 /nfs/dbraw/zinc/15/10/62/1043151062.db2.gz QIXFCWYFIQVSRX-OAHLLOKOSA-N 0 3 315.486 4.107 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](c1ccccn1)C(C)C)c1ccco1 ZINC000866250062 1043165734 /nfs/dbraw/zinc/16/57/34/1043165734.db2.gz SVKPKCNKKSPTNU-IEBWSBKVSA-N 0 3 315.461 4.044 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000120973555 1043166016 /nfs/dbraw/zinc/16/60/16/1043166016.db2.gz FEXRPPDUKDSNAG-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@H](C)[C@H](C)[NH2+][C@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000253557565 1043167868 /nfs/dbraw/zinc/16/78/68/1043167868.db2.gz LGRQRLXHKNFSGJ-INTQDDNPSA-N 0 3 307.463 4.099 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CCc3cccc4cccc2c43)c1C ZINC000866257236 1043167640 /nfs/dbraw/zinc/16/76/40/1043167640.db2.gz URSYKTCYQYPKDD-FQEVSTJZSA-N 0 3 319.452 4.450 20 0 DIADHN CCC(CC)c1cc(CN[C@@H](C)CCc2cccnc2)on1 ZINC000866274121 1043171538 /nfs/dbraw/zinc/17/15/38/1043171538.db2.gz WMVFIUVTJHQQFK-AWEZNQCLSA-N 0 3 301.434 4.084 20 0 DIADHN CSc1cc(CN[C@@H]2CCCc3c(F)cccc32)ccn1 ZINC000866288829 1043174460 /nfs/dbraw/zinc/17/44/60/1043174460.db2.gz OVJQKUSHBGIYLC-MRXNPFEDSA-N 0 3 302.418 4.110 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc2c(c1)CCO2 ZINC000183989077 1043176498 /nfs/dbraw/zinc/17/64/98/1043176498.db2.gz FGVCBLRHKZZMJV-LBPRGKRZSA-N 0 3 303.352 4.410 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(OC(F)(F)F)cc2)c1 ZINC000184264611 1043180111 /nfs/dbraw/zinc/18/01/11/1043180111.db2.gz XKRYVYYUGNVPJK-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](C)c2cccc(OC(F)F)c2)c1 ZINC000184280357 1043181613 /nfs/dbraw/zinc/18/16/13/1043181613.db2.gz YHBMJKAYPFUTMR-CHWSQXEVSA-N 0 3 306.356 4.403 20 0 DIADHN CC[C@H](NCCCOCc1ccccc1)c1nc(C)cs1 ZINC000184411944 1043185110 /nfs/dbraw/zinc/18/51/10/1043185110.db2.gz NWGSMMFATUPJPP-INIZCTEOSA-N 0 3 304.459 4.099 20 0 DIADHN CSc1ccccc1[C@H](NCCC[C@H](C)O)c1ccccc1 ZINC000866349992 1043185757 /nfs/dbraw/zinc/18/57/57/1043185757.db2.gz RZJWSLJHVAMFOX-HNAYVOBHSA-N 0 3 315.482 4.249 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1cccc2cc[nH]c21 ZINC000866348070 1043185882 /nfs/dbraw/zinc/18/58/82/1043185882.db2.gz MNFXZXAZEVXZQR-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN CSc1ccccc1[C@@H](NCCC[C@@H](C)O)c1ccccc1 ZINC000866349990 1043186120 /nfs/dbraw/zinc/18/61/20/1043186120.db2.gz RZJWSLJHVAMFOX-BEFAXECRSA-N 0 3 315.482 4.249 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN([C@H](C)c2cnccn2)CC1 ZINC000184463601 1043186306 /nfs/dbraw/zinc/18/63/06/1043186306.db2.gz NBTSQCMJXLOKBQ-OAHLLOKOSA-N 0 3 320.440 4.207 20 0 DIADHN CSCc1ccc(CN[C@H](c2ccccn2)C(C)C)cc1 ZINC000866359508 1043187240 /nfs/dbraw/zinc/18/72/40/1043187240.db2.gz TYVPCKWUSVLRMD-SFHVURJKSA-N 0 3 300.471 4.432 20 0 DIADHN CCc1nc(CN[C@@H](C)c2ccc(Cl)c3ccccc32)n[nH]1 ZINC000184691265 1043188968 /nfs/dbraw/zinc/18/89/68/1043188968.db2.gz BQAMXOXOUGCOTN-NSHDSACASA-N 0 3 314.820 4.025 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000866366795 1043189649 /nfs/dbraw/zinc/18/96/49/1043189649.db2.gz BQBQYSGJPKXXIV-SPYBWZPUSA-N 0 3 320.440 4.048 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2CCc3cccc4cccc2c43)cn1 ZINC000866371434 1043191357 /nfs/dbraw/zinc/19/13/57/1043191357.db2.gz MBYJVTSMALGCAV-IFXJQAMLSA-N 0 3 305.425 4.394 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@H](C)C[C@H](C)C3)cs2)c1 ZINC000057831837 1043193161 /nfs/dbraw/zinc/19/31/61/1043193161.db2.gz UBDIIBDZLPYXGR-OKILXGFUSA-N 0 3 316.470 4.297 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CNC/C=C\C1CC1 ZINC001202521389 1043201867 /nfs/dbraw/zinc/20/18/67/1043201867.db2.gz UONJZJVPBBQCLF-YFHOEESVSA-N 0 3 323.484 4.285 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@@H]1CCCC[C@@H]1F ZINC000866450527 1043203102 /nfs/dbraw/zinc/20/31/02/1043203102.db2.gz VTSAEFDTECZKLL-BYCMXARLSA-N 0 3 319.449 4.445 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000185647773 1043204403 /nfs/dbraw/zinc/20/44/03/1043204403.db2.gz JAQNQQZLLVMTFK-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1sc(NC(=O)CN2CCC[C@@H]2CC(C)C)c(C)c1C ZINC000441520818 1043204602 /nfs/dbraw/zinc/20/46/02/1043204602.db2.gz GVUQFJVVPAUUIC-OAHLLOKOSA-N 0 3 308.491 4.122 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC(c2ccc(Cl)cc2)CC1 ZINC000185799642 1043206778 /nfs/dbraw/zinc/20/67/78/1043206778.db2.gz DRSQLKPSIQHOTP-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN CC[C@@H](NCc1cccc2c1CCOC2)c1ccccc1OC ZINC000866478047 1043207324 /nfs/dbraw/zinc/20/73/24/1043207324.db2.gz DKMNCVAWQHVROU-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCCC[C@H]1C(C)C ZINC000303695943 1043214032 /nfs/dbraw/zinc/21/40/32/1043214032.db2.gz QQCIYBHMDKEPDE-SFHVURJKSA-N 0 3 316.489 4.260 20 0 DIADHN C[C@H](NCCSCCC(F)(F)F)c1cccnc1Cl ZINC000866543409 1043220860 /nfs/dbraw/zinc/22/08/60/1043220860.db2.gz QALHWHQTJLCYHO-VIFPVBQESA-N 0 3 312.788 4.071 20 0 DIADHN CCN(CCCCCn1cccn1)Cc1ccccc1Cl ZINC000520565493 1043221732 /nfs/dbraw/zinc/22/17/32/1043221732.db2.gz RXPDPGOLWMCHEV-UHFFFAOYSA-N 0 3 305.853 4.229 20 0 DIADHN C[C@@H](NCCSCCC(F)(F)F)c1ccc(Cl)cn1 ZINC000866543860 1043221706 /nfs/dbraw/zinc/22/17/06/1043221706.db2.gz YTLDJWBSGJIROG-SECBINFHSA-N 0 3 312.788 4.071 20 0 DIADHN Cc1cc(F)cc(CN2CCC(OCc3ccccc3)CC2)c1 ZINC001143586495 1043228789 /nfs/dbraw/zinc/22/87/89/1043228789.db2.gz OUUBTXDEXACERO-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN CCSc1cc(CN[C@@H](c2cccnc2)C2CCC2)ccn1 ZINC000280824211 1043229948 /nfs/dbraw/zinc/22/99/48/1043229948.db2.gz KGXKQRIQMJDWNQ-GOSISDBHSA-N 0 3 313.470 4.220 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H](C)c2nc3c(s2)CCCC3)n1 ZINC000186577822 1043230044 /nfs/dbraw/zinc/23/00/44/1043230044.db2.gz WGYPMUPYNYLPOC-CMPLNLGQSA-N 0 3 321.515 4.241 20 0 DIADHN CCSc1cc(CN[C@H](c2cccnc2)C2CCC2)ccn1 ZINC000280824215 1043230091 /nfs/dbraw/zinc/23/00/91/1043230091.db2.gz KGXKQRIQMJDWNQ-SFHVURJKSA-N 0 3 313.470 4.220 20 0 DIADHN CSCCCCCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000186777170 1043233423 /nfs/dbraw/zinc/23/34/23/1043233423.db2.gz QVMZSUVNTSPSAF-GOSISDBHSA-N 0 3 318.461 4.433 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)CCN2CCC(=O)OC(C)(C)C)o1 ZINC000441618816 1043233997 /nfs/dbraw/zinc/23/39/97/1043233997.db2.gz FKEBTAFMZQJCJM-DZGCQCFKSA-N 0 3 307.434 4.093 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000441629289 1043238107 /nfs/dbraw/zinc/23/81/07/1043238107.db2.gz AUXHBVNTNXUDRT-MRXNPFEDSA-N 0 3 313.445 4.446 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H](C)c1cc2cnccc2o1 ZINC000866622005 1043238081 /nfs/dbraw/zinc/23/80/81/1043238081.db2.gz LAVHAFZCKXVIRO-SJKOYZFVSA-N 0 3 308.381 4.175 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H](C)c2cc3cnccc3o2)cc1 ZINC000866620334 1043238414 /nfs/dbraw/zinc/23/84/14/1043238414.db2.gz KQWMCWXYIUUXHP-OLZOCXBDSA-N 0 3 323.396 4.198 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cc3cnccc3o1)CC2 ZINC000866619203 1043238761 /nfs/dbraw/zinc/23/87/61/1043238761.db2.gz DSCVYTWZMJYAFP-SJCJKPOMSA-N 0 3 308.381 4.175 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCSc3cc(C)c(C)cc32)cnn1C ZINC000186995840 1043239145 /nfs/dbraw/zinc/23/91/45/1043239145.db2.gz TVZOVAMHRBLXPW-GUYCJALGSA-N 0 3 315.486 4.233 20 0 DIADHN C[C@H](NCC1CCCCC1)c1ncc(Br)cc1F ZINC000427612023 1043245527 /nfs/dbraw/zinc/24/55/27/1043245527.db2.gz KDPXQKSJMLYZGI-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN C[C@@H](NCC1CCCCC1)c1ncc(Br)cc1F ZINC000427612024 1043245562 /nfs/dbraw/zinc/24/55/62/1043245562.db2.gz KDPXQKSJMLYZGI-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN C[C@H](NCc1cccc(O)c1)c1ccc(Oc2cccnc2)cc1 ZINC000520649132 1043246996 /nfs/dbraw/zinc/24/69/96/1043246996.db2.gz XJJNUKPQBOWMPD-HNNXBMFYSA-N 0 3 320.392 4.430 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000187718243 1043248456 /nfs/dbraw/zinc/24/84/56/1043248456.db2.gz DLQOGSKDOFZUHH-LRDDRELGSA-N 0 3 317.458 4.431 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cnn(C)c2)ccc1-c1ccccc1 ZINC000187751029 1043249735 /nfs/dbraw/zinc/24/97/35/1043249735.db2.gz TYZBBMBWFQOGNZ-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN CCSc1cc(CN[C@@H](C)c2cccc(OC)c2)ccn1 ZINC000187793507 1043250304 /nfs/dbraw/zinc/25/03/04/1043250304.db2.gz TUWGTABKLBXABV-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@@H]1CCCc3c1cnn3C)CC2 ZINC000187804649 1043251906 /nfs/dbraw/zinc/25/19/06/1043251906.db2.gz XKTZZZWQHGRPMZ-MOPGFXCFSA-N 0 3 309.457 4.198 20 0 DIADHN CCOc1cc(CNCc2ccc(C(C)(C)C)s2)ccc1O ZINC000777478796 1043262110 /nfs/dbraw/zinc/26/21/10/1043262110.db2.gz HJJMKTCNMGQRFG-UHFFFAOYSA-N 0 3 319.470 4.440 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nnc(-c2ccco2)o1 ZINC000191880708 1043263115 /nfs/dbraw/zinc/26/31/15/1043263115.db2.gz AVZXOJBEPMKRRV-KGLIPLIRSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nnc(-c2ccco2)o1 ZINC000191880728 1043263235 /nfs/dbraw/zinc/26/32/35/1043263235.db2.gz AVZXOJBEPMKRRV-ZIAGYGMSSA-N 0 3 303.406 4.024 20 0 DIADHN c1nc(CNC[C@H]2CC3c4ccccc4C2c2ccccc23)co1 ZINC000192290473 1043269042 /nfs/dbraw/zinc/26/90/42/1043269042.db2.gz JBAKWXMSVORUCM-OZJRSANCSA-N 0 3 316.404 4.062 20 0 DIADHN COc1ccc(CN[C@H]2CCCNc3ccccc32)cc1Cl ZINC000193025979 1043276847 /nfs/dbraw/zinc/27/68/47/1043276847.db2.gz MUTXCGOXHFOURF-KRWDZBQOSA-N 0 3 316.832 4.385 20 0 DIADHN C[C@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1ccnn1C ZINC000193066791 1043280246 /nfs/dbraw/zinc/28/02/46/1043280246.db2.gz PHRBHIVIGGURSG-MEDUHNTESA-N 0 3 323.362 4.241 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2ccc(Cl)nc2)cc1 ZINC000193359943 1043284601 /nfs/dbraw/zinc/28/46/01/1043284601.db2.gz BBCARWSFPNFSQQ-CYBMUJFWSA-N 0 3 303.837 4.408 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1CN(C)C)c1ccccc1 ZINC000193343038 1043285152 /nfs/dbraw/zinc/28/51/52/1043285152.db2.gz IXPNDPBLWLKPQB-SFHVURJKSA-N 0 3 310.441 4.189 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC1(c2cccc(Cl)c2)CC1 ZINC000925112435 1043290453 /nfs/dbraw/zinc/29/04/53/1043290453.db2.gz XJWJDOUQJXDOAW-OAHLLOKOSA-N 0 3 316.832 4.335 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)cn1 ZINC000194340944 1043291152 /nfs/dbraw/zinc/29/11/52/1043291152.db2.gz OGOIEKZTKWVCDH-AFAVFJNCSA-N 0 3 301.821 4.124 20 0 DIADHN COc1ccccc1[C@@H](NCc1cccc(N)c1)C1CCCC1 ZINC000665233171 1043291417 /nfs/dbraw/zinc/29/14/17/1043291417.db2.gz AMDRDJXQSGEQKS-FQEVSTJZSA-N 0 3 310.441 4.299 20 0 DIADHN Cc1noc(C)c1CN(C)[C@@H](C)CCSc1ccccc1 ZINC000122253505 1043291681 /nfs/dbraw/zinc/29/16/81/1043291681.db2.gz XHKXKTPAGZFCAF-ZDUSSCGKSA-N 0 3 304.459 4.294 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(Cl)c1C ZINC000194725568 1043295479 /nfs/dbraw/zinc/29/54/79/1043295479.db2.gz KWTJNWITDASEOB-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1ncc(Br)cc1F ZINC000427700181 1043298865 /nfs/dbraw/zinc/29/88/65/1043298865.db2.gz YPDVFDXSIXESBQ-NHCYSSNCSA-N 0 3 315.230 4.213 20 0 DIADHN CC[C@H](NC1C[C@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000339086102 1043301196 /nfs/dbraw/zinc/30/11/96/1043301196.db2.gz XBISOIWFUKPCSH-QXEWZRGKSA-N 0 3 322.396 4.159 20 0 DIADHN COCc1nc(CN[C@H](C)CC(C)(C)c2ccccc2)cs1 ZINC000266182501 1043301325 /nfs/dbraw/zinc/30/13/25/1043301325.db2.gz GKAHVBBXSCWHAK-CQSZACIVSA-N 0 3 318.486 4.136 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000339088958 1043304407 /nfs/dbraw/zinc/30/44/07/1043304407.db2.gz KHYVKKMKVKWLNM-YWZLYKJASA-N 0 3 309.457 4.492 20 0 DIADHN CCSc1cc(CN[C@H](c2cccnc2)C(C)C)ccn1 ZINC000427857957 1043309941 /nfs/dbraw/zinc/30/99/41/1043309941.db2.gz JYFDNBZYDYTUJT-KRWDZBQOSA-N 0 3 301.459 4.076 20 0 DIADHN CCSc1cc(CN[C@@H]2c3cc(F)ccc3C[C@@H]2C)ccn1 ZINC000427873044 1043312166 /nfs/dbraw/zinc/31/21/66/1043312166.db2.gz YVKWQAJXENMTNU-SGTLLEGYSA-N 0 3 316.445 4.356 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccc(F)cc1OC(F)F ZINC000427981508 1043316560 /nfs/dbraw/zinc/31/65/60/1043316560.db2.gz FTQBUDLPOSONGM-ZYHUDNBSSA-N 0 3 317.351 4.035 20 0 DIADHN COc1ccccc1OCCN1CCC[C@H]1c1ccc(F)cc1 ZINC000059374624 1043317212 /nfs/dbraw/zinc/31/72/12/1043317212.db2.gz CACIZLATYSBCNU-KRWDZBQOSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1OC(F)(F)F ZINC000427974390 1043319148 /nfs/dbraw/zinc/31/91/48/1043319148.db2.gz BKRLZOSOTKOYKD-VXGBXAGGSA-N 0 3 317.351 4.193 20 0 DIADHN CCCN(Cc1cc(=O)c(OC)co1)[C@@H](CC)c1ccccc1 ZINC000268527611 1043321406 /nfs/dbraw/zinc/32/14/06/1043321406.db2.gz HPRHHNYFKQDODT-KRWDZBQOSA-N 0 3 315.413 4.012 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccc3c(c2)COC3)cc1 ZINC000268733785 1043326025 /nfs/dbraw/zinc/32/60/25/1043326025.db2.gz AVODZQIBMVPRNI-HNNXBMFYSA-N 0 3 311.425 4.355 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCc3c2cccc3OC)cc1 ZINC000268777579 1043328843 /nfs/dbraw/zinc/32/88/43/1043328843.db2.gz RYBQEEYHZOTRDY-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@H](O)c1ccccc1F ZINC000268770243 1043328884 /nfs/dbraw/zinc/32/88/84/1043328884.db2.gz DOVDYZITLMDBMM-NHYWBVRUSA-N 0 3 322.449 4.098 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCc2c1cccc2OC ZINC000268770890 1043329345 /nfs/dbraw/zinc/32/93/45/1043329345.db2.gz SKALIKFLZCOHHG-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](C)c2ccc3c(c2)COC3)c1 ZINC000268777730 1043329918 /nfs/dbraw/zinc/32/99/18/1043329918.db2.gz WITFQFTUJYEWJF-KBPBESRZSA-N 0 3 324.424 4.087 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc21)c1cnn(Cc2ccccc2)c1 ZINC000268784040 1043330493 /nfs/dbraw/zinc/33/04/93/1043330493.db2.gz ZCANCESKHNTJKS-IERDGZPVSA-N 0 3 317.436 4.270 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cnn(Cc2ccccc2)c1 ZINC000268784038 1043331066 /nfs/dbraw/zinc/33/10/66/1043331066.db2.gz ZCANCESKHNTJKS-HRAATJIYSA-N 0 3 317.436 4.270 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)s1 ZINC000268789793 1043331502 /nfs/dbraw/zinc/33/15/02/1043331502.db2.gz CRAMWDKZOYVOBD-NWDGAFQWSA-N 0 3 309.866 4.253 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2sc(CC)nc2C)cc1 ZINC000268819190 1043332744 /nfs/dbraw/zinc/33/27/44/1043332744.db2.gz RIOKIEGBGFRYFR-GFCCVEGCSA-N 0 3 304.459 4.263 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCCOc2ccccc21 ZINC000268830103 1043335692 /nfs/dbraw/zinc/33/56/92/1043335692.db2.gz LYCUGJGRVLHWTQ-ROUUACIJSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccc(C(F)(F)F)c1 ZINC000268844490 1043335815 /nfs/dbraw/zinc/33/58/15/1043335815.db2.gz OGZJCYHVBVGZIZ-ZTFRIQLXSA-N 0 3 321.342 4.014 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H](C)c1ccc2c(c1)COC2)C(C)C ZINC000268845337 1043335895 /nfs/dbraw/zinc/33/58/95/1043335895.db2.gz ATKPZRUFSFYPRR-HNAYVOBHSA-N 0 3 310.441 4.468 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCCOc2ccccc21 ZINC000268830104 1043335924 /nfs/dbraw/zinc/33/59/24/1043335924.db2.gz LYCUGJGRVLHWTQ-ZWKOTPCHSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@H](N[C@H]1CCCOc2ccccc21)c1ccc2c(c1)COC2 ZINC000268847614 1043336230 /nfs/dbraw/zinc/33/62/30/1043336230.db2.gz PYOXMNVMACEVOE-LIRRHRJNSA-N 0 3 309.409 4.281 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cccc(C(=O)NC3CC3)c2)c1 ZINC000268847208 1043336780 /nfs/dbraw/zinc/33/67/80/1043336780.db2.gz SAKOMYIXMULASU-INIZCTEOSA-N 0 3 322.452 4.046 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1cc(Cl)ccc1Cl ZINC000074320521 1043337655 /nfs/dbraw/zinc/33/76/55/1043337655.db2.gz XGKOPKPTGHIEDW-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H](O)c2ccc3ccccc3c2)cn1 ZINC000268860279 1043338087 /nfs/dbraw/zinc/33/80/87/1043338087.db2.gz DMFCUTJNMQXCOZ-YWZLYKJASA-N 0 3 323.440 4.001 20 0 DIADHN CC[C@@H](NCc1cccc(CO)c1)c1ccccc1OC(F)F ZINC000268855205 1043338240 /nfs/dbraw/zinc/33/82/40/1043338240.db2.gz GPDXZXXEQUYFJC-MRXNPFEDSA-N 0 3 321.367 4.021 20 0 DIADHN CC[C@H](NCc1cccc(CO)c1)c1ccccc1OC(F)F ZINC000268855195 1043339137 /nfs/dbraw/zinc/33/91/37/1043339137.db2.gz GPDXZXXEQUYFJC-INIZCTEOSA-N 0 3 321.367 4.021 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc(OC)cc2)s1 ZINC000268881200 1043340990 /nfs/dbraw/zinc/34/09/90/1043340990.db2.gz FJRPPJMDAAQOHY-NWDGAFQWSA-N 0 3 304.459 4.434 20 0 DIADHN CC(C)c1ccc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)cc1 ZINC000268873265 1043341388 /nfs/dbraw/zinc/34/13/88/1043341388.db2.gz VYDFGGZWENZGDL-IVZQSRNASA-N 0 3 315.482 4.398 20 0 DIADHN COc1ccccc1/C=C\CN[C@H]1COc2c1ccc(C)c2C ZINC000520959362 1043343147 /nfs/dbraw/zinc/34/31/47/1043343147.db2.gz DNOHKOOLJQLGMF-POZKEBTKSA-N 0 3 309.409 4.049 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H]2CCSc3ccc(Cl)cc32)o1 ZINC000268947699 1043344780 /nfs/dbraw/zinc/34/47/80/1043344780.db2.gz BQOJAZAROTUXRW-ZIAGYGMSSA-N 0 3 323.845 4.102 20 0 DIADHN CCc1nc(CNCC2(c3ccc(F)cc3)CCCC2)cs1 ZINC000520950146 1043345131 /nfs/dbraw/zinc/34/51/31/1043345131.db2.gz HVBYCDNWDOSLLG-UHFFFAOYSA-N 0 3 318.461 4.446 20 0 DIADHN COc1ccccc1[C@H](C)NCCc1nc2c(cccc2C)o1 ZINC000268976095 1043345986 /nfs/dbraw/zinc/34/59/86/1043345986.db2.gz NMCGSCQNIFWVSP-AWEZNQCLSA-N 0 3 310.397 4.038 20 0 DIADHN CCn1cc([C@@H](C)NCc2cc(C)cc3c(C)c(C)[nH]c32)cn1 ZINC000268960406 1043347080 /nfs/dbraw/zinc/34/70/80/1043347080.db2.gz ZXODVXVYLGUCHF-OAHLLOKOSA-N 0 3 310.445 4.160 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000268988496 1043348680 /nfs/dbraw/zinc/34/86/80/1043348680.db2.gz JGTHVQZATYUSAL-XHDPSFHLSA-N 0 3 302.443 4.188 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(N)ccc21)c1ccc2ccccc2n1 ZINC000665270404 1043348843 /nfs/dbraw/zinc/34/88/43/1043348843.db2.gz XIVPKLHOIDYBKU-XCLFUZPHSA-N 0 3 303.409 4.155 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000269048728 1043353993 /nfs/dbraw/zinc/35/39/93/1043353993.db2.gz VKZPLIQLKAWKAO-CEWLAPEOSA-N 0 3 323.436 4.192 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](CCO)c1ccccc1 ZINC000269079531 1043356219 /nfs/dbraw/zinc/35/62/19/1043356219.db2.gz ZCSSLQGUWINZDL-XJKSGUPXSA-N 0 3 318.486 4.349 20 0 DIADHN C[C@@H](Sc1ccccc1)c1ccc(NC(=O)C(C)(C)N)cc1 ZINC000269107394 1043357710 /nfs/dbraw/zinc/35/77/10/1043357710.db2.gz POXMNGVZAGKRPG-CYBMUJFWSA-N 0 3 314.454 4.216 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CCC[C@H]2c2ccccc2)cs1 ZINC000269116167 1043359390 /nfs/dbraw/zinc/35/93/90/1043359390.db2.gz WPHOJSNNPWPATH-XOKHGSTOSA-N 0 3 316.470 4.276 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1csc(C)n1)c1ccsc1 ZINC000269422093 1043365005 /nfs/dbraw/zinc/36/50/05/1043365005.db2.gz MAWIEYISNVIVPA-BLLLJJGKSA-N 0 3 323.531 4.247 20 0 DIADHN C[C@H](NCc1ccc(OC(F)F)c(F)c1)c1cccs1 ZINC000270009796 1043379032 /nfs/dbraw/zinc/37/90/32/1043379032.db2.gz UCVRDFGVGIQLRY-VIFPVBQESA-N 0 3 301.333 4.339 20 0 DIADHN CSCc1cnc(CN[C@@H](C)CCc2ccccc2)s1 ZINC000521278379 1043380223 /nfs/dbraw/zinc/38/02/23/1043380223.db2.gz JVAXVXVVAJDAMO-ZDUSSCGKSA-N 0 3 306.500 4.117 20 0 DIADHN Cc1cc([C@H](NCc2cnn(C(C)C)c2)C2CC2)ccc1F ZINC000270316478 1043382222 /nfs/dbraw/zinc/38/22/22/1043382222.db2.gz WVCGUHVLQMOMCR-GOSISDBHSA-N 0 3 301.409 4.152 20 0 DIADHN Cc1cc([C@@H](NCc2cnn(C(C)C)c2)C2CC2)ccc1F ZINC000270316480 1043382259 /nfs/dbraw/zinc/38/22/59/1043382259.db2.gz WVCGUHVLQMOMCR-SFHVURJKSA-N 0 3 301.409 4.152 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)cc1O ZINC000270299660 1043383183 /nfs/dbraw/zinc/38/31/83/1043383183.db2.gz RLCOHNVCXLOJDS-IBGZPJMESA-N 0 3 315.388 4.089 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)C[C@@H]1CCC2(CCCCC2)O1 ZINC000191005067 1043383355 /nfs/dbraw/zinc/38/33/55/1043383355.db2.gz NWZITSCOWDXPTD-ROUUACIJSA-N 0 3 302.462 4.346 20 0 DIADHN Clc1cccc(-c2nnc(CN3CC[C@H](C4CCC4)C3)o2)c1 ZINC000665286967 1043390496 /nfs/dbraw/zinc/39/04/96/1043390496.db2.gz YVIKAFCVSSOQEE-AWEZNQCLSA-N 0 3 317.820 4.012 20 0 DIADHN Fc1cccc(-c2cnc(CN3CC[C@H](C4CCC4)C3)o2)c1 ZINC000665286565 1043390960 /nfs/dbraw/zinc/39/09/60/1043390960.db2.gz OZAFNPKIBURECY-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN Clc1cccc(-c2nnc(CN3CC[C@@H](C4CCC4)C3)o2)c1 ZINC000665286968 1043391109 /nfs/dbraw/zinc/39/11/09/1043391109.db2.gz YVIKAFCVSSOQEE-CQSZACIVSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CC[C@@H](C2CCC2)C1 ZINC000665286804 1043391220 /nfs/dbraw/zinc/39/12/20/1043391220.db2.gz UTYQSJRMSRUNEJ-CQSZACIVSA-N 0 3 302.443 4.334 20 0 DIADHN CSc1cc(C)ccc1CN[C@@H](C)c1cccc(CO)c1 ZINC000270547181 1043391200 /nfs/dbraw/zinc/39/12/00/1043391200.db2.gz ZAEOCQJNEVMUFF-AWEZNQCLSA-N 0 3 301.455 4.060 20 0 DIADHN C[C@@H](CN(C)Cc1cnc([C@@H]2CCCO2)s1)c1ccccc1 ZINC000271177647 1043404730 /nfs/dbraw/zinc/40/47/30/1043404730.db2.gz XHTSMURWMCEJHO-YOEHRIQHSA-N 0 3 316.470 4.230 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCO[C@H](C(C)C)C2)c2ccccn2)c1 ZINC000925300308 1043407827 /nfs/dbraw/zinc/40/78/27/1043407827.db2.gz KKFFMXHDMVUOMH-GIVPXCGWSA-N 0 3 324.468 4.273 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC1(Cc2ccc(F)cc2)CC1 ZINC000925298920 1043408276 /nfs/dbraw/zinc/40/82/76/1043408276.db2.gz WKBPDPYFJFYDPZ-INIZCTEOSA-N 0 3 314.404 4.112 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN(C)[C@H](C)c2cccs2)o1 ZINC000648001749 1043412833 /nfs/dbraw/zinc/41/28/33/1043412833.db2.gz HOTATEHNHABMFV-CYBMUJFWSA-N 0 3 315.442 4.243 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@@]3(CC[C@H](C)C3)C2)c1 ZINC000557908884 1043412777 /nfs/dbraw/zinc/41/27/77/1043412777.db2.gz FGTBFZUFFAMDJO-RIFZZMRRSA-N 0 3 314.473 4.143 20 0 DIADHN COc1ccc2c(c1)nccc2N1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000795192348 1043413762 /nfs/dbraw/zinc/41/37/62/1043413762.db2.gz KXCCSBZZYFWFCE-GXFFZTMASA-N 0 3 310.319 4.021 20 0 DIADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccc(Cl)cc1Cl ZINC000271430961 1043416101 /nfs/dbraw/zinc/41/61/01/1043416101.db2.gz BBZBJDSPYTUQOC-XPTSAGLGSA-N 0 3 310.228 4.445 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000271428451 1043417073 /nfs/dbraw/zinc/41/70/73/1043417073.db2.gz CVFJFYLUUDXVER-CABCVRRESA-N 0 3 301.434 4.246 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1cc(Cl)ccc1Cl ZINC000271446009 1043418824 /nfs/dbraw/zinc/41/88/24/1043418824.db2.gz CYGGKSIFRDVDEU-OTYXRUKQSA-N 0 3 310.228 4.445 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2cccc(O)c2)cc1OC ZINC000442784556 1043419351 /nfs/dbraw/zinc/41/93/51/1043419351.db2.gz RYPDUERCAALVFV-UONOGXRCSA-N 0 3 315.413 4.211 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2cccc(O)c2)cc1OC ZINC000442784552 1043419540 /nfs/dbraw/zinc/41/95/40/1043419540.db2.gz RYPDUERCAALVFV-KBPBESRZSA-N 0 3 315.413 4.211 20 0 DIADHN Cc1cc([C@H](N[C@H](C)c2cnc(C)nc2C)C2CC2)ccc1F ZINC000271471812 1043422321 /nfs/dbraw/zinc/42/23/21/1043422321.db2.gz INZHNRVOYHANMW-BFUOFWGJSA-N 0 3 313.420 4.343 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H]1CCCc2cn[nH]c21 ZINC000271472405 1043424849 /nfs/dbraw/zinc/42/48/49/1043424849.db2.gz HPVAUNVLZYQPSI-XHDPSFHLSA-N 0 3 319.836 4.190 20 0 DIADHN CCCCN(CC(=O)N1CCC(C)CC1)[C@H](C)c1ccccc1 ZINC000558062581 1043428037 /nfs/dbraw/zinc/42/80/37/1043428037.db2.gz FPXSBUPSOFLMOV-GOSISDBHSA-N 0 3 316.489 4.108 20 0 DIADHN CC1(C)C[C@@H](NCc2cccc(CO)c2)c2ccccc2S1 ZINC000872035548 1043428367 /nfs/dbraw/zinc/42/83/67/1043428367.db2.gz WWTOSCAJJMUWJW-QGZVFWFLSA-N 0 3 313.466 4.284 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1cncs1 ZINC000271510022 1043428863 /nfs/dbraw/zinc/42/88/63/1043428863.db2.gz OOYJQJYQJRGFLI-PGUXBMHVSA-N 0 3 310.850 4.223 20 0 DIADHN CC(C)COC[C@@H](N[C@@H]1CCOC2(CCCC2)C1)c1ccco1 ZINC000872031247 1043429339 /nfs/dbraw/zinc/42/93/39/1043429339.db2.gz NITRZVNCXJHGGD-IAGOWNOFSA-N 0 3 321.461 4.075 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cccc(N3CCC3=O)c2)c2ccccc21 ZINC000442818231 1043430074 /nfs/dbraw/zinc/43/00/74/1043430074.db2.gz ZQFPTNNZRATWBC-FOIQADDNSA-N 0 3 320.436 4.152 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)Sc2ccccc21)c1cnn(C)c1 ZINC000872038357 1043430966 /nfs/dbraw/zinc/43/09/66/1043430966.db2.gz KRXBWAABFJDRLW-IUODEOHRSA-N 0 3 301.459 4.086 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cccc(Br)n1 ZINC000925563330 1043431238 /nfs/dbraw/zinc/43/12/38/1043431238.db2.gz MPJGIUZUXYWJQK-NXEZZACHSA-N 0 3 319.193 4.073 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)Sc2ccccc21)c1cnn(C)c1 ZINC000872038358 1043431231 /nfs/dbraw/zinc/43/12/31/1043431231.db2.gz KRXBWAABFJDRLW-SWLSCSKDSA-N 0 3 301.459 4.086 20 0 DIADHN C[C@H]([NH2+]C[C@H]1CCCO[C@@H]1C(C)(C)C)c1cccc([O-])c1F ZINC000872039244 1043431413 /nfs/dbraw/zinc/43/14/13/1043431413.db2.gz RKISJBQMRSGWCM-AHIWAGSCSA-N 0 3 309.425 4.023 20 0 DIADHN CCC[C@H](NCc1cccc(N2CCC2=O)c1)c1ccsc1 ZINC000442818304 1043431919 /nfs/dbraw/zinc/43/19/19/1043431919.db2.gz GGHTUIVXTGDFED-KRWDZBQOSA-N 0 3 314.454 4.116 20 0 DIADHN CCC[C@H](NCC1(CCOCC)CC1)c1ncccc1Cl ZINC000925598842 1043435638 /nfs/dbraw/zinc/43/56/38/1043435638.db2.gz MTMSMPOOWVSFSF-HNNXBMFYSA-N 0 3 310.869 4.373 20 0 DIADHN CCOc1ccc([C@@H](CC)N[C@H](C)c2cn[nH]c2)cc1OCC ZINC000271554574 1043436369 /nfs/dbraw/zinc/43/63/69/1043436369.db2.gz SMIREGWTPKCPQH-CZUORRHYSA-N 0 3 317.433 4.009 20 0 DIADHN COc1cc(F)c(CN[C@@H](c2ccccc2)C(C)C)cc1OC ZINC000521631279 1043436710 /nfs/dbraw/zinc/43/67/10/1043436710.db2.gz JJVOELZDPWCLIO-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN Clc1ccc(OCCCN2CCC[C@@H]2c2cccnc2)cc1 ZINC000558101979 1043438833 /nfs/dbraw/zinc/43/88/33/1043438833.db2.gz OKWDPGIZQSNYDA-GOSISDBHSA-N 0 3 316.832 4.341 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnccc1C)c1ccc(OC)c(OC)c1 ZINC000271606835 1043440822 /nfs/dbraw/zinc/44/08/22/1043440822.db2.gz VGLRTZIVLDRJNL-RHSMWYFYSA-N 0 3 314.429 4.209 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H]2CCc3cccc(C)c32)c2ccccc21 ZINC000925637579 1043443188 /nfs/dbraw/zinc/44/31/88/1043443188.db2.gz NVYZNWIDWKVQRH-MOPGFXCFSA-N 0 3 320.436 4.070 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](C)c1cccc(N2CCCC2)c1 ZINC000271624632 1043443874 /nfs/dbraw/zinc/44/38/74/1043443874.db2.gz ZBMFYBNITCKOCU-DLBZAZTESA-N 0 3 309.457 4.402 20 0 DIADHN CCC[C@@H](C)NCc1nc(COC)sc1-c1ccccc1 ZINC000442875782 1043444376 /nfs/dbraw/zinc/44/43/76/1043444376.db2.gz OUALFNBXKAELTF-CYBMUJFWSA-N 0 3 304.459 4.235 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000271613433 1043444665 /nfs/dbraw/zinc/44/46/65/1043444665.db2.gz AYQWFBDFUYQZOX-NJZAAPMLSA-N 0 3 323.387 4.040 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1c(C)nc2ccccn21 ZINC000442887598 1043447124 /nfs/dbraw/zinc/44/71/24/1043447124.db2.gz ZHMDIHASODSSBE-UHFFFAOYSA-N 0 3 318.424 4.146 20 0 DIADHN Cc1cccc([C@H](NC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)c1 ZINC000925661342 1043447300 /nfs/dbraw/zinc/44/73/00/1043447300.db2.gz BYDXNHVKYBOJEC-DLZDZTESSA-N 0 3 324.493 4.496 20 0 DIADHN Cc1cccc([C@@H](NC2CC3(C2)CO[C@H](C)C3)c2ccccn2)c1 ZINC000925663490 1043450903 /nfs/dbraw/zinc/45/09/03/1043450903.db2.gz UFZSAKQRVXSFFM-CNQIAFTFSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(F)ccc21)c1cnn(C2CCC2)c1 ZINC000925669621 1043451076 /nfs/dbraw/zinc/45/10/76/1043451076.db2.gz CMSLAUAXMXTGBV-DJJJIMSYSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2cccc(C)c2)s1 ZINC000521656585 1043451335 /nfs/dbraw/zinc/45/13/35/1043451335.db2.gz RDNUCZWYGCOOOK-CQSZACIVSA-N 0 3 304.459 4.140 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1cc(O)ccc1F ZINC000872077048 1043451582 /nfs/dbraw/zinc/45/15/82/1043451582.db2.gz XTXJGRVWCUJGGK-HWWQOWPSSA-N 0 3 321.417 4.164 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000925685425 1043453518 /nfs/dbraw/zinc/45/35/18/1043453518.db2.gz MWLWKUMMPBFGEW-HUUCEWRRSA-N 0 3 312.457 4.283 20 0 DIADHN CCCCn1cc(CN[C@H](C)c2cc3ccccc3[nH]2)c(C)n1 ZINC000925691366 1043455184 /nfs/dbraw/zinc/45/51/84/1043455184.db2.gz LONGOYOQORWDFU-OAHLLOKOSA-N 0 3 310.445 4.324 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N[C@H](C)CN(C)Cc1ccccc1 ZINC001274637388 1043456376 /nfs/dbraw/zinc/45/63/76/1043456376.db2.gz WOSSYIVCAFREPE-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN O=C(Nc1ccc2ccoc2c1)c1cccc(CN2CCCC2)c1 ZINC000442937364 1043457244 /nfs/dbraw/zinc/45/72/44/1043457244.db2.gz VVIXWECNEFRZHJ-UHFFFAOYSA-N 0 3 320.392 4.281 20 0 DIADHN Cc1cc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)c(C)s1 ZINC000777572421 1043458780 /nfs/dbraw/zinc/45/87/80/1043458780.db2.gz JOYWNNIGEAXHPC-GFCCVEGCSA-N 0 3 312.442 4.001 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137056428 1043459025 /nfs/dbraw/zinc/45/90/25/1043459025.db2.gz USWNERVEVPTFRM-MRXNPFEDSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2nnc(-c3ccccc3)[nH]2)cc1C ZINC000777573610 1043461944 /nfs/dbraw/zinc/46/19/44/1043461944.db2.gz CJYYXXIGCAOZKD-MRXNPFEDSA-N 0 3 320.440 4.248 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@@H](NCc2ncc(Cl)s2)C1 ZINC000872114134 1043462709 /nfs/dbraw/zinc/46/27/09/1043462709.db2.gz VTHYKOICHNBQAP-QWHCGFSZSA-N 0 3 321.877 4.316 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3ncccc23)c(OC)c1 ZINC000872124828 1043471342 /nfs/dbraw/zinc/47/13/42/1043471342.db2.gz VBBWZEBUKSOJSH-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN COC1(CN[C@@H](C)c2ccccn2)CCC(c2ccccc2)CC1 ZINC000558253375 1043472874 /nfs/dbraw/zinc/47/28/74/1043472874.db2.gz AKDKGIYRBDREMH-OCDPCBSRSA-N 0 3 324.468 4.475 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000558254182 1043474239 /nfs/dbraw/zinc/47/42/39/1043474239.db2.gz AXGNTESZLJPHHN-DYVFJYSZSA-N 0 3 320.477 4.403 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccs1 ZINC000558255449 1043474467 /nfs/dbraw/zinc/47/44/67/1043474467.db2.gz GQKVCKVMQAXEPD-HOTGVXAUSA-N 0 3 306.450 4.231 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c(C)c1 ZINC000558260117 1043475625 /nfs/dbraw/zinc/47/56/25/1043475625.db2.gz XQLMCVZTIHDDKG-JXFKEZNVSA-N 0 3 314.448 4.396 20 0 DIADHN Fc1cccc(CNCCc2ccccc2C(F)(F)F)c1F ZINC000521814665 1043476696 /nfs/dbraw/zinc/47/66/96/1043476696.db2.gz NCHGRPPJQMLVQH-UHFFFAOYSA-N 0 3 315.285 4.316 20 0 DIADHN Fc1cccc(F)c1CNCCc1ccccc1C(F)(F)F ZINC000521814511 1043476848 /nfs/dbraw/zinc/47/68/48/1043476848.db2.gz JHXUCRVTMQDAMH-UHFFFAOYSA-N 0 3 315.285 4.316 20 0 DIADHN COC[C@H](NC1CCC(F)(F)CC1)c1cccc(Cl)c1F ZINC000558268276 1043477343 /nfs/dbraw/zinc/47/73/43/1043477343.db2.gz JTWCBHMBHQPPBO-ZDUSSCGKSA-N 0 3 321.770 4.334 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(C3CCCCC3)C2=O)s1 ZINC000443083861 1043480138 /nfs/dbraw/zinc/48/01/38/1043480138.db2.gz DSQMWQHJMOBWGJ-GOEBONIOSA-N 0 3 320.502 4.031 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCCc4[nH]c(=O)ccc43)oc2cc1C ZINC000348904049 1043480426 /nfs/dbraw/zinc/48/04/26/1043480426.db2.gz JXCSCFCMUDBJQD-QGZVFWFLSA-N 0 3 322.408 4.317 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccccc3)[nH]2)c2ccccc21 ZINC000777576630 1043482610 /nfs/dbraw/zinc/48/26/10/1043482610.db2.gz XFTDJAFKKBSSSW-RDTXWAMCSA-N 0 3 318.424 4.200 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccncc2)cc2cccnc12 ZINC000348934749 1043482822 /nfs/dbraw/zinc/48/28/22/1043482822.db2.gz NJRKHKFVPWMWRQ-IBGZPJMESA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000777578005 1043485155 /nfs/dbraw/zinc/48/51/55/1043485155.db2.gz GIVZZWHNKWMAQR-YOEHRIQHSA-N 0 3 318.424 4.118 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1nnc(-c2ccccc2)[nH]1 ZINC000777578005 1043485159 /nfs/dbraw/zinc/48/51/59/1043485159.db2.gz GIVZZWHNKWMAQR-YOEHRIQHSA-N 0 3 318.424 4.118 20 0 DIADHN Cc1cc(CNCc2ccc(OCC(C)C)cc2)ccc1F ZINC000521822749 1043487532 /nfs/dbraw/zinc/48/75/32/1043487532.db2.gz KXSHMWKBNGBNFJ-UHFFFAOYSA-N 0 3 301.405 4.459 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H](OC3CCC3)CC2)s1 ZINC000872261084 1043487839 /nfs/dbraw/zinc/48/78/39/1043487839.db2.gz ZJZLCCHERHHNKD-HNNXBMFYSA-N 0 3 322.518 4.364 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@@H](OC3CCC3)CC2)s1 ZINC000872262181 1043487996 /nfs/dbraw/zinc/48/79/96/1043487996.db2.gz SCRCXSVWCFYICF-MRXNPFEDSA-N 0 3 322.518 4.265 20 0 DIADHN Cc1ccc([C@H](NCc2nnc(-c3ccccc3)[nH]2)C2CC2)cc1 ZINC000777578988 1043489247 /nfs/dbraw/zinc/48/92/47/1043489247.db2.gz PHXXKJUGJSGCQP-IBGZPJMESA-N 0 3 318.424 4.021 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cnc(CC(C)C)s1 ZINC000349035650 1043489444 /nfs/dbraw/zinc/48/94/44/1043489444.db2.gz KWJUNRRHLXGMGQ-UHFFFAOYSA-N 0 3 317.502 4.191 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccc(Cl)cc1OC ZINC000521844158 1043490917 /nfs/dbraw/zinc/49/09/17/1043490917.db2.gz RXZKIHONZWVSAL-FNORWQNLSA-N 0 3 317.816 4.160 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](OC2CCC2)CC1 ZINC000872280799 1043491017 /nfs/dbraw/zinc/49/10/17/1043491017.db2.gz PLDAXQOKMGIYML-ZBFHGGJFSA-N 0 3 314.429 4.312 20 0 DIADHN CCc1ccc(CN2CCCCC[C@@H]2c2c(C)n[nH]c2C)cn1 ZINC000349123983 1043494491 /nfs/dbraw/zinc/49/44/91/1043494491.db2.gz ALGYOPBQQYSMRD-GOSISDBHSA-N 0 3 312.461 4.101 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc2ccccc2c1 ZINC000349274541 1043499789 /nfs/dbraw/zinc/49/97/89/1043499789.db2.gz GGEXOVLQOKLMIS-UHFFFAOYSA-N 0 3 320.436 4.274 20 0 DIADHN c1cc(N2CCCC2)oc1CN1CCC(Cc2ccccc2)CC1 ZINC001137059954 1043499776 /nfs/dbraw/zinc/49/97/76/1043499776.db2.gz GJHXNFIQHWMNPG-UHFFFAOYSA-N 0 3 324.468 4.335 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000349322111 1043501372 /nfs/dbraw/zinc/50/13/72/1043501372.db2.gz LTHVHACIHMNIBQ-HOCLYGCPSA-N 0 3 312.461 4.273 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137060142 1043501346 /nfs/dbraw/zinc/50/13/46/1043501346.db2.gz KGCRZLWKEOGALP-HNNXBMFYSA-N 0 3 322.383 4.012 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCOc3c(F)cccc31)[C@H](C)C2 ZINC000558404745 1043501448 /nfs/dbraw/zinc/50/14/48/1043501448.db2.gz GXSGJMDEXDCADT-ZNOIYHFQSA-N 0 3 311.400 4.481 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ccc(Cl)cn2)cc1 ZINC000558408410 1043503949 /nfs/dbraw/zinc/50/39/49/1043503949.db2.gz JEEKKRPKDLASHN-KRWDZBQOSA-N 0 3 304.796 4.081 20 0 DIADHN Oc1ccc(CN(Cc2cc(F)cc(F)c2)CC2CC2)cc1 ZINC000558419668 1043506066 /nfs/dbraw/zinc/50/60/66/1043506066.db2.gz UOFOSMXYZUEKDS-UHFFFAOYSA-N 0 3 303.352 4.083 20 0 DIADHN Cc1cc(NC(=O)c2cccc(CN(C)C)c2)cc(C)c1Cl ZINC000443173985 1043506100 /nfs/dbraw/zinc/50/61/00/1043506100.db2.gz FWKLVPNWHBCHMJ-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCCO3)s1 ZINC000349612301 1043513873 /nfs/dbraw/zinc/51/38/73/1043513873.db2.gz CJFRXRUCILMPMV-INIZCTEOSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1cc(F)cc(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)c1 ZINC000443225720 1043515715 /nfs/dbraw/zinc/51/57/15/1043515715.db2.gz ORLJIMWJSZJIMA-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000558478771 1043517579 /nfs/dbraw/zinc/51/75/79/1043517579.db2.gz ZTMOOFYQNUJFAI-KZNAEPCWSA-N 0 3 303.421 4.310 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cc3cnccc3o2)s1 ZINC000873153876 1043518717 /nfs/dbraw/zinc/51/87/17/1043518717.db2.gz KGOUOUUPZAHJMF-UHFFFAOYSA-N 0 3 300.427 4.477 20 0 DIADHN CC(C)[C@@H](NCc1cnc(C(C)(C)C)nc1)c1ccc(F)cc1 ZINC000323801254 1043520191 /nfs/dbraw/zinc/52/01/91/1043520191.db2.gz LELPSSDNXZPBHQ-QGZVFWFLSA-N 0 3 315.436 4.400 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1cccc2ncccc12 ZINC000873173776 1043520842 /nfs/dbraw/zinc/52/08/42/1043520842.db2.gz ZEBQMJQLOZRZKX-UHFFFAOYSA-N 0 3 322.456 4.093 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccccc2)o1 ZINC000349750133 1043520963 /nfs/dbraw/zinc/52/09/63/1043520963.db2.gz UUIYTLCWPDTUTE-QGZVFWFLSA-N 0 3 307.397 4.315 20 0 DIADHN CC(C)(C)c1ncc(CNCc2cccc3ncccc23)s1 ZINC000873174911 1043521511 /nfs/dbraw/zinc/52/15/11/1043521511.db2.gz YIYFAMDWRDQIQJ-UHFFFAOYSA-N 0 3 311.454 4.279 20 0 DIADHN O=c1[nH]c2cc(CNCc3ccc(C4CCC4)cc3)ccc2o1 ZINC000873173096 1043522070 /nfs/dbraw/zinc/52/20/70/1043522070.db2.gz MPDGTKABOATROX-UHFFFAOYSA-N 0 3 308.381 4.091 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2ccc3[nH]cnc3c2)c1 ZINC000873165006 1043522122 /nfs/dbraw/zinc/52/21/22/1043522122.db2.gz OYXHKIWJAVDQOU-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CCCCN(C(=O)c1cccc(CN2CCCC2)c1)[C@@H](C)CC ZINC000521996753 1043522612 /nfs/dbraw/zinc/52/26/12/1043522612.db2.gz RGACHWBQJQRMRM-KRWDZBQOSA-N 0 3 316.489 4.323 20 0 DIADHN CCOc1c(Cl)cc(CNC2(C)CC(F)(F)C2)cc1OC ZINC000873197868 1043526202 /nfs/dbraw/zinc/52/62/02/1043526202.db2.gz HALPNNAZQOMMOD-UHFFFAOYSA-N 0 3 319.779 4.025 20 0 DIADHN CC[C@H](C)Oc1cc(CNC2(C)CC(F)(F)C2)ccc1OC ZINC000873197805 1043527111 /nfs/dbraw/zinc/52/71/11/1043527111.db2.gz BSCYRDDXGXWALS-LBPRGKRZSA-N 0 3 313.388 4.150 20 0 DIADHN Cc1ccccc1CNCc1ccnc(O[C@H](C)CC(C)C)c1 ZINC000522061970 1043530705 /nfs/dbraw/zinc/53/07/05/1043530705.db2.gz DHTRTXUKCZAHQZ-QGZVFWFLSA-N 0 3 312.457 4.493 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(N2CCCC2)o1 ZINC001137069834 1043530745 /nfs/dbraw/zinc/53/07/45/1043530745.db2.gz PCPBSCSSEQOABB-IBGZPJMESA-N 0 3 310.441 4.389 20 0 DIADHN Nc1cc(F)cc(CN[C@@H]2CCSc3c(Cl)cccc32)c1 ZINC000873287919 1043531139 /nfs/dbraw/zinc/53/11/39/1043531139.db2.gz OJVWXUVUJYELBZ-OAHLLOKOSA-N 0 3 322.836 4.388 20 0 DIADHN Cc1ccc(CN[C@H]2CCc3cccc(C)c32)c(N2CCCC2)n1 ZINC000926119976 1043532814 /nfs/dbraw/zinc/53/28/14/1043532814.db2.gz CJDZVTHJEOHOQW-IBGZPJMESA-N 0 3 321.468 4.076 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@@H](C)C[C@H](C)O)c2cccnc2)cc1 ZINC000926131446 1043533016 /nfs/dbraw/zinc/53/30/16/1043533016.db2.gz QKOJYUSFGFLLJW-TWOQFEAHSA-N 0 3 312.457 4.043 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H](C)C[C@H](C)O)c2cccnc2)cc1 ZINC000926131437 1043533032 /nfs/dbraw/zinc/53/30/32/1043533032.db2.gz QKOJYUSFGFLLJW-FTRWYGJKSA-N 0 3 312.457 4.043 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CCO[C@H](C)C2)c2ccccn2)c1 ZINC000926133809 1043533993 /nfs/dbraw/zinc/53/39/93/1043533993.db2.gz FFJUMQKCQOCSME-LQWHRVPQSA-N 0 3 324.468 4.274 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cc(N)cc(F)c2)cc1Cl ZINC000873290815 1043533951 /nfs/dbraw/zinc/53/39/51/1043533951.db2.gz SLUCWDISOXGHPR-LLVKDONJSA-N 0 3 322.811 4.229 20 0 DIADHN Cc1ccc([C@@H](NCc2cc(N)cc(F)c2)C2CCCC2)o1 ZINC000873288549 1043534463 /nfs/dbraw/zinc/53/44/63/1043534463.db2.gz IEBOQLBMPQRKAD-SFHVURJKSA-N 0 3 302.393 4.330 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](C)c2nccs2)c2ccccn2)cc1 ZINC000926162454 1043536489 /nfs/dbraw/zinc/53/64/89/1043536489.db2.gz VMTDDTSMUWBNNY-QAPCUYQASA-N 0 3 323.465 4.329 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1ccns1 ZINC000926161023 1043537007 /nfs/dbraw/zinc/53/70/07/1043537007.db2.gz IIEOASZHPKCUJG-PGUXBMHVSA-N 0 3 310.850 4.223 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCO[C@H](C3CC3)C2)c2ccccn2)cc1 ZINC000926184011 1043539579 /nfs/dbraw/zinc/53/95/79/1043539579.db2.gz RCJXXJMHNKTXTQ-GIVPXCGWSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@H](c2ccccc2)C1)c1cc([O-])cc(F)c1 ZINC000926179850 1043540270 /nfs/dbraw/zinc/54/02/70/1043540270.db2.gz HCSXGMRBOMYOBU-IRWQIABSSA-N 0 3 315.388 4.102 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cccc(OC(F)F)c2)cc1 ZINC000522104456 1043541611 /nfs/dbraw/zinc/54/16/11/1043541611.db2.gz PRGSKHOWGHXJAM-UHFFFAOYSA-N 0 3 321.367 4.107 20 0 DIADHN CCCn1nc(C)c(CN(CC)Cc2c[nH]c3ccccc23)c1C ZINC000191189405 1043543816 /nfs/dbraw/zinc/54/38/16/1043543816.db2.gz FYWOFGULQYYWOO-UHFFFAOYSA-N 0 3 324.472 4.413 20 0 DIADHN CC(C)c1ncc(CN2CCC(Cc3cccnc3)CC2)s1 ZINC000350357769 1043544789 /nfs/dbraw/zinc/54/47/89/1043544789.db2.gz QCYYDEWAPLAHFP-UHFFFAOYSA-N 0 3 315.486 4.116 20 0 DIADHN CCC[C@H](NCc1ccnc(N2CCCC2)c1)c1ccsc1 ZINC000191197071 1043546560 /nfs/dbraw/zinc/54/65/60/1043546560.db2.gz KEPWKEQEBHRXNP-KRWDZBQOSA-N 0 3 315.486 4.374 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H](c1ccccc1)C(F)(F)F ZINC000926217239 1043548575 /nfs/dbraw/zinc/54/85/75/1043548575.db2.gz QOWICRNIWQRKHZ-QMTHXVAHSA-N 0 3 312.335 4.288 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(C)C[C@@H]1CCCCO1 ZINC000443419878 1043548975 /nfs/dbraw/zinc/54/89/75/1043548975.db2.gz SYSJMCGFDGVMFH-HNNXBMFYSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1sccc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC000350681014 1043549583 /nfs/dbraw/zinc/54/95/83/1043549583.db2.gz GTNQJWKVVXDEGU-GOSISDBHSA-N 0 3 301.455 4.238 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccnn1C1CCC1 ZINC000926238651 1043552384 /nfs/dbraw/zinc/55/23/84/1043552384.db2.gz XSDPVHXMBBMRRP-WCQYABFASA-N 0 3 303.372 4.236 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cnn(C2CCC2)c1)c1ccccc1 ZINC000926297337 1043559868 /nfs/dbraw/zinc/55/98/68/1043559868.db2.gz KAGZIYWTRVDARX-DNVCBOLYSA-N 0 3 313.445 4.037 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000926288933 1043559899 /nfs/dbraw/zinc/55/98/99/1043559899.db2.gz UKVNUWVQGYLINS-HNNXBMFYSA-N 0 3 312.461 4.281 20 0 DIADHN CC(C)c1ccc([C@@H](NCCC[C@@H](C)O)c2cccnc2)cc1 ZINC000926316288 1043562081 /nfs/dbraw/zinc/56/20/81/1043562081.db2.gz UWNGILNNOPUNEG-OXQOHEQNSA-N 0 3 312.457 4.045 20 0 DIADHN Clc1ccc([C@@H](NC[C@@H]2CCSC2)c2ccccn2)cc1 ZINC000926324169 1043563199 /nfs/dbraw/zinc/56/31/99/1043563199.db2.gz SLXHRRMXXFOHFB-SUMWQHHRSA-N 0 3 318.873 4.167 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1cc(F)cc(F)c1 ZINC000474065295 1043563820 /nfs/dbraw/zinc/56/38/20/1043563820.db2.gz LHSAOQJSWKDWFP-GOSISDBHSA-N 0 3 317.379 4.486 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000926331142 1043564404 /nfs/dbraw/zinc/56/44/04/1043564404.db2.gz FMJLXGSBPQPKTK-XIRDDKMYSA-N 0 3 314.404 4.425 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)c1cnn(C2CCC2)c1 ZINC000926331532 1043564438 /nfs/dbraw/zinc/56/44/38/1043564438.db2.gz GDRBAHSUJMDZRB-AWEZNQCLSA-N 0 3 306.413 4.007 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccc(F)cc21)c1cnn(C2CCC2)c1 ZINC000926336094 1043565729 /nfs/dbraw/zinc/56/57/29/1043565729.db2.gz XHZDDNFKEBFDMZ-DJJJIMSYSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000926339197 1043566417 /nfs/dbraw/zinc/56/64/17/1043566417.db2.gz DDJAMVCSUUYSFR-UAGQMJEPSA-N 0 3 314.404 4.425 20 0 DIADHN CC(C)c1ccc([C@H](NCCC2(O)CCC2)c2cccnc2)cc1 ZINC000926379069 1043571040 /nfs/dbraw/zinc/57/10/40/1043571040.db2.gz MWLWIYAAPQIYMS-FQEVSTJZSA-N 0 3 324.468 4.189 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2CCCSC2)c2ccccn2)cc1 ZINC000926431698 1043576119 /nfs/dbraw/zinc/57/61/19/1043576119.db2.gz QSZCNKAAKUKZDW-QFBILLFUSA-N 0 3 312.482 4.212 20 0 DIADHN Cc1ccc([C@@H](NCCSC(C)C)c2cccnc2)cc1 ZINC000926424507 1043576372 /nfs/dbraw/zinc/57/63/72/1043576372.db2.gz ZTBNAUZGLVJIKL-GOSISDBHSA-N 0 3 300.471 4.211 20 0 DIADHN CC[C@H]1CN(Cc2ccc(-c3ccc(F)cc3)o2)CCS1 ZINC001204768087 1043578359 /nfs/dbraw/zinc/57/83/59/1043578359.db2.gz HBQHOFPDUNSVSQ-INIZCTEOSA-N 0 3 305.418 4.413 20 0 DIADHN COCC(C)(C)CN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926471060 1043581866 /nfs/dbraw/zinc/58/18/66/1043581866.db2.gz YQAQGQNGMBFORC-QGZVFWFLSA-N 0 3 318.848 4.087 20 0 DIADHN C[C@H]1CN(Cc2ccc(C(=O)OC(C)(C)C)s2)CC[C@@H]1C ZINC001204768632 1043582236 /nfs/dbraw/zinc/58/22/36/1043582236.db2.gz LQCXJUMRKUUEJA-STQMWFEESA-N 0 3 309.475 4.181 20 0 DIADHN COCC(C)(C)CN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926469785 1043582113 /nfs/dbraw/zinc/58/21/13/1043582113.db2.gz QPZNTXAMHSLOAL-KRWDZBQOSA-N 0 3 318.848 4.087 20 0 DIADHN CCc1ccc([C@@H](NCCC(C)(F)F)c2cccnc2)cc1 ZINC000926494814 1043586748 /nfs/dbraw/zinc/58/67/48/1043586748.db2.gz KFPVKHUWWUNVAH-QGZVFWFLSA-N 0 3 304.384 4.368 20 0 DIADHN c1c(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)nc2ccccn12 ZINC000558742536 1043586776 /nfs/dbraw/zinc/58/67/76/1043586776.db2.gz YZXAHPVUAVAATB-FNLKRUPLSA-N 0 3 317.436 4.245 20 0 DIADHN CO[C@H](CN1CC[C@@H](C(F)(F)F)[C@H]1C)c1ccc(Cl)cc1 ZINC000558743012 1043587327 /nfs/dbraw/zinc/58/73/27/1043587327.db2.gz SKVNHMVIIWSTOB-LERXQTSPSA-N 0 3 321.770 4.300 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@@H]2CCC[C@@H]2c2ccccc2)nn1 ZINC000926499761 1043588283 /nfs/dbraw/zinc/58/82/83/1043588283.db2.gz CCGDYDGJDFMKJD-RYQLBKOJSA-N 0 3 312.461 4.094 20 0 DIADHN Clc1ccccc1C[C@@H](NCC1=CCOCC1)c1ccoc1 ZINC000926505911 1043588477 /nfs/dbraw/zinc/58/84/77/1043588477.db2.gz LJHXYDRWRVFFOP-GOSISDBHSA-N 0 3 317.816 4.153 20 0 DIADHN CC(C)c1ccc([C@@H](NCC2=CCOCC2)c2cccnc2)cc1 ZINC000926502004 1043588932 /nfs/dbraw/zinc/58/89/32/1043588932.db2.gz BPOXGSLFSRBXRQ-OAQYLSRUSA-N 0 3 322.452 4.231 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1sc(C)nc1C ZINC000353254019 1043595231 /nfs/dbraw/zinc/59/52/31/1043595231.db2.gz WGYWWRHYKRUOME-INIZCTEOSA-N 0 3 316.470 4.281 20 0 DIADHN CCSCCCNCc1ccc(Sc2ccccn2)o1 ZINC000353344841 1043600473 /nfs/dbraw/zinc/60/04/73/1043600473.db2.gz ADEWAXOWBJTMCK-UHFFFAOYSA-N 0 3 308.472 4.059 20 0 DIADHN Cc1ccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000558792022 1043602260 /nfs/dbraw/zinc/60/22/60/1043602260.db2.gz WSYKYGPWVFQWCL-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN C[C@@H]1CC(CCNCc2ncc(C(C)(C)C)s2)C[C@@H](C)O1 ZINC000558789370 1043603040 /nfs/dbraw/zinc/60/30/40/1043603040.db2.gz GWKGDTOCIAVXJP-CHWSQXEVSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@H](O)[C@@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000558800758 1043604895 /nfs/dbraw/zinc/60/48/95/1043604895.db2.gz NLBXPMNKXJUABU-QWHCGFSZSA-N 0 3 316.272 4.019 20 0 DIADHN Cc1ccc([C@H](NC[C@H](C)CC(F)F)c2ccccn2)cc1 ZINC000926625735 1043608803 /nfs/dbraw/zinc/60/88/03/1043608803.db2.gz DTBUOLAMSZQQDN-KDOFPFPSSA-N 0 3 304.384 4.360 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc3c(F)cccc3c2)cc1 ZINC001648959985 1043612035 /nfs/dbraw/zinc/61/20/35/1043612035.db2.gz IDUZEHXRQOPSAN-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1cc(-c2ccccc2)no1 ZINC000926668401 1043614717 /nfs/dbraw/zinc/61/47/17/1043614717.db2.gz HURVETHINCEXAS-LSDHHAIUSA-N 0 3 307.397 4.018 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1cc(-c2ccccc2)no1 ZINC000926668398 1043614965 /nfs/dbraw/zinc/61/49/65/1043614965.db2.gz HURVETHINCEXAS-CABCVRRESA-N 0 3 307.397 4.018 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H](C)C2(CO)CC2)c2cccnc2)cc1 ZINC000926691730 1043616127 /nfs/dbraw/zinc/61/61/27/1043616127.db2.gz JQPWTISEHMKPTP-UZLBHIALSA-N 0 3 324.468 4.045 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cccc3cc[nH]c31)CCC2 ZINC000353561642 1043618558 /nfs/dbraw/zinc/61/85/58/1043618558.db2.gz JRTZAKUMHKKVIV-INIZCTEOSA-N 0 3 311.454 4.442 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c(C)s1 ZINC000443611002 1043618482 /nfs/dbraw/zinc/61/84/82/1043618482.db2.gz SMNIRZZQAUMFLM-AWEZNQCLSA-N 0 3 306.862 4.403 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1csc(C(F)(F)F)c1 ZINC000926696969 1043618784 /nfs/dbraw/zinc/61/87/84/1043618784.db2.gz VWGTWISRRCCNRB-KOLCDFICSA-N 0 3 305.365 4.129 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1csc2ccccc12 ZINC000926704730 1043620693 /nfs/dbraw/zinc/62/06/93/1043620693.db2.gz ZWCLCMFUTHWKQP-LBPRGKRZSA-N 0 3 311.454 4.363 20 0 DIADHN Cc1ccc([C@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926705554 1043620998 /nfs/dbraw/zinc/62/09/98/1043620998.db2.gz OWDJLVCSHHBPLS-DLBZAZTESA-N 0 3 302.368 4.114 20 0 DIADHN Fc1cccc([C@@H]2CCCN2Cc2ccc3nccnc3c2)c1 ZINC001137088716 1043622604 /nfs/dbraw/zinc/62/26/04/1043622604.db2.gz MTUJCJPYJGWYOV-IBGZPJMESA-N 0 3 307.372 4.106 20 0 DIADHN CCOC(CN[C@H](C)c1ccc(SC(C)C)cc1)OCC ZINC000125440751 1043626829 /nfs/dbraw/zinc/62/68/29/1043626829.db2.gz JUBOZHXGFWALRA-CQSZACIVSA-N 0 3 311.491 4.237 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3c(F)cccc3F)n2)C(C)(C)C1 ZINC000443624687 1043627332 /nfs/dbraw/zinc/62/73/32/1043627332.db2.gz JBJZRBSAUSZVPS-LLVKDONJSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2ccc3ccccc3c2)c2ncnn21 ZINC000926771636 1043630102 /nfs/dbraw/zinc/63/01/02/1043630102.db2.gz UTZWMEDPJKZMNG-RDTXWAMCSA-N 0 3 320.440 4.005 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CCSC2)c2ccccn2)c1 ZINC000926779331 1043631966 /nfs/dbraw/zinc/63/19/66/1043631966.db2.gz QSWQRUXHDJGLMO-LPHOPBHVSA-N 0 3 312.482 4.212 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H]2CCSC2)c2ccccn2)c1 ZINC000926779333 1043632198 /nfs/dbraw/zinc/63/21/98/1043632198.db2.gz QSWQRUXHDJGLMO-VQIMIIECSA-N 0 3 312.482 4.212 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CCC(C)C)c2ccoc2)[C@@]12CCCO2 ZINC000353690050 1043634368 /nfs/dbraw/zinc/63/43/68/1043634368.db2.gz RCXFDMBDXRHFMK-HCXYKTFWSA-N 0 3 321.461 4.073 20 0 DIADHN Cc1ccc([C@H](NCC[C@H](O)C2CCCC2)c2cccnc2)cc1 ZINC000926817286 1043638629 /nfs/dbraw/zinc/63/86/29/1043638629.db2.gz RYXJACLUONZQSU-SFTDATJTSA-N 0 3 324.468 4.010 20 0 DIADHN CC(C)c1ccc(CN[C@H](CC(=O)N(C)C)c2ccccc2)cc1 ZINC000353755586 1043641433 /nfs/dbraw/zinc/64/14/33/1043641433.db2.gz STAKBBKBUJXUOV-HXUWFJFHSA-N 0 3 324.468 4.119 20 0 DIADHN CC(C)c1ccc(CN[C@@H](CC(=O)N(C)C)c2ccccc2)cc1 ZINC000353755578 1043641895 /nfs/dbraw/zinc/64/18/95/1043641895.db2.gz STAKBBKBUJXUOV-FQEVSTJZSA-N 0 3 324.468 4.119 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H](C)c1cc[nH]c(=O)c1 ZINC000926844364 1043642667 /nfs/dbraw/zinc/64/26/67/1043642667.db2.gz KFAPJZPJSSXWLJ-LBPRGKRZSA-N 0 3 320.820 4.079 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1c(C)nn(-c2ccccc2)c1C ZINC000353794053 1043644231 /nfs/dbraw/zinc/64/42/31/1043644231.db2.gz KPURCSGYORABGP-CQSZACIVSA-N 0 3 317.502 4.063 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CC3(CCC3)CO2)c2cccnc2)cc1 ZINC000926871060 1043646547 /nfs/dbraw/zinc/64/65/47/1043646547.db2.gz JQPKAHCGLCTZJM-PMACEKPBSA-N 0 3 322.452 4.028 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000926897423 1043650476 /nfs/dbraw/zinc/65/04/76/1043650476.db2.gz JAFKEONLPOCYSK-SOHPYSCWSA-N 0 3 319.445 4.283 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000926894714 1043650707 /nfs/dbraw/zinc/65/07/07/1043650707.db2.gz PHSAINGVNKWYKJ-KUHUBIRLSA-N 0 3 310.441 4.111 20 0 DIADHN CN(C)C[C@@H](N[C@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000558967697 1043652326 /nfs/dbraw/zinc/65/23/26/1043652326.db2.gz ABYUIUVNJAUNRO-RBUKOAKNSA-N 0 3 314.860 4.220 20 0 DIADHN CN(C)C[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000558967698 1043652528 /nfs/dbraw/zinc/65/25/28/1043652528.db2.gz ABYUIUVNJAUNRO-RTBURBONSA-N 0 3 314.860 4.220 20 0 DIADHN CCC[C@H](NC[C@@H]1CCC[C@H](C(F)(F)F)O1)c1ccccn1 ZINC000926914325 1043654326 /nfs/dbraw/zinc/65/43/26/1043654326.db2.gz HPNQKTAHFYBPOY-KCQAQPDRSA-N 0 3 316.367 4.012 20 0 DIADHN C[C@@H](NCc1cccc2c1NCCC2)c1ccc2c(c1)CCCO2 ZINC000895360799 1043654440 /nfs/dbraw/zinc/65/44/40/1043654440.db2.gz UXYJITIEGVNGJM-OAHLLOKOSA-N 0 3 322.452 4.221 20 0 DIADHN C[C@H](CCCO)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000094941909 1043657275 /nfs/dbraw/zinc/65/72/75/1043657275.db2.gz COTWTFRAKSDRKW-BDAKNGLRSA-N 0 3 310.652 4.458 20 0 DIADHN CC(C)CC[C@@H](NCc1ncc(-c2ccccc2)cn1)C1CC1 ZINC000558976174 1043658063 /nfs/dbraw/zinc/65/80/63/1043658063.db2.gz MLEHFOGBZFUEGH-LJQANCHMSA-N 0 3 309.457 4.448 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H](C)c1cn(C)nc1C ZINC000926937077 1043659327 /nfs/dbraw/zinc/65/93/27/1043659327.db2.gz JWFQOHAXNKIHDL-CQSZACIVSA-N 0 3 307.441 4.295 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OC)cc2OC)s1 ZINC000051717492 1043660298 /nfs/dbraw/zinc/66/02/98/1043660298.db2.gz IZVMPDHNMCPZHY-LBPRGKRZSA-N 0 3 305.443 4.179 20 0 DIADHN Cc1cccc([C@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccn2)c1 ZINC000926945237 1043661264 /nfs/dbraw/zinc/66/12/64/1043661264.db2.gz MYRWLQBRRVZYAG-UXLLHSPISA-N 0 3 320.358 4.267 20 0 DIADHN C[C@@H](c1ccc2c(c1)CCC2)N(C)Cc1ccc(Cl)nc1 ZINC000777612351 1043662016 /nfs/dbraw/zinc/66/20/16/1043662016.db2.gz VLRKCLLDGREDHA-ZDUSSCGKSA-N 0 3 300.833 4.417 20 0 DIADHN CC[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000166648702 1043662597 /nfs/dbraw/zinc/66/25/97/1043662597.db2.gz QBPJAIWJBZGBMQ-FGTMMUONSA-N 0 3 301.817 4.039 20 0 DIADHN Fc1ccc(-n2nccc2CN[C@H]2CCCc3ccccc32)cc1 ZINC000353943343 1043665015 /nfs/dbraw/zinc/66/50/15/1043665015.db2.gz IAVNLVQJRINYGE-FQEVSTJZSA-N 0 3 321.399 4.179 20 0 DIADHN COC[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1ccccc1 ZINC000926974869 1043665281 /nfs/dbraw/zinc/66/52/81/1043665281.db2.gz WWKZSHOOSKJIME-FGTMMUONSA-N 0 3 317.379 4.013 20 0 DIADHN COC[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1ccccc1 ZINC000926974870 1043665793 /nfs/dbraw/zinc/66/57/93/1043665793.db2.gz WWKZSHOOSKJIME-KZNAEPCWSA-N 0 3 317.379 4.013 20 0 DIADHN CC(C)C[C@@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000353971472 1043666162 /nfs/dbraw/zinc/66/61/62/1043666162.db2.gz KIAHLORAGAFNBY-GOEBONIOSA-N 0 3 308.491 4.253 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](C)[C@H]2c2cccc(F)c2)s1 ZINC000353988896 1043668462 /nfs/dbraw/zinc/66/84/62/1043668462.db2.gz YNFGIFOKIMHSPN-GTNSWQLSSA-N 0 3 304.434 4.482 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@H](C)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000927028730 1043668644 /nfs/dbraw/zinc/66/86/44/1043668644.db2.gz NFOPONHDCCEBLA-IJEWVQPXSA-N 0 3 315.486 4.022 20 0 DIADHN Cc1ccc([C@H](NC[C@]2(C)CCCCO2)c2cccnc2)cc1 ZINC000927017839 1043668773 /nfs/dbraw/zinc/66/87/73/1043668773.db2.gz ZYQGMKHYIWCHKW-PMACEKPBSA-N 0 3 310.441 4.028 20 0 DIADHN CCCCOC1CCN(Cc2ccc(F)c3cccnc23)CC1 ZINC000353985603 1043669086 /nfs/dbraw/zinc/66/90/86/1043669086.db2.gz DFEWTIWCDACFIL-UHFFFAOYSA-N 0 3 316.420 4.155 20 0 DIADHN C[C@H](N[C@H]1CCSc2ccccc21)c1ccc2n[nH]cc2c1 ZINC000353980264 1043670097 /nfs/dbraw/zinc/67/00/97/1043670097.db2.gz UEHAGOKQAFBFAU-SJCJKPOMSA-N 0 3 309.438 4.451 20 0 DIADHN Clc1cccc2c1[C@H](N[C@H]1CCSC3(CCC3)C1)COC2 ZINC000927037963 1043671796 /nfs/dbraw/zinc/67/17/96/1043671796.db2.gz OCNXNPFDMMXOCQ-DZGCQCFKSA-N 0 3 323.889 4.319 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000354025659 1043674526 /nfs/dbraw/zinc/67/45/26/1043674526.db2.gz HMOHVAKKDRZYKU-HOCLYGCPSA-N 0 3 308.491 4.395 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000354026766 1043674761 /nfs/dbraw/zinc/67/47/61/1043674761.db2.gz YVGBYXVWHJGKKX-OAHLLOKOSA-N 0 3 316.474 4.001 20 0 DIADHN FC1(F)C[C@@]2(CCN(c3ccnc4ccccc43)C2)C1(F)F ZINC000895392330 1043674694 /nfs/dbraw/zinc/67/46/94/1043674694.db2.gz RRBRGCLFWLIIQX-CQSZACIVSA-N 0 3 310.294 4.106 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nc4c(s3)CCCC4)C2)o1 ZINC000354054315 1043676764 /nfs/dbraw/zinc/67/67/64/1043676764.db2.gz SAHWFYMTDJOAQF-CQSZACIVSA-N 0 3 316.470 4.303 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065418 1043679482 /nfs/dbraw/zinc/67/94/82/1043679482.db2.gz HAXWPZVGAWDPMG-DYVFJYSZSA-N 0 3 303.475 4.190 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccns2)C2CCC2)cc1 ZINC000927066799 1043681315 /nfs/dbraw/zinc/68/13/15/1043681315.db2.gz OFYXVOPGHAHTAY-SJCJKPOMSA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)(C(=O)N1CCCC2(C1)CCCCCC2)N1CCCCC1 ZINC001137106251 1043681489 /nfs/dbraw/zinc/68/14/89/1043681489.db2.gz ZLXPXMUFHWUENP-UHFFFAOYSA-N 0 3 320.521 4.214 20 0 DIADHN CC(C)[C@H](N[C@H]1CCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000927067290 1043681504 /nfs/dbraw/zinc/68/15/04/1043681504.db2.gz FNQQWXHVMLVOQZ-IRXDYDNUSA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccc(Cl)c(F)c1)c1cnn(C)c1 ZINC000927067254 1043681636 /nfs/dbraw/zinc/68/16/36/1043681636.db2.gz DYIBSWDJPUHFTC-ZBEGNZNMSA-N 0 3 309.816 4.261 20 0 DIADHN CC(C)[C@H](N[C@@H]1CC(C)(C)Cc2occc21)c1cnn(C)c1 ZINC000927069638 1043683045 /nfs/dbraw/zinc/68/30/45/1043683045.db2.gz LWTAFRLDXYRFLP-WBVHZDCISA-N 0 3 301.434 4.014 20 0 DIADHN CC(C)[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000777614968 1043685026 /nfs/dbraw/zinc/68/50/26/1043685026.db2.gz NQVOITNVLIDVCX-NRFANRHFSA-N 0 3 323.484 4.248 20 0 DIADHN OCCC[C@H](N[C@@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000925128263 1043686933 /nfs/dbraw/zinc/68/69/33/1043686933.db2.gz XVKSHRDQDMIJDG-AZUAARDMSA-N 0 3 310.441 4.026 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cc(C3CC3)nn2C)c2ccccc21 ZINC000927100023 1043686989 /nfs/dbraw/zinc/68/69/89/1043686989.db2.gz FQRLMXZZDMSTQM-ICSRJNTNSA-N 0 3 309.457 4.272 20 0 DIADHN Clc1nccc2cc(CN3CC[C@H]4CSC[C@H]4C3)sc21 ZINC000895460926 1043688597 /nfs/dbraw/zinc/68/85/97/1043688597.db2.gz IOANBFQSOVYHAP-NWDGAFQWSA-N 0 3 324.902 4.135 20 0 DIADHN COc1ccccc1CN1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000559080679 1043690418 /nfs/dbraw/zinc/69/04/18/1043690418.db2.gz KRQYBCUXNBBYCJ-HNNXBMFYSA-N 0 3 313.363 4.250 20 0 DIADHN C[C@@H](NCC[C@H]1OCCc2ccccc21)c1cccnc1Cl ZINC000927117829 1043692514 /nfs/dbraw/zinc/69/25/14/1043692514.db2.gz XLVXELARBUIDCH-CXAGYDPISA-N 0 3 316.832 4.090 20 0 DIADHN C[C@H]1CCN(Cc2cnn(C)c2)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000354319610 1043693849 /nfs/dbraw/zinc/69/38/49/1043693849.db2.gz QUNOZMFFLBDQOX-BLLLJJGKSA-N 0 3 323.362 4.022 20 0 DIADHN CC(C)P(CCNC(=S)NC1CCCCC1)C(C)C ZINC001200657107 1043694083 /nfs/dbraw/zinc/69/40/83/1043694083.db2.gz TZLIOUACLOZHHU-UHFFFAOYSA-N 0 3 302.468 4.082 20 0 DIADHN CCc1onc(C)c1CN[C@H]1CCc2cccc3cccc1c32 ZINC000927130789 1043694285 /nfs/dbraw/zinc/69/42/85/1043694285.db2.gz GGKNZKBDHWXTLG-SFHVURJKSA-N 0 3 306.409 4.476 20 0 DIADHN CCc1onc(C)c1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000927130785 1043694757 /nfs/dbraw/zinc/69/47/57/1043694757.db2.gz GGKNZKBDHWXTLG-GOSISDBHSA-N 0 3 306.409 4.476 20 0 DIADHN Fc1ccc(C2CCN(C[C@@H]3CC3(Cl)Cl)CC2)cc1F ZINC000729543391 1043696525 /nfs/dbraw/zinc/69/65/25/1043696525.db2.gz SZHRCNZUPUGJMF-LBPRGKRZSA-N 0 3 320.210 4.338 20 0 DIADHN Clc1ccc(OCCN2CCC[C@@H](c3nccs3)C2)cc1 ZINC000354387142 1043698401 /nfs/dbraw/zinc/69/84/01/1043698401.db2.gz MZEXAWNCQAKUAV-CYBMUJFWSA-N 0 3 322.861 4.055 20 0 DIADHN Cc1cccc([C@@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c1C ZINC000777615735 1043701145 /nfs/dbraw/zinc/70/11/45/1043701145.db2.gz VQBCETHDNUJQIO-GOSISDBHSA-N 0 3 323.484 4.228 20 0 DIADHN O=c1[nH]ccc2oc(CN(Cc3ccccc3)CC3CCC3)cc21 ZINC000876639508 1043701891 /nfs/dbraw/zinc/70/18/91/1043701891.db2.gz GLWMPRKVEBMKPM-UHFFFAOYSA-N 0 3 322.408 4.336 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2CCOCC(F)(F)F)c1 ZINC000474227194 1043704589 /nfs/dbraw/zinc/70/45/89/1043704589.db2.gz CDVWQACPRRCPHK-OAHLLOKOSA-N 0 3 301.352 4.019 20 0 DIADHN Clc1nccc2oc(CN3CC[C@@H](c4ccco4)C3)cc21 ZINC000876724433 1043705101 /nfs/dbraw/zinc/70/51/01/1043705101.db2.gz XBTGLWYIDIOIKF-LLVKDONJSA-N 0 3 302.761 4.064 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000876696226 1043705796 /nfs/dbraw/zinc/70/57/96/1043705796.db2.gz QROUZPVCTPGWHA-UHFFFAOYSA-N 0 3 322.408 4.087 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000354524594 1043706404 /nfs/dbraw/zinc/70/64/04/1043706404.db2.gz XRJKEUFYLUDVLF-UHFFFAOYSA-N 0 3 314.429 4.334 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2cc3c(cc[nH]c3=O)o2)cc1 ZINC000876769893 1043711346 /nfs/dbraw/zinc/71/13/46/1043711346.db2.gz CHWZEMTWRZQFHA-UHFFFAOYSA-N 0 3 324.424 4.251 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC1(CO)CCC1 ZINC000354663055 1043711819 /nfs/dbraw/zinc/71/18/19/1043711819.db2.gz NLRAQIJBLVKJKW-UHFFFAOYSA-N 0 3 313.894 4.093 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@@H](C)c2cccnc2Cl)cc1 ZINC000126181439 1043714026 /nfs/dbraw/zinc/71/40/26/1043714026.db2.gz CBTCXGFYVUGWPD-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN CSC(C)(C)CCN[C@H](c1ccccc1)c1ccccn1 ZINC000876892966 1043722003 /nfs/dbraw/zinc/72/20/03/1043722003.db2.gz MUGKKXXUVKMQIO-QGZVFWFLSA-N 0 3 300.471 4.292 20 0 DIADHN Cc1nc(CCN2[C@H](C)Cc3cc(F)ccc3[C@H]2C)cs1 ZINC000354830179 1043722377 /nfs/dbraw/zinc/72/23/77/1043722377.db2.gz JRIVFZYZRMLUQB-VXGBXAGGSA-N 0 3 304.434 4.141 20 0 DIADHN ClC1(Cl)C[C@@H]1CNCc1ccnc(Oc2ccccc2)c1 ZINC000876925432 1043723811 /nfs/dbraw/zinc/72/38/11/1043723811.db2.gz FSWIISCRJFKSON-CYBMUJFWSA-N 0 3 323.223 4.157 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC[C@@H]1CC1(Cl)Cl)CCS2 ZINC000876908396 1043724174 /nfs/dbraw/zinc/72/41/74/1043724174.db2.gz NNPBSXQPOAURHN-KWQFWETISA-N 0 3 306.233 4.146 20 0 DIADHN Clc1csc(CNC[C@H]2CCCO[C@@H]2c2ccccc2)n1 ZINC000876967187 1043728348 /nfs/dbraw/zinc/72/83/48/1043728348.db2.gz CVNFQVCDGHUIID-CZUORRHYSA-N 0 3 322.861 4.054 20 0 DIADHN Clc1cnc2oc(CN3CCC4(CCCCO4)CC3)cc2c1 ZINC000895653308 1043729142 /nfs/dbraw/zinc/72/91/42/1043729142.db2.gz FACKZMUPHBPAGJ-UHFFFAOYSA-N 0 3 320.820 4.016 20 0 DIADHN CCO[P@@](C)(=O)CC[C@H](C)NCc1c(F)cccc1Cl ZINC000876987600 1043731059 /nfs/dbraw/zinc/73/10/59/1043731059.db2.gz CBSSMGYTZDYPMM-PRWKNARSSA-N 0 3 321.760 4.292 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3cccnc3c2)cn1 ZINC000895739216 1043741193 /nfs/dbraw/zinc/74/11/93/1043741193.db2.gz YAAXMWBKACRDPB-UHFFFAOYSA-N 0 3 305.425 4.118 20 0 DIADHN Cc1cnc([C@@H](C)N[C@H](C)CCOCc2ccccc2)s1 ZINC000877080271 1043742912 /nfs/dbraw/zinc/74/29/12/1043742912.db2.gz AESNYNWRZBUHNA-UKRRQHHQSA-N 0 3 304.459 4.098 20 0 DIADHN ClC1(Cl)C[C@@H]1CN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000877094064 1043743265 /nfs/dbraw/zinc/74/32/65/1043743265.db2.gz GJODBJWLHMSDSC-DGCLKSJQSA-N 0 3 312.240 4.216 20 0 DIADHN Cc1cnc([C@H](C)N[C@@H](C)CCOCc2ccccc2)s1 ZINC000877080272 1043743307 /nfs/dbraw/zinc/74/33/07/1043743307.db2.gz AESNYNWRZBUHNA-ZFWWWQNUSA-N 0 3 304.459 4.098 20 0 DIADHN CC(C)[C@](C)(Cc1ccccc1)NCc1nnc(C2CC2)s1 ZINC000895759191 1043748232 /nfs/dbraw/zinc/74/82/32/1043748232.db2.gz YTVSDVQBKCPUFL-SFHVURJKSA-N 0 3 315.486 4.163 20 0 DIADHN COc1cc(CN2CCCC3(CCC3)C2)c(SC)cc1OC ZINC000355223948 1043753155 /nfs/dbraw/zinc/75/31/55/1043753155.db2.gz QBCIIDXMOBBRRU-UHFFFAOYSA-N 0 3 321.486 4.192 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCCC4(CCC4)C3)s2)o1 ZINC000355211151 1043753663 /nfs/dbraw/zinc/75/36/63/1043753663.db2.gz BHPHLNPJRMBCQP-UHFFFAOYSA-N 0 3 302.443 4.478 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN(CCCCOC(C)(C)C)CC1 ZINC000355269388 1043754325 /nfs/dbraw/zinc/75/43/25/1043754325.db2.gz CGDOQJBPUXLHHS-INIZCTEOSA-N 0 3 324.534 4.022 20 0 DIADHN CCc1nc([C@@H](C)NCC[C@H](C)OCc2ccccc2)cs1 ZINC000355260298 1043755366 /nfs/dbraw/zinc/75/53/66/1043755366.db2.gz OBZDHESSURANIB-LSDHHAIUSA-N 0 3 318.486 4.352 20 0 DIADHN Cc1cnc(C(C)(C)NCC[C@H](C)OCc2ccccc2)s1 ZINC000355297638 1043760529 /nfs/dbraw/zinc/76/05/29/1043760529.db2.gz VBPRECKXTYDMTB-AWEZNQCLSA-N 0 3 318.486 4.272 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000474396281 1043763422 /nfs/dbraw/zinc/76/34/22/1043763422.db2.gz YJGRSEPAOIRSRG-CRAIPNDOSA-N 0 3 322.518 4.218 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cc2c(ccnc2Cl)o1 ZINC000877459657 1043763590 /nfs/dbraw/zinc/76/35/90/1043763590.db2.gz IWHVUFXWCCQGIF-UHFFFAOYSA-N 0 3 306.715 4.302 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCOCc2ccccc2)o1 ZINC000877547952 1043771885 /nfs/dbraw/zinc/77/18/85/1043771885.db2.gz OZTXPYBOWOPRJB-OAHLLOKOSA-N 0 3 316.445 4.021 20 0 DIADHN Fc1ccc2occ(CN3CC[C@H](Oc4ccccc4)C3)c2c1 ZINC001141852708 1043778816 /nfs/dbraw/zinc/77/88/16/1043778816.db2.gz OKMSEVLGFMGUTM-KRWDZBQOSA-N 0 3 311.356 4.225 20 0 DIADHN Clc1nccc2oc(CNC[C@H]3CCC4(CCCC4)O3)cc21 ZINC000877620801 1043781978 /nfs/dbraw/zinc/78/19/78/1043781978.db2.gz JJTCDNQYVUCWDB-GFCCVEGCSA-N 0 3 320.820 4.063 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@@H]1CC1(Cl)Cl ZINC000877663508 1043786605 /nfs/dbraw/zinc/78/66/05/1043786605.db2.gz SLLLGPBHVWHWHX-ZETCQYMHSA-N 0 3 304.164 4.050 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777735380 1043786870 /nfs/dbraw/zinc/78/68/70/1043786870.db2.gz JKTINOQGWMGSLZ-ACJLOTCBSA-N 0 3 319.807 4.134 20 0 DIADHN CC(C)Cc1ccc(CNCc2cccc3ncccc23)cn1 ZINC000895937093 1043788748 /nfs/dbraw/zinc/78/87/48/1043788748.db2.gz YZNUPUWMNMFIHG-UHFFFAOYSA-N 0 3 305.425 4.118 20 0 DIADHN Cc1nc(C)c(CNCC2(c3cccc(Cl)c3)CCC2)o1 ZINC000877728922 1043789659 /nfs/dbraw/zinc/78/96/59/1043789659.db2.gz VNMWSJDFRLJDAA-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN Cc1cccc(CN2CCC(c3nc(C4CCC4)no3)CC2)c1 ZINC000877735661 1043793610 /nfs/dbraw/zinc/79/36/10/1043793610.db2.gz BWSQNHDVJFYCBQ-UHFFFAOYSA-N 0 3 311.429 4.025 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3ccccc3OC(F)F)C2)n1 ZINC000877814142 1043806216 /nfs/dbraw/zinc/80/62/16/1043806216.db2.gz UKLIEBUCQNBPBL-CYBMUJFWSA-N 0 3 324.396 4.042 20 0 DIADHN Cc1cnc(CCN(C)Cc2cscc2C(F)(F)F)s1 ZINC000356116375 1043809619 /nfs/dbraw/zinc/80/96/19/1043809619.db2.gz UXGGUWNRJJROOK-UHFFFAOYSA-N 0 3 320.405 4.206 20 0 DIADHN Cc1cc(C)nc(CN2CCC(OC3CCCCC3)CC2)c1 ZINC000929424076 1043816837 /nfs/dbraw/zinc/81/68/37/1043816837.db2.gz FFCYSVAVWOQYSE-UHFFFAOYSA-N 0 3 302.462 4.012 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCc1c(Cl)cccc1Cl ZINC000453060413 1043818559 /nfs/dbraw/zinc/81/85/59/1043818559.db2.gz BLDNEIIAHSAGMC-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@H]2CC[C@@H](c3ccccc3)O2)C1 ZINC000877901143 1043819268 /nfs/dbraw/zinc/81/92/68/1043819268.db2.gz HUBAAOOECOVUDV-OAGGEKHMSA-N 0 3 313.363 4.181 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC2(CCC2)[C@H]1C1CC1 ZINC000356274538 1043826686 /nfs/dbraw/zinc/82/66/86/1043826686.db2.gz FXLHNZYYSJSPME-QGZVFWFLSA-N 0 3 301.478 4.019 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(Br)cc1 ZINC000356275217 1043828013 /nfs/dbraw/zinc/82/80/13/1043828013.db2.gz IHBOZUMBAFQNFF-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@@H]2C2CC2)ccc1OC(F)F ZINC000356298710 1043829446 /nfs/dbraw/zinc/82/94/46/1043829446.db2.gz PPQPSLAFUXXXSS-INIZCTEOSA-N 0 3 323.383 4.061 20 0 DIADHN COC1CCC(N(C)Cc2nc(C)c(C(C)(C)C)s2)CC1 ZINC000929654765 1043831844 /nfs/dbraw/zinc/83/18/44/1043831844.db2.gz PUOANIRRHDJOOA-UHFFFAOYSA-N 0 3 310.507 4.138 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000356307491 1043835049 /nfs/dbraw/zinc/83/50/49/1043835049.db2.gz MNTPSYNWOVPAHO-WBMJQRKESA-N 0 3 322.449 4.271 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2cscc2C(F)(F)F)CC1 ZINC000356400080 1043836228 /nfs/dbraw/zinc/83/62/28/1043836228.db2.gz DAFPQAREHUBVEB-ZDUSSCGKSA-N 0 3 307.381 4.158 20 0 DIADHN CSC1(CN[C@@H](C)c2nc(-c3ccncc3)cs2)CCC1 ZINC000356420919 1043841084 /nfs/dbraw/zinc/84/10/84/1043841084.db2.gz UGTRPSHZQARPEH-LBPRGKRZSA-N 0 3 319.499 4.141 20 0 DIADHN Cc1ccc2cc(CN3CC4(CCC4)[C@@H]3[C@H]3CCCO3)ccc2n1 ZINC000356529034 1043844718 /nfs/dbraw/zinc/84/47/18/1043844718.db2.gz PVQXDEGTVOTSAQ-UXHICEINSA-N 0 3 322.452 4.077 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@H]2[C@H]2CCCO2)cc2cccnc12 ZINC000356523034 1043846797 /nfs/dbraw/zinc/84/67/97/1043846797.db2.gz JLORTYXRNCMACG-UYAOXDASSA-N 0 3 322.452 4.077 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCCc1cccnc1 ZINC000929859896 1043847220 /nfs/dbraw/zinc/84/72/20/1043847220.db2.gz BVQCOBKQOYYELP-AWEZNQCLSA-N 0 3 311.454 4.317 20 0 DIADHN Cc1ccncc1CNCc1ccc(OC2CCCCC2)cc1 ZINC000474609075 1043850383 /nfs/dbraw/zinc/85/03/83/1043850383.db2.gz VOAYAHTYJYWJMT-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN CCn1cncc1CN[C@@H](Cc1ccccc1)c1cccs1 ZINC000417929291 1043854313 /nfs/dbraw/zinc/85/43/13/1043854313.db2.gz ILHQOAWDVFSRRD-KRWDZBQOSA-N 0 3 311.454 4.038 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC[C@H]1CCc2ccccc21 ZINC000878206406 1043857774 /nfs/dbraw/zinc/85/77/74/1043857774.db2.gz WIHJGMJXQHVYHE-QGZVFWFLSA-N 0 3 322.452 4.197 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cccc3Cl)cc1O ZINC000289636495 1043858404 /nfs/dbraw/zinc/85/84/04/1043858404.db2.gz HNDJDTOAUGFGIK-ZBEGNZNMSA-N 0 3 317.816 4.392 20 0 DIADHN CCOC[C@@H]1CCC[C@@H]1NCc1csc(-c2ccccc2)n1 ZINC000474625958 1043859151 /nfs/dbraw/zinc/85/91/51/1043859151.db2.gz AXIYFLXMTJKOLY-RDJZCZTQSA-N 0 3 316.470 4.105 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cccnc2)ccc1OC(C)C ZINC000356671674 1043859811 /nfs/dbraw/zinc/85/98/11/1043859811.db2.gz HCTMSZZKAPWFIF-CABCVRRESA-N 0 3 314.429 4.289 20 0 DIADHN COCCSc1ccc([C@H](C)N[C@@H](C)c2cccnc2)cc1 ZINC000356669148 1043862035 /nfs/dbraw/zinc/86/20/35/1043862035.db2.gz CKCMSMYTAUQPPG-GJZGRUSLSA-N 0 3 316.470 4.232 20 0 DIADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCC[C@H](c2ccccc2)O1 ZINC000878313401 1043869485 /nfs/dbraw/zinc/86/94/85/1043869485.db2.gz GERYYTDZJAHWJR-NSISKUIASA-N 0 3 310.441 4.385 20 0 DIADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCC[C@@H](c2ccccc2)O1 ZINC000878313403 1043870749 /nfs/dbraw/zinc/87/07/49/1043870749.db2.gz GERYYTDZJAHWJR-UXPWSPDFSA-N 0 3 310.441 4.385 20 0 DIADHN Oc1cccc2sc(CNCc3nccc4c3CCCC4)cc21 ZINC000896282090 1043878684 /nfs/dbraw/zinc/87/86/84/1043878684.db2.gz SRGLEUNOAKJVTG-UHFFFAOYSA-N 0 3 324.449 4.171 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CCC[C@@H](c2ccccc2)O1 ZINC000878363465 1043880649 /nfs/dbraw/zinc/88/06/49/1043880649.db2.gz BAXFQIQDPWGHFV-KKXDTOCCSA-N 0 3 314.429 4.252 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc(C2CC2)oc1C1CC1 ZINC000930129070 1043881149 /nfs/dbraw/zinc/88/11/49/1043881149.db2.gz RGONXPGRUUYDBW-UHFFFAOYSA-N 0 3 324.424 4.348 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc(C3CC3)oc2C2CC2)c1 ZINC000930137228 1043881276 /nfs/dbraw/zinc/88/12/76/1043881276.db2.gz HEYKJKDTBODIMY-UHFFFAOYSA-N 0 3 324.424 4.348 20 0 DIADHN CC[C@H](N[C@H](C)[C@H]1CCCOC1)c1nc(C(F)(F)F)cs1 ZINC000356872818 1043886572 /nfs/dbraw/zinc/88/65/72/1043886572.db2.gz PDTABCOEEFLJRE-VWYCJHECSA-N 0 3 322.396 4.018 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)N[C@H](C)c2ccc(F)nc2)c1 ZINC000930197120 1043889010 /nfs/dbraw/zinc/88/90/10/1043889010.db2.gz DXPDNNBCHOXQJB-ZIAGYGMSSA-N 0 3 302.393 4.420 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1c(C)noc1C ZINC000878415606 1043889412 /nfs/dbraw/zinc/88/94/12/1043889412.db2.gz ZTPZYOINIIFRNX-SQNIBIBYSA-N 0 3 314.429 4.252 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCCOC1CCSCC1 ZINC000930199876 1043890493 /nfs/dbraw/zinc/89/04/93/1043890493.db2.gz XYADQQMIEKTFDT-HNNXBMFYSA-N 0 3 323.502 4.037 20 0 DIADHN CC(C)Oc1cccc([C@H](C)N[C@H](C)c2ccc(F)nc2)c1 ZINC000930197119 1043891155 /nfs/dbraw/zinc/89/11/55/1043891155.db2.gz DXPDNNBCHOXQJB-UONOGXRCSA-N 0 3 302.393 4.420 20 0 DIADHN CC(C)(C)C[C@@H](NCc1ccc(N)nc1)c1ccc(F)cc1 ZINC000930203813 1043892798 /nfs/dbraw/zinc/89/27/98/1043892798.db2.gz FHKMTDUKXXKQOM-MRXNPFEDSA-N 0 3 301.409 4.070 20 0 DIADHN CCCC[C@@H](NCc1[nH]c(C)nc1C)c1ccc(OC)cc1 ZINC000930213221 1043894884 /nfs/dbraw/zinc/89/48/84/1043894884.db2.gz HHKJQWBIBRQOGM-QGZVFWFLSA-N 0 3 301.434 4.056 20 0 DIADHN Cc1nc(C)c(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)[nH]1 ZINC000930216642 1043897658 /nfs/dbraw/zinc/89/76/58/1043897658.db2.gz MTGYAISWORYHIK-QGZVFWFLSA-N 0 3 303.837 4.311 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)nc1)c1ccccc1 ZINC000289946645 1043898441 /nfs/dbraw/zinc/89/84/41/1043898441.db2.gz WHYGPHVQNWKUHK-NEPJUHHUSA-N 0 3 305.219 4.256 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CCC2(CCCCCC2)O1 ZINC000930222674 1043898940 /nfs/dbraw/zinc/89/89/40/1043898940.db2.gz OJAOLDKPJJBPGI-XJKSGUPXSA-N 0 3 306.450 4.214 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)[nH]1 ZINC000930239864 1043904205 /nfs/dbraw/zinc/90/42/05/1043904205.db2.gz ZJURAEQNKFDASP-TYFHEEPYSA-N 0 3 303.837 4.167 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@@H](C)C2(CCC2)C1 ZINC000357023801 1043908441 /nfs/dbraw/zinc/90/84/41/1043908441.db2.gz MFVIEUNZEXHCSU-CABCVRRESA-N 0 3 311.429 4.310 20 0 DIADHN CCc1sc(-c2nnc(CN(C)[C@@H](C)C3CCC3)o2)cc1C ZINC000357033673 1043909395 /nfs/dbraw/zinc/90/93/95/1043909395.db2.gz HWEYRTXSIZOUEP-LBPRGKRZSA-N 0 3 319.474 4.289 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC=C(c4ccccn4)C3)O2)cc1 ZINC000878647277 1043910969 /nfs/dbraw/zinc/91/09/69/1043910969.db2.gz FJOJYUYDNODKSX-FPOVZHCZSA-N 0 3 320.436 4.091 20 0 DIADHN Cc1cc(CN[C@@H]2CC(C)(C)CCc3ccccc32)c(C)nn1 ZINC000930323387 1043911859 /nfs/dbraw/zinc/91/18/59/1043911859.db2.gz FMAZPBJGLZRWMP-LJQANCHMSA-N 0 3 309.457 4.287 20 0 DIADHN CC[C@H](CNCc1ccnn1C(C)C)Oc1ccccc1Cl ZINC000474795849 1043918827 /nfs/dbraw/zinc/91/88/27/1043918827.db2.gz QSBOFRNWGGNTKZ-OAHLLOKOSA-N 0 3 321.852 4.065 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1C[C@@H]3CCC[C@@H]3O1)CC2 ZINC000878797527 1043920300 /nfs/dbraw/zinc/92/03/00/1043920300.db2.gz LBLRRIBZDYYEPK-ZWOKBUDYSA-N 0 3 324.468 4.084 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@H](C)C1CCCCC1 ZINC000474810256 1043922140 /nfs/dbraw/zinc/92/21/40/1043922140.db2.gz RANFOTQVKFYPDO-UXLLHSPISA-N 0 3 318.509 4.431 20 0 DIADHN CC(C)COC1CCN([C@H]2CCc3cc(Cl)ccc32)CC1 ZINC000777908046 1043923727 /nfs/dbraw/zinc/92/37/27/1043923727.db2.gz CIDVPUGZHZSEAG-SFHVURJKSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2c3ccccc3CC23CCOCC3)o1 ZINC000290163470 1043924070 /nfs/dbraw/zinc/92/40/70/1043924070.db2.gz MBDOSPGEOVYGLI-BEFAXECRSA-N 0 3 311.425 4.333 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC000561307483 1043924744 /nfs/dbraw/zinc/92/47/44/1043924744.db2.gz JFZQPVDKPFGKOT-CGTJXYLNSA-N 0 3 314.473 4.293 20 0 DIADHN CC[C@@H](NCc1ccccc1CF)c1ccc(OC)c(OC)c1 ZINC000930449732 1043928620 /nfs/dbraw/zinc/92/86/20/1043928620.db2.gz WQLLNJWCSXOOGK-QGZVFWFLSA-N 0 3 317.404 4.414 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2CF)c(C)c1OC ZINC000930494450 1043932135 /nfs/dbraw/zinc/93/21/35/1043932135.db2.gz XQSANMPXXSLGFI-CQSZACIVSA-N 0 3 317.404 4.333 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(F)c3ccccc32)c(C)nn1 ZINC000930495683 1043932362 /nfs/dbraw/zinc/93/23/62/1043932362.db2.gz DVYPTIFUHJEXLC-AWEZNQCLSA-N 0 3 309.388 4.237 20 0 DIADHN CCOC[C@H]1CCC[C@@H]1NCc1cc(Cl)sc1Cl ZINC000474895883 1043973243 /nfs/dbraw/zinc/97/32/43/1043973243.db2.gz YBHNANAXEKUIKH-KOLCDFICSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCC[C@@H]1C1CCC1 ZINC000102926471 1043936307 /nfs/dbraw/zinc/93/63/07/1043936307.db2.gz YXGNPLQLGWBIHW-GOSISDBHSA-N 0 3 300.446 4.013 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1ccc(C2CCCC2)cc1 ZINC000561379590 1043940366 /nfs/dbraw/zinc/94/03/66/1043940366.db2.gz LDWMDBJNDNYTMN-OAQYLSRUSA-N 0 3 309.453 4.476 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](c2ccccc2)O1)c1ccns1 ZINC000879061420 1043940997 /nfs/dbraw/zinc/94/09/97/1043940997.db2.gz CBMIDYYOQKQLEU-CWRNSKLLSA-N 0 3 302.443 4.104 20 0 DIADHN CSc1cc(CNCc2cccc(-c3cccnc3)c2)ccn1 ZINC000357342915 1043943589 /nfs/dbraw/zinc/94/35/89/1043943589.db2.gz FDRJBXLUPARGLO-UHFFFAOYSA-N 0 3 321.449 4.155 20 0 DIADHN C[C@H](NCC[S@](=O)C(C)(C)C)c1cc(Cl)cc(Cl)c1 ZINC000127573798 1043950926 /nfs/dbraw/zinc/95/09/26/1043950926.db2.gz JMHARPCKIBXBAX-APBUJDDRSA-N 0 3 322.301 4.191 20 0 DIADHN C[C@@H](NCC[S@@](=O)C(C)(C)C)c1cc(Cl)cc(Cl)c1 ZINC000127573567 1043951507 /nfs/dbraw/zinc/95/15/07/1043951507.db2.gz JMHARPCKIBXBAX-DGIBIBHMSA-N 0 3 322.301 4.191 20 0 DIADHN Cc1ccc(CCCN[C@@H](c2ccco2)c2ccccc2)cn1 ZINC000474872868 1043960021 /nfs/dbraw/zinc/96/00/21/1043960021.db2.gz NWKULLSFUHLFFP-HXUWFJFHSA-N 0 3 306.409 4.295 20 0 DIADHN Cc1ccc(C[C@]2(C)CCCN2Cc2nnc(C(C)C)o2)cc1 ZINC000879387900 1043963042 /nfs/dbraw/zinc/96/30/42/1043963042.db2.gz VAFKDDLUDQMULM-IBGZPJMESA-N 0 3 313.445 4.099 20 0 DIADHN CC(C)(C)SCCN1CCC(c2nc(C3CCC3)no2)CC1 ZINC000879553857 1043971189 /nfs/dbraw/zinc/97/11/89/1043971189.db2.gz XFWWTTBJQFRAMZ-UHFFFAOYSA-N 0 3 323.506 4.048 20 0 DIADHN C[C@@H](NCCOCc1ccccc1)c1nccc2ccccc21 ZINC000290763693 1043983192 /nfs/dbraw/zinc/98/31/92/1043983192.db2.gz RTGCZKYPIXPSFQ-MRXNPFEDSA-N 0 3 306.409 4.102 20 0 DIADHN COCC[C@H](N[C@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000879715063 1043983060 /nfs/dbraw/zinc/98/30/60/1043983060.db2.gz OLRWMZONSOXHNU-PBHICJAKSA-N 0 3 310.397 4.256 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000879715065 1043983305 /nfs/dbraw/zinc/98/33/05/1043983305.db2.gz OLRWMZONSOXHNU-WMLDXEAASA-N 0 3 310.397 4.256 20 0 DIADHN CCSc1ccccc1CN1CCC2(CCCC2=O)CC1 ZINC000931036781 1043986285 /nfs/dbraw/zinc/98/62/85/1043986285.db2.gz DYPHPQUSQXHVAZ-UHFFFAOYSA-N 0 3 303.471 4.134 20 0 DIADHN Cc1csc([C@H](C)CNCc2ccnc(Cl)c2Cl)n1 ZINC000290825680 1043991079 /nfs/dbraw/zinc/99/10/79/1043991079.db2.gz HLWUTSOVSPIDHG-MRVPVSSYSA-N 0 3 316.257 4.047 20 0 DIADHN Cc1nnc([C@H](C)NC[C@@H](CC(C)C)c2ccccc2)s1 ZINC000474948868 1043994289 /nfs/dbraw/zinc/99/42/89/1043994289.db2.gz SDWSNIWQTGMGHS-XJKSGUPXSA-N 0 3 303.475 4.327 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@@H]1NC/C=C\c1ccc(F)c(F)c1 ZINC000561753432 1044001083 /nfs/dbraw/zinc/00/10/83/1044001083.db2.gz RDTATFCJAMJXPP-BFCFHPCHSA-N 0 3 301.336 4.090 20 0 DIADHN C[C@H]1CC(F)(F)CCN1C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000931254044 1044007441 /nfs/dbraw/zinc/00/74/41/1044007441.db2.gz GMHJABBMCBOXDJ-IACUBPJLSA-N 0 3 301.327 4.155 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCCOC1CCCC1)CCCO2 ZINC000358031641 1044008681 /nfs/dbraw/zinc/00/86/81/1044008681.db2.gz YROLYTQOYLWIFE-INIZCTEOSA-N 0 3 309.837 4.103 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3c2NCCC3)cc1C ZINC000896803863 1044010586 /nfs/dbraw/zinc/01/05/86/1044010586.db2.gz OBILSCKUCZFPCX-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(C(F)(F)F)nc1)c1ccccc1 ZINC000880098925 1044011065 /nfs/dbraw/zinc/01/10/65/1044011065.db2.gz YSVKTWKANYDWPX-DOMZBBRYSA-N 0 3 324.346 4.139 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1ccc(C(F)(F)F)nc1 ZINC000880101218 1044011660 /nfs/dbraw/zinc/01/16/60/1044011660.db2.gz CVTVYBAIQRLNAS-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1cnc3ccccc3c1)CCC2 ZINC000358046564 1044011475 /nfs/dbraw/zinc/01/14/75/1044011475.db2.gz LLSIAASWAYQTMF-MRXNPFEDSA-N 0 3 309.438 4.167 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cnc3ccccc3c2)s1 ZINC000358045222 1044012459 /nfs/dbraw/zinc/01/24/59/1044012459.db2.gz YVQVNECWWOZOBS-GFCCVEGCSA-N 0 3 311.454 4.413 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccccc1N(C)C)CCCO2 ZINC000358048389 1044013146 /nfs/dbraw/zinc/01/31/46/1044013146.db2.gz PTHZPXKMESXLNV-SFHVURJKSA-N 0 3 310.441 4.065 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(C(F)(F)F)nc1)c1ccccc1 ZINC000880103712 1044013909 /nfs/dbraw/zinc/01/39/09/1044013909.db2.gz YSVKTWKANYDWPX-SWLSCSKDSA-N 0 3 324.346 4.139 20 0 DIADHN CC(C)N(Cc1cc(F)c(Br)cc1F)CC1CC1 ZINC001141915630 1044015151 /nfs/dbraw/zinc/01/51/51/1044015151.db2.gz KIYHUGCVGBAEEK-UHFFFAOYSA-N 0 3 318.205 4.348 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(F)cc2C)ccc1O ZINC000896802590 1044015962 /nfs/dbraw/zinc/01/59/62/1044015962.db2.gz CYPKAFVCILSHDD-ZDUSSCGKSA-N 0 3 303.377 4.089 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(-c3ccncc3)cc2)ccn1 ZINC000358081098 1044016397 /nfs/dbraw/zinc/01/63/97/1044016397.db2.gz KPEUPAOPUWPGJL-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccc(F)nc1 ZINC000931374861 1044018208 /nfs/dbraw/zinc/01/82/08/1044018208.db2.gz QGDYDMMNCXPNAK-CXMBCZLWSA-N 0 3 320.795 4.055 20 0 DIADHN COC(=O)CC[C@H](N[C@@H]1CCc2c1cccc2C)c1ccccc1 ZINC000358081279 1044018205 /nfs/dbraw/zinc/01/82/05/1044018205.db2.gz HRTOLUNOCNEFAY-VQTJNVASSA-N 0 3 323.436 4.266 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](C)c1ccc2ccccc2n1 ZINC000358099303 1044020294 /nfs/dbraw/zinc/02/02/94/1044020294.db2.gz QBRCQUYXKDWDOH-ZIAGYGMSSA-N 0 3 314.429 4.006 20 0 DIADHN C[C@H](c1ccco1)N(C)C[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC000291080270 1044021488 /nfs/dbraw/zinc/02/14/88/1044021488.db2.gz NIBTWGLFOGMRHZ-RISCZKNCSA-N 0 3 313.319 4.025 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3nc(C)c(C)o3)C2(C)C)c1 ZINC000880285698 1044026381 /nfs/dbraw/zinc/02/63/81/1044026381.db2.gz WDQHIWVCHVGMIT-QGZVFWFLSA-N 0 3 314.429 4.068 20 0 DIADHN CC[C@H](OCCN1CCC(c2ncco2)CC1)c1ccccc1 ZINC000931445108 1044026618 /nfs/dbraw/zinc/02/66/18/1044026618.db2.gz FTWQMHGHYULPJO-SFHVURJKSA-N 0 3 314.429 4.022 20 0 DIADHN CC[C@H](CCO)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291141753 1044028427 /nfs/dbraw/zinc/02/84/27/1044028427.db2.gz HRQPKTNPJARMLI-QWHCGFSZSA-N 0 3 322.449 4.008 20 0 DIADHN c1coc(C2CCN(CCOc3cccc4ccccc43)CC2)n1 ZINC000931448364 1044028701 /nfs/dbraw/zinc/02/87/01/1044028701.db2.gz BRCMXVKLSSJBCE-UHFFFAOYSA-N 0 3 322.408 4.086 20 0 DIADHN Cc1csc(CN2CCC(Oc3ccccc3C)CC2)c1 ZINC001137152524 1044032133 /nfs/dbraw/zinc/03/21/33/1044032133.db2.gz REBYSVSGENSERB-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN C[C@@H](NC[C@@H]1CCCOC1)c1csc(-c2ccccc2F)n1 ZINC000291169050 1044032207 /nfs/dbraw/zinc/03/22/07/1044032207.db2.gz JTJDOCYDNBAUGK-OLZOCXBDSA-N 0 3 320.433 4.026 20 0 DIADHN C[C@@H](NC[C@H]1CCSC1)c1csc(-c2ccccc2F)n1 ZINC000291169034 1044032233 /nfs/dbraw/zinc/03/22/33/1044032233.db2.gz JTGUDGZEHNPSHQ-VXGBXAGGSA-N 0 3 322.474 4.353 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)Cc2occc21)c1ccc2c(c1)OCO2 ZINC000358225531 1044036375 /nfs/dbraw/zinc/03/63/75/1044036375.db2.gz SLODQOHZNZPZOZ-SWLSCSKDSA-N 0 3 313.397 4.373 20 0 DIADHN CC[C@H](NCc1csc(C2CCCC2)n1)[C@@H]1CCCOC1 ZINC000880466551 1044041233 /nfs/dbraw/zinc/04/12/33/1044041233.db2.gz OXYOUBZLDJNSEZ-ZBFHGGJFSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@@H](NCc1nc(-c2cccs2)oc1C)[C@H]1CCCOC1 ZINC000880466243 1044042336 /nfs/dbraw/zinc/04/23/36/1044042336.db2.gz UAUVRUJPZQUNOQ-UONOGXRCSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC2(CCCCO2)CC1 ZINC000880458329 1044042770 /nfs/dbraw/zinc/04/27/70/1044042770.db2.gz PMZRBQSDJVLZLR-UHFFFAOYSA-N 0 3 302.462 4.049 20 0 DIADHN COCCCCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291236614 1044046450 /nfs/dbraw/zinc/04/64/50/1044046450.db2.gz OKJSMKLDZCORIJ-CYBMUJFWSA-N 0 3 322.449 4.417 20 0 DIADHN Cc1cc(C)cc(CN[C@H](Cn2ccnc2)c2ccccc2)c1 ZINC000880572128 1044048126 /nfs/dbraw/zinc/04/81/26/1044048126.db2.gz XAAFOMOEACDZAS-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CC(C)(C)c1cc(CN2CCCC[C@H](C3CCOCC3)C2)no1 ZINC000880578159 1044049586 /nfs/dbraw/zinc/04/95/86/1044049586.db2.gz MUDUGESIGJZEBE-INIZCTEOSA-N 0 3 320.477 4.001 20 0 DIADHN COC[C@H](NCCc1cccs1)c1cccc(Cl)c1F ZINC000562020361 1044050527 /nfs/dbraw/zinc/05/05/27/1044050527.db2.gz WRSJTHQYNIJPJU-AWEZNQCLSA-N 0 3 313.825 4.060 20 0 DIADHN C[C@@H](NCc1ccccc1CF)c1ccc(C(F)(F)F)nc1 ZINC000931616646 1044052981 /nfs/dbraw/zinc/05/29/81/1044052981.db2.gz DTNNDGIFQOOLMX-LLVKDONJSA-N 0 3 312.310 4.421 20 0 DIADHN Cc1csc(CN2CCC(Oc3ccc(F)cc3F)CC2)c1 ZINC001137157353 1044053587 /nfs/dbraw/zinc/05/35/87/1044053587.db2.gz UXMXSIFQLMVVBI-UHFFFAOYSA-N 0 3 323.408 4.378 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccccc1F ZINC000562057774 1044055503 /nfs/dbraw/zinc/05/55/03/1044055503.db2.gz RAIACEVVSVNZNJ-OALUTQOASA-N 0 3 318.411 4.308 20 0 DIADHN COC[C@H](C)N(Cc1cc(C)cs1)Cc1cccc(OC)c1 ZINC001137158431 1044058316 /nfs/dbraw/zinc/05/83/16/1044058316.db2.gz RZANRQGUWXXDBR-HNNXBMFYSA-N 0 3 319.470 4.102 20 0 DIADHN COC[C@@H](NCCOc1ccccc1C(C)C)c1ccccc1 ZINC000562059219 1044058522 /nfs/dbraw/zinc/05/85/22/1044058522.db2.gz TYCFEZLNJAKYKV-LJQANCHMSA-N 0 3 313.441 4.166 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000358357672 1044058581 /nfs/dbraw/zinc/05/85/81/1044058581.db2.gz RAIDYDMNDUXIEF-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](c1cccnc1)C1CC1)CCC2 ZINC000358355958 1044059589 /nfs/dbraw/zinc/05/95/89/1044059589.db2.gz SXMCKRNUXDLRRT-VQTJNVASSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000358353312 1044060186 /nfs/dbraw/zinc/06/01/86/1044060186.db2.gz KHSJEZPSORFBQE-DJJJIMSYSA-N 0 3 313.420 4.089 20 0 DIADHN CC[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000358359215 1044060625 /nfs/dbraw/zinc/06/06/25/1044060625.db2.gz SWFSFHKXFGGDBW-FXAWDEMLSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000358368214 1044061011 /nfs/dbraw/zinc/06/10/11/1044061011.db2.gz ODTAAEYGVRIVNU-VBKZILBWSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCOC1)c1csc(-c2ccccc2F)n1 ZINC000291338905 1044061197 /nfs/dbraw/zinc/06/11/97/1044061197.db2.gz WGKODLDQVQAMIZ-RWMBFGLXSA-N 0 3 320.433 4.025 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](c2cccnc2)C2CC2)s1 ZINC000358365188 1044061866 /nfs/dbraw/zinc/06/18/66/1044061866.db2.gz IMHBFBKUFJRVDQ-LRDDRELGSA-N 0 3 301.459 4.211 20 0 DIADHN CC(C)(C)c1nc(CN2CCCC[C@@H](C3CCOCC3)C2)co1 ZINC000931708344 1044062449 /nfs/dbraw/zinc/06/24/49/1044062449.db2.gz XDWPVQZSKAMPJG-MRXNPFEDSA-N 0 3 320.477 4.001 20 0 DIADHN CC[C@H](NCc1cc(C)nnc1C)c1cccc2ccccc21 ZINC000931755173 1044068836 /nfs/dbraw/zinc/06/88/36/1044068836.db2.gz PKNQNOQAAPLTPZ-FQEVSTJZSA-N 0 3 305.425 4.488 20 0 DIADHN CCC[C@H](N[C@@H](CO)c1sccc1C)c1ccc(OC)cc1 ZINC000358411384 1044069088 /nfs/dbraw/zinc/06/90/88/1044069088.db2.gz XDDMCSVJHQDSAP-IRXDYDNUSA-N 0 3 319.470 4.230 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2F)n1 ZINC000291383475 1044069252 /nfs/dbraw/zinc/06/92/52/1044069252.db2.gz ZPMPHNCRUHXXIN-FRRDWIJNSA-N 0 3 320.433 4.167 20 0 DIADHN Cc1cc(CN(C)CCCOCc2ccccc2)cc(Cl)n1 ZINC000320382299 1044069453 /nfs/dbraw/zinc/06/94/53/1044069453.db2.gz GVLXKVNOLXZWAH-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cc(Cl)nc3ccccc23)C1 ZINC000128644563 1044076540 /nfs/dbraw/zinc/07/65/40/1044076540.db2.gz DJUTWKLOEQWNGS-AWEZNQCLSA-N 0 3 318.848 4.137 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCC(F)(F)C2)c2ccccc2)nc1 ZINC000280893459 1044082319 /nfs/dbraw/zinc/08/23/19/1044082319.db2.gz DSLCDLUYGVLOSB-GUYCJALGSA-N 0 3 320.358 4.335 20 0 DIADHN COc1ccc(C2(NCc3ccnn3C(C)C)CCCC2)cc1 ZINC000280907254 1044084137 /nfs/dbraw/zinc/08/41/37/1044084137.db2.gz OVFHZWGOYQDOQL-UHFFFAOYSA-N 0 3 313.445 4.032 20 0 DIADHN CO[C@H](C)CN(Cc1c(Cl)ccc2cccnc21)C(C)C ZINC000358605373 1044085491 /nfs/dbraw/zinc/08/54/91/1044085491.db2.gz GRKZRZSDOPEVMH-CYBMUJFWSA-N 0 3 306.837 4.134 20 0 DIADHN FC1(F)CC[C@H](CN[C@H](c2ccccc2)c2ccccn2)C1 ZINC000281038500 1044090076 /nfs/dbraw/zinc/09/00/76/1044090076.db2.gz GPIUQDQBRWUCRL-WMLDXEAASA-N 0 3 302.368 4.196 20 0 DIADHN Fc1cncc([C@@H](NCc2cccc3cccnc32)C2CC2)c1 ZINC000281092117 1044091129 /nfs/dbraw/zinc/09/11/29/1044091129.db2.gz AOFCFEIDHPCLHR-IBGZPJMESA-N 0 3 307.372 4.010 20 0 DIADHN Cc1ccc(-c2nc(CNCC3CC(F)(F)C3)cs2)cc1 ZINC000291689197 1044095125 /nfs/dbraw/zinc/09/51/25/1044095125.db2.gz ISQPEWUOIURJLS-UHFFFAOYSA-N 0 3 308.397 4.253 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000358756627 1044100331 /nfs/dbraw/zinc/10/03/31/1044100331.db2.gz PJIAZPSYPQLEFM-IEBWSBKVSA-N 0 3 313.420 4.068 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1cccc2nccn21 ZINC000291800133 1044103469 /nfs/dbraw/zinc/10/34/69/1044103469.db2.gz XEVOPLSXAOHLMY-ZDUSSCGKSA-N 0 3 317.845 4.216 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)[C@@H]1OCCc2sccc21 ZINC000291813567 1044105223 /nfs/dbraw/zinc/10/52/23/1044105223.db2.gz CVNCMUIENHHVCZ-YLVFBTJISA-N 0 3 303.427 4.011 20 0 DIADHN Brc1ccc2c(c1)CCC[C@@H]2NCc1cccnc1 ZINC000358922096 1044111124 /nfs/dbraw/zinc/11/11/24/1044111124.db2.gz AZPGFLUYQXKCJF-INIZCTEOSA-N 0 3 317.230 4.011 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC000346528626 1044113113 /nfs/dbraw/zinc/11/31/13/1044113113.db2.gz ISJTWFJTIPOTJV-GFCCVEGCSA-N 0 3 308.422 4.054 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3nn(-c4ccccc4)cc32)s1 ZINC000358938759 1044113468 /nfs/dbraw/zinc/11/34/68/1044113468.db2.gz RSSCDZCEYRIFTC-SFHVURJKSA-N 0 3 323.465 4.409 20 0 DIADHN C[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(-c2ccco2)o1 ZINC000932279241 1044113721 /nfs/dbraw/zinc/11/37/21/1044113721.db2.gz MODZSKKGGKFWIL-ZBFHGGJFSA-N 0 3 323.396 4.098 20 0 DIADHN COCc1nc(CN2CCC[C@@H]2[C@H](C)c2ccccc2)cs1 ZINC000932277353 1044114456 /nfs/dbraw/zinc/11/44/56/1044114456.db2.gz HRCDNIJNNVCZMC-RHSMWYFYSA-N 0 3 316.470 4.058 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000281258678 1044114705 /nfs/dbraw/zinc/11/47/05/1044114705.db2.gz GVZJBBOXTPUMNI-ORAYPTAESA-N 0 3 315.436 4.325 20 0 DIADHN Cc1cc([C@H](NC[C@H]2CCCS2)c2cccnc2)ccc1F ZINC000346558489 1044115117 /nfs/dbraw/zinc/11/51/17/1044115117.db2.gz LNSNRGMOAAWPCQ-AEFFLSMTSA-N 0 3 316.445 4.104 20 0 DIADHN COC(C)(C)CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000346551811 1044116459 /nfs/dbraw/zinc/11/64/59/1044116459.db2.gz KKNKJVSYWRGDGJ-ZDUSSCGKSA-N 0 3 304.459 4.276 20 0 DIADHN CSCCCCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000346571504 1044116710 /nfs/dbraw/zinc/11/67/10/1044116710.db2.gz ZDEMETSQTQKTGB-QGZVFWFLSA-N 0 3 304.434 4.043 20 0 DIADHN Cc1cscc1CN[C@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000358995911 1044119689 /nfs/dbraw/zinc/11/96/89/1044119689.db2.gz BTIDPLUMZGAWAJ-SFHVURJKSA-N 0 3 323.465 4.409 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@@H]1CCCc3nn(C)cc31)CC2 ZINC000359002767 1044119719 /nfs/dbraw/zinc/11/97/19/1044119719.db2.gz MUEBJTDLJBXFQN-MOPGFXCFSA-N 0 3 309.457 4.198 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2nc(-c3ccccc3)cs2)n1 ZINC000359015925 1044120834 /nfs/dbraw/zinc/12/08/34/1044120834.db2.gz PANXERLJJXBWPD-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CC(C)O[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000932385789 1044123708 /nfs/dbraw/zinc/12/37/08/1044123708.db2.gz MHXBJVXTFAVYJY-VQTJNVASSA-N 0 3 310.441 4.289 20 0 DIADHN CCC[C@@H](N[C@@H](C)C(=O)N1Cc2ccccc2C1)c1ccccc1 ZINC000359045876 1044125125 /nfs/dbraw/zinc/12/51/25/1044125125.db2.gz KFPSBCJCBKCTNK-OXJNMPFZSA-N 0 3 322.452 4.048 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC[C@@H](c3ccccc3)C2)[n-]1 ZINC000562522440 1044125190 /nfs/dbraw/zinc/12/51/90/1044125190.db2.gz LTZZKFRYBGUJSL-IAGOWNOFSA-N 0 3 312.461 4.134 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1cccnc1)c1ccc(Cl)cc1 ZINC000283070527 1044125374 /nfs/dbraw/zinc/12/53/74/1044125374.db2.gz KBLTWDNHQZIWRS-CXAGYDPISA-N 0 3 304.821 4.116 20 0 DIADHN C[C@H]1C[C@H](CN(Cc2nc3ccccc3s2)C2CC2)CCO1 ZINC000932397334 1044125460 /nfs/dbraw/zinc/12/54/60/1044125460.db2.gz SRUBOVBHNHBGAN-UONOGXRCSA-N 0 3 316.470 4.076 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1cc2cccnc2o1 ZINC000562521887 1044126778 /nfs/dbraw/zinc/12/67/78/1044126778.db2.gz OUYXWHXBJYTZAW-KRWDZBQOSA-N 0 3 324.428 4.285 20 0 DIADHN CC(C)N(Cc1cn(C)nc1C(F)F)[C@@H](C)c1ccccc1 ZINC000292055748 1044130711 /nfs/dbraw/zinc/13/07/11/1044130711.db2.gz BQTNRHHVGDGVOY-ZDUSSCGKSA-N 0 3 307.388 4.329 20 0 DIADHN c1ccc([C@@H](NC2CCC3(CCCO3)CC2)c2ccccn2)cc1 ZINC000562580805 1044133359 /nfs/dbraw/zinc/13/33/59/1044133359.db2.gz LYNMJANRTSUQHH-VEDCXYSMSA-N 0 3 322.452 4.252 20 0 DIADHN FC(F)c1ccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)cc1 ZINC000292110979 1044137518 /nfs/dbraw/zinc/13/75/18/1044137518.db2.gz KFECHLGVWHYWIF-HNNXBMFYSA-N 0 3 320.387 4.154 20 0 DIADHN CC[C@H](NCc1ccc2ccccc2n1)c1cccc(OC)c1 ZINC000346782083 1044137732 /nfs/dbraw/zinc/13/77/32/1044137732.db2.gz SCNBPVWHFJWXTQ-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN Fc1cncc([C@H](N[C@@H]2CCCc3sccc32)C2CC2)c1 ZINC000281507256 1044139424 /nfs/dbraw/zinc/13/94/24/1044139424.db2.gz HBTRLBHSAAFWRV-NVXWUHKLSA-N 0 3 302.418 4.401 20 0 DIADHN CC[C@@H](NCCn1cnc2ccccc21)c1cc(F)ccc1F ZINC000346790029 1044139841 /nfs/dbraw/zinc/13/98/41/1044139841.db2.gz GNTZYFAGJOJYSA-MRXNPFEDSA-N 0 3 315.367 4.055 20 0 DIADHN COc1ccc(CN(Cc2cncc(F)c2)[C@@H](C)C2CC2)cc1 ZINC000346814478 1044146169 /nfs/dbraw/zinc/14/61/69/1044146169.db2.gz BXOOUMLGFIHLJJ-AWEZNQCLSA-N 0 3 314.404 4.030 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccccc3[nH]c2=O)cc1C ZINC000932574806 1044146770 /nfs/dbraw/zinc/14/67/70/1044146770.db2.gz JQRKVUQMHDLXHF-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3cnc([C@@H]4CCCO4)s3)C2)C1 ZINC000359290856 1044151031 /nfs/dbraw/zinc/15/10/31/1044151031.db2.gz CHFRDBRFCJHVJD-UKPHBRMFSA-N 0 3 306.475 4.007 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000292287222 1044158470 /nfs/dbraw/zinc/15/84/70/1044158470.db2.gz VAJPAAMZCBLEJD-GOSISDBHSA-N 0 3 324.428 4.271 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccnc(Br)c2)c1 ZINC000292720936 1044203162 /nfs/dbraw/zinc/20/31/62/1044203162.db2.gz AOBHYTSUOACBTO-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)c2ccccc2C)c(CN(C)C)c1 ZINC000932808087 1044162131 /nfs/dbraw/zinc/16/21/31/1044162131.db2.gz PDMRQSQHUZJNDH-INIZCTEOSA-N 0 3 310.441 4.107 20 0 DIADHN Cc1ccoc1CN(C)CCCC(=O)Nc1c(C)cccc1C ZINC000292343707 1044163794 /nfs/dbraw/zinc/16/37/94/1044163794.db2.gz PIUWDKBNASIYFO-UHFFFAOYSA-N 0 3 314.429 4.056 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H]2CCc3c2cccc3Cl)CC1 ZINC000562846395 1044164525 /nfs/dbraw/zinc/16/45/25/1044164525.db2.gz YRCFEELGIQORTF-GOSISDBHSA-N 0 3 315.848 4.238 20 0 DIADHN CCCNc1ccc(CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281612568 1044167230 /nfs/dbraw/zinc/16/72/30/1044167230.db2.gz NCFDLCQIGUDYLM-LJQANCHMSA-N 0 3 313.420 4.284 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CCC[C@@H]2C2CC2)o1 ZINC000639475064 1044171278 /nfs/dbraw/zinc/17/12/78/1044171278.db2.gz SUGRXQMJMHWDAY-GOSISDBHSA-N 0 3 319.408 4.264 20 0 DIADHN FC1(F)CC[C@H](CNCc2csc(-c3ccsc3)n2)C1 ZINC000281663845 1044174883 /nfs/dbraw/zinc/17/48/83/1044174883.db2.gz NQRQGPZTPJBANJ-JTQLQIEISA-N 0 3 314.426 4.397 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000359563722 1044177075 /nfs/dbraw/zinc/17/70/75/1044177075.db2.gz SWXFEWISJVESQG-SPYBWZPUSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccnc2)c2ccccc2n1 ZINC000346984695 1044180262 /nfs/dbraw/zinc/18/02/62/1044180262.db2.gz PJXXSVWVZROMPH-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1ccc(F)cc1F ZINC000359666003 1044187799 /nfs/dbraw/zinc/18/77/99/1044187799.db2.gz UZWXJYVJTLVOBH-SJORKVTESA-N 0 3 324.440 4.370 20 0 DIADHN CC[C@H](NC[C@H](c1ccsc1)N(C)C)c1cc(F)ccc1F ZINC000359671333 1044189616 /nfs/dbraw/zinc/18/96/16/1044189616.db2.gz AQVKUFJXFONWAC-DLBZAZTESA-N 0 3 324.440 4.370 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C(C)C)nc1)c1ccc(C)cc1 ZINC000359716531 1044192380 /nfs/dbraw/zinc/19/23/80/1044192380.db2.gz GYWGDCBLGRKAIQ-LJQANCHMSA-N 0 3 311.473 4.476 20 0 DIADHN c1cn(-c2ccccc2)c(CNC2(Cc3ccccc3)CCC2)n1 ZINC000359734513 1044195750 /nfs/dbraw/zinc/19/57/50/1044195750.db2.gz JMUNPEBMAFYQSE-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN Cc1ccc([C@@H](CNCc2ccsc2Cl)N2CCCC2)o1 ZINC000359749796 1044196602 /nfs/dbraw/zinc/19/66/02/1044196602.db2.gz WURSLKZIPPGIHF-CQSZACIVSA-N 0 3 324.877 4.230 20 0 DIADHN CC(C)C[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccccc1 ZINC000882880537 1044198034 /nfs/dbraw/zinc/19/80/34/1044198034.db2.gz XKBQXHPNRRBBKF-MRXNPFEDSA-N 0 3 311.381 4.263 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@H](O)c1ccccc1F ZINC000130328056 1044199059 /nfs/dbraw/zinc/19/90/59/1044199059.db2.gz TVAUGDXNVUMCAF-DYVFJYSZSA-N 0 3 313.372 4.265 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nccn1-c1ccccc1 ZINC000359765667 1044199086 /nfs/dbraw/zinc/19/90/86/1044199086.db2.gz MKFKQNFYIHFWKW-DLBZAZTESA-N 0 3 315.486 4.026 20 0 DIADHN Cc1ccc(-c2cccc(CNCc3ccc(F)nc3)c2)cc1 ZINC000778176715 1044201347 /nfs/dbraw/zinc/20/13/47/1044201347.db2.gz LCJUVNTYTMTQMM-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN COc1ccc([C@H](NCc2cccc(Cl)c2N)C2CC2)cc1 ZINC000882881402 1044201565 /nfs/dbraw/zinc/20/15/65/1044201565.db2.gz LRHRNKZXNYFKMP-GOSISDBHSA-N 0 3 316.832 4.172 20 0 DIADHN c1nc(C2CCC2)sc1CNC[C@H]1CCC2(CCCCC2)O1 ZINC000359812626 1044203687 /nfs/dbraw/zinc/20/36/87/1044203687.db2.gz WLULQLKIKLYODU-OAHLLOKOSA-N 0 3 320.502 4.382 20 0 DIADHN c1cc(-c2n[nH]cc2CNCc2ccc(C3CC3)cc2)cs1 ZINC000359819569 1044205575 /nfs/dbraw/zinc/20/55/75/1044205575.db2.gz QLMZKWCSENDZDQ-UHFFFAOYSA-N 0 3 309.438 4.305 20 0 DIADHN C[C@@H](C[C@H](O)c1ccco1)NCc1cccc(Cl)c1Cl ZINC000130617428 1044210105 /nfs/dbraw/zinc/21/01/05/1044210105.db2.gz REVLKYWVRZYALT-GWCFXTLKSA-N 0 3 314.212 4.188 20 0 DIADHN O=c1oc2ccc(CN[C@@H](CC3CC3)c3ccccc3)cc2o1 ZINC000883018820 1044213087 /nfs/dbraw/zinc/21/30/87/1044213087.db2.gz PVUDMFRBFQDLFD-INIZCTEOSA-N 0 3 309.365 4.017 20 0 DIADHN c1cc2cc(CNCc3cccc4c3CCOC4)ccc2s1 ZINC000883121244 1044223022 /nfs/dbraw/zinc/22/30/22/1044223022.db2.gz BSFVGBSMAKSOHO-UHFFFAOYSA-N 0 3 309.434 4.264 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2c(Cl)cccc21)C(F)(F)F ZINC000359974933 1044223249 /nfs/dbraw/zinc/22/32/49/1044223249.db2.gz ITDNBWYRSXKHKC-BXKDBHETSA-N 0 3 307.743 4.342 20 0 DIADHN CCC[C@@](C)(NCc1cccc(NC(C)=O)c1)c1ccccc1 ZINC000934185136 1044230726 /nfs/dbraw/zinc/23/07/26/1044230726.db2.gz FYENDXYKAKJIRM-HXUWFJFHSA-N 0 3 310.441 4.450 20 0 DIADHN CCC[C@@](C)(NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000934184839 1044231094 /nfs/dbraw/zinc/23/10/94/1044231094.db2.gz CMYAEFKEVOOTJZ-OAQYLSRUSA-N 0 3 324.468 4.194 20 0 DIADHN CCC[C@](C)(NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000934184838 1044231704 /nfs/dbraw/zinc/23/17/04/1044231704.db2.gz CMYAEFKEVOOTJZ-NRFANRHFSA-N 0 3 324.468 4.194 20 0 DIADHN C/C=C\C[C@@H]1CCCN([C@@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000626160544 1044231763 /nfs/dbraw/zinc/23/17/63/1044231763.db2.gz KGUWEHYUCUZKHW-MYIWKSEUSA-N 0 3 311.429 4.476 20 0 DIADHN COCc1cccc(CNCc2ccc(C(C)(F)F)cc2)c1 ZINC000292949018 1044234194 /nfs/dbraw/zinc/23/41/94/1044234194.db2.gz KKFPDRLKCDAPPN-UHFFFAOYSA-N 0 3 305.368 4.235 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCCCC2CC2)c2ccccc2)cc1 ZINC000522906560 1044240405 /nfs/dbraw/zinc/24/04/05/1044240405.db2.gz XJXOERMYMJFSTB-FQEVSTJZSA-N 0 3 322.452 4.455 20 0 DIADHN CCSc1ccc(CN[C@@H](C)c2cccc(CO)c2)cc1 ZINC000883245713 1044243247 /nfs/dbraw/zinc/24/32/47/1044243247.db2.gz QEOXKAYRVKOHHF-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnn(CC(F)F)c1)C(C)(C)C ZINC000293074919 1044245353 /nfs/dbraw/zinc/24/53/53/1044245353.db2.gz UMDODDGCBXRTEJ-KRWDZBQOSA-N 0 3 321.415 4.334 20 0 DIADHN CC1(CN[C@H](c2ccc(F)cc2)c2cccnc2)CC(F)(F)C1 ZINC000883255965 1044245073 /nfs/dbraw/zinc/24/50/73/1044245073.db2.gz KAEDUFKCIDUVEX-MRXNPFEDSA-N 0 3 320.358 4.335 20 0 DIADHN Clc1nc2ccccc2cc1CN[C@@H]1CCOC12CCCC2 ZINC000934392777 1044246024 /nfs/dbraw/zinc/24/60/24/1044246024.db2.gz CJLMPJVQDXCPDL-MRXNPFEDSA-N 0 3 316.832 4.080 20 0 DIADHN CC1(CNCc2ncc(-c3ccccc3)s2)CC(F)(F)C1 ZINC000883250238 1044246319 /nfs/dbraw/zinc/24/63/19/1044246319.db2.gz JKAPVQIMPRQYKX-UHFFFAOYSA-N 0 3 308.397 4.335 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cccc2cccnc21 ZINC000883258564 1044248129 /nfs/dbraw/zinc/24/81/29/1044248129.db2.gz HAGJBCNFZOJZQF-UHFFFAOYSA-N 0 3 318.420 4.411 20 0 DIADHN COC1([C@@H](C)N[C@H](C)c2sccc2Br)CCC1 ZINC000563346310 1044249595 /nfs/dbraw/zinc/24/95/95/1044249595.db2.gz MHBRDDZJVFVJKE-NXEZZACHSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1CC[C@H](c2nccn2C)C1 ZINC000563351092 1044249705 /nfs/dbraw/zinc/24/97/05/1044249705.db2.gz WEAAUUYTISQRHM-AAEUAGOBSA-N 0 3 324.255 4.277 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1ccccn1)CC(F)F ZINC000883259664 1044250277 /nfs/dbraw/zinc/25/02/77/1044250277.db2.gz TYXDZFIIMZBDPO-KRWDZBQOSA-N 0 3 304.384 4.442 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@H](c3cccc(Cl)c3)C2)s1 ZINC000563343170 1044250623 /nfs/dbraw/zinc/25/06/23/1044250623.db2.gz DFBRZCYXOYVQDT-DZGCQCFKSA-N 0 3 321.877 4.316 20 0 DIADHN Cc1ccc(C(=O)CCN2CCC(c3ccc(C)nc3)CC2)cc1 ZINC000360242338 1044250980 /nfs/dbraw/zinc/25/09/80/1044250980.db2.gz OCCDADXNTCLBSW-UHFFFAOYSA-N 0 3 322.452 4.151 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1ccccc1F ZINC000522963150 1044251640 /nfs/dbraw/zinc/25/16/40/1044251640.db2.gz FRUFMMGQWLLVJI-SJCJKPOMSA-N 0 3 307.796 4.345 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NCc1ccccc1F ZINC000522963149 1044252426 /nfs/dbraw/zinc/25/24/26/1044252426.db2.gz FRUFMMGQWLLVJI-PXAZEXFGSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc(C(=O)N(C)C)c2)cc1 ZINC000360280550 1044255393 /nfs/dbraw/zinc/25/53/93/1044255393.db2.gz UWXSJCABLJTEFK-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN CO[C@H]1C[C@H](CN[C@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000883272328 1044257182 /nfs/dbraw/zinc/25/71/82/1044257182.db2.gz JXWIPFCLDSIBPC-JHJVBQTASA-N 0 3 320.433 4.025 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N[C@@H]3CC=CC[C@H]3C)o2)cc1C ZINC000934624104 1044262845 /nfs/dbraw/zinc/26/28/45/1044262845.db2.gz WIKBUPFVUVUCBF-UKPHBRMFSA-N 0 3 311.429 4.359 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CC(=O)Nc1ccccc1C(C)C ZINC000293261936 1044267595 /nfs/dbraw/zinc/26/75/95/1044267595.db2.gz BZLVHFIXSDMKAF-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@@H](c2ccncc2)C2CC2)c1C ZINC000934687513 1044269818 /nfs/dbraw/zinc/26/98/18/1044269818.db2.gz PHFZTPARRGUSKN-OAQYLSRUSA-N 0 3 322.452 4.450 20 0 DIADHN CC[C@@H](C)[C@H](N)C(=O)Nc1ccc(Oc2ccccc2)cc1C ZINC000648076227 1044270512 /nfs/dbraw/zinc/27/05/12/1044270512.db2.gz FIVGIGWUJXTYJB-ACJLOTCBSA-N 0 3 312.413 4.099 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](c3ccncc3)C3CC3)cc2c1 ZINC000934697529 1044270713 /nfs/dbraw/zinc/27/07/13/1044270713.db2.gz HPIBTXZNTJJIIL-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN Brc1ccc(CN[C@@H](c2ccncc2)C2CC2)s1 ZINC000934689458 1044272829 /nfs/dbraw/zinc/27/28/29/1044272829.db2.gz WJEFJVAFUISNOE-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN FC(F)Oc1cc(CNCc2ccc(C3CCC3)cc2)ccn1 ZINC000563501678 1044273687 /nfs/dbraw/zinc/27/36/87/1044273687.db2.gz VXQYMTQVJPTWHU-UHFFFAOYSA-N 0 3 318.367 4.240 20 0 DIADHN Cc1[nH]c2ccc(CN[C@H](C)c3cnn(C(C)C)c3)cc2c1C ZINC000360494947 1044279760 /nfs/dbraw/zinc/27/97/60/1044279760.db2.gz IMZHRXGYCNAAOS-OAHLLOKOSA-N 0 3 310.445 4.413 20 0 DIADHN COCc1c(C)cc(C)c(CN2CC(C)(CC(F)F)C2)c1C ZINC001308832185 1044287014 /nfs/dbraw/zinc/28/70/14/1044287014.db2.gz JKMUJWLNWGFVQE-UHFFFAOYSA-N 0 3 311.416 4.235 20 0 DIADHN c1nnc([C@H]([NH2+][C@H]2CCCc3ccccc32)C2CCCCC2)[n-]1 ZINC000293464307 1044288245 /nfs/dbraw/zinc/28/82/45/1044288245.db2.gz QFQGXCXWOFPRHQ-ZWKOTPCHSA-N 0 3 310.445 4.093 20 0 DIADHN CC[C@@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C)cc1 ZINC000360588113 1044288836 /nfs/dbraw/zinc/28/88/36/1044288836.db2.gz HEWIZXJKNGNAHX-UXHICEINSA-N 0 3 324.468 4.255 20 0 DIADHN c1nnc([C@@H]([NH2+][C@H]2CCCc3ccccc32)C2CCCCC2)[n-]1 ZINC000293464295 1044289392 /nfs/dbraw/zinc/28/93/92/1044289392.db2.gz QFQGXCXWOFPRHQ-ROUUACIJSA-N 0 3 310.445 4.093 20 0 DIADHN CC[C@@H](NCCCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000563656308 1044297439 /nfs/dbraw/zinc/29/74/39/1044297439.db2.gz MYAOMQRPAVAHAF-QGZVFWFLSA-N 0 3 318.509 4.406 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387487 1044299110 /nfs/dbraw/zinc/29/91/10/1044299110.db2.gz YTKLJADAUJPRKP-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN CC[C@@H](N[C@@H](Cn1ccnc1)c1ccccc1)c1cccs1 ZINC000883386997 1044300413 /nfs/dbraw/zinc/30/04/13/1044300413.db2.gz PXLKKEYARIVVAN-SJORKVTESA-N 0 3 311.454 4.427 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883386605 1044301026 /nfs/dbraw/zinc/30/10/26/1044301026.db2.gz JHVAKRIWGVASHY-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1cc2ccccc2[nH]c1=O ZINC000360718946 1044304651 /nfs/dbraw/zinc/30/46/51/1044304651.db2.gz DIZATLYNMIBQCQ-FZMZJTMJSA-N 0 3 324.346 4.103 20 0 DIADHN CCCc1nc(C)c(CNC2CC(c3cccc(F)c3)C2)o1 ZINC000293642022 1044307476 /nfs/dbraw/zinc/30/74/76/1044307476.db2.gz KTVPGUKMYXPUGH-UHFFFAOYSA-N 0 3 302.393 4.110 20 0 DIADHN CCOc1ccc(CNCc2ccc(C(F)F)cc2)cc1OC ZINC000360829769 1044314724 /nfs/dbraw/zinc/31/47/24/1044314724.db2.gz COBLJCGZVNURLW-UHFFFAOYSA-N 0 3 321.367 4.321 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@H](O)c1ccccc1Cl ZINC000132226753 1044316919 /nfs/dbraw/zinc/31/69/19/1044316919.db2.gz CRMBZBDTPACBGU-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@@H](CO)[C@@H]1C ZINC000475202862 1044324458 /nfs/dbraw/zinc/32/44/58/1044324458.db2.gz BJMCADJPBBDADO-STQMWFEESA-N 0 3 313.894 4.043 20 0 DIADHN CC1(C)CCC[C@]1(O)CNCc1cc(Cl)sc1Cl ZINC001202554306 1044324648 /nfs/dbraw/zinc/32/46/48/1044324648.db2.gz DPANNYKBHNPFQE-ZDUSSCGKSA-N 0 3 308.274 4.086 20 0 DIADHN FC(F)C1(CNCc2cc(Cl)ccc2Br)CC1 ZINC000475214778 1044326967 /nfs/dbraw/zinc/32/69/67/1044326967.db2.gz GRRHWTUORKTFEQ-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000293831377 1044336768 /nfs/dbraw/zinc/33/67/68/1044336768.db2.gz XAXJSXDBDJIFGE-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(OCC(F)F)cc1 ZINC000475275393 1044337279 /nfs/dbraw/zinc/33/72/79/1044337279.db2.gz GBNGNCBFXIYXHA-GXFFZTMASA-N 0 3 323.305 4.103 20 0 DIADHN COc1c(C)cc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)cc1C ZINC000475279423 1044338557 /nfs/dbraw/zinc/33/85/57/1044338557.db2.gz NTQLHSMANWCXMG-GXTWGEPZSA-N 0 3 301.352 4.085 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](C(F)(F)F)[C@H]3C)cc2c1 ZINC000475278541 1044338693 /nfs/dbraw/zinc/33/86/93/1044338693.db2.gz KQCZAEDYWGPBGA-YGRLFVJLSA-N 0 3 313.319 4.214 20 0 DIADHN CCc1ccc(CN(C)[C@@H]2CCCc3cc(OC)ccc32)cn1 ZINC000564128672 1044343327 /nfs/dbraw/zinc/34/33/27/1044343327.db2.gz CSVGTZHVXMQTJW-HXUWFJFHSA-N 0 3 310.441 4.162 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](C)c1cccnc1Cl ZINC000132939775 1044345152 /nfs/dbraw/zinc/34/51/52/1044345152.db2.gz SZEDQAUKWYRFMA-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H](CCCO)c1ccccc1 ZINC000293894478 1044348569 /nfs/dbraw/zinc/34/85/69/1044348569.db2.gz VNOFCQSHRMZOAW-FQEVSTJZSA-N 0 3 312.457 4.117 20 0 DIADHN CCCN(Cc1cccc2nccn21)[C@@H]1CCCc2cccnc21 ZINC000361038585 1044352185 /nfs/dbraw/zinc/35/21/85/1044352185.db2.gz QMVPPLJXJQOUIU-GOSISDBHSA-N 0 3 320.440 4.019 20 0 DIADHN Cc1ccc(C2CCN(Cc3cccc(Cl)c3)CC2)cn1 ZINC000361054018 1044354578 /nfs/dbraw/zinc/35/45/78/1044354578.db2.gz BCCNLMUQWHKFID-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@@H](O)CCCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000475313314 1044356416 /nfs/dbraw/zinc/35/64/16/1044356416.db2.gz DSMPZESMYDTASD-CJNGLKHVSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1cccc(CCN[C@H](c2cccc(F)c2)c2ccccn2)c1 ZINC000564251523 1044365225 /nfs/dbraw/zinc/36/52/25/1044365225.db2.gz YPFKUXPJOZBKHK-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@H](c3ccc(F)cc3)C2)cs1 ZINC000475331927 1044365277 /nfs/dbraw/zinc/36/52/77/1044365277.db2.gz QJHUZNDVGRZHMR-HIFRSBDPSA-N 0 3 304.434 4.271 20 0 DIADHN Fc1ccc([C@H]2CC[C@@H](NCc3ncc(Cl)s3)C2)cc1 ZINC000475333697 1044365468 /nfs/dbraw/zinc/36/54/68/1044365468.db2.gz OFTLTOHXLZSAAD-WCQYABFASA-N 0 3 310.825 4.362 20 0 DIADHN Cc1cc(F)c(CNCC[C@@H]2CCc3ccccc32)c(F)c1 ZINC001202602709 1044367234 /nfs/dbraw/zinc/36/72/34/1044367234.db2.gz LCLVETWWPDPPHO-HNNXBMFYSA-N 0 3 301.380 4.483 20 0 DIADHN CCc1cnc(CN2CC[C@H](c3ccc(C)cc3C)C2)s1 ZINC000475343175 1044370211 /nfs/dbraw/zinc/37/02/11/1044370211.db2.gz QGVKKJVPVWKCNZ-HNNXBMFYSA-N 0 3 300.471 4.312 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361191646 1044373363 /nfs/dbraw/zinc/37/33/63/1044373363.db2.gz TZWHEFBSRIPNCK-BDJLRTHQSA-N 0 3 321.342 4.148 20 0 DIADHN COc1cccc(Cl)c1CNCC(C)(C)c1ncccc1C ZINC000564417069 1044375910 /nfs/dbraw/zinc/37/59/10/1044375910.db2.gz OEVJEPMZTVBPDS-UHFFFAOYSA-N 0 3 318.848 4.119 20 0 DIADHN Cc1csc(C2(N[C@@H]3C[C@@H](OC(C)C)C3(C)C)CCC2)n1 ZINC000361235992 1044378025 /nfs/dbraw/zinc/37/80/25/1044378025.db2.gz GRXJPMAIUPEMMB-ZIAGYGMSSA-N 0 3 308.491 4.012 20 0 DIADHN FC(F)(F)c1csc(CNC[C@H]2C[C@H]2c2ccccc2)n1 ZINC000348264713 1044379028 /nfs/dbraw/zinc/37/90/28/1044379028.db2.gz VIBZEJKXCNSTAA-NEPJUHHUSA-N 0 3 312.360 4.055 20 0 DIADHN C[C@H]1CCN(Cc2ccc([S@](C)=O)cc2)CC12CCCCC2 ZINC000361271175 1044382393 /nfs/dbraw/zinc/38/23/93/1044382393.db2.gz IYTXDMRIDCTDGX-AOMKIAJQSA-N 0 3 319.514 4.216 20 0 DIADHN C[C@H]1CCN(Cc2ccc([S@@](C)=O)cc2)CC12CCCCC2 ZINC000361271181 1044383722 /nfs/dbraw/zinc/38/37/22/1044383722.db2.gz IYTXDMRIDCTDGX-KSFYIVLOSA-N 0 3 319.514 4.216 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cncc(C(F)(F)F)c1 ZINC000361322802 1044392811 /nfs/dbraw/zinc/39/28/11/1044392811.db2.gz AGGAAWXAKNGMDT-LLVKDONJSA-N 0 3 306.397 4.064 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc(SC)c(OC)c2)CC1 ZINC000109332451 1044395293 /nfs/dbraw/zinc/39/52/93/1044395293.db2.gz XTUJSSWSESJRDR-INIZCTEOSA-N 0 3 323.502 4.198 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCCC2)c1)[C@H](C)C(C)C ZINC000564668472 1044397019 /nfs/dbraw/zinc/39/70/19/1044397019.db2.gz VPHUAZAHKZZDKC-QGZVFWFLSA-N 0 3 316.489 4.179 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@H]1CCC2(CCCCC2)O1 ZINC000564702662 1044399741 /nfs/dbraw/zinc/39/97/41/1044399741.db2.gz FLAQBGNMUHGZNB-GOSISDBHSA-N 0 3 302.462 4.174 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](C)c2ccc(F)cc2)c1 ZINC000192908343 1044400871 /nfs/dbraw/zinc/40/08/71/1044400871.db2.gz WRPMFMAPCNXOSV-CHWSQXEVSA-N 0 3 300.377 4.196 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2nc(-c3ccsc3)oc2C)CC1 ZINC000361412387 1044402083 /nfs/dbraw/zinc/40/20/83/1044402083.db2.gz ISECSFWXYVKUMV-KRWDZBQOSA-N 0 3 320.458 4.103 20 0 DIADHN CC[C@@H](NCC[C@@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000348283839 1044406353 /nfs/dbraw/zinc/40/63/53/1044406353.db2.gz YKQWDRJTEKWPNJ-WDEREUQCSA-N 0 3 322.396 4.162 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000361481129 1044407018 /nfs/dbraw/zinc/40/70/18/1044407018.db2.gz JUITXOOQAWIENM-NSHDSACASA-N 0 3 319.474 4.197 20 0 DIADHN CCN(Cc1nnc(-c2ccc(Cl)cc2)o1)CC1CCC1 ZINC000361482021 1044407099 /nfs/dbraw/zinc/40/70/99/1044407099.db2.gz TWEGDMGAOVWJNN-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000192946782 1044407505 /nfs/dbraw/zinc/40/75/05/1044407505.db2.gz XPOQASZAEBGMQQ-UONOGXRCSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@H]1C[C@@H](CO)CCN1CCCc1c(Cl)cccc1Cl ZINC000565272407 1044425937 /nfs/dbraw/zinc/42/59/37/1044425937.db2.gz MOHSEQCWKNOHDE-STQMWFEESA-N 0 3 316.272 4.019 20 0 DIADHN Cc1cc(CN2CCC([C@@H](O)c3ccccc3)CC2)cs1 ZINC000294512223 1044430485 /nfs/dbraw/zinc/43/04/85/1044430485.db2.gz DDFSSLGLXFKQPV-SFHVURJKSA-N 0 3 301.455 4.002 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2cccnc2)cc1OCC ZINC000112515787 1044440300 /nfs/dbraw/zinc/44/03/00/1044440300.db2.gz FBBWMDSYZPXCPF-HUUCEWRRSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1ccc(Cl)cc1 ZINC000112522127 1044441528 /nfs/dbraw/zinc/44/15/28/1044441528.db2.gz LTZKDGBOYPGXDJ-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN CC[C@H](NC[C@@H](OC(C)C)c1ccccc1)c1c(C)noc1C ZINC000428349110 1044441644 /nfs/dbraw/zinc/44/16/44/1044441644.db2.gz ATMUTVAQBYKDJU-ZWKOTPCHSA-N 0 3 316.445 4.498 20 0 DIADHN CC[C@@H](NC[C@@H](OC(C)C)c1ccccc1)c1c(C)noc1C ZINC000428349106 1044441923 /nfs/dbraw/zinc/44/19/23/1044441923.db2.gz ATMUTVAQBYKDJU-QZTJIDSGSA-N 0 3 316.445 4.498 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H](C)[C@@H]1CC1(F)F ZINC001308921274 1044442820 /nfs/dbraw/zinc/44/28/20/1044442820.db2.gz JBELDEYEIMQEBG-MFKMUULPSA-N 0 3 303.780 4.261 20 0 DIADHN Cc1cccc2c1OC[C@H](NCc1cc(Cl)ccc1Cl)C2 ZINC001202654989 1044444997 /nfs/dbraw/zinc/44/49/97/1044444997.db2.gz JABMSOOURKOMFC-OAHLLOKOSA-N 0 3 322.235 4.395 20 0 DIADHN C[C@@H](CSC(C)(C)C)NCc1cccc(C(F)(F)F)n1 ZINC000294677514 1044447803 /nfs/dbraw/zinc/44/78/03/1044447803.db2.gz BHZZQHREJGRTTF-JTQLQIEISA-N 0 3 306.397 4.110 20 0 DIADHN Clc1ccc([C@@H](NC[C@H]2CCCO2)c2ccccc2)cc1 ZINC000565670762 1044448951 /nfs/dbraw/zinc/44/89/51/1044448951.db2.gz LZQQKUDBXDYWJW-MSOLQXFVSA-N 0 3 301.817 4.198 20 0 DIADHN CC(C)CNC(=O)[C@@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361843258 1044450843 /nfs/dbraw/zinc/45/08/43/1044450843.db2.gz LUXUEGSWQVCTCL-PBHICJAKSA-N 0 3 322.468 4.053 20 0 DIADHN COC[C@@H](NCc1cc(C)nc2ccccc12)c1ccccc1 ZINC000113355596 1044450913 /nfs/dbraw/zinc/45/09/13/1044450913.db2.gz LORPTZGATSYZLP-HXUWFJFHSA-N 0 3 306.409 4.021 20 0 DIADHN CC(C)CNC(=O)[C@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361843262 1044451552 /nfs/dbraw/zinc/45/15/52/1044451552.db2.gz LUXUEGSWQVCTCL-WMLDXEAASA-N 0 3 322.468 4.053 20 0 DIADHN CCc1nc(CN[C@H](C)CCc2ccc(F)cc2F)cs1 ZINC000565708367 1044452901 /nfs/dbraw/zinc/45/29/01/1044452901.db2.gz JUMJKGGBCSLGCZ-LLVKDONJSA-N 0 3 310.413 4.095 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccccc1 ZINC000565763137 1044455335 /nfs/dbraw/zinc/45/53/35/1044455335.db2.gz HALZORJYBBZEHG-KDOFPFPSSA-N 0 3 302.849 4.294 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1cccc(OC(F)F)c1 ZINC000361891030 1044457347 /nfs/dbraw/zinc/45/73/47/1044457347.db2.gz VVUACCGBWRLODL-GUYCJALGSA-N 0 3 321.367 4.062 20 0 DIADHN CC[C@H](N[C@@H](CCO)CSC)c1ccc(Cl)cc1Cl ZINC000361893318 1044457735 /nfs/dbraw/zinc/45/77/35/1044457735.db2.gz QDIGULHINBWNNU-FZMZJTMJSA-N 0 3 322.301 4.148 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](c2ccncc2)C1 ZINC000565841792 1044459363 /nfs/dbraw/zinc/45/93/63/1044459363.db2.gz LLDYMEKFJDLWJE-KBXCAEBGSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)NC(C)(C)c1cccs1 ZINC000348303241 1044466353 /nfs/dbraw/zinc/46/63/53/1044466353.db2.gz CNJJSLWYZYWDNZ-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN Fc1cc(CNCCOCC2CCCC2)ccc1C(F)F ZINC001202684332 1044468907 /nfs/dbraw/zinc/46/89/07/1044468907.db2.gz QXDNTRZYBRUUOO-UHFFFAOYSA-N 0 3 301.352 4.060 20 0 DIADHN CC[C@@H](NC[C@]1(C)CCCO1)c1ccccc1Br ZINC000362022645 1044469729 /nfs/dbraw/zinc/46/97/29/1044469729.db2.gz FZCJCLWDJJRNIG-CABCVRRESA-N 0 3 312.251 4.059 20 0 DIADHN FC1(F)CC(CN[C@@H](c2cccc(Cl)c2)c2ccccn2)C1 ZINC000294914988 1044471209 /nfs/dbraw/zinc/47/12/09/1044471209.db2.gz JHULCFPXUOXKKB-INIZCTEOSA-N 0 3 322.786 4.459 20 0 DIADHN C[C@@H](c1ccc(CN[C@H](CO)c2ccccc2)cc1)C(F)(F)F ZINC000294929487 1044473352 /nfs/dbraw/zinc/47/33/52/1044473352.db2.gz HGRCKPHGNACFGU-SUMWQHHRSA-N 0 3 323.358 4.176 20 0 DIADHN C[C@H](c1ccc(CN[C@H](CO)c2ccccc2)cc1)C(F)(F)F ZINC000294929475 1044473361 /nfs/dbraw/zinc/47/33/61/1044473361.db2.gz HGRCKPHGNACFGU-CXAGYDPISA-N 0 3 323.358 4.176 20 0 DIADHN c1sc(C2CCCC2)nc1CN1CCC[C@@H]1[C@H]1CCCOC1 ZINC000566172754 1044476547 /nfs/dbraw/zinc/47/65/47/1044476547.db2.gz FYZFJONZFGYUOK-DOTOQJQBSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1cnccc1CCN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000566169822 1044477351 /nfs/dbraw/zinc/47/73/51/1044477351.db2.gz HLNSEGVETMXTDO-CYBMUJFWSA-N 0 3 303.475 4.037 20 0 DIADHN CC[C@@H](NCC1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000294980260 1044479379 /nfs/dbraw/zinc/47/93/79/1044479379.db2.gz LWHUCIDZELNNMR-MRVPVSSYSA-N 0 3 314.323 4.248 20 0 DIADHN CCCC[C@@H](C)[C@@H](C)Nc1ccccc1CN1CCC(O)CC1 ZINC000566391101 1044487214 /nfs/dbraw/zinc/48/72/14/1044487214.db2.gz ZMVVPRCNFIRSGP-IAGOWNOFSA-N 0 3 318.505 4.270 20 0 DIADHN COc1cc(CCN[C@H](C)c2csc(C)n2)ccc1Cl ZINC000295070334 1044487940 /nfs/dbraw/zinc/48/79/40/1044487940.db2.gz RYNCXFXZXMHCFO-SNVBAGLBSA-N 0 3 310.850 4.007 20 0 DIADHN CCc1nc(C)c(CN2C[C@@H](C)C[C@H]2c2cccc(OC)c2)o1 ZINC000428357836 1044489105 /nfs/dbraw/zinc/48/91/05/1044489105.db2.gz FVRIQTDGBHYPJT-GUYCJALGSA-N 0 3 314.429 4.137 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000475534760 1044493491 /nfs/dbraw/zinc/49/34/91/1044493491.db2.gz WSAJYIRSNWTGFG-BBRMVZONSA-N 0 3 302.393 4.491 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)cn1 ZINC000119339471 1044493731 /nfs/dbraw/zinc/49/37/31/1044493731.db2.gz DYBRRZXKRUEIBX-FZKQIMNGSA-N 0 3 301.409 4.234 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccc([S@](C)=O)cc1 ZINC000119390546 1044495636 /nfs/dbraw/zinc/49/56/36/1044495636.db2.gz BWSPFNYQPWHBMT-CFTTWAEZSA-N 0 3 319.495 4.214 20 0 DIADHN C[C@H](N[C@@H]1CCn2ccnc21)[C@@H](C)c1ccccc1C(F)(F)F ZINC000295182595 1044496968 /nfs/dbraw/zinc/49/69/68/1044496968.db2.gz VZNYDUDPYDUGNT-TYNCELHUSA-N 0 3 323.362 4.129 20 0 DIADHN C[C@H]1CC[C@@H](CNCc2csc(COc3ccccc3)n2)C1 ZINC000475560170 1044501900 /nfs/dbraw/zinc/50/19/00/1044501900.db2.gz HGKRXVIBIUFPDF-LSDHHAIUSA-N 0 3 316.470 4.248 20 0 DIADHN CC(C)C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccccn1 ZINC000120450948 1044507703 /nfs/dbraw/zinc/50/77/03/1044507703.db2.gz UBVREWMBKUXVSP-ZWKOTPCHSA-N 0 3 318.848 4.145 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2cc(C)cc(C)c2)cc1 ZINC000120393667 1044508599 /nfs/dbraw/zinc/50/85/99/1044508599.db2.gz UYIGNCMWIWPTQJ-OXJNMPFZSA-N 0 3 313.441 4.086 20 0 DIADHN C[C@@H](CCCCO)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000566837044 1044520731 /nfs/dbraw/zinc/52/07/31/1044520731.db2.gz CTBWCHQUVRTBBM-LBPRGKRZSA-N 0 3 324.877 4.104 20 0 DIADHN CC[C@@H](NCc1ccncc1)c1cc(F)cc(Br)c1 ZINC000362512192 1044522894 /nfs/dbraw/zinc/52/28/94/1044522894.db2.gz PJLUMYLLBZXDDN-OAHLLOKOSA-N 0 3 323.209 4.224 20 0 DIADHN COc1ccc2nc(CN3CC[C@H](c4ccccc4)C3)sc2c1 ZINC000475581609 1044523646 /nfs/dbraw/zinc/52/36/46/1044523646.db2.gz PQQCZRUKDCAYHU-HNNXBMFYSA-N 0 3 324.449 4.294 20 0 DIADHN Cc1cc(C)c(C(=O)Nc2cc(CN(C)C)ccc2C)c(C)c1 ZINC000121459340 1044524278 /nfs/dbraw/zinc/52/42/78/1044524278.db2.gz QTKCDSNTJZLAQR-UHFFFAOYSA-N 0 3 310.441 4.234 20 0 DIADHN Clc1cc(CN2CCC[C@@H]3CCC[C@H]32)cc2c1OCCCO2 ZINC000567012280 1044534328 /nfs/dbraw/zinc/53/43/28/1044534328.db2.gz UQMLWZSLVOACLO-GOEBONIOSA-N 0 3 321.848 4.266 20 0 DIADHN C[C@@H](CO)N(C)Cc1ccccc1Oc1ccc(F)cc1Cl ZINC000567026388 1044536034 /nfs/dbraw/zinc/53/60/34/1044536034.db2.gz AITFUYRARWMXKU-LBPRGKRZSA-N 0 3 323.795 4.084 20 0 DIADHN FC(F)(F)Oc1ccc([C@@H]2CCCN2Cc2ccc[nH]2)cc1 ZINC001204011300 1044536700 /nfs/dbraw/zinc/53/67/00/1044536700.db2.gz ZUJIAJXWPCHSNO-HNNXBMFYSA-N 0 3 310.319 4.250 20 0 DIADHN CC(C)(O)c1ccc(CNC(C)(C)c2cccc(F)c2)cc1 ZINC000295528485 1044539861 /nfs/dbraw/zinc/53/98/61/1044539861.db2.gz VFEGXYSTSGCFMH-UHFFFAOYSA-N 0 3 301.405 4.078 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000925154273 1044544045 /nfs/dbraw/zinc/54/40/45/1044544045.db2.gz AZWMJWXCVROEOO-YQVWRLOYSA-N 0 3 312.482 4.353 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N(C)C[C@@H]1CC=CCC1 ZINC000567196901 1044549082 /nfs/dbraw/zinc/54/90/82/1044549082.db2.gz AXPSRCYONZDTAQ-QWHCGFSZSA-N 0 3 303.431 4.147 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@H](c3ccc(Cl)cc3)C2)s1 ZINC000567220174 1044551080 /nfs/dbraw/zinc/55/10/80/1044551080.db2.gz ACRIDMRKMQGJIU-ZFWWWQNUSA-N 0 3 321.877 4.316 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CCC(C)(C)C)c1ccsc1 ZINC000523121567 1044551811 /nfs/dbraw/zinc/55/18/11/1044551811.db2.gz GFPDRJHDBCNNGY-OAHLLOKOSA-N 0 3 310.507 4.074 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@@H](C)NC1(CF)CCC1 ZINC000567271831 1044554697 /nfs/dbraw/zinc/55/46/97/1044554697.db2.gz ZRXRYKOKLBURTR-CQSZACIVSA-N 0 3 306.425 4.009 20 0 DIADHN CSc1ccc(CN2CCCN(c3ccccc3)CC2)s1 ZINC000567287698 1044555276 /nfs/dbraw/zinc/55/52/76/1044555276.db2.gz LVBNDBKXBPOQGG-UHFFFAOYSA-N 0 3 318.511 4.182 20 0 DIADHN CN(C)C[C@H](N[C@@H]1CCCc2sccc21)c1ccc(F)cc1 ZINC000348337558 1044555155 /nfs/dbraw/zinc/55/51/55/1044555155.db2.gz TXPYXCYBPPKDBE-SJORKVTESA-N 0 3 318.461 4.157 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccccc1 ZINC000348337681 1044555371 /nfs/dbraw/zinc/55/53/71/1044555371.db2.gz ULBWFGHLVXNGKV-OALUTQOASA-N 0 3 300.421 4.169 20 0 DIADHN CN(C)C[C@H](N[C@H]1CCCc2sccc21)c1ccc(F)cc1 ZINC000348337556 1044555796 /nfs/dbraw/zinc/55/57/96/1044555796.db2.gz TXPYXCYBPPKDBE-IRXDYDNUSA-N 0 3 318.461 4.157 20 0 DIADHN CC[C@@H](NCc1ccc(N(CC)CC)nc1)c1ccsc1 ZINC000295771385 1044557845 /nfs/dbraw/zinc/55/78/45/1044557845.db2.gz AGPAKQZBWRNHSU-MRXNPFEDSA-N 0 3 303.475 4.230 20 0 DIADHN COc1ccc(CN(C)C/C=C\c2ccc(F)c(F)c2)cc1 ZINC000567370437 1044560510 /nfs/dbraw/zinc/56/05/10/1044560510.db2.gz IQDXWIGHIMJKEU-ARJAWSKDSA-N 0 3 303.352 4.119 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3nc(Cl)ccc32)cc1 ZINC000295812265 1044561184 /nfs/dbraw/zinc/56/11/84/1044561184.db2.gz CWHDDDRZJALYRT-INIZCTEOSA-N 0 3 316.832 4.301 20 0 DIADHN CC[C@@H](NCc1cccc(Cn2cccn2)c1)c1ccsc1 ZINC000295826499 1044563252 /nfs/dbraw/zinc/56/32/52/1044563252.db2.gz DPSKMILMOLRGPE-GOSISDBHSA-N 0 3 311.454 4.234 20 0 DIADHN Fc1ccc2cc(CNCc3cnc4ccccc4c3)[nH]c2c1 ZINC000362923677 1044564497 /nfs/dbraw/zinc/56/44/97/1044564497.db2.gz UBWYZWXSOMXTFC-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1ccc(CSC(F)F)o1)C1CC1 ZINC000840960544 1044566159 /nfs/dbraw/zinc/56/61/59/1044566159.db2.gz KCIBTNZDJVLIFU-MEBBXXQBSA-N 0 3 319.417 4.029 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCC[C@H]3CCCC[C@@H]32)n1 ZINC000444570642 1044568696 /nfs/dbraw/zinc/56/86/96/1044568696.db2.gz IAQFKZVCERBORT-CJNGLKHVSA-N 0 3 315.392 4.030 20 0 DIADHN CC[C@@H]1C[C@H](CN[C@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000362955121 1044568995 /nfs/dbraw/zinc/56/89/95/1044568995.db2.gz PFBQYRRPRIENAL-GMTAPVOTSA-N 0 3 322.396 4.018 20 0 DIADHN Clc1ccc([C@H]2CCCN2CCO[C@@H]2CCCCO2)cc1 ZINC000444595318 1044570124 /nfs/dbraw/zinc/57/01/24/1044570124.db2.gz JNSGUNYTVPULKU-IAGOWNOFSA-N 0 3 309.837 4.020 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295861653 1044570569 /nfs/dbraw/zinc/57/05/69/1044570569.db2.gz GOVZJLDPMHXXIS-MLGOLLRUSA-N 0 3 316.832 4.472 20 0 DIADHN Clc1ccc([C@@H]2CCCN2CCO[C@@H]2CCCCO2)cc1 ZINC000444595316 1044570685 /nfs/dbraw/zinc/57/06/85/1044570685.db2.gz JNSGUNYTVPULKU-DLBZAZTESA-N 0 3 309.837 4.020 20 0 DIADHN c1nc(CNC[C@@H]2COc3ccccc32)sc1-c1ccccc1 ZINC000567541505 1044572556 /nfs/dbraw/zinc/57/25/56/1044572556.db2.gz CDVMZYZJEFBCCU-OAHLLOKOSA-N 0 3 322.433 4.076 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(OC(C)(C)C)cc2)cn1 ZINC000295877902 1044572909 /nfs/dbraw/zinc/57/29/09/1044572909.db2.gz GTAAKCKLFWNGKP-GJZGRUSLSA-N 0 3 315.461 4.492 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(OC)c3ccccc32)cn1 ZINC000296048802 1044585290 /nfs/dbraw/zinc/58/52/90/1044585290.db2.gz UXZHBCKLHPXHDY-GJZGRUSLSA-N 0 3 323.440 4.477 20 0 DIADHN C[C@H](NC[C@@H]1CCCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000296078129 1044588733 /nfs/dbraw/zinc/58/87/33/1044588733.db2.gz SKVSNXHIIGDZFJ-RDJZCZTQSA-N 0 3 312.413 4.094 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(CC)c2)cc1 ZINC000296118490 1044590587 /nfs/dbraw/zinc/59/05/87/1044590587.db2.gz ZXLHCDRTCALSAF-CVEARBPZSA-N 0 3 315.461 4.494 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C(C)(C)CO)cc1F ZINC000296124728 1044592249 /nfs/dbraw/zinc/59/22/49/1044592249.db2.gz VSQOFSKNCDBFCQ-INIZCTEOSA-N 0 3 307.434 4.045 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCOC4(CCCCC4)C3)CCC2)n1 ZINC000885961437 1044593150 /nfs/dbraw/zinc/59/31/50/1044593150.db2.gz YCQKMGQFNRFAJD-OAHLLOKOSA-N 0 3 320.502 4.302 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(F)cc2)C2CCCC2)c1C ZINC000885951578 1044594088 /nfs/dbraw/zinc/59/40/88/1044594088.db2.gz LANRYDJNFBHQHE-SFHVURJKSA-N 0 3 301.409 4.187 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1cccn1)c1ccsc1 ZINC000296207736 1044597760 /nfs/dbraw/zinc/59/77/60/1044597760.db2.gz ZGLIBLLTGWQEGE-SFHVURJKSA-N 0 3 311.454 4.234 20 0 DIADHN CC(C)c1nc(CN(C)C2CCC(F)(F)CC2)c2ccccn21 ZINC000568033589 1044599928 /nfs/dbraw/zinc/59/99/28/1044599928.db2.gz RUVBEXMFNBXPNX-UHFFFAOYSA-N 0 3 321.415 4.467 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)c1C ZINC000886001800 1044600351 /nfs/dbraw/zinc/60/03/51/1044600351.db2.gz JMCHVCSOAVDGEQ-UDTVLSCZSA-N 0 3 303.837 4.167 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3c(O)cccc32)cc1F ZINC000568075587 1044600794 /nfs/dbraw/zinc/60/07/94/1044600794.db2.gz ONIFIWIPVYPEPH-SJKOYZFVSA-N 0 3 315.388 4.268 20 0 DIADHN C[C@@H]1C[C@H](NCC2(c3ccc(F)cc3Cl)CC2)c2nccn21 ZINC000296229577 1044600958 /nfs/dbraw/zinc/60/09/58/1044600958.db2.gz AKUCNSUYTFTGCT-ABAIWWIYSA-N 0 3 319.811 4.003 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nc(-c2ccsc2)no1 ZINC000444676211 1044600963 /nfs/dbraw/zinc/60/09/63/1044600963.db2.gz NJRFLIGSADFYBK-TZMCWYRMSA-N 0 3 305.447 4.199 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nc(-c2ccsc2)no1 ZINC000444676207 1044601200 /nfs/dbraw/zinc/60/12/00/1044601200.db2.gz NJRFLIGSADFYBK-JSGCOSHPSA-N 0 3 305.447 4.199 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000444714181 1044603648 /nfs/dbraw/zinc/60/36/48/1044603648.db2.gz JFVOKJJFXSINDA-AWEZNQCLSA-N 0 3 301.352 4.248 20 0 DIADHN Cc1[nH]nc(CN[C@H](c2ccc(Cl)cc2F)C(C)C)c1C ZINC000886060277 1044604241 /nfs/dbraw/zinc/60/42/41/1044604241.db2.gz YOBKYJGSGOVSAQ-INIZCTEOSA-N 0 3 309.816 4.306 20 0 DIADHN Cc1[nH]nc(CN[C@@H](c2ccc(Cl)cc2F)C(C)C)c1C ZINC000886060278 1044604957 /nfs/dbraw/zinc/60/49/57/1044604957.db2.gz YOBKYJGSGOVSAQ-MRXNPFEDSA-N 0 3 309.816 4.306 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](CC(F)(F)F)C2)cc1 ZINC000444693734 1044606244 /nfs/dbraw/zinc/60/62/44/1044606244.db2.gz ILNSXUIDDOJASX-CQSZACIVSA-N 0 3 301.352 4.248 20 0 DIADHN COCC[C@H](c1ccccc1)N(C)Cc1cc(F)cc(F)c1 ZINC000444707500 1044608796 /nfs/dbraw/zinc/60/87/96/1044608796.db2.gz YCJHGXYDRZYAGC-GOSISDBHSA-N 0 3 305.368 4.174 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cccc(C3CC3)c2)c(F)c1 ZINC000568225359 1044611604 /nfs/dbraw/zinc/61/16/04/1044611604.db2.gz FOVGJVIICPLUKO-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN COc1ncc(CN[C@@H]2C[C@H](C)c3ccccc32)cc1Cl ZINC000296380480 1044612325 /nfs/dbraw/zinc/61/23/25/1044612325.db2.gz JPCNXUXXSRMHKH-MEDUHNTESA-N 0 3 302.805 4.082 20 0 DIADHN C[C@H]1c2ccsc2CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000042683267 1044615666 /nfs/dbraw/zinc/61/56/66/1044615666.db2.gz CSULTRLLEKKPBE-NHYWBVRUSA-N 0 3 307.846 4.054 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)cs1 ZINC000296441350 1044619310 /nfs/dbraw/zinc/61/93/10/1044619310.db2.gz NUQUBCYYOPCGJA-GOSISDBHSA-N 0 3 315.438 4.069 20 0 DIADHN C[C@@H](CN1C[C@@H](C)O[C@@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC000568405839 1044620680 /nfs/dbraw/zinc/62/06/80/1044620680.db2.gz VEQXBZZIKXQQAW-RZQQEMMASA-N 0 3 313.416 4.391 20 0 DIADHN C[C@H](CN1C[C@@H](C)O[C@@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC000568405837 1044620869 /nfs/dbraw/zinc/62/08/69/1044620869.db2.gz VEQXBZZIKXQQAW-JXXFODFXSA-N 0 3 313.416 4.391 20 0 DIADHN Clc1cccc2ccc(CN3CC[C@@H](c4cccnc4)C3)nc12 ZINC001137225858 1044620925 /nfs/dbraw/zinc/62/09/25/1044620925.db2.gz FMFJULGDFGXUHP-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@H](CN1C[C@@H](C)O[C@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC000568405838 1044621152 /nfs/dbraw/zinc/62/11/52/1044621152.db2.gz VEQXBZZIKXQQAW-QINHECLXSA-N 0 3 313.416 4.391 20 0 DIADHN FC[C@@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccc(F)cc1 ZINC000886178640 1044624371 /nfs/dbraw/zinc/62/43/71/1044624371.db2.gz AZSVIUWQPJDZIL-DLBZAZTESA-N 0 3 309.400 4.308 20 0 DIADHN CC(C)c1nc(CN[C@@H]2CC(C)(C)CCc3ccccc32)n[nH]1 ZINC000296531054 1044624522 /nfs/dbraw/zinc/62/45/22/1044624522.db2.gz QLPBNVGXXDGHNO-MRXNPFEDSA-N 0 3 312.461 4.122 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000296563075 1044627059 /nfs/dbraw/zinc/62/70/59/1044627059.db2.gz SKEHGQQPJIZXPH-ZBEGNZNMSA-N 0 3 306.331 4.124 20 0 DIADHN Cc1noc(CCCN2CCCC[C@H]2c2cccc(Cl)c2)n1 ZINC000444807251 1044628564 /nfs/dbraw/zinc/62/85/64/1044628564.db2.gz WEJDDWHQQSCIKM-INIZCTEOSA-N 0 3 319.836 4.191 20 0 DIADHN Cc1ccccc1[C@@H](NCc1n[nH]c(C(C)C)n1)C1CCCC1 ZINC000296564316 1044629903 /nfs/dbraw/zinc/62/99/03/1044629903.db2.gz SKXSRSSDFFYLSB-SFHVURJKSA-N 0 3 312.461 4.258 20 0 DIADHN C[C@H](NCCOC1CCCCC1)c1ccc(C(F)(F)F)nc1 ZINC000886315452 1044631886 /nfs/dbraw/zinc/63/18/86/1044631886.db2.gz MSNKSTJGZWSWCP-LBPRGKRZSA-N 0 3 316.367 4.100 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@]2(C)CCOC3(CCC3)C2)s1 ZINC000886342660 1044632349 /nfs/dbraw/zinc/63/23/49/1044632349.db2.gz GQOFFDPQKPCDCK-QGZVFWFLSA-N 0 3 322.518 4.270 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(C(F)(F)F)c1)CC2 ZINC000296584760 1044632186 /nfs/dbraw/zinc/63/21/86/1044632186.db2.gz UPTMOXYLZKSONH-OAHLLOKOSA-N 0 3 306.331 4.186 20 0 DIADHN CCc1cccc(CNCc2ccc(NC(=O)C(C)C)cc2)c1 ZINC000568519259 1044632729 /nfs/dbraw/zinc/63/27/29/1044632729.db2.gz CFDYFJKVRHFNDV-UHFFFAOYSA-N 0 3 310.441 4.133 20 0 DIADHN CCCCN(Cc1cc(C(=O)OC)co1)[C@H](C)c1ccccc1 ZINC000523452533 1044636856 /nfs/dbraw/zinc/63/68/56/1044636856.db2.gz RINMJCXDYDWCBD-OAHLLOKOSA-N 0 3 315.413 4.430 20 0 DIADHN CCCCN(Cc1cc(C(=O)OC)co1)[C@@H](C)c1ccccc1 ZINC000523452532 1044637019 /nfs/dbraw/zinc/63/70/19/1044637019.db2.gz RINMJCXDYDWCBD-HNNXBMFYSA-N 0 3 315.413 4.430 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N([C@H](C)C(=O)Nc2ccccc2)C1 ZINC000523441590 1044637795 /nfs/dbraw/zinc/63/77/95/1044637795.db2.gz ZUQFMXXARIHQAZ-RCCFBDPRSA-N 0 3 322.452 4.200 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](CC)c1ccccc1 ZINC000066109861 1044640485 /nfs/dbraw/zinc/64/04/85/1044640485.db2.gz CJVHPIIZAOZODU-SFHVURJKSA-N 0 3 310.441 4.237 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@H]1CCC[C@@H](C)C1)c1ccco1 ZINC000568670496 1044642065 /nfs/dbraw/zinc/64/20/65/1044642065.db2.gz CCEVSXDRFCUAGP-LTIDMASMSA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1ccsc1 ZINC000296736336 1044644030 /nfs/dbraw/zinc/64/40/30/1044644030.db2.gz JFIUJAJXKQUORY-APXLUKDGSA-N 0 3 307.484 4.287 20 0 DIADHN C[C@H]1C[C@H](NCC2(c3ccccc3)CCCCC2)c2nccn21 ZINC000568717170 1044646891 /nfs/dbraw/zinc/64/68/91/1044646891.db2.gz OXLHSZPCQMQVKE-WMZOPIPTSA-N 0 3 309.457 4.381 20 0 DIADHN C[C@@H]1C[C@H](NCC2(c3ccccc3)CCCCC2)c2nccn21 ZINC000568717165 1044646926 /nfs/dbraw/zinc/64/69/26/1044646926.db2.gz OXLHSZPCQMQVKE-AEFFLSMTSA-N 0 3 309.457 4.381 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCSc2c(F)cccc21)c1cnn(C)c1 ZINC000886502911 1044648634 /nfs/dbraw/zinc/64/86/34/1044648634.db2.gz TVQCCPOIEHALSH-HZPDHXFCSA-N 0 3 319.449 4.083 20 0 DIADHN Cc1[nH]c2ccc(CN[C@@H](c3cnn(C)c3)C(C)C)cc2c1C ZINC000886512656 1044652007 /nfs/dbraw/zinc/65/20/07/1044652007.db2.gz KFKHQZBMQFHYOR-LJQANCHMSA-N 0 3 310.445 4.005 20 0 DIADHN COC(=O)c1cncc([C@H](C)N[C@@H](c2ccccc2)C2CCC2)c1 ZINC000886522520 1044653802 /nfs/dbraw/zinc/65/38/02/1044653802.db2.gz LDUTVBVGLNZFMB-LIRRHRJNSA-N 0 3 324.424 4.060 20 0 DIADHN OC[C@@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccc1 ZINC000886528292 1044654480 /nfs/dbraw/zinc/65/44/80/1044654480.db2.gz JYUYWDGOLXEALQ-RTBURBONSA-N 0 3 324.399 4.008 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@@H](c2cnn(C)c2)C(C)C)c1C ZINC000886522599 1044654513 /nfs/dbraw/zinc/65/45/13/1044654513.db2.gz MVHZOYYVUVZUGI-LJQANCHMSA-N 0 3 324.472 4.314 20 0 DIADHN CSC1(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)CCC1 ZINC000569162038 1044679699 /nfs/dbraw/zinc/67/96/99/1044679699.db2.gz RWKYNTGQNAYLHI-UHFFFAOYSA-N 0 3 321.330 4.089 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H](C)c2cscn2)cc1OC ZINC000568883765 1044656341 /nfs/dbraw/zinc/65/63/41/1044656341.db2.gz SYTWDPPPMQZKHT-AGIUHOORSA-N 0 3 320.458 4.003 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c3cccc(Cl)c3[nH]c21)c1cn[nH]c1 ZINC000886557341 1044660257 /nfs/dbraw/zinc/66/02/57/1044660257.db2.gz YWPDCVZKZFPJKB-BMIGLBTASA-N 0 3 314.820 4.273 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H]1CCc2cccnc21 ZINC000886590411 1044665823 /nfs/dbraw/zinc/66/58/23/1044665823.db2.gz UWJUYAWEPMHICQ-XZOAIXRZSA-N 0 3 316.832 4.088 20 0 DIADHN CC[C@H]1CCCN1CC(=O)N(CC)c1cccc2ccccc21 ZINC000523606596 1044666382 /nfs/dbraw/zinc/66/63/82/1044666382.db2.gz GTOYEPJXJHGDDF-KRWDZBQOSA-N 0 3 310.441 4.067 20 0 DIADHN Cc1nc(C2CCN([C@@H](C)c3cc(F)ccc3F)CC2)[nH]c1C ZINC000886668638 1044676117 /nfs/dbraw/zinc/67/61/17/1044676117.db2.gz WQDPJOYGUURVIM-ZDUSSCGKSA-N 0 3 319.399 4.245 20 0 DIADHN Cc1nc(C2CCN(Cc3ccccc3Cl)CC2)[nH]c1C ZINC000886660691 1044676231 /nfs/dbraw/zinc/67/62/31/1044676231.db2.gz CYKUBFWSFLSZDB-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@@H](c2ncccn2)C1 ZINC000886676682 1044676369 /nfs/dbraw/zinc/67/63/69/1044676369.db2.gz KPUBPUYARIAMBN-ZIAGYGMSSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@@H](c2ncccn2)C1 ZINC000886676681 1044676843 /nfs/dbraw/zinc/67/68/43/1044676843.db2.gz KPUBPUYARIAMBN-UONOGXRCSA-N 0 3 301.821 4.071 20 0 DIADHN Brc1cscc1CN(CC1CC1)CC1CC1 ZINC000569171713 1044681917 /nfs/dbraw/zinc/68/19/17/1044681917.db2.gz JYKIVERJWMGFIU-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1cc(-c2ccco2)on1 ZINC000523788609 1044685626 /nfs/dbraw/zinc/68/56/26/1044685626.db2.gz DTDKPOMUVPZIPC-HOCLYGCPSA-N 0 3 308.381 4.313 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000569274700 1044688247 /nfs/dbraw/zinc/68/82/47/1044688247.db2.gz NEIAYKHYICFLEU-ACJLOTCBSA-N 0 3 320.839 4.433 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCC[C@H]3CCC[C@@H]32)o1 ZINC000075769214 1044690586 /nfs/dbraw/zinc/69/05/86/1044690586.db2.gz BJQXYUVACAADKS-DOMZBBRYSA-N 0 3 317.820 4.155 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@H]2c2ccc(Cl)cc2)[n-]1 ZINC000569674245 1044706494 /nfs/dbraw/zinc/70/64/94/1044706494.db2.gz SMVOKYVJYWRCTO-LSDHHAIUSA-N 0 3 318.852 4.007 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H]([C@H](C)O)C1 ZINC000445412993 1044712665 /nfs/dbraw/zinc/71/26/65/1044712665.db2.gz RTKVLAVRZRBRCM-ZIBATOQPSA-N 0 3 302.245 4.147 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H]([C@@H](C)O)C1 ZINC000445412989 1044713147 /nfs/dbraw/zinc/71/31/47/1044713147.db2.gz RTKVLAVRZRBRCM-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN CN(C)C[C@H](NCc1ccc(C(F)F)cc1)c1ccc(F)cc1 ZINC000569847593 1044716547 /nfs/dbraw/zinc/71/65/47/1044716547.db2.gz FVMJOLQFSRAIMF-KRWDZBQOSA-N 0 3 322.374 4.156 20 0 DIADHN CN(C)C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc(F)cc1 ZINC000569847598 1044717336 /nfs/dbraw/zinc/71/73/36/1044717336.db2.gz FVMJOLQFSRAIMF-QGZVFWFLSA-N 0 3 322.374 4.156 20 0 DIADHN CCc1nc([C@H](C)N[C@@H](CC)c2cccc3ccccc32)n[nH]1 ZINC000886920523 1044719022 /nfs/dbraw/zinc/71/90/22/1044719022.db2.gz AEPFBFVJGBVJCP-GUYCJALGSA-N 0 3 308.429 4.322 20 0 DIADHN Clc1ccncc1CN1CCC2(CCc3ccccc32)CC1 ZINC001137232154 1044726137 /nfs/dbraw/zinc/72/61/37/1044726137.db2.gz FVIPRLMIBRWWLF-UHFFFAOYSA-N 0 3 312.844 4.215 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NCc1ccc(-c2ccccc2)s1 ZINC000366977244 1044727701 /nfs/dbraw/zinc/72/77/01/1044727701.db2.gz SCEWYMVGVKFIEO-QGZVFWFLSA-N 0 3 323.465 4.316 20 0 DIADHN CC(C)Oc1ccc(CNCc2cc[nH]c2)c(C(F)(F)F)c1 ZINC000086252564 1044731960 /nfs/dbraw/zinc/73/19/60/1044731960.db2.gz UGJNJBHKBCYAPN-UHFFFAOYSA-N 0 3 312.335 4.111 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2c(C)nsc2C)cc1 ZINC000570151489 1044734810 /nfs/dbraw/zinc/73/48/10/1044734810.db2.gz SMZIBWPMVFOLQC-KRWDZBQOSA-N 0 3 302.443 4.106 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccccc2)s1 ZINC000089553126 1044741272 /nfs/dbraw/zinc/74/12/72/1044741272.db2.gz SQFKKMAZKOYRBA-QWHCGFSZSA-N 0 3 304.459 4.052 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@@H](C)c2ccccc2)s1 ZINC000089553127 1044741502 /nfs/dbraw/zinc/74/15/02/1044741502.db2.gz SQFKKMAZKOYRBA-STQMWFEESA-N 0 3 304.459 4.052 20 0 DIADHN C[C@@H](NCCCO[C@@H]1CCCc2ccccc21)c1nccs1 ZINC000089721627 1044745420 /nfs/dbraw/zinc/74/54/20/1044745420.db2.gz KQLVQKYZGIVKJI-RHSMWYFYSA-N 0 3 316.470 4.278 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000090034955 1044748023 /nfs/dbraw/zinc/74/80/23/1044748023.db2.gz PRPSITCPTJKLAH-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1cc(C)cc(OC)c1)CCC2 ZINC000090724163 1044749111 /nfs/dbraw/zinc/74/91/11/1044749111.db2.gz WEYTUKJZAZQWHA-HNNXBMFYSA-N 0 3 316.470 4.190 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(F)c2)cc1C ZINC000524156353 1044753238 /nfs/dbraw/zinc/75/32/38/1044753238.db2.gz IYIPJWCVEFIIOG-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cnccc2Cl)cc1 ZINC001137240890 1044758511 /nfs/dbraw/zinc/75/85/11/1044758511.db2.gz XYZQLGXKNNGSFK-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCCc3ccc(O)cc31)CCC2 ZINC000367893874 1044758697 /nfs/dbraw/zinc/75/86/97/1044758697.db2.gz ATSAGWCQMVHROV-RDJZCZTQSA-N 0 3 314.454 4.202 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000445574630 1044766087 /nfs/dbraw/zinc/76/60/87/1044766087.db2.gz NOENXBQTAFBELD-SZYCXQDTSA-N 0 3 314.256 4.147 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC000368158658 1044767353 /nfs/dbraw/zinc/76/73/53/1044767353.db2.gz INOUJRYVLNBNIN-RBUKOAKNSA-N 0 3 309.457 4.427 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H]1c3ccccc3C[C@H]1C)CCC2 ZINC000368163285 1044767416 /nfs/dbraw/zinc/76/74/16/1044767416.db2.gz RRWDLPRLENAIHE-NIKGAXFTSA-N 0 3 309.457 4.365 20 0 DIADHN Cc1c(CN(C)Cc2ccccc2-c2ccccc2C)cnn1C ZINC000570592547 1044767625 /nfs/dbraw/zinc/76/76/25/1044767625.db2.gz SINRQLXMUUSMRL-UHFFFAOYSA-N 0 3 319.452 4.336 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)c1 ZINC000570600803 1044769424 /nfs/dbraw/zinc/76/94/24/1044769424.db2.gz VORUTMIEVBNRLX-NXOUGTEYSA-N 0 3 316.832 4.231 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3CCCSC3)cs2)cc1 ZINC000570654867 1044772785 /nfs/dbraw/zinc/77/27/85/1044772785.db2.gz AISZVSCRZHVVTI-AWEZNQCLSA-N 0 3 318.511 4.351 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3cc(C)ccn3)C2)cc1Cl ZINC000570643404 1044772849 /nfs/dbraw/zinc/77/28/49/1044772849.db2.gz WBKGJMGGGNBXBH-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CCOCc1ccccc1CN[C@H](CC(C)C)c1ccccn1 ZINC000122613814 1044775859 /nfs/dbraw/zinc/77/58/59/1044775859.db2.gz WSDZVJAWBNVZAH-HXUWFJFHSA-N 0 3 312.457 4.495 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2coc(-c3ccc(C)cc3)n2)C1 ZINC000156191987 1044775805 /nfs/dbraw/zinc/77/58/05/1044775805.db2.gz UNJVGFGLJYBYLQ-NVXWUHKLSA-N 0 3 316.470 4.414 20 0 DIADHN COCC[C@H]1CCCCN([C@H](C)c2ncc(C(C)(C)C)o2)C1 ZINC000368658221 1044785286 /nfs/dbraw/zinc/78/52/86/1044785286.db2.gz HOHHJZHNSQJSPX-HUUCEWRRSA-N 0 3 308.466 4.172 20 0 DIADHN COc1ccc(C2(NCc3sc(C)nc3C)CCCC2)cc1 ZINC000123793858 1044788387 /nfs/dbraw/zinc/78/83/87/1044788387.db2.gz ABHOFHUJKCZXAX-UHFFFAOYSA-N 0 3 316.470 4.328 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N(C)CC1CCC1 ZINC000369177055 1044799359 /nfs/dbraw/zinc/79/93/59/1044799359.db2.gz NXDDMWVDZNQFJN-NSHDSACASA-N 0 3 305.809 4.183 20 0 DIADHN Clc1scc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c1Cl ZINC000571191491 1044803325 /nfs/dbraw/zinc/80/33/25/1044803325.db2.gz XTUKRURUPZDJEN-OUCADQQQSA-N 0 3 310.249 4.483 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccc2c(c1)ncn2C ZINC000571247093 1044805194 /nfs/dbraw/zinc/80/51/94/1044805194.db2.gz SQDQTMHSMUQVDD-GOSISDBHSA-N 0 3 323.440 4.165 20 0 DIADHN Cc1ncsc1CCN1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000369547950 1044807223 /nfs/dbraw/zinc/80/72/23/1044807223.db2.gz HLIVILVICLKPDE-HNNXBMFYSA-N 0 3 308.397 4.109 20 0 DIADHN Cc1csc(CN[C@H](C)[C@@H](C)c2nc3ccccc3s2)n1 ZINC000126245672 1044812170 /nfs/dbraw/zinc/81/21/70/1044812170.db2.gz LJDVHWDPVRKIPP-VXGBXAGGSA-N 0 3 317.483 4.343 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2cccc(C)c2C)cn1 ZINC000126327113 1044813156 /nfs/dbraw/zinc/81/31/56/1044813156.db2.gz IPLNSCUNFZPSOZ-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cc(C)cc([C@H](NC[C@H]2CCC=CO2)c2cccnc2)c1 ZINC000369737309 1044813738 /nfs/dbraw/zinc/81/37/38/1044813738.db2.gz SAZQODUQMIOZQA-WOJBJXKFSA-N 0 3 308.425 4.070 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2ccc(C)cc2C)cn1 ZINC000126435921 1044813958 /nfs/dbraw/zinc/81/39/58/1044813958.db2.gz XMKOVMIZEMNGEZ-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2ccc(C)c(C)c2)cn1 ZINC000126368750 1044814687 /nfs/dbraw/zinc/81/46/87/1044814687.db2.gz OLRQPPWQQCQGLV-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN O[C@H]1CCCC[C@@H]1CNCc1c(Cl)ccc(Cl)c1Cl ZINC000096845367 1044814835 /nfs/dbraw/zinc/81/48/35/1044814835.db2.gz GIVUKGHDHAAMPF-RNCFNFMXSA-N 0 3 322.663 4.288 20 0 DIADHN COc1ccc(C)cc1CN1CCC[C@@H]1c1ccccc1OC ZINC000524371329 1044819478 /nfs/dbraw/zinc/81/94/78/1044819478.db2.gz ATMYNDHGAJRCQE-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN[C@H](c1ccccc1)C1CC1 ZINC000445807008 1044821816 /nfs/dbraw/zinc/82/18/16/1044821816.db2.gz CWVFRWWXHWHIRF-OAQYLSRUSA-N 0 3 317.436 4.172 20 0 DIADHN CN(Cc1coc(-c2ccccc2)n1)[C@H]1CCc2ccccc2C1 ZINC000524425156 1044830356 /nfs/dbraw/zinc/83/03/56/1044830356.db2.gz XDTBKJYCIZDTAJ-FQEVSTJZSA-N 0 3 318.420 4.331 20 0 DIADHN CCC[C@@H](N[C@@H]1CCN(C(C)=O)c2ccccc21)c1cccnc1 ZINC000370372501 1044835729 /nfs/dbraw/zinc/83/57/29/1044835729.db2.gz AFSGSGCDJFARBX-RTBURBONSA-N 0 3 323.440 4.010 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1ccccc1OC(F)F ZINC000445878856 1044839131 /nfs/dbraw/zinc/83/91/31/1044839131.db2.gz WSJJAXPPUZYSIE-NSHDSACASA-N 0 3 311.294 4.355 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](CCO)C(C)(C)C)cs2)cc1 ZINC000572023861 1044839920 /nfs/dbraw/zinc/83/99/20/1044839920.db2.gz YBHNBANRRYJOBO-MRXNPFEDSA-N 0 3 318.486 4.005 20 0 DIADHN Fc1cccc([C@@H](NCc2cncc(F)c2)C2CCCC2)c1 ZINC000572068364 1044840746 /nfs/dbraw/zinc/84/07/46/1044840746.db2.gz YWGIRCNHQDUSBW-SFHVURJKSA-N 0 3 302.368 4.381 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CCCc2c3ccccc3[nH]c21 ZINC000572201017 1044846923 /nfs/dbraw/zinc/84/69/23/1044846923.db2.gz QEGHHZMXIIPOBL-UXHICEINSA-N 0 3 320.436 4.177 20 0 DIADHN CO[C@@H](CN1CCC(F)(F)[C@@H](C)C1)c1ccc(Cl)cc1 ZINC000572207544 1044847042 /nfs/dbraw/zinc/84/70/42/1044847042.db2.gz ATSQZYMVPBZGMU-FZMZJTMJSA-N 0 3 303.780 4.005 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C(C)C)CC2)c(C)c1 ZINC000524484421 1044851490 /nfs/dbraw/zinc/85/14/90/1044851490.db2.gz ZJDFTNAKCGATHY-QGZVFWFLSA-N 0 3 302.462 4.000 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccc2ncccc2c1 ZINC000264145352 1044854645 /nfs/dbraw/zinc/85/46/45/1044854645.db2.gz ZCTNZGHRVJFYLV-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN CC1=C[C@@H](C)C[C@@H](CNCc2nc(C(F)(F)F)cs2)C1 ZINC000572447086 1044861504 /nfs/dbraw/zinc/86/15/04/1044861504.db2.gz WVBNDHVEGYQADV-MWLCHTKSSA-N 0 3 304.381 4.244 20 0 DIADHN CCC(CC)N(CC)CC(=O)Nc1cccc(Cl)c1Cl ZINC000573132759 1044892579 /nfs/dbraw/zinc/89/25/79/1044892579.db2.gz GQDHYSSHHQBYPZ-UHFFFAOYSA-N 0 3 317.260 4.442 20 0 DIADHN C[C@@H](c1nc(-c2cccnc2)no1)N1CCC[C@@]2(CC=CCC2)C1 ZINC000114495008 1044865575 /nfs/dbraw/zinc/86/55/75/1044865575.db2.gz BWPZBDIWUGTOFQ-KXBFYZLASA-N 0 3 324.428 4.015 20 0 DIADHN Cc1nc2ccc(Nc3cc(OC(F)(F)F)ccc3O)cc2[nH]1 ZINC001213076151 1044870601 /nfs/dbraw/zinc/87/06/01/1044870601.db2.gz HVJCKCFNQXFSDM-UHFFFAOYSA-N 0 3 323.274 4.219 20 0 DIADHN FC(F)O[C@H]1CCC[C@@H]1NCc1cnc(C2CCCC2)s1 ZINC000337289202 1044880308 /nfs/dbraw/zinc/88/03/08/1044880308.db2.gz LTJGVCKRUDEULY-STQMWFEESA-N 0 3 316.417 4.051 20 0 DIADHN c1c2ccccc2oc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000572915773 1044881672 /nfs/dbraw/zinc/88/16/72/1044881672.db2.gz DAFRKROESIQBEM-YLJYHZDGSA-N 0 3 307.393 4.443 20 0 DIADHN Clc1ncc(CN[C@H](c2ccccc2)C2CCOCC2)s1 ZINC000371541721 1044883460 /nfs/dbraw/zinc/88/34/60/1044883460.db2.gz DQRPKZAAKAJEQO-OAHLLOKOSA-N 0 3 322.861 4.054 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CCCc3c(C)ccc(C)c32)c1C ZINC000572931675 1044884177 /nfs/dbraw/zinc/88/41/77/1044884177.db2.gz OPENZICTFIKVEA-LJQANCHMSA-N 0 3 311.473 4.304 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@@H](c3ccccc3C)C[C@@H]2C)c1 ZINC000524596720 1044885128 /nfs/dbraw/zinc/88/51/28/1044885128.db2.gz QNHQESSAXMMLKV-ROUUACIJSA-N 0 3 322.452 4.120 20 0 DIADHN CCCCN(CC(=O)N1CCCC[C@H]1C)[C@@H](C)c1ccccc1 ZINC000572981515 1044886408 /nfs/dbraw/zinc/88/64/08/1044886408.db2.gz MMEWUVPVPVEUJG-MSOLQXFVSA-N 0 3 316.489 4.251 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@@H]2C[C@H]2c2ccccc2)cs1 ZINC000283002585 1044889111 /nfs/dbraw/zinc/88/91/11/1044889111.db2.gz GJJXAXBAAFENEO-OMNBBPDLSA-N 0 3 316.470 4.132 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1cnn(C2CCCC2)c1 ZINC000337394727 1044889201 /nfs/dbraw/zinc/88/92/01/1044889201.db2.gz MPFJZSLUDBBXTC-HNNXBMFYSA-N 0 3 320.440 4.397 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000337449935 1044901351 /nfs/dbraw/zinc/90/13/51/1044901351.db2.gz HNXZETSZGBEKDA-DCAQKATOSA-N 0 3 322.396 4.018 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000337449938 1044902139 /nfs/dbraw/zinc/90/21/39/1044902139.db2.gz HNXZETSZGBEKDA-VWYCJHECSA-N 0 3 322.396 4.018 20 0 DIADHN Fc1ccc(F)c(CNCC2(Sc3ccccc3)CC2)c1 ZINC000524687787 1044905237 /nfs/dbraw/zinc/90/52/37/1044905237.db2.gz BIIMHTBCVBADJP-UHFFFAOYSA-N 0 3 305.393 4.379 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(Br)cc21)c1cccnc1 ZINC000193157635 1044905763 /nfs/dbraw/zinc/90/57/63/1044905763.db2.gz TYYXKUJROZWXEH-MEDUHNTESA-N 0 3 317.230 4.182 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnn(C2CCCC2)c1)c1ccccc1 ZINC000337470964 1044905669 /nfs/dbraw/zinc/90/56/69/1044905669.db2.gz NNAOKMQGOKHKMA-HNAYVOBHSA-N 0 3 313.445 4.037 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnn(C2CCCC2)c1)c1ccccc1 ZINC000337470965 1044906263 /nfs/dbraw/zinc/90/62/63/1044906263.db2.gz NNAOKMQGOKHKMA-KXBFYZLASA-N 0 3 313.445 4.037 20 0 DIADHN COCC(C)(C)CCCNCc1cscc1Br ZINC000573401933 1044906638 /nfs/dbraw/zinc/90/66/38/1044906638.db2.gz CUSIQMSMBCBNEM-UHFFFAOYSA-N 0 3 320.296 4.053 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000524712770 1044909253 /nfs/dbraw/zinc/90/92/53/1044909253.db2.gz AAOUATNYUICGJQ-KRWDZBQOSA-N 0 3 316.489 4.478 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2cc(C)c(C)o2)N2CCCC2)o1 ZINC000337514924 1044912339 /nfs/dbraw/zinc/91/23/39/1044912339.db2.gz LIAJDCWVTBXLCW-WBVHZDCISA-N 0 3 316.445 4.286 20 0 DIADHN CCOC(=O)CCN(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000524750581 1044912557 /nfs/dbraw/zinc/91/25/57/1044912557.db2.gz MDHOUQBDBXFGBZ-HNNXBMFYSA-N 0 3 317.454 4.265 20 0 DIADHN Clc1ccccc1CN1CC[C@@H](COCc2ccccc2)C1 ZINC000524755537 1044914598 /nfs/dbraw/zinc/91/45/98/1044914598.db2.gz HNBBNDWDUHBUMI-QGZVFWFLSA-N 0 3 315.844 4.379 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000573634109 1044915001 /nfs/dbraw/zinc/91/50/01/1044915001.db2.gz PRGHZERNJOMOMG-SOUVJXGZSA-N 0 3 307.384 4.456 20 0 DIADHN CC[C@](C)(NCCc1ccc(F)cc1Cl)c1nccs1 ZINC000573617216 1044915080 /nfs/dbraw/zinc/91/50/80/1044915080.db2.gz XAZGQVPXXRIQPO-HNNXBMFYSA-N 0 3 312.841 4.393 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](c2ccc(F)cc2)C(C)C)c(C)n1 ZINC000337551961 1044918485 /nfs/dbraw/zinc/91/84/85/1044918485.db2.gz PAFUQASSTFQSDE-FZKQIMNGSA-N 0 3 301.409 4.280 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1csc(-c2ncccn2)n1 ZINC000524811635 1044926455 /nfs/dbraw/zinc/92/64/55/1044926455.db2.gz QBJXRNWDOCNDFT-HIFRSBDPSA-N 0 3 316.474 4.001 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000372897509 1044932116 /nfs/dbraw/zinc/93/21/16/1044932116.db2.gz NCHHCVAHGTXGFF-CRAIPNDOSA-N 0 3 319.807 4.347 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000575098269 1044985858 /nfs/dbraw/zinc/98/58/58/1044985858.db2.gz BALNAPXZAIVFFT-WMFXKJRFSA-N 0 3 302.245 4.458 20 0 DIADHN C[C@H](CCN1CCC2(C1)Oc1ccccc1O2)c1ccccc1 ZINC000573962359 1044933966 /nfs/dbraw/zinc/93/39/66/1044933966.db2.gz YTPGMQPVKWCUAK-MRXNPFEDSA-N 0 3 309.409 4.054 20 0 DIADHN CCOc1ccc(-c2noc(CN(CC)C(CC)CC)n2)cc1 ZINC000573997271 1044935339 /nfs/dbraw/zinc/93/53/39/1044935339.db2.gz GEXYJSSVIIARGL-UHFFFAOYSA-N 0 3 317.433 4.146 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2ccccc2C)C2CC2)c1 ZINC000337659783 1044936888 /nfs/dbraw/zinc/93/68/88/1044936888.db2.gz KUJYNXQBPCDGTB-UHFFFAOYSA-N 0 3 308.425 4.118 20 0 DIADHN CC(=O)Nc1cccc(CN([C@H](C)c2ccccc2)C2CC2)c1 ZINC000337660314 1044937648 /nfs/dbraw/zinc/93/76/48/1044937648.db2.gz LADIXKCXGCJERC-OAHLLOKOSA-N 0 3 308.425 4.371 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(c2nc3ccccc3o2)CC1 ZINC000373068068 1044938604 /nfs/dbraw/zinc/93/86/04/1044938604.db2.gz NXKBTPOJZCNVHD-CQSZACIVSA-N 0 3 307.397 4.163 20 0 DIADHN Cc1cc(CN(C)C[C@H]2OCCc3ccccc32)c(C)s1 ZINC000337698620 1044941785 /nfs/dbraw/zinc/94/17/85/1044941785.db2.gz ZZPGEMPEZJZUOE-GOSISDBHSA-N 0 3 301.455 4.111 20 0 DIADHN CCCN(Cc1nc2ccccc2o1)[C@H]1C[C@H](OCC)C1(C)C ZINC000524894467 1044943945 /nfs/dbraw/zinc/94/39/45/1044943945.db2.gz LDOJKPAGFKYNNS-IRXDYDNUSA-N 0 3 316.445 4.243 20 0 DIADHN CCCn1cc(CN[C@H](C)c2ccc(F)c3ccccc32)cn1 ZINC000574194615 1044944456 /nfs/dbraw/zinc/94/44/56/1044944456.db2.gz ZRZTYNWHVKFWEQ-CQSZACIVSA-N 0 3 311.404 4.436 20 0 DIADHN C[C@H](NCC1(c2c(Cl)cccc2Cl)CCC1)c1ncc[nH]1 ZINC000574351310 1044950169 /nfs/dbraw/zinc/95/01/69/1044950169.db2.gz KJIPXPQTGYLXSG-NSHDSACASA-N 0 3 324.255 4.489 20 0 DIADHN CCn1cccc1CNCc1ccc(-c2csc(C)n2)cc1 ZINC000574601285 1044961863 /nfs/dbraw/zinc/96/18/63/1044961863.db2.gz PEIQYUGTEXBHHM-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccccc1N(C)C ZINC000524969996 1044962030 /nfs/dbraw/zinc/96/20/30/1044962030.db2.gz BAIAWLWBHVQCJO-UHFFFAOYSA-N 0 3 308.425 4.351 20 0 DIADHN Cc1ccccc1-c1noc(-c2ccc(CN3CCCCC3)o2)n1 ZINC001212840492 1044972090 /nfs/dbraw/zinc/97/20/90/1044972090.db2.gz SCKMFEZWXVXLPW-UHFFFAOYSA-N 0 3 323.396 4.291 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@H](C)c2cccc(Cl)c2)cn1 ZINC000574798673 1044973447 /nfs/dbraw/zinc/97/34/47/1044973447.db2.gz XOYOVYSAUNFOAE-AWEZNQCLSA-N 0 3 317.864 4.489 20 0 DIADHN OC1(CCN2CCc3sccc3[C@H]2c2cccs2)CCC1 ZINC000411116991 1044973931 /nfs/dbraw/zinc/97/39/31/1044973931.db2.gz ATDVHRXDCRBLGT-INIZCTEOSA-N 0 3 319.495 4.062 20 0 DIADHN CC[C@@]1(CO)CCCN(Cc2ccc(-c3ccccc3F)o2)C1 ZINC000428445579 1044974343 /nfs/dbraw/zinc/97/43/43/1044974343.db2.gz GPTPAPZXIKCZNL-LJQANCHMSA-N 0 3 317.404 4.070 20 0 DIADHN OC1(CCN(Cc2cccs2)Cc2cccs2)CCC1 ZINC000411119656 1044976293 /nfs/dbraw/zinc/97/62/93/1044976293.db2.gz KYWXZCVSCPATIL-UHFFFAOYSA-N 0 3 307.484 4.117 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C(C)C)cs1 ZINC000411139836 1044977922 /nfs/dbraw/zinc/97/79/22/1044977922.db2.gz GXCANYYGSLYILK-MRXNPFEDSA-N 0 3 303.427 4.022 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccccc1)c1ccc(F)c2ccccc21 ZINC000574930899 1044979066 /nfs/dbraw/zinc/97/90/66/1044979066.db2.gz RRIXAJFZOPCOQU-JLTOFOAXSA-N 0 3 309.384 4.363 20 0 DIADHN c1ncc(CCN2CCC[C@H]2c2nc3ccccc3s2)s1 ZINC000411147016 1044979092 /nfs/dbraw/zinc/97/90/92/1044979092.db2.gz HMINBSFAXKCBNU-AWEZNQCLSA-N 0 3 315.467 4.132 20 0 DIADHN CCCc1cccc(CN[C@H]2CCN(C(C)=O)c3ccccc32)c1 ZINC000373463403 1044981375 /nfs/dbraw/zinc/98/13/75/1044981375.db2.gz XKNSTVYSSMVZOY-FQEVSTJZSA-N 0 3 322.452 4.227 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1CCc1cncs1 ZINC000411171578 1044982082 /nfs/dbraw/zinc/98/20/82/1044982082.db2.gz IZWCKXFXTBJTEZ-LBPRGKRZSA-N 0 3 324.852 4.185 20 0 DIADHN Cc1nc([C@@H](C)N2CCC(c3cccc4ccccc43)CC2)n[nH]1 ZINC000411225815 1044983755 /nfs/dbraw/zinc/98/37/55/1044983755.db2.gz RYGCXCHHJMKBTC-CQSZACIVSA-N 0 3 320.440 4.207 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC[C@@H](c3nccs3)C2)c1 ZINC000411205640 1044985140 /nfs/dbraw/zinc/98/51/40/1044985140.db2.gz ANNSUKSIYUARHT-VNQPRFMTSA-N 0 3 302.418 4.018 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC[C@H](c3nccs3)C2)c1 ZINC000411205638 1044985704 /nfs/dbraw/zinc/98/57/04/1044985704.db2.gz ANNSUKSIYUARHT-CWRNSKLLSA-N 0 3 302.418 4.018 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cnn3ccccc23)c2ccccc21 ZINC000575079171 1044986220 /nfs/dbraw/zinc/98/62/20/1044986220.db2.gz QFXULSYLABANOB-MOPGFXCFSA-N 0 3 305.425 4.309 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(Cl)s1 ZINC000373563032 1044989579 /nfs/dbraw/zinc/98/95/79/1044989579.db2.gz SNQDFKOXSIJCOM-NWDGAFQWSA-N 0 3 309.866 4.253 20 0 DIADHN CC(C)(NCC1CCCCCC1)c1cc(C(F)(F)F)on1 ZINC000575429948 1044999857 /nfs/dbraw/zinc/99/98/57/1044999857.db2.gz IOIPOVVSJQRLKQ-UHFFFAOYSA-N 0 3 304.356 4.489 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1ccc(-c2ncco2)cc1F ZINC000411394951 1045004045 /nfs/dbraw/zinc/00/40/45/1045004045.db2.gz ZBKFNSIQCCSEOP-CYBMUJFWSA-N 0 3 322.449 4.444 20 0 DIADHN Clc1ccc(C2=CCN(CCc3cncs3)CC2)cc1 ZINC000411395026 1045004295 /nfs/dbraw/zinc/00/42/95/1045004295.db2.gz VBSSSDDQTAMTTP-UHFFFAOYSA-N 0 3 304.846 4.128 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2csc(C(C)(C)C)n2)o1 ZINC000525181972 1045007166 /nfs/dbraw/zinc/00/71/66/1045007166.db2.gz LSKMTYXINMBEPE-LBPRGKRZSA-N 0 3 306.475 4.453 20 0 DIADHN C[C@@H](NCCc1cncs1)c1cc(F)c(Cl)cc1Cl ZINC000411438120 1045009123 /nfs/dbraw/zinc/00/91/23/1045009123.db2.gz MKJGXOBOVCDONS-MRVPVSSYSA-N 0 3 319.232 4.482 20 0 DIADHN COc1cccc(C2(NCc3ccc4ncccc4c3)CC2)c1 ZINC000150885293 1045009724 /nfs/dbraw/zinc/00/97/24/1045009724.db2.gz GMHDFQBNEQKPCU-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN COc1cccc([C@@H](C)NCc2cnc3ccc(C)cc3c2)c1 ZINC000411461385 1045010504 /nfs/dbraw/zinc/01/05/04/1045010504.db2.gz PLEJTOXYEYPKBK-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2cccnc2)CS1 ZINC000373802549 1045011059 /nfs/dbraw/zinc/01/10/59/1045011059.db2.gz ZLTLPMZAWRHZKG-FHWLQOOXSA-N 0 3 312.482 4.291 20 0 DIADHN Cc1cnc([C@@H](N[C@@H]2C[C@H]2c2cccc(F)c2)C2CC2)s1 ZINC000411520061 1045015337 /nfs/dbraw/zinc/01/53/37/1045015337.db2.gz USPWHSDTPJAIQN-XHSDSOJGSA-N 0 3 302.418 4.187 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2ccccc2Cl)c(C)o1 ZINC000411520425 1045015663 /nfs/dbraw/zinc/01/56/63/1045015663.db2.gz QGVODGUPNYHQNZ-GFCCVEGCSA-N 0 3 320.820 4.229 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1cc(-c2ccco2)on1 ZINC000411552420 1045017862 /nfs/dbraw/zinc/01/78/62/1045017862.db2.gz BAUGPSGFJGRUHK-XJKSGUPXSA-N 0 3 306.431 4.089 20 0 DIADHN CC(C)[C@@H]1CCN([C@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000446714979 1045017720 /nfs/dbraw/zinc/01/77/20/1045017720.db2.gz PJEAWFWTCAOXGH-TZMCWYRMSA-N 0 3 319.836 4.429 20 0 DIADHN COCc1cc(CN[C@H]2CCCc3sccc32)ccc1F ZINC000151211588 1045018463 /nfs/dbraw/zinc/01/84/63/1045018463.db2.gz MZYBKXMBHSDGBA-INIZCTEOSA-N 0 3 305.418 4.201 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1CC[C@H](C(C)C)C1 ZINC000446731783 1045020694 /nfs/dbraw/zinc/02/06/94/1045020694.db2.gz LAKHEUZPUIMYLA-SJORKVTESA-N 0 3 316.489 4.423 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2C[C@@H](C)S[C@@H](C)C2)c1C ZINC000525273264 1045022875 /nfs/dbraw/zinc/02/28/75/1045022875.db2.gz XCZLGWPWXMWISF-OKILXGFUSA-N 0 3 305.487 4.140 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2C[C@H](C)S[C@@H](C)C2)c1C ZINC000525273263 1045023059 /nfs/dbraw/zinc/02/30/59/1045023059.db2.gz XCZLGWPWXMWISF-KBPBESRZSA-N 0 3 305.487 4.140 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@]4(C)[C@H](C3)C4(F)F)cc2c1 ZINC000411742459 1045028616 /nfs/dbraw/zinc/02/86/16/1045028616.db2.gz DNEOEYXPZWIMMI-DLBZAZTESA-N 0 3 302.368 4.020 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1cccc(-n2ccnc2)c1 ZINC000151681321 1045030711 /nfs/dbraw/zinc/03/07/11/1045030711.db2.gz KYNVPHZFRXLQNM-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000525274987 1045030969 /nfs/dbraw/zinc/03/09/69/1045030969.db2.gz YAFNJMLHFQHZAT-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN CCC[C@H](NCc1nc2ccc(Cl)cc2c(=O)[nH]1)C1CCC1 ZINC000411817711 1045030864 /nfs/dbraw/zinc/03/08/64/1045030864.db2.gz JWBQAWICNNXXRY-AWEZNQCLSA-N 0 3 319.836 4.047 20 0 DIADHN COc1cc(CN2CCSC3(CCC3)CC2)ccc1SC ZINC000411871763 1045032026 /nfs/dbraw/zinc/03/20/26/1045032026.db2.gz SKAXMFRGEWZKIP-UHFFFAOYSA-N 0 3 323.527 4.279 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)nc(C)c2)cc1Cl ZINC000576098518 1045034246 /nfs/dbraw/zinc/03/42/46/1045034246.db2.gz OBFWOZJTHQQYNV-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN C[C@H](N[C@@H]1COc2cc(F)ccc2C1)c1ccc2ccccc2n1 ZINC000576122594 1045034717 /nfs/dbraw/zinc/03/47/17/1045034717.db2.gz GUUIYFRMFRJRGK-GUYCJALGSA-N 0 3 322.383 4.028 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000152103421 1045036449 /nfs/dbraw/zinc/03/64/49/1045036449.db2.gz VOWPRUUHNSUYHV-VHSXEESVSA-N 0 3 310.800 4.366 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H](C)Cc1c(C)noc1C ZINC000152176818 1045037341 /nfs/dbraw/zinc/03/73/41/1045037341.db2.gz PIMUGONQIXUTGY-QWRGUYRKSA-N 0 3 322.836 4.235 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(C)c1C ZINC000525328250 1045037735 /nfs/dbraw/zinc/03/77/35/1045037735.db2.gz HQUHIZXVIFWCAQ-KDOFPFPSSA-N 0 3 302.462 4.143 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC000411924947 1045038497 /nfs/dbraw/zinc/03/84/97/1045038497.db2.gz MUWNJGUKXDQPBX-GHTZIAJQSA-N 0 3 324.468 4.075 20 0 DIADHN CC1=C[C@@H](C)C[C@@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000576152051 1045039169 /nfs/dbraw/zinc/03/91/69/1045039169.db2.gz ZNZKNZQFXDXTHC-UKRRQHHQSA-N 0 3 306.450 4.072 20 0 DIADHN c1nc(CN2C[C@@H](c3ccccc3)C3(CCC3)C2)oc1C1CC1 ZINC000411977271 1045040188 /nfs/dbraw/zinc/04/01/88/1045040188.db2.gz FVJLDCUCDVFUBJ-KRWDZBQOSA-N 0 3 308.425 4.322 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1)c1ccc([S@](C)=O)cc1 ZINC000152556626 1045044501 /nfs/dbraw/zinc/04/45/01/1045044501.db2.gz FWFUSKIUPZNVBM-OSRJUQGESA-N 0 3 321.873 4.489 20 0 DIADHN COc1ccc(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)cc1 ZINC000412014886 1045045159 /nfs/dbraw/zinc/04/51/59/1045045159.db2.gz RLAXAMCDHYOYKD-FQEVSTJZSA-N 0 3 323.436 4.049 20 0 DIADHN Cc1ncccc1CN[C@@H](c1ccccc1)C1(CO)CCCCC1 ZINC000412007743 1045045987 /nfs/dbraw/zinc/04/59/87/1045045987.db2.gz KKSLZKASBKHKCZ-FQEVSTJZSA-N 0 3 324.468 4.164 20 0 DIADHN CCC(CC)[C@H](NCc1cncc(OC)c1)c1cccs1 ZINC000576260882 1045047419 /nfs/dbraw/zinc/04/74/19/1045047419.db2.gz QYUASLFTUTYYPD-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(CC1CCC1)C(C)C ZINC000193211593 1045047463 /nfs/dbraw/zinc/04/74/63/1045047463.db2.gz DULSBJQHRCYIGQ-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN CCN(CC(=O)Nc1c(C)cc(C)cc1C)C1CC(C)(C)C1 ZINC000412033542 1045048888 /nfs/dbraw/zinc/04/88/88/1045048888.db2.gz INDYMNPULWUHRO-UHFFFAOYSA-N 0 3 302.462 4.061 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(OC)cc2)cc1F ZINC000152805056 1045049200 /nfs/dbraw/zinc/04/92/00/1045049200.db2.gz XGXBIFMFVXYAHO-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000152886426 1045049470 /nfs/dbraw/zinc/04/94/70/1045049470.db2.gz CKBFZBIKCKZAPO-IAGOWNOFSA-N 0 3 305.425 4.343 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000153195247 1045052793 /nfs/dbraw/zinc/05/27/93/1045052793.db2.gz INUCXUITHHUCJU-VTJXTGGHSA-N 0 3 301.455 4.144 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2nnc(-c3ccco3)o2)C1(C)C ZINC000412050042 1045053926 /nfs/dbraw/zinc/05/39/26/1045053926.db2.gz SBUVZFTVZTZDNI-ZDUSSCGKSA-N 0 3 317.433 4.366 20 0 DIADHN CN(Cc1nc2ccccc2[nH]1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000576380866 1045055884 /nfs/dbraw/zinc/05/58/84/1045055884.db2.gz UYNBLEMJDZWJQS-TXEJJXNPSA-N 0 3 311.351 4.116 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1c2ccccc2O[C@@H]1C ZINC000576487662 1045059565 /nfs/dbraw/zinc/05/95/65/1045059565.db2.gz VNYFALQMBWRHPM-WVSYZOCHSA-N 0 3 323.436 4.348 20 0 DIADHN CCO[C@H](CNCc1ccc(OC)cc1Cl)c1ccccc1 ZINC000446854980 1045060411 /nfs/dbraw/zinc/06/04/11/1045060411.db2.gz FDZCPAIXQTWCSV-GOSISDBHSA-N 0 3 319.832 4.216 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)cc1)[C@@H]1CCOC1 ZINC001142102445 1045062747 /nfs/dbraw/zinc/06/27/47/1045062747.db2.gz VJQNRXLLOIQHBU-MRXNPFEDSA-N 0 3 301.817 4.228 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc(-c2ccc(Cl)cc2)no1 ZINC000576718386 1045074301 /nfs/dbraw/zinc/07/43/01/1045074301.db2.gz RBOKFWRQQLIQMF-WAZAZEMKSA-N 0 3 317.820 4.229 20 0 DIADHN Cc1nccnc1[C@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000446945078 1045075063 /nfs/dbraw/zinc/07/50/63/1045075063.db2.gz YPONXANRWQZLMF-LBPRGKRZSA-N 0 3 321.827 4.206 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nnc(-c4ccccc4)o3)C2)cc1 ZINC000374613901 1045076287 /nfs/dbraw/zinc/07/62/87/1045076287.db2.gz PVJUIXBFMKYQOR-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN CCCN(C)CC(=O)N(CCC)[C@H](C)c1cc2ccccc2o1 ZINC000525476596 1045076713 /nfs/dbraw/zinc/07/67/13/1045076713.db2.gz MPWCBJJBKHHWQF-OAHLLOKOSA-N 0 3 316.445 4.074 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@@H](CC(F)(F)F)C2)cc1 ZINC000446973526 1045081258 /nfs/dbraw/zinc/08/12/58/1045081258.db2.gz DJRUBVLWUMXICE-ZDUSSCGKSA-N 0 3 323.362 4.159 20 0 DIADHN CCOc1cc(CN2CC[C@@H]3CCC[C@@H]32)cc(Cl)c1OC ZINC000428463287 1045085040 /nfs/dbraw/zinc/08/50/40/1045085040.db2.gz SMTYOQUVFLIXBJ-ZFWWWQNUSA-N 0 3 309.837 4.122 20 0 DIADHN Cn1nccc1C1C[C@H]2CC[C@@H](C1)N2Cc1ccc(Cl)s1 ZINC000374809575 1045089005 /nfs/dbraw/zinc/08/90/05/1045089005.db2.gz CJUJAQVFIWOYQO-YHWZYXNKSA-N 0 3 321.877 4.046 20 0 DIADHN C[C@@H](CC1CCCC1)C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412561374 1045090765 /nfs/dbraw/zinc/09/07/65/1045090765.db2.gz SQCQTKGEUCGNPS-ZDUSSCGKSA-N 0 3 306.425 4.042 20 0 DIADHN Cc1cc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)c2ccccc2n1 ZINC000447057700 1045090599 /nfs/dbraw/zinc/09/05/99/1045090599.db2.gz DRIVKIGHOFQRMY-UHFFFAOYSA-N 0 3 322.456 4.196 20 0 DIADHN CC[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412557503 1045091143 /nfs/dbraw/zinc/09/11/43/1045091143.db2.gz IUDHTQJQRFCRIA-GJZGRUSLSA-N 0 3 306.425 4.042 20 0 DIADHN C[C@@H](CC(=O)Nc1ccc(CN(C)C)cc1F)c1ccccc1 ZINC000412552153 1045092011 /nfs/dbraw/zinc/09/20/11/1045092011.db2.gz TUVUUZUZHVMABZ-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@@H]1COc2ccccc21 ZINC000577021295 1045094858 /nfs/dbraw/zinc/09/48/58/1045094858.db2.gz HXZPQUQCKXQNTP-OAHLLOKOSA-N 0 3 321.376 4.338 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1ccc(F)c(C(F)(F)F)c1 ZINC000577076856 1045099332 /nfs/dbraw/zinc/09/93/32/1045099332.db2.gz FALUAHFLMDIFPW-MRXNPFEDSA-N 0 3 324.321 4.204 20 0 DIADHN C[N@H+](Cc1cc([O-])cc(F)c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000577114032 1045100955 /nfs/dbraw/zinc/10/09/55/1045100955.db2.gz BFBZHGAJJZKAAC-BJHJDKERSA-N 0 3 305.315 4.084 20 0 DIADHN C[N@@H+](Cc1cc([O-])cc(F)c1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000577114032 1045100962 /nfs/dbraw/zinc/10/09/62/1045100962.db2.gz BFBZHGAJJZKAAC-BJHJDKERSA-N 0 3 305.315 4.084 20 0 DIADHN CC[C@@H]1CCCN(Cc2ncc(-c3ccccc3F)o2)CC1 ZINC000525634318 1045102287 /nfs/dbraw/zinc/10/22/87/1045102287.db2.gz NKMPUIPVOXLQQM-CQSZACIVSA-N 0 3 302.393 4.493 20 0 DIADHN COc1ccc(CNC2(c3ccccc3)CCC2)cc1OC1CC1 ZINC000623385848 1045106372 /nfs/dbraw/zinc/10/63/72/1045106372.db2.gz AHDMFYHNSBZOBA-UHFFFAOYSA-N 0 3 323.436 4.405 20 0 DIADHN C[C@@H](Cc1cn(C)c2ccc(F)cc12)N[C@@H](C)c1cscn1 ZINC000577267457 1045110432 /nfs/dbraw/zinc/11/04/32/1045110432.db2.gz OWSFRKZITUJTOO-RYUDHWBXSA-N 0 3 317.433 4.056 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cnn(CC)c1)c1ccc(Cl)cc1 ZINC000525709283 1045112048 /nfs/dbraw/zinc/11/20/48/1045112048.db2.gz MLBDICZJGGJDMB-SUMWQHHRSA-N 0 3 305.853 4.433 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)NCc2c[nH]nc2C)cc1 ZINC000525708650 1045113175 /nfs/dbraw/zinc/11/31/75/1045113175.db2.gz JRJJGRNVLHUUNE-AWEZNQCLSA-N 0 3 321.424 4.245 20 0 DIADHN Cc1ccc(C)c([C@@H](NC[C@H](O)c2ccco2)c2ccccc2)c1 ZINC000779006898 1045119516 /nfs/dbraw/zinc/11/95/16/1045119516.db2.gz QWYQBCKOHAGXNI-FPOVZHCZSA-N 0 3 321.420 4.309 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000577480077 1045127156 /nfs/dbraw/zinc/12/71/56/1045127156.db2.gz SDDRHDRYONQRIV-MRXNPFEDSA-N 0 3 322.330 4.047 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1nc2ccccc2s1 ZINC000447402257 1045129671 /nfs/dbraw/zinc/12/96/71/1045129671.db2.gz HNGXKJFSJMUVBI-QGZVFWFLSA-N 0 3 304.459 4.077 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1cc(C(C)=O)no1 ZINC000577505023 1045132069 /nfs/dbraw/zinc/13/20/69/1045132069.db2.gz BHIMHJLKDGDFGQ-HKUYNNGSSA-N 0 3 312.413 4.035 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@H]2C[C@H](C)c3ccccc32)cc1 ZINC000577557417 1045136511 /nfs/dbraw/zinc/13/65/11/1045136511.db2.gz DQJIORCLZOYAEQ-JHVJFLLYSA-N 0 3 324.468 4.136 20 0 DIADHN CC(C)(O)CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000577563790 1045137743 /nfs/dbraw/zinc/13/77/43/1045137743.db2.gz CGWIDHZCPRUIBE-CQSZACIVSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CN2CCCC2)c2ccccc2)c(C)o1 ZINC000447531385 1045139726 /nfs/dbraw/zinc/13/97/26/1045139726.db2.gz PRZVFHLTENCDTQ-JXFKEZNVSA-N 0 3 312.457 4.384 20 0 DIADHN CCO[C@H](CN[C@H](C)c1sccc1OC)c1ccccc1 ZINC000447554819 1045139776 /nfs/dbraw/zinc/13/97/76/1045139776.db2.gz ZLIORCQJXNJCQB-CZUORRHYSA-N 0 3 305.443 4.185 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000447553499 1045139792 /nfs/dbraw/zinc/13/97/92/1045139792.db2.gz RCTNNRCBWZOXEG-UZLBHIALSA-N 0 3 313.441 4.432 20 0 DIADHN CO[C@H](CN[C@@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000447537054 1045143209 /nfs/dbraw/zinc/14/32/09/1045143209.db2.gz SJDOOPMFPPMUQK-NVXWUHKLSA-N 0 3 305.805 4.288 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cccnc2N(C)C)c2ccccc21 ZINC000447541327 1045143245 /nfs/dbraw/zinc/14/32/45/1045143245.db2.gz GBPNCCPIAFCNLK-RBUKOAKNSA-N 0 3 309.457 4.122 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cc(F)cc(F)c1)c1ccccc1 ZINC000447550797 1045145205 /nfs/dbraw/zinc/14/52/05/1045145205.db2.gz IUKOOZODUNODPS-FZKQIMNGSA-N 0 3 305.368 4.393 20 0 DIADHN CC(C)C(CN[C@H](C)c1ncc(Br)s1)C(C)C ZINC000447591334 1045154973 /nfs/dbraw/zinc/15/49/73/1045154973.db2.gz CTONMSUNIYCMFJ-SNVBAGLBSA-N 0 3 319.312 4.484 20 0 DIADHN CO[C@@H](CN[C@H]1CCCc2occc21)c1cccc(Cl)c1 ZINC000447579972 1045159082 /nfs/dbraw/zinc/15/90/82/1045159082.db2.gz MSEHBKSKXQMQOM-RDJZCZTQSA-N 0 3 305.805 4.288 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1ccnn1C1CCC1 ZINC000924589661 1045179560 /nfs/dbraw/zinc/17/95/60/1045179560.db2.gz BZXJBKPLLQVEPO-PXAZEXFGSA-N 0 3 301.459 4.472 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(CC(C)C)cc2)C2CC2)cn1 ZINC000447814532 1045180424 /nfs/dbraw/zinc/18/04/24/1045180424.db2.gz YWRVTXJYLJAHDG-HXUWFJFHSA-N 0 3 309.457 4.423 20 0 DIADHN CC[C@H](C)c1ccc([C@H](N[C@@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924581469 1045180663 /nfs/dbraw/zinc/18/06/63/1045180663.db2.gz LGJRIOPVJSYFJW-YZVOILCLSA-N 0 3 314.477 4.377 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000447845183 1045182189 /nfs/dbraw/zinc/18/21/89/1045182189.db2.gz QTTOZPJZGUUEMY-WBVHZDCISA-N 0 3 315.486 4.231 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCC2)c1)c1cc2ccccc2[nH]1 ZINC000924627281 1045184910 /nfs/dbraw/zinc/18/49/10/1045184910.db2.gz ACKWXAGNORJZAZ-OAHLLOKOSA-N 0 3 320.440 4.014 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000924628693 1045185340 /nfs/dbraw/zinc/18/53/40/1045185340.db2.gz WHCSWSNWGWGZJI-WOPDTQHZSA-N 0 3 302.340 4.071 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCC2)c1)c1cc2ccccc2[nH]1 ZINC000924627280 1045185708 /nfs/dbraw/zinc/18/57/08/1045185708.db2.gz ACKWXAGNORJZAZ-HNNXBMFYSA-N 0 3 320.440 4.014 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCC2)c1)c1coc2ccccc12 ZINC000924628553 1045186091 /nfs/dbraw/zinc/18/60/91/1045186091.db2.gz JRAJSAMMOKQGNU-OAHLLOKOSA-N 0 3 321.424 4.279 20 0 DIADHN COCC(C)(C)CCCNCc1nc(-c2ccccc2)cs1 ZINC000577894397 1045186232 /nfs/dbraw/zinc/18/62/32/1045186232.db2.gz GLPDHYMWVUOOFF-UHFFFAOYSA-N 0 3 318.486 4.353 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@]1(CC)OCCc2ccccc21 ZINC000577921551 1045188262 /nfs/dbraw/zinc/18/82/62/1045188262.db2.gz JQGAGAIQPGJRRG-IERDGZPVSA-N 0 3 324.468 4.173 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCc1cccc2ccccc21 ZINC000924653412 1045188312 /nfs/dbraw/zinc/18/83/12/1045188312.db2.gz RKMKOSRWUPRZBF-GOSISDBHSA-N 0 3 306.409 4.346 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2ccc(Cl)s2)s1 ZINC000447938492 1045189635 /nfs/dbraw/zinc/18/96/35/1045189635.db2.gz GFPGFBDQCMJCLR-UHFFFAOYSA-N 0 3 314.907 4.488 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2ncc(C(C)(C)C)s2)o1 ZINC000447946615 1045190139 /nfs/dbraw/zinc/19/01/39/1045190139.db2.gz WMNHWJQSDSJKIT-LBPRGKRZSA-N 0 3 306.475 4.453 20 0 DIADHN CCOCCCCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000924711640 1045192756 /nfs/dbraw/zinc/19/27/56/1045192756.db2.gz UEZXDEJVFXRAHU-SFHVURJKSA-N 0 3 318.848 4.231 20 0 DIADHN COc1ncc(CN[C@H](C)c2cc(C)cc(C)c2)cc1Cl ZINC000557516385 1045193620 /nfs/dbraw/zinc/19/36/20/1045193620.db2.gz RFKQFTKWUBFRHT-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)Sc2ccccc2)c(F)cn1 ZINC000577973509 1045195394 /nfs/dbraw/zinc/19/53/94/1045195394.db2.gz PWPUOPNXPWMLMU-OLZOCXBDSA-N 0 3 320.433 4.061 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)Sc2ccccc2)c(F)cn1 ZINC000577973511 1045195419 /nfs/dbraw/zinc/19/54/19/1045195419.db2.gz PWPUOPNXPWMLMU-STQMWFEESA-N 0 3 320.433 4.061 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ncc(C(F)(F)F)cc21)c1ccc[nH]1 ZINC000779041757 1045195715 /nfs/dbraw/zinc/19/57/15/1045195715.db2.gz VKZPYRODABPGFQ-ZUZCIYMTSA-N 0 3 309.335 4.157 20 0 DIADHN C[C@H](NCc1cc2c(s1)CCCC2)c1cnn(C2CCC2)c1 ZINC000924837979 1045200879 /nfs/dbraw/zinc/20/08/79/1045200879.db2.gz BCGWHEINDGZZLA-ZDUSSCGKSA-N 0 3 315.486 4.399 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2cccc(C(F)(F)F)n2)o1 ZINC000578031572 1045201355 /nfs/dbraw/zinc/20/13/55/1045201355.db2.gz XZICDILHTWWRNH-LLVKDONJSA-N 0 3 312.335 4.149 20 0 DIADHN COC(=O)C(C)(C)CCN1CCC[C@H]1c1ccc(Cl)cc1 ZINC000527218934 1045205089 /nfs/dbraw/zinc/20/50/89/1045205089.db2.gz YGYPBDYBGWZUID-HNNXBMFYSA-N 0 3 309.837 4.066 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1[C@@H](C)C[C@@H]1C ZINC000527238416 1045207082 /nfs/dbraw/zinc/20/70/82/1045207082.db2.gz BEVDXQDMULRUSY-STQMWFEESA-N 0 3 317.864 4.184 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H]1CCCc2ncccc21 ZINC000601266720 1045208417 /nfs/dbraw/zinc/20/84/17/1045208417.db2.gz FHBSQRVGVBJNMG-SFHVURJKSA-N 0 3 316.445 4.251 20 0 DIADHN O[C@@H]1CCCC[C@@H]1N[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000578125217 1045211493 /nfs/dbraw/zinc/21/14/93/1045211493.db2.gz SNYVSWBDAGMHBG-LZWOXQAQSA-N 0 3 314.256 4.338 20 0 DIADHN O[C@@H]1CCCC[C@H]1N[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000578125215 1045212305 /nfs/dbraw/zinc/21/23/05/1045212305.db2.gz SNYVSWBDAGMHBG-FMKPAKJESA-N 0 3 314.256 4.338 20 0 DIADHN CC[C@H](C[C@@](C)(O)CC)N[C@H](c1ccccc1)c1cccnc1 ZINC000924939612 1045212445 /nfs/dbraw/zinc/21/24/45/1045212445.db2.gz XUPSPJUYFIVIOY-AQNXPRMDSA-N 0 3 312.457 4.090 20 0 DIADHN Cc1nc2ccc(CN3CC[C@@H](CC(F)(F)F)C3)cc2s1 ZINC000578143797 1045213555 /nfs/dbraw/zinc/21/35/55/1045213555.db2.gz QPZAJCGAFHJLNZ-LBPRGKRZSA-N 0 3 314.376 4.379 20 0 DIADHN Cc1ncc(CN[C@H](c2oc3ccccc3c2C)C(C)C)n1C ZINC000578144203 1045214092 /nfs/dbraw/zinc/21/40/92/1045214092.db2.gz LHZLSLBFTOEGDN-SFHVURJKSA-N 0 3 311.429 4.270 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1ccnnc1 ZINC000924969865 1045214734 /nfs/dbraw/zinc/21/47/34/1045214734.db2.gz LNHVMLAMZVLHGP-SJKOYZFVSA-N 0 3 301.821 4.322 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000578165343 1045215267 /nfs/dbraw/zinc/21/52/67/1045215267.db2.gz MPGIIIJDOXVFID-NJZAAPMLSA-N 0 3 318.852 4.007 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2ccc(C(C)(C)O)cc2)cn1 ZINC000925044351 1045220915 /nfs/dbraw/zinc/22/09/15/1045220915.db2.gz FFQZTNQGGYOSQA-HUUCEWRRSA-N 0 3 315.461 4.103 20 0 DIADHN CCc1cccc2c(CCN[C@H]3CCCc4oc(C)nc43)c[nH]c21 ZINC000925031929 1045221098 /nfs/dbraw/zinc/22/10/98/1045221098.db2.gz QVUJMBVHLZUSTO-KRWDZBQOSA-N 0 3 323.440 4.236 20 0 DIADHN CCCn1ccc(CNCc2ccccc2COC(C)C)c1 ZINC000578271597 1045227803 /nfs/dbraw/zinc/22/78/03/1045227803.db2.gz AFLPIGAMAUNSKW-UHFFFAOYSA-N 0 3 300.446 4.113 20 0 DIADHN CC(C)N(Cc1cccc(Br)c1)CC1(F)CC1 ZINC000527509102 1045228886 /nfs/dbraw/zinc/22/88/86/1045228886.db2.gz DAMZTASXBJKZSJ-UHFFFAOYSA-N 0 3 300.215 4.162 20 0 DIADHN CC[C@H](CCO)N[C@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925120586 1045229305 /nfs/dbraw/zinc/22/93/05/1045229305.db2.gz KRMCQYUHOHWJNF-WOJBJXKFSA-N 0 3 312.457 4.045 20 0 DIADHN CCC[C@@H](NC[C@@H](C)c1ccncc1)c1ncccc1Cl ZINC000925220522 1045235356 /nfs/dbraw/zinc/23/53/56/1045235356.db2.gz FKGQRQCYOGTPIU-CZUORRHYSA-N 0 3 303.837 4.365 20 0 DIADHN COc1cc([C@@H](C)NCc2ccnc(C)c2)ccc1OC(C)C ZINC000527538128 1045235942 /nfs/dbraw/zinc/23/59/42/1045235942.db2.gz HTBROQCQUVYKGB-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN Cc1cc(CN2CCC(CC(F)(F)F)CC2)cc(C)c1O ZINC000578448250 1045243011 /nfs/dbraw/zinc/24/30/11/1045243011.db2.gz NRTQWUJAWGCRSE-UHFFFAOYSA-N 0 3 301.352 4.173 20 0 DIADHN c1cc2ccc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)cc2[nH]1 ZINC000527648481 1045244890 /nfs/dbraw/zinc/24/48/90/1045244890.db2.gz FVSDXCHTXBOCKC-VQTJNVASSA-N 0 3 306.409 4.178 20 0 DIADHN Fc1ccc([C@H](NCc2ccc3cc[nH]c3c2)[C@@H]2CCCO2)cc1 ZINC000527649932 1045245176 /nfs/dbraw/zinc/24/51/76/1045245176.db2.gz RHYMEXDXTOTJPD-PMACEKPBSA-N 0 3 324.399 4.317 20 0 DIADHN COc1cc(Nc2ccc3nc(C)[nH]c3c2)cc2cccnc21 ZINC001213076427 1045245446 /nfs/dbraw/zinc/24/54/46/1045245446.db2.gz QVGZYCIDMVGIHH-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN C[C@@H](NCc1nccn1CCCc1ccccc1)[C@@H]1C[C@H]1C1CC1 ZINC000527669368 1045247292 /nfs/dbraw/zinc/24/72/92/1045247292.db2.gz FWNLJNGXGOSXSW-UXPWSPDFSA-N 0 3 323.484 4.040 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](c2ccccc2)c2ccc(C)cc2)o1 ZINC000779074742 1045247916 /nfs/dbraw/zinc/24/79/16/1045247916.db2.gz RFSKBUCIGVQJPQ-FPOVZHCZSA-N 0 3 321.420 4.309 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@H]2C2CC2)c(Cl)c1 ZINC000578514148 1045249562 /nfs/dbraw/zinc/24/95/62/1045249562.db2.gz DBLBRGYDKUDDMA-QGZVFWFLSA-N 0 3 305.849 4.039 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1ccc2cc[nH]c2c1 ZINC000527691470 1045250732 /nfs/dbraw/zinc/25/07/32/1045250732.db2.gz NQCUYGCIEREFGI-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN CCC[C@@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccccn1 ZINC000578551497 1045253261 /nfs/dbraw/zinc/25/32/61/1045253261.db2.gz QNQBUBPLQDNUAD-QWFCFKBJSA-N 0 3 310.441 4.433 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@@H](c3ccccc3)CC2)[n-]1 ZINC000527713660 1045255693 /nfs/dbraw/zinc/25/56/93/1045255693.db2.gz RMWIQJGRRDNDMY-SJORKVTESA-N 0 3 312.461 4.134 20 0 DIADHN Fc1ccc(F)c(CNC[C@H]2CCOC3(CCC3)C2)c1Cl ZINC000527714441 1045255847 /nfs/dbraw/zinc/25/58/47/1045255847.db2.gz ZADMBIKJHVNRPM-NSHDSACASA-N 0 3 315.791 4.057 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccnc3ccccc23)cc1F ZINC000527782119 1045261110 /nfs/dbraw/zinc/26/11/10/1045261110.db2.gz UATIPNGZEDSKRO-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN CC1(C)Cc2cc(CN[C@@H](c3ccccn3)C3CCC3)ccc2O1 ZINC000527772657 1045261944 /nfs/dbraw/zinc/26/19/44/1045261944.db2.gz NZCWMQAEVMPIRM-HXUWFJFHSA-N 0 3 322.452 4.426 20 0 DIADHN c1ccc([C@H](NCc2ccnc3ccccc23)C2CCC2)nc1 ZINC000527770843 1045262792 /nfs/dbraw/zinc/26/27/92/1045262792.db2.gz GXMFOLWQTNPRLF-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN COCc1csc(CN[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000527795194 1045263768 /nfs/dbraw/zinc/26/37/68/1045263768.db2.gz NVUZUZCUDZMQAF-INIZCTEOSA-N 0 3 307.846 4.325 20 0 DIADHN C[C@H](N[C@@H]1CCc2cccc(Cl)c2C1)c1ncccc1F ZINC000527827960 1045265982 /nfs/dbraw/zinc/26/59/82/1045265982.db2.gz LILFOQNNAGFABC-WCQYABFASA-N 0 3 304.796 4.082 20 0 DIADHN c1coc([C@@H](CNc2nccc3ccccc32)N2CCCC2)c1 ZINC000527828162 1045266064 /nfs/dbraw/zinc/26/60/64/1045266064.db2.gz OGDIBWYQNCQYDI-QGZVFWFLSA-N 0 3 307.397 4.077 20 0 DIADHN Cc1cccc([C@H](NCc2cccc(C(=O)N(C)C)c2)C(C)C)c1 ZINC000527844533 1045268328 /nfs/dbraw/zinc/26/83/28/1045268328.db2.gz GLQDMAPLKNQIOA-HXUWFJFHSA-N 0 3 324.468 4.184 20 0 DIADHN Oc1cccc2c1CC[C@@H]2N[C@@H]1CCc2cc(F)c(Cl)cc21 ZINC000527857674 1045268827 /nfs/dbraw/zinc/26/88/27/1045268827.db2.gz DIPOSQLRIWQOCX-DLBZAZTESA-N 0 3 317.791 4.449 20 0 DIADHN Oc1cccc2c1CC[C@H]2N[C@@H]1CCc2cc(F)c(Cl)cc21 ZINC000527857676 1045268991 /nfs/dbraw/zinc/26/89/91/1045268991.db2.gz DIPOSQLRIWQOCX-IAGOWNOFSA-N 0 3 317.791 4.449 20 0 DIADHN C[C@H](NCc1csc(CCc2ccccc2)n1)[C@@H]1CC12CC2 ZINC000527867438 1045269748 /nfs/dbraw/zinc/26/97/48/1045269748.db2.gz JVXJIBZHBZFMMX-YOEHRIQHSA-N 0 3 312.482 4.207 20 0 DIADHN CCc1ccccc1-c1nc(CN[C@H]2CCO[C@@H](C)C2)cs1 ZINC001168100643 1045270304 /nfs/dbraw/zinc/27/03/04/1045270304.db2.gz CZMZKYRUFDEHFI-ZFWWWQNUSA-N 0 3 316.470 4.030 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000578782639 1045271952 /nfs/dbraw/zinc/27/19/52/1045271952.db2.gz MKYBLODKJUOSCR-CXAGYDPISA-N 0 3 324.896 4.320 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527849523 1045273268 /nfs/dbraw/zinc/27/32/68/1045273268.db2.gz UUQDGXWCDFPEIC-HOTGVXAUSA-N 0 3 323.440 4.352 20 0 DIADHN Cc1cccc([C@H](NCc2c3c(nn2C)CCCC3)C(C)C)c1 ZINC000527897986 1045275612 /nfs/dbraw/zinc/27/56/12/1045275612.db2.gz BPBUFZKWFVSBNF-HXUWFJFHSA-N 0 3 311.473 4.094 20 0 DIADHN COc1cccc([C@H](CN[C@@H](C)c2cccc(F)c2F)OC)c1 ZINC000527927175 1045278031 /nfs/dbraw/zinc/27/80/31/1045278031.db2.gz MZKHPBQSOUVIIU-SJCJKPOMSA-N 0 3 321.367 4.012 20 0 DIADHN COc1cccc([C@@H](CN[C@@H](C)c2cccc(F)c2F)OC)c1 ZINC000527927181 1045278162 /nfs/dbraw/zinc/27/81/62/1045278162.db2.gz MZKHPBQSOUVIIU-YVEFUNNKSA-N 0 3 321.367 4.012 20 0 DIADHN CCc1ccc([C@H](CC(C)C)NCc2n[nH]c(C3CC3)n2)cc1 ZINC000527901131 1045278443 /nfs/dbraw/zinc/27/84/43/1045278443.db2.gz FJKYONVJQVXNTL-KRWDZBQOSA-N 0 3 312.461 4.122 20 0 DIADHN Cc1cc(C)c(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cn1 ZINC000527904489 1045279611 /nfs/dbraw/zinc/27/96/11/1045279611.db2.gz CLSFSKQDQRUNRF-QGZVFWFLSA-N 0 3 304.796 4.268 20 0 DIADHN Fc1cccc(C2(NCc3ccc4[nH]ccc4c3)CCOCC2)c1 ZINC000578906529 1045279561 /nfs/dbraw/zinc/27/95/61/1045279561.db2.gz NTWRZNQHOLOMPT-UHFFFAOYSA-N 0 3 324.399 4.103 20 0 DIADHN Cc1ccc([C@H](NC[C@H](n2cccn2)C(F)(F)F)C2CC2)cc1 ZINC000527930835 1045286312 /nfs/dbraw/zinc/28/63/12/1045286312.db2.gz GMIKQQMZASANRL-HOTGVXAUSA-N 0 3 323.362 4.036 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc3cn(C)nc3c2)cc1 ZINC000527959963 1045288145 /nfs/dbraw/zinc/28/81/45/1045288145.db2.gz PSIWLAPBYNXLCB-HNNXBMFYSA-N 0 3 323.440 4.213 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CCC1)c1ccc2c(c1)COC2 ZINC000527966441 1045289705 /nfs/dbraw/zinc/28/97/05/1045289705.db2.gz LCQNKLMCMURJLF-JLTOFOAXSA-N 0 3 308.425 4.304 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccccc1Cl)c1ccccc1F ZINC000779116784 1045291297 /nfs/dbraw/zinc/29/12/97/1045291297.db2.gz NOQLSDFHVSQZRN-DLBZAZTESA-N 0 3 307.796 4.253 20 0 DIADHN C[C@H](CCc1ccc(F)cc1F)N[C@@H](C)c1ncccc1F ZINC000528037078 1045295767 /nfs/dbraw/zinc/29/57/67/1045295767.db2.gz BVZYPUGWTVZKOG-NEPJUHHUSA-N 0 3 308.347 4.171 20 0 DIADHN Cc1ccsc1CN[C@H](C)c1cncc(Br)c1 ZINC000579143109 1045295794 /nfs/dbraw/zinc/29/57/94/1045295794.db2.gz OLGVIMBAVDWLIA-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc3[nH]ccc3c2)cc1OC ZINC000579128752 1045295911 /nfs/dbraw/zinc/29/59/11/1045295911.db2.gz GGZILLWWXFMSMP-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN CC1(C)OCc2cc(CN3CC4(CCC4)[C@H]3C3CC3)ccc2O1 ZINC000579181095 1045299318 /nfs/dbraw/zinc/29/93/18/1045299318.db2.gz QKXXQKFNIBBQSY-GOSISDBHSA-N 0 3 313.441 4.096 20 0 DIADHN FC(F)c1ccc(CN2CCC=C(c3ccccn3)C2)cc1 ZINC000579242595 1045302415 /nfs/dbraw/zinc/30/24/15/1045302415.db2.gz NDWYXYMPEISVAA-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3c(c(Cl)c2)OCO3)s1 ZINC000049159441 1045310243 /nfs/dbraw/zinc/31/02/43/1045310243.db2.gz KWVBOVQFYWWCNS-SNVBAGLBSA-N 0 3 309.818 4.289 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)cc1F ZINC000579386083 1045311182 /nfs/dbraw/zinc/31/11/82/1045311182.db2.gz FSCQCTKWZLDDEW-VXGBXAGGSA-N 0 3 313.376 4.122 20 0 DIADHN CCCCCc1ccc([C@@H](NCc2cncn2C)C2CC2)cc1 ZINC000579651681 1045327948 /nfs/dbraw/zinc/32/79/48/1045327948.db2.gz OULKIAGRUZOLAE-HXUWFJFHSA-N 0 3 311.473 4.394 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CCC(C)(C)c2ccccc21 ZINC000579662603 1045329627 /nfs/dbraw/zinc/32/96/27/1045329627.db2.gz URFHROZHXZEYTK-PMACEKPBSA-N 0 3 309.453 4.431 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3ccnn3C3CCCC3)C2)cc1 ZINC000449025873 1045334389 /nfs/dbraw/zinc/33/43/89/1045334389.db2.gz IJVVIMNPOUXPLR-MRXNPFEDSA-N 0 3 313.420 4.127 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cnc(-c2ccccc2F)s1 ZINC000449037634 1045337091 /nfs/dbraw/zinc/33/70/91/1045337091.db2.gz NPGJAQYXTUORDO-LBPRGKRZSA-N 0 3 322.474 4.277 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1cc2cccnc2o1)c1ccccc1 ZINC000449024968 1045338428 /nfs/dbraw/zinc/33/84/28/1045338428.db2.gz ALBQEWPQTGLFNR-QGZVFWFLSA-N 0 3 320.392 4.065 20 0 DIADHN CC[C@@H](Nc1ccccc1CN1CCC(O)CC1)C1CCCC1 ZINC000449042273 1045338750 /nfs/dbraw/zinc/33/87/50/1045338750.db2.gz RBHWJJCXWWPEPQ-LJQANCHMSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@@H](Cc1ccoc1)NCc1nc2cc(Cl)ccc2s1 ZINC000449104450 1045346211 /nfs/dbraw/zinc/34/62/11/1045346211.db2.gz VYEWBGCWYGDESP-JTQLQIEISA-N 0 3 306.818 4.264 20 0 DIADHN CC(C)c1ccc(OCCNCc2cc3cccnc3o2)cc1 ZINC000449083260 1045346523 /nfs/dbraw/zinc/34/65/23/1045346523.db2.gz BEOGZORSCWIWBL-UHFFFAOYSA-N 0 3 310.397 4.120 20 0 DIADHN Fc1cncc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)c1 ZINC000779165491 1045347057 /nfs/dbraw/zinc/34/70/57/1045347057.db2.gz IBEMJKFENILYCF-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN C[C@@]1(NCc2cccnc2C(F)(F)F)CCCc2ccccc21 ZINC000528412376 1045352161 /nfs/dbraw/zinc/35/21/61/1045352161.db2.gz AXBFWODBBMKSAJ-QGZVFWFLSA-N 0 3 320.358 4.442 20 0 DIADHN Cc1cnc([C@@H](C)N[C@@H](C)Cc2coc3ccccc23)s1 ZINC000449178501 1045355379 /nfs/dbraw/zinc/35/53/79/1045355379.db2.gz SRECRWZOUDKCHD-WCQYABFASA-N 0 3 300.427 4.480 20 0 DIADHN Cc1cnc([C@@H](C)N[C@H](C)Cc2coc3ccccc23)s1 ZINC000449178500 1045355691 /nfs/dbraw/zinc/35/56/91/1045355691.db2.gz SRECRWZOUDKCHD-DGCLKSJQSA-N 0 3 300.427 4.480 20 0 DIADHN Cc1nc(CN[C@@H](C)Cc2coc3ccccc23)sc1C ZINC000449165742 1045357089 /nfs/dbraw/zinc/35/70/89/1045357089.db2.gz ARFCNSBZZLIWAP-NSHDSACASA-N 0 3 300.427 4.227 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1cc2cccnc2o1 ZINC000449179344 1045359460 /nfs/dbraw/zinc/35/94/60/1045359460.db2.gz VPSPDAOOLPKDQW-KPZWWZAWSA-N 0 3 310.372 4.380 20 0 DIADHN Oc1ccc(Cl)c(CN2CC[C@H](Cc3ccccc3)C2)c1 ZINC001307489500 1045362075 /nfs/dbraw/zinc/36/20/75/1045362075.db2.gz JKLWSKDNUBDUOS-OAHLLOKOSA-N 0 3 301.817 4.110 20 0 DIADHN c1cc2cccc(CNCc3ccnc(N4CCCCC4)c3)c2o1 ZINC000449222325 1045364757 /nfs/dbraw/zinc/36/47/57/1045364757.db2.gz WELZUUAXPYDLGP-UHFFFAOYSA-N 0 3 321.424 4.108 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@H](C)c1nc(-c2ccncc2)cs1 ZINC000449227774 1045365502 /nfs/dbraw/zinc/36/55/02/1045365502.db2.gz WHMVZBAMSXXQFB-CHWSQXEVSA-N 0 3 313.426 4.080 20 0 DIADHN C[C@H](NCc1cc(COC(C)(C)C)on1)[C@@H]1CCCC[C@H]1C ZINC000449259573 1045367368 /nfs/dbraw/zinc/36/73/68/1045367368.db2.gz UNXDOXDJUVUSHT-JKIFEVAISA-N 0 3 308.466 4.294 20 0 DIADHN C[C@@H](CC(C)(C)C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000449259338 1045367545 /nfs/dbraw/zinc/36/75/45/1045367545.db2.gz SXMLZJFXOAUHBH-SUMWQHHRSA-N 0 3 303.425 4.063 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H]([NH2+][C@@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000449239977 1045368558 /nfs/dbraw/zinc/36/85/58/1045368558.db2.gz XGWXLRIQNSIQDP-BPQIPLTHSA-N 0 3 312.461 4.089 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cccnc1C(F)(F)F ZINC000528512936 1045369414 /nfs/dbraw/zinc/36/94/14/1045369414.db2.gz OQFKPKJDNUHJSR-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN CC(C)N(Cc1cc(CO)ccc1F)[C@H](C)c1ccccc1 ZINC000528510928 1045369751 /nfs/dbraw/zinc/36/97/51/1045369751.db2.gz YMJLWKSNXLGAJS-OAHLLOKOSA-N 0 3 301.405 4.290 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cnc(-c2ccccc2F)s1 ZINC000449247179 1045369872 /nfs/dbraw/zinc/36/98/72/1045369872.db2.gz FSIJHKJPRKDPHJ-VIFPVBQESA-N 0 3 318.339 4.380 20 0 DIADHN c1cc2cccc(CNCc3ccc4c(c3)OCCCCO4)c2[nH]1 ZINC000449273208 1045370464 /nfs/dbraw/zinc/37/04/64/1045370464.db2.gz YAFWWRHJEAFSHZ-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN NC1(C(=O)Nc2cccc(CSC3CCCCC3)c2)CCC1 ZINC000449283231 1045371126 /nfs/dbraw/zinc/37/11/26/1045371126.db2.gz KQEUOEUOXSBPNI-UHFFFAOYSA-N 0 3 318.486 4.072 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1cc2cccnc2o1 ZINC000449330243 1045375785 /nfs/dbraw/zinc/37/57/85/1045375785.db2.gz KPEISMLTLJASHP-QGZVFWFLSA-N 0 3 310.397 4.420 20 0 DIADHN C[C@@H](CN1CCC[C@@H]1c1cccnc1)c1cc(F)cc(F)c1 ZINC000449317150 1045376439 /nfs/dbraw/zinc/37/64/39/1045376439.db2.gz BICHAVWFUQCBSI-SCLBCKFNSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@@H](NC1CCC(OC2CCCC2)CC1)c1c(F)cncc1F ZINC000449318642 1045376957 /nfs/dbraw/zinc/37/69/57/1045376957.db2.gz HLZJIYDNVQRUGW-DNOWBOINSA-N 0 3 324.415 4.281 20 0 DIADHN CN(Cc1cc2cccnc2o1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000449339970 1045378080 /nfs/dbraw/zinc/37/80/80/1045378080.db2.gz ZRSQBYLNPPMNLH-KGLIPLIRSA-N 0 3 312.335 4.381 20 0 DIADHN OCC1([C@H](NCc2cccc3ccoc32)c2ccccc2)CCC1 ZINC000449340117 1045378367 /nfs/dbraw/zinc/37/83/67/1045378367.db2.gz MQIAGEUBLMSKFF-HXUWFJFHSA-N 0 3 321.420 4.426 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@H]1CCCc2c(F)cccc21 ZINC000449350187 1045379901 /nfs/dbraw/zinc/37/99/01/1045379901.db2.gz LIKDQTYIKBGRQH-IBGZPJMESA-N 0 3 322.383 4.247 20 0 DIADHN COc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)cc2ccccc21 ZINC000449335477 1045380791 /nfs/dbraw/zinc/38/07/91/1045380791.db2.gz ISRRDMJEQHPASK-MSOLQXFVSA-N 0 3 317.379 4.326 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](C)c2cccc(C3CC3)c2)cn1 ZINC000449352271 1045380977 /nfs/dbraw/zinc/38/09/77/1045380977.db2.gz TVFXZZSRRWHORE-HNNXBMFYSA-N 0 3 309.457 4.266 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2cccc(C3CC3)c2)cn1 ZINC000449352272 1045381472 /nfs/dbraw/zinc/38/14/72/1045381472.db2.gz TVFXZZSRRWHORE-OAHLLOKOSA-N 0 3 309.457 4.266 20 0 DIADHN O[C@H](CNC(c1ccccc1)c1ccccc1)c1cccc(F)c1 ZINC000077221247 1045382833 /nfs/dbraw/zinc/38/28/33/1045382833.db2.gz AFXYTZYKKKKYKH-HXUWFJFHSA-N 0 3 321.395 4.238 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1conc1Cc1ccccc1 ZINC000449380608 1045387396 /nfs/dbraw/zinc/38/73/96/1045387396.db2.gz WNIMXSPOPGMLDA-INIZCTEOSA-N 0 3 320.383 4.181 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@H]2CCCCC2(F)F)s1 ZINC000449380781 1045387824 /nfs/dbraw/zinc/38/78/24/1045387824.db2.gz WWBFXAUXWHJXOQ-MRVPVSSYSA-N 0 3 314.323 4.077 20 0 DIADHN FCC1CCN(Cc2cnc(-c3ccccc3F)s2)CC1 ZINC000449451836 1045394496 /nfs/dbraw/zinc/39/44/96/1045394496.db2.gz DQGYWRTXTRXVOA-UHFFFAOYSA-N 0 3 308.397 4.131 20 0 DIADHN CCO[C@@H]1CCN(Cc2c(Cl)ccc3cccnc32)C[C@H]1C ZINC000449498427 1045402975 /nfs/dbraw/zinc/40/29/75/1045402975.db2.gz LHZADKPZUFGWLA-CXAGYDPISA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@@H]1CCN(Cc2c(Cl)oc3ccccc32)C[C@H]1C ZINC000449502918 1045404262 /nfs/dbraw/zinc/40/42/62/1045404262.db2.gz PERLXITUVBJZCK-IUODEOHRSA-N 0 3 307.821 4.333 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(-c3ccsc3)s2)CC1(C)C ZINC000449501582 1045404763 /nfs/dbraw/zinc/40/47/63/1045404763.db2.gz QBGOBNPERRUMJF-AWEZNQCLSA-N 0 3 322.499 4.119 20 0 DIADHN c1nc(-c2ccccc2)sc1CN1CCSC[C@H]1C1CC1 ZINC000449528986 1045405474 /nfs/dbraw/zinc/40/54/74/1045405474.db2.gz UDWXOSQKBDQLRG-INIZCTEOSA-N 0 3 316.495 4.138 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000168518774 1045405998 /nfs/dbraw/zinc/40/59/98/1045405998.db2.gz GCENKHBNVPIGTK-ALLJEULLSA-N 0 3 319.445 4.283 20 0 DIADHN c1coc(-c2ncc(CN3C[C@H](C4CC4)[C@@H]3C3CC3)s2)c1 ZINC000449534571 1045410032 /nfs/dbraw/zinc/41/00/32/1045410032.db2.gz GRYMBMIUJXYSRA-ZBFHGGJFSA-N 0 3 300.427 4.024 20 0 DIADHN Cc1cccc([C@H](NCc2cnc3ccccc3n2)C(C)C)c1 ZINC000528767476 1045411796 /nfs/dbraw/zinc/41/17/96/1045411796.db2.gz LGADLNXUIDWOMO-HXUWFJFHSA-N 0 3 305.425 4.425 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000449562512 1045414460 /nfs/dbraw/zinc/41/44/60/1045414460.db2.gz LLCOCXNKKWMZLJ-RDTXWAMCSA-N 0 3 313.420 4.329 20 0 DIADHN C[C@H]1C[C@@H](NC2CC(c3cccc(Cl)c3)C2)c2nccn21 ZINC000449568927 1045414559 /nfs/dbraw/zinc/41/45/59/1045414559.db2.gz FAEIKNVOFUGJIP-SXSDBLRQSA-N 0 3 301.821 4.078 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](C)CCc1ccc(Cl)s1 ZINC000449563087 1045414779 /nfs/dbraw/zinc/41/47/79/1045414779.db2.gz QZPHKOZAZACHBA-NEPJUHHUSA-N 0 3 311.882 4.290 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449574228 1045415613 /nfs/dbraw/zinc/41/56/13/1045415613.db2.gz TZOWJEBQWLNGTI-NQBHXWOUSA-N 0 3 309.866 4.215 20 0 DIADHN C[C@H](CN[C@H]1C[C@@H](C)n2ccnc21)c1ccc(C(F)(F)F)cc1 ZINC000449574478 1045415674 /nfs/dbraw/zinc/41/56/74/1045415674.db2.gz UUZIQFAGJRTWDD-JMSVASOKSA-N 0 3 323.362 4.301 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000449573878 1045415981 /nfs/dbraw/zinc/41/59/81/1045415981.db2.gz SKZPTGFXXLEJMN-XUJVJEKNSA-N 0 3 323.362 4.129 20 0 DIADHN CCCc1ncc(CN[C@H](C)CCc2c(F)cccc2F)o1 ZINC000449614851 1045419416 /nfs/dbraw/zinc/41/94/16/1045419416.db2.gz XIJGKOCQERVXIX-GFCCVEGCSA-N 0 3 308.372 4.016 20 0 DIADHN CC1(C)C[C@@H](O)CCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000449618956 1045420373 /nfs/dbraw/zinc/42/03/73/1045420373.db2.gz MQLUWOYTXBSSAZ-LBPRGKRZSA-N 0 3 307.821 4.069 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](CC)c2c(C)nn(C)c2C)cc1 ZINC000119391343 1045421753 /nfs/dbraw/zinc/42/17/53/1045421753.db2.gz WQMKGJQCGDSHJH-ACJLOTCBSA-N 0 3 315.461 4.238 20 0 DIADHN CCOc1cccc(CN[C@H]2CCCN(C)c3ccccc32)c1 ZINC000449654970 1045424349 /nfs/dbraw/zinc/42/43/49/1045424349.db2.gz XPKXBCPRWWHMAD-IBGZPJMESA-N 0 3 310.441 4.146 20 0 DIADHN CN1CCC[C@H](NCc2ccc(Cl)s2)c2ccccc21 ZINC000449653299 1045424706 /nfs/dbraw/zinc/42/47/06/1045424706.db2.gz RWLBISDEZXULBP-AWEZNQCLSA-N 0 3 306.862 4.462 20 0 DIADHN COc1cc(CN[C@H]2CCCN(C)c3ccccc32)ccc1C ZINC000449652362 1045424852 /nfs/dbraw/zinc/42/48/52/1045424852.db2.gz PVLHXMRWNSUKNK-SFHVURJKSA-N 0 3 310.441 4.065 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@]2(CCOC2)C1 ZINC000449677986 1045426364 /nfs/dbraw/zinc/42/63/64/1045426364.db2.gz DFZQFBNGRULUQQ-IBGZPJMESA-N 0 3 313.397 4.074 20 0 DIADHN C[C@@H](c1ccccc1C(F)(F)F)N1CCC[C@@]2(CCOC2)C1 ZINC000449678214 1045426386 /nfs/dbraw/zinc/42/63/86/1045426386.db2.gz DZKAWBUXTQIZAS-XJKSGUPXSA-N 0 3 313.363 4.269 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)NCc1cnc(C2CC2)o1 ZINC000449699856 1045427879 /nfs/dbraw/zinc/42/78/79/1045427879.db2.gz AIULFMILSVFPAW-SNVBAGLBSA-N 0 3 310.850 4.378 20 0 DIADHN c1coc(-c2ncc(CN3CCC[C@@]4(CCSC4)C3)s2)c1 ZINC000449732070 1045431185 /nfs/dbraw/zinc/43/11/85/1045431185.db2.gz KUHXTGNEGGBBJQ-MRXNPFEDSA-N 0 3 320.483 4.122 20 0 DIADHN CCc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)cc1 ZINC000449766630 1045435420 /nfs/dbraw/zinc/43/54/20/1045435420.db2.gz OALOSGVAKDLMEH-GOSISDBHSA-N 0 3 315.482 4.304 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)s1 ZINC000449766141 1045435504 /nfs/dbraw/zinc/43/55/04/1045435504.db2.gz MJCSNCXGZXIMRU-HNNXBMFYSA-N 0 3 307.484 4.112 20 0 DIADHN Cc1cc(CN[C@@H](c2cccs2)C2(CO)CCC2)c(C)s1 ZINC000449769521 1045435540 /nfs/dbraw/zinc/43/55/40/1045435540.db2.gz VBTIOMVYLYZZSX-INIZCTEOSA-N 0 3 321.511 4.420 20 0 DIADHN Cc1cc(C)cc(CN[C@H](c2cccs2)C2(CO)CCC2)c1 ZINC000449769153 1045435648 /nfs/dbraw/zinc/43/56/48/1045435648.db2.gz UNIDNTVJMYHKAU-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN CC(C)N(Cc1cnc([C@@H]2CCCO2)s1)C1CC(C)(C)C1 ZINC000449783930 1045437714 /nfs/dbraw/zinc/43/77/14/1045437714.db2.gz SUBZFIJMQLRJLA-HNNXBMFYSA-N 0 3 308.491 4.394 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cc(Cl)cs1 ZINC000487532009 1045463192 /nfs/dbraw/zinc/46/31/92/1045463192.db2.gz BRWUGZOOXNIHMH-BONVTDFDSA-N 0 3 313.825 4.406 20 0 DIADHN O=C1CCC(CN2CCC[C@H]2Cc2c(F)cccc2Cl)CC1 ZINC000450270875 1045480386 /nfs/dbraw/zinc/48/03/86/1045480386.db2.gz ONKGQEJAMVUIBX-AWEZNQCLSA-N 0 3 323.839 4.245 20 0 DIADHN C[C@@H](NCc1csc(-c2ccccc2)n1)C1CC(F)(F)C1 ZINC000529535006 1045489083 /nfs/dbraw/zinc/48/90/83/1045489083.db2.gz XVHPWVGPOGVBRS-LLVKDONJSA-N 0 3 308.397 4.334 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCCC(=O)c2ccccc2)s1 ZINC000450396541 1045498387 /nfs/dbraw/zinc/49/83/87/1045498387.db2.gz WCAJYCQDLUGXDZ-AWEZNQCLSA-N 0 3 316.470 4.416 20 0 DIADHN COc1cccc2cc([C@@H](C)NCCOC3CCCCC3)oc21 ZINC000389955178 1045509353 /nfs/dbraw/zinc/50/93/53/1045509353.db2.gz OGPWQTQTYUUOCX-CQSZACIVSA-N 0 3 317.429 4.441 20 0 DIADHN C[C@H](NC1CCC(c2ccc(O)cc2)CC1)c1ncccc1F ZINC000529688791 1045514696 /nfs/dbraw/zinc/51/46/96/1045514696.db2.gz LELJSVIEXACBGY-HLIUYOAVSA-N 0 3 314.404 4.303 20 0 DIADHN C[C@H](O)CCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000450554525 1045519419 /nfs/dbraw/zinc/51/94/19/1045519419.db2.gz SCFHEMIPVNIDOZ-SWLSCSKDSA-N 0 3 301.352 4.003 20 0 DIADHN C[C@](O)(CNCc1cccc(Cl)c1Cl)c1ccsc1 ZINC000077460486 1045522929 /nfs/dbraw/zinc/52/29/29/1045522929.db2.gz DONZUABDAFTDRF-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN O=C1CCC(CN2CCCC[C@H]2c2nc3ccccc3o2)CC1 ZINC000450588806 1045523080 /nfs/dbraw/zinc/52/30/80/1045523080.db2.gz CGBBGNPYYSZTLK-KRWDZBQOSA-N 0 3 312.413 4.114 20 0 DIADHN Cc1ccc(O)c(CNCc2cccc(C)c2Br)c1 ZINC000190322044 1045523895 /nfs/dbraw/zinc/52/38/95/1045523895.db2.gz HRASVHFITWEJKV-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN Cn1ncc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)c1C1CC1 ZINC001120027927 1045532771 /nfs/dbraw/zinc/53/27/71/1045532771.db2.gz VFYVQXRNQIBLOQ-SFHVURJKSA-N 0 3 315.436 4.314 20 0 DIADHN Cc1cnc([C@@H](C)NC2CC(c3ccc(F)cc3F)C2)s1 ZINC001120031612 1045533600 /nfs/dbraw/zinc/53/36/00/1045533600.db2.gz REIHJNGUKKWENS-XSRFYTQQSA-N 0 3 308.397 4.327 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000007811933 1045534411 /nfs/dbraw/zinc/53/44/11/1045534411.db2.gz AXYWPMXCDCQJKN-IAGOWNOFSA-N 0 3 322.452 4.270 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2ccc(Cl)c(Cl)c2)o1 ZINC000628210350 1045543939 /nfs/dbraw/zinc/54/39/39/1045543939.db2.gz SIJJPEOCRWVTGO-UHFFFAOYSA-N 0 3 313.228 4.357 20 0 DIADHN CC(C)c1ccc(-c2nc(CN[C@@H](C)[C@H](C)CO)cs2)cc1 ZINC000450730364 1045553777 /nfs/dbraw/zinc/55/37/77/1045553777.db2.gz TXHIHCLMURVLOB-KGLIPLIRSA-N 0 3 318.486 4.040 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000628258109 1045558602 /nfs/dbraw/zinc/55/86/02/1045558602.db2.gz ORCJBHUVOCNJFA-MQMHXKEQSA-N 0 3 310.319 4.038 20 0 DIADHN C[C@H](O)CCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000450765633 1045560192 /nfs/dbraw/zinc/56/01/92/1045560192.db2.gz XLSXGYIIAKFLKW-SWLSCSKDSA-N 0 3 301.352 4.003 20 0 DIADHN Clc1ccc(CC2(NCc3cnc(C4CC4)o3)CCC2)cc1 ZINC000628290913 1045563919 /nfs/dbraw/zinc/56/39/19/1045563919.db2.gz DMIOLMGKXWIFQD-UHFFFAOYSA-N 0 3 316.832 4.460 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)nn(C)c1C)c1ccc(F)cc1 ZINC000121323432 1045569246 /nfs/dbraw/zinc/56/92/46/1045569246.db2.gz ZRIMOUMSEXTTPV-SJORKVTESA-N 0 3 303.425 4.368 20 0 DIADHN C[C@@]1(Cc2ccccc2F)CCCN1Cc1cnc(C2CC2)o1 ZINC000628322064 1045569069 /nfs/dbraw/zinc/56/90/69/1045569069.db2.gz XHEIBVXZXFWIRK-IBGZPJMESA-N 0 3 314.404 4.288 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000008118453 1045574724 /nfs/dbraw/zinc/57/47/24/1045574724.db2.gz CMSJPZUNFAUQIE-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN Fc1ccc(CN2CC(Cc3cccs3)C2)c2ncccc12 ZINC000628478497 1045588197 /nfs/dbraw/zinc/58/81/97/1045588197.db2.gz FGDFZVNUIJPXMC-UHFFFAOYSA-N 0 3 312.413 4.110 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1)c1cccc2ccccc21 ZINC000008269047 1045590499 /nfs/dbraw/zinc/59/04/99/1045590499.db2.gz VMTQAMJEVGNMOT-HNNXBMFYSA-N 0 3 304.393 4.129 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H](c2ccncc2)C1 ZINC000450996429 1045606397 /nfs/dbraw/zinc/60/63/97/1045606397.db2.gz HAQZRMSVAPYHRH-CZUORRHYSA-N 0 3 302.368 4.300 20 0 DIADHN FC(F)Sc1ccc(CN2CCC(c3c[nH]cn3)CC2)cc1 ZINC000751482726 1045607283 /nfs/dbraw/zinc/60/72/83/1045607283.db2.gz KMTYFIFWOYTKPK-UHFFFAOYSA-N 0 3 323.412 4.104 20 0 DIADHN CC(C)COCCN1CCC(c2nc(C(C)(C)C)cs2)CC1 ZINC000800336430 1045607978 /nfs/dbraw/zinc/60/79/78/1045607978.db2.gz ODTOAGIFZLPCTG-UHFFFAOYSA-N 0 3 324.534 4.293 20 0 DIADHN COC(C)(C)CCN1CCC[C@H]1c1nc2cc(Cl)ccc2[nH]1 ZINC000451022938 1045608162 /nfs/dbraw/zinc/60/81/62/1045608162.db2.gz SUSLPNYFIUFDTG-HNNXBMFYSA-N 0 3 321.852 4.168 20 0 DIADHN O[C@@H](CN1CC[C@@H](c2ccccc2F)C1)c1ccccc1Cl ZINC000800342512 1045609133 /nfs/dbraw/zinc/60/91/33/1045609133.db2.gz SMNHPTAHJRLDNM-ACJLOTCBSA-N 0 3 319.807 4.002 20 0 DIADHN O[C@@H](CN1CC[C@H](c2cccc(F)c2)C1)c1ccccc1Cl ZINC000800338787 1045609187 /nfs/dbraw/zinc/60/91/87/1045609187.db2.gz WYBPNHYCFPFZPS-KSSFIOAISA-N 0 3 319.807 4.002 20 0 DIADHN O[C@@H](CN1CCC(c2ccsc2)CC1)c1ccccc1Cl ZINC000800374236 1045611532 /nfs/dbraw/zinc/61/15/32/1045611532.db2.gz GGXZXDMDLGXKQG-KRWDZBQOSA-N 0 3 321.873 4.315 20 0 DIADHN CSC1(C(=O)C(C#N)c2nc3cc(Cl)ccc3s2)CC1 ZINC000190877953 1045623614 /nfs/dbraw/zinc/62/36/14/1045623614.db2.gz OISQAJRQOSNJJC-VIFPVBQESA-N 0 3 322.842 4.022 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C)c(C)o1)[C@H](O)c1c(F)cccc1F ZINC000800482193 1045625620 /nfs/dbraw/zinc/62/56/20/1045625620.db2.gz PSLPXKSSUJIQRM-PSZVPEGOSA-N 0 3 323.383 4.337 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CN[C@H]2CCSC2)c1 ZINC000530278215 1045630574 /nfs/dbraw/zinc/63/05/74/1045630574.db2.gz QDJUHTFKRMKSFT-AWEZNQCLSA-N 0 3 311.878 4.257 20 0 DIADHN FC(F)(F)CCNC1(c2ccc(OC(F)(F)F)cc2)CC1 ZINC000451143890 1045633741 /nfs/dbraw/zinc/63/37/41/1045633741.db2.gz GQONBVVUENAKKT-UHFFFAOYSA-N 0 3 313.241 4.116 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CCC2(CCC(O)CC2)CC1 ZINC000451148459 1045633892 /nfs/dbraw/zinc/63/38/92/1045633892.db2.gz UWXKKDDBRTUFTF-ZDUSSCGKSA-N 0 3 309.400 4.043 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2Cc3ccc(Cl)cc3C2)c(C)s1 ZINC000800496415 1045633996 /nfs/dbraw/zinc/63/39/96/1045633996.db2.gz VDDHPBHRBGCNBK-VFZGTOFNSA-N 0 3 306.862 4.231 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC2(CCC(O)CC2)CC1 ZINC000451151225 1045634907 /nfs/dbraw/zinc/63/49/07/1045634907.db2.gz AWIKGHUMEHTDKE-UHFFFAOYSA-N 0 3 316.489 4.031 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCc3c2cccc3C)c1 ZINC000121615197 1045635366 /nfs/dbraw/zinc/63/53/66/1045635366.db2.gz WYTKPFGTHPXMHV-JLTOFOAXSA-N 0 3 308.425 4.292 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000451162244 1045637677 /nfs/dbraw/zinc/63/76/77/1045637677.db2.gz MRRIHLLJKJPBOP-GBOPCIDUSA-N 0 3 301.352 4.144 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@H](C)N1Cc1nc(-c2ccoc2)no1 ZINC000451179586 1045640028 /nfs/dbraw/zinc/64/00/28/1045640028.db2.gz DVJPUQCPANWDSF-JKIFEVAISA-N 0 3 323.396 4.096 20 0 DIADHN CC[C@](C)(NC[C@H]1CCC2(CCCC2)O1)c1nc(C)cs1 ZINC000530358217 1045650828 /nfs/dbraw/zinc/65/08/28/1045650828.db2.gz CBVRYMMJAARIBC-ZBFHGGJFSA-N 0 3 308.491 4.158 20 0 DIADHN Fc1ccc(C2CCN(C[C@H]3CC3(Cl)Cl)CC2)cc1 ZINC000752093970 1045658548 /nfs/dbraw/zinc/65/85/48/1045658548.db2.gz ODVJBVNZHQWTAA-CYBMUJFWSA-N 0 3 302.220 4.199 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1ccc(F)cc1)c1cccc(F)c1 ZINC000752090451 1045658662 /nfs/dbraw/zinc/65/86/62/1045658662.db2.gz YOJZQQZEZHNWFP-RBUKOAKNSA-N 0 3 317.379 4.225 20 0 DIADHN COc1cc(CNCc2ccc(C)c(F)c2)ccc1OC(C)C ZINC000078228150 1045663726 /nfs/dbraw/zinc/66/37/26/1045663726.db2.gz HCWDVCVJLPWWRL-UHFFFAOYSA-N 0 3 317.404 4.220 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000451343397 1045665233 /nfs/dbraw/zinc/66/52/33/1045665233.db2.gz OFJSFMUEYRZJFW-AXYPVASZSA-N 0 3 309.400 4.041 20 0 DIADHN CN(C[C@@H]1CCCCC1(F)F)[C@H](CO)c1ccc(Cl)cc1 ZINC000451338040 1045666444 /nfs/dbraw/zinc/66/64/44/1045666444.db2.gz YHEXHNFLXRDLCO-DZGCQCFKSA-N 0 3 317.807 4.131 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCCC3)ccn1 ZINC000671098565 1045673432 /nfs/dbraw/zinc/67/34/32/1045673432.db2.gz MCLHIKNVOMGLQK-HUUCEWRRSA-N 0 3 310.441 4.381 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000451412304 1045678945 /nfs/dbraw/zinc/67/89/45/1045678945.db2.gz ADPJWMXILDWNBJ-CUBALJKWSA-N 0 3 311.828 4.166 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@H](C)c2ccc3ccccc3n2)c1 ZINC000800994973 1045680534 /nfs/dbraw/zinc/68/05/34/1045680534.db2.gz YTUPHBZUQMGKQY-IERDGZPVSA-N 0 3 320.436 4.236 20 0 DIADHN CN(C)[C@H](CNc1nccc2occc21)c1ccc(Cl)cc1 ZINC000530618190 1045698807 /nfs/dbraw/zinc/69/88/07/1045698807.db2.gz LBKVOGODSJUKEP-OAHLLOKOSA-N 0 3 315.804 4.196 20 0 DIADHN C[C@@H](Cc1nc(-c2ccc(CN(C)C)cc2)no1)c1ccccc1 ZINC000530632087 1045699396 /nfs/dbraw/zinc/69/93/96/1045699396.db2.gz NDSDBPDIOUGJBN-HNNXBMFYSA-N 0 3 321.424 4.144 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@@H](C)C(C)C)cs1 ZINC000530628138 1045701319 /nfs/dbraw/zinc/70/13/19/1045701319.db2.gz BCUDIVFHNGJXJW-ZDUSSCGKSA-N 0 3 304.459 4.295 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000451707173 1045716890 /nfs/dbraw/zinc/71/68/90/1045716890.db2.gz MQTOAQSVIICCOK-RZFFKMDDSA-N 0 3 311.828 4.023 20 0 DIADHN CC(C)c1cccc(NC(=O)N(CCN(C)C)C2CCCC2)c1 ZINC000530727840 1045719364 /nfs/dbraw/zinc/71/93/64/1045719364.db2.gz VZLYWFPOFHYRCM-UHFFFAOYSA-N 0 3 317.477 4.148 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1c[nH]nc1C(C)(C)C ZINC001138578987 1045729498 /nfs/dbraw/zinc/72/94/98/1045729498.db2.gz SPUDFDGPXRNCRI-UHFFFAOYSA-N 0 3 305.853 4.035 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1ccc(Cl)nc1Cl ZINC000801544571 1045735732 /nfs/dbraw/zinc/73/57/32/1045735732.db2.gz NVRAPZHAJRANQL-OLZOCXBDSA-N 0 3 317.260 4.072 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1ccc2[nH]cnc2c1 ZINC000530857990 1045738613 /nfs/dbraw/zinc/73/86/13/1045738613.db2.gz GCBGYVGDEPSGHS-SFHVURJKSA-N 0 3 323.440 4.449 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1ccc2nc[nH]c2c1 ZINC000530857990 1045738624 /nfs/dbraw/zinc/73/86/24/1045738624.db2.gz GCBGYVGDEPSGHS-SFHVURJKSA-N 0 3 323.440 4.449 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000451903555 1045744085 /nfs/dbraw/zinc/74/40/85/1045744085.db2.gz IZRCNGQELDUFRY-MRVWCRGKSA-N 0 3 321.770 4.036 20 0 DIADHN C[C@@H](NCc1ccc(SC(=O)N(C)C)cc1)c1ccsc1 ZINC000801571471 1045744400 /nfs/dbraw/zinc/74/44/00/1045744400.db2.gz ZJEJMVLQXGWEKQ-GFCCVEGCSA-N 0 3 320.483 4.373 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CCC[C@@]2(CCOC2)C1 ZINC000451903127 1045744680 /nfs/dbraw/zinc/74/46/80/1045744680.db2.gz PHLAHITURYPJHL-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000451903554 1045745095 /nfs/dbraw/zinc/74/50/95/1045745095.db2.gz IZRCNGQELDUFRY-IACUBPJLSA-N 0 3 321.770 4.036 20 0 DIADHN CC1CCN([C@@H](CNC(=O)OC(C)(C)C)c2cccs2)CC1 ZINC000078574107 1045745982 /nfs/dbraw/zinc/74/59/82/1045745982.db2.gz FXKFBNDWVAPPOW-AWEZNQCLSA-N 0 3 324.490 4.046 20 0 DIADHN CC[C@H](Cc1ccccc1)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000530898067 1045747009 /nfs/dbraw/zinc/74/70/09/1045747009.db2.gz UHHZKFVLKDFKGV-LJQANCHMSA-N 0 3 324.468 4.264 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1cccc(C(C)(C)C)c1 ZINC001168134024 1045756577 /nfs/dbraw/zinc/75/65/77/1045756577.db2.gz IDHZVJGIVDWEKE-IBGZPJMESA-N 0 3 311.473 4.363 20 0 DIADHN Cc1nccn1CCCCN1CCC[C@H]1c1ccc(F)cc1F ZINC000451971047 1045756832 /nfs/dbraw/zinc/75/68/32/1045756832.db2.gz MNDUNZLFFNGDJT-SFHVURJKSA-N 0 3 319.399 4.087 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H](C)[C@@H]3CCC[C@H](C)C3)o2)c(C)o1 ZINC000452068379 1045765710 /nfs/dbraw/zinc/76/57/10/1045765710.db2.gz MZIVJVQFWYEKAZ-CORIIIEPSA-N 0 3 317.433 4.251 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(C)=C1CCCC1)c1ccsc1 ZINC000753581770 1045767699 /nfs/dbraw/zinc/76/76/99/1045767699.db2.gz SAZSCQOPHZMKCU-QGZVFWFLSA-N 0 3 320.502 4.138 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3cnc(Cl)c(C)c3)C2)c1 ZINC000753593359 1045769796 /nfs/dbraw/zinc/76/97/96/1045769796.db2.gz XTINPFBKIZBPJJ-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@@H](CCCO)C1 ZINC000452116898 1045771087 /nfs/dbraw/zinc/77/10/87/1045771087.db2.gz COPGHJCUVQDNTN-VXGBXAGGSA-N 0 3 302.245 4.149 20 0 DIADHN COC[C@@H]1CCCN([C@@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000452083601 1045771129 /nfs/dbraw/zinc/77/11/29/1045771129.db2.gz LUHXWYFWDYOFEQ-JKSUJKDBSA-N 0 3 314.429 4.151 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2cccc(C)c2)c(C)c1 ZINC000452085879 1045771233 /nfs/dbraw/zinc/77/12/33/1045771233.db2.gz MKMGWYUKSFIGHA-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2Cl)c1 ZINC000452092765 1045771586 /nfs/dbraw/zinc/77/15/86/1045771586.db2.gz UBLJVOCCNCHCTR-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H](CCCO)C1 ZINC000452129926 1045774690 /nfs/dbraw/zinc/77/46/90/1045774690.db2.gz NOBOUGOUWLOEMX-NEPJUHHUSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H](NC(=O)c1cccc(CN(C)C)c1)c1cccc(C2CC2)c1 ZINC000452193327 1045780324 /nfs/dbraw/zinc/78/03/24/1045780324.db2.gz HYNDSRZFXAUYKU-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2sc(C)nc2C)cc1C ZINC000753663898 1045786802 /nfs/dbraw/zinc/78/68/02/1045786802.db2.gz NQRSLLPNUSCRJI-LBPRGKRZSA-N 0 3 304.459 4.236 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](CO)c2sccc2C)cc1C ZINC000753682184 1045788716 /nfs/dbraw/zinc/78/87/16/1045788716.db2.gz PMPTYIHYCKTJDL-GOEBONIOSA-N 0 3 319.470 4.066 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cncc(OC)c2C)cc1 ZINC000631062488 1045807118 /nfs/dbraw/zinc/80/71/18/1045807118.db2.gz NSKZTWOULGDZCP-CQSZACIVSA-N 0 3 316.470 4.361 20 0 DIADHN CC[C@@H](NCc1ccc(CC(F)(F)F)cc1)c1ccncc1 ZINC000631079683 1045810606 /nfs/dbraw/zinc/81/06/06/1045810606.db2.gz JWEWTHCHXOIPRA-MRXNPFEDSA-N 0 3 308.347 4.427 20 0 DIADHN Cc1noc(C)c1C[C@H](C)NCc1oc2ccccc2c1Cl ZINC000631076558 1045811542 /nfs/dbraw/zinc/81/15/42/1045811542.db2.gz MZHJPKKMAJGAEA-JTQLQIEISA-N 0 3 318.804 4.412 20 0 DIADHN CC(=O)Oc1ccc(CN(C)[C@H](C)c2cccc(Cl)c2)cc1 ZINC000753910926 1045819841 /nfs/dbraw/zinc/81/98/41/1045819841.db2.gz CXSRJIRNARMBQL-CYBMUJFWSA-N 0 3 317.816 4.458 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CNCc1ccc(=O)[nH]c1 ZINC000631112701 1045821972 /nfs/dbraw/zinc/82/19/72/1045821972.db2.gz HONQUGOEXMLGTR-UHFFFAOYSA-N 0 3 322.408 4.017 20 0 DIADHN C[C@@H](O)[C@H](NCc1ccc(CC(F)(F)F)cc1)c1ccccc1 ZINC000631178842 1045826798 /nfs/dbraw/zinc/82/67/98/1045826798.db2.gz NTGZYPWXAMGKRG-DYVFJYSZSA-N 0 3 323.358 4.003 20 0 DIADHN CCN(CC(=O)Nc1cccc(Cl)c1Cl)[C@H](C)C(C)C ZINC000531344130 1045828300 /nfs/dbraw/zinc/82/83/00/1045828300.db2.gz CWWKZRZJYKWZIY-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN COc1ccc([C@H](NCc2csc(C)c2C)C2CC2)cn1 ZINC000631186377 1045829853 /nfs/dbraw/zinc/82/98/53/1045829853.db2.gz VKJQPWWFRFSYPL-QGZVFWFLSA-N 0 3 302.443 4.009 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1ccncc1Br ZINC000631181621 1045830464 /nfs/dbraw/zinc/83/04/64/1045830464.db2.gz FUONJMKSNJJWTO-UHFFFAOYSA-N 0 3 321.209 4.005 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccc(Cl)c(F)c1)c1ccccc1 ZINC000631660788 1045830819 /nfs/dbraw/zinc/83/08/19/1045830819.db2.gz GJULMWQMVVECNR-PXAZEXFGSA-N 0 3 307.796 4.253 20 0 DIADHN CC[C@H](NCc1cnc(CCOC)s1)c1cc(C)ccc1C ZINC000631183095 1045832757 /nfs/dbraw/zinc/83/27/57/1045832757.db2.gz JJRBGZBJJRHRTQ-KRWDZBQOSA-N 0 3 318.486 4.190 20 0 DIADHN CC[C@@](C)(NCc1cc(-c2cccnc2)n[nH]1)c1ccc(F)cc1 ZINC000631194848 1045833105 /nfs/dbraw/zinc/83/31/05/1045833105.db2.gz QITQMKKIRAPNPO-LJQANCHMSA-N 0 3 324.403 4.026 20 0 DIADHN COc1cc(CNCc2csc(C)c2C)ccc1SC ZINC000631205405 1045835623 /nfs/dbraw/zinc/83/56/23/1045835623.db2.gz AIEKJPHAJAIFSH-UHFFFAOYSA-N 0 3 307.484 4.385 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1csc(C)c1C ZINC000631206867 1045835835 /nfs/dbraw/zinc/83/58/35/1045835835.db2.gz PHQNQEHSCGGAEW-UHFFFAOYSA-N 0 3 305.491 4.223 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)c1cnc(C)s1 ZINC000631230306 1045839110 /nfs/dbraw/zinc/83/91/10/1045839110.db2.gz ZXXNBKXKMNGPNO-RNQWEJQRSA-N 0 3 316.470 4.352 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000801920486 1045841001 /nfs/dbraw/zinc/84/10/01/1045841001.db2.gz QPCNFBOXKPGQTQ-RBUKOAKNSA-N 0 3 317.379 4.489 20 0 DIADHN CC(C)(C)c1cnc(CNCC2([C@@H]3CCCCO3)CCC2)s1 ZINC000631245286 1045841634 /nfs/dbraw/zinc/84/16/34/1045841634.db2.gz JDAXBJSORMOJAL-AWEZNQCLSA-N 0 3 322.518 4.270 20 0 DIADHN CC(C)c1cnc(CNCC2([C@H]3CCCCO3)CCC2)s1 ZINC000631245062 1045842202 /nfs/dbraw/zinc/84/22/02/1045842202.db2.gz HLLSJRMKMPDMNV-OAHLLOKOSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1cccc2c1CCOC2 ZINC000631243742 1045844107 /nfs/dbraw/zinc/84/41/07/1045844107.db2.gz GAJCQVPAEYHCQY-UHFFFAOYSA-N 0 3 301.817 4.011 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000754088710 1045846368 /nfs/dbraw/zinc/84/63/68/1045846368.db2.gz TTYQUMWHFSPWSM-VBKZILBWSA-N 0 3 319.408 4.226 20 0 DIADHN c1cc2c(cccc2CNCc2c(C3CC3)nc3sccn23)[nH]1 ZINC000631307543 1045848526 /nfs/dbraw/zinc/84/85/26/1045848526.db2.gz MIHNLXVZZWRYHZ-UHFFFAOYSA-N 0 3 322.437 4.044 20 0 DIADHN CC(C)(C)SCCN1CCC[C@H]1CC(=O)c1cccs1 ZINC000801933739 1045850132 /nfs/dbraw/zinc/85/01/32/1045850132.db2.gz CLAQBNIGFTYFEN-ZDUSSCGKSA-N 0 3 311.516 4.317 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H]1CS[C@@H](C(C)(C)C)C1)CCC2 ZINC000754148466 1045855209 /nfs/dbraw/zinc/85/52/09/1045855209.db2.gz IQUPBJUTICEEJU-BZUAXINKSA-N 0 3 321.534 4.351 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCOc1cccc(C(C)(C)C)c1 ZINC000754156417 1045856398 /nfs/dbraw/zinc/85/63/98/1045856398.db2.gz NUCIITBPBADPHD-CYBMUJFWSA-N 0 3 316.445 4.319 20 0 DIADHN CC(C)c1cnc(CN[C@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000754227302 1045864603 /nfs/dbraw/zinc/86/46/03/1045864603.db2.gz GYDGNLXVNIYNSJ-MRXNPFEDSA-N 0 3 304.409 4.294 20 0 DIADHN CCC[C@@H](NCc1ccc(-n2cccn2)cc1)c1cc(C)ccn1 ZINC000631569175 1045870318 /nfs/dbraw/zinc/87/03/18/1045870318.db2.gz JWIYOXMGICGCEQ-LJQANCHMSA-N 0 3 320.440 4.207 20 0 DIADHN FC(F)(F)Oc1ccc(CN[C@H]2CCCc3ncccc32)cc1 ZINC000631567900 1045870389 /nfs/dbraw/zinc/87/03/89/1045870389.db2.gz LXYLCVYAOLMTFI-INIZCTEOSA-N 0 3 322.330 4.147 20 0 DIADHN CCCOCc1ccccc1CN[C@H]1CCCc2ncccc21 ZINC000631570180 1045871289 /nfs/dbraw/zinc/87/12/89/1045871289.db2.gz QCCCHJTUPRAGND-FQEVSTJZSA-N 0 3 310.441 4.175 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H]1CSCc2ccccc21 ZINC000631576539 1045873984 /nfs/dbraw/zinc/87/39/84/1045873984.db2.gz KIAXOGIQXCLDLD-MOPGFXCFSA-N 0 3 311.450 4.260 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H]1CSCc2ccccc21 ZINC000631576545 1045874213 /nfs/dbraw/zinc/87/42/13/1045874213.db2.gz KIAXOGIQXCLDLD-RBUKOAKNSA-N 0 3 311.450 4.260 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCCOc2ccccc21 ZINC000631577123 1045875612 /nfs/dbraw/zinc/87/56/12/1045875612.db2.gz PJAJLKHAQNQYRQ-MOPGFXCFSA-N 0 3 309.409 4.186 20 0 DIADHN CC(C)c1cc(CN[C@@H](C)CCc2c[nH]c3ccccc23)on1 ZINC000631582029 1045877335 /nfs/dbraw/zinc/87/73/35/1045877335.db2.gz JMBVSDUJDQZSKX-AWEZNQCLSA-N 0 3 311.429 4.390 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H](c1ncccc1C)C(C)C ZINC000631580966 1045877362 /nfs/dbraw/zinc/87/73/62/1045877362.db2.gz CVJOXDMGMYVLIX-MOPGFXCFSA-N 0 3 310.441 4.373 20 0 DIADHN CC(C)CO[C@H]1CCN(Cc2cc(Cl)cc3cccnc32)C1 ZINC000531587359 1045878172 /nfs/dbraw/zinc/87/81/72/1045878172.db2.gz XFJRQJXFJNCFFM-KRWDZBQOSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000192594116 1045878941 /nfs/dbraw/zinc/87/89/41/1045878941.db2.gz AQQZPAQLIUWJFG-QGZVFWFLSA-N 0 3 322.452 4.082 20 0 DIADHN CC(C)(C)OC(=O)C[C@@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000531616318 1045886747 /nfs/dbraw/zinc/88/67/47/1045886747.db2.gz LMGIOSZBRIYRBU-VTSXBNNFSA-N 0 3 315.457 4.144 20 0 DIADHN CCc1ccc2nccc(N3CC[C@@H](c4ccccn4)C3)c2c1 ZINC000828080608 1045891558 /nfs/dbraw/zinc/89/15/58/1045891558.db2.gz FXTALYBSDHEICO-MRXNPFEDSA-N 0 3 303.409 4.186 20 0 DIADHN CCCc1ncc(CN[C@H](C)CCc2c[nH]c3ccccc23)o1 ZINC000631634164 1045892558 /nfs/dbraw/zinc/89/25/58/1045892558.db2.gz VIVZGVDUZNTJIR-CQSZACIVSA-N 0 3 311.429 4.219 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](N[C@@H]1CCCc3ncccc31)CCC2 ZINC000631632665 1045892725 /nfs/dbraw/zinc/89/27/25/1045892725.db2.gz ABZAVDXYXWLMPZ-MOPGFXCFSA-N 0 3 314.379 4.404 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](N[C@@H]1CCCc3ncccc31)CCC2 ZINC000631632690 1045892954 /nfs/dbraw/zinc/89/29/54/1045892954.db2.gz ABZAVDXYXWLMPZ-RTBURBONSA-N 0 3 314.379 4.404 20 0 DIADHN CC[C@@H](NCC1(C(F)(F)F)CC1)c1cccc(OC)c1OC ZINC000631631258 1045893382 /nfs/dbraw/zinc/89/33/82/1045893382.db2.gz XFYPSHPJBBGDCC-GFCCVEGCSA-N 0 3 317.351 4.087 20 0 DIADHN CC(F)(F)c1ccc(CN[C@@H]2CCCc3ncccc32)cc1 ZINC000631635276 1045895154 /nfs/dbraw/zinc/89/51/54/1045895154.db2.gz RZVDMIOGURCMSX-QGZVFWFLSA-N 0 3 302.368 4.361 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H](c1ccccn1)C1CCC1 ZINC000631653305 1045896835 /nfs/dbraw/zinc/89/68/35/1045896835.db2.gz WBEQYGANXGVOSM-QUCCMNQESA-N 0 3 308.425 4.209 20 0 DIADHN FC(F)(F)Cc1ccccc1CN[C@H]1CCCc2ncccc21 ZINC000631651443 1045897114 /nfs/dbraw/zinc/89/71/14/1045897114.db2.gz QSLDHXLOQIJEHE-KRWDZBQOSA-N 0 3 320.358 4.354 20 0 DIADHN O=c1[nH]cccc1CN[C@H](c1ccc(F)cc1)C1CCCCC1 ZINC000631650445 1045897150 /nfs/dbraw/zinc/89/71/50/1045897150.db2.gz GBPLEWWLCHFERX-SFHVURJKSA-N 0 3 314.404 4.338 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4ncccc43)CCC2)c1 ZINC000631647817 1045898772 /nfs/dbraw/zinc/89/87/72/1045898772.db2.gz HNKKZMRFGWNJJP-LJQANCHMSA-N 0 3 308.425 4.137 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1ccc2ccccc2n1 ZINC000631652881 1045898878 /nfs/dbraw/zinc/89/88/78/1045898878.db2.gz CNBOLSRKNCPTMC-LPTQZCDUSA-N 0 3 318.420 4.416 20 0 DIADHN COc1ccccc1C(C)(C)NC[C@]1(C)CC1(Cl)Cl ZINC000828286807 1045899773 /nfs/dbraw/zinc/89/97/73/1045899773.db2.gz HACWQBVZIGNQBA-AWEZNQCLSA-N 0 3 302.245 4.104 20 0 DIADHN CCC[C@H](CCO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000631669851 1045902245 /nfs/dbraw/zinc/90/22/45/1045902245.db2.gz RZZWJXVTQNEJCN-DZGCQCFKSA-N 0 3 304.459 4.012 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1csc(C)c1C)CC2 ZINC000631681756 1045903265 /nfs/dbraw/zinc/90/32/65/1045903265.db2.gz GXQQNQUAAWKVPA-MRXNPFEDSA-N 0 3 317.454 4.159 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2csc(C)c2C)cc1 ZINC000631682730 1045904199 /nfs/dbraw/zinc/90/41/99/1045904199.db2.gz NYYAVYZOUQOABJ-LBPRGKRZSA-N 0 3 302.443 4.174 20 0 DIADHN Oc1ccccc1CN(Cc1cnc(Cl)s1)C1CCCC1 ZINC000079321858 1045904554 /nfs/dbraw/zinc/90/45/54/1045904554.db2.gz RKCOCSNWACCEKD-UHFFFAOYSA-N 0 3 322.861 4.447 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C(=O)OC(C)C)cc2)s1 ZINC000754660706 1045905092 /nfs/dbraw/zinc/90/50/92/1045905092.db2.gz FPBRVNRMNGPFJX-AWEZNQCLSA-N 0 3 317.454 4.473 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c(Cl)cncc1Cl)CC2 ZINC001137609057 1045905553 /nfs/dbraw/zinc/90/55/53/1045905553.db2.gz DOSGRYLDTACWPJ-UHFFFAOYSA-N 0 3 317.235 4.493 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H](C)c1cnc(C)s1 ZINC000631706840 1045906266 /nfs/dbraw/zinc/90/62/66/1045906266.db2.gz BNMHOAUSVZGZSR-ABAIWWIYSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2Cl)s1 ZINC000754668461 1045906899 /nfs/dbraw/zinc/90/68/99/1045906899.db2.gz PFLUSKOJKCHUBT-NEPJUHHUSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2)s1 ZINC000754667805 1045908018 /nfs/dbraw/zinc/90/80/18/1045908018.db2.gz LUSSFVIYHMIXQL-UONOGXRCSA-N 0 3 302.443 4.043 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(F)ccc2C)s1 ZINC000754665770 1045908415 /nfs/dbraw/zinc/90/84/15/1045908415.db2.gz XTPSYZVAAZRKCH-OLZOCXBDSA-N 0 3 320.433 4.182 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cccc3c2CCOC3)c1 ZINC000631729590 1045909298 /nfs/dbraw/zinc/90/92/98/1045909298.db2.gz OXQVBTNWCKOGGF-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@@H](C)c1ccc(C)s1)C1CCCCC1 ZINC000754674042 1045909406 /nfs/dbraw/zinc/90/94/06/1045909406.db2.gz ZJJSKUSVRSFLJJ-GJZGRUSLSA-N 0 3 322.518 4.277 20 0 DIADHN CC(C)CC(=O)CCN1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000828469365 1045909689 /nfs/dbraw/zinc/90/96/89/1045909689.db2.gz HRYOQMDQNFZHNO-AWEZNQCLSA-N 0 3 305.384 4.046 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C(C)C)c1)c1cccc(OC)c1 ZINC000531717844 1045911721 /nfs/dbraw/zinc/91/17/21/1045911721.db2.gz CGTYFGJSKMPHCI-KSSFIOAISA-N 0 3 301.434 4.275 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccsc1 ZINC000631737492 1045913198 /nfs/dbraw/zinc/91/31/98/1045913198.db2.gz PQOFZEUTAQIQBJ-ZWNOBZJWSA-N 0 3 302.399 4.397 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1C(F)(F)F)c1cc(Br)cs1 ZINC000631753973 1045914782 /nfs/dbraw/zinc/91/47/82/1045914782.db2.gz XPXWTSRRVRDARU-LPBLVHEISA-N 0 3 314.170 4.112 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cs1 ZINC000631737479 1045916286 /nfs/dbraw/zinc/91/62/86/1045916286.db2.gz PODQWXDMBRSQGD-WDEREUQCSA-N 0 3 302.399 4.315 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CC[C@H](C)c3ccsc32)c1 ZINC000453058692 1045921103 /nfs/dbraw/zinc/92/11/03/1045921103.db2.gz LFJQRQSZKJVAQK-YVEFUNNKSA-N 0 3 314.454 4.435 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc(-c3ccccc3)nn2C)s1 ZINC000453058959 1045921189 /nfs/dbraw/zinc/92/11/89/1045921189.db2.gz HSNXQCSUULZNSG-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCCCC2)nc1)c1ccncc1 ZINC000453058954 1045921339 /nfs/dbraw/zinc/92/13/39/1045921339.db2.gz HSEJYFRTMHYXSA-LJQANCHMSA-N 0 3 324.472 4.098 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(-c2ccccc2)nn1C)c1ccccc1 ZINC000453060628 1045922766 /nfs/dbraw/zinc/92/27/66/1045922766.db2.gz RYHVQAGSUQEJEV-CVEARBPZSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@H](NCc1cccc2[nH]ccc21)c1cnn(C2CCCC2)c1 ZINC000631806961 1045923012 /nfs/dbraw/zinc/92/30/12/1045923012.db2.gz GAPKATJHABRXIV-AWEZNQCLSA-N 0 3 308.429 4.330 20 0 DIADHN Fc1cccc(F)c1CN(C[C@H]1CCCOC1)C1CCCC1 ZINC000531760349 1045924936 /nfs/dbraw/zinc/92/49/36/1045924936.db2.gz LZSREWKORGJACP-CQSZACIVSA-N 0 3 309.400 4.136 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828655399 1045926201 /nfs/dbraw/zinc/92/62/01/1045926201.db2.gz BVRBDKGRJPQVTA-NOZJJQNGSA-N 0 3 306.208 4.069 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc(Cl)c3c(c2)OCCO3)cs1 ZINC000453076758 1045927082 /nfs/dbraw/zinc/92/70/82/1045927082.db2.gz MORIOWHYUGRGPT-LLVKDONJSA-N 0 3 323.845 4.332 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@@]1(C)CC1(Cl)Cl ZINC000828755931 1045929691 /nfs/dbraw/zinc/92/96/91/1045929691.db2.gz STJUGCDYHQZHRE-OPRDCNLKSA-N 0 3 304.183 4.233 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccc(CO)c(F)c2)c2sccc21 ZINC000453094695 1045934095 /nfs/dbraw/zinc/93/40/95/1045934095.db2.gz NNGPHBSQDDKYDV-ZBEGNZNMSA-N 0 3 305.418 4.108 20 0 DIADHN Cc1cnc([C@@H](NC[C@]2(C)CC2(Cl)Cl)C2CC2)s1 ZINC000828808191 1045936380 /nfs/dbraw/zinc/93/63/80/1045936380.db2.gz HLWDFRSQQQMMJA-JQWIXIFHSA-N 0 3 305.274 4.076 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(C)s2)cc1Cl ZINC000828816300 1045936482 /nfs/dbraw/zinc/93/64/82/1045936482.db2.gz QDASZGPUPYNJPF-LLVKDONJSA-N 0 3 323.845 4.347 20 0 DIADHN C[C@@H](OC(=O)C(C)(C)N(C)C1CCCC1)c1ccc(F)cc1 ZINC000754871083 1045937277 /nfs/dbraw/zinc/93/72/77/1045937277.db2.gz WSFOVOBLFJSLLN-CYBMUJFWSA-N 0 3 307.409 4.083 20 0 DIADHN CCc1nc([C@H](C)N[C@@H](C)CCCc2cccnc2)cs1 ZINC000453112143 1045942068 /nfs/dbraw/zinc/94/20/68/1045942068.db2.gz FPUMBOCKVCMPFV-KBPBESRZSA-N 0 3 303.475 4.163 20 0 DIADHN COCc1ccc([C@@H]2CCCN2C[C@]2(C)CC2(Cl)Cl)o1 ZINC000828911725 1045943528 /nfs/dbraw/zinc/94/35/28/1045943528.db2.gz REXQTNFGDDENES-JSGCOSHPSA-N 0 3 318.244 4.147 20 0 DIADHN COCc1ccc([C@@H]2CCCN2C[C@@]2(C)CC2(Cl)Cl)o1 ZINC000828911724 1045944296 /nfs/dbraw/zinc/94/42/96/1045944296.db2.gz REXQTNFGDDENES-GXTWGEPZSA-N 0 3 318.244 4.147 20 0 DIADHN CCC[C@](C)(O)CN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453115810 1045944294 /nfs/dbraw/zinc/94/42/94/1045944294.db2.gz IYLDUXNJRMHYQS-KXBFYZLASA-N 0 3 314.429 4.076 20 0 DIADHN CC(C)OC1CCN(Cc2c[nH]c3ccc(Cl)cc23)CC1 ZINC001137712431 1045944670 /nfs/dbraw/zinc/94/46/70/1045944670.db2.gz CAEBCSSHJIJNOX-UHFFFAOYSA-N 0 3 306.837 4.211 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000453126213 1045945647 /nfs/dbraw/zinc/94/56/47/1045945647.db2.gz IAZKFLNHCHTUIQ-HUUCEWRRSA-N 0 3 314.454 4.420 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000453126212 1045946901 /nfs/dbraw/zinc/94/69/01/1045946901.db2.gz IAZKFLNHCHTUIQ-GJZGRUSLSA-N 0 3 314.454 4.420 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccccc2)s1)C1CCC1 ZINC000453143888 1045948232 /nfs/dbraw/zinc/94/82/32/1045948232.db2.gz JRPBGNSYXLQXGJ-OAHLLOKOSA-N 0 3 301.459 4.264 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000453129238 1045952823 /nfs/dbraw/zinc/95/28/23/1045952823.db2.gz XNXZZLSKCQDOIZ-HNAYVOBHSA-N 0 3 314.429 4.196 20 0 DIADHN Fc1ccc(CN(CCc2ccccc2)Cc2ccccc2)cn1 ZINC001137741624 1045953201 /nfs/dbraw/zinc/95/32/01/1045953201.db2.gz LBZRYARVQZIFKZ-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN O[C@H](CN[C@@H]1CCCc2occc21)c1cc2ccccc2s1 ZINC000192954175 1045956964 /nfs/dbraw/zinc/95/69/64/1045956964.db2.gz MFDMTGHHVLHWGW-HUUCEWRRSA-N 0 3 313.422 4.195 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)CCO3)cc1F ZINC000193003885 1045960870 /nfs/dbraw/zinc/96/08/70/1045960870.db2.gz GPWYNZUBYLERIY-OLZOCXBDSA-N 0 3 315.388 4.181 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@H](O)c1ccc(F)c(F)c1 ZINC000755193829 1045962191 /nfs/dbraw/zinc/96/21/91/1045962191.db2.gz GVBZFPPHKNCTIH-CPPNXCSESA-N 0 3 317.379 4.034 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000755193831 1045962427 /nfs/dbraw/zinc/96/24/27/1045962427.db2.gz GVBZFPPHKNCTIH-LMICACPXSA-N 0 3 317.379 4.034 20 0 DIADHN CS[C@@H](C)CN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453163876 1045963132 /nfs/dbraw/zinc/96/31/32/1045963132.db2.gz DNHZHZAFQOGWLA-KBPBESRZSA-N 0 3 302.443 4.276 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453171841 1045965488 /nfs/dbraw/zinc/96/54/88/1045965488.db2.gz XIGDIMCELNCUIM-HIFRSBDPSA-N 0 3 319.399 4.316 20 0 DIADHN COC(=O)c1ccccc1CN(Cc1ccccc1)C(C)(C)C ZINC001137784574 1045966030 /nfs/dbraw/zinc/96/60/30/1045966030.db2.gz YVLBHJNKDMJYMJ-UHFFFAOYSA-N 0 3 311.425 4.274 20 0 DIADHN Cc1csc(C2(N[C@H](C)CCCc3cccnc3)CCC2)n1 ZINC000453180444 1045967284 /nfs/dbraw/zinc/96/72/84/1045967284.db2.gz XOSYEROOHNXRQS-CQSZACIVSA-N 0 3 315.486 4.227 20 0 DIADHN Brc1ncccc1CN1CCC2(CCCCC2)CC1 ZINC001137793405 1045968736 /nfs/dbraw/zinc/96/87/36/1045968736.db2.gz NYTASTXDBQMQJO-UHFFFAOYSA-N 0 3 323.278 4.390 20 0 DIADHN CNc1ccccc1CNCc1ccc(F)cc1CSC ZINC000902302479 1045969401 /nfs/dbraw/zinc/96/94/01/1045969401.db2.gz QIXGXNSTXHNBPT-UHFFFAOYSA-N 0 3 304.434 4.020 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1cccc(N2CCCC2)c1 ZINC000902305813 1045971622 /nfs/dbraw/zinc/97/16/22/1045971622.db2.gz KVIUBLQATGQQIH-QGZVFWFLSA-N 0 3 323.484 4.488 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2sccc2Cl)CC1 ZINC000193177820 1045975150 /nfs/dbraw/zinc/97/51/50/1045975150.db2.gz OOJZWFPQMNLNAD-OAHLLOKOSA-N 0 3 307.846 4.005 20 0 DIADHN Cc1ccc(C2(CN[C@H]3CCn4ccnc43)CCCC2)c(C)c1 ZINC000453231633 1045996581 /nfs/dbraw/zinc/99/65/81/1045996581.db2.gz SZEDIZKXKNQZQG-SFHVURJKSA-N 0 3 309.457 4.046 20 0 DIADHN C[C@H](NCCCC(C)(F)F)c1nc(C(F)(F)F)cs1 ZINC000453231965 1045997118 /nfs/dbraw/zinc/99/71/18/1045997118.db2.gz IUMHEPUALXYWTQ-ZETCQYMHSA-N 0 3 302.312 4.248 20 0 DIADHN Cc1cc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cs1 ZINC000453238349 1045999217 /nfs/dbraw/zinc/99/92/17/1045999217.db2.gz WJLZYZICYSESTG-SUMWQHHRSA-N 0 3 306.450 4.149 20 0 DIADHN C[C@H](NCCC1(F)CCC1)c1ncc(-c2ccccc2)n1C ZINC000453236487 1045999302 /nfs/dbraw/zinc/99/93/02/1045999302.db2.gz UNJLBJMLRKNXCB-AWEZNQCLSA-N 0 3 301.409 4.020 20 0 DIADHN CCC[C@H](CN[C@@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453257158 1046005600 /nfs/dbraw/zinc/00/56/00/1046005600.db2.gz UXNKYYDIROUYCQ-DOTOQJQBSA-N 0 3 314.429 4.340 20 0 DIADHN COc1ccc(Br)c(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001137905654 1046007502 /nfs/dbraw/zinc/00/75/02/1046007502.db2.gz YSPBRLPRKVRWNX-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN CNc1ccc(C)cc1CNCc1cccc(CSC)c1 ZINC000902522161 1046013238 /nfs/dbraw/zinc/01/32/38/1046013238.db2.gz YDKWOUWYCIMVGX-UHFFFAOYSA-N 0 3 300.471 4.190 20 0 DIADHN CC[C@@H](NCc1ccnc(C(F)(F)F)c1)c1cccc(F)c1 ZINC000453290414 1046016725 /nfs/dbraw/zinc/01/67/25/1046016725.db2.gz RPMFGAJSBUFOEX-CQSZACIVSA-N 0 3 312.310 4.480 20 0 DIADHN Cc1ccc([C@H](NCc2n[nH]c(C(C)C)n2)C2CCCC2)cc1 ZINC000453292837 1046017996 /nfs/dbraw/zinc/01/79/96/1046017996.db2.gz OVFWSCLQCSLODE-GOSISDBHSA-N 0 3 312.461 4.258 20 0 DIADHN CC[C@H](NCc1ccnc(C(F)(F)F)c1)c1ccc(OC)cc1 ZINC000453293624 1046018209 /nfs/dbraw/zinc/01/82/09/1046018209.db2.gz VHXSELHKMFMGEW-HNNXBMFYSA-N 0 3 324.346 4.350 20 0 DIADHN CC/C=C/CN[C@@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000453328558 1046023324 /nfs/dbraw/zinc/02/33/24/1046023324.db2.gz UFJUPDGTGXXDJQ-SZTZYQKNSA-N 0 3 321.486 4.020 20 0 DIADHN Cc1csc(CN[C@H]2CCCc3nn(-c4ccccc4)cc32)c1 ZINC000453306541 1046028787 /nfs/dbraw/zinc/02/87/87/1046028787.db2.gz AOALWINUZHAPBI-SFHVURJKSA-N 0 3 323.465 4.409 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1ccc(SC(F)(F)F)cc1 ZINC001137954987 1046029948 /nfs/dbraw/zinc/02/99/48/1046029948.db2.gz ACZYDKLXPMYXSW-GVXVVHGQSA-N 0 3 305.340 4.231 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H]1CCCN(C)c2ccccc21 ZINC000902584761 1046030220 /nfs/dbraw/zinc/03/02/20/1046030220.db2.gz PUPDJSPFFPZUCD-LJQANCHMSA-N 0 3 309.457 4.098 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137955201 1046030568 /nfs/dbraw/zinc/03/05/68/1046030568.db2.gz LSAUQCLDSHTWFV-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCC2(F)F)c2cccnc2)cc1 ZINC000453313372 1046032260 /nfs/dbraw/zinc/03/22/60/1046032260.db2.gz CUARQHGQUYYXQZ-RDJZCZTQSA-N 0 3 320.358 4.335 20 0 DIADHN CNc1ccccc1CNCc1cccc(Br)c1C ZINC000902616528 1046034716 /nfs/dbraw/zinc/03/47/16/1046034716.db2.gz VQQKWYYVRHSRFM-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1cnccc1C ZINC000453320361 1046035701 /nfs/dbraw/zinc/03/57/01/1046035701.db2.gz FLSAGFPXERULJB-ZDUSSCGKSA-N 0 3 324.453 4.068 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cnccc1C)CCCS2 ZINC000453321886 1046037869 /nfs/dbraw/zinc/03/78/69/1046037869.db2.gz HSESAJZYZWFJMY-KRWDZBQOSA-N 0 3 314.454 4.115 20 0 DIADHN COC[C@@H](CN[C@@H](C)c1csc(C)n1)c1ccc(Cl)cc1 ZINC000453325722 1046039363 /nfs/dbraw/zinc/03/93/63/1046039363.db2.gz RSDYICZLODKUEQ-SMDDNHRTSA-N 0 3 324.877 4.186 20 0 DIADHN C[C@H](NCc1ccc(N(C)C)nc1)c1csc2ccccc21 ZINC000756025561 1046040980 /nfs/dbraw/zinc/04/09/80/1046040980.db2.gz LNNCXCADBISTDX-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1cc2ccccc2nc1Cl ZINC001137978662 1046043123 /nfs/dbraw/zinc/04/31/23/1046043123.db2.gz NVFBFLCJABXSNX-XYPWUTKMSA-N 0 3 316.832 4.030 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccccc1N(C)C ZINC000453338832 1046043819 /nfs/dbraw/zinc/04/38/19/1046043819.db2.gz BAWITVDVPBKPFT-QFBILLFUSA-N 0 3 323.484 4.375 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1c(F)cccc1-n1cccn1 ZINC000453349249 1046053110 /nfs/dbraw/zinc/05/31/10/1046053110.db2.gz JJWXUHHTABTPIY-IBGZPJMESA-N 0 3 321.399 4.097 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1c(F)cccc1-n1cccn1 ZINC000453360734 1046056553 /nfs/dbraw/zinc/05/65/53/1046056553.db2.gz PQWWMZXUNXNEQX-JLTOFOAXSA-N 0 3 321.399 4.035 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCc3c1cc(F)cc3F)CCC2 ZINC000779753006 1046057398 /nfs/dbraw/zinc/05/73/98/1046057398.db2.gz AKLWRNAJMAVOJK-GOEBONIOSA-N 0 3 320.408 4.384 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2cc3c(s2)CCCC3)cn1 ZINC000453385772 1046060933 /nfs/dbraw/zinc/06/09/33/1046060933.db2.gz UMRZZEYWAVRCKA-CHWSQXEVSA-N 0 3 303.475 4.255 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2cc3c(s2)CCCC3)cn1 ZINC000453385775 1046060997 /nfs/dbraw/zinc/06/09/97/1046060997.db2.gz UMRZZEYWAVRCKA-STQMWFEESA-N 0 3 303.475 4.255 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3c(Cl)ccc(C)c3F)C[C@@H]21 ZINC001138033174 1046065635 /nfs/dbraw/zinc/06/56/35/1046065635.db2.gz HNWMDGOUVLTFEG-WWGRRREGSA-N 0 3 311.828 4.034 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCC[C@H](C3CCOCC3)C2)cs1 ZINC000902800341 1046071341 /nfs/dbraw/zinc/07/13/41/1046071341.db2.gz LXZIPVGCMXOVKT-BPUTZDHNSA-N 0 3 322.518 4.342 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCC[C@@H](C3CCOCC3)C2)cs1 ZINC000902800344 1046074138 /nfs/dbraw/zinc/07/41/38/1046074138.db2.gz LXZIPVGCMXOVKT-NUEKZKHPSA-N 0 3 322.518 4.342 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NCc1sc(C)nc1C ZINC000902820043 1046076138 /nfs/dbraw/zinc/07/61/38/1046076138.db2.gz NBELAYNQZJKZCX-GTNSWQLSSA-N 0 3 316.470 4.182 20 0 DIADHN CCC(C)(C)OCCN[C@H]1CCSc2ccc(OC)cc21 ZINC000453414221 1046081203 /nfs/dbraw/zinc/08/12/03/1046081203.db2.gz SWAVIQNOTOHOSW-HNNXBMFYSA-N 0 3 309.475 4.027 20 0 DIADHN FC(F)(F)CCN[C@H](CCc1ccccc1)c1cccnc1 ZINC000902835524 1046082622 /nfs/dbraw/zinc/08/26/22/1046082622.db2.gz IRPNSCVKMARJCA-MRXNPFEDSA-N 0 3 308.347 4.298 20 0 DIADHN c1ccc(COc2cccc(CN3CCC[C@]4(CCO4)C3)c2)cc1 ZINC001138079902 1046084896 /nfs/dbraw/zinc/08/48/96/1046084896.db2.gz FUBFTTAYVMLFGD-NRFANRHFSA-N 0 3 323.436 4.021 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@@H](C)c1cnccc1C ZINC000902849509 1046087366 /nfs/dbraw/zinc/08/73/66/1046087366.db2.gz PSTVXCKNXQMYNV-ZQGRQUNCSA-N 0 3 310.441 4.373 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H]2c2ccc(OC)cc2)c1 ZINC001138099901 1046090299 /nfs/dbraw/zinc/09/02/99/1046090299.db2.gz BAKJXMUJAVECLS-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN CCOc1cccc(CN2CCC[C@H](Oc3ccccc3)C2)c1 ZINC001138100517 1046090381 /nfs/dbraw/zinc/09/03/81/1046090381.db2.gz VNQIOLKFHOIGEL-FQEVSTJZSA-N 0 3 311.425 4.129 20 0 DIADHN CCOc1cccc(CN2CCC[C@H]2c2ccc(OC)cc2)c1 ZINC001138099900 1046091231 /nfs/dbraw/zinc/09/12/31/1046091231.db2.gz BAKJXMUJAVECLS-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN CC1(C)C[C@@H](CNCc2csc(-c3cccs3)n2)CCO1 ZINC000453577287 1046092737 /nfs/dbraw/zinc/09/27/37/1046092737.db2.gz HKGNHVIVSTZCJY-LBPRGKRZSA-N 0 3 322.499 4.166 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(C(F)(F)F)cn1 ZINC000902882747 1046100093 /nfs/dbraw/zinc/10/00/93/1046100093.db2.gz WIZWMIXSKGLAJN-GXSJLCMTSA-N 0 3 308.294 4.329 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(N(C)CC(F)F)CC1 ZINC000081536485 1046101136 /nfs/dbraw/zinc/10/11/36/1046101136.db2.gz VNPUGROPEVLGAQ-GFCCVEGCSA-N 0 3 316.823 4.062 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(-n2ccnc2)c1)c1c(C)noc1C ZINC000902888075 1046102320 /nfs/dbraw/zinc/10/23/20/1046102320.db2.gz PXNSHLUQARHZKM-ACJLOTCBSA-N 0 3 324.428 4.279 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@H]3C2)c1 ZINC001138156270 1046107454 /nfs/dbraw/zinc/10/74/54/1046107454.db2.gz JEXKIUWFDIETOH-KGLIPLIRSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CC1)c1cccc(-n2ccnc2)c1 ZINC000902911041 1046107976 /nfs/dbraw/zinc/10/79/76/1046107976.db2.gz QQYWUHYLCXFECJ-HNNXBMFYSA-N 0 3 321.399 4.351 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]nc1-c1cccs1)CC2 ZINC001138157395 1046109059 /nfs/dbraw/zinc/10/90/59/1046109059.db2.gz FLCJVTSUBSYCGC-UHFFFAOYSA-N 0 3 319.449 4.242 20 0 DIADHN CC1(C)CN(Cc2c[nH]nc2-c2cccs2)CCC1(F)F ZINC001138151295 1046109267 /nfs/dbraw/zinc/10/92/67/1046109267.db2.gz PQBPCUSIYSQOAD-UHFFFAOYSA-N 0 3 311.401 4.005 20 0 DIADHN CC(C)C[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1ccccn1 ZINC000532193759 1046109793 /nfs/dbraw/zinc/10/97/93/1046109793.db2.gz RRKXDVPDBGRRGP-YTQUADARSA-N 0 3 304.478 4.354 20 0 DIADHN CC(C)C[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1ccccn1 ZINC000532193757 1046110509 /nfs/dbraw/zinc/11/05/09/1046110509.db2.gz RRKXDVPDBGRRGP-NZSAHSFTSA-N 0 3 304.478 4.354 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1ccc(N2CCCCC2)o1 ZINC001138178433 1046113067 /nfs/dbraw/zinc/11/30/67/1046113067.db2.gz GXGJTQCHOLHOBW-UHFFFAOYSA-N 0 3 316.420 4.084 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)OC1(C)C)c1ccc(C(F)(F)F)cn1 ZINC000902941658 1046117391 /nfs/dbraw/zinc/11/73/91/1046117391.db2.gz DZJYKYBUBKKAET-ZWNOBZJWSA-N 0 3 316.367 4.097 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3c2cccc3Cl)cn1 ZINC000532230873 1046119680 /nfs/dbraw/zinc/11/96/80/1046119680.db2.gz BKTGPTJMNMHPED-KRWDZBQOSA-N 0 3 316.832 4.301 20 0 DIADHN Clc1cc2c(cc1CN1CC[C@H](c3ccccc3)C1)OCO2 ZINC001138239578 1046125004 /nfs/dbraw/zinc/12/50/04/1046125004.db2.gz IOCGAKRXNWVRTH-AWEZNQCLSA-N 0 3 315.800 4.058 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC2(CCCCC2)CC1 ZINC001138254367 1046129655 /nfs/dbraw/zinc/12/96/55/1046129655.db2.gz DRXXHEDZMRYMLT-UHFFFAOYSA-N 0 3 322.537 4.462 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccccc3)CC2)c(C)s1 ZINC000195674719 1046131539 /nfs/dbraw/zinc/13/15/39/1046131539.db2.gz CZVXRNMDFOXIFD-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000925257195 1046135056 /nfs/dbraw/zinc/13/50/56/1046135056.db2.gz BMLFONMABKTDKN-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN C[C@@H](NCc1cccs1)c1cc(Br)cs1 ZINC000193946382 1046135397 /nfs/dbraw/zinc/13/53/97/1046135397.db2.gz VIJOORAGWINBTC-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N[C@H](C)c2ccsc2)c(C)c1 ZINC000902981731 1046135870 /nfs/dbraw/zinc/13/58/70/1046135870.db2.gz CYYKWRMDYLPXMJ-CHWSQXEVSA-N 0 3 303.427 4.255 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(OC)c2)c1 ZINC000193899479 1046136128 /nfs/dbraw/zinc/13/61/28/1046136128.db2.gz VAYJYSULBQLQEI-KGLIPLIRSA-N 0 3 315.413 4.124 20 0 DIADHN CCO[C@@H]1CCC[C@@H](N[C@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000902976086 1046136311 /nfs/dbraw/zinc/13/63/11/1046136311.db2.gz MNHRVDLIJFLGHC-GMTAPVOTSA-N 0 3 322.396 4.160 20 0 DIADHN C[C@H](CCNCc1c(Cl)nc(Cl)n1C)c1ccccc1 ZINC000084019310 1046139121 /nfs/dbraw/zinc/13/91/21/1046139121.db2.gz PMLZUQZKLCVMRF-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN CC1(C)CCCN1Cc1c[nH]c2ccc(Br)cc12 ZINC001138315334 1046148627 /nfs/dbraw/zinc/14/86/27/1046148627.db2.gz CDKOMPBJZHWHPP-UHFFFAOYSA-N 0 3 307.235 4.305 20 0 DIADHN C[C@@H]1CCCN(Cc2c[nH]c3ccc(Br)cc23)C1 ZINC001138315450 1046148872 /nfs/dbraw/zinc/14/88/72/1046148872.db2.gz GTMRKYDBTZLEQQ-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CCC2(CCO2)CC1 ZINC001138315090 1046149778 /nfs/dbraw/zinc/14/97/78/1046149778.db2.gz SUQCTIHEACYJOF-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)N[C@H]2CCCc3occc32)c1 ZINC000903065955 1046153340 /nfs/dbraw/zinc/15/33/40/1046153340.db2.gz JJJSLMIHLWXPRZ-ACJLOTCBSA-N 0 3 313.397 4.071 20 0 DIADHN CCc1cccc2c(CN(C)Cc3ccccc3OC)c[nH]c21 ZINC001138361749 1046157258 /nfs/dbraw/zinc/15/72/58/1046157258.db2.gz RQEXRAFKIVREHP-UHFFFAOYSA-N 0 3 308.425 4.371 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1N[C@H](CCc1ccccc1)c1cccnc1 ZINC000903079632 1046159450 /nfs/dbraw/zinc/15/94/50/1046159450.db2.gz GJZVQWRBAWDPPZ-BRWVUGGUSA-N 0 3 320.358 4.296 20 0 DIADHN Cc1ccsc1CN[C@H](c1ccccc1F)C1CCOCC1 ZINC000903082365 1046159508 /nfs/dbraw/zinc/15/95/08/1046159508.db2.gz ZOHLCZZKJMPVJP-SFHVURJKSA-N 0 3 319.445 4.453 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1c(F)ccc(F)c1F ZINC001168151970 1046162448 /nfs/dbraw/zinc/16/24/48/1046162448.db2.gz BGYKOSKZCZTBCQ-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1c(F)ccc(F)c1F ZINC001168151969 1046162545 /nfs/dbraw/zinc/16/25/45/1046162545.db2.gz BGYKOSKZCZTBCQ-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CC[C@H](OCc2ccccc2)C1 ZINC001138400930 1046164247 /nfs/dbraw/zinc/16/42/47/1046164247.db2.gz YRBXMMNKCWTEBK-SFHVURJKSA-N 0 3 320.436 4.267 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CCC2(CCC(=O)CC2)CC1 ZINC001138400400 1046164792 /nfs/dbraw/zinc/16/47/92/1046164792.db2.gz RFYAENZHGJOEJX-UHFFFAOYSA-N 0 3 310.441 4.202 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CC[C@@H](Oc2ccccc2C)C1 ZINC001138401006 1046165353 /nfs/dbraw/zinc/16/53/53/1046165353.db2.gz FFPXNBVJHHRYJQ-QGZVFWFLSA-N 0 3 320.436 4.438 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCC(=O)c1ccc(Cl)cc1 ZINC001168152176 1046165902 /nfs/dbraw/zinc/16/59/02/1046165902.db2.gz KHYZLTOSWSVJBY-LBPRGKRZSA-N 0 3 322.235 4.397 20 0 DIADHN C[C@@H]1CCCCN(Cc2ncccc2NC(=O)OC(C)(C)C)C1 ZINC001138402486 1046166778 /nfs/dbraw/zinc/16/67/78/1046166778.db2.gz QFLUVONGDRWXAK-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN COc1cc(Cl)c(CN(C)C2CCC(C)CC2)cc1OC ZINC001461933128 1046166955 /nfs/dbraw/zinc/16/69/55/1046166955.db2.gz LYXLFEMZIBGUNX-UHFFFAOYSA-N 0 3 311.853 4.368 20 0 DIADHN CCC(CC)N(C)Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138408303 1046168118 /nfs/dbraw/zinc/16/81/18/1046168118.db2.gz CQXZXPKOJXHMTM-UHFFFAOYSA-N 0 3 307.438 4.049 20 0 DIADHN COc1cccc(OC)c1CN[C@H](C)Cc1ccc(Cl)cc1 ZINC001168152572 1046169115 /nfs/dbraw/zinc/16/91/15/1046169115.db2.gz YTPZHBCGMYPDDX-CYBMUJFWSA-N 0 3 319.832 4.078 20 0 DIADHN CC(C)[C@@H](NCc1ccc2nccnc2c1)c1ccccc1F ZINC000634985940 1046169394 /nfs/dbraw/zinc/16/93/94/1046169394.db2.gz HQHXDTZRTBNHIO-LJQANCHMSA-N 0 3 309.388 4.256 20 0 DIADHN CCCOc1ccc(CNCc2ccc3nccnc3c2)c(C)c1 ZINC000634978150 1046169763 /nfs/dbraw/zinc/16/97/63/1046169763.db2.gz UZNUUQRIYXCTON-UHFFFAOYSA-N 0 3 321.424 4.017 20 0 DIADHN c1ccc([C@H](NCc2cccc3ncccc23)C2CCC2)nc1 ZINC000634986898 1046169874 /nfs/dbraw/zinc/16/98/74/1046169874.db2.gz HJWUHRZQCXOWKO-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CC[C@H](C)C(F)(F)CC1 ZINC001138409605 1046170104 /nfs/dbraw/zinc/17/01/04/1046170104.db2.gz BNOHSMCWJZQLQX-NSHDSACASA-N 0 3 310.363 4.483 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NC2(c3ccccc3)CC2)c1 ZINC000903135415 1046172497 /nfs/dbraw/zinc/17/24/97/1046172497.db2.gz JBNZWBFHSJYOPF-HNNXBMFYSA-N 0 3 309.409 4.090 20 0 DIADHN COc1c(F)cc(CN(Cc2ccccc2)C(C)C)cc1F ZINC001138420530 1046175003 /nfs/dbraw/zinc/17/50/03/1046175003.db2.gz NAVOIIHZGQQWJZ-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000903152301 1046176926 /nfs/dbraw/zinc/17/69/26/1046176926.db2.gz MXKMHMRZCBMDBM-FZMZJTMJSA-N 0 3 303.372 4.065 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1cnn(CC2CCC2)c1 ZINC000903152657 1046176788 /nfs/dbraw/zinc/17/67/88/1046176788.db2.gz OOPFSDRIWPWBAQ-DYVFJYSZSA-N 0 3 315.486 4.473 20 0 DIADHN COc1c(C)cc(CN2CC[C@](CF)(C(F)(F)F)C2)cc1C ZINC001138425677 1046177422 /nfs/dbraw/zinc/17/74/22/1046177422.db2.gz IOKUTXDVUIOGGF-OAHLLOKOSA-N 0 3 319.342 4.036 20 0 DIADHN FC(F)(F)[C@@H](CN[C@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000903153641 1046178517 /nfs/dbraw/zinc/17/85/17/1046178517.db2.gz UJYNCJXVPHLWGE-RDJZCZTQSA-N 0 3 320.358 4.468 20 0 DIADHN C[C@@H](NCc1cccs1)c1cc(Cl)c(N)c(Cl)c1 ZINC000760156950 1046178571 /nfs/dbraw/zinc/17/85/71/1046178571.db2.gz BTVHGBOJMVZNGI-MRVPVSSYSA-N 0 3 301.242 4.488 20 0 DIADHN C[C@H](NC[C@@]12C[C@@H]1CCCC2)c1nc(Br)cs1 ZINC000903161820 1046179886 /nfs/dbraw/zinc/17/98/86/1046179886.db2.gz OVAPFARFQGRVMQ-OUJBWJOFSA-N 0 3 315.280 4.137 20 0 DIADHN c1ccc([C@@H](NCC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)cc1 ZINC000903158655 1046180552 /nfs/dbraw/zinc/18/05/52/1046180552.db2.gz DCTHXYJNOHWBSI-WQHGSSGSSA-N 0 3 324.493 4.435 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCc3c(C)cccc3C2)cc1 ZINC001138445998 1046184860 /nfs/dbraw/zinc/18/48/60/1046184860.db2.gz ASPOGOOKKKWFDC-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC3(CC(F)C3)CC2)cc1 ZINC001138447578 1046185537 /nfs/dbraw/zinc/18/55/37/1046185537.db2.gz WYNXLBFJJIDKPO-UHFFFAOYSA-N 0 3 313.420 4.099 20 0 DIADHN Cc1c2ccccc2sc1CN1CCC[C@@H]1c1cnccn1 ZINC001138460889 1046188991 /nfs/dbraw/zinc/18/89/91/1046188991.db2.gz VKCUUGRPRDETCW-MRXNPFEDSA-N 0 3 309.438 4.337 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2ccc3occc3c2)c1 ZINC000903200165 1046190861 /nfs/dbraw/zinc/19/08/61/1046190861.db2.gz PLZWJXYPWHENTE-AWEZNQCLSA-N 0 3 323.392 4.347 20 0 DIADHN CC[C@@H](NCc1cc(N(C)C)ccn1)c1ccc(Cl)s1 ZINC000903202092 1046191239 /nfs/dbraw/zinc/19/12/39/1046191239.db2.gz WKUOXICKWFTOAM-CYBMUJFWSA-N 0 3 309.866 4.103 20 0 DIADHN CN(C)c1ccnc(CN[C@@H]2CCc3cccc4cccc2c43)c1 ZINC000903197935 1046192350 /nfs/dbraw/zinc/19/23/50/1046192350.db2.gz XGADVCYCITUYDT-HXUWFJFHSA-N 0 3 317.436 4.078 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000475742124 1046192741 /nfs/dbraw/zinc/19/27/41/1046192741.db2.gz LNPVXSPIQITLHF-UPJWGTAASA-N 0 3 319.836 4.256 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1cccc2ccccc21 ZINC000903203471 1046193256 /nfs/dbraw/zinc/19/32/56/1046193256.db2.gz UDRQQDWAHJMSHX-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN COc1ccc(CN2CCC3(CC[C@@H](F)C3)CC2)cc1Cl ZINC001138475960 1046194738 /nfs/dbraw/zinc/19/47/38/1046194738.db2.gz VMQSZBLHGRIVQN-CQSZACIVSA-N 0 3 311.828 4.453 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC2(CCOC2(C)C)CC1 ZINC001138483608 1046195936 /nfs/dbraw/zinc/19/59/36/1046195936.db2.gz MYGGYDDOJCJDKW-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc(N(C)C)s1 ZINC001138494312 1046200486 /nfs/dbraw/zinc/20/04/86/1046200486.db2.gz QHEJNJCUCNGOAW-INIZCTEOSA-N 0 3 316.470 4.160 20 0 DIADHN O=c1[nH]cc(CN[C@@H]2CCCc3sccc32)c2ccccc12 ZINC000903231909 1046201046 /nfs/dbraw/zinc/20/10/46/1046201046.db2.gz QLOYUZZHTOBRST-MRXNPFEDSA-N 0 3 310.422 4.169 20 0 DIADHN Cc1ccc([C@H](NCc2c[nH]c(=O)c3ccccc23)C2CCC2)o1 ZINC000903234259 1046203241 /nfs/dbraw/zinc/20/32/41/1046203241.db2.gz IVJXHHVGOZHHKW-LJQANCHMSA-N 0 3 322.408 4.473 20 0 DIADHN COc1ccc2[nH]cc(CN(C)[C@@H](C)c3ccccc3OC)c2c1 ZINC001138510125 1046206767 /nfs/dbraw/zinc/20/67/67/1046206767.db2.gz PFTGEOANJXOBJJ-AWEZNQCLSA-N 0 3 324.424 4.378 20 0 DIADHN Cc1ccccc1OC(=O)C1(C)CCN(Cc2ccccc2)CC1 ZINC000757761065 1046209844 /nfs/dbraw/zinc/20/98/44/1046209844.db2.gz UNHZBMUDNNKXIA-UHFFFAOYSA-N 0 3 323.436 4.203 20 0 DIADHN CCC[C@H](NCC[C@@H]1CCCC[C@@H]1O)c1ncccc1Cl ZINC000903265056 1046212382 /nfs/dbraw/zinc/21/23/82/1046212382.db2.gz OVTHALAOJIXWIG-BPUTZDHNSA-N 0 3 310.869 4.107 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H]2CCCC[C@@H]2O)c2cccnc2)cc1 ZINC000903265982 1046213738 /nfs/dbraw/zinc/21/37/38/1046213738.db2.gz ZVVLKOUFAIUGEK-DZFGPLHGSA-N 0 3 324.468 4.010 20 0 DIADHN O[C@H](CNc1ccnc2ccccc21)c1cc2ccccc2s1 ZINC000757785814 1046214201 /nfs/dbraw/zinc/21/42/01/1046214201.db2.gz NNXKRBPRQAPIBW-QGZVFWFLSA-N 0 3 320.417 4.017 20 0 DIADHN CC[C@@]1(C)CCN([C@@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001168163164 1046216314 /nfs/dbraw/zinc/21/63/14/1046216314.db2.gz GJXHAMSDMNQLIG-YWZLYKJASA-N 0 3 310.441 4.289 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2cnn(CCF)c2)cc1 ZINC000903275250 1046217902 /nfs/dbraw/zinc/21/79/02/1046217902.db2.gz YKFRPNFJSQSXAC-GJZGRUSLSA-N 0 3 303.425 4.217 20 0 DIADHN FCCn1cc(CN[C@@H]2CCCCc3ccc(Cl)cc32)cn1 ZINC000903273935 1046218886 /nfs/dbraw/zinc/21/88/86/1046218886.db2.gz GDNBLEPHIWIOBN-QGZVFWFLSA-N 0 3 321.827 4.063 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1noc2ccccc12 ZINC001168163718 1046218797 /nfs/dbraw/zinc/21/87/97/1046218797.db2.gz BJLUJJBRZWFGHA-LBPRGKRZSA-N 0 3 300.789 4.202 20 0 DIADHN CCC[C@H](NC1CC2(C1)CO[C@H](C)C2)c1ncccc1Cl ZINC000903279301 1046220286 /nfs/dbraw/zinc/22/02/86/1046220286.db2.gz MFEYWEYZJFMWAA-RXAYYZCOSA-N 0 3 308.853 4.123 20 0 DIADHN Cc1nccn1-c1ccc(CN2CC[C@H]2c2ccccc2)cc1 ZINC001138548468 1046221367 /nfs/dbraw/zinc/22/13/67/1046221367.db2.gz DUXYMGARWWCZES-FQEVSTJZSA-N 0 3 303.409 4.128 20 0 DIADHN Cc1cc(-c2nnc(CN3CCCCCCCC3)o2)c(C)o1 ZINC000831925871 1046226078 /nfs/dbraw/zinc/22/60/78/1046226078.db2.gz DQZDOXQFRSFTDK-UHFFFAOYSA-N 0 3 303.406 4.103 20 0 DIADHN COc1cccc(-c2cc(CN[C@H](C)C(C)(C)C(F)F)on2)c1 ZINC000903292262 1046226852 /nfs/dbraw/zinc/22/68/52/1046226852.db2.gz UUBXTAAIZFKPBC-LLVKDONJSA-N 0 3 324.371 4.120 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CN[C@@H](C)c1cc[nH]c(=O)c1 ZINC000925264723 1046230250 /nfs/dbraw/zinc/23/02/50/1046230250.db2.gz VQZCWYNONAJKLI-CABCVRRESA-N 0 3 314.429 4.124 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)cc2)ccc1OC(C)C ZINC001138573485 1046231125 /nfs/dbraw/zinc/23/11/25/1046231125.db2.gz JMDWUFDLQLTPEI-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1nccc2ccccc21 ZINC000903303660 1046231064 /nfs/dbraw/zinc/23/10/64/1046231064.db2.gz UBHDWILUJBPSRF-MRXNPFEDSA-N 0 3 320.436 4.195 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@H](C)c1cncs1 ZINC000903310802 1046231842 /nfs/dbraw/zinc/23/18/42/1046231842.db2.gz LKRGYBAYRROJKK-ABAIWWIYSA-N 0 3 302.443 4.225 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Oc3cc(C)ccn3)C2)c(C)s1 ZINC001138569779 1046231970 /nfs/dbraw/zinc/23/19/70/1046231970.db2.gz OMMVFRZEGMOJAZ-QGZVFWFLSA-N 0 3 316.470 4.112 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1c[nH]nc1C(C)(C)C ZINC001138578593 1046234019 /nfs/dbraw/zinc/23/40/19/1046234019.db2.gz MUAZQAABPNCGQG-UHFFFAOYSA-N 0 3 305.853 4.035 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001138578210 1046234338 /nfs/dbraw/zinc/23/43/38/1046234338.db2.gz DLWBNBDLJAAKHH-ZDUSSCGKSA-N 0 3 317.864 4.350 20 0 DIADHN COc1ccc2c(CN(C)[C@H](C)c3ccccc3OC)c[nH]c2c1 ZINC001138590221 1046236819 /nfs/dbraw/zinc/23/68/19/1046236819.db2.gz OVKFXUAEUYBRRP-CQSZACIVSA-N 0 3 324.424 4.378 20 0 DIADHN C[C@H](N[C@@H](c1ncccn1)C1CC1)[C@@H](C)c1ccc(Cl)cc1 ZINC000903321561 1046237684 /nfs/dbraw/zinc/23/76/84/1046237684.db2.gz AALXOQUWHRKKTP-IIYDPXPESA-N 0 3 315.848 4.363 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cnn(-c2ccccc2)c1 ZINC001138602917 1046237994 /nfs/dbraw/zinc/23/79/94/1046237994.db2.gz PYIVISBKCYSZBA-HXUWFJFHSA-N 0 3 305.425 4.455 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccccc1N1CCCC1 ZINC001138609871 1046238245 /nfs/dbraw/zinc/23/82/45/1046238245.db2.gz HTXAUBQNNKYLGU-KRWDZBQOSA-N 0 3 306.453 4.406 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](N[C@@H](c2ncccn2)C2CC2)C1 ZINC000903326947 1046239757 /nfs/dbraw/zinc/23/97/57/1046239757.db2.gz NYSIWOUVDSDJFG-GGPKGHCWSA-N 0 3 307.441 4.028 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccccc2N2CCCCC2)C1 ZINC001138605347 1046239900 /nfs/dbraw/zinc/23/99/00/1046239900.db2.gz FWCXCHZRMCSIGJ-MRXNPFEDSA-N 0 3 308.416 4.154 20 0 DIADHN C[C@@H](NCc1ccc(Cl)nc1)c1cccc(N2CCCC2)c1 ZINC000088764204 1046240065 /nfs/dbraw/zinc/24/00/65/1046240065.db2.gz YVIHMWNSZGLDRF-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN C[C@@H](CCc1c[nH]c2ccccc12)N[C@H](c1ncccn1)C1CC1 ZINC000903324889 1046239913 /nfs/dbraw/zinc/23/99/13/1046239913.db2.gz SGNGVODVDYYHFB-LIRRHRJNSA-N 0 3 320.440 4.020 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCOC[C@H](C2CCC2)C1 ZINC000795295659 1046245986 /nfs/dbraw/zinc/24/59/86/1046245986.db2.gz BUUJEKPGSNZAKT-CRAIPNDOSA-N 0 3 305.849 4.076 20 0 DIADHN CC[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000903337264 1046248128 /nfs/dbraw/zinc/24/81/28/1046248128.db2.gz BUWYVPSJTIONLD-UZLBHIALSA-N 0 3 324.424 4.045 20 0 DIADHN Fc1ccccc1-n1cccc1CN1CC[C@@H](c2ccccn2)C1 ZINC001138632721 1046248625 /nfs/dbraw/zinc/24/86/25/1046248625.db2.gz CULOPDVVNOVUSV-MRXNPFEDSA-N 0 3 321.399 4.001 20 0 DIADHN Fc1ccccc1-n1cccc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001138632378 1046250186 /nfs/dbraw/zinc/25/01/86/1046250186.db2.gz VKERSIKSEOAQLY-OKILXGFUSA-N 0 3 320.358 4.094 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](c1ccccn1)C1CC1 ZINC000903339801 1046250590 /nfs/dbraw/zinc/25/05/90/1046250590.db2.gz MYVBSPWBLDRYQQ-AZUAARDMSA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCO2 ZINC000903340411 1046253346 /nfs/dbraw/zinc/25/33/46/1046253346.db2.gz QXLCOLBDOPVZDJ-YLJYHZDGSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc([C@@H](C)NCc2c[nH]c(-c3ccccc3)n2)cc1C ZINC000897417415 1046254410 /nfs/dbraw/zinc/25/44/10/1046254410.db2.gz PFNRLFALAWCMAI-OAHLLOKOSA-N 0 3 321.424 4.245 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc(-c3ccccc3)[nH]2)cc1C ZINC000897417415 1046254415 /nfs/dbraw/zinc/25/44/15/1046254415.db2.gz PFNRLFALAWCMAI-OAHLLOKOSA-N 0 3 321.424 4.245 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC4(CCCC4)CC3)cn2)cc1 ZINC001138647405 1046255010 /nfs/dbraw/zinc/25/50/10/1046255010.db2.gz VYSILYMFBFFWFK-UHFFFAOYSA-N 0 3 313.420 4.168 20 0 DIADHN C[C@@H](NCc1nccc2ccccc21)c1ccc2c(c1)CCO2 ZINC000897419380 1046255878 /nfs/dbraw/zinc/25/58/78/1046255878.db2.gz IXDDCPFZQWIQTG-CQSZACIVSA-N 0 3 304.393 4.021 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC[C@H]3c3ccccc3)cn2)cc1 ZINC001138649337 1046257185 /nfs/dbraw/zinc/25/71/85/1046257185.db2.gz HIGVVYJFSONXLP-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN CCC[C@H](NC[C@]12C[C@H]1COC21CCC1)c1ncccc1Cl ZINC000903369167 1046257496 /nfs/dbraw/zinc/25/74/96/1046257496.db2.gz ZJKNDGLFRPQEMO-JLJPHGGASA-N 0 3 320.864 4.125 20 0 DIADHN CCSc1ncc(CN(Cc2ccccc2)C(C)(C)C)cn1 ZINC001138651271 1046257745 /nfs/dbraw/zinc/25/77/45/1046257745.db2.gz LKIHLQQKHUZCTA-UHFFFAOYSA-N 0 3 315.486 4.389 20 0 DIADHN COC[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000666227748 1046263205 /nfs/dbraw/zinc/26/32/05/1046263205.db2.gz IKUUYOVZDNBZCH-BIMULSAOSA-N 0 3 323.305 4.026 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)[C@H]2CC2(F)F)c2ccccc2)cc1 ZINC000666229221 1046264266 /nfs/dbraw/zinc/26/42/66/1046264266.db2.gz DEHGLYBHVTZYFN-MORSLUCNSA-N 0 3 317.379 4.418 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc(CCO)cc1 ZINC000903395053 1046264466 /nfs/dbraw/zinc/26/44/66/1046264466.db2.gz ADBSLWUJSCCZDX-OAHLLOKOSA-N 0 3 309.409 4.127 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC4(C3)CCCOC4)cc2)cc1 ZINC001138682400 1046265474 /nfs/dbraw/zinc/26/54/74/1046265474.db2.gz OLMQYMRIKLBIOV-UHFFFAOYSA-N 0 3 311.400 4.105 20 0 DIADHN CCSc1ccccc1[C@H](C)NCc1ccc(CCO)cc1 ZINC000903397676 1046265815 /nfs/dbraw/zinc/26/58/15/1046265815.db2.gz QSYBQGLPNCMTMJ-HNNXBMFYSA-N 0 3 315.482 4.184 20 0 DIADHN C[C@H](N[C@H]1CCOC12CCCC2)c1cc(-c2ccccc2)no1 ZINC000903391295 1046266136 /nfs/dbraw/zinc/26/61/36/1046266136.db2.gz ZLGRSCYLCNCABQ-KSSFIOAISA-N 0 3 312.413 4.094 20 0 DIADHN CC(C)c1ccc([C@H](NCC[C@H](O)C2CC2)c2cccnc2)cc1 ZINC000903412910 1046269161 /nfs/dbraw/zinc/26/91/61/1046269161.db2.gz YHZPPAHLPHBUMF-SFTDATJTSA-N 0 3 324.468 4.045 20 0 DIADHN CC(C)c1ccc([C@@H](NCC[C@H](O)C2CC2)c2cccnc2)cc1 ZINC000903412907 1046269500 /nfs/dbraw/zinc/26/95/00/1046269500.db2.gz YHZPPAHLPHBUMF-LEWJYISDSA-N 0 3 324.468 4.045 20 0 DIADHN c1ccc([C@@H]2CN(CCc3noc4ccccc34)CCS2)cc1 ZINC000653557136 1046272983 /nfs/dbraw/zinc/27/29/83/1046272983.db2.gz DMWLYYSITBMCBA-IBGZPJMESA-N 0 3 324.449 4.160 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2ccc3c(c2)CCCO3)cc1 ZINC001138712573 1046274928 /nfs/dbraw/zinc/27/49/28/1046274928.db2.gz LGKFSUUQLRLJCV-LJQANCHMSA-N 0 3 311.400 4.488 20 0 DIADHN CCCN(CCc1ccccn1)Cc1c(C)cccc1Cl ZINC001138722527 1046275987 /nfs/dbraw/zinc/27/59/87/1046275987.db2.gz WODWEHZUZSSIHX-UHFFFAOYSA-N 0 3 302.849 4.498 20 0 DIADHN Fc1cccc2c1SCC[C@H]2N[C@@H](c1ccncc1)C1CC1 ZINC000903428619 1046277903 /nfs/dbraw/zinc/27/79/03/1046277903.db2.gz PPFZWTQQQPKCBE-IAGOWNOFSA-N 0 3 314.429 4.499 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2N[C@H](c1ccncc1)C1CC1 ZINC000903428620 1046278277 /nfs/dbraw/zinc/27/82/77/1046278277.db2.gz PPFZWTQQQPKCBE-IRXDYDNUSA-N 0 3 314.429 4.499 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@H](C)c1cccc(F)c1F ZINC000245871701 1046280060 /nfs/dbraw/zinc/28/00/60/1046280060.db2.gz JLMBSXLRNYDYQY-GYSYKLTISA-N 0 3 311.397 4.189 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H](C(F)(F)F)C2)c(C)c1C ZINC001138729084 1046282592 /nfs/dbraw/zinc/28/25/92/1046282592.db2.gz MYCPIBWPYVNUPU-AWEZNQCLSA-N 0 3 315.379 4.395 20 0 DIADHN Clc1c2ccccc2oc1CN1CCC(c2ncc[nH]2)CC1 ZINC000637985556 1046289160 /nfs/dbraw/zinc/28/91/60/1046289160.db2.gz HCEVQACZPCGVRF-UHFFFAOYSA-N 0 3 315.804 4.189 20 0 DIADHN CCCCc1ccc(CN2CCC[C@@H](c3noc(C)n3)C2)s1 ZINC001138753547 1046289510 /nfs/dbraw/zinc/28/95/10/1046289510.db2.gz WIIUGNKQHADZIY-CQSZACIVSA-N 0 3 319.474 4.162 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2ccc(OC)cc2)c1 ZINC000089725336 1046302188 /nfs/dbraw/zinc/30/21/88/1046302188.db2.gz WEZJTYXTCKUOMH-QAPCUYQASA-N 0 3 324.424 4.227 20 0 DIADHN Clc1nc2ccccc2cc1CN1CCc2ccccc2CC1 ZINC000321498284 1046303246 /nfs/dbraw/zinc/30/32/46/1046303246.db2.gz SOOIMWCKAJQXIS-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN CCOCC1CCN(Cc2c[nH]c3c2cccc3Cl)CC1 ZINC001138833899 1046307615 /nfs/dbraw/zinc/30/76/15/1046307615.db2.gz ODVRDYRFPGBFJN-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN C[C@@H](NC1CC(n2cccn2)C1)c1ccc(Cl)cc1Cl ZINC000638292361 1046308212 /nfs/dbraw/zinc/30/82/12/1046308212.db2.gz HCHIIYUUHDZCBW-QFWMXSHPSA-N 0 3 310.228 4.244 20 0 DIADHN c1csc([C@H](N[C@H](c2nc[nH]n2)C2CCCCC2)C2CC2)c1 ZINC000638318624 1046311488 /nfs/dbraw/zinc/31/14/88/1046311488.db2.gz MNRCBNZQWURICO-CVEARBPZSA-N 0 3 316.474 4.229 20 0 DIADHN c1csc([C@H]([NH2+][C@H](c2nnc[n-]2)C2CCCCC2)C2CC2)c1 ZINC000638318624 1046311505 /nfs/dbraw/zinc/31/15/05/1046311505.db2.gz MNRCBNZQWURICO-CVEARBPZSA-N 0 3 316.474 4.229 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1cnc2ccccc2n1 ZINC001138863714 1046311916 /nfs/dbraw/zinc/31/19/16/1046311916.db2.gz ZUQHBTNQDJNGJT-UHFFFAOYSA-N 0 3 305.425 4.288 20 0 DIADHN Cn1ccnc1[C@H](N[C@@H](c1ccccc1)C1CCCC1)C1CC1 ZINC000638429352 1046321579 /nfs/dbraw/zinc/32/15/79/1046321579.db2.gz JNLJOUPAWSZDJI-RBUKOAKNSA-N 0 3 309.457 4.392 20 0 DIADHN Cc1cccc(CCN(C)Cc2ccc(Br)o2)c1 ZINC001138906147 1046324280 /nfs/dbraw/zinc/32/42/80/1046324280.db2.gz HETLFDCLROVJIY-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2ccccc2OC(F)F)C1 ZINC001138908575 1046324651 /nfs/dbraw/zinc/32/46/51/1046324651.db2.gz IUKLPQWODIEYOD-CYBMUJFWSA-N 0 3 321.342 4.417 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cccc(F)c2N)cc1 ZINC000638541773 1046329354 /nfs/dbraw/zinc/32/93/54/1046329354.db2.gz WYUJIXLVOUGJIT-LBPRGKRZSA-N 0 3 304.434 4.371 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000638591277 1046331643 /nfs/dbraw/zinc/33/16/43/1046331643.db2.gz DUWMAYKJGLRAHH-RTBURBONSA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccccc1[C@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)C1CC1 ZINC000638591277 1046331655 /nfs/dbraw/zinc/33/16/55/1046331655.db2.gz DUWMAYKJGLRAHH-RTBURBONSA-N 0 3 324.472 4.475 20 0 DIADHN O[C@H](CCN1CCC[C@@H]1c1ccc(F)cc1F)c1ccccc1 ZINC000832518120 1046334320 /nfs/dbraw/zinc/33/43/20/1046334320.db2.gz USRSKCYUCYBTTR-RTBURBONSA-N 0 3 317.379 4.225 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001138951613 1046334506 /nfs/dbraw/zinc/33/45/06/1046334506.db2.gz GJUQXNJBUSRZJF-LRDDRELGSA-N 0 3 303.837 4.323 20 0 DIADHN COCc1ccccc1CNCc1cc(Cl)cc(Cl)c1 ZINC000037762857 1046335111 /nfs/dbraw/zinc/33/51/11/1046335111.db2.gz MQASANZXHWUFGD-UHFFFAOYSA-N 0 3 310.224 4.430 20 0 DIADHN CC(C)C[C@@H](NC[C@H](O)c1ccccc1Cl)c1ccccn1 ZINC000090077587 1046335751 /nfs/dbraw/zinc/33/57/51/1046335751.db2.gz NYCZMESTBKRBBM-MSOLQXFVSA-N 0 3 318.848 4.145 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c3cc[nH]c3ncc1Cl)CC2 ZINC001138952856 1046336700 /nfs/dbraw/zinc/33/67/00/1046336700.db2.gz XIXHMOWHUVWWOG-GFCCVEGCSA-N 0 3 321.827 4.272 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@H]1CNCc1nc2ccccc2o1 ZINC000638740200 1046339226 /nfs/dbraw/zinc/33/92/26/1046339226.db2.gz RGVPIOFDUORJDY-NWDGAFQWSA-N 0 3 312.335 4.286 20 0 DIADHN CC(C)(CNCc1c(Cl)ccc2cccnc21)OCC1CC1 ZINC000666424426 1046341492 /nfs/dbraw/zinc/34/14/92/1046341492.db2.gz OQQXFWQZEDFTQL-UHFFFAOYSA-N 0 3 318.848 4.183 20 0 DIADHN CCC(=O)Oc1ccc(CN(C)Cc2ccc(Cl)cc2)cc1 ZINC001138966604 1046344040 /nfs/dbraw/zinc/34/40/40/1046344040.db2.gz PWKDOTVQBKXQAK-UHFFFAOYSA-N 0 3 317.816 4.287 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(c2ccc(F)cc2)CC1 ZINC000759346323 1046347868 /nfs/dbraw/zinc/34/78/68/1046347868.db2.gz LWHZXYJSQXVTHY-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN C[C@H](NCc1ccc(Br)cc1Cl)[C@H]1CC1(F)F ZINC000666445533 1046348464 /nfs/dbraw/zinc/34/84/64/1046348464.db2.gz UZKVPRWOSSDNDD-OIBJUYFYSA-N 0 3 324.596 4.236 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3cc(C4CC4)no3)CC2)cc1 ZINC000638910463 1046349748 /nfs/dbraw/zinc/34/97/48/1046349748.db2.gz RHZNZPOIJZNKOQ-QGZVFWFLSA-N 0 3 312.388 4.417 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](C(=O)c3ccccc3)C2)c1 ZINC001138987446 1046350134 /nfs/dbraw/zinc/35/01/34/1046350134.db2.gz QAYIWRVPAZWUEP-LJQANCHMSA-N 0 3 323.436 4.179 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000639052813 1046354054 /nfs/dbraw/zinc/35/40/54/1046354054.db2.gz ZDMOQYDUJDJIPR-NIKGAXFTSA-N 0 3 309.457 4.175 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc2ccc(F)c(F)c2n1 ZINC000639048011 1046354707 /nfs/dbraw/zinc/35/47/07/1046354707.db2.gz SVXHMSLUNDHIAJ-JTQLQIEISA-N 0 3 318.289 4.334 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cc2ccccc2n1C ZINC000639051721 1046354800 /nfs/dbraw/zinc/35/48/00/1046354800.db2.gz WTEKLWYVTLYUDX-CQSZACIVSA-N 0 3 324.472 4.289 20 0 DIADHN C[C@H]1CC(O)C[C@H](C)N1Cc1cccc(SC(F)(F)F)c1 ZINC000759490323 1046358608 /nfs/dbraw/zinc/35/86/08/1046358608.db2.gz MMWLLHLWSWFIQE-QWRGUYRKSA-N 0 3 319.392 4.032 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)[C@H](C)c1cnc(C)nc1C ZINC000639186755 1046368872 /nfs/dbraw/zinc/36/88/72/1046368872.db2.gz FSKYGLNSTMUCJV-AUUYWEPGSA-N 0 3 313.445 4.246 20 0 DIADHN O=C1CCC[C@@H]2CN(Cc3ccccc3Oc3ccccc3)C[C@H]12 ZINC001139053186 1046369424 /nfs/dbraw/zinc/36/94/24/1046369424.db2.gz VFZRJHOUZYDPLH-APWZRJJASA-N 0 3 321.420 4.280 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2Oc2ccccc2)CC[C@@]1(C)O ZINC001139052478 1046369518 /nfs/dbraw/zinc/36/95/18/1046369518.db2.gz AWOMQCAFFOZZRW-OXQOHEQNSA-N 0 3 311.425 4.072 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2ccc(F)c(Cl)c2)ccc1O ZINC000487541160 1046369851 /nfs/dbraw/zinc/36/98/51/1046369851.db2.gz YMMJCSJDVZNMQR-NSHDSACASA-N 0 3 323.795 4.386 20 0 DIADHN Cc1cccnc1O[C@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001139064108 1046373053 /nfs/dbraw/zinc/37/30/53/1046373053.db2.gz OVTSUYFLWSBNIG-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN Clc1cccc(CC2(NCc3cc(C4CC4)no3)CC2)c1 ZINC000639237659 1046374903 /nfs/dbraw/zinc/37/49/03/1046374903.db2.gz KXNRJORWSQIWFW-UHFFFAOYSA-N 0 3 302.805 4.070 20 0 DIADHN CCN(Cc1cc2ccccc2o1)Cc1ccc2c(c1)OCO2 ZINC001139065308 1046375867 /nfs/dbraw/zinc/37/58/67/1046375867.db2.gz OADOGCNNDPWJBW-UHFFFAOYSA-N 0 3 309.365 4.184 20 0 DIADHN C/C(=C\C(=O)Nc1cc(C)cc(CN(C)C)c1)c1ccccc1 ZINC000759748077 1046376144 /nfs/dbraw/zinc/37/61/44/1046376144.db2.gz WHBRNEZXEHNBQG-FOWTUZBSSA-N 0 3 308.425 4.099 20 0 DIADHN COc1ccccc1O[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001139066533 1046376474 /nfs/dbraw/zinc/37/64/74/1046376474.db2.gz WDRCEXWYKKWOSR-MRXNPFEDSA-N 0 3 323.392 4.095 20 0 DIADHN C[C@H]1CN(Cc2ccc(C(F)F)nc2)CCc2ccccc21 ZINC000639297008 1046378928 /nfs/dbraw/zinc/37/89/28/1046378928.db2.gz YMSYGWZBLAKKEP-ZDUSSCGKSA-N 0 3 302.368 4.181 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CC[C@]2(CCCO2)C1 ZINC001139068613 1046379771 /nfs/dbraw/zinc/37/97/71/1046379771.db2.gz WROLFDGDDJXBLY-OAQYLSRUSA-N 0 3 323.436 4.117 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001139071591 1046381179 /nfs/dbraw/zinc/38/11/79/1046381179.db2.gz CZRNHTKWAUXSKO-RHSMWYFYSA-N 0 3 324.896 4.131 20 0 DIADHN Cc1ccc(F)c(CNC[C@H](CC(C)C)C(=O)OC(C)(C)C)c1 ZINC001202892145 1046381556 /nfs/dbraw/zinc/38/15/56/1046381556.db2.gz IKKLBWURASYVIG-INIZCTEOSA-N 0 3 323.452 4.228 20 0 DIADHN CSc1ccc(CN2CC[C@H](O)C[C@@H]2c2ccccc2)cc1 ZINC000639366404 1046384499 /nfs/dbraw/zinc/38/44/99/1046384499.db2.gz KJXBFWHQSDAGIQ-PKOBYXMFSA-N 0 3 313.466 4.107 20 0 DIADHN O[C@@H]1CCN(Cc2ccc3occc3c2)[C@@H](c2ccccc2)C1 ZINC000639365379 1046385065 /nfs/dbraw/zinc/38/50/65/1046385065.db2.gz COGWEFLQLKYLKG-RTBURBONSA-N 0 3 307.393 4.131 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@@H]3c3ccccn3)cc21 ZINC001139120599 1046389597 /nfs/dbraw/zinc/38/95/97/1046389597.db2.gz WXKFNPBZDFINMS-LJQANCHMSA-N 0 3 305.425 4.393 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@@H](C(F)(F)F)C3)cc21 ZINC001139123107 1046393091 /nfs/dbraw/zinc/39/30/91/1046393091.db2.gz RGFVECIQIZJKGF-OAHLLOKOSA-N 0 3 310.363 4.436 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N(C)CCCCCn1cccn1 ZINC000104675128 1046396628 /nfs/dbraw/zinc/39/66/28/1046396628.db2.gz HDXIZOSUZSPPMW-CQSZACIVSA-N 0 3 307.388 4.025 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4cccnc4c3)CC2)cc1 ZINC001139165069 1046400888 /nfs/dbraw/zinc/40/08/88/1046400888.db2.gz ZXAUSWJTCFWONJ-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1ccnc(Cl)c1 ZINC000748802179 1046403137 /nfs/dbraw/zinc/40/31/37/1046403137.db2.gz GLGSFPAMHBHCTL-QGZVFWFLSA-N 0 3 316.832 4.253 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC(OC(C)C)CC1 ZINC000639483766 1046405401 /nfs/dbraw/zinc/40/54/01/1046405401.db2.gz XYIXJCNTGZKYMJ-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN Cc1cccc2[nH]cc(CN(C)CCC(=O)c3ccccc3)c21 ZINC001139196187 1046406227 /nfs/dbraw/zinc/40/62/27/1046406227.db2.gz KQIPGHDUCAPGBQ-UHFFFAOYSA-N 0 3 306.409 4.181 20 0 DIADHN COCc1cccc(CNCc2cc(Cl)cc(Cl)c2)c1 ZINC000038010759 1046406527 /nfs/dbraw/zinc/40/65/27/1046406527.db2.gz AFNVNCZWBBRZRX-UHFFFAOYSA-N 0 3 310.224 4.430 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN1CC(C)(C)[C@H]1c1ccccc1 ZINC000748834377 1046408273 /nfs/dbraw/zinc/40/82/73/1046408273.db2.gz WRWGUPNMORCCMK-RBUKOAKNSA-N 0 3 313.416 4.251 20 0 DIADHN Cc1cccc2[nH]cc(CN3CC[C@H]3COCc3ccccc3)c21 ZINC001139197207 1046408580 /nfs/dbraw/zinc/40/85/80/1046408580.db2.gz IYLYBDWRMJRWHO-IBGZPJMESA-N 0 3 320.436 4.267 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN1CC(C)(C)[C@@H]1c1ccccc1 ZINC000748834371 1046408653 /nfs/dbraw/zinc/40/86/53/1046408653.db2.gz WRWGUPNMORCCMK-MOPGFXCFSA-N 0 3 313.416 4.251 20 0 DIADHN Fc1ccc(C2(NCc3cccc4c3CCOC4)CCC2)cc1 ZINC000639527338 1046409511 /nfs/dbraw/zinc/40/95/11/1046409511.db2.gz SBKNPUJZKXETLS-UHFFFAOYSA-N 0 3 311.400 4.067 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCC4(CCC(C)(C)O4)CC3)c21 ZINC001139199884 1046411091 /nfs/dbraw/zinc/41/10/91/1046411091.db2.gz UCZGHGWOQWHPGK-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN COc1cccc([C@@H](C)NCc2cnc(OC)c3ccccc23)c1 ZINC000639560356 1046411375 /nfs/dbraw/zinc/41/13/75/1046411375.db2.gz AKEJAEIWRNHMNH-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc(OC(F)F)cc2)c(C)n1 ZINC000639563589 1046413390 /nfs/dbraw/zinc/41/33/90/1046413390.db2.gz ZPWXQGILGFLADP-CYBMUJFWSA-N 0 3 320.383 4.459 20 0 DIADHN O=c1cc(CN2CCCC[C@H]2c2cccc(Cl)c2)cc[nH]1 ZINC000639612058 1046416779 /nfs/dbraw/zinc/41/67/79/1046416779.db2.gz KGJJOGAPWOSJMJ-INIZCTEOSA-N 0 3 302.805 4.168 20 0 DIADHN CC(C)=CCN(Cc1ccc(Cl)cc1)Cc1cc[nH]c(=O)c1 ZINC000639625344 1046418169 /nfs/dbraw/zinc/41/81/69/1046418169.db2.gz TVPSIIUGRLDAGS-UHFFFAOYSA-N 0 3 316.832 4.409 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000639620384 1046418592 /nfs/dbraw/zinc/41/85/92/1046418592.db2.gz CTZWFGDEBLELFM-OCCSQVGLSA-N 0 3 324.346 4.423 20 0 DIADHN F[C@H]1CCCN(Cc2ccc(Oc3ccccc3)nc2)CC1 ZINC001139243936 1046419197 /nfs/dbraw/zinc/41/91/97/1046419197.db2.gz IMHCIUGAJCOLMG-INIZCTEOSA-N 0 3 300.377 4.198 20 0 DIADHN OC[C@H]1CCCN1Cc1ccccc1Oc1ccc(Cl)cc1 ZINC001139264035 1046420051 /nfs/dbraw/zinc/42/00/51/1046420051.db2.gz IRCFTRILWIPFIB-MRXNPFEDSA-N 0 3 317.816 4.089 20 0 DIADHN COc1ccc(-c2nc(CNC3CCC(C)CC3)co2)cc1 ZINC001168204514 1046420264 /nfs/dbraw/zinc/42/02/64/1046420264.db2.gz VOFAKSSTRKFKDV-UHFFFAOYSA-N 0 3 300.402 4.019 20 0 DIADHN OC[C@H]1CCCN1Cc1ccccc1Oc1cccc(Cl)c1 ZINC001139260140 1046420675 /nfs/dbraw/zinc/42/06/75/1046420675.db2.gz OMRPAQQYVAPOPX-MRXNPFEDSA-N 0 3 317.816 4.089 20 0 DIADHN c1c(CN2CCC[C@H](c3cccnc3)C2)onc1-c1ccccc1 ZINC000639693651 1046421980 /nfs/dbraw/zinc/42/19/80/1046421980.db2.gz RPBCZVDLYCWJLA-SFHVURJKSA-N 0 3 319.408 4.116 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)o1 ZINC000246770928 1046423220 /nfs/dbraw/zinc/42/32/20/1046423220.db2.gz PXILSFXFWHPOIC-OHFALNGGSA-N 0 3 315.413 4.084 20 0 DIADHN COc1ccc2cc(CN3C[C@H](C)CC34CC4)c(Cl)nc2c1 ZINC001139309744 1046428676 /nfs/dbraw/zinc/42/86/76/1046428676.db2.gz DLJWGMZADGKNOY-GFCCVEGCSA-N 0 3 316.832 4.271 20 0 DIADHN CC(C)N(Cc1cc(C(=O)c2ccc(F)cc2)cn1C)C(C)C ZINC001139314807 1046429803 /nfs/dbraw/zinc/42/98/03/1046429803.db2.gz XEEDPIRAZMWFKU-UHFFFAOYSA-N 0 3 316.420 4.014 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000090920849 1046430737 /nfs/dbraw/zinc/43/07/37/1046430737.db2.gz JRWDRRPICJZGHC-RDJZCZTQSA-N 0 3 322.811 4.039 20 0 DIADHN Oc1ccc2cc(CN3CCC[C@H]3c3cccnc3)ccc2c1 ZINC001139336796 1046431631 /nfs/dbraw/zinc/43/16/31/1046431631.db2.gz AFDJMIGXHROFCU-FQEVSTJZSA-N 0 3 304.393 4.278 20 0 DIADHN COc1cccc2c1CCN(Cc1ccc3cc(O)ccc3c1)C2 ZINC001139343499 1046432355 /nfs/dbraw/zinc/43/23/55/1046432355.db2.gz XNZGRDZVFIQYIX-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc(N2CCCCC2)nc1 ZINC001139340616 1046432795 /nfs/dbraw/zinc/43/27/95/1046432795.db2.gz QQEMMTOUHJJOFY-UHFFFAOYSA-N 0 3 323.484 4.483 20 0 DIADHN CC[C@H]1CCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139365407 1046437960 /nfs/dbraw/zinc/43/79/60/1046437960.db2.gz FDVYWPOITMLMPF-LBPRGKRZSA-N 0 3 309.866 4.021 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139367710 1046438370 /nfs/dbraw/zinc/43/83/70/1046438370.db2.gz SLAKWZTXWXRPOE-BETUJISGSA-N 0 3 323.893 4.124 20 0 DIADHN C[C@@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)CC1 ZINC001139362602 1046438774 /nfs/dbraw/zinc/43/87/74/1046438774.db2.gz IADUSLCWVBFEMP-CYBMUJFWSA-N 0 3 323.893 4.268 20 0 DIADHN COc1ccc(Cl)c(CN2CCC3(CCOC3(C)C)CC2)c1 ZINC001137265638 1046439602 /nfs/dbraw/zinc/43/96/02/1046439602.db2.gz QGPVDZJWGMJYNA-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN c1ccc([C@@H]2CCN2Cc2ccc(-c3cnccn3)cc2)cc1 ZINC001139393424 1046442457 /nfs/dbraw/zinc/44/24/57/1046442457.db2.gz QQDBJLSPHUOELZ-FQEVSTJZSA-N 0 3 301.393 4.091 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3cnccn3)cc2)cc1C ZINC001139395395 1046442569 /nfs/dbraw/zinc/44/25/69/1046442569.db2.gz UVCNVRZEVPQLIO-UHFFFAOYSA-N 0 3 317.436 4.392 20 0 DIADHN Cc1ccc(CNCc2cccnc2C)c(C)c1Br ZINC000719584919 1046443322 /nfs/dbraw/zinc/44/33/22/1046443322.db2.gz RKVIDWWMPMXJAR-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CN[C@H]1CCC(F)(F)C1 ZINC000676903223 1046444651 /nfs/dbraw/zinc/44/46/51/1046444651.db2.gz ZQVZURUNIZDWLO-HNNXBMFYSA-N 0 3 306.331 4.165 20 0 DIADHN Cc1ccncc1CNCc1ccc(C)c(Br)c1C ZINC000719635414 1046446513 /nfs/dbraw/zinc/44/65/13/1046446513.db2.gz UIYDOJBUQMQNNK-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3CCOC3)o2)cc1Cl ZINC000676906220 1046446714 /nfs/dbraw/zinc/44/67/14/1046446714.db2.gz IKUCRTBNTFFRNA-NSHDSACASA-N 0 3 312.196 4.132 20 0 DIADHN Cc1c(CN2CCC[C@@H]2c2ccccc2C)nc2ccccn12 ZINC001137268206 1046447605 /nfs/dbraw/zinc/44/76/05/1046447605.db2.gz RPCUINZZKWXBSI-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN Brc1ccc2cc(CN3CCC4(CC4)CC3)[nH]c2c1 ZINC001139472089 1046452704 /nfs/dbraw/zinc/45/27/04/1046452704.db2.gz GUORPHMCQPLZHY-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN C[C@@H](c1ccc(CN[C@@H]2CCCn3nccc32)cc1)C(F)(F)F ZINC000640218897 1046453374 /nfs/dbraw/zinc/45/33/74/1046453374.db2.gz APCTXXGWJMODRF-SWLSCSKDSA-N 0 3 323.362 4.174 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cnc3ccccc3c1)CC2 ZINC001137278268 1046453534 /nfs/dbraw/zinc/45/35/34/1046453534.db2.gz RSZYNYMYQJZAMJ-UHFFFAOYSA-N 0 3 302.368 4.246 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677042272 1046456364 /nfs/dbraw/zinc/45/63/64/1046456364.db2.gz IBVOBRBNXXRCNU-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccc(-c3ccsc3)nc1)C2 ZINC001139503082 1046457948 /nfs/dbraw/zinc/45/79/48/1046457948.db2.gz BZKJLPQSWVFZGG-HNNXBMFYSA-N 0 3 306.381 4.041 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CCC[C@H](C4CC4)C3)o2)cc1 ZINC000677061697 1046459935 /nfs/dbraw/zinc/45/99/35/1046459935.db2.gz KYPASVFXXOHZME-PBHICJAKSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2cnc3ccccc3c2)C1 ZINC001137282207 1046460043 /nfs/dbraw/zinc/46/00/43/1046460043.db2.gz KNQSYFQWIHZPQN-LJQANCHMSA-N 0 3 318.420 4.197 20 0 DIADHN CCc1ccc(CNc2cccc(CN3CCSCC3)c2)o1 ZINC000125277944 1046465728 /nfs/dbraw/zinc/46/57/28/1046465728.db2.gz JZAWWHGJOJIMHT-UHFFFAOYSA-N 0 3 316.470 4.003 20 0 DIADHN Cc1cc(CN2CCC3(CC(F)(F)C3)CC2)cnc1Cl ZINC001139543091 1046468846 /nfs/dbraw/zinc/46/88/46/1046468846.db2.gz WFARIFQCJFHMFL-UHFFFAOYSA-N 0 3 300.780 4.055 20 0 DIADHN CSc1ccc(OCCN(Cc2cccnc2)C(C)C)cc1 ZINC000125638680 1046470283 /nfs/dbraw/zinc/47/02/83/1046470283.db2.gz HCFGRFMPBCEVEA-UHFFFAOYSA-N 0 3 316.470 4.093 20 0 DIADHN COc1ccc(CN2C[C@H]3CC[C@@H]2C3)c(OCc2ccccc2)c1 ZINC001139560841 1046472082 /nfs/dbraw/zinc/47/20/82/1046472082.db2.gz YKNQHZBVLVTIAZ-PKOBYXMFSA-N 0 3 323.436 4.259 20 0 DIADHN CO[C@H]1CCN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139576632 1046476392 /nfs/dbraw/zinc/47/63/92/1046476392.db2.gz XFMVZOISGLBJQJ-HNNXBMFYSA-N 0 3 301.817 4.228 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139576999 1046477198 /nfs/dbraw/zinc/47/71/98/1046477198.db2.gz KPJAEFHJWIUECB-OAHLLOKOSA-N 0 3 313.828 4.418 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccncc3)C2)cc1Cl ZINC001139595973 1046481151 /nfs/dbraw/zinc/48/11/51/1046481151.db2.gz QPGAWYNFBGWOGD-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001139600865 1046483040 /nfs/dbraw/zinc/48/30/40/1046483040.db2.gz UZEATNDLFQZRMJ-UHFFFAOYSA-N 0 3 309.400 4.405 20 0 DIADHN COC(CN[C@@H](c1cccc(Cl)c1)C1CCCCC1)OC ZINC000781902678 1046484607 /nfs/dbraw/zinc/48/46/07/1046484607.db2.gz IGOCQPVXGPSEOA-QGZVFWFLSA-N 0 3 311.853 4.170 20 0 DIADHN COc1ccncc1CN(Cc1ccccc1)C1CCCCC1 ZINC001139606223 1046484652 /nfs/dbraw/zinc/48/46/52/1046484652.db2.gz FQUCVRYDYMERTR-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN COc1cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)ccc1Cl ZINC001139615298 1046486243 /nfs/dbraw/zinc/48/62/43/1046486243.db2.gz CETJMKQZFWZBPN-GASCZTMLSA-N 0 3 313.828 4.435 20 0 DIADHN CC[C@H](N)c1cn([C@@H](C)c2ccc(Oc3ccccc3)cc2)nn1 ZINC000641118577 1046486726 /nfs/dbraw/zinc/48/67/26/1046486726.db2.gz OQHCVKYUBCJYBX-KSSFIOAISA-N 0 3 322.412 4.090 20 0 DIADHN CC[C@@H](N)c1cn([C@H](C)c2ccc(Oc3ccccc3)cc2)nn1 ZINC000641118578 1046486871 /nfs/dbraw/zinc/48/68/71/1046486871.db2.gz OQHCVKYUBCJYBX-RDTXWAMCSA-N 0 3 322.412 4.090 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc3ccccc3c(Cl)n2)C1 ZINC000795600900 1046494669 /nfs/dbraw/zinc/49/46/69/1046494669.db2.gz PLXXDORPWPQRCY-ZDUSSCGKSA-N 0 3 320.889 4.463 20 0 DIADHN C[C@H](NCc1ccc(N(C)c2ccccc2)cc1)c1cn[nH]c1 ZINC000677426036 1046496337 /nfs/dbraw/zinc/49/63/37/1046496337.db2.gz SZOUCLUNFXTXAB-HNNXBMFYSA-N 0 3 306.413 4.028 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2coc3ccccc23)c2ccccc21 ZINC000677427376 1046496518 /nfs/dbraw/zinc/49/65/18/1046496518.db2.gz PLJBYYRSPWUDCS-GOSISDBHSA-N 0 3 320.392 4.020 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2Cc2ccnc(N(C)C)c2)o1 ZINC000782173920 1046497420 /nfs/dbraw/zinc/49/74/20/1046497420.db2.gz ANJHKDOEMLYMIU-QGZVFWFLSA-N 0 3 313.445 4.166 20 0 DIADHN CCN(Cc1ccnc(N(C)C)c1)[C@H](C)c1cc2ccccc2o1 ZINC000782175270 1046497665 /nfs/dbraw/zinc/49/76/65/1046497665.db2.gz QXEVWTCPUTWFOP-OAHLLOKOSA-N 0 3 323.440 4.477 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(Br)cc2C)ccn1 ZINC000641778585 1046512985 /nfs/dbraw/zinc/51/29/85/1046512985.db2.gz AFUHLSQJZLITRK-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(Cc2cncn2C)C1 ZINC000129075815 1046498550 /nfs/dbraw/zinc/49/85/50/1046498550.db2.gz VGHLUUNVCVHMMC-WBMJQRKESA-N 0 3 323.362 4.022 20 0 DIADHN Fc1cccc(CNC2(CCOCc3ccccc3)CC2)c1F ZINC000677663162 1046510620 /nfs/dbraw/zinc/51/06/20/1046510620.db2.gz XZUDFNQZWFWDEQ-UHFFFAOYSA-N 0 3 317.379 4.194 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc(F)c4cccnc34)C2)cc1 ZINC000677701383 1046512718 /nfs/dbraw/zinc/51/27/18/1046512718.db2.gz NPXARDCWZRNAFR-UHFFFAOYSA-N 0 3 324.374 4.188 20 0 DIADHN Cn1cc(CN[C@H](c2ccccc2)C2CCC2)c(C(F)(F)F)n1 ZINC000641777718 1046512820 /nfs/dbraw/zinc/51/28/20/1046512820.db2.gz ZNRDWCBTYZFGKI-OAHLLOKOSA-N 0 3 323.362 4.070 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCSc2ccc(Cl)cc2)C1 ZINC000677714288 1046514373 /nfs/dbraw/zinc/51/43/73/1046514373.db2.gz VSVVIZPJCRBGAB-JTQLQIEISA-N 0 3 309.784 4.316 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H](COC)CC(C)(C)C ZINC001202972215 1046518336 /nfs/dbraw/zinc/51/83/36/1046518336.db2.gz YDMCPBRNLWGVPB-AWEZNQCLSA-N 0 3 313.869 4.280 20 0 DIADHN O=C1c2ccccc2[C@@H]2CN(Cc3ccccc3C(F)F)C[C@H]12 ZINC001139795148 1046519471 /nfs/dbraw/zinc/51/94/71/1046519471.db2.gz BAUAQLBKFQJECZ-IRXDYDNUSA-N 0 3 313.347 4.036 20 0 DIADHN FC(F)c1ccccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001139801241 1046520531 /nfs/dbraw/zinc/52/05/31/1046520531.db2.gz NLPNWXJXRLQULZ-MRXNPFEDSA-N 0 3 303.352 4.278 20 0 DIADHN CCN(Cc1cnc2ccc(C)cn12)Cc1ccc(C)c(C)c1 ZINC001137310557 1046520855 /nfs/dbraw/zinc/52/08/55/1046520855.db2.gz DJXRXOWHHAJLIL-UHFFFAOYSA-N 0 3 307.441 4.282 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)CC1CCCCC1 ZINC000641888990 1046522896 /nfs/dbraw/zinc/52/28/96/1046522896.db2.gz CGULPJDPOAWIPI-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN COCc1ccc(CN(C)[C@H](C)c2ccc(OC)cc2)s1 ZINC001139827830 1046527011 /nfs/dbraw/zinc/52/70/11/1046527011.db2.gz ADEUXXQQCPLGTI-CYBMUJFWSA-N 0 3 305.443 4.096 20 0 DIADHN Cc1ccc(CN2CCC[C@]3(CCc4c3cccc4F)C2)nc1 ZINC001139842787 1046528277 /nfs/dbraw/zinc/52/82/77/1046528277.db2.gz BEVJSOJKZGZCAK-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN CCC[C@H](C(=O)N(c1ccccc1)C1CCN(C)CC1)C(C)C ZINC000677886556 1046533483 /nfs/dbraw/zinc/53/34/83/1046533483.db2.gz SRWSACKXXMNISP-IBGZPJMESA-N 0 3 316.489 4.186 20 0 DIADHN FC(F)(F)c1ccc(CN(C[C@H]2CCCCO2)C2CC2)cc1 ZINC000641976348 1046533968 /nfs/dbraw/zinc/53/39/68/1046533968.db2.gz YAFQLNNTFNMEBC-MRXNPFEDSA-N 0 3 313.363 4.239 20 0 DIADHN COCCOc1ccc(CNCc2ccccc2C(C)C)cc1 ZINC000782540614 1046536025 /nfs/dbraw/zinc/53/60/25/1046536025.db2.gz XENNUZDNEPYADM-UHFFFAOYSA-N 0 3 313.441 4.125 20 0 DIADHN Cn1c(CN2CCCC3(CC(F)C3)CC2)cc2ccccc21 ZINC001139872581 1046536513 /nfs/dbraw/zinc/53/65/13/1046536513.db2.gz RKFQDQYSZDVIJY-UHFFFAOYSA-N 0 3 300.421 4.283 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2cc3ccccc3n2C)C1 ZINC001139870496 1046538093 /nfs/dbraw/zinc/53/80/93/1046538093.db2.gz KJKSEBGSFHHHDO-LJQANCHMSA-N 0 3 320.436 4.140 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc3ccccc3n2C)cc1 ZINC001139871439 1046538245 /nfs/dbraw/zinc/53/82/45/1046538245.db2.gz FHZAIYDVILBJPE-OAHLLOKOSA-N 0 3 308.425 4.380 20 0 DIADHN COCc1ccc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000536627865 1046538972 /nfs/dbraw/zinc/53/89/72/1046538972.db2.gz DSJSPDHGGYPDNQ-ZDUSSCGKSA-N 0 3 305.368 4.304 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@@H](Sc2ccncc2)C1 ZINC001137319153 1046540041 /nfs/dbraw/zinc/54/00/41/1046540041.db2.gz KTSKNSCNENEWIY-GOSISDBHSA-N 0 3 323.465 4.320 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)nn(C)c1C)c1cccc(OC)c1 ZINC000133700893 1046540740 /nfs/dbraw/zinc/54/07/40/1046540740.db2.gz BNIWEQVLTWJGSU-MSOLQXFVSA-N 0 3 315.461 4.238 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1cccc(OC)c1)c1c(C)nn(C)c1C ZINC000133701109 1046541275 /nfs/dbraw/zinc/54/12/75/1046541275.db2.gz BNIWEQVLTWJGSU-ZWKOTPCHSA-N 0 3 315.461 4.238 20 0 DIADHN CC[C@H](N[C@@H](CC)c1cccc(OC)c1)c1c(C)nn(C)c1C ZINC000133700686 1046541320 /nfs/dbraw/zinc/54/13/20/1046541320.db2.gz BNIWEQVLTWJGSU-ROUUACIJSA-N 0 3 315.461 4.238 20 0 DIADHN CCN(C(=O)CN[C@H](CC(C)C)c1ccccc1)c1ccccc1 ZINC000782847518 1046543966 /nfs/dbraw/zinc/54/39/66/1046543966.db2.gz QJGXPODFSAYMGD-HXUWFJFHSA-N 0 3 324.468 4.417 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@H]2O[C@@H](C)C[C@H]2C1 ZINC001139887833 1046544850 /nfs/dbraw/zinc/54/48/50/1046544850.db2.gz GYMULYXGWABHDV-DUVNUKRYSA-N 0 3 313.363 4.013 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@H](c2ccccn2)C1 ZINC001139885142 1046546118 /nfs/dbraw/zinc/54/61/18/1046546118.db2.gz MPDVKMWBXPFIJC-AWEZNQCLSA-N 0 3 320.358 4.398 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cncc3ccccc31)CC2 ZINC001139893352 1046546760 /nfs/dbraw/zinc/54/67/60/1046546760.db2.gz AIYQOKKWAXTGBE-UHFFFAOYSA-N 0 3 302.368 4.246 20 0 DIADHN Cn1ncc2ccc(CN3CCC[C@H]3c3ccc(F)cc3)cc21 ZINC001139904346 1046550484 /nfs/dbraw/zinc/55/04/84/1046550484.db2.gz OJQUIVHSVJEQJM-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN c1ccc2c(c1)cncc2CN1CCCC[C@H]1c1cccnc1 ZINC001139896260 1046550912 /nfs/dbraw/zinc/55/09/12/1046550912.db2.gz VOPIENAQKAJQQK-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccc(Cl)nc1 ZINC000179140239 1046552193 /nfs/dbraw/zinc/55/21/93/1046552193.db2.gz RQIJNTPYPLQBDL-IAQYHMDHSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cccc(Cl)c3)CC2)cn1 ZINC001139928939 1046552377 /nfs/dbraw/zinc/55/23/77/1046552377.db2.gz GMXPBMVDHQDBRY-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@@H](NCCC1=CCCCC1)c1nc(Br)cs1 ZINC000834487942 1046622508 /nfs/dbraw/zinc/62/25/08/1046622508.db2.gz SULCIZPKYFBBBY-SNVBAGLBSA-N 0 3 315.280 4.447 20 0 DIADHN Cc1ccc(CN2CCC[C@]3(CCc4c3cccc4F)C2)cn1 ZINC001139933551 1046554554 /nfs/dbraw/zinc/55/45/54/1046554554.db2.gz GUSMZQLGWIXELG-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN COc1cccc(-c2n[nH]cc2CN(C)Cc2ccccc2C)c1 ZINC000678122233 1046557252 /nfs/dbraw/zinc/55/72/52/1046557252.db2.gz HVKGMMBSNXKQIK-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN Cc1ncccc1CN(Cc1ccc2c(c1)OCO2)C1CCCC1 ZINC000678125195 1046561031 /nfs/dbraw/zinc/56/10/31/1046561031.db2.gz PBGNLBVIRNTAAM-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN CCCCN(CC)CC(=O)N[C@H](CC)c1ccc(Cl)cc1 ZINC000642095152 1046561802 /nfs/dbraw/zinc/56/18/02/1046561802.db2.gz AJFZEUDSUCXXJX-MRXNPFEDSA-N 0 3 310.869 4.029 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1cc2ccccc2cn1 ZINC001140001547 1046564389 /nfs/dbraw/zinc/56/43/89/1046564389.db2.gz RQQKSZSCIDBYJH-UHFFFAOYSA-N 0 3 320.436 4.008 20 0 DIADHN Cc1nccnc1[C@@H](C)NCCCOc1cccc2ccccc21 ZINC000783226583 1046565729 /nfs/dbraw/zinc/56/57/29/1046565729.db2.gz RNFSFYCKHYYPQW-OAHLLOKOSA-N 0 3 321.424 4.058 20 0 DIADHN CC1(C)CCC2(CCN(Cc3cc4ccccc4cn3)CC2)O1 ZINC001140000228 1046566336 /nfs/dbraw/zinc/56/63/36/1046566336.db2.gz GUKIDXSEBVJKTK-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN c1ccc(CO[C@@H]2CCN(Cc3cc4ccccc4cn3)C2)cc1 ZINC001139997699 1046566921 /nfs/dbraw/zinc/56/69/21/1046566921.db2.gz JEMCWKXDLQPQOG-OAQYLSRUSA-N 0 3 318.420 4.026 20 0 DIADHN c1nc(C2CCCCC2)sc1CN(C[C@H]1CCOC1)C1CC1 ZINC000536632037 1046570768 /nfs/dbraw/zinc/57/07/68/1046570768.db2.gz NNJKALDGGUOBET-CQSZACIVSA-N 0 3 320.502 4.192 20 0 DIADHN CCCN(Cc1cnc2c(C)cccn12)Cc1ccc(OC)cc1 ZINC000678145421 1046570602 /nfs/dbraw/zinc/57/06/02/1046570602.db2.gz MWGCACOMJFDSOX-UHFFFAOYSA-N 0 3 323.440 4.064 20 0 DIADHN CCN(Cc1cc(C(F)(F)F)ccc1Cl)C[C@@H]1CCOC1 ZINC000678150367 1046571379 /nfs/dbraw/zinc/57/13/79/1046571379.db2.gz AMRGJISLOYBGQN-NSHDSACASA-N 0 3 321.770 4.217 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(c2cccnc2)CC1 ZINC000678170427 1046576623 /nfs/dbraw/zinc/57/66/23/1046576623.db2.gz OWWBLVJFNSYTFO-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC(c2cccnc2)CC1 ZINC000678169146 1046576892 /nfs/dbraw/zinc/57/68/92/1046576892.db2.gz KYYUOJLBGZSXAL-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000642189971 1046577049 /nfs/dbraw/zinc/57/70/49/1046577049.db2.gz RVIGWQBGAJBYGV-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN COc1c(Cl)cccc1CN(C)CC/C=C/c1cccnc1 ZINC001140062337 1046582632 /nfs/dbraw/zinc/58/26/32/1046582632.db2.gz SYXNJQJKQIEQGT-XVNBXDOJSA-N 0 3 316.832 4.279 20 0 DIADHN CN(CCOC(C)(C)C)Cc1ccc(OC(F)F)c(Cl)c1 ZINC000678283506 1046611659 /nfs/dbraw/zinc/61/16/59/1046611659.db2.gz DQSIRAOPAZWMQP-UHFFFAOYSA-N 0 3 321.795 4.188 20 0 DIADHN Oc1ccc(CN2CC[C@H](c3cccc(F)c3)C2)c(Cl)c1 ZINC001140083006 1046585852 /nfs/dbraw/zinc/58/58/52/1046585852.db2.gz FEDQFWXYTRPEND-ZDUSSCGKSA-N 0 3 305.780 4.174 20 0 DIADHN CCOCOc1ccc(CN(C)Cc2ccc(Cl)cc2)cc1 ZINC000783386870 1046588118 /nfs/dbraw/zinc/58/81/18/1046588118.db2.gz ZGEIBBIGLZCIMZ-UHFFFAOYSA-N 0 3 319.832 4.345 20 0 DIADHN CCN(Cc1ccc(N(C)C)nc1)[C@@H](C)c1cc2ccccc2o1 ZINC000678214268 1046588858 /nfs/dbraw/zinc/58/88/58/1046588858.db2.gz PBSBSOLUZFOUKA-HNNXBMFYSA-N 0 3 323.440 4.477 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCOc3ccccc32)C[C@@H](c2ccccc2)O1 ZINC000678214247 1046589393 /nfs/dbraw/zinc/58/93/93/1046589393.db2.gz ORKPXCARRAUFSH-BLIXFSHQSA-N 0 3 323.436 4.015 20 0 DIADHN Fc1ccc(-c2ncc(CN3C[C@H]4[C@H](CC4(F)F)C3)s2)cc1 ZINC001140094336 1046589419 /nfs/dbraw/zinc/58/94/19/1046589419.db2.gz STUDNPCVRKBNSA-RISCZKNCSA-N 0 3 324.371 4.036 20 0 DIADHN Cc1ccoc1CN1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000678219983 1046590409 /nfs/dbraw/zinc/59/04/09/1046590409.db2.gz OJDMPODSEBEQKO-MRXNPFEDSA-N 0 3 310.441 4.004 20 0 DIADHN c1ccc(C2CCN(Cc3ccccc3OCC3CC3)CC2)nc1 ZINC000678213202 1046591231 /nfs/dbraw/zinc/59/12/31/1046591231.db2.gz XBQOZDLUMVORQM-UHFFFAOYSA-N 0 3 322.452 4.250 20 0 DIADHN Cc1cccc(CCN(C)Cc2cc(C(F)(F)F)ccn2)c1 ZINC001140108226 1046591328 /nfs/dbraw/zinc/59/13/28/1046591328.db2.gz RAGGZWUHVDZCCM-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC[C@@H](n3ccnc3)C2)s1 ZINC000678234667 1046593529 /nfs/dbraw/zinc/59/35/29/1046593529.db2.gz ITGPPDQGTVHIAI-CQSZACIVSA-N 0 3 303.475 4.079 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC[C@@H](n4ccnc4)C3)o2)cc1 ZINC000678235166 1046595849 /nfs/dbraw/zinc/59/58/49/1046595849.db2.gz PGXXXOFJMCTDAI-GOSISDBHSA-N 0 3 321.424 4.289 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1Cc1ccc2c[nH]nc2c1 ZINC000678241618 1046598336 /nfs/dbraw/zinc/59/83/36/1046598336.db2.gz XHUAUPUFGKFKKU-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000642330740 1046598537 /nfs/dbraw/zinc/59/85/37/1046598537.db2.gz MCVONSCQKJCDGI-BBRMVZONSA-N 0 3 310.869 4.028 20 0 DIADHN OCC[C@@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC000678239864 1046598665 /nfs/dbraw/zinc/59/86/65/1046598665.db2.gz IWYODWQOPNSRET-AWEZNQCLSA-N 0 3 319.445 4.149 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCC2CCC2)c2ccccc2)cc1 ZINC000642336456 1046599158 /nfs/dbraw/zinc/59/91/58/1046599158.db2.gz DKKNOXLGLFHKSF-LJQANCHMSA-N 0 3 308.425 4.065 20 0 DIADHN OCC[C@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC000678239865 1046599350 /nfs/dbraw/zinc/59/93/50/1046599350.db2.gz IWYODWQOPNSRET-CQSZACIVSA-N 0 3 319.445 4.149 20 0 DIADHN c1cc2cnccc2c(CN2CCOC[C@@H]2C2CCCCC2)c1 ZINC001140145258 1046599618 /nfs/dbraw/zinc/59/96/18/1046599618.db2.gz HHKNFTKRZRWCHZ-HXUWFJFHSA-N 0 3 310.441 4.016 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC001140155099 1046602063 /nfs/dbraw/zinc/60/20/63/1046602063.db2.gz LZPRCEVEYPVHEA-DOMZBBRYSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc(C)c(OC)c2)c1 ZINC001140160297 1046603362 /nfs/dbraw/zinc/60/33/62/1046603362.db2.gz XHASHHCYRLBJKB-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1ccc(-c3ccncc3)cc1)C2 ZINC001140168952 1046605598 /nfs/dbraw/zinc/60/55/98/1046605598.db2.gz QIRKRFUNWLMYCG-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN c1cc(-c2ccc(CN3CCOC4(CCCCC4)C3)cc2)ccn1 ZINC001140171505 1046606558 /nfs/dbraw/zinc/60/65/58/1046606558.db2.gz PDIWLQBQUZWBAM-UHFFFAOYSA-N 0 3 322.452 4.284 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3F)o2)CCCO1 ZINC000678271753 1046606589 /nfs/dbraw/zinc/60/65/89/1046606589.db2.gz DTYZSDKWBKLWCD-UHFFFAOYSA-N 0 3 303.377 4.087 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN2CCC3(CC2)OCc2ccccc23)o1 ZINC000678285102 1046613199 /nfs/dbraw/zinc/61/31/99/1046613199.db2.gz OYHNINUSHBJBFQ-MAUKXSAKSA-N 0 3 323.436 4.425 20 0 DIADHN Cc1cc(CN2CCSC[C@H]2c2ccccc2)c(C)cc1O ZINC001140200966 1046613501 /nfs/dbraw/zinc/61/35/01/1046613501.db2.gz AOGHRNHTNWZLBF-SFHVURJKSA-N 0 3 313.466 4.299 20 0 DIADHN CCCC[C@@H](CC)CNC(=O)Nc1cccc(CN(C)C)c1 ZINC000642506632 1046615519 /nfs/dbraw/zinc/61/55/19/1046615519.db2.gz HKVYQGGXKYQNOD-OAHLLOKOSA-N 0 3 305.466 4.086 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)C[C@@H]1COc2ccccc2O1 ZINC000642524710 1046617117 /nfs/dbraw/zinc/61/71/17/1046617117.db2.gz PIJZJTFDDGMQOW-CZUORRHYSA-N 0 3 317.816 4.173 20 0 DIADHN Cc1cc(C)c(CN2CC(c3nc4ccccc4[nH]3)C2)cc1C ZINC000678315021 1046619698 /nfs/dbraw/zinc/61/96/98/1046619698.db2.gz SIAKRRIOBOOVEN-UHFFFAOYSA-N 0 3 305.425 4.088 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@@H](O)c1ccc(F)cc1Cl)C1CC1 ZINC000248539857 1046621660 /nfs/dbraw/zinc/62/16/60/1046621660.db2.gz BAWNOOSXTLMHCO-MEDUHNTESA-N 0 3 323.795 4.331 20 0 DIADHN Oc1cccc2[nH]cc(CN3CCC[C@H]3c3ccc(F)cc3)c21 ZINC001140230630 1046621760 /nfs/dbraw/zinc/62/17/60/1046621760.db2.gz ZDHRAFPOGPNDKK-KRWDZBQOSA-N 0 3 310.372 4.350 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1c(C)cc(Cl)cc1C ZINC000678339581 1046622101 /nfs/dbraw/zinc/62/21/01/1046622101.db2.gz RGHUISSGOITZSG-SFHVURJKSA-N 0 3 317.864 4.336 20 0 DIADHN CC(C)CC[C@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000834487515 1046622853 /nfs/dbraw/zinc/62/28/53/1046622853.db2.gz FKGJHJDIZDBFDG-UWVGGRQHSA-N 0 3 305.285 4.381 20 0 DIADHN C[C@H](CNCc1ncc(-c2ccccc2)s1)c1ccncc1 ZINC000678360691 1046626004 /nfs/dbraw/zinc/62/60/04/1046626004.db2.gz MMNHRPXXOHIMSI-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1nc(Br)cs1 ZINC000834534731 1046627423 /nfs/dbraw/zinc/62/74/23/1046627423.db2.gz URXQTGKALQJEHN-UTLUCORTSA-N 0 3 303.269 4.135 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(C)nc2C)cc1F ZINC000678412594 1046630076 /nfs/dbraw/zinc/63/00/76/1046630076.db2.gz YCFUUADAECWJQT-GXTWGEPZSA-N 0 3 302.393 4.258 20 0 DIADHN C[N@H+](Cc1ccncc1[O-])C(c1ccccc1)c1ccccc1 ZINC001140275754 1046635543 /nfs/dbraw/zinc/63/55/43/1046635543.db2.gz QMHSOIZKXVSWMG-UHFFFAOYSA-N 0 3 304.393 4.009 20 0 DIADHN C[N@@H+](Cc1ccncc1[O-])C(c1ccccc1)c1ccccc1 ZINC001140275754 1046635548 /nfs/dbraw/zinc/63/55/48/1046635548.db2.gz QMHSOIZKXVSWMG-UHFFFAOYSA-N 0 3 304.393 4.009 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2n1C ZINC000532980081 1046636081 /nfs/dbraw/zinc/63/60/81/1046636081.db2.gz GWMHTBXEWIPQIJ-ZBFHGGJFSA-N 0 3 323.415 4.090 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccccc2-n2cccn2)c(C)n1 ZINC000678429861 1046637454 /nfs/dbraw/zinc/63/74/54/1046637454.db2.gz ZDFVSAAJFZSMPN-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)c(C)n1 ZINC000678428278 1046637860 /nfs/dbraw/zinc/63/78/60/1046637860.db2.gz QGJPEVPZPZUHHN-RDJZCZTQSA-N 0 3 320.440 4.296 20 0 DIADHN [O-]c1cnccc1C[N@@H+]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001140278319 1046638133 /nfs/dbraw/zinc/63/81/33/1046638133.db2.gz BPYXGJDOSBOHGZ-OAHLLOKOSA-N 0 3 322.330 4.143 20 0 DIADHN [O-]c1cnccc1C[N@H+]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001140278319 1046638139 /nfs/dbraw/zinc/63/81/39/1046638139.db2.gz BPYXGJDOSBOHGZ-OAHLLOKOSA-N 0 3 322.330 4.143 20 0 DIADHN CCSCC[C@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000834611671 1046638250 /nfs/dbraw/zinc/63/82/50/1046638250.db2.gz NIYWWCRWOQYLFE-IUCAKERBSA-N 0 3 323.325 4.088 20 0 DIADHN CC[C@@H](N[C@H](CO)CC(F)F)c1ccc(Cl)c(Cl)c1 ZINC000429447855 1046641384 /nfs/dbraw/zinc/64/13/84/1046641384.db2.gz FVYPPZAMXUSEEN-JOYOIKCWSA-N 0 3 312.187 4.050 20 0 DIADHN CCC[C@H](C)N[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000643202857 1046648626 /nfs/dbraw/zinc/64/86/26/1046648626.db2.gz MHDBYKJOAYGFJY-KSSFIOAISA-N 0 3 314.404 4.284 20 0 DIADHN C[C@H](N[C@H](CO)CC1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000678608507 1046677457 /nfs/dbraw/zinc/67/74/57/1046677457.db2.gz XBURNVUCILAMCG-WPRPVWTQSA-N 0 3 322.663 4.458 20 0 DIADHN CC(C)(Cc1ccccc1Cl)NCc1nc2c(s1)CCC2 ZINC000678495971 1046648892 /nfs/dbraw/zinc/64/88/92/1046648892.db2.gz JVZMGYMTZPDFOK-UHFFFAOYSA-N 0 3 320.889 4.396 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1ccccc1-c1cccnc1)C2 ZINC001140315911 1046651689 /nfs/dbraw/zinc/65/16/89/1046651689.db2.gz OGMLAMLZDFVBNV-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000678535164 1046651981 /nfs/dbraw/zinc/65/19/81/1046651981.db2.gz QPWVLJADIHEKNR-BXUZGUMPSA-N 0 3 305.809 4.153 20 0 DIADHN CN(Cc1ccc(Cl)nc1)Cc1ccccc1-c1cccnc1 ZINC001140320725 1046653341 /nfs/dbraw/zinc/65/33/41/1046653341.db2.gz PFRQOGSPDSHAKZ-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140331180 1046659151 /nfs/dbraw/zinc/65/91/51/1046659151.db2.gz YZLSKEWETYEILE-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2[nH]nc3ccc(C)cc32)cc1 ZINC001140331180 1046659161 /nfs/dbraw/zinc/65/91/61/1046659161.db2.gz YZLSKEWETYEILE-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN CC(C)c1cc(CN[C@H](C)Cc2ccc(F)cc2Cl)on1 ZINC000643346204 1046661152 /nfs/dbraw/zinc/66/11/52/1046661152.db2.gz LOIDPTVQKSUQHI-LLVKDONJSA-N 0 3 310.800 4.311 20 0 DIADHN CC(C)OC1CCN(Cc2cccc(-c3nccs3)c2)CC1 ZINC001140335501 1046662192 /nfs/dbraw/zinc/66/21/92/1046662192.db2.gz ZUUNDHFXFHSFSK-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cccc(-c3nccs3)c1)C2 ZINC001140336206 1046662356 /nfs/dbraw/zinc/66/23/56/1046662356.db2.gz BMEKIIKXHGICNP-HNNXBMFYSA-N 0 3 306.381 4.041 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CCc2ccc(C)cc21 ZINC000678583876 1046662736 /nfs/dbraw/zinc/66/27/36/1046662736.db2.gz BQGMIILDWGSJJW-ROUUACIJSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CCc2c1cccc2C ZINC000678583335 1046663440 /nfs/dbraw/zinc/66/34/40/1046663440.db2.gz XJIYOIUZSUOCGR-ROUUACIJSA-N 0 3 309.409 4.104 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1cc2ccccc2o1 ZINC000678586284 1046664016 /nfs/dbraw/zinc/66/40/16/1046664016.db2.gz XSAQONWJJGQOGO-XOBRGWDASA-N 0 3 307.393 4.397 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1c2ccccc2C[C@@H]1C ZINC000678585705 1046664282 /nfs/dbraw/zinc/66/42/82/1046664282.db2.gz JHVNAPHGGXDFHC-IXDGSTSKSA-N 0 3 309.409 4.042 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1cc2ccccc2o1 ZINC000678586282 1046664544 /nfs/dbraw/zinc/66/45/44/1046664544.db2.gz XSAQONWJJGQOGO-VLIAUNLRSA-N 0 3 307.393 4.397 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1ccc(F)cc1Cl ZINC000678586210 1046664757 /nfs/dbraw/zinc/66/47/57/1046664757.db2.gz WKXAPRKZNNEVDO-XIKOKIGWSA-N 0 3 319.807 4.444 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc(F)cc2Cl)c(C)s1 ZINC000678590617 1046665658 /nfs/dbraw/zinc/66/56/58/1046665658.db2.gz ZLFKWQVSIVJKJE-VIFPVBQESA-N 0 3 312.841 4.446 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc(F)cc2Cl)c(C)s1 ZINC000678590616 1046666524 /nfs/dbraw/zinc/66/65/24/1046666524.db2.gz ZLFKWQVSIVJKJE-SECBINFHSA-N 0 3 312.841 4.446 20 0 DIADHN c1ccc2cc(CNC3(c4ccc5c(c4)OCO5)CC3)ccc2c1 ZINC000533208170 1046668388 /nfs/dbraw/zinc/66/83/88/1046668388.db2.gz JXWXTRVTNKXGTN-UHFFFAOYSA-N 0 3 317.388 4.347 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2NCc1cccc2c1CCCN2 ZINC000643532193 1046671671 /nfs/dbraw/zinc/67/16/71/1046671671.db2.gz DUVULCZUZBLNJY-IBGZPJMESA-N 0 3 314.379 4.100 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(C)cc32)cc1Cl ZINC000678598475 1046672186 /nfs/dbraw/zinc/67/21/86/1046672186.db2.gz ITDQFFLRZYRZKA-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@H](NCCC(C)(C)C1CC1)c1nc(Br)cs1 ZINC000834814002 1046673382 /nfs/dbraw/zinc/67/33/82/1046673382.db2.gz CDNJFVOLHPRWHW-VIFPVBQESA-N 0 3 317.296 4.383 20 0 DIADHN CCC[C@@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000678601467 1046674217 /nfs/dbraw/zinc/67/42/17/1046674217.db2.gz XLJLYRROBUWOQP-MOPGFXCFSA-N 0 3 314.473 4.051 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000678606044 1046675671 /nfs/dbraw/zinc/67/56/71/1046675671.db2.gz DQNVPBGFCRWTDB-IIBYNOLFSA-N 0 3 324.468 4.311 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(-c3ccccn3)cc2)cc1 ZINC001140379262 1046677890 /nfs/dbraw/zinc/67/78/90/1046677890.db2.gz NYIRELNVZACUDQ-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN c1ccc(-c2ccc(CN3CCc4ccccc4C3)cc2)nc1 ZINC001140377540 1046678300 /nfs/dbraw/zinc/67/83/00/1046678300.db2.gz RQFLQMWVLWVIHM-UHFFFAOYSA-N 0 3 300.405 4.307 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc3ccc(C)cn23)cc1 ZINC000533252758 1046679018 /nfs/dbraw/zinc/67/90/18/1046679018.db2.gz CLLDUCJTJMFGOD-UHFFFAOYSA-N 0 3 307.441 4.230 20 0 DIADHN CC1(C)CCC2(CCN(Cc3c[nH]c4ccc(F)cc34)CC2)O1 ZINC001137342560 1046685786 /nfs/dbraw/zinc/68/57/86/1046685786.db2.gz COHXUZYEOKJLLM-UHFFFAOYSA-N 0 3 316.420 4.231 20 0 DIADHN CC1(C)OCCC12CCN(Cc1c[nH]c3ccc(F)cc13)CC2 ZINC001137343085 1046686257 /nfs/dbraw/zinc/68/62/57/1046686257.db2.gz ZVWBICHKALRXMX-UHFFFAOYSA-N 0 3 316.420 4.088 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(OCC2CCCCC2)CC1 ZINC000533290878 1046687195 /nfs/dbraw/zinc/68/71/95/1046687195.db2.gz UWQBDUZKCIVTOQ-INIZCTEOSA-N 0 3 302.462 4.204 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CCC[C@H]3CCC[C@H]32)cn1 ZINC001140398827 1046688397 /nfs/dbraw/zinc/68/83/97/1046688397.db2.gz AFZDGMWSIVOQCZ-CRAIPNDOSA-N 0 3 311.404 4.047 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc(Cl)c(N)c(Cl)c2)ccn1 ZINC000834859431 1046689036 /nfs/dbraw/zinc/68/90/36/1046689036.db2.gz CBURXFPDXRFRQP-SNVBAGLBSA-N 0 3 310.228 4.130 20 0 DIADHN C[C@@H]1C[C@@H](C)N(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000533294587 1046689154 /nfs/dbraw/zinc/68/91/54/1046689154.db2.gz AJSBGCWINLMYSQ-HZPDHXFCSA-N 0 3 308.425 4.022 20 0 DIADHN FC(F)(F)CCN1CC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000784484628 1046691669 /nfs/dbraw/zinc/69/16/69/1046691669.db2.gz GICKMPXWZKNEKN-NSHDSACASA-N 0 3 311.269 4.447 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3cncc4ccccc43)C2)c1 ZINC000784489926 1046692443 /nfs/dbraw/zinc/69/24/43/1046692443.db2.gz ZVHYUSNLTUTFCT-IBGZPJMESA-N 0 3 322.383 4.027 20 0 DIADHN FC1(F)CCN(Cc2ccc(-c3ccc(Cl)nn3)cc2)CC1 ZINC001140410059 1046693698 /nfs/dbraw/zinc/69/36/98/1046693698.db2.gz IQYHULCHHUOBMI-UHFFFAOYSA-N 0 3 323.774 4.028 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@]2(C)CC2(Cl)Cl)c(F)c1 ZINC000834883105 1046696845 /nfs/dbraw/zinc/69/68/45/1046696845.db2.gz CIJXLRRFPKCPQH-NOZJJQNGSA-N 0 3 306.208 4.069 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1cnn(-c2ccccc2)c1 ZINC000834884122 1046697163 /nfs/dbraw/zinc/69/71/63/1046697163.db2.gz KKXOKEUCMZBNJR-WFASDCNBSA-N 0 3 324.255 4.107 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1cnn(-c2ccccc2)c1 ZINC000834884120 1046697366 /nfs/dbraw/zinc/69/73/66/1046697366.db2.gz KKXOKEUCMZBNJR-IUODEOHRSA-N 0 3 324.255 4.107 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)C[C@H](C)CC)co2)cc1 ZINC000533329263 1046697846 /nfs/dbraw/zinc/69/78/46/1046697846.db2.gz JBUGOYJJGZRYNK-CQSZACIVSA-N 0 3 302.418 4.218 20 0 DIADHN C[C@@H](c1cccs1)N(C)C[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000095088793 1046698503 /nfs/dbraw/zinc/69/85/03/1046698503.db2.gz PISOPRIQDUDSIB-KBPBESRZSA-N 0 3 324.490 4.140 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1c2ccccc2CC[C@H]1C ZINC000678770106 1046698773 /nfs/dbraw/zinc/69/87/73/1046698773.db2.gz LCGMDYUVCUXPCP-RFVSGWPVSA-N 0 3 323.436 4.432 20 0 DIADHN c1ccc2sc([C@H]3CCCN(Cc4cccnc4)C3)nc2c1 ZINC000533334789 1046698755 /nfs/dbraw/zinc/69/87/55/1046698755.db2.gz ARAZFASGWMVQNY-HNNXBMFYSA-N 0 3 309.438 4.071 20 0 DIADHN N[C@@H]1CCCc2cn(Cc3sc4ccccc4c3Cl)nc21 ZINC000644167915 1046701475 /nfs/dbraw/zinc/70/14/75/1046701475.db2.gz PNIBOXGURDWDHN-GFCCVEGCSA-N 0 3 317.845 4.136 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCCOc1cccc(Cl)c1 ZINC000678805531 1046705014 /nfs/dbraw/zinc/70/50/14/1046705014.db2.gz WEOKSMMJKDNKSU-NSHDSACASA-N 0 3 308.809 4.065 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(Cl)cc1Cl)CC1CC1 ZINC000533357447 1046706072 /nfs/dbraw/zinc/70/60/72/1046706072.db2.gz BVRBYLZEKOGYRX-UHFFFAOYSA-N 0 3 315.244 4.052 20 0 DIADHN Fc1cccc(-c2ncc(CN3CCCCC34CC4)s2)c1 ZINC001140419685 1046706667 /nfs/dbraw/zinc/70/66/67/1046706667.db2.gz LKSFWXGEFSAMEA-UHFFFAOYSA-N 0 3 302.418 4.468 20 0 DIADHN C[C@H](NC1(Cc2ccc(F)cc2)CC1)c1cccnc1Cl ZINC000834897544 1046708050 /nfs/dbraw/zinc/70/80/50/1046708050.db2.gz SYINFYCMMOGJEM-LBPRGKRZSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H]2CCc3cc(Cl)ccc32)CC1 ZINC000796063778 1046708573 /nfs/dbraw/zinc/70/85/73/1046708573.db2.gz USGVGSCAIHHHHK-GOSISDBHSA-N 0 3 315.848 4.238 20 0 DIADHN CN(CC[C@@H](O)c1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC000796047439 1046710570 /nfs/dbraw/zinc/71/05/70/1046710570.db2.gz JHYURIGITGHUGY-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN CCC[C@H](C)CN1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000678819471 1046710464 /nfs/dbraw/zinc/71/04/64/1046710464.db2.gz ZELPZEJARPIEEI-DCAQKATOSA-N 0 3 305.306 4.485 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N(C)CC1CCCC1 ZINC000533398546 1046711947 /nfs/dbraw/zinc/71/19/47/1046711947.db2.gz WPZMHMKDHLRBMG-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N(C)C[C@H](C)c1ccccc1 ZINC000533400400 1046712093 /nfs/dbraw/zinc/71/20/93/1046712093.db2.gz RPCPJVMFKSBRMG-IRXDYDNUSA-N 0 3 324.468 4.312 20 0 DIADHN Cc1cccc(CN(Cc2n[nH]c3ccccc32)C2CC2)c1C ZINC001137352077 1046712111 /nfs/dbraw/zinc/71/21/11/1046712111.db2.gz PWPQQJDPHBPBCG-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN Cc1cccc(CN(Cc2[nH]nc3ccccc32)C2CC2)c1C ZINC001137352077 1046712124 /nfs/dbraw/zinc/71/21/24/1046712124.db2.gz PWPQQJDPHBPBCG-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC(C)(C)c1ccccc1 ZINC000678823225 1046712390 /nfs/dbraw/zinc/71/23/90/1046712390.db2.gz NWJJDMZNEZTIRN-UHFFFAOYSA-N 0 3 313.470 4.114 20 0 DIADHN CC[C@@H](NCc1cnc(C)cc1C)c1ccc2c(c1)CCCO2 ZINC000834903459 1046713569 /nfs/dbraw/zinc/71/35/69/1046713569.db2.gz PQGUXHXFCKRJSJ-LJQANCHMSA-N 0 3 310.441 4.264 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2c(s1)CCC2 ZINC000678838380 1046716688 /nfs/dbraw/zinc/71/66/88/1046716688.db2.gz VYSZQGHEFPHXLQ-HOCLYGCPSA-N 0 3 312.482 4.085 20 0 DIADHN COc1c(C)cc([C@H](C)N[C@@H](C)c2cccnc2)cc1Cl ZINC000796670345 1046717205 /nfs/dbraw/zinc/71/72/05/1046717205.db2.gz CNSZMLYMZHPNGG-STQMWFEESA-N 0 3 304.821 4.464 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc2c(s1)CCC2 ZINC000678835840 1046717546 /nfs/dbraw/zinc/71/75/46/1046717546.db2.gz OMPYHJHOGBCOQK-HNNXBMFYSA-N 0 3 300.471 4.085 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1Cl)N(C)CC(C)(C)C ZINC000533416295 1046718645 /nfs/dbraw/zinc/71/86/45/1046718645.db2.gz UBMBHTFGHVQDLP-JTQLQIEISA-N 0 3 317.260 4.298 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@@H](C)C[C@H]3C)cs2)c1 ZINC000533431121 1046722147 /nfs/dbraw/zinc/72/21/47/1046722147.db2.gz OOEFJZQUAXWVPP-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1cnc(C)c(C(C)=O)c1 ZINC001211991570 1046722570 /nfs/dbraw/zinc/72/25/70/1046722570.db2.gz CKJDEAMSQUPMHE-UHFFFAOYSA-N 0 3 311.429 4.178 20 0 DIADHN CC[C@@H](NCc1nnc(-c2cc(C)oc2C)o1)C1CCCCC1 ZINC000678896468 1046726914 /nfs/dbraw/zinc/72/69/14/1046726914.db2.gz IYMJVEKZHRFUMR-MRXNPFEDSA-N 0 3 317.433 4.395 20 0 DIADHN Cc1ccc(Cl)nc1CN1CCC[C@H]1Cc1ccc(F)cc1 ZINC001140448212 1046728240 /nfs/dbraw/zinc/72/82/40/1046728240.db2.gz HYSRFJVQCCDBRG-INIZCTEOSA-N 0 3 318.823 4.390 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2-c2nccs2)CCC1(F)F ZINC001140449705 1046728430 /nfs/dbraw/zinc/72/84/30/1046728430.db2.gz VHPSBLWKPXJHJV-GFCCVEGCSA-N 0 3 308.397 4.287 20 0 DIADHN FC(F)C1CCN(Cc2ccccc2-c2nccs2)CC1 ZINC001140453737 1046731569 /nfs/dbraw/zinc/73/15/69/1046731569.db2.gz KEVRGXNYAUISKA-UHFFFAOYSA-N 0 3 308.397 4.287 20 0 DIADHN c1csc(-c2ccccc2CN2CC(c3ccccc3)C2)n1 ZINC001140452619 1046731749 /nfs/dbraw/zinc/73/17/49/1046731749.db2.gz LUWUXFQZIZTCSB-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1c[nH]c2ncc(Br)cc12 ZINC001140457789 1046733497 /nfs/dbraw/zinc/73/34/97/1046733497.db2.gz NZXPXRIKYSYRGU-PHIMTYICSA-N 0 3 322.250 4.088 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H]2CCc3ccc(C)cc32)s1 ZINC000678931647 1046736820 /nfs/dbraw/zinc/73/68/20/1046736820.db2.gz NTYULZJPHCQSTC-QGZVFWFLSA-N 0 3 315.486 4.075 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000678932182 1046738040 /nfs/dbraw/zinc/73/80/40/1046738040.db2.gz XKBKYXBSDMKVBC-USBNGQNGSA-N 0 3 308.422 4.017 20 0 DIADHN CC(C)N(Cc1nc2cc(Cl)ccc2c(=O)[nH]1)C1CCCC1 ZINC000533504931 1046738240 /nfs/dbraw/zinc/73/82/40/1046738240.db2.gz NAHBRWOKFYRNNF-UHFFFAOYSA-N 0 3 319.836 4.142 20 0 DIADHN C[C@H]1SCC[C@@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001203039760 1046738728 /nfs/dbraw/zinc/73/87/28/1046738728.db2.gz UJBQZSPITPZBGT-PELKAZGASA-N 0 3 309.784 4.342 20 0 DIADHN CCn1nccc1CN[C@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000678939451 1046740873 /nfs/dbraw/zinc/74/08/73/1046740873.db2.gz UGPOJKOBLKKIPL-INIZCTEOSA-N 0 3 323.362 4.163 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000533498940 1046741431 /nfs/dbraw/zinc/74/14/31/1046741431.db2.gz AZTJWRAKTXZXEE-VHSSKADRSA-N 0 3 301.817 4.196 20 0 DIADHN c1csc(-c2nc(CN(CC3CC3)CC3CC3)cs2)c1 ZINC000533518700 1046743287 /nfs/dbraw/zinc/74/32/87/1046743287.db2.gz VFRXKXDZJNMWNW-UHFFFAOYSA-N 0 3 304.484 4.494 20 0 DIADHN CC[NH+](CC)Cc1ccccc1Nc1ccc([O-])c(F)c1F ZINC001211993709 1046746987 /nfs/dbraw/zinc/74/69/87/1046746987.db2.gz BMVNLCSMOOSAQJ-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN COc1ccc(CN2CCCCC23CC3)cc1OCC1CC1 ZINC001140490711 1046751686 /nfs/dbraw/zinc/75/16/86/1046751686.db2.gz AIBYVVHVDGVABG-UHFFFAOYSA-N 0 3 301.430 4.003 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3noc4c3CCCC4)C2)cc1 ZINC000645007999 1046754530 /nfs/dbraw/zinc/75/45/30/1046754530.db2.gz RPRBNLYCBRYSHD-QGZVFWFLSA-N 0 3 310.441 4.105 20 0 DIADHN C[C@H]1CC2(CC(NCc3cc(Cl)sc3Cl)C2)CO1 ZINC000925292269 1046756042 /nfs/dbraw/zinc/75/60/42/1046756042.db2.gz GMBXYVZNXJTECE-LUHBLDKBSA-N 0 3 306.258 4.102 20 0 DIADHN COc1cccc2c1CC[C@H]2NC1(c2ccc(F)cc2)CCC1 ZINC000679016194 1046757797 /nfs/dbraw/zinc/75/77/97/1046757797.db2.gz MUVWCORAONRJPL-GOSISDBHSA-N 0 3 311.400 4.491 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@H]3c3ccccc3F)ccc21 ZINC001140507611 1046758071 /nfs/dbraw/zinc/75/80/71/1046758071.db2.gz JVLXEDYOKQVMQV-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN CC1CCN(c2cccc(N[C@@H]3CCCc4[nH]ncc43)c2)CC1 ZINC000796925132 1046759088 /nfs/dbraw/zinc/75/90/88/1046759088.db2.gz IAYOLTFRMHVELC-GOSISDBHSA-N 0 3 310.445 4.136 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H](C)[C@@H]2CCCCO2)o1 ZINC000679029441 1046761148 /nfs/dbraw/zinc/76/11/48/1046761148.db2.gz QCYGJVZKWCTIDA-KSSFIOAISA-N 0 3 317.404 4.441 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@@H]2c2ccccc2C)s1 ZINC001140518184 1046762616 /nfs/dbraw/zinc/76/26/16/1046762616.db2.gz HBXOSCLZMKPPHN-MRXNPFEDSA-N 0 3 315.438 4.180 20 0 DIADHN CCc1ccc(CN2CCC(c3ccc(F)c(F)c3)CC2)nc1 ZINC000645083121 1046764166 /nfs/dbraw/zinc/76/41/66/1046764166.db2.gz FACKYHJNCSRPLK-UHFFFAOYSA-N 0 3 316.395 4.302 20 0 DIADHN COc1ccc(F)c(CNC2CC(c3ccccc3F)C2)c1 ZINC000645154751 1046770592 /nfs/dbraw/zinc/77/05/92/1046770592.db2.gz QYPDFGDXCKETDV-UHFFFAOYSA-N 0 3 303.352 4.009 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@@H](C)c2ccc3ccccc3n2)cc1 ZINC000797014762 1046771394 /nfs/dbraw/zinc/77/13/94/1046771394.db2.gz DWZPNFMNVYRYPF-BTYIYWSLSA-N 0 3 320.436 4.181 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2ccc(C3CCC3)cc2)C1 ZINC000645169382 1046773245 /nfs/dbraw/zinc/77/32/45/1046773245.db2.gz ZQPMMBZXICMZOK-OAQYLSRUSA-N 0 3 306.453 4.230 20 0 DIADHN CCc1ccc([C@H](O)CN[C@H](C)c2csc(Cl)c2)cc1 ZINC000797020639 1046773255 /nfs/dbraw/zinc/77/32/55/1046773255.db2.gz WMFPHUYFSOLUDF-IAQYHMDHSA-N 0 3 309.862 4.348 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@H]1CCCCO1 ZINC000679092784 1046774151 /nfs/dbraw/zinc/77/41/51/1046774151.db2.gz SZZWFVVYIIIDRP-JKIFEVAISA-N 0 3 316.470 4.418 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](C)[C@H]1CCCCO1 ZINC000679092030 1046774273 /nfs/dbraw/zinc/77/42/73/1046774273.db2.gz KDNGLDAYSRUHNI-HEHGZKQESA-N 0 3 311.853 4.347 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N(C)CCc1ncc(C)s1 ZINC000533670379 1046774371 /nfs/dbraw/zinc/77/43/71/1046774371.db2.gz LLRAAZOHKQHZDX-HNNXBMFYSA-N 0 3 310.413 4.355 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)c1 ZINC000533689847 1046786211 /nfs/dbraw/zinc/78/62/11/1046786211.db2.gz KNGQYQDMXKRNGI-RCCFBDPRSA-N 0 3 314.473 4.293 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2ccc(O)c(C)c2)CC1 ZINC001140574689 1046789287 /nfs/dbraw/zinc/78/92/87/1046789287.db2.gz NQPGPUMSSCJAMG-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN CC(=O)Nc1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1 ZINC000069878684 1046791147 /nfs/dbraw/zinc/79/11/47/1046791147.db2.gz PJNBLDXEMNFNIM-UHFFFAOYSA-N 0 3 314.816 4.077 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1cccc(C2CC2)c1 ZINC000645428764 1046791357 /nfs/dbraw/zinc/79/13/57/1046791357.db2.gz ZINVYHOGRVYZBZ-VFNWGFHPSA-N 0 3 306.453 4.401 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc(O)c(C)c2)c1 ZINC001140579150 1046792126 /nfs/dbraw/zinc/79/21/26/1046792126.db2.gz MPGOPSYQHSJTMC-IBGZPJMESA-N 0 3 311.425 4.436 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CC[C@@H](C)[C@H](F)C2)c(C)c1 ZINC000679225395 1046794833 /nfs/dbraw/zinc/79/48/33/1046794833.db2.gz XLWIKVRQFBWUGA-RHSMWYFYSA-N 0 3 320.452 4.010 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(n2ncc3ccccc32)CC1 ZINC001140594853 1046802176 /nfs/dbraw/zinc/80/21/76/1046802176.db2.gz RSGIIGPWAZKIRW-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Cc1cccc(-c2ccc(CNCc3ccc(CO)cc3)cc2)c1 ZINC000679284211 1046804954 /nfs/dbraw/zinc/80/49/54/1046804954.db2.gz ZBCZMKOHUZKWPQ-UHFFFAOYSA-N 0 3 317.432 4.444 20 0 DIADHN COCc1ccc(CNCc2ccc(Cl)c(Cl)c2)cc1 ZINC000237217533 1046806283 /nfs/dbraw/zinc/80/62/83/1046806283.db2.gz QGIOIDXSMINMSE-UHFFFAOYSA-N 0 3 310.224 4.430 20 0 DIADHN COCCCCN1CCc2sccc2[C@@H]1c1cccs1 ZINC000074329839 1046807440 /nfs/dbraw/zinc/80/74/40/1046807440.db2.gz WYSIIQRBSSZBQE-MRXNPFEDSA-N 0 3 307.484 4.184 20 0 DIADHN C[C@H](c1cccc(NC(=O)c2ccccc2)c1)N1CC=CCC1 ZINC000679324222 1046808550 /nfs/dbraw/zinc/80/85/50/1046808550.db2.gz PLNMIVHLTBXWCZ-MRXNPFEDSA-N 0 3 306.409 4.262 20 0 DIADHN C[NH+]1CCC(c2ccc(Nc3ccc([O-])c(F)c3F)cc2)CC1 ZINC001212007450 1046812704 /nfs/dbraw/zinc/81/27/04/1046812704.db2.gz FTACBBMVQRDDMV-UHFFFAOYSA-N 0 3 318.367 4.223 20 0 DIADHN C[C@@H](c1ncc(-c2ccc(Cl)cc2)o1)N(C)C[C@H]1CCOC1 ZINC000246588115 1046813229 /nfs/dbraw/zinc/81/32/29/1046813229.db2.gz GLZLXKHVGLSKML-QWHCGFSZSA-N 0 3 320.820 4.024 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CCC[C@H]3CCOC3)nc2c1 ZINC000645772244 1046816507 /nfs/dbraw/zinc/81/65/07/1046816507.db2.gz LMTRTSFVUYEGQF-GOEBONIOSA-N 0 3 316.470 4.250 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1c2cc(C)ccc2C[C@@H]1C ZINC000679344361 1046817858 /nfs/dbraw/zinc/81/78/58/1046817858.db2.gz SKBBDRJOSJIOSY-ONTRVFCTSA-N 0 3 323.436 4.350 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1c2cc(C)ccc2C[C@H]1C ZINC000679344363 1046818083 /nfs/dbraw/zinc/81/80/83/1046818083.db2.gz SKBBDRJOSJIOSY-XWRIVVANSA-N 0 3 323.436 4.350 20 0 DIADHN FC[C@@H]1CN(Cc2csc3ccccc23)C[C@H]1C(F)(F)F ZINC001140636421 1046820359 /nfs/dbraw/zinc/82/03/59/1046820359.db2.gz HXNKJXPIBRQBNS-ZWNOBZJWSA-N 0 3 317.351 4.481 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1csc3ccccc13)C2 ZINC001140636456 1046821373 /nfs/dbraw/zinc/82/13/73/1046821373.db2.gz KBNAGCLUHUIZGH-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CN(C)Cc1cnc(CNC[C@H]2CCCC[C@H]2C(C)(C)C)s1 ZINC000796277058 1046822072 /nfs/dbraw/zinc/82/20/72/1046822072.db2.gz BPTXIGRIOSCQHD-GDBMZVCRSA-N 0 3 323.550 4.147 20 0 DIADHN CN(C)Cc1cnc(CNC[C@@H]2CCCC[C@H]2C(C)(C)C)s1 ZINC000796277059 1046822260 /nfs/dbraw/zinc/82/22/60/1046822260.db2.gz BPTXIGRIOSCQHD-GOEBONIOSA-N 0 3 323.550 4.147 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(OC(F)F)c(O)c2)cc1C ZINC001140630652 1046822132 /nfs/dbraw/zinc/82/21/32/1046822132.db2.gz ITLMQWRFNRYWBA-UHFFFAOYSA-N 0 3 321.367 4.242 20 0 DIADHN CC(C)(CO)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000679357136 1046824972 /nfs/dbraw/zinc/82/49/72/1046824972.db2.gz XHGMKURSIOMXGY-KRWDZBQOSA-N 0 3 321.823 4.177 20 0 DIADHN Cc1cc(CN2CC=CCC2)cc(C)c1OCc1ccccn1 ZINC000679358256 1046826084 /nfs/dbraw/zinc/82/60/84/1046826084.db2.gz FYOPIKGVFJFYPJ-UHFFFAOYSA-N 0 3 308.425 4.039 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CCC(C)(C)C[C@@H]1C ZINC000247261173 1046828036 /nfs/dbraw/zinc/82/80/36/1046828036.db2.gz ZFQLLLJPUAJBAF-KKUMJFAQSA-N 0 3 304.482 4.041 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@H](C)c2nc(C)sc2C)CCO1 ZINC000679360842 1046829077 /nfs/dbraw/zinc/82/90/77/1046829077.db2.gz LSCSOBDRYBVKPZ-RLCCDNCMSA-N 0 3 310.507 4.394 20 0 DIADHN c1c2ccccc2oc1[C@@H](NCC[C@H]1CCCO1)c1cccnc1 ZINC000679375296 1046830481 /nfs/dbraw/zinc/83/04/81/1046830481.db2.gz XOUAZBPDFJKCIL-XLIONFOSSA-N 0 3 322.408 4.076 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2cccc3ccncc32)cc1 ZINC001140643532 1046830997 /nfs/dbraw/zinc/83/09/97/1046830997.db2.gz ANFZVMXHELNVON-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc2ccncc21 ZINC001140644281 1046831183 /nfs/dbraw/zinc/83/11/83/1046831183.db2.gz GVTCYUHWCATIKK-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@@H](C)[C@H]1C ZINC000248344645 1046839043 /nfs/dbraw/zinc/83/90/43/1046839043.db2.gz LVMSJEVMRVUITD-BMFZPTHFSA-N 0 3 302.462 4.176 20 0 DIADHN Cc1cccc(C2(NCC(=O)Nc3c(C)cccc3C)CCC2)c1 ZINC000646021752 1046844249 /nfs/dbraw/zinc/84/42/49/1046844249.db2.gz ZRFNAWXYHXYAAO-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN CC(=O)Nc1cccc(CNC2(c3cccc(C)c3)CCC2)c1 ZINC000646021590 1046844540 /nfs/dbraw/zinc/84/45/40/1046844540.db2.gz NBMZQQCOEXAFLJ-UHFFFAOYSA-N 0 3 308.425 4.122 20 0 DIADHN OCc1ccc(CN2CCC(CCCc3ccccc3)CC2)o1 ZINC001140680521 1046848000 /nfs/dbraw/zinc/84/80/00/1046848000.db2.gz KBIFICOCZLEBOG-UHFFFAOYSA-N 0 3 313.441 4.007 20 0 DIADHN c1cc([C@@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)ccn1 ZINC000248882767 1046855625 /nfs/dbraw/zinc/85/56/25/1046855625.db2.gz XFKCXSNEHOTUAS-MSOLQXFVSA-N 0 3 300.446 4.100 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CC2(C[C@@H]1C)CCOCC2 ZINC000646096094 1046858304 /nfs/dbraw/zinc/85/83/04/1046858304.db2.gz ILIARPPPXGLXQV-STQMWFEESA-N 0 3 311.828 4.431 20 0 DIADHN CN(Cc1ccc(Br)c(F)c1F)CC1CCCC1 ZINC000701300324 1046883106 /nfs/dbraw/zinc/88/31/06/1046883106.db2.gz QJGCENTVEJTGAR-UHFFFAOYSA-N 0 3 318.205 4.349 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1CCSc1ccccc1 ZINC000679544157 1046863657 /nfs/dbraw/zinc/86/36/57/1046863657.db2.gz GQIQNAKAFAYBRG-QWHCGFSZSA-N 0 3 303.393 4.442 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1CCCOc1ccc(F)cc1 ZINC000679546920 1046866153 /nfs/dbraw/zinc/86/61/53/1046866153.db2.gz WLXYQMHYRBBIFT-QWHCGFSZSA-N 0 3 319.342 4.257 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3Cl)cc(Cl)n1 ZINC000797597335 1046867256 /nfs/dbraw/zinc/86/72/56/1046867256.db2.gz CSIYJWGUZXWWBW-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC(c3cccnc3)CC2)c1 ZINC000646197097 1046873002 /nfs/dbraw/zinc/87/30/02/1046873002.db2.gz QVTAIECACRMJDA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN COc1cccc(Cl)c1CN1CC[C@@H](c2ccccc2)C1 ZINC001140725566 1046877764 /nfs/dbraw/zinc/87/77/64/1046877764.db2.gz BVQSXVWRILWYLI-OAHLLOKOSA-N 0 3 301.817 4.338 20 0 DIADHN CCCCCOc1ccc([C@H](C)NC2CSC2)cc1OC ZINC000679624544 1046884427 /nfs/dbraw/zinc/88/44/27/1046884427.db2.gz CGPQUQLNOZQLHD-ZDUSSCGKSA-N 0 3 309.475 4.030 20 0 DIADHN C[C@@H](NC1CSC1)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000679626089 1046885864 /nfs/dbraw/zinc/88/58/64/1046885864.db2.gz RDCFIHQMDWVOKH-CYBMUJFWSA-N 0 3 317.429 4.171 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(Sc2ccncc2)CC1 ZINC001140782288 1046895209 /nfs/dbraw/zinc/89/52/09/1046895209.db2.gz SPNLKJIAFKKHFR-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(C)nc2N2CCCC2)c1 ZINC000679722567 1046895226 /nfs/dbraw/zinc/89/52/26/1046895226.db2.gz FRHGTBQWOPFUFU-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN CC[C@@H](NCc1ccc(C)nc1N1CCCC1)c1ccccc1 ZINC000679722813 1046895925 /nfs/dbraw/zinc/89/59/25/1046895925.db2.gz GXUJEOLWCIFMTP-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C(C)C)c(N2CCCC2)n1 ZINC000679723985 1046896885 /nfs/dbraw/zinc/89/68/85/1046896885.db2.gz PEJTYAFEHQVDEF-HXUWFJFHSA-N 0 3 323.484 4.477 20 0 DIADHN Cc1ccc(CN[C@@H]2CCc3c2cccc3C)c(N2CCCC2)n1 ZINC000679724057 1046897210 /nfs/dbraw/zinc/89/72/10/1046897210.db2.gz QNZFYYFJUMPWRH-HXUWFJFHSA-N 0 3 321.468 4.076 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1nc2ccccc2nc1C ZINC000521222513 1046898434 /nfs/dbraw/zinc/89/84/34/1046898434.db2.gz DSSOYONVUMUSRI-UHFFFAOYSA-N 0 3 305.425 4.269 20 0 DIADHN CO[C@@H]1CCCN([C@@H](C)c2cccc(Br)c2)CC1 ZINC000679952831 1046917182 /nfs/dbraw/zinc/91/71/82/1046917182.db2.gz DOLWRCZWZRCGKQ-SWLSCSKDSA-N 0 3 312.251 4.011 20 0 DIADHN COc1ccc(CN2CCC3(CCOC3(C)C)CC2)c(Cl)c1 ZINC001140822685 1046915847 /nfs/dbraw/zinc/91/58/47/1046915847.db2.gz DUYRMBWWYKNWSG-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1cccnc1Cl ZINC000797996500 1046916373 /nfs/dbraw/zinc/91/63/73/1046916373.db2.gz IJHQUYOQFQMKSZ-JHJVBQTASA-N 0 3 304.796 4.471 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cccnc1Cl ZINC000797999055 1046918015 /nfs/dbraw/zinc/91/80/15/1046918015.db2.gz ONAWCDONVVJNKV-UXIGCNINSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1c[nH]c(CN2CCC3(C[C@H](c4ccccc4)CO3)CC2)c1C ZINC001140861307 1046934871 /nfs/dbraw/zinc/93/48/71/1046934871.db2.gz JOKGSPPQXPDQCI-IBGZPJMESA-N 0 3 324.468 4.170 20 0 DIADHN CN(C)C(=O)c1ccc(Nc2ccnc(C3CC3)c2)cc1Cl ZINC001203073493 1046938706 /nfs/dbraw/zinc/93/87/06/1046938706.db2.gz XFLZSPOAJWVILP-UHFFFAOYSA-N 0 3 315.804 4.058 20 0 DIADHN Nc1cccc(F)c1CN1CCC(Cc2cccc(F)c2)CC1 ZINC001140877293 1046939039 /nfs/dbraw/zinc/93/90/39/1046939039.db2.gz IGAWMIONBNMMGB-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN Fc1cc(CN2C[C@H]3CCC[C@@H]3C2)cc(F)c1C(F)(F)F ZINC001140877473 1046939996 /nfs/dbraw/zinc/93/99/96/1046939996.db2.gz ARSGVIUDHJYQBQ-GHMZBOCLSA-N 0 3 305.290 4.216 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C(F)=C/C2CCCCC2)c1 ZINC000798280235 1046943907 /nfs/dbraw/zinc/94/39/07/1046943907.db2.gz MKTNPINJDQVFCC-ATVHPVEESA-N 0 3 304.409 4.120 20 0 DIADHN Oc1ccc([C@H]2CCCN2CCc2cccc(F)c2F)cc1 ZINC000680062599 1046944880 /nfs/dbraw/zinc/94/48/80/1046944880.db2.gz QAPNAWCYULTGQP-QGZVFWFLSA-N 0 3 303.352 4.050 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(Cl)cc2)cc1N ZINC001140898903 1046946341 /nfs/dbraw/zinc/94/63/41/1046946341.db2.gz CTOBROHTWCGGLB-QGZVFWFLSA-N 0 3 316.832 4.268 20 0 DIADHN CC(C)Cn1nccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000647168107 1046947802 /nfs/dbraw/zinc/94/78/02/1046947802.db2.gz HJWYOURWRYEVAM-SFHVURJKSA-N 0 3 317.864 4.433 20 0 DIADHN Cc1cccc(CCN(C)Cc2cnccc2C(F)(F)F)c1 ZINC001140919429 1046950600 /nfs/dbraw/zinc/95/06/00/1046950600.db2.gz RBAVXAQPGMNUER-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1cc(CNC(CCC(F)(F)F)CCC(F)(F)F)no1 ZINC000827371280 1046951784 /nfs/dbraw/zinc/95/17/84/1046951784.db2.gz RMRNMUDQMRIQEC-UHFFFAOYSA-N 0 3 318.261 4.126 20 0 DIADHN Cc1cccc(NC(=O)CN(C)[C@H](C)CCc2ccccc2)c1C ZINC000615086086 1046952168 /nfs/dbraw/zinc/95/21/68/1046952168.db2.gz JSOVAAUQXWNYSY-QGZVFWFLSA-N 0 3 324.468 4.195 20 0 DIADHN CSC1CCN(Cc2cccn2-c2ccc(Cl)cc2)CC1 ZINC001140941874 1046956517 /nfs/dbraw/zinc/95/65/17/1046956517.db2.gz XKKPZYVDVWRYLA-UHFFFAOYSA-N 0 3 320.889 4.458 20 0 DIADHN FC1(F)C[C@@H]2CN(Cc3cccn3-c3ccc(Cl)cc3)C[C@@H]21 ZINC001140940951 1046956611 /nfs/dbraw/zinc/95/66/11/1046956611.db2.gz UIKQJNQRXQXGJO-WBMJQRKESA-N 0 3 322.786 4.218 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2c(Cl)nc(Cl)n2C)C1 ZINC000798502143 1046957898 /nfs/dbraw/zinc/95/78/98/1046957898.db2.gz VZGTZQGAAHTDKE-HAQNSBGRSA-N 0 3 324.255 4.071 20 0 DIADHN Cc1cccc(C2(NCc3cnc4c(C)cccn34)CCC2)c1 ZINC000647236746 1046958483 /nfs/dbraw/zinc/95/84/83/1046958483.db2.gz BQFNRYQKZXYPDH-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN CCN(C)c1ccc(CNC2(c3cccc(C)c3)CCC2)cn1 ZINC000647236873 1046958567 /nfs/dbraw/zinc/95/85/67/1046958567.db2.gz QGAKPHJVBCHCPA-UHFFFAOYSA-N 0 3 309.457 4.015 20 0 DIADHN CN(Cc1c[nH]c2ncccc12)Cc1ccccc1C(F)(F)F ZINC001137403192 1046960572 /nfs/dbraw/zinc/96/05/72/1046960572.db2.gz CXNGKZPBGVTBIJ-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1CN1CCC[C@@H](C(F)F)C1 ZINC001140963865 1046965176 /nfs/dbraw/zinc/96/51/76/1046965176.db2.gz KFHFSKDYZIIQOC-GFCCVEGCSA-N 0 3 323.305 4.371 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3cnc4ccccc4c3)C2)c1 ZINC000680333954 1046965663 /nfs/dbraw/zinc/96/56/63/1046965663.db2.gz IKYDKQDQCFGQGV-LJQANCHMSA-N 0 3 322.383 4.027 20 0 DIADHN C[C@@H](CN[C@@H](c1cccnc1)c1ccc(F)cc1F)C1CC1 ZINC000680338519 1046966270 /nfs/dbraw/zinc/96/62/70/1046966270.db2.gz DCMCYLIBRJXTEY-SGTLLEGYSA-N 0 3 302.368 4.085 20 0 DIADHN C[C@H](CN[C@H](c1ccc(F)cc1)c1ccccn1)c1ccncc1 ZINC000680341657 1046968573 /nfs/dbraw/zinc/96/85/73/1046968573.db2.gz ATDVLDDLYPWRGA-FOIQADDNSA-N 0 3 321.399 4.098 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1cccc(-c2ncccn2)c1 ZINC001140981856 1046968773 /nfs/dbraw/zinc/96/87/73/1046968773.db2.gz YJLJOJHRYQTJPP-MRXNPFEDSA-N 0 3 315.420 4.090 20 0 DIADHN Fc1cccc([C@@H](NC2CCC(F)(F)CC2)c2ccccn2)c1 ZINC000680344851 1046968822 /nfs/dbraw/zinc/96/88/22/1046968822.db2.gz URHQACYPQVCXIH-QGZVFWFLSA-N 0 3 320.358 4.478 20 0 DIADHN COc1ccc([C@@H](CC(C)C)NCc2cnn3ccccc23)cc1 ZINC000647303763 1046973005 /nfs/dbraw/zinc/97/30/05/1046973005.db2.gz LHYAVPJNLXNXET-LJQANCHMSA-N 0 3 323.440 4.220 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@@H](C)c2cc3n(n2)CCCC3)C1 ZINC000647302729 1046973831 /nfs/dbraw/zinc/97/38/31/1046973831.db2.gz OKIKRJBWFHARTA-ULQDDVLXSA-N 0 3 309.457 4.125 20 0 DIADHN C[C@@H](NCc1nc(-c2ccc(F)c(F)c2)no1)C1CCCCC1 ZINC000680378289 1046975277 /nfs/dbraw/zinc/97/52/77/1046975277.db2.gz NBSBSGWYBWJUEB-LLVKDONJSA-N 0 3 321.371 4.073 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1ccc(CO)cc1)CCS2 ZINC000647322313 1046976738 /nfs/dbraw/zinc/97/67/38/1046976738.db2.gz QOZPSYIFSNHFPU-GOSISDBHSA-N 0 3 313.466 4.122 20 0 DIADHN OCc1ccc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)cc1 ZINC000647322818 1046977198 /nfs/dbraw/zinc/97/71/98/1046977198.db2.gz ORPXTDGJRSVNIJ-INIZCTEOSA-N 0 3 322.235 4.263 20 0 DIADHN Cc1cc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)nn1C ZINC000680388968 1046977450 /nfs/dbraw/zinc/97/74/50/1046977450.db2.gz COWYFZFDSXVHQA-KRWDZBQOSA-N 0 3 319.452 4.039 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1cc(Cl)cc(Cl)c1 ZINC000647322779 1046977609 /nfs/dbraw/zinc/97/76/09/1046977609.db2.gz MOWWMBUGLOENFL-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN CC[C@H](NCc1ccc(Br)cc1C)c1ccncc1 ZINC000152148912 1046978654 /nfs/dbraw/zinc/97/86/54/1046978654.db2.gz WBFJUOOAPUXZIA-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN CC(C)(C)N(Cc1c[nH]nc1C(F)(F)F)Cc1ccccc1 ZINC001141012729 1046979319 /nfs/dbraw/zinc/97/93/19/1046979319.db2.gz YALVGSGAQUQPNT-UHFFFAOYSA-N 0 3 311.351 4.229 20 0 DIADHN Oc1cccc2ccc(CN3CCC(c4cccs4)CC3)nc12 ZINC001141023612 1046981281 /nfs/dbraw/zinc/98/12/81/1046981281.db2.gz QTBIMUUERUJRTG-UHFFFAOYSA-N 0 3 324.449 4.382 20 0 DIADHN Oc1cccc2ccc(CN3CCC4(CC[C@@H](F)C4)CC3)nc12 ZINC001141024434 1046983648 /nfs/dbraw/zinc/98/36/48/1046983648.db2.gz QIOXTFRIISXBGD-OAHLLOKOSA-N 0 3 314.404 4.045 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000680460233 1046984942 /nfs/dbraw/zinc/98/49/42/1046984942.db2.gz MODBXFWNSNPWAG-HXUWFJFHSA-N 0 3 317.436 4.133 20 0 DIADHN COc1ccc(CN2CCC[C@H](CCCc3ccccc3)C2)cn1 ZINC001168275501 1046986044 /nfs/dbraw/zinc/98/60/44/1046986044.db2.gz LZIMTEAEVGSRBA-IBGZPJMESA-N 0 3 324.468 4.325 20 0 DIADHN Cc1ccncc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001141048697 1046990151 /nfs/dbraw/zinc/99/01/51/1046990151.db2.gz YRXCYCDNPGQUBY-AWEZNQCLSA-N 0 3 300.833 4.205 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccn2ccnc2c1 ZINC001141046643 1046990058 /nfs/dbraw/zinc/99/00/58/1046990058.db2.gz JNZSCIBSEARIRH-QGZVFWFLSA-N 0 3 323.465 4.393 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CC[C@@H]3C[C@@H]3C2)c2cccnc2)c(F)c1 ZINC000647355501 1046991153 /nfs/dbraw/zinc/99/11/53/1046991153.db2.gz ZHEFCMYNHUUMQB-OZSDWXKXSA-N 0 3 314.379 4.227 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Oc3ccc(Cl)cc3)C2)ccn1 ZINC001137417016 1046994182 /nfs/dbraw/zinc/99/41/82/1046994182.db2.gz UUKFNWGDNMRZBP-GOSISDBHSA-N 0 3 316.832 4.087 20 0 DIADHN CCN(Cc1ccc(C(F)(F)F)cc1)Cc1ccnc(C)c1 ZINC001137418249 1046994276 /nfs/dbraw/zinc/99/42/76/1046994276.db2.gz RJYLYMLIZPNRFW-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@H](c2ccccn2)C1 ZINC000798965228 1046994703 /nfs/dbraw/zinc/99/47/03/1046994703.db2.gz QDIVMLBTDIMLOZ-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN Cc1ccc2nccc(N3CC[C@@H](Cc4ccncc4)C3)c2c1 ZINC000680626116 1046995091 /nfs/dbraw/zinc/99/50/91/1046995091.db2.gz ROBMVMJEOSSYCE-KRWDZBQOSA-N 0 3 303.409 4.007 20 0 DIADHN CCCCc1nc(CN2CCC[C@H](Cc3ccccc3)C2)c[nH]1 ZINC001141069941 1046995907 /nfs/dbraw/zinc/99/59/07/1046995907.db2.gz IZVHNJGTSCFFRH-GOSISDBHSA-N 0 3 311.473 4.207 20 0 DIADHN CCCCc1ncc(CN2CCC[C@H]2c2ccccc2OC)[nH]1 ZINC001141070104 1046996102 /nfs/dbraw/zinc/99/61/02/1046996102.db2.gz OYMNYJGWLFGZQU-KRWDZBQOSA-N 0 3 313.445 4.098 20 0 DIADHN Cc1ccc2nccc(N3CC[C@H](Cc4ccncc4)C3)c2c1 ZINC000680626118 1046996035 /nfs/dbraw/zinc/99/60/35/1046996035.db2.gz ROBMVMJEOSSYCE-QGZVFWFLSA-N 0 3 303.409 4.007 20 0 DIADHN CCCCc1ncc(CN2CCC(c3cccs3)CC2)[nH]1 ZINC001141070373 1046996562 /nfs/dbraw/zinc/99/65/62/1046996562.db2.gz WEBBBCVVAAPIKM-UHFFFAOYSA-N 0 3 303.475 4.193 20 0 DIADHN CC[C@@H]1CCCN1Cc1cc2cc(OC)ccc2nc1Cl ZINC001141064160 1046996700 /nfs/dbraw/zinc/99/67/00/1046996700.db2.gz CVIZZDIKBVRSLF-CQSZACIVSA-N 0 3 304.821 4.271 20 0 DIADHN CCCCc1ncc(CN2CC[C@H](c3cccc(Cl)c3)C2)[nH]1 ZINC001141072793 1046997818 /nfs/dbraw/zinc/99/78/18/1046997818.db2.gz ZDTRGDDAKCCEHS-HNNXBMFYSA-N 0 3 317.864 4.395 20 0 DIADHN CCCCc1ncc(CN2CC[C@@H](c3ccccc3Cl)C2)[nH]1 ZINC001141071686 1046999101 /nfs/dbraw/zinc/99/91/01/1046999101.db2.gz AJSPOUXMCSHWEY-CQSZACIVSA-N 0 3 317.864 4.395 20 0 DIADHN Cc1ccc2c(CN[C@@H](c3ccccc3)C3CC3)cc(=O)oc2c1 ZINC000192502326 1047002235 /nfs/dbraw/zinc/00/22/35/1047002235.db2.gz SKMAIFYXYGAKHW-NRFANRHFSA-N 0 3 319.404 4.342 20 0 DIADHN C[C@H]([C@@H](C)c1ccc(Cl)cc1)N(C)Cc1cnc(Cl)cn1 ZINC000799125639 1047002501 /nfs/dbraw/zinc/00/25/01/1047002501.db2.gz RIZDNLHIRVIJJP-VXGBXAGGSA-N 0 3 324.255 4.407 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](F)CC2)c1Br ZINC001141089422 1047004666 /nfs/dbraw/zinc/00/46/66/1047004666.db2.gz VWSSLHRTEOITSM-CYBMUJFWSA-N 0 3 300.215 4.082 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H](c3ccccn3)C2)c1 ZINC000799192965 1047007830 /nfs/dbraw/zinc/00/78/30/1047007830.db2.gz JIFORVZXIRVHMO-CQSZACIVSA-N 0 3 321.251 4.420 20 0 DIADHN c1csc(-c2ccccc2CN2CC(c3cccnc3)C2)c1 ZINC001141096665 1047011698 /nfs/dbraw/zinc/01/16/98/1047011698.db2.gz MYDWNSRNTUPGDS-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN COC[C@H]1CCCCN(Cc2ccc(C(F)(F)F)s2)C1 ZINC000432918543 1047011714 /nfs/dbraw/zinc/01/17/14/1047011714.db2.gz PPWXTMHVPCFLHK-NSHDSACASA-N 0 3 307.381 4.015 20 0 DIADHN Cc1nc(C2CCN(Cc3cccc(C(C)(C)C)c3)CC2)no1 ZINC001141104243 1047014887 /nfs/dbraw/zinc/01/48/87/1047014887.db2.gz WTDDEFSOSMOXBE-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN CC[C@@H](NCc1cc(C)nc2ccccc12)c1c(C)nn(C)c1C ZINC000162612852 1047016097 /nfs/dbraw/zinc/01/60/97/1047016097.db2.gz QQONCFMRSSXRBY-GOSISDBHSA-N 0 3 322.456 4.134 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@H](O)c1ccc(F)cc1F ZINC000799340698 1047019632 /nfs/dbraw/zinc/01/96/32/1047019632.db2.gz PVDYZLFFHRIWMX-ZBEGNZNMSA-N 0 3 323.408 4.071 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2c2cccs2)c1OC ZINC000162699880 1047022842 /nfs/dbraw/zinc/02/28/42/1047022842.db2.gz JQVLXUORNQLFST-CQSZACIVSA-N 0 3 303.427 4.102 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@H](CO)CC(F)(F)F)c2)cc1 ZINC000533803555 1047023212 /nfs/dbraw/zinc/02/32/12/1047023212.db2.gz JVWIENUSOMCACL-KRWDZBQOSA-N 0 3 323.358 4.065 20 0 DIADHN COc1ccc2nccc(CN3Cc4ccccc4C[C@H]3C)c2c1 ZINC001141151413 1047027681 /nfs/dbraw/zinc/02/76/81/1047027681.db2.gz KJZLRRBBVOTEOX-OAHLLOKOSA-N 0 3 318.420 4.190 20 0 DIADHN CC1(C)CCc2cc(CN3CCCC(F)(F)CC3)ccc2O1 ZINC001141182303 1047035594 /nfs/dbraw/zinc/03/55/94/1047035594.db2.gz MWUYAIISYAOMCY-UHFFFAOYSA-N 0 3 309.400 4.411 20 0 DIADHN COc1ccc(CN2CCC(c3ncc(C)s3)CC2)cc1C ZINC000433033461 1047044887 /nfs/dbraw/zinc/04/48/87/1047044887.db2.gz VSCIRNDYBMMXNZ-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN FCC1CCN(Cc2cccc(-c3ccc(F)cc3)n2)CC1 ZINC001141207762 1047046603 /nfs/dbraw/zinc/04/66/03/1047046603.db2.gz NRKKUKCTSZRWIE-UHFFFAOYSA-N 0 3 302.368 4.069 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1csc(-c2ccccc2)n1 ZINC001141214567 1047049591 /nfs/dbraw/zinc/04/95/91/1047049591.db2.gz XMUGFBJABWVRGD-INIZCTEOSA-N 0 3 316.470 4.200 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1cc2cc(Cl)cnc2o1 ZINC000648423733 1047053137 /nfs/dbraw/zinc/05/31/37/1047053137.db2.gz XYVILKIQEFZXOO-WBMJQRKESA-N 0 3 322.836 4.166 20 0 DIADHN C[C@H]1SCCN(Cc2ccc3c[nH]nc3c2)[C@@H]1c1ccccc1 ZINC000536660435 1047054616 /nfs/dbraw/zinc/05/46/16/1047054616.db2.gz IXHFURJFIVOKKY-KUHUBIRLSA-N 0 3 323.465 4.242 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2c(OC)cccc21 ZINC000799717521 1047055396 /nfs/dbraw/zinc/05/53/96/1047055396.db2.gz PEEHYODMRPDRIY-IBGZPJMESA-N 0 3 324.468 4.319 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3ccc(C(F)F)cc3)C2)c1 ZINC000680865659 1047058154 /nfs/dbraw/zinc/05/81/54/1047058154.db2.gz QZIFXRQHEWVXFC-QGZVFWFLSA-N 0 3 321.342 4.417 20 0 DIADHN CCOc1ccc(C2=CCN(Cc3ccncc3C)CC2)cc1 ZINC000648507614 1047058450 /nfs/dbraw/zinc/05/84/50/1047058450.db2.gz PZRNQSFJIBALQX-UHFFFAOYSA-N 0 3 308.425 4.078 20 0 DIADHN Cc1ccc(CN(C)Cc2cc3c(c(Cl)c2)OCO3)cc1 ZINC000680875899 1047058797 /nfs/dbraw/zinc/05/87/97/1047058797.db2.gz JMDGNZOJGVJQIX-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2ccc(Cl)c(C)c2)C[C@@H]1C ZINC001141236398 1047059438 /nfs/dbraw/zinc/05/94/38/1047059438.db2.gz BOKQLQOVDNFCQC-HOCLYGCPSA-N 0 3 323.864 4.060 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc2cc(Cl)cnc2o1 ZINC000648520498 1047061567 /nfs/dbraw/zinc/06/15/67/1047061567.db2.gz HSLMTQUEAZWHAG-JTQLQIEISA-N 0 3 306.715 4.302 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1nn(C)c2ccccc12 ZINC000680885700 1047061557 /nfs/dbraw/zinc/06/15/57/1047061557.db2.gz XUCGGRRQQVTIJG-VFNWGFHPSA-N 0 3 319.452 4.377 20 0 DIADHN C[C@H]1C[C@@H](Nc2ncc(Cl)s2)CCN1Cc1ccccc1 ZINC000680895297 1047064270 /nfs/dbraw/zinc/06/42/70/1047064270.db2.gz JVGHMORRDPYXTA-JSGCOSHPSA-N 0 3 321.877 4.262 20 0 DIADHN Cc1cc(C)n2c(CN(C)[C@H](c3ccccc3)C(C)C)cnc2n1 ZINC000536673618 1047064448 /nfs/dbraw/zinc/06/44/48/1047064448.db2.gz RCQWNUCTQGYPPD-IBGZPJMESA-N 0 3 322.456 4.175 20 0 DIADHN C[C@H]1CN(Cc2ccccc2)CC[C@@H]1Nc1ncc(Cl)s1 ZINC000680895327 1047065326 /nfs/dbraw/zinc/06/53/26/1047065326.db2.gz KYMHZYOTBLLPAV-JSGCOSHPSA-N 0 3 321.877 4.119 20 0 DIADHN CCn1nc(C)c(CN2CCC(c3ccccc3F)CC2)c1C ZINC000536670601 1047065493 /nfs/dbraw/zinc/06/54/93/1047065493.db2.gz VBKVYOTXZDCGTC-UHFFFAOYSA-N 0 3 315.436 4.039 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@@H](OC(F)F)C1 ZINC000680897433 1047065661 /nfs/dbraw/zinc/06/56/61/1047065661.db2.gz VXHOLQUOVAXQBK-CYBMUJFWSA-N 0 3 306.356 4.060 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC([C@@H]2CCOC2)CC1 ZINC000680896956 1047066033 /nfs/dbraw/zinc/06/60/33/1047066033.db2.gz PCPOKKJKDJWFCX-QGZVFWFLSA-N 0 3 310.441 4.105 20 0 DIADHN C[C@H]1CC[C@@](C)(C(=O)Nc2cccc(CN(C)C)c2)C1(C)C ZINC001141262592 1047068288 /nfs/dbraw/zinc/06/82/88/1047068288.db2.gz AUOHOWFWSQODIV-LIRRHRJNSA-N 0 3 302.462 4.149 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCO[C@@H](c3ccccc3)C2)c(C)s1 ZINC000680937131 1047068761 /nfs/dbraw/zinc/06/87/61/1047068761.db2.gz FPPWOKHJEHRQNJ-AFAVFJNCSA-N 0 3 316.470 4.331 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1c(Cl)ccc2cccnc21 ZINC000680973703 1047074481 /nfs/dbraw/zinc/07/44/81/1047074481.db2.gz MIALHVBHTJKJCV-SECBINFHSA-N 0 3 302.727 4.319 20 0 DIADHN C[C@H]1CCCCN1Cc1c(-c2ccccc2)nc2n1CCS2 ZINC001141298635 1047080309 /nfs/dbraw/zinc/08/03/09/1047080309.db2.gz ZVDRPINWSKJWCQ-AWEZNQCLSA-N 0 3 313.470 4.030 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccccc2Cl)[C@@H]1c1ccccc1 ZINC000799997766 1047080734 /nfs/dbraw/zinc/08/07/34/1047080734.db2.gz UIRPSQMGXMQLLX-BVGQSLNGSA-N 0 3 301.817 4.066 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1cccc(F)c1)c1ccccc1Cl ZINC000799995639 1047081585 /nfs/dbraw/zinc/08/15/85/1047081585.db2.gz RGNINOKJKIRSGJ-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1coc(-c2ccc(OC)cc2)n1 ZINC000536744169 1047091866 /nfs/dbraw/zinc/09/18/66/1047091866.db2.gz OQIGQUIFBKHJIM-BBRMVZONSA-N 0 3 300.402 4.113 20 0 DIADHN CC[C@H](NC1CC(C(F)F)C1)c1nc(C(F)(F)F)cs1 ZINC000681091181 1047094768 /nfs/dbraw/zinc/09/47/68/1047094768.db2.gz ZDSWOQMQLCZQKE-RRQHEKLDSA-N 0 3 314.323 4.246 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ncc(-c3ccccc3)s2)CCO1 ZINC000536757151 1047096364 /nfs/dbraw/zinc/09/63/64/1047096364.db2.gz TWVGNYFOXMMUDH-CVEARBPZSA-N 0 3 316.470 4.103 20 0 DIADHN O[C@H](CN[C@H]1CCCSc2ccc(Cl)cc21)c1ccco1 ZINC000305083497 1047096741 /nfs/dbraw/zinc/09/67/41/1047096741.db2.gz SARGRLMQNINWAU-UONOGXRCSA-N 0 3 323.845 4.183 20 0 DIADHN C[C@@H](NCC1(c2ccccc2)CC1)c1nc2c(s1)CCCC2 ZINC000536771813 1047098472 /nfs/dbraw/zinc/09/84/72/1047098472.db2.gz MGQSSPZDHRBDFU-CQSZACIVSA-N 0 3 312.482 4.404 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1F)c1nc2c(s1)CCCC2 ZINC000536773258 1047098653 /nfs/dbraw/zinc/09/86/53/1047098653.db2.gz SQRZSHWYUIVAQX-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN Cc1nc(CCN[C@H](C)c2cccc(Cl)c2Cl)c(C)o1 ZINC000536770995 1047098767 /nfs/dbraw/zinc/09/87/67/1047098767.db2.gz HBHKEPOSSIGVME-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1ccc(-c2ccccc2)o1 ZINC001116019242 1047101659 /nfs/dbraw/zinc/10/16/59/1047101659.db2.gz UXAANJGPHOTFNY-CQSZACIVSA-N 0 3 315.413 4.157 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000228093752 1047105283 /nfs/dbraw/zinc/10/52/83/1047105283.db2.gz ZGEGTSHXESSRAU-MLGOLLRUSA-N 0 3 324.262 4.222 20 0 DIADHN CCCCCn1cc(CN[C@H]2CCc3ccc(C)cc32)c(C)n1 ZINC000536848067 1047110388 /nfs/dbraw/zinc/11/03/88/1047110388.db2.gz WRRJCRXQNOOFNC-FQEVSTJZSA-N 0 3 311.473 4.467 20 0 DIADHN C[C@H](COc1ccccc1F)NCc1cc2cc(F)ccc2o1 ZINC001116132110 1047111136 /nfs/dbraw/zinc/11/11/36/1047111136.db2.gz SEPSNFYVCLABES-GFCCVEGCSA-N 0 3 317.335 4.268 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2)o1 ZINC000536850912 1047111642 /nfs/dbraw/zinc/11/16/42/1047111642.db2.gz HHLPMJNDJSUPPP-OLZOCXBDSA-N 0 3 322.811 4.310 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1cc2c(s1)CCCC2 ZINC000536851801 1047112004 /nfs/dbraw/zinc/11/20/04/1047112004.db2.gz MWDTTWSMUAYKLE-CYBMUJFWSA-N 0 3 301.455 4.486 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H](C)c1cccc(OC)c1 ZINC000536861297 1047114536 /nfs/dbraw/zinc/11/45/36/1047114536.db2.gz CQBUGWWELVOEDL-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1cc2cc([C@H](C)NCCC(=O)NC(C)(C)C)oc2cc1C ZINC000536864886 1047115518 /nfs/dbraw/zinc/11/55/18/1047115518.db2.gz SLBHVZLSHPIPNH-AWEZNQCLSA-N 0 3 316.445 4.005 20 0 DIADHN C[C@H](NCCOc1ccccc1C(C)(C)C)c1cncs1 ZINC000536864689 1047115576 /nfs/dbraw/zinc/11/55/76/1047115576.db2.gz RJKGDDJLIZERMZ-ZDUSSCGKSA-N 0 3 304.459 4.170 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000681367242 1047117570 /nfs/dbraw/zinc/11/75/70/1047117570.db2.gz RDRGKFUVRAZEFJ-CYBMUJFWSA-N 0 3 309.413 4.072 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(-n3ccnc3)c(F)c2)c1 ZINC000681367649 1047117646 /nfs/dbraw/zinc/11/76/46/1047117646.db2.gz IYVORFJPLVJGCC-UHFFFAOYSA-N 0 3 323.415 4.425 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000681367243 1047117866 /nfs/dbraw/zinc/11/78/66/1047117866.db2.gz RDRGKFUVRAZEFJ-ZDUSSCGKSA-N 0 3 309.413 4.072 20 0 DIADHN Cc1cn2c(CNCc3cccc(C(C)C)c3)c(C)nc2s1 ZINC000681368350 1047118793 /nfs/dbraw/zinc/11/87/93/1047118793.db2.gz YJIUDFPRBVDXEL-UHFFFAOYSA-N 0 3 313.470 4.426 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2CCC[C@@H]2C2CC2)n1 ZINC000681402154 1047123372 /nfs/dbraw/zinc/12/33/72/1047123372.db2.gz VJBLYBCJDXFFQD-OAHLLOKOSA-N 0 3 320.408 4.463 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N(C)Cc2cccc3ccccc32)o1 ZINC000537038388 1047131526 /nfs/dbraw/zinc/13/15/26/1047131526.db2.gz IKRSHGRYJAJZOO-CQSZACIVSA-N 0 3 323.392 4.412 20 0 DIADHN CC[C@@H]1C[C@H](C)CC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000681600245 1047133580 /nfs/dbraw/zinc/13/35/80/1047133580.db2.gz AANCAJJQNSYPNC-CKEIUWERSA-N 0 3 308.466 4.294 20 0 DIADHN Cc1ccc(CNCc2ccc(C)c(Br)c2)cc1 ZINC000097897406 1047134294 /nfs/dbraw/zinc/13/42/94/1047134294.db2.gz AXQGWJFJMLQHGK-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2C)C(C)C)c(C)o1 ZINC000537060337 1047135436 /nfs/dbraw/zinc/13/54/36/1047135436.db2.gz ZACBEPYIOAMTOZ-SFHVURJKSA-N 0 3 315.413 4.170 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)N1CCCCCC1)c1cccs1 ZINC000537075861 1047136384 /nfs/dbraw/zinc/13/63/84/1047136384.db2.gz IKWSWCMOVFDXFM-GOSISDBHSA-N 0 3 322.518 4.218 20 0 DIADHN COc1ccc(CNC2(c3cccc(Cl)c3)CCCC2)cn1 ZINC000537122276 1047138940 /nfs/dbraw/zinc/13/89/40/1047138940.db2.gz MCCBYPCAXGSTHR-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CC(C)OCCN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000537130665 1047139539 /nfs/dbraw/zinc/13/95/39/1047139539.db2.gz UGPHLVCZQZJNJM-QGZVFWFLSA-N 0 3 313.441 4.341 20 0 DIADHN CCCN(Cc1ccc(C)cc1)Cc1ccc([S@@](C)=O)cc1 ZINC001116438667 1047139808 /nfs/dbraw/zinc/13/98/08/1047139808.db2.gz WZDYEJBJYIJRLI-JOCHJYFZSA-N 0 3 315.482 4.145 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2c(F)cccc2N2CCCC2)C1 ZINC001116445725 1047141932 /nfs/dbraw/zinc/14/19/32/1047141932.db2.gz WPQAQCBFMIXVFF-HUUCEWRRSA-N 0 3 322.493 4.142 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1ccnc2ccccc12 ZINC001116445702 1047142360 /nfs/dbraw/zinc/14/23/60/1047142360.db2.gz WCYUHMWYPKYYTN-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN Clc1ccc(-c2cnc(CN3CC[C@H]4CCC[C@@H]43)o2)cc1 ZINC000681710141 1047143072 /nfs/dbraw/zinc/14/30/72/1047143072.db2.gz PUSAMSJZXDWTKD-DOMZBBRYSA-N 0 3 302.805 4.369 20 0 DIADHN Fc1cncc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)c1 ZINC000681751770 1047144926 /nfs/dbraw/zinc/14/49/26/1047144926.db2.gz XTIRIBOHJLSZPT-MRXNPFEDSA-N 0 3 309.388 4.082 20 0 DIADHN Fc1cncc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)c1 ZINC000681751769 1047145570 /nfs/dbraw/zinc/14/55/70/1047145570.db2.gz XTIRIBOHJLSZPT-INIZCTEOSA-N 0 3 309.388 4.082 20 0 DIADHN CC[C@@H](OCCN1CCC[C@H]1c1ccncc1)c1ccccc1 ZINC000649569720 1047145687 /nfs/dbraw/zinc/14/56/87/1047145687.db2.gz XZBMVWYMYYUCQB-VQTJNVASSA-N 0 3 310.441 4.386 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2C[C@@H]2CC2(Cl)Cl)cc1 ZINC000838527305 1047147103 /nfs/dbraw/zinc/14/71/03/1047147103.db2.gz OZNQZHRXCVLRJJ-ZFWWWQNUSA-N 0 3 314.256 4.416 20 0 DIADHN CC[C@@H](OCCN[C@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000649583910 1047150954 /nfs/dbraw/zinc/15/09/54/1047150954.db2.gz CNZRQZBSDMUYOA-VQIMIIECSA-N 0 3 315.461 4.283 20 0 DIADHN CN(Cc1cn(C)nc1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC000537205749 1047152381 /nfs/dbraw/zinc/15/23/81/1047152381.db2.gz QRVPWZCKIQLZSB-SFHVURJKSA-N 0 3 311.473 4.227 20 0 DIADHN Cc1ccccc1CN(CCC[C@H]1CCOC1)Cc1ccccn1 ZINC000649619973 1047153325 /nfs/dbraw/zinc/15/33/25/1047153325.db2.gz GRNYTDZMGNMSEW-IBGZPJMESA-N 0 3 324.468 4.209 20 0 DIADHN CC[C@@H](OCCNC(C)(C)c1c(C)noc1C)c1ccccc1 ZINC000649611012 1047153985 /nfs/dbraw/zinc/15/39/85/1047153985.db2.gz IMJITOKHTYMUQK-QGZVFWFLSA-N 0 3 316.445 4.284 20 0 DIADHN COc1ccc(CN2CCC(C)CC2)cc1OC1CCCC1 ZINC001141513450 1047154647 /nfs/dbraw/zinc/15/46/47/1047154647.db2.gz BPKGBCMDKTUOEI-UHFFFAOYSA-N 0 3 303.446 4.249 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC3(CCc4ccccc4C3)CC2)cn1 ZINC000649647626 1047157143 /nfs/dbraw/zinc/15/71/43/1047157143.db2.gz UDWJPPHMMNRTGL-QGZVFWFLSA-N 0 3 321.468 4.117 20 0 DIADHN COc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1C(C)C ZINC001139616995 1047160794 /nfs/dbraw/zinc/16/07/94/1047160794.db2.gz XFNVXMYTYLDECF-MRXNPFEDSA-N 0 3 315.379 4.388 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3C[C@@H]32)cc1OC1CCCC1 ZINC001141523407 1047160941 /nfs/dbraw/zinc/16/09/41/1047160941.db2.gz OOYDSXAWESYGRM-WBVHZDCISA-N 0 3 301.430 4.001 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCOC3CCCC3)oc21 ZINC000537295930 1047161291 /nfs/dbraw/zinc/16/12/91/1047161291.db2.gz QSYYXLYKEAAFHW-CQSZACIVSA-N 0 3 317.429 4.441 20 0 DIADHN Cc1cc(CNC(C)(C)CF)cc(C)c1OCc1ccccn1 ZINC001116627709 1047163429 /nfs/dbraw/zinc/16/34/29/1047163429.db2.gz RSJLWWNLENEMEN-UHFFFAOYSA-N 0 3 316.420 4.115 20 0 DIADHN COc1cccc([C@H](C)N2CCC3(CC2)C(F)(F)C3(F)F)c1 ZINC000649710430 1047166800 /nfs/dbraw/zinc/16/68/00/1047166800.db2.gz ZISFIFDWUGRDAO-NSHDSACASA-N 0 3 317.326 4.123 20 0 DIADHN C[C@H](c1ccsc1)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC000682074105 1047167363 /nfs/dbraw/zinc/16/73/63/1047167363.db2.gz QNRHDIOYGVMYOG-BEFAXECRSA-N 0 3 312.482 4.114 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccco1)c1cc2cc(Cl)ccc2o1 ZINC000305766725 1047169029 /nfs/dbraw/zinc/16/90/29/1047169029.db2.gz FNYFKEMAAUMOHG-GXFFZTMASA-N 0 3 305.761 4.063 20 0 DIADHN COc1ccccc1OCCCNCc1ccc(Cl)cc1F ZINC000682086224 1047170021 /nfs/dbraw/zinc/17/00/21/1047170021.db2.gz MLHKUCQYLNWOQV-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CCCCCCN(CCCCCC)Cc1cn2c(n1)COCC2 ZINC001141537242 1047171592 /nfs/dbraw/zinc/17/15/92/1047171592.db2.gz MLTJSPHOEJTPRD-UHFFFAOYSA-N 0 3 321.509 4.376 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@H](C)c2cccc(F)c2F)cc1 ZINC000537345092 1047172731 /nfs/dbraw/zinc/17/27/31/1047172731.db2.gz VPYFEBNLVBKGSM-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](NCCc1ccc2ccccc2n1)c1cccc(F)c1F ZINC000537343564 1047172955 /nfs/dbraw/zinc/17/29/55/1047172955.db2.gz MUDZFXCKOWERPX-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2)C1)c1cccc(F)c1F ZINC000537345448 1047173017 /nfs/dbraw/zinc/17/30/17/1047173017.db2.gz WPNXQSBHHWVSGJ-LSDHHAIUSA-N 0 3 316.395 4.284 20 0 DIADHN CC(C)[C@@H](NCc1ccccc1CO)c1cc2ccccc2o1 ZINC000537344102 1047173069 /nfs/dbraw/zinc/17/30/69/1047173069.db2.gz QVRSNDCBDXOABJ-HXUWFJFHSA-N 0 3 309.409 4.412 20 0 DIADHN CC(C)[C@H](NCc1ccccc1CO)c1cc2ccccc2o1 ZINC000537344096 1047173305 /nfs/dbraw/zinc/17/33/05/1047173305.db2.gz QVRSNDCBDXOABJ-FQEVSTJZSA-N 0 3 309.409 4.412 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC3(CC3(F)F)CC2)cc1 ZINC000649777059 1047174556 /nfs/dbraw/zinc/17/45/56/1047174556.db2.gz CKUHORHDBLQUIR-UHFFFAOYSA-N 0 3 305.290 4.327 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC4(CC4(F)F)CC3)c2O1 ZINC000649773975 1047174564 /nfs/dbraw/zinc/17/45/64/1047174564.db2.gz NNKCWPMAFGVKHP-UHFFFAOYSA-N 0 3 307.384 4.021 20 0 DIADHN CN1CC[C@@H](NCc2ccsc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001116765743 1047175287 /nfs/dbraw/zinc/17/52/87/1047175287.db2.gz LMEFNSZPLVOTPX-CABCVRRESA-N 0 3 324.852 4.076 20 0 DIADHN Cc1noc(C)c1CN1CCCC[C@@H]1Cc1ccccc1F ZINC000649794900 1047176675 /nfs/dbraw/zinc/17/66/75/1047176675.db2.gz XBPCVWIQXCQXOW-MRXNPFEDSA-N 0 3 302.393 4.028 20 0 DIADHN COc1cccc(-c2nc(CNC3(C4CCC4)CC3)cs2)c1 ZINC000649805836 1047177604 /nfs/dbraw/zinc/17/76/04/1047177604.db2.gz DBVUJCVANTTXSO-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1)C1CC1 ZINC000838932847 1047178045 /nfs/dbraw/zinc/17/80/45/1047178045.db2.gz OVKIBJLHGXCXHI-JKSUJKDBSA-N 0 3 313.363 4.003 20 0 DIADHN Clc1ccc(-c2nc(CNC3(C4CCC4)CC3)co2)cc1 ZINC000649807361 1047178354 /nfs/dbraw/zinc/17/83/54/1047178354.db2.gz SLFRBKUKAWTTRO-UHFFFAOYSA-N 0 3 302.805 4.417 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@](C)(CO)c1ccccc1 ZINC000682373848 1047186334 /nfs/dbraw/zinc/18/63/34/1047186334.db2.gz NSZBNZQESRDOLN-KRWDZBQOSA-N 0 3 323.358 4.011 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CC[C@]3(CC3(F)F)C2)c1C ZINC000649900145 1047187040 /nfs/dbraw/zinc/18/70/40/1047187040.db2.gz UMBHVXLLRCEOQQ-KRWDZBQOSA-N 0 3 307.384 4.046 20 0 DIADHN COc1cccc2c1OC[C@H](N[C@@H](C)c1csc(Cl)c1)C2 ZINC000682496236 1047201125 /nfs/dbraw/zinc/20/11/25/1047201125.db2.gz UZQMOFNRFZEBBO-GXFFZTMASA-N 0 3 323.845 4.064 20 0 DIADHN CC1(C)CN(Cc2c[nH]c3cc(Cl)ccc23)CC(C)(C)O1 ZINC001141591116 1047207436 /nfs/dbraw/zinc/20/74/36/1047207436.db2.gz QASTZUNGQWXXAL-UHFFFAOYSA-N 0 3 306.837 4.211 20 0 DIADHN CCCOc1ccc(CNCc2ccc3c(c2)COC3)c(C)c1 ZINC000682730809 1047216150 /nfs/dbraw/zinc/21/61/50/1047216150.db2.gz JHMLMMUIUYJCFO-UHFFFAOYSA-N 0 3 311.425 4.104 20 0 DIADHN C[C@@H](N[C@H]1COc2cc(F)cc(F)c21)C1CCC(F)(F)CC1 ZINC000682733714 1047217234 /nfs/dbraw/zinc/21/72/34/1047217234.db2.gz GJFCBJHBLBVFAO-RNCFNFMXSA-N 0 3 317.326 4.202 20 0 DIADHN C[C@@H]1CCN(Cc2cc3cccnc3cc2F)CCC1(F)F ZINC001141623321 1047233128 /nfs/dbraw/zinc/23/31/28/1047233128.db2.gz BXDYJBHTRWFTBO-GFCCVEGCSA-N 0 3 308.347 4.241 20 0 DIADHN Cc1cccc(CCN2CCC[C@@H]2c2ccc(F)c(F)c2)n1 ZINC000827919414 1047233856 /nfs/dbraw/zinc/23/38/56/1047233856.db2.gz IUPIQJOFWPIGHO-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CCN(Cc1cccc(-c2ccncc2)c1)Cc1ncccc1C ZINC001117596159 1047233991 /nfs/dbraw/zinc/23/39/91/1047233991.db2.gz WRBIMQHYGVQICJ-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN c1ccc(N2CCCC2)c(CN2CCC[C@H]2c2ccncc2)c1 ZINC001117639525 1047234194 /nfs/dbraw/zinc/23/41/94/1047234194.db2.gz JNGZGQDPMHGJRX-IBGZPJMESA-N 0 3 307.441 4.019 20 0 DIADHN C[C@H]1CCN(Cc2cc3cccnc3cc2F)CCC1(F)F ZINC001141623322 1047234346 /nfs/dbraw/zinc/23/43/46/1047234346.db2.gz BXDYJBHTRWFTBO-LBPRGKRZSA-N 0 3 308.347 4.241 20 0 DIADHN CC[C@H](NCc1cncc(C(F)(F)F)c1)c1ccc(F)cc1 ZINC001117661825 1047235546 /nfs/dbraw/zinc/23/55/46/1047235546.db2.gz QMMJGJNJMWBVLG-HNNXBMFYSA-N 0 3 312.310 4.480 20 0 DIADHN C[C@H](NCc1cccn1C1CC1)c1cccc(OC(F)F)c1 ZINC001117744132 1047239821 /nfs/dbraw/zinc/23/98/21/1047239821.db2.gz WMLMQRYHABLYJQ-LBPRGKRZSA-N 0 3 306.356 4.275 20 0 DIADHN CN(Cc1ccc2cc(F)ccc2n1)[C@H]1CCc2ccccc21 ZINC001141655111 1047246928 /nfs/dbraw/zinc/24/69/28/1047246928.db2.gz WMMGMKAABBMXII-FQEVSTJZSA-N 0 3 306.384 4.493 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccc2cc(F)ccc2n1 ZINC001141651153 1047248569 /nfs/dbraw/zinc/24/85/69/1047248569.db2.gz YEZVAEYJBYUJHD-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN Cc1ccnc(CN(Cc2ccc3c(c2)OCO3)C2CCCC2)c1 ZINC000683001134 1047249801 /nfs/dbraw/zinc/24/98/01/1047249801.db2.gz GLCSSLGGCASNHW-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN CCn1ccc(CN(C)Cc2cc(Cl)cc3cccnc32)c1 ZINC000682999135 1047250929 /nfs/dbraw/zinc/25/09/29/1047250929.db2.gz GKVGVSWXPNKBJK-UHFFFAOYSA-N 0 3 313.832 4.342 20 0 DIADHN CCn1ccc(CN(C)Cc2cccc(-c3ccccn3)c2)c1 ZINC000682999640 1047251736 /nfs/dbraw/zinc/25/17/36/1047251736.db2.gz MSTCFOODFMSCRN-UHFFFAOYSA-N 0 3 305.425 4.202 20 0 DIADHN C[C@H](N[C@H]1CCCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000682999474 1047252237 /nfs/dbraw/zinc/25/22/37/1047252237.db2.gz QJQBAZNLJVXIPJ-WFASDCNBSA-N 0 3 317.408 4.495 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CC[C@H](C4CCC4)C3)o2)c1 ZINC000839677262 1047257448 /nfs/dbraw/zinc/25/74/48/1047257448.db2.gz RBQZSCCEIIPVBK-PBHICJAKSA-N 0 3 311.429 4.228 20 0 DIADHN COCC1(NCc2cc(Cl)c(OC)cc2Cl)CCCC1 ZINC001203113456 1047275604 /nfs/dbraw/zinc/27/56/04/1047275604.db2.gz MHELWXZVUMPJTC-UHFFFAOYSA-N 0 3 318.244 4.051 20 0 DIADHN CC(C)c1cncc(NC2CCN(CCC(F)(F)F)CC2)c1 ZINC000897707577 1047279614 /nfs/dbraw/zinc/27/96/14/1047279614.db2.gz SYVCYGSIXQKMHA-UHFFFAOYSA-N 0 3 315.383 4.034 20 0 DIADHN CC(C)n1ncnc1CN[C@@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000897833596 1047291646 /nfs/dbraw/zinc/29/16/46/1047291646.db2.gz QHJKMIXNRFXOSM-QZTJIDSGSA-N 0 3 312.461 4.065 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1C[C@@]1(C)CC1(Cl)Cl ZINC000827957580 1047310361 /nfs/dbraw/zinc/31/03/61/1047310361.db2.gz OIBXUUHRASFRBX-ZIAGYGMSSA-N 0 3 301.261 4.136 20 0 DIADHN CCC[C@@](C)(NCc1cccc2[nH]c(=O)oc21)c1ccccc1 ZINC000897925856 1047299204 /nfs/dbraw/zinc/29/92/04/1047299204.db2.gz LXGGTVGVQCQENI-LJQANCHMSA-N 0 3 310.397 4.339 20 0 DIADHN CCC[C@@](C)(NCc1cc(-c2cccnc2)n[nH]1)c1ccccc1 ZINC000897925602 1047299423 /nfs/dbraw/zinc/29/94/23/1047299423.db2.gz JGSOJSCIWFSCIM-HXUWFJFHSA-N 0 3 320.440 4.277 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N[C@@H](C)c1ncccc1F ZINC000528038025 1047299904 /nfs/dbraw/zinc/29/99/04/1047299904.db2.gz OITNBMUEFSPXCW-MNOVXSKESA-N 0 3 310.775 4.295 20 0 DIADHN CCC[C@](C)(NCc1cnc(CCOC)s1)c1ccccc1 ZINC000897925864 1047300487 /nfs/dbraw/zinc/30/04/87/1047300487.db2.gz MDLWERDECJFSIE-SFHVURJKSA-N 0 3 318.486 4.137 20 0 DIADHN Cc1cc(CNCc2ccc(SC(F)(F)F)cc2)ccn1 ZINC000391140105 1047302004 /nfs/dbraw/zinc/30/20/04/1047302004.db2.gz KDJVQSHILZUMJI-UHFFFAOYSA-N 0 3 312.360 4.292 20 0 DIADHN COCc1c(C)cc(C)c(CN[C@H](C)c2cncs2)c1C ZINC000897930225 1047301874 /nfs/dbraw/zinc/30/18/74/1047301874.db2.gz LDVOJDDMQHTSQK-CQSZACIVSA-N 0 3 304.459 4.066 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc3c2NCCC3)cc1 ZINC000390983682 1047302150 /nfs/dbraw/zinc/30/21/50/1047302150.db2.gz WSGMRRGGAZNZRJ-HNNXBMFYSA-N 0 3 310.441 4.294 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)c1cncs1 ZINC000897929913 1047302437 /nfs/dbraw/zinc/30/24/37/1047302437.db2.gz DLIYHWKNZJXQRA-YLHGKKIISA-N 0 3 302.443 4.044 20 0 DIADHN O=[N+]([O-])c1ccc(Cl)cc1CNC[C@@H]1CCCCC1(F)F ZINC000390844814 1047302705 /nfs/dbraw/zinc/30/27/05/1047302705.db2.gz ARDDVEFJDOASER-NSHDSACASA-N 0 3 318.751 4.163 20 0 DIADHN FC1(F)CCC(CCNCc2csc(C3CC3)n2)CC1 ZINC000898032018 1047313274 /nfs/dbraw/zinc/31/32/74/1047313274.db2.gz SSXUGEXAOLXYIA-UHFFFAOYSA-N 0 3 300.418 4.326 20 0 DIADHN FC1(F)CC[C@@H](CCNCc2csc(-c3ccccn3)n2)C1 ZINC000898019444 1047313314 /nfs/dbraw/zinc/31/33/14/1047313314.db2.gz MCKHMQMYIAPNBJ-LBPRGKRZSA-N 0 3 323.412 4.120 20 0 DIADHN CC(C)c1nc([C@H](C)NC2CCC(OC(F)F)CC2)cs1 ZINC000651469522 1047315737 /nfs/dbraw/zinc/31/57/37/1047315737.db2.gz QCIUKWWUSHQUCF-UNXYVOJBSA-N 0 3 318.433 4.468 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cccc3c2OCO3)C1 ZINC001141956617 1047315728 /nfs/dbraw/zinc/31/57/28/1047315728.db2.gz ROGXDUVPFGGZQQ-CYBMUJFWSA-N 0 3 315.800 4.058 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC001142531901 1047317276 /nfs/dbraw/zinc/31/72/76/1047317276.db2.gz PGSMXUUJKOMKET-ULQDDVLXSA-N 0 3 316.489 4.475 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725814923 1047317723 /nfs/dbraw/zinc/31/77/23/1047317723.db2.gz XGDWHJFFHDPSSS-RDJZCZTQSA-N 0 3 318.461 4.079 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2cc3cnccc3o2)cc1 ZINC000651680324 1047323022 /nfs/dbraw/zinc/32/30/22/1047323022.db2.gz GZHPQZCKPOZGOV-AWEZNQCLSA-N 0 3 310.397 4.466 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000840746042 1047324172 /nfs/dbraw/zinc/32/41/72/1047324172.db2.gz XJERZDNVWCATRZ-MLGOLLRUSA-N 0 3 316.470 4.497 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000651739246 1047325002 /nfs/dbraw/zinc/32/50/02/1047325002.db2.gz OMZGBGRLMHHPHL-YMTOWFKASA-N 0 3 322.811 4.465 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651716095 1047325228 /nfs/dbraw/zinc/32/52/28/1047325228.db2.gz KUQZEXHEMGANFT-QRWLVFNGSA-N 0 3 312.457 4.196 20 0 DIADHN C[C@@H](NCc1cccc2cc[nH]c21)c1cnn(CC2CCC2)c1 ZINC000898177429 1047326097 /nfs/dbraw/zinc/32/60/97/1047326097.db2.gz PZHNOOSENKHADZ-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccc(-c2ccccc2)cn1 ZINC000651749194 1047328105 /nfs/dbraw/zinc/32/81/05/1047328105.db2.gz OAHZYXBRCWFQRQ-TWOQFEAHSA-N 0 3 310.441 4.357 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651794183 1047329785 /nfs/dbraw/zinc/32/97/85/1047329785.db2.gz IBZMPCNZFOGUIS-XPGWFJOJSA-N 0 3 310.441 4.357 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651814708 1047330404 /nfs/dbraw/zinc/33/04/04/1047330404.db2.gz FWFMIRHASFJOTI-MGPUTAFESA-N 0 3 310.441 4.214 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC[C@@H]1c1ccncc1 ZINC001141985891 1047331400 /nfs/dbraw/zinc/33/14/00/1047331400.db2.gz OCWWLWVYKCEFDC-LJQANCHMSA-N 0 3 319.408 4.382 20 0 DIADHN Cc1ccccc1C1(NCc2cnc3cccc(C)n23)CCC1 ZINC000651862547 1047332315 /nfs/dbraw/zinc/33/23/15/1047332315.db2.gz WMHKCKMKBSALIN-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN C[C@H]1CC[C@H](CO)CN1Cc1sccc1Oc1ccccc1 ZINC001141994299 1047336029 /nfs/dbraw/zinc/33/60/29/1047336029.db2.gz GVZCBERTLOMMKL-GJZGRUSLSA-N 0 3 317.454 4.133 20 0 DIADHN COC1CCN(Cc2sccc2Oc2ccccc2)CC1 ZINC001141994807 1047336554 /nfs/dbraw/zinc/33/65/54/1047336554.db2.gz ZVLYIPKFXUDPBS-UHFFFAOYSA-N 0 3 303.427 4.151 20 0 DIADHN C[C@H](COCC1CC1)N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651983873 1047337629 /nfs/dbraw/zinc/33/76/29/1047337629.db2.gz MOSLLZUUCBXIRM-CVEARBPZSA-N 0 3 310.441 4.214 20 0 DIADHN Fc1ccc(CN[C@H](c2ccncc2)C2CC2)cc1C(F)(F)F ZINC000898324926 1047337918 /nfs/dbraw/zinc/33/79/18/1047337918.db2.gz MBCFBXBTYIBIIA-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN CN(Cc1sccc1Oc1ccccc1)CC1(C)COC1 ZINC001142001495 1047338862 /nfs/dbraw/zinc/33/88/62/1047338862.db2.gz RZUREQWBCJOFEP-UHFFFAOYSA-N 0 3 303.427 4.009 20 0 DIADHN COCCN(Cc1sccc1Oc1ccccc1)C(C)C ZINC001141999441 1047338936 /nfs/dbraw/zinc/33/89/36/1047338936.db2.gz JAKPLLCKNUEFAT-UHFFFAOYSA-N 0 3 305.443 4.397 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC)CC(C)C)co2)cc1 ZINC000533001227 1047339622 /nfs/dbraw/zinc/33/96/22/1047339622.db2.gz UPEPYRIIYJEODV-UHFFFAOYSA-N 0 3 302.418 4.218 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@H](C)c2ccc(-c3ccccc3)cn2)CC1 ZINC000652173335 1047343592 /nfs/dbraw/zinc/34/35/92/1047343592.db2.gz FVOISMIBJCVYDS-OXQOHEQNSA-N 0 3 324.468 4.196 20 0 DIADHN CC(C)C[C@H](NCc1ccc(CO)c(F)c1)c1ccc(F)cc1 ZINC000652142819 1047343620 /nfs/dbraw/zinc/34/36/20/1047343620.db2.gz DJMZXDPUQCRZDM-IBGZPJMESA-N 0 3 319.395 4.334 20 0 DIADHN CC(C)C[C@H](NCc1ccccc1CO)c1ccc(F)cc1 ZINC000652143018 1047344599 /nfs/dbraw/zinc/34/45/99/1047344599.db2.gz LMIYCUPWRWVVQF-IBGZPJMESA-N 0 3 301.405 4.195 20 0 DIADHN CC(C)C[C@H](NCc1cnc2n1CCCC2)c1ccc(F)cc1 ZINC000652196960 1047346882 /nfs/dbraw/zinc/34/68/82/1047346882.db2.gz JXYXQYLVKWZGQE-SFHVURJKSA-N 0 3 315.436 4.236 20 0 DIADHN c1nc2n(c1CN[C@H](c1cccnc1)C1CCCCC1)CCCC2 ZINC000652196825 1047346905 /nfs/dbraw/zinc/34/69/05/1047346905.db2.gz FKSFOGWRNKJITK-FQEVSTJZSA-N 0 3 324.472 4.026 20 0 DIADHN C[C@@H](N[C@H]1CCN(C2CC2)C1)c1cc(F)c(Cl)cc1Cl ZINC000044686810 1047350361 /nfs/dbraw/zinc/35/03/61/1047350361.db2.gz PAUFMGRQPDDQGH-ZJUUUORDSA-N 0 3 317.235 4.020 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1-n1ccnn1)c1ccccc1F ZINC000652250345 1047351062 /nfs/dbraw/zinc/35/10/62/1047351062.db2.gz DUMAOYBWHGGWBL-KDOFPFPSSA-N 0 3 324.403 4.208 20 0 DIADHN C[C@H](N[C@@H]1CCOc2c(Cl)c(Cl)ccc21)[C@@H]1CC1(F)F ZINC000840879602 1047351166 /nfs/dbraw/zinc/35/11/66/1047351166.db2.gz QLUXGTZENVSEEB-OVYXKVPISA-N 0 3 322.182 4.450 20 0 DIADHN CCOC(CCCNCc1c(F)cc(C)cc1Cl)OCC ZINC000840876128 1047351370 /nfs/dbraw/zinc/35/13/70/1047351370.db2.gz LJRFJOWBDZZOAK-UHFFFAOYSA-N 0 3 317.832 4.056 20 0 DIADHN CSc1ccc(CN2CC[C@H](Oc3ccccc3)C2)s1 ZINC001142025664 1047351504 /nfs/dbraw/zinc/35/15/04/1047351504.db2.gz HASAIRVOXWGUEO-AWEZNQCLSA-N 0 3 305.468 4.123 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001142027040 1047351694 /nfs/dbraw/zinc/35/16/94/1047351694.db2.gz WBUDDTGZQOEHMZ-SFHVURJKSA-N 0 3 322.537 4.339 20 0 DIADHN CSc1ccc(CN(Cc2ccccc2)CC(C)(C)O)s1 ZINC001142029221 1047352665 /nfs/dbraw/zinc/35/26/65/1047352665.db2.gz REWAOCMQVSDKDD-UHFFFAOYSA-N 0 3 321.511 4.243 20 0 DIADHN Fc1cc2cc(CN3C[C@@H]4CCC[C@@H]4C3)c(Cl)nc2cc1F ZINC001142035020 1047354289 /nfs/dbraw/zinc/35/42/89/1047354289.db2.gz CUPYMIHBHSHXEP-PHIMTYICSA-N 0 3 322.786 4.398 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000840893086 1047356814 /nfs/dbraw/zinc/35/68/14/1047356814.db2.gz DEPNOVMMVZIESI-GLQYFDAESA-N 0 3 308.466 4.178 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCOCCC(C)C ZINC000045094325 1047358253 /nfs/dbraw/zinc/35/82/53/1047358253.db2.gz MAIIVOLAXPFZON-ZDUSSCGKSA-N 0 3 312.523 4.462 20 0 DIADHN O=C1SCCN1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC000726590725 1047367981 /nfs/dbraw/zinc/36/79/81/1047367981.db2.gz RSNMOXPKHNSOLV-UHFFFAOYSA-N 0 3 324.877 4.215 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCOc2ccccc2F)cc1 ZINC000726596582 1047369994 /nfs/dbraw/zinc/36/99/94/1047369994.db2.gz ZLMXQTJHAWYZIO-UHFFFAOYSA-N 0 3 317.404 4.124 20 0 DIADHN CC[C@H](NC/C=C/c1ccccc1OC)c1ccccc1OC ZINC000726607581 1047371581 /nfs/dbraw/zinc/37/15/81/1047371581.db2.gz UGSXHEJAZHCNJD-CKDFRHGISA-N 0 3 311.425 4.458 20 0 DIADHN COCCOc1ccc(CN[C@H](C)c2cccc(Cl)c2)cc1 ZINC000107313450 1047376441 /nfs/dbraw/zinc/37/64/41/1047376441.db2.gz ZCTLPLJCYYPKRX-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1cc(NCc2ccccc2-c2ccc(Cl)cc2)nc(N)n1 ZINC000726786521 1047380684 /nfs/dbraw/zinc/38/06/84/1047380684.db2.gz CEALPLKGNPYQCZ-UHFFFAOYSA-N 0 3 324.815 4.300 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2Cc2ccc(F)cc2)c(Cl)n1 ZINC001142147377 1047388481 /nfs/dbraw/zinc/38/84/81/1047388481.db2.gz REHOFLLAXIIDNJ-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1ccc(C(=O)Nc2ccc(CN(C)C)cc2F)c(Cl)c1 ZINC001142143092 1047389300 /nfs/dbraw/zinc/38/93/00/1047389300.db2.gz MLXSYBFNFNVIQD-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CCCCn1nc(C)c(CNCCc2cccc(C)c2)c1Cl ZINC000749264015 1047405149 /nfs/dbraw/zinc/40/51/49/1047405149.db2.gz PNYIARBDZNETMZ-UHFFFAOYSA-N 0 3 319.880 4.286 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cc2ccccc2n(C)c1=O ZINC000653617976 1047410182 /nfs/dbraw/zinc/41/01/82/1047410182.db2.gz PFKNEQFIRMSHHE-MRXNPFEDSA-N 0 3 320.436 4.006 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1CCc1noc2ccccc21 ZINC000653732172 1047418219 /nfs/dbraw/zinc/41/82/19/1047418219.db2.gz QXBBDENRHHSNDS-NEPJUHHUSA-N 0 3 312.335 4.033 20 0 DIADHN c1csc([C@H]2CCCN2Cc2ccc3c(c2)OCCCO3)c1 ZINC001142266807 1047420658 /nfs/dbraw/zinc/42/06/58/1047420658.db2.gz LFMDUSBNUVLRBE-OAHLLOKOSA-N 0 3 315.438 4.247 20 0 DIADHN Fc1ccc(CN2CCC(c3ccc4cccnc4n3)CC2)cc1 ZINC000653806547 1047423127 /nfs/dbraw/zinc/42/31/27/1047423127.db2.gz RNSWSKOWGUBACB-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CCc1csc(CC2CCN([C@H](C)c3ccccn3)CC2)n1 ZINC000653802625 1047423678 /nfs/dbraw/zinc/42/36/78/1047423678.db2.gz NMNLHOGYLOIJMY-CQSZACIVSA-N 0 3 315.486 4.116 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001142371946 1047435855 /nfs/dbraw/zinc/43/58/55/1047435855.db2.gz JZSPWVCJMCRWCM-AZUAARDMSA-N 0 3 307.437 4.482 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001142371948 1047436293 /nfs/dbraw/zinc/43/62/93/1047436293.db2.gz JZSPWVCJMCRWCM-ICSRJNTNSA-N 0 3 307.437 4.482 20 0 DIADHN COCCOc1ccc([C@H](C)NCc2ccc(C)c(F)c2)cc1 ZINC000119075364 1047441995 /nfs/dbraw/zinc/44/19/95/1047441995.db2.gz XOLMWZCCXXSGGK-HNNXBMFYSA-N 0 3 317.404 4.010 20 0 DIADHN Brc1ccc2c(CN3CCCCC34CC4)c[nH]c2c1 ZINC001142401807 1047442175 /nfs/dbraw/zinc/44/21/75/1047442175.db2.gz KVGZKBJQCJJDNN-UHFFFAOYSA-N 0 3 319.246 4.449 20 0 DIADHN Cc1nn(C)c2nc(Cl)c(CN(C)C3CCCCCC3)cc12 ZINC000749898249 1047445355 /nfs/dbraw/zinc/44/53/55/1047445355.db2.gz DIMABBMIXSZFMB-UHFFFAOYSA-N 0 3 320.868 4.085 20 0 DIADHN Cc1nn(C)c(C)c1CN(C)[C@@H](C)c1ccc2ccccc2c1 ZINC000749902980 1047447077 /nfs/dbraw/zinc/44/70/77/1047447077.db2.gz FSYURKGWSHRTNH-HNNXBMFYSA-N 0 3 307.441 4.383 20 0 DIADHN Cc1ccc(N(CC(C)C)C(=O)[C@H](C)N2CCCCCC2)cc1 ZINC001142505834 1047463161 /nfs/dbraw/zinc/46/31/61/1047463161.db2.gz BYIQVHWYLOZHQJ-SFHVURJKSA-N 0 3 316.489 4.249 20 0 DIADHN CN(Cc1cc(C(F)(F)F)ccc1Cl)C[C@@H]1CCCOC1 ZINC000119731928 1047466107 /nfs/dbraw/zinc/46/61/07/1047466107.db2.gz HDAPFGILQJDYDG-NSHDSACASA-N 0 3 321.770 4.217 20 0 DIADHN CC(C)N(Cc1csc(-c2cccs2)n1)C1CCOCC1 ZINC000119696763 1047469198 /nfs/dbraw/zinc/46/91/98/1047469198.db2.gz ICGTUCKEFMQKJW-UHFFFAOYSA-N 0 3 322.499 4.261 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1Cc1cccc2nc[nH]c21 ZINC001142692445 1047481857 /nfs/dbraw/zinc/48/18/57/1047481857.db2.gz CZZORZAVCKSNFP-INIZCTEOSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2cccc3nc[nH]c32)c(F)c1 ZINC001142692382 1047481967 /nfs/dbraw/zinc/48/19/67/1047481967.db2.gz BADISNUTNOBVRX-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2cccc3nc[nH]c32)c1 ZINC001142696228 1047483296 /nfs/dbraw/zinc/48/32/96/1047483296.db2.gz IWGRLXIJSIHFHX-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc3c(c2)OCO3)cc1 ZINC000120333931 1047487301 /nfs/dbraw/zinc/48/73/01/1047487301.db2.gz KYPCORZTVNPTNH-CQSZACIVSA-N 0 3 313.397 4.053 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089913011 1047493204 /nfs/dbraw/zinc/49/32/04/1047493204.db2.gz BEWBYRXNLVOWTK-BLLLJJGKSA-N 0 3 317.433 4.347 20 0 DIADHN Cc1cc(-c2nnc(CN3CCCC[C@@H]3CC(C)C)o2)c(C)o1 ZINC000089920724 1047493324 /nfs/dbraw/zinc/49/33/24/1047493324.db2.gz CFOFICMTLVRSJY-OAHLLOKOSA-N 0 3 317.433 4.347 20 0 DIADHN c1ccc2c(c1)CCSC[C@H]2NCc1cccc2cccnc21 ZINC000120532777 1047495961 /nfs/dbraw/zinc/49/59/61/1047495961.db2.gz OEYRGRZAGBMUEJ-LJQANCHMSA-N 0 3 320.461 4.355 20 0 DIADHN COc1ccc(CN(Cc2scnc2C)[C@H](C)C2CC2)cc1 ZINC000120717138 1047501549 /nfs/dbraw/zinc/50/15/49/1047501549.db2.gz MYDDTAFTDVAMNK-CQSZACIVSA-N 0 3 316.470 4.261 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](CN1CCCC1)c1ccccc1 ZINC000120826930 1047503413 /nfs/dbraw/zinc/50/34/13/1047503413.db2.gz BNYBLUMJZFAAKW-YLJYHZDGSA-N 0 3 324.468 4.183 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](CC(C)C)c1ccncc1 ZINC000842840686 1047507069 /nfs/dbraw/zinc/50/70/69/1047507069.db2.gz GROJWZLAGSNPCB-IBGZPJMESA-N 0 3 311.473 4.415 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)Cc2ccc(O)cc2)c(Cl)c1 ZINC000537632665 1047507134 /nfs/dbraw/zinc/50/71/34/1047507134.db2.gz JHIVNZUIFLZVHJ-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccncc1 ZINC000842869230 1047509869 /nfs/dbraw/zinc/50/98/69/1047509869.db2.gz CLMVZVSLMIIKEY-LJQANCHMSA-N 0 3 312.457 4.186 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2ccnc2)cc1)c1c(C)noc1C ZINC000090886634 1047511651 /nfs/dbraw/zinc/51/16/51/1047511651.db2.gz SYFGAQVOSBWIKQ-FZKQIMNGSA-N 0 3 324.428 4.279 20 0 DIADHN CCn1nc(C)c(CN2C[C@H](C)C[C@H]2c2cccc(F)c2)c1C ZINC000537635636 1047518344 /nfs/dbraw/zinc/51/83/44/1047518344.db2.gz GZWXLPAIQAPFFJ-YJYMSZOUSA-N 0 3 315.436 4.242 20 0 DIADHN COc1cc(C)c(CN(C)CCC(=O)c2cccs2)c(C)c1 ZINC001142941303 1047518511 /nfs/dbraw/zinc/51/85/11/1047518511.db2.gz CYIFBJUBEUTHDI-UHFFFAOYSA-N 0 3 317.454 4.078 20 0 DIADHN CCn1nc(C)c(CN2C[C@@H](C)C[C@@H]2c2cccc(F)c2)c1C ZINC000537635635 1047518773 /nfs/dbraw/zinc/51/87/73/1047518773.db2.gz GZWXLPAIQAPFFJ-ORAYPTAESA-N 0 3 315.436 4.242 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H](C(F)(F)F)C2)c(C)c1 ZINC001142935052 1047518754 /nfs/dbraw/zinc/51/87/54/1047518754.db2.gz MNEJLGGFTHAOEW-ZDUSSCGKSA-N 0 3 301.352 4.086 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000121405659 1047518992 /nfs/dbraw/zinc/51/89/92/1047518992.db2.gz VHDFONMMCYUDGF-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN CC1(F)CCN(Cc2nccnc2-c2ccc(Cl)cc2)CC1 ZINC001142965895 1047520977 /nfs/dbraw/zinc/52/09/77/1047520977.db2.gz DCKPNLUNEJOVKT-UHFFFAOYSA-N 0 3 319.811 4.121 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccc2nccn2c1 ZINC001143034014 1047527103 /nfs/dbraw/zinc/52/71/03/1047527103.db2.gz RVWXGDFOOAJKMV-KRWDZBQOSA-N 0 3 323.465 4.393 20 0 DIADHN CCN(Cc1ccccc1NC(C)=O)[C@@H](C)c1ccc(F)cc1 ZINC000092124018 1047528468 /nfs/dbraw/zinc/52/84/68/1047528468.db2.gz MCXWUBRJULFCIW-AWEZNQCLSA-N 0 3 314.404 4.367 20 0 DIADHN Cc1ccc(CN2CCC(c3cccc(Cl)c3)CC2)c(N)n1 ZINC001143196554 1047541398 /nfs/dbraw/zinc/54/13/98/1047541398.db2.gz CDNSPVNTCFWUFZ-UHFFFAOYSA-N 0 3 315.848 4.005 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(OC)c(F)c2)cc1F ZINC000122183110 1047541481 /nfs/dbraw/zinc/54/14/81/1047541481.db2.gz IMJNNKWFZMJHIS-VXGBXAGGSA-N 0 3 321.367 4.394 20 0 DIADHN Cc1ccc(CN2CCCC[C@H]2c2ccc(C)c(C)c2)c(N)n1 ZINC001143206540 1047541438 /nfs/dbraw/zinc/54/14/38/1047541438.db2.gz HTLUSPMDVOXKHE-IBGZPJMESA-N 0 3 309.457 4.316 20 0 DIADHN FC(F)c1ccc(CN2CCC[C@@H](Oc3cccnc3)C2)cc1 ZINC001143251204 1047544988 /nfs/dbraw/zinc/54/49/88/1047544988.db2.gz NISZORKYUWXLCZ-QGZVFWFLSA-N 0 3 318.367 4.063 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1cnc(C2CCC2)s1 ZINC000093324902 1047545650 /nfs/dbraw/zinc/54/56/50/1047545650.db2.gz IODMIVWWCRUUOS-CYBMUJFWSA-N 0 3 316.470 4.179 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@@H](C(C)(C)C)CC2)cc1 ZINC000093439034 1047546529 /nfs/dbraw/zinc/54/65/29/1047546529.db2.gz HEPMZWLSHWHEJZ-IIBYNOLFSA-N 0 3 307.503 4.072 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)Cc2cccc3c[nH]nc32)s1 ZINC000093612450 1047549563 /nfs/dbraw/zinc/54/95/63/1047549563.db2.gz CQHWBLPEXHNYTQ-GFCCVEGCSA-N 0 3 314.458 4.083 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000093764242 1047550766 /nfs/dbraw/zinc/55/07/66/1047550766.db2.gz NDRSXFPOXPFJDE-DZGCQCFKSA-N 0 3 304.459 4.313 20 0 DIADHN CN(CCc1nccs1)Cc1cc(Cl)cc(Cl)c1 ZINC000093843843 1047552468 /nfs/dbraw/zinc/55/24/68/1047552468.db2.gz ZXKDBOPBDSFGNJ-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)C(C)(C)C ZINC001149183460 1047556409 /nfs/dbraw/zinc/55/64/09/1047556409.db2.gz BFAPVZRGGZGYJK-FCEWJHQRSA-N 0 3 316.489 4.071 20 0 DIADHN Cc1cc(F)c2[nH]ccc2c1Nc1ccc2c(c1)CN(C)CC2 ZINC001212976499 1047557445 /nfs/dbraw/zinc/55/74/45/1047557445.db2.gz ALWBGIMYLNEYAG-UHFFFAOYSA-N 0 3 309.388 4.347 20 0 DIADHN CC(C)c1ccc(C(=O)N2CCN(C)C(C)(C)CC2)cc1Cl ZINC001149582694 1047565977 /nfs/dbraw/zinc/56/59/77/1047565977.db2.gz VKRACTRLKBXRST-UHFFFAOYSA-N 0 3 322.880 4.020 20 0 DIADHN COc1ccc(C)cc1CCNCc1c(F)cccc1Cl ZINC000061557230 1047572365 /nfs/dbraw/zinc/57/23/65/1047572365.db2.gz XDAXMGYKDWBQDI-UHFFFAOYSA-N 0 3 307.796 4.128 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H]2c2ccccc2C)oc1C ZINC000097476111 1047573259 /nfs/dbraw/zinc/57/32/59/1047573259.db2.gz GHNLIMSUACWKDD-GOSISDBHSA-N 0 3 313.397 4.020 20 0 DIADHN CC(C)Cc1ncc(CN2CCS[C@@H]3CCCC[C@H]32)s1 ZINC000537649192 1047574232 /nfs/dbraw/zinc/57/42/32/1047574232.db2.gz SBZHLOXABNZMJC-HUUCEWRRSA-N 0 3 310.532 4.202 20 0 DIADHN CC(C)Cc1ncc(CN2CCS[C@@H]3CCCC[C@@H]32)s1 ZINC000537649193 1047574284 /nfs/dbraw/zinc/57/42/84/1047574284.db2.gz SBZHLOXABNZMJC-LSDHHAIUSA-N 0 3 310.532 4.202 20 0 DIADHN C[C@@H](c1ccncc1)N(C)CCOc1ccc2ccccc2c1 ZINC000537678938 1047574345 /nfs/dbraw/zinc/57/43/45/1047574345.db2.gz XYNICJCOLLKRQG-INIZCTEOSA-N 0 3 306.409 4.307 20 0 DIADHN CC(C)N(C)C(=O)[C@H](C)N(C)Cc1ccccc1-c1ccccc1 ZINC000476799434 1047576334 /nfs/dbraw/zinc/57/63/34/1047576334.db2.gz CGYXTKNIAFVSCN-KRWDZBQOSA-N 0 3 324.468 4.041 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(CC(C)C)C1CC1)c1cccs1 ZINC000541625919 1047576655 /nfs/dbraw/zinc/57/66/55/1047576655.db2.gz AAZVSFRFFCCWRF-INIZCTEOSA-N 0 3 322.518 4.072 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(CC(C)C)C1CC1)c1cccs1 ZINC000541625920 1047576692 /nfs/dbraw/zinc/57/66/92/1047576692.db2.gz AAZVSFRFFCCWRF-MRXNPFEDSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1nc(CCN2CC=C(c3cc(C)cc(C)c3)CC2)cs1 ZINC000537686813 1047576776 /nfs/dbraw/zinc/57/67/76/1047576776.db2.gz OSQHLVMXPSQWEY-UHFFFAOYSA-N 0 3 312.482 4.400 20 0 DIADHN COc1ccc(CN(Cc2cnc(C)s2)[C@@H](C)C2CC2)cc1 ZINC000098134662 1047578026 /nfs/dbraw/zinc/57/80/26/1047578026.db2.gz YNJULURNMBWIDS-ZDUSSCGKSA-N 0 3 316.470 4.261 20 0 DIADHN Clc1cc(Cl)cc(CN2CCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000537696065 1047583087 /nfs/dbraw/zinc/58/30/87/1047583087.db2.gz DXZDFYXLUDRLQT-ZIAGYGMSSA-N 0 3 314.256 4.242 20 0 DIADHN CC(C)=C[C@H]1[C@H](C(=O)Nc2cc(C)cc(CN(C)C)c2)C1(C)C ZINC001151013200 1047590506 /nfs/dbraw/zinc/59/05/06/1047590506.db2.gz ZBFNZPHKDJFMOX-ZWKOTPCHSA-N 0 3 314.473 4.234 20 0 DIADHN CC(C)N(Cc1ccc(F)cc1Br)CC1CC1 ZINC000047861659 1047595375 /nfs/dbraw/zinc/59/53/75/1047595375.db2.gz CFLCZMFKLCLUEF-UHFFFAOYSA-N 0 3 300.215 4.209 20 0 DIADHN C[C@@H]([NH2+]C1CCC(C(F)(F)F)CC1)c1cc([O-])cc(F)c1 ZINC000924628794 1047599901 /nfs/dbraw/zinc/59/99/01/1047599901.db2.gz LXYHROCZFZCERX-CBZQXFMTSA-N 0 3 305.315 4.303 20 0 DIADHN c1cn(C2CCCC2)nc1CN1CCC[C@@H]1Cc1ccccc1 ZINC000477172226 1047601947 /nfs/dbraw/zinc/60/19/47/1047601947.db2.gz TUAUUSZJHRVPIG-HXUWFJFHSA-N 0 3 309.457 4.205 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)s1 ZINC000926922524 1047609775 /nfs/dbraw/zinc/60/97/75/1047609775.db2.gz IQNWYIQEQUKRSU-NEPJUHHUSA-N 0 3 316.470 4.188 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1ccn(C2CCCC2)n1 ZINC000477286319 1047610543 /nfs/dbraw/zinc/61/05/43/1047610543.db2.gz RSVGZOQIJMQOBZ-WMZOPIPTSA-N 0 3 309.457 4.376 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H](c4ccccc4)C3)co2)cc1 ZINC000477293508 1047611258 /nfs/dbraw/zinc/61/12/58/1047611258.db2.gz AFBAEDIXYGHNJD-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN Cc1cc(CN[C@](C)(CO)c2ccccc2)ccc1C(F)(F)F ZINC000666275456 1047613499 /nfs/dbraw/zinc/61/34/99/1047613499.db2.gz WBVOOVAWKZCXDV-QGZVFWFLSA-N 0 3 323.358 4.011 20 0 DIADHN CN(CC(=O)N[C@H](CC1CCC1)c1ccccc1)CC(C)(C)C ZINC001152853045 1047616575 /nfs/dbraw/zinc/61/65/75/1047616575.db2.gz SXIFNQKVKYAEAC-GOSISDBHSA-N 0 3 316.489 4.012 20 0 DIADHN CCCC[C@H](CC)CCN[C@@H](C)c1nnc2n1CCCCC2 ZINC000537746035 1047636136 /nfs/dbraw/zinc/63/61/36/1047636136.db2.gz BHGGNCWTOWCRLK-HOTGVXAUSA-N 0 3 306.498 4.262 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H](C)c3cccnc3)cc2)cs1 ZINC000537768122 1047637644 /nfs/dbraw/zinc/63/76/44/1047637644.db2.gz NFAJZAVJPJIHMG-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN FCCCCNCc1oc2ccccc2c1Br ZINC000655776019 1047637873 /nfs/dbraw/zinc/63/78/73/1047637873.db2.gz GRTGRDOTNGERNA-UHFFFAOYSA-N 0 3 300.171 4.035 20 0 DIADHN CC(C)c1cccc2cc(C(=O)Nc3cnccc3N(C)C)[nH]c21 ZINC001154095510 1047639415 /nfs/dbraw/zinc/63/94/15/1047639415.db2.gz VMKHSURGVUZXEX-UHFFFAOYSA-N 0 3 322.412 4.005 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CC[C@@H](C)C3(CCC3)C2)c1C ZINC000537841650 1047640363 /nfs/dbraw/zinc/64/03/63/1047640363.db2.gz RQWDSQOQRFZPSZ-NVXWUHKLSA-N 0 3 314.473 4.143 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccnc(SC)c2)cc1 ZINC000537936772 1047644994 /nfs/dbraw/zinc/64/49/94/1047644994.db2.gz HCXINANFEJQLLM-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc(OC)cc2C)s1 ZINC000537955052 1047646942 /nfs/dbraw/zinc/64/69/42/1047646942.db2.gz FQVMKJYGGFRVTK-GFCCVEGCSA-N 0 3 304.459 4.182 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1cccc(OC(F)F)c1 ZINC000537978396 1047649043 /nfs/dbraw/zinc/64/90/43/1047649043.db2.gz SEXALKWFAHUPBP-APPDUMDISA-N 0 3 321.342 4.450 20 0 DIADHN C[C@H](NCCOc1ccc(C(C)(C)C)cc1)c1ccc(F)cn1 ZINC000537971976 1047652024 /nfs/dbraw/zinc/65/20/24/1047652024.db2.gz PPJNUFRGLGTPIN-AWEZNQCLSA-N 0 3 316.420 4.248 20 0 DIADHN CCn1nc(C)c(CN[C@H](c2ccc(F)c(C)c2)C2CC2)c1C ZINC000538099136 1047656597 /nfs/dbraw/zinc/65/65/97/1047656597.db2.gz RHUZHGPAFOELDX-IBGZPJMESA-N 0 3 315.436 4.208 20 0 DIADHN c1sc2ccccc2c1C[C@H]1CCCN(Cc2cncnc2)C1 ZINC000344917813 1047661413 /nfs/dbraw/zinc/66/14/13/1047661413.db2.gz YQCQMKVIBZWTGX-OAHLLOKOSA-N 0 3 323.465 4.146 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000538205070 1047661433 /nfs/dbraw/zinc/66/14/33/1047661433.db2.gz CVFNENXWFJTSFD-GOSISDBHSA-N 0 3 316.832 4.375 20 0 DIADHN CCCCCC(C)(C)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001154890498 1047661487 /nfs/dbraw/zinc/66/14/87/1047661487.db2.gz ZRXGYRJWEJLFTJ-INIZCTEOSA-N 0 3 308.510 4.068 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1nccn1C ZINC000538207197 1047663289 /nfs/dbraw/zinc/66/32/89/1047663289.db2.gz DMZQYKVKYJDWNM-AWEZNQCLSA-N 0 3 317.864 4.236 20 0 DIADHN O=C(CN[C@@H](c1cccs1)C1CC1)Nc1ccccc1Cl ZINC000538264190 1047664501 /nfs/dbraw/zinc/66/45/01/1047664501.db2.gz FYATVAIEYNXORK-MRXNPFEDSA-N 0 3 320.845 4.081 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@H](c2ccccc2C)C2CC2)c1 ZINC000538389258 1047672868 /nfs/dbraw/zinc/67/28/68/1047672868.db2.gz CWMDCRDSHPQUMZ-UZLBHIALSA-N 0 3 322.452 4.371 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc2ccc(Cl)cc2n1 ZINC001155254455 1047673005 /nfs/dbraw/zinc/67/30/05/1047673005.db2.gz LHPGLJVSAPCYGG-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1nccc2c(Cl)cccc21 ZINC001155254919 1047673462 /nfs/dbraw/zinc/67/34/62/1047673462.db2.gz PGUYCLXARWGZAX-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2c(CC)noc2CC)C12CCCCC2 ZINC000538393218 1047674059 /nfs/dbraw/zinc/67/40/59/1047674059.db2.gz JROOGPXVQSXLLE-ZWKOTPCHSA-N 0 3 320.477 4.017 20 0 DIADHN CC[C@@H](NCc1nnc(-c2cccc(Cl)c2)o1)C(C)(C)C ZINC000538392823 1047674340 /nfs/dbraw/zinc/67/43/40/1047674340.db2.gz PPFMTRLYZJPJMQ-CYBMUJFWSA-N 0 3 307.825 4.304 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)N[C@H](C)c1cccc(CO)c1 ZINC000538451901 1047678646 /nfs/dbraw/zinc/67/86/46/1047678646.db2.gz DTHZNKUZIJMXGK-CVEARBPZSA-N 0 3 313.441 4.378 20 0 DIADHN CC(C)P(CCNC(=O)c1c2cc[nH]c2ccc1F)C(C)C ZINC001155441361 1047680948 /nfs/dbraw/zinc/68/09/48/1047680948.db2.gz MRKOSXYXYLQGHW-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCOC(C)(C)C)c1 ZINC000538524562 1047683423 /nfs/dbraw/zinc/68/34/23/1047683423.db2.gz BEDUUILUPMJEEA-LBPRGKRZSA-N 0 3 301.377 4.062 20 0 DIADHN Clc1ccc2ccnc(N[C@H]3CNCc4ccsc43)c2c1 ZINC001155561697 1047684086 /nfs/dbraw/zinc/68/40/86/1047684086.db2.gz OEEIVDSGHPNYME-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cccc2c(N[C@H]3CNCc4ccsc43)nccc12 ZINC001155560523 1047684184 /nfs/dbraw/zinc/68/41/84/1047684184.db2.gz GHXZTBCRGTWADC-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cccc2ccc(N[C@H]3CNCc4ccsc43)nc12 ZINC001155562971 1047684976 /nfs/dbraw/zinc/68/49/76/1047684976.db2.gz YXYYEBRWYFBBJT-ZDUSSCGKSA-N 0 3 315.829 4.206 20 0 DIADHN CC[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cccs1 ZINC000538551800 1047687505 /nfs/dbraw/zinc/68/75/05/1047687505.db2.gz SRAJSMJOURNGEA-CYBMUJFWSA-N 0 3 301.411 4.207 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000538717296 1047699801 /nfs/dbraw/zinc/69/98/01/1047699801.db2.gz RZJQNTUEFHUKBW-HOCLYGCPSA-N 0 3 308.491 4.395 20 0 DIADHN Cn1cccc1CN1CCC(Sc2ccc(Cl)cc2)CC1 ZINC000538722779 1047700242 /nfs/dbraw/zinc/70/02/42/1047700242.db2.gz OUJGBZVXKYJQKK-UHFFFAOYSA-N 0 3 320.889 4.435 20 0 DIADHN C[C@H]1C[C@H](NCc2csc(-c3ccc(Cl)cc3)n2)CCO1 ZINC000538774253 1047709724 /nfs/dbraw/zinc/70/97/24/1047709724.db2.gz AVWSVNSBIAEPFN-SMDDNHRTSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(-c3ccc(Cl)cc3)n2)CCO1 ZINC000538774252 1047710007 /nfs/dbraw/zinc/71/00/07/1047710007.db2.gz AVWSVNSBIAEPFN-RISCZKNCSA-N 0 3 322.861 4.121 20 0 DIADHN CN(Cc1ccc(N(C)C(C)(C)Cc2ccccc2)nc1)C1CC1 ZINC001156515448 1047715511 /nfs/dbraw/zinc/71/55/11/1047715511.db2.gz ITRCYSCERSOMRE-UHFFFAOYSA-N 0 3 323.484 4.133 20 0 DIADHN C[C@@H](NCc1cccc(COCc2ccco2)c1)c1cccnc1 ZINC000538867215 1047716276 /nfs/dbraw/zinc/71/62/76/1047716276.db2.gz VIOJQSOWPZWMLD-MRXNPFEDSA-N 0 3 322.408 4.242 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cc2ccccc2o1 ZINC000538879525 1047717817 /nfs/dbraw/zinc/71/78/17/1047717817.db2.gz ZTCVNVLZLFUXDL-YJYMSZOUSA-N 0 3 313.372 4.438 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@H]1CCCN(CC)C1 ZINC001156567256 1047718019 /nfs/dbraw/zinc/71/80/19/1047718019.db2.gz WRCPCAIFVZWSBJ-HKUYNNGSSA-N 0 3 310.526 4.364 20 0 DIADHN Cc1ccc(CCN(CCOC(C)C)Cc2ccco2)cc1 ZINC000461757378 1047718822 /nfs/dbraw/zinc/71/88/22/1047718822.db2.gz QPPJRSJVQGOSEB-UHFFFAOYSA-N 0 3 301.430 4.058 20 0 DIADHN c1ccc2c(c1)nccc2N1CCC(Cc2cccnc2)CC1 ZINC000538927684 1047719521 /nfs/dbraw/zinc/71/95/21/1047719521.db2.gz MSTBAQYSWIRSDF-UHFFFAOYSA-N 0 3 303.409 4.089 20 0 DIADHN Cc1csc(N2CCN(CCC3C[C@H](C)C[C@@H](C)C3)CC2)n1 ZINC001307414430 1047719888 /nfs/dbraw/zinc/71/98/88/1047719888.db2.gz JYMWIRGGAMWRHD-HUUCEWRRSA-N 0 3 321.534 4.036 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(OC)c(F)c2)c(C)c1 ZINC000539063849 1047730600 /nfs/dbraw/zinc/73/06/00/1047730600.db2.gz XDNBVYJANWUWAN-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(c3ccccc3F)C2)o1 ZINC000539047277 1047731388 /nfs/dbraw/zinc/73/13/88/1047731388.db2.gz MONDYXQOAPRRBC-LSDHHAIUSA-N 0 3 302.393 4.047 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3cc(C)ccc32)c(OC)c1 ZINC000539044298 1047732171 /nfs/dbraw/zinc/73/21/71/1047732171.db2.gz OJKRUUSZBWKTLP-LIRRHRJNSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1ccccc1CCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000539066009 1047734376 /nfs/dbraw/zinc/73/43/76/1047734376.db2.gz QUUGASYGPQUQQS-CQSZACIVSA-N 0 3 300.471 4.224 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H]1CCc2c1cccc2OC ZINC000539078352 1047734973 /nfs/dbraw/zinc/73/49/73/1047734973.db2.gz ONGRTTPORYHCJZ-MOPGFXCFSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1cccc([C@@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1C ZINC000539120004 1047737104 /nfs/dbraw/zinc/73/71/04/1047737104.db2.gz XGELUDYJHDWDMG-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cccc([C@@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c1C ZINC000539120004 1047737108 /nfs/dbraw/zinc/73/71/08/1047737108.db2.gz XGELUDYJHDWDMG-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cccc([C@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1C ZINC000539120001 1047737262 /nfs/dbraw/zinc/73/72/62/1047737262.db2.gz XGELUDYJHDWDMG-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cccc([C@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c1C ZINC000539120001 1047737268 /nfs/dbraw/zinc/73/72/68/1047737268.db2.gz XGELUDYJHDWDMG-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](c2ccccc2)C2CC2)c1 ZINC000539217008 1047741811 /nfs/dbraw/zinc/74/18/11/1047741811.db2.gz ZLZIBMWDCYAYSV-HXUWFJFHSA-N 0 3 308.425 4.276 20 0 DIADHN CC(C)[C@@H](NCc1ccc(CO)c(F)c1)c1ccc(Cl)cc1 ZINC000539214744 1047742137 /nfs/dbraw/zinc/74/21/37/1047742137.db2.gz ANIIINXJXNLYQG-GOSISDBHSA-N 0 3 321.823 4.458 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(F)nc(C(F)(F)F)c1 ZINC001213010081 1047747817 /nfs/dbraw/zinc/74/78/17/1047747817.db2.gz SIHAGYCMPVFNNA-UHFFFAOYSA-N 0 3 313.298 4.045 20 0 DIADHN Clc1ccc2ccnc(N3CCN[C@H](c4ccccc4)C3)c2c1 ZINC001157759354 1047753172 /nfs/dbraw/zinc/75/31/72/1047753172.db2.gz NXURNEVKWMUFOG-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN CCC[C@H](O)CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000927031074 1047754465 /nfs/dbraw/zinc/75/44/65/1047754465.db2.gz YNGNDSFNLYLZDZ-ZFWWWQNUSA-N 0 3 304.459 4.012 20 0 DIADHN CCC1(CC)CN(Cc2nc3ccccc3nc2C)CCS1 ZINC000464583244 1047760686 /nfs/dbraw/zinc/76/06/86/1047760686.db2.gz BOMGQZITXLATKZ-UHFFFAOYSA-N 0 3 315.486 4.046 20 0 DIADHN CCCCn1nc(C)c(CNCC[C@@H]2CC=CCC2)c1Cl ZINC001203380930 1047769789 /nfs/dbraw/zinc/76/97/89/1047769789.db2.gz YFSLFXQSXRHMOZ-OAHLLOKOSA-N 0 3 309.885 4.481 20 0 DIADHN C[C@H](CCCO)NCc1c(Cl)cccc1Oc1ccccc1 ZINC000478196316 1047772854 /nfs/dbraw/zinc/77/28/54/1047772854.db2.gz LMDLEGRGGCTHRZ-CQSZACIVSA-N 0 3 319.832 4.383 20 0 DIADHN CC[C@H](C)CC(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000478284597 1047778907 /nfs/dbraw/zinc/77/89/07/1047778907.db2.gz PLHADZHYQCYOPJ-HOCLYGCPSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H]1C[C@H](Nc2cc(Cl)nc3c2CCNC3)c2ccccc21 ZINC001158471493 1047783616 /nfs/dbraw/zinc/78/36/16/1047783616.db2.gz HACFAAROBBZWGC-ABAIWWIYSA-N 0 3 313.832 4.041 20 0 DIADHN Cc1cc2ccccc2nc1N(C1CCCC1)C1CCN(C)CC1 ZINC001158488177 1047786226 /nfs/dbraw/zinc/78/62/26/1047786226.db2.gz LLUHLOQRFKBDAS-UHFFFAOYSA-N 0 3 323.484 4.386 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)CCC1CC1)c1ccc(Cl)cc1 ZINC000552682746 1047786531 /nfs/dbraw/zinc/78/65/31/1047786531.db2.gz UERUMWGRWJXKLS-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN Nc1cccc(N2CCCC[C@H]2c2ccccc2C(F)(F)F)n1 ZINC001158501278 1047786682 /nfs/dbraw/zinc/78/66/82/1047786682.db2.gz QIWZCBKMKKYNKC-AWEZNQCLSA-N 0 3 321.346 4.414 20 0 DIADHN C[C@H](CC(=O)N1CCN(C)C2(CCCCC2)C1)C1CCCCC1 ZINC001158702588 1047795041 /nfs/dbraw/zinc/79/50/41/1047795041.db2.gz JYEJSDVDWYVMPZ-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cnc(CN(C)[C@H](Cc2ccc(F)cc2)C(C)C)s1 ZINC000552812996 1047795562 /nfs/dbraw/zinc/79/55/62/1047795562.db2.gz RMSQDYHTJNSAPL-MRXNPFEDSA-N 0 3 306.450 4.290 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1C ZINC000927070659 1047797088 /nfs/dbraw/zinc/79/70/88/1047797088.db2.gz PQCMURCZLBTDGH-BEFAXECRSA-N 0 3 315.461 4.093 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1C ZINC000927070667 1047797546 /nfs/dbraw/zinc/79/75/46/1047797546.db2.gz PQCMURCZLBTDGH-DNVCBOLYSA-N 0 3 315.461 4.093 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1C ZINC000927070675 1047798572 /nfs/dbraw/zinc/79/85/72/1047798572.db2.gz PQCMURCZLBTDGH-KXBFYZLASA-N 0 3 315.461 4.093 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)c1ccccc1-n1cccn1 ZINC000552899445 1047804098 /nfs/dbraw/zinc/80/40/98/1047804098.db2.gz PXNQFEDBVJUMCO-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN CSc1cccc(NC(=O)[C@H]2CCCN2C2CCCC2)c1C ZINC000553095292 1047822385 /nfs/dbraw/zinc/82/23/85/1047822385.db2.gz ONOUGTJVGUSQFB-MRXNPFEDSA-N 0 3 318.486 4.062 20 0 DIADHN COc1cc(Oc2ccccc2)ccc1Nc1ccnc(CN)c1 ZINC001159296727 1047826597 /nfs/dbraw/zinc/82/65/97/1047826597.db2.gz RNBJHSAJLLAPAL-UHFFFAOYSA-N 0 3 321.380 4.085 20 0 DIADHN NCc1cc(Nc2ccc(OCc3ccccc3)cc2F)ccn1 ZINC001159296705 1047826913 /nfs/dbraw/zinc/82/69/13/1047826913.db2.gz QMBIHEHRWXIWPI-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN CCC[C@@H](C(=O)NC[C@@H](c1cccs1)N1CCCC1)C(C)C ZINC000478941159 1047827569 /nfs/dbraw/zinc/82/75/69/1047827569.db2.gz TUKXSNRTDBAONW-CVEARBPZSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccc2c(c1)OCO2 ZINC000479162370 1047842874 /nfs/dbraw/zinc/84/28/74/1047842874.db2.gz CYXPSLLCYGEUMJ-BZNIZROVSA-N 0 3 315.800 4.407 20 0 DIADHN COc1ccc(SCCN2CCc3ccc(C)cc3C2)cc1 ZINC001182780858 1047845573 /nfs/dbraw/zinc/84/55/73/1047845573.db2.gz DXFVKKQXMPRFKQ-UHFFFAOYSA-N 0 3 313.466 4.154 20 0 DIADHN C[C@@H](CN(C)[C@@H](C)C(=O)Nc1ccccc1Cl)C(C)(C)C ZINC001197600902 1047852229 /nfs/dbraw/zinc/85/22/29/1047852229.db2.gz FSDUQVVCXSAEFH-STQMWFEESA-N 0 3 310.869 4.281 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1F ZINC000479243640 1047852408 /nfs/dbraw/zinc/85/24/08/1047852408.db2.gz KGPVNLWTKDLDPB-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN CC(C)(O)[C@H]1CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC001197591379 1047853363 /nfs/dbraw/zinc/85/33/63/1047853363.db2.gz YEBYJVFWSGEAGY-LBPRGKRZSA-N 0 3 307.821 4.069 20 0 DIADHN Cn1ncc(C2CCC2)c1CN1CCCC2(CCCC2)CC1 ZINC001182874570 1047855642 /nfs/dbraw/zinc/85/56/42/1047855642.db2.gz SPSRFYRVEWUZLB-UHFFFAOYSA-N 0 3 301.478 4.234 20 0 DIADHN COCc1csc(CN2CCC[C@@H]2c2ccc(OC)cc2)c1 ZINC000667462209 1047858102 /nfs/dbraw/zinc/85/81/02/1047858102.db2.gz AQTHACTYWCGBSR-GOSISDBHSA-N 0 3 317.454 4.240 20 0 DIADHN c1nc2cc(CN3CCC([C@@H]4CCCCO4)CC3)ccc2s1 ZINC001182918051 1047864758 /nfs/dbraw/zinc/86/47/58/1047864758.db2.gz IKAPGBMONUKYJP-KRWDZBQOSA-N 0 3 316.470 4.077 20 0 DIADHN Cc1cnccc1Nc1cc(C(F)(F)F)nc2ccccc21 ZINC001174537571 1047865127 /nfs/dbraw/zinc/86/51/27/1047865127.db2.gz LOEWDAJYRBQUCG-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Cc1cnccc1Nc1cccc(C)c1-c1c(C)cccc1N ZINC001174539302 1047865269 /nfs/dbraw/zinc/86/52/69/1047865269.db2.gz BPBNZQBWWNRHAY-UHFFFAOYSA-N 0 3 303.409 4.422 20 0 DIADHN Cc1ccc(NC(=O)OC(C)(C)C)c(Nc2ccncc2C)c1 ZINC001174538121 1047865574 /nfs/dbraw/zinc/86/55/74/1047865574.db2.gz ATIXFAVZXVYVIV-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN Cc1cnccc1Nc1c(Cl)nc(Cl)cc1C(F)(F)F ZINC001174536028 1047866240 /nfs/dbraw/zinc/86/62/40/1047866240.db2.gz HWPWJJLDWWBVME-UHFFFAOYSA-N 0 3 322.117 4.276 20 0 DIADHN Cc1cnccc1Nc1ccc(NC(=O)OC(C)(C)C)c(F)c1 ZINC001174538640 1047866632 /nfs/dbraw/zinc/86/66/32/1047866632.db2.gz OGROPBVBUWGJCF-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN CCOc1cc(CN2CCC[C@@H](C3CCC3)C2)c(F)cc1OC ZINC001182959597 1047866662 /nfs/dbraw/zinc/86/66/62/1047866662.db2.gz MDLXTJKGXLPJEB-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CCC[C@@H](C2CCC2)C1 ZINC001182959820 1047867417 /nfs/dbraw/zinc/86/74/17/1047867417.db2.gz MIZMDSPAWBCFMW-CYBMUJFWSA-N 0 3 313.363 4.439 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CCC[C@H](C2CCC2)C1 ZINC001182959821 1047868280 /nfs/dbraw/zinc/86/82/80/1047868280.db2.gz MIZMDSPAWBCFMW-ZDUSSCGKSA-N 0 3 313.363 4.439 20 0 DIADHN CC(C)CCCCN[C@H](CO)c1cccc(Cl)c1Cl ZINC001182968206 1047869653 /nfs/dbraw/zinc/86/96/53/1047869653.db2.gz BQDWJGLHBPCLFM-CQSZACIVSA-N 0 3 304.261 4.443 20 0 DIADHN OC[C@H](NC1CCC2(CC2)CC1)c1cccc(Cl)c1Cl ZINC001182970291 1047870821 /nfs/dbraw/zinc/87/08/21/1047870821.db2.gz MPCMLHBSQNVMSY-AWEZNQCLSA-N 0 3 314.256 4.339 20 0 DIADHN CCNC(=O)Nc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001174517674 1047879475 /nfs/dbraw/zinc/87/94/75/1047879475.db2.gz ANYKUFIJVFCAOW-UHFFFAOYSA-N 0 3 312.417 4.264 20 0 DIADHN Cc1cccc(CC2CN(Cc3cc4ccccc4n3C)C2)c1 ZINC001183025505 1047880869 /nfs/dbraw/zinc/88/08/69/1047880869.db2.gz SEJNYAZVWHVHCC-UHFFFAOYSA-N 0 3 304.437 4.161 20 0 DIADHN CCCSC[C@H](C)NCc1cc(F)ccc1OC(F)F ZINC001183085199 1047884096 /nfs/dbraw/zinc/88/40/96/1047884096.db2.gz WSKABPZNIYMBPR-JTQLQIEISA-N 0 3 307.381 4.048 20 0 DIADHN CCCSC[C@@H](C)NCc1cc(F)ccc1OC(F)F ZINC001183085201 1047884306 /nfs/dbraw/zinc/88/43/06/1047884306.db2.gz WSKABPZNIYMBPR-SNVBAGLBSA-N 0 3 307.381 4.048 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H](c3cccnc3)C3CC3)cc2c(C)c1 ZINC000479432802 1047886324 /nfs/dbraw/zinc/88/63/24/1047886324.db2.gz UBQKWHDKBRZIHF-HXUWFJFHSA-N 0 3 305.425 4.421 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1nc(C(F)(F)F)cs1 ZINC000479435285 1047889677 /nfs/dbraw/zinc/88/96/77/1047889677.db2.gz GMQJFTLJAHCXAJ-WDEREUQCSA-N 0 3 304.381 4.244 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccccc1)c1ccc(F)cc1Cl ZINC000774593023 1047897310 /nfs/dbraw/zinc/89/73/10/1047897310.db2.gz WKLXZWQCTGSJNG-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN CCc1ccc(C2CCN(Cc3cncc(OC)c3C)CC2)cc1 ZINC001183180144 1047899579 /nfs/dbraw/zinc/89/95/79/1047899579.db2.gz LOLVEXXTEIPGHH-UHFFFAOYSA-N 0 3 324.468 4.341 20 0 DIADHN CCN(Cc1cccs1)C[C@H](O)c1ccc(F)cc1Cl ZINC000774579195 1047900167 /nfs/dbraw/zinc/90/01/67/1047900167.db2.gz GXNQQMUWZQFDRU-HNNXBMFYSA-N 0 3 313.825 4.096 20 0 DIADHN CCC[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000479464430 1047901274 /nfs/dbraw/zinc/90/12/74/1047901274.db2.gz VBWKWZGZDXALMO-GBESFXJTSA-N 0 3 315.844 4.429 20 0 DIADHN Oc1ccc([C@@H]2CCN(C/C=C/c3ccc(F)cc3F)C2)cc1 ZINC001183157793 1047903169 /nfs/dbraw/zinc/90/31/69/1047903169.db2.gz UFDGJGZMZZWTRO-XGBNDESESA-N 0 3 315.363 4.173 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC([C@@H]2CCCCO2)CC1 ZINC001174621735 1047910370 /nfs/dbraw/zinc/91/03/70/1047910370.db2.gz DPEUKQYGUAOPAS-IBGZPJMESA-N 0 3 317.473 4.255 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC([C@H]2CCCCO2)CC1 ZINC001174621736 1047910785 /nfs/dbraw/zinc/91/07/85/1047910785.db2.gz DPEUKQYGUAOPAS-LJQANCHMSA-N 0 3 317.473 4.255 20 0 DIADHN CCC[C@@H](O)CCNCc1ccc(-c2ccccc2Cl)o1 ZINC001203889292 1047911656 /nfs/dbraw/zinc/91/16/56/1047911656.db2.gz COVPLFKSKFEEIG-CYBMUJFWSA-N 0 3 307.821 4.241 20 0 DIADHN Cc1cccc(CC(=O)NCCP(C(C)C)C(C)C)c1C ZINC001183264723 1047913468 /nfs/dbraw/zinc/91/34/68/1047913468.db2.gz PAMHJKBFAIHSQZ-UHFFFAOYSA-N 0 3 307.418 4.261 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC001174629554 1047915163 /nfs/dbraw/zinc/91/51/63/1047915163.db2.gz AREGSXMLIBTERR-KBXCAEBGSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@@H](CSCCF)NCc1cc(Cl)cc(Cl)c1F ZINC001183354451 1047917709 /nfs/dbraw/zinc/91/77/09/1047917709.db2.gz CEQVYFCOCYVGIT-QMMMGPOBSA-N 0 3 314.228 4.313 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1CCOC[C@@H]1CC1CC1 ZINC001198053738 1047919340 /nfs/dbraw/zinc/91/93/40/1047919340.db2.gz ZRMUQVABVZZVFR-QAPCUYQASA-N 0 3 319.514 4.224 20 0 DIADHN CCCc1cccc(CNCc2ccnc(N3CCCCC3)c2)c1 ZINC000479537010 1047921281 /nfs/dbraw/zinc/92/12/81/1047921281.db2.gz BFFMWBLNVMCSCZ-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1coc(-c2cccs2)n1 ZINC000479544802 1047923420 /nfs/dbraw/zinc/92/34/20/1047923420.db2.gz SEVLROOHGHIHGS-DZGCQCFKSA-N 0 3 302.443 4.485 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccc(O[C@H]2CCOC2)cc1 ZINC000667510891 1047926320 /nfs/dbraw/zinc/92/63/20/1047926320.db2.gz XBTZECBADZYXHO-YOEHRIQHSA-N 0 3 317.454 4.109 20 0 DIADHN C[C@H](NCCCc1ccccc1F)c1nc2c(s1)CCCC2 ZINC000479557500 1047930913 /nfs/dbraw/zinc/93/09/13/1047930913.db2.gz YGVGPFOYNHMTAB-ZDUSSCGKSA-N 0 3 318.461 4.444 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H]3CCc4c3cccc4O)cc2c(C)c1 ZINC000479559275 1047930968 /nfs/dbraw/zinc/93/09/68/1047930968.db2.gz QBHGLMZNYKTELH-GOSISDBHSA-N 0 3 306.409 4.267 20 0 DIADHN Fc1cc(Br)cc([C@@H](NCc2cc[nH]c2)C2CC2)c1 ZINC000479579342 1047936420 /nfs/dbraw/zinc/93/64/20/1047936420.db2.gz CCBUYPZXOGCDEO-HNNXBMFYSA-N 0 3 323.209 4.157 20 0 DIADHN Cc1cc(CNC[C@H](C)C(F)(F)F)c(OC(F)F)cc1C ZINC000479605794 1047938524 /nfs/dbraw/zinc/93/85/24/1047938524.db2.gz YOFMRSQXNCKOKE-JTQLQIEISA-N 0 3 311.294 4.193 20 0 DIADHN Cc1cccc(-c2nn(-c3ccnc(C)c3)c3ccc(N)cc32)c1 ZINC001174659815 1047939503 /nfs/dbraw/zinc/93/95/03/1047939503.db2.gz DLCJNAXKKIUBBE-UHFFFAOYSA-N 0 3 314.392 4.287 20 0 DIADHN C[C@@H](c1ncc(C(C)(C)C)o1)N1C[C@H]2[C@H]3C=C[C@H](C3)[C@@]2(C)C1 ZINC001198252431 1047940363 /nfs/dbraw/zinc/94/03/63/1047940363.db2.gz UUEBRTOVLMWVNN-KVMGVCFTSA-N 0 3 300.446 4.177 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1sc(C)cc1C ZINC001159872562 1047940599 /nfs/dbraw/zinc/94/05/99/1047940599.db2.gz PVLPWAJFIAHRNP-UHFFFAOYSA-N 0 3 317.458 4.035 20 0 DIADHN CCN(Cc1cc(COC)cs1)[C@H](C)c1cccc(O)c1 ZINC000667532336 1047946319 /nfs/dbraw/zinc/94/63/19/1047946319.db2.gz JBEVCCPDAVCWFF-CYBMUJFWSA-N 0 3 305.443 4.183 20 0 DIADHN CSCC1CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC001198337912 1047946899 /nfs/dbraw/zinc/94/68/99/1047946899.db2.gz XYULJWIPZMWSNJ-UHFFFAOYSA-N 0 3 320.889 4.463 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001183642753 1047951341 /nfs/dbraw/zinc/95/13/41/1047951341.db2.gz LKLJXLKRDHVCBV-HUUCEWRRSA-N 0 3 304.478 4.496 20 0 DIADHN C[C@H](CCNCc1noc2c1CC(C)(C)CC2)c1ccccc1 ZINC001183629098 1047952873 /nfs/dbraw/zinc/95/28/73/1047952873.db2.gz BONGLXLJPJANKR-OAHLLOKOSA-N 0 3 312.457 4.473 20 0 DIADHN C[C@@H]1CN(Cc2cc3ccc(F)cc3[nH]c2=O)Cc2ccccc21 ZINC001183673349 1047954989 /nfs/dbraw/zinc/95/49/89/1047954989.db2.gz QIKAWOUFVLJITJ-CYBMUJFWSA-N 0 3 322.383 4.199 20 0 DIADHN Cc1ccc(C)c(C2CCN(CCn3cc(Cl)cn3)CC2)c1 ZINC001198467879 1047960096 /nfs/dbraw/zinc/96/00/96/1047960096.db2.gz OUQGGVWJHRUOOO-UHFFFAOYSA-N 0 3 317.864 4.033 20 0 DIADHN c1ccc2c(c1)cccc2OCCN1CCC[C@@H]1c1cccnc1 ZINC000553889199 1047960522 /nfs/dbraw/zinc/96/05/22/1047960522.db2.gz PSIBDSKGSDPCHG-HXUWFJFHSA-N 0 3 318.420 4.451 20 0 DIADHN C[C@@H](CCc1ccccc1F)NCc1c(F)cc(F)cc1F ZINC001183740967 1047963847 /nfs/dbraw/zinc/96/38/47/1047963847.db2.gz RXCFPCSLYDZBLW-NSHDSACASA-N 0 3 311.322 4.354 20 0 DIADHN CN(Cc1cc2ccncc2s1)C[C@H]1OCCc2ccccc21 ZINC000667547843 1047964033 /nfs/dbraw/zinc/96/40/33/1047964033.db2.gz ZUQMTBHROBGYDE-GOSISDBHSA-N 0 3 324.449 4.042 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@H]2OCCc3ccccc32)c(C)s1 ZINC000927118468 1047966539 /nfs/dbraw/zinc/96/65/39/1047966539.db2.gz YTVPFUNZMPFBCP-SJKOYZFVSA-N 0 3 316.470 4.115 20 0 DIADHN Cc1ncsc1-c1ccc(CN(C)C[C@H]2CCCCO2)cc1 ZINC000667549183 1047966725 /nfs/dbraw/zinc/96/67/25/1047966725.db2.gz FDMZLTYUUZCULL-QGZVFWFLSA-N 0 3 316.470 4.119 20 0 DIADHN C[C@H](NC[C@@H]1Cc2ccccc21)c1nc(C(C)(C)C)cs1 ZINC000553918833 1047966955 /nfs/dbraw/zinc/96/69/55/1047966955.db2.gz MYVZQGSHODHKDT-JSGCOSHPSA-N 0 3 300.471 4.431 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1cncc2ccccc21 ZINC000775869147 1047967762 /nfs/dbraw/zinc/96/77/62/1047967762.db2.gz WJCDWTFWVSAXNN-LRDDRELGSA-N 0 3 308.347 4.398 20 0 DIADHN [O-]c1cccc(Nc2ccc(CC3CC[NH2+]CC3)cc2)c1Cl ZINC001160020349 1047970217 /nfs/dbraw/zinc/97/02/17/1047970217.db2.gz UMGGGKHGHAQTDB-UHFFFAOYSA-N 0 3 316.832 4.331 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(C[C@H]1CCCCC1(C)C)C2 ZINC001174788932 1047972410 /nfs/dbraw/zinc/97/24/10/1047972410.db2.gz FHNLPTDASROYQA-MRXNPFEDSA-N 0 3 301.478 4.175 20 0 DIADHN [O-]c1ccc(Cl)c(Nc2ccccc2C2=CC[NH2+]CC2)c1F ZINC001160025380 1047973309 /nfs/dbraw/zinc/97/33/09/1047973309.db2.gz IEUPNEUCLCGMRU-UHFFFAOYSA-N 0 3 318.779 4.305 20 0 DIADHN CCCc1ccc(CN2CCC(Nc3ccccn3)CC2)s1 ZINC001183864304 1047977710 /nfs/dbraw/zinc/97/77/10/1047977710.db2.gz LOMZZSAQZBGIOU-UHFFFAOYSA-N 0 3 315.486 4.172 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1cccc(COC)c1 ZINC000553993860 1047979489 /nfs/dbraw/zinc/97/94/89/1047979489.db2.gz YGQAEQPDFNFKNG-LJQANCHMSA-N 0 3 313.441 4.425 20 0 DIADHN CC1(C)CN(CCCOCC(F)(F)F)[C@@H]1c1cccs1 ZINC000553990581 1047980083 /nfs/dbraw/zinc/98/00/83/1047980083.db2.gz AYWYYBXJUSMJCH-GFCCVEGCSA-N 0 3 307.381 4.100 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCO[C@H](C)CC1 ZINC001183920094 1047984592 /nfs/dbraw/zinc/98/45/92/1047984592.db2.gz VCUWTPXKFSBQPI-LLVKDONJSA-N 0 3 309.862 4.474 20 0 DIADHN C[C@H](COCC1CCCCC1)N[C@@H](C)c1cc2cnccc2o1 ZINC001183919463 1047984682 /nfs/dbraw/zinc/98/46/82/1047984682.db2.gz LGKLPLHCMRPIOT-CABCVRRESA-N 0 3 316.445 4.464 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC001183929727 1047984930 /nfs/dbraw/zinc/98/49/30/1047984930.db2.gz NMFNHOWEFIXNAX-MRXNPFEDSA-N 0 3 313.466 4.306 20 0 DIADHN C[C@@H](Cc1ccc2c(c1)CCCC2)N[C@@H](c1ncccn1)C1CC1 ZINC001183929376 1047985210 /nfs/dbraw/zinc/98/52/10/1047985210.db2.gz MVNMCAIYUXIWJK-MGPUTAFESA-N 0 3 321.468 4.027 20 0 DIADHN C[C@H](NC[C@H](c1cccs1)N1CCC(C)CC1)c1ccco1 ZINC000479873370 1047989345 /nfs/dbraw/zinc/98/93/45/1047989345.db2.gz MNAXPOAHZKLTQI-JKSUJKDBSA-N 0 3 318.486 4.465 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N1CCC(C)CC1)c1ccco1 ZINC000479873365 1047990056 /nfs/dbraw/zinc/99/00/56/1047990056.db2.gz MNAXPOAHZKLTQI-HOTGVXAUSA-N 0 3 318.486 4.465 20 0 DIADHN CCC(CC)n1ccc(CN(C)[C@@H]2CCc3ccccc3C2)n1 ZINC001198659118 1047990065 /nfs/dbraw/zinc/99/00/65/1047990065.db2.gz QYEWYMDALFOPFH-HXUWFJFHSA-N 0 3 311.473 4.234 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2ccc3cc[nH]c3c2)c2ccccc21 ZINC001198697124 1047992883 /nfs/dbraw/zinc/99/28/83/1047992883.db2.gz ZYHHFRSHMPQNPJ-BTYIYWSLSA-N 0 3 320.436 4.043 20 0 DIADHN COc1cccc(F)c1CNC[C@H](C)c1cccc(Cl)c1 ZINC001184044459 1047993423 /nfs/dbraw/zinc/99/34/23/1047993423.db2.gz ALHNEDJLAXANCR-LBPRGKRZSA-N 0 3 307.796 4.381 20 0 DIADHN COc1cccc(F)c1CNC1CC(c2ccc(F)cc2)C1 ZINC001184045453 1047994796 /nfs/dbraw/zinc/99/47/96/1047994796.db2.gz NQFSREKNNBYTPK-UHFFFAOYSA-N 0 3 303.352 4.009 20 0 DIADHN CCOC(=O)[C@@H]1[C@H](C)C[C@H](C)N(Cc2ccccc2Cl)[C@H]1C ZINC001198667479 1047995921 /nfs/dbraw/zinc/99/59/21/1047995921.db2.gz MWKSZMCYJISDAU-XJIUQZFPSA-N 0 3 323.864 4.138 20 0 DIADHN Fc1cccc(COc2ccc(CNCc3cccnc3)cc2)c1 ZINC000073051264 1047996150 /nfs/dbraw/zinc/99/61/50/1047996150.db2.gz IVQAOGIZCJILAK-UHFFFAOYSA-N 0 3 322.383 4.090 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)CC1CC(F)(F)C1 ZINC001198741736 1047997222 /nfs/dbraw/zinc/99/72/22/1047997222.db2.gz JWEWOZJXHVFZPH-NSHDSACASA-N 0 3 314.445 4.135 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCO[C@H](c3ccccc3)CC1)CC2 ZINC001198704480 1047999401 /nfs/dbraw/zinc/99/94/01/1047999401.db2.gz BDARKYWSATYJRG-PMACEKPBSA-N 0 3 311.400 4.277 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCO[C@H](c3ccccc3)CC1)CC2 ZINC001198704482 1048000013 /nfs/dbraw/zinc/00/00/13/1048000013.db2.gz BDARKYWSATYJRG-UXHICEINSA-N 0 3 311.400 4.277 20 0 DIADHN CC[C@H]1CC[C@@H]1NCc1nc(COC)sc1-c1ccccc1 ZINC000554095037 1048001739 /nfs/dbraw/zinc/00/17/39/1048001739.db2.gz AXKFFXKKQQUEGB-ZFWWWQNUSA-N 0 3 316.470 4.235 20 0 DIADHN FC(F)Sc1ccc(CNCC2(Br)CC2)cc1 ZINC001184115945 1048001979 /nfs/dbraw/zinc/00/19/79/1048001979.db2.gz QFXOMVWTISQGAH-UHFFFAOYSA-N 0 3 322.218 4.018 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554085949 1048005917 /nfs/dbraw/zinc/00/59/17/1048005917.db2.gz IJPFXIXGMVDUKD-JXFKEZNVSA-N 0 3 314.448 4.396 20 0 DIADHN COC[C@@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000554097294 1048007684 /nfs/dbraw/zinc/00/76/84/1048007684.db2.gz WSSDSYRIFGDDJF-DLBZAZTESA-N 0 3 323.358 4.310 20 0 DIADHN CC(C)(C)[C@@H]1CCN(C/C=C/c2ccc(Cl)cc2)CCO1 ZINC001198800215 1048008407 /nfs/dbraw/zinc/00/84/07/1048008407.db2.gz HQACMGCGFQXXTJ-BDUNBXCCSA-N 0 3 307.865 4.490 20 0 DIADHN CN1CCc2ccc(Nc3ccc4cc(N)ccc4c3)cc2C1 ZINC001160241289 1048008671 /nfs/dbraw/zinc/00/86/71/1048008671.db2.gz BTZVPIRANDJRAH-UHFFFAOYSA-N 0 3 303.409 4.154 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCCC[C@]12CCCOC2 ZINC001198822075 1048009407 /nfs/dbraw/zinc/00/94/07/1048009407.db2.gz ZNXBNYLOIVBETG-HXUWFJFHSA-N 0 3 317.473 4.107 20 0 DIADHN COc1ccc(Nc2ccnc(C3CCC3)c2)c(OC)c1OC ZINC001174900141 1048016174 /nfs/dbraw/zinc/01/61/74/1048016174.db2.gz UIWJAUZEKVIMHH-UHFFFAOYSA-N 0 3 314.385 4.119 20 0 DIADHN [O-]c1cccc(Nc2ccc(C[C@H]3CCC[NH2+]C3)cc2)c1Cl ZINC001160265332 1048016186 /nfs/dbraw/zinc/01/61/86/1048016186.db2.gz OKGDUJNIROHQNM-CQSZACIVSA-N 0 3 316.832 4.331 20 0 DIADHN Cc1ccc(CNC[C@H](c2ccccc2Cl)N(C)C)c(F)c1 ZINC001198918549 1048016775 /nfs/dbraw/zinc/01/67/75/1048016775.db2.gz LZGDULPHVDLORS-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN CCCCCCNCc1c(F)cc(Br)cc1F ZINC001198934716 1048018149 /nfs/dbraw/zinc/01/81/49/1048018149.db2.gz AIJWJXGEVNMOKZ-UHFFFAOYSA-N 0 3 306.194 4.397 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@@H](C)c1ccccc1 ZINC001198943359 1048018273 /nfs/dbraw/zinc/01/82/73/1048018273.db2.gz XVMPPIHGCCFGJJ-AWEZNQCLSA-N 0 3 309.388 4.171 20 0 DIADHN COc1cccc(-c2csc(CNCCc3ccccc3)n2)c1 ZINC001198942759 1048018958 /nfs/dbraw/zinc/01/89/58/1048018958.db2.gz BZLLJDIISMEOKM-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC[C@H]2CCC3(CCC3)O2)cs1 ZINC000927129465 1048020573 /nfs/dbraw/zinc/02/05/73/1048020573.db2.gz PCLHJDQWFGOHOB-ZIAGYGMSSA-N 0 3 308.491 4.409 20 0 DIADHN CN(c1ccccc1)c1ccc(CNCc2cccs2)cn1 ZINC001198908320 1048020765 /nfs/dbraw/zinc/02/07/65/1048020765.db2.gz PYCXYRNKQRJVEJ-UHFFFAOYSA-N 0 3 309.438 4.201 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2o1)C[C@@H]1CC1(C)C ZINC001174916157 1048027373 /nfs/dbraw/zinc/02/73/73/1048027373.db2.gz PMHZWUSDYKXRNX-JTQLQIEISA-N 0 3 323.234 4.068 20 0 DIADHN COc1ccc(C)cc1CCNCc1nc(C(C)(C)C)cs1 ZINC001199038546 1048027346 /nfs/dbraw/zinc/02/73/46/1048027346.db2.gz SABLHMAIQGTPRF-UHFFFAOYSA-N 0 3 318.486 4.090 20 0 DIADHN Cc1c(N)cccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001160310180 1048028793 /nfs/dbraw/zinc/02/87/93/1048028793.db2.gz IMPIKNRBPHUYLC-MOPGFXCFSA-N 0 3 319.452 4.310 20 0 DIADHN COc1ccc([C@H](NCc2cccc3c2OCC3)C(C)C)cc1 ZINC001199045871 1048030068 /nfs/dbraw/zinc/03/00/68/1048030068.db2.gz WUAYSFXNILMEHZ-LJQANCHMSA-N 0 3 311.425 4.117 20 0 DIADHN Cc1cc(CNCc2ccc(Cl)cc2)c2c(n1)CCCC2 ZINC001199060778 1048031573 /nfs/dbraw/zinc/03/15/73/1048031573.db2.gz ZZPRHSWPKVTTQL-UHFFFAOYSA-N 0 3 300.833 4.212 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CNCc1ccc(Cl)s1 ZINC001199077451 1048033205 /nfs/dbraw/zinc/03/32/05/1048033205.db2.gz IRGGNLMOJXCAJA-UHFFFAOYSA-N 0 3 321.808 4.221 20 0 DIADHN CC(C)(NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1nc2ccccc2s1 ZINC001174932744 1048035633 /nfs/dbraw/zinc/03/56/33/1048035633.db2.gz GBNCCBROENFVQV-KCQAQPDRSA-N 0 3 316.470 4.079 20 0 DIADHN COc1ccsc1CN[C@@H](c1ccc(OC)cc1)C1CC1 ZINC001199102372 1048038073 /nfs/dbraw/zinc/03/80/73/1048038073.db2.gz XZZWTYMRBTZEKQ-QGZVFWFLSA-N 0 3 303.427 4.006 20 0 DIADHN COc1ccccc1/C=C\CN[C@H](C)c1cccc(NC(C)=O)c1 ZINC001199111393 1048039428 /nfs/dbraw/zinc/03/94/28/1048039428.db2.gz YYSJHCGPXLISOX-YYRKOSNBSA-N 0 3 324.424 4.018 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)cc1)c1ccc2c(c1)COC2 ZINC000480084764 1048049096 /nfs/dbraw/zinc/04/90/96/1048049096.db2.gz WKLQVOSTPFSTJT-GFCCVEGCSA-N 0 3 319.351 4.169 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1ccc(Br)o1 ZINC000480272498 1048109022 /nfs/dbraw/zinc/10/90/22/1048109022.db2.gz CUAIMAOWHMVGDM-VIFPVBQESA-N 0 3 314.145 4.109 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@H]1CC[C@@H]1C1CC1 ZINC000554372154 1048076236 /nfs/dbraw/zinc/07/62/36/1048076236.db2.gz IYVFOWIEQOTSSJ-MJGOQNOKSA-N 0 3 316.514 4.144 20 0 DIADHN Cc1ccc(C[C@H](Nc2cccc(N)n2)c2ccccc2)cc1 ZINC001160682461 1048078031 /nfs/dbraw/zinc/07/80/31/1048078031.db2.gz FXGULLCNKZTPGT-SFHVURJKSA-N 0 3 303.409 4.368 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)Nc1cccc(CN(C)C)c1 ZINC001184679691 1048081325 /nfs/dbraw/zinc/08/13/25/1048081325.db2.gz CQTRCGQKENVAJK-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN COc1cc([C@H](C)N[C@H]2C[C@H](c3ccccc3C)C2)c(F)cn1 ZINC000554369882 1048082686 /nfs/dbraw/zinc/08/26/86/1048082686.db2.gz DLAXOLIKBPPXON-KKUMJFAQSA-N 0 3 314.404 4.135 20 0 DIADHN Cc1ccccc1OCCNCc1ccsc1C(F)(F)F ZINC001199629740 1048083852 /nfs/dbraw/zinc/08/38/52/1048083852.db2.gz MNSAYXRSGQHBSP-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1ccn(-c2ccccc2)n1 ZINC000480185405 1048083819 /nfs/dbraw/zinc/08/38/19/1048083819.db2.gz VCCYPERNGCWINM-CYBMUJFWSA-N 0 3 311.351 4.083 20 0 DIADHN Cc1ccc(CN[C@@H](C)COc2ccc(C(C)(C)C)cc2)o1 ZINC001199659176 1048085058 /nfs/dbraw/zinc/08/50/58/1048085058.db2.gz VPZMXBIQYIBXSK-AWEZNQCLSA-N 0 3 301.430 4.443 20 0 DIADHN COC[C@H](NC[C@@H]1CCC(F)(F)C1)c1cccc(Cl)c1F ZINC000554375520 1048087469 /nfs/dbraw/zinc/08/74/69/1048087469.db2.gz QTMIZTPAOKFQMG-MFKMUULPSA-N 0 3 321.770 4.192 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(Cl)cn1)Oc1cccc(F)c1 ZINC000480189808 1048088251 /nfs/dbraw/zinc/08/82/51/1048088251.db2.gz KINSWUCZVQTKRP-IUODEOHRSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@@H](NCc1nnc(-c2cccc(F)c2)o1)C1CCCCC1 ZINC000776020746 1048089205 /nfs/dbraw/zinc/08/92/05/1048089205.db2.gz YPOCBJBDUHQMGJ-MRXNPFEDSA-N 0 3 317.408 4.324 20 0 DIADHN OCc1cc(-c2ccc(F)c(CN3CCCCC3)c2)cs1 ZINC001205317064 1048098793 /nfs/dbraw/zinc/09/87/93/1048098793.db2.gz OWAXGHFTJNWAQE-UHFFFAOYSA-N 0 3 305.418 4.032 20 0 DIADHN CSc1ccc(CNCCc2ccccc2C(F)(F)F)o1 ZINC001199760735 1048100048 /nfs/dbraw/zinc/10/00/48/1048100048.db2.gz QSLRKUHNRAWLMK-UHFFFAOYSA-N 0 3 315.360 4.353 20 0 DIADHN Cc1cnccc1CN(C)[C@@H](C)c1ccc(Br)cc1 ZINC001205872460 1048101327 /nfs/dbraw/zinc/10/13/27/1048101327.db2.gz FSDKLHDFGWEOAW-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN Clc1ccc(C2=NO[C@@H](CN3CCCC4(CC4)CC3)C2)cc1 ZINC001175044625 1048107316 /nfs/dbraw/zinc/10/73/16/1048107316.db2.gz HFDLUTGZJJZERH-MRXNPFEDSA-N 0 3 318.848 4.099 20 0 DIADHN C[C@H](NCc1ccc(-c2cccc(F)c2F)o1)[C@H]1CCCCO1 ZINC000480290576 1048110862 /nfs/dbraw/zinc/11/08/62/1048110862.db2.gz HJUDAVVZLVWCRX-BLLLJJGKSA-N 0 3 321.367 4.272 20 0 DIADHN Cc1cc(F)c(CNC[C@H](C)COCc2ccccc2)c(F)c1 ZINC001199907439 1048112126 /nfs/dbraw/zinc/11/21/26/1048112126.db2.gz WDNQUEIHSYKTHG-HNNXBMFYSA-N 0 3 319.395 4.216 20 0 DIADHN Cc1cnc(N[C@H]2CCN(Cc3cccc(Cl)c3)C2)cc1C ZINC001161180246 1048112554 /nfs/dbraw/zinc/11/25/54/1048112554.db2.gz GXXABYOCWDZBFC-KRWDZBQOSA-N 0 3 315.848 4.038 20 0 DIADHN COCCCCCNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001199906365 1048112671 /nfs/dbraw/zinc/11/26/71/1048112671.db2.gz KKPYKFWRJDUAQK-UHFFFAOYSA-N 0 3 324.877 4.370 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCCOC1CCCC1 ZINC001199883663 1048114397 /nfs/dbraw/zinc/11/43/97/1048114397.db2.gz LASCURSJVHQWRP-UHFFFAOYSA-N 0 3 320.458 4.150 20 0 DIADHN CCOc1cc(F)cc(CNCc2cccc3cccnc32)c1 ZINC001199876185 1048114563 /nfs/dbraw/zinc/11/45/63/1048114563.db2.gz YXTDPKIRKTXYME-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN C[NH+]1CCC(c2ccc(Nc3c(F)cc([O-])cc3F)cc2)CC1 ZINC001175100505 1048115471 /nfs/dbraw/zinc/11/54/71/1048115471.db2.gz ONMITXIHAGJYHH-UHFFFAOYSA-N 0 3 318.367 4.223 20 0 DIADHN CC(C)[C@H]1N(C(=O)[C@H](C)N2CCCCCC2)CC12CCCCC2 ZINC001161238333 1048117184 /nfs/dbraw/zinc/11/71/84/1048117184.db2.gz SOJPOXAAOZQISQ-ZWKOTPCHSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccc(OCCCNCc2ccc(F)cc2Cl)cc1 ZINC001199917373 1048118896 /nfs/dbraw/zinc/11/88/96/1048118896.db2.gz WFKXOHOQZGXYQW-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN Fc1cccc(F)c1C1(NCCCC2CCOCC2)CCC1 ZINC001175104012 1048119507 /nfs/dbraw/zinc/11/95/07/1048119507.db2.gz RNUSIIJNZPTTAT-UHFFFAOYSA-N 0 3 309.400 4.140 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H]2CC(C)(C)c3ccccc32)cn1 ZINC000480312920 1048119861 /nfs/dbraw/zinc/11/98/61/1048119861.db2.gz YRBVSLJOUZMCRL-IBGZPJMESA-N 0 3 323.484 4.438 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1ncc(C(C)(C)C)s1 ZINC001199925463 1048120345 /nfs/dbraw/zinc/12/03/45/1048120345.db2.gz NXVWXBIKVIVEGL-AWEZNQCLSA-N 0 3 302.487 4.470 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1ccc(N(C)C(C)C)nc1 ZINC000480308252 1048121126 /nfs/dbraw/zinc/12/11/26/1048121126.db2.gz HGZFFTXFHDQGKB-QGZVFWFLSA-N 0 3 311.473 4.394 20 0 DIADHN Cc1c(Cl)ccc(CNCCc2ccccc2O)c1Cl ZINC001199941288 1048123188 /nfs/dbraw/zinc/12/31/88/1048123188.db2.gz HXTAMDKFTFPJKZ-UHFFFAOYSA-N 0 3 310.224 4.340 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)C(=O)[C@H](N)C(C)(C)C ZINC001161387212 1048126462 /nfs/dbraw/zinc/12/64/62/1048126462.db2.gz BNJQRCWBVOBVPL-ROUUACIJSA-N 0 3 318.505 4.010 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCC(OC2CCC2)CC1 ZINC000842159075 1048126941 /nfs/dbraw/zinc/12/69/41/1048126941.db2.gz PCCWYNQLABZYES-SFHVURJKSA-N 0 3 305.849 4.361 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1ccc2ncccc2c1 ZINC001199972699 1048128294 /nfs/dbraw/zinc/12/82/94/1048128294.db2.gz YPDOKJJURLAXMO-IBGZPJMESA-N 0 3 304.393 4.238 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H]3OCCC[C@H]3C2)cc1Cl ZINC000249196141 1048129461 /nfs/dbraw/zinc/12/94/61/1048129461.db2.gz BSJLVYHZQCYCJQ-DOTOQJQBSA-N 0 3 323.864 4.128 20 0 DIADHN COc1ccc(Cl)cc1CNCCc1csc(C(C)C)n1 ZINC001199981143 1048131697 /nfs/dbraw/zinc/13/16/97/1048131697.db2.gz PGEFIGBOSASBIO-UHFFFAOYSA-N 0 3 324.877 4.261 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(N(C)CC)nc2)cc1 ZINC000480364919 1048132600 /nfs/dbraw/zinc/13/26/00/1048132600.db2.gz NHOIFUVKCQYRJQ-UHFFFAOYSA-N 0 3 311.473 4.125 20 0 DIADHN FC(F)(F)c1ccc(CN(C[C@H]2CCC=CO2)C2CC2)cc1 ZINC000774834168 1048134009 /nfs/dbraw/zinc/13/40/09/1048134009.db2.gz CKCBMJHWZGQZRW-MRXNPFEDSA-N 0 3 311.347 4.363 20 0 DIADHN Cc1cc(CN[C@@H]2c3cccc(F)c3CC[C@H]2C)cnc1F ZINC001200864556 1048149650 /nfs/dbraw/zinc/14/96/50/1048149650.db2.gz XVZGPTHYYLCBGL-DIFFPNOSSA-N 0 3 302.368 4.081 20 0 DIADHN Cc1ncsc1-c1ccc(CN(C)CCOC(C)(C)C)cc1 ZINC000667678683 1048149704 /nfs/dbraw/zinc/14/97/04/1048149704.db2.gz DCFRTEIPJSINRM-UHFFFAOYSA-N 0 3 318.486 4.365 20 0 DIADHN Cn1cc(-c2ccc(CNCc3cc4ccccc4o3)s2)cn1 ZINC000554684518 1048151514 /nfs/dbraw/zinc/15/15/14/1048151514.db2.gz DJKAXWZROIZKFI-UHFFFAOYSA-N 0 3 323.421 4.185 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001200891185 1048152953 /nfs/dbraw/zinc/15/29/53/1048152953.db2.gz JZYDUWRDDLGMAT-GHMZBOCLSA-N 0 3 306.208 4.036 20 0 DIADHN CC[C@H](CNCc1sccc1Cl)Oc1cccc(F)c1 ZINC001200911712 1048154321 /nfs/dbraw/zinc/15/43/21/1048154321.db2.gz SDMBFUBZIKDTFZ-GFCCVEGCSA-N 0 3 313.825 4.488 20 0 DIADHN CCCCO[C@@H]1C[C@H]([NH2+]Cc2cc([O-])cc(Cl)c2)C1(C)C ZINC001200952201 1048168695 /nfs/dbraw/zinc/16/86/95/1048168695.db2.gz QZYHFKOBPXMZBT-JKSUJKDBSA-N 0 3 311.853 4.119 20 0 DIADHN CN(C)Cc1ccnc(N[C@@H](CC2CC2)c2ccccc2F)c1 ZINC001161776348 1048169384 /nfs/dbraw/zinc/16/93/84/1048169384.db2.gz FVFZGMUTWVIYMY-SFHVURJKSA-N 0 3 313.420 4.236 20 0 DIADHN Cc1cccc2cc(CN[C@@H]3CCC(F)(F)C3)c(Cl)nc12 ZINC001201008795 1048179842 /nfs/dbraw/zinc/17/98/42/1048179842.db2.gz RJQQRTGDGXYLFB-CYBMUJFWSA-N 0 3 310.775 4.474 20 0 DIADHN Cc1ccc(C(=O)CCN2CCCC[C@H]2c2cccn2C)cc1 ZINC000480562809 1048181703 /nfs/dbraw/zinc/18/17/03/1048181703.db2.gz JTOJQIBCIDZKFN-IBGZPJMESA-N 0 3 310.441 4.134 20 0 DIADHN COc1cccc(C=C2CCN(C[C@H]3CCC(C)(C)O3)CC2)c1 ZINC000480592327 1048189236 /nfs/dbraw/zinc/18/92/36/1048189236.db2.gz XRNNLDVDUGCIFR-LJQANCHMSA-N 0 3 315.457 4.132 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)C[C@H]1CCC2(CCCC2)O1 ZINC000554808975 1048193690 /nfs/dbraw/zinc/19/36/90/1048193690.db2.gz UMDSRXBVOZKDBH-UKRRQHHQSA-N 0 3 309.400 4.449 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)cc1Cl ZINC000774914001 1048212335 /nfs/dbraw/zinc/21/23/35/1048212335.db2.gz UIXCVJVDBUDBCY-DOTOQJQBSA-N 0 3 319.832 4.330 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)cc1Cl ZINC000774914011 1048214873 /nfs/dbraw/zinc/21/48/73/1048214873.db2.gz UIXCVJVDBUDBCY-WBVHZDCISA-N 0 3 319.832 4.330 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000480696305 1048226810 /nfs/dbraw/zinc/22/68/10/1048226810.db2.gz WNNRXHGATHSKHX-QRQCRPRQSA-N 0 3 315.482 4.398 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccc(N2CCCCC2)nc1 ZINC000480737818 1048229330 /nfs/dbraw/zinc/22/93/30/1048229330.db2.gz FGXKYQIWGYVGLM-HNNXBMFYSA-N 0 3 315.486 4.293 20 0 DIADHN CSCCCCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000480733424 1048229439 /nfs/dbraw/zinc/22/94/39/1048229439.db2.gz SZZNYQVQBKXZEW-GOSISDBHSA-N 0 3 318.461 4.433 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc(N2CCCCC2)nc1 ZINC000480725309 1048232292 /nfs/dbraw/zinc/23/22/92/1048232292.db2.gz DMAKQUHFVJSSPI-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN CCN(CC)Cc1cccc(NCc2cnc(C)s2)c1F ZINC001162163525 1048233305 /nfs/dbraw/zinc/23/33/05/1048233305.db2.gz YKCNERJZYPJBBU-UHFFFAOYSA-N 0 3 307.438 4.045 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1N[C@H](C)c1nc2c(s1)CCCC2 ZINC000480739273 1048234709 /nfs/dbraw/zinc/23/47/09/1048234709.db2.gz ZBGYVPBIZXJJLA-YCPHGPKFSA-N 0 3 322.518 4.266 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@]2(C)OCCc3sccc32)o1 ZINC000554982762 1048248079 /nfs/dbraw/zinc/24/80/79/1048248079.db2.gz ZRFYMPBFATYNJA-DFHBCGBQSA-N 0 3 317.454 4.042 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2cc3cc(Cl)ccc3o2)o1 ZINC000776162717 1048259666 /nfs/dbraw/zinc/25/96/66/1048259666.db2.gz JSEUWRIKJSIHMS-FZMZJTMJSA-N 0 3 319.788 4.372 20 0 DIADHN CCc1cc(CNCc2cccc(-c3ccccc3C)c2)n[nH]1 ZINC000074372923 1048260577 /nfs/dbraw/zinc/26/05/77/1048260577.db2.gz GWMURUSFXKEYRB-UHFFFAOYSA-N 0 3 305.425 4.237 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(N(CC)CC)nc2)cc1 ZINC000480874154 1048261597 /nfs/dbraw/zinc/26/15/97/1048261597.db2.gz DKYLEAKWOJLFEY-MRXNPFEDSA-N 0 3 311.473 4.341 20 0 DIADHN C[C@@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1cc(F)ccc1F ZINC000480896314 1048267693 /nfs/dbraw/zinc/26/76/93/1048267693.db2.gz IVXRMRYMULRMKA-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1cc(F)ccc1F ZINC000480896305 1048267762 /nfs/dbraw/zinc/26/77/62/1048267762.db2.gz IVXRMRYMULRMKA-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@@H](NC[C@H]1COC2(CCCCC2)O1)c1cc2ccccc2o1 ZINC000776169952 1048268204 /nfs/dbraw/zinc/26/82/04/1048268204.db2.gz GDRVXGBAUGZUEW-ZBFHGGJFSA-N 0 3 315.413 4.159 20 0 DIADHN CC(C)P(CCNc1nc2ncccc2nc1Cl)C(C)C ZINC001162358351 1048268211 /nfs/dbraw/zinc/26/82/11/1048268211.db2.gz NLHCKIXVIVAFHV-UHFFFAOYSA-N 0 3 324.796 4.389 20 0 DIADHN CC(C)P(CCNc1ncc2cc(F)ccc2n1)C(C)C ZINC001162362070 1048269143 /nfs/dbraw/zinc/26/91/43/1048269143.db2.gz SKYHTNSJDNZJGN-UHFFFAOYSA-N 0 3 307.353 4.480 20 0 DIADHN CC(C)c1ccc(C[C@H](C)NCc2cc3n(n2)CCCC3)cc1 ZINC001201465046 1048271818 /nfs/dbraw/zinc/27/18/18/1048271818.db2.gz JVVXVGILWLIDCA-INIZCTEOSA-N 0 3 311.473 4.064 20 0 DIADHN COc1ccc([C@@H](NC[C@@H]2CCC=CO2)c2ccc(C)cc2)cc1 ZINC000774987357 1048272124 /nfs/dbraw/zinc/27/21/24/1048272124.db2.gz UHYQWCPRXHNLLQ-SFTDATJTSA-N 0 3 323.436 4.375 20 0 DIADHN Cc1cccc2cc(CNC[C@H]3CCCS3)c(Cl)nc12 ZINC001201442158 1048275595 /nfs/dbraw/zinc/27/55/95/1048275595.db2.gz ISDRJWWJLVJJOG-CQSZACIVSA-N 0 3 306.862 4.182 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nc(Cl)ccc1Br ZINC001201449344 1048278698 /nfs/dbraw/zinc/27/86/98/1048278698.db2.gz AGKPWXCYGXKRCP-ONGXEEELSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1 ZINC001201470894 1048293463 /nfs/dbraw/zinc/29/34/63/1048293463.db2.gz ZCAZOSLWKSTKTR-MOPGFXCFSA-N 0 3 305.425 4.123 20 0 DIADHN Cc1cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cnc1F ZINC001201470862 1048294251 /nfs/dbraw/zinc/29/42/51/1048294251.db2.gz XILZCCOSIUWRHZ-MOPGFXCFSA-N 0 3 323.415 4.262 20 0 DIADHN CCc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001201474830 1048295044 /nfs/dbraw/zinc/29/50/44/1048295044.db2.gz NGYMVPBIORRSOL-RTWAWAEBSA-N 0 3 319.452 4.377 20 0 DIADHN CC(C)(CNCc1ncc(Br)s1)C1CCCC1 ZINC001201480145 1048297906 /nfs/dbraw/zinc/29/79/06/1048297906.db2.gz HZVGGSKYVLWGGA-UHFFFAOYSA-N 0 3 317.296 4.212 20 0 DIADHN C[C@@]1(Cc2ccc(F)c(Cl)c2)CCCN1Cc1cccnc1 ZINC001175418386 1048299819 /nfs/dbraw/zinc/29/98/19/1048299819.db2.gz IIPKVKDKMAXPPB-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CO[C@H](C3CC3)C2)c2ccccc2)cc1 ZINC000667812697 1048305226 /nfs/dbraw/zinc/30/52/26/1048305226.db2.gz UKZZCFYQNRMMAA-HSALFYBXSA-N 0 3 311.400 4.072 20 0 DIADHN COc1ccc([C@H]2CN(C[C@H]3CCCCC3(C)C)CCO2)cc1 ZINC001175437517 1048307297 /nfs/dbraw/zinc/30/72/97/1048307297.db2.gz YVYKKYKSYAUYEE-IEBWSBKVSA-N 0 3 317.473 4.285 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(-n3nccc3CN)cc2)cc1 ZINC001162592904 1048309780 /nfs/dbraw/zinc/30/97/80/1048309780.db2.gz SGNCNZJFZHNKOI-UHFFFAOYSA-N 0 3 305.425 4.296 20 0 DIADHN CC(C)[C@H]1CN([C@H](C)c2ccc(Cl)cc2Cl)CCN1C ZINC001175463549 1048310694 /nfs/dbraw/zinc/31/06/94/1048310694.db2.gz XEQKHZGRWNBTHF-MLGOLLRUSA-N 0 3 315.288 4.326 20 0 DIADHN CC(C)[C@H]1CN([C@H](C)c2cc(Cl)ccc2Cl)CCN1C ZINC001175472827 1048316156 /nfs/dbraw/zinc/31/61/56/1048316156.db2.gz OSPRVZRMABKYGZ-MLGOLLRUSA-N 0 3 315.288 4.326 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000481186873 1048323303 /nfs/dbraw/zinc/32/33/03/1048323303.db2.gz YOAGFSGNDHIPOZ-IATRGZMQSA-N 0 3 317.408 4.351 20 0 DIADHN Cc1ccc(CN[C@@H]2CCN(c3ccc(Cl)cc3)C2)c(F)c1 ZINC001201634941 1048327089 /nfs/dbraw/zinc/32/70/89/1048327089.db2.gz WOSNKQPMGLRYIQ-MRXNPFEDSA-N 0 3 318.823 4.156 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000481200343 1048330828 /nfs/dbraw/zinc/33/08/28/1048330828.db2.gz QFIAIFDLRKEMHD-NHYWBVRUSA-N 0 3 321.371 4.073 20 0 DIADHN CC(C)(C)C(=N)Nc1nccc2c1CCN(Cc1ccccc1)C2 ZINC001162662304 1048331718 /nfs/dbraw/zinc/33/17/18/1048331718.db2.gz SNVKJIBTFMAVBI-UHFFFAOYSA-N 0 3 322.456 4.075 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc4ncccc4c3)CC2)cc1 ZINC001175506203 1048339997 /nfs/dbraw/zinc/33/99/97/1048339997.db2.gz YMOYRQYGHFIKSG-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN COc1cccc(NC2=CCN(Cc3ccccc3)CC2)c1F ZINC001175506118 1048340682 /nfs/dbraw/zinc/34/06/82/1048340682.db2.gz PJHTULRFTKBFBL-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN Cc1cc(C)c(N)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506348 1048341714 /nfs/dbraw/zinc/34/17/14/1048341714.db2.gz VRGFEFWFQUOSBS-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN Cc1cc(NC2=CCN(Cc3ccccc3)CC2)cc(Cl)n1 ZINC001175505367 1048342547 /nfs/dbraw/zinc/34/25/47/1048342547.db2.gz NFIKPWBREYYKJJ-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN COc1cc(F)ccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506407 1048343891 /nfs/dbraw/zinc/34/38/91/1048343891.db2.gz XWAZUOGCNIFZKT-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN CCN(C)c1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1 ZINC001175507578 1048345166 /nfs/dbraw/zinc/34/51/66/1048345166.db2.gz RTWIGXIZPJZCOH-UHFFFAOYSA-N 0 3 321.468 4.344 20 0 DIADHN Cc1cc(C)c(NC2=CCN(Cc3ccccc3)CC2)c(C)c1N ZINC001175506438 1048347028 /nfs/dbraw/zinc/34/70/28/1048347028.db2.gz ZXEIYLCTLXQOFF-UHFFFAOYSA-N 0 3 321.468 4.396 20 0 DIADHN CCc1ccc(CN[C@H]2CCN(c3ccc(F)c(Cl)c3)C2)o1 ZINC001201705283 1048351135 /nfs/dbraw/zinc/35/11/35/1048351135.db2.gz XKVSKVFPXHKIDX-LBPRGKRZSA-N 0 3 322.811 4.003 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc2c(c1)NCCC2(C)C ZINC001162779302 1048357175 /nfs/dbraw/zinc/35/71/75/1048357175.db2.gz WUFCJELHJLOPHS-ZDUSSCGKSA-N 0 3 320.440 4.138 20 0 DIADHN Oc1cc(CNC/C=C\c2ccccc2)cc(C(F)(F)F)c1 ZINC001201692512 1048360224 /nfs/dbraw/zinc/36/02/24/1048360224.db2.gz BEBXOFYCZLSDQM-DAXSKMNVSA-N 0 3 307.315 4.214 20 0 DIADHN C[C@@H](CN1CCCC[C@H]1C(=O)OC(C)(C)C)C1CCCCC1 ZINC001175587514 1048360396 /nfs/dbraw/zinc/36/03/96/1048360396.db2.gz TUDPAZVSASSDCV-RDJZCZTQSA-N 0 3 309.494 4.399 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CNC/C=C\c1ccccc1 ZINC001201694732 1048361017 /nfs/dbraw/zinc/36/10/17/1048361017.db2.gz VDASSOIJJQTQPC-YFHOEESVSA-N 0 3 321.399 4.123 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)C[C@H]1CCC[C@@H]1O ZINC000555478916 1048361484 /nfs/dbraw/zinc/36/14/84/1048361484.db2.gz CDEVZOJIQXEMAX-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1cncc([C@@H]2CCCN2Cc2cccc(OC(F)F)c2)c1 ZINC001175552346 1048371685 /nfs/dbraw/zinc/37/16/85/1048371685.db2.gz SZCREIVTTHLVLF-KRWDZBQOSA-N 0 3 318.367 4.329 20 0 DIADHN COc1ccc(C[C@@H](C)CNCc2c(F)cc(C)cc2F)cc1 ZINC001201786411 1048378713 /nfs/dbraw/zinc/37/87/13/1048378713.db2.gz JOBKNHJWFKZGKI-CQSZACIVSA-N 0 3 319.395 4.250 20 0 DIADHN C[C@H](NCc1cccc2ccoc21)c1ccc([S@@](C)=O)cc1 ZINC001201857448 1048380704 /nfs/dbraw/zinc/38/07/04/1048380704.db2.gz MNPUEQRRTDSHKV-WHEQGISXSA-N 0 3 313.422 4.021 20 0 DIADHN COc1ccc(F)c(CNCC2(Sc3ccccc3)CC2)c1 ZINC000555547875 1048380841 /nfs/dbraw/zinc/38/08/41/1048380841.db2.gz RHKWHOHNLCGHGB-UHFFFAOYSA-N 0 3 317.429 4.249 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)c1ccc([S@](C)=O)cc1 ZINC001201857446 1048382060 /nfs/dbraw/zinc/38/20/60/1048382060.db2.gz MNPUEQRRTDSHKV-DMZKTXOQSA-N 0 3 313.422 4.021 20 0 DIADHN c1csc(C(N[C@H]2CO[C@H](C3CC3)C2)c2cccs2)c1 ZINC000667876859 1048385575 /nfs/dbraw/zinc/38/55/75/1048385575.db2.gz WPGLBJULFWYZKU-OLZOCXBDSA-N 0 3 305.468 4.056 20 0 DIADHN COC[C@H](N[C@@H]1CCC(F)(F)C1)c1cccc(C(F)(F)F)c1 ZINC000679072470 1048389190 /nfs/dbraw/zinc/38/91/90/1048389190.db2.gz WOJMAJIASZKMIU-OLZOCXBDSA-N 0 3 323.305 4.170 20 0 DIADHN CCOc1cncc(CN[C@@H]2c3ccccc3CCC[C@@H]2C)c1 ZINC001201901674 1048392160 /nfs/dbraw/zinc/39/21/60/1048392160.db2.gz OWEBGWACCOFKQU-YWZLYKJASA-N 0 3 310.441 4.284 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000481398242 1048393097 /nfs/dbraw/zinc/39/30/97/1048393097.db2.gz DMBNQDHKMIMZIS-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H](CNCc1csc(-c2ccsc2)n1)c1nccs1 ZINC001201929587 1048394931 /nfs/dbraw/zinc/39/49/31/1048394931.db2.gz OITSNEXEJNMKJI-SNVBAGLBSA-N 0 3 321.496 4.221 20 0 DIADHN c1csc(-c2nc(CNCCC[C@H]3CCCCO3)cs2)c1 ZINC001201910197 1048399930 /nfs/dbraw/zinc/39/99/30/1048399930.db2.gz GOYQNHQDWLYTKX-CQSZACIVSA-N 0 3 322.499 4.311 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1ccc(COC)cc1)CCO2 ZINC001201919323 1048402201 /nfs/dbraw/zinc/40/22/01/1048402201.db2.gz CZBPEIRTZLRDNT-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)c3cc[nH]c(=O)c3)o2)cc1 ZINC000555608591 1048405078 /nfs/dbraw/zinc/40/50/78/1048405078.db2.gz XGLCSVMKXZWSPR-CQSZACIVSA-N 0 3 308.381 4.206 20 0 DIADHN CCCCN(Cc1csc(COC)n1)[C@H]1CCC[C@@H](C)C1 ZINC000555679780 1048420671 /nfs/dbraw/zinc/42/06/71/1048420671.db2.gz ZTLUZMNEEBBSEE-ZBFHGGJFSA-N 0 3 310.507 4.470 20 0 DIADHN Cc1nccnc1CN1CC[C@H](c2ccccc2Cl)C1(C)C ZINC001175715104 1048421723 /nfs/dbraw/zinc/42/17/23/1048421723.db2.gz NJPJLQWEMGHEII-OAHLLOKOSA-N 0 3 315.848 4.207 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@H](CC3CC3)C2)o1 ZINC000555706783 1048425578 /nfs/dbraw/zinc/42/55/78/1048425578.db2.gz TZDMCGHCQOMGRN-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(C)c(F)c(F)c3)C2)cc1 ZINC001143610093 1048425677 /nfs/dbraw/zinc/42/56/77/1048425677.db2.gz QXBSHWKFNOCJBE-MRXNPFEDSA-N 0 3 317.379 4.271 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCCC[C@@H](C)C1 ZINC000481581753 1048434093 /nfs/dbraw/zinc/43/40/93/1048434093.db2.gz XUIMBUQVSIRJJF-CVEARBPZSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H]1CCCCN(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000481592056 1048437160 /nfs/dbraw/zinc/43/71/60/1048437160.db2.gz IWLMXJLYHLVMTA-GFCCVEGCSA-N 0 3 305.809 4.012 20 0 DIADHN C[C@H]1CCCCN(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000481592058 1048437598 /nfs/dbraw/zinc/43/75/98/1048437598.db2.gz IWLMXJLYHLVMTA-LBPRGKRZSA-N 0 3 305.809 4.012 20 0 DIADHN Cc1cc(C)c2nc(N[C@@H]3CNCc4ccsc43)cc(C)c2c1 ZINC001163221196 1048439047 /nfs/dbraw/zinc/43/90/47/1048439047.db2.gz NYKVECHWZOIWNJ-MRXNPFEDSA-N 0 3 323.465 4.478 20 0 DIADHN Cc1ccc(-c2ccnc(N[C@H]3CNCc4ccsc43)c2)cc1 ZINC001163221061 1048439411 /nfs/dbraw/zinc/43/94/11/1048439411.db2.gz KYJYZOGUNGSYJG-KRWDZBQOSA-N 0 3 321.449 4.375 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1cccnc1Oc1ccccc1)CNC2 ZINC001163227745 1048440887 /nfs/dbraw/zinc/44/08/87/1048440887.db2.gz XSCIIEMOSBSJAM-INIZCTEOSA-N 0 3 323.421 4.192 20 0 DIADHN CCOc1cccc(CN[C@H](C)CSc2ccc(C)cc2)n1 ZINC001202040299 1048442006 /nfs/dbraw/zinc/44/20/06/1048442006.db2.gz MWPALIQCPROCIC-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN C[C@](O)(CCNCc1cc(Cl)ccc1Cl)c1ccccc1 ZINC001202101940 1048450789 /nfs/dbraw/zinc/45/07/89/1048450789.db2.gz MFZVYESNEIXZMY-KRWDZBQOSA-N 0 3 324.251 4.381 20 0 DIADHN CCN1CCN(c2ccc(Nc3ncccc3C(C)C)cc2)CC1 ZINC001175781646 1048450909 /nfs/dbraw/zinc/45/09/09/1048450909.db2.gz JDQLKSZNOYYCNV-UHFFFAOYSA-N 0 3 324.472 4.091 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481700304 1048462185 /nfs/dbraw/zinc/46/21/85/1048462185.db2.gz AQIHXDDRHFZBBS-QRWLVFNGSA-N 0 3 311.400 4.408 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481711399 1048466543 /nfs/dbraw/zinc/46/65/43/1048466543.db2.gz DSSKELQFOUJBTN-PNWMKBJFSA-N 0 3 305.421 4.221 20 0 DIADHN CO[C@@H](CN1CCc2cc(F)ccc2[C@@H]1C)c1ccc(F)cc1 ZINC001175882148 1048470927 /nfs/dbraw/zinc/47/09/27/1048470927.db2.gz DLGKVIOBFARUFN-DJJJIMSYSA-N 0 3 317.379 4.272 20 0 DIADHN CN1CCc2ccc(Nc3ccc(-c4cccnc4)cc3)cc2C1 ZINC001175892648 1048475997 /nfs/dbraw/zinc/47/59/97/1048475997.db2.gz QTWLAWQJSDBSRG-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN Fc1ccc2oc(CNCCCc3cccc(Cl)c3)nc2c1 ZINC001202229044 1048476591 /nfs/dbraw/zinc/47/65/91/1048476591.db2.gz YRGAHEXXZKCXPW-UHFFFAOYSA-N 0 3 318.779 4.343 20 0 DIADHN C[C@H]1CC[C@H](CNCc2ncc(Br)cc2Cl)C1 ZINC001202250728 1048490403 /nfs/dbraw/zinc/49/04/03/1048490403.db2.gz JBBXHFNEHCQXIU-UWVGGRQHSA-N 0 3 317.658 4.023 20 0 DIADHN CCn1cnc(Cl)c1CN[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC001202259899 1048494103 /nfs/dbraw/zinc/49/41/03/1048494103.db2.gz ZRLILPPSPJQNIS-UKRRQHHQSA-N 0 3 321.827 4.121 20 0 DIADHN CCCn1cc(CNC2CC(F)(c3cccc(Cl)c3)C2)cn1 ZINC000481816067 1048494979 /nfs/dbraw/zinc/49/49/79/1048494979.db2.gz NWNQJRWZVGNPEG-UHFFFAOYSA-N 0 3 321.827 4.064 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCN(CCCC(C)C)CC1 ZINC001175906726 1048497577 /nfs/dbraw/zinc/49/75/77/1048497577.db2.gz KBRPFKAOASRPEF-IBGZPJMESA-N 0 3 306.469 4.331 20 0 DIADHN Cc1csc([C@@H]2CCN([C@@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001175934409 1048504236 /nfs/dbraw/zinc/50/42/36/1048504236.db2.gz BCRMZDLNNUCGBR-WCQYABFASA-N 0 3 308.397 4.280 20 0 DIADHN Cc1cnc([C@@H](NCCc2c(F)cccc2F)C2CC2)s1 ZINC000645854082 1048504111 /nfs/dbraw/zinc/50/41/11/1048504111.db2.gz TWRGVLGCSPUCJJ-HNNXBMFYSA-N 0 3 308.397 4.013 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC2(CCCC2)CC1 ZINC000556019459 1048506766 /nfs/dbraw/zinc/50/67/66/1048506766.db2.gz WZMRWHYAYSGLLR-UHFFFAOYSA-N 0 3 309.457 4.088 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000600630416 1048517835 /nfs/dbraw/zinc/51/78/35/1048517835.db2.gz QZFPQZNFDYJJMG-IAGOWNOFSA-N 0 3 321.468 4.058 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CN[C@@H]1CC[C@@H]1C ZINC001202314786 1048521107 /nfs/dbraw/zinc/52/11/07/1048521107.db2.gz KKFQQYDDIORFIJ-ZUZCIYMTSA-N 0 3 324.255 4.376 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(OC2CCC(C)CC2)CC1 ZINC000600646066 1048524564 /nfs/dbraw/zinc/52/45/64/1048524564.db2.gz KWHMRTNBRHXDRS-PQUAAJSLSA-N 0 3 302.462 4.202 20 0 DIADHN CC(C)(C)OC[C@H]1CCCN1Cc1ccccc1C(F)(F)F ZINC001176007827 1048531049 /nfs/dbraw/zinc/53/10/49/1048531049.db2.gz BMVIQUYQVBFBIY-CQSZACIVSA-N 0 3 315.379 4.485 20 0 DIADHN FC(F)(F)CCCN1CCC(c2nc3ccccc3o2)CC1 ZINC001202367694 1048534501 /nfs/dbraw/zinc/53/45/01/1048534501.db2.gz FGYHESAQGURSCG-UHFFFAOYSA-N 0 3 312.335 4.350 20 0 DIADHN C[C@H](Cc1ccc(Cl)c(C(F)(F)F)c1)NCc1ccno1 ZINC000667978672 1048534493 /nfs/dbraw/zinc/53/44/93/1048534493.db2.gz KGCJNDZLMZNSRV-SECBINFHSA-N 0 3 318.726 4.068 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccccc1F)c1ccco1 ZINC000481955973 1048536123 /nfs/dbraw/zinc/53/61/23/1048536123.db2.gz YLIRHEXFNTVRDE-WMLDXEAASA-N 0 3 304.409 4.152 20 0 DIADHN C[C@@]1(CN2CC[C@@H](c3c(F)cccc3F)C2)CC1(Cl)Cl ZINC001176021424 1048537787 /nfs/dbraw/zinc/53/77/87/1048537787.db2.gz PLLRDMHZMJPRAZ-YGRLFVJLSA-N 0 3 320.210 4.338 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2ccccc2F)N2CCCC2)o1 ZINC000482051070 1048548430 /nfs/dbraw/zinc/54/84/30/1048548430.db2.gz UGPUMPSYMIQZGC-QAPCUYQASA-N 0 3 316.420 4.215 20 0 DIADHN c1c(CN[C@H](Cc2ccccc2)C2CC2)noc1-c1ccccc1 ZINC000482020360 1048548847 /nfs/dbraw/zinc/54/88/47/1048548847.db2.gz ZFDATDGRXDVVOD-HXUWFJFHSA-N 0 3 318.420 4.453 20 0 DIADHN c1csc(-c2nc(CNCC[C@@H]3CC[C@H]4C[C@H]43)cs2)c1 ZINC001203692580 1048561482 /nfs/dbraw/zinc/56/14/82/1048561482.db2.gz XXVRQYPGPHKUPR-OBJOEFQTSA-N 0 3 304.484 4.397 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CNCc2ccsc2)c1 ZINC000691666862 1048561554 /nfs/dbraw/zinc/56/15/54/1048561554.db2.gz RJINWFFRUCKOTI-UHFFFAOYSA-N 0 3 318.442 4.385 20 0 DIADHN Cc1cc(CN)nn1-c1ccc(-c2ccccc2)c2ccccc21 ZINC001163985242 1048564997 /nfs/dbraw/zinc/56/49/97/1048564997.db2.gz KSGGDTPEQHEHTL-UHFFFAOYSA-N 0 3 313.404 4.460 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)C(C1CC1)C1CC1 ZINC000065243334 1048572480 /nfs/dbraw/zinc/57/24/80/1048572480.db2.gz UPBVWAILDDNQQR-UHFFFAOYSA-N 0 3 300.377 4.101 20 0 DIADHN CO[C@@H](CNC(C)(C)c1ccc(F)cc1F)C1CCCCC1 ZINC001176176563 1048580189 /nfs/dbraw/zinc/58/01/89/1048580189.db2.gz QSEARNCFWSSIBG-KRWDZBQOSA-N 0 3 311.416 4.385 20 0 DIADHN CCCN(Cc1cc(O)cc(F)c1)[C@@H]1CCCc2cccnc21 ZINC000482278549 1048581309 /nfs/dbraw/zinc/58/13/09/1048581309.db2.gz XVGWFXHUXCRVHB-GOSISDBHSA-N 0 3 314.404 4.216 20 0 DIADHN Cc1cc(NC2CCN(Cc3ccncc3)CC2)ccc1C1CC1 ZINC000482302003 1048585459 /nfs/dbraw/zinc/58/54/59/1048585459.db2.gz VCLGDVLUSRGBSR-UHFFFAOYSA-N 0 3 321.468 4.344 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1cccc(C[NH+]2CCCC2)c1 ZINC001176196069 1048592606 /nfs/dbraw/zinc/59/26/06/1048592606.db2.gz VLCNHQTUQCQVSR-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN Cc1cccc2[nH]c(CNCc3ccnc(C(F)(F)F)c3)cc21 ZINC001202475514 1048600818 /nfs/dbraw/zinc/60/08/18/1048600818.db2.gz XREYMXVVIKCFIX-UHFFFAOYSA-N 0 3 319.330 4.180 20 0 DIADHN COCCN(CCSC(F)(F)F)Cc1ccc(C)c(C)c1 ZINC001176203122 1048603321 /nfs/dbraw/zinc/60/33/21/1048603321.db2.gz XAVIORICETYXJR-UHFFFAOYSA-N 0 3 321.408 4.005 20 0 DIADHN CC1=C(C)CN(Cc2ccccc2OCc2ccccn2)CC1 ZINC000482449137 1048608643 /nfs/dbraw/zinc/60/86/43/1048608643.db2.gz OTSQTFYURIRRCF-UHFFFAOYSA-N 0 3 308.425 4.203 20 0 DIADHN CCN(C)c1ccccc1CNCc1cc2ccncc2s1 ZINC000850125223 1048618449 /nfs/dbraw/zinc/61/84/49/1048618449.db2.gz CRECJBMMWAGUBZ-UHFFFAOYSA-N 0 3 311.454 4.042 20 0 DIADHN CC(C)n1cc(CN2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC000482501587 1048620280 /nfs/dbraw/zinc/62/02/80/1048620280.db2.gz CMPYNSCJWFXNNG-UHFFFAOYSA-N 0 3 317.864 4.497 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(c2cc(Cl)ccn2)CC1 ZINC001176287920 1048620489 /nfs/dbraw/zinc/62/04/89/1048620489.db2.gz JVDRNZBJSGANPR-QGZVFWFLSA-N 0 3 315.848 4.008 20 0 DIADHN CCCOc1ccc(CN[C@H](CCO)c2cccs2)c(C)c1 ZINC000850154737 1048620736 /nfs/dbraw/zinc/62/07/36/1048620736.db2.gz BDVKJQOFGXBVCL-QGZVFWFLSA-N 0 3 319.470 4.059 20 0 DIADHN CN(C)Cc1ccc(Nc2ccccc2C(=O)C(F)(F)F)cc1 ZINC001176295647 1048621972 /nfs/dbraw/zinc/62/19/72/1048621972.db2.gz KIEBLJRVLLRWFL-UHFFFAOYSA-N 0 3 322.330 4.237 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000850239371 1048626570 /nfs/dbraw/zinc/62/65/70/1048626570.db2.gz IRSZXWMXMWKJRA-INIZCTEOSA-N 0 3 316.470 4.326 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC[C@@H](Cc3nccs3)C2)c1 ZINC001176272959 1048627232 /nfs/dbraw/zinc/62/72/32/1048627232.db2.gz LDKRTWYASBFNLV-JQFCIGGWSA-N 0 3 316.445 4.093 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3ccccc3)C(C)(C)CO)[nH]c2c1 ZINC000668074079 1048636900 /nfs/dbraw/zinc/63/69/00/1048636900.db2.gz NMMGJXKFJKZZOS-HXUWFJFHSA-N 0 3 322.452 4.326 20 0 DIADHN CN(C)c1ccncc1NC(=O)Nc1ccccc1C(C)(C)C ZINC001189564287 1048639154 /nfs/dbraw/zinc/63/91/54/1048639154.db2.gz XWJCAJKAAINJFF-UHFFFAOYSA-N 0 3 312.417 4.089 20 0 DIADHN CN(C)c1ccccc1CN(Cc1cccc(F)c1)C1CCC1 ZINC001176304570 1048641080 /nfs/dbraw/zinc/64/10/80/1048641080.db2.gz FZGMCVYNHUIKJV-UHFFFAOYSA-N 0 3 312.432 4.446 20 0 DIADHN CC1(C)CCc2cc(CN[C@H]3C[C@@H](O)c4ccccc43)ccc2O1 ZINC000850371752 1048641537 /nfs/dbraw/zinc/64/15/37/1048641537.db2.gz LNYKLRVKSWLAEW-RBUKOAKNSA-N 0 3 323.436 4.058 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1C[C@@H](O)c2ccccc21 ZINC000850371562 1048642587 /nfs/dbraw/zinc/64/25/87/1048642587.db2.gz KTGXTERNTZTYQB-ZWKOTPCHSA-N 0 3 301.817 4.225 20 0 DIADHN CCC(=O)CCCS[C@H]1CCCN(Cc2ccccc2)C1 ZINC001189582272 1048643903 /nfs/dbraw/zinc/64/39/03/1048643903.db2.gz HFJBEWGBFZCACB-SFHVURJKSA-N 0 3 305.487 4.144 20 0 DIADHN c1ccc2c(c1)CC[C@H]2NCc1ccccc1N1CCCCC1 ZINC000850375643 1048644030 /nfs/dbraw/zinc/64/40/30/1048644030.db2.gz SKOKELGJTTYECN-HXUWFJFHSA-N 0 3 306.453 4.454 20 0 DIADHN CCCOc1ccc(CN[C@H]2c3cc(F)ccc3C[C@H]2C)cn1 ZINC000482621440 1048645403 /nfs/dbraw/zinc/64/54/03/1048645403.db2.gz SMPCJMWZCZZKIE-BFUOFWGJSA-N 0 3 314.404 4.033 20 0 DIADHN CC[C@H](NC[C@H](OC)C1CCCCC1)c1cc(F)ccc1OC ZINC001176313041 1048647600 /nfs/dbraw/zinc/64/76/00/1048647600.db2.gz ZVYDBNUNEFYLOQ-HKUYNNGSSA-N 0 3 323.452 4.470 20 0 DIADHN Cc1ccc(-c2ncc(CNCC3SCCS3)s2)cc1 ZINC000850401778 1048648666 /nfs/dbraw/zinc/64/86/66/1048648666.db2.gz SRYUKLHGFOSHKW-UHFFFAOYSA-N 0 3 322.524 4.014 20 0 DIADHN CCOc1ccc(CN[C@H]2c3cc(F)ccc3C[C@@H]2C)cc1F ZINC000482631151 1048651113 /nfs/dbraw/zinc/65/11/13/1048651113.db2.gz CVNRQSFIFUNVEK-HXPMCKFVSA-N 0 3 317.379 4.387 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850426727 1048651533 /nfs/dbraw/zinc/65/15/33/1048651533.db2.gz YQWJYMBLJGFCMV-LSDHHAIUSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc2c(c1)CCCO2 ZINC000850430717 1048653807 /nfs/dbraw/zinc/65/38/07/1048653807.db2.gz OUIYXMPRZYZOHW-DJJJIMSYSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2O)c1ccc2c(c1)CCCO2 ZINC000850433475 1048655510 /nfs/dbraw/zinc/65/55/10/1048655510.db2.gz MTKLWMRBXUJFDJ-UGSOOPFHSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2O)c1ccc2c(c1)CCCO2 ZINC000850433469 1048655911 /nfs/dbraw/zinc/65/59/11/1048655911.db2.gz MTKLWMRBXUJFDJ-ACJLOTCBSA-N 0 3 309.409 4.055 20 0 DIADHN CCc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)o1 ZINC001204393719 1048656011 /nfs/dbraw/zinc/65/60/11/1048656011.db2.gz CGZNITBPVWCBIV-KRWDZBQOSA-N 0 3 305.418 4.348 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1ccc(SC(F)F)cc1 ZINC000850444228 1048657764 /nfs/dbraw/zinc/65/77/64/1048657764.db2.gz HXCBONURQAVFJL-FZMZJTMJSA-N 0 3 323.412 4.384 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc2cnccc2o1)c1ccc(F)cc1 ZINC000850444724 1048658103 /nfs/dbraw/zinc/65/81/03/1048658103.db2.gz KJYYHSCWNLJCBD-XIKOKIGWSA-N 0 3 314.360 4.005 20 0 DIADHN COC[C@@H](N[C@H](C)c1ccc2c(c1)CCCO2)c1ccccc1 ZINC000850441890 1048658306 /nfs/dbraw/zinc/65/83/06/1048658306.db2.gz CSCLLQRACQGYHC-DNVCBOLYSA-N 0 3 311.425 4.050 20 0 DIADHN Cc1cccc2cc(Cl)nc(N3CCN(C(C)(C)C)CC3)c12 ZINC001165095356 1048659378 /nfs/dbraw/zinc/65/93/78/1048659378.db2.gz RJGPNGHWNFBUAS-UHFFFAOYSA-N 0 3 317.864 4.117 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850449061 1048660635 /nfs/dbraw/zinc/66/06/35/1048660635.db2.gz ULJBQNJTECSIOP-GJZGRUSLSA-N 0 3 310.441 4.381 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000850449979 1048660749 /nfs/dbraw/zinc/66/07/49/1048660749.db2.gz YCJZXPBJAMKZQN-JLTOFOAXSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H]1CN(c2cc(C3CC3)ccn2)[C@@H](C)CN1Cc1ccccc1 ZINC001165202884 1048664680 /nfs/dbraw/zinc/66/46/80/1048664680.db2.gz QDUMNLFWVWUMAP-SJORKVTESA-N 0 3 321.468 4.058 20 0 DIADHN Cc1csc(C[C@@H]2CCN([C@@H](C)c3ccccc3F)C2)n1 ZINC001176431661 1048669808 /nfs/dbraw/zinc/66/98/08/1048669808.db2.gz IEJMQUUYLPICSE-KBPBESRZSA-N 0 3 304.434 4.216 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(Oc3ccncc3)CC2)cc1 ZINC001204396444 1048672481 /nfs/dbraw/zinc/67/24/81/1048672481.db2.gz BWYLLHIRHIQVQE-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN Cc1cc(CNCc2ccc(C(C)(C)O)cc2)cc2cccnc12 ZINC000775330863 1048673756 /nfs/dbraw/zinc/67/37/56/1048673756.db2.gz WLHONGYCYKMUDU-UHFFFAOYSA-N 0 3 320.436 4.060 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1ccc(-c2ccccc2)cn1 ZINC000850523358 1048676774 /nfs/dbraw/zinc/67/67/74/1048676774.db2.gz HATVUHKSECPHOD-MAUKXSAKSA-N 0 3 308.425 4.111 20 0 DIADHN O[C@@H]1C[C@@H](N[C@H](c2cccnc2)C2CCCCC2)c2ccccc21 ZINC000850524214 1048679345 /nfs/dbraw/zinc/67/93/45/1048679345.db2.gz ARZKBPNTLCLOTR-NJYVYQBISA-N 0 3 322.452 4.471 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](O)c2ccccc21)c1cc2c(s1)CCCC2 ZINC000850528925 1048680088 /nfs/dbraw/zinc/68/00/88/1048680088.db2.gz OEOMEYMVPKJQEK-JCURWCKSSA-N 0 3 313.466 4.456 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850528505 1048680409 /nfs/dbraw/zinc/68/04/09/1048680409.db2.gz IKLBYGQDFQBZFI-VHKYSDTDSA-N 0 3 311.425 4.303 20 0 DIADHN CC(C)[C@H](N[C@@H]1C[C@@H](O)c2ccccc21)c1ccc(F)c(F)c1 ZINC000850528749 1048680826 /nfs/dbraw/zinc/68/08/26/1048680826.db2.gz MTOVXPYPXXYDHB-QRVBRYPASA-N 0 3 317.379 4.430 20 0 DIADHN O[C@@H]1C[C@@H](N[C@@H]2CCCc3c4ccccc4[nH]c32)c2ccccc21 ZINC000850527169 1048681078 /nfs/dbraw/zinc/68/10/78/1048681078.db2.gz UNZIJIDZXRGAQS-VAMGGRTRSA-N 0 3 318.420 4.313 20 0 DIADHN CCC[C@H](N[C@H]1C[C@H](O)c2ccccc21)c1ccc(OC)cc1 ZINC000850528640 1048681142 /nfs/dbraw/zinc/68/11/42/1048681142.db2.gz JJJDUTSHPYARKT-UFYCRDLUSA-N 0 3 311.425 4.304 20 0 DIADHN Cc1cc([C@H](N[C@H]2C[C@@H](O)c3ccccc32)C2CC2)ccc1F ZINC000850527065 1048681264 /nfs/dbraw/zinc/68/12/64/1048681264.db2.gz FEJQYIWLMVDGSN-XUVXKRRUSA-N 0 3 311.400 4.353 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H]1C[C@H](O)c2ccccc21 ZINC000850529357 1048683345 /nfs/dbraw/zinc/68/33/45/1048683345.db2.gz QSXODNHHQXNFRH-AABGKKOBSA-N 0 3 323.436 4.186 20 0 DIADHN COCc1csc(CN[C@H](C)c2cc(C)c(OC)cc2C)c1 ZINC000850533450 1048684927 /nfs/dbraw/zinc/68/49/27/1048684927.db2.gz YYRMOSJTMZOSDP-CQSZACIVSA-N 0 3 319.470 4.371 20 0 DIADHN Cc1ccc2c(ccnc2NCC2CN(Cc3ccccc3)C2)c1 ZINC001165426793 1048688657 /nfs/dbraw/zinc/68/86/57/1048688657.db2.gz BGSSJCAZODHJOQ-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1c2[nH]ccc2ccc1NCC1CN(Cc2ccccc2)C1 ZINC001165468910 1048690021 /nfs/dbraw/zinc/69/00/21/1048690021.db2.gz KESAPTPRXYBUKS-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN CCCOc1ccc(C)c(NCC2CN(Cc3ccccc3)C2)c1 ZINC001165469249 1048690287 /nfs/dbraw/zinc/69/02/87/1048690287.db2.gz LWYBQSXXJPSAEM-UHFFFAOYSA-N 0 3 324.468 4.328 20 0 DIADHN Cc1cc(OC(C)C)ccc1NCC1CN(Cc2ccccc2)C1 ZINC001165468355 1048690412 /nfs/dbraw/zinc/69/04/12/1048690412.db2.gz FZBJVBGWAZEPOI-UHFFFAOYSA-N 0 3 324.468 4.326 20 0 DIADHN Cc1cc(NCC2CN(Cc3ccccc3)C2)cc2cc[nH]c21 ZINC001165468155 1048690522 /nfs/dbraw/zinc/69/05/22/1048690522.db2.gz CBWRYJWDLCISBA-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN CCN(C)C(=O)c1cccc(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001176464925 1048691255 /nfs/dbraw/zinc/69/12/55/1048691255.db2.gz XCPOLMPGXXZCMA-UHFFFAOYSA-N 0 3 311.429 4.215 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@H](C)[C@H]3C)cs2)c1 ZINC000482846106 1048692246 /nfs/dbraw/zinc/69/22/46/1048692246.db2.gz SWWUQZBHDITIIT-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1coc(-c2ccc(OC)cc2)n1 ZINC000482884380 1048695267 /nfs/dbraw/zinc/69/52/67/1048695267.db2.gz CGONDQZPAIPQFL-CQSZACIVSA-N 0 3 302.418 4.218 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](c2ccccc2)[C@@H](C)O)cc1C ZINC000850583210 1048701714 /nfs/dbraw/zinc/70/17/14/1048701714.db2.gz JLLDITXEJXTJPO-YRNRMSPPSA-N 0 3 313.441 4.085 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(c4cccnc4)CC3)cc21 ZINC000668161370 1048707438 /nfs/dbraw/zinc/70/74/38/1048707438.db2.gz ZUZZEVUSNLZQTK-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC(C)N(Cc1csc(-c2ccccc2)n1)C1CCOCC1 ZINC000077323791 1048711690 /nfs/dbraw/zinc/71/16/90/1048711690.db2.gz JSHMCDDWTHPZTN-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN C[C@@H](NCc1cnc(N(C)C)s1)c1cccc2ccccc21 ZINC000077324345 1048712150 /nfs/dbraw/zinc/71/21/50/1048712150.db2.gz FAJDXJBNPMQOBL-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN CCC[C@@](C)(O)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000482970379 1048714201 /nfs/dbraw/zinc/71/42/01/1048714201.db2.gz JRXDEAHKZZMKMD-SUMWQHHRSA-N 0 3 304.459 4.012 20 0 DIADHN CN(C[C@H]1OCCc2ccccc21)[C@@H]1CCc2ccc(F)cc21 ZINC000482980511 1048716249 /nfs/dbraw/zinc/71/62/49/1048716249.db2.gz JRYHWIMKSRKSMB-WOJBJXKFSA-N 0 3 311.400 4.059 20 0 DIADHN CC[C@@H]1CO[C@H](C)CN1CCCc1ccc(C(F)(F)F)cc1 ZINC001190340203 1048721308 /nfs/dbraw/zinc/72/13/08/1048721308.db2.gz PLRKDTYBMMHNNL-CZUORRHYSA-N 0 3 315.379 4.137 20 0 DIADHN Cc1ccc(CCN(Cc2ccc(F)cc2F)C2CC2)cc1 ZINC001190382595 1048723642 /nfs/dbraw/zinc/72/36/42/1048723642.db2.gz JTWFNKDIINLUEU-UHFFFAOYSA-N 0 3 301.380 4.480 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccccc3Cl)c2C1 ZINC001176553773 1048725056 /nfs/dbraw/zinc/72/50/56/1048725056.db2.gz XEVSEIIAHIPZOB-UHFFFAOYSA-N 0 3 321.877 4.495 20 0 DIADHN Cc1ccc(CN(CCO)Cc2ccc(Cl)c(Cl)c2)cc1 ZINC001204174355 1048725709 /nfs/dbraw/zinc/72/57/09/1048725709.db2.gz OUCSIRZWEXXCBX-UHFFFAOYSA-N 0 3 324.251 4.296 20 0 DIADHN C[C@H](c1ccccc1F)N(C)CCCN(C)C(=O)OC(C)(C)C ZINC001190392999 1048727276 /nfs/dbraw/zinc/72/72/76/1048727276.db2.gz XAEGWPMNCWJLNA-CQSZACIVSA-N 0 3 324.440 4.076 20 0 DIADHN Cc1cccc(OCCN(C)CCc2c(F)cccc2Cl)c1 ZINC001190391999 1048727313 /nfs/dbraw/zinc/72/73/13/1048727313.db2.gz JPBUDRJGFKSLPV-UHFFFAOYSA-N 0 3 321.823 4.341 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@@H]2CCC3(CCCCCC3)O2)on1 ZINC001190366655 1048730204 /nfs/dbraw/zinc/73/02/04/1048730204.db2.gz SKQYXLTUSQWOBH-DLBZAZTESA-N 0 3 318.461 4.392 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCC(C)(C)C2)c(Cl)c1 ZINC000483100477 1048734252 /nfs/dbraw/zinc/73/42/52/1048734252.db2.gz GNEDRYSRNMRJOY-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CN(Cc1nnc(-c2ccc(Cl)cc2)o1)[C@H]1CCC(C)(C)C1 ZINC000483105417 1048735032 /nfs/dbraw/zinc/73/50/32/1048735032.db2.gz PMSLIKGHSABDMP-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000775386484 1048735360 /nfs/dbraw/zinc/73/53/60/1048735360.db2.gz DVVSROAKIXCATF-SFHVURJKSA-N 0 3 316.832 4.194 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@](C)(CC)c1ccccc1 ZINC000775386315 1048736634 /nfs/dbraw/zinc/73/66/34/1048736634.db2.gz CULIOCJDKBUCJE-FQEVSTJZSA-N 0 3 310.441 4.103 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@H]1CCC(C)(C)C1 ZINC000483112305 1048736732 /nfs/dbraw/zinc/73/67/32/1048736732.db2.gz HUBWXNXFWLNYRH-HNNXBMFYSA-N 0 3 302.462 4.259 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)o1 ZINC000483122523 1048737025 /nfs/dbraw/zinc/73/70/25/1048737025.db2.gz SIOSHTDPWGGUMT-MLGOLLRUSA-N 0 3 322.399 4.074 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000775387851 1048739864 /nfs/dbraw/zinc/73/98/64/1048739864.db2.gz OBGAXQIIANYPFO-FQEVSTJZSA-N 0 3 310.441 4.157 20 0 DIADHN CCN(CC(=O)Nc1ccccc1-c1ccccc1)CC1CCC1 ZINC000483123188 1048740949 /nfs/dbraw/zinc/74/09/49/1048740949.db2.gz TUQPGEORFPDBBH-UHFFFAOYSA-N 0 3 322.452 4.414 20 0 DIADHN CC[C@@](C)(NCc1cnc(OC)c(Cl)c1)c1ccccc1 ZINC000775390835 1048741220 /nfs/dbraw/zinc/74/12/20/1048741220.db2.gz QDZYMADKBCKKII-QGZVFWFLSA-N 0 3 304.821 4.159 20 0 DIADHN CC[C@](C)(NCc1cncc(Br)c1)c1ccccc1 ZINC000775390448 1048743778 /nfs/dbraw/zinc/74/37/78/1048743778.db2.gz NHRJOQOBMKGFHX-INIZCTEOSA-N 0 3 319.246 4.259 20 0 DIADHN C[C@@H](NCc1ccc(F)c(F)c1)c1ccc(C(C)(C)O)cc1 ZINC000924783937 1048744304 /nfs/dbraw/zinc/74/43/04/1048744304.db2.gz PAIRQZOGEVFKBM-GFCCVEGCSA-N 0 3 305.368 4.043 20 0 DIADHN CC[C@@](C)(NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000775394707 1048752441 /nfs/dbraw/zinc/75/24/41/1048752441.db2.gz MGCZOLLDCOJEMQ-DYESRHJHSA-N 0 3 324.468 4.121 20 0 DIADHN CC(C)N(C)c1ccc(CN(Cc2cccs2)C(C)C)cn1 ZINC000483184552 1048754537 /nfs/dbraw/zinc/75/45/37/1048754537.db2.gz XODYGYMKCRLUEH-UHFFFAOYSA-N 0 3 317.502 4.398 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000775397305 1048755395 /nfs/dbraw/zinc/75/53/95/1048755395.db2.gz SHHBBIOKFIKCCX-YVEFUNNKSA-N 0 3 323.358 4.105 20 0 DIADHN CC[C@@](C)(NCc1cc(C(=O)OC)sc1C)c1ccccc1 ZINC000775397902 1048757001 /nfs/dbraw/zinc/75/70/01/1048757001.db2.gz YHKHXZPBMPUZGO-GOSISDBHSA-N 0 3 317.454 4.258 20 0 DIADHN CCCN(Cc1ccc(Cl)nn1)[C@H](CC)c1ccccc1 ZINC001190582032 1048758578 /nfs/dbraw/zinc/75/85/78/1048758578.db2.gz MVPPUAOZGDCWPV-MRXNPFEDSA-N 0 3 303.837 4.493 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@@H](C(C)(C)C)C1 ZINC000483248422 1048762374 /nfs/dbraw/zinc/76/23/74/1048762374.db2.gz QNJOCEMXFCHDTD-DLBZAZTESA-N 0 3 316.489 4.389 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@@H](C(C)(C)C)C1 ZINC000483250271 1048763971 /nfs/dbraw/zinc/76/39/71/1048763971.db2.gz NLDTXYFQEKJBHI-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)(NCc1ccc(OCC(F)(F)F)nc1)c1ccccc1 ZINC000483251342 1048764800 /nfs/dbraw/zinc/76/48/00/1048764800.db2.gz ROZSLZXJEOCGBX-UHFFFAOYSA-N 0 3 324.346 4.048 20 0 DIADHN CCN(C)c1ccc(CN(CC)[C@@H](C)c2ccc(F)cc2)cn1 ZINC000483262509 1048767298 /nfs/dbraw/zinc/76/72/98/1048767298.db2.gz BEHFOWQHEBXYPB-HNNXBMFYSA-N 0 3 315.436 4.260 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@@H]1COC2(CCCCC2)O1 ZINC001190627562 1048770024 /nfs/dbraw/zinc/77/00/24/1048770024.db2.gz IPYOSLMNWXHEME-QGZVFWFLSA-N 0 3 323.864 4.238 20 0 DIADHN Clc1ccc(N2CCN(CCCC3CCCCC3)CC2)nc1 ZINC001190651770 1048772294 /nfs/dbraw/zinc/77/22/94/1048772294.db2.gz QLSKPZAQRHXXDR-UHFFFAOYSA-N 0 3 321.896 4.218 20 0 DIADHN CCCN(Cc1ccsc1Cl)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000483283344 1048772591 /nfs/dbraw/zinc/77/25/91/1048772591.db2.gz XOHBSHOUFFTWKN-BFHYXJOUSA-N 0 3 313.894 4.427 20 0 DIADHN CCCN(Cc1ccsc1Cl)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000483283346 1048773355 /nfs/dbraw/zinc/77/33/55/1048773355.db2.gz XOHBSHOUFFTWKN-MGPQQGTHSA-N 0 3 313.894 4.427 20 0 DIADHN CCN(C)c1ccc(CN(C2CC2)[C@H]2CCc3ccccc32)cn1 ZINC000483296904 1048774908 /nfs/dbraw/zinc/77/49/08/1048774908.db2.gz NVJRGDMPGNAGML-FQEVSTJZSA-N 0 3 321.468 4.190 20 0 DIADHN CN(CCC1CCCCC1)Cc1cccc2c1OC(F)(F)O2 ZINC001190708189 1048775689 /nfs/dbraw/zinc/77/56/89/1048775689.db2.gz RTHCRQUFYUMXEL-UHFFFAOYSA-N 0 3 311.372 4.410 20 0 DIADHN COc1cccc(CNCc2ccc(F)c(C(F)(F)F)c2)c1 ZINC000162561750 1048777440 /nfs/dbraw/zinc/77/74/40/1048777440.db2.gz LSGIVIRADBWQEP-UHFFFAOYSA-N 0 3 313.294 4.143 20 0 DIADHN Cc1nsc(C)c1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001190690385 1048777972 /nfs/dbraw/zinc/77/79/72/1048777972.db2.gz GSHAJGXCWHMZRX-UHFFFAOYSA-N 0 3 318.461 4.354 20 0 DIADHN CN(Cc1cn(C2CCC2)nn1)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000668240066 1048786811 /nfs/dbraw/zinc/78/68/11/1048786811.db2.gz XIHVOEGSTIKNGI-WOJBJXKFSA-N 0 3 324.472 4.161 20 0 DIADHN CC[C@@H](NCc1cnccc1C)c1cccc(Br)c1 ZINC000162678095 1048790378 /nfs/dbraw/zinc/79/03/78/1048790378.db2.gz CYNQPMYNNDNXMN-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ccc(OC(F)F)c(CN(C)CCc2ccccc2F)c1 ZINC001190855262 1048791018 /nfs/dbraw/zinc/79/10/18/1048791018.db2.gz QKOQBKRYMYSJED-UHFFFAOYSA-N 0 3 323.358 4.410 20 0 DIADHN C[C@H](N[C@H]1CCOC12CCCC2)c1ccccc1Br ZINC001176823605 1048791678 /nfs/dbraw/zinc/79/16/78/1048791678.db2.gz KCPZCUCNVFTLMK-WFASDCNBSA-N 0 3 324.262 4.201 20 0 DIADHN CC[C@H](NCc1cnccc1C)c1cccc(Br)c1 ZINC000162677970 1048792511 /nfs/dbraw/zinc/79/25/11/1048792511.db2.gz CYNQPMYNNDNXMN-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@H](Cc1cccnc1)NCc1cc(Cl)cc(Cl)c1F ZINC001176864269 1048793210 /nfs/dbraw/zinc/79/32/10/1048793210.db2.gz GMYHUQIQQPZLAC-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3nccs3)C2)c(Cl)c1 ZINC001176864866 1048793665 /nfs/dbraw/zinc/79/36/65/1048793665.db2.gz PPTORLXZBHYBSM-CYBMUJFWSA-N 0 3 306.862 4.170 20 0 DIADHN C[C@@H]1CSCCCN1Cc1ccccc1Oc1cccnc1 ZINC001176828651 1048793911 /nfs/dbraw/zinc/79/39/11/1048793911.db2.gz VPOANQOSYPRFFQ-OAHLLOKOSA-N 0 3 314.454 4.201 20 0 DIADHN C[C@@H]1CCSCCN1Cc1c(Cl)cccc1N1CCCC1 ZINC000483421764 1048794173 /nfs/dbraw/zinc/79/41/73/1048794173.db2.gz ONBROPNFFLLIMQ-CQSZACIVSA-N 0 3 324.921 4.268 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NCCc2ccsc2)n1 ZINC001166791631 1048794605 /nfs/dbraw/zinc/79/46/05/1048794605.db2.gz NVDNWIQAKVBDRI-CQSZACIVSA-N 0 3 301.459 4.177 20 0 DIADHN CN(Cc1nc2cc(C(F)(F)F)ccc2o1)CC1CCCC1 ZINC000483428124 1048795705 /nfs/dbraw/zinc/79/57/05/1048795705.db2.gz MRMINMDCBRQAKU-UHFFFAOYSA-N 0 3 312.335 4.469 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2cc3ccncc3s2)C1 ZINC000668244065 1048796272 /nfs/dbraw/zinc/79/62/72/1048796272.db2.gz PKEJSDAZJBFEKJ-NSHDSACASA-N 0 3 314.376 4.461 20 0 DIADHN CN(CCN(C)c1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001190895483 1048798456 /nfs/dbraw/zinc/79/84/56/1048798456.db2.gz SUWSQIIXFGMXFJ-UHFFFAOYSA-N 0 3 306.812 4.047 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(F)(F)F)C(C)(C)C2)cc1 ZINC000483437224 1048800669 /nfs/dbraw/zinc/80/06/69/1048800669.db2.gz HPWAJGCGVBQHJZ-CQSZACIVSA-N 0 3 301.352 4.106 20 0 DIADHN Cc1ccc(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)cc1C ZINC001176932762 1048802605 /nfs/dbraw/zinc/80/26/05/1048802605.db2.gz QQIYHWXMWHAKLN-IIBYNOLFSA-N 0 3 309.453 4.178 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCCC[C@@H]1[C@@H]1CCCO1 ZINC001176926889 1048803209 /nfs/dbraw/zinc/80/32/09/1048803209.db2.gz LGRHASBCZPDXEB-CVEARBPZSA-N 0 3 313.363 4.239 20 0 DIADHN Cc1ncsc1-c1ccc(CN2CC(CC(F)F)C2)cc1 ZINC000668250958 1048804529 /nfs/dbraw/zinc/80/45/29/1048804529.db2.gz JTVHGPXXCBBKFK-UHFFFAOYSA-N 0 3 308.397 4.206 20 0 DIADHN CN(Cc1cc(O)ccc1Cl)[C@@H]1CCc2ccccc2C1 ZINC001176909208 1048808034 /nfs/dbraw/zinc/80/80/34/1048808034.db2.gz PPDGEHARYDXQDF-MRXNPFEDSA-N 0 3 301.817 4.035 20 0 DIADHN CO[C@@H]1CC[C@@H]1N(C)Cc1ccc(Oc2ccccc2)c(F)c1 ZINC001176916618 1048810144 /nfs/dbraw/zinc/81/01/44/1048810144.db2.gz ZBBXOFIWCKLBAO-PKOBYXMFSA-N 0 3 315.388 4.227 20 0 DIADHN COc1cccc(F)c1NC[C@H](c1ccccc1)N1CCCC1 ZINC001166899779 1048810517 /nfs/dbraw/zinc/81/05/17/1048810517.db2.gz FOKQOUHXAOBPLI-QGZVFWFLSA-N 0 3 314.404 4.083 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C)[C@H](c1ccccc1)C(C)C ZINC001190954944 1048813675 /nfs/dbraw/zinc/81/36/75/1048813675.db2.gz SMFXKPPOZKMNQM-XLIONFOSSA-N 0 3 318.505 4.209 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2c(F)cccc2F)cc1 ZINC001190985838 1048814717 /nfs/dbraw/zinc/81/47/17/1048814717.db2.gz UICSLQAYUNBIGF-MRXNPFEDSA-N 0 3 301.380 4.480 20 0 DIADHN Cc1ccccc1[C@@H](C)N(C)[C@@H]1CCCN(c2ccccc2)C1=O ZINC001190985870 1048816075 /nfs/dbraw/zinc/81/60/75/1048816075.db2.gz UNDHPGGNPATADS-YLJYHZDGSA-N 0 3 322.452 4.183 20 0 DIADHN CC(C)c1ccc2c(c1)CCN(Cc1cccc3c1CCOC3)C2 ZINC001177028050 1048816728 /nfs/dbraw/zinc/81/67/28/1048816728.db2.gz UTNKVQGQXFNLTB-UHFFFAOYSA-N 0 3 321.464 4.441 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc2c1CN(CCCCF)CC2 ZINC001177027186 1048816752 /nfs/dbraw/zinc/81/67/52/1048816752.db2.gz HFUWQJCRVNSDFL-UHFFFAOYSA-N 0 3 322.424 4.141 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1ccccc1Br ZINC000162908373 1048818191 /nfs/dbraw/zinc/81/81/91/1048818191.db2.gz VMNVICHMTZZSQK-GFCCVEGCSA-N 0 3 322.221 4.309 20 0 DIADHN COC(CCN(CC=C(C)C)Cc1ccc(Cl)cc1)OC ZINC000852010668 1048819268 /nfs/dbraw/zinc/81/92/68/1048819268.db2.gz POKNLSMFVNBPRI-UHFFFAOYSA-N 0 3 311.853 4.117 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@H](C)[C@H](O)C(F)(F)F)c2)cc1 ZINC001203352171 1048820816 /nfs/dbraw/zinc/82/08/16/1048820816.db2.gz SJCQBWHKFFFMTQ-DYVFJYSZSA-N 0 3 323.358 4.063 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@@H](C)[C@H](O)C(F)(F)F)c2)cc1 ZINC001203352172 1048821845 /nfs/dbraw/zinc/82/18/45/1048821845.db2.gz SJCQBWHKFFFMTQ-GUYCJALGSA-N 0 3 323.358 4.063 20 0 DIADHN COc1cc2c(cc1OC)CN(CC/C=C\c1ccccc1)CC2 ZINC001166990532 1048822377 /nfs/dbraw/zinc/82/23/77/1048822377.db2.gz NLSQPQYZWMPZTA-POHAHGRESA-N 0 3 323.436 4.165 20 0 DIADHN Cc1cccc(CNC[C@@H](c2ccccc2Cl)N(C)C)c1F ZINC001177072028 1048822942 /nfs/dbraw/zinc/82/29/42/1048822942.db2.gz WQXGEYFJAYYGNG-KRWDZBQOSA-N 0 3 320.839 4.180 20 0 DIADHN C[C@H]1CCCC[C@H]1CN1CCc2c(cnn2-c2ccccc2)C1 ZINC001166990955 1048822556 /nfs/dbraw/zinc/82/25/56/1048822556.db2.gz VHTCTCJVWNQDNJ-IRXDYDNUSA-N 0 3 309.457 4.057 20 0 DIADHN CCc1nocc1CN1CCC(c2ccnc3ccccc32)CC1 ZINC000852114535 1048828397 /nfs/dbraw/zinc/82/83/97/1048828397.db2.gz TUZLOFLEAHDJNU-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN Cc1cc(Oc2cc(Cl)ccc2CNC[C@@H](C)O)ccc1F ZINC001177093106 1048829030 /nfs/dbraw/zinc/82/90/30/1048829030.db2.gz NRZIKCVIXOQPND-GFCCVEGCSA-N 0 3 323.795 4.050 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCCc1ccccc1 ZINC001177108931 1048831779 /nfs/dbraw/zinc/83/17/79/1048831779.db2.gz RPBGHEUQGFXMKF-UHFFFAOYSA-N 0 3 312.438 4.434 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@@H](C)c2cc(F)ccc2F)cc1 ZINC000483649565 1048831830 /nfs/dbraw/zinc/83/18/30/1048831830.db2.gz WQYITLPBAGYPKB-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccccc1SC(F)F ZINC000852293662 1048834758 /nfs/dbraw/zinc/83/47/58/1048834758.db2.gz OSPSHUAATXDWRG-LLVKDONJSA-N 0 3 316.417 4.060 20 0 DIADHN Cc1ccc2c(CN3CCC(c4ccco4)CC3)cc(=O)oc2c1 ZINC000852323443 1048835856 /nfs/dbraw/zinc/83/58/56/1048835856.db2.gz IUBIBMCCCRMPJR-UHFFFAOYSA-N 0 3 323.392 4.074 20 0 DIADHN COc1cc(C)c(CN[C@@H]2CCSc3ccccc32)c(C)n1 ZINC001177112552 1048836717 /nfs/dbraw/zinc/83/67/17/1048836717.db2.gz QOBDHCGUNOTZAK-MRXNPFEDSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2cncs2)cc1 ZINC000483678909 1048837536 /nfs/dbraw/zinc/83/75/36/1048837536.db2.gz FCZWUQWPDIUVDH-LXZKKBNFSA-N 0 3 302.443 4.022 20 0 DIADHN CC[C@@H]1Oc2cc([C@H](C)N[C@@H](C)c3ccccc3)ccc2NC1=O ZINC000173223075 1048841001 /nfs/dbraw/zinc/84/10/01/1048841001.db2.gz ZHIPIECPJBIILX-DEYYWGMASA-N 0 3 324.424 4.208 20 0 DIADHN CC(C)(C)[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC001177222430 1048848629 /nfs/dbraw/zinc/84/86/29/1048848629.db2.gz JWYPOAANDKJMSS-IBGZPJMESA-N 0 3 320.440 4.349 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2Cl)C1)c1ccco1 ZINC000483713754 1048850366 /nfs/dbraw/zinc/85/03/66/1048850366.db2.gz OTTRZSLNDAZNTH-KBPBESRZSA-N 0 3 304.821 4.253 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2cnc(Cl)s2)C12CCC2 ZINC000852587338 1048852605 /nfs/dbraw/zinc/85/26/05/1048852605.db2.gz XDCBBXZHURTIST-NWDGAFQWSA-N 0 3 314.882 4.012 20 0 DIADHN CC(C)(C)c1csc(CNCC2(c3ccccc3)CC2)n1 ZINC001177231271 1048852969 /nfs/dbraw/zinc/85/29/69/1048852969.db2.gz IXTMNJOFXAQKKC-UHFFFAOYSA-N 0 3 300.471 4.262 20 0 DIADHN C[C@H](NCc1nc(Cl)ccc1Cl)C1CCC(F)CC1 ZINC000852650291 1048853713 /nfs/dbraw/zinc/85/37/13/1048853713.db2.gz BUBKOOZCUNBNMC-WHXUTIOJSA-N 0 3 305.224 4.395 20 0 DIADHN CCCC[C@H](CC)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000483750416 1048855142 /nfs/dbraw/zinc/85/51/42/1048855142.db2.gz ZUZAQSGBZLDAKO-HKUYNNGSSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@@H](c1ncccn1)C1CC1 ZINC000903323071 1048857644 /nfs/dbraw/zinc/85/76/44/1048857644.db2.gz AFNZVBDGJOAFCC-CRAIPNDOSA-N 0 3 309.457 4.274 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2C[C@@H](O)c1ccccc1C(F)(F)F ZINC000852701270 1048858849 /nfs/dbraw/zinc/85/88/49/1048858849.db2.gz OQCPWCXRNXOSGH-XQERAMJGSA-N 0 3 313.363 4.002 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(N3CCC(C)CC3)nc2)c1 ZINC001177312594 1048866591 /nfs/dbraw/zinc/86/65/91/1048866591.db2.gz YRRUIJUUYHQKOH-UHFFFAOYSA-N 0 3 323.484 4.225 20 0 DIADHN CCNc1nc(CN2C[C@H](c3ccccc3C)C[C@H]2C)cs1 ZINC001191155668 1048867141 /nfs/dbraw/zinc/86/71/41/1048867141.db2.gz IURYQGDHCTUIIH-HUUCEWRRSA-N 0 3 315.486 4.261 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3CO[C@H](C4CC4)C3)o2)cc1 ZINC001203321462 1048869978 /nfs/dbraw/zinc/86/99/78/1048869978.db2.gz YABLZKOYOHOWDX-QAPCUYQASA-N 0 3 317.816 4.257 20 0 DIADHN CCCCN(CC[S@@](=O)C(F)(F)F)[C@H](C)c1ccccc1 ZINC001191164835 1048871733 /nfs/dbraw/zinc/87/17/33/1048871733.db2.gz NDEHWPMTEORZKZ-LRTDBIEQSA-N 0 3 321.408 4.118 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3ccccc3C(F)(F)F)n2)C[C@H]1C ZINC001191194117 1048878640 /nfs/dbraw/zinc/87/86/40/1048878640.db2.gz QPPKOTSWQZHUMQ-TXEJJXNPSA-N 0 3 324.346 4.448 20 0 DIADHN CC[C@](C)(NCc1cccc(Cl)c1N)c1ccc(F)cc1 ZINC001203358412 1048881635 /nfs/dbraw/zinc/88/16/35/1048881635.db2.gz SRSUUDDEGOWWQO-KRWDZBQOSA-N 0 3 306.812 4.476 20 0 DIADHN C[C@H](NCc1cc2cccnc2o1)c1ccc2c(c1)CCCO2 ZINC000853094987 1048883912 /nfs/dbraw/zinc/88/39/12/1048883912.db2.gz QUTGKDXZONCMNP-ZDUSSCGKSA-N 0 3 308.381 4.004 20 0 DIADHN CC[C@@]1(C)CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001191212856 1048886673 /nfs/dbraw/zinc/88/66/73/1048886673.db2.gz CTSZFTQZOPCPNJ-LZWOXQAQSA-N 0 3 319.411 4.436 20 0 DIADHN Clc1ccccc1CN1CCC2(COc3ccccc32)CC1 ZINC001203384824 1048886970 /nfs/dbraw/zinc/88/69/70/1048886970.db2.gz HHDJNEHJARHJAG-UHFFFAOYSA-N 0 3 313.828 4.266 20 0 DIADHN C[C@@H]1CCN(C[C@H]2CCC3(CCC3)CO2)[C@H](c2ccco2)C1 ZINC001191216007 1048887602 /nfs/dbraw/zinc/88/76/02/1048887602.db2.gz XLCCQYBSGZFIGY-ZACQAIPSSA-N 0 3 303.446 4.402 20 0 DIADHN CNc1ccc(C)cc1CNCc1cccc(OCC(C)C)c1 ZINC001177463532 1048888472 /nfs/dbraw/zinc/88/84/72/1048888472.db2.gz BORIKXZLECPAQO-UHFFFAOYSA-N 0 3 312.457 4.361 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cccnc21)c1ccc2c(c1)CCCO2 ZINC000853240782 1048890847 /nfs/dbraw/zinc/89/08/47/1048890847.db2.gz IGZUSXMDQPRDAX-KBXCAEBGSA-N 0 3 308.425 4.135 20 0 DIADHN C[C@H](N[C@H]1CCCc2c(O)cccc21)c1ccc2c(c1)CCCO2 ZINC000853239790 1048891702 /nfs/dbraw/zinc/89/17/02/1048891702.db2.gz DNHYZMMIATWXTE-LIRRHRJNSA-N 0 3 323.436 4.445 20 0 DIADHN Fc1ccc(CNCCOc2ccc3c(c2)CCC3)c(Cl)c1 ZINC001177429480 1048891855 /nfs/dbraw/zinc/89/18/55/1048891855.db2.gz YZOIAASVSYNEOP-UHFFFAOYSA-N 0 3 319.807 4.136 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC[C@H](C)[C@H]1C ZINC001191181351 1048892703 /nfs/dbraw/zinc/89/27/03/1048892703.db2.gz GUCXFVJSOAJZGW-UONOGXRCSA-N 0 3 315.413 4.230 20 0 DIADHN CCCCCCN1CCN(Cc2c(F)cccc2Cl)CC1 ZINC001203406037 1048893322 /nfs/dbraw/zinc/89/33/22/1048893322.db2.gz ZMFDNWGPMKNIGM-UHFFFAOYSA-N 0 3 312.860 4.177 20 0 DIADHN O[C@@H](CCNCc1ccc(F)c(Cl)c1Cl)C1CCCC1 ZINC001203429281 1048900108 /nfs/dbraw/zinc/90/01/08/1048900108.db2.gz XIYMIQUAXRTAKK-ZDUSSCGKSA-N 0 3 320.235 4.163 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccccc2OC)c1 ZINC001203433909 1048900282 /nfs/dbraw/zinc/90/02/82/1048900282.db2.gz CRFXSILEJNYMJD-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cn(C)c4ccccc34)cccc21 ZINC001203425068 1048901380 /nfs/dbraw/zinc/90/13/80/1048901380.db2.gz UVAQDLMCOOXXQT-GOSISDBHSA-N 0 3 321.424 4.307 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cc(C)nc(Cl)c3)C2)cc1 ZINC000797602850 1048901445 /nfs/dbraw/zinc/90/14/45/1048901445.db2.gz XOOVMZYASLYHHH-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(C)nc3c2CCCC3)s1 ZINC001177497109 1048902146 /nfs/dbraw/zinc/90/21/46/1048902146.db2.gz YRDKNEKRZFZLES-AWEZNQCLSA-N 0 3 300.471 4.490 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1ccc2c(c1)CCCC2 ZINC000484128183 1048902783 /nfs/dbraw/zinc/90/27/83/1048902783.db2.gz IUEFNVKRRWBGRU-HRAATJIYSA-N 0 3 309.453 4.340 20 0 DIADHN COc1cccc(CN(C)CCc2cccc(Cl)c2)c1OC ZINC001203451263 1048902922 /nfs/dbraw/zinc/90/29/22/1048902922.db2.gz UUHFYPXISXWESW-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CC[C@H](COC)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000484127552 1048903090 /nfs/dbraw/zinc/90/30/90/1048903090.db2.gz HKVVLNIGJMPKOQ-MWLCHTKSSA-N 0 3 307.768 4.017 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)c(C)c1Br ZINC001203452013 1048903562 /nfs/dbraw/zinc/90/35/62/1048903562.db2.gz HLHSMCHMOOTRSI-GHMZBOCLSA-N 0 3 322.168 4.106 20 0 DIADHN Cc1ccsc1CN[C@@H](c1ccc(F)cc1)[C@@H]1CCCO1 ZINC001177519834 1048903884 /nfs/dbraw/zinc/90/38/84/1048903884.db2.gz OZBYTAQRNMXUCE-RDJZCZTQSA-N 0 3 305.418 4.206 20 0 DIADHN COc1cccc(CN2CCCC[C@@H]2c2ccccc2)c1OC ZINC001203439646 1048904040 /nfs/dbraw/zinc/90/40/40/1048904040.db2.gz QSDLHLSFAORBKK-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cccc(C)c2)c(OC)c1 ZINC001203470602 1048908095 /nfs/dbraw/zinc/90/80/95/1048908095.db2.gz BGULSPZDWDAADF-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ncc(CNCc2ccccc2-c2ccc(Cl)cc2)cn1 ZINC000338956340 1048909163 /nfs/dbraw/zinc/90/91/63/1048909163.db2.gz XHJVPULTOGQCNZ-UHFFFAOYSA-N 0 3 323.827 4.395 20 0 DIADHN C[C@@H](NCCNc1ccccn1)c1ccc(-c2ccccc2)o1 ZINC000484172676 1048909127 /nfs/dbraw/zinc/90/91/27/1048909127.db2.gz GAPJCVRPVHKIFT-OAHLLOKOSA-N 0 3 307.397 4.104 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccccc1OCC1CC1 ZINC000484179321 1048912412 /nfs/dbraw/zinc/91/24/12/1048912412.db2.gz YCLLMUKTPUUBKC-YCRPNKLZSA-N 0 3 307.437 4.499 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)C2CCC2)c(OC)c1 ZINC001203484836 1048912493 /nfs/dbraw/zinc/91/24/93/1048912493.db2.gz UREINOQSKPFJJA-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCN(Cc2cccc(C(C)(C)C)c2)C1 ZINC001191297209 1048917931 /nfs/dbraw/zinc/91/79/31/1048917931.db2.gz BFVZYOYIMFOOLO-MRXNPFEDSA-N 0 3 317.473 4.148 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)N(C2CC2)C1)c1cc(Cl)sc1Cl ZINC000484290474 1048920371 /nfs/dbraw/zinc/92/03/71/1048920371.db2.gz DOVSGYJUKNBERV-UTLUCORTSA-N 0 3 319.301 4.331 20 0 DIADHN CC(C)C[C@H]1CCN(CC(=O)Nc2ccc3ccccc3c2)C1 ZINC001191340024 1048920657 /nfs/dbraw/zinc/92/06/57/1048920657.db2.gz HLYGQNXKBWPJOY-MRXNPFEDSA-N 0 3 310.441 4.146 20 0 DIADHN Fc1cc(F)cc(CCN[C@H](c2ccccc2)c2ccccn2)c1 ZINC000194806611 1048924805 /nfs/dbraw/zinc/92/48/05/1048924805.db2.gz CWUPSQXOLKFHAW-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN COc1cc(CN2CCC(Oc3ccccc3)CC2)ccc1C ZINC000436489085 1048924924 /nfs/dbraw/zinc/92/49/24/1048924924.db2.gz WVWBYPXNFUYFOY-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN COc1cccc(-c2nc(CN3[C@H](C)CCC[C@@H]3C)co2)c1 ZINC001191316739 1048925317 /nfs/dbraw/zinc/92/53/17/1048925317.db2.gz KSTOOEQILCUVAZ-OKILXGFUSA-N 0 3 300.402 4.113 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cncc(Cl)c2)C12CCCCC2 ZINC001191319997 1048925774 /nfs/dbraw/zinc/92/57/74/1048925774.db2.gz UFSCLJRDEJHKSX-IAGOWNOFSA-N 0 3 322.880 4.295 20 0 DIADHN Fc1ccc(CNCCC[C@@H]2C=CCC2)c(Br)c1 ZINC001203553412 1048926270 /nfs/dbraw/zinc/92/62/70/1048926270.db2.gz FRXCDZYMKGUGCI-GFCCVEGCSA-N 0 3 312.226 4.424 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nc3cc(F)ccc3o2)cc1 ZINC001177674016 1048928228 /nfs/dbraw/zinc/92/82/28/1048928228.db2.gz HRAMZESVJBGCCU-UHFFFAOYSA-N 0 3 312.388 4.343 20 0 DIADHN CC[C@@H](C)N(CC)Cc1ccc(Br)c(F)c1F ZINC000701274235 1048929102 /nfs/dbraw/zinc/92/91/02/1048929102.db2.gz NEPYVTWTEHARQK-SECBINFHSA-N 0 3 306.194 4.348 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000484341275 1048930262 /nfs/dbraw/zinc/93/02/62/1048930262.db2.gz OMZHVFDGTUNDKX-GFCCVEGCSA-N 0 3 323.399 4.019 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000194851979 1048933838 /nfs/dbraw/zinc/93/38/38/1048933838.db2.gz ZEBCNIKNFINPAT-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccccc1-n1ccnc1 ZINC000194864092 1048938140 /nfs/dbraw/zinc/93/81/40/1048938140.db2.gz OPWZISBCCGMYRJ-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccccc1-n1cccn1 ZINC000194873509 1048939541 /nfs/dbraw/zinc/93/95/41/1048939541.db2.gz AYCLWNOTBYNOIC-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@H](CNCc1csc(C(C)(C)C)n1)c1ccc(F)cc1 ZINC001177743170 1048939688 /nfs/dbraw/zinc/93/96/88/1048939688.db2.gz MJLASGFVHMZHGB-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN Fc1cccc(C2(CNCc3nc4ccccc4o3)CCC2)c1 ZINC001203597666 1048940025 /nfs/dbraw/zinc/94/00/25/1048940025.db2.gz IOGGUAUCFDNOLW-UHFFFAOYSA-N 0 3 310.372 4.178 20 0 DIADHN O[C@H](CNC1(c2cccc(Cl)c2)CC1)c1ccc(Cl)cc1 ZINC000775455009 1048940731 /nfs/dbraw/zinc/94/07/31/1048940731.db2.gz QAYSMGMTZIUCLI-MRXNPFEDSA-N 0 3 322.235 4.306 20 0 DIADHN CC(C)c1ccc(-c2noc(C[C@H]3NCc4ccccc43)n2)cc1 ZINC000854134196 1048943454 /nfs/dbraw/zinc/94/34/54/1048943454.db2.gz HMFSXHAEXKSDTC-GOSISDBHSA-N 0 3 319.408 4.247 20 0 DIADHN C[C@H](NC[C@@H](CO)c1ccccc1)c1cccc(Cl)c1Cl ZINC000484487581 1048944041 /nfs/dbraw/zinc/94/40/41/1048944041.db2.gz DLNGBDWMJPDUSJ-JSGCOSHPSA-N 0 3 324.251 4.420 20 0 DIADHN Brc1cccc2nc(NCC3CCCCC3)[nH]c21 ZINC001203645671 1048946574 /nfs/dbraw/zinc/94/65/74/1048946574.db2.gz UVVDZAOFEDWUQP-UHFFFAOYSA-N 0 3 308.223 4.318 20 0 DIADHN CC[C@@H]1CN(Cc2cccnc2OC)CC[C@H]1c1ccccc1 ZINC001191455084 1048954415 /nfs/dbraw/zinc/95/44/15/1048954415.db2.gz UEBOBJDJDUKOBQ-VQIMIIECSA-N 0 3 310.441 4.106 20 0 DIADHN CC[C@@H]1CN(Cc2cccnc2OC)CC[C@@H]1c1ccccc1 ZINC001191455081 1048955196 /nfs/dbraw/zinc/95/51/96/1048955196.db2.gz UEBOBJDJDUKOBQ-APWZRJJASA-N 0 3 310.441 4.106 20 0 DIADHN O[C@H](CNCc1cc2ccccc2o1)c1c(F)cccc1Cl ZINC001177920870 1048961350 /nfs/dbraw/zinc/96/13/50/1048961350.db2.gz XQGARCLRGYYUMB-OAHLLOKOSA-N 0 3 319.763 4.049 20 0 DIADHN CC(C)N(Cc1ccn(-c2ccc(F)cc2F)n1)C1CCCC1 ZINC001191582464 1048963407 /nfs/dbraw/zinc/96/34/07/1048963407.db2.gz SKJLVIDBBBNPBB-UHFFFAOYSA-N 0 3 319.399 4.304 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2ccc(Oc3ccccc3)o2)C1(C)C ZINC001177928146 1048965008 /nfs/dbraw/zinc/96/50/08/1048965008.db2.gz ARHINZHWMBHHIV-LPHOPBHVSA-N 0 3 315.413 4.365 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCc2cc(F)c(OC)cc21 ZINC000467331498 1048966715 /nfs/dbraw/zinc/96/67/15/1048966715.db2.gz MFXNQIFNXSBTHI-XIKOKIGWSA-N 0 3 314.404 4.130 20 0 DIADHN CCCCOc1ccc(CN[C@@H](CO)c2sccc2C)cc1 ZINC001177933651 1048967245 /nfs/dbraw/zinc/96/72/45/1048967245.db2.gz SDGPDJJNGXZGGJ-KRWDZBQOSA-N 0 3 319.470 4.059 20 0 DIADHN COc1ccc([C@H]2CSCCN2Cc2ccccc2C)cc1 ZINC001203706409 1048971631 /nfs/dbraw/zinc/97/16/31/1048971631.db2.gz CASGQVJWNVGGBU-LJQANCHMSA-N 0 3 313.466 4.294 20 0 DIADHN CC(C)[C@@H](NCc1nnc[nH]1)c1ccc(C2CCCCC2)cc1 ZINC001191664007 1048978270 /nfs/dbraw/zinc/97/82/70/1048978270.db2.gz IEMWBMHAVMCDMH-LJQANCHMSA-N 0 3 312.461 4.339 20 0 DIADHN COc1ccc(CN(C)CCC(=O)c2cccs2)c(C)c1C ZINC001203723059 1048979116 /nfs/dbraw/zinc/97/91/16/1048979116.db2.gz DEZXLLNOXPDXNS-UHFFFAOYSA-N 0 3 317.454 4.078 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C(F)(F)F)C2)c(C)c1C ZINC001203720120 1048979380 /nfs/dbraw/zinc/97/93/80/1048979380.db2.gz POQOZJCHPBUBAH-CQSZACIVSA-N 0 3 301.352 4.086 20 0 DIADHN Cc1ccnc(CN[C@H]2CCCCC2(C)C)c1Br ZINC001178060632 1048980045 /nfs/dbraw/zinc/98/00/45/1048980045.db2.gz VMDCFEDNWWCRCR-ZDUSSCGKSA-N 0 3 311.267 4.211 20 0 DIADHN Cc1ccc(Cl)c(NC(=O)Nc2cccc(CN(C)C)c2)c1 ZINC001191704161 1048981132 /nfs/dbraw/zinc/98/11/32/1048981132.db2.gz DMIRGBQNXCGHSU-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCO1)c1cc(Cl)ccc1OC(F)F ZINC000484598664 1048985192 /nfs/dbraw/zinc/98/51/92/1048985192.db2.gz AYRGAXYJMGZYAV-MEBBXXQBSA-N 0 3 319.779 4.161 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2c(F)cccc2F)C1)c1ccccc1F ZINC000484599584 1048986187 /nfs/dbraw/zinc/98/61/87/1048986187.db2.gz NFCJZRJFCXOWQK-OLZOCXBDSA-N 0 3 320.358 4.033 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](OC(=O)OC(C)(C)C)C2)c(C)c1 ZINC001203744842 1048986403 /nfs/dbraw/zinc/98/64/03/1048986403.db2.gz LGSMGFGQFJQXRC-INIZCTEOSA-N 0 3 319.445 4.138 20 0 DIADHN Cc1ccc(C)c(CN2CCC3(Cc4ccccc4O3)CC2)c1 ZINC001203751670 1048991794 /nfs/dbraw/zinc/99/17/94/1048991794.db2.gz KESUJHLZPFTZNO-UHFFFAOYSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOc1ccc(Cl)cn1 ZINC001178161555 1048992873 /nfs/dbraw/zinc/99/28/73/1048992873.db2.gz MKAFAIKGCSXONO-UHFFFAOYSA-N 0 3 322.836 4.103 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H](NCc2nc3c(s2)COCC3)CC1 ZINC001203781507 1048995406 /nfs/dbraw/zinc/99/54/06/1048995406.db2.gz AUKMWJMFBXFLKY-ZIAGYGMSSA-N 0 3 322.518 4.300 20 0 DIADHN Fc1ccc(OCC2CCN(Cc3cccc(F)c3)CC2)cc1 ZINC001203788687 1048997158 /nfs/dbraw/zinc/99/71/58/1048997158.db2.gz AYOGUBNRNCLELI-UHFFFAOYSA-N 0 3 317.379 4.256 20 0 DIADHN Cc1nc(CNC[C@@H]2CCC(C)(C)c3ccccc32)cs1 ZINC001178203209 1048997902 /nfs/dbraw/zinc/99/79/02/1048997902.db2.gz BDAYNDABRCGGHI-AWEZNQCLSA-N 0 3 300.471 4.396 20 0 DIADHN Cc1cnc(CN[C@H]2CCCC[C@H]2Oc2ccccc2C)s1 ZINC000484653602 1049002233 /nfs/dbraw/zinc/00/22/33/1049002233.db2.gz QHOZGGCDACQSBQ-DOTOQJQBSA-N 0 3 316.470 4.240 20 0 DIADHN CC1(C)CC[C@@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)O1 ZINC001191846019 1049010699 /nfs/dbraw/zinc/01/06/99/1049010699.db2.gz RRAHFNCCPHCEEZ-OALUTQOASA-N 0 3 313.416 4.462 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000194984384 1049011717 /nfs/dbraw/zinc/01/17/17/1049011717.db2.gz TZZLDIWMBYAIIT-DYVFJYSZSA-N 0 3 303.425 4.480 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@H](C)C[C@H](C)O)cc1Cl ZINC001178294798 1049013996 /nfs/dbraw/zinc/01/39/96/1049013996.db2.gz AMXQIANYWMVLKV-MNOVXSKESA-N 0 3 320.260 4.030 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@@H]3CC[C@@H](C4CC4)O3)o2)cc1 ZINC001203870636 1049017987 /nfs/dbraw/zinc/01/79/87/1049017987.db2.gz BFMNDRNPTAIVLR-WMZOPIPTSA-N 0 3 315.388 4.133 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(Cl)s2)cc1C ZINC001178310960 1049019858 /nfs/dbraw/zinc/01/98/58/1049019858.db2.gz QOAQOIJKCRUYIJ-LLVKDONJSA-N 0 3 323.845 4.347 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cccc(C(F)(F)F)c1 ZINC001203886263 1049023038 /nfs/dbraw/zinc/02/30/38/1049023038.db2.gz XSPQLDIDJATUEW-CQSZACIVSA-N 0 3 301.352 4.095 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@H]2Cc2ccccn2)c1 ZINC001203886199 1049023798 /nfs/dbraw/zinc/02/37/98/1049023798.db2.gz RYRORWHQZRKRHF-KRWDZBQOSA-N 0 3 320.358 4.308 20 0 DIADHN CCCc1ccc(N[C@H]2CCN(Cc3ccccn3)C[C@H]2C)cc1 ZINC000776803750 1049025842 /nfs/dbraw/zinc/02/58/42/1049025842.db2.gz UUUPPSWLDGPQRN-UTKZUKDTSA-N 0 3 323.484 4.357 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccccc2OC)cc1C ZINC001203898359 1049026357 /nfs/dbraw/zinc/02/63/57/1049026357.db2.gz LXTUWCUNTDOGRU-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2CC3(CO2)CCCCC3)sc1C ZINC001191977676 1049027257 /nfs/dbraw/zinc/02/72/57/1049027257.db2.gz PHPFPRJUFSKWMR-HNNXBMFYSA-N 0 3 322.518 4.324 20 0 DIADHN CC[C@H](NCc1cccc2[nH]c(=O)oc21)c1ccc(C)c(F)c1 ZINC001178360681 1049027845 /nfs/dbraw/zinc/02/78/45/1049027845.db2.gz OYDSHQTVHDBRAD-HNNXBMFYSA-N 0 3 314.360 4.222 20 0 DIADHN CC(C)n1ncc2c1[C@H](NC/C=C/c1cccc(F)c1)CCC2 ZINC001178430288 1049028661 /nfs/dbraw/zinc/02/86/61/1049028661.db2.gz DLCXEMHARSQARS-SUQGKYDKSA-N 0 3 313.420 4.284 20 0 DIADHN Cc1ccc(CN[C@H](Cc2ccccc2)c2ccccn2)cc1 ZINC001203934816 1049034955 /nfs/dbraw/zinc/03/49/55/1049034955.db2.gz HBOYHFQWRBMOPA-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@@H]2c2ccccc2F)cc1 ZINC001203927752 1049035755 /nfs/dbraw/zinc/03/57/55/1049035755.db2.gz CFTIBIGFZPYOIS-LJQANCHMSA-N 0 3 312.388 4.121 20 0 DIADHN C[C@](O)(CNCc1cc(Cl)ccc1Cl)C1CCCCC1 ZINC001178483000 1049045285 /nfs/dbraw/zinc/04/52/85/1049045285.db2.gz ORDIVJUOMUYUID-INIZCTEOSA-N 0 3 316.272 4.414 20 0 DIADHN CCC1(O)CCN(Cc2ccc(Oc3ccccc3)cc2)CC1 ZINC001203944493 1049038339 /nfs/dbraw/zinc/03/83/39/1049038339.db2.gz QDTKOEYXPMHUQX-UHFFFAOYSA-N 0 3 311.425 4.216 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCOc3ccc(F)cc32)cc1 ZINC001178493227 1049038547 /nfs/dbraw/zinc/03/85/47/1049038547.db2.gz UFYAXLQEIFJNJU-YYZONTRBSA-N 0 3 301.336 4.091 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@]3(C)CCO[C@H]3C3CC3)o2)cc1 ZINC001178463032 1049042368 /nfs/dbraw/zinc/04/23/68/1049042368.db2.gz LKNUDENVXCSMHD-VQTJNVASSA-N 0 3 311.425 4.302 20 0 DIADHN CC1(C)CCC[C@@H](CNCc2ccc(CSC(F)F)o2)O1 ZINC001203953608 1049042590 /nfs/dbraw/zinc/04/25/90/1049042590.db2.gz KGUQGNFPUIFGBS-LBPRGKRZSA-N 0 3 319.417 4.173 20 0 DIADHN COc1ccc(CN2CCCC[C@@H]2c2cccc(OC)c2)cc1 ZINC001203956346 1049044465 /nfs/dbraw/zinc/04/44/65/1049044465.db2.gz SQXLSYFPZOCIIX-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@H](c2ccccc2)C2CCC2)c1 ZINC001192159192 1049045639 /nfs/dbraw/zinc/04/56/39/1049045639.db2.gz OWPQYIAZGDNRJB-OAQYLSRUSA-N 0 3 322.452 4.373 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2cccc(OC)c2)cc1 ZINC001203968338 1049046989 /nfs/dbraw/zinc/04/69/89/1049046989.db2.gz FMBVSAMCDLPMOY-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@@H]1CCC(F)(F)C1 ZINC001203986159 1049050749 /nfs/dbraw/zinc/05/07/49/1049050749.db2.gz HJFFSTOVMPFTFL-LBPRGKRZSA-N 0 3 301.352 4.140 20 0 DIADHN COc1ccc2ccccc2c1CN1CC[C@@H](C(F)(F)F)C1 ZINC001203989533 1049053628 /nfs/dbraw/zinc/05/36/28/1049053628.db2.gz BWGOMRJVDGIQJG-CYBMUJFWSA-N 0 3 309.331 4.233 20 0 DIADHN COc1ccccc1CN(C)Cc1ccc(OC)c2ccccc12 ZINC001203993682 1049056415 /nfs/dbraw/zinc/05/64/15/1049056415.db2.gz LZYQIFUOGCWIEX-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN Brc1ccc(C2CCN(Cc3ccc[nH]3)CC2)cc1 ZINC001204004621 1049060820 /nfs/dbraw/zinc/06/08/20/1049060820.db2.gz FHNSJQYCUMBKOQ-UHFFFAOYSA-N 0 3 319.246 4.157 20 0 DIADHN COc1cc(CN2CCC[C@@H]2CCC2CCCCC2)sn1 ZINC001192232908 1049067470 /nfs/dbraw/zinc/06/74/70/1049067470.db2.gz AWTDJZUJBBYRIB-OAHLLOKOSA-N 0 3 308.491 4.477 20 0 DIADHN CN(Cc1ccsc1)[C@@H]1CCCC[C@H]1NC(=O)OC(C)(C)C ZINC001204042979 1049071116 /nfs/dbraw/zinc/07/11/16/1049071116.db2.gz RACOTUQZPWXDQW-HUUCEWRRSA-N 0 3 324.490 4.016 20 0 DIADHN CCc1nnc(CNC[C@H]2CCCC[C@H]2c2ccccc2)s1 ZINC001178723141 1049074148 /nfs/dbraw/zinc/07/41/48/1049074148.db2.gz DKMUDCBGZQTSDS-CVEARBPZSA-N 0 3 315.486 4.164 20 0 DIADHN C/C(=C/C(=O)Nc1ccc(C)cc1CN(C)C)c1ccccc1 ZINC000113912615 1049076824 /nfs/dbraw/zinc/07/68/24/1049076824.db2.gz SACJADISPJALJT-SSZFMOIBSA-N 0 3 308.425 4.099 20 0 DIADHN c1ccc(CN2CCC(Cc3cnc4ccccc4c3)CC2)nc1 ZINC001204065258 1049077138 /nfs/dbraw/zinc/07/71/38/1049077138.db2.gz BBEHKSLRTAZUEL-UHFFFAOYSA-N 0 3 317.436 4.085 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCN2Cc2ccccn2)cc1 ZINC001204064882 1049077371 /nfs/dbraw/zinc/07/73/71/1049077371.db2.gz HHQYJKNBLPEVDJ-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1ccnc3ccccc13)CC2 ZINC001204085702 1049078242 /nfs/dbraw/zinc/07/82/42/1049078242.db2.gz SFHARKITUFZPBJ-UHFFFAOYSA-N 0 3 302.368 4.246 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCOC1CCCCC1 ZINC001192304868 1049082593 /nfs/dbraw/zinc/08/25/93/1049082593.db2.gz VIQYKKBENOMTFV-CABCVRRESA-N 0 3 305.437 4.483 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CCC[C@H]1OC ZINC001178762282 1049084335 /nfs/dbraw/zinc/08/43/35/1049084335.db2.gz IIIFXJZCTUTNTO-RTBURBONSA-N 0 3 311.425 4.019 20 0 DIADHN CO[C@@](C)(CNCc1cc(Cl)ccc1F)c1ccccc1 ZINC001178762278 1049085295 /nfs/dbraw/zinc/08/52/95/1049085295.db2.gz ICZXUJGCMZKMLR-KRWDZBQOSA-N 0 3 307.796 4.131 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1ccc(F)c(Cl)c1Cl)OC ZINC001178766548 1049085652 /nfs/dbraw/zinc/08/56/52/1049085652.db2.gz RJXNDMXKAGWNDC-JOYOIKCWSA-N 0 3 308.224 4.283 20 0 DIADHN [O-]c1cc(Nc2cccc(C[NH+]3CCCCC3)c2)c(F)cc1F ZINC001204109337 1049086782 /nfs/dbraw/zinc/08/67/82/1049086782.db2.gz HATXYGQZXWFZTD-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN [O-]c1c(F)cc(Nc2cccc(C[NH+]3CCCCC3)c2)cc1F ZINC001204118393 1049087705 /nfs/dbraw/zinc/08/77/05/1049087705.db2.gz JOFUUHKIWCDZOV-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Cc1ccc(C)c(CN[C@H]2C[C@]3(CCOC3)Oc3ccccc32)c1 ZINC001204121508 1049088537 /nfs/dbraw/zinc/08/85/37/1049088537.db2.gz YNXNFHMDWNRQRU-FPOVZHCZSA-N 0 3 323.436 4.076 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@@H]1CCCSC1 ZINC001178801204 1049090543 /nfs/dbraw/zinc/09/05/43/1049090543.db2.gz XIJOOYBXFLIORK-LLVKDONJSA-N 0 3 319.301 4.045 20 0 DIADHN CCOc1cc(CN2CCc3ccccc3[C@@H]2CC)ccc1O ZINC001204133331 1049091207 /nfs/dbraw/zinc/09/12/07/1049091207.db2.gz QDCMCOXXDLDJOI-SFHVURJKSA-N 0 3 311.425 4.300 20 0 DIADHN CCOc1cc(CN(C)Cc2ccc(Cl)cc2)ccc1O ZINC001204130645 1049091504 /nfs/dbraw/zinc/09/15/04/1049091504.db2.gz MHZIGLWETSPLDB-UHFFFAOYSA-N 0 3 305.805 4.076 20 0 DIADHN CC(C)(C)C1CC(NCc2sccc2Br)C1 ZINC001178811789 1049092318 /nfs/dbraw/zinc/09/23/18/1049092318.db2.gz XZNLAQJPWHGUDK-UHFFFAOYSA-N 0 3 302.281 4.425 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)C2(C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000776883410 1049092530 /nfs/dbraw/zinc/09/25/30/1049092530.db2.gz XLKXMJZQINSCBB-DGCLKSJQSA-N 0 3 318.852 4.068 20 0 DIADHN c1ccc(-c2ccc(CN3CC(c4cccnc4)C3)cc2)cc1 ZINC001204138080 1049092936 /nfs/dbraw/zinc/09/29/36/1049092936.db2.gz DPQCBAJWUYDTTM-UHFFFAOYSA-N 0 3 300.405 4.348 20 0 DIADHN CSc1ccc(CN2CC[C@@H](OCc3ccccc3)C2)cc1 ZINC001204142701 1049093546 /nfs/dbraw/zinc/09/35/46/1049093546.db2.gz UMYABCWGEBRZNK-GOSISDBHSA-N 0 3 313.466 4.200 20 0 DIADHN F[C@H]1CCN(Cc2ccc(C(F)(F)F)cc2)CCC1(F)F ZINC001204160401 1049100107 /nfs/dbraw/zinc/10/01/07/1049100107.db2.gz VYVRFYDBQBMJBE-LBPRGKRZSA-N 0 3 311.269 4.275 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CNCCC(C)(F)F ZINC001178818479 1049101467 /nfs/dbraw/zinc/10/14/67/1049101467.db2.gz ZMVFFSYVYFVBPR-ZDUSSCGKSA-N 0 3 316.411 4.443 20 0 DIADHN COc1ccccc1O[C@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC001204171453 1049103224 /nfs/dbraw/zinc/10/32/24/1049103224.db2.gz IYWLAHASXREDAA-SFHVURJKSA-N 0 3 311.425 4.047 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC001178927120 1049105723 /nfs/dbraw/zinc/10/57/23/1049105723.db2.gz JYBIIDNDCYYGQG-CVEARBPZSA-N 0 3 305.805 4.257 20 0 DIADHN COC(C)(C)CCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856777591 1049106263 /nfs/dbraw/zinc/10/62/63/1049106263.db2.gz CTSFDUJOHNXFSR-VIFPVBQESA-N 0 3 324.774 4.220 20 0 DIADHN CCOc1cc(CN(C)Cc2ccc(C)c(C)c2)ccc1OC ZINC001204193071 1049106987 /nfs/dbraw/zinc/10/69/87/1049106987.db2.gz JRDAHKXDEGXMPP-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN CC(C)CCCCN1CCCN(C(=O)Oc2ccccc2)CC1 ZINC001204188711 1049107787 /nfs/dbraw/zinc/10/77/87/1049107787.db2.gz VYKHXEPWVBGEOR-UHFFFAOYSA-N 0 3 318.461 4.019 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3(C4CCC4)CCC3)n2)cc1 ZINC000904623338 1049107805 /nfs/dbraw/zinc/10/78/05/1049107805.db2.gz OGWYYAFOQFQOQM-UHFFFAOYSA-N 0 3 311.429 4.020 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@H]2CC2(Cl)Cl)cs1 ZINC000856806804 1049108257 /nfs/dbraw/zinc/10/82/57/1049108257.db2.gz ISLCZOSBFBXUKA-VIFPVBQESA-N 0 3 307.290 4.114 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3C[C@H]3C3CCCC3)n2)cc1 ZINC000904623976 1049108601 /nfs/dbraw/zinc/10/86/01/1049108601.db2.gz GOSCYGBRKPCOCD-IRXDYDNUSA-N 0 3 311.429 4.092 20 0 DIADHN C[C@@H]1CC(c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@@H](C)C1 ZINC000904624618 1049108607 /nfs/dbraw/zinc/10/86/07/1049108607.db2.gz TUFRWYCVGSXXGC-KBPBESRZSA-N 0 3 313.445 4.338 20 0 DIADHN C[C@@H]1CCCC[C@@H]1Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904621361 1049108713 /nfs/dbraw/zinc/10/87/13/1049108713.db2.gz VCYHFKROWYMSJQ-RHSMWYFYSA-N 0 3 313.445 4.167 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@]2(C)CCCc3ccccc32)cc1 ZINC001192501016 1049110419 /nfs/dbraw/zinc/11/04/19/1049110419.db2.gz LJRDVWMQZCXZQP-NRFANRHFSA-N 0 3 322.452 4.029 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N2CCCCCCC2)c1 ZINC000856884148 1049110600 /nfs/dbraw/zinc/11/06/00/1049110600.db2.gz UIJUJFUWEBZEDO-AWEZNQCLSA-N 0 3 306.475 4.002 20 0 DIADHN C[C@H]1CN(Cc2cnn(C3CCC3)c2)CC[C@@H]1c1ccccc1 ZINC001204206020 1049111157 /nfs/dbraw/zinc/11/11/57/1049111157.db2.gz YFGFOSXBSAFIGG-JXFKEZNVSA-N 0 3 309.457 4.234 20 0 DIADHN Cc1cc(CNCc2ccc(OCCC(C)C)cc2)cc(C)n1 ZINC001178977252 1049111267 /nfs/dbraw/zinc/11/12/67/1049111267.db2.gz JNBDGPWNDFWRME-UHFFFAOYSA-N 0 3 312.457 4.413 20 0 DIADHN C[C@H]1CN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC(C)(C)C1 ZINC001192499698 1049111419 /nfs/dbraw/zinc/11/14/19/1049111419.db2.gz DICCTFBMEPPDTK-IAQYHMDHSA-N 0 3 316.272 4.395 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2)C2CCOCC2)c(F)c1 ZINC001204211005 1049111751 /nfs/dbraw/zinc/11/17/51/1049111751.db2.gz PDBKYRJDPSFGLS-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN C[C@@H](NC[C@@H](O)[C@@H](C)c1ccccc1)c1cc(Cl)ccc1F ZINC001192574979 1049118181 /nfs/dbraw/zinc/11/81/81/1049118181.db2.gz LSIVPXDCHVDIQE-VEVIJQCQSA-N 0 3 321.823 4.294 20 0 DIADHN Brc1ccc(C2CCN(Cc3ccoc3)CC2)cc1 ZINC001204242549 1049118996 /nfs/dbraw/zinc/11/89/96/1049118996.db2.gz WORCVJDBFDHPMP-UHFFFAOYSA-N 0 3 320.230 4.422 20 0 DIADHN Cc1ccc(CN(Cc2ccc3oc(=O)oc3c2)C2CC2)cc1C ZINC001204239462 1049119357 /nfs/dbraw/zinc/11/93/57/1049119357.db2.gz VRWYKISUPSDHKD-UHFFFAOYSA-N 0 3 323.392 4.167 20 0 DIADHN Brc1cccc(C2CCN(Cc3ccoc3)CC2)c1 ZINC001204243636 1049120267 /nfs/dbraw/zinc/12/02/67/1049120267.db2.gz BTEXVSNZXWFODN-UHFFFAOYSA-N 0 3 320.230 4.422 20 0 DIADHN c1ccc(CN(Cc2ccc3c(c2)OCCCCO3)C2CC2)cc1 ZINC001204247964 1049121867 /nfs/dbraw/zinc/12/18/67/1049121867.db2.gz DJXOBBHWOSYMQI-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN Nc1c(Cl)cccc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC001203358685 1049123784 /nfs/dbraw/zinc/12/37/84/1049123784.db2.gz VVIFPMHRAACVRZ-HNNXBMFYSA-N 0 3 307.224 4.353 20 0 DIADHN CC1(C)CO[C@H](CN[C@@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC001192681224 1049128316 /nfs/dbraw/zinc/12/83/16/1049128316.db2.gz HGBHJZBRXDRGLW-KBPBESRZSA-N 0 3 319.342 4.224 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1cc(F)c(OC)cc1OC ZINC001204288028 1049128841 /nfs/dbraw/zinc/12/88/41/1049128841.db2.gz QDODYWABCBPLEB-HNNXBMFYSA-N 0 3 309.425 4.388 20 0 DIADHN COC[C@@H](NC/C(C)=C/c1ccccc1)c1cccc(OC)c1 ZINC001179096497 1049129768 /nfs/dbraw/zinc/12/97/68/1049129768.db2.gz ZCXPEHQBLMENCK-ZRNYNZGJSA-N 0 3 311.425 4.076 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775542871 1049130039 /nfs/dbraw/zinc/13/00/39/1049130039.db2.gz PIWAIVNNMGCJAJ-QZTJIDSGSA-N 0 3 323.358 4.268 20 0 DIADHN CC(C)(C)[C@H](NC[C@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775542678 1049130613 /nfs/dbraw/zinc/13/06/13/1049130613.db2.gz NTGDZPBAKNHPII-ZWKOTPCHSA-N 0 3 319.395 4.375 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c(C)[nH]c3ccccc31)CC2 ZINC001204292211 1049130812 /nfs/dbraw/zinc/13/08/12/1049130812.db2.gz XYNJBSZXOQLUGJ-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)C[C@H]1CCCC[C@H]1O ZINC001192744006 1049132075 /nfs/dbraw/zinc/13/20/75/1049132075.db2.gz AZBDHGWRFAIDCU-IUODEOHRSA-N 0 3 307.821 4.069 20 0 DIADHN COC(=O)c1csc(CN[C@@H](CC(C)C)c2ccccc2)c1 ZINC001192662112 1049133020 /nfs/dbraw/zinc/13/30/20/1049133020.db2.gz JMSDFTIBDAHJDK-KRWDZBQOSA-N 0 3 317.454 4.412 20 0 DIADHN COc1cc(Cl)c(CNC[C@@H]2CCC[C@H](C)O2)cc1Cl ZINC001179178420 1049135392 /nfs/dbraw/zinc/13/53/92/1049135392.db2.gz WBYLZOXJBXSMLZ-JQWIXIFHSA-N 0 3 318.244 4.049 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775543437 1049136235 /nfs/dbraw/zinc/13/62/35/1049136235.db2.gz VTLNCRXQBVSRIC-ZWKOTPCHSA-N 0 3 323.358 4.124 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(Cc2cccc3c2OCC3)C1 ZINC001204305539 1049136374 /nfs/dbraw/zinc/13/63/74/1049136374.db2.gz CXJFSMVIHXQCGU-AUUYWEPGSA-N 0 3 311.400 4.344 20 0 DIADHN C[C@@H]1COCCCN1Cc1ccc(Sc2ccccc2)cc1 ZINC001204309096 1049138089 /nfs/dbraw/zinc/13/80/89/1049138089.db2.gz XXEYXYCJIBXSLO-MRXNPFEDSA-N 0 3 313.466 4.449 20 0 DIADHN CCCCCOc1ccc(CN2CCC[C@]3(CCO3)C2)cc1 ZINC001204316598 1049140516 /nfs/dbraw/zinc/14/05/16/1049140516.db2.gz TUEFVFMMIFPARL-IBGZPJMESA-N 0 3 303.446 4.011 20 0 DIADHN Cn1cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c2ccccc21 ZINC001204319607 1049140582 /nfs/dbraw/zinc/14/05/82/1049140582.db2.gz XXPFWBKXWJHCAT-IYBDPMFKSA-N 0 3 302.421 4.265 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2cccc(Cl)c2)c1C ZINC001192835615 1049142749 /nfs/dbraw/zinc/14/27/49/1049142749.db2.gz ZCZIRILNAQLEFP-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1cc(CN(C)CCc2ccc(Cl)cc2)cc(Cl)n1 ZINC001192857316 1049144651 /nfs/dbraw/zinc/14/46/51/1049144651.db2.gz DINACSSJXLFJDB-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CSCCN(C)Cc1ccccc1OCc1ccccc1 ZINC001204335435 1049145071 /nfs/dbraw/zinc/14/50/71/1049145071.db2.gz JVNRWZULLBIOOP-UHFFFAOYSA-N 0 3 301.455 4.060 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cnn(C3CCC3)c2)C1(CC)CC ZINC001204334347 1049145421 /nfs/dbraw/zinc/14/54/21/1049145421.db2.gz JGALDPAJTVZUBM-QZTJIDSGSA-N 0 3 319.493 4.024 20 0 DIADHN CCCN(CCOC)Cc1ccccc1OCc1ccccc1 ZINC001204329067 1049145512 /nfs/dbraw/zinc/14/55/12/1049145512.db2.gz DTWJOSUGAZLTMX-UHFFFAOYSA-N 0 3 313.441 4.124 20 0 DIADHN C[C@H](CN1CCc2ccccc2[C@H]1CO)c1cccc(Cl)c1 ZINC001204348014 1049146540 /nfs/dbraw/zinc/14/65/40/1049146540.db2.gz NJLNBRLMAMEVSR-AUUYWEPGSA-N 0 3 315.844 4.035 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](CC)c2ccc(C)cc2)cc1 ZINC001192909448 1049147337 /nfs/dbraw/zinc/14/73/37/1049147337.db2.gz JXRWUPNMFUMWCS-LJQANCHMSA-N 0 3 310.441 4.237 20 0 DIADHN COc1ccc(CNC[C@@H](OC)C2CCCCC2)c(Cl)c1 ZINC001179248224 1049147799 /nfs/dbraw/zinc/14/77/99/1049147799.db2.gz PJRITORZBOGITJ-QGZVFWFLSA-N 0 3 311.853 4.034 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(C)cc2OC)C1(C)C ZINC001204359751 1049148512 /nfs/dbraw/zinc/14/85/12/1049148512.db2.gz QOCPXMYLKVWSON-RBUKOAKNSA-N 0 3 319.489 4.419 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cnn(C3CCC3)c2)C1(C)C ZINC001204359414 1049148970 /nfs/dbraw/zinc/14/89/70/1049148970.db2.gz POPLUZPIWMJCGD-MSOLQXFVSA-N 0 3 319.493 4.024 20 0 DIADHN C[C@H](O)[C@H](NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001179252400 1049149623 /nfs/dbraw/zinc/14/96/23/1049149623.db2.gz HQQDYZLBBSLLSP-KKSFZXQISA-N 0 3 309.453 4.241 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccnc(F)c1 ZINC001179285992 1049151011 /nfs/dbraw/zinc/15/10/11/1049151011.db2.gz VXWJYBPQWNDCQJ-WQLSENKSSA-N 0 3 322.330 4.015 20 0 DIADHN CC[C@H](C)Oc1cc(CN[C@@H]2CCCC[C@@H]2F)ccc1OC ZINC001179304650 1049153489 /nfs/dbraw/zinc/15/34/89/1049153489.db2.gz PQTFMMMFRDHMQE-CWRNSKLLSA-N 0 3 309.425 4.243 20 0 DIADHN OCC[C@@H](NC/C=C/c1ccccc1)c1ccc(Cl)c(F)c1 ZINC001179353712 1049154479 /nfs/dbraw/zinc/15/44/79/1049154479.db2.gz VBLLKVFYQQVJPY-WEBJUUICSA-N 0 3 319.807 4.206 20 0 DIADHN Cc1cccc2cc(CN[C@@H]3[C@H](C)O[C@H](C)[C@H]3C)c(Cl)nc12 ZINC001179348126 1049154956 /nfs/dbraw/zinc/15/49/56/1049154956.db2.gz PDDUTACSVQHAIK-FJZAXULXSA-N 0 3 318.848 4.098 20 0 DIADHN CCc1ccc(CN2CCC(c3nc4ccccc4n3C)CC2)o1 ZINC001204395145 1049155236 /nfs/dbraw/zinc/15/52/36/1049155236.db2.gz KHPWYOYHWDVWBI-UHFFFAOYSA-N 0 3 323.440 4.108 20 0 DIADHN COc1cc(CN2CC[C@H](C(C)C)C2)cc(C(F)(F)F)c1 ZINC001204389289 1049156077 /nfs/dbraw/zinc/15/60/77/1049156077.db2.gz LILWXPHHKZKKEV-ZDUSSCGKSA-N 0 3 301.352 4.192 20 0 DIADHN CN(C)C[C@H](NCc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC001179325052 1049156337 /nfs/dbraw/zinc/15/63/37/1049156337.db2.gz KAYONZAKDKZYRI-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN CC(=O)n1cc(CN2CCCc3ccccc3C2)c2ccccc21 ZINC001204406904 1049157163 /nfs/dbraw/zinc/15/71/63/1049157163.db2.gz JCXSEISBAVCTDU-UHFFFAOYSA-N 0 3 318.420 4.250 20 0 DIADHN CC(=O)n1cc(CN2CCC(F)(F)C(C)(C)C2)c2ccccc21 ZINC001204405412 1049158017 /nfs/dbraw/zinc/15/80/17/1049158017.db2.gz SMCIQCXEOAHIER-UHFFFAOYSA-N 0 3 320.383 4.169 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccc(OC(F)F)cc1 ZINC001204417837 1049159480 /nfs/dbraw/zinc/15/94/80/1049159480.db2.gz HFUTUAKCGCENSY-ZDUSSCGKSA-N 0 3 303.352 4.407 20 0 DIADHN Cn1cncc1CNCc1cccc(-c2ccc(Cl)cc2)c1 ZINC001179396372 1049159733 /nfs/dbraw/zinc/15/97/33/1049159733.db2.gz DYUYGNCKTYEXQX-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCc2cccc(F)c2C1 ZINC001192964602 1049162726 /nfs/dbraw/zinc/16/27/26/1049162726.db2.gz LIIYMOQIPTXWEX-UHFFFAOYSA-N 0 3 313.416 4.270 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC2CCC(CC2)C1 ZINC001204452304 1049163289 /nfs/dbraw/zinc/16/32/89/1049163289.db2.gz XSJGNUYFYHRDSL-UHFFFAOYSA-N 0 3 309.457 4.111 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@@H]2CCCC2(F)F)s1 ZINC001179442270 1049163730 /nfs/dbraw/zinc/16/37/30/1049163730.db2.gz BZEFGSMKQNFNSP-NSHDSACASA-N 0 3 302.434 4.356 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2c3ccccc3CC[C@H]2F)CCO1 ZINC001179433720 1049163925 /nfs/dbraw/zinc/16/39/25/1049163925.db2.gz RLXVRZNNSPQVHD-BGTYHANMSA-N 0 3 305.437 4.195 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@H]2CCC3(CCC3)O2)o1 ZINC001179437730 1049164599 /nfs/dbraw/zinc/16/45/99/1049164599.db2.gz LIYAWBVGVUAPKW-OAHLLOKOSA-N 0 3 315.388 4.277 20 0 DIADHN Cc1ccc(N2CC[C@@H](N[C@@H](C)c3cc(C)ccc3C)C2=O)cc1 ZINC001193012392 1049165659 /nfs/dbraw/zinc/16/56/59/1049165659.db2.gz QLCRBWMDENTCKG-FXAWDEMLSA-N 0 3 322.452 4.068 20 0 DIADHN Fc1cc(Cl)cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c1 ZINC001179425695 1049166974 /nfs/dbraw/zinc/16/69/74/1049166974.db2.gz MXPSSUCYZQJGDL-CHWSQXEVSA-N 0 3 307.718 4.466 20 0 DIADHN CC(C)P(CCNC(=O)N[C@@H]1CCc2ccccc21)C(C)C ZINC001193021071 1049167940 /nfs/dbraw/zinc/16/79/40/1049167940.db2.gz MAAKKLLOVIGFGU-QGZVFWFLSA-N 0 3 320.417 4.272 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1F)CC1CC(F)(F)C1 ZINC001204469248 1049169169 /nfs/dbraw/zinc/16/91/69/1049169169.db2.gz MOSPSKMFPKHDID-UHFFFAOYSA-N 0 3 311.269 4.322 20 0 DIADHN CCC[C@H](C)CCNCc1ncc(Br)cc1Cl ZINC001179469037 1049169426 /nfs/dbraw/zinc/16/94/26/1049169426.db2.gz YWZGADLLGVRZJK-JTQLQIEISA-N 0 3 319.674 4.413 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCOCC2CC2)cc1 ZINC001193068332 1049170662 /nfs/dbraw/zinc/17/06/62/1049170662.db2.gz JSCOYYQXAJPIAS-HNNXBMFYSA-N 0 3 301.352 4.005 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3ccc(F)cn3)C2)cc1 ZINC001193070294 1049170708 /nfs/dbraw/zinc/17/07/08/1049170708.db2.gz POIIIETYPALLFY-QGZVFWFLSA-N 0 3 312.432 4.409 20 0 DIADHN Cn1cc(CNCc2ccc(C(C)(C)C)s2)c(C(C)(C)C)n1 ZINC001179521270 1049172124 /nfs/dbraw/zinc/17/21/24/1049172124.db2.gz XKSCUMWQSPENFV-UHFFFAOYSA-N 0 3 319.518 4.366 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3oc(=O)[nH]c3c2)cc1 ZINC001179524847 1049172219 /nfs/dbraw/zinc/17/22/19/1049172219.db2.gz BHYCJSCZPZMSBW-UHFFFAOYSA-N 0 3 310.397 4.022 20 0 DIADHN Cc1cc(CN[C@H](C)c2cn(C(C)(C)C)nc2C)c(C)s1 ZINC001193071292 1049172874 /nfs/dbraw/zinc/17/28/74/1049172874.db2.gz WRIQRMFATBAMMZ-GFCCVEGCSA-N 0 3 305.491 4.476 20 0 DIADHN COCC(C)(C)CCNCc1c(Cl)cccc1OC(F)F ZINC001179515513 1049173159 /nfs/dbraw/zinc/17/31/59/1049173159.db2.gz BRORCOOJKXIVGE-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN C[C@@H]1CN(Cc2cc3nc(Cl)ccc3s2)C[C@H](C)S1 ZINC001204488324 1049174189 /nfs/dbraw/zinc/17/41/89/1049174189.db2.gz LPCDPKZCBUJOAP-AOOOYVTPSA-N 0 3 312.891 4.276 20 0 DIADHN C[C@H]1CN(Cc2cc3nc(Cl)ccc3s2)C[C@H](C)S1 ZINC001204488327 1049174352 /nfs/dbraw/zinc/17/43/52/1049174352.db2.gz LPCDPKZCBUJOAP-UWVGGRQHSA-N 0 3 312.891 4.276 20 0 DIADHN COc1c(C)cnc(CN2CCCC[C@H]2c2ccccc2)c1C ZINC001193054499 1049176359 /nfs/dbraw/zinc/17/63/59/1049176359.db2.gz MILVEWUQLPYBIM-IBGZPJMESA-N 0 3 310.441 4.434 20 0 DIADHN c1ccc(CO[C@H]2CCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC001204504665 1049176713 /nfs/dbraw/zinc/17/67/13/1049176713.db2.gz UEEJICXKXCFZQW-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN Cc1c(F)cccc1CN1CCC[C@H](Sc2ccncc2)C1 ZINC001204513038 1049178351 /nfs/dbraw/zinc/17/83/51/1049178351.db2.gz QVJFOLNWGMNXJN-KRWDZBQOSA-N 0 3 316.445 4.286 20 0 DIADHN CCCC1CCC(N(Cc2cn(C(C)C)nn2)C(C)C)CC1 ZINC001204506612 1049178885 /nfs/dbraw/zinc/17/88/85/1049178885.db2.gz ZZDDFCRMFRBMGZ-UHFFFAOYSA-N 0 3 306.498 4.428 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)C[C@H](C)O3)cc1Cl ZINC001179537641 1049179240 /nfs/dbraw/zinc/17/92/40/1049179240.db2.gz NWLDYOVRZULENX-ZDUSSCGKSA-N 0 3 301.817 4.262 20 0 DIADHN C[C@H](CN1C[C@H](C)OC(C)(C)C1)c1ccc(C(F)(F)F)cc1 ZINC001204514718 1049179243 /nfs/dbraw/zinc/17/92/43/1049179243.db2.gz AOEYCIJQUKJIAS-OLZOCXBDSA-N 0 3 315.379 4.308 20 0 DIADHN CCN(Cc1c(C)cc(OC)nc1C)[C@@H](C)c1ccc(F)cc1 ZINC001204523961 1049182347 /nfs/dbraw/zinc/18/23/47/1049182347.db2.gz MOTWCRUBIDWQPH-HNNXBMFYSA-N 0 3 316.420 4.429 20 0 DIADHN CSC1(CNCc2nc3c(s2)C[C@H](C)CC3)CCCCC1 ZINC001179602547 1049184165 /nfs/dbraw/zinc/18/41/65/1049184165.db2.gz ALPTYBSLEFIXSI-CYBMUJFWSA-N 0 3 324.559 4.423 20 0 DIADHN Cc1ncc(CN[C@H](CC(C)C)c2ccc(Cl)cc2)n1C ZINC000568770038 1049185438 /nfs/dbraw/zinc/18/54/38/1049185438.db2.gz NXZGUEIUHHQLDJ-QGZVFWFLSA-N 0 3 305.853 4.259 20 0 DIADHN COc1cc(CN2CCC3(C=Cc4ccccc43)CC2)ccc1F ZINC001204557884 1049189685 /nfs/dbraw/zinc/18/96/85/1049189685.db2.gz YMWPIIOGKMJNKA-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN COc1ccc(SCCN2CCC[C@H]2c2ccccn2)cc1 ZINC001204584771 1049194199 /nfs/dbraw/zinc/19/41/99/1049194199.db2.gz HQBADONPXBCSIZ-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN C/C(=C/C(=O)Nc1cc(CN(C)C)ccc1C)c1cccs1 ZINC000857712882 1049194835 /nfs/dbraw/zinc/19/48/35/1049194835.db2.gz XMICTUCPFMNSMV-UVTDQMKNSA-N 0 3 314.454 4.160 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@@H](C4CC4)C3)n2)c1 ZINC001204592924 1049195079 /nfs/dbraw/zinc/19/50/79/1049195079.db2.gz PGEFQSIGGSZEHI-OAHLLOKOSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(C)[C@H](CCO)c1ccccc1 ZINC000339006538 1049195237 /nfs/dbraw/zinc/19/52/37/1049195237.db2.gz PRUWZFBCRHFPQF-HXUWFJFHSA-N 0 3 312.457 4.069 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@H]2CCCC(C)(C)C2)cc1 ZINC001204617560 1049202678 /nfs/dbraw/zinc/20/26/78/1049202678.db2.gz BBYFDOMEYYSOJI-INIZCTEOSA-N 0 3 302.462 4.082 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2COC(C)(C)C2)o1 ZINC001179747302 1049204429 /nfs/dbraw/zinc/20/44/29/1049204429.db2.gz JPIXMUWPOCCSKB-CQSZACIVSA-N 0 3 303.377 4.051 20 0 DIADHN Clc1ccccc1C1(N[C@H]2CCCc3cn[nH]c32)CCC1 ZINC000857872818 1049204961 /nfs/dbraw/zinc/20/49/61/1049204961.db2.gz QKLIHLCVKRFGPY-HNNXBMFYSA-N 0 3 301.821 4.110 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000857864666 1049205081 /nfs/dbraw/zinc/20/50/81/1049205081.db2.gz INQVUJZBJIXPQM-QRFRQXIXSA-N 0 3 309.457 4.336 20 0 DIADHN CC[C@H](CNCc1ncccc1Br)c1ccccc1 ZINC001179793655 1049207419 /nfs/dbraw/zinc/20/74/19/1049207419.db2.gz MLWHZQIFZOBZFJ-CYBMUJFWSA-N 0 3 319.246 4.128 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2ncccc2Br)C1 ZINC001179793048 1049207535 /nfs/dbraw/zinc/20/75/35/1049207535.db2.gz FGTKPGQJISQQJY-CHWSQXEVSA-N 0 3 311.267 4.149 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001193413471 1049209311 /nfs/dbraw/zinc/20/93/11/1049209311.db2.gz NVGVMKJWZZJUAZ-SUMWQHHRSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@H](CN[C@H]1CCc2ccc(Cl)nc21)Sc1ccccc1 ZINC000857907478 1049209195 /nfs/dbraw/zinc/20/91/95/1049209195.db2.gz PWOXHEYEJYPGTE-DOMZBBRYSA-N 0 3 318.873 4.493 20 0 DIADHN CC[C@@H]1CCC[C@H](NCc2ncccc2Br)CC1 ZINC001179800643 1049209887 /nfs/dbraw/zinc/20/98/87/1049209887.db2.gz WMGKNKMHHFORIT-OLZOCXBDSA-N 0 3 311.267 4.293 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@]3(CCOC3)Oc3ccccc32)c(C)c1 ZINC001179834800 1049211724 /nfs/dbraw/zinc/21/17/24/1049211724.db2.gz VSPNERYARXRPSJ-FPOVZHCZSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@]3(CCOC3)Oc3ccccc32)c(C)c1 ZINC001179834799 1049212016 /nfs/dbraw/zinc/21/20/16/1049212016.db2.gz VSPNERYARXRPSJ-CTNGQTDRSA-N 0 3 323.436 4.076 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@H](Oc2ccc(C)cn2)C1 ZINC000858036730 1049215589 /nfs/dbraw/zinc/21/55/89/1049215589.db2.gz HAFPCUKXCJNAJA-ZWKOTPCHSA-N 0 3 314.404 4.134 20 0 DIADHN CC[C@H](NCc1ccnc(F)c1)c1ccc(Br)cc1 ZINC000858087543 1049215834 /nfs/dbraw/zinc/21/58/34/1049215834.db2.gz PCCKJQJURVTEJQ-AWEZNQCLSA-N 0 3 323.209 4.224 20 0 DIADHN CC(C)C(CN1CCc2c(cnn2-c2ccccc2)C1)C(C)C ZINC001204673759 1049217400 /nfs/dbraw/zinc/21/74/00/1049217400.db2.gz PANASLRHAWILMV-UHFFFAOYSA-N 0 3 311.473 4.159 20 0 DIADHN CC(C)(NCc1ccnc(F)c1)c1ccc(Br)cc1 ZINC000858097796 1049217505 /nfs/dbraw/zinc/21/75/05/1049217505.db2.gz ZRARHURGTCBXRS-UHFFFAOYSA-N 0 3 323.209 4.008 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C)s2)cc1OC1CC1 ZINC000858107704 1049220349 /nfs/dbraw/zinc/22/03/49/1049220349.db2.gz VEEGJXKBJFXVDF-ZDUSSCGKSA-N 0 3 317.454 4.457 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccccc2OCC(C)C)C1(C)C ZINC001179897872 1049220552 /nfs/dbraw/zinc/22/05/52/1049220552.db2.gz AKKPDMJABBPFSI-OALUTQOASA-N 0 3 319.489 4.357 20 0 DIADHN COc1cc(C)c(CN(Cc2ccc(C)c(C)c2)C2CC2)c(C)n1 ZINC001179898261 1049221450 /nfs/dbraw/zinc/22/14/50/1049221450.db2.gz KBYCHYUOKMPSKH-UHFFFAOYSA-N 0 3 324.468 4.488 20 0 DIADHN CC(C)c1ccc(Cl)c(-c2ccc3c(n2)OCCNC3)c1 ZINC001204680110 1049221672 /nfs/dbraw/zinc/22/16/72/1049221672.db2.gz IICSMIUTAVHNNW-UHFFFAOYSA-N 0 3 302.805 4.007 20 0 DIADHN CC(C)C1CCN(Cc2ccc(OC(F)F)c(F)c2)CC1 ZINC001179879173 1049224936 /nfs/dbraw/zinc/22/49/36/1049224936.db2.gz XQXZSAVWPWRFHS-UHFFFAOYSA-N 0 3 301.352 4.295 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1nccc2c1CCCC2 ZINC001179930960 1049230145 /nfs/dbraw/zinc/23/01/45/1049230145.db2.gz IBFLGQNCMILOGG-OAQYLSRUSA-N 0 3 306.453 4.470 20 0 DIADHN Cc1ccc(C[C@@H](C)[N@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001179935890 1049231692 /nfs/dbraw/zinc/23/16/92/1049231692.db2.gz DABJDWLZESDFEN-CQSZACIVSA-N 0 3 303.833 4.417 20 0 DIADHN Cc1ccc(C[C@@H](C)[N@@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001179935890 1049231707 /nfs/dbraw/zinc/23/17/07/1049231707.db2.gz DABJDWLZESDFEN-CQSZACIVSA-N 0 3 303.833 4.417 20 0 DIADHN COc1ccc(CN(CCc2cccc(F)c2)C2CC2)c(F)c1 ZINC001179930511 1049232110 /nfs/dbraw/zinc/23/21/10/1049232110.db2.gz CECATHVABIOMOO-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN COCCC1CN(Cc2ccc(-c3cccc(F)c3)s2)C1 ZINC000668472110 1049237517 /nfs/dbraw/zinc/23/75/17/1049237517.db2.gz DCSYALHOTBTPLR-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1c(F)c(F)c(F)c(F)c1F ZINC001193637410 1049237682 /nfs/dbraw/zinc/23/76/82/1049237682.db2.gz WLTZNJYNKVCTPL-SCZZXKLOSA-N 0 3 307.306 4.441 20 0 DIADHN COc1ccc(CCCN(C)Cc2ccc(SC)o2)cc1 ZINC001204713379 1049237653 /nfs/dbraw/zinc/23/76/53/1049237653.db2.gz DDMOUKMPEPYZOI-UHFFFAOYSA-N 0 3 305.443 4.075 20 0 DIADHN COc1cc(C)ccc1CN1CCC(N(C)c2ccccc2)CC1 ZINC001179973486 1049240321 /nfs/dbraw/zinc/24/03/21/1049240321.db2.gz BFYDWMXFHOJZSR-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN Cc1nn(-c2ccccc2Cl)cc1CN(C)CCC(C)C ZINC001204721877 1049240392 /nfs/dbraw/zinc/24/03/92/1049240392.db2.gz QUQGTGWRIBCBMW-UHFFFAOYSA-N 0 3 305.853 4.312 20 0 DIADHN CNc1ccccc1CN1CCC(Oc2ccc(C)cc2)CC1 ZINC001179984275 1049243269 /nfs/dbraw/zinc/24/32/69/1049243269.db2.gz OFLSGDPGSGIRDI-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H]1CC(C)(C)OC1(C)C ZINC001193664150 1049244435 /nfs/dbraw/zinc/24/44/35/1049244435.db2.gz XUVJAEAMCYPDOG-OAHLLOKOSA-N 0 3 311.853 4.174 20 0 DIADHN Cc1[nH]nc(CN(Cc2cccc(F)c2)C2CCCC2)c1C ZINC001179994093 1049245935 /nfs/dbraw/zinc/24/59/35/1049245935.db2.gz VWHOZJMGTNXTPV-UHFFFAOYSA-N 0 3 301.409 4.110 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN1CC[C@@H](c2ccccc2)C1 ZINC000195739600 1049246921 /nfs/dbraw/zinc/24/69/21/1049246921.db2.gz LZXZWNBGJMKRFA-LJQANCHMSA-N 0 3 323.436 4.277 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN1CC[C@H](c2ccccc2)C1 ZINC000195739601 1049247725 /nfs/dbraw/zinc/24/77/25/1049247725.db2.gz LZXZWNBGJMKRFA-IBGZPJMESA-N 0 3 323.436 4.277 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](C)c2cc(-c3ccccc3)n(C)n2)C1 ZINC000858367111 1049249592 /nfs/dbraw/zinc/24/95/92/1049249592.db2.gz PKVQONPJVMEJGI-VNQPRFMTSA-N 0 3 315.486 4.022 20 0 DIADHN Cc1cc(F)ncc1CN1CCCC[C@@H]1Cc1ccc(F)cc1 ZINC001204749561 1049250856 /nfs/dbraw/zinc/25/08/56/1049250856.db2.gz AHRBYEMLUXAYOO-GOSISDBHSA-N 0 3 316.395 4.265 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CCC(C)(C)CC1 ZINC001180047667 1049253901 /nfs/dbraw/zinc/25/39/01/1049253901.db2.gz MAJOIFSTHIGHPV-UHFFFAOYSA-N 0 3 303.475 4.204 20 0 DIADHN CCC[C@H](N[C@@H](C)c1nc(C)cs1)[C@@H](O)c1ccccc1 ZINC000858412368 1049255115 /nfs/dbraw/zinc/25/51/15/1049255115.db2.gz VBMRKSVZMMPYPH-BPUTZDHNSA-N 0 3 304.459 4.004 20 0 DIADHN CSCCCCCCC(=O)Nc1ccccc1CN(C)C ZINC000858425723 1049256857 /nfs/dbraw/zinc/25/68/57/1049256857.db2.gz JDWQBHPTEHKJHP-UHFFFAOYSA-N 0 3 308.491 4.000 20 0 DIADHN COc1cccc(CN2CCC[C@@H](Cc3ccccc3)C2)c1F ZINC001180007497 1049256884 /nfs/dbraw/zinc/25/68/84/1049256884.db2.gz XRHIYTCWZZDFIC-KRWDZBQOSA-N 0 3 313.416 4.289 20 0 DIADHN CCN(CCc1ccccc1)Cc1cnc(CC(C)C)s1 ZINC001180018682 1049257065 /nfs/dbraw/zinc/25/70/65/1049257065.db2.gz QVYMSQJKDMLISP-UHFFFAOYSA-N 0 3 302.487 4.406 20 0 DIADHN COc1ccc(CN2CCC(C)(c3ccccc3)CC2)c(F)c1 ZINC001180007409 1049257171 /nfs/dbraw/zinc/25/71/71/1049257171.db2.gz WPLAMHOCVUUVSB-UHFFFAOYSA-N 0 3 313.416 4.388 20 0 DIADHN Cc1ccc([C@H](C)CN2CCC[C@H](OCC(F)(F)F)C2)cc1 ZINC001180011905 1049258790 /nfs/dbraw/zinc/25/87/90/1049258790.db2.gz UAIYPSFTNKEGHO-ZBFHGGJFSA-N 0 3 315.379 4.142 20 0 DIADHN CCOc1ccc(CN(Cc2ccnc(F)c2C)C(C)C)cc1 ZINC001180011258 1049258990 /nfs/dbraw/zinc/25/89/90/1049258990.db2.gz KKYCWSNFQPCNOT-UHFFFAOYSA-N 0 3 316.420 4.338 20 0 DIADHN CCCC[C@H](C(=O)OC)N1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC001193754154 1049259514 /nfs/dbraw/zinc/25/95/14/1049259514.db2.gz RBYXOPBAGUKKND-FCGDIQPGSA-N 0 3 315.457 4.129 20 0 DIADHN CCC[C@@]1(C)CCCN(Cc2[nH]cc(C(=O)OC)c2C2CC2)C1 ZINC001204776568 1049260873 /nfs/dbraw/zinc/26/08/73/1049260873.db2.gz QBIRZWPOCIGGHF-IBGZPJMESA-N 0 3 318.461 4.081 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(C(F)(F)F)nc1Cl ZINC001204775806 1049260979 /nfs/dbraw/zinc/26/09/79/1049260979.db2.gz GPCUEYMKTBJFQJ-KOLCDFICSA-N 0 3 306.759 4.374 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@H](C)c2cccc(Cl)c2)cc1 ZINC000858495849 1049266151 /nfs/dbraw/zinc/26/61/51/1049266151.db2.gz FUUMCYZGXGZDLE-CYBMUJFWSA-N 0 3 316.832 4.174 20 0 DIADHN c1nc2cc(CN3CCS[C@@H]4CCCC[C@H]43)ccc2s1 ZINC001180051408 1049266631 /nfs/dbraw/zinc/26/66/31/1049266631.db2.gz GPEMTERDDMXGLL-GDBMZVCRSA-N 0 3 304.484 4.156 20 0 DIADHN CN(CCC1CCCCC1)Cc1ccc(Br)nc1 ZINC001180092570 1049266930 /nfs/dbraw/zinc/26/69/30/1049266930.db2.gz MJUSHQNMRFXCTM-UHFFFAOYSA-N 0 3 311.267 4.246 20 0 DIADHN Cc1ccc([C@H](C)CN2CCc3c(F)cc(F)cc3C2)cc1 ZINC001204795840 1049268025 /nfs/dbraw/zinc/26/80/25/1049268025.db2.gz CKANQZBMRBDIQG-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN CC[C@@H](NCc1cn(C(F)F)c2ccccc12)c1cnn(C)c1 ZINC000858537651 1049270064 /nfs/dbraw/zinc/27/00/64/1049270064.db2.gz WMGPEUGIOLXHLL-OAHLLOKOSA-N 0 3 318.371 4.011 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2cnc3c(F)cccc3c2)cc1 ZINC001180064838 1049270647 /nfs/dbraw/zinc/27/06/47/1049270647.db2.gz XNZXGDOIOXNVHK-LJQANCHMSA-N 0 3 322.383 4.417 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2ccc(OC(F)F)cc2F)C1 ZINC001180071662 1049271745 /nfs/dbraw/zinc/27/17/45/1049271745.db2.gz FHCWPGIYSQNHPG-DGCLKSJQSA-N 0 3 319.392 4.143 20 0 DIADHN CCn1cnc(Cl)c1CN1CCC[C@@H]1Cc1ccc(C)cc1 ZINC001180068359 1049272774 /nfs/dbraw/zinc/27/27/74/1049272774.db2.gz MHLWGDWHRRMZKW-MRXNPFEDSA-N 0 3 317.864 4.072 20 0 DIADHN CC(C)(CN1CCOC2(C1)CCCCCC2)Oc1ccccc1 ZINC001180076978 1049273271 /nfs/dbraw/zinc/27/32/71/1049273271.db2.gz MMFMGJGBQVVHJN-UHFFFAOYSA-N 0 3 317.473 4.269 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3cncc(Cl)c3)C2)cc1 ZINC001193933583 1049279559 /nfs/dbraw/zinc/27/95/59/1049279559.db2.gz WALLSEPRDSUKNT-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN CN(CC1=NOCC1)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC001193920187 1049280490 /nfs/dbraw/zinc/28/04/90/1049280490.db2.gz KESOPJASYFNHQD-KRWDZBQOSA-N 0 3 306.837 4.279 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1cccc(OC(F)F)c1 ZINC001204842957 1049281827 /nfs/dbraw/zinc/28/18/27/1049281827.db2.gz KGTMMFOWCNUJAM-UXBLZVDNSA-N 0 3 303.352 4.433 20 0 DIADHN C[C@H](NC[C@@H]1CCCC12CC2)c1nc(Br)cs1 ZINC000858594164 1049281927 /nfs/dbraw/zinc/28/19/27/1049281927.db2.gz MVJCDFIZNQZOHU-UWVGGRQHSA-N 0 3 315.280 4.137 20 0 DIADHN O[C@H](C[C@H]1CCCN1C/C=C/c1cccc(F)c1)c1ccco1 ZINC001180108431 1049288755 /nfs/dbraw/zinc/28/87/55/1049288755.db2.gz QUTVYWXKDNKSJP-YDOPFJFGSA-N 0 3 315.388 4.020 20 0 DIADHN Fc1cc(CN2CC[C@H](c3cccnc3)C2)ccc1C(F)(F)F ZINC001204880066 1049294926 /nfs/dbraw/zinc/29/49/26/1049294926.db2.gz VMFQUDIJQUSNQZ-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC[C@@H]3CCC[C@@H]32)s1 ZINC001204891931 1049302335 /nfs/dbraw/zinc/30/23/35/1049302335.db2.gz SVNDLZKZVDVEOA-ZFWWWQNUSA-N 0 3 321.486 4.468 20 0 DIADHN CCn1cnc(Cl)c1CN1CCC[C@@H]1CC1CCCCC1 ZINC001180169798 1049305526 /nfs/dbraw/zinc/30/55/26/1049305526.db2.gz JTCBDIXYPVYONU-OAHLLOKOSA-N 0 3 309.885 4.491 20 0 DIADHN Cc1cc(F)ncc1CN(C)CCCOc1ccc(Cl)cc1 ZINC001180166207 1049305773 /nfs/dbraw/zinc/30/57/73/1049305773.db2.gz LSPHEDFEGUXIOR-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN COc1ccc(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)o1 ZINC001180166960 1049305948 /nfs/dbraw/zinc/30/59/48/1049305948.db2.gz WWCWVAKWORRNOO-UHFFFAOYSA-N 0 3 324.424 4.458 20 0 DIADHN CC(C)c1cccc2c1CCN(CCOCC1CCCC1)C2 ZINC001194041779 1049306215 /nfs/dbraw/zinc/30/62/15/1049306215.db2.gz GUAWUEBUORHPOS-UHFFFAOYSA-N 0 3 301.474 4.375 20 0 DIADHN CN(CC(=O)Nc1ccc(C(C)(C)C)cc1)[C@@H]1CCC(C)(C)C1 ZINC001194042205 1049306567 /nfs/dbraw/zinc/30/65/67/1049306567.db2.gz OFFCTPHHYLMPNU-QGZVFWFLSA-N 0 3 316.489 4.433 20 0 DIADHN CC(C)c1cccc2c1CCN(C[C@H](O)c1cccc(F)c1)C2 ZINC001194042686 1049306810 /nfs/dbraw/zinc/30/68/10/1049306810.db2.gz XJWQROCBRYSGHV-FQEVSTJZSA-N 0 3 313.416 4.041 20 0 DIADHN Cc1ccn2c(CN[C@@H](C)c3ccc4ncsc4c3)cnc2c1 ZINC000485703234 1049316397 /nfs/dbraw/zinc/31/63/97/1049316397.db2.gz GNCRFYXCDJKNIO-ZDUSSCGKSA-N 0 3 322.437 4.103 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001180199425 1049316684 /nfs/dbraw/zinc/31/66/84/1049316684.db2.gz SZDJFIIZVNTLNO-SMDDNHRTSA-N 0 3 301.352 4.334 20 0 DIADHN C[C@H]1c2c(F)cccc2CCN1C[C@@H]1CCN(c2ccccc2)C1 ZINC001180240466 1049317681 /nfs/dbraw/zinc/31/76/81/1049317681.db2.gz YOHFSZGRYOZAQO-IRXDYDNUSA-N 0 3 324.443 4.271 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@@H](C2CCCCC2)C1 ZINC000668535772 1049318061 /nfs/dbraw/zinc/31/80/61/1049318061.db2.gz LDRCELGCGHOWEK-WBVHZDCISA-N 0 3 320.502 4.397 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc3ncsc3c2)c1 ZINC000485709350 1049318421 /nfs/dbraw/zinc/31/84/21/1049318421.db2.gz DHOACKRJEQCDNZ-CYBMUJFWSA-N 0 3 312.438 4.294 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CC[C@@H](C2CCCCC2)C1 ZINC000668535770 1049318796 /nfs/dbraw/zinc/31/87/96/1049318796.db2.gz LDRCELGCGHOWEK-NVXWUHKLSA-N 0 3 320.502 4.397 20 0 DIADHN Cc1cccn2c(CN[C@@H](C)c3ccc4ncsc4c3)cnc12 ZINC000485694396 1049318867 /nfs/dbraw/zinc/31/88/67/1049318867.db2.gz JGOVLDFMLPIIPJ-ZDUSSCGKSA-N 0 3 322.437 4.103 20 0 DIADHN Cc1cc(C)cc(CN(C)Cc2c[nH]c(=O)c3ccccc23)c1 ZINC001194084118 1049319109 /nfs/dbraw/zinc/31/91/09/1049319109.db2.gz ZXSULBIJIZAIPC-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)F)c(F)c1 ZINC001180249364 1049322588 /nfs/dbraw/zinc/32/25/88/1049322588.db2.gz JSVYFNNISGSHFO-CYBMUJFWSA-N 0 3 323.358 4.146 20 0 DIADHN CN(CCCN(C)Cc1cc(Cl)ccc1F)Cc1ccco1 ZINC001194124561 1049322990 /nfs/dbraw/zinc/32/29/90/1049322990.db2.gz NXLFNLLLIVXDDY-UHFFFAOYSA-N 0 3 324.827 4.026 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1ccc2ncsc2c1 ZINC000485715249 1049323608 /nfs/dbraw/zinc/32/36/08/1049323608.db2.gz ATPUCHVWHSNNBT-LLVKDONJSA-N 0 3 308.410 4.023 20 0 DIADHN CCC(CC)n1ccc(CN[C@@H]2[C@@H](C)[C@H](OC)C2(CC)CC)n1 ZINC001194091003 1049324026 /nfs/dbraw/zinc/32/40/26/1049324026.db2.gz LFZBHKRSBKJGCW-OLMNPRSZSA-N 0 3 321.509 4.174 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)ccn1 ZINC000859245858 1049324664 /nfs/dbraw/zinc/32/46/64/1049324664.db2.gz IOCRPVRLCPPEQA-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN CN(CCCOc1ccccc1)Cc1cc2ccccc2n1C ZINC001180271131 1049325368 /nfs/dbraw/zinc/32/53/68/1049325368.db2.gz DGRPEUQYXVWZNI-UHFFFAOYSA-N 0 3 308.425 4.079 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC001204969342 1049327061 /nfs/dbraw/zinc/32/70/61/1049327061.db2.gz XDVWSXLLIWVASR-OAHLLOKOSA-N 0 3 316.395 4.438 20 0 DIADHN COCCC1CCN(Cc2ccc(SC(F)F)cc2)CC1 ZINC000859399079 1049330035 /nfs/dbraw/zinc/33/00/35/1049330035.db2.gz QFKWHSMGQPWGNX-UHFFFAOYSA-N 0 3 315.429 4.250 20 0 DIADHN C[C@H](NCc1cc(F)cc(F)c1)c1cccc(-n2cccn2)c1 ZINC000163016987 1049340749 /nfs/dbraw/zinc/34/07/49/1049340749.db2.gz DWHIJKRUKSAIQC-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1sc(CN2C[C@@H](C)C[C@H](C)C2)cc1Br ZINC001180375011 1049345750 /nfs/dbraw/zinc/34/57/50/1049345750.db2.gz AYROAXMYIDIQLD-UWVGGRQHSA-N 0 3 302.281 4.297 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc2ncsc2c1 ZINC000485849743 1049351935 /nfs/dbraw/zinc/35/19/35/1049351935.db2.gz RYBSVUQIRIADRL-GFCCVEGCSA-N 0 3 322.437 4.103 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(-c3cnco3)cc1)CCC2 ZINC000906525823 1049352681 /nfs/dbraw/zinc/35/26/81/1049352681.db2.gz PPKQCOWBONKRJR-IBGZPJMESA-N 0 3 320.392 4.214 20 0 DIADHN C[C@H](NCc1ccc(-c2cnco2)cc1)c1ccccc1N(C)C ZINC000906525829 1049352761 /nfs/dbraw/zinc/35/27/61/1049352761.db2.gz PVIAAIVDWYVISL-HNNXBMFYSA-N 0 3 321.424 4.258 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(-c3cnco3)cc1)CC2 ZINC000906527099 1049352945 /nfs/dbraw/zinc/35/29/45/1049352945.db2.gz YLTIAJVMOLFCSU-LJQANCHMSA-N 0 3 320.392 4.127 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC[C@@H]3CCCC[C@H]3C2)cn1 ZINC001180402504 1049353162 /nfs/dbraw/zinc/35/31/62/1049353162.db2.gz DMBSWDVYUBJHMV-OALUTQOASA-N 0 3 309.457 4.193 20 0 DIADHN CN(Cc1nc(C(F)(F)F)n2c1CCCC2)C1CCCCC1 ZINC001180372059 1049356274 /nfs/dbraw/zinc/35/62/74/1049356274.db2.gz IENZLHLSZFKNKF-UHFFFAOYSA-N 0 3 315.383 4.003 20 0 DIADHN CCSc1cccc(CN(C)CCOc2ccc(F)cc2)c1 ZINC001180438234 1049358437 /nfs/dbraw/zinc/35/84/37/1049358437.db2.gz WOQITQXMZXEJIA-UHFFFAOYSA-N 0 3 319.445 4.449 20 0 DIADHN CN(Cc1ccc2ccccc2c1)C[C@@H]1C[C@]1(C)Br ZINC001180413173 1049361456 /nfs/dbraw/zinc/36/14/56/1049361456.db2.gz RIINDOMLAKJWAT-IRXDYDNUSA-N 0 3 318.258 4.445 20 0 DIADHN C[C@H](NCCn1ccc2cc(Cl)ccc21)c1ccns1 ZINC000860060996 1049365490 /nfs/dbraw/zinc/36/54/90/1049365490.db2.gz DSGFKSRWPNYLMT-NSHDSACASA-N 0 3 305.834 4.102 20 0 DIADHN Fc1c(CN2CC[C@H]3CCCC[C@H]3C2)cccc1OC1CC1 ZINC001205083146 1049368951 /nfs/dbraw/zinc/36/89/51/1049368951.db2.gz UURNMGBWKORNIT-CABCVRRESA-N 0 3 303.421 4.379 20 0 DIADHN Cc1ccc([C@H](C)CN2CCN(Cc3cccc(C)c3)CC2)cc1 ZINC001205089046 1049370966 /nfs/dbraw/zinc/37/09/66/1049370966.db2.gz MUTJOHSYBDCNSM-HXUWFJFHSA-N 0 3 322.496 4.225 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(C)Cc1ccc2c(c1)OCO2 ZINC001180505239 1049376327 /nfs/dbraw/zinc/37/63/27/1049376327.db2.gz LMBNRXJZHUFAFL-UHFFFAOYSA-N 0 3 317.816 4.318 20 0 DIADHN CN(Cc1ccc(C(C)(C)C)cc1)Cc1ccc2nonc2c1 ZINC001180497758 1049376755 /nfs/dbraw/zinc/37/67/55/1049376755.db2.gz ROVUWNWEZYAVKK-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2csc(-c3ccco3)n2)C1 ZINC000117394213 1049380724 /nfs/dbraw/zinc/38/07/24/1049380724.db2.gz VCKQZNCCJDCCSU-YPMHNXCESA-N 0 3 308.472 4.167 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCO[C@@H](C(C)C)C2)cs1 ZINC000486049769 1049381761 /nfs/dbraw/zinc/38/17/61/1049381761.db2.gz FQNRRKFEEULUMI-OFQRWUPVSA-N 0 3 310.507 4.368 20 0 DIADHN C[C@@H]1C[C@H]1CN(Cc1cc(Br)ccc1F)C1CC1 ZINC001180558500 1049382167 /nfs/dbraw/zinc/38/21/67/1049382167.db2.gz ONLRAHXTQDYVTC-MNOVXSKESA-N 0 3 312.226 4.209 20 0 DIADHN Cc1cc(CN(C)CCOc2ccccc2C)c2c(n1)CCCC2 ZINC001205113949 1049383155 /nfs/dbraw/zinc/38/31/55/1049383155.db2.gz CACCTEOLSGNSIU-UHFFFAOYSA-N 0 3 324.468 4.088 20 0 DIADHN CCCc1ccc(CN2CCC[C@@H](CC(=O)OC(C)C)C2)s1 ZINC001180584060 1049386665 /nfs/dbraw/zinc/38/66/65/1049386665.db2.gz GJRLIVIKEISVHO-HNNXBMFYSA-N 0 3 323.502 4.254 20 0 DIADHN C[C@@H]1C[C@@H]1CN(C)Cc1cc(Br)ccc1Cl ZINC001205667769 1049386999 /nfs/dbraw/zinc/38/69/99/1049386999.db2.gz PFSQUIYGRYEGME-NXEZZACHSA-N 0 3 302.643 4.190 20 0 DIADHN Cn1cc(CN2CCC[C@H](CCF)C2)c(C2CCCCC2)n1 ZINC000668592270 1049394447 /nfs/dbraw/zinc/39/44/47/1049394447.db2.gz NTMKTJHSZALDTM-OAHLLOKOSA-N 0 3 307.457 4.039 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc3nsnc31)C(C)(C)C2 ZINC001194607669 1049397071 /nfs/dbraw/zinc/39/70/71/1049397071.db2.gz KRKSUFUBCTXXBP-UHFFFAOYSA-N 0 3 323.465 4.337 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CC[C@H](c2ccccc2F)C1 ZINC001194599110 1049397819 /nfs/dbraw/zinc/39/78/19/1049397819.db2.gz JTZVKVDLOJCKCS-JTDSTZFVSA-N 0 3 305.437 4.210 20 0 DIADHN CN(Cc1ccc(C2CCC2)cc1)Cc1ccc2c(c1)OCO2 ZINC001205162655 1049397944 /nfs/dbraw/zinc/39/79/44/1049397944.db2.gz RAAMLFDAHKDWDE-UHFFFAOYSA-N 0 3 309.409 4.315 20 0 DIADHN CCN(Cc1nc2cc(Br)ccc2[nH]1)CC(C)(C)C ZINC001194632962 1049400830 /nfs/dbraw/zinc/40/08/30/1049400830.db2.gz JUEKMMZTBZKTET-UHFFFAOYSA-N 0 3 324.266 4.193 20 0 DIADHN CN(Cc1ccc(Cl)c(Cl)c1)C[C@]1(C)CCCCO1 ZINC001205216785 1049411187 /nfs/dbraw/zinc/41/11/87/1049411187.db2.gz XQMHCBSKXOJBSG-HNNXBMFYSA-N 0 3 302.245 4.384 20 0 DIADHN C[C@H]1CCN(Cc2ccc(OC(F)F)cc2F)CC12CCC2 ZINC001180733307 1049404453 /nfs/dbraw/zinc/40/44/53/1049404453.db2.gz WBZYUOPWSGIWHJ-LBPRGKRZSA-N 0 3 313.363 4.439 20 0 DIADHN C[C@@H](CN(C)Cc1ccc(F)cc1F)c1cc(F)cc(F)c1 ZINC001205185699 1049405181 /nfs/dbraw/zinc/40/51/81/1049405181.db2.gz QOPZMKYEJNLGGZ-NSHDSACASA-N 0 3 311.322 4.479 20 0 DIADHN Cc1cc(CN2CCC(Sc3ccncc3)CC2)ccc1F ZINC001205193067 1049407080 /nfs/dbraw/zinc/40/70/80/1049407080.db2.gz NYFBVAQFIQOPRG-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1cccc2c1NCCC2 ZINC001180801765 1049408361 /nfs/dbraw/zinc/40/83/61/1049408361.db2.gz JHJHYZFDGMDMCY-SWLSCSKDSA-N 0 3 312.379 4.208 20 0 DIADHN CCCCN(Cc1ncnn1-c1ccccc1)C1CCCCC1 ZINC001194674130 1049411019 /nfs/dbraw/zinc/41/10/19/1049411019.db2.gz BUTSNKVOSDYPON-UHFFFAOYSA-N 0 3 312.461 4.202 20 0 DIADHN COc1ccc(CN(Cc2ccc(OC)cc2)[C@H](C)C2CC2)o1 ZINC001205224946 1049412813 /nfs/dbraw/zinc/41/28/13/1049412813.db2.gz QYNURFKNXADFJU-CQSZACIVSA-N 0 3 315.413 4.098 20 0 DIADHN COc1ccc(CN(Cc2ccc(OC)cc2)[C@@H](C)C2CC2)o1 ZINC001205224945 1049413201 /nfs/dbraw/zinc/41/32/01/1049413201.db2.gz QYNURFKNXADFJU-AWEZNQCLSA-N 0 3 315.413 4.098 20 0 DIADHN Cc1ccc(CN2CCC3(COc4ccccc43)CC2)cc1F ZINC001205243827 1049416568 /nfs/dbraw/zinc/41/65/68/1049416568.db2.gz NUWVLWAXVXQMOK-UHFFFAOYSA-N 0 3 311.400 4.060 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC(C(C)(C)C)CC3)n12 ZINC001180917279 1049418065 /nfs/dbraw/zinc/41/80/65/1049418065.db2.gz PCDANOIOYKQFSA-UHFFFAOYSA-N 0 3 305.491 4.271 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001194875114 1049442085 /nfs/dbraw/zinc/44/20/85/1049442085.db2.gz WVHGKXBQJQZYQY-MELADBBJSA-N 0 3 307.400 4.435 20 0 DIADHN Cc1ccccc1OCCCN1Cc2ccccc2O[C@H](C)C1 ZINC001194764920 1049427831 /nfs/dbraw/zinc/42/78/31/1049427831.db2.gz QZNAQBLEDNOWMC-QGZVFWFLSA-N 0 3 311.425 4.047 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@@H](C(C)(C)C)C[C@H]1C ZINC001194804084 1049431111 /nfs/dbraw/zinc/43/11/11/1049431111.db2.gz CIOKUGDZQGKRNA-GVDBMIGSSA-N 0 3 310.526 4.026 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCC[C@@H](C2CC2)C1 ZINC001194806955 1049432598 /nfs/dbraw/zinc/43/25/98/1049432598.db2.gz OJNJBFUNLSIVJE-DZGCQCFKSA-N 0 3 320.864 4.097 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC([C@@H]3CCCCO3)CC2)o1 ZINC000668629454 1049435154 /nfs/dbraw/zinc/43/51/54/1049435154.db2.gz VPKFXXDJTODLAJ-OLMNPRSZSA-N 0 3 303.446 4.184 20 0 DIADHN CC(C)P(CCNC(=O)Cc1cc2ccccc2o1)C(C)C ZINC001181106380 1049438159 /nfs/dbraw/zinc/43/81/59/1049438159.db2.gz SDFZXUATNSGJCF-UHFFFAOYSA-N 0 3 319.385 4.390 20 0 DIADHN c1nc(CCc2ccccc2)sc1CN1CCCC2(CC2)C1 ZINC001181111257 1049438539 /nfs/dbraw/zinc/43/85/39/1049438539.db2.gz BYDUXCQCUXPRLC-UHFFFAOYSA-N 0 3 312.482 4.304 20 0 DIADHN COC(=O)c1cc(CN2CCC(C)(CC(C)C)CC2)c(C)s1 ZINC001194863439 1049438877 /nfs/dbraw/zinc/43/88/77/1049438877.db2.gz FWBGFNAUESSYSC-UHFFFAOYSA-N 0 3 323.502 4.491 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)N(C)[C@H](C)c1ccc(Cl)cc1 ZINC001194873525 1049441178 /nfs/dbraw/zinc/44/11/78/1049441178.db2.gz IKIKGBRESLRNHT-LSDHHAIUSA-N 0 3 324.896 4.370 20 0 DIADHN C[C@@H](NC(=O)c1ccccc1CN(C)C)[C@@H](C)C1CCCCC1 ZINC000486590468 1049441583 /nfs/dbraw/zinc/44/15/83/1049441583.db2.gz HVEREGLSZJVRSL-HZPDHXFCSA-N 0 3 316.489 4.083 20 0 DIADHN O[C@@H](CN1CCC(C2CC2)CC1)c1ccc(Cl)cc1Cl ZINC001194890617 1049450689 /nfs/dbraw/zinc/45/06/89/1049450689.db2.gz JWWMZVCEXGERKS-INIZCTEOSA-N 0 3 314.256 4.149 20 0 DIADHN Cc1cnc(CCN2CC(C)(C)[C@H]2c2cccs2)c(C)c1 ZINC001194890504 1049450937 /nfs/dbraw/zinc/45/09/37/1049450937.db2.gz JTFLRNNIXIPENG-QGZVFWFLSA-N 0 3 300.471 4.386 20 0 DIADHN COc1cccc(SCCN(Cc2ccccn2)C(C)C)c1 ZINC000659848788 1049451221 /nfs/dbraw/zinc/45/12/21/1049451221.db2.gz LSNXTRWMXWZRKU-UHFFFAOYSA-N 0 3 316.470 4.093 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c2c[nH]nc21 ZINC001205366667 1049452600 /nfs/dbraw/zinc/45/26/00/1049452600.db2.gz RJKDKUYOQNWVKU-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccccc2[nH]1)c1ccc2c(c1)OCO2 ZINC000775626277 1049454656 /nfs/dbraw/zinc/45/46/56/1049454656.db2.gz ITLXKMYJTLPHBJ-OLZOCXBDSA-N 0 3 308.381 4.308 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccc(F)cc3[nH]2)cc1F ZINC000486697759 1049454803 /nfs/dbraw/zinc/45/48/03/1049454803.db2.gz KTMUAGMFXJHVQD-LLVKDONJSA-N 0 3 316.351 4.306 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccc(F)cc3[nH]2)cc1F ZINC000486697763 1049455677 /nfs/dbraw/zinc/45/56/77/1049455677.db2.gz KTMUAGMFXJHVQD-NSHDSACASA-N 0 3 316.351 4.306 20 0 DIADHN COc1ncc(CN[C@H]2CC(C)(C)CCc3ccccc32)s1 ZINC001181224047 1049456948 /nfs/dbraw/zinc/45/69/48/1049456948.db2.gz UPWNXNFFLBBPDB-INIZCTEOSA-N 0 3 316.470 4.345 20 0 DIADHN CCCC[C@@H](CCC)CNC(=O)c1ccccc1CN(C)C ZINC000486720112 1049458835 /nfs/dbraw/zinc/45/88/35/1049458835.db2.gz ITDUHGNDXKWEMA-MRXNPFEDSA-N 0 3 304.478 4.085 20 0 DIADHN CC[C@@H](C)N(CC(=O)OC)CC1CCC2(CCCCC2)CC1 ZINC001181284536 1049459045 /nfs/dbraw/zinc/45/90/45/1049459045.db2.gz CSZDBQIAWWQBQO-MRXNPFEDSA-N 0 3 309.494 4.401 20 0 DIADHN CN(Cc1cc(Br)ccc1F)C1CC(C)(C)C1 ZINC001194992277 1049459253 /nfs/dbraw/zinc/45/92/53/1049459253.db2.gz BOMXEQGSWUBAPR-UHFFFAOYSA-N 0 3 300.215 4.209 20 0 DIADHN COC(=O)c1ccc(CN(C)C/C(C)=C\c2ccccc2)cc1C ZINC001205403567 1049462391 /nfs/dbraw/zinc/46/23/91/1049462391.db2.gz YUJLUEIJKHFWNS-VBKFSLOCSA-N 0 3 323.436 4.317 20 0 DIADHN C[C@@H](NCc1ccc(OCC2CC2)nc1)c1cc2ccccc2[nH]1 ZINC000775629811 1049468543 /nfs/dbraw/zinc/46/85/43/1049468543.db2.gz WCXWFRXGCFRZQF-CQSZACIVSA-N 0 3 321.424 4.203 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000486779243 1049469374 /nfs/dbraw/zinc/46/93/74/1049469374.db2.gz NZZVBNLOFXYEMP-PBHICJAKSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@H](NCc1ccc(OCC2CC2)nc1)c1cc2ccccc2[nH]1 ZINC000775629808 1049469613 /nfs/dbraw/zinc/46/96/13/1049469613.db2.gz WCXWFRXGCFRZQF-AWEZNQCLSA-N 0 3 321.424 4.203 20 0 DIADHN CNc1ccc(C)cc1CN1CCC[C@@H](C(F)(F)F)[C@H]1C ZINC001205438613 1049470849 /nfs/dbraw/zinc/47/08/49/1049470849.db2.gz SIFPSUUFRBAKIX-TZMCWYRMSA-N 0 3 300.368 4.200 20 0 DIADHN c1c(CN2CCC[C@@H]2CCC2CCCCC2)nc2ncccn12 ZINC000486790226 1049471652 /nfs/dbraw/zinc/47/16/52/1049471652.db2.gz GMQSZOVHJWUCPG-GOSISDBHSA-N 0 3 312.461 4.054 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3ccc(C(F)(F)F)nc3)C2)c1 ZINC001205442142 1049473093 /nfs/dbraw/zinc/47/30/93/1049473093.db2.gz UIBSYKZPUWRDOP-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN COc1c2ccccc2oc1CN[C@H]1CS[C@@H](C(C)(C)C)C1 ZINC001205453713 1049474603 /nfs/dbraw/zinc/47/46/03/1049474603.db2.gz NPURGDUUYCBEKP-MLGOLLRUSA-N 0 3 319.470 4.451 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1C[C@@H]1C[C@@H]1c1ccccc1 ZINC001181390626 1049475492 /nfs/dbraw/zinc/47/54/92/1049475492.db2.gz GMATZNGMPLGJBJ-NZSAHSFTSA-N 0 3 324.443 4.140 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@@H](O)C(C)(C)C1 ZINC001181409430 1049477815 /nfs/dbraw/zinc/47/78/15/1049477815.db2.gz CXJJPKUGNBRDFA-CQSZACIVSA-N 0 3 309.862 4.066 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000486803636 1049478905 /nfs/dbraw/zinc/47/89/05/1049478905.db2.gz RLXSDEDUTYFIRY-AWEZNQCLSA-N 0 3 322.861 4.322 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCCCn2cccn2)c(F)c1 ZINC001195125327 1049479284 /nfs/dbraw/zinc/47/92/84/1049479284.db2.gz YLXXGHSUXCMMPW-SFHVURJKSA-N 0 3 319.399 4.169 20 0 DIADHN Cc1c(CN2CCC(c3ccc(F)cc3)CC2)ccnc1F ZINC000862242815 1049480408 /nfs/dbraw/zinc/48/04/08/1049480408.db2.gz RQGYJTWLMSPGAY-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CCC[C@@H]3C(C)C)c2)CC1 ZINC001205478231 1049481479 /nfs/dbraw/zinc/48/14/79/1049481479.db2.gz YKEQNTQZOIIPIT-WOJBJXKFSA-N 0 3 315.505 4.065 20 0 DIADHN CCN1CCN(c2cccc(N[C@H]3CCCC(C)(C)C3)c2)CC1 ZINC001205478233 1049481559 /nfs/dbraw/zinc/48/15/59/1049481559.db2.gz YKLLVMAGXCSIPU-SFHVURJKSA-N 0 3 315.505 4.209 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000862234379 1049481664 /nfs/dbraw/zinc/48/16/64/1049481664.db2.gz MRIULCOFAHQFFE-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN Fc1cccc([C@H]2CC[C@H](NCc3nc(Cl)cs3)C2)c1 ZINC001181436253 1049482309 /nfs/dbraw/zinc/48/23/09/1049482309.db2.gz NUPDXPBPZHXCMX-AAEUAGOBSA-N 0 3 310.825 4.362 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CC[C@@H](C2CCC2)C1 ZINC001195145970 1049482718 /nfs/dbraw/zinc/48/27/18/1049482718.db2.gz AHWBBJYHAMXKQS-UKRRQHHQSA-N 0 3 320.864 4.097 20 0 DIADHN COCc1cnc(CN[C@@H]2CCC[C@H](c3ccccc3)C2)s1 ZINC000486857099 1049483118 /nfs/dbraw/zinc/48/31/18/1049483118.db2.gz QHJHNJPZRNEUFU-JKSUJKDBSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1c(F)nccc1CN[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000862273691 1049485270 /nfs/dbraw/zinc/48/52/70/1049485270.db2.gz PDOHCGRMOXNAML-AWEZNQCLSA-N 0 3 302.393 4.167 20 0 DIADHN CCN(Cc1nc(-c2cccc(OC)c2)cs1)CC1CCC1 ZINC001205506825 1049488001 /nfs/dbraw/zinc/48/80/01/1049488001.db2.gz HWPIYRWNLIPVKX-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN CCN(Cc1c(F)cccc1OC(F)(F)F)CC1CCC1 ZINC001205506908 1049488075 /nfs/dbraw/zinc/48/80/75/1049488075.db2.gz KFAJYWINGGKTHN-UHFFFAOYSA-N 0 3 305.315 4.346 20 0 DIADHN COc1ccc2ccccc2c1CNC/C=C\c1ccncc1 ZINC000486930001 1049492537 /nfs/dbraw/zinc/49/25/37/1049492537.db2.gz QMRUXTNHBDGOCR-PLNGDYQASA-N 0 3 304.393 4.046 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccccc1)C(=O)Nc1ccc(C)cc1 ZINC001195204881 1049495843 /nfs/dbraw/zinc/49/58/43/1049495843.db2.gz RYQLSZOVOAPYGO-OALUTQOASA-N 0 3 310.441 4.453 20 0 DIADHN OC[C@H](CNCc1c(Cl)oc2ccccc21)CC1CCCC1 ZINC001205550822 1049496435 /nfs/dbraw/zinc/49/64/35/1049496435.db2.gz CGAKSNNTTYIYAR-AWEZNQCLSA-N 0 3 321.848 4.365 20 0 DIADHN C(=C\c1ccncc1)\CNC(c1ccccc1)c1ccccc1 ZINC000486938135 1049496890 /nfs/dbraw/zinc/49/68/90/1049496890.db2.gz OYHRSARLYMQBKY-FPLPWBNLSA-N 0 3 300.405 4.474 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@@H](c2ccc(C)cc2)C[C@H]1C ZINC001205567882 1049499297 /nfs/dbraw/zinc/49/92/97/1049499297.db2.gz FDQIKRWRVGKEGQ-ZBFHGGJFSA-N 0 3 317.864 4.243 20 0 DIADHN Cc1c(F)nccc1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC000862527972 1049500374 /nfs/dbraw/zinc/50/03/74/1049500374.db2.gz KWJWPBKUWUFXOY-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN CCOc1cncc(CN2C[C@@H](C)CC[C@@H]2c2ccccc2)c1 ZINC001181673027 1049502772 /nfs/dbraw/zinc/50/27/72/1049502772.db2.gz KWKOGAWHVMURDG-OXJNMPFZSA-N 0 3 310.441 4.454 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OCC2CCCCC2)C1 ZINC001205579910 1049503555 /nfs/dbraw/zinc/50/35/55/1049503555.db2.gz RJDRMIWUFAKKMA-FUHWJXTLSA-N 0 3 302.462 4.204 20 0 DIADHN Fc1cccc(OC2CCN(Cc3ccc4[nH]ccc4c3)CC2)c1 ZINC001205594074 1049506649 /nfs/dbraw/zinc/50/66/49/1049506649.db2.gz PELDHMFTZXBERY-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2cc(F)ccc2Br)[C@H]1C ZINC001195278120 1049508357 /nfs/dbraw/zinc/50/83/57/1049508357.db2.gz JKMRURARXPZHAH-MXWKQRLJSA-N 0 3 300.215 4.065 20 0 DIADHN CCNc1ccccc1CN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC001181729925 1049509407 /nfs/dbraw/zinc/50/94/07/1049509407.db2.gz QDHPRZBNLMYZSS-LSDHHAIUSA-N 0 3 313.272 4.134 20 0 DIADHN COc1ncc(CN2CCC[C@@](C)(c3ccccc3)CC2)s1 ZINC001181698679 1049511287 /nfs/dbraw/zinc/51/12/87/1049511287.db2.gz WBVFUQTUPYQEGU-GOSISDBHSA-N 0 3 316.470 4.096 20 0 DIADHN Fc1cc(F)c(CNC[C@@H]2CCc3ccccc32)c(F)c1F ZINC001205621661 1049513835 /nfs/dbraw/zinc/51/38/35/1049513835.db2.gz LWZDDZRAWJOMKS-NSHDSACASA-N 0 3 309.306 4.063 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@@H](C2CCCC2)C1 ZINC001195305211 1049514533 /nfs/dbraw/zinc/51/45/33/1049514533.db2.gz AZTLRZDRFAICGW-DZGCQCFKSA-N 0 3 320.864 4.179 20 0 DIADHN Fc1cccc(OC(F)F)c1CN[C@@H]1CCc2ccccc2C1 ZINC001205622520 1049514851 /nfs/dbraw/zinc/51/48/51/1049514851.db2.gz UIIJVBXONVGMFD-CQSZACIVSA-N 0 3 321.342 4.074 20 0 DIADHN CCOC[C@H](N[C@H](C)c1ccc(-c2ccccc2)cn1)C(C)C ZINC001205628906 1049516630 /nfs/dbraw/zinc/51/66/30/1049516630.db2.gz OOVUAHZTUKJRRK-UZLBHIALSA-N 0 3 312.457 4.460 20 0 DIADHN CCCCOc1ccccc1CN(C)[C@@H]1C[C@H](OC)C12CCC2 ZINC001181797004 1049519701 /nfs/dbraw/zinc/51/97/01/1049519701.db2.gz SEKRJXPBLSRPHV-MOPGFXCFSA-N 0 3 317.473 4.255 20 0 DIADHN CCC[C@@H](NCc1ccc(Cl)cc1F)[C@@H](O)c1ccccc1 ZINC001205642901 1049519888 /nfs/dbraw/zinc/51/98/88/1049519888.db2.gz QJIPMIATUFZLAM-MSOLQXFVSA-N 0 3 321.823 4.471 20 0 DIADHN Cc1ccc(F)c(CN2CCC3(CC(OCC4CC4)C3)CC2)c1 ZINC001205648448 1049521373 /nfs/dbraw/zinc/52/13/73/1049521373.db2.gz SBDKNAHKSDXQOZ-UHFFFAOYSA-N 0 3 317.448 4.305 20 0 DIADHN Cc1cscc1CNCc1ccc(OCC(F)(F)F)cc1 ZINC000487175273 1049522026 /nfs/dbraw/zinc/52/20/26/1049522026.db2.gz YRAVNAGXTGFBFY-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN Cc1ccc(F)c(CN(Cc2ccccc2)C2CCOCC2)c1 ZINC001205655680 1049522491 /nfs/dbraw/zinc/52/24/91/1049522491.db2.gz WKAXNKVQPUHNIC-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN Cc1ccc(NC(=O)C2[C@H]3CCCCCC[C@H]23)c(CN(C)C)c1 ZINC000668751138 1049524216 /nfs/dbraw/zinc/52/42/16/1049524216.db2.gz MTDAPAWBBPGZLY-IRXDYDNUSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H](c3nc(C(C)C)no3)C2)c1 ZINC001205654600 1049524193 /nfs/dbraw/zinc/52/41/93/1049524193.db2.gz ALAJIWXPLVYQOC-CQSZACIVSA-N 0 3 317.408 4.020 20 0 DIADHN Cc1cscc1CNCc1ccc(OCc2ccncc2)cc1 ZINC000487201524 1049530089 /nfs/dbraw/zinc/53/00/89/1049530089.db2.gz RDOVFEHUSXAKKK-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000487258937 1049537790 /nfs/dbraw/zinc/53/77/90/1049537790.db2.gz LWEJPLCQXLBGEY-MNOVXSKESA-N 0 3 317.260 4.298 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001195595535 1049543559 /nfs/dbraw/zinc/54/35/59/1049543559.db2.gz DEIXQMPRZDMUIF-NSHDSACASA-N 0 3 323.314 4.340 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NC/C=C/c1ccncc1 ZINC000487306634 1049549642 /nfs/dbraw/zinc/54/96/42/1049549642.db2.gz GVSYTSNQFYHJMS-GHOSXJJBSA-N 0 3 310.441 4.480 20 0 DIADHN COc1cc2ccccc2cc1CN(C)CCCOC(C)C ZINC001181932945 1049549910 /nfs/dbraw/zinc/54/99/10/1049549910.db2.gz KIULVUBMMAAANU-UHFFFAOYSA-N 0 3 301.430 4.095 20 0 DIADHN C[C@@H]1CN(Cc2cccc3cccnc32)CC2(CCCCC2)O1 ZINC001195647624 1049550055 /nfs/dbraw/zinc/55/00/55/1049550055.db2.gz AQZURNFRYKPRKF-MRXNPFEDSA-N 0 3 310.441 4.158 20 0 DIADHN CSC1CC(N[C@H](C)c2ccc(C(F)(F)F)nc2Cl)C1 ZINC001182055242 1049566190 /nfs/dbraw/zinc/56/61/90/1049566190.db2.gz YVKSBEHJGMBPSL-AFPNSQJFSA-N 0 3 324.799 4.298 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1n[nH]c(C)c1C ZINC001205750217 1049551838 /nfs/dbraw/zinc/55/18/38/1049551838.db2.gz IYAPJBPFBRRHND-UGSOOPFHSA-N 0 3 313.445 4.008 20 0 DIADHN CCOc1c(Cl)cccc1CNCCc1ncc(CC)s1 ZINC000487312652 1049552639 /nfs/dbraw/zinc/55/26/39/1049552639.db2.gz XEIIJWCCNMNYMW-UHFFFAOYSA-N 0 3 324.877 4.090 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(C)[C@H](CO)c1ccccc1 ZINC001181959849 1049552884 /nfs/dbraw/zinc/55/28/84/1049552884.db2.gz XVOTVHYHPKHKSD-GOSISDBHSA-N 0 3 303.833 4.122 20 0 DIADHN C[S@](=O)c1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1 ZINC000085310356 1049552990 /nfs/dbraw/zinc/55/29/90/1049552990.db2.gz RAHZDKAAEWHOAC-OAQYLSRUSA-N 0 3 321.873 4.102 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(C)[C@@H](CO)c1ccccc1 ZINC001181959850 1049554196 /nfs/dbraw/zinc/55/41/96/1049554196.db2.gz XVOTVHYHPKHKSD-SFHVURJKSA-N 0 3 303.833 4.122 20 0 DIADHN C[C@H]1CN(Cc2cncc(Cl)c2)CCc2ccc(F)cc21 ZINC001195705070 1049558555 /nfs/dbraw/zinc/55/85/55/1049558555.db2.gz UCAJEVOZUTWEEH-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN CC/C=C/CNCc1ccc(-c2ccc(C(=O)OCC)cc2)o1 ZINC001181973197 1049558793 /nfs/dbraw/zinc/55/87/93/1049558793.db2.gz XQZZQTAOWLRHBX-AATRIKPKSA-N 0 3 313.397 4.179 20 0 DIADHN COc1ccc(CN2CC3(CCC3)[C@@H]2C(C)C)cc1OC1CC1 ZINC001182086958 1049563967 /nfs/dbraw/zinc/56/39/67/1049563967.db2.gz NNLUMLTVNLVSKP-IBGZPJMESA-N 0 3 315.457 4.247 20 0 DIADHN C[C@@]1(Cc2cccc(Cl)c2)CCCN1Cc1cncs1 ZINC001195757983 1049564586 /nfs/dbraw/zinc/56/45/86/1049564586.db2.gz PEERZTDXEMNHDY-INIZCTEOSA-N 0 3 306.862 4.394 20 0 DIADHN Cc1cccnc1CN1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001205807503 1049569698 /nfs/dbraw/zinc/56/96/98/1049569698.db2.gz OQDNSTLNDDOHFM-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN O=C(CN1CCCC[C@@H]1C1CCC1)Nc1ccc(Cl)c(F)c1 ZINC001195825214 1049575938 /nfs/dbraw/zinc/57/59/38/1049575938.db2.gz DQJHDFGJLILNHB-MRXNPFEDSA-N 0 3 324.827 4.072 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CN(CC(=O)OC(C)(C)C)C1CCC1 ZINC001206485050 1049579518 /nfs/dbraw/zinc/57/95/18/1049579518.db2.gz QHRBWGUPKAHTCZ-WBVHZDCISA-N 0 3 307.478 4.175 20 0 DIADHN Clc1ccc(C2CN(Cc3cncc4ccccc43)C2)cc1 ZINC001205848588 1049582782 /nfs/dbraw/zinc/58/27/82/1049582782.db2.gz GELIMGMZCXGNKH-UHFFFAOYSA-N 0 3 308.812 4.488 20 0 DIADHN COc1ccc(CN2CC[C@H](C(C)(C)C)C[C@H]2C)c(F)c1OC ZINC001182185213 1049583796 /nfs/dbraw/zinc/58/37/96/1049583796.db2.gz ZUQZMXHFNPSULV-HIFRSBDPSA-N 0 3 323.452 4.490 20 0 DIADHN Cc1cnccc1CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001205862552 1049585415 /nfs/dbraw/zinc/58/54/15/1049585415.db2.gz HDCCCBXAFKPLDP-GOSISDBHSA-N 0 3 316.832 4.087 20 0 DIADHN CCCc1ccc(CN[C@H](c2ccncc2)C2(CO)CCC2)cc1 ZINC000668812356 1049587921 /nfs/dbraw/zinc/58/79/21/1049587921.db2.gz MGHYSQWWJXMCEJ-HXUWFJFHSA-N 0 3 324.468 4.028 20 0 DIADHN COCCN(Cc1coc(-c2cccs2)n1)[C@@H]1CCC[C@@H]1C ZINC000487971034 1049590078 /nfs/dbraw/zinc/59/00/78/1049590078.db2.gz JHEBBAUGDUNCAH-DZGCQCFKSA-N 0 3 320.458 4.040 20 0 DIADHN CC(C)c1ccc2c(c1)CN(C[C@@H](O)c1cccc(F)c1)CC2 ZINC001195912340 1049590472 /nfs/dbraw/zinc/59/04/72/1049590472.db2.gz YRVBPRXLRJBNAU-HXUWFJFHSA-N 0 3 313.416 4.041 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)N[C@@H](C)C(C)C)c1ccccc1 ZINC001182232447 1049591344 /nfs/dbraw/zinc/59/13/44/1049591344.db2.gz NAZUWMCFQFBSDP-FUHWJXTLSA-N 0 3 304.478 4.010 20 0 DIADHN CCCCN(CC)[C@H](C(=O)N[C@@H](C)C(C)C)c1ccccc1 ZINC001182232449 1049591383 /nfs/dbraw/zinc/59/13/83/1049591383.db2.gz NAZUWMCFQFBSDP-WMZOPIPTSA-N 0 3 304.478 4.010 20 0 DIADHN CC(C)[C@@H](NCC1(Br)CC1)c1ccccc1F ZINC001195926803 1049593049 /nfs/dbraw/zinc/59/30/49/1049593049.db2.gz BGRHAAHMQCLPBR-CYBMUJFWSA-N 0 3 300.215 4.040 20 0 DIADHN COc1ccc(F)cc1CN(C)[C@@H](C)Cc1cccc(F)c1 ZINC001182271142 1049599892 /nfs/dbraw/zinc/59/98/92/1049599892.db2.gz FWKTXDWPHZGNBJ-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN COc1ccc(F)cc1CN(C)[C@H](C)Cc1cccc(F)c1 ZINC001182271141 1049600166 /nfs/dbraw/zinc/60/01/66/1049600166.db2.gz FWKTXDWPHZGNBJ-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN CCc1noc(C)c1CN1CCC(c2ccc(F)cc2)CC1 ZINC000119707626 1049601511 /nfs/dbraw/zinc/60/15/11/1049601511.db2.gz OMOOAFVKTCUPTH-UHFFFAOYSA-N 0 3 302.393 4.064 20 0 DIADHN Cc1ccc(CN(C)[C@H](CO)c2ccc(Cl)cc2)cc1Cl ZINC001205924158 1049603528 /nfs/dbraw/zinc/60/35/28/1049603528.db2.gz ICYQQNLQYSPBEA-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)on1 ZINC001196023361 1049605157 /nfs/dbraw/zinc/60/51/57/1049605157.db2.gz UADPVTHNSDPWJU-KRWDZBQOSA-N 0 3 304.821 4.234 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1C[C@@H]1c1ccccc1F)C(C)C ZINC001182290039 1049608285 /nfs/dbraw/zinc/60/82/85/1049608285.db2.gz MAMJFEDHTAQQJT-HZPDHXFCSA-N 0 3 323.392 4.344 20 0 DIADHN CC1(C)CCC[C@@H]1CNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001205952686 1049609570 /nfs/dbraw/zinc/60/95/70/1049609570.db2.gz UXPFERXBEPUXHF-MRXNPFEDSA-N 0 3 324.472 4.061 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1nc(Br)cs1 ZINC001182298588 1049610278 /nfs/dbraw/zinc/61/02/78/1049610278.db2.gz NTQRMSGTWPBACS-NXEZZACHSA-N 0 3 317.296 4.210 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@@H](C)Cc2ccccc2Cl)c1 ZINC000774150127 1049611124 /nfs/dbraw/zinc/61/11/24/1049611124.db2.gz JGXGBIIVVVLMNP-RYUDHWBXSA-N 0 3 321.804 4.001 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCNc2ccccc21 ZINC000774174004 1049618596 /nfs/dbraw/zinc/61/85/96/1049618596.db2.gz MZZLYHXWUKQDLV-LJQANCHMSA-N 0 3 309.457 4.179 20 0 DIADHN C[C@H](CO[C@@H]1CCCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001206010452 1049628239 /nfs/dbraw/zinc/62/82/39/1049628239.db2.gz HBMZDFCDIODIFE-WSTZPKSXSA-N 0 3 324.468 4.427 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2cc3ccccc3s2)c(C)o1 ZINC000774222012 1049631829 /nfs/dbraw/zinc/63/18/29/1049631829.db2.gz AZJLUBRJJAJPHW-BLLLJJGKSA-N 0 3 315.438 4.495 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2cc3ccccc3s2)c(C)o1 ZINC000774222013 1049631973 /nfs/dbraw/zinc/63/19/73/1049631973.db2.gz AZJLUBRJJAJPHW-LRDDRELGSA-N 0 3 315.438 4.495 20 0 DIADHN Fc1cc(CN2CC[C@@H](C3CCC3)C2)cc(C(F)(F)F)c1 ZINC001182355800 1049635632 /nfs/dbraw/zinc/63/56/32/1049635632.db2.gz GMKWXIPBIIMSMA-CYBMUJFWSA-N 0 3 301.327 4.467 20 0 DIADHN Cc1cccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c1F ZINC001203371844 1049636363 /nfs/dbraw/zinc/63/63/63/1049636363.db2.gz BGQJDIHEVYPQTK-AEFFLSMTSA-N 0 3 319.807 4.407 20 0 DIADHN CN(Cc1cn(C)nc1Cl)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC001182368632 1049636831 /nfs/dbraw/zinc/63/68/31/1049636831.db2.gz FBRHJBCWWNIHFN-SJORKVTESA-N 0 3 317.864 4.232 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CC(C)(C)[C@H]1C(C)C ZINC001206042364 1049637640 /nfs/dbraw/zinc/63/76/40/1049637640.db2.gz XFMVHWGCXXDVGY-LJQANCHMSA-N 0 3 311.473 4.356 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2occc21)[C@H](O)c1c(F)cccc1F ZINC000774256504 1049638366 /nfs/dbraw/zinc/63/83/66/1049638366.db2.gz FXFDCWCECMAFJP-DAYGRLMNSA-N 0 3 321.367 4.037 20 0 DIADHN OC[C@@H](NCC1CCCCC1)c1cccc(Cl)c1Cl ZINC001196285476 1049639023 /nfs/dbraw/zinc/63/90/23/1049639023.db2.gz MXCIXZVXNDNJLN-CQSZACIVSA-N 0 3 302.245 4.197 20 0 DIADHN COc1cc(F)c(CN2CC[C@H](CC(C)(C)C)C2)cc1OC ZINC001182408050 1049639907 /nfs/dbraw/zinc/63/99/07/1049639907.db2.gz CEGJBMOJWIUSQM-CYBMUJFWSA-N 0 3 309.425 4.101 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)C[C@H]1C[C@@H]1C ZINC000488359456 1049640609 /nfs/dbraw/zinc/64/06/09/1049640609.db2.gz ZYLUVDLYTMDEGN-QWHCGFSZSA-N 0 3 319.836 4.258 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)[C@@H]2C[C@H]2C2CC2)c2ccco2)cc1 ZINC001182396376 1049642911 /nfs/dbraw/zinc/64/29/11/1049642911.db2.gz GDWVUTBAXHMFAX-HKVPTBLXSA-N 0 3 311.425 4.402 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CCCC1CCCCC1)C2 ZINC001196337798 1049643983 /nfs/dbraw/zinc/64/39/83/1049643983.db2.gz GXKHCXLPEUMMLY-UHFFFAOYSA-N 0 3 301.478 4.319 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(SC(F)F)cc2)CC1 ZINC000794633632 1049650041 /nfs/dbraw/zinc/65/00/41/1049650041.db2.gz NBUWQKCGTFRONB-UHFFFAOYSA-N 0 3 315.429 4.392 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cccnc2OC2CCCC2)CS1 ZINC000488413824 1049651005 /nfs/dbraw/zinc/65/10/05/1049651005.db2.gz PTFCUAPQOQZKNU-RDJZCZTQSA-N 0 3 320.502 4.023 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cccnc2OC2CCCC2)CS1 ZINC000488413821 1049651364 /nfs/dbraw/zinc/65/13/64/1049651364.db2.gz PTFCUAPQOQZKNU-DOTOQJQBSA-N 0 3 320.502 4.023 20 0 DIADHN C[C@@H](Cc1ccco1)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000774377897 1049653700 /nfs/dbraw/zinc/65/37/00/1049653700.db2.gz OYYZZQHJHDBYNN-RYUDHWBXSA-N 0 3 317.820 4.102 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2ccnc(Cl)c2)cc1 ZINC000774383361 1049655031 /nfs/dbraw/zinc/65/50/31/1049655031.db2.gz YAGKDJQQYSQHGF-ZDUSSCGKSA-N 0 3 303.837 4.408 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCCNc3ccccc31)CCC2 ZINC000774356801 1049656504 /nfs/dbraw/zinc/65/65/04/1049656504.db2.gz XFDSKEPWGCQXGW-WBVHZDCISA-N 0 3 313.470 4.366 20 0 DIADHN C[C@@H](NC[C@H](O)c1cc2ccccc2s1)c1ccccc1F ZINC000120076127 1049657643 /nfs/dbraw/zinc/65/76/43/1049657643.db2.gz SLWDSWDZOPYCTR-WBMJQRKESA-N 0 3 315.413 4.425 20 0 DIADHN CCc1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)[nH]n1 ZINC000774416791 1049659281 /nfs/dbraw/zinc/65/92/81/1049659281.db2.gz BEZKLFDPTMYGDR-SFHVURJKSA-N 0 3 301.409 4.132 20 0 DIADHN CCc1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)n[nH]1 ZINC000774416791 1049659288 /nfs/dbraw/zinc/65/92/88/1049659288.db2.gz BEZKLFDPTMYGDR-SFHVURJKSA-N 0 3 301.409 4.132 20 0 DIADHN CCc1cc(CN[C@H](c2ccccc2OC)C2CCCC2)n[nH]1 ZINC000774421837 1049660032 /nfs/dbraw/zinc/66/00/32/1049660032.db2.gz XBOZNHCVFIRNFD-IBGZPJMESA-N 0 3 313.445 4.002 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)Cc2ccc(Cl)cc2)[n-]1 ZINC000488468914 1049662124 /nfs/dbraw/zinc/66/21/24/1049662124.db2.gz YIVDQRWEFQAZCW-YNEHKIRRSA-N 0 3 320.868 4.253 20 0 DIADHN CN(Cc1cn2cc(Cl)cc(Cl)c2n1)C[C@@H]1CC1(C)C ZINC001196458244 1049662552 /nfs/dbraw/zinc/66/25/52/1049662552.db2.gz LKJQIRVZJRLANC-JTQLQIEISA-N 0 3 312.244 4.119 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCc2cccc(C)c2)[n-]1 ZINC000488504974 1049663091 /nfs/dbraw/zinc/66/30/91/1049663091.db2.gz LXZRYFAROJBQDC-ARFHVFGLSA-N 0 3 314.477 4.299 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@@H](OCCC(C)C)C3)cc2c1 ZINC001196518302 1049664142 /nfs/dbraw/zinc/66/41/42/1049664142.db2.gz LLYPFEMAZUKDDA-LJQANCHMSA-N 0 3 312.457 4.180 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCc2cccc(C)c2)[n-]1 ZINC000488504978 1049664444 /nfs/dbraw/zinc/66/44/44/1049664444.db2.gz LXZRYFAROJBQDC-JYJNAYRXSA-N 0 3 314.477 4.299 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCc2ccc(F)cc2)[n-]1 ZINC000488507282 1049665377 /nfs/dbraw/zinc/66/53/77/1049665377.db2.gz WJKOQHZMEULTIR-IHRRRGAJSA-N 0 3 318.440 4.129 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C2CCC(C(F)(F)F)CC2)[n-]1 ZINC000488505088 1049666785 /nfs/dbraw/zinc/66/67/85/1049666785.db2.gz QXQRYPJGWBKLSD-DMOGRIERSA-N 0 3 318.387 4.090 20 0 DIADHN CCN(CC(C)C)[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000488528723 1049668615 /nfs/dbraw/zinc/66/86/15/1049668615.db2.gz MCVGCYMXLQIXOV-CYBMUJFWSA-N 0 3 305.397 4.223 20 0 DIADHN Cc1cc([C@H]([NH2+]Cc2ccc([O-])c(F)c2)C2CC2)ccc1F ZINC000774426573 1049669446 /nfs/dbraw/zinc/66/94/46/1049669446.db2.gz XGXDODCDDKWTAW-GOSISDBHSA-N 0 3 303.352 4.220 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@H]1CC1(Cl)Cl ZINC001182478937 1049669936 /nfs/dbraw/zinc/66/99/36/1049669936.db2.gz HRILQTXGXGHRIW-SECBINFHSA-N 0 3 322.663 4.367 20 0 DIADHN CC[C@@H](C[NH2+][C@@H](C)c1nnc([C@@H](C)CC)[n-]1)c1ccccc1 ZINC000488532083 1049670521 /nfs/dbraw/zinc/67/05/21/1049670521.db2.gz ZLGOQHQJTOLGFE-KKUMJFAQSA-N 0 3 300.450 4.163 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@H]2CCOc3c(F)cccc32)CS1 ZINC000488550574 1049673820 /nfs/dbraw/zinc/67/38/20/1049673820.db2.gz YOLPZXHTEMBVFC-UGFHNGPFSA-N 0 3 309.450 4.159 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H]1CS[C@@H](C(C)C)C1 ZINC000488550922 1049673975 /nfs/dbraw/zinc/67/39/75/1049673975.db2.gz XMKKNVSWYFCJEW-BLLLJJGKSA-N 0 3 305.443 4.061 20 0 DIADHN COc1c2ccccc2oc1CN[C@H]1CS[C@H](C(C)C)C1 ZINC000488550925 1049675174 /nfs/dbraw/zinc/67/51/74/1049675174.db2.gz XMKKNVSWYFCJEW-WBMJQRKESA-N 0 3 305.443 4.061 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H]1CS[C@H](C(C)C)C1 ZINC000488550923 1049675416 /nfs/dbraw/zinc/67/54/16/1049675416.db2.gz XMKKNVSWYFCJEW-LRDDRELGSA-N 0 3 305.443 4.061 20 0 DIADHN Cc1ccc([C@@H](O)CNC2c3ccccc3-c3ccccc32)cc1 ZINC000774486558 1049675733 /nfs/dbraw/zinc/67/57/33/1049675733.db2.gz VTGXTBTUSABPGQ-NRFANRHFSA-N 0 3 315.416 4.388 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc2c(c1)OC(F)(F)O2 ZINC001196573497 1049675785 /nfs/dbraw/zinc/67/57/85/1049675785.db2.gz HBEDAOGCYVSQPA-GFCCVEGCSA-N 0 3 305.324 4.167 20 0 DIADHN CCN(CCc1ccccc1)[C@H](C)C(=O)Nc1cccc(C)c1C ZINC000488567561 1049676691 /nfs/dbraw/zinc/67/66/91/1049676691.db2.gz KWXGNULSBYFDQA-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccnc(Cl)c1)c1ccccc1 ZINC000774494156 1049678240 /nfs/dbraw/zinc/67/82/40/1049678240.db2.gz CLOVITPPVGWEJE-XJKSGUPXSA-N 0 3 304.821 4.163 20 0 DIADHN FC1(F)Oc2ccc(CN3CC(C4CCCCC4)C3)cc2O1 ZINC001206180150 1049678375 /nfs/dbraw/zinc/67/83/75/1049678375.db2.gz GAFCEDIPTNLWKY-UHFFFAOYSA-N 0 3 309.356 4.020 20 0 DIADHN c1ccc2ncc(CN3CCC4(CCSCC4)CC3)cc2c1 ZINC001196683943 1049679136 /nfs/dbraw/zinc/67/91/36/1049679136.db2.gz IZECAUKLTDFSCR-UHFFFAOYSA-N 0 3 312.482 4.344 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@H]1C[C@@]1(F)c1ccccc1 ZINC001182500422 1049679540 /nfs/dbraw/zinc/67/95/40/1049679540.db2.gz SITWXTGIUMHPCD-JEBQAFNWSA-N 0 3 303.808 4.498 20 0 DIADHN COc1cccc(C2CC(N[C@H]3C[C@]3(F)c3ccccc3)C2)c1 ZINC001182498616 1049679730 /nfs/dbraw/zinc/67/97/30/1049679730.db2.gz JUXGBYVSYBQKBP-YHZLUUAOSA-N 0 3 311.400 4.168 20 0 DIADHN CC(C)P(CCNC(=O)Cc1occ2ccccc21)C(C)C ZINC001182506205 1049681125 /nfs/dbraw/zinc/68/11/25/1049681125.db2.gz TYFCEJSMHJKAAG-UHFFFAOYSA-N 0 3 319.385 4.390 20 0 DIADHN Cc1c(C)c(C)c(CN2CCc3c(O)cccc3C2)c(C)c1C ZINC001196706370 1049681362 /nfs/dbraw/zinc/68/13/62/1049681362.db2.gz IGGHSELIBUZPIZ-UHFFFAOYSA-N 0 3 309.453 4.493 20 0 DIADHN Cc1cc(CN2CCC3(CCSCC3)CC2)cc(Cl)n1 ZINC001196685287 1049682412 /nfs/dbraw/zinc/68/24/12/1049682412.db2.gz VBBMOLWHODISAW-UHFFFAOYSA-N 0 3 310.894 4.153 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(Cc3nccs3)CC1)CC2 ZINC001196727380 1049682737 /nfs/dbraw/zinc/68/27/37/1049682737.db2.gz CREVEKXTPUDHTQ-QGZVFWFLSA-N 0 3 316.445 4.224 20 0 DIADHN CCN(CC(C)C)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000488595574 1049682998 /nfs/dbraw/zinc/68/29/98/1049682998.db2.gz TYKDWOLLWXRZBZ-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN CC(C)C1CC(NCc2nccn2CCCc2ccccc2)C1 ZINC000488684165 1049687714 /nfs/dbraw/zinc/68/77/14/1049687714.db2.gz IBJPRDGTZOPGEL-UHFFFAOYSA-N 0 3 311.473 4.040 20 0 DIADHN CC1(C)[C@@H](c2cccc(F)c2)CCN1Cc1cc(C2CC2)no1 ZINC001196799187 1049689473 /nfs/dbraw/zinc/68/94/73/1049689473.db2.gz RHGBXKQFOSENTF-QGZVFWFLSA-N 0 3 314.404 4.459 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1ccccc1F)c1cc(F)ccc1F ZINC000794797669 1049695943 /nfs/dbraw/zinc/69/59/43/1049695943.db2.gz PPUOSKGPRXYEFX-QZTJIDSGSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000794797142 1049696928 /nfs/dbraw/zinc/69/69/28/1049696928.db2.gz ZUHVTHQDCJBWIS-QZTJIDSGSA-N 0 3 323.358 4.124 20 0 DIADHN CC1(C)CN(Cc2nc3cc(F)ccc3o2)CCc2ccccc21 ZINC000911596514 1049701179 /nfs/dbraw/zinc/70/11/79/1049701179.db2.gz UUVSRNNECNQWRO-UHFFFAOYSA-N 0 3 324.399 4.303 20 0 DIADHN Cc1cc(F)ncc1CN(C)[C@@H](C)CSc1ccccc1 ZINC001182752678 1049704557 /nfs/dbraw/zinc/70/45/57/1049704557.db2.gz CBQBKGAKQGSGFQ-AWEZNQCLSA-N 0 3 304.434 4.142 20 0 DIADHN c1ccc2nc(CN3CCC(C4CCCCC4)CC3)cnc2c1 ZINC001182753303 1049705028 /nfs/dbraw/zinc/70/50/28/1049705028.db2.gz GXDMJXLWLKLGMQ-UHFFFAOYSA-N 0 3 309.457 4.422 20 0 DIADHN CCCn1cncc1CN1CCCC[C@H]1Cc1ccccc1F ZINC001182763396 1049706154 /nfs/dbraw/zinc/70/61/54/1049706154.db2.gz FMHCIJITVIGTQD-KRWDZBQOSA-N 0 3 315.436 4.029 20 0 DIADHN O=c1oc2ccc(CN3CCC(C4CCCCC4)CC3)cc2o1 ZINC001182755014 1049706874 /nfs/dbraw/zinc/70/68/74/1049706874.db2.gz PHZDYMVZEOCOGO-UHFFFAOYSA-N 0 3 315.413 4.178 20 0 DIADHN CCN(CCSC)Cc1ccc(-c2cccc(F)c2F)o1 ZINC001182743450 1049709906 /nfs/dbraw/zinc/70/99/06/1049709906.db2.gz PXPAFULCCIZRFU-UHFFFAOYSA-N 0 3 311.397 4.410 20 0 DIADHN CC(C)(O)C1CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC001197012109 1049714656 /nfs/dbraw/zinc/71/46/56/1049714656.db2.gz AMYBWYUXBQWQCV-UHFFFAOYSA-N 0 3 307.821 4.069 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccccc3Cl)CC2)[nH]1 ZINC001197020332 1049716177 /nfs/dbraw/zinc/71/61/77/1049716177.db2.gz DRNQRLMQMNGEBH-UHFFFAOYSA-N 0 3 317.864 4.135 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccccc3Cl)CC2)o1 ZINC001197020900 1049716253 /nfs/dbraw/zinc/71/62/53/1049716253.db2.gz LOSXETYAYVJMGV-UHFFFAOYSA-N 0 3 318.848 4.400 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(SC)cc2)cc1OC ZINC000042978087 1049719286 /nfs/dbraw/zinc/71/92/86/1049719286.db2.gz GBGMDBIMULHLJE-UHFFFAOYSA-N 0 3 317.454 4.058 20 0 DIADHN CCOc1ccc(C)c(C(=O)NCCP(C(C)C)C(C)C)c1 ZINC001197154092 1049725574 /nfs/dbraw/zinc/72/55/74/1049725574.db2.gz IQZVDBRVQRNJNM-UHFFFAOYSA-N 0 3 323.417 4.422 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@]1(C)Cc1ccccc1F ZINC001206408471 1049729423 /nfs/dbraw/zinc/72/94/23/1049729423.db2.gz ASMRAISYSZWLLI-LJQANCHMSA-N 0 3 316.395 4.265 20 0 DIADHN Cc1ccc(CCO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001206415488 1049729995 /nfs/dbraw/zinc/72/99/95/1049729995.db2.gz BOSWUUWQAORTSZ-AZUAARDMSA-N 0 3 324.468 4.175 20 0 DIADHN CCN(Cc1ccc(F)c(Cl)c1)[C@@H](CO)c1ccccc1 ZINC001197253974 1049731863 /nfs/dbraw/zinc/73/18/63/1049731863.db2.gz DNWADTJWPAAGAE-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1cc(CN2CC[C@H](Oc3ccccc3)C2)ccc1Cl ZINC001206440227 1049735453 /nfs/dbraw/zinc/73/54/53/1049735453.db2.gz MXJFVCMCVDHRQO-KRWDZBQOSA-N 0 3 301.817 4.302 20 0 DIADHN Cc1cnc(C(=O)NCCP(C(C)C)C(C)C)cc1Cl ZINC001197298034 1049735605 /nfs/dbraw/zinc/73/56/05/1049735605.db2.gz IEEYKZLCGYIQIT-UHFFFAOYSA-N 0 3 314.797 4.072 20 0 DIADHN Cc1nccc(CN2CC[C@@H](c3ccccc3Cl)C2(C)C)n1 ZINC001197316419 1049737293 /nfs/dbraw/zinc/73/72/93/1049737293.db2.gz NTCSIULBFPZHMO-INIZCTEOSA-N 0 3 315.848 4.207 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccccc1Cl ZINC000489303264 1049740603 /nfs/dbraw/zinc/74/06/03/1049740603.db2.gz WOXJDBRADJVNDY-CJNGLKHVSA-N 0 3 308.853 4.179 20 0 DIADHN CCN(C)C(=O)c1cccc(-c2ccc(C3=NCCC3)cc2)c1 ZINC001206486866 1049741164 /nfs/dbraw/zinc/74/11/64/1049741164.db2.gz XBAHKWXIXYYMPG-UHFFFAOYSA-N 0 3 306.409 4.028 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N(CC)CC1CC1 ZINC000489321804 1049744159 /nfs/dbraw/zinc/74/41/59/1049744159.db2.gz CKYCNWJGUGJLJM-GJZGRUSLSA-N 0 3 302.462 4.259 20 0 DIADHN COc1cc(F)cc(CN2CCc3ccc(F)cc3[C@H](C)C2)c1 ZINC001206499228 1049745531 /nfs/dbraw/zinc/74/55/31/1049745531.db2.gz VKLOHMXZTKUQME-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN C[C@H]1CN(Cc2c(Cl)n[nH]c2C2CC2)CCc2ccccc21 ZINC001206552084 1049755963 /nfs/dbraw/zinc/75/59/63/1049755963.db2.gz GRMDEJSZNRMVBC-LBPRGKRZSA-N 0 3 315.848 4.102 20 0 DIADHN C[C@@H]1CN(Cc2c(Cl)n[nH]c2C2CC2)CCc2ccccc21 ZINC001206552083 1049756103 /nfs/dbraw/zinc/75/61/03/1049756103.db2.gz GRMDEJSZNRMVBC-GFCCVEGCSA-N 0 3 315.848 4.102 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-c3cccc(F)c3F)o2)C[C@H](C)O1 ZINC001206680955 1049778321 /nfs/dbraw/zinc/77/83/21/1049778321.db2.gz YGYKNBJBINTRTR-OLZOCXBDSA-N 0 3 321.367 4.224 20 0 DIADHN CC(C)[C@@H]1CC[C@@H]1N[C@@H](CO)c1cccc(Cl)c1Cl ZINC001206721943 1049783995 /nfs/dbraw/zinc/78/39/95/1049783995.db2.gz YVDXOVOJECCIHW-BPNCWPANSA-N 0 3 302.245 4.051 20 0 DIADHN Clc1cccc(CCN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC001206728940 1049784687 /nfs/dbraw/zinc/78/46/87/1049784687.db2.gz YLGYAXVFFNKRMJ-GOSISDBHSA-N 0 3 301.817 4.036 20 0 DIADHN CN(CC(=O)Nc1ccccc1C(C)(C)C)C1(C)CCCC1 ZINC000489892302 1049786422 /nfs/dbraw/zinc/78/64/22/1049786422.db2.gz RNJXYUNSEDSHKX-UHFFFAOYSA-N 0 3 302.462 4.187 20 0 DIADHN CC(C)C[C@H](CN[C@@H](C)c1ccccn1)CC(=O)OC(C)(C)C ZINC001206735698 1049786489 /nfs/dbraw/zinc/78/64/89/1049786489.db2.gz ZTBNMXBSHBVPJU-HOTGVXAUSA-N 0 3 320.477 4.126 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3ccncc3Cl)C2)cc1 ZINC000490052755 1049796729 /nfs/dbraw/zinc/79/67/29/1049796729.db2.gz HXIGOVITAROMCA-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3nc(-c4ccoc4)no3)C2)cc1 ZINC000490059084 1049797211 /nfs/dbraw/zinc/79/72/11/1049797211.db2.gz VUEHTJKBAZACSG-RHSMWYFYSA-N 0 3 323.396 4.016 20 0 DIADHN c1ccc(OCc2cccc(CN3CC(CC4CC4)C3)c2)cc1 ZINC001206780015 1049798160 /nfs/dbraw/zinc/79/81/60/1049798160.db2.gz MNYYUOKTKRMXRB-UHFFFAOYSA-N 0 3 307.437 4.498 20 0 DIADHN CC(C)O[C@H](CN1CC(Cc2ccsc2)C1)c1ccccc1 ZINC001206780033 1049798459 /nfs/dbraw/zinc/79/84/59/1049798459.db2.gz MYKNPARVBZJQNA-LJQANCHMSA-N 0 3 315.482 4.389 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN1CCCCC[C@H]1C ZINC001206807890 1049803271 /nfs/dbraw/zinc/80/32/71/1049803271.db2.gz BXLDPFIJRRFLCC-CYBMUJFWSA-N 0 3 321.465 4.048 20 0 DIADHN CN(Cc1cccc(F)c1OCC(F)(F)F)C[C@@H]1CC1(C)C ZINC001206809212 1049804238 /nfs/dbraw/zinc/80/42/38/1049804238.db2.gz ISIADSSRZSPWJK-LBPRGKRZSA-N 0 3 319.342 4.245 20 0 DIADHN CC[C@H](NCCOc1ccc(C(C)C)cc1)c1ccc(F)cn1 ZINC000490125478 1049805238 /nfs/dbraw/zinc/80/52/38/1049805238.db2.gz PTJVWIVVUXNAAZ-SFHVURJKSA-N 0 3 316.420 4.464 20 0 DIADHN CN(Cc1nnc(-c2ccccc2Cl)o1)C1(C)CCCC1 ZINC000490176605 1049807906 /nfs/dbraw/zinc/80/79/06/1049807906.db2.gz PVYXWGCALBIFRF-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN CN(Cc1cc(-c2ccc(F)cc2)on1)CC1CCCCC1 ZINC001206836378 1049810793 /nfs/dbraw/zinc/81/07/93/1049810793.db2.gz MAQYRBCEBPUTCH-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CCC[C@H](C)C(=O)NC[C@H](c1ccccc1)N1CCC(C)CC1 ZINC000490245022 1049814591 /nfs/dbraw/zinc/81/45/91/1049814591.db2.gz OGFJQARAPHJPDO-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)cc(C)c1O ZINC001206990882 1049817382 /nfs/dbraw/zinc/81/73/82/1049817382.db2.gz ISTDUKANSWOFEZ-QGZVFWFLSA-N 0 3 301.817 4.440 20 0 DIADHN C[C@H]1C[C@H](CN(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC000490403055 1049830169 /nfs/dbraw/zinc/83/01/69/1049830169.db2.gz OJICBTSPHPGITI-NWDGAFQWSA-N 0 3 302.245 4.240 20 0 DIADHN C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)N1CCC[C@H]1c1cccs1 ZINC000249505065 1049822382 /nfs/dbraw/zinc/82/23/82/1049822382.db2.gz GKQXLDXQBCHAAF-QXSJWSMHSA-N 0 3 320.502 4.063 20 0 DIADHN CC(C)COC1CCN([C@@H]2CCc3c2cccc3Cl)CC1 ZINC000490382474 1049828640 /nfs/dbraw/zinc/82/86/40/1049828640.db2.gz XGNWJNZBZXVMCD-GOSISDBHSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1ncc(CN2CCC[C@]3(CCc4c3cccc4F)C2)s1 ZINC001207063852 1049829306 /nfs/dbraw/zinc/82/93/06/1049829306.db2.gz FMKSTPBSZFJXTQ-SFHVURJKSA-N 0 3 316.445 4.071 20 0 DIADHN CCc1nccc(CN(Cc2ccccc2)C2CCCCC2)n1 ZINC001207085366 1049831921 /nfs/dbraw/zinc/83/19/21/1049831921.db2.gz YKNAILVFRRRHAB-UHFFFAOYSA-N 0 3 309.457 4.374 20 0 DIADHN CC1(C)CCCN1Cc1ccc(Br)c2cccnc12 ZINC000490551553 1049840477 /nfs/dbraw/zinc/84/04/77/1049840477.db2.gz YZMBMADXFKNFCM-UHFFFAOYSA-N 0 3 319.246 4.372 20 0 DIADHN C[C@@H]1C[C@@H](C)N(Cc2ccc(Br)c3cccnc23)C1 ZINC000490542455 1049842011 /nfs/dbraw/zinc/84/20/11/1049842011.db2.gz JPTVUKDQAPAYLR-VXGBXAGGSA-N 0 3 319.246 4.228 20 0 DIADHN C[C@H]1CN(Cc2ccc(Br)c3cccnc23)C[C@H]1C ZINC000490571090 1049842829 /nfs/dbraw/zinc/84/28/29/1049842829.db2.gz URKAUXPNYIJQHJ-TXEJJXNPSA-N 0 3 319.246 4.085 20 0 DIADHN Cc1ncccc1CN1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001207153751 1049845659 /nfs/dbraw/zinc/84/56/59/1049845659.db2.gz CUCLELCYGGDMJP-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)CC(=O)N1CCCC[C@H]1C ZINC000490586007 1049845864 /nfs/dbraw/zinc/84/58/64/1049845864.db2.gz GTTZUEZCJBEQBJ-XLIONFOSSA-N 0 3 316.489 4.025 20 0 DIADHN Cc1ncccc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001207154510 1049846379 /nfs/dbraw/zinc/84/63/79/1049846379.db2.gz TUHLSQNLPUQSJW-ZDUSSCGKSA-N 0 3 300.833 4.205 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@@H](Cc2ccc(F)cc2)C1 ZINC001207174129 1049850028 /nfs/dbraw/zinc/85/00/28/1049850028.db2.gz HLYNNSLTOLYWQI-KRWDZBQOSA-N 0 3 315.436 4.058 20 0 DIADHN Cc1cnc2c(c1)CN(C[C@@H]1C[C@H]1c1ccc(Cl)cc1)CC2 ZINC001207194859 1049856199 /nfs/dbraw/zinc/85/61/99/1049856199.db2.gz CGWARFVTPMUALC-YJBOKZPZSA-N 0 3 312.844 4.205 20 0 DIADHN Cc1nc2c(s1)CN(C[C@H]1C[C@H]1c1ccc(Cl)cc1)CC2 ZINC001207192143 1049856235 /nfs/dbraw/zinc/85/62/35/1049856235.db2.gz PASXRLXLALWNTR-HIFRSBDPSA-N 0 3 318.873 4.267 20 0 DIADHN Cc1nc2c(s1)CN(C[C@@H]1C[C@H]1c1ccc(Cl)cc1)CC2 ZINC001207192145 1049857018 /nfs/dbraw/zinc/85/70/18/1049857018.db2.gz PASXRLXLALWNTR-ZFWWWQNUSA-N 0 3 318.873 4.267 20 0 DIADHN CCCc1cccc(CN2Cc3cccnc3N3CCC[C@H]3C2)c1 ZINC001207225940 1049864549 /nfs/dbraw/zinc/86/45/49/1049864549.db2.gz QNIVJTGXPUECJU-FQEVSTJZSA-N 0 3 321.468 4.019 20 0 DIADHN Clc1ccccc1[C@H]1CCN(CCOCc2ccccc2)C1 ZINC001207235864 1049867300 /nfs/dbraw/zinc/86/73/00/1049867300.db2.gz QAODTCPGOYKWOQ-KRWDZBQOSA-N 0 3 315.844 4.346 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1ccnn1C1CCC1 ZINC000924856998 1049870116 /nfs/dbraw/zinc/87/01/16/1049870116.db2.gz PJDJZAOFBHSVTM-CQSZACIVSA-N 0 3 306.413 4.007 20 0 DIADHN COc1cc(F)c(CN2CCC[C@H](C3CCC3)CC2)cc1OC ZINC001207262549 1049871711 /nfs/dbraw/zinc/87/17/11/1049871711.db2.gz XPUBHOGNYPNLOP-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1nc(Cl)c(CCN2CCC[C@@H](C)[C@H](C)C2)c(Cl)n1 ZINC001207335241 1049880527 /nfs/dbraw/zinc/88/05/27/1049880527.db2.gz YOCQPZHOWCZYJU-GHMZBOCLSA-N 0 3 316.276 4.002 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1cc(O)ccc1Cl ZINC001207333134 1049880569 /nfs/dbraw/zinc/88/05/69/1049880569.db2.gz AVCLJLWJWRCTIH-LLVKDONJSA-N 0 3 310.224 4.420 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCN(C)C(C)(C)C1 ZINC001207348085 1049882203 /nfs/dbraw/zinc/88/22/03/1049882203.db2.gz JHQOXYYRFJUZHO-UHFFFAOYSA-N 0 3 322.905 4.389 20 0 DIADHN C[C@@H]1CCCN(Cc2coc(-c3ccc(F)c(F)c3)n2)CC1 ZINC000491059280 1049882919 /nfs/dbraw/zinc/88/29/19/1049882919.db2.gz IIUVUTAXPYISKM-GFCCVEGCSA-N 0 3 306.356 4.242 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H](C4CC4)C3)cs2)cc1 ZINC000491109291 1049885056 /nfs/dbraw/zinc/88/50/56/1049885056.db2.gz JGBYAGCPVQIDKB-CQSZACIVSA-N 0 3 302.418 4.181 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)C[C@H]1CCO[C@H](C)C1 ZINC000491092819 1049887062 /nfs/dbraw/zinc/88/70/62/1049887062.db2.gz TZBDRAOFBLHANI-MCIONIFRSA-N 0 3 304.459 4.104 20 0 DIADHN COc1c(O)cccc1CN(C)CCc1cccc2ccccc21 ZINC000491195171 1049891448 /nfs/dbraw/zinc/89/14/48/1049891448.db2.gz TYIPXYXVZNYQRB-UHFFFAOYSA-N 0 3 321.420 4.229 20 0 DIADHN COc1ccccc1CCN1CCC[C@@H]1c1cc(F)ccc1F ZINC001207455666 1049893980 /nfs/dbraw/zinc/89/39/80/1049893980.db2.gz FHJMNZVZTILOAG-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccccc1CCN1CCC[C@@H]1c1ccc(F)cc1F ZINC001207460067 1049895631 /nfs/dbraw/zinc/89/56/31/1049895631.db2.gz GRFSIRKVXXVVHO-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccccc1CCN(Cc1ccccc1)Cc1ccncc1 ZINC001207496717 1049898370 /nfs/dbraw/zinc/89/83/70/1049898370.db2.gz CGZJFHXDXYHCSK-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN CC(C)(C)c1ccc(CCNCc2noc3ccccc23)cc1 ZINC001207517919 1049902545 /nfs/dbraw/zinc/90/25/45/1049902545.db2.gz ALSUZSZOYKFIBK-UHFFFAOYSA-N 0 3 308.425 4.458 20 0 DIADHN Cc1cc(CN2CC[C@H](c3c(F)cccc3F)C2)cc(C)c1O ZINC001207527621 1049905592 /nfs/dbraw/zinc/90/55/92/1049905592.db2.gz RLGYXQOHNUVFRM-HNNXBMFYSA-N 0 3 317.379 4.277 20 0 DIADHN CCCn1cncc1CN1CCC(CCc2ccccc2)CC1 ZINC001207569698 1049910062 /nfs/dbraw/zinc/91/00/62/1049910062.db2.gz KTMNOHDCPQDREN-UHFFFAOYSA-N 0 3 311.473 4.138 20 0 DIADHN CSCc1nnc(C[NH2+]C2CCCCCCCCCCC2)[n-]1 ZINC001207576621 1049911466 /nfs/dbraw/zinc/91/14/66/1049911466.db2.gz KSISOHDWKWIKSF-UHFFFAOYSA-N 0 3 324.538 4.431 20 0 DIADHN COc1ccc(Br)c(CN(CC2CC2)C(C)C)c1 ZINC000087798231 1049924810 /nfs/dbraw/zinc/92/48/10/1049924810.db2.gz OFMBGJDNEBMVBH-UHFFFAOYSA-N 0 3 312.251 4.078 20 0 DIADHN Oc1cccc2c1CCN(CCCc1cccc(Cl)c1)C2 ZINC001207584110 1049912085 /nfs/dbraw/zinc/91/20/85/1049912085.db2.gz SKHBHOVLVNQDDT-UHFFFAOYSA-N 0 3 301.817 4.037 20 0 DIADHN CCOc1cc(C[N@@H+]2CC[C@@H](C)C[C@@H](C)C2)cc(Cl)c1[O-] ZINC001207588529 1049913204 /nfs/dbraw/zinc/91/32/04/1049913204.db2.gz JKBKIAUGVCVGEP-CHWSQXEVSA-N 0 3 311.853 4.312 20 0 DIADHN CCOc1cc(C[N@H+]2CC[C@@H](C)C[C@@H](C)C2)cc(Cl)c1[O-] ZINC001207588529 1049913208 /nfs/dbraw/zinc/91/32/08/1049913208.db2.gz JKBKIAUGVCVGEP-CHWSQXEVSA-N 0 3 311.853 4.312 20 0 DIADHN CN(CCCc1cccc(Cl)c1)Cc1ccc(Cl)nc1 ZINC001207590806 1049913249 /nfs/dbraw/zinc/91/32/49/1049913249.db2.gz WTJLXHNLOZVUSS-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN COc1cccc(CN(C)CCCCc2ccccc2)c1OC ZINC001207616747 1049918660 /nfs/dbraw/zinc/91/86/60/1049918660.db2.gz KPZJQPNZCCDQPL-UHFFFAOYSA-N 0 3 313.441 4.159 20 0 DIADHN CCCCCC[C@@H]1CCC[C@H]1NCc1nc2c(s1)COCC2 ZINC001207638257 1049919934 /nfs/dbraw/zinc/91/99/34/1049919934.db2.gz CBMOPWVQKGZUSC-HUUCEWRRSA-N 0 3 322.518 4.445 20 0 DIADHN Cc1cc(F)c(CN[C@H](C)[C@@H]2COc3ccccc3C2)c(F)c1 ZINC001207655646 1049921576 /nfs/dbraw/zinc/92/15/76/1049921576.db2.gz YTLWEMXCZAUSDD-HIFRSBDPSA-N 0 3 317.379 4.003 20 0 DIADHN Cc1cc(F)c(CN[C@@H](C)[C@@H]2COc3ccccc3C2)c(F)c1 ZINC001207655648 1049922063 /nfs/dbraw/zinc/92/20/63/1049922063.db2.gz YTLWEMXCZAUSDD-ZFWWWQNUSA-N 0 3 317.379 4.003 20 0 DIADHN CC1(C)C[C@@H](CNCc2c(Cl)ccnc2Cl)C(C)(C)O1 ZINC001207658914 1049922454 /nfs/dbraw/zinc/92/24/54/1049922454.db2.gz ZJCHJEDDNSKXTD-JTQLQIEISA-N 0 3 317.260 4.072 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000087798587 1049924669 /nfs/dbraw/zinc/92/46/69/1049924669.db2.gz ZGEGTSHXESSRAU-WBMJQRKESA-N 0 3 324.262 4.222 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@@H](C)CC2)c1 ZINC000087798497 1049925320 /nfs/dbraw/zinc/92/53/20/1049925320.db2.gz VWKUUFJHSGDXKL-GFCCVEGCSA-N 0 3 312.251 4.080 20 0 DIADHN CCn1cc(CN2CCC(CC3CCCCC3)CC2)c(C)n1 ZINC001207685050 1049927149 /nfs/dbraw/zinc/92/71/49/1049927149.db2.gz MQLCYJFEFAZVAM-UHFFFAOYSA-N 0 3 303.494 4.394 20 0 DIADHN c1nc2n(c1CN1CCC(CC3CCCCC3)CC1)CCC2 ZINC001207685255 1049927409 /nfs/dbraw/zinc/92/74/09/1049927409.db2.gz NYMPRWOMLCDZPT-UHFFFAOYSA-N 0 3 301.478 4.012 20 0 DIADHN Clc1cccc(Oc2ccnc(CN[C@@H]3CCSC3)c2)c1 ZINC001207720138 1049930923 /nfs/dbraw/zinc/93/09/23/1049930923.db2.gz VNEAAGPFZHMEQA-CYBMUJFWSA-N 0 3 320.845 4.122 20 0 DIADHN COc1ccc(CN2CCC(c3cc(C)ccc3C)CC2)nc1 ZINC001207738784 1049932758 /nfs/dbraw/zinc/93/27/58/1049932758.db2.gz MCFUQXVLKOKYRU-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CC(C)c1cc(Cl)ccc1NC(=O)NCCN1CCCCC1 ZINC001207746114 1049933174 /nfs/dbraw/zinc/93/31/74/1049933174.db2.gz WIVSUSWNDOXHKY-UHFFFAOYSA-N 0 3 323.868 4.071 20 0 DIADHN CC1(C)CC(N[C@H](C(N)=O)c2ccc(Cl)cc2)CC(C)(C)C1 ZINC001207793640 1049939084 /nfs/dbraw/zinc/93/90/84/1049939084.db2.gz PSYVCDJSHBJLMI-HNNXBMFYSA-N 0 3 322.880 4.061 20 0 DIADHN CN(Cc1cc(F)ccc1Oc1ccccc1)CC1(C)COC1 ZINC001207801799 1049939992 /nfs/dbraw/zinc/93/99/92/1049939992.db2.gz UFXFTZICJAMPOB-UHFFFAOYSA-N 0 3 315.388 4.086 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CNCc1ncccc1Br ZINC001207799339 1049940491 /nfs/dbraw/zinc/94/04/91/1049940491.db2.gz ZSFKVIORLGZZEE-CHWSQXEVSA-N 0 3 311.267 4.150 20 0 DIADHN Cc1[nH]c2ccccc2c1SCCN[C@H]1CCc2cccnc21 ZINC001207854947 1049944895 /nfs/dbraw/zinc/94/48/95/1049944895.db2.gz PLFFQTWLDJZZSJ-KRWDZBQOSA-N 0 3 323.465 4.241 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CCc3ncccc3C2)c1 ZINC001207846030 1049945592 /nfs/dbraw/zinc/94/55/92/1049945592.db2.gz UOYZGIJKVKHJQY-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCc3cnn(C)c3C2)CC1 ZINC001207936646 1049960053 /nfs/dbraw/zinc/96/00/53/1049960053.db2.gz BMEIYHKTXHMEKU-SFHVURJKSA-N 0 3 313.489 4.251 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CC[C@@](C)(O)[C@@H](F)C2)CC1 ZINC001207940612 1049962044 /nfs/dbraw/zinc/96/20/44/1049962044.db2.gz MJVUTAYEJOIHLL-GBESFXJTSA-N 0 3 309.469 4.254 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(F)c2)c1 ZINC001168381938 1049965015 /nfs/dbraw/zinc/96/50/15/1049965015.db2.gz KIZOCKYLJQAXCP-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(OC)c(F)c1 ZINC000571781784 1049967399 /nfs/dbraw/zinc/96/73/99/1049967399.db2.gz FACDCSISKBMTAO-CHWSQXEVSA-N 0 3 302.393 4.204 20 0 DIADHN CC(C)CCOCCN1CCC(c2nc3ccccc3o2)CC1 ZINC000088484407 1049968715 /nfs/dbraw/zinc/96/87/15/1049968715.db2.gz MYMUCRNTVKAARR-UHFFFAOYSA-N 0 3 316.445 4.070 20 0 DIADHN CCOc1cccc(CN[C@H]2CCc3c2ccc(OC)c3F)c1 ZINC000571920432 1049969808 /nfs/dbraw/zinc/96/98/08/1049969808.db2.gz UCFBIFMQPCZNNO-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN CN(CCCn1cccn1)Cc1sc2ccccc2c1Cl ZINC001208078691 1049971636 /nfs/dbraw/zinc/97/16/36/1049971636.db2.gz NVFNAMOWNQGXDI-UHFFFAOYSA-N 0 3 319.861 4.273 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cnn(-c2ccccc2)c1 ZINC000588177595 1049973506 /nfs/dbraw/zinc/97/35/06/1049973506.db2.gz HUDGLGZRAMXTRK-SFQUDFHCSA-N 0 3 303.409 4.065 20 0 DIADHN CS[C@H](C)CCN1CCn2cccc2[C@@H]1c1ccccc1 ZINC001208139186 1049976356 /nfs/dbraw/zinc/97/63/56/1049976356.db2.gz PQONZXHUULLOKW-QAPCUYQASA-N 0 3 300.471 4.035 20 0 DIADHN CS[C@H](C)CCN1CCn2cccc2[C@H]1c1ccccc1 ZINC001208139180 1049977090 /nfs/dbraw/zinc/97/70/90/1049977090.db2.gz PQONZXHUULLOKW-CRAIPNDOSA-N 0 3 300.471 4.035 20 0 DIADHN CCCN(CC[C@H](C)SC)[C@@H](C)C(=O)Nc1ccccc1C ZINC001208152055 1049978215 /nfs/dbraw/zinc/97/82/15/1049978215.db2.gz FBGIUSPGWSOWON-HOTGVXAUSA-N 0 3 322.518 4.176 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CC[C@H]2COCc2ccccc2)o1 ZINC001208156464 1049978961 /nfs/dbraw/zinc/97/89/61/1049978961.db2.gz QBNLNVOVMHEAIT-LPHOPBHVSA-N 0 3 313.441 4.373 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(CCc2ccc(Cl)cc2)C1 ZINC001208736434 1050007908 /nfs/dbraw/zinc/00/79/08/1050007908.db2.gz FLNBFLAWGCLXFD-CYBMUJFWSA-N 0 3 309.734 4.106 20 0 DIADHN CC1=C[C@H](C)[C@H](CN(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001208173158 1049981661 /nfs/dbraw/zinc/98/16/61/1049981661.db2.gz GMLQLGLKDMSBLR-RXVVDRJESA-N 0 3 321.468 4.471 20 0 DIADHN CC/C=C\CCCCCN1CCC(C(=O)C(F)(F)F)CC1 ZINC001208193951 1049983398 /nfs/dbraw/zinc/98/33/98/1049983398.db2.gz JPHHGJZFLXJKAY-ARJAWSKDSA-N 0 3 305.384 4.356 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001208202158 1049984528 /nfs/dbraw/zinc/98/45/28/1049984528.db2.gz KUNVDXUWZOKAHO-KSZLIROESA-N 0 3 323.521 4.442 20 0 DIADHN Cc1ccc(OC2CCN(CCc3cccc(F)c3)CC2)cc1 ZINC001208197741 1049984838 /nfs/dbraw/zinc/98/48/38/1049984838.db2.gz SVRFHOLFDLNASM-UHFFFAOYSA-N 0 3 313.416 4.220 20 0 DIADHN CSCc1cc(F)ccc1CNCc1sc(C)nc1C ZINC000088751775 1049986669 /nfs/dbraw/zinc/98/66/69/1049986669.db2.gz PAKSGDMIORPMCM-UHFFFAOYSA-N 0 3 310.463 4.052 20 0 DIADHN CCOc1ccc(CNCc2ccc(F)cc2CSC)cc1 ZINC000088751752 1049986724 /nfs/dbraw/zinc/98/67/24/1049986724.db2.gz IBOXARRJTIUENS-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCc2cccnc2C1 ZINC001208219959 1049987691 /nfs/dbraw/zinc/98/76/91/1049987691.db2.gz RXYBLRROJJSVTD-MRXNPFEDSA-N 0 3 304.478 4.061 20 0 DIADHN Fc1cc(F)cc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000166065889 1049991709 /nfs/dbraw/zinc/99/17/09/1049991709.db2.gz ZECVUDYNTSZVMT-AUUYWEPGSA-N 0 3 317.379 4.222 20 0 DIADHN CCN(CCN1CCC[C@H]1c1cccs1)C(=O)OC(C)(C)C ZINC001208575588 1049996781 /nfs/dbraw/zinc/99/67/81/1049996781.db2.gz WHEYBMZMFXBRHS-AWEZNQCLSA-N 0 3 324.490 4.142 20 0 DIADHN CC(C)(CCN1CCO[C@H](c2ccccc2)C1)c1ccccc1 ZINC001208667921 1050000662 /nfs/dbraw/zinc/00/06/62/1050000662.db2.gz PPBRFFSZUYZEJA-FQEVSTJZSA-N 0 3 309.453 4.428 20 0 DIADHN CC(=O)[C@@H]1CCCCN1CCCc1ccc(C(F)(F)F)cc1 ZINC001208692338 1050002136 /nfs/dbraw/zinc/00/21/36/1050002136.db2.gz OVAMESJNSFJWPV-INIZCTEOSA-N 0 3 313.363 4.082 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cc(C)ccc2OC)cc1 ZINC000588856510 1050006341 /nfs/dbraw/zinc/00/63/41/1050006341.db2.gz XBGDTKVSRRPXAG-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CC/C=C\CCN1CC(c2ncn3cc(-c4ccoc4)ccc23)C1 ZINC001208728052 1050007170 /nfs/dbraw/zinc/00/71/70/1050007170.db2.gz NVIGUBAWOAJAME-ARJAWSKDSA-N 0 3 321.424 4.350 20 0 DIADHN C[C@H]1C(=O)CCN(CCc2ccc(Cl)cc2)C12CCCC2 ZINC001208741365 1050007769 /nfs/dbraw/zinc/00/77/69/1050007769.db2.gz CTSMTEIHVMAYPX-AWEZNQCLSA-N 0 3 305.849 4.106 20 0 DIADHN CCCCCCN1CCN(CCc2ccc(Cl)cc2)CC1 ZINC001208740545 1050008373 /nfs/dbraw/zinc/00/83/73/1050008373.db2.gz FNFRLSJBTXIHDU-UHFFFAOYSA-N 0 3 308.897 4.080 20 0 DIADHN c1ccc(C2(CN3CCC[C@H]3c3ncccn3)CCCC2)cc1 ZINC001208780982 1050011155 /nfs/dbraw/zinc/01/11/55/1050011155.db2.gz WFLROXGWZWGLEF-SFHVURJKSA-N 0 3 307.441 4.126 20 0 DIADHN F[C@H]1CN(CCCc2ccc(Cl)c(Cl)c2)C[C@@H]2C[C@@H]21 ZINC001208833375 1050013706 /nfs/dbraw/zinc/01/37/06/1050013706.db2.gz LZLZSQCQSZTOFT-HUBLWGQQSA-N 0 3 302.220 4.216 20 0 DIADHN F[C@H]1CCCCN(CCCc2ccccc2C(F)(F)F)C1 ZINC001208829017 1050014104 /nfs/dbraw/zinc/01/41/04/1050014104.db2.gz PFDQJXIMUIQLGG-AWEZNQCLSA-N 0 3 303.343 4.462 20 0 DIADHN C[C@@H]1CN(CCCc2ccc(Cl)c(Cl)c2)CCCC1=O ZINC001208834415 1050015147 /nfs/dbraw/zinc/01/51/47/1050015147.db2.gz ULHGOHDRMZKQJF-GFCCVEGCSA-N 0 3 314.256 4.227 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(CCCc2ccccc2Cl)C1 ZINC001208870779 1050018623 /nfs/dbraw/zinc/01/86/23/1050018623.db2.gz DPIJPPXRDPGJTH-CQSZACIVSA-N 0 3 323.761 4.497 20 0 DIADHN CCOC(=O)c1ccc(CCCN2Cc3cccc(C)c3C2)cc1 ZINC001208867048 1050018755 /nfs/dbraw/zinc/01/87/55/1050018755.db2.gz ZHANJLSIUDMGHD-UHFFFAOYSA-N 0 3 323.436 4.120 20 0 DIADHN C[C@@H](CN1CCC(Sc2ccccc2)CC1)C(F)(F)F ZINC001208892739 1050020687 /nfs/dbraw/zinc/02/06/87/1050020687.db2.gz UKTDAXRVGHCEPE-LBPRGKRZSA-N 0 3 303.393 4.442 20 0 DIADHN C[C@@H](CN1CCC(OCc2ccccc2F)CC1)C(F)(F)F ZINC001208893389 1050020886 /nfs/dbraw/zinc/02/08/86/1050020886.db2.gz JKSDBRWIKAJOJA-LBPRGKRZSA-N 0 3 319.342 4.005 20 0 DIADHN COc1cc2c(cc1NC1=CCN(C)CC1)oc1ccccc12 ZINC001208910956 1050022446 /nfs/dbraw/zinc/02/24/46/1050022446.db2.gz WLQVJIHHUPHHLN-UHFFFAOYSA-N 0 3 308.381 4.226 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cnc(-c2ccsc2)s1 ZINC000589206408 1050024855 /nfs/dbraw/zinc/02/48/55/1050024855.db2.gz JHQYVKQMNZUYHQ-GFCCVEGCSA-N 0 3 312.529 4.445 20 0 DIADHN CCN(CCSCc1ccccc1)[C@H](C)c1cccnc1 ZINC000589206141 1050025207 /nfs/dbraw/zinc/02/52/07/1050025207.db2.gz KGJUSIWOOPELQC-MRXNPFEDSA-N 0 3 300.471 4.398 20 0 DIADHN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000165262246 1050028631 /nfs/dbraw/zinc/02/86/31/1050028631.db2.gz YBRSUSAHKKCYFO-GJZGRUSLSA-N 0 3 323.440 4.356 20 0 DIADHN COC1(C)CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209078701 1050033257 /nfs/dbraw/zinc/03/32/57/1050033257.db2.gz LFQKAVLFKKHYIX-UHFFFAOYSA-N 0 3 302.245 4.037 20 0 DIADHN CC(C)OC1CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209082360 1050032876 /nfs/dbraw/zinc/03/28/76/1050032876.db2.gz QYNXIVSFZMAPPK-UHFFFAOYSA-N 0 3 316.272 4.425 20 0 DIADHN Cc1ccc(CN(C)CCc2ccc(Cl)cc2Cl)cn1 ZINC001209083222 1050032697 /nfs/dbraw/zinc/03/26/97/1050032697.db2.gz QUBGXZPIKIAVKR-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Cc1cnc2c(c1)CN(CCc1ccc(Cl)cc1Cl)CC2 ZINC001209082717 1050032958 /nfs/dbraw/zinc/03/29/58/1050032958.db2.gz BQIPHEHHJLDQRZ-UHFFFAOYSA-N 0 3 321.251 4.298 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@]2(CCOC2)C1 ZINC001209105191 1050034976 /nfs/dbraw/zinc/03/49/76/1050034976.db2.gz LFORTUQAZLZDGU-INIZCTEOSA-N 0 3 314.256 4.038 20 0 DIADHN CN(CCc1c(Cl)cccc1Cl)Cc1cccc(O)c1 ZINC001209105938 1050035304 /nfs/dbraw/zinc/03/53/04/1050035304.db2.gz SWUJQOUPQFKRBA-UHFFFAOYSA-N 0 3 310.224 4.374 20 0 DIADHN COc1cccc2c1CN(CCc1ccc(F)cc1Cl)CC2 ZINC001209109733 1050035735 /nfs/dbraw/zinc/03/57/35/1050035735.db2.gz UVRYDUGYEZWBAB-UHFFFAOYSA-N 0 3 319.807 4.089 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@]2(C1)CCCOC2 ZINC001209108333 1050036616 /nfs/dbraw/zinc/03/66/16/1050036616.db2.gz FJMQCIPPXPSPRX-INIZCTEOSA-N 0 3 314.256 4.038 20 0 DIADHN Fc1cc(F)cc(CCN2CCC3(CC(F)(F)C3)CC2)c1 ZINC001209129638 1050038568 /nfs/dbraw/zinc/03/85/68/1050038568.db2.gz ADONKOBMVKEMNH-UHFFFAOYSA-N 0 3 301.327 4.019 20 0 DIADHN CC(=O)OC(C)(C)CCCN(C)[C@H](C)c1ccccc1Cl ZINC001209155422 1050041102 /nfs/dbraw/zinc/04/11/02/1050041102.db2.gz DWILSZZRZWRUPL-CYBMUJFWSA-N 0 3 311.853 4.455 20 0 DIADHN FC(F)(F)c1cccc2c1CN(CCc1cccs1)CC2 ZINC001209225892 1050046187 /nfs/dbraw/zinc/04/61/87/1050046187.db2.gz LAIMQQVYHDVLJR-UHFFFAOYSA-N 0 3 311.372 4.368 20 0 DIADHN CN(CCCCOCc1ccccc1)Cc1ccc(F)cc1F ZINC001209265295 1050049297 /nfs/dbraw/zinc/04/92/97/1050049297.db2.gz PFHZYYHBFIYOBO-UHFFFAOYSA-N 0 3 319.395 4.394 20 0 DIADHN CC(C)OC1CCN(CCc2ccccc2C(F)(F)F)CC1 ZINC001209285378 1050050304 /nfs/dbraw/zinc/05/03/04/1050050304.db2.gz MCJINWDWWMODBU-UHFFFAOYSA-N 0 3 315.379 4.137 20 0 DIADHN CC/C=C\CCCCCCN1CCc2nc(Cl)ncc2C1 ZINC001209285161 1050050382 /nfs/dbraw/zinc/05/03/82/1050050382.db2.gz FUZYRKDKMJOKMZ-ARJAWSKDSA-N 0 3 307.869 4.405 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2n[nH]c3ccccc23)cc1 ZINC000589645236 1050052248 /nfs/dbraw/zinc/05/22/48/1050052248.db2.gz DUPALXXKOSWXQX-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2[nH]nc3ccccc32)cc1 ZINC000589645236 1050052251 /nfs/dbraw/zinc/05/22/51/1050052251.db2.gz DUPALXXKOSWXQX-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CCOC(=O)CCCCCCN1CCc2ccc(Cl)cc2C1 ZINC001209348118 1050052772 /nfs/dbraw/zinc/05/27/72/1050052772.db2.gz NHTRVTVFSMJUGX-UHFFFAOYSA-N 0 3 323.864 4.212 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1ccc2cccnc2c1 ZINC000589647784 1050053802 /nfs/dbraw/zinc/05/38/02/1050053802.db2.gz XVUYKQHXPCWBIR-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN CC(C)CCOc1ccccc1CNCc1ccc2[nH]cnc2c1 ZINC000589649933 1050054653 /nfs/dbraw/zinc/05/46/53/1050054653.db2.gz ZXNJYVBPBCGMLG-UHFFFAOYSA-N 0 3 323.440 4.278 20 0 DIADHN CC(C)CCOc1ccccc1CNCc1ccc2nc[nH]c2c1 ZINC000589649933 1050054655 /nfs/dbraw/zinc/05/46/55/1050054655.db2.gz ZXNJYVBPBCGMLG-UHFFFAOYSA-N 0 3 323.440 4.278 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCC4(CC(F)(F)C4)CC3)c2c1 ZINC001209490867 1050062242 /nfs/dbraw/zinc/06/22/42/1050062242.db2.gz KKWPOXIHGCJUGP-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN Cc1cccc2c(CN3CC[C@H]3COCc3ccccc3)c[nH]c21 ZINC001209489745 1050062640 /nfs/dbraw/zinc/06/26/40/1050062640.db2.gz HXCSDAKUYQTPHE-IBGZPJMESA-N 0 3 320.436 4.267 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCN(c4cccc(C)c4)CC3)c2c1 ZINC001209490771 1050062777 /nfs/dbraw/zinc/06/27/77/1050062777.db2.gz GLAFQRVNHBZBEJ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1cccc2c(CN(C)CCC(=O)c3ccccc3)c[nH]c21 ZINC001209487807 1050062960 /nfs/dbraw/zinc/06/29/60/1050062960.db2.gz QEWIHMWBKNKUPO-UHFFFAOYSA-N 0 3 306.409 4.181 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000589860532 1050063682 /nfs/dbraw/zinc/06/36/82/1050063682.db2.gz MSTUVSXTJAJQHI-SCTDSRPQSA-N 0 3 316.489 4.010 20 0 DIADHN COc1cc(CN(C)Cc2c[nH]c3ccc(C)cc23)cc(OC)c1 ZINC001209496706 1050064205 /nfs/dbraw/zinc/06/42/05/1050064205.db2.gz IWKYHMGDKBCDRY-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC001209514236 1050064627 /nfs/dbraw/zinc/06/46/27/1050064627.db2.gz HDVXCBIWCVIXJS-KRWDZBQOSA-N 0 3 319.452 4.047 20 0 DIADHN Cc1cccc(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)c1C ZINC001209513532 1050065079 /nfs/dbraw/zinc/06/50/79/1050065079.db2.gz AHEORIUIQPDEKZ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1cc2c(cn1)OCCC2 ZINC001209500913 1050065145 /nfs/dbraw/zinc/06/51/45/1050065145.db2.gz GAKOJFJLNRZXSA-LJQANCHMSA-N 0 3 308.425 4.052 20 0 DIADHN Cc1n[nH]cc1CN1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001209557889 1050068717 /nfs/dbraw/zinc/06/87/17/1050068717.db2.gz BWNJVINWTFBQGN-HNNXBMFYSA-N 0 3 309.335 4.074 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]c3ncc(Cl)cc13)CC2 ZINC001209598279 1050071938 /nfs/dbraw/zinc/07/19/38/1050071938.db2.gz BERJBZDCPZJQEY-AWEZNQCLSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1cc(Cl)ncc1CN(C)CCc1cccc(Cl)c1 ZINC001209636653 1050072453 /nfs/dbraw/zinc/07/24/53/1050072453.db2.gz ZBOULTVJVPLCPZ-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Fc1ccccc1CN[C@@H](CN1CCCCC1)c1ccccc1 ZINC000590075077 1050074181 /nfs/dbraw/zinc/07/41/81/1050074181.db2.gz OOFMKJWQSQXRBF-FQEVSTJZSA-N 0 3 312.432 4.143 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1c[nH]nc1-c1ccccc1 ZINC001209763206 1050082082 /nfs/dbraw/zinc/08/20/82/1050082082.db2.gz RJJVNLHOSUSAQZ-DHZHZOJOSA-N 0 3 303.409 4.222 20 0 DIADHN COc1c(F)ccc(Nc2ccc3c(c2)CN(C)CC3)c1Cl ZINC001209804262 1050087085 /nfs/dbraw/zinc/08/70/85/1050087085.db2.gz KHTMKLMGSUUXFW-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OCCCCc2ccccc2)C1 ZINC001209833690 1050091385 /nfs/dbraw/zinc/09/13/85/1050091385.db2.gz UAWWXIHHFBOFIB-UYAOXDASSA-N 0 3 324.468 4.256 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(C)cc1OC)c1ccccc1 ZINC000572946140 1050096023 /nfs/dbraw/zinc/09/60/23/1050096023.db2.gz GLBPWUKUHROVEX-OXQOHEQNSA-N 0 3 313.441 4.432 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1cc(C(C)(C)C)cc(O)c1O ZINC000057535813 1050097065 /nfs/dbraw/zinc/09/70/65/1050097065.db2.gz BPHGQLZIEYTRPU-UHFFFAOYSA-N 0 3 317.404 4.166 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ccco1)NC(=O)OC(C)(C)C ZINC000224405402 1050097376 /nfs/dbraw/zinc/09/73/76/1050097376.db2.gz SUZDTTZOEALCCW-CYBMUJFWSA-N 0 3 310.438 4.014 20 0 DIADHN CCCC[C@@H](CNC(=O)OC(C)(C)C)N[C@@H](C)c1ccoc1 ZINC000224566049 1050103609 /nfs/dbraw/zinc/10/36/09/1050103609.db2.gz HOFHBXWWJZGVAY-ZFWWWQNUSA-N 0 3 310.438 4.014 20 0 DIADHN CC[C@@H](NCc1cccnc1C)c1ccc(Br)cc1 ZINC000590880697 1050112430 /nfs/dbraw/zinc/11/24/30/1050112430.db2.gz STXLWQWMPOKBDA-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)Nc1ccnc2ccccc21 ZINC000573030070 1050116579 /nfs/dbraw/zinc/11/65/79/1050116579.db2.gz YYPNPLCGZJQJEH-AWEZNQCLSA-N 0 3 316.408 4.199 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNCCSC(C)C ZINC000573040095 1050116614 /nfs/dbraw/zinc/11/66/14/1050116614.db2.gz QSRAZNJURKLGCT-UHFFFAOYSA-N 0 3 308.274 4.233 20 0 DIADHN CC(C)c1cnc(CN[C@H](C)CCOCc2ccccc2)s1 ZINC000573039921 1050117496 /nfs/dbraw/zinc/11/74/96/1050117496.db2.gz HWWQBRMAVWPPSU-OAHLLOKOSA-N 0 3 318.486 4.352 20 0 DIADHN CC[C@H](CC(=O)NC[C@H](c1cccs1)N1CCCC1)C(C)C ZINC000591108784 1050124464 /nfs/dbraw/zinc/12/44/64/1050124464.db2.gz DOOGYCDBWGNKLM-HZPDHXFCSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@H](CC(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1)C(C)C ZINC000591154039 1050126627 /nfs/dbraw/zinc/12/66/27/1050126627.db2.gz GOMYLIZQXDZESE-LMMKCTJWSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@@H](NCc1ccc2cnn(C)c2c1)c1cnc2ccsc2c1 ZINC000573176396 1050139617 /nfs/dbraw/zinc/13/96/17/1050139617.db2.gz UGKOVNDYPYEQAP-GFCCVEGCSA-N 0 3 322.437 4.034 20 0 DIADHN CC(C)c1cc(CN[C@@H]2CCc3c(Cl)cccc3C2)on1 ZINC001171024614 1050148100 /nfs/dbraw/zinc/14/81/00/1050148100.db2.gz KPIKFOZFZYYSGB-CYBMUJFWSA-N 0 3 304.821 4.099 20 0 DIADHN Cc1ccccc1C1(CNCc2ccnc(Cl)c2F)CC1 ZINC000823758380 1050153594 /nfs/dbraw/zinc/15/35/94/1050153594.db2.gz YRXBADSPZUIHQS-UHFFFAOYSA-N 0 3 304.796 4.004 20 0 DIADHN CC(C)CC1CCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000770355190 1050154839 /nfs/dbraw/zinc/15/48/39/1050154839.db2.gz SDYGWYUXFPPJJS-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN CC(C)(C)[C@H]1CCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001168400772 1050155737 /nfs/dbraw/zinc/15/57/37/1050155737.db2.gz DNUQVMBJGBNOKH-INIZCTEOSA-N 0 3 310.441 4.146 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1cc2ccccc2s1 ZINC000823812591 1050156460 /nfs/dbraw/zinc/15/64/60/1050156460.db2.gz MURQARJTFROXKI-GFCCVEGCSA-N 0 3 311.454 4.363 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2cccc(C)c2)no1)C1CC1 ZINC000591476174 1050159399 /nfs/dbraw/zinc/15/93/99/1050159399.db2.gz HQYIDAQUCXFCDZ-LSDHHAIUSA-N 0 3 313.445 4.444 20 0 DIADHN FC(F)(F)C1CCN([C@@H]2CCc3c(Cl)cccc3C2)CC1 ZINC001171035833 1050162274 /nfs/dbraw/zinc/16/22/74/1050162274.db2.gz AKYQUWNWGCQMCN-CYBMUJFWSA-N 0 3 317.782 4.472 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccn(C2CCCC2)n1 ZINC000770475384 1050163657 /nfs/dbraw/zinc/16/36/57/1050163657.db2.gz LJEDRSFAMOXMHG-UHFFFAOYSA-N 0 3 322.412 4.167 20 0 DIADHN c1c2cccnc2oc1CN[C@@H](c1ccccc1)C1CCOCC1 ZINC000823876044 1050163971 /nfs/dbraw/zinc/16/39/71/1050163971.db2.gz JZOJMEUEMBIWPK-IBGZPJMESA-N 0 3 322.408 4.085 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000282597953 1050167495 /nfs/dbraw/zinc/16/74/95/1050167495.db2.gz JMDNJVYCKCQGOS-HIFRSBDPSA-N 0 3 319.449 4.213 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1ccnc(Cl)c1F ZINC000823966512 1050170126 /nfs/dbraw/zinc/17/01/26/1050170126.db2.gz RYGJSAUWGBMMHF-NWDGAFQWSA-N 0 3 302.846 4.028 20 0 DIADHN C[C@@H](CNCc1ccnc(Cl)c1F)c1ccc(F)cc1F ZINC000823944231 1050172696 /nfs/dbraw/zinc/17/26/96/1050172696.db2.gz UFXVMOQUUHOLEB-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCCc2occc2C1 ZINC000573403555 1050175035 /nfs/dbraw/zinc/17/50/35/1050175035.db2.gz XVJHOXRXCJDGQA-LBPRGKRZSA-N 0 3 307.340 4.390 20 0 DIADHN Cc1noc(C)c1CCCNCc1cc(Cl)sc1Cl ZINC000824002829 1050176394 /nfs/dbraw/zinc/17/63/94/1050176394.db2.gz WOKTVPWKXOJBRO-UHFFFAOYSA-N 0 3 319.257 4.382 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1ccc(F)c2Br)c1ccc[nH]1 ZINC000824050834 1050177729 /nfs/dbraw/zinc/17/77/29/1050177729.db2.gz LZVSMARPGXTWAU-OTYXRUKQSA-N 0 3 323.209 4.254 20 0 DIADHN CCN(Cc1ccncc1)Cc1cc(Cl)cc2cccnc21 ZINC000348083486 1050179532 /nfs/dbraw/zinc/17/95/32/1050179532.db2.gz YJZZEWIXHNQAEW-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](CCO)c2ccccc2)cc1F ZINC000348089537 1050179824 /nfs/dbraw/zinc/17/98/24/1050179824.db2.gz VKDAJFGQQMNPGE-DYVFJYSZSA-N 0 3 319.445 4.322 20 0 DIADHN CCCCCN1CCN([C@H]2CCc3c(Cl)cccc3C2)CC1 ZINC001171047830 1050183357 /nfs/dbraw/zinc/18/33/57/1050183357.db2.gz AUKYMPVQXAOIKI-KRWDZBQOSA-N 0 3 320.908 4.005 20 0 DIADHN Fc1ccc2c(c1)CN([C@@H]1CCc3c(Cl)cccc3C1)C2 ZINC001171047907 1050183799 /nfs/dbraw/zinc/18/37/99/1050183799.db2.gz BLACETVWFYTJHS-MRXNPFEDSA-N 0 3 301.792 4.352 20 0 DIADHN CCCCCN1CCN([C@@H]2CCc3c(Cl)cccc3C2)CC1 ZINC001171047833 1050184072 /nfs/dbraw/zinc/18/40/72/1050184072.db2.gz AUKYMPVQXAOIKI-QGZVFWFLSA-N 0 3 320.908 4.005 20 0 DIADHN Cc1nc2c(s1)CN([C@@H]1CCc3c(Cl)cccc3C1)CC2 ZINC001171048678 1050187746 /nfs/dbraw/zinc/18/77/46/1050187746.db2.gz CNMWJTBYXQGTIX-CYBMUJFWSA-N 0 3 318.873 4.021 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)N[C@@H](C)c1c(F)cccc1F ZINC000378867332 1050189724 /nfs/dbraw/zinc/18/97/24/1050189724.db2.gz DYSXQWRXHANXBY-STQMWFEESA-N 0 3 305.368 4.342 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc2c(c1)CCC(C)(C)O2 ZINC000770750968 1050191924 /nfs/dbraw/zinc/19/19/24/1050191924.db2.gz QJHDWLINHWAUCL-OAHLLOKOSA-N 0 3 310.441 4.344 20 0 DIADHN CC[C@@H](NCc1ccc(-n2ccnc2)cc1)c1ccccc1OC ZINC000378883942 1050192014 /nfs/dbraw/zinc/19/20/14/1050192014.db2.gz GSXIHQGUHIXTRM-LJQANCHMSA-N 0 3 321.424 4.122 20 0 DIADHN CC(C)N(Cc1cc(Br)c(F)cc1F)C(C)C ZINC001136849236 1050194624 /nfs/dbraw/zinc/19/46/24/1050194624.db2.gz CPEOSMWXKJAOOC-UHFFFAOYSA-N 0 3 306.194 4.346 20 0 DIADHN Cc1cc(CNCc2cccc(OCC(C)C)c2)ccc1F ZINC000591695681 1050197416 /nfs/dbraw/zinc/19/74/16/1050197416.db2.gz NLSXOUKEKCPKHW-UHFFFAOYSA-N 0 3 301.405 4.459 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3ccnc(Cl)c3F)C2)c1 ZINC000824326295 1050199283 /nfs/dbraw/zinc/19/92/83/1050199283.db2.gz ALQSCSVFSYCBHE-MQMHXKEQSA-N 0 3 308.759 4.049 20 0 DIADHN COc1cccc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)c1 ZINC000591708996 1050199553 /nfs/dbraw/zinc/19/95/53/1050199553.db2.gz DHVUAOUGLSVZNO-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN Cc1ccn2c(CN3CCC(Cc4ccccc4)CC3)cnc2c1 ZINC001136884093 1050213195 /nfs/dbraw/zinc/21/31/95/1050213195.db2.gz UQBAPGYVZSVLLB-UHFFFAOYSA-N 0 3 319.452 4.097 20 0 DIADHN Cc1cnn(C)c1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC000573573736 1050215894 /nfs/dbraw/zinc/21/58/94/1050215894.db2.gz KQLNZVLPXXWIAX-UHFFFAOYSA-N 0 3 315.436 4.203 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c(F)cccc32)cnc1Cl ZINC000824468521 1050217582 /nfs/dbraw/zinc/21/75/82/1050217582.db2.gz KVLMYUNSCQRYNV-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c(F)cccc32)cnc1Cl ZINC000824468520 1050217928 /nfs/dbraw/zinc/21/79/28/1050217928.db2.gz KVLMYUNSCQRYNV-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN Cc1ccn2c(CN3CCC(c4ccc(F)cc4)CC3)cnc2c1 ZINC001136889385 1050218303 /nfs/dbraw/zinc/21/83/03/1050218303.db2.gz VLVSFCOKLGWRTN-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN CC(C)(C)[C@@H]1CC[C@H]1NCc1nccn1CCc1ccccc1 ZINC000573588486 1050218820 /nfs/dbraw/zinc/21/88/20/1050218820.db2.gz TYSISYGVZLCVFO-QZTJIDSGSA-N 0 3 311.473 4.040 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3cc(C)ccn23)cc1 ZINC001136888585 1050220065 /nfs/dbraw/zinc/22/00/65/1050220065.db2.gz AUKFFPVCLJZVRW-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1ccn2c(CN3CCCC[C@@H]3c3ccccc3)cnc2c1 ZINC001136885316 1050221357 /nfs/dbraw/zinc/22/13/57/1050221357.db2.gz PJLLSMRDOFMIBA-LJQANCHMSA-N 0 3 305.425 4.370 20 0 DIADHN Clc1cc(Cl)c2c(c1)CC[C@@H](NCc1nccs1)C2 ZINC001171059337 1050223896 /nfs/dbraw/zinc/22/38/96/1050223896.db2.gz OJCLGOXUNYBXEX-LLVKDONJSA-N 0 3 313.253 4.097 20 0 DIADHN CC(C)(C)OC(=O)Nc1ncc(CNCC2=CCCCC2)s1 ZINC000824537811 1050224774 /nfs/dbraw/zinc/22/47/74/1050224774.db2.gz ICPRVHFMOSJWEG-UHFFFAOYSA-N 0 3 323.462 4.080 20 0 DIADHN CCCn1nccc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000824627382 1050227980 /nfs/dbraw/zinc/22/79/80/1050227980.db2.gz MBFJXOOYRJXTLK-UHFFFAOYSA-N 0 3 322.456 4.154 20 0 DIADHN CSc1ccc(CN2CCCO[C@@H](c3ccccc3)C2)cc1 ZINC000591811861 1050228475 /nfs/dbraw/zinc/22/84/75/1050228475.db2.gz WMSRJRUCCGSUSD-LJQANCHMSA-N 0 3 313.466 4.372 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCCO[C@@H](c2ccccc2)C1 ZINC000591814223 1050230440 /nfs/dbraw/zinc/23/04/40/1050230440.db2.gz MTMMTSZOIXTPJD-YZGWKJHDSA-N 0 3 317.473 4.045 20 0 DIADHN C[C@]1(CNCc2cnc(C3CCCC3)s2)CC1(Cl)Cl ZINC000824764550 1050241342 /nfs/dbraw/zinc/24/13/42/1050241342.db2.gz BJVHRAQBGAHHME-CYBMUJFWSA-N 0 3 319.301 4.474 20 0 DIADHN C[C@@]1(CN[C@@H]2CCc3cc(F)c(F)c(F)c32)CC1(Cl)Cl ZINC000824780505 1050243404 /nfs/dbraw/zinc/24/34/04/1050243404.db2.gz QUNJTOALRJIJDV-RNCFNFMXSA-N 0 3 324.173 4.265 20 0 DIADHN FC1(c2ccccn2)CCN(Cc2ccc3ncccc3c2)CC1 ZINC000573792143 1050256089 /nfs/dbraw/zinc/25/60/89/1050256089.db2.gz LYJJMRFUPDDNGD-UHFFFAOYSA-N 0 3 321.399 4.091 20 0 DIADHN Cc1ccc2c(CN3CCOC[C@@H]3C3CCCCC3)c[nH]c2c1 ZINC001136975443 1050261281 /nfs/dbraw/zinc/26/12/81/1050261281.db2.gz GNTYQQDCGHCDQZ-HXUWFJFHSA-N 0 3 312.457 4.257 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@]2(C)CC2(Cl)Cl)c(C)s1 ZINC000824968720 1050263173 /nfs/dbraw/zinc/26/31/73/1050263173.db2.gz DKDQMSPVPGAUGT-PRHODGIISA-N 0 3 307.290 4.385 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@H]2c2ccccc2Cl)co1 ZINC000825057472 1050270493 /nfs/dbraw/zinc/27/04/93/1050270493.db2.gz PBZACHDMUTUINW-GOEBONIOSA-N 0 3 304.821 4.316 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)co1 ZINC000825061102 1050270749 /nfs/dbraw/zinc/27/07/49/1050270749.db2.gz ACTQHNFSNAYECV-HIFRSBDPSA-N 0 3 304.821 4.316 20 0 DIADHN COC(=O)c1ccc(CNC2(c3cccc(C)c3)CCC2)s1 ZINC000592147032 1050272056 /nfs/dbraw/zinc/27/20/56/1050272056.db2.gz YWTKYEWHIRIHKX-UHFFFAOYSA-N 0 3 315.438 4.012 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136987450 1050273440 /nfs/dbraw/zinc/27/34/40/1050273440.db2.gz YDKURHJZERWAAS-HNNXBMFYSA-N 0 3 322.383 4.012 20 0 DIADHN C[C@@H](CNCc1csc(COc2ccccc2)n1)C(C)(C)C ZINC000734510757 1050281000 /nfs/dbraw/zinc/28/10/00/1050281000.db2.gz ZDALNIGPIQFMPZ-AWEZNQCLSA-N 0 3 318.486 4.494 20 0 DIADHN Fc1ccc(CN(Cc2ccc(Cl)nc2)CC2CC2)cc1 ZINC000734639900 1050295422 /nfs/dbraw/zinc/29/54/22/1050295422.db2.gz OUUVDBOKQDYZHW-UHFFFAOYSA-N 0 3 304.796 4.286 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@@H](CC(F)(F)F)C2)cc1F ZINC000573962033 1050297142 /nfs/dbraw/zinc/29/71/42/1050297142.db2.gz ZKEGLERWXSDWAF-ISUDXETCSA-N 0 3 305.290 4.252 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)COC3)cc1 ZINC000771934031 1050299869 /nfs/dbraw/zinc/29/98/69/1050299869.db2.gz SJWNCUQVXAZWII-FQEVSTJZSA-N 0 3 309.409 4.063 20 0 DIADHN CC(C)c1ccc(CNCc2ccc(N(C)C)c(F)c2)cc1 ZINC000058475167 1050304158 /nfs/dbraw/zinc/30/41/58/1050304158.db2.gz SJVVYAPNMGIEQD-UHFFFAOYSA-N 0 3 300.421 4.305 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C(F)=C\C1CCCCC1 ZINC000825441840 1050305229 /nfs/dbraw/zinc/30/52/29/1050305229.db2.gz XXWDJAYSYZIPCU-FOWTUZBSSA-N 0 3 304.409 4.120 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1ccc2[nH]cnc2c1 ZINC001137045475 1050311636 /nfs/dbraw/zinc/31/16/36/1050311636.db2.gz KFBRCZKIWKYCCV-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1ccc2nc[nH]c2c1 ZINC001137045475 1050311654 /nfs/dbraw/zinc/31/16/54/1050311654.db2.gz KFBRCZKIWKYCCV-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN CC(C)(C)c1ccc([C@H](O)CNCc2cc(F)ccc2F)cc1 ZINC000734849211 1050327929 /nfs/dbraw/zinc/32/79/29/1050327929.db2.gz ZTJHYLGBCVPWFV-GOSISDBHSA-N 0 3 319.395 4.086 20 0 DIADHN COc1cccc(F)c1CN[C@H]1CCc2cc(Cl)ccc2C1 ZINC001171095997 1050330772 /nfs/dbraw/zinc/33/07/72/1050330772.db2.gz SLAJSSBSDHNHAO-HNNXBMFYSA-N 0 3 319.807 4.135 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@H](c3ccccn3)C2)c1 ZINC001143677301 1050333611 /nfs/dbraw/zinc/33/36/11/1050333611.db2.gz XISGZOVYVXBPQG-AWEZNQCLSA-N 0 3 320.358 4.398 20 0 DIADHN COC1(C)CCN(Cc2cc(C)ccc2C(F)(F)F)CC1 ZINC001143672569 1050334171 /nfs/dbraw/zinc/33/41/71/1050334171.db2.gz LJYWWLCCIVSFIB-UHFFFAOYSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CCC3(CCCO3)CC2)c1 ZINC001143681180 1050335250 /nfs/dbraw/zinc/33/52/50/1050335250.db2.gz URSCWFLBOJDYHP-UHFFFAOYSA-N 0 3 313.363 4.159 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@]3(CC3(F)F)C2)c1 ZINC001143681353 1050336217 /nfs/dbraw/zinc/33/62/17/1050336217.db2.gz ZGYLRGYHAIKETA-ZDUSSCGKSA-N 0 3 305.290 4.245 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1Cl)[C@H]1CC[C@H](O)CC1 ZINC001143710778 1050342131 /nfs/dbraw/zinc/34/21/31/1050342131.db2.gz YPULCBUTFDBOMU-JOCQHMNTSA-N 0 3 321.770 4.094 20 0 DIADHN OCCC1CCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000592809323 1050342813 /nfs/dbraw/zinc/34/28/13/1050342813.db2.gz KKEVKZGCEVJPMQ-UHFFFAOYSA-N 0 3 311.425 4.073 20 0 DIADHN CC[C@@H](OCCN1CC[C@H](C(F)(F)F)C1)c1ccccc1 ZINC000844314699 1050391429 /nfs/dbraw/zinc/39/14/29/1050391429.db2.gz UFANBDUFTPXNDH-LSDHHAIUSA-N 0 3 301.352 4.039 20 0 DIADHN COc1ccc(CN2CCCCC23CC3)cc1OC(F)(F)F ZINC001143878965 1050391994 /nfs/dbraw/zinc/39/19/94/1050391994.db2.gz QXXLPWQSYSVSCH-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN CC[C@H](OCCN1CC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC000844314696 1050397729 /nfs/dbraw/zinc/39/77/29/1050397729.db2.gz UFANBDUFTPXNDH-CABCVRRESA-N 0 3 301.352 4.039 20 0 DIADHN Cc1c(CN(C)C[C@H]2CCCCO2)cccc1C(F)(F)F ZINC001143924042 1050409397 /nfs/dbraw/zinc/40/93/97/1050409397.db2.gz BYIIVCPJWOJICX-CQSZACIVSA-N 0 3 301.352 4.015 20 0 DIADHN COc1cccc2cc(CNCCOC3CCCCCC3)oc21 ZINC000735678682 1050420448 /nfs/dbraw/zinc/42/04/48/1050420448.db2.gz YLZHWIBYPLNXEM-UHFFFAOYSA-N 0 3 317.429 4.270 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCC[C@@]3(CC3(F)F)C2)c1C ZINC000844433060 1050423680 /nfs/dbraw/zinc/42/36/80/1050423680.db2.gz DBGSZAVAPVABKA-GOSISDBHSA-N 0 3 321.411 4.436 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@@H]1CCc3ccccc31)CCO2 ZINC000178464166 1050426429 /nfs/dbraw/zinc/42/64/29/1050426429.db2.gz DLLBZYWFUDLMRS-MOPGFXCFSA-N 0 3 309.409 4.186 20 0 DIADHN CC(C)(C)OC(=O)c1ncccc1Nc1ccnc(C2CC2)c1 ZINC001210960123 1050433249 /nfs/dbraw/zinc/43/32/49/1050433249.db2.gz KAVCWPYSKORYDD-UHFFFAOYSA-N 0 3 311.385 4.053 20 0 DIADHN CN1CCc2ccc(Nc3ccccc3N3CCCCC3)cc2C1 ZINC001210963363 1050434298 /nfs/dbraw/zinc/43/42/98/1050434298.db2.gz IQXLTSOZZARFQI-UHFFFAOYSA-N 0 3 321.468 4.408 20 0 DIADHN CSC1(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)CCC1 ZINC000593697012 1050434992 /nfs/dbraw/zinc/43/49/92/1050434992.db2.gz JUXPZCYLXPIFLA-BZSNNMDCSA-N 0 3 317.498 4.267 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cc(Cl)nc3ccccc13)C2 ZINC000844468462 1050435148 /nfs/dbraw/zinc/43/51/48/1050435148.db2.gz OESCFRUNSNALDI-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN C[C@H](N[C@H]1CCN(C(=O)OC(C)(C)C)C1)c1ccccc1Cl ZINC000226370412 1050437467 /nfs/dbraw/zinc/43/74/67/1050437467.db2.gz KNJNFNFIQAVJOM-STQMWFEESA-N 0 3 324.852 4.000 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1ccc(F)cc1F)C1CCCC1 ZINC001171133405 1050453037 /nfs/dbraw/zinc/45/30/37/1050453037.db2.gz KLQGIPMFIUVZAT-QZTJIDSGSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1C[C@H](c2ccc(C)cc2)C[C@H]1C ZINC000786126179 1050456236 /nfs/dbraw/zinc/45/62/36/1050456236.db2.gz PZJYIUXQOCSHOW-CZUORRHYSA-N 0 3 317.864 4.068 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1C[C@H](c2ccc(C)cc2)C[C@@H]1C ZINC000786126181 1050456534 /nfs/dbraw/zinc/45/65/34/1050456534.db2.gz PZJYIUXQOCSHOW-XJKSGUPXSA-N 0 3 317.864 4.068 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CC[C@@H](O)C[C@H]3C)c2)cc1 ZINC001144090689 1050458816 /nfs/dbraw/zinc/45/88/16/1050458816.db2.gz OKBLVCSDVMAXHW-SJLPKXTDSA-N 0 3 311.425 4.133 20 0 DIADHN O=C(OCC1CCN(Cc2ccco2)CC1)C1CCCCCC1 ZINC000772683244 1050469342 /nfs/dbraw/zinc/46/93/42/1050469342.db2.gz DYJYWRSYFIUDMY-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN C[C@@H](CNCc1coc(-c2ccccc2)n1)Cc1ccccc1 ZINC000574458824 1050477485 /nfs/dbraw/zinc/47/74/85/1050477485.db2.gz XVFQSBNWVKJUIY-MRXNPFEDSA-N 0 3 306.409 4.310 20 0 DIADHN CCc1cc(CN2CCC[C@@H]2c2ccncc2)cc(CC)c1O ZINC001144147974 1050480299 /nfs/dbraw/zinc/48/02/99/1050480299.db2.gz JSNWTHUOHJULBO-LJQANCHMSA-N 0 3 310.441 4.249 20 0 DIADHN CSc1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1F ZINC001211114376 1050480655 /nfs/dbraw/zinc/48/06/55/1050480655.db2.gz PXBJEMMUSZDGIT-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN CC1(C)C[C@H](N[C@@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000669524267 1050486843 /nfs/dbraw/zinc/48/68/43/1050486843.db2.gz KVJVZRYHSVIOTI-GOEBONIOSA-N 0 3 305.418 4.134 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1n[nH]c2ccc(F)cc21 ZINC001144192228 1050490081 /nfs/dbraw/zinc/49/00/81/1050490081.db2.gz AROBPHHTRWOEHP-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1[nH]nc2ccc(F)cc21 ZINC001144192228 1050490088 /nfs/dbraw/zinc/49/00/88/1050490088.db2.gz AROBPHHTRWOEHP-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Cl)c(Cl)cc2C1)c1ccno1 ZINC001171150764 1050495449 /nfs/dbraw/zinc/49/54/49/1050495449.db2.gz SXHWLLUKAOGKJU-SKDRFNHKSA-N 0 3 311.212 4.190 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Cl)c(Cl)cc2C1)c1ccno1 ZINC001171150756 1050495489 /nfs/dbraw/zinc/49/54/89/1050495489.db2.gz SXHWLLUKAOGKJU-BXKDBHETSA-N 0 3 311.212 4.190 20 0 DIADHN COc1cc(CN2CC3CCC(CC3)C2)ccc1Br ZINC001144235048 1050512432 /nfs/dbraw/zinc/51/24/32/1050512432.db2.gz YFJOHDVTZSIYJC-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN FC(F)(F)C1CCN(Cc2ccc(-n3cccc3)cc2)CC1 ZINC001144239471 1050515041 /nfs/dbraw/zinc/51/50/41/1050515041.db2.gz ZMXLDYVFJYEYHZ-UHFFFAOYSA-N 0 3 308.347 4.252 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(-n3cccc3)cc2)c1 ZINC001144246687 1050516812 /nfs/dbraw/zinc/51/68/12/1050516812.db2.gz FOGXIKFPHVWRGP-UHFFFAOYSA-N 0 3 306.409 4.118 20 0 DIADHN CC(C)(c1noc([C@@H]2CC[C@H](C3CC3)N2)n1)C1CCCCC1 ZINC000844915641 1050519241 /nfs/dbraw/zinc/51/92/41/1050519241.db2.gz HCNMJHWCZWTORP-CABCVRRESA-N 0 3 303.450 4.131 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@@H](N[C@H](C)c1ccsc1)CCC2 ZINC000594504719 1050520761 /nfs/dbraw/zinc/52/07/61/1050520761.db2.gz ULZDJHNVDCPAKF-PXAZEXFGSA-N 0 3 315.438 4.263 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@H](N[C@H](C)c1ccsc1)CCC2 ZINC000594504721 1050521316 /nfs/dbraw/zinc/52/13/16/1050521316.db2.gz ULZDJHNVDCPAKF-SJKOYZFVSA-N 0 3 315.438 4.263 20 0 DIADHN C[C@H](NCC[C@H]1CCc2ccccc2N1)c1cccnc1Cl ZINC000844925493 1050522297 /nfs/dbraw/zinc/52/22/97/1050522297.db2.gz QOQTYINDMIDHQF-DZGCQCFKSA-N 0 3 315.848 4.203 20 0 DIADHN C[C@@H](NCC[C@@H]1CCc2ccccc2N1)c1cccnc1Cl ZINC000844925494 1050522490 /nfs/dbraw/zinc/52/24/90/1050522490.db2.gz QOQTYINDMIDHQF-HIFRSBDPSA-N 0 3 315.848 4.203 20 0 DIADHN CSCc1cnc(CN[C@H](C)C2CCC(F)(F)CC2)s1 ZINC000594519301 1050528105 /nfs/dbraw/zinc/52/81/05/1050528105.db2.gz JOAGKPYZKJIACW-SNVBAGLBSA-N 0 3 320.474 4.310 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(Cl)c1)[C@@H](O)c1cccc(F)c1 ZINC000786924177 1050528869 /nfs/dbraw/zinc/52/88/69/1050528869.db2.gz XBWIWWJAHQUEJA-FEUHOPSXSA-N 0 3 313.825 4.313 20 0 DIADHN CSCc1cnc(CN[C@@H](C)C2CCC(F)(F)CC2)s1 ZINC000594519300 1050529170 /nfs/dbraw/zinc/52/91/70/1050529170.db2.gz JOAGKPYZKJIACW-JTQLQIEISA-N 0 3 320.474 4.310 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2cccnc2Cl)C1 ZINC000738313032 1050530515 /nfs/dbraw/zinc/53/05/15/1050530515.db2.gz UGIJTXWFPXWISU-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cn2nccc2-c2cccnc2)CC1 ZINC000738242483 1050530772 /nfs/dbraw/zinc/53/07/72/1050530772.db2.gz XMKKVJJLIYBRSG-KRWDZBQOSA-N 0 3 312.461 4.051 20 0 DIADHN Brc1ccc(CN2CC[C@H](C3CCC3)C2)s1 ZINC001171156442 1050531152 /nfs/dbraw/zinc/53/11/52/1050531152.db2.gz LUASRYXVZRPFMF-NSHDSACASA-N 0 3 300.265 4.133 20 0 DIADHN CN1CCc2ccc(Nc3ccc(Cl)nc3Cl)cc2C1 ZINC001211279781 1050533643 /nfs/dbraw/zinc/53/36/43/1050533643.db2.gz KDYMXCVNGMGFIG-UHFFFAOYSA-N 0 3 308.212 4.120 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2cccnc2Cl)c1C ZINC000787002214 1050537128 /nfs/dbraw/zinc/53/71/28/1050537128.db2.gz UGBLJVFAHAKRCV-HNNXBMFYSA-N 0 3 318.848 4.472 20 0 DIADHN FC1CCN([C@H]2CCc3cc(Cl)c(Cl)cc3C2)CC1 ZINC001171159511 1050542572 /nfs/dbraw/zinc/54/25/72/1050542572.db2.gz XZJINHQBECXHTG-ZDUSSCGKSA-N 0 3 302.220 4.285 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2cc(F)cc(Cl)c2)c(C)o1 ZINC000787036264 1050542190 /nfs/dbraw/zinc/54/21/90/1050542190.db2.gz PGXKEAJVDNOSFV-HWPZZCPQSA-N 0 3 311.784 4.073 20 0 DIADHN COc1cccc(CN(Cc2ccc(F)nc2C)CC(C)C)c1 ZINC000787102616 1050553954 /nfs/dbraw/zinc/55/39/54/1050553954.db2.gz UPQCMXAUOVYMKO-UHFFFAOYSA-N 0 3 316.420 4.196 20 0 DIADHN FC1(CCNCc2c(Cl)nc3cc(Cl)ccn32)CCC1 ZINC000808428178 1050555197 /nfs/dbraw/zinc/55/51/97/1050555197.db2.gz AYXSTIDQJBPQRK-UHFFFAOYSA-N 0 3 316.207 4.013 20 0 DIADHN c1ncc(CS[C@@H]2CCCN(Cc3ccccc3)C2)s1 ZINC000594914487 1050561517 /nfs/dbraw/zinc/56/15/17/1050561517.db2.gz UGHNUWIMFFFKHK-OAHLLOKOSA-N 0 3 304.484 4.041 20 0 DIADHN Cc1nc(F)ccc1CN(Cc1ccc(F)cc1)CC1CC1 ZINC000787175186 1050565659 /nfs/dbraw/zinc/56/56/59/1050565659.db2.gz VUKREESEVUXONY-UHFFFAOYSA-N 0 3 302.368 4.080 20 0 DIADHN CC[C@@H](C)[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000574844639 1050568883 /nfs/dbraw/zinc/56/88/83/1050568883.db2.gz QBRPOIOVOBBJGE-SJKOYZFVSA-N 0 3 304.821 4.318 20 0 DIADHN Cc1nc2ccc(Nc3ccc(Br)cc3O)cc2[nH]1 ZINC001213073325 1050574605 /nfs/dbraw/zinc/57/46/05/1050574605.db2.gz MUAKLPFGLWWWNW-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN Cc1nc2ccc(Nc3cnc4c(ccc(F)c4F)c3)cc2[nH]1 ZINC001213072382 1050577498 /nfs/dbraw/zinc/57/74/98/1050577498.db2.gz QLRSGIZTYUVGAH-UHFFFAOYSA-N 0 3 310.307 4.441 20 0 DIADHN Cc1nc2ccc(Nc3cnc4cc(F)c(F)cc4c3)cc2[nH]1 ZINC001213072315 1050577967 /nfs/dbraw/zinc/57/79/67/1050577967.db2.gz NCTMUNIWSXESHM-UHFFFAOYSA-N 0 3 310.307 4.441 20 0 DIADHN COC(=O)c1ccc(Cl)c(Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213073218 1050578001 /nfs/dbraw/zinc/57/80/01/1050578001.db2.gz JQFXCPRAFJRJJA-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN CCOC(=O)CCc1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC001213076106 1050579600 /nfs/dbraw/zinc/57/96/00/1050579600.db2.gz FYTDWUKGJGVQIW-UHFFFAOYSA-N 0 3 323.396 4.111 20 0 DIADHN Cc1nc2ccc(Nc3cc(C(F)(F)F)c(F)cc3N)cc2[nH]1 ZINC001213075234 1050580066 /nfs/dbraw/zinc/58/00/66/1050580066.db2.gz KKCRPPIDYZBGTM-UHFFFAOYSA-N 0 3 324.281 4.355 20 0 DIADHN COc1cnc2c(cccc2Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213076049 1050581414 /nfs/dbraw/zinc/58/14/14/1050581414.db2.gz CGIIECVJXDXFFK-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN CC(C)CCC[C@H](C)NCc1cn(Cc2ccc(F)cc2)cn1 ZINC001170071271 1050583035 /nfs/dbraw/zinc/58/30/35/1050583035.db2.gz MKTIGNTUQPEGLQ-INIZCTEOSA-N 0 3 317.452 4.375 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)nc1 ZINC000787264060 1050583331 /nfs/dbraw/zinc/58/33/31/1050583331.db2.gz YMLHZNDIONYXNN-OAHLLOKOSA-N 0 3 309.388 4.082 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1ccc(F)nc1C ZINC000787266521 1050583861 /nfs/dbraw/zinc/58/38/61/1050583861.db2.gz DUDKNMMSKYPNAT-YVEFUNNKSA-N 0 3 322.811 4.048 20 0 DIADHN CSCc1cnc(CN[C@@H]2CC[C@@H]2c2ccccc2)s1 ZINC000594993640 1050591095 /nfs/dbraw/zinc/59/10/95/1050591095.db2.gz DXMBVHIIVAYMOY-HUUCEWRRSA-N 0 3 304.484 4.042 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000787322073 1050592607 /nfs/dbraw/zinc/59/26/07/1050592607.db2.gz MXPFEGPLDAHNFS-QWHCGFSZSA-N 0 3 321.770 4.036 20 0 DIADHN Cc1ccc2oc(CNC[C@@H](O)c3cccc(Cl)c3)cc2c1 ZINC000740004591 1050595412 /nfs/dbraw/zinc/59/54/12/1050595412.db2.gz SCLUEFDMNVZFTL-QGZVFWFLSA-N 0 3 315.800 4.218 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cc(Cl)cc(OC)c1 ZINC001144489201 1050599826 /nfs/dbraw/zinc/59/98/26/1050599826.db2.gz CSMZXCACHYLJEG-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3C[C@@H]32)cc1OCc1ccccc1 ZINC001144499615 1050602790 /nfs/dbraw/zinc/60/27/90/1050602790.db2.gz PGJVKWWKQGWERM-MOPGFXCFSA-N 0 3 323.436 4.259 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)NC(=O)C3(C)C)ccn1 ZINC001213168263 1050605257 /nfs/dbraw/zinc/60/52/57/1050605257.db2.gz BNBOIZOARPMUEG-UHFFFAOYSA-N 0 3 309.413 4.352 20 0 DIADHN CC(C)(C)c1cc(Nc2ccnc(F)c2Br)ccn1 ZINC001213164152 1050605785 /nfs/dbraw/zinc/60/57/85/1050605785.db2.gz JPTHOZXEWPBNDU-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN COc1cc(OC)c(OC)cc1Nc1ccnc(C(C)(C)C)c1 ZINC001213168398 1050608283 /nfs/dbraw/zinc/60/82/83/1050608283.db2.gz GVSVMAZHMFDMLN-UHFFFAOYSA-N 0 3 316.401 4.149 20 0 DIADHN Cc1ccc(CCN(C)Cc2cccnc2C(F)(F)F)cc1 ZINC001144531214 1050608912 /nfs/dbraw/zinc/60/89/12/1050608912.db2.gz QRPUUAIJDJATIH-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CN1CCc2ccc(Nc3ccc(F)c(Cl)c3F)cc2C1 ZINC001213207851 1050610356 /nfs/dbraw/zinc/61/03/56/1050610356.db2.gz OFBMFHJPIQEENU-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cccnc1C(F)(F)F ZINC001144538817 1050610388 /nfs/dbraw/zinc/61/03/88/1050610388.db2.gz RHHLLDJAXQIMJE-QHHAFSJGSA-N 0 3 321.346 4.031 20 0 DIADHN Clc1ccc2c(n1)[C@H](NCCOC1CCCCCC1)CC2 ZINC000787763304 1050618928 /nfs/dbraw/zinc/61/89/28/1050618928.db2.gz KSNDMXQVSXPUEJ-OAHLLOKOSA-N 0 3 308.853 4.051 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(C)nc(Cl)c2)C1 ZINC000787772211 1050622536 /nfs/dbraw/zinc/62/25/36/1050622536.db2.gz ZIHZYNNMQMWZED-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CC1=C[C@H](C)C[C@@H](CNCc2coc(-c3cccs3)n2)C1 ZINC000574964434 1050623094 /nfs/dbraw/zinc/62/30/94/1050623094.db2.gz IRAWLOKNTHMFGC-GXTWGEPZSA-N 0 3 302.443 4.485 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000575031084 1050626037 /nfs/dbraw/zinc/62/60/37/1050626037.db2.gz AXFRDSWMIRUZPM-IPELMVKDSA-N 0 3 310.441 4.215 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cn1cc(Cl)cn1 ZINC000746710595 1050628920 /nfs/dbraw/zinc/62/89/20/1050628920.db2.gz FTKSYWOODPIFIC-SJORKVTESA-N 0 3 303.837 4.152 20 0 DIADHN CCCCCCC(=O)N1CCCN(Cc2ccccc2)C[C@@H]1C ZINC000740801468 1050630993 /nfs/dbraw/zinc/63/09/93/1050630993.db2.gz BXCXQYLRMKVXCI-SFHVURJKSA-N 0 3 316.489 4.080 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC000179501303 1050632481 /nfs/dbraw/zinc/63/24/81/1050632481.db2.gz LEFSOVMGRDDPBD-UHFFFAOYSA-N 0 3 303.377 4.087 20 0 DIADHN CCCN(Cc1noc2c1CCCC2)[C@H]1CCc2ccccc2C1 ZINC000595564160 1050639782 /nfs/dbraw/zinc/63/97/82/1050639782.db2.gz JGHGGVKVOSZIHB-SFHVURJKSA-N 0 3 324.468 4.323 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN(C)[C@@H]1C=CCCC1 ZINC000595564167 1050640398 /nfs/dbraw/zinc/64/03/98/1050640398.db2.gz KSKWMRHQGZICJH-GOSISDBHSA-N 0 3 309.457 4.338 20 0 DIADHN Cc1nc(F)ccc1CN(C)[C@@H](C)CSc1ccccc1 ZINC000845999595 1050646293 /nfs/dbraw/zinc/64/62/93/1050646293.db2.gz DTGJDAGBEZJSRC-ZDUSSCGKSA-N 0 3 304.434 4.142 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000575202628 1050648017 /nfs/dbraw/zinc/64/80/17/1050648017.db2.gz SDDMWONVGFFXLH-HNAYVOBHSA-N 0 3 300.421 4.088 20 0 DIADHN C[C@@H](NCc1cc(-c2cccnc2)n[nH]1)c1ccc(C2CC2)cc1 ZINC000669574710 1050655217 /nfs/dbraw/zinc/65/52/17/1050655217.db2.gz JUPSUTOESVPTID-CQSZACIVSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC000741139131 1050661482 /nfs/dbraw/zinc/66/14/82/1050661482.db2.gz BVYFRXJGWXBJAZ-HOTGVXAUSA-N 0 3 323.440 4.242 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@@]3(CC3(F)F)C2)c1 ZINC000846109327 1050664685 /nfs/dbraw/zinc/66/46/85/1050664685.db2.gz UQXDOBRNBLPDSR-QGZVFWFLSA-N 0 3 317.383 4.006 20 0 DIADHN CCc1cccc(CNCc2ccc3c(c2)COC(C)(C)O3)c1 ZINC000846100092 1050669385 /nfs/dbraw/zinc/66/93/85/1050669385.db2.gz GXKDNUNWDMXTBO-UHFFFAOYSA-N 0 3 311.425 4.184 20 0 DIADHN C[C@H](CN1CCC[C@@]2(CC2(F)F)C1)c1cc(F)cc(F)c1 ZINC000846105987 1050670222 /nfs/dbraw/zinc/67/02/22/1050670222.db2.gz ZZLOAMOMUPRBMR-IAQYHMDHSA-N 0 3 301.327 4.190 20 0 DIADHN CCCCC[C@@H](NC(=O)c1cccc(CN(C)C)c1)C(C)(C)C ZINC000741287570 1050671422 /nfs/dbraw/zinc/67/14/22/1050671422.db2.gz VEBRXFYVERDCAS-GOSISDBHSA-N 0 3 318.505 4.473 20 0 DIADHN Cc1ncc(C2CC2)cc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001213501273 1050673745 /nfs/dbraw/zinc/67/37/45/1050673745.db2.gz QDZMQXMSGMIQQX-LJQANCHMSA-N 0 3 323.440 4.396 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc(Br)nc2)c1 ZINC000846208000 1050686196 /nfs/dbraw/zinc/68/61/96/1050686196.db2.gz DTTPRKNMNZZHID-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN C[C@]1(CCN[C@@H](c2ccccc2)c2ccccn2)C[C@]1(F)Cl ZINC000846185131 1050689146 /nfs/dbraw/zinc/68/91/46/1050689146.db2.gz PLUUQXDEMSPGPW-OKZBNKHCSA-N 0 3 318.823 4.465 20 0 DIADHN Clc1cccc2c1[C@H](NCCCc1ccccc1)COC2 ZINC000788498652 1050691957 /nfs/dbraw/zinc/69/19/57/1050691957.db2.gz OOUQESIHVOQNRJ-QGZVFWFLSA-N 0 3 301.817 4.134 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2ccc3scnc3c2)C1 ZINC001144737100 1050692070 /nfs/dbraw/zinc/69/20/70/1050692070.db2.gz NAZIQKCLHNRWMU-INIZCTEOSA-N 0 3 324.449 4.258 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)C1CCCCCCC1 ZINC000741534396 1050698324 /nfs/dbraw/zinc/69/83/24/1050698324.db2.gz KWRYIHSAFKZKNV-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN CC(C)c1cnc(CN[C@H](C(C)C)[C@H](O)c2ccccc2)s1 ZINC000788541356 1050700092 /nfs/dbraw/zinc/70/00/92/1050700092.db2.gz WCOLFWUYQKUUCY-QZTJIDSGSA-N 0 3 318.486 4.114 20 0 DIADHN CCC[C@H](C)OC(=O)C1(C)CCN(Cc2ccccc2)CC1 ZINC000772722094 1050707091 /nfs/dbraw/zinc/70/70/91/1050707091.db2.gz BUCFMGUVBKDOHS-INIZCTEOSA-N 0 3 303.446 4.021 20 0 DIADHN Fc1cc(F)cc(CCN[C@@H]2COCc3cccc(Cl)c32)c1 ZINC000788581379 1050708965 /nfs/dbraw/zinc/70/89/65/1050708965.db2.gz ADHDHXABJSSFEA-MRXNPFEDSA-N 0 3 323.770 4.022 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@@H]2CCc3ccc(Cl)nc32)c1 ZINC000788606931 1050713467 /nfs/dbraw/zinc/71/34/67/1050713467.db2.gz ATMYBVHXDYNSQW-CZUORRHYSA-N 0 3 316.832 4.088 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)c1cc[nH]c(=O)c1 ZINC000788643239 1050721743 /nfs/dbraw/zinc/72/17/43/1050721743.db2.gz PBXPDDSEIHIQFS-VLIAUNLRSA-N 0 3 322.383 4.367 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788652575 1050722421 /nfs/dbraw/zinc/72/24/21/1050722421.db2.gz RPZWXSPPRQZCRC-OUAUKWLOSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)c1ccc(F)cc1)c1cc[nH]c(=O)c1 ZINC000788643236 1050723288 /nfs/dbraw/zinc/72/32/88/1050723288.db2.gz PBXPDDSEIHIQFS-JLTOFOAXSA-N 0 3 322.383 4.367 20 0 DIADHN Cc1ccsc1CCN[C@H]1COCc2cccc(Cl)c21 ZINC000788687055 1050725993 /nfs/dbraw/zinc/72/59/93/1050725993.db2.gz APHFLOZLSHOZGB-AWEZNQCLSA-N 0 3 307.846 4.114 20 0 DIADHN C[C@@H](N[C@H]1CCCOc2cc(Cl)ccc21)c1cc[nH]c(=O)c1 ZINC000788691951 1050726732 /nfs/dbraw/zinc/72/67/32/1050726732.db2.gz NYNASSCQRKNCCB-ABAIWWIYSA-N 0 3 318.804 4.005 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)cc1Cl)c1ccccn1 ZINC000180091931 1050732808 /nfs/dbraw/zinc/73/28/08/1050732808.db2.gz SAQUURHNPMHBSL-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CCN(CCCOc1c(C)cccc1C)Cc1occc1C ZINC001171239920 1050738025 /nfs/dbraw/zinc/73/80/25/1050738025.db2.gz HDDVXGJPZSXBLP-UHFFFAOYSA-N 0 3 301.430 4.496 20 0 DIADHN Cc1sc(CNCc2cccs2)cc1Br ZINC000227775494 1050738117 /nfs/dbraw/zinc/73/81/17/1050738117.db2.gz KKJVTEKFXAZIBY-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1ccccc1[C@H](O)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000741774320 1050738749 /nfs/dbraw/zinc/73/87/49/1050738749.db2.gz FEMBDILVSYYXQD-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN Cc1ccccc1[C@@H](O)CN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000741778079 1050738939 /nfs/dbraw/zinc/73/89/39/1050738939.db2.gz UKAIBBNKHZZJQE-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(Cl)nc2)C12CCCCC2 ZINC000741809363 1050745330 /nfs/dbraw/zinc/74/53/30/1050745330.db2.gz HFYJDXDPWFIMLG-CVEARBPZSA-N 0 3 322.880 4.295 20 0 DIADHN C[C@@H](NC[C@@H]1CC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788802795 1050748939 /nfs/dbraw/zinc/74/89/39/1050748939.db2.gz FNRMOTJRJGBBIQ-GMTAPVOTSA-N 0 3 315.230 4.070 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788802813 1050749547 /nfs/dbraw/zinc/74/95/47/1050749547.db2.gz FNRMOTJRJGBBIQ-OUAUKWLOSA-N 0 3 315.230 4.070 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H](C)C[C@@H](O)c1ccco1 ZINC000788968195 1050767103 /nfs/dbraw/zinc/76/71/03/1050767103.db2.gz WJGCCXWXLDIIJK-MLGOLLRUSA-N 0 3 307.821 4.097 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H](C)C[C@@H](O)c1ccco1 ZINC000788968193 1050767763 /nfs/dbraw/zinc/76/77/63/1050767763.db2.gz WJGCCXWXLDIIJK-BLLLJJGKSA-N 0 3 307.821 4.097 20 0 DIADHN Clc1ccc([C@H](NCc2ccc3nonc3c2)C2CC2)cc1 ZINC000846841106 1050769234 /nfs/dbraw/zinc/76/92/34/1050769234.db2.gz OEUCKINWKWQHAE-QGZVFWFLSA-N 0 3 313.788 4.117 20 0 DIADHN Cc1nsc(C)c1CN[C@H](c1ccccc1)C1CCOCC1 ZINC000846847072 1050773386 /nfs/dbraw/zinc/77/33/86/1050773386.db2.gz XNUNIWZONJWPIE-GOSISDBHSA-N 0 3 316.470 4.017 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1cnc(Cl)c(F)c1 ZINC000846995317 1050794427 /nfs/dbraw/zinc/79/44/27/1050794427.db2.gz ZOJHNVVBROMZMS-NSHDSACASA-N 0 3 310.775 4.076 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@]2(CC2(F)F)C1 ZINC000847014777 1050800318 /nfs/dbraw/zinc/80/03/18/1050800318.db2.gz TVJGIHRYHCICBT-KRWDZBQOSA-N 0 3 309.400 4.440 20 0 DIADHN Cc1n[nH]cc1[C@H](C)NCCOc1ccccc1-c1ccccc1 ZINC000180294266 1050801708 /nfs/dbraw/zinc/80/17/08/1050801708.db2.gz JENTYQLLLWCTPM-HNNXBMFYSA-N 0 3 321.424 4.115 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cn2c3ccccc3oc2=O)c1C ZINC000742153607 1050807474 /nfs/dbraw/zinc/80/74/74/1050807474.db2.gz VSSZBVVFAOQHPG-QGZVFWFLSA-N 0 3 322.408 4.006 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc2c(c1)CCO2 ZINC000772961677 1050809162 /nfs/dbraw/zinc/80/91/62/1050809162.db2.gz RTLQPCKMKQEGDT-KUHUBIRLSA-N 0 3 323.436 4.358 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cn1cccnc1=S ZINC000742177448 1050809973 /nfs/dbraw/zinc/80/99/73/1050809973.db2.gz HMUYYGXHDHUUBW-KRWDZBQOSA-N 0 3 315.486 4.222 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2ccccc2C(F)(F)F)O1 ZINC000789318729 1050813856 /nfs/dbraw/zinc/81/38/56/1050813856.db2.gz KPAMYQIWMRZGNL-IOASZLSFSA-N 0 3 313.363 4.410 20 0 DIADHN CCCOc1cc(F)ccc1Nc1ccccc1CN(C)C ZINC001214083907 1050814193 /nfs/dbraw/zinc/81/41/93/1050814193.db2.gz JUIFKZIKNYPUBN-UHFFFAOYSA-N 0 3 302.393 4.420 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H](O)c1ccc(CC)cc1 ZINC000789358771 1050814183 /nfs/dbraw/zinc/81/41/83/1050814183.db2.gz SSUMZPJBVQLAFN-KXBFYZLASA-N 0 3 313.441 4.032 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC(c3nc(C(C)(C)C)cs3)CC2)O1 ZINC000789316268 1050814609 /nfs/dbraw/zinc/81/46/09/1050814609.db2.gz IWCXGYZWBRMRIS-UKRRQHHQSA-N 0 3 322.518 4.188 20 0 DIADHN Cc1ccc(-c2csc(CN[C@@H](C(C)C)C(C)(C)O)n2)cc1 ZINC001170104989 1050821943 /nfs/dbraw/zinc/82/19/43/1050821943.db2.gz LAMZPQTWQVYKQO-KRWDZBQOSA-N 0 3 318.486 4.004 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3c(c2)CCO3)cc1Cl ZINC000742368656 1050822036 /nfs/dbraw/zinc/82/20/36/1050822036.db2.gz KHMICYLQEQXSKA-GFCCVEGCSA-N 0 3 317.816 4.134 20 0 DIADHN CC(C)N(C(=O)C[C@@H](N)c1cccc(Cl)c1Cl)C(C)C ZINC001157458593 1050855681 /nfs/dbraw/zinc/85/56/81/1050855681.db2.gz KHBLJXBUFNUWPE-CYBMUJFWSA-N 0 3 317.260 4.029 20 0 DIADHN C[C@@H](NCc1cc2ccccc2o1)c1cccc(-n2ccnc2)c1 ZINC000742391887 1050829464 /nfs/dbraw/zinc/82/94/64/1050829464.db2.gz MZMDQCNGALUAOR-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000742392803 1050830312 /nfs/dbraw/zinc/83/03/12/1050830312.db2.gz SJBCSWNLEUVJSY-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN FC(F)SCc1ccc(CN[C@@H]2C[C@H]2Cc2ccccc2)o1 ZINC000742374820 1050835806 /nfs/dbraw/zinc/83/58/06/1050835806.db2.gz HHVUPZVQGNTPPN-CZUORRHYSA-N 0 3 323.408 4.456 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H](C)Oc1cccc(F)c1 ZINC000742379980 1050839486 /nfs/dbraw/zinc/83/94/86/1050839486.db2.gz JAGAJJQUMQARGC-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@H](N[C@@H](CN1CCCCC1)c1ccccc1)c1cccc(O)c1 ZINC000669614496 1050857985 /nfs/dbraw/zinc/85/79/85/1050857985.db2.gz KAAGCXYZXABBAE-UWJYYQICSA-N 0 3 324.468 4.270 20 0 DIADHN CCCCC[C@@H](C)CC(=O)NC[C@H](c1ccccc1)N(C)C ZINC000742474096 1050862895 /nfs/dbraw/zinc/86/28/95/1050862895.db2.gz SNJMECJPQJLZPA-SJLPKXTDSA-N 0 3 304.478 4.012 20 0 DIADHN COc1ccc(C)cc1CN[C@H]1CCCc2c(OC)cccc21 ZINC000669615910 1050865444 /nfs/dbraw/zinc/86/54/44/1050865444.db2.gz WRXYRMGYSLYMDB-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1c(F)cccc1F)[C@@H](O)c1ccccc1 ZINC001170119105 1050878244 /nfs/dbraw/zinc/87/82/44/1050878244.db2.gz UIZUEDYOACEWEV-AGRHKRQWSA-N 0 3 319.395 4.374 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2coc(-c3c(F)cccc3F)n2)C[C@H]1C ZINC001171305606 1050879488 /nfs/dbraw/zinc/87/94/88/1050879488.db2.gz CUVOCKAEACJXJU-IJLUTSLNSA-N 0 3 306.356 4.096 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2cccc3c2OCCO3)cc1C ZINC000772981605 1050880594 /nfs/dbraw/zinc/88/05/94/1050880594.db2.gz FXPNKXCGZNEZJY-INIZCTEOSA-N 0 3 311.425 4.234 20 0 DIADHN Cc1ccc(C(=O)N(c2ccccc2)C2CCN(C)CC2)cc1C ZINC000601956210 1050881536 /nfs/dbraw/zinc/88/15/36/1050881536.db2.gz KWKAXWCJBTVFOE-UHFFFAOYSA-N 0 3 322.452 4.044 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cccc2c1OCCO2 ZINC000772983110 1050900542 /nfs/dbraw/zinc/90/05/42/1050900542.db2.gz JVEPWAFJLUAYNJ-YOEHRIQHSA-N 0 3 309.409 4.104 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1[C@@H]1CC[C@@H](C)C1 ZINC001170124681 1050902199 /nfs/dbraw/zinc/90/21/99/1050902199.db2.gz HDCYHUSFRHPDKC-OLMNPRSZSA-N 0 3 314.473 4.285 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC[C@H](CC(F)(F)F)C1)CC2 ZINC001171319024 1050916860 /nfs/dbraw/zinc/91/68/60/1050916860.db2.gz FJQPIRBKVJJCRX-ABAIWWIYSA-N 0 3 301.327 4.477 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)C3(C)CC3)cs2)cc1 ZINC000742724864 1050927308 /nfs/dbraw/zinc/92/73/08/1050927308.db2.gz DQYFPNAEGOOFTD-GFCCVEGCSA-N 0 3 302.443 4.097 20 0 DIADHN CC[C@H](C(=O)N(C)[C@H](C)c1ccc(-c2ccccc2)cc1)N(C)C ZINC001148294811 1050931612 /nfs/dbraw/zinc/93/16/12/1050931612.db2.gz OEMZJBAFCSHDKH-OXQOHEQNSA-N 0 3 324.468 4.213 20 0 DIADHN Fc1ccc(OC(F)F)c(CN2CC[C@@H](C3CCCC3)C2)c1 ZINC001171332851 1050951785 /nfs/dbraw/zinc/95/17/85/1050951785.db2.gz TYVKIFBSJJBZMH-CYBMUJFWSA-N 0 3 313.363 4.439 20 0 DIADHN CS[C@@H]1CC[C@@H](NCc2c(F)cccc2OC(F)(F)F)C1 ZINC000790549748 1050970507 /nfs/dbraw/zinc/97/05/07/1050970507.db2.gz ZTYDZMGQSFLSCE-NXEZZACHSA-N 0 3 323.355 4.098 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@@H](O)c1cccc(Cl)c1 ZINC000743250966 1051004681 /nfs/dbraw/zinc/00/46/81/1051004681.db2.gz JYEBMZZWVDHSRG-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccsc1)c1cccc(Cl)c1 ZINC000743260763 1051008199 /nfs/dbraw/zinc/00/81/99/1051008199.db2.gz IJTGXKMNXQNSID-JKSUJKDBSA-N 0 3 307.846 4.272 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(N(C)C(C)C)nc2)s1 ZINC000790956164 1051009263 /nfs/dbraw/zinc/00/92/63/1051009263.db2.gz PBAZEEUBTFKWHR-CQSZACIVSA-N 0 3 303.475 4.147 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1cccc(F)c1)c1cccc(Cl)c1 ZINC000743262250 1051010983 /nfs/dbraw/zinc/01/09/83/1051010983.db2.gz SDIUMBDEZSBSKQ-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@@H](N1CCC[C@H]1c1cccnc1)CC2 ZINC001171361103 1051013440 /nfs/dbraw/zinc/01/34/40/1051013440.db2.gz SXHDVVHNRUQEJB-LPHOPBHVSA-N 0 3 314.379 4.054 20 0 DIADHN CCC[C@@H]1CC(=O)N(CN[C@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000743352297 1051015119 /nfs/dbraw/zinc/01/51/19/1051015119.db2.gz WPSXIILWIJDBRY-RDTXWAMCSA-N 0 3 320.452 4.109 20 0 DIADHN CCCCN(CN1C(=O)C[C@@H]1c1ccc(Cl)cc1)[C@@H](C)CC ZINC000743354409 1051016188 /nfs/dbraw/zinc/01/61/88/1051016188.db2.gz PDVGAVVIGHQMCI-WMLDXEAASA-N 0 3 322.880 4.472 20 0 DIADHN CCCCN(CN1C(=O)[C@H](C)[C@H]1c1ccccc1)[C@@H](C)CC ZINC000743353565 1051016233 /nfs/dbraw/zinc/01/62/33/1051016233.db2.gz DTVGSAVELHFAEM-JZXOWHBKSA-N 0 3 302.462 4.064 20 0 DIADHN C[C@@H](C[C@@H](O)c1cccs1)NCc1ccsc1Cl ZINC000790980377 1051018142 /nfs/dbraw/zinc/01/81/42/1051018142.db2.gz MYWMRPCFPVSPJR-GXSJLCMTSA-N 0 3 301.864 4.065 20 0 DIADHN CCCCN(CN1C(=O)[C@H](C)[C@H]1c1ccccc1)[C@H](C)CC ZINC000743353567 1051018377 /nfs/dbraw/zinc/01/83/77/1051018377.db2.gz DTVGSAVELHFAEM-NUJGCVRESA-N 0 3 302.462 4.064 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1Cl)c1cc2ccccc2o1 ZINC000773009921 1051021197 /nfs/dbraw/zinc/02/11/97/1051021197.db2.gz FDKNHRHNJMIKCF-BLLLJJGKSA-N 0 3 315.800 4.470 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1Cl)c1cccc(F)c1 ZINC000773018499 1051023008 /nfs/dbraw/zinc/02/30/08/1051023008.db2.gz WKNHIYZMODENBN-BONVTDFDSA-N 0 3 311.759 4.002 20 0 DIADHN OCC[C@@H](N[C@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000773018210 1051023261 /nfs/dbraw/zinc/02/32/61/1051023261.db2.gz VRMYZQKWMCEXPS-MNOVXSKESA-N 0 3 320.285 4.183 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@@H](O)c1ccccc1Cl ZINC000773015701 1051024825 /nfs/dbraw/zinc/02/48/25/1051024825.db2.gz WHMLDQCNVAVZAI-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN O[C@H](CN1CCC=C(c2ccccc2)C1)c1cccc(Cl)c1 ZINC001170148517 1051028754 /nfs/dbraw/zinc/02/87/54/1051028754.db2.gz IYWYRKXVLABSIN-LJQANCHMSA-N 0 3 313.828 4.163 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)[C@H]1Oc2ccccc2[C@@H]1C ZINC000773043222 1051033375 /nfs/dbraw/zinc/03/33/75/1051033375.db2.gz MQPMKCGCERLXNJ-JRATXPSKSA-N 0 3 316.832 4.339 20 0 DIADHN CC[C@@H](C)NCc1c(C)nn(-c2cccc(Cl)c2)c1Cl ZINC000743503759 1051036485 /nfs/dbraw/zinc/03/64/85/1051036485.db2.gz SOIRYAXMEOTKDM-SNVBAGLBSA-N 0 3 312.244 4.376 20 0 DIADHN CC[C@H](C)NCc1c(C)nn(Cc2ccc(C)cc2)c1Cl ZINC000743503707 1051037069 /nfs/dbraw/zinc/03/70/69/1051037069.db2.gz HKYHPCWIJSOYJH-ZDUSSCGKSA-N 0 3 305.853 4.090 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000773045287 1051037830 /nfs/dbraw/zinc/03/78/30/1051037830.db2.gz IAQCUGAZZDQHJJ-FJNPEDAXSA-N 0 3 323.358 4.267 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](CC)Oc1cccc(C)c1 ZINC000773049611 1051039805 /nfs/dbraw/zinc/03/98/05/1051039805.db2.gz SWLNQLDGQJTKAU-GOEBONIOSA-N 0 3 316.445 4.362 20 0 DIADHN Cc1ccc(CNCc2ccc(Oc3cnccn3)cc2)cc1F ZINC000743494869 1051039819 /nfs/dbraw/zinc/03/98/19/1051039819.db2.gz QSVNOUUDZCVECH-UHFFFAOYSA-N 0 3 323.371 4.006 20 0 DIADHN COCCc1nc(C)c([C@H](C)NCc2c(C)cccc2C)s1 ZINC000773067778 1051044897 /nfs/dbraw/zinc/04/48/97/1051044897.db2.gz KTNZPOHYUZQHLX-AWEZNQCLSA-N 0 3 318.486 4.108 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1c(C)cccc1C)CCCO2 ZINC000773067889 1051047766 /nfs/dbraw/zinc/04/77/66/1051047766.db2.gz LOUYANWZOSIAKS-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC000773071200 1051049906 /nfs/dbraw/zinc/04/99/06/1051049906.db2.gz RMSOSRXKFATZGX-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C)nc2C)cc1Cl ZINC000773069282 1051051190 /nfs/dbraw/zinc/05/11/90/1051051190.db2.gz RJYKWDYPXMBMSU-LBPRGKRZSA-N 0 3 304.821 4.211 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@@H](N1CCC[C@@H]1c1ccccn1)CC2 ZINC001171378977 1051052767 /nfs/dbraw/zinc/05/27/67/1051052767.db2.gz AJKKRGGHKUPMEX-HNAYVOBHSA-N 0 3 314.379 4.054 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cc(Br)cc2F)c1ccc[nH]1 ZINC000773114933 1051065152 /nfs/dbraw/zinc/06/51/52/1051065152.db2.gz DHTSNIZLIKCBEJ-RFAUZJTJSA-N 0 3 323.209 4.254 20 0 DIADHN C[C@@H](NCCOc1ccccc1Cl)c1ccc(Cl)nc1 ZINC000773101118 1051065726 /nfs/dbraw/zinc/06/57/26/1051065726.db2.gz XDJBZINAEHXCCY-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc[nH]2)ccc1OC(F)F ZINC000773115558 1051065907 /nfs/dbraw/zinc/06/59/07/1051065907.db2.gz GFNSSDBGTJVLPB-MNOVXSKESA-N 0 3 310.344 4.037 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Br)ccc21)c1ccc[nH]1 ZINC000773116285 1051067228 /nfs/dbraw/zinc/06/72/28/1051067228.db2.gz IRCRERRFLGBYDO-BMIGLBTASA-N 0 3 305.219 4.115 20 0 DIADHN CC[C@@H](NC[C@](C)(O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000773116164 1051067634 /nfs/dbraw/zinc/06/76/34/1051067634.db2.gz DMRIFKLABAYHSM-MSOLQXFVSA-N 0 3 323.358 4.052 20 0 DIADHN CCCCN(CCCC)Cc1nnc(-c2cccc(F)c2)o1 ZINC000743836580 1051068931 /nfs/dbraw/zinc/06/89/31/1051068931.db2.gz ZBQUBSLDFPYVIT-UHFFFAOYSA-N 0 3 305.397 4.278 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cccc(Cl)n3)C2)c(C)c1 ZINC000791605992 1051069317 /nfs/dbraw/zinc/06/93/17/1051069317.db2.gz OPVBXUGFKAMKBE-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(OC(F)(F)F)cc21)c1ccc[nH]1 ZINC000773125260 1051069279 /nfs/dbraw/zinc/06/92/79/1051069279.db2.gz YWJNKYIFTMPNLV-BONVTDFDSA-N 0 3 310.319 4.251 20 0 DIADHN CCN(Cc1nnc(-c2cccc(F)c2)o1)C1CCCCC1 ZINC000743840974 1051069371 /nfs/dbraw/zinc/06/93/71/1051069371.db2.gz XZTZMKWDSXDSPB-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccc[nH]1 ZINC000773118881 1051069724 /nfs/dbraw/zinc/06/97/24/1051069724.db2.gz NRPCLFUQANRUEL-RYUDHWBXSA-N 0 3 312.335 4.368 20 0 DIADHN CCOc1ccccc1OCCN1CCc2ccccc2[C@@H]1C ZINC000743847310 1051071356 /nfs/dbraw/zinc/07/13/56/1051071356.db2.gz GZQXGUPVVSAXKA-INIZCTEOSA-N 0 3 311.425 4.083 20 0 DIADHN CC[C@@H](NC[C@@](C)(O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000773122979 1051071924 /nfs/dbraw/zinc/07/19/24/1051071924.db2.gz XNHLQSUUASAEDQ-QZTJIDSGSA-N 0 3 323.358 4.052 20 0 DIADHN C[C@@H](CSC(C)(C)C)NCc1cccc(OC(C)(C)C)n1 ZINC000773134130 1051072782 /nfs/dbraw/zinc/07/27/82/1051072782.db2.gz VXSLTMUDGYKIIF-ZDUSSCGKSA-N 0 3 310.507 4.269 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cccc(Cl)n2)C12CCCCC2 ZINC000743850702 1051073591 /nfs/dbraw/zinc/07/35/91/1051073591.db2.gz SCCZWXFLGSTFAB-HZPDHXFCSA-N 0 3 322.880 4.295 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cccc(Cl)n1 ZINC000743850877 1051073618 /nfs/dbraw/zinc/07/36/18/1051073618.db2.gz DQVPSOAZZRSRBB-TZMCWYRMSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000743851079 1051073717 /nfs/dbraw/zinc/07/37/17/1051073717.db2.gz IYWDBMMWDFSVLF-CABCVRRESA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccccc1[C@H]1CN(CCc2cccs2)[C@H](C)CO1 ZINC000743851681 1051074985 /nfs/dbraw/zinc/07/49/85/1051074985.db2.gz DABDUADZRQPDNW-CRAIPNDOSA-N 0 3 301.455 4.061 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(C[C@@H](O)c2ccccc2F)C1 ZINC000743856138 1051080736 /nfs/dbraw/zinc/08/07/36/1051080736.db2.gz HDJKCYDYLQYAOW-UPRAQXHNSA-N 0 3 317.379 4.081 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCC2(CCCC2)C1 ZINC000743864541 1051083030 /nfs/dbraw/zinc/08/30/30/1051083030.db2.gz JWRPVGHFBKWXTR-INIZCTEOSA-N 0 3 314.473 4.403 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2C[C@@H](O)c2ccccc2F)o1 ZINC000743872576 1051085000 /nfs/dbraw/zinc/08/50/00/1051085000.db2.gz ZADASZYKBZSTNL-DOPJRALCSA-N 0 3 317.404 4.234 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2C[C@H](O)c2ccccc2F)o1 ZINC000743872578 1051085205 /nfs/dbraw/zinc/08/52/05/1051085205.db2.gz ZADASZYKBZSTNL-KKXDTOCCSA-N 0 3 317.404 4.234 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2C[C@@H](O)c2cccc(F)c2)o1 ZINC000743871298 1051086066 /nfs/dbraw/zinc/08/60/66/1051086066.db2.gz ASVXIDDLAMMKNW-DOPJRALCSA-N 0 3 317.404 4.234 20 0 DIADHN Fc1cccc2c1CC[C@@H](NCc1cc(-c3ccccc3)on1)C2 ZINC001171404829 1051091720 /nfs/dbraw/zinc/09/17/20/1051091720.db2.gz MQJRRJDJLHHMFE-MRXNPFEDSA-N 0 3 322.383 4.128 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2ncc(Br)s2)C1 ZINC000714945669 1051098295 /nfs/dbraw/zinc/09/82/95/1051098295.db2.gz KSZZVCYQWGNRPH-MNOVXSKESA-N 0 3 317.296 4.210 20 0 DIADHN Cc1ccnc(CN2CCC[C@H]2COc2ccc(Cl)cc2)c1 ZINC000792196646 1051127162 /nfs/dbraw/zinc/12/71/62/1051127162.db2.gz QOLRVVBSXRPLGS-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN COCOc1ccc(Nc2ccnc(C3CC3)c2)cc1Cl ZINC001215257688 1051137832 /nfs/dbraw/zinc/13/78/32/1051137832.db2.gz OFOGSNYDCPRMJD-UHFFFAOYSA-N 0 3 304.777 4.339 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(NCC2(c3ccccc3)CC2)nc1 ZINC001171460897 1051142308 /nfs/dbraw/zinc/14/23/08/1051142308.db2.gz FXTVZBPJCYTCRP-LJQANCHMSA-N 0 3 321.468 4.382 20 0 DIADHN CC[C@H]1C[C@H](NC2c3ccccc3Oc3ccccc32)CCO1 ZINC001170181907 1051145601 /nfs/dbraw/zinc/14/56/01/1051145601.db2.gz KOGNSRCMJGGACO-CABCVRRESA-N 0 3 309.409 4.429 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1CCc2cc(F)c(F)cc2C1 ZINC001171496682 1051146093 /nfs/dbraw/zinc/14/60/93/1051146093.db2.gz QFURQKXLIGGOSI-CQSZACIVSA-N 0 3 323.333 4.232 20 0 DIADHN CN(CCNC1(c2ccccc2Cl)CC1)c1ccccc1 ZINC001171470153 1051147624 /nfs/dbraw/zinc/14/76/24/1051147624.db2.gz KGSWTDFSSJAGHI-UHFFFAOYSA-N 0 3 300.833 4.055 20 0 DIADHN Cc1ccccc1C1(NCc2c[nH]c(=O)c3ccccc23)CC1 ZINC001171471805 1051148397 /nfs/dbraw/zinc/14/83/97/1051148397.db2.gz VSFWNUUKCIIWAF-UHFFFAOYSA-N 0 3 304.393 4.028 20 0 DIADHN CC(C)n1ccc(CN2CCC[C@@](C)(c3ccccc3)CC2)n1 ZINC001170195959 1051153707 /nfs/dbraw/zinc/15/37/07/1051153707.db2.gz XNOHMSICBWOZDA-HXUWFJFHSA-N 0 3 311.473 4.408 20 0 DIADHN CCN(Cc1ccnc(N)c1)C(c1ccccc1)c1ccccc1 ZINC001171500867 1051154203 /nfs/dbraw/zinc/15/42/03/1051154203.db2.gz DYEFLFVXVWMCMS-UHFFFAOYSA-N 0 3 317.436 4.275 20 0 DIADHN CCN(C(=O)CN(C)CCC(C)(C)C)[C@@H](C)c1ccc(F)cc1 ZINC001171523462 1051159742 /nfs/dbraw/zinc/15/97/42/1051159742.db2.gz PCIRFCPFTBYQRP-HNNXBMFYSA-N 0 3 322.468 4.103 20 0 DIADHN Cc1cccc2c1CC[C@@H](n1c3ccccc3nc1[C@H](C)N)C2 ZINC001171550687 1051163152 /nfs/dbraw/zinc/16/31/52/1051163152.db2.gz YREPZQPVQMHTGG-GOEBONIOSA-N 0 3 305.425 4.094 20 0 DIADHN CCc1ccc(N2CCN(CC3=CCCC3)CC2)cc1Cl ZINC001170230589 1051163723 /nfs/dbraw/zinc/16/37/23/1051163723.db2.gz FXLOEHBKUCJYNU-UHFFFAOYSA-N 0 3 304.865 4.135 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@@H]1COc2ccc(F)cc21 ZINC001170256789 1051164151 /nfs/dbraw/zinc/16/41/51/1051164151.db2.gz NEPFXDBALHWONC-MEDUHNTESA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cccc2c1CC[C@@H](NCc1c(F)cc(F)cc1F)C2 ZINC001171553445 1051164709 /nfs/dbraw/zinc/16/47/09/1051164709.db2.gz QRXPZIJMQPIUQO-CQSZACIVSA-N 0 3 305.343 4.059 20 0 DIADHN Cc1cccc2c1CC[C@@H](NCc1c(Cl)cncc1Cl)C2 ZINC001171555903 1051165179 /nfs/dbraw/zinc/16/51/79/1051165179.db2.gz DBYDKQBYOPSYBN-CYBMUJFWSA-N 0 3 321.251 4.344 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1noc2ccccc12 ZINC001170259462 1051165585 /nfs/dbraw/zinc/16/55/85/1051165585.db2.gz CSRCWPDKSHIOGC-LBPRGKRZSA-N 0 3 300.789 4.202 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1CC[C@H](F)C(F)(F)CC1 ZINC001170265557 1051167624 /nfs/dbraw/zinc/16/76/24/1051167624.db2.gz HWQJZZHNXUMRRE-FZMZJTMJSA-N 0 3 305.771 4.340 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1CC[C@@H](F)C(F)(F)CC1 ZINC001170265561 1051167807 /nfs/dbraw/zinc/16/78/07/1051167807.db2.gz HWQJZZHNXUMRRE-SMDDNHRTSA-N 0 3 305.771 4.340 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@H]1CCc2ccccc2C1=O ZINC001170262765 1051168078 /nfs/dbraw/zinc/16/80/78/1051168078.db2.gz HARDZZQTKUSAND-UGSOOPFHSA-N 0 3 313.828 4.058 20 0 DIADHN CN1CC(CNc2ccc(-c3ccccc3)c3ccccc23)C1 ZINC001170254162 1051173094 /nfs/dbraw/zinc/17/30/94/1051173094.db2.gz LCPCQUKCTJBZNX-UHFFFAOYSA-N 0 3 302.421 4.480 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCC(=O)c1ccc(Cl)cc1 ZINC001170251394 1051173541 /nfs/dbraw/zinc/17/35/41/1051173541.db2.gz ZNZMTYMKDUKVOW-GFCCVEGCSA-N 0 3 322.235 4.397 20 0 DIADHN COc1cccc(OC)c1CN[C@H](C)Cc1ccccc1Cl ZINC001170250567 1051173874 /nfs/dbraw/zinc/17/38/74/1051173874.db2.gz NVAOGGDWLRRRDC-CYBMUJFWSA-N 0 3 319.832 4.078 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(Cl)nc(Cl)c1 ZINC000744426743 1051175046 /nfs/dbraw/zinc/17/50/46/1051175046.db2.gz OQMKWOCYGVRLGO-JTQLQIEISA-N 0 3 307.290 4.352 20 0 DIADHN CC[C@@](C)(NCCOc1ccc(C)cc1)c1nc(C)cs1 ZINC000744424168 1051174880 /nfs/dbraw/zinc/17/48/80/1051174880.db2.gz GODOJCKQZNADHS-QGZVFWFLSA-N 0 3 304.459 4.054 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CC[C@H](C)C3)cs2)cc1 ZINC000744447491 1051178817 /nfs/dbraw/zinc/17/88/17/1051178817.db2.gz KMUMRUXFYBCJCD-JSGCOSHPSA-N 0 3 302.443 4.097 20 0 DIADHN CCN1[C@H](C)CN(Cc2c(Cl)oc3ccccc32)C[C@@H]1C ZINC001171649024 1051181971 /nfs/dbraw/zinc/18/19/71/1051181971.db2.gz XXEPNPGMRXALIH-BETUJISGSA-N 0 3 306.837 4.001 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)CCc1ccc(C)cc1 ZINC001171658770 1051183758 /nfs/dbraw/zinc/18/37/58/1051183758.db2.gz VFVVTLBNFAKXQE-OAHLLOKOSA-N 0 3 301.405 4.254 20 0 DIADHN Cc1ccc(CC[C@H](C)NCC(=O)c2cc(F)ccc2F)cc1 ZINC001171667131 1051189700 /nfs/dbraw/zinc/18/97/00/1051189700.db2.gz UFCWQOWKVTXKBY-AWEZNQCLSA-N 0 3 317.379 4.067 20 0 DIADHN C[C@@H]1CN(Cc2ccc3cnccc3c2)CC2(CCCCC2)O1 ZINC001171635608 1051193949 /nfs/dbraw/zinc/19/39/49/1051193949.db2.gz DERHEYBMVGOCJF-MRXNPFEDSA-N 0 3 310.441 4.158 20 0 DIADHN CC[C@H]1c2ccc(OC)c(OC)c2CCN1CC1=CCCC1 ZINC001171729889 1051202027 /nfs/dbraw/zinc/20/20/27/1051202027.db2.gz OIOHGWYQOLOSNN-KRWDZBQOSA-N 0 3 301.430 4.123 20 0 DIADHN Cc1ccc(CN2CC[C@](C)(c3cccc(F)c3)C2)cc1F ZINC001171773479 1051210238 /nfs/dbraw/zinc/21/02/38/1051210238.db2.gz WWLXEZVYILJEHT-IBGZPJMESA-N 0 3 301.380 4.437 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1ccc(F)c(Cl)c1F)C2 ZINC001171777481 1051213003 /nfs/dbraw/zinc/21/30/03/1051213003.db2.gz QGBXMNQZMPIBJY-NSHDSACASA-N 0 3 311.734 4.014 20 0 DIADHN CC[C@H]1c2ccc(OC)c(OC)c2CCN1[C@H]1C=CCCC1 ZINC001171734418 1051213556 /nfs/dbraw/zinc/21/35/56/1051213556.db2.gz HCERVAYEWVNXEF-YOEHRIQHSA-N 0 3 301.430 4.122 20 0 DIADHN CC[C@H](N[C@@H]1Cc2cccc(F)c2C1)c1c(F)cccc1F ZINC001171790546 1051219037 /nfs/dbraw/zinc/21/90/37/1051219037.db2.gz NPKBAWMEOPOBJS-PXAZEXFGSA-N 0 3 305.343 4.312 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@@H]1C[C@@H](O)c1ccco1 ZINC000792839031 1051219946 /nfs/dbraw/zinc/21/99/46/1051219946.db2.gz JFOFZKJEJPGYGD-CRAIPNDOSA-N 0 3 317.404 4.069 20 0 DIADHN COc1ccc(C)cc1CN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC000792903231 1051225622 /nfs/dbraw/zinc/22/56/22/1051225622.db2.gz JPHGLLYFZKGBBD-CABCVRRESA-N 0 3 314.256 4.019 20 0 DIADHN CCCCOC(=O)[C@H](C)N1CCC[C@]1(CC)Cc1ccccc1 ZINC001171876159 1051228002 /nfs/dbraw/zinc/22/80/02/1051228002.db2.gz UWXIDGRKFPPEMB-FXAWDEMLSA-N 0 3 317.473 4.206 20 0 DIADHN COC(=O)c1csc(CN2CCC3(CCCCC3)CC2)c1 ZINC001171835453 1051230781 /nfs/dbraw/zinc/23/07/81/1051230781.db2.gz ZABLUAVQXACYOY-UHFFFAOYSA-N 0 3 307.459 4.081 20 0 DIADHN CCCN(Cc1cccnc1)Cc1cccc(Br)c1 ZINC001204075970 1051232287 /nfs/dbraw/zinc/23/22/87/1051232287.db2.gz ZZYWNUPJCIVWKJ-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN C[C@H]1C[C@H](CCN(C)CC(=O)N(C)C2CCCCC2)C[C@@H](C)C1 ZINC001171906581 1051239070 /nfs/dbraw/zinc/23/90/70/1051239070.db2.gz MYMMGBMICGJAEF-PIIMJCKOSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)NCC(=O)c1ccccc1 ZINC001171958962 1051242828 /nfs/dbraw/zinc/24/28/28/1051242828.db2.gz MJMCPKVUKFCJBI-CYBMUJFWSA-N 0 3 321.342 4.109 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2ncccc2C1 ZINC001171973469 1051264557 /nfs/dbraw/zinc/26/45/57/1051264557.db2.gz JEXIIOIQMDSDLL-CYBMUJFWSA-N 0 3 320.358 4.090 20 0 DIADHN CCCCCCOc1ccc(C[NH2+]Cc2ncccc2[O-])cc1 ZINC000793256034 1051273700 /nfs/dbraw/zinc/27/37/00/1051273700.db2.gz IYULFLBGILPTDL-UHFFFAOYSA-N 0 3 314.429 4.036 20 0 DIADHN Cc1ccc([C@@H](NC2CC(C(F)F)C2)c2ccccn2)cc1 ZINC000793249113 1051273751 /nfs/dbraw/zinc/27/37/51/1051273751.db2.gz CKMQLWXNNRKERT-VMBOVVBDSA-N 0 3 302.368 4.113 20 0 DIADHN CCCCCCOc1cccc(CN2CC([C@@H]3CCOC3)C2)c1 ZINC000793258902 1051274153 /nfs/dbraw/zinc/27/41/53/1051274153.db2.gz PVRQXJIEGQSYIV-GOSISDBHSA-N 0 3 317.473 4.114 20 0 DIADHN CCCCCCOc1ccc(CN2CC([C@@H]3CCOC3)C2)cc1 ZINC000793255758 1051274310 /nfs/dbraw/zinc/27/43/10/1051274310.db2.gz AARYMYWUKFCFLO-GOSISDBHSA-N 0 3 317.473 4.114 20 0 DIADHN COCCOc1ccccc1Nc1ccnc(C(C)(C)C)c1 ZINC001212635678 1051275542 /nfs/dbraw/zinc/27/55/42/1051275542.db2.gz AMBHRCODTFGLNF-UHFFFAOYSA-N 0 3 300.402 4.148 20 0 DIADHN Cc1cnc(Nc2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001215675669 1051293571 /nfs/dbraw/zinc/29/35/71/1051293571.db2.gz NUMJSVLVMVVFQH-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Oc1ccc(F)c(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215676586 1051293633 /nfs/dbraw/zinc/29/36/33/1051293633.db2.gz FTBBHIKQOJGKJS-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Fc1ccc(F)c(Nc2cccc(CN3CCCCC3)c2F)n1 ZINC001215675701 1051294190 /nfs/dbraw/zinc/29/41/90/1051294190.db2.gz OLZVHFPRQDMIRA-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Fc1ccc(Nc2cccc(CN3CCCCC3)c2F)nc1 ZINC001215676614 1051294387 /nfs/dbraw/zinc/29/43/87/1051294387.db2.gz ZVJSYKALICFHQP-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CC[C@@H](CC(C)C)C3)o2)c1 ZINC000745946908 1051295938 /nfs/dbraw/zinc/29/59/38/1051295938.db2.gz MVIFORAUPLDALI-HOTGVXAUSA-N 0 3 313.445 4.474 20 0 DIADHN Fc1cc(Nc2cccc(CN3CCCCC3)c2F)c(F)cn1 ZINC001215677412 1051295854 /nfs/dbraw/zinc/29/58/54/1051295854.db2.gz ONYHSECMUOWRRJ-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)n(C)n1 ZINC000793525174 1051307303 /nfs/dbraw/zinc/30/73/03/1051307303.db2.gz JGJNLFCRZHLPGE-ACJLOTCBSA-N 0 3 301.409 4.060 20 0 DIADHN Fc1cccc(CN[C@H]2CSc3ccccc3C2)c1Cl ZINC001172188216 1051309050 /nfs/dbraw/zinc/30/90/50/1051309050.db2.gz RCXDYEPRERWLQO-CYBMUJFWSA-N 0 3 307.821 4.286 20 0 DIADHN CC[C@H](NCc1ccnc(N(C)C)c1)c1cccc(Cl)c1 ZINC000746044741 1051309424 /nfs/dbraw/zinc/30/94/24/1051309424.db2.gz FFKALLCHYSZMAZ-INIZCTEOSA-N 0 3 303.837 4.042 20 0 DIADHN Fc1ccc(CN[C@@H]2CSc3ccccc3C2)c(Cl)c1 ZINC001172192313 1051312814 /nfs/dbraw/zinc/31/28/14/1051312814.db2.gz ZGLSQAGCZMEJFE-AWEZNQCLSA-N 0 3 307.821 4.286 20 0 DIADHN Clc1ccc(Cl)c(CNC2C[C@@H]3C=C[C@H](C2)O3)c1Cl ZINC001172237593 1051327443 /nfs/dbraw/zinc/32/74/43/1051327443.db2.gz VQZLHUQNPFCEEK-PBINXNQUSA-N 0 3 318.631 4.222 20 0 DIADHN Fc1ccccc1CCN(Cn1cc(Cl)cn1)C1CCCC1 ZINC000746065910 1051317291 /nfs/dbraw/zinc/31/72/91/1051317291.db2.gz NCFWSVGOAUOBMN-UHFFFAOYSA-N 0 3 321.827 4.120 20 0 DIADHN Fc1cc(Nc2ccc3nccn3c2)ccc1CN1CCCCC1 ZINC001215710303 1051317224 /nfs/dbraw/zinc/31/72/24/1051317224.db2.gz RVENXSPFCPRRTA-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2c3ccccc3CC[C@@H]2C(C)C)n(C)n1 ZINC000793553966 1051317932 /nfs/dbraw/zinc/31/79/32/1051317932.db2.gz OYNPGIXUPNNHLV-XAUMDUMWSA-N 0 3 311.473 4.339 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2c3ccccc3CC[C@H]2C(C)C)n(C)n1 ZINC000793553959 1051318156 /nfs/dbraw/zinc/31/81/56/1051318156.db2.gz OYNPGIXUPNNHLV-KNBMTAEXSA-N 0 3 311.473 4.339 20 0 DIADHN Clc1cccc2c1CN([C@@H]1CSc3ccccc3C1)C2 ZINC001172206423 1051318277 /nfs/dbraw/zinc/31/82/77/1051318277.db2.gz QQTAWUVMIWWUER-AWEZNQCLSA-N 0 3 301.842 4.373 20 0 DIADHN Cc1ncc(Nc2ccc(CN3CCCCC3)c(F)c2)s1 ZINC001215711356 1051318621 /nfs/dbraw/zinc/31/86/21/1051318621.db2.gz PGSFZFVKZSCNJD-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN OCc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)cc1 ZINC001215712671 1051318766 /nfs/dbraw/zinc/31/87/66/1051318766.db2.gz ZDGSUWVTQJSJEE-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN Oc1cccc(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215712290 1051319499 /nfs/dbraw/zinc/31/94/99/1051319499.db2.gz RGXMRSLKTHRPIB-UHFFFAOYSA-N 0 3 300.377 4.261 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C(C)(C)C)n(C)n1 ZINC000793529991 1051321107 /nfs/dbraw/zinc/32/11/07/1051321107.db2.gz VQDUJJHNHRRBLC-GUYCJALGSA-N 0 3 303.425 4.306 20 0 DIADHN CN(Cc1ccc(Cl)cc1Cl)C1C[C@H]2CC[C@@H](C1)O2 ZINC001172226067 1051322562 /nfs/dbraw/zinc/32/25/62/1051322562.db2.gz OMQCSWGSJLNQHN-AGUYFDCRSA-N 0 3 300.229 4.135 20 0 DIADHN Clc1ccc2c(c1)OC[C@@H]2N[C@@H]1CSc2ccccc2C1 ZINC001172196469 1051323647 /nfs/dbraw/zinc/32/36/47/1051323647.db2.gz FVNWTAJQGKDOKI-ZFWWWQNUSA-N 0 3 317.841 4.080 20 0 DIADHN CCN(CC)Cc1ccc(F)c(Nc2cccnc2C(C)=O)c1 ZINC001215725540 1051324761 /nfs/dbraw/zinc/32/47/61/1051324761.db2.gz CGPRXNUYPJBNQV-UHFFFAOYSA-N 0 3 315.392 4.009 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@@H]1CSc3ccccc3C1)CO2 ZINC001172199738 1051326030 /nfs/dbraw/zinc/32/60/30/1051326030.db2.gz XMTNKGJTNFZCDC-DZGCQCFKSA-N 0 3 317.841 4.080 20 0 DIADHN C[C@@H](c1ccco1)N(C)C[C@@H](O)c1cccc(C(F)(F)F)c1 ZINC000746124792 1051326192 /nfs/dbraw/zinc/32/61/92/1051326192.db2.gz XWGQMSGXGPUODZ-SMDDNHRTSA-N 0 3 313.319 4.025 20 0 DIADHN CC(C)(N[C@@H]1COC(C)(C)C1)c1ccc(Cl)cc1Cl ZINC001172276026 1051327080 /nfs/dbraw/zinc/32/70/80/1051327080.db2.gz BTVZYOSQOZVPFK-NSHDSACASA-N 0 3 302.245 4.386 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1cc(-c2ccccc2)no1 ZINC001172318385 1051340459 /nfs/dbraw/zinc/34/04/59/1051340459.db2.gz ITIKZKTUEWMOCH-HUUCEWRRSA-N 0 3 302.418 4.025 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1cnc(Cl)cc1Cl ZINC001172325187 1051343326 /nfs/dbraw/zinc/34/33/26/1051343326.db2.gz RSQBNMRQHWQWKE-QWRGUYRKSA-N 0 3 305.249 4.072 20 0 DIADHN Cc1cn2c(nc(C)c2CNCc2ccc(C(F)F)cc2)s1 ZINC000185170191 1051344154 /nfs/dbraw/zinc/34/41/54/1051344154.db2.gz AECSMUMXPULIDS-UHFFFAOYSA-N 0 3 321.396 4.240 20 0 DIADHN CC1(C)C[C@@H](N2CC[C@@H](c3ccc(Cl)c(Cl)c3)C2)CO1 ZINC001172288042 1051345393 /nfs/dbraw/zinc/34/53/93/1051345393.db2.gz FFZAYNOCAAKUEL-CHWSQXEVSA-N 0 3 314.256 4.350 20 0 DIADHN CCCCCC[C@H](CCC)NCc1nc(C(=O)OC)cs1 ZINC001172379258 1051355516 /nfs/dbraw/zinc/35/55/16/1051355516.db2.gz SMJBXHLWNZSVDU-ZDUSSCGKSA-N 0 3 312.479 4.158 20 0 DIADHN C[C@@H]1CC[C@H](N2CCc3c(cnn3-c3ccccc3)C2)C[C@H]1C ZINC001172375556 1051355928 /nfs/dbraw/zinc/35/59/28/1051355928.db2.gz VZFFLJLSWQDNRW-MDZRGWNJSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1ccsc1Br ZINC001172330760 1051357438 /nfs/dbraw/zinc/35/74/38/1051357438.db2.gz WIUWOPWPSXMURK-GHMZBOCLSA-N 0 3 320.296 4.194 20 0 DIADHN CN1CCc2ccc(Nc3ccc(C4CCOCC4)cc3)cc2C1 ZINC001212656250 1051368228 /nfs/dbraw/zinc/36/82/28/1051368228.db2.gz ZHQZKWTVBAIAAX-UHFFFAOYSA-N 0 3 322.452 4.312 20 0 DIADHN C[C@@H]1CC[C@H](NCC(=O)c2cccc(Br)c2)C[C@H]1C ZINC001172361911 1051373802 /nfs/dbraw/zinc/37/38/02/1051373802.db2.gz OXYOMTBLWBENHP-JMSVASOKSA-N 0 3 324.262 4.046 20 0 DIADHN COCc1ccccc1CN[C@H]1CCSc2c(F)cccc21 ZINC000193894535 1051374183 /nfs/dbraw/zinc/37/41/83/1051374183.db2.gz BIUKUFFDKCICFH-KRWDZBQOSA-N 0 3 317.429 4.299 20 0 DIADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccc(Br)cc2)C[C@@H]1C ZINC001172361737 1051375231 /nfs/dbraw/zinc/37/52/31/1051375231.db2.gz LWFSUQIRZSTYFZ-TYNCELHUSA-N 0 3 324.262 4.046 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@H](C)[C@H](C)C3)co2)cc1 ZINC001172367274 1051378347 /nfs/dbraw/zinc/37/83/47/1051378347.db2.gz LJLNSBJKAGIZCN-SQWLQELKSA-N 0 3 314.429 4.265 20 0 DIADHN Cc1ncc(Nc2ccc(OC(C)C)c(C(F)(F)F)c2)n1C ZINC001215801354 1051378975 /nfs/dbraw/zinc/37/89/75/1051378975.db2.gz ANZPLALQPIKBRX-UHFFFAOYSA-N 0 3 313.323 4.278 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccc(Br)cc1F ZINC000385700174 1051388640 /nfs/dbraw/zinc/38/86/40/1051388640.db2.gz JELRKMOZHCZHIM-WPRPVWTQSA-N 0 3 322.168 4.427 20 0 DIADHN CCCCCC[C@@H](CCC)N1CCN(CC(F)(F)F)CC1 ZINC001172385588 1051389917 /nfs/dbraw/zinc/38/99/17/1051389917.db2.gz HPDRWHQRAZQOAP-OAHLLOKOSA-N 0 3 308.432 4.305 20 0 DIADHN CCCCCC[C@H](CCC)N1CCc2onc(COC)c2C1 ZINC001172387060 1051390983 /nfs/dbraw/zinc/39/09/83/1051390983.db2.gz PCOFUDMEWHKQAM-HNNXBMFYSA-N 0 3 308.466 4.318 20 0 DIADHN CCCCCC[C@@H](CCC)N[C@H]1c2ccccc2CNC1=O ZINC001172391635 1051394805 /nfs/dbraw/zinc/39/48/05/1051394805.db2.gz SAZVODXXEAGCFD-AEFFLSMTSA-N 0 3 302.462 4.086 20 0 DIADHN CC[C@H](CC(C)C)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001172394843 1051396848 /nfs/dbraw/zinc/39/68/48/1051396848.db2.gz GIUQIPMXLQXOHF-CQSZACIVSA-N 0 3 301.352 4.302 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001172470720 1051399809 /nfs/dbraw/zinc/39/98/09/1051399809.db2.gz GQDMESFXQVSJPU-CQSZACIVSA-N 0 3 320.408 4.022 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cc(Br)cs1 ZINC000390367630 1051403634 /nfs/dbraw/zinc/40/36/34/1051403634.db2.gz USUJQQUYQUIWJJ-UHFFFAOYSA-N 0 3 316.186 4.331 20 0 DIADHN CC(C)OCC[C@H](C)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001172456813 1051411519 /nfs/dbraw/zinc/41/15/19/1051411519.db2.gz PNVMXDYYZZAAQK-DOTOQJQBSA-N 0 3 315.461 4.227 20 0 DIADHN CC(C)COCC[C@H](C)N[C@@H](c1ccccc1)c1ccccn1 ZINC001172459980 1051413535 /nfs/dbraw/zinc/41/35/35/1051413535.db2.gz NIKNJCDJZARWPB-PXNSSMCTSA-N 0 3 312.457 4.212 20 0 DIADHN COC(=O)c1cc(Nc2ccnc(C3CCC3)c2)ccc1F ZINC001215853627 1051414209 /nfs/dbraw/zinc/41/42/09/1051414209.db2.gz WKIVIYLXTIWRSB-UHFFFAOYSA-N 0 3 300.333 4.018 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172465940 1051414902 /nfs/dbraw/zinc/41/49/02/1051414902.db2.gz ZDEGBYOPADOOMZ-OAHLLOKOSA-N 0 3 318.486 4.351 20 0 DIADHN C[C@@H](N[C@@H](C)c1cn(-c2ccccc2)nn1)[C@@H](C)c1ccccc1 ZINC000766409828 1051416320 /nfs/dbraw/zinc/41/63/20/1051416320.db2.gz SPZUIWLRQLXCGX-ZACQAIPSSA-N 0 3 320.440 4.110 20 0 DIADHN Cc1nc2ccc(Nc3ccccc3Br)cc2[nH]1 ZINC001213073913 1051419943 /nfs/dbraw/zinc/41/99/43/1051419943.db2.gz ZLXXDTRAKZKCTJ-UHFFFAOYSA-N 0 3 302.175 4.377 20 0 DIADHN CN1CCCC[C@H]1c1ccc(NCCCCc2ccccn2)nc1 ZINC001168792738 1051423676 /nfs/dbraw/zinc/42/36/76/1051423676.db2.gz BOCZUXIIDOBKPJ-IBGZPJMESA-N 0 3 324.472 4.068 20 0 DIADHN Brc1sccc1CNC[C@H]1C[C@H]1C1CCCC1 ZINC001204089029 1051430702 /nfs/dbraw/zinc/43/07/02/1051430702.db2.gz JVMDVRAOMYTROI-OLZOCXBDSA-N 0 3 314.292 4.427 20 0 DIADHN Brc1sccc1CNC[C@@H]1C[C@H]1C1CCCC1 ZINC001204089032 1051430746 /nfs/dbraw/zinc/43/07/46/1051430746.db2.gz JVMDVRAOMYTROI-STQMWFEESA-N 0 3 314.292 4.427 20 0 DIADHN COc1ccc(F)c(CN[C@H]2CC[C@@H](c3ccccc3)C2)c1F ZINC001172550332 1051432749 /nfs/dbraw/zinc/43/27/49/1051432749.db2.gz FFKYPOUYECCTSV-CABCVRRESA-N 0 3 317.379 4.399 20 0 DIADHN O=C(CN[C@H]1CC[C@@H](c2ccccc2)C1)c1cc(F)ccc1F ZINC001172552619 1051434845 /nfs/dbraw/zinc/43/48/45/1051434845.db2.gz ALZJUXCSGDHWQT-ZBFHGGJFSA-N 0 3 315.363 4.073 20 0 DIADHN O=C(CN[C@@H]1CC[C@@H](c2ccccc2)C1)c1ccc(F)cc1F ZINC001172551623 1051435581 /nfs/dbraw/zinc/43/55/81/1051435581.db2.gz RFPCQPHUEHRTRD-GDBMZVCRSA-N 0 3 315.363 4.073 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553754 1051436847 /nfs/dbraw/zinc/43/68/47/1051436847.db2.gz BQIUSKBXBVALEP-CVEARBPZSA-N 0 3 321.424 4.012 20 0 DIADHN Cc1ccc(C(C)(C)Nc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC001168808995 1051443295 /nfs/dbraw/zinc/44/32/95/1051443295.db2.gz ZVZLRXVJYFKEBY-UHFFFAOYSA-N 0 3 323.484 4.094 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)NCc1nccs1 ZINC001172565712 1051445113 /nfs/dbraw/zinc/44/51/13/1051445113.db2.gz LXPOBUSRLUHLBW-SECBINFHSA-N 0 3 316.804 4.186 20 0 DIADHN CO[C@H]1CCCN([C@@H](C)CCc2c(F)cc(Cl)cc2F)C1 ZINC001172568652 1051447013 /nfs/dbraw/zinc/44/70/13/1051447013.db2.gz ANPJVWFNPTVHND-AAEUAGOBSA-N 0 3 317.807 4.050 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1c(F)cc(Cl)cc1F)c1ccno1 ZINC001172569483 1051447162 /nfs/dbraw/zinc/44/71/62/1051447162.db2.gz FSNZBMYHXRASPG-VHSXEESVSA-N 0 3 314.763 4.278 20 0 DIADHN CC(C)c1cc(CNc2cccc(N3CCCC[C@@H]3C)n2)on1 ZINC001168827899 1051448726 /nfs/dbraw/zinc/44/87/26/1051448726.db2.gz QUGASCVMHWLQRT-AWEZNQCLSA-N 0 3 314.433 4.184 20 0 DIADHN C[C@H](c1ccc(Oc2ccccc2)cc1)N1CCN(C)[C@@H](C)C1 ZINC001168911274 1051466076 /nfs/dbraw/zinc/46/60/76/1051466076.db2.gz XETWRZZUGXIERB-DLBZAZTESA-N 0 3 310.441 4.176 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2sc(C)nc2C)c1 ZINC001206171271 1051466326 /nfs/dbraw/zinc/46/63/26/1051466326.db2.gz CJDWVVRPPMIHSB-QGZVFWFLSA-N 0 3 316.470 4.496 20 0 DIADHN COc1ccc(Nc2ccccc2CN(C)C)cc1SC ZINC001215936119 1051469093 /nfs/dbraw/zinc/46/90/93/1051469093.db2.gz ONOHKPQBIPIPQS-UHFFFAOYSA-N 0 3 302.443 4.222 20 0 DIADHN Cc1cc([C@H](C)NCCOc2ccc(F)c(Cl)c2)c(C)o1 ZINC001168983015 1051476876 /nfs/dbraw/zinc/47/68/76/1051476876.db2.gz VFKLGPOWYDVVPO-NSHDSACASA-N 0 3 311.784 4.419 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1sncc1Br ZINC001168980649 1051477190 /nfs/dbraw/zinc/47/71/90/1051477190.db2.gz LEABRMKXGLFSOX-JQWIXIFHSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2cc(F)ccc2C)c(C)o1 ZINC001168984073 1051478912 /nfs/dbraw/zinc/47/89/12/1051478912.db2.gz ZRQZHAXHLRUXJZ-CYBMUJFWSA-N 0 3 318.392 4.023 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN([C@H]2Cc3ccc(Cl)cc3C2)C1 ZINC001172720187 1051482622 /nfs/dbraw/zinc/48/26/22/1051482622.db2.gz WSQUVBWTRZHWQP-UONOGXRCSA-N 0 3 321.745 4.031 20 0 DIADHN CC(C)n1cc([C@@H](C)NC/C=C/c2ccc(Cl)cc2)cn1 ZINC001168997653 1051484968 /nfs/dbraw/zinc/48/49/68/1051484968.db2.gz XAZXPWHRGPWALT-ISZGNANSSA-N 0 3 303.837 4.481 20 0 DIADHN Brc1ncccc1CNC1CCC2(CCCC2)CC1 ZINC001172727634 1051486471 /nfs/dbraw/zinc/48/64/71/1051486471.db2.gz MJTXOXUFRZGORX-UHFFFAOYSA-N 0 3 323.278 4.437 20 0 DIADHN CC[C@H](NC(=O)CN(CC)CCC1CC1)c1ccc(Cl)cc1 ZINC001169014806 1051489071 /nfs/dbraw/zinc/48/90/71/1051489071.db2.gz QRMDAZFXFCEKQH-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccccc1C(NCCOCC1CC1)c1ccccc1C ZINC001169033799 1051490801 /nfs/dbraw/zinc/49/08/01/1051490801.db2.gz WPCICKVVMVTZAN-UHFFFAOYSA-N 0 3 309.453 4.409 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172708160 1051491438 /nfs/dbraw/zinc/49/14/38/1051491438.db2.gz CENBIEQVGSCSSA-ABAIWWIYSA-N 0 3 311.816 4.049 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2Cc3ccc(Cl)cc3C2)c1F ZINC001172709253 1051493080 /nfs/dbraw/zinc/49/30/80/1051493080.db2.gz WCGGKVLKVFLDJH-CQSZACIVSA-N 0 3 307.771 4.184 20 0 DIADHN FC(F)(F)C1CCN([C@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172713112 1051497413 /nfs/dbraw/zinc/49/74/13/1051497413.db2.gz CYKCVVNPMLQDMJ-AWEZNQCLSA-N 0 3 303.755 4.082 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169051563 1051497615 /nfs/dbraw/zinc/49/76/15/1051497615.db2.gz XMQDIRANMAVBBH-YLJYHZDGSA-N 0 3 322.452 4.235 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccccc1F ZINC001172759892 1051498743 /nfs/dbraw/zinc/49/87/43/1051498743.db2.gz SZFXNFVYAFPIRV-WMLDXEAASA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCCC[C@H]1c1ccccc1F ZINC001172758611 1051499172 /nfs/dbraw/zinc/49/91/72/1051499172.db2.gz BAFWXQCWPJQVGW-YJBOKZPZSA-N 0 3 321.436 4.473 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1ccc2c(c1)CCCC2 ZINC001169055115 1051499277 /nfs/dbraw/zinc/49/92/77/1051499277.db2.gz HBXWLLOWOMHWCD-MRXNPFEDSA-N 0 3 308.469 4.482 20 0 DIADHN Cc1ccc2c(c1)C[C@H](n1c3ccccc3nc1[C@@H](C)N)CC2 ZINC001172824057 1051513319 /nfs/dbraw/zinc/51/33/19/1051513319.db2.gz PPQODCBFJJTVQF-RHSMWYFYSA-N 0 3 305.425 4.094 20 0 DIADHN c1ccc(CC2CCC(N3CCC[C@@H]3c3ncccn3)CC2)cc1 ZINC001172824275 1051513736 /nfs/dbraw/zinc/51/37/36/1051513736.db2.gz TUHRFPIUGREEJA-SOAGJPPSSA-N 0 3 321.468 4.415 20 0 DIADHN c1ccc(CC2CCC(N3CCc4ccncc4C3)CC2)cc1 ZINC001172821111 1051514289 /nfs/dbraw/zinc/51/42/89/1051514289.db2.gz COMHSPQJURAHBB-UHFFFAOYSA-N 0 3 306.453 4.241 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](NCc1nccc(Cl)c1Cl)CC2 ZINC001172831760 1051520639 /nfs/dbraw/zinc/52/06/39/1051520639.db2.gz OKXFBGRINGQHIC-AWEZNQCLSA-N 0 3 321.251 4.344 20 0 DIADHN CC(C)c1cc(CN[C@@H](C)Cc2c(F)cccc2Cl)on1 ZINC001172843112 1051529836 /nfs/dbraw/zinc/52/98/36/1051529836.db2.gz VCURDSOCOIXVIM-NSHDSACASA-N 0 3 310.800 4.311 20 0 DIADHN CC(=O)c1ccc2c(c1)CN([C@@H]1CCc3ccc(C)cc3C1)CC2 ZINC001172845293 1051532026 /nfs/dbraw/zinc/53/20/26/1051532026.db2.gz WVRBKVPLQMNTKW-JOCHJYFZSA-N 0 3 319.448 4.113 20 0 DIADHN CC(C)OC1CCN([C@@H](C)Cc2ccc(F)cc2Cl)CC1 ZINC001172869612 1051532721 /nfs/dbraw/zinc/53/27/21/1051532721.db2.gz QAANHQQBRYSAMS-ZDUSSCGKSA-N 0 3 313.844 4.300 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cn(C2CCC2)cn1 ZINC001172845260 1051533020 /nfs/dbraw/zinc/53/30/20/1051533020.db2.gz GZCMBNDABBDOFK-GFCCVEGCSA-N 0 3 321.827 4.121 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1ccncc1Cl ZINC001172853232 1051539568 /nfs/dbraw/zinc/53/95/68/1051539568.db2.gz YMMLKINTJBZIPB-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NC1(c2ccccn2)CC1 ZINC001172855600 1051540525 /nfs/dbraw/zinc/54/05/25/1051540525.db2.gz FBIDMEQXMSUPPQ-LBPRGKRZSA-N 0 3 304.796 4.084 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)n1nc(CN)c2ccccc21 ZINC001172863690 1051548704 /nfs/dbraw/zinc/54/87/04/1051548704.db2.gz PGBVBKBYQLYHJM-NSHDSACASA-N 0 3 317.795 4.091 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1C[C@H]2CC[C@@H](C1)C2(F)F ZINC001172863978 1051549625 /nfs/dbraw/zinc/54/96/25/1051549625.db2.gz NBTKPGGYCKYJKL-WCFLWFBJSA-N 0 3 317.782 4.387 20 0 DIADHN C[C@H]1CCCCN1c1cccc(N[C@@H]2CCCC(F)(F)C2)n1 ZINC001169213897 1051549960 /nfs/dbraw/zinc/54/99/60/1051549960.db2.gz REHVDCMMSGUNLU-UONOGXRCSA-N 0 3 309.404 4.450 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CC[C@@H](F)C(F)(F)CC1 ZINC001172863838 1051550643 /nfs/dbraw/zinc/55/06/43/1051550643.db2.gz LATNUTWNSQMCOY-IINYFYTJSA-N 0 3 323.761 4.479 20 0 DIADHN CC(C)CCOC1CCN(CCc2ccc(F)c(F)c2)CC1 ZINC000177950304 1051553893 /nfs/dbraw/zinc/55/38/93/1051553893.db2.gz YCKXSYLEHCPCAE-UHFFFAOYSA-N 0 3 311.416 4.034 20 0 DIADHN COc1ccnc(CN[C@H](C)CSc2ccc(Cl)cc2)c1 ZINC001172872738 1051555360 /nfs/dbraw/zinc/55/53/60/1051555360.db2.gz DRKMBGWPKFAJOR-GFCCVEGCSA-N 0 3 322.861 4.014 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001172874798 1051557818 /nfs/dbraw/zinc/55/78/18/1051557818.db2.gz FARHYIKWPDAISQ-BNOWGMLFSA-N 0 3 309.862 4.017 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N(C)Cc1ccncc1 ZINC001172874933 1051558806 /nfs/dbraw/zinc/55/88/06/1051558806.db2.gz GPTNRXHYYOTZPZ-CYBMUJFWSA-N 0 3 306.862 4.348 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NCc1cccc(F)n1 ZINC001172876412 1051561060 /nfs/dbraw/zinc/56/10/60/1051561060.db2.gz ZAYCFKHYXJABPV-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN CCN(Cc1ccc(OC)c(F)c1)[C@H](C)c1cccc(O)c1 ZINC000178379617 1051569266 /nfs/dbraw/zinc/56/92/66/1051569266.db2.gz DPRIMJKROLXRPU-CYBMUJFWSA-N 0 3 303.377 4.123 20 0 DIADHN Cc1ccc(-c2noc(-c3ccc(CN(C)C)cc3)n2)c(C)c1 ZINC001216083745 1051569507 /nfs/dbraw/zinc/56/95/07/1051569507.db2.gz FUUFVXFMGHAXIK-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H](C)c2ccc(F)nc2)cc1 ZINC000930195760 1051570483 /nfs/dbraw/zinc/57/04/83/1051570483.db2.gz VCRIEWAPGHTFKP-ZIAGYGMSSA-N 0 3 302.393 4.420 20 0 DIADHN CO[C@@H]1CCC[C@@H](NC2c3ccccc3Oc3ccccc32)C1 ZINC001172922109 1051571846 /nfs/dbraw/zinc/57/18/46/1051571846.db2.gz FUZAJJVJTYYMMW-HUUCEWRRSA-N 0 3 309.409 4.429 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1cccc(F)c1)C1CCCC1 ZINC000178446348 1051573305 /nfs/dbraw/zinc/57/33/05/1051573305.db2.gz ROLHNEAEUHTRFA-ORAYPTAESA-N 0 3 315.436 4.450 20 0 DIADHN CO[C@@H]1CCC[C@H](N(C)Cc2ccc(Cl)cc2Cl)C1 ZINC001172935263 1051575477 /nfs/dbraw/zinc/57/54/77/1051575477.db2.gz UBWZKURAYBLAIB-UONOGXRCSA-N 0 3 302.245 4.383 20 0 DIADHN CCN(CC)Cc1cccc(NCCc2cc(C)ccn2)c1F ZINC001169323968 1051578684 /nfs/dbraw/zinc/57/86/84/1051578684.db2.gz MDGUXYDLBIGRKU-UHFFFAOYSA-N 0 3 315.436 4.026 20 0 DIADHN CN(CCc1ccccc1)[C@@]1(c2ccccc2)CCCCC1=O ZINC001169331708 1051583371 /nfs/dbraw/zinc/58/33/71/1051583371.db2.gz LXMFZUNENDMDKM-OAQYLSRUSA-N 0 3 307.437 4.200 20 0 DIADHN c1cn(Cc2ccc(Nc3ccnc(C4CCC4)c3)cc2)cn1 ZINC001212695066 1051583598 /nfs/dbraw/zinc/58/35/98/1051583598.db2.gz MCBAMTPODLHIHX-UHFFFAOYSA-N 0 3 304.397 4.338 20 0 DIADHN CCCOC1CCC(N(C)Cc2nc3ccccc3s2)CC1 ZINC001173097700 1051601840 /nfs/dbraw/zinc/60/18/40/1051601840.db2.gz OZQABXKURQLSKQ-UHFFFAOYSA-N 0 3 318.486 4.466 20 0 DIADHN COC(C)(C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000493245597 1051601849 /nfs/dbraw/zinc/60/18/49/1051601849.db2.gz DMFJPRIKOUDLTR-UHFFFAOYSA-N 0 3 305.368 4.069 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)NCc1cc(F)c(F)cc1Cl ZINC001168458700 1051602214 /nfs/dbraw/zinc/60/22/14/1051602214.db2.gz IFNXRZZHYPVBKM-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN OCC[C@@H](Nc1c[nH]cc2ccnc1-2)c1cccc2ccccc21 ZINC001169423164 1051608966 /nfs/dbraw/zinc/60/89/66/1051608966.db2.gz BAVSTZXVXQTJJF-GOSISDBHSA-N 0 3 317.392 4.252 20 0 DIADHN OCC[C@@H](Nc1cncc2cc[nH]c21)c1cccc2ccccc21 ZINC001169423164 1051608973 /nfs/dbraw/zinc/60/89/73/1051608973.db2.gz BAVSTZXVXQTJJF-GOSISDBHSA-N 0 3 317.392 4.252 20 0 DIADHN CC[C@H](N[C@H]1CCc2ncsc2C1)c1c(F)cccc1F ZINC001173129376 1051618762 /nfs/dbraw/zinc/61/87/62/1051618762.db2.gz GXNJFEJXJZFUQB-GWCFXTLKSA-N 0 3 308.397 4.020 20 0 DIADHN CC[C@H](C)[C@@H](C(=O)OC)N(CC)C[C@@H](CC)c1ccccc1 ZINC001169482247 1051622674 /nfs/dbraw/zinc/62/26/74/1051622674.db2.gz HQQQDDKLQYQGCX-JZXOWHBKSA-N 0 3 305.462 4.090 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(-n3cccn3)cc2)cc1F ZINC000088511429 1051623906 /nfs/dbraw/zinc/62/39/06/1051623906.db2.gz LMAISWGINCIBQD-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)(Nc1ccnc(CN)c1)c1cc(Cl)cc(Cl)c1 ZINC001169463943 1051625037 /nfs/dbraw/zinc/62/50/37/1051625037.db2.gz WQKDFHCBBCLCGO-UHFFFAOYSA-N 0 3 310.228 4.194 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC001169507237 1051631224 /nfs/dbraw/zinc/63/12/24/1051631224.db2.gz KRBNCRIHOLUGRG-FQEVSTJZSA-N 0 3 311.400 4.156 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCC[C@@H]3Cc3ccccc3)nc2c1 ZINC000493526143 1051632265 /nfs/dbraw/zinc/63/22/65/1051632265.db2.gz MTQJFQAUJUGACS-NVXWUHKLSA-N 0 3 324.399 4.468 20 0 DIADHN CN(C)Cc1cccc(-n2ccc3cc(Cl)cc(N)c32)c1F ZINC001216232090 1051638845 /nfs/dbraw/zinc/63/88/45/1051638845.db2.gz NLHSTHQJJKFRBI-UHFFFAOYSA-N 0 3 317.795 4.067 20 0 DIADHN C[C@H](c1ccsc1)N1CCN(CCCc2ccccc2)CC1 ZINC001169540317 1051638869 /nfs/dbraw/zinc/63/88/69/1051638869.db2.gz OYKNFYYQZYFLIW-QGZVFWFLSA-N 0 3 314.498 4.060 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)N1CCOC[C@@H]1C ZINC001173205664 1051643249 /nfs/dbraw/zinc/64/32/49/1051643249.db2.gz DMOBYPSXCSSHRR-MSOLQXFVSA-N 0 3 309.453 4.318 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)NCc1ccn(C)n1 ZINC001173204325 1051643447 /nfs/dbraw/zinc/64/34/47/1051643447.db2.gz GIQTURZSUOXSPB-QGZVFWFLSA-N 0 3 319.452 4.120 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1ncc(Br)cc1F ZINC001169570839 1051647164 /nfs/dbraw/zinc/64/71/64/1051647164.db2.gz YZRBDTOZQWWWRO-ZDUSSCGKSA-N 0 3 315.230 4.042 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)N1C[C@@H]2C[C@H]1CO2 ZINC001173211813 1051649036 /nfs/dbraw/zinc/64/90/36/1051649036.db2.gz ULBSBRKXFCHTGH-UXPWSPDFSA-N 0 3 307.437 4.070 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1csc(Cc2ccccc2)n1 ZINC001169581702 1051655931 /nfs/dbraw/zinc/65/59/31/1051655931.db2.gz IPGRPPQSADTCBJ-YOEHRIQHSA-N 0 3 300.471 4.354 20 0 DIADHN Cc1cc2cc(NC(C)(C)C(=O)OC(C)(C)C)ccc2cn1 ZINC001169584432 1051658213 /nfs/dbraw/zinc/65/82/13/1051658213.db2.gz OKRKHCOXXYRAAL-UHFFFAOYSA-N 0 3 300.402 4.075 20 0 DIADHN [O-]c1cc(Nc2ccc(CC[NH+]3CCCC3)cc2)ccc1Cl ZINC001212592278 1051659978 /nfs/dbraw/zinc/65/99/78/1051659978.db2.gz HYLMAACUISQWNF-UHFFFAOYSA-N 0 3 316.832 4.428 20 0 DIADHN Cc1cc2cc(N[C@@H]3CCc4ccccc4[C@@H]3O)ccc2cn1 ZINC001169625282 1051660129 /nfs/dbraw/zinc/66/01/29/1051660129.db2.gz BCEQWLATOKTNCN-UXHICEINSA-N 0 3 304.393 4.004 20 0 DIADHN CC[C@@H]1CC[C@@H](NCc2csc(-c3ccc(OC)cc3)n2)C1 ZINC001173266898 1051664808 /nfs/dbraw/zinc/66/48/08/1051664808.db2.gz ONQWHUNXWBUEQV-UKRRQHHQSA-N 0 3 316.470 4.487 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccccc1 ZINC000493927645 1051668635 /nfs/dbraw/zinc/66/86/35/1051668635.db2.gz RSJHVXHZYODLKT-IQUTYRLHSA-N 0 3 317.404 4.261 20 0 DIADHN CCc1ccc(C[C@@H](C)n2c3ccccc3nc2[C@@H](C)N)cc1 ZINC001173236661 1051671555 /nfs/dbraw/zinc/67/15/55/1051671555.db2.gz BLKDBAMVHGUJHN-HUUCEWRRSA-N 0 3 307.441 4.422 20 0 DIADHN CCSC[C@H](C)N[C@H](C)c1cc(Cl)cc(OC)c1OC ZINC001173294835 1051677616 /nfs/dbraw/zinc/67/76/16/1051677616.db2.gz FDWSYFUFIOEOJQ-WDEREUQCSA-N 0 3 317.882 4.149 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCc2ccc(C)c(F)c2)c1 ZINC000103341335 1051672278 /nfs/dbraw/zinc/67/22/78/1051672278.db2.gz IYUJLBCQPJIVFG-UHFFFAOYSA-N 0 3 309.388 4.122 20 0 DIADHN CCSC[C@H](C)n1c2ccccc2nc1[C@H](N)CC(C)C ZINC001173294579 1051674804 /nfs/dbraw/zinc/67/48/04/1051674804.db2.gz CXCUBYWZVZZOBT-UONOGXRCSA-N 0 3 305.491 4.396 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)C(F)(F)F)c1ccc(C(C)(C)C)cc1 ZINC001169675427 1051677163 /nfs/dbraw/zinc/67/71/63/1051677163.db2.gz HXMAVNFMDQLXKY-LSDHHAIUSA-N 0 3 317.395 4.194 20 0 DIADHN CC(C)[C@H](NC[C@H](O)C(F)(F)F)c1ccc(C(C)(C)C)cc1 ZINC001169675425 1051677769 /nfs/dbraw/zinc/67/77/69/1051677769.db2.gz HXMAVNFMDQLXKY-GJZGRUSLSA-N 0 3 317.395 4.194 20 0 DIADHN CC[C@H](NCc1cccc(C#N)c1)c1ccc2c(c1)CCCO2 ZINC000152842839 1051677885 /nfs/dbraw/zinc/67/78/85/1051677885.db2.gz CQNVPUOGZPDPJA-IBGZPJMESA-N 0 3 306.409 4.124 20 0 DIADHN CC(C)(C)c1csc(C2CCN(CCOC3CCC3)CC2)n1 ZINC000805740314 1051682104 /nfs/dbraw/zinc/68/21/04/1051682104.db2.gz JUFYYWZDACQNSF-UHFFFAOYSA-N 0 3 322.518 4.189 20 0 DIADHN CO[C@@H]1CCN(Cc2cccc(SCc3ccccc3)c2)C1 ZINC000805742166 1051682231 /nfs/dbraw/zinc/68/22/31/1051682231.db2.gz FYXYVWCHYALQKG-GOSISDBHSA-N 0 3 313.466 4.200 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC001169731882 1051687502 /nfs/dbraw/zinc/68/75/02/1051687502.db2.gz FUJBAPCYXJHHMT-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN CC1(C)CN(CC[C@@H](O)c2ccccc2)[C@@H]1c1cccs1 ZINC000805838898 1051689597 /nfs/dbraw/zinc/68/95/97/1051689597.db2.gz KHGRVTQBVSALHY-NVXWUHKLSA-N 0 3 301.455 4.255 20 0 DIADHN CCCc1csc(CNCC(C)(C)c2ccc(OC)cc2)n1 ZINC000494114505 1051691589 /nfs/dbraw/zinc/69/15/89/1051691589.db2.gz ASPMCTFDEPVLEB-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(CF)cc2)c(C)c1OC ZINC000805830717 1051693489 /nfs/dbraw/zinc/69/34/89/1051693489.db2.gz OBBJYYZQSQFHDN-AWEZNQCLSA-N 0 3 317.404 4.333 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCS[C@H](C)CC1 ZINC000494133310 1051695915 /nfs/dbraw/zinc/69/59/15/1051695915.db2.gz BUQKNSADSIINKP-VXGBXAGGSA-N 0 3 314.292 4.338 20 0 DIADHN Cc1ccc(OCCCN[C@H](C)c2cccnc2Cl)cc1 ZINC000152948500 1051697938 /nfs/dbraw/zinc/69/79/38/1051697938.db2.gz CHUDYOFVZBTENE-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN CCOc1ccccc1OCCNc1ccc2cnc(C)cc2c1 ZINC001169793699 1051701472 /nfs/dbraw/zinc/70/14/72/1051701472.db2.gz XSJITCRDBIWPGI-UHFFFAOYSA-N 0 3 322.408 4.433 20 0 DIADHN CC(C)Oc1ccc(CN(C)C[C@@H]2CCCCO2)cc1Cl ZINC000494196779 1051702789 /nfs/dbraw/zinc/70/27/89/1051702789.db2.gz ZNUQHLKQVGBUQT-HNNXBMFYSA-N 0 3 311.853 4.128 20 0 DIADHN O=C1CC[C@@]2(CCCN([C@H]3CCCC4(CCCCC4)C3)C2)O1 ZINC001173348351 1051704951 /nfs/dbraw/zinc/70/49/51/1051704951.db2.gz HGUCLGNGFVIEAH-QFBILLFUSA-N 0 3 305.462 4.051 20 0 DIADHN OCc1ccc(CN2CCCC[C@H]2c2cc3ccccc3[nH]2)cc1 ZINC000182871959 1051705191 /nfs/dbraw/zinc/70/51/91/1051705191.db2.gz YZDLDCUKYXXBBC-NRFANRHFSA-N 0 3 320.436 4.387 20 0 DIADHN O=C1CC[C@]2(CCCN([C@@H]3CCCC4(CCCCC4)C3)C2)O1 ZINC001173348347 1051705093 /nfs/dbraw/zinc/70/50/93/1051705093.db2.gz HGUCLGNGFVIEAH-APWZRJJASA-N 0 3 305.462 4.051 20 0 DIADHN Cc1c(F)ccc(F)c1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001216344835 1051710021 /nfs/dbraw/zinc/71/00/21/1051710021.db2.gz KDEGXRPOGNYBKI-MRXNPFEDSA-N 0 3 318.367 4.402 20 0 DIADHN CC1(C2CC2)CCN(Cc2ccc(OC(F)F)cc2F)CC1 ZINC001206062582 1051710695 /nfs/dbraw/zinc/71/06/95/1051710695.db2.gz BQTGNUKSTCGKQO-UHFFFAOYSA-N 0 3 313.363 4.439 20 0 DIADHN Brc1ccc2[nH]c(CNCc3cccs3)cc2c1 ZINC000183824258 1051721098 /nfs/dbraw/zinc/72/10/98/1051721098.db2.gz RWPWGOIVPQCJGI-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN CCOc1cc(C)cc(F)c1Nc1ccccc1CN(C)C ZINC001216368336 1051725490 /nfs/dbraw/zinc/72/54/90/1051725490.db2.gz PAKCXFIYZAPPCU-UHFFFAOYSA-N 0 3 302.393 4.338 20 0 DIADHN CC(C)CCOCCN1CC=C(c2cccc(Cl)c2)CC1 ZINC001169901023 1051726162 /nfs/dbraw/zinc/72/61/62/1051726162.db2.gz PVNMNEXMLCQHRH-UHFFFAOYSA-N 0 3 307.865 4.492 20 0 DIADHN Fc1cccc([C@@H]2CCCN2C2Cc3cc(F)c(F)cc3C2)c1 ZINC001173486904 1051727082 /nfs/dbraw/zinc/72/70/82/1051727082.db2.gz FRWRTCNSCVQVMA-IBGZPJMESA-N 0 3 317.354 4.408 20 0 DIADHN CSc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806132788 1051733064 /nfs/dbraw/zinc/73/30/64/1051733064.db2.gz ADKASMUDBBMXPH-CQSZACIVSA-N 0 3 304.846 4.234 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806133884 1051733150 /nfs/dbraw/zinc/73/31/50/1051733150.db2.gz DSDCKTRCHLNNJM-HUUCEWRRSA-N 0 3 302.780 4.139 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1C1Cc2cc(F)c(F)cc2C1 ZINC001173487683 1051734097 /nfs/dbraw/zinc/73/40/97/1051734097.db2.gz QJHONJGVKIPRLW-LJQANCHMSA-N 0 3 317.354 4.408 20 0 DIADHN COc1cc(CN2CC[C@@H](c3cccc(Cl)c3)C2)ccc1O ZINC001204117803 1051735337 /nfs/dbraw/zinc/73/53/37/1051735337.db2.gz GPCGUHJWIWCQHS-OAHLLOKOSA-N 0 3 317.816 4.044 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)n1ccc([C@H](C)N)n1 ZINC001173540591 1051735408 /nfs/dbraw/zinc/73/54/08/1051735408.db2.gz ZJMLICDEPBGDRD-MNOVXSKESA-N 0 3 312.244 4.403 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806135101 1051735603 /nfs/dbraw/zinc/73/56/03/1051735603.db2.gz FVGYOTYBZMKUJG-XHDPSFHLSA-N 0 3 302.805 4.082 20 0 DIADHN COc1ccc2c(c1)C[C@@H](CN[C@H](C)c1ccccc1Cl)O2 ZINC001169963559 1051738935 /nfs/dbraw/zinc/73/89/35/1051738935.db2.gz QMNSWCFSGDYGPG-DOMZBBRYSA-N 0 3 317.816 4.003 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000806172234 1051746857 /nfs/dbraw/zinc/74/68/57/1051746857.db2.gz IFYOSMBAVBVSSR-VQTJNVASSA-N 0 3 312.432 4.014 20 0 DIADHN C[C@@H](N[C@@H](C)CCOCc1ccccc1)c1cccnc1Cl ZINC000806183828 1051768980 /nfs/dbraw/zinc/76/89/80/1051768980.db2.gz XYYBLIUYQBPISB-LSDHHAIUSA-N 0 3 318.848 4.381 20 0 DIADHN COc1ccc(C2(NCc3cc(O)cc(F)c3)CCCC2)cc1 ZINC000185539372 1051773514 /nfs/dbraw/zinc/77/35/14/1051773514.db2.gz PTIXDOKEUGMQEV-UHFFFAOYSA-N 0 3 315.388 4.099 20 0 DIADHN Cc1cc(CN[C@H]2CCc3cc(Br)ccc32)c(C)o1 ZINC000767348988 1051790995 /nfs/dbraw/zinc/79/09/95/1051790995.db2.gz MFDPQANZIXDHEC-INIZCTEOSA-N 0 3 320.230 4.436 20 0 DIADHN O=C(CCN(Cc1cccc(-c2cccnc2)c1)C1CC1)C1CC1 ZINC000767432188 1051806787 /nfs/dbraw/zinc/80/67/87/1051806787.db2.gz XPMVYIWQYFZTJV-UHFFFAOYSA-N 0 3 320.436 4.082 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](CCO)C2CCCCC2)cs1 ZINC000186391114 1051813637 /nfs/dbraw/zinc/81/36/37/1051813637.db2.gz SIJYQJQDGHUTBC-DZGCQCFKSA-N 0 3 310.507 4.248 20 0 DIADHN c1c2ccccc2oc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186435884 1051816083 /nfs/dbraw/zinc/81/60/83/1051816083.db2.gz RNVFHSLTJKJCMY-FQEVSTJZSA-N 0 3 305.377 4.064 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)N[C@H](CCO)c1ccco1 ZINC000186522522 1051820078 /nfs/dbraw/zinc/82/00/78/1051820078.db2.gz KJNMIKGADSOPDE-IAGOWNOFSA-N 0 3 319.470 4.110 20 0 DIADHN C[C@H](NCC1(CO)CCCC1)c1nc(-c2ccccc2)cs1 ZINC000186486847 1051821057 /nfs/dbraw/zinc/82/10/57/1051821057.db2.gz BLSSQFBZXLCPHC-AWEZNQCLSA-N 0 3 316.470 4.013 20 0 DIADHN CC[C@H](NCC(C)(C)c1cccc(Cl)c1F)c1nccn1C ZINC000186566603 1051823367 /nfs/dbraw/zinc/82/33/67/1051823367.db2.gz HVUIMMOUBZTXRA-AWEZNQCLSA-N 0 3 323.843 4.231 20 0 DIADHN C[C@H](CNCc1occc1Br)Cc1cccs1 ZINC000742374983 1051859271 /nfs/dbraw/zinc/85/92/71/1051859271.db2.gz DUPUZZACFQLTFU-JTQLQIEISA-N 0 3 314.248 4.072 20 0 DIADHN CC(C)COC[C@H](N[C@@H](C)C1CCSCC1)c1ccco1 ZINC000187129341 1051838393 /nfs/dbraw/zinc/83/83/93/1051838393.db2.gz LFKNXFMIRVEOCO-HOCLYGCPSA-N 0 3 311.491 4.115 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc(F)c3ccccc32)n(C)n1 ZINC000806662251 1051843889 /nfs/dbraw/zinc/84/38/89/1051843889.db2.gz BADLFAOHIFIAFP-UONOGXRCSA-N 0 3 311.404 4.433 20 0 DIADHN Cc1noc2ccc(Nc3cccc4c3OCC[C@@H]4N(C)C)cc12 ZINC001216640796 1051848918 /nfs/dbraw/zinc/84/89/18/1051848918.db2.gz BLYPIZPWKAKMOD-KRWDZBQOSA-N 0 3 323.396 4.265 20 0 DIADHN CC(=O)Nc1cccc(CN(C)C/C(C)=C/c2ccccc2)c1 ZINC000494232634 1051855249 /nfs/dbraw/zinc/85/52/49/1051855249.db2.gz BTJGTNKXVQPLSN-FOWTUZBSSA-N 0 3 308.425 4.180 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1ccnn1-c1ccccc1 ZINC000467351042 1051859286 /nfs/dbraw/zinc/85/92/86/1051859286.db2.gz XDBATSCQUZTWGX-SDMNGIDPSA-N 0 3 303.409 4.236 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000767905731 1051863830 /nfs/dbraw/zinc/86/38/30/1051863830.db2.gz HXOSWTOKDHIOED-INIZCTEOSA-N 0 3 317.408 4.420 20 0 DIADHN COC[C@H]1CCCCN(Cc2cc3ccccc3nc2Cl)C1 ZINC000767939683 1051866664 /nfs/dbraw/zinc/86/66/64/1051866664.db2.gz HMVXXDYZMYITOA-AWEZNQCLSA-N 0 3 318.848 4.137 20 0 DIADHN Cc1scc(CN2CCC3(CC2)C(F)(F)C3(F)F)c1C ZINC000630076005 1051868585 /nfs/dbraw/zinc/86/85/85/1051868585.db2.gz JTNQFPWNLBRBAR-UHFFFAOYSA-N 0 3 307.356 4.231 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(C(F)(F)F)cc2Cl)n1 ZINC001243986094 1051870637 /nfs/dbraw/zinc/87/06/37/1051870637.db2.gz AAAYEPHKIGMLGP-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C[C@H](O)CC(F)(F)F)C1CC1 ZINC000189064886 1051873774 /nfs/dbraw/zinc/87/37/74/1051873774.db2.gz ASABRNCRSLCENX-CMPLNLGQSA-N 0 3 321.770 4.179 20 0 DIADHN COc1cc(CNCc2ccc3nc(C)sc3c2)ccc1C ZINC000494317638 1051875733 /nfs/dbraw/zinc/87/57/33/1051875733.db2.gz WUIWWYOOKDNOLJ-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN C[C@@H](NCCc1ccc(N2CCCCC2)cc1)c1cscn1 ZINC000768092774 1051880266 /nfs/dbraw/zinc/88/02/66/1051880266.db2.gz HNRAAWOFSPBKIY-OAHLLOKOSA-N 0 3 315.486 4.027 20 0 DIADHN CCCc1csc(CNCCc2c[nH]c3cc(F)ccc23)n1 ZINC000494372621 1051882566 /nfs/dbraw/zinc/88/25/66/1051882566.db2.gz WTFJTUKDZQELCS-UHFFFAOYSA-N 0 3 317.433 4.048 20 0 DIADHN C[C@H](NC1CC(C)(C)N(O)C(C)(C)C1)c1cc(F)ccc1F ZINC001169985100 1051884913 /nfs/dbraw/zinc/88/49/13/1051884913.db2.gz ZTIKVVOMQSDFMA-NSHDSACASA-N 0 3 312.404 4.026 20 0 DIADHN Cc1cnc(CN(CCc2cccc(F)c2)C2CCCC2)o1 ZINC000152579306 1051886414 /nfs/dbraw/zinc/88/64/14/1051886414.db2.gz KJKIVNJKANYUKW-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN CCCN(CCC)Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000768137650 1051886842 /nfs/dbraw/zinc/88/68/42/1051886842.db2.gz RMGZFVJHFKEJNJ-UHFFFAOYSA-N 0 3 308.857 4.288 20 0 DIADHN CN(C)Cc1ccccc1Nc1cnc2cc(F)c(F)cc2c1 ZINC001173928883 1051900836 /nfs/dbraw/zinc/90/08/36/1051900836.db2.gz PDCHWQIEFWMJLB-UHFFFAOYSA-N 0 3 313.351 4.318 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(F)c(Cl)nc1Cl ZINC001173929410 1051901739 /nfs/dbraw/zinc/90/17/39/1051901739.db2.gz PWLIMPNAVPRQGX-UHFFFAOYSA-N 0 3 314.191 4.333 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)c(OC)cc1Cl ZINC001173930123 1051902384 /nfs/dbraw/zinc/90/23/84/1051902384.db2.gz QTVVOBJYOVILSO-UHFFFAOYSA-N 0 3 320.820 4.162 20 0 DIADHN COc1ccc2ncc(Nc3ccccc3CN(C)C)cc2c1 ZINC001173929808 1051902540 /nfs/dbraw/zinc/90/25/40/1051902540.db2.gz IVWBCONWIDFGFO-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(C2CCOCC2)cc1 ZINC001173931454 1051903151 /nfs/dbraw/zinc/90/31/51/1051903151.db2.gz JZWNKBYZFPCQOE-UHFFFAOYSA-N 0 3 310.441 4.386 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(F)ccc1Br ZINC001173929338 1051903631 /nfs/dbraw/zinc/90/36/31/1051903631.db2.gz BOKBPSSIJPCAKV-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN Cc1ccc(C2=CCN(Cc3[nH]nc4ccccc43)CC2)cc1 ZINC000768214477 1051903681 /nfs/dbraw/zinc/90/36/81/1051903681.db2.gz MYMUVQPVJNDLPR-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(F)cc(Br)c1 ZINC001173929684 1051903844 /nfs/dbraw/zinc/90/38/44/1051903844.db2.gz KNKHGTRPOZNQBJ-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CN(C)Cc1ccccc1Nc1c(O)cccc1C(F)(F)F ZINC001173931719 1051904945 /nfs/dbraw/zinc/90/49/45/1051904945.db2.gz KCFIVDCIYHDJTJ-UHFFFAOYSA-N 0 3 310.319 4.216 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)c(Cl)c(OC)c1 ZINC001173932238 1051905540 /nfs/dbraw/zinc/90/55/40/1051905540.db2.gz QEOYZJZUSICRMT-UHFFFAOYSA-N 0 3 320.820 4.162 20 0 DIADHN Cc1cc(=O)[nH]c2cc(Nc3ccccc3CN(C)C)ccc12 ZINC001173933015 1051905843 /nfs/dbraw/zinc/90/58/43/1051905843.db2.gz XNNSZBBAFLTRDY-UHFFFAOYSA-N 0 3 307.397 4.054 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c(c1)COC2 ZINC000768227776 1051907203 /nfs/dbraw/zinc/90/72/03/1051907203.db2.gz INTKDRSLFXTUJO-GFCCVEGCSA-N 0 3 303.352 4.188 20 0 DIADHN Cc1cc(CN2CCC[C@H]2Cc2ccccc2)cnc1Cl ZINC000768232134 1051907393 /nfs/dbraw/zinc/90/73/93/1051907393.db2.gz YEPNMKLVTAMCMP-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000768269489 1051912806 /nfs/dbraw/zinc/91/28/06/1051912806.db2.gz PNGKMUVJSPVINM-LBPRGKRZSA-N 0 3 308.857 4.142 20 0 DIADHN Cc1csc(CCCN[C@H](C)c2csc(C(C)C)n2)n1 ZINC000186309208 1051918100 /nfs/dbraw/zinc/91/81/00/1051918100.db2.gz PGIZNQYSCNPFEP-GFCCVEGCSA-N 0 3 309.504 4.315 20 0 DIADHN Cc1cc(-c2nc(-c3ccc(CN(C)C)cc3)no2)cc(C)c1C ZINC001216971786 1051920739 /nfs/dbraw/zinc/92/07/39/1051920739.db2.gz IQVUVTUNRRYUBC-UHFFFAOYSA-N 0 3 321.424 4.390 20 0 DIADHN Cn1nccc1CN[C@H](c1cccc(Cl)c1)C1CCCCC1 ZINC000153084312 1051922689 /nfs/dbraw/zinc/92/26/89/1051922689.db2.gz VVQPQKIYHJAHDC-SFHVURJKSA-N 0 3 317.864 4.485 20 0 DIADHN C=Cc1ccccc1-c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001217034976 1051935112 /nfs/dbraw/zinc/93/51/12/1051935112.db2.gz ZYMRBBUTYFMFLN-UHFFFAOYSA-N 0 3 305.381 4.108 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC(C)(C)[C@@H]1c1cccs1 ZINC000807887825 1051940467 /nfs/dbraw/zinc/94/04/67/1051940467.db2.gz FSDWFLBTHRTXSG-KRWDZBQOSA-N 0 3 322.518 4.170 20 0 DIADHN CC(C)CN(CCc1ccc(F)cc1)Cc1coc(C2CC2)n1 ZINC000768715437 1051955615 /nfs/dbraw/zinc/95/56/15/1051955615.db2.gz TXRLFXVYJMMPTO-UHFFFAOYSA-N 0 3 316.420 4.392 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@H](O)c1ccsc1 ZINC000223914219 1051957216 /nfs/dbraw/zinc/95/72/16/1051957216.db2.gz WCBUVGXEFBNDFB-DOMZBBRYSA-N 0 3 301.411 4.187 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H](O)c1ccsc1 ZINC000223914161 1051957903 /nfs/dbraw/zinc/95/79/03/1051957903.db2.gz WCBUVGXEFBNDFB-SWLSCSKDSA-N 0 3 301.411 4.187 20 0 DIADHN Cc1c([C@H](C)NCCO[C@@H]2CCCC[C@@H]2C)cnn1C(C)(C)C ZINC000191193195 1051963459 /nfs/dbraw/zinc/96/34/59/1051963459.db2.gz MTTGWWGZIUVITG-RLFYNMQTSA-N 0 3 321.509 4.192 20 0 DIADHN CC[C@]1(C)CC(=O)N(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000808142534 1051979536 /nfs/dbraw/zinc/97/95/36/1051979536.db2.gz WEYSJXMNIJJRHZ-PKOBYXMFSA-N 0 3 320.452 4.109 20 0 DIADHN CC1(C)CCC(=O)N1CNC1(c2cccc(Cl)c2)CCCC1 ZINC000808173641 1051983644 /nfs/dbraw/zinc/98/36/44/1051983644.db2.gz DLEGHYNUMPDVSX-UHFFFAOYSA-N 0 3 320.864 4.057 20 0 DIADHN C[C@H]1CCSCCN1Cc1cnc(-c2cccs2)s1 ZINC000191813026 1051989100 /nfs/dbraw/zinc/98/91/00/1051989100.db2.gz OOUFYTWIPLOYRA-NSHDSACASA-N 0 3 310.513 4.199 20 0 DIADHN C[C@@H]1CCSCCN1Cc1ccc(Cl)c2cccnc12 ZINC000191818966 1051989454 /nfs/dbraw/zinc/98/94/54/1051989454.db2.gz DKRDQSYIHHOWFB-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1cc(C)cc([C@@H](N[C@@H]2CCCSC2)c2cccnc2)c1 ZINC000769009990 1051994504 /nfs/dbraw/zinc/99/45/04/1051994504.db2.gz AGQFFXXFYHRGIN-MOPGFXCFSA-N 0 3 312.482 4.273 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H](C)c1cccc(F)c1F ZINC000494638311 1051994650 /nfs/dbraw/zinc/99/46/50/1051994650.db2.gz QFLWSARLSYVMIR-VFVRVIDISA-N 0 3 305.368 4.392 20 0 DIADHN Cc1cc(C)cc([C@@H](N[C@H]2CCCSC2)c2cccnc2)c1 ZINC000769009994 1051994769 /nfs/dbraw/zinc/99/47/69/1051994769.db2.gz AGQFFXXFYHRGIN-OALUTQOASA-N 0 3 312.482 4.273 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H](OCC3CC3)C2)cc1 ZINC000494640325 1051997069 /nfs/dbraw/zinc/99/70/69/1051997069.db2.gz AKRYKRIODDLFKU-MRXNPFEDSA-N 0 3 313.363 4.096 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CNC1(c2cccc(F)c2)CC1 ZINC000192158506 1052000598 /nfs/dbraw/zinc/00/05/98/1052000598.db2.gz ROYIEFNYFYHWRN-UHFFFAOYSA-N 0 3 321.399 4.099 20 0 DIADHN Cc1cc(C2CCN(Cc3ccc(Cl)cc3C)CC2)n[nH]1 ZINC000769218036 1052008371 /nfs/dbraw/zinc/00/83/71/1052008371.db2.gz UGRFBXVOMHLCRY-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc4cnccc43)cccc21 ZINC001174138997 1052014874 /nfs/dbraw/zinc/01/48/74/1052014874.db2.gz CJURLUULZOOGSF-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc4cnccc43)cccc21 ZINC001174138996 1052015703 /nfs/dbraw/zinc/01/57/03/1052015703.db2.gz CJURLUULZOOGSF-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C)c(F)c2)c(C)c1OC ZINC000808393852 1052017219 /nfs/dbraw/zinc/01/72/19/1052017219.db2.gz FBBBOEGLMXVZFY-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN COC(=O)c1ccc(CN2CCC3(CCCC3)CC2)cc1Cl ZINC001170550924 1052017966 /nfs/dbraw/zinc/01/79/66/1052017966.db2.gz UUZWETFCEMGGPQ-UHFFFAOYSA-N 0 3 321.848 4.283 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc2c(c1)COC2 ZINC000769319688 1052023731 /nfs/dbraw/zinc/02/37/31/1052023731.db2.gz WUDKUOLTKQTOCX-IBGZPJMESA-N 0 3 309.409 4.063 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1Cc1ccc2c(c1)COC2 ZINC000769334388 1052026226 /nfs/dbraw/zinc/02/62/26/1052026226.db2.gz OKQWFPCRYQKFPA-HXUWFJFHSA-N 0 3 323.436 4.453 20 0 DIADHN CCc1ncc(CN2CCC(c3cccc(F)c3)CC2)s1 ZINC000769388209 1052036717 /nfs/dbraw/zinc/03/67/17/1052036717.db2.gz DYCLBYYKDJQRDL-UHFFFAOYSA-N 0 3 304.434 4.224 20 0 DIADHN Cc1noc(C)c1CCCN[C@H](C)c1csc(C(C)C)n1 ZINC000769414889 1052043673 /nfs/dbraw/zinc/04/36/73/1052043673.db2.gz LZOHSROEVPMPBX-GFCCVEGCSA-N 0 3 307.463 4.155 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](COC)c1ccc(Cl)cc1 ZINC000494769560 1052056074 /nfs/dbraw/zinc/05/60/74/1052056074.db2.gz WGBUQOMTRWPVKZ-STQMWFEESA-N 0 3 309.759 4.348 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccccc1CC)c1ccccc1 ZINC000193632019 1052056787 /nfs/dbraw/zinc/05/67/87/1052056787.db2.gz GKZICNBKOWONIA-SFHVURJKSA-N 0 3 310.441 4.319 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000195538999 1052057877 /nfs/dbraw/zinc/05/78/77/1052057877.db2.gz YBNANOPDNRMSGM-IAGOWNOFSA-N 0 3 310.441 4.087 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000769454987 1052057662 /nfs/dbraw/zinc/05/76/62/1052057662.db2.gz CHQCCYZWDVYCAP-ZDUSSCGKSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)N2CCCc3ccccc32)cc1 ZINC000195539069 1052058433 /nfs/dbraw/zinc/05/84/33/1052058433.db2.gz DCYYNEHUZICHPN-IAGOWNOFSA-N 0 3 322.452 4.014 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc3c(c1)OCCO3)C(C)(C)C2 ZINC001170559270 1052067484 /nfs/dbraw/zinc/06/74/84/1052067484.db2.gz KCKNHONTQYWRBB-UHFFFAOYSA-N 0 3 323.436 4.103 20 0 DIADHN C[C@@H]1C[C@@H](Nc2ccc(N3CCN(C)CC3)cc2)c2ccccc21 ZINC000808714428 1052075445 /nfs/dbraw/zinc/07/54/45/1052075445.db2.gz ZZFKLLTXBMAFJS-IIBYNOLFSA-N 0 3 321.468 4.099 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1nccc2ccccc21 ZINC000769538742 1052077369 /nfs/dbraw/zinc/07/73/69/1052077369.db2.gz WAJOUBYNMKIMOC-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN COc1cccc2cc(CN(C)Cc3cccc(N(C)C)c3)oc21 ZINC000769537635 1052078373 /nfs/dbraw/zinc/07/83/73/1052078373.db2.gz NNMKIAHXEXMWFS-UHFFFAOYSA-N 0 3 324.424 4.139 20 0 DIADHN COc1cccc([C@H](C)N(C)Cc2ccc(OC(F)F)cc2)c1 ZINC000195732400 1052088692 /nfs/dbraw/zinc/08/86/92/1052088692.db2.gz OBNKEMUFWASPCF-ZDUSSCGKSA-N 0 3 321.367 4.490 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](C)c2ccccc2N(C)C)c1 ZINC000769685164 1052091663 /nfs/dbraw/zinc/09/16/63/1052091663.db2.gz QOFIIGWAJYURCT-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1ccccc1N(C)C ZINC000769693089 1052093907 /nfs/dbraw/zinc/09/39/07/1052093907.db2.gz WLRPNORKFIDWLB-INIZCTEOSA-N 0 3 311.473 4.060 20 0 DIADHN CC(C)C[C@H](NCC1(C)OCCCO1)c1ccc(Cl)cc1 ZINC000754120658 1052095723 /nfs/dbraw/zinc/09/57/23/1052095723.db2.gz BAMOOUFVKRUMES-INIZCTEOSA-N 0 3 311.853 4.170 20 0 DIADHN Cc1ncc(Nc2ccc(OCc3ccc(F)cc3)cc2)n1C ZINC001174318979 1052096849 /nfs/dbraw/zinc/09/68/49/1052096849.db2.gz WDEMOAPIZPWIKM-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN c1ccc(CN2CCC=C(Nc3ccc(C4CC4)nc3)C2)cc1 ZINC001174395036 1052117693 /nfs/dbraw/zinc/11/76/93/1052117693.db2.gz QKOZMLWSUMAMDW-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN c1ccc(CN2CCC=C(Nc3ccc4cnccc4c3)C2)cc1 ZINC001174396162 1052118246 /nfs/dbraw/zinc/11/82/46/1052118246.db2.gz FBIOHYHCNYVDBO-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](C)Sc1ccccc1 ZINC000769870082 1052121989 /nfs/dbraw/zinc/12/19/89/1052121989.db2.gz BGKYKVLMOWFFHS-QWHCGFSZSA-N 0 3 304.459 4.377 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H]1SCCc2ccccc21 ZINC000769872289 1052123997 /nfs/dbraw/zinc/12/39/97/1052123997.db2.gz FLASOXJAMZYYTB-PXAZEXFGSA-N 0 3 316.470 4.227 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](CC)Oc1cccc(F)c1 ZINC000769873138 1052124440 /nfs/dbraw/zinc/12/44/40/1052124440.db2.gz OZZUHAHHAFXULS-WFASDCNBSA-N 0 3 320.408 4.193 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000769876004 1052126655 /nfs/dbraw/zinc/12/66/55/1052126655.db2.gz QKBZWWFWYPOLKH-VXGBXAGGSA-N 0 3 322.836 4.317 20 0 DIADHN F[C@@H]1CNCC[C@H]1O[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217794178 1052144732 /nfs/dbraw/zinc/14/47/32/1052144732.db2.gz KJKWTBFITPMCNO-KURKYZTESA-N 0 3 319.807 4.146 20 0 DIADHN F[C@H]1CNCC[C@H]1OCc1ccc(Sc2ccccc2)cc1 ZINC001217795641 1052145091 /nfs/dbraw/zinc/14/50/91/1052145091.db2.gz TUPUXDLJEUXQJB-ZWKOTPCHSA-N 0 3 317.429 4.054 20 0 DIADHN Cn1cc(CNCc2ccc(C3CCC3)cc2)c(C(C)(C)C)n1 ZINC000494842356 1052147939 /nfs/dbraw/zinc/14/79/39/1052147939.db2.gz NWTXYQGRISRDCH-UHFFFAOYSA-N 0 3 311.473 4.275 20 0 DIADHN Cc1cccc(C2=CCN(CCSc3ccncc3)CC2)c1 ZINC001168473619 1052165128 /nfs/dbraw/zinc/16/51/28/1052165128.db2.gz DMJSTDXGPYIWLS-UHFFFAOYSA-N 0 3 310.466 4.271 20 0 DIADHN COc1ccc2ccccc2c1CO[C@H]1CNCc2ccccc21 ZINC001217941842 1052174785 /nfs/dbraw/zinc/17/47/85/1052174785.db2.gz UJGYMAZSSVXPIY-NRFANRHFSA-N 0 3 319.404 4.210 20 0 DIADHN CN(C/C=C\c1ccncc1)Cc1cccc(OC(F)(F)F)c1 ZINC000494946726 1052177100 /nfs/dbraw/zinc/17/71/00/1052177100.db2.gz JCGYWLSXKBNOCO-HYXAFXHYSA-N 0 3 322.330 4.125 20 0 DIADHN CC1CCC(OC2CCN(C/C=C/c3ccncc3)CC2)CC1 ZINC000494983891 1052188260 /nfs/dbraw/zinc/18/82/60/1052188260.db2.gz COSLWRRJSHIXMC-NSCUHMNNSA-N 0 3 314.473 4.155 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218068554 1052192078 /nfs/dbraw/zinc/19/20/78/1052192078.db2.gz WTUZNPRUZQGPHD-WMZOPIPTSA-N 0 3 319.807 4.010 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1ccc(-c2ccccc2)cc1Cl ZINC001218067027 1052192123 /nfs/dbraw/zinc/19/21/23/1052192123.db2.gz PUHBZJJUFMFJSQ-NVXWUHKLSA-N 0 3 305.780 4.086 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1cccc2c(Cl)ccc(Cl)c12 ZINC001218065741 1052192388 /nfs/dbraw/zinc/19/23/88/1052192388.db2.gz YNVDWQPBWAAGFX-STQMWFEESA-N 0 3 314.187 4.225 20 0 DIADHN COCc1nc(CN[C@@H]2C[C@H](C)[C@@H]2C)c(-c2ccccc2)s1 ZINC000494978709 1052192505 /nfs/dbraw/zinc/19/25/05/1052192505.db2.gz UORMINVZAFVPLW-KCQAQPDRSA-N 0 3 316.470 4.091 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001218066982 1052192755 /nfs/dbraw/zinc/19/27/55/1052192755.db2.gz PKPILGBVNULGQS-DLBZAZTESA-N 0 3 305.780 4.086 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1ccc(-c2ccccc2)cc1Cl ZINC001218067028 1052192882 /nfs/dbraw/zinc/19/28/82/1052192882.db2.gz PUHBZJJUFMFJSQ-RDJZCZTQSA-N 0 3 305.780 4.086 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc(-c2ccco2)s1 ZINC000494991936 1052193869 /nfs/dbraw/zinc/19/38/69/1052193869.db2.gz CXQHAHJECYFARM-UHFFFAOYSA-N 0 3 312.438 4.468 20 0 DIADHN C[C@@H](c1ccccc1Br)N(C)C[C@H]1CCSC1 ZINC000494991167 1052194185 /nfs/dbraw/zinc/19/41/85/1052194185.db2.gz BOXMRNDDWUXKFK-NWDGAFQWSA-N 0 3 314.292 4.195 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000228089619 1052198940 /nfs/dbraw/zinc/19/89/40/1052198940.db2.gz UEIGTPBHWBFMIQ-CIUDSAMLSA-N 0 3 310.652 4.457 20 0 DIADHN C[NH2+][C@H]1CC[C@H](Oc2ccccc2Oc2ccccc2[O-])CC1 ZINC001218189610 1052206201 /nfs/dbraw/zinc/20/62/01/1052206201.db2.gz HPSODOIZNVLDSV-SHTZXODSSA-N 0 3 313.397 4.094 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1cccc(C(F)(F)F)c1 ZINC001218197775 1052206632 /nfs/dbraw/zinc/20/66/32/1052206632.db2.gz UVRFEVJUCYKOEL-LLVKDONJSA-N 0 3 307.315 4.449 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1cccc(-c2ccc(Cl)cc2)c1 ZINC001218224536 1052210136 /nfs/dbraw/zinc/21/01/36/1052210136.db2.gz QUAIXAIMYXWDSB-IRXDYDNUSA-N 0 3 305.780 4.086 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H](CC2CC2)c2ccccc2)cn1 ZINC000671307215 1052214936 /nfs/dbraw/zinc/21/49/36/1052214936.db2.gz FKSZGLQGTGURQJ-SFHVURJKSA-N 0 3 309.457 4.405 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1cc(F)c(Cl)cc1Cl ZINC000250128618 1052218660 /nfs/dbraw/zinc/21/86/60/1052218660.db2.gz WPVPDRYWIRHQCN-YMLDPWDYSA-N 0 3 318.219 4.351 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1cccc(OC(F)(F)F)c1 ZINC001218435941 1052238542 /nfs/dbraw/zinc/23/85/42/1052238542.db2.gz KTYDKDFPFBHASM-NSHDSACASA-N 0 3 323.314 4.328 20 0 DIADHN Clc1ccccc1C[C@H]1CCCN1C/C=C\c1ccncc1 ZINC000495162718 1052238753 /nfs/dbraw/zinc/23/87/53/1052238753.db2.gz CJKNBECYHFEOQV-LKIHTYOGSA-N 0 3 312.844 4.455 20 0 DIADHN FC1(F)CCNC[C@H]1Oc1ccc(Cl)cc1-c1ccccc1 ZINC001218451120 1052241437 /nfs/dbraw/zinc/24/14/37/1052241437.db2.gz CPADUFWMNYRYNO-MRXNPFEDSA-N 0 3 323.770 4.383 20 0 DIADHN Clc1ncc(O[C@@H]2CNCc3ccccc32)c2ccccc12 ZINC001218485291 1052245551 /nfs/dbraw/zinc/24/55/51/1052245551.db2.gz GYIJVIHQFBYQLA-MRXNPFEDSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cc(O[C@@H]2CNCc3ccccc32)nc2ccccc12 ZINC001218485450 1052245602 /nfs/dbraw/zinc/24/56/02/1052245602.db2.gz BWSLTGPSWHEOMW-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1ncc(O[C@H]2CNCc3ccccc32)c2ccccc12 ZINC001218485290 1052245949 /nfs/dbraw/zinc/24/59/49/1052245949.db2.gz GYIJVIHQFBYQLA-INIZCTEOSA-N 0 3 310.784 4.112 20 0 DIADHN CCCCC(=O)c1ccc(O[C@@H]2CNCc3ccccc32)cc1 ZINC001218485727 1052245996 /nfs/dbraw/zinc/24/59/96/1052245996.db2.gz MPASZTBBGOTUIH-HXUWFJFHSA-N 0 3 309.409 4.283 20 0 DIADHN Clc1cc(O[C@H]2CNCc3ccccc32)c2ccccc2n1 ZINC001218488471 1052247219 /nfs/dbraw/zinc/24/72/19/1052247219.db2.gz XNOCSWSHJZHROM-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cccc2nc(O[C@@H]3CNCc4ccccc43)sc21 ZINC001218489920 1052247143 /nfs/dbraw/zinc/24/71/43/1052247143.db2.gz PTDDOANHFLRZGB-CQSZACIVSA-N 0 3 316.813 4.173 20 0 DIADHN Clc1nccc2cc(O[C@@H]3CNCc4ccccc43)ccc21 ZINC001218486550 1052247305 /nfs/dbraw/zinc/24/73/05/1052247305.db2.gz CGFULTKMVBVIBF-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cnc(O[C@H]2CNCc3ccccc32)c2ccccc12 ZINC001218489619 1052247347 /nfs/dbraw/zinc/24/73/47/1052247347.db2.gz PNVASIITEWQLAJ-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN O=C1CCC(c2ccc(O[C@H]3CNCc4ccccc43)cc2)CC1 ZINC001218487776 1052247450 /nfs/dbraw/zinc/24/74/50/1052247450.db2.gz WLLTYIQFGIJWTI-NRFANRHFSA-N 0 3 321.420 4.137 20 0 DIADHN Clc1ccc2ccc(O[C@H]3CNCc4ccccc43)nc2c1 ZINC001218487487 1052248013 /nfs/dbraw/zinc/24/80/13/1052248013.db2.gz UMOLHYFTYGRKDU-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cccc2ccc(O[C@H]3CNCc4ccccc43)nc12 ZINC001218489543 1052248315 /nfs/dbraw/zinc/24/83/15/1052248315.db2.gz PQFDUTIJNMEDCI-INIZCTEOSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc2cccc(O[C@H]3CNCc4ccccc43)c2c1 ZINC001218488562 1052248438 /nfs/dbraw/zinc/24/84/38/1052248438.db2.gz YKIGJBSFLWIYTG-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1cccc2sc(O[C@H]3CNCc4ccccc43)nc21 ZINC001218490126 1052249681 /nfs/dbraw/zinc/24/96/81/1052249681.db2.gz RPCSCUWRMZLIRJ-ZDUSSCGKSA-N 0 3 316.813 4.173 20 0 DIADHN N[C@H](CC(=O)Nc1ccccc1)c1ccc(Cl)cc1Cl ZINC001218808143 1052256247 /nfs/dbraw/zinc/25/62/47/1052256247.db2.gz AOCICYSCUYTSCJ-CQSZACIVSA-N 0 3 309.196 4.022 20 0 DIADHN Cc1ccccc1-c1cccc(NC(=O)[C@@H](N)CC2CCC2)c1 ZINC001218954997 1052263770 /nfs/dbraw/zinc/26/37/70/1052263770.db2.gz BTHCFBGGEXLMSA-IBGZPJMESA-N 0 3 308.425 4.118 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C\c2ccc(Cl)cc2)c1 ZINC000914844124 1052266132 /nfs/dbraw/zinc/26/61/32/1052266132.db2.gz LAIUMKVPUIJWFH-FLIBITNWSA-N 0 3 314.816 4.054 20 0 DIADHN CC(C)(C)Sc1ccccc1NC(=O)[C@@H](N)CC1CCC1 ZINC001219222872 1052278513 /nfs/dbraw/zinc/27/85/13/1052278513.db2.gz DSUGUOPTMSOUMT-ZDUSSCGKSA-N 0 3 306.475 4.033 20 0 DIADHN CC[C@H](NCCOCC(F)F)c1ccc(Cl)cc1Cl ZINC000231688925 1052286943 /nfs/dbraw/zinc/28/69/43/1052286943.db2.gz VYVNVRBPGKXFFI-LBPRGKRZSA-N 0 3 312.187 4.316 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(OC(F)(F)F)c1 ZINC000231750629 1052288069 /nfs/dbraw/zinc/28/80/69/1052288069.db2.gz LDJYBWFGJKTMIB-QMMMGPOBSA-N 0 3 301.230 4.016 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1cccc(SC)c1 ZINC000523559454 1052295720 /nfs/dbraw/zinc/29/57/20/1052295720.db2.gz XQDIPDLOFPRTIZ-OAHLLOKOSA-N 0 3 306.475 4.002 20 0 DIADHN Clc1cc(Cl)cc(CNCCOCC2CCCC2)c1 ZINC000313255822 1052298081 /nfs/dbraw/zinc/29/80/81/1052298081.db2.gz WREWOVPEGPQIGV-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000302957095 1052307923 /nfs/dbraw/zinc/30/79/23/1052307923.db2.gz OBMXURKIIQWOAD-HZPDHXFCSA-N 0 3 312.457 4.185 20 0 DIADHN CCCCN1CCC[C@@H]1c1cc(Br)ccc1OC ZINC000303173117 1052317602 /nfs/dbraw/zinc/31/76/02/1052317602.db2.gz WANFBIMOELTCRL-CQSZACIVSA-N 0 3 312.251 4.395 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)[C@H]1CCC[C@@H](c2ccccc2)N1 ZINC001220251692 1052325063 /nfs/dbraw/zinc/32/50/63/1052325063.db2.gz BTAKLTOVSVVJBF-YTQUADARSA-N 0 3 316.489 4.201 20 0 DIADHN CCC1(NC(=O)[C@H]2CCC[C@@H](c3ccccc3)N2)CCCCC1 ZINC001220338501 1052329889 /nfs/dbraw/zinc/32/98/89/1052329889.db2.gz BDCKFXXBHYHFNB-ZWKOTPCHSA-N 0 3 314.473 4.099 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1coc(-c2cccc(F)c2)n1 ZINC000303689227 1052338179 /nfs/dbraw/zinc/33/81/79/1052338179.db2.gz PBFHJYZBKIALRX-QGZVFWFLSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1csc2nc(C)c(CN[C@@H]3CCCc4ccccc43)n12 ZINC000315690591 1052347618 /nfs/dbraw/zinc/34/76/18/1052347618.db2.gz UCHOZSRWXLBEBP-MRXNPFEDSA-N 0 3 311.454 4.180 20 0 DIADHN Cc1c(CNCc2cccc(F)c2)sc2nc(C)nc(C)c12 ZINC000915224725 1052369921 /nfs/dbraw/zinc/36/99/21/1052369921.db2.gz IQIMEXSLPFEGNQ-UHFFFAOYSA-N 0 3 315.417 4.045 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H]2CCCc3sccc32)c1 ZINC000495692003 1052401034 /nfs/dbraw/zinc/40/10/34/1052401034.db2.gz UMRHFQBQPYMSJR-OAHLLOKOSA-N 0 3 316.426 4.094 20 0 DIADHN CC(=O)Oc1ccc(CN2CCCCC[C@H]2c2ccco2)cc1 ZINC000762630034 1052410339 /nfs/dbraw/zinc/41/03/39/1052410339.db2.gz STZAEIHLEOUNOY-SFHVURJKSA-N 0 3 313.397 4.322 20 0 DIADHN c1coc(-c2nc(CNC[C@@H]3C[C@H]3c3ccccc3)cs2)c1 ZINC000236589819 1052427157 /nfs/dbraw/zinc/42/71/57/1052427157.db2.gz MRUHEZFSZFETAT-HOCLYGCPSA-N 0 3 310.422 4.296 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCO2)c1cccc(Cl)c1 ZINC000237032287 1052435040 /nfs/dbraw/zinc/43/50/40/1052435040.db2.gz DGXNLSTUUNORSX-MRXNPFEDSA-N 0 3 317.816 4.352 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)Nc1ccccc1)c1ccc(F)cc1F ZINC000237319933 1052438339 /nfs/dbraw/zinc/43/83/39/1052438339.db2.gz GVRVSABHZWOBRF-QWHCGFSZSA-N 0 3 318.367 4.033 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCCc3ccccc32)c1 ZINC000237366867 1052440533 /nfs/dbraw/zinc/44/05/33/1052440533.db2.gz ITUYBQDZSSIEJU-VLIAUNLRSA-N 0 3 308.425 4.373 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)N[C@@H](C)c1ccccc1Cl ZINC000237510398 1052441860 /nfs/dbraw/zinc/44/18/60/1052441860.db2.gz SZLQOEKIWIYLGG-KGLIPLIRSA-N 0 3 316.832 4.408 20 0 DIADHN C[C@](CO)(NCc1cc(-c2ccccc2)cs1)c1ccccc1 ZINC000237635204 1052442665 /nfs/dbraw/zinc/44/26/65/1052442665.db2.gz HYJXJXPNNRFFAT-HXUWFJFHSA-N 0 3 323.461 4.412 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H](c2ccco2)N2CCCCC2)c1 ZINC000237862964 1052446002 /nfs/dbraw/zinc/44/60/02/1052446002.db2.gz HDGVWXROLQWWGK-SFHVURJKSA-N 0 3 316.420 4.044 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cnn(-c3ccc(F)cc3)c2)c1 ZINC000237922768 1052446950 /nfs/dbraw/zinc/44/69/50/1052446950.db2.gz PUYZXWHQMYXBAV-UHFFFAOYSA-N 0 3 323.415 4.345 20 0 DIADHN Cc1cc(CN[C@H]2CCSc3ccc(F)cc32)cc(C)c1O ZINC000315765858 1052453249 /nfs/dbraw/zinc/45/32/49/1052453249.db2.gz JSIKGVHLRGKBEU-INIZCTEOSA-N 0 3 317.429 4.475 20 0 DIADHN COCc1ccc(Cl)c(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001222251287 1052469399 /nfs/dbraw/zinc/46/93/99/1052469399.db2.gz QKDJONRAHFJNAS-UHFFFAOYSA-N 0 3 316.832 4.143 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCO2)c1cc2c(s1)CCCC2 ZINC000316649056 1052470180 /nfs/dbraw/zinc/47/01/80/1052470180.db2.gz QHXOPZSOIHRMQV-LBPRGKRZSA-N 0 3 315.438 4.206 20 0 DIADHN C[C@@H](NCc1cccc2c1OCO2)c1cc2c(s1)CCCC2 ZINC000316626181 1052470539 /nfs/dbraw/zinc/47/05/39/1052470539.db2.gz LYOVPJLOVJMPRK-GFCCVEGCSA-N 0 3 315.438 4.206 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCC[C@H](C)C2)c(C)c1 ZINC000026467114 1052491187 /nfs/dbraw/zinc/49/11/87/1052491187.db2.gz FZKWTUVOCFNQPL-SUMWQHHRSA-N 0 3 302.462 4.061 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)C1 ZINC000322561972 1052491641 /nfs/dbraw/zinc/49/16/41/1052491641.db2.gz IYZQJAIMBZXOLS-YESZJQIVSA-N 0 3 318.509 4.260 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@H]1CCc2c1cc(F)cc2F ZINC000154628619 1052509658 /nfs/dbraw/zinc/50/96/58/1052509658.db2.gz IDMAQJGFZBVILT-MSOLQXFVSA-N 0 3 303.327 4.368 20 0 DIADHN Cc1cc(Br)cc(C)c1CN1CC[C@@](C)(CF)C1 ZINC001235780509 1052512334 /nfs/dbraw/zinc/51/23/34/1052512334.db2.gz OJKWZJJZIKQAIX-HNNXBMFYSA-N 0 3 314.242 4.247 20 0 DIADHN CCOC(CN(CC)[C@H](C)c1cc2ccccc2o1)OCC ZINC000763159093 1052518216 /nfs/dbraw/zinc/51/82/16/1052518216.db2.gz UTUMVSKAHIWDRC-CQSZACIVSA-N 0 3 305.418 4.215 20 0 DIADHN CCOC(CN(CC)[C@@H](C)c1cc2ccccc2o1)OCC ZINC000763159092 1052518409 /nfs/dbraw/zinc/51/84/09/1052518409.db2.gz UTUMVSKAHIWDRC-AWEZNQCLSA-N 0 3 305.418 4.215 20 0 DIADHN COc1ccc(C(=O)C2CCN(Cc3ccc(C)cc3)CC2)cc1 ZINC000054886644 1052523573 /nfs/dbraw/zinc/52/35/73/1052523573.db2.gz WYDINUZYJWSQHU-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN Cc1cccc(C)c1CO[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001222829372 1052537818 /nfs/dbraw/zinc/53/78/18/1052537818.db2.gz ZEEDXTHWALKEKJ-RTBURBONSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1C ZINC001222850599 1052539124 /nfs/dbraw/zinc/53/91/24/1052539124.db2.gz BMATUSSQFKGENK-AZUAARDMSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001222853965 1052539717 /nfs/dbraw/zinc/53/97/17/1052539717.db2.gz IWCSWBHMWPMCOL-QUCCMNQESA-N 0 3 324.468 4.441 20 0 DIADHN COc1ccccc1[C@@H](NC[C@@H](C)C(C)(C)C)c1ccccn1 ZINC000670268160 1052544227 /nfs/dbraw/zinc/54/42/27/1052544227.db2.gz LXXMBAFDSZIFLV-DNVCBOLYSA-N 0 3 312.457 4.451 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccccc2)cc1 ZINC000107071006 1052544595 /nfs/dbraw/zinc/54/45/95/1052544595.db2.gz XVZISGHJAYQLBB-KGLIPLIRSA-N 0 3 314.454 4.086 20 0 DIADHN Cc1ccc(C)c(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001222907645 1052544989 /nfs/dbraw/zinc/54/49/89/1052544989.db2.gz CTCWJEMSESUQDX-AZUAARDMSA-N 0 3 324.468 4.441 20 0 DIADHN COc1cccc(-c2noc([C@H](C)NC[C@@H](C)C(C)(C)C)n2)c1 ZINC000670270141 1052545256 /nfs/dbraw/zinc/54/52/56/1052545256.db2.gz ZXLOSUMPPHINRS-OLZOCXBDSA-N 0 3 317.433 4.078 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(Cc2cccc3cnccc32)C1 ZINC000670272133 1052549138 /nfs/dbraw/zinc/54/91/38/1052549138.db2.gz LUMSVVQAKAGYDW-FQEVSTJZSA-N 0 3 306.384 4.306 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H]1CCc3c1cc(F)cc3F)CC2 ZINC000154668344 1052565778 /nfs/dbraw/zinc/56/57/78/1052565778.db2.gz FUYHTZAQWNCHSI-MSOLQXFVSA-N 0 3 303.327 4.368 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H]1CCc3c1cc(F)cc3F)CC2 ZINC000154668192 1052565845 /nfs/dbraw/zinc/56/58/45/1052565845.db2.gz FUYHTZAQWNCHSI-QZTJIDSGSA-N 0 3 303.327 4.368 20 0 DIADHN CCN1CC[C@H](OC2(Cl)c3ccccc3-c3ccccc32)C1 ZINC001223116934 1052568708 /nfs/dbraw/zinc/56/87/08/1052568708.db2.gz IGCFZBYMFZWSIO-AWEZNQCLSA-N 0 3 313.828 4.218 20 0 DIADHN CN1CCC[C@H](OC2(Cl)c3ccccc3-c3ccccc32)C1 ZINC001223116392 1052568883 /nfs/dbraw/zinc/56/88/83/1052568883.db2.gz BQPHFWDRIUXIPZ-AWEZNQCLSA-N 0 3 313.828 4.218 20 0 DIADHN COc1ccc([C@H](NCc2cc(F)cc(F)c2)C2CC2)cc1 ZINC001168508414 1052586927 /nfs/dbraw/zinc/58/69/27/1052586927.db2.gz BZFHYEKRGWYPBM-GOSISDBHSA-N 0 3 303.352 4.214 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1-c1cncc(CN(C)C)c1 ZINC001235942127 1052589127 /nfs/dbraw/zinc/58/91/27/1052589127.db2.gz NFHFQSXLNSMCNY-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](O[C@H]2CCc3ccccc32)C1 ZINC001223419711 1052599145 /nfs/dbraw/zinc/59/91/45/1052599145.db2.gz JEVNJGGCBYSDOK-BLIXFSHQSA-N 0 3 322.452 4.311 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N(C)C)c1ccc(F)cc1Cl ZINC000037218153 1052613414 /nfs/dbraw/zinc/61/34/14/1052613414.db2.gz UVEBTKKVXNAYPN-XHDPSFHLSA-N 0 3 310.800 4.026 20 0 DIADHN C[C@H](NCCc1cccnc1)c1cc(F)c(Cl)cc1Cl ZINC000037718158 1052629372 /nfs/dbraw/zinc/62/93/72/1052629372.db2.gz SPQZPBKEWHDPEF-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N(C)CC1CCCCC1 ZINC000220306181 1052638316 /nfs/dbraw/zinc/63/83/16/1052638316.db2.gz MIXLKQHDIMUDGU-LBPRGKRZSA-N 0 3 305.447 4.371 20 0 DIADHN Cc1nc(CN2CC[C@@H](Cc3ccccc3)C2)nc2ccccc12 ZINC000124292221 1052639135 /nfs/dbraw/zinc/63/91/35/1052639135.db2.gz BIHQPGAAZGXBEQ-SFHVURJKSA-N 0 3 317.436 4.003 20 0 DIADHN Cn1ccc(CN[C@H](COc2ccccc2F)c2ccccc2)c1 ZINC000124513726 1052641008 /nfs/dbraw/zinc/64/10/08/1052641008.db2.gz XBRPGNJLUFTURK-LJQANCHMSA-N 0 3 324.399 4.074 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1cccc(OC(C)C)c1 ZINC000124643542 1052641964 /nfs/dbraw/zinc/64/19/64/1052641964.db2.gz DQDNDYFUOOFMQV-OAHLLOKOSA-N 0 3 323.440 4.281 20 0 DIADHN CCc1ccc([C@@H](NCc2ccc(COC)o2)C(C)C)cc1 ZINC000125219312 1052646637 /nfs/dbraw/zinc/64/66/37/1052646637.db2.gz NEAGCLJEBRPJES-IBGZPJMESA-N 0 3 301.430 4.475 20 0 DIADHN COc1cccc2cc(CNCCO[C@@H]3CCCC[C@H]3C)oc21 ZINC000916838452 1052658019 /nfs/dbraw/zinc/65/80/19/1052658019.db2.gz CSQFNBFKRROKGB-RHSMWYFYSA-N 0 3 317.429 4.126 20 0 DIADHN C[C@H](O[C@@H]1CN(Cc2ccccc2)CC[C@@H]1C)c1nccs1 ZINC001224004541 1052658804 /nfs/dbraw/zinc/65/88/04/1052658804.db2.gz UXZOUVXJKZFZNC-YQQAZPJKSA-N 0 3 316.470 4.131 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](O[C@@H](C)c2ccc(F)cc2)C1 ZINC001224008407 1052659854 /nfs/dbraw/zinc/65/98/54/1052659854.db2.gz CLJIYGKEXSHZTH-RVKKMQEKSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNC1(c2ccccc2)CCC1 ZINC000125968080 1052660342 /nfs/dbraw/zinc/66/03/42/1052660342.db2.gz FYVJPTXQABBVMT-UHFFFAOYSA-N 0 3 317.436 4.350 20 0 DIADHN CC(C)c1ccc(-c2nnc(SC[C@@H]3CCCN3C)o2)cc1 ZINC000916874196 1052663623 /nfs/dbraw/zinc/66/36/23/1052663623.db2.gz RWTZIBFDXYHNKF-HNNXBMFYSA-N 0 3 317.458 4.046 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCO2)c1ccc(Cl)cc1 ZINC000126071601 1052664870 /nfs/dbraw/zinc/66/48/70/1052664870.db2.gz YMJHUOPVLNVFBC-CYBMUJFWSA-N 0 3 317.816 4.352 20 0 DIADHN FC(F)Oc1cccc(CNC2(c3ccccc3)CCC2)c1 ZINC000065275617 1052665968 /nfs/dbraw/zinc/66/59/68/1052665968.db2.gz YUGVBUHWHORPFV-UHFFFAOYSA-N 0 3 303.352 4.457 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(OC)c3ccccc32)cn1 ZINC000126386505 1052669426 /nfs/dbraw/zinc/66/94/26/1052669426.db2.gz IEYLPSXGZMFFRX-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Fc1ccc(Cl)cc1CN1C[C@@H]2CNc3ccccc3[C@H]2C1 ZINC001224119550 1052670439 /nfs/dbraw/zinc/67/04/39/1052670439.db2.gz QTIMZEINROHDSB-BBRMVZONSA-N 0 3 316.807 4.120 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](OCc2coc3ccccc23)C1 ZINC001224142990 1052672587 /nfs/dbraw/zinc/67/25/87/1052672587.db2.gz MJPIGCJHWYPAEU-DOTOQJQBSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000126646806 1052672682 /nfs/dbraw/zinc/67/26/82/1052672682.db2.gz QQFPOVHWUOUGLS-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)c1ccc(CN(C)C[C@H](O)c2cc(F)ccc2F)cc1 ZINC000916969499 1052675639 /nfs/dbraw/zinc/67/56/39/1052675639.db2.gz ZIUHXIYRZNJURX-IBGZPJMESA-N 0 3 319.395 4.254 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000917000621 1052678608 /nfs/dbraw/zinc/67/86/08/1052678608.db2.gz XBIYMQJTSDILFO-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1C[C@H](O)c1c(F)cccc1F ZINC000917012911 1052679879 /nfs/dbraw/zinc/67/98/79/1052679879.db2.gz MZDOMLYTCGDKGB-MSOLQXFVSA-N 0 3 317.379 4.144 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2ccc(CC)cc2)cc1 ZINC000065981125 1052683592 /nfs/dbraw/zinc/68/35/92/1052683592.db2.gz BRDYKZOUMOHIFW-UHFFFAOYSA-N 0 3 324.468 4.069 20 0 DIADHN CC(C)(NCc1ccc2c(c1)COC2)c1ccc(Cl)cc1 ZINC000763418673 1052692218 /nfs/dbraw/zinc/69/22/18/1052692218.db2.gz JVAMODBANBSHGS-UHFFFAOYSA-N 0 3 301.817 4.395 20 0 DIADHN C[C@H](CNCc1coc(-c2cccs2)n1)c1ccc(F)cc1 ZINC000127794630 1052703036 /nfs/dbraw/zinc/70/30/36/1052703036.db2.gz MICVURMQDYBVQQ-GFCCVEGCSA-N 0 3 316.401 4.436 20 0 DIADHN Cc1ccc(CNCc2ccc(C)c(Br)c2)s1 ZINC000127873072 1052703242 /nfs/dbraw/zinc/70/32/42/1052703242.db2.gz XAUCXPLAMPHZCQ-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CC(C)CN(CC(C)(C)C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000128057664 1052707758 /nfs/dbraw/zinc/70/77/58/1052707758.db2.gz FIZZKECGOLXNKS-UHFFFAOYSA-N 0 3 319.493 4.284 20 0 DIADHN CCOc1ccc(CNCc2ccc(CC)s2)cc1OC ZINC000067693040 1052709559 /nfs/dbraw/zinc/70/95/59/1052709559.db2.gz JFEQPQONXDRKIV-UHFFFAOYSA-N 0 3 305.443 4.008 20 0 DIADHN CCN(C[C@H](O)c1c(F)cccc1F)[C@H](C)c1ccc(F)cc1 ZINC000917141192 1052711148 /nfs/dbraw/zinc/71/11/48/1052711148.db2.gz QBRPELKEFLBOGO-PXAZEXFGSA-N 0 3 323.358 4.220 20 0 DIADHN Cc1csc(CNCC(c2ccccc2)c2ccccc2)n1 ZINC000128148029 1052711416 /nfs/dbraw/zinc/71/14/16/1052711416.db2.gz BBKBILOERMVFMW-UHFFFAOYSA-N 0 3 308.450 4.373 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OC/C=C/c2ccccc2)C1 ZINC001224680270 1052715383 /nfs/dbraw/zinc/71/53/83/1052715383.db2.gz XICCFKITRCUPMN-FFQNAWCLSA-N 0 3 322.452 4.337 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2cccs2)c1 ZINC000089725107 1052718396 /nfs/dbraw/zinc/71/83/96/1052718396.db2.gz ZULZDEMZEDMYMV-HIFRSBDPSA-N 0 3 300.427 4.280 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@H](C)c2ccsc2)c1 ZINC000089725388 1052719542 /nfs/dbraw/zinc/71/95/42/1052719542.db2.gz JPVBYFOCHIMJGU-CJNGLKHVSA-N 0 3 300.427 4.280 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OCC2CC(C)(C)C2)C1 ZINC001224769018 1052724613 /nfs/dbraw/zinc/72/46/13/1052724613.db2.gz IONBQIJLZRKZKT-NVXWUHKLSA-N 0 3 302.462 4.060 20 0 DIADHN Cc1cccc(CCO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001224872440 1052732815 /nfs/dbraw/zinc/73/28/15/1052732815.db2.gz IQGSWYWOOLTXJT-AZUAARDMSA-N 0 3 324.468 4.175 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)CC(=O)Nc1c(C)cccc1C ZINC000128671575 1052741929 /nfs/dbraw/zinc/74/19/29/1052741929.db2.gz BTUBSTHUZJUHFI-LJQANCHMSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccsc1)c1ccc(Cl)cc1Cl ZINC000154505130 1052753078 /nfs/dbraw/zinc/75/30/78/1052753078.db2.gz MFHHDRDGSOHEQP-OTYXRUKQSA-N 0 3 316.253 4.439 20 0 DIADHN Cc1ccc(C)c(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001225220925 1052757860 /nfs/dbraw/zinc/75/78/60/1052757860.db2.gz FJPBVDDIJHPGOK-MSOLQXFVSA-N 0 3 310.441 4.303 20 0 DIADHN Fc1ccc(O[C@@H]2CCCN(Cc3ccccc3)C2)c(F)c1 ZINC001225311489 1052772168 /nfs/dbraw/zinc/77/21/68/1052772168.db2.gz GWBOBCNDAANBEU-MRXNPFEDSA-N 0 3 303.352 4.008 20 0 DIADHN Cc1ccc(F)cc1CNCc1cccc(Br)c1 ZINC000129055714 1052772745 /nfs/dbraw/zinc/77/27/45/1052772745.db2.gz DKZMBBQTFHXMEL-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CC[C@@H](CNCc1ccc(COC)o1)Oc1ccccc1Cl ZINC000129073913 1052774802 /nfs/dbraw/zinc/77/48/02/1052774802.db2.gz IKBXVSVTMDVBHO-ZDUSSCGKSA-N 0 3 323.820 4.027 20 0 DIADHN CCCCC(=O)[C@H](CCCC)Oc1ccc(CN(C)C)cc1 ZINC001225333334 1052775777 /nfs/dbraw/zinc/77/57/77/1052775777.db2.gz ONSCQBLCYMUKIA-IBGZPJMESA-N 0 3 305.462 4.445 20 0 DIADHN C[C@H](c1ccco1)N(C)CCCc1nc(-c2cccs2)no1 ZINC000069068216 1052779236 /nfs/dbraw/zinc/77/92/36/1052779236.db2.gz YPCSCQURPXAENO-GFCCVEGCSA-N 0 3 317.414 4.017 20 0 DIADHN CC(C)c1cc(Br)ccc1O[C@@H]1CN2CCC1CC2 ZINC001225383691 1052783306 /nfs/dbraw/zinc/78/33/06/1052783306.db2.gz FNXYSXCRBHZUCF-MRXNPFEDSA-N 0 3 324.262 4.046 20 0 DIADHN Cc1c[nH]c2cccc(O[C@@H]3CCN([C@H](C)c4ccccn4)C3)c12 ZINC001225392371 1052784792 /nfs/dbraw/zinc/78/47/92/1052784792.db2.gz CVAIATYVQMOIRU-HZPDHXFCSA-N 0 3 321.424 4.086 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N(C)Cc1cccc(C(N)=O)c1 ZINC000918191683 1052786788 /nfs/dbraw/zinc/78/67/88/1052786788.db2.gz AQXYDLWHLRTTIJ-OAHLLOKOSA-N 0 3 318.420 4.132 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)c3ccccc3)o2)cc1 ZINC000918198830 1052787922 /nfs/dbraw/zinc/78/79/22/1052787922.db2.gz PTRFUJFCRICTBO-LJQANCHMSA-N 0 3 307.393 4.078 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@H](C)c2ccccc2)c1 ZINC000129414483 1052789051 /nfs/dbraw/zinc/78/90/51/1052789051.db2.gz AXVBEBRZRMMQTK-HZPDHXFCSA-N 0 3 310.441 4.066 20 0 DIADHN C[C@H](NCCCSc1ccc(F)cc1)c1ccncc1F ZINC000556053421 1052793935 /nfs/dbraw/zinc/79/39/35/1052793935.db2.gz SBZHHXJVFVJXQP-LBPRGKRZSA-N 0 3 308.397 4.193 20 0 DIADHN C[C@H](NCc1cccnc1N(C)C)c1ccc(F)c2ccccc21 ZINC000556058826 1052794525 /nfs/dbraw/zinc/79/45/25/1052794525.db2.gz PKCCAXBRJHSRKY-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2ccc(Cl)cc2)c1 ZINC000069645313 1052797204 /nfs/dbraw/zinc/79/72/04/1052797204.db2.gz MRBFAYNOHSJVDC-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN CC(=O)c1ccccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001225572659 1052803361 /nfs/dbraw/zinc/80/33/61/1052803361.db2.gz NDRLOLZKSOUOLZ-SFHVURJKSA-N 0 3 310.305 4.078 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(C2CC2)c1)C(=O)Nc1ccccc1 ZINC000556118278 1052803803 /nfs/dbraw/zinc/80/38/03/1052803803.db2.gz AQWFATUXUYDJGI-LSDHHAIUSA-N 0 3 308.425 4.242 20 0 DIADHN COc1c(C)cnc(CN(C)Cc2cccc3ccccc32)c1C ZINC000556149946 1052810027 /nfs/dbraw/zinc/81/00/27/1052810027.db2.gz RLWKAUZIZFHNAP-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN Cc1cc2c(ccc(O[C@H]3CCN(Cc4ccccc4)C3)c2F)[nH]1 ZINC001225641320 1052811556 /nfs/dbraw/zinc/81/15/56/1052811556.db2.gz GMYBGRRJVMHPBF-INIZCTEOSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1cc2c(ccc(O[C@@H]3CCN(Cc4ccccc4)C3)c2F)[nH]1 ZINC001225641325 1052811584 /nfs/dbraw/zinc/81/15/84/1052811584.db2.gz GMYBGRRJVMHPBF-MRXNPFEDSA-N 0 3 324.399 4.269 20 0 DIADHN C[C@H](NCc1c2c(nn1C)CCCC2)c1cccc(C2CC2)c1 ZINC000556194049 1052818020 /nfs/dbraw/zinc/81/80/20/1052818020.db2.gz YZGVTGZPFWWXBN-AWEZNQCLSA-N 0 3 309.457 4.027 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cc(F)cc2cccnc21 ZINC000130089591 1052823313 /nfs/dbraw/zinc/82/33/13/1052823313.db2.gz BOUNGGKQMYTPNW-SFHVURJKSA-N 0 3 309.388 4.352 20 0 DIADHN CO[C@H](CN[C@@H](c1cccc(C)c1)c1cccc(F)c1)C1CC1 ZINC000556238717 1052824767 /nfs/dbraw/zinc/82/47/67/1052824767.db2.gz LJYYURFWEXTMIV-UXHICEINSA-N 0 3 313.416 4.238 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1cccs1 ZINC000556242607 1052825155 /nfs/dbraw/zinc/82/51/55/1052825155.db2.gz UCGQIFOVGMPTKY-QWHCGFSZSA-N 0 3 315.417 4.485 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(-c3cccnc3)cc2)ccn1 ZINC000556245190 1052826394 /nfs/dbraw/zinc/82/63/94/1052826394.db2.gz GDPZZNXXRVQAME-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@H](Cc1cccnc1)c1cccc(Cl)c1 ZINC000556257980 1052828871 /nfs/dbraw/zinc/82/88/71/1052828871.db2.gz TVERPVQAGCFDCC-FGTMMUONSA-N 0 3 318.823 4.499 20 0 DIADHN Cc1cc(C)cc(OCCN[C@H](c2ccc(F)cn2)C(C)C)c1 ZINC000498411711 1052832012 /nfs/dbraw/zinc/83/20/12/1052832012.db2.gz FSDQENUEBNVNPH-IBGZPJMESA-N 0 3 316.420 4.203 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccnc(OC(F)F)c2)c1 ZINC000556293479 1052835161 /nfs/dbraw/zinc/83/51/61/1052835161.db2.gz VERKMGAFDWJZKC-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN CC(C)[C@@H](C)SC[C@@H]1CN(Cc2ccccc2)CCCO1 ZINC000556338030 1052840036 /nfs/dbraw/zinc/84/00/36/1052840036.db2.gz DXCOSWHUUNAWBM-AEFFLSMTSA-N 0 3 307.503 4.055 20 0 DIADHN Fc1ccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)c(F)c1 ZINC000556354045 1052842609 /nfs/dbraw/zinc/84/26/09/1052842609.db2.gz VOKDLURZDJTIED-OAHLLOKOSA-N 0 3 319.355 4.094 20 0 DIADHN COc1cccc([C@H](C)N2CCC(CC(F)(F)F)CC2)c1 ZINC000556506483 1052858077 /nfs/dbraw/zinc/85/80/77/1052858077.db2.gz VOBQEXKQLPJXGJ-LBPRGKRZSA-N 0 3 301.352 4.421 20 0 DIADHN Cc1c(Cl)cccc1N1CCCN(CCC(F)(F)F)CC1 ZINC000556533576 1052860917 /nfs/dbraw/zinc/86/09/17/1052860917.db2.gz KSVROZMTMPXMDX-UHFFFAOYSA-N 0 3 320.786 4.113 20 0 DIADHN COc1ncc([C@H](C)NCc2ccc(C3CC3)cc2)cc1Cl ZINC000556572403 1052866471 /nfs/dbraw/zinc/86/64/71/1052866471.db2.gz DTTHWYXSDZOSTK-LBPRGKRZSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)no1 ZINC000556585368 1052867253 /nfs/dbraw/zinc/86/72/53/1052867253.db2.gz KTMOSELFWYBURI-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(C(C)(C)C)nc2)[C@H]2CCCC[C@@H]12 ZINC000556599361 1052869510 /nfs/dbraw/zinc/86/95/10/1052869510.db2.gz MHNFWQRPLBGOAH-PVAVHDDUSA-N 0 3 301.478 4.175 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccnc(OC(F)F)c2)c1C ZINC000556608475 1052870132 /nfs/dbraw/zinc/87/01/32/1052870132.db2.gz GWCJKEPPOKQDJK-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1ccc([C@H](NCCCCCO)c2ccccc2Cl)cc1 ZINC000556599916 1052870226 /nfs/dbraw/zinc/87/02/26/1052870226.db2.gz BKSYJBUKBGTZLW-IBGZPJMESA-N 0 3 317.860 4.490 20 0 DIADHN C[C@@H]1CN(Cc2cnc(C(C)(C)C)nc2)CC[C@@H]1c1ccccc1 ZINC000556601335 1052870352 /nfs/dbraw/zinc/87/03/52/1052870352.db2.gz JXZWOGHSQJVTBR-APWZRJJASA-N 0 3 323.484 4.400 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2c(C)cc(Cl)cc2C)CC1 ZINC000556607616 1052870366 /nfs/dbraw/zinc/87/03/66/1052870366.db2.gz GGNDDUUKLDZMTR-UHFFFAOYSA-N 0 3 317.864 4.368 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccnc(OC(F)F)c2)c1C ZINC000556608474 1052870542 /nfs/dbraw/zinc/87/05/42/1052870542.db2.gz GWCJKEPPOKQDJK-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1cnc(Cl)c(OC2CCN(Cc3ccccc3)CC2)c1 ZINC001226356271 1052888389 /nfs/dbraw/zinc/88/83/89/1052888389.db2.gz LXTACHHAGABJHE-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN CN(Cc1conc1Cc1ccccc1)C1CCC(F)(F)CC1 ZINC000556868328 1052890742 /nfs/dbraw/zinc/89/07/42/1052890742.db2.gz RFMPDMBPZTVMKX-UHFFFAOYSA-N 0 3 320.383 4.275 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1F)NCc1nc(C2CC2)cs1 ZINC000556886751 1052892787 /nfs/dbraw/zinc/89/27/87/1052892787.db2.gz OKFLUAILHJGPHI-NSHDSACASA-N 0 3 322.424 4.410 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1cc(C)ccn1 ZINC000556886487 1052893138 /nfs/dbraw/zinc/89/31/38/1052893138.db2.gz VILRJMWVQSWKMS-LBTNJELSSA-N 0 3 318.848 4.470 20 0 DIADHN CC(C)c1ncc(CN2CC[C@H](c3ccccc3F)C2)s1 ZINC000556882798 1052893556 /nfs/dbraw/zinc/89/35/56/1052893556.db2.gz DXZABCQPVHLOKW-ZDUSSCGKSA-N 0 3 304.434 4.395 20 0 DIADHN CC(C)c1ncc(CN2CC[C@@H](c3ccccc3F)C2)s1 ZINC000556882797 1052893821 /nfs/dbraw/zinc/89/38/21/1052893821.db2.gz DXZABCQPVHLOKW-CYBMUJFWSA-N 0 3 304.434 4.395 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1cc(C)ccn1 ZINC000556890553 1052894671 /nfs/dbraw/zinc/89/46/71/1052894671.db2.gz RNEMGKAOCYJLQC-IYOUNJFTSA-N 0 3 318.848 4.470 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3cccc(Cl)c3)C2)s1 ZINC000556909840 1052896580 /nfs/dbraw/zinc/89/65/80/1052896580.db2.gz OMIGNHBJLRAFJN-ZDUSSCGKSA-N 0 3 306.862 4.348 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)c(C)c1 ZINC000556910229 1052897316 /nfs/dbraw/zinc/89/73/16/1052897316.db2.gz QFYJYEHSEZALKE-FQEVSTJZSA-N 0 3 309.453 4.297 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000556909390 1052897561 /nfs/dbraw/zinc/89/75/61/1052897561.db2.gz BKYLRQBALGMFOS-MRXNPFEDSA-N 0 3 317.864 4.415 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000556909389 1052897653 /nfs/dbraw/zinc/89/76/53/1052897653.db2.gz BKYLRQBALGMFOS-INIZCTEOSA-N 0 3 317.864 4.415 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(CSC)cc1 ZINC000556923684 1052900521 /nfs/dbraw/zinc/90/05/21/1052900521.db2.gz MGOWQGJTTSFRBW-GOSISDBHSA-N 0 3 313.466 4.335 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(CSC)cc1 ZINC000556923686 1052900908 /nfs/dbraw/zinc/90/09/08/1052900908.db2.gz MGOWQGJTTSFRBW-SFHVURJKSA-N 0 3 313.466 4.335 20 0 DIADHN CC(C)N(Cc1cccs1)Cn1cnc2cc(F)c(F)cc21 ZINC000919954149 1052906155 /nfs/dbraw/zinc/90/61/55/1052906155.db2.gz JHEBNGZSDHLPJC-UHFFFAOYSA-N 0 3 321.396 4.244 20 0 DIADHN Cc1ccc2c(c1)[C@H]1CN(C)CC[C@@H]1N2C(=O)C=C1CCCCC1 ZINC000919993158 1052909147 /nfs/dbraw/zinc/90/91/47/1052909147.db2.gz OHZQPEVQWFCHEZ-QUCCMNQESA-N 0 3 324.468 4.020 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCC2)c1)c1ccc(C)cc1 ZINC000920081995 1052917039 /nfs/dbraw/zinc/91/70/39/1052917039.db2.gz HRKVWAISHBUCTP-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN Clc1ccc2sc(CNCCc3ccccc3)nc2c1 ZINC000920414922 1052938206 /nfs/dbraw/zinc/93/82/06/1052938206.db2.gz QOUXLWQFSHIKIA-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN O=C(c1ccco1)C(Oc1nc2ccccc2o1)c1ccco1 ZINC001226906244 1052943961 /nfs/dbraw/zinc/94/39/61/1052943961.db2.gz PVQZQVCYZMSPHQ-MRXNPFEDSA-N 0 3 309.277 4.017 20 0 DIADHN Cl/C=C/CN1CCC[C@H]1Cc1ccc(Br)cc1 ZINC000920780798 1052949836 /nfs/dbraw/zinc/94/98/36/1052949836.db2.gz YRBOUNXIJZVHCB-LPSTVZDBSA-N 0 3 314.654 4.209 20 0 DIADHN COc1ccc(Cl)cc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001227144874 1052964813 /nfs/dbraw/zinc/96/48/13/1052964813.db2.gz OXNZUHUPRFZATQ-INIZCTEOSA-N 0 3 317.816 4.002 20 0 DIADHN COc1cccc(C)c1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001227164595 1052967149 /nfs/dbraw/zinc/96/71/49/1052967149.db2.gz KMKLBIHBMTWHJB-SFHVURJKSA-N 0 3 311.425 4.047 20 0 DIADHN CC[C@@H](C)c1ccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)cc1 ZINC001227327098 1052982734 /nfs/dbraw/zinc/98/27/34/1052982734.db2.gz CCUIGFCCSWATSU-YVWKXTFCSA-N 0 3 317.473 4.222 20 0 DIADHN CC(C)N1CC[C@H](Oc2ccc(-c3ccc(O)cc3)cc2F)C1 ZINC001227363541 1052984847 /nfs/dbraw/zinc/98/48/47/1052984847.db2.gz ACFGMHNMMPBNTQ-KRWDZBQOSA-N 0 3 315.388 4.060 20 0 DIADHN CCC[C@@H](C)N(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000609798278 1052993084 /nfs/dbraw/zinc/99/30/84/1052993084.db2.gz OIBOWEPGKBWAHZ-WYRIXSBYSA-N 0 3 322.301 4.221 20 0 DIADHN Cc1cc(C)c(C)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001227507587 1052996579 /nfs/dbraw/zinc/99/65/79/1052996579.db2.gz WLCXBIXACGPDAI-QZTJIDSGSA-N 0 3 310.441 4.221 20 0 DIADHN CCN1CC[C@@H](Oc2nc3c(cc(Cl)cc3Cl)s2)C1 ZINC001227581374 1053001930 /nfs/dbraw/zinc/00/19/30/1053001930.db2.gz UHMVWEBLRFQMCF-SECBINFHSA-N 0 3 317.241 4.076 20 0 DIADHN CCc1ccccc1O[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001227677956 1053007819 /nfs/dbraw/zinc/00/78/19/1053007819.db2.gz AWIIFVPUAFRRIV-SJLPKXTDSA-N 0 3 310.441 4.248 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(C)cc(C)c2)cc1 ZINC000615328922 1053012882 /nfs/dbraw/zinc/01/28/82/1053012882.db2.gz FSIBJNRCBWXWCI-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@H](C)c1ccccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001227759087 1053013918 /nfs/dbraw/zinc/01/39/18/1053013918.db2.gz GAONXFSTIMHTIO-BZSNNMDCSA-N 0 3 317.473 4.222 20 0 DIADHN Cc1cc(C)cc(CCNCc2csc(-c3ccco3)n2)c1 ZINC000237634784 1053016639 /nfs/dbraw/zinc/01/66/39/1053016639.db2.gz WVYOQMWYKBUUKR-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CNCC(C)(C)C ZINC000237653853 1053017571 /nfs/dbraw/zinc/01/75/71/1053017571.db2.gz WEAWWTZGYSQCLH-UHFFFAOYSA-N 0 3 305.853 4.029 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CN[C@@H]1CC=CCC1 ZINC000237663127 1053019551 /nfs/dbraw/zinc/01/95/51/1053019551.db2.gz XYBCBALGNKWCQV-MRXNPFEDSA-N 0 3 315.848 4.092 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1ccc2c[nH]nc2c1 ZINC000237835787 1053032520 /nfs/dbraw/zinc/03/25/20/1053032520.db2.gz WTXWZIWCWXIYBE-QGZVFWFLSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc(C)cc(CCC(=O)C(C#N)c2nc3ccccc3o2)c1 ZINC000179916472 1053035269 /nfs/dbraw/zinc/03/52/69/1053035269.db2.gz ROYDSPITUWBZHW-MRXNPFEDSA-N 0 3 318.376 4.254 20 0 DIADHN Cc1cc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)ccc1Cl ZINC001228072029 1053041194 /nfs/dbraw/zinc/04/11/94/1053041194.db2.gz KEQSMUXQVLDGLA-GOEBONIOSA-N 0 3 316.832 4.258 20 0 DIADHN Cc1ccc2c(c1)onc2O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001228084143 1053042247 /nfs/dbraw/zinc/04/22/47/1053042247.db2.gz JGLBOVPNUNTIRE-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN CC(C)N1CCC[C@H](Oc2ccc(C(=O)c3ccccc3)cc2)C1 ZINC001228115064 1053045025 /nfs/dbraw/zinc/04/50/25/1053045025.db2.gz ZYRUKOMKABADHL-FQEVSTJZSA-N 0 3 323.436 4.169 20 0 DIADHN CSc1ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc1 ZINC001228156368 1053048473 /nfs/dbraw/zinc/04/84/73/1053048473.db2.gz ZNGLJAVBWPZOAK-GDBMZVCRSA-N 0 3 314.454 4.018 20 0 DIADHN CSc1ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001228156370 1053048601 /nfs/dbraw/zinc/04/86/01/1053048601.db2.gz ZNGLJAVBWPZOAK-GOEBONIOSA-N 0 3 314.454 4.018 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cccc3[nH]ccc32)C1 ZINC001228179578 1053050778 /nfs/dbraw/zinc/05/07/78/1053050778.db2.gz JYDSHKCDFWSBEK-HZPDHXFCSA-N 0 3 321.424 4.167 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2[C@H]3CCCCCC[C@H]23)c(F)c1 ZINC000616267491 1053052271 /nfs/dbraw/zinc/05/22/71/1053052271.db2.gz NWSZRGZYXDHWAZ-GJZGRUSLSA-N 0 3 318.436 4.042 20 0 DIADHN CC(=O)c1ccc(O[C@H](CCN(C)C)c2ccccc2)cc1C ZINC001228214977 1053053464 /nfs/dbraw/zinc/05/34/64/1053053464.db2.gz NKBDOJFXLVYVRX-HXUWFJFHSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(C)CC2)c(C(=O)c2ccccc2)c1 ZINC001228225041 1053054788 /nfs/dbraw/zinc/05/47/88/1053054788.db2.gz QAUNXSUAACYNTA-GOSISDBHSA-N 0 3 323.436 4.089 20 0 DIADHN CCc1cccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001228240509 1053055964 /nfs/dbraw/zinc/05/59/64/1053055964.db2.gz NTGDACNEEGILKA-APWZRJJASA-N 0 3 310.441 4.248 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC1CC2(CC2(F)F)C1 ZINC001350584067 1053084218 /nfs/dbraw/zinc/08/42/18/1053084218.db2.gz QPEVFBFHTFJHHH-UHFFFAOYSA-N 0 3 323.717 4.219 20 0 DIADHN CC(=O)c1cc(Cl)cc(Cl)c1O[C@@H]1CCCN(C)CC1 ZINC001228399840 1053094134 /nfs/dbraw/zinc/09/41/34/1053094134.db2.gz OYZBVEZUHOLUEN-GFCCVEGCSA-N 0 3 316.228 4.059 20 0 DIADHN CN(C)Cc1c(O[C@@H]2CCc3cccnc32)ccc2ccccc21 ZINC001228440350 1053104261 /nfs/dbraw/zinc/10/42/61/1053104261.db2.gz COOFGKMVFMHJCH-HXUWFJFHSA-N 0 3 318.420 4.363 20 0 DIADHN Cc1c([C@@H](C)Oc2ccc3ccccc3c2CN(C)C)cnn1C ZINC001228439632 1053104481 /nfs/dbraw/zinc/10/44/81/1053104481.db2.gz QGGSRUGODPNBQB-OAHLLOKOSA-N 0 3 323.440 4.083 20 0 DIADHN CCCCOC[C@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228440978 1053104582 /nfs/dbraw/zinc/10/45/82/1053104582.db2.gz KEDGIGQLZBUMAW-INIZCTEOSA-N 0 3 315.457 4.485 20 0 DIADHN CN(C)Cc1c(O[C@@H]2CCOC(C)(C)C2)ccc2ccccc21 ZINC001228442725 1053104616 /nfs/dbraw/zinc/10/46/16/1053104616.db2.gz WZKHBBZXPSZWPX-MRXNPFEDSA-N 0 3 313.441 4.238 20 0 DIADHN CCCOC[C@@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228440881 1053104699 /nfs/dbraw/zinc/10/46/99/1053104699.db2.gz HGDBZWJDTREZRT-OAHLLOKOSA-N 0 3 301.430 4.095 20 0 DIADHN C[C@@H](Oc1ccc2ccccc2c1CN(C)C)c1ccccn1 ZINC001228442369 1053105018 /nfs/dbraw/zinc/10/50/18/1053105018.db2.gz SEWDTFVBSNCJCB-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cc2cc(O[C@@H]3CCN([C@@H](C)c4ccccn4)C3)ccc2[nH]1 ZINC001228450748 1053106535 /nfs/dbraw/zinc/10/65/35/1053106535.db2.gz MWXFXLXHTIORGY-MAUKXSAKSA-N 0 3 321.424 4.086 20 0 DIADHN FC(F)c1cc(O[C@@H]2CCCN(Cc3ccccc3)C2)ccn1 ZINC001228530910 1053126666 /nfs/dbraw/zinc/12/66/66/1053126666.db2.gz KMWJWBPBGZVUSH-MRXNPFEDSA-N 0 3 318.367 4.063 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@H]2CCCC[C@@H]2C1 ZINC001317772551 1053132116 /nfs/dbraw/zinc/13/21/16/1053132116.db2.gz RFENOTVRNCZEQA-CGTJXYLNSA-N 0 3 314.473 4.088 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@@H]2CCCC[C@H]2C1 ZINC001317847163 1053135475 /nfs/dbraw/zinc/13/54/75/1053135475.db2.gz QQFHYDTWIOAOGW-VYDXJSESSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cc(NC[C@H](c2ccc(F)cc2)N(C)C)nc2ccccc12 ZINC001317881105 1053136687 /nfs/dbraw/zinc/13/66/87/1053136687.db2.gz VXMBKHYXRDACSH-LJQANCHMSA-N 0 3 323.415 4.397 20 0 DIADHN CC(C)Oc1cc(F)ccc1Nc1ccc2c(c1)CN(C)CC2 ZINC001212297899 1053138580 /nfs/dbraw/zinc/13/85/80/1053138580.db2.gz JSEHSVSJEHFJNG-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN C[C@H]1CC(CCN2CCS[C@@H](C(F)(F)F)C2)C[C@H](C)C1 ZINC001560147611 1053141863 /nfs/dbraw/zinc/14/18/63/1053141863.db2.gz UCOLBNDWHCURNS-YRGRVCCFSA-N 0 3 309.441 4.429 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2csc3ccccc32)cc1 ZINC001351194517 1053148229 /nfs/dbraw/zinc/14/82/29/1053148229.db2.gz DPMLXZSCDYDXTL-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN CC(C)Oc1ccc(F)c(Nc2ccccc2CN(C)C)c1 ZINC001212315270 1053149800 /nfs/dbraw/zinc/14/98/00/1053149800.db2.gz CDEPDRPGTQZEPV-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN CN1CC[C@@H](Oc2ccc(-c3nc4ccccc4s3)cc2)C1 ZINC001228694790 1053160855 /nfs/dbraw/zinc/16/08/55/1053160855.db2.gz NKFCUKANAYPMIP-OAHLLOKOSA-N 0 3 310.422 4.046 20 0 DIADHN CN1CCC[C@@H](Oc2ccc(-c3nc4ccccc4s3)cc2)C1 ZINC001228694829 1053161397 /nfs/dbraw/zinc/16/13/97/1053161397.db2.gz NSWQKMHFZVZCLM-MRXNPFEDSA-N 0 3 324.449 4.436 20 0 DIADHN Cc1cc(CN[C@@H](Cc2ccccc2)c2cccs2)c(C)nn1 ZINC001351286834 1053163062 /nfs/dbraw/zinc/16/30/62/1053163062.db2.gz HMZBWJHIIRRUOE-SFHVURJKSA-N 0 3 323.465 4.229 20 0 DIADHN CCOc1ccc2c(c1)CN(c1ccnc3ccccc31)CC2 ZINC001318384059 1053165031 /nfs/dbraw/zinc/16/50/31/1053165031.db2.gz VKEUZOFTDKRWKM-UHFFFAOYSA-N 0 3 304.393 4.196 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)COc1ccccc1F ZINC001318630082 1053179325 /nfs/dbraw/zinc/17/93/25/1053179325.db2.gz NNHOKVBORKLECC-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ncc(Nc2cc(OCc3ccccc3)ccc2F)n1C ZINC001213275181 1053183500 /nfs/dbraw/zinc/18/35/00/1053183500.db2.gz VNLXHUYZAPIBMV-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CSC[C@H]1CCCN1Cc1cc(C)cc(Br)c1 ZINC001560629770 1053184189 /nfs/dbraw/zinc/18/41/89/1053184189.db2.gz CIFDKIHGRRELJE-CQSZACIVSA-N 0 3 314.292 4.085 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@H]3CCCC[C@H]3C2)o1 ZINC001318813817 1053195522 /nfs/dbraw/zinc/19/55/22/1053195522.db2.gz HHVAHNNGQXMFSI-CABCVRRESA-N 0 3 314.404 4.493 20 0 DIADHN Cc1cnc2ccccc2c1N1CCN(c2ccccc2F)CC1 ZINC001318927365 1053205070 /nfs/dbraw/zinc/20/50/70/1053205070.db2.gz DBLARQGNYRXERA-UHFFFAOYSA-N 0 3 321.399 4.009 20 0 DIADHN Cc1ccc(C[C@@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000181433004 1053207800 /nfs/dbraw/zinc/20/78/00/1053207800.db2.gz ZXPDWBOVOJNYCR-ZBFHGGJFSA-N 0 3 318.376 4.191 20 0 DIADHN C[C@@H](Oc1ccc2c(c1)CCN(C)C2)c1c(F)cccc1F ZINC001228936066 1053208493 /nfs/dbraw/zinc/20/84/93/1053208493.db2.gz ADVHOKTYZBIUNB-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N(Cc1ccccc1)c1ccccc1 ZINC001351704969 1053213604 /nfs/dbraw/zinc/21/36/04/1053213604.db2.gz AJRGTUVPCJLXLV-HXUWFJFHSA-N 0 3 322.452 4.093 20 0 DIADHN COc1ccc2c(c1)CN(c1c(C)cnc3ccccc31)CC2 ZINC001319127024 1053221179 /nfs/dbraw/zinc/22/11/79/1053221179.db2.gz FCCIXHSIFDGSCI-UHFFFAOYSA-N 0 3 304.393 4.115 20 0 DIADHN C[C@H](CO)N(Cc1ccccc1)Cc1ccccc1C(F)(F)F ZINC001319181918 1053225044 /nfs/dbraw/zinc/22/50/44/1053225044.db2.gz RZNGTWQFYFGOGU-CQSZACIVSA-N 0 3 323.358 4.088 20 0 DIADHN Clc1cc(Cl)nc(O[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC001229041048 1053231936 /nfs/dbraw/zinc/23/19/36/1053231936.db2.gz IJBJJDYIOFQVGP-CQSZACIVSA-N 0 3 323.223 4.042 20 0 DIADHN CC[C@H](NCc1cc2ccccc2c(Cl)n1)[C@H]1CCCCO1 ZINC000847079081 1053237266 /nfs/dbraw/zinc/23/72/66/1053237266.db2.gz KTNMVMYEZAVZOV-DLBZAZTESA-N 0 3 318.848 4.326 20 0 DIADHN C[C@@H](CC(=O)NC[C@H](C)N(C)Cc1ccccc1)CC(C)(C)C ZINC001360511937 1053237190 /nfs/dbraw/zinc/23/71/90/1053237190.db2.gz XUGMENNUJDTBPT-IRXDYDNUSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000090588834 1053237265 /nfs/dbraw/zinc/23/72/65/1053237265.db2.gz REEFUOWMZZGSKA-DZGCQCFKSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](CN[C@H](Cc1ccccc1)c1ncco1)c1ccccc1 ZINC000847099074 1053243874 /nfs/dbraw/zinc/24/38/74/1053243874.db2.gz RZXMRCAGIWIXQV-QFBILLFUSA-N 0 3 306.409 4.352 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H]1C[C@H](C)c2c1cccc2C ZINC000090846368 1053246867 /nfs/dbraw/zinc/24/68/67/1053246867.db2.gz FMDMXLXOLXNAGV-KBXCAEBGSA-N 0 3 311.473 4.191 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2ccc(C(F)(F)F)cc2F)C1 ZINC001229105160 1053247926 /nfs/dbraw/zinc/24/79/26/1053247926.db2.gz OXISGXUUSFTRCT-GFCCVEGCSA-N 0 3 305.315 4.096 20 0 DIADHN Cc1cc(CN(Cc2ccc(F)cc2)C2CCCCC2)n[nH]1 ZINC001319526403 1053247701 /nfs/dbraw/zinc/24/77/01/1053247701.db2.gz RSQLEUIGXCYENC-UHFFFAOYSA-N 0 3 301.409 4.192 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2Cl)CC1)c1nccs1 ZINC000090956507 1053247867 /nfs/dbraw/zinc/24/78/67/1053247867.db2.gz AONBUKOOLRHXBD-SNVBAGLBSA-N 0 3 310.825 4.318 20 0 DIADHN C[C@H](NCC1(c2cccc(F)c2)CC1)c1nc2ccccc2n1C ZINC000091344857 1053260416 /nfs/dbraw/zinc/26/04/16/1053260416.db2.gz ZNGBXMJLURTLEM-AWEZNQCLSA-N 0 3 323.415 4.095 20 0 DIADHN OCc1cccc(CN[C@H](c2ccccc2F)C2CCCC2)c1 ZINC000091333855 1053260790 /nfs/dbraw/zinc/26/07/90/1053260790.db2.gz JRLRNXHICNZVQT-FQEVSTJZSA-N 0 3 313.416 4.339 20 0 DIADHN C[C@@H](NCC1(c2cccc(F)c2)CC1)c1nc2ccccc2n1C ZINC000091344858 1053261144 /nfs/dbraw/zinc/26/11/44/1053261144.db2.gz ZNGBXMJLURTLEM-CQSZACIVSA-N 0 3 323.415 4.095 20 0 DIADHN COc1ccc([C@H](NCc2cc(F)cc(OC)c2)C2CC2)cc1 ZINC000091410203 1053262953 /nfs/dbraw/zinc/26/29/53/1053262953.db2.gz TUIRGTCAJSYPDR-LJQANCHMSA-N 0 3 315.388 4.084 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(F)cc(F)c1)c1ccc(F)cc1 ZINC001319775876 1053273855 /nfs/dbraw/zinc/27/38/55/1053273855.db2.gz DAKBSISEONYALX-APPDUMDISA-N 0 3 309.331 4.142 20 0 DIADHN CC(=O)Oc1ccc(CN[C@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC001319806683 1053275801 /nfs/dbraw/zinc/27/58/01/1053275801.db2.gz XKYXBOXQEGGPNL-LLVKDONJSA-N 0 3 321.779 4.255 20 0 DIADHN COc1ccc(CCCN(C)Cc2cnc(C3CC3)s2)cc1 ZINC000092163903 1053293066 /nfs/dbraw/zinc/29/30/66/1053293066.db2.gz YEVGGBZUKBJTCW-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1ccccc1F ZINC001229305347 1053295275 /nfs/dbraw/zinc/29/52/75/1053295275.db2.gz BUQCQBKAQUDRRR-AWEZNQCLSA-N 0 3 315.388 4.100 20 0 DIADHN CN(C)CCC(=O)c1ccc(O[C@@H]2CCC=CCCC2)cc1 ZINC001229302582 1053296798 /nfs/dbraw/zinc/29/67/98/1053296798.db2.gz KLAACWJEJMPDMS-QGZVFWFLSA-N 0 3 301.430 4.089 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2coc(-c3ccccc3)n2)o1 ZINC001320069902 1053300986 /nfs/dbraw/zinc/30/09/86/1053300986.db2.gz CSYQNTRHPZFDNL-KDOFPFPSSA-N 0 3 322.408 4.390 20 0 DIADHN CC(=O)c1cc(Cl)c(C)cc1OC1CCN(C(C)C)CC1 ZINC001229361297 1053307176 /nfs/dbraw/zinc/30/71/76/1053307176.db2.gz NIGPPWWQSJNSSN-UHFFFAOYSA-N 0 3 309.837 4.103 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)Cc1ccc2c(c1)OCCO2 ZINC000618440607 1053309227 /nfs/dbraw/zinc/30/92/27/1053309227.db2.gz AMNSSDFHSBTHOB-CYBMUJFWSA-N 0 3 317.816 4.304 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@H]1CCCN1Cc1ccc(Cl)c(Cl)c1 ZINC000253098755 1053316909 /nfs/dbraw/zinc/31/69/09/1053316909.db2.gz UDFJOWYFJILVNU-DAXOMENPSA-N 0 3 314.256 4.119 20 0 DIADHN CC(=O)[C@H](Oc1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC001229448232 1053323877 /nfs/dbraw/zinc/32/38/77/1053323877.db2.gz GLPSUOSHXHCOCL-NRFANRHFSA-N 0 3 323.436 4.382 20 0 DIADHN CCCCCNC(=S)Nc1ccccc1CN1CCCCC1 ZINC001200055166 1053338261 /nfs/dbraw/zinc/33/82/61/1053338261.db2.gz WAZAYVYAXSGZRX-UHFFFAOYSA-N 0 3 319.518 4.149 20 0 DIADHN CCc1ccc([C@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000070034275 1053349882 /nfs/dbraw/zinc/34/98/82/1053349882.db2.gz AUMCHPQEIPRYEZ-XJKSGUPXSA-N 0 3 318.376 4.370 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000070034274 1053350016 /nfs/dbraw/zinc/35/00/16/1053350016.db2.gz AUMCHPQEIPRYEZ-CZUORRHYSA-N 0 3 318.376 4.370 20 0 DIADHN CCCC[C@@H](C)N(C)Cc1ccc(C(F)(F)F)nc1N(C)C ZINC001560869193 1053354104 /nfs/dbraw/zinc/35/41/04/1053354104.db2.gz AALBFTQPTVITGJ-GFCCVEGCSA-N 0 3 317.399 4.177 20 0 DIADHN CC[C@@H](C)CN(CC)[C@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000253556137 1053356709 /nfs/dbraw/zinc/35/67/09/1053356709.db2.gz UIMZUAZPPRZSIP-ZYHUDNBSSA-N 0 3 321.490 4.443 20 0 DIADHN CCSc1ccc(CNCc2cc(C)nc(Cl)c2)cc1 ZINC001560984674 1053356632 /nfs/dbraw/zinc/35/66/32/1053356632.db2.gz FOLIXEOOOCFKIC-UHFFFAOYSA-N 0 3 306.862 4.445 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCCc3nc(C(C)C)no3)oc2c1 ZINC001320747192 1053358277 /nfs/dbraw/zinc/35/82/77/1053358277.db2.gz YJRRCJTXNWFOEW-CYBMUJFWSA-N 0 3 313.401 4.141 20 0 DIADHN C[C@@H](c1cccc(O[C@H]2CCC(=O)c3ccccc32)c1)N(C)C ZINC001229624135 1053367846 /nfs/dbraw/zinc/36/78/46/1053367846.db2.gz ANBJOFSZDRFFCW-XOBRGWDASA-N 0 3 309.409 4.406 20 0 DIADHN Cc1cccc(CN(CCN(C)C)C(=O)CCCCC(C)C)c1 ZINC001352625136 1053370860 /nfs/dbraw/zinc/37/08/60/1053370860.db2.gz QFOGJXLVOFYHDL-UHFFFAOYSA-N 0 3 318.505 4.102 20 0 DIADHN CC[C@H](NCc1cc2c(c(Cl)c1)OCO2)c1ccc(F)cc1 ZINC001320904689 1053374849 /nfs/dbraw/zinc/37/48/49/1053374849.db2.gz KBGUTLWKEXLYHP-HNNXBMFYSA-N 0 3 321.779 4.449 20 0 DIADHN FC1(c2ccccn2)CCN(CCOC2CCCCCC2)CC1 ZINC000450928086 1053384298 /nfs/dbraw/zinc/38/42/98/1053384298.db2.gz DVVIVOFJNYQWOV-UHFFFAOYSA-N 0 3 320.452 4.082 20 0 DIADHN CN(C)Cc1ccccc1O[C@H]1CCCc2c(Cl)ccnc21 ZINC001229701621 1053386746 /nfs/dbraw/zinc/38/67/46/1053386746.db2.gz KQGYFXHQBLWEHX-KRWDZBQOSA-N 0 3 316.832 4.253 20 0 DIADHN CN(C)Cc1ccccc1O[C@@H](c1ccccc1)C(F)(F)F ZINC001229699966 1053387196 /nfs/dbraw/zinc/38/71/96/1053387196.db2.gz SYAGACAOFOJUNB-INIZCTEOSA-N 0 3 309.331 4.431 20 0 DIADHN Cc1ccc(N2CCC(Oc3ccccc3CN(C)C)CC2)cc1 ZINC001229698554 1053387779 /nfs/dbraw/zinc/38/77/79/1053387779.db2.gz DCPSZUIIIFOMKI-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN CCCCC[C@H](C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC001434924044 1053389290 /nfs/dbraw/zinc/38/92/90/1053389290.db2.gz HCFDWWQBKZHVPJ-KRWDZBQOSA-N 0 3 304.478 4.188 20 0 DIADHN CC(C)c1cccc(O)c1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001229707332 1053390259 /nfs/dbraw/zinc/39/02/59/1053390259.db2.gz JFJIRNQCWAJHRI-QGZVFWFLSA-N 0 3 311.425 4.169 20 0 DIADHN Cc1cc([C@H](C)NCCc2coc(-c3ccccc3)n2)oc1C ZINC001321701035 1053428440 /nfs/dbraw/zinc/42/84/40/1053428440.db2.gz LGLLKZDNUXQVIP-AWEZNQCLSA-N 0 3 310.397 4.445 20 0 DIADHN CC[C@H](C)CCC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001435066406 1053429241 /nfs/dbraw/zinc/42/92/41/1053429241.db2.gz XMSXVVYGSDAYDW-HNNXBMFYSA-N 0 3 324.896 4.057 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCCO1)c1nc2ccccc2n1C(F)F ZINC001474761859 1053429584 /nfs/dbraw/zinc/42/95/84/1053429584.db2.gz DGBXVJKANMIHLF-YVEFUNNKSA-N 0 3 323.387 4.041 20 0 DIADHN CC(C)c1cnc(CN[C@H](C)CCc2ccc(O)cc2)s1 ZINC001200192584 1053450122 /nfs/dbraw/zinc/45/01/22/1053450122.db2.gz BTUVGZCWVILQIC-CYBMUJFWSA-N 0 3 304.459 4.083 20 0 DIADHN CN1CC[C@H](NCc2c(F)cccc2Cl)[C@H]1c1ccccc1 ZINC000670988729 1053452596 /nfs/dbraw/zinc/45/25/96/1053452596.db2.gz FOGWDBGPKBAPEV-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)NC[C@H](C)N(C)Cc1ccccc1 ZINC001435167029 1053460899 /nfs/dbraw/zinc/46/08/99/1053460899.db2.gz YJQDAFKOUHYVMH-HKUYNNGSSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@@H](C(=O)N1CCN(C2CCC(C)CC2)CC1)[C@@H](C)C(C)(C)C ZINC001435171773 1053462650 /nfs/dbraw/zinc/46/26/50/1053462650.db2.gz YRWWNOWLILHSJN-QLOLRVAOSA-N 0 3 322.537 4.028 20 0 DIADHN C[C@H](CO)N(Cc1ccccc1)Cc1ccc(Cl)c(Cl)c1 ZINC001322156839 1053464391 /nfs/dbraw/zinc/46/43/91/1053464391.db2.gz DTOIVRAZCDZOTI-CYBMUJFWSA-N 0 3 324.251 4.376 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCC[Si](C)(C)C ZINC000847548511 1053466074 /nfs/dbraw/zinc/46/60/74/1053466074.db2.gz RJZUVPUAMVCMRG-UHFFFAOYSA-N 0 3 306.526 4.114 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(Nc2ccccc2)CC1 ZINC001322302446 1053481564 /nfs/dbraw/zinc/48/15/64/1053481564.db2.gz BWBKLPOHQWIDFR-UHFFFAOYSA-N 0 3 305.425 4.244 20 0 DIADHN CC(=O)c1cccc(C)c1O[C@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001230317430 1053517798 /nfs/dbraw/zinc/51/77/98/1053517798.db2.gz LURHVWDTCMTFOB-JXFKEZNVSA-N 0 3 323.436 4.097 20 0 DIADHN Cc1cnc2ccccc2c1N(C)Cc1nc2ccccc2n1C ZINC001322557512 1053521135 /nfs/dbraw/zinc/52/11/35/1053521135.db2.gz QSURQSNFMTYRFO-UHFFFAOYSA-N 0 3 316.408 4.066 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NC[C@@H](C)C2CC2)c2ccccc2)cc1 ZINC000619705142 1053534203 /nfs/dbraw/zinc/53/42/03/1053534203.db2.gz XHTIYKPXDVKYLX-UZLBHIALSA-N 0 3 322.452 4.311 20 0 DIADHN CCc1cnc(CNCCCCOc2ccc(Cl)cc2)s1 ZINC001200301261 1053535701 /nfs/dbraw/zinc/53/57/01/1053535701.db2.gz LTCXPHMUMMPMPF-UHFFFAOYSA-N 0 3 324.877 4.308 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@@H]4CCC[C@@H]4C3)cs2)cc1 ZINC000152850719 1053538134 /nfs/dbraw/zinc/53/81/34/1053538134.db2.gz MQKLLAOXHYMCMC-GASCZTMLSA-N 0 3 314.454 4.051 20 0 DIADHN CC(C)(NCCSC1CCCCC1)C(=O)Nc1ccccc1 ZINC000619719694 1053539294 /nfs/dbraw/zinc/53/92/94/1053539294.db2.gz WBYXLGWUMIMJTR-UHFFFAOYSA-N 0 3 320.502 4.059 20 0 DIADHN C[C@@H](COc1ccc(F)cc1F)NCc1ccccc1Cl ZINC000619776116 1053555760 /nfs/dbraw/zinc/55/57/60/1053555760.db2.gz GURZQVVMETYZHM-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CC(=O)c1ccc(F)c(O[C@@H](CCN(C)C)c2cccs2)c1 ZINC001230560856 1053558748 /nfs/dbraw/zinc/55/87/48/1053558748.db2.gz ZPBCLGWZKCLRRC-HNNXBMFYSA-N 0 3 321.417 4.162 20 0 DIADHN COC(=O)c1nc([C@H]2CCCN2C[C@@H](C)C(C)(C)C)sc1C ZINC000619845993 1053565563 /nfs/dbraw/zinc/56/55/63/1053565563.db2.gz KBDKXWKIRYPAHJ-DGCLKSJQSA-N 0 3 324.490 4.057 20 0 DIADHN COC(=O)c1nc([C@H]2CCCN2C[C@H](C)C(C)(C)C)sc1C ZINC000619845994 1053568661 /nfs/dbraw/zinc/56/86/61/1053568661.db2.gz KBDKXWKIRYPAHJ-WCQYABFASA-N 0 3 324.490 4.057 20 0 DIADHN COc1cc(CNCc2csc3ccccc23)ccc1F ZINC001323451835 1053581699 /nfs/dbraw/zinc/58/16/99/1053581699.db2.gz KMYVHVUCTPFKQA-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN Fc1c2cc[nH]c2ccc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001230767001 1053599146 /nfs/dbraw/zinc/59/91/46/1053599146.db2.gz SLKAFPHABFLQCA-MRXNPFEDSA-N 0 3 324.399 4.350 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000153384744 1053608863 /nfs/dbraw/zinc/60/88/63/1053608863.db2.gz SKXYNICSYMOYIR-BBATYDOGSA-N 0 3 303.352 4.084 20 0 DIADHN COc1ccccc1C1(NCc2cc(C)c(O)c(C)c2)CCC1 ZINC001323957027 1053613923 /nfs/dbraw/zinc/61/39/23/1053613923.db2.gz NSFSWTFHRBKXJP-UHFFFAOYSA-N 0 3 311.425 4.187 20 0 DIADHN CCCCCC(C)(C)C(=O)NC[C@@H](C)N(C)Cc1ccccc1 ZINC001353580113 1053616338 /nfs/dbraw/zinc/61/63/38/1053616338.db2.gz KDUBTWBMLZPTMS-QGZVFWFLSA-N 0 3 318.505 4.230 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1cccn1C1CC1)c1ccccc1 ZINC001324424355 1053651625 /nfs/dbraw/zinc/65/16/25/1053651625.db2.gz KPBGMQADRRTLTC-SFHVURJKSA-N 0 3 308.425 4.060 20 0 DIADHN C[C@@H](NCc1cccn1C1CC1)c1ccc(OC(F)F)cc1 ZINC001324427098 1053652845 /nfs/dbraw/zinc/65/28/45/1053652845.db2.gz ZOZSTDDSDOQCTI-GFCCVEGCSA-N 0 3 306.356 4.275 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@@H](C)C(C)(C)C)o2)cc1 ZINC000620367808 1053654765 /nfs/dbraw/zinc/65/47/65/1053654765.db2.gz ZEOLMCGJZKEZAV-ZDUSSCGKSA-N 0 3 302.418 4.217 20 0 DIADHN CC(C)(C)C(=O)CCN1CCC(Nc2ccccc2Cl)CC1 ZINC001324552060 1053660539 /nfs/dbraw/zinc/66/05/39/1053660539.db2.gz QEWYBDINALBVHZ-UHFFFAOYSA-N 0 3 322.880 4.222 20 0 DIADHN Cc1cnc2ccccc2c1N1Cc2ccc(O)cc2C2(CC2)C1 ZINC001324691015 1053673999 /nfs/dbraw/zinc/67/39/99/1053673999.db2.gz UENFXMIWNRRRQJ-UHFFFAOYSA-N 0 3 316.404 4.301 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NC(C)(C)C2CCCCC2)c1 ZINC001324776005 1053679046 /nfs/dbraw/zinc/67/90/46/1053679046.db2.gz INZKEXJKGKZIJF-UHFFFAOYSA-N 0 3 317.477 4.229 20 0 DIADHN CO[C@H](CNCc1ccc([C@@H]2C[C@H]2C)o1)c1ccc(F)cc1 ZINC001324924468 1053688726 /nfs/dbraw/zinc/68/87/26/1053688726.db2.gz RGHFRBPHNQIIJF-XHBKTUGNSA-N 0 3 303.377 4.019 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(Cl)c(F)c2)ccc1O ZINC001324992277 1053693130 /nfs/dbraw/zinc/69/31/30/1053693130.db2.gz LGHAYHSXCPIWQQ-NSHDSACASA-N 0 3 323.795 4.434 20 0 DIADHN Fc1ccc(CCNC2(c3nccs3)CCCC2)c(F)c1 ZINC001325157287 1053702757 /nfs/dbraw/zinc/70/27/57/1053702757.db2.gz KJNSLUGWEMAINO-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN CCCCCC(=O)c1ccc(O[C@H]2CCCN(C)CC2)cc1 ZINC001231257175 1053712149 /nfs/dbraw/zinc/71/21/49/1053712149.db2.gz NAYWPHUJBFKGNX-KRWDZBQOSA-N 0 3 303.446 4.313 20 0 DIADHN CN1CCC(Oc2cc3ccccc3cc2Br)CC1 ZINC001231310073 1053723396 /nfs/dbraw/zinc/72/33/96/1053723396.db2.gz COAPELYVSBQKGA-UHFFFAOYSA-N 0 3 320.230 4.075 20 0 DIADHN Cc1nc(C(C)(C)NCCc2ccc(F)c(F)c2)sc1C ZINC000154078423 1053724055 /nfs/dbraw/zinc/72/40/55/1053724055.db2.gz RQMXFNPGAYRNLZ-UHFFFAOYSA-N 0 3 310.413 4.106 20 0 DIADHN CC[C@](C)(NCCc1ccc(F)c(F)c1)c1nc(C)cs1 ZINC000154077851 1053724134 /nfs/dbraw/zinc/72/41/34/1053724134.db2.gz CZQPNZJLHLAZEQ-INIZCTEOSA-N 0 3 310.413 4.187 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(OC(F)(F)F)cc2)c1 ZINC000620753434 1053726851 /nfs/dbraw/zinc/72/68/51/1053726851.db2.gz JLNLFCHFNICBCY-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCc3c2cccc3F)c1 ZINC000267099505 1053737846 /nfs/dbraw/zinc/73/78/46/1053737846.db2.gz ZBGRFIHQBIZDPT-BUXKBTBVSA-N 0 3 312.388 4.122 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1noc2c1CCCC2 ZINC000649837400 1053740040 /nfs/dbraw/zinc/74/00/40/1053740040.db2.gz PSQKOWJGGBXBQU-AAEUAGOBSA-N 0 3 316.367 4.154 20 0 DIADHN CCN(Cc1ccccc1)Cc1cnc2c(cnn2C(C)C)c1 ZINC000154676275 1053742248 /nfs/dbraw/zinc/74/22/48/1053742248.db2.gz SNXWVWOSNFNWNN-UHFFFAOYSA-N 0 3 308.429 4.034 20 0 DIADHN Clc1cc2c(c(CNCCOC3CCCCCC3)c1)OCC2 ZINC001200534029 1053745876 /nfs/dbraw/zinc/74/58/76/1053745876.db2.gz KYJQNJMYTRPUSI-UHFFFAOYSA-N 0 3 323.864 4.104 20 0 DIADHN CCN(Cc1cnc2c(cnn2C(C)C)c1)Cc1ccccc1C ZINC000154860814 1053749285 /nfs/dbraw/zinc/74/92/85/1053749285.db2.gz LKUZVYKGSIKJMN-UHFFFAOYSA-N 0 3 322.456 4.343 20 0 DIADHN c1csc(-c2ccc(CN3CC(c4cccnc4)C3)cc2)c1 ZINC001231431572 1053752351 /nfs/dbraw/zinc/75/23/51/1053752351.db2.gz VGNDJVZEOXEBJB-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000268693827 1053765873 /nfs/dbraw/zinc/76/58/73/1053765873.db2.gz AIKKMIYCEHTZQS-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN CCc1ccc(CN2CCC(Oc3ccc(F)cc3)CC2)s1 ZINC001231502075 1053772716 /nfs/dbraw/zinc/77/27/16/1053772716.db2.gz YQSKRZQQGUTLQM-UHFFFAOYSA-N 0 3 319.445 4.493 20 0 DIADHN O=c1[nH]ccc2c1CCN(Cc1cccc(-c3ccccc3)c1)C2 ZINC001231511525 1053774273 /nfs/dbraw/zinc/77/42/73/1053774273.db2.gz QGFUOARBZZQUJR-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN COc1cc(Br)ccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001231515780 1053777440 /nfs/dbraw/zinc/77/74/40/1053777440.db2.gz XXWGSQKJYIYTMY-WFASDCNBSA-N 0 3 324.262 4.222 20 0 DIADHN FC(F)(F)COc1ccc(CNCc2cccn2C2CC2)cc1 ZINC001326430553 1053781176 /nfs/dbraw/zinc/78/11/76/1053781176.db2.gz PGWXSLWKKJQDAS-UHFFFAOYSA-N 0 3 324.346 4.054 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H]1CCCNc3ccccc31)CCC2 ZINC001326563891 1053791873 /nfs/dbraw/zinc/79/18/73/1053791873.db2.gz ZIUPKFKVIQQLEB-OALUTQOASA-N 0 3 324.472 4.378 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cccc(OC(F)F)c2)ccn1 ZINC000671099069 1053805924 /nfs/dbraw/zinc/80/59/24/1053805924.db2.gz JZGHAXGXBFRGET-NWDGAFQWSA-N 0 3 322.355 4.103 20 0 DIADHN CCCOc1ccc(CNCc2cc(C)nc(Cl)c2)c(C)c1 ZINC001326915668 1053808842 /nfs/dbraw/zinc/80/88/42/1053808842.db2.gz OUSCHRBLZCWQDK-UHFFFAOYSA-N 0 3 318.848 4.430 20 0 DIADHN CCc1ccc(CN2CCC(Cc3ccccc3OC)CC2)nc1 ZINC001231637915 1053813418 /nfs/dbraw/zinc/81/34/18/1053813418.db2.gz DVJIBHDPCQZQSO-UHFFFAOYSA-N 0 3 324.468 4.107 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc(C(=O)NCC)cc2)cc1 ZINC000156507771 1053814880 /nfs/dbraw/zinc/81/48/80/1053814880.db2.gz NWEZUKBVHPUMBI-INIZCTEOSA-N 0 3 324.468 4.240 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1ccc(Cl)s1)c1ccco1 ZINC000156557226 1053817420 /nfs/dbraw/zinc/81/74/20/1053817420.db2.gz QTOTXHZFRIEOFD-WCQYABFASA-N 0 3 313.850 4.293 20 0 DIADHN CCOCCN(CCOCC)Cc1c(C)sc2ccccc21 ZINC001231650173 1053819384 /nfs/dbraw/zinc/81/93/84/1053819384.db2.gz XNZCOQCKSCPIIU-UHFFFAOYSA-N 0 3 321.486 4.085 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCc1cccc(CO)c1 ZINC000271857109 1053821748 /nfs/dbraw/zinc/82/17/48/1053821748.db2.gz JNOBNZXUKKXLAR-AWEZNQCLSA-N 0 3 309.409 4.338 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)CC(F)(F)F)cc1OCC ZINC000156931282 1053829903 /nfs/dbraw/zinc/82/99/03/1053829903.db2.gz NGDSKGVUGWMQME-NWDGAFQWSA-N 0 3 319.367 4.476 20 0 DIADHN COc1cc(CN[C@H](c2ccc(F)cc2)C(C)C)ccc1F ZINC001327068557 1053832517 /nfs/dbraw/zinc/83/25/17/1053832517.db2.gz WFIGQGPRHZYLMQ-SFHVURJKSA-N 0 3 305.368 4.460 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2ccc(F)cc2F)cs1 ZINC000156962724 1053834010 /nfs/dbraw/zinc/83/40/10/1053834010.db2.gz BFMSILDNTNAKFK-LLVKDONJSA-N 0 3 310.413 4.438 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000157021046 1053837861 /nfs/dbraw/zinc/83/78/61/1053837861.db2.gz NOXFTBAMDLIWKQ-LBPRGKRZSA-N 0 3 304.459 4.480 20 0 DIADHN COc1cc(Cl)c(CN2CCC3(CCC3)CC2)c(OC)c1 ZINC001231695145 1053838203 /nfs/dbraw/zinc/83/82/03/1053838203.db2.gz QDPKMKJUBSQLGI-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cccc2ccoc21)c1ccccc1 ZINC001327211120 1053841552 /nfs/dbraw/zinc/84/15/52/1053841552.db2.gz VXBUSVDVNQUFQL-RBUKOAKNSA-N 0 3 309.409 4.281 20 0 DIADHN COC(C)(C)[C@@H](C)NCc1ccc(Br)cc1Cl ZINC000671108290 1053842354 /nfs/dbraw/zinc/84/23/54/1053842354.db2.gz MTZYVLHBPNPSOM-SECBINFHSA-N 0 3 320.658 4.006 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2c(OC(C)C)ccc3ccccc32)C1 ZINC001231739645 1053844449 /nfs/dbraw/zinc/84/44/49/1053844449.db2.gz XBXXDAWAKNODMA-KRWDZBQOSA-N 0 3 311.425 4.038 20 0 DIADHN CCCCc1ccc(CN2CC[C@]3(C2)CC(F)(F)CO3)cc1 ZINC001231781575 1053856609 /nfs/dbraw/zinc/85/66/09/1053856609.db2.gz JBAHKMYGQSJBNM-QGZVFWFLSA-N 0 3 309.400 4.029 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(OCC(F)(F)F)c1 ZINC000157559273 1053860719 /nfs/dbraw/zinc/86/07/19/1053860719.db2.gz JKYASMKBTAWPMA-VIFPVBQESA-N 0 3 315.257 4.058 20 0 DIADHN Cc1cc(C)c(CN[C@H](Cn2cccn2)c2ccccc2)cc1C ZINC001200660594 1053861404 /nfs/dbraw/zinc/86/14/04/1053861404.db2.gz CXKSGVDYIVQQOK-OAQYLSRUSA-N 0 3 319.452 4.339 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCC(C(=O)c2cccs2)CC1 ZINC001327547740 1053863650 /nfs/dbraw/zinc/86/36/50/1053863650.db2.gz YQTGGEQYNCWPJO-LBPRGKRZSA-N 0 3 315.429 4.324 20 0 DIADHN Fc1ccc([C@@H](NC2CC3(CC3(F)F)C2)c2cccnc2)cc1 ZINC001327610293 1053868861 /nfs/dbraw/zinc/86/88/61/1053868861.db2.gz ZCMNENYPOZSRBN-AQFXKWCLSA-N 0 3 318.342 4.088 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000157891868 1053876095 /nfs/dbraw/zinc/87/60/95/1053876095.db2.gz FVWFDEWCQJTUEZ-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000157971669 1053877322 /nfs/dbraw/zinc/87/73/22/1053877322.db2.gz VZYOTAKHHVFTOK-OAHLLOKOSA-N 0 3 302.462 4.177 20 0 DIADHN O[C@H]1CCCN(Cc2ccc(Oc3ccc(Cl)cc3)cc2)C1 ZINC001231816219 1053877072 /nfs/dbraw/zinc/87/70/72/1053877072.db2.gz VHBMDXUQBLGEIJ-INIZCTEOSA-N 0 3 317.816 4.089 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCc2cccc(F)c2F)n1 ZINC000572590258 1053878431 /nfs/dbraw/zinc/87/84/31/1053878431.db2.gz OXCUOWMOBGDTDM-QGZVFWFLSA-N 0 3 302.368 4.048 20 0 DIADHN O[C@@H](CNCc1ccc(Cl)s1)c1c(F)cccc1Cl ZINC001200715388 1053918841 /nfs/dbraw/zinc/91/88/41/1053918841.db2.gz ZABWOHTXTBUPIC-NSHDSACASA-N 0 3 320.216 4.017 20 0 DIADHN CCCCCCN(Cc1nc2ccccc2c(=O)[nH]1)[C@H](C)CC ZINC000071810406 1053883311 /nfs/dbraw/zinc/88/33/11/1053883311.db2.gz PSIRUJPGJKVLGM-OAHLLOKOSA-N 0 3 315.461 4.104 20 0 DIADHN CCCCCN(C)Cc1ccc(NC(=O)OC(C)(C)C)nc1 ZINC001231838936 1053885450 /nfs/dbraw/zinc/88/54/50/1053885450.db2.gz QANFVMRIXALBIE-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccnc(C(F)F)c2)C1 ZINC001231887806 1053906566 /nfs/dbraw/zinc/90/65/66/1053906566.db2.gz XZDFROQTHLNMAU-AWEZNQCLSA-N 0 3 318.367 4.017 20 0 DIADHN COc1cccc2c(CN3CCC[C@H]3c3ccc(C)nc3)c[nH]c21 ZINC001231898152 1053910372 /nfs/dbraw/zinc/91/03/72/1053910372.db2.gz FTVZGZGCDKASJB-SFHVURJKSA-N 0 3 321.424 4.217 20 0 DIADHN COc1cccc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c[nH]c21 ZINC001231898139 1053910687 /nfs/dbraw/zinc/91/06/87/1053910687.db2.gz YMKZXIOUCXOQEU-GASCZTMLSA-N 0 3 318.420 4.263 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1cnc(C(F)(F)F)cc1Cl ZINC001231905198 1053911462 /nfs/dbraw/zinc/91/14/62/1053911462.db2.gz XJYIYGSWYLKMEW-GFCCVEGCSA-N 0 3 306.759 4.374 20 0 DIADHN Cc1cccc2cc(CNCC(C)(C)C[C@H](C)O)c(Cl)nc12 ZINC001200715362 1053918283 /nfs/dbraw/zinc/91/82/83/1053918283.db2.gz YKUSKHNDHKSQBY-ZDUSSCGKSA-N 0 3 320.864 4.083 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001231929999 1053918611 /nfs/dbraw/zinc/91/86/11/1053918611.db2.gz KXTHTPOAXSMSGW-WDEREUQCSA-N 0 3 318.770 4.376 20 0 DIADHN C[C@H](CN(C)Cc1cnc(-c2ccccc2)o1)c1nccs1 ZINC001354593759 1053918887 /nfs/dbraw/zinc/91/88/87/1053918887.db2.gz DXKMDKXOFHNRQD-CYBMUJFWSA-N 0 3 313.426 4.034 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(F)c(C)c2)C2CC2)s1 ZINC000158693119 1053919675 /nfs/dbraw/zinc/91/96/75/1053919675.db2.gz XVFLKHJECCYKQD-KRWDZBQOSA-N 0 3 304.434 4.448 20 0 DIADHN CN(Cc1cc(Cl)ncc1C(F)(F)F)C[C@@H]1CC1(C)C ZINC001231934553 1053919896 /nfs/dbraw/zinc/91/98/96/1053919896.db2.gz FBLYLMGFJULFBU-JTQLQIEISA-N 0 3 306.759 4.232 20 0 DIADHN CC(C)CN(Cc1nc(Br)ccc1F)CC(C)C ZINC001231945617 1053920263 /nfs/dbraw/zinc/92/02/63/1053920263.db2.gz KQSPFSNJLCBIRD-UHFFFAOYSA-N 0 3 317.246 4.097 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(F)c(F)c3)CC2)ccn1 ZINC000621254899 1053920730 /nfs/dbraw/zinc/92/07/30/1053920730.db2.gz PGAZGYGSUCDUDR-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN CC[C@H](CO)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC001354603622 1053922718 /nfs/dbraw/zinc/92/27/18/1053922718.db2.gz LIBTVDPDSLDJNC-IINYFYTJSA-N 0 3 324.877 4.193 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ncccc1C(F)(F)F ZINC001231965106 1053923028 /nfs/dbraw/zinc/92/30/28/1053923028.db2.gz PEXRJUGKSHGLLK-QHHAFSJGSA-N 0 3 321.346 4.031 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc(OCC(F)(F)F)nc1 ZINC000158986134 1053928534 /nfs/dbraw/zinc/92/85/34/1053928534.db2.gz MHDMEMFXYIPMBE-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@@H](C)c2ccccc2C)c1 ZINC000159021164 1053930785 /nfs/dbraw/zinc/93/07/85/1053930785.db2.gz KSCSGHSIWPBERS-SJORKVTESA-N 0 3 324.468 4.374 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3Cl)C2)cc(C)n1 ZINC001232000246 1053932407 /nfs/dbraw/zinc/93/24/07/1053932407.db2.gz GZWQMSHHCRCOIX-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN c1cc(-c2nc([C@@H]3CN4CCC3CC4)no2)cc(C2CCCC2)c1 ZINC001328606788 1053943364 /nfs/dbraw/zinc/94/33/64/1053943364.db2.gz AULCABIKKUVEMG-GOSISDBHSA-N 0 3 323.440 4.203 20 0 DIADHN Brc1cccc2c(CN3CCC4(CCC4)C3)c[nH]c21 ZINC001232022055 1053943437 /nfs/dbraw/zinc/94/34/37/1053943437.db2.gz RAWXWXAQWSWPDC-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN CCCCN(CCCC)Cc1ccnc(Br)c1F ZINC001232032461 1053945087 /nfs/dbraw/zinc/94/50/87/1053945087.db2.gz QUGHZNIFESTDFC-UHFFFAOYSA-N 0 3 317.246 4.385 20 0 DIADHN Cc1c(Cl)cccc1CN(C)CCC(=O)c1ccccc1 ZINC001237737563 1053945738 /nfs/dbraw/zinc/94/57/38/1053945738.db2.gz FGWZUVSEVPQFCK-UHFFFAOYSA-N 0 3 301.817 4.353 20 0 DIADHN COc1cccc2[nH]cc(CN3CCC4(CC[C@@H](F)C4)CC3)c21 ZINC001232038175 1053947269 /nfs/dbraw/zinc/94/72/69/1053947269.db2.gz JWDMXLLVFVYZQD-OAHLLOKOSA-N 0 3 316.420 4.281 20 0 DIADHN CCOc1cncc(CN[C@H](c2ccc(F)cc2)C2CCC2)c1 ZINC000621333869 1053948829 /nfs/dbraw/zinc/94/88/29/1053948829.db2.gz USXFGUDIALLHRM-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN CCCc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)s1 ZINC000621338902 1053951779 /nfs/dbraw/zinc/95/17/79/1053951779.db2.gz OTUGDBXULRDLNW-INIZCTEOSA-N 0 3 305.491 4.311 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cc3ncccc3[nH]2)C1 ZINC001232065287 1053952928 /nfs/dbraw/zinc/95/29/28/1053952928.db2.gz QZKPEYYSLOKFQS-ZDUSSCGKSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1cccc(CCN(C)Cc2cccc(C(F)(F)F)n2)c1 ZINC001232070821 1053954485 /nfs/dbraw/zinc/95/44/85/1053954485.db2.gz ADLVUJKRURWAPY-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1ccc(CN2CCC[C@H](Oc3ccccc3C)C2)c(C)n1 ZINC001232083764 1053957075 /nfs/dbraw/zinc/95/70/75/1053957075.db2.gz LLJQJLYFAWGGOE-IBGZPJMESA-N 0 3 310.441 4.050 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)c(C)n1 ZINC001232082975 1053958140 /nfs/dbraw/zinc/95/81/40/1053958140.db2.gz PKQLBCMIOKDYLR-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@H]3CC3(F)F)cs2)cc1 ZINC000621377708 1053959118 /nfs/dbraw/zinc/95/91/18/1053959118.db2.gz DXMOJNBLVVCBEK-ZDUSSCGKSA-N 0 3 308.397 4.253 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3ccc(C)nc3C)C2)cc1 ZINC001232084023 1053960027 /nfs/dbraw/zinc/96/00/27/1053960027.db2.gz VGHDNAOTBNNJEW-FQEVSTJZSA-N 0 3 310.441 4.050 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@@H]3CC3(F)F)cs2)cc1 ZINC000621379119 1053960943 /nfs/dbraw/zinc/96/09/43/1053960943.db2.gz MWHJMNRVZIUMCK-LLVKDONJSA-N 0 3 312.360 4.084 20 0 DIADHN Fc1cccc(CC2CCN(Cc3cc4ccncc4[nH]3)CC2)c1 ZINC001232095274 1053962647 /nfs/dbraw/zinc/96/26/47/1053962647.db2.gz AOTBJHYASNBGHM-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc(-c3cccnc3)o2)cc1 ZINC001232134260 1053968800 /nfs/dbraw/zinc/96/88/00/1053968800.db2.gz AHKOAHNOBFNGIJ-LJQANCHMSA-N 0 3 319.408 4.382 20 0 DIADHN C[C@@H]1CN(Cc2c(Br)[nH]c3ccccc32)C2(CC2)C1 ZINC001232144890 1053970853 /nfs/dbraw/zinc/97/08/53/1053970853.db2.gz ZZUHMOMAZGOZAH-NSHDSACASA-N 0 3 319.246 4.305 20 0 DIADHN C[C@H]1CCCCN1Cc1c(Br)[nH]c2ccccc21 ZINC001232139886 1053971824 /nfs/dbraw/zinc/97/18/24/1053971824.db2.gz MWMHNFPFYJIAPC-NSHDSACASA-N 0 3 307.235 4.305 20 0 DIADHN CC(C)c1cccc(CN[C@H]2C[C@H](C)N(c3ccccc3)C2=O)c1 ZINC001200784732 1053972031 /nfs/dbraw/zinc/97/20/31/1053972031.db2.gz RUHLFSYXMMGDTB-JXFKEZNVSA-N 0 3 322.452 4.094 20 0 DIADHN CC(=O)Nc1ccc(CN(C)Cc2ccc(Cl)cc2)c(C)c1 ZINC001232188238 1053982549 /nfs/dbraw/zinc/98/25/49/1053982549.db2.gz IATGZRLYDBBEOQ-UHFFFAOYSA-N 0 3 316.832 4.239 20 0 DIADHN CC(C)(C)c1cc(CN2CCC[C@@H](c3ccccn3)C2)ccn1 ZINC001232216177 1053992382 /nfs/dbraw/zinc/99/23/82/1053992382.db2.gz QWGTUSWQZCMAJR-QGZVFWFLSA-N 0 3 309.457 4.154 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccnc(C(C)(C)C)c1 ZINC001232218585 1053993159 /nfs/dbraw/zinc/99/31/59/1053993159.db2.gz DBBJHVCQWROVFD-UHFFFAOYSA-N 0 3 312.457 4.410 20 0 DIADHN CC(C)(C)c1cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)ccn1 ZINC001232221297 1053995823 /nfs/dbraw/zinc/99/58/23/1053995823.db2.gz WDIOQMIZIBNVTH-CALCHBBNSA-N 0 3 306.453 4.466 20 0 DIADHN Cc1nccnc1CN1CCC(c2cccc3ccccc32)CC1 ZINC000621714400 1053997659 /nfs/dbraw/zinc/99/76/59/1053997659.db2.gz NAJCSJDYABGOMA-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN CC(C)(C)Oc1ccc([C@H]2CCN(Cc3cnsc3)C2)cc1 ZINC001232231079 1053998709 /nfs/dbraw/zinc/99/87/09/1053998709.db2.gz HJLBKFFHZYJXIM-INIZCTEOSA-N 0 3 316.470 4.310 20 0 DIADHN c1cc(CN2CCc3ccccc3CC2)n(Cc2ccccc2)c1 ZINC001232232259 1054000083 /nfs/dbraw/zinc/00/00/83/1054000083.db2.gz KSWCXGOHAVBFCJ-UHFFFAOYSA-N 0 3 316.448 4.137 20 0 DIADHN CCC(CC)(CNCc1c(Cl)n(C)nc1C(C)C)SC ZINC001200812012 1054000482 /nfs/dbraw/zinc/00/04/82/1054000482.db2.gz IREKVISUXLWUGX-UHFFFAOYSA-N 0 3 317.930 4.208 20 0 DIADHN CC(C)(C)Oc1ccc([C@@H]2CCN(Cc3cnsc3)C2)cc1 ZINC001232231080 1054000679 /nfs/dbraw/zinc/00/06/79/1054000679.db2.gz HJLBKFFHZYJXIM-MRXNPFEDSA-N 0 3 316.470 4.310 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2cc3cnccc3o2)c1 ZINC001329647680 1054001825 /nfs/dbraw/zinc/00/18/25/1054001825.db2.gz RIMADGJHBFESSN-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN Cc1nccnc1CN1CCC[C@@]1(C)Cc1ccccc1Cl ZINC000621732482 1054004866 /nfs/dbraw/zinc/00/48/66/1054004866.db2.gz BVLCRGCPSOLEAP-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CC[C@@H](Cc3ccccc3)C2)c2cccnc12 ZINC001232278685 1054009803 /nfs/dbraw/zinc/00/98/03/1054009803.db2.gz GSDNOFCPMOVPTJ-KRWDZBQOSA-N 0 3 318.420 4.005 20 0 DIADHN [O-]c1ccc(C[N@H+]2CC[C@@H](Cc3ccccc3)C2)c2cccnc12 ZINC001232278685 1054009808 /nfs/dbraw/zinc/00/98/08/1054009808.db2.gz GSDNOFCPMOVPTJ-KRWDZBQOSA-N 0 3 318.420 4.005 20 0 DIADHN Clc1csc(CN2CCC3(COc4ccccc43)CC2)c1 ZINC001232282886 1054014370 /nfs/dbraw/zinc/01/43/70/1054014370.db2.gz CZYOESVJALHRKU-UHFFFAOYSA-N 0 3 319.857 4.328 20 0 DIADHN Cc1sc(CN(C)Cc2ccccc2)cc1Br ZINC001232290200 1054015951 /nfs/dbraw/zinc/01/59/51/1054015951.db2.gz RGVMSXGYCOTYKI-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Cc1cc(Br)ncc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001232320447 1054018935 /nfs/dbraw/zinc/01/89/35/1054018935.db2.gz VUTUPVSHNANDBQ-ZFWWWQNUSA-N 0 3 323.278 4.307 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2cccs2)c(C)n1 ZINC001232312398 1054020635 /nfs/dbraw/zinc/02/06/35/1054020635.db2.gz RUYVGNZATVYHCU-OAHLLOKOSA-N 0 3 302.443 4.187 20 0 DIADHN CSc1cc(C)c(CN2CCC3(CCC(C)(C)O3)CC2)cn1 ZINC001232350873 1054025976 /nfs/dbraw/zinc/02/59/76/1054025976.db2.gz XRAKVCJBAMRFEM-UHFFFAOYSA-N 0 3 320.502 4.036 20 0 DIADHN CCOc1ccc(OC)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001232385821 1054032878 /nfs/dbraw/zinc/03/28/78/1054032878.db2.gz WDVLDIBVNWQKKH-UHFFFAOYSA-N 0 3 321.436 4.198 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCCCC2)c1 ZINC001232376923 1054033379 /nfs/dbraw/zinc/03/33/79/1054033379.db2.gz PSDDEGBKYFDOLC-UHFFFAOYSA-N 0 3 311.425 4.260 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CC3CCC(CC3)C2)c1 ZINC001232389340 1054036927 /nfs/dbraw/zinc/03/69/27/1054036927.db2.gz ROJYNZISMHCTHL-UHFFFAOYSA-N 0 3 303.446 4.104 20 0 DIADHN C[C@@H](C[C@H](O)c1ccccc1)NCc1ccc(Cl)cc1F ZINC000189412640 1054037110 /nfs/dbraw/zinc/03/71/10/1054037110.db2.gz ZYWLPAAZTKFUJK-SJCJKPOMSA-N 0 3 307.796 4.081 20 0 DIADHN Cc1ccc(OC(C)C)c(CN2CCC(OC(C)C)CC2)c1 ZINC001232397877 1054040728 /nfs/dbraw/zinc/04/07/28/1054040728.db2.gz VKHSQQPBXFAOAP-UHFFFAOYSA-N 0 3 305.462 4.172 20 0 DIADHN Cc1ccc(OC(C)C)c(CN2CCC3(CCCOC3)CC2)c1 ZINC001232399521 1054041134 /nfs/dbraw/zinc/04/11/34/1054041134.db2.gz QSSNQMGLVVBZKY-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN C[C@@H](NCc1cccnc1Br)[C@@H](C)c1ccccc1 ZINC001200854627 1054043320 /nfs/dbraw/zinc/04/33/20/1054043320.db2.gz QKWYTUCPUVJWAS-CHWSQXEVSA-N 0 3 319.246 4.126 20 0 DIADHN c1c(CN2CCC[C@@H]3CCC[C@H]32)onc1OCc1ccccc1 ZINC001232451144 1054045565 /nfs/dbraw/zinc/04/55/65/1054045565.db2.gz DOXRUUBAIICOAR-FUHWJXTLSA-N 0 3 312.413 4.018 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCSC2)c2ccc(F)cc2)cc1 ZINC000163762785 1054049253 /nfs/dbraw/zinc/04/92/53/1054049253.db2.gz ZUIKAPUYBKBIGK-WMZOPIPTSA-N 0 3 317.429 4.019 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]c3nccc(Cl)c13)CC2 ZINC001232464060 1054051291 /nfs/dbraw/zinc/05/12/91/1054051291.db2.gz VULGKKJJIBDTHM-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN COc1cc(Cl)ccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001232496210 1054056476 /nfs/dbraw/zinc/05/64/76/1054056476.db2.gz JAOPQGYAQMNGTB-UHFFFAOYSA-N 0 3 315.791 4.360 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2c(C)cccc2F)CC1 ZINC001232484180 1054057435 /nfs/dbraw/zinc/05/74/35/1054057435.db2.gz WQDMUJWFQRRRAQ-UHFFFAOYSA-N 0 3 313.416 4.272 20 0 DIADHN CC[C@@H](NCc1cnn(CC(F)F)c1)c1cccc(Cl)c1 ZINC000292996765 1054063731 /nfs/dbraw/zinc/06/37/31/1054063731.db2.gz NJYLXQIIRXOQGN-CQSZACIVSA-N 0 3 313.779 4.043 20 0 DIADHN Cc1cc(CN(C)C2CC(F)(F)C2)cc(C)c1Br ZINC001232519011 1054064525 /nfs/dbraw/zinc/06/45/25/1054064525.db2.gz MLPWWFMUYZXMIQ-UHFFFAOYSA-N 0 3 318.205 4.295 20 0 DIADHN FCc1cccc(CN2CCC[C@@H](CCc3ccccc3)C2)n1 ZINC001232540700 1054065808 /nfs/dbraw/zinc/06/58/08/1054065808.db2.gz JLXJKDDKEJARRN-SFHVURJKSA-N 0 3 312.432 4.396 20 0 DIADHN CC[C@@H](NCc1c(C)cnn1COC)c1cccc2ccccc21 ZINC001331230564 1054066314 /nfs/dbraw/zinc/06/63/14/1054066314.db2.gz YECZOCRDRDJFKJ-LJQANCHMSA-N 0 3 323.440 4.190 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232543082 1054069006 /nfs/dbraw/zinc/06/90/06/1054069006.db2.gz WAXFFHSVOFZXQI-UONOGXRCSA-N 0 3 319.449 4.049 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1cc2ccoc2cn1 ZINC001232552858 1054070559 /nfs/dbraw/zinc/07/05/59/1054070559.db2.gz IGTMKSHDJJDRPH-UHFFFAOYSA-N 0 3 300.789 4.156 20 0 DIADHN COC(=O)c1ccc(CN2C3CCCC2CCC3)c(Cl)c1 ZINC001232582526 1054073426 /nfs/dbraw/zinc/07/34/26/1054073426.db2.gz DIJIYBYLRIQHKD-UHFFFAOYSA-N 0 3 307.821 4.034 20 0 DIADHN C[C@@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)[C@@H]1C ZINC001232589316 1054075992 /nfs/dbraw/zinc/07/59/92/1054075992.db2.gz BPRHFROQJQWFEC-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)c2cnn(CC)c2)cc1 ZINC000165396559 1054076070 /nfs/dbraw/zinc/07/60/70/1054076070.db2.gz PRLIHRDNGYUSHM-ZIAGYGMSSA-N 0 3 303.475 4.427 20 0 DIADHN CN(Cc1cc(OCc2ccccc2)ccn1)C[C@H]1CC1(C)C ZINC001232589178 1054077427 /nfs/dbraw/zinc/07/74/27/1054077427.db2.gz ZVQIIPWVKNEUTI-QGZVFWFLSA-N 0 3 310.441 4.139 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232612504 1054081926 /nfs/dbraw/zinc/08/19/26/1054081926.db2.gz LWYSVPNKRGMYNJ-OAHLLOKOSA-N 0 3 317.454 4.065 20 0 DIADHN CC(C)c1nc(CNC2CCC(OC(C)(C)C)CC2)cs1 ZINC001331742003 1054089232 /nfs/dbraw/zinc/08/92/32/1054089232.db2.gz MKQVOFIPVBUNGH-UHFFFAOYSA-N 0 3 310.507 4.482 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)NC3CCC(C)CC3)n2)cc1F ZINC000622066432 1054090441 /nfs/dbraw/zinc/09/04/41/1054090441.db2.gz CBSRXTNXSQVDII-QRJNDHJOSA-N 0 3 317.408 4.413 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccc2ccccc21 ZINC000166557339 1054092053 /nfs/dbraw/zinc/09/20/53/1054092053.db2.gz FLFDHLBCCACOCU-SZFUDVHCSA-N 0 3 303.405 4.149 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cncc2cnccc21 ZINC001232653139 1054095240 /nfs/dbraw/zinc/09/52/40/1054095240.db2.gz SBNPPEZABZKXRE-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN CNc1ccccc1CN1CCC(c2ccc(F)cc2F)CC1 ZINC001232675131 1054100237 /nfs/dbraw/zinc/10/02/37/1054100237.db2.gz JRNFUQGNGJSZMR-UHFFFAOYSA-N 0 3 316.395 4.386 20 0 DIADHN c1nc2cccc(CN3CCC(Oc4ccccc4)CC3)c2s1 ZINC001232731404 1054108211 /nfs/dbraw/zinc/10/82/11/1054108211.db2.gz XJPTVSGNJTYCSD-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc2ncsc21 ZINC001232735423 1054110329 /nfs/dbraw/zinc/11/03/29/1054110329.db2.gz MLYLNDRHVPPJPA-CYBMUJFWSA-N 0 3 312.438 4.498 20 0 DIADHN c1nc2ccc(CN3CCC(c4cccnc4)CC3)cc2s1 ZINC001232743212 1054112442 /nfs/dbraw/zinc/11/24/42/1054112442.db2.gz NEBLYNJZTOOEQC-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1c(CN2CC[C@H](c3ccc(F)cc3)C2)ccnc1Cl ZINC001232753910 1054115559 /nfs/dbraw/zinc/11/55/59/1054115559.db2.gz SVKXZBYZJOTPMA-HNNXBMFYSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1cc(CN2CCC3(CCOC3(C)C)CC2)c(F)cc1F ZINC001232785122 1054118029 /nfs/dbraw/zinc/11/80/29/1054118029.db2.gz WNTWEMNVJRPWDG-UHFFFAOYSA-N 0 3 309.400 4.054 20 0 DIADHN CN(Cc1ccc(CCO)cc1)Cc1ccc(Cl)c(Cl)c1 ZINC001232778517 1054120004 /nfs/dbraw/zinc/12/00/04/1054120004.db2.gz ZSTHDGBWDYNZDY-UHFFFAOYSA-N 0 3 324.251 4.160 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@H]3CCC[C@@H]3C2)c(Cl)n1 ZINC001232790895 1054122857 /nfs/dbraw/zinc/12/28/57/1054122857.db2.gz HTPXICLFWVHPNQ-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN CC(C)(O)c1ccc(CN2CCCC[C@@H]2c2ccccc2)cn1 ZINC001232823390 1054124934 /nfs/dbraw/zinc/12/49/34/1054124934.db2.gz WRNQTQOVJWWZOV-GOSISDBHSA-N 0 3 310.441 4.036 20 0 DIADHN COc1cc(O)cc(CN(C)Cc2cccc3ccccc32)c1 ZINC001232804403 1054125057 /nfs/dbraw/zinc/12/50/57/1054125057.db2.gz ZLFGUFGDORRUSU-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1ccc(C(C)(C)O)nc1 ZINC001232830447 1054126885 /nfs/dbraw/zinc/12/68/85/1054126885.db2.gz ZIGSROTYNQSEMU-UHFFFAOYSA-N 0 3 312.457 4.111 20 0 DIADHN CCC(CC)[C@H](NCc1cnc(Cl)n1C)c1ccccc1 ZINC000848527789 1054127339 /nfs/dbraw/zinc/12/73/39/1054127339.db2.gz HQLDCGJZGHUVHT-INIZCTEOSA-N 0 3 305.853 4.341 20 0 DIADHN Cc1noc2ncc(CN[C@@H](c3ccccc3C)C3CC3)cc12 ZINC001332738081 1054127612 /nfs/dbraw/zinc/12/76/12/1054127612.db2.gz XVLMLRFZJDGJBL-GOSISDBHSA-N 0 3 307.397 4.081 20 0 DIADHN Cc1noc2ncc(CN[C@H](c3ccccc3C)C3CC3)cc12 ZINC001332738082 1054127753 /nfs/dbraw/zinc/12/77/53/1054127753.db2.gz XVLMLRFZJDGJBL-SFHVURJKSA-N 0 3 307.397 4.081 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc(Cl)n1C)c1cccs1 ZINC000848532136 1054128962 /nfs/dbraw/zinc/12/89/62/1054128962.db2.gz YXKKHJIWIBABDE-CQSZACIVSA-N 0 3 311.882 4.402 20 0 DIADHN C[C@@H](O)[C@@H](N[C@H]1CC[C@@H](C)c2ccsc21)c1ccccc1 ZINC000658356893 1054129374 /nfs/dbraw/zinc/12/93/74/1054129374.db2.gz MVDFDKVGULASNM-UTJXIGIESA-N 0 3 301.455 4.398 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC001332882350 1054129772 /nfs/dbraw/zinc/12/97/72/1054129772.db2.gz XRYYJRYOHSLIKB-ZWKOTPCHSA-N 0 3 309.457 4.427 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(c2cccc(F)c2)CC1 ZINC001332998477 1054133339 /nfs/dbraw/zinc/13/33/39/1054133339.db2.gz FVVZJZIBFLNZCM-UHFFFAOYSA-N 0 3 315.436 4.226 20 0 DIADHN Oc1ccc2[nH]cc(CN3CC=C(c4ccccc4)CC3)c2c1 ZINC001232872418 1054135688 /nfs/dbraw/zinc/13/56/88/1054135688.db2.gz YLGJYVDJOFCHCX-UHFFFAOYSA-N 0 3 304.393 4.163 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnc(Cl)n1C)CCC2 ZINC000848543448 1054136794 /nfs/dbraw/zinc/13/67/94/1054136794.db2.gz DRQDAAOMXXOFFO-KRWDZBQOSA-N 0 3 317.864 4.364 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NCc1ccccc1C(C)C ZINC000848541625 1054137113 /nfs/dbraw/zinc/13/71/13/1054137113.db2.gz RPWXKJJFAJMLDB-LJQANCHMSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1cc(O)cc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c1 ZINC001232882846 1054137997 /nfs/dbraw/zinc/13/79/97/1054137997.db2.gz BWVJOQPRIVCVQA-MRXNPFEDSA-N 0 3 301.817 4.344 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCCCN2C2CCCC2)cc1C ZINC000622272899 1054138350 /nfs/dbraw/zinc/13/83/50/1054138350.db2.gz ODJXDELHAOINII-GOSISDBHSA-N 0 3 300.446 4.039 20 0 DIADHN CC1(F)CCN(Cc2ccc(-c3cccc(F)c3)nc2)CC1 ZINC001232886084 1054140612 /nfs/dbraw/zinc/14/06/12/1054140612.db2.gz OWYQFMCUKWGHAT-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN CC(C)c1ccccc1CNCc1ccnc(OC(F)F)c1 ZINC000848551935 1054145946 /nfs/dbraw/zinc/14/59/46/1054145946.db2.gz WXQHMEJHEOUUQB-UHFFFAOYSA-N 0 3 306.356 4.096 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccccc2C(C)C)c1 ZINC000848553576 1054147451 /nfs/dbraw/zinc/14/74/51/1054147451.db2.gz LNGHAROESPOEEV-UHFFFAOYSA-N 0 3 312.413 4.278 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccccc1-n1cccc1 ZINC001232912137 1054149753 /nfs/dbraw/zinc/14/97/53/1054149753.db2.gz IRSHOMJCKCZEPJ-UHFFFAOYSA-N 0 3 324.449 4.244 20 0 DIADHN CCSc1ccccc1CN[C@H](CCO)c1cccs1 ZINC001333309354 1054149943 /nfs/dbraw/zinc/14/99/43/1054149943.db2.gz AIJKQWBNPOTQCZ-CQSZACIVSA-N 0 3 307.484 4.073 20 0 DIADHN FC(F)Oc1ccc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001232952781 1054155642 /nfs/dbraw/zinc/15/56/42/1054155642.db2.gz FAYSWZDCLITOJC-UHFFFAOYSA-N 0 3 310.388 4.229 20 0 DIADHN COc1ncc(CN(C)Cc2ccc(F)cc2)c2ccccc21 ZINC001232948000 1054155779 /nfs/dbraw/zinc/15/57/79/1054155779.db2.gz YYRWNFPEGJRALQ-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CCCO[C@@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232946860 1054156181 /nfs/dbraw/zinc/15/61/81/1054156181.db2.gz QLTOIAZLIRYGOT-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN COC/C(C)=C\CN[C@H](CC(F)(F)F)c1ccccc1C ZINC001333486301 1054156352 /nfs/dbraw/zinc/15/63/52/1054156352.db2.gz KFNIBHZVELCFQK-LDCOFTPGSA-N 0 3 301.352 4.171 20 0 DIADHN Cc1cc(F)ncc1CN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC001333694200 1054166135 /nfs/dbraw/zinc/16/61/35/1054166135.db2.gz UZZYTGAQHYGXEP-BBATYDOGSA-N 0 3 302.368 4.081 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232982995 1054166578 /nfs/dbraw/zinc/16/65/78/1054166578.db2.gz HXZOVGJVMRUTLQ-GOSISDBHSA-N 0 3 315.457 4.118 20 0 DIADHN COc1ccccc1O[C@@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232983458 1054166628 /nfs/dbraw/zinc/16/66/28/1054166628.db2.gz NBPZBKZKMHFIEP-LJQANCHMSA-N 0 3 323.436 4.226 20 0 DIADHN CCC(CC)[N@H+](CC)Cc1cc([O-])cc(Br)c1 ZINC001232999399 1054168586 /nfs/dbraw/zinc/16/85/86/1054168586.db2.gz QIVCKNZGLNLGBL-UHFFFAOYSA-N 0 3 300.240 4.165 20 0 DIADHN CCC(CC)[N@@H+](CC)Cc1cc([O-])cc(Br)c1 ZINC001232999399 1054168590 /nfs/dbraw/zinc/16/85/90/1054168590.db2.gz QIVCKNZGLNLGBL-UHFFFAOYSA-N 0 3 300.240 4.165 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cnc(C)cc2C)cc1 ZINC001233006679 1054172022 /nfs/dbraw/zinc/17/20/22/1054172022.db2.gz GOMUPFBTNPTVJJ-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc(Cl)ncc2[O-])c1 ZINC001233032409 1054174403 /nfs/dbraw/zinc/17/44/03/1054174403.db2.gz JUTJMPMUSGMDBY-HNNXBMFYSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1cccc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)ncc2[O-])c1 ZINC001233032409 1054174407 /nfs/dbraw/zinc/17/44/07/1054174407.db2.gz JUTJMPMUSGMDBY-HNNXBMFYSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1cccc([C@@H]2CCC[N@H+]2Cc2cc(Cl)ncc2[O-])c1 ZINC001233032409 1054174411 /nfs/dbraw/zinc/17/44/11/1054174411.db2.gz JUTJMPMUSGMDBY-HNNXBMFYSA-N 0 3 302.805 4.086 20 0 DIADHN [O-]c1cnc(Cl)cc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001233038865 1054174548 /nfs/dbraw/zinc/17/45/48/1054174548.db2.gz HZSNVZAUACDCBD-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@@H+]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001233038865 1054174557 /nfs/dbraw/zinc/17/45/57/1054174557.db2.gz HZSNVZAUACDCBD-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@H+]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001233038865 1054174568 /nfs/dbraw/zinc/17/45/68/1054174568.db2.gz HZSNVZAUACDCBD-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN C[C@H](CSC(C)(C)C)NCc1nc2ccccc2n1C1CC1 ZINC000184781060 1054174726 /nfs/dbraw/zinc/17/47/26/1054174726.db2.gz ZUGSULQGDCRCAY-CYBMUJFWSA-N 0 3 317.502 4.381 20 0 DIADHN Oc1cccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1F ZINC001233058499 1054179750 /nfs/dbraw/zinc/17/97/50/1054179750.db2.gz YYAWCQNJIREABF-ZDUSSCGKSA-N 0 3 305.780 4.174 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@H](c1cnn(C)c1)C(C)C ZINC001334422624 1054191088 /nfs/dbraw/zinc/19/10/88/1054191088.db2.gz JJPAKLUCTOWXKK-DYVFJYSZSA-N 0 3 303.475 4.190 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccccc3F)CC2)cnc1C ZINC001233162127 1054193885 /nfs/dbraw/zinc/19/38/85/1054193885.db2.gz SMPUDDKYTLTYMH-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN CCCN(C(=O)[C@H]1CCCN1C(C)C)[C@H](CC)c1ccccc1 ZINC001355647670 1054194014 /nfs/dbraw/zinc/19/40/14/1054194014.db2.gz YTMGNUBRIXFNCT-RTBURBONSA-N 0 3 316.489 4.249 20 0 DIADHN Cc1cc(CN2CCC(Cc3cccc(F)c3)CC2)cnc1C ZINC001233160687 1054193994 /nfs/dbraw/zinc/19/39/94/1054193994.db2.gz FKOQZHOOQGQBTF-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN Cc1cc(CN2CCC[C@]3(CCc4c3cccc4F)C2)cnc1C ZINC001233165016 1054194545 /nfs/dbraw/zinc/19/45/45/1054194545.db2.gz QBOPAIURUPWMMF-NRFANRHFSA-N 0 3 324.443 4.318 20 0 DIADHN Cc1c[nH]c(=O)c(CN2CCC[C@@H]2c2ccc(Cl)cc2)c1 ZINC001233192939 1054198411 /nfs/dbraw/zinc/19/84/11/1054198411.db2.gz PJBGLUSWIFDERU-MRXNPFEDSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1c[nH]c(=O)c(CN2CCC[C@@H]2c2cccc(Cl)c2)c1 ZINC001233193489 1054199691 /nfs/dbraw/zinc/19/96/91/1054199691.db2.gz BLHLNOKVTUWXKL-MRXNPFEDSA-N 0 3 302.805 4.086 20 0 DIADHN CC(C)N(Cc1ccc(Cl)c(F)c1F)C1CCOCC1 ZINC001233213746 1054203523 /nfs/dbraw/zinc/20/35/23/1054203523.db2.gz PAJGQEYOQIPBSZ-UHFFFAOYSA-N 0 3 303.780 4.008 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC4(C3)CCOC4)cc2)cc1 ZINC001233216305 1054204610 /nfs/dbraw/zinc/20/46/10/1054204610.db2.gz ARDZPWMQJYVMJF-UHFFFAOYSA-N 0 3 313.828 4.229 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cn3ccnc3s2)c1C ZINC001335238447 1054209728 /nfs/dbraw/zinc/20/97/28/1054209728.db2.gz QXLMYTXQFXSXKF-UHFFFAOYSA-N 0 3 324.453 4.092 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)cc1O ZINC001233234655 1054213123 /nfs/dbraw/zinc/21/31/23/1054213123.db2.gz CDISSVLIXALZCB-INIZCTEOSA-N 0 3 323.436 4.283 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1cnn2ccccc12 ZINC001233238971 1054214285 /nfs/dbraw/zinc/21/42/85/1054214285.db2.gz XRNWKNHNCWSHKZ-GOSISDBHSA-N 0 3 323.465 4.393 20 0 DIADHN CC(C)C[C@@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000184860052 1054221229 /nfs/dbraw/zinc/22/12/29/1054221229.db2.gz NKMGPNNPXMEKIM-PBHICJAKSA-N 0 3 322.408 4.169 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc(-c3ccncc3)cs2)cc1 ZINC001335838523 1054226372 /nfs/dbraw/zinc/22/63/72/1054226372.db2.gz QRBPSZRPIZFUFE-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cc(CN2CCC(CCc3ccccc3)CC2)sn1 ZINC001233312069 1054227059 /nfs/dbraw/zinc/22/70/59/1054227059.db2.gz XDCUCUWTNSRTPV-UHFFFAOYSA-N 0 3 300.471 4.296 20 0 DIADHN Cc1cc(CN2CCC[C@H](CCc3ccccc3)C2)sn1 ZINC001233313618 1054227806 /nfs/dbraw/zinc/22/78/06/1054227806.db2.gz DQWMKPZAAUIWJH-QGZVFWFLSA-N 0 3 300.471 4.296 20 0 DIADHN Cc1cnsc1CN1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001233323445 1054230787 /nfs/dbraw/zinc/23/07/87/1054230787.db2.gz UOPHCZYLDLCDOR-GOSISDBHSA-N 0 3 316.445 4.071 20 0 DIADHN Cc1cnsc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001233321976 1054230922 /nfs/dbraw/zinc/23/09/22/1054230922.db2.gz MLTIZBVGIGFLFZ-LBPRGKRZSA-N 0 3 306.862 4.267 20 0 DIADHN CC[C@H](C(=O)N1CCCC[C@H]1c1ccc(C(C)C)cc1)N(C)C ZINC000622472049 1054242485 /nfs/dbraw/zinc/24/24/85/1054242485.db2.gz QMWYNGHBDIPSEY-MOPGFXCFSA-N 0 3 316.489 4.204 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cc3cccnc3s2)cn1 ZINC001233349351 1054244833 /nfs/dbraw/zinc/24/48/33/1054244833.db2.gz OIPJFADSFQZYFP-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2cc3cccnc3s2)C1 ZINC001233350208 1054245122 /nfs/dbraw/zinc/24/51/22/1054245122.db2.gz YSWAAWWVCQZZQW-INIZCTEOSA-N 0 3 322.433 4.001 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cc3cccnc3s1)CCC2 ZINC001233350119 1054245521 /nfs/dbraw/zinc/24/55/21/1054245521.db2.gz VOLPHIOAVWZOMH-UHFFFAOYSA-N 0 3 324.449 4.253 20 0 DIADHN Cn1cc([C@H](NCCCSC(C)(C)C)c2ccccc2)cn1 ZINC001336776028 1054247128 /nfs/dbraw/zinc/24/71/28/1054247128.db2.gz RDVVJVPCNGUNGT-QGZVFWFLSA-N 0 3 317.502 4.021 20 0 DIADHN CCCCCn1cc(CNCc2ccc3c(c2)CCC3)c(C)n1 ZINC001336791829 1054247191 /nfs/dbraw/zinc/24/71/91/1054247191.db2.gz XKXUVXCSHWOUQX-UHFFFAOYSA-N 0 3 311.473 4.160 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H](c2ccncc2)C1 ZINC001233352807 1054248224 /nfs/dbraw/zinc/24/82/24/1054248224.db2.gz UOYFMBYDIKVCRE-AWEZNQCLSA-N 0 3 318.873 4.446 20 0 DIADHN c1cn2c(cccc2CN(Cc2ccccn2)C2CCCCC2)n1 ZINC001233363495 1054250385 /nfs/dbraw/zinc/25/03/85/1054250385.db2.gz JNQYGFBCYIOGHY-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001336912024 1054251419 /nfs/dbraw/zinc/25/14/19/1054251419.db2.gz PZIUAABUWDPOMR-LZWOXQAQSA-N 0 3 306.475 4.007 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001336912022 1054251987 /nfs/dbraw/zinc/25/19/87/1054251987.db2.gz PZIUAABUWDPOMR-DZKIICNBSA-N 0 3 306.475 4.007 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(N)cc1Cl ZINC001233377239 1054252305 /nfs/dbraw/zinc/25/23/05/1054252305.db2.gz VHAMJBKGXJKUQJ-SFHVURJKSA-N 0 3 300.833 4.432 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)c1ccc(F)cc1N(C)C ZINC001337167121 1054257320 /nfs/dbraw/zinc/25/73/20/1054257320.db2.gz VUICKNLPXIHRNL-AWEZNQCLSA-N 0 3 312.432 4.231 20 0 DIADHN Clc1ccn2cc(CN3CCC4(C3)CCCCC4)nc2c1 ZINC001233393313 1054261168 /nfs/dbraw/zinc/26/11/68/1054261168.db2.gz NXTVNBRUBKULSL-UHFFFAOYSA-N 0 3 303.837 4.144 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnc(F)cc2C)c1C ZINC001356038533 1054266642 /nfs/dbraw/zinc/26/66/42/1054266642.db2.gz OIHRSWAVLXDGPP-UHFFFAOYSA-N 0 3 311.404 4.225 20 0 DIADHN CN(CCc1cccs1)Cc1ccn(C(=O)OC(C)(C)C)c1 ZINC001233432456 1054270635 /nfs/dbraw/zinc/27/06/35/1054270635.db2.gz MSMVLIKGVGQQPT-UHFFFAOYSA-N 0 3 320.458 4.007 20 0 DIADHN CCCN(Cc1cc(Br)ncc1Cl)CC(C)C ZINC001233429177 1054271034 /nfs/dbraw/zinc/27/10/34/1054271034.db2.gz RBSWNVHVJZCPQH-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC001233432281 1054271666 /nfs/dbraw/zinc/27/16/66/1054271666.db2.gz DRUHFVMGSSQZDM-JKSUJKDBSA-N 0 3 304.434 4.036 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000763741623 1054274753 /nfs/dbraw/zinc/27/47/53/1054274753.db2.gz GAKUSCGCDWPOBU-UHFFFAOYSA-N 0 3 310.441 4.148 20 0 DIADHN Cc1cc(CN2CCC(Oc3cccc(C)n3)CC2)sc1C ZINC001233699789 1054335718 /nfs/dbraw/zinc/33/57/18/1054335718.db2.gz DLABEAAYWUIGEB-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN CCN(Cc1cc(C)c(C)s1)Cc1ccc2c(c1)OCO2 ZINC001233700199 1054336049 /nfs/dbraw/zinc/33/60/49/1054336049.db2.gz IWRUDZSANWLAON-UHFFFAOYSA-N 0 3 303.427 4.116 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C[C@H]2CCCC(C)(C)C2)c(F)c1 ZINC000622703896 1054338466 /nfs/dbraw/zinc/33/84/66/1054338466.db2.gz DXGNEUQAHAFNOQ-CQSZACIVSA-N 0 3 320.452 4.432 20 0 DIADHN CCCOc1ccccc1[C@H](CC(C)C)NCc1cc(C)[nH]n1 ZINC001339761558 1054346594 /nfs/dbraw/zinc/34/65/94/1054346594.db2.gz RDCMCEDUTDQSJC-SFHVURJKSA-N 0 3 315.461 4.384 20 0 DIADHN C[C@H](c1ccccc1)c1ccccc1O[C@@H]1CN2CCC1CC2 ZINC001233782952 1054357199 /nfs/dbraw/zinc/35/71/99/1054357199.db2.gz PYLFRDMEUMJHFA-IIBYNOLFSA-N 0 3 307.437 4.311 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1CNCc1ncc(CN(C)C)s1 ZINC001340977201 1054372749 /nfs/dbraw/zinc/37/27/49/1054372749.db2.gz QFWLJMLFPMYGTN-BFYDXBDKSA-N 0 3 323.550 4.003 20 0 DIADHN CC(C)CC[C@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001440889026 1054373894 /nfs/dbraw/zinc/37/38/94/1054373894.db2.gz DJLRFBSQFYMLSW-KRWDZBQOSA-N 0 3 316.489 4.227 20 0 DIADHN CN(C(=O)c1ccc(NC2=NCCC2)cc1)C1CCCCCC1 ZINC001440966229 1054377142 /nfs/dbraw/zinc/37/71/42/1054377142.db2.gz DOTOKTCQFHRLBG-UHFFFAOYSA-N 0 3 313.445 4.086 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H](C)c1ccc(C)cc1C ZINC001441174453 1054385450 /nfs/dbraw/zinc/38/54/50/1054385450.db2.gz DXTJBMXSWASQRU-SFHVURJKSA-N 0 3 318.505 4.335 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccccc1CF)c1ccccc1 ZINC001341394244 1054385956 /nfs/dbraw/zinc/38/59/56/1054385956.db2.gz XUHTUYCWOOVBEB-SFHVURJKSA-N 0 3 301.405 4.006 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@H]4CCCC[C@@H]4C3)nn2)cc1 ZINC001341424999 1054388284 /nfs/dbraw/zinc/38/82/84/1054388284.db2.gz MOPNJWUOGCQYNG-IAGOWNOFSA-N 0 3 323.440 4.281 20 0 DIADHN CCC(CC)CN(CC)C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001441415861 1054392142 /nfs/dbraw/zinc/39/21/42/1054392142.db2.gz SBLHJMBSXWLVSH-UHFFFAOYSA-N 0 3 315.461 4.189 20 0 DIADHN Cc1ncc(O[C@@H]2CCCN(Cc3ccccc3)C2)cc1Cl ZINC001233936721 1054393173 /nfs/dbraw/zinc/39/31/73/1054393173.db2.gz UHMFQSQGRDIODA-MRXNPFEDSA-N 0 3 316.832 4.087 20 0 DIADHN COc1ccc2cc(CN(C)[C@@H](C)c3ccncc3)ccc2c1 ZINC000171913391 1054395719 /nfs/dbraw/zinc/39/57/19/1054395719.db2.gz NRIMYWXTLHFESG-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN COCc1cc(CN[C@H](C)c2cccc(Cl)c2)ccc1F ZINC000171982964 1054401307 /nfs/dbraw/zinc/40/13/07/1054401307.db2.gz WQGYFGAEMJPSTG-GFCCVEGCSA-N 0 3 307.796 4.476 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1c[nH]c(=O)c2ccccc12 ZINC001234008474 1054412598 /nfs/dbraw/zinc/41/25/98/1054412598.db2.gz YKZQZPLSVAUGEB-UHFFFAOYSA-N 0 3 310.372 4.102 20 0 DIADHN c1ccc(NC2CCN(Cc3cnc4ccccc4c3)CC2)cc1 ZINC001342000679 1054414501 /nfs/dbraw/zinc/41/45/01/1054414501.db2.gz BACWXEWZIWUHSP-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]c(=O)c3ccccc23)cc1C ZINC001234016050 1054414465 /nfs/dbraw/zinc/41/44/65/1054414465.db2.gz CSTQYKZVTHSSON-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN Cc1cccc([C@@H](NC(=O)[C@H]2CCCN2C(C)C)C(C)(C)C)c1 ZINC001357138660 1054424463 /nfs/dbraw/zinc/42/44/63/1054424463.db2.gz XICJJSOHSGDGLW-QZTJIDSGSA-N 0 3 316.489 4.071 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H]3OCCC[C@H]3C2)c1 ZINC001343899188 1054455677 /nfs/dbraw/zinc/45/56/77/1054455677.db2.gz XLMDQVYCMBGYOB-BBRMVZONSA-N 0 3 314.256 4.037 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1cccn1C1CC1)CCC2 ZINC001344068259 1054462055 /nfs/dbraw/zinc/46/20/55/1054462055.db2.gz CSCYYJMDGQDSFM-GOSISDBHSA-N 0 3 302.368 4.268 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N1CC[C@]2(C1)CCCOC2 ZINC000671253610 1054463819 /nfs/dbraw/zinc/46/38/19/1054463819.db2.gz LHJIUZVPYDOAIZ-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccnc(Cl)c2)C1(C)C ZINC001473258956 1054464256 /nfs/dbraw/zinc/46/42/56/1054464256.db2.gz BNHCPVFDCPVQFB-LSDHHAIUSA-N 0 3 310.869 4.151 20 0 DIADHN Cn1cc(-c2ccc(CNC(C)(C)c3cccc(F)c3)cc2)cn1 ZINC001344377297 1054467311 /nfs/dbraw/zinc/46/73/11/1054467311.db2.gz CXJOOSXIOAOLHL-UHFFFAOYSA-N 0 3 323.415 4.251 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1ccc(F)cc1 ZINC001234238684 1054468118 /nfs/dbraw/zinc/46/81/18/1054468118.db2.gz NKPGDYABCXXMEE-LBPRGKRZSA-N 0 3 321.367 4.175 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1C[C@H](C)CC[C@H]1C ZINC001234242831 1054471097 /nfs/dbraw/zinc/47/10/97/1054471097.db2.gz DHOTXPHESUODIU-XJKCOSOUSA-N 0 3 309.425 4.099 20 0 DIADHN CCCC/C=C/[C@H](C)Oc1c(F)cc(CN(C)C)cc1OC ZINC001234244615 1054471316 /nfs/dbraw/zinc/47/13/16/1054471316.db2.gz RBWCHYOCVPPXOA-HBWSCVEGSA-N 0 3 309.425 4.410 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1C[C@H](C)CC[C@@H]1C ZINC001234242828 1054472031 /nfs/dbraw/zinc/47/20/31/1054472031.db2.gz DHOTXPHESUODIU-DVOMOZLQSA-N 0 3 309.425 4.099 20 0 DIADHN CC[C@H](C)C[C@@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001444694506 1054483048 /nfs/dbraw/zinc/48/30/48/1054483048.db2.gz OTEOIWJRSKDPCJ-DLBZAZTESA-N 0 3 316.489 4.227 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc([Si](C)(C)C)cc2)CC1 ZINC001473277777 1054483196 /nfs/dbraw/zinc/48/31/96/1054483196.db2.gz ONGQIDYVDZYGTP-UHFFFAOYSA-N 0 3 319.565 4.011 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC001444868788 1054490576 /nfs/dbraw/zinc/49/05/76/1054490576.db2.gz SXJCXOOBNVAOJE-QLFBSQMISA-N 0 3 302.462 4.011 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H]2CCC2CCCC2)cs1 ZINC001473279777 1054491001 /nfs/dbraw/zinc/49/10/01/1054491001.db2.gz FHVNLZRSDICOAN-MRXNPFEDSA-N 0 3 321.486 4.470 20 0 DIADHN CC[C@H]1CCC[C@@]1(C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC001445286694 1054500219 /nfs/dbraw/zinc/50/02/19/1054500219.db2.gz BUFBQJHFNUYHQU-QFBILLFUSA-N 0 3 302.462 4.212 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2cccc(O)c2)cc1 ZINC000174332984 1054504793 /nfs/dbraw/zinc/50/47/93/1054504793.db2.gz LKXYSZMFMYLJAZ-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN CC(C)Cc1ccc([C@H](NCc2ccc(CO)o2)C(C)C)cc1 ZINC000174248292 1054506314 /nfs/dbraw/zinc/50/63/14/1054506314.db2.gz UFOBHHRFLCQCFK-HXUWFJFHSA-N 0 3 315.457 4.457 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 ZINC000185276612 1054507484 /nfs/dbraw/zinc/50/74/84/1054507484.db2.gz KJIISNIWSMAHQU-LBPRGKRZSA-N 0 3 304.777 4.407 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1csc(-c2cccs2)n1 ZINC000174553429 1054511520 /nfs/dbraw/zinc/51/15/20/1054511520.db2.gz UFWVDMSIQLXVSH-NSHDSACASA-N 0 3 310.488 4.165 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1csc(-c2ccc(C)cc2)n1 ZINC000174554051 1054513624 /nfs/dbraw/zinc/51/36/24/1054513624.db2.gz YNZOFTAYOQMSOC-CQSZACIVSA-N 0 3 318.486 4.412 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc(OCC(F)F)c1)CC2 ZINC000175006199 1054518986 /nfs/dbraw/zinc/51/89/86/1054518986.db2.gz PSGIMKXBVCIYQD-QGZVFWFLSA-N 0 3 321.342 4.247 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3ccc(F)cc32)cc1F ZINC000174983605 1054519564 /nfs/dbraw/zinc/51/95/64/1054519564.db2.gz XFIQSKOTUNBBLC-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN CN1CCc2c(cccc2O[C@H]2CCc3c2cc(F)cc3F)C1 ZINC001234423594 1054520516 /nfs/dbraw/zinc/52/05/16/1054520516.db2.gz MHUXXPVQHOIYBA-IBGZPJMESA-N 0 3 315.363 4.019 20 0 DIADHN CCN(CCCOc1ccc(Cl)cc1)CCC(F)(F)F ZINC000623120613 1054534338 /nfs/dbraw/zinc/53/43/38/1054534338.db2.gz CROFBDRMAQGZET-UHFFFAOYSA-N 0 3 309.759 4.383 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cnc2n1CCCC2)c1ccccc1 ZINC000671303177 1054546969 /nfs/dbraw/zinc/54/69/69/1054546969.db2.gz DJEMYXJDANAATJ-GOSISDBHSA-N 0 3 311.473 4.487 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccc(Cl)cc3)CC2)[nH]1 ZINC001234600224 1054552841 /nfs/dbraw/zinc/55/28/41/1054552841.db2.gz CWNRHJZLXQZZCQ-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1SC(F)F)N(CC)CC ZINC000763864493 1054553298 /nfs/dbraw/zinc/55/32/98/1054553298.db2.gz BWKJQIVDLDYGEL-GFCCVEGCSA-N 0 3 316.417 4.060 20 0 DIADHN FC(F)(F)CC1CCN(Cc2cccc3cnccc32)CC1 ZINC000671311607 1054555421 /nfs/dbraw/zinc/55/54/21/1054555421.db2.gz FYXLPFSHNGBKCK-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN COc1c(Cl)cccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001234637441 1054562484 /nfs/dbraw/zinc/56/24/84/1054562484.db2.gz BHPVRHZIDNDOIC-HNNXBMFYSA-N 0 3 317.816 4.002 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@H](c1ccccc1)C1CC1 ZINC000192598047 1054563295 /nfs/dbraw/zinc/56/32/95/1054563295.db2.gz CVIJHJVBTVDBCW-FOIQADDNSA-N 0 3 322.452 4.317 20 0 DIADHN COc1ccccc1[C@H](NC[C@H](C)C(C)(C)C)c1ccccn1 ZINC000670268162 1054572381 /nfs/dbraw/zinc/57/23/81/1054572381.db2.gz LXXMBAFDSZIFLV-KXBFYZLASA-N 0 3 312.457 4.451 20 0 DIADHN CO[C@@H](CNCc1csc(C(F)(F)F)c1)c1ccccc1 ZINC000623371451 1054578251 /nfs/dbraw/zinc/57/82/51/1054578251.db2.gz DKIIDFUBBPJODK-ZDUSSCGKSA-N 0 3 315.360 4.244 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccccc2)cc1OC1CC1 ZINC000623379347 1054578357 /nfs/dbraw/zinc/57/83/57/1054578357.db2.gz KXQPHLROSTZKGV-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CCC1(C(=O)N2CCN(CC3CCCCC3)CC2)CCCCC1 ZINC001358003500 1054579323 /nfs/dbraw/zinc/57/93/23/1054579323.db2.gz CXVBWEXEMLUCHU-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001358004086 1054580519 /nfs/dbraw/zinc/58/05/19/1054580519.db2.gz MNEPRBXGJPMGHT-KRWDZBQOSA-N 0 3 322.537 4.173 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000192904492 1054587360 /nfs/dbraw/zinc/58/73/60/1054587360.db2.gz IKABZZJDMZIHSA-HNNXBMFYSA-N 0 3 310.441 4.448 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000192904504 1054588469 /nfs/dbraw/zinc/58/84/69/1054588469.db2.gz IKABZZJDMZIHSA-OAHLLOKOSA-N 0 3 310.441 4.448 20 0 DIADHN CCc1ccccc1CNCc1ccc(OC)c(OC2CC2)c1 ZINC000623428312 1054591653 /nfs/dbraw/zinc/59/16/53/1054591653.db2.gz GNARRGYOBKQZTL-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC000623436009 1054596047 /nfs/dbraw/zinc/59/60/47/1054596047.db2.gz GAULGVLJJBKFMU-KRWDZBQOSA-N 0 3 301.409 4.022 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@H](C)CCCO)cc1Cl ZINC000623444923 1054597132 /nfs/dbraw/zinc/59/71/32/1054597132.db2.gz XCBQOBYYCGZFSR-LLVKDONJSA-N 0 3 320.260 4.031 20 0 DIADHN CCOc1c(Cl)cccc1CNCCSC(F)(F)F ZINC000785423894 1054598898 /nfs/dbraw/zinc/59/88/98/1054598898.db2.gz QRWLEKTVARKDEJ-UHFFFAOYSA-N 0 3 313.772 4.081 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(C2CCC2)cc1)N1[C@H](C)CC[C@@H]1C ZINC001448618817 1054600778 /nfs/dbraw/zinc/60/07/78/1054600778.db2.gz XLIHGKAKJKGVAQ-ZNMIVQPWSA-N 0 3 300.446 4.154 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](C)c2cc(C)c(C)cc2C)c1 ZINC000177884861 1054636367 /nfs/dbraw/zinc/63/63/67/1054636367.db2.gz OYAKJBFIUCOJNQ-QGZVFWFLSA-N 0 3 324.468 4.212 20 0 DIADHN C[C@H](NCCOc1ccc2ccccc2c1)c1cncc(F)c1 ZINC000177768238 1054636974 /nfs/dbraw/zinc/63/69/74/1054636974.db2.gz FIVZYPPEZOTOGM-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1cscn1 ZINC000178472196 1054651395 /nfs/dbraw/zinc/65/13/95/1054651395.db2.gz PKBOQCPVSACELD-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN Cc1nc(CN[C@H](Cc2ccccc2)C2CC2)nc2ccccc12 ZINC000178459823 1054652189 /nfs/dbraw/zinc/65/21/89/1054652189.db2.gz ROXSYTFCAAWWOB-HXUWFJFHSA-N 0 3 317.436 4.049 20 0 DIADHN COCc1cc(CN[C@H](C)c2cccc(C)c2C)ccc1F ZINC000178483041 1054652256 /nfs/dbraw/zinc/65/22/56/1054652256.db2.gz OXEKNAGXUJIMDC-OAHLLOKOSA-N 0 3 301.405 4.440 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@H](c1cccs1)c1ccc(Cl)cc1 ZINC000178681945 1054656179 /nfs/dbraw/zinc/65/61/79/1054656179.db2.gz SVDZGULFEBREQH-PJODQICGSA-N 0 3 307.846 4.258 20 0 DIADHN CCCC(=CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1)CCC ZINC001450701684 1054659714 /nfs/dbraw/zinc/65/97/14/1054659714.db2.gz JXGRLLBVJJAHGM-GOSISDBHSA-N 0 3 320.452 4.071 20 0 DIADHN CCCC[C@@H](C(=O)N[C@H](CN(C)C)c1ccc(F)cc1)C(C)C ZINC001450704636 1054659892 /nfs/dbraw/zinc/65/98/92/1054659892.db2.gz NWNINAQJOOSFTO-QZTJIDSGSA-N 0 3 322.468 4.007 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCCC(C)(C)C2)c(CN(C)C)c1 ZINC000178921625 1054662543 /nfs/dbraw/zinc/66/25/43/1054662543.db2.gz XYIQJUYJLLIQNU-HNNXBMFYSA-N 0 3 302.462 4.212 20 0 DIADHN CCOc1ccccc1CN1CCC2(CNc3ccccc32)CC1 ZINC001235138972 1054664122 /nfs/dbraw/zinc/66/41/22/1054664122.db2.gz GJBKRLZFSUJPQL-UHFFFAOYSA-N 0 3 322.452 4.045 20 0 DIADHN CCN(CCn1cccn1)Cc1cc(-c2ccsc2)cs1 ZINC001235187806 1054678396 /nfs/dbraw/zinc/67/83/96/1054678396.db2.gz BMHKGNAOQJEDCR-UHFFFAOYSA-N 0 3 317.483 4.195 20 0 DIADHN CN(Cc1nc(Cl)ccc1C(F)(F)F)CC1CCCC1 ZINC001235234079 1054687311 /nfs/dbraw/zinc/68/73/11/1054687311.db2.gz RWDLEUJIUYKXHU-UHFFFAOYSA-N 0 3 306.759 4.376 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2c2cccs2)c(C(C)(C)C)n1 ZINC000179592009 1054689245 /nfs/dbraw/zinc/68/92/45/1054689245.db2.gz YTHJKFWTPLAHFW-CQSZACIVSA-N 0 3 303.475 4.116 20 0 DIADHN CCN1CCN(c2ccccc2N[C@@H](C)c2ccccc2)CC1 ZINC000179604087 1054689342 /nfs/dbraw/zinc/68/93/42/1054689342.db2.gz YHSSLTJNHMYYKF-KRWDZBQOSA-N 0 3 309.457 4.002 20 0 DIADHN Fc1cccc2cc(CN3CCC(Cc4ccncc4)CC3)[nH]c21 ZINC001235251060 1054691989 /nfs/dbraw/zinc/69/19/89/1054691989.db2.gz IYKWETVWAXQKJH-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CCc1cccc(CN2CCC(n3ccc4ccccc43)CC2)n1 ZINC001235265578 1054694406 /nfs/dbraw/zinc/69/44/06/1054694406.db2.gz WSOJISLZYOUJBA-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN CCc1cccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)n1 ZINC001235266117 1054695444 /nfs/dbraw/zinc/69/54/44/1054695444.db2.gz JLXXOKLUFIJMFP-SFHVURJKSA-N 0 3 316.445 4.150 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(OC)c(Cl)c1 ZINC000179694632 1054696736 /nfs/dbraw/zinc/69/67/36/1054696736.db2.gz JCHQRWCXSLVXEI-MRXNPFEDSA-N 0 3 304.821 4.327 20 0 DIADHN CCn1ccc(CN(C)[C@@H](C)c2cccc(Br)c2)c1 ZINC001473381154 1054697884 /nfs/dbraw/zinc/69/78/84/1054697884.db2.gz YWCSJXKGONTSER-ZDUSSCGKSA-N 0 3 321.262 4.464 20 0 DIADHN C[C@@H](C(=O)N[C@H](C1CCC1)C1CCCCC1)N1CCCCCC1 ZINC001452185491 1054697780 /nfs/dbraw/zinc/69/77/80/1054697780.db2.gz KQDOWFNUQKDBMQ-LPHOPBHVSA-N 0 3 320.521 4.116 20 0 DIADHN Cc1cnc(F)c(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001235327127 1054699786 /nfs/dbraw/zinc/69/97/86/1054699786.db2.gz DLVCOUUVYWKLLA-UHFFFAOYSA-N 0 3 308.400 4.090 20 0 DIADHN CCN(CC)CC(=O)N(CC(C)C)[C@H](C)c1ccccc1Cl ZINC001452412187 1054701409 /nfs/dbraw/zinc/70/14/09/1054701409.db2.gz APDXMKKJZABUAF-OAHLLOKOSA-N 0 3 324.896 4.227 20 0 DIADHN Cc1cnc(F)c(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001235338429 1054704749 /nfs/dbraw/zinc/70/47/49/1054704749.db2.gz JKTFLZPJTIHSHG-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN Clc1cccc(C2=CCN(C[C@H]3CC[C@H](C4CC4)O3)CC2)c1 ZINC001473436961 1054714067 /nfs/dbraw/zinc/71/40/67/1054714067.db2.gz VCKLEERKXUITNN-RTBURBONSA-N 0 3 317.860 4.387 20 0 DIADHN COc1ccccc1CCCN(C)Cc1cc(C)ccc1F ZINC001473429859 1054716673 /nfs/dbraw/zinc/71/66/73/1054716673.db2.gz LJEPUYRLDZTFOZ-UHFFFAOYSA-N 0 3 301.405 4.207 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCCOc2cc(F)ccc21 ZINC000179968012 1054721027 /nfs/dbraw/zinc/72/10/27/1054721027.db2.gz WDIYLENCYJLCLE-MSOLQXFVSA-N 0 3 315.363 4.456 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C(C)C)cc(F)c1F ZINC001235435040 1054721508 /nfs/dbraw/zinc/72/15/08/1054721508.db2.gz YZGHWSPQBUSEIL-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN Clc1cc2c(CN3CCC[C@H]4CCCC[C@H]43)c[nH]c2cn1 ZINC001235521662 1054733620 /nfs/dbraw/zinc/73/36/20/1054733620.db2.gz RLTSNXSVJPAYJP-MLGOLLRUSA-N 0 3 303.837 4.371 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](c2ccccc2C)C(C)(C)C)c1 ZINC000180092970 1054734381 /nfs/dbraw/zinc/73/43/81/1054734381.db2.gz WZPQNLGCFPFYDI-IBGZPJMESA-N 0 3 324.468 4.232 20 0 DIADHN Cc1cc(C)cc(CN2CCN(Cc3ccccc3)[C@H](C)C2)c1 ZINC001473546936 1054738268 /nfs/dbraw/zinc/73/82/68/1054738268.db2.gz CYBBHVJUGGNGJA-LJQANCHMSA-N 0 3 308.469 4.010 20 0 DIADHN COc1ccc(Br)c(CN2[C@@H](C)CCC2(C)C)c1 ZINC001473544390 1054738364 /nfs/dbraw/zinc/73/83/64/1054738364.db2.gz UHDZJDQTVNNSDB-NSHDSACASA-N 0 3 312.251 4.221 20 0 DIADHN Clc1cc2c(CN3CCC[C@@H]4CCCC[C@H]43)c[nH]c2cn1 ZINC001235521657 1054738792 /nfs/dbraw/zinc/73/87/92/1054738792.db2.gz RLTSNXSVJPAYJP-BLLLJJGKSA-N 0 3 303.837 4.371 20 0 DIADHN C[C@H](NCc1ncccc1Br)[C@H]1CCC[C@@H](C)C1 ZINC001473553450 1054742152 /nfs/dbraw/zinc/74/21/52/1054742152.db2.gz INRZSOWKGGLASO-AGIUHOORSA-N 0 3 311.267 4.149 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCC[C@@H](C)C1)c1nnnn1C1CCCCC1 ZINC001473553600 1054743045 /nfs/dbraw/zinc/74/30/45/1054743045.db2.gz LQIJFDYYZCZNSV-FXUDXRNXSA-N 0 3 319.497 4.044 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000180242852 1054745268 /nfs/dbraw/zinc/74/52/68/1054745268.db2.gz IMHANXFSINQHHC-IAGOWNOFSA-N 0 3 315.486 4.183 20 0 DIADHN CCc1ncc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)o1 ZINC001473607194 1054754211 /nfs/dbraw/zinc/75/42/11/1054754211.db2.gz JUEBSIWBDMSEBJ-SFHVURJKSA-N 0 3 318.848 4.488 20 0 DIADHN Cc1cncc(Br)c1CN1CCCCCCCC1 ZINC001235649293 1054760947 /nfs/dbraw/zinc/76/09/47/1054760947.db2.gz IRQISCVRSCFECX-UHFFFAOYSA-N 0 3 311.267 4.309 20 0 DIADHN FC(F)(F)C1(CNCc2c[nH]c(-c3ccccc3)n2)CCCC1 ZINC000623787441 1054762939 /nfs/dbraw/zinc/76/29/39/1054762939.db2.gz MELGXZICGGNXRA-UHFFFAOYSA-N 0 3 323.362 4.289 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(OC(F)F)c1)CC2 ZINC000180504585 1054766014 /nfs/dbraw/zinc/76/60/14/1054766014.db2.gz VYDCBRKEYGSIAQ-QGZVFWFLSA-N 0 3 319.351 4.074 20 0 DIADHN CCc1nn(C)cc1CNC(C)(C)c1ccc2ccccc2c1 ZINC000623803582 1054775733 /nfs/dbraw/zinc/77/57/33/1054775733.db2.gz LCUIDRYAHAHQST-UHFFFAOYSA-N 0 3 307.441 4.161 20 0 DIADHN Fc1ccc2nc(CN3CCCC[C@H]3c3ccccc3)[nH]c2c1 ZINC001235685046 1054777043 /nfs/dbraw/zinc/77/70/43/1054777043.db2.gz XLYDVDWGYKKPII-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN CN(Cc1nc2cc(F)ccc2[nH]1)C(C)(C)Cc1ccccc1 ZINC001235700951 1054783715 /nfs/dbraw/zinc/78/37/15/1054783715.db2.gz OCOFKGGCEXLOQT-UHFFFAOYSA-N 0 3 311.404 4.155 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1n[nH]c3ccccc31)CCC2 ZINC000623832730 1054784930 /nfs/dbraw/zinc/78/49/30/1054784930.db2.gz JAIGDTULTDNSAB-MRXNPFEDSA-N 0 3 311.816 4.384 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1[nH]nc3ccccc31)CCC2 ZINC000623832730 1054784943 /nfs/dbraw/zinc/78/49/43/1054784943.db2.gz JAIGDTULTDNSAB-MRXNPFEDSA-N 0 3 311.816 4.384 20 0 DIADHN Cc1cccc2cc(CNC[C@@H]3CCC(C)(C)O3)c(Cl)nc12 ZINC001474121986 1054785464 /nfs/dbraw/zinc/78/54/64/1054785464.db2.gz QVMBLSJVASZEFP-HNNXBMFYSA-N 0 3 318.848 4.244 20 0 DIADHN Fc1ccc(SCCCN[C@@H]2CCCc3scnc32)cc1 ZINC000623850464 1054786624 /nfs/dbraw/zinc/78/66/24/1054786624.db2.gz HCKYXFQASFSPKJ-CQSZACIVSA-N 0 3 322.474 4.432 20 0 DIADHN CCC[C@@H](NCc1ccnc(OC)c1)c1cc(C)sc1C ZINC000623859596 1054789220 /nfs/dbraw/zinc/78/92/20/1054789220.db2.gz TXMZDKMBRSTHIU-MRXNPFEDSA-N 0 3 304.459 4.400 20 0 DIADHN CC(C)Oc1ccc(CCN[C@H]2CCCc3scnc32)cc1 ZINC000623857562 1054789332 /nfs/dbraw/zinc/78/93/32/1054789332.db2.gz PVWXDXXNWBAAAX-INIZCTEOSA-N 0 3 316.470 4.140 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(SC)s2)C2CC2)cn1 ZINC000623845560 1054789626 /nfs/dbraw/zinc/78/96/26/1054789626.db2.gz MDCVXYXMHTYION-INIZCTEOSA-N 0 3 320.483 4.115 20 0 DIADHN CCC[C@@H](NCc1cccc(C(N)=O)c1)c1cc(C)sc1C ZINC000623856444 1054789766 /nfs/dbraw/zinc/78/97/66/1054789766.db2.gz VOXNOXUTGGVAKH-QGZVFWFLSA-N 0 3 316.470 4.095 20 0 DIADHN CSc1cc(Br)ccc1CNC/C=C/C1CC1 ZINC001474155057 1054791971 /nfs/dbraw/zinc/79/19/71/1054791971.db2.gz CFBQDRXPNKGSOS-NSCUHMNNSA-N 0 3 312.276 4.227 20 0 DIADHN C[C@H](OCCCN[C@@H]1CCCc2scnc21)c1ccccc1 ZINC000623854287 1054793771 /nfs/dbraw/zinc/79/37/71/1054793771.db2.gz XXPFNRIUAWJBCS-GOEBONIOSA-N 0 3 316.470 4.278 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CNC(C)(C)/C=C/Cl)c1 ZINC001474272840 1054795507 /nfs/dbraw/zinc/79/55/07/1054795507.db2.gz WTNVYGHVGJUZAD-MDZDMXLPSA-N 0 3 309.837 4.263 20 0 DIADHN CC(C)N(C)Cc1cc(F)c(OCc2ccccc2)c(F)c1 ZINC001235755048 1054797753 /nfs/dbraw/zinc/79/77/53/1054797753.db2.gz XVXIIQJVIYTZCL-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1sc(N(C)C)nc1Cl ZINC001474322246 1054798709 /nfs/dbraw/zinc/79/87/09/1054798709.db2.gz CUKUHIIXZBTILH-LBPRGKRZSA-N 0 3 315.914 4.407 20 0 DIADHN Fc1ccc(S[C@H]2CCCC[C@@H]2NCc2nccs2)cc1 ZINC000623869023 1054801854 /nfs/dbraw/zinc/80/18/54/1054801854.db2.gz NAQSBWPDZOVOAS-GJZGRUSLSA-N 0 3 322.474 4.475 20 0 DIADHN Cc1ccc2c(CCN[C@H]3CCCc4scnc43)c[nH]c2c1 ZINC000623881029 1054802898 /nfs/dbraw/zinc/80/28/98/1054802898.db2.gz BCLWGGSNTCSTKL-HNNXBMFYSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1csc([C@H](C)NCCCCN(C)c2ccccc2)n1 ZINC000623871016 1054805890 /nfs/dbraw/zinc/80/58/90/1054805890.db2.gz RYVAKLTYKPJRQV-HNNXBMFYSA-N 0 3 303.475 4.019 20 0 DIADHN CCOc1cc(CN2CC[C@H](c3ccc(F)cc3)C2)ccc1F ZINC001235802702 1054809303 /nfs/dbraw/zinc/80/93/03/1054809303.db2.gz DAXGRHGFCLDQOB-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1cc(CN[C@H]2CCCC[C@H]2Sc2ccc(F)cc2)on1 ZINC000623888633 1054809310 /nfs/dbraw/zinc/80/93/10/1054809310.db2.gz OATUAVVZKNMVRB-DLBZAZTESA-N 0 3 320.433 4.315 20 0 DIADHN c1nc2c(s1)CCC[C@H]2NCCCc1c[nH]c2ccccc12 ZINC000623890666 1054810225 /nfs/dbraw/zinc/81/02/25/1054810225.db2.gz SMDUTHNUHMQQAG-MRXNPFEDSA-N 0 3 311.454 4.224 20 0 DIADHN CCOc1cc(CN2CC[C@H](c3cccc(F)c3)C2)ccc1F ZINC001235803000 1054810285 /nfs/dbraw/zinc/81/02/85/1054810285.db2.gz HLHRVTXDVLNLJA-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN CCOc1cc(CN(C)[C@H](C)c2ccc(OC)cc2)ccc1F ZINC001235803109 1054810340 /nfs/dbraw/zinc/81/03/40/1054810340.db2.gz JHZJEKVSZSPXNL-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN Cc1nc(F)ccc1CN1CCC(CCc2ccccc2)CC1 ZINC001235816302 1054811829 /nfs/dbraw/zinc/81/18/29/1054811829.db2.gz QKZAKGPJHPAMGK-UHFFFAOYSA-N 0 3 312.432 4.374 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623905049 1054814906 /nfs/dbraw/zinc/81/49/06/1054814906.db2.gz QEMYCJMPZKGOQO-KBXCAEBGSA-N 0 3 315.486 4.023 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CCN[C@@H](c2ccccc2)C1 ZINC001235854544 1054822148 /nfs/dbraw/zinc/82/21/48/1054822148.db2.gz GVDACYWCWYANLI-LJQANCHMSA-N 0 3 324.468 4.010 20 0 DIADHN Cc1nc2cc(CN3CCC(c4cccnc4)CC3)ccc2s1 ZINC001235856867 1054824143 /nfs/dbraw/zinc/82/41/43/1054824143.db2.gz FVKSGPSQAFJKOY-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Nc1ccc(CN2CCC(Cc3ccccc3F)CC2)cc1F ZINC001235868340 1054826981 /nfs/dbraw/zinc/82/69/81/1054826981.db2.gz XSYDPJHJCPKBID-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN CCOc1cc(-c2ccc(CN3CCCC3)c(F)c2)c(F)cn1 ZINC001235877816 1054828270 /nfs/dbraw/zinc/82/82/70/1054828270.db2.gz RXIOJOFEJHDHLY-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c3cc(Cl)ccc3[nH]c21)c1cn[nH]c1 ZINC000623925553 1054828894 /nfs/dbraw/zinc/82/88/94/1054828894.db2.gz IQLBYWVACARODV-MGPLVRAMSA-N 0 3 314.820 4.273 20 0 DIADHN C[C@@]1(Br)C[C@H]1CNC1(c2ccccc2Cl)CC1 ZINC001474594972 1054836036 /nfs/dbraw/zinc/83/60/36/1054836036.db2.gz ODFYFVDQJMNAKH-GXFFZTMASA-N 0 3 314.654 4.092 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)n1 ZINC000623959001 1054837709 /nfs/dbraw/zinc/83/77/09/1054837709.db2.gz DAVGKMCQSDRCFZ-MAZHCROVSA-N 0 3 320.433 4.162 20 0 DIADHN CCC[C@@H](NCc1ccc(C)n(C)c1=O)c1cc(C)sc1C ZINC000623972118 1054841735 /nfs/dbraw/zinc/84/17/35/1054841735.db2.gz SAZSMOSWURKNOO-QGZVFWFLSA-N 0 3 318.486 4.003 20 0 DIADHN CCC[C@@H](NCc1cccn(CC)c1=O)c1cc(C)sc1C ZINC000623973016 1054841992 /nfs/dbraw/zinc/84/19/92/1054841992.db2.gz FOSNCYCRVMVZDO-QGZVFWFLSA-N 0 3 318.486 4.178 20 0 DIADHN C[C@@H](CCOCc1ccccc1)N[C@@H]1CCCc2scnc21 ZINC000623983470 1054845925 /nfs/dbraw/zinc/84/59/25/1054845925.db2.gz BJBUMMGZLVLBGY-GOEBONIOSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1cc(Br)ccc1C ZINC000623995073 1054849104 /nfs/dbraw/zinc/84/91/04/1054849104.db2.gz MIZUHBHFWRGZBJ-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCC[C@H]2Sc2ccc(F)cc2)o1 ZINC000623998908 1054850790 /nfs/dbraw/zinc/85/07/90/1054850790.db2.gz FMZDAXDZXNQKBU-IAGOWNOFSA-N 0 3 320.433 4.315 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC(C1CCC1)C1CCC1 ZINC000623998653 1054851413 /nfs/dbraw/zinc/85/14/13/1054851413.db2.gz YQFCOPQINDELFU-UHFFFAOYSA-N 0 3 314.473 4.293 20 0 DIADHN COc1cc(CCN[C@H](C)c2nc(C)cs2)ccc1Cl ZINC000623989643 1054854732 /nfs/dbraw/zinc/85/47/32/1054854732.db2.gz CQDGTSZQWAOVME-LLVKDONJSA-N 0 3 310.850 4.007 20 0 DIADHN Cc1cc(F)ccc1C[C@@H](C)N[C@@H]1CCCc2scnc21 ZINC000624010223 1054855357 /nfs/dbraw/zinc/85/53/57/1054855357.db2.gz KRWCEUQQFLIMBP-IUODEOHRSA-N 0 3 304.434 4.189 20 0 DIADHN Cc1cc(F)ccc1C[C@@H](C)N[C@H]1CCCc2scnc21 ZINC000624010222 1054855425 /nfs/dbraw/zinc/85/54/25/1054855425.db2.gz KRWCEUQQFLIMBP-DOMZBBRYSA-N 0 3 304.434 4.189 20 0 DIADHN Oc1ccc2c(c1)[C@@H](N[C@H]1CCc3cc(F)c(Cl)cc31)CC2 ZINC000624013619 1054856777 /nfs/dbraw/zinc/85/67/77/1054856777.db2.gz FANZGVPMHVTLHB-ROUUACIJSA-N 0 3 317.791 4.449 20 0 DIADHN Cc1cccc([C@H](NCc2c(C3CCC3)cnn2C)C(C)C)c1 ZINC000624015528 1054856904 /nfs/dbraw/zinc/85/69/04/1054856904.db2.gz ZPFWCKIVQIAOCC-HXUWFJFHSA-N 0 3 311.473 4.483 20 0 DIADHN Nc1ccnc2cc(-c3ccc(OC(F)(F)F)cc3)ccc12 ZINC001235938861 1054858227 /nfs/dbraw/zinc/85/82/27/1054858227.db2.gz OSELPIHPPSDJRR-UHFFFAOYSA-N 0 3 304.271 4.383 20 0 DIADHN CC[C@@H](NC[C@@H](n1cccn1)C(F)(F)F)c1ccc(C)cc1 ZINC000624042041 1054864574 /nfs/dbraw/zinc/86/45/74/1054864574.db2.gz RGPKAFBEJNFRMI-HUUCEWRRSA-N 0 3 311.351 4.036 20 0 DIADHN CC[C@H](NC[C@@H](n1cccn1)C(F)(F)F)c1cccc(C)c1 ZINC000624044251 1054867049 /nfs/dbraw/zinc/86/70/49/1054867049.db2.gz LKFOYXXRGMBXJD-LSDHHAIUSA-N 0 3 311.351 4.036 20 0 DIADHN CCC[C@@H](NC[C@H](n1cccn1)C(F)(F)F)c1ccsc1 ZINC000624044612 1054867121 /nfs/dbraw/zinc/86/71/21/1054867121.db2.gz TXJAQHBMZNTCFS-OLZOCXBDSA-N 0 3 317.380 4.179 20 0 DIADHN CCC[C@H](NC[C@H](n1cccn1)C(F)(F)F)c1ccsc1 ZINC000624044614 1054867335 /nfs/dbraw/zinc/86/73/35/1054867335.db2.gz TXJAQHBMZNTCFS-STQMWFEESA-N 0 3 317.380 4.179 20 0 DIADHN Nc1ccc(F)c(CN2CCC[C@H](c3ccccc3)CC2)c1F ZINC001235959846 1054869406 /nfs/dbraw/zinc/86/94/06/1054869406.db2.gz LQHHHUSNPSYEGP-HNNXBMFYSA-N 0 3 316.395 4.317 20 0 DIADHN CC(C)CC[C@H](CO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000624110377 1054878988 /nfs/dbraw/zinc/87/89/88/1054878988.db2.gz XADHQOVEXKCPST-GOEBONIOSA-N 0 3 318.486 4.258 20 0 DIADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000624110839 1054880206 /nfs/dbraw/zinc/88/02/06/1054880206.db2.gz HLFNPQQPZPLTJD-LPHOPBHVSA-N 0 3 312.457 4.196 20 0 DIADHN Nc1nc2cc(C=Cc3ccc(C(F)(F)F)cc3)ccc2[nH]1 ZINC001235988106 1054883206 /nfs/dbraw/zinc/88/32/06/1054883206.db2.gz ZLEMTAOPWFZSTI-OWOJBTEDSA-N 0 3 303.287 4.334 20 0 DIADHN Nc1nc2ccc(C=Cc3ccc(C(F)(F)F)cc3)cc2[nH]1 ZINC001235988106 1054883215 /nfs/dbraw/zinc/88/32/15/1054883215.db2.gz ZLEMTAOPWFZSTI-OWOJBTEDSA-N 0 3 303.287 4.334 20 0 DIADHN Cc1cc2c(ncnc2-c2cccc(CN3CCCCC3)c2F)[nH]1 ZINC001236002406 1054887539 /nfs/dbraw/zinc/88/75/39/1054887539.db2.gz JDGSMHOXFPYIPG-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN CC(C)c1cnc(-c2cccc(CN3CCCCC3)c2F)nc1 ZINC001236002755 1054889496 /nfs/dbraw/zinc/88/94/96/1054889496.db2.gz LIWHBAZPEWWCIC-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN COCc1ccnc(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236005514 1054891250 /nfs/dbraw/zinc/89/12/50/1054891250.db2.gz SOMLYRIWMBSVQE-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ncnc2ccoc21 ZINC001236003351 1054892619 /nfs/dbraw/zinc/89/26/19/1054892619.db2.gz ZGSMVXTWLGIECP-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@H](c1ccccc1)c1cncnc1 ZINC000624155823 1054892816 /nfs/dbraw/zinc/89/28/16/1054892816.db2.gz FVQNIBQOVHSHLY-MRXNPFEDSA-N 0 3 319.399 4.227 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2[nH]ccc2c1 ZINC001236010743 1054895258 /nfs/dbraw/zinc/89/52/58/1054895258.db2.gz FDHQKDFJTMIUKY-UHFFFAOYSA-N 0 3 309.388 4.307 20 0 DIADHN COc1cnc(F)cc1-c1cccc(CN2CCCCC2)c1F ZINC001236010737 1054895408 /nfs/dbraw/zinc/89/54/08/1054895408.db2.gz DXFDHYXWBYDUAG-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCCc1cc(-c2cccc(CN3CCCCC3)c2F)ncn1 ZINC001236007132 1054895472 /nfs/dbraw/zinc/89/54/72/1054895472.db2.gz XPGLMTRTXNSWIR-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN COc1cc(C)ncc1-c1cccc(CN2CCCCC2)c1F ZINC001236014495 1054896442 /nfs/dbraw/zinc/89/64/42/1054896442.db2.gz DDOJQVBIBIZXGE-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2cnncc2c1 ZINC001236012996 1054897140 /nfs/dbraw/zinc/89/71/40/1054897140.db2.gz XEIYOIAMDOEWRH-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cc(F)nc(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236015849 1054899734 /nfs/dbraw/zinc/89/97/34/1054899734.db2.gz PSLIACCZHPPLGD-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN COc1nccc(-c2cccc(CN3CCCCC3)c2F)c1C ZINC001236016029 1054900849 /nfs/dbraw/zinc/90/08/49/1054900849.db2.gz SHEFGZMIONSADD-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CC[C@H](NCc1cnn(Cc2ccccc2)c1)c1cccc(C)c1 ZINC000353465269 1054902939 /nfs/dbraw/zinc/90/29/39/1054902939.db2.gz WWLNSSJBLRBDBG-NRFANRHFSA-N 0 3 319.452 4.481 20 0 DIADHN FC(F)(F)OCCN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000353344677 1054903462 /nfs/dbraw/zinc/90/34/62/1054903462.db2.gz VQJVHTSDFDRODZ-SNVBAGLBSA-N 0 3 311.756 4.003 20 0 DIADHN CN(Cc1ccc(-c2ccc(-c3ccncc3)cc2)nc1)C1CC1 ZINC001236036457 1054906234 /nfs/dbraw/zinc/90/62/34/1054906234.db2.gz JQYOGINUGQLCEQ-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN c1cc(-c2ccc(-c3cc(N4CCCC4)ccn3)cc2)ccn1 ZINC001236037715 1054906478 /nfs/dbraw/zinc/90/64/78/1054906478.db2.gz XLECQBPWISSUAS-UHFFFAOYSA-N 0 3 301.393 4.411 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(OC)c(Cl)c1)CC2 ZINC000181382291 1054911921 /nfs/dbraw/zinc/91/19/21/1054911921.db2.gz JKPAOGKYXIJVON-KRWDZBQOSA-N 0 3 317.816 4.134 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3ccccc3)c(C)c2)CC1 ZINC000125598197 1054919394 /nfs/dbraw/zinc/91/93/94/1054919394.db2.gz MFMPVWAQFZCLFQ-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2cccnc2)C12CCC2 ZINC000181681118 1054928463 /nfs/dbraw/zinc/92/84/63/1054928463.db2.gz HBEOONKUKIQNSJ-ZCNNSNEGSA-N 0 3 322.452 4.108 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)s1)c1ccc(C)cc1 ZINC000181700871 1054929271 /nfs/dbraw/zinc/92/92/71/1054929271.db2.gz RZCMYJOOAGANHJ-HNNXBMFYSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@@H](NCCCOCC(F)(F)F)c1c(F)cccc1Cl ZINC000181739617 1054931355 /nfs/dbraw/zinc/93/13/55/1054931355.db2.gz KYLXTMLLHASYIX-SECBINFHSA-N 0 3 313.722 4.099 20 0 DIADHN C[C@]1(CN[C@@H](c2ccc(F)cc2)c2ccccn2)C[C@H]2C[C@H]2C1 ZINC000624200383 1054934534 /nfs/dbraw/zinc/93/45/34/1054934534.db2.gz KDZLWYYJYYTMCN-ACZWYYKOSA-N 0 3 310.416 4.336 20 0 DIADHN COc1ccc([C@H](NC[C@]2(C)C[C@H]3C[C@H]3C2)c2ccccn2)cc1 ZINC000624200510 1054935460 /nfs/dbraw/zinc/93/54/60/1054935460.db2.gz NQCDHFWTYPNEMM-JWWGGVBKSA-N 0 3 322.452 4.205 20 0 DIADHN CN(C)Cc1ccnc(-c2cc(C(F)(F)F)ccc2Cl)c1 ZINC001236100817 1054944178 /nfs/dbraw/zinc/94/41/78/1054944178.db2.gz KKGHRKHGCLDMFC-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1cccc(N2CCCC2)c1 ZINC000181886195 1054944598 /nfs/dbraw/zinc/94/45/98/1054944598.db2.gz DPYBMPAFXNSERQ-QGZVFWFLSA-N 0 3 323.484 4.204 20 0 DIADHN COc1ccc2sc(CN3CCc4cc(N)ccc4C3)cc2c1 ZINC001236106391 1054949749 /nfs/dbraw/zinc/94/97/49/1054949749.db2.gz URJAEWAUGQXKPW-UHFFFAOYSA-N 0 3 324.449 4.050 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182159723 1054965583 /nfs/dbraw/zinc/96/55/83/1054965583.db2.gz REHQUGPCSLCPKN-SDDDUWNISA-N 0 3 321.367 4.301 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCc3ccc(Cl)cc32)ccn1 ZINC000624219906 1054968096 /nfs/dbraw/zinc/96/80/96/1054968096.db2.gz CGDJZTFLOKZORE-PXAZEXFGSA-N 0 3 316.832 4.472 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1CCCn3nccc31)CCC2 ZINC000624220862 1054971273 /nfs/dbraw/zinc/97/12/73/1054971273.db2.gz HDVPMBKVUHACNG-JKSUJKDBSA-N 0 3 301.821 4.039 20 0 DIADHN C[C@@H](Nc1ccccc1CN1CCC(O)CC1)C1CCCCC1 ZINC000182251566 1054975503 /nfs/dbraw/zinc/97/55/03/1054975503.db2.gz SOOSIOZUVGPSIB-MRXNPFEDSA-N 0 3 316.489 4.024 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@@H](C)c1ccc(C)cc1 ZINC000182317026 1054980836 /nfs/dbraw/zinc/98/08/36/1054980836.db2.gz HKWFGHUIEAXAIE-INIZCTEOSA-N 0 3 324.468 4.409 20 0 DIADHN CSc1cccc(NC(=O)CN[C@H](C)c2ccc(C)cc2)c1 ZINC000182310878 1054981026 /nfs/dbraw/zinc/98/10/26/1054981026.db2.gz PTZSJGHHGOCRCT-CQSZACIVSA-N 0 3 314.454 4.006 20 0 DIADHN COc1ccc(C(C)(C)CN[C@@H](C)c2nc3ccccc3o2)cc1 ZINC000182437463 1054987607 /nfs/dbraw/zinc/98/76/07/1054987607.db2.gz IWJBBJNWWSPLPS-AWEZNQCLSA-N 0 3 324.424 4.465 20 0 DIADHN COc1cc(O)cc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c1 ZINC001236190215 1054988885 /nfs/dbraw/zinc/98/88/85/1054988885.db2.gz HYOYYWIPFPCWKQ-FQEVSTJZSA-N 0 3 322.408 4.219 20 0 DIADHN CC(C)n1cc(CN2CCC[C@H]2c2c[nH]c3ccccc32)cn1 ZINC001236192717 1054989693 /nfs/dbraw/zinc/98/96/93/1054989693.db2.gz PXQHNKMOYSXSOJ-IBGZPJMESA-N 0 3 308.429 4.282 20 0 DIADHN C[C@H](C(=O)N1CCN(CC2CCCCC2)CC1)[C@H](C)C(C)(C)C ZINC001535620463 1054991373 /nfs/dbraw/zinc/99/13/73/1054991373.db2.gz XPVUGKZCZLGUTO-IRXDYDNUSA-N 0 3 322.537 4.029 20 0 DIADHN O=C(NCCN1CCCCC1)N(c1ccccc1)c1ccccc1 ZINC000182528169 1054996125 /nfs/dbraw/zinc/99/61/25/1054996125.db2.gz URXMKPMWKDKQQK-UHFFFAOYSA-N 0 3 323.440 4.020 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)s1)c1cccc(Cl)c1 ZINC000182598767 1054998373 /nfs/dbraw/zinc/99/83/73/1054998373.db2.gz FLEWTZUXLHQCCK-CQSZACIVSA-N 0 3 323.845 4.429 20 0 DIADHN COc1cc(C)cc(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001236212560 1055002968 /nfs/dbraw/zinc/00/29/68/1055002968.db2.gz XWIHUYQTWPULMT-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624257871 1055013280 /nfs/dbraw/zinc/01/32/80/1055013280.db2.gz SQYIFCJOPNYTHQ-HNNXBMFYSA-N 0 3 314.429 4.207 20 0 DIADHN CC(C)[C@@H](NCc1ccc[nH]c1=O)c1ccc(C(F)(F)F)cc1 ZINC000624258469 1055016678 /nfs/dbraw/zinc/01/66/78/1055016678.db2.gz KSEQLSMYFUQACE-OAHLLOKOSA-N 0 3 324.346 4.293 20 0 DIADHN C[C@H]1C[C@@H](NCCOCc2ccccc2)c2ccsc2S1 ZINC000361973996 1055019173 /nfs/dbraw/zinc/01/91/73/1055019173.db2.gz ZUADLOPEOXPAQC-XJKSGUPXSA-N 0 3 319.495 4.480 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccc(F)cc1Cl)c1nccn1C ZINC000182961786 1055020586 /nfs/dbraw/zinc/02/05/86/1055020586.db2.gz INZUCWPCYDKSLF-HNNXBMFYSA-N 0 3 323.843 4.231 20 0 DIADHN Cc1cc2c(ncnc2-c2ccc(CN3CCCCC3)c(F)c2)[nH]1 ZINC001236233015 1055021176 /nfs/dbraw/zinc/02/11/76/1055021176.db2.gz PNEKTBQARNPEPL-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Fc1cc(-c2ccnc(C3CC3)n2)ccc1CN1CCCCC1 ZINC001236232654 1055022021 /nfs/dbraw/zinc/02/20/21/1055022021.db2.gz OPCVYPQYXMXIOZ-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Fc1cc(-c2nccc3cnccc32)ccc1CN1CCCCC1 ZINC001236233768 1055023282 /nfs/dbraw/zinc/02/32/82/1055023282.db2.gz UGEUVBWGHIBWLJ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nc1F ZINC001236234999 1055024337 /nfs/dbraw/zinc/02/43/37/1055024337.db2.gz QRIKXXGLRLNCLO-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1cc(-c2ccc3c(n2)CCC3)ccc1CN1CCCCC1 ZINC001236233968 1055024533 /nfs/dbraw/zinc/02/45/33/1055024533.db2.gz WJWUTBPBSOANPW-UHFFFAOYSA-N 0 3 310.416 4.362 20 0 DIADHN CC(C)c1cnc(-c2ccc(CN3CCCCC3)c(F)c2)nc1 ZINC001236235092 1055024751 /nfs/dbraw/zinc/02/47/51/1055024751.db2.gz UHQILMJWVZVPCD-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Cc1cn2c(cccc2-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236249156 1055031530 /nfs/dbraw/zinc/03/15/30/1055031530.db2.gz OVJHEMPJWNZHFX-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Fc1cc(-c2cnc3ccncc3c2)ccc1CN1CCCCC1 ZINC001236250276 1055033089 /nfs/dbraw/zinc/03/30/89/1055033089.db2.gz FXLDHFYKOUJNKL-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN FC(F)(F)[C@H]1CC=C(c2cncc(CN3CCCC3)c2)CC1 ZINC001236254968 1055037467 /nfs/dbraw/zinc/03/74/67/1055037467.db2.gz FPOSZZYKYRWRAM-INIZCTEOSA-N 0 3 310.363 4.423 20 0 DIADHN CC(C)c1ncc(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001236258187 1055040620 /nfs/dbraw/zinc/04/06/20/1055040620.db2.gz KCGBGCFINAZMEI-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(Cl)cccc1Cl)c1nccn1C ZINC000183402260 1055044979 /nfs/dbraw/zinc/04/49/79/1055044979.db2.gz QNEOSPXRTSQGAL-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000183517809 1055048139 /nfs/dbraw/zinc/04/81/39/1055048139.db2.gz MWBMYHIXVDYFKJ-CMPLNLGQSA-N 0 3 311.882 4.019 20 0 DIADHN CC(C)C(=O)Nc1ccc(-c2ccc3c(N)ccnc3c2)cc1 ZINC001236269709 1055048304 /nfs/dbraw/zinc/04/83/04/1055048304.db2.gz ULIXMEHICISPSG-UHFFFAOYSA-N 0 3 305.381 4.079 20 0 DIADHN CC(C)C[C@H](CCO)CN[C@H](c1ccco1)c1ccccc1 ZINC000183692355 1055054737 /nfs/dbraw/zinc/05/47/37/1055054737.db2.gz KZHQUMCHTCDZOS-LPHOPBHVSA-N 0 3 301.430 4.003 20 0 DIADHN CN(CCc1ccccn1)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000183733857 1055056298 /nfs/dbraw/zinc/05/62/98/1055056298.db2.gz JJJBXEARPBXKRB-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)c1cccc(C)c1C ZINC000183737370 1055056921 /nfs/dbraw/zinc/05/69/21/1055056921.db2.gz PMGFYQNVBNPEAD-QGZVFWFLSA-N 0 3 324.468 4.464 20 0 DIADHN Cc1cccc2[nH]cc(CCNCc3ncc(C(C)C)s3)c21 ZINC000183731399 1055056954 /nfs/dbraw/zinc/05/69/54/1055056954.db2.gz WQOKPMIEHQTGME-UHFFFAOYSA-N 0 3 313.470 4.389 20 0 DIADHN CN(CCc1ccncc1)Cc1ccccc1OC1CCCC1 ZINC000183755974 1055057326 /nfs/dbraw/zinc/05/73/26/1055057326.db2.gz SBUUYXANPBYERM-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN Cc1c(F)cc(F)cc1CN1CCC(c2ccc(N)cc2)CC1 ZINC001236334806 1055061754 /nfs/dbraw/zinc/06/17/54/1055061754.db2.gz HLANKYSGRFIBAK-UHFFFAOYSA-N 0 3 316.395 4.235 20 0 DIADHN Fc1ccc([C@H](NC2Cc3ccccc3C2)c2ccccc2)nc1 ZINC000184007194 1055066450 /nfs/dbraw/zinc/06/64/50/1055066450.db2.gz HLOAIYUMPBQKJZ-OAQYLSRUSA-N 0 3 318.395 4.067 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](C)c1cc2ccccc2s1 ZINC000184358474 1055073623 /nfs/dbraw/zinc/07/36/23/1055073623.db2.gz WOHHREZJLLRSGY-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN CC[C@H](NCCCOc1ccc(F)cc1)c1nc(C)cs1 ZINC000184340446 1055074184 /nfs/dbraw/zinc/07/41/84/1055074184.db2.gz SSRKCBMDTUXXLR-HNNXBMFYSA-N 0 3 308.422 4.100 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCc2c(N)cccc2C1 ZINC001236365818 1055074763 /nfs/dbraw/zinc/07/47/63/1055074763.db2.gz XTFRWWLZJNSCSU-UHFFFAOYSA-N 0 3 320.358 4.154 20 0 DIADHN CC[C@H](NCCCOCCc1ccccc1)c1nc(C)cs1 ZINC000184403864 1055074981 /nfs/dbraw/zinc/07/49/81/1055074981.db2.gz RDUAGITZPUZEJW-KRWDZBQOSA-N 0 3 318.486 4.142 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCc3c(N)cccc3C2)c1 ZINC001236365735 1055075248 /nfs/dbraw/zinc/07/52/48/1055075248.db2.gz URAJXOAUUCBBIM-UHFFFAOYSA-N 0 3 310.441 4.004 20 0 DIADHN COc1ccc([C@@H]2CCCCCN2Cc2cnoc2C)cc1 ZINC000185161630 1055088839 /nfs/dbraw/zinc/08/88/39/1055088839.db2.gz VEDDVDLEKCCWNC-SFHVURJKSA-N 0 3 300.402 4.109 20 0 DIADHN Cc1cnc([C@H](NCCCOCc2ccccc2)C2CC2)s1 ZINC000185351141 1055091422 /nfs/dbraw/zinc/09/14/22/1055091422.db2.gz HYBGATVGDRLXGM-QGZVFWFLSA-N 0 3 316.470 4.099 20 0 DIADHN CCN(CC)Cc1cc(-c2cncc(OC)c2C)ccc1F ZINC001236394510 1055092814 /nfs/dbraw/zinc/09/28/14/1055092814.db2.gz XEGSGXJUSGPFNN-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN C[C@@H]([C@H](C)NCc1nnc(C2CC2)n1C1CC1)C1CCCCC1 ZINC000185512243 1055093633 /nfs/dbraw/zinc/09/36/33/1055093633.db2.gz WTVWHTCSHUVFMR-KBPBESRZSA-N 0 3 316.493 4.185 20 0 DIADHN CN(Cc1ccco1)Cc1ccccc1CN(C)Cc1ccco1 ZINC000193610340 1055106640 /nfs/dbraw/zinc/10/66/40/1055106640.db2.gz FOVYSKJFIILNSJ-UHFFFAOYSA-N 0 3 324.424 4.137 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(C(F)(F)F)cc2)C(C)C)cn1 ZINC000194392329 1055124032 /nfs/dbraw/zinc/12/40/32/1055124032.db2.gz BEKLKIXUSKXKGT-INIZCTEOSA-N 0 3 323.362 4.489 20 0 DIADHN CC(C)c1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1 ZINC001236469965 1055128719 /nfs/dbraw/zinc/12/87/19/1055128719.db2.gz OYDASLOAOWVGFZ-UXHICEINSA-N 0 3 319.452 4.420 20 0 DIADHN Fc1cc(F)nc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236477023 1055135610 /nfs/dbraw/zinc/13/56/10/1055135610.db2.gz WBTRMOMFGMDLAT-SJORKVTESA-N 0 3 312.363 4.180 20 0 DIADHN CCc1ncccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236476434 1055135671 /nfs/dbraw/zinc/13/56/71/1055135671.db2.gz SOKITMFEOOKLLX-MOPGFXCFSA-N 0 3 304.437 4.464 20 0 DIADHN CC(C)(C)C(C)(C)CNCc1ncc(Br)s1 ZINC000381623351 1055174821 /nfs/dbraw/zinc/17/48/21/1055174821.db2.gz GCOFXDSLRHKNJE-UHFFFAOYSA-N 0 3 305.285 4.068 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C)n1C1CC1 ZINC000195120280 1055146666 /nfs/dbraw/zinc/14/66/66/1055146666.db2.gz DIXNGALIRHLFAA-RBUKOAKNSA-N 0 3 324.472 4.080 20 0 DIADHN c1ccc2c(c1)CCSC[C@H]2NCc1ccc2ncccc2c1 ZINC000195145436 1055147884 /nfs/dbraw/zinc/14/78/84/1055147884.db2.gz PBCNBPNZGWBTAH-HXUWFJFHSA-N 0 3 320.461 4.355 20 0 DIADHN COc1cc(C)c(CN(C)[C@H](C)c2cccc(F)c2)cc1OC ZINC000195542036 1055155441 /nfs/dbraw/zinc/15/54/41/1055155441.db2.gz WYCOLIKXNYSQPT-CQSZACIVSA-N 0 3 317.404 4.344 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN([C@@H](C)C1CC1)C1CC1 ZINC000195533832 1055156493 /nfs/dbraw/zinc/15/64/93/1055156493.db2.gz XSYCJGRHMKQQSC-ZDUSSCGKSA-N 0 3 301.430 4.051 20 0 DIADHN CC(C)Oc1cncc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001236523153 1055160115 /nfs/dbraw/zinc/16/01/15/1055160115.db2.gz PMYVMBIQQNETGB-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C(F)(F)F)[C@@H](C)CC ZINC000125905716 1055197038 /nfs/dbraw/zinc/19/70/38/1055197038.db2.gz TWJUYBBHCKGEOR-LBPRGKRZSA-N 0 3 316.367 4.154 20 0 DIADHN Cc1c(Br)cccc1CN1CCC[C@@H](F)CC1 ZINC001236613542 1055206739 /nfs/dbraw/zinc/20/67/39/1055206739.db2.gz QTDJDZDXSLPCQD-CYBMUJFWSA-N 0 3 300.215 4.082 20 0 DIADHN Cc1csc(CNCc2csc(Br)c2)c1 ZINC000382301244 1055208988 /nfs/dbraw/zinc/20/89/88/1055208988.db2.gz TZXGTHMMCNBXSG-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H]2Cc2ccc(F)cc2)n1 ZINC001236638004 1055209050 /nfs/dbraw/zinc/20/90/50/1055209050.db2.gz ZQQGLSBBQPKEBT-SFHVURJKSA-N 0 3 318.823 4.390 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@@H]3CCC[C@H]3C2)cnc1Cl ZINC001236630601 1055209776 /nfs/dbraw/zinc/20/97/76/1055209776.db2.gz ZIDLYSSLLSBXKL-RYUDHWBXSA-N 0 3 318.770 4.376 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H](Cc3ccccc3)C2)n1 ZINC001236638150 1055210047 /nfs/dbraw/zinc/21/00/47/1055210047.db2.gz HAHLZFUDWQGQKD-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccccc1)c1cc(Cl)ccc1OC ZINC000764557960 1055210178 /nfs/dbraw/zinc/21/01/78/1055210178.db2.gz DTSJMVZFDZXOSH-IRXDYDNUSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000764557838 1055210295 /nfs/dbraw/zinc/21/02/95/1055210295.db2.gz CENUZPVJNWIZBG-QAPCUYQASA-N 0 3 307.393 4.331 20 0 DIADHN CN(CCc1ccccn1)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236652613 1055211428 /nfs/dbraw/zinc/21/14/28/1055211428.db2.gz FVXBBLNNRHFMMD-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN CCOc1ccc(CN2C[C@H]3CCC[C@@H]3C2)cc1Br ZINC001236658878 1055214160 /nfs/dbraw/zinc/21/41/60/1055214160.db2.gz IKSQIXBDNPWGHJ-ZIAGYGMSSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cccc(Br)c1CN1CC[C@H]2CCC[C@H]2C1 ZINC001236675523 1055224601 /nfs/dbraw/zinc/22/46/01/1055224601.db2.gz YFONKWPULZWRIU-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1ccc(SCCN[C@@H](C)c2cccnc2Cl)cc1 ZINC000764635793 1055226178 /nfs/dbraw/zinc/22/61/78/1055226178.db2.gz PVKBESSGPCSHDC-ZDUSSCGKSA-N 0 3 306.862 4.486 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@@H]2CCOC2)c2cccs2)cc1 ZINC000764669544 1055232658 /nfs/dbraw/zinc/23/26/58/1055232658.db2.gz VIPPWVKGTYXNAP-IEBWSBKVSA-N 0 3 315.482 4.415 20 0 DIADHN Oc1cc(CN(Cc2ccccn2)C2CCCCC2)ccc1F ZINC001236706850 1055234933 /nfs/dbraw/zinc/23/49/33/1055234933.db2.gz ADXCBVZTERZZGS-UHFFFAOYSA-N 0 3 314.404 4.261 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccccc1OC(F)F ZINC000764745166 1055242080 /nfs/dbraw/zinc/24/20/80/1055242080.db2.gz UYORLOGDGIPBIT-MRXNPFEDSA-N 0 3 303.352 4.374 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2cccc3occc32)C1 ZINC001236735238 1055243112 /nfs/dbraw/zinc/24/31/12/1055243112.db2.gz OKLBKVYNIGKMMX-CQSZACIVSA-N 0 3 301.283 4.157 20 0 DIADHN Cc1cccc(C)c1-c1cccc(CN2CC[C@@]23CCOC3)c1 ZINC001236815654 1055271401 /nfs/dbraw/zinc/27/14/01/1055271401.db2.gz SIUJNLMOZVSCTJ-NRFANRHFSA-N 0 3 307.437 4.335 20 0 DIADHN CCCCc1ccc([C@H](NC(=O)CN(CC)CC)C(C)C)cc1 ZINC001587370383 1055278912 /nfs/dbraw/zinc/27/89/12/1055278912.db2.gz SQFLLLJSKWLDKQ-HXUWFJFHSA-N 0 3 318.505 4.184 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1c2ccccc2[C@H](C)CC1(C)C ZINC001537360241 1055292238 /nfs/dbraw/zinc/29/22/38/1055292238.db2.gz KPZIPJXRUYMFBY-QAPCUYQASA-N 0 3 314.473 4.178 20 0 DIADHN FC[C@@H]1CN(Cc2ccc(F)c3ccoc32)C[C@H]1C(F)(F)F ZINC001236889316 1055303319 /nfs/dbraw/zinc/30/33/19/1055303319.db2.gz CBLAMAPBPOHHCV-ZYHUDNBSSA-N 0 3 319.273 4.152 20 0 DIADHN CN1CCN(Cc2ccc(F)c3ccoc32)C[C@H]1c1ccccc1 ZINC001236907012 1055311790 /nfs/dbraw/zinc/31/17/90/1055311790.db2.gz VQEAUFQUKWEMDE-IBGZPJMESA-N 0 3 324.399 4.061 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCc3cc(C)ccc32)c1 ZINC000765048294 1055324084 /nfs/dbraw/zinc/32/40/84/1055324084.db2.gz GJBICTCTHYLWTB-IBGZPJMESA-N 0 3 308.425 4.121 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(OC(C)C)c1)CC2 ZINC000765094441 1055327209 /nfs/dbraw/zinc/32/72/09/1055327209.db2.gz GHDLVGABAXXWEU-HXUWFJFHSA-N 0 3 311.425 4.260 20 0 DIADHN CCCO[C@H]1CCN(Cc2cncc(-c3ccc(C)cc3)c2)C1 ZINC001236991713 1055327836 /nfs/dbraw/zinc/32/78/36/1055327836.db2.gz BFZDUBKSWMZWAW-FQEVSTJZSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@H]4CCCO[C@@H]4C3)c2)cc1 ZINC001236992029 1055329148 /nfs/dbraw/zinc/32/91/48/1055329148.db2.gz SMPZSOQIUSQYGY-PZJWPPBQSA-N 0 3 322.452 4.058 20 0 DIADHN FC1CCN(Cc2ccc(-c3ccccc3Cl)nc2)CC1 ZINC001237015865 1055340094 /nfs/dbraw/zinc/34/00/94/1055340094.db2.gz PSVQSCKJBHMWTG-UHFFFAOYSA-N 0 3 304.796 4.336 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3Cl)nc2)CCCO1 ZINC001237016150 1055341320 /nfs/dbraw/zinc/34/13/20/1055341320.db2.gz SJTZHMNYWNRYRQ-AWEZNQCLSA-N 0 3 316.832 4.013 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1ccc(-c2ccccc2Cl)nc1 ZINC001237025172 1055342651 /nfs/dbraw/zinc/34/26/51/1055342651.db2.gz DOZHPRXEUFZNNN-ZQIUZPCESA-N 0 3 316.807 4.334 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCSC(F)(F)F ZINC000765246077 1055344466 /nfs/dbraw/zinc/34/44/66/1055344466.db2.gz RRBZVQFFRIGWES-QMMMGPOBSA-N 0 3 313.772 4.252 20 0 DIADHN COc1ccc(CNCc2ccc(Br)cc2)c(C)c1 ZINC000765263879 1055346954 /nfs/dbraw/zinc/34/69/54/1055346954.db2.gz YRASFLITPSSZDC-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CC(C)OC1CCN(Cc2ccccc2OC(C)(C)C)CC1 ZINC001237032428 1055347230 /nfs/dbraw/zinc/34/72/30/1055347230.db2.gz RMNFXFTYBLFBIY-UHFFFAOYSA-N 0 3 305.462 4.253 20 0 DIADHN CC(C)C[C@H](NC[C@@H](O)c1cccc(Cl)c1)c1ccccn1 ZINC000765258481 1055348331 /nfs/dbraw/zinc/34/83/31/1055348331.db2.gz LWNRUWIVVBSDTL-ZWKOTPCHSA-N 0 3 318.848 4.145 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000765270906 1055351006 /nfs/dbraw/zinc/35/10/06/1055351006.db2.gz MRDSVLFJYBYNEP-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccc(F)cc2Cl)cc1 ZINC000765271897 1055351393 /nfs/dbraw/zinc/35/13/93/1055351393.db2.gz PXTPRYWBGBIAHG-SJKOYZFVSA-N 0 3 307.796 4.172 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000765270912 1055351489 /nfs/dbraw/zinc/35/14/89/1055351489.db2.gz MRDSVLFJYBYNEP-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN COc1ccc(CNCc2cc3cccc(OC)c3o2)c(C)c1 ZINC000765273569 1055354104 /nfs/dbraw/zinc/35/41/04/1055354104.db2.gz WZUNRPNZGOSBCM-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCc3c2cccc3Cl)cc1 ZINC000765302301 1055357649 /nfs/dbraw/zinc/35/76/49/1055357649.db2.gz CTXVEGZDTJYPRP-XIKOKIGWSA-N 0 3 301.817 4.170 20 0 DIADHN Oc1cc2ccccc2c(CN2CC[C@H](F)C(F)(F)CC2)c1 ZINC001237066428 1055358245 /nfs/dbraw/zinc/35/82/45/1055358245.db2.gz TUVFOCICAMPOAI-INIZCTEOSA-N 0 3 309.331 4.115 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@H]2c2cccnc2)c1 ZINC001237066461 1055360233 /nfs/dbraw/zinc/36/02/33/1055360233.db2.gz UCQHIODMUVPNLZ-FQEVSTJZSA-N 0 3 304.393 4.278 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2nccc3ccccc32)c1 ZINC000765404880 1055382301 /nfs/dbraw/zinc/38/23/01/1055382301.db2.gz OHKFGXYFAINISX-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN COCCC1CCN(Cc2ccnc3c(Cl)cccc23)CC1 ZINC001237144282 1055384271 /nfs/dbraw/zinc/38/42/71/1055384271.db2.gz KWCJQBKOKWQUQN-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CCCCN(Cc1sc(=O)[nH]c1Cl)Cc1ccccc1 ZINC001237151569 1055385872 /nfs/dbraw/zinc/38/58/72/1055385872.db2.gz XGCXUNHADBBRNN-UHFFFAOYSA-N 0 3 310.850 4.304 20 0 DIADHN C[C@@H](NCCc1ccc2ccccc2n1)c1cccnc1Cl ZINC000765455249 1055393841 /nfs/dbraw/zinc/39/38/41/1055393841.db2.gz CSHFWWYZFGAGKK-CYBMUJFWSA-N 0 3 311.816 4.177 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@H](C)c1cc(Cl)sc1Cl ZINC000765441595 1055394506 /nfs/dbraw/zinc/39/45/06/1055394506.db2.gz RDVAIBKJCVNPRJ-RKDXNWHRSA-N 0 3 316.257 4.127 20 0 DIADHN COCc1cccc(CNc2ccnc3cc(OC)ccc32)c1 ZINC000765466568 1055395878 /nfs/dbraw/zinc/39/58/78/1055395878.db2.gz AKQSCJBGOYASEX-UHFFFAOYSA-N 0 3 308.381 4.002 20 0 DIADHN C[C@@H](NCCC(C)(C)CO)c1cc(F)c(Cl)cc1Cl ZINC000385901689 1055397794 /nfs/dbraw/zinc/39/77/94/1055397794.db2.gz PAMQYUWNFRSXIT-SECBINFHSA-N 0 3 308.224 4.192 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H](C)c1nc2ccccc2[nH]1 ZINC000765476229 1055398681 /nfs/dbraw/zinc/39/86/81/1055398681.db2.gz RIODTNARGLRQSK-LBPRGKRZSA-N 0 3 318.380 4.293 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H]1CCOc2ccc(F)cc21 ZINC000765502373 1055409753 /nfs/dbraw/zinc/40/97/53/1055409753.db2.gz KBTWLPIZPWDWNQ-QGZVFWFLSA-N 0 3 324.355 4.318 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)c1ccc3c(c1)CCO3)CCC2 ZINC000765502468 1055409870 /nfs/dbraw/zinc/40/98/70/1055409870.db2.gz STIZRCLVFGDECM-IAQYHMDHSA-N 0 3 314.454 4.115 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC3(CCCC3)O2)C2CC2)c(F)c1 ZINC001168541775 1055410667 /nfs/dbraw/zinc/41/06/67/1055410667.db2.gz LADIFIXWFHUELG-MAUKXSAKSA-N 0 3 321.411 4.497 20 0 DIADHN COc1cc(CN2CCC(OC(C)C)CC2)ccc1C(F)F ZINC001237272942 1055412493 /nfs/dbraw/zinc/41/24/93/1055412493.db2.gz MNSPBJMGLBEUTD-UHFFFAOYSA-N 0 3 313.388 4.022 20 0 DIADHN COc1cc(CN2CCC(C(F)F)CC2)ccc1C(F)F ZINC001237273500 1055413088 /nfs/dbraw/zinc/41/30/88/1055413088.db2.gz VMISKWFIQWITMA-UHFFFAOYSA-N 0 3 305.315 4.110 20 0 DIADHN CC[C@H](C(=O)N[C@H](c1ccc([C@@H](C)CC)cc1)C(C)C)N(C)C ZINC001588067164 1055423910 /nfs/dbraw/zinc/42/39/10/1055423910.db2.gz JVJZIPZRPMIVSO-IPELMVKDSA-N 0 3 318.505 4.354 20 0 DIADHN Cc1cc(Br)c(CN2CC3CCC(CC3)C2)cc1O ZINC001237306645 1055424729 /nfs/dbraw/zinc/42/47/29/1055424729.db2.gz IEJRANGTQWCURH-UHFFFAOYSA-N 0 3 324.262 4.085 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)CC(F)(F)F)cnn1-c1ccccc1 ZINC000765523619 1055425838 /nfs/dbraw/zinc/42/58/38/1055425838.db2.gz AFUYJBKBCSXCGD-VXGBXAGGSA-N 0 3 311.351 4.172 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)CC(F)(F)F)cnn1-c1ccccc1 ZINC000765523608 1055426252 /nfs/dbraw/zinc/42/62/52/1055426252.db2.gz AFUYJBKBCSXCGD-NEPJUHHUSA-N 0 3 311.351 4.172 20 0 DIADHN Cc1cccc(CN2CCC3(Cc4ccccc4N3)CC2)c1F ZINC001237335166 1055432058 /nfs/dbraw/zinc/43/20/58/1055432058.db2.gz ZUVVZUHQVJHPGR-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN Clc1ccc(CN2CCC3(Cc4ccccc4N3)CC2)cc1 ZINC001237334004 1055432438 /nfs/dbraw/zinc/43/24/38/1055432438.db2.gz OSGSJEFAAUGNAK-UHFFFAOYSA-N 0 3 312.844 4.343 20 0 DIADHN Cc1cccc(F)c1CN1CCC2(Cc3ccccc3N2)CC1 ZINC001237345801 1055433766 /nfs/dbraw/zinc/43/37/66/1055433766.db2.gz LXHWAPUIEMVGJP-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(c2ccn(C)n2)CC1 ZINC001237350638 1055435964 /nfs/dbraw/zinc/43/59/64/1055435964.db2.gz QYYINDGKOIPPKW-UHFFFAOYSA-N 0 3 317.864 4.070 20 0 DIADHN CCN(Cc1cccc(F)c1NC(=O)OC(C)(C)C)C1CC1 ZINC001237360094 1055438706 /nfs/dbraw/zinc/43/87/06/1055438706.db2.gz SVTNYHPBKPJQDR-UHFFFAOYSA-N 0 3 308.397 4.157 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CCC3(Cc4ccccc4N3)CC2)c1 ZINC001237357710 1055439275 /nfs/dbraw/zinc/43/92/75/1055439275.db2.gz YTKGLQKYSBUFRG-UHFFFAOYSA-N 0 3 323.484 4.315 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3cnc(-c4ccccc4)o3)C2)c1 ZINC001237369615 1055442951 /nfs/dbraw/zinc/44/29/51/1055442951.db2.gz XBDBTRWEIIHETJ-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN COc1ccc2c(c1)[nH]nc2CN1CCC[C@@H]1c1ccccc1C ZINC001237385762 1055445593 /nfs/dbraw/zinc/44/55/93/1055445593.db2.gz CHSCJOZIBMALEG-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN CC(C)(C)C(=O)Nc1ccccc1CN1CCCC(F)(F)CC1 ZINC001237396598 1055448042 /nfs/dbraw/zinc/44/80/42/1055448042.db2.gz ODGYWQIKPAULMI-UHFFFAOYSA-N 0 3 324.415 4.292 20 0 DIADHN C[C@H]1CCN(Cc2c(Cl)[nH]c3cnccc32)CCC1(F)F ZINC001237450940 1055452570 /nfs/dbraw/zinc/45/25/70/1055452570.db2.gz ZOGVVNLMTSTDTQ-JTQLQIEISA-N 0 3 313.779 4.084 20 0 DIADHN CC(C)c1cccc(CN2CCC3(CC(F)(F)CO3)CC2)c1 ZINC001237457021 1055453206 /nfs/dbraw/zinc/45/32/06/1055453206.db2.gz PUZJWZRRGFKPTC-UHFFFAOYSA-N 0 3 309.400 4.200 20 0 DIADHN c1cc2cc(CN(Cc3ccccn3)C3CCCCC3)ccn2n1 ZINC001237538510 1055468821 /nfs/dbraw/zinc/46/88/21/1055468821.db2.gz ANXLRHIRZOXOEN-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2cccc(-c3ccccc3)n2)C1 ZINC001237548004 1055472404 /nfs/dbraw/zinc/47/24/04/1055472404.db2.gz ZDDXFTPMJMETJD-HNNXBMFYSA-N 0 3 302.368 4.226 20 0 DIADHN CCCC1CCN(Cc2ccc3ncnc(Cl)c3c2)CC1 ZINC001237584750 1055479729 /nfs/dbraw/zinc/47/97/29/1055479729.db2.gz MRFYRTCFMFCADS-UHFFFAOYSA-N 0 3 303.837 4.295 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1cccc3nccc(C)c13)C2 ZINC001237623797 1055487727 /nfs/dbraw/zinc/48/77/27/1055487727.db2.gz KVTIQIUJOQZVLV-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CCc1[nH]ncc1CN(C)Cc1sc2ccccc2c1Cl ZINC001237653161 1055493084 /nfs/dbraw/zinc/49/30/84/1055493084.db2.gz CCBMCNDAUFPESG-UHFFFAOYSA-N 0 3 319.861 4.472 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc3cnccc3o1)CCC2 ZINC001620867362 1055494410 /nfs/dbraw/zinc/49/44/10/1055494410.db2.gz GAHBFSATZPGEFF-SFHVURJKSA-N 0 3 308.381 4.004 20 0 DIADHN CCOc1cc(CNc2cc(C)cc(C)n2)cc(Cl)c1OC ZINC001620868316 1055495610 /nfs/dbraw/zinc/49/56/10/1055495610.db2.gz YVLCNIWEUUTFFX-UHFFFAOYSA-N 0 3 320.820 4.371 20 0 DIADHN Cc1ncc(CCNCc2c(Cl)cccc2Cl)s1 ZINC001620868258 1055495664 /nfs/dbraw/zinc/49/56/64/1055495664.db2.gz WHPKPSFEYQHDKW-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CCC1(CC)[C@H](NCc2cccc(OC3CC3)c2F)C[C@H]1OC ZINC001620872667 1055500589 /nfs/dbraw/zinc/50/05/89/1055500589.db2.gz BBBLNKOLBZQCLJ-IAGOWNOFSA-N 0 3 321.436 4.050 20 0 DIADHN Cc1cc(CN(C)[C@H]2CC[C@H](O)CC2)c(Cl)cc1Cl ZINC001237701548 1055505848 /nfs/dbraw/zinc/50/58/48/1055505848.db2.gz KSXZYOXVTHFKMS-JOCQHMNTSA-N 0 3 302.245 4.037 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000024252511 1055510480 /nfs/dbraw/zinc/51/04/80/1055510480.db2.gz ABTPQPRJUKPOCY-MRXNPFEDSA-N 0 3 320.795 4.159 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000024252498 1055510988 /nfs/dbraw/zinc/51/09/88/1055510988.db2.gz WIOARYMIHINTBR-INIZCTEOSA-N 0 3 302.805 4.019 20 0 DIADHN COc1cc(C)c(CN2CC[C@@](CF)(C(F)(F)F)C2)c(C)c1 ZINC001142933458 1055511533 /nfs/dbraw/zinc/51/15/33/1055511533.db2.gz QEBSBXDHJHVVJR-HNNXBMFYSA-N 0 3 319.342 4.036 20 0 DIADHN CC[C@@H](NCc1ccc(C2CCCC2)cc1)[C@@H](O)C(F)(F)F ZINC001620885957 1055511817 /nfs/dbraw/zinc/51/18/17/1055511817.db2.gz SHGROFPPSMGRAV-HZPDHXFCSA-N 0 3 315.379 4.136 20 0 DIADHN CCCCC/C(=C\c1ccccc1)CNCc1cnn(C)c1C ZINC001620887647 1055513316 /nfs/dbraw/zinc/51/33/16/1055513316.db2.gz MGHAYNYIUXJIEA-CPNJWEJPSA-N 0 3 311.473 4.482 20 0 DIADHN CCc1cc(CN2CC[C@H](COCC3CC3)C2)ccc1Cl ZINC001237740876 1055518858 /nfs/dbraw/zinc/51/88/58/1055518858.db2.gz YKZSQPHVHHFGTC-INIZCTEOSA-N 0 3 307.865 4.151 20 0 DIADHN CCCCc1ccc(CC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000024810232 1055521836 /nfs/dbraw/zinc/52/18/36/1055521836.db2.gz WCIGWZPDEATHDN-UHFFFAOYSA-N 0 3 324.468 4.272 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(Cl)c(Cl)c1 ZINC000024809588 1055522104 /nfs/dbraw/zinc/52/21/04/1055522104.db2.gz NMTKARJGMVPLAK-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cccc3c2OCC3)c1 ZINC001237750871 1055522784 /nfs/dbraw/zinc/52/27/84/1055522784.db2.gz OMOIQAWGQFWFKG-HXUWFJFHSA-N 0 3 323.436 4.357 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Cc1ccc2ccccc2c1 ZINC000024812539 1055523277 /nfs/dbraw/zinc/52/32/77/1055523277.db2.gz FMZPBQGNMZZSSZ-UHFFFAOYSA-N 0 3 318.420 4.083 20 0 DIADHN Clc1cncc(Cl)c1CNCCC1Cc2ccccc2C1 ZINC001620902821 1055526621 /nfs/dbraw/zinc/52/66/21/1055526621.db2.gz UVLFFIZSJPZQFE-UHFFFAOYSA-N 0 3 321.251 4.283 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237766991 1055527034 /nfs/dbraw/zinc/52/70/34/1055527034.db2.gz NVQFANHKKUCFJJ-INIZCTEOSA-N 0 3 308.784 4.177 20 0 DIADHN CCC(C)(C)CNCc1cnn(Cc2ccccc2)c1Cl ZINC001620901232 1055528088 /nfs/dbraw/zinc/52/80/88/1055528088.db2.gz RFEBENMHMIVVNS-UHFFFAOYSA-N 0 3 305.853 4.111 20 0 DIADHN Clc1cccc(-c2cc(CN3CC4CCC3CC4)on2)c1 ZINC001237772239 1055528016 /nfs/dbraw/zinc/52/80/16/1055528016.db2.gz GIYLFMKBUCASAY-UHFFFAOYSA-N 0 3 302.805 4.369 20 0 DIADHN CSC1CCN(Cc2cc(-c3cccc(Cl)c3)no2)CC1 ZINC001237775298 1055529685 /nfs/dbraw/zinc/52/96/85/1055529685.db2.gz WSUXDUOFBRZBGY-UHFFFAOYSA-N 0 3 322.861 4.322 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001620910442 1055534146 /nfs/dbraw/zinc/53/41/46/1055534146.db2.gz RXEVYHJUDKVCOJ-QGZVFWFLSA-N 0 3 303.446 4.055 20 0 DIADHN COc1cccc(CN2CCC[C@H]2C(C)C)c1Br ZINC001237868034 1055545333 /nfs/dbraw/zinc/54/53/33/1055545333.db2.gz MUFKXTCFEUTSNW-ZDUSSCGKSA-N 0 3 312.251 4.078 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)s1 ZINC001237825403 1055537382 /nfs/dbraw/zinc/53/73/82/1055537382.db2.gz AFGJXLAWCZFSBJ-HNNXBMFYSA-N 0 3 318.461 4.299 20 0 DIADHN Cc1ccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c(C)c1 ZINC001620913995 1055537801 /nfs/dbraw/zinc/53/78/01/1055537801.db2.gz FVLMOSUHOZHPBH-LJQANCHMSA-N 0 3 316.876 4.349 20 0 DIADHN Cc1cccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c1C ZINC001620914624 1055538322 /nfs/dbraw/zinc/53/83/22/1055538322.db2.gz ZOSBVKQZQKVJJQ-IBGZPJMESA-N 0 3 316.876 4.349 20 0 DIADHN Cc1ccccc1[C@H](C)NC[C@](O)(c1ccccc1)C(F)(F)F ZINC000765685591 1055541434 /nfs/dbraw/zinc/54/14/34/1055541434.db2.gz SGXWXGCBWQNAOX-YOEHRIQHSA-N 0 3 323.358 4.096 20 0 DIADHN CCc1ncc(CN2CCC(Cc3ccccc3F)CC2)s1 ZINC001237827191 1055542196 /nfs/dbraw/zinc/54/21/96/1055542196.db2.gz ROMAMRSMOYZSMX-UHFFFAOYSA-N 0 3 318.461 4.299 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(C(F)(F)F)CCCC1 ZINC000624272387 1055543173 /nfs/dbraw/zinc/54/31/73/1055543173.db2.gz DUMDGXCHGMZKAT-GFCCVEGCSA-N 0 3 300.368 4.417 20 0 DIADHN CC(C)(c1ccccc1)C1(NCc2ccncc2Cl)CC1 ZINC001620935769 1055548794 /nfs/dbraw/zinc/54/87/94/1055548794.db2.gz DGWFSNRJFKMMNY-UHFFFAOYSA-N 0 3 300.833 4.335 20 0 DIADHN CCN[C@H](c1ccccc1)c1ccc(Br)cc1F ZINC000035540510 1055549370 /nfs/dbraw/zinc/54/93/70/1055549370.db2.gz UQTYAEARKIOHOK-OAHLLOKOSA-N 0 3 308.194 4.287 20 0 DIADHN Cc1cncc(CN2CCC[C@H]2COc2ccc(Cl)cc2)c1 ZINC000785437555 1055550747 /nfs/dbraw/zinc/55/07/47/1055550747.db2.gz RPBSLSVSAMGUBK-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN CC[C@@H](C)N(C)Cc1ccc(Br)c(Cl)c1F ZINC001237892092 1055551038 /nfs/dbraw/zinc/55/10/38/1055551038.db2.gz GFLIKXBKIGNYBV-MRVPVSSYSA-N 0 3 308.622 4.472 20 0 DIADHN CN(Cc1ccc(Br)c(Cl)c1F)CC1CC1 ZINC001237890328 1055552803 /nfs/dbraw/zinc/55/28/03/1055552803.db2.gz XCNTZHQUMXPZSH-UHFFFAOYSA-N 0 3 306.606 4.083 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCc3c2cc(F)cc3F)c1 ZINC000155018989 1055554437 /nfs/dbraw/zinc/55/44/37/1055554437.db2.gz KFSMCLNQSCHUQP-SFHVURJKSA-N 0 3 303.352 4.059 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCc3c2cc(F)cc3F)c1 ZINC000155018891 1055554681 /nfs/dbraw/zinc/55/46/81/1055554681.db2.gz KFSMCLNQSCHUQP-GOSISDBHSA-N 0 3 303.352 4.059 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1ncc(Br)cc1F ZINC001620942751 1055554828 /nfs/dbraw/zinc/55/48/28/1055554828.db2.gz UTSYGKNZGWMNME-NSHDSACASA-N 0 3 315.230 4.208 20 0 DIADHN CC1(C)OCC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC001620942057 1055555291 /nfs/dbraw/zinc/55/52/91/1055555291.db2.gz RICPXLDONHAXJV-MRXNPFEDSA-N 0 3 305.805 4.257 20 0 DIADHN CC(C)n1c(CNCC(C)(C)c2ccccn2)nc2ccccc21 ZINC001620941982 1055555360 /nfs/dbraw/zinc/55/53/60/1055555360.db2.gz ONOZMQQMFGEUJR-UHFFFAOYSA-N 0 3 322.456 4.080 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1ccc(-c2ccccc2F)o1)OC ZINC001620944125 1055559566 /nfs/dbraw/zinc/55/95/66/1055559566.db2.gz INSSIRJVINQOIF-SCLBCKFNSA-N 0 3 305.393 4.236 20 0 DIADHN COc1nc2ccccc2cc1CNC[C@@H]1CCCCC1(F)F ZINC001620949180 1055562529 /nfs/dbraw/zinc/56/25/29/1055562529.db2.gz GGCRXGQRSHPFTP-HNNXBMFYSA-N 0 3 320.383 4.159 20 0 DIADHN CCOc1ccc(CN(C)[C@H](C)c2ccc(OC)cc2)cc1F ZINC001237955266 1055562632 /nfs/dbraw/zinc/56/26/32/1055562632.db2.gz DROUITZSGUAUBO-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN CCOc1ccc(CN(CC)Cc2ccc(F)cc2)cc1F ZINC001237957762 1055563744 /nfs/dbraw/zinc/56/37/44/1055563744.db2.gz DNCKJDBYXHFJAJ-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN COc1ccccc1OC1CCN(Cc2ccsc2C)CC1 ZINC001237968651 1055566149 /nfs/dbraw/zinc/56/61/49/1055566149.db2.gz PIVCARRNXGSNIH-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1sccc1CN1CCC(O)(c2ccc(Cl)cc2)CC1 ZINC001237964285 1055566247 /nfs/dbraw/zinc/56/62/47/1055566247.db2.gz IDORRTQEVGIKKI-UHFFFAOYSA-N 0 3 321.873 4.194 20 0 DIADHN Cc1sccc1CN1CCC(Oc2cccc(Cl)n2)CC1 ZINC001237968241 1055566272 /nfs/dbraw/zinc/56/62/72/1055566272.db2.gz MAXJRCIOEQQHSG-UHFFFAOYSA-N 0 3 322.861 4.148 20 0 DIADHN Cc1sccc1CN1CCC[C@@H](OCc2ccccc2)C1 ZINC001237966003 1055568511 /nfs/dbraw/zinc/56/85/11/1055568511.db2.gz FLYHEKFZXVANRK-GOSISDBHSA-N 0 3 301.455 4.238 20 0 DIADHN C[Si](C)(C)c1ccc(CNCc2nccc3ccccc32)cc1 ZINC001620956719 1055579790 /nfs/dbraw/zinc/57/97/90/1055579790.db2.gz IEIMHZRVZQTYNS-UHFFFAOYSA-N 0 3 320.512 4.070 20 0 DIADHN CN(Cc1[nH]nc2cc(Cl)ccc21)[C@H]1CCc2ccccc21 ZINC001237999772 1055580229 /nfs/dbraw/zinc/58/02/29/1055580229.db2.gz WWXHRVLWFQMMMN-SFHVURJKSA-N 0 3 311.816 4.336 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2coc(-c3cccs3)n2)C1 ZINC000921595334 1055580388 /nfs/dbraw/zinc/58/03/88/1055580388.db2.gz LFTXDAQYGAMHGL-AAEUAGOBSA-N 0 3 308.472 4.167 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2cccc(N3CCCCC3)n2)cc1 ZINC001237998642 1055581219 /nfs/dbraw/zinc/58/12/19/1055581219.db2.gz HBDOYSDYSPQSCS-HXUWFJFHSA-N 0 3 321.468 4.409 20 0 DIADHN CCc1ccc([C@@H](CC(C)C)NCc2c(C)nn(C)c2C)cc1 ZINC000671658559 1055584644 /nfs/dbraw/zinc/58/46/44/1055584644.db2.gz HHTOKMDCLUGRGS-HXUWFJFHSA-N 0 3 313.489 4.476 20 0 DIADHN FCCn1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000921616317 1055586110 /nfs/dbraw/zinc/58/61/10/1055586110.db2.gz DAFDRLPNYDWCRT-SFHVURJKSA-N 0 3 319.399 4.013 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCC2(C1)CCCCC2 ZINC001238029045 1055586593 /nfs/dbraw/zinc/58/65/93/1055586593.db2.gz BEYHBTCMCOGQOC-UHFFFAOYSA-N 0 3 300.446 4.124 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC001238026636 1055587229 /nfs/dbraw/zinc/58/72/29/1055587229.db2.gz QHJYOYPWLQKNPB-KRWDZBQOSA-N 0 3 322.452 4.032 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCC[C@@H](C)C2)c(C)c1 ZINC000026467120 1055594465 /nfs/dbraw/zinc/59/44/65/1055594465.db2.gz FZKWTUVOCFNQPL-DYVFJYSZSA-N 0 3 302.462 4.061 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(C(F)F)c2)c(CN(C)C)c1 ZINC000172133532 1055597028 /nfs/dbraw/zinc/59/70/28/1055597028.db2.gz BKYFRJDYDZEBHW-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1CN1CC[C@@H]2CCO[C@H]2C1 ZINC001238061344 1055597784 /nfs/dbraw/zinc/59/77/84/1055597784.db2.gz IPJARZJDGGQAOD-UTKZUKDTSA-N 0 3 323.436 4.398 20 0 DIADHN Cc1cc(CN(C)CCc2noc(C(C)C)n2)cc(C)c1Cl ZINC001238088489 1055603281 /nfs/dbraw/zinc/60/32/81/1055603281.db2.gz FOMNIIGQBUFXMQ-UHFFFAOYSA-N 0 3 321.852 4.138 20 0 DIADHN Cc1ccc(O)cc1CN1CCC(c2ccc(F)cc2F)CC1 ZINC001238099709 1055605834 /nfs/dbraw/zinc/60/58/34/1055605834.db2.gz SDVNOLMJOSPHBC-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN Cc1ccc(O)cc1CN1CCC(Oc2ccccc2C)CC1 ZINC001238094799 1055606387 /nfs/dbraw/zinc/60/63/87/1055606387.db2.gz BIOCAVZZUBPQIQ-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN Clc1ccc(C2=CCN(Cc3cccc4cncn43)CC2)cc1 ZINC001238102491 1055606646 /nfs/dbraw/zinc/60/66/46/1055606646.db2.gz DHFPHXMMUZCTRY-UHFFFAOYSA-N 0 3 323.827 4.277 20 0 DIADHN c1ccc(CCN(Cc2ccccc2)Cc2ccccn2)cc1 ZINC000027832875 1055607153 /nfs/dbraw/zinc/60/71/53/1055607153.db2.gz UBRHWMLLDLNGOT-UHFFFAOYSA-N 0 3 302.421 4.327 20 0 DIADHN Cc1cccc(CN(Cc2cccc3cncn32)C2CC2)c1C ZINC001238116184 1055609140 /nfs/dbraw/zinc/60/91/40/1055609140.db2.gz PQAHIDGESNGWHW-UHFFFAOYSA-N 0 3 305.425 4.116 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1cccc2cncn21 ZINC001238112973 1055609227 /nfs/dbraw/zinc/60/92/27/1055609227.db2.gz GOMZJPSMBOYWGB-LJQANCHMSA-N 0 3 309.388 4.201 20 0 DIADHN COc1ccc(C2CCN(Cc3cncc(C4CC4)c3)CC2)cc1 ZINC001238186790 1055615164 /nfs/dbraw/zinc/61/51/64/1055615164.db2.gz VULBHOHFRABBMB-UHFFFAOYSA-N 0 3 322.452 4.347 20 0 DIADHN Cc1ncncc1CN(CCc1ccccc1)Cc1ccccc1 ZINC001238175487 1055615291 /nfs/dbraw/zinc/61/52/91/1055615291.db2.gz LUGPFISEOLBKMW-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN c1cc(-c2cccc(CN3CCCC[C@H]3c3cccnc3)c2)n[nH]1 ZINC001238210235 1055620249 /nfs/dbraw/zinc/62/02/49/1055620249.db2.gz PRGGYGVPGNOBNP-FQEVSTJZSA-N 0 3 318.424 4.199 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1cccc(-c2cc[nH]n2)c1 ZINC001238210589 1055621201 /nfs/dbraw/zinc/62/12/01/1055621201.db2.gz QTZNPHAJRNXRGA-UHFFFAOYSA-N 0 3 311.816 4.362 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001595720010 1055624659 /nfs/dbraw/zinc/62/46/59/1055624659.db2.gz LKHATRIILKSVDP-SJLPKXTDSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccnn1C1CCN(Cc2ccc(Cl)c(C)c2C)CC1 ZINC001238223579 1055625335 /nfs/dbraw/zinc/62/53/35/1055625335.db2.gz QUFCEMWSHNOLOJ-UHFFFAOYSA-N 0 3 317.864 4.299 20 0 DIADHN CC(C)c1cc(CN2CCC[C@@]3(CCOC3)C2)ccc1Cl ZINC001238225110 1055625393 /nfs/dbraw/zinc/62/53/93/1055625393.db2.gz YTQSZGYNASFPIG-GOSISDBHSA-N 0 3 307.865 4.466 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccccc1)c1ccc(F)cc1F ZINC000338572656 1055641639 /nfs/dbraw/zinc/64/16/39/1055641639.db2.gz WYTWMZYRWQWHFK-QZTJIDSGSA-N 0 3 305.368 4.393 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2C(C)(C)OC)c(Cl)c1 ZINC001238398361 1055651100 /nfs/dbraw/zinc/65/11/00/1055651100.db2.gz AROKSPXLXKJMHL-INIZCTEOSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1cc(CN2CC[C@H](Cc3ccccc3)C2)ncc1Cl ZINC001238418699 1055654782 /nfs/dbraw/zinc/65/47/82/1055654782.db2.gz QJWHWDRYVNNXJD-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1cc(CN2CCC[C@H](Cc3ccccc3)C2)ncc1Cl ZINC001238421698 1055654946 /nfs/dbraw/zinc/65/49/46/1055654946.db2.gz YPCHMNNZGZCCPY-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@@H](CN1CCN(CC(F)(F)F)CC1(C)C)CC(C)(C)C ZINC001168570772 1055656151 /nfs/dbraw/zinc/65/61/51/1055656151.db2.gz RLZQTJNKVSSNKV-CYBMUJFWSA-N 0 3 308.432 4.017 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3ncc(C)cc3C)C2)cc1 ZINC001238428200 1055658624 /nfs/dbraw/zinc/65/86/24/1055658624.db2.gz HLSKLSXVXPUSJL-LJQANCHMSA-N 0 3 310.441 4.050 20 0 DIADHN CC(C)Oc1cncc(CN2CCC(c3ccccc3)CC2)c1 ZINC001238460345 1055663153 /nfs/dbraw/zinc/66/31/53/1055663153.db2.gz UGQVEUWVHQQUSR-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)Oc1cncc(CN(Cc2ccccc2)C2CCC2)c1 ZINC001238464464 1055666673 /nfs/dbraw/zinc/66/66/73/1055666673.db2.gz IJUKIZQCRANZGG-UHFFFAOYSA-N 0 3 310.441 4.424 20 0 DIADHN Cc1cc(CN2CCCOCC2)ccc1Oc1ccc(F)cc1 ZINC001238520461 1055678077 /nfs/dbraw/zinc/67/80/77/1055678077.db2.gz BUMXWWQOOGGZCB-UHFFFAOYSA-N 0 3 315.388 4.149 20 0 DIADHN CC(C)Oc1c(F)ccc(CN2C[C@@H]3CCCC[C@H]3C2)c1F ZINC001238528203 1055680953 /nfs/dbraw/zinc/68/09/53/1055680953.db2.gz PQWYIWMRFQLULA-KBPBESRZSA-N 0 3 309.400 4.374 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(F)c(-c3ccccc3)c2)C1 ZINC001238549225 1055682270 /nfs/dbraw/zinc/68/22/70/1055682270.db2.gz QQAIIFGUZXVVGD-GOSISDBHSA-N 0 3 313.416 4.492 20 0 DIADHN CC1(F)CCN(Cc2ccc(F)c(-c3ccncc3)c2)CC1 ZINC001238550776 1055684503 /nfs/dbraw/zinc/68/45/03/1055684503.db2.gz GNFWJDXEPODNKT-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](C(F)F)C2)cc1-c1ccncc1 ZINC001238554643 1055685144 /nfs/dbraw/zinc/68/51/44/1055685144.db2.gz GBEPKHUUZPFTNA-OAHLLOKOSA-N 0 3 320.358 4.365 20 0 DIADHN Cc1ccc(Cl)c(CN2CCC(c3ccncc3)CC2)c1 ZINC001238555680 1055687510 /nfs/dbraw/zinc/68/75/10/1055687510.db2.gz BPFDQSRHWNNOQD-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@H]1CN(Cc2ccccc2-c2ccc(F)cc2F)CCCO1 ZINC001238579148 1055688962 /nfs/dbraw/zinc/68/89/62/1055688962.db2.gz CXXNMXXFEPUDCV-AWEZNQCLSA-N 0 3 317.379 4.243 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2)c(-c2ccccc2)cn1 ZINC001238597842 1055693615 /nfs/dbraw/zinc/69/36/15/1055693615.db2.gz PGSZJTGHFGIDGO-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CO[C@H]1C[C@@H](CN[C@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000624312132 1055693768 /nfs/dbraw/zinc/69/37/68/1055693768.db2.gz JXWIPFCLDSIBPC-UPJWGTAASA-N 0 3 320.433 4.025 20 0 DIADHN Cc1cc(CN2CC[C@]3(C2)CCCCO3)cnc1-c1ccccc1 ZINC001238605343 1055694268 /nfs/dbraw/zinc/69/42/68/1055694268.db2.gz DMHYAIZIJQPBGL-NRFANRHFSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@@H](C(F)(F)F)C2)c1 ZINC001238623269 1055702178 /nfs/dbraw/zinc/70/21/78/1055702178.db2.gz DRTHSLLKXOFTOI-MRXNPFEDSA-N 0 3 320.358 4.441 20 0 DIADHN CCOc1cc(F)c(F)cc1CN1CCC2(CC(F)C2)CC1 ZINC001238652859 1055708419 /nfs/dbraw/zinc/70/84/19/1055708419.db2.gz UDNVPWRNZNUIDR-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN CCOc1cc(F)c(F)cc1CN(C)CCc1cccs1 ZINC001238652301 1055709278 /nfs/dbraw/zinc/70/92/78/1055709278.db2.gz FCAJQBPCOQNWIU-UHFFFAOYSA-N 0 3 311.397 4.100 20 0 DIADHN CN(C)CCN(C(=O)C=C1CCC(C)(C)CC1)C1CCCCC1 ZINC001565584262 1055711842 /nfs/dbraw/zinc/71/18/42/1055711842.db2.gz PTEQXEPDAOJNHW-UHFFFAOYSA-N 0 3 320.521 4.236 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC(C)(C)C[C@@]1(C)CO ZINC001238673084 1055714609 /nfs/dbraw/zinc/71/46/09/1055714609.db2.gz SWNYWEOCBNAZRR-INIZCTEOSA-N 0 3 313.894 4.045 20 0 DIADHN COCCC1CCN(Cc2cc(Cl)ccc2SC)CC1 ZINC001238672889 1055715260 /nfs/dbraw/zinc/71/52/60/1055715260.db2.gz GPJAHGFVDYILGO-UHFFFAOYSA-N 0 3 313.894 4.310 20 0 DIADHN Cc1cc(OC(C)C)cc(C)c1CN(C)Cc1cccc(O)c1 ZINC001238670726 1055715399 /nfs/dbraw/zinc/71/53/99/1055715399.db2.gz XEQMBGNXZHAYTG-UHFFFAOYSA-N 0 3 313.441 4.428 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H](c2cccnc2)C1 ZINC001238678006 1055716842 /nfs/dbraw/zinc/71/68/42/1055716842.db2.gz RRILPGRPFAFLOP-CQSZACIVSA-N 0 3 318.873 4.446 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)cc1Cl ZINC001538813059 1055725275 /nfs/dbraw/zinc/72/52/75/1055725275.db2.gz UMEMWZHIEBMKBP-KRWDZBQOSA-N 0 3 320.864 4.384 20 0 DIADHN CSc1ncc(C)cc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001238720088 1055731920 /nfs/dbraw/zinc/73/19/20/1055731920.db2.gz HGPXVZSVYWFVAI-GASCZTMLSA-N 0 3 310.466 4.199 20 0 DIADHN CC(C)c1ccc(CN(C2CCC2)C2CCOCC2)c(Cl)n1 ZINC001238732819 1055738030 /nfs/dbraw/zinc/73/80/30/1055738030.db2.gz FPBQEFKGBHOCGB-UHFFFAOYSA-N 0 3 322.880 4.392 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)C2)cc(C)c1OC(C)C ZINC001238751805 1055740542 /nfs/dbraw/zinc/74/05/42/1055740542.db2.gz LIKGVOSJOJCVDL-OAHLLOKOSA-N 0 3 315.379 4.475 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001238768641 1055743558 /nfs/dbraw/zinc/74/35/58/1055743558.db2.gz YTEIFELCPSLZPP-KRWDZBQOSA-N 0 3 305.437 4.416 20 0 DIADHN C[C@H]1CCCCN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238770773 1055746416 /nfs/dbraw/zinc/74/64/16/1055746416.db2.gz RGRUUENNUPUQLO-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC3(CCC3)C2)cc1 ZINC001238778875 1055749182 /nfs/dbraw/zinc/74/91/82/1055749182.db2.gz UNPWJGDZOKPXCW-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1cc(F)cc(C)c1Cl)C2 ZINC001238778629 1055751047 /nfs/dbraw/zinc/75/10/47/1055751047.db2.gz PVMNHOQBUVZHOE-PBHICJAKSA-N 0 3 311.828 4.036 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@H](COCC2CC2)C1 ZINC001238783760 1055751139 /nfs/dbraw/zinc/75/11/39/1055751139.db2.gz AOPZBPKYIWZPSQ-AWEZNQCLSA-N 0 3 311.828 4.036 20 0 DIADHN O=c1[nH]c2ccccc2cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001238884660 1055779710 /nfs/dbraw/zinc/77/97/10/1055779710.db2.gz XQDISSDCDFJBOJ-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000624329104 1055788215 /nfs/dbraw/zinc/78/82/15/1055788215.db2.gz MFSKRUCIBONAPI-DLBZAZTESA-N 0 3 303.837 4.192 20 0 DIADHN C[C@H](NC(=O)C1CCC(C)(C)CC1)[C@@H](c1ccccc1)N(C)C ZINC001539174092 1055796703 /nfs/dbraw/zinc/79/67/03/1055796703.db2.gz YSRUCMMFASURGY-YJBOKZPZSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cc([C@@H](C)NCCc2c[nH]c3c2cccc3Cl)no1 ZINC000624331818 1055799794 /nfs/dbraw/zinc/79/97/94/1055799794.db2.gz KKALRGHXWBCUHS-LLVKDONJSA-N 0 3 303.793 4.011 20 0 DIADHN Fc1ccc2[nH]cc(C3CCN(CCC(F)(F)F)CC3)c2c1 ZINC000347545739 1055800086 /nfs/dbraw/zinc/80/00/86/1055800086.db2.gz VSZRFNORAGMGCF-UHFFFAOYSA-N 0 3 314.326 4.439 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC001567385367 1055801282 /nfs/dbraw/zinc/80/12/82/1055801282.db2.gz HMQRQAJHJWIECG-NCOADZHNSA-N 0 3 314.473 4.178 20 0 DIADHN C[C@@H](NCCc1c[nH]c2c1cccc2Cl)c1cscn1 ZINC000624332349 1055803895 /nfs/dbraw/zinc/80/38/95/1055803895.db2.gz XRSIPKAJAAKEKU-SNVBAGLBSA-N 0 3 305.834 4.171 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(SC)c(OC)c2)c1 ZINC000058528606 1055805470 /nfs/dbraw/zinc/80/54/70/1055805470.db2.gz ZLYKGHQZILVFLG-UHFFFAOYSA-N 0 3 317.454 4.058 20 0 DIADHN CSc1cc(-c2ccc(CN(C)C3CC3)cn2)ccc1F ZINC001238961629 1055806378 /nfs/dbraw/zinc/80/63/78/1055806378.db2.gz LFWRXHDHNDWNHN-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN CC(C)Oc1ccc(-c2ccnc(CN)c2)cc1C(F)(F)F ZINC001238997641 1055818551 /nfs/dbraw/zinc/81/85/51/1055818551.db2.gz FSCNETNGANSUDB-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1ccc(OC(F)F)cc1 ZINC000058886836 1055820897 /nfs/dbraw/zinc/82/08/97/1055820897.db2.gz NXFTXWYAEZRZFN-UHFFFAOYSA-N 0 3 321.367 4.107 20 0 DIADHN CN(Cc1ccccc1)C(=O)CN[C@@H](c1ccccc1)C(C)(C)C ZINC000059985273 1055827212 /nfs/dbraw/zinc/82/72/12/1055827212.db2.gz RFAWREMKVGRNHK-FQEVSTJZSA-N 0 3 324.468 4.022 20 0 DIADHN C[C@@H](NC(=O)CN[C@H](c1ccccc1)C(C)(C)C)c1ccccc1 ZINC000059985262 1055827334 /nfs/dbraw/zinc/82/73/34/1055827334.db2.gz VHDKBHQYDTWKAE-OXQOHEQNSA-N 0 3 324.468 4.241 20 0 DIADHN C[C@H](NC(=O)CN[C@H](c1ccccc1)C(C)(C)C)c1ccccc1 ZINC000059985261 1055828051 /nfs/dbraw/zinc/82/80/51/1055828051.db2.gz VHDKBHQYDTWKAE-OXJNMPFZSA-N 0 3 324.468 4.241 20 0 DIADHN C[C@H](NC(=O)CN[C@@H](c1ccccc1)C(C)(C)C)c1ccccc1 ZINC000059985254 1055828215 /nfs/dbraw/zinc/82/82/15/1055828215.db2.gz VHDKBHQYDTWKAE-JXFKEZNVSA-N 0 3 324.468 4.241 20 0 DIADHN OCCc1cccc(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001239035250 1055828941 /nfs/dbraw/zinc/82/89/41/1055828941.db2.gz OOXPEGFVTDBJNG-UHFFFAOYSA-N 0 3 313.416 4.013 20 0 DIADHN CC(C)N1CCN(c2ccc(-c3coc4ccccc34)cn2)CC1 ZINC001239056865 1055833986 /nfs/dbraw/zinc/83/39/86/1055833986.db2.gz UIXKSXCERUZTQZ-UHFFFAOYSA-N 0 3 321.424 4.025 20 0 DIADHN FC(F)Oc1ccc(CN(Cc2ccccc2)C2CC2)cc1 ZINC000060467083 1055838118 /nfs/dbraw/zinc/83/81/18/1055838118.db2.gz GWPASDGDFZLEDL-UHFFFAOYSA-N 0 3 303.352 4.453 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc(C(C)(C)CC)cc1 ZINC001568621574 1055840989 /nfs/dbraw/zinc/84/09/89/1055840989.db2.gz YSJHMPYLEIVYQU-QGZVFWFLSA-N 0 3 302.462 4.187 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccc(Br)cc1 ZINC000061798647 1055873487 /nfs/dbraw/zinc/87/34/87/1055873487.db2.gz YUMLSZWLKQGGJC-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN C[C@@H](CC1CCCC1)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001597613425 1055853851 /nfs/dbraw/zinc/85/38/51/1055853851.db2.gz FPVLRONAJKMTQH-KRWDZBQOSA-N 0 3 322.537 4.173 20 0 DIADHN COC(=O)c1occc1CN1CCC[C@@H]1CC1CCCCC1 ZINC000060892855 1055855656 /nfs/dbraw/zinc/85/56/56/1055855656.db2.gz NMOXAAZZKQSQLN-MRXNPFEDSA-N 0 3 305.418 4.001 20 0 DIADHN CC[C@H]1CN(C(=O)Nc2c(C(C)C)cccc2C(C)C)CCN1 ZINC001239283727 1055858513 /nfs/dbraw/zinc/85/85/13/1055858513.db2.gz HTYYCBWZVSYLEM-HNNXBMFYSA-N 0 3 317.477 4.149 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000061040034 1055859176 /nfs/dbraw/zinc/85/91/76/1055859176.db2.gz HLDOAHUISAWODA-CYBMUJFWSA-N 0 3 305.447 4.199 20 0 DIADHN CCOc1cc(CNCc2csc3ccccc23)ccc1O ZINC000061048551 1055860829 /nfs/dbraw/zinc/86/08/29/1055860829.db2.gz HVVBGALMXDLCGX-UHFFFAOYSA-N 0 3 313.422 4.295 20 0 DIADHN COC(=O)c1cnc([C@H](C)NCC2CCC(C(C)C)CC2)s1 ZINC001620978014 1055867162 /nfs/dbraw/zinc/86/71/62/1055867162.db2.gz PLVVVNYWXHEUFN-HSBZDZAISA-N 0 3 324.490 4.043 20 0 DIADHN COc1cccc(CN[C@H](c2ccc(F)cc2)C(C)C)c1OC ZINC000061558034 1055868569 /nfs/dbraw/zinc/86/85/69/1055868569.db2.gz UFRVXNPQVWLONY-SFHVURJKSA-N 0 3 317.404 4.330 20 0 DIADHN COc1cccc(CN(C)Cc2ccccc2C(F)(F)F)c1 ZINC000061759651 1055870862 /nfs/dbraw/zinc/87/08/62/1055870862.db2.gz FSRMVJQQAKHUBP-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1ccc(Cl)s1 ZINC001569752993 1055871206 /nfs/dbraw/zinc/87/12/06/1055871206.db2.gz ILBLBPMUIVDGJN-UHFFFAOYSA-N 0 3 323.849 4.071 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc3c(c2)ncn3C)cc1 ZINC000282252761 1055872914 /nfs/dbraw/zinc/87/29/14/1055872914.db2.gz ORDNVVFRMAJDAA-ZDUSSCGKSA-N 0 3 311.454 4.146 20 0 DIADHN CN(Cc1ccc(-n2cccn2)cc1)Cc1cccc(Cl)c1 ZINC000061802857 1055873995 /nfs/dbraw/zinc/87/39/95/1055873995.db2.gz UWNLQCYRUWPWDO-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN CC(C)N(Cc1nc(-c2ccc(F)cc2)no1)C1CCCC1 ZINC000108365010 1055875256 /nfs/dbraw/zinc/87/52/56/1055875256.db2.gz ZLAQVABGFCXFJG-UHFFFAOYSA-N 0 3 303.381 4.029 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc2c(c1)OCCCCO2 ZINC001649070491 1055879585 /nfs/dbraw/zinc/87/95/85/1055879585.db2.gz DKVYAGZKURTALE-LJQANCHMSA-N 0 3 323.436 4.324 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc2c(c1)OCCCCO2 ZINC001649070490 1055880189 /nfs/dbraw/zinc/88/01/89/1055880189.db2.gz DKVYAGZKURTALE-IBGZPJMESA-N 0 3 323.436 4.324 20 0 DIADHN Cc1ccc2c(c1)[C@H]1CN(C)CC[C@H]1N2C(=O)C1=CCCCCC1 ZINC001570018024 1055881910 /nfs/dbraw/zinc/88/19/10/1055881910.db2.gz TYIIKXUTRLSAOZ-UYAOXDASSA-N 0 3 324.468 4.020 20 0 DIADHN CC[C@H](C)CC(=O)NC[C@@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001570061927 1055885266 /nfs/dbraw/zinc/88/52/66/1055885266.db2.gz HRPCWENSLDWFFA-LPHOPBHVSA-N 0 3 318.505 4.040 20 0 DIADHN CC/C=C(/C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001539702286 1055887241 /nfs/dbraw/zinc/88/72/41/1055887241.db2.gz ZIEAPIKSMSQLPG-UBWHZCOUSA-N 0 3 322.880 4.195 20 0 DIADHN CC[C@H](C)c1cccc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)c1 ZINC001539703922 1055887380 /nfs/dbraw/zinc/88/73/80/1055887380.db2.gz HEDAHJQNHXQUSL-IFXJQAMLSA-N 0 3 320.452 4.351 20 0 DIADHN C[C@@H]1CCCN(CC(=O)Nc2ccccc2-c2ccccc2)CC1 ZINC000063308870 1055888236 /nfs/dbraw/zinc/88/82/36/1055888236.db2.gz FASDTILKSFRVDC-QGZVFWFLSA-N 0 3 322.452 4.414 20 0 DIADHN CC[C@@H](C)c1cccc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)c1 ZINC001539703923 1055888359 /nfs/dbraw/zinc/88/83/59/1055888359.db2.gz HEDAHJQNHXQUSL-KUHUBIRLSA-N 0 3 320.452 4.351 20 0 DIADHN Cc1cccc(C)c1OCCNCc1cnc(C(C)(C)C)s1 ZINC000063522834 1055894110 /nfs/dbraw/zinc/89/41/10/1055894110.db2.gz JKPJMKBHPMCJEN-UHFFFAOYSA-N 0 3 318.486 4.226 20 0 DIADHN c1ccc([C@H]2CN(Cc3ccccn3)Cc3ccccc32)cc1 ZINC000063492258 1055894749 /nfs/dbraw/zinc/89/47/49/1055894749.db2.gz JODNSKRKVQPKKN-OAQYLSRUSA-N 0 3 300.405 4.229 20 0 DIADHN c1ccc2c(c1)cccc2-c1cncc([C@H]2CN3CCC2CC3)n1 ZINC001239470375 1055895364 /nfs/dbraw/zinc/89/53/64/1055895364.db2.gz MAAYTARCYKOBAX-IBGZPJMESA-N 0 3 315.420 4.106 20 0 DIADHN C[C@H](CC(=O)Nc1ccc(CN(C)C)cc1F)CC(C)(C)C ZINC001570312805 1055896999 /nfs/dbraw/zinc/89/69/99/1055896999.db2.gz ZQEXXHHCWHTGAK-CYBMUJFWSA-N 0 3 308.441 4.288 20 0 DIADHN CC(C)[C@@H](C(=O)NCC1(C)CCC(C)(C)CC1)N1CCCCC1 ZINC001570370434 1055899566 /nfs/dbraw/zinc/89/95/66/1055899566.db2.gz CWLFMRIGDOXPDR-KRWDZBQOSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@H](C)C[C@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001620981407 1055916853 /nfs/dbraw/zinc/91/68/53/1055916853.db2.gz OPLZMXZZNBKSMA-BLLLJJGKSA-N 0 3 320.864 4.083 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671739441 1055922503 /nfs/dbraw/zinc/92/25/03/1055922503.db2.gz YLVWUUWZOSWDAS-SNVBAGLBSA-N 0 3 302.761 4.038 20 0 DIADHN C[C@H](COc1ccccc1)NCc1ccsc1C(F)(F)F ZINC001620750729 1055924537 /nfs/dbraw/zinc/92/45/37/1055924537.db2.gz LCNQUOZTUYLSGA-LLVKDONJSA-N 0 3 315.360 4.324 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671738918 1055925737 /nfs/dbraw/zinc/92/57/37/1055925737.db2.gz SQKHZTJJOTXIKT-QWRGUYRKSA-N 0 3 300.333 4.084 20 0 DIADHN COc1ccccc1C(C)(C)CNCc1ccc(F)c(F)c1F ZINC001620757922 1055929892 /nfs/dbraw/zinc/92/98/92/1055929892.db2.gz LTKRAMFQWFMXLA-UHFFFAOYSA-N 0 3 323.358 4.180 20 0 DIADHN CCN(CCCOCC(F)(F)F)Cc1ccccc1Cl ZINC000064966084 1055933627 /nfs/dbraw/zinc/93/36/27/1055933627.db2.gz MWHHLFAIVYAAKR-UHFFFAOYSA-N 0 3 309.759 4.131 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1nccn1-c1ccccc1 ZINC001620762585 1055934213 /nfs/dbraw/zinc/93/42/13/1055934213.db2.gz DEYFHJWEZKCKOT-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(NC(C)=O)c2)ccc1F ZINC001239532411 1055934685 /nfs/dbraw/zinc/93/46/85/1055934685.db2.gz DGJQQLZNLONMRK-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cc1 ZINC000671742894 1055935656 /nfs/dbraw/zinc/93/56/56/1055935656.db2.gz JVIFPUFHQUZKPC-NSHDSACASA-N 0 3 314.410 4.106 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccnc(OCC2CC2)c1 ZINC001620771831 1055941601 /nfs/dbraw/zinc/94/16/01/1055941601.db2.gz WQSQTOQGJHUTSG-LFIBNONCSA-N 0 3 308.425 4.064 20 0 DIADHN Cc1cc(C)c(-c2cc(OC3CCN(C)CC3)ccn2)c(C)c1 ZINC001239548825 1055946774 /nfs/dbraw/zinc/94/67/74/1055946774.db2.gz QSPRZRKWJUTYOV-UHFFFAOYSA-N 0 3 310.441 4.147 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)[C@@H](O)c1ccc(C)cc1 ZINC001620985816 1055958744 /nfs/dbraw/zinc/95/87/44/1055958744.db2.gz WHRICXXJZLPTMC-AUUYWEPGSA-N 0 3 315.457 4.406 20 0 DIADHN c1csc(-c2nc(CNCC3CCSCC3)cs2)c1 ZINC001620785682 1055959153 /nfs/dbraw/zinc/95/91/53/1055959153.db2.gz JGOBEBHKMNODBL-UHFFFAOYSA-N 0 3 310.513 4.104 20 0 DIADHN Clc1cc(CN[C@H](C2CC2)[C@@H]2CCCCO2)c(Cl)s1 ZINC001620987673 1055959846 /nfs/dbraw/zinc/95/98/46/1055959846.db2.gz CQRMHDFMBTTXJL-WCQYABFASA-N 0 3 320.285 4.492 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2ccc(F)c(Cl)c2)o1 ZINC001620504236 1055960454 /nfs/dbraw/zinc/96/04/54/1055960454.db2.gz NCUFDYKPXVXIKP-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cc(C)ccn1)Oc1cccc(F)c1 ZINC000671750248 1055964658 /nfs/dbraw/zinc/96/46/58/1055964658.db2.gz OOUMALHUCWLMCW-ZBFHGGJFSA-N 0 3 302.393 4.037 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1F ZINC001239581000 1055965186 /nfs/dbraw/zinc/96/51/86/1055965186.db2.gz MHJQKBHDMSLCBH-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN Cc1cccc(CNCCN2c3ccccc3CC[C@@H]2C)c1F ZINC001620790784 1055966250 /nfs/dbraw/zinc/96/62/50/1055966250.db2.gz PJYJRXAVFFEPDL-INIZCTEOSA-N 0 3 312.432 4.065 20 0 DIADHN CC[C@H](C(=O)N1CC[C@@H](C)Sc2ccccc21)N(CC)CC ZINC001540083885 1055966597 /nfs/dbraw/zinc/96/65/97/1055966597.db2.gz GQBWZZJOGCMHNX-HUUCEWRRSA-N 0 3 320.502 4.024 20 0 DIADHN Cc1ccc2oc(CN[C@@H](C)CC(=O)Nc3ccccc3)cc2c1 ZINC001621006330 1055972180 /nfs/dbraw/zinc/97/21/80/1055972180.db2.gz FVHJZMUXWBEPGP-HNNXBMFYSA-N 0 3 322.408 4.248 20 0 DIADHN CC(C)(CNCc1ccn(-c2ccccc2)n1)Cc1ccccc1 ZINC001620795450 1055973255 /nfs/dbraw/zinc/97/32/55/1055973255.db2.gz FPMSGCGRKWMHNK-UHFFFAOYSA-N 0 3 319.452 4.231 20 0 DIADHN CC(C)CC[C@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001621006039 1055973396 /nfs/dbraw/zinc/97/33/96/1055973396.db2.gz VSIKETKFPJGNPE-CYBMUJFWSA-N 0 3 323.383 4.112 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001621007707 1055974378 /nfs/dbraw/zinc/97/43/78/1055974378.db2.gz KPBQQEQTETYPJL-RDBSUJKOSA-N 0 3 302.443 4.237 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCCCC1CCC1 ZINC001621008920 1055976422 /nfs/dbraw/zinc/97/64/22/1055976422.db2.gz LWJMOTLBSHAMLW-UHFFFAOYSA-N 0 3 318.205 4.397 20 0 DIADHN Fc1ccc2c(c1)C[C@@H]1[C@H](NCc3cccc(Cl)c3F)[C@H]21 ZINC001621013422 1055980033 /nfs/dbraw/zinc/98/00/33/1055980033.db2.gz CODULILZWQAOPM-LXZKKBNFSA-N 0 3 305.755 4.046 20 0 DIADHN Cc1ccc2ncc(CN[C@]3(c4ccccc4)CC3(C)C)n2c1 ZINC001621018765 1055984912 /nfs/dbraw/zinc/98/49/12/1055984912.db2.gz KZUPTPNNICZOAD-FQEVSTJZSA-N 0 3 305.425 4.058 20 0 DIADHN CNc1ccc(C)cc1CN[C@H]1CCc2ccc(Cl)cc21 ZINC001621023401 1055988499 /nfs/dbraw/zinc/98/84/99/1055988499.db2.gz IVMWSUGRLSFTES-SFHVURJKSA-N 0 3 300.833 4.467 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1nnc(CC)o1 ZINC000067231381 1055989216 /nfs/dbraw/zinc/98/92/16/1055989216.db2.gz RGNHLDQXMVNUPE-QGZVFWFLSA-N 0 3 315.461 4.255 20 0 DIADHN CCCCOc1cc(CN[C@@H]2CCCC[C@@H]2F)ccc1OC ZINC001621025843 1055990661 /nfs/dbraw/zinc/99/06/61/1055990661.db2.gz JOMRCGRBDUAPPN-JKSUJKDBSA-N 0 3 309.425 4.244 20 0 DIADHN COc1cc(CNCc2cccc(-c3cccnc3)c2)ccc1C ZINC001620749915 1055992409 /nfs/dbraw/zinc/99/24/09/1055992409.db2.gz WARNFYCGIPAALM-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1ccnc(CNCC2CCC(C)CC2)c1Br ZINC001620748324 1055993579 /nfs/dbraw/zinc/99/35/79/1055993579.db2.gz RSRPYQDEOUVDGR-UHFFFAOYSA-N 0 3 311.267 4.068 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@H]3CCSC3)cs2)cc1 ZINC001621034086 1055996424 /nfs/dbraw/zinc/99/64/24/1055996424.db2.gz JRMBCQSNSVYXQO-AWEZNQCLSA-N 0 3 318.511 4.351 20 0 DIADHN Brc1cscc1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC001620802752 1055996603 /nfs/dbraw/zinc/99/66/03/1055996603.db2.gz WSNJMTRZNQYZPQ-SWLSCSKDSA-N 0 3 322.271 4.231 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671760851 1055998351 /nfs/dbraw/zinc/99/83/51/1055998351.db2.gz SLSVQIALMUDUHH-SNVBAGLBSA-N 0 3 318.323 4.322 20 0 DIADHN OCC[C@@H](NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001620803973 1055998555 /nfs/dbraw/zinc/99/85/55/1055998555.db2.gz MZXQBPDHVMVUOA-OAQYLSRUSA-N 0 3 309.453 4.243 20 0 DIADHN C[C@@H](NCc1ccc(C2CC2)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671762341 1055999223 /nfs/dbraw/zinc/99/92/23/1055999223.db2.gz PDXITKMSNRUKLD-GFCCVEGCSA-N 0 3 308.381 4.262 20 0 DIADHN Cc1cccc(CN[C@H](Cn2cccn2)c2ccccc2)c1C ZINC001620807630 1056001788 /nfs/dbraw/zinc/00/17/88/1056001788.db2.gz GSAQCMAZNQPPJO-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc(C)s1)c1ccc(OC)c(OC)c1 ZINC000671765761 1056006810 /nfs/dbraw/zinc/00/68/10/1056006810.db2.gz FFXQFXHSUVXBRT-BXUZGUMPSA-N 0 3 320.458 4.271 20 0 DIADHN CCC[C@H](C)CCNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001621049214 1056008488 /nfs/dbraw/zinc/00/84/88/1056008488.db2.gz SQSSMJWTKHUJEE-LBPRGKRZSA-N 0 3 317.399 4.154 20 0 DIADHN CC1=NO[C@@H](CNCc2ccc(Sc3ccccc3)cc2)C1 ZINC001621051786 1056010577 /nfs/dbraw/zinc/01/05/77/1056010577.db2.gz SDCUMAFVXTWTFD-MRXNPFEDSA-N 0 3 312.438 4.092 20 0 DIADHN CNc1ccccc1CN[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC001621055625 1056012636 /nfs/dbraw/zinc/01/26/36/1056012636.db2.gz BTXDXXCKXJJFCN-GFCCVEGCSA-N 0 3 324.346 4.478 20 0 DIADHN COc1ccc(OC)c(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001239667671 1056014756 /nfs/dbraw/zinc/01/47/56/1056014756.db2.gz DCMLUDHDOZVLMP-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cncc(OC)c1C ZINC001621061215 1056015531 /nfs/dbraw/zinc/01/55/31/1056015531.db2.gz DOXQMQOFELOXCE-UHFFFAOYSA-N 0 3 320.436 4.404 20 0 DIADHN CCN(CC)Cc1cc(-c2c(OC)cccc2OC)ccc1F ZINC001239674055 1056018673 /nfs/dbraw/zinc/01/86/73/1056018673.db2.gz DDQFGSHLJLCSLF-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CCC2(CCC2)CC1 ZINC001621320614 1056028539 /nfs/dbraw/zinc/02/85/39/1056028539.db2.gz FQENWJJRSKHORK-UHFFFAOYSA-N 0 3 309.457 4.255 20 0 DIADHN CC1(C)CC[C@H](NCc2cc(F)c(F)cc2Br)C1 ZINC001621319381 1056028583 /nfs/dbraw/zinc/02/85/83/1056028583.db2.gz CTOYFJIHSLYCME-JTQLQIEISA-N 0 3 318.205 4.396 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000072742144 1056032328 /nfs/dbraw/zinc/03/23/28/1056032328.db2.gz BWVMEUQNZCRLGX-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN CCc1ccc([C@H](CNC(=O)C[C@@H](CC)C(C)C)N(C)C)cc1 ZINC001540451137 1056032601 /nfs/dbraw/zinc/03/26/01/1056032601.db2.gz NLYQXAORJBWEHN-MJGOQNOKSA-N 0 3 318.505 4.040 20 0 DIADHN CCc1ccc([C@H](CNC(=O)C[C@H](C)CC(C)C)N(C)C)cc1 ZINC001540450987 1056033643 /nfs/dbraw/zinc/03/36/43/1056033643.db2.gz JDHUWNWWNOJOLL-APWZRJJASA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc(NC(=O)c2ccc3ccccc3c2)c(CN(C)C)c1 ZINC000072841117 1056034505 /nfs/dbraw/zinc/03/45/05/1056034505.db2.gz DRROZCKHFULTEO-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN C[C@H](O)C[C@H]1CCCCCN1Cc1ccsc1C(F)(F)F ZINC001621326435 1056034556 /nfs/dbraw/zinc/03/45/56/1056034556.db2.gz OTFNZASTCVOJPW-WCQYABFASA-N 0 3 321.408 4.282 20 0 DIADHN CCc1ccc(CCC(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000072841076 1056035336 /nfs/dbraw/zinc/03/53/36/1056035336.db2.gz XOTVLTDYOXZOHB-UHFFFAOYSA-N 0 3 324.468 4.190 20 0 DIADHN CN(C)c1ncc(CNC2(c3ccccc3)CCCCC2)s1 ZINC000073007867 1056037224 /nfs/dbraw/zinc/03/72/24/1056037224.db2.gz RKWPXNHKDIVAIG-UHFFFAOYSA-N 0 3 315.486 4.158 20 0 DIADHN CCCCc1ccc([C@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540507413 1056037537 /nfs/dbraw/zinc/03/75/37/1056037537.db2.gz LMJVNWRMTVYUHX-HXUWFJFHSA-N 0 3 318.505 4.183 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(F)ccc2OC)cc1F ZINC001239722814 1056042781 /nfs/dbraw/zinc/04/27/81/1056042781.db2.gz BVWWUPGIWLMXDG-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN Cc1ccnc(CN2CCCCCCCC2)c1Br ZINC001621340432 1056047295 /nfs/dbraw/zinc/04/72/95/1056047295.db2.gz LMGRQPCFHVLOGV-UHFFFAOYSA-N 0 3 311.267 4.309 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc3c(c2)OCCO3)cc1 ZINC000074334808 1056054180 /nfs/dbraw/zinc/05/41/80/1056054180.db2.gz LXCMLAUZAJLKQH-ZDUSSCGKSA-N 0 3 315.438 4.031 20 0 DIADHN C[C@@H]1CN(Cc2c3ccccc3[nH]c2-c2ccccc2)C[C@@H](C)O1 ZINC000005696384 1056054472 /nfs/dbraw/zinc/05/44/72/1056054472.db2.gz DYEGKECCXPFKRG-HZPDHXFCSA-N 0 3 320.436 4.444 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(OC)c(Cl)c2)c1 ZINC000112528397 1056060999 /nfs/dbraw/zinc/06/09/99/1056060999.db2.gz IHIRZDZWRYOUOA-CYBMUJFWSA-N 0 3 319.832 4.346 20 0 DIADHN CC(=O)Nc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1 ZINC001239758159 1056062119 /nfs/dbraw/zinc/06/21/19/1056062119.db2.gz PRFLFSMXRRFNEW-UHFFFAOYSA-N 0 3 312.388 4.047 20 0 DIADHN CCCCCCN(C)CC(=O)N(CC)Cc1ccccc1Cl ZINC001540716577 1056066767 /nfs/dbraw/zinc/06/67/67/1056066767.db2.gz DAEUZHLFFJCIRC-UHFFFAOYSA-N 0 3 324.896 4.201 20 0 DIADHN C=CCOc1cccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000028924956 1056070999 /nfs/dbraw/zinc/07/09/99/1056070999.db2.gz YYNZJJHLHAWORH-HNNXBMFYSA-N 0 3 324.424 4.061 20 0 DIADHN C[C@H](NCc1ccc(F)cc1)c1ccc(NC(=O)C2CC2)cc1 ZINC000028925309 1056071586 /nfs/dbraw/zinc/07/15/86/1056071586.db2.gz WOXDHWUWVRIIKV-ZDUSSCGKSA-N 0 3 312.388 4.025 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N(CCC(C)C)CCC(C)C ZINC001540789455 1056075325 /nfs/dbraw/zinc/07/53/25/1056075325.db2.gz GRPPOKRXFXCKEK-GOSISDBHSA-N 0 3 310.526 4.172 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)CC1CCCCC1)CC(C)(C)C ZINC001583431764 1056080945 /nfs/dbraw/zinc/08/09/45/1056080945.db2.gz VBWBFZNTVFYOIO-KRWDZBQOSA-N 0 3 324.553 4.419 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1F)[C@H](O)c1c(F)cccc1F ZINC001620818306 1056085253 /nfs/dbraw/zinc/08/52/53/1056085253.db2.gz SCRFRRYOFCZLHO-WMZOPIPTSA-N 0 3 323.358 4.014 20 0 DIADHN CCOc1ccc(CNCc2csc(C)c2C)cc1OC ZINC000399926010 1056114001 /nfs/dbraw/zinc/11/40/01/1056114001.db2.gz MOJDJTIYBCSWOY-UHFFFAOYSA-N 0 3 305.443 4.062 20 0 DIADHN CCN(Cc1nc2ccccc2c(C)c1Cl)C[C@@H]1CCOC1 ZINC000052071265 1056114510 /nfs/dbraw/zinc/11/45/10/1056114510.db2.gz JAXGSQJJNDVJIG-AWEZNQCLSA-N 0 3 318.848 4.055 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@@H]1CCCC[C@@H]1C(C)(C)C ZINC001542629480 1056147804 /nfs/dbraw/zinc/14/78/04/1056147804.db2.gz QLLLRPRLMMGCOI-OKZBNKHCSA-N 0 3 322.537 4.220 20 0 DIADHN CCCC[C@@H](C)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001542816698 1056158839 /nfs/dbraw/zinc/15/88/39/1056158839.db2.gz HXKXKRUBVUZWQL-IEBWSBKVSA-N 0 3 316.489 4.156 20 0 DIADHN CCCCCC[C@H](CC)CN(C)C(=O)[C@H]1CCCCN1CC ZINC001611606424 1056176892 /nfs/dbraw/zinc/17/68/92/1056176892.db2.gz YKDAFXIAZRLLJV-ZWKOTPCHSA-N 0 3 310.526 4.316 20 0 DIADHN Cn1ccc2cc(-c3cncc(CN4CCCCC4)c3)ccc21 ZINC001239942666 1056177229 /nfs/dbraw/zinc/17/72/29/1056177229.db2.gz QNRGWKUPFCJLQN-UHFFFAOYSA-N 0 3 305.425 4.226 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)c1C ZINC000115602481 1056186037 /nfs/dbraw/zinc/18/60/37/1056186037.db2.gz BMLVTPLMAZSWOS-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN CC(C)(C)N1CC[C@](F)(C(=O)Nc2cccc(C3CCC3)c2)C1 ZINC001583933132 1056207186 /nfs/dbraw/zinc/20/71/86/1056207186.db2.gz BUNJCNAZJWYZNY-LJQANCHMSA-N 0 3 318.436 4.105 20 0 DIADHN CC[C@@H](NCCOc1cccc(OC)c1)c1cc(F)ccc1F ZINC001168622621 1056216014 /nfs/dbraw/zinc/21/60/14/1056216014.db2.gz NNPJAPSYXAPMCA-GOSISDBHSA-N 0 3 321.367 4.093 20 0 DIADHN Cc1cccc([C@H](NC(=O)CN2[C@H](C)CC[C@H]2C)C(C)(C)C)c1 ZINC001543467593 1056228749 /nfs/dbraw/zinc/22/87/49/1056228749.db2.gz BVOMBKDBEIPPCV-MDZRGWNJSA-N 0 3 316.489 4.071 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(-c3ccc(C)cc3)s2)[C@H](C)C1 ZINC000282283029 1056253570 /nfs/dbraw/zinc/25/35/70/1056253570.db2.gz LELBBRKSFVDODJ-GDBMZVCRSA-N 0 3 316.470 4.118 20 0 DIADHN O=c1[nH]c2cccc(CN[C@@H](c3ccccc3)C3CCC3)c2o1 ZINC000921682657 1056261278 /nfs/dbraw/zinc/26/12/78/1056261278.db2.gz IDHHZKTUFFEPDY-KRWDZBQOSA-N 0 3 308.381 4.164 20 0 DIADHN CC(C)NC(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001240026762 1056262214 /nfs/dbraw/zinc/26/22/14/1056262214.db2.gz BDOVQTDXVOZIOG-UHFFFAOYSA-N 0 3 306.409 4.075 20 0 DIADHN CC(C)c1ccc(C(=O)N[C@H](C)CN(C)Cc2ccccc2)cc1 ZINC001584109777 1056264967 /nfs/dbraw/zinc/26/49/67/1056264967.db2.gz ABVPEYNODNKJSW-QGZVFWFLSA-N 0 3 324.468 4.060 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000106859804 1056268242 /nfs/dbraw/zinc/26/82/42/1056268242.db2.gz SSBMUBHNCUEUCP-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN CCN(Cc1nnc(-c2cccc(Cl)c2)o1)[C@@H](C)C(C)C ZINC000106933808 1056270565 /nfs/dbraw/zinc/27/05/65/1056270565.db2.gz JPRQNMHMRYCRHB-LBPRGKRZSA-N 0 3 307.825 4.256 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1csc2ccccc12 ZINC000765837410 1056289931 /nfs/dbraw/zinc/28/99/31/1056289931.db2.gz WKNHQFAOAMMSQJ-WBMJQRKESA-N 0 3 311.454 4.363 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1ccc2c(c1)COC2 ZINC000765848469 1056311330 /nfs/dbraw/zinc/31/13/30/1056311330.db2.gz GMUVILCIUFUMST-HNNXBMFYSA-N 0 3 310.441 4.024 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCOc2cc(C)ccc21 ZINC000765848764 1056320580 /nfs/dbraw/zinc/32/05/80/1056320580.db2.gz JLRJNSBJOPEWQL-LJQANCHMSA-N 0 3 324.468 4.455 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2nc(C)sc21 ZINC000765849183 1056323386 /nfs/dbraw/zinc/32/33/86/1056323386.db2.gz NNZDXRUOMQNZFW-HNNXBMFYSA-N 0 3 315.486 4.075 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@H]2CCNc3ccccc32)nc1 ZINC001168643794 1056328635 /nfs/dbraw/zinc/32/86/35/1056328635.db2.gz BCBRMIXTQZZGMP-RBUKOAKNSA-N 0 3 322.456 4.207 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@H]2CCNc3ccccc32)nc1 ZINC001168643789 1056329624 /nfs/dbraw/zinc/32/96/24/1056329624.db2.gz BCBRMIXTQZZGMP-OALUTQOASA-N 0 3 322.456 4.207 20 0 DIADHN CCCC[C@@H](CC)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001543933598 1056329811 /nfs/dbraw/zinc/32/98/11/1056329811.db2.gz HLVKFPPOEGZYJX-GOSISDBHSA-N 0 3 310.526 4.173 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)NC[C@@H]2N(C)CCCC2(C)C)cc1 ZINC001543944379 1056331887 /nfs/dbraw/zinc/33/18/87/1056331887.db2.gz HWNJQPIUVIKUJB-QAPCUYQASA-N 0 3 316.489 4.050 20 0 DIADHN C[C@H](NCc1cncn1C)c1ccc(-c2ccccc2F)s1 ZINC000353580129 1056336105 /nfs/dbraw/zinc/33/61/05/1056336105.db2.gz HTMBMGNXFMACKV-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](O)c2ccc3ccccc3c2)o1 ZINC000765880083 1056351887 /nfs/dbraw/zinc/35/18/87/1056351887.db2.gz KLKNSMLSMXNYCK-IFXJQAMLSA-N 0 3 309.409 4.379 20 0 DIADHN COC[C@@H](N[C@@H](C)C1CCC(C(F)(F)F)CC1)c1ccco1 ZINC000474811483 1056358204 /nfs/dbraw/zinc/35/82/04/1056358204.db2.gz RUCFTDHINHCDLL-GFJIZPEISA-N 0 3 319.367 4.314 20 0 DIADHN Fc1ccc([C@@H](NCc2cnn(CC3CCC3)c2)C2CC2)cc1 ZINC000921717431 1056374888 /nfs/dbraw/zinc/37/48/88/1056374888.db2.gz QOZIVHMTLKZVDB-IBGZPJMESA-N 0 3 313.420 4.063 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000156135803 1056382156 /nfs/dbraw/zinc/38/21/56/1056382156.db2.gz QSBFDHDFMSLLTJ-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CN(Cc1ccc(-c2cccc(N3CCCCC3)c2)nc1)C1CC1 ZINC001240251198 1056388549 /nfs/dbraw/zinc/38/85/49/1056388549.db2.gz PDVYHRNDMXRTSN-UHFFFAOYSA-N 0 3 321.468 4.333 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@H]2CCCc3cccnc32)nc1 ZINC001168655159 1056391442 /nfs/dbraw/zinc/39/14/42/1056391442.db2.gz KWBVMPNYYZUEEO-ZWKOTPCHSA-N 0 3 322.456 4.123 20 0 DIADHN CCC(CC)[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)c1ccccc1 ZINC001544268832 1056397068 /nfs/dbraw/zinc/39/70/68/1056397068.db2.gz OFVZUNVBPDZJHB-QINHECLXSA-N 0 3 316.489 4.153 20 0 DIADHN FC(F)(F)c1ccc(-c2cncc(CN3CCCCC3)c2)cn1 ZINC001240269500 1056404067 /nfs/dbraw/zinc/40/40/67/1056404067.db2.gz OLXVTSMNRKXQOE-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN CC(C)[C@@H](Cc1ccccc1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000303746591 1056409884 /nfs/dbraw/zinc/40/98/84/1056409884.db2.gz AQZZQXSKEJDOCE-HXUWFJFHSA-N 0 3 324.468 4.202 20 0 DIADHN FC(F)(F)c1cc(-c2cncc(CN3CCCCC3)c2)ccn1 ZINC001240339519 1056434291 /nfs/dbraw/zinc/43/42/91/1056434291.db2.gz FRMVEKLSHMDOBJ-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN Cc1cccc([C@H]2CCCN2CC(=O)N(C)c2ccccc2)c1C ZINC000303929925 1056441248 /nfs/dbraw/zinc/44/12/48/1056441248.db2.gz QJAPEVBIXZGARO-HXUWFJFHSA-N 0 3 322.452 4.103 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@H](C)C[C@@H](C)C3)cs2)c1 ZINC000057831847 1056449653 /nfs/dbraw/zinc/44/96/53/1056449653.db2.gz UBDIIBDZLPYXGR-ZIAGYGMSSA-N 0 3 316.470 4.297 20 0 DIADHN COC/C(C)=C\CN1CCC(c2nc3ccccc3s2)CC1 ZINC000929241650 1056451369 /nfs/dbraw/zinc/45/13/69/1056451369.db2.gz ACBBYGUMUDXXGH-AUWJEWJLSA-N 0 3 316.470 4.068 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccco2)c2ccccc2)cc1F ZINC000530329524 1056458331 /nfs/dbraw/zinc/45/83/31/1056458331.db2.gz GSQRJXYOUADQFO-LJQANCHMSA-N 0 3 313.347 4.480 20 0 DIADHN CC[C@@H](CNCc1ccccc1Cl)Oc1cccc(F)c1 ZINC001620827065 1056465592 /nfs/dbraw/zinc/46/55/92/1056465592.db2.gz CFZVBDNSCVJKNQ-HNNXBMFYSA-N 0 3 307.796 4.426 20 0 DIADHN c1coc(-c2cc(C3=CCCN(Cc4ccccc4)C3)ncn2)c1 ZINC001240386427 1056465922 /nfs/dbraw/zinc/46/59/22/1056465922.db2.gz OHLZIXYRIGNWSZ-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN Cc1nc(C2=CCCN(Cc3ccccc3)C2)cc(C(C)C)n1 ZINC001240385431 1056466700 /nfs/dbraw/zinc/46/67/00/1056466700.db2.gz SDUYGFZESMHEPS-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN c1nn(-c2ccccc2)c2c1CN(CCC1=CCCCC1)CC2 ZINC001615096313 1056467923 /nfs/dbraw/zinc/46/79/23/1056467923.db2.gz VELRLZUQFHWVPX-UHFFFAOYSA-N 0 3 307.441 4.121 20 0 DIADHN CC(C)Oc1cncc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240386793 1056468140 /nfs/dbraw/zinc/46/81/40/1056468140.db2.gz KBISYJZKZXGRPR-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN c1ccc(CN2CCC=C(c3cc(OCC4CC4)ccn3)C2)cc1 ZINC001240387205 1056468686 /nfs/dbraw/zinc/46/86/86/1056468686.db2.gz YJVMCPXPEOCYIU-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN CC[C@H]1CO[C@@H](C)CN1Cc1ccc(-c2ccsc2)cc1 ZINC001615163453 1056470257 /nfs/dbraw/zinc/47/02/57/1056470257.db2.gz CFYSNHDHRJQNCL-KSSFIOAISA-N 0 3 301.455 4.414 20 0 DIADHN c1ccc(CN2CCC=C(c3ccnc(OCC4CC4)c3)C2)cc1 ZINC001240388362 1056470654 /nfs/dbraw/zinc/47/06/54/1056470654.db2.gz AEQSELUFEBPZMA-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN Fc1cc2nccnc2c(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240387753 1056470946 /nfs/dbraw/zinc/47/09/46/1056470946.db2.gz VRHAZBNHSONFBE-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CC[C@H](CNCc1cccc(C)c1F)Oc1cccc(F)c1 ZINC001620827265 1056475657 /nfs/dbraw/zinc/47/56/57/1056475657.db2.gz JFIREXIYFDGGCR-MRXNPFEDSA-N 0 3 305.368 4.220 20 0 DIADHN CC[C@H](CN1CCC[C@H]1c1cccc(Br)c1)OC ZINC001615200955 1056476876 /nfs/dbraw/zinc/47/68/76/1056476876.db2.gz RJOIYEMFJNKXHE-CABCVRRESA-N 0 3 312.251 4.011 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1cccn1C ZINC000172628445 1056478288 /nfs/dbraw/zinc/47/82/88/1056478288.db2.gz BZVGJXMQMJZMMN-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccnc4[nH]ccc43)c(C)c2)CC1 ZINC001240411377 1056489527 /nfs/dbraw/zinc/48/95/27/1056489527.db2.gz PJIGPXFDVSNDBF-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001615318099 1056491496 /nfs/dbraw/zinc/49/14/96/1056491496.db2.gz DQENFEGKVOLGFG-AYBZRNKSSA-N 0 3 305.437 4.241 20 0 DIADHN C[C@H]1CCC[C@H](CCN2CCN(c3ccc(Cl)cn3)CC2)C1 ZINC001615337146 1056493609 /nfs/dbraw/zinc/49/36/09/1056493609.db2.gz IEWSWUZZCQYHNZ-JKSUJKDBSA-N 0 3 321.896 4.073 20 0 DIADHN CC(C)CCn1cc(-c2cccc(CN3CCCC3)c2F)cn1 ZINC001240422039 1056496267 /nfs/dbraw/zinc/49/62/67/1056496267.db2.gz QWEWHOJPKXCQKL-UHFFFAOYSA-N 0 3 315.436 4.331 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@H]1CC2(CCC2)CO1 ZINC001615346397 1056496574 /nfs/dbraw/zinc/49/65/74/1056496574.db2.gz FQFOFSYKXLSBJP-OAHLLOKOSA-N 0 3 313.363 4.096 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(CO)ccc1F ZINC001615338717 1056497831 /nfs/dbraw/zinc/49/78/31/1056497831.db2.gz ZISROMYCTCEBNI-IBGZPJMESA-N 0 3 301.405 4.291 20 0 DIADHN c1cc2cc(-c3cccc4cc([C@H]5CNCCO5)cn43)ccc2o1 ZINC001240425258 1056498700 /nfs/dbraw/zinc/49/87/00/1056498700.db2.gz DLHFLZRKNYIUDN-HXUWFJFHSA-N 0 3 318.376 4.013 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCCCCCC2)cc1C ZINC001615402355 1056503438 /nfs/dbraw/zinc/50/34/38/1056503438.db2.gz UUTDUYYLSNYXFX-UHFFFAOYSA-N 0 3 302.462 4.287 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)Nc1ccc2ccccc2c1 ZINC001615397635 1056505123 /nfs/dbraw/zinc/50/51/23/1056505123.db2.gz HXHOBHKZVOSGKJ-IBGZPJMESA-N 0 3 310.441 4.289 20 0 DIADHN Cc1cc(CNCC[C@@H](C)c2cccc(C(F)(F)F)c2)on1 ZINC001620827823 1056508495 /nfs/dbraw/zinc/50/84/95/1056508495.db2.gz AWQXMRKRTNXZRF-LLVKDONJSA-N 0 3 312.335 4.285 20 0 DIADHN COc1ccc(F)c(F)c1-c1cccc(CN2CCCC2)c1F ZINC001240438308 1056509290 /nfs/dbraw/zinc/50/92/90/1056509290.db2.gz MCBIWTTVCKFYRR-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000014934941 1056512935 /nfs/dbraw/zinc/51/29/35/1056512935.db2.gz STOXUIDSEQSFFP-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1ccnn1C1CCC1 ZINC000921816182 1056513705 /nfs/dbraw/zinc/51/37/05/1056513705.db2.gz GHOBTOZNEWAUCN-DJJJIMSYSA-N 0 3 313.420 4.160 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc(-n2cccn2)cc1 ZINC000061802934 1056522394 /nfs/dbraw/zinc/52/23/94/1056522394.db2.gz ZKVBHXGVUSUORQ-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN COc1cccc(-c2nc(CN3C4CCCC3CCC4)co2)c1 ZINC001615520085 1056527829 /nfs/dbraw/zinc/52/78/29/1056527829.db2.gz QJYMLJVLQUJXPH-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN CCCC(=CC(=O)N[C@H](C)CN(C)Cc1ccccc1)CCC ZINC001544938923 1056530776 /nfs/dbraw/zinc/53/07/76/1056530776.db2.gz HVMQUOQTTQNGBP-QGZVFWFLSA-N 0 3 316.489 4.150 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@@H](C(C)C)CC2)c(C)c1 ZINC001615539715 1056531447 /nfs/dbraw/zinc/53/14/47/1056531447.db2.gz KQAQNJNZNUJGGW-ZWKOTPCHSA-N 0 3 316.489 4.389 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)[C@@H](O)c1cccc(F)c1 ZINC001620828269 1056531682 /nfs/dbraw/zinc/53/16/82/1056531682.db2.gz NKHAKACTPRHSAK-KPZWWZAWSA-N 0 3 319.420 4.236 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cc(F)cc(F)c1 ZINC001615559489 1056533308 /nfs/dbraw/zinc/53/33/08/1056533308.db2.gz QCCPDINMBPFXCG-SWLSCSKDSA-N 0 3 305.343 4.482 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168680373 1056537001 /nfs/dbraw/zinc/53/70/01/1056537001.db2.gz SWJBVNMLJQFMHC-DGCLKSJQSA-N 0 3 311.816 4.049 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C(C)C)c1)c1ccc2c(c1)CCO2 ZINC000765943399 1056537023 /nfs/dbraw/zinc/53/70/23/1056537023.db2.gz BPCZADGUWCXCLV-KSSFIOAISA-N 0 3 313.445 4.201 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nc(-c2ccccc2F)no1 ZINC001615601374 1056544374 /nfs/dbraw/zinc/54/43/74/1056544374.db2.gz SZOHJYCIXXSBRL-CZUORRHYSA-N 0 3 317.408 4.276 20 0 DIADHN C[C@H]1c2c(F)cccc2CCN1CCCOCc1ccccc1 ZINC001615630551 1056545898 /nfs/dbraw/zinc/54/58/98/1056545898.db2.gz NIJBWKYMQVYMKA-INIZCTEOSA-N 0 3 313.416 4.352 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1C[C@@H](c2ccccc2C)C[C@@H]1C ZINC001615607599 1056546728 /nfs/dbraw/zinc/54/67/28/1056546728.db2.gz LHWDCNOCKMTKRM-LNLFQRSKSA-N 0 3 317.473 4.151 20 0 DIADHN C[C@H]1c2c(F)cccc2CCN1CCN1CCCc2ccccc21 ZINC001615631222 1056551518 /nfs/dbraw/zinc/55/15/18/1056551518.db2.gz ZTBBTADOQPQMKA-INIZCTEOSA-N 0 3 324.443 4.198 20 0 DIADHN O[C@H](CN1CCC2(C1)CCCCC2)c1ccc(F)cc1Cl ZINC001615643452 1056552411 /nfs/dbraw/zinc/55/24/11/1056552411.db2.gz DXZQQYCXKOUGET-MRXNPFEDSA-N 0 3 311.828 4.169 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC3(C2)CCCCC3)c1 ZINC001615643376 1056552998 /nfs/dbraw/zinc/55/29/98/1056552998.db2.gz CZFCSSPDTBDTHT-UHFFFAOYSA-N 0 3 321.848 4.283 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(CCCOC(F)(F)F)C1 ZINC001615665712 1056558153 /nfs/dbraw/zinc/55/81/53/1056558153.db2.gz UUPQFFYJLMXQRI-RISCZKNCSA-N 0 3 305.315 4.135 20 0 DIADHN CCCN(Cc1ccns1)[C@@H]1C[C@H](OCC)C12CCCCC2 ZINC001615712364 1056565901 /nfs/dbraw/zinc/56/59/01/1056565901.db2.gz CQGUNQIZISESQQ-SJORKVTESA-N 0 3 322.518 4.483 20 0 DIADHN CC(C)(C)[C@H]1CCCN1CC(=O)Nc1cccc2ccccc21 ZINC001615725095 1056570659 /nfs/dbraw/zinc/57/06/59/1056570659.db2.gz CCZVILHCBZTMHN-GOSISDBHSA-N 0 3 310.441 4.289 20 0 DIADHN CCc1nc(CNCCc2c[nH]c3c2cccc3CC)cs1 ZINC000111397752 1056576776 /nfs/dbraw/zinc/57/67/76/1056576776.db2.gz LVQFZNZUEPSNCD-UHFFFAOYSA-N 0 3 313.470 4.082 20 0 DIADHN C[C@@H](NC(=O)CC1(C)CCCCC1)[C@H](c1ccccc1)N(C)C ZINC001585551786 1056578408 /nfs/dbraw/zinc/57/84/08/1056578408.db2.gz DZZDMNPJVABOJT-VQIMIIECSA-N 0 3 316.489 4.155 20 0 DIADHN CN(CCc1c(F)cccc1F)Cc1cc2ccccc2o1 ZINC001615826247 1056582198 /nfs/dbraw/zinc/58/21/98/1056582198.db2.gz ODFSBKBUIMNCKD-UHFFFAOYSA-N 0 3 301.336 4.386 20 0 DIADHN Fc1ccc(F)c(N2CCN(CC3CCCCCC3)CC2)c1 ZINC001615829605 1056582310 /nfs/dbraw/zinc/58/23/10/1056582310.db2.gz NLMRHLKAFOFYTC-UHFFFAOYSA-N 0 3 308.416 4.057 20 0 DIADHN CC1(C)CN(CCSc2cccc(Cl)c2)CCS1 ZINC001615841194 1056585736 /nfs/dbraw/zinc/58/57/36/1056585736.db2.gz ZCDZDWBQIGLANO-UHFFFAOYSA-N 0 3 301.908 4.260 20 0 DIADHN CC(C)[C@H]1CCN([C@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001615867778 1056588370 /nfs/dbraw/zinc/58/83/70/1056588370.db2.gz KDBCEPBGBQJIOK-QAPCUYQASA-N 0 3 310.441 4.145 20 0 DIADHN Cc1cc(CN2CCN(c3cc(C)ccc3C)CC2)c(C)s1 ZINC001615849561 1056588489 /nfs/dbraw/zinc/58/84/89/1056588489.db2.gz CJGAFEKWSWHEOS-UHFFFAOYSA-N 0 3 314.498 4.304 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1csc(Cc2ccccc2)n1 ZINC000063618925 1056600538 /nfs/dbraw/zinc/60/05/38/1056600538.db2.gz RNILFTISFDTWPC-KRWDZBQOSA-N 0 3 300.471 4.354 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)C[C@H]1CCC(C)(C)CO1)CCC2 ZINC001615960610 1056601185 /nfs/dbraw/zinc/60/11/85/1056601185.db2.gz JDDCDESNTOSTKQ-UKRRQHHQSA-N 0 3 322.518 4.220 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2csc(-c3ccc(F)cc3)n2)C1 ZINC000253603608 1056602813 /nfs/dbraw/zinc/60/28/13/1056602813.db2.gz IPIZSZIZYKEZMD-CHWSQXEVSA-N 0 3 304.434 4.427 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1ccc(CC)cc1 ZINC001615988315 1056603130 /nfs/dbraw/zinc/60/31/30/1056603130.db2.gz BMKCTHPUUUZGBW-CRAIPNDOSA-N 0 3 302.462 4.088 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1cccc(C)c1C ZINC001615988506 1056603645 /nfs/dbraw/zinc/60/36/45/1056603645.db2.gz HMJBHFPLWRXTEB-CRAIPNDOSA-N 0 3 302.462 4.143 20 0 DIADHN COc1ncc(CNC2(c3ccc(F)cc3)CC2)c2ccccc21 ZINC001616058969 1056608812 /nfs/dbraw/zinc/60/88/12/1056608812.db2.gz DPJXKLNUYLBBOA-UHFFFAOYSA-N 0 3 322.383 4.161 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1nc(-c2ccccc2F)no1 ZINC001616066542 1056610338 /nfs/dbraw/zinc/61/03/38/1056610338.db2.gz HKRNXPBSTSEDNB-AWEZNQCLSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC2(CCOCC2)CC1 ZINC000672297104 1056616263 /nfs/dbraw/zinc/61/62/63/1056616263.db2.gz PTUFUFBPEKFXTP-UHFFFAOYSA-N 0 3 310.441 4.249 20 0 DIADHN CCCN(CCCC1CC1)CC(=O)Nc1ccc(Cl)cc1 ZINC001616188172 1056618034 /nfs/dbraw/zinc/61/80/34/1056618034.db2.gz MLJWPGVTXKBICY-UHFFFAOYSA-N 0 3 308.853 4.181 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2nc(-c3ccoc3)no2)C1 ZINC000076385756 1056623433 /nfs/dbraw/zinc/62/34/33/1056623433.db2.gz POFBODAYHBUSLU-HOCLYGCPSA-N 0 3 323.396 4.016 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](c1ccccc1)C(C)C ZINC001585800552 1056624159 /nfs/dbraw/zinc/62/41/59/1056624159.db2.gz JLXZIPFERDIMFH-FQEVSTJZSA-N 0 3 318.505 4.354 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@H](C)c1ccc(F)cc1Cl ZINC000765954892 1056624268 /nfs/dbraw/zinc/62/42/68/1056624268.db2.gz REEJPKCKNSWMFR-PXAZEXFGSA-N 0 3 307.796 4.172 20 0 DIADHN Fc1cccc(CNC[C@H]2Cc3ccccc32)c1Br ZINC000156784748 1056631303 /nfs/dbraw/zinc/63/13/03/1056631303.db2.gz ZDNKYEIPHNQLOY-CYBMUJFWSA-N 0 3 320.205 4.018 20 0 DIADHN COC(=O)CN(CCCCc1cccc(Cl)c1)C1CCCC1 ZINC001616314089 1056632919 /nfs/dbraw/zinc/63/29/19/1056632919.db2.gz BGDPYFXHNYLREM-UHFFFAOYSA-N 0 3 323.864 4.080 20 0 DIADHN CC[C@H](NC(=O)CN1CC[C@@](C)(CC)C1)c1ccc(Cl)cc1 ZINC001616338775 1056637762 /nfs/dbraw/zinc/63/77/62/1056637762.db2.gz PPSCIZYREMWVGJ-FUHWJXTLSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@@H](NC(=O)C1CCCCCCC1)[C@H](c1ccccc1)N(C)C ZINC001128190520 1056651789 /nfs/dbraw/zinc/65/17/89/1056651789.db2.gz VCTHQKWVYJAJNG-VQIMIIECSA-N 0 3 316.489 4.155 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](CCCc3ccccc3)C2)o1 ZINC001616441884 1056657306 /nfs/dbraw/zinc/65/73/06/1056657306.db2.gz QPIWTJGOPRZFOC-GOSISDBHSA-N 0 3 312.457 4.472 20 0 DIADHN Fc1cc(CN2CCC[C@@H](CCCc3ccccc3)C2)ccn1 ZINC001616441029 1056657476 /nfs/dbraw/zinc/65/74/76/1056657476.db2.gz GTSHZINUQXPMLD-GOSISDBHSA-N 0 3 312.432 4.456 20 0 DIADHN COc1c(F)ccc(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001240724768 1056662352 /nfs/dbraw/zinc/66/23/52/1056662352.db2.gz HTWMBDFZUHEZRO-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCC(CC)(CNCc1ccc(Cl)cc1Cl)C(=O)OC ZINC001616498245 1056665434 /nfs/dbraw/zinc/66/54/34/1056665434.db2.gz ZPPCDOGTMPBNSN-UHFFFAOYSA-N 0 3 318.244 4.062 20 0 DIADHN Clc1ccccc1O[C@@H]1CCN([C@H]2CCc3ccccc32)C1 ZINC001616515030 1056668279 /nfs/dbraw/zinc/66/82/79/1056668279.db2.gz RHFVNBTZPBAIBK-QAPCUYQASA-N 0 3 313.828 4.481 20 0 DIADHN Clc1ccccc1O[C@H]1CCN([C@H]2CCc3ccccc32)C1 ZINC001616515032 1056668329 /nfs/dbraw/zinc/66/83/29/1056668329.db2.gz RHFVNBTZPBAIBK-YJBOKZPZSA-N 0 3 313.828 4.481 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2ccc(F)cc2Cl)c1 ZINC001616530673 1056672877 /nfs/dbraw/zinc/67/28/77/1056672877.db2.gz JHMIPTXKKTYMSX-LBPRGKRZSA-N 0 3 320.795 4.077 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2cccc(C)c2)no1)C1CC1 ZINC000064809278 1056673718 /nfs/dbraw/zinc/67/37/18/1056673718.db2.gz HQYIDAQUCXFCDZ-CABCVRRESA-N 0 3 313.445 4.444 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2cccc(F)c2OC(F)F)C1 ZINC001616549435 1056675875 /nfs/dbraw/zinc/67/58/75/1056675875.db2.gz QZKUEJFIUDFNFC-LBPRGKRZSA-N 0 3 301.352 4.295 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CC[C@H](C(C)(C)C)C2)cc1 ZINC001616549444 1056676004 /nfs/dbraw/zinc/67/60/04/1056676004.db2.gz REGOWOJSUAXPJA-INIZCTEOSA-N 0 3 316.489 4.291 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2cccc(F)c2OC(F)F)C1 ZINC001616549434 1056676542 /nfs/dbraw/zinc/67/65/42/1056676542.db2.gz QZKUEJFIUDFNFC-GFCCVEGCSA-N 0 3 301.352 4.295 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1C[C@@H](C)CC(C)(C)C1 ZINC001616567586 1056677567 /nfs/dbraw/zinc/67/75/67/1056677567.db2.gz DVKDSTGGCVWOOI-QWHCGFSZSA-N 0 3 308.853 4.035 20 0 DIADHN CCC[C@H]1CN([C@@H](C)c2ccncc2)CCN1Cc1ccccc1 ZINC001616577592 1056681260 /nfs/dbraw/zinc/68/12/60/1056681260.db2.gz YWSFTYKATYKVQC-RXVVDRJESA-N 0 3 323.484 4.129 20 0 DIADHN CCO[C@H](C)n1cc(-c2ccc(CN(CC)CC)c(F)c2)cn1 ZINC001240758273 1056683138 /nfs/dbraw/zinc/68/31/38/1056683138.db2.gz GXTNQSYZLOAWKG-CQSZACIVSA-N 0 3 319.424 4.086 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(CSC)cc1 ZINC000921962755 1056685336 /nfs/dbraw/zinc/68/53/36/1056685336.db2.gz BEIGJCJGNFCSAE-CQSZACIVSA-N 0 3 300.471 4.358 20 0 DIADHN CCO[C@@H](C)n1cc(-c2cccc(CN(CC)CC)c2F)cn1 ZINC001240760660 1056685379 /nfs/dbraw/zinc/68/53/79/1056685379.db2.gz VTPGLQLPLQUZRE-AWEZNQCLSA-N 0 3 319.424 4.086 20 0 DIADHN Cc1nc(CN[C@H](C)[C@@H](C)c2ccccc2)nc2ccccc12 ZINC001616644546 1056693787 /nfs/dbraw/zinc/69/37/87/1056693787.db2.gz HIZDFKXUSSCUNE-HUUCEWRRSA-N 0 3 305.425 4.220 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCO[C@H]1CCCC[C@H]1C ZINC001616691940 1056703259 /nfs/dbraw/zinc/70/32/59/1056703259.db2.gz RVBUIKOZEURGOC-KEYYUXOJSA-N 0 3 309.425 4.080 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(CC(C)C)C1CC1)c1ccccc1 ZINC001616691406 1056703753 /nfs/dbraw/zinc/70/37/53/1056703753.db2.gz NUICZXJUJCQWDL-LJQANCHMSA-N 0 3 316.489 4.010 20 0 DIADHN CCC/C(C)=C/C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001128250787 1056704658 /nfs/dbraw/zinc/70/46/58/1056704658.db2.gz DTQAEZQJVBVLHV-UMEYKSNOSA-N 0 3 316.489 4.006 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCN(c3ccc(C)cc3)C2=O)cc1 ZINC001616721087 1056706284 /nfs/dbraw/zinc/70/62/84/1056706284.db2.gz WDKUWIIXOCQAGQ-UZLBHIALSA-N 0 3 322.452 4.014 20 0 DIADHN CC[C@H](NC[C@@](O)(CC)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616753766 1056711880 /nfs/dbraw/zinc/71/18/80/1056711880.db2.gz LSEJSKVDHSGMKE-STQMWFEESA-N 0 3 309.759 4.084 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)cs1)c1ccc(Cl)cc1 ZINC001616755249 1056712424 /nfs/dbraw/zinc/71/24/24/1056712424.db2.gz WFGKPLHNAHWBME-OAHLLOKOSA-N 0 3 323.845 4.429 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](CC2CCC2)c2ccccc2)c1 ZINC001616806982 1056722696 /nfs/dbraw/zinc/72/26/96/1056722696.db2.gz FIQNGXPUUQPXHE-FQEVSTJZSA-N 0 3 322.452 4.455 20 0 DIADHN Cc1ccc(NC(=O)CC/C=C\c2ccccc2)c(CN(C)C)c1 ZINC000255120757 1056730018 /nfs/dbraw/zinc/73/00/18/1056730018.db2.gz RQZBTUQXLJNQSJ-XFFZJAGNSA-N 0 3 322.452 4.489 20 0 DIADHN COC(=O)/C(C)=C/CN1CCC[C@H]1c1ccc(C(C)C)cc1 ZINC001616935608 1056743996 /nfs/dbraw/zinc/74/39/96/1056743996.db2.gz GCRQVCSSVFBFMY-OTMARIDSSA-N 0 3 301.430 4.066 20 0 DIADHN c1ccc([C@@H]2CCCCN2Cc2cccc3nsnc32)cc1 ZINC001616975121 1056750537 /nfs/dbraw/zinc/75/05/37/1056750537.db2.gz USCNWHXBACGAFT-KRWDZBQOSA-N 0 3 309.438 4.419 20 0 DIADHN CN1CCC(Oc2ccnc(-c3ccc4ccccc4c3)c2)CC1 ZINC001240909323 1056753117 /nfs/dbraw/zinc/75/31/17/1056753117.db2.gz BALQSAAOVHETNR-UHFFFAOYSA-N 0 3 318.420 4.375 20 0 DIADHN Cc1cnc(C(C)(C)NC[C@@H](C)COCc2ccccc2)s1 ZINC001616989038 1056756407 /nfs/dbraw/zinc/75/64/07/1056756407.db2.gz OSSUUHHCZJTROG-CQSZACIVSA-N 0 3 318.486 4.129 20 0 DIADHN CCN(CCC1CC1)Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC001617014651 1056757506 /nfs/dbraw/zinc/75/75/06/1056757506.db2.gz NDLPQYCJKYXSCY-UHFFFAOYSA-N 0 3 312.244 4.263 20 0 DIADHN C[C@@H](C(=O)N1CCCCCc2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC001586636433 1056771563 /nfs/dbraw/zinc/77/15/63/1056771563.db2.gz OODPTZFRDHVNPZ-BBWFWOEESA-N 0 3 314.473 4.007 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CC[C@H](C)C(C)(C)C1 ZINC001617081630 1056773039 /nfs/dbraw/zinc/77/30/39/1056773039.db2.gz REUAEDNHKIVRNW-FUHWJXTLSA-N 0 3 316.489 4.104 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CC[C@H](C)C(C)(C)C1 ZINC001617081632 1056773636 /nfs/dbraw/zinc/77/36/36/1056773636.db2.gz REUAEDNHKIVRNW-WMZOPIPTSA-N 0 3 316.489 4.104 20 0 DIADHN CC(C)=CCN[C@H](Cn1cnc2ccccc21)c1ccc(F)cc1 ZINC001617139934 1056782123 /nfs/dbraw/zinc/78/21/23/1056782123.db2.gz LCJPLYWIODVMRF-LJQANCHMSA-N 0 3 323.415 4.473 20 0 DIADHN COc1cc(F)c(-c2cnccc2CN2CCCCC2)cc1F ZINC001240959907 1056785814 /nfs/dbraw/zinc/78/58/14/1056785814.db2.gz RVERLRSYWYYHFA-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1cc(F)c(-c2cccc(CN3CCCC3)c2F)cc1F ZINC001240959756 1056786588 /nfs/dbraw/zinc/78/65/88/1056786588.db2.gz KGFHWIFWXASIDK-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN O=c1cc(C[N@@H+]2CCCC3(CCCC3)C2)nc(-c2ccccc2)[n-]1 ZINC001617292733 1056808175 /nfs/dbraw/zinc/80/81/75/1056808175.db2.gz WZRISQUWDARLFS-UHFFFAOYSA-N 0 3 323.440 4.005 20 0 DIADHN O=c1cc(C[N@H+]2CCCC3(CCCC3)C2)nc(-c2ccccc2)[n-]1 ZINC001617292733 1056808190 /nfs/dbraw/zinc/80/81/90/1056808190.db2.gz WZRISQUWDARLFS-UHFFFAOYSA-N 0 3 323.440 4.005 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(C)c3ccccc32)c1 ZINC001241005044 1056808620 /nfs/dbraw/zinc/80/86/20/1056808620.db2.gz PDTWFSAHHIYUCU-UHFFFAOYSA-N 0 3 320.436 4.288 20 0 DIADHN CCN(CC)Cc1cc(-c2c(F)cccc2OC)ccc1F ZINC001241013574 1056817303 /nfs/dbraw/zinc/81/73/03/1056817303.db2.gz GCIDPXFGANQEIC-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CC(C)CN(C)[C@H](C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000065962652 1056817700 /nfs/dbraw/zinc/81/77/00/1056817700.db2.gz LHWLDPZNCAGTCI-MRXNPFEDSA-N 0 3 310.441 4.268 20 0 DIADHN CCN(CC)Cc1cccc(-c2c(F)cccc2OC)c1F ZINC001241014336 1056817743 /nfs/dbraw/zinc/81/77/43/1056817743.db2.gz YABFBIVFIJJYBP-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CCC[C@@H](CC)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001128354083 1056824219 /nfs/dbraw/zinc/82/42/19/1056824219.db2.gz ZCZCWTONANJFPH-RTBURBONSA-N 0 3 318.505 4.086 20 0 DIADHN c1ccc(C[C@@H]2CCCC[C@@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001617391190 1056825270 /nfs/dbraw/zinc/82/52/70/1056825270.db2.gz SYIHHENXDIJBMK-ROUUACIJSA-N 0 3 324.468 4.445 20 0 DIADHN FC(F)(F)COCCCNCc1cc(Cl)sc1Cl ZINC000312870772 1056830259 /nfs/dbraw/zinc/83/02/59/1056830259.db2.gz ARWYCIGOHCQXKX-UHFFFAOYSA-N 0 3 322.179 4.114 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H]1CCCO[C@H]1CC(C)C ZINC001617428678 1056830557 /nfs/dbraw/zinc/83/05/57/1056830557.db2.gz HYVLLXALUHMYQP-RDJZCZTQSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2ccc(F)c3cccnc23)C1 ZINC001617427897 1056831117 /nfs/dbraw/zinc/83/11/17/1056831117.db2.gz AERITLBQUMCOCF-AUUYWEPGSA-N 0 3 321.399 4.352 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(CCCc2c(F)cccc2F)C1 ZINC001617430394 1056832455 /nfs/dbraw/zinc/83/24/55/1056832455.db2.gz XEEXLISEDPNZHH-IFXJQAMLSA-N 0 3 316.395 4.376 20 0 DIADHN CC(C)c1ncc(CN(C)CCC2C[C@H](C)C[C@@H](C)C2)cn1 ZINC001617448828 1056834113 /nfs/dbraw/zinc/83/41/13/1056834113.db2.gz HETKEVSLBORIAL-HZPDHXFCSA-N 0 3 303.494 4.494 20 0 DIADHN COc1ccc(-c2cnccc2CN2CCCCC2)c(F)c1F ZINC001241045209 1056842613 /nfs/dbraw/zinc/84/26/13/1056842613.db2.gz XKBFFUUIMSPWOH-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC(N2CCc3ccccc32)CC1 ZINC001617532393 1056845614 /nfs/dbraw/zinc/84/56/14/1056845614.db2.gz OYSOEOWXZCEHKU-MRXNPFEDSA-N 0 3 324.443 4.414 20 0 DIADHN Cc1ccc(F)c(CN2CCCC[C@@H]2[C@H]2CCCC[C@@H]2O)c1F ZINC001617627650 1056859817 /nfs/dbraw/zinc/85/98/17/1056859817.db2.gz JCHXVOBJNZVRFI-OLMNPRSZSA-N 0 3 323.427 4.179 20 0 DIADHN Cc1ccc(F)c(CN2CCCC[C@@H]2[C@H]2CCCC[C@H]2O)c1F ZINC001617627652 1056860719 /nfs/dbraw/zinc/86/07/19/1056860719.db2.gz JCHXVOBJNZVRFI-ZTFGCOKTSA-N 0 3 323.427 4.179 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1CCCc1cccc(C)c1 ZINC001617636949 1056860949 /nfs/dbraw/zinc/86/09/49/1056860949.db2.gz PUNRPOWTMIPKTJ-HXUWFJFHSA-N 0 3 317.473 4.125 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCOc1cccc2ccccc21 ZINC001617637519 1056861563 /nfs/dbraw/zinc/86/15/63/1056861563.db2.gz SDABMPYQVCPIJG-XJKSGUPXSA-N 0 3 323.358 4.491 20 0 DIADHN CCOc1cc(F)c(-c2ccc(CN(C)C3CC3)cn2)cc1F ZINC001241071262 1056862931 /nfs/dbraw/zinc/86/29/31/1056862931.db2.gz NPRMSAJYELMHFS-UHFFFAOYSA-N 0 3 318.367 4.020 20 0 DIADHN CCOc1cc(F)c(-c2ccc(OC)c(CN(C)C)c2)cc1F ZINC001241073704 1056864307 /nfs/dbraw/zinc/86/43/07/1056864307.db2.gz MLDMBOMLAZYJCP-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN CCCC[C@@](C)(NCCF)c1cccc(Br)c1 ZINC001617679048 1056867841 /nfs/dbraw/zinc/86/78/41/1056867841.db2.gz HRDAKUOLYVZSTC-CQSZACIVSA-N 0 3 302.231 4.414 20 0 DIADHN CN1CCc2ccc(-c3cc(F)cc(C(F)(F)F)c3)cc2C1 ZINC001241083943 1056875705 /nfs/dbraw/zinc/87/57/05/1056875705.db2.gz RJBSMBFCPPJDML-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN CCOc1ccc(-c2cc(N3CCCCC3)ccn2)cc1F ZINC001241085758 1056877701 /nfs/dbraw/zinc/87/77/01/1056877701.db2.gz WNOUYZYGOMLZQS-UHFFFAOYSA-N 0 3 300.377 4.277 20 0 DIADHN Cc1ccc(CNCc2ccc(N(C)c3ccccc3)cc2)cn1 ZINC000642629581 1056877881 /nfs/dbraw/zinc/87/78/81/1056877881.db2.gz LIZZZNRKDLSHIN-UHFFFAOYSA-N 0 3 317.436 4.448 20 0 DIADHN Fc1ccccc1C1(N[C@@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168703605 1056883102 /nfs/dbraw/zinc/88/31/02/1056883102.db2.gz HOCXFKFJTAVIAH-CYBMUJFWSA-N 0 3 301.792 4.225 20 0 DIADHN C[C@H](N[C@@H]1Cc2cccc(Cl)c2C1)c1c(F)cccc1F ZINC001168703452 1056883637 /nfs/dbraw/zinc/88/36/37/1056883637.db2.gz GTJDZSPYUMTRBR-CMPLNLGQSA-N 0 3 307.771 4.436 20 0 DIADHN Fc1ccccc1OCCN1CCCCC[C@@H]1c1ccco1 ZINC000078831034 1056885700 /nfs/dbraw/zinc/88/57/00/1056885700.db2.gz RXRATBHBYNESBQ-MRXNPFEDSA-N 0 3 303.377 4.415 20 0 DIADHN CCS[C@@H]1CCC[C@H]1NCc1cc(-c2ccccc2)no1 ZINC001617806606 1056886237 /nfs/dbraw/zinc/88/62/37/1056886237.db2.gz KJKDEUUQAKDEGZ-NVXWUHKLSA-N 0 3 302.443 4.105 20 0 DIADHN COc1cc(C)c([C@H](C)N2CCOC3(CCCC3)C2)cc1C ZINC000682075170 1056886907 /nfs/dbraw/zinc/88/69/07/1056886907.db2.gz XXQZXBAUCBPGTC-INIZCTEOSA-N 0 3 303.446 4.018 20 0 DIADHN Cc1ccccc1C1CCN([C@H](C)c2cnc(C)nc2C)CC1 ZINC000682075443 1056887542 /nfs/dbraw/zinc/88/75/42/1056887542.db2.gz SDVBAVAMWKUGQC-MRXNPFEDSA-N 0 3 309.457 4.342 20 0 DIADHN Cn1nccc1C1=CCN(Cc2ccc(C3CCCC3)cc2)CC1 ZINC001617860442 1056893305 /nfs/dbraw/zinc/89/33/05/1056893305.db2.gz OXPYPIJPTBFTMO-UHFFFAOYSA-N 0 3 321.468 4.367 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H]2C[C@H](C)CC[C@@H]2C(C)C)cs1 ZINC000682377083 1056895102 /nfs/dbraw/zinc/89/51/02/1056895102.db2.gz VOBIIRZREVMJJT-OCVGTWLNSA-N 0 3 310.507 4.401 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cccc(Cl)c2F)C12CCC2 ZINC001618000064 1056912103 /nfs/dbraw/zinc/91/21/03/1056912103.db2.gz DELWRSSQXRLTTQ-KCPJHIHWSA-N 0 3 311.828 4.477 20 0 DIADHN CC(C)CC[C@H](O)CN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC001618013576 1056913396 /nfs/dbraw/zinc/91/33/96/1056913396.db2.gz XJNKEEWARBNNQU-JSGCOSHPSA-N 0 3 323.868 4.006 20 0 DIADHN COc1cc(OC)cc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001241131266 1056914177 /nfs/dbraw/zinc/91/41/77/1056914177.db2.gz FFBAIIIFJRZYRG-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@H](C)c1cnccc1C ZINC000682733177 1056914319 /nfs/dbraw/zinc/91/43/19/1056914319.db2.gz OYECQDFGZKOMCT-AUUYWEPGSA-N 0 3 310.441 4.472 20 0 DIADHN Cc1cccc(Cl)c1CN1CCN(C)C2(CCCCC2)C1 ZINC001618026306 1056916038 /nfs/dbraw/zinc/91/60/38/1056916038.db2.gz CNSACQUBGJVNHV-UHFFFAOYSA-N 0 3 306.881 4.099 20 0 DIADHN CCc1cccc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)c1 ZINC001618092675 1056923080 /nfs/dbraw/zinc/92/30/80/1056923080.db2.gz VYMYDENVMZRTSC-SFHVURJKSA-N 0 3 303.833 4.068 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2ccc(F)cc2Cl)c1 ZINC001618165422 1056927673 /nfs/dbraw/zinc/92/76/73/1056927673.db2.gz JZJYCSPVKKDYBT-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000079324335 1056928938 /nfs/dbraw/zinc/92/89/38/1056928938.db2.gz QACJKJRFHMUPSS-JKSUJKDBSA-N 0 3 310.441 4.235 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(O[C@@H]2CCCCO2)c1 ZINC001241219187 1056966626 /nfs/dbraw/zinc/96/66/26/1056966626.db2.gz WEKVEEZBHLTGSG-ZAHGZRRWSA-N 0 3 324.424 4.186 20 0 DIADHN c1cc2cccc(CNCc3cnn(CC4CCC4)c3)c2s1 ZINC000922217107 1056979691 /nfs/dbraw/zinc/97/96/91/1056979691.db2.gz MLBJHHBEGSJXRH-UHFFFAOYSA-N 0 3 311.454 4.188 20 0 DIADHN Cc1cc(OCc2ccccc2)ccc1-c1ccc(CN)nc1 ZINC001241255661 1056982979 /nfs/dbraw/zinc/98/29/79/1056982979.db2.gz XCNTWCKQCOENEA-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN Clc1ccc2c(c1)OC[C@H]2N[C@H]1Cc2cccc(Cl)c2C1 ZINC001168715968 1056985002 /nfs/dbraw/zinc/98/50/02/1056985002.db2.gz RJEUKQWIHBJEBK-BLLLJJGKSA-N 0 3 320.219 4.184 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1cc2cnccc2o1 ZINC000922320988 1057006087 /nfs/dbraw/zinc/00/60/87/1057006087.db2.gz RHOLWMUVJPNPNN-STQMWFEESA-N 0 3 308.381 4.002 20 0 DIADHN CN1CC=C(c2ncc(C(F)(F)F)cc2-c2ccco2)CC1 ZINC001241316566 1057011034 /nfs/dbraw/zinc/01/10/34/1057011034.db2.gz AIFIFLCOFZTQPQ-UHFFFAOYSA-N 0 3 308.303 4.079 20 0 DIADHN Cc1ccccc1NC(=O)c1c(C)cccc1C1=CCN(C)CC1 ZINC001241316750 1057011386 /nfs/dbraw/zinc/01/13/86/1057011386.db2.gz CTABPWGJRKEMCF-UHFFFAOYSA-N 0 3 320.436 4.275 20 0 DIADHN CN1CC=C(c2cc(C(F)(F)F)ccc2C(F)(F)F)CC1 ZINC001241319168 1057012128 /nfs/dbraw/zinc/01/21/28/1057012128.db2.gz DSRRSPRHHOTQAE-UHFFFAOYSA-N 0 3 309.253 4.443 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(CC(C)C)cc2)c1 ZINC001241326135 1057013890 /nfs/dbraw/zinc/01/38/90/1057013890.db2.gz WMQCCOBHICSUSA-UHFFFAOYSA-N 0 3 312.457 4.025 20 0 DIADHN CN1CC=C(c2cncc(-c3ccc(C(F)(F)F)cc3)c2)CC1 ZINC001241333568 1057018354 /nfs/dbraw/zinc/01/83/54/1057018354.db2.gz WURIUMAIFYYPKK-UHFFFAOYSA-N 0 3 318.342 4.486 20 0 DIADHN Nc1ccnc2cc(C3=CCN(c4ccccc4)CC3)ccc12 ZINC001241355362 1057025832 /nfs/dbraw/zinc/02/58/32/1057025832.db2.gz MDWZYEXLDSYQMC-UHFFFAOYSA-N 0 3 301.393 4.111 20 0 DIADHN Cc1cc(CO)cc(C)c1CNCc1ccc(C(F)(F)F)cc1 ZINC000922559095 1057032162 /nfs/dbraw/zinc/03/21/62/1057032162.db2.gz ATEFOKPZUAKYTK-UHFFFAOYSA-N 0 3 323.358 4.104 20 0 DIADHN CCc1cc(CN[C@H](Cc2ccccc2)c2ccccc2)[nH]n1 ZINC000765992247 1057032454 /nfs/dbraw/zinc/03/24/54/1057032454.db2.gz HWJVYLBWOIIYBZ-HXUWFJFHSA-N 0 3 305.425 4.046 20 0 DIADHN CCc1cc(CN[C@H](Cc2ccccc2)c2ccccc2)n[nH]1 ZINC000765992247 1057032459 /nfs/dbraw/zinc/03/24/59/1057032459.db2.gz HWJVYLBWOIIYBZ-HXUWFJFHSA-N 0 3 305.425 4.046 20 0 DIADHN Fc1ccc(/C=C/CN[C@H](c2ccccn2)C2CC2)cc1F ZINC000922584137 1057035883 /nfs/dbraw/zinc/03/58/83/1057035883.db2.gz BPLLEBPJUBVEBW-WHLIDYPQSA-N 0 3 300.352 4.114 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H](c2ccccn2)C2CC2)cc1F ZINC000922584134 1057036618 /nfs/dbraw/zinc/03/66/18/1057036618.db2.gz BPLLEBPJUBVEBW-DDKKJVIOSA-N 0 3 300.352 4.114 20 0 DIADHN c1cnc2ccc(-c3cncc(CN4CCCCC4)c3)cc2c1 ZINC001241399219 1057039334 /nfs/dbraw/zinc/03/93/34/1057039334.db2.gz PFIFLQHDBAQVST-UHFFFAOYSA-N 0 3 303.409 4.283 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc(Cl)c(C)c2)cc1C ZINC000922671940 1057047268 /nfs/dbraw/zinc/04/72/68/1057047268.db2.gz JSUNVCVQVLBHQA-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3c(cnn3C)c2)cc1F ZINC001241440607 1057049933 /nfs/dbraw/zinc/04/99/33/1057049933.db2.gz VJNFJGVUYXWZHH-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN O=C(Nc1cccc2[nH]ccc21)c1cccc(CN2CCCC2)c1 ZINC000338873744 1057050528 /nfs/dbraw/zinc/05/05/28/1057050528.db2.gz VFQIWBDUASXBOL-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN C[C@@H](NCCOc1cccnc1)c1ccc(Cl)cc1Cl ZINC000765997605 1057050816 /nfs/dbraw/zinc/05/08/16/1057050816.db2.gz KGEJATOAVWCUII-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cccc4c3cnn4C)cc21 ZINC001241451625 1057051567 /nfs/dbraw/zinc/05/15/67/1057051567.db2.gz JYQKWKCJGAMTIG-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCCC1(F)CCC1 ZINC000673611776 1057052099 /nfs/dbraw/zinc/05/20/99/1057052099.db2.gz VNJKBZAOFKFWBN-UHFFFAOYSA-N 0 3 307.743 4.313 20 0 DIADHN COc1ccc(-c2nc(CNCCC3(F)CCC3)cs2)cc1 ZINC000673611718 1057052993 /nfs/dbraw/zinc/05/29/93/1057052993.db2.gz QWZYYEMQURFGMR-UHFFFAOYSA-N 0 3 320.433 4.191 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc3cnn(C)c32)cc1F ZINC001241458821 1057054622 /nfs/dbraw/zinc/05/46/22/1057054622.db2.gz SCMOYFXVJCYOJJ-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@H](C)Cc2ccccc2C)o1 ZINC000428978420 1057057245 /nfs/dbraw/zinc/05/72/45/1057057245.db2.gz OLBSHFVEWAYNHL-OAHLLOKOSA-N 0 3 300.446 4.307 20 0 DIADHN Cc1nc2c(cccc2CCNCc2ncc(C(C)C)s2)o1 ZINC000922772465 1057058542 /nfs/dbraw/zinc/05/85/42/1057058542.db2.gz WWRCNJXXXLVIBA-UHFFFAOYSA-N 0 3 315.442 4.048 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4nccnc4c3)cc21 ZINC001241493336 1057067768 /nfs/dbraw/zinc/06/77/68/1057067768.db2.gz QTWMFHOXSTVXFJ-UHFFFAOYSA-N 0 3 301.393 4.298 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(-c3ccsc3)n2)CCS1 ZINC000922844038 1057068065 /nfs/dbraw/zinc/06/80/65/1057068065.db2.gz VDVMJJCVQUUMRG-PWSUYJOCSA-N 0 3 310.513 4.245 20 0 DIADHN c1oc(-c2ccccc2)nc1CN[C@H]1CCSC2(CCC2)C1 ZINC000922844214 1057068423 /nfs/dbraw/zinc/06/84/23/1057068423.db2.gz BOAMGUBSYICQDB-HNNXBMFYSA-N 0 3 314.454 4.250 20 0 DIADHN c1ccn(-c2ccc(C3=CCN(Cc4ccccc4)CC3)nc2)c1 ZINC001241502887 1057070485 /nfs/dbraw/zinc/07/04/85/1057070485.db2.gz YEFSUKWCJOUKLY-UHFFFAOYSA-N 0 3 315.420 4.162 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H]1CCCc2c(Cl)cccc21 ZINC000282397613 1057071200 /nfs/dbraw/zinc/07/12/00/1057071200.db2.gz KFTUHGFRFDSQRV-IAGOWNOFSA-N 0 3 315.848 4.118 20 0 DIADHN c1coc(-c2ccnc(C3=CCN(Cc4ccccc4)CC3)n2)c1 ZINC001241502071 1057071127 /nfs/dbraw/zinc/07/11/27/1057071127.db2.gz NVVRLLPAXSMJTL-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN CSCc1ccc(C2=CCN(Cc3ccccc3)CC2)nc1 ZINC001241502869 1057071661 /nfs/dbraw/zinc/07/16/61/1057071661.db2.gz XVZGSEHBHVMJJY-UHFFFAOYSA-N 0 3 310.466 4.234 20 0 DIADHN c1coc(-c2ccc(C3=CCN(Cc4ccccc4)CC3)nn2)c1 ZINC001241502651 1057071840 /nfs/dbraw/zinc/07/18/40/1057071840.db2.gz QTFDPPKPFLUMNU-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN Cc1cc2cnc(C3=CCN(Cc4ccccc4)CC3)nc2s1 ZINC001241502816 1057072046 /nfs/dbraw/zinc/07/20/46/1057072046.db2.gz WQHOLFBBTFBQEY-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN FC(F)c1ccc(C2=CCN(Cc3ccccc3)CC2)cn1 ZINC001241503922 1057073205 /nfs/dbraw/zinc/07/32/05/1057073205.db2.gz IXUIHGWKCSRFRD-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN CCOc1ncc(C2=CCN(Cc3ccccc3)CC2)cc1C ZINC001241504179 1057073283 /nfs/dbraw/zinc/07/32/83/1057073283.db2.gz AOTRUGNBGWXKAW-UHFFFAOYSA-N 0 3 308.425 4.078 20 0 DIADHN FC(F)c1cc(C2=CCN(Cc3ccccc3)CC2)ccn1 ZINC001241504077 1057073314 /nfs/dbraw/zinc/07/33/14/1057073314.db2.gz LUUAVYBTOMOOGQ-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN Fc1cc(C2=CCN(Cc3ccccc3)CC2)c(C2CC2)cn1 ZINC001241503338 1057073597 /nfs/dbraw/zinc/07/35/97/1057073597.db2.gz OTWOBTYTHUSMLE-UHFFFAOYSA-N 0 3 308.400 4.387 20 0 DIADHN CC(C)Oc1cccnc1C1=CCN(Cc2ccccc2)CC1 ZINC001241503333 1057074077 /nfs/dbraw/zinc/07/40/77/1057074077.db2.gz OLSCNLAVQKIYIF-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN CCc1cc(CN[C@H](C)c2ccc(OCCC(C)C)cc2)n[nH]1 ZINC000766004971 1057076254 /nfs/dbraw/zinc/07/62/54/1057076254.db2.gz UXCDJMRXEUASPI-OAHLLOKOSA-N 0 3 315.461 4.248 20 0 DIADHN c1ccc(CN2CC=C(c3ccc(OCC4CC4)nc3)CC2)cc1 ZINC001241506401 1057077348 /nfs/dbraw/zinc/07/73/48/1057077348.db2.gz DZOUUYFJVDQNFU-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN Cc1nc([C@H](C)NCCCc2c[nH]c3ccc(F)cc23)cs1 ZINC000282408393 1057077740 /nfs/dbraw/zinc/07/77/40/1057077740.db2.gz CDYOBBFMQXWINU-NSHDSACASA-N 0 3 317.433 4.355 20 0 DIADHN COc1c(F)ccc(-c2ccc3c(N)ccnc3c2)c1Cl ZINC001241516875 1057081920 /nfs/dbraw/zinc/08/19/20/1057081920.db2.gz RRSPHUHJXLBNPW-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN CN(Cc1coc(-c2ccccc2F)n1)C(C1CC1)C1CC1 ZINC000625122045 1057090767 /nfs/dbraw/zinc/09/07/67/1057090767.db2.gz ISFLAVSUSQDQEL-UHFFFAOYSA-N 0 3 300.377 4.101 20 0 DIADHN CN1CCC=C(c2cc(-c3ccc(C(F)(F)F)cc3)ccn2)C1 ZINC001241566040 1057092807 /nfs/dbraw/zinc/09/28/07/1057092807.db2.gz SQJBPVSRDQCGMA-UHFFFAOYSA-N 0 3 318.342 4.486 20 0 DIADHN CN1CCC=C(c2nnc(-c3ccc(F)cc3)c3ccccc32)C1 ZINC001241567474 1057092986 /nfs/dbraw/zinc/09/29/86/1057092986.db2.gz DMLQXTJHKZYZHQ-UHFFFAOYSA-N 0 3 319.383 4.155 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccccc1-n1ccnc1 ZINC000161775215 1057103369 /nfs/dbraw/zinc/10/33/69/1057103369.db2.gz LKVHNUDEKRGNLM-UHFFFAOYSA-N 0 3 322.456 4.010 20 0 DIADHN CCO[C@H](CN(C)[C@@H](C)c1sc(C(C)C)nc1C)C1CC1 ZINC000625263087 1057108786 /nfs/dbraw/zinc/10/87/86/1057108786.db2.gz BMQIIRXLXUYFSP-DZGCQCFKSA-N 0 3 310.507 4.383 20 0 DIADHN CCO[C@H](CN(C)[C@H](C)c1sc(C(C)C)nc1C)C1CC1 ZINC000625263096 1057109032 /nfs/dbraw/zinc/10/90/32/1057109032.db2.gz BMQIIRXLXUYFSP-UKRRQHHQSA-N 0 3 310.507 4.383 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(SC)nc2)ccc1F ZINC001241636673 1057117531 /nfs/dbraw/zinc/11/75/31/1057117531.db2.gz FGUKQADOKKYDBO-UHFFFAOYSA-N 0 3 304.434 4.451 20 0 DIADHN CC[C@@H](N[C@H](Cn1cccn1)c1ccccc1)c1ccccc1 ZINC000673715545 1057119890 /nfs/dbraw/zinc/11/98/90/1057119890.db2.gz ZNZFSEYLSMUOAP-WOJBJXKFSA-N 0 3 305.425 4.365 20 0 DIADHN CC(C)Oc1ccc(-c2cncc(CN3CCCC3)c2)c(F)c1 ZINC001241657054 1057124912 /nfs/dbraw/zinc/12/49/12/1057124912.db2.gz ZRKRVZJUUWYADA-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1noc2c1CCCC2 ZINC000673755857 1057126241 /nfs/dbraw/zinc/12/62/41/1057126241.db2.gz YAIDKULGNKUVTN-RDJZCZTQSA-N 0 3 310.441 4.007 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1n[nH]c2ccccc12 ZINC000673810937 1057133573 /nfs/dbraw/zinc/13/35/73/1057133573.db2.gz BLDPMKXSBJUPQA-GOSISDBHSA-N 0 3 323.440 4.449 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1[nH]nc2ccccc21 ZINC000673810937 1057133577 /nfs/dbraw/zinc/13/35/77/1057133577.db2.gz BLDPMKXSBJUPQA-GOSISDBHSA-N 0 3 323.440 4.449 20 0 DIADHN Fc1ccccc1-c1nc(CN(C2CCC2)C2CCC2)co1 ZINC000625446802 1057139622 /nfs/dbraw/zinc/13/96/22/1057139622.db2.gz TVQUYRKUEIQYBW-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN CCO[C@@H](CN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CC1 ZINC000625458186 1057142725 /nfs/dbraw/zinc/14/27/25/1057142725.db2.gz GJNFIJNVPHLRIS-ZBEGNZNMSA-N 0 3 321.852 4.024 20 0 DIADHN CCO[C@@H](CN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CC1 ZINC000625458182 1057142845 /nfs/dbraw/zinc/14/28/45/1057142845.db2.gz GJNFIJNVPHLRIS-BZNIZROVSA-N 0 3 321.852 4.024 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000673886270 1057145583 /nfs/dbraw/zinc/14/55/83/1057145583.db2.gz KLGQMQJJGADODP-OAHLLOKOSA-N 0 3 303.409 4.303 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)ncc32)s1 ZINC000766041721 1057146911 /nfs/dbraw/zinc/14/69/11/1057146911.db2.gz AWWQHWDRXLSASQ-IAQYHMDHSA-N 0 3 301.459 4.137 20 0 DIADHN Fc1ccc(-c2cnn(C3CCC3)c2)cc1CN1CCCCC1 ZINC001241724633 1057147790 /nfs/dbraw/zinc/14/77/90/1057147790.db2.gz HTFSYFLWOHEEID-UHFFFAOYSA-N 0 3 313.420 4.400 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H]1CC(C)(C)c2ccccc21 ZINC000766041692 1057148696 /nfs/dbraw/zinc/14/86/96/1057148696.db2.gz AOVSZDZPMGTJQK-MOPGFXCFSA-N 0 3 307.441 4.175 20 0 DIADHN CCCc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000766041330 1057148862 /nfs/dbraw/zinc/14/88/62/1057148862.db2.gz BHFOXMFAYZDPMB-KUHUBIRLSA-N 0 3 309.457 4.466 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc(C)c1F ZINC000766043796 1057152568 /nfs/dbraw/zinc/15/25/68/1057152568.db2.gz LIXNINOVCSOHJX-SUMWQHHRSA-N 0 3 313.420 4.269 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc(C(C)C)n3)cc2)CC1 ZINC001241741068 1057152915 /nfs/dbraw/zinc/15/29/15/1057152915.db2.gz UQJBZTYXWOLICN-UHFFFAOYSA-N 0 3 309.457 4.014 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4nscc4c3)cc2)CC1 ZINC001241743850 1057153871 /nfs/dbraw/zinc/15/38/71/1057153871.db2.gz JOAPHTYHLBHEDP-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4ncsc4c3)cc2)CC1 ZINC001241744162 1057153893 /nfs/dbraw/zinc/15/38/93/1057153893.db2.gz WVXIMEAVCIQRGB-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4ccncc43)cc2)CC1 ZINC001241743609 1057154283 /nfs/dbraw/zinc/15/42/83/1057154283.db2.gz OOLPUMSCTPVNLE-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCOCc1ccc(-c2ccc(N3CCN(CC)CC3)cc2)cc1 ZINC001241746500 1057155086 /nfs/dbraw/zinc/15/50/86/1057155086.db2.gz ZQRWRHCZHISEMG-UHFFFAOYSA-N 0 3 324.468 4.032 20 0 DIADHN CCCn1nc(C)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001241764955 1057161031 /nfs/dbraw/zinc/16/10/31/1057161031.db2.gz FNDXZFQENJERRQ-UHFFFAOYSA-N 0 3 301.409 4.003 20 0 DIADHN CCCn1nc(C)cc1-c1ccc(CN(CC)CC)c(F)c1 ZINC001241764934 1057161076 /nfs/dbraw/zinc/16/10/76/1057161076.db2.gz DNLMOJVDNORUMU-UHFFFAOYSA-N 0 3 303.425 4.249 20 0 DIADHN CCCn1nc(C)cc1-c1ccc(F)c(CN(CC)CC)c1 ZINC001241765504 1057161296 /nfs/dbraw/zinc/16/12/96/1057161296.db2.gz QDVDHYKSRKXOIF-UHFFFAOYSA-N 0 3 303.425 4.249 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnc(OC)cc2C)cc1F ZINC001241772833 1057161915 /nfs/dbraw/zinc/16/19/15/1057161915.db2.gz QJUCUHIQATVUSL-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN COc1cc(F)c(C(C)C)cc1-c1cccc(CN(C)C)n1 ZINC001241785854 1057162933 /nfs/dbraw/zinc/16/29/33/1057162933.db2.gz CVMFFAJKBUHHJM-UHFFFAOYSA-N 0 3 302.393 4.081 20 0 DIADHN COc1cc(F)c(C(C)C)cc1-c1cc(CN(C)C)ccn1 ZINC001241786527 1057163678 /nfs/dbraw/zinc/16/36/78/1057163678.db2.gz TYOYTLNFIVNKOF-UHFFFAOYSA-N 0 3 302.393 4.081 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H]1CCc2c1cccc2Cl ZINC000766046668 1057168374 /nfs/dbraw/zinc/16/83/74/1057168374.db2.gz ZPCZSEBUXGWWSW-ZWKOTPCHSA-N 0 3 313.832 4.093 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H]1CC[C@@H](C)c2ccccc21 ZINC000766046371 1057170920 /nfs/dbraw/zinc/17/09/20/1057170920.db2.gz XAXIWGRNVXQOGI-CWVNLOTRSA-N 0 3 307.441 4.391 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCc3nc(C)ncc32)c(C)s1 ZINC000766046282 1057171570 /nfs/dbraw/zinc/17/15/70/1057171570.db2.gz XGYBSWBJRJUXMU-MEDUHNTESA-N 0 3 301.459 4.192 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc2occc2s1 ZINC000674002545 1057173702 /nfs/dbraw/zinc/17/37/02/1057173702.db2.gz NPCPVVGTZMXIEN-UHFFFAOYSA-N 0 3 314.410 4.117 20 0 DIADHN CCc1csc([C@@H]2CCCN(Cc3ccc(CC)nc3)C2)n1 ZINC000347870144 1057174960 /nfs/dbraw/zinc/17/49/60/1057174960.db2.gz QPPPIWPXISHGGY-OAHLLOKOSA-N 0 3 315.486 4.043 20 0 DIADHN Cc1ccc(NC(=O)CC2CCC(F)(F)CC2)c(CN(C)C)c1 ZINC000625609282 1057175955 /nfs/dbraw/zinc/17/59/55/1057175955.db2.gz SDADWGZVHRHEAA-UHFFFAOYSA-N 0 3 324.415 4.211 20 0 DIADHN C[C@H]1CN(CCc2nsc3ccccc32)C[C@H](C)C1(F)F ZINC000625654465 1057183373 /nfs/dbraw/zinc/18/33/73/1057183373.db2.gz UBVMXYPQPWJQAO-RYUDHWBXSA-N 0 3 310.413 4.062 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OC(F)F)c2)C[C@@H](C)C1(F)F ZINC000625651992 1057183473 /nfs/dbraw/zinc/18/34/73/1057183473.db2.gz ZNDHNJPYAHCRCJ-GHMZBOCLSA-N 0 3 305.315 4.011 20 0 DIADHN COc1ccc(-c2cnn(C3CCCCC3)c2)cc1CN(C)C ZINC001241841337 1057185558 /nfs/dbraw/zinc/18/55/58/1057185558.db2.gz FRHNSANSLCNUQR-UHFFFAOYSA-N 0 3 313.445 4.126 20 0 DIADHN CN(C)[C@@H](CNc1nc2ccccc2s1)c1ccc(F)cc1 ZINC000059394244 1057191966 /nfs/dbraw/zinc/19/19/66/1057191966.db2.gz XOYQQJAZAVZMDB-HNNXBMFYSA-N 0 3 315.417 4.150 20 0 DIADHN COCCN(C)[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000134201440 1057192908 /nfs/dbraw/zinc/19/29/08/1057192908.db2.gz MVTFXWMMRJJRFK-AWEZNQCLSA-N 0 3 304.459 4.066 20 0 DIADHN C[C@H](CNCc1csc(-c2ccsc2)n1)c1ccncc1 ZINC000674070638 1057198625 /nfs/dbraw/zinc/19/86/25/1057198625.db2.gz RFDRHUJUAHXYSQ-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN COc1cc(Cl)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001241902843 1057205494 /nfs/dbraw/zinc/20/54/94/1057205494.db2.gz RENUTFTZIKQDOV-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000674249709 1057222172 /nfs/dbraw/zinc/22/21/72/1057222172.db2.gz GHKDSUZVWVFOSV-ZDUSSCGKSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)(C)c1cc(-c2ncnc3c2CCNC3)cc(C(C)(C)C)c1 ZINC001241971994 1057226520 /nfs/dbraw/zinc/22/65/20/1057226520.db2.gz YWILWQKZZONJBR-UHFFFAOYSA-N 0 3 323.484 4.384 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](n2ccnc2)C1 ZINC000674322178 1057230746 /nfs/dbraw/zinc/23/07/46/1057230746.db2.gz LTTNCOTXZGRTJB-SJORKVTESA-N 0 3 303.837 4.325 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](n2ccnc2)C1 ZINC000674322177 1057231386 /nfs/dbraw/zinc/23/13/86/1057231386.db2.gz LTTNCOTXZGRTJB-IRXDYDNUSA-N 0 3 303.837 4.325 20 0 DIADHN CCSC1(CNCc2ccc(CSC(F)F)o2)CC1 ZINC000700856481 1057235317 /nfs/dbraw/zinc/23/53/17/1057235317.db2.gz NXMIZPPURGAUNZ-UHFFFAOYSA-N 0 3 307.431 4.111 20 0 DIADHN C/C(=C\C(=O)Nc1ccc(C)cc1CN(C)C)c1ccccc1 ZINC000172133763 1057249622 /nfs/dbraw/zinc/24/96/22/1057249622.db2.gz SACJADISPJALJT-DTQAZKPQSA-N 0 3 308.425 4.099 20 0 DIADHN CC(C)P(CCN[C@@H](F)Oc1cccc(Cl)n1)C(C)C ZINC001162358029 1057255074 /nfs/dbraw/zinc/25/50/74/1057255074.db2.gz IYKRDNJSSQIJQA-CQSZACIVSA-N 0 3 320.776 4.255 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)CC1CCC1)c1ccc(Cl)cc1 ZINC001128771491 1057264685 /nfs/dbraw/zinc/26/46/85/1057264685.db2.gz LUMDSTYCMNTPJE-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2cccnc2N2CCCC2)cc1 ZINC000658099566 1057267013 /nfs/dbraw/zinc/26/70/13/1057267013.db2.gz CSYOCYOAZZDMCB-HXUWFJFHSA-N 0 3 323.484 4.485 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(-c3ccncc3)s1)CC2 ZINC000674675423 1057272902 /nfs/dbraw/zinc/27/29/02/1057272902.db2.gz CCPUXCNOLLFRGJ-SFHVURJKSA-N 0 3 321.449 4.291 20 0 DIADHN CC(C)CCN(CCC(C)C)CC(=O)Nc1ccccc1F ZINC000674714306 1057275775 /nfs/dbraw/zinc/27/57/75/1057275775.db2.gz NAQVEXGMEFQMAV-UHFFFAOYSA-N 0 3 308.441 4.158 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nc(-c2cccc(Cl)c2)no1 ZINC000674767055 1057281949 /nfs/dbraw/zinc/28/19/49/1057281949.db2.gz WTPLHGWLHGJCMX-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN CC[NH+](CC)Cc1ccncc1-c1ccc([O-])c(C(F)(F)F)c1 ZINC001242168306 1057285881 /nfs/dbraw/zinc/28/58/81/1057285881.db2.gz MMSISVODEVRWQJ-UHFFFAOYSA-N 0 3 324.346 4.315 20 0 DIADHN Nc1ccnc2cc(-c3ccc(O)c(C(F)(F)F)c3)ccc12 ZINC001242165665 1057286198 /nfs/dbraw/zinc/28/61/98/1057286198.db2.gz IDHWRZYDFKKVOI-UHFFFAOYSA-N 0 3 304.271 4.208 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC)c(O)c2)c1F ZINC001242177088 1057287723 /nfs/dbraw/zinc/28/77/23/1057287723.db2.gz XMVVNFJGXIFWCP-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN c1c2cccnc2oc1CN1Cc2ccccc2C2(CCC2)C1 ZINC000449314775 1057306993 /nfs/dbraw/zinc/30/69/93/1057306993.db2.gz FHMCSNLWUFRFJS-UHFFFAOYSA-N 0 3 304.393 4.265 20 0 DIADHN FC(F)(F)c1ccncc1-c1cnccc1CN1CCCCC1 ZINC001242279208 1057317973 /nfs/dbraw/zinc/31/79/73/1057317973.db2.gz YLVMVUFDYCESIW-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H]1CCc2ccc(O)cc21 ZINC000658242191 1057336649 /nfs/dbraw/zinc/33/66/49/1057336649.db2.gz YFLHPBRHYMLXNS-PMACEKPBSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@H](NC1CCC(C)(O)CC1)c1cc(Cl)sc1Cl ZINC000703537577 1057349049 /nfs/dbraw/zinc/34/90/49/1057349049.db2.gz UWSONLCETIDRSN-BVDKZHGWSA-N 0 3 308.274 4.399 20 0 DIADHN Cc1ccc(-c2ccc(CN)nc2)cc1OCc1ccccc1 ZINC001242387624 1057357018 /nfs/dbraw/zinc/35/70/18/1057357018.db2.gz YTPUQLGNDACAGI-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnc(OC)c(C)c2)c1F ZINC001242432837 1057366204 /nfs/dbraw/zinc/36/62/04/1057366204.db2.gz NTDPZGHGNHASLL-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2cc3cc(C)c(C)cc3o2)o1 ZINC000766136250 1057373340 /nfs/dbraw/zinc/37/33/40/1057373340.db2.gz CIJCFFXRMJINLW-GOEBONIOSA-N 0 3 313.397 4.335 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2cc(Cl)cc(Cl)c2)o1 ZINC000766142587 1057380388 /nfs/dbraw/zinc/38/03/88/1057380388.db2.gz PWWDDGBXMMDQIY-HZMBPMFUSA-N 0 3 314.212 4.279 20 0 DIADHN CC(C)[C@@H](NCCC(=O)OC(C)(C)C)c1ccccc1Cl ZINC001168758472 1057386266 /nfs/dbraw/zinc/38/62/66/1057386266.db2.gz SOWQLNKNSKEFTC-MRXNPFEDSA-N 0 3 311.853 4.359 20 0 DIADHN Cc1c(Cl)c(Cl)ccc1-c1cnccc1N1CCOCC1 ZINC001242516114 1057390745 /nfs/dbraw/zinc/39/07/45/1057390745.db2.gz CJZQTOPJFXJBRY-UHFFFAOYSA-N 0 3 323.223 4.200 20 0 DIADHN Cc1csc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)n1 ZINC000675642936 1057391070 /nfs/dbraw/zinc/39/10/70/1057391070.db2.gz GLKIJEOOCUMJBI-HNNXBMFYSA-N 0 3 311.454 4.312 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CN[C@H]1CCC(F)(F)C1 ZINC000675646139 1057392642 /nfs/dbraw/zinc/39/26/42/1057392642.db2.gz XBETUPDFPPDJSL-JTQLQIEISA-N 0 3 310.171 4.279 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cccc(O)c1 ZINC000675712386 1057401272 /nfs/dbraw/zinc/40/12/72/1057401272.db2.gz UFRVBQPVUSDNGG-IBGZPJMESA-N 0 3 306.409 4.349 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCCc1c(F)cccc1F ZINC000675753216 1057407995 /nfs/dbraw/zinc/40/79/95/1057407995.db2.gz CODXVBDXJRQMRO-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN C[C@H](NCc1ccc(OC[C@H]2CCCO2)cc1)c1ccsc1 ZINC000675747680 1057409021 /nfs/dbraw/zinc/40/90/21/1057409021.db2.gz FSZAUDLZXXPJHA-KBXCAEBGSA-N 0 3 317.454 4.157 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CSCc3ccccc31)CCC2 ZINC000675782757 1057414594 /nfs/dbraw/zinc/41/45/94/1057414594.db2.gz LTHRLEBVWUQERI-CVEARBPZSA-N 0 3 316.495 4.407 20 0 DIADHN COc1ccc2oc([C@H](C)NCc3ccc(O)cc3)c(C)c2c1 ZINC000675802585 1057416060 /nfs/dbraw/zinc/41/60/60/1057416060.db2.gz UUQJFYNMYPOSDW-ZDUSSCGKSA-N 0 3 311.381 4.306 20 0 DIADHN C[C@@H](N[C@H](CN1CCCCC1)c1ccccc1)c1cncs1 ZINC000675810160 1057417336 /nfs/dbraw/zinc/41/73/36/1057417336.db2.gz OKJCCTWKCKJXSR-NVXWUHKLSA-N 0 3 315.486 4.021 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CN2CCCCC2)c2ccccc2)o1 ZINC000675809248 1057417712 /nfs/dbraw/zinc/41/77/12/1057417712.db2.gz DZSBCESLOQNOTN-MJGOQNOKSA-N 0 3 312.457 4.466 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccc(-n2cccn2)cc1)c1ccccn1 ZINC000675815756 1057418756 /nfs/dbraw/zinc/41/87/56/1057418756.db2.gz DYFGUCZNAAOHOG-OXJNMPFZSA-N 0 3 320.440 4.459 20 0 DIADHN CC[C@@H](N[C@@H]1CCN(c2ccccc2)C1)c1cc(F)ccc1F ZINC000675829469 1057420891 /nfs/dbraw/zinc/42/08/91/1057420891.db2.gz TZQUQZROTIYDPV-DNVCBOLYSA-N 0 3 316.395 4.284 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(OCc3ccccc3)c2)n[nH]1 ZINC000675892051 1057427223 /nfs/dbraw/zinc/42/72/23/1057427223.db2.gz HMVNRJHKODCEDQ-INIZCTEOSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](Cn1cccn1)c1ccccc1)CC2 ZINC000675899700 1057428186 /nfs/dbraw/zinc/42/81/86/1057428186.db2.gz KAVZPMYVDGXKEC-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccoc1 ZINC000675883900 1057429849 /nfs/dbraw/zinc/42/98/49/1057429849.db2.gz DAXVXWPSXCPHHF-WFASDCNBSA-N 0 3 324.346 4.228 20 0 DIADHN CN(C)C(=O)Nc1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001242637821 1057431832 /nfs/dbraw/zinc/43/18/32/1057431832.db2.gz XUWXMEWEZJAEQK-UHFFFAOYSA-N 0 3 307.397 4.030 20 0 DIADHN c1ccc2c(c1)oc1ccc(-c3ccc4c(n3)CNCC4)cc12 ZINC001242640024 1057432548 /nfs/dbraw/zinc/43/25/48/1057432548.db2.gz HVDYPZQPGUATGQ-UHFFFAOYSA-N 0 3 300.361 4.294 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1cccc(C)c1C ZINC000675902879 1057433388 /nfs/dbraw/zinc/43/33/88/1057433388.db2.gz KCVRFJNJQQJADF-DNVCBOLYSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1cccc(C)c1C ZINC000675902881 1057433500 /nfs/dbraw/zinc/43/35/00/1057433500.db2.gz KCVRFJNJQQJADF-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCOc3cc(OC)ccc32)cc1 ZINC000675903056 1057433659 /nfs/dbraw/zinc/43/36/59/1057433659.db2.gz UIQXQTWVZHLXOV-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN CC[C@@H](Cc1cccs1)NCc1csc([C@H](C)OC)n1 ZINC000675929689 1057436321 /nfs/dbraw/zinc/43/63/21/1057436321.db2.gz AQCNNQNUVYHGRR-RYUDHWBXSA-N 0 3 310.488 4.023 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@@H](C)c3ccccc32)c(N2CCCC2)n1 ZINC000675931667 1057436728 /nfs/dbraw/zinc/43/67/28/1057436728.db2.gz SUHLJENNYHMAAT-QRWLVFNGSA-N 0 3 321.468 4.328 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CNCCC(F)(F)F)cc1 ZINC000705141871 1057437421 /nfs/dbraw/zinc/43/74/21/1057437421.db2.gz OIJVDXXXNMXQHA-UHFFFAOYSA-N 0 3 318.339 4.076 20 0 DIADHN Cc1ccc(CO)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001242669753 1057441208 /nfs/dbraw/zinc/44/12/08/1057441208.db2.gz GHVPHQNUJGWDGW-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN Cc1cccc2oc(CCNCc3cscc3Cl)nc21 ZINC000676419970 1057471585 /nfs/dbraw/zinc/47/15/85/1057471585.db2.gz ZCTPVNRMILOZNO-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN CCO[C@H]1CCCN(Cc2cccc(OC3CCCC3)c2)C1 ZINC000676414497 1057471879 /nfs/dbraw/zinc/47/18/79/1057471879.db2.gz WPUVMDNGESJUAV-IBGZPJMESA-N 0 3 303.446 4.009 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnnn1-c1ccccc1)C(C)C ZINC000676416958 1057471994 /nfs/dbraw/zinc/47/19/94/1057471994.db2.gz CAUHVBOMTAQCFD-HXUWFJFHSA-N 0 3 320.440 4.063 20 0 DIADHN Clc1cc(Cl)c(-c2ccc3c(n2)CNCC3)cc1Cl ZINC001242784712 1057475682 /nfs/dbraw/zinc/47/56/82/1057475682.db2.gz TYMBVPJHSLCTGA-UHFFFAOYSA-N 0 3 313.615 4.355 20 0 DIADHN CCO[C@@H](CCN[C@H](C)c1nc(C)sc1C)C1CCCC1 ZINC000676491668 1057476141 /nfs/dbraw/zinc/47/61/41/1057476141.db2.gz IQDGPYOKIJXHLK-WBMJQRKESA-N 0 3 310.507 4.396 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(C(F)(F)F)cc2)C(C)C)[nH]n1 ZINC000676644330 1057491813 /nfs/dbraw/zinc/49/18/13/1057491813.db2.gz QCSMWLHOFXUSLU-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN CCN1CCc2cc(-c3ccc4c(c3)C(=O)CCC4)ccc2C1 ZINC001242856935 1057502059 /nfs/dbraw/zinc/50/20/59/1057502059.db2.gz PHPJCFBTVCCQGO-UHFFFAOYSA-N 0 3 305.421 4.251 20 0 DIADHN CCOc1ccc(CN2CCC(Oc3ccccc3)CC2)cc1 ZINC000507994548 1057503672 /nfs/dbraw/zinc/50/36/72/1057503672.db2.gz HNSLDPFIRCIPDT-UHFFFAOYSA-N 0 3 311.425 4.129 20 0 DIADHN CCN1CC=C(c2cnc(-c3c[nH]c4ncccc34)c(C)c2)CC1 ZINC001242862979 1057504461 /nfs/dbraw/zinc/50/44/61/1057504461.db2.gz VWCPHXXWJDQRCZ-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN Cc1cc(-c2cccc(C3=NCCC3)c2)ccc1N1CCOCC1 ZINC001242885078 1057512647 /nfs/dbraw/zinc/51/26/47/1057512647.db2.gz DWLZTPVWUDVSLH-UHFFFAOYSA-N 0 3 320.436 4.082 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000625779756 1057516684 /nfs/dbraw/zinc/51/66/84/1057516684.db2.gz WNLCUYDOWGVSRQ-KGLIPLIRSA-N 0 3 313.413 4.066 20 0 DIADHN COc1ccc(-c2cncc(-c3ccccc3)c2)cc1CN(C)C ZINC001242915465 1057518998 /nfs/dbraw/zinc/51/89/98/1057518998.db2.gz ZDRFLFFWEPCPOI-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2N[C@H]1CCSc2ccc(F)cc21 ZINC000316381936 1057520690 /nfs/dbraw/zinc/52/06/90/1057520690.db2.gz DEXWWMUKOWISFZ-SJORKVTESA-N 0 3 314.429 4.222 20 0 DIADHN C[C@@]1(NCc2cccc3cccnc32)CCOc2ccccc21 ZINC000923023809 1057521450 /nfs/dbraw/zinc/52/14/50/1057521450.db2.gz BOMXSIPQGPSAGN-HXUWFJFHSA-N 0 3 304.393 4.022 20 0 DIADHN CCc1ncc(CN2CCC(c3cc4ccccc4[nH]3)CC2)o1 ZINC000929365752 1057528794 /nfs/dbraw/zinc/52/87/94/1057528794.db2.gz RDCOGZUSLCGITP-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN COCc1cncc(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001242957032 1057529515 /nfs/dbraw/zinc/52/95/15/1057529515.db2.gz KAEQLBDHJORZAT-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2nc3ccccc3s2)C12CCC2 ZINC000766165598 1057531368 /nfs/dbraw/zinc/53/13/68/1057531368.db2.gz BSPIRHPESYHMJE-DAXOMENPSA-N 0 3 316.470 4.295 20 0 DIADHN CCCC(C)(C)NCc1nc2cc(Br)ccc2o1 ZINC000671680189 1057532097 /nfs/dbraw/zinc/53/20/97/1057532097.db2.gz KBSHBEWZWIHRCC-UHFFFAOYSA-N 0 3 311.223 4.259 20 0 DIADHN Fc1ccc([C@H](NCc2cccc3c2OCC3)C2CCC2)cc1 ZINC001649133523 1057536000 /nfs/dbraw/zinc/53/60/00/1057536000.db2.gz GKIBGRABJSWCBN-LJQANCHMSA-N 0 3 311.400 4.392 20 0 DIADHN [O-]c1cc(-c2ccc(F)c(C[NH+]3CCCCC3)c2)ccc1F ZINC001243046814 1057556913 /nfs/dbraw/zinc/55/69/13/1057556913.db2.gz MDAGQTHUTOWRDO-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671760970 1057558376 /nfs/dbraw/zinc/55/83/76/1057558376.db2.gz QGGXUDHUURZSFS-MFKMUULPSA-N 0 3 314.410 4.323 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1Cl ZINC000671760536 1057558548 /nfs/dbraw/zinc/55/85/48/1057558548.db2.gz BFKYJOJOZHETAI-LLVKDONJSA-N 0 3 316.788 4.346 20 0 DIADHN Cc1[nH]c2ccc(CNCc3scnc3C3CC3)cc2c1C ZINC000486959631 1057571810 /nfs/dbraw/zinc/57/18/10/1057571810.db2.gz SHLBPQXALPCZIZ-UHFFFAOYSA-N 0 3 311.454 4.408 20 0 DIADHN CO[C@H](CNCc1scnc1C1CC1)c1cccc(Cl)c1 ZINC000487273890 1057572348 /nfs/dbraw/zinc/57/23/48/1057572348.db2.gz NTPVIMMHGIWUFK-CQSZACIVSA-N 0 3 322.861 4.151 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(C)cnc3F)c(C)c2)CC1 ZINC001243161585 1057590431 /nfs/dbraw/zinc/59/04/31/1057590431.db2.gz CGWKNMKHTJEVEO-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC000611321576 1057590571 /nfs/dbraw/zinc/59/05/71/1057590571.db2.gz PYEZGSBOPRAKSS-UKRRQHHQSA-N 0 3 323.864 4.312 20 0 DIADHN CC(C)(C)C(=O)Nc1ccccc1-c1ccc2c(N)ccnc2c1 ZINC001243188786 1057597875 /nfs/dbraw/zinc/59/78/75/1057597875.db2.gz FZYUPLLXAVNZOH-UHFFFAOYSA-N 0 3 319.408 4.469 20 0 DIADHN CCCCOc1ccc(-c2cc(CN(C)C)ccn2)cc1F ZINC001243199043 1057602035 /nfs/dbraw/zinc/60/20/35/1057602035.db2.gz DUWHJLLAJJZZMW-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CSc1cc(F)c(-c2ccc3c(N)ccnc3c2)c(F)c1 ZINC001243230790 1057614421 /nfs/dbraw/zinc/61/44/21/1057614421.db2.gz CVXLQWNDWJTMNG-UHFFFAOYSA-N 0 3 302.349 4.484 20 0 DIADHN COc1cccc(C2(NCCOC3CCCCCC3)CC2)c1 ZINC000510169192 1057615629 /nfs/dbraw/zinc/61/56/29/1057615629.db2.gz LOBHFEVWLHFLRQ-UHFFFAOYSA-N 0 3 303.446 4.013 20 0 DIADHN CSc1cc(F)c(-c2cnccc2/C=C/N(C)C)c(F)c1 ZINC001243239783 1057617262 /nfs/dbraw/zinc/61/72/62/1057617262.db2.gz MNPKZUHKTNPBHP-FNORWQNLSA-N 0 3 306.381 4.281 20 0 DIADHN CCCN(Cc1nc(-c2ccc(Cl)cc2)no1)CC1CC1 ZINC000052891323 1057630794 /nfs/dbraw/zinc/63/07/94/1057630794.db2.gz BOAAMHMZPJMCKU-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1nnc(-c2ccco2)o1 ZINC000052872049 1057631136 /nfs/dbraw/zinc/63/11/36/1057631136.db2.gz BFRUXHRXEXLZMO-UHFFFAOYSA-N 0 3 305.422 4.224 20 0 DIADHN Clc1ncccc1CN1CCCC[C@@H]1Cc1ccccc1 ZINC000727131299 1057634629 /nfs/dbraw/zinc/63/46/29/1057634629.db2.gz CCSPVKQCTSKERG-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN CCc1ncc(CNC[C@@H](c2ccccc2)C(F)(F)F)s1 ZINC000275017190 1057635602 /nfs/dbraw/zinc/63/56/02/1057635602.db2.gz RTBCQZIPCUDBOF-ZDUSSCGKSA-N 0 3 314.376 4.141 20 0 DIADHN COc1c(F)c(F)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001243304080 1057640198 /nfs/dbraw/zinc/64/01/98/1057640198.db2.gz XTPIKMNFPNDYAO-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccnc(OC)c2Cl)c1F ZINC001243318513 1057644192 /nfs/dbraw/zinc/64/41/92/1057644192.db2.gz DLZQHTFKRLENTR-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN COc1cccc(OC)c1-c1ccccc1-c1cc(N)c(C)cn1 ZINC001243329191 1057647697 /nfs/dbraw/zinc/64/76/97/1057647697.db2.gz KCPAUKVSHMFSSA-UHFFFAOYSA-N 0 3 320.392 4.323 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1cccc(Cl)c1)CC2 ZINC000279933207 1057649677 /nfs/dbraw/zinc/64/96/77/1057649677.db2.gz AWJATZDUELSDGO-MRXNPFEDSA-N 0 3 317.816 4.134 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1cccc(Cl)c1)CC2 ZINC000279933203 1057650157 /nfs/dbraw/zinc/65/01/57/1057650157.db2.gz AWJATZDUELSDGO-INIZCTEOSA-N 0 3 317.816 4.134 20 0 DIADHN CC(C)OCc1ccccc1CNCc1cnc2ccccc2c1 ZINC000727469151 1057655735 /nfs/dbraw/zinc/65/57/35/1057655735.db2.gz SIKATJNDRAZDEJ-UHFFFAOYSA-N 0 3 320.436 4.450 20 0 DIADHN CCC[C@H](N[C@H]1CN(C)Cc2ccccc21)c1ccsc1 ZINC000526947859 1057660106 /nfs/dbraw/zinc/66/01/06/1057660106.db2.gz VWURPHYKCPJNKA-ROUUACIJSA-N 0 3 300.471 4.366 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cccc(OCC(F)(F)F)c2)n1 ZINC001243390482 1057668079 /nfs/dbraw/zinc/66/80/79/1057668079.db2.gz WIXRKEZUXNYXBJ-UHFFFAOYSA-N 0 3 306.287 4.479 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCc4ccccc4CC3)o2)c1 ZINC000530624167 1057671271 /nfs/dbraw/zinc/67/12/71/1057671271.db2.gz WHOBBYPMFJNWSB-UHFFFAOYSA-N 0 3 322.383 4.082 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1CN(C)Cc2ccccc21 ZINC000534531714 1057673159 /nfs/dbraw/zinc/67/31/59/1057673159.db2.gz XNCULHBURLMAIR-IBGZPJMESA-N 0 3 314.860 4.233 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H]2CCc3ccc(Cl)cc32)C1 ZINC000536847026 1057674856 /nfs/dbraw/zinc/67/48/56/1057674856.db2.gz RFVJHSXOZNRFTO-MOPGFXCFSA-N 0 3 312.844 4.104 20 0 DIADHN CC(C)Oc1cc(F)c(F)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001243412733 1057675287 /nfs/dbraw/zinc/67/52/87/1057675287.db2.gz QBFUKSMAAYPDRM-UHFFFAOYSA-N 0 3 317.379 4.407 20 0 DIADHN CN(Cc1ccc(-c2ccnc(Cl)c2Cl)nc1)C1CC1 ZINC001243413723 1057676120 /nfs/dbraw/zinc/67/61/20/1057676120.db2.gz BBYOKTXRHPOHEB-UHFFFAOYSA-N 0 3 308.212 4.045 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1C[C@@H]1CC1(Cl)Cl ZINC000727931913 1057680532 /nfs/dbraw/zinc/68/05/32/1057680532.db2.gz UDMBRFSOHRFFMZ-AAEUAGOBSA-N 0 3 300.229 4.026 20 0 DIADHN COc1cccc2cc(CNCc3ccc4cccnc4c3)oc21 ZINC000728063726 1057684347 /nfs/dbraw/zinc/68/43/47/1057684347.db2.gz ZKYULNWLBRCTBV-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN Fc1cc(CNCc2ccc(Cl)cc2)ccc1OC(F)F ZINC000167954250 1057688338 /nfs/dbraw/zinc/68/83/38/1057688338.db2.gz PQJCXXNYWBJLJS-UHFFFAOYSA-N 0 3 315.722 4.370 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ncc[nH]1 ZINC000287267307 1057688408 /nfs/dbraw/zinc/68/84/08/1057688408.db2.gz CHHXODUCJGQLFS-MPKXVKKWSA-N 0 3 309.335 4.025 20 0 DIADHN c1ccc(-c2ccc(CN3CCCC[C@H]3C3OCCO3)cc2)cc1 ZINC000728173213 1057693974 /nfs/dbraw/zinc/69/39/74/1057693974.db2.gz BVPGDNXFOKYLLJ-FQEVSTJZSA-N 0 3 323.436 4.081 20 0 DIADHN CNC(=O)c1ccc(CN[C@H](C)c2csc3ccccc32)cc1 ZINC000730019975 1057701071 /nfs/dbraw/zinc/70/10/71/1057701071.db2.gz VQPHBDBRQMUYJH-CYBMUJFWSA-N 0 3 324.449 4.112 20 0 DIADHN Clc1cc(CN2CCC[C@@H](Cc3ccccc3)C2)ccn1 ZINC000730062392 1057701933 /nfs/dbraw/zinc/70/19/33/1057701933.db2.gz NEHFCSUOERHRBF-INIZCTEOSA-N 0 3 300.833 4.190 20 0 DIADHN CCOc1cc(-c2ccc3c(c2)CN(C)CC3)c(F)cc1F ZINC001243531021 1057704748 /nfs/dbraw/zinc/70/47/48/1057704748.db2.gz GUTSGQKXABOVTJ-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1cccc([O-])c1F ZINC001243546627 1057709070 /nfs/dbraw/zinc/70/90/70/1057709070.db2.gz RGAQAMGPBCJQNY-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1ccccc1C1CCN(C[C@H](O)c2ccccc2F)CC1 ZINC000730261266 1057709308 /nfs/dbraw/zinc/70/93/08/1057709308.db2.gz WXDKYLHCSWYKAX-FQEVSTJZSA-N 0 3 313.416 4.047 20 0 DIADHN Cc1ccccc1C1CCN(C[C@@H](O)c2cccc(F)c2)CC1 ZINC000730260405 1057709546 /nfs/dbraw/zinc/70/95/46/1057709546.db2.gz GUKLMPCKQHJQOJ-HXUWFJFHSA-N 0 3 313.416 4.047 20 0 DIADHN CCOc1ccc(Cl)c(-c2ncccc2CN2CCCC2)c1 ZINC001243566795 1057715765 /nfs/dbraw/zinc/71/57/65/1057715765.db2.gz LFZMUCHPGALALC-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN CCOc1ccc(Cl)c(-c2ccc(OC)c(CN(C)C)c2)c1 ZINC001243568325 1057716566 /nfs/dbraw/zinc/71/65/66/1057716566.db2.gz HCYFRLWBDZAWBK-UHFFFAOYSA-N 0 3 319.832 4.476 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cn1nccc1-c1ccncc1 ZINC000731444989 1057743905 /nfs/dbraw/zinc/74/39/05/1057743905.db2.gz JMGJGCGKQOYZNV-QGZVFWFLSA-N 0 3 320.440 4.203 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1ccccc1C(F)(F)F ZINC000731450324 1057743938 /nfs/dbraw/zinc/74/39/38/1057743938.db2.gz CNBWXTHVNPRWSM-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCc1ccccc1F ZINC000731669570 1057750880 /nfs/dbraw/zinc/75/08/80/1057750880.db2.gz KJGMINICDBBGEP-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1cccc(CNCc2ccc3c(c2)CCC(C)(C)O3)c1 ZINC000731675184 1057751159 /nfs/dbraw/zinc/75/11/59/1057751159.db2.gz NBWFTQLKWNJFEI-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN CC1(C)CCc2cc(CN[C@@H]3CCc4c3cccc4O)ccc2O1 ZINC000731678016 1057751857 /nfs/dbraw/zinc/75/18/57/1057751857.db2.gz FECVAQACUSILHF-GOSISDBHSA-N 0 3 323.436 4.273 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccnc3C3CC3)c(C)c2)CC1 ZINC001243685762 1057752406 /nfs/dbraw/zinc/75/24/06/1057752406.db2.gz SWLRAGPKPOUTIN-UHFFFAOYSA-N 0 3 319.452 4.438 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1ccc(Cl)nc1 ZINC000731752088 1057753170 /nfs/dbraw/zinc/75/31/70/1057753170.db2.gz RSGSPQDONNXYBI-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1CCc1cccs1 ZINC000731890039 1057757216 /nfs/dbraw/zinc/75/72/16/1057757216.db2.gz ODVBAFVHFZXJJP-IIDMSEBBSA-N 0 3 301.455 4.141 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1c1ccccc1C ZINC000731871013 1057758185 /nfs/dbraw/zinc/75/81/85/1057758185.db2.gz UOEUGHAISYVNHH-IBGZPJMESA-N 0 3 316.489 4.171 20 0 DIADHN S=c1oc2ccccc2n1CN1CC[C@@H](c2ccco2)C1 ZINC000732183263 1057769447 /nfs/dbraw/zinc/76/94/47/1057769447.db2.gz NRRZSLWYWLFNEX-GFCCVEGCSA-N 0 3 300.383 4.004 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CC[C@@H](c4ccco4)C3)o2)c1 ZINC000732205543 1057770476 /nfs/dbraw/zinc/77/04/76/1057770476.db2.gz JOFLOYITXJJYOX-GOEBONIOSA-N 0 3 323.396 4.189 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnc(F)cc3C)c(C)c2)CC1 ZINC001243757109 1057771963 /nfs/dbraw/zinc/77/19/63/1057771963.db2.gz PDJGQINMJNRWAD-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](C)c1cc(C)sc1C ZINC000732268152 1057772110 /nfs/dbraw/zinc/77/21/10/1057772110.db2.gz CTELUKFYXMRJAP-CQSZACIVSA-N 0 3 310.507 4.054 20 0 DIADHN Cc1cc(F)ncc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001243762692 1057773172 /nfs/dbraw/zinc/77/31/72/1057773172.db2.gz YWGMMNPUORKSQD-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CN(C)Cc1cccc(-c2c(F)c(Cl)cc(Cl)c2F)n1 ZINC001243763470 1057773634 /nfs/dbraw/zinc/77/36/34/1057773634.db2.gz PNQAQSRMLZNMNQ-UHFFFAOYSA-N 0 3 317.166 4.395 20 0 DIADHN Cc1c(F)nccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001243782890 1057778404 /nfs/dbraw/zinc/77/84/04/1057778404.db2.gz WEHCJHGCKVMMJX-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CO[C@@H]1CC=C(c2ccc(CN3CCCCC3)c(F)c2)CC1 ZINC001243816508 1057786186 /nfs/dbraw/zinc/78/61/86/1057786186.db2.gz NOUBBJXUDWXICR-GOSISDBHSA-N 0 3 303.421 4.394 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)c3ccccc3C)o2)cc1 ZINC000732822396 1057788132 /nfs/dbraw/zinc/78/81/32/1057788132.db2.gz MSKOSDSQNPCLRW-FQEVSTJZSA-N 0 3 321.420 4.387 20 0 DIADHN C[C@@H](NCCCOCCc1ccccc1)c1c(F)cccc1F ZINC000732917516 1057790473 /nfs/dbraw/zinc/79/04/73/1057790473.db2.gz WFCMXOTXWYHVJG-OAHLLOKOSA-N 0 3 319.395 4.265 20 0 DIADHN OCCc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)cc1 ZINC001243852552 1057792757 /nfs/dbraw/zinc/79/27/57/1057792757.db2.gz UGMULXZPWBQEND-UHFFFAOYSA-N 0 3 313.416 4.013 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc4c3CCN4)c(C)c2)CC1 ZINC001243852991 1057792903 /nfs/dbraw/zinc/79/29/03/1057792903.db2.gz OZACQTLUAQKAHT-UHFFFAOYSA-N 0 3 319.452 4.134 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cn1nccc1-c1ccncc1 ZINC000732979226 1057794887 /nfs/dbraw/zinc/79/48/87/1057794887.db2.gz JGSIZGBMPKWZKG-FQEVSTJZSA-N 0 3 320.440 4.232 20 0 DIADHN CSc1cc(F)c(-c2ccc(CN(C)C3CC3)cn2)cc1F ZINC001243932586 1057811340 /nfs/dbraw/zinc/81/13/40/1057811340.db2.gz PCSQUGPRQYFYSS-UHFFFAOYSA-N 0 3 320.408 4.343 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2cccnc2Cl)cc1 ZINC000733311882 1057812028 /nfs/dbraw/zinc/81/20/28/1057812028.db2.gz XLVBYSDICBCZSM-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN Fc1cc(-c2cccc3[nH]cnc32)ccc1CN1CCCCC1 ZINC001243936254 1057813076 /nfs/dbraw/zinc/81/30/76/1057813076.db2.gz XKJMHMBUUITQIQ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4c(c3)OCCN4C)cc21 ZINC001243944294 1057815600 /nfs/dbraw/zinc/81/56/00/1057815600.db2.gz QLUVGWAQTXZOLM-UHFFFAOYSA-N 0 3 320.436 4.183 20 0 DIADHN Nc1nc2ccc(-c3c(Cl)cccc3C(F)(F)F)cc2[nH]1 ZINC001243964833 1057822409 /nfs/dbraw/zinc/82/24/09/1057822409.db2.gz KXJRXOBXLIMCDE-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2cc(-c3c(Cl)cccc3C(F)(F)F)ccc2[nH]1 ZINC001243964833 1057822414 /nfs/dbraw/zinc/82/24/14/1057822414.db2.gz KXJRXOBXLIMCDE-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Fc1cc(-c2ccc(-c3ncc[nH]3)cc2)ccc1CN1CCCC1 ZINC001243979399 1057827884 /nfs/dbraw/zinc/82/78/84/1057827884.db2.gz HPEQFHQBYZIFBS-UHFFFAOYSA-N 0 3 321.399 4.479 20 0 DIADHN COc1cc(O)ccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001243985132 1057829519 /nfs/dbraw/zinc/82/95/19/1057829519.db2.gz LWIUYSRBWYTSES-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCOc1ccc(-c2cccc(CN(C)C)n2)c(C(F)(F)F)c1 ZINC001244044815 1057847454 /nfs/dbraw/zinc/84/74/54/1057847454.db2.gz MVWYJIOSWBGZQT-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN Cc1ccc(-c2ccccc2)cc1-c1ccc2c(n1)OCCNC2 ZINC001244057791 1057852550 /nfs/dbraw/zinc/85/25/50/1057852550.db2.gz CRERTYUHLWCXIN-UHFFFAOYSA-N 0 3 316.404 4.206 20 0 DIADHN Nc1ccnc2cc(-c3ccc(OCC(F)F)cc3)ccc12 ZINC001244067714 1057855751 /nfs/dbraw/zinc/85/57/51/1057855751.db2.gz LROFNPFJLFGTLJ-UHFFFAOYSA-N 0 3 300.308 4.128 20 0 DIADHN Nc1c(F)c(F)c(F)cc1-c1cccc(CN2CCCC2)c1F ZINC001244178607 1057892173 /nfs/dbraw/zinc/89/21/73/1057892173.db2.gz IAJHSJSUOVOFEE-UHFFFAOYSA-N 0 3 324.321 4.088 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(F)c(CO)c(F)c2)cc1F ZINC001244341692 1057937032 /nfs/dbraw/zinc/93/70/32/1057937032.db2.gz AXVUFYCZTWPFAQ-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN COc1cc(F)c(-c2cncc(CN3CCCC3)c2)cc1Cl ZINC001244351005 1057939894 /nfs/dbraw/zinc/93/98/94/1057939894.db2.gz MTWLQSCDABEDTR-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COc1cc(F)c(-c2cnccc2/C=C/N(C)C)cc1Cl ZINC001244353612 1057940935 /nfs/dbraw/zinc/94/09/35/1057940935.db2.gz PQZLJJBPXZPZSU-FNORWQNLSA-N 0 3 306.768 4.082 20 0 DIADHN Cc1ccc(C)c(NC(=O)c2ccc(-c3cc(N)ccn3)cc2)c1 ZINC001244385067 1057948139 /nfs/dbraw/zinc/94/81/39/1057948139.db2.gz OKUIXHHVFSCUTR-UHFFFAOYSA-N 0 3 317.392 4.200 20 0 DIADHN CN(C)Cc1ccnc(-c2cc(-c3ccccc3)cnc2F)c1 ZINC001244459289 1057963945 /nfs/dbraw/zinc/96/39/45/1057963945.db2.gz RGIZMFQXNUYBKW-UHFFFAOYSA-N 0 3 307.372 4.011 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1cccc2c1C1(C=C2)CC[NH2+]CC1 ZINC001244470088 1057968734 /nfs/dbraw/zinc/96/87/34/1057968734.db2.gz ZFNLSRPPZXPBRN-UHFFFAOYSA-N 0 3 311.812 4.361 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(-c2ccccc2)cnc1F ZINC001244470701 1057968853 /nfs/dbraw/zinc/96/88/53/1057968853.db2.gz UMPAJMJFWHCAQO-PKNBQFBNSA-N 0 3 319.383 4.482 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(C)cc2CO)cc1F ZINC001244490938 1057973869 /nfs/dbraw/zinc/97/38/69/1057973869.db2.gz BKOYJPJZOIKCIA-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN Fc1cc(Cl)cc(Cl)c1-c1ccc2c(c1)COC21CNC1 ZINC001244534679 1057988458 /nfs/dbraw/zinc/98/84/58/1057988458.db2.gz FQCCEZHPHOGGAE-UHFFFAOYSA-N 0 3 324.182 4.128 20 0 DIADHN CN(C)Cc1ccc(-c2ccc(NCc3ccccc3)cc2)nc1 ZINC001244645051 1058019092 /nfs/dbraw/zinc/01/90/92/1058019092.db2.gz YYTLNKJOPQSXIF-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN CCc1cc(-c2ccc(F)c(CN3CCCC3)c2)ccc1CO ZINC001244650150 1058019923 /nfs/dbraw/zinc/01/99/23/1058019923.db2.gz HOKINBVDNACECK-UHFFFAOYSA-N 0 3 313.416 4.143 20 0 DIADHN Cc1cc(CO)cc(C)c1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001244696837 1058028768 /nfs/dbraw/zinc/02/87/68/1058028768.db2.gz MQNMYZHALQBPST-UHFFFAOYSA-N 0 3 307.437 4.464 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(C)c(CO)c(C)c2)ccc1F ZINC001244712103 1058033195 /nfs/dbraw/zinc/03/31/95/1058033195.db2.gz IOJZOVONBXDGAM-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(Cl)cc2C(F)(F)F)c1 ZINC001244772868 1058045481 /nfs/dbraw/zinc/04/54/81/1058045481.db2.gz IOBNGLLZTVRGBC-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN Cc1ccc(-c2ccc3c(n2)OCCNC3)cc1-c1ccccc1 ZINC001244785090 1058047804 /nfs/dbraw/zinc/04/78/04/1058047804.db2.gz SVUWCFVGJSJSIG-UHFFFAOYSA-N 0 3 316.404 4.206 20 0 DIADHN Cc1ccc(-c2ccc3c(n2)CNCC3)cc1-c1ccccc1 ZINC001244784440 1058048109 /nfs/dbraw/zinc/04/81/09/1058048109.db2.gz MOLGFFIFJWPVFA-UHFFFAOYSA-N 0 3 300.405 4.370 20 0 DIADHN CCOc1cc(C)c(C)cc1-c1ccc(OC)c(CN(C)C)c1 ZINC001245026666 1058096925 /nfs/dbraw/zinc/09/69/25/1058096925.db2.gz VLWZBJUZVHVMNQ-UHFFFAOYSA-N 0 3 313.441 4.439 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCC3)c2)c(Cl)cn1 ZINC001245040154 1058100227 /nfs/dbraw/zinc/10/02/27/1058100227.db2.gz PVWXOEIVPRHJRB-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CCOc1ccc(Cl)cc1-c1ccc(OC)c(CN(C)C)c1 ZINC001245111044 1058116447 /nfs/dbraw/zinc/11/64/47/1058116447.db2.gz BSFIZQLDKBBDNP-UHFFFAOYSA-N 0 3 319.832 4.476 20 0 DIADHN c1ncn(Cc2ccccc2)c1-c1ccc(C2=NCCC2)cc1 ZINC001245197758 1058126267 /nfs/dbraw/zinc/12/62/67/1058126267.db2.gz AETFGWBBBDAOMX-UHFFFAOYSA-N 0 3 301.393 4.181 20 0 DIADHN Nc1ccncc1-c1ccccc1OCc1cccc(Cl)c1 ZINC001245213254 1058128492 /nfs/dbraw/zinc/12/84/92/1058128492.db2.gz AKXQHDKPPUXHBM-UHFFFAOYSA-N 0 3 310.784 4.394 20 0 DIADHN CCOc1cc(-c2cccc(CN(CC)CC)c2F)ccn1 ZINC001245269004 1058137227 /nfs/dbraw/zinc/13/72/27/1058137227.db2.gz HYMQSFYZSNDRKS-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN NCc1cc(-c2ccc(-c3nc4ccccc4o3)cc2)ccn1 ZINC001245320602 1058145259 /nfs/dbraw/zinc/14/52/59/1058145259.db2.gz AGHPNLHYYUVNKK-UHFFFAOYSA-N 0 3 301.349 4.016 20 0 DIADHN CCN1CC=C(c2cnc(-c3c[nH]c4cnccc34)c(C)c2)CC1 ZINC001245393941 1058159189 /nfs/dbraw/zinc/15/91/89/1058159189.db2.gz PDZDWTDAJZVDCH-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN CC(F)(F)c1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001245421929 1058163869 /nfs/dbraw/zinc/16/38/69/1058163869.db2.gz ARONGAMOULPYCF-KRWDZBQOSA-N 0 3 307.384 4.066 20 0 DIADHN Cc1ccc2ccccc2c1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245423566 1058164181 /nfs/dbraw/zinc/16/41/81/1058164181.db2.gz ICFWQSRCVQIKDA-IBGZPJMESA-N 0 3 307.437 4.416 20 0 DIADHN c1cncc(-c2ccc(C3=CC[C@H](N4CCOCC4)CC3)cc2)c1 ZINC001245427774 1058164511 /nfs/dbraw/zinc/16/45/11/1058164511.db2.gz ZEZZWDFSSQJEDE-NRFANRHFSA-N 0 3 320.436 4.017 20 0 DIADHN COc1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1C(C)C ZINC001245425981 1058164515 /nfs/dbraw/zinc/16/45/15/1058164515.db2.gz HETYJGXQBZFBFP-SFHVURJKSA-N 0 3 315.457 4.087 20 0 DIADHN COc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1C(C)C ZINC001245425976 1058164706 /nfs/dbraw/zinc/16/47/06/1058164706.db2.gz HETYJGXQBZFBFP-GOSISDBHSA-N 0 3 315.457 4.087 20 0 DIADHN Fc1ccc2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc2c1 ZINC001245427285 1058164874 /nfs/dbraw/zinc/16/48/74/1058164874.db2.gz WBULQTRBDUCSHQ-FQEVSTJZSA-N 0 3 311.400 4.247 20 0 DIADHN Cc1cc2ccccc2c(C2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001245430871 1058165353 /nfs/dbraw/zinc/16/53/53/1058165353.db2.gz VSFARTWMBGRXCW-LJQANCHMSA-N 0 3 307.437 4.416 20 0 DIADHN CCN(CC)Cc1cc(-c2c[nH]c3ncc(F)cc23)ccc1F ZINC001245433798 1058165787 /nfs/dbraw/zinc/16/57/87/1058165787.db2.gz XWUHLGJFHLTGRL-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(F)c(N)c2C)c1F ZINC001245464408 1058172312 /nfs/dbraw/zinc/17/23/12/1058172312.db2.gz QVQVDUVCCSLSJA-UHFFFAOYSA-N 0 3 304.384 4.364 20 0 DIADHN Cn1cc(-c2ccc(CN3CCCCC3)c(F)c2)c2ccncc21 ZINC001245490869 1058182364 /nfs/dbraw/zinc/18/23/64/1058182364.db2.gz DCPAKTQUWHNWOB-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CCN(CC)Cc1cccc(-c2cn(C)c3cnccc23)c1F ZINC001245491514 1058182698 /nfs/dbraw/zinc/18/26/98/1058182698.db2.gz FWFHKUINBKOIDD-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCCCCCN(C)CC(=O)NC(C)(C)c1ccc(CC)cc1 ZINC001545235412 1058190129 /nfs/dbraw/zinc/19/01/29/1058190129.db2.gz CHRJPNZYXSRYPU-UHFFFAOYSA-N 0 3 318.505 4.112 20 0 DIADHN Nc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c(Cl)c1 ZINC001245509765 1058191187 /nfs/dbraw/zinc/19/11/87/1058191187.db2.gz OOYNJDAHGSEDBY-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CCC[C@H](C)[C@@H]2C)o1 ZINC001527769284 1058235919 /nfs/dbraw/zinc/23/59/19/1058235919.db2.gz POZWHIAWWUORJQ-OFQRWUPVSA-N 0 3 307.434 4.103 20 0 DIADHN COC(=O)c1ccc2[nH]c(-c3cccc(C4=NCCC4)c3)cc2c1 ZINC001245585658 1058254194 /nfs/dbraw/zinc/25/41/94/1058254194.db2.gz HOHQBEBCTFWESH-UHFFFAOYSA-N 0 3 318.376 4.204 20 0 DIADHN O=C1CCCCc2ccc(-c3ccc(CN4CCCC4)cn3)cc21 ZINC001245597182 1058271011 /nfs/dbraw/zinc/27/10/11/1058271011.db2.gz JYJUYVHBZAOKFP-UHFFFAOYSA-N 0 3 320.436 4.254 20 0 DIADHN Cc1nc([C@H]2CCCCN2C[C@@H](O)c2ccccc2)sc1C ZINC001548900133 1058275948 /nfs/dbraw/zinc/27/59/48/1058275948.db2.gz AQQITIAYBRMTCL-IAGOWNOFSA-N 0 3 316.470 4.021 20 0 DIADHN c1coc(-c2ccc(-c3cncc(CN4CCCCC4)c3)cc2)n1 ZINC001245623036 1058294498 /nfs/dbraw/zinc/29/44/98/1058294498.db2.gz VZKJSBKVPQFJEA-UHFFFAOYSA-N 0 3 319.408 4.390 20 0 DIADHN Cc1ccc(CN[C@H]2CCCO[C@@H]2c2ccc(Cl)cc2)nc1 ZINC001549766207 1058302944 /nfs/dbraw/zinc/30/29/44/1058302944.db2.gz HRORJBBNDNQJHO-ZWKOTPCHSA-N 0 3 316.832 4.053 20 0 DIADHN Cc1cc(CN[C@@H]2CCCO[C@@H]2c2ccc(Cl)cc2)sn1 ZINC001550129968 1058318107 /nfs/dbraw/zinc/31/81/07/1058318107.db2.gz RFHSIDSUMGSYJY-HZPDHXFCSA-N 0 3 322.861 4.115 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2N[C@@H](C)c1cnc(Cl)n1C ZINC001550520036 1058342868 /nfs/dbraw/zinc/34/28/68/1058342868.db2.gz DRQLCTAERUDDRF-WFASDCNBSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@@H]3O[C@@H](c4ccccc4)C[C@H]32)c1 ZINC001550536695 1058345485 /nfs/dbraw/zinc/34/54/85/1058345485.db2.gz KELXCNSNYHCRFD-QHAWAJNXSA-N 0 3 322.452 4.193 20 0 DIADHN Cc1nocc1[C@H](C)N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1 ZINC001550520674 1058347100 /nfs/dbraw/zinc/34/71/00/1058347100.db2.gz CSKZVMIHWAGEOT-JECHBYEQSA-N 0 3 320.820 4.207 20 0 DIADHN CN(C)Cc1ccnc(-c2cccc(C(F)(F)F)c2Cl)c1 ZINC001245711089 1058382307 /nfs/dbraw/zinc/38/23/07/1058382307.db2.gz MGSCSFODZYGZHF-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN C[C@@H]1CN(C[C@H]2CC2(Cl)Cl)CC[C@@H](c2ccccc2)O1 ZINC001551254273 1058383571 /nfs/dbraw/zinc/38/35/71/1058383571.db2.gz BIAKEAPNSVRTFU-YUELXQCFSA-N 0 3 314.256 4.032 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001528334174 1058396239 /nfs/dbraw/zinc/39/62/39/1058396239.db2.gz BUWLPEDPFFKPDM-SJLPKXTDSA-N 0 3 316.489 4.112 20 0 DIADHN COc1ccc(-c2ccc(Cl)c(F)c2F)cc1CN(C)C ZINC001245740927 1058422799 /nfs/dbraw/zinc/42/27/99/1058422799.db2.gz XDDOSXWFQIVNGS-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN CCN(C(=O)[C@H]1CCCN1C(C)C)c1ccc2ccccc2c1 ZINC001519691556 1058461572 /nfs/dbraw/zinc/46/15/72/1058461572.db2.gz KJJWDULRFNULGP-LJQANCHMSA-N 0 3 310.441 4.066 20 0 DIADHN COc1c(F)c(Cl)ccc1-c1ncccc1CN1CCCC1 ZINC001245799859 1058481297 /nfs/dbraw/zinc/48/12/97/1058481297.db2.gz HBWUCVOJUOFNAO-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COc1ccc(-c2ccc(Cl)c(F)c2OC)cc1CN(C)C ZINC001245805229 1058487393 /nfs/dbraw/zinc/48/73/93/1058487393.db2.gz XKTDUYHKOYORAX-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN COc1ccc(Cl)cc1-c1cncc(CN2CCCCC2)c1 ZINC001245812756 1058498223 /nfs/dbraw/zinc/49/82/23/1058498223.db2.gz SBADHVAOJLQRTC-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN COc1ccc(Cl)cc1-c1cnccc1CN1CCCCC1 ZINC001245814932 1058500989 /nfs/dbraw/zinc/50/09/89/1058500989.db2.gz ZQBVLLYEIKMKDA-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2cccc3[nH]ccc32)CC1 ZINC001553875004 1058502729 /nfs/dbraw/zinc/50/27/29/1058502729.db2.gz HIJLVMAUZOVQQW-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN c1oc(-c2ccccn2)nc1CN[C@H]1CCC[C@H]1c1ccccc1 ZINC001553868988 1058503486 /nfs/dbraw/zinc/50/34/86/1058503486.db2.gz WTQXZSDKDICOHB-ROUUACIJSA-N 0 3 319.408 4.163 20 0 DIADHN CC1(C)CCC(c2ccc(-c3ncnc4c3CCNC4)cc2)CC1 ZINC001245819295 1058507962 /nfs/dbraw/zinc/50/79/62/1058507962.db2.gz ATJQMHIXNBMYIH-UHFFFAOYSA-N 0 3 321.468 4.473 20 0 DIADHN CCc1ncc(CN2CCC(c3cccc4[nH]ccc43)CC2)o1 ZINC001553899768 1058508562 /nfs/dbraw/zinc/50/85/62/1058508562.db2.gz OWHWCIZPCVVKMI-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN CC(C)n1cncc1CN1CCC(c2cccc3[nH]ccc32)CC1 ZINC001553880406 1058508984 /nfs/dbraw/zinc/50/89/84/1058508984.db2.gz VTDPCUGBRWISMN-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN CC1(C)CCC(c2ccc(-c3ncc4c(n3)CNCC4)cc2)CC1 ZINC001245820082 1058510890 /nfs/dbraw/zinc/51/08/90/1058510890.db2.gz WKXARUZUZIOEKA-UHFFFAOYSA-N 0 3 321.468 4.473 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NCc1cc2cnccc2o1 ZINC001553969115 1058512638 /nfs/dbraw/zinc/51/26/38/1058512638.db2.gz RQIQUAYQUSPBBW-BFUOFWGJSA-N 0 3 322.408 4.250 20 0 DIADHN C[C@H]1CN(Cc2cc3cccnc3o2)CC[C@@H](c2ccccc2)O1 ZINC001553969892 1058513832 /nfs/dbraw/zinc/51/38/32/1058513832.db2.gz ZJDFTCJXQZMADJ-KXBFYZLASA-N 0 3 322.408 4.180 20 0 DIADHN CCOc1c(F)cc(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001245821944 1058513781 /nfs/dbraw/zinc/51/37/81/1058513781.db2.gz NGYKIQDGWHADAL-UHFFFAOYSA-N 0 3 308.784 4.001 20 0 DIADHN CC[C@@H](C)c1cccc(NC(=O)NC[C@H]2CCN2C(C)(C)C)c1 ZINC001563083439 1058536338 /nfs/dbraw/zinc/53/63/38/1058536338.db2.gz GRFUGRQNTJOVTC-RHSMWYFYSA-N 0 3 317.477 4.194 20 0 DIADHN CCCCC[C@@H](C(=O)N1CCN(C2CCCCC2)CC1)C(C)C ZINC001520126753 1058546316 /nfs/dbraw/zinc/54/63/16/1058546316.db2.gz NRWGOVIQUFYHFG-LJQANCHMSA-N 0 3 322.537 4.316 20 0 DIADHN CC(C)Oc1c(F)cc(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001245867078 1058575649 /nfs/dbraw/zinc/57/56/49/1058575649.db2.gz GNRKMDBEZHEOSE-UHFFFAOYSA-N 0 3 319.767 4.392 20 0 DIADHN CC(C)Oc1c(F)cc(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001245867078 1058575666 /nfs/dbraw/zinc/57/56/66/1058575666.db2.gz GNRKMDBEZHEOSE-UHFFFAOYSA-N 0 3 319.767 4.392 20 0 DIADHN COc1ccc(-c2ccccc2CN2CCCCC2)c(Cl)n1 ZINC001245873129 1058582571 /nfs/dbraw/zinc/58/25/71/1058582571.db2.gz FMCVEUJHIJFYGO-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c(Cl)n1 ZINC001245878719 1058586391 /nfs/dbraw/zinc/58/63/91/1058586391.db2.gz LUCMDSJMNSONSO-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN C/C=C(/C=C/C(=O)N1c2ccc(C)cc2[C@H]2CN(C)CC[C@H]21)CC ZINC001556879595 1058663139 /nfs/dbraw/zinc/66/31/39/1058663139.db2.gz SKMKVLXPUKSPFZ-JSXLLOBCSA-N 0 3 324.468 4.042 20 0 DIADHN CCCCC[C@H](C)CC(=O)Nc1ccc(CN(C)C)cc1F ZINC001557008835 1058684720 /nfs/dbraw/zinc/68/47/20/1058684720.db2.gz SSDYQDJTIGJIFR-AWEZNQCLSA-N 0 3 308.441 4.432 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc2c1ccn2C(F)F)N(CC)CC ZINC001557449160 1058751734 /nfs/dbraw/zinc/75/17/34/1058751734.db2.gz QZAWYSJQQXQSPB-AWEZNQCLSA-N 0 3 323.387 4.095 20 0 DIADHN CCN(C(=O)c1ccc(C(C)C)c(Cl)c1)[C@H]1CCN(CC)C1 ZINC001557444671 1058752381 /nfs/dbraw/zinc/75/23/81/1058752381.db2.gz CRHOWGOTZFUREB-HNNXBMFYSA-N 0 3 322.880 4.020 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@@H]1CCN1C1CCCC1 ZINC001557559606 1058767071 /nfs/dbraw/zinc/76/70/71/1058767071.db2.gz AGENWLNQBZWZMP-HKUYNNGSSA-N 0 3 322.537 4.458 20 0 DIADHN CC(C)CCCCCC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001529632810 1058778184 /nfs/dbraw/zinc/77/81/84/1058778184.db2.gz OZXDRNMWBMNAQU-XLIONFOSSA-N 0 3 318.505 4.401 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)C[C@H](C)C1CCCCC1 ZINC001557888667 1058807836 /nfs/dbraw/zinc/80/78/36/1058807836.db2.gz UBPXJWAVLCIVJD-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN CN(CCSc1nc2c(cccc2F)o1)Cc1ccccc1 ZINC001559178143 1059061523 /nfs/dbraw/zinc/06/15/23/1059061523.db2.gz AUVATDZOEAEHMU-UHFFFAOYSA-N 0 3 316.401 4.191 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCc2cc(N)ccc2C1 ZINC001246887226 1059155888 /nfs/dbraw/zinc/15/58/88/1059155888.db2.gz KPPPUNCMIHKMAK-GFCCVEGCSA-N 0 3 318.823 4.051 20 0 DIADHN CCSC[C@H](C)N1CC[C@H]2Nc3ccc(Cl)cc3[C@@H]2C1 ZINC001247003036 1059233954 /nfs/dbraw/zinc/23/39/54/1059233954.db2.gz MPIFPBUIODHUFA-HZUKXOBISA-N 0 3 310.894 4.065 20 0 DIADHN CC[C@H](N)c1nc2c(ccc(Oc3ccccc3)c2Cl)[nH]1 ZINC001247012040 1059240801 /nfs/dbraw/zinc/24/08/01/1059240801.db2.gz LWJOTLCONDKDPE-NSHDSACASA-N 0 3 301.777 4.418 20 0 DIADHN CC[C@H](N)c1nc2c(cc(C(F)(F)F)cc2C(F)(F)F)[nH]1 ZINC001247017540 1059248064 /nfs/dbraw/zinc/24/80/64/1059248064.db2.gz NUIMLNXIYYMWKE-ZETCQYMHSA-N 0 3 311.229 4.010 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001523467612 1059249374 /nfs/dbraw/zinc/24/93/74/1059249374.db2.gz BZZOGTCFVOTDQL-UIXUWTQFSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](NC(=O)C(C)(C)CC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC001523467637 1059250154 /nfs/dbraw/zinc/25/01/54/1059250154.db2.gz CIPPSYKXTBUDKL-DOTOQJQBSA-N 0 3 318.505 4.256 20 0 DIADHN CC(C)(C)n1c2ccc(F)cc2nc1[C@H](N)Cc1ccccc1 ZINC001247038314 1059254622 /nfs/dbraw/zinc/25/46/22/1059254622.db2.gz ZNMDAQBVDOWOEB-OAHLLOKOSA-N 0 3 311.404 4.173 20 0 DIADHN CCCN(CCC)[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC001559484491 1059254879 /nfs/dbraw/zinc/25/48/79/1059254879.db2.gz WMBOLGYOOXRCQH-LLVKDONJSA-N 0 3 306.479 4.073 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@H]2[C@H](c3ccccc3)C2(F)F)on1 ZINC001559486490 1059261584 /nfs/dbraw/zinc/26/15/84/1059261584.db2.gz UPDNYLCIVNGYFU-UXLLHSPISA-N 0 3 318.367 4.169 20 0 DIADHN Cc1nc(CN(C2CC2)C2CCC(C)CC2)nc2ccccc12 ZINC001559488342 1059262638 /nfs/dbraw/zinc/26/26/38/1059262638.db2.gz DVAVAMIORDSCJI-UHFFFAOYSA-N 0 3 309.457 4.481 20 0 DIADHN CC[C@H](N)c1nc2ccc(OCc3ccccc3)cc2n1C1CC1 ZINC001247043470 1059263131 /nfs/dbraw/zinc/26/31/31/1059263131.db2.gz ZDAATYLLPNGCIV-KRWDZBQOSA-N 0 3 321.424 4.360 20 0 DIADHN CNCc1nc2cc(C(F)(F)F)ccc2n1C1CCCCC1 ZINC001247044049 1059265938 /nfs/dbraw/zinc/26/59/38/1059265938.db2.gz OVVJXAPPZUQXOJ-UHFFFAOYSA-N 0 3 311.351 4.280 20 0 DIADHN C[C@H](c1cccs1)N(CCOC1CC1)Cc1ccccc1 ZINC001559504580 1059293557 /nfs/dbraw/zinc/29/35/57/1059293557.db2.gz QFVVMDVUDCQKMN-OAHLLOKOSA-N 0 3 301.455 4.490 20 0 DIADHN Cc1occc1CN(C)CCc1ccccc1Br ZINC001559510231 1059303751 /nfs/dbraw/zinc/30/37/51/1059303751.db2.gz WPLIPQDOFAQNGM-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN c1ccc2c(c1)CN(CCC[C@@H]1CCOC1)Cc1ccccc1-2 ZINC001559514757 1059310914 /nfs/dbraw/zinc/31/09/14/1059310914.db2.gz OCVWDDWEHLBTBF-QGZVFWFLSA-N 0 3 307.437 4.486 20 0 DIADHN CCN(Cc1ccc(Cl)c(C(F)(F)F)c1)C[C@@H]1CCCO1 ZINC001559516225 1059313362 /nfs/dbraw/zinc/31/33/62/1059313362.db2.gz INVUWRGTZHAAOM-LBPRGKRZSA-N 0 3 321.770 4.360 20 0 DIADHN CC[C@H](CC(=O)NC[C@@H](C)N(C)Cc1ccccc1)C(C)(C)C ZINC001531482948 1059331177 /nfs/dbraw/zinc/33/11/77/1059331177.db2.gz KCKHGSHPYJZYIB-SJLPKXTDSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)CC2)cc1 ZINC001559541206 1059342514 /nfs/dbraw/zinc/34/25/14/1059342514.db2.gz LCRHJZACMMOBDA-MRXNPFEDSA-N 0 3 302.462 4.082 20 0 DIADHN Cc1ncsc1CCCN1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC001559544722 1059347672 /nfs/dbraw/zinc/34/76/72/1059347672.db2.gz FIZBYNZWUCLOGA-MRXNPFEDSA-N 0 3 322.424 4.499 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ncccc1Cl ZINC001559547752 1059352294 /nfs/dbraw/zinc/35/22/94/1059352294.db2.gz CSOOCEVDIHWJFS-OCCSQVGLSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ncccc1Cl ZINC001559547751 1059355347 /nfs/dbraw/zinc/35/53/47/1059355347.db2.gz CSOOCEVDIHWJFS-JSGCOSHPSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1cc(-c2noc(CN3C[C@H](C)CCC[C@H]3C)n2)ccc1F ZINC001559546877 1059356003 /nfs/dbraw/zinc/35/60/03/1059356003.db2.gz HLTFQKXOOJINBA-TZMCWYRMSA-N 0 3 317.408 4.195 20 0 DIADHN CC(C)C[C@H]1CCCCCN1Cc1cnn(-c2ccccc2)n1 ZINC001559552025 1059362748 /nfs/dbraw/zinc/36/27/48/1059362748.db2.gz SQZOWFWPTONUTL-LJQANCHMSA-N 0 3 312.461 4.058 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1cc(C(=O)OC)ccc1Cl ZINC001559552650 1059363338 /nfs/dbraw/zinc/36/33/38/1059363338.db2.gz IWNAZCMOJPLZEX-OAHLLOKOSA-N 0 3 309.837 4.281 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@](C)(CC)C1 ZINC001559562630 1059387841 /nfs/dbraw/zinc/38/78/41/1059387841.db2.gz GKXZJKPWGAFJKP-QUCCMNQESA-N 0 3 316.489 4.249 20 0 DIADHN CC[C@@H]1CCCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001559583373 1059414888 /nfs/dbraw/zinc/41/48/88/1059414888.db2.gz FZAZXIXRKKEPDD-ABAIWWIYSA-N 0 3 302.245 4.149 20 0 DIADHN CCC1(CC)CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559596928 1059431782 /nfs/dbraw/zinc/43/17/82/1059431782.db2.gz UYBJBFBLBOEOLT-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@@H](CN1CCC[C@@H]1c1ccccc1Br)OC ZINC001559598483 1059432708 /nfs/dbraw/zinc/43/27/08/1059432708.db2.gz XIBTZGRHTVXBAK-SWLSCSKDSA-N 0 3 312.251 4.011 20 0 DIADHN CCN(CC[C@@H]1C[C@H]1C1CC1)CC(=O)Nc1c(C)cccc1C ZINC001559602801 1059437588 /nfs/dbraw/zinc/43/75/88/1059437588.db2.gz ZUMWCROKBDQRRJ-MSOLQXFVSA-N 0 3 314.473 4.000 20 0 DIADHN COc1cccc(CN(C2CC2)[C@@H]2CCCc3ccccc32)n1 ZINC001559604943 1059439587 /nfs/dbraw/zinc/43/95/87/1059439587.db2.gz WUMHENCJUHBZCL-LJQANCHMSA-N 0 3 308.425 4.132 20 0 DIADHN CC(C)(C)c1cccc(CN2CC(c3nc4ccccc4[nH]3)C2)c1 ZINC001559625130 1059453249 /nfs/dbraw/zinc/45/32/49/1059453249.db2.gz IPGNFNCXUMWULE-UHFFFAOYSA-N 0 3 319.452 4.460 20 0 DIADHN COC(=O)c1cc(CN2CCCC[C@@H]2CCC(C)C)cs1 ZINC001559627264 1059455649 /nfs/dbraw/zinc/45/56/49/1059455649.db2.gz RWFBRVDYPXEINN-OAHLLOKOSA-N 0 3 309.475 4.325 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCN(c2ccc(Cl)cc2)CC1 ZINC001559630508 1059457729 /nfs/dbraw/zinc/45/77/29/1059457729.db2.gz UFSGVVZHHKVBEU-ZDUSSCGKSA-N 0 3 316.823 4.143 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(CC)cn2)C1(C)C ZINC001559632188 1059459031 /nfs/dbraw/zinc/45/90/31/1059459031.db2.gz CFSYOWPXVLAUAO-QZTJIDSGSA-N 0 3 304.478 4.060 20 0 DIADHN Fc1ccc(CN(C[C@@H]2CCCCO2)C2CCCC2)c(F)c1 ZINC001559634831 1059461822 /nfs/dbraw/zinc/46/18/22/1059461822.db2.gz ASEWMOOLZFJLKP-KRWDZBQOSA-N 0 3 309.400 4.279 20 0 DIADHN Cn1c2ccccc2nc1[C@H]1CCCN1CCCc1ccccc1 ZINC001559637570 1059464138 /nfs/dbraw/zinc/46/41/38/1059464138.db2.gz SXLFFVWEYXKWDE-HXUWFJFHSA-N 0 3 319.452 4.343 20 0 DIADHN COc1ccccc1C(C)(C)NCCO[C@H]1CCCC[C@H]1C ZINC001559645633 1059470583 /nfs/dbraw/zinc/47/05/83/1059470583.db2.gz NTQYNHZOCHNKHS-WBVHZDCISA-N 0 3 305.462 4.115 20 0 DIADHN C[C@@H](CCc1ccccc1)N1CCO[C@@](C)(c2ccccc2)C1 ZINC001559646523 1059470684 /nfs/dbraw/zinc/47/06/84/1059470684.db2.gz MTVCRIWJRKPSRT-GHTZIAJQSA-N 0 3 309.453 4.255 20 0 DIADHN Cc1cccc(CCCN2CCO[C@@](C)(c3ccccc3)C2)c1 ZINC001559646167 1059472231 /nfs/dbraw/zinc/47/22/31/1059472231.db2.gz DRMNQONTFJLDFI-OAQYLSRUSA-N 0 3 309.453 4.175 20 0 DIADHN C[C@]1(c2ccccc2)CN(Cc2ccccc2C2CC2)CCO1 ZINC001559646901 1059472471 /nfs/dbraw/zinc/47/24/71/1059472471.db2.gz VKJVAVNLCKFBRQ-OAQYLSRUSA-N 0 3 307.437 4.312 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CCC(C)C)cc1Cl ZINC001559646857 1059472982 /nfs/dbraw/zinc/47/29/82/1059472982.db2.gz UOJSEVCYBPYEOF-INIZCTEOSA-N 0 3 322.880 4.488 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@H](OCC(C)C)C1 ZINC001559647306 1059474918 /nfs/dbraw/zinc/47/49/18/1059474918.db2.gz DWFWCXKGLFGJQZ-SFHVURJKSA-N 0 3 305.462 4.066 20 0 DIADHN CCC[C@@H](C)CCCN1CCc2ccc(C(=O)OC)cc2C1 ZINC001559674755 1059502148 /nfs/dbraw/zinc/50/21/48/1059502148.db2.gz SCNZDOSNHFESHH-OAHLLOKOSA-N 0 3 303.446 4.048 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccc(F)cc1F ZINC001559682104 1059510529 /nfs/dbraw/zinc/51/05/29/1059510529.db2.gz ZIUMCCOHCUDWNH-GLFJAGTFSA-N 0 3 309.400 4.353 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccc(F)cc1F ZINC001559682105 1059510962 /nfs/dbraw/zinc/51/09/62/1059510962.db2.gz ZIUMCCOHCUDWNH-WZPWBUNQSA-N 0 3 309.400 4.353 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CCCCC1(C)C)c1nc(C2CC2)no1 ZINC001559683767 1059512494 /nfs/dbraw/zinc/51/24/94/1059512494.db2.gz PPRMHDYACWICKQ-GJZGRUSLSA-N 0 3 305.466 4.450 20 0 DIADHN CCN(C#N)CCN[C@@H](CCC(C)C)c1ccc(Cl)cc1 ZINC001559690049 1059519165 /nfs/dbraw/zinc/51/91/65/1059519165.db2.gz DMKSTXZUJUFVGG-KRWDZBQOSA-N 0 3 307.869 4.210 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1csc(Cc2ccccc2)n1 ZINC001559688930 1059521235 /nfs/dbraw/zinc/52/12/35/1059521235.db2.gz XLHOOVYRJYFQRH-RHSMWYFYSA-N 0 3 300.471 4.497 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N[C@H](C)c1ccccc1)c1ccccc1 ZINC001559690281 1059521572 /nfs/dbraw/zinc/52/15/72/1059521572.db2.gz IJPKVNMBKMZZKT-YLJYHZDGSA-N 0 3 324.468 4.241 20 0 DIADHN CC1(C)CC[C@@H](CNC2(c3ccc(F)cc3F)CCC2)OC1 ZINC001559694594 1059521856 /nfs/dbraw/zinc/52/18/56/1059521856.db2.gz BSMVHSANDHPKNX-AWEZNQCLSA-N 0 3 309.400 4.139 20 0 DIADHN CCOC(=O)/C=C/CN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001559694358 1059522137 /nfs/dbraw/zinc/52/21/37/1059522137.db2.gz ONJOKSPGJAFCCF-JCVNQNCUSA-N 0 3 309.409 4.124 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCCN(c3ccccc3)C2=O)cc1 ZINC001559694503 1059522557 /nfs/dbraw/zinc/52/25/57/1059522557.db2.gz WXDVQWVZMDMDAW-OXQOHEQNSA-N 0 3 322.452 4.095 20 0 DIADHN CCOC(CN[C@@H](CC1CCCC1)c1ccccc1)OCC ZINC001559703214 1059528443 /nfs/dbraw/zinc/52/84/43/1059528443.db2.gz PIOGTVCAYZNFFS-SFHVURJKSA-N 0 3 305.462 4.297 20 0 DIADHN CC(C)(C)OCCN[C@H](COc1ccccc1)c1ccccc1 ZINC001559702620 1059530138 /nfs/dbraw/zinc/53/01/38/1059530138.db2.gz WYRSZAFBIQCZMS-LJQANCHMSA-N 0 3 313.441 4.211 20 0 DIADHN C[C@H](NC[C@@](C)(O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC001559711438 1059539243 /nfs/dbraw/zinc/53/92/43/1059539243.db2.gz JGEMPYSNQHCHCC-SCLBCKFNSA-N 0 3 321.367 4.146 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCN(Cc3cccc(F)c3)CC2)C1 ZINC001559714948 1059540770 /nfs/dbraw/zinc/54/07/70/1059540770.db2.gz LOXSRFCITJEXIZ-QZTJIDSGSA-N 0 3 318.480 4.160 20 0 DIADHN C[C@H]1CCC[C@@H](CCN2CCN(Cc3cccc(F)c3)CC2)C1 ZINC001559714949 1059543379 /nfs/dbraw/zinc/54/33/79/1059543379.db2.gz LOXSRFCITJEXIZ-ROUUACIJSA-N 0 3 318.480 4.160 20 0 DIADHN CC(C)(C)[C@@H]1CCN(C/C=C\c2ccc(Cl)cc2)C[C@@H]1O ZINC001559715490 1059544268 /nfs/dbraw/zinc/54/42/68/1059544268.db2.gz CREPEEJLQUWAEM-UIZHIOIMSA-N 0 3 307.865 4.082 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2CCn2cc(Cl)cn2)cc1 ZINC001559718855 1059545837 /nfs/dbraw/zinc/54/58/37/1059545837.db2.gz OACCEVGIRHGEDR-SFHVURJKSA-N 0 3 317.864 4.497 20 0 DIADHN COC/C(C)=C\CN[C@@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001559723783 1059553798 /nfs/dbraw/zinc/55/37/98/1059553798.db2.gz IRIYTJZKRHWINX-ODDCISTRSA-N 0 3 301.352 4.171 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1N[C@@H](C)c1nnnn1C1CCCCC1 ZINC001559724837 1059556170 /nfs/dbraw/zinc/55/61/70/1059556170.db2.gz IDBYUBBJFMGNHK-ZMSDIMECSA-N 0 3 319.497 4.188 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@H]2C[C@@H]2c2cccc(F)c2)o1 ZINC001559725740 1059558488 /nfs/dbraw/zinc/55/84/88/1059558488.db2.gz BQIBNLAWBVEBFG-SQNIBIBYSA-N 0 3 315.388 4.258 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)N(CCN(C)C)C1CCCC1 ZINC001532256733 1059569703 /nfs/dbraw/zinc/56/97/03/1059569703.db2.gz RNHBTEHKJZRBAY-UHFFFAOYSA-N 0 3 310.526 4.028 20 0 DIADHN Cc1cc(-c2noc(CN(C)[C@H]3CCCC[C@@H]3C)n2)ccc1F ZINC001559751526 1059582844 /nfs/dbraw/zinc/58/28/44/1059582844.db2.gz GSDRIPHBTJQFEK-LRDDRELGSA-N 0 3 317.408 4.195 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)CC(=O)Nc1cccc2ccccc21 ZINC001559752343 1059587287 /nfs/dbraw/zinc/58/72/87/1059587287.db2.gz WIDFRKNVTVYLFJ-KXBFYZLASA-N 0 3 310.441 4.289 20 0 DIADHN COC(=O)c1sccc1CN[C@@H]1CCC[C@H]1CCC(C)C ZINC001559759506 1059594261 /nfs/dbraw/zinc/59/42/61/1059594261.db2.gz WVKBZINVMBAIKQ-DZGCQCFKSA-N 0 3 309.475 4.229 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCC[C@@H](C(C)C)C1 ZINC001559758682 1059594641 /nfs/dbraw/zinc/59/46/41/1059594641.db2.gz HLLBUSGHCUXANR-LSDHHAIUSA-N 0 3 322.880 4.343 20 0 DIADHN CC(C)c1nsc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)n1 ZINC001559758847 1059594844 /nfs/dbraw/zinc/59/48/44/1059594844.db2.gz KDFBIHQOYZKPMN-CVEARBPZSA-N 0 3 315.486 4.163 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@H](C(C)C)C2)co1 ZINC001559760557 1059596342 /nfs/dbraw/zinc/59/63/42/1059596342.db2.gz VBQRZTZAFLPJHH-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN CCCC[C@@H](CC)CN(C)CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC001559781264 1059615202 /nfs/dbraw/zinc/61/52/02/1059615202.db2.gz AERJSLZTWNFRES-ZWKOTPCHSA-N 0 3 318.505 4.354 20 0 DIADHN CCC(CC)[C@H](c1ccc(Cl)cc1)N(C)CC[S@@](C)=O ZINC001559802751 1059641121 /nfs/dbraw/zinc/64/11/21/1059641121.db2.gz KBLOZJMEFLHEIO-OXQOHEQNSA-N 0 3 315.910 4.128 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)CN(C)CCCC1CCCC1 ZINC001559781942 1059619280 /nfs/dbraw/zinc/61/92/80/1059619280.db2.gz YRSINOMCLNXJKU-KRWDZBQOSA-N 0 3 316.489 4.108 20 0 DIADHN CC1(C)OC[C@H](CN2CCCC[C@@H]2c2cccc(Cl)c2)O1 ZINC001559795343 1059629588 /nfs/dbraw/zinc/62/95/88/1059629588.db2.gz DUDQWPRZIQARNO-JKSUJKDBSA-N 0 3 309.837 4.019 20 0 DIADHN Cn1cc(CN(Cc2ccc(Cl)cc2)CC2CCCC2)cn1 ZINC001559800086 1059636339 /nfs/dbraw/zinc/63/63/39/1059636339.db2.gz QUMDUKKXSAHUGC-UHFFFAOYSA-N 0 3 317.864 4.266 20 0 DIADHN CCC[C@]1(CO)CCCN1CCc1c(Cl)cccc1Cl ZINC001559801416 1059637362 /nfs/dbraw/zinc/63/73/62/1059637362.db2.gz YYQSBKCTCZYAJQ-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(c2ccccc2F)C[C@H]1C ZINC001559805226 1059638225 /nfs/dbraw/zinc/63/82/25/1059638225.db2.gz JQVUJBUFVFDHGM-APWZRJJASA-N 0 3 312.432 4.488 20 0 DIADHN CSc1ccccc1CN(C)C[C@@H]1CCCC2(CCC2)O1 ZINC001559802654 1059640690 /nfs/dbraw/zinc/64/06/90/1059640690.db2.gz HNWZJXMQDPKCBG-INIZCTEOSA-N 0 3 305.487 4.332 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccccc1Cl)Cc1ccccc1 ZINC001559808219 1059643560 /nfs/dbraw/zinc/64/35/60/1059643560.db2.gz FWOIJYMJGKCOIW-ZBFHGGJFSA-N 0 3 303.833 4.248 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4ccccc4[nH]3)C2)c(C)c1 ZINC001559810071 1059645610 /nfs/dbraw/zinc/64/56/10/1059645610.db2.gz YLEUMQAKIUVBIB-INIZCTEOSA-N 0 3 305.425 4.169 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4ccccc4[nH]3)C2)c(C)c1 ZINC001559810072 1059646408 /nfs/dbraw/zinc/64/64/08/1059646408.db2.gz YLEUMQAKIUVBIB-MRXNPFEDSA-N 0 3 305.425 4.169 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@H](C(=O)OC)[C@H](C)CC)C2)cc1 ZINC001559813788 1059649449 /nfs/dbraw/zinc/64/94/49/1059649449.db2.gz ADLNKVPIHDXVPA-LZQZEXGQSA-N 0 3 317.473 4.016 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2cc(O)ccc2Cl)C1(C)C ZINC001559823101 1059655180 /nfs/dbraw/zinc/65/51/80/1059655180.db2.gz LZDLKYCJDHGTPC-JKSUJKDBSA-N 0 3 311.853 4.119 20 0 DIADHN COc1ncc(CN2CCC=C(c3ccco3)C2)c2ccccc21 ZINC001559828315 1059660488 /nfs/dbraw/zinc/66/04/88/1059660488.db2.gz MNMFRHDRTMGLLR-UHFFFAOYSA-N 0 3 320.392 4.126 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC001559836432 1059668130 /nfs/dbraw/zinc/66/81/30/1059668130.db2.gz RNUGAKILAFDZDS-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN FCCCN1CCCC[C@H]1Cc1ccccc1Br ZINC001559867524 1059689834 /nfs/dbraw/zinc/68/98/34/1059689834.db2.gz UUBQMJJYPOTUBN-AWEZNQCLSA-N 0 3 314.242 4.206 20 0 DIADHN C[C@H](CN1[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]1C)CC(C)(C)C ZINC001559861562 1059683097 /nfs/dbraw/zinc/68/30/97/1059683097.db2.gz MTVMJUDBBJKWFE-HRCADAONSA-N 0 3 310.526 4.026 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1ncccn1 ZINC001559862155 1059684042 /nfs/dbraw/zinc/68/40/42/1059684042.db2.gz HNUTXBIAWQXYOO-KDOFPFPSSA-N 0 3 317.864 4.438 20 0 DIADHN CCN(CC(=O)N(C)[C@H](C)c1ccc(Cl)cc1)CC(C)(C)C ZINC001559863243 1059684241 /nfs/dbraw/zinc/68/42/41/1059684241.db2.gz SLMDXQDHMFNBAQ-CQSZACIVSA-N 0 3 324.896 4.227 20 0 DIADHN CC[C@@H]1CN(C)CCN1Cc1ccc(Cl)c2ccccc12 ZINC001559870433 1059687945 /nfs/dbraw/zinc/68/79/45/1059687945.db2.gz XLDKWFAAULBUSS-OAHLLOKOSA-N 0 3 302.849 4.019 20 0 DIADHN CS[C@@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001559870355 1059688243 /nfs/dbraw/zinc/68/82/43/1059688243.db2.gz VEFJIQBWQFSPGH-GFCCVEGCSA-N 0 3 307.406 4.236 20 0 DIADHN C[C@@H]1CN(c2cccc(F)c2)CCN1CCCc1ccsc1 ZINC001559875290 1059697027 /nfs/dbraw/zinc/69/70/27/1059697027.db2.gz QYBJASSDYRYBLY-OAHLLOKOSA-N 0 3 318.461 4.031 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C(C)(C)C)C[C@H]2C)cs1 ZINC001559879350 1059698590 /nfs/dbraw/zinc/69/85/90/1059698590.db2.gz GXRGBSGNOJZFNV-OCCSQVGLSA-N 0 3 309.475 4.181 20 0 DIADHN CCCC[C@@H](C(=O)N(CCN(C)C)Cc1ccsc1)C(C)C ZINC001524972829 1059700445 /nfs/dbraw/zinc/70/04/45/1059700445.db2.gz NAYLBVBSPYMUJK-QGZVFWFLSA-N 0 3 324.534 4.101 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C(C)(C)C)C[C@H]2C)cs1 ZINC001559879351 1059701278 /nfs/dbraw/zinc/70/12/78/1059701278.db2.gz GXRGBSGNOJZFNV-TZMCWYRMSA-N 0 3 309.475 4.181 20 0 DIADHN CC(C)CN(C(=O)CN(C(C)C)[C@@H](C)c1ccccc1)C1CC1 ZINC001559880528 1059701413 /nfs/dbraw/zinc/70/14/13/1059701413.db2.gz KRHUDLQYGIAMRA-KRWDZBQOSA-N 0 3 316.489 4.105 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)Cc1ccccc1N(C)C ZINC001559887825 1059707823 /nfs/dbraw/zinc/70/78/23/1059707823.db2.gz YASABYPJMVJQHV-UHFFFAOYSA-N 0 3 302.849 4.346 20 0 DIADHN Cc1ccc(SCCN2CC(C)(C)[C@@H]2[C@H]2CCCO2)cc1C ZINC001559884620 1059708492 /nfs/dbraw/zinc/70/84/92/1059708492.db2.gz ZOCUBBNGWFLRLU-MSOLQXFVSA-N 0 3 319.514 4.285 20 0 DIADHN Clc1ccc(-n2ccc(CN3CC[C@@H](C4CCC4)C3)n2)cc1 ZINC001559909397 1059725564 /nfs/dbraw/zinc/72/55/64/1059725564.db2.gz HDHDFGMIRPITQD-OAHLLOKOSA-N 0 3 315.848 4.148 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC(C)(C)C[C@H]3C)co2)cc1 ZINC001559914140 1059729192 /nfs/dbraw/zinc/72/91/92/1059729192.db2.gz ZENHVEPAHAAFHE-CQSZACIVSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H](c1ccccc1F)N1C[C@H](C)C[C@H](C(=O)OC(C)(C)C)C1 ZINC001559918125 1059732615 /nfs/dbraw/zinc/73/26/15/1059732615.db2.gz BOLHJPCVHFSRPA-ILXRZTDVSA-N 0 3 321.436 4.186 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CC[C@H](C2CCCC2)C1 ZINC001559925138 1059741387 /nfs/dbraw/zinc/74/13/87/1059741387.db2.gz MCDMPLNMFUFXHL-HNNXBMFYSA-N 0 3 320.864 4.099 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC[C@@H]2CC2CCCC2)cc1 ZINC001559952106 1059760301 /nfs/dbraw/zinc/76/03/01/1059760301.db2.gz AHAGIRRTDVMYOY-LJQANCHMSA-N 0 3 314.473 4.232 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC2(CCc3ccccc3C2)CC1 ZINC001559965845 1059771597 /nfs/dbraw/zinc/77/15/97/1059771597.db2.gz MCAZJAAKUBEGSV-KRWDZBQOSA-N 0 3 306.453 4.414 20 0 DIADHN CC(C)O[C@H]1CCCN(Cc2ccc3ccc(Cl)cc3n2)C1 ZINC001559968711 1059777836 /nfs/dbraw/zinc/77/78/36/1059777836.db2.gz JCJTYKASXXYJOW-KRWDZBQOSA-N 0 3 318.848 4.278 20 0 DIADHN CCCCC1CCC(C(=O)NC[C@H]2N(C)CCCC2(C)C)CC1 ZINC001525199401 1059786398 /nfs/dbraw/zinc/78/63/98/1059786398.db2.gz IEKDWVWHRDQPJS-DAWZGUTISA-N 0 3 322.537 4.220 20 0 DIADHN CCCCC1CCC(C(=O)NC[C@@H]2N(C)CCCC2(C)C)CC1 ZINC001525199400 1059787352 /nfs/dbraw/zinc/78/73/52/1059787352.db2.gz IEKDWVWHRDQPJS-ABHNRTSZSA-N 0 3 322.537 4.220 20 0 DIADHN Cc1nc(CN2CCCC3(CCCC3)CC2)nc2ccccc12 ZINC001559992290 1059796041 /nfs/dbraw/zinc/79/60/41/1059796041.db2.gz VZARGAQAUIKJCP-UHFFFAOYSA-N 0 3 309.457 4.485 20 0 DIADHN CCC[C@@H](C)CCCN[C@H](CC)c1nnc2n1CCCCC2 ZINC001559996374 1059800304 /nfs/dbraw/zinc/80/03/04/1059800304.db2.gz UQIPVUVFJCTBFP-HZPDHXFCSA-N 0 3 306.498 4.262 20 0 DIADHN CC(C)[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC1CCC1 ZINC001560002964 1059812313 /nfs/dbraw/zinc/81/23/13/1059812313.db2.gz DCACNRDVZDVMTJ-QGZVFWFLSA-N 0 3 324.509 4.144 20 0 DIADHN CCCN(CCN1CCc2ccccc21)Cc1ccccc1F ZINC001560003201 1059813181 /nfs/dbraw/zinc/81/31/81/1059813181.db2.gz LOHKRUWQYVJKQC-UHFFFAOYSA-N 0 3 312.432 4.100 20 0 DIADHN C[C@@H]1CCC[C@@H](CN[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001560013440 1059817595 /nfs/dbraw/zinc/81/75/95/1059817595.db2.gz UAIXCJSNOMBGSF-JMSVASOKSA-N 0 3 316.272 4.443 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1cc(Cl)cn2ccnc12 ZINC001248430489 1059826053 /nfs/dbraw/zinc/82/60/53/1059826053.db2.gz LPWJCPOEHIPOMV-UHFFFAOYSA-N 0 3 313.832 4.398 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H]3C[C@H]3C2)c(OCc2ccccc2)n1 ZINC001560018943 1059831160 /nfs/dbraw/zinc/83/11/60/1059831160.db2.gz LNAUBWROLDAISR-OALUTQOASA-N 0 3 322.452 4.119 20 0 DIADHN Fc1ccc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)cc1 ZINC001560023490 1059833852 /nfs/dbraw/zinc/83/38/52/1059833852.db2.gz ZAABRHGQVGITPH-HNNXBMFYSA-N 0 3 305.343 4.484 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)cc1 ZINC001560023491 1059836730 /nfs/dbraw/zinc/83/67/30/1059836730.db2.gz ZAABRHGQVGITPH-OAHLLOKOSA-N 0 3 305.343 4.484 20 0 DIADHN CN(Cc1cccc(-c2ccc(Cl)cc2)n1)[C@@H]1CCCOC1 ZINC001248524236 1059865163 /nfs/dbraw/zinc/86/51/63/1059865163.db2.gz TZZQZTUHENPLFY-QGZVFWFLSA-N 0 3 316.832 4.013 20 0 DIADHN O[C@H](c1ccccc1)[C@H]1CCCN1Cc1ccc(Cl)c(F)c1 ZINC001560053573 1059870198 /nfs/dbraw/zinc/87/01/98/1059870198.db2.gz GXMYBRXUDOWMDS-QZTJIDSGSA-N 0 3 319.807 4.177 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@H](C)C2CCCCC2)cc1C ZINC001560058231 1059874959 /nfs/dbraw/zinc/87/49/59/1059874959.db2.gz NNRRUHBAWHJJIB-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN CCOCCN(Cc1ccc(C)nc1C)[C@@H]1CCc2ccccc21 ZINC001560065465 1059879098 /nfs/dbraw/zinc/87/90/98/1059879098.db2.gz OUTMBXHCIVYLLY-OAQYLSRUSA-N 0 3 324.468 4.224 20 0 DIADHN CC[C@H](CO)N(Cc1ccccc1)Cc1ccccc1SC ZINC001560084795 1059899314 /nfs/dbraw/zinc/89/93/14/1059899314.db2.gz BKFYMEFPZOTXGW-GOSISDBHSA-N 0 3 315.482 4.182 20 0 DIADHN CCCC[C@@H](C(=O)Nc1cc(C)on1)N(C)C[C@@H](C)C(C)(C)C ZINC001560128195 1059929914 /nfs/dbraw/zinc/92/99/14/1059929914.db2.gz CIZJAPHBFBOTKH-HIFRSBDPSA-N 0 3 323.481 4.094 20 0 DIADHN CCCCC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001525553894 1059932167 /nfs/dbraw/zinc/93/21/67/1059932167.db2.gz WDSZQRGBMCUILU-MRXNPFEDSA-N 0 3 310.869 4.029 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H]([C@H]3CCCO3)C2)c1 ZINC001560130635 1059937802 /nfs/dbraw/zinc/93/78/02/1059937802.db2.gz LCBCPINFVVZXNX-CZUORRHYSA-N 0 3 314.256 4.037 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001560153776 1059947638 /nfs/dbraw/zinc/94/76/38/1059947638.db2.gz QJLXYMNCUWNDJC-UGSOOPFHSA-N 0 3 319.399 4.029 20 0 DIADHN CCN(Cc1ccc(-c2ccn(C)n2)cc1)Cc1cccc(F)c1 ZINC001248766668 1059967750 /nfs/dbraw/zinc/96/77/50/1059967750.db2.gz AYHHUVGSPKCWRY-UHFFFAOYSA-N 0 3 323.415 4.248 20 0 DIADHN Cc1ncc(Br)cc1CN1CCCCCCCC1 ZINC001248782308 1059974712 /nfs/dbraw/zinc/97/47/12/1059974712.db2.gz WEEJTROITZFXDO-UHFFFAOYSA-N 0 3 311.267 4.309 20 0 DIADHN Cc1nccc(CN2CCC[C@]2(C)Cc2ccc(Cl)s2)n1 ZINC001560190166 1059976885 /nfs/dbraw/zinc/97/68/85/1059976885.db2.gz HHGFXSIMGDUXPK-MRXNPFEDSA-N 0 3 321.877 4.097 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3ccn(C)n3)cc2)cc1C ZINC001248767658 1059977082 /nfs/dbraw/zinc/97/70/82/1059977082.db2.gz QEXJTPNTWFJAMW-UHFFFAOYSA-N 0 3 319.452 4.336 20 0 DIADHN Cc1ccc2sc(CN[C@H]3CCOC34CCCCC4)nc2c1 ZINC001560199058 1059979503 /nfs/dbraw/zinc/97/95/03/1059979503.db2.gz KGXGNALLYDKASN-INIZCTEOSA-N 0 3 316.470 4.186 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(c3ccc4[nH]ccc4c3)CC2)cn1 ZINC001560203277 1059980799 /nfs/dbraw/zinc/98/07/99/1059980799.db2.gz UYTRFYYMKULNDN-OAHLLOKOSA-N 0 3 320.440 4.207 20 0 DIADHN COC(=O)CCCN(Cc1csc(C)c1)Cc1ccccc1 ZINC001248801313 1059982550 /nfs/dbraw/zinc/98/25/50/1059982550.db2.gz KOLZARFSHOCPDD-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN CC(=O)C1(c2ccccc2)CCN(Cc2csc(C)c2)CC1 ZINC001248803535 1059987990 /nfs/dbraw/zinc/98/79/90/1059987990.db2.gz CZXVWYFWQHOWNW-UHFFFAOYSA-N 0 3 313.466 4.179 20 0 DIADHN CC(C)N(CCCCCO)Cc1cnc2ccccc2c1Cl ZINC001248813588 1059992645 /nfs/dbraw/zinc/99/26/45/1059992645.db2.gz CUEKPJVRNLTLGR-UHFFFAOYSA-N 0 3 320.864 4.261 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](C(F)(F)F)C2)c2[nH]ccc21 ZINC001248817030 1059993880 /nfs/dbraw/zinc/99/38/80/1059993880.db2.gz GGCPNLOTNNHKCN-LLVKDONJSA-N 0 3 300.299 4.081 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccc(F)c2cc[nH]c21 ZINC001248818498 1059995769 /nfs/dbraw/zinc/99/57/69/1059995769.db2.gz ORZMKYXWHVTRHF-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN Cc1cccnc1[C@@H](C)NCCOc1ccccc1C(F)(F)F ZINC001560221477 1059995614 /nfs/dbraw/zinc/99/56/14/1059995614.db2.gz WZCWWGSNNGSBKP-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc(F)c3cc[nH]c31)CCC2 ZINC001248818375 1059997454 /nfs/dbraw/zinc/99/74/54/1059997454.db2.gz JXVFSELYGCQGBE-UHFFFAOYSA-N 0 3 324.399 4.264 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc3n2CCC3)cc1 ZINC001248823698 1060001414 /nfs/dbraw/zinc/00/14/14/1060001414.db2.gz IRUAWEYAUMSIMA-FQEVSTJZSA-N 0 3 310.441 4.170 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCCc1cccs1 ZINC001560247441 1060013312 /nfs/dbraw/zinc/01/33/12/1060013312.db2.gz DHJVNIYCIUXFHR-UHFFFAOYSA-N 0 3 319.323 4.118 20 0 DIADHN Cc1ncc(CNCCc2c(C)cc(C(C)(C)C)cc2C)o1 ZINC001560249743 1060016723 /nfs/dbraw/zinc/01/67/23/1060016723.db2.gz FYRMLNBBRYRCRA-UHFFFAOYSA-N 0 3 300.446 4.230 20 0 DIADHN CCCn1cncc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC001560250525 1060020206 /nfs/dbraw/zinc/02/02/06/1060020206.db2.gz XLIFLVXJLSPGGW-QGZVFWFLSA-N 0 3 303.837 4.187 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1CC2(C1)CCCCC2 ZINC001248847719 1060021557 /nfs/dbraw/zinc/02/15/57/1060021557.db2.gz ZFUDWAUNYDDOPM-UHFFFAOYSA-N 0 3 323.362 4.348 20 0 DIADHN CCCCN(CC)Cc1nc2cccc(Br)c2o1 ZINC001248848301 1060021847 /nfs/dbraw/zinc/02/18/47/1060021847.db2.gz WPLLPTMXNATMEV-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CC2CCC(CC2)C1 ZINC001248847562 1060023332 /nfs/dbraw/zinc/02/33/32/1060023332.db2.gz UCSIDXXHOWKSOF-UHFFFAOYSA-N 0 3 323.362 4.204 20 0 DIADHN C[C@H]1CCN(Cc2ccc(OCc3ccccc3)cn2)[C@@H](C)C1 ZINC001248863599 1060024867 /nfs/dbraw/zinc/02/48/67/1060024867.db2.gz UIGZZKJCCYVKSG-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN C[C@@H](CNC(=O)c1ccc(C(C)(C)C)s1)N1CCCC[C@@H]1C ZINC001533798160 1060025094 /nfs/dbraw/zinc/02/50/94/1060025094.db2.gz XPKXEVSKCSKLNB-KBPBESRZSA-N 0 3 322.518 4.038 20 0 DIADHN CCc1cccc2cc(CNCCOc3ccc(C)cc3)oc21 ZINC001560256060 1060025496 /nfs/dbraw/zinc/02/54/96/1060025496.db2.gz XXEDKIVXVXKJLR-UHFFFAOYSA-N 0 3 309.409 4.472 20 0 DIADHN CN(CCNCc1cnc(C2CCCC2)s1)c1ccccc1 ZINC001560259504 1060028141 /nfs/dbraw/zinc/02/81/41/1060028141.db2.gz QHXHHARUUQFQKJ-UHFFFAOYSA-N 0 3 315.486 4.027 20 0 DIADHN COc1cccc(CCCN(C)Cc2ccc(Cl)c(C)n2)c1 ZINC001248876399 1060029991 /nfs/dbraw/zinc/02/99/91/1060029991.db2.gz KEZBRHUTFKPSNC-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN CCOCc1ccc(CNCc2ccc3scnc3c2)cc1 ZINC001560261299 1060031631 /nfs/dbraw/zinc/03/16/31/1060031631.db2.gz AVHGJFYTJZRJRC-UHFFFAOYSA-N 0 3 312.438 4.123 20 0 DIADHN c1sc2ccccc2c1CNCc1ccc2nccnc2c1 ZINC001560268503 1060042245 /nfs/dbraw/zinc/04/22/45/1060042245.db2.gz NPSLTUZWXUUERL-UHFFFAOYSA-N 0 3 305.406 4.134 20 0 DIADHN CC[C@H](CNCc1cccc(F)c1F)Oc1cccc(C)c1 ZINC001560272555 1060050417 /nfs/dbraw/zinc/05/04/17/1060050417.db2.gz LFQDOUDMBLLRAQ-OAHLLOKOSA-N 0 3 305.368 4.220 20 0 DIADHN c1cc2cccc(CNCc3ccc(OC4CCCC4)nc3)c2o1 ZINC001560273280 1060050699 /nfs/dbraw/zinc/05/06/99/1060050699.db2.gz JJSDLAWOHFNQTB-UHFFFAOYSA-N 0 3 322.408 4.439 20 0 DIADHN Cc1cc(CNCCOc2cccc(Cl)c2Cl)c(C)o1 ZINC001560287124 1060066859 /nfs/dbraw/zinc/06/68/59/1060066859.db2.gz PJEKSVRUKSWPIA-UHFFFAOYSA-N 0 3 314.212 4.372 20 0 DIADHN c1cc(CNCCSCc2cccc3ccccc32)sn1 ZINC001560291431 1060071008 /nfs/dbraw/zinc/07/10/08/1060071008.db2.gz QGOOYUNZKMLMRV-UHFFFAOYSA-N 0 3 314.479 4.319 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@@H](C)COc1ccccc1 ZINC001560295612 1060081219 /nfs/dbraw/zinc/08/12/19/1060081219.db2.gz HRMWAEQXYBMEGW-AWEZNQCLSA-N 0 3 319.832 4.296 20 0 DIADHN Cc1nc(-c2ccc(CNCc3cc(C)nc(C)c3)cc2)cs1 ZINC001560301151 1060087128 /nfs/dbraw/zinc/08/71/28/1060087128.db2.gz KGHVFBWAQUGJMY-UHFFFAOYSA-N 0 3 323.465 4.420 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1Cl)N1CCc2ccccc21 ZINC001560302055 1060088079 /nfs/dbraw/zinc/08/80/79/1060088079.db2.gz MXJRFNKRUHTMAO-ZDUSSCGKSA-N 0 3 318.823 4.020 20 0 DIADHN CC(C)(CNCc1nc2c(s1)CCCC2)c1ccccc1F ZINC001560306661 1060093065 /nfs/dbraw/zinc/09/30/65/1060093065.db2.gz UASHGNCBFKLRSR-UHFFFAOYSA-N 0 3 318.461 4.228 20 0 DIADHN COc1ccc(C2(NCc3ccc4c(c3)CCCC4)CC2)cc1 ZINC001560311299 1060098987 /nfs/dbraw/zinc/09/89/87/1060098987.db2.gz OPNMKPYABCOZOR-UHFFFAOYSA-N 0 3 307.437 4.353 20 0 DIADHN Cc1nc(CNCCCc2ccc(Cl)cc2Cl)cs1 ZINC001560365987 1060150300 /nfs/dbraw/zinc/15/03/00/1060150300.db2.gz OTPXJSBEQXFPQC-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN CCc1cnc(CNCCc2ccc(OCC(C)C)cc2)s1 ZINC001560318560 1060104204 /nfs/dbraw/zinc/10/42/04/1060104204.db2.gz RGAZAHAYEWURFQ-UHFFFAOYSA-N 0 3 318.486 4.073 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1ccc(COC)cc1 ZINC001560322038 1060110523 /nfs/dbraw/zinc/11/05/23/1060110523.db2.gz MUTFJRRQLSRPRE-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN CSc1ccc(CNC[C@H](O)c2ccc(C(C)(C)C)cc2)o1 ZINC001560338038 1060122784 /nfs/dbraw/zinc/12/27/84/1060122784.db2.gz HRRWEADTCNFGAN-INIZCTEOSA-N 0 3 319.470 4.122 20 0 DIADHN Cc1cc(F)c(CNCC(C)(C)c2cccc(F)c2)c(F)c1 ZINC001560337036 1060122920 /nfs/dbraw/zinc/12/29/20/1060122920.db2.gz OWQNXHOBFJMZTA-UHFFFAOYSA-N 0 3 307.359 4.480 20 0 DIADHN CC(C)(C)c1cccc(OCCNCc2cc(F)cc(F)c2)c1 ZINC001560340796 1060125785 /nfs/dbraw/zinc/12/57/85/1060125785.db2.gz MTJGFFQNFHVNRL-UHFFFAOYSA-N 0 3 319.395 4.431 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CN[C@@H]1CC=CCC1 ZINC001560344722 1060129876 /nfs/dbraw/zinc/12/98/76/1060129876.db2.gz IRVXAIMAYIWCOU-SECBINFHSA-N 0 3 318.617 4.440 20 0 DIADHN C[C@@H]1CN(Cc2sc(Cl)c3ccccc32)CC[C@]1(C)O ZINC001249049344 1060131454 /nfs/dbraw/zinc/13/14/54/1060131454.db2.gz DVRKJHPMPBTIFI-BZNIZROVSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1ccc(CNC[C@@H](C)Oc2ccccc2F)c(Cl)c1 ZINC001560353156 1060137877 /nfs/dbraw/zinc/13/78/77/1060137877.db2.gz CEHQQFRRHNGTAX-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN CCC1(O)CCN(Cc2sc(Cl)c3ccccc32)CC1 ZINC001249067137 1060141661 /nfs/dbraw/zinc/14/16/61/1060141661.db2.gz IBKWYJZERJSNOL-UHFFFAOYSA-N 0 3 309.862 4.292 20 0 DIADHN Cc1csc(CNC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)n1 ZINC001560354849 1060142510 /nfs/dbraw/zinc/14/25/10/1060142510.db2.gz FEXUTHIQEDSMAI-WMZOPIPTSA-N 0 3 316.470 4.017 20 0 DIADHN Cc1cccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)c1Cl ZINC001249073611 1060145442 /nfs/dbraw/zinc/14/54/42/1060145442.db2.gz RRKZCMYZMNEDDK-AWEZNQCLSA-N 0 3 317.820 4.245 20 0 DIADHN c1cc2c(c(-c3noc([C@@H]4CCCN4CC4CC4)n3)c1)CCCC2 ZINC001249073035 1060145758 /nfs/dbraw/zinc/14/57/58/1060145758.db2.gz HFGLKUFZYUBRDH-SFHVURJKSA-N 0 3 323.440 4.162 20 0 DIADHN Clc1sc(CN2CCC[C@@]23CCOC3)c2ccccc12 ZINC001249076525 1060148247 /nfs/dbraw/zinc/14/82/47/1060148247.db2.gz XGKWIZQTIOWELK-INIZCTEOSA-N 0 3 307.846 4.310 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccnn2CC2CCC2)cc1 ZINC001560366940 1060152564 /nfs/dbraw/zinc/15/25/64/1060152564.db2.gz VZYVKTMZFSYCBE-UHFFFAOYSA-N 0 3 311.473 4.271 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](CC)Oc1ccccc1F ZINC001560366812 1060153445 /nfs/dbraw/zinc/15/34/45/1060153445.db2.gz QEFQGNJDAQMAGX-OAHLLOKOSA-N 0 3 319.395 4.474 20 0 DIADHN Fc1ccc(C2(NC/C=C/c3ccc(F)c(F)c3)CC2)cc1 ZINC001560368943 1060155493 /nfs/dbraw/zinc/15/54/93/1060155493.db2.gz IMKNJQLOKPDBTO-OWOJBTEDSA-N 0 3 303.327 4.396 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ccn(-c2ccccc2)n1 ZINC001560370423 1060157253 /nfs/dbraw/zinc/15/72/53/1060157253.db2.gz IJBLPQSDIDFXFQ-ROUUACIJSA-N 0 3 315.486 4.026 20 0 DIADHN Cc1cccc(C)c1CCNCc1cscc1Br ZINC001560374861 1060160945 /nfs/dbraw/zinc/16/09/45/1060160945.db2.gz WDCATAUTEHXKTO-UHFFFAOYSA-N 0 3 324.287 4.460 20 0 DIADHN Cc1ccccc1CNc1ccccc1CN1CCSCC1 ZINC001560377770 1060165010 /nfs/dbraw/zinc/16/50/10/1060165010.db2.gz NHMVWGMQSVSHBP-UHFFFAOYSA-N 0 3 312.482 4.156 20 0 DIADHN COc1cccc(CN[C@@H]2CC(C)(C)c3ccccc32)c1OC ZINC001560385075 1060171599 /nfs/dbraw/zinc/17/15/99/1060171599.db2.gz KJYVOJHWAFPDBM-QGZVFWFLSA-N 0 3 311.425 4.216 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)c3cccc(C)c3)o2)cc1 ZINC001560391656 1060177125 /nfs/dbraw/zinc/17/71/25/1060177125.db2.gz NKFOQWGROIPYOQ-FQEVSTJZSA-N 0 3 321.420 4.387 20 0 DIADHN CC1(c2ccccc2)CCC(NCc2cc3n(n2)CCCC3)CC1 ZINC001560394106 1060181725 /nfs/dbraw/zinc/18/17/25/1060181725.db2.gz DVECJHWAATWGIN-UHFFFAOYSA-N 0 3 323.484 4.210 20 0 DIADHN Cc1cc(F)c(CNCC2(Cc3ccccc3)CC2)c(F)c1 ZINC001560404939 1060189803 /nfs/dbraw/zinc/18/98/03/1060189803.db2.gz PPKZUHOXQBALJL-UHFFFAOYSA-N 0 3 301.380 4.386 20 0 DIADHN CC[C@H](NCc1cncc(OC)c1C)c1ccc(C)c(F)c1 ZINC001560422270 1060208253 /nfs/dbraw/zinc/20/82/53/1060208253.db2.gz LLMZCWCTZOPHAN-KRWDZBQOSA-N 0 3 302.393 4.087 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001249310875 1060217205 /nfs/dbraw/zinc/21/72/05/1060217205.db2.gz UBEUNOGGRQBYLG-GDBMZVCRSA-N 0 3 313.470 4.217 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2ccc(C(C)(C)C)cc2)C1 ZINC001560430157 1060217789 /nfs/dbraw/zinc/21/77/89/1060217789.db2.gz BERZPYDPHHVSKD-FQEVSTJZSA-N 0 3 308.469 4.260 20 0 DIADHN CCc1cccc(F)c1CNC1CC(OCc2ccccc2)C1 ZINC001560432838 1060220373 /nfs/dbraw/zinc/22/03/73/1060220373.db2.gz PAOBEUXLLZIWTK-UHFFFAOYSA-N 0 3 313.416 4.226 20 0 DIADHN C[C@H](NCc1cccc2nccn21)c1ccc2c(c1)CCCC2 ZINC001560433803 1060221691 /nfs/dbraw/zinc/22/16/91/1060221691.db2.gz YVBQWUVKGPECDU-HNNXBMFYSA-N 0 3 305.425 4.064 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CNC[C@@H]1CCC=CO1 ZINC001560440689 1060228779 /nfs/dbraw/zinc/22/87/79/1060228779.db2.gz CJBPPQOELGEHPW-YJBOKZPZSA-N 0 3 320.452 4.090 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CNC[C@H]1CCC=CO1 ZINC001560440686 1060229412 /nfs/dbraw/zinc/22/94/12/1060229412.db2.gz CJBPPQOELGEHPW-CRAIPNDOSA-N 0 3 320.452 4.090 20 0 DIADHN Fc1ccc(CNC[C@H]2CCC3(CCCCC3)O2)c(Cl)c1 ZINC001560440053 1060229582 /nfs/dbraw/zinc/22/95/82/1060229582.db2.gz HPWLETFJYLYUOH-OAHLLOKOSA-N 0 3 311.828 4.451 20 0 DIADHN COc1cc(CN2CCC3(CC(F)C3)CC2)ccc1SC ZINC001249376061 1060231292 /nfs/dbraw/zinc/23/12/92/1060231292.db2.gz PJKLHFPHBWXTFD-UHFFFAOYSA-N 0 3 309.450 4.131 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN(C)CCc1cccc(Cl)c1 ZINC001249407181 1060235074 /nfs/dbraw/zinc/23/50/74/1060235074.db2.gz BTPWWGXPCCHLRX-CQSZACIVSA-N 0 3 319.880 4.262 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(-c3ccccc3F)o2)O1 ZINC001560448985 1060240390 /nfs/dbraw/zinc/24/03/90/1060240390.db2.gz WNCLSTMTHAQHLC-CQSZACIVSA-N 0 3 303.377 4.133 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCc2ccccc2[C@@H]1CC ZINC001249409065 1060241358 /nfs/dbraw/zinc/24/13/58/1060241358.db2.gz RKSFVXXZUYAKJC-KXBFYZLASA-N 0 3 311.473 4.443 20 0 DIADHN CC1(C)SC[C@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001560449975 1060241613 /nfs/dbraw/zinc/24/16/13/1060241613.db2.gz LRBBPBYJYYYNPE-OAHLLOKOSA-N 0 3 311.878 4.112 20 0 DIADHN Cc1ccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)cc1C ZINC001560452853 1060242167 /nfs/dbraw/zinc/24/21/67/1060242167.db2.gz RBCDCJVCBSHXJV-IBGZPJMESA-N 0 3 316.876 4.349 20 0 DIADHN Cc1ccc(CN2CCC[C@H]3CCCC[C@H]32)c(Br)n1 ZINC001249419049 1060243767 /nfs/dbraw/zinc/24/37/67/1060243767.db2.gz HREDSDIVCLBBFY-UKRRQHHQSA-N 0 3 323.278 4.307 20 0 DIADHN COc1ccc2nc(CN3CCC[C@H]3c3ccccc3C)[nH]c2c1 ZINC001249412334 1060244690 /nfs/dbraw/zinc/24/46/90/1060244690.db2.gz DLSPQDJKBMZPCP-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN CCSc1ccccc1CN1C[C@H]2c3ccccc3C(=O)[C@H]2C1 ZINC001249437897 1060245729 /nfs/dbraw/zinc/24/57/29/1060245729.db2.gz IPBXVZOULPKXQZ-ROUUACIJSA-N 0 3 323.461 4.211 20 0 DIADHN CCSc1ccccc1CN1CCC(OC2CCC2)CC1 ZINC001249438146 1060250655 /nfs/dbraw/zinc/25/06/55/1060250655.db2.gz SDTXWUUPTITBMI-UHFFFAOYSA-N 0 3 305.487 4.332 20 0 DIADHN CCCNc1ccc(CNCc2cc(C)nc3c2CCCC3)cc1 ZINC001560462363 1060253257 /nfs/dbraw/zinc/25/32/57/1060253257.db2.gz JJPVZIOWXDDCRU-UHFFFAOYSA-N 0 3 323.484 4.381 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1ccn(Cc2ccccc2)n1 ZINC001560468514 1060257876 /nfs/dbraw/zinc/25/78/76/1060257876.db2.gz CGOWDWWTWDPXRF-XLIONFOSSA-N 0 3 309.457 4.013 20 0 DIADHN Cc1cc(CN[C@H]2CCC2(C)C)c(Br)cc1F ZINC001560468842 1060259085 /nfs/dbraw/zinc/25/90/85/1060259085.db2.gz NLVBHHYNFDLLDO-ZDUSSCGKSA-N 0 3 300.215 4.175 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1CCN(C2CCCCC2)CC1 ZINC001526567216 1060259238 /nfs/dbraw/zinc/25/92/38/1060259238.db2.gz HHKMQAKGKSFTFJ-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CN(Cc1cccc2c1NCC2)Cc1ccccc1C(F)(F)F ZINC000469874634 1060261934 /nfs/dbraw/zinc/26/19/34/1060261934.db2.gz RJNKZYLOBUBTIV-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN CCSc1ncc2cccc(CN3CC[C@@H]4CCC[C@@H]4C3)n21 ZINC001249498680 1060266057 /nfs/dbraw/zinc/26/60/57/1060266057.db2.gz PDTIBFQARCSVQQ-LSDHHAIUSA-N 0 3 315.486 4.068 20 0 DIADHN CC[C@@H]1CCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249519133 1060270111 /nfs/dbraw/zinc/27/01/11/1060270111.db2.gz MERUYKDPWQJSMM-GFCCVEGCSA-N 0 3 322.250 4.090 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CC[C@H]3CCCC[C@H]3C2)cn1 ZINC001249514927 1060270994 /nfs/dbraw/zinc/27/09/94/1060270994.db2.gz FULMNTAJBOCVPM-KGLIPLIRSA-N 0 3 312.379 4.421 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CC[C@@H](c3ccccc3)C2)cn1 ZINC001249512385 1060271688 /nfs/dbraw/zinc/27/16/88/1060271688.db2.gz NWDCMAQHYHJAMN-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CCC[C@H](C)[C@H](C)C2)cn1 ZINC001249512517 1060272610 /nfs/dbraw/zinc/27/26/10/1060272610.db2.gz SIQWTNZXOLYCJX-NWDGAFQWSA-N 0 3 300.368 4.277 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249521760 1060273730 /nfs/dbraw/zinc/27/37/30/1060273730.db2.gz AWXJOFGUYZYXSG-CQSZACIVSA-N 0 3 318.289 4.031 20 0 DIADHN Cc1ncc(CNC/C=C\c2ccc(Br)cc2)s1 ZINC001560483366 1060274049 /nfs/dbraw/zinc/27/40/49/1060274049.db2.gz WTBAPRQPHWDHMF-IHWYPQMZSA-N 0 3 323.259 4.017 20 0 DIADHN c1[nH]c(CNC[C@@H]2CSc3ccccc32)nc1-c1ccccc1 ZINC001560483077 1060274687 /nfs/dbraw/zinc/27/46/87/1060274687.db2.gz MWIATORVVZLQNF-OAHLLOKOSA-N 0 3 321.449 4.056 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H](CO)c2ccc(F)cc2)cc1C ZINC001560483566 1060274891 /nfs/dbraw/zinc/27/48/91/1060274891.db2.gz CLIIMQPTHCCBSL-FBQMEGEOSA-N 0 3 313.416 4.087 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1cc(F)cc2cc[nH]c21 ZINC001249525478 1060277696 /nfs/dbraw/zinc/27/76/96/1060277696.db2.gz PMAPXCPNRMJBII-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN COc1cc(C)c(CN[C@H]2CCCc3c(F)cccc32)c(C)n1 ZINC001560488867 1060281600 /nfs/dbraw/zinc/28/16/00/1060281600.db2.gz BPVYFCIBGJJLSY-SFHVURJKSA-N 0 3 314.404 4.013 20 0 DIADHN CCC(CC)N(C)Cc1nc2cc(OC(F)(F)F)ccc2[nH]1 ZINC001249533564 1060282080 /nfs/dbraw/zinc/28/20/80/1060282080.db2.gz IYEVZSUEEMJNGF-UHFFFAOYSA-N 0 3 315.339 4.082 20 0 DIADHN COc1cc(C)c(CN[C@@H]2CCCc3c(F)cccc32)c(C)n1 ZINC001560488866 1060282650 /nfs/dbraw/zinc/28/26/50/1060282650.db2.gz BPVYFCIBGJJLSY-GOSISDBHSA-N 0 3 314.404 4.013 20 0 DIADHN C[Si](C)(C)c1ccc(CNCc2ccc3occc3c2)cc1 ZINC001560491995 1060285084 /nfs/dbraw/zinc/28/50/84/1060285084.db2.gz GXKLQBQUBNQDCK-UHFFFAOYSA-N 0 3 309.485 4.268 20 0 DIADHN CCSc1cccc(CNCc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001560493192 1060288590 /nfs/dbraw/zinc/28/85/90/1060288590.db2.gz UPDJECAIGFCDNP-CQSZACIVSA-N 0 3 313.466 4.412 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(-c2cccc(F)c2F)o1 ZINC001560502249 1060295761 /nfs/dbraw/zinc/29/57/61/1060295761.db2.gz DYHHWYQEUZPWIP-NVXWUHKLSA-N 0 3 321.367 4.272 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1ncc(Br)s1 ZINC001560502569 1060297182 /nfs/dbraw/zinc/29/71/82/1060297182.db2.gz SIYZSGDWNJQFEV-UWVGGRQHSA-N 0 3 317.296 4.210 20 0 DIADHN COc1cnc(F)c(CNCCCCCCc2ccccc2)c1 ZINC001560534750 1060339008 /nfs/dbraw/zinc/33/90/08/1060339008.db2.gz QNUPUBXVOLPPBZ-UHFFFAOYSA-N 0 3 316.420 4.122 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2c(C3CC3)cnn2C)c(C)c1 ZINC001560536390 1060339347 /nfs/dbraw/zinc/33/93/47/1060339347.db2.gz HRNVUFAHIIRJAE-AATRIKPKSA-N 0 3 309.457 4.026 20 0 DIADHN CCN1CCc2ccc(CN3CCC[C@@H]3c3ccc(C)nc3)cc21 ZINC001249665101 1060340051 /nfs/dbraw/zinc/34/00/51/1060340051.db2.gz RFIRHMWXVPAAOU-HXUWFJFHSA-N 0 3 321.468 4.110 20 0 DIADHN CN(C)C[C@@H]1CCc2ccccc2N1C(=O)CCCC(C)(C)C ZINC001526811746 1060345948 /nfs/dbraw/zinc/34/59/48/1060345948.db2.gz OLGOHQWVMQQXPH-KRWDZBQOSA-N 0 3 316.489 4.112 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCC[C@@H]1CCCC1(C)C ZINC001560541043 1060346759 /nfs/dbraw/zinc/34/67/59/1060346759.db2.gz JSMYJJDKBAYZGY-JTQLQIEISA-N 0 3 304.265 4.033 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc3c(c2)OCCCCO3)c1 ZINC001560541324 1060346886 /nfs/dbraw/zinc/34/68/86/1060346886.db2.gz RZPORBRKAPWLEN-UHFFFAOYSA-N 0 3 311.425 4.145 20 0 DIADHN COc1ccc(CNCc2ccccc2CC(C)C)cc1F ZINC001560541492 1060347171 /nfs/dbraw/zinc/34/71/71/1060347171.db2.gz XVAYTXGFQHGCHD-UHFFFAOYSA-N 0 3 301.405 4.323 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNCc1cc(C)cc(C)c1 ZINC001560541445 1060347758 /nfs/dbraw/zinc/34/77/58/1060347758.db2.gz WSFSXBOSHHXGMQ-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN CCC(CC)(CNCc1csc(C)n1)c1ccc(F)cc1 ZINC001560542700 1060349137 /nfs/dbraw/zinc/34/91/37/1060349137.db2.gz PHVJVDLXZXHNII-UHFFFAOYSA-N 0 3 306.450 4.438 20 0 DIADHN CCCc1ccc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)cc1 ZINC001560544527 1060352231 /nfs/dbraw/zinc/35/22/31/1060352231.db2.gz ZHIIRLUXJIUSID-RTWAWAEBSA-N 0 3 311.469 4.487 20 0 DIADHN F[C@H]1CCCC[C@H]1NCc1cccc(OCc2ccccn2)c1 ZINC001560551311 1060360517 /nfs/dbraw/zinc/36/05/17/1060360517.db2.gz IZUMBCMQQJMKMM-RBUKOAKNSA-N 0 3 314.404 4.031 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCCC2)[nH]n1 ZINC001560559599 1060370303 /nfs/dbraw/zinc/37/03/03/1060370303.db2.gz NCJYTWAWHBFKAC-GOSISDBHSA-N 0 3 301.409 4.268 20 0 DIADHN COc1cc(CNCc2cccc3[nH]ccc32)ccc1Cl ZINC001560567808 1060379092 /nfs/dbraw/zinc/37/90/92/1060379092.db2.gz REKJOSHPEJJDSI-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN C[C@@]1(CNCc2cccc(Cl)c2F)OCCc2ccccc21 ZINC001560570818 1060381823 /nfs/dbraw/zinc/38/18/23/1060381823.db2.gz WRZGGDKLFKBHLM-SFHVURJKSA-N 0 3 319.807 4.057 20 0 DIADHN Cc1ccc(CNCc2cc(F)ccc2C)cc1Br ZINC001560578711 1060392278 /nfs/dbraw/zinc/39/22/78/1060392278.db2.gz FKFWNZOZPITJCL-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](CNCc2cc3ccccc3o2)O1 ZINC001560581149 1060393013 /nfs/dbraw/zinc/39/30/13/1060393013.db2.gz RCHOLJCNTWKYAI-SWLSCSKDSA-N 0 3 313.319 4.022 20 0 DIADHN FC1(F)[C@H](CNCc2ccccc2Cl)[C@H]1c1ccccc1 ZINC001560580757 1060394961 /nfs/dbraw/zinc/39/49/61/1060394961.db2.gz GKCQUTFGURPNDG-GDBMZVCRSA-N 0 3 307.771 4.479 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1csc(-c2ccsc2)n1 ZINC001560590301 1060402239 /nfs/dbraw/zinc/40/22/39/1060402239.db2.gz ROFJDBFHBPTCMO-WCUVEOEZSA-N 0 3 304.484 4.253 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1c(F)cccc1OC(F)(F)F ZINC001560590385 1060403004 /nfs/dbraw/zinc/40/30/04/1060403004.db2.gz UISIJIVEQUIOCD-RHYQMDGZSA-N 0 3 317.326 4.106 20 0 DIADHN Brc1ncccc1CNC1(C2CCCCC2)CC1 ZINC001560614296 1060426339 /nfs/dbraw/zinc/42/63/39/1060426339.db2.gz FUVFVVYKRNFDMV-UHFFFAOYSA-N 0 3 309.251 4.047 20 0 DIADHN Cc1cc2cc(CNC[C@@H]3OCc4ccccc43)oc2cc1C ZINC001560620447 1060433028 /nfs/dbraw/zinc/43/30/28/1060433028.db2.gz YMPLYTNGQIQGJT-FQEVSTJZSA-N 0 3 307.393 4.411 20 0 DIADHN CCOC(=O)CN(CC(C)C)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC001560630677 1060441627 /nfs/dbraw/zinc/44/16/27/1060441627.db2.gz FYXAYHUVYBCERB-ICSRJNTNSA-N 0 3 317.473 4.018 20 0 DIADHN CN(C)c1c(CN2CCC3(C2)CCCCC3)ccc(F)c1F ZINC001560631485 1060445709 /nfs/dbraw/zinc/44/57/09/1060445709.db2.gz DEMPRIIHOBHEJK-UHFFFAOYSA-N 0 3 308.416 4.187 20 0 DIADHN COc1nc(CN2CCc3ccccc3[C@@H]2C(C)C)ccc1C ZINC001560637690 1060451664 /nfs/dbraw/zinc/45/16/64/1060451664.db2.gz LRHSCOLOWBEAKY-IBGZPJMESA-N 0 3 310.441 4.154 20 0 DIADHN CN(C)Cc1cccc(Nc2ccc3c(c2)C(=O)CCCC3)c1 ZINC001249893214 1060456077 /nfs/dbraw/zinc/45/60/77/1060456077.db2.gz JBSHVKHNTWXGLR-UHFFFAOYSA-N 0 3 308.425 4.401 20 0 DIADHN O=c1ccc(CN2Cc3ccccc3C[C@H]2c2ccccc2)c[nH]1 ZINC001560641053 1060460358 /nfs/dbraw/zinc/46/03/58/1060460358.db2.gz RJFZEMLSHAXGEE-FQEVSTJZSA-N 0 3 316.404 4.087 20 0 DIADHN CCOc1ccc(CN(C)[C@H]2C[C@H](OCC)C2(CC)CC)o1 ZINC001560645134 1060466292 /nfs/dbraw/zinc/46/62/92/1060466292.db2.gz XJOFFUQIXGIFJD-HOTGVXAUSA-N 0 3 309.450 4.094 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(OCC)o2)C1(C)C ZINC001560645929 1060466593 /nfs/dbraw/zinc/46/65/93/1060466593.db2.gz JONXNFALLPZJAW-JKSUJKDBSA-N 0 3 309.450 4.094 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2cccn2C(F)F)c1 ZINC001560645912 1060468570 /nfs/dbraw/zinc/46/85/70/1060468570.db2.gz JGWZKDPBPFNXGL-HNNXBMFYSA-N 0 3 320.383 4.099 20 0 DIADHN CCc1cc(OC)ccc1CN(CCC(F)(F)F)CC1CC1 ZINC001560648357 1060470674 /nfs/dbraw/zinc/47/06/74/1060470674.db2.gz OGNXJANSFOWVQP-UHFFFAOYSA-N 0 3 315.379 4.422 20 0 DIADHN CC(C)CCN(Cc1cn(C)nc1C1CCCCC1)C1CC1 ZINC001560650697 1060473495 /nfs/dbraw/zinc/47/34/95/1060473495.db2.gz VEFCJDUSSFIZEB-UHFFFAOYSA-N 0 3 303.494 4.478 20 0 DIADHN CCN(CC)Cc1ccc(F)c(CC(=O)c2ccc(C)cc2)c1 ZINC001249913004 1060477368 /nfs/dbraw/zinc/47/73/68/1060477368.db2.gz UPUHOUOMORPAFR-UHFFFAOYSA-N 0 3 313.416 4.401 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)cnc1F ZINC001560655011 1060477746 /nfs/dbraw/zinc/47/77/46/1060477746.db2.gz KAEFEERNJVRTBK-KRWDZBQOSA-N 0 3 323.415 4.390 20 0 DIADHN CC(C)C1(CN2CCN([C@H](C)c3ccc(F)cc3F)CC2)CC1 ZINC001560652648 1060478092 /nfs/dbraw/zinc/47/80/92/1060478092.db2.gz BJWJYOCHYNMUEI-OAHLLOKOSA-N 0 3 322.443 4.080 20 0 DIADHN CC(C)Cn1nccc1CN1C[C@@H](c2ccc(F)cc2)C[C@H]1C ZINC001560655989 1060481659 /nfs/dbraw/zinc/48/16/59/1060481659.db2.gz PSDSXNKJELRAHH-WBVHZDCISA-N 0 3 315.436 4.056 20 0 DIADHN CC1(C)CN(Cc2ccc(-n3cccn3)cc2)[C@@H]1c1ccccc1 ZINC001560659123 1060484961 /nfs/dbraw/zinc/48/49/61/1060484961.db2.gz YOCTWOJEIFRAOA-HXUWFJFHSA-N 0 3 317.436 4.455 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C2CCC2)cnc1Cl ZINC001249932023 1060489117 /nfs/dbraw/zinc/48/91/17/1060489117.db2.gz IAVSBBSKOHYPOC-UHFFFAOYSA-N 0 3 316.832 4.298 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1nc2cc(F)ccc2o1 ZINC001560663715 1060489742 /nfs/dbraw/zinc/48/97/42/1060489742.db2.gz SUAWUXQEOALFDX-NSHDSACASA-N 0 3 304.390 4.091 20 0 DIADHN CC[C@@]1(C)CCN(Cc2nc(-c3cccc(OC)c3)cs2)C1 ZINC001560665635 1060493907 /nfs/dbraw/zinc/49/39/07/1060493907.db2.gz FDBPSHPPIAAPSA-SFHVURJKSA-N 0 3 316.470 4.441 20 0 DIADHN CN(C)c1cc(F)cc(CN2CCC[C@@H]2c2cccs2)c1 ZINC001249953626 1060499652 /nfs/dbraw/zinc/49/96/52/1060499652.db2.gz PGADXOUOSKBRJZ-MRXNPFEDSA-N 0 3 304.434 4.290 20 0 DIADHN CN(C)c1cc(F)cc(CN(C)Cc2ccc(Cl)cc2)c1 ZINC001249955419 1060499964 /nfs/dbraw/zinc/49/99/64/1060499964.db2.gz MBFGZATXSWCIFM-UHFFFAOYSA-N 0 3 306.812 4.177 20 0 DIADHN CC(C)CCC1CCN(Cc2ccc3oc(=O)oc3c2)CC1 ZINC001560672115 1060506685 /nfs/dbraw/zinc/50/66/85/1060506685.db2.gz WUSIFRMLJNZGFR-UHFFFAOYSA-N 0 3 303.402 4.034 20 0 DIADHN CCN1C[C@@H](C)N(Cc2ccc(Cl)c(C)c2Cl)C[C@@H]1C ZINC001560676581 1060509354 /nfs/dbraw/zinc/50/93/54/1060509354.db2.gz GWJBIZOGUSJPBS-NWDGAFQWSA-N 0 3 315.288 4.216 20 0 DIADHN Cc1noc2ncc(CN3CCC[C@@H]3c3cccc(C)c3)cc12 ZINC001560675426 1060511893 /nfs/dbraw/zinc/51/18/93/1060511893.db2.gz RRTIMOSGOFZQLD-GOSISDBHSA-N 0 3 307.397 4.177 20 0 DIADHN Cc1cccc(CN(Cc2cccnc2N(C)C)CC(C)C)c1 ZINC001560678593 1060514996 /nfs/dbraw/zinc/51/49/96/1060514996.db2.gz KIZIZNBQYUADSI-UHFFFAOYSA-N 0 3 311.473 4.114 20 0 DIADHN Cc1ccccc1[C@@H]1CN(Cc2cccc3c2CCC3)[C@H](C)CO1 ZINC001560678444 1060515544 /nfs/dbraw/zinc/51/55/44/1060515544.db2.gz CRKHZACQNXBHEE-VGSWGCGISA-N 0 3 321.464 4.446 20 0 DIADHN C[C@@H]1CN(Cc2ccnc(OCc3ccccc3)c2)C2(CC2)C1 ZINC001249978979 1060517726 /nfs/dbraw/zinc/51/77/26/1060517726.db2.gz VIVRQZZVFNIADH-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN Cc1ccccc1OCCCN(C)Cc1cc2ccccc2n1C ZINC001560681377 1060521976 /nfs/dbraw/zinc/52/19/76/1060521976.db2.gz YFJQLGNGNQGNRM-UHFFFAOYSA-N 0 3 322.452 4.388 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CC[C@H](C)[C@H](C)C1 ZINC001560685890 1060533686 /nfs/dbraw/zinc/53/36/86/1060533686.db2.gz YXPIDPBERRXASG-NWDGAFQWSA-N 0 3 303.475 4.060 20 0 DIADHN Cc1ccc2ncc(CN(C)CCCCc3ccccc3)n2c1 ZINC001560692908 1060541600 /nfs/dbraw/zinc/54/16/00/1060541600.db2.gz QZFHAKRJOKNERU-UHFFFAOYSA-N 0 3 307.441 4.097 20 0 DIADHN CN(CCCCc1ccccc1)Cc1cnn(CC2CCC2)c1 ZINC001560692017 1060542325 /nfs/dbraw/zinc/54/23/25/1060542325.db2.gz DIBCTYVLOHRKAX-UHFFFAOYSA-N 0 3 311.473 4.138 20 0 DIADHN CNc1ccccc1CN(C)[C@@H]1CCCc2nc(C)sc21 ZINC001560702290 1060557604 /nfs/dbraw/zinc/55/76/04/1060557604.db2.gz OCBYOWMTDZDSQD-MRXNPFEDSA-N 0 3 301.459 4.003 20 0 DIADHN CCOc1cc(OC)ccc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001250062562 1060574514 /nfs/dbraw/zinc/57/45/14/1060574514.db2.gz LIJXMQNMVBRGGS-INIZCTEOSA-N 0 3 321.436 4.198 20 0 DIADHN CCOC(=O)c1ccc(CN(Cc2ccccc2)[C@H](C)CC)o1 ZINC001560719577 1060581903 /nfs/dbraw/zinc/58/19/03/1060581903.db2.gz NTUDGCRVRSCAKP-OAHLLOKOSA-N 0 3 315.413 4.257 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccccc1OCC(F)F ZINC001560725805 1060588766 /nfs/dbraw/zinc/58/87/66/1060588766.db2.gz QLEJVCFGTAGACV-INIZCTEOSA-N 0 3 320.383 4.006 20 0 DIADHN CCCN1CCN(Cc2ccc3oc4ccccc4c3c2)CC1 ZINC001560724579 1060589631 /nfs/dbraw/zinc/58/96/31/1060589631.db2.gz OJDZAVSZWLIEOT-UHFFFAOYSA-N 0 3 308.425 4.114 20 0 DIADHN CCOc1cc(C[N@H+]([C@@H](C)C(C)C)C2CC2)cc(Cl)c1[O-] ZINC001560724459 1060590850 /nfs/dbraw/zinc/59/08/50/1060590850.db2.gz KFCUQDPYMLZKDF-LBPRGKRZSA-N 0 3 311.853 4.453 20 0 DIADHN CCOc1cc(C[N@@H+]([C@@H](C)C(C)C)C2CC2)cc(Cl)c1[O-] ZINC001560724459 1060590867 /nfs/dbraw/zinc/59/08/67/1060590867.db2.gz KFCUQDPYMLZKDF-LBPRGKRZSA-N 0 3 311.853 4.453 20 0 DIADHN COc1cc(Cl)c(CN(C)CC2CCCCC2)cc1OC ZINC001560727491 1060595583 /nfs/dbraw/zinc/59/55/83/1060595583.db2.gz JBWOWOJBONDNIF-UHFFFAOYSA-N 0 3 311.853 4.369 20 0 DIADHN CCCCCN(CCCOC)Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001560749712 1060616787 /nfs/dbraw/zinc/61/67/87/1060616787.db2.gz LDZAEPKWKNFZNB-HNNXBMFYSA-N 0 3 324.534 4.297 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2ccc(O)c(F)c2)c1 ZINC001560752808 1060618503 /nfs/dbraw/zinc/61/85/03/1060618503.db2.gz NYEUKYSRMPYGPP-ACJLOTCBSA-N 0 3 315.388 4.123 20 0 DIADHN Cc1n[nH]c(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)c1C ZINC001560751270 1060618997 /nfs/dbraw/zinc/61/89/97/1060618997.db2.gz KPPLILMEEWMEKT-AWEZNQCLSA-N 0 3 323.362 4.035 20 0 DIADHN COC(=O)c1[nH]c(CN2CCCC3(CCCCC3)CC2)cc1C ZINC001560759643 1060624551 /nfs/dbraw/zinc/62/45/51/1060624551.db2.gz HZLQMHCRVYYESQ-UHFFFAOYSA-N 0 3 318.461 4.046 20 0 DIADHN CC(C)c1nnc(CNC[C@@H](Cc2ccccc2)C(C)C)s1 ZINC001560767656 1060631770 /nfs/dbraw/zinc/63/17/70/1060631770.db2.gz CJHFBXPAEDBIJR-MRXNPFEDSA-N 0 3 317.502 4.266 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H](C)Cc1ccccc1F ZINC001560769191 1060634432 /nfs/dbraw/zinc/63/44/32/1060634432.db2.gz UGXDGIDZADACBJ-ZDUSSCGKSA-N 0 3 313.372 4.301 20 0 DIADHN CCSc1cccc(CN2CCC[C@@H](OCC3CC3)C2)c1 ZINC001560775424 1060639241 /nfs/dbraw/zinc/63/92/41/1060639241.db2.gz JHZHAGLWBYZYQK-QGZVFWFLSA-N 0 3 305.487 4.190 20 0 DIADHN CCN(Cc1ccnn1CC1CCC1)[C@H](C)Cc1ccsc1 ZINC001560776826 1060640046 /nfs/dbraw/zinc/64/00/46/1060640046.db2.gz AUUFNCWPNYRATL-OAHLLOKOSA-N 0 3 317.502 4.198 20 0 DIADHN CC(C)[C@@H](CN1CCOc2cccc(F)c2C1)c1ccccc1 ZINC001560784889 1060646705 /nfs/dbraw/zinc/64/67/05/1060646705.db2.gz XPWAAKOVHWILBJ-QGZVFWFLSA-N 0 3 313.416 4.460 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2ncc(C(F)(F)F)s2)[C@H]1C ZINC001560786964 1060648699 /nfs/dbraw/zinc/64/86/99/1060648699.db2.gz HEKQFBHLAXMNOC-OUAUKWLOSA-N 0 3 306.397 4.324 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)[C@H]2C)c2c(n1)CCCC2 ZINC001560797762 1060658334 /nfs/dbraw/zinc/65/83/34/1060658334.db2.gz NWFZXCWFSDLKKB-DOMZBBRYSA-N 0 3 312.379 4.042 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c2c(n1)CCCC2 ZINC001560797764 1060658975 /nfs/dbraw/zinc/65/89/75/1060658975.db2.gz NWFZXCWFSDLKKB-SWLSCSKDSA-N 0 3 312.379 4.042 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001250179256 1060660360 /nfs/dbraw/zinc/66/03/60/1060660360.db2.gz DSELNKSOXJKMJZ-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001560801098 1060660332 /nfs/dbraw/zinc/66/03/32/1060660332.db2.gz FXFJCTNUFXXABM-AAEUAGOBSA-N 0 3 324.877 4.075 20 0 DIADHN CCO[C@@H]1CCC[C@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC001560802936 1060663020 /nfs/dbraw/zinc/66/30/20/1060663020.db2.gz ISSITEVRRINCHN-ZVDOUQERSA-N 0 3 324.468 4.417 20 0 DIADHN CCO[C@@H]1CCC[C@H](N[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC001560802933 1060664071 /nfs/dbraw/zinc/66/40/71/1060664071.db2.gz ISSITEVRRINCHN-QKNQBKEWSA-N 0 3 324.468 4.417 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)NCC3CCCCC3)n2)cc1F ZINC001560807017 1060668853 /nfs/dbraw/zinc/66/88/53/1060668853.db2.gz TVAHGYLWBDQLCW-CYBMUJFWSA-N 0 3 317.408 4.415 20 0 DIADHN CC[C@@H]1CC[C@H]1NCc1cc(F)c(F)cc1Br ZINC001560817864 1060676137 /nfs/dbraw/zinc/67/61/37/1060676137.db2.gz IIOATRVCUUBFQG-AMIZOPFISA-N 0 3 304.178 4.006 20 0 DIADHN Cc1ccc(CN2CC[C@H](Oc3cccnc3)C2)c(C)c1Cl ZINC001250197930 1060677531 /nfs/dbraw/zinc/67/75/31/1060677531.db2.gz WRRCADPXCLNYHX-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1ncoc1CN1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC001560825699 1060684302 /nfs/dbraw/zinc/68/43/02/1060684302.db2.gz UTWBHNCCEUKEGD-CQSZACIVSA-N 0 3 324.346 4.381 20 0 DIADHN C[C@]1(c2ccccc2)CCCN(Cc2cn(C3CC3)cn2)CC1 ZINC001560825646 1060684690 /nfs/dbraw/zinc/68/46/90/1060684690.db2.gz SMYVLORONGDGTB-FQEVSTJZSA-N 0 3 309.457 4.162 20 0 DIADHN CC[C@H]1CN(CC)CCN1Cc1ccc(Cl)c(C)c1Cl ZINC001560832212 1060689181 /nfs/dbraw/zinc/68/91/81/1060689181.db2.gz UOMISFZOSGVBTR-AWEZNQCLSA-N 0 3 315.288 4.218 20 0 DIADHN COc1ccc(Cl)cc1Nc1ccc2c(c1)CN(C)CC2 ZINC001250210248 1060691069 /nfs/dbraw/zinc/69/10/69/1060691069.db2.gz OYDGFZDIKRYGKF-UHFFFAOYSA-N 0 3 302.805 4.080 20 0 DIADHN CC[C@@H](C)N1CCN([C@H]2C[C@H](C)Sc3sccc32)CC1 ZINC001560834867 1060691046 /nfs/dbraw/zinc/69/10/46/1060691046.db2.gz WWQOFOKDEIHKBD-IPYPFGDCSA-N 0 3 310.532 4.090 20 0 DIADHN CC(C)(CNCc1cccc(C(F)(F)F)n1)Oc1ccccc1 ZINC001560839987 1060695203 /nfs/dbraw/zinc/69/52/03/1060695203.db2.gz GBFBOQGNIQMIHJ-UHFFFAOYSA-N 0 3 324.346 4.048 20 0 DIADHN Cc1ccccc1CCN(C)Cc1ccc(Br)o1 ZINC001560853480 1060709710 /nfs/dbraw/zinc/70/97/10/1060709710.db2.gz ZRKVZEHSIOPVQS-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CNCc1ncc(C(F)(F)F)s1 ZINC001560860414 1060716749 /nfs/dbraw/zinc/71/67/49/1060716749.db2.gz MJEHNLODOFNOAY-GHMZBOCLSA-N 0 3 306.397 4.468 20 0 DIADHN C[C@H](CN1CC2(CCC2)[C@H]1[C@H]1CCCO1)c1cccc(Cl)c1 ZINC001560861010 1060720438 /nfs/dbraw/zinc/72/04/38/1060720438.db2.gz BMLFJHVLZJBYTC-ZTFGCOKTSA-N 0 3 319.876 4.477 20 0 DIADHN CCOC(=O)c1ccc(CN(C(C)C)[C@H](C)c2ccccc2)[nH]1 ZINC001560864470 1060723969 /nfs/dbraw/zinc/72/39/69/1060723969.db2.gz SASWVDYUALYQCA-OAHLLOKOSA-N 0 3 314.429 4.163 20 0 DIADHN Cc1ncc(Nc2cc(F)cc(C(F)(F)F)c2Cl)n1C ZINC001250244550 1060725138 /nfs/dbraw/zinc/72/51/38/1060725138.db2.gz NRXSZUGZSMWEQL-UHFFFAOYSA-N 0 3 307.678 4.283 20 0 DIADHN CCCSC[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001560865276 1060725033 /nfs/dbraw/zinc/72/50/33/1060725033.db2.gz VTCJCTJCLKOBHC-ZDUSSCGKSA-N 0 3 306.500 4.431 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2occc2C)[C@H]1Sc1ccccc1 ZINC001560865390 1060725370 /nfs/dbraw/zinc/72/53/70/1060725370.db2.gz YHHUZBSIBVITSG-JFIYKMOQSA-N 0 3 317.454 4.016 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN(C)C1CC(C)(C)C1 ZINC001560878716 1060738407 /nfs/dbraw/zinc/73/84/07/1060738407.db2.gz CXEHCOZEKSLNBO-UHFFFAOYSA-N 0 3 311.473 4.418 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnn(CC3CCC3)c2)CC12CCCC2 ZINC001560883801 1060746766 /nfs/dbraw/zinc/74/67/66/1060746766.db2.gz GDVCZQDOEQVTMY-SFHVURJKSA-N 0 3 301.478 4.084 20 0 DIADHN COc1cccnc1CNCC1CCC(c2ccccc2)CC1 ZINC001560888100 1060750786 /nfs/dbraw/zinc/75/07/86/1060750786.db2.gz UCNVOKKBWKIECC-UHFFFAOYSA-N 0 3 310.441 4.154 20 0 DIADHN Fc1ccc2nc(CN3CC[C@@H](C4CCCC4)C3)sc2c1 ZINC001560894956 1060756799 /nfs/dbraw/zinc/75/67/99/1060756799.db2.gz ZENAOWPUWLPESY-CYBMUJFWSA-N 0 3 304.434 4.448 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1ccc(F)c(OC)c1 ZINC001560895117 1060757009 /nfs/dbraw/zinc/75/70/09/1060757009.db2.gz FRUIUMOPHASPKY-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN Cn1ncc(C2CC2)c1CN1CC(C)(C)C[C@H]1c1ccccc1 ZINC001560899147 1060762360 /nfs/dbraw/zinc/76/23/60/1060762360.db2.gz YYIUFRDNDNOCAD-SFHVURJKSA-N 0 3 309.457 4.271 20 0 DIADHN Cc1cc(CN2CCCC[C@H]2CCC(=O)OC(C)(C)C)c(C)o1 ZINC001560899265 1060763378 /nfs/dbraw/zinc/76/33/78/1060763378.db2.gz NVDQKWVPMBEQDC-KRWDZBQOSA-N 0 3 321.461 4.373 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@]1(C)Cc1ccccc1F ZINC001560901539 1060766036 /nfs/dbraw/zinc/76/60/36/1060766036.db2.gz WDHWYOFTWYXFDI-LJQANCHMSA-N 0 3 316.395 4.265 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC001560903896 1060766883 /nfs/dbraw/zinc/76/68/83/1060766883.db2.gz HMSLFNOPYBCLRH-ZFWWWQNUSA-N 0 3 302.443 4.476 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccsc2)CCc2ccccc21 ZINC001560918373 1060779705 /nfs/dbraw/zinc/77/97/05/1060779705.db2.gz RQXBPPPEROAQCV-CQSZACIVSA-N 0 3 323.465 4.300 20 0 DIADHN CC(C)O[C@@H]1CCCN(Cc2ccccc2CC(F)(F)F)C1 ZINC001560918476 1060780640 /nfs/dbraw/zinc/78/06/40/1060780640.db2.gz VXJNTGMGENMEOD-MRXNPFEDSA-N 0 3 315.379 4.181 20 0 DIADHN FC(F)(F)Cc1ccccc1CN1CCC2(CC2(F)F)CC1 ZINC001560919556 1060782267 /nfs/dbraw/zinc/78/22/67/1060782267.db2.gz HLTCJAGCOPWLPX-UHFFFAOYSA-N 0 3 319.317 4.413 20 0 DIADHN Cc1cccc(CN2CC[C@@](C)(c3cccc(F)c3)C2)c1F ZINC001560920154 1060782884 /nfs/dbraw/zinc/78/28/84/1060782884.db2.gz WZCSBEXAXFPEQJ-LJQANCHMSA-N 0 3 301.380 4.437 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)Cc1ccc(O)c(F)c1F ZINC001560919578 1060783934 /nfs/dbraw/zinc/78/39/34/1060783934.db2.gz IGDOSVLDPJQLTK-GFCCVEGCSA-N 0 3 323.408 4.283 20 0 DIADHN Brc1ccc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001560922624 1060785900 /nfs/dbraw/zinc/78/59/00/1060785900.db2.gz BFDIQJGLUAOHBR-UHFFFAOYSA-N 0 3 323.278 4.390 20 0 DIADHN CCOc1nccc(CN2CCC[C@H]3CCCC[C@H]32)c1Cl ZINC001250383478 1060792862 /nfs/dbraw/zinc/79/28/62/1060792862.db2.gz ZCSIZDCRMTWOBL-UKRRQHHQSA-N 0 3 308.853 4.288 20 0 DIADHN CCOC(=O)CC(C)(C)CNCc1c(Cl)oc2ccccc21 ZINC001560930868 1060793144 /nfs/dbraw/zinc/79/31/44/1060793144.db2.gz QMFNNWQCHSUYSZ-UHFFFAOYSA-N 0 3 323.820 4.155 20 0 DIADHN CC(C)=CCC[C@H](C)c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001250422864 1060797301 /nfs/dbraw/zinc/79/73/01/1060797301.db2.gz ZTDYCXLLOHBYFC-HNNXBMFYSA-N 0 3 313.445 4.249 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001560942801 1060806367 /nfs/dbraw/zinc/80/63/67/1060806367.db2.gz UAKODCLHAGFRPV-WBVHZDCISA-N 0 3 313.489 4.125 20 0 DIADHN CC(C)O[C@@H](CN1CC(C)(c2ccccc2)C1)c1ccccc1 ZINC001560943221 1060806928 /nfs/dbraw/zinc/80/69/28/1060806928.db2.gz ZRBFGAGOPUMJLM-FQEVSTJZSA-N 0 3 309.453 4.426 20 0 DIADHN CC(C)CCO[C@@H]1CCN(CCc2ccc(F)cc2Cl)C1 ZINC001560950787 1060817722 /nfs/dbraw/zinc/81/77/22/1060817722.db2.gz OECXPYOIBVVBHO-MRXNPFEDSA-N 0 3 313.844 4.159 20 0 DIADHN C[C@H](NCc1c(Cl)cccc1OC(F)F)[C@@H]1C[C@H]1C1CC1 ZINC001560971717 1060834523 /nfs/dbraw/zinc/83/45/23/1060834523.db2.gz OOJQHERJRIDDPG-DLOVCJGASA-N 0 3 315.791 4.466 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC001560972792 1060838331 /nfs/dbraw/zinc/83/83/31/1060838331.db2.gz YKEMUBHKUJYJPR-IRXDYDNUSA-N 0 3 305.487 4.050 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2noc3cc(F)ccc23)C1(C)C ZINC001560981180 1060845319 /nfs/dbraw/zinc/84/53/19/1060845319.db2.gz KLYVSIMDXGNGEL-HZPDHXFCSA-N 0 3 320.408 4.039 20 0 DIADHN CC(C)(NCc1cc(Cl)ccc1F)[C@H](O)c1ccccc1 ZINC001560984319 1060847428 /nfs/dbraw/zinc/84/74/28/1060847428.db2.gz VZGBTFIANIIHAU-MRXNPFEDSA-N 0 3 307.796 4.081 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H](C)[C@H]1CCCCO1 ZINC001560989432 1060854273 /nfs/dbraw/zinc/85/42/73/1060854273.db2.gz CMMJYCWZYPNRCI-XJKSGUPXSA-N 0 3 311.853 4.174 20 0 DIADHN COc1cncc(CN2CCC(CCc3ccccc3)CC2)c1C ZINC001561009525 1060869061 /nfs/dbraw/zinc/86/90/61/1060869061.db2.gz DJNMISFPFIQCHS-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN COc1cccc(-c2cc(CNC3CC4(C3)CCCC4)on2)c1 ZINC001561022812 1060880901 /nfs/dbraw/zinc/88/09/01/1060880901.db2.gz ZQHDTDFRJBLZNP-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN Cc1cccc(C)c1CN(C)Cc1cc(F)ccc1N(C)C ZINC001561025816 1060882379 /nfs/dbraw/zinc/88/23/79/1060882379.db2.gz KVXDSSIZWTWKSK-UHFFFAOYSA-N 0 3 300.421 4.141 20 0 DIADHN C[C@H]1C[C@@H](N2CCC(O)(C3CCC3)CC2)c2ccsc2S1 ZINC001561031483 1060884923 /nfs/dbraw/zinc/88/49/23/1060884923.db2.gz RZCOTSZFGFZVAS-SWLSCSKDSA-N 0 3 323.527 4.301 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@@H](C(N)=O)c1ccc(Cl)cc1 ZINC001561047090 1060896349 /nfs/dbraw/zinc/89/63/49/1060896349.db2.gz FNKNYBVECUBSPS-DLBZAZTESA-N 0 3 322.880 4.205 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1ncccc1Br ZINC001561048576 1060899405 /nfs/dbraw/zinc/89/94/05/1060899405.db2.gz YTMOKDQJDGTZPU-QWHCGFSZSA-N 0 3 313.283 4.395 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2ccc(OCC3CC3)cc2)CCO1 ZINC001561051641 1060902957 /nfs/dbraw/zinc/90/29/57/1060902957.db2.gz FAGYQTKDIGKFNP-IBGZPJMESA-N 0 3 317.473 4.112 20 0 DIADHN COC(C)(C)CCC[C@H](C)Cc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250568227 1060904448 /nfs/dbraw/zinc/90/44/48/1060904448.db2.gz UMNZOFWTZKTXTN-AWEZNQCLSA-N 0 3 317.477 4.403 20 0 DIADHN COc1ccc2nc([C@H]3CCCCN3Cc3ccccc3)[nH]c2c1 ZINC001250572177 1060910594 /nfs/dbraw/zinc/91/05/94/1060910594.db2.gz KZOQFFULCKVZCE-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN Fc1ccc(CCc2nc3ccc(N4CCCC4)cc3[nH]2)cc1 ZINC001250578341 1060912213 /nfs/dbraw/zinc/91/22/13/1060912213.db2.gz LCYWWTWEGOFIHY-UHFFFAOYSA-N 0 3 309.388 4.087 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](C)CC[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC001462121488 1060942333 /nfs/dbraw/zinc/94/23/33/1060942333.db2.gz USXHVBZRJNQYSP-IATRGZMQSA-N 0 3 317.408 4.351 20 0 DIADHN CCOC(=O)/C=C/CN(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001473195859 1060952856 /nfs/dbraw/zinc/95/28/56/1060952856.db2.gz KEYCZWBWOMSAPL-YRYLYKBFSA-N 0 3 323.436 4.466 20 0 DIADHN CCOC(=O)/C=C\CN(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001473195856 1060954750 /nfs/dbraw/zinc/95/47/50/1060954750.db2.gz KEYCZWBWOMSAPL-NLZINVLXSA-N 0 3 323.436 4.466 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc([Si](C)(C)C)cc1 ZINC001473200580 1060958785 /nfs/dbraw/zinc/95/87/85/1060958785.db2.gz HDMUPZRUUNKRPN-MRXNPFEDSA-N 0 3 309.529 4.179 20 0 DIADHN Nc1ccncc1-c1nc2ccc(Cl)cc2n1-c1ccccc1 ZINC001250626266 1060959712 /nfs/dbraw/zinc/95/97/12/1060959712.db2.gz CCPMYXUVSRALCW-UHFFFAOYSA-N 0 3 320.783 4.153 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2Cc3ccccc3C[C@@H]2C)cc1 ZINC001473201823 1060961725 /nfs/dbraw/zinc/96/17/25/1060961725.db2.gz XMFDGCZZEFGLTI-INIZCTEOSA-N 0 3 323.436 4.199 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H]3C(C)(C)C)co2)c1 ZINC001473201216 1060963099 /nfs/dbraw/zinc/96/30/99/1060963099.db2.gz NNUDESAUBORLID-QGZVFWFLSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2Cc3ccccc3C[C@H]2C)cc1 ZINC001473201825 1060963998 /nfs/dbraw/zinc/96/39/98/1060963998.db2.gz XMFDGCZZEFGLTI-MRXNPFEDSA-N 0 3 323.436 4.199 20 0 DIADHN CC1=C(C)CN(Cc2cnc(-c3ccccc3F)s2)CC1 ZINC001462158509 1060976724 /nfs/dbraw/zinc/97/67/24/1060976724.db2.gz SHDUSIWXACBHKL-UHFFFAOYSA-N 0 3 302.418 4.491 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CC[C@@](C)(CCc2ccccc2)C1 ZINC001473212106 1060979617 /nfs/dbraw/zinc/97/96/17/1060979617.db2.gz DUQRBNJCIQPREJ-UYAOXDASSA-N 0 3 317.473 4.063 20 0 DIADHN CCO[C@H](CNCc1ccc(Cl)cc1F)c1ccccc1 ZINC001462162695 1060981164 /nfs/dbraw/zinc/98/11/64/1060981164.db2.gz GMDVFTHEPGBYKP-QGZVFWFLSA-N 0 3 307.796 4.347 20 0 DIADHN C[C@H]([C@@H](C)c1ccc(Cl)cc1)N(C)Cc1ccncc1F ZINC001473216116 1060988122 /nfs/dbraw/zinc/98/81/22/1060988122.db2.gz SZDHUSNCTMUABR-CHWSQXEVSA-N 0 3 306.812 4.498 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC001473218553 1060991594 /nfs/dbraw/zinc/99/15/94/1060991594.db2.gz SAVOFURADPQYMZ-HUUCEWRRSA-N 0 3 321.436 4.331 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(C(C)C)nc2C)cc1 ZINC001473220067 1060994848 /nfs/dbraw/zinc/99/48/48/1060994848.db2.gz YZJLQNCVRABAEC-LJQANCHMSA-N 0 3 324.468 4.339 20 0 DIADHN Fc1cccnc1CN[C@H]1CCC[C@H]1c1ccccc1Cl ZINC001462184704 1061005096 /nfs/dbraw/zinc/00/50/96/1061005096.db2.gz SHWZFFOUFARISI-BBRMVZONSA-N 0 3 304.796 4.300 20 0 DIADHN Clc1ccc(C2=CCN(CCOCC3CCC3)CC2)cc1 ZINC001473242412 1061018069 /nfs/dbraw/zinc/01/80/69/1061018069.db2.gz BPQBFYYOENLHPL-UHFFFAOYSA-N 0 3 305.849 4.246 20 0 DIADHN COc1cccc(-c2c[nH]c([C@@H]3CCCN3CCC3CC3)n2)c1 ZINC001473243497 1061019779 /nfs/dbraw/zinc/01/97/79/1061019779.db2.gz KGNCSMAMPOEMAC-SFHVURJKSA-N 0 3 311.429 4.022 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001473245530 1061021084 /nfs/dbraw/zinc/02/10/84/1061021084.db2.gz SQZXQSRKHWIING-CXAGYDPISA-N 0 3 322.880 4.488 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001473245531 1061021377 /nfs/dbraw/zinc/02/13/77/1061021377.db2.gz SQZXQSRKHWIING-DYVFJYSZSA-N 0 3 322.880 4.488 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001473245532 1061022169 /nfs/dbraw/zinc/02/21/69/1061022169.db2.gz SQZXQSRKHWIING-GUYCJALGSA-N 0 3 322.880 4.488 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001473245533 1061022879 /nfs/dbraw/zinc/02/28/79/1061022879.db2.gz SQZXQSRKHWIING-SUMWQHHRSA-N 0 3 322.880 4.488 20 0 DIADHN CO[C@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)C1CCC1 ZINC001473248452 1061024317 /nfs/dbraw/zinc/02/43/17/1061024317.db2.gz SUMCLULSIDJTIL-WOJBJXKFSA-N 0 3 313.416 4.320 20 0 DIADHN Fc1ccc([C@H](NCCc2ccns2)c2ccccc2)cc1 ZINC001473248925 1061026000 /nfs/dbraw/zinc/02/60/00/1061026000.db2.gz ZOUOAIXVHJUMQL-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN COC(=O)/C(C)=C/CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC001473250049 1061027216 /nfs/dbraw/zinc/02/72/16/1061027216.db2.gz DLGOKYFGEUKPLJ-GXDHUFHOSA-N 0 3 321.848 4.208 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3cc4cnccc4o3)ccc2[nH]1 ZINC001462209227 1061027994 /nfs/dbraw/zinc/02/79/94/1061027994.db2.gz GTOBBXOWSFTQJN-ZDUSSCGKSA-N 0 3 305.381 4.468 20 0 DIADHN CCc1ccccc1CN1CCN(c2ccc(Cl)cc2)CC1 ZINC001473257122 1061033402 /nfs/dbraw/zinc/03/34/02/1061033402.db2.gz BIMOBJANAOGWSC-UHFFFAOYSA-N 0 3 314.860 4.225 20 0 DIADHN CCCCOCCN(Cc1ccc(OC)cc1OC)C(C)(C)C ZINC001473265003 1061039768 /nfs/dbraw/zinc/03/97/68/1061039768.db2.gz JSSKSUZSAMIWQY-UHFFFAOYSA-N 0 3 323.477 4.121 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1cc2n(n1)CCCC2 ZINC001473269485 1061042671 /nfs/dbraw/zinc/04/26/71/1061042671.db2.gz DFFORJYXAHJLRA-IBGZPJMESA-N 0 3 311.473 4.063 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)cc1C ZINC001473271569 1061045980 /nfs/dbraw/zinc/04/59/80/1061045980.db2.gz DXNYGYWOUMQZSK-NRFANRHFSA-N 0 3 322.452 4.373 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1ncccc1Br ZINC001473271824 1061046550 /nfs/dbraw/zinc/04/65/50/1061046550.db2.gz CBHDRAVUNBXEAC-SWLSCSKDSA-N 0 3 311.267 4.245 20 0 DIADHN CC[C@H]1CN(CC)CCN1Cc1ccc(-c2ccc(F)cc2)o1 ZINC001462233604 1061047050 /nfs/dbraw/zinc/04/70/50/1061047050.db2.gz RDSOXOQMQZQOJZ-KRWDZBQOSA-N 0 3 316.420 4.002 20 0 DIADHN O[C@@H](CN(Cc1ccccc1F)C1CCC1)c1cccc(F)c1 ZINC001473276475 1061047665 /nfs/dbraw/zinc/04/76/65/1061047665.db2.gz HDMMOEWMWTWFRA-IBGZPJMESA-N 0 3 317.379 4.053 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@@H](CC(C)C)C2)c(C)c1 ZINC001473278431 1061048876 /nfs/dbraw/zinc/04/88/76/1061048876.db2.gz UQQAXQWTHJZHMJ-MSOLQXFVSA-N 0 3 316.489 4.389 20 0 DIADHN CC(C)C[C@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001473275360 1061050090 /nfs/dbraw/zinc/05/00/90/1061050090.db2.gz CGHCZRLDNWMMKQ-CQSZACIVSA-N 0 3 323.864 4.369 20 0 DIADHN CC[C@H](CN1CCc2ccccc2[C@@H](c2ccccc2)[C@H]1C)OC ZINC001473286413 1061054396 /nfs/dbraw/zinc/05/43/96/1061054396.db2.gz ITTNBXWPFDJNKR-NQSCKRDGSA-N 0 3 323.480 4.490 20 0 DIADHN c1ccc([C@@H]2Cc3ccccc3CN2CCOC2CCC2)cc1 ZINC001473288660 1061057359 /nfs/dbraw/zinc/05/73/59/1061057359.db2.gz DETQKRVKTLODEB-NRFANRHFSA-N 0 3 307.437 4.355 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462256319 1061058287 /nfs/dbraw/zinc/05/82/87/1061058287.db2.gz WPAFKBWZLLTAGB-BMIGLBTASA-N 0 3 323.333 4.451 20 0 DIADHN COC/C(C)=C\CN1Cc2ccccc2C[C@H]1c1ccccc1 ZINC001473289503 1061059160 /nfs/dbraw/zinc/05/91/60/1061059160.db2.gz KRTPJDFHDBXUCM-ZIBIDDQLSA-N 0 3 307.437 4.379 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCSC[C@H]2C2CC2)c(C)c1 ZINC001462261487 1061059275 /nfs/dbraw/zinc/05/92/75/1061059275.db2.gz UXSMSJDDRRHTDS-HMECNJMJSA-N 0 3 301.499 4.452 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001462273066 1061065783 /nfs/dbraw/zinc/06/57/83/1061065783.db2.gz HEZXVHWJEDJKOO-LYRGGWFBSA-N 0 3 311.828 4.477 20 0 DIADHN CC[C@@H](CO)N(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001473302803 1061067135 /nfs/dbraw/zinc/06/71/35/1061067135.db2.gz ABPPZOYRLSPXMP-INIZCTEOSA-N 0 3 321.823 4.252 20 0 DIADHN CN(CC[C@H]1CCCC1(F)F)Cc1cc(F)c(F)c(F)c1 ZINC001473307772 1061071467 /nfs/dbraw/zinc/07/14/67/1061071467.db2.gz IPXRRPBVTXIBPI-LLVKDONJSA-N 0 3 307.306 4.361 20 0 DIADHN C[C@@H](NCc1ccn(-c2cccc(F)c2)n1)[C@H](C)c1ccccc1 ZINC001473314265 1061079351 /nfs/dbraw/zinc/07/93/51/1061079351.db2.gz UCDXUTCYVLVFQD-JKSUJKDBSA-N 0 3 323.415 4.293 20 0 DIADHN CN(CCNC1(c2ccc(F)cc2F)CCC1)c1ccccc1 ZINC001473324335 1061087982 /nfs/dbraw/zinc/08/79/82/1061087982.db2.gz BSOPBYSGIPBBTB-UHFFFAOYSA-N 0 3 316.395 4.070 20 0 DIADHN Clc1cccc([C@@H](NCC2=NOCC2)C2CCCCC2)c1 ZINC001473326880 1061088440 /nfs/dbraw/zinc/08/84/40/1061088440.db2.gz JYZFPVQMEBMBIJ-KRWDZBQOSA-N 0 3 306.837 4.327 20 0 DIADHN C[C@H](NCc1ccc2c(c1)COC2)c1ccc(OC(F)F)cc1 ZINC001473328976 1061089259 /nfs/dbraw/zinc/08/92/59/1061089259.db2.gz UERDBVZBWJCKCN-LBPRGKRZSA-N 0 3 319.351 4.169 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccnn1C)[C@H]1CCC[C@H](C)C1 ZINC001473331707 1061091833 /nfs/dbraw/zinc/09/18/33/1061091833.db2.gz MCMASJFRXVBQHG-RIFZZMRRSA-N 0 3 311.473 4.386 20 0 DIADHN CC[C@@](C)(NCc1cccc2c1OC(F)(F)O2)c1ccccc1 ZINC001473331946 1061093218 /nfs/dbraw/zinc/09/32/18/1061093218.db2.gz QSEZQQKISAJPLW-QGZVFWFLSA-N 0 3 319.351 4.423 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)C(=O)N[C@H](C)c2ccccc2)c1C ZINC001473335209 1061094493 /nfs/dbraw/zinc/09/44/93/1061094493.db2.gz WNFUMFLBHKXRSO-KURKYZTESA-N 0 3 324.468 4.220 20 0 DIADHN COc1cc2c(cc1CN1CC[C@@H](C(C)(C)C)C[C@@H]1C)OCO2 ZINC001462307692 1061095006 /nfs/dbraw/zinc/09/50/06/1061095006.db2.gz PEMYCGGMCCIBSM-DZGCQCFKSA-N 0 3 319.445 4.071 20 0 DIADHN CCNc1nc(CN[C@H](C)C(C)(C)c2ccccc2)cs1 ZINC001473340863 1061098916 /nfs/dbraw/zinc/09/89/16/1061098916.db2.gz NARFOQKSEFSUKK-CYBMUJFWSA-N 0 3 303.475 4.031 20 0 DIADHN CCN1C[C@@H](C)N(Cc2c(Cl)oc3ccccc32)C[C@H]1C ZINC001473341977 1061100377 /nfs/dbraw/zinc/10/03/77/1061100377.db2.gz KFZWHQXVNCZWQO-CHWSQXEVSA-N 0 3 306.837 4.001 20 0 DIADHN Cc1ccc(Br)c(CNC[C@H]2CC2(Cl)Cl)c1 ZINC001462353482 1061103225 /nfs/dbraw/zinc/10/32/25/1061103225.db2.gz JUVQBKBTCFWQPG-SNVBAGLBSA-N 0 3 323.061 4.041 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](C)C(=O)N[C@H](C)c2ccccc2)c1 ZINC001473349779 1061106214 /nfs/dbraw/zinc/10/62/14/1061106214.db2.gz MJEMSJHDZSKAAR-KURKYZTESA-N 0 3 324.468 4.220 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCc2ccns2)cc1 ZINC001473353868 1061107959 /nfs/dbraw/zinc/10/79/59/1061107959.db2.gz HZSSRXFYKPNXPL-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN C[C@@H]1[C@@H](CO)CCCN1CCCc1c(Cl)cccc1Cl ZINC001462337339 1061112014 /nfs/dbraw/zinc/11/20/14/1061112014.db2.gz UEJHCQDELOJSTF-CHWSQXEVSA-N 0 3 316.272 4.019 20 0 DIADHN C[C@@H]1[C@H](CO)CCCN1CCCc1c(Cl)cccc1Cl ZINC001462337343 1061112860 /nfs/dbraw/zinc/11/28/60/1061112860.db2.gz UEJHCQDELOJSTF-OLZOCXBDSA-N 0 3 316.272 4.019 20 0 DIADHN C[C@@H](NCC1CCCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC001473361223 1061114023 /nfs/dbraw/zinc/11/40/23/1061114023.db2.gz IPBISAHOTXIYFQ-CYBMUJFWSA-N 0 3 317.408 4.497 20 0 DIADHN OCC[C@H](NC/C=C/c1ccc(Cl)cc1)c1cccs1 ZINC001473368972 1061117026 /nfs/dbraw/zinc/11/70/26/1061117026.db2.gz VYBNIIATDRBGDL-KZTTYJGESA-N 0 3 307.846 4.128 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN[C@@H]2CCCC[C@H]2F)cc1 ZINC001462341242 1061118031 /nfs/dbraw/zinc/11/80/31/1061118031.db2.gz UGQBXRQJPLNKCA-HZPDHXFCSA-N 0 3 322.424 4.404 20 0 DIADHN FC(F)(F)Oc1ccc2nc(-c3cnc4cc[nH]cc3-4)[nH]c2c1 ZINC001250908085 1061118781 /nfs/dbraw/zinc/11/87/81/1061118781.db2.gz IGXFOURFGTZTOH-UHFFFAOYSA-N 0 3 318.258 4.005 20 0 DIADHN CN(Cc1cnn(C)c1Cl)[C@H]1CCCC[C@H]1c1ccccc1 ZINC001462345935 1061119238 /nfs/dbraw/zinc/11/92/38/1061119238.db2.gz AQNKEKYSULGAOK-IRXDYDNUSA-N 0 3 317.864 4.232 20 0 DIADHN COc1cccnc1CNCC1CCC2(CCCCC2)CC1 ZINC001462347172 1061121796 /nfs/dbraw/zinc/12/17/96/1061121796.db2.gz CEQNCAMBUKBHHI-UHFFFAOYSA-N 0 3 302.462 4.321 20 0 DIADHN C[C@@H]1CCC[C@H]1NCc1oc2ccccc2c1Br ZINC001473379972 1061122630 /nfs/dbraw/zinc/12/26/30/1061122630.db2.gz DEBCLORXKAPSQQ-ZYHUDNBSSA-N 0 3 308.219 4.474 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N1CCC(C(C)(C)C)CC1)c1ccccc1 ZINC001473384826 1061126531 /nfs/dbraw/zinc/12/65/31/1061126531.db2.gz XQTDCFVYPBRHCX-CVEARBPZSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cccc(C)c1CN(Cc1cnc2n1CCC2)CC(C)C ZINC001462371931 1061134286 /nfs/dbraw/zinc/13/42/86/1061134286.db2.gz TZUAPQSWYWLIJX-UHFFFAOYSA-N 0 3 311.473 4.104 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2c(F)c(F)c(F)c(F)c2F)[C@H]1C ZINC001473403992 1061138733 /nfs/dbraw/zinc/13/87/33/1061138733.db2.gz KDBUCINDWNKCGT-KHQFGBGNSA-N 0 3 307.306 4.296 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2c(F)c(F)c(F)c(F)c2F)[C@H]1C ZINC001473403995 1061139994 /nfs/dbraw/zinc/13/99/94/1061139994.db2.gz KDBUCINDWNKCGT-WEDXCCLWSA-N 0 3 307.306 4.296 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CC[C@H](C)C2(CCCCC2)C1 ZINC001473406860 1061141070 /nfs/dbraw/zinc/14/10/70/1061141070.db2.gz XHDHPMVAESAZQU-DLBZAZTESA-N 0 3 314.473 4.306 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001473410620 1061145598 /nfs/dbraw/zinc/14/55/98/1061145598.db2.gz MXDYHGHAOXNAOY-IINYFYTJSA-N 0 3 315.244 4.052 20 0 DIADHN CSCc1ccc(CN2C[C@@H](C)OC3(CCCCC3)C2)cc1 ZINC001462393204 1061146199 /nfs/dbraw/zinc/14/61/99/1061146199.db2.gz TWIKALUDOVEXMR-MRXNPFEDSA-N 0 3 319.514 4.473 20 0 DIADHN COc1cc(CN[C@@H]2CC(C)(C)CCc3ccccc32)ccn1 ZINC001473416989 1061148109 /nfs/dbraw/zinc/14/81/09/1061148109.db2.gz XRHWITDLIYVERZ-GOSISDBHSA-N 0 3 310.441 4.284 20 0 DIADHN C=Cn1cc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)cn1 ZINC001462403582 1061152341 /nfs/dbraw/zinc/15/23/41/1061152341.db2.gz ZRQCLJWXDDDTDG-GOSISDBHSA-N 0 3 315.848 4.234 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CCC[C@@H](C(C)(C)C)C1 ZINC001473422536 1061152987 /nfs/dbraw/zinc/15/29/87/1061152987.db2.gz VWUVVQUXIHJPAC-CYBMUJFWSA-N 0 3 319.880 4.485 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)CC1(Br)CC1 ZINC001473448852 1061165071 /nfs/dbraw/zinc/16/50/71/1061165071.db2.gz LSKVFFYKSVSKBB-CQSZACIVSA-N 0 3 312.251 4.006 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(C(F)(F)F)co2)C12CCCC2 ZINC001473457317 1061170174 /nfs/dbraw/zinc/17/01/74/1061170174.db2.gz SBDKLYKKHYPCQK-KGLIPLIRSA-N 0 3 317.351 4.126 20 0 DIADHN C[C@H](NC1[C@@H](C)CCC[C@@H]1C)c1nc(Cc2ccccc2)no1 ZINC001473456897 1061170283 /nfs/dbraw/zinc/17/02/83/1061170283.db2.gz LKAWZSBCQJQJNC-KKUMJFAQSA-N 0 3 313.445 4.136 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2nc3ccccc3s2)C1(C)C ZINC001473458025 1061172595 /nfs/dbraw/zinc/17/25/95/1061172595.db2.gz GEGBLEQBQQTQOR-HZPDHXFCSA-N 0 3 318.486 4.370 20 0 DIADHN Cc1ccc(CC2CN(Cc3cc(C)nc4c3CCCC4)C2)cc1 ZINC001462442578 1061176196 /nfs/dbraw/zinc/17/61/96/1061176196.db2.gz PLRBNAKKKVVDSM-UHFFFAOYSA-N 0 3 320.480 4.252 20 0 DIADHN COc1ccc2oc(CN3CC(Cc4ccc(C)cc4)C3)cc2c1 ZINC001462445069 1061177792 /nfs/dbraw/zinc/17/77/92/1061177792.db2.gz VNOUMVRFLJDAFS-UHFFFAOYSA-N 0 3 321.420 4.424 20 0 DIADHN CC(C)(C)OC(=O)CCN(Cc1ccccc1Cl)CC1CC1 ZINC001473465661 1061178405 /nfs/dbraw/zinc/17/84/05/1061178405.db2.gz URHDYAYCNULKPS-UHFFFAOYSA-N 0 3 323.864 4.284 20 0 DIADHN CCC(CC)CCCN(C)Cc1cc(F)c(OC)cc1OC ZINC001462448030 1061179586 /nfs/dbraw/zinc/17/95/86/1061179586.db2.gz MQJKINQDDDWACH-UHFFFAOYSA-N 0 3 311.441 4.491 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(CC(=O)Nc2c(C)cc(C)cc2C)C1 ZINC001473473076 1061180127 /nfs/dbraw/zinc/18/01/27/1061180127.db2.gz SRKQXVKYXWUHSC-DLBZAZTESA-N 0 3 302.462 4.061 20 0 DIADHN C[C@@H](NCc1cccn1C(F)F)c1ccc2c(c1)CCCO2 ZINC001462448922 1061181725 /nfs/dbraw/zinc/18/17/25/1061181725.db2.gz VFUCVKOWENDAFL-GFCCVEGCSA-N 0 3 306.356 4.059 20 0 DIADHN CCCC[C@H](CC)CCCN(C)Cc1c2c(nn1C)CCC2 ZINC001462449135 1061182286 /nfs/dbraw/zinc/18/22/86/1061182286.db2.gz AYSMRWPHUZCYQB-INIZCTEOSA-N 0 3 305.510 4.337 20 0 DIADHN Brc1cccc([C@@H]2CCN([C@@H]3C=CCCC3)C2)c1 ZINC001473486152 1061185358 /nfs/dbraw/zinc/18/53/58/1061185358.db2.gz QKFXYLFSPIGOJD-GDBMZVCRSA-N 0 3 306.247 4.347 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CCC1 ZINC001473482997 1061185393 /nfs/dbraw/zinc/18/53/93/1061185393.db2.gz DUVSETSTVDRKEI-BDJLRTHQSA-N 0 3 321.852 4.024 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@H](c3ccccc3)C2)c1 ZINC001473492891 1061186942 /nfs/dbraw/zinc/18/69/42/1061186942.db2.gz PHEUIFQPHUEDEJ-SFHVURJKSA-N 0 3 310.441 4.248 20 0 DIADHN c1cc(CN2CCC[C@H](C3CCCCC3)C2)n(CC2CC2)n1 ZINC001462462517 1061188304 /nfs/dbraw/zinc/18/83/04/1061188304.db2.gz JILYTHDRZQQTNF-SFHVURJKSA-N 0 3 301.478 4.085 20 0 DIADHN CC1(C)CN(CC2CCC3(CC3)CC2)C[C@](C)(C(F)(F)F)O1 ZINC001473501538 1061196225 /nfs/dbraw/zinc/19/62/25/1061196225.db2.gz BUHDYBFKIWHGAH-OAHLLOKOSA-N 0 3 319.411 4.389 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@H](C)c2ccc(F)cc2Cl)c1 ZINC001473501748 1061197448 /nfs/dbraw/zinc/19/74/48/1061197448.db2.gz NSTVBSOJYHLEDY-ACJLOTCBSA-N 0 3 321.823 4.480 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)CCOCc1ccccc1 ZINC001473519833 1061211522 /nfs/dbraw/zinc/21/15/22/1061211522.db2.gz OYXOQDZCBPOAHG-IBGZPJMESA-N 0 3 313.441 4.295 20 0 DIADHN Cc1cc2[nH]c(-c3cc(F)cc(CN(C)C)c3)nc2cc1Cl ZINC001251070271 1061218539 /nfs/dbraw/zinc/21/85/39/1061218539.db2.gz CUAHQPCNKJMTJG-UHFFFAOYSA-N 0 3 317.795 4.392 20 0 DIADHN CN(C)Cc1cc(F)cc(-c2nc3cc(Cl)ccc3n2C)c1 ZINC001251070817 1061218989 /nfs/dbraw/zinc/21/89/89/1061218989.db2.gz JLCSKUJKJNLYNH-UHFFFAOYSA-N 0 3 317.795 4.094 20 0 DIADHN CC[C@@H](CN[C@H](c1c(Cl)cccc1Cl)C1CC1)OC ZINC001473521129 1061219214 /nfs/dbraw/zinc/21/92/14/1061219214.db2.gz KSNFWGNNNFTZTB-NHYWBVRUSA-N 0 3 302.245 4.459 20 0 DIADHN c1cc2c(o1)CCCN(CCOc1cccc3ccccc31)C2 ZINC001473525269 1061221173 /nfs/dbraw/zinc/22/11/73/1061221173.db2.gz TYYQCBGKZIHUAW-UHFFFAOYSA-N 0 3 307.393 4.260 20 0 DIADHN O[C@H](CN1CCC(C2CC2)CC1)c1ccc(Cl)c(Cl)c1 ZINC001473527547 1061225998 /nfs/dbraw/zinc/22/59/98/1061225998.db2.gz RLFYGBVZWXWYFL-MRXNPFEDSA-N 0 3 314.256 4.149 20 0 DIADHN Cc1ccc(N(C(=O)[C@H](C)N2CCCCCC2)C2CCC2)cc1 ZINC001455785172 1061232822 /nfs/dbraw/zinc/23/28/22/1061232822.db2.gz HDVBPNALFQZSHA-KRWDZBQOSA-N 0 3 314.473 4.145 20 0 DIADHN CN(Cc1nc2ccccc2[nH]1)[C@H]1CCC[C@H]1c1ccccc1 ZINC001473539682 1061233313 /nfs/dbraw/zinc/23/33/13/1061233313.db2.gz SQNBRYXTQWBYNX-LPHOPBHVSA-N 0 3 305.425 4.331 20 0 DIADHN COCCCC1(CN[C@@H]2c3ccccc3CC[C@H]2F)CCC1 ZINC001462527320 1061237393 /nfs/dbraw/zinc/23/73/93/1061237393.db2.gz KDAFPZFLYFRLRR-QZTJIDSGSA-N 0 3 305.437 4.199 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@H](CC(C)(C)C)C1 ZINC001473556102 1061239954 /nfs/dbraw/zinc/23/99/54/1061239954.db2.gz KEXVSMFYVGUBPP-UONOGXRCSA-N 0 3 322.880 4.425 20 0 DIADHN C[C@H](NCc1sncc1Br)[C@H]1CCC[C@@H](C)C1 ZINC001473553791 1061240524 /nfs/dbraw/zinc/24/05/24/1061240524.db2.gz PYCVWFXIVHFHML-VWYCJHECSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@@H]1CN(Cc2csc(CCc3ccccc3)n2)[C@@H](C)[C@H]1C ZINC001473564707 1061248984 /nfs/dbraw/zinc/24/89/84/1061248984.db2.gz HXIODYGTEQGJEF-PMPSAXMXSA-N 0 3 314.498 4.405 20 0 DIADHN C[C@@H]1CN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@@H](C)[C@H]1C ZINC001473564924 1061252321 /nfs/dbraw/zinc/25/23/21/1061252321.db2.gz PRHRMBRZOVNWKH-VWYCJHECSA-N 0 3 312.335 4.323 20 0 DIADHN c1csc(-c2cnc(CN3CC[C@@H](C4CCCC4)C3)o2)c1 ZINC001473567172 1061252388 /nfs/dbraw/zinc/25/23/88/1061252388.db2.gz JFIHFIGNFXQRID-CQSZACIVSA-N 0 3 302.443 4.415 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2nc3cc(C(F)(F)F)ccc3o2)C[C@H]1C ZINC001473564921 1061253073 /nfs/dbraw/zinc/25/30/73/1061253073.db2.gz PRHRMBRZOVNWKH-GMTAPVOTSA-N 0 3 312.335 4.323 20 0 DIADHN Cc1ccc(CNC2CC(c3ccc(F)cc3)C2)c(Cl)n1 ZINC001462549093 1061254829 /nfs/dbraw/zinc/25/48/29/1061254829.db2.gz KYLFXVNXHNEABM-UHFFFAOYSA-N 0 3 304.796 4.218 20 0 DIADHN Cc1ccc2c(c1)CCN(CCCOc1c(C)cccc1C)C2 ZINC001473567412 1061255790 /nfs/dbraw/zinc/25/57/90/1061255790.db2.gz NTCGJHRRJSNHRS-UHFFFAOYSA-N 0 3 309.453 4.439 20 0 DIADHN CCC[C@@H](C)CCCN1C[C@H]2CCN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001473572354 1061257146 /nfs/dbraw/zinc/25/71/46/1061257146.db2.gz MLUVNRMDTLHLMB-BRWVUGGUSA-N 0 3 324.509 4.144 20 0 DIADHN C[C@@H](NC[C@H]1CC(C)(C)CO1)c1ccc(-c2ccccc2)cn1 ZINC001462556651 1061259084 /nfs/dbraw/zinc/25/90/84/1061259084.db2.gz MARRHRMJOOAXNZ-CRAIPNDOSA-N 0 3 310.441 4.214 20 0 DIADHN COC(=O)c1cc(CN2CCc3ccccc3C2(C)C)ccc1C ZINC001473574911 1061264159 /nfs/dbraw/zinc/26/41/59/1061264159.db2.gz DSSAOMDFJPLMLC-UHFFFAOYSA-N 0 3 323.436 4.075 20 0 DIADHN Fc1ccc(-c2cc(CN[C@H]3C[C@@H]4CCCC[C@@H]43)no2)c(F)c1 ZINC001462563545 1061266191 /nfs/dbraw/zinc/26/61/91/1061266191.db2.gz ZAQKHZVWAQDTRP-YLVFBTJISA-N 0 3 318.367 4.288 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCO[C@H](C(F)(F)F)CC1 ZINC001462562054 1061266427 /nfs/dbraw/zinc/26/64/27/1061266427.db2.gz WUAYFEMMVFOUHW-CABCVRRESA-N 0 3 307.400 4.412 20 0 DIADHN Brc1cc(CN2CC[C@@H]3CCCC[C@@H]32)cs1 ZINC001473583943 1061269767 /nfs/dbraw/zinc/26/97/67/1061269767.db2.gz MLXGFJPXZRHVBJ-RYUDHWBXSA-N 0 3 300.265 4.275 20 0 DIADHN CCN1[C@H](C)CN([C@@H](C)c2ccc(Cl)cc2Cl)C[C@@H]1C ZINC001473599095 1061278426 /nfs/dbraw/zinc/27/84/26/1061278426.db2.gz DPVOAOCVCCZNIL-XQQFMLRXSA-N 0 3 315.288 4.469 20 0 DIADHN Brc1cc(CN2CCC[C@@H]2CC2CCCC2)ccn1 ZINC001473595909 1061280347 /nfs/dbraw/zinc/28/03/47/1061280347.db2.gz QYLFSIZPKGYCFJ-OAHLLOKOSA-N 0 3 323.278 4.389 20 0 DIADHN Cc1ncc(CN2CCC3(CCc4ccccc4C3)CC2)s1 ZINC001473610184 1061282866 /nfs/dbraw/zinc/28/28/66/1061282866.db2.gz WMCAJZRZCMXTJI-UHFFFAOYSA-N 0 3 312.482 4.223 20 0 DIADHN COc1c(Cl)cccc1CNC[C@H](OC)C1CCCCC1 ZINC001462619938 1061301561 /nfs/dbraw/zinc/30/15/61/1061301561.db2.gz QQGWLSKTSHBGNN-INIZCTEOSA-N 0 3 311.853 4.034 20 0 DIADHN C[C@H]1C(=O)Nc2ccccc2CN1C[C@@H]1CCCCC1(C)C ZINC001473633793 1061302516 /nfs/dbraw/zinc/30/25/16/1061302516.db2.gz ZAJDCPRGAIWPBS-HOCLYGCPSA-N 0 3 300.446 4.046 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc(C)c(C)c2)cc1F ZINC001473655860 1061318020 /nfs/dbraw/zinc/31/80/20/1061318020.db2.gz DLOZAZGVKKYMPA-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN CCCCC[C@H]1CCCCN1CC(=O)N[C@H](C)c1ccccc1 ZINC001473661980 1061324272 /nfs/dbraw/zinc/32/42/72/1061324272.db2.gz FSJOJTLGIFTTLD-MJGOQNOKSA-N 0 3 316.489 4.299 20 0 DIADHN C(c1noc2c1CCCC2)N1CCC[C@@H](C2CCCCC2)C1 ZINC001473670157 1061334829 /nfs/dbraw/zinc/33/48/29/1061334829.db2.gz DGHFEZZGVIFSRV-MRXNPFEDSA-N 0 3 302.462 4.346 20 0 DIADHN C[C@@H](c1nc2ccccc2n1C(F)F)N(C)C[C@H]1CC1(C)C ZINC001473675784 1061335581 /nfs/dbraw/zinc/33/55/81/1061335581.db2.gz ZGFVHQMXTTZECP-NWDGAFQWSA-N 0 3 307.388 4.470 20 0 DIADHN CC(C)Cc1ccc(CN2CCOC(C3CC3)(C3CC3)C2)cc1 ZINC001473675284 1061338804 /nfs/dbraw/zinc/33/88/04/1061338804.db2.gz WRNGWWBHDCBPKF-UHFFFAOYSA-N 0 3 313.485 4.276 20 0 DIADHN CC(C)CCO[C@@H]1CCN([C@@H]2CCc3cc(Cl)ccc32)C1 ZINC001473680197 1061343292 /nfs/dbraw/zinc/34/32/92/1061343292.db2.gz NDFLREJNNKYFHI-SJLPKXTDSA-N 0 3 307.865 4.464 20 0 DIADHN C[C@H](CN(C)CC(=O)N(C)[C@H]1CCCC[C@@H]1C)C1CCCCC1 ZINC001473706892 1061360568 /nfs/dbraw/zinc/36/05/68/1061360568.db2.gz QLFQVEVKUUBQOC-SCTDSRPQSA-N 0 3 322.537 4.172 20 0 DIADHN COC1(CCN2CCc3ccc(C(F)(F)F)cc3C2)CCC1 ZINC001473710180 1061362470 /nfs/dbraw/zinc/36/24/70/1061362470.db2.gz QPYNNCSKXAVCJY-UHFFFAOYSA-N 0 3 313.363 4.023 20 0 DIADHN CC[C@H](NCc1csc(C(=O)OC)c1)c1cc(C)ccc1C ZINC001473718763 1061367188 /nfs/dbraw/zinc/36/71/88/1061367188.db2.gz VSLLVIVGPKLMGH-INIZCTEOSA-N 0 3 317.454 4.392 20 0 DIADHN CC[C@@H](NCCOC1CCCCCC1)c1ccccc1OC ZINC001473735461 1061379922 /nfs/dbraw/zinc/37/99/22/1061379922.db2.gz CFBSIBQXEXHISN-GOSISDBHSA-N 0 3 305.462 4.475 20 0 DIADHN Cc1ccnc(CN[C@H]2CCC(C)(C)C[C@H]2c2ccccc2)n1 ZINC001473740344 1061383315 /nfs/dbraw/zinc/38/33/15/1061383315.db2.gz DMEPDYAJBGDQOK-ROUUACIJSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCN([C@H](C)c2ccccc2)CC1 ZINC001473753652 1061391973 /nfs/dbraw/zinc/39/19/73/1061391973.db2.gz AUMKMFSKLOGDKV-RTBURBONSA-N 0 3 308.469 4.435 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001473774443 1061403644 /nfs/dbraw/zinc/40/36/44/1061403644.db2.gz YRTUKRYLSWUKPV-UGSOOPFHSA-N 0 3 303.352 4.179 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001473774442 1061403790 /nfs/dbraw/zinc/40/37/90/1061403790.db2.gz YRTUKRYLSWUKPV-ACJLOTCBSA-N 0 3 303.352 4.179 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C[C@H](C)C(C)(C)C)o2)cc1 ZINC001473780394 1061405510 /nfs/dbraw/zinc/40/55/10/1061405510.db2.gz ZHRSAMBKFUKQPT-AWEZNQCLSA-N 0 3 316.445 4.464 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C)C[C@@H](C)C(C)(C)C)c1 ZINC001473780100 1061405544 /nfs/dbraw/zinc/40/55/44/1061405544.db2.gz VPWAPLBMBMQNRQ-GFCCVEGCSA-N 0 3 311.853 4.241 20 0 DIADHN CN(C)c1ccccc1CN1Cc2ccccc2OC2(CCC2)C1 ZINC001473786877 1061409219 /nfs/dbraw/zinc/40/92/19/1061409219.db2.gz PVRJLEDCXTYBHK-UHFFFAOYSA-N 0 3 322.452 4.070 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC[C@H](Cc2nccs2)C1 ZINC001473809670 1061416807 /nfs/dbraw/zinc/41/68/07/1061416807.db2.gz RDOSLAAQDHGRDV-UONOGXRCSA-N 0 3 304.434 4.298 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(c2ccn(C)n2)CC1 ZINC001473809757 1061417822 /nfs/dbraw/zinc/41/78/22/1061417822.db2.gz SFFTZZIQDNSQLH-SFHVURJKSA-N 0 3 317.864 4.404 20 0 DIADHN CN(CC(=O)NCCC1=CCCCC1)CC1CCC(C)(C)CC1 ZINC001473824024 1061424809 /nfs/dbraw/zinc/42/48/09/1061424809.db2.gz VXLMDVHPEBSGIH-UHFFFAOYSA-N 0 3 320.521 4.141 20 0 DIADHN COc1cc(CN2CCC(CC3CCCCC3)CC2)ccn1 ZINC001473837338 1061428725 /nfs/dbraw/zinc/42/87/25/1061428725.db2.gz LAONEDOYBBZOBC-UHFFFAOYSA-N 0 3 302.462 4.273 20 0 DIADHN c1cc2c(s1)CN(C[C@H]1CCC3(CCCCCC3)O1)CC2 ZINC001473856962 1061434250 /nfs/dbraw/zinc/43/42/50/1061434250.db2.gz YKHOMZQBHKFAKY-MRXNPFEDSA-N 0 3 305.487 4.378 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC001473863382 1061439461 /nfs/dbraw/zinc/43/94/61/1061439461.db2.gz XGZSVMUFWJQVPZ-GOEBONIOSA-N 0 3 320.864 4.097 20 0 DIADHN Fc1cccc(Cl)c1CN1CCCC[C@H]([C@H]2CCOC2)C1 ZINC001473871180 1061442591 /nfs/dbraw/zinc/44/25/91/1061442591.db2.gz UVDKAOAYKCMLPW-KBPBESRZSA-N 0 3 311.828 4.118 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3C[C@@H]4CCC[C@H]4O3)CC2)c1C ZINC001473872480 1061445692 /nfs/dbraw/zinc/44/56/92/1061445692.db2.gz OSNMXZFYCCZWGR-IRFCIJBXSA-N 0 3 311.469 4.350 20 0 DIADHN Cc1cccnc1[C@H](C)NCCSc1ccc(Cl)cc1 ZINC001473879720 1061447320 /nfs/dbraw/zinc/44/73/20/1061447320.db2.gz LTSFDXRTQLHXPV-ZDUSSCGKSA-N 0 3 306.862 4.486 20 0 DIADHN CC(C)CCc1nc(CN[C@@H]2CCOCC23CCCC3)cs1 ZINC001473893507 1061452797 /nfs/dbraw/zinc/45/27/97/1061452797.db2.gz QGWSLESUQLZEDE-MRXNPFEDSA-N 0 3 322.518 4.171 20 0 DIADHN Fc1ccc(Cl)cc1CNCCOc1ccc(Cl)cc1 ZINC001473914350 1061463695 /nfs/dbraw/zinc/46/36/95/1061463695.db2.gz HSESVFBXADVRRO-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN CCOCc1ccc(CNCc2cncc3ccccc32)cc1 ZINC001473926929 1061474555 /nfs/dbraw/zinc/47/45/55/1061474555.db2.gz PODIKYGFUHQKCT-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN C[C@@H](CNCc1cccc(Cl)c1F)N(C)c1ccccc1 ZINC001473931638 1061478081 /nfs/dbraw/zinc/47/80/81/1061478081.db2.gz NOJYLBYWZJYEOQ-ZDUSSCGKSA-N 0 3 306.812 4.094 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cccc3ccoc32)c1 ZINC001473937086 1061482824 /nfs/dbraw/zinc/48/28/24/1061482824.db2.gz RIFQUOLSPFDBOG-UHFFFAOYSA-N 0 3 308.381 4.071 20 0 DIADHN CCc1nc(CNCC(C)(C)c2ccc(Cl)cc2)cs1 ZINC001473950813 1061492616 /nfs/dbraw/zinc/49/26/16/1061492616.db2.gz GJNWMKXVTNMQJP-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN CC(C)(C)[C@H](NCc1cccc2nccn21)c1cccc(F)c1 ZINC001473963265 1061503823 /nfs/dbraw/zinc/50/38/23/1061503823.db2.gz QYVNFGPDYZWCNU-GOSISDBHSA-N 0 3 311.404 4.350 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1 ZINC001473962899 1061504217 /nfs/dbraw/zinc/50/42/17/1061504217.db2.gz ZQEPZRFTUDUHBC-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN COCC(C)(C)NCc1cc(-c2ccccc2C)ccc1OC ZINC001473975566 1061518004 /nfs/dbraw/zinc/51/80/04/1061518004.db2.gz DGKVHOGHQCQBQD-UHFFFAOYSA-N 0 3 313.441 4.185 20 0 DIADHN CCC[C@@H](C(=O)NC[C@H](c1ccccc1)N1CCCC1)C(C)C ZINC001463768025 1061517926 /nfs/dbraw/zinc/51/79/26/1061517926.db2.gz ZJZCCWHMSZTTJU-RTBURBONSA-N 0 3 316.489 4.012 20 0 DIADHN CCC[C@@H](C(=O)NC[C@@H](c1ccccc1)N1CCCC1)C(C)C ZINC001463768016 1061518024 /nfs/dbraw/zinc/51/80/24/1061518024.db2.gz ZJZCCWHMSZTTJU-MOPGFXCFSA-N 0 3 316.489 4.012 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCC2)nc1)c1ccc(C)cc1 ZINC001473978075 1061520784 /nfs/dbraw/zinc/52/07/84/1061520784.db2.gz UKPNNHLTAINLEM-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cnn(-c2ccc(F)cc2)c1 ZINC001473977939 1061522362 /nfs/dbraw/zinc/52/23/62/1061522362.db2.gz PKIYGCRQOXBTMX-FQEVSTJZSA-N 0 3 321.399 4.097 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001463843730 1061524644 /nfs/dbraw/zinc/52/46/44/1061524644.db2.gz HWAXYWYZXFPWJP-AWEZNQCLSA-N 0 3 315.244 4.052 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001463843735 1061524714 /nfs/dbraw/zinc/52/47/14/1061524714.db2.gz HWAXYWYZXFPWJP-CQSZACIVSA-N 0 3 315.244 4.052 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc3ccccc3cc2F)c1 ZINC001463869954 1061528489 /nfs/dbraw/zinc/52/84/89/1061528489.db2.gz PVBOHZPIQQSSCO-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN CN(C)c1cccc(CNCc2ccc(SC(F)F)cc2)c1 ZINC001473985543 1061528762 /nfs/dbraw/zinc/52/87/62/1061528762.db2.gz VJYZMTXUDAOGFR-UHFFFAOYSA-N 0 3 322.424 4.357 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(C(C)(C)C)s1 ZINC001473995067 1061537909 /nfs/dbraw/zinc/53/79/09/1061537909.db2.gz DBOCJMZYGVXCQU-HNNXBMFYSA-N 0 3 315.486 4.311 20 0 DIADHN C[C@H]1CCc2ccccc2N1CCNCc1cscc1Cl ZINC001473999696 1061539899 /nfs/dbraw/zinc/53/98/99/1061539899.db2.gz ZOSHTWYUZPWDRO-ZDUSSCGKSA-N 0 3 320.889 4.332 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc2c1OCCCO2)C1CC1 ZINC001474001268 1061541769 /nfs/dbraw/zinc/54/17/69/1061541769.db2.gz JEMODAHUTUQAJN-HXUWFJFHSA-N 0 3 323.436 4.397 20 0 DIADHN COc1ccc(OC)c(CN[C@H](c2ccccc2C)C2CC2)c1 ZINC001474001585 1061543159 /nfs/dbraw/zinc/54/31/59/1061543159.db2.gz WWYJDICVMDVYOL-FQEVSTJZSA-N 0 3 311.425 4.253 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cc(-c2ccccn2)n[nH]1)C1CC1 ZINC001474001156 1061543483 /nfs/dbraw/zinc/54/34/83/1061543483.db2.gz DLQKVNZOAMZPHV-FQEVSTJZSA-N 0 3 318.424 4.021 20 0 DIADHN Cc1ccnc(CN[C@@H]2CC[C@@H](C)C[C@H]2C)c1Br ZINC001474004914 1061547935 /nfs/dbraw/zinc/54/79/35/1061547935.db2.gz HPGBBRLTEBSFHR-RAIGVLPGSA-N 0 3 311.267 4.067 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(Cl)c(C)c1Cl ZINC001474008125 1061549412 /nfs/dbraw/zinc/54/94/12/1061549412.db2.gz VYHPXSDDSVZDPZ-CQSZACIVSA-N 0 3 304.261 4.189 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc(F)c(F)c1 ZINC001474011013 1061551703 /nfs/dbraw/zinc/55/17/03/1061551703.db2.gz GQSVMKODNBKBGS-NEPJUHHUSA-N 0 3 303.352 4.139 20 0 DIADHN Cc1cc(Cl)cc(Cl)c1CNC[C@H](O)c1ccccc1 ZINC001252001960 1061554488 /nfs/dbraw/zinc/55/44/88/1061554488.db2.gz CDTNXZLYIDSHBZ-INIZCTEOSA-N 0 3 310.224 4.125 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CC2(c3cccc(F)c3)CC2)c1 ZINC001474014861 1061556541 /nfs/dbraw/zinc/55/65/41/1061556541.db2.gz FGIKTWNDWDPHDW-UHFFFAOYSA-N 0 3 305.780 4.006 20 0 DIADHN CCc1ccccc1CNCc1cc2cc(Cl)cnc2o1 ZINC001474015745 1061557157 /nfs/dbraw/zinc/55/71/57/1061557157.db2.gz DPWOJKBQFXIVHM-UHFFFAOYSA-N 0 3 300.789 4.333 20 0 DIADHN Fc1cccc(/C=C\CN[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC001474017146 1061558582 /nfs/dbraw/zinc/55/85/82/1061558582.db2.gz LCMWIHAAUWUPNT-VORVDVFJSA-N 0 3 321.399 4.067 20 0 DIADHN CC[C@H](CNCc1cccc(F)c1F)Oc1ccccc1C ZINC001474028375 1061570232 /nfs/dbraw/zinc/57/02/32/1061570232.db2.gz OQHKSEZCUIMTNV-OAHLLOKOSA-N 0 3 305.368 4.220 20 0 DIADHN CS[C@@H]1CC[C@H](NCc2csc(-c3ccc(C)cc3)n2)C1 ZINC001474032119 1061572831 /nfs/dbraw/zinc/57/28/31/1061572831.db2.gz GZOCORPBCMECTB-GOEBONIOSA-N 0 3 318.511 4.492 20 0 DIADHN CS[C@H]1CC[C@@H](NCc2csc(-c3ccc(C)cc3)n2)C1 ZINC001474032122 1061573774 /nfs/dbraw/zinc/57/37/74/1061573774.db2.gz GZOCORPBCMECTB-ZBFHGGJFSA-N 0 3 318.511 4.492 20 0 DIADHN CS[C@@H]1CC[C@@H](NCc2csc(-c3ccc(C)cc3)n2)C1 ZINC001474032118 1061574510 /nfs/dbraw/zinc/57/45/10/1061574510.db2.gz GZOCORPBCMECTB-GDBMZVCRSA-N 0 3 318.511 4.492 20 0 DIADHN CC(C)n1cc(CN[C@H]2c3cccc(F)c3CC[C@@H]2C)cn1 ZINC001474033517 1061575371 /nfs/dbraw/zinc/57/53/71/1061575371.db2.gz PSHFUDPIZBBIRE-SCLBCKFNSA-N 0 3 301.409 4.016 20 0 DIADHN CC(C)n1cc(CN[C@@H]2c3cccc(F)c3CC[C@@H]2C)cn1 ZINC001474033518 1061576055 /nfs/dbraw/zinc/57/60/55/1061576055.db2.gz PSHFUDPIZBBIRE-UGSOOPFHSA-N 0 3 301.409 4.016 20 0 DIADHN Cc1c[nH]c(CNCC2(Sc3ccc(C)cc3)CCCC2)n1 ZINC001474035925 1061579129 /nfs/dbraw/zinc/57/91/29/1061579129.db2.gz QIBFGSMBIKRCBI-UHFFFAOYSA-N 0 3 315.486 4.221 20 0 DIADHN C[C@@]1(CNCc2c(Cl)cccc2Cl)CCCC[C@H]1O ZINC001474037973 1061581621 /nfs/dbraw/zinc/58/16/21/1061581621.db2.gz PZFMWAIOAVKNHM-CABCVRRESA-N 0 3 302.245 4.024 20 0 DIADHN CC(C)(C)c1nc(CNC2CCC3(CCOCC3)CC2)cs1 ZINC001474038993 1061582124 /nfs/dbraw/zinc/58/21/24/1061582124.db2.gz HSVUXXVAVVHSGU-UHFFFAOYSA-N 0 3 322.518 4.270 20 0 DIADHN Fc1cc(F)c(F)c(CNC2CCc3ccccc3CC2)c1F ZINC001474039108 1061582781 /nfs/dbraw/zinc/58/27/81/1061582781.db2.gz LICQEPQYQUULEC-UHFFFAOYSA-N 0 3 323.333 4.280 20 0 DIADHN C[C@@]1(CNCc2c(Cl)cccc2Cl)CCCC[C@@H]1O ZINC001474037974 1061583190 /nfs/dbraw/zinc/58/31/90/1061583190.db2.gz PZFMWAIOAVKNHM-GJZGRUSLSA-N 0 3 302.245 4.024 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2ccc(F)c(F)c2F)C1(C)C ZINC001474038520 1061583514 /nfs/dbraw/zinc/58/35/14/1061583514.db2.gz XPPCJRZDZOJYHR-KBPBESRZSA-N 0 3 315.379 4.177 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2Cl)CCCC[C@@H]1O ZINC001474039201 1061583592 /nfs/dbraw/zinc/58/35/92/1061583592.db2.gz OPUWLQGIDMNKQB-LSDHHAIUSA-N 0 3 302.245 4.024 20 0 DIADHN CCCCC[C@H](C(=O)N1CCN(CCC(C)(C)C)CC1)C(C)C ZINC001464375825 1061585036 /nfs/dbraw/zinc/58/50/36/1061585036.db2.gz HBVKKORYZJLALB-SFHVURJKSA-N 0 3 324.553 4.419 20 0 DIADHN CC(C)(C)CCCCC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001464387662 1061585863 /nfs/dbraw/zinc/58/58/63/1061585863.db2.gz ZSQGWDFMDDIBRA-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN CCO[C@H](CCNCc1nc(-c2cccs2)oc1C)C(C)C ZINC001474039683 1061587324 /nfs/dbraw/zinc/58/73/24/1061587324.db2.gz HSJFWHJKZXISIT-OAHLLOKOSA-N 0 3 322.474 4.252 20 0 DIADHN C[C@H]1CCCC[C@@H]1CNc1cccc(CN2CCSCC2)c1 ZINC001474049763 1061597993 /nfs/dbraw/zinc/59/79/93/1061597993.db2.gz PNWZMHLSDSASGH-FUHWJXTLSA-N 0 3 318.530 4.474 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@H]1NCc1cccc(C2CCCC2)c1 ZINC001474051804 1061599335 /nfs/dbraw/zinc/59/93/35/1061599335.db2.gz MVDHRNCWKWTLNS-NHCUHLMSSA-N 0 3 307.437 4.092 20 0 DIADHN CC[C@H](CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C)C(C)(C)C ZINC001464550167 1061606140 /nfs/dbraw/zinc/60/61/40/1061606140.db2.gz ZPSYKROJICCOIJ-QZTJIDSGSA-N 0 3 318.505 4.176 20 0 DIADHN Cc1cccc([C@@H](O)CNCc2cc3cc(C)c(C)cc3o2)c1 ZINC001474063833 1061610599 /nfs/dbraw/zinc/61/05/99/1061610599.db2.gz GZDVANZBZUURBG-IBGZPJMESA-N 0 3 309.409 4.181 20 0 DIADHN CC(C)(C)N1CC[C@@](F)(C(=O)Nc2cccc3ccsc32)C1 ZINC001458192742 1061623095 /nfs/dbraw/zinc/62/30/95/1061623095.db2.gz NUAXUUQNFALDPI-KRWDZBQOSA-N 0 3 320.433 4.052 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2cc(Cl)ccc2F)CC1 ZINC001474080694 1061627817 /nfs/dbraw/zinc/62/78/17/1061627817.db2.gz FBBXTXQGLGDGIQ-KRWDZBQOSA-N 0 3 319.807 4.083 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC001474087138 1061634607 /nfs/dbraw/zinc/63/46/07/1061634607.db2.gz AJOQOMMJGCUYPN-YWZLYKJASA-N 0 3 317.436 4.490 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1ccc2c(c1)CCC2 ZINC001474093347 1061640076 /nfs/dbraw/zinc/64/00/76/1061640076.db2.gz RFVONLQSPIUYLX-LJQANCHMSA-N 0 3 311.400 4.318 20 0 DIADHN CC[C@H](NCc1ccc(N(C)CC)nc1)c1ccc(C)c(F)c1 ZINC001474094188 1061640264 /nfs/dbraw/zinc/64/02/64/1061640264.db2.gz IYOZYCWFIAUSHS-SFHVURJKSA-N 0 3 315.436 4.226 20 0 DIADHN CN(C)CCN(C(=O)CC1(C)CCCCC1)C1CCCCC1 ZINC001464837353 1061640659 /nfs/dbraw/zinc/64/06/59/1061640659.db2.gz ORURNXMHJZDWFU-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CCCCC[C@@H](C(=O)NCc1ccccc1CN(C)C)C(C)C ZINC001464894005 1061644855 /nfs/dbraw/zinc/64/48/55/1061644855.db2.gz UHTQNLFMIJYPEA-LJQANCHMSA-N 0 3 318.505 4.217 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cnn(C2CCC2)c1 ZINC001474104181 1061651731 /nfs/dbraw/zinc/65/17/31/1061651731.db2.gz IDLVHQZHGROQNA-FOIQADDNSA-N 0 3 309.457 4.411 20 0 DIADHN COc1cncc(CN[C@H](C)c2ccc3c(c2)CCCC3)c1C ZINC001474104858 1061654235 /nfs/dbraw/zinc/65/42/35/1061654235.db2.gz HCOALPYOKIDWNI-OAHLLOKOSA-N 0 3 310.441 4.128 20 0 DIADHN CN1CCC(c2nnc(-c3ccc(-c4ccccc4)cc3)o2)CC1 ZINC001252522381 1061654597 /nfs/dbraw/zinc/65/45/97/1061654597.db2.gz JNELNTSJIMIIAJ-UHFFFAOYSA-N 0 3 319.408 4.213 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)N(CCN(C)C)CC(C)C ZINC001464948273 1061655124 /nfs/dbraw/zinc/65/51/24/1061655124.db2.gz HCTNXZRDYBGYGI-GOSISDBHSA-N 0 3 310.526 4.029 20 0 DIADHN Cc1c(Cl)ccc(CN[C@H](CO)CC2CCCC2)c1Cl ZINC001474126486 1061673974 /nfs/dbraw/zinc/67/39/74/1061673974.db2.gz FRCJEZUFQXOUNE-AWEZNQCLSA-N 0 3 316.272 4.333 20 0 DIADHN c1cn(Cc2ccccc2)nc1CNC1CCC2(CCC2)CC1 ZINC001474142922 1061688536 /nfs/dbraw/zinc/68/85/36/1061688536.db2.gz JADBXXROAMTTPR-UHFFFAOYSA-N 0 3 309.457 4.134 20 0 DIADHN Cc1ccc(-c2nnc(-c3ccc(CN4CCCCC4)o3)o2)cc1 ZINC001252667849 1061690291 /nfs/dbraw/zinc/69/02/91/1061690291.db2.gz AREMLFHGEZUXFR-UHFFFAOYSA-N 0 3 323.396 4.291 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1c(F)cccc1-n1cccn1 ZINC001474149679 1061692675 /nfs/dbraw/zinc/69/26/75/1061692675.db2.gz QNTYPTSSZUUPMR-DTQAZKPQSA-N 0 3 321.399 4.205 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2cnccc2C)cc1 ZINC001474156583 1061698160 /nfs/dbraw/zinc/69/81/60/1061698160.db2.gz YWZYSSXDAODERG-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1ccc(NC(=O)C/C=C\Cc2ccccc2)c(CN(C)C)c1 ZINC001465192928 1061706601 /nfs/dbraw/zinc/70/66/01/1061706601.db2.gz DLQUCFXQPVKJCL-FPLPWBNLSA-N 0 3 322.452 4.184 20 0 DIADHN CC[C@H](CNCc1cc(-c2ccccc2C)ccc1OC)OC ZINC001474167377 1061711933 /nfs/dbraw/zinc/71/19/33/1061711933.db2.gz KLOHQVNVQPCFLE-GOSISDBHSA-N 0 3 313.441 4.185 20 0 DIADHN CCC(C)(C)OCCNCc1cc(OC)cc(C(F)(F)F)c1 ZINC001474171233 1061718720 /nfs/dbraw/zinc/71/87/20/1061718720.db2.gz ISDZKKBNNCKTEV-UHFFFAOYSA-N 0 3 319.367 4.009 20 0 DIADHN CC(C)CCCCC(=O)N(CCN1CCCC1)c1ccccc1 ZINC001465308560 1061731583 /nfs/dbraw/zinc/73/15/83/1061731583.db2.gz MTBBBRMENVKBRO-UHFFFAOYSA-N 0 3 316.489 4.332 20 0 DIADHN CCCC[C@@](C)(CO)NCc1ccc(Cl)c(C)c1Cl ZINC001474190993 1061738308 /nfs/dbraw/zinc/73/83/08/1061738308.db2.gz HPJJOVUBTRGVPB-HNNXBMFYSA-N 0 3 304.261 4.333 20 0 DIADHN FC1(F)Oc2ccc(CNCc3ccc(C4CC4)cc3)cc2O1 ZINC001474189307 1061739519 /nfs/dbraw/zinc/73/95/19/1061739519.db2.gz XZOORSGXAROYGR-UHFFFAOYSA-N 0 3 317.335 4.175 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001474195284 1061746040 /nfs/dbraw/zinc/74/60/40/1061746040.db2.gz QDWSXOMWKHXNKZ-INIZCTEOSA-N 0 3 323.864 4.320 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1C[C@H](OC(C)(C)C)C1 ZINC001474195683 1061747488 /nfs/dbraw/zinc/74/74/88/1061747488.db2.gz ZYBDRNBVZFCMBK-HDJSIYSDSA-N 0 3 311.853 4.174 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccccc1Cl ZINC001252864894 1061749028 /nfs/dbraw/zinc/74/90/28/1061749028.db2.gz RTHPYOJBNKNUPE-IRXDYDNUSA-N 0 3 307.796 4.253 20 0 DIADHN Fc1ccccc1C1(CNCc2cccnc2Cl)CCC1 ZINC001474199722 1061749671 /nfs/dbraw/zinc/74/96/71/1061749671.db2.gz LRFDTXGXONHPJM-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CC2(c3ccccc3F)CCC2)c1 ZINC001474200421 1061750747 /nfs/dbraw/zinc/75/07/47/1061750747.db2.gz UJIFDTLLFYGPDI-UHFFFAOYSA-N 0 3 319.807 4.396 20 0 DIADHN CC(C)(NC[C@@H](O)c1ccc(F)cc1)c1ccccc1Cl ZINC001252865197 1061750884 /nfs/dbraw/zinc/75/08/84/1061750884.db2.gz WMYDGUOVUINFCJ-MRXNPFEDSA-N 0 3 307.796 4.037 20 0 DIADHN CC(C)C[C@H](CO)NCc1c(Cl)cccc1SC(C)C ZINC001474201732 1061755564 /nfs/dbraw/zinc/75/55/64/1061755564.db2.gz HZFLZCJCVPNMLL-CYBMUJFWSA-N 0 3 315.910 4.337 20 0 DIADHN CC1(C)CCCN1CCNc1ncc(C(F)(F)F)cc1Cl ZINC001458436426 1061760735 /nfs/dbraw/zinc/76/07/35/1061760735.db2.gz XAAZUWVOGJKCDG-UHFFFAOYSA-N 0 3 321.774 4.040 20 0 DIADHN Clc1cscc1CNC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC001474211479 1061769750 /nfs/dbraw/zinc/76/97/50/1061769750.db2.gz PQKZLABGQRIAFX-ZFWWWQNUSA-N 0 3 307.846 4.064 20 0 DIADHN Clc1ccc(OC[C@H]2CCCO2)c(CNCC2=CCCC2)c1 ZINC001474214843 1061771565 /nfs/dbraw/zinc/77/15/65/1061771565.db2.gz FQABLSNGBAXFLE-QGZVFWFLSA-N 0 3 321.848 4.098 20 0 DIADHN CC(C)Cc1ccccc1CNCc1ccc2nccnc2c1 ZINC001474217580 1061774518 /nfs/dbraw/zinc/77/45/18/1061774518.db2.gz FPZNIFZJMOUOIP-UHFFFAOYSA-N 0 3 305.425 4.118 20 0 DIADHN CCC(=CC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1)CC ZINC001465647492 1061789248 /nfs/dbraw/zinc/78/92/48/1061789248.db2.gz KCBFYOKYXHGXIE-UHFFFAOYSA-N 0 3 320.521 4.092 20 0 DIADHN C[C@@](O)(CNc1ccnc(-c2ccccc2)c1)c1ccccc1 ZINC001253090839 1061800042 /nfs/dbraw/zinc/80/00/42/1061800042.db2.gz JEOVJLBXJYGWKO-HXUWFJFHSA-N 0 3 304.393 4.068 20 0 DIADHN CC(F)(F)C(C)(C)CNCc1c(F)cccc1N1CCCC1 ZINC001474238107 1061801179 /nfs/dbraw/zinc/80/11/79/1061801179.db2.gz PCBHFFJOMZHCTH-UHFFFAOYSA-N 0 3 314.395 4.197 20 0 DIADHN C[C@@](O)(CN1CCC[C@@H]1c1cc(F)ccc1F)c1ccccc1 ZINC001253102846 1061803532 /nfs/dbraw/zinc/80/35/32/1061803532.db2.gz VGORLHWDZUMGKI-RTBURBONSA-N 0 3 317.379 4.009 20 0 DIADHN C[C@](O)(CN1CCC[C@@H]1c1cc(F)cc(F)c1)c1ccccc1 ZINC001253107562 1061805257 /nfs/dbraw/zinc/80/52/57/1061805257.db2.gz UAZITAVACANFAU-MOPGFXCFSA-N 0 3 317.379 4.009 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccccc1)c1cccc(Cl)c1F ZINC001253115012 1061814351 /nfs/dbraw/zinc/81/43/51/1061814351.db2.gz YZEAFXIDELDSKX-SJKOYZFVSA-N 0 3 307.796 4.037 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1ccsc1)CCCC2 ZINC001474249886 1061816031 /nfs/dbraw/zinc/81/60/31/1061816031.db2.gz WKLZRPPNOZHLJO-INIZCTEOSA-N 0 3 317.454 4.323 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc2c(c1)C[C@H](C)O2 ZINC001474259352 1061827988 /nfs/dbraw/zinc/82/79/88/1061827988.db2.gz GKNDJQQKGRUVPN-INIZCTEOSA-N 0 3 312.457 4.147 20 0 DIADHN Fc1c(Cl)cccc1CNC[C@]1(c2ccccc2)CCCO1 ZINC001474276884 1061843827 /nfs/dbraw/zinc/84/38/27/1061843827.db2.gz PERLDPXXMRZRRQ-SFHVURJKSA-N 0 3 319.807 4.275 20 0 DIADHN CCCc1cccc(CNC2(c3ccc4c(c3)OCCO4)CC2)c1 ZINC001474279685 1061847012 /nfs/dbraw/zinc/84/70/12/1061847012.db2.gz FOTVREAARRXZQH-UHFFFAOYSA-N 0 3 323.436 4.189 20 0 DIADHN COc1c(Cl)cccc1CNC/C=C/c1ccc(F)cc1 ZINC001474284059 1061853715 /nfs/dbraw/zinc/85/37/15/1061853715.db2.gz ZIFLTDKVDGFCHH-ONEGZZNKSA-N 0 3 305.780 4.291 20 0 DIADHN Cc1c[nH]c(=O)c(CNCc2ccc3oc4ccccc4c3c2)c1 ZINC001474288654 1061858076 /nfs/dbraw/zinc/85/80/76/1061858076.db2.gz FMPQSNITBDBPIQ-UHFFFAOYSA-N 0 3 318.376 4.285 20 0 DIADHN CC(C)COc1ccccc1CNC[C@H]1CCCC(C)(C)O1 ZINC001474289211 1061858517 /nfs/dbraw/zinc/85/85/17/1061858517.db2.gz BFPWAMDNBQIJJZ-QGZVFWFLSA-N 0 3 305.462 4.159 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H]1CCCC(C)(C)O1 ZINC001474290461 1061859125 /nfs/dbraw/zinc/85/91/25/1061859125.db2.gz ZEUUGYZCKWMPIK-CQSZACIVSA-N 0 3 311.853 4.176 20 0 DIADHN CC1(C)CCC[C@H](CNCc2ccccc2OC(F)(F)F)O1 ZINC001474290178 1061859954 /nfs/dbraw/zinc/85/99/54/1061859954.db2.gz UEKQWEMQMANZGN-CYBMUJFWSA-N 0 3 317.351 4.023 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCC[C@@H]1NCc1ccsc1Cl ZINC001474291730 1061860929 /nfs/dbraw/zinc/86/09/29/1061860929.db2.gz YOOPWLUVLLVQIU-NEPJUHHUSA-N 0 3 315.866 4.002 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H]3Cc4ccccc4[C@H]3O)o2)cc1 ZINC001474294881 1061863788 /nfs/dbraw/zinc/86/37/88/1061863788.db2.gz RYLWHPAWKIFWAD-TZIWHRDSSA-N 0 3 319.404 4.003 20 0 DIADHN Cc1ccc2[nH]cc(CCNCc3c(F)cccc3F)c2c1 ZINC001474297844 1061867496 /nfs/dbraw/zinc/86/74/96/1061867496.db2.gz IQRDUCBTQUGDTB-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN CCOC(OCC)[C@@H](C)NCc1ccccc1-c1ccccc1 ZINC001474306386 1061872470 /nfs/dbraw/zinc/87/24/70/1061872470.db2.gz CPFCDDQTPJVQJQ-MRXNPFEDSA-N 0 3 313.441 4.231 20 0 DIADHN Cc1cccc(C)c1CN[C@H]1C[C@@]2(CCOC2)Oc2ccccc21 ZINC001474305659 1061874505 /nfs/dbraw/zinc/87/45/05/1061874505.db2.gz SPLOJRPXWUFHIB-PZJWPPBQSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H](F)CC2CCCCC2)c1 ZINC001466093643 1061876922 /nfs/dbraw/zinc/87/69/22/1061876922.db2.gz KVSYYNASYKZGMV-SFHVURJKSA-N 0 3 320.452 4.304 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](CSc3ccccc3)C2)o1 ZINC001474309362 1061877131 /nfs/dbraw/zinc/87/71/31/1061877131.db2.gz NZOUDWKEFJIONU-OAHLLOKOSA-N 0 3 317.454 4.293 20 0 DIADHN Cc1sc(CN2CCC[C@H](C)[C@H]2C)cc1Br ZINC001474309164 1061877506 /nfs/dbraw/zinc/87/75/06/1061877506.db2.gz DFBKAFPVCSWYQQ-VHSXEESVSA-N 0 3 302.281 4.439 20 0 DIADHN COc1ccc(F)cc1CN1CC[C@@H](c2ccccc2)[C@H](C)C1 ZINC001474312956 1061880361 /nfs/dbraw/zinc/88/03/61/1061880361.db2.gz RRGDBAWTOSWBNF-DNVCBOLYSA-N 0 3 313.416 4.460 20 0 DIADHN COc1ccc(F)cc1CN1CC[C@H](c2ccccc2)[C@@H](C)C1 ZINC001474312960 1061883739 /nfs/dbraw/zinc/88/37/39/1061883739.db2.gz RRGDBAWTOSWBNF-KXBFYZLASA-N 0 3 313.416 4.460 20 0 DIADHN CC(C)[C@@H](C(=O)NCC(C)(C)C1CCCCC1)N1CCCCC1 ZINC001466151368 1061887308 /nfs/dbraw/zinc/88/73/08/1061887308.db2.gz NHFFTKUNEISNBC-SFHVURJKSA-N 0 3 322.537 4.220 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2Cc2c3c(nn2C)CCC3)c1C ZINC001474314524 1061888742 /nfs/dbraw/zinc/88/87/42/1061888742.db2.gz OMMAFMQSWYGXSQ-FQEVSTJZSA-N 0 3 323.484 4.253 20 0 DIADHN Cc1nn2c(CN3CCC[C@@H](C(C)(C)C)CC3)c(C)nc2s1 ZINC001474322098 1061900556 /nfs/dbraw/zinc/90/05/56/1061900556.db2.gz WQWYDQOHPGTYJH-CQSZACIVSA-N 0 3 320.506 4.056 20 0 DIADHN CCc1[nH]c(CN2CCC[C@@H](C(C)(C)C)CC2)cc1C(=O)OC ZINC001474321801 1061900850 /nfs/dbraw/zinc/90/08/50/1061900850.db2.gz SZWOZADYZZRXRO-CQSZACIVSA-N 0 3 320.477 4.012 20 0 DIADHN CCCCC[C@@H](O)CN1CCc2cc(C(F)(F)F)ccc2C1 ZINC001253537733 1061913948 /nfs/dbraw/zinc/91/39/48/1061913948.db2.gz LLTDNIQWUAETIO-MRXNPFEDSA-N 0 3 315.379 4.005 20 0 DIADHN OC[C@H]1c2ccccc2CCN1Cc1cccc(CC2CCC2)c1 ZINC001474327128 1061916182 /nfs/dbraw/zinc/91/61/82/1061916182.db2.gz BNNFVOIQAUWDRP-QFIPXVFZSA-N 0 3 321.464 4.121 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1cccc(CC2CCC2)c1 ZINC001474330827 1061919742 /nfs/dbraw/zinc/91/97/42/1061919742.db2.gz HVLGKTDRBVAJIX-OAQYLSRUSA-N 0 3 323.484 4.408 20 0 DIADHN CCCCC[C@H](O)CN[C@H](CC(C)C)c1nc2ccccc2[nH]1 ZINC001253558110 1061927520 /nfs/dbraw/zinc/92/75/20/1061927520.db2.gz SOAMDEZEEMIENT-MAUKXSAKSA-N 0 3 317.477 4.181 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@@H](c2cccc(OC)c2)C1 ZINC001474334993 1061930312 /nfs/dbraw/zinc/93/03/12/1061930312.db2.gz GVLRVNHMZFDGOM-YVWKXTFCSA-N 0 3 317.473 4.285 20 0 DIADHN CCN(Cc1cn2ccnc2s1)[C@H](C)c1ccc(F)cc1 ZINC001474342134 1061942940 /nfs/dbraw/zinc/94/29/40/1061942940.db2.gz PDVLUDAYXAKNSQ-GFCCVEGCSA-N 0 3 303.406 4.118 20 0 DIADHN c1cc(CN2CCC[C@H](c3ccccc3)CC2)n(CC2CC2)n1 ZINC001474343069 1061943162 /nfs/dbraw/zinc/94/31/62/1061943162.db2.gz JMLRKIRSLIQKHV-IBGZPJMESA-N 0 3 309.457 4.063 20 0 DIADHN CC(C)(C)[C@H](O)CN1CC[C@H](c2cccc(Cl)c2Cl)C1 ZINC001253727583 1061963504 /nfs/dbraw/zinc/96/35/04/1061963504.db2.gz FPADIPGVOBRBSR-SMDDNHRTSA-N 0 3 316.272 4.190 20 0 DIADHN Fc1ccc(CCN(Cc2ncccc2F)C2CCCC2)cc1 ZINC001474353529 1061968486 /nfs/dbraw/zinc/96/84/86/1061968486.db2.gz RASQVGYQMVBYMS-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN COc1ccc(CN2CC[C@H](OCC(C)C)C2)cc1C(C)(C)C ZINC001474355072 1061969268 /nfs/dbraw/zinc/96/92/68/1061969268.db2.gz YILOLVIACMCWSP-KRWDZBQOSA-N 0 3 319.489 4.240 20 0 DIADHN COc1cc(F)cc(CN2CCC[C@](C)(c3ccccc3)C2)c1 ZINC001474358496 1061979827 /nfs/dbraw/zinc/97/98/27/1061979827.db2.gz RCHFPNIIVIYVTA-FQEVSTJZSA-N 0 3 313.416 4.388 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@@H](c2ccccc2C)C[C@@H]1C ZINC001474359679 1061982347 /nfs/dbraw/zinc/98/23/47/1061982347.db2.gz BMQLQLYHTFLEIG-GJZGRUSLSA-N 0 3 317.864 4.243 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(C)c(C(=O)OC)[nH]1 ZINC001474361700 1061982971 /nfs/dbraw/zinc/98/29/71/1061982971.db2.gz AAZSZELNHCKPDR-QGZVFWFLSA-N 0 3 314.429 4.083 20 0 DIADHN CC(C)C(C)(C)SC[C@@H]1CN(Cc2ccccc2)CCCO1 ZINC001459035218 1061985260 /nfs/dbraw/zinc/98/52/60/1061985260.db2.gz BXSBHLNAYKGYBC-SFHVURJKSA-N 0 3 321.530 4.445 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@H](C)[C@H](C)C3)n2)c1 ZINC001474369228 1062005210 /nfs/dbraw/zinc/00/52/10/1062005210.db2.gz DLHOAIMHDZATHC-UONOGXRCSA-N 0 3 316.470 4.297 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc(-c3ccc(F)cc3)o2)[C@H]1C ZINC001474369815 1062010107 /nfs/dbraw/zinc/01/01/07/1062010107.db2.gz PEXBHBFMKZYGST-STQMWFEESA-N 0 3 305.418 4.412 20 0 DIADHN C[C@H]1CCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C[C@H]1C ZINC001474370203 1062011211 /nfs/dbraw/zinc/01/12/11/1062011211.db2.gz QDOHYLDVEBYQFW-NWDGAFQWSA-N 0 3 319.342 4.245 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1cc(F)ccc1N(C)C ZINC001474377611 1062018520 /nfs/dbraw/zinc/01/85/20/1062018520.db2.gz NCKBISCFGSABHF-UHFFFAOYSA-N 0 3 318.411 4.443 20 0 DIADHN CC[C@H](C)CN(C)Cc1cc(OC)c(Br)cc1F ZINC001474381422 1062027247 /nfs/dbraw/zinc/02/72/47/1062027247.db2.gz ZOCCXOBBMLLTSD-JTQLQIEISA-N 0 3 318.230 4.075 20 0 DIADHN Cc1ccc2cc(CN(C)CCCOc3ccccc3)[nH]c2c1 ZINC001474381954 1062029328 /nfs/dbraw/zinc/02/93/28/1062029328.db2.gz XONOTXGSVMZHCP-UHFFFAOYSA-N 0 3 308.425 4.377 20 0 DIADHN Cc1c(Br)cncc1CN1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC001474385451 1062033822 /nfs/dbraw/zinc/03/38/22/1062033822.db2.gz FVSRABUAPPMRNM-KFNAQCHYSA-N 0 3 323.278 4.306 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)ncc1Br ZINC001474390411 1062040188 /nfs/dbraw/zinc/04/01/88/1062040188.db2.gz CZDJFTZNAYSMFT-BJJXKVORSA-N 0 3 323.278 4.163 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(Cl)c(F)c1)c1cccc(CO)c1 ZINC001254205902 1062046640 /nfs/dbraw/zinc/04/66/40/1062046640.db2.gz ICVPOLBGNYUQIM-RYUDHWBXSA-N 0 3 307.796 4.383 20 0 DIADHN C[C@@H]1[C@@H](C)N(C(=O)OC(C)(C)C)CCN1CCC1CCCCC1 ZINC001474395288 1062048698 /nfs/dbraw/zinc/04/86/98/1062048698.db2.gz QTZRYILHJZZMFV-HZPDHXFCSA-N 0 3 324.509 4.287 20 0 DIADHN CCCn1cc(CN2CCC(Cc3ccccc3)CC2)c(C)n1 ZINC001474400261 1062055704 /nfs/dbraw/zinc/05/57/04/1062055704.db2.gz LCSDKKURAROFSN-UHFFFAOYSA-N 0 3 311.473 4.056 20 0 DIADHN CCCCC[C@H](CC)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001459256291 1062058742 /nfs/dbraw/zinc/05/87/42/1062058742.db2.gz RFZPZBOTQOREGZ-ZIFCJYIRSA-N 0 3 318.505 4.401 20 0 DIADHN COc1cc(CN2CC[C@H]3CCCC[C@H]3C2)c(Cl)cc1O ZINC001474405282 1062060669 /nfs/dbraw/zinc/06/06/69/1062060669.db2.gz RSYBDZCGCAZAMB-OLZOCXBDSA-N 0 3 309.837 4.066 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@@H]3CCCC[C@H]3C2)cc1OC ZINC001474405056 1062063331 /nfs/dbraw/zinc/06/33/31/1062063331.db2.gz RHRBSPAOEDSIKV-KBPBESRZSA-N 0 3 323.864 4.369 20 0 DIADHN Cc1ccc2cc(CN(C)CCOc3ccccc3F)[nH]c2c1 ZINC001474405877 1062066028 /nfs/dbraw/zinc/06/60/28/1062066028.db2.gz HIXRBRIPEXWDEO-UHFFFAOYSA-N 0 3 312.388 4.126 20 0 DIADHN CCOc1cc(F)cc(CN(C)CCOc2ccc(C)cc2)c1 ZINC001474406201 1062068632 /nfs/dbraw/zinc/06/86/32/1062068632.db2.gz STNULKUYKLQOMT-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1cc(Cl)ccc1F ZINC001459306589 1062076588 /nfs/dbraw/zinc/07/65/88/1062076588.db2.gz JAWXGEWJSQUZCV-UHFFFAOYSA-N 0 3 314.832 4.176 20 0 DIADHN CCSc1cccc(CN2CCC[C@@H]2c2cccn2C)c1 ZINC001474411510 1062080305 /nfs/dbraw/zinc/08/03/05/1062080305.db2.gz LMEKVSSPARYLTA-GOSISDBHSA-N 0 3 300.471 4.474 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)CN(CCCC2CC2)C1 ZINC001459344765 1062089062 /nfs/dbraw/zinc/08/90/62/1062089062.db2.gz GFGGEWLANSVSPK-PHIMTYICSA-N 0 3 303.290 4.239 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1nc2ccccc2nc1C ZINC001474418005 1062089301 /nfs/dbraw/zinc/08/93/01/1062089301.db2.gz JRWGWOHEKFTSGK-SFHVURJKSA-N 0 3 317.436 4.275 20 0 DIADHN Clc1cc2ccccc2c(CN2CCN(CC3CC3)CC2)c1 ZINC001459318763 1062090678 /nfs/dbraw/zinc/09/06/78/1062090678.db2.gz RSFJKKPZPRXHRM-UHFFFAOYSA-N 0 3 314.860 4.021 20 0 DIADHN c1ccc(-c2ncc3c(n2)CN(C2CCC4(CC4)CC2)C3)cc1 ZINC001254445468 1062105589 /nfs/dbraw/zinc/10/55/89/1062105589.db2.gz NGULQDXFFJCHBC-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN c1cncc(CN(Cc2cccnc2)C2CCC3(CC3)CC2)c1 ZINC001254443712 1062106548 /nfs/dbraw/zinc/10/65/48/1062106548.db2.gz FZYZZMAOEANWGD-UHFFFAOYSA-N 0 3 307.441 4.202 20 0 DIADHN CC(C)=C(C)CC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001467339750 1062112463 /nfs/dbraw/zinc/11/24/63/1062112463.db2.gz CWDITGZOSZEORE-LJQANCHMSA-N 0 3 316.489 4.006 20 0 DIADHN COc1ncc(CN(Cc2ccccc2)CC(C)C)cc1Cl ZINC001459385281 1062119459 /nfs/dbraw/zinc/11/94/59/1062119459.db2.gz XQHHURQEJKHJGP-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN CCC1(CC)[C@H](NCc2c(Cl)cccc2OC)C[C@@H]1OC ZINC001474444014 1062121047 /nfs/dbraw/zinc/12/10/47/1062121047.db2.gz HPWFAZASADUUKF-CVEARBPZSA-N 0 3 311.853 4.032 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N(C)c1nc(CN)ccc1C ZINC001254482216 1062124145 /nfs/dbraw/zinc/12/41/45/1062124145.db2.gz HFHTVVFFBRBSKJ-IBGZPJMESA-N 0 3 311.473 4.086 20 0 DIADHN CCC[C@H](CCc1ccccc1)N(C)c1nc(CN)ccc1C ZINC001254482217 1062124570 /nfs/dbraw/zinc/12/45/70/1062124570.db2.gz HFHTVVFFBRBSKJ-LJQANCHMSA-N 0 3 311.473 4.086 20 0 DIADHN CC[C@H](C)N(CCc1ccccc1F)Cc1cccnc1OC ZINC001459387464 1062125006 /nfs/dbraw/zinc/12/50/06/1062125006.db2.gz MZXSFHLAAHGNSW-HNNXBMFYSA-N 0 3 316.420 4.073 20 0 DIADHN CCOC(=O)c1ccc(CN2CCCC3(CCCCC3)CC2)[nH]1 ZINC001474447142 1062126687 /nfs/dbraw/zinc/12/66/87/1062126687.db2.gz WIIDDKXMZGXNJW-UHFFFAOYSA-N 0 3 318.461 4.128 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1CCC(C)(C)CO1 ZINC001459395479 1062127766 /nfs/dbraw/zinc/12/77/66/1062127766.db2.gz QKPMSEKXNVGXHG-HNNXBMFYSA-N 0 3 315.379 4.342 20 0 DIADHN CC1(C)CN(Cc2ccc(CC(F)(F)F)cc2)CC(C)(C)O1 ZINC001474449269 1062128691 /nfs/dbraw/zinc/12/86/91/1062128691.db2.gz RLDMYZYKRWMFNM-UHFFFAOYSA-N 0 3 315.379 4.181 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CC[C@@H]2CCCO[C@@H]2C1 ZINC001254491026 1062135649 /nfs/dbraw/zinc/13/56/49/1062135649.db2.gz AFWOYIBUQWTFAP-XUVXKRRUSA-N 0 3 301.474 4.289 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CNCc1cc(COC(C)(C)C)on1 ZINC001474458341 1062137929 /nfs/dbraw/zinc/13/79/29/1062137929.db2.gz GZYOXUKZULGMPK-LSDHHAIUSA-N 0 3 308.466 4.296 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001467481824 1062138531 /nfs/dbraw/zinc/13/85/31/1062138531.db2.gz GLNBDVAZTPMXTL-YOEHRIQHSA-N 0 3 324.896 4.131 20 0 DIADHN CCCC[C@@H](C)[C@@H](C)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001474461353 1062140509 /nfs/dbraw/zinc/14/05/09/1062140509.db2.gz OQQDEPOZFHASEJ-QZTJIDSGSA-N 0 3 317.521 4.455 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1ccc(Cl)cc1F ZINC001474464018 1062143436 /nfs/dbraw/zinc/14/34/36/1062143436.db2.gz FATFHMDBFULQMZ-YVEFUNNKSA-N 0 3 307.796 4.345 20 0 DIADHN CCN(Cc1ccc(OC)cc1OC)Cc1c(C)cccc1C ZINC001459468364 1062155485 /nfs/dbraw/zinc/15/54/85/1062155485.db2.gz ZLBNFNYJWZDSRE-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]2CCC[C@H]12)c1nc(C(F)(F)F)cs1 ZINC001474478368 1062158057 /nfs/dbraw/zinc/15/80/57/1062158057.db2.gz GPVGEUYWNNZSDC-XWLWVQCSSA-N 0 3 304.381 4.391 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2nc3ccccc3n2C)cc1 ZINC001459481688 1062160805 /nfs/dbraw/zinc/16/08/05/1062160805.db2.gz MZQQJLONQPCCMA-GOSISDBHSA-N 0 3 319.452 4.089 20 0 DIADHN CN(Cc1nc2ccc(Cl)cc2n1C)[C@H]1CCC(C)(C)C1 ZINC001474491254 1062169635 /nfs/dbraw/zinc/16/96/35/1062169635.db2.gz GJJUQTNQCKCDIL-ZDUSSCGKSA-N 0 3 305.853 4.237 20 0 DIADHN COC1(CNCc2cncc(Cl)c2Cl)CCC(C)CC1 ZINC001474493220 1062173817 /nfs/dbraw/zinc/17/38/17/1062173817.db2.gz JNOWTKIUGKHSFC-UHFFFAOYSA-N 0 3 317.260 4.073 20 0 DIADHN COC(=O)c1cccc(CN2C[C@H](C)CCC[C@H]2C)c1Cl ZINC001459512598 1062175329 /nfs/dbraw/zinc/17/53/29/1062175329.db2.gz SHHWJCXGTHRKGE-CHWSQXEVSA-N 0 3 309.837 4.137 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2cc(C)co2)CC1 ZINC001474501235 1062181124 /nfs/dbraw/zinc/18/11/24/1062181124.db2.gz RWJBWVSLWSMDBJ-UHFFFAOYSA-N 0 3 323.440 4.337 20 0 DIADHN CC(C)[C@H]1CN(C(=O)[C@@H](C)N2CCCCCC2)c2ccccc21 ZINC001467703654 1062184782 /nfs/dbraw/zinc/18/47/82/1062184782.db2.gz ZKXMIHPPPFGOQC-SJLPKXTDSA-N 0 3 314.473 4.037 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cn(-c2ccc(C)cc2)nc1C ZINC001474504672 1062188590 /nfs/dbraw/zinc/18/85/90/1062188590.db2.gz IPYIIXMGHUYQHE-KRWDZBQOSA-N 0 3 317.502 4.063 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1ccc(Cl)c(F)c1 ZINC001459531918 1062189831 /nfs/dbraw/zinc/18/98/31/1062189831.db2.gz MKHXBSVRHCFWEJ-CYBMUJFWSA-N 0 3 312.816 4.072 20 0 DIADHN CCCN(Cc1cc(F)ccc1F)[C@H]1C[C@H](OCC)C1(C)C ZINC001459535963 1062192927 /nfs/dbraw/zinc/19/29/27/1062192927.db2.gz PVBPIECQZCBYDA-IRXDYDNUSA-N 0 3 311.416 4.380 20 0 DIADHN COc1ccc(Cl)c(CN2CC[C@H](Cc3ccccc3)C2)c1 ZINC001459545203 1062197070 /nfs/dbraw/zinc/19/70/70/1062197070.db2.gz XIOFKGCSJQDACG-MRXNPFEDSA-N 0 3 315.844 4.413 20 0 DIADHN Cn1cc(CN2CCC=C(c3ccccc3)C2)c(C2CCCC2)n1 ZINC001474515388 1062197306 /nfs/dbraw/zinc/19/73/06/1062197306.db2.gz DZVMNGWWROJMEG-UHFFFAOYSA-N 0 3 321.468 4.367 20 0 DIADHN COc1nc(CN2C[C@H](C)CC[C@@H]2c2ccccc2)ccc1C ZINC001474514632 1062198822 /nfs/dbraw/zinc/19/88/22/1062198822.db2.gz BNRSGMQAGFXSSR-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN COc1nccc(CN(CC(C)C)[C@@H](C)c2ccccc2C)n1 ZINC001474522995 1062207570 /nfs/dbraw/zinc/20/75/70/1062207570.db2.gz PEYJUXZRUDRUNF-INIZCTEOSA-N 0 3 313.445 4.013 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCOCC2CCC2)o1 ZINC001459571268 1062217113 /nfs/dbraw/zinc/21/71/13/1062217113.db2.gz FHSXDKWPVWLCKN-QAPCUYQASA-N 0 3 305.462 4.432 20 0 DIADHN c1cc(CN2CCC[C@H](c3ccccc3)C2)n(CC2CCC2)n1 ZINC001474537509 1062224138 /nfs/dbraw/zinc/22/41/38/1062224138.db2.gz NUSWNKUSHSAAON-IBGZPJMESA-N 0 3 309.457 4.063 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccc(-c2ccccn2)nc1 ZINC001459589962 1062228092 /nfs/dbraw/zinc/22/80/92/1062228092.db2.gz KICBJHXOFGJWSO-INIZCTEOSA-N 0 3 315.420 4.090 20 0 DIADHN CCOc1cc(C[NH2+][C@H]2CC3CCC2CC3)cc(Cl)c1[O-] ZINC001474539507 1062230126 /nfs/dbraw/zinc/23/01/26/1062230126.db2.gz OCRLYAYZQFKSPA-HGMXIMQMSA-N 0 3 309.837 4.113 20 0 DIADHN COC(=O)c1csc(CNCc2cc3ccccc3s2)c1 ZINC001474540658 1062231140 /nfs/dbraw/zinc/23/11/40/1062231140.db2.gz CZWDZQWAAJPCCX-UHFFFAOYSA-N 0 3 317.435 4.039 20 0 DIADHN Cc1ccccc1[C@@H]1CN(CC[C@@H]2CCc3ccccc32)CCO1 ZINC001459598732 1062232022 /nfs/dbraw/zinc/23/20/22/1062232022.db2.gz QIDPCESSLKKXFE-UGKGYDQZSA-N 0 3 321.464 4.488 20 0 DIADHN C[C@H]1CCCN(C[C@@H](O)c2ccc(Cl)cc2Cl)[C@H]1C ZINC001459611997 1062242690 /nfs/dbraw/zinc/24/26/90/1062242690.db2.gz FHWCJFCQICCCCL-ZIBATOQPSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CC2(CCC2)[C@H]1C(C)C ZINC001474550590 1062246625 /nfs/dbraw/zinc/24/66/25/1062246625.db2.gz KTHLQPCIEVWDCF-HXUWFJFHSA-N 0 3 323.484 4.500 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2sc(C)nc2C(F)(F)F)C1 ZINC001254776116 1062247877 /nfs/dbraw/zinc/24/78/77/1062247877.db2.gz ZSXYBUWBVDURMP-UWVGGRQHSA-N 0 3 324.437 4.186 20 0 DIADHN [O-]c1ccc(C[NH2+]CCc2cc3ccccc3s2)cc1F ZINC001474549106 1062247191 /nfs/dbraw/zinc/24/71/91/1062247191.db2.gz HFSJPXJOZNFRDH-UHFFFAOYSA-N 0 3 301.386 4.078 20 0 DIADHN CC(C)N(Cc1c2c(nn1C)CCCC2)[C@@H](C)c1ccccc1 ZINC001474557979 1062259968 /nfs/dbraw/zinc/25/99/68/1062259968.db2.gz MMRCHWYHUJAMIB-INIZCTEOSA-N 0 3 311.473 4.271 20 0 DIADHN C[C@@H]1CCN(Cc2cc(Br)c3ccccc3c2)C1 ZINC001459627276 1062260155 /nfs/dbraw/zinc/26/01/55/1062260155.db2.gz JZVXAPBNTQUYGR-GFCCVEGCSA-N 0 3 304.231 4.444 20 0 DIADHN COCc1c(C)cc(C)c(CN2CCCc3occc3C2)c1C ZINC001474564079 1062268029 /nfs/dbraw/zinc/26/80/29/1062268029.db2.gz WULHJLCUMBWAGK-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)nc1Cl ZINC001459636930 1062271081 /nfs/dbraw/zinc/27/10/81/1062271081.db2.gz SYIGEQFCBXQGAV-NVXWUHKLSA-N 0 3 314.860 4.497 20 0 DIADHN CC(C)Cn1nccc1CN1CC2(CCCCC2)[C@@H]1C(C)C ZINC001474572807 1062279724 /nfs/dbraw/zinc/27/97/24/1062279724.db2.gz REIAFCDHLVTQBB-SFHVURJKSA-N 0 3 303.494 4.330 20 0 DIADHN CN(C[C@H]1CCCC(C)(C)C1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001474570856 1062279956 /nfs/dbraw/zinc/27/99/56/1062279956.db2.gz JOPOTAOIMGCTPT-DOTOQJQBSA-N 0 3 312.461 4.042 20 0 DIADHN CC(C)[C@@H]1N(Cc2cc(-c3ccccn3)n[nH]2)CC12CCCCC2 ZINC001474573197 1062280260 /nfs/dbraw/zinc/28/02/60/1062280260.db2.gz VRHSXTKJOZFVPK-IBGZPJMESA-N 0 3 324.472 4.262 20 0 DIADHN COc1cc(C)nc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)c1 ZINC001459663099 1062283163 /nfs/dbraw/zinc/28/31/63/1062283163.db2.gz QMYAGHRTDHWCJD-MGPUTAFESA-N 0 3 310.441 4.024 20 0 DIADHN COc1cc(C)nc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)c1 ZINC001459663115 1062285298 /nfs/dbraw/zinc/28/52/98/1062285298.db2.gz QMYAGHRTDHWCJD-YWZLYKJASA-N 0 3 310.441 4.024 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C2CCC(C)(C)CC2)c1C ZINC001459671707 1062292085 /nfs/dbraw/zinc/29/20/85/1062292085.db2.gz PGCNNJHHNMADLB-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1cccc(CCCN2CCOC3(C2)CCCCCC3)c1 ZINC001459670847 1062292338 /nfs/dbraw/zinc/29/23/38/1062292338.db2.gz NTBWURALEMQIDG-UHFFFAOYSA-N 0 3 301.474 4.353 20 0 DIADHN CN(Cc1cnc2c(F)cccc2c1)C1CC(OC(C)(C)C)C1 ZINC001474585980 1062295298 /nfs/dbraw/zinc/29/52/98/1062295298.db2.gz IJLIPPDHOFGXAZ-UHFFFAOYSA-N 0 3 316.420 4.152 20 0 DIADHN CC[C@](O)(CN[C@H](c1ccc(F)cc1)C(C)(C)C)C(F)(F)F ZINC001459678381 1062297740 /nfs/dbraw/zinc/29/77/40/1062297740.db2.gz VHSAHAZPOCBVIK-HIFRSBDPSA-N 0 3 321.358 4.206 20 0 DIADHN c1ccc2c(c1)CC(n1c3ccccc3nc1[C@@H]1CCCCN1)C2 ZINC001255027634 1062304422 /nfs/dbraw/zinc/30/44/22/1062304422.db2.gz ZCFFSDDEMHPLCQ-IBGZPJMESA-N 0 3 317.436 4.191 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1C1CCSCC1 ZINC001255082024 1062307364 /nfs/dbraw/zinc/30/73/64/1062307364.db2.gz LZSLRZCANFESII-CQSZACIVSA-N 0 3 303.475 4.150 20 0 DIADHN CCCn1nccc1CN1CCC[C@@]1(C)Cc1cccc(F)c1 ZINC001474594730 1062309042 /nfs/dbraw/zinc/30/90/42/1062309042.db2.gz ZCIJBUVLTFWQDD-IBGZPJMESA-N 0 3 315.436 4.029 20 0 DIADHN Cc1cnccc1CCN1CCCC[C@H]1c1ccc(F)cc1F ZINC001459696862 1062313437 /nfs/dbraw/zinc/31/34/37/1062313437.db2.gz VMLMCVMEFRDGPJ-IBGZPJMESA-N 0 3 316.395 4.438 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@H](OC3CCC3)C2)cc1F ZINC001474604337 1062318002 /nfs/dbraw/zinc/31/80/02/1062318002.db2.gz BMLIEIUQRCPTKY-ICTCPBKTSA-N 0 3 307.384 4.012 20 0 DIADHN CCOc1ccc(CN(Cc2cnn(CC)c2)C(C)C)c(C)c1 ZINC001474610423 1062324589 /nfs/dbraw/zinc/32/45/89/1062324589.db2.gz ZWLOAVPSMFVGNS-UHFFFAOYSA-N 0 3 315.461 4.021 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001468498101 1062332828 /nfs/dbraw/zinc/33/28/28/1062332828.db2.gz JZXIJSNJWLLSKA-OAHLLOKOSA-N 0 3 315.461 4.179 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC(C3CCC3)CC2)cs1 ZINC001474619274 1062341531 /nfs/dbraw/zinc/34/15/31/1062341531.db2.gz SHZRSJQMTQAQSF-UHFFFAOYSA-N 0 3 301.459 4.150 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCCC2(CCCC2)CC1 ZINC001474626204 1062345998 /nfs/dbraw/zinc/34/59/98/1062345998.db2.gz JJWVZQHVEFVQSC-UHFFFAOYSA-N 0 3 303.494 4.091 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC(c3ccon3)CC2)c(C)c1 ZINC001474634132 1062357516 /nfs/dbraw/zinc/35/75/16/1062357516.db2.gz ADLAFDADIRINEW-PLNGDYQASA-N 0 3 310.441 4.493 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](CO)c2cccc(Cl)c2Cl)C[C@H]1C ZINC001474636325 1062361397 /nfs/dbraw/zinc/36/13/97/1062361397.db2.gz MGHNQDLTOHWMQQ-FJJYHAOUSA-N 0 3 316.272 4.441 20 0 DIADHN OC[C@@H](NC1CCC2(CCCCC2)CC1)c1ccc(F)cc1F ZINC001474639774 1062362806 /nfs/dbraw/zinc/36/28/06/1062362806.db2.gz ODKFHRXEPCHGFT-GOSISDBHSA-N 0 3 323.427 4.481 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459753222 1062365027 /nfs/dbraw/zinc/36/50/27/1062365027.db2.gz AWOLLTBZBZECIQ-MAUKXSAKSA-N 0 3 315.436 4.412 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@@H]2CCC(C)C)c1 ZINC001459756212 1062367132 /nfs/dbraw/zinc/36/71/32/1062367132.db2.gz NEYNAUYXSINQQR-GOSISDBHSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@@H](C)[C@@H](CNC(C)(C)c1nc2ccccc2s1)OC ZINC001474645741 1062371937 /nfs/dbraw/zinc/37/19/37/1062371937.db2.gz SWJKNWQRMFZZFZ-TZMCWYRMSA-N 0 3 306.475 4.182 20 0 DIADHN Fc1c(Cl)cc(C(F)(F)F)cc1CN[C@H]1CCSC1 ZINC001255273775 1062375003 /nfs/dbraw/zinc/37/50/03/1062375003.db2.gz FRMQONCYQMRFBC-VIFPVBQESA-N 0 3 313.747 4.093 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2nc3ccc(OC)cc3s2)C1 ZINC001474652193 1062381398 /nfs/dbraw/zinc/38/13/98/1062381398.db2.gz CLKQXUCHAPNGMD-NQHOJNORSA-N 0 3 316.470 4.483 20 0 DIADHN O[C@@H]1CCN(C/C=C/c2ccc(F)cc2)[C@@H](c2ccccc2)C1 ZINC001474656280 1062386521 /nfs/dbraw/zinc/38/65/21/1062386521.db2.gz FKJYHYZWVNEKTO-WEPWYQGCSA-N 0 3 311.400 4.037 20 0 DIADHN O[C@@H]1CCN(C/C=C\c2cccc(F)c2)[C@H](c2ccccc2)C1 ZINC001474656593 1062386974 /nfs/dbraw/zinc/38/69/74/1062386974.db2.gz LANCHOXGOGTFEW-GWUCSLHKSA-N 0 3 311.400 4.037 20 0 DIADHN CC(C)CCCCN1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC001474654623 1062387907 /nfs/dbraw/zinc/38/79/07/1062387907.db2.gz JFMPSOZHWXSBEY-UHFFFAOYSA-N 0 3 313.489 4.112 20 0 DIADHN CCSc1ccccc1CN1CCC[C@@H]1c1cn(C)nc1C ZINC001459774079 1062388438 /nfs/dbraw/zinc/38/84/38/1062388438.db2.gz QBLVYTKGSFKOPB-QGZVFWFLSA-N 0 3 315.486 4.178 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CC(c2ccccc2)C1 ZINC001474662034 1062392809 /nfs/dbraw/zinc/39/28/09/1062392809.db2.gz QMXAQZWAERLBCT-SFQUDFHCSA-N 0 3 307.437 4.336 20 0 DIADHN C[C@@H](c1ccc(C(C)(C)C)cc1)N1CCCN(CC(F)F)CC1 ZINC001459780815 1062393008 /nfs/dbraw/zinc/39/30/08/1062393008.db2.gz ZCZYPTITFRQZED-HNNXBMFYSA-N 0 3 324.459 4.318 20 0 DIADHN CO[C@](C)(CNCc1cc(Cl)cc(Cl)c1F)C1CC1 ZINC001474667783 1062395414 /nfs/dbraw/zinc/39/54/14/1062395414.db2.gz GCEGGMQCOPDVSB-CQSZACIVSA-N 0 3 306.208 4.037 20 0 DIADHN Cc1nn2c(CN(C)C[C@@H](C)C3CCCCC3)c(C)nc2s1 ZINC001474664036 1062396609 /nfs/dbraw/zinc/39/66/09/1062396609.db2.gz QOEVIDGEZUENDU-GFCCVEGCSA-N 0 3 320.506 4.056 20 0 DIADHN CCOC(=O)c1ccc(CN(C)C[C@@H](C)C2CCCCC2)o1 ZINC001474663994 1062396905 /nfs/dbraw/zinc/39/69/05/1062396905.db2.gz PIKONFXEZDTAML-CQSZACIVSA-N 0 3 307.434 4.105 20 0 DIADHN CCOC(=O)[C@@H](CC)N1CCC[C@@H](CCCc2ccccc2)C1 ZINC001459786184 1062399148 /nfs/dbraw/zinc/39/91/48/1062399148.db2.gz DXTBABLPWGBDEN-RTBURBONSA-N 0 3 317.473 4.063 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@H]3CCCC(C)(C)C3)CCO2)cc1 ZINC001474669373 1062399435 /nfs/dbraw/zinc/39/94/35/1062399435.db2.gz AWWCNRLVFUUUPE-LPHOPBHVSA-N 0 3 317.473 4.285 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@@H](Oc3cccc(F)c3)C2)cc1 ZINC001474670413 1062399494 /nfs/dbraw/zinc/39/94/94/1062399494.db2.gz YYDSKELYXIBUEW-FFPCURRISA-N 0 3 315.363 4.131 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1noc2cc(F)ccc21 ZINC001474679083 1062410519 /nfs/dbraw/zinc/41/05/19/1062410519.db2.gz DBIRFIGGCXVPDW-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN Clc1ccccc1O[C@H]1CCN(CCCc2ccsc2)C1 ZINC001459810673 1062415157 /nfs/dbraw/zinc/41/51/57/1062415157.db2.gz SPYQPYDDCWEXIT-HNNXBMFYSA-N 0 3 321.873 4.487 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CC(CC3CCOCC3)C2)cc1C ZINC001474687603 1062419576 /nfs/dbraw/zinc/41/95/76/1062419576.db2.gz IHIINEHZWYXATJ-PLNGDYQASA-N 0 3 313.485 4.374 20 0 DIADHN C[C@@H](CNCc1noc2c1CC(C)(C)CC2)C1CCCCC1 ZINC001474693091 1062421333 /nfs/dbraw/zinc/42/13/33/1062421333.db2.gz IGRTXHIIEHVEBL-AWEZNQCLSA-N 0 3 304.478 4.496 20 0 DIADHN Fc1ccc(-c2cc(CN[C@@H]3CC[C@H]3C3CCC3)no2)c(F)c1 ZINC001474693791 1062422060 /nfs/dbraw/zinc/42/20/60/1062422060.db2.gz QNQDDIHWIRBDLO-WMLDXEAASA-N 0 3 318.367 4.288 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2cccn2C(F)F)c1 ZINC001474695525 1062424255 /nfs/dbraw/zinc/42/42/55/1062424255.db2.gz LUXHLMVNAQMDNF-UHFFFAOYSA-N 0 3 308.372 4.350 20 0 DIADHN CN(C(=O)CN[C@@H](CC(C)(C)C)c1ccc(Cl)cc1)C1CC1 ZINC001459842169 1062440335 /nfs/dbraw/zinc/44/03/35/1062440335.db2.gz FLUJYRKPFRQYAP-INIZCTEOSA-N 0 3 322.880 4.028 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001459840086 1062441306 /nfs/dbraw/zinc/44/13/06/1062441306.db2.gz VJBHFYFCQZCDQM-MAUKXSAKSA-N 0 3 321.411 4.497 20 0 DIADHN CCOC(=O)/C=C\CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC001459845567 1062443648 /nfs/dbraw/zinc/44/36/48/1062443648.db2.gz REIQVLZOCJHXFG-KJPDOMRESA-N 0 3 309.837 4.136 20 0 DIADHN C[C@@H](NCc1ccc(F)c(F)c1)c1ccc(-n2cccn2)cc1 ZINC001459847629 1062447295 /nfs/dbraw/zinc/44/72/95/1062447295.db2.gz DZEUSMYVIJJWFL-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)Nc2ccc(C)c(F)c2)c1 ZINC001459852097 1062450526 /nfs/dbraw/zinc/45/05/26/1062450526.db2.gz VGZNTWRRASICDI-HNNXBMFYSA-N 0 3 314.404 4.040 20 0 DIADHN CSCC1CCN(Cc2ccccc2CC(F)(F)F)CC1 ZINC001474733086 1062452376 /nfs/dbraw/zinc/45/23/76/1062452376.db2.gz OWQWQODFVILPCQ-UHFFFAOYSA-N 0 3 317.420 4.366 20 0 DIADHN CC(C)(C)CNC(=O)CN[C@H](CC1CCCC1)c1ccccc1 ZINC001459857585 1062452834 /nfs/dbraw/zinc/45/28/34/1062452834.db2.gz JYWQWHGMGJZIKY-GOSISDBHSA-N 0 3 316.489 4.060 20 0 DIADHN CC(C)(C)C1CCC(N[C@H](CO)c2cc(F)ccc2F)CC1 ZINC001255499825 1062460723 /nfs/dbraw/zinc/46/07/23/1062460723.db2.gz SYINEBSEGVZBKJ-MKTAYPAQSA-N 0 3 311.416 4.193 20 0 DIADHN Clc1cccc(CCN2CCO[C@H](c3ccccc3)CC2)c1 ZINC001474751498 1062462337 /nfs/dbraw/zinc/46/23/37/1062462337.db2.gz CZYFVIUCODVVLR-IBGZPJMESA-N 0 3 315.844 4.346 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@@H](C2CCCC2)C1 ZINC001474752943 1062463203 /nfs/dbraw/zinc/46/32/03/1062463203.db2.gz QABGKPHTGULPDD-CQSZACIVSA-N 0 3 307.869 4.343 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@@H]2CCC=CCCC2)s1 ZINC001474746071 1062463649 /nfs/dbraw/zinc/46/36/49/1062463649.db2.gz LUXBWPKJYUKKLZ-LLVKDONJSA-N 0 3 304.381 4.388 20 0 DIADHN Cc1nc(N2CCN(CC3CCC(C)(C)CC3)CC2)sc1C ZINC001459876331 1062465312 /nfs/dbraw/zinc/46/53/12/1062465312.db2.gz NGRUJHPPDDVUDP-UHFFFAOYSA-N 0 3 321.534 4.098 20 0 DIADHN COC[C@H](NC/C=C/c1ccc(Cl)cc1)c1ccccc1 ZINC001459878408 1062467347 /nfs/dbraw/zinc/46/73/47/1062467347.db2.gz PCXOUYZHKUSRJR-QWNKOJSDSA-N 0 3 301.817 4.331 20 0 DIADHN COc1cc(CN(C)Cc2cccc(OC(C)C)c2)ccc1C ZINC001459882086 1062468893 /nfs/dbraw/zinc/46/88/93/1062468893.db2.gz LYATXWGUTQHSFQ-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN CCc1nc([C@@H](C)NCC[C@H]2CCc3ccccc32)cs1 ZINC001459900250 1062482930 /nfs/dbraw/zinc/48/29/30/1062482930.db2.gz JQASJFZPHSEJJB-UKRRQHHQSA-N 0 3 300.471 4.476 20 0 DIADHN COC[C@H](C)N(Cc1ccc(F)cc1)Cc1ccc(F)cc1 ZINC001255577763 1062484909 /nfs/dbraw/zinc/48/49/09/1062484909.db2.gz CKHHQKVEUMNGPT-AWEZNQCLSA-N 0 3 305.368 4.002 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(OCC2CCC2)c1 ZINC001255641907 1062495703 /nfs/dbraw/zinc/49/57/03/1062495703.db2.gz PKVMZMMFGUDNES-GFCCVEGCSA-N 0 3 301.352 4.296 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC[C@H](OCc2ccccc2)C1 ZINC001255654291 1062498488 /nfs/dbraw/zinc/49/84/88/1062498488.db2.gz BDPVASSESAOBMR-ZFWWWQNUSA-N 0 3 301.352 4.009 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN([C@@H](C)CC(F)(F)F)C1 ZINC001255658277 1062503021 /nfs/dbraw/zinc/50/30/21/1062503021.db2.gz PMYWQJNOGOEXPJ-UONOGXRCSA-N 0 3 301.352 4.179 20 0 DIADHN COc1ccccc1C1CCN([C@H](C)CC(F)(F)F)CC1 ZINC001255656444 1062503388 /nfs/dbraw/zinc/50/33/88/1062503388.db2.gz UORJGXIOVNWOMD-GFCCVEGCSA-N 0 3 301.352 4.216 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nccn3-c3ccccc3)C2)cc1 ZINC001459932562 1062505877 /nfs/dbraw/zinc/50/58/77/1062505877.db2.gz KUSRCVZFLDNWNO-LJQANCHMSA-N 0 3 317.436 4.170 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC2(CC1)O[C@H](C)c1ccccc12 ZINC001255662370 1062507965 /nfs/dbraw/zinc/50/79/65/1062507965.db2.gz JTOHXFGPPCTKCS-QWHCGFSZSA-N 0 3 313.363 4.410 20 0 DIADHN C[C@@H]1COc2ccccc2CN1CCSC1CCCCC1 ZINC001459939556 1062512680 /nfs/dbraw/zinc/51/26/80/1062512680.db2.gz XRKZBQNUGNIUSK-OAHLLOKOSA-N 0 3 305.487 4.335 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255686201 1062513620 /nfs/dbraw/zinc/51/36/20/1062513620.db2.gz DSUYAWUMPGCITP-SRVKXCTJSA-N 0 3 311.351 4.350 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C[C@@H](C)CC)c1ccc(Cl)cc1 ZINC001459946285 1062514821 /nfs/dbraw/zinc/51/48/21/1062514821.db2.gz OGRKUSRXMXVUMP-WMLDXEAASA-N 0 3 324.896 4.275 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(C)Cc1cc(OC)ccc1Br ZINC001459952939 1062521745 /nfs/dbraw/zinc/52/17/45/1062521745.db2.gz QYHHCALPXFNMCY-VXGBXAGGSA-N 0 3 314.267 4.324 20 0 DIADHN CC[C@H](C)[C@H](C)N(C)Cc1cc(OC)ccc1Br ZINC001459952936 1062523000 /nfs/dbraw/zinc/52/30/00/1062523000.db2.gz QYHHCALPXFNMCY-RYUDHWBXSA-N 0 3 314.267 4.324 20 0 DIADHN CN(Cc1cnc(Cl)s1)[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255704557 1062530089 /nfs/dbraw/zinc/53/00/89/1062530089.db2.gz FYLGDCDNRSKVRS-RKDXNWHRSA-N 0 3 312.788 4.349 20 0 DIADHN CN(Cc1cnc(Cl)s1)[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255704555 1062530265 /nfs/dbraw/zinc/53/02/65/1062530265.db2.gz FYLGDCDNRSKVRS-DTWKUNHWSA-N 0 3 312.788 4.349 20 0 DIADHN COc1cccc2c1CCN([C@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255722846 1062541600 /nfs/dbraw/zinc/54/16/00/1062541600.db2.gz LQRHEHQYCDXQPQ-KBPBESRZSA-N 0 3 313.363 4.174 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1ncccc1Br ZINC001459982941 1062543084 /nfs/dbraw/zinc/54/30/84/1062543084.db2.gz KRNGTQUONLDFIF-JSGCOSHPSA-N 0 3 311.267 4.293 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](N2CCOC3(CCCCC3)C2)C1 ZINC001255722398 1062543405 /nfs/dbraw/zinc/54/34/05/1062543405.db2.gz ZBMPLNPAAZISQH-ZIAGYGMSSA-N 0 3 305.384 4.143 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1nc(-c2ccccc2F)no1 ZINC001459984609 1062548967 /nfs/dbraw/zinc/54/89/67/1062548967.db2.gz DRDCANLOKRBWBZ-DOMZBBRYSA-N 0 3 317.408 4.180 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)CSc2ccc(F)cc2)nc1 ZINC001255728183 1062550220 /nfs/dbraw/zinc/55/02/20/1062550220.db2.gz OHLWKELIAVARGO-KGLIPLIRSA-N 0 3 304.434 4.361 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)CSc2ccc(F)cc2)n1 ZINC001255728031 1062550481 /nfs/dbraw/zinc/55/04/81/1062550481.db2.gz LJTMOUXCQNUZAB-KGLIPLIRSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@H]1CCN(Cc2cnnn2-c2ccccc2)CC12CCCCC2 ZINC001459998380 1062556591 /nfs/dbraw/zinc/55/65/91/1062556591.db2.gz ZTQDBFVSHYQYIG-KRWDZBQOSA-N 0 3 324.472 4.060 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@@H]1COCc2ccccc21 ZINC001255735751 1062558235 /nfs/dbraw/zinc/55/82/35/1062558235.db2.gz MVSYSGKMNJXNIG-FZKQIMNGSA-N 0 3 317.429 4.167 20 0 DIADHN CC(C)(C)c1cccc(OCCCN2CCC(F)(F)CC2)c1 ZINC001460012216 1062568018 /nfs/dbraw/zinc/56/80/18/1062568018.db2.gz QRFUBOHKYPUKBG-UHFFFAOYSA-N 0 3 311.416 4.484 20 0 DIADHN CCN(Cc1cccnc1)[C@H](C)CSc1ccc(F)cc1 ZINC001255745713 1062568688 /nfs/dbraw/zinc/56/86/88/1062568688.db2.gz YGZVLXWERMKFLG-CQSZACIVSA-N 0 3 304.434 4.223 20 0 DIADHN Cc1ncccc1CN(C)[C@@H](C)CSc1ccc(F)cc1 ZINC001255745875 1062569760 /nfs/dbraw/zinc/56/97/60/1062569760.db2.gz BYGYTXVESBLTKG-ZDUSSCGKSA-N 0 3 304.434 4.142 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1ccn(-c2ccccc2F)n1 ZINC001460020181 1062574411 /nfs/dbraw/zinc/57/44/11/1062574411.db2.gz UXNWQDMOYIMLII-HNNXBMFYSA-N 0 3 315.436 4.412 20 0 DIADHN COc1cccc(CN[C@@H](C)CSc2ccc(C)cc2)c1F ZINC001255755999 1062577532 /nfs/dbraw/zinc/57/75/32/1062577532.db2.gz NAAKLVOODCMPBW-AWEZNQCLSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@@H]2COCc3ccccc32)cc1 ZINC001255756321 1062580389 /nfs/dbraw/zinc/58/03/89/1062580389.db2.gz RBWJYVRHXFPFHO-HNAYVOBHSA-N 0 3 313.466 4.337 20 0 DIADHN Cc1ccc(SC[C@H](C)NC(=N)c2cccnc2Cl)cc1 ZINC001255757084 1062580701 /nfs/dbraw/zinc/58/07/01/1062580701.db2.gz VJEGHTAPHWNROG-LBPRGKRZSA-N 0 3 319.861 4.139 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1Cc1cc(C)ccc1C ZINC001460035479 1062584570 /nfs/dbraw/zinc/58/45/70/1062584570.db2.gz BOWBBSGTJLGCBM-LJQANCHMSA-N 0 3 303.446 4.001 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CC[C@@H](C(F)(F)F)C2)cc1 ZINC001255768940 1062589861 /nfs/dbraw/zinc/58/98/61/1062589861.db2.gz XJJGPZBGHUOSBD-QWHCGFSZSA-N 0 3 303.393 4.360 20 0 DIADHN COCCN(Cc1nc2cc(C)ccc2s1)[C@H]1CC[C@H](C)C1 ZINC001460041083 1062591693 /nfs/dbraw/zinc/59/16/93/1062591693.db2.gz SJKYPLSWUGIUIL-ZFWWWQNUSA-N 0 3 318.486 4.242 20 0 DIADHN CCCCCN1CCN([C@@H](C)CSc2ccc(C)cc2)CC1 ZINC001255768952 1062594101 /nfs/dbraw/zinc/59/41/01/1062594101.db2.gz XMVOPXQHYOLTOU-SFHVURJKSA-N 0 3 320.546 4.283 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001460048111 1062598537 /nfs/dbraw/zinc/59/85/37/1062598537.db2.gz MQJUDUUYVKUNGV-TZMCWYRMSA-N 0 3 316.272 4.161 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2c(Cl)cncc2Cl)cc1 ZINC001255776029 1062598824 /nfs/dbraw/zinc/59/88/24/1062598824.db2.gz CJOXAZFHYDEWFE-LBPRGKRZSA-N 0 3 309.240 4.418 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001460048109 1062599351 /nfs/dbraw/zinc/59/93/51/1062599351.db2.gz MQJUDUUYVKUNGV-OCCSQVGLSA-N 0 3 316.272 4.161 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H](C)Cc1ccc(C)cc1 ZINC001255780524 1062603076 /nfs/dbraw/zinc/60/30/76/1062603076.db2.gz NSQJRKCBSDLBMC-GJZGRUSLSA-N 0 3 301.405 4.425 20 0 DIADHN C[C@@H](CCN(C)Cc1cc(F)cc(F)c1)OCc1ccccc1 ZINC001460056960 1062607227 /nfs/dbraw/zinc/60/72/27/1062607227.db2.gz HMIBEXXXMMTJQF-HNNXBMFYSA-N 0 3 319.395 4.392 20 0 DIADHN CN(CCCN(C)Cc1cc(F)ccc1Cl)Cc1ccco1 ZINC001460064316 1062610409 /nfs/dbraw/zinc/61/04/09/1062610409.db2.gz ZDTHDXFHXAXOOT-UHFFFAOYSA-N 0 3 324.827 4.026 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H]1[C@H](c2ccccc2)C1(F)F ZINC001460067912 1062612932 /nfs/dbraw/zinc/61/29/32/1062612932.db2.gz FVBYOBZIDPTZSV-HOCLYGCPSA-N 0 3 320.383 4.165 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@@H](C)C[C@@H]2c2ccccc2)c1 ZINC001460065834 1062613153 /nfs/dbraw/zinc/61/31/53/1062613153.db2.gz ACPQRBHDCSBRLP-FXAWDEMLSA-N 0 3 322.452 4.325 20 0 DIADHN O[C@@H](CN1CCC2(CCC2)CC1)c1ccc(Cl)c(Cl)c1 ZINC001460075774 1062619216 /nfs/dbraw/zinc/61/92/16/1062619216.db2.gz WLKQMSGRLYZERS-HNNXBMFYSA-N 0 3 314.256 4.293 20 0 DIADHN COC(=O)c1cc(CN2CCC=C(c3ccccc3)C2)ccc1C ZINC001460080839 1062620871 /nfs/dbraw/zinc/62/08/71/1062620871.db2.gz DLGVAYKENDNUAV-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H](c1ncccc1F)C1CC1 ZINC001255839811 1062627553 /nfs/dbraw/zinc/62/75/53/1062627553.db2.gz XNFWZWOLNMIERL-SJKOYZFVSA-N 0 3 302.368 4.032 20 0 DIADHN CC1(C)CO[C@H](CN(Cc2ccccc2Cl)CC2CC2)C1 ZINC001460103036 1062640111 /nfs/dbraw/zinc/64/01/11/1062640111.db2.gz XDKSBLIGWPQRQF-INIZCTEOSA-N 0 3 307.865 4.367 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2ccc(Cl)nc2Cl)C1(C)C ZINC001460117559 1062645913 /nfs/dbraw/zinc/64/59/13/1062645913.db2.gz BWSIYEHAVHWMRL-VXGBXAGGSA-N 0 3 317.260 4.070 20 0 DIADHN CCOC(=O)c1cc2c(s1)CCN(C1CCCCCC1)C2 ZINC001255892318 1062657747 /nfs/dbraw/zinc/65/77/47/1062657747.db2.gz GRXHJEGMMAAAAG-UHFFFAOYSA-N 0 3 307.459 4.006 20 0 DIADHN Cc1ccc(C)c(CN(C)[C@H](CO)c2ccc(Cl)cc2)c1 ZINC001460140395 1062658054 /nfs/dbraw/zinc/65/80/54/1062658054.db2.gz BHPXCMVNQQVDDB-GOSISDBHSA-N 0 3 303.833 4.122 20 0 DIADHN CCCc1ccc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)cc1 ZINC001460142824 1062659878 /nfs/dbraw/zinc/65/98/78/1062659878.db2.gz OZHGVGMVTRHSHF-IBGZPJMESA-N 0 3 317.860 4.458 20 0 DIADHN CCN(Cc1coc(-c2cccc(OC)c2)n1)CC(C)(C)C ZINC001460154979 1062666870 /nfs/dbraw/zinc/66/68/70/1062666870.db2.gz YBCQEIKHUMRYCZ-UHFFFAOYSA-N 0 3 302.418 4.218 20 0 DIADHN CCc1ccc(CN(C)[C@@H]2C[C@H](Oc3ccccc3)C2(C)C)nc1 ZINC001460192814 1062690467 /nfs/dbraw/zinc/69/04/67/1062690467.db2.gz ACQHCUOGKCZHFK-UXHICEINSA-N 0 3 324.468 4.322 20 0 DIADHN C[C@@H]1CN(C[C@H]2[C@H](c3ccccc3)C2(F)F)CCC1(F)F ZINC001460194412 1062693027 /nfs/dbraw/zinc/69/30/27/1062693027.db2.gz GTUHGAHSGADVSC-XBFCOCLRSA-N 0 3 301.327 4.012 20 0 DIADHN CCc1ccccc1CN1Cc2ccc(OC)cc2C2(CC2)C1 ZINC001460194010 1062693893 /nfs/dbraw/zinc/69/38/93/1062693893.db2.gz SWFWAGVMXVKIPX-UHFFFAOYSA-N 0 3 307.437 4.305 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)NC[C@H](c1ccccc1)C(C)C ZINC001460199951 1062696037 /nfs/dbraw/zinc/69/60/37/1062696037.db2.gz ZRRQDXIWDHHVHY-HKUYNNGSSA-N 0 3 318.505 4.053 20 0 DIADHN O=C(CN[C@H]1CCC[C@H](c2ccccc2)C1)c1cccc(F)c1 ZINC001256113882 1062718434 /nfs/dbraw/zinc/71/84/34/1062718434.db2.gz WVFOPEBPKAERRI-LPHOPBHVSA-N 0 3 311.400 4.324 20 0 DIADHN C[C@@H]1CN(CCCSC(C)(C)C)CCN1Cc1ccccc1 ZINC001460239333 1062722005 /nfs/dbraw/zinc/72/20/05/1062722005.db2.gz ILVBCZORZSQOQC-QGZVFWFLSA-N 0 3 320.546 4.115 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@H](C)c1cccc(Cl)c1F ZINC001460256973 1062732938 /nfs/dbraw/zinc/73/29/38/1062732938.db2.gz KKOWZXDYQOTNBO-ONUMYQOESA-N 0 3 319.807 4.442 20 0 DIADHN C[C@@H]1CN(Cc2ccn(-c3ccc(Cl)cc3)n2)[C@@H](C)[C@H]1C ZINC001460262853 1062739330 /nfs/dbraw/zinc/73/93/30/1062739330.db2.gz FZCNVXRVDLUKAG-RDBSUJKOSA-N 0 3 303.837 4.002 20 0 DIADHN CC1(C)[C@H](c2ccccc2)CCN1Cc1nc2ccccc2[nH]1 ZINC001460258954 1062739487 /nfs/dbraw/zinc/73/94/87/1062739487.db2.gz RZTRVTVAAQTRQB-INIZCTEOSA-N 0 3 305.425 4.331 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@H](C3CCCC3)C2)o1 ZINC001460263368 1062739847 /nfs/dbraw/zinc/73/98/47/1062739847.db2.gz DIIODBSMITUZCH-HNNXBMFYSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CC[C@@](C)(CF)C1 ZINC001256181185 1062743123 /nfs/dbraw/zinc/74/31/23/1062743123.db2.gz CKWFLFPWMKYVBX-DOMZBBRYSA-N 0 3 314.242 4.062 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC[C@@H](CF)C1 ZINC001256185898 1062746148 /nfs/dbraw/zinc/74/61/48/1062746148.db2.gz CSGRHGGUOZVPOM-OCCSQVGLSA-N 0 3 314.242 4.062 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1cc(F)cc(F)c1 ZINC001460282669 1062754352 /nfs/dbraw/zinc/75/43/52/1062754352.db2.gz GVTMRKTZJFKEST-UHFFFAOYSA-N 0 3 305.368 4.174 20 0 DIADHN C[C@@]1(Cc2ccccc2F)CCCN1CCSC(F)(F)F ZINC001460289113 1062762850 /nfs/dbraw/zinc/76/28/50/1062762850.db2.gz ICJWYJHVBJLLCL-AWEZNQCLSA-N 0 3 321.383 4.476 20 0 DIADHN CC(C)CC[C@@H](C)NCC(=O)c1ccc(Br)cc1 ZINC001256351506 1062780902 /nfs/dbraw/zinc/78/09/02/1062780902.db2.gz QKLQLHUHNLFMJN-GFCCVEGCSA-N 0 3 312.251 4.046 20 0 DIADHN CC(C)(C)OCCNC1(c2ccc(C(F)(F)F)cc2)CC1 ZINC001460329512 1062782976 /nfs/dbraw/zinc/78/29/76/1062782976.db2.gz QLNAQRUBCWYMPP-UHFFFAOYSA-N 0 3 301.352 4.099 20 0 DIADHN CC(C)CC[C@@H](C)N1CCc2ncc(Br)cc2C1 ZINC001256371648 1062794278 /nfs/dbraw/zinc/79/42/78/1062794278.db2.gz SDRWDSAWZAFDSB-GFCCVEGCSA-N 0 3 311.267 4.027 20 0 DIADHN C[C@H]1CN([C@H]2CCCc3ccc(F)cc32)CC2(CCCC2)O1 ZINC001460346331 1062797552 /nfs/dbraw/zinc/79/75/52/1062797552.db2.gz WSPDRZCJRLAKGA-KSSFIOAISA-N 0 3 303.421 4.237 20 0 DIADHN CC(C)c1ccc2c(c1)CN(Cc1ccc3c[nH]nc3c1)CC2 ZINC001460361567 1062808030 /nfs/dbraw/zinc/80/80/30/1062808030.db2.gz IZPDNDFEKUINSO-UHFFFAOYSA-N 0 3 305.425 4.245 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)C(=O)CN1CCC2(CCCCC2)CC1 ZINC001460360334 1062808196 /nfs/dbraw/zinc/80/81/96/1062808196.db2.gz FPGSLGXKTXWONG-ROUUACIJSA-N 0 3 320.521 4.070 20 0 DIADHN O[C@H](CN1CCC2(CCCCC2)CC1)c1cccc(Cl)c1 ZINC001460360464 1062808690 /nfs/dbraw/zinc/80/86/90/1062808690.db2.gz FUTODOOFLSHJSL-QGZVFWFLSA-N 0 3 307.865 4.420 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CN(C[C@H]1C[C@@H](C)O[C@@H](C)C1)CC3 ZINC001460361274 1062808798 /nfs/dbraw/zinc/80/87/98/1062808798.db2.gz KQMRQBAXSMPKMV-ZSHCYNCHSA-N 0 3 312.457 4.038 20 0 DIADHN CCOC1CCC(NCc2c(F)cc(Cl)cc2F)CC1 ZINC001256430996 1062820657 /nfs/dbraw/zinc/82/06/57/1062820657.db2.gz LIDBYAAURPLJCU-UHFFFAOYSA-N 0 3 303.780 4.056 20 0 DIADHN Cc1nocc1CN1CCC[C@@]1(C)Cc1ccccc1Cl ZINC001460404427 1062834650 /nfs/dbraw/zinc/83/46/50/1062834650.db2.gz PRTAUZISFIRUEV-KRWDZBQOSA-N 0 3 304.821 4.234 20 0 DIADHN C[C@H](N[C@H]1CCCC12CCCCC2)c1cn(-c2ccccc2)nn1 ZINC001460416437 1062846278 /nfs/dbraw/zinc/84/62/78/1062846278.db2.gz MLPNFFUJHDCJIV-LPHOPBHVSA-N 0 3 324.472 4.421 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001460439756 1062855528 /nfs/dbraw/zinc/85/55/28/1062855528.db2.gz BYRXJDFGZAGNFA-HUUCEWRRSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC001460445299 1062859886 /nfs/dbraw/zinc/85/98/86/1062859886.db2.gz RNUGAKILAFDZDS-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@H](C3CCC3)C2)c(C)c1 ZINC001460461942 1062867365 /nfs/dbraw/zinc/86/73/65/1062867365.db2.gz NYWUZTRDFGVSBT-WMZOPIPTSA-N 0 3 314.473 4.143 20 0 DIADHN COc1ccc(C(C)(C)NCc2cc(C)cc(C)c2)cc1F ZINC001460480504 1062874532 /nfs/dbraw/zinc/87/45/32/1062874532.db2.gz GCTMGILRAHQRAN-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN CCCCC[C@H]1CCCCN1CC(=O)Nc1ccc(C)cc1 ZINC001460486790 1062875349 /nfs/dbraw/zinc/87/53/49/1062875349.db2.gz HMLYKXALVORFDL-SFHVURJKSA-N 0 3 302.462 4.368 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@@H]1CC1(C)C ZINC001460520723 1062895334 /nfs/dbraw/zinc/89/53/34/1062895334.db2.gz VUFCFDUMCLLYJR-PBHICJAKSA-N 0 3 310.441 4.145 20 0 DIADHN COc1cccc2c1CC[C@H](N[C@@H](C)c1c(F)cccc1F)C2 ZINC001256638975 1062927613 /nfs/dbraw/zinc/92/76/13/1062927613.db2.gz IHILQTHDQCKMEL-JSGCOSHPSA-N 0 3 317.379 4.182 20 0 DIADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1cc(Cl)cc(Cl)c1F ZINC001460622316 1062940228 /nfs/dbraw/zinc/94/02/28/1062940228.db2.gz NIKJCJYOUDBTNM-XULOGPSESA-N 0 3 306.208 4.034 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)oc1C)c1cc(C)ccc1C ZINC001460628697 1062945375 /nfs/dbraw/zinc/94/53/75/1062945375.db2.gz PYFUPZPPSIQVFM-QGZVFWFLSA-N 0 3 315.413 4.232 20 0 DIADHN CCOC(CN[C@H](c1ccc(F)cc1)C1CCCCC1)OCC ZINC001460625994 1062946606 /nfs/dbraw/zinc/94/66/06/1062946606.db2.gz IZYPPZQLHFROLK-IBGZPJMESA-N 0 3 323.452 4.436 20 0 DIADHN CC1(C)[C@@H](c2cccc(C(F)(F)F)c2)CCN1Cc1cc[nH]n1 ZINC001460638241 1062953701 /nfs/dbraw/zinc/95/37/01/1062953701.db2.gz DBOQWXSYFVJQKL-OAHLLOKOSA-N 0 3 323.362 4.197 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H](C)Cc1ccncc1 ZINC001256722053 1062953559 /nfs/dbraw/zinc/95/35/59/1062953559.db2.gz AMCJHJLREMZOIM-DOTOQJQBSA-N 0 3 322.456 4.281 20 0 DIADHN CC(C)c1ccccc1CN1CCN(c2cccc(F)c2)CC1 ZINC001460641698 1062956071 /nfs/dbraw/zinc/95/60/71/1062956071.db2.gz YIWGNDYDAMJJSL-UHFFFAOYSA-N 0 3 312.432 4.271 20 0 DIADHN C[C@@H](Cc1ccncc1)N(Cc1ccccc1)Cc1ccccn1 ZINC001256735366 1062956624 /nfs/dbraw/zinc/95/66/24/1062956624.db2.gz GQJQOZYUQAUMHO-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN Clc1ccccc1CC1CCN(Cc2ccccn2)CC1 ZINC001460640782 1062958375 /nfs/dbraw/zinc/95/83/75/1062958375.db2.gz NAHYJXMVNLMPJT-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN CCc1nocc1CN1CCC(Cc2ccc(Cl)cc2)CC1 ZINC001460647964 1062959819 /nfs/dbraw/zinc/95/98/19/1062959819.db2.gz BTIJQKORYOIPJH-UHFFFAOYSA-N 0 3 318.848 4.345 20 0 DIADHN C[C@@H](Cc1ccncc1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001256744894 1062960237 /nfs/dbraw/zinc/96/02/37/1062960237.db2.gz AWVHMZFKCSJINW-MAUKXSAKSA-N 0 3 320.440 4.050 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@@H]1c1c(F)cccc1F ZINC001256746745 1062964408 /nfs/dbraw/zinc/96/44/08/1062964408.db2.gz AHWGARMITHAYCB-SUMWQHHRSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@H]1c1ccc(F)cc1F ZINC001256747937 1062965637 /nfs/dbraw/zinc/96/56/37/1062965637.db2.gz JWIIPUWVQZRHGV-UGSOOPFHSA-N 0 3 302.368 4.128 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC001460654497 1062965790 /nfs/dbraw/zinc/96/57/90/1062965790.db2.gz OBGXLIPXSDCBRP-NVXWUHKLSA-N 0 3 305.487 4.004 20 0 DIADHN COc1ccccc1[C@@H](C)NCCOc1ccc(F)c(Cl)c1 ZINC001460662350 1062968086 /nfs/dbraw/zinc/96/80/86/1062968086.db2.gz QFCVFGSYOKRKKM-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN CC(C)(N[C@H]1CCO[C@H](c2ccccc2)C1)C(=O)c1ccccc1 ZINC001256786012 1062976629 /nfs/dbraw/zinc/97/66/29/1062976629.db2.gz MWUKEYYJBSMSOP-OALUTQOASA-N 0 3 323.436 4.158 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256785970 1062977115 /nfs/dbraw/zinc/97/71/15/1062977115.db2.gz MOYJTRLODCZGIA-ZSZQSSIHSA-N 0 3 321.424 4.149 20 0 DIADHN CC[C@H](NCc1csc(C2CCCCC2)n1)[C@@H]1CCCOC1 ZINC001460694354 1062993456 /nfs/dbraw/zinc/99/34/56/1062993456.db2.gz UXXCDKDTJGCREL-WBVHZDCISA-N 0 3 322.518 4.486 20 0 DIADHN c1csc([C@@H]2CCCN2[C@@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC001256825319 1063005471 /nfs/dbraw/zinc/00/54/71/1063005471.db2.gz QSOLNAKFJZZMKZ-IKGGRYGDSA-N 0 3 314.454 4.200 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256826753 1063007342 /nfs/dbraw/zinc/00/73/42/1063007342.db2.gz VFYYJEBIYTVQNV-VQIMIIECSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](c3ccc(F)c(F)c3)C2(C)C)[nH]1 ZINC001460718188 1063011219 /nfs/dbraw/zinc/01/12/19/1063011219.db2.gz JVRRCLQALKQJNZ-CQSZACIVSA-N 0 3 319.399 4.073 20 0 DIADHN CCC1CCC(NCc2coc(-c3cccc(OC)c3)n2)CC1 ZINC001256870234 1063026016 /nfs/dbraw/zinc/02/60/16/1063026016.db2.gz QPQZEOSNNPXFLA-UHFFFAOYSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@H](NCC1([C@@H]2CCCCO2)CCC1)c1c(F)cccc1F ZINC001460810843 1063074214 /nfs/dbraw/zinc/07/42/14/1063074214.db2.gz ZNXIWQJDFXDLSM-BBRMVZONSA-N 0 3 309.400 4.355 20 0 DIADHN Cc1nc(CC2CCN([C@H](C)c3ccc(Cl)cc3)CC2)no1 ZINC001460812731 1063077458 /nfs/dbraw/zinc/07/74/58/1063077458.db2.gz DSGVLNLCBYSEFH-GFCCVEGCSA-N 0 3 319.836 4.047 20 0 DIADHN C[C@@H]1CCC[C@H](CCN(C)CC(=O)NCCC2=CCCCC2)C1 ZINC001460823374 1063083617 /nfs/dbraw/zinc/08/36/17/1063083617.db2.gz IXUGCPSJDFYUGK-IEBWSBKVSA-N 0 3 320.521 4.141 20 0 DIADHN Cc1ccc(CN[C@@H](C)COCC2CCCCC2)nc1Cl ZINC001460821028 1063084730 /nfs/dbraw/zinc/08/47/30/1063084730.db2.gz UOVNNOKKVZYVEZ-AWEZNQCLSA-N 0 3 310.869 4.118 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(OC(F)F)c2)cc1C ZINC001460862608 1063106784 /nfs/dbraw/zinc/10/67/84/1063106784.db2.gz BMNCUWJUKFNYHW-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN C[C@@H]1C[C@@H](N(C)Cc2ccc(Cl)cc2Cl)C[C@H](C)O1 ZINC001257090817 1063109798 /nfs/dbraw/zinc/10/97/98/1063109798.db2.gz XDCGXENFUFKQDK-GNXNZQSNSA-N 0 3 302.245 4.381 20 0 DIADHN C[C@@H]1CC(N2CCc3c(cccc3C(F)(F)F)C2)C[C@@H](C)O1 ZINC001257102172 1063112249 /nfs/dbraw/zinc/11/22/49/1063112249.db2.gz LBKJWGTZHFQWHK-VXGBXAGGSA-N 0 3 313.363 4.020 20 0 DIADHN CSCC1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC001460879057 1063113370 /nfs/dbraw/zinc/11/33/70/1063113370.db2.gz GTRXHWFJOPCZOD-UHFFFAOYSA-N 0 3 320.889 4.463 20 0 DIADHN CC1(C)CN(Cc2ccn(-c3ccccc3F)n2)[C@@H]2CCC[C@@H]21 ZINC001460898029 1063122035 /nfs/dbraw/zinc/12/20/35/1063122035.db2.gz SNDZQUHSRRAVDX-DOTOQJQBSA-N 0 3 313.420 4.022 20 0 DIADHN CCOc1ccc(CCN(C)Cc2ccccc2Cl)cc1 ZINC001460920987 1063137263 /nfs/dbraw/zinc/13/72/63/1063137263.db2.gz UTSBXEITRVUBLB-UHFFFAOYSA-N 0 3 303.833 4.413 20 0 DIADHN CCC[C@@](C)(NCC(=O)N(C)[C@H](C)CC(C)C)c1ccccc1 ZINC001460929401 1063140240 /nfs/dbraw/zinc/14/02/40/1063140240.db2.gz KTKUOKQYHKOTMK-YLJYHZDGSA-N 0 3 318.505 4.185 20 0 DIADHN C[C@]1(CN2CCO[C@H](c3ccccc3)CC2)CC1(Cl)Cl ZINC001460944569 1063150244 /nfs/dbraw/zinc/15/02/44/1063150244.db2.gz DYPRPDFVQROEFY-LSDHHAIUSA-N 0 3 314.256 4.034 20 0 DIADHN CSc1ccc(CNCCSCc2ccc(C)cc2)o1 ZINC001460994142 1063171280 /nfs/dbraw/zinc/17/12/80/1063171280.db2.gz FPXDYRABZGCSDA-UHFFFAOYSA-N 0 3 307.484 4.333 20 0 DIADHN CC(C)(C)c1ccc(OCCNCc2cc(F)cc(F)c2)cc1 ZINC001460999973 1063174557 /nfs/dbraw/zinc/17/45/57/1063174557.db2.gz FMHAIRIHKNPWRX-UHFFFAOYSA-N 0 3 319.395 4.431 20 0 DIADHN Cc1nc(CNCCc2ccc(C(F)(F)F)cc2)sc1C ZINC001460999941 1063175192 /nfs/dbraw/zinc/17/51/92/1063175192.db2.gz QDZXATMDSQKHFW-UHFFFAOYSA-N 0 3 314.376 4.111 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)n3ccc([C@@H](C)N)n3)ccc2c1 ZINC001257274859 1063177109 /nfs/dbraw/zinc/17/71/09/1063177109.db2.gz MAJCBRJWMPGJTA-HUUCEWRRSA-N 0 3 323.440 4.258 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)N3CC(C(F)F)C3)ccc2c1 ZINC001257284308 1063181097 /nfs/dbraw/zinc/18/10/97/1063181097.db2.gz FFNOVSATMAUXNJ-CYBMUJFWSA-N 0 3 319.395 4.366 20 0 DIADHN Cc1cc(Br)cc(CNCc2ccc(F)c(C)c2)c1 ZINC001461012843 1063189786 /nfs/dbraw/zinc/18/97/86/1063189786.db2.gz UIHBMTGTBZLHDG-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN COCc1ccc(CNCc2c(C)cc(Cl)cc2C)cc1 ZINC001461020220 1063194528 /nfs/dbraw/zinc/19/45/28/1063194528.db2.gz DXPXFINWNFNVFE-UHFFFAOYSA-N 0 3 303.833 4.393 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN[C@@H](c1ccccc1)C1CC1 ZINC001461024570 1063196377 /nfs/dbraw/zinc/19/63/77/1063196377.db2.gz WPNHFXPOYTZSOK-IRXDYDNUSA-N 0 3 314.454 4.236 20 0 DIADHN CN(CCNCc1ccc(-c2ccccc2)o1)c1ccccc1 ZINC001461026908 1063199601 /nfs/dbraw/zinc/19/96/01/1063199601.db2.gz ZRVFWAKKSSLELS-UHFFFAOYSA-N 0 3 306.409 4.173 20 0 DIADHN CC(C)(C)c1ccc(CCNCc2nc3c(s2)CCC3)cc1 ZINC001461041244 1063208940 /nfs/dbraw/zinc/20/89/40/1063208940.db2.gz VTFIONCHIHEEKZ-UHFFFAOYSA-N 0 3 314.498 4.262 20 0 DIADHN Fc1ccc(OCCNCc2ccc(Cl)cc2Cl)cc1 ZINC001461043185 1063212024 /nfs/dbraw/zinc/21/20/24/1063212024.db2.gz YTZQGQIOVUUVQQ-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN CCCC(CCC)NCc1coc(-c2cccc(OC)c2)n1 ZINC001257333908 1063212505 /nfs/dbraw/zinc/21/25/05/1063212505.db2.gz KYZLRIKPBUROLU-UHFFFAOYSA-N 0 3 302.418 4.409 20 0 DIADHN CC[C@@H](CNCc1ccc(F)cc1F)Oc1cccc(C)c1 ZINC001461053685 1063220784 /nfs/dbraw/zinc/22/07/84/1063220784.db2.gz PCYUPLFDNBGOIL-INIZCTEOSA-N 0 3 305.368 4.220 20 0 DIADHN CC(C)(C)c1n[nH]cc1CNCCOc1ccc2ccccc2c1 ZINC001461078952 1063239503 /nfs/dbraw/zinc/23/95/03/1063239503.db2.gz MPNIZQXWAZREAF-UHFFFAOYSA-N 0 3 323.440 4.029 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NC(C)(C)c1ccccc1F ZINC001257381597 1063244803 /nfs/dbraw/zinc/24/48/03/1063244803.db2.gz PMDCJCJNVPWATB-CQSZACIVSA-N 0 3 309.425 4.162 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001257380090 1063246013 /nfs/dbraw/zinc/24/60/13/1063246013.db2.gz VQFGYVHHKGCADO-OLZOCXBDSA-N 0 3 313.388 4.127 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001257388570 1063249168 /nfs/dbraw/zinc/24/91/68/1063249168.db2.gz QSIKHKFKPPYKKJ-STQMWFEESA-N 0 3 313.388 4.127 20 0 DIADHN C[C@H](COc1cccc(F)c1)NCc1ccc(F)cc1Cl ZINC001461094340 1063250736 /nfs/dbraw/zinc/25/07/36/1063250736.db2.gz ROHGPZFUCSHHIA-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccccc1F ZINC001257396953 1063251512 /nfs/dbraw/zinc/25/15/12/1063251512.db2.gz IRBSQPHCQIVYHW-MAUKXSAKSA-N 0 3 321.436 4.475 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccccc1F ZINC001257396954 1063252893 /nfs/dbraw/zinc/25/28/93/1063252893.db2.gz IRBSQPHCQIVYHW-QAPCUYQASA-N 0 3 321.436 4.475 20 0 DIADHN CCC(C)(C)C1CCC(NCc2nnc3c(C)cccn23)CC1 ZINC001257404380 1063255112 /nfs/dbraw/zinc/25/51/12/1063255112.db2.gz REHGTCUXBYKGBX-UHFFFAOYSA-N 0 3 314.477 4.122 20 0 DIADHN Cc1occc1CN[C@H](C)COc1ccccc1C(F)(F)F ZINC001461104912 1063255907 /nfs/dbraw/zinc/25/59/07/1063255907.db2.gz HFENRVQVAIOMHN-LLVKDONJSA-N 0 3 313.319 4.164 20 0 DIADHN C[C@@H](COc1ccccc1)NCc1csc(C(F)(F)F)c1 ZINC001461114030 1063265826 /nfs/dbraw/zinc/26/58/26/1063265826.db2.gz FRYKYHSQBHTUDV-NSHDSACASA-N 0 3 315.360 4.324 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2nc3c(s2)CCCC3)c1 ZINC001461122798 1063269619 /nfs/dbraw/zinc/26/96/19/1063269619.db2.gz VROVDSUXGSEHCM-UHFFFAOYSA-N 0 3 314.498 4.398 20 0 DIADHN CCn1cnc(CNC[C@@H](C)c2c(Cl)cccc2Cl)c1 ZINC001461128067 1063277186 /nfs/dbraw/zinc/27/71/86/1063277186.db2.gz HYZQUIGTCXYBHD-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H]1CCCOc2ccccc21 ZINC001461136225 1063284071 /nfs/dbraw/zinc/28/40/71/1063284071.db2.gz HSVFNZKJAROFNG-OTIVFRFGSA-N 0 3 309.409 4.212 20 0 DIADHN COc1nsc(CNCC(C)(C)c2ccccc2C)c1Cl ZINC001461137264 1063284353 /nfs/dbraw/zinc/28/43/53/1063284353.db2.gz QSDSPRHYQFJTRG-UHFFFAOYSA-N 0 3 324.877 4.181 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1ccn(CC(F)(F)F)n1 ZINC001257479151 1063299919 /nfs/dbraw/zinc/29/99/19/1063299919.db2.gz YXWAZHRHFPTNSW-STQMWFEESA-N 0 3 305.388 4.140 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc(Br)c1)CC2 ZINC001461180072 1063314146 /nfs/dbraw/zinc/31/41/46/1063314146.db2.gz POQBAEOXNUUYCY-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@H]2OCCc3ccccc32)c1 ZINC001461189889 1063320450 /nfs/dbraw/zinc/32/04/50/1063320450.db2.gz HHLHXTYXCDYBEH-QGZVFWFLSA-N 0 3 322.235 4.397 20 0 DIADHN COc1cccc(CN[C@@H](c2ccccc2C)C2CC2)c1OC ZINC001461198775 1063326621 /nfs/dbraw/zinc/32/66/21/1063326621.db2.gz VFZSYFSISGJFIG-LJQANCHMSA-N 0 3 311.425 4.253 20 0 DIADHN COc1ccc([C@H](C)CCNCc2nc3ccccc3o2)cc1 ZINC001461201818 1063327833 /nfs/dbraw/zinc/32/78/33/1063327833.db2.gz IGVNXKCFYSIKCK-CQSZACIVSA-N 0 3 310.397 4.120 20 0 DIADHN CC(C)CC[C@@H](NCc1nc(Br)c[nH]1)C(C)(C)C ZINC001461203004 1063329271 /nfs/dbraw/zinc/32/92/71/1063329271.db2.gz BJGKERPOZGAFFB-LLVKDONJSA-N 0 3 316.287 4.113 20 0 DIADHN c1ccc(CN2CCC(c3nnc(C4CCCC4)o3)CC2)cc1 ZINC001257538799 1063330565 /nfs/dbraw/zinc/33/05/65/1063330565.db2.gz SLOGJKFQOKXRFF-UHFFFAOYSA-N 0 3 311.429 4.107 20 0 DIADHN CCOc1ccc(CNCCOC2CCCCCC2)cc1F ZINC001461205242 1063330819 /nfs/dbraw/zinc/33/08/19/1063330819.db2.gz QRCCNKBZDGXCDA-UHFFFAOYSA-N 0 3 309.425 4.053 20 0 DIADHN Cc1ccc(N2CC[C@@H](NCc3ccc(C(C)C)cc3)C2=O)cc1 ZINC001461214734 1063336539 /nfs/dbraw/zinc/33/65/39/1063336539.db2.gz FQOVEHCFZIDEFH-HXUWFJFHSA-N 0 3 322.452 4.014 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC001461219501 1063342577 /nfs/dbraw/zinc/34/25/77/1063342577.db2.gz AQJPZMYKPDARPY-MAUKXSAKSA-N 0 3 314.860 4.127 20 0 DIADHN CCn1ccc(CNC[C@H](Cc2ccccc2)c2ccccc2)n1 ZINC001461257562 1063369784 /nfs/dbraw/zinc/36/97/84/1063369784.db2.gz YUQCUOYNKMPOSN-FQEVSTJZSA-N 0 3 319.452 4.019 20 0 DIADHN CCc1ccc(CNC[C@H](CC)Oc2cccc(Cl)c2)o1 ZINC001461286149 1063391844 /nfs/dbraw/zinc/39/18/44/1063391844.db2.gz NODIICJLRRIUIQ-HNNXBMFYSA-N 0 3 307.821 4.443 20 0 DIADHN C[C@]1(F)CCN(C2CCC(OCc3ccccc3)CC2)C[C@@H]1F ZINC001257830470 1063401374 /nfs/dbraw/zinc/40/13/74/1063401374.db2.gz ZQGSDFQCSJWNCB-BTRQGYIVSA-N 0 3 323.427 4.286 20 0 DIADHN CC[C@H]1c2ccsc2CCN1C[C@H]1COC2(CCCCC2)O1 ZINC001473105648 1063402697 /nfs/dbraw/zinc/40/26/97/1063402697.db2.gz PNPRGXBBCNGSQW-HOCLYGCPSA-N 0 3 321.486 4.133 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001473104748 1063402948 /nfs/dbraw/zinc/40/29/48/1063402948.db2.gz ZSBZRYSZMPDICS-UHFFFAOYSA-N 0 3 310.869 4.345 20 0 DIADHN CCCCCCN(Cc1ccccc1OC)C[C@H]1CCCO1 ZINC001473104144 1063403170 /nfs/dbraw/zinc/40/31/70/1063403170.db2.gz HEXAFVFSGMGTER-GOSISDBHSA-N 0 3 305.462 4.257 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1C[C@H]1CC2(CCC2)CO1 ZINC001473111475 1063412622 /nfs/dbraw/zinc/41/26/22/1063412622.db2.gz DWBPWDYPFYENNJ-RHSMWYFYSA-N 0 3 305.849 4.436 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1CC2(CCC2)CO1 ZINC001473111478 1063413551 /nfs/dbraw/zinc/41/35/51/1063413551.db2.gz DWBPWDYPFYENNJ-YOEHRIQHSA-N 0 3 305.849 4.436 20 0 DIADHN COc1cc(CN[C@H]2CC(C)(C)c3ccccc32)cc(OC)c1 ZINC001461316773 1063414919 /nfs/dbraw/zinc/41/49/19/1063414919.db2.gz JOPQOIBFJDLFCN-IBGZPJMESA-N 0 3 311.425 4.216 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CCN(CC2CC2)CC1 ZINC001473113225 1063416191 /nfs/dbraw/zinc/41/61/91/1063416191.db2.gz WUMOQZPQOSENMG-GFCCVEGCSA-N 0 3 313.272 4.082 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(OC(F)F)cc1F ZINC001461413449 1063483997 /nfs/dbraw/zinc/48/39/97/1063483997.db2.gz YEFZSERHEMUVEV-VIFPVBQESA-N 0 3 315.257 4.248 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CNc1cc(C)cc(C)n1 ZINC001461358048 1063442911 /nfs/dbraw/zinc/44/29/11/1063442911.db2.gz ANQQSTODSIPMJV-UHFFFAOYSA-N 0 3 306.413 4.113 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H]1COc2ccc(Cl)cc2C1 ZINC001257947086 1063444594 /nfs/dbraw/zinc/44/45/94/1063444594.db2.gz IGEVGCCFLJEFQG-SWLSCSKDSA-N 0 3 317.816 4.003 20 0 DIADHN CCN(Cc1ccoc1)C[C@H](O)c1ccc(Cl)c(Cl)c1 ZINC001473142415 1063450566 /nfs/dbraw/zinc/45/05/66/1063450566.db2.gz KJAWBCGBQBEBAB-HNNXBMFYSA-N 0 3 314.212 4.142 20 0 DIADHN C[C@H](C(=O)Nc1ccc(C(F)(F)F)cc1)N(C)CC(C)(C)C ZINC001473141798 1063450690 /nfs/dbraw/zinc/45/06/90/1063450690.db2.gz XARPZTBTHIOBAS-LLVKDONJSA-N 0 3 316.367 4.010 20 0 DIADHN O=C(CNC1CCC(c2ccccc2)CC1)c1ccc(F)cc1 ZINC001257989975 1063452263 /nfs/dbraw/zinc/45/22/63/1063452263.db2.gz XRVADHSEUAJAQX-UHFFFAOYSA-N 0 3 311.400 4.324 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@H](O)CC2CCCC2)cc1 ZINC001473159665 1063462032 /nfs/dbraw/zinc/46/20/32/1063462032.db2.gz PVHKDFNJLJRVAU-RTBURBONSA-N 0 3 319.514 4.026 20 0 DIADHN CC[C@H]1CCCN(Cc2cc(C(=O)OC)ccc2Cl)CC1 ZINC001473159978 1063462174 /nfs/dbraw/zinc/46/21/74/1063462174.db2.gz CBYUOLYGAKGYTG-ZDUSSCGKSA-N 0 3 309.837 4.139 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2cc(Cl)ccc2OC)C1 ZINC001473158104 1063462501 /nfs/dbraw/zinc/46/25/01/1063462501.db2.gz GIZRGRZMJZIMSM-OAHLLOKOSA-N 0 3 313.894 4.456 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCN(CCCC2CC2)CC1 ZINC001473162989 1063467031 /nfs/dbraw/zinc/46/70/31/1063467031.db2.gz GJCSMDOEVISRST-IBGZPJMESA-N 0 3 322.443 4.224 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(OC)c(F)c1)CCC2 ZINC001461399718 1063471583 /nfs/dbraw/zinc/47/15/83/1063471583.db2.gz HVJGXSWJAGYIGV-GOSISDBHSA-N 0 3 315.388 4.010 20 0 DIADHN CCC1(CC)[C@H](NCc2ccc(F)c(F)c2F)[C@@H](C)[C@H]1OC ZINC001461394765 1063472876 /nfs/dbraw/zinc/47/28/76/1063472876.db2.gz RTHDCNNOVLTKRO-UHNFBRDESA-N 0 3 315.379 4.033 20 0 DIADHN CCc1ccc2ccccc2c1CN(C)Cc1cnn(CC)c1 ZINC001473170482 1063473844 /nfs/dbraw/zinc/47/38/44/1063473844.db2.gz KCRJRMOTUPGKKG-UHFFFAOYSA-N 0 3 307.441 4.251 20 0 DIADHN CC[C@H](NCc1cc2cccc(C)c2nc1Cl)[C@H]1CCCO1 ZINC001461413380 1063484290 /nfs/dbraw/zinc/48/42/90/1063484290.db2.gz NBYLIKBCVUZUEC-JKSUJKDBSA-N 0 3 318.848 4.244 20 0 DIADHN CC[C@](O)(CN1CCCCC[C@H]1c1ccc(C)o1)C(F)(F)F ZINC001473183085 1063489387 /nfs/dbraw/zinc/48/93/87/1063489387.db2.gz RHHDHPLNPMADEM-ZFWWWQNUSA-N 0 3 319.367 4.209 20 0 DIADHN CC[C@H](O)[C@@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001473181711 1063489696 /nfs/dbraw/zinc/48/96/96/1063489696.db2.gz PBSSWTKJSQQOQK-GJZGRUSLSA-N 0 3 316.272 4.161 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN(CC/C=C\c2ccccc2)C1 ZINC001473181559 1063489974 /nfs/dbraw/zinc/48/99/74/1063489974.db2.gz WHMKPCXEZLQWRT-VCELZJIISA-N 0 3 313.363 4.133 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1C[C@H](O)c1ccc(Cl)cc1Cl ZINC001473184248 1063492104 /nfs/dbraw/zinc/49/21/04/1063492104.db2.gz FHWCJFCQICCCCL-RWSFTLGLSA-N 0 3 302.245 4.147 20 0 DIADHN CC[C@@]1(C)CCCN([C@H]2CCCN(c3ccc(C)cc3)C2=O)C1 ZINC001473186861 1063493303 /nfs/dbraw/zinc/49/33/03/1063493303.db2.gz NEOICICJBCTYFY-ICSRJNTNSA-N 0 3 314.473 4.003 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@@H](C)C[C@@H](C)CC(C)C ZINC001258064499 1063494388 /nfs/dbraw/zinc/49/43/88/1063494388.db2.gz WYPVFRLNWWBGLW-KBPBESRZSA-N 0 3 303.450 4.137 20 0 DIADHN Cc1cc(CN2CCCC[C@@H]2c2cccc(C)c2C)nc(C)n1 ZINC001473187730 1063497499 /nfs/dbraw/zinc/49/74/99/1063497499.db2.gz SLBPEWSHEFPNQB-HXUWFJFHSA-N 0 3 309.457 4.437 20 0 DIADHN Cc1nc([C@@H]2CCCCN2CC2CCC(=O)CC2)sc1C ZINC001548851868 1063504014 /nfs/dbraw/zinc/50/40/14/1063504014.db2.gz RGWQFBZQIQDQDD-INIZCTEOSA-N 0 3 306.475 4.046 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@](C)(CO)c2ccccc2)c(C)c1 ZINC001461440029 1063507395 /nfs/dbraw/zinc/50/73/95/1063507395.db2.gz RSXCVULVFJEKOS-OMCJBDSBSA-N 0 3 309.453 4.122 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H]2CCCC(C)(C)CC2)no1 ZINC001461443934 1063510399 /nfs/dbraw/zinc/51/03/99/1063510399.db2.gz ZGKUFECXXVITGY-AWEZNQCLSA-N 0 3 308.466 4.438 20 0 DIADHN CC(C)Cn1nccc1CN[C@H]1CCc2ccc(C(C)C)cc21 ZINC001461449213 1063512145 /nfs/dbraw/zinc/51/21/45/1063512145.db2.gz UQHXROTWFMXMCY-FQEVSTJZSA-N 0 3 311.473 4.440 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CN[C@@H]1CSC1(C)C ZINC001461453881 1063516169 /nfs/dbraw/zinc/51/61/69/1063516169.db2.gz BBVBKBVEVKYPTQ-CXAGYDPISA-N 0 3 324.509 4.292 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc3nccnc3c2)cc1 ZINC001461457398 1063517661 /nfs/dbraw/zinc/51/76/61/1063517661.db2.gz WIOSBQIHAGNBCI-CYBMUJFWSA-N 0 3 309.438 4.203 20 0 DIADHN Cc1cc(F)c(CNCCc2ccc3[nH]ccc3c2)c(F)c1 ZINC001461456264 1063518529 /nfs/dbraw/zinc/51/85/29/1063518529.db2.gz RDHCFKBNPYLYQR-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN CC(C)=CCC[C@@H](C)N1CCC[C@H]1C(=O)Nc1ccccc1 ZINC001258095427 1063518739 /nfs/dbraw/zinc/51/87/39/1063518739.db2.gz GBWNUKTVAGYSEN-AEFFLSMTSA-N 0 3 300.446 4.224 20 0 DIADHN FC1(F)CCC(CNCc2c(Cl)cncc2Cl)CC1 ZINC001461458434 1063519464 /nfs/dbraw/zinc/51/94/64/1063519464.db2.gz MLJUYJSFDQIICZ-UHFFFAOYSA-N 0 3 309.187 4.304 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1ccc(Oc2ccccc2)o1 ZINC001461459506 1063520323 /nfs/dbraw/zinc/52/03/23/1063520323.db2.gz CTDXZWRJQFSOQL-HNNXBMFYSA-N 0 3 317.429 4.349 20 0 DIADHN OC[C@@H](CNCc1cccc(Cl)c1Cl)CC1CCCC1 ZINC001461459143 1063520405 /nfs/dbraw/zinc/52/04/05/1063520405.db2.gz DEUSUMWSFDTIIH-CYBMUJFWSA-N 0 3 316.272 4.272 20 0 DIADHN CCOC(=O)CCC[C@@H](C)N[C@@H](C)c1c(F)cccc1Cl ZINC001258109782 1063524054 /nfs/dbraw/zinc/52/40/54/1063524054.db2.gz QEVONSOSJYMGBZ-NEPJUHHUSA-N 0 3 315.816 4.252 20 0 DIADHN Cc1[nH]nc(CNc2ccc(N3CCCCCC3)cc2C)c1C ZINC001461493830 1063536346 /nfs/dbraw/zinc/53/63/46/1063536346.db2.gz YTOJUQYUBCFXPY-UHFFFAOYSA-N 0 3 312.461 4.327 20 0 DIADHN Clc1cccc(Cl)c1CNCCc1ccc2c[nH]nc2c1 ZINC001461498960 1063539850 /nfs/dbraw/zinc/53/98/50/1063539850.db2.gz BWTDJGBTVNTQFQ-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN CCn1nc(CNC2(Cc3ccc(F)cc3)CC2)c2ccccc21 ZINC001461503544 1063540354 /nfs/dbraw/zinc/54/03/54/1063540354.db2.gz XGPWHUGKJDLSDD-UHFFFAOYSA-N 0 3 323.415 4.060 20 0 DIADHN Fc1c(CNC[C@H]2CCCCC2(F)F)cccc1OC1CC1 ZINC001461527861 1063559041 /nfs/dbraw/zinc/55/90/41/1063559041.db2.gz HFIBZMFQTBLYDS-CYBMUJFWSA-N 0 3 313.363 4.282 20 0 DIADHN CC(C)c1ccc(C(=O)NC[C@H]2CCN2C(C)(C)C)cc1Cl ZINC001461530413 1063562446 /nfs/dbraw/zinc/56/24/46/1063562446.db2.gz SGCDXIXCTRMBBC-CQSZACIVSA-N 0 3 322.880 4.066 20 0 DIADHN O=C(CNC1CCCCCCC1)c1ccc(Br)cc1 ZINC001258232280 1063570929 /nfs/dbraw/zinc/57/09/29/1063570929.db2.gz HWXJOGFCSMVSLF-UHFFFAOYSA-N 0 3 324.262 4.334 20 0 DIADHN C[C@H](CC(C)(C)C)NCc1ncc(Br)cc1Cl ZINC001461550565 1063575676 /nfs/dbraw/zinc/57/56/76/1063575676.db2.gz LKBHAYKWCRKMGO-SECBINFHSA-N 0 3 319.674 4.412 20 0 DIADHN COc1nsc(CNCC(C)(C)C2=CCCC2)c1Cl ZINC001461561914 1063581732 /nfs/dbraw/zinc/58/17/32/1063581732.db2.gz ZXDIVSCJYIKQMU-UHFFFAOYSA-N 0 3 300.855 4.031 20 0 DIADHN Clc1ccc([C@H]2CC[C@H](NCc3nccn3C3CC3)C2)cc1 ZINC001461575069 1063588224 /nfs/dbraw/zinc/58/82/24/1063588224.db2.gz IHOWGGBASMTWHO-HOCLYGCPSA-N 0 3 315.848 4.297 20 0 DIADHN COC1([C@H](C)NCc2ccc(Oc3ccccc3)o2)CCC1 ZINC001461575348 1063588568 /nfs/dbraw/zinc/58/85/68/1063588568.db2.gz DVEOWUAFUSCXOK-AWEZNQCLSA-N 0 3 301.386 4.119 20 0 DIADHN CC1(C)CCC[C@@H](N(Cc2cccnc2)Cc2cccnc2)C1 ZINC001258291062 1063588516 /nfs/dbraw/zinc/58/85/16/1063588516.db2.gz FSCRATJMNUPCIC-LJQANCHMSA-N 0 3 309.457 4.448 20 0 DIADHN CCn1ccc(CN[C@H](C)CC(C)(C)SCc2ccco2)n1 ZINC001258303306 1063598731 /nfs/dbraw/zinc/59/87/31/1063598731.db2.gz TVFBHAQGTNKAQR-CQSZACIVSA-N 0 3 321.490 4.076 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N[C@@H](C)c1ccno1 ZINC001258305774 1063599719 /nfs/dbraw/zinc/59/97/19/1063599719.db2.gz HWHWPLBXMJJECX-STQMWFEESA-N 0 3 308.447 4.409 20 0 DIADHN CCc1cccc(CNCc2c[nH]nc2-c2ccc(C)cc2)c1 ZINC001461612936 1063613352 /nfs/dbraw/zinc/61/33/52/1063613352.db2.gz IXOKFHGZRIOKOP-UHFFFAOYSA-N 0 3 305.425 4.237 20 0 DIADHN CCCCC(CCCC)n1nc(-c2ccncc2)cc1CN ZINC001258381144 1063626929 /nfs/dbraw/zinc/62/69/29/1063626929.db2.gz UIFOQCQUEDQJPE-UHFFFAOYSA-N 0 3 300.450 4.325 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2c(F)cccc2F)cc1 ZINC001258388962 1063633492 /nfs/dbraw/zinc/63/34/92/1063633492.db2.gz MCDFXJOWXFYTOG-ZDUSSCGKSA-N 0 3 305.368 4.084 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2c(F)cc(F)cc2F)cc1 ZINC001258391362 1063633602 /nfs/dbraw/zinc/63/36/02/1063633602.db2.gz ZQHNRQWJMXOCCS-LBPRGKRZSA-N 0 3 323.358 4.223 20 0 DIADHN CO[C@@H](CNCc1ccsc1C(F)(F)F)C1CCCCC1 ZINC001461658079 1063640919 /nfs/dbraw/zinc/64/09/19/1063640919.db2.gz QTVTUCLCWDDDFX-ZDUSSCGKSA-N 0 3 321.408 4.452 20 0 DIADHN COc1ccc(CC[C@@H](C)N(C)Cc2nc3ccccc3o2)cc1 ZINC001258409610 1063643569 /nfs/dbraw/zinc/64/35/69/1063643569.db2.gz YITLJFBZSMUOGH-OAHLLOKOSA-N 0 3 324.424 4.290 20 0 DIADHN CCC[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)OCC ZINC001461663388 1063645105 /nfs/dbraw/zinc/64/51/05/1063645105.db2.gz XFMSDZODHKSOQE-MRXNPFEDSA-N 0 3 305.393 4.381 20 0 DIADHN CN(Cc1ccccc1F)C1CCN(c2cccc(F)c2)CC1 ZINC001258423107 1063647463 /nfs/dbraw/zinc/64/74/63/1063647463.db2.gz AVKCOKZMTBFJCA-UHFFFAOYSA-N 0 3 316.395 4.066 20 0 DIADHN CS[C@H]1C[C@H](NCc2cccc(Cl)c2Br)C1 ZINC001461671323 1063648732 /nfs/dbraw/zinc/64/87/32/1063648732.db2.gz YQCDKGGXWMBOFU-MGCOHNPYSA-N 0 3 320.683 4.086 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@H](C)O[C@@H]1C ZINC001461684557 1063656080 /nfs/dbraw/zinc/65/60/80/1063656080.db2.gz OIDZHQKCGANJRM-QMTMVMCOSA-N 0 3 311.425 4.018 20 0 DIADHN CC1(C)CCC[C@@](O)(CNCc2cscc2Cl)CC1 ZINC001461685060 1063656823 /nfs/dbraw/zinc/65/68/23/1063656823.db2.gz VTKTWPMLPHXFBV-HNNXBMFYSA-N 0 3 301.883 4.213 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CNC[C@@H]1C=CCC1 ZINC001461711108 1063658857 /nfs/dbraw/zinc/65/88/57/1063658857.db2.gz CQALOGILHIJQGJ-SECBINFHSA-N 0 3 318.617 4.297 20 0 DIADHN C[C@@H](CC1CC1)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001258455673 1063662978 /nfs/dbraw/zinc/66/29/78/1063662978.db2.gz CFVLSECTLBXTNZ-YWZLYKJASA-N 0 3 319.452 4.441 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1[C@@H](C)CC1CC1 ZINC001258458193 1063663914 /nfs/dbraw/zinc/66/39/14/1063663914.db2.gz RKQZBHQBNWYPJB-WMZOPIPTSA-N 0 3 314.473 4.285 20 0 DIADHN Fc1ccc(-c2cc(CN[C@@H]3C[C@@H]4CC[C@@H](C4)C3)no2)c(F)c1 ZINC001461730599 1063683674 /nfs/dbraw/zinc/68/36/74/1063683674.db2.gz QCIGHBCTIIBNKU-DABQJJPHSA-N 0 3 318.367 4.288 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@H]1c1ccc(F)cc1F ZINC001258633982 1063720086 /nfs/dbraw/zinc/72/00/86/1063720086.db2.gz NBIUGRUQZHDPRS-ACJLOTCBSA-N 0 3 302.368 4.128 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H]2CCc3cc(F)ccc32)c1 ZINC001461799892 1063724738 /nfs/dbraw/zinc/72/47/38/1063724738.db2.gz SLKYAGHCRJCJON-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN c1ccc(C[C@@H]2C[C@H]2CCNCc2nc3ccccc3o2)cc1 ZINC001461807208 1063726236 /nfs/dbraw/zinc/72/62/36/1063726236.db2.gz NMMAHMICENWRDJ-IAGOWNOFSA-N 0 3 306.409 4.186 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CCC2CCC(F)(F)CC2)c1 ZINC001461809408 1063728474 /nfs/dbraw/zinc/72/84/74/1063728474.db2.gz BRRDZDLCYUWFRT-UHFFFAOYSA-N 0 3 303.780 4.351 20 0 DIADHN COc1ccc(CNCC2(OC)CCCCCC2)c(Cl)c1 ZINC001461817402 1063734354 /nfs/dbraw/zinc/73/43/54/1063734354.db2.gz LMBOLIFODAFRCV-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN CCCCC[C@H](CCC)N1CCc2onc(COCC)c2C1 ZINC001258670978 1063737567 /nfs/dbraw/zinc/73/75/67/1063737567.db2.gz KCTWWPDCEZYUDK-HNNXBMFYSA-N 0 3 308.466 4.318 20 0 DIADHN CCCCOc1ccccc1CNC[C@H]1OCc2ccccc21 ZINC001461825941 1063738631 /nfs/dbraw/zinc/73/86/31/1063738631.db2.gz OQIVAEVKVPPHFX-HXUWFJFHSA-N 0 3 311.425 4.227 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1nccs1 ZINC001258671844 1063740716 /nfs/dbraw/zinc/74/07/16/1063740716.db2.gz CXVQBKJOUCLUPQ-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1nccs1 ZINC001258671866 1063740761 /nfs/dbraw/zinc/74/07/61/1063740761.db2.gz CXVQBKJOUCLUPQ-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N(CCN(C)C)Cc1cccs1 ZINC001516409223 1063741515 /nfs/dbraw/zinc/74/15/15/1063741515.db2.gz SBGNXTYOWPULHZ-HNNXBMFYSA-N 0 3 324.534 4.101 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCC(=O)c1ccccc1 ZINC001258673760 1063741983 /nfs/dbraw/zinc/74/19/83/1063741983.db2.gz UVEHGMFHHBKTHH-LBPRGKRZSA-N 0 3 322.235 4.397 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCC(=O)c1ccccc1 ZINC001258673754 1063742089 /nfs/dbraw/zinc/74/20/89/1063742089.db2.gz UVEHGMFHHBKTHH-GFCCVEGCSA-N 0 3 322.235 4.397 20 0 DIADHN Cn1ncc(C2CCC2)c1CN1CCC(C2CCCC2)CC1 ZINC001461843645 1063745009 /nfs/dbraw/zinc/74/50/09/1063745009.db2.gz LUQZLEKYUCHREC-UHFFFAOYSA-N 0 3 301.478 4.090 20 0 DIADHN Fc1cccc(/C=C\CN2CCC3(CC2)OCc2ccccc23)c1 ZINC001461841153 1063745424 /nfs/dbraw/zinc/74/54/24/1063745424.db2.gz DPJUGVALTWVCTC-XQRVVYSFSA-N 0 3 323.411 4.360 20 0 DIADHN CO[C@H]1CCCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258678407 1063745878 /nfs/dbraw/zinc/74/58/78/1063745878.db2.gz NGXLPHNYLMYBSB-RISCZKNCSA-N 0 3 302.245 4.035 20 0 DIADHN CC(C)C1CCN(Cc2cnc(CC(F)(F)F)s2)CC1 ZINC001461848407 1063748337 /nfs/dbraw/zinc/74/83/37/1063748337.db2.gz AUFNTGBKPCWGKC-UHFFFAOYSA-N 0 3 306.397 4.116 20 0 DIADHN CC(C)O[C@H]1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684210 1063748929 /nfs/dbraw/zinc/74/89/29/1063748929.db2.gz POVMRHICFYHKHQ-WFASDCNBSA-N 0 3 316.272 4.424 20 0 DIADHN CC(C)O[C@H]1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684207 1063750446 /nfs/dbraw/zinc/75/04/46/1063750446.db2.gz POVMRHICFYHKHQ-DOMZBBRYSA-N 0 3 316.272 4.424 20 0 DIADHN COCCOc1ccc(CN(C)[C@H](c2ccccc2)C(C)C)o1 ZINC001461855783 1063751269 /nfs/dbraw/zinc/75/12/69/1063751269.db2.gz WNXFVOJROFHZOB-IBGZPJMESA-N 0 3 317.429 4.134 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N(C)c1nc(CN)ccc1C ZINC001258701179 1063759769 /nfs/dbraw/zinc/75/97/69/1063759769.db2.gz GIDBZBDESKFQAN-IBGZPJMESA-N 0 3 311.473 4.086 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)NCc1nccnc1Cl ZINC001258702227 1063761828 /nfs/dbraw/zinc/76/18/28/1063761828.db2.gz RENCHBZOYURHFC-HNNXBMFYSA-N 0 3 303.837 4.021 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC001461873650 1063762994 /nfs/dbraw/zinc/76/29/94/1063762994.db2.gz PYLSYJXPTVYRQO-GOSISDBHSA-N 0 3 307.397 4.179 20 0 DIADHN CCCC[C@H](Cc1ccccc1)n1nc(CN)c2ccccc21 ZINC001258706422 1063764003 /nfs/dbraw/zinc/76/40/03/1063764003.db2.gz QPQXZOHHEYDFQI-QGZVFWFLSA-N 0 3 307.441 4.469 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cccn2C2CC2)C1(C)C ZINC001461878956 1063769451 /nfs/dbraw/zinc/76/94/51/1063769451.db2.gz BLIWQMXZKVXWEN-MSOLQXFVSA-N 0 3 304.478 4.239 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cccn2C2CC2)C1(C)C ZINC001461878957 1063769514 /nfs/dbraw/zinc/76/95/14/1063769514.db2.gz BLIWQMXZKVXWEN-QZTJIDSGSA-N 0 3 304.478 4.239 20 0 DIADHN CC[C@H]1CN(Cc2cn(C3CC3)cn2)CC[C@@H]1c1ccccc1 ZINC001461879574 1063770130 /nfs/dbraw/zinc/77/01/30/1063770130.db2.gz GCOFBPLYPJUABA-JXFKEZNVSA-N 0 3 309.457 4.234 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N1CCO[C@@H](c2ccccn2)C1 ZINC001258714791 1063771474 /nfs/dbraw/zinc/77/14/74/1063771474.db2.gz SLJUBKXNBKQMRQ-TZIWHRDSSA-N 0 3 324.468 4.256 20 0 DIADHN C[C@H](N(C)Cc1cccc(Br)c1F)C1(C)CC1 ZINC001461883115 1063771961 /nfs/dbraw/zinc/77/19/61/1063771961.db2.gz SKXFFRLSVBTJFH-JTQLQIEISA-N 0 3 300.215 4.209 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H]2CCC[C@@H](C)C2)c(C)c1 ZINC001461884115 1063774033 /nfs/dbraw/zinc/77/40/33/1063774033.db2.gz WYRMYOLCPQCSAV-KDOFPFPSSA-N 0 3 316.489 4.308 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCO[C@H]([C@H]2CCCO2)C1 ZINC001461885307 1063775705 /nfs/dbraw/zinc/77/57/05/1063775705.db2.gz OBGPDPSGYLJZBV-MOPGFXCFSA-N 0 3 321.505 4.007 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCc1nc2ccccc2o1 ZINC001258736421 1063784691 /nfs/dbraw/zinc/78/46/91/1063784691.db2.gz SKHWZLLQLYFDGN-LBPRGKRZSA-N 0 3 300.789 4.202 20 0 DIADHN Cc1cc(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)cnc1F ZINC001461906301 1063788719 /nfs/dbraw/zinc/78/87/19/1063788719.db2.gz VRMYDLFIMNRCIW-XJKSGUPXSA-N 0 3 302.368 4.046 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H]2CC(C)C)c1Br ZINC001461940777 1063826579 /nfs/dbraw/zinc/82/65/79/1063826579.db2.gz IAJABAMUNCCCIV-CYBMUJFWSA-N 0 3 311.267 4.163 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N[C@H]1CCc2ccccc2C1=O ZINC001258743920 1063793199 /nfs/dbraw/zinc/79/31/99/1063793199.db2.gz MIGROFSRLOWMTR-ACJLOTCBSA-N 0 3 313.828 4.058 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N1CCc2cc(O)ccc2C1 ZINC001258747710 1063794285 /nfs/dbraw/zinc/79/42/85/1063794285.db2.gz RYBMSPDLCRXXEW-CYBMUJFWSA-N 0 3 301.817 4.035 20 0 DIADHN COc1ncc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)s1 ZINC001461913956 1063795071 /nfs/dbraw/zinc/79/50/71/1063795071.db2.gz QIZDOEQYFGJKLY-VXGBXAGGSA-N 0 3 324.877 4.429 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N1CCc2cc(O)ccc2C1 ZINC001258747711 1063795635 /nfs/dbraw/zinc/79/56/35/1063795635.db2.gz RYBMSPDLCRXXEW-ZDUSSCGKSA-N 0 3 301.817 4.035 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N1CC[C@](CF)(C(F)(F)F)C1 ZINC001258744950 1063795745 /nfs/dbraw/zinc/79/57/45/1063795745.db2.gz HDWJTORRDRIOBD-SMDDNHRTSA-N 0 3 323.761 4.495 20 0 DIADHN Cc1cc(F)ncc1CN1CC[C@](C)(CCc2ccccc2)C1 ZINC001461916135 1063797973 /nfs/dbraw/zinc/79/79/73/1063797973.db2.gz AZFBUNWZSQHGLA-FQEVSTJZSA-N 0 3 312.432 4.374 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2C(C)(C)C)c(F)cc1OC ZINC001461920550 1063802115 /nfs/dbraw/zinc/80/21/15/1063802115.db2.gz LLWHVDXOKHQBFY-KRWDZBQOSA-N 0 3 309.425 4.244 20 0 DIADHN COc1cc(Cl)c(CN2CCCC(C)(C)CC2)cc1OC ZINC001461922868 1063805780 /nfs/dbraw/zinc/80/57/80/1063805780.db2.gz SBVATWJCHCXWRH-UHFFFAOYSA-N 0 3 311.853 4.369 20 0 DIADHN CCn1ccc(CN(CCc2cccc(F)c2)C2CCCC2)n1 ZINC001461929871 1063815342 /nfs/dbraw/zinc/81/53/42/1063815342.db2.gz PNWIQPRRYSDRFU-UHFFFAOYSA-N 0 3 315.436 4.029 20 0 DIADHN Cc1ccc(-c2ccccc2CN2CCc3cn[nH]c3C2)c(C)c1 ZINC001461936767 1063823058 /nfs/dbraw/zinc/82/30/58/1063823058.db2.gz RCIOSWPSLONTML-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN CC[C@H](C[C@@H](C)CC)NCc1nc(Br)cs1 ZINC001258785191 1063823971 /nfs/dbraw/zinc/82/39/71/1063823971.db2.gz FKGFVPZHCWJKMX-VHSXEESVSA-N 0 3 305.285 4.210 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1ccccc1Br)C1CC1 ZINC001461961865 1063840336 /nfs/dbraw/zinc/84/03/36/1063840336.db2.gz VJXAFCQGSBOEIQ-HZPDHXFCSA-N 0 3 324.262 4.011 20 0 DIADHN C[C@H]1CN(Cc2ccnc3c(Br)cccc23)C[C@H]1C ZINC001461960081 1063843475 /nfs/dbraw/zinc/84/34/75/1063843475.db2.gz OFBBTFBUUZBXCZ-TXEJJXNPSA-N 0 3 319.246 4.085 20 0 DIADHN CCCN(Cc1cccc(F)c1N)[C@H](C)c1ccccc1OC ZINC001461964763 1063845820 /nfs/dbraw/zinc/84/58/20/1063845820.db2.gz LQTORDXZUFVWFB-CQSZACIVSA-N 0 3 316.420 4.390 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@@H](c2ccc(F)cc2)C1 ZINC001461963662 1063846478 /nfs/dbraw/zinc/84/64/78/1063846478.db2.gz IRIDYLCVMNTOCZ-SZVBFZGTSA-N 0 3 305.437 4.415 20 0 DIADHN CCN(Cc1cccn1C(F)F)[C@@H](C)c1cccc(OC)c1 ZINC001461970073 1063848859 /nfs/dbraw/zinc/84/88/59/1063848859.db2.gz ZLCUDBKSTMOTPE-ZDUSSCGKSA-N 0 3 308.372 4.475 20 0 DIADHN Cc1ccc(CCN2CCO[C@@](C)(c3ccccc3)C2)cc1C ZINC001461969455 1063849194 /nfs/dbraw/zinc/84/91/94/1063849194.db2.gz ZHTPVYVJLSDNTA-OAQYLSRUSA-N 0 3 309.453 4.094 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccccc2)c2c(n1)CCCC2 ZINC001461975610 1063853049 /nfs/dbraw/zinc/85/30/49/1063853049.db2.gz INCGWKQAKGRXGR-UHFFFAOYSA-N 0 3 324.468 4.170 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Br)nc2)[C@H]2CCCC[C@@H]12 ZINC001461976002 1063853355 /nfs/dbraw/zinc/85/33/55/1063853355.db2.gz FFHHEZMDZNLYIQ-SNPRPXQTSA-N 0 3 323.278 4.245 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001461984846 1063858502 /nfs/dbraw/zinc/85/85/02/1063858502.db2.gz COQSJKIKYNOZBA-MAUKXSAKSA-N 0 3 313.420 4.084 20 0 DIADHN CC(C)CC(CC(C)C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258836023 1063858721 /nfs/dbraw/zinc/85/87/21/1063858721.db2.gz JXEIYWLUJXDGET-UHFFFAOYSA-N 0 3 317.399 4.181 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1c(F)c(F)ccc1OC ZINC001258838953 1063860148 /nfs/dbraw/zinc/86/01/48/1063860148.db2.gz OODQWQLSWLDEBM-AWEZNQCLSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1nccc(Cl)c1Cl ZINC001258840443 1063860346 /nfs/dbraw/zinc/86/03/46/1063860346.db2.gz UNGDPOREFIWQRM-ZDUSSCGKSA-N 0 3 309.240 4.499 20 0 DIADHN CC[C@@H](Cc1ccccc1)[NH2+]Cc1cc(Cl)c([O-])c(OC)c1 ZINC001258840011 1063860980 /nfs/dbraw/zinc/86/09/80/1063860980.db2.gz MZARMXKBMASYET-HNNXBMFYSA-N 0 3 319.832 4.165 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1cc(-c2ccccc2)on1 ZINC001258841317 1063862154 /nfs/dbraw/zinc/86/21/54/1063862154.db2.gz JQMBJPBWVPMHPS-SFHVURJKSA-N 0 3 306.409 4.453 20 0 DIADHN Cc1ccc(CN2CCC(COc3ccccc3)CC2)c(F)c1 ZINC001461989968 1063862511 /nfs/dbraw/zinc/86/25/11/1063862511.db2.gz VFGWWGLVDXSTOY-UHFFFAOYSA-N 0 3 313.416 4.425 20 0 DIADHN COc1ccccc1C[C@H](C)NC1(c2ccc(F)cc2F)CC1 ZINC001258884677 1063870636 /nfs/dbraw/zinc/87/06/36/1063870636.db2.gz YCHJIJXEJWTRKK-ZDUSSCGKSA-N 0 3 317.379 4.183 20 0 DIADHN COc1ccccc1C[C@@H](C)N1CCc2ccc(Cl)cc2C1 ZINC001258886801 1063873430 /nfs/dbraw/zinc/87/34/30/1063873430.db2.gz ULMKXGJEBSFXII-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN CC[C@H](C)N(Cc1cnn(CC2CCC2)c1)Cc1ccccc1 ZINC001462019581 1063883745 /nfs/dbraw/zinc/88/37/45/1063883745.db2.gz JVYSJAVOCWRQHS-KRWDZBQOSA-N 0 3 311.473 4.484 20 0 DIADHN Cc1ccccc1OCCN(C)C/C=C/c1ccc(F)cc1F ZINC001462025946 1063886909 /nfs/dbraw/zinc/88/69/09/1063886909.db2.gz MCDKEAQWIIGQRH-FNORWQNLSA-N 0 3 317.379 4.297 20 0 DIADHN CN(Cc1ccc2c(c1)OCO2)Cc1cccc(CC2CCC2)c1 ZINC001462034553 1063891305 /nfs/dbraw/zinc/89/13/05/1063891305.db2.gz OTHGRBFQRWNXGA-UHFFFAOYSA-N 0 3 323.436 4.390 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(F)c(F)c1N(C)C ZINC001462033190 1063891766 /nfs/dbraw/zinc/89/17/66/1063891766.db2.gz XCNLBAQZYAGURY-UHFFFAOYSA-N 0 3 318.411 4.443 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1CCc1ccc(F)cc1Cl ZINC001462035992 1063893958 /nfs/dbraw/zinc/89/39/58/1063893958.db2.gz SPRDNZJPWHNADT-KRWDZBQOSA-N 0 3 306.812 4.197 20 0 DIADHN CCOc1cc(F)cc(CN2CCSC(CC)(CC)C2)c1 ZINC001462051741 1063901404 /nfs/dbraw/zinc/90/14/04/1063901404.db2.gz HRUXMFMFIFCSRA-UHFFFAOYSA-N 0 3 311.466 4.332 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)N[C@@H](C)Cc2ccno2)cc1 ZINC001462052411 1063904157 /nfs/dbraw/zinc/90/41/57/1063904157.db2.gz MDKKKDIPPIHSJA-SWLSCSKDSA-N 0 3 312.335 4.197 20 0 DIADHN CC(C)CCC[C@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001462058770 1063909080 /nfs/dbraw/zinc/90/90/80/1063909080.db2.gz FTLBJGRYEDMHMH-BBRMVZONSA-N 0 3 310.869 4.061 20 0 DIADHN CC(C)CCC[C@@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001462058774 1063909239 /nfs/dbraw/zinc/90/92/39/1063909239.db2.gz FTLBJGRYEDMHMH-CJNGLKHVSA-N 0 3 310.869 4.061 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnn(-c3ccccc3)c2C2CC2)C[C@H]1C ZINC001462063543 1063911224 /nfs/dbraw/zinc/91/12/24/1063911224.db2.gz OEYNNEYZNMAUHV-BFYDXBDKSA-N 0 3 324.472 4.059 20 0 DIADHN Cn1cc(-c2ccc(CNCc3coc4ccccc34)cc2)cn1 ZINC001261245275 1063913599 /nfs/dbraw/zinc/91/35/99/1063913599.db2.gz VODWVEKRIVPTDT-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN CC[C@@H](NCc1ccc(NC(=O)C2CC2)cc1)c1ccsc1 ZINC001261276778 1063919229 /nfs/dbraw/zinc/91/92/29/1063919229.db2.gz SKSAZLLEGQWYDW-QGZVFWFLSA-N 0 3 314.454 4.338 20 0 DIADHN COc1cncc(CN2CCCC3(CCCCC3)CC2)c1C ZINC001462092696 1063924966 /nfs/dbraw/zinc/92/49/66/1063924966.db2.gz JRRINQODIGBPQH-UHFFFAOYSA-N 0 3 302.462 4.335 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(F)c(Cl)c2)c(C)c1 ZINC001462097615 1063927171 /nfs/dbraw/zinc/92/71/71/1063927171.db2.gz PNDZQBRDKYIVNK-UHFFFAOYSA-N 0 3 307.796 4.428 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC[C@H](F)C3)cs2)cc1 ZINC001261493484 1063929703 /nfs/dbraw/zinc/92/97/03/1063929703.db2.gz DGQOHLUNYRODDY-KBPBESRZSA-N 0 3 320.433 4.189 20 0 DIADHN C[C@H]1CCCCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001462110864 1063934798 /nfs/dbraw/zinc/93/47/98/1063934798.db2.gz JMOSTZQJTBTPMB-AWEZNQCLSA-N 0 3 302.443 4.448 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H]2CC[C@@H](C2)C1)c1nc(-c2cccc(F)c2)no1 ZINC001462119201 1063938275 /nfs/dbraw/zinc/93/82/75/1063938275.db2.gz HCPXIWYCQZXETP-FOCJUVANSA-N 0 3 315.392 4.105 20 0 DIADHN Cc1cnc2ccccc2c1N1CCc2nc(C(C)C)ncc2C1 ZINC001261782266 1063946568 /nfs/dbraw/zinc/94/65/68/1063946568.db2.gz BNIGVJXEZOZTOG-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN CC(C)[C@H](NCc1ccc(N)nc1)c1cccc(C(F)(F)F)c1 ZINC001262238345 1063961130 /nfs/dbraw/zinc/96/11/30/1063961130.db2.gz XKSKLDYRJOPOFH-INIZCTEOSA-N 0 3 323.362 4.170 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc3c(c2)OCCO3)s1 ZINC000378869513 1063978278 /nfs/dbraw/zinc/97/82/78/1063978278.db2.gz KBVMEOXEVGEEFW-UHFFFAOYSA-N 0 3 317.454 4.107 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2cc3ccccc3[nH]c2=O)c1 ZINC000378917544 1063981620 /nfs/dbraw/zinc/98/16/20/1063981620.db2.gz WWLKLABYMHHZOX-HNNXBMFYSA-N 0 3 306.409 4.408 20 0 DIADHN COc1ccc(Br)cc1CN1C[C@H](C)CC1(C)C ZINC000380291535 1063986893 /nfs/dbraw/zinc/98/68/93/1063986893.db2.gz CPVVSUVCBYWRKI-LLVKDONJSA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC001264158443 1064027354 /nfs/dbraw/zinc/02/73/54/1064027354.db2.gz PPBMWJMRMHQILJ-IBGZPJMESA-N 0 3 320.452 4.135 20 0 DIADHN COc1ccc(CN[C@@H]2CC23CCCCC3)c(Br)c1 ZINC000386892026 1064061488 /nfs/dbraw/zinc/06/14/88/1064061488.db2.gz KJARKEAINWFXNK-OAHLLOKOSA-N 0 3 324.262 4.270 20 0 DIADHN Fc1cc(Br)ccc1CN[C@@H]1CC12CCCCC2 ZINC000386990663 1064063263 /nfs/dbraw/zinc/06/32/63/1064063263.db2.gz KBADHKJVUICPFV-CQSZACIVSA-N 0 3 312.226 4.401 20 0 DIADHN Nc1cc(Br)ccc1CN1CCC2(C1)CCCCC2 ZINC000388306435 1064106191 /nfs/dbraw/zinc/10/61/91/1064106191.db2.gz BLDYKWLCSMKCER-UHFFFAOYSA-N 0 3 323.278 4.188 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H](C)Cc1ccc(F)cc1 ZINC000389044159 1064120646 /nfs/dbraw/zinc/12/06/46/1064120646.db2.gz HWYDKXXECWICFG-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN CC1CCC(N2CCN(C(=O)C(C)(C)CC(C)(C)C)CC2)CC1 ZINC001265199753 1064204179 /nfs/dbraw/zinc/20/41/79/1064204179.db2.gz HKKSGVXRBRZPTN-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN CCCN(CCN(C)C)C(=O)c1ccccc1-c1ccccc1C ZINC001265291520 1064213311 /nfs/dbraw/zinc/21/33/11/1064213311.db2.gz PQDMXRWFRZLISG-UHFFFAOYSA-N 0 3 324.468 4.076 20 0 DIADHN CC[C@H](C)N(CC)Cc1ccc(Br)c(F)c1F ZINC000701274236 1064243985 /nfs/dbraw/zinc/24/39/85/1064243985.db2.gz NEPYVTWTEHARQK-VIFPVBQESA-N 0 3 306.194 4.348 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N(C)[C@H]2CCCN(C)C2)CC1 ZINC001265573405 1064246874 /nfs/dbraw/zinc/24/68/74/1064246874.db2.gz DWPYBOYNGMPWPR-ABHNRTSZSA-N 0 3 322.537 4.172 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1cncs1 ZINC001279286238 1064341200 /nfs/dbraw/zinc/34/12/00/1064341200.db2.gz IATSKKGAAAUVBI-YESZJQIVSA-N 0 3 321.534 4.008 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)OC1CCCC1 ZINC001280326884 1064393069 /nfs/dbraw/zinc/39/30/69/1064393069.db2.gz WXWJJLLQDXELEX-YESZJQIVSA-N 0 3 322.493 4.041 20 0 DIADHN CCC(CC)[C@H](C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001290312596 1064690850 /nfs/dbraw/zinc/69/08/50/1064690850.db2.gz NTOPORKYMNUZES-LPHOPBHVSA-N 0 3 316.489 4.012 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001303541148 1064694888 /nfs/dbraw/zinc/69/48/88/1064694888.db2.gz XDXBZLWFVRYNSM-IAGOWNOFSA-N 0 3 308.510 4.068 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001303541147 1064695140 /nfs/dbraw/zinc/69/51/40/1064695140.db2.gz XDXBZLWFVRYNSM-DLBZAZTESA-N 0 3 308.510 4.068 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CCCC(C)C)c1ccsc1 ZINC001290870189 1064716890 /nfs/dbraw/zinc/71/68/90/1064716890.db2.gz QKUMEGKNASGRNK-MRXNPFEDSA-N 0 3 310.507 4.074 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC001290931596 1064717969 /nfs/dbraw/zinc/71/79/69/1064717969.db2.gz RUSGFAUTFWXJDG-JFIYKMOQSA-N 0 3 316.489 4.153 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN[C@@H](C)c1cccs1 ZINC000655703663 1064728777 /nfs/dbraw/zinc/72/87/77/1064728777.db2.gz JROUAEIEGGBLJF-HNNXBMFYSA-N 0 3 311.454 4.401 20 0 DIADHN CC[C@H](C(=O)N(Cc1ccccc1)c1ccccc1)N(CC)CC ZINC001291896159 1064748568 /nfs/dbraw/zinc/74/85/68/1064748568.db2.gz OBCWMBCPMPCUIN-HXUWFJFHSA-N 0 3 324.468 4.340 20 0 DIADHN Cc1cccc([C@H](NC(=O)CN(C)CC(C)(C)C)C(C)(C)C)c1 ZINC001291930409 1064750494 /nfs/dbraw/zinc/75/04/94/1064750494.db2.gz ZTZQEONVBQUBNX-SFHVURJKSA-N 0 3 318.505 4.176 20 0 DIADHN CCCN(CC1CCCCC1)C(=O)C(C)(C)N1CCCCC1 ZINC001291973578 1064752398 /nfs/dbraw/zinc/75/23/98/1064752398.db2.gz PLSATQAWWZOETC-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2c3c(cccc31)CC2)N1[C@H](C)CC[C@@H]1C ZINC001292095916 1064759359 /nfs/dbraw/zinc/75/93/59/1064759359.db2.gz ANVYRIJXGDRYIR-ZNMIVQPWSA-N 0 3 322.452 4.138 20 0 DIADHN C[C@@H]1CC[C@H](C(=O)N(CCN(C)C)CC2CCCCC2)C[C@@H]1C ZINC001292112874 1064759955 /nfs/dbraw/zinc/75/99/55/1064759955.db2.gz RVKBWVBJUZXOJL-AOIWGVFYSA-N 0 3 322.537 4.029 20 0 DIADHN C[C@H]1CCCC[C@H]1CC(=O)N(CCN(C)C)CC1CCCCC1 ZINC001292112232 1064760405 /nfs/dbraw/zinc/76/04/05/1064760405.db2.gz IWAYLOJAYWPCSN-HKUYNNGSSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@@H]1CC[C@@H](C(=O)N(CCN(C)C)CC2CCCCC2)C[C@@H]1C ZINC001292112877 1064760720 /nfs/dbraw/zinc/76/07/20/1064760720.db2.gz RVKBWVBJUZXOJL-ZIFCJYIRSA-N 0 3 322.537 4.029 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001292113174 1064761804 /nfs/dbraw/zinc/76/18/04/1064761804.db2.gz YKQKWRSKZYPQEO-QGZVFWFLSA-N 0 3 324.553 4.419 20 0 DIADHN CCCCC[C@H](C)CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001292262244 1064766197 /nfs/dbraw/zinc/76/61/97/1064766197.db2.gz TYWWJQJJKPLDMC-HKUYNNGSSA-N 0 3 318.505 4.320 20 0 DIADHN CC[C@H](C)CCC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001292378742 1064771002 /nfs/dbraw/zinc/77/10/02/1064771002.db2.gz SDOMJHVJONZMJP-QFBILLFUSA-N 0 3 316.489 4.074 20 0 DIADHN CC(C)N(C)CC(=O)N[C@H](CC1CCCCC1)c1ccccc1 ZINC001292572322 1064780603 /nfs/dbraw/zinc/78/06/03/1064780603.db2.gz PXQJYHGVILYDHB-LJQANCHMSA-N 0 3 316.489 4.155 20 0 DIADHN CCCN(C)CC(=O)N[C@H](CC1CCCCC1)c1ccccc1 ZINC001292572557 1064781169 /nfs/dbraw/zinc/78/11/69/1064781169.db2.gz YFVXXURJAFGXKZ-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1cccc(Nc2ccccc2)c1 ZINC001292984422 1064795448 /nfs/dbraw/zinc/79/54/48/1064795448.db2.gz BMNBZFPKTUTANS-LJQANCHMSA-N 0 3 323.440 4.242 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)CC(C)(C)C1CCCCC1 ZINC001293107326 1064801064 /nfs/dbraw/zinc/80/10/64/1064801064.db2.gz IQPBVYVKZIIOMJ-UHFFFAOYSA-N 0 3 310.526 4.029 20 0 DIADHN C[C@H](CCC(C)(C)C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001293663111 1064824183 /nfs/dbraw/zinc/82/41/83/1064824183.db2.gz HPRXMYNLLBOSDO-CQSZACIVSA-N 0 3 315.461 4.235 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC001297187164 1064972982 /nfs/dbraw/zinc/97/29/82/1064972982.db2.gz YBADLPZLMSSRLF-UXLLHSPISA-N 0 3 314.473 4.036 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(-c2ccccc2)c1)N(CC)CC ZINC001297528084 1064982392 /nfs/dbraw/zinc/98/23/92/1064982392.db2.gz KQFQCWWHUIDBJY-LJQANCHMSA-N 0 3 310.441 4.413 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C[C@@H](C)C1CCCCC1 ZINC001297733832 1064988544 /nfs/dbraw/zinc/98/85/44/1064988544.db2.gz PTVQGEFABNODCK-GOSISDBHSA-N 0 3 322.537 4.317 20 0 DIADHN CCC1(NC(=O)c2cccc(CN3CCCCC3)c2)CCCC1 ZINC001298191615 1065000809 /nfs/dbraw/zinc/00/08/09/1065000809.db2.gz KGGYEGJOXQJSLS-UHFFFAOYSA-N 0 3 314.473 4.125 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1CCCN(CC)C[C@H]1C ZINC001299019240 1065027013 /nfs/dbraw/zinc/02/70/13/1065027013.db2.gz YUGUANCCTHWOIH-MJGOQNOKSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1CCCN(CC)C[C@@H]1C ZINC001299019238 1065028111 /nfs/dbraw/zinc/02/81/11/1065028111.db2.gz YUGUANCCTHWOIH-HKUYNNGSSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1CCCN(CC)C[C@H]1C ZINC001299019239 1065028206 /nfs/dbraw/zinc/02/82/06/1065028206.db2.gz YUGUANCCTHWOIH-IEBWSBKVSA-N 0 3 310.526 4.316 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C1CC1)C1CCCCC1 ZINC001307429510 1065390217 /nfs/dbraw/zinc/39/02/17/1065390217.db2.gz NLFWMBXPLRJDIZ-UHFFFAOYSA-N 0 3 315.436 4.492 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC001307434048 1065393783 /nfs/dbraw/zinc/39/37/83/1065393783.db2.gz PZPBMMDLIGAPIV-XJKSGUPXSA-N 0 3 319.399 4.161 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)C[C@H](O)CC1CCCC1 ZINC001307436305 1065397650 /nfs/dbraw/zinc/39/76/50/1065397650.db2.gz RHHWQPOTOOLVLP-QGZVFWFLSA-N 0 3 311.416 4.118 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cc(CO)ccc1F ZINC001307442697 1065401344 /nfs/dbraw/zinc/40/13/44/1065401344.db2.gz NNQXOTRHEBENEP-UHFFFAOYSA-N 0 3 323.411 4.146 20 0 DIADHN Cc1ccc(C)n1C1CCN(CCc2c(F)cccc2F)CC1 ZINC001307445954 1065406492 /nfs/dbraw/zinc/40/64/92/1065406492.db2.gz LPRAGJGOWSKMSG-UHFFFAOYSA-N 0 3 318.411 4.263 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccc3c(c2)OCO3)cs1 ZINC001307456169 1065410433 /nfs/dbraw/zinc/41/04/33/1065410433.db2.gz JRHFSPGKYRWKPI-HNNXBMFYSA-N 0 3 301.411 4.122 20 0 DIADHN CCN(CC(=O)Nc1ccc(Oc2ccccc2)cc1)CC1CC1 ZINC001307465121 1065414657 /nfs/dbraw/zinc/41/46/57/1065414657.db2.gz NZRTWSNORNQCJF-UHFFFAOYSA-N 0 3 324.424 4.149 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1ccc(Cl)cc1C)C1CC1 ZINC001307462829 1065415318 /nfs/dbraw/zinc/41/53/18/1065415318.db2.gz HZJQQEYGYULQKF-TZMCWYRMSA-N 0 3 322.880 4.486 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2[N@@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478905 1065425701 /nfs/dbraw/zinc/42/57/01/1065425701.db2.gz LWLGXEDQVDBQRB-HFTRVMKXSA-N 0 3 323.440 4.002 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2[N@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478905 1065425707 /nfs/dbraw/zinc/42/57/07/1065425707.db2.gz LWLGXEDQVDBQRB-HFTRVMKXSA-N 0 3 323.440 4.002 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@H](c2ccccc2C)C[C@@H]1C ZINC001307488030 1065432441 /nfs/dbraw/zinc/43/24/41/1065432441.db2.gz FECHEHLHDJFGDX-LZLYRXPVSA-N 0 3 317.473 4.293 20 0 DIADHN Cc1ccc(CCCN2C[C@H](C)OC[C@@H]2c2ccccc2)cc1 ZINC001307496773 1065439966 /nfs/dbraw/zinc/43/99/66/1065439966.db2.gz NCUYSQXWFIKNJL-GHTZIAJQSA-N 0 3 309.453 4.390 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2cncc(Cl)c2)cc1 ZINC001307498493 1065441929 /nfs/dbraw/zinc/44/19/29/1065441929.db2.gz UAOKJJQDFYYMHI-UHFFFAOYSA-N 0 3 302.849 4.453 20 0 DIADHN CCC[C@@]1(C)CCCN(Cc2ccc(C(=O)OC)c(F)c2)C1 ZINC001307499647 1065444463 /nfs/dbraw/zinc/44/44/63/1065444463.db2.gz MUHZCGAIWXDRIX-SFHVURJKSA-N 0 3 307.409 4.015 20 0 DIADHN CC[C@@H](NC(=O)CN1[C@H](C)CCC[C@@H]1C)c1ccc(Cl)cc1 ZINC001307503497 1065445442 /nfs/dbraw/zinc/44/54/42/1065445442.db2.gz HUWGJQWMUVCOQY-JKIFEVAISA-N 0 3 322.880 4.170 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1)N1CCCC(C)(C)CC1 ZINC001307508297 1065450726 /nfs/dbraw/zinc/45/07/26/1065450726.db2.gz SKWNHJUDNQTFOU-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN Fc1cc(OCCN2CC[C@H]2c2ccccc2)ccc1Cl ZINC001307512243 1065453623 /nfs/dbraw/zinc/45/36/23/1065453623.db2.gz BESNEBUYQBATQD-KRWDZBQOSA-N 0 3 305.780 4.305 20 0 DIADHN Fc1ccc(SCCN2CC[C@H]2Cc2ccccc2)c(F)c1 ZINC001307516733 1065455293 /nfs/dbraw/zinc/45/52/93/1065455293.db2.gz JSWPXOUOZPQSPR-INIZCTEOSA-N 0 3 319.420 4.374 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1ccc(F)cc1F ZINC001307518399 1065457528 /nfs/dbraw/zinc/45/75/28/1065457528.db2.gz CLHNNFHSQKIWLF-ZBFHGGJFSA-N 0 3 301.380 4.418 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)[C@H](C)C1(C)CC1 ZINC001307521166 1065459852 /nfs/dbraw/zinc/45/98/52/1065459852.db2.gz MWXXDKAHIRKRJR-CYBMUJFWSA-N 0 3 315.413 4.230 20 0 DIADHN Fc1ccc(F)c(N2CCN(CC3CCC4(CC4)CC3)CC2)c1 ZINC001307521168 1065459861 /nfs/dbraw/zinc/45/98/61/1065459861.db2.gz HNZIQMXDNQRWQU-UHFFFAOYSA-N 0 3 320.427 4.057 20 0 DIADHN CC(C)N(Cc1ccn(-c2ccccc2F)n1)C1CCCC1 ZINC001307528937 1065466642 /nfs/dbraw/zinc/46/66/42/1065466642.db2.gz KSJCBGYYEOJKBI-UHFFFAOYSA-N 0 3 301.409 4.164 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1N(C)Cc1cc(C(F)(F)F)co1 ZINC001307542816 1065474195 /nfs/dbraw/zinc/47/41/95/1065474195.db2.gz FXTBCCFVEOHWKJ-KBPBESRZSA-N 0 3 319.367 4.324 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(=Cc3ccccc3F)CC2)O1 ZINC001307579637 1065493132 /nfs/dbraw/zinc/49/31/32/1065493132.db2.gz PCQOKCUZBACYPE-KRWDZBQOSA-N 0 3 303.421 4.263 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1ccncc1Br ZINC001307578947 1065494054 /nfs/dbraw/zinc/49/40/54/1065494054.db2.gz LIJYYIOPABSLSW-AWEZNQCLSA-N 0 3 311.267 4.245 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001307579209 1065494385 /nfs/dbraw/zinc/49/43/85/1065494385.db2.gz MHPZWGJEKQVZPW-CRAIPNDOSA-N 0 3 316.489 4.451 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1ccnc(Br)c1 ZINC001307580667 1065494580 /nfs/dbraw/zinc/49/45/80/1065494580.db2.gz XGNFUQKKIOOCQU-GXTWGEPZSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@H]1CCC(C)(C)CO1 ZINC001307589196 1065502068 /nfs/dbraw/zinc/50/20/68/1065502068.db2.gz SONABAVDNUTHKG-CKEIUWERSA-N 0 3 305.437 4.339 20 0 DIADHN Cc1ccc(CN(CCO)Cc2ccccc2C(F)(F)F)cc1 ZINC001307605148 1065508476 /nfs/dbraw/zinc/50/84/76/1065508476.db2.gz YMHDHUVIRLUHDI-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2cc(F)ccc2OC(F)F)C1 ZINC001307601935 1065510559 /nfs/dbraw/zinc/51/05/59/1065510559.db2.gz GTYHJDDZHNOVFF-LBPRGKRZSA-N 0 3 301.352 4.295 20 0 DIADHN Cc1cccc([C@H](O)CN[C@H](C)c2cc(Cl)ccc2F)c1 ZINC001307612007 1065517512 /nfs/dbraw/zinc/51/75/12/1065517512.db2.gz UVAOFPYIMVJVLL-SJKOYZFVSA-N 0 3 307.796 4.172 20 0 DIADHN CC(C)C[C@H](NCC(=O)NCC(C)(C)C)c1ccc(Cl)cc1 ZINC001307626366 1065525613 /nfs/dbraw/zinc/52/56/13/1065525613.db2.gz ZUEOOYPIZPZWDS-INIZCTEOSA-N 0 3 324.896 4.179 20 0 DIADHN CC[C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccc(Cl)cc1 ZINC001307630406 1065528944 /nfs/dbraw/zinc/52/89/44/1065528944.db2.gz QNPFXOJMPKCHBP-WMLDXEAASA-N 0 3 324.896 4.274 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@](C)(CC)c2ccccc2)cc1 ZINC001307634048 1065530133 /nfs/dbraw/zinc/53/01/33/1065530133.db2.gz ZDDMWXWVWDXYHB-FQEVSTJZSA-N 0 3 310.441 4.103 20 0 DIADHN c1ccc(C[C@H]2CN(CCC3CCCCCC3)CCO2)cc1 ZINC001307636139 1065531810 /nfs/dbraw/zinc/53/18/10/1065531810.db2.gz FWZJJJIXXOBWCT-FQEVSTJZSA-N 0 3 301.474 4.290 20 0 DIADHN CCC[C@H](NCC(=O)NCCC1CCCCC1)c1ccccc1 ZINC001307640511 1065535421 /nfs/dbraw/zinc/53/54/21/1065535421.db2.gz OMZNBZOFYWBSKI-IBGZPJMESA-N 0 3 316.489 4.204 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)N[C@H](C)c1ccccc1)c1ccc(C)cc1 ZINC001307643447 1065537239 /nfs/dbraw/zinc/53/72/39/1065537239.db2.gz PQPPCTWLEXZEQT-MBOZVWFJSA-N 0 3 324.468 4.302 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccnc(Br)c2)c1 ZINC001307651209 1065542362 /nfs/dbraw/zinc/54/23/62/1065542362.db2.gz GGLARRJGYLNSJD-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCC2)CO1)c1nc2ccccc2s1 ZINC001307659587 1065548567 /nfs/dbraw/zinc/54/85/67/1065548567.db2.gz ZZLRJLSUJQKHMD-UONOGXRCSA-N 0 3 316.470 4.296 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2ccc3c(c2)CCCC3)c1 ZINC001307662672 1065549814 /nfs/dbraw/zinc/54/98/14/1065549814.db2.gz HTJJDZMTXYQOLJ-OAHLLOKOSA-N 0 3 323.436 4.203 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)NCc1cccnc1N ZINC001307666713 1065550729 /nfs/dbraw/zinc/55/07/29/1065550729.db2.gz GTMNXGKQFZZXCN-QGZVFWFLSA-N 0 3 323.465 4.107 20 0 DIADHN CCCCOCCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC001307708552 1065576730 /nfs/dbraw/zinc/57/67/30/1065576730.db2.gz LMEKKTNPJGHONA-UHFFFAOYSA-N 0 3 309.400 4.261 20 0 DIADHN CC[C@H](OCCN1CCC[C@@H](OCC2CC2)C1)c1ccccc1 ZINC001307707391 1065577244 /nfs/dbraw/zinc/57/72/44/1065577244.db2.gz WKIHYTQLDWYYNZ-UXHICEINSA-N 0 3 317.473 4.045 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CN2CCCC3(CC3)C2)c1 ZINC001307713518 1065580677 /nfs/dbraw/zinc/58/06/77/1065580677.db2.gz QOHCSVXJIMWJEM-UHFFFAOYSA-N 0 3 301.430 4.018 20 0 DIADHN CCCCOCCN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC001307725846 1065589056 /nfs/dbraw/zinc/58/90/56/1065589056.db2.gz QJGFACSIHXWEFZ-UHFFFAOYSA-N 0 3 313.844 4.417 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1CCCC1CCCC1 ZINC001307736871 1065592799 /nfs/dbraw/zinc/59/27/99/1065592799.db2.gz RUDKZOGAMKGBKF-MRXNPFEDSA-N 0 3 304.453 4.307 20 0 DIADHN CC(C)COc1cccc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c1 ZINC001307735850 1065592904 /nfs/dbraw/zinc/59/29/04/1065592904.db2.gz YRNXRCGTWKCPSI-XJKSGUPXSA-N 0 3 315.379 4.494 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1CC/C=C\c1ccccc1 ZINC001307736179 1065593032 /nfs/dbraw/zinc/59/30/32/1065593032.db2.gz KWOMJPQHQKPPEG-RLGIIYIFSA-N 0 3 324.443 4.440 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1[C@@H]1CCCc2ccccc21 ZINC001307737029 1065594571 /nfs/dbraw/zinc/59/45/71/1065594571.db2.gz MSGDPWDZWQAANI-OXJNMPFZSA-N 0 3 324.443 4.414 20 0 DIADHN CN(Cc1coc(-c2ccccc2F)n1)[C@H]1CCC(C)(C)C1 ZINC001307738912 1065596216 /nfs/dbraw/zinc/59/62/16/1065596216.db2.gz QSTXNKREIKUQPL-AWEZNQCLSA-N 0 3 302.393 4.491 20 0 DIADHN CCCC1CCC(N(C)Cc2cc(C(=O)OC)ccc2F)CC1 ZINC001307741261 1065598579 /nfs/dbraw/zinc/59/85/79/1065598579.db2.gz GRLOPVHWGLBERJ-UHFFFAOYSA-N 0 3 321.436 4.403 20 0 DIADHN CC[C@H]1CN(CC)CCN1Cc1csc(C2CCCCC2)n1 ZINC001307765714 1065611799 /nfs/dbraw/zinc/61/17/99/1065611799.db2.gz JCMSYCAXAQKEQH-KRWDZBQOSA-N 0 3 321.534 4.107 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1C[C@@H]2CCCC[C@@H]2C1 ZINC001307776386 1065615490 /nfs/dbraw/zinc/61/54/90/1065615490.db2.gz MPUPZBWEQCEXSX-SOUVJXGZSA-N 0 3 320.864 4.097 20 0 DIADHN CC1(C)CC[C@H]1NCc1cc(Br)cc(F)c1F ZINC001307778634 1065616983 /nfs/dbraw/zinc/61/69/83/1065616983.db2.gz OQIXQGMKNLNDSX-LLVKDONJSA-N 0 3 304.178 4.006 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001307792782 1065623979 /nfs/dbraw/zinc/62/39/79/1065623979.db2.gz QPGRKONKECNXQC-VXGBXAGGSA-N 0 3 302.220 4.199 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001307787679 1065619465 /nfs/dbraw/zinc/61/94/65/1065619465.db2.gz YJGPURGNYRSBQI-GOSISDBHSA-N 0 3 317.408 4.276 20 0 DIADHN CC(C)c1ccc(CN2CCN(CCCC3CC3)CC2)cc1 ZINC001307788908 1065621406 /nfs/dbraw/zinc/62/14/06/1065621406.db2.gz CQCKUNGSYFACCA-UHFFFAOYSA-N 0 3 300.490 4.118 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@@H](c2ccccn2)C1 ZINC001307787996 1065622254 /nfs/dbraw/zinc/62/22/54/1065622254.db2.gz HTDBDPRQFKLUQU-OAHLLOKOSA-N 0 3 316.395 4.172 20 0 DIADHN CCSc1ccccc1CN1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC001307814915 1065635516 /nfs/dbraw/zinc/63/55/16/1065635516.db2.gz BSDQBKWQGABMGC-DOTOQJQBSA-N 0 3 305.487 4.188 20 0 DIADHN CCSc1ccccc1CN1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC001307814916 1065636378 /nfs/dbraw/zinc/63/63/78/1065636378.db2.gz BSDQBKWQGABMGC-NVXWUHKLSA-N 0 3 305.487 4.188 20 0 DIADHN CC(C)CC1(C)CCN([C@@H]2CCCN(CC(C)(C)C)C2=O)CC1 ZINC001307814925 1065636662 /nfs/dbraw/zinc/63/66/62/1065636662.db2.gz BVFSMNLLYGVOPW-QGZVFWFLSA-N 0 3 322.537 4.172 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN1CC(C)(C)[C@H]1c1cccs1 ZINC001307820664 1065637981 /nfs/dbraw/zinc/63/79/81/1065637981.db2.gz MCIVMGOWQDHXGX-FUHWJXTLSA-N 0 3 315.482 4.389 20 0 DIADHN CC(C)OC(=O)CCN1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC001307826812 1065641467 /nfs/dbraw/zinc/64/14/67/1065641467.db2.gz KFOQZIDFAPSQLY-LJQANCHMSA-N 0 3 315.457 4.336 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C)CCCc2cccnc2)c1F ZINC001307832517 1065644129 /nfs/dbraw/zinc/64/41/29/1065644129.db2.gz OOFONHXSFGYUQQ-CQSZACIVSA-N 0 3 304.384 4.169 20 0 DIADHN Cc1cc(CN2CCSC3(CCC3)CC2)c2ccccc2n1 ZINC001307840529 1065646471 /nfs/dbraw/zinc/64/64/71/1065646471.db2.gz RYEIOBYUMPYOTN-UHFFFAOYSA-N 0 3 312.482 4.405 20 0 DIADHN Fc1ccc(Br)c(CN2CC[C@@H](C3CCC3)C2)c1 ZINC001307841696 1065648175 /nfs/dbraw/zinc/64/81/75/1065648175.db2.gz CUKPIIOZUHPOBO-GFCCVEGCSA-N 0 3 312.226 4.210 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1c(Cl)oc2ccccc21)C1CC1 ZINC001307844904 1065648631 /nfs/dbraw/zinc/64/86/31/1065648631.db2.gz MEJVDOKFVQXLKD-PIGZYNQJSA-N 0 3 307.821 4.379 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccccc1)C(=O)N(C)c1ccc(C)cc1 ZINC001307850550 1065652166 /nfs/dbraw/zinc/65/21/66/1065652166.db2.gz SGPHIKMKGITOQW-WOJBJXKFSA-N 0 3 324.468 4.477 20 0 DIADHN COC(=O)c1sccc1CN(C)[C@H]1CCC[C@@H]1C(C)(C)C ZINC001307853295 1065654690 /nfs/dbraw/zinc/65/46/90/1065654690.db2.gz LSPHATKVMBUECI-KBPBESRZSA-N 0 3 309.475 4.181 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@H](C3CCCC3)C2)c(C)c1 ZINC001307856714 1065655972 /nfs/dbraw/zinc/65/59/72/1065655972.db2.gz OAGLVHLRICEBGF-FUHWJXTLSA-N 0 3 314.473 4.143 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC001307857858 1065656260 /nfs/dbraw/zinc/65/62/60/1065656260.db2.gz ZZKWZYZQJHXWJW-CQDKDKBSSA-N 0 3 301.327 4.346 20 0 DIADHN CC(C)=CCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@H]1C ZINC001307866674 1065660589 /nfs/dbraw/zinc/66/05/89/1065660589.db2.gz CAWDHWQEUKNKTF-BZUAXINKSA-N 0 3 310.482 4.061 20 0 DIADHN CCCCCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@@H]1C ZINC001307870594 1065662396 /nfs/dbraw/zinc/66/23/96/1065662396.db2.gz UMWPIRPVGYXQRV-OWCLPIDISA-N 0 3 312.498 4.285 20 0 DIADHN Cc1csc(CN2CCC[C@]2(C)Cc2ccccc2F)n1 ZINC001307872523 1065662425 /nfs/dbraw/zinc/66/24/25/1065662425.db2.gz OZGDGNGKNLWCSE-QGZVFWFLSA-N 0 3 304.434 4.188 20 0 DIADHN CCCCCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@@H](C)[C@H]1C ZINC001307870593 1065662979 /nfs/dbraw/zinc/66/29/79/1065662979.db2.gz UMWPIRPVGYXQRV-OAGGEKHMSA-N 0 3 312.498 4.285 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@H](Oc2ccccc2)C1 ZINC001307873429 1065664855 /nfs/dbraw/zinc/66/48/55/1065664855.db2.gz DSQIYPYVIHDZHK-INIZCTEOSA-N 0 3 301.817 4.302 20 0 DIADHN Fc1ccc(CCC2CCN(Cc3ccncc3)CC2)c(F)c1 ZINC001307887941 1065669529 /nfs/dbraw/zinc/66/95/29/1065669529.db2.gz VDFTZGKYIWVXKS-UHFFFAOYSA-N 0 3 316.395 4.205 20 0 DIADHN CC(C)(C)OC(=O)CN(C[C@H]1CCCCC1(C)C)C1CCC1 ZINC001307888250 1065669807 /nfs/dbraw/zinc/66/98/07/1065669807.db2.gz ZGJGADPMFTZLIA-OAHLLOKOSA-N 0 3 309.494 4.399 20 0 DIADHN C[C@@H](CN(CC(=O)OC(C)(C)C)CC1CC1)C1CCCCC1 ZINC001307899343 1065671081 /nfs/dbraw/zinc/67/10/81/1065671081.db2.gz DXTSUBIIHZRQHQ-HNNXBMFYSA-N 0 3 309.494 4.257 20 0 DIADHN CCC[C@@H](C)[C@@H](CO)NCc1nc(C2CCCCC2)cs1 ZINC001307895572 1065671094 /nfs/dbraw/zinc/67/10/94/1065671094.db2.gz WCEZBVFHKFEBQL-UKRRQHHQSA-N 0 3 310.507 4.078 20 0 DIADHN Cc1ncc(CN2CCCC[C@@H]2Cc2ccccc2F)s1 ZINC001307905402 1065675865 /nfs/dbraw/zinc/67/58/65/1065675865.db2.gz KWMUQYQXERVJRV-OAHLLOKOSA-N 0 3 304.434 4.188 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@H]2CCC3(CCC3)O2)cc1F ZINC001307915050 1065680444 /nfs/dbraw/zinc/68/04/44/1065680444.db2.gz RLLNIXFCMKZKIK-NVXWUHKLSA-N 0 3 319.420 4.073 20 0 DIADHN CN(CCN1CC2(CCCC2)[C@H]1c1ccco1)Cc1ccccc1 ZINC001307920011 1065681905 /nfs/dbraw/zinc/68/19/05/1065681905.db2.gz GHWBSLMDPWXARK-HXUWFJFHSA-N 0 3 324.468 4.329 20 0 DIADHN CCc1nnc(CN2CCC[C@@]2(CC)Cc2ccccc2)s1 ZINC001307918493 1065682365 /nfs/dbraw/zinc/68/23/65/1065682365.db2.gz JNBXLUUQDPSAAM-SFHVURJKSA-N 0 3 315.486 4.088 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC(C)(C)CC(C)(C)C2)c1 ZINC001307920565 1065682831 /nfs/dbraw/zinc/68/28/31/1065682831.db2.gz MCXOJTQIFIDRLC-UHFFFAOYSA-N 0 3 316.489 4.390 20 0 DIADHN Fc1ccccc1CN(CCSc1ccncc1)CC1CC1 ZINC001307940953 1065690483 /nfs/dbraw/zinc/69/04/83/1065690483.db2.gz OSBYCGCRKVGYCK-UHFFFAOYSA-N 0 3 316.445 4.225 20 0 DIADHN Cc1cc2oc(=O)cc(CN(C3CC3)C3CC3)c2cc1C(C)C ZINC001307940710 1065691150 /nfs/dbraw/zinc/69/11/50/1065691150.db2.gz LLPVDOSEVLLFSH-UHFFFAOYSA-N 0 3 311.425 4.352 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2CC(=O)Nc1ccc2ccccc2c1 ZINC001307947668 1065694612 /nfs/dbraw/zinc/69/46/12/1065694612.db2.gz RQZMZACDKHRFQK-WUUXGELPSA-N 0 3 308.425 4.041 20 0 DIADHN CCC[C@@H](C)CCCN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001307951119 1065698043 /nfs/dbraw/zinc/69/80/43/1065698043.db2.gz NDMZRKOQQIKQFV-OAHLLOKOSA-N 0 3 303.494 4.349 20 0 DIADHN Fc1ccc([C@@H]2CCCN(C[C@H]3CC[C@@H](C4CC4)O3)C2)cc1F ZINC001307956287 1065698795 /nfs/dbraw/zinc/69/87/95/1065698795.db2.gz NSYAVVHIYGFAIU-MDZRGWNJSA-N 0 3 321.411 4.102 20 0 DIADHN CCCOc1cccc(CN2CC[C@@H](OCCC(C)C)C2)c1 ZINC001307968397 1065703466 /nfs/dbraw/zinc/70/34/66/1065703466.db2.gz JZROVJCGJVAATO-LJQANCHMSA-N 0 3 305.462 4.112 20 0 DIADHN C[C@]12CCCC[C@H]1CN2CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001307977829 1065708791 /nfs/dbraw/zinc/70/87/91/1065708791.db2.gz WHQGCBZVKQKUMI-FQLMCAECSA-N 0 3 317.395 4.189 20 0 DIADHN Cc1cccc([C@H](NCC(=O)N(C)[C@@H](C)CC(C)C)C(C)C)c1 ZINC001307986472 1065711837 /nfs/dbraw/zinc/71/18/37/1065711837.db2.gz AJJZZLZGBKUXLX-FXAWDEMLSA-N 0 3 318.505 4.175 20 0 DIADHN Cc1cncc([C@H]2CCCN2Cc2ccc3cnccc3c2)c1 ZINC001308018611 1065726792 /nfs/dbraw/zinc/72/67/92/1065726792.db2.gz BUWODEWGMQLPDY-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc([C@@H](O)CN2CCc3ccc(Cl)c(C)c3C2)cc1 ZINC001308021776 1065729348 /nfs/dbraw/zinc/72/93/48/1065729348.db2.gz ANLWPXIYFKFEGW-IBGZPJMESA-N 0 3 315.844 4.049 20 0 DIADHN C[C@@]1(C2CCCCC2)CCN1C[C@H](O)c1c(F)cccc1F ZINC001308025969 1065729771 /nfs/dbraw/zinc/72/97/71/1065729771.db2.gz FRZAPDAXNNMNPT-WMZOPIPTSA-N 0 3 309.400 4.043 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CC[C@@]2(C)C2CCCCC2)c1 ZINC001308026771 1065729852 /nfs/dbraw/zinc/72/98/52/1065729852.db2.gz PQFVDEFAUZSEQD-IBGZPJMESA-N 0 3 319.420 4.157 20 0 DIADHN Cc1nocc1CN1CC[C@@H](c2ccccc2Cl)C1(C)C ZINC001308036984 1065735818 /nfs/dbraw/zinc/73/58/18/1065735818.db2.gz WLLGYKKDLLLADE-HNNXBMFYSA-N 0 3 304.821 4.405 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001308039251 1065736883 /nfs/dbraw/zinc/73/68/83/1065736883.db2.gz JZCKNSPSWPQPII-UTKZUKDTSA-N 0 3 306.453 4.053 20 0 DIADHN Cc1cnc(CN2CC[C@H](c3cccc(Cl)c3)C2(C)C)cn1 ZINC001308053140 1065742882 /nfs/dbraw/zinc/74/28/82/1065742882.db2.gz PBZAJQMSVWFOPC-QGZVFWFLSA-N 0 3 315.848 4.207 20 0 DIADHN CC/C=C/CCN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001308059051 1065745034 /nfs/dbraw/zinc/74/50/34/1065745034.db2.gz VMXMNVORCKRCLH-ZMBJWTFHSA-N 0 3 304.453 4.251 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCN(CCCC2CC2)CC1 ZINC001308056736 1065745235 /nfs/dbraw/zinc/74/52/35/1065745235.db2.gz JPTQVNOMCXTZGD-IBGZPJMESA-N 0 3 304.453 4.085 20 0 DIADHN CC[C@@H](NCc1cncc(OC)c1)c1cccc2ccccc21 ZINC001308085393 1065755047 /nfs/dbraw/zinc/75/50/47/1065755047.db2.gz ONMSMQWATYVMPM-HXUWFJFHSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@]1(C)CCOc2ccccc21 ZINC001308101374 1065762219 /nfs/dbraw/zinc/76/22/19/1065762219.db2.gz XBZYBWROANKTLZ-GOSISDBHSA-N 0 3 301.817 4.436 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@H](C2CCOCC2)C1 ZINC001308103892 1065764406 /nfs/dbraw/zinc/76/44/06/1065764406.db2.gz USHJVEWOFPBVIU-HIFRSBDPSA-N 0 3 309.400 4.164 20 0 DIADHN COc1ccc(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)cc1 ZINC001308116406 1065770222 /nfs/dbraw/zinc/77/02/22/1065770222.db2.gz DACVPLRYQINONG-NRFANRHFSA-N 0 3 321.420 4.136 20 0 DIADHN COc1ccc(CN2CCC(c3cc(C)ccc3C)CC2)cn1 ZINC001308136678 1065775621 /nfs/dbraw/zinc/77/56/21/1065775621.db2.gz PMJQCMCRVSJTRW-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN COc1cc(C)nc(CN2CCC(c3cc(C)ccc3C)CC2)c1 ZINC001308133899 1065776441 /nfs/dbraw/zinc/77/64/41/1065776441.db2.gz CPSAZDCTKBSVAQ-UHFFFAOYSA-N 0 3 324.468 4.395 20 0 DIADHN CCC[C@](C)(NCc1cc(CO)ccc1F)c1ccccc1 ZINC001308153061 1065782964 /nfs/dbraw/zinc/78/29/64/1065782964.db2.gz DPPGADGCYVKDMU-IBGZPJMESA-N 0 3 301.405 4.123 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(CCO[C@@H]1CCCC[C@@H]1C)C2 ZINC001308173885 1065789515 /nfs/dbraw/zinc/78/95/15/1065789515.db2.gz PMRUUPKHZIARJU-OXJNMPFZSA-N 0 3 301.474 4.257 20 0 DIADHN CCOC(=O)[C@@H]1[C@H]2CC[C@H](C2)N1CC1CCC(C(C)(C)C)CC1 ZINC001308177946 1065790429 /nfs/dbraw/zinc/79/04/29/1065790429.db2.gz XEEYLSIUKMGAFU-WCUWXVSDSA-N 0 3 321.505 4.255 20 0 DIADHN CC(C)CC[C@H](C)NCc1ncc(Br)cc1Cl ZINC001308178977 1065791982 /nfs/dbraw/zinc/79/19/82/1065791982.db2.gz GRNASYJJDHPIOP-JTQLQIEISA-N 0 3 319.674 4.412 20 0 DIADHN CC(C)COCCCNCc1ccc(Oc2ccccc2)o1 ZINC001308186677 1065797520 /nfs/dbraw/zinc/79/75/20/1065797520.db2.gz IODSZAFRBBNYBB-UHFFFAOYSA-N 0 3 303.402 4.224 20 0 DIADHN CC(C)COCCCNCc1ccc(F)c(Cl)c1Cl ZINC001308187269 1065797668 /nfs/dbraw/zinc/79/76/68/1065797668.db2.gz ZXCRQJOCFMMLSW-UHFFFAOYSA-N 0 3 308.224 4.285 20 0 DIADHN Clc1ccc(Br)c(CNC2CCCCCC2)n1 ZINC001308187645 1065799579 /nfs/dbraw/zinc/79/95/79/1065799579.db2.gz YFGVEEAQFMLBRO-UHFFFAOYSA-N 0 3 317.658 4.310 20 0 DIADHN CN(CCNCc1ccccc1OC1CCCC1)c1ccccc1 ZINC001308195218 1065803418 /nfs/dbraw/zinc/80/34/18/1065803418.db2.gz TULUTOAYWGSTBI-UHFFFAOYSA-N 0 3 324.468 4.234 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1cccc2c1OC(C)(C)C2 ZINC001308200863 1065810453 /nfs/dbraw/zinc/81/04/53/1065810453.db2.gz OYWNKXSHRCANTH-MAUKXSAKSA-N 0 3 317.473 4.085 20 0 DIADHN c1ccc(C2CC2)c(CNCc2ccc(N3CCCCC3)nc2)c1 ZINC001308204217 1065813622 /nfs/dbraw/zinc/81/36/22/1065813622.db2.gz PYFXMIPPMSZTJU-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN CC[C@@H](CNCc1cc(F)ccc1OC)Oc1cccc(C)c1 ZINC001308206783 1065815547 /nfs/dbraw/zinc/81/55/47/1065815547.db2.gz WBRSEIAQWOVVLO-KRWDZBQOSA-N 0 3 317.404 4.090 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H](C)c2cccc(OC)c2)c1 ZINC001308215491 1065821705 /nfs/dbraw/zinc/82/17/05/1065821705.db2.gz BBTFNLLLSCJZOO-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN Cc1ccccc1OCCNCc1cc(Cl)ccc1Cl ZINC001308219231 1065826912 /nfs/dbraw/zinc/82/69/12/1065826912.db2.gz ZSSNYQMXSGOOES-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN Cc1cc(C)cc(OCCNCc2cccc(Cl)c2F)c1 ZINC001308220565 1065829001 /nfs/dbraw/zinc/82/90/01/1065829001.db2.gz FVVBQBWBPIAAJC-UHFFFAOYSA-N 0 3 307.796 4.265 20 0 DIADHN CCCCOc1ccccc1CNC[C@H](OC)c1ccccc1 ZINC001308223290 1065830455 /nfs/dbraw/zinc/83/04/55/1065830455.db2.gz NZTBZCFOKWGYLE-FQEVSTJZSA-N 0 3 313.441 4.343 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc([C@@H](C)O)cc1 ZINC001308224625 1065831190 /nfs/dbraw/zinc/83/11/90/1065831190.db2.gz MGBIILFLTYIMJZ-CQSZACIVSA-N 0 3 309.409 4.338 20 0 DIADHN Cc1csc(CNCCCc2cccc(C(F)(F)F)c2)n1 ZINC001308225690 1065834995 /nfs/dbraw/zinc/83/49/95/1065834995.db2.gz BYQCMACNURBQFF-UHFFFAOYSA-N 0 3 314.376 4.193 20 0 DIADHN C[C@H]1Cc2cc(CNCc3ccccc3C(F)(F)F)ccc2O1 ZINC001308231054 1065838608 /nfs/dbraw/zinc/83/86/08/1065838608.db2.gz HBSGZQYORVDPGV-LBPRGKRZSA-N 0 3 321.342 4.319 20 0 DIADHN CC(C)OCc1ccccc1CNCc1ccc2ncccc2c1 ZINC001308232771 1065839471 /nfs/dbraw/zinc/83/94/71/1065839471.db2.gz YXYQGJCGNCDIKF-UHFFFAOYSA-N 0 3 320.436 4.450 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccc(F)c1N)c1ccc(F)cc1 ZINC001308235165 1065840701 /nfs/dbraw/zinc/84/07/01/1065840701.db2.gz ISEPHFHXQRVXCS-KRWDZBQOSA-N 0 3 304.384 4.424 20 0 DIADHN CC[C@@H](C)NC(=O)[C@@H](C)NCc1ccccc1-c1ccccc1C ZINC001308236356 1065843033 /nfs/dbraw/zinc/84/30/33/1065843033.db2.gz XQMMEQQYCUJOMH-IAGOWNOFSA-N 0 3 324.468 4.055 20 0 DIADHN COCc1cccc(CN[C@H]2CCc3c2cccc3Cl)c1 ZINC001308243685 1065848979 /nfs/dbraw/zinc/84/89/79/1065848979.db2.gz QULSHADUKNVYOI-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN Cc1ncc(CNCC2(c3ccc(C(C)(C)C)cc3)CCC2)o1 ZINC001308254738 1065858916 /nfs/dbraw/zinc/85/89/16/1065858916.db2.gz KKGSSLFQGMGJHW-UHFFFAOYSA-N 0 3 312.457 4.492 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001308266744 1065866246 /nfs/dbraw/zinc/86/62/46/1065866246.db2.gz WPTIIYRMSJRXKH-IINYFYTJSA-N 0 3 323.786 4.246 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc3c(s2)CCCC3)c(C)c1 ZINC001308269185 1065867062 /nfs/dbraw/zinc/86/70/62/1065867062.db2.gz KRESMIUUVKDYHB-HNNXBMFYSA-N 0 3 314.498 4.360 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)cn1 ZINC001308267530 1065867712 /nfs/dbraw/zinc/86/77/12/1065867712.db2.gz ZKRTYNYYRBVFPM-AFAVFJNCSA-N 0 3 303.837 4.043 20 0 DIADHN COc1ccc(F)c(CNCCOc2ccccc2C(C)C)c1 ZINC001308274086 1065871825 /nfs/dbraw/zinc/87/18/25/1065871825.db2.gz HPMYEBZIRINRMA-UHFFFAOYSA-N 0 3 317.404 4.126 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H]2CCCc3ccccc3C2)o1 ZINC001308276794 1065877104 /nfs/dbraw/zinc/87/71/04/1065877104.db2.gz YJBQNHFMAGZAPJ-HKUYNNGSSA-N 0 3 313.441 4.067 20 0 DIADHN Cc1ccnc(CNC2C[C@@H](C)C[C@H](C)C2)c1Br ZINC001308278772 1065879401 /nfs/dbraw/zinc/87/94/01/1065879401.db2.gz HHIZEDQRNBCTMD-QWRGUYRKSA-N 0 3 311.267 4.067 20 0 DIADHN CC[C@H](NCc1ccc(F)c(Br)c1)c1ccncc1 ZINC001308282755 1065880050 /nfs/dbraw/zinc/88/00/50/1065880050.db2.gz MZQNOYNEEOSRFD-HNNXBMFYSA-N 0 3 323.209 4.224 20 0 DIADHN Cc1cccc(CNC[C@@H](C)Oc2ccc(Cl)cc2)c1F ZINC001308283707 1065882831 /nfs/dbraw/zinc/88/28/31/1065882831.db2.gz BUAVAYWGKZYBIG-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ccc(F)cc1CNCc1cc(N(C)C)nc2ccccc12 ZINC001308284636 1065882884 /nfs/dbraw/zinc/88/28/84/1065882884.db2.gz LWGHHBPHIOIRTB-UHFFFAOYSA-N 0 3 323.415 4.038 20 0 DIADHN Clc1cccc(N2CC[C@@H](NCc3ccccc3Cl)C2)c1 ZINC001308296654 1065893076 /nfs/dbraw/zinc/89/30/76/1065893076.db2.gz IHSMVAULTZTSMG-OAHLLOKOSA-N 0 3 321.251 4.362 20 0 DIADHN COc1ccc([C@H](C)NC/C=C/c2ccc(F)cc2F)cc1F ZINC001308298615 1065894760 /nfs/dbraw/zinc/89/47/60/1065894760.db2.gz UXDQCZDMMFDESG-PCAWENJQSA-N 0 3 321.342 4.477 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccncc3s2)cc1F ZINC001308298811 1065895483 /nfs/dbraw/zinc/89/54/83/1065895483.db2.gz YZBZXDLZSAHWIT-LLVKDONJSA-N 0 3 316.401 4.295 20 0 DIADHN C[C@]1(CNCc2cccc(Cl)c2Cl)CCCC[C@@H]1O ZINC001308302218 1065896232 /nfs/dbraw/zinc/89/62/32/1065896232.db2.gz WKAHIQLJIPXNBK-DZGCQCFKSA-N 0 3 302.245 4.024 20 0 DIADHN C[C@]1(CNCc2ccc(Oc3ccccc3)o2)CCCC[C@@H]1O ZINC001308301803 1065896963 /nfs/dbraw/zinc/89/69/63/1065896963.db2.gz IQXQNIWCMPAIII-PKOBYXMFSA-N 0 3 315.413 4.103 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc2c(s1)C[C@H](C)CC2 ZINC001308303462 1065898885 /nfs/dbraw/zinc/89/88/85/1065898885.db2.gz OJTPUPDDFFXNIV-UMVBOHGHSA-N 0 3 324.559 4.422 20 0 DIADHN Cc1csc(CNCCc2ccc(-c3ccccc3)cc2)n1 ZINC001308305355 1065900603 /nfs/dbraw/zinc/90/06/03/1065900603.db2.gz AMZHWWVSQNRQQA-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1csc(-c2ccco2)n1 ZINC001308306804 1065900968 /nfs/dbraw/zinc/90/09/68/1065900968.db2.gz RPSGZEGHEZAFDK-UKRRQHHQSA-N 0 3 302.443 4.485 20 0 DIADHN COc1cccc2c(CNCc3ccc(C)cc3OC)cccc12 ZINC001308308954 1065902958 /nfs/dbraw/zinc/90/29/58/1065902958.db2.gz DDTFIMWIUDPDAM-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN CC(C)c1nc(CNc2ccc3c(c2)CN(C)C3)c2ccccn21 ZINC001308319927 1065910957 /nfs/dbraw/zinc/91/09/57/1065910957.db2.gz FNGPMYVFDDQBAF-UHFFFAOYSA-N 0 3 320.440 4.015 20 0 DIADHN CC(C)(CNCc1nnc(-c2ccccc2)s1)c1ccccc1 ZINC001308326760 1065915391 /nfs/dbraw/zinc/91/53/91/1065915391.db2.gz UOTMOGDGSOHRFI-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2[C@@H]3c4ccccc4C[C@H]23)n1 ZINC001308327515 1065916804 /nfs/dbraw/zinc/91/68/04/1065916804.db2.gz QKJRVNLJXGRBQZ-IIDMSEBBSA-N 0 3 312.482 4.116 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN[C@@H]1CCCC(F)(F)C1 ZINC001308330955 1065917631 /nfs/dbraw/zinc/91/76/31/1065917631.db2.gz QHDQOXAZQCTVJB-SNVBAGLBSA-N 0 3 309.278 4.095 20 0 DIADHN Cc1csc(CN[C@@H](C)Cc2ccccc2C(F)(F)F)n1 ZINC001308345308 1065929333 /nfs/dbraw/zinc/92/93/33/1065929333.db2.gz NSRIMNGZDMKWIO-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CC[C@H]2C)c(OC)c1 ZINC001308348301 1065931491 /nfs/dbraw/zinc/93/14/91/1065931491.db2.gz APSSWVUZESGUNY-VLIAUNLRSA-N 0 3 311.425 4.117 20 0 DIADHN C[C@@H](NCc1cnn(-c2ccccc2)c1)c1ccc2c(c1)CCC2 ZINC001308356697 1065936266 /nfs/dbraw/zinc/93/62/66/1065936266.db2.gz JARPXXFBIRPXOG-MRXNPFEDSA-N 0 3 317.436 4.212 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc[nH]2)cc1OCC ZINC001308358588 1065937479 /nfs/dbraw/zinc/93/74/79/1065937479.db2.gz KCSDVCVHYOHWSG-AWEZNQCLSA-N 0 3 302.418 4.053 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CNCc1cc(Cl)sc1Cl ZINC001308359175 1065937921 /nfs/dbraw/zinc/93/79/21/1065937921.db2.gz VBDLNAVINRUONI-NSHDSACASA-N 0 3 310.290 4.188 20 0 DIADHN C[C@H](NCc1scnc1C1CC1)c1ccc(-n2ccnc2)cc1 ZINC001308360971 1065939344 /nfs/dbraw/zinc/93/93/44/1065939344.db2.gz UJKMUFMSZUMCLY-ZDUSSCGKSA-N 0 3 324.453 4.057 20 0 DIADHN CN(C)c1ccc(F)cc1CN[C@H]1CCCc2sccc21 ZINC001308362080 1065940477 /nfs/dbraw/zinc/94/04/77/1065940477.db2.gz HWNZXNIPZVVQDU-HNNXBMFYSA-N 0 3 304.434 4.120 20 0 DIADHN Cc1ccc(CNC[C@](C)(O)c2ccccc2Cl)c(Cl)c1 ZINC001308361813 1065940621 /nfs/dbraw/zinc/94/06/21/1065940621.db2.gz CYSZBAPRVSSSST-KRWDZBQOSA-N 0 3 324.251 4.299 20 0 DIADHN CC(C)Cc1ccc(CN[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC001308363048 1065941180 /nfs/dbraw/zinc/94/11/80/1065941180.db2.gz VFVSURKXLLGFBA-OAQYLSRUSA-N 0 3 308.469 4.161 20 0 DIADHN CCCn1cc(CN[C@@H](C)c2ccc3c(c2)CCCC3)c(C)n1 ZINC001308367462 1065944739 /nfs/dbraw/zinc/94/47/39/1065944739.db2.gz XTPRROUFIWIUKM-HNNXBMFYSA-N 0 3 311.473 4.331 20 0 DIADHN CSc1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC001308375752 1065949028 /nfs/dbraw/zinc/94/90/28/1065949028.db2.gz BQDMSHJVNYDGFT-UHFFFAOYSA-N 0 3 309.438 4.088 20 0 DIADHN COc1cncc(CN[C@@H]2CCc3ccc(C(C)C)cc32)c1C ZINC001308379486 1065952072 /nfs/dbraw/zinc/95/20/72/1065952072.db2.gz ATRFJEPHJZHEEA-LJQANCHMSA-N 0 3 310.441 4.299 20 0 DIADHN Brc1ccc(/C=C\CNCc2ccsc2)cc1 ZINC001308415879 1065973024 /nfs/dbraw/zinc/97/30/24/1065973024.db2.gz DCBDSZGLMRRZFQ-UPHRSURJSA-N 0 3 308.244 4.314 20 0 DIADHN CCOc1cc(F)cc(CNC[C@H](OCC)c2ccccc2)c1 ZINC001308388153 1065956504 /nfs/dbraw/zinc/95/65/04/1065956504.db2.gz JWERWCQLDCVADV-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN Cc1cccc(CCNCc2ccc(Cl)c(C)c2Cl)n1 ZINC001308393420 1065960252 /nfs/dbraw/zinc/96/02/52/1065960252.db2.gz UNJCZOBZSCEGKN-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN CCc1ccc(CNCCn2ccc3cc(Cl)ccc32)o1 ZINC001308401567 1065963024 /nfs/dbraw/zinc/96/30/24/1065963024.db2.gz QQCUJFVABFFTRF-UHFFFAOYSA-N 0 3 302.805 4.240 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cnn3ccccc23)c(C)c1 ZINC001308401860 1065965888 /nfs/dbraw/zinc/96/58/88/1065965888.db2.gz VWKCXIFEJFVNAO-SREVYHEPSA-N 0 3 305.425 4.063 20 0 DIADHN CC(C)(c1ccccc1)C1(NCc2nc3c(s2)CCC3)CC1 ZINC001308403880 1065966724 /nfs/dbraw/zinc/96/67/24/1065966724.db2.gz XUGKADDQXMRDIF-UHFFFAOYSA-N 0 3 312.482 4.232 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H](Cc1ccccc1)OC ZINC001308410523 1065969393 /nfs/dbraw/zinc/96/93/93/1065969393.db2.gz JVJSKURFLOBTSH-QGZVFWFLSA-N 0 3 317.860 4.250 20 0 DIADHN CC(C)[C@H]1C[C@H](CNCc2c(F)ccc(F)c2Cl)CCO1 ZINC001308411537 1065969559 /nfs/dbraw/zinc/96/95/59/1065969559.db2.gz SBEGNGPCKMPNLR-IAQYHMDHSA-N 0 3 317.807 4.159 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CNCc2c(F)ccc(F)c2Cl)CCO1 ZINC001308411538 1065969869 /nfs/dbraw/zinc/96/98/69/1065969869.db2.gz SBEGNGPCKMPNLR-NHYWBVRUSA-N 0 3 317.807 4.159 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CNC/C=C\C1CC1 ZINC001308415262 1065972715 /nfs/dbraw/zinc/97/27/15/1065972715.db2.gz VGXWWJGJYNLLEB-FPLPWBNLSA-N 0 3 309.457 4.226 20 0 DIADHN CCC(CC)(CNCc1sc(C)cc1Br)OC ZINC001308420225 1065976238 /nfs/dbraw/zinc/97/62/38/1065976238.db2.gz COYFNXXDJOJQPU-UHFFFAOYSA-N 0 3 320.296 4.114 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H]3CCCc4c(F)cccc43)ccc2O1 ZINC001308420707 1065976505 /nfs/dbraw/zinc/97/65/05/1065976505.db2.gz KHGZLDVUGBEDCJ-YJYMSZOUSA-N 0 3 311.400 4.316 20 0 DIADHN C[C@@]1(NCc2cnn(Cc3ccccc3)c2Cl)CC=CCC1 ZINC001308433229 1065984233 /nfs/dbraw/zinc/98/42/33/1065984233.db2.gz IORVPDLMYJXBTI-GOSISDBHSA-N 0 3 315.848 4.173 20 0 DIADHN CC(C)(CNCc1ccc(Oc2ccccc2)o1)OCC1CC1 ZINC001308439763 1065988817 /nfs/dbraw/zinc/98/88/17/1065988817.db2.gz VVNZEYPMVGLQAI-UHFFFAOYSA-N 0 3 315.413 4.367 20 0 DIADHN Clc1cccc(Cl)c1CCCNCc1cn(C2CC2)cn1 ZINC001308449539 1065995555 /nfs/dbraw/zinc/99/55/55/1065995555.db2.gz AERKYTWOUAGOCB-UHFFFAOYSA-N 0 3 324.255 4.247 20 0 DIADHN C[C@H]([NH2+]Cc1cc([O-])cc(Cl)c1)C1CCC(F)(F)CC1 ZINC001308449530 1065996059 /nfs/dbraw/zinc/99/60/59/1065996059.db2.gz ACICOPYKSGSBNV-JTQLQIEISA-N 0 3 303.780 4.349 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001308451415 1065997097 /nfs/dbraw/zinc/99/70/97/1065997097.db2.gz OOQZVXUKIQZURP-QGZVFWFLSA-N 0 3 303.446 4.055 20 0 DIADHN CC[C@@H](OCCNCc1ccc(F)c(F)c1)c1ccccc1 ZINC001308455541 1065998831 /nfs/dbraw/zinc/99/88/31/1065998831.db2.gz MMDZQIIJNMTAKF-GOSISDBHSA-N 0 3 305.368 4.222 20 0 DIADHN O[C@@H](CNCc1ccccc1Cl)c1cccc2ccccc21 ZINC001308461564 1066003002 /nfs/dbraw/zinc/00/30/02/1066003002.db2.gz YBRSBCDFGPKQSH-IBGZPJMESA-N 0 3 311.812 4.316 20 0 DIADHN C[C@H]1CCC[C@H](CNCc2cc(Cl)ccc2OCC2CC2)O1 ZINC001308468026 1066008441 /nfs/dbraw/zinc/00/84/41/1066008441.db2.gz IFHIXGQWMSZOQU-SUMWQHHRSA-N 0 3 323.864 4.176 20 0 DIADHN CC1(C)CCC[C@@H]1CCNCc1cccnc1Br ZINC001308473481 1066012124 /nfs/dbraw/zinc/01/21/24/1066012124.db2.gz HKDIPRZJSCVLCQ-CYBMUJFWSA-N 0 3 311.267 4.150 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cnc(Cl)c(F)c1 ZINC001308476787 1066014954 /nfs/dbraw/zinc/01/49/54/1066014954.db2.gz UBLIORZDAJSCAA-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)C1CCCC1 ZINC001308476618 1066016177 /nfs/dbraw/zinc/01/61/77/1066016177.db2.gz POKSYFLAXUZGGL-IBGZPJMESA-N 0 3 317.404 4.381 20 0 DIADHN CCCCn1nc(C)c(CN[C@H]2CC23CCCCC3)c1Cl ZINC001308477628 1066016729 /nfs/dbraw/zinc/01/67/29/1066016729.db2.gz KHRODWJMSVKACU-HNNXBMFYSA-N 0 3 309.885 4.457 20 0 DIADHN Cc1ccccc1-c1cccc(CNCc2ccnc(F)c2)c1 ZINC001308480862 1066018087 /nfs/dbraw/zinc/01/80/87/1066018087.db2.gz DLFYQFQLDKAXST-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN Cc1scc(CNCc2cc3ccc(F)cc3[nH]c2=O)c1C ZINC001308487156 1066022651 /nfs/dbraw/zinc/02/26/51/1066022651.db2.gz YLQFNGIJZSODKC-UHFFFAOYSA-N 0 3 316.401 4.048 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](C)c3cc4cnccc4o3)cc21 ZINC001308498674 1066029221 /nfs/dbraw/zinc/02/92/21/1066029221.db2.gz BQDHZZMRIDNXFQ-CYBMUJFWSA-N 0 3 305.381 4.468 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCc2ccns2)o1 ZINC001308503880 1066031824 /nfs/dbraw/zinc/03/18/24/1066031824.db2.gz UXHVQEUJQUCCQI-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN CC(C)COc1ccccc1CNC[C@@H]1CCC(C)(C)CO1 ZINC001308503615 1066032997 /nfs/dbraw/zinc/03/29/97/1066032997.db2.gz YHWNEZYKEUJYGL-KRWDZBQOSA-N 0 3 305.462 4.016 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCCc3ccccc3C2)c(F)c1 ZINC001308512857 1066038769 /nfs/dbraw/zinc/03/87/69/1066038769.db2.gz IXXQWDHYEDPTED-HNNXBMFYSA-N 0 3 305.343 4.141 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cccc(Br)c1Cl ZINC001308525290 1066045035 /nfs/dbraw/zinc/04/50/35/1066045035.db2.gz FWVLOJINVRZSPQ-GMTLJSCSSA-N 0 3 314.654 4.237 20 0 DIADHN c1cc(-c2nc(CNCC[C@@H]3CC[C@H]4C[C@H]43)cs2)cs1 ZINC001308523102 1066045600 /nfs/dbraw/zinc/04/56/00/1066045600.db2.gz HCTUOKAAODOGHI-HUBLWGQQSA-N 0 3 304.484 4.397 20 0 DIADHN CC(C)(/C=C\Cl)NCc1ccc(OCc2ccncc2)cc1 ZINC001308527308 1066047590 /nfs/dbraw/zinc/04/75/90/1066047590.db2.gz DZRBTWUBKDXWBO-KTKRTIGZSA-N 0 3 316.832 4.281 20 0 DIADHN CC(C)Oc1ccc(CN[C@@]2(C)CCOc3ccccc32)cc1 ZINC001308531942 1066051489 /nfs/dbraw/zinc/05/14/89/1066051489.db2.gz PBAGYHJKCXSVRU-FQEVSTJZSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@H]2CCC(C)(C)CO2)n1 ZINC001308535308 1066052821 /nfs/dbraw/zinc/05/28/21/1066052821.db2.gz FFCKQMIMQAGQNT-CYBMUJFWSA-N 0 3 310.507 4.126 20 0 DIADHN CC(C)(CNCc1c(F)cccc1OC(F)(F)F)C1CC1 ZINC001308536413 1066054798 /nfs/dbraw/zinc/05/47/98/1066054798.db2.gz GFBQAVYJRSNFKG-UHFFFAOYSA-N 0 3 305.315 4.250 20 0 DIADHN Fc1ccc(COc2cccc(CNC/C=C/Cl)c2)cc1 ZINC001308542910 1066059413 /nfs/dbraw/zinc/05/94/13/1066059413.db2.gz FVHDPIVRMSPWRJ-XNWCZRBMSA-N 0 3 305.780 4.247 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2COC[C@@H]2C2CC2)o1 ZINC001308546288 1066060138 /nfs/dbraw/zinc/06/01/38/1066060138.db2.gz ADPZXCQKRMXHMK-NVXWUHKLSA-N 0 3 317.816 4.115 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@H]2COC[C@@H]2C2CC2)o1 ZINC001308546290 1066060341 /nfs/dbraw/zinc/06/03/41/1066060341.db2.gz ADPZXCQKRMXHMK-WBVHZDCISA-N 0 3 317.816 4.115 20 0 DIADHN C[C@H](NC/C=C\c1cccc(F)c1)c1cnn(CC2CCC2)c1 ZINC001308546672 1066061181 /nfs/dbraw/zinc/06/11/81/1066061181.db2.gz DZWRUBADVMFFOH-UVCVVPOWSA-N 0 3 313.420 4.186 20 0 DIADHN C[C@@H](NCc1cc2ccccc2[nH]1)c1cc(F)ccc1N(C)C ZINC001308554155 1066065204 /nfs/dbraw/zinc/06/52/04/1066065204.db2.gz FVCSPDBNDVBWPL-CYBMUJFWSA-N 0 3 311.404 4.224 20 0 DIADHN C[C@H](NCc1cccc2c1CCC2)c1cc(F)ccc1N(C)C ZINC001308556246 1066067548 /nfs/dbraw/zinc/06/75/48/1066067548.db2.gz YFSIPZDYBMZLMN-AWEZNQCLSA-N 0 3 312.432 4.231 20 0 DIADHN COc1ccc(CN2CCc3ccccc3[C@H]2C)c(C)c1OC ZINC001308561274 1066068737 /nfs/dbraw/zinc/06/87/37/1066068737.db2.gz OYSONEWEUWXXIA-OAHLLOKOSA-N 0 3 311.425 4.132 20 0 DIADHN CSC[C@H]1CCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001308561595 1066069423 /nfs/dbraw/zinc/06/94/23/1066069423.db2.gz FKLPBQUFFSISHA-LLVKDONJSA-N 0 3 312.891 4.277 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1nccn1C1CC1 ZINC001308563923 1066073530 /nfs/dbraw/zinc/07/35/30/1066073530.db2.gz AGBZUVZRDVZJCD-GOSISDBHSA-N 0 3 311.473 4.370 20 0 DIADHN COc1ccc(F)c(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)c1 ZINC001308565094 1066075971 /nfs/dbraw/zinc/07/59/71/1066075971.db2.gz PTNDATBNYDGKEF-DNVCBOLYSA-N 0 3 313.416 4.460 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1N(C)Cc1ccc(CC(C)C)nc1 ZINC001308571373 1066081543 /nfs/dbraw/zinc/08/15/43/1066081543.db2.gz RMBRVHLOEDIQNQ-OALUTQOASA-N 0 3 318.505 4.306 20 0 DIADHN C[C@H]1COCCCN1Cc1cccc(Oc2ccc(F)cc2)c1 ZINC001308572393 1066083518 /nfs/dbraw/zinc/08/35/18/1066083518.db2.gz BNKZGCKOEPFDEK-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccc3ccc(F)c(F)c3n2)C1 ZINC001308573230 1066087294 /nfs/dbraw/zinc/08/72/94/1066087294.db2.gz DHJRYGKHKVHFAI-CQSZACIVSA-N 0 3 322.424 4.231 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001308576961 1066091110 /nfs/dbraw/zinc/09/11/10/1066091110.db2.gz HBAPZQKUVSOQQH-FZMZJTMJSA-N 0 3 321.408 4.402 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001308576959 1066091310 /nfs/dbraw/zinc/09/13/10/1066091310.db2.gz HBAPZQKUVSOQQH-BXUZGUMPSA-N 0 3 321.408 4.402 20 0 DIADHN C=Cn1cc(CN2CCCCC[C@H]2/C=C/c2ccccc2)cn1 ZINC001308581726 1066095463 /nfs/dbraw/zinc/09/54/63/1066095463.db2.gz FHSNPEAHPPUBNN-SJFMBGHLSA-N 0 3 307.441 4.442 20 0 DIADHN Cc1noc2ncc(CN(C)Cc3cccc4ccccc43)cc12 ZINC001308580439 1066096346 /nfs/dbraw/zinc/09/63/46/1066096346.db2.gz PMFGJDRKCQZQRD-UHFFFAOYSA-N 0 3 317.392 4.316 20 0 DIADHN COc1ccc(CN2CCC(OC(C)C)CC2)cc1C(C)(C)C ZINC001308580684 1066096399 /nfs/dbraw/zinc/09/63/99/1066096399.db2.gz XCRHXXXBUBSKKT-UHFFFAOYSA-N 0 3 319.489 4.382 20 0 DIADHN C=Cn1cc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)cn1 ZINC001308581725 1066096677 /nfs/dbraw/zinc/09/66/77/1066096677.db2.gz FHSNPEAHPPUBNN-GHYICZDASA-N 0 3 307.441 4.442 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H]2CCCC[C@H]2C)c(C)c1 ZINC001308579442 1066097654 /nfs/dbraw/zinc/09/76/54/1066097654.db2.gz ARIQHGAITAHZMT-QAPCUYQASA-N 0 3 316.489 4.308 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN1CCC[C@H]1C1CC1 ZINC001308582456 1066098446 /nfs/dbraw/zinc/09/84/46/1066098446.db2.gz CWXPUOOHWIDWEB-HNNXBMFYSA-N 0 3 313.272 4.434 20 0 DIADHN C[C@@H]1CCCN(Cc2ccc(Sc3ccccn3)o2)CC1 ZINC001308590567 1066102802 /nfs/dbraw/zinc/10/28/02/1066102802.db2.gz XLDYTLQEVLLXIV-CQSZACIVSA-N 0 3 302.443 4.448 20 0 DIADHN CCCC1CCN(Cc2ccc(OC)c(OC3CC3)c2)CC1 ZINC001308594461 1066107138 /nfs/dbraw/zinc/10/71/38/1066107138.db2.gz LLGOBBORGLWPTD-UHFFFAOYSA-N 0 3 303.446 4.249 20 0 DIADHN Fc1cccc(/C=C/CN2CCC(OC3CCCC3)CC2)c1 ZINC001308597199 1066108259 /nfs/dbraw/zinc/10/82/59/1066108259.db2.gz BQKRELAULYNRHL-GQCTYLIASA-N 0 3 303.421 4.263 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@@H]2CCCC(C)(C)C2)c1C ZINC001308598461 1066109026 /nfs/dbraw/zinc/10/90/26/1066109026.db2.gz PQUVIYXACKSKGQ-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@H]([C@@H]3CCOC3)C2)c1Cl ZINC001308600162 1066110173 /nfs/dbraw/zinc/11/01/73/1066110173.db2.gz XNEPFGPPRPETMD-GXTWGEPZSA-N 0 3 314.256 4.160 20 0 DIADHN C[C@H]1CCCC[C@@H]1CN1CCN(c2ccccc2Cl)CC1 ZINC001308601094 1066110971 /nfs/dbraw/zinc/11/09/71/1066110971.db2.gz PGRHUCFBDHVCJU-JKSUJKDBSA-N 0 3 306.881 4.288 20 0 DIADHN CCCc1ccc(CN(C)CCOCc2ccccc2)s1 ZINC001308603987 1066111816 /nfs/dbraw/zinc/11/18/16/1066111816.db2.gz QONHBIKTAFYMCV-UHFFFAOYSA-N 0 3 303.471 4.349 20 0 DIADHN CC1CCC(N(C)Cc2ccnn2CCc2ccccc2)CC1 ZINC001308601740 1066111935 /nfs/dbraw/zinc/11/19/35/1066111935.db2.gz NTQUQKODSBGIII-UHFFFAOYSA-N 0 3 311.473 4.136 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001308605525 1066113525 /nfs/dbraw/zinc/11/35/25/1066113525.db2.gz ORLRLZZBBOMTPO-SWLSCSKDSA-N 0 3 315.848 4.319 20 0 DIADHN CC(C)(C)c1ccc([C@@H]2CCCN2Cc2cccnc2N)cc1 ZINC001308608445 1066114445 /nfs/dbraw/zinc/11/44/45/1066114445.db2.gz TWVPEESKASCTMK-SFHVURJKSA-N 0 3 309.457 4.298 20 0 DIADHN Cc1cc(CN2C[C@@H](C)[C@H]2c2ccccc2)c2c(n1)CCCC2 ZINC001308609902 1066115836 /nfs/dbraw/zinc/11/58/36/1066115836.db2.gz VEPCNQLIXMKSJE-VFNWGFHPSA-N 0 3 306.453 4.462 20 0 DIADHN CN(CCc1ccccc1F)Cc1ccccc1OCC(F)F ZINC001308613086 1066117747 /nfs/dbraw/zinc/11/77/47/1066117747.db2.gz AFQKIUOIGXBFJF-UHFFFAOYSA-N 0 3 323.358 4.144 20 0 DIADHN CCCN(Cc1ccnn1CC1CC1)[C@@H]1CCc2ccccc21 ZINC001308623308 1066123207 /nfs/dbraw/zinc/12/32/07/1066123207.db2.gz LANDFUZOVUPPFR-HXUWFJFHSA-N 0 3 309.457 4.193 20 0 DIADHN Cc1ccnc(N)c1CN(C)Cc1ccccc1-c1ccccc1 ZINC001308627853 1066125113 /nfs/dbraw/zinc/12/51/13/1066125113.db2.gz PPDNHDHBYSQCJO-UHFFFAOYSA-N 0 3 317.436 4.271 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001308649206 1066136652 /nfs/dbraw/zinc/13/66/52/1066136652.db2.gz FHVQCEYUZIZPNR-OLZOCXBDSA-N 0 3 313.363 4.439 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@@H]3CCCC[C@@H]3C2)c1 ZINC001308650119 1066136969 /nfs/dbraw/zinc/13/69/69/1066136969.db2.gz KFBSMTOMBYCBPF-DZGCQCFKSA-N 0 3 321.848 4.139 20 0 DIADHN COc1cc(Cl)c(CN(C)[C@@H]2CCC[C@@H](C)C2)cc1OC ZINC001308649387 1066137076 /nfs/dbraw/zinc/13/70/76/1066137076.db2.gz KDFDCQIANFGEDA-TZMCWYRMSA-N 0 3 311.853 4.368 20 0 DIADHN CCSc1cccc(CN2CC[C@@H](C(C)(C)C)[C@H](O)C2)c1 ZINC001308651646 1066137599 /nfs/dbraw/zinc/13/75/99/1066137599.db2.gz ADZZFRGNTHZCNL-IAGOWNOFSA-N 0 3 307.503 4.028 20 0 DIADHN Fc1ccc(CCN2CCSC[C@H]2c2ccccc2)c(F)c1 ZINC001308650689 1066138105 /nfs/dbraw/zinc/13/81/05/1066138105.db2.gz NWHSYYVFQWCOJM-SFHVURJKSA-N 0 3 319.420 4.297 20 0 DIADHN COC(=O)C(C)(C)CCN(C)Cc1ccc(Cl)c(Cl)c1 ZINC001308663262 1066143828 /nfs/dbraw/zinc/14/38/28/1066143828.db2.gz NTGMXMQFWQZRDT-UHFFFAOYSA-N 0 3 318.244 4.015 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)nc1 ZINC001308667088 1066145902 /nfs/dbraw/zinc/14/59/02/1066145902.db2.gz GYOPWVZMBAARIT-AZUAARDMSA-N 0 3 310.441 4.140 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](NC1CCC(C(F)(F)F)CC1)CO2 ZINC001308683366 1066153741 /nfs/dbraw/zinc/15/37/41/1066153741.db2.gz BSYZMXNADOYTHQ-VCJLRHCSSA-N 0 3 321.289 4.109 20 0 DIADHN CC[C@H](CCC(F)(F)F)N[C@H]1COc2cc(F)cc(F)c21 ZINC001308687474 1066181615 /nfs/dbraw/zinc/18/16/15/1066181615.db2.gz UNWGRKYLAIDBEO-KOLCDFICSA-N 0 3 309.278 4.109 20 0 DIADHN Cc1ccc(SC[C@H](C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC001308694044 1066183729 /nfs/dbraw/zinc/18/37/29/1066183729.db2.gz ABWNSKHMDMOBLV-ZDUSSCGKSA-N 0 3 305.418 4.110 20 0 DIADHN CCN1CCN(c2cccc(NC[C@H]3CCC[C@H](C)C3)c2)CC1 ZINC001308704789 1066190067 /nfs/dbraw/zinc/19/00/67/1066190067.db2.gz UNFBZTBUVMEJPI-ROUUACIJSA-N 0 3 315.505 4.067 20 0 DIADHN COCC1=CCN(Cc2cccc(COc3ccccc3)c2)CC1 ZINC001308709245 1066192468 /nfs/dbraw/zinc/19/24/68/1066192468.db2.gz LRVSSINOYAGMFP-UHFFFAOYSA-N 0 3 323.436 4.044 20 0 DIADHN CC1=C(Br)CN(C[C@H]2CCc3ccccc3C2)CC1 ZINC001308721898 1066199109 /nfs/dbraw/zinc/19/91/09/1066199109.db2.gz JSDRCJDDISXQLG-AWEZNQCLSA-N 0 3 320.274 4.166 20 0 DIADHN Cc1ccc([C@H](NCCc2ccc(F)cc2)c2ccccn2)cc1 ZINC001308736081 1066211799 /nfs/dbraw/zinc/21/17/99/1066211799.db2.gz NLZWRSASRHXOSA-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)n2)cc1 ZINC001308739228 1066214159 /nfs/dbraw/zinc/21/41/59/1066214159.db2.gz PYVLKXYEZLCYBV-NKKGCODLSA-N 0 3 311.429 4.138 20 0 DIADHN C[C@@H](CCC1CCCC1)NCc1ccn(Cc2ccccc2)n1 ZINC001308754077 1066227946 /nfs/dbraw/zinc/22/79/46/1066227946.db2.gz COVQUOWTFXBVIW-KRWDZBQOSA-N 0 3 311.473 4.380 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cccc3c2OC(F)(F)O3)[C@@H]1C(C)C ZINC001308763366 1066237689 /nfs/dbraw/zinc/23/76/89/1066237689.db2.gz PCRGZGYCYORHOB-DZGCQCFKSA-N 0 3 311.372 4.121 20 0 DIADHN Cn1c(CN2CCCc3ccccc3CC2)cc2ccccc21 ZINC001308768728 1066241212 /nfs/dbraw/zinc/24/12/12/1066241212.db2.gz SXKUAKAEDZYUPE-UHFFFAOYSA-N 0 3 304.437 4.169 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCSC[C@H]3C3CC3)o2)cc1 ZINC001308773847 1066247363 /nfs/dbraw/zinc/24/73/63/1066247363.db2.gz BOIPQRQOUJPQFB-KRWDZBQOSA-N 0 3 317.429 4.413 20 0 DIADHN CN(C)c1ccccc1CN(C)C/C=C\c1ccc(F)c(F)c1 ZINC001308795833 1066270454 /nfs/dbraw/zinc/27/04/54/1066270454.db2.gz WUPFECUYRVWXLS-SREVYHEPSA-N 0 3 316.395 4.176 20 0 DIADHN COc1ccc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)nc1 ZINC001308798999 1066275764 /nfs/dbraw/zinc/27/57/64/1066275764.db2.gz PFQHGCDSIBEJCG-UHFFFAOYSA-N 0 3 324.468 4.162 20 0 DIADHN CCC1(CC)CN(Cc2cc(-c3ccncc3)ccc2OC)C1 ZINC001308807449 1066284837 /nfs/dbraw/zinc/28/48/37/1066284837.db2.gz TWKJHDMJJCTILF-UHFFFAOYSA-N 0 3 310.441 4.379 20 0 DIADHN COC(=O)c1cc(CN2CC3(CCCCC3)[C@H]2C(C)C)oc1C ZINC001308811719 1066286233 /nfs/dbraw/zinc/28/62/33/1066286233.db2.gz PKLBGYRJIDHDLW-QGZVFWFLSA-N 0 3 319.445 4.165 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCC[C@H]2C2CC2)cc(Cl)c1[O-] ZINC001308822171 1066296895 /nfs/dbraw/zinc/29/68/95/1066296895.db2.gz ZJVKCJWKVCBYRM-CABCVRRESA-N 0 3 323.864 4.360 20 0 DIADHN CCc1ccc(CN(Cc2ccc(OC)cn2)CC(C)C)cc1 ZINC001308835221 1066312324 /nfs/dbraw/zinc/31/23/24/1066312324.db2.gz WOYXCCOFEXKQHE-UHFFFAOYSA-N 0 3 312.457 4.311 20 0 DIADHN CN(C)c1nc(C(F)(F)F)ccc1CN(C)CCC(C)(C)C ZINC001308836455 1066313102 /nfs/dbraw/zinc/31/31/02/1066313102.db2.gz TVXNHGFQYMTPLM-UHFFFAOYSA-N 0 3 317.399 4.034 20 0 DIADHN Cc1ccnc(CN2CCCC[C@H]2C2CCC2)c1Br ZINC001308850201 1066326879 /nfs/dbraw/zinc/32/68/79/1066326879.db2.gz HJNSNYZKHSNCJS-HNNXBMFYSA-N 0 3 323.278 4.307 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccnn2-c2ccccc2)CC12CC=CC2 ZINC001308845499 1066321461 /nfs/dbraw/zinc/32/14/61/1066321461.db2.gz JICIHCHVIYRCQK-IBGZPJMESA-N 0 3 307.441 4.049 20 0 DIADHN Cc1cc(CN(C)[C@H](C)CSc2ccccc2)cnc1F ZINC001308850062 1066325834 /nfs/dbraw/zinc/32/58/34/1066325834.db2.gz FGRXBXDMZJCQDI-CQSZACIVSA-N 0 3 304.434 4.142 20 0 DIADHN CC1(C)C[C@H](NC[C@H]2CC2(Cl)Cl)c2cc(F)ccc2O1 ZINC001308852441 1066333828 /nfs/dbraw/zinc/33/38/28/1066333828.db2.gz YYPSMFAJBQNELH-SKDRFNHKSA-N 0 3 318.219 4.211 20 0 DIADHN Fc1ccc(/C=C/CN2CCC([C@@H]3CCCCO3)CC2)cc1 ZINC001308864157 1066343731 /nfs/dbraw/zinc/34/37/31/1066343731.db2.gz LCULMSBQXVOIEE-RTLBZRNLSA-N 0 3 303.421 4.120 20 0 DIADHN CC(C)C(CN1CCc2nc(C(C)(C)C)ncc2C1)C(C)C ZINC001308872495 1066353998 /nfs/dbraw/zinc/35/39/98/1066353998.db2.gz GWNAJVKAAUMIET-UHFFFAOYSA-N 0 3 303.494 4.060 20 0 DIADHN Cc1ccc(CN[C@@H](C)CC(=O)Nc2ccc(C)c(Cl)c2)o1 ZINC001308883187 1066362581 /nfs/dbraw/zinc/36/25/81/1066362581.db2.gz FAELNLJWCJMNMH-LBPRGKRZSA-N 0 3 320.820 4.057 20 0 DIADHN Fc1ccc(CCCN2CCC[C@@H](C(F)(F)F)C2)cc1F ZINC001308885402 1066366670 /nfs/dbraw/zinc/36/66/70/1066366670.db2.gz CJSDSYOMVGCVJA-GFCCVEGCSA-N 0 3 307.306 4.172 20 0 DIADHN COc1cccc(OC)c1CN(C)C[C@@H](C)C1CCCCC1 ZINC001308897450 1066376043 /nfs/dbraw/zinc/37/60/43/1066376043.db2.gz JUJVYGQMSRZYDV-OAHLLOKOSA-N 0 3 305.462 4.352 20 0 DIADHN CC1(C)CCC(C)(NCc2nc(Br)cs2)CC1 ZINC001308901035 1066381104 /nfs/dbraw/zinc/38/11/04/1066381104.db2.gz XAXHRZAZWNGSHX-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN F[C@H]1CCc2ccccc2[C@@H]1NCCc1ccc(Cl)cc1 ZINC001308916040 1066393706 /nfs/dbraw/zinc/39/37/06/1066393706.db2.gz SCARUKGALQCDFU-ROUUACIJSA-N 0 3 303.808 4.498 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H](C)CSCCF ZINC001308921555 1066398737 /nfs/dbraw/zinc/39/87/37/1066398737.db2.gz KRICJGRKGJYFJL-GFCCVEGCSA-N 0 3 319.873 4.308 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2cccc3c2CCC3)CC1 ZINC001308919898 1066399779 /nfs/dbraw/zinc/39/97/79/1066399779.db2.gz WAEVXTKXNONFFL-GOSISDBHSA-N 0 3 320.480 4.054 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@H](Oc3ccc(F)cc3)C2)cc1 ZINC001308929057 1066404468 /nfs/dbraw/zinc/40/44/68/1066404468.db2.gz VWLWOYBLRQRLMB-AHUOSZMWSA-N 0 3 315.363 4.131 20 0 DIADHN CN(CCCF)Cc1cnn(C2CCCC2)c1-c1ccccc1 ZINC001308946722 1066413237 /nfs/dbraw/zinc/41/32/37/1066413237.db2.gz UZLNFSXVHDREMP-UHFFFAOYSA-N 0 3 315.436 4.457 20 0 DIADHN CCn1cc(CN2CCC(c3cc(C)ccc3C)CC2)c(C)n1 ZINC001308969002 1066425120 /nfs/dbraw/zinc/42/51/20/1066425120.db2.gz NIKMUGJKZIYRAJ-UHFFFAOYSA-N 0 3 311.473 4.208 20 0 DIADHN FC(F)(F)Cc1ccc(CN2CC(Cc3ccoc3)C2)cc1 ZINC001308969882 1066425970 /nfs/dbraw/zinc/42/59/70/1066425970.db2.gz YCGFTBSSORQZRZ-UHFFFAOYSA-N 0 3 309.331 4.059 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)OC1CCC1)c1ccc(Cl)cc1 ZINC001309486378 1066457095 /nfs/dbraw/zinc/45/70/95/1066457095.db2.gz RGKNBLRYABDKPP-INIZCTEOSA-N 0 3 324.852 4.002 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000163384964 334924718 /nfs/dbraw/zinc/92/47/18/334924718.db2.gz TUAVRDYBRQJDDW-WFASDCNBSA-N 0 3 319.836 4.448 20 0 DIADHN Fc1cccc(OCCN2CCCCC[C@@H]2c2ccco2)c1 ZINC000078831595 185117950 /nfs/dbraw/zinc/11/79/50/185117950.db2.gz SESWVPUQCAAVNG-QGZVFWFLSA-N 0 3 303.377 4.415 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)c2c(C)noc2C)s1 ZINC000090727631 185311368 /nfs/dbraw/zinc/31/13/68/185311368.db2.gz GJASYOGDNSAOON-KOLCDFICSA-N 0 3 307.463 4.073 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H](C)c1ccccc1OC(F)F ZINC000090728078 185311400 /nfs/dbraw/zinc/31/14/00/185311400.db2.gz RCWJEBHIANQQOM-MNOVXSKESA-N 0 3 324.371 4.347 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cn(C)nc1C(F)(F)F ZINC000090666611 185308516 /nfs/dbraw/zinc/30/85/16/185308516.db2.gz QSMXMJVMSLXARD-BXUZGUMPSA-N 0 3 323.362 4.085 20 0 DIADHN COCCc1nc(C)c([C@H](C)NCc2ccc(Cl)cc2)s1 ZINC000092719915 185336074 /nfs/dbraw/zinc/33/60/74/185336074.db2.gz KOMKFEQHVLWJDY-NSHDSACASA-N 0 3 324.877 4.145 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NCc2cccc(Cl)c2)s1 ZINC000092719555 185336318 /nfs/dbraw/zinc/33/63/18/185336318.db2.gz HSVUOFJEZLBGIE-LLVKDONJSA-N 0 3 324.877 4.145 20 0 DIADHN CC(C)[C@H](CN[C@@H]1CCn2ccnc21)c1ccc(Cl)cc1 ZINC000297257371 535018591 /nfs/dbraw/zinc/01/85/91/535018591.db2.gz JSIVFVYRHGIWOL-JKSUJKDBSA-N 0 3 303.837 4.011 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCC(=O)Nc1ccc(Cl)cc1F ZINC000069067350 190795979 /nfs/dbraw/zinc/79/59/79/190795979.db2.gz ISDDTHNXYALYIN-NSHDSACASA-N 0 3 324.783 4.094 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000297815186 535225518 /nfs/dbraw/zinc/22/55/18/535225518.db2.gz QZQLSIPMWZUQTR-XHDPSFHLSA-N 0 3 311.351 4.476 20 0 DIADHN C[C@H]1OC2(CCN(Cc3ccc(F)cc3)CC2)c2ccccc21 ZINC000073169216 191287954 /nfs/dbraw/zinc/28/79/54/191287954.db2.gz GMBDWVVKOABDAR-OAHLLOKOSA-N 0 3 311.400 4.408 20 0 DIADHN CCC[C@H](N)C(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000236791531 202010337 /nfs/dbraw/zinc/01/03/37/202010337.db2.gz MKDUPDAGLLNALZ-LIRRHRJNSA-N 0 3 310.441 4.019 20 0 DIADHN CCOC[C@H]1CCN(Cc2nc3ccccc3c(C)c2Cl)C1 ZINC000081519046 192279263 /nfs/dbraw/zinc/27/92/63/192279263.db2.gz SHAUHLOONORSQP-AWEZNQCLSA-N 0 3 318.848 4.055 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000236972040 202100127 /nfs/dbraw/zinc/10/01/27/202100127.db2.gz QHYZMMMLXQDVRQ-ABSDTBQOSA-N 0 3 324.468 4.150 20 0 DIADHN CC[C@H](C)C(=O)Nc1cccc(CN[C@@H]2CCc3ccccc32)c1 ZINC000089513693 192805933 /nfs/dbraw/zinc/80/59/33/192805933.db2.gz FCRKWHKOLYRPIL-MGPUTAFESA-N 0 3 322.452 4.448 20 0 DIADHN CC(C)(O)c1ccc(CNCc2ccc(C(F)(F)F)cc2)cc1 ZINC000187504669 200120882 /nfs/dbraw/zinc/12/08/82/200120882.db2.gz RVXUJMJRFCFONJ-UHFFFAOYSA-N 0 3 323.358 4.223 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000090764322 192929006 /nfs/dbraw/zinc/92/90/06/192929006.db2.gz KOPZJIGCZONHDK-RKDXNWHRSA-N 0 3 312.335 4.163 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@H](C)c2cn3ccccc3n2)cc1 ZINC000565551286 370704934 /nfs/dbraw/zinc/70/49/34/370704934.db2.gz WJVGFVHKCMPCMP-JKSUJKDBSA-N 0 3 323.440 4.187 20 0 DIADHN CN(C)Cc1cc(CN[C@@H](c2ccccc2)C2CC2)ccc1F ZINC000091340387 193043062 /nfs/dbraw/zinc/04/30/62/193043062.db2.gz XOMJDNIOAHVMPF-FQEVSTJZSA-N 0 3 312.432 4.128 20 0 DIADHN COc1ccccc1C[C@H](N[C@@H](C)c1nccs1)C(C)C ZINC000091732849 193098695 /nfs/dbraw/zinc/09/86/95/193098695.db2.gz QURJPOKXFBXRPR-ZFWWWQNUSA-N 0 3 304.459 4.070 20 0 DIADHN COc1cccc2c1CC[C@H](N[C@H](C)c1cccc(F)c1F)C2 ZINC000426030993 334995559 /nfs/dbraw/zinc/99/55/59/334995559.db2.gz QBTVVHXCRFQDES-OCCSQVGLSA-N 0 3 317.379 4.182 20 0 DIADHN CC[C@H](NCCOC(C)(C)C)c1cccc(Br)c1 ZINC000308729563 335001143 /nfs/dbraw/zinc/00/11/43/335001143.db2.gz IOSMPBSHWGVMCQ-AWEZNQCLSA-N 0 3 314.267 4.305 20 0 DIADHN c1ccc2c(c1)cccc2CN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000563781780 335006615 /nfs/dbraw/zinc/00/66/15/335006615.db2.gz KTVWPFGZMLIJJM-WOJBJXKFSA-N 0 3 307.437 4.373 20 0 DIADHN COc1ccc(Cl)cc1CNCCc1ccc(Cl)cc1 ZINC000013721295 181883336 /nfs/dbraw/zinc/88/33/36/181883336.db2.gz SAMSYBXGYANFLW-UHFFFAOYSA-N 0 3 310.224 4.334 20 0 DIADHN C[C@H](NCc1cccc(Br)c1)c1ccc(F)cc1 ZINC000020095302 182185978 /nfs/dbraw/zinc/18/59/78/182185978.db2.gz NCDIKSCEFYCGQP-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(Br)c1)c1ccncc1 ZINC000020121182 182189308 /nfs/dbraw/zinc/18/93/08/182189308.db2.gz QQQUIGUIHFERHK-VXGBXAGGSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)cc1)c1ccncc1 ZINC000020121174 182189349 /nfs/dbraw/zinc/18/93/49/182189349.db2.gz JXHNWRLZCNSNNH-NWDGAFQWSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(Br)c1)c1cccnc1 ZINC000020127547 182189926 /nfs/dbraw/zinc/18/99/26/182189926.db2.gz SNRWAKVGTWGFRB-RYUDHWBXSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(Br)c1)c1cccnc1 ZINC000020127548 182190000 /nfs/dbraw/zinc/19/00/00/182190000.db2.gz SNRWAKVGTWGFRB-VXGBXAGGSA-N 0 3 305.219 4.256 20 0 DIADHN Fc1ccc(CN[C@H]2CCc3ccccc32)cc1Br ZINC000020129970 182190196 /nfs/dbraw/zinc/19/01/96/182190196.db2.gz IPASBONILSYLDI-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN C[C@H](NCc1cc(Br)cs1)c1cccs1 ZINC000020306319 182205046 /nfs/dbraw/zinc/20/50/46/182205046.db2.gz PYSJZJJWGSOPAJ-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccs2)ccc1OC(C)C ZINC000022154484 182311201 /nfs/dbraw/zinc/31/12/01/182311201.db2.gz YIJBRLPOLAZISJ-ZDUSSCGKSA-N 0 3 305.443 4.395 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000037062122 182865547 /nfs/dbraw/zinc/86/55/47/182865547.db2.gz FANCNYLREDWIKK-OLZOCXBDSA-N 0 3 303.427 4.240 20 0 DIADHN CC[C@@H](NCc1cccnc1)c1cccc(Br)c1 ZINC000037232206 182873877 /nfs/dbraw/zinc/87/38/77/182873877.db2.gz RHJUMADXWAQCFQ-OAHLLOKOSA-N 0 3 305.219 4.085 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(C)s2)cc1OC ZINC000040490952 183079885 /nfs/dbraw/zinc/07/98/85/183079885.db2.gz IJYDINFJNSPXIZ-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN CCN(Cc1ncc(C)cn1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000535554565 335037976 /nfs/dbraw/zinc/03/79/76/335037976.db2.gz XVGULDDFPIWFDG-GOSISDBHSA-N 0 3 315.436 4.013 20 0 DIADHN C[C@@H]1C[C@@H]1NCc1cccc(NC(=O)c2ccccc2Cl)c1 ZINC000105437809 194105018 /nfs/dbraw/zinc/10/50/18/194105018.db2.gz RQFALVVXGMMTKU-PXAZEXFGSA-N 0 3 314.816 4.090 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1ccc(OC(F)(F)F)cc1 ZINC000105487101 194105997 /nfs/dbraw/zinc/10/59/97/194105997.db2.gz JNXKVONCOOUGIV-OAHLLOKOSA-N 0 3 323.314 4.068 20 0 DIADHN Brc1cccc2c1CC[C@@H]2NCc1cccs1 ZINC000105491890 194106554 /nfs/dbraw/zinc/10/65/54/194106554.db2.gz CLLOUWJVTKXYCP-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN Cn1cc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)cn1 ZINC000105493945 194107854 /nfs/dbraw/zinc/10/78/54/194107854.db2.gz OQMJNSXUBRZLBK-KRWDZBQOSA-N 0 3 303.837 4.095 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCCc1nccs1)CCS2 ZINC000063133858 184272854 /nfs/dbraw/zinc/27/28/54/184272854.db2.gz LNURPFYHRKJJOE-LBPRGKRZSA-N 0 3 310.875 4.166 20 0 DIADHN Brc1cncc([C@H](NCc2ccccc2)C2CC2)c1 ZINC000283814792 532978997 /nfs/dbraw/zinc/97/89/97/532978997.db2.gz CBWYYBKSYHPQHF-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN Brc1cncc([C@@H](NCc2ccccc2)C2CC2)c1 ZINC000283814790 532979041 /nfs/dbraw/zinc/97/90/41/532979041.db2.gz CBWYYBKSYHPQHF-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN Brc1cncc([C@@H](NCc2cccs2)C2CC2)c1 ZINC000283921380 532979773 /nfs/dbraw/zinc/97/97/73/532979773.db2.gz ITNMSNNPZBZJOG-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccccc2C(=O)N(C)C)cc1 ZINC000417694300 335053149 /nfs/dbraw/zinc/05/31/49/335053149.db2.gz CVPWJGNVROTGQJ-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000152243423 196794644 /nfs/dbraw/zinc/79/46/44/196794644.db2.gz DPCKZGBCBOMWIS-MLGOLLRUSA-N 0 3 324.424 4.238 20 0 DIADHN CC1(CN[C@@H](c2ccc(F)cc2)c2ccc(Cl)cc2)COC1 ZINC000272403305 130026909 /nfs/dbraw/zinc/02/69/09/130026909.db2.gz KBGGMGAZDYMWGK-QGZVFWFLSA-N 0 3 319.807 4.195 20 0 DIADHN C[C@H](CCCCO)N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000272486678 130034666 /nfs/dbraw/zinc/03/46/66/130034666.db2.gz UAJMHNCLYILJIM-DNVCBOLYSA-N 0 3 301.405 4.056 20 0 DIADHN C[C@@H](NC1CCN(c2nccs2)CC1)c1ccccc1Cl ZINC000272647381 130049835 /nfs/dbraw/zinc/04/98/35/130049835.db2.gz DIHUGOJGZQWWGU-GFCCVEGCSA-N 0 3 321.877 4.116 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272676163 130052950 /nfs/dbraw/zinc/05/29/50/130052950.db2.gz UPTQYHNDDBTQEW-HOJAQTOUSA-N 0 3 324.468 4.354 20 0 DIADHN COCC[C@H](NC1CC(c2cccc(F)c2)C1)c1ccco1 ZINC000272913805 130074126 /nfs/dbraw/zinc/07/41/26/130074126.db2.gz ILWKCSNGWZYLJR-PREGVCBESA-N 0 3 303.377 4.032 20 0 DIADHN c1ccc([C@H](NCc2n[nH]c(C3CC3)n2)C2CCCCC2)cc1 ZINC000273205211 130097813 /nfs/dbraw/zinc/09/78/13/130097813.db2.gz FSRXOKZWXBSWLO-SFHVURJKSA-N 0 3 310.445 4.093 20 0 DIADHN OCc1ccc(CN[C@H]2CCCc3c(Cl)cccc32)cc1F ZINC000273396185 130115946 /nfs/dbraw/zinc/11/59/46/130115946.db2.gz LWEYYNYGOKFOAO-SFHVURJKSA-N 0 3 319.807 4.139 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1ncc(C2CC2)o1 ZINC000273443446 130122682 /nfs/dbraw/zinc/12/26/82/130122682.db2.gz WVWYBXZPXKNEHE-INIZCTEOSA-N 0 3 302.393 4.048 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1 ZINC000273489230 130127940 /nfs/dbraw/zinc/12/79/40/130127940.db2.gz MXUUNZYEPCEIQG-PKOBYXMFSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1c(CN[C@@H](C)c2ccc(Cl)c3ccccc32)cnn1C ZINC000274186463 130214005 /nfs/dbraw/zinc/21/40/05/130214005.db2.gz PUDJDMJBMYPUKZ-LBPRGKRZSA-N 0 3 313.832 4.386 20 0 DIADHN Clc1ccc2ncc(CN[C@@H]3CCCc4sccc43)n2c1 ZINC000274263984 130222726 /nfs/dbraw/zinc/22/27/26/130222726.db2.gz OKDZPEQRXSVUGL-CQSZACIVSA-N 0 3 317.845 4.216 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H](c2ccccc2)C1)c1cccnc1Cl ZINC000274275739 130224138 /nfs/dbraw/zinc/22/41/38/130224138.db2.gz IGKVKHVPBWTJDS-JLJPHGGASA-N 0 3 316.832 4.306 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1cn(C)nc1C(F)F ZINC000274447490 130235595 /nfs/dbraw/zinc/23/55/95/130235595.db2.gz DLABBJBYOKVAHZ-INIZCTEOSA-N 0 3 307.388 4.187 20 0 DIADHN CCOc1cc(CNCc2cccc(SCC)c2)ccc1O ZINC000274467012 130237422 /nfs/dbraw/zinc/23/74/22/130237422.db2.gz URQZZWYUSNPFFD-UHFFFAOYSA-N 0 3 317.454 4.193 20 0 DIADHN Cc1cccc(C2(NCc3cn(C)nc3C(F)F)CCCC2)c1 ZINC000274456930 130238327 /nfs/dbraw/zinc/23/83/27/130238327.db2.gz GNJUFZHSJREKOV-UHFFFAOYSA-N 0 3 319.399 4.225 20 0 DIADHN Cn1cc(CN[C@H](CC2CCC2)c2ccccc2)c(C(F)F)n1 ZINC000274464399 130238628 /nfs/dbraw/zinc/23/86/28/130238628.db2.gz IIFCQIAMJQPYRB-MRXNPFEDSA-N 0 3 319.399 4.379 20 0 DIADHN CC[C@@H](C)N(Cc1cn(C)nc1C(F)F)Cc1ccccc1 ZINC000274498487 130240520 /nfs/dbraw/zinc/24/05/20/130240520.db2.gz QXMRZZDDTGSZQA-CYBMUJFWSA-N 0 3 307.388 4.158 20 0 DIADHN Cn1cc(CN[C@H]2c3ccccc3CCC2(C)C)c(C(F)F)n1 ZINC000274508241 130241028 /nfs/dbraw/zinc/24/10/28/130241028.db2.gz UMPJTZDIXPIUAN-INIZCTEOSA-N 0 3 319.399 4.161 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1nc2c(cccc2C)o1 ZINC000274592662 130247025 /nfs/dbraw/zinc/24/70/25/130247025.db2.gz NTMIXILTGRIRPR-LBPRGKRZSA-N 0 3 316.426 4.100 20 0 DIADHN C[C@H](NCC1(CCOCc2ccccc2)CC1)c1cncs1 ZINC000274600255 130248440 /nfs/dbraw/zinc/24/84/40/130248440.db2.gz PMMVGONWEBEQPX-HNNXBMFYSA-N 0 3 316.470 4.181 20 0 DIADHN C[C@@H](NCCc1ccccc1)c1nc(C(F)(F)F)cs1 ZINC000274615978 130249335 /nfs/dbraw/zinc/24/93/35/130249335.db2.gz OIQHVBCPQGLFQR-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000274736654 130257262 /nfs/dbraw/zinc/25/72/62/130257262.db2.gz BWGYIWJUNXYVQQ-OYHNWAKOSA-N 0 3 315.482 4.227 20 0 DIADHN Cc1csc(CCCCN[C@H](C)c2ccc(Cl)cn2)n1 ZINC000274931609 130275431 /nfs/dbraw/zinc/27/54/31/130275431.db2.gz QWSZECFLFDFHIN-GFCCVEGCSA-N 0 3 309.866 4.173 20 0 DIADHN Cc1ncc([C@H](C)NC[C@H](c2ccccc2)C(F)(F)F)c(C)n1 ZINC000274985901 130281246 /nfs/dbraw/zinc/28/12/46/130281246.db2.gz KUTUPQZZTAGQBQ-MEDUHNTESA-N 0 3 323.362 4.090 20 0 DIADHN C[C@@H]1C[C@H]1[C@H](NCc1cncc(F)c1)c1ccc(Cl)cc1 ZINC000275040678 130286517 /nfs/dbraw/zinc/28/65/17/130286517.db2.gz GVPGTNFGJSSEGS-SSKCKEOISA-N 0 3 304.796 4.361 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H](Cc2ccccc2)C(C)C)cs1 ZINC000275275890 130300858 /nfs/dbraw/zinc/30/08/58/130300858.db2.gz CXSBUIMUFIOAOO-WMLDXEAASA-N 0 3 318.486 4.207 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H]3CCC[C@@H]3c3ccccc3)o2)cc1 ZINC000275588665 130342844 /nfs/dbraw/zinc/34/28/44/130342844.db2.gz IVPWLOSWKQVUQG-QZTJIDSGSA-N 0 3 319.408 4.163 20 0 DIADHN C[C@@H](NC[C@@H]1CCc2nccn2C1)c1ccc(Cl)cc1Cl ZINC000275648498 130354878 /nfs/dbraw/zinc/35/48/78/130354878.db2.gz UFMZYAAAFYGALF-NEPJUHHUSA-N 0 3 324.255 4.103 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1cccc(F)c1 ZINC000275673074 130358395 /nfs/dbraw/zinc/35/83/95/130358395.db2.gz CRJIXLCQKUAMCF-KVYZTJHFSA-N 0 3 319.445 4.365 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1cccc(F)c1 ZINC000275673076 130359006 /nfs/dbraw/zinc/35/90/06/130359006.db2.gz CRJIXLCQKUAMCF-VNMLXUOPSA-N 0 3 319.445 4.365 20 0 DIADHN CCC[C@H](C(=O)Nc1ccccc1CCN(C)C)c1ccccc1 ZINC000275734354 130373183 /nfs/dbraw/zinc/37/31/83/130373183.db2.gz XWJWPAKLZZNMSV-IBGZPJMESA-N 0 3 324.468 4.313 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N(C)Cc1ccccc1)c1ccc(C)cc1 ZINC000340153979 130948928 /nfs/dbraw/zinc/94/89/28/130948928.db2.gz DCGLZMSMPYXJEC-XLIONFOSSA-N 0 3 324.468 4.083 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCc1cscn1 ZINC000283954675 131036061 /nfs/dbraw/zinc/03/60/61/131036061.db2.gz LIOACZDHLNYMLJ-LBPRGKRZSA-N 0 3 309.504 4.267 20 0 DIADHN Cc1ccc(NC(=O)c2occc2C(C)C)c(CN(C)C)c1 ZINC000284123334 131051174 /nfs/dbraw/zinc/05/11/74/131051174.db2.gz XPDZVQVBFZXOQR-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccnc(OC(F)F)c2)cc1 ZINC000284183520 131056782 /nfs/dbraw/zinc/05/67/82/131056782.db2.gz AOOHFQJIYRSHEY-LLVKDONJSA-N 0 3 324.396 4.256 20 0 DIADHN COc1ccc([C@@H](CCC(C)C)NCc2cc(C)nn2C)cc1 ZINC000284254492 131063955 /nfs/dbraw/zinc/06/39/55/131063955.db2.gz IQKWLYIRIXFZAG-LJQANCHMSA-N 0 3 315.461 4.004 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cn[nH]c1 ZINC000284966556 131127644 /nfs/dbraw/zinc/12/76/44/131127644.db2.gz FPWVYSZXGYECJN-IXPVHAAZSA-N 0 3 309.335 4.025 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(C(F)F)cc1)CCC2 ZINC000285239785 131150581 /nfs/dbraw/zinc/15/05/81/131150581.db2.gz POIPRJPLDRPCQZ-KRWDZBQOSA-N 0 3 303.352 4.497 20 0 DIADHN C[C@@H](NCCC(=O)N(C)c1ccccc1)c1cccc(C2CC2)c1 ZINC000340202887 131153490 /nfs/dbraw/zinc/15/34/90/131153490.db2.gz YDRLPHUFIGYWRN-MRXNPFEDSA-N 0 3 322.452 4.268 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1F ZINC000285554112 131180635 /nfs/dbraw/zinc/18/06/35/131180635.db2.gz FIINICRKINCJDT-CYBMUJFWSA-N 0 3 312.388 4.475 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2ccc(Cl)nc2)cc1 ZINC000285571506 131182691 /nfs/dbraw/zinc/18/26/91/131182691.db2.gz GOVFZMNFFOJSGH-GFCCVEGCSA-N 0 3 306.862 4.449 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1 ZINC000285648729 131190018 /nfs/dbraw/zinc/19/00/18/131190018.db2.gz LKVISEBTRCGUSJ-CQSZACIVSA-N 0 3 321.424 4.286 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1)c1c(F)cncc1F ZINC000285660191 131191172 /nfs/dbraw/zinc/19/11/72/131191172.db2.gz MEPQYLDHUQTBRT-NEBZKDRISA-N 0 3 302.368 4.203 20 0 DIADHN CSCc1ccc(CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000285728976 131197695 /nfs/dbraw/zinc/19/76/95/131197695.db2.gz QREBEVSOMDYYEO-GOSISDBHSA-N 0 3 316.445 4.325 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1ccccc1-n1ccnn1 ZINC000285784484 131201990 /nfs/dbraw/zinc/20/19/90/131201990.db2.gz UIKFUDWQFRKIEP-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(OC)c(OC)c1C)c1ccncc1 ZINC000286019655 131216326 /nfs/dbraw/zinc/21/63/26/131216326.db2.gz JQKPZBLDZZOHAY-RHSMWYFYSA-N 0 3 314.429 4.209 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H](C)c2ccc(C)cc2)c1 ZINC000286100406 131219780 /nfs/dbraw/zinc/21/97/80/131219780.db2.gz NEYDRSORPFOPKJ-CHWSQXEVSA-N 0 3 303.427 4.255 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)NC2CC2)c1)c1cccc(C)c1 ZINC000286126723 131221651 /nfs/dbraw/zinc/22/16/51/131221651.db2.gz OILGRKMQGGJXNQ-HXUWFJFHSA-N 0 3 322.452 4.128 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCC(=O)N3)c1 ZINC000286253540 131226546 /nfs/dbraw/zinc/22/65/46/131226546.db2.gz UMUIRAGFOCDPNV-LSDHHAIUSA-N 0 3 324.424 4.046 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@@H](N[C@H](C)c2nc(C)cs2)C1 ZINC000340225788 131228549 /nfs/dbraw/zinc/22/85/49/131228549.db2.gz MIRHUKKJIXUYPZ-QLFBSQMISA-N 0 3 324.490 4.004 20 0 DIADHN COc1ccc2[nH]cc(C3=CCN(CCCCF)CC3)c2c1 ZINC000286389952 131233873 /nfs/dbraw/zinc/23/38/73/131233873.db2.gz KUTNBPBDWWGLDN-UHFFFAOYSA-N 0 3 302.393 4.015 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@@H]2NCC1=CCCOC1 ZINC000286908508 131254924 /nfs/dbraw/zinc/25/49/24/131254924.db2.gz DXAOJIBWHQSYNF-SFHVURJKSA-N 0 3 313.441 4.149 20 0 DIADHN FC(F)c1ccnc(CN[C@@H]2CCCC[C@@H]2c2ccccc2)n1 ZINC000286971996 131258011 /nfs/dbraw/zinc/25/80/11/131258011.db2.gz IMBYXBNAUJYOBI-HUUCEWRRSA-N 0 3 317.383 4.230 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000286967594 131258284 /nfs/dbraw/zinc/25/82/84/131258284.db2.gz IFQCYBREEKVIJX-DOMZBBRYSA-N 0 3 309.862 4.484 20 0 DIADHN C[C@@H](NC[C@@H]1CCCSC1)c1nc2ccc(Cl)cc2n1C ZINC000287243917 131270651 /nfs/dbraw/zinc/27/06/51/131270651.db2.gz ZAOURLZXRAASAX-NEPJUHHUSA-N 0 3 323.893 4.021 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2sccc2C)cc1 ZINC000287330007 131275325 /nfs/dbraw/zinc/27/53/25/131275325.db2.gz FWLCVXKEWGKHHH-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000287548389 131285853 /nfs/dbraw/zinc/28/58/53/131285853.db2.gz PQQWPVKYUKIWCP-SFHVURJKSA-N 0 3 310.397 4.106 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccsc2)c(C)c1OC ZINC000287582605 131288314 /nfs/dbraw/zinc/28/83/14/131288314.db2.gz RBLQOTAUYYZXJB-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2cccc(C)c2C)c1 ZINC000287578312 131288612 /nfs/dbraw/zinc/28/86/12/131288612.db2.gz QXWCFBSWGJZGFL-HNNXBMFYSA-N 0 3 312.413 4.333 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2cccc(C)c2C)c1 ZINC000287578317 131288623 /nfs/dbraw/zinc/28/86/23/131288623.db2.gz QXWCFBSWGJZGFL-OAHLLOKOSA-N 0 3 312.413 4.333 20 0 DIADHN CCCOc1cccc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)c1 ZINC000340283168 131324240 /nfs/dbraw/zinc/32/42/40/131324240.db2.gz XUZGUASUDFVNHD-GOSISDBHSA-N 0 3 313.445 4.152 20 0 DIADHN CCCN(Cc1cnc([C@@H](C)O)s1)[C@@H]1CCc2ccccc21 ZINC000289060806 131356047 /nfs/dbraw/zinc/35/60/47/131356047.db2.gz JAHKXWFQEYGBSC-CXAGYDPISA-N 0 3 316.470 4.096 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(N3CCCCC3)nc2)c2ccccc21 ZINC000289142878 131361327 /nfs/dbraw/zinc/36/13/27/131361327.db2.gz FSORASSVRRRJNJ-OXQOHEQNSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H](CC(C)(C)C)c2ccccc2)s1 ZINC000289164811 131363100 /nfs/dbraw/zinc/36/31/00/131363100.db2.gz DWUHXBSHAAIBMP-CJNGLKHVSA-N 0 3 318.486 4.464 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H](CC(C)(C)C)c2ccccc2)s1 ZINC000289164813 131363188 /nfs/dbraw/zinc/36/31/88/131363188.db2.gz DWUHXBSHAAIBMP-CZUORRHYSA-N 0 3 318.486 4.464 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H](c2ccccc2)C(C)(C)C)s1 ZINC000289203800 131365540 /nfs/dbraw/zinc/36/55/40/131365540.db2.gz HXPZQIGMBDJUKD-IUODEOHRSA-N 0 3 304.459 4.073 20 0 DIADHN CC(C)C[C@H](NCc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000289271913 131370006 /nfs/dbraw/zinc/37/00/06/131370006.db2.gz NQHJDUFNNCQKKH-BBRMVZONSA-N 0 3 304.459 4.073 20 0 DIADHN CC(C)C[C@H](NCc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000289271915 131370293 /nfs/dbraw/zinc/37/02/93/131370293.db2.gz NQHJDUFNNCQKKH-CJNGLKHVSA-N 0 3 304.459 4.073 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccccc2Cn2ccnc2)c2ccccc21 ZINC000289290064 131371615 /nfs/dbraw/zinc/37/16/15/131371615.db2.gz RBWJKIFSSXYAAX-HRAATJIYSA-N 0 3 317.436 4.270 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2ccc(OC)c3F)cc1 ZINC000289336919 131375206 /nfs/dbraw/zinc/37/52/06/131375206.db2.gz WJUMECJJFBRGQI-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN CC[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000340311187 131377673 /nfs/dbraw/zinc/37/76/73/131377673.db2.gz FFZMAXJDNXSVQF-RBUKOAKNSA-N 0 3 324.424 4.060 20 0 DIADHN Cc1cn[nH]c1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000289460019 131388023 /nfs/dbraw/zinc/38/80/23/131388023.db2.gz HZZWOAXPLWKWBS-LJQANCHMSA-N 0 3 303.409 4.162 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289579042 131397764 /nfs/dbraw/zinc/39/77/64/131397764.db2.gz AJQDVHOYAZNSHP-UMVBOHGHSA-N 0 3 318.486 4.116 20 0 DIADHN COCCOCc1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1 ZINC000289852229 131425152 /nfs/dbraw/zinc/42/51/52/131425152.db2.gz VJCASHPNFVDJFR-KRWDZBQOSA-N 0 3 313.441 4.009 20 0 DIADHN COCc1nc(CNc2c(C)cnc3c(C)cccc32)cs1 ZINC000290651834 131507492 /nfs/dbraw/zinc/50/74/92/131507492.db2.gz IUMIHCQLHABIAO-UHFFFAOYSA-N 0 3 313.426 4.067 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2ccnc(Cl)c2Cl)C1 ZINC000290821827 131520922 /nfs/dbraw/zinc/52/09/22/131520922.db2.gz HCQODVDQSIFZCY-MNOVXSKESA-N 0 3 305.274 4.152 20 0 DIADHN CC(C)(C)C[C@@H](NCc1c[nH]c2nccnc12)c1ccccc1 ZINC000290983376 131533115 /nfs/dbraw/zinc/53/31/15/131533115.db2.gz YTQFLUAWJWKELU-MRXNPFEDSA-N 0 3 308.429 4.225 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)[C@@H]1OCCc2sccc21 ZINC000291812053 131601729 /nfs/dbraw/zinc/60/17/29/131601729.db2.gz YFRFAKMQDDCNCJ-DJJJIMSYSA-N 0 3 324.449 4.088 20 0 DIADHN C[C@H](NCc1ccc2ccccc2n1)[C@H]1OCCc2sccc21 ZINC000291807813 131601841 /nfs/dbraw/zinc/60/18/41/131601841.db2.gz XWOIWFNCZQZADX-ORAYPTAESA-N 0 3 324.449 4.088 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(C(=O)N(C)C)cc2)cc1 ZINC000292057395 131620910 /nfs/dbraw/zinc/62/09/10/131620910.db2.gz GKOIXNRHDOUEGR-CVEARBPZSA-N 0 3 310.441 4.109 20 0 DIADHN Cc1sccc1CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC000293923363 131783972 /nfs/dbraw/zinc/78/39/72/131783972.db2.gz MUSPPHBGGZXFPF-KRWDZBQOSA-N 0 3 301.455 4.050 20 0 DIADHN C[C@@H](N[C@H]1CCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000296677782 131981262 /nfs/dbraw/zinc/98/12/62/131981262.db2.gz GHZZYMSYLBFOBL-KGLIPLIRSA-N 0 3 300.427 4.030 20 0 DIADHN C[C@H](N[C@H]1CCCc2c(F)cc(F)cc21)c1cccc(CO)c1 ZINC000297177365 132003431 /nfs/dbraw/zinc/00/34/31/132003431.db2.gz DSQQGQWWUVIIQC-BUXKBTBVSA-N 0 3 317.379 4.185 20 0 DIADHN COc1ccc([C@@H](Cc2ccccc2)N[C@H](C)c2cn[nH]c2)cc1 ZINC000297192176 132003470 /nfs/dbraw/zinc/00/34/70/132003470.db2.gz FNJLBVWLKSLOSI-FOIQADDNSA-N 0 3 321.424 4.053 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@H](C)c2csc(C)c2)cc1 ZINC000297259516 132006570 /nfs/dbraw/zinc/00/65/70/132006570.db2.gz JXPJHIIZXAHYDJ-KDOFPFPSSA-N 0 3 318.486 4.019 20 0 DIADHN CC(F)(F)CCCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000297325159 132010461 /nfs/dbraw/zinc/01/04/61/132010461.db2.gz NPRXCQCHXLJXAT-INIZCTEOSA-N 0 3 308.347 4.335 20 0 DIADHN CC[C@@H](NCc1ccc(OCCCOC)cc1)c1ccsc1 ZINC000297386635 132013353 /nfs/dbraw/zinc/01/33/53/132013353.db2.gz RMBSWCBJQLGYLU-GOSISDBHSA-N 0 3 319.470 4.404 20 0 DIADHN COCC1CCN(Cc2ccc([C@H](C)C(F)(F)F)cc2)CC1 ZINC000297536949 132021170 /nfs/dbraw/zinc/02/11/70/132021170.db2.gz PQTXFMXWYKUYIM-ZDUSSCGKSA-N 0 3 315.379 4.211 20 0 DIADHN CCOc1cc(CNCc2ccc(C(C)(F)F)cc2)ccc1O ZINC000297525157 132021215 /nfs/dbraw/zinc/02/12/15/132021215.db2.gz XEOIFXUDPXPDIC-UHFFFAOYSA-N 0 3 321.367 4.192 20 0 DIADHN CC[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccsc1 ZINC000297631985 132026257 /nfs/dbraw/zinc/02/62/57/132026257.db2.gz JJGFMKRYEXCOEB-KRWDZBQOSA-N 0 3 306.450 4.190 20 0 DIADHN COc1cc(CN[C@H]2CCCc3nc(Cl)ccc32)ccc1C ZINC000297692550 132028978 /nfs/dbraw/zinc/02/89/78/132028978.db2.gz LZIJVHOVDWXZJI-HNNXBMFYSA-N 0 3 316.832 4.219 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2ccccc2Cl)c2nccn21 ZINC000297741262 132031947 /nfs/dbraw/zinc/03/19/47/132031947.db2.gz NYOFYIGXMRXOMD-WFASDCNBSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3c(c2)OCCCO3)cs1 ZINC000297763060 132031999 /nfs/dbraw/zinc/03/19/99/132031999.db2.gz OWXSLZVIZJIWNY-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN CCOc1cc(CN[C@H]2CCCc3ccc(F)cc32)ccc1O ZINC000298134336 132047268 /nfs/dbraw/zinc/04/72/68/132047268.db2.gz QILTUFWYMUYJQO-KRWDZBQOSA-N 0 3 315.388 4.097 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccccc2SC)ccc1O ZINC000298166680 132048816 /nfs/dbraw/zinc/04/88/16/132048816.db2.gz SZVZGLAVIHLLDU-CYBMUJFWSA-N 0 3 317.454 4.364 20 0 DIADHN CC(C)c1ccc(CNCc2ccnc(N3CCCC3)c2)cc1 ZINC000298438450 132062371 /nfs/dbraw/zinc/06/23/71/132062371.db2.gz ZGULFCCBOPFSRA-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@H](C)c1ccccc1 ZINC000298469487 132064095 /nfs/dbraw/zinc/06/40/95/132064095.db2.gz OUEHRPIHXXKAMS-GFCCVEGCSA-N 0 3 311.454 4.432 20 0 DIADHN CC(C)[C@H](NCc1ccnc(N)c1)c1ccc(C(F)(F)F)cc1 ZINC000299176388 132093181 /nfs/dbraw/zinc/09/31/81/132093181.db2.gz YOHBJESHWVVERP-INIZCTEOSA-N 0 3 323.362 4.170 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000299238746 132094856 /nfs/dbraw/zinc/09/48/56/132094856.db2.gz UEHFZCKOOZXUTJ-AWEZNQCLSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1nn(C)c2ncc(CN([C@@H](C)c3ccccc3)C3CC3)cc12 ZINC000299393871 132102882 /nfs/dbraw/zinc/10/28/82/132102882.db2.gz SJPJQBIEXNXSTA-HNNXBMFYSA-N 0 3 320.440 4.002 20 0 DIADHN CC[C@H](NCc1cc(=O)oc2cc(C)ccc12)c1ccccc1 ZINC000299395030 132103221 /nfs/dbraw/zinc/10/32/21/132103221.db2.gz KSVNLFUZBJYARV-SFHVURJKSA-N 0 3 307.393 4.342 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NCCc2ccccn2)cc1 ZINC000299730526 132116471 /nfs/dbraw/zinc/11/64/71/132116471.db2.gz WTVGIPYPJHVKIH-OAHLLOKOSA-N 0 3 312.310 4.047 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000119565724 132134572 /nfs/dbraw/zinc/13/45/72/132134572.db2.gz PXBCMTRUKHPVRD-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1cccc(OC(F)F)c1 ZINC000119576703 132134729 /nfs/dbraw/zinc/13/47/29/132134729.db2.gz YGHWLOCTWPNASW-CYBMUJFWSA-N 0 3 321.367 4.285 20 0 DIADHN Cc1cc(-c2nnc(CN[C@H]3CCCCC3(C)C)o2)c(C)o1 ZINC000119843964 132138586 /nfs/dbraw/zinc/13/85/86/132138586.db2.gz RJNJIQRKIGERHG-AWEZNQCLSA-N 0 3 303.406 4.005 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc3cccnc31)CC2 ZINC000120502583 132144014 /nfs/dbraw/zinc/14/40/14/132144014.db2.gz VWDHXTUIPRLWIJ-IBGZPJMESA-N 0 3 304.393 4.021 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nnc(-c2cc(C)oc2C)o1 ZINC000120861903 132147039 /nfs/dbraw/zinc/14/70/39/132147039.db2.gz LAOFLMVHNPYQGL-GOEBONIOSA-N 0 3 317.433 4.347 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000122525107 132166047 /nfs/dbraw/zinc/16/60/47/132166047.db2.gz LQMCUIMUXVEEAD-WMZOPIPTSA-N 0 3 315.436 4.314 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1ccccc1C(=O)N(C)C ZINC000417717122 335071097 /nfs/dbraw/zinc/07/10/97/335071097.db2.gz MOEFBRUGXDJKNG-HXUWFJFHSA-N 0 3 324.468 4.362 20 0 DIADHN CC[C@@H](C)[C@@H](C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000391678120 335073070 /nfs/dbraw/zinc/07/30/70/335073070.db2.gz ASOIRTKMQXGKJG-FOIQADDNSA-N 0 3 322.452 4.101 20 0 DIADHN CCn1cncc1CN1CCCCC[C@@H]1c1ccc(OC)cc1 ZINC000417725603 335075933 /nfs/dbraw/zinc/07/59/33/335075933.db2.gz OXFVNSZMVMRVFR-LJQANCHMSA-N 0 3 313.445 4.029 20 0 DIADHN CCn1cncc1CN1CCC(c2c(C)[nH]c3ccccc32)CC1 ZINC000417735117 335078169 /nfs/dbraw/zinc/07/81/69/335078169.db2.gz KXPLSGOZABMCEF-UHFFFAOYSA-N 0 3 322.456 4.072 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425555462 335086859 /nfs/dbraw/zinc/08/68/59/335086859.db2.gz QHQGYHVJQNCSGI-KBXCAEBGSA-N 0 3 303.421 4.168 20 0 DIADHN CCOc1ccc([C@@H](NC[C@@H](C)COC)c2ccccc2)cc1 ZINC000525874443 335091313 /nfs/dbraw/zinc/09/13/13/335091313.db2.gz SJWKLBWBWSAIMU-UZLBHIALSA-N 0 3 313.441 4.047 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1cccc(OCC(F)F)c1 ZINC000537979541 332762470 /nfs/dbraw/zinc/76/24/70/332762470.db2.gz LDBFSAWLUQUUFR-BFUOFWGJSA-N 0 3 317.379 4.354 20 0 DIADHN Cc1cc(CNCc2ccc(OCC(F)(F)F)cc2)cs1 ZINC000449074226 332815565 /nfs/dbraw/zinc/81/55/65/332815565.db2.gz UEKGWMFUUXXOLY-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000570824291 332823743 /nfs/dbraw/zinc/82/37/43/332823743.db2.gz VVLJLUJPMSWKTI-KUHUBIRLSA-N 0 3 317.404 4.014 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccc(C)cc32)cc1OC ZINC000173399624 332829713 /nfs/dbraw/zinc/82/97/13/332829713.db2.gz LULIENYVENDNIK-KDOFPFPSSA-N 0 3 311.425 4.350 20 0 DIADHN C[C@H](CCc1ccc(F)cc1F)NCc1noc2ccccc12 ZINC000570862557 332831957 /nfs/dbraw/zinc/83/19/57/332831957.db2.gz IHKOCFVKUPEZGB-GFCCVEGCSA-N 0 3 316.351 4.217 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1C)c1ccc(F)cc1N(C)C ZINC000537980335 332844893 /nfs/dbraw/zinc/84/48/93/332844893.db2.gz RJTPEKRNLPSVRB-CKNLXJGOSA-N 0 3 312.432 4.476 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccccc2N(C)C)cc1 ZINC000171129366 332856800 /nfs/dbraw/zinc/85/68/00/332856800.db2.gz FDCVFXNGASSQFF-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccccc2N(C)C)cc1 ZINC000171129369 332856907 /nfs/dbraw/zinc/85/69/07/332856907.db2.gz FDCVFXNGASSQFF-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000318544852 332902110 /nfs/dbraw/zinc/90/21/10/332902110.db2.gz RYANLVJHWZRVMI-JKSUJKDBSA-N 0 3 303.446 4.228 20 0 DIADHN CCc1nc2ccccc2c(N2CC[C@@H](COC(F)F)C2)c1C ZINC000574977745 332901609 /nfs/dbraw/zinc/90/16/09/332901609.db2.gz JHQQBUUEUBWHPZ-CYBMUJFWSA-N 0 3 320.383 4.171 20 0 DIADHN Clc1ccc(CNCc2c[nH]nc2-c2cccs2)cc1 ZINC000035052573 331467241 /nfs/dbraw/zinc/46/72/41/331467241.db2.gz KITLMNRZNHWLLE-UHFFFAOYSA-N 0 3 303.818 4.081 20 0 DIADHN C[C@H](Cc1ccsc1)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000565459362 331493818 /nfs/dbraw/zinc/49/38/18/331493818.db2.gz LRFMKCRGANYUPX-CXAGYDPISA-N 0 3 316.470 4.176 20 0 DIADHN Fc1ccc(CN[C@@H]2CCc3c2cccc3Br)cc1 ZINC000222106132 331500281 /nfs/dbraw/zinc/50/02/81/331500281.db2.gz COOMGZLLIFYEQT-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN OCc1ccc(CN[C@H](Cc2ccccc2)c2ccccc2)cc1 ZINC000182854888 331505386 /nfs/dbraw/zinc/50/53/86/331505386.db2.gz WOKCWSFWMJHFMF-JOCHJYFZSA-N 0 3 317.432 4.253 20 0 DIADHN Cc1cccnc1[C@H](NCCOc1ccccc1Cl)C(C)C ZINC000533380633 331511392 /nfs/dbraw/zinc/51/13/92/331511392.db2.gz LIKZPNKZONRVSU-QGZVFWFLSA-N 0 3 318.848 4.409 20 0 DIADHN Cc1ncsc1CN[C@H]1CCCC[C@@H]1Oc1ccccc1C ZINC000533444468 331531740 /nfs/dbraw/zinc/53/17/40/331531740.db2.gz GYCMLMFASXXZQI-RDJZCZTQSA-N 0 3 316.470 4.240 20 0 DIADHN CC[C@H](C)[C@@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037033585 331533700 /nfs/dbraw/zinc/53/37/00/331533700.db2.gz JGHDNYWJMNSPFT-BLLLJJGKSA-N 0 3 306.475 4.033 20 0 DIADHN CC[C@H](N[C@H](C)Cn1cccn1)c1ccc(Cl)cc1Cl ZINC000037155942 331543092 /nfs/dbraw/zinc/54/30/92/331543092.db2.gz HGVZRLRCCCWADB-ABAIWWIYSA-N 0 3 312.244 4.319 20 0 DIADHN C[C@H](NCCc1nc2c(s1)CCCC2)c1cc(F)cc(F)c1 ZINC000537948598 331545366 /nfs/dbraw/zinc/54/53/66/331545366.db2.gz UFKFZQROJUGLBR-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cncc(Br)c1 ZINC000521371537 331555950 /nfs/dbraw/zinc/55/59/50/331555950.db2.gz GRSPZNZDEBQGBW-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN CC(C)N(C(=O)[C@H](C)N[C@H](c1ccccc1)C1CCC1)C(C)C ZINC000533513239 331562105 /nfs/dbraw/zinc/56/21/05/331562105.db2.gz KRFPVZHPRKQGKU-QFBILLFUSA-N 0 3 316.489 4.151 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](c1ccccc1)C1CCCC1 ZINC000533521332 331565534 /nfs/dbraw/zinc/56/55/34/331565534.db2.gz FHOXIYUHNODBQK-OAQYLSRUSA-N 0 3 322.452 4.455 20 0 DIADHN C[C@H](NCCc1cccc(OCC(F)(F)F)c1)c1ccoc1 ZINC000530529413 331571966 /nfs/dbraw/zinc/57/19/66/331571966.db2.gz GQDAHCWOJXMPOC-LBPRGKRZSA-N 0 3 313.319 4.114 20 0 DIADHN C[C@H](CCCO)N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000180751084 331576723 /nfs/dbraw/zinc/57/67/23/331576723.db2.gz YFQPQHASDKVDQA-FZKQIMNGSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1ccc2c(c1)OCCO2 ZINC000102196394 332917947 /nfs/dbraw/zinc/91/79/47/332917947.db2.gz CXFMVKNDCMCXMB-DOMZBBRYSA-N 0 3 315.438 4.248 20 0 DIADHN C[C@@H](NCCCOC(F)F)c1cc(F)c(Cl)cc1Cl ZINC000398199731 331600778 /nfs/dbraw/zinc/60/07/78/331600778.db2.gz NYGBPBNQPYVAJF-SSDOTTSWSA-N 0 3 316.150 4.412 20 0 DIADHN Fc1ccc(CNC[C@@H]2SCCc3ccccc32)cc1F ZINC000530674187 331617649 /nfs/dbraw/zinc/61/76/49/331617649.db2.gz FUMCBPKGRZBOOX-KRWDZBQOSA-N 0 3 305.393 4.085 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc3[nH]c(=O)oc3c2)C(C)C)s1 ZINC000538114118 331640083 /nfs/dbraw/zinc/64/00/83/331640083.db2.gz YGTAPRWJIGXDQI-INIZCTEOSA-N 0 3 316.426 4.390 20 0 DIADHN Cc1cccc([C@@H](C)CN[C@H](C)c2nc3ccccc3n2C)c1 ZINC000538115282 331643395 /nfs/dbraw/zinc/64/33/95/331643395.db2.gz PIIKMQCFKJHGOB-JKSUJKDBSA-N 0 3 307.441 4.336 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1cc2c(s1)CCCC2 ZINC000584044390 331651328 /nfs/dbraw/zinc/65/13/28/331651328.db2.gz XPXKHIXIJGEEGR-ZDUSSCGKSA-N 0 3 311.454 4.125 20 0 DIADHN Cc1ccc(Br)cc1CNCc1ccc(F)cc1 ZINC000313692969 332921917 /nfs/dbraw/zinc/92/19/17/332921917.db2.gz OQWHJZNKXCWTTE-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN COc1ccc(CN[C@@H]2CCCCc3ccccc32)cc1OC ZINC000074334979 331671103 /nfs/dbraw/zinc/67/11/03/331671103.db2.gz QVMLDYYSKIWZEK-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2cc(F)cc(F)c2)cc1 ZINC000533756188 331681347 /nfs/dbraw/zinc/68/13/47/331681347.db2.gz LVMLYSMSQARUFI-OALUTQOASA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc(C[C@H](NCc2nnc(C(C)C)s2)C2CC2)cc1 ZINC000537955527 331688167 /nfs/dbraw/zinc/68/81/67/331688167.db2.gz ABVPDDLYUOQXAN-INIZCTEOSA-N 0 3 315.486 4.081 20 0 DIADHN CCSc1ccc([C@H](C)NCc2c(C)nn(CC)c2C)cc1 ZINC000533785880 331688905 /nfs/dbraw/zinc/68/89/05/331688905.db2.gz NNKLDLYZCGVZGJ-ZDUSSCGKSA-N 0 3 317.502 4.483 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H](C)C[C@@H](c3ccccc3)C2)nc(C)n1 ZINC000556410806 331701522 /nfs/dbraw/zinc/70/15/22/331701522.db2.gz WFJYITGAVIESJW-NIKGAXFTSA-N 0 3 309.457 4.155 20 0 DIADHN COCC1(NCc2ccc(Cl)c(C(F)(F)F)c2)CCC1 ZINC000556410915 331701542 /nfs/dbraw/zinc/70/15/42/331701542.db2.gz UNMDLQPGMFMLDD-UHFFFAOYSA-N 0 3 307.743 4.018 20 0 DIADHN Cn1ccnc1CN[C@@H]1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000556431423 331703452 /nfs/dbraw/zinc/70/34/52/331703452.db2.gz VWVNMGAXUODWNR-IAGOWNOFSA-N 0 3 317.864 4.280 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000530968047 331713868 /nfs/dbraw/zinc/71/38/68/331713868.db2.gz JEPREMPJEZKPNS-SFHVURJKSA-N 0 3 315.461 4.084 20 0 DIADHN COc1ccccc1[C@@H](C)NCCOc1ccccc1Cl ZINC000556564376 331715856 /nfs/dbraw/zinc/71/58/56/331715856.db2.gz BXJLSBNKJJQJEA-CYBMUJFWSA-N 0 3 305.805 4.078 20 0 DIADHN OC1(CCN[C@@H](c2ccccc2)c2ccc(Cl)cc2)CCC1 ZINC000556611118 331720225 /nfs/dbraw/zinc/72/02/25/331720225.db2.gz KRKKRDYFTBWFCK-SFHVURJKSA-N 0 3 315.844 4.324 20 0 DIADHN Cc1ccc(/C=C\CC(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000255369986 331736048 /nfs/dbraw/zinc/73/60/48/331736048.db2.gz ILXZWCAGJRRRIX-WAYWQWQTSA-N 0 3 322.452 4.407 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCOc1ccc2ccccc2c1 ZINC000556887005 331747701 /nfs/dbraw/zinc/74/77/01/331747701.db2.gz ZWPQHCDXOXGLGI-UHFFFAOYSA-N 0 3 324.424 4.348 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1cc(C)ccn1 ZINC000556890556 331749266 /nfs/dbraw/zinc/74/92/66/331749266.db2.gz RNEMGKAOCYJLQC-LBTNJELSSA-N 0 3 318.848 4.470 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](CC)c2cccc(OC)c2)cc1 ZINC000557266161 331779435 /nfs/dbraw/zinc/77/94/35/331779435.db2.gz NXWWDNUQBNPHDN-PMACEKPBSA-N 0 3 313.441 4.032 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)C(=O)N[C@H](C)c2ccccc2)c1 ZINC000557435436 331790232 /nfs/dbraw/zinc/79/02/32/331790232.db2.gz OUNWMPIQXLRHFD-FGTMMUONSA-N 0 3 324.468 4.220 20 0 DIADHN C[C@H](O)CN[C@@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000564844315 331793648 /nfs/dbraw/zinc/79/36/48/331793648.db2.gz JZXRECXUPVUXML-ZBEGNZNMSA-N 0 3 310.224 4.053 20 0 DIADHN COc1cc(CN[C@@H](c2cccc(F)c2)C2CCCC2)ccn1 ZINC000557577198 331800537 /nfs/dbraw/zinc/80/05/37/331800537.db2.gz RENWGBXTDFYMSL-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H](NCc2nccn2CC(F)(F)F)C1 ZINC000449117793 331804018 /nfs/dbraw/zinc/80/40/18/331804018.db2.gz QHYVHSUUWYTSAF-KGLIPLIRSA-N 0 3 317.399 4.140 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H]2CCCSC2)ccc1Cl ZINC000557831760 331819985 /nfs/dbraw/zinc/81/99/85/331819985.db2.gz GTZUPGCVZCNHKA-SNVBAGLBSA-N 0 3 309.784 4.344 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N[C@H]2CCCC[C@H]2C)c(C)s1 ZINC000255955831 331828703 /nfs/dbraw/zinc/82/87/03/331828703.db2.gz YDUWYGAEWCLOSO-WFTWPBCJSA-N 0 3 322.518 4.099 20 0 DIADHN C[C@@H](NC[C@H]1COc2ccccc21)c1ccc(F)c(Cl)c1 ZINC000558167534 331881929 /nfs/dbraw/zinc/88/19/29/331881929.db2.gz UUCBFDZAEFVCRL-YPMHNXCESA-N 0 3 305.780 4.306 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(Br)c(F)c1 ZINC000558252802 331888334 /nfs/dbraw/zinc/88/83/34/331888334.db2.gz TVCSDILDZFFTNA-JTQLQIEISA-N 0 3 320.271 4.380 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccc(C(C)C)cc1)c1ccn(C)n1 ZINC000558259341 331889226 /nfs/dbraw/zinc/88/92/26/331889226.db2.gz UHJBWGKFULJOSU-CRAIPNDOSA-N 0 3 315.461 4.052 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccc(C(C)C)cc1)c1cnn(C)c1 ZINC000558256871 331889600 /nfs/dbraw/zinc/88/96/00/331889600.db2.gz JMWDNMCFIVILLN-DNVCBOLYSA-N 0 3 315.461 4.052 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@H](F)C2)cnn1-c1cccc(Cl)c1 ZINC000558257507 331889979 /nfs/dbraw/zinc/88/99/79/331889979.db2.gz QGRNMHYYIRKKKR-TUKIKUTGSA-N 0 3 321.827 4.375 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2C1)c1nccn1-c1ccccc1 ZINC000558257875 331890108 /nfs/dbraw/zinc/89/01/08/331890108.db2.gz MLMVUKPWLKVRBK-QFBILLFUSA-N 0 3 317.436 4.080 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1cncs1 ZINC000558276929 331892478 /nfs/dbraw/zinc/89/24/78/331892478.db2.gz FGOLTYVHRAMBGV-VBNZEHGJSA-N 0 3 302.443 4.040 20 0 DIADHN CCc1nc([C@H](C)NC[C@H](C)c2cc(F)cc(F)c2)cs1 ZINC000449153902 331910644 /nfs/dbraw/zinc/91/06/44/331910644.db2.gz GGEBXBDVRZNCRA-QWRGUYRKSA-N 0 3 310.413 4.438 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000558506136 331914363 /nfs/dbraw/zinc/91/43/63/331914363.db2.gz UUFIRIRONQVPHA-JTNHKYCSSA-N 0 3 321.408 4.123 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](C)c1nc(C)cs1 ZINC000186572258 332934568 /nfs/dbraw/zinc/93/45/68/332934568.db2.gz PDBQPNUSKVHOQG-TZMCWYRMSA-N 0 3 304.459 4.223 20 0 DIADHN c1ccc(Oc2ccccc2CN2CCC3(CCO3)CC2)cc1 ZINC000558626921 331930294 /nfs/dbraw/zinc/93/02/94/331930294.db2.gz PTMFQSDICORWHZ-UHFFFAOYSA-N 0 3 309.409 4.234 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccnn1C1CCCC1 ZINC000449180245 331933147 /nfs/dbraw/zinc/93/31/47/331933147.db2.gz ZBFUWMNFOYSGOX-SJORKVTESA-N 0 3 307.507 4.152 20 0 DIADHN c1cc2cc(CN[C@@H](c3ccccc3)[C@H]3CCOC3)ccc2[nH]1 ZINC000580094281 332936207 /nfs/dbraw/zinc/93/62/07/332936207.db2.gz MSWQHMULMMKTBV-ICSRJNTNSA-N 0 3 306.409 4.035 20 0 DIADHN CC(C)COCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000317629343 331956356 /nfs/dbraw/zinc/95/63/56/331956356.db2.gz VCDVCYUASOTVCG-LJQANCHMSA-N 0 3 301.405 4.177 20 0 DIADHN C[C@@H](NCC[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nc2c(s1)CCCC2 ZINC000558974662 331963016 /nfs/dbraw/zinc/96/30/16/331963016.db2.gz DXHYAQVEZXCSEL-LXTVHRRPSA-N 0 3 322.518 4.266 20 0 DIADHN C[C@H](NCC[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nc2c(s1)CCCC2 ZINC000558974665 331963160 /nfs/dbraw/zinc/96/31/60/331963160.db2.gz DXHYAQVEZXCSEL-XGUBFFRZSA-N 0 3 322.518 4.266 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](NCCC[C@H]1CCCCO1)CC2 ZINC000449241473 331967603 /nfs/dbraw/zinc/96/76/03/331967603.db2.gz AYZDORUDEWOBRT-TZMCWYRMSA-N 0 3 313.363 4.030 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H](C)[C@@H]2C[C@H]2c2ccccc2)o1 ZINC000580098926 332939821 /nfs/dbraw/zinc/93/98/21/332939821.db2.gz IMCHKQLHXRMZBH-GRGSLBFTSA-N 0 3 313.441 4.311 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccc(C3CCC3)cc2)cn1 ZINC000559244902 332001602 /nfs/dbraw/zinc/00/16/02/332001602.db2.gz BTIFIGYPZBPKGB-UHFFFAOYSA-N 0 3 323.484 4.485 20 0 DIADHN CSCc1cnc(CNCCc2c(C)cc(C)cc2C)s1 ZINC000559245940 332002173 /nfs/dbraw/zinc/00/21/73/332002173.db2.gz SUXGBQVTNUKNPS-UHFFFAOYSA-N 0 3 320.527 4.264 20 0 DIADHN CCc1ccc(CN[C@H](C)COc2ccc(F)cc2F)s1 ZINC000518263466 332002498 /nfs/dbraw/zinc/00/24/98/332002498.db2.gz NXQZAZGOBZTMSK-LLVKDONJSA-N 0 3 311.397 4.146 20 0 DIADHN Cc1cccc(CNCc2cc(F)cc(Br)c2)c1C ZINC000518266751 332003024 /nfs/dbraw/zinc/00/30/24/332003024.db2.gz CSJPLFQOMIFLAS-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1ccc(CCNCc2ccc(OC(F)F)c(Cl)c2)o1 ZINC000518266341 332003042 /nfs/dbraw/zinc/00/30/42/332003042.db2.gz RAPDTMHLXCEFIU-UHFFFAOYSA-N 0 3 315.747 4.175 20 0 DIADHN COc1cccc(CCNCc2ccc(-c3ccccc3)o2)c1 ZINC000518266855 332003242 /nfs/dbraw/zinc/00/32/42/332003242.db2.gz JXMRSIXYNXDCMN-UHFFFAOYSA-N 0 3 307.393 4.288 20 0 DIADHN CCOc1cccc(CNCCC2CCCC2)c1OC(F)F ZINC000518274634 332005005 /nfs/dbraw/zinc/00/50/05/332005005.db2.gz OQMUJTSZHVRNJP-UHFFFAOYSA-N 0 3 313.388 4.357 20 0 DIADHN CC(C)(C)c1n[nH]cc1CNCC(C)(C)c1ccccc1Cl ZINC000518277575 332005576 /nfs/dbraw/zinc/00/55/76/332005576.db2.gz JCBBKHHBPANGGX-UHFFFAOYSA-N 0 3 319.880 4.428 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](C)C(C)(C)c2ccccc2)c1 ZINC000518277526 332005660 /nfs/dbraw/zinc/00/56/60/332005660.db2.gz VEIBKFBDNYXPDM-HNNXBMFYSA-N 0 3 313.441 4.160 20 0 DIADHN COc1cccc(CNCCCCCc2ccccc2)c1OC ZINC000518278645 332005903 /nfs/dbraw/zinc/00/59/03/332005903.db2.gz ZEQVBMYNDCFLOF-UHFFFAOYSA-N 0 3 313.441 4.206 20 0 DIADHN CCOc1cccc([C@H](C)NC/C=C\c2ccccc2OC)c1 ZINC000518280374 332006163 /nfs/dbraw/zinc/00/61/63/332006163.db2.gz IPXUVHCKMZTHIM-CLOOOTJHSA-N 0 3 311.425 4.458 20 0 DIADHN Clc1ccc(-c2ccc(CNCCOCC3CC3)o2)cc1 ZINC000518286524 332007155 /nfs/dbraw/zinc/00/71/55/332007155.db2.gz DPSLZNMZPIAMJK-UHFFFAOYSA-N 0 3 305.805 4.116 20 0 DIADHN CSC1(CNCc2cnc(-c3ccccc3F)s2)CCC1 ZINC000449292857 332008353 /nfs/dbraw/zinc/00/83/53/332008353.db2.gz SERIEQJNCBUJNB-UHFFFAOYSA-N 0 3 322.474 4.325 20 0 DIADHN CCCCOCCN[C@H](C)c1cc(Br)ccc1F ZINC000211846985 332008623 /nfs/dbraw/zinc/00/86/23/332008623.db2.gz JSYAEDOKFZXUPG-LLVKDONJSA-N 0 3 318.230 4.056 20 0 DIADHN C[C@@H](NCc1ccc(C2CCC2)cc1)C(=O)Nc1ccccc1 ZINC000559267052 332009403 /nfs/dbraw/zinc/00/94/03/332009403.db2.gz LNIQFLXNUFQNPY-OAHLLOKOSA-N 0 3 308.425 4.071 20 0 DIADHN CCc1nocc1CNC[C@H](Cc1ccccc1)c1ccccc1 ZINC000559281920 332014545 /nfs/dbraw/zinc/01/45/45/332014545.db2.gz XVZVQTSFAUKTBF-IBGZPJMESA-N 0 3 320.436 4.353 20 0 DIADHN CC(C)(C)C(C)(C)CNCc1conc1Cc1ccccc1 ZINC000559302918 332020377 /nfs/dbraw/zinc/02/03/77/332020377.db2.gz CMRRBZBRQIOJOT-UHFFFAOYSA-N 0 3 300.446 4.427 20 0 DIADHN Cc1csc(CNCC[C@H](c2ccccc2)C(F)(F)F)n1 ZINC000559308126 332021729 /nfs/dbraw/zinc/02/17/29/332021729.db2.gz OUSNCCXVJCUKOG-CYBMUJFWSA-N 0 3 314.376 4.277 20 0 DIADHN CCc1cccc(CNCc2cccc(C(=O)N[C@H](C)CC)c2)c1 ZINC000518384033 332022895 /nfs/dbraw/zinc/02/28/95/332022895.db2.gz XLFWCKAFCZQKIA-MRXNPFEDSA-N 0 3 324.468 4.067 20 0 DIADHN COc1cc(CNCc2ccc(C(C)(F)F)cc2)ccc1C ZINC000559310863 332023160 /nfs/dbraw/zinc/02/31/60/332023160.db2.gz BKLMODGVSMEWSV-UHFFFAOYSA-N 0 3 305.368 4.405 20 0 DIADHN C[C@@]1(CNCc2ccc(Br)o2)C[C@H]1c1ccccc1 ZINC000559322377 332025355 /nfs/dbraw/zinc/02/53/55/332025355.db2.gz LSSVDXVWGNJBQR-HOCLYGCPSA-N 0 3 320.230 4.326 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cc2ccccc2[nH]c1=O ZINC000559327233 332026192 /nfs/dbraw/zinc/02/61/92/332026192.db2.gz XTWXFYYBFZRBSH-UHFFFAOYSA-N 0 3 306.409 4.274 20 0 DIADHN CC(C)[C@@H](NCc1cccc(Br)n1)c1ccccc1 ZINC000131050176 332027242 /nfs/dbraw/zinc/02/72/42/332027242.db2.gz IDWAGJFXCFAWCT-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2CCc3c2cccc3C)c1 ZINC000518411516 332028337 /nfs/dbraw/zinc/02/83/37/332028337.db2.gz GXSQVGYQNWQZHH-BLLLJJGKSA-N 0 3 315.438 4.181 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2nc3ccccc3s2)o1 ZINC000409447004 332031256 /nfs/dbraw/zinc/03/12/56/332031256.db2.gz YYGBLCQZXYNYBI-OCCSQVGLSA-N 0 3 312.438 4.345 20 0 DIADHN CC(C)N(Cc1cccnc1)C[C@H]1CCC2(CCCCC2)O1 ZINC000409447279 332031664 /nfs/dbraw/zinc/03/16/64/332031664.db2.gz UHRVWDVPUGGBPV-GOSISDBHSA-N 0 3 302.462 4.174 20 0 DIADHN Clc1ccc(Br)c(CNC[C@@H]2CCCS2)c1 ZINC000087473168 332032906 /nfs/dbraw/zinc/03/29/06/332032906.db2.gz SZCNNTGRHXFXAT-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN COc1ccc(CNCCc2c[nH]c3ccccc23)c(Cl)c1 ZINC000409453218 332032948 /nfs/dbraw/zinc/03/29/48/332032948.db2.gz NLBSZKUEXLYIPO-UHFFFAOYSA-N 0 3 314.816 4.162 20 0 DIADHN c1ccc(N[C@H]2CCN(C[C@@H]3CCC4(CCCCC4)O3)C2)cc1 ZINC000409447935 332033224 /nfs/dbraw/zinc/03/32/24/332033224.db2.gz TVDOCQZWQGRKCP-OALUTQOASA-N 0 3 314.473 4.055 20 0 DIADHN CCc1ccc([C@H](C)NCCc2nnc(C(C)C)s2)cc1 ZINC000426299018 332037800 /nfs/dbraw/zinc/03/78/00/332037800.db2.gz RMDMMHBRNOLLJH-ZDUSSCGKSA-N 0 3 303.475 4.117 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](NC1CC(C(F)(F)F)C1)CC2 ZINC000449327104 332039003 /nfs/dbraw/zinc/03/90/03/332039003.db2.gz ABSQLEOBPWBGOW-SFVIPPHHSA-N 0 3 309.253 4.022 20 0 DIADHN COCC1CCC(NCc2nc(-c3ccccc3)cs2)CC1 ZINC000449328292 332039128 /nfs/dbraw/zinc/03/91/28/332039128.db2.gz JAIVBHALFQYRDJ-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN Fc1cc(F)cc(Oc2ccc(CNC[C@H]3CCCO3)cc2)c1 ZINC000409645899 332043675 /nfs/dbraw/zinc/04/36/75/332043675.db2.gz WKOXUAKNOLKEOG-QGZVFWFLSA-N 0 3 319.351 4.026 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000518447382 332045163 /nfs/dbraw/zinc/04/51/63/332045163.db2.gz VTIKYENGJWLPND-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN CSc1ccc([C@H](C)NCCc2nnc(C(C)C)s2)cc1 ZINC000426313884 332045889 /nfs/dbraw/zinc/04/58/89/332045889.db2.gz XNDVMBQIBSYPIW-LBPRGKRZSA-N 0 3 321.515 4.277 20 0 DIADHN Cc1ccccc1OCCCN1CCC(OCCC(C)C)CC1 ZINC000520058800 332054376 /nfs/dbraw/zinc/05/43/76/332054376.db2.gz APDNGNXCUGNKEG-UHFFFAOYSA-N 0 3 319.489 4.291 20 0 DIADHN C[C@H](NCCc1cccc2[nH]ccc21)c1ccc(F)cc1F ZINC000409843489 332059722 /nfs/dbraw/zinc/05/97/22/332059722.db2.gz OIAMUIWVFTXLED-LBPRGKRZSA-N 0 3 300.352 4.339 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H]3C)n2)cc1F ZINC000409852900 332060582 /nfs/dbraw/zinc/06/05/82/332060582.db2.gz AXYWOBILRGJKCN-SLEUVZQESA-N 0 3 303.381 4.023 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@H]3C)n2)cc1F ZINC000409852902 332060888 /nfs/dbraw/zinc/06/08/88/332060888.db2.gz AXYWOBILRGJKCN-XUJVJEKNSA-N 0 3 303.381 4.023 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H]3C)n2)ccc1F ZINC000409872748 332062231 /nfs/dbraw/zinc/06/22/31/332062231.db2.gz CJLWDLUBAPPJTG-ITDIGPHOSA-N 0 3 303.381 4.023 20 0 DIADHN c1cc(-c2noc(CN3CCC[C@H]3CC3CCCCC3)n2)co1 ZINC000518482074 332063506 /nfs/dbraw/zinc/06/35/06/332063506.db2.gz WQCJKJLXNXMOLC-INIZCTEOSA-N 0 3 315.417 4.264 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)oc1C ZINC000426347299 332066626 /nfs/dbraw/zinc/06/66/26/332066626.db2.gz SLBXXDFJWZBUED-PRXAMGSTSA-N 0 3 305.443 4.311 20 0 DIADHN CCCC[C@@H](C)C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000409963725 332068790 /nfs/dbraw/zinc/06/87/90/332068790.db2.gz CDJNPBIMBPSYKN-MRXNPFEDSA-N 0 3 302.462 4.356 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccccc1 ZINC000089552823 332072308 /nfs/dbraw/zinc/07/23/08/332072308.db2.gz BYACSDXQUVFQQD-KBPBESRZSA-N 0 3 308.425 4.328 20 0 DIADHN OC[C@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1cccc(F)c1 ZINC000569384218 332073085 /nfs/dbraw/zinc/07/30/85/332073085.db2.gz QCRCBYKWQZPKBH-OALUTQOASA-N 0 3 324.399 4.008 20 0 DIADHN COc1ccc(CN(Cc2cccs2)C(C)C)c(C)c1OC ZINC000569410290 332078081 /nfs/dbraw/zinc/07/80/81/332078081.db2.gz QLRILMCTDWRQOU-UHFFFAOYSA-N 0 3 319.470 4.484 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CN[C@H](C)c1cn[nH]c1 ZINC000518577491 332083479 /nfs/dbraw/zinc/08/34/79/332083479.db2.gz QWCLYEQUDUUMMJ-SNVBAGLBSA-N 0 3 305.834 4.437 20 0 DIADHN C[C@@H]1CN(CCOc2ccc(C(F)(F)F)cc2)CCC1(F)F ZINC000569445390 332088130 /nfs/dbraw/zinc/08/81/30/332088130.db2.gz ULQQDKGBRFTTJH-LLVKDONJSA-N 0 3 323.305 4.061 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000518589210 332088802 /nfs/dbraw/zinc/08/88/02/332088802.db2.gz XREMRYYFVLANQO-AVGNSLFASA-N 0 3 305.290 4.400 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCc3cc(Cl)ccc32)c1 ZINC000090972103 332097250 /nfs/dbraw/zinc/09/72/50/332097250.db2.gz JEVJLHLUFNQSKN-QGZVFWFLSA-N 0 3 305.780 4.265 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccnc3ccccc13)CCCO2 ZINC000520944718 332097693 /nfs/dbraw/zinc/09/76/93/332097693.db2.gz GUBDCPMTJYZHAX-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@@H](c4ccccc4)C3)o2)cc1 ZINC000521200565 332100473 /nfs/dbraw/zinc/10/04/73/332100473.db2.gz ABGSXUKLQITACQ-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2ccncc2)c(Cl)c1 ZINC000518629378 332101499 /nfs/dbraw/zinc/10/14/99/332101499.db2.gz BQQSLSVLIQWRPD-QGZVFWFLSA-N 0 3 304.796 4.254 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1c2ccccc2CC[C@@H]1C)c1ccccc1 ZINC000410230248 332103272 /nfs/dbraw/zinc/10/32/72/332103272.db2.gz NCDSRSIXCZIHMF-BBWFWOEESA-N 0 3 322.452 4.094 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000410230250 332103281 /nfs/dbraw/zinc/10/32/81/332103281.db2.gz NCDSRSIXCZIHMF-GVDBMIGSSA-N 0 3 322.452 4.094 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)N1c2ccccc2CC[C@H]1C ZINC000410230249 332103401 /nfs/dbraw/zinc/10/34/01/332103401.db2.gz NCDSRSIXCZIHMF-BRWVUGGUSA-N 0 3 322.452 4.094 20 0 DIADHN Cc1cccc2oc(CCNCc3cccc(OC(C)C)c3)nc21 ZINC000410318519 332111600 /nfs/dbraw/zinc/11/16/00/332111600.db2.gz QGDGLWZZCIXVJC-UHFFFAOYSA-N 0 3 324.424 4.256 20 0 DIADHN Cc1cccc2oc(CCN[C@@H]3CCc4c3cccc4F)nc21 ZINC000410318048 332111614 /nfs/dbraw/zinc/11/16/14/332111614.db2.gz NLNYTPPNZDGGQV-MRXNPFEDSA-N 0 3 310.372 4.095 20 0 DIADHN Cc1cccc2oc(CCNCc3cc4ccccc4o3)nc21 ZINC000410355496 332114393 /nfs/dbraw/zinc/11/43/93/332114393.db2.gz SZXBRIOCQNLPFK-UHFFFAOYSA-N 0 3 306.365 4.215 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3cnc4ccccc4c3)C2)c1 ZINC000410350506 332115353 /nfs/dbraw/zinc/11/53/53/332115353.db2.gz ULIKSEZJIAWQPB-GOSISDBHSA-N 0 3 306.384 4.363 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1cccc(C(F)(F)F)c1 ZINC000316678366 332118123 /nfs/dbraw/zinc/11/81/23/332118123.db2.gz FBLANZKQMRITPD-INIZCTEOSA-N 0 3 306.331 4.065 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)N(C)Cc1ccnn1C(C)C ZINC000410384004 332119865 /nfs/dbraw/zinc/11/98/65/332119865.db2.gz FWPHVFQCJHYCFY-IBGZPJMESA-N 0 3 317.452 4.302 20 0 DIADHN CCO[C@H](CCN[C@H](COC)c1cccc(Cl)c1)C(C)C ZINC000569534095 332127927 /nfs/dbraw/zinc/12/79/27/332127927.db2.gz VFLCALUHMMVCBB-IAGOWNOFSA-N 0 3 313.869 4.068 20 0 DIADHN CC[C@@H](CSC)N[C@H](C)c1ccc(Br)cc1F ZINC000132352197 332128267 /nfs/dbraw/zinc/12/82/67/332128267.db2.gz LPTNBEVBVAHOQI-KOLCDFICSA-N 0 3 320.271 4.380 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1cc(Br)ccc1F ZINC000132462113 332130078 /nfs/dbraw/zinc/13/00/78/332130078.db2.gz NMZVASTUCDXCFC-KOLCDFICSA-N 0 3 318.255 4.134 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000317721528 332132281 /nfs/dbraw/zinc/13/22/81/332132281.db2.gz HAHZJAKQPDPVJZ-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN c1ccc([C@H]2c3ccccc3CCN2C[C@@H]2CCCCO2)cc1 ZINC000559368389 332134122 /nfs/dbraw/zinc/13/41/22/332134122.db2.gz HTIYSXXBQLRERT-FPOVZHCZSA-N 0 3 307.437 4.203 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(OC2CCCCC2)CC1 ZINC000092736142 332140653 /nfs/dbraw/zinc/14/06/53/332140653.db2.gz XLDZPQRXYNJMEZ-UHFFFAOYSA-N 0 3 319.493 4.021 20 0 DIADHN Clc1ccc(-c2noc(CN3C[C@@H]4CCCC[C@@H]4C3)n2)cc1 ZINC000426794490 332154469 /nfs/dbraw/zinc/15/44/69/332154469.db2.gz RWRVTEKLDGGULG-OKILXGFUSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H]4CCCC[C@@H]4C3)o2)cc1 ZINC000426813802 332157204 /nfs/dbraw/zinc/15/72/04/332157204.db2.gz ZTWMGWBCLGCSQI-BHYGNILZSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccc(C(=O)Nc2ccccc2CCN(C)C)c(Cl)c1 ZINC000427066061 332167182 /nfs/dbraw/zinc/16/71/82/332167182.db2.gz CDQOPQVGYXXBPM-UHFFFAOYSA-N 0 3 316.832 4.005 20 0 DIADHN CCc1nc(C)c(CN(CC)[C@H](C)Cc2ccc(OC)cc2)o1 ZINC000428252037 332169855 /nfs/dbraw/zinc/16/98/55/332169855.db2.gz DKRCFNTXHSZSJB-CQSZACIVSA-N 0 3 316.445 4.007 20 0 DIADHN C[C@H](NC[C@@]1(CO)C[C@@H]1c1ccccc1)c1ccc(Cl)s1 ZINC000584261502 332174038 /nfs/dbraw/zinc/17/40/38/332174038.db2.gz HECKYHJWFOUNRH-DXCKQFNASA-N 0 3 321.873 4.218 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000317777408 332179144 /nfs/dbraw/zinc/17/91/44/332179144.db2.gz PAKOSLIGGWTUMK-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN CC(C(=O)Nc1cc(CCc2ccccc2)ccn1)C(F)(F)F ZINC000427193240 332183197 /nfs/dbraw/zinc/18/31/97/332183197.db2.gz RLNRWFCSYXPXTM-GFCCVEGCSA-N 0 3 322.330 4.004 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccco1 ZINC000181247867 332188136 /nfs/dbraw/zinc/18/81/36/332188136.db2.gz ABDVHOJAAMOSLB-VXGBXAGGSA-N 0 3 308.784 4.002 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](C)[C@@H](C)c2ccccc2)c1 ZINC000181543090 332197770 /nfs/dbraw/zinc/19/77/70/332197770.db2.gz MHIJXUJNPCBXEN-SJORKVTESA-N 0 3 310.441 4.024 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](C)[C@H](C)c2ccccc2)c1 ZINC000181543144 332197926 /nfs/dbraw/zinc/19/79/26/332197926.db2.gz MHIJXUJNPCBXEN-DLBZAZTESA-N 0 3 310.441 4.024 20 0 DIADHN CCOCc1ccc(CN[C@@H](CC)c2ccc(OC)cc2)cc1 ZINC000151627314 332209395 /nfs/dbraw/zinc/20/93/95/332209395.db2.gz ZKGHTSZHITXMKA-FQEVSTJZSA-N 0 3 313.441 4.473 20 0 DIADHN COCC(C)(C)CN1CCc2sccc2[C@H]1c1cccs1 ZINC000426061362 335094970 /nfs/dbraw/zinc/09/49/70/335094970.db2.gz KKEZSJINSBTNKF-INIZCTEOSA-N 0 3 321.511 4.430 20 0 DIADHN CCCCN1CCCC[C@@H]1CNC(=O)c1sccc1C1CC1 ZINC000109977592 332217993 /nfs/dbraw/zinc/21/79/93/332217993.db2.gz MOVCANNEEXHASO-OAHLLOKOSA-N 0 3 320.502 4.010 20 0 DIADHN CC[C@@H](NCCC[C@@H](O)CC)c1ccccc1Br ZINC000358169537 332231593 /nfs/dbraw/zinc/23/15/93/332231593.db2.gz PMLGRCPTRWVKOL-SWLSCSKDSA-N 0 3 314.267 4.041 20 0 DIADHN CC[C@H](O)CCCN[C@@H](CC)c1ccccc1Br ZINC000358169541 332231639 /nfs/dbraw/zinc/23/16/39/332231639.db2.gz PMLGRCPTRWVKOL-WFASDCNBSA-N 0 3 314.267 4.041 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)CCCc2ccccc2)c(C)c1 ZINC000533881683 332232206 /nfs/dbraw/zinc/23/22/06/332232206.db2.gz OPKYYYVCNQDUBR-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN c1cc(CN2CCc3ccccc3[C@H]2Cc2ccccc2)on1 ZINC000536394548 332238010 /nfs/dbraw/zinc/23/80/10/332238010.db2.gz JCKKNBBHYMZUJN-HXUWFJFHSA-N 0 3 304.393 4.017 20 0 DIADHN COC(C)(C)CN[C@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000358195713 332240668 /nfs/dbraw/zinc/24/06/68/332240668.db2.gz UWATVFFLNVSJAM-LBPRGKRZSA-N 0 3 318.244 4.222 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cc(C)ccc1F ZINC000536421764 332241917 /nfs/dbraw/zinc/24/19/17/332241917.db2.gz KHRMRKGLAYYHTH-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN CC[C@@H](N[C@@H](C)COCC(F)(F)F)c1ccc(Cl)cc1 ZINC000537970760 332251064 /nfs/dbraw/zinc/25/10/64/332251064.db2.gz KIQBGVAYICZAAW-GXFFZTMASA-N 0 3 309.759 4.348 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2cccc(O)c2)cc1 ZINC000442771435 335096981 /nfs/dbraw/zinc/09/69/81/335096981.db2.gz TUTWORCMGZYNNK-YSSFQJQWSA-N 0 3 311.425 4.272 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@@H](CC3CC3)C2)n1 ZINC000559418112 332257735 /nfs/dbraw/zinc/25/77/35/332257735.db2.gz MHABDQXNOXIEHY-HOCLYGCPSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC(C)(C)[C@H]4CCC[C@H]43)o2)cc1 ZINC000559427089 332258031 /nfs/dbraw/zinc/25/80/31/332258031.db2.gz MEPXVXZTUDPDSS-JKSUJKDBSA-N 0 3 311.429 4.056 20 0 DIADHN Cc1cccc(-c2noc(CN3CC(C)(C)[C@@H]4CCC[C@H]43)n2)c1 ZINC000559432941 332258342 /nfs/dbraw/zinc/25/83/42/332258342.db2.gz ROUFAADWTHFCPG-HZPDHXFCSA-N 0 3 311.429 4.056 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000555949049 332266328 /nfs/dbraw/zinc/26/63/28/332266328.db2.gz SRHHANGGJOKGNF-LJQANCHMSA-N 0 3 311.425 4.261 20 0 DIADHN C[C@H](NCC(C)(C)Oc1cc(F)cc(F)c1)c1ccncc1F ZINC000556194252 332266821 /nfs/dbraw/zinc/26/68/21/332266821.db2.gz WWNQNYVLXROHFH-NSHDSACASA-N 0 3 324.346 4.007 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1ccc(N2CCCC2=O)cc1 ZINC000152275172 332272120 /nfs/dbraw/zinc/27/21/20/332272120.db2.gz NMJYRTXCEMGUCR-KSSFIOAISA-N 0 3 324.424 4.135 20 0 DIADHN C[C@H](CN(C)Cc1cnn(-c2ccccc2)c1)c1ccccc1 ZINC000559740895 332278151 /nfs/dbraw/zinc/27/81/51/332278151.db2.gz UIQULSJQRNXAJM-QGZVFWFLSA-N 0 3 305.425 4.108 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(F)c2ccccc21 ZINC000559741387 332278419 /nfs/dbraw/zinc/27/84/19/332278419.db2.gz LMBXROCEMSSNAH-HBUDHLSFSA-N 0 3 321.395 4.288 20 0 DIADHN C[C@H](NCCCCOc1ccc(Cl)cc1)c1ccncc1F ZINC000559743467 332278702 /nfs/dbraw/zinc/27/87/02/332278702.db2.gz OGNVICBATMCVDQ-ZDUSSCGKSA-N 0 3 322.811 4.384 20 0 DIADHN CCc1ccccc1CN[C@H](CC)c1ccc(C(=O)OC)cc1 ZINC000559766591 332279744 /nfs/dbraw/zinc/27/97/44/332279744.db2.gz GSRAUIILMSKHPX-LJQANCHMSA-N 0 3 311.425 4.277 20 0 DIADHN CCCC[C@H](CCC)C[NH2+][C@@H](c1nnc[n-]1)c1ccc(F)cc1 ZINC000559774795 332280062 /nfs/dbraw/zinc/28/00/62/332280062.db2.gz YTCOVPCLJKFSHX-WMLDXEAASA-N 0 3 318.440 4.229 20 0 DIADHN CN(Cc1ccccc1OC(F)(F)F)C[C@H]1CCC(F)(F)C1 ZINC000559792917 332281814 /nfs/dbraw/zinc/28/18/14/332281814.db2.gz QBXAHUQWPCJIEM-NSHDSACASA-N 0 3 323.305 4.452 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](C)c1cccc(C2CC2)c1 ZINC000559785394 332282152 /nfs/dbraw/zinc/28/21/52/332282152.db2.gz ALNUFRVMPLYJHT-CQSZACIVSA-N 0 3 311.473 4.273 20 0 DIADHN CCc1noc(C)c1CN[C@H](C)C1CCC(C(F)(F)F)CC1 ZINC000559882388 332287992 /nfs/dbraw/zinc/28/79/92/332287992.db2.gz MPAJTDHCEOVGCT-QFWMXSHPSA-N 0 3 318.383 4.392 20 0 DIADHN CCSc1ccccc1[C@@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000559879048 332288984 /nfs/dbraw/zinc/28/89/84/332288984.db2.gz SXWSPVPVHORHKU-CHWSQXEVSA-N 0 3 302.443 4.311 20 0 DIADHN CCSc1ccccc1[C@@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000559879051 332289048 /nfs/dbraw/zinc/28/90/48/332289048.db2.gz SXWSPVPVHORHKU-QWHCGFSZSA-N 0 3 302.443 4.311 20 0 DIADHN Cc1cc([C@@H](C)NCCc2cc(C(F)(F)F)ccn2)oc1C ZINC000559890513 332289596 /nfs/dbraw/zinc/28/95/96/332289596.db2.gz CRZDEFJXFOYJKK-LLVKDONJSA-N 0 3 312.335 4.204 20 0 DIADHN Cc1c([C@@H](C)NCC[C@@H](C)F)cnn1-c1cc(C)ccc1C ZINC000559891382 332289729 /nfs/dbraw/zinc/28/97/29/332289729.db2.gz QOEAOXAXGFQFPR-HUUCEWRRSA-N 0 3 303.425 4.196 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1c1ccccc1)c1cn2ccccc2n1 ZINC000559894463 332290905 /nfs/dbraw/zinc/29/09/05/332290905.db2.gz RPSCHEWGWHYYDQ-CGTJXYLNSA-N 0 3 305.425 4.321 20 0 DIADHN CO[C@@H](CN[C@H](C)c1sc(-c2ccccc2)nc1C)C1CC1 ZINC000559897740 332291112 /nfs/dbraw/zinc/29/11/12/332291112.db2.gz PIZJGWQJGSKXKH-WBMJQRKESA-N 0 3 316.470 4.194 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000559900090 332291515 /nfs/dbraw/zinc/29/15/15/332291515.db2.gz SFSKOZJHPJEZDM-LKFCYVNXSA-N 0 3 319.779 4.017 20 0 DIADHN Cc1ccc(C2(CN[C@H](C)c3cnccn3)CCCC2)c(C)c1 ZINC000559912934 332292075 /nfs/dbraw/zinc/29/20/75/332292075.db2.gz AEPBBVIAHLWDPO-QGZVFWFLSA-N 0 3 309.457 4.256 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@H]2N[C@@H]1CCC[C@@H]1F ZINC000559915609 332292393 /nfs/dbraw/zinc/29/23/93/332292393.db2.gz YZHJTQJASFHULV-IACUBPJLSA-N 0 3 316.189 4.056 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000559915156 332292435 /nfs/dbraw/zinc/29/24/35/332292435.db2.gz WCHONYNAZBXLRM-RDBSUJKOSA-N 0 3 303.299 4.053 20 0 DIADHN Cc1cc([C@@H](C)NCCCCc2ccc(Cl)s2)nn1C ZINC000559916639 332292648 /nfs/dbraw/zinc/29/26/48/332292648.db2.gz VBYDHYGTWDBIPZ-GFCCVEGCSA-N 0 3 311.882 4.117 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccncc1F)Oc1cccc(Cl)c1 ZINC000559917463 332292660 /nfs/dbraw/zinc/29/26/60/332292660.db2.gz PPXSQPPJOSYLNZ-OCCSQVGLSA-N 0 3 322.811 4.382 20 0 DIADHN c1cc(CN2CCCC2)cc(-c2nc(CC3CCCC3)no2)c1 ZINC000560032952 332303640 /nfs/dbraw/zinc/30/36/40/332303640.db2.gz IVYRWPWBFUBJJE-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN CC[C@H](NCc1cc(Cl)c2c(c1)OCCO2)c1cccs1 ZINC000048417006 332303647 /nfs/dbraw/zinc/30/36/47/332303647.db2.gz LLXIRKNSIAJJRS-ZDUSSCGKSA-N 0 3 323.845 4.414 20 0 DIADHN Cc1ccc(OCc2nc(CNC3CCCCC3)cs2)cc1 ZINC000097001162 332305224 /nfs/dbraw/zinc/30/52/24/332305224.db2.gz PRCXPGQNOSBFKF-UHFFFAOYSA-N 0 3 316.470 4.453 20 0 DIADHN CC(C)[C@@H](CC1CCCC1)C(=O)N(C)[C@H](C)C1CCN(C)CC1 ZINC000566284045 332313703 /nfs/dbraw/zinc/31/37/03/332313703.db2.gz QXFKPNBRWMYTCG-VQIMIIECSA-N 0 3 322.537 4.028 20 0 DIADHN CC[C@H](N[C@H]1CCOc2ccc(OC)cc21)c1cccs1 ZINC000049529560 332315382 /nfs/dbraw/zinc/31/53/82/332315382.db2.gz SESDCYHSODLGEU-GJZGRUSLSA-N 0 3 303.427 4.321 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1cnc(C2CCCC2)s1 ZINC000449501335 332316547 /nfs/dbraw/zinc/31/65/47/332316547.db2.gz VSWXYAKFCCMAED-LBPRGKRZSA-N 0 3 300.418 4.326 20 0 DIADHN CCN(Cc1nnc(-c2cccc(Cl)c2)o1)C1CCCC1 ZINC000560171348 332316783 /nfs/dbraw/zinc/31/67/83/332316783.db2.gz OMLBBEZDMNPPCN-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN CC1(C)CC[C@@H]1N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000560236361 332321475 /nfs/dbraw/zinc/32/14/75/332321475.db2.gz UACCDCSUSUZJSD-OLZOCXBDSA-N 0 3 321.770 4.170 20 0 DIADHN CC(C)[C@@H](N[C@H](C)CCC(C)(C)C)c1nnc2ccccn21 ZINC000560243989 332322394 /nfs/dbraw/zinc/32/23/94/332322394.db2.gz IFELUYNIZOMRMO-GDBMZVCRSA-N 0 3 302.466 4.231 20 0 DIADHN Cc1ccccc1C[C@@H](NCc1ccn(C2CCCC2)n1)C1CC1 ZINC000560244885 332322878 /nfs/dbraw/zinc/32/28/78/332322878.db2.gz QDRHGFQTRSHKDX-OAQYLSRUSA-N 0 3 323.484 4.418 20 0 DIADHN COC[C@H](N[C@@H](C)c1ccc(OC(C)C)c(Cl)c1)C1CC1 ZINC000560245825 332322998 /nfs/dbraw/zinc/32/29/98/332322998.db2.gz WOLHMJSREJFDSB-LRDDRELGSA-N 0 3 311.853 4.203 20 0 DIADHN CCCN(CCc1cccs1)Cc1cnc(C(C)(C)C)nc1 ZINC000560258858 332324677 /nfs/dbraw/zinc/32/46/77/332324677.db2.gz HEHZDNPUZFAZIT-UHFFFAOYSA-N 0 3 317.502 4.290 20 0 DIADHN CSc1ccc2c(c1)[C@H](NCc1cnn3ccccc13)CCC2 ZINC000564973016 332328055 /nfs/dbraw/zinc/32/80/55/332328055.db2.gz OVIKBWZQHQHBEX-GOSISDBHSA-N 0 3 323.465 4.223 20 0 DIADHN Cc1nc2ccc(CN(C)Cc3ccc(F)cc3)cc2s1 ZINC000426079015 335101328 /nfs/dbraw/zinc/10/13/28/335101328.db2.gz SWAWRANOWSAXSH-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NCCOc1ccccc1 ZINC000049933979 332330471 /nfs/dbraw/zinc/33/04/71/332330471.db2.gz FNSRNYFGEBQPNN-MRXNPFEDSA-N 0 3 303.402 4.031 20 0 DIADHN CC(C)COc1ccc(CN2CCC[C@H]2c2ccccn2)cc1 ZINC000560444041 332339796 /nfs/dbraw/zinc/33/97/96/332339796.db2.gz QUDNDZPVRJNGEQ-FQEVSTJZSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC(CCO)CC1 ZINC000560444025 332339818 /nfs/dbraw/zinc/33/98/18/332339818.db2.gz NQANBSFAYVDOJW-UHFFFAOYSA-N 0 3 323.889 4.458 20 0 DIADHN CC(C)n1cc([C@H](C)NC/C=C\c2ccc(F)c(F)c2)cn1 ZINC000560494475 332346222 /nfs/dbraw/zinc/34/62/22/332346222.db2.gz MDBXFIPOQCXVCB-ZFDPJTLLSA-N 0 3 305.372 4.106 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cc(C)ccn1)c1ccsc1 ZINC000560517393 332349276 /nfs/dbraw/zinc/34/92/76/332349276.db2.gz VVBUDJIAKFJLHV-CRAIPNDOSA-N 0 3 317.502 4.185 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C)cc2C)c(C)c1OC ZINC000560521884 332350208 /nfs/dbraw/zinc/35/02/08/332350208.db2.gz FPVOIYBMDUIZRH-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN c1c[nH]c([C@@H](NCCCNc2ccccc2)C2CCCCC2)n1 ZINC000560530571 332352629 /nfs/dbraw/zinc/35/26/29/332352629.db2.gz RHKQQDVAGXNQHW-SFHVURJKSA-N 0 3 312.461 4.123 20 0 DIADHN CCC(CC)(CNCc1ccc(Sc2ccccn2)o1)OC ZINC000560533425 332353628 /nfs/dbraw/zinc/35/36/28/332353628.db2.gz ICTZKLHXOQZFNT-UHFFFAOYSA-N 0 3 320.458 4.121 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CC(F)(F)F)c2ccccc2)C[C@H](C)O1 ZINC000583362430 332354519 /nfs/dbraw/zinc/35/45/19/332354519.db2.gz VCPKVRKVYKFYNE-PAPYEOQZSA-N 0 3 301.352 4.226 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccncc1 ZINC000560540594 332355347 /nfs/dbraw/zinc/35/53/47/332355347.db2.gz ZRXJDBWDYBEPSQ-OCBCSQNSSA-N 0 3 318.367 4.011 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2ccccc2OC(F)(F)F)c1 ZINC000560545483 332356618 /nfs/dbraw/zinc/35/66/18/332356618.db2.gz NLKKMXYEFBSOSL-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Fc1ccc(CCN[C@H](c2ncc[nH]2)C2CCCCC2)cc1F ZINC000560549051 332357222 /nfs/dbraw/zinc/35/72/22/332357222.db2.gz MTIZYVGANGQNAT-KRWDZBQOSA-N 0 3 319.399 4.142 20 0 DIADHN CS[C@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)C(C)(C)C ZINC000560548622 332357696 /nfs/dbraw/zinc/35/76/96/332357696.db2.gz JTRBWCBVLWPHFJ-CABCVRRESA-N 0 3 309.523 4.398 20 0 DIADHN CC(C)[C@@H]1CC[C@@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000560553471 332359221 /nfs/dbraw/zinc/35/92/21/332359221.db2.gz UGSNIQMZWHYJEX-ROUUACIJSA-N 0 3 304.503 4.082 20 0 DIADHN Cc1ccccc1[C@H]1CN(Cc2cccc3cc[nH]c32)[C@@H](C)CO1 ZINC000560555719 332359325 /nfs/dbraw/zinc/35/93/25/332359325.db2.gz BWFHFHNWWKPMIU-OXJNMPFZSA-N 0 3 320.436 4.438 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)s1 ZINC000560571605 332362401 /nfs/dbraw/zinc/36/24/01/332362401.db2.gz YSLTXPQDTGBFFN-SFHVURJKSA-N 0 3 315.482 4.304 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCC1(c2ccccn2)CCC1 ZINC000560580729 332364248 /nfs/dbraw/zinc/36/42/48/332364248.db2.gz IPUKKCQWMOMSIF-MRXNPFEDSA-N 0 3 310.441 4.171 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(N2CCCC2=O)cc1 ZINC000119141932 332364972 /nfs/dbraw/zinc/36/49/72/332364972.db2.gz NJBXUIQSTBXFQM-FOIQADDNSA-N 0 3 320.436 4.152 20 0 DIADHN Cc1cc(CCN[C@H](C)c2ccc(OC(C)(C)C)cc2)on1 ZINC000560583672 332365009 /nfs/dbraw/zinc/36/50/09/332365009.db2.gz AILLCVCYLKARHA-CQSZACIVSA-N 0 3 302.418 4.054 20 0 DIADHN CCc1noc(C)c1CNC1CC(c2ccccc2Cl)C1 ZINC000560586991 332366025 /nfs/dbraw/zinc/36/60/25/332366025.db2.gz HNSIDYQMWWTKBP-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccccc2Cn2cccn2)s1 ZINC000119219254 332367271 /nfs/dbraw/zinc/36/72/71/332367271.db2.gz ANEYPFYTRJWFEX-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN CCCCN(Cc1ncc(COC)s1)[C@@H]1CCC[C@H](C)C1 ZINC000560611073 332369449 /nfs/dbraw/zinc/36/94/49/332369449.db2.gz AYYLLJUOXGPOOM-LSDHHAIUSA-N 0 3 310.507 4.470 20 0 DIADHN CCc1nc2ccccc2c(N2CCC[C@](CC)(CO)C2)c1C ZINC000583399932 332387350 /nfs/dbraw/zinc/38/73/50/332387350.db2.gz FHIKLCXZOBLCOB-FQEVSTJZSA-N 0 3 312.457 4.095 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2C1)c1nc2c(s1)CCCC2 ZINC000560875473 332391274 /nfs/dbraw/zinc/39/12/74/332391274.db2.gz PLMOIWNIMRMKLU-CJNGLKHVSA-N 0 3 312.482 4.230 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000561209516 332424536 /nfs/dbraw/zinc/42/45/36/332424536.db2.gz HXKJNVUMVMKWLJ-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)N1C[C@@H](N2CCCC2)C[C@@H]1C ZINC000561280220 332432833 /nfs/dbraw/zinc/43/28/33/332432833.db2.gz AREXYFUTJVJIKI-UHOSZYNNSA-N 0 3 320.521 4.068 20 0 DIADHN CCOc1ncccc1CN[C@H](c1ccc(C)cc1)C1CCC1 ZINC000427586255 332456410 /nfs/dbraw/zinc/45/64/10/332456410.db2.gz COHUVPWHKJBRLW-IBGZPJMESA-N 0 3 310.441 4.420 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)Nc1ccccc1CN(C)C ZINC000561563593 332463907 /nfs/dbraw/zinc/46/39/07/332463907.db2.gz OUEHXLMGSFPYJG-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1csc(Br)c1 ZINC000353813053 335109742 /nfs/dbraw/zinc/10/97/42/335109742.db2.gz HFVXCNMJICRVPA-SECBINFHSA-N 0 3 308.310 4.084 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3c(Cl)cccc32)cc1 ZINC000150992143 332471635 /nfs/dbraw/zinc/47/16/35/332471635.db2.gz TZRZISPUQDVNFC-QGZVFWFLSA-N 0 3 317.816 4.352 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3c(Cl)cccc32)cc1 ZINC000150992183 332471657 /nfs/dbraw/zinc/47/16/57/332471657.db2.gz TZRZISPUQDVNFC-KRWDZBQOSA-N 0 3 317.816 4.352 20 0 DIADHN Cc1cc2cc(CN[C@@H]3Cc4cccc(O)c4C3)oc2cc1C ZINC000428556125 332490868 /nfs/dbraw/zinc/49/08/68/332490868.db2.gz BSWBOEKMLTYJJO-MRXNPFEDSA-N 0 3 307.393 4.012 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@H](c2ccccc2)c2ccccn2)C1 ZINC000245963152 332506388 /nfs/dbraw/zinc/50/63/88/332506388.db2.gz MWPKTDUWPBBVPD-YQVWRLOYSA-N 0 3 312.482 4.435 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(F)ccc2C)c(C)c1OC ZINC000561927947 332517599 /nfs/dbraw/zinc/51/75/99/332517599.db2.gz IFRFSIMQYJYANG-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN Oc1ccc(C2CCN(Cc3ccccc3OC3CC3)CC2)cc1 ZINC000561945738 332519685 /nfs/dbraw/zinc/51/96/85/332519685.db2.gz BDHGPYAJYBBBMI-UHFFFAOYSA-N 0 3 323.436 4.313 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC/C=C\c1ccccc1 ZINC000561964870 332523226 /nfs/dbraw/zinc/52/32/26/332523226.db2.gz WYJFGXQZYSWWPA-SDQBBNPISA-N 0 3 308.425 4.180 20 0 DIADHN COC[C@H](NCCSCc1ccccc1F)c1ccccc1 ZINC000562016072 332531801 /nfs/dbraw/zinc/53/18/01/332531801.db2.gz QDAGLIHJMMDRFM-SFHVURJKSA-N 0 3 319.445 4.036 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1CCCF)c1nccn1-c1ccccc1 ZINC000562062439 332539126 /nfs/dbraw/zinc/53/91/26/332539126.db2.gz URPSQJLKXDNBKG-LZLYRXPVSA-N 0 3 315.436 4.441 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccnc(SCC)c2)C12CCCC2 ZINC000427868976 332550283 /nfs/dbraw/zinc/55/02/83/332550283.db2.gz RLIBMYINRKNBOE-HZPDHXFCSA-N 0 3 320.502 4.021 20 0 DIADHN CCSc1cc(CN[C@H](CC)c2cccc(OC)c2)ccn1 ZINC000427873100 332552431 /nfs/dbraw/zinc/55/24/31/332552431.db2.gz NTUBDCBEIAGSOB-QGZVFWFLSA-N 0 3 316.470 4.443 20 0 DIADHN CCOC[C@H](C)N[C@@H]1CCCc2nc(-c3ccccc3)sc21 ZINC000427886365 332554630 /nfs/dbraw/zinc/55/46/30/332554630.db2.gz LUWNQKSXHYDHSY-DZGCQCFKSA-N 0 3 316.470 4.202 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc3c(c2)CCC3)ccc1F ZINC000151190046 332554959 /nfs/dbraw/zinc/55/49/59/332554959.db2.gz PLZDLDHIVFXFRE-CQSZACIVSA-N 0 3 313.416 4.312 20 0 DIADHN C[C@H](NCCOC1CCCCCC1)[C@@H]1OCCc2sccc21 ZINC000429165454 332559265 /nfs/dbraw/zinc/55/92/65/332559265.db2.gz FOJXWZNFCYIGTE-KSSFIOAISA-N 0 3 323.502 4.079 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@@H]2CCC[C@H](F)C2)cc1Cl ZINC000566797026 332569316 /nfs/dbraw/zinc/56/93/16/332569316.db2.gz QSBMFSZLVNXAPB-SDDRHHMPSA-N 0 3 315.816 4.289 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(Cn3ccnc3)c2)cc1 ZINC000151308798 332569815 /nfs/dbraw/zinc/56/98/15/332569815.db2.gz LUCYHWICCOOIBJ-QGZVFWFLSA-N 0 3 319.452 4.345 20 0 DIADHN Clc1ccc(/C=C\CN2CCC(OCC3CC3)CC2)cc1 ZINC000527296822 332573374 /nfs/dbraw/zinc/57/33/74/332573374.db2.gz WOVRVDRWOSFUNE-UPHRSURJSA-N 0 3 305.849 4.244 20 0 DIADHN O[C@H]1CCCC[C@@H]1N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000427962128 332573990 /nfs/dbraw/zinc/57/39/90/332573990.db2.gz ZTPUXWKCCZCPDQ-AXFHLTTASA-N 0 3 320.285 4.325 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1cccc(OC(F)(F)F)c1 ZINC000427962117 332573993 /nfs/dbraw/zinc/57/39/93/332573993.db2.gz ZSJKYPXSVADKOA-SMDDNHRTSA-N 0 3 317.351 4.193 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccnc2-n2cccn2)cc1 ZINC000151451956 332578677 /nfs/dbraw/zinc/57/86/77/332578677.db2.gz RRKJTMLZDSXKSR-INIZCTEOSA-N 0 3 320.440 4.071 20 0 DIADHN OCC[C@@H]1CCC[C@H]1N[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000427982794 332579808 /nfs/dbraw/zinc/57/98/08/332579808.db2.gz ZBLBLQBDLGQDFO-COLVAYQJSA-N 0 3 314.256 4.121 20 0 DIADHN CCC1(C)CCC(NC2(C(=O)Nc3ccccc3)CC2)CC1 ZINC000427982952 332579860 /nfs/dbraw/zinc/57/98/60/332579860.db2.gz SPUAEAOIHDSAQV-UHFFFAOYSA-N 0 3 300.446 4.106 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)cc1 ZINC000562652774 332612733 /nfs/dbraw/zinc/61/27/33/332612733.db2.gz PHAVIARUXRHAQQ-NDUPCHFUSA-N 0 3 310.441 4.025 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3C[C@H]4CCC[C@@H]4C3)nc2c1 ZINC000151803074 332620202 /nfs/dbraw/zinc/62/02/02/332620202.db2.gz JXWVGRPUQNMESW-GHMZBOCLSA-N 0 3 310.319 4.079 20 0 DIADHN COc1ccc(F)c(CNC[C@@H](C)c2ccc(F)cc2F)c1 ZINC000562768429 332624670 /nfs/dbraw/zinc/62/46/70/332624670.db2.gz QFRDDKFRWVGIHA-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CC[C@@H](COCC(F)(F)F)N[C@@H](C)c1ccc(C)cc1OC ZINC000562786947 332626184 /nfs/dbraw/zinc/62/61/84/332626184.db2.gz YAVMIHHRPGIVAH-STQMWFEESA-N 0 3 319.367 4.012 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC000562902258 332638081 /nfs/dbraw/zinc/63/80/81/332638081.db2.gz DBPQMDPMORLFDV-FUHWJXTLSA-N 0 3 310.441 4.288 20 0 DIADHN Cc1cc(C(C)(C)NCc2ccn(C)c2)ccc1Br ZINC000562907384 332639658 /nfs/dbraw/zinc/63/96/58/332639658.db2.gz GUZGVPOFPHGRGT-UHFFFAOYSA-N 0 3 321.262 4.121 20 0 DIADHN COc1cc(CN[C@H](Cc2ccccc2)c2ccccc2)ccn1 ZINC000171140671 332641723 /nfs/dbraw/zinc/64/17/23/332641723.db2.gz VLIUQBXFFABMCX-HXUWFJFHSA-N 0 3 318.420 4.164 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H]2CCCc3occc32)cc1 ZINC000562944379 332649807 /nfs/dbraw/zinc/64/98/07/332649807.db2.gz PUDWFFPVNRAETK-BOFPYLFWSA-N 0 3 315.413 4.031 20 0 DIADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccc(Br)s1)OC ZINC000394470057 332654975 /nfs/dbraw/zinc/65/49/75/332654975.db2.gz DYSAPRVPSRUEBR-DCAQKATOSA-N 0 3 320.296 4.222 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1cccc(OC(F)F)c1 ZINC000537978399 332663459 /nfs/dbraw/zinc/66/34/59/332663459.db2.gz SEXALKWFAHUPBP-PIGZYNQJSA-N 0 3 321.342 4.450 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(SC)c(OC)c2)cc1 ZINC000151012767 332676806 /nfs/dbraw/zinc/67/68/06/332676806.db2.gz IHIIONVTHAOJRM-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN COC[C@@H](NCc1ccc(-c2cccc(F)c2C)o1)C(C)C ZINC000525708369 332683211 /nfs/dbraw/zinc/68/32/11/332683211.db2.gz KLEMQUPBVIQSJU-QGZVFWFLSA-N 0 3 305.393 4.155 20 0 DIADHN COc1ccc([C@H](C)CCNCc2cccc(F)c2F)cc1 ZINC000525708847 332688450 /nfs/dbraw/zinc/68/84/50/332688450.db2.gz KFWPXYGWSDLFAT-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN CC[C@@H](NCc1nc(C2CCCCC2)cs1)C1CCOCC1 ZINC000444666312 332694510 /nfs/dbraw/zinc/69/45/10/332694510.db2.gz AAQZVILTICVKKV-MRXNPFEDSA-N 0 3 322.518 4.486 20 0 DIADHN COCCN[C@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000152118289 332694983 /nfs/dbraw/zinc/69/49/83/332694983.db2.gz LZEWPBWCABTXMV-SNVBAGLBSA-N 0 3 310.850 4.069 20 0 DIADHN CSc1cc(CN[C@H]2c3cccc(F)c3CC[C@@H]2C)ccn1 ZINC000537935392 332702801 /nfs/dbraw/zinc/70/28/01/332702801.db2.gz MPDVLOGWMDOTAW-KPZWWZAWSA-N 0 3 316.445 4.356 20 0 DIADHN CCC(C)(C)C1CCC(NCc2csc(COC)n2)CC1 ZINC000570205434 332725251 /nfs/dbraw/zinc/72/52/51/332725251.db2.gz BSPZEOWIBFZNIV-UHFFFAOYSA-N 0 3 310.507 4.374 20 0 DIADHN Cc1cc2cc(C(=O)Nc3ccccc3CN(C)C)oc2cc1C ZINC000570256469 332731211 /nfs/dbraw/zinc/73/12/11/332731211.db2.gz BWRNSUQQLONCGY-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000570391553 332749158 /nfs/dbraw/zinc/74/91/58/332749158.db2.gz AJQZWGHYWYSUOI-LZWOXQAQSA-N 0 3 307.459 4.460 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@H](SC)C1)c1cccc(Cl)c1 ZINC000570490274 332762030 /nfs/dbraw/zinc/76/20/30/332762030.db2.gz QPAKJYHVKPJWQF-PMPSAXMXSA-N 0 3 313.894 4.291 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@@H](SC)C1)c1cccc(Cl)c1 ZINC000570490273 332762041 /nfs/dbraw/zinc/76/20/41/332762041.db2.gz QPAKJYHVKPJWQF-OAGGEKHMSA-N 0 3 313.894 4.291 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](N[C@H]2CCCc3occc32)C1 ZINC000343272497 334894593 /nfs/dbraw/zinc/89/45/93/334894593.db2.gz MQTFKWLRQNRZHK-SUMDDJOVSA-N 0 3 310.441 4.082 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@@H]2CCCc3occc32)C1 ZINC000343272495 334894602 /nfs/dbraw/zinc/89/46/02/334894602.db2.gz MQTFKWLRQNRZHK-HHXXYDBFSA-N 0 3 310.441 4.082 20 0 DIADHN CCOc1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)cc1 ZINC000518827410 335113478 /nfs/dbraw/zinc/11/34/78/335113478.db2.gz RCOFTYIPWMFERN-WOJBJXKFSA-N 0 3 311.425 4.095 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)C3CCCCC3)co2)cc1 ZINC000580176771 332984709 /nfs/dbraw/zinc/98/47/09/332984709.db2.gz XIJQTTDMRQPDLX-AWEZNQCLSA-N 0 3 314.429 4.409 20 0 DIADHN OCc1cccc(CN[C@H](Cc2ccccc2)c2ccccc2)c1 ZINC000177839604 332997861 /nfs/dbraw/zinc/99/78/61/332997861.db2.gz JIQNNWDNTCVFJA-JOCHJYFZSA-N 0 3 317.432 4.253 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000178133061 333002063 /nfs/dbraw/zinc/00/20/63/333002063.db2.gz FXMLOZHDSOJOPL-STQMWFEESA-N 0 3 304.459 4.194 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2NCc1ccccc1-n1cccn1 ZINC000178145792 333002279 /nfs/dbraw/zinc/00/22/79/333002279.db2.gz HWRWUELQKZRWEN-SFHVURJKSA-N 0 3 323.827 4.303 20 0 DIADHN CCOCC(C)(C)CNCc1ccc(-c2ccncc2)cc1F ZINC000565270499 333006254 /nfs/dbraw/zinc/00/62/54/333006254.db2.gz ZBWRYYKATRKNSB-UHFFFAOYSA-N 0 3 316.420 4.040 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3cc(F)ccc32)cc1F ZINC000178406047 333010462 /nfs/dbraw/zinc/01/04/62/333010462.db2.gz BHEPCBMSRGCPRH-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCc1ncc(C)cc1C)CCC2 ZINC000518764039 333014263 /nfs/dbraw/zinc/01/42/63/333014263.db2.gz PTAGAFOZNSKDAP-KRWDZBQOSA-N 0 3 315.486 4.015 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCc3ccc(C)cc32)c1 ZINC000178520071 333014515 /nfs/dbraw/zinc/01/45/15/333014515.db2.gz FBQXSGOFTNBNPG-VBKZILBWSA-N 0 3 308.425 4.292 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C(F)F)cc2)cc1OC ZINC000178665826 333018212 /nfs/dbraw/zinc/01/82/12/333018212.db2.gz HGNAXLUKHWSYDU-GFCCVEGCSA-N 0 3 321.367 4.492 20 0 DIADHN COc1ccccc1/C=C/CNCCOc1cccc(Cl)c1 ZINC000518764508 333018370 /nfs/dbraw/zinc/01/83/70/333018370.db2.gz QPVSKIRQYVWACS-FNORWQNLSA-N 0 3 317.816 4.030 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1C[C@@H]1CCC2(CCCC2)O1 ZINC000580219287 333019833 /nfs/dbraw/zinc/01/98/33/333019833.db2.gz ZPXYCIPDEYAGKZ-QWHCGFSZSA-N 0 3 305.384 4.141 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccc(C(F)F)cc1 ZINC000462647696 333022992 /nfs/dbraw/zinc/02/29/92/333022992.db2.gz ZCOPHBDQGZKWGZ-XQRVVYSFSA-N 0 3 303.352 4.436 20 0 DIADHN Cc1cnc(CNCC(c2ccccc2)c2ccccc2)s1 ZINC000180240675 333027331 /nfs/dbraw/zinc/02/73/31/333027331.db2.gz AHRKNSKTZYWFQU-UHFFFAOYSA-N 0 3 308.450 4.373 20 0 DIADHN COCCCCCN[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000180331009 333027810 /nfs/dbraw/zinc/02/78/10/333027810.db2.gz DAUYGFHLGAVFPW-FQEVSTJZSA-N 0 3 313.441 4.191 20 0 DIADHN C[C@H](NC1CCSCC1)c1ccc(F)cc1Br ZINC000180511143 333028230 /nfs/dbraw/zinc/02/82/30/333028230.db2.gz TYEUBAHWGIFWHF-VIFPVBQESA-N 0 3 318.255 4.134 20 0 DIADHN C[C@@H](NCc1ccc(Cl)c2cccnc12)c1cccc(O)c1 ZINC000181529763 333030867 /nfs/dbraw/zinc/03/08/67/333030867.db2.gz DSIZNUMQKMVAGH-GFCCVEGCSA-N 0 3 312.800 4.445 20 0 DIADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1ccccc1OC(F)F ZINC000518766304 333032547 /nfs/dbraw/zinc/03/25/47/333032547.db2.gz UMCIXUHUTVKVQM-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN C[C@@H](NCc1ccc2nc[nH]c2c1)c1ccccc1OC(F)F ZINC000518766304 333032549 /nfs/dbraw/zinc/03/25/49/333032549.db2.gz UMCIXUHUTVKVQM-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccc2ccccc2n1 ZINC000520962841 333036573 /nfs/dbraw/zinc/03/65/73/333036573.db2.gz ULWFAZSUHYKWJU-TWGQIWQCSA-N 0 3 304.393 4.046 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000580253597 333041595 /nfs/dbraw/zinc/04/15/95/333041595.db2.gz FAHLKONIKYMSKI-FQEVSTJZSA-N 0 3 323.484 4.169 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2cccc(C)c2C)cc1 ZINC000065981155 335117961 /nfs/dbraw/zinc/11/79/61/335117961.db2.gz ASJXUWVHXVSKSV-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC(C2CCOCC2)CC1 ZINC000580273722 333049029 /nfs/dbraw/zinc/04/90/29/333049029.db2.gz NQKXRLVRLMAXCB-LJQANCHMSA-N 0 3 319.876 4.466 20 0 DIADHN CCC[C@H](CN[C@H](C)c1ccc(OC(F)(F)F)cc1)OC ZINC000378829515 333055644 /nfs/dbraw/zinc/05/56/44/333055644.db2.gz JFVDHMCFGXOKEL-BXUZGUMPSA-N 0 3 305.340 4.051 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccnc2ccccc12 ZINC000378870789 333058729 /nfs/dbraw/zinc/05/87/29/333058729.db2.gz NCLWLKMWHPZQJI-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)[C@H](NCc1ccc(-n2cccn2)cc1)c1ccc(F)cc1 ZINC000378876616 333059035 /nfs/dbraw/zinc/05/90/35/333059035.db2.gz APERLDFZWQRQNA-FQEVSTJZSA-N 0 3 323.415 4.498 20 0 DIADHN CCSc1ccccc1[C@H](C)NCCOc1ncccc1F ZINC000540147297 333060903 /nfs/dbraw/zinc/06/09/03/333060903.db2.gz KKLMXCKQICSXNW-ZDUSSCGKSA-N 0 3 320.433 4.062 20 0 DIADHN C[C@@H](NC1CCN(c2ccccc2)CC1)c1c(F)cccc1F ZINC000378893854 333061376 /nfs/dbraw/zinc/06/13/76/333061376.db2.gz VBIWWOQSIJZIDQ-CQSZACIVSA-N 0 3 316.395 4.284 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccncc2)cc1Br ZINC000183713278 333061658 /nfs/dbraw/zinc/06/16/58/333061658.db2.gz OOCQFJGFBBBPLR-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1cccc2ccccc21 ZINC000378913136 333063378 /nfs/dbraw/zinc/06/33/78/333063378.db2.gz KEYGVKWWACIWBC-HNNXBMFYSA-N 0 3 301.393 4.338 20 0 DIADHN C[C@@H](NCCCCCn1cccn1)c1ccc(F)cc1Cl ZINC000183788961 333063406 /nfs/dbraw/zinc/06/34/06/333063406.db2.gz PUZKDASSTZZSGK-CYBMUJFWSA-N 0 3 309.816 4.197 20 0 DIADHN CCc1ccc([C@@H](NCc2cnn3ccccc23)C(C)C)cc1 ZINC000378913906 333063411 /nfs/dbraw/zinc/06/34/11/333063411.db2.gz KRFQLEXUGDULHD-FQEVSTJZSA-N 0 3 307.441 4.384 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccc2c(c1)CCCC2 ZINC000378918698 333064051 /nfs/dbraw/zinc/06/40/51/333064051.db2.gz NTFGSCJRGUYPLM-OAHLLOKOSA-N 0 3 305.425 4.064 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1ccc(Br)o1 ZINC000378928267 333065260 /nfs/dbraw/zinc/06/52/60/333065260.db2.gz UTSCYNBTEGRDJQ-QMMMGPOBSA-N 0 3 314.145 4.253 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000460348454 333068181 /nfs/dbraw/zinc/06/81/81/333068181.db2.gz FJYQGWZTSVFTPM-UONOGXRCSA-N 0 3 305.447 4.103 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000460348452 333068245 /nfs/dbraw/zinc/06/82/45/333068245.db2.gz FJYQGWZTSVFTPM-KBPBESRZSA-N 0 3 305.447 4.103 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000460349496 333068821 /nfs/dbraw/zinc/06/88/21/333068821.db2.gz HLGMHRMBUWHRLD-TZMCWYRMSA-N 0 3 305.447 4.247 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(CCOc2ccc(Cl)cc2)C1 ZINC000460353125 333069623 /nfs/dbraw/zinc/06/96/23/333069623.db2.gz PGEFBFJQBYGWJK-KSSFIOAISA-N 0 3 316.832 4.197 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000460358674 333070953 /nfs/dbraw/zinc/07/09/53/333070953.db2.gz XRUCWXKSBJGUHK-QWHCGFSZSA-N 0 3 305.447 4.103 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N2CC[C@H](CC(C)C)C2)cc1 ZINC000466747639 333082701 /nfs/dbraw/zinc/08/27/01/333082701.db2.gz LXMLESGHJAEDOU-LSDHHAIUSA-N 0 3 320.502 4.104 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1cn2ccccc2n1 ZINC000462730399 333097196 /nfs/dbraw/zinc/09/71/96/333097196.db2.gz VVTMRLOEVRLURC-SFHVURJKSA-N 0 3 307.441 4.225 20 0 DIADHN C[C@H](CNCc1ccc(F)c(F)c1)Oc1ccccc1Cl ZINC000462736126 333101162 /nfs/dbraw/zinc/10/11/62/333101162.db2.gz NBDSOMLQOCZSRT-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H](C)Oc1ccccc1Cl ZINC000462736628 333101172 /nfs/dbraw/zinc/10/11/72/333101172.db2.gz NYXGFJYYFVXWDI-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)c(F)c1)Oc1cccc(F)c1 ZINC000462739124 333103314 /nfs/dbraw/zinc/10/33/14/333103314.db2.gz VIUPSWFPSVFQEP-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000314406229 333108792 /nfs/dbraw/zinc/10/87/92/333108792.db2.gz VWTAREAHCOVGMS-YPMHNXCESA-N 0 3 305.315 4.045 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@@H]1c1ccccc1Cl)N(CC)CC ZINC000460967919 333119591 /nfs/dbraw/zinc/11/95/91/333119591.db2.gz GUTOCMQHLDVZRJ-IAGOWNOFSA-N 0 3 322.880 4.124 20 0 DIADHN Cc1nccn1CCN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000323404384 333121714 /nfs/dbraw/zinc/12/17/14/333121714.db2.gz BPDCMZKIOAUYEU-INIZCTEOSA-N 0 3 305.425 4.209 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N[C@@H](C)c1ccoc1 ZINC000540164812 333122786 /nfs/dbraw/zinc/12/27/86/333122786.db2.gz XZROOGYKHCYFTC-RYUDHWBXSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@H](NCCC[C@H]1CCCC[C@@H]1O)c1ccc(F)cc1Cl ZINC000540165745 333125486 /nfs/dbraw/zinc/12/54/86/333125486.db2.gz WNWJMIHLCHQQSO-AHIWAGSCSA-N 0 3 313.844 4.461 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(c2ccccc2)CCC1 ZINC000177303520 333126934 /nfs/dbraw/zinc/12/69/34/333126934.db2.gz TTWXREDQIMLGJU-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN COc1cccc([C@H](C)NCc2cccc(OCC(F)F)c2)c1 ZINC000177303817 333126985 /nfs/dbraw/zinc/12/69/85/333126985.db2.gz PIRMMJICOWGFGW-ZDUSSCGKSA-N 0 3 321.367 4.190 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000540165769 333127109 /nfs/dbraw/zinc/12/71/09/333127109.db2.gz XFRIMDMGDTUCQT-JCGVRSQUSA-N 0 3 305.368 4.392 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000540165772 333127450 /nfs/dbraw/zinc/12/74/50/333127450.db2.gz XFRIMDMGDTUCQT-VFVRVIDISA-N 0 3 305.368 4.392 20 0 DIADHN Cc1ccc2cc(C(=O)Nc3cc(CN(C)C)ccc3C)[nH]c2c1 ZINC000177341982 333127458 /nfs/dbraw/zinc/12/74/58/333127458.db2.gz GVWXZARMMJMHFB-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCCc1ccccc1 ZINC000177360422 333127870 /nfs/dbraw/zinc/12/78/70/333127870.db2.gz OOHVXTICILSBPW-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN CCC[C@H](N[C@@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000314531874 333139576 /nfs/dbraw/zinc/13/95/76/333139576.db2.gz BPCJUUSCTIAZHG-YOEHRIQHSA-N 0 3 322.880 4.172 20 0 DIADHN CCN(Cc1c(OC(F)F)ccc2ccccc21)[C@@H]1CCOC1 ZINC000463033766 333141429 /nfs/dbraw/zinc/14/14/29/333141429.db2.gz LBHFCLQVDWTCHJ-CQSZACIVSA-N 0 3 321.367 4.052 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cn(C)nc2C)c2ccccc12 ZINC000178716753 333142721 /nfs/dbraw/zinc/14/27/21/333142721.db2.gz LXAZINVHVMUSKM-KGLIPLIRSA-N 0 3 323.440 4.302 20 0 DIADHN Cc1ccc(C[C@H](C)C(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000181904253 333147340 /nfs/dbraw/zinc/14/73/40/333147340.db2.gz NNILGMJLIHSGOB-KRWDZBQOSA-N 0 3 324.468 4.182 20 0 DIADHN CC[N@H+](CCCCC(=O)OC(C)(C)C)[C@H](C)c1cccc([O-])c1 ZINC000181958162 333147347 /nfs/dbraw/zinc/14/73/47/333147347.db2.gz MHUVQKWXXGQVTO-OAHLLOKOSA-N 0 3 321.461 4.287 20 0 DIADHN CC[N@@H+](CCCCC(=O)OC(C)(C)C)[C@H](C)c1cccc([O-])c1 ZINC000181958162 333147348 /nfs/dbraw/zinc/14/73/48/333147348.db2.gz MHUVQKWXXGQVTO-OAHLLOKOSA-N 0 3 321.461 4.287 20 0 DIADHN CCc1nc(C)c(CN[C@H](C)CC(C)(C)c2ccccc2)o1 ZINC000320690004 335125630 /nfs/dbraw/zinc/12/56/30/335125630.db2.gz NAQGAESMYCOIML-CQSZACIVSA-N 0 3 300.446 4.391 20 0 DIADHN CCN(CC)CC(=O)N1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000522676484 333162229 /nfs/dbraw/zinc/16/22/29/333162229.db2.gz BOOISRZCUINYNV-KRWDZBQOSA-N 0 3 322.880 4.126 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](CC)c1cccc(Cl)c1F ZINC000461090866 333176647 /nfs/dbraw/zinc/17/66/47/333176647.db2.gz UJJGNBPPZSIMIR-SWLSCSKDSA-N 0 3 301.833 4.319 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@@H]1c1ccc(Cl)cc1)N(CC)CC ZINC000460869065 333179532 /nfs/dbraw/zinc/17/95/32/333179532.db2.gz FKABYMGZYZKGFK-IAGOWNOFSA-N 0 3 322.880 4.124 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@@H]1c1ccc(Cl)cc1)N(CC)CC ZINC000460869064 333179586 /nfs/dbraw/zinc/17/95/86/333179586.db2.gz FKABYMGZYZKGFK-DLBZAZTESA-N 0 3 322.880 4.124 20 0 DIADHN CC(C)(C)N1CCCN(Cc2ccc(C(F)(F)F)s2)CC1 ZINC000582040140 333181121 /nfs/dbraw/zinc/18/11/21/333181121.db2.gz RWRXWZJPTQAPTK-UHFFFAOYSA-N 0 3 320.424 4.073 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H](C)c2cc(C)oc2C)n1 ZINC000582042691 333182773 /nfs/dbraw/zinc/18/27/73/333182773.db2.gz ZMXJEPZVIBCABJ-OAHLLOKOSA-N 0 3 309.413 4.241 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCCC23CCCCC3)c(C)c1 ZINC000533933227 333193603 /nfs/dbraw/zinc/19/36/03/333193603.db2.gz PJRMAUNDZVFAMB-UHFFFAOYSA-N 0 3 314.473 4.349 20 0 DIADHN C[C@@H](CCCC(F)(F)F)Nc1cccc(OCCN(C)C)c1 ZINC000318640577 333197302 /nfs/dbraw/zinc/19/73/02/333197302.db2.gz RNLDEGFNDTZGGV-ZDUSSCGKSA-N 0 3 318.383 4.160 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)CN(C)Cc2ccccc2)c(C)o1 ZINC000309864349 333203919 /nfs/dbraw/zinc/20/39/19/333203919.db2.gz DZIBDPRVQWKIIC-GOEBONIOSA-N 0 3 300.446 4.068 20 0 DIADHN CS[C@H]1CC[C@@H]1NCc1cc(Cl)ccc1Br ZINC000309897286 333206193 /nfs/dbraw/zinc/20/61/93/333206193.db2.gz GIXRCAUNRNUEGZ-RYUDHWBXSA-N 0 3 320.683 4.086 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000185625047 333210564 /nfs/dbraw/zinc/21/05/64/333210564.db2.gz VPCSONIZNDPILO-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN O[C@H](CNCc1cccc(OC2CCCC2)c1)C1CCCCC1 ZINC000518783581 333214921 /nfs/dbraw/zinc/21/49/21/333214921.db2.gz OPOANWUWFZANQD-HXUWFJFHSA-N 0 3 317.473 4.039 20 0 DIADHN C[C@H](NCCn1cnc2ccccc21)c1ccc(F)c(Cl)c1 ZINC000500194486 333224368 /nfs/dbraw/zinc/22/43/68/333224368.db2.gz WUVOTMZXGDZTQV-LBPRGKRZSA-N 0 3 317.795 4.180 20 0 DIADHN Cc1cccc(CCN[C@H](CC(F)(F)F)c2ccccc2)n1 ZINC000563061931 333226709 /nfs/dbraw/zinc/22/67/09/333226709.db2.gz UXFFULJPVRKBRD-MRXNPFEDSA-N 0 3 308.347 4.216 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(-c3ccccc3)cc21)c1cnn(C)c1 ZINC000187244838 333229707 /nfs/dbraw/zinc/22/97/07/333229707.db2.gz GAAASVFATMNDHF-YCRPNKLZSA-N 0 3 317.436 4.425 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(-c3ccccc3)cc21)c1cnn(C)c1 ZINC000187244887 333229774 /nfs/dbraw/zinc/22/97/74/333229774.db2.gz GAAASVFATMNDHF-VFNWGFHPSA-N 0 3 317.436 4.425 20 0 DIADHN Cc1ccc(C(=O)CCN2CC[C@H](C(F)(F)F)C2(C)C)cc1 ZINC000318978075 333237128 /nfs/dbraw/zinc/23/71/28/333237128.db2.gz IAKWQZITEIQTQO-HNNXBMFYSA-N 0 3 313.363 4.231 20 0 DIADHN Fc1ccc(-c2ccc(CNCC[C@H]3CCCCO3)o2)cc1 ZINC000318978775 333237426 /nfs/dbraw/zinc/23/74/26/333237426.db2.gz ITMJMGFCIBNCFD-MRXNPFEDSA-N 0 3 303.377 4.135 20 0 DIADHN CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Oc2ccccc2)cc1 ZINC000497348278 333239006 /nfs/dbraw/zinc/23/90/06/333239006.db2.gz WGSZMCVDRSCJCK-YRISNDGFSA-N 0 3 323.436 4.457 20 0 DIADHN C/C=C\c1ccc(NC(=O)NC[C@H](c2ccccc2)N(C)C)cc1 ZINC000497379530 333242611 /nfs/dbraw/zinc/24/26/11/333242611.db2.gz ABGCRPAGVHQDDY-LKJUBWIJSA-N 0 3 323.440 4.144 20 0 DIADHN CCCCNC(=O)[C@@H]1CCCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC000497390359 333244837 /nfs/dbraw/zinc/24/48/37/333244837.db2.gz QPZWKTGAPOZUMY-GDBMZVCRSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)Nc1ccccc1C(C)C ZINC000500479999 333245145 /nfs/dbraw/zinc/24/51/45/333245145.db2.gz QUDOUMUIMYFEQF-MRXNPFEDSA-N 0 3 302.462 4.259 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H](c2cccs2)C2CC2)c1 ZINC000514085455 333249436 /nfs/dbraw/zinc/24/94/36/333249436.db2.gz WFUGUGJXRYGLHU-BONVTDFDSA-N 0 3 321.467 4.398 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC000497424971 333249751 /nfs/dbraw/zinc/24/97/51/333249751.db2.gz DULFDFUYSPTENA-ILZDJORESA-N 0 3 316.489 4.010 20 0 DIADHN FC(F)(F)Oc1cccc(CNCCOC2CCCCC2)c1 ZINC000319051532 333249870 /nfs/dbraw/zinc/24/98/70/333249870.db2.gz JVTZGBHFQCPGAO-UHFFFAOYSA-N 0 3 317.351 4.024 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)cc1 ZINC000514085994 333252299 /nfs/dbraw/zinc/25/22/99/333252299.db2.gz XYPYJZDITIRSCA-QGZVFWFLSA-N 0 3 301.409 4.003 20 0 DIADHN COc1ccccc1OCCN(Cc1cccc(F)c1)C(C)C ZINC000514086375 333252441 /nfs/dbraw/zinc/25/24/41/333252441.db2.gz AFSOIMDAUYABCH-UHFFFAOYSA-N 0 3 317.404 4.124 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ccnn2C)C1 ZINC000497497857 333256389 /nfs/dbraw/zinc/25/63/89/333256389.db2.gz XQGYLGKNKJXYRW-ZFWWWQNUSA-N 0 3 303.837 4.014 20 0 DIADHN CCn1nc(CN[C@H](C)CCc2ccccc2)c2ccccc21 ZINC000390270955 333256431 /nfs/dbraw/zinc/25/64/31/333256431.db2.gz RCIHJTHYUQUCHN-MRXNPFEDSA-N 0 3 307.441 4.167 20 0 DIADHN CC(C)[C@@H](NCCCOC1CCCCC1)c1ccc(F)cn1 ZINC000497522997 333258596 /nfs/dbraw/zinc/25/85/96/333258596.db2.gz JUAPVCVQKNJPFT-GOSISDBHSA-N 0 3 308.441 4.247 20 0 DIADHN C[C@@H]1C[C@@H]1CN[C@H](c1ccco1)c1ccc(Br)cc1 ZINC000497525619 333259473 /nfs/dbraw/zinc/25/94/73/333259473.db2.gz JZXSXARHEHJTNE-KFNAQCHYSA-N 0 3 320.230 4.377 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000390328908 333267138 /nfs/dbraw/zinc/26/71/38/333267138.db2.gz ZIOZZWHWMZOHRY-HUUCEWRRSA-N 0 3 311.429 4.206 20 0 DIADHN C[C@H](CCc1ccccc1)N[C@@H](C)c1nc2ccccc2n1C ZINC000390393943 333276307 /nfs/dbraw/zinc/27/63/07/333276307.db2.gz FAQICQLIMBTRNJ-CVEARBPZSA-N 0 3 307.441 4.245 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccccc1C(F)(F)F)C1CC1 ZINC000388358732 333280882 /nfs/dbraw/zinc/28/08/82/333280882.db2.gz RUSIYVVHCPFIGK-RWSFTLGLSA-N 0 3 301.352 4.170 20 0 DIADHN C[C@@H](CCc1ccco1)NCc1ccccc1Br ZINC000020145100 333281782 /nfs/dbraw/zinc/28/17/82/333281782.db2.gz GDQHFMZNIOLNSU-LBPRGKRZSA-N 0 3 308.219 4.153 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccccc21)c1ccc(OC)c(OC)c1 ZINC000120477958 333284650 /nfs/dbraw/zinc/28/46/50/333284650.db2.gz FYQFVLZXSUKWLR-ROUUACIJSA-N 0 3 311.425 4.432 20 0 DIADHN CC[C@H](NC[C@H]1COc2ccccc21)c1cc(C)ccc1OC ZINC000582114364 333284910 /nfs/dbraw/zinc/28/49/10/333284910.db2.gz KYZJIBMAAGJRJJ-YJBOKZPZSA-N 0 3 311.425 4.221 20 0 DIADHN CN(Cc1nc2ccccc2n1C)[C@H]1CCC[C@H]1c1ccccc1 ZINC000497749962 333286974 /nfs/dbraw/zinc/28/69/74/333286974.db2.gz JULXVPMYYMDFJT-HKUYNNGSSA-N 0 3 319.452 4.341 20 0 DIADHN CN(Cc1nc2ccccc2n1C)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000497749964 333287051 /nfs/dbraw/zinc/28/70/51/333287051.db2.gz JULXVPMYYMDFJT-MJGOQNOKSA-N 0 3 319.452 4.341 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3ccccc32)cc1OC ZINC000120514921 333289598 /nfs/dbraw/zinc/28/95/98/333289598.db2.gz RZPMITZNUSUPKK-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000502388473 333290838 /nfs/dbraw/zinc/29/08/38/333290838.db2.gz FAHKPFJKKAJBGT-LXIYXOSZSA-N 0 3 305.393 4.462 20 0 DIADHN C[C@H](N[C@H]1CC12CCCC2)c1sccc1Br ZINC000390556003 333299087 /nfs/dbraw/zinc/29/90/87/333299087.db2.gz QKSXVJNTWMGZKV-ONGXEEELSA-N 0 3 300.265 4.494 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@H](c2ccc(F)cn2)C(C)C)c1 ZINC000497838298 333299524 /nfs/dbraw/zinc/29/95/24/333299524.db2.gz XXKKQGGWQPAGGX-BEFAXECRSA-N 0 3 316.420 4.283 20 0 DIADHN CC(C)(C)[C@H](NCCSCCCO)c1cc2ccccc2o1 ZINC000497844273 333300501 /nfs/dbraw/zinc/30/05/01/333300501.db2.gz AKNIPEAEVVIILL-QGZVFWFLSA-N 0 3 321.486 4.225 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@@H]1CCCN1Cc1ccccc1 ZINC000390563862 333300566 /nfs/dbraw/zinc/30/05/66/333300566.db2.gz NRAUTFONQURQFV-HKUYNNGSSA-N 0 3 324.468 4.010 20 0 DIADHN c1ncc(CN(Cc2ccc3c(c2)CCO3)C2CCCC2)s1 ZINC000093054079 333302594 /nfs/dbraw/zinc/30/25/94/333302594.db2.gz UGHQLJAEGMXCRI-UHFFFAOYSA-N 0 3 314.454 4.023 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@H](c1ccc(F)cn1)C(C)C ZINC000497861663 333302790 /nfs/dbraw/zinc/30/27/90/333302790.db2.gz XHGXCRQDPHPRKI-KXBFYZLASA-N 0 3 316.420 4.283 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1cscc1Br ZINC000390615151 333306601 /nfs/dbraw/zinc/30/66/01/333306601.db2.gz XYYRJGAXSDTGPT-VIFPVBQESA-N 0 3 310.207 4.036 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)Oc1ccc(F)cc1)c1ccc(F)cn1 ZINC000497868222 333303527 /nfs/dbraw/zinc/30/35/27/333303527.db2.gz NTMRQYFQWHHVTI-FZKQIMNGSA-N 0 3 320.383 4.114 20 0 DIADHN Fc1cc(Cl)ccc1CNCCCn1cnc2ccccc21 ZINC000319445954 333304302 /nfs/dbraw/zinc/30/43/02/333304302.db2.gz RRMXAHXGDNGOPG-UHFFFAOYSA-N 0 3 317.795 4.009 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)COc2ccc(F)cc2F)oc1C ZINC000502685972 333304466 /nfs/dbraw/zinc/30/44/66/333304466.db2.gz IEBXODDGQMVLEX-RYUDHWBXSA-N 0 3 309.356 4.293 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cn3cc(Cl)ccc3n2)C1 ZINC000525781047 333305375 /nfs/dbraw/zinc/30/53/75/333305375.db2.gz XQRYOSSJHRCBLB-CABCVRRESA-N 0 3 323.893 4.094 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1cscc1Br ZINC000390615150 333306647 /nfs/dbraw/zinc/30/66/47/333306647.db2.gz XYYRJGAXSDTGPT-SECBINFHSA-N 0 3 310.207 4.036 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc(C)ccc2F)c1 ZINC000320726495 335132846 /nfs/dbraw/zinc/13/28/46/335132846.db2.gz JMXFZJXCPOLNFI-UHFFFAOYSA-N 0 3 314.404 4.228 20 0 DIADHN CCC[C@](C)(N)C(=O)N1CCC(c2cccc3ccccc32)CC1 ZINC000497913450 333309158 /nfs/dbraw/zinc/30/91/58/333309158.db2.gz KCCMGHTTWBOGOA-NRFANRHFSA-N 0 3 324.468 4.063 20 0 DIADHN Cc1cccc(CCN[C@@H](c2ccccc2)c2ccncc2)c1 ZINC000390641921 333310139 /nfs/dbraw/zinc/31/01/39/333310139.db2.gz HHZKXWXTIPXGBV-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN CC[C@@H](CSC)N[C@H]1CCc2sc(Br)cc21 ZINC000390681306 333314752 /nfs/dbraw/zinc/31/47/52/333314752.db2.gz XOIQZAMMPVUWCN-WPRPVWTQSA-N 0 3 320.321 4.229 20 0 DIADHN CCN(C(=O)CC(C)(C)C)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000498007663 333319659 /nfs/dbraw/zinc/31/96/59/333319659.db2.gz CFLZAMKRGQHOBX-WMZOPIPTSA-N 0 3 316.489 4.107 20 0 DIADHN CCN(C(=O)CC(C)(C)C)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000498007661 333319699 /nfs/dbraw/zinc/31/96/99/333319699.db2.gz CFLZAMKRGQHOBX-AEFFLSMTSA-N 0 3 316.489 4.107 20 0 DIADHN CC(C)(NCC1=Cc2ccccc2OC1)c1ccc(F)c(F)c1 ZINC000531191651 333329939 /nfs/dbraw/zinc/32/99/39/333329939.db2.gz SWRIDRVAKDXXGP-UHFFFAOYSA-N 0 3 315.363 4.266 20 0 DIADHN Fc1ccc([C@@H](NCCCOCC2CC2)c2ccccc2)cc1 ZINC000320733412 335134084 /nfs/dbraw/zinc/13/40/84/335134084.db2.gz XTJPSPSWTVMZGV-FQEVSTJZSA-N 0 3 313.416 4.321 20 0 DIADHN CC(F)(F)CCN[C@H]1CCc2c1cccc2Br ZINC000383094924 333334342 /nfs/dbraw/zinc/33/43/42/333334342.db2.gz MHUHCGUISBMORK-LBPRGKRZSA-N 0 3 304.178 4.071 20 0 DIADHN CC[C@@H](NCCn1c(C)nc2ccccc21)c1cccc(F)c1 ZINC000323470489 333339261 /nfs/dbraw/zinc/33/92/61/333339261.db2.gz XLVGNPRFBHEDQM-QGZVFWFLSA-N 0 3 311.404 4.225 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)[C@@H](C)OC)c2ccc(C)cc2)cc1 ZINC000537939154 333339517 /nfs/dbraw/zinc/33/95/17/333339517.db2.gz FIEALSXIXDAXCJ-RZQQEMMASA-N 0 3 313.441 4.106 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2-n2cccn2)cc(C)c1F ZINC000537939780 333340705 /nfs/dbraw/zinc/34/07/05/333340705.db2.gz FITJJOWJPXQFIP-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN Fc1cccc(CN[C@@H]2CCc3cc(Br)ccc32)c1 ZINC000040226716 333341686 /nfs/dbraw/zinc/34/16/86/333341686.db2.gz DQWTZWBCCAMOSW-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN COc1cc(Cl)c(CNC[C@H]2CCC(C)(C)O2)cc1Cl ZINC000495160721 333352480 /nfs/dbraw/zinc/35/24/80/333352480.db2.gz UDIKZBBLEYTOPJ-LLVKDONJSA-N 0 3 318.244 4.049 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc(-n3cccn3)cc2)c1 ZINC000583454024 333357066 /nfs/dbraw/zinc/35/70/66/333357066.db2.gz RXONDHABLGJDOI-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CC(C)(C)C(C)(C)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000583457559 333357474 /nfs/dbraw/zinc/35/74/74/333357474.db2.gz LMTYRMBREIXQFG-AWEZNQCLSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C1CCCC1 ZINC000184657675 333363088 /nfs/dbraw/zinc/36/30/88/333363088.db2.gz UTHZACWIARUKOT-LLVKDONJSA-N 0 3 305.809 4.058 20 0 DIADHN CCC[C@](C)(O)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000388644778 333366874 /nfs/dbraw/zinc/36/68/74/333366874.db2.gz CUSJVAOTUSLXFC-OALUTQOASA-N 0 3 301.405 4.056 20 0 DIADHN CCc1nc(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000531452454 333372404 /nfs/dbraw/zinc/37/24/04/333372404.db2.gz YFPHYXUKIIYVNZ-GHMZBOCLSA-N 0 3 306.397 4.164 20 0 DIADHN CCc1nc(CNC[C@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000531452463 333372411 /nfs/dbraw/zinc/37/24/11/333372411.db2.gz YFPHYXUKIIYVNZ-WDEREUQCSA-N 0 3 306.397 4.164 20 0 DIADHN O[C@@]1(CNc2ccnc3ccccc32)CCC[C@H](C(F)(F)F)C1 ZINC000186720477 333376827 /nfs/dbraw/zinc/37/68/27/333376827.db2.gz GAAXEIMUOAZYRG-LRDDRELGSA-N 0 3 324.346 4.130 20 0 DIADHN COC[C@H](N[C@H]1CS[C@H](C(C)(C)C)C1)c1ccc(F)cc1 ZINC000488442682 333377935 /nfs/dbraw/zinc/37/79/35/333377935.db2.gz FKZPPLUXNWVLGE-PMPSAXMXSA-N 0 3 311.466 4.023 20 0 DIADHN Cc1csc([C@H](N[C@@H]2CS[C@H](C(C)(C)C)C2)C2CC2)n1 ZINC000488451879 333378917 /nfs/dbraw/zinc/37/89/17/333378917.db2.gz FVJIYTRTAXBVQE-MELADBBJSA-N 0 3 310.532 4.412 20 0 DIADHN C[C@H]1CCCCN1C(=O)CN1CCCCC[C@H]1c1ccccc1 ZINC000521426321 333381559 /nfs/dbraw/zinc/38/15/59/333381559.db2.gz SLKBBQZHSJFLSW-HKUYNNGSSA-N 0 3 314.473 4.005 20 0 DIADHN C[C@H](C1CC1)N(Cc1csc(Br)c1)C1CC1 ZINC000521428603 333382333 /nfs/dbraw/zinc/38/23/33/333382333.db2.gz IJEUTYZMUFKOLT-SECBINFHSA-N 0 3 300.265 4.274 20 0 DIADHN COc1cccc(-c2nc(CN(C)[C@@H](C)C(C)C)cs2)c1 ZINC000521429904 333382714 /nfs/dbraw/zinc/38/27/14/333382714.db2.gz GWWSRLYNFIUXLA-ZDUSSCGKSA-N 0 3 304.459 4.295 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C1CCCCC1)c1cnc2ccsc2c1 ZINC000188657120 333384245 /nfs/dbraw/zinc/38/42/45/333384245.db2.gz JSYSZPIVRPUDCR-FZKQIMNGSA-N 0 3 318.486 4.278 20 0 DIADHN CCCCCN(C)Cc1ccc(OC(F)F)c(OCC)c1 ZINC000522775234 333388481 /nfs/dbraw/zinc/38/84/81/333388481.db2.gz GGJGQDALSJLRMZ-UHFFFAOYSA-N 0 3 301.377 4.309 20 0 DIADHN CN(CC[S@](=O)c1cc(Cl)ccc1Cl)CC(C)(C)C ZINC000522775601 333388741 /nfs/dbraw/zinc/38/87/41/333388741.db2.gz IVHCAVBUWRZQAE-IBGZPJMESA-N 0 3 322.301 4.079 20 0 DIADHN CN(CC[S@@](=O)c1cc(Cl)ccc1Cl)CC(C)(C)C ZINC000522775602 333388849 /nfs/dbraw/zinc/38/88/49/333388849.db2.gz IVHCAVBUWRZQAE-LJQANCHMSA-N 0 3 322.301 4.079 20 0 DIADHN CC[C@H](C)CN(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000522777764 333389356 /nfs/dbraw/zinc/38/93/56/333389356.db2.gz WWDIYQIIURMXNO-WLRWDXFRSA-N 0 3 322.301 4.079 20 0 DIADHN CCOc1ccc(CNCc2ccc(Cl)cc2)cc1OC ZINC000021808080 333390279 /nfs/dbraw/zinc/39/02/79/333390279.db2.gz VELSMTQIFVYIGU-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN CC(C)OCCCCNCc1ccc(CSC(F)F)o1 ZINC000228565944 333390886 /nfs/dbraw/zinc/39/08/86/333390886.db2.gz MNXKHEFZTFJEMC-UHFFFAOYSA-N 0 3 307.406 4.030 20 0 DIADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1ccc2ccccc2c1OC(F)F ZINC000563126363 333394848 /nfs/dbraw/zinc/39/48/48/333394848.db2.gz RVAXTPUGQDTGMU-DYEKYZERSA-N 0 3 321.367 4.269 20 0 DIADHN Cc1cnc([C@H](C)S[C@H]2CCCN(Cc3ccccc3)C2)o1 ZINC000563128722 333395224 /nfs/dbraw/zinc/39/52/24/333395224.db2.gz VNOYDFHZLJCICE-RDJZCZTQSA-N 0 3 316.470 4.442 20 0 DIADHN CC[C@H](CNCc1ccc(-c2nc3ccccc3s2)o1)OC ZINC000319709703 333399028 /nfs/dbraw/zinc/39/90/28/333399028.db2.gz JETZWRQKHZBZCU-GFCCVEGCSA-N 0 3 316.426 4.071 20 0 DIADHN Cc1ccnc(N[C@H]2CCN(Cc3ccccc3)CC2(C)C)c1 ZINC000390851798 333399542 /nfs/dbraw/zinc/39/95/42/333399542.db2.gz HERVFEUUJJNJIR-SFHVURJKSA-N 0 3 309.457 4.103 20 0 DIADHN Cc1cccc(N[C@@H]2CCN(Cc3ccccc3)CC2(C)C)n1 ZINC000390853723 333399932 /nfs/dbraw/zinc/39/99/32/333399932.db2.gz HSOHKFKEVLWRFL-GOSISDBHSA-N 0 3 309.457 4.103 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc2c(c1)OCO2 ZINC000021520845 333423827 /nfs/dbraw/zinc/42/38/27/333423827.db2.gz KSUWVDXRMIHKFV-NWDGAFQWSA-N 0 3 303.789 4.481 20 0 DIADHN c1ccc([C@@H]2CCCC[C@H]2NCc2nc3ccccc3[nH]2)cc1 ZINC000320093059 333424568 /nfs/dbraw/zinc/42/45/68/333424568.db2.gz DGRPAUMUONGXLF-DLBZAZTESA-N 0 3 305.425 4.379 20 0 DIADHN c1csc(-c2n[nH]cc2CN[C@@H]2CCCc3sccc32)c1 ZINC000061360151 333427994 /nfs/dbraw/zinc/42/79/94/333427994.db2.gz MEAIUKAKKLMBAV-CYBMUJFWSA-N 0 3 315.467 4.367 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000391343545 333453791 /nfs/dbraw/zinc/45/37/91/333453791.db2.gz DBGJCWKBIMXVSJ-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@H]2CCCC[C@H]2C2CC2)c(F)c1 ZINC000563195270 333458771 /nfs/dbraw/zinc/45/87/71/333458771.db2.gz OOBKHMWJUUXKSQ-HOTGVXAUSA-N 0 3 318.436 4.042 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@H]2CCCC[C@@H]2C2CC2)c(F)c1 ZINC000563195268 333458817 /nfs/dbraw/zinc/45/88/17/333458817.db2.gz OOBKHMWJUUXKSQ-CVEARBPZSA-N 0 3 318.436 4.042 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccccc1C(F)(F)F)CC2 ZINC000320788904 335141977 /nfs/dbraw/zinc/14/19/77/335141977.db2.gz NOOKSEXVTOILAG-KRWDZBQOSA-N 0 3 321.342 4.491 20 0 DIADHN Cc1nccn1CCCN[C@H](C)c1cc(Cl)sc1Cl ZINC000338010244 333467837 /nfs/dbraw/zinc/46/78/37/333467837.db2.gz KHVXQFJOUALREA-SECBINFHSA-N 0 3 318.273 4.301 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nccc2ccccc21)Oc1cccc(F)c1 ZINC000571009820 333478811 /nfs/dbraw/zinc/47/88/11/333478811.db2.gz PKHQMNKOFNHOHV-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN COCC(C)(C)CCCNCc1nc(C(C)C)n2ccccc12 ZINC000563257347 333514499 /nfs/dbraw/zinc/51/44/99/333514499.db2.gz KCAYUHVQBIBPJC-UHFFFAOYSA-N 0 3 317.477 4.000 20 0 DIADHN CC[C@@H](CN[C@H](C)c1sc(-c2ccc(F)cc2)nc1C)OC ZINC000563262796 333515240 /nfs/dbraw/zinc/51/52/40/333515240.db2.gz ROGQZTRWMIURIE-ABAIWWIYSA-N 0 3 322.449 4.333 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@]1(C)CCC[C@H]1C ZINC000410594671 333518201 /nfs/dbraw/zinc/51/82/01/333518201.db2.gz VAKOTNXGQGLSAJ-OXQOHEQNSA-N 0 3 314.473 4.356 20 0 DIADHN C[C@H](NCCc1ccc(O)cc1)c1ccccc1Br ZINC000040735300 333535081 /nfs/dbraw/zinc/53/50/81/333535081.db2.gz DOYABIKUUITYSI-LBPRGKRZSA-N 0 3 320.230 4.048 20 0 DIADHN C[C@H](NC[C@H](c1ccsc1)N(C)C)c1ccc(Cl)cc1 ZINC000531687230 333543498 /nfs/dbraw/zinc/54/34/98/333543498.db2.gz AUUSDVQCXIXRAV-BLLLJJGKSA-N 0 3 308.878 4.355 20 0 DIADHN COc1ccc(CCN[C@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000531676885 333543594 /nfs/dbraw/zinc/54/35/94/333543594.db2.gz IZEQCSJOZDDXNP-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](CC2CC2)c2ccccc2)cc1 ZINC000531691652 333545761 /nfs/dbraw/zinc/54/57/61/333545761.db2.gz YYBRKKFBGBIYBZ-FQEVSTJZSA-N 0 3 322.452 4.067 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000531701960 333547754 /nfs/dbraw/zinc/54/77/54/333547754.db2.gz SADMWRJLRSKWKC-ROUUACIJSA-N 0 3 301.817 4.305 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000531707931 333548528 /nfs/dbraw/zinc/54/85/28/333548528.db2.gz PSQQSZLCCCPRCV-UFYCRDLUSA-N 0 3 311.400 4.323 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(C)cc1OC ZINC000531739098 333551821 /nfs/dbraw/zinc/55/18/21/333551821.db2.gz KCACWQMZACOHLX-KDOFPFPSSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](c2ccc(Cl)cc2)N(C)C)o1 ZINC000531738524 333551832 /nfs/dbraw/zinc/55/18/32/333551832.db2.gz YDWNJCMBBRNCSD-CJNGLKHVSA-N 0 3 306.837 4.195 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2ccc(Cl)cc2)N(C)C)o1 ZINC000531738523 333551908 /nfs/dbraw/zinc/55/19/08/333551908.db2.gz YDWNJCMBBRNCSD-BBRMVZONSA-N 0 3 306.837 4.195 20 0 DIADHN C[C@@H](CCN[C@@H](C)c1nc2ccccc2n1C)c1ccccc1 ZINC000320815501 335147710 /nfs/dbraw/zinc/14/77/10/335147710.db2.gz BEBQUDCQEKOJBP-HOTGVXAUSA-N 0 3 307.441 4.418 20 0 DIADHN CCCCNC(=O)C1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC000048055299 333566913 /nfs/dbraw/zinc/56/69/13/333566913.db2.gz SCXKBBNEMKGSEG-CQSZACIVSA-N 0 3 322.880 4.029 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2cccc(C)c2)c2ccccc21 ZINC000339752100 333589229 /nfs/dbraw/zinc/58/92/29/333589229.db2.gz JIMKARFLIVTSLL-KXBFYZLASA-N 0 3 308.425 4.144 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@@H]1CCSc2ccc(F)cc21 ZINC000152067006 333592911 /nfs/dbraw/zinc/59/29/11/333592911.db2.gz WAEJJXJEAHDMPF-QLJPJBMISA-N 0 3 320.433 4.188 20 0 DIADHN Cc1ccc(CNCC2(c3ccc(Cl)cc3)CCOCC2)o1 ZINC000067251634 335149671 /nfs/dbraw/zinc/14/96/71/335149671.db2.gz XUVPJHTZDSAAHP-UHFFFAOYSA-N 0 3 319.832 4.079 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccsc1C)OC(C)C ZINC000563501823 333616573 /nfs/dbraw/zinc/61/65/73/333616573.db2.gz LHYXEKUBUPBAQQ-GOSISDBHSA-N 0 3 319.470 4.321 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(Cn3ccnc3)cc2)cc1 ZINC000152123871 333617179 /nfs/dbraw/zinc/61/71/79/333617179.db2.gz QTCZWTGFSLWZCE-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000563636218 333643763 /nfs/dbraw/zinc/64/37/63/333643763.db2.gz KHGDTWYIOVQAKS-DOTOQJQBSA-N 0 3 317.864 4.496 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000563636221 333643796 /nfs/dbraw/zinc/64/37/96/333643796.db2.gz KHGDTWYIOVQAKS-WBVHZDCISA-N 0 3 317.864 4.496 20 0 DIADHN CC[C@H](NCCc1cncs1)c1ccccc1OC(F)F ZINC000411442596 333673098 /nfs/dbraw/zinc/67/30/98/333673098.db2.gz ADMTYTXYDSPCDW-ZDUSSCGKSA-N 0 3 312.385 4.028 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCCC(=O)Nc2ccccc2F)c1 ZINC000411459502 333674214 /nfs/dbraw/zinc/67/42/14/333674214.db2.gz GLDNNBFKLUTLCC-HNNXBMFYSA-N 0 3 314.404 4.122 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](CCCO)c3ccccc3)cc2c1 ZINC000411470195 333677931 /nfs/dbraw/zinc/67/79/31/333677931.db2.gz IIVMJLWBWDNXCC-FQEVSTJZSA-N 0 3 320.436 4.147 20 0 DIADHN C[C@H](NCCc1cncs1)c1nc(-c2ccccc2)cs1 ZINC000411528527 333688600 /nfs/dbraw/zinc/68/86/00/333688600.db2.gz HDOTUGJNBZGVJD-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000411824219 333708056 /nfs/dbraw/zinc/70/80/56/333708056.db2.gz WTUQHKBLNHNJAO-GTNSWQLSSA-N 0 3 319.836 4.047 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000411876565 333711214 /nfs/dbraw/zinc/71/12/14/333711214.db2.gz BJGYPPRHYDKAKP-FUHWJXTLSA-N 0 3 310.441 4.371 20 0 DIADHN Cc1cccc([C@H](C)NCCC(=O)Nc2cccc(F)c2C)c1 ZINC000411886552 333711828 /nfs/dbraw/zinc/71/18/28/333711828.db2.gz YKHJXDUQSMQCSA-HNNXBMFYSA-N 0 3 314.404 4.122 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@H](OC(C)(C)C)C2(C)C)o1 ZINC000412090698 333727521 /nfs/dbraw/zinc/72/75/21/333727521.db2.gz YYRNDOLWHYZSDC-CABCVRRESA-N 0 3 308.466 4.007 20 0 DIADHN CSCC[C@@H](CO)N[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000537961267 333738841 /nfs/dbraw/zinc/73/88/41/333738841.db2.gz DYELJHYMKVRWSC-BBRMVZONSA-N 0 3 321.511 4.180 20 0 DIADHN Cc1cc(NC(=O)Cc2cccc(C3CC3)c2)ccc1CN(C)C ZINC000412412005 333755880 /nfs/dbraw/zinc/75/58/80/333755880.db2.gz CQSHWLZBVKWHQZ-UHFFFAOYSA-N 0 3 322.452 4.115 20 0 DIADHN COc1ccncc1CN[C@H](c1cccs1)C1CCCC1 ZINC000571424294 333788472 /nfs/dbraw/zinc/78/84/72/333788472.db2.gz JJMPURJKEHBMMM-KRWDZBQOSA-N 0 3 302.443 4.173 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)N[C@@H]1CCCc2c1cnn2C ZINC000163769112 333807234 /nfs/dbraw/zinc/80/72/34/333807234.db2.gz NMLAXUFOLMOBDV-RTBURBONSA-N 0 3 311.473 4.483 20 0 DIADHN CC[C@H](NCc1nc(-c2ccsc2)oc1C)C1CCOCC1 ZINC000343393189 335161512 /nfs/dbraw/zinc/16/15/12/335161512.db2.gz XMAMIZIOGJCWEA-HNNXBMFYSA-N 0 3 320.458 4.006 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCOC1CCCCC1)CCS2 ZINC000343439100 335164829 /nfs/dbraw/zinc/16/48/29/335164829.db2.gz GQULBSAOYFKGCZ-QGZVFWFLSA-N 0 3 321.486 4.171 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)c(C)c1 ZINC000414065437 333890114 /nfs/dbraw/zinc/89/01/14/333890114.db2.gz OPTYJZQFNZLHSY-UONOGXRCSA-N 0 3 313.397 4.144 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414077303 333892306 /nfs/dbraw/zinc/89/23/06/333892306.db2.gz RCROTKCLAYBLPS-HZPDHXFCSA-N 0 3 311.473 4.236 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1ccc(-c2ccccc2F)o1 ZINC000343452582 335165811 /nfs/dbraw/zinc/16/58/11/335165811.db2.gz ZYPCTEZKEAWTON-CQSZACIVSA-N 0 3 303.377 4.087 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414095301 333895589 /nfs/dbraw/zinc/89/55/89/333895589.db2.gz HJKCJJDJJSEELI-CABCVRRESA-N 0 3 315.486 4.051 20 0 DIADHN C[C@H](NC1CCSCC1)c1csc(-c2ccccc2)n1 ZINC000414096621 333895662 /nfs/dbraw/zinc/89/56/62/333895662.db2.gz OUMLRXWGBRGONH-LBPRGKRZSA-N 0 3 304.484 4.356 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1csc(-c2ccccc2)n1 ZINC000414137792 333901089 /nfs/dbraw/zinc/90/10/89/333901089.db2.gz PEHBMCSSTWAOJK-MKVSYHDVSA-N 0 3 314.454 4.028 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2csc(-c3ccccc3)n2)nc1 ZINC000414142525 333902188 /nfs/dbraw/zinc/90/21/88/333902188.db2.gz IANGFUXQVZUPPO-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN O[C@@H]1CCC[C@H]1N[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000414146989 333902817 /nfs/dbraw/zinc/90/28/17/333902817.db2.gz LWKWSIWMYWTUBQ-KURKYZTESA-N 0 3 319.807 4.072 20 0 DIADHN C[C@@H](N[C@H](c1cccc(Cl)c1)c1ccccn1)[C@H]1C[C@H]1C ZINC000414116125 333905901 /nfs/dbraw/zinc/90/59/01/333905901.db2.gz QFXJSMAMICMNAK-MHRLRVJTSA-N 0 3 300.833 4.459 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(Cl)c1)c1ccccn1)[C@H]1C[C@H]1C ZINC000414116121 333905969 /nfs/dbraw/zinc/90/59/69/333905969.db2.gz QFXJSMAMICMNAK-GQGSAGHOSA-N 0 3 300.833 4.459 20 0 DIADHN Cc1nnsc1CN[C@@H]1CCC[C@H](c2ccc(Cl)cc2)C1 ZINC000414119865 333906541 /nfs/dbraw/zinc/90/65/41/333906541.db2.gz DVRSSAQCGZQLMV-DZGCQCFKSA-N 0 3 321.877 4.316 20 0 DIADHN C[C@@H](NCc1ccc2cncn2c1)c1ccc2c(c1)CCCC2 ZINC000414181397 333909671 /nfs/dbraw/zinc/90/96/71/333909671.db2.gz MCDHZAYOBZGWGJ-OAHLLOKOSA-N 0 3 305.425 4.064 20 0 DIADHN Fc1ccccc1[C@@H](NCc1ccc2cncn2c1)C1CCCC1 ZINC000414191461 333911627 /nfs/dbraw/zinc/91/16/27/333911627.db2.gz SIYATAUUOLNWOZ-FQEVSTJZSA-N 0 3 323.415 4.495 20 0 DIADHN COc1cccc2c1C[C@H](N[C@@H](C)c1ccc3ccccc3n1)C2 ZINC000414200564 333913389 /nfs/dbraw/zinc/91/33/89/333913389.db2.gz XKMQTTNSWBZKJU-WMLDXEAASA-N 0 3 318.420 4.061 20 0 DIADHN C[C@@H](N[C@@H]1CCCCC[C@H]1O)c1csc(-c2ccccc2)n1 ZINC000414162284 333915500 /nfs/dbraw/zinc/91/55/00/333915500.db2.gz LMBCTHIFDNVYDB-FRFSOERESA-N 0 3 316.470 4.154 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000414235489 333917387 /nfs/dbraw/zinc/91/73/87/333917387.db2.gz JUTGORMZTYZCBC-NOLJZWGESA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H](NCC(C)(C)OCC1CC1)c1ccccc1OC(F)F ZINC000414240927 333918691 /nfs/dbraw/zinc/91/86/91/333918691.db2.gz KQQJURZXYBYOCR-GFCCVEGCSA-N 0 3 313.388 4.144 20 0 DIADHN C[C@@H](NCC1(c2c(F)cccc2F)CCC1)c1ccncc1 ZINC000414249066 333920260 /nfs/dbraw/zinc/92/02/60/333920260.db2.gz WSJLSURPBXZQDX-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cnc(C)nc2C)c2ccccc12 ZINC000414206490 333921529 /nfs/dbraw/zinc/92/15/29/333921529.db2.gz FIWYDTNFFXUXQO-CQSZACIVSA-N 0 3 305.425 4.406 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3nn(C)cc32)c2ccccc12 ZINC000414208800 333922034 /nfs/dbraw/zinc/92/20/34/333922034.db2.gz JSCIHIUEUCLLPK-LJQANCHMSA-N 0 3 305.425 4.049 20 0 DIADHN CC(C(=O)Nc1ccc2nc(C(F)F)sc2c1)C(F)(F)F ZINC000343416288 335163324 /nfs/dbraw/zinc/16/33/24/335163324.db2.gz AAPPHVGERIWAND-YFKPBYRVSA-N 0 3 324.274 4.371 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@@H](C)c1nccc2ccccc21 ZINC000414226719 333925182 /nfs/dbraw/zinc/92/51/82/333925182.db2.gz UWIOSMPNXQLITN-ZKYUUJBMSA-N 0 3 318.420 4.198 20 0 DIADHN CC(C)(CN[C@H]1CC2(CCC2)Oc2ccccc21)OCC1CC1 ZINC000414274309 333931824 /nfs/dbraw/zinc/93/18/24/333931824.db2.gz RQTLXYUBUDNKNX-KRWDZBQOSA-N 0 3 315.457 4.228 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCC[C@H]1F)c1ccc2[nH]c(=O)oc2c1 ZINC000414281627 333933021 /nfs/dbraw/zinc/93/30/21/333933021.db2.gz GITAIAHLNMIOQQ-XJKCOSOUSA-N 0 3 306.381 4.101 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc2c(s1)CCCC2)CC(F)(F)F ZINC000414284411 333933502 /nfs/dbraw/zinc/93/35/02/333933502.db2.gz QQMIZSBAHVZBEZ-NXEZZACHSA-N 0 3 306.397 4.261 20 0 DIADHN COC1([C@H](C)N[C@@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000414292055 333934618 /nfs/dbraw/zinc/93/46/18/333934618.db2.gz AGXCQMBPIHSXEA-KBPBESRZSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1occc1CN[C@@H]1CCCc2cc(OC(F)F)ccc21 ZINC000414294819 333935272 /nfs/dbraw/zinc/93/52/72/333935272.db2.gz FBMFIJHHPNMOAC-MRXNPFEDSA-N 0 3 307.340 4.357 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1ccc(F)cc1OC(F)F ZINC000414327107 333940743 /nfs/dbraw/zinc/94/07/43/333940743.db2.gz BGMRINHSPVEWBJ-OASPWFOLSA-N 0 3 311.303 4.227 20 0 DIADHN Brc1cc2c(s1)CC[C@H]2N[C@@H]1C[C@H]1c1ccco1 ZINC000414341580 333942538 /nfs/dbraw/zinc/94/25/38/333942538.db2.gz IABMAKVZBDFLAO-FBIMIBRVSA-N 0 3 324.243 4.237 20 0 DIADHN Cc1ccc(CN[C@@H](C)[C@H](C)c2ccccc2C(F)(F)F)nn1 ZINC000414364826 333945440 /nfs/dbraw/zinc/94/54/40/333945440.db2.gz JRDJNELRFUQZSE-STQMWFEESA-N 0 3 323.362 4.086 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](CO)C1)c1nc(-c2ccccc2)cs1 ZINC000414350485 333946010 /nfs/dbraw/zinc/94/60/10/333946010.db2.gz ZGQBHPYWXVASPK-LZWOXQAQSA-N 0 3 316.470 4.012 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@@H](C)c1cccc(C)c1 ZINC000414452848 333983898 /nfs/dbraw/zinc/98/38/98/333983898.db2.gz AHTSJHMOMVMHRF-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CS1 ZINC000414503829 334000423 /nfs/dbraw/zinc/00/04/23/334000423.db2.gz WXAKKGOEOOJOFR-ZWNOBZJWSA-N 0 3 324.902 4.447 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cscc1C(F)(F)F)C1CC1 ZINC000414505791 334000812 /nfs/dbraw/zinc/00/08/12/334000812.db2.gz VITGOXLRLKSVIO-RNCFNFMXSA-N 0 3 307.381 4.060 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cscc1C(F)(F)F)C1CC1 ZINC000414505790 334000860 /nfs/dbraw/zinc/00/08/60/334000860.db2.gz VITGOXLRLKSVIO-NOZJJQNGSA-N 0 3 307.381 4.060 20 0 DIADHN CC[C@H](CNCc1ncc(C(F)(F)F)s1)CC(F)(F)F ZINC000414506036 334001264 /nfs/dbraw/zinc/00/12/64/334001264.db2.gz BQRQWVVOQWYCTB-ZETCQYMHSA-N 0 3 320.302 4.230 20 0 DIADHN CC(C)(CNCc1cnc(-c2cccs2)s1)OCC1CC1 ZINC000414506073 334001267 /nfs/dbraw/zinc/00/12/67/334001267.db2.gz BUPCJXMCOXTTOR-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(-c3c(F)cccc3F)o2)[C@H](C)O1 ZINC000414508423 334001983 /nfs/dbraw/zinc/00/19/83/334001983.db2.gz BERPTKOJRLDKSG-AGIUHOORSA-N 0 3 321.367 4.128 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccc(N3CCCC3=O)c2)c2ccccc21 ZINC000343501039 335169889 /nfs/dbraw/zinc/16/98/89/335169889.db2.gz BJCRHSHEULQQLT-QRWLVFNGSA-N 0 3 320.436 4.152 20 0 DIADHN C[C@H]1C[C@@H](NCc2cccc(N3CCCC3=O)c2)c2ccccc21 ZINC000343501036 335169898 /nfs/dbraw/zinc/16/98/98/335169898.db2.gz BJCRHSHEULQQLT-MGPUTAFESA-N 0 3 320.436 4.152 20 0 DIADHN C[C@@H](C[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1)CC(F)(F)F ZINC000414510700 334002959 /nfs/dbraw/zinc/00/29/59/334002959.db2.gz FKOMPCFYJZVQGF-MRVPVSSYSA-N 0 3 315.257 4.089 20 0 DIADHN CCN(Cc1cnc(-c2ccccn2)s1)C1CC(C)(C)C1 ZINC000414534415 334010657 /nfs/dbraw/zinc/01/06/57/334010657.db2.gz KAJWZJLUIHDTHA-UHFFFAOYSA-N 0 3 301.459 4.216 20 0 DIADHN CSc1ccc(CN2CC3(CCOCC3)[C@@H]2C(C)C)s1 ZINC000414541681 334013614 /nfs/dbraw/zinc/01/36/14/334013614.db2.gz QOKGSXPVBKMRCY-HNNXBMFYSA-N 0 3 311.516 4.107 20 0 DIADHN Cc1sccc1CN1CC2(CCOCC2)[C@@H]1c1ccccc1 ZINC000414561707 334020215 /nfs/dbraw/zinc/02/02/15/334020215.db2.gz SLKGZASQTJLUIV-SFHVURJKSA-N 0 3 313.466 4.410 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CCC[C@H](CO)C2)o1 ZINC000414567183 334023416 /nfs/dbraw/zinc/02/34/16/334023416.db2.gz GKUCXJHCLHHDEL-GOEBONIOSA-N 0 3 317.404 4.035 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)c2cnccc2C)cc1OC ZINC000271482777 334023923 /nfs/dbraw/zinc/02/39/23/334023923.db2.gz DOJIUQHVKOPNBL-LSDHHAIUSA-N 0 3 314.429 4.127 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)c2cnccc2C)cc1OC ZINC000271482775 334023955 /nfs/dbraw/zinc/02/39/55/334023955.db2.gz DOJIUQHVKOPNBL-HUUCEWRRSA-N 0 3 314.429 4.127 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1 ZINC000437109748 334028240 /nfs/dbraw/zinc/02/82/40/334028240.db2.gz YMTJPCUZISEZTQ-ABAIWWIYSA-N 0 3 316.367 4.273 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1 ZINC000437109749 334028338 /nfs/dbraw/zinc/02/83/38/334028338.db2.gz YMTJPCUZISEZTQ-IAQYHMDHSA-N 0 3 316.367 4.273 20 0 DIADHN CC[C@H](N[C@H](CO)Cc1ccccc1)c1ccc(Cl)s1 ZINC000437097203 334028375 /nfs/dbraw/zinc/02/83/75/334028375.db2.gz NIFQIMGOSGKHLP-KBPBESRZSA-N 0 3 309.862 4.046 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](C)OC)c1cc(F)cc(Br)c1 ZINC000343463300 335167029 /nfs/dbraw/zinc/16/70/29/335167029.db2.gz CIWKDQAWHLFXDR-BFVZDQMLSA-N 0 3 318.230 4.052 20 0 DIADHN C[C@H](CCCO)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000272270769 334032440 /nfs/dbraw/zinc/03/24/40/334032440.db2.gz LKEVUFBOCPAQRP-RDTXWAMCSA-N 0 3 303.833 4.180 20 0 DIADHN c1coc([C@@H]2C[C@H]2NCc2cnc(-c3cccs3)s2)c1 ZINC000414596603 334033905 /nfs/dbraw/zinc/03/39/05/334033905.db2.gz VHIXKIJFEORVCE-VXGBXAGGSA-N 0 3 302.424 4.110 20 0 DIADHN Cc1cc(C2CCN(Cc3ccc(C(F)F)cc3)CC2)n[nH]1 ZINC000274194796 334056687 /nfs/dbraw/zinc/05/66/87/334056687.db2.gz QPGFLJNROABRCM-UHFFFAOYSA-N 0 3 305.372 4.035 20 0 DIADHN Cc1cc(C2CCN(Cc3ccc(C(F)F)cc3)CC2)[nH]n1 ZINC000274194796 334056689 /nfs/dbraw/zinc/05/66/89/334056689.db2.gz QPGFLJNROABRCM-UHFFFAOYSA-N 0 3 305.372 4.035 20 0 DIADHN CCCN(Cc1nc(C2CC2)cs1)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000343529208 335175211 /nfs/dbraw/zinc/17/52/11/335175211.db2.gz MKJOVAUQJVERRZ-XKQJLSEDSA-N 0 3 320.502 4.046 20 0 DIADHN FC1(F)CC(N[C@@H](c2ccc(Br)cc2)C2CC2)C1 ZINC000277199719 334087094 /nfs/dbraw/zinc/08/70/94/334087094.db2.gz VOMYLMUZUNSLAF-CYBMUJFWSA-N 0 3 316.189 4.288 20 0 DIADHN CCCc1csc(CNC[C@@H](N)c2ccc(C(C)C)cc2)n1 ZINC000414849069 334121118 /nfs/dbraw/zinc/12/11/18/334121118.db2.gz QOJYXJIMKFSYPH-QGZVFWFLSA-N 0 3 317.502 4.009 20 0 DIADHN Clc1ccc(CN[C@H]2CCO[C@@H]2c2cccnc2)cc1Cl ZINC000285180996 334146265 /nfs/dbraw/zinc/14/62/65/334146265.db2.gz VMIRXNZYMUWYNZ-JKSUJKDBSA-N 0 3 323.223 4.008 20 0 DIADHN C[C@@H](N[C@H](c1cccc(C(F)(F)F)c1)C1CC1)c1cn[nH]c1 ZINC000285254061 334146462 /nfs/dbraw/zinc/14/64/62/334146462.db2.gz QBLXDMZQUQEJQD-BMIGLBTASA-N 0 3 309.335 4.230 20 0 DIADHN Cn1ccnc1[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)C1CC1 ZINC000366659000 334159614 /nfs/dbraw/zinc/15/96/14/334159614.db2.gz ADGXUCKXNPCWHF-XIRDDKMYSA-N 0 3 315.848 4.060 20 0 DIADHN Cc1nn(C(C)C)cc1CN(C1CC1)[C@@H]1CCc2ccccc21 ZINC000343582854 335179494 /nfs/dbraw/zinc/17/94/94/335179494.db2.gz ZSGYTYPLGZGIIW-HXUWFJFHSA-N 0 3 309.457 4.424 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(Cl)cc2)cc1O ZINC000289801564 334168021 /nfs/dbraw/zinc/16/80/21/334168021.db2.gz OZMHJKDHSILQNH-NEPJUHHUSA-N 0 3 305.805 4.466 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2nccc3ccccc32)cc1 ZINC000289846530 334168694 /nfs/dbraw/zinc/16/86/94/334168694.db2.gz QWYPAAHLHWJHHK-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccccc1OCC1CC1 ZINC000414910772 334172966 /nfs/dbraw/zinc/17/29/66/334172966.db2.gz GGJPVLJHQOETNC-HXUWFJFHSA-N 0 3 322.452 4.225 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H](C)c2nccc3ccccc32)s1 ZINC000290710488 334174269 /nfs/dbraw/zinc/17/42/69/334174269.db2.gz NWKIWBJQADRCFK-GXTWGEPZSA-N 0 3 311.454 4.454 20 0 DIADHN CC(C)Oc1ccccc1CN[C@H]1CCCc2cc(N)ccc21 ZINC000414920623 334180980 /nfs/dbraw/zinc/18/09/80/334180980.db2.gz YCSDOLVZZGNVJT-IBGZPJMESA-N 0 3 310.441 4.223 20 0 DIADHN CC(C)Oc1ccccc1CN[C@@H]1CCCc2cc(N)ccc21 ZINC000414920624 334181011 /nfs/dbraw/zinc/18/10/11/334181011.db2.gz YCSDOLVZZGNVJT-LJQANCHMSA-N 0 3 310.441 4.223 20 0 DIADHN CC(C)c1ncc(CN[C@@H]2CCCc3cc(N)ccc32)s1 ZINC000414921576 334181498 /nfs/dbraw/zinc/18/14/98/334181498.db2.gz DUPWASUHRWZRTE-MRXNPFEDSA-N 0 3 301.459 4.016 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)c1 ZINC000291865945 334182647 /nfs/dbraw/zinc/18/26/47/334182647.db2.gz IAVSWGBOXWBIPR-VEVIJQCQSA-N 0 3 317.454 4.110 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@H](O)c2ccc(Cl)cc2)s1 ZINC000291965309 334183606 /nfs/dbraw/zinc/18/36/06/334183606.db2.gz SIYBMGYVIVOKGX-QMTHXVAHSA-N 0 3 324.877 4.052 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cc(F)cc2cccnc21 ZINC000414934263 334196879 /nfs/dbraw/zinc/19/68/79/334196879.db2.gz SSKGQMNTIGUZGJ-IBGZPJMESA-N 0 3 321.399 4.123 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1ccc[nH]1)c1ccc(Cl)cc1 ZINC000514093458 334271936 /nfs/dbraw/zinc/27/19/36/334271936.db2.gz GBBYCELJNRQHMH-IRXDYDNUSA-N 0 3 304.821 4.193 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCC[C@H](c2ncccc2C)C1 ZINC000293598795 334199625 /nfs/dbraw/zinc/19/96/25/334199625.db2.gz DECJAOAGZUVQAE-JKSUJKDBSA-N 0 3 313.445 4.065 20 0 DIADHN CC[C@@H](NCc1ccc(OC(C)C)nc1)c1cccc(F)c1 ZINC000187782887 334272123 /nfs/dbraw/zinc/27/21/23/334272123.db2.gz BALWZMKZJLYJII-QGZVFWFLSA-N 0 3 302.393 4.249 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(F)c2cccnc12 ZINC000414941892 334203101 /nfs/dbraw/zinc/20/31/01/334203101.db2.gz ZAUIUAVNPSHHKV-IBGZPJMESA-N 0 3 321.399 4.123 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(F)c2cccnc12 ZINC000414941896 334203192 /nfs/dbraw/zinc/20/31/92/334203192.db2.gz ZAUIUAVNPSHHKV-LJQANCHMSA-N 0 3 321.399 4.123 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cc(Cl)ccc1OC ZINC000340450595 334205065 /nfs/dbraw/zinc/20/50/65/334205065.db2.gz VDNLCCMCWQAYIW-NHYWBVRUSA-N 0 3 320.820 4.251 20 0 DIADHN CCCCCOc1ccc(CNCc2cc(C)cc(N)c2)cc1 ZINC000414958818 334210702 /nfs/dbraw/zinc/21/07/02/334210702.db2.gz DJWZSZSLWXZUGX-UHFFFAOYSA-N 0 3 312.457 4.436 20 0 DIADHN Cc1cc(CN[C@H]2c3ccccc3CC[C@@H]2n2ccnc2)cs1 ZINC000294657161 334211929 /nfs/dbraw/zinc/21/19/29/334211929.db2.gz ADXYBARBLOZLCZ-OALUTQOASA-N 0 3 323.465 4.271 20 0 DIADHN FC(F)(F)c1ccc(CNCc2ccc3c(c2)CCCN3)cc1 ZINC000414966827 334214498 /nfs/dbraw/zinc/21/44/98/334214498.db2.gz ALLBSBJQVDATNI-UHFFFAOYSA-N 0 3 320.358 4.353 20 0 DIADHN Cc1nccnc1[C@H](C)NCC1(c2ccc(F)cc2)CCCC1 ZINC000187781813 334273159 /nfs/dbraw/zinc/27/31/59/334273159.db2.gz TYDVPJHADFSBEF-HNNXBMFYSA-N 0 3 313.420 4.087 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC1(c2ccc(F)cc2)CCCC1 ZINC000187781797 334273192 /nfs/dbraw/zinc/27/31/92/334273192.db2.gz TYDVPJHADFSBEF-OAHLLOKOSA-N 0 3 313.420 4.087 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccccc2)cs1)C(C)(C)O ZINC000340502219 334222938 /nfs/dbraw/zinc/22/29/38/334222938.db2.gz LHZWXAMFYYNURW-IUODEOHRSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@H](CCCCO)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000296350918 334232619 /nfs/dbraw/zinc/23/26/19/334232619.db2.gz IHFSXHFAWZOUIP-OLZOCXBDSA-N 0 3 322.449 4.151 20 0 DIADHN CC1(C)C[C@H](NC/C=C/c2ccccc2)c2cc(N)ccc2O1 ZINC000415020544 334259315 /nfs/dbraw/zinc/25/93/15/334259315.db2.gz GRMJSQCGTSLFEQ-YOCVDRLZSA-N 0 3 308.425 4.174 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(Cl)cc2)c2cc(N)ccc2O1 ZINC000415025461 334259785 /nfs/dbraw/zinc/25/97/85/334259785.db2.gz QBBRLWXLIHDYEN-MRXNPFEDSA-N 0 3 316.832 4.314 20 0 DIADHN Cc1cc(CNCc2cccc3c2CCCN3)ccc1Cl ZINC000415031432 334260282 /nfs/dbraw/zinc/26/02/82/334260282.db2.gz HLQKUTZMBXROJC-UHFFFAOYSA-N 0 3 300.833 4.296 20 0 DIADHN CC1(C)Cc2cccc(CNCc3cccc4c3CCCN4)c2O1 ZINC000415038039 334260528 /nfs/dbraw/zinc/26/05/28/334260528.db2.gz PFDLXILMIDEZSH-UHFFFAOYSA-N 0 3 322.452 4.048 20 0 DIADHN Cc1cc(CNCc2cccc3c2CCCN3)cc2cccnc12 ZINC000415044225 334261249 /nfs/dbraw/zinc/26/12/49/334261249.db2.gz WXLJRWWYITVSTP-UHFFFAOYSA-N 0 3 317.436 4.191 20 0 DIADHN Cc1ccc2cc(CNCc3cccc4cccnc43)ccc2n1 ZINC000187642741 334266400 /nfs/dbraw/zinc/26/64/00/334266400.db2.gz BKUSWYLYJLOZIV-UHFFFAOYSA-N 0 3 313.404 4.381 20 0 DIADHN Cc1nccnc1[C@H](C)NCC(c1ccccc1)c1ccccc1 ZINC000187695034 334268570 /nfs/dbraw/zinc/26/85/70/334268570.db2.gz YBDJGODGPJGXMQ-KRWDZBQOSA-N 0 3 317.436 4.268 20 0 DIADHN Cn1ccnc1[C@H](NCCCCCc1ccc(F)cc1)C1CC1 ZINC000187759760 334271235 /nfs/dbraw/zinc/27/12/35/334271235.db2.gz JTPZXDDALGPKNJ-GOSISDBHSA-N 0 3 315.436 4.013 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2cccc(F)c2)cc1OC ZINC000187764085 334271321 /nfs/dbraw/zinc/27/13/21/334271321.db2.gz MWJMXSOAGVVUBO-KRWDZBQOSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](NC1C[C@H](C)C[C@@H](C)C1)c1ncc(Br)s1 ZINC000534495954 334277553 /nfs/dbraw/zinc/27/75/53/334277553.db2.gz BKOZKJKKCNWSQG-BBBLOLIVSA-N 0 3 317.296 4.381 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ncc(Br)s1 ZINC000534498211 334277755 /nfs/dbraw/zinc/27/77/55/334277755.db2.gz WGCCFOCVBXFYAD-YTWAJWBKSA-N 0 3 317.296 4.381 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(Cl)c3c(c2)OCCO3)cc1 ZINC000048382296 334278649 /nfs/dbraw/zinc/27/86/49/334278649.db2.gz MTWONNYILKDSJQ-ZDUSSCGKSA-N 0 3 317.816 4.270 20 0 DIADHN COc1ccc(CNC[C@H]2CCCC[C@H]2C)c(Cl)c1OC ZINC000110822604 334291372 /nfs/dbraw/zinc/29/13/72/334291372.db2.gz CUQWENSUFSBDJB-CHWSQXEVSA-N 0 3 311.853 4.273 20 0 DIADHN CC(C)N(CC(=O)Nc1cc(Cl)ccc1F)C1CCCC1 ZINC000110789443 334295267 /nfs/dbraw/zinc/29/52/67/334295267.db2.gz DYLUDUICOHTCGK-UHFFFAOYSA-N 0 3 312.816 4.071 20 0 DIADHN Cc1ccc(CNC[C@H](C)c2ccc(C(F)(F)F)cc2)nc1 ZINC000443000042 338441400 /nfs/dbraw/zinc/44/14/00/338441400.db2.gz NYBJCFGPPJYRFP-ZDUSSCGKSA-N 0 3 308.347 4.302 20 0 DIADHN Clc1cccc(CN[C@H]2CSCCc3ccccc32)c1 ZINC000531932671 334296861 /nfs/dbraw/zinc/29/68/61/334296861.db2.gz RSJBUFJGICIILQ-KRWDZBQOSA-N 0 3 303.858 4.460 20 0 DIADHN CCOc1ccc([C@@H](C)NCCOC2CCCC2)cc1OCC ZINC000531948664 334303003 /nfs/dbraw/zinc/30/30/03/334303003.db2.gz XVVRSCSVXAGKLI-OAHLLOKOSA-N 0 3 321.461 4.094 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000531950357 334304464 /nfs/dbraw/zinc/30/44/64/334304464.db2.gz KRAVDOWOLIASGT-IRXDYDNUSA-N 0 3 315.461 4.199 20 0 DIADHN CC[C@@H](NCCc1ccccc1O)c1cc(Cl)ccc1OC ZINC000531961578 334311872 /nfs/dbraw/zinc/31/18/72/334311872.db2.gz RVLTYCOQJXYRHR-MRXNPFEDSA-N 0 3 319.832 4.338 20 0 DIADHN CC[C@H](N[C@@H](C)CCC=C(C)C)c1nnc2n1CCCCC2 ZINC000531961973 334312517 /nfs/dbraw/zinc/31/25/17/334312517.db2.gz DIZFFZJSZCKVFZ-HOTGVXAUSA-N 0 3 304.482 4.180 20 0 DIADHN COCC1(NCc2ccc(-c3ccccc3Cl)s2)CC1 ZINC000531957078 334313283 /nfs/dbraw/zinc/31/32/83/334313283.db2.gz ZAUSOPLTFCYXBM-UHFFFAOYSA-N 0 3 307.846 4.337 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N(C)C[C@@H](C)CC ZINC000111254551 334314389 /nfs/dbraw/zinc/31/43/89/334314389.db2.gz ZUHVBMMJAWHCDC-GJZGRUSLSA-N 0 3 304.478 4.116 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)CC(=O)N(CC)CC)cc1 ZINC000531960298 334316467 /nfs/dbraw/zinc/31/64/67/334316467.db2.gz XIFDDDNRNNAQGX-CABCVRRESA-N 0 3 322.518 4.096 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@H]1CCCO1)CC2 ZINC000531962566 334320612 /nfs/dbraw/zinc/32/06/12/334320612.db2.gz YGXDHYXVKDBNTH-NJDAHSKKSA-N 0 3 307.437 4.492 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H](C)Cc2ccsc2)c1 ZINC000049154804 334322202 /nfs/dbraw/zinc/32/22/02/334322202.db2.gz UWWJOAPQEVDEGE-QWHCGFSZSA-N 0 3 305.443 4.047 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)Cc2ccsc2)c(OC)c1 ZINC000049155321 334322589 /nfs/dbraw/zinc/32/25/89/334322589.db2.gz GRDDUAUPWZXKFB-OLZOCXBDSA-N 0 3 305.443 4.047 20 0 DIADHN CC(C)C[C@@H](NCC(=O)Nc1ccc(F)cc1)c1cccs1 ZINC000531964377 334323330 /nfs/dbraw/zinc/32/33/30/334323330.db2.gz POWIPMYDMYSHSP-OAHLLOKOSA-N 0 3 320.433 4.203 20 0 DIADHN CC(C)C[C@H](NCCOC1CCCCCC1)c1ccccn1 ZINC000531968992 334330835 /nfs/dbraw/zinc/33/08/35/334330835.db2.gz ZVDGZIIVCHPGKF-IBGZPJMESA-N 0 3 304.478 4.498 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)ccc1F ZINC000443101432 338444542 /nfs/dbraw/zinc/44/45/42/338444542.db2.gz ZJPNPIPLTWXRAJ-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCC[C@@H](C)C1)c1ccsc1 ZINC000303833653 334333505 /nfs/dbraw/zinc/33/35/05/334333505.db2.gz BVLYUCSHGHKDSO-HLLBOEOZSA-N 0 3 322.518 4.074 20 0 DIADHN CCc1ccc(CC(=O)Nc2cccc(CN(CC)CC)c2)cc1 ZINC000303900463 334342023 /nfs/dbraw/zinc/34/20/23/334342023.db2.gz PVYSQHMWAYHKQU-UHFFFAOYSA-N 0 3 324.468 4.272 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCCOCCc1ccccc1 ZINC000303905556 334342191 /nfs/dbraw/zinc/34/21/91/334342191.db2.gz LZSGEMYLOIIZQR-KRWDZBQOSA-N 0 3 313.441 4.034 20 0 DIADHN CCC(CC)[C@H](C)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000534877615 334344879 /nfs/dbraw/zinc/34/48/79/334344879.db2.gz ONKUZECDRQGCOU-GOEBONIOSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cccc(Cl)c1)c1cccs1 ZINC000049530786 334345672 /nfs/dbraw/zinc/34/56/72/334345672.db2.gz XGMDIJGYFSEAMX-CYBMUJFWSA-N 0 3 308.834 4.081 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)N[C@H](CCCO)c1ccccc1 ZINC000531976670 334347127 /nfs/dbraw/zinc/34/71/27/334347127.db2.gz IXRUXIQJNVRLSY-VQIMIIECSA-N 0 3 313.441 4.168 20 0 DIADHN C[C@H](C(=O)Nc1ccc(OC(C)(C)C)cc1)N1CCCCCC1 ZINC000303926267 334347445 /nfs/dbraw/zinc/34/74/45/334347445.db2.gz OZDZYCGGKZAOCZ-OAHLLOKOSA-N 0 3 318.461 4.067 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCOC1CCCC1 ZINC000111634754 334348429 /nfs/dbraw/zinc/34/84/29/334348429.db2.gz QXHUCICRNPZGOQ-LBPRGKRZSA-N 0 3 310.507 4.359 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H]1CCCN(C2CCCCC2)C1=O ZINC000443086742 338443850 /nfs/dbraw/zinc/44/38/50/338443850.db2.gz OYMVXNFLDVCMNZ-HOCLYGCPSA-N 0 3 320.502 4.031 20 0 DIADHN C[C@@H](NCCc1cscn1)c1ccccc1C(F)(F)F ZINC000111626896 334349585 /nfs/dbraw/zinc/34/95/85/334349585.db2.gz CJWRZBTWHHVPRW-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN CCC[C@@H](NCCOCc1cccc(OC)c1)c1ccsc1 ZINC000443089761 338443952 /nfs/dbraw/zinc/44/39/52/338443952.db2.gz AXEJYZDUFUMDRZ-GOSISDBHSA-N 0 3 319.470 4.404 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCc2ccccc2Cl)n1 ZINC000531981836 334355060 /nfs/dbraw/zinc/35/50/60/334355060.db2.gz XEEDZBVVGGCUSF-SFHVURJKSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cc(N(C)C)nc3ccccc23)c1 ZINC000531984784 334358210 /nfs/dbraw/zinc/35/82/10/334358210.db2.gz CICMEQSIVKFZTR-MRXNPFEDSA-N 0 3 319.452 4.460 20 0 DIADHN C[C@H](NCCN1CC[C@H](C)C1)c1cc(Cl)sc1Cl ZINC000531983771 334358471 /nfs/dbraw/zinc/35/84/71/334358471.db2.gz NYWOJGBADHRQNG-UWVGGRQHSA-N 0 3 307.290 4.047 20 0 DIADHN CN(CCCC(=O)c1ccccc1)Cc1cccc(Cl)c1 ZINC000049824749 334358641 /nfs/dbraw/zinc/35/86/41/334358641.db2.gz BHOJPPACVQMANH-UHFFFAOYSA-N 0 3 301.817 4.435 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCOc2ccc(Cl)cc2)n1 ZINC000531988328 334361857 /nfs/dbraw/zinc/36/18/57/334361857.db2.gz FTNNXZYMFMKIDR-SFHVURJKSA-N 0 3 316.832 4.259 20 0 DIADHN c1nc2cc(CN3CCCCC[C@H]3c3ccncc3)ccc2[nH]1 ZINC000535064937 334362462 /nfs/dbraw/zinc/36/24/62/334362462.db2.gz OHUOMCFAKJUBMK-IBGZPJMESA-N 0 3 306.413 4.075 20 0 DIADHN c1nc2ccc(CN3CCCCC[C@H]3c3ccncc3)cc2[nH]1 ZINC000535064937 334362463 /nfs/dbraw/zinc/36/24/63/334362463.db2.gz OHUOMCFAKJUBMK-IBGZPJMESA-N 0 3 306.413 4.075 20 0 DIADHN Oc1ccc(C2=CCN(Cc3ccnc4ccccc34)CC2)cc1 ZINC000535071015 334364104 /nfs/dbraw/zinc/36/41/04/334364104.db2.gz MXMFQNXJMUCUHB-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN C[C@@H]1CCN(Cc2cnc([C@@H]3CCCO3)s2)[C@H]2CCCC[C@H]12 ZINC000535073473 334364980 /nfs/dbraw/zinc/36/49/80/334364980.db2.gz ZHCUPEKPXKLRJJ-SIXLDLHFSA-N 0 3 320.502 4.395 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccnc3ccccc23)CC1 ZINC000535083678 334366495 /nfs/dbraw/zinc/36/64/95/334366495.db2.gz PDVNQMSGMLSKRV-UHFFFAOYSA-N 0 3 312.457 4.262 20 0 DIADHN CC[C@@H](NCc1ccc(OCC2CC2)nc1)c1cccc(F)c1 ZINC000187909095 334367846 /nfs/dbraw/zinc/36/78/46/334367846.db2.gz LHQKQSZMCTXOGW-GOSISDBHSA-N 0 3 314.404 4.250 20 0 DIADHN CC[C@H](N[C@H](CO)c1ccccc1C)c1ccc(Cl)cc1 ZINC000531994549 334369291 /nfs/dbraw/zinc/36/92/91/334369291.db2.gz PPIRGNZOPWLAEP-ZWKOTPCHSA-N 0 3 303.833 4.423 20 0 DIADHN CC[C@@H](N[C@H](CO)c1ccccc1C)c1ccc(Cl)cc1 ZINC000531994547 334369446 /nfs/dbraw/zinc/36/94/46/334369446.db2.gz PPIRGNZOPWLAEP-QZTJIDSGSA-N 0 3 303.833 4.423 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@H]1CCC[C@@H]1O ZINC000535114813 334370412 /nfs/dbraw/zinc/37/04/12/334370412.db2.gz BBEMPFQBOJWFTM-YPMHNXCESA-N 0 3 309.862 4.148 20 0 DIADHN Clc1ccc(CN2CC[C@@H](C3CCOCC3)C2)cc1Cl ZINC000535118934 334370680 /nfs/dbraw/zinc/37/06/80/334370680.db2.gz YIEWRDRDQBVWMW-CQSZACIVSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1nn2c(CN3CCC[C@H]3C(C)(C)C)c(C(C)C)nc2s1 ZINC000514097979 334373665 /nfs/dbraw/zinc/37/36/65/334373665.db2.gz CIKULRIDJMDHID-AWEZNQCLSA-N 0 3 320.506 4.233 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN(CCCSCC(C)C)CC2 ZINC000535210841 334378879 /nfs/dbraw/zinc/37/88/79/334378879.db2.gz JJNPJERLOZMCMI-UHFFFAOYSA-N 0 3 319.514 4.069 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@H](C)c1cccc(F)c1)CC2 ZINC000535214589 334379091 /nfs/dbraw/zinc/37/90/91/334379091.db2.gz FPOKZTUEMKCUQJ-CQSZACIVSA-N 0 3 311.400 4.190 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccccc2CO)cc1 ZINC000535232963 334381221 /nfs/dbraw/zinc/38/12/21/334381221.db2.gz IIIAJBFYSVJNKH-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN CCSCCN(CCc1ccccn1)Cc1ccc(F)cc1 ZINC000340820890 334387810 /nfs/dbraw/zinc/38/78/10/334387810.db2.gz QTFJJNXNMDJWIL-UHFFFAOYSA-N 0 3 318.461 4.019 20 0 DIADHN CC[C@@H](NCc1cnc(OC)c(Cl)c1)c1ccc(C)cc1 ZINC000341040761 334404299 /nfs/dbraw/zinc/40/42/99/334404299.db2.gz OEKCHNUHHJEXOG-MRXNPFEDSA-N 0 3 304.821 4.293 20 0 DIADHN CO[C@@H](C)CN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000443208488 338447444 /nfs/dbraw/zinc/44/74/44/338447444.db2.gz ONNAWVCZFNADNT-NWDGAFQWSA-N 0 3 309.356 4.127 20 0 DIADHN CO[C@H](C)CN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000443208490 338447451 /nfs/dbraw/zinc/44/74/51/338447451.db2.gz ONNAWVCZFNADNT-VXGBXAGGSA-N 0 3 309.356 4.127 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000341333418 334431082 /nfs/dbraw/zinc/43/10/82/334431082.db2.gz ZRZDHYADMSKSEK-OYNCUSHFSA-N 0 3 308.274 4.181 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000341333416 334431125 /nfs/dbraw/zinc/43/11/25/334431125.db2.gz ZRZDHYADMSKSEK-KHQFGBGNSA-N 0 3 308.274 4.181 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc3ccc(C)cn13)CCC2 ZINC000342299830 334526386 /nfs/dbraw/zinc/52/63/86/334526386.db2.gz AMGRIHAOLBTTGU-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN CCN1CCC(N(C)C(=O)c2ccc(C(C)C)c(Cl)c2)CC1 ZINC000342277813 334529720 /nfs/dbraw/zinc/52/97/20/334529720.db2.gz DDYIQTMFWNIMQT-UHFFFAOYSA-N 0 3 322.880 4.020 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(-n3cccn3)cc1)CCC2 ZINC000342312794 334531269 /nfs/dbraw/zinc/53/12/69/334531269.db2.gz RWCIEFLAONJFIL-NRFANRHFSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000342316166 334531774 /nfs/dbraw/zinc/53/17/74/334531774.db2.gz PTNSJLXBNOAQQR-INIZCTEOSA-N 0 3 319.408 4.428 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(N(C)C(C)C)nc1)CCC2 ZINC000342320159 334532171 /nfs/dbraw/zinc/53/21/71/334532171.db2.gz JEBOJAQZNRJYEF-FQEVSTJZSA-N 0 3 323.484 4.402 20 0 DIADHN C[C@@H](CCC(C)(C)C)N[C@@H](CC(N)=O)c1cccc(Cl)c1 ZINC000342777952 334575710 /nfs/dbraw/zinc/57/57/10/334575710.db2.gz NHOCWZFJOXHKNK-WFASDCNBSA-N 0 3 310.869 4.061 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105028 334604057 /nfs/dbraw/zinc/60/40/57/334604057.db2.gz UGAFVBYENKSRAD-MSOLQXFVSA-N 0 3 301.817 4.041 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cccn2C(C)C)c1 ZINC000343171821 334616514 /nfs/dbraw/zinc/61/65/14/334616514.db2.gz IQIOHEMJKBLTLF-UHFFFAOYSA-N 0 3 313.445 4.163 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@H](c1ccccc1)C1CCC1 ZINC000415913841 334628169 /nfs/dbraw/zinc/62/81/69/334628169.db2.gz RUJUYJBSAKOVBX-LJQANCHMSA-N 0 3 323.440 4.069 20 0 DIADHN C[C@H](N[C@H]1CCOc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417309319 334704752 /nfs/dbraw/zinc/70/47/52/334704752.db2.gz JGVNNAOWTSQYJY-ZIEJDFEHSA-N 0 3 317.429 4.493 20 0 DIADHN C[C@@H](NCC(C)(C)O)c1ccc(Oc2ccccc2)c(F)c1 ZINC000112532708 334714396 /nfs/dbraw/zinc/71/43/96/334714396.db2.gz NOINDJWUQGALLB-CYBMUJFWSA-N 0 3 303.377 4.040 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(OC)c(Cl)c2)c1 ZINC000112515736 334716821 /nfs/dbraw/zinc/71/68/21/334716821.db2.gz BFEDQWWHFVTDPQ-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN C[C@@H](Cc1coc2ccccc12)N[C@@H]1COCc2ccccc21 ZINC000417368132 334759734 /nfs/dbraw/zinc/75/97/34/334759734.db2.gz QSADGTRCVLIIND-IFXJQAMLSA-N 0 3 307.393 4.225 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1cc(-c3ccccc3)on1)C2 ZINC000425930382 334772702 /nfs/dbraw/zinc/77/27/02/334772702.db2.gz IELPONKQBBQRAD-KRWDZBQOSA-N 0 3 324.811 4.252 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2cnc(C(F)(F)F)s2)C1 ZINC000443982426 338465431 /nfs/dbraw/zinc/46/54/31/338465431.db2.gz CEJMUANQDYIIQZ-VHSXEESVSA-N 0 3 324.437 4.316 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc(C(F)(F)F)s1 ZINC000443996906 338466082 /nfs/dbraw/zinc/46/60/82/338466082.db2.gz KYNQQTCSZXAYBJ-QMMMGPOBSA-N 0 3 320.302 4.229 20 0 DIADHN C[C@H](NCC1(Cc2cccc(Cl)c2)CC1)c1cscn1 ZINC000563690129 334819198 /nfs/dbraw/zinc/81/91/98/334819198.db2.gz YYOXZOQRIYXSQD-LBPRGKRZSA-N 0 3 306.862 4.470 20 0 DIADHN Cc1cccc(C)c1CCNCc1cnc(C(F)(F)F)s1 ZINC000444005236 338466660 /nfs/dbraw/zinc/46/66/60/338466660.db2.gz PSYJMJLIUPDUMG-UHFFFAOYSA-N 0 3 314.376 4.111 20 0 DIADHN C[C@H](NCC1(c2ccc(C(F)(F)F)cc2)CC1)c1ccccn1 ZINC000188657038 334870541 /nfs/dbraw/zinc/87/05/41/334870541.db2.gz TXWGPMVNFMHZBV-ZDUSSCGKSA-N 0 3 320.358 4.483 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@@H](C)Cc1ccccc1SC ZINC000188763086 334871611 /nfs/dbraw/zinc/87/16/11/334871611.db2.gz ACPAAUBGNAJVJX-UONOGXRCSA-N 0 3 316.470 4.094 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H](C)[C@H]3CC3(C)C)n2)cc1F ZINC000425969383 334875426 /nfs/dbraw/zinc/87/54/26/334875426.db2.gz WNUXPHQKDFHREX-SGMGOOAPSA-N 0 3 317.408 4.269 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CSC[C@H]3C)c(C)c2c1 ZINC000563697901 334878177 /nfs/dbraw/zinc/87/81/77/334878177.db2.gz QEIRPSAVCXPRRX-GMXABZIVSA-N 0 3 305.443 4.152 20 0 DIADHN Cc1cccc2c(C(=O)Nc3ccc(CN(C)C)c(C)c3)coc21 ZINC000424884136 334881974 /nfs/dbraw/zinc/88/19/74/334881974.db2.gz BRDGWOBAKJXUMT-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)OC1CC(N[C@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC000189553117 334900267 /nfs/dbraw/zinc/90/02/67/334900267.db2.gz AUVIVECBVKVSFK-YMAMQOFZSA-N 0 3 319.342 4.365 20 0 DIADHN CCC[C@H](NCC(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000343276454 334902238 /nfs/dbraw/zinc/90/22/38/334902238.db2.gz ODBLSAOYHGPUPD-SFHVURJKSA-N 0 3 310.441 4.373 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc(C(C)(F)F)c1)N1CCCCC1 ZINC000444433796 338472533 /nfs/dbraw/zinc/47/25/33/338472533.db2.gz HPRMFBSNLYHUBT-INIZCTEOSA-N 0 3 324.415 4.247 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H]2C[C@@H](C)c3ccccc32)c2ccccc21 ZINC000343707449 335189311 /nfs/dbraw/zinc/18/93/11/335189311.db2.gz KLSLKQSDOAVQQS-VOBQZIQPSA-N 0 3 320.436 4.322 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccnc(OC3CCC3)c2)c2ccccc21 ZINC000343705642 335189376 /nfs/dbraw/zinc/18/93/76/335189376.db2.gz JDRQZOLWKRKHOP-IFXJQAMLSA-N 0 3 308.425 4.351 20 0 DIADHN C[C@@H](NC1CCC(F)(F)CC1)c1nc(C(F)(F)F)cs1 ZINC000343851594 335197352 /nfs/dbraw/zinc/19/73/52/335197352.db2.gz ZWAMGKYZIHTHQB-SSDOTTSWSA-N 0 3 314.323 4.390 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccc2[nH]c(C)c(C)c2c1 ZINC000343870715 335199031 /nfs/dbraw/zinc/19/90/31/335199031.db2.gz RGRXSTJJXJWVEX-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CCOCCC1(CN[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000343870065 335199037 /nfs/dbraw/zinc/19/90/37/335199037.db2.gz XEGFZNIVYLFPOO-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@@H]2COc3ccccc32)c2ccsc2S1 ZINC000343923383 335202090 /nfs/dbraw/zinc/20/20/90/335202090.db2.gz GVUZVUQQOQKKNV-LALPHHSUSA-N 0 3 317.479 4.439 20 0 DIADHN c1nc(C2CCC2)sc1CNCCc1ccc2ccccc2n1 ZINC000343925119 335202519 /nfs/dbraw/zinc/20/25/19/335202519.db2.gz NWPUUMBOOFGLRY-UHFFFAOYSA-N 0 3 323.465 4.291 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc(-n3ccnc3)c2)cc1 ZINC000343988193 335205613 /nfs/dbraw/zinc/20/56/13/335205613.db2.gz YSMHFJBBBKAITH-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccc(F)c(F)c1 ZINC000343968867 335208749 /nfs/dbraw/zinc/20/87/49/335208749.db2.gz BNRDGSAJAYFKCS-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN c1ccc([C@@H](CC2CCC2)NCc2ccc3c(c2)OCO3)cc1 ZINC000344030873 335210358 /nfs/dbraw/zinc/21/03/58/335210358.db2.gz GAFQAIGDKKLQOB-GOSISDBHSA-N 0 3 309.409 4.436 20 0 DIADHN Cc1csc(C2(NC3CCC4(CCOCC4)CC3)CCC2)n1 ZINC000344055434 335213601 /nfs/dbraw/zinc/21/36/01/335213601.db2.gz LZXHCCDVNQHSCT-UHFFFAOYSA-N 0 3 320.502 4.160 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(-c3csc(C)n3)o2)C1(C)C ZINC000344069454 335214974 /nfs/dbraw/zinc/21/49/74/335214974.db2.gz CHBSXIWFBJMQDY-HOTGVXAUSA-N 0 3 320.458 4.005 20 0 DIADHN CC[C@@H](COC)N[C@@H](CC)c1cc(F)cc(Br)c1 ZINC000344076749 335215623 /nfs/dbraw/zinc/21/56/23/335215623.db2.gz PDPOSNUBCNNWTK-KBPBESRZSA-N 0 3 318.230 4.054 20 0 DIADHN CCOC[C@@H](C)N[C@@H](CC)c1cc(F)cc(Br)c1 ZINC000344079106 335215936 /nfs/dbraw/zinc/21/59/36/335215936.db2.gz SLCPOSFHXJXCSR-YGRLFVJLSA-N 0 3 318.230 4.054 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCc3ccc(F)cc32)cn1 ZINC000344088584 335216653 /nfs/dbraw/zinc/21/66/53/335216653.db2.gz AOSNALPOTOJBAK-GOSISDBHSA-N 0 3 314.404 4.177 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCc3ccc(F)cc32)cn1 ZINC000344088586 335216801 /nfs/dbraw/zinc/21/68/01/335216801.db2.gz AOSNALPOTOJBAK-SFHVURJKSA-N 0 3 314.404 4.177 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H]2CCSc3c(F)cccc32)C12CCC2 ZINC000344113672 335218220 /nfs/dbraw/zinc/21/82/20/335218220.db2.gz MGBNFIGBMUVSAO-ARFHVFGLSA-N 0 3 321.461 4.300 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCc3ccc(C)cc31)CCO2 ZINC000344116627 335218585 /nfs/dbraw/zinc/21/85/85/335218585.db2.gz APIAGUSGOZXDPX-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCc3ccc(C)cc31)CCO2 ZINC000344119730 335218841 /nfs/dbraw/zinc/21/88/41/335218841.db2.gz VIQMDTRMPBPQNH-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc(-n3ccnc3)c(F)c2)c2ccccc21 ZINC000344124286 335219421 /nfs/dbraw/zinc/21/94/21/335219421.db2.gz XRGPFKITOUSGKB-LIRRHRJNSA-N 0 3 321.399 4.350 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-n3ccnc3)c(F)c2)c2ccccc21 ZINC000344124277 335219453 /nfs/dbraw/zinc/21/94/53/335219453.db2.gz XRGPFKITOUSGKB-AUUYWEPGSA-N 0 3 321.399 4.350 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(-n3ccnc3)c(F)c2)c2ccccc21 ZINC000344124283 335219513 /nfs/dbraw/zinc/21/95/13/335219513.db2.gz XRGPFKITOUSGKB-IFXJQAMLSA-N 0 3 321.399 4.350 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1CC(c3ccccc3C)C1)CCO2 ZINC000344132416 335220428 /nfs/dbraw/zinc/22/04/28/335220428.db2.gz NHQQHIZWGCTRJN-ALLBUHFWSA-N 0 3 323.436 4.363 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1CC(c3ccccc3C)C1)CCO2 ZINC000344132418 335220471 /nfs/dbraw/zinc/22/04/71/335220471.db2.gz NHQQHIZWGCTRJN-PMTKGXAQSA-N 0 3 323.436 4.363 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000344102736 335223338 /nfs/dbraw/zinc/22/33/38/335223338.db2.gz JJYTUYYSTZTKFK-AWEZNQCLSA-N 0 3 311.429 4.412 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2sc(C)nc2C)c1 ZINC000344107747 335223841 /nfs/dbraw/zinc/22/38/41/335223841.db2.gz ZAHOXMRATZVTMM-SJKOYZFVSA-N 0 3 316.470 4.352 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@@H]2C[C@@H](C)Sc3sccc32)CC1 ZINC000344290836 335237054 /nfs/dbraw/zinc/23/70/54/335237054.db2.gz MOTBFZMJSOOXKS-MRVWCRGKSA-N 0 3 311.516 4.060 20 0 DIADHN CC(C)[C@H](O)C1(CN[C@@H]2C[C@@H](C)Sc3sccc32)CC1 ZINC000344290822 335237084 /nfs/dbraw/zinc/23/70/84/335237084.db2.gz MOTBFZMJSOOXKS-BNOWGMLFSA-N 0 3 311.516 4.060 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@@H](CC)c2c(C)noc2C)C1(C)C ZINC000344292002 335237252 /nfs/dbraw/zinc/23/72/52/335237252.db2.gz NNLNTAVBUQIXLB-HRCADAONSA-N 0 3 308.466 4.316 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCC1([C@@H](O)C(C)C)CC1 ZINC000344302103 335238381 /nfs/dbraw/zinc/23/83/81/335238381.db2.gz VNVQFBNBIPAKBP-WFASDCNBSA-N 0 3 310.507 4.023 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc(C3CC3)cs2)C12CCCCC2 ZINC000344331025 335241284 /nfs/dbraw/zinc/24/12/84/335241284.db2.gz OOIBHWGMYKVIMF-HOTGVXAUSA-N 0 3 320.502 4.238 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc(C3CC3)cs2)C12CCCCC2 ZINC000344331024 335241311 /nfs/dbraw/zinc/24/13/11/335241311.db2.gz OOIBHWGMYKVIMF-CVEARBPZSA-N 0 3 320.502 4.238 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@H]3CCCCS3)s2)o1 ZINC000344335745 335241910 /nfs/dbraw/zinc/24/19/10/335241910.db2.gz RLFXVROLZWEVKB-GFCCVEGCSA-N 0 3 308.472 4.087 20 0 DIADHN Cn1cccc1CN[C@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000344391432 335249180 /nfs/dbraw/zinc/24/91/80/335249180.db2.gz OVRAYGIWGUBHIE-FQEVSTJZSA-N 0 3 318.420 4.306 20 0 DIADHN CN(CCSCc1ccncc1Cl)Cc1ccccc1 ZINC000344578484 335259613 /nfs/dbraw/zinc/25/96/13/335259613.db2.gz FRTFAXXPZKMAGX-UHFFFAOYSA-N 0 3 306.862 4.100 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC)c2ccncc2)cc1OC ZINC000344544320 335260507 /nfs/dbraw/zinc/26/05/07/335260507.db2.gz FINKHIAFKLKKDS-KRWDZBQOSA-N 0 3 314.429 4.120 20 0 DIADHN CC[C@@H](NCc1ccc2cc(OC)ccc2c1)c1ccncc1 ZINC000344546883 335260667 /nfs/dbraw/zinc/26/06/67/335260667.db2.gz HYFMJWIFXHVREO-HXUWFJFHSA-N 0 3 306.409 4.484 20 0 DIADHN CCc1ncc(CN[C@@H]2CCCO[C@H]2c2ccc(C)cc2)s1 ZINC000344605305 335263526 /nfs/dbraw/zinc/26/35/26/335263526.db2.gz RVTALDWFMQDNBV-AEFFLSMTSA-N 0 3 316.470 4.024 20 0 DIADHN CCc1ncc(CN[C@H]2CCCO[C@@H]2c2ccc(C)cc2)s1 ZINC000344605306 335263683 /nfs/dbraw/zinc/26/36/83/335263683.db2.gz RVTALDWFMQDNBV-FUHWJXTLSA-N 0 3 316.470 4.024 20 0 DIADHN Cc1ncc(CNCC[C@H](C)c2cccc(C(F)(F)F)c2)cn1 ZINC000344712740 335274873 /nfs/dbraw/zinc/27/48/73/335274873.db2.gz BZDDXTFVBBGIRS-LBPRGKRZSA-N 0 3 323.362 4.087 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc3ccccc3n1)CCC2 ZINC000344717314 335275173 /nfs/dbraw/zinc/27/51/73/335275173.db2.gz UKAFEBBZDGUGBD-OAQYLSRUSA-N 0 3 318.420 4.411 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2cnc(C3CCCC3)s2)C1(C)C ZINC000344717451 335275218 /nfs/dbraw/zinc/27/52/18/335275218.db2.gz AHUCJBYPKIZSIS-WMLDXEAASA-N 0 3 308.491 4.094 20 0 DIADHN c1ncc(CN2CCC[C@H](Cc3csc4ccccc34)C2)[nH]1 ZINC000344876706 335282184 /nfs/dbraw/zinc/28/21/84/335282184.db2.gz DEXYZVBEBYZIBK-CQSZACIVSA-N 0 3 311.454 4.079 20 0 DIADHN c1cc(CNCc2ccc3c(c2)COC3)cc(-c2ccncc2)c1 ZINC000345025541 335292412 /nfs/dbraw/zinc/29/24/12/335292412.db2.gz ANEVXVBMLSBXSB-UHFFFAOYSA-N 0 3 316.404 4.069 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cccc(C(=O)N(C)C)c2)c(C)c1 ZINC000345097925 335298095 /nfs/dbraw/zinc/29/80/95/335298095.db2.gz RZWQMWFSVBRCMQ-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN C[C@](O)(CN[C@@H](CC1CC1)c1ccc(Cl)cc1)C(F)(F)F ZINC000345286990 335313989 /nfs/dbraw/zinc/31/39/89/335313989.db2.gz WWLFMCFCERGQEI-KBPBESRZSA-N 0 3 321.770 4.084 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@@H](OC(C)C)C2(C)C)cnn1C(C)C ZINC000345319277 335316926 /nfs/dbraw/zinc/31/69/26/335316926.db2.gz MDTWNCKVAVPFSU-IAOVAPTHSA-N 0 3 307.482 4.015 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@@H](OC(C)C)C2(C)C)cnn1C(C)C ZINC000345319285 335316952 /nfs/dbraw/zinc/31/69/52/335316952.db2.gz MDTWNCKVAVPFSU-RRQGHBQHSA-N 0 3 307.482 4.015 20 0 DIADHN CC(C)(C)NC(=O)CCN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345319739 335317004 /nfs/dbraw/zinc/31/70/04/335317004.db2.gz YIENNEZOOURGJD-INIZCTEOSA-N 0 3 322.880 4.076 20 0 DIADHN CCc1nn(C)cc1CN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345329789 335317641 /nfs/dbraw/zinc/31/76/41/335317641.db2.gz QLJVTPIHSOSITO-SFHVURJKSA-N 0 3 317.864 4.267 20 0 DIADHN C([C@@H]1CCC2(CCCCC2)O1)N1CCC(OC2CCCC2)CC1 ZINC000190951198 335320336 /nfs/dbraw/zinc/32/03/36/335320336.db2.gz HTAWYUQUOBQAPB-IBGZPJMESA-N 0 3 321.505 4.292 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc2ncccc2c1 ZINC000345714512 335345076 /nfs/dbraw/zinc/34/50/76/335345076.db2.gz YCZJAOGTKKULFL-VBKZILBWSA-N 0 3 324.399 4.240 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cccc2cccnc21 ZINC000345710457 335349538 /nfs/dbraw/zinc/34/95/38/335349538.db2.gz RKWJFCJEYRFTLH-VBKZILBWSA-N 0 3 324.399 4.240 20 0 DIADHN Cc1ncc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)s1 ZINC000346031827 335373329 /nfs/dbraw/zinc/37/33/29/335373329.db2.gz AASUIOZSBOBNKC-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN Cc1nn(-c2ccncc2)cc1CN1CCCC2(CCCCC2)C1 ZINC000346169139 335384627 /nfs/dbraw/zinc/38/46/27/335384627.db2.gz DVVRLTIVVFGTRC-UHFFFAOYSA-N 0 3 324.472 4.122 20 0 DIADHN c1cn(-c2csc(CN3CCC[C@@H]3c3ccsc3)c2)cn1 ZINC000346169273 335384647 /nfs/dbraw/zinc/38/46/47/335384647.db2.gz IUICRFVFMJFAPX-MRXNPFEDSA-N 0 3 315.467 4.332 20 0 DIADHN Cc1cc(CN(C)[C@H](CCO)c2ccccc2)cc2cccnc12 ZINC000346196011 335388956 /nfs/dbraw/zinc/38/89/56/335388956.db2.gz HZWFLSXKXAHCFH-HXUWFJFHSA-N 0 3 320.436 4.099 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1nc(-c2ccncc2)cs1 ZINC000346625550 335424903 /nfs/dbraw/zinc/42/49/03/335424903.db2.gz PTCXJNHCPUDGRT-JSGCOSHPSA-N 0 3 301.459 4.434 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@@H](SC)C(C)(C)C)CCCO2 ZINC000346786831 335440944 /nfs/dbraw/zinc/44/09/44/335440944.db2.gz BZZDKADUASOZPL-DOTOQJQBSA-N 0 3 323.502 4.276 20 0 DIADHN CC[C@H](N[C@@H](CCOC)Cc1ccco1)c1cc(F)ccc1F ZINC000346812033 335443105 /nfs/dbraw/zinc/44/31/05/335443105.db2.gz VXRNVCWIGYBAGP-KSSFIOAISA-N 0 3 323.383 4.246 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@@H]1CC(C)(C)Cc2occc21 ZINC000346837415 335445084 /nfs/dbraw/zinc/44/50/84/335445084.db2.gz QPADTTQVRREKLO-RHSMWYFYSA-N 0 3 317.429 4.124 20 0 DIADHN C[C@H](NC[C@H]1CCCO1)c1cccc(OCc2ccccc2)c1 ZINC000444703139 338484144 /nfs/dbraw/zinc/48/41/44/338484144.db2.gz SDLWPDDFJWRCPP-OXJNMPFZSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1nc(CCN[C@@H]2CCCOc3c(C)cc(C)cc32)cs1 ZINC000347124077 335467921 /nfs/dbraw/zinc/46/79/21/335467921.db2.gz IQXOSQIPCQRITJ-QGZVFWFLSA-N 0 3 316.470 4.114 20 0 DIADHN Clc1cccc(OCCN[C@@H]2CCCNc3ccccc32)c1 ZINC000347879516 335536653 /nfs/dbraw/zinc/53/66/53/335536653.db2.gz OLEBDIHKIKWVEX-GOSISDBHSA-N 0 3 316.832 4.255 20 0 DIADHN C[C@@H](Cc1ccoc1)N[C@@H]1CC(C)(C)Oc2cc(F)ccc21 ZINC000348097731 335553164 /nfs/dbraw/zinc/55/31/64/335553164.db2.gz RCGQFOCPWXUGQA-BLLLJJGKSA-N 0 3 303.377 4.242 20 0 DIADHN OCC[C@@H](N[C@@H]1CCCc2sccc21)c1ccc(Cl)cc1 ZINC000348245590 335567047 /nfs/dbraw/zinc/56/70/47/335567047.db2.gz POMZDGHGWZOLIH-HZPDHXFCSA-N 0 3 321.873 4.492 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2N[C@H]1C[C@H]1C(F)F ZINC000348271413 335570120 /nfs/dbraw/zinc/57/01/20/335570120.db2.gz IYJBFXSKHJTOSY-AGIUHOORSA-N 0 3 301.764 4.105 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@@H]2C[C@@H]2C(F)F)cc1OCC ZINC000348285863 335571572 /nfs/dbraw/zinc/57/15/72/335571572.db2.gz XHTYVLNCMPQYEZ-MELADBBJSA-N 0 3 313.388 4.178 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccc(Cl)cc1 ZINC000348233964 335572378 /nfs/dbraw/zinc/57/23/78/335572378.db2.gz WOGWDLSHZMGOKM-QZTJIDSGSA-N 0 3 319.807 4.180 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCCOC(C)C ZINC000444780552 338488494 /nfs/dbraw/zinc/48/84/94/338488494.db2.gz NATUKOHVEWAGHC-HNNXBMFYSA-N 0 3 303.368 4.393 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@H]1CC[C@@H](C)O1 ZINC000444782506 338488511 /nfs/dbraw/zinc/48/85/11/338488511.db2.gz IVCPMCLUPKMBRF-NFAWXSAZSA-N 0 3 301.352 4.146 20 0 DIADHN Cn1ccc(CN2CCC([C@@H](F)c3ccccc3F)CC2)c1 ZINC000348631670 335598394 /nfs/dbraw/zinc/59/83/94/335598394.db2.gz FJYWFHOUUFIYKC-GOSISDBHSA-N 0 3 304.384 4.087 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCc3c(Cl)cccc32)ccn1 ZINC000348683300 335599810 /nfs/dbraw/zinc/59/98/10/335599810.db2.gz OUDOMHWZIDFYOF-YVEFUNNKSA-N 0 3 316.832 4.472 20 0 DIADHN CCCCOCCN[C@H](CC(F)(F)F)c1ccccc1C ZINC000444787809 338488838 /nfs/dbraw/zinc/48/88/38/338488838.db2.gz SHZGHEUURXDKJO-OAHLLOKOSA-N 0 3 303.368 4.395 20 0 DIADHN Cc1cc2[nH]c(CN[C@H]3CCCc4[nH]c(=O)ccc43)cc2c(C)c1 ZINC000348921881 335620958 /nfs/dbraw/zinc/62/09/58/335620958.db2.gz PDLOBVAREUFNRA-KRWDZBQOSA-N 0 3 321.424 4.053 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)c(OC)c2)c(C)c1 ZINC000537964285 335620993 /nfs/dbraw/zinc/62/09/93/335620993.db2.gz LSNSEVFCHDVDEA-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000348950239 335623908 /nfs/dbraw/zinc/62/39/08/335623908.db2.gz PHPSSTGOTFEBLY-CALCHBBNSA-N 0 3 314.473 4.076 20 0 DIADHN CCC[C@H](NCc1ccc(C(=O)NCC)cc1)c1ccsc1 ZINC000191204453 335638533 /nfs/dbraw/zinc/63/85/33/335638533.db2.gz MQCDKCLHFSWOSL-KRWDZBQOSA-N 0 3 316.470 4.129 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1Cl)[C@H]1CCCOC1 ZINC000388967984 335667543 /nfs/dbraw/zinc/66/75/43/335667543.db2.gz NCUKTYIPLRJQAH-WOPDTQHZSA-N 0 3 302.245 4.459 20 0 DIADHN CCc1nc(CCN[C@@H](c2ccc(C)o2)C2CCC2)cs1 ZINC000349451225 335673128 /nfs/dbraw/zinc/67/31/28/335673128.db2.gz MAWRFRPEKNALTL-QGZVFWFLSA-N 0 3 304.459 4.280 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](CCCO)c3ccccc3)cc2c1C ZINC000349646549 335688254 /nfs/dbraw/zinc/68/82/54/335688254.db2.gz QHRVKUJJRHPXMF-FQEVSTJZSA-N 0 3 322.452 4.388 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2cc(Cl)ccc21)c1nccs1 ZINC000349830023 335704435 /nfs/dbraw/zinc/70/44/35/335704435.db2.gz DROSJBOGQXWMME-BXUZGUMPSA-N 0 3 322.861 4.404 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)[C@H]1CCCOC1 ZINC000389037278 335706539 /nfs/dbraw/zinc/70/65/39/335706539.db2.gz YRGINIDPSFYGLY-QSFXBCCZSA-N 0 3 313.416 4.320 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@@H]2COc3ccccc32)cc1F ZINC000583588265 335752147 /nfs/dbraw/zinc/75/21/47/335752147.db2.gz WNUCNFFNQPHRAO-TZMCWYRMSA-N 0 3 317.429 4.374 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(OC3CCCC3)CC2)cc1F ZINC000583591418 335752738 /nfs/dbraw/zinc/75/27/38/335752738.db2.gz PJJKVIBAYBVPOH-ARJAWSKDSA-N 0 3 321.411 4.402 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(Cl)cc1)c1ccccc1 ZINC000051628122 335756229 /nfs/dbraw/zinc/75/62/29/335756229.db2.gz RUXBYCCTNJOBNA-INIZCTEOSA-N 0 3 302.805 4.019 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1O)c1cc(F)c(Cl)cc1Cl ZINC000051769942 335768671 /nfs/dbraw/zinc/76/86/71/335768671.db2.gz ZSEUXGWMNFQBGU-YZMDESFKSA-N 0 3 306.208 4.087 20 0 DIADHN C[C@@H](c1nc(-c2coc3ccccc23)no1)N1[C@H](C)CC[C@H]1C ZINC000445550963 338499331 /nfs/dbraw/zinc/49/93/31/338499331.db2.gz DOVXLZCKKYKAGS-UPJWGTAASA-N 0 3 311.385 4.417 20 0 DIADHN C[C@@H](NC1(c2ccc(Cl)c(Cl)c2)CC1)[C@H]1CCCO1 ZINC000547396123 335822660 /nfs/dbraw/zinc/82/26/60/335822660.db2.gz YWZJRAYAIORGJO-QMTHXVAHSA-N 0 3 300.229 4.140 20 0 DIADHN CC[C@@H](NCc1cc(Cl)sc1Cl)C1CCOCC1 ZINC000536175538 335826846 /nfs/dbraw/zinc/82/68/46/335826846.db2.gz KNTHGDJCIPQVSG-LLVKDONJSA-N 0 3 308.274 4.350 20 0 DIADHN C/C(Cl)=C\CN1CCC(n2c(C)nc3ccccc32)CC1 ZINC000528482874 335840216 /nfs/dbraw/zinc/84/02/16/335840216.db2.gz AOPSEFRHPOVORE-NTUHNPAUSA-N 0 3 303.837 4.124 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cnn(CC(F)(F)F)c1 ZINC000536626008 335845582 /nfs/dbraw/zinc/84/55/82/335845582.db2.gz UXMVCHDXFPRJTJ-WFASDCNBSA-N 0 3 323.362 4.092 20 0 DIADHN C[C@H](CCO)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000097439307 335855956 /nfs/dbraw/zinc/85/59/56/335855956.db2.gz GBDXJEQXPSYHQL-RKDXNWHRSA-N 0 3 310.652 4.316 20 0 DIADHN Cc1cc2cc(CN3CCC(OCC4CC4)CC3)oc2cc1C ZINC000536696919 335863944 /nfs/dbraw/zinc/86/39/44/335863944.db2.gz FGEUQAZHUMMXAB-UHFFFAOYSA-N 0 3 313.441 4.441 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000417928366 335880037 /nfs/dbraw/zinc/88/00/37/335880037.db2.gz MZNLAMZOWGJPGQ-CSMYWGQOSA-N 0 3 303.837 4.043 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)NCc2ccc(C)c(F)c2)cc1 ZINC000536757845 335884965 /nfs/dbraw/zinc/88/49/65/335884965.db2.gz ZMFHMWQEDZPJDA-IFXJQAMLSA-N 0 3 317.404 4.009 20 0 DIADHN COc1ccc([C@@H](OC)[C@H](C)NCc2ccc(C)c(F)c2)cc1 ZINC000536757848 335884993 /nfs/dbraw/zinc/88/49/93/335884993.db2.gz ZMFHMWQEDZPJDA-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cccc(Cl)c2Cl)CCO1 ZINC000536758051 335885043 /nfs/dbraw/zinc/88/50/43/335885043.db2.gz XBFNDCCNXYNVAA-JSGCOSHPSA-N 0 3 302.245 4.287 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3CC34CCCCC4)n2)cc1 ZINC000532168472 335908192 /nfs/dbraw/zinc/90/81/92/335908192.db2.gz ZESQCROILQBPBZ-MRXNPFEDSA-N 0 3 311.429 4.236 20 0 DIADHN COc1cc(F)c([C@@H](C)NCCc2cccc(C)c2)cc1OC ZINC000532219680 335916993 /nfs/dbraw/zinc/91/69/93/335916993.db2.gz GPUKGVWVDBHRTH-CQSZACIVSA-N 0 3 317.404 4.045 20 0 DIADHN CO[C@H](CN[C@H](C)c1cccc(OC(F)F)c1)c1ccccc1 ZINC000532212522 335919022 /nfs/dbraw/zinc/91/90/22/335919022.db2.gz VYXBWFFHDBNTTM-CXAGYDPISA-N 0 3 321.367 4.326 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cccc(OC(F)F)c1)c1ccccc1 ZINC000532212527 335919158 /nfs/dbraw/zinc/91/91/58/335919158.db2.gz VYXBWFFHDBNTTM-SUMWQHHRSA-N 0 3 321.367 4.326 20 0 DIADHN CCc1cc(CN[C@H](C)[C@H](C)c2nc3ccccc3s2)on1 ZINC000532234673 335922874 /nfs/dbraw/zinc/92/28/74/335922874.db2.gz KJCZOGMMQIHZMB-NWDGAFQWSA-N 0 3 315.442 4.129 20 0 DIADHN Clc1ccc(CNCCCOC2CCCC2)c(Cl)c1 ZINC000520221125 335942950 /nfs/dbraw/zinc/94/29/50/335942950.db2.gz PQINNOSMJUHFOG-UHFFFAOYSA-N 0 3 302.245 4.432 20 0 DIADHN c1ccc(C2(NCc3ccc(OC[C@@H]4CCCO4)cc3)CC2)cc1 ZINC000520248943 335948963 /nfs/dbraw/zinc/94/89/63/335948963.db2.gz NARRSSZXRQGCRG-FQEVSTJZSA-N 0 3 323.436 4.023 20 0 DIADHN Cc1cccc([C@H](C)NCc2cncn2Cc2ccccc2)c1C ZINC000445810316 338510656 /nfs/dbraw/zinc/51/06/56/338510656.db2.gz JHWXEHMOIMGCHY-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CCc1ccc(CNC[C@@H](c2ccco2)N(CC)CC)cc1 ZINC000520965502 335980672 /nfs/dbraw/zinc/98/06/72/335980672.db2.gz CMFDOLVXLYMNSR-SFHVURJKSA-N 0 3 300.446 4.015 20 0 DIADHN C[C@H](NCc1cc(F)ccc1Cl)[C@H]1COc2ccccc21 ZINC000445781577 338508679 /nfs/dbraw/zinc/50/86/79/338508679.db2.gz KRLLMCRMLVVFEP-XHDPSFHLSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H](c3nccs3)C2)c1 ZINC000351003709 336003604 /nfs/dbraw/zinc/00/36/04/336003604.db2.gz VZMKLODZFKCKDM-AWEZNQCLSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1sccc1CN1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000351348278 336029656 /nfs/dbraw/zinc/02/96/56/336029656.db2.gz DMKYBKYZNVOPSB-HNNXBMFYSA-N 0 3 301.411 4.122 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCO[C@H]2c2ccccc2)c(Cl)c1 ZINC000192377087 336030293 /nfs/dbraw/zinc/03/02/93/336030293.db2.gz JIVUTZUNIVXCKS-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCO[C@H]2c2ccccc2)o1 ZINC000192377661 336030461 /nfs/dbraw/zinc/03/04/61/336030461.db2.gz YHAJHNYHMJNLFD-CQEAQJRCSA-N 0 3 311.425 4.270 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1ccc([S@](C)=O)cc1 ZINC000351619792 336051268 /nfs/dbraw/zinc/05/12/68/336051268.db2.gz KNYYGEQTOSBPRQ-UGKGYDQZSA-N 0 3 315.482 4.397 20 0 DIADHN OC[C@@H]1CCC[C@@H](N[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000324061710 336053877 /nfs/dbraw/zinc/05/38/77/336053877.db2.gz WLHXUHMYDULXQC-YSGRDPCXSA-N 0 3 313.416 4.056 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnn(CC(F)F)c2)c1C ZINC000351643144 336054621 /nfs/dbraw/zinc/05/46/21/336054621.db2.gz ADUGVNRHFKWMGG-KRWDZBQOSA-N 0 3 319.399 4.102 20 0 DIADHN Cc1ncc(CN[C@@H](c2cccc(Cl)c2)C2CCCC2)cn1 ZINC000351729999 336062922 /nfs/dbraw/zinc/06/29/22/336062922.db2.gz KOZROICRGKQKSI-GOSISDBHSA-N 0 3 315.848 4.460 20 0 DIADHN FCCCCN(Cc1csc(Br)c1)C1CC1 ZINC000351729271 336062928 /nfs/dbraw/zinc/06/29/28/336062928.db2.gz FKEPUYNIDAUDFC-UHFFFAOYSA-N 0 3 306.244 4.225 20 0 DIADHN CCOC1CC(CN[C@H](CC)c2nc(C(F)(F)F)cs2)C1 ZINC000351732062 336063144 /nfs/dbraw/zinc/06/31/44/336063144.db2.gz ZQZAUPBSSBJKOW-VQXHTEKXSA-N 0 3 322.396 4.018 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(C(F)(F)F)cc2)C(C)C)cn1 ZINC000351758736 336065445 /nfs/dbraw/zinc/06/54/45/336065445.db2.gz QHGLHYIFBQPNLH-INIZCTEOSA-N 0 3 323.362 4.291 20 0 DIADHN Cc1ncc(CN2CCC(c3cccc4ccccc43)CC2)cn1 ZINC000351846061 336073366 /nfs/dbraw/zinc/07/33/66/336073366.db2.gz ZLXGXKWBEIKQRZ-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000324171390 336098884 /nfs/dbraw/zinc/09/88/84/336098884.db2.gz FWFCBLDTIXPVQV-HNNXBMFYSA-N 0 3 319.452 4.372 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc2c(c1)CCCC2 ZINC000324171392 336098946 /nfs/dbraw/zinc/09/89/46/336098946.db2.gz FWFCBLDTIXPVQV-OAHLLOKOSA-N 0 3 319.452 4.372 20 0 DIADHN C[C@H](F)CCN(CCc1ccccn1)Cc1ccc(F)cc1 ZINC000351890435 336103519 /nfs/dbraw/zinc/10/35/19/336103519.db2.gz MYWVKNDAEQASQX-HNNXBMFYSA-N 0 3 304.384 4.014 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@@H](c2ccco2)N2CCCC2)c2ccccc21 ZINC000324283180 336129627 /nfs/dbraw/zinc/12/96/27/336129627.db2.gz QGGYHISSYJHVLK-LZQZEXGQSA-N 0 3 310.441 4.255 20 0 DIADHN C[C@@H](CNCc1nc(-c2ccccc2)c[nH]1)Cc1cccs1 ZINC000194339310 338515276 /nfs/dbraw/zinc/51/52/76/338515276.db2.gz KQVXAHMXVDJDLE-CQSZACIVSA-N 0 3 311.454 4.107 20 0 DIADHN C[C@H](NC1[C@H](C)CCC[C@H]1C)c1nnc(-c2cccs2)o1 ZINC000331936190 336153441 /nfs/dbraw/zinc/15/34/41/336153441.db2.gz LZVVKJMCJDLEID-UTUOFQBUSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331936186 336153663 /nfs/dbraw/zinc/15/36/63/336153663.db2.gz LZVVKJMCJDLEID-KZVDOYCCSA-N 0 3 305.447 4.273 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCC[C@H]3c3ccccc3)oc2c1 ZINC000446005695 338517573 /nfs/dbraw/zinc/51/75/73/338517573.db2.gz ZOZHLGWHXZWZHX-JKSUJKDBSA-N 0 3 310.372 4.393 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1ccc(Cl)cn1 ZINC000480679068 336164644 /nfs/dbraw/zinc/16/46/44/336164644.db2.gz UXGXABIBXAEJHW-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN CCOC(=O)CC1CCN(C[C@@H](C)c2cccc(Cl)c2)CC1 ZINC000526114361 336179299 /nfs/dbraw/zinc/17/92/99/336179299.db2.gz HBDBZCXXTRZZCI-CQSZACIVSA-N 0 3 323.864 4.109 20 0 DIADHN Cc1ccc(CN2CC=C(c3c[nH]c4cc(F)ccc43)CC2)o1 ZINC000351972684 336184499 /nfs/dbraw/zinc/18/44/99/336184499.db2.gz SHULPHNAKZTWBE-UHFFFAOYSA-N 0 3 310.372 4.498 20 0 DIADHN Cc1ncc(CN[C@H](C)c2ccc(Cl)c3ccccc32)cn1 ZINC000351992747 336186374 /nfs/dbraw/zinc/18/63/74/336186374.db2.gz FINIHKQPNLCJQQ-GFCCVEGCSA-N 0 3 311.816 4.442 20 0 DIADHN COc1ccc(CN(C)C[C@H](C)c2cccc(Cl)c2)cc1O ZINC000526115551 336187612 /nfs/dbraw/zinc/18/76/12/336187612.db2.gz MEZATKPSJVOUTG-ZDUSSCGKSA-N 0 3 319.832 4.290 20 0 DIADHN CCSc1cccc(CN(C)CCc2ncc(C)s2)c1 ZINC000352011202 336188575 /nfs/dbraw/zinc/18/85/75/336188575.db2.gz PYLGVHLLCGEPFN-UHFFFAOYSA-N 0 3 306.500 4.238 20 0 DIADHN Cc1cc([C@@H]2CCCN2Cc2cccc(-c3cccnc3)c2)on1 ZINC000352091913 336194474 /nfs/dbraw/zinc/19/44/74/336194474.db2.gz MQQFUOHKBSMDGZ-IBGZPJMESA-N 0 3 319.408 4.382 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H]1CCCc2occc21 ZINC000352113209 336195447 /nfs/dbraw/zinc/19/54/47/336195447.db2.gz FPPNZLZDXXRINI-QZEGLACASA-N 0 3 311.425 4.497 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1cccc(C)n1 ZINC000352240032 336205825 /nfs/dbraw/zinc/20/58/25/336205825.db2.gz BYSMITVQIFTZEG-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccc(F)cc3F)CC2)s1 ZINC000352333842 336212971 /nfs/dbraw/zinc/21/29/71/336212971.db2.gz WZBCGOUUIFVARE-UHFFFAOYSA-N 0 3 323.408 4.378 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc2c(c1)OCCO2 ZINC000324423953 336215610 /nfs/dbraw/zinc/21/56/10/336215610.db2.gz SLDMEGUJRWDEMV-HBUWYVDXSA-N 0 3 309.409 4.357 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H]3Cc4ccccc4O3)oc21 ZINC000324433323 336218578 /nfs/dbraw/zinc/21/85/78/336218578.db2.gz ACVQKSZLXRLXMQ-CZUORRHYSA-N 0 3 323.392 4.096 20 0 DIADHN C[C@@H](CN1CCC(c2c[nH]cn2)CC1)c1cccc(Cl)c1 ZINC000526123861 336219241 /nfs/dbraw/zinc/21/92/41/336219241.db2.gz XRXNHTNUEDCDAO-ZDUSSCGKSA-N 0 3 303.837 4.046 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc3sccc3c2)o1 ZINC000352465465 336220746 /nfs/dbraw/zinc/22/07/46/336220746.db2.gz KHGNZWZBVJSCHH-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN1CCC=C(c2ccco2)C1 ZINC000352543390 336223536 /nfs/dbraw/zinc/22/35/36/336223536.db2.gz DVJSDAPOIXJOFQ-UHFFFAOYSA-N 0 3 319.408 4.063 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1sc(C)nc1C ZINC000352638332 336228129 /nfs/dbraw/zinc/22/81/29/336228129.db2.gz JNFDTBHYQGKUNY-LCYFTJDESA-N 0 3 316.470 4.099 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000352672092 336229810 /nfs/dbraw/zinc/22/98/10/336229810.db2.gz QQEMAECWYKCPFI-SALKPKHPSA-N 0 3 318.486 4.005 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1cccc(-n2ccnc2)c1 ZINC000352761722 336233474 /nfs/dbraw/zinc/23/34/74/336233474.db2.gz YRWQLJJYAPKFBB-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN OCCC[C@H](NC/C=C\c1ccc(F)c(F)c1)c1ccccc1 ZINC000352765400 336233895 /nfs/dbraw/zinc/23/38/95/336233895.db2.gz JVLPHJJANIYBMF-UTSMFGRCSA-N 0 3 317.379 4.081 20 0 DIADHN CC(C)N(CC[S@@](=O)c1cc(Cl)ccc1Cl)C(C)C ZINC000352770015 336234179 /nfs/dbraw/zinc/23/41/79/336234179.db2.gz PHPCJKADBNJAEE-LJQANCHMSA-N 0 3 322.301 4.220 20 0 DIADHN CC[C@H](NC[C@@H](c1cccnc1)C(C)C)c1ccc(F)cn1 ZINC000352782605 336236227 /nfs/dbraw/zinc/23/62/27/336236227.db2.gz IMFFTOLQTNJYDS-SJORKVTESA-N 0 3 301.409 4.096 20 0 DIADHN OCC[C@@H](N[C@H]1C=CCCC1)c1ccc(Cl)c(Cl)c1 ZINC000352797158 336237642 /nfs/dbraw/zinc/23/76/42/336237642.db2.gz HXQNHSPGUYMEGD-SWLSCSKDSA-N 0 3 300.229 4.115 20 0 DIADHN CC[C@H](NCCC1(CO)CC1)c1ccc(Cl)cc1Cl ZINC000395843412 336237647 /nfs/dbraw/zinc/23/76/47/336237647.db2.gz HLPZXLNZZBKZLK-AWEZNQCLSA-N 0 3 302.245 4.197 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)s1 ZINC000042290419 336238441 /nfs/dbraw/zinc/23/84/41/336238441.db2.gz ZILUDMGEIHINIE-VXGBXAGGSA-N 0 3 303.427 4.451 20 0 DIADHN Cc1ccc([C@H](C)NCCOc2cccc(Br)c2)o1 ZINC000042314557 336238856 /nfs/dbraw/zinc/23/88/56/336238856.db2.gz GEWNMUWGRGVRIU-LBPRGKRZSA-N 0 3 324.218 4.080 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1ccc2c(c1)OCO2 ZINC000042330033 336239084 /nfs/dbraw/zinc/23/90/84/336239084.db2.gz HTKZOLZOOUJSBK-PIGZYNQJSA-N 0 3 301.411 4.279 20 0 DIADHN COCCSc1ccc([C@H](C)NCc2cccc(F)c2)cc1 ZINC000103345757 336240116 /nfs/dbraw/zinc/24/01/16/336240116.db2.gz VMFBCPNQANIHPJ-AWEZNQCLSA-N 0 3 319.445 4.415 20 0 DIADHN FC(F)(F)c1cscc1CNCCOCc1ccccc1 ZINC000352808176 336243356 /nfs/dbraw/zinc/24/33/56/336243356.db2.gz BNQDIXJXYKHZTN-UHFFFAOYSA-N 0 3 315.360 4.073 20 0 DIADHN Clc1ccc(-c2nc(CNC3(C4CC4)CC3)cs2)cc1 ZINC000532373271 336243879 /nfs/dbraw/zinc/24/38/79/336243879.db2.gz HFRUWTWLNBMLQQ-UHFFFAOYSA-N 0 3 304.846 4.496 20 0 DIADHN CC[C@@H](NCc1ccc(SC)s1)c1c(C)nn(C)c1C ZINC000352809948 336244401 /nfs/dbraw/zinc/24/44/01/336244401.db2.gz KRQPQINSSBQHML-CYBMUJFWSA-N 0 3 309.504 4.061 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c4ccccc4sc32)ccn1 ZINC000532458921 336248446 /nfs/dbraw/zinc/24/84/46/336248446.db2.gz YFYVBPFIHWYYKO-MRXNPFEDSA-N 0 3 324.449 4.472 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCOc1ccccc1 ZINC000532461276 336248721 /nfs/dbraw/zinc/24/87/21/336248721.db2.gz UISZXOWHNPDYTE-CYBMUJFWSA-N 0 3 304.459 4.305 20 0 DIADHN COC[C@@H](CC(C)C)NCc1nc2cc(Cl)ccc2s1 ZINC000532465921 336249208 /nfs/dbraw/zinc/24/92/08/336249208.db2.gz WLVMQHXSHBQWMZ-GFCCVEGCSA-N 0 3 312.866 4.100 20 0 DIADHN COC[C@H](CC(C)C)NCc1nc2cc(Cl)ccc2s1 ZINC000532465922 336249325 /nfs/dbraw/zinc/24/93/25/336249325.db2.gz WLVMQHXSHBQWMZ-LBPRGKRZSA-N 0 3 312.866 4.100 20 0 DIADHN C[C@@H](NCCn1cnc2ccccc21)c1cc2ccccc2o1 ZINC000532469717 336249395 /nfs/dbraw/zinc/24/93/95/336249395.db2.gz FCENROLGACKBJM-CQSZACIVSA-N 0 3 305.381 4.133 20 0 DIADHN CN(C)C(=O)c1cccc(CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000532452907 336250186 /nfs/dbraw/zinc/25/01/86/336250186.db2.gz JPBDWZQHNZOLON-FQEVSTJZSA-N 0 3 322.452 4.019 20 0 DIADHN CC(C)CCOCCN[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000532492076 336250528 /nfs/dbraw/zinc/25/05/28/336250528.db2.gz XKQBLWHXVLRGRK-KRWDZBQOSA-N 0 3 312.457 4.462 20 0 DIADHN C[C@H](Cn1cncn1)N[C@@H](C)c1ccc(C2CCCCC2)cc1 ZINC000532492696 336250726 /nfs/dbraw/zinc/25/07/26/336250726.db2.gz PPNIEFSBCQNEFZ-CVEARBPZSA-N 0 3 312.461 4.065 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2c(C)nn(CC)c2C)cc1 ZINC000532496693 336250966 /nfs/dbraw/zinc/25/09/66/336250966.db2.gz DFNXEOKKJROBIO-CQSZACIVSA-N 0 3 315.461 4.159 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)cc1 ZINC000532475958 336251178 /nfs/dbraw/zinc/25/11/78/336251178.db2.gz UQGKMYASTHOCDK-GJZGRUSLSA-N 0 3 308.425 4.292 20 0 DIADHN COc1ccsc1CN[C@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000532479171 336251273 /nfs/dbraw/zinc/25/12/73/336251273.db2.gz QAKCZQDSFVWHJX-YJBOKZPZSA-N 0 3 317.454 4.075 20 0 DIADHN COc1ccsc1CN[C@H]1CCCO[C@@H]1c1ccc(C)cc1 ZINC000532479169 336251324 /nfs/dbraw/zinc/25/13/24/336251324.db2.gz QAKCZQDSFVWHJX-MAUKXSAKSA-N 0 3 317.454 4.075 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1ccc(COC)o1 ZINC000532479490 336251421 /nfs/dbraw/zinc/25/14/21/336251421.db2.gz UVABQBNCOZNMKM-KRWDZBQOSA-N 0 3 301.430 4.317 20 0 DIADHN CCC(CC)(CNCc1ccc(COC)o1)c1ccccc1 ZINC000532479839 336251571 /nfs/dbraw/zinc/25/15/71/336251571.db2.gz VMBJWLDBBFHTGN-UHFFFAOYSA-N 0 3 301.430 4.274 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000532522243 336252240 /nfs/dbraw/zinc/25/22/40/336252240.db2.gz BMAFBOSGDIINQO-ZWNOBZJWSA-N 0 3 321.795 4.263 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cnn(C(C)C)c1)CCC2 ZINC000532511601 336253066 /nfs/dbraw/zinc/25/30/66/336253066.db2.gz NVFYNZQTUPGPCU-KUHUBIRLSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N(C)C[C@@H](C)c2ccccc2)c1 ZINC000532518817 336253391 /nfs/dbraw/zinc/25/33/91/336253391.db2.gz ZCERPJOLIHXUCX-MSOLQXFVSA-N 0 3 324.468 4.366 20 0 DIADHN C[C@@H](NCC1(c2ccccc2Br)CC1)c1ccoc1 ZINC000532517545 336253535 /nfs/dbraw/zinc/25/35/35/336253535.db2.gz NPSQLFHMVHEBOS-GFCCVEGCSA-N 0 3 320.230 4.425 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1sccc1Br)C(C)(C)C ZINC000532531254 336253857 /nfs/dbraw/zinc/25/38/57/336253857.db2.gz ADGOZSRKUYPJRF-ONGXEEELSA-N 0 3 320.296 4.222 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)N(C)C2CCCCC2)c(C)s1 ZINC000542511999 336254880 /nfs/dbraw/zinc/25/48/80/336254880.db2.gz YLMBJIBQLDNHGS-KGLIPLIRSA-N 0 3 322.518 4.195 20 0 DIADHN CN(C)c1cccc(CNCc2cscc2C(F)(F)F)c1 ZINC000352819356 336254914 /nfs/dbraw/zinc/25/49/14/336254914.db2.gz ATBCBVLUDPIAJK-UHFFFAOYSA-N 0 3 314.376 4.123 20 0 DIADHN Fc1cc(CNCc2ccc(OC(F)F)cc2)ccc1Cl ZINC000043388546 336254988 /nfs/dbraw/zinc/25/49/88/336254988.db2.gz IMUPWEKHFMSDFS-UHFFFAOYSA-N 0 3 315.722 4.370 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCCOc2ccc(F)cc2)n1 ZINC000532537709 336255101 /nfs/dbraw/zinc/25/51/01/336255101.db2.gz XFNGKWVJTICLTL-LJQANCHMSA-N 0 3 314.404 4.135 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1c(C)cc(C)cc1C)C1CC1 ZINC000532570605 336256031 /nfs/dbraw/zinc/25/60/31/336256031.db2.gz AHMUJRQCHMUODV-RHSMWYFYSA-N 0 3 316.489 4.449 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@H](C)CCC[C@@H]2C)c(C)c1 ZINC000532577986 336256124 /nfs/dbraw/zinc/25/61/24/336256124.db2.gz KJSXUTCDWGAGOI-DYVFJYSZSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCCC(=O)OC(C)(C)C ZINC000532582074 336256525 /nfs/dbraw/zinc/25/65/25/336256525.db2.gz YXSJINYGIPPMSB-LBPRGKRZSA-N 0 3 313.388 4.080 20 0 DIADHN CC(C)(CNCc1cc(-c2ccccc2)n[nH]1)Oc1ccccc1 ZINC000532620600 336257114 /nfs/dbraw/zinc/25/71/14/336257114.db2.gz UKFARQTXYJZCOD-UHFFFAOYSA-N 0 3 321.424 4.024 20 0 DIADHN CCSCCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000532626452 336258051 /nfs/dbraw/zinc/25/80/51/336258051.db2.gz VWJHCTVAJQPMKP-CYBMUJFWSA-N 0 3 306.500 4.229 20 0 DIADHN Fc1cc(Br)ccc1CN[C@@H]1CCCC(F)(F)C1 ZINC000532627166 336258054 /nfs/dbraw/zinc/25/80/54/336258054.db2.gz AFTAUKMUPYLRDW-LLVKDONJSA-N 0 3 322.168 4.256 20 0 DIADHN CCSCCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000532626453 336258125 /nfs/dbraw/zinc/25/81/25/336258125.db2.gz VWJHCTVAJQPMKP-ZDUSSCGKSA-N 0 3 306.500 4.229 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(C(C)(C)C)cc1 ZINC000192706262 336258993 /nfs/dbraw/zinc/25/89/93/336258993.db2.gz KZJPWCWKSNPRSG-KBXCAEBGSA-N 0 3 311.473 4.446 20 0 DIADHN C[C@@H](NCCC(C)(F)F)c1cc(Br)ccc1F ZINC000379202418 336259055 /nfs/dbraw/zinc/25/90/55/336259055.db2.gz ZFXSJVCBRKEGIL-MRVPVSSYSA-N 0 3 310.157 4.284 20 0 DIADHN Cc1cc(CN[C@@H]2CCCC(F)(F)C2)sc1Br ZINC000532628354 336260549 /nfs/dbraw/zinc/26/05/49/336260549.db2.gz GXMXMHTVJOAAJD-SECBINFHSA-N 0 3 324.234 4.487 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccccc1OC ZINC000192758503 336260748 /nfs/dbraw/zinc/26/07/48/336260748.db2.gz JQXBEVJKQLNUDN-XJKSGUPXSA-N 0 3 313.397 4.226 20 0 DIADHN CSc1ccc(CN[C@@H](CCCO)c2ccccc2)s1 ZINC000352825436 336260829 /nfs/dbraw/zinc/26/08/29/336260829.db2.gz SRHLPBNCJIOOMZ-HNNXBMFYSA-N 0 3 307.484 4.073 20 0 DIADHN Cc1csc([C@H](NCCSCc2ccccc2)C2CC2)n1 ZINC000192768879 336261244 /nfs/dbraw/zinc/26/12/44/336261244.db2.gz LTWQVGFFQAULNF-MRXNPFEDSA-N 0 3 318.511 4.426 20 0 DIADHN Cc1csc([C@@H](NCCSCc2ccccc2)C2CC2)n1 ZINC000192768894 336261254 /nfs/dbraw/zinc/26/12/54/336261254.db2.gz LTWQVGFFQAULNF-INIZCTEOSA-N 0 3 318.511 4.426 20 0 DIADHN CCN(C(=O)[C@@H](C)NC(C)(C)c1cccs1)C1CCCCC1 ZINC000580378348 336262215 /nfs/dbraw/zinc/26/22/15/336262215.db2.gz GYMHWVZESHGSFW-CQSZACIVSA-N 0 3 322.518 4.143 20 0 DIADHN Cc1csc(CN[C@@H](C)c2ccc3c(c2)OCCCO3)c1 ZINC000379275334 336262418 /nfs/dbraw/zinc/26/24/18/336262418.db2.gz OGBGUPOKASLYKC-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN CC[C@H](N[C@H]1CCc2cn(C(C)C)nc2C1)c1ccccc1F ZINC000352826348 336263136 /nfs/dbraw/zinc/26/31/36/336263136.db2.gz HAJSUECZYSRXMS-YJBOKZPZSA-N 0 3 315.436 4.201 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(Br)cc2)c1 ZINC000037499043 336264494 /nfs/dbraw/zinc/26/44/94/336264494.db2.gz YQIWLQBVWZWVKT-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN Clc1cc(Cl)cc(CNCc2ccc3c(c2)COC3)c1 ZINC000532707639 336267491 /nfs/dbraw/zinc/26/74/91/336267491.db2.gz OXYAXYPIOKAOLM-UHFFFAOYSA-N 0 3 308.208 4.313 20 0 DIADHN COC[C@H](NCc1ccc(-c2ccccc2Cl)o1)C1CC1 ZINC000532727455 336267603 /nfs/dbraw/zinc/26/76/03/336267603.db2.gz XBAWYOXYCHWLIB-INIZCTEOSA-N 0 3 305.805 4.115 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCOC(C)(C)C)CC1 ZINC000532728786 336267786 /nfs/dbraw/zinc/26/77/86/336267786.db2.gz IKTIOSSKFGKABU-UHFFFAOYSA-N 0 3 312.457 4.381 20 0 DIADHN COCc1cccc(CN2CCSC3(CCCCC3)C2)c1 ZINC000532745830 336269431 /nfs/dbraw/zinc/26/94/31/336269431.db2.gz FUDIQIPFWBVXTL-UHFFFAOYSA-N 0 3 305.487 4.085 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc(NC(N)=O)cc1 ZINC000192848553 336269923 /nfs/dbraw/zinc/26/99/23/336269923.db2.gz YBHVHNUWKRNBTM-NEPJUHHUSA-N 0 3 317.820 4.242 20 0 DIADHN CCCCN(CC)CC(=O)N(C)[C@@H](C)c1cc2ccccc2o1 ZINC000532756747 336269968 /nfs/dbraw/zinc/26/99/68/336269968.db2.gz VXSGQDGLTIEFFY-HNNXBMFYSA-N 0 3 316.445 4.074 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)NCc2ccc(C(N)=O)cc2)cc1 ZINC000532783206 336271041 /nfs/dbraw/zinc/27/10/41/336271041.db2.gz IDKLGKHIQOAOMK-UHFFFAOYSA-N 0 3 324.468 4.108 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)NCc1ccc(CO)o1 ZINC000532784408 336271120 /nfs/dbraw/zinc/27/11/20/336271120.db2.gz YRCMGZLFFLHDPM-HNNXBMFYSA-N 0 3 319.470 4.049 20 0 DIADHN Clc1cccc([C@@H](NC[C@H]2CCCOC2)c2ccccc2)c1 ZINC000532880183 336274363 /nfs/dbraw/zinc/27/43/63/336274363.db2.gz FKKKBHZKQANRBF-BEFAXECRSA-N 0 3 315.844 4.446 20 0 DIADHN CCO[C@H](CCNCc1cscc1C(F)(F)F)C(C)C ZINC000352845167 336276225 /nfs/dbraw/zinc/27/62/25/336276225.db2.gz ZMNTUGSFORFRBL-CYBMUJFWSA-N 0 3 309.397 4.308 20 0 DIADHN CC[C@@H](N[C@@H](C)CSC)c1ccccc1Br ZINC000167902481 336277484 /nfs/dbraw/zinc/27/74/84/336277484.db2.gz MDJWDMYTAUOEBO-GXFFZTMASA-N 0 3 302.281 4.241 20 0 DIADHN CC[C@](C)(NCCCc1ccccc1OC)c1nc(C)cs1 ZINC000182633924 336277657 /nfs/dbraw/zinc/27/76/57/336277657.db2.gz XLWNLLPLTRYOFQ-SFHVURJKSA-N 0 3 318.486 4.308 20 0 DIADHN C[C@H](N[C@@H](C(=O)Nc1ccccc1)c1ccccc1)C1CCC1 ZINC000526983164 336277968 /nfs/dbraw/zinc/27/79/68/336277968.db2.gz YDPWVUPRXQXDIJ-HNAYVOBHSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@@H](N[C@@H](C)CCCCO)c1nc(-c2ccccc2)cs1 ZINC000352848225 336278061 /nfs/dbraw/zinc/27/80/61/336278061.db2.gz JBMMCNMCMNGYBK-UONOGXRCSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000352848223 336278065 /nfs/dbraw/zinc/27/80/65/336278065.db2.gz JBMMCNMCMNGYBK-KBPBESRZSA-N 0 3 304.459 4.012 20 0 DIADHN CC(C)CCOCCN[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000481953893 336280720 /nfs/dbraw/zinc/28/07/20/336280720.db2.gz YPISEMODGFARJJ-LBPRGKRZSA-N 0 3 319.367 4.140 20 0 DIADHN Cc1c([C@@H](C)NCCOc2ccc(C)cc2C)cnn1C(C)C ZINC000527043260 336280804 /nfs/dbraw/zinc/28/08/04/336280804.db2.gz JEZNOCFFDLICFG-MRXNPFEDSA-N 0 3 315.461 4.119 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1ccccc1C(F)(F)F ZINC000192918295 336284420 /nfs/dbraw/zinc/28/44/20/336284420.db2.gz YHWYAHPZYMIZAU-LLVKDONJSA-N 0 3 323.314 4.285 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H]2CCCc3ccccc32)c1 ZINC000192932240 336285082 /nfs/dbraw/zinc/28/50/82/336285082.db2.gz XPPUTKSMYBMEQJ-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000192932301 336285087 /nfs/dbraw/zinc/28/50/87/336285087.db2.gz DINDIUWWAUWECC-KDOFPFPSSA-N 0 3 309.409 4.186 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@@H]1CCC(C)(C)C[C@@H]1C ZINC000168054254 336285994 /nfs/dbraw/zinc/28/59/94/336285994.db2.gz ZFQLLLJPUAJBAF-RRFJBIMHSA-N 0 3 304.482 4.041 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000193008522 336286926 /nfs/dbraw/zinc/28/69/26/336286926.db2.gz SWNCJYBTXVDXRE-SJLPKXTDSA-N 0 3 315.848 4.192 20 0 DIADHN Cc1cc(N[C@H](C)c2ccc3c(c2)OCO3)c2ccccc2n1 ZINC000301058207 336287617 /nfs/dbraw/zinc/28/76/17/336287617.db2.gz BWLPYXZZJGXYNG-CYBMUJFWSA-N 0 3 306.365 4.445 20 0 DIADHN CN(C)[C@H](CNc1ccnc2cc(Cl)ccc21)c1ccco1 ZINC000301060706 336287815 /nfs/dbraw/zinc/28/78/15/336287815.db2.gz RWYJNCYGVIZMRW-MRXNPFEDSA-N 0 3 315.804 4.196 20 0 DIADHN Cc1cc(N2CCO[C@H](c3cccc(F)c3)C2)c2ccccc2n1 ZINC000301141398 336291882 /nfs/dbraw/zinc/29/18/82/336291882.db2.gz FYXOKXGUHKELRT-FQEVSTJZSA-N 0 3 322.383 4.260 20 0 DIADHN Cc1cc(N2CCO[C@@H](c3cccc(F)c3)C2)c2ccccc2n1 ZINC000301141399 336291954 /nfs/dbraw/zinc/29/19/54/336291954.db2.gz FYXOKXGUHKELRT-HXUWFJFHSA-N 0 3 322.383 4.260 20 0 DIADHN Cc1cc(NCc2cn3c(cccc3C)n2)c2ccccc2n1 ZINC000301198540 336294559 /nfs/dbraw/zinc/29/45/59/336294559.db2.gz LGZQMZRCIZOUOK-UHFFFAOYSA-N 0 3 302.381 4.111 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2ccc(Cl)cn2)CC1 ZINC000301440750 336306889 /nfs/dbraw/zinc/30/68/89/336306889.db2.gz MEYADIMKXNJQAR-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1ccnn1C ZINC000193055676 336307431 /nfs/dbraw/zinc/30/74/31/336307431.db2.gz LFRSQZXKCBKUHG-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN Clc1cnccc1NC[C@@H]1CCCN(Cc2cccs2)C1 ZINC000301497651 336310479 /nfs/dbraw/zinc/31/04/79/336310479.db2.gz CYGQEXNMDZISSC-ZDUSSCGKSA-N 0 3 321.877 4.121 20 0 DIADHN CC(C)c1nsc(NC[C@H](c2ccc(Cl)cc2)N(C)C)n1 ZINC000301510152 336311435 /nfs/dbraw/zinc/31/14/35/336311435.db2.gz ALFZTKYWQVBODQ-CYBMUJFWSA-N 0 3 324.881 4.030 20 0 DIADHN Cc1cc2ccccc2nc1NC[C@H](c1cccs1)N(C)C ZINC000301537227 336313279 /nfs/dbraw/zinc/31/32/79/336313279.db2.gz AUTXFVXOOUXYHT-MRXNPFEDSA-N 0 3 311.454 4.320 20 0 DIADHN C[C@@H](CNCc1cscc1C(F)(F)F)c1nccs1 ZINC000352882060 336317501 /nfs/dbraw/zinc/31/75/01/336317501.db2.gz CGTKMVDKAMLIHP-QMMMGPOBSA-N 0 3 306.378 4.117 20 0 DIADHN Clc1cnccc1NC[C@H](c1cccs1)N1CCCC1 ZINC000301602336 336317762 /nfs/dbraw/zinc/31/77/62/336317762.db2.gz WFMGZCALFGFNQX-CQSZACIVSA-N 0 3 307.850 4.046 20 0 DIADHN CCCN1CCC(Nc2nc(-c3ccc(F)cc3)cs2)CC1 ZINC000301607572 336318028 /nfs/dbraw/zinc/31/80/28/336318028.db2.gz NUSBSFUSTHJFNL-UHFFFAOYSA-N 0 3 319.449 4.236 20 0 DIADHN CN1CCC[C@@H](CNc2ccnc(Cl)c2)[C@H]1c1cccs1 ZINC000301618877 336318634 /nfs/dbraw/zinc/31/86/34/336318634.db2.gz BQXZBQOTHPHIQJ-LRDDRELGSA-N 0 3 321.877 4.292 20 0 DIADHN CN1CCC[C@H](CNc2ccnc(Cl)c2)[C@H]1c1cccs1 ZINC000301618882 336318716 /nfs/dbraw/zinc/31/87/16/336318716.db2.gz BQXZBQOTHPHIQJ-WBMJQRKESA-N 0 3 321.877 4.292 20 0 DIADHN Cc1cc(N2CCO[C@H](c3cccs3)C2)c2ccccc2n1 ZINC000301626062 336319141 /nfs/dbraw/zinc/31/91/41/336319141.db2.gz AVPALZKDBMYPLX-KRWDZBQOSA-N 0 3 310.422 4.183 20 0 DIADHN C[C@@H]1C[C@H](Nc2ccnc(Cl)c2)CCN1Cc1ccccc1 ZINC000301630753 336319357 /nfs/dbraw/zinc/31/93/57/336319357.db2.gz IVFBHDSWIIQXPQ-RHSMWYFYSA-N 0 3 315.848 4.200 20 0 DIADHN CCc1cc(N[C@@H](C)Cc2c(C)noc2C)c2ccccc2n1 ZINC000301772566 336328826 /nfs/dbraw/zinc/32/88/26/336328826.db2.gz FCEJVBFWXYYQRK-LBPRGKRZSA-N 0 3 309.413 4.445 20 0 DIADHN CCc1cc(N2CCC(OCC(C)C)CC2)c2ccccc2n1 ZINC000301773897 336328908 /nfs/dbraw/zinc/32/89/08/336328908.db2.gz FUKAWDKPEVMCAI-UHFFFAOYSA-N 0 3 312.457 4.439 20 0 DIADHN CCc1cc(N2CCO[C@@H](c3ccsc3)C2)c2ccccc2n1 ZINC000301787359 336329862 /nfs/dbraw/zinc/32/98/62/336329862.db2.gz LTVAAGYYZLMZBT-LJQANCHMSA-N 0 3 324.449 4.437 20 0 DIADHN Cc1c(Cl)ccc2ccc(NC3CCN(C4CC4)CC3)nc21 ZINC000301985597 336341459 /nfs/dbraw/zinc/34/14/59/336341459.db2.gz JRZKLLPLCYBOOV-UHFFFAOYSA-N 0 3 315.848 4.235 20 0 DIADHN CCc1c(C)nc2ccccc2c1NC[C@@H](O)c1ccsc1 ZINC000302015392 336343223 /nfs/dbraw/zinc/34/32/23/336343223.db2.gz BYESUEYYFTUIDW-QGZVFWFLSA-N 0 3 312.438 4.313 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC(CC)(CO)CC1 ZINC000302053876 336345871 /nfs/dbraw/zinc/34/58/71/336345871.db2.gz PTZBCYXBWLONMH-UHFFFAOYSA-N 0 3 312.457 4.095 20 0 DIADHN Cc1ccc2nccc(N[C@H]3CCO[C@@]4(CCSC4)C3)c2c1 ZINC000302223806 336354793 /nfs/dbraw/zinc/35/47/93/336354793.db2.gz NUHZQXBUBUCZMN-KSSFIOAISA-N 0 3 314.454 4.010 20 0 DIADHN Cc1ccc2nccc(NCc3ccc(C(C)(C)O)cc3)c2c1 ZINC000302430756 336358685 /nfs/dbraw/zinc/35/86/85/336358685.db2.gz PPUOACGJXVRDDI-UHFFFAOYSA-N 0 3 306.409 4.383 20 0 DIADHN Cc1ccc2nccc(N[C@H](C)[C@H](O)c3ccc(F)cc3)c2c1 ZINC000302680662 336364785 /nfs/dbraw/zinc/36/47/85/336364785.db2.gz RTKHBHYNOAKZMY-YJYMSZOUSA-N 0 3 310.372 4.216 20 0 DIADHN OC1(CN[C@H](c2ccccc2)c2ccc(F)cc2)CCCCC1 ZINC000320856780 336374287 /nfs/dbraw/zinc/37/42/87/336374287.db2.gz BXSMWBYLXUZIOW-LJQANCHMSA-N 0 3 313.416 4.200 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000514134586 336374523 /nfs/dbraw/zinc/37/45/23/336374523.db2.gz OCCXZMFLOLXWPS-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCCO[C@H]1CCCCO1 ZINC000514134126 336374586 /nfs/dbraw/zinc/37/45/86/336374586.db2.gz IICBDGHTFXCXHC-CLCXKQKWSA-N 0 3 321.436 4.067 20 0 DIADHN COc1cc([C@H](C)NCCSC(C)C)ccc1OC(F)F ZINC000514139226 336374862 /nfs/dbraw/zinc/37/48/62/336374862.db2.gz XTIDUIHMNWUHCC-NSHDSACASA-N 0 3 319.417 4.089 20 0 DIADHN CCC(CC)[C@@H](NCc1ccc(OC)cn1)c1cccs1 ZINC000514131164 336374942 /nfs/dbraw/zinc/37/49/42/336374942.db2.gz UJPQXSDBSODQIG-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN CO[C@H](CN[C@H](C)c1cccc(O)c1)c1ccc(Cl)cc1 ZINC000514130253 336374977 /nfs/dbraw/zinc/37/49/77/336374977.db2.gz IGMOYUYTOFNRRG-SJKOYZFVSA-N 0 3 305.805 4.084 20 0 DIADHN CCc1ccc([C@H](C)Nc2cccc(OCCN(C)C)c2)s1 ZINC000314724096 336375992 /nfs/dbraw/zinc/37/59/92/336375992.db2.gz YXKIDHHZAORTFF-AWEZNQCLSA-N 0 3 318.486 4.424 20 0 DIADHN Cn1nccc1-c1ccccc1CN[C@@H]1CCCc2sccc21 ZINC000352925971 336377577 /nfs/dbraw/zinc/37/75/77/336377577.db2.gz NOAYGYWCKAXQOU-QGZVFWFLSA-N 0 3 323.465 4.316 20 0 DIADHN CC[C@@H](NCCOCc1cccc(OC)c1)c1ccccc1F ZINC000352930564 336377868 /nfs/dbraw/zinc/37/78/68/336377868.db2.gz SVDBHOAIWFRHLL-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](NCCOCc1cccc(OC)c1)c1ccccc1F ZINC000352930563 336377971 /nfs/dbraw/zinc/37/79/71/336377971.db2.gz SVDBHOAIWFRHLL-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN COc1cc(CN2CCC[C@H](C)[C@H]2C)c(SC)cc1OC ZINC000352962798 336379637 /nfs/dbraw/zinc/37/96/37/336379637.db2.gz MQMLYNOTSWJDOL-QWHCGFSZSA-N 0 3 309.475 4.046 20 0 DIADHN COc1cc(CN2CCC[C@@H](C)[C@@H]2C)c(SC)cc1OC ZINC000352962797 336379646 /nfs/dbraw/zinc/37/96/46/336379646.db2.gz MQMLYNOTSWJDOL-OLZOCXBDSA-N 0 3 309.475 4.046 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCC(OC2CCCCC2)CC1 ZINC000261384757 336384660 /nfs/dbraw/zinc/38/46/60/336384660.db2.gz UGPXQOLGFILKDF-XLIONFOSSA-N 0 3 323.521 4.395 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1CCC(OC2CCCCC2)CC1 ZINC000261384749 336384718 /nfs/dbraw/zinc/38/47/18/336384718.db2.gz UGPXQOLGFILKDF-FXAWDEMLSA-N 0 3 323.521 4.395 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2ccc(Cl)cc2)[C@@]12CCCO2 ZINC000353033943 336384791 /nfs/dbraw/zinc/38/47/91/336384791.db2.gz YILVCAGIVFXNTA-XDNAFOTISA-N 0 3 323.864 4.107 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2N[C@H]1CCCc2ccccc21 ZINC000563948874 336388022 /nfs/dbraw/zinc/38/80/22/336388022.db2.gz IWPRDYUKQIBHBS-ZWKOTPCHSA-N 0 3 311.400 4.489 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(Cl)c1)c1c(C)nn(C)c1C ZINC000152468375 336388647 /nfs/dbraw/zinc/38/86/47/336388647.db2.gz DXQUGPKCDWTXQG-MEDUHNTESA-N 0 3 305.853 4.492 20 0 DIADHN COc1cccc([C@H](C)NC[C@H]2CCC3(CCCCC3)O2)c1 ZINC000389428649 336389857 /nfs/dbraw/zinc/38/98/57/336389857.db2.gz SNLNDXQNVWGDCE-MAUKXSAKSA-N 0 3 303.446 4.228 20 0 DIADHN FC(F)(F)C1(CNCc2cccc(-c3ccccn3)c2)CC1 ZINC000353044802 336390158 /nfs/dbraw/zinc/39/01/58/336390158.db2.gz GGKCCZVCXGYFBH-UHFFFAOYSA-N 0 3 306.331 4.181 20 0 DIADHN FC(F)(F)C1(CNCc2cc(Cl)cc3cccnc32)CC1 ZINC000353049737 336391645 /nfs/dbraw/zinc/39/16/45/336391645.db2.gz UFLPYHJPSAVBGI-UHFFFAOYSA-N 0 3 314.738 4.320 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3c(s2)CCCC3)cc1 ZINC000537965259 336395623 /nfs/dbraw/zinc/39/56/23/336395623.db2.gz CRTNPTJVJZWIDP-CYBMUJFWSA-N 0 3 301.455 4.486 20 0 DIADHN C[C@H](N[C@H]1COC(C)(C)C1)c1ccc(Oc2cccnc2)cc1 ZINC000418054873 336398179 /nfs/dbraw/zinc/39/81/79/336398179.db2.gz BJKOSCMWVOEORU-GOEBONIOSA-N 0 3 312.413 4.092 20 0 DIADHN COC1(C(F)(F)F)CCN(C/C(C)=C/c2ccccc2)CC1 ZINC000418088025 336398950 /nfs/dbraw/zinc/39/89/50/336398950.db2.gz FINUOLJCDQKEGE-WYMLVPIESA-N 0 3 313.363 4.133 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2cc(C)c(C)cc2C)CC1 ZINC000418089452 336398983 /nfs/dbraw/zinc/39/89/83/336398983.db2.gz IXGQFDIDACHVKH-UHFFFAOYSA-N 0 3 315.379 4.155 20 0 DIADHN Fc1ccc(CNCC2(c3ccccn3)CCC2)cc1Cl ZINC000353083554 336400093 /nfs/dbraw/zinc/40/00/93/336400093.db2.gz HUKJFIWKQIXTGG-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN CSCc1ccc(CNCc2cccc3cccnc32)cc1 ZINC000353086436 336400261 /nfs/dbraw/zinc/40/02/61/336400261.db2.gz JBFTXMCTHTYJOO-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)N[C@@H](C)CCCO ZINC000168267972 336400976 /nfs/dbraw/zinc/40/09/76/336400976.db2.gz YXZNMNBANBLELU-XJKSGUPXSA-N 0 3 313.869 4.186 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(-c3ccccn3)c2)cn1 ZINC000353184125 336405848 /nfs/dbraw/zinc/40/58/48/336405848.db2.gz PKWHJQBFVIOGQT-UHFFFAOYSA-N 0 3 317.436 4.477 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1ccc(F)c2cccnc12 ZINC000353205640 336406440 /nfs/dbraw/zinc/40/64/40/336406440.db2.gz FOJBAFQCLVTIFK-GOSISDBHSA-N 0 3 322.383 4.296 20 0 DIADHN COc1cc(CN2CCC(C)(C)CC2)c(SC)cc1OC ZINC000353443560 336418091 /nfs/dbraw/zinc/41/80/91/336418091.db2.gz RXCZYCGQLOUHKG-UHFFFAOYSA-N 0 3 309.475 4.048 20 0 DIADHN CC(C)CC[C@@H](NCCC(=O)NC1CCCCC1)c1ccoc1 ZINC000353458648 336419053 /nfs/dbraw/zinc/41/90/53/336419053.db2.gz DPVTZPDAEUQCFF-GOSISDBHSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C[S@](C)=O)cc2)c(C)c1 ZINC000353814359 336442015 /nfs/dbraw/zinc/44/20/15/336442015.db2.gz ZSUZPCHNLMPPHM-ZHRRBRCNSA-N 0 3 315.482 4.033 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000467741171 339245301 /nfs/dbraw/zinc/24/53/01/339245301.db2.gz JDAUVWHQOXHHJY-KXUCPTDWSA-N 0 3 324.437 4.487 20 0 DIADHN Cc1ccc(CCCN[C@H](c2ccccc2)c2ccccn2)cn1 ZINC000353940554 336450229 /nfs/dbraw/zinc/45/02/29/336450229.db2.gz CKQKMHNBWZZHGE-OAQYLSRUSA-N 0 3 317.436 4.097 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2n[nH]cc2c1)c1ccc(OC)cc1 ZINC000353998777 336453538 /nfs/dbraw/zinc/45/35/38/336453538.db2.gz WWNRVJPJYORMQA-FZKQIMNGSA-N 0 3 309.413 4.373 20 0 DIADHN OCC1([C@H](NCc2coc3ccccc23)c2ccccc2)CCC1 ZINC000354009519 336453938 /nfs/dbraw/zinc/45/39/38/336453938.db2.gz MVPNJWJJUXWUGW-HXUWFJFHSA-N 0 3 321.420 4.426 20 0 DIADHN CC[C@H](NCCCc1ccc(C)nc1)c1ccc(F)cc1F ZINC000354458451 336471295 /nfs/dbraw/zinc/47/12/95/336471295.db2.gz GFIDZXLTSDJRAU-SFHVURJKSA-N 0 3 304.384 4.342 20 0 DIADHN CC[C@@H](N[C@@H](CC)CC(F)(F)F)c1ccc(OC)c(OC)c1 ZINC000354966547 336489235 /nfs/dbraw/zinc/48/92/35/336489235.db2.gz AYMKTECIQZWLQP-QWHCGFSZSA-N 0 3 319.367 4.476 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](CC)c1ccc2c(c1)OCCO2 ZINC000354979199 336489892 /nfs/dbraw/zinc/48/98/92/336489892.db2.gz ZLGZGOKPHQVVTP-OLZOCXBDSA-N 0 3 317.351 4.230 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccccc1Br ZINC000355278767 336502044 /nfs/dbraw/zinc/50/20/44/336502044.db2.gz RILUDACELWYOQB-JMSVASOKSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1nc2ccccc2n1C1CCN(Cc2ccsc2)CC1 ZINC000355563512 336514430 /nfs/dbraw/zinc/51/44/30/336514430.db2.gz JTCQJWUNNFRZIY-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN Cc1noc(C)c1C[C@H](C)NCc1cscc1C(F)(F)F ZINC000356198150 336540703 /nfs/dbraw/zinc/54/07/03/336540703.db2.gz XPXLWPWUONBYSW-QMMMGPOBSA-N 0 3 318.364 4.093 20 0 DIADHN c1sc(CNCCCC2CCOCC2)nc1-c1ccccc1 ZINC000356309413 336545721 /nfs/dbraw/zinc/54/57/21/336545721.db2.gz VFVYMROCKGPMDW-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN CSC1(CN[C@H](C)c2nc(-c3ccncc3)cs2)CCC1 ZINC000356420908 336549180 /nfs/dbraw/zinc/54/91/80/336549180.db2.gz UGTRPSHZQARPEH-GFCCVEGCSA-N 0 3 319.499 4.141 20 0 DIADHN COC1(CN[C@H]2CCCSc3ccc(Cl)cc32)CCC1 ZINC000356790226 336561088 /nfs/dbraw/zinc/56/10/88/336561088.db2.gz YBRLDGDTLLHXSC-AWEZNQCLSA-N 0 3 311.878 4.426 20 0 DIADHN CC[C@@H](NCC[C@H]1CCCCO1)c1cc(Cl)ccc1OC ZINC000356932834 336566465 /nfs/dbraw/zinc/56/64/65/336566465.db2.gz XLBOKWRWQYEKSE-GDBMZVCRSA-N 0 3 311.853 4.349 20 0 DIADHN Fc1ccc(OCCN[C@H]2CCSc3ccccc32)cc1 ZINC000356954810 336567125 /nfs/dbraw/zinc/56/71/25/336567125.db2.gz COMVVFNFAWXPRW-INIZCTEOSA-N 0 3 303.402 4.031 20 0 DIADHN CC[C@@H](NCCOCc1ccc(OC)cc1)c1ccc(F)cc1 ZINC000357079357 336572948 /nfs/dbraw/zinc/57/29/48/336572948.db2.gz WJYSCVAIQHIVQY-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN Cc1nc(CCN[C@@H]2CCSc3ccc(Cl)cc32)c(C)o1 ZINC000357780888 336598059 /nfs/dbraw/zinc/59/80/59/336598059.db2.gz YNXDJGGMNFEZOP-OAHLLOKOSA-N 0 3 322.861 4.314 20 0 DIADHN CC[C@H](N[C@@H](C)COCC(F)(F)F)c1cc(C)ccc1OC ZINC000357784618 336598245 /nfs/dbraw/zinc/59/82/45/336598245.db2.gz MWLKOBYPXGRCHG-JSGCOSHPSA-N 0 3 319.367 4.012 20 0 DIADHN C[C@@H]1C[C@H](NCCOc2ccc(F)cc2)c2ccsc2S1 ZINC000358011371 336605929 /nfs/dbraw/zinc/60/59/29/336605929.db2.gz ZTYHOPLBFOFXNN-ABAIWWIYSA-N 0 3 323.458 4.481 20 0 DIADHN CC[C@@H](NCCc1cscn1)c1cc(Cl)ccc1OC ZINC000358044896 336608326 /nfs/dbraw/zinc/60/83/26/336608326.db2.gz IWFQVQSEIUTRNC-CQSZACIVSA-N 0 3 310.850 4.089 20 0 DIADHN Cc1nc([C@H](C)NCCCSc2ccc(F)cc2)cs1 ZINC000358064922 336609379 /nfs/dbraw/zinc/60/93/79/336609379.db2.gz QSTABEOPNMMXIC-NSHDSACASA-N 0 3 310.463 4.424 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1cnc2ccsc2c1 ZINC000358101430 336611778 /nfs/dbraw/zinc/61/17/78/336611778.db2.gz UZIYWTFFJCPTEM-NEPJUHHUSA-N 0 3 320.458 4.067 20 0 DIADHN COC[C@H](N[C@H]1CCCc2ccc(OC)cc21)c1ccccc1 ZINC000358151796 336613484 /nfs/dbraw/zinc/61/34/84/336613484.db2.gz GPGVKCPOIBRKJR-PMACEKPBSA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@H](NCC[S@](=O)c1ccccc1)c1cccc(Cl)c1 ZINC000358150577 336613503 /nfs/dbraw/zinc/61/35/03/336613503.db2.gz DGFRIMGZIHGGFV-UWJYYQICSA-N 0 3 321.873 4.189 20 0 DIADHN CC[C@@H](O)CCCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000358152624 336613542 /nfs/dbraw/zinc/61/35/42/336613542.db2.gz HBBWGQVQLYLRBT-UKRRQHHQSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@@H](O)CCCN[C@H]1CCCOc2c(Cl)cc(C)cc21 ZINC000358175073 336614976 /nfs/dbraw/zinc/61/49/76/336614976.db2.gz YBUGSISLNXPRFI-CJNGLKHVSA-N 0 3 311.853 4.003 20 0 DIADHN CC[C@H](N[C@H]1CCc2c1cccc2Cl)c1c(C)nn(C)c1C ZINC000358201968 336616683 /nfs/dbraw/zinc/61/66/83/336616683.db2.gz LFKGUOQDYASHQN-IRXDYDNUSA-N 0 3 317.864 4.419 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCOc2ccc(C)cc21)c1ccccc1 ZINC000358227551 336618000 /nfs/dbraw/zinc/61/80/00/336618000.db2.gz UNRGGYWAJYPNEJ-QUCCMNQESA-N 0 3 311.425 4.186 20 0 DIADHN C[C@@H](NCCc1cnc(C2CC2)nc1)c1ccc(F)cc1Cl ZINC000358235026 336618397 /nfs/dbraw/zinc/61/83/97/336618397.db2.gz XWTWULNZVMTVAF-LLVKDONJSA-N 0 3 319.811 4.040 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCCSCC(F)(F)F ZINC000358297981 336622432 /nfs/dbraw/zinc/62/24/32/336622432.db2.gz KYFUVYFUFRRHMG-CYBMUJFWSA-N 0 3 319.392 4.094 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2sccc2C)c2ccccc21 ZINC000358353925 336625717 /nfs/dbraw/zinc/62/57/17/336625717.db2.gz KYUJPQDWXALVNC-CJNGLKHVSA-N 0 3 314.454 4.205 20 0 DIADHN CC[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000358359217 336626066 /nfs/dbraw/zinc/62/60/66/336626066.db2.gz SWFSFHKXFGGDBW-PXNSSMCTSA-N 0 3 324.424 4.045 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(CO)c(F)c1)c1ccccc1 ZINC000358364048 336626471 /nfs/dbraw/zinc/62/64/71/336626471.db2.gz FZPWZOMJFONEBN-LJQANCHMSA-N 0 3 301.405 4.195 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CC[C@H](C)c2ccccc21 ZINC000358396796 336628227 /nfs/dbraw/zinc/62/82/27/336628227.db2.gz ILGOBDAWAPETQM-ZLIFDBKOSA-N 0 3 301.455 4.318 20 0 DIADHN CCC[C@H](NC[C@@H](COC)OC)c1ccc(Cl)c(Cl)c1 ZINC000358440611 336630112 /nfs/dbraw/zinc/63/01/12/336630112.db2.gz ZURHQKQLFMBFOG-WFASDCNBSA-N 0 3 320.260 4.086 20 0 DIADHN Cc1c(C)c(C)c(CN[C@@H]2CCCc3nn(C)cc32)c(C)c1C ZINC000358961778 336649782 /nfs/dbraw/zinc/64/97/82/336649782.db2.gz GOACGPIAJFCUHH-LJQANCHMSA-N 0 3 311.473 4.129 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCC[C@@H]2N[C@H]1CC12CCCC2 ZINC000358992113 336651676 /nfs/dbraw/zinc/65/16/76/336651676.db2.gz VXPIYOQGUUDPDK-HKUYNNGSSA-N 0 3 307.441 4.172 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCC[C@H]2N[C@@H]1CC12CCCC2 ZINC000358992118 336651702 /nfs/dbraw/zinc/65/17/02/336651702.db2.gz VXPIYOQGUUDPDK-IEBWSBKVSA-N 0 3 307.441 4.172 20 0 DIADHN C[C@H](CN[C@@H]1CCCc2nn(-c3ccccc3)cc21)C(F)(F)F ZINC000359001073 336652305 /nfs/dbraw/zinc/65/23/05/336652305.db2.gz KMNKFLOCRVXYBF-IUODEOHRSA-N 0 3 323.362 4.038 20 0 DIADHN FC(F)C1(CN[C@@H]2CC3(CCCC3)Oc3ccccc32)CC1 ZINC000359006606 336652823 /nfs/dbraw/zinc/65/28/23/336652823.db2.gz YEHMRGMXLTVXLE-CQSZACIVSA-N 0 3 307.384 4.458 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](CO)CCC(C)(C)C)CCS2 ZINC000359045560 336654916 /nfs/dbraw/zinc/65/49/16/336654916.db2.gz IXMXSWODORUSLC-CJNGLKHVSA-N 0 3 323.502 4.009 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2cnc3ccccc3c2)cc1 ZINC000359218758 336663286 /nfs/dbraw/zinc/66/32/86/336663286.db2.gz PBFMHEGSFPSHLF-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1cncc(F)c1)c1ccc(OC)cc1 ZINC000359244251 336664162 /nfs/dbraw/zinc/66/41/62/336664162.db2.gz RMCFVEWKYBYMMH-SCLBCKFNSA-N 0 3 302.393 4.421 20 0 DIADHN Fc1cccc(CC2(NCc3csc(C4CC4)n3)CCC2)c1 ZINC000359382920 336670444 /nfs/dbraw/zinc/67/04/44/336670444.db2.gz TUBZYKGYONUHEF-UHFFFAOYSA-N 0 3 316.445 4.415 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cccnc1N(C)C ZINC000360050890 336699285 /nfs/dbraw/zinc/69/92/85/336699285.db2.gz CTZVLHCMAVOVCE-IBGZPJMESA-N 0 3 311.473 4.333 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cccc(Cn2ccnc2)c1 ZINC000360079867 336700841 /nfs/dbraw/zinc/70/08/41/336700841.db2.gz USACENLPPJSHKW-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](C)COc2cccc(F)c2)s1 ZINC000360242233 336705826 /nfs/dbraw/zinc/70/58/26/336705826.db2.gz NTBXKHCKZXAKNJ-MNOVXSKESA-N 0 3 308.422 4.017 20 0 DIADHN c1ccc2nc(CCN[C@H]3CCCOc4ccccc43)ccc2c1 ZINC000360705953 336719640 /nfs/dbraw/zinc/71/96/40/336719640.db2.gz YQWQRZDHHJKPPF-FQEVSTJZSA-N 0 3 318.420 4.281 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1cc(F)cc2cccnc21 ZINC000360724894 336720383 /nfs/dbraw/zinc/72/03/83/336720383.db2.gz GIAYKPUJZPPPNA-ZWKOTPCHSA-N 0 3 316.420 4.057 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@H](c3ccccc3F)C2)cs1 ZINC000360762113 336721487 /nfs/dbraw/zinc/72/14/87/336721487.db2.gz DXAQPHAPKIPGHA-OLZOCXBDSA-N 0 3 304.434 4.271 20 0 DIADHN Oc1ccc2c(c1)[C@H](NC[C@H]1CC[C@@H](c3ccccc3)O1)CCC2 ZINC000360995195 336731411 /nfs/dbraw/zinc/73/14/11/336731411.db2.gz HUKULQVEZPUYHB-NRSPTQNISA-N 0 3 323.436 4.280 20 0 DIADHN Oc1ccc2c(c1)[C@H](NC[C@@H]1CC[C@@H](c3ccccc3)O1)CCC2 ZINC000360995197 336731452 /nfs/dbraw/zinc/73/14/52/336731452.db2.gz HUKULQVEZPUYHB-TYPHKJRUSA-N 0 3 323.436 4.280 20 0 DIADHN Cc1csc(C2(N[C@H]3C[C@@H](OC(C)C)C3(C)C)CCC2)n1 ZINC000361235991 336745789 /nfs/dbraw/zinc/74/57/89/336745789.db2.gz GRXJPMAIUPEMMB-UONOGXRCSA-N 0 3 308.491 4.012 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCCc2sccc21 ZINC000361240315 336746263 /nfs/dbraw/zinc/74/62/63/336746263.db2.gz IIWQICIBUGLDEY-HOTGVXAUSA-N 0 3 315.438 4.248 20 0 DIADHN CC[C@H](C)[C@@H](O)CN[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000361400176 336755453 /nfs/dbraw/zinc/75/54/53/336755453.db2.gz MIZUVEKQEZSZCG-RWSFTLGLSA-N 0 3 324.515 4.238 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(-n3ccnc3)c2)c(C)s1 ZINC000361482683 336758494 /nfs/dbraw/zinc/75/84/94/336758494.db2.gz AXXDBYKGLSNQEX-CQSZACIVSA-N 0 3 311.454 4.401 20 0 DIADHN COc1ccc(CNCc2ccc(-c3ccncc3)cc2)cc1 ZINC000361503486 336759947 /nfs/dbraw/zinc/75/99/47/336759947.db2.gz HKCHFXKOHNHIBC-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN C[C@@H](C(=O)NC1CCN([C@H](C)c2ccccc2)CC1)C(C)(C)C ZINC000363228261 336820515 /nfs/dbraw/zinc/82/05/15/336820515.db2.gz QPYOYMFUGGPRJU-JKSUJKDBSA-N 0 3 316.489 4.010 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1cccnc1 ZINC000367620677 336826806 /nfs/dbraw/zinc/82/68/06/336826806.db2.gz QSEQQXQCYMODFQ-RARDXLECSA-N 0 3 310.441 4.084 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H]1c3ccccc3C[C@H]1C)CCC2 ZINC000368163283 336839720 /nfs/dbraw/zinc/83/97/20/336839720.db2.gz RRWDLPRLENAIHE-CCKFTAQKSA-N 0 3 309.457 4.365 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1nccn1C ZINC000368534137 336847005 /nfs/dbraw/zinc/84/70/05/336847005.db2.gz KCBRAAHMSDQCIL-UXIGCNINSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1nccc2ccccc21 ZINC000368689107 336850940 /nfs/dbraw/zinc/85/09/40/336850940.db2.gz SHDJUGQCHUXRER-YZVOILCLSA-N 0 3 318.420 4.450 20 0 DIADHN C[C@@H](N[C@@H](C)c1nccc2ccccc21)[C@H]1COc2ccccc21 ZINC000368689108 336850944 /nfs/dbraw/zinc/85/09/44/336850944.db2.gz SHDJUGQCHUXRER-ZRGWGRIASA-N 0 3 318.420 4.450 20 0 DIADHN C[C@@H](CNCc1ccc(Br)o1)c1ccc(F)cc1 ZINC000480327850 339569169 /nfs/dbraw/zinc/56/91/69/339569169.db2.gz APTVPLDLNRVBSV-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN c1ccc(SCCN2CCC(OC3CCCC3)CC2)cc1 ZINC000117876132 336860107 /nfs/dbraw/zinc/86/01/07/336860107.db2.gz JXLXIGWCCIFYCY-UHFFFAOYSA-N 0 3 305.487 4.202 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1nccn1C ZINC000369704093 336869864 /nfs/dbraw/zinc/86/98/64/336869864.db2.gz CPXKHUQVSXXIFT-FVQBIDKESA-N 0 3 303.837 4.060 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000582280237 336879531 /nfs/dbraw/zinc/87/95/31/336879531.db2.gz SRXNCAIRFPFFOE-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCc3c(OC)cccc32)c1 ZINC000582280240 336879543 /nfs/dbraw/zinc/87/95/43/336879543.db2.gz SRXNCAIRFPFFOE-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](c1cccnc1)C1CCC1)CCC2 ZINC000370209403 336879707 /nfs/dbraw/zinc/87/97/07/336879707.db2.gz HIDBIRVODUEFTG-SJORKVTESA-N 0 3 313.470 4.355 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCCc2nc(C)sc21)c1ccccc1 ZINC000193430125 336896547 /nfs/dbraw/zinc/89/65/47/336896547.db2.gz BDHXKMYTNIKWSD-WBVHZDCISA-N 0 3 316.470 4.196 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CCO)c2ccc(OC)cc2)s1 ZINC000120333830 336908599 /nfs/dbraw/zinc/90/85/99/336908599.db2.gz BXFKJOQYUGFJGX-CXAGYDPISA-N 0 3 319.470 4.093 20 0 DIADHN CC(C)c1cnc(CN[C@@H]2CCOC3(CCCCC3)C2)s1 ZINC000371711612 336911243 /nfs/dbraw/zinc/91/12/43/336911243.db2.gz UQKRDBIXSUBNEA-CQSZACIVSA-N 0 3 308.491 4.238 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H](C)c1ccc(F)cc1)CCC2 ZINC000372083997 336921685 /nfs/dbraw/zinc/92/16/85/336921685.db2.gz PSVVFLCPKVFFJL-GUYCJALGSA-N 0 3 301.409 4.331 20 0 DIADHN C[C@H](CCOCc1ccccc1)NCc1cc(F)ccc1F ZINC000389950650 336927441 /nfs/dbraw/zinc/92/74/41/336927441.db2.gz SSTSGVGNDNRAEZ-CQSZACIVSA-N 0 3 305.368 4.050 20 0 DIADHN CC1(c2ccccc2)CC(N[C@H]2CCOc3ccc(F)cc32)C1 ZINC000582377963 336949800 /nfs/dbraw/zinc/94/98/00/336949800.db2.gz ITYUOUYUMSHDAM-IPCDKGFNSA-N 0 3 311.400 4.359 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000582381898 336952813 /nfs/dbraw/zinc/95/28/13/336952813.db2.gz LLQCFPBJSAMFCI-ILXRZTDVSA-N 0 3 317.404 4.428 20 0 DIADHN CCc1nnc(CN[C@@H]2C[C@H](C)C[C@H](c3ccccc3)C2)s1 ZINC000391847342 336963138 /nfs/dbraw/zinc/96/31/38/336963138.db2.gz ZDQYQXORCJNGIV-VNQPRFMTSA-N 0 3 315.486 4.163 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H](c1cccnc1)C1CCC1 ZINC000373598535 336966320 /nfs/dbraw/zinc/96/63/20/336966320.db2.gz WTZOJCFIDLILMD-RTBURBONSA-N 0 3 308.425 4.345 20 0 DIADHN Fc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)cc1Cl ZINC000374467129 336989808 /nfs/dbraw/zinc/98/98/08/336989808.db2.gz AIQYLWDGBPHPAP-QAPCUYQASA-N 0 3 319.807 4.347 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)cc1 ZINC000374483058 336990219 /nfs/dbraw/zinc/99/02/19/336990219.db2.gz UOIIOKYKABBSTF-IEBWSBKVSA-N 0 3 313.466 4.276 20 0 DIADHN Clc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000374495355 336990542 /nfs/dbraw/zinc/99/05/42/336990542.db2.gz IBIRKJAVFPHLKP-CZUORRHYSA-N 0 3 307.846 4.269 20 0 DIADHN CC(C)(C)SCCN1CCC(C(=O)c2cccs2)CC1 ZINC000374819977 336997596 /nfs/dbraw/zinc/99/75/96/336997596.db2.gz AQUROCOVJYILCK-UHFFFAOYSA-N 0 3 311.516 4.175 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCN(Cc3cncs3)C2)cc1 ZINC000375094383 337003198 /nfs/dbraw/zinc/00/31/98/337003198.db2.gz MQAIMIZPKFPFON-GFCCVEGCSA-N 0 3 312.360 4.151 20 0 DIADHN c1csc(-c2ncc(CN3CCC[C@@H]3[C@@H]3CCCO3)s2)c1 ZINC000375318024 337007902 /nfs/dbraw/zinc/00/79/02/337007902.db2.gz HHPAKEXAKJMVHZ-KGLIPLIRSA-N 0 3 320.483 4.015 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCC[C@H]2NCc2ccno2)c1 ZINC000375690857 337016715 /nfs/dbraw/zinc/01/67/15/337016715.db2.gz RIBOXAGWSFKSML-HUUCEWRRSA-N 0 3 310.319 4.119 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000375782965 337019443 /nfs/dbraw/zinc/01/94/43/337019443.db2.gz PIKNWWXNVWQXBK-HHBBGTKASA-N 0 3 315.848 4.427 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000375782967 337019481 /nfs/dbraw/zinc/01/94/81/337019481.db2.gz PIKNWWXNVWQXBK-ZHPMQELBSA-N 0 3 315.848 4.427 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000376125883 337027848 /nfs/dbraw/zinc/02/78/48/337027848.db2.gz ACXYNMJEYUXCQO-CVEARBPZSA-N 0 3 317.433 4.104 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCC[C@H]2NCc2ccon2)cc1 ZINC000376180668 337029000 /nfs/dbraw/zinc/02/90/00/337029000.db2.gz ICLDQPZLXCKNRH-LSDHHAIUSA-N 0 3 310.319 4.119 20 0 DIADHN C[C@@H](NC1CC(c2ccc(C(F)(F)F)cc2)C1)c1cn[nH]c1 ZINC000376349251 337031802 /nfs/dbraw/zinc/03/18/02/337031802.db2.gz FPWVYSZXGYECJN-CKQAKLJMSA-N 0 3 309.335 4.025 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@@H]1CCCc2cn[nH]c21 ZINC000376488794 337034782 /nfs/dbraw/zinc/03/47/82/337034782.db2.gz ANRJUFKUEHDJOC-SWLSCSKDSA-N 0 3 301.459 4.250 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1cccc(Br)c1 ZINC000123215870 337040861 /nfs/dbraw/zinc/04/08/61/337040861.db2.gz JXPKFYQGNWFDSH-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(-c3cccnc3)cc2)ccn1 ZINC000376828302 337042236 /nfs/dbraw/zinc/04/22/36/337042236.db2.gz NNCHOMPDIIQOMU-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN C[C@H]1C[C@H](NCc2ccccc2-c2cnn(C)c2)c2ccccc21 ZINC000377522279 337054182 /nfs/dbraw/zinc/05/41/82/337054182.db2.gz CUQWGSWPUJAAFQ-BTYIYWSLSA-N 0 3 317.436 4.425 20 0 DIADHN Cc1ccncc1CCCN[C@@H](c1ccco1)c1ccccc1 ZINC000377617533 337056379 /nfs/dbraw/zinc/05/63/79/337056379.db2.gz RYQUWGGIVYOSBE-HXUWFJFHSA-N 0 3 306.409 4.295 20 0 DIADHN CCCCCC[C@@H](C)CC(=O)N1CCCC12CCN(C)CC2 ZINC000378335985 337070224 /nfs/dbraw/zinc/07/02/24/337070224.db2.gz MLZNCTQVWYVSDM-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN CCC[C@H](NCc1ccccc1-c1cnn(C)c1)c1ccccn1 ZINC000378406077 337072156 /nfs/dbraw/zinc/07/21/56/337072156.db2.gz SWTAJLBUJYWJQM-IBGZPJMESA-N 0 3 320.440 4.113 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](c2ccccc2)C2CC2)c2ccccc21 ZINC000378435863 337072607 /nfs/dbraw/zinc/07/26/07/337072607.db2.gz VVSPDZOXXWTMBX-FPOVZHCZSA-N 0 3 320.436 4.225 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccncc2)cc1)c1cccc(CO)c1 ZINC000378448720 337073106 /nfs/dbraw/zinc/07/31/06/337073106.db2.gz DIUTVXQHOYRXJR-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000378480461 337073950 /nfs/dbraw/zinc/07/39/50/337073950.db2.gz BAFJMEGTBJVFQQ-OWCLPIDISA-N 0 3 314.429 4.027 20 0 DIADHN CC(C)c1noc([C@H](C)SCCN(C)Cc2ccccc2)n1 ZINC000193635935 337078639 /nfs/dbraw/zinc/07/86/39/337078639.db2.gz QBCDYDWCMADIPY-AWEZNQCLSA-N 0 3 319.474 4.119 20 0 DIADHN COc1ccc([C@@H](NCCc2cccnc2)c2ccccc2)cc1 ZINC000193710520 337079259 /nfs/dbraw/zinc/07/92/59/337079259.db2.gz NJUFKZKSWIKIQP-NRFANRHFSA-N 0 3 318.420 4.012 20 0 DIADHN CSC1(CN[C@@H](C)c2ccc(F)cc2Br)CC1 ZINC000193822892 337080412 /nfs/dbraw/zinc/08/04/12/337080412.db2.gz FOGMBRNTKRFISQ-VIFPVBQESA-N 0 3 318.255 4.134 20 0 DIADHN CSC1(CN[C@@H](C)c2nc(-c3ccccc3)cs2)CC1 ZINC000193816862 337080445 /nfs/dbraw/zinc/08/04/45/337080445.db2.gz IOKCCEOWMIYAMM-LBPRGKRZSA-N 0 3 304.484 4.356 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1cc(Br)cs1 ZINC000194007732 337081055 /nfs/dbraw/zinc/08/10/55/337081055.db2.gz HMLUBVKWFIZWLB-SNVBAGLBSA-N 0 3 318.280 4.120 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCc3cc(OC)ccc32)c1 ZINC000320959750 337081996 /nfs/dbraw/zinc/08/19/96/337081996.db2.gz GKWWOVKVMBYRPL-VBKZILBWSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1cnc2ccsc2c1 ZINC000360061038 337084670 /nfs/dbraw/zinc/08/46/70/337084670.db2.gz RYGVEJDAPQDCJY-ACJLOTCBSA-N 0 3 324.449 4.261 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](N[C@H](C)c2cscn2)C1 ZINC000583705039 337092580 /nfs/dbraw/zinc/09/25/80/337092580.db2.gz ZEPAODDXGNOIGL-KFWWJZLASA-N 0 3 301.459 4.224 20 0 DIADHN Cc1ccc2c(CN3CC[C@@H](C(F)(F)F)[C@H]3C)ccc(O)c2n1 ZINC000583705677 337092607 /nfs/dbraw/zinc/09/26/07/337092607.db2.gz NWJAULKKCMZFDM-BXUZGUMPSA-N 0 3 324.346 4.022 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1sccc1Cl ZINC000394098807 337099800 /nfs/dbraw/zinc/09/98/00/337099800.db2.gz YWSJUDVYSHOJER-UHFFFAOYSA-N 0 3 310.850 4.084 20 0 DIADHN Cc1cnn([C@@H]2CCN([C@H](C)c3ccc(C(C)(C)C)cc3)C2)c1 ZINC000582515565 337099908 /nfs/dbraw/zinc/09/99/08/337099908.db2.gz BVTBWCNJSZPNMC-VQIMIIECSA-N 0 3 311.473 4.497 20 0 DIADHN COCc1cccc(CN[C@H](C)c2nccc3ccccc32)c1 ZINC000582517064 337100004 /nfs/dbraw/zinc/10/00/04/337100004.db2.gz SFJUGQAIIIWLOH-OAHLLOKOSA-N 0 3 306.409 4.232 20 0 DIADHN C[C@H](NCc1cc(Cl)cs1)c1ccc2c(c1)CCC(=O)N2 ZINC000582573657 337107618 /nfs/dbraw/zinc/10/76/18/337107618.db2.gz REIAHOFBSYXBEO-JTQLQIEISA-N 0 3 320.845 4.137 20 0 DIADHN Cc1cnc(C2CCN([C@H](C)Cc3ccsc3)CC2)s1 ZINC000582583720 337109913 /nfs/dbraw/zinc/10/99/13/337109913.db2.gz IXLNLHSTSUBSQT-GFCCVEGCSA-N 0 3 306.500 4.324 20 0 DIADHN COc1cncc(/C=C/CCN[C@@H](C)c2cccc(F)c2F)c1 ZINC000582663576 337117826 /nfs/dbraw/zinc/11/78/26/337117826.db2.gz UXHBEHIXNUEMGH-YIALFULDSA-N 0 3 318.367 4.123 20 0 DIADHN C[C@@H](CNCc1cc(-c2ccccc2)on1)Cc1ccccc1 ZINC000582692000 337123135 /nfs/dbraw/zinc/12/31/35/337123135.db2.gz HDTNACQONAVTAK-MRXNPFEDSA-N 0 3 306.409 4.310 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(COC)c1)c1ccc(F)cc1 ZINC000172620738 337130323 /nfs/dbraw/zinc/13/03/23/337130323.db2.gz MFGBQRWFTNTZJY-GOSISDBHSA-N 0 3 305.368 4.352 20 0 DIADHN CCN(Cc1sc(C)nc1C)[C@H](C)c1cccc(OC)c1 ZINC000172752097 337130814 /nfs/dbraw/zinc/13/08/14/337130814.db2.gz BFACKBMSUPSCHU-CYBMUJFWSA-N 0 3 304.459 4.352 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2nc3ccccc3s2)C1 ZINC000173868933 337138627 /nfs/dbraw/zinc/13/86/27/337138627.db2.gz UJIIMRNTCIXMMJ-OLZOCXBDSA-N 0 3 306.500 4.402 20 0 DIADHN CCOc1c(Cl)cccc1CNCCc1csc(CC)n1 ZINC000486963572 337142580 /nfs/dbraw/zinc/14/25/80/337142580.db2.gz FVFBMZBNEAKVAC-UHFFFAOYSA-N 0 3 324.877 4.090 20 0 DIADHN CSCCCN(Cc1cccc(C)c1)Cc1ccccn1 ZINC000174676314 337143099 /nfs/dbraw/zinc/14/30/99/337143099.db2.gz FFZBVNVMQSSLEU-UHFFFAOYSA-N 0 3 300.471 4.145 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cccc2cccnc21 ZINC000175144056 337144674 /nfs/dbraw/zinc/14/46/74/337144674.db2.gz LXEVYXXTVBAMRU-IBGZPJMESA-N 0 3 305.425 4.301 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc(C)cc1C)CCO2 ZINC000320996747 337150756 /nfs/dbraw/zinc/15/07/56/337150756.db2.gz NDBLQAMNUZGNNG-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1ccccc1-n1cccn1 ZINC000177210332 337154785 /nfs/dbraw/zinc/15/47/85/337154785.db2.gz OJKTUKVTJSIINC-PBHICJAKSA-N 0 3 307.397 4.194 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(OC3CCCC3)CC1)CC2 ZINC000177697224 337158514 /nfs/dbraw/zinc/15/85/14/337158514.db2.gz RHIVEOLZLOTUQY-IBGZPJMESA-N 0 3 303.421 4.237 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@@H](C)Oc2cccc(F)c2)s1 ZINC000177981016 337159490 /nfs/dbraw/zinc/15/94/90/337159490.db2.gz VTWAFUNRLDXEDH-MNOVXSKESA-N 0 3 308.422 4.017 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCOc2cccc(Cl)c2)s1 ZINC000177886761 337160973 /nfs/dbraw/zinc/16/09/73/337160973.db2.gz WPXWRBUYBAMUMJ-SNVBAGLBSA-N 0 3 310.850 4.143 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccc2c(c1)OCCO2 ZINC000178251520 337161766 /nfs/dbraw/zinc/16/17/66/337161766.db2.gz AIBJUPFWXGFXAW-SUMWQHHRSA-N 0 3 315.388 4.399 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](CO)CC1)c1nc(-c2ccccc2)cs1 ZINC000178465287 337162925 /nfs/dbraw/zinc/16/29/25/337162925.db2.gz FDPLHIVTBJOMHS-OFQRWUPVSA-N 0 3 316.470 4.012 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000178431760 337164719 /nfs/dbraw/zinc/16/47/19/337164719.db2.gz GCENKHBNVPIGTK-QLEMLULZSA-N 0 3 319.445 4.283 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1cn(C)nc1C)c1ccc(OC)cc1 ZINC000178615761 337165583 /nfs/dbraw/zinc/16/55/83/337165583.db2.gz BIEWBCZTKXYMGV-AUUYWEPGSA-N 0 3 315.461 4.319 20 0 DIADHN N[C@H](CC(=O)N[C@H](c1ccccc1)C1CCCC1)c1ccccc1 ZINC000178811032 337167137 /nfs/dbraw/zinc/16/71/37/337167137.db2.gz MYAVNJLZRVMYTQ-TZIWHRDSSA-N 0 3 322.452 4.124 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc3ccccc3n2)C12CCC2 ZINC000178819411 337167227 /nfs/dbraw/zinc/16/72/27/337167227.db2.gz HYUJUXSBLDBAPA-ZOCIIQOWSA-N 0 3 310.441 4.233 20 0 DIADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccc3ccccc3n2)C12CCC2 ZINC000178819422 337167263 /nfs/dbraw/zinc/16/72/63/337167263.db2.gz HYUJUXSBLDBAPA-JVPBZIDWSA-N 0 3 310.441 4.233 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN[C@H]2CCCc3ccc(C)cc32)o1 ZINC000179361613 337167474 /nfs/dbraw/zinc/16/74/74/337167474.db2.gz NGGHCVFNMBRASC-SFHVURJKSA-N 0 3 321.424 4.454 20 0 DIADHN Cc1ccc2c(CN3CC[C@H]3c3ccccc3)ccc(O)c2n1 ZINC000582797895 337170291 /nfs/dbraw/zinc/17/02/91/337170291.db2.gz KNGKJZCRIWJJDY-SFHVURJKSA-N 0 3 304.393 4.196 20 0 DIADHN C(CN1CCC(OC2CCCCC2)CC1)OCC1CCCC1 ZINC000459329942 337170397 /nfs/dbraw/zinc/17/03/97/337170397.db2.gz RTHPCKAHLUAUCF-UHFFFAOYSA-N 0 3 309.494 4.007 20 0 DIADHN CC(C)n1cc(CN2CC[C@@H](CSc3ccccc3)C2)cn1 ZINC000180015547 337173097 /nfs/dbraw/zinc/17/30/97/337173097.db2.gz CYQZXVOJMDGVNV-MRXNPFEDSA-N 0 3 315.486 4.078 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cc(F)c(F)c(F)c1 ZINC000180025700 337173285 /nfs/dbraw/zinc/17/32/85/337173285.db2.gz SBVFSDGHAHUCKK-UHFFFAOYSA-N 0 3 314.376 4.014 20 0 DIADHN CC[C@H](C)[C@@H](C)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000180195055 337175199 /nfs/dbraw/zinc/17/51/99/337175199.db2.gz JENGESJYENVMRC-BBWFWOEESA-N 0 3 316.489 4.010 20 0 DIADHN Cc1nc(CCNCc2ccc(Cl)c(Cl)c2)cs1 ZINC000221813943 337175358 /nfs/dbraw/zinc/17/53/58/337175358.db2.gz WZRQCEPUPIDJEL-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN C[C@@H](NCC[C@H]1CCCCO1)c1nc(-c2ccccc2)cs1 ZINC000180263463 337175582 /nfs/dbraw/zinc/17/55/82/337175582.db2.gz POIPVZVXQMOTJG-GDBMZVCRSA-N 0 3 316.470 4.420 20 0 DIADHN Cc1cccc(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)c1C ZINC000180282988 337175686 /nfs/dbraw/zinc/17/56/86/337175686.db2.gz KJZXJNQFKVTVLW-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@H](C)c1nccs1)CC3 ZINC000180491240 337175879 /nfs/dbraw/zinc/17/58/79/337175879.db2.gz YSCAKLIRACEXNO-CHWSQXEVSA-N 0 3 311.454 4.141 20 0 DIADHN Fc1ccc(COC2CCN(Cc3ccsc3)CC2)cc1 ZINC000181532974 337182862 /nfs/dbraw/zinc/18/28/62/337182862.db2.gz DZCGMQXHGCPKQZ-UHFFFAOYSA-N 0 3 305.418 4.068 20 0 DIADHN Cc1csc([C@@H](NCCSc2ccccc2)C2CC2)n1 ZINC000181609433 337183251 /nfs/dbraw/zinc/18/32/51/337183251.db2.gz VFRSFRLTWUCKPQ-HNNXBMFYSA-N 0 3 304.484 4.285 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc(F)c(Cl)c2)cc1 ZINC000182046757 337185827 /nfs/dbraw/zinc/18/58/27/337185827.db2.gz SKKRHYYQJNCTII-LLVKDONJSA-N 0 3 320.795 4.288 20 0 DIADHN C[C@H]1CCCC[N@@H+]1Cc1ccc(NC(=O)c2cccc([O-])c2)cc1 ZINC000182079157 337186228 /nfs/dbraw/zinc/18/62/28/337186228.db2.gz CQPGGDNQIMONAC-HNNXBMFYSA-N 0 3 324.424 4.019 20 0 DIADHN C[C@H]1CCCC[N@H+]1Cc1ccc(NC(=O)c2cccc([O-])c2)cc1 ZINC000182079157 337186229 /nfs/dbraw/zinc/18/62/29/337186229.db2.gz CQPGGDNQIMONAC-HNNXBMFYSA-N 0 3 324.424 4.019 20 0 DIADHN c1cc(C2CCN(Cc3ccc(OCC4CC4)cc3)CC2)ccn1 ZINC000582814077 337186279 /nfs/dbraw/zinc/18/62/79/337186279.db2.gz YQQVULQGOONTNP-UHFFFAOYSA-N 0 3 322.452 4.250 20 0 DIADHN FC(F)c1ccc(CNCc2c[nH]nc2-c2ccccc2)cc1 ZINC000185178581 337202433 /nfs/dbraw/zinc/20/24/33/337202433.db2.gz WECJRWLHXIUNME-UHFFFAOYSA-N 0 3 313.351 4.304 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1N[C@@H]1CCCc2c1cnn2C ZINC000185284834 337203809 /nfs/dbraw/zinc/20/38/09/337203809.db2.gz MRLYZMAVRGPFOW-QMIHWGKISA-N 0 3 309.457 4.101 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1N[C@@H]1CCCc2c1cnn2C ZINC000185284819 337203849 /nfs/dbraw/zinc/20/38/49/337203849.db2.gz MRLYZMAVRGPFOW-DJKXOVBDSA-N 0 3 309.457 4.101 20 0 DIADHN CC(=O)Nc1ccc(CNc2ccnc3ccc(C)cc32)cc1 ZINC000185476079 337205629 /nfs/dbraw/zinc/20/56/29/337205629.db2.gz OKUXAADIFOFYKX-UHFFFAOYSA-N 0 3 305.381 4.114 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@@H]2CCC2(C)C)c1 ZINC000582860862 337208812 /nfs/dbraw/zinc/20/88/12/337208812.db2.gz ITCWPAXCVBZXOA-QGZVFWFLSA-N 0 3 312.413 4.161 20 0 DIADHN C[C@H](N[C@H](CO)C1CCCC1)c1cc(Cl)sc1Cl ZINC000395302682 337211772 /nfs/dbraw/zinc/21/17/72/337211772.db2.gz VRHQCNMSDTUOFC-GZMMTYOYSA-N 0 3 308.274 4.257 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)NCc1cc(C)nn1C ZINC000582919601 337217941 /nfs/dbraw/zinc/21/79/41/337217941.db2.gz IBNCFULUIIOHAF-CQSZACIVSA-N 0 3 323.893 4.042 20 0 DIADHN C[C@H](NCc1ccnc2ccccc12)[C@H]1OCCc2sccc21 ZINC000583000751 337227230 /nfs/dbraw/zinc/22/72/30/337227230.db2.gz MDLBJERLZOAJIF-ORAYPTAESA-N 0 3 324.449 4.088 20 0 DIADHN CCCN(C/C=C/c1ccc(F)cc1F)CCc1ccccn1 ZINC000584378816 337232924 /nfs/dbraw/zinc/23/29/24/337232924.db2.gz ZVSYQPKXWCREHR-AATRIKPKSA-N 0 3 316.395 4.328 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OC3CCCC3)c2)CCC1(F)F ZINC000583174741 337252682 /nfs/dbraw/zinc/25/26/82/337252682.db2.gz IPWAVFRBGYGMMM-CQSZACIVSA-N 0 3 309.400 4.485 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(F)c1)c1ccc(OC)c(OC)c1 ZINC000321303668 337255800 /nfs/dbraw/zinc/25/58/00/337255800.db2.gz KZEQWZKKZRHWQP-MRXNPFEDSA-N 0 3 321.367 4.223 20 0 DIADHN c1cc(COc2ccc(CN3CCCC4(CC4)C3)cc2)ccn1 ZINC000186618739 337258056 /nfs/dbraw/zinc/25/80/56/337258056.db2.gz BAEQMEFJDINPLX-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000321385268 337260119 /nfs/dbraw/zinc/26/01/19/337260119.db2.gz WCGVBHGHZSDELE-RLLQIKCJSA-N 0 3 313.416 4.056 20 0 DIADHN COc1cccc2cc([C@H](C)NCCOc3ccccc3)oc21 ZINC000321419621 337263447 /nfs/dbraw/zinc/26/34/47/337263447.db2.gz CIGGVJKBUVCDQC-AWEZNQCLSA-N 0 3 311.381 4.171 20 0 DIADHN CC(C)CSCCN[C@@H](C)c1ccccc1OC(F)F ZINC000397473030 337263869 /nfs/dbraw/zinc/26/38/69/337263869.db2.gz YAELRDQQXYEIGW-LBPRGKRZSA-N 0 3 303.418 4.328 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cccc3c2OC(C)(C)C3)C1 ZINC000530359021 337265033 /nfs/dbraw/zinc/26/50/33/337265033.db2.gz HPASARZRKCEYON-DNVCBOLYSA-N 0 3 322.452 4.378 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(OC(F)F)c2)c(C)n1 ZINC000187414639 337265896 /nfs/dbraw/zinc/26/58/96/337265896.db2.gz SQVBIMGKBHHLQI-GFCCVEGCSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000187444110 337266071 /nfs/dbraw/zinc/26/60/71/337266071.db2.gz SYFQJYKODLEYJF-INIZCTEOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@@H]1CO[C@H](C)C1 ZINC000583203876 337269769 /nfs/dbraw/zinc/26/97/69/337269769.db2.gz XRPVHYGIAKGAPB-MDZLAQPJSA-N 0 3 320.433 4.086 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@@H]1CO[C@@H](C)C1 ZINC000583203875 337269978 /nfs/dbraw/zinc/26/99/78/337269978.db2.gz XRPVHYGIAKGAPB-GVXVVHGQSA-N 0 3 320.433 4.086 20 0 DIADHN C[C@H](Nc1cccc(OCCN(C)C)c1)c1cc(F)cc(F)c1 ZINC000321492702 337271403 /nfs/dbraw/zinc/27/14/03/337271403.db2.gz XOQDPFSOXHGUAV-ZDUSSCGKSA-N 0 3 320.383 4.078 20 0 DIADHN C[C@@H](NCc1ccc(C2CC2)cc1)c1ccc([S@](C)=O)cc1 ZINC000188723397 337274565 /nfs/dbraw/zinc/27/45/65/337274565.db2.gz XXPBTZYEUZNPTL-PEBXRYMYSA-N 0 3 313.466 4.152 20 0 DIADHN C[C@H](NCc1ccc(C2CC2)cc1)c1ccc([S@@](C)=O)cc1 ZINC000188723419 337274577 /nfs/dbraw/zinc/27/45/77/337274577.db2.gz XXPBTZYEUZNPTL-RCDICMHDSA-N 0 3 313.466 4.152 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3ccc(OC)cc32)cc1 ZINC000321638725 337280671 /nfs/dbraw/zinc/28/06/71/337280671.db2.gz OTYNFRSXWXNVTB-VBKZILBWSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)o1 ZINC000583232782 337281238 /nfs/dbraw/zinc/28/12/38/337281238.db2.gz BZFPKRGWHNUVOX-FXECBMLZSA-N 0 3 311.425 4.413 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc2c(c1)OCCO2 ZINC000321647271 337281542 /nfs/dbraw/zinc/28/15/42/337281542.db2.gz ZFUZUCNQFSKGBN-GFCCVEGCSA-N 0 3 319.351 4.246 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC(c3nc4ccccc4s3)CC2)O1 ZINC000190354082 337287001 /nfs/dbraw/zinc/28/70/01/337287001.db2.gz PGCJJAQPQYXMON-HIFRSBDPSA-N 0 3 316.470 4.043 20 0 DIADHN C[C@@H](NCc1cccc(C2CC2)c1)c1ccc2c(c1)OCCO2 ZINC000321768773 337289870 /nfs/dbraw/zinc/28/98/70/337289870.db2.gz XRHLINJSXBXBPS-CQSZACIVSA-N 0 3 309.409 4.186 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)CC)nc1)c1cccc(Cl)c1 ZINC000190759910 337290725 /nfs/dbraw/zinc/29/07/25/337290725.db2.gz LSCLRVJCIXNZAI-QGZVFWFLSA-N 0 3 317.864 4.432 20 0 DIADHN CC[C@H](NCCCn1cnc2ccccc21)c1ccccc1F ZINC000321801988 337292822 /nfs/dbraw/zinc/29/28/22/337292822.db2.gz XHZJYSUJZDVZJV-KRWDZBQOSA-N 0 3 311.404 4.306 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000191348024 337296223 /nfs/dbraw/zinc/29/62/23/337296223.db2.gz UASRPGAZFXTXPZ-PBHICJAKSA-N 0 3 316.493 4.185 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000514149649 337296463 /nfs/dbraw/zinc/29/64/63/337296463.db2.gz QDEOQPMLEBLNQG-CYBMUJFWSA-N 0 3 311.466 4.407 20 0 DIADHN Brc1ccsc1CN[C@H]1CC[C@H]1C1CCC1 ZINC000393775440 337298275 /nfs/dbraw/zinc/29/82/75/337298275.db2.gz FECCUJWBTOQROG-JQWIXIFHSA-N 0 3 300.265 4.179 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1ccc(C2CC2)cc1 ZINC000191683461 337300502 /nfs/dbraw/zinc/30/05/02/337300502.db2.gz OHIGCZLANKRFOH-UHFFFAOYSA-N 0 3 304.393 4.108 20 0 DIADHN CO[C@@H]1CCCN(C/C(C)=C\c2cccc(C(F)(F)F)c2)C1 ZINC000583289756 337307017 /nfs/dbraw/zinc/30/70/17/337307017.db2.gz QHAQVFDNDVSTEX-RCBBPTIPSA-N 0 3 313.363 4.220 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000525951733 337308235 /nfs/dbraw/zinc/30/82/35/337308235.db2.gz BRTONMZOPNZGIC-UONOGXRCSA-N 0 3 318.486 4.247 20 0 DIADHN C[C@H](CN[C@H]1CCCc2occc21)c1nc(-c2ccccc2)no1 ZINC000193056485 337309023 /nfs/dbraw/zinc/30/90/23/337309023.db2.gz OBXRTMAGIDFRNC-CJNGLKHVSA-N 0 3 323.396 4.100 20 0 DIADHN CN(Cc1ccc2[nH]cnc2c1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000583726887 337320509 /nfs/dbraw/zinc/32/05/09/337320509.db2.gz FNSKVHUGCHYECY-DOMZBBRYSA-N 0 3 311.351 4.116 20 0 DIADHN CN(Cc1ccc2nc[nH]c2c1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000583726887 337320510 /nfs/dbraw/zinc/32/05/10/337320510.db2.gz FNSKVHUGCHYECY-DOMZBBRYSA-N 0 3 311.351 4.116 20 0 DIADHN CCOc1ccc(CNCc2ccc(C)c(OC)c2)cc1Cl ZINC000584425855 337323175 /nfs/dbraw/zinc/32/31/75/337323175.db2.gz QIQRYKWBSBKIFX-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCC[C@H](F)C2)c2ccccn2)cc1 ZINC000583812205 337336496 /nfs/dbraw/zinc/33/64/96/337336496.db2.gz WCUPGBANRPICGN-JZXOWHBKSA-N 0 3 302.368 4.180 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCC[C@H](F)C2)c2ccccn2)cc1 ZINC000583812204 337336527 /nfs/dbraw/zinc/33/65/27/337336527.db2.gz WCUPGBANRPICGN-BQFCYCMXSA-N 0 3 302.368 4.180 20 0 DIADHN Cc1ccc2oc(CN[C@H](C)Cc3ccn(C(C)C)n3)cc2c1 ZINC000583823623 337337107 /nfs/dbraw/zinc/33/71/07/337337107.db2.gz CPRCLOGUVCRRNI-OAHLLOKOSA-N 0 3 311.429 4.239 20 0 DIADHN CCN(C(=O)[C@@H](C)N1CCC2(C1)CCCCC2)c1ccccc1 ZINC000584452272 337341448 /nfs/dbraw/zinc/34/14/48/337341448.db2.gz DGPKUADOVKEPLT-QGZVFWFLSA-N 0 3 314.473 4.084 20 0 DIADHN Fc1cccc(Oc2ncccc2CN[C@H]2CC[C@H]2C2CC2)c1 ZINC000584476151 337346443 /nfs/dbraw/zinc/34/64/43/337346443.db2.gz PPVFBUKJYCSQCV-ROUUACIJSA-N 0 3 312.388 4.291 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C1(C)CCCCCC1)c1ccco1 ZINC000584489753 337349425 /nfs/dbraw/zinc/34/94/25/337349425.db2.gz QFEVRLKKMKLQAL-HOTGVXAUSA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1cc(OC)c(OC)cc1SC ZINC000584497431 337350247 /nfs/dbraw/zinc/35/02/47/337350247.db2.gz XNABKPQEFQFXKE-OCCSQVGLSA-N 0 3 309.475 4.189 20 0 DIADHN CC1(C)CN(Cc2ccc(OCc3ccncc3)cc2)[C@@H]1C1CC1 ZINC000584561651 337361370 /nfs/dbraw/zinc/36/13/70/337361370.db2.gz RYUQLJBYZVOGJO-HXUWFJFHSA-N 0 3 322.452 4.281 20 0 DIADHN C[C@H](NC(C1CC1)C1CC1)c1sccc1Br ZINC000037230359 337362127 /nfs/dbraw/zinc/36/21/27/337362127.db2.gz FLKOXKLRZOVHQX-QMMMGPOBSA-N 0 3 300.265 4.350 20 0 DIADHN CCN(CCc1ccccc1)[C@H](C)c1nnc(-c2ccccc2)o1 ZINC000101726630 337386151 /nfs/dbraw/zinc/38/61/51/337386151.db2.gz SCHFYGDPVDFGJH-MRXNPFEDSA-N 0 3 321.424 4.362 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N(C)C[C@@H]1CCCCO1 ZINC000532927226 337393315 /nfs/dbraw/zinc/39/33/15/337393315.db2.gz ZIIODONHNBQHAP-DOMZBBRYSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1cccc(Cl)c1CNC1(c2ccccc2)CCOCC1 ZINC000580402153 337396840 /nfs/dbraw/zinc/39/68/40/337396840.db2.gz HWKQKXLPYRDDIT-UHFFFAOYSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)n1 ZINC000190983894 337399241 /nfs/dbraw/zinc/39/92/41/337399241.db2.gz HWAZQEULJKQYRH-HKUYNNGSSA-N 0 3 314.473 4.409 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2cccc(-c3ccncc3)c2)s1 ZINC000123786857 337401237 /nfs/dbraw/zinc/40/12/37/337401237.db2.gz CNRWVVWIUQRSSN-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2cnc3ccsc3c2)cc1 ZINC000124436861 337405437 /nfs/dbraw/zinc/40/54/37/337405437.db2.gz WMBOQPHIRFXZQP-ZDUSSCGKSA-N 0 3 312.438 4.294 20 0 DIADHN CC[C@@H]1CCCCCN1C(=O)c1cccc(CN2CCCC2)c1 ZINC000125426040 337411742 /nfs/dbraw/zinc/41/17/42/337411742.db2.gz XQVRCOPDUQEDNE-LJQANCHMSA-N 0 3 314.473 4.077 20 0 DIADHN COc1ccc(CN2CCC(OC3CCCCC3)CC2)cc1 ZINC000125506836 337412323 /nfs/dbraw/zinc/41/23/23/337412323.db2.gz AXCHFWAHWVPPKX-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN COCCNc1ccc(CN[C@H]2CC[C@@H](C)c3ccccc32)cc1 ZINC000127166664 337419434 /nfs/dbraw/zinc/41/94/34/337419434.db2.gz HKBVKXFZEQLVMG-IERDGZPVSA-N 0 3 324.468 4.473 20 0 DIADHN Cc1ccccc1NC(=O)C[C@H](C)NCc1ccc(C2CC2)cc1 ZINC000188729970 337426379 /nfs/dbraw/zinc/42/63/79/337426379.db2.gz VDQZSGSVZUSCFV-INIZCTEOSA-N 0 3 322.452 4.379 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)cc1)c1ccc2c(c1)OCCO2 ZINC000237009169 337467257 /nfs/dbraw/zinc/46/72/57/337467257.db2.gz TUKIXFBZDHCDQP-MRXNPFEDSA-N 0 3 317.816 4.352 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)NC2CCC(C)CC2)c(C)s1 ZINC000195585595 337468274 /nfs/dbraw/zinc/46/82/74/337468274.db2.gz JNEXAZFNWHDKPT-AOHBCEAMSA-N 0 3 322.518 4.099 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000245960538 337500226 /nfs/dbraw/zinc/50/02/26/337500226.db2.gz YARGTUIQXXWYPR-CXMBCZLWSA-N 0 3 307.340 4.047 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)F)c1ccccc1)c1ccccc1 ZINC000420988440 337517550 /nfs/dbraw/zinc/51/75/50/337517550.db2.gz ACNFXCJJCCMSNZ-DLBZAZTESA-N 0 3 305.368 4.360 20 0 DIADHN CC[C@@H](NCC(=O)Nc1c(C)cc(C)cc1C)c1ccccc1 ZINC000066109790 337524294 /nfs/dbraw/zinc/52/42/94/337524294.db2.gz AXTFGDVFLMOQKQ-GOSISDBHSA-N 0 3 310.441 4.291 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCCC2(O)CCC2)o1 ZINC000421368159 337532809 /nfs/dbraw/zinc/53/28/09/337532809.db2.gz GCGJWXAPGYJBOU-UHFFFAOYSA-N 0 3 317.404 4.179 20 0 DIADHN CC[C@H]1C[C@@H](NCc2ccc(-c3c(F)cccc3F)o2)CCO1 ZINC000421371861 337533223 /nfs/dbraw/zinc/53/32/23/337533223.db2.gz DAZYPHUGGKWHOP-STQMWFEESA-N 0 3 321.367 4.272 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000421432106 337534137 /nfs/dbraw/zinc/53/41/37/337534137.db2.gz QFKWHWZYEQJQTQ-KZNAEPCWSA-N 0 3 312.457 4.047 20 0 DIADHN Oc1ccc(CCNCc2ccc(F)c(Cl)c2Cl)cc1 ZINC000432237127 337536985 /nfs/dbraw/zinc/53/69/85/337536985.db2.gz ZBDHFMPXTDLAOA-UHFFFAOYSA-N 0 3 314.187 4.170 20 0 DIADHN C[C@H](N[C@H](C[C@H]1CCOC1)c1ccccc1)c1cncc(F)c1 ZINC000246591416 337553954 /nfs/dbraw/zinc/55/39/54/337553954.db2.gz ZJAAMZOCOINDEW-QMTMVMCOSA-N 0 3 314.404 4.039 20 0 DIADHN CC[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1ccc(Cl)cc1 ZINC000247127352 337557946 /nfs/dbraw/zinc/55/79/46/337557946.db2.gz QBPJAIWJBZGBMQ-RCCFBDPRSA-N 0 3 301.817 4.039 20 0 DIADHN CCC1(CN[C@H](C)c2ccc(Br)cn2)CCCC1 ZINC000571733410 337574287 /nfs/dbraw/zinc/57/42/87/337574287.db2.gz NTQLBMJIENGZFN-GFCCVEGCSA-N 0 3 311.267 4.465 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-c3ccco3)cc2F)C[C@H](C)O1 ZINC000571970192 337584665 /nfs/dbraw/zinc/58/46/65/337584665.db2.gz ISBLTZMHPDKAFI-VIKVFOODSA-N 0 3 303.377 4.131 20 0 DIADHN [O-]c1cccnc1C[NH2+]C1(c2ccc(Cl)cc2)CCCCC1 ZINC000572046126 337588574 /nfs/dbraw/zinc/58/85/74/337588574.db2.gz CPXIJNJWYFJBQG-UHFFFAOYSA-N 0 3 316.832 4.390 20 0 DIADHN C[C@@H](NC1CCSCC1)c1sccc1Br ZINC000161587478 337593542 /nfs/dbraw/zinc/59/35/42/337593542.db2.gz SOCMYCPTOHVAAQ-MRVPVSSYSA-N 0 3 306.294 4.057 20 0 DIADHN CC[C@H](NCC(C)(C)C[C@H](C)O)c1cccc(Cl)c1F ZINC000161860346 337598147 /nfs/dbraw/zinc/59/81/47/337598147.db2.gz AVLFIGHNIHBWEG-FZMZJTMJSA-N 0 3 301.833 4.317 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000162253624 337610688 /nfs/dbraw/zinc/61/06/88/337610688.db2.gz NPKUHGIXRVHOKS-CXAGYDPISA-N 0 3 318.486 4.258 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000162290307 337612772 /nfs/dbraw/zinc/61/27/72/337612772.db2.gz ALFHBRKTNDEIQQ-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccccc2)C(C)C)s1 ZINC000162620798 337645491 /nfs/dbraw/zinc/64/54/91/337645491.db2.gz POUBBFHGVRQGER-MRXNPFEDSA-N 0 3 303.427 4.022 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2ccncc2Cl)cc1 ZINC000421827631 337646879 /nfs/dbraw/zinc/64/68/79/337646879.db2.gz YRJPQRYCKJIFHJ-UONOGXRCSA-N 0 3 318.848 4.416 20 0 DIADHN C[C@H](NC[C@@H]1CCO[C@H]1c1ccccc1)c1ccncc1Cl ZINC000421854730 337650255 /nfs/dbraw/zinc/65/02/55/337650255.db2.gz LYWLPJYVJSROLP-YEWWUXTCSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000421854772 337650323 /nfs/dbraw/zinc/65/03/23/337650323.db2.gz LAFAFXXKGDHNEF-JTQLQIEISA-N 0 3 320.235 4.478 20 0 DIADHN COc1cccc(C(C)(C)CN[C@H](C)c2ccncc2Cl)c1 ZINC000421831563 337651331 /nfs/dbraw/zinc/65/13/31/337651331.db2.gz FGMNLLGGUFJVAN-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NC[C@H](C)c1c(C)noc1C ZINC000421837239 337652498 /nfs/dbraw/zinc/65/24/98/337652498.db2.gz QCPMZCCPFLUIBH-QWRGUYRKSA-N 0 3 322.836 4.408 20 0 DIADHN C[C@@H](NC[C@H]1CCO[C@@H]1c1ccccc1)c1ccncc1Cl ZINC000421841912 337653492 /nfs/dbraw/zinc/65/34/92/337653492.db2.gz LYWLPJYVJSROLP-DDUZABMNSA-N 0 3 316.832 4.163 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@H](C)c2ccncc2Cl)c1 ZINC000421847085 337654473 /nfs/dbraw/zinc/65/44/73/337654473.db2.gz VXERAHGVSKYRPP-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NCC1(C(F)(F)F)CC1 ZINC000421860395 337655707 /nfs/dbraw/zinc/65/57/07/337655707.db2.gz UOTARYTUAWCFAC-VIFPVBQESA-N 0 3 307.743 4.342 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(CN)nc1C)c1ccc(Cl)s1 ZINC000422724973 337684131 /nfs/dbraw/zinc/68/41/31/337684131.db2.gz AAILEHRAIDKIMH-FZMZJTMJSA-N 0 3 323.893 4.366 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)NCc1ccc(Cl)cc1Cl ZINC000422732976 337684570 /nfs/dbraw/zinc/68/45/70/337684570.db2.gz PYCFHAUHZKEEFM-SNVBAGLBSA-N 0 3 324.255 4.006 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)N[C@@H](C)c1cc2ccccc2o1 ZINC000422769356 337685859 /nfs/dbraw/zinc/68/58/59/337685859.db2.gz OSUWBTPAJLRAQE-OCCSQVGLSA-N 0 3 309.413 4.007 20 0 DIADHN CSc1cccc(NC(=O)C(C)(C)[C@@H](N)c2ccccc2)c1 ZINC000422900822 337693328 /nfs/dbraw/zinc/69/33/28/337693328.db2.gz AZQSODHVDWRJDY-INIZCTEOSA-N 0 3 314.454 4.073 20 0 DIADHN CC[C@H](C)Oc1cccc(N[C@@H](C)c2ccc(CN)nc2C)c1 ZINC000423139717 337701312 /nfs/dbraw/zinc/70/13/12/337701312.db2.gz WLZMDCADSPZHIE-KBPBESRZSA-N 0 3 313.445 4.199 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000423212245 337704427 /nfs/dbraw/zinc/70/44/27/337704427.db2.gz ZUDVRBKCHNQXLM-BXWFABGCSA-N 0 3 324.468 4.021 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCc3cc(N)ccc31)CCC2 ZINC000423340716 337712952 /nfs/dbraw/zinc/71/29/52/337712952.db2.gz CBWJDCVHRYSAQR-SFTDATJTSA-N 0 3 322.452 4.322 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000423342190 337712979 /nfs/dbraw/zinc/71/29/79/337712979.db2.gz CZWMYQYVCNSPDI-ADLMAVQZSA-N 0 3 302.368 4.275 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000423352104 337713628 /nfs/dbraw/zinc/71/36/28/337713628.db2.gz JRCHUHBFDYAUTE-RBUKOAKNSA-N 0 3 324.472 4.281 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H]2CCCc3cc(N)ccc32)s1 ZINC000423356995 337714184 /nfs/dbraw/zinc/71/41/84/337714184.db2.gz RNZXDDBZPAYMFA-BZNIZROVSA-N 0 3 315.486 4.324 20 0 DIADHN CC[C@H](NCc1cccc2c1CCCN2)c1ccccc1OC ZINC000423410866 337716229 /nfs/dbraw/zinc/71/62/29/337716229.db2.gz DSUNAXZCDLHEGV-SFHVURJKSA-N 0 3 310.441 4.294 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1cccc2c1CCCN2 ZINC000423417383 337716596 /nfs/dbraw/zinc/71/65/96/337716596.db2.gz SGHDYBUDBAMBIB-HXUWFJFHSA-N 0 3 322.452 4.357 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc3c1CCCN3)CCC2 ZINC000423420353 337716812 /nfs/dbraw/zinc/71/68/12/337716812.db2.gz WTPYDWHIZDXQSW-HXUWFJFHSA-N 0 3 310.416 4.351 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccc(F)cc1 ZINC000423427934 337717089 /nfs/dbraw/zinc/71/70/89/337717089.db2.gz QVRYSSGZDCKKKB-PXAZEXFGSA-N 0 3 314.404 4.361 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2cccc(Br)c2)c1 ZINC000423476277 337721529 /nfs/dbraw/zinc/72/15/29/337721529.db2.gz IXLJAXSGILIZLD-GFCCVEGCSA-N 0 3 319.246 4.191 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](c2ccc(F)c(F)c2)C(C)C)c1 ZINC000423482160 337721946 /nfs/dbraw/zinc/72/19/46/337721946.db2.gz REHOEIVYLMQFEL-GOSISDBHSA-N 0 3 304.384 4.342 20 0 DIADHN Cc1cc(N)cc(CN[C@H](c2ccc(F)c(F)c2)C(C)C)c1 ZINC000423482162 337721978 /nfs/dbraw/zinc/72/19/78/337721978.db2.gz REHOEIVYLMQFEL-SFHVURJKSA-N 0 3 304.384 4.342 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](c2cc(F)ccc2F)C(C)C)c1 ZINC000423481258 337725031 /nfs/dbraw/zinc/72/50/31/337725031.db2.gz QAQQAIVQAYPYDU-GOSISDBHSA-N 0 3 304.384 4.342 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000423867150 337728878 /nfs/dbraw/zinc/72/88/78/337728878.db2.gz ADDGPCKYEOXUKR-APWZRJJASA-N 0 3 324.468 4.207 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NC(=O)C[C@H](N)c1ccccc1)CC2 ZINC000423890736 337729268 /nfs/dbraw/zinc/72/92/68/337729268.db2.gz LJSWSZKUIYLGBQ-VQTJNVASSA-N 0 3 322.452 4.004 20 0 DIADHN CSc1cc(CN[C@@H](C)c2cccc(OC(F)F)c2)ccn1 ZINC000536827472 337732261 /nfs/dbraw/zinc/73/22/61/337732261.db2.gz PMZPWAKQDBQZSD-NSHDSACASA-N 0 3 324.396 4.256 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H](C)[C@H]1COc2ccccc21 ZINC000536831600 337734503 /nfs/dbraw/zinc/73/45/03/337734503.db2.gz HMTBLRHUALCJDI-HDMKZQKVSA-N 0 3 311.425 4.301 20 0 DIADHN CC[C@H](COC)N[C@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000536831651 337734604 /nfs/dbraw/zinc/73/46/04/337734604.db2.gz IQGYUTLMACJCMI-UYAOXDASSA-N 0 3 313.441 4.108 20 0 DIADHN COc1ccc(OC)c(CCCN[C@H](C)c2ccccc2F)c1 ZINC000536838605 337736001 /nfs/dbraw/zinc/73/60/01/337736001.db2.gz KPWYOMQXHBCAJP-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H](C)c1ccc(Cl)s1 ZINC000035286283 337742757 /nfs/dbraw/zinc/74/27/57/337742757.db2.gz HELVBPNENWOCOF-LLVKDONJSA-N 0 3 319.861 4.410 20 0 DIADHN C[C@H](NC[C@](C)(O)C(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000536843416 337742983 /nfs/dbraw/zinc/74/29/83/337742983.db2.gz DODLASGWZHWAOS-GUYCJALGSA-N 0 3 323.358 4.318 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2ccccc2Br)nc1 ZINC000399746688 337743125 /nfs/dbraw/zinc/74/31/25/337743125.db2.gz KXBNKOOOWODWSS-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1cc(Cl)cc(Cl)c1 ZINC000536844870 337743313 /nfs/dbraw/zinc/74/33/13/337743313.db2.gz KHEHWDAIQHEYJA-TZTCFGBESA-N 0 3 300.229 4.212 20 0 DIADHN CC[C@H](NCCC[C@@H]1CCCC[C@H]1O)c1ccc(F)cc1F ZINC000536849014 337744599 /nfs/dbraw/zinc/74/45/99/337744599.db2.gz YCWWZCVWFZESLP-DOPJRALCSA-N 0 3 311.416 4.337 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2cc(C)c(F)c(C)c2)c1 ZINC000536850770 337745293 /nfs/dbraw/zinc/74/52/93/337745293.db2.gz JWIDREOBWOJBBT-ZDUSSCGKSA-N 0 3 305.368 4.441 20 0 DIADHN C[C@H]1C[C@H](C)N1Cc1c[nH]nc1-c1ccc(C(F)(F)F)cc1 ZINC000527529541 337749386 /nfs/dbraw/zinc/74/93/86/337749386.db2.gz AERGOFVWSKVNEL-QWRGUYRKSA-N 0 3 309.335 4.078 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)Cc2ccc(OC)c(OC)c2)o1 ZINC000536867380 337749644 /nfs/dbraw/zinc/74/96/44/337749644.db2.gz DCEIULUJELFZDX-ZIAGYGMSSA-N 0 3 317.429 4.141 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc3cc[nH]c3c2)cc1OC ZINC000527633484 337753183 /nfs/dbraw/zinc/75/31/83/337753183.db2.gz DMNLHXHENZUUNN-CQSZACIVSA-N 0 3 324.424 4.426 20 0 DIADHN c1cc2ccc(CNCc3ccc(OC4CCCC4)nc3)cc2[nH]1 ZINC000527639390 337753573 /nfs/dbraw/zinc/75/35/73/337753573.db2.gz BRRMIRMICFJCRZ-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CNCc2ccncc2Cl)C1 ZINC000527670369 337754665 /nfs/dbraw/zinc/75/46/65/337754665.db2.gz KJVOHQQGXBNJJE-JQWIXIFHSA-N 0 3 306.759 4.193 20 0 DIADHN Cc1nc(C)c(CCNCc2ccsc2C(F)(F)F)s1 ZINC000527656444 337756600 /nfs/dbraw/zinc/75/66/00/337756600.db2.gz GTXNFVIFCMXCMC-UHFFFAOYSA-N 0 3 320.405 4.173 20 0 DIADHN Cc1cc(CNCCc2nc(C3CCCCC3)cs2)ccn1 ZINC000527662932 337756915 /nfs/dbraw/zinc/75/69/15/337756915.db2.gz UNYCAMYPWNPYRD-UHFFFAOYSA-N 0 3 315.486 4.227 20 0 DIADHN COc1cc(CNCc2cscc2C)cc(C(F)(F)F)c1 ZINC000527699604 337757305 /nfs/dbraw/zinc/75/73/05/337757305.db2.gz IPWWCUDRSBFXQG-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN FC(F)(F)c1sccc1CNCCCC1CCOCC1 ZINC000527714319 337758413 /nfs/dbraw/zinc/75/84/13/337758413.db2.gz RXHFHNFRZUPXGS-UHFFFAOYSA-N 0 3 307.381 4.063 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2ccsc2C(F)(F)F)CCO1 ZINC000527693300 337760269 /nfs/dbraw/zinc/76/02/69/337760269.db2.gz WVWZMDHBRXUXNN-CHWSQXEVSA-N 0 3 321.408 4.450 20 0 DIADHN Cn1cc2ccc(CNCc3ccc(C(C)(C)C)cc3)cc2n1 ZINC000527763549 337762068 /nfs/dbraw/zinc/76/20/68/337762068.db2.gz PVYOMRWRNMTNID-UHFFFAOYSA-N 0 3 307.441 4.161 20 0 DIADHN c1ccc([C@@H](NCc2cccc3cccnc32)C2CCC2)nc1 ZINC000527771301 337762411 /nfs/dbraw/zinc/76/24/11/337762411.db2.gz HLGZRHGDPLVJGU-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN CC[C@H](NCc1ccc(-n2cncn2)cc1)c1cc(C)ccc1C ZINC000527818603 337763424 /nfs/dbraw/zinc/76/34/24/337763424.db2.gz GQFWDWMCJDNBAK-FQEVSTJZSA-N 0 3 320.440 4.125 20 0 DIADHN COCc1ccc(CNC(C)(C)c2ccc(OC)c(F)c2)cc1 ZINC000527781233 337763693 /nfs/dbraw/zinc/76/36/93/337763693.db2.gz KOEGEDVPMRCHTO-UHFFFAOYSA-N 0 3 317.404 4.006 20 0 DIADHN Cc1cccc2ncc(CN[C@@H]3CCc4cc(Cl)ccc43)n21 ZINC000527794425 337764352 /nfs/dbraw/zinc/76/43/52/337764352.db2.gz DDYYCUSZCZAFRX-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN Cc1cccc([C@@H](NCc2cn(C)nc2C(F)F)C(C)C)c1 ZINC000527805720 337765174 /nfs/dbraw/zinc/76/51/74/337765174.db2.gz ACRSCFKMBVMBDH-HNNXBMFYSA-N 0 3 307.388 4.153 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2ccc(C(N)=O)cc2)cc1 ZINC000527845481 337768406 /nfs/dbraw/zinc/76/84/06/337768406.db2.gz JZGPOIQYGGFNBA-HUUCEWRRSA-N 0 3 310.441 4.150 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)cc1F ZINC000527850326 337768906 /nfs/dbraw/zinc/76/89/06/337768906.db2.gz RXFHNFOFILOTRX-INIZCTEOSA-N 0 3 323.770 4.404 20 0 DIADHN C[C@H](N[C@@H](C(=O)Nc1ccccc1)c1ccccc1)[C@H]1CC12CC2 ZINC000527851868 337769058 /nfs/dbraw/zinc/76/90/58/337769058.db2.gz BLWDXKXJEFWKNK-KFKAGJAMSA-N 0 3 320.436 4.145 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2ccc(C)n(C)c2=O)cc1 ZINC000527899418 337772656 /nfs/dbraw/zinc/77/26/56/337772656.db2.gz VJMHGDMADASCDS-ZBFHGGJFSA-N 0 3 312.457 4.058 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCOC1)c1nc(-c2ccccc2)cs1 ZINC000527922856 337775325 /nfs/dbraw/zinc/77/53/25/337775325.db2.gz BRYKNSYQYNZCBW-CABCVRRESA-N 0 3 316.470 4.277 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1cccnc1C ZINC000527988703 337778183 /nfs/dbraw/zinc/77/81/83/337778183.db2.gz UDDRISJFNVFXCW-CYBMUJFWSA-N 0 3 324.453 4.068 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc3cn(C)nc3c2)cc1C ZINC000527960238 337780106 /nfs/dbraw/zinc/78/01/06/337780106.db2.gz WUHFFOAQHGNUHK-MRXNPFEDSA-N 0 3 307.441 4.349 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1ccccn1)C1CCC1)CC2 ZINC000527961920 337780315 /nfs/dbraw/zinc/78/03/15/337780315.db2.gz CDCIPGWFWFMMJP-UYAOXDASSA-N 0 3 308.425 4.209 20 0 DIADHN CC(C)C[C@H](NCc1ccc2cn(C)nc2c1)c1ccccc1 ZINC000527966346 337780920 /nfs/dbraw/zinc/78/09/20/337780920.db2.gz VJQPCEIVRXHKBF-IBGZPJMESA-N 0 3 307.441 4.450 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCc3c2cccc3C)C2CC2)cn1 ZINC000528045097 337785839 /nfs/dbraw/zinc/78/58/39/337785839.db2.gz XIWJTVGDPCAHFN-ICSRJNTNSA-N 0 3 308.425 4.127 20 0 DIADHN CC[C@H](NCC(C)(C)c1c(F)cccc1Cl)c1cnn(C)c1 ZINC000536875151 337787968 /nfs/dbraw/zinc/78/79/68/337787968.db2.gz HXUDUKMNWFCDBG-HNNXBMFYSA-N 0 3 323.843 4.231 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cncn1C(C)C ZINC000424163600 337788200 /nfs/dbraw/zinc/78/82/00/337788200.db2.gz VOKZVUOUSBBBEL-OAHLLOKOSA-N 0 3 303.475 4.125 20 0 DIADHN CC(C)Cc1ncc(CNCC(C)(C)Oc2ccccc2)s1 ZINC000532986856 337804126 /nfs/dbraw/zinc/80/41/26/337804126.db2.gz DTNMIZXSBOMMSI-UHFFFAOYSA-N 0 3 318.486 4.289 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCOC3(CCC3)C2)c(Cl)c1 ZINC000424278043 337814505 /nfs/dbraw/zinc/81/45/05/337814505.db2.gz CRNUXJOLMDLWKR-QWHCGFSZSA-N 0 3 309.837 4.101 20 0 DIADHN COc1ccc([C@@H](C)NCCCc2ccccn2)c(Cl)c1 ZINC000424279062 337814532 /nfs/dbraw/zinc/81/45/32/337814532.db2.gz FINZEPYVDUHXAZ-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](N[C@@H](C)c3c(F)cccc3Cl)C[C@H]2C1 ZINC000424305261 337818201 /nfs/dbraw/zinc/81/82/01/337818201.db2.gz RXZCIHIMVKGPEY-ODXJTPSBSA-N 0 3 311.828 4.333 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2C[C@H]2C2CCC2)c2ccccn2)cc1 ZINC000424303492 337818301 /nfs/dbraw/zinc/81/83/01/337818301.db2.gz ZXBBBXSMCDTRKF-QRFRQXIXSA-N 0 3 310.416 4.336 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)Cc2c(C)noc2C)c(Cl)c1 ZINC000424323395 337820592 /nfs/dbraw/zinc/82/05/92/337820592.db2.gz VYOJEKORTJVVBV-MNOVXSKESA-N 0 3 322.836 4.235 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)Cc2c(C)noc2C)c(Cl)c1 ZINC000424323390 337820597 /nfs/dbraw/zinc/82/05/97/337820597.db2.gz VYOJEKORTJVVBV-GHMZBOCLSA-N 0 3 322.836 4.235 20 0 DIADHN FC(F)(F)C1CCC(N[C@H]2C[C@]2(F)c2ccccc2)CC1 ZINC000424334603 337822580 /nfs/dbraw/zinc/82/25/80/337822580.db2.gz YUTCVRNBEUSKIB-WUCCLRPBSA-N 0 3 301.327 4.334 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC(C)(CC(C)C)CC2)c1 ZINC000424427668 337832827 /nfs/dbraw/zinc/83/28/27/337832827.db2.gz QEIKKRPPWJNDHK-UHFFFAOYSA-N 0 3 317.477 4.134 20 0 DIADHN Cc1ccccc1CNCc1cc(F)cc(Br)c1 ZINC000108163815 337834440 /nfs/dbraw/zinc/83/44/40/337834440.db2.gz BGHISNUWAAJASG-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN Clc1cc2c(s1)CC[C@@H]2NCc1cccc2c[nH]nc21 ZINC000580501161 337839735 /nfs/dbraw/zinc/83/97/35/337839735.db2.gz QPNDWIDNBHLABK-LBPRGKRZSA-N 0 3 303.818 4.055 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000536904276 337846454 /nfs/dbraw/zinc/84/64/54/337846454.db2.gz ISBFHCOMTHFFTH-CVEARBPZSA-N 0 3 321.873 4.411 20 0 DIADHN CCCc1ccc([C@@H](C)N[C@H](CO)c2sccc2C)cc1 ZINC000536911713 337847839 /nfs/dbraw/zinc/84/78/39/337847839.db2.gz XEIWAYCPRFOAKG-RHSMWYFYSA-N 0 3 303.471 4.393 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(OC)cc1)c1ccco1 ZINC000119110470 337854503 /nfs/dbraw/zinc/85/45/03/337854503.db2.gz HEEFDXVYHJSSDY-QAPCUYQASA-N 0 3 316.445 4.022 20 0 DIADHN CC(C)OCc1ccccc1CN[C@H](c1cccnc1)C(C)C ZINC000536998602 337860625 /nfs/dbraw/zinc/86/06/25/337860625.db2.gz BLDSCSBDUFZPHI-FQEVSTJZSA-N 0 3 312.457 4.494 20 0 DIADHN CC[C@@H](C)CN1CCC(C(=O)c2c(F)cc(F)cc2F)CC1 ZINC000088521730 337862453 /nfs/dbraw/zinc/86/24/53/337862453.db2.gz GQUGYPAQOVUAFW-LLVKDONJSA-N 0 3 313.363 4.045 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@@H](C)c2nc3ccccc3n2C)cc1 ZINC000537012544 337864395 /nfs/dbraw/zinc/86/43/95/337864395.db2.gz VTJHSGZIYYGVEB-HOTGVXAUSA-N 0 3 307.441 4.336 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)cc(OC)c2)C(C)C)cc1 ZINC000537012024 337864492 /nfs/dbraw/zinc/86/44/92/337864492.db2.gz AUJIOTSEIUEBHR-HXUWFJFHSA-N 0 3 313.441 4.499 20 0 DIADHN CC(C)C1(CN[C@H](C)c2nc3ccc(Cl)cc3n2C)CC1 ZINC000537013390 337865033 /nfs/dbraw/zinc/86/50/33/337865033.db2.gz BEVRXIGVPPUYQZ-GFCCVEGCSA-N 0 3 305.853 4.314 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2CCN(c3cccc(Cl)c3)C2)s1 ZINC000090418904 337881000 /nfs/dbraw/zinc/88/10/00/337881000.db2.gz MULQGKBCFQRYRQ-RISCZKNCSA-N 0 3 321.877 4.034 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)C(C)(C)c2ccccc2)c(C)c1 ZINC000537053655 337884560 /nfs/dbraw/zinc/88/45/60/337884560.db2.gz YOMNFTXBFYISFR-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)N[C@H](C)c1ccccc1)C(C)C ZINC000537059977 337885494 /nfs/dbraw/zinc/88/54/94/337885494.db2.gz IQJHFUQUDHCNDU-DYESRHJHSA-N 0 3 324.468 4.159 20 0 DIADHN Cc1cccc(C2(CN[C@H](C)c3nccn3C)CCCCC2)c1 ZINC000537105590 337891911 /nfs/dbraw/zinc/89/19/11/337891911.db2.gz NXZPXZUQIYNVNR-QGZVFWFLSA-N 0 3 311.473 4.281 20 0 DIADHN Cc1cccc(C2(CN[C@@H](C)c3nccn3C)CCCCC2)c1 ZINC000537105589 337891919 /nfs/dbraw/zinc/89/19/19/337891919.db2.gz NXZPXZUQIYNVNR-KRWDZBQOSA-N 0 3 311.473 4.281 20 0 DIADHN CC[C@H](NCCCOc1ccc2ccccc2c1)c1nccn1C ZINC000537110446 337892509 /nfs/dbraw/zinc/89/25/09/337892509.db2.gz AVBLADCVLKAOQT-IBGZPJMESA-N 0 3 323.440 4.083 20 0 DIADHN CCn1cc(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)cn1 ZINC000092191669 337901422 /nfs/dbraw/zinc/90/14/22/337901422.db2.gz BPCFWXVWMWWKCX-UHFFFAOYSA-N 0 3 322.456 4.072 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1cc(F)cc(F)c1 ZINC000121928901 337902311 /nfs/dbraw/zinc/90/23/11/337902311.db2.gz JDMZCESGXCMKAJ-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2CCc3c2cccc3OC)s1 ZINC000121892192 337902940 /nfs/dbraw/zinc/90/29/40/337902940.db2.gz UKGNGGWREINZOR-NHYWBVRUSA-N 0 3 316.470 4.361 20 0 DIADHN CC(C)COc1cccc(CN2CCC(OCC(C)C)CC2)c1 ZINC000537165271 337904126 /nfs/dbraw/zinc/90/41/26/337904126.db2.gz VJTPPZHEJUEQRC-UHFFFAOYSA-N 0 3 319.489 4.358 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC2(CCCCC2)CC1 ZINC000092969061 337910651 /nfs/dbraw/zinc/91/06/51/337910651.db2.gz RPQIPSNBSDCHEZ-UHFFFAOYSA-N 0 3 300.446 4.191 20 0 DIADHN CC(C)(C)[C@H](COc1ccccc1F)NCCC(F)(F)F ZINC000537292481 337928516 /nfs/dbraw/zinc/92/85/16/337928516.db2.gz YAXGXWBOINKYIJ-ZDUSSCGKSA-N 0 3 307.331 4.161 20 0 DIADHN Cc1ccccc1[C@@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)C1CC1 ZINC000537292218 337928594 /nfs/dbraw/zinc/92/85/94/337928594.db2.gz XDFBBKYZRVEPPK-APWZRJJASA-N 0 3 316.489 4.070 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2cnn(C(C)C)c2)c(Cl)c1 ZINC000122916119 337929079 /nfs/dbraw/zinc/92/90/79/337929079.db2.gz WXITXEYHIMMNDV-ZDUSSCGKSA-N 0 3 321.852 4.019 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCC1([C@@H](O)C(C)C)CC1 ZINC000537303581 337929725 /nfs/dbraw/zinc/92/97/25/337929725.db2.gz KABXUTJSOCXGNE-WFASDCNBSA-N 0 3 324.534 4.197 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@H](OC)c2ccccc2F)o1 ZINC000537305094 337929863 /nfs/dbraw/zinc/92/98/63/337929863.db2.gz BTNNJBZLZWNVIZ-JCGVRSQUSA-N 0 3 305.393 4.408 20 0 DIADHN CCc1cccc(N[C@@H](C)c2cccc(OCCN(C)C)c2)c1 ZINC000537407707 337933763 /nfs/dbraw/zinc/93/37/63/337933763.db2.gz YJBUHKYJEOLIHL-INIZCTEOSA-N 0 3 312.457 4.363 20 0 DIADHN CCc1cccc(N[C@@H](C)c2ccc(OCCN(C)C)cc2)c1 ZINC000537408758 337933889 /nfs/dbraw/zinc/93/38/89/337933889.db2.gz NXKVUZTYYFSOCA-INIZCTEOSA-N 0 3 312.457 4.363 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cccc2[nH]ccc21 ZINC000537385302 337934512 /nfs/dbraw/zinc/93/45/12/337934512.db2.gz MTUOUPPNIUZNJP-BFUOFWGJSA-N 0 3 312.388 4.173 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537481069 337937546 /nfs/dbraw/zinc/93/75/46/337937546.db2.gz GVGNVQSFBBVFQF-OGHNNQOOSA-N 0 3 309.400 4.135 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NC/C=C\c2ccccc2)cc1 ZINC000537866158 337963974 /nfs/dbraw/zinc/96/39/74/337963974.db2.gz OTHHYSVFYOOBNR-VTCCUVMVSA-N 0 3 311.425 4.074 20 0 DIADHN CN(c1ccccc1)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000514234206 337967048 /nfs/dbraw/zinc/96/70/48/337967048.db2.gz LGECNNGGSJDWHU-FLIBITNWSA-N 0 3 306.453 4.301 20 0 DIADHN Cc1cnc([C@@H](NC[C@@H]2CCCC(F)(F)C2)C2CC2)s1 ZINC000514245066 337967793 /nfs/dbraw/zinc/96/77/93/337967793.db2.gz RIOKEBFKVRDHMP-YPMHNXCESA-N 0 3 300.418 4.318 20 0 DIADHN Cc1ccc2c(C[NH2+][C@H](c3ccccc3)C3CC3)ccc([O-])c2n1 ZINC000514244475 337967801 /nfs/dbraw/zinc/96/78/01/337967801.db2.gz IWSOPRBQIKBTNU-HXUWFJFHSA-N 0 3 318.420 4.490 20 0 DIADHN CCCN(CCC)CC(=O)N1CC[C@H](C)Sc2ccccc21 ZINC000515124033 337979754 /nfs/dbraw/zinc/97/97/54/337979754.db2.gz XCLAXQKELHVRAF-HNNXBMFYSA-N 0 3 320.502 4.026 20 0 DIADHN CCS[C@@H]1CCC[C@H](N[C@@H](C)c2cn3ccccc3n2)C1 ZINC000515311436 337984762 /nfs/dbraw/zinc/98/47/62/337984762.db2.gz KKKAHAYNZINJNX-SOUVJXGZSA-N 0 3 303.475 4.049 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000315370947 337985760 /nfs/dbraw/zinc/98/57/60/337985760.db2.gz RONVOMZFUVCTKW-STQMWFEESA-N 0 3 319.474 4.072 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccc(F)c(F)c2)C12CCCC2 ZINC000315383642 337985941 /nfs/dbraw/zinc/98/59/41/337985941.db2.gz NAZYNKBVFVWYEB-JCURWCKSSA-N 0 3 309.400 4.353 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(Cl)s1)c1ccccc1 ZINC000516348396 338010414 /nfs/dbraw/zinc/01/04/14/338010414.db2.gz VZFRVGJUMSRQAB-OAHLLOKOSA-N 0 3 309.862 4.251 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3ccccc3c2)cc1O ZINC000516353094 338010511 /nfs/dbraw/zinc/01/05/11/338010511.db2.gz NNUMUPOXCQBXAC-CQSZACIVSA-N 0 3 307.393 4.405 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccc(Br)cc1F ZINC000425390467 338015473 /nfs/dbraw/zinc/01/54/73/338015473.db2.gz YNBMKLCRAFIWKD-OXHMUOHRSA-N 0 3 318.255 4.133 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)c1cccc(CN(C)C)c1 ZINC000426173103 338022180 /nfs/dbraw/zinc/02/21/80/338022180.db2.gz RGYYMTLLLWRBKQ-UHFFFAOYSA-N 0 3 310.441 4.148 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc3c(c1)OCO3)CCC2 ZINC000426290976 338024799 /nfs/dbraw/zinc/02/47/99/338024799.db2.gz QCKKEAJAFYHYSD-KBXCAEBGSA-N 0 3 309.409 4.452 20 0 DIADHN CSc1ccccc1[C@@H](C)NCCc1nnc(C(C)C)s1 ZINC000426292437 338025000 /nfs/dbraw/zinc/02/50/00/338025000.db2.gz UYOIFZFEKKPQTA-GFCCVEGCSA-N 0 3 321.515 4.277 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2n(C)c1=O)c1ccc(C)cc1 ZINC000426294423 338025066 /nfs/dbraw/zinc/02/50/66/338025066.db2.gz JRTUKJXGNYDHPW-LJQANCHMSA-N 0 3 320.436 4.088 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2n(C)c1=O)c1cccc(C)c1 ZINC000426295163 338025161 /nfs/dbraw/zinc/02/51/61/338025161.db2.gz XNSBEZSZSUVCLC-LJQANCHMSA-N 0 3 320.436 4.088 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2ccc(Cl)s2)s1 ZINC000426298099 338025308 /nfs/dbraw/zinc/02/53/08/338025308.db2.gz HYGJXFSJUOQTOO-VIFPVBQESA-N 0 3 315.895 4.270 20 0 DIADHN CCC[C@@H](NCc1cc2ccccc2n(C)c1=O)c1ccccc1 ZINC000426301291 338025529 /nfs/dbraw/zinc/02/55/29/338025529.db2.gz MBCDADJKUNKVJZ-LJQANCHMSA-N 0 3 320.436 4.170 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2oc(CCC)nc2C)C1(C)C ZINC000426300416 338025595 /nfs/dbraw/zinc/02/55/95/338025595.db2.gz FPFYJVWTIAGHOY-HZPDHXFCSA-N 0 3 308.466 4.009 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1csc(Cl)c1 ZINC000426308945 338026112 /nfs/dbraw/zinc/02/61/12/338026112.db2.gz YAIQLMWDOHEQDF-AAEUAGOBSA-N 0 3 324.852 4.470 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)[C@H]1OCCc3sccc31)CC2 ZINC000426310971 338026200 /nfs/dbraw/zinc/02/62/00/338026200.db2.gz PEPONDXIENGCFZ-BOFPYLFWSA-N 0 3 313.466 4.336 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)[C@@H]1OCCc3sccc31)CC2 ZINC000426310972 338026248 /nfs/dbraw/zinc/02/62/48/338026248.db2.gz PEPONDXIENGCFZ-IRWQIABSSA-N 0 3 313.466 4.336 20 0 DIADHN Cc1nnsc1CN[C@H](c1ccccc1C)C1CCCC1 ZINC000426319803 338026812 /nfs/dbraw/zinc/02/68/12/338026812.db2.gz RLBIMGDXFIUJEY-KRWDZBQOSA-N 0 3 301.459 4.176 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@H](OCC)C2(CC)CC)o1 ZINC000426328045 338027151 /nfs/dbraw/zinc/02/71/51/338027151.db2.gz AYFWWJJFWFMRGY-CVEARBPZSA-N 0 3 308.466 4.009 20 0 DIADHN COCC(C)(C)CN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000426328056 338027216 /nfs/dbraw/zinc/02/72/16/338027216.db2.gz XBRYCXKPCBNSOG-SNVBAGLBSA-N 0 3 321.795 4.265 20 0 DIADHN CCc1nc(C)c(CN[C@H](Cc2ccc(C)cc2)C(C)C)o1 ZINC000426335532 338027534 /nfs/dbraw/zinc/02/75/34/338027534.db2.gz PTXNEZTZUVOKDD-QGZVFWFLSA-N 0 3 300.446 4.211 20 0 DIADHN CC(=O)Nc1ccc(CN(C)C/C(C)=C/c2ccccc2)cc1 ZINC000426376464 338029513 /nfs/dbraw/zinc/02/95/13/338029513.db2.gz JCWCMGQFQYKIGE-DTQAZKPQSA-N 0 3 308.425 4.180 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2ccccc2Cl)cc1O ZINC000426413715 338031324 /nfs/dbraw/zinc/03/13/24/338031324.db2.gz YBISPJDICDEYRC-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN Clc1cccc(-c2cnc(CN3CC[C@@H]4CCC[C@@H]43)o2)c1 ZINC000426464068 338032723 /nfs/dbraw/zinc/03/27/23/338032723.db2.gz ZVFFRKBGVPSDID-WFASDCNBSA-N 0 3 302.805 4.369 20 0 DIADHN CC[C@@]1(CO)CCCN(Cc2ccc(SC(C)C)cc2)C1 ZINC000426466863 338033039 /nfs/dbraw/zinc/03/30/39/338033039.db2.gz AQUGMAPBVZRPOQ-GOSISDBHSA-N 0 3 307.503 4.172 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CC[C@H]4CCC[C@H]43)n2)cc1 ZINC000426466335 338033072 /nfs/dbraw/zinc/03/30/72/338033072.db2.gz FMYFURULCOVXFU-NVXWUHKLSA-N 0 3 311.429 4.234 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2CC[C@@H]3CCC[C@H]32)n1 ZINC000426473253 338033285 /nfs/dbraw/zinc/03/32/85/338033285.db2.gz DOYXGPRYXJMNKJ-XHDPSFHLSA-N 0 3 320.408 4.463 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@H]4CCC[C@H]43)cs2)c1 ZINC000426471232 338033328 /nfs/dbraw/zinc/03/33/28/338033328.db2.gz VUJOFGSPVOZESY-CXAGYDPISA-N 0 3 314.454 4.193 20 0 DIADHN C[C@H](NCCCC1CCOCC1)c1nc(C(F)(F)F)cs1 ZINC000426543678 338034408 /nfs/dbraw/zinc/03/44/08/338034408.db2.gz YIPLKWGANMMUIX-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN Cc1nc(COc2ccc(CN(C)[C@@H]3CC3(C)C)cc2)cs1 ZINC000426541900 338034447 /nfs/dbraw/zinc/03/44/47/338034447.db2.gz CQHCIQMHZSXPSJ-QGZVFWFLSA-N 0 3 316.470 4.261 20 0 DIADHN COC[C@H](N[C@@H]1CS[C@@H](C(C)C)C1)c1ccc(Cl)cc1 ZINC000426557020 338034549 /nfs/dbraw/zinc/03/45/49/338034549.db2.gz DFGUYVXDZKFPBP-HRCADAONSA-N 0 3 313.894 4.147 20 0 DIADHN COCC1CCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000428286474 338045599 /nfs/dbraw/zinc/04/55/99/338045599.db2.gz MBFKMRFBYVGFBM-UHFFFAOYSA-N 0 3 316.272 4.284 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2cnc(C)cn2)CC[C@@H]1c1ccccc1 ZINC000428204255 338042382 /nfs/dbraw/zinc/04/23/82/338042382.db2.gz ILJWFFZIJUDXGB-LMMKCTJWSA-N 0 3 309.457 4.362 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@@H](CC)Cc2ccccc2)o1 ZINC000428214692 338042595 /nfs/dbraw/zinc/04/25/95/338042595.db2.gz FIFDLGQPHCSCDR-KRWDZBQOSA-N 0 3 300.446 4.389 20 0 DIADHN CCc1nc(C)c(CN2CCC[C@]3(CCCc4ccccc43)C2)o1 ZINC000428237883 338043754 /nfs/dbraw/zinc/04/37/54/338043754.db2.gz NRYQDUBXHQSBBS-OAQYLSRUSA-N 0 3 324.468 4.416 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CC[C@@]2(CCOC2)C1 ZINC000428267659 338044966 /nfs/dbraw/zinc/04/49/66/338044966.db2.gz JNERIFCVTZIAGO-MRXNPFEDSA-N 0 3 314.256 4.038 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2NCCCC1CCCCC1 ZINC000428283707 338045499 /nfs/dbraw/zinc/04/54/99/338045499.db2.gz BYZHNGWPHNLZNL-INIZCTEOSA-N 0 3 304.482 4.187 20 0 DIADHN CC(C)O[C@@H](CN1CCc2ccsc2C1)c1ccccc1 ZINC000428291412 338045890 /nfs/dbraw/zinc/04/58/90/338045890.db2.gz AGACYXQLEXMNIF-KRWDZBQOSA-N 0 3 301.455 4.273 20 0 DIADHN CCc1nc(C)c(CN2CCC[C@H](c3ccc(F)cc3)CC2)o1 ZINC000428320771 338047070 /nfs/dbraw/zinc/04/70/70/338047070.db2.gz VYOXHYRNDMOTCF-HNNXBMFYSA-N 0 3 316.420 4.454 20 0 DIADHN CCc1cnccc1[C@@H](C)NC[C@@H](OC(C)C)c1ccccc1 ZINC000428344579 338048082 /nfs/dbraw/zinc/04/80/82/338048082.db2.gz AOXYEYUVJCWZMY-OXQOHEQNSA-N 0 3 312.457 4.461 20 0 DIADHN CC(C)c1nnc(C[N@@H+]2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)[n-]1 ZINC000428435102 338051342 /nfs/dbraw/zinc/05/13/42/338051342.db2.gz BROKUMMJCYHUDS-KZNAEPCWSA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(C[N@H+]2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)[n-]1 ZINC000428435102 338051343 /nfs/dbraw/zinc/05/13/43/338051343.db2.gz BROKUMMJCYHUDS-KZNAEPCWSA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)[nH]1 ZINC000428435102 338051344 /nfs/dbraw/zinc/05/13/44/338051344.db2.gz BROKUMMJCYHUDS-KZNAEPCWSA-N 0 3 324.472 4.086 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC000428442670 338051669 /nfs/dbraw/zinc/05/16/69/338051669.db2.gz VBWWTNNPMKFSTG-CQSZACIVSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@H](OC(C)C)c2ccccc2)on1 ZINC000428451401 338052396 /nfs/dbraw/zinc/05/23/96/338052396.db2.gz ATLDHQBLSAIPNG-HKUYNNGSSA-N 0 3 314.429 4.286 20 0 DIADHN Fc1cc(CN2CC[C@@H]3CCC[C@@H]32)ccc1OCC(F)(F)F ZINC000428458864 338053014 /nfs/dbraw/zinc/05/30/14/338053014.db2.gz LMXBGLWXWYVKIQ-JSGCOSHPSA-N 0 3 317.326 4.141 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCC[C@@H]1Cc1cccc(F)c1 ZINC000428463045 338053264 /nfs/dbraw/zinc/05/32/64/338053264.db2.gz VHHHKRZFSUZJDV-QGZVFWFLSA-N 0 3 316.420 4.453 20 0 DIADHN CCN(Cc1cnc2cc(C)ccn12)[C@@H](C)Cc1ccsc1 ZINC000428468501 338053891 /nfs/dbraw/zinc/05/38/91/338053891.db2.gz FPBUVVILDQBIIR-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN CC(C)(CO)[C@H](NCc1coc2ccccc12)c1ccccc1 ZINC000428474895 338054370 /nfs/dbraw/zinc/05/43/70/338054370.db2.gz UFUDUWCKFSIUHX-LJQANCHMSA-N 0 3 309.409 4.282 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN[C@H]1CC12CCCC2 ZINC000428530043 338055559 /nfs/dbraw/zinc/05/55/59/338055559.db2.gz ACFKSGOTKAIFFP-IBGZPJMESA-N 0 3 308.425 4.180 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CN[C@@H](CO)CC(F)F)cc2)c1 ZINC000428656410 338057893 /nfs/dbraw/zinc/05/78/93/338057893.db2.gz PVMVZSIRVRMOLX-GOSISDBHSA-N 0 3 319.395 4.076 20 0 DIADHN Cc1cccc2c1ncc(C)c2N(C)Cc1cn2c(n1)CCCC2 ZINC000428691254 338058561 /nfs/dbraw/zinc/05/85/61/338058561.db2.gz MSDORJRKEAIFIX-UHFFFAOYSA-N 0 3 320.440 4.021 20 0 DIADHN Cc1noc(C)c1CCN1CCC(c2ccc(F)cc2C)CC1 ZINC000428719749 338059523 /nfs/dbraw/zinc/05/95/23/338059523.db2.gz AGBUJDNMKPGGCJ-UHFFFAOYSA-N 0 3 316.420 4.161 20 0 DIADHN C[C@]12CCN(CCCOc3cccc(Cl)c3)C[C@H]1C2(F)F ZINC000428749277 338060376 /nfs/dbraw/zinc/06/03/76/338060376.db2.gz PCNLDTRXZPXQMZ-CABCVRRESA-N 0 3 315.791 4.086 20 0 DIADHN COC(=O)c1ccc(CN(C(C)C)[C@H](C)c2ccccc2)o1 ZINC000428863450 338063009 /nfs/dbraw/zinc/06/30/09/338063009.db2.gz QKIHJHQLPFZPLU-CQSZACIVSA-N 0 3 301.386 4.038 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2ccc(C(F)(F)F)s2)s1 ZINC000428872684 338063755 /nfs/dbraw/zinc/06/37/55/338063755.db2.gz RZFAXHMREIJYFG-QMMMGPOBSA-N 0 3 320.405 4.425 20 0 DIADHN FC(F)(F)c1ccc(CNCCCNc2ccccc2)s1 ZINC000428877176 338064140 /nfs/dbraw/zinc/06/41/40/338064140.db2.gz SUQZXDQISCQHAZ-UHFFFAOYSA-N 0 3 314.376 4.359 20 0 DIADHN CCOCCC1(CNCc2nc3ccc(F)cc3s2)CCC1 ZINC000428878467 338064225 /nfs/dbraw/zinc/06/42/25/338064225.db2.gz ZKLOKIGCIKZUEX-UHFFFAOYSA-N 0 3 322.449 4.122 20 0 DIADHN CS[C@@H](CNCc1nc2ccc(F)cc2s1)C(C)(C)C ZINC000428887687 338064592 /nfs/dbraw/zinc/06/45/92/338064592.db2.gz LQMLVXVTOJNJGP-ZDUSSCGKSA-N 0 3 312.479 4.303 20 0 DIADHN Fc1ccc2nc(CNCCCc3ccccc3)sc2c1 ZINC000428886385 338064648 /nfs/dbraw/zinc/06/46/48/338064648.db2.gz IGUGRJFIGNMCPL-UHFFFAOYSA-N 0 3 300.402 4.158 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@@](C)(c3ccccc3)CC2)cn1 ZINC000428953791 338066424 /nfs/dbraw/zinc/06/64/24/338066424.db2.gz KNPIDYBMJQPHHI-FXAWDEMLSA-N 0 3 309.457 4.290 20 0 DIADHN O=C(CCN1CC[C@H]1c1ccccc1)Nc1ccc(Cl)cc1 ZINC000429063608 338070230 /nfs/dbraw/zinc/07/02/30/338070230.db2.gz XZAXGFBCYPGNBK-KRWDZBQOSA-N 0 3 314.816 4.116 20 0 DIADHN Clc1cccc(S[C@H]2CCN(Cc3ccccn3)C2)c1 ZINC000429114347 338071084 /nfs/dbraw/zinc/07/10/84/338071084.db2.gz HAQLWLXRDSHLCL-INIZCTEOSA-N 0 3 304.846 4.102 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(CCc3ccncc3)C2)c1 ZINC000429128616 338071400 /nfs/dbraw/zinc/07/14/00/338071400.db2.gz NWSLVOXDBKLIIO-QGZVFWFLSA-N 0 3 318.873 4.144 20 0 DIADHN COCC[C@@H](c1ccccc1)N1Cc2ccccc2O[C@H](C)C1 ZINC000429127512 338071464 /nfs/dbraw/zinc/07/14/64/338071464.db2.gz CHVBUNKBVCTGCT-APWZRJJASA-N 0 3 311.425 4.047 20 0 DIADHN Cc1cccc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)n1 ZINC000429171760 338072448 /nfs/dbraw/zinc/07/24/48/338072448.db2.gz OXQQBOKQZSUPFB-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN C[C@H](NCCSc1ccccc1)[C@@H]1OCCc2sccc21 ZINC000429165211 338072487 /nfs/dbraw/zinc/07/24/87/338072487.db2.gz ZAMSTAPEWGBLQK-GUYCJALGSA-N 0 3 319.495 4.132 20 0 DIADHN C[C@H](N[C@@H]1Cc2cccc(O)c2C1)c1cccc(Cl)c1F ZINC000429248303 338074690 /nfs/dbraw/zinc/07/46/90/338074690.db2.gz YVYQRWASRVYNLR-CMPLNLGQSA-N 0 3 305.780 4.003 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000429285236 338076317 /nfs/dbraw/zinc/07/63/17/338076317.db2.gz GCKYIWHOZIWXFM-CYBMUJFWSA-N 0 3 319.392 4.160 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc(Cl)cc3cccnc32)C1 ZINC000429300970 338077246 /nfs/dbraw/zinc/07/72/46/338077246.db2.gz KAUJADTWAXBMJI-CYBMUJFWSA-N 0 3 320.889 4.463 20 0 DIADHN CCC(CC)(C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000429324282 338077652 /nfs/dbraw/zinc/07/76/52/338077652.db2.gz DCVKUHYPFZBBPB-UHFFFAOYSA-N 0 3 322.452 4.029 20 0 DIADHN COC1(C[C@H](C)N[C@@H](c2nc3ccccc3[nH]2)C(C)C)CCC1 ZINC000429413582 338080051 /nfs/dbraw/zinc/08/00/51/338080051.db2.gz VIKFOQMTODTASJ-WMLDXEAASA-N 0 3 315.461 4.197 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@@H](N[C@H]1CC13CCCC3)CCC2 ZINC000429427997 338080654 /nfs/dbraw/zinc/08/06/54/338080654.db2.gz OVDAWBACJDIODY-GJZGRUSLSA-N 0 3 310.363 4.400 20 0 DIADHN FC1(F)CC(N[C@H](Cc2cccnc2)c2ccc(Cl)cc2)C1 ZINC000429445381 338081280 /nfs/dbraw/zinc/08/12/80/338081280.db2.gz BSSFBNHAORDAHD-MRXNPFEDSA-N 0 3 322.786 4.406 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1cccc(-c2ccccc2)c1 ZINC000429454862 338081670 /nfs/dbraw/zinc/08/16/70/338081670.db2.gz URGIKVYQXXQUKX-GUYCJALGSA-N 0 3 305.368 4.020 20 0 DIADHN COC1(C[C@H](C)NCc2nc3cc(Cl)ccc3s2)CCC1 ZINC000429456086 338081706 /nfs/dbraw/zinc/08/17/06/338081706.db2.gz RWVZDOUTTKACMH-NSHDSACASA-N 0 3 324.877 4.387 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1ccc(-c2ccccc2)s1 ZINC000429461906 338081881 /nfs/dbraw/zinc/08/18/81/338081881.db2.gz NLVHUEOVKQIALT-YPMHNXCESA-N 0 3 311.397 4.082 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CC[C@@H](C)C2)c(Cl)c1 ZINC000429558721 338084023 /nfs/dbraw/zinc/08/40/23/338084023.db2.gz JZOBYWWHMNYWDN-RISCZKNCSA-N 0 3 308.853 4.016 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)Nc2ccc(Cl)cc2Cl)C1 ZINC000429580163 338085091 /nfs/dbraw/zinc/08/50/91/338085091.db2.gz OFOHUBOPQRIAIN-ZYHUDNBSSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@H]1CC[C@@H](N(C)CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000429580575 338085141 /nfs/dbraw/zinc/08/51/41/338085141.db2.gz PQDPLPFUMVBABI-FUHWJXTLSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@H]1CC[C@@H](N(C)CC(=O)Nc2cccc(Cl)c2Cl)C1 ZINC000429582965 338085210 /nfs/dbraw/zinc/08/52/10/338085210.db2.gz HUMHUORFVVSLBP-WDEREUQCSA-N 0 3 315.244 4.052 20 0 DIADHN c1cc([C@@H]2CCCN2CCCc2cccc3ccccc32)n[nH]1 ZINC000429725383 338088448 /nfs/dbraw/zinc/08/84/48/338088448.db2.gz YXJDAGGKQIHRQL-FQEVSTJZSA-N 0 3 305.425 4.333 20 0 DIADHN Fc1cc(Cl)cnc1N[C@H](CN1CCCC1)c1ccccc1 ZINC000430006190 338093307 /nfs/dbraw/zinc/09/33/07/338093307.db2.gz OKJCEGLSQVCDON-MRXNPFEDSA-N 0 3 319.811 4.123 20 0 DIADHN FC(F)C1(CNCc2cc(Cl)ccc2OCC2CC2)CC1 ZINC000430023791 338093767 /nfs/dbraw/zinc/09/37/67/338093767.db2.gz OQZGDGMRKVNQOK-UHFFFAOYSA-N 0 3 315.791 4.264 20 0 DIADHN C[C@@H](C[C@@H]1CCCO1)NCc1cc(Cl)ccc1OCC1CC1 ZINC000430041439 338094322 /nfs/dbraw/zinc/09/43/22/338094322.db2.gz VLTXRBMADIMNMQ-GUYCJALGSA-N 0 3 323.864 4.176 20 0 DIADHN C[C@@H](C[C@H]1CCCO1)NCc1cc(Cl)ccc1OCC1CC1 ZINC000430041440 338094330 /nfs/dbraw/zinc/09/43/30/338094330.db2.gz VLTXRBMADIMNMQ-SUMWQHHRSA-N 0 3 323.864 4.176 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1CNC[C@H]1CCC(F)(F)C1 ZINC000430041000 338094348 /nfs/dbraw/zinc/09/43/48/338094348.db2.gz LAHJJVMJMGASGA-VIFPVBQESA-N 0 3 311.269 4.370 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)NCc1cc(Cl)ccc1OCC1CC1 ZINC000430041438 338094398 /nfs/dbraw/zinc/09/43/98/338094398.db2.gz VLTXRBMADIMNMQ-DYVFJYSZSA-N 0 3 323.864 4.176 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2OC)nc1)CC(C)(C)C ZINC000430085075 338095361 /nfs/dbraw/zinc/09/53/61/338095361.db2.gz ZCGSCASEJHCZPT-UHFFFAOYSA-N 0 3 313.445 4.020 20 0 DIADHN CC(C)N(Cc1ccc([S@](C)=O)cc1)[C@@H](C)c1ccccc1 ZINC000430096961 338096197 /nfs/dbraw/zinc/09/61/97/338096197.db2.gz AVCDOVLUGJQLCZ-AOMKIAJQSA-N 0 3 315.482 4.396 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000430096668 338096221 /nfs/dbraw/zinc/09/62/21/338096221.db2.gz AUJAJOIPVMUQJE-DLBZAZTESA-N 0 3 309.400 4.343 20 0 DIADHN CCOC1(C)CCN(Cc2cc3c(cc(C)cc3C)[nH]2)CC1 ZINC000430102219 338096652 /nfs/dbraw/zinc/09/66/52/338096652.db2.gz JQCSAQIOBPECCL-UHFFFAOYSA-N 0 3 300.446 4.176 20 0 DIADHN CCCn1cc(CN2CCC(c3ccc(F)cc3C)CC2)cn1 ZINC000430103699 338096797 /nfs/dbraw/zinc/09/67/97/338096797.db2.gz LSLBFDWZVCAWDU-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN Cc1cc(C)n2c(CN(C(C)C)[C@H](C)c3ccccc3)cnc2n1 ZINC000430108796 338097171 /nfs/dbraw/zinc/09/71/71/338097171.db2.gz XARNUGRHTVYHHO-QGZVFWFLSA-N 0 3 322.456 4.318 20 0 DIADHN Cc1cc(F)ccc1C1CCN(Cc2cnn(C(C)C)c2)CC1 ZINC000430109440 338097229 /nfs/dbraw/zinc/09/72/29/338097229.db2.gz ZYUMTOLEUZWGTJ-UHFFFAOYSA-N 0 3 315.436 4.291 20 0 DIADHN Cc1cc2[nH]c(CN3CCOC[C@@H](C4CCC4)C3)cc2c(C)c1 ZINC000430114305 338097523 /nfs/dbraw/zinc/09/75/23/338097523.db2.gz QNTUUAKUTBULHO-KRWDZBQOSA-N 0 3 312.457 4.033 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)[C@@H](C)[C@@H](N)c2ccccc2)c(C)c1 ZINC000430133737 338098441 /nfs/dbraw/zinc/09/84/41/338098441.db2.gz YXLGJXWOOAHUGZ-ABSDTBQOSA-N 0 3 324.468 4.159 20 0 DIADHN CC[C@H]1CCN1Cc1ccc(OCc2csc(C)n2)cc1 ZINC000430184412 338100117 /nfs/dbraw/zinc/10/01/17/338100117.db2.gz AHYXGGMNUSUYQG-INIZCTEOSA-N 0 3 302.443 4.015 20 0 DIADHN CC[C@H]1CCN1Cc1c[nH]nc1-c1ccc(C(F)(F)F)cc1 ZINC000430195051 338100867 /nfs/dbraw/zinc/10/08/67/338100867.db2.gz MPKCOZRJBBOVAC-AWEZNQCLSA-N 0 3 309.335 4.080 20 0 DIADHN CC[C@H](C)CN(CC)Cc1cnc(-c2ccccc2OC)nc1 ZINC000430237338 338102597 /nfs/dbraw/zinc/10/25/97/338102597.db2.gz IQCHQLQADGRPTP-HNNXBMFYSA-N 0 3 313.445 4.020 20 0 DIADHN CCc1occc1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000430405813 338106552 /nfs/dbraw/zinc/10/65/52/338106552.db2.gz LHLOZICMYHJXKC-UHFFFAOYSA-N 0 3 312.413 4.080 20 0 DIADHN c1cc(CN2CCCCC2)cc(-c2noc([C@H]3C[C@@H]3C3CC3)n2)c1 ZINC000430874663 338117708 /nfs/dbraw/zinc/11/77/08/338117708.db2.gz GCFDZVVADNQXTL-MSOLQXFVSA-N 0 3 323.440 4.236 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NC[C@]1(C)CCCO1 ZINC000431347416 338128728 /nfs/dbraw/zinc/12/87/28/338128728.db2.gz GLVAORBFIOSITJ-WBVHZDCISA-N 0 3 309.837 4.021 20 0 DIADHN CN(C)[C@H](CC(=O)N1CCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000431521609 338133065 /nfs/dbraw/zinc/13/30/65/338133065.db2.gz GGSXYGNQTLHKQN-WOJBJXKFSA-N 0 3 322.452 4.043 20 0 DIADHN CSc1ccc(NC(=O)C[C@H](c2ccccc2)N(C)C)cc1 ZINC000431521649 338133114 /nfs/dbraw/zinc/13/31/14/338133114.db2.gz RNHOCBUGYINZSR-QGZVFWFLSA-N 0 3 314.454 4.040 20 0 DIADHN CN(C)[C@@H](CC(=O)N1CCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000431521607 338133121 /nfs/dbraw/zinc/13/31/21/338133121.db2.gz GGSXYGNQTLHKQN-UXHICEINSA-N 0 3 322.452 4.043 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3nc(-c4ccccc4)c[nH]3)C2)cc1 ZINC000431650480 338135596 /nfs/dbraw/zinc/13/55/96/338135596.db2.gz DBHDAUHTHAAGDZ-SAABIXHNSA-N 0 3 321.399 4.252 20 0 DIADHN CC(C(=O)Nc1ncc(-c2ccc(F)cc2)s1)C(F)(F)F ZINC000432204892 338148482 /nfs/dbraw/zinc/14/84/82/338148482.db2.gz IMBXLYFJTBLXJK-SSDOTTSWSA-N 0 3 318.295 4.086 20 0 DIADHN CC1(CNCc2ccc(F)c(Cl)c2Cl)CCOCC1 ZINC000432249211 338148900 /nfs/dbraw/zinc/14/89/00/338148900.db2.gz DMOICZNPOUDIDE-UHFFFAOYSA-N 0 3 306.208 4.039 20 0 DIADHN CC[C@H](CNCc1csc(Cl)c1Cl)N1CCCC1 ZINC000432228974 338149198 /nfs/dbraw/zinc/14/91/98/338149198.db2.gz OCUNQWFSBRXBRX-LLVKDONJSA-N 0 3 307.290 4.019 20 0 DIADHN CCCc1csc(CNCCC2CC(OC(C)(C)C)C2)n1 ZINC000432239716 338150029 /nfs/dbraw/zinc/15/00/29/338150029.db2.gz FQRQKWHKOBYGER-UHFFFAOYSA-N 0 3 310.507 4.169 20 0 DIADHN Fc1ccc(CNCCCOCC2CC2)c(Cl)c1Cl ZINC000432248611 338150678 /nfs/dbraw/zinc/15/06/78/338150678.db2.gz XYSXPBCVNJGNJE-UHFFFAOYSA-N 0 3 306.208 4.039 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432252192 338151033 /nfs/dbraw/zinc/15/10/33/338151033.db2.gz DWUUMKKIDQBTFP-AAEUAGOBSA-N 0 3 320.235 4.426 20 0 DIADHN CC(C)(CO)C(C)(C)NCc1ccc(F)c(Cl)c1Cl ZINC000432254294 338151286 /nfs/dbraw/zinc/15/12/86/338151286.db2.gz SAYHGRBBRWWCFB-UHFFFAOYSA-N 0 3 308.224 4.019 20 0 DIADHN O[C@H]1CCCC[C@H]1CCCNCc1csc(Cl)c1Cl ZINC000432254777 338151420 /nfs/dbraw/zinc/15/14/20/338151420.db2.gz PRPXEKOFMTYVCO-JQWIXIFHSA-N 0 3 322.301 4.476 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H]1CCCO1 ZINC000432257574 338151518 /nfs/dbraw/zinc/15/15/18/338151518.db2.gz KFEJYFAUCGVEDS-NEPJUHHUSA-N 0 3 306.208 4.180 20 0 DIADHN C[C@]1(NCc2ccc(F)c(Cl)c2Cl)CCO[C@H]1C1CC1 ZINC000432258261 338151553 /nfs/dbraw/zinc/15/15/53/338151553.db2.gz YZBYTUKXKGYCFD-GJZGRUSLSA-N 0 3 318.219 4.180 20 0 DIADHN Clc1scc(CNCCC[C@H]2CCCCO2)c1Cl ZINC000432261203 338151813 /nfs/dbraw/zinc/15/18/13/338151813.db2.gz ZNGHLQNFANBFNU-LLVKDONJSA-N 0 3 308.274 4.494 20 0 DIADHN CC(C)C1CCC(N(C)C(=O)c2cccc(CN(C)C)c2)CC1 ZINC000432574359 338159575 /nfs/dbraw/zinc/15/95/75/338159575.db2.gz OSTGWRUEAOKSJQ-UHFFFAOYSA-N 0 3 316.489 4.035 20 0 DIADHN Clc1scc(CN2CC[C@H](N3CCCCC3)C2)c1Cl ZINC000432748413 338166008 /nfs/dbraw/zinc/16/60/08/338166008.db2.gz SWJXCMNRIQQAKP-LBPRGKRZSA-N 0 3 319.301 4.115 20 0 DIADHN CCC(CC)[C@@H](NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000432784998 338167534 /nfs/dbraw/zinc/16/75/34/338167534.db2.gz RCFWSFPDLIEIQP-QGZVFWFLSA-N 0 3 314.477 4.369 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCC1CCOCC1 ZINC000432784594 338167594 /nfs/dbraw/zinc/16/75/94/338167594.db2.gz PHLQNPBIANDCLS-CQSZACIVSA-N 0 3 310.507 4.384 20 0 DIADHN CCOC(=O)c1csc(CN[C@@H]2CCC[C@@H]2CCC(C)C)n1 ZINC000432799877 338168248 /nfs/dbraw/zinc/16/82/48/338168248.db2.gz ILYJHEAECPEEQB-ZIAGYGMSSA-N 0 3 324.490 4.014 20 0 DIADHN COc1ccc(CN2CC3(CCC3)C[C@@H]2C)c(OC(F)F)c1 ZINC000432798298 338168315 /nfs/dbraw/zinc/16/83/15/338168315.db2.gz OPKJKOBGSPPNPN-LBPRGKRZSA-N 0 3 311.372 4.061 20 0 DIADHN COc1ccc2nc(CN3CC4(CCC4)C[C@@H]3C)sc2c1 ZINC000432816038 338168955 /nfs/dbraw/zinc/16/89/55/338168955.db2.gz MKQRNIXZZLLVJI-LBPRGKRZSA-N 0 3 302.443 4.069 20 0 DIADHN CCc1cc(N2CCC(n3ccc(C)n3)CC2)c2ccccc2n1 ZINC000432833257 338169926 /nfs/dbraw/zinc/16/99/26/338169926.db2.gz VUDNHIBNRCXMLF-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN CCOC(=O)c1csc(CN[C@@H](C)[C@@H](C)C2CCCCC2)n1 ZINC000432850270 338170797 /nfs/dbraw/zinc/17/07/97/338170797.db2.gz FDTLZQFTDGKRTK-OLZOCXBDSA-N 0 3 324.490 4.014 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2ccc(C(F)(F)F)s2)C1 ZINC000432915970 338172895 /nfs/dbraw/zinc/17/28/95/338172895.db2.gz GHCSVIKLRWWYRW-LBPRGKRZSA-N 0 3 321.408 4.406 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2nc3ccc(F)cc3s2)C1 ZINC000432919882 338173147 /nfs/dbraw/zinc/17/31/47/338173147.db2.gz VXPVZNZOSZNMAI-ZDUSSCGKSA-N 0 3 322.449 4.074 20 0 DIADHN Cc1cnc(C2CCN(Cc3cccc(C)c3C)CC2)s1 ZINC000432955568 338174839 /nfs/dbraw/zinc/17/48/39/338174839.db2.gz NQUYHSIETKZUBB-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN[C@@H]2CC3CCC2CC3)co1 ZINC000432986337 338175366 /nfs/dbraw/zinc/17/53/66/338175366.db2.gz QRWHQHCCBLIINH-ZEPSKSRBSA-N 0 3 318.367 4.288 20 0 DIADHN Fc1ccccc1-c1cnc(CN[C@@H]2CC3CCC2CC3)o1 ZINC000433002120 338175739 /nfs/dbraw/zinc/17/57/39/338175739.db2.gz KVONWRQORCIOOQ-SEEARECTSA-N 0 3 300.377 4.149 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@@H](C)c2cc3cc(Cl)ccc3o2)C1 ZINC000433003233 338175913 /nfs/dbraw/zinc/17/59/13/338175913.db2.gz QYRLMIZZQCDYNY-COPLHBTASA-N 0 3 321.804 4.079 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@@H](c2cc3ccccc3o2)C(C)C)C1 ZINC000433011724 338176200 /nfs/dbraw/zinc/17/62/00/338176200.db2.gz GWZDIHJRKJEVKP-HDMKZQKVSA-N 0 3 315.413 4.061 20 0 DIADHN Cc1nc(C)c(CSCCN(C)Cc2ccccc2)s1 ZINC000433023765 338176864 /nfs/dbraw/zinc/17/68/64/338176864.db2.gz TXDXBNHXZOBOEK-UHFFFAOYSA-N 0 3 306.500 4.125 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc(C)c(C)c3)CC2)s1 ZINC000433032243 338177408 /nfs/dbraw/zinc/17/74/08/338177408.db2.gz RCCKYYNKPVGTBY-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN(C)[C@H]1CC[C@@H](C)C1 ZINC000433041954 338177901 /nfs/dbraw/zinc/17/79/01/338177901.db2.gz DXGDIEYRRXZDAQ-BEFAXECRSA-N 0 3 311.473 4.169 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@@H](c4ccccc4)C3)nc2c1 ZINC000433058458 338179098 /nfs/dbraw/zinc/17/90/98/338179098.db2.gz KLTFPUYQVPXJIZ-OAHLLOKOSA-N 0 3 310.372 4.347 20 0 DIADHN C[C@@H](O)CCCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000433074191 338180217 /nfs/dbraw/zinc/18/02/17/338180217.db2.gz VGIDBVBWAGSCCA-ACJLOTCBSA-N 0 3 321.823 4.319 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CC4CCC3CC4)co2)cc1 ZINC000433083192 338180962 /nfs/dbraw/zinc/18/09/62/338180962.db2.gz NZDNRKXFFHNFFI-QWQCLYJRSA-N 0 3 300.377 4.149 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H]2CCCN(c3ccccc3)C2)o1 ZINC000433091987 338181263 /nfs/dbraw/zinc/18/12/63/338181263.db2.gz NDUPGUYDQWPJQK-DLBZAZTESA-N 0 3 312.457 4.409 20 0 DIADHN C[C@H](NCC1(CO)CC(c2ccccc2)C1)c1ccccc1F ZINC000433092324 338181332 /nfs/dbraw/zinc/18/13/32/338181332.db2.gz QITODDMKMZXIND-FVWXCPNNSA-N 0 3 313.416 4.033 20 0 DIADHN CSC1(CN[C@H]2CCCOc3c(Cl)cc(C)cc32)CC1 ZINC000433091127 338181340 /nfs/dbraw/zinc/18/13/40/338181340.db2.gz UNFIRZYYIKHGDH-AWEZNQCLSA-N 0 3 311.878 4.347 20 0 DIADHN CCn1cccc(CN[C@H](c2ccc(Cl)cc2)C(C)C)c1=O ZINC000433094652 338181445 /nfs/dbraw/zinc/18/14/45/338181445.db2.gz VPYXTOKVHDRFLL-KRWDZBQOSA-N 0 3 318.848 4.009 20 0 DIADHN CCn1cccc(CN[C@@H](c2cc3ccccc3o2)C(C)C)c1=O ZINC000433102454 338181877 /nfs/dbraw/zinc/18/18/77/338181877.db2.gz RBGLYFPZLFGASN-LJQANCHMSA-N 0 3 324.424 4.101 20 0 DIADHN COc1ccc(Oc2cc(CNC3CC(C)(C)C3)ccn2)cc1 ZINC000433137008 338182695 /nfs/dbraw/zinc/18/26/95/338182695.db2.gz RWTAGABHRSYXOD-UHFFFAOYSA-N 0 3 312.413 4.161 20 0 DIADHN CC(C)C1CCC(N(C)Cc2nnc(-c3ccccc3)o2)CC1 ZINC000433135347 338182834 /nfs/dbraw/zinc/18/28/34/338182834.db2.gz NDRHDGKDGXUIKP-UHFFFAOYSA-N 0 3 313.445 4.383 20 0 DIADHN CC1(C)CC(NCc2cccnc2Oc2cccc(F)c2)C1 ZINC000433151572 338183299 /nfs/dbraw/zinc/18/32/99/338183299.db2.gz ISNGKRKKJCUDRB-UHFFFAOYSA-N 0 3 300.377 4.291 20 0 DIADHN Cc1cc(F)ccc1CNc1cccc2c1OCC[C@H]2N(C)C ZINC000433194247 338185174 /nfs/dbraw/zinc/18/51/74/338185174.db2.gz MRDOUSGNTOLZFJ-GOSISDBHSA-N 0 3 314.404 4.131 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3cc(Cl)cs3)cccc21 ZINC000433202153 338185548 /nfs/dbraw/zinc/18/55/48/338185548.db2.gz OXBUCWCIQAHHHF-OAHLLOKOSA-N 0 3 322.861 4.399 20 0 DIADHN CCCOc1c(CNCC2(SC)CCC2)cccc1OCC ZINC000433207441 338185990 /nfs/dbraw/zinc/18/59/90/338185990.db2.gz QSYXXHNLCBTEBQ-UHFFFAOYSA-N 0 3 323.502 4.249 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCC1CCOCC1 ZINC000433207324 338185992 /nfs/dbraw/zinc/18/59/92/338185992.db2.gz KNOMGEASYFJAPJ-INIZCTEOSA-N 0 3 315.379 4.395 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3cccc4cc[nH]c43)cccc21 ZINC000433211118 338186014 /nfs/dbraw/zinc/18/60/14/338186014.db2.gz ZLBUHTDCKNDPBS-GOSISDBHSA-N 0 3 321.424 4.165 20 0 DIADHN CC(C)[C@@H](NC(=O)C[C@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000433217023 338186228 /nfs/dbraw/zinc/18/62/28/338186228.db2.gz AZLDPJUJAVQTDZ-TZIWHRDSSA-N 0 3 324.468 4.193 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N2CC3(CCC3)C[C@H]2C)c1 ZINC000433256163 338188160 /nfs/dbraw/zinc/18/81/60/338188160.db2.gz JWXOLYQHBHPJBK-KGLIPLIRSA-N 0 3 318.486 4.000 20 0 DIADHN CCc1ccccc1CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC000433295929 338189879 /nfs/dbraw/zinc/18/98/79/338189879.db2.gz QUUUJEFJNCDQAW-FQEVSTJZSA-N 0 3 309.453 4.243 20 0 DIADHN C[C@@H](NCc1nccs1)C(c1ccccc1)c1ccccc1 ZINC000194293846 338191051 /nfs/dbraw/zinc/19/10/51/338191051.db2.gz KBFAIURSRQEJQJ-OAHLLOKOSA-N 0 3 308.450 4.453 20 0 DIADHN c1cc(-c2ccc(CN[C@H](c3ccccc3)C3CCC3)o2)n[nH]1 ZINC000433309865 338191105 /nfs/dbraw/zinc/19/11/05/338191105.db2.gz DTBCOFNXMOOVQO-LJQANCHMSA-N 0 3 307.397 4.301 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2ncc(C(F)(F)F)s2)C1 ZINC000433312437 338191639 /nfs/dbraw/zinc/19/16/39/338191639.db2.gz QRZBUZKIRQBJJL-QWRGUYRKSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2ccc(-c3cc[nH]n3)o2)c(C)c1 ZINC000433325005 338192466 /nfs/dbraw/zinc/19/24/66/338192466.db2.gz DMBBQALAHBBSMV-HNNXBMFYSA-N 0 3 309.413 4.007 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433324718 338192572 /nfs/dbraw/zinc/19/25/72/338192572.db2.gz FUINGFITILKGQS-QWRGUYRKSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1cccc(C(C)(C)NC(=O)C[C@H](c2ccccc2)N(C)C)c1 ZINC000433339773 338193276 /nfs/dbraw/zinc/19/32/76/338193276.db2.gz BWLIOYBNLDHNCQ-LJQANCHMSA-N 0 3 324.468 4.039 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(-c3cc[nH]n3)o2)c2ccccc21 ZINC000433340601 338193375 /nfs/dbraw/zinc/19/33/75/338193375.db2.gz FCINUWJVDVDZKQ-QGZVFWFLSA-N 0 3 307.397 4.182 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(F)c(OC)c2)c1 ZINC000153075279 338215900 /nfs/dbraw/zinc/21/59/00/338215900.db2.gz HRGSMBCDSNEBJW-STQMWFEESA-N 0 3 303.377 4.255 20 0 DIADHN OCCCC1CCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000434473362 338216680 /nfs/dbraw/zinc/21/66/80/338216680.db2.gz FPRPGKOUWCMHCF-UHFFFAOYSA-N 0 3 316.272 4.020 20 0 DIADHN Cc1ccn(C2CCN(Cc3ccc4ccccc4c3)CC2)n1 ZINC000434498835 338218731 /nfs/dbraw/zinc/21/87/31/338218731.db2.gz VURCPIDJUBKLDT-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN Cc1ccn(C2CCN(Cc3cc4ccccc4s3)CC2)n1 ZINC000434506179 338218894 /nfs/dbraw/zinc/21/88/94/338218894.db2.gz BMEYEUVDKPGQLA-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN C[C@H](c1cccs1)N(C)CCC(=O)Nc1cc(F)cc(F)c1 ZINC000434656905 338222559 /nfs/dbraw/zinc/22/25/59/338222559.db2.gz OHAQAZJMZMSFGX-LLVKDONJSA-N 0 3 324.396 4.048 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC(c2ncc3n2CCCC3)CC1 ZINC000434674943 338223078 /nfs/dbraw/zinc/22/30/78/338223078.db2.gz JLEBRAGTDXEAHK-TWGQIWQCSA-N 0 3 321.468 4.112 20 0 DIADHN CCn1nccc1C1CCN(Cc2cc3ccccc3o2)CC1 ZINC000434746871 338224631 /nfs/dbraw/zinc/22/46/31/338224631.db2.gz OZBGTXQGNGQOSF-UHFFFAOYSA-N 0 3 309.413 4.029 20 0 DIADHN COc1ccc(CNC2CCC3(CC3)CC2)c(Cl)c1OC ZINC000434779768 338225467 /nfs/dbraw/zinc/22/54/67/338225467.db2.gz WDJZNLGTOCYGSK-UHFFFAOYSA-N 0 3 309.837 4.170 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000434793287 338225781 /nfs/dbraw/zinc/22/57/81/338225781.db2.gz KYRNKIJZXJLAMY-GUXCAODWSA-N 0 3 311.425 4.094 20 0 DIADHN C[C@H](NCc1cccn1C)c1cccc(OCc2ccccc2)c1 ZINC000434791007 338225847 /nfs/dbraw/zinc/22/58/47/338225847.db2.gz AJDDYCKPROPMHO-KRWDZBQOSA-N 0 3 320.436 4.455 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1cc(-c2ccco2)on1 ZINC000434894472 338227021 /nfs/dbraw/zinc/22/70/21/338227021.db2.gz GCUMXMGQDKVPLU-PBHICJAKSA-N 0 3 308.381 4.313 20 0 DIADHN COc1ccsc1CN1CCC[C@@H]1c1ccc(OC)cc1 ZINC000434984759 338228704 /nfs/dbraw/zinc/22/87/04/338228704.db2.gz ZFMDGZXGQNUAKX-OAHLLOKOSA-N 0 3 303.427 4.102 20 0 DIADHN CC(C)[C@@H]1C[C@H](Nc2cccc3c2OCC[C@H]3N(C)C)CS1 ZINC000435024988 338229811 /nfs/dbraw/zinc/22/98/11/338229811.db2.gz VJBDYJOGYHIHDL-XKQJLSEDSA-N 0 3 320.502 4.014 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCC[C@@H](C4CC4)C3)cccc21 ZINC000435025692 338229873 /nfs/dbraw/zinc/22/98/73/338229873.db2.gz JVHGIUHIPHUKAJ-JTDSTZFVSA-N 0 3 314.473 4.453 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCC[C@H]3C3CC3)cccc21 ZINC000435028120 338229967 /nfs/dbraw/zinc/22/99/67/338229967.db2.gz OUTQNIYPNXEOSR-QILLFSRXSA-N 0 3 300.446 4.062 20 0 DIADHN COc1ccsc1CN1CCC(F)(c2ccccc2)CC1 ZINC000435039113 338230271 /nfs/dbraw/zinc/23/02/71/338230271.db2.gz AVIDPHHWUDJZIL-UHFFFAOYSA-N 0 3 305.418 4.218 20 0 DIADHN O[C@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC000435045240 338230562 /nfs/dbraw/zinc/23/05/62/338230562.db2.gz JFINFWLHFQVFGC-AWEZNQCLSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000435127778 338232591 /nfs/dbraw/zinc/23/25/91/338232591.db2.gz AGLWWSHJUURRJV-SFHVURJKSA-N 0 3 307.397 4.315 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)s1 ZINC000435134655 338232802 /nfs/dbraw/zinc/23/28/02/338232802.db2.gz JJBJNEFWFDUFQC-OAHLLOKOSA-N 0 3 313.426 4.377 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000435136120 338233213 /nfs/dbraw/zinc/23/32/13/338233213.db2.gz YODNHDCPGKVNTF-QGZVFWFLSA-N 0 3 323.396 4.015 20 0 DIADHN c1ccc2c(c1)CCN(Cc1cccc(-c3cccnc3)c1)C2 ZINC000435244423 338235084 /nfs/dbraw/zinc/23/50/84/338235084.db2.gz DTNWKUWZHKSQHD-UHFFFAOYSA-N 0 3 300.405 4.307 20 0 DIADHN CN(Cc1c[nH]nc1-c1cccc(Cl)c1)Cc1ccccc1 ZINC000435249831 338235134 /nfs/dbraw/zinc/23/51/34/338235134.db2.gz VVJQISLFHQDXDZ-UHFFFAOYSA-N 0 3 311.816 4.362 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3cc(-c4ccccc4)n[nH]3)C2)c1 ZINC000435727753 338246350 /nfs/dbraw/zinc/24/63/50/338246350.db2.gz FJYWYZZORRRARZ-SAABIXHNSA-N 0 3 321.399 4.252 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)s1 ZINC000435731771 338246608 /nfs/dbraw/zinc/24/66/08/338246608.db2.gz MWANUVGNMOXZRA-YEORSEQZSA-N 0 3 308.397 4.020 20 0 DIADHN CSc1ccc(CCNCc2ncc(C(C)(C)C)s2)cc1 ZINC000435731319 338246698 /nfs/dbraw/zinc/24/66/98/338246698.db2.gz JIKZHRYYVHXSEL-UHFFFAOYSA-N 0 3 320.527 4.495 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000435733230 338246748 /nfs/dbraw/zinc/24/67/48/338246748.db2.gz RWYGFPNJZRAKHU-SAZUREKKSA-N 0 3 317.864 4.396 20 0 DIADHN CC(C)c1ncc(CN[C@H]2C[C@H](c3cccc(F)c3)C2)s1 ZINC000435737469 338247018 /nfs/dbraw/zinc/24/70/18/338247018.db2.gz IIOHSWSFCQCGKF-CTYIDZIISA-N 0 3 304.434 4.441 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3cc(F)c(F)cc3F)C2)c1 ZINC000435738783 338247211 /nfs/dbraw/zinc/24/72/11/338247211.db2.gz ULFPVUGYHSUWTG-YEORSEQZSA-N 0 3 309.306 4.279 20 0 DIADHN CSc1ccc(CCNCc2cnc(C3CCC3)s2)cc1 ZINC000435739836 338247251 /nfs/dbraw/zinc/24/72/51/338247251.db2.gz HWUIMMHALGMSIK-UHFFFAOYSA-N 0 3 318.511 4.465 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3ccc(Br)o3)C2)c1 ZINC000435743126 338247424 /nfs/dbraw/zinc/24/74/24/338247424.db2.gz YQEBMBIWLDNWGA-AULYBMBSSA-N 0 3 324.193 4.217 20 0 DIADHN CCCc1csc(CN[C@H]2C[C@H](c3ccccc3F)C2)n1 ZINC000435780196 338248817 /nfs/dbraw/zinc/24/88/17/338248817.db2.gz USSTZDLMBXIBQU-MQMHXKEQSA-N 0 3 304.434 4.271 20 0 DIADHN Cc1ccccc1-n1nccc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435780693 338248877 /nfs/dbraw/zinc/24/88/77/338248877.db2.gz WXMKYCAWYXNOJV-WGSAOQKQSA-N 0 3 317.436 4.217 20 0 DIADHN COc1ccsc1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000435781968 338249026 /nfs/dbraw/zinc/24/90/26/338249026.db2.gz LUHXOEGFLUWTRQ-MQMHXKEQSA-N 0 3 307.846 4.446 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2C[C@H](c3cccc(Cl)c3)C2)c1 ZINC000435790822 338249379 /nfs/dbraw/zinc/24/93/79/338249379.db2.gz LINMREOGNWEINE-SAZUREKKSA-N 0 3 305.780 4.221 20 0 DIADHN C[C@@H](CNCc1ccc(N(C)c2ccccc2)nc1)C(F)(F)F ZINC000435791395 338249440 /nfs/dbraw/zinc/24/94/40/338249440.db2.gz PCYWFPZLXXKHOA-ZDUSSCGKSA-N 0 3 323.362 4.138 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2[C@@H](C)[C@@H]2c2ccccc2)c1 ZINC000435800156 338249751 /nfs/dbraw/zinc/24/97/51/338249751.db2.gz PEXOYFIFWLRFBC-CWFSZBLJSA-N 0 3 322.452 4.045 20 0 DIADHN Cc1cccc2nc(CN[C@H]3CC[C@@H](c4ccccc4)C3)cn21 ZINC000436232925 338262649 /nfs/dbraw/zinc/26/26/49/338262649.db2.gz QURYKQLVLUNGEY-MSOLQXFVSA-N 0 3 305.425 4.069 20 0 DIADHN Cc1ccn2cc(CN[C@H]3CC[C@@H](c4ccccc4)C3)nc2c1 ZINC000436232332 338262690 /nfs/dbraw/zinc/26/26/90/338262690.db2.gz NQOUKIHVJNNHMR-MSOLQXFVSA-N 0 3 305.425 4.069 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@H](Cc3ccccc3)C2)s1 ZINC000436243558 338263214 /nfs/dbraw/zinc/26/32/14/338263214.db2.gz ANOWEQINRSPNFY-HZPDHXFCSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CC[C@@H](c4ccccc4)C3)nc2c1 ZINC000436253650 338263648 /nfs/dbraw/zinc/26/36/48/338263648.db2.gz PVSZCNKZXQBUDD-SJORKVTESA-N 0 3 305.425 4.297 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CC[C@@H](c4ccccc4)C3)[nH]c2c1 ZINC000436253650 338263649 /nfs/dbraw/zinc/26/36/49/338263649.db2.gz PVSZCNKZXQBUDD-SJORKVTESA-N 0 3 305.425 4.297 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000436252619 338263701 /nfs/dbraw/zinc/26/37/01/338263701.db2.gz JFZBZTGGUNUPJV-OALUTQOASA-N 0 3 311.473 4.040 20 0 DIADHN Fc1cccc2[nH]c(CNCCSc3ccccc3)cc21 ZINC000436264282 338264336 /nfs/dbraw/zinc/26/43/36/338264336.db2.gz IZESMIAMOPHSHN-UHFFFAOYSA-N 0 3 300.402 4.189 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3c(cccc3F)[nH]2)cc1F ZINC000436275683 338265031 /nfs/dbraw/zinc/26/50/31/338265031.db2.gz PPVXZQLTIWCVMF-LLVKDONJSA-N 0 3 316.351 4.306 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1cc2c(cccc2F)[nH]1 ZINC000436275734 338265093 /nfs/dbraw/zinc/26/50/93/338265093.db2.gz QEKQUWUZBFSEIB-LBPRGKRZSA-N 0 3 316.351 4.003 20 0 DIADHN COc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1Cl ZINC000436282210 338265560 /nfs/dbraw/zinc/26/55/60/338265560.db2.gz GTBRETVLQRMJOC-UHFFFAOYSA-N 0 3 318.779 4.259 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H]1CC(C)(C)CCc2ccccc21 ZINC000436285997 338266041 /nfs/dbraw/zinc/26/60/41/338266041.db2.gz QBVYIICJNBNBSA-LJQANCHMSA-N 0 3 311.473 4.230 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@@H](CC)c2ccc(Cl)s2)C1 ZINC000436295877 338266951 /nfs/dbraw/zinc/26/69/51/338266951.db2.gz SJYRKTWJIJUPGH-WOPDTQHZSA-N 0 3 315.866 4.174 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H]2CCCC[C@H]2OCCC(C)C)c1 ZINC000436300704 338267567 /nfs/dbraw/zinc/26/75/67/338267567.db2.gz VWWFZPAXKKWVKN-BHIYHBOVSA-N 0 3 304.478 4.415 20 0 DIADHN CN(C)c1ccc(CN[C@H]2CC(C)(C)CCc3ccccc32)cn1 ZINC000436302898 338267737 /nfs/dbraw/zinc/26/77/37/338267737.db2.gz APJMMUFBWSIEEM-IBGZPJMESA-N 0 3 323.484 4.341 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CC(C)(C)CCc3ccccc32)n1 ZINC000436303009 338267767 /nfs/dbraw/zinc/26/77/67/338267767.db2.gz BQDQPTKETHNPQV-IBGZPJMESA-N 0 3 323.484 4.341 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2CCCC[C@H]2OCCC(C)C)c(C)n1 ZINC000436304734 338267905 /nfs/dbraw/zinc/26/79/05/338267905.db2.gz ZCCGOVYGHPLYAB-ATZDWAIDSA-N 0 3 319.493 4.118 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000436307631 338268169 /nfs/dbraw/zinc/26/81/69/338268169.db2.gz SCGPMPRHVOWPTD-AVGNSLFASA-N 0 3 305.418 4.493 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000436309307 338268223 /nfs/dbraw/zinc/26/82/23/338268223.db2.gz VPHWMNWLJOYQJF-WHOFXGATSA-N 0 3 305.418 4.493 20 0 DIADHN Cc1ccc(CNCC2(c3ccc(C(F)(F)F)cc3)CC2)nc1 ZINC000436406742 338271175 /nfs/dbraw/zinc/27/11/75/338271175.db2.gz RLLGCKICJALDAT-UHFFFAOYSA-N 0 3 320.358 4.230 20 0 DIADHN COC1(CNCc2cc(Cl)cc(C(F)(F)F)c2)CCC1 ZINC000436409855 338271483 /nfs/dbraw/zinc/27/14/83/338271483.db2.gz JRCCIPJIBHBMAU-UHFFFAOYSA-N 0 3 307.743 4.018 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)cn1 ZINC000436414014 338271668 /nfs/dbraw/zinc/27/16/68/338271668.db2.gz OYENIDHOSIDTPQ-FXAWDEMLSA-N 0 3 310.441 4.140 20 0 DIADHN CC(C(=O)N(C)c1nc2ccc(Cl)cc2s1)C(F)(F)F ZINC000436453966 338272704 /nfs/dbraw/zinc/27/27/04/338272704.db2.gz XZTLTYQNIGPZEV-ZCFIWIBFSA-N 0 3 322.739 4.111 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccn(C3CCCC3)n2)C1 ZINC000436471810 338273390 /nfs/dbraw/zinc/27/33/90/338273390.db2.gz VBPGJSIYBLNJHT-UHFFFAOYSA-N 0 3 309.457 4.208 20 0 DIADHN COc1cc(-c2nc(CN(C)[C@@H](C)C(C)C)cs2)ccc1O ZINC000436934889 338294431 /nfs/dbraw/zinc/29/44/31/338294431.db2.gz NITLRXVWPCTUSD-LBPRGKRZSA-N 0 3 320.458 4.001 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@H](C)C(F)(F)F)CCCS2 ZINC000437009990 338297605 /nfs/dbraw/zinc/29/76/05/338297605.db2.gz AKXASJZMCFPOLF-GXFFZTMASA-N 0 3 319.392 4.410 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@@H](C)C2)nnn1-c1ccc(Cl)cc1 ZINC000437047833 338298816 /nfs/dbraw/zinc/29/88/16/338298816.db2.gz XPRPLIAPHUSOAG-TYNCELHUSA-N 0 3 318.852 4.068 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](CC(F)(F)F)C(C)C)CC2 ZINC000437072422 338299250 /nfs/dbraw/zinc/29/92/50/338299250.db2.gz CKJFKVWHBWRKOA-HUUCEWRRSA-N 0 3 301.352 4.249 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccccc1N(C)C(C)C)CC2 ZINC000437075147 338299437 /nfs/dbraw/zinc/29/94/37/338299437.db2.gz KRQVYYNISSIZNW-HXUWFJFHSA-N 0 3 324.468 4.317 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@H](Cc2ccccc2)C1 ZINC000437083178 338299808 /nfs/dbraw/zinc/29/98/08/338299808.db2.gz GGARHPNYAPTCJB-CGTJXYLNSA-N 0 3 310.441 4.152 20 0 DIADHN CCOC(C)(C)CN[C@H](CC)c1ccccc1Br ZINC000437081721 338299870 /nfs/dbraw/zinc/29/98/70/338299870.db2.gz XXOLSYWKWWHAJT-CQSZACIVSA-N 0 3 314.267 4.305 20 0 DIADHN COc1cnccc1[C@@H](C)NC1CC(c2cccc(Cl)c2)C1 ZINC000437087374 338300153 /nfs/dbraw/zinc/30/01/53/338300153.db2.gz VMQAWDCVICQZCE-XEBKBJJBSA-N 0 3 316.832 4.340 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1OC ZINC000437092131 338300467 /nfs/dbraw/zinc/30/04/67/338300467.db2.gz ZFXZZXOKZUMQSZ-WCQYABFASA-N 0 3 319.367 4.331 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@H]1CCc2c1cccc2F ZINC000437097436 338300774 /nfs/dbraw/zinc/30/07/74/338300774.db2.gz NHRIEUXOWPGJJN-IBGZPJMESA-N 0 3 312.432 4.447 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@@H]1CCc2c1cccc2F ZINC000437097698 338300831 /nfs/dbraw/zinc/30/08/31/338300831.db2.gz NHRIEUXOWPGJJN-LJQANCHMSA-N 0 3 312.432 4.447 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)c(F)c1 ZINC000437102825 338301038 /nfs/dbraw/zinc/30/10/38/338301038.db2.gz HMNVGUNKLHHFKB-HZMBPMFUSA-N 0 3 307.331 4.462 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN1CCC=C(C)C1 ZINC000437356495 338307739 /nfs/dbraw/zinc/30/77/39/338307739.db2.gz XTCIAIPJVSCGHS-UHFFFAOYSA-N 0 3 309.457 4.009 20 0 DIADHN Fc1ccccc1CN(CC[C@@H]1CCOC1)Cc1ccccc1 ZINC000437575917 338314018 /nfs/dbraw/zinc/31/40/18/338314018.db2.gz HELGDPHEUCGYEY-GOSISDBHSA-N 0 3 313.416 4.255 20 0 DIADHN Brc1cccc([C@H]2CCCN2CC[C@@H]2CCOC2)c1 ZINC000437576227 338314195 /nfs/dbraw/zinc/31/41/95/338314195.db2.gz BTIABKPZJWSDOU-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)CC3(C)C)co2)cc1 ZINC000437585296 338314838 /nfs/dbraw/zinc/31/48/38/338314838.db2.gz VJJCGTDZYGNCTB-AWEZNQCLSA-N 0 3 314.429 4.361 20 0 DIADHN c1csc(CN(CC[C@@H]2CCOC2)Cc2cccs2)c1 ZINC000437589969 338315161 /nfs/dbraw/zinc/31/51/61/338315161.db2.gz LMGNZWASSNGCTJ-CQSZACIVSA-N 0 3 307.484 4.238 20 0 DIADHN Cc1ccc([C@H](NCCC(=O)OC(C)C)c2ccccc2)cc1 ZINC000437644242 338317109 /nfs/dbraw/zinc/31/71/09/338317109.db2.gz FHSRXQVBCXIHII-HXUWFJFHSA-N 0 3 311.425 4.016 20 0 DIADHN C[C@@H](CN(Cc1ccco1)C[C@H]1CCCO1)c1ccc(F)cc1 ZINC000437687263 338318399 /nfs/dbraw/zinc/31/83/99/338318399.db2.gz KBOYMSFXCKDHOJ-HNAYVOBHSA-N 0 3 317.404 4.203 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437792508 338321794 /nfs/dbraw/zinc/32/17/94/338321794.db2.gz APLWNNLUQGKBOZ-UYAOXDASSA-N 0 3 311.473 4.139 20 0 DIADHN C[C@@H](CNCc1nc2ccccc2n1C1CC1)c1ccc(F)cc1 ZINC000437988993 338327430 /nfs/dbraw/zinc/32/74/30/338327430.db2.gz RUKWBXLYRYGWBZ-AWEZNQCLSA-N 0 3 323.415 4.404 20 0 DIADHN Cc1ccc2cc(CNCCO[C@@H]3CCCC[C@@H]3C)ccc2n1 ZINC000438088243 338330611 /nfs/dbraw/zinc/33/06/11/338330611.db2.gz ONEKMOPLWJAGPL-MGPUTAFESA-N 0 3 312.457 4.228 20 0 DIADHN CCCCOC1CCN(Cc2ccc3nc(C)ccc3c2)CC1 ZINC000438108128 338331959 /nfs/dbraw/zinc/33/19/59/338331959.db2.gz XGJRLBBVWXZCBC-UHFFFAOYSA-N 0 3 312.457 4.324 20 0 DIADHN CCCC[C@@H](CC)CCN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000438108179 338332002 /nfs/dbraw/zinc/33/20/02/338332002.db2.gz XSJOOGKTXKGQHK-IIBYNOLFSA-N 0 3 309.519 4.462 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC[C@H]3CCCC[C@H]32)cs1 ZINC000438124248 338333348 /nfs/dbraw/zinc/33/33/48/338333348.db2.gz ZWOAXZXDEVEEQJ-CZUORRHYSA-N 0 3 301.459 4.293 20 0 DIADHN CN(C)Cc1cccc(NCCCCCOc2ccccc2)c1 ZINC000438124438 338333647 /nfs/dbraw/zinc/33/36/47/338333647.db2.gz SXHDFXMKHZBUPV-UHFFFAOYSA-N 0 3 312.457 4.409 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@@](C)(c3ccccc3)C2)s1 ZINC000438174568 338334850 /nfs/dbraw/zinc/33/48/50/338334850.db2.gz HPGMHOHZGXALGW-CRAIPNDOSA-N 0 3 300.471 4.306 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](Cc2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438193975 338336075 /nfs/dbraw/zinc/33/60/75/338336075.db2.gz VRJRBUUJPVZZIA-ILZDJORESA-N 0 3 322.452 4.015 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](Cc2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438193976 338336078 /nfs/dbraw/zinc/33/60/78/338336078.db2.gz VRJRBUUJPVZZIA-QRFRQXIXSA-N 0 3 322.452 4.015 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1nc(C(C)(C)C)cs1 ZINC000438220418 338337160 /nfs/dbraw/zinc/33/71/60/338337160.db2.gz SMQBTUNLMKNOCE-GFCCVEGCSA-N 0 3 306.450 4.301 20 0 DIADHN Clc1cccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)c1 ZINC000438231522 338337553 /nfs/dbraw/zinc/33/75/53/338337553.db2.gz WTJDVMTYFPADQI-OAHLLOKOSA-N 0 3 317.820 4.470 20 0 DIADHN CC(C)OC1CC(N[C@@H](C)c2ccc(Br)s2)C1 ZINC000438329135 338339820 /nfs/dbraw/zinc/33/98/20/338339820.db2.gz VCSGUIHZDXFJFV-WHXUTIOJSA-N 0 3 318.280 4.117 20 0 DIADHN CC[C@H]1CCN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000438478882 338345349 /nfs/dbraw/zinc/34/53/49/338345349.db2.gz AJAMNDGRMLIPEO-INIZCTEOSA-N 0 3 308.425 4.171 20 0 DIADHN CC(C)Cc1ncc(CN[C@H](CC(F)(F)F)C(C)C)s1 ZINC000438483905 338345581 /nfs/dbraw/zinc/34/55/81/338345581.db2.gz GHJLXQLYZQMWOC-GFCCVEGCSA-N 0 3 308.413 4.408 20 0 DIADHN COc1ccnc(CN(C2CC2)[C@@H]2CCCc3ccccc32)c1 ZINC000438730999 338351366 /nfs/dbraw/zinc/35/13/66/338351366.db2.gz JOBURFNVXUMMEW-HXUWFJFHSA-N 0 3 308.425 4.132 20 0 DIADHN c1cc(CN2CCCCC2)cc(-c2noc(CC3CCC3)n2)c1 ZINC000438751343 338351710 /nfs/dbraw/zinc/35/17/10/338351710.db2.gz DGKWRKGVXZRXCG-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2C[C@H]3OCCC[C@H]23)o1 ZINC000438906997 338355566 /nfs/dbraw/zinc/35/55/66/338355566.db2.gz JDHJWUFBLIWUGP-SZVBFZGTSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C(C)(C)C(C)C ZINC000438959040 338357105 /nfs/dbraw/zinc/35/71/05/338357105.db2.gz WRBITJZAKHXANB-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@H](O)CCN([C@@H](C)c1cccc(C(F)(F)F)c1)C1CC1 ZINC000438982403 338357643 /nfs/dbraw/zinc/35/76/43/338357643.db2.gz DLMIPTGGHRKGPN-RYUDHWBXSA-N 0 3 301.352 4.002 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2Cc2cccc(F)c2F)C1 ZINC000439006187 338358262 /nfs/dbraw/zinc/35/82/62/338358262.db2.gz SWFORFHKPYUPPX-SIUPPRGNSA-N 0 3 323.427 4.116 20 0 DIADHN CC(C(=O)Nc1cccc(NC2CCCC2)c1)C(F)(F)F ZINC000439250190 338364955 /nfs/dbraw/zinc/36/49/55/338364955.db2.gz SUEQTHPGFMDQIQ-JTQLQIEISA-N 0 3 300.324 4.178 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC([C@H]3CCCO3)CC1)CC2 ZINC000439294760 338366353 /nfs/dbraw/zinc/36/63/53/338366353.db2.gz MDORYCJWRKKMTB-QZTJIDSGSA-N 0 3 305.849 4.218 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC([C@@H]3CCCO3)CC1)CC2 ZINC000439294758 338366391 /nfs/dbraw/zinc/36/63/91/338366391.db2.gz MDORYCJWRKKMTB-MSOLQXFVSA-N 0 3 305.849 4.218 20 0 DIADHN CC(C)COc1ccccc1CN1CCC([C@H]2CCCO2)CC1 ZINC000439299291 338366474 /nfs/dbraw/zinc/36/64/74/338366474.db2.gz WPCWKSVKKNQDBT-LJQANCHMSA-N 0 3 317.473 4.112 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(CCc2cccnc2)CC1 ZINC000439313436 338366752 /nfs/dbraw/zinc/36/67/52/338366752.db2.gz CZKKSQKMGVVFEK-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN COCC[C@H](c1ccccc1)N1CCC[C@H](C(F)(F)F)C1 ZINC000439322074 338367093 /nfs/dbraw/zinc/36/70/93/338367093.db2.gz GHDQWKWYQIYZEK-LSDHHAIUSA-N 0 3 301.352 4.039 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNCCn3ccnc3)o2)cc1 ZINC000439482896 338371194 /nfs/dbraw/zinc/37/11/94/338371194.db2.gz ZIHWYLBRAKIYPN-UHFFFAOYSA-N 0 3 323.440 4.230 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2ccc(C)cc2Cl)c1 ZINC000439568146 338373283 /nfs/dbraw/zinc/37/32/83/338373283.db2.gz IIPJKXPWNUSRDE-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CC(C(=O)Nc1cnccc1-c1cccc(F)c1)C(F)(F)F ZINC000439673574 338375794 /nfs/dbraw/zinc/37/57/94/338375794.db2.gz NPYPIECOIRLVCS-VIFPVBQESA-N 0 3 312.266 4.025 20 0 DIADHN CC(C)CC(C)(C)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000439701613 338376182 /nfs/dbraw/zinc/37/61/82/338376182.db2.gz LAFFVYRSCFKQPY-OAHLLOKOSA-N 0 3 322.518 4.074 20 0 DIADHN CCSc1cccc(CN2CCC([C@H]3CCCO3)CC2)c1 ZINC000439780198 338377808 /nfs/dbraw/zinc/37/78/08/338377808.db2.gz JPOMRKCSHQJPIE-GOSISDBHSA-N 0 3 305.487 4.190 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC([C@H]4CCCO4)CC3)cc2c1C ZINC000439782107 338377862 /nfs/dbraw/zinc/37/78/62/338377862.db2.gz POWIRZLVALRJLO-HXUWFJFHSA-N 0 3 312.457 4.176 20 0 DIADHN CCSCc1cccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC000439813208 338378998 /nfs/dbraw/zinc/37/89/98/338378998.db2.gz YRGHBISAIAFTQL-QLFBSQMISA-N 0 3 320.502 4.140 20 0 DIADHN CC[C@H](F)CNC1(c2cccc(C(F)(F)F)c2)CCOCC1 ZINC000440504416 338388704 /nfs/dbraw/zinc/38/87/04/338388704.db2.gz WQANRRWBMRCUQP-AWEZNQCLSA-N 0 3 319.342 4.049 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccccc1N(C)C ZINC000440613745 338392338 /nfs/dbraw/zinc/39/23/38/338392338.db2.gz RAPWXAZHVLVTBS-AWEZNQCLSA-N 0 3 300.471 4.325 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccccc2SC)cc1 ZINC000440612553 338392365 /nfs/dbraw/zinc/39/23/65/338392365.db2.gz LFSYPFYPWMULCY-ZDUSSCGKSA-N 0 3 315.438 4.046 20 0 DIADHN COc1ccnc(CN[C@@H]2CC(C)(C)CCc3ccccc32)c1 ZINC000440646539 338394093 /nfs/dbraw/zinc/39/40/93/338394093.db2.gz MWIMFQZXSXKUMY-LJQANCHMSA-N 0 3 310.441 4.284 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cc(C(F)(F)F)n[nH]1 ZINC000440647200 338394206 /nfs/dbraw/zinc/39/42/06/338394206.db2.gz NQOKGPGEEKOMSR-MEDUHNTESA-N 0 3 323.362 4.232 20 0 DIADHN CC[C@H](F)CN[C@H](C)c1cccc(OCc2ccccn2)c1 ZINC000440785437 338397310 /nfs/dbraw/zinc/39/73/10/338397310.db2.gz QKZCQBLPLFDWNC-ZBFHGGJFSA-N 0 3 302.393 4.059 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H](c2ccccc2)C2CCCC2)[nH]n1 ZINC000440796304 338397738 /nfs/dbraw/zinc/39/77/38/338397738.db2.gz CDGPCLBZIZCDSI-INIZCTEOSA-N 0 3 323.362 4.450 20 0 DIADHN COC(=O)CC1(CN(C)C2c3ccccc3-c3ccccc32)CC1 ZINC000440796628 338397762 /nfs/dbraw/zinc/39/77/62/338397762.db2.gz LOQWGRGLWMJXQN-UHFFFAOYSA-N 0 3 321.420 4.032 20 0 DIADHN CCC(CC)CNCc1nc(COC)sc1-c1ccccc1 ZINC000441383197 338411215 /nfs/dbraw/zinc/41/12/15/338411215.db2.gz VZWWYKJXAGUHLY-UHFFFAOYSA-N 0 3 318.486 4.482 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H]2CC(C)(C)CCc3ccccc32)n1 ZINC000441382678 338411319 /nfs/dbraw/zinc/41/13/19/338411319.db2.gz UULYMMQREZMAED-KRWDZBQOSA-N 0 3 310.441 4.289 20 0 DIADHN COc1ccc(OCCN[C@H](C)c2ccccc2SC)cc1 ZINC000442636889 338426402 /nfs/dbraw/zinc/42/64/02/338426402.db2.gz NTATZEMKPMAGFZ-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN C[C@@H](N[C@@H]1Cc2[nH]c3ccccc3c2C1)c1c(F)cccc1F ZINC000442717190 338428912 /nfs/dbraw/zinc/42/89/12/338428912.db2.gz OYBFXPRZNOVAGO-NEPJUHHUSA-N 0 3 312.363 4.264 20 0 DIADHN COCCC[C@H](NCCOC1CCCCC1)c1ccc(F)cc1 ZINC000442781257 338430819 /nfs/dbraw/zinc/43/08/19/338430819.db2.gz OFUHKZXFXVSVPH-IBGZPJMESA-N 0 3 323.452 4.232 20 0 DIADHN CSc1ccccc1[C@@H](C)NCCOCc1ccccc1 ZINC000442799744 338432306 /nfs/dbraw/zinc/43/23/06/338432306.db2.gz ZRLVDNDCPZRSOM-OAHLLOKOSA-N 0 3 301.455 4.276 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@H]3CCCC[C@@H]3OC)oc21 ZINC000442801127 338432452 /nfs/dbraw/zinc/43/24/52/338432452.db2.gz OIAPPGQXVSQMIU-SNPRPXQTSA-N 0 3 303.402 4.050 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CN[C@@H](C)c1ccnn1C ZINC000442858541 338435285 /nfs/dbraw/zinc/43/52/85/338435285.db2.gz SCOXDQNOBFEBPY-NSHDSACASA-N 0 3 319.861 4.447 20 0 DIADHN C[C@@H](CCC1CCCC1)NCc1ccncc1OCC(F)F ZINC000442868427 338436480 /nfs/dbraw/zinc/43/64/80/338436480.db2.gz NUFZSRHWPXIGAA-ZDUSSCGKSA-N 0 3 312.404 4.174 20 0 DIADHN COC[C@@H](N[C@@H]1CCSc2c(F)cccc21)C1CCCC1 ZINC000446748086 338534635 /nfs/dbraw/zinc/53/46/35/338534635.db2.gz KWNICRGXHDCFLJ-HZPDHXFCSA-N 0 3 309.450 4.157 20 0 DIADHN Fc1cccc(Cl)c1CCCNCc1ccc(Cl)cn1 ZINC000446808460 338536310 /nfs/dbraw/zinc/53/63/10/338536310.db2.gz BYQDLCHDCTYYDL-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000446885788 338539513 /nfs/dbraw/zinc/53/95/13/338539513.db2.gz YNPZZDOKSDLEEM-KBXCAEBGSA-N 0 3 311.404 4.211 20 0 DIADHN CC(C)[C@@H](COCc1ccccc1)NCc1ccc(Cl)cn1 ZINC000446927871 338540751 /nfs/dbraw/zinc/54/07/51/338540751.db2.gz CUGQYZZDAFTJJU-GOSISDBHSA-N 0 3 318.848 4.066 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccc(F)cc1)c1cccc(F)c1 ZINC000446932772 338541132 /nfs/dbraw/zinc/54/11/32/338541132.db2.gz JJIRUQVWFGRWFU-ZWKOTPCHSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCc2c1c(F)ccc2F)c1ccccc1 ZINC000447039945 338543474 /nfs/dbraw/zinc/54/34/74/338543474.db2.gz QPRFDTOBZJIGHO-MSOLQXFVSA-N 0 3 317.379 4.320 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(F)cc1F)c1ccccc1 ZINC000447052810 338543665 /nfs/dbraw/zinc/54/36/65/338543665.db2.gz VPYCIJBIXIQLAI-SCLBCKFNSA-N 0 3 305.368 4.393 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)c1 ZINC000447544157 338555882 /nfs/dbraw/zinc/55/58/82/338555882.db2.gz NWACRGJTNFULKE-WOJBJXKFSA-N 0 3 322.452 4.020 20 0 DIADHN C[C@H](NC1(c2c(Cl)cccc2Cl)CCC1)[C@H]1CCOC1 ZINC000447578958 338558659 /nfs/dbraw/zinc/55/86/59/338558659.db2.gz LXALDBLHZRGOQC-RYUDHWBXSA-N 0 3 314.256 4.387 20 0 DIADHN CO[C@H](CN[C@@H]1CCCc2occc21)c1cccc(Cl)c1 ZINC000447579969 338558806 /nfs/dbraw/zinc/55/88/06/338558806.db2.gz MSEHBKSKXQMQOM-NVXWUHKLSA-N 0 3 305.805 4.288 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000447596286 338560948 /nfs/dbraw/zinc/56/09/48/338560948.db2.gz CEAJLMQZXNBKOI-GVDBMIGSSA-N 0 3 313.441 4.340 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCCOCC(F)(F)C(F)F ZINC000447598594 338561200 /nfs/dbraw/zinc/56/12/00/338561200.db2.gz VVNVESJNPSEVLC-DGCLKSJQSA-N 0 3 319.342 4.050 20 0 DIADHN C[C@@H](CNCc1ncc(C(C)(C)C)s1)Cc1cccs1 ZINC000447945198 338572392 /nfs/dbraw/zinc/57/23/92/338572392.db2.gz VPBGBUTWGDYNCG-GFCCVEGCSA-N 0 3 308.516 4.471 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)NCc1ncc(C(C)(C)C)s1 ZINC000447944723 338572549 /nfs/dbraw/zinc/57/25/49/338572549.db2.gz SNXFIRYMWLEUKT-CYBMUJFWSA-N 0 3 318.486 4.257 20 0 DIADHN c1c2cccnc2oc1CN1CCC(OC2CCCCC2)CC1 ZINC000449018664 338583999 /nfs/dbraw/zinc/58/39/99/338583999.db2.gz OPIKEGHPIDOVAE-UHFFFAOYSA-N 0 3 314.429 4.142 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3ccoc32)cc1OC ZINC000449087604 338592196 /nfs/dbraw/zinc/59/21/96/338592196.db2.gz GNOXRRNEFSQTFW-ZDUSSCGKSA-N 0 3 311.381 4.301 20 0 DIADHN CC[C@H](CNCc1cc2cccnc2o1)Oc1cccc(C)c1 ZINC000449089570 338592339 /nfs/dbraw/zinc/59/23/39/338592339.db2.gz KMFUGCJBEIADDR-MRXNPFEDSA-N 0 3 310.397 4.083 20 0 DIADHN Cc1cnc(CNCCCCOc2ccc(Cl)cc2)s1 ZINC000449102317 338593758 /nfs/dbraw/zinc/59/37/58/338593758.db2.gz XYPPTYHHNYKHKW-UHFFFAOYSA-N 0 3 310.850 4.054 20 0 DIADHN FC(F)(F)Cn1ccnc1CN[C@@H]1CCCC12CCCCC2 ZINC000449116906 338594741 /nfs/dbraw/zinc/59/47/41/338594741.db2.gz MTLWTJAVLAZPRM-CYBMUJFWSA-N 0 3 315.383 4.038 20 0 DIADHN Cc1ccc(C[C@H](N[C@@H]2CSC[C@@H]2C)c2ccccn2)cc1 ZINC000449147516 338596962 /nfs/dbraw/zinc/59/69/62/338596962.db2.gz HIDTZDFBLHXEMR-ZYSHUDEJSA-N 0 3 312.482 4.015 20 0 DIADHN Cc1ccc(C[C@H](N[C@@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449151377 338597192 /nfs/dbraw/zinc/59/71/92/338597192.db2.gz XISUHCSCBDBFNO-UWJYYQICSA-N 0 3 320.436 4.488 20 0 DIADHN Cc1nccn1CCCCNCc1cc(Cl)sc1Cl ZINC000449162708 338598235 /nfs/dbraw/zinc/59/82/35/338598235.db2.gz KCRUCGCHNZNINL-UHFFFAOYSA-N 0 3 318.273 4.130 20 0 DIADHN CCc1ccccc1CNCc1cn(C)nc1-c1ccc(F)cc1 ZINC000449165390 338598471 /nfs/dbraw/zinc/59/84/71/338598471.db2.gz VTUWQEZHCGHZAL-UHFFFAOYSA-N 0 3 323.415 4.078 20 0 DIADHN CCc1cnc(CN[C@H](C)Cc2coc3ccccc23)s1 ZINC000449178261 338599793 /nfs/dbraw/zinc/59/97/93/338599793.db2.gz XJKLMFBPCJDCSH-GFCCVEGCSA-N 0 3 300.427 4.173 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2)s1)C1CCCC1 ZINC000449191835 338601089 /nfs/dbraw/zinc/60/10/89/338601089.db2.gz TUDZBAIRHUKPKN-OAHLLOKOSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1cccc([C@@H](N[C@@H](C)Cc2ccoc2)c2ccccn2)c1 ZINC000449201151 338601977 /nfs/dbraw/zinc/60/19/77/338601977.db2.gz DERSSYGGGWTGFC-OXJNMPFZSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1cc(CN[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)cs1 ZINC000449206087 338602456 /nfs/dbraw/zinc/60/24/56/338602456.db2.gz PGPCPDVOFMAVAH-HZPDHXFCSA-N 0 3 307.846 4.330 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1C1CCCC1)[C@@H](C)C2 ZINC000449233711 338604844 /nfs/dbraw/zinc/60/48/44/338604844.db2.gz ZVAAJCUUNXJHKH-MGPUTAFESA-N 0 3 309.457 4.330 20 0 DIADHN C[C@@H](NC1CC1)C(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000449245611 338606262 /nfs/dbraw/zinc/60/62/62/338606262.db2.gz ATNLESHGGINUNI-CABCVRRESA-N 0 3 322.452 4.032 20 0 DIADHN Cc1cccc(OCCCNCc2cc3cccnc3o2)c1C ZINC000449290780 338609872 /nfs/dbraw/zinc/60/98/72/338609872.db2.gz YQKAKBKJGIFQBB-UHFFFAOYSA-N 0 3 310.397 4.003 20 0 DIADHN Cc1cnccc1OC1CCN(Cc2cccc3ccoc32)CC1 ZINC000449290624 338609922 /nfs/dbraw/zinc/60/99/22/338609922.db2.gz XWWGKSRUXHPDIW-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN COCC1CCC(N[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000449293182 338610088 /nfs/dbraw/zinc/61/00/88/338610088.db2.gz ULQHQXFUPJLVAF-WHXUTIOJSA-N 0 3 322.396 4.018 20 0 DIADHN Cc1ccc([C@@H](N[C@@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449292558 338610136 /nfs/dbraw/zinc/61/01/36/338610136.db2.gz DJMPNLWHJGGJSG-OXJNMPFZSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1cnc(CNC2CC(c3ccc(F)c(Cl)c3)C2)s1 ZINC000449303072 338611019 /nfs/dbraw/zinc/61/10/19/338611019.db2.gz LUCXHQLRPYFQLZ-UHFFFAOYSA-N 0 3 310.825 4.280 20 0 DIADHN COc1cc(CNCC2(C(F)(F)F)CC2)cc2ccccc21 ZINC000449323503 338612940 /nfs/dbraw/zinc/61/29/40/338612940.db2.gz VTBZMDGLTCFQBX-UHFFFAOYSA-N 0 3 309.331 4.281 20 0 DIADHN COCc1ccc(CN[C@@H]2CCCc3c(F)cc(F)cc32)cc1 ZINC000449351426 338616614 /nfs/dbraw/zinc/61/66/14/338616614.db2.gz PFYKULHOUAOVTF-LJQANCHMSA-N 0 3 317.379 4.278 20 0 DIADHN COc1ccc([C@@H](C)NCCC(C)(F)F)c(Br)c1 ZINC000449353598 338616916 /nfs/dbraw/zinc/61/69/16/338616916.db2.gz DGWHUXFNZDMMKU-SECBINFHSA-N 0 3 322.193 4.154 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(F)cccc32)cc1F ZINC000449353164 338616992 /nfs/dbraw/zinc/61/69/92/338616992.db2.gz YQOVWSPXMQXOHY-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC[C@H]1CCCCC1(F)F ZINC000449376061 338619167 /nfs/dbraw/zinc/61/91/67/338619167.db2.gz KGAIQQKQSQRHHJ-CQSZACIVSA-N 0 3 313.436 4.170 20 0 DIADHN Cc1sc(C(C)(C)NCC2CC2)nc1-c1ccccc1F ZINC000449378436 338619259 /nfs/dbraw/zinc/61/92/59/338619259.db2.gz DSZXFFBDHXEEQV-UHFFFAOYSA-N 0 3 304.434 4.492 20 0 DIADHN CC1(F)CC(NCc2cnc(-c3c(F)cccc3F)s2)C1 ZINC000449377814 338619356 /nfs/dbraw/zinc/61/93/56/338619356.db2.gz UTWSYCUJKUQJPV-UHFFFAOYSA-N 0 3 312.360 4.069 20 0 DIADHN Cc1ccc(-c2ccc(CNC3(CF)CCOCC3)s2)cc1 ZINC000449445304 338624793 /nfs/dbraw/zinc/62/47/93/338624793.db2.gz HWXRCLOAKZAFGT-UHFFFAOYSA-N 0 3 319.445 4.332 20 0 DIADHN CC(C)=CCC[C@H](C)CCNC(C)(C)c1nnc2n1CCCC2 ZINC000449456101 338626120 /nfs/dbraw/zinc/62/61/20/338626120.db2.gz BWMDBOFNXWVOIQ-INIZCTEOSA-N 0 3 318.509 4.212 20 0 DIADHN CO[C@](C)(CNCc1cc(F)cc2cccnc21)c1ccccc1 ZINC000449483704 338629614 /nfs/dbraw/zinc/62/96/14/338629614.db2.gz JXSDXTPVJIDVJS-HXUWFJFHSA-N 0 3 324.399 4.025 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1cnc(-c2ccccc2)s1 ZINC000449491031 338630430 /nfs/dbraw/zinc/63/04/30/338630430.db2.gz DVRDQEAAXWGQKY-ZDUSSCGKSA-N 0 3 308.397 4.335 20 0 DIADHN Cc1ccncc1CN[C@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000449526892 338634518 /nfs/dbraw/zinc/63/45/18/338634518.db2.gz YHKNHYRJRMCYSX-UONOGXRCSA-N 0 3 311.454 4.282 20 0 DIADHN C[C@H]1C[C@H](NCC(c2ccccc2)c2ccccc2)c2nccn21 ZINC000449570153 338638615 /nfs/dbraw/zinc/63/86/15/338638615.db2.gz JCHOXTIOOXOKOW-JXFKEZNVSA-N 0 3 317.436 4.311 20 0 DIADHN C[C@@H]1C[C@@H](NC2CC(c3ccccc3Cl)C2)c2nccn21 ZINC000449572811 338638955 /nfs/dbraw/zinc/63/89/55/338638955.db2.gz OEFVLAHKQQOGQT-NEQJSVFRSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)C1CCC(C(F)(F)F)CC1 ZINC000449574655 338639315 /nfs/dbraw/zinc/63/93/15/338639315.db2.gz VLBTYAYGHHNGGS-HRDMENRUSA-N 0 3 315.383 4.236 20 0 DIADHN CCc1ncc(CNC2CCC(c3ccc(OC)cc3)CC2)o1 ZINC000449607846 338640583 /nfs/dbraw/zinc/64/05/83/338640583.db2.gz CNVSHZDEFBNLAY-UHFFFAOYSA-N 0 3 314.429 4.062 20 0 DIADHN CN1CCC[C@@H](NCc2cccc3cc[nH]c32)c2ccccc21 ZINC000449646254 338644046 /nfs/dbraw/zinc/64/40/46/338644046.db2.gz BCEQDOAKKVWWDF-GOSISDBHSA-N 0 3 305.425 4.229 20 0 DIADHN CN1CCC[C@@H](NCc2ccc(F)c(F)c2)c2ccccc21 ZINC000449647530 338644189 /nfs/dbraw/zinc/64/41/89/338644189.db2.gz DSNLSCWJZGOKLX-QGZVFWFLSA-N 0 3 302.368 4.026 20 0 DIADHN CN1CCC[C@@H](NCc2cccc3cccnc32)c2ccccc21 ZINC000449653850 338644662 /nfs/dbraw/zinc/64/46/62/338644662.db2.gz UCEHZOYATBKXIZ-LJQANCHMSA-N 0 3 317.436 4.296 20 0 DIADHN CCCCCOc1ccc(C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449666837 338645062 /nfs/dbraw/zinc/64/50/62/338645062.db2.gz QGDGWJNAARYEJZ-UHFFFAOYSA-N 0 3 324.424 4.111 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1cnc(C2CC2)o1 ZINC000449708334 338647579 /nfs/dbraw/zinc/64/75/79/338647579.db2.gz UTGXDGLACLZYIH-RYUDHWBXSA-N 0 3 304.821 4.487 20 0 DIADHN C[C@@H](NCc1cnc(C2CC2)o1)[C@H](C)c1ccc(Cl)cc1 ZINC000449708333 338647584 /nfs/dbraw/zinc/64/75/84/338647584.db2.gz UTGXDGLACLZYIH-NWDGAFQWSA-N 0 3 304.821 4.487 20 0 DIADHN COc1cccnc1CN[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000449747591 338649824 /nfs/dbraw/zinc/64/98/24/338649824.db2.gz JNEHEXCGHWWCSK-QWHCGFSZSA-N 0 3 304.821 4.025 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](c2cccs2)C2(CO)CCC2)c1 ZINC000449770571 338651528 /nfs/dbraw/zinc/65/15/28/338651528.db2.gz WZPKKAVBWRMSDN-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CC[C@H](C)[C@H](C)C2)c(CN(C)C)c1 ZINC000449859730 338655980 /nfs/dbraw/zinc/65/59/80/338655980.db2.gz HQYMZSIXZGAQPZ-ARFHVFGLSA-N 0 3 302.462 4.067 20 0 DIADHN c1ccc([C@H](NCc2ccccc2OC2CC2)[C@H]2CCOC2)cc1 ZINC000450677378 338698225 /nfs/dbraw/zinc/69/82/25/338698225.db2.gz YYEMMOZQOFGAMQ-RXVVDRJESA-N 0 3 323.436 4.095 20 0 DIADHN Cc1ccc2nccc(N3CCCC[C@@H]3[C@@H]3CCC[C@H]3O)c2c1 ZINC000450687745 338699124 /nfs/dbraw/zinc/69/91/24/338699124.db2.gz VRQUVHCSRCCKBV-QKYXUNIQSA-N 0 3 310.441 4.063 20 0 DIADHN Cc1cnc([C@H](NCCc2ccc(Cl)cc2)C2CC2)s1 ZINC000450725254 338701744 /nfs/dbraw/zinc/70/17/44/338701744.db2.gz IGJXJUVOHNUULY-OAHLLOKOSA-N 0 3 306.862 4.388 20 0 DIADHN C[C@@H](NC[C@H]1CCCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450763010 338704361 /nfs/dbraw/zinc/70/43/61/338704361.db2.gz OUMVQVSKVDMZBP-VXGBXAGGSA-N 0 3 314.445 4.498 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1ccn(CC(F)(F)F)n1 ZINC000450822864 338707067 /nfs/dbraw/zinc/70/70/67/338707067.db2.gz UFSBYXUJNHQLJM-UKRRQHHQSA-N 0 3 317.399 4.140 20 0 DIADHN FC1(F)CC[C@@H](CN2CCC(c3ncccc3Cl)CC2)C1 ZINC000450854010 338708583 /nfs/dbraw/zinc/70/85/83/338708583.db2.gz JHUVEEXDRMNBRY-GFCCVEGCSA-N 0 3 314.807 4.350 20 0 DIADHN FC1(c2cccnc2)CCN(CC/C=C\c2ccccc2)CC1 ZINC000450933978 338713166 /nfs/dbraw/zinc/71/31/66/338713166.db2.gz QMAYZCBGTJIRFJ-WTKPLQERSA-N 0 3 310.416 4.446 20 0 DIADHN FC1(c2ccccn2)CCN(CCc2ccccc2Cl)CC1 ZINC000450934957 338713328 /nfs/dbraw/zinc/71/33/28/338713328.db2.gz XQSVYHGHBBEXGV-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](C)c1cccc(C2CC2)c1 ZINC000451111935 338721481 /nfs/dbraw/zinc/72/14/81/338721481.db2.gz HZRBAPCCVWTMAH-HNNXBMFYSA-N 0 3 308.425 4.162 20 0 DIADHN COc1ncc(CN[C@@H](C)c2cccc(C3CC3)c2)cc1Cl ZINC000451116750 338721898 /nfs/dbraw/zinc/72/18/98/338721898.db2.gz RRDSTYMLWOFPQN-LBPRGKRZSA-N 0 3 316.832 4.472 20 0 DIADHN CCC1(NCc2coc(-c3ccc(OC)cc3)n2)CCCC1 ZINC000451272786 338727792 /nfs/dbraw/zinc/72/77/92/338727792.db2.gz GZMBVCSXJOCUDD-UHFFFAOYSA-N 0 3 300.402 4.163 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC34CCCC4)co2)cc1 ZINC000451471081 338735834 /nfs/dbraw/zinc/73/58/34/338735834.db2.gz ORAPOAWAJAMCLO-KRWDZBQOSA-N 0 3 312.413 4.163 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCN(C)c3ccccc32)c1 ZINC000451868450 338746704 /nfs/dbraw/zinc/74/67/04/338746704.db2.gz LZKUMPYXDCVXHI-DNVCBOLYSA-N 0 3 310.441 4.317 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H](C)Cc1c(C)noc1C ZINC000194896395 338775663 /nfs/dbraw/zinc/77/56/63/338775663.db2.gz LAYXVUHXTKMJSI-RYUDHWBXSA-N 0 3 304.459 4.295 20 0 DIADHN CO[C@H](CN[C@H](C)c1cccc(O)c1)c1cccc(Cl)c1 ZINC000194928681 338781098 /nfs/dbraw/zinc/78/10/98/338781098.db2.gz KLVCISMMYFFSGC-SJKOYZFVSA-N 0 3 305.805 4.084 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@@H](C)c3ccsc32)c(OC)c1 ZINC000453061396 338788984 /nfs/dbraw/zinc/78/89/84/338788984.db2.gz OAIXVWCXVXXVFY-MLGOLLRUSA-N 0 3 317.454 4.494 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccncn1 ZINC000453081676 338791444 /nfs/dbraw/zinc/79/14/44/338791444.db2.gz CGXZHNLXFSUYMC-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN CC[C@@H](NCc1ccc(F)cc1CSC)c1ccncc1 ZINC000453120126 338796122 /nfs/dbraw/zinc/79/61/22/338796122.db2.gz CJZVJXYVROQCCP-QGZVFWFLSA-N 0 3 304.434 4.325 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000453124860 338796686 /nfs/dbraw/zinc/79/66/86/338796686.db2.gz IQGMRCXXPZKRRE-DNVCBOLYSA-N 0 3 312.413 4.094 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)Cc2cccc(Cl)c2)c2nccn21 ZINC000453131520 338797685 /nfs/dbraw/zinc/79/76/85/338797685.db2.gz PHHXGUZGETVSNI-XJKSGUPXSA-N 0 3 317.864 4.401 20 0 DIADHN Cc1sc(CN[C@@H](C)CCCc2cccnc2)nc1C(C)C ZINC000453134355 338798043 /nfs/dbraw/zinc/79/80/43/338798043.db2.gz ZQETXCOODNLGQG-AWEZNQCLSA-N 0 3 317.502 4.471 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccccc1Cl)c1ccncc1 ZINC000453157040 338800760 /nfs/dbraw/zinc/80/07/60/338800760.db2.gz XNLJCCDUJSVMQP-XJKSGUPXSA-N 0 3 304.821 4.243 20 0 DIADHN CC[C@H](NCc1ccccc1-n1ccc(C)n1)c1ccsc1 ZINC000453162577 338801323 /nfs/dbraw/zinc/80/13/23/338801323.db2.gz OZBFCOJCWLQWMI-KRWDZBQOSA-N 0 3 311.454 4.483 20 0 DIADHN c1ccc(-c2cnc(CN[C@H](C3CC3)C3CCCC3)nc2)cc1 ZINC000453190514 338805110 /nfs/dbraw/zinc/80/51/10/338805110.db2.gz CWMSRISVUOLYLP-FQEVSTJZSA-N 0 3 307.441 4.202 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H](C)c1cc(-c2ccccc2)nn1C ZINC000453190796 338805221 /nfs/dbraw/zinc/80/52/21/338805221.db2.gz DCLGTQXRTMOJPW-NEPJUHHUSA-N 0 3 311.351 4.079 20 0 DIADHN CSCCCCCN[C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453206347 338807734 /nfs/dbraw/zinc/80/77/34/338807734.db2.gz ULKPUUVDVHSCKG-HNNXBMFYSA-N 0 3 317.502 4.271 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000453206966 338807800 /nfs/dbraw/zinc/80/78/00/338807800.db2.gz FTNLWUNOGUVZCO-XNRPHZJLSA-N 0 3 303.837 4.153 20 0 DIADHN C[C@H]1C[C@@H](N[C@H]2C[C@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453206463 338807896 /nfs/dbraw/zinc/80/78/96/338807896.db2.gz FAEIKNVOFUGJIP-DLKVLKDVSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(C(F)(F)F)cc1)c1ccncn1 ZINC000453213999 338808880 /nfs/dbraw/zinc/80/88/80/338808880.db2.gz HOEAXOKTULTCMA-GFCCVEGCSA-N 0 3 323.362 4.124 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccnc(OC(F)F)c2)c2sccc21 ZINC000453218706 338809463 /nfs/dbraw/zinc/80/94/63/338809463.db2.gz VVRYMACSLLSMNO-GXFFZTMASA-N 0 3 324.396 4.473 20 0 DIADHN C[C@@H](NCCC(C)(F)F)c1ccc(Br)c(F)c1 ZINC000453222817 338810104 /nfs/dbraw/zinc/81/01/04/338810104.db2.gz RKJXKWPWVXIWBZ-MRVPVSSYSA-N 0 3 310.157 4.284 20 0 DIADHN C[C@@H](NCCCC(C)(F)F)c1ncc(-c2ccccc2)n1C ZINC000453239702 338812529 /nfs/dbraw/zinc/81/25/29/338812529.db2.gz SNZOOZALVFVYQH-CYBMUJFWSA-N 0 3 307.388 4.173 20 0 DIADHN Fc1cncc([C@@H](N[C@@H]2CCCc3c(F)cccc32)C2CC2)c1 ZINC000453239735 338812531 /nfs/dbraw/zinc/81/25/31/338812531.db2.gz STILNBSWKUTBKH-MOPGFXCFSA-N 0 3 314.379 4.478 20 0 DIADHN C[C@H](NCC1=CCCCC1)c1ccc(-n2cncn2)cc1Cl ZINC000453249307 338814062 /nfs/dbraw/zinc/81/40/62/338814062.db2.gz LYEYFBMFZQDCOR-ZDUSSCGKSA-N 0 3 316.836 4.072 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)ccc1F ZINC000453263157 338815715 /nfs/dbraw/zinc/81/57/15/338815715.db2.gz UASLQPYUPKSYRM-OLZOCXBDSA-N 0 3 301.361 4.275 20 0 DIADHN OC[C@@H]1CC[C@H](N[C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000453267951 338816341 /nfs/dbraw/zinc/81/63/41/338816341.db2.gz IWKFIANJBMAFDL-CCKFTAQKSA-N 0 3 315.844 4.180 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000453281823 338818128 /nfs/dbraw/zinc/81/81/28/338818128.db2.gz HNXDOGMUORFLCZ-OAHLLOKOSA-N 0 3 306.331 4.186 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000453283553 338818402 /nfs/dbraw/zinc/81/84/02/338818402.db2.gz KDJDPHLEOSOCPF-QWRGUYRKSA-N 0 3 321.795 4.143 20 0 DIADHN OCC1(CCN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000453326292 338825396 /nfs/dbraw/zinc/82/53/96/338825396.db2.gz AIXJJMQWBOGKBJ-SNVBAGLBSA-N 0 3 320.285 4.185 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCC2=CCOCC2)cc1Cl ZINC000453285542 338818704 /nfs/dbraw/zinc/81/87/04/338818704.db2.gz JGDHCPXKCPGXRR-ZDUSSCGKSA-N 0 3 309.837 4.125 20 0 DIADHN CC[C@@H]1CCC[C@@H]1CN[C@H](c1ccc(F)cc1)c1cnccn1 ZINC000453295527 338820183 /nfs/dbraw/zinc/82/01/83/338820183.db2.gz XHJJAIWHNVCOKG-IDHHARJASA-N 0 3 313.420 4.121 20 0 DIADHN CC[C@](C)(CN[C@H](C)c1nc(-c2ccccc2)cs1)OC ZINC000453309097 338822222 /nfs/dbraw/zinc/82/22/22/338822222.db2.gz XJIXVEVWQXEMOS-CXAGYDPISA-N 0 3 304.459 4.276 20 0 DIADHN CO[C@@](C)(CN[C@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000453314065 338823044 /nfs/dbraw/zinc/82/30/44/338823044.db2.gz FMXRLBKCDSCSIK-OALUTQOASA-N 0 3 316.420 4.069 20 0 DIADHN CO[C@](C)(CN[C@@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000453314068 338823085 /nfs/dbraw/zinc/82/30/85/338823085.db2.gz FMXRLBKCDSCSIK-RTBURBONSA-N 0 3 316.420 4.069 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000453318837 338823968 /nfs/dbraw/zinc/82/39/68/338823968.db2.gz LRUWOTAQBRYQGH-PIGZYNQJSA-N 0 3 321.827 4.497 20 0 DIADHN Cc1csc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000453321319 338824523 /nfs/dbraw/zinc/82/45/23/338824523.db2.gz PSOQQFGNZHGDJS-CRAIPNDOSA-N 0 3 301.455 4.314 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1cc(-c2ccccc2)nn1C ZINC000453326539 338825347 /nfs/dbraw/zinc/82/53/47/338825347.db2.gz YFBZOKAPINPNBV-DZGCQCFKSA-N 0 3 319.399 4.173 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000453337112 338827022 /nfs/dbraw/zinc/82/70/22/338827022.db2.gz AAXBYNYDBFTPLK-QRTARXTBSA-N 0 3 317.864 4.451 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000453379613 338834972 /nfs/dbraw/zinc/83/49/72/338834972.db2.gz QVFMCXXRKNDDME-HSMVNMDESA-N 0 3 313.388 4.061 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H](CC)[C@@H](O)CC)oc21 ZINC000453389408 338836623 /nfs/dbraw/zinc/83/66/23/338836623.db2.gz XNPSONGKZOIYRX-QEJZJMRPSA-N 0 3 305.418 4.032 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H](C)[C@@H](OC)C3CC3)c(C)c2c1 ZINC000453392508 338836934 /nfs/dbraw/zinc/83/69/34/338836934.db2.gz ZMYPSNOTJZKCGO-XEKQOVJPSA-N 0 3 317.429 4.214 20 0 DIADHN CCC[C@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccccn1 ZINC000453398066 338837906 /nfs/dbraw/zinc/83/79/06/338837906.db2.gz WJBGIGVAVBLTFT-HYVNUMGLSA-N 0 3 316.470 4.494 20 0 DIADHN CC[C@@H](N[C@H](C)Cc1cc(F)cc(OC)c1)c1ccc(F)cn1 ZINC000453402107 338838637 /nfs/dbraw/zinc/83/86/37/338838637.db2.gz PPJFYVHWAHOVSI-SJKOYZFVSA-N 0 3 320.383 4.040 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)nn1C ZINC000453414353 338840412 /nfs/dbraw/zinc/84/04/12/338840412.db2.gz YOZROLRRMMIIHB-YSVLISHTSA-N 0 3 317.864 4.369 20 0 DIADHN C[C@@H](CC(C)(C)C)N[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000453420401 338840943 /nfs/dbraw/zinc/84/09/43/338840943.db2.gz KHLPZIAZZVZDMD-SUMWQHHRSA-N 0 3 303.425 4.063 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC2(CC1)OCCc1ccccc12 ZINC000453574908 338848640 /nfs/dbraw/zinc/84/86/40/338848640.db2.gz MVLCWWNZWYYDLY-TWGQIWQCSA-N 0 3 319.448 4.264 20 0 DIADHN CC(C)(CO)[C@@H](NCc1coc2ccccc12)c1cccs1 ZINC000453577457 338849013 /nfs/dbraw/zinc/84/90/13/338849013.db2.gz OOQSKSVMCHAPCD-KRWDZBQOSA-N 0 3 315.438 4.344 20 0 DIADHN CC[C@@]1(C(C)C)C[C@@H]1NCc1cnc(-c2ccncc2)s1 ZINC000453619897 338851022 /nfs/dbraw/zinc/85/10/22/338851022.db2.gz WFUGFHHMLHVAPD-RDJZCZTQSA-N 0 3 301.459 4.119 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCCSc2ccccc2)c1 ZINC000195678284 338914002 /nfs/dbraw/zinc/91/40/02/338914002.db2.gz WBQREWSPCXRRHI-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN Cc1cc(CN)cc(NC(=O)C(C)(C)c2ccccc2Cl)c1 ZINC000457384839 338961345 /nfs/dbraw/zinc/96/13/45/338961345.db2.gz UNDCNPVEMMDQAO-UHFFFAOYSA-N 0 3 316.832 4.023 20 0 DIADHN Cc1cc(NC(=O)c2sccc2C(C)C)ccc1CN(C)C ZINC000458268274 338994096 /nfs/dbraw/zinc/99/40/96/338994096.db2.gz GEXPQDXWCLIBOS-UHFFFAOYSA-N 0 3 316.470 4.494 20 0 DIADHN Cc1cc(NC(=O)c2occc2C(C)C)ccc1CN(C)C ZINC000458271807 338994255 /nfs/dbraw/zinc/99/42/55/338994255.db2.gz VKWQKXFWAONDFT-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN CSc1ccsc1C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000458293138 338994953 /nfs/dbraw/zinc/99/49/53/338994953.db2.gz UHMCOYGYVWRTKZ-UHFFFAOYSA-N 0 3 320.483 4.092 20 0 DIADHN c1cc(NC2CCN(C3CC3)CC2)cc(OC2CCCC2)c1 ZINC000459335544 339022517 /nfs/dbraw/zinc/02/25/17/339022517.db2.gz ARQFNUZEGFTVSW-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459337768 339022763 /nfs/dbraw/zinc/02/27/63/339022763.db2.gz GAQKETCUCLXFJB-YJBOKZPZSA-N 0 3 307.441 4.040 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459337765 339022819 /nfs/dbraw/zinc/02/28/19/339022819.db2.gz GAQKETCUCLXFJB-MAUKXSAKSA-N 0 3 307.441 4.040 20 0 DIADHN c1ccc([C@H]2CCCN(Cc3cnc(C4CC4)nc3)CC2)cc1 ZINC000459346475 339023387 /nfs/dbraw/zinc/02/33/87/339023387.db2.gz WVSXZIHBDWYTEJ-SFHVURJKSA-N 0 3 307.441 4.124 20 0 DIADHN c1cc(C2CC2)ccc1CN1CCC[C@@H](c2noc(C3CC3)n2)C1 ZINC000459355417 339024044 /nfs/dbraw/zinc/02/40/44/339024044.db2.gz MUEAGDDBMPDIJH-GOSISDBHSA-N 0 3 323.440 4.204 20 0 DIADHN CC(C)[C@H]1CCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459361659 339024677 /nfs/dbraw/zinc/02/46/77/339024677.db2.gz OVFBIYAZHQNJID-LJQANCHMSA-N 0 3 324.472 4.291 20 0 DIADHN CC(C)[C@H](NCc1cnc(C2CC2)nc1)c1ccc(Cl)cc1 ZINC000459380159 339025820 /nfs/dbraw/zinc/02/58/20/339025820.db2.gz BSRKWKYLKHAVNN-KRWDZBQOSA-N 0 3 315.848 4.494 20 0 DIADHN c1cnn(Cc2cccc(CNCc3ccc(C4CC4)cc3)c2)c1 ZINC000459380228 339025887 /nfs/dbraw/zinc/02/58/87/339025887.db2.gz YZHSLUTZAULPPN-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2Cc2cnc(C3CC3)nc2)c1 ZINC000459384702 339026209 /nfs/dbraw/zinc/02/62/09/339026209.db2.gz XZVSGPQIHHCTCW-LJQANCHMSA-N 0 3 307.441 4.308 20 0 DIADHN CCc1ccc([C@@H](NCc2cnc(C3CC3)nc2)C(C)C)cc1 ZINC000459385305 339026249 /nfs/dbraw/zinc/02/62/49/339026249.db2.gz XIVSVECIFKSYIA-IBGZPJMESA-N 0 3 309.457 4.403 20 0 DIADHN C[C@@H](NCc1cnc(C2CC2)nc1)c1cccc2ccccc21 ZINC000459386006 339026297 /nfs/dbraw/zinc/02/62/97/339026297.db2.gz XPSQGYBSZFOWAI-CQSZACIVSA-N 0 3 303.409 4.358 20 0 DIADHN Cc1csc([C@@H](NCC2CCC(F)(F)CC2)C2CC2)n1 ZINC000459391716 339026484 /nfs/dbraw/zinc/02/64/84/339026484.db2.gz CTKUOQQTFHCFJL-ZDUSSCGKSA-N 0 3 300.418 4.318 20 0 DIADHN CC(C)COC[C@@H](NCC1CCC(F)(F)CC1)c1ccco1 ZINC000459407413 339027633 /nfs/dbraw/zinc/02/76/33/339027633.db2.gz PFAQKVLSRLUDLA-OAHLLOKOSA-N 0 3 315.404 4.408 20 0 DIADHN CCOc1cc(CNC[C@H](C)Sc2ccccc2)ccc1O ZINC000459417608 339027996 /nfs/dbraw/zinc/02/79/96/339027996.db2.gz FATWAGJLJWQMLG-AWEZNQCLSA-N 0 3 317.454 4.061 20 0 DIADHN CC(C)(Cc1ccccc1Cl)NCc1cnc(C2CC2)nc1 ZINC000459421924 339028225 /nfs/dbraw/zinc/02/82/25/339028225.db2.gz FBVNMKRJJYUDGF-UHFFFAOYSA-N 0 3 315.848 4.118 20 0 DIADHN Cc1cc(F)c(CNC[C@@H](CCO)c2ccccc2)c(Cl)c1 ZINC000459423929 339028369 /nfs/dbraw/zinc/02/83/69/339028369.db2.gz GAWMVXMJLHOKDA-OAHLLOKOSA-N 0 3 321.823 4.043 20 0 DIADHN Cc1csc([C@H](NCCc2cccc(Cl)c2)C2CC2)n1 ZINC000459422715 339028399 /nfs/dbraw/zinc/02/83/99/339028399.db2.gz NUARKXQJMDJZPV-OAHLLOKOSA-N 0 3 306.862 4.388 20 0 DIADHN Cc1cc2cccnc2c(NC2CCN(c3ccncc3)CC2)c1 ZINC000459444939 339029573 /nfs/dbraw/zinc/02/95/73/339029573.db2.gz RFPMCUSEYOMFQV-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN CN(C)Cc1ccc(CNCc2ccc(C3CC3)cc2)cc1F ZINC000459475882 339031487 /nfs/dbraw/zinc/03/14/87/339031487.db2.gz YWRFGOSLFFZOTI-UHFFFAOYSA-N 0 3 312.432 4.055 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cc3cccc(F)c3o2)[C@@H]1SC ZINC000459498087 339032732 /nfs/dbraw/zinc/03/27/32/339032732.db2.gz ULXGQUDLGFOPEY-NUAHXYJNSA-N 0 3 323.433 4.132 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cc3cccc(F)c3o2)[C@H]1SC ZINC000459498086 339032810 /nfs/dbraw/zinc/03/28/10/339032810.db2.gz ULXGQUDLGFOPEY-BYLNDYCFSA-N 0 3 323.433 4.132 20 0 DIADHN c1coc([C@@H]2N([C@@H]3CCN(Cc4ccccc4)C3)CC23CCC3)c1 ZINC000459507094 339033236 /nfs/dbraw/zinc/03/32/36/339033236.db2.gz ILRMWCBRQAVWPT-QUCCMNQESA-N 0 3 322.452 4.081 20 0 DIADHN c1coc([C@H]2N([C@@H]3CCN(Cc4ccccc4)C3)CC23CCC3)c1 ZINC000459507097 339033265 /nfs/dbraw/zinc/03/32/65/339033265.db2.gz ILRMWCBRQAVWPT-UYAOXDASSA-N 0 3 322.452 4.081 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CCCC12CCC2 ZINC000459524456 339034042 /nfs/dbraw/zinc/03/40/42/339034042.db2.gz UGBRZIGJGMYYTC-UHFFFAOYSA-N 0 3 301.459 4.100 20 0 DIADHN COc1cc(Cl)c(Cl)cc1NC1CCN(C2CC2)CC1 ZINC000459532632 339034382 /nfs/dbraw/zinc/03/43/82/339034382.db2.gz VBRMMXZLOPZVON-UHFFFAOYSA-N 0 3 315.244 4.041 20 0 DIADHN c1ccc([C@H]2C[C@H]3CC[C@@H](C2)N3Cc2cnc(C3CC3)nc2)cc1 ZINC000459551110 339035290 /nfs/dbraw/zinc/03/52/90/339035290.db2.gz ZMNCWOBRYWOGLI-ACDBMABISA-N 0 3 319.452 4.265 20 0 DIADHN CC[C@H]1CCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459555356 339035503 /nfs/dbraw/zinc/03/55/03/339035503.db2.gz HLEFTLRFDKUJTC-INIZCTEOSA-N 0 3 310.445 4.045 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)no1 ZINC000459558824 339035615 /nfs/dbraw/zinc/03/56/15/339035615.db2.gz GXYCVENRXKGTPA-GDBMZVCRSA-N 0 3 304.821 4.452 20 0 DIADHN CCOc1cc(CN[C@@H]2CCCc3c(F)cccc32)ccc1O ZINC000459560200 339035671 /nfs/dbraw/zinc/03/56/71/339035671.db2.gz CRVUBBUDTIKTHA-QGZVFWFLSA-N 0 3 315.388 4.097 20 0 DIADHN OCC[C@@H](NCc1ccc(C2CC2)cc1)c1ccc(Cl)cc1 ZINC000459564476 339036071 /nfs/dbraw/zinc/03/60/71/339036071.db2.gz RDTXAJVCHGYVQP-LJQANCHMSA-N 0 3 315.844 4.431 20 0 DIADHN CCn1nccc1CCN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000459589214 339037580 /nfs/dbraw/zinc/03/75/80/339037580.db2.gz XTRZVUNZOVNPQR-LJQANCHMSA-N 0 3 309.457 4.063 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CC2(C1)CCOCC2 ZINC000459619194 339038802 /nfs/dbraw/zinc/03/88/02/339038802.db2.gz LNWFTUCHVNLVEK-UHFFFAOYSA-N 0 3 307.437 4.274 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@@H](C)CCCc1cccnc1 ZINC000459643058 339039882 /nfs/dbraw/zinc/03/98/82/339039882.db2.gz TZJNYHBBTDLCNK-AWEZNQCLSA-N 0 3 301.434 4.002 20 0 DIADHN C[C@H](NC1CC(c2ccc(Cl)cc2)C1)c1cncc(F)c1 ZINC000459645009 339040105 /nfs/dbraw/zinc/04/01/05/339040105.db2.gz GAOGWOJGZJFZMT-BLQFLSLASA-N 0 3 304.796 4.471 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1cncc(F)c1 ZINC000459655347 339040829 /nfs/dbraw/zinc/04/08/29/339040829.db2.gz VAHHHALIUNJQHS-NHXNDTTKSA-N 0 3 314.404 4.121 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc2c(s1)CCC2)c1cc(F)cc(F)c1 ZINC000459656919 339040968 /nfs/dbraw/zinc/04/09/68/339040968.db2.gz WJSPLAYKYTZSLK-MNOVXSKESA-N 0 3 322.424 4.364 20 0 DIADHN COc1cc(Cl)c(CNC2CCC(OC)CC2)cc1Cl ZINC000459668309 339041918 /nfs/dbraw/zinc/04/19/18/339041918.db2.gz BYBXFTZFGYVOOZ-UHFFFAOYSA-N 0 3 318.244 4.049 20 0 DIADHN COC1CCC(NCc2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000459671100 339042037 /nfs/dbraw/zinc/04/20/37/339042037.db2.gz FWJCNVNHHSEBNI-UHFFFAOYSA-N 0 3 321.770 4.406 20 0 DIADHN COc1cccc2c(CNCc3ccc(C)c(C)n3)cccc12 ZINC000459672516 339042207 /nfs/dbraw/zinc/04/22/07/339042207.db2.gz QRRLYZNZBLZRTO-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CSc1ccccc1C[C@H](C)NCc1ccc(C)c(C)n1 ZINC000459675022 339042410 /nfs/dbraw/zinc/04/24/10/339042410.db2.gz SLFFUCHBLVZKHN-AWEZNQCLSA-N 0 3 300.471 4.141 20 0 DIADHN Cc1nc(CNCC2(Cc3cccc(Cl)c3)CC2)cs1 ZINC000459688613 339043094 /nfs/dbraw/zinc/04/30/94/339043094.db2.gz HULYMAGMNARSCL-UHFFFAOYSA-N 0 3 306.862 4.217 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@H]1CCC[C@H]1c1ccccc1 ZINC000459689617 339043158 /nfs/dbraw/zinc/04/31/58/339043158.db2.gz ZFEHNTNEVYEDRL-HOTGVXAUSA-N 0 3 305.372 4.046 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000459740886 339045488 /nfs/dbraw/zinc/04/54/88/339045488.db2.gz MWNXNDGTWFHAGS-OLZOCXBDSA-N 0 3 307.743 4.016 20 0 DIADHN C[C@H](NC1CC(c2ccc(C(F)(F)F)cc2)C1)c1ccn(C)n1 ZINC000459742354 339045655 /nfs/dbraw/zinc/04/56/55/339045655.db2.gz GCYFJUPGOAYTRE-ZOODHJKOSA-N 0 3 323.362 4.036 20 0 DIADHN Clc1cccc(-c2nc(CN[C@H]3CCC[C@@H]4C[C@@H]43)co2)c1 ZINC000459764946 339047179 /nfs/dbraw/zinc/04/71/79/339047179.db2.gz CXISEZRMOHUXLO-RLCCDNCMSA-N 0 3 302.805 4.273 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)cs2)cc1 ZINC000459773147 339047609 /nfs/dbraw/zinc/04/76/09/339047609.db2.gz SNWMDHCEJJTZAS-XOKHGSTOSA-N 0 3 314.454 4.097 20 0 DIADHN C[C@@]1(NCc2csc(COc3ccccc3)n2)CC=CCC1 ZINC000459772143 339047623 /nfs/dbraw/zinc/04/76/23/339047623.db2.gz UIXKSRQLUHZWCT-GOSISDBHSA-N 0 3 314.454 4.311 20 0 DIADHN COc1ccc(-c2cnc(CNC3(C4CCC4)CCC3)o2)cc1 ZINC000459776163 339047864 /nfs/dbraw/zinc/04/78/64/339047864.db2.gz RDUYDOCIGFDCQM-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN CCC[C@@H](NCc1ccc(Oc2ccccc2)nn1)C1CCC1 ZINC000459788168 339048535 /nfs/dbraw/zinc/04/85/35/339048535.db2.gz DZQDETWTIRGKOG-GOSISDBHSA-N 0 3 311.429 4.327 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C1CCC1 ZINC000459787148 339048643 /nfs/dbraw/zinc/04/86/43/339048643.db2.gz DKLMHFGZYBVVLE-HNNXBMFYSA-N 0 3 319.836 4.448 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)cs1 ZINC000459794014 339048924 /nfs/dbraw/zinc/04/89/24/339048924.db2.gz WAKWVJUBXHJDHA-UHOFOFEASA-N 0 3 314.454 4.097 20 0 DIADHN CCC[C@H](N[C@H](C)c1nnc(-c2cccs2)o1)C1CCC1 ZINC000459811683 339049529 /nfs/dbraw/zinc/04/95/29/339049529.db2.gz VBFIJFXZYKBFJH-YPMHNXCESA-N 0 3 305.447 4.418 20 0 DIADHN CCSCC[C@H](C)NCc1sccc1Br ZINC000165006608 339052670 /nfs/dbraw/zinc/05/26/70/339052670.db2.gz SGQYJNYWVSVBCE-VIFPVBQESA-N 0 3 308.310 4.132 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)CSC)c2ccccc2)cc1 ZINC000166084413 339053034 /nfs/dbraw/zinc/05/30/34/339053034.db2.gz BDHDSOBFMVMFMF-KDOFPFPSSA-N 0 3 301.455 4.126 20 0 DIADHN Brc1cncc(CN[C@@H]2CCCc3ccccc32)c1 ZINC000166872961 339053307 /nfs/dbraw/zinc/05/33/07/339053307.db2.gz WEFLRCHMMQFEQN-MRXNPFEDSA-N 0 3 317.230 4.011 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2c3c(nn2C)CCCC3)cc1 ZINC000461083440 339058760 /nfs/dbraw/zinc/05/87/60/339058760.db2.gz GEZAKBBTKSTLEJ-HNNXBMFYSA-N 0 3 311.473 4.273 20 0 DIADHN CC[C@H](C[C@H](C)CO)N[C@@H](CC)c1cccc(Cl)c1F ZINC000461085418 339058992 /nfs/dbraw/zinc/05/89/92/339058992.db2.gz JSMFWLHIGQNZHE-ZOWXZIJZSA-N 0 3 301.833 4.317 20 0 DIADHN CC[C@@H](NC[C@H](CO)c1ccccc1)c1cccc(Cl)c1F ZINC000461092459 339059021 /nfs/dbraw/zinc/05/90/21/339059021.db2.gz GLTODMGQQILTIL-RHSMWYFYSA-N 0 3 321.823 4.296 20 0 DIADHN C[C@H](NCCc1ccco1)c1ccc(Br)c(F)c1 ZINC000461102740 339059396 /nfs/dbraw/zinc/05/93/96/339059396.db2.gz KPPVRAFLILPAAX-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN Cc1c([C@H](C)NCCc2ccco2)cnn1-c1cc(C)ccc1C ZINC000461113969 339059608 /nfs/dbraw/zinc/05/96/08/339059608.db2.gz YLXATJFTHUICGI-INIZCTEOSA-N 0 3 323.440 4.284 20 0 DIADHN CN(C)Cc1ccnc(NCc2ccc(C3CCCC3)cc2)c1 ZINC000461210127 339060380 /nfs/dbraw/zinc/06/03/80/339060380.db2.gz OSPYVPKYXTXRCI-UHFFFAOYSA-N 0 3 309.457 4.413 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@H]1c1cccc(Cl)c1)N(CC)CC ZINC000461351513 339062208 /nfs/dbraw/zinc/06/22/08/339062208.db2.gz IODLHBDAQRUPSX-SJORKVTESA-N 0 3 322.880 4.124 20 0 DIADHN Cn1cc([C@H](NCc2ccc(C(F)F)cc2)C(C)(C)C)cn1 ZINC000461376899 339062441 /nfs/dbraw/zinc/06/24/41/339062441.db2.gz MAINVNSMINGTNK-HNNXBMFYSA-N 0 3 307.388 4.235 20 0 DIADHN C[C@H](CCO)N(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461367398 339062572 /nfs/dbraw/zinc/06/25/72/339062572.db2.gz SAGLAKQURVMTPA-OAHLLOKOSA-N 0 3 319.395 4.397 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461383424 339062648 /nfs/dbraw/zinc/06/26/48/339062648.db2.gz DTEHSASRVHFMMK-UHFFFAOYSA-N 0 3 305.368 4.273 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1nc2ccccc2n1C1CC1 ZINC000462070026 339071868 /nfs/dbraw/zinc/07/18/68/339071868.db2.gz UORGWMXFZUOVGF-QGZVFWFLSA-N 0 3 319.452 4.482 20 0 DIADHN Clc1ccccc1C1=CCN(CCCc2ccncc2)CC1 ZINC000462360376 339076660 /nfs/dbraw/zinc/07/66/60/339076660.db2.gz QMNNEGZLDJNQEF-UHFFFAOYSA-N 0 3 312.844 4.457 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CCc1ccc(Br)cc1 ZINC000462363228 339076783 /nfs/dbraw/zinc/07/67/83/339076783.db2.gz CZBQVUYMFGEDQH-ZDUSSCGKSA-N 0 3 322.246 4.461 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@@H](c2cccs2)C1 ZINC000462480306 339079717 /nfs/dbraw/zinc/07/97/17/339079717.db2.gz KYXCJIRNPSEUSZ-MRXNPFEDSA-N 0 3 324.449 4.491 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNc1c(C)cnc2c(C)cccc21 ZINC000462502504 339080168 /nfs/dbraw/zinc/08/01/68/339080168.db2.gz NORXBSRDYLMYCE-GFCCVEGCSA-N 0 3 309.413 4.094 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cnn2ccccc12 ZINC000462543745 339081037 /nfs/dbraw/zinc/08/10/37/339081037.db2.gz LGCJSAMXTMBGGM-YWZLYKJASA-N 0 3 305.425 4.138 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cnn2ccccc12 ZINC000462543744 339081052 /nfs/dbraw/zinc/08/10/52/339081052.db2.gz LGCJSAMXTMBGGM-QRWLVFNGSA-N 0 3 305.425 4.138 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cnn(C(C)(C)C)c1 ZINC000462555512 339081377 /nfs/dbraw/zinc/08/13/77/339081377.db2.gz SETYRXAQBGQPEL-BEFAXECRSA-N 0 3 311.473 4.441 20 0 DIADHN COCc1cccc(CNCCSc2ccc(Cl)cc2)c1 ZINC000462668107 339083607 /nfs/dbraw/zinc/08/36/07/339083607.db2.gz VVKREUMLXOVQCR-UHFFFAOYSA-N 0 3 321.873 4.368 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CN[C@H](C)Cn3ccnc3)cc2)c1 ZINC000462676164 339083840 /nfs/dbraw/zinc/08/38/40/339083840.db2.gz NKOQKNJMVYACHA-GOSISDBHSA-N 0 3 319.452 4.345 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CCc1ccc(OC(C)C)cc1 ZINC000462678741 339083929 /nfs/dbraw/zinc/08/39/29/339083929.db2.gz HBHLBSFTRFPNQD-INIZCTEOSA-N 0 3 301.430 4.486 20 0 DIADHN CC1(C)CCC(NCc2cccnc2OCC(F)(F)F)CC1 ZINC000462681877 339084046 /nfs/dbraw/zinc/08/40/46/339084046.db2.gz WGKCXQXMLKHGLW-UHFFFAOYSA-N 0 3 316.367 4.081 20 0 DIADHN Cc1ccoc1CNCC1(c2ccccc2Br)CC1 ZINC000462717738 339084782 /nfs/dbraw/zinc/08/47/82/339084782.db2.gz KIPDPLUPFWPUEH-UHFFFAOYSA-N 0 3 320.230 4.172 20 0 DIADHN Cc1cccc(O[C@@H](C)CNCc2cc3cc(F)ccc3o2)c1 ZINC000462732362 339085300 /nfs/dbraw/zinc/08/53/00/339085300.db2.gz YPBHZDDJOJRPQF-AWEZNQCLSA-N 0 3 313.372 4.437 20 0 DIADHN CO[C@H](CNCc1ccc(C)c(C)c1)c1ccc(Cl)cc1 ZINC000462745034 339086529 /nfs/dbraw/zinc/08/65/29/339086529.db2.gz YCVRKFKDTNQLQE-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN Cc1ccoc1CNC(C)(C)Cc1ccc(Br)cc1 ZINC000462751492 339086819 /nfs/dbraw/zinc/08/68/19/339086819.db2.gz YANUOYFWNHJPNV-UHFFFAOYSA-N 0 3 322.246 4.461 20 0 DIADHN CO[C@@H](CNCc1ccc(C)c(F)c1)c1ccc(Cl)cc1 ZINC000462750289 339086932 /nfs/dbraw/zinc/08/69/32/339086932.db2.gz NTGLOWNQBVQUHM-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN CO[C@@H](CNCc1ccc(F)cc1C)c1cccc(Cl)c1 ZINC000462751696 339086938 /nfs/dbraw/zinc/08/69/38/339086938.db2.gz AQABKUKMQMMIMN-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN CO[C@H](CNC/C=C/c1ccccc1)c1cccc(Cl)c1 ZINC000462752792 339087070 /nfs/dbraw/zinc/08/70/70/339087070.db2.gz IWNODXYCEOFACW-AHKGRUIUSA-N 0 3 301.817 4.331 20 0 DIADHN CO[C@@H](CNCc1ccc(C)c(C)c1)c1cccc(Cl)c1 ZINC000462756456 339087240 /nfs/dbraw/zinc/08/72/40/339087240.db2.gz PXNGESUJMPLVMG-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000462759839 339087325 /nfs/dbraw/zinc/08/73/25/339087325.db2.gz JCAHODQOLCFIEB-PMACEKPBSA-N 0 3 309.457 4.239 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC000462763074 339087486 /nfs/dbraw/zinc/08/74/86/339087486.db2.gz NUEOELYJLIXXJR-IEBWSBKVSA-N 0 3 319.452 4.167 20 0 DIADHN Clc1ccc2ncc(CN[C@@H]3C[C@H]3C3CCCCC3)n2c1 ZINC000462767655 339087596 /nfs/dbraw/zinc/08/75/96/339087596.db2.gz SYSUCBXYCLLGMO-JKSUJKDBSA-N 0 3 303.837 4.046 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(-c3ccccc3)o2)C12CCC2 ZINC000462765399 339087622 /nfs/dbraw/zinc/08/76/22/339087622.db2.gz SOHFNKMGFHGPDS-OALUTQOASA-N 0 3 311.425 4.384 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(-c3ccccc3)o2)C12CCC2 ZINC000462765397 339087649 /nfs/dbraw/zinc/08/76/49/339087649.db2.gz SOHFNKMGFHGPDS-MOPGFXCFSA-N 0 3 311.425 4.384 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H]2Cc2ccccc2)c(Cl)c1 ZINC000462770538 339087698 /nfs/dbraw/zinc/08/76/98/339087698.db2.gz WJRPLKNSHKVZES-MAUKXSAKSA-N 0 3 301.817 4.069 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H]2Cc2ccccc2)c(Cl)c1 ZINC000462770536 339087711 /nfs/dbraw/zinc/08/77/11/339087711.db2.gz WJRPLKNSHKVZES-CRAIPNDOSA-N 0 3 301.817 4.069 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2c(F)cccc2F)cc1 ZINC000462770507 339087810 /nfs/dbraw/zinc/08/78/10/339087810.db2.gz ZEUFYJXLCUTOMB-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN Cc1ccoc1CNCC(C)(C)c1cccc(Br)c1 ZINC000462778303 339087976 /nfs/dbraw/zinc/08/79/76/339087976.db2.gz VECWBVBQWGOFSG-UHFFFAOYSA-N 0 3 322.246 4.418 20 0 DIADHN COc1ccc(F)cc1CNCC1(Sc2ccccc2)CC1 ZINC000462777560 339088003 /nfs/dbraw/zinc/08/80/03/339088003.db2.gz NAAXKHWUONYCFP-UHFFFAOYSA-N 0 3 317.429 4.249 20 0 DIADHN COc1ccc(F)cc1CNCCCc1c[nH]c2ccccc12 ZINC000462775386 339088043 /nfs/dbraw/zinc/08/80/43/339088043.db2.gz BGMAZRFKRDEYEQ-UHFFFAOYSA-N 0 3 312.388 4.038 20 0 DIADHN COc1ccc(F)cc1CNC[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000462783322 339088332 /nfs/dbraw/zinc/08/83/32/339088332.db2.gz MMRZRLIEWFWIBU-DGCLKSJQSA-N 0 3 319.342 4.293 20 0 DIADHN CC(C)C[C@H](NCc1cn(C)nc1C(F)F)c1cccs1 ZINC000462783039 339088350 /nfs/dbraw/zinc/08/83/50/339088350.db2.gz HPVXVECMBAIEJJ-LBPRGKRZSA-N 0 3 313.417 4.296 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCC[C@H]2C(F)(F)F)cs1 ZINC000462800210 339089701 /nfs/dbraw/zinc/08/97/01/339089701.db2.gz ASPQKBKNFSCMHN-ZMLRMANQSA-N 0 3 306.397 4.471 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000462803517 339089952 /nfs/dbraw/zinc/08/99/52/339089952.db2.gz KBMDPBKRVRQIBN-LKUPVBHCSA-N 0 3 323.436 4.137 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1csc(-c2ccccc2F)n1 ZINC000462804577 339090080 /nfs/dbraw/zinc/09/00/80/339090080.db2.gz DOJGPKGQMDNTEU-UHIISALHSA-N 0 3 322.474 4.351 20 0 DIADHN COc1ccc2oc([C@H](C)NC[C@](C)(OC)C3CC3)c(C)c2c1 ZINC000462804615 339090115 /nfs/dbraw/zinc/09/01/15/339090115.db2.gz DWLNPRHOBDVHLQ-DJJJIMSYSA-N 0 3 317.429 4.216 20 0 DIADHN C[C@H](NCC[C@@H]1CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462807685 339090410 /nfs/dbraw/zinc/09/04/10/339090410.db2.gz MRUCPLRSGVJVPU-QWHCGFSZSA-N 0 3 320.433 4.026 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1csc(-c2ccccc2F)n1 ZINC000462808318 339090600 /nfs/dbraw/zinc/09/06/00/339090600.db2.gz CWHYPNHYUZWGPG-RYUDHWBXSA-N 0 3 322.474 4.495 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NC[C@](C)(OC)C1CC1 ZINC000462811393 339090967 /nfs/dbraw/zinc/09/09/67/339090967.db2.gz FNQDVWCVPMOJNG-SJCJKPOMSA-N 0 3 311.853 4.204 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NC[C@@](C)(OC)C1CC1 ZINC000462811394 339091060 /nfs/dbraw/zinc/09/10/60/339091060.db2.gz FNQDVWCVPMOJNG-SJKOYZFVSA-N 0 3 311.853 4.204 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1ccccc1OC(F)(F)F)C1CC1 ZINC000462812747 339091098 /nfs/dbraw/zinc/09/10/98/339091098.db2.gz IKWKFWQKEQTQTF-ABAIWWIYSA-N 0 3 317.351 4.051 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000462814014 339091285 /nfs/dbraw/zinc/09/12/85/339091285.db2.gz CPRFOEMZLHYAMY-OZOXKJRCSA-N 0 3 323.436 4.280 20 0 DIADHN CC[C@@H](NCc1c2c(nn1C)CCCC2)c1cccc(Cl)c1 ZINC000462814583 339091299 /nfs/dbraw/zinc/09/12/99/339091299.db2.gz VCMSDDVRAPLFGV-MRXNPFEDSA-N 0 3 317.864 4.193 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1c2c(nn1C)CCCC2 ZINC000462822113 339092073 /nfs/dbraw/zinc/09/20/73/339092073.db2.gz SIMQTXMFLVMIGU-LJQANCHMSA-N 0 3 323.484 4.083 20 0 DIADHN CSCCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000462822616 339092130 /nfs/dbraw/zinc/09/21/30/339092130.db2.gz PFWYIZJVZDJCBG-LLVKDONJSA-N 0 3 310.463 4.353 20 0 DIADHN C[C@@H](O)CN(C)Cc1ccccc1Oc1ccc(F)cc1Cl ZINC000462824661 339092358 /nfs/dbraw/zinc/09/23/58/339092358.db2.gz GXEBUSMOULJDMD-GFCCVEGCSA-N 0 3 323.795 4.084 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@@H](c2ccccc2)C1)c1ccc(F)cn1 ZINC000462837156 339093485 /nfs/dbraw/zinc/09/34/85/339093485.db2.gz PLIBWDPPCGRPTR-ZIFCJYIRSA-N 0 3 314.404 4.182 20 0 DIADHN CO[C@](C)(CNCc1ccc(F)c(Cl)c1Cl)C1CC1 ZINC000462906823 339097197 /nfs/dbraw/zinc/09/71/97/339097197.db2.gz PYAHRGUDYOVKEY-CQSZACIVSA-N 0 3 306.208 4.037 20 0 DIADHN CC(C)N(C)CC(=O)N[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462842937 339093905 /nfs/dbraw/zinc/09/39/05/339093905.db2.gz SYMLHSPGMBXPDO-CYBMUJFWSA-N 0 3 318.848 4.011 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCOCC3CCC3)oc21 ZINC000462846257 339094036 /nfs/dbraw/zinc/09/40/36/339094036.db2.gz AAJQKVYKFNEXEO-CQSZACIVSA-N 0 3 317.429 4.299 20 0 DIADHN C[C@H](CCC1CCCC1)Nc1cccc(CN2CC[C@@H](O)C2)c1 ZINC000462868795 339095205 /nfs/dbraw/zinc/09/52/05/339095205.db2.gz BRFHZWAEVPCUGE-OXQOHEQNSA-N 0 3 316.489 4.024 20 0 DIADHN CCCC1CCC(Nc2cccc(CN3CC[C@@H](O)C3)c2)CC1 ZINC000462868514 339095293 /nfs/dbraw/zinc/09/52/93/339095293.db2.gz ZVDCTCIXWCCFMQ-OUNSHVDWSA-N 0 3 316.489 4.024 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccccc2C(F)(F)F)cs1 ZINC000462895367 339096629 /nfs/dbraw/zinc/09/66/29/339096629.db2.gz LAEQLVZRMNFACR-SNVBAGLBSA-N 0 3 314.376 4.364 20 0 DIADHN CO[C@@H](CNc1ccnc2ccccc21)c1cccc(Cl)c1 ZINC000462933522 339098334 /nfs/dbraw/zinc/09/83/34/339098334.db2.gz UEWHUABZBACMNA-SFHVURJKSA-N 0 3 312.800 4.110 20 0 DIADHN Cn1cc(CN2CCC[C@H]2CCC2CCCC2)c(C(F)F)n1 ZINC000462961114 339099153 /nfs/dbraw/zinc/09/91/53/339099153.db2.gz YKPZMDFRJVJEAW-HNNXBMFYSA-N 0 3 311.420 4.293 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2CCC2CCCC2)c(C(F)F)n1 ZINC000462961115 339099166 /nfs/dbraw/zinc/09/91/66/339099166.db2.gz YKPZMDFRJVJEAW-OAHLLOKOSA-N 0 3 311.420 4.293 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](C)c2nc3c(s2)CCCC3)C1(C)C ZINC000463014686 339100468 /nfs/dbraw/zinc/10/04/68/339100468.db2.gz VKXACEJHERNDEF-DAXOMENPSA-N 0 3 322.518 4.265 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2C[C@H](OC(C)C)C2(C)C)s1 ZINC000463025358 339101081 /nfs/dbraw/zinc/10/10/81/339101081.db2.gz UHFSQWIQOMMCAX-GJZGRUSLSA-N 0 3 310.507 4.023 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](c2ccccc2)c2cccnc2)C1(C)C ZINC000463026113 339101106 /nfs/dbraw/zinc/10/11/06/339101106.db2.gz YSSYCWCPOPSQTP-XUVXKRRUSA-N 0 3 324.468 4.353 20 0 DIADHN Cc1ccccc1-c1cccc(CN2CC[C@H](n3cccn3)C2)c1 ZINC000463027779 339101403 /nfs/dbraw/zinc/10/14/03/339101403.db2.gz HIJJTJANVHTVQV-FQEVSTJZSA-N 0 3 317.436 4.306 20 0 DIADHN CN(Cc1ccc2c(c1)CCO2)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463033728 339102023 /nfs/dbraw/zinc/10/20/23/339102023.db2.gz KTRRFCXRTLYFCV-CABCVRRESA-N 0 3 313.363 4.174 20 0 DIADHN Cc1sc(CN[C@H]2C[C@H](OC(C)C)C2(C)C)nc1C(C)C ZINC000463035537 339102156 /nfs/dbraw/zinc/10/21/56/339102156.db2.gz RALCRUPGRMPSAN-KBPBESRZSA-N 0 3 310.507 4.257 20 0 DIADHN CCN(Cc1ccc(F)c(-c2cccs2)c1)[C@@H]1CCOC1 ZINC000463039199 339102564 /nfs/dbraw/zinc/10/25/64/339102564.db2.gz YUDNSOXJTPIBKE-CQSZACIVSA-N 0 3 305.418 4.165 20 0 DIADHN COc1c(O)cccc1CN[C@@H]1c2ccccc2CCC[C@H]1C ZINC000463055458 339103361 /nfs/dbraw/zinc/10/33/61/339103361.db2.gz JQIKHWODHFHGTQ-KUHUBIRLSA-N 0 3 311.425 4.204 20 0 DIADHN CCN(CC)[C@H](CNc1ccc(Cl)cn1)c1ccsc1 ZINC000463058038 339103494 /nfs/dbraw/zinc/10/34/94/339103494.db2.gz YBGWFIRTWKCOHD-CQSZACIVSA-N 0 3 309.866 4.292 20 0 DIADHN C[C@@H]1CCCCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463071997 339103817 /nfs/dbraw/zinc/10/38/17/339103817.db2.gz UHARTTDETBCLCZ-LLVKDONJSA-N 0 3 323.234 4.355 20 0 DIADHN C[C@H]1CCCCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463071999 339103947 /nfs/dbraw/zinc/10/39/47/339103947.db2.gz UHARTTDETBCLCZ-NSHDSACASA-N 0 3 323.234 4.355 20 0 DIADHN CCCCN(CC)Cc1nc2ccc(Br)cc2o1 ZINC000463082439 339105234 /nfs/dbraw/zinc/10/52/34/339105234.db2.gz NNGHYYMTNBGUPZ-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463073687 339104319 /nfs/dbraw/zinc/10/43/19/339104319.db2.gz JSDIDOYIZLJVLW-QWRGUYRKSA-N 0 3 323.234 4.068 20 0 DIADHN CC[C@@H](C)CN(C)Cc1nc2ccc(Br)cc2o1 ZINC000463076780 339104492 /nfs/dbraw/zinc/10/44/92/339104492.db2.gz CNJGLTDWUCKIML-SNVBAGLBSA-N 0 3 311.223 4.068 20 0 DIADHN CC[C@H](C)CN(C)Cc1nc2ccc(Br)cc2o1 ZINC000463076779 339104526 /nfs/dbraw/zinc/10/45/26/339104526.db2.gz CNJGLTDWUCKIML-JTQLQIEISA-N 0 3 311.223 4.068 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463077736 339104647 /nfs/dbraw/zinc/10/46/47/339104647.db2.gz LEQPYCAFSYNSML-QWRGUYRKSA-N 0 3 323.234 4.353 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463084991 339105166 /nfs/dbraw/zinc/10/51/66/339105166.db2.gz XEVYQWCQTVSDSP-ZDUSSCGKSA-N 0 3 323.234 4.211 20 0 DIADHN CCCN(Cc1nc2ccc(Br)cc2o1)CC1CC1 ZINC000463083437 339105205 /nfs/dbraw/zinc/10/52/05/339105205.db2.gz RZCSNCTUHNOMRM-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nc2ccc(Br)cc2o1 ZINC000463105983 339105907 /nfs/dbraw/zinc/10/59/07/339105907.db2.gz OVRQYMGJGYTCOP-PWSUYJOCSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc2ccc(Br)cc2o1 ZINC000463105984 339106041 /nfs/dbraw/zinc/10/60/41/339106041.db2.gz OVRQYMGJGYTCOP-ZYHUDNBSSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H](NCc1nc2ccc(Br)cc2o1)C1CCCC1 ZINC000463128279 339106614 /nfs/dbraw/zinc/10/66/14/339106614.db2.gz QTIHBVGIHHHLPG-SNVBAGLBSA-N 0 3 323.234 4.259 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463202478 339109223 /nfs/dbraw/zinc/10/92/23/339109223.db2.gz NZBOALKBIZRNDE-JQWIXIFHSA-N 0 3 323.234 4.259 20 0 DIADHN CCCn1nccc1C1CCN([C@@H]2C[C@H]2c2ccccc2)CC1 ZINC000463215410 339109854 /nfs/dbraw/zinc/10/98/54/339109854.db2.gz PDYJWDMSYGOYTE-AZUAARDMSA-N 0 3 309.457 4.029 20 0 DIADHN CCN(C(=O)C(C)C(F)(F)F)c1ccc(Br)cc1 ZINC000463413138 339116989 /nfs/dbraw/zinc/11/69/89/339116989.db2.gz GWBOUCCEDPBEEB-MRVPVSSYSA-N 0 3 324.140 4.000 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(C[C@@H]1CCCC(F)(F)C1)C2 ZINC000463424429 339117262 /nfs/dbraw/zinc/11/72/62/339117262.db2.gz AFMQZUGCGJMDDT-CYBMUJFWSA-N 0 3 307.384 4.065 20 0 DIADHN CN(CCCC(=O)OC(C)(C)C)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463435078 339117691 /nfs/dbraw/zinc/11/76/91/339117691.db2.gz JMBKPAUBUZAEEY-OLZOCXBDSA-N 0 3 323.399 4.161 20 0 DIADHN CC1(C)[C@@H](c2ccccc2)C[C@@H]1NCc1cn2ccccc2n1 ZINC000463557611 339121278 /nfs/dbraw/zinc/12/12/78/339121278.db2.gz MTLGFKXSBYIMIS-MSOLQXFVSA-N 0 3 305.425 4.006 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](C)c2ccc3ccccc3n2)C1(C)C ZINC000463570112 339121707 /nfs/dbraw/zinc/12/17/07/339121707.db2.gz MPXHIODMWWJWFB-NIKGAXFTSA-N 0 3 312.457 4.478 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2C[C@H](OC(C)C)C2(C)C)s1 ZINC000463568697 339121749 /nfs/dbraw/zinc/12/17/49/339121749.db2.gz IQECYXHZMRHJGU-UBHSHLNASA-N 0 3 310.507 4.257 20 0 DIADHN c1ccc2c(c1)nccc2NCc1cccc(-c2cccnc2)c1 ZINC000463576198 339121962 /nfs/dbraw/zinc/12/19/62/339121962.db2.gz NKPRIZJDWJLUNO-UHFFFAOYSA-N 0 3 311.388 4.331 20 0 DIADHN CC(C(=O)N1CC2(CCCC2)c2c1cccc2F)C(F)(F)F ZINC000463619022 339122801 /nfs/dbraw/zinc/12/28/01/339122801.db2.gz BIJDAQRWCVFMHS-SNVBAGLBSA-N 0 3 315.310 4.183 20 0 DIADHN CC(C(=O)N(CCc1ccccc1)c1ccccc1)C(F)(F)F ZINC000463654529 339123298 /nfs/dbraw/zinc/12/32/98/339123298.db2.gz IOVWQLZCJGSFSE-AWEZNQCLSA-N 0 3 321.342 4.461 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)Nc1ccnc2ccccc21 ZINC000463731755 339124847 /nfs/dbraw/zinc/12/48/47/339124847.db2.gz ICXLMLINYBCZEK-CYBMUJFWSA-N 0 3 308.429 4.090 20 0 DIADHN COC[C@H](C)N[C@H](Cc1ccccc1Cl)c1ccccc1 ZINC000463901805 339128391 /nfs/dbraw/zinc/12/83/91/339128391.db2.gz LMQNZGTYEMLXRN-KBXCAEBGSA-N 0 3 303.833 4.248 20 0 DIADHN CO[C@H](C)CCNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464040166 339131586 /nfs/dbraw/zinc/13/15/86/339131586.db2.gz NIEKHAHABRLUMK-CYBMUJFWSA-N 0 3 311.853 4.176 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1C[C@H](c2ccccc2)C1(C)C ZINC000464079289 339133449 /nfs/dbraw/zinc/13/34/49/339133449.db2.gz YDHBPQKKEJJWLC-APWZRJJASA-N 0 3 312.457 4.471 20 0 DIADHN CCC1(C(=O)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)CCCC1 ZINC000464430679 339141800 /nfs/dbraw/zinc/14/18/00/339141800.db2.gz SFDJKSVMSXQZCL-AEFFLSMTSA-N 0 3 316.489 4.073 20 0 DIADHN CCCN(CCc1cccs1)Cc1ccc(C(=O)OC)s1 ZINC000464565771 339144375 /nfs/dbraw/zinc/14/43/75/339144375.db2.gz AZHFCQDJTBMURF-UHFFFAOYSA-N 0 3 323.483 4.051 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nnc(-c2ccccc2)s1 ZINC000464684941 339148729 /nfs/dbraw/zinc/14/87/29/339148729.db2.gz IZDQLJMZWRPZOI-HNNXBMFYSA-N 0 3 301.459 4.360 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(Cl)ccc2OC)C1 ZINC000464768940 339152105 /nfs/dbraw/zinc/15/21/05/339152105.db2.gz CPKQRNNKIWBBFM-HUUCEWRRSA-N 0 3 313.894 4.455 20 0 DIADHN CC(C)[C@@H]1CN(Cc2c(Cl)ccc3cccnc32)CCS1 ZINC000464771031 339152478 /nfs/dbraw/zinc/15/24/78/339152478.db2.gz KHSBAJUOVUHPHI-INIZCTEOSA-N 0 3 320.889 4.462 20 0 DIADHN CC[C@](C)(NCCSc1ccccc1)c1nc(C)cs1 ZINC000464806788 339154702 /nfs/dbraw/zinc/15/47/02/339154702.db2.gz RCHNVOVKMBBAJM-INIZCTEOSA-N 0 3 306.500 4.459 20 0 DIADHN Cc1nc(C(C)(C)NCCCOc2ccc(F)cc2)sc1C ZINC000464804072 339154723 /nfs/dbraw/zinc/15/47/23/339154723.db2.gz CCAWMIVBKMNVKW-UHFFFAOYSA-N 0 3 322.449 4.193 20 0 DIADHN C[C@@H](NC(=O)CN1C[C@H](C)CC[C@@H]1C)c1ccc2ccccc2c1 ZINC000464831755 339155820 /nfs/dbraw/zinc/15/58/20/339155820.db2.gz POFYDLLKWVPTGS-IXDOHACOSA-N 0 3 324.468 4.137 20 0 DIADHN COc1ccccc1OCCN1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000464846740 339157359 /nfs/dbraw/zinc/15/73/59/339157359.db2.gz BLNBQJSNCSCQDZ-JKSUJKDBSA-N 0 3 315.413 4.140 20 0 DIADHN CCc1ccc([C@H]2C[C@@H](C)CCN2CCn2nc(C)cc2C)o1 ZINC000464855506 339158194 /nfs/dbraw/zinc/15/81/94/339158194.db2.gz UKMVOZRBFFGDIX-KBXCAEBGSA-N 0 3 315.461 4.129 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1nc2cc(F)ccc2o1 ZINC000464875543 339159764 /nfs/dbraw/zinc/15/97/64/339159764.db2.gz DIOIURAGWAEOKP-DZGCQCFKSA-N 0 3 310.372 4.345 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N1C[C@@H]2CCC[C@@H]2C1 ZINC000464886027 339160333 /nfs/dbraw/zinc/16/03/33/339160333.db2.gz AJFHKCOXKYUUPB-VVLHAWIVSA-N 0 3 314.473 4.259 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3C[C@H]4CCC[C@@H]4C3)n2)cc1 ZINC000464896190 339160716 /nfs/dbraw/zinc/16/07/16/339160716.db2.gz NUUPJANVTGPIPB-IAGOWNOFSA-N 0 3 311.429 4.092 20 0 DIADHN CCc1ccc(-c2ccc(CNCC(C)(C)C[C@H](C)O)o2)cc1 ZINC000464999987 339165135 /nfs/dbraw/zinc/16/51/35/339165135.db2.gz HOPVXFYUYAXZTG-HNNXBMFYSA-N 0 3 315.457 4.396 20 0 DIADHN CO[C@@H](CNCc1ccccc1OC1CCCC1)C(C)(C)C ZINC000465005023 339165243 /nfs/dbraw/zinc/16/52/43/339165243.db2.gz XTBODVFATZFRJJ-SFHVURJKSA-N 0 3 305.462 4.159 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H](CCO)C(C)C)o2)cc1 ZINC000465009834 339165342 /nfs/dbraw/zinc/16/53/42/339165342.db2.gz GHIPKNCKYYDMKY-SFHVURJKSA-N 0 3 301.430 4.006 20 0 DIADHN Cc1nc(NC2CCN([C@H](C)c3ccccc3)CC2)sc1C ZINC000465055788 339167180 /nfs/dbraw/zinc/16/71/80/339167180.db2.gz HYKIZNIBANPCEM-CQSZACIVSA-N 0 3 315.486 4.397 20 0 DIADHN CCC(C)(C)CC(=O)NC[C@@H]1CCCN(C)[C@H]1c1cccs1 ZINC000465107000 339168949 /nfs/dbraw/zinc/16/89/49/339168949.db2.gz APXDZLQWPDEEAB-WMLDXEAASA-N 0 3 322.518 4.074 20 0 DIADHN Cc1nn(C(C)C)cc1CNCC1(c2ccccc2Cl)CC1 ZINC000466346182 339200183 /nfs/dbraw/zinc/20/01/83/339200183.db2.gz JPTKWOZZYUWCJB-UHFFFAOYSA-N 0 3 317.864 4.247 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CCC[C@H]([C@H]2CCOC2)C1 ZINC000466360452 339200594 /nfs/dbraw/zinc/20/05/94/339200594.db2.gz DSVNRAXIOFGNNQ-DUVNUKRYSA-N 0 3 311.828 4.164 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H](C)CSc1ccc(C)cc1 ZINC000466360759 339200756 /nfs/dbraw/zinc/20/07/56/339200756.db2.gz JFFHNJJAKRSSDV-OAHLLOKOSA-N 0 3 317.502 4.351 20 0 DIADHN CCn1nc(CNC2CC(C)(c3ccccc3)C2)c2ccccc21 ZINC000466389803 339202021 /nfs/dbraw/zinc/20/20/21/339202021.db2.gz FJHOOIQZXVEQOM-UHFFFAOYSA-N 0 3 319.452 4.266 20 0 DIADHN C[C@@H](CCNCc1cnc(C(F)(F)F)s1)c1ccccc1 ZINC000466406018 339202862 /nfs/dbraw/zinc/20/28/62/339202862.db2.gz JCWRSDHCFCUUEO-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN Cc1ncc(CNC2CC(c3ccccc3)C2)n1-c1ccccc1 ZINC000466420693 339203796 /nfs/dbraw/zinc/20/37/96/339203796.db2.gz FEWPFWQJTXKOAK-UHFFFAOYSA-N 0 3 317.436 4.217 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCC1CCC(C(F)(F)F)CC1)CO2 ZINC000466454494 339205681 /nfs/dbraw/zinc/20/56/81/339205681.db2.gz WQANSGJUSUUHNQ-MGULZYLOSA-N 0 3 317.326 4.218 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N2CC[C@H](CC(C)C)C2)c1 ZINC000466718727 339214115 /nfs/dbraw/zinc/21/41/15/339214115.db2.gz ORTWGZMSKDMILL-LSDHHAIUSA-N 0 3 320.502 4.104 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000466735395 339214860 /nfs/dbraw/zinc/21/48/60/339214860.db2.gz OVRWVCQJWVYCSI-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@H](NC1CCC2(CCCCC2)CC1)c1nnc2ccccn21 ZINC000466737038 339215036 /nfs/dbraw/zinc/21/50/36/339215036.db2.gz CQLAORKGCSCZAE-HNNXBMFYSA-N 0 3 312.461 4.273 20 0 DIADHN CC(C)CO[C@@H](C)c1noc(CN(CC(C)C)C2CCCC2)n1 ZINC000466739870 339215192 /nfs/dbraw/zinc/21/51/92/339215192.db2.gz SBVKKPICAOWKDX-HNNXBMFYSA-N 0 3 323.481 4.204 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N2CC[C@@H](CC(C)C)C2)cc1 ZINC000466747637 339215578 /nfs/dbraw/zinc/21/55/78/339215578.db2.gz LXMLESGHJAEDOU-GJZGRUSLSA-N 0 3 320.502 4.104 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CC[C@@H](CC(C)C)C1 ZINC000466747980 339215760 /nfs/dbraw/zinc/21/57/60/339215760.db2.gz QYCCJAPHEQFUCU-CABCVRRESA-N 0 3 322.880 4.343 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc2c(c1)COC2 ZINC000466891309 339220212 /nfs/dbraw/zinc/22/02/12/339220212.db2.gz PZKACBIOEZMVLD-UHFFFAOYSA-N 0 3 321.342 4.334 20 0 DIADHN C[C@@H](NC(=O)CN1[C@H](C)CCC[C@@H]1C)c1cccc2ccccc21 ZINC000466905716 339220772 /nfs/dbraw/zinc/22/07/72/339220772.db2.gz VKPCORCGQMQSHJ-IXDOHACOSA-N 0 3 324.468 4.280 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2csc(Cl)c2)c1 ZINC000466922436 339221698 /nfs/dbraw/zinc/22/16/98/339221698.db2.gz YIGTZLVQVOJKAZ-UHFFFAOYSA-N 0 3 322.861 4.496 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@H](C)C[C@@H]1CC)c1ccc(Cl)cc1 ZINC000466926407 339222012 /nfs/dbraw/zinc/22/20/12/339222012.db2.gz SALKZVQHRPJKMH-COXVUDFISA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000466934225 339222202 /nfs/dbraw/zinc/22/22/02/339222202.db2.gz BSDXLTJWIWZNSI-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1nc2ccc(F)cc2o1 ZINC000466951227 339222404 /nfs/dbraw/zinc/22/24/04/339222404.db2.gz LKIQUBZIMROPFZ-ZFWWWQNUSA-N 0 3 310.372 4.345 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1nc2ccc(F)cc2o1 ZINC000466951225 339222522 /nfs/dbraw/zinc/22/25/22/339222522.db2.gz LKIQUBZIMROPFZ-HIFRSBDPSA-N 0 3 310.372 4.345 20 0 DIADHN CCc1noc(C)c1CN1C[C@H](c2ccc(F)cc2)C[C@H]1C ZINC000466959625 339222800 /nfs/dbraw/zinc/22/28/00/339222800.db2.gz LSYXDZGWYHESEP-IUODEOHRSA-N 0 3 302.393 4.063 20 0 DIADHN CCc1noc(C)c1CN1C[C@@H](c2ccc(F)cc2)C[C@H]1C ZINC000466959624 339222903 /nfs/dbraw/zinc/22/29/03/339222903.db2.gz LSYXDZGWYHESEP-DOMZBBRYSA-N 0 3 302.393 4.063 20 0 DIADHN CCc1noc(C)c1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC000466958663 339222920 /nfs/dbraw/zinc/22/29/20/339222920.db2.gz XNIIWUXEWSNNMY-GFCCVEGCSA-N 0 3 306.837 4.262 20 0 DIADHN Cc1cccc(C2(CN[C@@H](C)c3cnccn3)CCCCC2)c1 ZINC000466994393 339223848 /nfs/dbraw/zinc/22/38/48/339223848.db2.gz DPCTYRMCVSBJQW-KRWDZBQOSA-N 0 3 309.457 4.338 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN([C@@H](C)c3cnccn3)C2)cc1 ZINC000467190651 339227531 /nfs/dbraw/zinc/22/75/31/339227531.db2.gz HUDXEECOWYRCRU-WMZOPIPTSA-N 0 3 309.457 4.226 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000467211703 339228523 /nfs/dbraw/zinc/22/85/23/339228523.db2.gz NLUUQPDTISRLOO-FUHWJXTLSA-N 0 3 322.452 4.413 20 0 DIADHN COCC[C@H]1CCCCN(CCc2c(F)cccc2Cl)C1 ZINC000467268632 339229375 /nfs/dbraw/zinc/22/93/75/339229375.db2.gz MTJVLLJZSCHXQN-CQSZACIVSA-N 0 3 313.844 4.160 20 0 DIADHN CO[C@@H]1CN([C@H](C)c2ccc(C(F)(F)F)cc2)CC[C@H]1C ZINC000467286293 339229791 /nfs/dbraw/zinc/22/97/91/339229791.db2.gz RXYNMHWMLSCZDB-LALPHHSUSA-N 0 3 301.352 4.123 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@@H](C)c1ccccn1 ZINC000467305976 339230701 /nfs/dbraw/zinc/23/07/01/339230701.db2.gz WDJOLAOVOZGELQ-OLZOCXBDSA-N 0 3 308.347 4.382 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1)c1cccc(F)c1F ZINC000467351707 339233420 /nfs/dbraw/zinc/23/34/20/339233420.db2.gz CGRWKWYZYYXDID-LJISPDSOSA-N 0 3 309.400 4.211 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@@H](c2cncc(F)c2)C2CC2)c1 ZINC000467357576 339233735 /nfs/dbraw/zinc/23/37/35/339233735.db2.gz JIHWYDBUWPPCLM-AUUYWEPGSA-N 0 3 314.404 4.037 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000467370868 339234635 /nfs/dbraw/zinc/23/46/35/339234635.db2.gz RMYSYSNBCCORAU-YESZJQIVSA-N 0 3 313.441 4.340 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](c2cncc(F)c2)C2CC2)C1(CC)CC ZINC000467370295 339234694 /nfs/dbraw/zinc/23/46/94/339234694.db2.gz PBAHOMGTAFVSGI-KSZLIROESA-N 0 3 320.452 4.245 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000467370865 339234888 /nfs/dbraw/zinc/23/48/88/339234888.db2.gz RMYSYSNBCCORAU-BBWFWOEESA-N 0 3 313.441 4.340 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000467383274 339235844 /nfs/dbraw/zinc/23/58/44/339235844.db2.gz DNHDLKQVPWDBHU-DCAQKATOSA-N 0 3 310.488 4.254 20 0 DIADHN Cc1ccc(OCCCN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467385421 339235934 /nfs/dbraw/zinc/23/59/34/339235934.db2.gz MSQKESDDXYDJGG-LJQANCHMSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1cccc(C[C@@H](CO)N[C@H](C)c2ccc(F)c(Cl)c2)c1 ZINC000467407631 339236768 /nfs/dbraw/zinc/23/67/68/339236768.db2.gz NXNGCOYJRKQTID-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccccc1C[C@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000467413317 339237274 /nfs/dbraw/zinc/23/72/74/339237274.db2.gz MMTDQCYSIAAMMI-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@H](CO)c1cccc(Cl)c1F ZINC000467421326 339237788 /nfs/dbraw/zinc/23/77/88/339237788.db2.gz VILHWEIBWDBZPQ-WMLDXEAASA-N 0 3 321.823 4.123 20 0 DIADHN Cc1nn(C(C)C)cc1CN1C[C@@H](c2ccc(F)cc2)C[C@H]1C ZINC000467444671 339239077 /nfs/dbraw/zinc/23/90/77/339239077.db2.gz PNGVYZRRJJSRNG-PBHICJAKSA-N 0 3 315.436 4.290 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](C)CC(=O)OC(C)(C)C)cc1 ZINC000467444642 339239095 /nfs/dbraw/zinc/23/90/95/339239095.db2.gz PAPFSHCSSIKZJT-QWHCGFSZSA-N 0 3 309.475 4.179 20 0 DIADHN C[C@H](NC[C@H](CO)CC1CCCC1)c1ccc2ccccc2n1 ZINC000467461273 339239894 /nfs/dbraw/zinc/23/98/94/339239894.db2.gz WFWCYFYQNLBOAF-DOTOQJQBSA-N 0 3 312.457 4.074 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@H](c2ccccc2)[C@H](C)C1 ZINC000467460247 339239952 /nfs/dbraw/zinc/23/99/52/339239952.db2.gz UMAXTDHVCFVPHR-UZLBHIALSA-N 0 3 311.473 4.398 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@@H]1/C=C\c1ccccc1 ZINC000467484175 339240220 /nfs/dbraw/zinc/24/02/20/339240220.db2.gz RQDMZKOXHDZUIE-ZCPJJFRWSA-N 0 3 309.457 4.450 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1nc2ccccc2s1 ZINC000467510144 339240474 /nfs/dbraw/zinc/24/04/74/339240474.db2.gz CXVNGWDAEGKRBO-CYBMUJFWSA-N 0 3 312.438 4.065 20 0 DIADHN C[C@H](CCN[C@@H](CO)c1cccc(Cl)c1F)c1ccccc1 ZINC000467513372 339240667 /nfs/dbraw/zinc/24/06/67/339240667.db2.gz RBXKTRKVQRAJOA-DYVFJYSZSA-N 0 3 321.823 4.296 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@](C)(c2ccc(C)cc2)C1 ZINC000467514655 339240771 /nfs/dbraw/zinc/24/07/71/339240771.db2.gz SUABBZDVWNOZMZ-HXUWFJFHSA-N 0 3 311.473 4.244 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N1CCCC[C@@H]1c1ccnn1C ZINC000467540719 339241282 /nfs/dbraw/zinc/24/12/82/339241282.db2.gz CATXTZADDPQDFP-DNVCBOLYSA-N 0 3 315.436 4.108 20 0 DIADHN COc1c(O)cccc1CN1CC[C@@H](c2ccccc2)C[C@H]1C ZINC000467550948 339242109 /nfs/dbraw/zinc/24/21/09/339242109.db2.gz PLNLAVHTZJLLFD-NVXWUHKLSA-N 0 3 311.425 4.169 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3)C[C@@H]2C)s1 ZINC000467550312 339242150 /nfs/dbraw/zinc/24/21/50/339242150.db2.gz JBGYEKCTPJNMHI-GOEBONIOSA-N 0 3 300.471 4.474 20 0 DIADHN CC[C@H]1CN(Cc2ccc(C(F)(F)F)cc2C)CCCO1 ZINC000467554644 339242403 /nfs/dbraw/zinc/24/24/03/339242403.db2.gz QEGCCJWSTZAOGP-HNNXBMFYSA-N 0 3 301.352 4.015 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1ccc2ccccc2n1 ZINC000467556921 339242470 /nfs/dbraw/zinc/24/24/70/339242470.db2.gz HDWLMLCWYSEPJI-UHFFFAOYSA-N 0 3 320.436 4.274 20 0 DIADHN CC[C@H]1CN(Cc2ccc(-c3ccccc3F)o2)CCCO1 ZINC000467556115 339242494 /nfs/dbraw/zinc/24/24/94/339242494.db2.gz XAYYDISCOXELGB-AWEZNQCLSA-N 0 3 303.377 4.087 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(-c3ccc(F)cc3)o2)CCCO1 ZINC000467558565 339242641 /nfs/dbraw/zinc/24/26/41/339242641.db2.gz CAUDXKJRCIDDDF-MRXNPFEDSA-N 0 3 303.377 4.087 20 0 DIADHN CC[C@@H]1CN(Cc2ccccc2OC2CCCC2)CCCO1 ZINC000467559660 339242657 /nfs/dbraw/zinc/24/26/57/339242657.db2.gz JUQBTQMVBCLXHN-QGZVFWFLSA-N 0 3 303.446 4.009 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cnc2ccccn12 ZINC000467562879 339242942 /nfs/dbraw/zinc/24/29/42/339242942.db2.gz DDRZYRZWZDXXSS-SJLPKXTDSA-N 0 3 305.425 4.102 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CNC2CC(C)C2)on1 ZINC000467635652 339243652 /nfs/dbraw/zinc/24/36/52/339243652.db2.gz GCDMEHAJHJKSSW-UHFFFAOYSA-N 0 3 322.408 4.392 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NCCN1[C@@H](C)CCC[C@@H]1C ZINC000467681086 339244334 /nfs/dbraw/zinc/24/43/34/339244334.db2.gz PFNOGEQIZYCHQE-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cncc(C)c2)C12CCCCC2 ZINC000467732469 339244793 /nfs/dbraw/zinc/24/47/93/339244793.db2.gz HQUWHQYANQQUBE-CGTJXYLNSA-N 0 3 302.462 4.169 20 0 DIADHN Cc1ccsc1CCN[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000467737805 339245192 /nfs/dbraw/zinc/24/51/92/339245192.db2.gz OBINRROGLHREHP-VIFPVBQESA-N 0 3 320.405 4.425 20 0 DIADHN Clc1cccc(CN[C@H]2CCCC[C@@H]2n2cccn2)c1Cl ZINC000467761519 339246010 /nfs/dbraw/zinc/24/60/10/339246010.db2.gz AGSJPPHIKONWOF-GJZGRUSLSA-N 0 3 324.255 4.463 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2ccc(F)c(C)c2)cc1 ZINC000467762835 339246030 /nfs/dbraw/zinc/24/60/30/339246030.db2.gz DJPMAVYOWSXCHW-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCC[C@H]2n2cccn2)c(Cl)c1 ZINC000467764343 339246047 /nfs/dbraw/zinc/24/60/47/339246047.db2.gz OQDMPPNOGSMUOZ-HZPDHXFCSA-N 0 3 324.255 4.463 20 0 DIADHN CCO[C@H](CCNCc1ccc(-c2ccccc2)o1)C(C)C ZINC000467765133 339246237 /nfs/dbraw/zinc/24/62/37/339246237.db2.gz AIKFDLRSUTYNGK-GOSISDBHSA-N 0 3 301.430 4.487 20 0 DIADHN CCCC[C@H](NCc1ccc(C(=O)NCC)cc1)c1ccccc1 ZINC000467836319 339247209 /nfs/dbraw/zinc/24/72/09/339247209.db2.gz JGMSODACWAXITM-FQEVSTJZSA-N 0 3 324.468 4.457 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc(F)c(F)c1)Oc1ccccc1F ZINC000467854999 339247842 /nfs/dbraw/zinc/24/78/42/339247842.db2.gz XSDZRNSHVSGPFY-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N1CCCCC[C@H]1c1ccccc1 ZINC000468012547 339251235 /nfs/dbraw/zinc/25/12/35/339251235.db2.gz WHAADEZHJLBVJS-SCTDSRPQSA-N 0 3 314.473 4.003 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(C)c(C)c1)CCO2 ZINC000468019109 339251331 /nfs/dbraw/zinc/25/13/31/339251331.db2.gz FHZQSLQKKBCKLR-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@H](c3ccccc3)[C@@H]1C)CCO2 ZINC000468025470 339251568 /nfs/dbraw/zinc/25/15/68/339251568.db2.gz HXEAIUGSHJZDQT-GALXQHASSA-N 0 3 323.436 4.301 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1c3ccccc3C[C@@H]1C)CCO2 ZINC000468031757 339251842 /nfs/dbraw/zinc/25/18/42/339251842.db2.gz XOEZSDDBIJZCFI-GMVOTWDCSA-N 0 3 309.409 4.042 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000468043399 339252441 /nfs/dbraw/zinc/25/24/41/339252441.db2.gz VFMDUZYOBFVPNO-RZAIGCCYSA-N 0 3 323.864 4.204 20 0 DIADHN C[C@H](C(=O)Nc1cccc2ccccc21)N1CCC2(CCC2)C1 ZINC000468124732 339254763 /nfs/dbraw/zinc/25/47/63/339254763.db2.gz PXEQWHRYGJKBQR-OAHLLOKOSA-N 0 3 308.425 4.043 20 0 DIADHN Cc1ccc(C(=O)Nc2ccc(CN(C)C)cc2)c(F)c1Cl ZINC000468236873 339257144 /nfs/dbraw/zinc/25/71/44/339257144.db2.gz ZZHWIZVMEJJQGB-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](C(C)C)C3)o2)cc1C ZINC000468289120 339259032 /nfs/dbraw/zinc/25/90/32/339259032.db2.gz XLVFNPWXITWLFO-NVXWUHKLSA-N 0 3 313.445 4.392 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@@H](COCC)C1 ZINC000468411179 339262378 /nfs/dbraw/zinc/26/23/78/339262378.db2.gz GQMDQBHQZYJTAB-QGZVFWFLSA-N 0 3 305.462 4.114 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000468425755 339262759 /nfs/dbraw/zinc/26/27/59/339262759.db2.gz BMNXREDANGHXTO-BQFCYCMXSA-N 0 3 304.478 4.010 20 0 DIADHN c1c(CN[C@@H]2CCC[C@@H]2CCc2ccccc2)nc2n1CCCC2 ZINC000468513509 339265035 /nfs/dbraw/zinc/26/50/35/339265035.db2.gz CMTSEMDTHZYXAK-UYAOXDASSA-N 0 3 323.484 4.111 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccc(F)cc1)c1ccc(C)o1 ZINC000468561139 339266442 /nfs/dbraw/zinc/26/64/42/339266442.db2.gz RYHKDSFNLQJNSC-DYVFJYSZSA-N 0 3 305.393 4.026 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@@H](CC(C)C)C1 ZINC000468857742 339272783 /nfs/dbraw/zinc/27/27/83/339272783.db2.gz FYUAHSQVKBVBKR-ROUUACIJSA-N 0 3 316.489 4.389 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)CN(C)C)c1csc(Cl)c1 ZINC000468894860 339273998 /nfs/dbraw/zinc/27/39/98/339273998.db2.gz HTMMEIMVIUDIBX-XJKSGUPXSA-N 0 3 322.905 4.225 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCO[C@H]2CC(C)C)cnn1C(C)(C)C ZINC000468903032 339274146 /nfs/dbraw/zinc/27/41/46/339274146.db2.gz JJKLMRKCVWSJBQ-WBAXXEDZSA-N 0 3 321.509 4.191 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(C(C)(C)C)c1C ZINC000468922409 339274757 /nfs/dbraw/zinc/27/47/57/339274757.db2.gz KLEXNLPYBXTVFD-JQWIXIFHSA-N 0 3 305.388 4.328 20 0 DIADHN C[C@H](NC[C@H](c1ccsc1)N(C)C)c1csc(Cl)c1 ZINC000468953217 339275773 /nfs/dbraw/zinc/27/57/73/339275773.db2.gz NGPCJAJOSIGIQS-GXFFZTMASA-N 0 3 314.907 4.417 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](C)c1csc(Cl)c1 ZINC000469022323 339277935 /nfs/dbraw/zinc/27/79/35/339277935.db2.gz RZIMYFHLKSLIMZ-NXEZZACHSA-N 0 3 303.855 4.172 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C(C)C)c2C)C1(C)C ZINC000469036657 339278402 /nfs/dbraw/zinc/27/84/02/339278402.db2.gz SMGMFPREVRNMGW-BMGDILEWSA-N 0 3 321.509 4.407 20 0 DIADHN FC(F)(F)COCCN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000469120610 339280771 /nfs/dbraw/zinc/28/07/71/339280771.db2.gz ZHTGBJLYRAXVDW-CQSZACIVSA-N 0 3 321.770 4.350 20 0 DIADHN COCC[C@@H](Cc1ccco1)N[C@@H](C)c1csc(Cl)c1 ZINC000469133117 339281032 /nfs/dbraw/zinc/28/10/32/339281032.db2.gz RAVUYTGCSBVNLY-AAEUAGOBSA-N 0 3 313.850 4.293 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cnn(C(C)(C)C)c2C)C[C@@H]1OC ZINC000469184013 339282442 /nfs/dbraw/zinc/28/24/42/339282442.db2.gz QRKLVBBPTRNYIK-XYPHTWIQSA-N 0 3 321.509 4.191 20 0 DIADHN Fc1ccc(C=C2CCN(C[C@H]3CCCCO3)CC2)cc1F ZINC000469446800 339289742 /nfs/dbraw/zinc/28/97/42/339289742.db2.gz SVWXBPYXIMGOMZ-MRXNPFEDSA-N 0 3 307.384 4.013 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469453401 339289898 /nfs/dbraw/zinc/28/98/98/339289898.db2.gz YNFNMFKLSQIHKL-CQSZACIVSA-N 0 3 316.395 4.376 20 0 DIADHN COCCCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000469523470 339292471 /nfs/dbraw/zinc/29/24/71/339292471.db2.gz JUNWUJWZACYWLZ-HNNXBMFYSA-N 0 3 301.352 4.269 20 0 DIADHN CO[C@@H](C)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000469522804 339292474 /nfs/dbraw/zinc/29/24/74/339292474.db2.gz YNBLJQYVJMVYAE-SWLSCSKDSA-N 0 3 301.352 4.267 20 0 DIADHN OCCCCCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000469524352 339292533 /nfs/dbraw/zinc/29/25/33/339292533.db2.gz YJAUVTGLGRCJTK-INIZCTEOSA-N 0 3 315.379 4.395 20 0 DIADHN COC(C)(C)CCN1CCSC[C@H]1c1ccc(Cl)cc1 ZINC000469614307 339294646 /nfs/dbraw/zinc/29/46/46/339294646.db2.gz YJNUUIPBLZXDPO-HNNXBMFYSA-N 0 3 313.894 4.245 20 0 DIADHN CC(C)c1ccc([C@H](C)NCCOCC(F)(F)C(F)F)cc1 ZINC000469716143 339296336 /nfs/dbraw/zinc/29/63/36/339296336.db2.gz CYAGFDFYOVKYFO-LBPRGKRZSA-N 0 3 321.358 4.378 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2C[C@@H](O)CC(F)(F)F)cc1 ZINC000469720165 339296454 /nfs/dbraw/zinc/29/64/54/339296454.db2.gz DPSLMAWLODEMRC-HOTGVXAUSA-N 0 3 315.379 4.260 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2C[C@@H](O)CC(F)(F)F)cc1 ZINC000469720167 339296458 /nfs/dbraw/zinc/29/64/58/339296458.db2.gz DPSLMAWLODEMRC-JKSUJKDBSA-N 0 3 315.379 4.260 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cnn(Cc3ccccc3)c2)c1 ZINC000469759369 339297953 /nfs/dbraw/zinc/29/79/53/339297953.db2.gz YKUKMGZYTVPHEW-KRWDZBQOSA-N 0 3 319.452 4.345 20 0 DIADHN Fc1ccc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)nc1 ZINC000469768631 339298326 /nfs/dbraw/zinc/29/83/26/339298326.db2.gz LEUALIGRSIPESL-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1ccc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)nc1 ZINC000469768632 339298386 /nfs/dbraw/zinc/29/83/86/339298386.db2.gz LEUALIGRSIPESL-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1ccc(C=C2CCN(CC[C@@H]3CCCO3)CC2)cc1F ZINC000469767847 339298400 /nfs/dbraw/zinc/29/84/00/339298400.db2.gz ZXMJXPDYIJWYKU-INIZCTEOSA-N 0 3 307.384 4.013 20 0 DIADHN CC[C@@H]1CCCCN1CCN[C@@H](C)c1csc(C(C)C)n1 ZINC000469776897 339299114 /nfs/dbraw/zinc/29/91/14/339299114.db2.gz TXCUDZPGRCEZDH-LSDHHAIUSA-N 0 3 309.523 4.182 20 0 DIADHN C[C@@H](CCC1CC1)NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000469776506 339299123 /nfs/dbraw/zinc/29/91/23/339299123.db2.gz QXZQYENLYZPFGC-HNNXBMFYSA-N 0 3 309.457 4.029 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@@H]1NCc1ccc(F)cn1 ZINC000469777944 339299231 /nfs/dbraw/zinc/29/92/31/339299231.db2.gz ZHUOOBSHSSTROC-PKOBYXMFSA-N 0 3 314.404 4.009 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccn(C2CCCC2)n1 ZINC000469789586 339300212 /nfs/dbraw/zinc/30/02/12/339300212.db2.gz FQOKIKYKBMNMHL-SJORKVTESA-N 0 3 307.507 4.152 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1nc2ccc(F)cc2o1 ZINC000469791938 339300280 /nfs/dbraw/zinc/30/02/80/339300280.db2.gz RVWWCZVBNMQLAE-ZFWWWQNUSA-N 0 3 308.422 4.121 20 0 DIADHN CCOc1cccc(CN[C@@H](C)CCC2CC2)c1OC(F)F ZINC000469800364 339301015 /nfs/dbraw/zinc/30/10/15/339301015.db2.gz LJAPVFRJESQWBD-LBPRGKRZSA-N 0 3 313.388 4.355 20 0 DIADHN Fc1ccc(OC2CCN(CCc3ccccc3F)CC2)cc1 ZINC000469904158 339304304 /nfs/dbraw/zinc/30/43/04/339304304.db2.gz DNKGCDCRKLAHEI-UHFFFAOYSA-N 0 3 317.379 4.051 20 0 DIADHN COc1ccc(C)cc1CN1CC[C@H](C(F)(F)F)C1(C)C ZINC000469998202 339307797 /nfs/dbraw/zinc/30/77/97/339307797.db2.gz DQDSHFGFCBYWHJ-AWEZNQCLSA-N 0 3 301.352 4.166 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(N(C)c2ccccc2)nc1 ZINC000470105394 339310845 /nfs/dbraw/zinc/31/08/45/339310845.db2.gz OUAACSZCPFTGDO-CYBMUJFWSA-N 0 3 323.362 4.280 20 0 DIADHN COc1ccccc1/C=C\CN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000470131452 339311356 /nfs/dbraw/zinc/31/13/56/339311356.db2.gz GPOYHDUXGGBAJA-DDJMYBDESA-N 0 3 313.363 4.371 20 0 DIADHN C[C@H]1CN(Cc2cc(Br)cc3cccnc32)C[C@H]1C ZINC000470202664 339314151 /nfs/dbraw/zinc/31/41/51/339314151.db2.gz KJDWKODZUJSUKO-TXEJJXNPSA-N 0 3 319.246 4.085 20 0 DIADHN CC(C(=O)N1C[C@H](c2ccccc2)c2ccccc21)C(F)(F)F ZINC000470203535 339314201 /nfs/dbraw/zinc/31/42/01/339314201.db2.gz CUZWYFHCMOHTSC-IUODEOHRSA-N 0 3 319.326 4.364 20 0 DIADHN Cc1csc(C2(NCCc3ccccc3F)CCCC2)n1 ZINC000470249367 339315210 /nfs/dbraw/zinc/31/52/10/339315210.db2.gz XZAMNQRUIOCLID-UHFFFAOYSA-N 0 3 304.434 4.192 20 0 DIADHN Cc1cccc2nc(CNC[C@@H](C)c3cccc(Cl)c3)cn21 ZINC000470351808 339318014 /nfs/dbraw/zinc/31/80/14/339318014.db2.gz AVGBEJUQTUCMQL-CYBMUJFWSA-N 0 3 313.832 4.189 20 0 DIADHN C[C@@H](CN[C@@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000470355246 339318029 /nfs/dbraw/zinc/31/80/29/339318029.db2.gz VVBSRGIUSRJPDL-KBPBESRZSA-N 0 3 316.832 4.060 20 0 DIADHN Cc1ccn2cc(CNC[C@@H](C)c3cccc(Cl)c3)nc2c1 ZINC000470355426 339318082 /nfs/dbraw/zinc/31/80/82/339318082.db2.gz DJIKRCRRUPFTGK-CQSZACIVSA-N 0 3 313.832 4.189 20 0 DIADHN CC(C)c1ccc(SCCCN2CCN(C(C)C)CC2)cc1 ZINC000470449250 339320066 /nfs/dbraw/zinc/32/00/66/339320066.db2.gz FLPZCXDEOULYCF-UHFFFAOYSA-N 0 3 320.546 4.318 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H](C)[C@@H](C)c1ccccc1)n2C ZINC000470545595 339321970 /nfs/dbraw/zinc/32/19/70/339321970.db2.gz GTJYGAVIGFSLEI-HZPDHXFCSA-N 0 3 307.441 4.164 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470720699 339326104 /nfs/dbraw/zinc/32/61/04/339326104.db2.gz YGPTVSJTNCUPHB-UHFFFAOYSA-N 0 3 305.368 4.273 20 0 DIADHN CO[C@]1(C)CCCN([C@@H](C)c2ccccc2Br)C1 ZINC000470906474 339330950 /nfs/dbraw/zinc/33/09/50/339330950.db2.gz NGBKGFMUXHITBB-SWLSCSKDSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1C[C@@H]1CCC2(CCCCC2)O1 ZINC000470938913 339331713 /nfs/dbraw/zinc/33/17/13/339331713.db2.gz ALMJOUQCAIZPBW-RDBSUJKOSA-N 0 3 305.384 4.141 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(C(F)(F)F)cc1 ZINC000470946991 339332132 /nfs/dbraw/zinc/33/21/32/339332132.db2.gz MBNCEWSNGXXEDL-CABZTGNLSA-N 0 3 311.269 4.478 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000470953439 339332705 /nfs/dbraw/zinc/33/27/05/339332705.db2.gz OQBUXGIGYKUCLY-SWLSCSKDSA-N 0 3 301.352 4.247 20 0 DIADHN Cc1ccc([C@H](NCCCc2ccncc2)c2ccccn2)cc1 ZINC000471066728 339336284 /nfs/dbraw/zinc/33/62/84/339336284.db2.gz RGYAIGPMVGYNNW-NRFANRHFSA-N 0 3 317.436 4.097 20 0 DIADHN Cc1ccc([C@@H](NCCc2ccccc2)c2ccccn2)cc1 ZINC000471075359 339336499 /nfs/dbraw/zinc/33/64/99/339336499.db2.gz IEESLUWYOIUPGW-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN Cc1ccc([C@@H](NC2Cc3ccccc3C2)c2ccccn2)cc1 ZINC000471079992 339336621 /nfs/dbraw/zinc/33/66/21/339336621.db2.gz FLYFVPHYMCYHFX-JOCHJYFZSA-N 0 3 314.432 4.236 20 0 DIADHN CCCC(CCC)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000471132599 339337953 /nfs/dbraw/zinc/33/79/53/339337953.db2.gz FRRVDRXZYSMXAH-MAUKXSAKSA-N 0 3 304.478 4.010 20 0 DIADHN C[C@@H](NC(=O)C(C)(C)C1CCCC1)[C@H](c1ccccc1)N(C)C ZINC000471138955 339338191 /nfs/dbraw/zinc/33/81/91/339338191.db2.gz COCMSUAXWTZLCQ-CRAIPNDOSA-N 0 3 316.489 4.010 20 0 DIADHN c1ccc(-c2noc(CCCN3CC[C@@H]3c3ccccc3)n2)cc1 ZINC000471164494 339339190 /nfs/dbraw/zinc/33/91/90/339339190.db2.gz GBUISIFAEKJBAZ-GOSISDBHSA-N 0 3 319.408 4.116 20 0 DIADHN CCC(CC)(CC)NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000471220901 339340354 /nfs/dbraw/zinc/34/03/54/339340354.db2.gz PXKQGMNOJZDZQV-UHFFFAOYSA-N 0 3 302.418 4.409 20 0 DIADHN C[C@@H](NCCc1ccccc1OC(F)F)c1ccccc1F ZINC000471520552 339346648 /nfs/dbraw/zinc/34/66/48/339346648.db2.gz AAONUHGCGNKFBJ-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H](OC)c1ccccc1F ZINC000471578928 339347952 /nfs/dbraw/zinc/34/79/52/339347952.db2.gz SKLSMUGLULTNFY-WQGACYEGSA-N 0 3 323.433 4.323 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@H](c1ccccc1)N(C)C ZINC000471577466 339347955 /nfs/dbraw/zinc/34/79/55/339347955.db2.gz KDVSENHAUWPWTA-IQUTYRLHSA-N 0 3 318.411 4.307 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1F)[C@@H](c1ccccc1)N(C)C ZINC000471583524 339348064 /nfs/dbraw/zinc/34/80/64/339348064.db2.gz ACXXQXBLNNJULN-KHYOSLBOSA-N 0 3 300.421 4.168 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](OCc2ccccc2)C1(C)C)c1cncs1 ZINC000471588590 339348323 /nfs/dbraw/zinc/34/83/23/339348323.db2.gz LUTBKFVANXBJKA-XKQJLSEDSA-N 0 3 316.470 4.178 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1cc2ccccc2o1 ZINC000471592421 339348556 /nfs/dbraw/zinc/34/85/56/339348556.db2.gz FBWCGUQAUFMSBW-GFCCVEGCSA-N 0 3 301.411 4.396 20 0 DIADHN C[C@@H](NCC1(Cc2ccccc2)CCCCC1)c1nccn1C ZINC000471594455 339348614 /nfs/dbraw/zinc/34/86/14/339348614.db2.gz PNCBPOIGROJKAL-QGZVFWFLSA-N 0 3 311.473 4.264 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1ccccc1OC(F)(F)F ZINC000471760428 339353316 /nfs/dbraw/zinc/35/33/16/339353316.db2.gz QXASEDZGCVCOKI-LBPRGKRZSA-N 0 3 319.367 4.177 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1sc(C(C)(C)C)nc1C ZINC000471801857 339354688 /nfs/dbraw/zinc/35/46/88/339354688.db2.gz YCXSWQNJHXBUKV-LBPRGKRZSA-N 0 3 312.523 4.341 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(C(F)(F)F)c1C)N(CC)CC ZINC000471859186 339356216 /nfs/dbraw/zinc/35/62/16/339356216.db2.gz VIMSKKVQLCBHBV-AWEZNQCLSA-N 0 3 316.367 4.073 20 0 DIADHN CCn1nc(CNC[C@@H](C)Cc2cccs2)c2ccccc21 ZINC000472047919 339360761 /nfs/dbraw/zinc/36/07/61/339360761.db2.gz JFMFXFPWMLSFAK-AWEZNQCLSA-N 0 3 313.470 4.086 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+]C2CC(c3cccc(Cl)c3)C2)c1 ZINC000472059119 339361658 /nfs/dbraw/zinc/36/16/58/339361658.db2.gz LINMREOGNWEINE-UHFFFAOYSA-N 0 3 305.780 4.221 20 0 DIADHN CCSc1cccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000472072061 339362292 /nfs/dbraw/zinc/36/22/92/339362292.db2.gz CIBHASGVMPPGIC-QGZVFWFLSA-N 0 3 317.502 4.409 20 0 DIADHN COc1cc(C)cc(CNCc2cc3c(ccc(C)c3C)[nH]2)c1 ZINC000472071562 339362315 /nfs/dbraw/zinc/36/23/15/339362315.db2.gz ACEDHLWWZKRYLG-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN FC(F)(F)[C@H]1CCCC[C@@H]1CNCc1ncc(Cl)s1 ZINC000472074178 339362567 /nfs/dbraw/zinc/36/25/67/339362567.db2.gz LGDCDAXMKLUMPF-BDAKNGLRSA-N 0 3 312.788 4.255 20 0 DIADHN CCn1nc(CN[C@H](Cc2ccccc2)C2CC2)c2ccccc21 ZINC000472074632 339362610 /nfs/dbraw/zinc/36/26/10/339362610.db2.gz SAVLLRDRRXUUBE-LJQANCHMSA-N 0 3 319.452 4.167 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2nn(CC)c3ccccc23)C1 ZINC000472077060 339362777 /nfs/dbraw/zinc/36/27/77/339362777.db2.gz VDUXRWQDJVILSZ-LSDHHAIUSA-N 0 3 317.502 4.210 20 0 DIADHN Clc1cnc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)s1 ZINC000472080329 339363044 /nfs/dbraw/zinc/36/30/44/339363044.db2.gz NPGVPSHZDOEQJO-KGLIPLIRSA-N 0 3 306.862 4.298 20 0 DIADHN COc1ccsc1[C@H](C)NCCCOc1ccc(C)cc1 ZINC000472125797 339363546 /nfs/dbraw/zinc/36/35/46/339363546.db2.gz IFWVTPLVSCRXKI-AWEZNQCLSA-N 0 3 305.443 4.185 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)COc1cccc(F)c1 ZINC000472128268 339363558 /nfs/dbraw/zinc/36/35/58/339363558.db2.gz NXWUVJWRWQDGGO-VXGBXAGGSA-N 0 3 309.406 4.014 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCC2(CO)CCCC2)o1 ZINC000472144675 339364272 /nfs/dbraw/zinc/36/42/72/339364272.db2.gz VKBWVFDUOXXKOE-UHFFFAOYSA-N 0 3 317.404 4.036 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccncc1Cl ZINC000472323214 339369871 /nfs/dbraw/zinc/36/98/71/339369871.db2.gz FMOYQWQSQSNMGQ-GDBMZVCRSA-N 0 3 300.833 4.188 20 0 DIADHN CCCN(CCO)Cc1cnc(-c2ccc(C(C)C)cc2)s1 ZINC000472432373 339371725 /nfs/dbraw/zinc/37/17/25/339371725.db2.gz MIQRFVHKRSQQPZ-UHFFFAOYSA-N 0 3 318.486 4.138 20 0 DIADHN CCn1cc(CCN[C@@H](C)c2cccc(Cl)c2Cl)cn1 ZINC000472595085 339374854 /nfs/dbraw/zinc/37/48/54/339374854.db2.gz GBMYVDZEUWCLTP-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN Clc1cnccc1CN[C@@H]1CCC[C@@H]1Cc1ccccc1 ZINC000472611612 339375173 /nfs/dbraw/zinc/37/51/73/339375173.db2.gz OBDYGVIBCGPTQO-CRAIPNDOSA-N 0 3 300.833 4.236 20 0 DIADHN Cc1csc(NC2CCN(Cc3cccc(C)c3C)CC2)n1 ZINC000473066385 339380863 /nfs/dbraw/zinc/38/08/63/339380863.db2.gz SSEQKZZHJUNMDW-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN Cc1csc(NC2CCN(Cc3ccc(C)c(C)c3)CC2)n1 ZINC000473065341 339380885 /nfs/dbraw/zinc/38/08/85/339380885.db2.gz NMIQUIOCRVXIOV-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN CCn1nc(CN2CC[C@@H](c3ccccc3)C2)c2ccccc21 ZINC000473133313 339381726 /nfs/dbraw/zinc/38/17/26/339381726.db2.gz QTQOIDVYBOWVEE-QGZVFWFLSA-N 0 3 305.425 4.046 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@H]3c3cccn3C)cc2c1C ZINC000473138517 339382079 /nfs/dbraw/zinc/38/20/79/339382079.db2.gz DNUDLOMYGDGBKR-HXUWFJFHSA-N 0 3 307.441 4.460 20 0 DIADHN Cc1ccc2[nH]c(CN(CCCO)Cc3ccccc3)cc2c1C ZINC000473143746 339382469 /nfs/dbraw/zinc/38/24/69/339382469.db2.gz MWNOXXADWOIYIC-UHFFFAOYSA-N 0 3 322.452 4.169 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](OCC(C)C)C3)cc2c1C ZINC000473149332 339383044 /nfs/dbraw/zinc/38/30/44/339383044.db2.gz JLXHYMZVPUAHNU-QGZVFWFLSA-N 0 3 300.446 4.032 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](OCC(C)C)C3)cc2c1C ZINC000473149331 339383175 /nfs/dbraw/zinc/38/31/75/339383175.db2.gz JLXHYMZVPUAHNU-KRWDZBQOSA-N 0 3 300.446 4.032 20 0 DIADHN Cc1cccc(N2CCN(CCCCOC(C)(C)C)CC2)c1C ZINC000473680778 339390334 /nfs/dbraw/zinc/39/03/34/339390334.db2.gz WOEWLRPIDCCNMA-UHFFFAOYSA-N 0 3 318.505 4.021 20 0 DIADHN CCCOc1ccc(CNCc2cnc3cccc(C)n23)c(C)c1 ZINC000473984019 339396122 /nfs/dbraw/zinc/39/61/22/339396122.db2.gz PBWMFOCTGNXUAI-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN CCCOc1ccc(CNCc2ccccc2N(C)C)c(C)c1 ZINC000474001866 339396788 /nfs/dbraw/zinc/39/67/88/339396788.db2.gz ULJIMWJNKKKPQA-UHFFFAOYSA-N 0 3 312.457 4.140 20 0 DIADHN CCOC1CC(CCNCc2csc(-c3ccccc3)n2)C1 ZINC000474025890 339397443 /nfs/dbraw/zinc/39/74/43/339397443.db2.gz YDVHSMWHNGDTLM-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@H]1CCc2c1cccc2O ZINC000474037324 339398300 /nfs/dbraw/zinc/39/83/00/339398300.db2.gz QKDGBIOVIMEOLL-OALUTQOASA-N 0 3 323.436 4.400 20 0 DIADHN C[C@H](NCc1ccn(C2CCCC2)n1)C1CCC(F)(F)CC1 ZINC000474053187 339399525 /nfs/dbraw/zinc/39/95/25/339399525.db2.gz BGMCEUWJHWUOAX-ZDUSSCGKSA-N 0 3 311.420 4.302 20 0 DIADHN CCc1cccc(F)c1CNC1CCN(c2ccccc2)CC1 ZINC000474608872 339419683 /nfs/dbraw/zinc/41/96/83/339419683.db2.gz UNMQMKTYMSPAPK-UHFFFAOYSA-N 0 3 312.432 4.147 20 0 DIADHN Cc1ccc(CCCNCc2csc(-c3ccccc3)n2)cn1 ZINC000474627056 339420770 /nfs/dbraw/zinc/42/07/70/339420770.db2.gz HTWUSCCATXPXAL-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN CCc1cccc(F)c1CNCCCCc1nc(C)cs1 ZINC000474726914 339424276 /nfs/dbraw/zinc/42/42/76/339424276.db2.gz GNHCUWXYVFOLTF-UHFFFAOYSA-N 0 3 306.450 4.266 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2nc(C(F)(F)F)cs2)C1 ZINC000474809455 339427484 /nfs/dbraw/zinc/42/74/84/339427484.db2.gz DRYIOXLXYATIKV-MNOVXSKESA-N 0 3 306.397 4.466 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCCC[C@H]3C)n2)ccc1F ZINC000475151206 339440058 /nfs/dbraw/zinc/44/00/58/339440058.db2.gz NFZXUZDHODXBBR-PVXIVEMSSA-N 0 3 317.408 4.413 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000475159660 339440244 /nfs/dbraw/zinc/44/02/44/339440244.db2.gz QVFYEXJKGKQRAQ-ABAIWWIYSA-N 0 3 306.356 4.288 20 0 DIADHN CCOc1cccc(CN[C@H]2CC[C@H](c3ccc(F)cc3)C2)n1 ZINC000475343921 339448189 /nfs/dbraw/zinc/44/81/89/339448189.db2.gz UYZDOGYFHNULNH-RDJZCZTQSA-N 0 3 314.404 4.045 20 0 DIADHN OC[C@H](CC1CCC1)NCc1ccc(-c2ccccc2Cl)o1 ZINC000475512127 339453553 /nfs/dbraw/zinc/45/35/53/339453553.db2.gz NBNNMXDALAEYOW-AWEZNQCLSA-N 0 3 319.832 4.241 20 0 DIADHN Cn1c(CN[C@H]2CC[C@H](c3cccc(F)c3)C2)nc2ccccc21 ZINC000475573340 339456047 /nfs/dbraw/zinc/45/60/47/339456047.db2.gz HRNWZJUTIZXVRV-RDJZCZTQSA-N 0 3 323.415 4.138 20 0 DIADHN Cc1cccnc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000475576977 339456443 /nfs/dbraw/zinc/45/64/43/339456443.db2.gz BZTIHIFRUOSRIB-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000475578163 339456460 /nfs/dbraw/zinc/45/64/60/339456460.db2.gz MPRQESUPQPHRQW-UHFFFAOYSA-N 0 3 304.821 4.324 20 0 DIADHN CC(C)(CC(=O)N1CC[C@@H](N2CCCCC2)C1)C1CCCCC1 ZINC000475769037 339461900 /nfs/dbraw/zinc/46/19/00/339461900.db2.gz OPLVIXLLTQJYQF-GOSISDBHSA-N 0 3 320.521 4.070 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(C(C)(C)C)s2)c1 ZINC000477332369 339492566 /nfs/dbraw/zinc/49/25/66/339492566.db2.gz SZDHJCBLEZCWKE-UHFFFAOYSA-N 0 3 316.470 4.360 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc2c(c1)COC2 ZINC000477841717 339502315 /nfs/dbraw/zinc/50/23/15/339502315.db2.gz CNYQPNFONPWLRL-BEFAXECRSA-N 0 3 315.457 4.249 20 0 DIADHN C[C@@H](NC[C@](C)(O)C1CCCCC1)c1ccc(Cl)s1 ZINC000477854540 339502785 /nfs/dbraw/zinc/50/27/85/339502785.db2.gz HOTHBCDISRIAIJ-ABAIWWIYSA-N 0 3 301.883 4.383 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000477855269 339502867 /nfs/dbraw/zinc/50/28/67/339502867.db2.gz XAJYNRFQFALQIS-WBVHZDCISA-N 0 3 302.462 4.177 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3cn4ccccc4n3)CC2)cc1 ZINC000478212094 339510496 /nfs/dbraw/zinc/51/04/96/339510496.db2.gz UCJLMNHWMWKOQF-GOSISDBHSA-N 0 3 321.399 4.199 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cc(F)ccc1C ZINC000478325862 339513542 /nfs/dbraw/zinc/51/35/42/339513542.db2.gz JAJHAGKNQPUAHW-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000478444106 339515564 /nfs/dbraw/zinc/51/55/64/339515564.db2.gz LUKMIWZKXFUFSF-NFFDBFGFSA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)C[C@@H](CCO)CN[C@H](c1cc(F)ccc1F)C(C)C ZINC000479056439 339526338 /nfs/dbraw/zinc/52/63/38/339526338.db2.gz HCQVWFMCPDSQDQ-KDOFPFPSSA-N 0 3 313.432 4.296 20 0 DIADHN CO[C@H](CN[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000479237646 339529636 /nfs/dbraw/zinc/52/96/36/339529636.db2.gz ATCBIERTGVKPBE-ZWKOTPCHSA-N 0 3 301.817 4.305 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@H](C)NCC=C(C)C)cc1 ZINC000479294139 339530635 /nfs/dbraw/zinc/53/06/35/339530635.db2.gz HQYCSTFTAODDRH-HNNXBMFYSA-N 0 3 303.450 4.177 20 0 DIADHN Cc1cc([C@@H](NCC2(C(F)F)CC2)c2cccnc2)ccc1F ZINC000479446521 339535385 /nfs/dbraw/zinc/53/53/85/339535385.db2.gz BFQSUTWUNGAOFB-MRXNPFEDSA-N 0 3 320.358 4.253 20 0 DIADHN Cc1cc2[nH]c(CN[C@H](c3cnn(C)c3)C(C)(C)C)cc2c(C)c1 ZINC000479462459 339536329 /nfs/dbraw/zinc/53/63/29/339536329.db2.gz CMOHVLDFUDAPKQ-LJQANCHMSA-N 0 3 324.472 4.395 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3ccc(F)cc31)CC2 ZINC000479486004 339537662 /nfs/dbraw/zinc/53/76/62/339537662.db2.gz CLUDUFJVCPHJJD-UXHICEINSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H]1C[C@H](N[C@@H](CO)CCC(C)(C)C)c2ccsc2S1 ZINC000479532564 339539683 /nfs/dbraw/zinc/53/96/83/339539683.db2.gz QCGGOFKXEXZOMP-SCRDCRAPSA-N 0 3 313.532 4.450 20 0 DIADHN CCCc1cccc(CNCc2cccc(Cn3cccn3)c2)c1 ZINC000479540831 339540116 /nfs/dbraw/zinc/54/01/16/339540116.db2.gz VCMLONXSMGHAAG-UHFFFAOYSA-N 0 3 319.452 4.174 20 0 DIADHN Cc1cc2[nH]c(CN[C@H](C)c3ccc4c(c3)OCO4)cc2c(C)c1 ZINC000479547878 339540588 /nfs/dbraw/zinc/54/05/88/339540588.db2.gz YYQLTTSHXGYSHI-CQSZACIVSA-N 0 3 322.408 4.364 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCC1)c1cccc(Cl)c1Cl ZINC000479556926 339541114 /nfs/dbraw/zinc/54/11/14/339541114.db2.gz WTYUYWRLIOBERW-PWSUYJOCSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](CNCc1ccc(OC(F)F)c(Cl)c1)C(F)(F)F ZINC000479609725 339543604 /nfs/dbraw/zinc/54/36/04/339543604.db2.gz RTUGPFFOWAKHLX-SSDOTTSWSA-N 0 3 317.685 4.229 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCc1ccc(Cl)cc1)CCO2 ZINC000479847515 339550129 /nfs/dbraw/zinc/55/01/29/339550129.db2.gz BOKMADZKTCBHKP-INIZCTEOSA-N 0 3 305.780 4.135 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@H]2NCc1ccccc1 ZINC000479876139 339552343 /nfs/dbraw/zinc/55/23/43/339552343.db2.gz SLUCKLDEMWUFPM-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN FC(F)(F)Oc1ccc2c(c1)[C@H](NCc1ccccc1)CC2 ZINC000479876344 339552369 /nfs/dbraw/zinc/55/23/69/339552369.db2.gz SWVPCDHCFFPBOK-MRXNPFEDSA-N 0 3 307.315 4.362 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCO[C@H](C(C)(C)C)C2)cs1 ZINC000479927298 339553489 /nfs/dbraw/zinc/55/34/89/339553489.db2.gz KBEQUQCZDWHZSY-JSGCOSHPSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(-c2ccccc2)o1 ZINC000480064647 339557321 /nfs/dbraw/zinc/55/73/21/339557321.db2.gz BETHOIHDUQVUOM-RDJZCZTQSA-N 0 3 324.424 4.493 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000480098700 339559057 /nfs/dbraw/zinc/55/90/57/339559057.db2.gz LTCUTRZAPULCHJ-DZGCQCFKSA-N 0 3 310.507 4.025 20 0 DIADHN CC(C)Oc1ccccc1CN[C@H]1CCO[C@H](C(C)(C)C)C1 ZINC000480126188 339559914 /nfs/dbraw/zinc/55/99/14/339559914.db2.gz QLJMBDSWCWSKPD-WMZOPIPTSA-N 0 3 305.462 4.157 20 0 DIADHN COc1cccc(CCCN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480166028 339561517 /nfs/dbraw/zinc/56/15/17/339561517.db2.gz QJMHPKSBXIXCTP-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN COc1cccc(CCCN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480166029 339561557 /nfs/dbraw/zinc/56/15/57/339561557.db2.gz QJMHPKSBXIXCTP-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN C[C@H](NCCc1ccc(Cl)s1)c1ccc(Cl)cn1 ZINC000480169248 339561698 /nfs/dbraw/zinc/56/16/98/339561698.db2.gz KZXJPZBYRDYTRU-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1ccc(Cl)cn1)C1CCCC1 ZINC000480167800 339561704 /nfs/dbraw/zinc/56/17/04/339561704.db2.gz IISFTOONFJEROO-SUMWQHHRSA-N 0 3 310.869 4.371 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@H]1COc2ccc(Cl)cc21 ZINC000480206038 339562802 /nfs/dbraw/zinc/56/28/02/339562802.db2.gz YKKWUHLCXNGBJA-CABZTGNLSA-N 0 3 307.743 4.484 20 0 DIADHN COc1ccc(OC)c(CN[C@H](C)c2cc(C)c(C)cc2C)c1 ZINC000480220546 339563940 /nfs/dbraw/zinc/56/39/40/339563940.db2.gz CWRRENXFLIRPAA-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN CCOc1ccc([C@@H](C)NC[C@H](c2ccsc2)N(C)C)cc1 ZINC000480250931 339565304 /nfs/dbraw/zinc/56/53/04/339565304.db2.gz IIQRMAIMYHRYAU-RDTXWAMCSA-N 0 3 318.486 4.100 20 0 DIADHN CC(C)=CCNCc1c(C)nn(Cc2ccccc2Cl)c1C ZINC000480262453 339566068 /nfs/dbraw/zinc/56/60/68/339566068.db2.gz KAGRRGBLUNWWSD-UHFFFAOYSA-N 0 3 317.864 4.257 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(OCC2CC2)cc1 ZINC000480268751 339566358 /nfs/dbraw/zinc/56/63/58/339566358.db2.gz UMTCINNUXQASKH-OAHLLOKOSA-N 0 3 310.441 4.284 20 0 DIADHN Clc1sccc1CNCCc1nc2c(s1)CCCC2 ZINC000480285436 339567256 /nfs/dbraw/zinc/56/72/56/339567256.db2.gz FGSBITMZQCQTKZ-UHFFFAOYSA-N 0 3 312.891 4.069 20 0 DIADHN COCCC(C)(C)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000480305409 339568208 /nfs/dbraw/zinc/56/82/08/339568208.db2.gz HJOHGQUGRZQJQN-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN CCC[C@H](N[C@H](C)c1nnc2n1CCCCC2)C1CCCCC1 ZINC000480326254 339569209 /nfs/dbraw/zinc/56/92/09/339569209.db2.gz LDSSWDNXHHTSAE-WBVHZDCISA-N 0 3 318.509 4.404 20 0 DIADHN CCC[C@@H](N[C@H](C)c1nnc2n1CCCCC2)C1CCCCC1 ZINC000480326252 339569252 /nfs/dbraw/zinc/56/92/52/339569252.db2.gz LDSSWDNXHHTSAE-NVXWUHKLSA-N 0 3 318.509 4.404 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(N(C)C(C)C)nc2)C2CC2)cc1 ZINC000480342579 339569744 /nfs/dbraw/zinc/56/97/44/339569744.db2.gz HRCLOJJEOCZKGL-NRFANRHFSA-N 0 3 323.484 4.476 20 0 DIADHN CCS[C@H]1CCC[C@H](NCc2ccc(Br)o2)C1 ZINC000480383436 339571505 /nfs/dbraw/zinc/57/15/05/339571505.db2.gz KXJWMUOHUKQPJA-JQWIXIFHSA-N 0 3 318.280 4.196 20 0 DIADHN C[C@@H](NCc1ccc(Br)o1)[C@@H](C)c1ccccc1 ZINC000480390286 339571891 /nfs/dbraw/zinc/57/18/91/339571891.db2.gz UHLUMZOJCOQPFW-VXGBXAGGSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@@H](O)[C@@](C)(CNCc1cc2ccccc2o1)Cc1ccccc1 ZINC000480474317 339574211 /nfs/dbraw/zinc/57/42/11/339574211.db2.gz UIFXBMLAUAQADS-IIBYNOLFSA-N 0 3 323.436 4.152 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCN1CCC[C@H](C)C1 ZINC000480602352 339579100 /nfs/dbraw/zinc/57/91/00/339579100.db2.gz ATBQSDIMNVDGFX-KBPBESRZSA-N 0 3 323.550 4.132 20 0 DIADHN CCN(C)c1ccc(CN[C@H](c2ccccc2)C(C)(C)C)cn1 ZINC000480638717 339580893 /nfs/dbraw/zinc/58/08/93/339580893.db2.gz RSNCLXWBIVMXHR-LJQANCHMSA-N 0 3 311.473 4.415 20 0 DIADHN C[C@H](NC[C@@H](O)Cc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000480648227 339581241 /nfs/dbraw/zinc/58/12/41/339581241.db2.gz OAPAOKBYOCHIHF-WFASDCNBSA-N 0 3 324.251 4.248 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)[C@H](C)OC)ccc1OC1CCCC1 ZINC000480739349 339585333 /nfs/dbraw/zinc/58/53/33/339585333.db2.gz ZGRWQCNILBDEMU-KKUMJFAQSA-N 0 3 321.461 4.091 20 0 DIADHN C[C@H](NCCC(C)(C)O)c1ccccc1OCc1ccccc1 ZINC000480742628 339585452 /nfs/dbraw/zinc/58/54/52/339585452.db2.gz DFPOFBDTVJWMPO-INIZCTEOSA-N 0 3 313.441 4.077 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccco1 ZINC000480742218 339585458 /nfs/dbraw/zinc/58/54/58/339585458.db2.gz CDAQWDBAEPXRDV-CHWSQXEVSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1ccnc1)c1ccc(Oc2ccccc2)cc1 ZINC000480762682 339586273 /nfs/dbraw/zinc/58/62/73/339586273.db2.gz KTDMYERLOHUFDA-DLBZAZTESA-N 0 3 321.424 4.415 20 0 DIADHN C[C@H](NCCC(=O)NC1CCCCC1)c1ccc2ccccc2c1 ZINC000480884439 339589526 /nfs/dbraw/zinc/58/95/26/339589526.db2.gz NYNYMGPJJROSJO-INIZCTEOSA-N 0 3 324.468 4.329 20 0 DIADHN C[C@@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1ccc(F)cc1F ZINC000480896915 339590042 /nfs/dbraw/zinc/59/00/42/339590042.db2.gz JYNLSSVKVUSACE-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN CCc1nc2c(s1)[C@H](NCCO[C@@H]1CCCC[C@@H]1C)CCC2 ZINC000480919481 339590742 /nfs/dbraw/zinc/59/07/42/339590742.db2.gz GXQQZTFEVBFBJA-SQWLQELKSA-N 0 3 322.518 4.268 20 0 DIADHN CC[C@@H](NCCC(=O)NC1CCCCC1)c1ccc(Cl)cc1 ZINC000480923205 339590882 /nfs/dbraw/zinc/59/08/82/339590882.db2.gz YNTDANAZHVDCJM-QGZVFWFLSA-N 0 3 322.880 4.220 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)COc2cccc(F)c2)cc1F ZINC000481016759 339592937 /nfs/dbraw/zinc/59/29/37/339592937.db2.gz BBHBQTJZIBXONJ-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc(CCCN[C@@H](C)c2ccc(OC)cc2F)cc1 ZINC000481038570 339593877 /nfs/dbraw/zinc/59/38/77/339593877.db2.gz QDIRHEXDLILZAC-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)COc1cccc(F)c1)CCC2 ZINC000481046953 339594280 /nfs/dbraw/zinc/59/42/80/339594280.db2.gz YXYPLQVTBXSEOE-ABAIWWIYSA-N 0 3 320.433 4.025 20 0 DIADHN CC(C)n1ccc(CN[C@H]2CCCC[C@@H]2Cc2ccccc2)n1 ZINC000481177148 339597237 /nfs/dbraw/zinc/59/72/37/339597237.db2.gz ZBHVKEDZQGIUNJ-QUCCMNQESA-N 0 3 311.473 4.355 20 0 DIADHN Cc1cnc(CN[C@H]2CCCC[C@H]2Cc2ccccc2)s1 ZINC000481183868 339597665 /nfs/dbraw/zinc/59/76/65/339597665.db2.gz BPZXFPFRQDKJBB-IRXDYDNUSA-N 0 3 300.471 4.343 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN[C@@H]1CCCCC1(C)C ZINC000481191810 339598037 /nfs/dbraw/zinc/59/80/37/339598037.db2.gz PFXGALAVGLILDF-QGZVFWFLSA-N 0 3 302.462 4.307 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@@H](C)C[C@@H]3C)co2)cc1 ZINC000481195561 339598270 /nfs/dbraw/zinc/59/82/70/339598270.db2.gz VXYUUJODCQFGKC-QWQRMKEZSA-N 0 3 314.429 4.265 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc(OC2CCCC2)nc1 ZINC000481302339 339600170 /nfs/dbraw/zinc/60/01/70/339600170.db2.gz CQRCUEXVYYPRNX-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN C[C@H](NC[C@@H](C1CC1)N(C)C)c1cc(Cl)sc1Cl ZINC000481315055 339600899 /nfs/dbraw/zinc/60/08/99/339600899.db2.gz XYMHQNPXUCYBMO-KWQFWETISA-N 0 3 307.290 4.046 20 0 DIADHN CCCCCN[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000481401803 339603361 /nfs/dbraw/zinc/60/33/61/339603361.db2.gz IYGYJJBNKXXCBI-IBGZPJMESA-N 0 3 307.441 4.443 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)COc1ccc(F)cc1F ZINC000481536831 339606075 /nfs/dbraw/zinc/60/60/75/339606075.db2.gz UPGZCQONTYZXMG-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](NCCc1ccc2ccccc2n1)c1cc(F)cc(F)c1 ZINC000481720683 339611071 /nfs/dbraw/zinc/61/10/71/339611071.db2.gz GDDGUQBALPALBC-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](Cc1cccc(F)c1)c1cccc(F)c1 ZINC000481735075 339611803 /nfs/dbraw/zinc/61/18/03/339611803.db2.gz MCPHOSSSFDTNAI-IQUTYRLHSA-N 0 3 319.395 4.262 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](Cc1cccc(F)c1)c1cccc(F)c1 ZINC000481735078 339611885 /nfs/dbraw/zinc/61/18/85/339611885.db2.gz MCPHOSSSFDTNAI-PJIJBLCYSA-N 0 3 319.395 4.262 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2csc(-c3ccccn3)n2)[C@H]1C ZINC000481821049 339614708 /nfs/dbraw/zinc/61/47/08/339614708.db2.gz CPGAUNIXKUGFGV-ILXRZTDVSA-N 0 3 315.486 4.367 20 0 DIADHN CC[C@H](N[C@H]1CCC(C)(C)C[C@H]1C)c1nnc2n1CCCCC2 ZINC000481936882 339617548 /nfs/dbraw/zinc/61/75/48/339617548.db2.gz VOLNAOSQFXDYRT-PMPSAXMXSA-N 0 3 318.509 4.260 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H](C)C[C@@H]1CCCO1 ZINC000482093675 339622788 /nfs/dbraw/zinc/62/27/88/339622788.db2.gz JOFASDUZMHMMME-IPYPFGDCSA-N 0 3 311.853 4.347 20 0 DIADHN C/C=C\c1ccc(NC(=O)Nc2ccccc2CCN(C)C)cc1 ZINC000482524274 339634548 /nfs/dbraw/zinc/63/45/48/339634548.db2.gz IETOWUITOCKZBL-DAXSKMNVSA-N 0 3 323.440 4.468 20 0 DIADHN CCc1nc(C)c([C@H](C)NCCCOc2ccccc2C)s1 ZINC000482544200 339635406 /nfs/dbraw/zinc/63/54/06/339635406.db2.gz QFFKESLPBJDFSV-AWEZNQCLSA-N 0 3 318.486 4.442 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000482598696 339637227 /nfs/dbraw/zinc/63/72/27/339637227.db2.gz UKCFHRIMEZVIPU-MRXNPFEDSA-N 0 3 324.468 4.361 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cn1 ZINC000482644615 339638739 /nfs/dbraw/zinc/63/87/39/339638739.db2.gz DOUNNZVELXNHLF-KRWDZBQOSA-N 0 3 316.832 4.301 20 0 DIADHN CC[C@](C)(O)CN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000482645221 339638751 /nfs/dbraw/zinc/63/87/51/339638751.db2.gz XROCZYBXVJNADA-SGTLLEGYSA-N 0 3 323.383 4.253 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@H](O)CCC(C)C)c(C)c2c1 ZINC000482787793 339642619 /nfs/dbraw/zinc/64/26/19/339642619.db2.gz MUIQSJGPJBIRGF-HUUCEWRRSA-N 0 3 319.445 4.198 20 0 DIADHN CCC[C@H](O)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000482948815 339648029 /nfs/dbraw/zinc/64/80/29/339648029.db2.gz SJTTWTHFGYMCSP-WPRPVWTQSA-N 0 3 308.274 4.183 20 0 DIADHN Cc1cc(C)n(CCN2CCC(c3cccc(Cl)c3)CC2)n1 ZINC000483098853 339651744 /nfs/dbraw/zinc/65/17/44/339651744.db2.gz DOTQSAVSSCLRTP-UHFFFAOYSA-N 0 3 317.864 4.033 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1cc2c(s1)CCC2 ZINC000483138827 339653602 /nfs/dbraw/zinc/65/36/02/339653602.db2.gz DGHRZILSPRBTQS-AWEZNQCLSA-N 0 3 323.465 4.273 20 0 DIADHN CC(C)NC(=O)[C@@H]1CCC[C@H](N[C@H](C)c2ccccc2Cl)C1 ZINC000483149289 339654232 /nfs/dbraw/zinc/65/42/32/339654232.db2.gz CXJRYVCHIPJTDR-KFWWJZLASA-N 0 3 322.880 4.074 20 0 DIADHN CCCC[C@@H](COC)N[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000483196730 339656033 /nfs/dbraw/zinc/65/60/33/339656033.db2.gz DSNCJAWJKYITTK-RDJZCZTQSA-N 0 3 303.446 4.228 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccc(Br)s1 ZINC000483738082 339673514 /nfs/dbraw/zinc/67/35/14/339673514.db2.gz BQEDSIFYTGASSU-GMTAPVOTSA-N 0 3 318.280 4.119 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)NC3CCCCC3)n2)ccc1F ZINC000483634331 339670195 /nfs/dbraw/zinc/67/01/95/339670195.db2.gz HMLXPTAEWGIJBB-GFCCVEGCSA-N 0 3 303.381 4.167 20 0 DIADHN C[C@H](CN[C@H]1CCc2cc(F)ccc21)Oc1ccccc1F ZINC000483649674 339670591 /nfs/dbraw/zinc/67/05/91/339670591.db2.gz HMPKOQQYMGMVTL-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)CCc2ccc(C)o2)c(F)c1 ZINC000483660574 339671050 /nfs/dbraw/zinc/67/10/50/339671050.db2.gz MGEZJRHOSROBIR-GXTWGEPZSA-N 0 3 305.393 4.408 20 0 DIADHN COc1ccc(Cl)c(CN[C@H](C)[C@H]2COc3ccccc32)c1 ZINC000483716777 339672598 /nfs/dbraw/zinc/67/25/98/339672598.db2.gz WLGMDRNJJRLIOE-MLGOLLRUSA-N 0 3 317.816 4.003 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000483743462 339673753 /nfs/dbraw/zinc/67/37/53/339673753.db2.gz NGGUWQIYHFXKOO-DUVNUKRYSA-N 0 3 313.388 4.205 20 0 DIADHN CC(C)C[C@@H](CCO)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000483851343 339675399 /nfs/dbraw/zinc/67/53/99/339675399.db2.gz QIEMHJRUZMBAKQ-QWHCGFSZSA-N 0 3 301.833 4.174 20 0 DIADHN COc1ccc(Cl)c(CN[C@@H](CC(F)(F)F)C(C)C)c1 ZINC000484019969 339679672 /nfs/dbraw/zinc/67/96/72/339679672.db2.gz SBJUZVIWYKNFDK-ZDUSSCGKSA-N 0 3 309.759 4.415 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@H](C)C(C)(C)C)c1 ZINC000484155782 339682284 /nfs/dbraw/zinc/68/22/84/339682284.db2.gz FCJYHGNZSSTPMG-CQSZACIVSA-N 0 3 314.429 4.407 20 0 DIADHN CC[C@@H](NCC1(CCO)CCCCC1)c1cc(F)ccc1F ZINC000484274880 339683549 /nfs/dbraw/zinc/68/35/49/339683549.db2.gz GMTFXVPHBJLWTH-QGZVFWFLSA-N 0 3 311.416 4.338 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@@H]2CCC[C@@H](C3CC3)C2)n1 ZINC000484310717 339684620 /nfs/dbraw/zinc/68/46/20/339684620.db2.gz QRGJAOXGVOGOBP-WOJBJXKFSA-N 0 3 323.484 4.184 20 0 DIADHN C[C@@H](NC[C@H](CO)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000484483076 339687518 /nfs/dbraw/zinc/68/75/18/339687518.db2.gz LISCEKFEYAUZAR-TZMCWYRMSA-N 0 3 324.251 4.420 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000485253925 339700703 /nfs/dbraw/zinc/70/07/03/339700703.db2.gz JRFDNKXXEFXSDM-IBGZPJMESA-N 0 3 310.441 4.373 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCc3c2cccc3F)ccc1F ZINC000498479995 339708145 /nfs/dbraw/zinc/70/81/45/339708145.db2.gz DUWVCRLFYVHNLM-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN C[C@@H](NCCOc1cccc(F)c1)c1ccc2ncsc2c1 ZINC000485803884 339713151 /nfs/dbraw/zinc/71/31/51/339713151.db2.gz FZBCSOFWSHYORO-GFCCVEGCSA-N 0 3 316.401 4.165 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1ccc2ncsc2c1 ZINC000485819968 339713656 /nfs/dbraw/zinc/71/36/56/339713656.db2.gz SLJLDDHNUGLSSQ-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@@H](NCCN1CCc2ccccc2C1)c1ccc(Cl)s1 ZINC000498485779 339724096 /nfs/dbraw/zinc/72/40/96/339724096.db2.gz UYCGRHJVCIMQEW-CYBMUJFWSA-N 0 3 320.889 4.110 20 0 DIADHN C[C@H]1C[C@@H](CNC2(c3c(Cl)cccc3Cl)CC2)CCO1 ZINC000486389367 339726539 /nfs/dbraw/zinc/72/65/39/339726539.db2.gz RHDNJNMDBZNVQC-RYUDHWBXSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H](NC(=O)c1ccccc1CN(C)C)[C@@H](C)C1CCCCC1 ZINC000486590466 339729567 /nfs/dbraw/zinc/72/95/67/339729567.db2.gz HVEREGLSZJVRSL-CVEARBPZSA-N 0 3 316.489 4.083 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cc2ccc(F)cc2[nH]1 ZINC000486683108 339731205 /nfs/dbraw/zinc/73/12/05/339731205.db2.gz JDXSDNZJYDLUNX-UHFFFAOYSA-N 0 3 312.388 4.341 20 0 DIADHN C[C@H](NC/C=C\c1ccncc1)c1cccc(C(F)(F)F)c1 ZINC000486953458 339739795 /nfs/dbraw/zinc/73/97/95/339739795.db2.gz GBZZCHHCRGYIED-SCOBNMCVSA-N 0 3 306.331 4.464 20 0 DIADHN c1coc(-c2ncc(CN[C@@H]3C[C@H]3C3CCCCC3)s2)c1 ZINC000487271666 339748258 /nfs/dbraw/zinc/74/82/58/339748258.db2.gz HFATZGAQKXUVBO-LSDHHAIUSA-N 0 3 302.443 4.462 20 0 DIADHN CCOc1c(Cl)cccc1CNCCc1nc(C)c(C)s1 ZINC000487279776 339748903 /nfs/dbraw/zinc/74/89/03/339748903.db2.gz PVGKBJSQSRISQJ-UHFFFAOYSA-N 0 3 324.877 4.144 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H]1CS[C@H](C(C)C)C1 ZINC000488332964 339777403 /nfs/dbraw/zinc/77/74/03/339777403.db2.gz LJDMWTVCSJPIOW-CVEARBPZSA-N 0 3 313.507 4.308 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccccc2Br)CS1 ZINC000488414268 339780552 /nfs/dbraw/zinc/78/05/52/339780552.db2.gz NOTRCTXSHIBCIM-OCCSQVGLSA-N 0 3 314.292 4.069 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccccc2OC2CCC2)CS1 ZINC000488416640 339780723 /nfs/dbraw/zinc/78/07/23/339780723.db2.gz VHJZISLAKMENCC-CRAIPNDOSA-N 0 3 305.487 4.238 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2C[C@H]2C)c2ccccc2OC)cc1 ZINC000488447366 339782896 /nfs/dbraw/zinc/78/28/96/339782896.db2.gz IWXRLMXGQPFJNL-IIMJZQEZSA-N 0 3 311.425 4.039 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CNC[C@@H]2C[C@@H]2C)on1 ZINC000488518063 339786312 /nfs/dbraw/zinc/78/63/12/339786312.db2.gz DOEUJBVFPBVZHK-ZFWWWQNUSA-N 0 3 322.408 4.249 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NC[C@]1(C)CCCO1)CCCO2 ZINC000489518791 339811540 /nfs/dbraw/zinc/81/15/40/339811540.db2.gz ALNXQRYUHRFXJN-MJGOQNOKSA-N 0 3 303.446 4.182 20 0 DIADHN Fc1cccc(C[C@@H](N[C@H]2CCCOC2)c2cccc(F)c2)c1 ZINC000489935667 339821621 /nfs/dbraw/zinc/82/16/21/339821621.db2.gz QDRRGTFZJXQKEJ-RBUKOAKNSA-N 0 3 317.379 4.017 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OC(C)C)nc1)[C@@H](C)C2 ZINC000490114701 339826344 /nfs/dbraw/zinc/82/63/44/339826344.db2.gz SILXIORDWFRGBB-MGPUTAFESA-N 0 3 310.441 4.200 20 0 DIADHN CC(C)(C)OC1CC(N[C@H](c2ccccc2)c2cccnc2)C1 ZINC000491040146 339846701 /nfs/dbraw/zinc/84/67/01/339846701.db2.gz RWKSDCPWDRFVNQ-CTWPCTMYSA-N 0 3 310.441 4.107 20 0 DIADHN CC[C@H](NC[C@H](Nc1ccccc1)C(C)C)c1ccc(F)cn1 ZINC000491061545 339847546 /nfs/dbraw/zinc/84/75/46/339847546.db2.gz RONCZLZAYCJTLU-HKUYNNGSSA-N 0 3 315.436 4.398 20 0 DIADHN Fc1cc(F)c(CNC[C@H]2CCCCC(F)(F)C2)cc1F ZINC000491544304 339866377 /nfs/dbraw/zinc/86/63/77/339866377.db2.gz ILLCGZBUNLTNHU-JTQLQIEISA-N 0 3 307.306 4.409 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCC2CC(F)(F)C2)o1 ZINC000491597064 339868387 /nfs/dbraw/zinc/86/83/87/339868387.db2.gz QWWFMUCFHJMTTR-UHFFFAOYSA-N 0 3 313.294 4.360 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)[C@H]1OCCc2sccc21 ZINC000492194043 339893915 /nfs/dbraw/zinc/89/39/15/339893915.db2.gz QBHQMEQOONEGLH-MEDUHNTESA-N 0 3 307.846 4.194 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1ccc2c[nH]nc2c1 ZINC000492211960 339894391 /nfs/dbraw/zinc/89/43/91/339894391.db2.gz WDAAIUMAESFVRF-CYBMUJFWSA-N 0 3 317.845 4.445 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)cc1)[C@@H]1OCCc2sccc21 ZINC000492256602 339895517 /nfs/dbraw/zinc/89/55/17/339895517.db2.gz HETIUMQNKWUSON-KJBXBYRXSA-N 0 3 317.429 4.193 20 0 DIADHN CC(C)c1nnc(CCNCc2ccc(C(C)C)cc2)s1 ZINC000492359983 339898536 /nfs/dbraw/zinc/89/85/36/339898536.db2.gz ZCXNFAQFGQWIQH-UHFFFAOYSA-N 0 3 303.475 4.117 20 0 DIADHN CC[C@H](NC(=O)CN(C(C)C)C(C)C)c1ccc(Cl)cc1 ZINC000492936994 339912980 /nfs/dbraw/zinc/91/29/80/339912980.db2.gz PHVJHAOJLBLIRN-INIZCTEOSA-N 0 3 310.869 4.026 20 0 DIADHN CC(C)[C@H](O)CCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000493062509 339916268 /nfs/dbraw/zinc/91/62/68/339916268.db2.gz SZQDPSOKVOCGKO-GOSISDBHSA-N 0 3 319.395 4.051 20 0 DIADHN Cn1cc([C@@H](NC2CCC(C)(C)CC2)c2cccc(F)c2)cn1 ZINC000493178942 339919187 /nfs/dbraw/zinc/91/91/87/339919187.db2.gz UKGIBHUBBFIGQT-SFHVURJKSA-N 0 3 315.436 4.207 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H](c1ncccc1C)C(C)C ZINC000493810445 339934017 /nfs/dbraw/zinc/93/40/17/339934017.db2.gz ADZBZRWPBKBIBQ-KPFFTGBYSA-N 0 3 312.457 4.453 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1CCCOc2ccccc21 ZINC000493917388 339936600 /nfs/dbraw/zinc/93/66/00/339936600.db2.gz QUCNVDAFHIRBKB-CVAIRZPRSA-N 0 3 311.425 4.266 20 0 DIADHN CCCc1csc(CNCC(C)(C)Oc2ccccc2)n1 ZINC000494018723 339939061 /nfs/dbraw/zinc/93/90/61/339939061.db2.gz QOFRQILRPHBZTE-UHFFFAOYSA-N 0 3 304.459 4.043 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000494046396 339939804 /nfs/dbraw/zinc/93/98/04/339939804.db2.gz DIXHJEWNPOACBS-UIFIKXQLSA-N 0 3 324.468 4.092 20 0 DIADHN OCCC1(CNC2c3ccccc3CCc3ccccc32)CCC1 ZINC000494041705 339939831 /nfs/dbraw/zinc/93/98/31/339939831.db2.gz XUUJOSRZAGYVCW-UHFFFAOYSA-N 0 3 321.464 4.017 20 0 DIADHN CCCc1csc(CN[C@@H]2CCOC3(CCCCC3)C2)n1 ZINC000494195317 339943529 /nfs/dbraw/zinc/94/35/29/339943529.db2.gz NVKUUGGUURQBSB-CQSZACIVSA-N 0 3 308.491 4.067 20 0 DIADHN Cc1ccnc(-c2nnc([C@@H](C)[NH2+][C@H](C)CCCC(C)C)[n-]2)c1 ZINC000494367717 339947591 /nfs/dbraw/zinc/94/75/91/339947591.db2.gz NXSTZBVUBVRQCX-HUUCEWRRSA-N 0 3 315.465 4.041 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000494477837 339950641 /nfs/dbraw/zinc/95/06/41/339950641.db2.gz XUBJNFFDOMQNDB-XFQXTVEOSA-N 0 3 311.425 4.184 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000494477836 339950651 /nfs/dbraw/zinc/95/06/51/339950651.db2.gz XUBJNFFDOMQNDB-QKYXUNIQSA-N 0 3 311.425 4.184 20 0 DIADHN CC[C@H](NCc1cscc1C)c1ccc2c(c1)OCCO2 ZINC000494516528 339952358 /nfs/dbraw/zinc/95/23/58/339952358.db2.gz VAWJIYVBSWAYIR-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN Clc1ccc([C@@H](NCCc2cnccn2)c2ccccc2)cc1 ZINC000494748715 339959214 /nfs/dbraw/zinc/95/92/14/339959214.db2.gz WIFSZDQKICAEIX-IBGZPJMESA-N 0 3 323.827 4.052 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc2nc(C)sc2c1 ZINC000494851656 339962629 /nfs/dbraw/zinc/96/26/29/339962629.db2.gz RVWBTASQUJXFJC-LLVKDONJSA-N 0 3 302.365 4.425 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccnc1N(C)C)C1CCCC1 ZINC000494884030 339963542 /nfs/dbraw/zinc/96/35/42/339963542.db2.gz VIASMQKZZRWLBL-HXUWFJFHSA-N 0 3 323.484 4.477 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](C(=O)Nc2ccccc2)c2ccccc2)[C@@H]1C ZINC000494903136 339964165 /nfs/dbraw/zinc/96/41/65/339964165.db2.gz LTOZIFFECAPCGQ-LTDCPUDJSA-N 0 3 308.425 4.001 20 0 DIADHN Clc1ccccc1C[C@@H](N[C@@H]1CCOC1)c1cccs1 ZINC000494955933 339967108 /nfs/dbraw/zinc/96/71/08/339967108.db2.gz CWCRTMMMTRRUCF-UKRRQHHQSA-N 0 3 307.846 4.064 20 0 DIADHN Fc1ccc([C@H](CCCc2ccccc2)N[C@@H]2CCOC2)cc1 ZINC000494964676 339967529 /nfs/dbraw/zinc/96/75/29/339967529.db2.gz RLXHCIPFVTYMHO-UXHICEINSA-N 0 3 313.416 4.268 20 0 DIADHN CS[C@H](C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000494988100 339968969 /nfs/dbraw/zinc/96/89/69/339968969.db2.gz MPPVWYZTCCWLLI-GFCCVEGCSA-N 0 3 307.409 4.395 20 0 DIADHN Cc1cnc(CCN[C@@H](c2ccccc2)c2ccccc2C)nc1 ZINC000495009886 339970068 /nfs/dbraw/zinc/97/00/68/339970068.db2.gz RHXNDYOLYAVWLV-NRFANRHFSA-N 0 3 317.436 4.015 20 0 DIADHN Cc1ccccc1[C@H](NC[C@@](C)(O)C(F)(F)F)C1CCCC1 ZINC000495069428 339972533 /nfs/dbraw/zinc/97/25/33/339972533.db2.gz SKRYQXWNBMAJQU-HZPDHXFCSA-N 0 3 315.379 4.129 20 0 DIADHN CCSc1cc(CNC/C=C/c2ccc(F)cc2F)ccn1 ZINC000495162866 339977059 /nfs/dbraw/zinc/97/70/59/339977059.db2.gz DTWWQYJUKLOTJL-ONEGZZNKSA-N 0 3 320.408 4.275 20 0 DIADHN COCC[C@@H](N[C@@H](C)Cc1ccc(F)cc1)c1ccc(C)o1 ZINC000495395377 339982618 /nfs/dbraw/zinc/98/26/18/339982618.db2.gz DAHKQUSEYXFJTB-SUMWQHHRSA-N 0 3 305.393 4.026 20 0 DIADHN CC(C)N(C(=O)CN[C@H](C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000495407075 339983183 /nfs/dbraw/zinc/98/31/83/339983183.db2.gz HSXTVTRYCFZNSG-ZWKOTPCHSA-N 0 3 324.468 4.210 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccc(Cl)cc1)[C@H](C)c1ccccc1 ZINC000495405905 339983206 /nfs/dbraw/zinc/98/32/06/339983206.db2.gz CSJJUFBGRAWHIA-UONOGXRCSA-N 0 3 316.832 4.060 20 0 DIADHN COc1ccc2c(c1)[C@H](NC/C=C/c1ccccc1)CCCO2 ZINC000495409883 339983356 /nfs/dbraw/zinc/98/33/56/339983356.db2.gz QRQFDZAFWLXEBL-NTPDMAOXSA-N 0 3 309.409 4.212 20 0 DIADHN COCC[C@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1ccc(C)o1 ZINC000495431531 339984261 /nfs/dbraw/zinc/98/42/61/339984261.db2.gz DDGJNUXHKGIMGM-IRXDYDNUSA-N 0 3 319.832 4.076 20 0 DIADHN C[C@@H](NCCC(=O)OC(C)(C)C)c1ccc(C(F)(F)F)cc1 ZINC000495433076 339984361 /nfs/dbraw/zinc/98/43/61/339984361.db2.gz MXIGKLBUPLSSMA-LLVKDONJSA-N 0 3 317.351 4.088 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCOc2c(C)cccc2C)on1 ZINC000496916818 340016104 /nfs/dbraw/zinc/01/61/04/340016104.db2.gz SEEGVJREZDNLQN-KRWDZBQOSA-N 0 3 314.429 4.206 20 0 DIADHN COc1ccccc1CN[C@@H](C)[C@@H](OC)c1ccccc1Cl ZINC000497094538 340020132 /nfs/dbraw/zinc/02/01/32/340020132.db2.gz DYKRPNWCHGRTSS-SCLBCKFNSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@H](CC[S@@](C)=O)N[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000498766183 340022890 /nfs/dbraw/zinc/02/28/90/340022890.db2.gz UDRFZXJYTYVZJK-NYFKNOIKSA-N 0 3 321.486 4.267 20 0 DIADHN CCC(CC)[C@H](CCN[C@@H](c1ccc(F)cn1)C(C)C)OC ZINC000498780936 340023149 /nfs/dbraw/zinc/02/31/49/340023149.db2.gz KAKOPMVFYBLKPY-ZWKOTPCHSA-N 0 3 310.457 4.349 20 0 DIADHN CC(C)[C@H](NCCc1cc(F)ccc1F)c1ccc(F)cn1 ZINC000498935265 340026486 /nfs/dbraw/zinc/02/64/86/340026486.db2.gz VOETWSCVHKLURF-KRWDZBQOSA-N 0 3 308.347 4.028 20 0 DIADHN Cc1nc(CCNC2c3ccccc3-c3ccccc32)c(C)o1 ZINC000498932600 340026513 /nfs/dbraw/zinc/02/65/13/340026513.db2.gz IYZCLXIVIMQWNK-UHFFFAOYSA-N 0 3 304.393 4.194 20 0 DIADHN COc1ccc(C)cc1CN(Cc1ccc(O)cc1)CC1CC1 ZINC000499040038 340028214 /nfs/dbraw/zinc/02/82/14/340028214.db2.gz XDIGMZIYIDSYGH-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H]4CCC[C@H]43)nn2)cc1 ZINC000499054440 340028697 /nfs/dbraw/zinc/02/86/97/340028697.db2.gz LGJFWGHLCDJLNT-MAUKXSAKSA-N 0 3 309.413 4.033 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@H]4CCC[C@H]43)nn2)cc1 ZINC000499054439 340028746 /nfs/dbraw/zinc/02/87/46/340028746.db2.gz LGJFWGHLCDJLNT-CRAIPNDOSA-N 0 3 309.413 4.033 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499069926 340029084 /nfs/dbraw/zinc/02/90/84/340029084.db2.gz OSJSVGYMSLTSGM-KRWDZBQOSA-N 0 3 311.429 4.279 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499071373 340029174 /nfs/dbraw/zinc/02/91/74/340029174.db2.gz UKMLYUHWUYKBJY-DOTOQJQBSA-N 0 3 311.429 4.279 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)NC1CCN(C2CC2)CC1 ZINC000499306944 340034491 /nfs/dbraw/zinc/03/44/91/340034491.db2.gz LDVBRLJUJLQUFI-QGZVFWFLSA-N 0 3 320.521 4.116 20 0 DIADHN CC(C)n1nccc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000499516474 340038396 /nfs/dbraw/zinc/03/83/96/340038396.db2.gz GCHBWEYBZHKQSK-UHFFFAOYSA-N 0 3 301.409 4.162 20 0 DIADHN CC(C)n1nccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000499597747 340040326 /nfs/dbraw/zinc/04/03/26/340040326.db2.gz ASACBIYPYKUWEA-QGZVFWFLSA-N 0 3 303.837 4.358 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCCCC1CCOCC1 ZINC000499602523 340040662 /nfs/dbraw/zinc/04/06/62/340040662.db2.gz NOWGJVAAUYCLRZ-AWEZNQCLSA-N 0 3 309.425 4.082 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc(OC)nc1C)c1cccs1 ZINC000499605424 340040802 /nfs/dbraw/zinc/04/08/02/340040802.db2.gz ZKHVDARNDQOLQV-MRXNPFEDSA-N 0 3 319.474 4.122 20 0 DIADHN CC(C)C[C@H](C)CN[C@@H](c1nc(-c2ccncc2)no1)C(C)C ZINC000499608797 340040871 /nfs/dbraw/zinc/04/08/71/340040871.db2.gz GWDRUGMVESIFJS-GOEBONIOSA-N 0 3 316.449 4.101 20 0 DIADHN CC(C)C[C@@H](C)CN[C@H](c1nc(-c2ccncc2)no1)C(C)C ZINC000499608799 340040935 /nfs/dbraw/zinc/04/09/35/340040935.db2.gz GWDRUGMVESIFJS-ZBFHGGJFSA-N 0 3 316.449 4.101 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000499625247 340041514 /nfs/dbraw/zinc/04/15/14/340041514.db2.gz KXMKLEMFMFXBOI-VFCRVFHLSA-N 0 3 318.420 4.278 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)NC[C@H](C)CC(C)C)n2)c1 ZINC000499625643 340041562 /nfs/dbraw/zinc/04/15/62/340041562.db2.gz MHQQEWBMXMLKDW-ZIAGYGMSSA-N 0 3 317.433 4.078 20 0 DIADHN CCN(CC)C(=O)C[C@H](C)N[C@H](C)c1ccc(C(C)C)cc1 ZINC000500004664 340048517 /nfs/dbraw/zinc/04/85/17/340048517.db2.gz OAEGWRRGXPOAOO-JKSUJKDBSA-N 0 3 304.478 4.108 20 0 DIADHN COc1cccc(C2(F)CCN(Cc3cccs3)CC2)c1 ZINC000500138906 340051043 /nfs/dbraw/zinc/05/10/43/340051043.db2.gz LYLSTKQHEXNHOW-UHFFFAOYSA-N 0 3 305.418 4.218 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc(-c2ccc(Cl)cc2)o1)[C@H]1CCOC1 ZINC000500233595 340053682 /nfs/dbraw/zinc/05/36/82/340053682.db2.gz SAZOZKDOHUEJPB-DYEKYZERSA-N 0 3 320.820 4.071 20 0 DIADHN COc1ccc(CNC[C@@H]2CCCC(F)(F)C2)c(Cl)c1 ZINC000500366285 340055766 /nfs/dbraw/zinc/05/57/66/340055766.db2.gz UFHIUIDFJYRBLF-LLVKDONJSA-N 0 3 303.780 4.264 20 0 DIADHN COCC1(CNCc2ccc(F)c(Cl)c2Cl)CCC1 ZINC000500390902 340056330 /nfs/dbraw/zinc/05/63/30/340056330.db2.gz DQHIREVOUZSYAA-UHFFFAOYSA-N 0 3 306.208 4.039 20 0 DIADHN Cn1nccc1[C@@H]1CCCCN1CCCc1ccc(Cl)cc1 ZINC000500396030 340056699 /nfs/dbraw/zinc/05/66/99/340056699.db2.gz IXEHTGCNEIDWLA-SFHVURJKSA-N 0 3 317.864 4.233 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000500444467 340058920 /nfs/dbraw/zinc/05/89/20/340058920.db2.gz APFLOYVZQBBGNE-NEPJUHHUSA-N 0 3 305.340 4.049 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H]3CC(C)C)co2)cc1 ZINC000500453596 340059205 /nfs/dbraw/zinc/05/92/05/340059205.db2.gz BFTNNXCQIUXEQC-QGZVFWFLSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@H]1CC(C)C ZINC000500459027 340059425 /nfs/dbraw/zinc/05/94/25/340059425.db2.gz UXHXNZMJYVAUEY-AWEZNQCLSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)N[C@@H](C)c1ccccc1Cl ZINC000500459128 340059454 /nfs/dbraw/zinc/05/94/54/340059454.db2.gz VHPCLYRLIPWKEU-LSDHHAIUSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1coc(-c2ccc(F)cc2)n1 ZINC000500467750 340059784 /nfs/dbraw/zinc/05/97/84/340059784.db2.gz UTGWGBAAKIFEKL-KRWDZBQOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)c1ncc(CNC(C)(C)COCc2ccccc2)s1 ZINC000500464375 340059803 /nfs/dbraw/zinc/05/98/03/340059803.db2.gz RJGNUFXKTVIQBK-UHFFFAOYSA-N 0 3 318.486 4.352 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCC[C@H]2OC(F)F)c(Cl)c1 ZINC000500492879 340060555 /nfs/dbraw/zinc/06/05/55/340060555.db2.gz AFJOOGYYXVJNKR-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2cccc3[nH]ccc32)s1 ZINC000500496527 340060669 /nfs/dbraw/zinc/06/06/69/340060669.db2.gz IIVXXURVQHLOBT-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1ccc2c(c1)OCCO2)c1cccc(C)c1 ZINC000500497398 340060732 /nfs/dbraw/zinc/06/07/32/340060732.db2.gz KAXFWAJKWUVQSC-UTKZUKDTSA-N 0 3 323.436 4.181 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1ccc(N(C)CC)nc1)c1cccc(C)c1 ZINC000500503023 340060905 /nfs/dbraw/zinc/06/09/05/340060905.db2.gz YUNIUOOQSAXAQD-WIYYLYMNSA-N 0 3 323.484 4.261 20 0 DIADHN Cc1cccc2ncc(CN[C@@H](c3ccc(F)cc3)C(C)C)n21 ZINC000500504301 340061050 /nfs/dbraw/zinc/06/10/50/340061050.db2.gz RNFWOVNRJPERFK-LJQANCHMSA-N 0 3 311.404 4.269 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cnc2cccc(C)n12)c1cccc(C)c1 ZINC000500531914 340061512 /nfs/dbraw/zinc/06/15/12/340061512.db2.gz DAPPXWAFIQWKRM-UTKZUKDTSA-N 0 3 319.452 4.366 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H](c1ccc(F)cc1)C(C)C ZINC000500532702 340061521 /nfs/dbraw/zinc/06/15/21/340061521.db2.gz FQJIJZOOSRFCKD-SFHVURJKSA-N 0 3 303.425 4.398 20 0 DIADHN c1cc2c(cccc2CCNCc2coc(-c3ccccc3)n2)[nH]1 ZINC000500531145 340061523 /nfs/dbraw/zinc/06/15/23/340061523.db2.gz CFTVHMDNUDXNDV-UHFFFAOYSA-N 0 3 317.392 4.155 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cccc2nccn21)c1cccc(C)c1 ZINC000500538975 340061769 /nfs/dbraw/zinc/06/17/69/340061769.db2.gz VXZCDHOFLCESEA-OXQOHEQNSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cnn2ccccc12)c1cccc(C)c1 ZINC000500539688 340061793 /nfs/dbraw/zinc/06/17/93/340061793.db2.gz YQGNJRJIXPIVKE-FXAWDEMLSA-N 0 3 305.425 4.058 20 0 DIADHN CCC[C@H](NC[C@H]1CCCN1Cc1ccccc1)c1ccccn1 ZINC000500618235 340063915 /nfs/dbraw/zinc/06/39/15/340063915.db2.gz NXHVCGCQASUNTH-UXHICEINSA-N 0 3 323.484 4.177 20 0 DIADHN CCC[C@H](NCCCOc1ccc(F)cc1)c1ccccn1 ZINC000500625675 340064087 /nfs/dbraw/zinc/06/40/87/340064087.db2.gz VBWWSDODXRICLZ-KRWDZBQOSA-N 0 3 302.393 4.121 20 0 DIADHN CC(C)=C(F)c1nc(-c2cccc(CN3CCCCC3)c2)no1 ZINC000500645210 340064336 /nfs/dbraw/zinc/06/43/36/340064336.db2.gz BWZUGLZINLSWLT-UHFFFAOYSA-N 0 3 315.392 4.443 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@H](C)c1ccccn1 ZINC000500643868 340064380 /nfs/dbraw/zinc/06/43/80/340064380.db2.gz DPBAZMOFTVMYPD-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN CCC[C@H](N[C@H]1C[C@H](OCC)C12CCCC2)c1ccccn1 ZINC000500645972 340064550 /nfs/dbraw/zinc/06/45/50/340064550.db2.gz DIKVNJPTJOLRHD-BZSNNMDCSA-N 0 3 302.462 4.250 20 0 DIADHN C[C@@H](CN1CCC[C@H]1c1ncc[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000500979470 340071320 /nfs/dbraw/zinc/07/13/20/340071320.db2.gz BODPOCXKFVQBHO-WFASDCNBSA-N 0 3 323.362 4.369 20 0 DIADHN C[C@@H](NCC[C@H](C)c1ccccc1)c1cn(-c2ccccc2)nn1 ZINC000501007754 340072081 /nfs/dbraw/zinc/07/20/81/340072081.db2.gz ZWUJMXHXRVJECA-DLBZAZTESA-N 0 3 320.440 4.112 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCCOCC(C)C ZINC000501011533 340072290 /nfs/dbraw/zinc/07/22/90/340072290.db2.gz RDSJVZHPRXUGNF-CQSZACIVSA-N 0 3 318.486 4.442 20 0 DIADHN CCCN(Cc1nccn1C(C)C)[C@@H]1CCc2ccccc2C1 ZINC000501295395 340078210 /nfs/dbraw/zinc/07/82/10/340078210.db2.gz RLBPCKZVVUKKFJ-LJQANCHMSA-N 0 3 311.473 4.234 20 0 DIADHN CC(C)OC[C@@H](C)NCCOc1ccccc1-c1ccccc1 ZINC000501376251 340079387 /nfs/dbraw/zinc/07/93/87/340079387.db2.gz FBICPSXRTVQLEF-QGZVFWFLSA-N 0 3 313.441 4.136 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cccc2cccnc21 ZINC000501388756 340079770 /nfs/dbraw/zinc/07/97/70/340079770.db2.gz RYGIXZNQVHXVEL-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H](C)COC(C)C)oc21 ZINC000501449618 340081378 /nfs/dbraw/zinc/08/13/78/340081378.db2.gz KLEATFVZSFBQQZ-KGLIPLIRSA-N 0 3 305.418 4.296 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CNCc1cccnc1 ZINC000501464822 340081597 /nfs/dbraw/zinc/08/15/97/340081597.db2.gz ANKUACBGLVCABE-LCYFTJDESA-N 0 3 306.331 4.294 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H](C)COC(C)C)c(C)c2c1 ZINC000501473358 340081893 /nfs/dbraw/zinc/08/18/93/340081893.db2.gz BZYJDFXZLPBFSI-JSGCOSHPSA-N 0 3 305.418 4.214 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(OC(C)C)cc1 ZINC000501483819 340082166 /nfs/dbraw/zinc/08/21/66/340082166.db2.gz WYASMFZTCVJCCO-UHFFFAOYSA-N 0 3 313.441 4.335 20 0 DIADHN CCCc1ccccc1NC(=O)NC[C@H](C)N1CCCC[C@@H]1C ZINC000501494268 340082292 /nfs/dbraw/zinc/08/22/92/340082292.db2.gz HGZCORDCIXKESI-HOTGVXAUSA-N 0 3 317.477 4.024 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)NC[C@H](C)N1CCCC[C@@H]1C ZINC000501503224 340082430 /nfs/dbraw/zinc/08/24/30/340082430.db2.gz NRGYVEDENDNSMR-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN C[C@H]1CCC[C@@H]1NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000501520130 340082660 /nfs/dbraw/zinc/08/26/60/340082660.db2.gz LHDTUFJTHXXEGM-YJBOKZPZSA-N 0 3 308.425 4.070 20 0 DIADHN CC(C)c1ccccc1NC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000501521875 340082705 /nfs/dbraw/zinc/08/27/05/340082705.db2.gz HZKFUOHPTPWSNX-JKSUJKDBSA-N 0 3 317.477 4.194 20 0 DIADHN CCCn1nccc1C1CCN(Cc2cc(F)ccc2C)CC1 ZINC000501562539 340083799 /nfs/dbraw/zinc/08/37/99/340083799.db2.gz RXZJENHRVYOZLJ-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](C)[C@@H](C)C3)o2)cc1C ZINC000501796355 340089048 /nfs/dbraw/zinc/08/90/48/340089048.db2.gz REAGKKLWRDASOH-BPUTZDHNSA-N 0 3 313.445 4.392 20 0 DIADHN CCC[C@H](C)C(=O)N(CC)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000501957203 340091525 /nfs/dbraw/zinc/09/15/25/340091525.db2.gz KOQVXNKAUGYACD-YQVWRLOYSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@@H](NC[C@H]1CCc2ccccc2C1)c1nc2c(s1)CCC2 ZINC000502016004 340093078 /nfs/dbraw/zinc/09/30/78/340093078.db2.gz IQXHGNWYTJJYSD-KGLIPLIRSA-N 0 3 312.482 4.088 20 0 DIADHN CC[C@@H](CN[C@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000502019448 340093138 /nfs/dbraw/zinc/09/31/38/340093138.db2.gz RQOFZBRAOPHUOH-KGLIPLIRSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000502156734 340096084 /nfs/dbraw/zinc/09/60/84/340096084.db2.gz RLRFRZLRDXUGTK-BETUJISGSA-N 0 3 304.821 4.473 20 0 DIADHN COc1cc(C)c(C[C@@H](C)N[C@H](C)c2ccc(C)o2)cc1OC ZINC000502250962 340097820 /nfs/dbraw/zinc/09/78/20/340097820.db2.gz QSXKVMBKTFYNAY-UKRRQHHQSA-N 0 3 317.429 4.195 20 0 DIADHN CCCn1nccc1C1CCN(Cc2coc3ccccc23)CC1 ZINC000502316189 340099558 /nfs/dbraw/zinc/09/95/58/340099558.db2.gz KMSAGQUXPZWCJH-UHFFFAOYSA-N 0 3 323.440 4.419 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)CN(C)Cc2ccccc2)oc1C ZINC000502362606 340100633 /nfs/dbraw/zinc/10/06/33/340100633.db2.gz AFEZUYUSLXCZHR-CVEARBPZSA-N 0 3 300.446 4.068 20 0 DIADHN COc1ccccc1OC[C@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000502375751 340101057 /nfs/dbraw/zinc/10/10/57/340101057.db2.gz QMWAGPGPELCTRB-HIFRSBDPSA-N 0 3 317.429 4.271 20 0 DIADHN CC(C)CSCCCN[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502371491 340101086 /nfs/dbraw/zinc/10/10/86/340101086.db2.gz HSEOIVWLTCCCLK-HNNXBMFYSA-N 0 3 309.523 4.428 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)c2c(F)cccc2Cl)nn1C ZINC000502390298 340101404 /nfs/dbraw/zinc/10/14/04/340101404.db2.gz NZDKRHYNKSDCQB-GFCCVEGCSA-N 0 3 323.843 4.149 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H](C)c2cc(C)c(C)o2)cc1 ZINC000502391725 340101522 /nfs/dbraw/zinc/10/15/22/340101522.db2.gz LWBVYNLIELNEOK-CKFHNAJUSA-N 0 3 317.429 4.332 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@H](c2ccccc2)N(C)C)oc1C ZINC000502433399 340103386 /nfs/dbraw/zinc/10/33/86/340103386.db2.gz WHHXWRWDQARKAW-YZVOILCLSA-N 0 3 300.446 4.238 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)oc1C ZINC000502445403 340103755 /nfs/dbraw/zinc/10/37/55/340103755.db2.gz NSSCUAOCNBQJLA-AEFFLSMTSA-N 0 3 312.457 4.464 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@@H](C)c2cc(C)c(C)o2)c1 ZINC000502457619 340104401 /nfs/dbraw/zinc/10/44/01/340104401.db2.gz XIGBDSQSYRSZRV-KBPBESRZSA-N 0 3 303.402 4.023 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@@H](C)c2cc(C)c(C)o2)cc1 ZINC000502458680 340104561 /nfs/dbraw/zinc/10/45/61/340104561.db2.gz DMOUDDYDQDZPCB-KGLIPLIRSA-N 0 3 303.402 4.023 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000502465315 340104802 /nfs/dbraw/zinc/10/48/02/340104802.db2.gz UXJQWZMYVAYHRB-ZIAGYGMSSA-N 0 3 303.402 4.023 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000502465314 340104825 /nfs/dbraw/zinc/10/48/25/340104825.db2.gz UXJQWZMYVAYHRB-UONOGXRCSA-N 0 3 303.402 4.023 20 0 DIADHN Cc1cc([C@H](C)NCC2(Cc3ccccc3)CCCC2)nn1C ZINC000502473491 340105102 /nfs/dbraw/zinc/10/51/02/340105102.db2.gz QUYYVSOYPMREGK-KRWDZBQOSA-N 0 3 311.473 4.182 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C2(c3ccccc3)CCCC2)nn1C ZINC000502480697 340105543 /nfs/dbraw/zinc/10/55/43/340105543.db2.gz IRBKSCAZVSSQIW-SJORKVTESA-N 0 3 311.473 4.280 20 0 DIADHN Cc1cc([C@H](C)NC2CC(c3ccc(F)c(Cl)c3)C2)nn1C ZINC000502508104 340106244 /nfs/dbraw/zinc/10/62/44/340106244.db2.gz JWANUJBDNNARAU-XGNXJENSSA-N 0 3 321.827 4.118 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H](Oc3ccccc3)C2)cc1 ZINC000502568029 340108029 /nfs/dbraw/zinc/10/80/29/340108029.db2.gz GSBNZXUDYJLVRI-HXUWFJFHSA-N 0 3 311.425 4.129 20 0 DIADHN CCSCCCN[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000502567837 340108036 /nfs/dbraw/zinc/10/80/36/340108036.db2.gz WOBDFEVZTITRHR-JTQLQIEISA-N 0 3 307.381 4.221 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cc(F)ccc1F ZINC000502589941 340108545 /nfs/dbraw/zinc/10/85/45/340108545.db2.gz BETGXRBMIRESIB-CUOATXAZSA-N 0 3 305.315 4.166 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2ccco2)N2CCCCC2)oc1C ZINC000502683936 340110262 /nfs/dbraw/zinc/11/02/62/340110262.db2.gz LRUZVALQAKXMTD-WBVHZDCISA-N 0 3 316.445 4.367 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)COc2ccc(F)cc2F)oc1C ZINC000502685970 340110357 /nfs/dbraw/zinc/11/03/57/340110357.db2.gz IEBXODDGQMVLEX-NEPJUHHUSA-N 0 3 309.356 4.293 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3cccc(C)c3)CCCC2)nn1C ZINC000502700231 340111232 /nfs/dbraw/zinc/11/12/32/340111232.db2.gz PZVBEJYLOLAEBQ-KRWDZBQOSA-N 0 3 311.473 4.200 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@H]1C)c1cnn(C2CCCC2)c1 ZINC000502722552 340112292 /nfs/dbraw/zinc/11/22/92/340112292.db2.gz LCWVTJOYALXOSY-GJYPPUQNSA-N 0 3 319.493 4.244 20 0 DIADHN Cc1ccccc1[C@@]1(F)CCN(CCCCOC(C)(C)C)C1 ZINC000502874745 340115321 /nfs/dbraw/zinc/11/53/21/340115321.db2.gz IGKXFXZVTSDGNA-LJQANCHMSA-N 0 3 307.453 4.461 20 0 DIADHN Cc1nc(CCN2CCCC[C@@H]2c2ccc(F)cc2)cs1 ZINC000502926483 340116838 /nfs/dbraw/zinc/11/68/38/340116838.db2.gz UHXBYBGFWPHSID-QGZVFWFLSA-N 0 3 304.434 4.360 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000502995947 340118283 /nfs/dbraw/zinc/11/82/83/340118283.db2.gz LGPSPBDLTFOACX-HUUCEWRRSA-N 0 3 300.471 4.334 20 0 DIADHN CC[C@@H](CNCc1csc([C@H](C)OC)n1)c1ccccc1 ZINC000503006395 340118522 /nfs/dbraw/zinc/11/85/22/340118522.db2.gz UCSXJDGUHVELMO-KBPBESRZSA-N 0 3 304.459 4.134 20 0 DIADHN CC(C)(C)n1ncnc1CN[C@@H]1CCCC[C@@H]1C1CCCCC1 ZINC000503028107 340118975 /nfs/dbraw/zinc/11/89/75/340118975.db2.gz BBJRCUYYUGWSEU-IAGOWNOFSA-N 0 3 318.509 4.262 20 0 DIADHN CC(C)(C)n1ncnc1CN[C@H]1CCCC[C@@H]1C1CCCCC1 ZINC000503028109 340119091 /nfs/dbraw/zinc/11/90/91/340119091.db2.gz BBJRCUYYUGWSEU-SJORKVTESA-N 0 3 318.509 4.262 20 0 DIADHN CC[C@@H]1C[C@H](CN2CC[C@](F)(c3ccccc3C)C2)CCO1 ZINC000503101173 340120971 /nfs/dbraw/zinc/12/09/71/340120971.db2.gz HEMAIEYNLGTASB-ZHALLVOQSA-N 0 3 305.437 4.071 20 0 DIADHN Cc1ccc(OCCCN2CCC[C@@H]2c2ccncc2)cc1C ZINC000503159907 340122182 /nfs/dbraw/zinc/12/21/82/340122182.db2.gz LUPSOKOYZOUHJT-HXUWFJFHSA-N 0 3 310.441 4.304 20 0 DIADHN CN(CCCOc1cccc2ccccc21)CCc1ccccn1 ZINC000503192833 340122963 /nfs/dbraw/zinc/12/29/63/340122963.db2.gz ONBBDCDPRKBURD-UHFFFAOYSA-N 0 3 320.436 4.178 20 0 DIADHN CCCCCn1cc(CNCc2cccc3cc[nH]c32)c(C)n1 ZINC000503271517 340124388 /nfs/dbraw/zinc/12/43/88/340124388.db2.gz WQZKGXSLGHBZQA-UHFFFAOYSA-N 0 3 310.445 4.153 20 0 DIADHN CC(C)(C)Oc1cccc(CNC[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000503288278 340124787 /nfs/dbraw/zinc/12/47/87/340124787.db2.gz YAJOQTCZMRHEGD-SJLPKXTDSA-N 0 3 310.441 4.152 20 0 DIADHN CCCC[C@@H](C)C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000503317393 340125194 /nfs/dbraw/zinc/12/51/94/340125194.db2.gz CZXWYYITFIDOOT-HZPDHXFCSA-N 0 3 304.478 4.058 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN(C)C[C@H]1CCCO1 ZINC000503560392 340130571 /nfs/dbraw/zinc/13/05/71/340130571.db2.gz VRMYZUUFXCUILC-NRMKIYEFSA-N 0 3 313.363 4.220 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN(C)C[C@H]1CCCO1 ZINC000503560394 340130623 /nfs/dbraw/zinc/13/06/23/340130623.db2.gz VRMYZUUFXCUILC-VSKPTYQZSA-N 0 3 313.363 4.220 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN(C)C[C@@H]1CCCO1 ZINC000503560393 340130658 /nfs/dbraw/zinc/13/06/58/340130658.db2.gz VRMYZUUFXCUILC-VOMSXAGXSA-N 0 3 313.363 4.220 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@H](C(F)(F)F)[C@H]1C ZINC000503577434 340131415 /nfs/dbraw/zinc/13/14/15/340131415.db2.gz MFARNLAJPUEWTR-ABAIWWIYSA-N 0 3 301.352 4.030 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000503856110 340135224 /nfs/dbraw/zinc/13/52/24/340135224.db2.gz NHTUZPLFGSJTHA-MRCXROJRSA-N 0 3 319.779 4.160 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCC[C@@H]2CCCCO2)o1 ZINC000503909975 340136499 /nfs/dbraw/zinc/13/64/99/340136499.db2.gz MMFMCWWDYDANDU-ZDUSSCGKSA-N 0 3 321.367 4.274 20 0 DIADHN CC[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cc(C)ccc1OC ZINC000503939122 340137774 /nfs/dbraw/zinc/13/77/74/340137774.db2.gz XVKCNKBBZBTJBX-IIAWOOMASA-N 0 3 313.388 4.205 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccccc1OC(F)F ZINC000503948994 340138231 /nfs/dbraw/zinc/13/82/31/340138231.db2.gz QPTAECQULHFVDQ-UFGOTCBOSA-N 0 3 321.314 4.099 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)c(F)c1 ZINC000503954750 340138632 /nfs/dbraw/zinc/13/86/32/340138632.db2.gz YFRXHYNTPZXYQB-COLVAYQJSA-N 0 3 317.351 4.035 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cc(F)cc(F)c1 ZINC000503954686 340138692 /nfs/dbraw/zinc/13/86/92/340138692.db2.gz XYRPWMAWHOBGCB-OWYVNGRQSA-N 0 3 305.315 4.166 20 0 DIADHN CC[C@H](C)[C@@H](C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000504068987 340140347 /nfs/dbraw/zinc/14/03/47/340140347.db2.gz FPFLDSHRCRXQED-JKSUJKDBSA-N 0 3 302.462 4.293 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccccc3Cl)C2)cc1 ZINC000504477171 340148225 /nfs/dbraw/zinc/14/82/25/340148225.db2.gz LXAUWTKAEBVLBZ-OAHLLOKOSA-N 0 3 301.817 4.338 20 0 DIADHN COc1ccc([C@@H]2CCN(CCO[C@@H]3CCCC[C@@H]3C)C2)cc1 ZINC000504489979 340148465 /nfs/dbraw/zinc/14/84/65/340148465.db2.gz RQZAUXXWZLQSGH-ILZDJORESA-N 0 3 317.473 4.080 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](c1ccc(F)cc1)C(C)C ZINC000504581901 340149615 /nfs/dbraw/zinc/14/96/15/340149615.db2.gz GKCNVTZDUSNHJQ-IBGZPJMESA-N 0 3 317.452 4.171 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)COc2cccc(F)c2)ccc1F ZINC000504906085 340155660 /nfs/dbraw/zinc/15/56/60/340155660.db2.gz SPXKKVUDNCCHKN-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)on1 ZINC000504930307 340156653 /nfs/dbraw/zinc/15/66/53/340156653.db2.gz OTXJLQIYTQZZTL-GDBMZVCRSA-N 0 3 324.346 4.428 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC[C@@H](c2nccs2)C1 ZINC000505251384 340164785 /nfs/dbraw/zinc/16/47/85/340164785.db2.gz NFFIWZDMLXEUIG-GFCCVEGCSA-N 0 3 324.852 4.358 20 0 DIADHN COc1ccsc1CN1CCCCC[C@@H]1c1ccncc1 ZINC000505272941 340165310 /nfs/dbraw/zinc/16/53/10/340165310.db2.gz MMRVOFHSEIHLKA-OAHLLOKOSA-N 0 3 302.443 4.269 20 0 DIADHN CC(C)(C)n1cc(CN2CCC(Cc3ccccc3)CC2)cn1 ZINC000505314109 340165988 /nfs/dbraw/zinc/16/59/88/340165988.db2.gz KUGBWRYTJJMAJJ-UHFFFAOYSA-N 0 3 311.473 4.093 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CCC[C@@H]3CCCC[C@@H]23)c1 ZINC000505331266 340166325 /nfs/dbraw/zinc/16/63/25/340166325.db2.gz PLMOBGGSPGXEJP-QXAKKESOSA-N 0 3 314.473 4.293 20 0 DIADHN COc1sc(CN(C)C2CCCCC2)cc1Br ZINC000505348346 340166709 /nfs/dbraw/zinc/16/67/09/340166709.db2.gz GYOFYKDDUXMLBG-UHFFFAOYSA-N 0 3 318.280 4.284 20 0 DIADHN Cn1cc(CN2CCCCCC2)c(-c2ccccc2Cl)n1 ZINC000505460497 340168855 /nfs/dbraw/zinc/16/88/55/340168855.db2.gz DBAULLIQEXWTTJ-UHFFFAOYSA-N 0 3 303.837 4.117 20 0 DIADHN COc1ccccc1CCCN[C@@H](C)c1c(F)cccc1F ZINC000505582053 340171627 /nfs/dbraw/zinc/17/16/27/340171627.db2.gz QKKDQLCEYUHIRF-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN COc1ccccc1CCCN[C@H](C)c1cc(F)ccc1OC ZINC000505607845 340172701 /nfs/dbraw/zinc/17/27/01/340172701.db2.gz MWLDIRPJMJWLPB-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN COc1ccc2oc(CN(C)Cc3cccc(OC)c3)cc2c1 ZINC000505633799 340173665 /nfs/dbraw/zinc/17/36/65/340173665.db2.gz MKUWMJQTRIXSRN-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN C[C@H](C1CC1)N(Cc1cnc2ccccn12)Cc1ccccc1 ZINC000505777269 340177662 /nfs/dbraw/zinc/17/76/62/340177662.db2.gz NZFUMGHQAHABDZ-MRXNPFEDSA-N 0 3 305.425 4.135 20 0 DIADHN Cc1ccc2c(C3=CCN(CCc4ccccn4)CC3)c[nH]c2c1 ZINC000505813160 340178599 /nfs/dbraw/zinc/17/85/99/340178599.db2.gz ADCUJOOAWGAGIC-UHFFFAOYSA-N 0 3 317.436 4.203 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1cnc2ccccn12)\c1ccccc1 ZINC000505901625 340181253 /nfs/dbraw/zinc/18/12/53/340181253.db2.gz UMHRDSJBBBIOPA-JYBLJSLWSA-N 0 3 303.409 4.012 20 0 DIADHN CCOCc1cc(CN(CC)Cc2ccccc2)ccc1OC ZINC000505912922 340181552 /nfs/dbraw/zinc/18/15/52/340181552.db2.gz PDHASKJSQVOUGJ-UHFFFAOYSA-N 0 3 313.441 4.254 20 0 DIADHN CC[C@H](C)N(Cc1cnc(N(C)C)s1)Cc1ccccc1 ZINC000506111308 340185976 /nfs/dbraw/zinc/18/59/76/340185976.db2.gz ASAOMBILDDMIFB-AWEZNQCLSA-N 0 3 303.475 4.010 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2N2CCCC2)cc1C ZINC000506149152 340186781 /nfs/dbraw/zinc/18/67/81/340186781.db2.gz CFALGCVULOGYDA-UHFFFAOYSA-N 0 3 324.468 4.236 20 0 DIADHN CN(Cc1cccc2cc[nH]c21)Cc1ccccc1N1CCCC1 ZINC000506164271 340187270 /nfs/dbraw/zinc/18/72/70/340187270.db2.gz KTEYIFVMIYFOTQ-UHFFFAOYSA-N 0 3 319.452 4.400 20 0 DIADHN COCc1cccc(CN2CC=C(c3ccc(F)cc3)CC2)c1 ZINC000506179305 340187766 /nfs/dbraw/zinc/18/77/66/340187766.db2.gz PSQXWFUDJVXMTA-UHFFFAOYSA-N 0 3 311.400 4.261 20 0 DIADHN CN(Cc1ccc(Br)o1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC000506189201 340188058 /nfs/dbraw/zinc/18/80/58/340188058.db2.gz JLEFGWMWAZWXKV-DZGCQCFKSA-N 0 3 320.230 4.278 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)[C@H]2CCSC2)o1 ZINC000506190458 340188115 /nfs/dbraw/zinc/18/81/15/340188115.db2.gz NCVIYPGJCUAZEU-AWEZNQCLSA-N 0 3 305.418 4.331 20 0 DIADHN CN(Cc1ccc([S@](C)=O)cc1)C1CCC(C(C)(C)C)CC1 ZINC000506202094 340188554 /nfs/dbraw/zinc/18/85/54/340188554.db2.gz HDVICWGUMGMVAQ-XWTGJVNOSA-N 0 3 321.530 4.461 20 0 DIADHN Fc1c(Cl)cccc1CNCCc1nc2ccccc2s1 ZINC000506215222 340188908 /nfs/dbraw/zinc/18/89/08/340188908.db2.gz MKLKJFVIWIOZGJ-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN CN(Cc1c(OC(F)F)ccc2ccccc21)[C@H]1CCSC1 ZINC000506234908 340189581 /nfs/dbraw/zinc/18/95/81/340189581.db2.gz TZDGVUXSRDURDS-ZDUSSCGKSA-N 0 3 323.408 4.379 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CN1CCC(OC)CC1 ZINC000506244907 340189786 /nfs/dbraw/zinc/18/97/86/340189786.db2.gz DVQHXHDGNFNNKG-UHFFFAOYSA-N 0 3 322.468 4.063 20 0 DIADHN C[C@H](c1ccc(F)cc1)N(C)Cc1cccc(-n2cccn2)c1 ZINC000506245479 340189795 /nfs/dbraw/zinc/18/97/95/340189795.db2.gz BESAQFWQHXBEPN-OAHLLOKOSA-N 0 3 309.388 4.204 20 0 DIADHN Cc1ccc(CCC2CCN(Cc3cncs3)CC2)cc1 ZINC000506245212 340189831 /nfs/dbraw/zinc/18/98/31/340189831.db2.gz KMXMNQKPIUWFIT-UHFFFAOYSA-N 0 3 300.471 4.296 20 0 DIADHN C[C@H](CNCc1ccc(C(F)(F)F)cc1)Oc1ccccc1 ZINC000506276712 340190763 /nfs/dbraw/zinc/19/07/63/340190763.db2.gz DNTBFDAKAHTUPX-CYBMUJFWSA-N 0 3 309.331 4.263 20 0 DIADHN COC1CCN(Cc2ccc(-c3ccc(C)cc3)s2)CC1 ZINC000506285179 340191144 /nfs/dbraw/zinc/19/11/44/340191144.db2.gz ZFAOTQRNONFTRG-UHFFFAOYSA-N 0 3 301.455 4.334 20 0 DIADHN Cc1ccc(F)c(CN2CCC(OCc3ccccc3)CC2)c1 ZINC000506284445 340191190 /nfs/dbraw/zinc/19/11/90/340191190.db2.gz WSRISLJZILUYNS-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN C[C@H](CNCc1cccc(C(F)(F)F)c1)Oc1ccccc1 ZINC000506286698 340191234 /nfs/dbraw/zinc/19/12/34/340191234.db2.gz WRDGNTQHDFAADG-CYBMUJFWSA-N 0 3 309.331 4.263 20 0 DIADHN C[C@H](CNCc1ccccc1OCC1CC1)Oc1ccccc1 ZINC000506293082 340191465 /nfs/dbraw/zinc/19/14/65/340191465.db2.gz QOBNSBQFDNUYDP-MRXNPFEDSA-N 0 3 311.425 4.033 20 0 DIADHN CCOC1CC(CN[C@@H](c2ccccc2)c2cccc(F)c2)C1 ZINC000506310050 340191905 /nfs/dbraw/zinc/19/19/05/340191905.db2.gz OXOHLWFQPWFEAF-OAAAQFAESA-N 0 3 313.416 4.320 20 0 DIADHN C[C@@H](CNCc1ccccc1C(F)(F)F)Oc1ccccc1 ZINC000506317077 340192025 /nfs/dbraw/zinc/19/20/25/340192025.db2.gz XHKSJZWTNWOOFS-ZDUSSCGKSA-N 0 3 309.331 4.263 20 0 DIADHN OCC1CCN(Cc2ccc(-c3ccc(Cl)cc3)s2)CC1 ZINC000506366777 340193232 /nfs/dbraw/zinc/19/32/32/340193232.db2.gz AZQGJSJVYQKZER-UHFFFAOYSA-N 0 3 321.873 4.273 20 0 DIADHN COc1cc(C)c(CN(Cc2ccccc2)C2CC2)cc1OC ZINC000506358486 340193303 /nfs/dbraw/zinc/19/33/03/340193303.db2.gz VZOBIYVXLULEPB-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN CN(Cc1cnc(-c2ccccn2)s1)CC1CCCCC1 ZINC000506358609 340193306 /nfs/dbraw/zinc/19/33/06/340193306.db2.gz XEWAKGZCHGIULA-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN Cc1cccn2c(CN3CCC(c4ccccc4)CC3)cnc12 ZINC000506394645 340193939 /nfs/dbraw/zinc/19/39/39/340193939.db2.gz KJZNCRJJMRUTQV-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cccc(-n3cccn3)c2)cc1 ZINC000506396123 340194054 /nfs/dbraw/zinc/19/40/54/340194054.db2.gz QKJVZEQJWDPQHD-MRXNPFEDSA-N 0 3 321.424 4.074 20 0 DIADHN CCc1ncc(CN(CC)[C@H](C)Cc2ccc(OC)cc2)s1 ZINC000506481454 340195390 /nfs/dbraw/zinc/19/53/90/340195390.db2.gz ORIDUTAGDCPDNF-CQSZACIVSA-N 0 3 318.486 4.167 20 0 DIADHN COc1ccc(CN([C@@H](C)c2ccccc2)C2CC2)cc1OC ZINC000506536946 340196319 /nfs/dbraw/zinc/19/63/19/340196319.db2.gz DXFBULNLXZNXMG-HNNXBMFYSA-N 0 3 311.425 4.429 20 0 DIADHN CCOc1ccc(CN2CCC(c3ccc(O)cc3)CC2)cc1 ZINC000506626311 340197959 /nfs/dbraw/zinc/19/79/59/340197959.db2.gz WXQYVABAEGWXCO-UHFFFAOYSA-N 0 3 311.425 4.171 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccc(F)cc1)[C@@H]1CCc2ccccc21 ZINC000506629290 340198001 /nfs/dbraw/zinc/19/80/01/340198001.db2.gz AGAGLARSPBHOMT-LJQANCHMSA-N 0 3 321.399 4.335 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2ccccc2C)cc1 ZINC000506632955 340198200 /nfs/dbraw/zinc/19/82/00/340198200.db2.gz VRHRYOWPZXPMGJ-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN COc1cc(C)c(CN(C)[C@@H]2CCc3ccccc32)cc1OC ZINC000506651537 340198619 /nfs/dbraw/zinc/19/86/19/340198619.db2.gz ATRWUMZKAWTDKX-GOSISDBHSA-N 0 3 311.425 4.132 20 0 DIADHN Cc1ccc(CN2CC=C(c3c[nH]c4ncccc34)CC2)cc1C ZINC000506659055 340198796 /nfs/dbraw/zinc/19/87/96/340198796.db2.gz BHYCFINKJUYTKH-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN COc1ccsc1CN1CCC[C@@H]1c1cccc(OC)c1 ZINC000506690686 340199630 /nfs/dbraw/zinc/19/96/30/340199630.db2.gz RYUVETCEFOMRRC-OAHLLOKOSA-N 0 3 303.427 4.102 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cnn3ccccc23)cc1 ZINC000506708934 340199941 /nfs/dbraw/zinc/19/99/41/340199941.db2.gz VDWHHSPNVZMDQB-LJQANCHMSA-N 0 3 321.424 4.070 20 0 DIADHN CCc1ccc(CN2CCC(C(=O)Nc3ccccc3)CC2)cc1 ZINC000506742490 340200659 /nfs/dbraw/zinc/20/06/59/340200659.db2.gz GPHPFEODCWBUNG-UHFFFAOYSA-N 0 3 322.452 4.100 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@H](CO)C1 ZINC000506808795 340202271 /nfs/dbraw/zinc/20/22/71/340202271.db2.gz ZRRGJSKHFFWPNY-LBPRGKRZSA-N 0 3 309.862 4.067 20 0 DIADHN Fc1ccc([C@@H](NCCC[C@@H]2CCOC2)c2ccccc2)cc1 ZINC000402077548 340202485 /nfs/dbraw/zinc/20/24/85/340202485.db2.gz SQLKBOCMDRVETL-UZLBHIALSA-N 0 3 313.416 4.321 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)Cc1cccs1 ZINC000506943580 340204043 /nfs/dbraw/zinc/20/40/43/340204043.db2.gz IGHJBXGQRZCXEX-PTNGSMBKSA-N 0 3 301.455 4.430 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccc2ncccc2c1 ZINC000506995699 340204959 /nfs/dbraw/zinc/20/49/59/340204959.db2.gz WGCJCHHVPPIPPM-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CC(C)N(Cc1cccs1)Cc1cccc(-n2cccn2)c1 ZINC000507007271 340205221 /nfs/dbraw/zinc/20/52/21/340205221.db2.gz JMXRBQGLBRUTLK-UHFFFAOYSA-N 0 3 311.454 4.344 20 0 DIADHN CNC(=O)c1ccc(CN(C)[C@H](C)c2ccc(C(C)C)cc2)cc1 ZINC000507011737 340205342 /nfs/dbraw/zinc/20/53/42/340205342.db2.gz DAGQWDRQQZMQKP-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1cn(C)nc1-c1ccccc1Cl ZINC000507117610 340208055 /nfs/dbraw/zinc/20/80/55/340208055.db2.gz VEHMCUNKNQJDBM-KRWDZBQOSA-N 0 3 317.864 4.361 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc(OC)c(O)c1 ZINC000507131273 340208652 /nfs/dbraw/zinc/20/86/52/340208652.db2.gz GIRFSWSGLQTHCA-UHFFFAOYSA-N 0 3 305.805 4.076 20 0 DIADHN Cc1ccc(O)c(CN2CCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000507160841 340210166 /nfs/dbraw/zinc/21/01/66/340210166.db2.gz NOEUZMLDDBRFBQ-INIZCTEOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1ccc(O)c(CN2CCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000507160842 340210321 /nfs/dbraw/zinc/21/03/21/340210321.db2.gz NOEUZMLDDBRFBQ-MRXNPFEDSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)CCCc1ccccc1 ZINC000507169515 340210569 /nfs/dbraw/zinc/21/05/69/340210569.db2.gz WCKHAABXTQJWCO-UHFFFAOYSA-N 0 3 313.489 4.320 20 0 DIADHN CC(C)N(Cc1cccc(Br)c1)CC1=CCCOC1 ZINC000507171420 340210869 /nfs/dbraw/zinc/21/08/69/340210869.db2.gz VBJCIITWXSVCGR-UHFFFAOYSA-N 0 3 324.262 4.006 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc(C2CCC2)s1 ZINC000507170448 340210937 /nfs/dbraw/zinc/21/09/37/340210937.db2.gz OAMNWUIEWNZTJW-UHFFFAOYSA-N 0 3 300.471 4.475 20 0 DIADHN CCN(Cc1cccc(O)c1)Cc1cccc(Br)c1 ZINC000507174706 340211119 /nfs/dbraw/zinc/21/11/19/340211119.db2.gz SIOQQRLGTIIWNL-UHFFFAOYSA-N 0 3 320.230 4.177 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CC[C@H](c3ccccc3C)C2)c1 ZINC000507180903 340211632 /nfs/dbraw/zinc/21/16/32/340211632.db2.gz OZPMQUHVBFZYIA-MSOLQXFVSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CC[C@@H](c2ccccc2C)C1 ZINC000507184524 340211760 /nfs/dbraw/zinc/21/17/60/340211760.db2.gz SLPRDMSYSPLMCL-ZWKOTPCHSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nc(-c3ccccc3)no2)C1 ZINC000507184064 340211763 /nfs/dbraw/zinc/21/17/63/340211763.db2.gz QGFQWFACGFLFMS-QGZVFWFLSA-N 0 3 319.408 4.035 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CC[C@H](c2ccccc2C)C1 ZINC000507184523 340211787 /nfs/dbraw/zinc/21/17/87/340211787.db2.gz SLPRDMSYSPLMCL-ROUUACIJSA-N 0 3 322.452 4.120 20 0 DIADHN COCCN(Cc1ccc(-c2cccc(F)c2C)o1)C1CC1 ZINC000507187448 340211913 /nfs/dbraw/zinc/21/19/13/340211913.db2.gz KEHWZZGKRKJWMY-UHFFFAOYSA-N 0 3 303.377 4.005 20 0 DIADHN COCCOc1cccc(CN(C)[C@@H](C)c2ccc(C)cc2)c1 ZINC000507192242 340212421 /nfs/dbraw/zinc/21/24/21/340212421.db2.gz KFVOTLRUMDJPJT-KRWDZBQOSA-N 0 3 313.441 4.213 20 0 DIADHN CN(Cc1ccc(Cl)cc1)C[C@H]1OCCc2ccccc21 ZINC000507218970 340214306 /nfs/dbraw/zinc/21/43/06/340214306.db2.gz MWJZIGSMJFDRAG-GOSISDBHSA-N 0 3 301.817 4.086 20 0 DIADHN CCN(Cc1c(C)nn(Cc2ccc(Cl)cc2)c1C)C1CC1 ZINC000507233220 340214886 /nfs/dbraw/zinc/21/48/86/340214886.db2.gz QABIBOVGOGZYFK-UHFFFAOYSA-N 0 3 317.864 4.186 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1ccc(Br)cc1 ZINC000507272978 340216500 /nfs/dbraw/zinc/21/65/00/340216500.db2.gz OWLGGZLEJOJXRA-VIFPVBQESA-N 0 3 322.168 4.442 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)[C@@H](C)c3ccc(C)cc3C)cc12 ZINC000507236260 340215106 /nfs/dbraw/zinc/21/51/06/340215106.db2.gz XRWPKEQMRRIUQX-HNNXBMFYSA-N 0 3 308.429 4.076 20 0 DIADHN CCCCN(Cc1ccccc1OCc1ccncc1)C1CC1 ZINC000507244007 340215316 /nfs/dbraw/zinc/21/53/16/340215316.db2.gz PLMQDUFJNQZLLV-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN C[C@H](NCC1(c2ccccn2)CCC1)c1ccc(F)cc1F ZINC000507247612 340215510 /nfs/dbraw/zinc/21/55/10/340215510.db2.gz PJIYNUPHYDITEQ-ZDUSSCGKSA-N 0 3 302.368 4.132 20 0 DIADHN COCC[C@@H](N[C@H](C)Cc1ccc(Cl)cc1)c1ccco1 ZINC000507440091 340219518 /nfs/dbraw/zinc/21/95/18/340219518.db2.gz FFRICEMJRAPMEM-CZUORRHYSA-N 0 3 307.821 4.231 20 0 DIADHN C[C@@H](C1CC1)N(C)Cc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000507444972 340219924 /nfs/dbraw/zinc/21/99/24/340219924.db2.gz YRQYGRHBEMAYRA-SUMWQHHRSA-N 0 3 323.864 4.128 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](c1ccc(OC)cc1)C1CC1 ZINC000507469459 340221220 /nfs/dbraw/zinc/22/12/20/340221220.db2.gz KKFXGLLLPXTPQZ-XOBRGWDASA-N 0 3 310.441 4.455 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](C)c1cccc(-n2cccn2)c1 ZINC000507469067 340221231 /nfs/dbraw/zinc/22/12/31/340221231.db2.gz JEVKIDZYXQAUPS-HZPDHXFCSA-N 0 3 320.440 4.242 20 0 DIADHN COC1(CNC(c2ccc(F)cc2)c2ccc(F)cc2)CCC1 ZINC000507475373 340221700 /nfs/dbraw/zinc/22/17/00/340221700.db2.gz HBZZVZDQWGSTOV-UHFFFAOYSA-N 0 3 317.379 4.213 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(Cc2ccc(F)cc2)CC1 ZINC000507483909 340222001 /nfs/dbraw/zinc/22/20/01/340222001.db2.gz IKHHFZASHKJGDJ-OAHLLOKOSA-N 0 3 312.432 4.457 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000507492313 340222608 /nfs/dbraw/zinc/22/26/08/340222608.db2.gz DYOKAMQLVQHIBL-IQUTYRLHSA-N 0 3 316.420 4.210 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccc(F)cc1Cl ZINC000507496404 340222693 /nfs/dbraw/zinc/22/26/93/340222693.db2.gz OPYJGFYEEZFYRB-LBPRGKRZSA-N 0 3 306.812 4.330 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000507498142 340222940 /nfs/dbraw/zinc/22/29/40/340222940.db2.gz ULGGYYPYCDSEKW-CVAIRZPRSA-N 0 3 310.441 4.072 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@H](C)c1ccc(SCC)cc1 ZINC000507511045 340223539 /nfs/dbraw/zinc/22/35/39/340223539.db2.gz YHRKGPOZSASINX-HUUCEWRRSA-N 0 3 322.518 4.144 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCOC2(CCCCC2)C1 ZINC000507515462 340223584 /nfs/dbraw/zinc/22/35/84/340223584.db2.gz UYZGQKMXPMEGEG-NVXWUHKLSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1cccc(F)c1)[C@H]1CCCOC1 ZINC000507516474 340223792 /nfs/dbraw/zinc/22/37/92/340223792.db2.gz WHHORGUIUWYTAM-ZAAXVRCTSA-N 0 3 313.416 4.320 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCCOc1ccc(F)cc1 ZINC000507520224 340224075 /nfs/dbraw/zinc/22/40/75/340224075.db2.gz OEOLNONUZYQGLD-OAHLLOKOSA-N 0 3 316.420 4.293 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCCOc1ccc(F)cc1 ZINC000507520223 340224117 /nfs/dbraw/zinc/22/41/17/340224117.db2.gz OEOLNONUZYQGLD-HNNXBMFYSA-N 0 3 316.420 4.293 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCO[C@@H](C)c1ccccc1 ZINC000507520670 340224158 /nfs/dbraw/zinc/22/41/58/340224158.db2.gz SFFXHUPGECCCJQ-SJORKVTESA-N 0 3 312.457 4.463 20 0 DIADHN CCCOc1cccc(CCN[C@@H](C)c2ncccc2CC)c1 ZINC000507535488 340224694 /nfs/dbraw/zinc/22/46/94/340224694.db2.gz VLOVTKVZSSVRIN-INIZCTEOSA-N 0 3 312.457 4.326 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCC[C@H]1CCCCO1 ZINC000507605313 340225948 /nfs/dbraw/zinc/22/59/48/340225948.db2.gz JSPTWBFMOHUOFI-UKRRQHHQSA-N 0 3 311.853 4.349 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN(C[C@H]1CCOC1)C1CC1 ZINC000507606574 340226011 /nfs/dbraw/zinc/22/60/11/340226011.db2.gz NNTMKNDGOFUCOM-OAHLLOKOSA-N 0 3 313.397 4.073 20 0 DIADHN C[C@@H](COc1ccc(C(C)(C)C)cc1)N[C@@H](C)c1ccncc1 ZINC000507619461 340226473 /nfs/dbraw/zinc/22/64/73/340226473.db2.gz HEGKQDNFCZBEQS-HOTGVXAUSA-N 0 3 312.457 4.497 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)CN(C)CCC1CCCCC1 ZINC000507648779 340227199 /nfs/dbraw/zinc/22/71/99/340227199.db2.gz CRXNKOACDXFDQE-QGZVFWFLSA-N 0 3 316.489 4.108 20 0 DIADHN CC(C)Cc1ncc(CN2CC[C@@H](c3ccccc3)C2)s1 ZINC000507665490 340227628 /nfs/dbraw/zinc/22/76/28/340227628.db2.gz KIELZSUROIASKA-MRXNPFEDSA-N 0 3 300.471 4.331 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1CC[C@H](Cc2ccccc2)C1 ZINC000507669813 340227906 /nfs/dbraw/zinc/22/79/06/340227906.db2.gz RHTWQXXYSUBPBL-GOSISDBHSA-N 0 3 318.420 4.406 20 0 DIADHN CC[C@H](C)N(CC(=O)N1[C@H](C)CCC[C@H]1C)Cc1ccccc1 ZINC000507691927 340228417 /nfs/dbraw/zinc/22/84/17/340228417.db2.gz GHIYYCQUJLKFCJ-RCCFBDPRSA-N 0 3 316.489 4.077 20 0 DIADHN CC[C@H](C)N(CC(=O)N1CCCC[C@@H]1CC)Cc1ccccc1 ZINC000507697828 340228696 /nfs/dbraw/zinc/22/86/96/340228696.db2.gz MBIOVURHVINEIL-HKUYNNGSSA-N 0 3 316.489 4.078 20 0 DIADHN COc1ccccc1/C=C\CN1CC[C@H](COc2ccccc2)C1 ZINC000507713959 340229231 /nfs/dbraw/zinc/22/92/31/340229231.db2.gz MYBBEEOPMSRJCV-CNRGFGOASA-N 0 3 323.436 4.109 20 0 DIADHN C[C@H](c1ccc(OC(F)(F)F)cc1)N1CCS[C@H](C)C1 ZINC000507718519 340229555 /nfs/dbraw/zinc/22/95/55/340229555.db2.gz NSCWRLDTKPFGEN-GHMZBOCLSA-N 0 3 305.365 4.084 20 0 DIADHN CC1(NCc2nc(-c3ccccc3)cs2)Cc2ccccc2C1 ZINC000507750078 340230241 /nfs/dbraw/zinc/23/02/41/340230241.db2.gz VKDDYIDVGQAQJH-UHFFFAOYSA-N 0 3 320.461 4.457 20 0 DIADHN OCCC[C@H]1CCCN1Cc1ccc(-c2ccccc2)cc1F ZINC000507782535 340231172 /nfs/dbraw/zinc/23/11/72/340231172.db2.gz HDPQMCDOTSJOJQ-LJQANCHMSA-N 0 3 313.416 4.230 20 0 DIADHN CCOCCC1(CN[C@H]2CCc3c2c(F)ccc3F)CCC1 ZINC000507796066 340231874 /nfs/dbraw/zinc/23/18/74/340231874.db2.gz UVIXTXCEXMGKNJ-INIZCTEOSA-N 0 3 309.400 4.139 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CCn3cccc3[C@H]2c2ccccc2)CCO1 ZINC000507815699 340232981 /nfs/dbraw/zinc/23/29/81/340232981.db2.gz PHYLUKSGZCCGJW-FBBABVLZSA-N 0 3 324.468 4.098 20 0 DIADHN CCc1nc(CCN[C@@H]2CCc3c2c(F)ccc3F)sc1C ZINC000507828881 340233452 /nfs/dbraw/zinc/23/34/52/340233452.db2.gz WMFBTHRCMQBWBH-OAHLLOKOSA-N 0 3 322.424 4.112 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NCCc1c[nH]c2ccccc12 ZINC000507825928 340233482 /nfs/dbraw/zinc/23/34/82/340233482.db2.gz NXNIIWVMVDIFGO-GOSISDBHSA-N 0 3 312.363 4.266 20 0 DIADHN C[C@@H](CN[C@H]1CCc2c1c(F)ccc2F)Oc1ccccc1 ZINC000507831881 340233639 /nfs/dbraw/zinc/23/36/39/340233639.db2.gz UQMUDECJGNMHMG-SJCJKPOMSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1cc(Cl)ccc1Cl ZINC000507842627 340233924 /nfs/dbraw/zinc/23/39/24/340233924.db2.gz BLDPPAMNEOVXRB-FOOGCRFISA-N 0 3 314.256 4.458 20 0 DIADHN CC(C)[C@H](CN[C@H]1CCc2c1c(F)ccc2F)c1cccnc1 ZINC000507869730 340234787 /nfs/dbraw/zinc/23/47/87/340234787.db2.gz DETGNNHNPPNDGL-YJBOKZPZSA-N 0 3 316.395 4.377 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NC1CCC2(CCOCC2)CC1 ZINC000507876443 340234844 /nfs/dbraw/zinc/23/48/44/340234844.db2.gz BCRYSULONZRDDT-KRWDZBQOSA-N 0 3 321.411 4.281 20 0 DIADHN C[C@H](C(=O)N[C@@H](C)c1cccc2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC000507956391 340236539 /nfs/dbraw/zinc/23/65/39/340236539.db2.gz NJVOEPSKBOOMLV-VVLHAWIVSA-N 0 3 324.468 4.278 20 0 DIADHN CC(C)CN(Cc1ccccc1OCc1cccnc1)C1CC1 ZINC000508038916 340237945 /nfs/dbraw/zinc/23/79/45/340237945.db2.gz OFJBGOQZSYWRQP-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN Cc1ccc(CNCC(C)(C)c2cccc(Br)c2)o1 ZINC000508054976 340238386 /nfs/dbraw/zinc/23/83/86/340238386.db2.gz PYUUYBXFFRACQK-UHFFFAOYSA-N 0 3 322.246 4.418 20 0 DIADHN CCCc1ccc([C@H](NCc2c(C)nn(C)c2C)C(C)C)cc1 ZINC000508299085 340242125 /nfs/dbraw/zinc/24/21/25/340242125.db2.gz XGJIHBWSWMWBHU-HXUWFJFHSA-N 0 3 313.489 4.476 20 0 DIADHN CCOc1ccc(CN(Cc2ccc(COC)o2)C(C)C)cc1 ZINC000508528747 340245796 /nfs/dbraw/zinc/24/57/96/340245796.db2.gz OQHUKBKCFNYJQO-UHFFFAOYSA-N 0 3 317.429 4.235 20 0 DIADHN CCN(Cc1ccc(C(=O)NC2(C)CCCCC2)cc1)C1CC1 ZINC000508575380 340246777 /nfs/dbraw/zinc/24/67/77/340246777.db2.gz DJFGVSSYHWQSQE-UHFFFAOYSA-N 0 3 314.473 4.124 20 0 DIADHN CCCN(Cc1cnc2cnccn12)[C@@H](CC)c1ccccc1 ZINC000508599005 340247364 /nfs/dbraw/zinc/24/73/64/340247364.db2.gz KGAFTOXOWSFOHO-SFHVURJKSA-N 0 3 308.429 4.093 20 0 DIADHN CCCN(Cc1c(C)nn(CC)c1C)[C@H]1CCc2ccccc21 ZINC000508600060 340247481 /nfs/dbraw/zinc/24/74/81/340247481.db2.gz OJJAGULIYVBPKF-FQEVSTJZSA-N 0 3 311.473 4.419 20 0 DIADHN CCCN(Cc1cnc2ccccn12)[C@@H]1CCc2ccccc21 ZINC000508603243 340247641 /nfs/dbraw/zinc/24/76/41/340247641.db2.gz IGYUIIJXOCRBIS-LJQANCHMSA-N 0 3 305.425 4.234 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CN2CCCSCC2)o1 ZINC000508618486 340248330 /nfs/dbraw/zinc/24/83/30/340248330.db2.gz CQLKFDXQAIFTOS-UHFFFAOYSA-N 0 3 309.381 4.164 20 0 DIADHN CCc1nocc1CN(CCc1ccc(Cl)cc1)CC1CC1 ZINC000508618032 340248416 /nfs/dbraw/zinc/24/84/16/340248416.db2.gz IOLHKZPQNGXVFR-UHFFFAOYSA-N 0 3 318.848 4.345 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN2CCCSCC2)o1 ZINC000508638973 340249157 /nfs/dbraw/zinc/24/91/57/340249157.db2.gz POFUNWZQAJESMG-UHFFFAOYSA-N 0 3 305.418 4.333 20 0 DIADHN CCN(Cc1cccc2cc[nH]c21)Cc1ccc(OC)cc1OC ZINC000508643741 340249302 /nfs/dbraw/zinc/24/93/02/340249302.db2.gz ODDOUGIJBMRVCL-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCN(Cc1cccc2[nH]ccc21)Cc1ccc(OC)cc1OC ZINC000508660488 340249831 /nfs/dbraw/zinc/24/98/31/340249831.db2.gz GSVRHKGBCRVYNF-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCN(Cc1cccc(COC)c1)[C@@H](C)c1cccc(OC)c1 ZINC000508662937 340249931 /nfs/dbraw/zinc/24/99/31/340249931.db2.gz OIHSUJUUEKMIDJ-INIZCTEOSA-N 0 3 313.441 4.425 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2F)C2CCOCC2)cc1 ZINC000508703505 340251007 /nfs/dbraw/zinc/25/10/07/340251007.db2.gz JFMGCMPAROLQOO-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN CCn1nccc1CN(CCc1ccccc1F)C1CCCC1 ZINC000508721285 340251439 /nfs/dbraw/zinc/25/14/39/340251439.db2.gz VOAHLNDCFIRQMW-UHFFFAOYSA-N 0 3 315.436 4.029 20 0 DIADHN CCN(CCc1cccs1)Cc1c[nH]c(-c2ccccc2)n1 ZINC000508734274 340251904 /nfs/dbraw/zinc/25/19/04/340251904.db2.gz MRBAPGLKUGEHKC-UHFFFAOYSA-N 0 3 311.454 4.203 20 0 DIADHN CCN(CCc1cccs1)Cc1cnc(-c2ccccc2)[nH]1 ZINC000508734274 340251905 /nfs/dbraw/zinc/25/19/05/340251905.db2.gz MRBAPGLKUGEHKC-UHFFFAOYSA-N 0 3 311.454 4.203 20 0 DIADHN CC[C@@H](CNCc1nn(CC)c2ccccc12)CC(F)(F)F ZINC000402656032 340252332 /nfs/dbraw/zinc/25/23/32/340252332.db2.gz RZHLGBBYNKJNPA-GFCCVEGCSA-N 0 3 313.367 4.124 20 0 DIADHN CCc1sc(-c2nnc(CN(C)C3CCCCC3)o2)cc1C ZINC000508758546 340252579 /nfs/dbraw/zinc/25/25/79/340252579.db2.gz SMPJLNLJZSMFDS-UHFFFAOYSA-N 0 3 319.474 4.433 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CCC2(C1)CCCCC2 ZINC000508757806 340252607 /nfs/dbraw/zinc/25/26/07/340252607.db2.gz NXQRUJHHMDFYQO-UHFFFAOYSA-N 0 3 310.441 4.422 20 0 DIADHN CC[C@H](CN[C@H](C)c1nc2ccccc2n1C)CC(F)(F)F ZINC000402670783 340253769 /nfs/dbraw/zinc/25/37/69/340253769.db2.gz OAAKBYSQAKTHFM-NEPJUHHUSA-N 0 3 313.367 4.203 20 0 DIADHN COc1ccc(CNCCSc2ccccc2)cc1Cl ZINC000086585599 340253923 /nfs/dbraw/zinc/25/39/23/340253923.db2.gz STXFQIBWTHAUNT-UHFFFAOYSA-N 0 3 307.846 4.231 20 0 DIADHN CCc1nocc1CN(C)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000508853456 340254393 /nfs/dbraw/zinc/25/43/93/340254393.db2.gz CRFWHKFGCCMBAQ-GOSISDBHSA-N 0 3 304.409 4.075 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@]2(CCOC2)C1 ZINC000508936771 340256016 /nfs/dbraw/zinc/25/60/16/340256016.db2.gz ZJIVPZOFAYARIZ-KRWDZBQOSA-N 0 3 321.873 4.476 20 0 DIADHN CN(CCc1ccccc1O)Cc1ccccc1C(F)(F)F ZINC000508960858 340256321 /nfs/dbraw/zinc/25/63/21/340256321.db2.gz CEPQZLMJPKOQEK-UHFFFAOYSA-N 0 3 309.331 4.086 20 0 DIADHN CCOC1CC(CN2CCc3ccc(C(C)(C)C)cc3C2)C1 ZINC000508981261 340256942 /nfs/dbraw/zinc/25/69/42/340256942.db2.gz ZAKQDUMXVFLWCP-UHFFFAOYSA-N 0 3 301.474 4.157 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@@H](c1cccnc1)C1CC1 ZINC000508990982 340257338 /nfs/dbraw/zinc/25/73/38/340257338.db2.gz JWRPCZPISHEWQR-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN C[C@H]1CN(Cc2ccccc2-c2ccnn2C)[C@@H]1c1ccccc1 ZINC000508991915 340257357 /nfs/dbraw/zinc/25/73/57/340257357.db2.gz SVPNEEKHCDCGBU-KKSFZXQISA-N 0 3 317.436 4.280 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509265406 340264869 /nfs/dbraw/zinc/26/48/69/340264869.db2.gz VJUQVDAKWSROPH-ZDUSSCGKSA-N 0 3 323.234 4.211 20 0 DIADHN CCN(Cc1nc2cc(Br)ccc2o1)C1CCCC1 ZINC000509278574 340265271 /nfs/dbraw/zinc/26/52/71/340265271.db2.gz ZDPPDQMZEBULDW-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN C[C@@H](F)CCN1CCC[C@H]1c1cccc(Br)c1 ZINC000509280233 340265282 /nfs/dbraw/zinc/26/52/82/340265282.db2.gz SQKAEYCNLUJRMB-RISCZKNCSA-N 0 3 300.215 4.334 20 0 DIADHN CN(CCCOc1ccccc1)Cc1ccc2ncccc2c1 ZINC000509394320 340268212 /nfs/dbraw/zinc/26/82/12/340268212.db2.gz WWRLCNRGGKPMMG-UHFFFAOYSA-N 0 3 306.409 4.136 20 0 DIADHN CCC(CC)(CCO)NCc1ccc(-c2ccc(F)cc2)o1 ZINC000509407327 340268550 /nfs/dbraw/zinc/26/85/50/340268550.db2.gz ZVMUQCIUBHZKJY-UHFFFAOYSA-N 0 3 305.393 4.117 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000509413241 340268662 /nfs/dbraw/zinc/26/86/62/340268662.db2.gz FROAVMBUPDNNNH-SFHVURJKSA-N 0 3 310.372 4.093 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(F)c(Cl)c1 ZINC000509413524 340268692 /nfs/dbraw/zinc/26/86/92/340268692.db2.gz HKVFKNMNMLVMEW-MRXNPFEDSA-N 0 3 323.770 4.404 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(SC)cc1 ZINC000509413691 340268701 /nfs/dbraw/zinc/26/87/01/340268701.db2.gz FJIKKSNSEDDYLW-QGZVFWFLSA-N 0 3 317.429 4.333 20 0 DIADHN CC[C@@H](NCc1ccc(-c2cnn(C)c2)s1)c1cccs1 ZINC000509477700 340270896 /nfs/dbraw/zinc/27/08/96/340270896.db2.gz SJNFTXJRGYRIKM-CQSZACIVSA-N 0 3 317.483 4.451 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000509516329 340272013 /nfs/dbraw/zinc/27/20/13/340272013.db2.gz KYFIPLRGJPCTFM-JENIJYKNSA-N 0 3 316.489 4.155 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000509516328 340272016 /nfs/dbraw/zinc/27/20/16/340272016.db2.gz KYFIPLRGJPCTFM-AOIWGVFYSA-N 0 3 316.489 4.155 20 0 DIADHN CC[C@H]1CCCN(Cc2ccccc2OCc2ccncc2)C1 ZINC000509542049 340272816 /nfs/dbraw/zinc/27/28/16/340272816.db2.gz LRXONLQWEADHLF-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc(F)ccc1CNC[C@H](c1ccccc1Cl)N(C)C ZINC000509569475 340273762 /nfs/dbraw/zinc/27/37/62/340273762.db2.gz MLCLKAMLOFCQIF-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1cccc(OC)c1 ZINC000509587314 340274244 /nfs/dbraw/zinc/27/42/44/340274244.db2.gz VMRYVDZOHOTWTP-LFIBNONCSA-N 0 3 311.425 4.035 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1Cc1nc2cc(Br)ccc2o1 ZINC000509650948 340275686 /nfs/dbraw/zinc/27/56/86/340275686.db2.gz IUSFKTBDOUVJRP-WDEREUQCSA-N 0 3 323.234 4.211 20 0 DIADHN CCCC(CCC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000509665134 340276169 /nfs/dbraw/zinc/27/61/69/340276169.db2.gz FEYHJHWRIVUFSW-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN Cc1ncsc1CCN1CCS[C@@H](C)[C@H]1c1ccccc1 ZINC000509670478 340276401 /nfs/dbraw/zinc/27/64/01/340276401.db2.gz JPNUQHUGXVVYPU-YOEHRIQHSA-N 0 3 318.511 4.173 20 0 DIADHN CCc1cccc(NC(=O)c2oc3ccccc3c2CN(C)C)c1 ZINC000509796259 340279357 /nfs/dbraw/zinc/27/93/57/340279357.db2.gz WBWPZAIFROCOEH-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN Cc1ncsc1CCN(Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000509797517 340279409 /nfs/dbraw/zinc/27/94/09/340279409.db2.gz CODVKNSAQZIOCK-LRDDRELGSA-N 0 3 316.470 4.375 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(Cl)c2c(c1)OCCO2 ZINC000302734800 340280279 /nfs/dbraw/zinc/28/02/79/340280279.db2.gz BNERDSJMMZNGMT-UHFFFAOYSA-N 0 3 317.816 4.133 20 0 DIADHN Cc1ccccc1CN(Cc1cccs1)C[C@@H]1CCCO1 ZINC000302736676 340280446 /nfs/dbraw/zinc/28/04/46/340280446.db2.gz IKJCTDRSWVKFOW-KRWDZBQOSA-N 0 3 301.455 4.238 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN(Cc2cc(C)sc2C)CC1 ZINC000510085567 340288191 /nfs/dbraw/zinc/28/81/91/340288191.db2.gz LONHFSHIANCAFA-UHFFFAOYSA-N 0 3 323.502 4.166 20 0 DIADHN Cc1csc(CN2CCC[C@](C)(c3ccccc3)CC2)n1 ZINC000510137072 340290254 /nfs/dbraw/zinc/29/02/54/340290254.db2.gz ZQVSNCYMPVSUOW-SFHVURJKSA-N 0 3 300.471 4.395 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N2CC[C@@H](c3ccccc3)C2)cc1 ZINC000302970634 340291542 /nfs/dbraw/zinc/29/15/42/340291542.db2.gz IJWXSPJETYZGNF-QFBILLFUSA-N 0 3 322.452 4.066 20 0 DIADHN COc1cccc(C2(NCCOc3ccccc3Cl)CC2)c1 ZINC000510200235 340292271 /nfs/dbraw/zinc/29/22/71/340292271.db2.gz PCZXHWLDOHGLDN-UHFFFAOYSA-N 0 3 317.816 4.006 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1cnccc1C ZINC000510237085 340293586 /nfs/dbraw/zinc/29/35/86/340293586.db2.gz FNDDQNYNUBSPTD-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN CCCN(CC(C)C)C(=O)c1oc2ccccc2c1CN(C)C ZINC000510317204 340295793 /nfs/dbraw/zinc/29/57/93/340295793.db2.gz WXUXVZQWIWHKHS-UHFFFAOYSA-N 0 3 316.445 4.003 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC[C@H]1CC=CCC1 ZINC000510343503 340296539 /nfs/dbraw/zinc/29/65/39/340296539.db2.gz ABAMPNFBWHVAJU-AWEZNQCLSA-N 0 3 300.427 4.272 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(F)cc1F ZINC000510347478 340296662 /nfs/dbraw/zinc/29/66/62/340296662.db2.gz SGIVNSUQHOVPIV-ZROIWOOFSA-N 0 3 317.379 4.304 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@H](C)CN2CCC[C@@H](C)C2)cc1 ZINC000510377509 340297252 /nfs/dbraw/zinc/29/72/52/340297252.db2.gz MPILCYRAXGIXSH-HZPDHXFCSA-N 0 3 317.477 4.052 20 0 DIADHN COc1ccc(Cl)cc1CN(C[C@@H]1CCCO1)C1CCCC1 ZINC000303044451 340297670 /nfs/dbraw/zinc/29/76/70/340297670.db2.gz QMHHKSFIZNVROG-KRWDZBQOSA-N 0 3 323.864 4.272 20 0 DIADHN COCc1cccc(CN(C)Cc2cccc(C(F)(F)F)c2)c1 ZINC000510397075 340297763 /nfs/dbraw/zinc/29/77/63/340297763.db2.gz QMHVHMHLLHLPOY-UHFFFAOYSA-N 0 3 323.358 4.484 20 0 DIADHN Clc1cccc(CN2CC[C@@H](n3ncc4ccccc43)C2)c1 ZINC000510409830 340298410 /nfs/dbraw/zinc/29/84/10/340298410.db2.gz ZCUUFOPSDSNJAG-QGZVFWFLSA-N 0 3 311.816 4.137 20 0 DIADHN CSc1cc(Br)ccc1CN(C)CC1CC1 ZINC000510422611 340298997 /nfs/dbraw/zinc/29/89/97/340298997.db2.gz WSDAJEKKQOEINY-UHFFFAOYSA-N 0 3 300.265 4.013 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1 ZINC000510483948 340300750 /nfs/dbraw/zinc/30/07/50/340300750.db2.gz WMVBGZVWUKKCOM-HOTGVXAUSA-N 0 3 318.461 4.067 20 0 DIADHN Cc1ccc(NC(=O)CC2(C)CCCCC2)c(CN(C)C)c1 ZINC000510480935 340300770 /nfs/dbraw/zinc/30/07/70/340300770.db2.gz VRZSCEVCLBUUMT-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Cn1nccc1[C@@H]1CCCN(Cc2ccc(C(C)(C)C)s2)C1 ZINC000510646022 340304841 /nfs/dbraw/zinc/30/48/41/340304841.db2.gz OHZBJJISLGGTSO-CQSZACIVSA-N 0 3 317.502 4.159 20 0 DIADHN CCC1CCN(Cc2cc(OC)c(OC)cc2SC)CC1 ZINC000510657873 340305542 /nfs/dbraw/zinc/30/55/42/340305542.db2.gz MHFXYHYCJJBGMK-UHFFFAOYSA-N 0 3 309.475 4.048 20 0 DIADHN C[C@@H](C(=O)N(C)Cc1cccc2ccccc21)N1[C@H](C)CC[C@H]1C ZINC000510688511 340306857 /nfs/dbraw/zinc/30/68/57/340306857.db2.gz RENTYZOYVHDACY-ZACQAIPSSA-N 0 3 324.468 4.060 20 0 DIADHN COC[C@@H](C)CN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510702215 340307318 /nfs/dbraw/zinc/30/73/18/340307318.db2.gz JIQDIFAPUTVJAA-KXBFYZLASA-N 0 3 301.405 4.096 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1coc(-c2cccs2)n1 ZINC000510708107 340307508 /nfs/dbraw/zinc/30/75/08/340307508.db2.gz ZRQWJPRZEXSWOX-TZMCWYRMSA-N 0 3 308.422 4.411 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1csc(-c2ccco2)n1 ZINC000510721294 340308083 /nfs/dbraw/zinc/30/80/83/340308083.db2.gz NIUZVLGZGAZLPW-TZMCWYRMSA-N 0 3 308.422 4.411 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H](O)C(C)C)c2cccc(F)c2)c1 ZINC000510738453 340308918 /nfs/dbraw/zinc/30/89/18/340308918.db2.gz REVAINHCTZRXRY-WOJBJXKFSA-N 0 3 315.432 4.220 20 0 DIADHN Cc1ncsc1CCN[C@@H](C)c1cc2cccc(F)c2o1 ZINC000510797305 340311438 /nfs/dbraw/zinc/31/14/38/340311438.db2.gz ORSHXWJYHBNDJZ-JTQLQIEISA-N 0 3 304.390 4.230 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CCCC(C)(C)C)c1ccsc1 ZINC000303199165 340311751 /nfs/dbraw/zinc/31/17/51/340311751.db2.gz LKHLSOSDTOIBHY-INIZCTEOSA-N 0 3 324.534 4.464 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ccccc2C(F)(F)F)CC1 ZINC000510816675 340312002 /nfs/dbraw/zinc/31/20/02/340312002.db2.gz ZQAHLYXLPIBDGT-OAHLLOKOSA-N 0 3 301.352 4.096 20 0 DIADHN Cc1cc(CNCc2cccc(OCC(C)C)c2)cc(C)c1O ZINC000510921206 340314851 /nfs/dbraw/zinc/31/48/51/340314851.db2.gz YCFXXUNISIIUPI-UHFFFAOYSA-N 0 3 313.441 4.334 20 0 DIADHN C[C@H](N[C@H]1CCN([C@@H](C)c2ccccc2)C1)c1ccsc1 ZINC000510926783 340314946 /nfs/dbraw/zinc/31/49/46/340314946.db2.gz FBNITIMBPOTUBK-MPGHIAIKSA-N 0 3 300.471 4.234 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(C(C)(C)C)cc1 ZINC000510929137 340315102 /nfs/dbraw/zinc/31/51/02/340315102.db2.gz LMHFLOIDXQKELJ-KSSFIOAISA-N 0 3 311.473 4.446 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@H](CO)CC1CCCC1 ZINC000510927994 340315163 /nfs/dbraw/zinc/31/51/63/340315163.db2.gz KLZTXIQTZXNVAT-WFASDCNBSA-N 0 3 324.534 4.341 20 0 DIADHN C[C@H](C(=O)N(C)[C@H](C)c1ccccc1Cl)N1CCCCCC1 ZINC000510938673 340315532 /nfs/dbraw/zinc/31/55/32/340315532.db2.gz LYJCIDQRMRZPRE-HUUCEWRRSA-N 0 3 322.880 4.124 20 0 DIADHN C[C@@H](C(=O)N(C)[C@@H](C)c1ccc(Cl)cc1)N1CCCCCC1 ZINC000510950471 340315957 /nfs/dbraw/zinc/31/59/57/340315957.db2.gz XFWVLTRSHLKAEH-GJZGRUSLSA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000510950470 340316092 /nfs/dbraw/zinc/31/60/92/340316092.db2.gz XFWVLTRSHLKAEH-CABCVRRESA-N 0 3 322.880 4.124 20 0 DIADHN Cn1c(CN[C@H]2CCC[C@@H]2CCCF)nc2cccc(Cl)c21 ZINC000510974392 340316759 /nfs/dbraw/zinc/31/67/59/340316759.db2.gz FOBPRHJHGDLPGJ-OCCSQVGLSA-N 0 3 323.843 4.235 20 0 DIADHN C[C@H](CN[C@H]1CCc2ccc(Br)cc21)C(F)(F)F ZINC000510996736 340317612 /nfs/dbraw/zinc/31/76/12/340317612.db2.gz UFSGSXSDIBUDDJ-PELKAZGASA-N 0 3 322.168 4.224 20 0 DIADHN C[C@@H](CN[C@H]1CCc2ccc(Br)cc21)C(F)(F)F ZINC000510996739 340317532 /nfs/dbraw/zinc/31/75/32/340317532.db2.gz UFSGSXSDIBUDDJ-UFBFGSQYSA-N 0 3 322.168 4.224 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N2c3ccccc3C[C@H]2C)cc1 ZINC000511000968 340317702 /nfs/dbraw/zinc/31/77/02/340317702.db2.gz RHQOBAIUPPTTSG-IXDOHACOSA-N 0 3 322.452 4.012 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccncc1F ZINC000511001955 340317875 /nfs/dbraw/zinc/31/78/75/340317875.db2.gz SINFDFMJNKNBOB-JGGQBBKZSA-N 0 3 302.368 4.347 20 0 DIADHN Cc1ccc([C@H](NCCCOC(F)F)c2ccccc2)cc1 ZINC000511014913 340318290 /nfs/dbraw/zinc/31/82/90/340318290.db2.gz SLSMDTPOFJLWCN-QGZVFWFLSA-N 0 3 305.368 4.303 20 0 DIADHN Cc1oncc1CN1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000511091752 340320430 /nfs/dbraw/zinc/32/04/30/340320430.db2.gz NDWGMNCLKMMYMQ-AWEZNQCLSA-N 0 3 324.346 4.381 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(C[C@H]2CCCCO2)CC1 ZINC000511094157 340320599 /nfs/dbraw/zinc/32/05/99/340320599.db2.gz XNKZHMWNVNUIIV-CRAIPNDOSA-N 0 3 309.400 4.117 20 0 DIADHN CC(C)Sc1ccc(CN(C)[C@@H](CO)c2ccccc2)cc1 ZINC000511100129 340320751 /nfs/dbraw/zinc/32/07/51/340320751.db2.gz HMOHMQUWGOIQAX-IBGZPJMESA-N 0 3 315.482 4.353 20 0 DIADHN Cc1cncc(CN2CCC([C@@H](F)c3ccccc3F)CC2)c1 ZINC000511109019 340321041 /nfs/dbraw/zinc/32/10/41/340321041.db2.gz SVMXRYFKJDDNBW-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN Cc1ncccc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC000511110249 340321057 /nfs/dbraw/zinc/32/10/57/340321057.db2.gz WYCMGMCBYXJNBV-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN FC(F)Oc1cccc(CN2CCC=C(c3ccco3)C2)c1 ZINC000511109363 340321114 /nfs/dbraw/zinc/32/11/14/340321114.db2.gz UBXXSRMFFHNCAG-UHFFFAOYSA-N 0 3 305.324 4.170 20 0 DIADHN CSc1ccccc1CN1CC=C(c2ccc(O)cc2)CC1 ZINC000511148052 340322367 /nfs/dbraw/zinc/32/23/67/340322367.db2.gz XAZQZGWUWGJSRU-UHFFFAOYSA-N 0 3 311.450 4.403 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC000511151480 340322553 /nfs/dbraw/zinc/32/25/53/340322553.db2.gz OBGBEKSXAKKTMY-IXDOHACOSA-N 0 3 316.489 4.030 20 0 DIADHN CSc1ccccc1CN[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000511182780 340323423 /nfs/dbraw/zinc/32/34/23/340323423.db2.gz JMPZDWHUYNNOMM-IEBWSBKVSA-N 0 3 313.466 4.276 20 0 DIADHN CC1(CN2CC=C(c3ccc(Cl)c(Cl)c3)CC2)COC1 ZINC000511180831 340323435 /nfs/dbraw/zinc/32/34/35/340323435.db2.gz GHQSMPZNHFXBLU-UHFFFAOYSA-N 0 3 312.240 4.119 20 0 DIADHN COC[C@@H](C)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000511214555 340324015 /nfs/dbraw/zinc/32/40/15/340324015.db2.gz FOELTILKKIIVAK-LLVKDONJSA-N 0 3 300.229 4.117 20 0 DIADHN CSCCCN[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000511363710 340326022 /nfs/dbraw/zinc/32/60/22/340326022.db2.gz BHHBXCCLANGQDE-QGZVFWFLSA-N 0 3 320.889 4.362 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000303483790 340327541 /nfs/dbraw/zinc/32/75/41/340327541.db2.gz QLLPEAXTPXVALB-WCQYABFASA-N 0 3 305.397 4.223 20 0 DIADHN c1oc2ccccc2c1CN1CCCN(c2ccccc2)CC1 ZINC000511475565 340327931 /nfs/dbraw/zinc/32/79/31/340327931.db2.gz VNJIOVOJYCJBFQ-UHFFFAOYSA-N 0 3 306.409 4.145 20 0 DIADHN CC[C@H]1CCCCN1C(=O)CN(C)[C@H](c1ccccc1)C(C)C ZINC000303523610 340330339 /nfs/dbraw/zinc/33/03/39/340330339.db2.gz PYIWVJISTRIFTK-ICSRJNTNSA-N 0 3 316.489 4.107 20 0 DIADHN CCN(Cc1ccc(C(=O)Nc2cccc(C)c2)cc1)C1CC1 ZINC000511586879 340331587 /nfs/dbraw/zinc/33/15/87/340331587.db2.gz QIPCIGPYSQZZHA-UHFFFAOYSA-N 0 3 308.425 4.232 20 0 DIADHN CN(Cc1cnc(C2CCCC2)s1)C1Cc2ccccc2C1 ZINC000511647505 340333769 /nfs/dbraw/zinc/33/37/69/340333769.db2.gz KHSBFSMRDYMQRK-UHFFFAOYSA-N 0 3 312.482 4.400 20 0 DIADHN CN(Cc1cccc(OC2CCCC2)c1)C[C@H]1CCCCO1 ZINC000511650271 340333870 /nfs/dbraw/zinc/33/38/70/340333870.db2.gz VWESFNKHFOGGHE-LJQANCHMSA-N 0 3 303.446 4.009 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC000511663521 340334440 /nfs/dbraw/zinc/33/44/40/340334440.db2.gz WUAQOODQOIEHQV-XUWVNRHRSA-N 0 3 318.461 4.064 20 0 DIADHN c1cc2cccc(CN(Cc3ccccc3)C[C@@H]3CCCO3)c2[nH]1 ZINC000511662579 340334458 /nfs/dbraw/zinc/33/44/58/340334458.db2.gz IXZANGCBURMMSY-FQEVSTJZSA-N 0 3 320.436 4.349 20 0 DIADHN OC[C@@H]1CCCN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000511665699 340334486 /nfs/dbraw/zinc/33/44/86/340334486.db2.gz KCTFFDUWLAYBIN-LBPRGKRZSA-N 0 3 307.846 4.025 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@@H]1OCCc2ccccc21 ZINC000511669929 340334836 /nfs/dbraw/zinc/33/48/36/340334836.db2.gz ZEHUSUBCSLZOHF-FQEVSTJZSA-N 0 3 307.393 4.179 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000303577651 340335201 /nfs/dbraw/zinc/33/52/01/340335201.db2.gz QFQSFLRBRNSSTC-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN CCCCOc1cccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)c1 ZINC000511701220 340335667 /nfs/dbraw/zinc/33/56/67/340335667.db2.gz GMYUGZBSJDSACE-OAGGEKHMSA-N 0 3 318.461 4.065 20 0 DIADHN CCO[C@H](CNC/C=C/c1ccc(F)c(F)c1)c1ccccc1 ZINC000511759705 340337368 /nfs/dbraw/zinc/33/73/68/340337368.db2.gz FSCNIXCIUAHZSI-OVKVCFKUSA-N 0 3 317.379 4.345 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccc3ncccc3c2)cc1F ZINC000511760093 340337379 /nfs/dbraw/zinc/33/73/79/340337379.db2.gz VRIJJWJSBZUBMD-IWQZZHSRSA-N 0 3 310.347 4.316 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccc3c(n2)CCCC3)cc1F ZINC000511777521 340338049 /nfs/dbraw/zinc/33/80/49/340338049.db2.gz NGINITJATMWXJK-ONEGZZNKSA-N 0 3 314.379 4.042 20 0 DIADHN Cc1oncc1CN[C@@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000511814229 340338963 /nfs/dbraw/zinc/33/89/63/340338963.db2.gz MRBYNFPWJAUQGC-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](c1cccnc1)C1CC1 ZINC000511841674 340340010 /nfs/dbraw/zinc/34/00/10/340340010.db2.gz FBZXKXZYYWCIEY-MJGOQDMVSA-N 0 3 322.452 4.372 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc4ccccc4[nH]3)[C@@H]3CCC[C@H]23)cc1 ZINC000511844779 340340047 /nfs/dbraw/zinc/34/00/47/340340047.db2.gz STVUNMISLKCQEP-FUHIMQAGSA-N 0 3 317.436 4.331 20 0 DIADHN CCc1nc(CN2CCC[C@H]2Cc2ccc(C)cc2)cs1 ZINC000303652553 340340339 /nfs/dbraw/zinc/34/03/39/340340339.db2.gz UNPUQNKKNFBLDF-KRWDZBQOSA-N 0 3 300.471 4.221 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@@H]32)c(Cl)c1OC ZINC000511886415 340341778 /nfs/dbraw/zinc/34/17/78/340341778.db2.gz CUIFWIHJOYSOGH-OCCSQVGLSA-N 0 3 309.837 4.122 20 0 DIADHN CN(C)Cc1cnc(CN[C@H]2CCC[C@H](C(C)(C)C)CC2)s1 ZINC000511907955 340342587 /nfs/dbraw/zinc/34/25/87/340342587.db2.gz MSMJGTDSIVEVBP-GJZGRUSLSA-N 0 3 323.550 4.289 20 0 DIADHN CCc1cnccc1[C@@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC000511926309 340343064 /nfs/dbraw/zinc/34/30/64/340343064.db2.gz KNOROWSVMYBDNB-MUBLQREKSA-N 0 3 302.368 4.286 20 0 DIADHN CCSc1cc(CNC/C=C\c2ccc(F)c(F)c2)ccn1 ZINC000511938926 340343319 /nfs/dbraw/zinc/34/33/19/340343319.db2.gz BVNDUHZBWVGONZ-ARJAWSKDSA-N 0 3 320.408 4.275 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc2occc2c1 ZINC000511938626 340343395 /nfs/dbraw/zinc/34/33/95/340343395.db2.gz MDMJQEDAFGRLCA-YJYMSZOUSA-N 0 3 313.372 4.438 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(Cl)cn1 ZINC000511953081 340343556 /nfs/dbraw/zinc/34/35/56/340343556.db2.gz COFLXIXQXMAVHP-NTEUORMPSA-N 0 3 316.832 4.075 20 0 DIADHN COCC[C@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc(C)o1 ZINC000511975876 340344252 /nfs/dbraw/zinc/34/42/52/340344252.db2.gz XMOQYDQWXIMIEA-IDOMTICXSA-N 0 3 321.367 4.247 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000511988850 340344563 /nfs/dbraw/zinc/34/45/63/340344563.db2.gz JVTZUKYEBSEDNN-HNNXBMFYSA-N 0 3 323.415 4.385 20 0 DIADHN C[C@H](c1cnn(C)c1)N(C)CC(c1ccccc1)c1ccccc1 ZINC000512007152 340345195 /nfs/dbraw/zinc/34/51/95/340345195.db2.gz MFASSQWMLAXELQ-QGZVFWFLSA-N 0 3 319.452 4.245 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2NCC1CCC(C(C)C)CC1 ZINC000512039831 340346373 /nfs/dbraw/zinc/34/63/73/340346373.db2.gz YNLSKUZLZVZKHB-OFLPRAFFSA-N 0 3 318.509 4.288 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(C)c(Br)s1)C(C)C ZINC000512044924 340346523 /nfs/dbraw/zinc/34/65/23/340346523.db2.gz IWVNVHSRSAMFEB-QWRGUYRKSA-N 0 3 320.296 4.141 20 0 DIADHN CCc1ccc(CN[C@@H](CC)CSc2ccccc2)cn1 ZINC000512047294 340346643 /nfs/dbraw/zinc/34/66/43/340346643.db2.gz YZRGNNKJWUKUMG-KRWDZBQOSA-N 0 3 300.471 4.305 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1cccc(-n2cccn2)c1 ZINC000512050313 340346720 /nfs/dbraw/zinc/34/67/20/340346720.db2.gz CHYVNVACJYRVFQ-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1cc(F)cc(F)c1 ZINC000512053182 340346818 /nfs/dbraw/zinc/34/68/18/340346818.db2.gz PEQNNNHTVFWQKD-UHFFFAOYSA-N 0 3 319.395 4.394 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C\c2ccc(F)c(F)c2)cc1 ZINC000512102857 340348552 /nfs/dbraw/zinc/34/85/52/340348552.db2.gz CXWAHNZDKPNLFY-DHCBQETCSA-N 0 3 303.352 4.337 20 0 DIADHN CCCCOCCN[C@H](C)c1cc(C)c(Br)s1 ZINC000512113684 340348997 /nfs/dbraw/zinc/34/89/97/340348997.db2.gz JCRPNYWBAJGYFY-LLVKDONJSA-N 0 3 320.296 4.286 20 0 DIADHN CNC(=O)c1cccc(CN2C[C@H](C)CC[C@H]2c2ccccc2)c1 ZINC000512199235 340352045 /nfs/dbraw/zinc/35/20/45/340352045.db2.gz VOPDQAJGIUFAIT-UZLBHIALSA-N 0 3 322.452 4.019 20 0 DIADHN CCN(Cc1c(C(C)C)nc2sc(C)nn12)[C@H](C)C(C)C ZINC000512240983 340353664 /nfs/dbraw/zinc/35/36/64/340353664.db2.gz FQRNDSHHNNHXGN-GFCCVEGCSA-N 0 3 308.495 4.089 20 0 DIADHN CCN(Cc1c(C(C)C)nc2sc(C)nn12)[C@@H](C)C(C)C ZINC000512240985 340353719 /nfs/dbraw/zinc/35/37/19/340353719.db2.gz FQRNDSHHNNHXGN-LBPRGKRZSA-N 0 3 308.495 4.089 20 0 DIADHN CC[C@@H](CN1CCO[C@@H](c2cccs2)C1)c1ccccc1 ZINC000512243225 340353722 /nfs/dbraw/zinc/35/37/22/340353722.db2.gz JQYCIHWILRGENI-DOTOQJQBSA-N 0 3 301.455 4.315 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N1CC[C@H](C)Sc2ccccc21 ZINC000512271178 340354770 /nfs/dbraw/zinc/35/47/70/340354770.db2.gz OWWUAAPGZHKCDK-AWEZNQCLSA-N 0 3 320.502 4.024 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@H](C)SC ZINC000512391212 340360296 /nfs/dbraw/zinc/36/02/96/340360296.db2.gz LEMIMRLVQKHGIX-AWEZNQCLSA-N 0 3 301.455 4.203 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)[C@@H](C)c1cccnc1 ZINC000512426430 340361529 /nfs/dbraw/zinc/36/15/29/340361529.db2.gz GFOZKBPKULUUCD-MOKGIYGOSA-N 0 3 310.441 4.324 20 0 DIADHN CC(C)N(Cc1csc(-c2ccccn2)n1)C1CCCC1 ZINC000173292260 340361724 /nfs/dbraw/zinc/36/17/24/340361724.db2.gz SUEPTBKNZDXFAR-UHFFFAOYSA-N 0 3 301.459 4.358 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@@H](C)c1nnc2n1CCCCC2 ZINC000512493741 340364082 /nfs/dbraw/zinc/36/40/82/340364082.db2.gz KAZKAGBJSDAKGX-IRXDYDNUSA-N 0 3 318.509 4.428 20 0 DIADHN CCOc1ccccc1CN1CCC(OC2CCCCC2)CC1 ZINC000512578759 340367808 /nfs/dbraw/zinc/36/78/08/340367808.db2.gz RCQBDAQRPDVONR-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN C[C@H](NCc1ccccc1OCCO)c1cccc2ccccc21 ZINC000304747319 340368352 /nfs/dbraw/zinc/36/83/52/340368352.db2.gz VTIZWDCUOHWQQD-INIZCTEOSA-N 0 3 321.420 4.062 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C2CCC2)c(OC(F)F)c1 ZINC000512605266 340368635 /nfs/dbraw/zinc/36/86/35/340368635.db2.gz YRSMCMZUSOMUKU-OAHLLOKOSA-N 0 3 311.372 4.061 20 0 DIADHN C[C@@H](CCC1CC1)NCc1ccc(Sc2ccccn2)o1 ZINC000512616309 340369225 /nfs/dbraw/zinc/36/92/25/340369225.db2.gz DYWGGBGUHWWJAC-ZDUSSCGKSA-N 0 3 302.443 4.494 20 0 DIADHN CCc1ncc(CN2CCC(c3cccc(OC)c3)CC2)s1 ZINC000512633517 340370231 /nfs/dbraw/zinc/37/02/31/340370231.db2.gz NSQBGSVSJZBTLR-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](Nc4ccccc4)C3)cc2c1 ZINC000512649023 340370938 /nfs/dbraw/zinc/37/09/38/340370938.db2.gz SOFCKJANBZTAGP-QGZVFWFLSA-N 0 3 322.408 4.128 20 0 DIADHN COc1ccc(OC(F)F)c(CN2CCC[C@@H]2C2CCC2)c1 ZINC000512652880 340371060 /nfs/dbraw/zinc/37/10/60/340371060.db2.gz SHPULFYTEGMXQO-OAHLLOKOSA-N 0 3 311.372 4.061 20 0 DIADHN COCc1ccc(CN(C)CCc2cccc3ccccc32)o1 ZINC000512651985 340371066 /nfs/dbraw/zinc/37/10/66/340371066.db2.gz GGAVLUFSUIRCOR-UHFFFAOYSA-N 0 3 309.409 4.254 20 0 DIADHN Oc1cccc(CN(Cc2ccc(F)c(F)c2)CC2CC2)c1 ZINC000512706019 340373808 /nfs/dbraw/zinc/37/38/08/340373808.db2.gz UESVPBHZLFALBB-UHFFFAOYSA-N 0 3 303.352 4.083 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CC[C@@H]2CCC[C@H]21 ZINC000512723179 340374338 /nfs/dbraw/zinc/37/43/38/340374338.db2.gz PPFKCXPWNPPRQE-DZGCQCFKSA-N 0 3 300.427 4.200 20 0 DIADHN CC(C)n1cc(CN2CCC(Cc3ccc(F)cc3)CC2)cn1 ZINC000512763298 340376517 /nfs/dbraw/zinc/37/65/17/340376517.db2.gz JXWSDEKAOUPZCE-UHFFFAOYSA-N 0 3 315.436 4.058 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(Cn3cccn3)cc2)c1 ZINC000173883512 340376670 /nfs/dbraw/zinc/37/66/70/340376670.db2.gz MWSCQJLGLPSSQK-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN CCOC1CC2(C1)CCN([C@@H]1CCc3ccc(Cl)cc31)C2 ZINC000512816746 340378944 /nfs/dbraw/zinc/37/89/44/340378944.db2.gz JDZJOTXQWBULCB-IIIMJFFVSA-N 0 3 305.849 4.218 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc3c2OCCO3)s1 ZINC000261487650 340380114 /nfs/dbraw/zinc/38/01/14/340380114.db2.gz VGFWCLLOMFGGHC-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN FC(F)(F)Oc1ccc(CNCC2(C(F)(F)F)CC2)cc1 ZINC000512869775 340381703 /nfs/dbraw/zinc/38/17/03/340381703.db2.gz YBMIRPDRDPQPRT-UHFFFAOYSA-N 0 3 313.241 4.017 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000512868910 340381717 /nfs/dbraw/zinc/38/17/17/340381717.db2.gz KEMRMSZMTXZZHQ-PRHODGIISA-N 0 3 309.784 4.200 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](N[C@@H](c2nccn2C)C2CC2)C1 ZINC000512886511 340382573 /nfs/dbraw/zinc/38/25/73/340382573.db2.gz YVFNLYXUXCSUBI-JOCLIGHLSA-N 0 3 323.484 4.433 20 0 DIADHN COc1cccc(C2(NC/C=C/c3ccc(F)cc3F)CC2)c1 ZINC000512893211 340382987 /nfs/dbraw/zinc/38/29/87/340382987.db2.gz BHXXTBYUQNFFPA-ONEGZZNKSA-N 0 3 315.363 4.266 20 0 DIADHN CC[C@H](NC/C=C/c1ccccc1)c1ccc(OC)c(OC)c1 ZINC000512904155 340383503 /nfs/dbraw/zinc/38/35/03/340383503.db2.gz NQGHOYYJXXUBRN-MZEUMTGBSA-N 0 3 311.425 4.458 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(F)cc2)c2ccccc2n1 ZINC000174253494 340384836 /nfs/dbraw/zinc/38/48/36/340384836.db2.gz QTXOZIWYGHSZCV-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN COc1ccc2oc(CNCC3(C(F)(F)F)CCC3)cc2c1 ZINC000512965446 340385974 /nfs/dbraw/zinc/38/59/74/340385974.db2.gz BWQZWXIVWUMCPH-UHFFFAOYSA-N 0 3 313.319 4.264 20 0 DIADHN CSCc1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC000512972803 340386418 /nfs/dbraw/zinc/38/64/18/340386418.db2.gz WXLZWIPMQWLIKG-UHFFFAOYSA-N 0 3 323.465 4.230 20 0 DIADHN CCCOc1cccc(CN[C@H](C)c2ccc3n[nH]cc3c2)c1 ZINC000512982567 340386851 /nfs/dbraw/zinc/38/68/51/340386851.db2.gz GSUGFYQZQPIYGT-CQSZACIVSA-N 0 3 309.413 4.203 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)nc2ccccc12)c1cccc(F)c1 ZINC000174388902 340387356 /nfs/dbraw/zinc/38/73/56/340387356.db2.gz ZMUOFMOSLFWALO-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN COc1ccc(CNCC2(C(F)(F)F)CCC2)c(Cl)c1 ZINC000513002009 340387750 /nfs/dbraw/zinc/38/77/50/340387750.db2.gz RCNNFINECHZDJO-UHFFFAOYSA-N 0 3 307.743 4.171 20 0 DIADHN FC(F)Oc1ccccc1CNCC1(C(F)(F)F)CCC1 ZINC000513001818 340387786 /nfs/dbraw/zinc/38/77/86/340387786.db2.gz NTMPVYFMLMXCJS-UHFFFAOYSA-N 0 3 309.278 4.110 20 0 DIADHN FC(F)COc1ccc(CNCC2(C(F)(F)F)CCC2)cc1 ZINC000513012503 340388360 /nfs/dbraw/zinc/38/83/60/340388360.db2.gz QLWNTLHSTHQFIS-UHFFFAOYSA-N 0 3 323.305 4.153 20 0 DIADHN COc1cnccc1[C@@H](C)NC1CC(c2ccccc2Cl)C1 ZINC000513018251 340388730 /nfs/dbraw/zinc/38/87/30/340388730.db2.gz XGPNNPCLOZFWHO-IYXRBSQSSA-N 0 3 316.832 4.340 20 0 DIADHN COc1cnccc1[C@H](C)NC1CC(c2ccccc2Cl)C1 ZINC000513018249 340388795 /nfs/dbraw/zinc/38/87/95/340388795.db2.gz XGPNNPCLOZFWHO-HSBZDZAISA-N 0 3 316.832 4.340 20 0 DIADHN C[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000513028271 340389392 /nfs/dbraw/zinc/38/93/92/340389392.db2.gz XOEYKRRWOSSXQV-ZZKXABKFSA-N 0 3 313.351 4.205 20 0 DIADHN Cc1ccc(CN2CCC(c3noc(C(C)C)n3)CC2)cc1C ZINC000513031953 340389568 /nfs/dbraw/zinc/38/95/68/340389568.db2.gz ISTKOSTVUFTVGT-UHFFFAOYSA-N 0 3 313.445 4.189 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1c(F)cncc1F ZINC000513053098 340390916 /nfs/dbraw/zinc/39/09/16/340390916.db2.gz XTKJNWICHILBTQ-MNOVXSKESA-N 0 3 310.775 4.295 20 0 DIADHN Cc1cccc(CN2CCC(c3noc(C(C)C)n3)CC2)c1C ZINC000513051147 340390924 /nfs/dbraw/zinc/39/09/24/340390924.db2.gz KJTZFWYDAQJKPM-UHFFFAOYSA-N 0 3 313.445 4.189 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000513051554 340390936 /nfs/dbraw/zinc/39/09/36/340390936.db2.gz MUUYZJKNXUGDIG-LJQANCHMSA-N 0 3 305.421 4.260 20 0 DIADHN Cc1ccccc1[C@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000513051552 340391076 /nfs/dbraw/zinc/39/10/76/340391076.db2.gz MUUYZJKNXUGDIG-IBGZPJMESA-N 0 3 305.421 4.260 20 0 DIADHN C[C@H](CCc1ccccc1)CN[C@H](C)c1c(F)cncc1F ZINC000513059260 340391493 /nfs/dbraw/zinc/39/14/93/340391493.db2.gz VLZZXJWGHSFIIK-ZIAGYGMSSA-N 0 3 304.384 4.279 20 0 DIADHN CCc1ccc(CN[C@@H]2CC[C@@H](c3ccccc3)C[C@@H]2OC)cn1 ZINC000513089183 340392899 /nfs/dbraw/zinc/39/28/99/340392899.db2.gz MINWGVCVOZYBTO-NRSPTQNISA-N 0 3 324.468 4.085 20 0 DIADHN C[C@@H](NC[C@@H]1CCCSC1)c1ccccc1Br ZINC000306090379 340393086 /nfs/dbraw/zinc/39/30/86/340393086.db2.gz JEQFZWPHBBPMPU-NEPJUHHUSA-N 0 3 314.292 4.243 20 0 DIADHN C[C@H](NC[C@@H]1CCCSC1)c1ccccc1Br ZINC000306090381 340393106 /nfs/dbraw/zinc/39/31/06/340393106.db2.gz JEQFZWPHBBPMPU-RYUDHWBXSA-N 0 3 314.292 4.243 20 0 DIADHN COCc1ccc(CN(Cc2ccc(F)cc2)C(C)(C)C)o1 ZINC000513101497 340393429 /nfs/dbraw/zinc/39/34/29/340393429.db2.gz RRZCWYDNSFZQKP-UHFFFAOYSA-N 0 3 305.393 4.366 20 0 DIADHN Cc1ccsc1CN1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000513133961 340394584 /nfs/dbraw/zinc/39/45/84/340394584.db2.gz RBLUYHGWWQRXFC-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)CC[C@H](C)O)s2)cc1 ZINC000174876351 340398287 /nfs/dbraw/zinc/39/82/87/340398287.db2.gz IXCIFOZPPJCYRE-AWEZNQCLSA-N 0 3 318.486 4.136 20 0 DIADHN Fc1ccc(CNCCOc2ccc(C(F)(F)F)cc2)cc1 ZINC000513260421 340399721 /nfs/dbraw/zinc/39/97/21/340399721.db2.gz FAQBZGWCMPXKJB-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN Fc1cccc(OCCNCc2csc3ccccc23)c1 ZINC000513588138 340414263 /nfs/dbraw/zinc/41/42/63/340414263.db2.gz IIPXOHRNYOSGQB-UHFFFAOYSA-N 0 3 301.386 4.209 20 0 DIADHN Cc1ccc2oc([N-][C@H](C[NH+]3CCCC3)c3ccccc3)nc2c1 ZINC000513613922 340414941 /nfs/dbraw/zinc/41/49/41/340414941.db2.gz DYLGWOZNAXWLEO-GOSISDBHSA-N 0 3 321.424 4.385 20 0 DIADHN Fc1cccc(OCCNCc2ccc(C(F)(F)F)cc2)c1 ZINC000513613819 340414967 /nfs/dbraw/zinc/41/49/67/340414967.db2.gz TZLSSQDMODROLZ-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN CO[C@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)c1ccccc1 ZINC000513659626 340417730 /nfs/dbraw/zinc/41/77/30/340417730.db2.gz CQIJKNDMWABSOQ-MSOLQXFVSA-N 0 3 313.445 4.008 20 0 DIADHN Cc1ccnc([C@H](C)NCCOc2ccc(C(F)(F)F)cc2)c1 ZINC000513713227 340421266 /nfs/dbraw/zinc/42/12/66/340421266.db2.gz MMDCXRKMQOVHSS-ZDUSSCGKSA-N 0 3 324.346 4.138 20 0 DIADHN C[C@@H](CN[C@@H]1CCc2c1cccc2F)Oc1ccccc1F ZINC000513858613 340428954 /nfs/dbraw/zinc/42/89/54/340428954.db2.gz BWBGQZFMXRXHAJ-YVEFUNNKSA-N 0 3 303.352 4.009 20 0 DIADHN COc1cc(CN[C@@H]2CCc3c2cccc3F)c2ccccc2n1 ZINC000513860092 340429043 /nfs/dbraw/zinc/42/90/43/340429043.db2.gz UAGSCYHUINZZOO-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1cscc1CNCc1cc(C)c(Br)s1 ZINC000309719163 340432108 /nfs/dbraw/zinc/43/21/08/340432108.db2.gz VGKAWHAAHZRLIA-UHFFFAOYSA-N 0 3 316.289 4.479 20 0 DIADHN C[C@H](NC[C@H](C)C(F)(F)F)c1ccc(Br)s1 ZINC000309787329 340433239 /nfs/dbraw/zinc/43/32/39/340433239.db2.gz SXJOOYZCWJNEER-BQBZGAKWSA-N 0 3 316.186 4.360 20 0 DIADHN O=C(c1ccccc1)C1CCN(C[C@@H]2CCCC(F)(F)C2)CC1 ZINC000513967093 340438257 /nfs/dbraw/zinc/43/82/57/340438257.db2.gz DSUMJIDFSMJUMQ-OAHLLOKOSA-N 0 3 321.411 4.407 20 0 DIADHN C[C@H](N[C@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000177277008 340438586 /nfs/dbraw/zinc/43/85/86/340438586.db2.gz VYWVSTYGQNVIEA-LIRRHRJNSA-N 0 3 305.425 4.051 20 0 DIADHN C[C@@H](NCc1ccccc1OCCO)c1ccc2ccccc2c1 ZINC000177367130 340439764 /nfs/dbraw/zinc/43/97/64/340439764.db2.gz YOEFLHJQGZHDSP-MRXNPFEDSA-N 0 3 321.420 4.062 20 0 DIADHN CC[C@H](C)CN(CC)C(=O)c1oc2ccccc2c1CN(C)C ZINC000177987938 340446066 /nfs/dbraw/zinc/44/60/66/340446066.db2.gz KJCRQLDCNJLVLB-AWEZNQCLSA-N 0 3 316.445 4.003 20 0 DIADHN COc1ccccc1CCN[C@H](C)c1ccc2ccccc2n1 ZINC000178180415 340447551 /nfs/dbraw/zinc/44/75/51/340447551.db2.gz UHPYRNCGHSNVJU-OAHLLOKOSA-N 0 3 306.409 4.137 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)N(C)C)c1ccc2ccccc2n1 ZINC000178235425 340447885 /nfs/dbraw/zinc/44/78/85/340447885.db2.gz NTNNYCHOPBPMDL-IIBYNOLFSA-N 0 3 319.452 4.188 20 0 DIADHN Cc1cncc([C@@H](C)NCCOc2cccc(C(F)(F)F)c2)c1 ZINC000184316620 340491870 /nfs/dbraw/zinc/49/18/70/340491870.db2.gz YVYFSPFRQZFKAL-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN C[C@@H](NCCc1nn(C)c2ccccc12)c1ccccc1Cl ZINC000184669963 340495133 /nfs/dbraw/zinc/49/51/33/340495133.db2.gz WITAJFFJKADCRY-CYBMUJFWSA-N 0 3 313.832 4.120 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](C)Oc1ccccc1F ZINC000186179811 340509056 /nfs/dbraw/zinc/50/90/56/340509056.db2.gz IHLWESZADACHAR-RYUDHWBXSA-N 0 3 309.406 4.014 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@H](CCO)c1ccco1 ZINC000186563523 340514326 /nfs/dbraw/zinc/51/43/26/340514326.db2.gz NHWAHKXUEIFQLZ-NVXWUHKLSA-N 0 3 301.430 4.049 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccnc4ccccc34)CC2)s1 ZINC000521751415 340530734 /nfs/dbraw/zinc/53/07/34/340530734.db2.gz NVFDMOYNZSGCNW-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](C)COc2cccc(F)c2)c1 ZINC000521814733 340532983 /nfs/dbraw/zinc/53/29/83/340532983.db2.gz VVSKOHSKTQYNMB-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN C[C@@H]1CC(CCN[C@H]2CCc3c2c(F)ccc3F)C[C@@H](C)O1 ZINC000521915373 340539787 /nfs/dbraw/zinc/53/97/87/340539787.db2.gz USJFLSSBTNXCFV-QFSBIZTOSA-N 0 3 309.400 4.135 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1CCO[C@@H]1C1CC1)CCS2 ZINC000222666880 340539826 /nfs/dbraw/zinc/53/98/26/340539826.db2.gz AYUWBBGLKAFZDS-IJEWVQPXSA-N 0 3 309.862 4.034 20 0 DIADHN CN(C)Cc1cccc(NCc2ccc(OCC3CC3)cc2)c1 ZINC000190828152 340547375 /nfs/dbraw/zinc/54/73/75/340547375.db2.gz XETBTOGPJKEIFR-UHFFFAOYSA-N 0 3 310.441 4.149 20 0 DIADHN C[C@H](NC[C@H](O)c1ccsc1)c1cccc(C(F)(F)F)c1 ZINC000223924212 340549208 /nfs/dbraw/zinc/54/92/08/340549208.db2.gz XEROPAAGVSUUAR-HZMBPMFUSA-N 0 3 315.360 4.151 20 0 DIADHN COc1ccc(CNC[C@H]2CCC[C@H](C)C2)c(Cl)c1OC ZINC000223949091 340549467 /nfs/dbraw/zinc/54/94/67/340549467.db2.gz AQSAIEWFASVYSH-STQMWFEESA-N 0 3 311.853 4.273 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000191531709 340555339 /nfs/dbraw/zinc/55/53/39/340555339.db2.gz YGPNDNVMHMTTTG-TYNCELHUSA-N 0 3 303.381 4.105 20 0 DIADHN COc1cccc(-c2noc([C@H](C)NC3CCCCCC3)n2)c1 ZINC000191562342 340555730 /nfs/dbraw/zinc/55/57/30/340555730.db2.gz XISLGFKRHDBUPL-ZDUSSCGKSA-N 0 3 315.417 4.119 20 0 DIADHN C[C@@H](NCCCCCF)c1ccc(Br)cc1F ZINC000521938673 340558155 /nfs/dbraw/zinc/55/81/55/340558155.db2.gz MMAPHJJMLKDINM-SNVBAGLBSA-N 0 3 306.194 4.379 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCCC2(C)C)cs1 ZINC000191860398 340559611 /nfs/dbraw/zinc/55/96/11/340559611.db2.gz BVZYZTDJUWWHEJ-INIZCTEOSA-N 0 3 316.470 4.487 20 0 DIADHN Clc1ccsc1CNCCc1nc2c(s1)CCCC2 ZINC000193103355 340572398 /nfs/dbraw/zinc/57/23/98/340572398.db2.gz IWIADXGJSWJJQK-UHFFFAOYSA-N 0 3 312.891 4.069 20 0 DIADHN C[C@H](NCc1ccnc(N)c1)c1ccc(-c2ccccc2)cc1 ZINC000193472288 340578125 /nfs/dbraw/zinc/57/81/25/340578125.db2.gz TZWUEOHXNQQERM-HNNXBMFYSA-N 0 3 303.409 4.182 20 0 DIADHN CCCCCN(C(=O)C1CCN(C2CC2)CC1)[C@H](C)CCC ZINC000195381801 340578862 /nfs/dbraw/zinc/57/88/62/340578862.db2.gz ONOYFKASBCMOGJ-MRXNPFEDSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2C)cc1 ZINC000195538948 340581172 /nfs/dbraw/zinc/58/11/72/340581172.db2.gz BDKLSOXQIJIODW-ZWKOTPCHSA-N 0 3 324.468 4.001 20 0 DIADHN CC[C@@H](NCC1(O)CCCCC1)c1ccccc1OC(F)F ZINC000195652406 340584679 /nfs/dbraw/zinc/58/46/79/340584679.db2.gz ADZCPVLWKCIXCN-CQSZACIVSA-N 0 3 313.388 4.024 20 0 DIADHN Cc1ccc(NC(=O)C[C@H]2CCC[C@@H](C)C2)c(CN(C)C)c1 ZINC000522243004 340592105 /nfs/dbraw/zinc/59/21/05/340592105.db2.gz CISINUSGTAZEHH-ZBFHGGJFSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@@H](CCC(C)(C)C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000522915007 340610260 /nfs/dbraw/zinc/61/02/60/340610260.db2.gz MEYSXAWEIRJNIP-INIZCTEOSA-N 0 3 316.489 4.227 20 0 DIADHN CSCCCCCNCc1ccccc1OC(F)(F)F ZINC000229517163 340614077 /nfs/dbraw/zinc/61/40/77/340614077.db2.gz ISMJMFDJLMAKAF-UHFFFAOYSA-N 0 3 307.381 4.208 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1C(F)(F)F)c1nccs1 ZINC000230694008 340620709 /nfs/dbraw/zinc/62/07/09/340620709.db2.gz CYIXKTUYOXKWNV-VIFPVBQESA-N 0 3 318.339 4.194 20 0 DIADHN COCCC(C)(C)CNCc1cc(Cl)ccc1OC(F)F ZINC000230866798 340621058 /nfs/dbraw/zinc/62/10/58/340621058.db2.gz HXFIUZPXFVORBN-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2sccc2Br)C1 ZINC000231368521 340622247 /nfs/dbraw/zinc/62/22/47/340622247.db2.gz PQSLZIWTTRDRCM-NXEZZACHSA-N 0 3 320.321 4.274 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cccc2c1OC(C)(C)C2 ZINC000523391672 340623040 /nfs/dbraw/zinc/62/30/40/340623040.db2.gz DFHTZTOHLMHWGD-LJQANCHMSA-N 0 3 307.437 4.486 20 0 DIADHN Fc1cccc(C2(CNCc3ccccc3OC(F)F)CC2)c1 ZINC000523524153 340627781 /nfs/dbraw/zinc/62/77/81/340627781.db2.gz JUXNFJMOGJIJAH-UHFFFAOYSA-N 0 3 321.342 4.249 20 0 DIADHN CCOC[C@@H](N[C@@H](C)c1ccccc1Br)C(C)C ZINC000232350448 340631573 /nfs/dbraw/zinc/63/15/73/340631573.db2.gz DLKDQPLWQHMKIC-SWLSCSKDSA-N 0 3 314.267 4.161 20 0 DIADHN CC[C@@H](CC(=O)NC[C@H](c1ccsc1)N(CC)CC)C(C)C ZINC000303193707 340633589 /nfs/dbraw/zinc/63/35/89/340633589.db2.gz FZXVVLSGLSXHEY-DOTOQJQBSA-N 0 3 324.534 4.320 20 0 DIADHN CC(C)C1(CNCc2cccc(F)c2Br)CC1 ZINC000232689009 340635236 /nfs/dbraw/zinc/63/52/36/340635236.db2.gz NACZVMNQMYXFST-UHFFFAOYSA-N 0 3 300.215 4.114 20 0 DIADHN C[C@@H](NC(C)(C)CNCc1ccc(F)cc1F)c1ccccc1 ZINC000303524945 340637310 /nfs/dbraw/zinc/63/73/10/340637310.db2.gz FVMRTXKXGYWHIM-CQSZACIVSA-N 0 3 318.411 4.184 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1sc(C(C)(C)C)nc1C)C(C)C ZINC000233787520 340648949 /nfs/dbraw/zinc/64/89/49/340648949.db2.gz NSXFBRLJNZNDQC-JSGCOSHPSA-N 0 3 312.523 4.461 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@H](NCc2cccc(F)c2)C1 ZINC000524225147 340650200 /nfs/dbraw/zinc/65/02/00/340650200.db2.gz YMQGPLRHVGCNAD-SFHVURJKSA-N 0 3 316.395 4.032 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](c3ccco3)N(C)C)o2)cc1 ZINC000237172558 340668540 /nfs/dbraw/zinc/66/85/40/340668540.db2.gz YVAVTVYTMWDVCX-SFHVURJKSA-N 0 3 324.424 4.241 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@@H](C)CCc1ccc(O)cc1 ZINC000237185856 340668758 /nfs/dbraw/zinc/66/87/58/340668758.db2.gz XDZDTRPWDQSLON-KBPBESRZSA-N 0 3 317.404 4.212 20 0 DIADHN C[C@H](CCc1ccc(Br)cc1)NCc1ccco1 ZINC000237483561 340671399 /nfs/dbraw/zinc/67/13/99/340671399.db2.gz AJGNKYQKWPBPON-GFCCVEGCSA-N 0 3 308.219 4.153 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@@H](C)c1ccc(F)cc1F ZINC000237491484 340671406 /nfs/dbraw/zinc/67/14/06/340671406.db2.gz AYSFZJQBDKFPTI-OLZOCXBDSA-N 0 3 305.368 4.342 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cc(F)ccc2F)C12CCCC2 ZINC000316060440 340678056 /nfs/dbraw/zinc/67/80/56/340678056.db2.gz JSEDSGZCWSQMCJ-JCURWCKSSA-N 0 3 309.400 4.353 20 0 DIADHN COC[C@H](N[C@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000316218617 340678757 /nfs/dbraw/zinc/67/87/57/340678757.db2.gz GQHXFVQAAOVLJL-RBUKOAKNSA-N 0 3 301.405 4.176 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000524757463 340679427 /nfs/dbraw/zinc/67/94/27/340679427.db2.gz UPLUSQRFFDBPOJ-UTUOFQBUSA-N 0 3 301.402 4.175 20 0 DIADHN Cc1ccoc1CNC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000525181058 340693789 /nfs/dbraw/zinc/69/37/89/340693789.db2.gz HFVRLNHNIKPXEL-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN Cc1cc(NCc2cccs2)c2cc(OC(F)F)ccc2n1 ZINC000525359191 340701446 /nfs/dbraw/zinc/70/14/46/340701446.db2.gz TUNMZEOWGOMVJF-UHFFFAOYSA-N 0 3 320.364 4.240 20 0 DIADHN CCC1(CN[C@@H](c2ccccc2)c2cc(C)ccc2C)COC1 ZINC000393971941 340706464 /nfs/dbraw/zinc/70/64/64/340706464.db2.gz AUMAZMJPCUYIKU-FQEVSTJZSA-N 0 3 309.453 4.409 20 0 DIADHN CC(C)[C@H](NCCc1ccc(F)c(F)c1)c1ccc(F)cn1 ZINC000527291885 340711748 /nfs/dbraw/zinc/71/17/48/340711748.db2.gz BSMZSWNMGAOIIF-KRWDZBQOSA-N 0 3 308.347 4.028 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(-c2ccccc2)cc1)C(=O)NC(C)(C)C ZINC000326010486 340711986 /nfs/dbraw/zinc/71/19/86/340711986.db2.gz LOTDIPIUCVPYRO-HOTGVXAUSA-N 0 3 324.468 4.307 20 0 DIADHN C[C@H](NCC1=CCOCC1)c1ccc(OCc2ccccc2)cc1 ZINC000397683978 340713739 /nfs/dbraw/zinc/71/37/39/340713739.db2.gz VHQFULXJECPIRF-KRWDZBQOSA-N 0 3 323.436 4.263 20 0 DIADHN C[C@@H](NCCC1(O)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000397677111 340713753 /nfs/dbraw/zinc/71/37/53/340713753.db2.gz QSDAHNPBLBJDPT-SECBINFHSA-N 0 3 306.208 4.088 20 0 DIADHN Cc1ncc(CN[C@H](C)C(c2ccccc2)c2ccccc2)o1 ZINC000397890163 340714689 /nfs/dbraw/zinc/71/46/89/340714689.db2.gz FQKLXRIINDAGPD-OAHLLOKOSA-N 0 3 306.409 4.293 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CS[C@H](C)C2)c2ccccc2)cc1 ZINC000397935865 340715004 /nfs/dbraw/zinc/71/50/04/340715004.db2.gz NWAQFPSSDUABRV-DKSSEZFCSA-N 0 3 313.466 4.268 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H](C)Cc2cccs2)c1 ZINC000036992964 340729582 /nfs/dbraw/zinc/72/95/82/340729582.db2.gz QYHIMJVVZOHQMI-QWHCGFSZSA-N 0 3 305.443 4.047 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cccs1 ZINC000037062096 340742623 /nfs/dbraw/zinc/74/26/23/340742623.db2.gz AFFINLIXEHKIQQ-GXTWGEPZSA-N 0 3 303.427 4.321 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1cccs1 ZINC000037062097 340742637 /nfs/dbraw/zinc/74/26/37/340742637.db2.gz AFFINLIXEHKIQQ-OCCSQVGLSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1ccc([C@H](C)Nc2cccc(OCCN(C)C)c2)s1 ZINC000037068957 340744497 /nfs/dbraw/zinc/74/44/97/340744497.db2.gz VDTZTNBXFYMONB-AWEZNQCLSA-N 0 3 304.459 4.170 20 0 DIADHN CCOc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)ccc1O ZINC000529665062 340767098 /nfs/dbraw/zinc/76/70/98/340767098.db2.gz JOBHHWYWMQHQIC-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN C[C@H](NCCCc1c(F)cccc1Cl)c1ncccc1F ZINC000529690645 340767902 /nfs/dbraw/zinc/76/79/02/340767902.db2.gz UCDNTOIIBQBDEL-NSHDSACASA-N 0 3 310.775 4.297 20 0 DIADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(Cl)c(Cl)c1Cl ZINC000037215209 340774802 /nfs/dbraw/zinc/77/48/02/340774802.db2.gz MEJLDIWEWPDKLZ-RKDXNWHRSA-N 0 3 308.636 4.476 20 0 DIADHN CCCOc1cccc(CNCCSc2ccccc2)c1 ZINC000037996786 340788960 /nfs/dbraw/zinc/78/89/60/340788960.db2.gz PESFWYSZWIUHSX-UHFFFAOYSA-N 0 3 301.455 4.357 20 0 DIADHN CO[C@@H]1CC[C@@H](NCc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000530352221 340789002 /nfs/dbraw/zinc/78/90/02/340789002.db2.gz SHRYBOOOGFLXLC-HUUCEWRRSA-N 0 3 305.805 4.257 20 0 DIADHN CCCc1ccc([C@H](C)NCc2c(CC)nn(C)c2CC)cc1 ZINC000537942442 340792220 /nfs/dbraw/zinc/79/22/20/340792220.db2.gz CMFPSFNWBARSPL-HNNXBMFYSA-N 0 3 313.489 4.348 20 0 DIADHN CS[C@@H](C)CN[C@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000537952442 340793009 /nfs/dbraw/zinc/79/30/09/340793009.db2.gz FOLZZLXFQMDPNP-LSDHHAIUSA-N 0 3 317.502 4.200 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCc3c2cccc3Cl)c1 ZINC000537964518 340793790 /nfs/dbraw/zinc/79/37/90/340793790.db2.gz TWNDWHWHWSRTHU-KRWDZBQOSA-N 0 3 305.780 4.265 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1cnccc1C ZINC000537969733 340794330 /nfs/dbraw/zinc/79/43/30/340794330.db2.gz ODTAALOEXOZBOY-IYOUNJFTSA-N 0 3 318.848 4.470 20 0 DIADHN COc1cccc([C@H](C)NC[C@H](OC)c2cccc(Cl)c2)c1 ZINC000537987792 340805709 /nfs/dbraw/zinc/80/57/09/340805709.db2.gz SLWMVMOFEXDQKS-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN CC[C@@H](N[C@@H](C)COCC(F)(F)F)c1cccc(Cl)c1 ZINC000537991366 340806149 /nfs/dbraw/zinc/80/61/49/340806149.db2.gz RKWFSOSCSQPHPU-GXFFZTMASA-N 0 3 309.759 4.348 20 0 DIADHN Cc1scc(-c2nc(-c3ccc(CN(C)C)cc3)no2)c1C ZINC000538006094 340807041 /nfs/dbraw/zinc/80/70/41/340807041.db2.gz GMAHQYRYNUOSBJ-UHFFFAOYSA-N 0 3 313.426 4.144 20 0 DIADHN CCC(CC)[C@@H](CCN[C@@H](C)c1nc2ccccc2n1C)OC ZINC000538117542 340810332 /nfs/dbraw/zinc/81/03/32/340810332.db2.gz XINXKSLPVHCKGV-KBXCAEBGSA-N 0 3 317.477 4.065 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](C)c2cccc(C)c2C)c1 ZINC000538189611 340812489 /nfs/dbraw/zinc/81/24/89/340812489.db2.gz HPUZOYPUWRQGTK-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN c1csc([C@@H](NCc2cnn(-c3ccccc3)c2)C2CC2)c1 ZINC000125869985 340812943 /nfs/dbraw/zinc/81/29/43/340812943.db2.gz VTUBOTLRZMMZRC-SFHVURJKSA-N 0 3 309.438 4.175 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2ccccc2Cl)c1 ZINC000538214257 340813549 /nfs/dbraw/zinc/81/35/49/340813549.db2.gz NFNBSQAMYVTZNG-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN c1ccc2c(c1)cccc2CNC1(c2ccc3c(c2)OCO3)CC1 ZINC000538232481 340814351 /nfs/dbraw/zinc/81/43/51/340814351.db2.gz BUPICDHSDHCJDV-UHFFFAOYSA-N 0 3 317.388 4.347 20 0 DIADHN COC[C@@H](NCCSc1ccc(F)cc1)c1ccc(F)cc1 ZINC000538275674 340816002 /nfs/dbraw/zinc/81/60/02/340816002.db2.gz JLOJBBVWKPWLLG-QGZVFWFLSA-N 0 3 323.408 4.034 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1C(F)(F)F)C(C)(C)C ZINC000538389355 340819877 /nfs/dbraw/zinc/81/98/77/340819877.db2.gz DLPMVBAJOGBLRO-CYBMUJFWSA-N 0 3 316.367 4.058 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@](C)(O)CCC(C)C)oc21 ZINC000538395270 340820735 /nfs/dbraw/zinc/82/07/35/340820735.db2.gz IWKDDCPAQBOBAP-IFXJQAMLSA-N 0 3 319.445 4.279 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cc(-c3ccccc3)n[nH]2)c1 ZINC000538414690 340822206 /nfs/dbraw/zinc/82/22/06/340822206.db2.gz KYAVFRUDKRHFJG-UHFFFAOYSA-N 0 3 305.425 4.410 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H](c1cnn(C)c1)C(C)(C)C ZINC000538425842 340823326 /nfs/dbraw/zinc/82/33/26/340823326.db2.gz FOYPKKQYEQSPGW-GOSISDBHSA-N 0 3 311.429 4.352 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(Cl)cc2)C1)c1ccsc1 ZINC000538459879 340824740 /nfs/dbraw/zinc/82/47/40/340824740.db2.gz ODHHBWWXZUNCSS-DOMZBBRYSA-N 0 3 306.862 4.331 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ncc(Br)s1 ZINC000126359916 340826343 /nfs/dbraw/zinc/82/63/43/340826343.db2.gz PWSRHBHHVHAPEQ-LPEHRKFASA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](NC[C@]1(C)CCCS1)c1ccccc1Br ZINC000126467724 340827360 /nfs/dbraw/zinc/82/73/60/340827360.db2.gz SQJXDJGPDYEKON-FZMZJTMJSA-N 0 3 314.292 4.385 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4ccc(OC)cc43)CC2)cc1 ZINC000538523779 340831123 /nfs/dbraw/zinc/83/11/23/340831123.db2.gz NDXDXSBTCCECOM-FQEVSTJZSA-N 0 3 323.436 4.360 20 0 DIADHN CC[C@@H](N[C@@H]1CCOC2(CCCC2)C1)c1cc(F)ccc1F ZINC000127283191 340848339 /nfs/dbraw/zinc/84/83/39/340848339.db2.gz OYLNCXKSVNCLRJ-RHSMWYFYSA-N 0 3 309.400 4.497 20 0 DIADHN CC[C@@H](N[C@H]1CCOC2(CCCC2)C1)c1cc(F)ccc1F ZINC000127283673 340848414 /nfs/dbraw/zinc/84/84/14/340848414.db2.gz OYLNCXKSVNCLRJ-WMLDXEAASA-N 0 3 309.400 4.497 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCOC3(CCCCC3)C2)c(F)c1 ZINC000127425649 340850942 /nfs/dbraw/zinc/85/09/42/340850942.db2.gz JLMWQJZJKSNAOL-GJZGRUSLSA-N 0 3 321.436 4.367 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(F)c(C)c1 ZINC000538877435 340860333 /nfs/dbraw/zinc/86/03/33/340860333.db2.gz ZLESZTINPAAEKT-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cnc(C3CCCCC3)s2)CCO1 ZINC000538967471 340863849 /nfs/dbraw/zinc/86/38/49/340863849.db2.gz KYTMHRKITPWZJN-NVXWUHKLSA-N 0 3 322.518 4.484 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCC(=O)N2 ZINC000539041105 340866369 /nfs/dbraw/zinc/86/63/69/340866369.db2.gz KUTNVXOKVUZROV-HXPMCKFVSA-N 0 3 324.399 4.049 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000539042040 340866479 /nfs/dbraw/zinc/86/64/79/340866479.db2.gz BJWNIDLUTUWSTM-RBUKOAKNSA-N 0 3 315.844 4.213 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CCc3c2cccc3Cl)cc1 ZINC000539046487 340867040 /nfs/dbraw/zinc/86/70/40/340867040.db2.gz YQRGADVXSFQFOZ-RBUKOAKNSA-N 0 3 315.844 4.213 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)C(C)C)c2ccccc2OC)cc1 ZINC000539048214 340867353 /nfs/dbraw/zinc/86/73/53/340867353.db2.gz XZJLDBGRJKDLLR-MGPUTAFESA-N 0 3 313.441 4.427 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2N[C@@H](C)c2cccnc2)c1 ZINC000539051173 340867674 /nfs/dbraw/zinc/86/76/74/340867674.db2.gz YNGXEBWZUWODPK-FFZOFVMBSA-N 0 3 310.441 4.431 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000539050839 340867789 /nfs/dbraw/zinc/86/77/89/340867789.db2.gz QZBZAVLOZNHNDK-HQOQDVMHSA-N 0 3 317.379 4.318 20 0 DIADHN Cc1cccc(NCCN[C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000539053210 340868166 /nfs/dbraw/zinc/86/81/66/340868166.db2.gz BMDMDSLYEZUPSA-CYBMUJFWSA-N 0 3 323.362 4.172 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1ccc2c(c1)CCC2 ZINC000539056204 340868540 /nfs/dbraw/zinc/86/85/40/340868540.db2.gz ZULHLPMKEWNYCF-BTYIYWSLSA-N 0 3 306.453 4.013 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H]1CCc2c1cccc2OC ZINC000539078357 340871127 /nfs/dbraw/zinc/87/11/27/340871127.db2.gz ONGRTTPORYHCJZ-RBUKOAKNSA-N 0 3 323.436 4.358 20 0 DIADHN C[C@H](NCCCOc1cccc2cccnc21)c1ccsc1 ZINC000539080208 340871324 /nfs/dbraw/zinc/87/13/24/340871324.db2.gz RLHGIBGJSLNUIU-AWEZNQCLSA-N 0 3 312.438 4.416 20 0 DIADHN C[C@@H](NCCOc1cccc(C(C)(C)C)c1)c1cncs1 ZINC000539084179 340871546 /nfs/dbraw/zinc/87/15/46/340871546.db2.gz PLLGBUQVZQANJJ-CYBMUJFWSA-N 0 3 304.459 4.170 20 0 DIADHN C[C@H](NCCc1nc2ccccc2n1C(F)F)c1ccsc1 ZINC000539085491 340871764 /nfs/dbraw/zinc/87/17/64/340871764.db2.gz XBICGPQSHSJDEK-NSHDSACASA-N 0 3 321.396 4.386 20 0 DIADHN COCCOC[C@H](C)N[C@H](C)c1ccc(-c2cccs2)cc1 ZINC000539086307 340871963 /nfs/dbraw/zinc/87/19/63/340871963.db2.gz GOISVSDVTKDDTA-LSDHHAIUSA-N 0 3 319.470 4.117 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000539091400 340872489 /nfs/dbraw/zinc/87/24/89/340872489.db2.gz XVPGDQWCIGRTSY-ATZDWAIDSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000539091406 340872514 /nfs/dbraw/zinc/87/25/14/340872514.db2.gz XVPGDQWCIGRTSY-MNEFBYGVSA-N 0 3 308.425 4.145 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(OC(F)F)cc1 ZINC000539104751 340873704 /nfs/dbraw/zinc/87/37/04/340873704.db2.gz XKRXNCACWVWGMS-LBPRGKRZSA-N 0 3 306.356 4.096 20 0 DIADHN CCc1ccc([C@H](CC)N[C@@H](CO)c2sccc2C)cc1 ZINC000539121577 340874232 /nfs/dbraw/zinc/87/42/32/340874232.db2.gz IWFQDSBLCJTSGX-IRXDYDNUSA-N 0 3 303.471 4.393 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000539122960 340874410 /nfs/dbraw/zinc/87/44/10/340874410.db2.gz YEFXZLSJFYREFK-ZFWWWQNUSA-N 0 3 307.434 4.197 20 0 DIADHN Cc1cccc(CN[C@H](c2ccc3[nH]c(=O)oc3c2)C(C)C)c1 ZINC000539163618 340875286 /nfs/dbraw/zinc/87/52/86/340875286.db2.gz SMEXVPXAMPHBHZ-SFHVURJKSA-N 0 3 310.397 4.329 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@@H](C)c1nc2ccccc2n1C ZINC000539199146 340875824 /nfs/dbraw/zinc/87/58/24/340875824.db2.gz AQMFNEYISXWYHZ-DOTOQJQBSA-N 0 3 307.441 4.245 20 0 DIADHN Cc1ccccc1C1(CN[C@@H](C)c2nc3ccccc3n2C)CC1 ZINC000539202808 340876195 /nfs/dbraw/zinc/87/61/95/340876195.db2.gz NWSBRXVKEDRHBW-INIZCTEOSA-N 0 3 319.452 4.264 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CN(C)Cc3ccccc32)C(C)C)cc1 ZINC000539214611 340876450 /nfs/dbraw/zinc/87/64/50/340876450.db2.gz REZXWLIXLPQAPV-RTWAWAEBSA-N 0 3 324.468 4.169 20 0 DIADHN CO[C@@H]1CCC[C@H]1CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000539226582 340877460 /nfs/dbraw/zinc/87/74/60/340877460.db2.gz LRADWLGUCPXQED-BLLLJJGKSA-N 0 3 321.367 4.130 20 0 DIADHN Cc1ccc2nc(CN[C@@H](C)C(C)(C)c3ccccc3)cn2c1 ZINC000539254150 340878556 /nfs/dbraw/zinc/87/85/56/340878556.db2.gz MZXXMGYVNIYMAK-INIZCTEOSA-N 0 3 307.441 4.099 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccc2c(c1)OCO2 ZINC000539315414 340880635 /nfs/dbraw/zinc/88/06/35/340880635.db2.gz VDCREHGHUWHDDM-YVEFUNNKSA-N 0 3 313.372 4.283 20 0 DIADHN CCC[C@@H](NCc1csc(C(=O)OCC)n1)C1CCCCC1 ZINC000539492945 340886861 /nfs/dbraw/zinc/88/68/61/340886861.db2.gz LLGAVCQLKPZZOI-OAHLLOKOSA-N 0 3 324.490 4.158 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C1CC1)c1cc2c(ccc3ccccc23)o1 ZINC000539494236 340887122 /nfs/dbraw/zinc/88/71/22/340887122.db2.gz BXZUTCUWRGQSCM-ZUOKHONESA-N 0 3 309.409 4.398 20 0 DIADHN CCOc1ccc(CNC[C@H](OC)c2ccc(Cl)cc2)cc1 ZINC000539513132 340888773 /nfs/dbraw/zinc/88/87/73/340888773.db2.gz JDXRWTAFSRNWBR-SFHVURJKSA-N 0 3 319.832 4.216 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@@H](C)c2cccc(F)c2F)c1 ZINC000539545298 340889976 /nfs/dbraw/zinc/88/99/76/340889976.db2.gz RNTLJGPFCXKCPY-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC2C[C@@H](C)O[C@H](C)C2)o1 ZINC000128498864 340890987 /nfs/dbraw/zinc/89/09/87/340890987.db2.gz RVXRPGARRFFKIW-CHWSQXEVSA-N 0 3 317.404 4.440 20 0 DIADHN Cc1cccc(N[C@@H](C)c2cccc(OCCN(C)C)c2)c1C ZINC000539609348 340892487 /nfs/dbraw/zinc/89/24/87/340892487.db2.gz FKCXHHGLHSOJLW-KRWDZBQOSA-N 0 3 312.457 4.417 20 0 DIADHN C[C@H](NCCOC(C)(C)C)c1cc(Cl)ccc1OC(F)F ZINC000539624859 340893139 /nfs/dbraw/zinc/89/31/39/340893139.db2.gz BPOBCYDQQHXATG-JTQLQIEISA-N 0 3 321.795 4.407 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1ccccc1OC(F)(F)F ZINC000539625220 340893317 /nfs/dbraw/zinc/89/33/17/340893317.db2.gz ITVOTJUPONFSKH-LLVKDONJSA-N 0 3 305.340 4.051 20 0 DIADHN Cc1csc(C2(N[C@H](C)Cc3ccccc3C)CCC2)n1 ZINC000539633165 340893779 /nfs/dbraw/zinc/89/37/79/340893779.db2.gz NVZJEQJZAUUBBY-CQSZACIVSA-N 0 3 300.471 4.360 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)CC1CCCC1 ZINC000128538070 340894998 /nfs/dbraw/zinc/89/49/98/340894998.db2.gz BPNHPFNKZJVJSK-UHFFFAOYSA-N 0 3 300.446 4.110 20 0 DIADHN CC1(C)CCc2cc(CN3CCC(C(F)F)CC3)ccc2O1 ZINC000539837540 340904880 /nfs/dbraw/zinc/90/48/80/340904880.db2.gz JKQMINVWTDVWQM-UHFFFAOYSA-N 0 3 309.400 4.267 20 0 DIADHN c1cn2c(cccc2CNC2(c3ccccc3)CCCCC2)n1 ZINC000539853169 340906694 /nfs/dbraw/zinc/90/66/94/340906694.db2.gz DPDGCMHDGVIZFY-UHFFFAOYSA-N 0 3 305.425 4.284 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2c(Cl)cccc2Cl)CCO1 ZINC000540067334 340914079 /nfs/dbraw/zinc/91/40/79/340914079.db2.gz ZEETWBVQFAGALN-XHDPSFHLSA-N 0 3 302.245 4.287 20 0 DIADHN CC[C@@H](C)CN[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000540071658 340914241 /nfs/dbraw/zinc/91/42/41/340914241.db2.gz UBBKXQLCWGOEME-BEFAXECRSA-N 0 3 307.441 4.298 20 0 DIADHN c1c2ccccc2oc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000540068835 340914272 /nfs/dbraw/zinc/91/42/72/340914272.db2.gz UQAYBSHFXDICED-FXAWDEMLSA-N 0 3 307.393 4.300 20 0 DIADHN CC[C@@H](NCc1cccc(N(C)C)c1)c1cc(C)ccc1OC ZINC000540087241 340914808 /nfs/dbraw/zinc/91/48/08/340914808.db2.gz YGBYWUJAJMHIOD-LJQANCHMSA-N 0 3 312.457 4.311 20 0 DIADHN CCCCCn1cc(CN[C@@H]2c3ccccc3C[C@H]2C)c(C)n1 ZINC000540139451 340916225 /nfs/dbraw/zinc/91/62/25/340916225.db2.gz QCRCOJALWPHQBA-QRWLVFNGSA-N 0 3 311.473 4.405 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2N[C@H](C)c2ccccn2)c1 ZINC000540147471 340917137 /nfs/dbraw/zinc/91/71/37/340917137.db2.gz TVCZYRAVBJKENP-LSTHTHJFSA-N 0 3 310.441 4.431 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)Cc1ccccc1)c1ccc(F)cc1Cl ZINC000540149580 340917463 /nfs/dbraw/zinc/91/74/63/340917463.db2.gz WAFHZVBHPDCKLP-ZJNRKIDTSA-N 0 3 321.823 4.122 20 0 DIADHN OC[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(C(F)(F)F)cc1 ZINC000540154099 340918096 /nfs/dbraw/zinc/91/80/96/340918096.db2.gz VPCNQESKIVDBDO-IAGOWNOFSA-N 0 3 321.342 4.016 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)COCC(F)(F)F)cc1 ZINC000540168972 340919827 /nfs/dbraw/zinc/91/98/27/340919827.db2.gz IZRMJJGEXQSEMR-NEPJUHHUSA-N 0 3 321.408 4.417 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCc3c2cccc3OC)C2CC2)cc1 ZINC000540172040 340920402 /nfs/dbraw/zinc/92/04/02/340920402.db2.gz MCVNMNRYGLCMSZ-PZJWPPBQSA-N 0 3 323.436 4.432 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(F)cc1N(C)C ZINC000540175872 340920746 /nfs/dbraw/zinc/92/07/46/340920746.db2.gz ZKABHJQHBFLXIF-SGTLLEGYSA-N 0 3 316.395 4.369 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1C)c1ccc(-n2cccn2)cc1 ZINC000540182961 340921422 /nfs/dbraw/zinc/92/14/22/340921422.db2.gz XGIOHMDIMUUNKY-QYWGDWMGSA-N 0 3 317.436 4.456 20 0 DIADHN CC(C)[C@@H](O)CN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000540185005 340921666 /nfs/dbraw/zinc/92/16/66/340921666.db2.gz YRACSPHDTWQAJB-WBMJQRKESA-N 0 3 323.383 4.109 20 0 DIADHN CC(C)[C@H](O)CN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000540184999 340921670 /nfs/dbraw/zinc/92/16/70/340921670.db2.gz YRACSPHDTWQAJB-BLLLJJGKSA-N 0 3 323.383 4.109 20 0 DIADHN CC[C@@H](O)CCCN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000540184808 340921749 /nfs/dbraw/zinc/92/17/49/340921749.db2.gz UZMPATIDRTZNCV-SJLPKXTDSA-N 0 3 317.473 4.354 20 0 DIADHN C[C@H](NC1CC(C)C1)c1ccc(OC(F)F)cc1OC(F)F ZINC000540185819 340921822 /nfs/dbraw/zinc/92/18/22/340921822.db2.gz BJGDBYOYOVQRDV-KYHHOPLUSA-N 0 3 321.314 4.339 20 0 DIADHN COC(C)(C)CN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000540190461 340922323 /nfs/dbraw/zinc/92/23/23/340922323.db2.gz RHQNSMQFLXHIDH-MRXNPFEDSA-N 0 3 313.441 4.341 20 0 DIADHN CCOc1ccc([C@H](N[C@@H](C)[C@@H](C)OC)c2ccccc2)cc1 ZINC000540189635 340922325 /nfs/dbraw/zinc/92/23/25/340922325.db2.gz BYZFTKYABXIHKE-RZQQEMMASA-N 0 3 313.441 4.188 20 0 DIADHN CCn1cc([C@@H](C)NCCc2cccc(Cl)c2Cl)cn1 ZINC000540190828 340922460 /nfs/dbraw/zinc/92/24/60/340922460.db2.gz JDWAKBIWPWFYCV-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2cccc(C(=O)NC3CC3)c2)c1 ZINC000540194920 340922793 /nfs/dbraw/zinc/92/27/93/340922793.db2.gz MDOQEHJHNIHXHA-MRXNPFEDSA-N 0 3 322.452 4.046 20 0 DIADHN CCCCn1cc(CN[C@@H]2c3cc(F)ccc3C[C@@H]2C)c(C)n1 ZINC000540321868 340927029 /nfs/dbraw/zinc/92/70/29/340927029.db2.gz ZWTSLMFTITUNBP-DJJJIMSYSA-N 0 3 315.436 4.154 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1cc2ccccc2o1 ZINC000128918325 340928632 /nfs/dbraw/zinc/92/86/32/340928632.db2.gz JBWAQZJNILGJFD-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CC(C)[C@@H](NCc1ccccc1-n1cccn1)c1ccccc1 ZINC000128938625 340930055 /nfs/dbraw/zinc/93/00/55/340930055.db2.gz HXOPVRHWGPCMDM-HXUWFJFHSA-N 0 3 305.425 4.359 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1csc(COc2ccc(F)cc2)n1 ZINC000540467226 340932518 /nfs/dbraw/zinc/93/25/18/340932518.db2.gz FEMGQHGICNLUGZ-STQMWFEESA-N 0 3 322.449 4.385 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1C)C(C)(C)c1ccccc1 ZINC000540523500 340934929 /nfs/dbraw/zinc/93/49/29/340934929.db2.gz SBXNWIZZKKGNIO-LJQANCHMSA-N 0 3 324.468 4.280 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H](C)C(=O)Nc1ccccc1)C1CC1 ZINC000540591694 340936923 /nfs/dbraw/zinc/93/69/23/340936923.db2.gz KKTHLBHVPDIAQE-HNAYVOBHSA-N 0 3 308.425 4.063 20 0 DIADHN CCOCCC1(CNCc2ccc([C@@H]3C[C@H]3C)o2)CCCC1 ZINC000540611540 340938904 /nfs/dbraw/zinc/93/89/04/340938904.db2.gz KNPMNIRNSSXYMB-NVXWUHKLSA-N 0 3 305.462 4.480 20 0 DIADHN c1c(CN[C@H](Cc2ccccc2)C2CC2)onc1-c1ccccc1 ZINC000540917685 340951634 /nfs/dbraw/zinc/95/16/34/340951634.db2.gz BNDBDLCFQKOCJU-HXUWFJFHSA-N 0 3 318.420 4.453 20 0 DIADHN CC(C)[C@@H](NCCc1cccnc1)c1ccc(Cl)cc1F ZINC000540988967 340954653 /nfs/dbraw/zinc/95/46/53/340954653.db2.gz LWWNWYOAQHYFQO-QGZVFWFLSA-N 0 3 306.812 4.404 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@@H](CO)c1cccc(F)c1 ZINC000541026494 340956920 /nfs/dbraw/zinc/95/69/20/340956920.db2.gz YOOPMMPWZOYWSK-FQEVSTJZSA-N 0 3 309.384 4.111 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000541227905 340962806 /nfs/dbraw/zinc/96/28/06/340962806.db2.gz DDYDVFAZNLESKK-VBHSOAQHSA-N 0 3 321.823 4.318 20 0 DIADHN COCC[C@H](NC1CC(c2ccc(F)cc2)C1)c1ccc(C)o1 ZINC000541258492 340964113 /nfs/dbraw/zinc/96/41/13/340964113.db2.gz RRRRRSTWGDDXKM-VJFUWPCTSA-N 0 3 317.404 4.341 20 0 DIADHN CCc1cnc(CNCCc2cccc(Cl)c2Cl)s1 ZINC000541387777 340969304 /nfs/dbraw/zinc/96/93/04/340969304.db2.gz OLVWPXAPLCOFQJ-UHFFFAOYSA-N 0 3 315.269 4.345 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccccc3F)o2)CCO[C@@H]1C1CC1 ZINC000541535988 340973148 /nfs/dbraw/zinc/97/31/48/340973148.db2.gz ZUBXHHBRIPHIFU-MOPGFXCFSA-N 0 3 315.388 4.133 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1cc(F)ccc1F ZINC000541570265 340975159 /nfs/dbraw/zinc/97/51/59/340975159.db2.gz TWUJZPMZCMBTKT-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN C[C@@H](CNCc1coc(-c2cccs2)n1)Cc1ccccc1 ZINC000541588551 340976246 /nfs/dbraw/zinc/97/62/46/340976246.db2.gz KAKKJHRPXLXHHT-CQSZACIVSA-N 0 3 312.438 4.372 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC(CCCn2ccnc2)CC1 ZINC000542133074 340996590 /nfs/dbraw/zinc/99/65/90/340996590.db2.gz NYWFYTKKFUPJQF-MRXNPFEDSA-N 0 3 315.436 4.276 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000542158499 340997783 /nfs/dbraw/zinc/99/77/83/340997783.db2.gz CKIAWWGJKKBPMH-IXDOHACOSA-N 0 3 313.441 4.076 20 0 DIADHN C[C@@H]1CCN(CCCc2ccccc2Cl)C[C@H]1n1ccnc1 ZINC000542208777 341000285 /nfs/dbraw/zinc/00/02/85/341000285.db2.gz BLFRFDMFYFJEGO-CRAIPNDOSA-N 0 3 317.864 4.052 20 0 DIADHN Oc1ccc(C2CCN(CCc3cccc(F)c3F)CC2)cc1 ZINC000542211556 341000376 /nfs/dbraw/zinc/00/03/76/341000376.db2.gz DEXXBXIGNKAEFK-UHFFFAOYSA-N 0 3 317.379 4.093 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1ncc(C(C)C)s1 ZINC000542247600 341001895 /nfs/dbraw/zinc/00/18/95/341001895.db2.gz BCYGLRBAJTXKHG-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN COc1ccccc1[C@@H]1CCN(CCc2cccc(F)c2F)C1 ZINC000542277597 341003098 /nfs/dbraw/zinc/00/30/98/341003098.db2.gz WGVCPBCWTQJHDX-OAHLLOKOSA-N 0 3 317.379 4.005 20 0 DIADHN C[C@@H](CN(C)Cc1cncc(Br)c1)c1ccccc1 ZINC000542280156 341003411 /nfs/dbraw/zinc/00/34/11/341003411.db2.gz LYUNMXFUAXHILD-ZDUSSCGKSA-N 0 3 319.246 4.080 20 0 DIADHN Cc1cccc(C)c1OCCCN(C)C[C@H](C)c1nccs1 ZINC000542310392 341003927 /nfs/dbraw/zinc/00/39/27/341003927.db2.gz SRVSNZZSJXHNSC-INIZCTEOSA-N 0 3 318.486 4.264 20 0 DIADHN C[C@]1(NCc2cnc(C3CCCCC3)s2)CCO[C@@H]1C1CC1 ZINC000542310190 341003929 /nfs/dbraw/zinc/00/39/29/341003929.db2.gz DZCZUKIDWJOCNZ-AEFFLSMTSA-N 0 3 320.502 4.238 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc(C)c4ccccc4n3)C2)cc1 ZINC000542322766 341005151 /nfs/dbraw/zinc/00/51/51/341005151.db2.gz MSNVFXMSGDUGKI-SFHVURJKSA-N 0 3 317.436 4.236 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3nc4ccc(F)cc4o3)C2)c1 ZINC000542323096 341005205 /nfs/dbraw/zinc/00/52/05/341005205.db2.gz ZXVSTYVQMCOEOF-HNNXBMFYSA-N 0 3 310.372 4.265 20 0 DIADHN COCCOCCCCN[C@H](C)c1cc(Cl)ccc1Cl ZINC000130227079 341007404 /nfs/dbraw/zinc/00/74/04/341007404.db2.gz IBMRUCYTDCMONS-GFCCVEGCSA-N 0 3 320.260 4.087 20 0 DIADHN Fc1ccccc1OC[C@H](N[C@H]1CCSC1)c1ccccc1 ZINC000542470213 341008613 /nfs/dbraw/zinc/00/86/13/341008613.db2.gz MYBMONMJFISLAT-RDJZCZTQSA-N 0 3 317.429 4.041 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H](C)C1CCCCCC1 ZINC000542472965 341008888 /nfs/dbraw/zinc/00/88/88/341008888.db2.gz QFTPGYOHZJTJFH-HOCLYGCPSA-N 0 3 304.482 4.185 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CC=CCC2)c2cccnc2)c(F)c1 ZINC000542476269 341008930 /nfs/dbraw/zinc/00/89/30/341008930.db2.gz DPJPRGPHDZWHDA-KUHUBIRLSA-N 0 3 314.379 4.395 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCC(=O)Nc3ccccc32)cc1 ZINC000542478022 341009160 /nfs/dbraw/zinc/00/91/60/341009160.db2.gz IHXXOPALLQWQKO-GOSISDBHSA-N 0 3 324.424 4.037 20 0 DIADHN C[C@@H](N[C@@H](C)c1nnc2n1CCCCC2)C1CCCCCC1 ZINC000542479882 341009323 /nfs/dbraw/zinc/00/93/23/341009323.db2.gz ZEMCZCXEUPYQGD-CABCVRRESA-N 0 3 304.482 4.014 20 0 DIADHN Fc1ccc([C@H](NCCc2ccccc2)c2ccccn2)cc1 ZINC000542480180 341009336 /nfs/dbraw/zinc/00/93/36/341009336.db2.gz WWNBAZIEBIKOAC-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000542483645 341009409 /nfs/dbraw/zinc/00/94/09/341009409.db2.gz SGDBSYWEFPJNSD-CABCVRRESA-N 0 3 317.404 4.381 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2scnc2C)c1 ZINC000542483497 341009483 /nfs/dbraw/zinc/00/94/83/341009483.db2.gz OVABBBXAPGGTFX-WBMJQRKESA-N 0 3 302.443 4.043 20 0 DIADHN CS[C@H](CNCc1cn(C)nc1C1CCCCC1)C(C)(C)C ZINC000542484892 341009642 /nfs/dbraw/zinc/00/96/42/341009642.db2.gz YQIVORUHYCAYQH-MRXNPFEDSA-N 0 3 323.550 4.335 20 0 DIADHN CCCCCc1ccc([C@H](N[C@H](C)C[S@@](C)=O)C2CC2)cc1 ZINC000542485824 341009735 /nfs/dbraw/zinc/00/97/35/341009735.db2.gz AJSXYKIWTOECBA-QOEFQVSFSA-N 0 3 321.530 4.227 20 0 DIADHN C[C@@H](NCCCNc1cccc(F)c1)c1ccc(F)c(F)c1 ZINC000542485679 341009766 /nfs/dbraw/zinc/00/97/66/341009766.db2.gz LZRYVTOTSPHFRZ-GFCCVEGCSA-N 0 3 308.347 4.257 20 0 DIADHN C[C@@H](NC[C@@H](O)CCc1ccccc1)c1ccc(Cl)c(F)c1 ZINC000542488178 341009893 /nfs/dbraw/zinc/00/98/93/341009893.db2.gz BGVGZIFDOVUQTP-CJNGLKHVSA-N 0 3 321.823 4.123 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](SC)C(C)(C)C)c(OC)c1 ZINC000542498215 341010583 /nfs/dbraw/zinc/01/05/83/341010583.db2.gz HGMASAOUGIVVQO-WBMJQRKESA-N 0 3 311.491 4.132 20 0 DIADHN C[C@H]1C[C@H](NC[C@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000130272407 341011652 /nfs/dbraw/zinc/01/16/52/341011652.db2.gz QSSIVAOFHLRMKN-LNSITVRQSA-N 0 3 317.479 4.267 20 0 DIADHN c1ccc2c(c1)cccc2OCCN[C@@H](c1cccnc1)C1CC1 ZINC000542518928 341011785 /nfs/dbraw/zinc/01/17/85/341011785.db2.gz LBHCBXDTPRPVMG-OAQYLSRUSA-N 0 3 318.420 4.355 20 0 DIADHN CCCC[C@@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)C1CCC1 ZINC000542522346 341012067 /nfs/dbraw/zinc/01/20/67/341012067.db2.gz ULJOKYPKLHTZLG-SUMWQHHRSA-N 0 3 313.445 4.083 20 0 DIADHN COC(=O)c1oc([C@H](C)N[C@@H](C)[C@H](C)c2ccccc2)cc1C ZINC000542545714 341012999 /nfs/dbraw/zinc/01/29/99/341012999.db2.gz YETARXOTDSWECF-KKUMJFAQSA-N 0 3 315.413 4.217 20 0 DIADHN CC[C@@H](NCCc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000542665887 341016216 /nfs/dbraw/zinc/01/62/16/341016216.db2.gz SFDJNAKCVUVKTF-CQSZACIVSA-N 0 3 312.244 4.010 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](NCc3ccccc3Cl)CCO2)cc1 ZINC000542689651 341017290 /nfs/dbraw/zinc/01/72/90/341017290.db2.gz ORYNJMPMGIGZLO-AEFFLSMTSA-N 0 3 319.807 4.489 20 0 DIADHN C[C@@H](CN[C@H](C)c1nnc2n1CCCCC2)CC1CCCCC1 ZINC000542702167 341018040 /nfs/dbraw/zinc/01/80/40/341018040.db2.gz VCDXNTCSMCQMAR-HZPDHXFCSA-N 0 3 318.509 4.262 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cc(Cl)cc2c1OCC2 ZINC000542706934 341018326 /nfs/dbraw/zinc/01/83/26/341018326.db2.gz DDBIMNJVUWLNGC-NSHDSACASA-N 0 3 307.846 4.496 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc(Br)cc1 ZINC000130397886 341020686 /nfs/dbraw/zinc/02/06/86/341020686.db2.gz LOZFWRTUIPABES-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)c1ccc(F)cc1)[C@H]1CCCOC1 ZINC000542811895 341021730 /nfs/dbraw/zinc/02/17/30/341021730.db2.gz RVZRGSTZXFJJQU-DHSIGJKJSA-N 0 3 319.445 4.381 20 0 DIADHN CC(C)[C@H](N[C@H]1CCc2c[nH]nc2C1)c1ccc(Cl)cc1F ZINC000542845943 341023212 /nfs/dbraw/zinc/02/32/12/341023212.db2.gz GBVVZAOYXBTYFR-GUYCJALGSA-N 0 3 321.827 4.046 20 0 DIADHN CC[C@H](COC)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000542846759 341023357 /nfs/dbraw/zinc/02/33/57/341023357.db2.gz NLXCPYULMQDGER-ZIAGYGMSSA-N 0 3 321.408 4.124 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3coc(-c4cccc(F)c4)n3)C2)C1 ZINC000542882595 341024984 /nfs/dbraw/zinc/02/49/84/341024984.db2.gz AVBVELQYWGIYFZ-IFXJQAMLSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3coc(-c4cccc(F)c4)n3)C2)C1 ZINC000542882597 341025148 /nfs/dbraw/zinc/02/51/48/341025148.db2.gz AVBVELQYWGIYFZ-LIRRHRJNSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3ncc(-c4ccccc4F)o3)C2)C1 ZINC000542884213 341025177 /nfs/dbraw/zinc/02/51/77/341025177.db2.gz UUGHQMMWTNHCMG-AUUYWEPGSA-N 0 3 314.404 4.493 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000542883151 341025221 /nfs/dbraw/zinc/02/52/21/341025221.db2.gz JWOYLAKHPYVLRM-GQIGUUNPSA-N 0 3 314.473 4.088 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3ncc(-c4ccccc4F)o3)C2)C1 ZINC000542884221 341025291 /nfs/dbraw/zinc/02/52/91/341025291.db2.gz UUGHQMMWTNHCMG-LIRRHRJNSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2c2ccnc3ccccc32)C1 ZINC000542937067 341026788 /nfs/dbraw/zinc/02/67/88/341026788.db2.gz ZYHXFLOXCMGMNE-ODZKNYGOSA-N 0 3 310.441 4.001 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccoc3)n2)[C@H]2CCCC[C@H]12 ZINC000542972143 341027580 /nfs/dbraw/zinc/02/75/80/341027580.db2.gz KBJUKLWVDWQAEE-WQVCFCJDSA-N 0 3 302.443 4.414 20 0 DIADHN CO[C@@H](C)CN[C@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000542978097 341027720 /nfs/dbraw/zinc/02/77/20/341027720.db2.gz VJDYXQXNGFSMIQ-XPTSAGLGSA-N 0 3 321.770 4.434 20 0 DIADHN CO[C@H](C)CN[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000542980664 341027762 /nfs/dbraw/zinc/02/77/62/341027762.db2.gz JWYRUYMRTXYXTP-KGLIPLIRSA-N 0 3 303.377 4.304 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc12)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000543015307 341028352 /nfs/dbraw/zinc/02/83/52/341028352.db2.gz WVGKOGXUBFGXPW-CPUCHLNUSA-N 0 3 323.440 4.390 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc12)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000543015309 341028372 /nfs/dbraw/zinc/02/83/72/341028372.db2.gz WVGKOGXUBFGXPW-VBQJREDUSA-N 0 3 323.440 4.390 20 0 DIADHN CC(C)CN(Cc1ncc(Br)s1)C1CCCC1 ZINC000543044768 341029287 /nfs/dbraw/zinc/02/92/87/341029287.db2.gz AQHRCHISFMAGFC-UHFFFAOYSA-N 0 3 317.296 4.306 20 0 DIADHN CCc1cnccc1[C@@H](C)NCCOc1ccc(SC)cc1 ZINC000543052384 341029445 /nfs/dbraw/zinc/02/94/45/341029445.db2.gz MPGLNILDEBURJL-CQSZACIVSA-N 0 3 316.470 4.096 20 0 DIADHN CC(C)n1ccc(C[C@H](C)NCc2ccc(-c3ccccc3)o2)n1 ZINC000543069356 341030379 /nfs/dbraw/zinc/03/03/79/341030379.db2.gz NNRBTDBRWLSJPJ-INIZCTEOSA-N 0 3 323.440 4.445 20 0 DIADHN Fc1cccc(-c2ccc(CN3CCOCC4(CC4)C3)s2)c1 ZINC000543103758 341032152 /nfs/dbraw/zinc/03/21/52/341032152.db2.gz REJZWXAOZZWXDP-UHFFFAOYSA-N 0 3 317.429 4.167 20 0 DIADHN C[C@H]1c2ccsc2CCN1CCCCC(=O)OC(C)(C)C ZINC000543139085 341033700 /nfs/dbraw/zinc/03/37/00/341033700.db2.gz KKVOEAHFRHCVJP-ZDUSSCGKSA-N 0 3 309.475 4.179 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N(C)C[C@@H]2CC=CCC2)n1 ZINC000543182524 341035598 /nfs/dbraw/zinc/03/55/98/341035598.db2.gz WDKXPDXGYWCKLK-JKSUJKDBSA-N 0 3 311.429 4.394 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N(C)C[C@H]2CC=CCC2)n1 ZINC000543182521 341035748 /nfs/dbraw/zinc/03/57/48/341035748.db2.gz WDKXPDXGYWCKLK-CVEARBPZSA-N 0 3 311.429 4.394 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CCCCC(=O)OC(C)(C)C ZINC000543208854 341036814 /nfs/dbraw/zinc/03/68/14/341036814.db2.gz HLRKGJDZRDAJLP-KRWDZBQOSA-N 0 3 320.477 4.064 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCc1ccccc1Cl ZINC000130652396 341037102 /nfs/dbraw/zinc/03/71/02/341037102.db2.gz CCQFKZWKKLCCMC-MRXNPFEDSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H]1C[C@H](CCNCc2cccc(Cl)c2Cl)CCO1 ZINC000543230676 341037359 /nfs/dbraw/zinc/03/73/59/341037359.db2.gz RHXJCOSADHDZGG-NWDGAFQWSA-N 0 3 302.245 4.288 20 0 DIADHN CS[C@H](CNCc1csc(-c2ccccn2)n1)C(C)(C)C ZINC000543236833 341037429 /nfs/dbraw/zinc/03/74/29/341037429.db2.gz CRGHKCGKPGXQMX-CQSZACIVSA-N 0 3 321.515 4.072 20 0 DIADHN CC(C)c1ncc(CNCCc2ccc(F)c(F)c2F)s1 ZINC000543238027 341037554 /nfs/dbraw/zinc/03/75/54/341037554.db2.gz IDMQFEWLTIVZDW-UHFFFAOYSA-N 0 3 314.376 4.016 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H]3CCCCS3)cs2)cc1 ZINC000543238618 341037611 /nfs/dbraw/zinc/03/76/11/341037611.db2.gz JQAATNUQTJYCPS-MRXNPFEDSA-N 0 3 318.511 4.494 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@]2(C)CCO[C@@H]2C)o1 ZINC000543243183 341037752 /nfs/dbraw/zinc/03/77/52/341037752.db2.gz HVJKFSJIPXCOCA-FZKQIMNGSA-N 0 3 303.377 4.051 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@H](C(C)(C)C)C2)n1 ZINC000543247945 341038029 /nfs/dbraw/zinc/03/80/29/341038029.db2.gz KAHKJGDGDBFAHK-CABCVRRESA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3nc4cc(F)ccc4o3)C2)c1 ZINC000543252282 341038437 /nfs/dbraw/zinc/03/84/37/341038437.db2.gz JOXRXNQBOCAJGN-HNNXBMFYSA-N 0 3 310.372 4.265 20 0 DIADHN COc1ccc([C@H](C)NCCCNc2ccccc2F)c(F)c1 ZINC000543417198 341043339 /nfs/dbraw/zinc/04/33/39/341043339.db2.gz DLYUBSHWPNLUIL-ZDUSSCGKSA-N 0 3 320.383 4.126 20 0 DIADHN CCC[C@@H](NCCCNc1ccccc1F)c1ccccn1 ZINC000543416593 341043411 /nfs/dbraw/zinc/04/34/11/341043411.db2.gz PLFRIESFWPGRRU-QGZVFWFLSA-N 0 3 301.409 4.154 20 0 DIADHN C[C@@H](NC[C@H]1CCCCS1)c1ccc(F)cc1OC(F)F ZINC000543425715 341043842 /nfs/dbraw/zinc/04/38/42/341043842.db2.gz DHPIGAOLRPYUGN-ZYHUDNBSSA-N 0 3 319.392 4.363 20 0 DIADHN c1coc([C@@H](NCCc2c[nH]c3ncccc23)c2ccccc2)c1 ZINC000543423039 341043810 /nfs/dbraw/zinc/04/38/10/341043810.db2.gz RBYRJRSXAOBTPY-IBGZPJMESA-N 0 3 317.392 4.078 20 0 DIADHN CS[C@@H](CN[C@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000543426471 341044109 /nfs/dbraw/zinc/04/41/09/341044109.db2.gz BYCUGSGLXYCODD-RISCZKNCSA-N 0 3 312.548 4.450 20 0 DIADHN Cc1ccc([C@H]2CSCCN2CCCc2ccncc2)cc1 ZINC000543444760 341044880 /nfs/dbraw/zinc/04/48/80/341044880.db2.gz ZTDRQTBTDWOGIZ-LJQANCHMSA-N 0 3 312.482 4.113 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2CCO[C@@H]2CCCCO2)o1 ZINC000543445028 341044935 /nfs/dbraw/zinc/04/49/35/341044935.db2.gz SJJJRCKNKAAWLD-SJLPKXTDSA-N 0 3 307.434 4.048 20 0 DIADHN C[C@@H]([C@H](C)NCc1nc(-c2ccco2)no1)C1CCCCC1 ZINC000543460994 341045948 /nfs/dbraw/zinc/04/59/48/341045948.db2.gz TWHCIOIPNDCUNM-STQMWFEESA-N 0 3 303.406 4.024 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000543484745 341046776 /nfs/dbraw/zinc/04/67/76/341046776.db2.gz IBCFXVBYKOZAFN-RRFJBIMHSA-N 0 3 310.507 4.118 20 0 DIADHN c1ccc(CC[C@H]2CN(c3ccnc4ccccc43)CCO2)cc1 ZINC000543483637 341046798 /nfs/dbraw/zinc/04/67/98/341046798.db2.gz UYIXBWCGJVHEAS-SFHVURJKSA-N 0 3 318.420 4.073 20 0 DIADHN CC(C)C[C@@H](NCC[S@@](=O)c1ccccc1)c1cccs1 ZINC000543484628 341046877 /nfs/dbraw/zinc/04/68/77/341046877.db2.gz CZUURYSSCKPNSH-IIBYNOLFSA-N 0 3 321.511 4.233 20 0 DIADHN CC[C@@H](NC[C@@H]1CCc2ccccc2C1)c1nc(C)cs1 ZINC000543486076 341047035 /nfs/dbraw/zinc/04/70/35/341047035.db2.gz SDDGNDLWFGEWRO-RHSMWYFYSA-N 0 3 300.471 4.297 20 0 DIADHN C[C@H](NC(C)(C)c1cccs1)C(=O)Nc1cccc(Cl)c1 ZINC000543504059 341047834 /nfs/dbraw/zinc/04/78/34/341047834.db2.gz FMSLANMRWRPAFI-NSHDSACASA-N 0 3 322.861 4.253 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NCC2CCC(C)CC2)nc1C ZINC000543544122 341048775 /nfs/dbraw/zinc/04/87/75/341048775.db2.gz VDNPASFHOVSMMW-QRMWWUJWSA-N 0 3 324.490 4.105 20 0 DIADHN Cc1nc(CNC2(Cc3ccccc3)CCCC2)sc1C ZINC000543553338 341048804 /nfs/dbraw/zinc/04/88/04/341048804.db2.gz MWOJYUYMXJQYIY-UHFFFAOYSA-N 0 3 300.471 4.405 20 0 DIADHN C[C@H](CN[C@H](c1ccccc1)c1cncnc1)Cc1cccs1 ZINC000543592205 341049681 /nfs/dbraw/zinc/04/96/81/341049681.db2.gz UPDZOEYPWBZILI-HNAYVOBHSA-N 0 3 323.465 4.096 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000543592403 341049787 /nfs/dbraw/zinc/04/97/87/341049787.db2.gz TYLLRLLACOYVCO-MOPGFXCFSA-N 0 3 315.844 4.349 20 0 DIADHN Cc1cc(C)cc(CCN[C@@H](c2ccccc2)c2cncnc2)c1 ZINC000543594693 341049944 /nfs/dbraw/zinc/04/99/44/341049944.db2.gz UQUKDDIAZRRDJS-NRFANRHFSA-N 0 3 317.436 4.015 20 0 DIADHN Cc1ccc([C@@H](NCCc2sc(C)nc2C)C2CCC2)o1 ZINC000543600923 341050566 /nfs/dbraw/zinc/05/05/66/341050566.db2.gz DMPJMQTVKLMHPW-KRWDZBQOSA-N 0 3 304.459 4.335 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000543630765 341052069 /nfs/dbraw/zinc/05/20/69/341052069.db2.gz ARYJYQROUZYSDW-QWRGUYRKSA-N 0 3 305.315 4.140 20 0 DIADHN C[C@H](CCc1cccc(C(F)(F)F)c1)N[C@H](C)c1ccon1 ZINC000543628688 341052098 /nfs/dbraw/zinc/05/20/98/341052098.db2.gz WBGRYJZQHKMKKC-VXGBXAGGSA-N 0 3 312.335 4.365 20 0 DIADHN CC(C)(C)CCN1CCN(C/C=C\c2ccc(F)cc2F)CC1 ZINC000543631821 341052278 /nfs/dbraw/zinc/05/22/78/341052278.db2.gz MRFNMCORXKVJAX-PLNGDYQASA-N 0 3 322.443 4.032 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc(NC(N)=O)cc1 ZINC000130884352 341052679 /nfs/dbraw/zinc/05/26/79/341052679.db2.gz DBNYLBOQCHOCKA-CYBMUJFWSA-N 0 3 323.396 4.083 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc(NC(N)=O)cc1 ZINC000130884549 341052683 /nfs/dbraw/zinc/05/26/83/341052683.db2.gz DBNYLBOQCHOCKA-ZDUSSCGKSA-N 0 3 323.396 4.083 20 0 DIADHN CC[C@H]1C[C@H](CNCc2cccc(Cl)c2Cl)CCO1 ZINC000543728506 341055451 /nfs/dbraw/zinc/05/54/51/341055451.db2.gz AYYPHPDCQXPBHB-YPMHNXCESA-N 0 3 302.245 4.288 20 0 DIADHN CC[C@H]1C[C@@H](CNCc2cccc(Cl)c2Cl)CCO1 ZINC000543728503 341055530 /nfs/dbraw/zinc/05/55/30/341055530.db2.gz AYYPHPDCQXPBHB-AAEUAGOBSA-N 0 3 302.245 4.288 20 0 DIADHN CCC[C@H](O)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131027144 341056677 /nfs/dbraw/zinc/05/66/77/341056677.db2.gz KTEXVXDMAPKEGE-BDAKNGLRSA-N 0 3 310.652 4.458 20 0 DIADHN COC(C)(C)CN[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000543775331 341058191 /nfs/dbraw/zinc/05/81/91/341058191.db2.gz KBPLDPHOZGGPOK-ZDUSSCGKSA-N 0 3 321.408 4.124 20 0 DIADHN OC[C@H](NCCCSc1ccccc1Cl)c1ccccc1 ZINC000543809303 341060002 /nfs/dbraw/zinc/06/00/02/341060002.db2.gz MQHFTXBHYHDTMW-INIZCTEOSA-N 0 3 321.873 4.145 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N(C)C[C@@H](C)OC)cc1 ZINC000543857285 341061874 /nfs/dbraw/zinc/06/18/74/341061874.db2.gz CTRIYKRDXPYKNO-CVEARBPZSA-N 0 3 313.441 4.390 20 0 DIADHN C[C@H](NCCOc1c(Cl)cccc1Cl)c1cccnc1 ZINC000543981390 341064882 /nfs/dbraw/zinc/06/48/82/341064882.db2.gz SHKCCASSJMHBLJ-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN COCC[C@H](N[C@@H](C)Cc1ccccc1SC)c1ccco1 ZINC000544039807 341067637 /nfs/dbraw/zinc/06/76/37/341067637.db2.gz QJHRMBDIMNSCNQ-HOCLYGCPSA-N 0 3 319.470 4.300 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)nn1)C[C@H]1CC=CCC1 ZINC000544106464 341070317 /nfs/dbraw/zinc/07/03/17/341070317.db2.gz MESLUVADFZREMW-INIZCTEOSA-N 0 3 309.413 4.057 20 0 DIADHN CC(C)[C@H](O)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131261962 341071054 /nfs/dbraw/zinc/07/10/54/341071054.db2.gz NHAITSGKSXMWDV-GZMMTYOYSA-N 0 3 310.652 4.314 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCc2ccc(F)c(F)c2)o1 ZINC000131275721 341072501 /nfs/dbraw/zinc/07/25/01/341072501.db2.gz URZIJKFQNWBFIN-KRWDZBQOSA-N 0 3 321.367 4.084 20 0 DIADHN CS[C@H](CNCc1cn(-c2ccccc2)nc1C)C(C)(C)C ZINC000544175488 341072970 /nfs/dbraw/zinc/07/29/70/341072970.db2.gz WLTQBLLPIWAWKX-QGZVFWFLSA-N 0 3 317.502 4.048 20 0 DIADHN c1nc(-c2ccccc2)sc1CNC[C@@H]1CCCCS1 ZINC000544179254 341073027 /nfs/dbraw/zinc/07/30/27/341073027.db2.gz OHOBAJZEXHVAOI-AWEZNQCLSA-N 0 3 304.484 4.185 20 0 DIADHN Fc1ccc(CCNCc2cc3ccccc3o2)c(F)c1F ZINC000544179943 341073097 /nfs/dbraw/zinc/07/30/97/341073097.db2.gz UJBIUNVHQZZQAW-UHFFFAOYSA-N 0 3 305.299 4.182 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2csc(-c3ccccn3)n2)C1 ZINC000544183026 341073213 /nfs/dbraw/zinc/07/32/13/341073213.db2.gz CEHLSPGXLMJHMF-CYBMUJFWSA-N 0 3 301.459 4.073 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000544186276 341073454 /nfs/dbraw/zinc/07/34/54/341073454.db2.gz VIGRPNQUDJXRJV-CYBMUJFWSA-N 0 3 320.383 4.488 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cn(C)nc1C1CCCCC1 ZINC000544347963 341077230 /nfs/dbraw/zinc/07/72/30/341077230.db2.gz BTMKRPBYPCRMKI-OAHLLOKOSA-N 0 3 323.550 4.431 20 0 DIADHN CC(C)[C@H](C)CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000544359298 341077911 /nfs/dbraw/zinc/07/79/11/341077911.db2.gz MEZZKXWEHKOHKK-ACJLOTCBSA-N 0 3 304.384 4.331 20 0 DIADHN C[C@@]1(CN[C@@H](c2ccc(F)cc2)c2cccnc2)CCCS1 ZINC000544360792 341078100 /nfs/dbraw/zinc/07/81/00/341078100.db2.gz RKCPUADQPVUPOY-ROUUACIJSA-N 0 3 316.445 4.185 20 0 DIADHN COc1c(O)cccc1CN1CC[C@H](c2cc(C)cc(C)c2)C1 ZINC000544365131 341078303 /nfs/dbraw/zinc/07/83/03/341078303.db2.gz IPGZGGDCBROZIU-INIZCTEOSA-N 0 3 311.425 4.007 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCC(F)(F)C2)c2cccnc2)cc1 ZINC000544380622 341079268 /nfs/dbraw/zinc/07/92/68/341079268.db2.gz QJYOLXUIFYJSBT-SJORKVTESA-N 0 3 320.358 4.478 20 0 DIADHN Fc1ccc([C@H](NCC23CCC(CC2)C3)c2cccnc2)cc1 ZINC000544380477 341079298 /nfs/dbraw/zinc/07/92/98/341079298.db2.gz LUEJPEUTPHBLGR-YHDJDMAPSA-N 0 3 310.416 4.480 20 0 DIADHN Cc1ccccc1CCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000544381395 341079319 /nfs/dbraw/zinc/07/93/19/341079319.db2.gz PFQDXQYFOKEGFC-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN COCc1ccc([C@H]2CCCN2[C@@H](C)c2cccc(OC)c2)o1 ZINC000131364032 341081533 /nfs/dbraw/zinc/08/15/33/341081533.db2.gz OLXRSSDBMWZNQC-KBXCAEBGSA-N 0 3 315.413 4.333 20 0 DIADHN COC[C@H](NCc1c(OC(C)C)ccc2ccccc21)C1CC1 ZINC000544437550 341082091 /nfs/dbraw/zinc/08/20/91/341082091.db2.gz DCTJTURXFQFGHS-IBGZPJMESA-N 0 3 313.441 4.142 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)CCC(C)(C)C)c(CN(C)C)c1 ZINC000544443434 341082361 /nfs/dbraw/zinc/08/23/61/341082361.db2.gz HYQQOBAOCMSMJC-OAHLLOKOSA-N 0 3 304.478 4.458 20 0 DIADHN COC[C@@H](NCCCSCc1ccccc1)c1ccc(C)o1 ZINC000544450032 341082541 /nfs/dbraw/zinc/08/25/41/341082541.db2.gz UNKSXMSATJPSTJ-QGZVFWFLSA-N 0 3 319.470 4.189 20 0 DIADHN CC(C)C[C@H](N[C@H](C)C(=O)Nc1ccccc1)c1cccs1 ZINC000544448437 341082671 /nfs/dbraw/zinc/08/26/71/341082671.db2.gz UHBPFSGNQHWLRB-ZBFHGGJFSA-N 0 3 316.470 4.452 20 0 DIADHN CC(C)c1ccccc1NC(=O)CNC(C)(C)c1cccs1 ZINC000544471654 341083622 /nfs/dbraw/zinc/08/36/22/341083622.db2.gz XXNBOGAWZDVCGT-UHFFFAOYSA-N 0 3 316.470 4.335 20 0 DIADHN COCC[C@@H](NCCCc1ccccc1C)c1ccc(C)o1 ZINC000544472783 341083645 /nfs/dbraw/zinc/08/36/45/341083645.db2.gz DUJMQOJTZADTKO-GOSISDBHSA-N 0 3 301.430 4.196 20 0 DIADHN C[C@H](NCc1ccc(OCC2CC2)nc1)c1ccc(Cl)cc1 ZINC000131392654 341084291 /nfs/dbraw/zinc/08/42/91/341084291.db2.gz GMCFVJHBWROYTA-ZDUSSCGKSA-N 0 3 316.832 4.375 20 0 DIADHN C[C@H](NCC1CCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000544519218 341084766 /nfs/dbraw/zinc/08/47/66/341084766.db2.gz KLMSJWRJZPLINW-LBPRGKRZSA-N 0 3 303.381 4.107 20 0 DIADHN C[C@@H](NCC1CCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000544519216 341084819 /nfs/dbraw/zinc/08/48/19/341084819.db2.gz KLMSJWRJZPLINW-GFCCVEGCSA-N 0 3 303.381 4.107 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](c2ccc(C)o2)C2CCC2)cc1 ZINC000544567881 341086033 /nfs/dbraw/zinc/08/60/33/341086033.db2.gz JWNULTHGXWZMIW-IBGZPJMESA-N 0 3 312.413 4.177 20 0 DIADHN Cc1cccc([C@@H](C)CN[C@@H](c2ccccc2)c2cncnc2)c1 ZINC000544570525 341086344 /nfs/dbraw/zinc/08/63/44/341086344.db2.gz XVZXMCHQXCLFAH-UWJYYQICSA-N 0 3 317.436 4.268 20 0 DIADHN CC[C@@H](NCC(C)(C)c1ccc(Cl)cc1)c1ccn(C)n1 ZINC000544576559 341086788 /nfs/dbraw/zinc/08/67/88/341086788.db2.gz OQNDGWZQZSDJJW-OAHLLOKOSA-N 0 3 305.853 4.092 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(-n3cccn3)cc2)C2CCC2)o1 ZINC000544580363 341087101 /nfs/dbraw/zinc/08/71/01/341087101.db2.gz RQTTVOXFEJEIAF-FQEVSTJZSA-N 0 3 321.424 4.405 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](NCc3ccc(F)cc3F)CCO2)cc1 ZINC000544595592 341087833 /nfs/dbraw/zinc/08/78/33/341087833.db2.gz AVQSWSBERIERCX-FUHWJXTLSA-N 0 3 321.342 4.114 20 0 DIADHN C[C@H](CN(C)Cc1ccccn1)c1cccc(Br)c1 ZINC000544597441 341087864 /nfs/dbraw/zinc/08/78/64/341087864.db2.gz HMOUHVAODBQBTD-CYBMUJFWSA-N 0 3 319.246 4.080 20 0 DIADHN CCc1ccc(CN(C)[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000544625215 341089826 /nfs/dbraw/zinc/08/98/26/341089826.db2.gz CFKSRYIZTOGFNI-VQIMIIECSA-N 0 3 317.404 4.333 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCc3ccc(F)cc32)ccc1F ZINC000131466557 341090448 /nfs/dbraw/zinc/09/04/48/341090448.db2.gz POABCJHDOLRRRF-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(Br)cc2)cn1 ZINC000544709866 341091607 /nfs/dbraw/zinc/09/16/07/341091607.db2.gz LJNRUOPBKBNBEB-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccnc(N3CCCC3)c2)cc1C ZINC000131523729 341093824 /nfs/dbraw/zinc/09/38/24/341093824.db2.gz BUAWWLLCSMCAQC-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000544829936 341095204 /nfs/dbraw/zinc/09/52/04/341095204.db2.gz RCWBUCGWSJWTSS-OCCSQVGLSA-N 0 3 311.351 4.254 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H]1CCCc2cc(OC)ccc21 ZINC000544829034 341095242 /nfs/dbraw/zinc/09/52/42/341095242.db2.gz DKJYDSVDJYUEAW-DOMZBBRYSA-N 0 3 301.352 4.393 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc(F)cc1N(C)C ZINC000544833138 341095593 /nfs/dbraw/zinc/09/55/93/341095593.db2.gz PYRFBBUHXZFHBX-JQWIXIFHSA-N 0 3 306.347 4.273 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2c(C)cccc2C)s1 ZINC000544893877 341097787 /nfs/dbraw/zinc/09/77/87/341097787.db2.gz HIOWMUJNNLZSOQ-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@@H](C)c2c(C)cccc2C)c1 ZINC000544895390 341097923 /nfs/dbraw/zinc/09/79/23/341097923.db2.gz AZCIGWSYNMYHTQ-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)C(=O)N(C)Cc1ccccc1 ZINC000544895422 341097957 /nfs/dbraw/zinc/09/79/57/341097957.db2.gz CEGOYOPQTIMPIJ-ROUUACIJSA-N 0 3 324.468 4.001 20 0 DIADHN CC(C)[C@@H](NCc1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000072727063 341101661 /nfs/dbraw/zinc/10/16/61/341101661.db2.gz QTWQZBKENDLMAA-LJQANCHMSA-N 0 3 315.388 4.084 20 0 DIADHN CN(Cc1ccc(C(F)F)cc1)Cc1ccc2c(c1)OCCO2 ZINC000545187252 341112376 /nfs/dbraw/zinc/11/23/76/341112376.db2.gz YDRQNDNRBLREMQ-UHFFFAOYSA-N 0 3 319.351 4.027 20 0 DIADHN C[C@@H](NCCC(c1ccccc1)c1ccccc1)c1cnccn1 ZINC000131837916 341112483 /nfs/dbraw/zinc/11/24/83/341112483.db2.gz HDYYBLDPIZFQSU-QGZVFWFLSA-N 0 3 317.436 4.349 20 0 DIADHN CCc1ccc(CNCCc2coc(-c3ccc(C)cc3)n2)o1 ZINC000132081352 341116054 /nfs/dbraw/zinc/11/60/54/341116054.db2.gz SLVXWGXWQTWIRP-UHFFFAOYSA-N 0 3 310.397 4.138 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H](C)Cc1ccccc1 ZINC000132113361 341116669 /nfs/dbraw/zinc/11/66/69/341116669.db2.gz OCXRZTHPYJPPCS-INIZCTEOSA-N 0 3 324.468 4.053 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccnn2-c2ccccc2)c(C)c1 ZINC000545274865 341118509 /nfs/dbraw/zinc/11/85/09/341118509.db2.gz AXUXJKDFGMXQSC-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1cc(CN2CCC[C@H](C(F)(F)F)C2)cc2cccnc12 ZINC000545278769 341118925 /nfs/dbraw/zinc/11/89/25/341118925.db2.gz OWXZYYHWETWXRU-HNNXBMFYSA-N 0 3 308.347 4.318 20 0 DIADHN COCc1ccc(CN2CC[C@H](c3c(F)cccc3F)C2)cc1 ZINC000545303651 341120137 /nfs/dbraw/zinc/12/01/37/341120137.db2.gz XJSVBCQWICKJNZ-INIZCTEOSA-N 0 3 317.379 4.101 20 0 DIADHN FC(F)(F)C1CCC(CN(Cc2ccccn2)C2CC2)CC1 ZINC000545322787 341121489 /nfs/dbraw/zinc/12/14/89/341121489.db2.gz CCOJKUXGTDLQBF-UHFFFAOYSA-N 0 3 312.379 4.415 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3ccncc3Cl)C2)c1 ZINC000545322359 341121500 /nfs/dbraw/zinc/12/15/00/341121500.db2.gz UWQNVGKGBHANJT-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN COc1ccc([C@@H](C)NCc2scnc2C)c2ccccc12 ZINC000132374002 341121612 /nfs/dbraw/zinc/12/16/12/341121612.db2.gz OYMTYOPTQIHJOY-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc(OC)cc1OC ZINC000545457552 341125882 /nfs/dbraw/zinc/12/58/82/341125882.db2.gz GGYNGSNYOGFOHP-QWRGUYRKSA-N 0 3 305.340 4.085 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cccc2c1OCCCO2 ZINC000545467868 341126475 /nfs/dbraw/zinc/12/64/75/341126475.db2.gz LQRHDCJWVYEFDF-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ccc2nccc(N3CCC(Cc4cccnc4)CC3)c2c1 ZINC000545472433 341126556 /nfs/dbraw/zinc/12/65/56/341126556.db2.gz BMPJXWSWEUJUPO-UHFFFAOYSA-N 0 3 317.436 4.397 20 0 DIADHN CC[C@]1(C)C[C@@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545531835 341129135 /nfs/dbraw/zinc/12/91/35/341129135.db2.gz FEQGYZGMFALVTR-VQIMIIECSA-N 0 3 311.429 4.236 20 0 DIADHN CC[C@H](N[C@@H](CCO)C(C)(C)C)c1cc(Cl)ccc1OC ZINC000545599194 341132543 /nfs/dbraw/zinc/13/25/43/341132543.db2.gz CHJTWINYIQRHCW-HOCLYGCPSA-N 0 3 313.869 4.186 20 0 DIADHN Clc1cccc2c1SCC[C@@H]2NCCOCC1CCC1 ZINC000564292581 341132782 /nfs/dbraw/zinc/13/27/82/341132782.db2.gz ANEPLWPYIFVPJZ-HNNXBMFYSA-N 0 3 311.878 4.283 20 0 DIADHN COc1ccccc1CN(CCCCC(F)(F)F)C1CC1 ZINC000132628834 341133381 /nfs/dbraw/zinc/13/33/81/341133381.db2.gz MFYAPVMUBSLTIW-UHFFFAOYSA-N 0 3 301.352 4.392 20 0 DIADHN COc1ccc(CN[C@]2(C)CCCc3ccccc32)c(OC)c1 ZINC000545871977 341143744 /nfs/dbraw/zinc/14/37/44/341143744.db2.gz YLTIHCAWVWGYSK-HXUWFJFHSA-N 0 3 311.425 4.045 20 0 DIADHN CC1(CO)CCN(Cc2ccc(-c3ccc(F)cc3)s2)CC1 ZINC000545874949 341143855 /nfs/dbraw/zinc/14/38/55/341143855.db2.gz YJUSYYBLKXUDRA-UHFFFAOYSA-N 0 3 319.445 4.149 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCCOc2ccc(F)cc2)C1 ZINC000545884478 341144003 /nfs/dbraw/zinc/14/40/03/341144003.db2.gz BESVETNRMVNBBB-DNVCBOLYSA-N 0 3 314.404 4.073 20 0 DIADHN FC(F)(F)COc1ccc(CN2CCC[C@@H]2c2ccc[nH]2)cc1 ZINC000132754576 341144708 /nfs/dbraw/zinc/14/47/08/341144708.db2.gz JWFKHCHFWASGOH-MRXNPFEDSA-N 0 3 324.346 4.293 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC([C@@](C)(O)C(F)(F)F)CC2)c1 ZINC000545931987 341146963 /nfs/dbraw/zinc/14/69/63/341146963.db2.gz FZMNBASBHWXNHQ-CZUORRHYSA-N 0 3 315.379 4.081 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cn(C(C)(C)C)nc2C)C[C@H]1OC ZINC000545943548 341147686 /nfs/dbraw/zinc/14/76/86/341147686.db2.gz PWBALEMBIOTLDG-KBRIMQKVSA-N 0 3 321.509 4.191 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cn(C(C)(C)C)nc2C)C[C@H]1OC ZINC000545943547 341147746 /nfs/dbraw/zinc/14/77/46/341147746.db2.gz PWBALEMBIOTLDG-IAOVAPTHSA-N 0 3 321.509 4.191 20 0 DIADHN COCC[C@H](C)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000545954158 341148254 /nfs/dbraw/zinc/14/82/54/341148254.db2.gz RNKFGCGRPZFBAM-KSSFIOAISA-N 0 3 303.833 4.444 20 0 DIADHN C[C@@H](CCN[C@@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000545978406 341149178 /nfs/dbraw/zinc/14/91/78/341149178.db2.gz KGWAXMBNYVCIEB-UWJYYQICSA-N 0 3 317.436 4.349 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H]2CCc3ccccc3C2)cs1 ZINC000545985202 341149663 /nfs/dbraw/zinc/14/96/63/341149663.db2.gz RMFSNQIYWJCEMK-CJNGLKHVSA-N 0 3 300.471 4.475 20 0 DIADHN CCC(CC)([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000564299833 341153864 /nfs/dbraw/zinc/15/38/64/341153864.db2.gz ZUHVRZQGQUZFDB-UHFFFAOYSA-N 0 3 304.821 4.246 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000546166086 341155441 /nfs/dbraw/zinc/15/54/41/341155441.db2.gz JBKZYYQDNGCYTA-AAEUAGOBSA-N 0 3 317.351 4.230 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc(OCCOC)cc1 ZINC000546167988 341155634 /nfs/dbraw/zinc/15/56/34/341155634.db2.gz YEZHBLNAVYWVKT-GXTWGEPZSA-N 0 3 319.367 4.093 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(OC(C)C)c(OC)c1 ZINC000546170997 341155875 /nfs/dbraw/zinc/15/58/75/341155875.db2.gz ZTTXDQNZKNPSPU-CYBMUJFWSA-N 0 3 319.367 4.303 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000546228615 341158193 /nfs/dbraw/zinc/15/81/93/341158193.db2.gz NFXONCAFVGCUFC-CQSZACIVSA-N 0 3 322.880 4.406 20 0 DIADHN c1ccc2c(c1)nc(CN[C@@H]1CCCC[C@@H]1C1CC1)n2C1CC1 ZINC000546266297 341160245 /nfs/dbraw/zinc/16/02/45/341160245.db2.gz RUZCUBWMTZYYKE-IAGOWNOFSA-N 0 3 309.457 4.430 20 0 DIADHN COc1ccc(OC)c(CN[C@@]2(C)CCCc3ccccc32)c1 ZINC000546581602 341173510 /nfs/dbraw/zinc/17/35/10/341173510.db2.gz GBGAXLDPAVWTPF-FQEVSTJZSA-N 0 3 311.425 4.045 20 0 DIADHN CC1(C)CCC(C)(C[NH2+][C@@H](c2cccs2)c2nnc[n-]2)CC1 ZINC000564305684 341175639 /nfs/dbraw/zinc/17/56/39/341175639.db2.gz RNRFDDPQBXILEK-AWEZNQCLSA-N 0 3 318.490 4.152 20 0 DIADHN CC1(C)CCC(C)(CN[C@@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000564305684 341175640 /nfs/dbraw/zinc/17/56/40/341175640.db2.gz RNRFDDPQBXILEK-AWEZNQCLSA-N 0 3 318.490 4.152 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@@H](C)[C@@H]1CCCO1 ZINC000546649733 341177196 /nfs/dbraw/zinc/17/71/96/341177196.db2.gz FGNKLUCPEKVEBU-KXBFYZLASA-N 0 3 313.441 4.284 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccnc3ccccc23)o1 ZINC000546657967 341177642 /nfs/dbraw/zinc/17/76/42/341177642.db2.gz SQKOFZUFVSJQOR-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN CCCn1nc(C)c(CNC2CC(C)(c3ccccc3)C2)c1C ZINC000546668057 341178130 /nfs/dbraw/zinc/17/81/30/341178130.db2.gz XLFSHFKWMSGFFT-UHFFFAOYSA-N 0 3 311.473 4.120 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(CCC(C)C)C2CC2)s1 ZINC000546808450 341182988 /nfs/dbraw/zinc/18/29/88/341182988.db2.gz ZJWOGPNLCOWNLW-UHFFFAOYSA-N 0 3 306.397 4.481 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000546935520 341187460 /nfs/dbraw/zinc/18/74/60/341187460.db2.gz PEIWVRGSMQIBBO-SQNIBIBYSA-N 0 3 324.468 4.220 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cncc(Br)c1 ZINC000546936157 341187528 /nfs/dbraw/zinc/18/75/28/341187528.db2.gz WNFPFMXMUBIIRL-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CCC1(c2nc(-c3ccccc3CN3CCCCC3)no2)CC1 ZINC000547110051 341196447 /nfs/dbraw/zinc/19/64/47/341196447.db2.gz IQVYUOWBAQUJJL-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2CN2CCCCC2)no1)C1CC1 ZINC000547115810 341196563 /nfs/dbraw/zinc/19/65/63/341196563.db2.gz SZQSACIUJDTHAB-CQSZACIVSA-N 0 3 311.429 4.236 20 0 DIADHN CC(C)CCc1noc(C2CCN(Cc3ccccc3)CC2)n1 ZINC000547114487 341196591 /nfs/dbraw/zinc/19/65/91/341196591.db2.gz INVTWCLTMAHLRA-UHFFFAOYSA-N 0 3 313.445 4.038 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H]2CCC[C@H]2c2ccccc2)c(C)c1 ZINC000133896690 341198039 /nfs/dbraw/zinc/19/80/39/341198039.db2.gz UKLOYZHTBIFLDU-AZUAARDMSA-N 0 3 322.452 4.168 20 0 DIADHN CCC1(CNCc2ncc(Br)s2)CCCCC1 ZINC000547273225 341203227 /nfs/dbraw/zinc/20/32/27/341203227.db2.gz XWCFADDIKOGGFX-UHFFFAOYSA-N 0 3 317.296 4.356 20 0 DIADHN COc1cc(CN[C@]2(C)CCCc3ccccc32)cc(OC)c1 ZINC000547285106 341203607 /nfs/dbraw/zinc/20/36/07/341203607.db2.gz NXJVCSBHOSJKRJ-HXUWFJFHSA-N 0 3 311.425 4.045 20 0 DIADHN COc1cc(CN[C@@]2(C)CCCc3ccccc32)cc(OC)c1 ZINC000547285105 341203729 /nfs/dbraw/zinc/20/37/29/341203729.db2.gz NXJVCSBHOSJKRJ-FQEVSTJZSA-N 0 3 311.425 4.045 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@H](C)Cn2cccn2)cc1 ZINC000134014571 341204489 /nfs/dbraw/zinc/20/44/89/341204489.db2.gz VNDFOJDBHQZFTI-HUUCEWRRSA-N 0 3 303.475 4.123 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(Cl)cc1Cl)N(CC)CC ZINC000547305626 341204542 /nfs/dbraw/zinc/20/45/42/341204542.db2.gz MAONIKWBKWCKGW-CYBMUJFWSA-N 0 3 303.233 4.052 20 0 DIADHN CC[C@@H](NC1CC(OCc2ccccc2)C1)c1ccc(F)cn1 ZINC000547328297 341206167 /nfs/dbraw/zinc/20/61/67/341206167.db2.gz UYIJCWFQTBQHHR-DAWZGUTISA-N 0 3 314.404 4.009 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1ccc2[nH]cnc2c1 ZINC000564317455 341206570 /nfs/dbraw/zinc/20/65/70/341206570.db2.gz KVSZCYFHCLZALO-SFHVURJKSA-N 0 3 323.444 4.020 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCC([C@](C)(O)C(F)(F)F)CC1 ZINC000547346173 341207620 /nfs/dbraw/zinc/20/76/20/341207620.db2.gz MAVKMDDPBGVWPW-BBRMVZONSA-N 0 3 315.379 4.081 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1cc(C(F)(F)F)ccc1F ZINC000237138042 341207972 /nfs/dbraw/zinc/20/79/72/341207972.db2.gz WLYPVZSTFPRKSJ-JTQLQIEISA-N 0 3 307.331 4.138 20 0 DIADHN C[C@H](NCc1nc2c(s1)C[C@H](c1ccccc1)CC2)C1CC1 ZINC000547356429 341208643 /nfs/dbraw/zinc/20/86/43/341208643.db2.gz YGLBNAIBUZEBKD-XJKSGUPXSA-N 0 3 312.482 4.304 20 0 DIADHN C[C@H](CCNCc1nccn1Cc1ccccc1)c1ccccc1 ZINC000547374451 341209568 /nfs/dbraw/zinc/20/95/68/341209568.db2.gz HDFYUFLCOYAYDX-GOSISDBHSA-N 0 3 319.452 4.215 20 0 DIADHN C[C@H](NC1(c2ccc(Cl)c(Cl)c2)CC1)[C@H]1CCCO1 ZINC000547396122 341210845 /nfs/dbraw/zinc/21/08/45/341210845.db2.gz YWZJRAYAIORGJO-IINYFYTJSA-N 0 3 300.229 4.140 20 0 DIADHN C[C@H](NCCCC(C)(C)C)c1ncc(Br)cc1F ZINC000547653854 341225520 /nfs/dbraw/zinc/22/55/20/341225520.db2.gz TVXBAEGHIXSIST-JTQLQIEISA-N 0 3 317.246 4.460 20 0 DIADHN CC(C)c1nc([C@H](C)NCC2Cc3ccccc3C2)cs1 ZINC000547399103 341211099 /nfs/dbraw/zinc/21/10/99/341211099.db2.gz KWCZBDYEFPZAPP-ZDUSSCGKSA-N 0 3 300.471 4.332 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCO[C@@H]2C(C)(C)C)o1 ZINC000237362998 341212533 /nfs/dbraw/zinc/21/25/33/341212533.db2.gz OBDLPPJPRQENOX-OAOQPFQOSA-N 0 3 305.462 4.334 20 0 DIADHN CSCc1cc(F)ccc1CNCc1cccc2cc[nH]c21 ZINC000237377521 341212862 /nfs/dbraw/zinc/21/28/62/341212862.db2.gz VSVKYSZJUKYRKB-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](C)c3cnn(C(C)C)c3)cc2c1C ZINC000547436100 341213453 /nfs/dbraw/zinc/21/34/53/341213453.db2.gz QKPRRUUUYUPCLK-OAHLLOKOSA-N 0 3 310.445 4.413 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cnn(Cc3ccccc3)c2)c1 ZINC000076764330 341213806 /nfs/dbraw/zinc/21/38/06/341213806.db2.gz SFKSTTWBUHVNDE-UHFFFAOYSA-N 0 3 319.452 4.265 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000134386098 341214290 /nfs/dbraw/zinc/21/42/90/341214290.db2.gz SMSQWMAFHNITJQ-YJBOKZPZSA-N 0 3 317.477 4.181 20 0 DIADHN O=C(CCN1CCCCC[C@@H]1c1ccco1)Nc1ccccc1 ZINC000076804348 341214351 /nfs/dbraw/zinc/21/43/51/341214351.db2.gz RLIMHXNJAVBBHM-QGZVFWFLSA-N 0 3 312.413 4.226 20 0 DIADHN CC(C)=CCN1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 ZINC000134454804 341215714 /nfs/dbraw/zinc/21/57/14/341215714.db2.gz XCBFVTXGCFLXCQ-NSHDSACASA-N 0 3 304.381 4.308 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(O)cc2)c2ccccc12 ZINC000564322568 341217015 /nfs/dbraw/zinc/21/70/15/341217015.db2.gz UVYBRJFLVMHNBQ-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN c1ccc(CC2(NCc3cnc(-c4ccccc4)nc3)CC2)cc1 ZINC000547498572 341217960 /nfs/dbraw/zinc/21/79/60/341217960.db2.gz VSOCSEJAYAAXPH-UHFFFAOYSA-N 0 3 315.420 4.009 20 0 DIADHN FC(F)(F)c1ccn(-c2ccc(CN[C@H]3C=CCCC3)cc2)n1 ZINC000237702932 341218431 /nfs/dbraw/zinc/21/84/31/341218431.db2.gz DDRVQKNITFTYMH-AWEZNQCLSA-N 0 3 321.346 4.089 20 0 DIADHN CS[C@H]1CCCCN(Cc2nc(-c3ccsc3)oc2C)C1 ZINC000134590942 341219103 /nfs/dbraw/zinc/21/91/03/341219103.db2.gz RTJIRIVECAOPQI-AWEZNQCLSA-N 0 3 322.499 4.429 20 0 DIADHN C[C@H](NC1CCC(C(F)(F)F)CC1)c1nc2c(s1)CCC2 ZINC000134726384 341222750 /nfs/dbraw/zinc/22/27/50/341222750.db2.gz XTKNFGMXDBQEML-WHXUTIOJSA-N 0 3 318.408 4.404 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000134780708 341223996 /nfs/dbraw/zinc/22/39/96/341223996.db2.gz ONDTYHMJGSDAAL-VXGBXAGGSA-N 0 3 304.434 4.053 20 0 DIADHN COc1cccc(Cl)c1CNCCCOC1CCCCC1 ZINC000134779926 341224040 /nfs/dbraw/zinc/22/40/40/341224040.db2.gz CFOYRUPVKDCMCA-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1ccc(Br)s1 ZINC000134850715 341225108 /nfs/dbraw/zinc/22/51/08/341225108.db2.gz NHQPHRYPMSYDED-SECBINFHSA-N 0 3 308.310 4.084 20 0 DIADHN Clc1ccc(SC2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000134854593 341225367 /nfs/dbraw/zinc/22/53/67/341225367.db2.gz FDXRJALSXQUGFS-AWEZNQCLSA-N 0 3 311.878 4.076 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134880669 341225861 /nfs/dbraw/zinc/22/58/61/341225861.db2.gz PAQMOZSJPWOSKE-STQMWFEESA-N 0 3 316.470 4.009 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@H](NCc2noc3c2CCCC3)C1 ZINC000564327465 341226026 /nfs/dbraw/zinc/22/60/26/341226026.db2.gz WKMRYMVZIZEZCQ-OXJNMPFZSA-N 0 3 310.441 4.153 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@@H]1CCCC[C@H]1C ZINC000547747639 341230072 /nfs/dbraw/zinc/23/00/72/341230072.db2.gz ZOFDDRGOMKEUMA-CRAIPNDOSA-N 0 3 302.462 4.259 20 0 DIADHN FC(F)c1cccc(CNC2(c3ccccc3)CCOCC2)c1 ZINC000547767807 341231269 /nfs/dbraw/zinc/23/12/69/341231269.db2.gz LNXVNBMHZZOCKC-UHFFFAOYSA-N 0 3 317.379 4.420 20 0 DIADHN Clc1cc(Br)ccc1CNCCc1ccco1 ZINC000135128435 341231808 /nfs/dbraw/zinc/23/18/08/341231808.db2.gz RWJAXZKRMCGVKR-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN CCc1ccccc1CNCc1cc(Cl)c(OC)c(OC)c1 ZINC000077901741 341232520 /nfs/dbraw/zinc/23/25/20/341232520.db2.gz FOUILVZWMJHVFE-UHFFFAOYSA-N 0 3 319.832 4.209 20 0 DIADHN C[C@H](CNCc1cnc(C2CCCCC2)s1)C(F)(F)F ZINC000547823103 341235126 /nfs/dbraw/zinc/23/51/26/341235126.db2.gz JDOZRDOAXDANPB-SNVBAGLBSA-N 0 3 306.397 4.479 20 0 DIADHN CC[C@@H](NCc1ccc(CN(C)C)c(F)c1)c1ccc(F)cc1 ZINC000135294519 341235773 /nfs/dbraw/zinc/23/57/73/341235773.db2.gz UOESEGQBFJJNCZ-LJQANCHMSA-N 0 3 318.411 4.267 20 0 DIADHN CCSCC[C@H](C)NCc1ccc(Br)cc1F ZINC000135296448 341235939 /nfs/dbraw/zinc/23/59/39/341235939.db2.gz HWWPXCSLHHLQTP-JTQLQIEISA-N 0 3 320.271 4.210 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CCC2CC2)c(Cl)c1 ZINC000135308702 341236271 /nfs/dbraw/zinc/23/62/71/341236271.db2.gz AUXOAFDZKHAQOW-ZDUSSCGKSA-N 0 3 308.853 4.016 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc3ncsc3c2)cc1 ZINC000547927168 341239284 /nfs/dbraw/zinc/23/92/84/341239284.db2.gz SQIZHDUZTQDAEJ-ZDUSSCGKSA-N 0 3 312.438 4.294 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc3ncsc3c2)cc1 ZINC000547927167 341239332 /nfs/dbraw/zinc/23/93/32/341239332.db2.gz SQIZHDUZTQDAEJ-CYBMUJFWSA-N 0 3 312.438 4.294 20 0 DIADHN c1csc(-c2noc(CN(CC3CC3)C3CCCCC3)n2)c1 ZINC000078458900 341242487 /nfs/dbraw/zinc/24/24/87/341242487.db2.gz CZDQCMNUQQACCM-UHFFFAOYSA-N 0 3 317.458 4.343 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@H]1CCC[C@H](C)C1 ZINC000078529134 341243489 /nfs/dbraw/zinc/24/34/89/341243489.db2.gz CQPRMPAZEINIOW-YOEHRIQHSA-N 0 3 302.462 4.006 20 0 DIADHN Fc1cc(F)c(CNC2CCC(C(F)(F)F)CC2)cc1F ZINC000135858102 341247468 /nfs/dbraw/zinc/24/74/68/341247468.db2.gz FQCDVVUXWZEQPT-UHFFFAOYSA-N 0 3 311.269 4.315 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc(F)cc1 ZINC000078973173 341250823 /nfs/dbraw/zinc/25/08/23/341250823.db2.gz VTYYNFXFHKYPPI-BLLLJJGKSA-N 0 3 301.361 4.356 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc(F)cc1 ZINC000078973169 341250918 /nfs/dbraw/zinc/25/09/18/341250918.db2.gz VTYYNFXFHKYPPI-LRDDRELGSA-N 0 3 301.361 4.356 20 0 DIADHN C[C@H](NCc1ccc2ccccc2c1)c1ccc(NC(N)=O)cc1 ZINC000079001080 341251834 /nfs/dbraw/zinc/25/18/34/341251834.db2.gz WJEYFRVPPQKPFZ-AWEZNQCLSA-N 0 3 319.408 4.181 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ncc(-c2ccccc2F)o1 ZINC000548402545 341259060 /nfs/dbraw/zinc/25/90/60/341259060.db2.gz FQGADJTUKMVPNZ-CZUORRHYSA-N 0 3 302.393 4.491 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@@H]1CCCC[C@@H]1C ZINC000548402773 341259081 /nfs/dbraw/zinc/25/90/81/341259081.db2.gz ZLCPGGUBHXSHGI-MAUKXSAKSA-N 0 3 316.489 4.260 20 0 DIADHN COC1(CNCc2nc(-c3ccccc3)cs2)CCCCC1 ZINC000548475682 341262679 /nfs/dbraw/zinc/26/26/79/341262679.db2.gz ACAMCMVTMCMIDM-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN CCc1csc(NC2CCN(Cc3ccccc3C)CC2)n1 ZINC000548482028 341263152 /nfs/dbraw/zinc/26/31/52/341263152.db2.gz QDVILXULDDSQPC-UHFFFAOYSA-N 0 3 315.486 4.090 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccccc1Br ZINC000548485808 341263278 /nfs/dbraw/zinc/26/32/78/341263278.db2.gz DQOZHODBTVKKIO-DTWKUNHWSA-N 0 3 310.157 4.298 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2ccccc2[nH]1 ZINC000548485099 341263303 /nfs/dbraw/zinc/26/33/03/341263303.db2.gz HMVOXVYGMDWPKC-DOTOQJQBSA-N 0 3 305.425 4.016 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000548488602 341263625 /nfs/dbraw/zinc/26/36/25/341263625.db2.gz SAORETOXRIFMRH-WBVHZDCISA-N 0 3 313.445 4.494 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000548488596 341263652 /nfs/dbraw/zinc/26/36/52/341263652.db2.gz SAORETOXRIFMRH-DOTOQJQBSA-N 0 3 313.445 4.494 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1C(F)(F)F ZINC000548636792 341268221 /nfs/dbraw/zinc/26/82/21/341268221.db2.gz GUDUQEAOBSBTNP-RYUDHWBXSA-N 0 3 301.352 4.314 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C1(C)CCCCC1)c1ccsc1 ZINC000548685938 341269323 /nfs/dbraw/zinc/26/93/23/341269323.db2.gz FHSHZJOGDVLVGL-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)Cc2ccc(C)s2)c(F)c1 ZINC000080227588 341270996 /nfs/dbraw/zinc/27/09/96/341270996.db2.gz VVUCCNAZJIBUJQ-DGCLKSJQSA-N 0 3 307.434 4.486 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(F)c2Cl)c(CN(C)C)c1 ZINC000080287662 341272555 /nfs/dbraw/zinc/27/25/55/341272555.db2.gz ACCMMFNKWYTGTC-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN c1ccc(NC2CCN(CCSC3CCCCC3)CC2)nc1 ZINC000548783767 341275497 /nfs/dbraw/zinc/27/54/97/341275497.db2.gz QUFTWLSBEMSYSH-UHFFFAOYSA-N 0 3 319.518 4.024 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1CCC12CCOCC2 ZINC000548794494 341276022 /nfs/dbraw/zinc/27/60/22/341276022.db2.gz IAZHNJDRWMLGDK-CQSZACIVSA-N 0 3 300.229 4.042 20 0 DIADHN COc1cc(CN(C)Cc2csc3ccccc23)ccc1O ZINC000080847486 341276066 /nfs/dbraw/zinc/27/60/66/341276066.db2.gz RXMYTAZQDSGEDD-UHFFFAOYSA-N 0 3 313.422 4.248 20 0 DIADHN CC(C)OC1CCN([C@H](C)c2ccc(OC(F)F)cc2)CC1 ZINC000548846070 341277727 /nfs/dbraw/zinc/27/77/27/341277727.db2.gz WYDHPBQXFDFCPP-CYBMUJFWSA-N 0 3 313.388 4.238 20 0 DIADHN CC(C)(CNCc1ccc(OCC2CC2)cc1)C(F)(F)F ZINC000548859641 341278514 /nfs/dbraw/zinc/27/85/14/341278514.db2.gz OCEOOTLAVYUKNN-UHFFFAOYSA-N 0 3 301.352 4.154 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccnc2ccccc12 ZINC000548860100 341278522 /nfs/dbraw/zinc/27/85/22/341278522.db2.gz RGEPVKYGKIOZHJ-LJQANCHMSA-N 0 3 322.383 4.160 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccnc2ccccc12 ZINC000548860099 341278550 /nfs/dbraw/zinc/27/85/50/341278550.db2.gz RGEPVKYGKIOZHJ-IBGZPJMESA-N 0 3 322.383 4.160 20 0 DIADHN Cc1cc([C@@H](C)NCCc2ccc(OC(F)F)cc2)oc1C ZINC000548860694 341278675 /nfs/dbraw/zinc/27/86/75/341278675.db2.gz GEDIAGFBGJCLLO-GFCCVEGCSA-N 0 3 309.356 4.391 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN2CCC3(CCO3)CC2)o1 ZINC000548875830 341279348 /nfs/dbraw/zinc/27/93/48/341279348.db2.gz WMWKTMIOELJCIB-UHFFFAOYSA-N 0 3 315.388 4.149 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)NC[C@@H]2CCCN(C)C2)CC1 ZINC000548898105 341280200 /nfs/dbraw/zinc/28/02/00/341280200.db2.gz WHKYHQWRXINOTN-ADKAHSJRSA-N 0 3 322.537 4.077 20 0 DIADHN COCc1ccc([C@@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)o1 ZINC000548915093 341280768 /nfs/dbraw/zinc/28/07/68/341280768.db2.gz OPKWQEAFIIOZAL-RDJZCZTQSA-N 0 3 319.445 4.055 20 0 DIADHN CO[C@@H](CN1CC[C@@H](C(F)(F)F)C(C)(C)C1)c1ccccc1 ZINC000549036556 341284129 /nfs/dbraw/zinc/28/41/29/341284129.db2.gz UNGGHCAZJBICPY-LSDHHAIUSA-N 0 3 315.379 4.285 20 0 DIADHN CO[C@H](CN1CC[C@@H](C(F)(F)F)C(C)(C)C1)c1ccccc1 ZINC000549036555 341284149 /nfs/dbraw/zinc/28/41/49/341284149.db2.gz UNGGHCAZJBICPY-HUUCEWRRSA-N 0 3 315.379 4.285 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(F)c2cccnc12 ZINC000549152767 341287280 /nfs/dbraw/zinc/28/72/80/341287280.db2.gz YSPJJEFUSPVOED-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)cc1 ZINC000549174900 341288130 /nfs/dbraw/zinc/28/81/30/341288130.db2.gz WBJVRUHOAVEWII-OAHLLOKOSA-N 0 3 313.363 4.250 20 0 DIADHN COCC[C@@H](C)N1CC[C@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC000549187416 341288371 /nfs/dbraw/zinc/28/83/71/341288371.db2.gz NOTMDYMKSXAWKN-IUODEOHRSA-N 0 3 319.342 4.001 20 0 DIADHN Cc1ccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)cc1 ZINC000549264207 341289922 /nfs/dbraw/zinc/28/99/22/341289922.db2.gz SNJSYPLBNVQNOC-GOSISDBHSA-N 0 3 302.849 4.041 20 0 DIADHN Cc1ccsc1CN[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC000549266711 341290052 /nfs/dbraw/zinc/29/00/52/341290052.db2.gz DGARXZZYCZISQA-OAHLLOKOSA-N 0 3 308.878 4.102 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCc2ccnn2CC)o1 ZINC000549349969 341292047 /nfs/dbraw/zinc/29/20/47/341292047.db2.gz HZMWEHVANZSXGZ-YJBOKZPZSA-N 0 3 315.461 4.074 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CS[C@@H](C(C)(C)C)C1 ZINC000549408686 341293634 /nfs/dbraw/zinc/29/36/34/341293634.db2.gz PLKPWBVFVUJPIC-ISTRZQFTSA-N 0 3 323.477 4.331 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)CCC1CCOCC1 ZINC000549472658 341295373 /nfs/dbraw/zinc/29/53/73/341295373.db2.gz YICSCNYTYIAWDI-UHFFFAOYSA-N 0 3 304.478 4.016 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC(C)(COC)CC1 ZINC000549535586 341296718 /nfs/dbraw/zinc/29/67/18/341296718.db2.gz GPULTWNVKASTCI-UHFFFAOYSA-N 0 3 304.478 4.016 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1cccs1 ZINC000549610810 341298921 /nfs/dbraw/zinc/29/89/21/341298921.db2.gz QAWFVYYLHNMXIE-DOMZBBRYSA-N 0 3 308.878 4.355 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@](CO)(C(C)C)C1 ZINC000549661554 341300026 /nfs/dbraw/zinc/30/00/26/341300026.db2.gz IFZJFQJWMFGECM-FQEVSTJZSA-N 0 3 312.457 4.087 20 0 DIADHN Cc1nocc1CNCC(C)(C)c1ccc(OCC(C)C)cc1 ZINC000549755665 341302178 /nfs/dbraw/zinc/30/21/78/341302178.db2.gz FNLHQWQUOWNCEL-UHFFFAOYSA-N 0 3 316.445 4.085 20 0 DIADHN O=C(CCNCc1ccc(C2CCC2)cc1)Nc1ccccc1 ZINC000549754956 341302207 /nfs/dbraw/zinc/30/22/07/341302207.db2.gz VXUWQYRACYDSCA-UHFFFAOYSA-N 0 3 308.425 4.073 20 0 DIADHN CSCc1cnc(CNC[C@H](C)c2ccc(C)cc2)s1 ZINC000549763824 341302603 /nfs/dbraw/zinc/30/26/03/341302603.db2.gz NNCKPKZFIKXQKY-ZDUSSCGKSA-N 0 3 306.500 4.208 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000245583393 341303265 /nfs/dbraw/zinc/30/32/65/341303265.db2.gz IJNBELODEWWZPB-NUTKFTJISA-N 0 3 304.821 4.029 20 0 DIADHN COCc1ccc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)o1 ZINC000549806267 341303581 /nfs/dbraw/zinc/30/35/81/341303581.db2.gz UVLQCSYJWSBLLY-BCDXTJNWSA-N 0 3 311.425 4.337 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000245589494 341303908 /nfs/dbraw/zinc/30/39/08/341303908.db2.gz KRIFKCNTAZNMCM-JECHBYEQSA-N 0 3 322.424 4.122 20 0 DIADHN FC(F)O[C@H]1CCN(Cc2cccc(-c3ccccc3)c2)C1 ZINC000549850494 341305076 /nfs/dbraw/zinc/30/50/76/341305076.db2.gz YMQKPELXXHJOQB-KRWDZBQOSA-N 0 3 303.352 4.167 20 0 DIADHN CCOCC(C)(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000549858294 341305224 /nfs/dbraw/zinc/30/52/24/341305224.db2.gz MSKDMPLQUWWIOC-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN CCOCC(C)(C)NCc1ccc(Oc2cccnc2)c(C)c1 ZINC000549861035 341305287 /nfs/dbraw/zinc/30/52/87/341305287.db2.gz NWHJBNDMCOZWKD-UHFFFAOYSA-N 0 3 314.429 4.087 20 0 DIADHN CC(C)(C)C1CC(NCc2cnc(-c3ccccn3)s2)C1 ZINC000549860922 341305296 /nfs/dbraw/zinc/30/52/96/341305296.db2.gz MDSDKMDZGBCLAV-UHFFFAOYSA-N 0 3 301.459 4.119 20 0 DIADHN COc1ncc(CNC(C)(C)c2ccccc2C)cc1Cl ZINC000549868314 341305589 /nfs/dbraw/zinc/30/55/89/341305589.db2.gz SNKFYZXYENFCAP-UHFFFAOYSA-N 0 3 304.821 4.077 20 0 DIADHN CC(C)C1(NCc2cnn(-c3ccc(C(F)(F)F)cc3)c2)CC1 ZINC000549875649 341305710 /nfs/dbraw/zinc/30/57/10/341305710.db2.gz ZZTXYKZKEROKEY-UHFFFAOYSA-N 0 3 323.362 4.169 20 0 DIADHN CCOC1(CNCc2cnc(-c3cccs3)s2)CCCC1 ZINC000549880416 341305712 /nfs/dbraw/zinc/30/57/12/341305712.db2.gz XPWGHVRTNNBFOI-UHFFFAOYSA-N 0 3 322.499 4.311 20 0 DIADHN CC(C)(C)n1cc(CNCCc2cc3ccccc3s2)cn1 ZINC000549883894 341305776 /nfs/dbraw/zinc/30/57/76/341305776.db2.gz OYYHXSXRESNOMX-UHFFFAOYSA-N 0 3 313.470 4.185 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)cc1C ZINC000549890340 341306080 /nfs/dbraw/zinc/30/60/80/341306080.db2.gz VQUJCIFLQWVKRO-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CCCCc1oc2ccccc2c1CNCCc1nccnc1C ZINC000549912485 341306343 /nfs/dbraw/zinc/30/63/43/341306343.db2.gz BUULWPSSFDZXSZ-UHFFFAOYSA-N 0 3 323.440 4.206 20 0 DIADHN C[C@H](c1cccc(NC(=O)c2ccccc2)c1)N1C[C@@H](C)[C@H]1C ZINC000549924524 341306930 /nfs/dbraw/zinc/30/69/30/341306930.db2.gz YYWZXQPYXHGXEN-BZUAXINKSA-N 0 3 308.425 4.340 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)C1CCCCC1)c1nnc2ccccn21 ZINC000549929617 341307080 /nfs/dbraw/zinc/30/70/80/341307080.db2.gz JEPMZUCKHGEARW-MAUKXSAKSA-N 0 3 314.477 4.232 20 0 DIADHN FC[C@H]1CCCN1CCCCOc1cccc(C(F)(F)F)c1 ZINC000550028616 341308907 /nfs/dbraw/zinc/30/89/07/341308907.db2.gz HLRVZHLMYJUHBQ-CQSZACIVSA-N 0 3 319.342 4.298 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccco3)n2)[C@@H]2CCC[C@H]21 ZINC000550082724 341309792 /nfs/dbraw/zinc/30/97/92/341309792.db2.gz VZSHPOBWUKYNBQ-ZIAGYGMSSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1nocc1CNCC1(c2ccc(Cl)cc2)CCCC1 ZINC000550107597 341310241 /nfs/dbraw/zinc/31/02/41/341310241.db2.gz RQSJCLXUZYOKBN-UHFFFAOYSA-N 0 3 304.821 4.238 20 0 DIADHN CCc1nocc1CNCC(C)(C)c1ccc(F)cc1Cl ZINC000550144088 341311074 /nfs/dbraw/zinc/31/10/74/341311074.db2.gz RTYXLDFAOLVADE-UHFFFAOYSA-N 0 3 310.800 4.097 20 0 DIADHN Cc1ccc(C)c(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000550239554 341313353 /nfs/dbraw/zinc/31/33/53/341313353.db2.gz VPCWKVHBBLIJSW-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1ccccc1Br ZINC000245776972 341314394 /nfs/dbraw/zinc/31/43/94/341314394.db2.gz PKYRXBDFICDMGH-YUDUHTQSSA-N 0 3 324.262 4.057 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](c2ncccc2C)C(C)C)C12CCC2 ZINC000245838969 341315737 /nfs/dbraw/zinc/31/57/37/341315737.db2.gz DBEDDGAFAVAELU-ZACQAIPSSA-N 0 3 302.462 4.024 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000245843718 341315863 /nfs/dbraw/zinc/31/58/63/341315863.db2.gz FCGDRRJNEIITPA-NBHSMZAVSA-N 0 3 321.342 4.285 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2CN[C@H](C)c2ccccn2)cc1 ZINC000245849398 341316033 /nfs/dbraw/zinc/31/60/33/341316033.db2.gz CRKFHJBDYJDXIC-KPFFTGBYSA-N 0 3 310.441 4.209 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccs3)n2)[C@H]2CCC[C@H]21 ZINC000550433782 341316999 /nfs/dbraw/zinc/31/69/99/341316999.db2.gz VZXWFWGSAPIBGY-KGLIPLIRSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1ccc(Cl)cc1)C[C@H](C)O ZINC000245888615 341317531 /nfs/dbraw/zinc/31/75/31/341317531.db2.gz BYCFYPQJNUGWRX-ZRGWGRIASA-N 0 3 317.860 4.426 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccccc1)c1cccc(F)c1F ZINC000245907268 341317862 /nfs/dbraw/zinc/31/78/62/341317862.db2.gz OUIRWKXBLDTULL-IWEFOYFVSA-N 0 3 303.352 4.146 20 0 DIADHN CCCCN(Cc1ccc(S(C)(=O)=O)cc1)C1CCCCC1 ZINC000550524984 341318033 /nfs/dbraw/zinc/31/80/33/341318033.db2.gz OTGPNLKQYLRQKF-UHFFFAOYSA-N 0 3 323.502 4.025 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2N[C@@H]2CCc3ccccc32)cc1F ZINC000245945605 341319087 /nfs/dbraw/zinc/31/90/87/341319087.db2.gz VRHRFDQAMOEKKT-QRVBRYPASA-N 0 3 315.363 4.072 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cccs1 ZINC000245949371 341319475 /nfs/dbraw/zinc/31/94/75/341319475.db2.gz WEIDUYKPXSJGGV-JJMVLAAESA-N 0 3 309.381 4.207 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl)c1nccn1C ZINC000245980474 341320957 /nfs/dbraw/zinc/32/09/57/341320957.db2.gz AXGCBIBXPJXITD-COPLHBTASA-N 0 3 324.255 4.181 20 0 DIADHN Cn1c2ccccc2nc1[C@@H]1CCCN1C[C@H]1CCC(F)(F)C1 ZINC000550682312 341321346 /nfs/dbraw/zinc/32/13/46/341321346.db2.gz XWKCNPOUVQKOFM-BBRMVZONSA-N 0 3 319.399 4.146 20 0 DIADHN CC(C)C1CCC(C[NH2+][C@H](c2cccs2)c2nnc[n-]2)CC1 ZINC000550699859 341321677 /nfs/dbraw/zinc/32/16/77/341321677.db2.gz PGKARKPDALYIDM-ZBCRRDGASA-N 0 3 318.490 4.008 20 0 DIADHN CC(C)C1CCC(CN[C@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000550699859 341321678 /nfs/dbraw/zinc/32/16/78/341321678.db2.gz PGKARKPDALYIDM-ZBCRRDGASA-N 0 3 318.490 4.008 20 0 DIADHN Fc1ccc(C2(NCCc3cscn3)CCCC2)c(F)c1 ZINC000550705681 341321697 /nfs/dbraw/zinc/32/16/97/341321697.db2.gz YCDRFYBVYHDWML-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN CCS[C@@H]1CCC[C@@H](N[C@H](C)c2nc3ccccc3n2C)C1 ZINC000246031732 341322450 /nfs/dbraw/zinc/32/24/50/341322450.db2.gz MOHPZMAPPXTSPR-RBSFLKMASA-N 0 3 317.502 4.288 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N(C)Cc1ncc(C)c(OC)c1C ZINC000550755409 341322576 /nfs/dbraw/zinc/32/25/76/341322576.db2.gz CRHQEXAPZPXYFD-GOSISDBHSA-N 0 3 316.420 4.429 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CCCC23CCCC3)no1 ZINC000550796995 341323263 /nfs/dbraw/zinc/32/32/63/341323263.db2.gz INPMRBWQAVHUES-MRXNPFEDSA-N 0 3 306.450 4.192 20 0 DIADHN Cc1cc([C@H](C)NC2(Cc3ccc(Cl)cc3)CCC2)nn1C ZINC000550822547 341323632 /nfs/dbraw/zinc/32/36/32/341323632.db2.gz RBKFDJLGPCJSTP-AWEZNQCLSA-N 0 3 317.864 4.198 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@@H](C)c1ccc(SCC)cc1 ZINC000550829176 341323880 /nfs/dbraw/zinc/32/38/80/341323880.db2.gz SYOILJVAPQKOLB-GJZGRUSLSA-N 0 3 322.518 4.002 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1Cc1ccccc1)c1cn2ccccc2n1 ZINC000550885455 341325497 /nfs/dbraw/zinc/32/54/97/341325497.db2.gz HIZCYGBEHFMGTN-UHOSZYNNSA-N 0 3 319.452 4.396 20 0 DIADHN COc1ccc([C@H](NCC[C@@H](C)F)c2ccc(F)cc2)cc1 ZINC000550886838 341325620 /nfs/dbraw/zinc/32/56/20/341325620.db2.gz QETHHPVEKQMKLB-FZKQIMNGSA-N 0 3 305.368 4.261 20 0 DIADHN COc1ccc([C@@H](NCC[C@@H](C)F)c2ccc(F)cc2)cc1 ZINC000550886504 341325656 /nfs/dbraw/zinc/32/56/56/341325656.db2.gz QETHHPVEKQMKLB-ACJLOTCBSA-N 0 3 305.368 4.261 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCCc1nccnc1C ZINC000550895090 341326014 /nfs/dbraw/zinc/32/60/14/341326014.db2.gz LTTVPKKNIDIVGA-HNNXBMFYSA-N 0 3 323.440 4.295 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)ccn1 ZINC000550909604 341326563 /nfs/dbraw/zinc/32/65/63/341326563.db2.gz BKQODCKQODTCCF-WFASDCNBSA-N 0 3 324.346 4.087 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@@H](Cc1cccnc1)c1ccc(Cl)cc1 ZINC000550928196 341326977 /nfs/dbraw/zinc/32/69/77/341326977.db2.gz LRXCUGVFTKJELB-KSZLIROESA-N 0 3 318.823 4.499 20 0 DIADHN CCC[C@H](NCCc1ccc(Cl)c(OC)c1)c1ccccn1 ZINC000550930611 341327063 /nfs/dbraw/zinc/32/70/63/341327063.db2.gz JRRQQWKJQPZKEM-INIZCTEOSA-N 0 3 318.848 4.417 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1F)c1ccc(F)c(Br)c1 ZINC000550930667 341327179 /nfs/dbraw/zinc/32/71/79/341327179.db2.gz LCIKQHVJPISLQP-CKLFPEKLSA-N 0 3 304.178 4.129 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCc3c2cccc3Cl)ccn1 ZINC000550940003 341327601 /nfs/dbraw/zinc/32/76/01/341327601.db2.gz QEAIYNJZKMJUPT-BDJLRTHQSA-N 0 3 302.805 4.082 20 0 DIADHN C[C@@H](Cn1cccn1)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000138929651 341329358 /nfs/dbraw/zinc/32/93/58/341329358.db2.gz PYVSWRGOKXAGCA-BLLLJJGKSA-N 0 3 323.362 4.031 20 0 DIADHN CCOCc1ccc(N[C@H]2CCN(C)[C@H](c3ccccc3)C2)cc1 ZINC000551136155 341332346 /nfs/dbraw/zinc/33/23/46/341332346.db2.gz KCDFNDVODOYJDE-SFTDATJTSA-N 0 3 324.468 4.471 20 0 DIADHN C[C@H](N[C@H]1COc2ccccc2C1)c1cc2cccc(F)c2o1 ZINC000551175291 341333120 /nfs/dbraw/zinc/33/31/20/341333120.db2.gz KREBVRNYVCHSCK-SWLSCSKDSA-N 0 3 311.356 4.226 20 0 DIADHN CO[C@H](CNCc1cnc(-c2ccc(Cl)cc2)s1)C(C)C ZINC000551188892 341333609 /nfs/dbraw/zinc/33/36/09/341333609.db2.gz YDUXMDZZRJHJRT-OAHLLOKOSA-N 0 3 324.877 4.224 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@H](C)c1cc2ccccc2s1 ZINC000551209472 341333880 /nfs/dbraw/zinc/33/38/80/341333880.db2.gz CXZZRBGIMBAIHU-UONOGXRCSA-N 0 3 318.486 4.104 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@@H](C)c1ccc2ccccc2c1 ZINC000551221648 341334274 /nfs/dbraw/zinc/33/42/74/341334274.db2.gz FASBRMNVXKIAKU-HOTGVXAUSA-N 0 3 312.457 4.043 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@H](C)c1ccc2ccccc2c1 ZINC000551221649 341334285 /nfs/dbraw/zinc/33/42/85/341334285.db2.gz FASBRMNVXKIAKU-HZPDHXFCSA-N 0 3 312.457 4.043 20 0 DIADHN CCOc1cccc(CNCc2ccc(OCC)c(Cl)c2)c1 ZINC000551237180 341334839 /nfs/dbraw/zinc/33/48/39/341334839.db2.gz NHPDIWNLZFADNE-UHFFFAOYSA-N 0 3 319.832 4.427 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC[C@H](c1ccccc1)C(F)(F)F ZINC000551241989 341335247 /nfs/dbraw/zinc/33/52/47/341335247.db2.gz XWVPRPNGAHRMKC-IUODEOHRSA-N 0 3 323.362 4.172 20 0 DIADHN CCc1noc(C)c1CN[C@H](Cc1ccccc1Cl)C1CC1 ZINC000551246607 341335483 /nfs/dbraw/zinc/33/54/83/341335483.db2.gz TVKUTOKNGHEYGC-GOSISDBHSA-N 0 3 318.848 4.310 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc(F)c(Cl)c1 ZINC000551267428 341336163 /nfs/dbraw/zinc/33/61/63/341336163.db2.gz OWUHSNFUWGMWCI-MRXNPFEDSA-N 0 3 305.780 4.352 20 0 DIADHN COc1ccc([C@H](N[C@H]2COCc3ccccc32)C(C)C)cc1 ZINC000551267938 341336287 /nfs/dbraw/zinc/33/62/87/341336287.db2.gz XXWJELNSQODXLI-VQTJNVASSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@H](NCCCc1c[nH]c2ccccc12)c1cn2ccccc2n1 ZINC000551267656 341336306 /nfs/dbraw/zinc/33/63/06/341336306.db2.gz HPOWPUZJMVQQPG-HNNXBMFYSA-N 0 3 318.424 4.099 20 0 DIADHN Cc1ccc([C@@H](NCCc2nccnc2C)c2ccccc2)cc1 ZINC000551274805 341336766 /nfs/dbraw/zinc/33/67/66/341336766.db2.gz NFUMDIXPXZKZKD-NRFANRHFSA-N 0 3 317.436 4.015 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2Cl)CCC1)c1cn[nH]c1 ZINC000551305065 341337911 /nfs/dbraw/zinc/33/79/11/341337911.db2.gz TZYITSUIZUWLHQ-LLVKDONJSA-N 0 3 324.255 4.489 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000551307530 341337947 /nfs/dbraw/zinc/33/79/47/341337947.db2.gz FBWRCZZXVHUJPX-ZJUUUORDSA-N 0 3 317.296 4.383 20 0 DIADHN CSc1ccc([C@@H](CN[C@@H](C)c2ccc(C)o2)N(C)C)cc1 ZINC000551310827 341338144 /nfs/dbraw/zinc/33/81/44/341338144.db2.gz MTVDQUIQMUHYAG-WMLDXEAASA-N 0 3 318.486 4.263 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1N[C@@H]1COCc2ccccc21 ZINC000551316654 341338551 /nfs/dbraw/zinc/33/85/51/341338551.db2.gz JYGMOEITAONEQW-GBLZOACLSA-N 0 3 311.400 4.310 20 0 DIADHN CCC(CC)N(Cc1nnc(-c2cc(C)oc2C)o1)C1CC1 ZINC000551415241 341341031 /nfs/dbraw/zinc/34/10/31/341341031.db2.gz OMWCWJXNMXNTJU-UHFFFAOYSA-N 0 3 303.406 4.099 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1O)c1ccc(Cl)c(Cl)c1Cl ZINC000246322457 341342166 /nfs/dbraw/zinc/34/21/66/341342166.db2.gz FMMSJYFFLHTQES-WHGOUJPWSA-N 0 3 308.636 4.211 20 0 DIADHN Cc1ccc(NC(=O)c2ccc3c(c2)CCC=C3)c(CN(C)C)c1 ZINC000551517026 341343532 /nfs/dbraw/zinc/34/35/32/341343532.db2.gz AXOWFNZVCURWTI-UHFFFAOYSA-N 0 3 320.436 4.268 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2C[C@H]2Cc2ccccc2)cc1Cl ZINC000551530042 341344132 /nfs/dbraw/zinc/34/41/32/341344132.db2.gz MJENXGLMIQFZCF-QEORTHHSSA-N 0 3 316.832 4.025 20 0 DIADHN CC1(C)CC[C@@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000551534951 341344592 /nfs/dbraw/zinc/34/45/92/341344592.db2.gz ZQWQIANIEBXONM-ROUUACIJSA-N 0 3 308.425 4.145 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H]2CCc2ccccc2)cn1 ZINC000551558449 341345444 /nfs/dbraw/zinc/34/54/44/341345444.db2.gz QRNUSBPAHKTTQH-IBGZPJMESA-N 0 3 323.484 4.371 20 0 DIADHN CC[C@H](N[C@H](C)c1sc(C(C)(C)C)nc1C)[C@@H]1CCCO1 ZINC000246403319 341347556 /nfs/dbraw/zinc/34/75/56/341347556.db2.gz LXCPQTSLBJKSMD-XBFCOCLRSA-N 0 3 310.507 4.357 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)CCCC(C)C)c(Cl)c1 ZINC000551673659 341348544 /nfs/dbraw/zinc/34/85/44/341348544.db2.gz QPEGPVUBUNRINN-AWEZNQCLSA-N 0 3 310.869 4.391 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2Cc2ccccc2)nc1 ZINC000551696739 341349126 /nfs/dbraw/zinc/34/91/26/341349126.db2.gz IYOYEAHLBYLGEU-QGZVFWFLSA-N 0 3 320.358 4.308 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2Cc2ccccc2)nc1 ZINC000551696738 341349185 /nfs/dbraw/zinc/34/91/85/341349185.db2.gz IYOYEAHLBYLGEU-KRWDZBQOSA-N 0 3 320.358 4.308 20 0 DIADHN c1csc(CN(Cc2ccccn2)C2Cc3ccccc3C2)c1 ZINC000551721997 341349850 /nfs/dbraw/zinc/34/98/50/341349850.db2.gz RMJAXMGJZZUUHK-UHFFFAOYSA-N 0 3 320.461 4.313 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1F)c1nccc2ccccc21 ZINC000564382795 341350787 /nfs/dbraw/zinc/35/07/87/341350787.db2.gz WGIUXBYLXXLHQO-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCCOCC(F)F)cc1Cl ZINC000551778026 341351069 /nfs/dbraw/zinc/35/10/69/341351069.db2.gz ZFCJZZKRDKJPSK-LLVKDONJSA-N 0 3 321.795 4.060 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)on1 ZINC000551787470 341351447 /nfs/dbraw/zinc/35/14/47/341351447.db2.gz HJWIARCNZNMTPB-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2scnc2C)cc1 ZINC000551788873 341351532 /nfs/dbraw/zinc/35/15/32/341351532.db2.gz IPGVZGVZKDZQJO-CYBMUJFWSA-N 0 3 304.459 4.481 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2CCc3c2cccc3C)cc1Cl ZINC000551790019 341351755 /nfs/dbraw/zinc/35/17/55/341351755.db2.gz VNYDKSRPSVBJPH-SJCJKPOMSA-N 0 3 316.832 4.390 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551807294 341352345 /nfs/dbraw/zinc/35/23/45/341352345.db2.gz DTUYEWUWYQIXKC-VQIMIIECSA-N 0 3 323.484 4.227 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000551813867 341352753 /nfs/dbraw/zinc/35/27/53/341352753.db2.gz YDLYOKMXASEHBN-IEBWSBKVSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)c(C)c1 ZINC000551822985 341352967 /nfs/dbraw/zinc/35/29/67/341352967.db2.gz NFEDZHDYNWPBLU-SFHVURJKSA-N 0 3 323.484 4.380 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000246637010 341354937 /nfs/dbraw/zinc/35/49/37/341354937.db2.gz RWAJTVZXSKQUQX-CKEIUWERSA-N 0 3 303.837 4.181 20 0 DIADHN FC(F)(F)CCN(Cc1ccc(-n2cccn2)cc1)CC1CC1 ZINC000084138142 341357729 /nfs/dbraw/zinc/35/77/29/341357729.db2.gz NGSGMCUNFIQCGE-UHFFFAOYSA-N 0 3 323.362 4.037 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000247075298 341360673 /nfs/dbraw/zinc/36/06/73/341360673.db2.gz QKJRHDHSIAIPLK-UFYCRDLUSA-N 0 3 311.400 4.323 20 0 DIADHN CC1=CC[C@@H](NCc2ccncc2OCc2ccccc2)CC1 ZINC000552033345 341363452 /nfs/dbraw/zinc/36/34/52/341363452.db2.gz ZLBYHNVJISUBON-LJQANCHMSA-N 0 3 308.425 4.249 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)Cc1ccc(F)cc1F ZINC000552041212 341364091 /nfs/dbraw/zinc/36/40/91/341364091.db2.gz LOEDHRFRLNLYFP-UHFFFAOYSA-N 0 3 318.411 4.049 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2cc(C)ccn2)cc1 ZINC000552047610 341364988 /nfs/dbraw/zinc/36/49/88/341364988.db2.gz SJUAGWVZZBPBCN-HQRMLTQVSA-N 0 3 310.441 4.269 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000552051824 341365205 /nfs/dbraw/zinc/36/52/05/341365205.db2.gz JIJGBOSUGLVYKU-IWKOPCCSSA-N 0 3 321.411 4.213 20 0 DIADHN CCC(CC)CC(=O)NC[C@H]1CCCN(C)[C@H]1c1cccs1 ZINC000247553896 341367222 /nfs/dbraw/zinc/36/72/22/341367222.db2.gz KNVOCALPUPZCRQ-CRAIPNDOSA-N 0 3 322.518 4.074 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccn(-c4ccccc4)n3)C2)cc1 ZINC000552071988 341367635 /nfs/dbraw/zinc/36/76/35/341367635.db2.gz NNBGWBPHYFTFFV-RUCARUNLSA-N 0 3 321.399 4.047 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000552073553 341367753 /nfs/dbraw/zinc/36/77/53/341367753.db2.gz HWUGGOSIJKHBMG-GZMOKQHVSA-N 0 3 324.468 4.392 20 0 DIADHN CCn1nc(C)c(CN2CC[C@@H](c3cccc(Cl)c3)C2)c1C ZINC000552085312 341368305 /nfs/dbraw/zinc/36/83/05/341368305.db2.gz YWGIPWLTGVXSIN-MRXNPFEDSA-N 0 3 317.864 4.163 20 0 DIADHN CCn1nc(C)c(CN2CC[C@H](c3cccc(Cl)c3)C2)c1C ZINC000552085311 341368317 /nfs/dbraw/zinc/36/83/17/341368317.db2.gz YWGIPWLTGVXSIN-INIZCTEOSA-N 0 3 317.864 4.163 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccoc1 ZINC000552086848 341368454 /nfs/dbraw/zinc/36/84/54/341368454.db2.gz RYQDQCXNVTUCLG-ZTFRIQLXSA-N 0 3 307.340 4.209 20 0 DIADHN Cn1ccnc1[C@H](N[C@H]1CCC[C@H](c2ccccc2)C1)C1CC1 ZINC000552087674 341368687 /nfs/dbraw/zinc/36/86/87/341368687.db2.gz NMGBVIUPQIMYBU-GBESFXJTSA-N 0 3 309.457 4.187 20 0 DIADHN Cc1cccc(CC[C@@H](C)N[C@H](C)c2c(F)cncc2F)c1 ZINC000552093607 341369289 /nfs/dbraw/zinc/36/92/89/341369289.db2.gz SCFWNANNLRURSX-ZIAGYGMSSA-N 0 3 304.384 4.340 20 0 DIADHN Cc1cccc(CC[C@H](C)N[C@@H](C)c2c(F)cncc2F)c1 ZINC000552093604 341369365 /nfs/dbraw/zinc/36/93/65/341369365.db2.gz SCFWNANNLRURSX-KBPBESRZSA-N 0 3 304.384 4.340 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1csc(-c2ccccn2)n1 ZINC000247708892 341369520 /nfs/dbraw/zinc/36/95/20/341369520.db2.gz ZREJDKWCTXMEPK-JKIFEVAISA-N 0 3 313.470 4.358 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000552097485 341369555 /nfs/dbraw/zinc/36/95/55/341369555.db2.gz DBRHIMKNALXABB-KBPBESRZSA-N 0 3 309.413 4.292 20 0 DIADHN CCc1noc(C)c1CNC[C@@H](CC(C)C)c1ccccc1 ZINC000552103349 341370088 /nfs/dbraw/zinc/37/00/88/341370088.db2.gz NJRMOZOHAGYAKT-QGZVFWFLSA-N 0 3 300.446 4.465 20 0 DIADHN CCc1ccc(CN(C)C[C@@H]2OCCc3ccccc32)s1 ZINC000552126678 341371375 /nfs/dbraw/zinc/37/13/75/341371375.db2.gz AQTNTLNAWLYHDO-SFHVURJKSA-N 0 3 301.455 4.056 20 0 DIADHN COc1ccsc1CN1CC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC000552129671 341371756 /nfs/dbraw/zinc/37/17/56/341371756.db2.gz DMUMNYDJDCVPOM-CQSZACIVSA-N 0 3 323.845 4.063 20 0 DIADHN COc1ccc(OC)c([C@@H]2CCCN2Cc2ccc(C)cc2)c1 ZINC000248063521 341372819 /nfs/dbraw/zinc/37/28/19/341372819.db2.gz DQKNTSMFQAWWHE-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCN(Cc3ccno3)C2)c1 ZINC000552143040 341373198 /nfs/dbraw/zinc/37/31/98/341373198.db2.gz IKEQMTJQJXSNPA-ZDUSSCGKSA-N 0 3 310.319 4.073 20 0 DIADHN Cc1ccccc1NC(=O)CCN1C[C@H](C)[C@H]1c1ccccc1 ZINC000248328404 341375505 /nfs/dbraw/zinc/37/55/05/341375505.db2.gz CTPBNDUUEXSSSU-JXFKEZNVSA-N 0 3 308.425 4.017 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000248422306 341377490 /nfs/dbraw/zinc/37/74/90/341377490.db2.gz MPAGUDRDYQPRIZ-RYQLBKOJSA-N 0 3 314.473 4.006 20 0 DIADHN C[C@H](C[S@@](C)=O)N[C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000248448895 341378114 /nfs/dbraw/zinc/37/81/14/341378114.db2.gz DTRMBOLFAZUFRZ-ASNKJTAVSA-N 0 3 315.910 4.174 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@H](C)[C@H]3CCCC[C@H]32)c(C)c1 ZINC000248454501 341378170 /nfs/dbraw/zinc/37/81/70/341378170.db2.gz ZKVUGEMCGUHILQ-RCCFBDPRSA-N 0 3 314.473 4.061 20 0 DIADHN CCCc1csc(CNC[C@@H](c2ccccc2)C2CC2)n1 ZINC000552273016 341382641 /nfs/dbraw/zinc/38/26/41/341382641.db2.gz NHTKCOUKVFOTTN-KRWDZBQOSA-N 0 3 300.471 4.379 20 0 DIADHN CCn1nc(CNC[C@H](c2ccccc2)C2CC2)c2ccccc21 ZINC000552273115 341382687 /nfs/dbraw/zinc/38/26/87/341382687.db2.gz SVBJMQLMLGKAFD-LJQANCHMSA-N 0 3 319.452 4.340 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@]2(C)CCCN2Cc2ccccc2)c1 ZINC000552280393 341383620 /nfs/dbraw/zinc/38/36/20/341383620.db2.gz FWGKFEONKGKPBB-NQIIRXRSSA-N 0 3 323.484 4.095 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H](C)CCc1ccc(F)cc1F ZINC000552282579 341383934 /nfs/dbraw/zinc/38/39/34/341383934.db2.gz GIYMCJAMKKRKRC-OLZOCXBDSA-N 0 3 320.383 4.040 20 0 DIADHN FCC[C@@H]1CCC[C@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000552288427 341384428 /nfs/dbraw/zinc/38/44/28/341384428.db2.gz VUSCCEMHYLPNKR-FUHWJXTLSA-N 0 3 322.493 4.176 20 0 DIADHN FCC[C@H]1CCC[C@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000552288428 341384450 /nfs/dbraw/zinc/38/44/50/341384450.db2.gz VUSCCEMHYLPNKR-SJLPKXTDSA-N 0 3 322.493 4.176 20 0 DIADHN CC(C)OCCCN(C)Cc1ccc(F)c(C(F)(F)F)c1 ZINC000552292445 341384665 /nfs/dbraw/zinc/38/46/65/341384665.db2.gz QMJUPOBGDOAQTC-UHFFFAOYSA-N 0 3 307.331 4.091 20 0 DIADHN c1nc2cc(CN3CC4(CCC4)[C@@H]3c3ccccc3)ccc2[nH]1 ZINC000552315150 341385790 /nfs/dbraw/zinc/38/57/90/341385790.db2.gz HZNBAJHYCYBXTH-IBGZPJMESA-N 0 3 303.409 4.290 20 0 DIADHN c1nc2ccc(CN3CC4(CCC4)[C@@H]3c3ccccc3)cc2[nH]1 ZINC000552315150 341385791 /nfs/dbraw/zinc/38/57/91/341385791.db2.gz HZNBAJHYCYBXTH-IBGZPJMESA-N 0 3 303.409 4.290 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4c(OC)cccc43)CC2)c1 ZINC000552319383 341386139 /nfs/dbraw/zinc/38/61/39/341386139.db2.gz JUMMKEZMNFQLML-LJQANCHMSA-N 0 3 323.436 4.360 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2N[C@H]2CCCc3occc32)cc1F ZINC000248762981 341386371 /nfs/dbraw/zinc/38/63/71/341386371.db2.gz DVASFFITVIVKCZ-BQFCYCMXSA-N 0 3 319.351 4.055 20 0 DIADHN Cc1ccncc1[C@@H](C)NCC(C)(C)Oc1cc(F)cc(F)c1 ZINC000552322723 341386417 /nfs/dbraw/zinc/38/64/17/341386417.db2.gz XHRFCDREWAWOIT-CYBMUJFWSA-N 0 3 320.383 4.176 20 0 DIADHN Fc1ccc([C@@H](NCc2cnn3ccccc23)C2CCCC2)cc1 ZINC000552322210 341386487 /nfs/dbraw/zinc/38/64/87/341386487.db2.gz GWIJXVHFXQUOKW-FQEVSTJZSA-N 0 3 323.415 4.495 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4ccc(OC)cc43)CC2)c1 ZINC000552323201 341386520 /nfs/dbraw/zinc/38/65/20/341386520.db2.gz ACGBFHUWGSHFRU-HXUWFJFHSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1c(F)cccc1N1CCCC1 ZINC000552323551 341386571 /nfs/dbraw/zinc/38/65/71/341386571.db2.gz LLYHYWYXDQAUGL-CCKFTAQKSA-N 0 3 302.437 4.094 20 0 DIADHN CC[C@H](NCc1cc(F)c(F)cc1Br)C1CC1 ZINC000552334106 341387285 /nfs/dbraw/zinc/38/72/85/341387285.db2.gz ZFLXMGRNWNUJBL-ZDUSSCGKSA-N 0 3 304.178 4.006 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C[C@@H](O)CC(F)(F)F)C1CC1 ZINC000248864320 341388842 /nfs/dbraw/zinc/38/88/42/341388842.db2.gz ASABRNCRSLCENX-JQWIXIFHSA-N 0 3 321.770 4.179 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000248911778 341390001 /nfs/dbraw/zinc/39/00/01/341390001.db2.gz YGPNDNVMHMTTTG-JMSVASOKSA-N 0 3 303.381 4.105 20 0 DIADHN CC[C@@H](C)CCC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000552387524 341390524 /nfs/dbraw/zinc/39/05/24/341390524.db2.gz LPVDGAJFDQVRPO-OAHLLOKOSA-N 0 3 302.462 4.356 20 0 DIADHN CCSc1cccc(CN2CC3(CCOCC3)[C@H]2C2CC2)c1 ZINC000552390905 341390828 /nfs/dbraw/zinc/39/08/28/341390828.db2.gz OGLCNXBGMNQWCA-GOSISDBHSA-N 0 3 317.498 4.190 20 0 DIADHN CCSc1cccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)c1 ZINC000552390906 341390861 /nfs/dbraw/zinc/39/08/61/341390861.db2.gz OGLCNXBGMNQWCA-SFHVURJKSA-N 0 3 317.498 4.190 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H](C)N2CCC[C@@H](C)C2)cs1 ZINC000249009178 341391791 /nfs/dbraw/zinc/39/17/91/341391791.db2.gz OICKZXVVIXYMQA-RBSFLKMASA-N 0 3 309.523 4.038 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@H]3CC[C@@H](C)O3)oc21 ZINC000249076844 341393284 /nfs/dbraw/zinc/39/32/84/341393284.db2.gz LVIXJXXOEFCJFN-VNHYZAJKSA-N 0 3 303.402 4.050 20 0 DIADHN Fc1ccc(C(NCC2CCOCC2)c2ccc(F)cc2)cc1 ZINC000552437873 341393854 /nfs/dbraw/zinc/39/38/54/341393854.db2.gz ZQOFGSILHIKBHP-UHFFFAOYSA-N 0 3 317.379 4.070 20 0 DIADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1sc2ccccc2c1Cl ZINC000249121504 341394279 /nfs/dbraw/zinc/39/42/79/341394279.db2.gz ZLMPXGGEWNUHQM-ZSBIGDGJSA-N 0 3 309.862 4.288 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000249168986 341394891 /nfs/dbraw/zinc/39/48/91/341394891.db2.gz AKNCBRBSMJQUNP-HBNTYKKESA-N 0 3 306.397 4.477 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2C[C@@H]3OCCC[C@@H]23)o1 ZINC000249455775 341397827 /nfs/dbraw/zinc/39/78/27/341397827.db2.gz DKZVUBKFUANTIV-LESCRADOSA-N 0 3 317.816 4.257 20 0 DIADHN CCCN(CCC)[C@@H](C)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC000552537183 341397931 /nfs/dbraw/zinc/39/79/31/341397931.db2.gz MYTMKOYKTXYLNT-LBPRGKRZSA-N 0 3 316.367 4.154 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@H]2N[C@@H]1CC=CCC1 ZINC000552554972 341398543 /nfs/dbraw/zinc/39/85/43/341398543.db2.gz CYVPLLYVHMAWNW-QMTHXVAHSA-N 0 3 310.210 4.274 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@H]2N[C@H]1CC=CCC1 ZINC000552554971 341398529 /nfs/dbraw/zinc/39/85/29/341398529.db2.gz CYVPLLYVHMAWNW-IINYFYTJSA-N 0 3 310.210 4.274 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1cc2cc(Cl)ccc2o1 ZINC000249528117 341398807 /nfs/dbraw/zinc/39/88/07/341398807.db2.gz AELXPUCIYKFYLS-YYGKBEQOSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1cc(Cl)ccc1Cl ZINC000249527475 341398813 /nfs/dbraw/zinc/39/88/13/341398813.db2.gz ABQSRYFXYGVXBN-PVRXDPTQSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cccc(O)c1 ZINC000249581028 341399480 /nfs/dbraw/zinc/39/94/80/341399480.db2.gz NJCBQNXXHWYMBU-IGNZVWTISA-N 0 3 317.816 4.226 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCC(C)(C)C[C@@H](C)O ZINC000090086577 341413231 /nfs/dbraw/zinc/41/32/31/341413231.db2.gz DXPFNPWBUPOCOQ-OLZOCXBDSA-N 0 3 313.869 4.186 20 0 DIADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000090588829 341417405 /nfs/dbraw/zinc/41/74/05/341417405.db2.gz REEFUOWMZZGSKA-ZFWWWQNUSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1cc(C[C@@H](C)N[C@H](C)c2ccc(C)o2)n(-c2ccccc2)n1 ZINC000090601435 341417567 /nfs/dbraw/zinc/41/75/67/341417567.db2.gz XOGBVAMSIBPXNK-RHSMWYFYSA-N 0 3 323.440 4.364 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2sccc2C)c1 ZINC000090719040 341418678 /nfs/dbraw/zinc/41/86/78/341418678.db2.gz QWWFORPTLAUBEM-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2cccc(OC(F)F)c2)c1 ZINC000090723910 341418826 /nfs/dbraw/zinc/41/88/26/341418826.db2.gz QNESBPQXPASCFO-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)c(C)c1 ZINC000090859944 341419698 /nfs/dbraw/zinc/41/96/98/341419698.db2.gz URPSQIURLIKNJV-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1ccc2ccccc2n1 ZINC000090845034 341419796 /nfs/dbraw/zinc/41/97/96/341419796.db2.gz QAXCDAIAHPJMIC-MPGHIAIKSA-N 0 3 318.420 4.450 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)s1 ZINC000553202927 341419804 /nfs/dbraw/zinc/41/98/04/341419804.db2.gz QKNPXJAZAFUKCD-LBPRGKRZSA-N 0 3 314.454 4.046 20 0 DIADHN COc1ccccc1[C@H](N[C@H](C)C1CCCC1)c1ccccn1 ZINC000090913159 341420295 /nfs/dbraw/zinc/42/02/95/341420295.db2.gz BWWMISRXROVEKF-QRWLVFNGSA-N 0 3 310.441 4.348 20 0 DIADHN FC(F)(F)c1ccc(C2CCN(Cc3cccnc3)CC2)cc1 ZINC000091100283 341422265 /nfs/dbraw/zinc/42/22/65/341422265.db2.gz RYRDBTQCNLJOOL-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C)cc2OC)C2CC2)cc1 ZINC000091370245 341425371 /nfs/dbraw/zinc/42/53/71/341425371.db2.gz XKSSSPHAWMOLSK-HXUWFJFHSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCS1)c1nc2ccc(Cl)cc2n1C ZINC000091370370 341425417 /nfs/dbraw/zinc/42/54/17/341425417.db2.gz ITCRXGMXLZQKJK-BDJLRTHQSA-N 0 3 323.893 4.163 20 0 DIADHN CCc1ccc(CNC2(c3ccc(F)cc3)CCOCC2)s1 ZINC000553799589 341436144 /nfs/dbraw/zinc/43/61/44/341436144.db2.gz FNBIRHJZSINWRY-UHFFFAOYSA-N 0 3 319.445 4.245 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000553908916 341438254 /nfs/dbraw/zinc/43/82/54/341438254.db2.gz BMZVHENHXBRAFB-WMLDXEAASA-N 0 3 324.896 4.322 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCSC2)c2ccccn2)cc1 ZINC000554072951 341442702 /nfs/dbraw/zinc/44/27/02/341442702.db2.gz JTRNZLZMJPMYTL-RDTXWAMCSA-N 0 3 316.445 4.043 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000554266331 341448042 /nfs/dbraw/zinc/44/80/42/341448042.db2.gz VOOCABBUIZFXQU-CJNGLKHVSA-N 0 3 324.896 4.320 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000554377156 341452457 /nfs/dbraw/zinc/45/24/57/341452457.db2.gz JPRHQKWFMOUNSS-BIGNPOOSSA-N 0 3 321.770 4.170 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000554377157 341452464 /nfs/dbraw/zinc/45/24/64/341452464.db2.gz JPRHQKWFMOUNSS-FZQKWOKYSA-N 0 3 321.770 4.170 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N[C@H]1CCC1(C)C ZINC000554405040 341453797 /nfs/dbraw/zinc/45/37/97/341453797.db2.gz UVDYSWAGXPBIML-VBQJREDUSA-N 0 3 302.462 4.305 20 0 DIADHN CC(C)SCCN[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000554849614 341466434 /nfs/dbraw/zinc/46/64/34/341466434.db2.gz ZUQQVZPEGIATDP-HUUCEWRRSA-N 0 3 317.857 4.040 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1cnc(C)s1 ZINC000554969114 341470755 /nfs/dbraw/zinc/47/07/55/341470755.db2.gz FFSWZVKLDMEIJI-XFFZJAGNSA-N 0 3 324.396 4.246 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1F ZINC000093944810 341487044 /nfs/dbraw/zinc/48/70/44/341487044.db2.gz CYISQYJOEUZWLW-CQSZACIVSA-N 0 3 316.420 4.141 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccc2)cc1F)C(C)(C)O ZINC000555627213 341487614 /nfs/dbraw/zinc/48/76/14/341487614.db2.gz JMGVFHUQEMDBHB-GOSISDBHSA-N 0 3 301.405 4.132 20 0 DIADHN C[C@@H](NCCCSc1ccc(F)cc1)c1ccncc1F ZINC000556053418 341492881 /nfs/dbraw/zinc/49/28/81/341492881.db2.gz SBZHHXJVFVJXQP-GFCCVEGCSA-N 0 3 308.397 4.193 20 0 DIADHN C[C@@H](NC1CC(C)(c2ccccc2)C1)c1cn2ccccc2n1 ZINC000556260038 341499858 /nfs/dbraw/zinc/49/98/58/341499858.db2.gz HZOPLLGCXWPFDH-XRTORIHISA-N 0 3 305.425 4.105 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccn(C)n1 ZINC000556260600 341499860 /nfs/dbraw/zinc/49/98/60/341499860.db2.gz MAOXRRBJQKFMTG-XJKCOSOUSA-N 0 3 303.837 4.060 20 0 DIADHN Clc1ccc([C@H]2CCC[C@@H]2NCc2ccno2)cc1Cl ZINC000564774223 341509218 /nfs/dbraw/zinc/50/92/18/341509218.db2.gz YUDHQMRKDMBQMQ-DOMZBBRYSA-N 0 3 311.212 4.407 20 0 DIADHN CC[C@H](COC)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000152935170 341513007 /nfs/dbraw/zinc/51/30/07/341513007.db2.gz RXFQHCOASDVGAP-CZUORRHYSA-N 0 3 318.486 4.118 20 0 DIADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000565461242 341528082 /nfs/dbraw/zinc/52/80/82/341528082.db2.gz PBPSAZMQDKMSSC-GAJTVXKRSA-N 0 3 308.472 4.008 20 0 DIADHN Clc1cccc(-c2nc(CN3C[C@H]4CCC[C@@H]4C3)co2)c1 ZINC000153181307 341528507 /nfs/dbraw/zinc/52/85/07/341528507.db2.gz KIFXOROUOSUTHV-ZIAGYGMSSA-N 0 3 302.805 4.227 20 0 DIADHN Clc1ccc(-c2cnc(CN3C[C@@H]4CCC[C@H]4C3)o2)cc1 ZINC000153323018 341535212 /nfs/dbraw/zinc/53/52/12/341535212.db2.gz PXXLQXYGVPWXAQ-KBPBESRZSA-N 0 3 302.805 4.227 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CN[C@@H]1CCC[C@H](F)C1 ZINC000565774293 341537843 /nfs/dbraw/zinc/53/78/43/341537843.db2.gz PQNCQVJABXJQEX-DLBZAZTESA-N 0 3 302.368 4.258 20 0 DIADHN CSc1ccc(CN2CCC(Cc3cccnc3)CC2)s1 ZINC000566041291 341546293 /nfs/dbraw/zinc/54/62/93/341546293.db2.gz AMYZGTJBJGNNLV-UHFFFAOYSA-N 0 3 318.511 4.320 20 0 DIADHN COCCNc1ccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000153615101 341575746 /nfs/dbraw/zinc/57/57/46/341575746.db2.gz BOVYTOMLCQAXMU-OXQOHEQNSA-N 0 3 324.468 4.391 20 0 DIADHN CC[C@@H](NCc1c(C)nn(CC)c1C)c1ccc(Cl)cc1 ZINC000307966282 341581804 /nfs/dbraw/zinc/58/18/04/341581804.db2.gz JCAGZWUFOCPSFT-QGZVFWFLSA-N 0 3 305.853 4.414 20 0 DIADHN OCc1ccccc1CN[C@H](c1cccs1)C1CCCC1 ZINC000154089408 341584447 /nfs/dbraw/zinc/58/44/47/341584447.db2.gz WILIQZNUSGPJLX-SFHVURJKSA-N 0 3 301.455 4.262 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CCC[C@@H]1C1CC1 ZINC000567252156 341591458 /nfs/dbraw/zinc/59/14/58/341591458.db2.gz FYWLYUFDFUXELQ-QAPCUYQASA-N 0 3 302.437 4.094 20 0 DIADHN CC(C)CC(=O)Nc1cccc(CN[C@@H]2CCc3ccccc32)c1 ZINC000154784322 341596019 /nfs/dbraw/zinc/59/60/19/341596019.db2.gz CCNBHJVZWHGQHG-HXUWFJFHSA-N 0 3 322.452 4.448 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCc1ccccc1O ZINC000154981076 341599551 /nfs/dbraw/zinc/59/95/51/341599551.db2.gz FPAGBDOITRFXPP-GFCCVEGCSA-N 0 3 318.486 4.348 20 0 DIADHN COc1ccccc1[C@@H](C)NC1CCN(c2ccccc2)CC1 ZINC000268752900 341603453 /nfs/dbraw/zinc/60/34/53/341603453.db2.gz YIRXHGIDNPYCLD-MRXNPFEDSA-N 0 3 310.441 4.015 20 0 DIADHN CC(C)COc1ccc([C@@H](C)NCc2cccc(CO)c2)cc1 ZINC000268835088 341604613 /nfs/dbraw/zinc/60/46/13/341604613.db2.gz BCLCTLWDDIXUSU-MRXNPFEDSA-N 0 3 313.441 4.065 20 0 DIADHN CCCCOc1ccc(CN[C@@H](C)CC(F)(F)F)cc1OC ZINC000155551627 341609177 /nfs/dbraw/zinc/60/91/77/341609177.db2.gz XBBZBGLYARVLBK-LBPRGKRZSA-N 0 3 319.367 4.305 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@@H](C)NC1CC(C(C)(C)C)C1 ZINC000567479988 341609401 /nfs/dbraw/zinc/60/94/01/341609401.db2.gz UHCGVASWPZJKOL-XEBKBJJBSA-N 0 3 318.461 4.129 20 0 DIADHN CC(C)=CCC[C@H](C)N[C@@H](c1nnc2ccccn21)C(C)C ZINC000155574774 341609553 /nfs/dbraw/zinc/60/95/53/341609553.db2.gz HKQUIRWIGCYTMS-DOTOQJQBSA-N 0 3 300.450 4.151 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H]1CCCC12CCOCC2 ZINC000567483404 341609672 /nfs/dbraw/zinc/60/96/72/341609672.db2.gz ZXZCMXIQMNCTMA-QGZVFWFLSA-N 0 3 323.864 4.178 20 0 DIADHN Cc1nc(CN[C@@H](C)Cc2ccc(Cl)cc2Cl)[nH]c1C ZINC000567522465 341612207 /nfs/dbraw/zinc/61/22/07/341612207.db2.gz RFCJCRUHZHMBSG-VIFPVBQESA-N 0 3 312.244 4.054 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cc(Cl)c2c(c1)OCCO2 ZINC000155981306 341615776 /nfs/dbraw/zinc/61/57/76/341615776.db2.gz JFTPEFODLGLTLF-LLVKDONJSA-N 0 3 323.845 4.332 20 0 DIADHN COc1cccc2cc([C@H](C)NCCc3scnc3C)oc21 ZINC000273426267 341635733 /nfs/dbraw/zinc/63/57/33/341635733.db2.gz SLHUIKRBYIESDM-NSHDSACASA-N 0 3 316.426 4.100 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCc1sc(C)nc1C ZINC000157998831 341649613 /nfs/dbraw/zinc/64/96/13/341649613.db2.gz IGRFGIRZMZWXAR-JTQLQIEISA-N 0 3 324.877 4.315 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc3nc(C)ccc3c2)c1 ZINC000275566657 341651215 /nfs/dbraw/zinc/65/12/15/341651215.db2.gz FSBNLDKGPQXTOF-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1ccncc1CCCNC1(c2ccc(F)cc2F)CCC1 ZINC000568403133 341670229 /nfs/dbraw/zinc/67/02/29/341670229.db2.gz KVHTZOMVEUQPHH-UHFFFAOYSA-N 0 3 316.395 4.270 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC1CCC(OC3CCCC3)CC1)CO2 ZINC000568955729 341686580 /nfs/dbraw/zinc/68/65/80/341686580.db2.gz ZGFALWISDKCHSH-KVWWFHCMSA-N 0 3 315.457 4.289 20 0 DIADHN COC[C@@H](N[C@H](C)CCC(F)(F)F)c1cccc(Cl)c1 ZINC000569167226 341692823 /nfs/dbraw/zinc/69/28/23/341692823.db2.gz UVSWFPFWWRDKGZ-ZWNOBZJWSA-N 0 3 309.759 4.348 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccccn1)Oc1ccccc1Cl ZINC000159309857 341694449 /nfs/dbraw/zinc/69/44/49/341694449.db2.gz GDGBGUSIBXNKKK-UONOGXRCSA-N 0 3 304.821 4.243 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H]2CCCc3c2cnn3C)cc1 ZINC000165416932 341711796 /nfs/dbraw/zinc/71/17/96/341711796.db2.gz YCXVSDMXNOHJFD-SUMWQHHRSA-N 0 3 315.486 4.260 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1OCCOC)c1cccs1 ZINC000167633974 341725114 /nfs/dbraw/zinc/72/51/14/341725114.db2.gz JSVIWTBOYJHLHA-INIZCTEOSA-N 0 3 319.470 4.323 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(C3CCOCC3)CC2)cc1F ZINC000573553045 341731626 /nfs/dbraw/zinc/73/16/26/341731626.db2.gz PYCNUINJERVXKO-UPHRSURJSA-N 0 3 321.411 4.117 20 0 DIADHN Clc1sccc1CNCCc1nc2ccccc2s1 ZINC000573607406 341733283 /nfs/dbraw/zinc/73/32/83/341733283.db2.gz OCKOLEPSZNRISP-UHFFFAOYSA-N 0 3 308.859 4.344 20 0 DIADHN c1ccc2c(c1)C[C@H](CNCc1cccc(OC3CCCC3)c1)O2 ZINC000573629561 341734056 /nfs/dbraw/zinc/73/40/56/341734056.db2.gz JVESAZDBVIQSLP-HXUWFJFHSA-N 0 3 323.436 4.101 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2nccc3ccccc32)s1 ZINC000573647678 341734607 /nfs/dbraw/zinc/73/46/07/341734607.db2.gz LCCMEZJLDDKWKF-ZDUSSCGKSA-N 0 3 311.454 4.147 20 0 DIADHN CCOc1cccc(CN[C@H](C)c2nccc3ccccc32)c1 ZINC000573672277 341735543 /nfs/dbraw/zinc/73/55/43/341735543.db2.gz DQFOSWKAKUFYRM-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1[C@@H](C)NC[C@H]1CCCS1 ZINC000168665795 341747527 /nfs/dbraw/zinc/74/75/27/341747527.db2.gz ZMBKACGQJKSZEC-CXAGYDPISA-N 0 3 315.486 4.035 20 0 DIADHN Clc1cccc(Cl)c1[C@H](NCC1CCOCC1)C1CC1 ZINC000575029770 341772706 /nfs/dbraw/zinc/77/27/06/341772706.db2.gz LEQZAARRRQCNIL-MRXNPFEDSA-N 0 3 314.256 4.461 20 0 DIADHN C[C@H](NCc1ccc(NC(=O)c2ccco2)cc1)c1ccccc1 ZINC000172468263 341799250 /nfs/dbraw/zinc/79/92/50/341799250.db2.gz DCZADNNHDAXAEZ-HNNXBMFYSA-N 0 3 320.392 4.383 20 0 DIADHN COc1ccc(CNCc2ccc3[nH]c(C)cc3c2)c(C)c1OC ZINC000575483178 341799454 /nfs/dbraw/zinc/79/94/54/341799454.db2.gz IMSJRCVCBDJYPY-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN CCOCC(C)(C)CNCc1cc(C)c(C)cc1OC(F)F ZINC000575781769 341804186 /nfs/dbraw/zinc/80/41/86/341804186.db2.gz SFTFIDRUZBQCDC-UHFFFAOYSA-N 0 3 315.404 4.057 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@H](C)c2cccc(C)c2)cc1 ZINC000173918656 341821342 /nfs/dbraw/zinc/82/13/42/341821342.db2.gz HKZOAEFQEHFAIN-QGZVFWFLSA-N 0 3 324.468 4.376 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000576056229 341823302 /nfs/dbraw/zinc/82/33/02/341823302.db2.gz ZBHDRTKKBWKUKS-FQEVSTJZSA-N 0 3 316.489 4.158 20 0 DIADHN Cc1ccc(CNCc2ccc3c(n2)CCC3)c2ccccc12 ZINC000576089419 341825498 /nfs/dbraw/zinc/82/54/98/341825498.db2.gz ABHOJYKUAYZEFO-UHFFFAOYSA-N 0 3 302.421 4.322 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(COC)cc2)cc1 ZINC000174323338 341825987 /nfs/dbraw/zinc/82/59/87/341825987.db2.gz VKZKHNDZQLJURK-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN CC(C)c1ccc(CCN[C@H](C)c2c(F)cncc2F)cc1 ZINC000576865029 341866911 /nfs/dbraw/zinc/86/69/11/341866911.db2.gz XBNCDXZPLVZBJH-CYBMUJFWSA-N 0 3 304.384 4.377 20 0 DIADHN Fc1ccc(CCNCc2ccccc2OCC2CC2)c(F)c1 ZINC000577283703 341902348 /nfs/dbraw/zinc/90/23/48/341902348.db2.gz GITCEGIRVPYIOW-UHFFFAOYSA-N 0 3 317.379 4.086 20 0 DIADHN OCC1([C@@H](NCc2ccc(Cl)s2)c2ccccc2)CCC1 ZINC000577372405 341906505 /nfs/dbraw/zinc/90/65/05/341906505.db2.gz VWJCEADNVGIXOC-INIZCTEOSA-N 0 3 321.873 4.395 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000577502132 341913491 /nfs/dbraw/zinc/91/34/91/341913491.db2.gz UIDHHQFVTKTEMF-IFXJQAMLSA-N 0 3 310.441 4.314 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CNCC1=CCCOC1 ZINC000577523902 341915441 /nfs/dbraw/zinc/91/54/41/341915441.db2.gz RMFURAUYICNEAR-JYRVWZFOSA-N 0 3 311.347 4.045 20 0 DIADHN C[C@H](N[C@H]1CCC1(C)C)C(=O)Nc1cccc(Cl)c1Cl ZINC000577525200 341915656 /nfs/dbraw/zinc/91/56/56/341915656.db2.gz NLRZOAQJXLAPFN-CABZTGNLSA-N 0 3 315.244 4.099 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000577564761 341918065 /nfs/dbraw/zinc/91/80/65/341918065.db2.gz WFSRPULCZDXMSP-MDZLAQPJSA-N 0 3 322.449 4.332 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccccc1-n1cccn1 ZINC000177265923 341918268 /nfs/dbraw/zinc/91/82/68/341918268.db2.gz BAUGYVLMQZJNBO-LIRRHRJNSA-N 0 3 321.399 4.350 20 0 DIADHN Fc1ccc(-c2cnc(CN3C[C@@H]4CCCC[C@H]4C3)o2)cc1 ZINC000177534014 341920793 /nfs/dbraw/zinc/92/07/93/341920793.db2.gz PEONGFLMYDFDST-GJZGRUSLSA-N 0 3 300.377 4.103 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCOc2ccc3ccccc3c2)cn1 ZINC000177768332 341937836 /nfs/dbraw/zinc/93/78/36/341937836.db2.gz NMZNMFZBQQIVIF-MRXNPFEDSA-N 0 3 323.440 4.347 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](C)c2cc(C)c(C)cc2C)c1 ZINC000177884848 341939512 /nfs/dbraw/zinc/93/95/12/341939512.db2.gz OYAKJBFIUCOJNQ-KRWDZBQOSA-N 0 3 324.468 4.212 20 0 DIADHN Clc1ccc([C@H](N[C@@H]2CCCOC2)c2ccsc2)cc1 ZINC000177917115 341939652 /nfs/dbraw/zinc/93/96/52/341939652.db2.gz KZXMWZLPILGKLG-CVEARBPZSA-N 0 3 307.846 4.260 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(Cn3ccnc3)cc2)c1C ZINC000177933348 341939876 /nfs/dbraw/zinc/93/98/76/341939876.db2.gz QTQKFXPFLOHKDB-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN Cc1nc(C)c(CCN[C@H](C)c2ccc3ccccc3n2)s1 ZINC000178025643 341941698 /nfs/dbraw/zinc/94/16/98/341941698.db2.gz CDLIZGUZGGLSIW-GFCCVEGCSA-N 0 3 311.454 4.201 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccc(F)cc1OC(F)F ZINC000178072347 341943266 /nfs/dbraw/zinc/94/32/66/341943266.db2.gz VGTICCIJNBTECZ-LLVKDONJSA-N 0 3 317.351 4.037 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H]1CCc3cc(F)ccc31)CCC2 ZINC000178088454 341944002 /nfs/dbraw/zinc/94/40/02/341944002.db2.gz PDWOBENOHWGZAC-GJZGRUSLSA-N 0 3 316.445 4.499 20 0 DIADHN Clc1cccc([C@@H](N[C@@H]2CCCOC2)c2ccccc2)c1 ZINC000178118537 341946884 /nfs/dbraw/zinc/94/68/84/341946884.db2.gz INZAOBPSEGGPAF-MSOLQXFVSA-N 0 3 301.817 4.198 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3c(c2)CCC(=O)N3)c(C)c1 ZINC000178170348 341948524 /nfs/dbraw/zinc/94/85/24/341948524.db2.gz WSRFGRXLPKYGDB-MRXNPFEDSA-N 0 3 322.452 4.347 20 0 DIADHN CCc1cccc(CN[C@@H](c2cccs2)C(C)(C)CO)c1 ZINC000577918531 341948538 /nfs/dbraw/zinc/94/85/38/341948538.db2.gz XXAQBTIYSTXKHA-KRWDZBQOSA-N 0 3 303.471 4.160 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCCOC(C)C)c1 ZINC000178191267 341949047 /nfs/dbraw/zinc/94/90/47/341949047.db2.gz BVEFRBICTFEZIZ-ZDUSSCGKSA-N 0 3 301.377 4.062 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000178459216 341955067 /nfs/dbraw/zinc/95/50/67/341955067.db2.gz GKEZOFPSBYSYNK-FOIQADDNSA-N 0 3 306.409 4.273 20 0 DIADHN C[C@H](NCCOc1cccc(F)c1)c1cnc2ccsc2c1 ZINC000178473802 341955754 /nfs/dbraw/zinc/95/57/54/341955754.db2.gz ZKHHQKJWRRJTRT-LBPRGKRZSA-N 0 3 316.401 4.165 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)c2cn(C)nc2C)cc1 ZINC000178621529 341960085 /nfs/dbraw/zinc/96/00/85/341960085.db2.gz KRSWJTWWPJKYKV-QWHCGFSZSA-N 0 3 303.475 4.252 20 0 DIADHN FCCCN[C@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000178680292 341961714 /nfs/dbraw/zinc/96/17/14/341961714.db2.gz JTGQOULSKPVILY-MRXNPFEDSA-N 0 3 309.331 4.327 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H](CO)c1ccsc1 ZINC000349413310 341973481 /nfs/dbraw/zinc/97/34/81/341973481.db2.gz MVXLHEQOJRDUQE-SJORKVTESA-N 0 3 301.455 4.066 20 0 DIADHN CC[C@@H](NC[C@@H](c1cccs1)N(C)C)c1cc(F)ccc1F ZINC000359592127 517774853 /nfs/dbraw/zinc/77/48/53/517774853.db2.gz NRLDLNBLPOKQPE-CVEARBPZSA-N 0 3 324.440 4.370 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1ccc(OCc2cccc(C)c2)cc1 ZINC000480761243 518118361 /nfs/dbraw/zinc/11/83/61/518118361.db2.gz SEJFNGILVWQKOG-IAGOWNOFSA-N 0 3 313.441 4.087 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@H](OC)c1ccccc1 ZINC000532212599 518154093 /nfs/dbraw/zinc/15/40/93/518154093.db2.gz GHXCDSRKCWUAQW-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@@H](N[C@H]1CCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000190039804 518178554 /nfs/dbraw/zinc/17/85/54/518178554.db2.gz NGGATBHUPXKQNE-RISCZKNCSA-N 0 3 303.381 4.105 20 0 DIADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1ncc(Br)s1 ZINC000556201507 518183403 /nfs/dbraw/zinc/18/34/03/518183403.db2.gz BKSZPZYFQBBYSE-IDWGSYCQSA-N 0 3 315.280 4.135 20 0 DIADHN Cc1cnc([C@@H](C)NCCCCCOc2ccccc2)s1 ZINC000188087409 518191742 /nfs/dbraw/zinc/19/17/42/518191742.db2.gz WQRZKIUXEIIZCM-OAHLLOKOSA-N 0 3 304.459 4.351 20 0 DIADHN Fc1ccccc1CCN[C@H]1CCSc2c(F)cccc21 ZINC000037200132 518196087 /nfs/dbraw/zinc/19/60/87/518196087.db2.gz PSGOJMYBVFUSIG-INIZCTEOSA-N 0 3 305.393 4.334 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H](c2ccccc2)C2CC2)cn1 ZINC000190713933 519633242 /nfs/dbraw/zinc/63/32/42/519633242.db2.gz CLGWHIKDLYIVDN-FQEVSTJZSA-N 0 3 309.457 4.167 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC(c3ccsc3)CC2)cc1 ZINC000188692540 519715809 /nfs/dbraw/zinc/71/58/09/519715809.db2.gz KPDNOBJMURLLIA-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC(c3ccsc3)CC2)c1 ZINC000186956043 519738586 /nfs/dbraw/zinc/73/85/86/519738586.db2.gz CAWYFDQYZHBNFB-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]1c1ccccc1C ZINC000180153705 519750448 /nfs/dbraw/zinc/75/04/48/519750448.db2.gz GULQUBQRIQXGLU-HXUWFJFHSA-N 0 3 308.425 4.291 20 0 DIADHN CC(C)OCCCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000158342896 519762560 /nfs/dbraw/zinc/76/25/60/519762560.db2.gz FNWCSRIAQCCTSC-OAHLLOKOSA-N 0 3 304.459 4.248 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccncc2)CS1 ZINC000364853676 519805842 /nfs/dbraw/zinc/80/58/42/519805842.db2.gz FVCSQJFDLXYUFT-GBESFXJTSA-N 0 3 312.482 4.291 20 0 DIADHN CC(C)c1nc(CCN[C@H]2CCCOc3ccccc32)cs1 ZINC000156998448 519861708 /nfs/dbraw/zinc/86/17/08/519861708.db2.gz IIHJXOZNSSCFRU-INIZCTEOSA-N 0 3 316.470 4.313 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H]2CC[C@@H](C)c3ccccc32)cc1 ZINC000156541730 519891702 /nfs/dbraw/zinc/89/17/02/519891702.db2.gz VJMCGHSBPYIPNB-FOIQADDNSA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@@H](O)c2ccc3ccccc3c2)cn1 ZINC000268860273 519978947 /nfs/dbraw/zinc/97/89/47/519978947.db2.gz DMFCUTJNMQXCOZ-MGPUTAFESA-N 0 3 323.440 4.001 20 0 DIADHN CC(C)n1ncc2cc(CNC3(c4ccccc4)CCC3)cnc21 ZINC000236832222 520082167 /nfs/dbraw/zinc/08/21/67/520082167.db2.gz QRMSFUVFHKBVHC-UHFFFAOYSA-N 0 3 320.440 4.181 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000193364624 520159078 /nfs/dbraw/zinc/15/90/78/520159078.db2.gz MFCSCMBNHKJUPV-CQSZACIVSA-N 0 3 312.413 4.088 20 0 DIADHN CCCOCc1ccccc1CNCc1cccc2[nH]ccc21 ZINC000236894219 520258170 /nfs/dbraw/zinc/25/81/70/520258170.db2.gz AXHKACGFTDOAGG-UHFFFAOYSA-N 0 3 308.425 4.384 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cccc(CO)c2)c(C)c1 ZINC000189268556 520375667 /nfs/dbraw/zinc/37/56/67/520375667.db2.gz FYNLAZNJMXPAKS-MRXNPFEDSA-N 0 3 313.441 4.127 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@@H](C)c2ccccc2C)cc1 ZINC000158964545 520551425 /nfs/dbraw/zinc/55/14/25/520551425.db2.gz GZALRAXXSQTDCG-KRWDZBQOSA-N 0 3 324.468 4.328 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000177876845 520685827 /nfs/dbraw/zinc/68/58/27/520685827.db2.gz JQPRONKAEYKAEQ-CQSZACIVSA-N 0 3 316.470 4.129 20 0 DIADHN CCN(CC)CCSc1nnc(-c2c(C)cc(C)cc2C)o1 ZINC000131196135 520709220 /nfs/dbraw/zinc/70/92/20/520709220.db2.gz IRJYAGFYETYPMN-UHFFFAOYSA-N 0 3 319.474 4.096 20 0 DIADHN CCC[C@@H](NC[C@](C)(O)c1ccccc1Cl)c1cccnc1 ZINC000157517449 520779038 /nfs/dbraw/zinc/77/90/38/520779038.db2.gz OKXXPKXDVKEXMZ-MSOLQXFVSA-N 0 3 318.848 4.074 20 0 DIADHN CCC[C@@H](NCc1cccc(NC(C)=O)c1)c1ccsc1 ZINC000191203461 520781547 /nfs/dbraw/zinc/78/15/47/520781547.db2.gz FJQFQMZMUDWLFA-QGZVFWFLSA-N 0 3 302.443 4.338 20 0 DIADHN CCC[C@@H](NCc1cncn1C)c1cccc(C(F)(F)F)c1 ZINC000236921473 520782938 /nfs/dbraw/zinc/78/29/38/520782938.db2.gz COAPRIXOBZLFCV-OAHLLOKOSA-N 0 3 311.351 4.070 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)Nc1ccsc1)c1ccsc1 ZINC000157377769 520834354 /nfs/dbraw/zinc/83/43/54/520834354.db2.gz CPMPNTMRFGTPSP-AWEZNQCLSA-N 0 3 323.487 4.014 20 0 DIADHN CCN(CC)[C@H](CNCc1ccsc1C)c1ccsc1 ZINC000339183854 520865862 /nfs/dbraw/zinc/86/58/62/520865862.db2.gz VAHLNSLAYCBRHI-MRXNPFEDSA-N 0 3 308.516 4.291 20 0 DIADHN CCOc1ccc(CN2CCC(OCCC(C)C)CC2)cc1 ZINC000339604292 520969018 /nfs/dbraw/zinc/96/90/18/520969018.db2.gz XYJUKIIYJOTNNK-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1c(C)noc1C ZINC000155975507 521017998 /nfs/dbraw/zinc/01/79/98/521017998.db2.gz MGMOGQUZYUCQGL-NSHDSACASA-N 0 3 322.836 4.237 20 0 DIADHN CCCC[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(OC)cc1 ZINC000367743215 521104555 /nfs/dbraw/zinc/10/45/55/521104555.db2.gz ZBAUUWLMNCVTKL-MSOLQXFVSA-N 0 3 313.445 4.317 20 0 DIADHN CCCC[C@H](NCc1cccc(C(=O)NC)c1)c1ccccc1 ZINC000266893728 521239746 /nfs/dbraw/zinc/23/97/46/521239746.db2.gz RRQBOJCTUBVTDX-IBGZPJMESA-N 0 3 310.441 4.067 20 0 DIADHN CCOC1CC(CCNCc2ccc(CSC(F)F)o2)C1 ZINC000188791343 521644850 /nfs/dbraw/zinc/64/48/50/521644850.db2.gz RKDRWBSAQVNQPL-UHFFFAOYSA-N 0 3 319.417 4.030 20 0 DIADHN CCSCC[C@@H](C)[N@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338286259 521711889 /nfs/dbraw/zinc/71/18/89/521711889.db2.gz ZLTLVOYHZNLQJW-CQSZACIVSA-N 0 3 318.486 4.212 20 0 DIADHN CCSCC[C@@H](C)[N@@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338286259 521711892 /nfs/dbraw/zinc/71/18/92/521711892.db2.gz ZLTLVOYHZNLQJW-CQSZACIVSA-N 0 3 318.486 4.212 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H]2CCCc3nn(C)cc32)cc1 ZINC000338018963 521750016 /nfs/dbraw/zinc/75/00/16/521750016.db2.gz OKUDTAMCAFIJHT-DYVFJYSZSA-N 0 3 315.486 4.260 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000338018954 521750216 /nfs/dbraw/zinc/75/02/16/521750216.db2.gz OKUDTAMCAFIJHT-CXAGYDPISA-N 0 3 315.486 4.260 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H]2CCc3c2cccc3F)s1 ZINC000163566010 522226544 /nfs/dbraw/zinc/22/65/44/522226544.db2.gz MTPYBESWIVRHDI-BMIGLBTASA-N 0 3 304.434 4.491 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc3ncccc3c2)s1 ZINC000195147870 522233576 /nfs/dbraw/zinc/23/35/76/522233576.db2.gz SGNMYVPVLGTJGB-LBPRGKRZSA-N 0 3 311.454 4.413 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2sc(C(C)C)nc2C)cs1 ZINC000157062171 522282542 /nfs/dbraw/zinc/28/25/42/522282542.db2.gz NVDHNVAZSIZAGK-NSHDSACASA-N 0 3 323.531 4.487 20 0 DIADHN CCc1nc(CCN[C@H]2CCCOc3cc(C)ccc32)cs1 ZINC000157064721 522284304 /nfs/dbraw/zinc/28/43/04/522284304.db2.gz NYLXRKDQNHJLOB-INIZCTEOSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCC[C@@H](c3cccnc3)C2)cs1 ZINC000337379861 522454390 /nfs/dbraw/zinc/45/43/90/522454390.db2.gz IPJAMLGNKWTBHA-SQWLQELKSA-N 0 3 315.486 4.478 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(C)c(OC)c1)CCC2 ZINC000161794062 522473993 /nfs/dbraw/zinc/47/39/93/522473993.db2.gz KIOVXXQFJBDROE-AWEZNQCLSA-N 0 3 316.470 4.190 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(C)c(OC)c1)CCC2 ZINC000161793936 522479871 /nfs/dbraw/zinc/47/98/71/522479871.db2.gz KIOVXXQFJBDROE-CQSZACIVSA-N 0 3 316.470 4.190 20 0 DIADHN CC[C@@H](CO)N(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337185653 522552096 /nfs/dbraw/zinc/55/20/96/522552096.db2.gz CSVCLWFWSRKQHG-FQEVSTJZSA-N 0 3 320.436 4.008 20 0 DIADHN COc1cc(F)c([C@@H](C)NCCCCCSC)cc1OC ZINC000360010124 522581305 /nfs/dbraw/zinc/58/13/05/522581305.db2.gz DPQTUISUCMQSIH-GFCCVEGCSA-N 0 3 315.454 4.027 20 0 DIADHN CC[C@H](NCCOCC(C)C)c1ccccc1OC(F)F ZINC000337302509 522595139 /nfs/dbraw/zinc/59/51/39/522595139.db2.gz PSTKQQGCZWTSFM-AWEZNQCLSA-N 0 3 301.377 4.001 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](C)c1nccnc1C ZINC000188267942 522617246 /nfs/dbraw/zinc/61/72/46/522617246.db2.gz ZONBUJPZSHONAT-GJZGRUSLSA-N 0 3 301.459 4.007 20 0 DIADHN CC[C@H](NCc1cc(C)cc(Cl)c1)c1c(C)nn(C)c1C ZINC000339284805 522639686 /nfs/dbraw/zinc/63/96/86/522639686.db2.gz IGPCWYKYGSQMRL-INIZCTEOSA-N 0 3 305.853 4.240 20 0 DIADHN CC[C@H](NCc1ccc(-n2cccn2)cc1)c1cccc(OC)c1 ZINC000157569167 522643641 /nfs/dbraw/zinc/64/36/41/522643641.db2.gz JFWNWNXUHBZELA-FQEVSTJZSA-N 0 3 321.424 4.122 20 0 DIADHN CC[C@H](NCc1ccccc1OCCOC)c1ccc(F)cc1 ZINC000265657146 522657177 /nfs/dbraw/zinc/65/71/77/522657177.db2.gz PSYGDJFYMIKPKB-SFHVURJKSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCCC2)c1)c1ccccc1 ZINC000162402230 522658852 /nfs/dbraw/zinc/65/88/52/522658852.db2.gz HXRFTMXOQBWPBE-IBGZPJMESA-N 0 3 309.457 4.313 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCC2)c1)c1ccc(F)cc1 ZINC000131486721 522660708 /nfs/dbraw/zinc/66/07/08/522660708.db2.gz WSIFYPJRZNCQSQ-SFHVURJKSA-N 0 3 313.420 4.062 20 0 DIADHN COC1CCC(N(C)Cc2cnc(-c3ccccc3)s2)CC1 ZINC000265235005 522688105 /nfs/dbraw/zinc/68/81/05/522688105.db2.gz RLUGXDJFHIZHGC-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN CC[C@@H](NCCSC(C)C)c1ccccc1OC(F)F ZINC000338282552 522839805 /nfs/dbraw/zinc/83/98/05/522839805.db2.gz GRXNHJZLLKVLIK-CYBMUJFWSA-N 0 3 303.418 4.470 20 0 DIADHN CC[C@@H](NCCc1c(C)noc1C)c1cccc(Cl)c1F ZINC000161679344 522841714 /nfs/dbraw/zinc/84/17/14/522841714.db2.gz FUJGPQIUDKYPAB-OAHLLOKOSA-N 0 3 310.800 4.367 20 0 DIADHN CC[C@@H](NCCc1cscn1)c1ccccc1OC(F)F ZINC000134853983 522844287 /nfs/dbraw/zinc/84/42/87/522844287.db2.gz NGLCYWUUCDVZIA-CYBMUJFWSA-N 0 3 312.385 4.028 20 0 DIADHN CC[C@@H](N[C@H]1CCSc2ccc(OC)cc21)c1ccncc1 ZINC000191518469 522951046 /nfs/dbraw/zinc/95/10/46/522951046.db2.gz PCTGGDSVXURYHR-SJORKVTESA-N 0 3 314.454 4.368 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1c(C)nn(C)c1C ZINC000163493424 522952499 /nfs/dbraw/zinc/95/24/99/522952499.db2.gz CYDPPPZAKQBOEJ-SJORKVTESA-N 0 3 317.864 4.419 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000336903877 523165156 /nfs/dbraw/zinc/16/51/56/523165156.db2.gz CZWARJHDLFISAR-LJQANCHMSA-N 0 3 314.473 4.077 20 0 DIADHN COCCCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000158303221 523175482 /nfs/dbraw/zinc/17/54/82/523175482.db2.gz HTNBPCDIFKYTTF-JTQLQIEISA-N 0 3 307.768 4.019 20 0 DIADHN CC[C@](C)(NCCCc1ccc(C)nc1)c1nc(C)cs1 ZINC000354514852 523488753 /nfs/dbraw/zinc/48/87/53/523488753.db2.gz RZOFGOHBGPPJIH-KRWDZBQOSA-N 0 3 303.475 4.003 20 0 DIADHN COCCC[C@H](C)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000180686826 523490881 /nfs/dbraw/zinc/49/08/81/523490881.db2.gz QAYUGZBHOLIMDO-KBPBESRZSA-N 0 3 304.459 4.276 20 0 DIADHN CC[S@@](=O)[C@H]1CCC[C@H](N[C@@H](C)c2ccc(Cl)s2)C1 ZINC000162852807 523636556 /nfs/dbraw/zinc/63/65/56/523636556.db2.gz QFFXDCRTPQOQMZ-DEFUNYHZSA-N 0 3 319.923 4.132 20 0 DIADHN CC[S@](=O)[C@H]1CCC[C@H](N[C@@H](C)c2csc(Cl)c2)C1 ZINC000191329740 523653617 /nfs/dbraw/zinc/65/36/17/523653617.db2.gz YANAOWGVJCGQOA-ZOZWFDGUSA-N 0 3 319.923 4.132 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000181099009 523934327 /nfs/dbraw/zinc/93/43/27/523934327.db2.gz GQTHEPCBHQUHSY-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCOc3ccc(OC)cc32)s1 ZINC000156281099 523945961 /nfs/dbraw/zinc/94/59/61/523945961.db2.gz BRMLEJVPUNEBGH-QGZVFWFLSA-N 0 3 317.454 4.323 20 0 DIADHN COCCOc1ccc([C@@H](C)N[C@@H]2CCc3ccccc32)cc1 ZINC000119120059 524051825 /nfs/dbraw/zinc/05/18/25/524051825.db2.gz YAAXLORGLXDBNG-FOIQADDNSA-N 0 3 311.425 4.050 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)c1ccc(C)cc1 ZINC000182347866 524063209 /nfs/dbraw/zinc/06/32/09/524063209.db2.gz IXTZNSFLMDGBGE-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN C[C@@H]1CCCCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000187941456 524087537 /nfs/dbraw/zinc/08/75/37/524087537.db2.gz SVSHWHKRTTWPJT-GFCCVEGCSA-N 0 3 305.809 4.012 20 0 DIADHN C[C@H](CCN[C@H](C)c1cnccn1)c1cccc(C(F)(F)F)c1 ZINC000155868045 524195429 /nfs/dbraw/zinc/19/54/29/524195429.db2.gz HTARKEGGWMWWMZ-CHWSQXEVSA-N 0 3 323.362 4.340 20 0 DIADHN C[C@H](CCO)N(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337193945 524210421 /nfs/dbraw/zinc/21/04/21/524210421.db2.gz VWFDXJPRBDUMGD-QGZVFWFLSA-N 0 3 320.436 4.008 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@H]1C ZINC000249516918 524316640 /nfs/dbraw/zinc/31/66/40/524316640.db2.gz GEXMBFKNHSEKQT-TYNCELHUSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc(-n3cncn3)cc2)cc1C ZINC000178743835 524333115 /nfs/dbraw/zinc/33/31/15/524333115.db2.gz FIYZDWOMNPWHEP-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccc(C(=O)N(C)C)c2)c2ccccc21 ZINC000268775763 524370484 /nfs/dbraw/zinc/37/04/84/524370484.db2.gz VMADFWWSYHGTSK-MGPUTAFESA-N 0 3 322.452 4.117 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC000177337853 524384811 /nfs/dbraw/zinc/38/48/11/524384811.db2.gz GIHQGJBDZNTCRE-RBUKOAKNSA-N 0 3 322.452 4.107 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000155903048 524462295 /nfs/dbraw/zinc/46/22/95/524462295.db2.gz ZTOIALUIYMCNDF-XCGNWRKASA-N 0 3 315.482 4.453 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@@H](C)c3cccc(O)c3)cc2)n1 ZINC000181522335 524486037 /nfs/dbraw/zinc/48/60/37/524486037.db2.gz DUGUOZSRLMFIDI-INIZCTEOSA-N 0 3 321.424 4.046 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000156836395 524627281 /nfs/dbraw/zinc/62/72/81/524627281.db2.gz NPANCJLRRCTAHO-YCPHGPKFSA-N 0 3 316.470 4.418 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000156960564 524782909 /nfs/dbraw/zinc/78/29/09/524782909.db2.gz RLYBWUBNVJAQEM-CVEARBPZSA-N 0 3 321.424 4.293 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccnc(N3CCCCC3)c2)c1 ZINC000162479313 524873871 /nfs/dbraw/zinc/87/38/71/524873871.db2.gz HUBQEMPBHBBKPU-KRWDZBQOSA-N 0 3 309.457 4.231 20 0 DIADHN C[C@H](NCCCSCc1ccccc1)c1ccncc1F ZINC000338338621 525343456 /nfs/dbraw/zinc/34/34/56/525343456.db2.gz CTDXXXWWKHIWCX-AWEZNQCLSA-N 0 3 304.434 4.195 20 0 DIADHN C[C@H](NCCc1csc(-c2ccccc2)n1)c1cncs1 ZINC000161959245 525372927 /nfs/dbraw/zinc/37/29/27/525372927.db2.gz WTGQJSFFRNZFPA-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1ccsc1)c1csc(Cl)c1 ZINC000191303955 525399942 /nfs/dbraw/zinc/39/99/42/525399942.db2.gz BKCJMVREHHWZAO-TVQRCGJNSA-N 0 3 301.864 4.021 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)n1)c1cc2ccccc2s1 ZINC000188275155 525456841 /nfs/dbraw/zinc/45/68/41/525456841.db2.gz FTVNRMCYBLKBRM-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@H](NCc1cccc(O)c1)c1cccc(-c2ccncc2)c1 ZINC000154465517 525459847 /nfs/dbraw/zinc/45/98/47/525459847.db2.gz XPDOJLLBIDCVLF-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN C[C@@H](NCc1ccc(N2CCC(C)CC2)nc1)c1ccccc1 ZINC000129413119 525526206 /nfs/dbraw/zinc/52/62/06/525526206.db2.gz NPCGFHKZMJDZDR-QGZVFWFLSA-N 0 3 309.457 4.169 20 0 DIADHN C[C@@H](NCc1ccc(SC(=O)N(C)C)cc1)c1cccs1 ZINC000182544079 525528929 /nfs/dbraw/zinc/52/89/29/525528929.db2.gz RZSPEOLOGVWZJG-GFCCVEGCSA-N 0 3 320.483 4.373 20 0 DIADHN C[C@H](N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1cnn(C)c1 ZINC000132230279 525543137 /nfs/dbraw/zinc/54/31/37/525543137.db2.gz YAXBYPZQWOWBIB-YVEFUNNKSA-N 0 3 303.837 4.266 20 0 DIADHN Cc1cc([C@H]2CCCN2CC2CCC(C(F)(F)F)CC2)no1 ZINC000365046754 525546155 /nfs/dbraw/zinc/54/61/55/525546155.db2.gz SFOGVKMZFAWSGV-SSDMNJCBSA-N 0 3 316.367 4.489 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1ccc([S@@](C)=O)cc1 ZINC000120389729 525546959 /nfs/dbraw/zinc/54/69/59/525546959.db2.gz RAPHSVUDGQDGDC-DVXDUOKCSA-N 0 3 313.466 4.226 20 0 DIADHN CSCC[C@H](C)N(C)Cc1sc(C)nc1C(F)(F)F ZINC000183612563 525565868 /nfs/dbraw/zinc/56/58/68/525565868.db2.gz RHUQFEQWFREKGJ-QMMMGPOBSA-N 0 3 312.426 4.044 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)c1cnn(C)c1 ZINC000163830360 525611770 /nfs/dbraw/zinc/61/17/70/525611770.db2.gz IVDHLCCWXIVKFG-JKSUJKDBSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(-c2ccccc2)c1)c1cnn(C)c1 ZINC000163830477 525659481 /nfs/dbraw/zinc/65/94/81/525659481.db2.gz IVDHLCCWXIVKFG-HZPDHXFCSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@@H](N[C@H](c1ccc(Cl)cc1)C1CCC1)c1cnn(C)c1 ZINC000132230856 525670429 /nfs/dbraw/zinc/67/04/29/525670429.db2.gz YAXBYPZQWOWBIB-PXAZEXFGSA-N 0 3 303.837 4.266 20 0 DIADHN Cc1cc2ncc([C@H](C)NC3(c4ccccc4)CCC3)c(C)n2n1 ZINC000180346587 525681835 /nfs/dbraw/zinc/68/18/35/525681835.db2.gz NQTDEOFAQLGDNI-HNNXBMFYSA-N 0 3 320.440 4.076 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(Cn3cccn3)c2)s1 ZINC000129369353 525732626 /nfs/dbraw/zinc/73/26/26/525732626.db2.gz JUYLUROKDUNPFN-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN CSCc1cnc(CNC[C@@]2(C)C[C@@H]2c2ccccc2)s1 ZINC000339444675 525751842 /nfs/dbraw/zinc/75/18/42/525751842.db2.gz NEEDOICJIDZUCP-NVXWUHKLSA-N 0 3 318.511 4.290 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccc[nH]2)cc1 ZINC000127422132 525793022 /nfs/dbraw/zinc/79/30/22/525793022.db2.gz NLTAMCBSQKOYKM-SFHVURJKSA-N 0 3 306.413 4.050 20 0 DIADHN C[C@@]1(CNCc2nccn2-c2ccccc2)C[C@@H]1c1ccccc1 ZINC000339455922 525849651 /nfs/dbraw/zinc/84/96/51/525849651.db2.gz ULGJZMYSOKDOMR-CTNGQTDRSA-N 0 3 317.436 4.156 20 0 DIADHN C[C@@]1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CCOC1 ZINC000361384979 525852211 /nfs/dbraw/zinc/85/22/11/525852211.db2.gz HVBTUFBBEBENIJ-YGRLFVJLSA-N 0 3 320.285 4.449 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2CN[C@@H](C)c2ccccn2)cc1 ZINC000159268859 525863499 /nfs/dbraw/zinc/86/34/99/525863499.db2.gz CRKFHJBDYJDXIC-HQRMLTQVSA-N 0 3 310.441 4.209 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccc(OCC2CC2)nc1 ZINC000131330208 525868693 /nfs/dbraw/zinc/86/86/93/525868693.db2.gz LWEPTLLQOQMFFB-CYBMUJFWSA-N 0 3 302.443 4.091 20 0 DIADHN C[C@@]1(NCc2cnc(C(F)(F)F)s2)C[C@H]1c1ccccc1 ZINC000339473341 525875043 /nfs/dbraw/zinc/87/50/43/525875043.db2.gz PWKTXZDSEFHDKB-GXTWGEPZSA-N 0 3 312.360 4.198 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cccc(Cn2cccn2)c1 ZINC000129425601 525886233 /nfs/dbraw/zinc/88/62/33/525886233.db2.gz ATHQTADOFXMECR-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1c([C@@H](C)NCc2cccc3cccnc32)cnn1C(C)C ZINC000191237972 525899002 /nfs/dbraw/zinc/89/90/02/525899002.db2.gz MRRCGPHIRPABCS-CQSZACIVSA-N 0 3 308.429 4.171 20 0 DIADHN C[C@H](C(=O)Nc1cccc2ccsc21)N1[C@H](C)CC[C@H]1C ZINC000337469075 526160866 /nfs/dbraw/zinc/16/08/66/526160866.db2.gz UIAWHWSSPLYJAZ-JHJVBQTASA-N 0 3 302.443 4.101 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1C(C)(C)C)[C@@H](N)c1ccccc1 ZINC000237472932 526164990 /nfs/dbraw/zinc/16/49/90/526164990.db2.gz OUXDPIFVJGZQLU-KBXCAEBGSA-N 0 3 310.441 4.259 20 0 DIADHN C[C@H](c1cnccn1)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000364138410 526165972 /nfs/dbraw/zinc/16/59/72/526165972.db2.gz IZCMDUFQDBFXLG-TZMCWYRMSA-N 0 3 321.346 4.046 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(OCC(F)(F)F)cc2)c1 ZINC000181841202 526204883 /nfs/dbraw/zinc/20/48/83/526204883.db2.gz HDQLPNUORQADOX-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(N3CCCC3)c1)[C@@H](C)C2 ZINC000180065203 526210152 /nfs/dbraw/zinc/21/01/52/526210152.db2.gz NAUQRYUHVVRMBO-HRAATJIYSA-N 0 3 321.468 4.013 20 0 DIADHN Cc1cncc([C@@H](C)NCc2cc(Br)ccc2C)c1 ZINC000190697871 526211590 /nfs/dbraw/zinc/21/15/90/526211590.db2.gz YRNIDQHBQHUTHH-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCC[C@@H](c3cccnc3)C2)c(F)c1 ZINC000339523465 526303799 /nfs/dbraw/zinc/30/37/99/526303799.db2.gz LTSHOHGSTPJQHQ-DOMZBBRYSA-N 0 3 320.358 4.315 20 0 DIADHN Fc1cc2cccnc2c(CN[C@@H]2CCCC[C@@H]2OC(F)F)c1 ZINC000337381800 526312170 /nfs/dbraw/zinc/31/21/70/526312170.db2.gz DERURRQZABGOMY-CABCVRRESA-N 0 3 324.346 4.014 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CNCc2coc3ccccc23)cc1 ZINC000339409537 526314876 /nfs/dbraw/zinc/31/48/76/526314876.db2.gz GNWRRDNOSWXWFD-UHFFFAOYSA-N 0 3 321.355 4.252 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CNCc1coc2ccccc12 ZINC000339407991 526394977 /nfs/dbraw/zinc/39/49/77/526394977.db2.gz UHOHOLAAEYYASX-UHFFFAOYSA-N 0 3 303.365 4.113 20 0 DIADHN c1c(CNCc2ccc(C3CCC3)cc2)[nH]nc1-c1cccnc1 ZINC000365191348 526400171 /nfs/dbraw/zinc/40/01/71/526400171.db2.gz RMBZJJILSXKKDF-UHFFFAOYSA-N 0 3 318.424 4.029 20 0 DIADHN c1c[nH]c([C@@H]2CCCN2Cc2cccc(-c3cccnc3)c2)c1 ZINC000127412625 526408765 /nfs/dbraw/zinc/40/87/65/526408765.db2.gz WMAZVRSATHBNNK-FQEVSTJZSA-N 0 3 303.409 4.414 20 0 DIADHN Cc1csc([C@@H](NCCCCC2CCOCC2)C2CC2)n1 ZINC000337182193 526416375 /nfs/dbraw/zinc/41/63/75/526416375.db2.gz MVYUKRITUCSBCV-INIZCTEOSA-N 0 3 308.491 4.089 20 0 DIADHN Fc1ccccc1[C@@H](Cc1ccccc1)NCC1=CCCOC1 ZINC000127482435 526417346 /nfs/dbraw/zinc/41/73/46/526417346.db2.gz KAKNMOYQOQBNDY-HXUWFJFHSA-N 0 3 311.400 4.046 20 0 DIADHN c1cc2cccc(CNCc3ccnc(OC4CCCC4)c3)c2[nH]1 ZINC000236637707 526449545 /nfs/dbraw/zinc/44/95/45/526449545.db2.gz GXXPTGWEVAPGDK-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN Cc1noc(C)c1CCNCc1ccc(Oc2ccccc2)o1 ZINC000180912454 526497203 /nfs/dbraw/zinc/49/72/03/526497203.db2.gz ZIIVOPDTDQRPIC-UHFFFAOYSA-N 0 3 312.369 4.009 20 0 DIADHN c1ccc([C@H](NCCCOC2CCCC2)c2ccccn2)cc1 ZINC000180335356 526497045 /nfs/dbraw/zinc/49/70/45/526497045.db2.gz BHGNMYGGHTUYBT-FQEVSTJZSA-N 0 3 310.441 4.110 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNCc1ccc(-c2ccccc2)o1 ZINC000128538795 526565859 /nfs/dbraw/zinc/56/58/59/526565859.db2.gz WAQUTMOJONPELG-ZDUSSCGKSA-N 0 3 310.397 4.445 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H]3CC[C@@H]3C3CCC3)o2)cs1 ZINC000337993965 526570774 /nfs/dbraw/zinc/57/07/74/526570774.db2.gz FKTSPMBPDPDHEU-HUUCEWRRSA-N 0 3 302.443 4.380 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H]3CC[C@H]3C3CCC3)o2)cs1 ZINC000337993960 526570941 /nfs/dbraw/zinc/57/09/41/526570941.db2.gz FKTSPMBPDPDHEU-GJZGRUSLSA-N 0 3 302.443 4.380 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)N[C@H]1CCCOC1 ZINC000132551356 526575862 /nfs/dbraw/zinc/57/58/62/526575862.db2.gz ZBVSJHXFXQRKOG-NHYWBVRUSA-N 0 3 320.433 4.087 20 0 DIADHN c1csc([C@@H]2CCCN(Cc3cnc4ccccc4c3)C2)n1 ZINC000337127630 526585890 /nfs/dbraw/zinc/58/58/90/526585890.db2.gz HAGBFTBILASOTG-MRXNPFEDSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1noc([C@H]2CCCN(C[C@@H](C)c3cccc(Cl)c3)C2)n1 ZINC000189725362 526668860 /nfs/dbraw/zinc/66/88/60/526668860.db2.gz AGONAGKFRLZPHK-DOMZBBRYSA-N 0 3 319.836 4.015 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@H](O)c1ccccc1F ZINC000268770241 526677016 /nfs/dbraw/zinc/67/70/16/526677016.db2.gz DOVDYZITLMDBMM-ABAIWWIYSA-N 0 3 322.449 4.098 20 0 DIADHN Cc1nocc1CN[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC000339331009 526698577 /nfs/dbraw/zinc/69/85/77/526698577.db2.gz GGHRMBLOAGVKNR-ZBFHGGJFSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1sccc1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000339321026 526920145 /nfs/dbraw/zinc/92/01/45/526920145.db2.gz KJNOLRGDTJSKKL-CRAIPNDOSA-N 0 3 301.455 4.314 20 0 DIADHN O=C1CCCN1c1cccc(CN[C@H](c2ccccc2)C2CC2)c1 ZINC000180017735 526982163 /nfs/dbraw/zinc/98/21/63/526982163.db2.gz JWHRGNYJAXBYJW-OAQYLSRUSA-N 0 3 320.436 4.054 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@H]2CCCOC2)o1 ZINC000237660396 526984638 /nfs/dbraw/zinc/98/46/38/526984638.db2.gz MUWWBSHANNNYNM-CYBMUJFWSA-N 0 3 305.805 4.116 20 0 DIADHN Cc1nc([C@@H]2CCCN2C[C@@H]2CCCC(F)(F)C2)cs1 ZINC000338295530 527052167 /nfs/dbraw/zinc/05/21/67/527052167.db2.gz OFZUAHZSHTYCOI-OCCSQVGLSA-N 0 3 300.418 4.414 20 0 DIADHN Cn1c2ccc(C(F)(F)F)cc2nc1CNCCC1=CCCC1 ZINC000338197695 527099805 /nfs/dbraw/zinc/09/98/05/527099805.db2.gz RHTVDYWEOHMXNI-UHFFFAOYSA-N 0 3 323.362 4.182 20 0 DIADHN Cc1ncc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000339280493 527276032 /nfs/dbraw/zinc/27/60/32/527276032.db2.gz DTYSSOGOKJSKDE-KRWDZBQOSA-N 0 3 301.409 4.191 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cnc2ccccc2c1 ZINC000337168777 527403806 /nfs/dbraw/zinc/40/38/06/527403806.db2.gz DIIWRAGQQZIQQC-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000188468897 527456771 /nfs/dbraw/zinc/45/67/71/527456771.db2.gz YTJZRHTZPSAHAA-WRWLIDTKSA-N 0 3 309.457 4.395 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NC1(c2ccc(Cl)cc2)CCC1 ZINC000180353815 527577400 /nfs/dbraw/zinc/57/74/00/527577400.db2.gz LYHBCDODXCLIJS-INIZCTEOSA-N 0 3 315.848 4.120 20 0 DIADHN FC(F)(F)c1ncc(CNCC2CCC(F)(F)CC2)s1 ZINC000337273383 527690559 /nfs/dbraw/zinc/69/05/59/527690559.db2.gz CQSCXKDFTQHKRS-UHFFFAOYSA-N 0 3 314.323 4.077 20 0 DIADHN FC(F)SCc1ccc(CNCCC[C@@H]2CCCCO2)o1 ZINC000186285195 527697673 /nfs/dbraw/zinc/69/76/73/527697673.db2.gz FAUBAGCLJMVVBF-LBPRGKRZSA-N 0 3 319.417 4.174 20 0 DIADHN FC(F)c1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)cc1 ZINC000364665660 527698974 /nfs/dbraw/zinc/69/89/74/527698974.db2.gz WXDSQMQXMIHILH-MSOLQXFVSA-N 0 3 317.379 4.492 20 0 DIADHN FC(F)c1ccc(CN2CCC(c3ccncc3)CC2)cc1 ZINC000191670731 527699128 /nfs/dbraw/zinc/69/91/28/527699128.db2.gz CGNONVCZLBRVPY-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN CC(C)(C)[C@H](NCc1ccc(CO)cc1)c1ccc(F)cc1 ZINC000272406689 535095677 /nfs/dbraw/zinc/09/56/77/535095677.db2.gz ZQRZATPMPMODSP-GOSISDBHSA-N 0 3 301.405 4.195 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@@H](C)c2cccnc2Cl)CCO1 ZINC000272544159 535124216 /nfs/dbraw/zinc/12/42/16/535124216.db2.gz CGXHNWYUDUSIAB-NOLJZWGESA-N 0 3 310.869 4.369 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@H](C)c2ccc(Cl)cn2)CCO1 ZINC000274767580 535124395 /nfs/dbraw/zinc/12/43/95/535124395.db2.gz LVCFRVDYJRGRQY-PVUWLOKVSA-N 0 3 310.869 4.369 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@@H](C)c2ccc(Cl)cn2)CCO1 ZINC000274767578 535130784 /nfs/dbraw/zinc/13/07/84/535130784.db2.gz LVCFRVDYJRGRQY-MJEQTWJJSA-N 0 3 310.869 4.369 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc(Cl)c1)c1cccs1 ZINC000289303892 535171376 /nfs/dbraw/zinc/17/13/76/535171376.db2.gz INWDWOLKONHHRR-HNNXBMFYSA-N 0 3 309.862 4.251 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccc(C(F)(F)F)cc1 ZINC000285034323 535226193 /nfs/dbraw/zinc/22/61/93/535226193.db2.gz HVPACUTWTVTWEO-XHDPSFHLSA-N 0 3 311.351 4.476 20 0 DIADHN CCSc1cccc(CNCc2ccc(C(C)(C)O)cc2)c1 ZINC000274775747 535742785 /nfs/dbraw/zinc/74/27/85/535742785.db2.gz JNIVVPMLSOYSOB-UHFFFAOYSA-N 0 3 315.482 4.316 20 0 DIADHN CC[C@@H](NCc1cc(C)nc(N2CCCC2)c1)c1ccsc1 ZINC000297192089 535805611 /nfs/dbraw/zinc/80/56/11/535805611.db2.gz FLXXVGLXCFVMKY-QGZVFWFLSA-N 0 3 315.486 4.293 20 0 DIADHN CC[C@@H](NCc1ccc(COCCOC)cc1)c1ccsc1 ZINC000297210006 535806009 /nfs/dbraw/zinc/80/60/09/535806009.db2.gz GPFATJLZMUFIPG-GOSISDBHSA-N 0 3 319.470 4.152 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCC2)nc1)c1cccc(C)c1 ZINC000285519384 535806030 /nfs/dbraw/zinc/80/60/30/535806030.db2.gz DWRIGYYDQVEDKO-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)OC)c1)c1ccc(F)cc1 ZINC000284972797 535806187 /nfs/dbraw/zinc/80/61/87/535806187.db2.gz DDGQBSSIUINFAH-QGZVFWFLSA-N 0 3 316.376 4.245 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1cccc(Cl)c1 ZINC000280279932 535808303 /nfs/dbraw/zinc/80/83/03/535808303.db2.gz YKJXXVUJOOYLNL-HZPDHXFCSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)NC2CC2)c1)c1cccc(C)c1 ZINC000286126718 535917643 /nfs/dbraw/zinc/91/76/43/535917643.db2.gz OILGRKMQGGJXNQ-FQEVSTJZSA-N 0 3 322.452 4.128 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)CC/C=C/c1ccccc1 ZINC000275848404 535927945 /nfs/dbraw/zinc/92/79/45/535927945.db2.gz NIZAFGZAHBRWSM-WUXMJOGZSA-N 0 3 322.452 4.223 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)CC1CCCCCC1 ZINC000275571665 535928841 /nfs/dbraw/zinc/92/88/41/535928841.db2.gz FNUJSMKFOIZIMO-UHFFFAOYSA-N 0 3 302.462 4.090 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(OC)cc1)c1ccsc1 ZINC000297155580 535944570 /nfs/dbraw/zinc/94/45/70/535944570.db2.gz CODSCXALCFMIRO-ZWKOTPCHSA-N 0 3 318.486 4.100 20 0 DIADHN CN(Cc1coc(-c2ccccc2)n1)C1CCC(F)(F)CC1 ZINC000286731870 536485370 /nfs/dbraw/zinc/48/53/70/536485370.db2.gz HFKBAKAPWQBDPF-UHFFFAOYSA-N 0 3 306.356 4.351 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@H](c3ccccc3)C2)cs1 ZINC000275496474 536512128 /nfs/dbraw/zinc/51/21/28/536512128.db2.gz LRICLJNQOJTINB-VNQPRFMTSA-N 0 3 316.470 4.276 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(Cl)nc2)cc1C ZINC000273186098 536624997 /nfs/dbraw/zinc/62/49/97/536624997.db2.gz PBAJLJGQXVPHCT-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CCCCOCCCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000013719994 563662633 /nfs/dbraw/zinc/66/26/33/563662633.db2.gz MCYYKFQMXPGEQW-UHFFFAOYSA-N 0 3 305.393 4.382 20 0 DIADHN C[C@@H](NCc1cc(Br)cs1)c1cccs1 ZINC000020306317 563416996 /nfs/dbraw/zinc/41/69/96/563416996.db2.gz PYSJZJJWGSOPAJ-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN Brc1cc2c(s1)CC[C@@H]2NCc1cccs1 ZINC000293960363 1038267083 /nfs/dbraw/zinc/26/70/83/1038267083.db2.gz ZWTRKQODAUPLNA-JTQLQIEISA-N 0 3 314.273 4.349 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N3Cc4ccc(Br)cc4C3)C[C@@H]21 ZINC001170737619 1041802151 /nfs/dbraw/zinc/80/21/51/1041802151.db2.gz AXSUIRWHDSREHH-QDMKHBRRSA-N 0 3 306.247 4.199 20 0 DIADHN Cc1cc(Br)ccc1CN1CCC[C@@H](CCF)C1 ZINC001171954300 1051241867 /nfs/dbraw/zinc/24/18/67/1051241867.db2.gz HLJHWDSTHPYWJC-ZDUSSCGKSA-N 0 3 314.242 4.329 20 0 DIADHN CC(C)(C)CCCNCc1cc(Br)cnc1Cl ZINC001308279087 1065877932 /nfs/dbraw/zinc/87/79/32/1065877932.db2.gz JLDODTCQFHWSJI-UHFFFAOYSA-N 0 3 319.674 4.413 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCCO2)c1ccsc1 ZINC000061360696 1035500628 /nfs/dbraw/zinc/50/06/28/1035500628.db2.gz BCVPQPWNCHOLHY-CHWSQXEVSA-N 0 3 303.427 4.321 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@H](c3ccccc3)C2)cs1 ZINC000683077961 1035510701 /nfs/dbraw/zinc/51/07/01/1035510701.db2.gz BBMYIRDYFPVZPC-HOTGVXAUSA-N 0 3 316.470 4.106 20 0 DIADHN C=Cn1cc(CN(C)[C@@H](C)Cc2ccccc2C(F)(F)F)cn1 ZINC000349021805 1035535868 /nfs/dbraw/zinc/53/58/68/1035535868.db2.gz DCASBMPWEQQAIN-ZDUSSCGKSA-N 0 3 323.362 4.065 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OCC(F)(F)F)c2)CCC1(F)F ZINC000579766928 1035574649 /nfs/dbraw/zinc/57/46/49/1035574649.db2.gz KWUGWYQCQFDYSD-LLVKDONJSA-N 0 3 323.305 4.105 20 0 DIADHN CN(C)[C@@H](CNC(=O)CCCC1CCCCC1)c1ccccc1 ZINC000301384609 1035577000 /nfs/dbraw/zinc/57/70/00/1035577000.db2.gz ISXDXDFAUSZPDC-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN COc1ccc2oc(CN3CCC4(CC4(F)F)CC3)cc2c1 ZINC000651953399 1035637644 /nfs/dbraw/zinc/63/76/44/1035637644.db2.gz GFAHIPYAEGDDNE-UHFFFAOYSA-N 0 3 307.340 4.063 20 0 DIADHN Clc1ccc(CN(Cc2ccc3c[nH]nc3c2)C2CC2)cc1 ZINC001167061845 1035679957 /nfs/dbraw/zinc/67/99/57/1035679957.db2.gz FBULNYILJXQFDU-UHFFFAOYSA-N 0 3 311.816 4.381 20 0 DIADHN CC1CCC(N(C)Cc2ccn(-c3cccc(F)c3)n2)CC1 ZINC000064555496 1035698823 /nfs/dbraw/zinc/69/88/23/1035698823.db2.gz NWRRIMSLGGHFGN-UHFFFAOYSA-N 0 3 301.409 4.022 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)CC1CCCCC1 ZINC000029455107 1035702030 /nfs/dbraw/zinc/70/20/30/1035702030.db2.gz ATJUJLMNTZKKQR-UHFFFAOYSA-N 0 3 302.462 4.008 20 0 DIADHN CSCCCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000610211587 1035737213 /nfs/dbraw/zinc/73/72/13/1035737213.db2.gz KJAUWZCNIUPDLC-CQSZACIVSA-N 0 3 314.292 4.339 20 0 DIADHN CCC1CCC(N(CC(=O)Nc2ccc(C)cc2)C2CC2)CC1 ZINC001167071320 1035760830 /nfs/dbraw/zinc/76/08/30/1035760830.db2.gz KXIWGOUJCINTHC-UHFFFAOYSA-N 0 3 314.473 4.367 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc3ncccc3c2)n1 ZINC000127004906 1035826297 /nfs/dbraw/zinc/82/62/97/1035826297.db2.gz NORUULRONDJYDC-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccc4c(c3)CCO4)cc2c1C ZINC000068411678 1035843788 /nfs/dbraw/zinc/84/37/88/1035843788.db2.gz AZLWANDCYFJWOD-UHFFFAOYSA-N 0 3 306.409 4.009 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1ccc(Cl)cn1 ZINC000558410263 1035863757 /nfs/dbraw/zinc/86/37/57/1035863757.db2.gz NYXPHUOZHNSECT-HOCLYGCPSA-N 0 3 300.833 4.188 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c1cnn2C)c1cccc(Cl)c1 ZINC000036921573 1035886534 /nfs/dbraw/zinc/88/65/34/1035886534.db2.gz HAVKCBQPRWEGSG-JKSUJKDBSA-N 0 3 303.837 4.192 20 0 DIADHN CCCCN(Cc1nnc(C)n1C1CC1)[C@@H]1CCC[C@@H](C)C1 ZINC000339644151 1035897441 /nfs/dbraw/zinc/89/74/41/1035897441.db2.gz CQRLHFPXUDMVKW-RHSMWYFYSA-N 0 3 304.482 4.102 20 0 DIADHN Oc1cccc(CN[C@H]2CCc3ccc(OC(F)(F)F)cc32)c1 ZINC000154464822 1035899375 /nfs/dbraw/zinc/89/93/75/1035899375.db2.gz ODLCSLBKDSBKLP-INIZCTEOSA-N 0 3 323.314 4.068 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@@H](CC3CC3)C2)c(C)c1 ZINC000339679658 1035906731 /nfs/dbraw/zinc/90/67/31/1035906731.db2.gz TYOFBYPNHQOHFI-WMZOPIPTSA-N 0 3 314.473 4.061 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccnc(OC)c2)cc1 ZINC000339680650 1035910051 /nfs/dbraw/zinc/91/00/51/1035910051.db2.gz HMNOPFDZDNSLNJ-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN C[C@H](CN1CCC(Oc2cccc(Cl)c2)CC1)C(F)(F)F ZINC001208894080 1035917133 /nfs/dbraw/zinc/91/71/33/1035917133.db2.gz OESQJVJHCSWCGQ-LLVKDONJSA-N 0 3 321.770 4.382 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208893967 1035917306 /nfs/dbraw/zinc/91/73/06/1035917306.db2.gz LXWQOXLRIXYKNF-KGLIPLIRSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)c(Cl)c1 ZINC000037051739 1035928066 /nfs/dbraw/zinc/92/80/66/1035928066.db2.gz UMVPYQNDLFVAJO-ZUZCIYMTSA-N 0 3 324.255 4.455 20 0 DIADHN CC(C)CCc1nc(CN[C@@H](C(C)C)C2(CO)CCC2)cs1 ZINC000339732579 1035946802 /nfs/dbraw/zinc/94/68/02/1035946802.db2.gz YVHRVYBWUZRVSO-KRWDZBQOSA-N 0 3 324.534 4.009 20 0 DIADHN C[C@@H](NC1CCC(O)CC1)c1cc(F)c(Cl)cc1Cl ZINC000037201892 1035960171 /nfs/dbraw/zinc/96/01/71/1035960171.db2.gz CXCIRQSXUZHBIC-XNWIYYODSA-N 0 3 306.208 4.087 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2ccccc2C)c2ccccc21 ZINC000339756273 1035960984 /nfs/dbraw/zinc/96/09/84/1035960984.db2.gz MPUBAEVYYWKGGQ-HNAYVOBHSA-N 0 3 308.425 4.144 20 0 DIADHN CCCCN(Cc1nnc(C2CCC2)n1C)C1CCCCC1 ZINC000339795436 1035964948 /nfs/dbraw/zinc/96/49/48/1035964948.db2.gz HQHZRBIWBZYYMW-UHFFFAOYSA-N 0 3 304.482 4.017 20 0 DIADHN CC(C)n1cc(CN2CCC(c3c[nH]c4ccccc43)CC2)cn1 ZINC000179875067 1035983480 /nfs/dbraw/zinc/98/34/80/1035983480.db2.gz ZCQPTOFJINYYAN-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN Fc1cccc([C@H]2CCCN2CCc2ccc(F)c(F)c2)c1 ZINC001167094345 1035983747 /nfs/dbraw/zinc/98/37/47/1035983747.db2.gz MHSHVMSZHGMLGT-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N(C)CCCOc1ccc(Cl)cc1 ZINC001167094710 1035989972 /nfs/dbraw/zinc/98/99/72/1035989972.db2.gz ZLFUVNDCWNAASX-ZDUSSCGKSA-N 0 3 322.811 4.336 20 0 DIADHN COCC[C@H](N[C@@H](c1ncccc1C)C(C)C)c1ccccc1 ZINC000339867336 1036011358 /nfs/dbraw/zinc/01/13/58/1036011358.db2.gz PLYYREBICCRGJG-RBUKOAKNSA-N 0 3 312.457 4.455 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2nnc(-c3cccc(F)c3)o2)CC1 ZINC000075744931 1036019925 /nfs/dbraw/zinc/01/99/25/1036019925.db2.gz HQFKEEVOROBQQB-CQSZACIVSA-N 0 3 317.408 4.134 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1cccc(-n2cccn2)c1 ZINC000154824717 1036020217 /nfs/dbraw/zinc/02/02/17/1036020217.db2.gz IPCRAVBYRVCELQ-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cccc(OC(F)F)c1 ZINC000065234370 1036035417 /nfs/dbraw/zinc/03/54/17/1036035417.db2.gz MWDFJIOZULSBTM-UHFFFAOYSA-N 0 3 309.331 4.449 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2cccc(F)c2)c1 ZINC000154850433 1036035462 /nfs/dbraw/zinc/03/54/62/1036035462.db2.gz QSRNPCJSUVCKLX-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](c2ccc(F)cc2)C2CC2)c1 ZINC000155034953 1036099772 /nfs/dbraw/zinc/09/97/72/1036099772.db2.gz LFSKKIAZNKNFPS-IBGZPJMESA-N 0 3 312.388 4.025 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)Cc3cccs3)co2)cc1 ZINC000085299586 1036117492 /nfs/dbraw/zinc/11/74/92/1036117492.db2.gz OYAIYIYTLMKEQY-AWEZNQCLSA-N 0 3 312.438 4.432 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(SC)cc1 ZINC000037762710 1036135242 /nfs/dbraw/zinc/13/52/42/1036135242.db2.gz WFRVJTARMOEKEY-AWEZNQCLSA-N 0 3 301.455 4.406 20 0 DIADHN COc1ccc(-c2cnc(CN(CC(C)C)C(C)C)o2)cc1 ZINC000065281202 1036137263 /nfs/dbraw/zinc/13/72/63/1036137263.db2.gz XBOJLSQRKJNPJH-UHFFFAOYSA-N 0 3 302.418 4.217 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)s1 ZINC000037900919 1036150876 /nfs/dbraw/zinc/15/08/76/1036150876.db2.gz UVRVNPCHLGIYFL-LBPRGKRZSA-N 0 3 302.443 4.120 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cccc(C)c1F ZINC001137678818 1036163890 /nfs/dbraw/zinc/16/38/90/1036163890.db2.gz RCJBQXDWLJKFRN-OAHLLOKOSA-N 0 3 301.405 4.206 20 0 DIADHN CCCCCCOc1cccc(CNCc2ccn(C)c2)c1 ZINC000748098741 1036179083 /nfs/dbraw/zinc/17/90/83/1036179083.db2.gz MBNPXKKPLNPHJM-UHFFFAOYSA-N 0 3 300.446 4.274 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@H]2CC[C@H](C(F)(F)F)O2)c1 ZINC001167139172 1036179328 /nfs/dbraw/zinc/17/93/28/1036179328.db2.gz XMJRRBUYKPTXCI-OWCLPIDISA-N 0 3 313.363 4.242 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnc(C)s1)c1ccccc1Cl ZINC000090453637 1036188901 /nfs/dbraw/zinc/18/89/01/1036188901.db2.gz RVLYVSZFUMZFFY-YGRLFVJLSA-N 0 3 310.850 4.143 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC1(C2CCC2)CC1 ZINC000651958781 1036199248 /nfs/dbraw/zinc/19/92/48/1036199248.db2.gz RUYSXKVLNFGUMU-UHFFFAOYSA-N 0 3 300.427 4.248 20 0 DIADHN Brc1csc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000340107384 1036200638 /nfs/dbraw/zinc/20/06/38/1036200638.db2.gz CZLKJEOWERVYQN-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN c1cncc([C@H](NCc2cccc(-c3ccncc3)c2)C2CC2)c1 ZINC000340108716 1036205171 /nfs/dbraw/zinc/20/51/71/1036205171.db2.gz MUZVXVOYERZHRE-OAQYLSRUSA-N 0 3 315.420 4.385 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCOC[C@H]3C3CCCCC3)c2c1 ZINC001209498277 1036214707 /nfs/dbraw/zinc/21/47/07/1036214707.db2.gz DJZGVRNGGXEIHL-FQEVSTJZSA-N 0 3 312.457 4.257 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)c(C)c1 ZINC001209514760 1036217494 /nfs/dbraw/zinc/21/74/94/1036217494.db2.gz OXHHSTJBHUIFHI-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN COc1ccc(C)cc1CN(C)Cc1cccc2cccnc21 ZINC000142883797 1036229359 /nfs/dbraw/zinc/22/93/59/1036229359.db2.gz KJQFLUHOVZTSIV-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Cn1cncc1CNCc1ccc(-c2ccccc2Cl)s1 ZINC000340172385 1036270298 /nfs/dbraw/zinc/27/02/98/1036270298.db2.gz SARDBXQLKMNFTO-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN CCN(CCc1cccs1)Cc1cc(C(F)(F)F)co1 ZINC001167205004 1036274540 /nfs/dbraw/zinc/27/45/40/1036274540.db2.gz AGFQZNUHWBCFTR-UHFFFAOYSA-N 0 3 303.349 4.425 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(F)c2ccccc21 ZINC000340175539 1036276600 /nfs/dbraw/zinc/27/66/00/1036276600.db2.gz MLNXWQYHJXACBV-ORAYPTAESA-N 0 3 323.415 4.441 20 0 DIADHN C[C@H]1CN(CCCSc2ccccc2Cl)CC(C)(C)O1 ZINC001167217767 1036282738 /nfs/dbraw/zinc/28/27/38/1036282738.db2.gz JUQAHGJHVJQHPS-ZDUSSCGKSA-N 0 3 313.894 4.322 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@H]2C[C@H]2c2ccccc2)cs1 ZINC000236724318 1036308218 /nfs/dbraw/zinc/30/82/18/1036308218.db2.gz ULFFGUVISUJBAE-HOCLYGCPSA-N 0 3 300.471 4.334 20 0 DIADHN CCN(Cc1coc(-c2ccccc2OC)n1)[C@H](C)C(C)C ZINC001167240850 1036309377 /nfs/dbraw/zinc/30/93/77/1036309377.db2.gz MASFHIMDZLFDAC-CQSZACIVSA-N 0 3 302.418 4.217 20 0 DIADHN Clc1ccc(N2CCN(CCC3CCCCCC3)CC2)nc1 ZINC001167255726 1036326715 /nfs/dbraw/zinc/32/67/15/1036326715.db2.gz LLSBMGFTDCHYNG-UHFFFAOYSA-N 0 3 321.896 4.218 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N(CC(C)C)C1CC1 ZINC001167256148 1036327810 /nfs/dbraw/zinc/32/78/10/1036327810.db2.gz UELZJAQUKTWVCL-LJQANCHMSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1ccc(C(F)(F)F)s1 ZINC001648800136 1036328964 /nfs/dbraw/zinc/32/89/64/1036328964.db2.gz VMIJNLNUNVOPIV-WCQYABFASA-N 0 3 321.408 4.452 20 0 DIADHN c1ccc(-c2nnc(CN3CCC[C@H]3CC3CCCC3)o2)cc1 ZINC000653892867 1036335149 /nfs/dbraw/zinc/33/51/49/1036335149.db2.gz ONUQDMBUIDQUET-KRWDZBQOSA-N 0 3 311.429 4.281 20 0 DIADHN CC[C@@H](NCc1cscc1C)c1ccc(C(=O)OC)cc1 ZINC000340375239 1036382234 /nfs/dbraw/zinc/38/22/34/1036382234.db2.gz UHIBLHIDCUCVPW-MRXNPFEDSA-N 0 3 303.427 4.084 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccc(Cl)s3)n2)cc1 ZINC000530633068 1036383058 /nfs/dbraw/zinc/38/30/58/1036383058.db2.gz WYJPUGKIIJKIGE-UHFFFAOYSA-N 0 3 319.817 4.180 20 0 DIADHN C[C@H](C#N)Oc1ccc(CN[C@H](C)c2cccc(Cl)c2)cc1 ZINC000237582989 1036383799 /nfs/dbraw/zinc/38/37/99/1036383799.db2.gz HGUAZMVTFMXYMD-ZIAGYGMSSA-N 0 3 314.816 4.482 20 0 DIADHN C[C@H](CCc1ccccc1)NCc1cc(-c2ccccc2)on1 ZINC000237513669 1036385005 /nfs/dbraw/zinc/38/50/05/1036385005.db2.gz NRFJCNQRRDQZKN-MRXNPFEDSA-N 0 3 306.409 4.453 20 0 DIADHN C[C@H](NCc1cccc(N2CCC2=O)c1)c1cccc(C2CC2)c1 ZINC000340380107 1036390683 /nfs/dbraw/zinc/39/06/83/1036390683.db2.gz FFHTZFLNAAWCIC-HNNXBMFYSA-N 0 3 320.436 4.152 20 0 DIADHN C[C@@H](C#N)Oc1ccc(CNCc2ccc(F)c(Cl)c2)cc1 ZINC000237701837 1036394818 /nfs/dbraw/zinc/39/48/18/1036394818.db2.gz ANDYKQXHJCHGNI-LBPRGKRZSA-N 0 3 318.779 4.060 20 0 DIADHN C[C@H](NCc1ccnc(OC(F)F)c1)c1cccc(C2CC2)c1 ZINC000340382919 1036397410 /nfs/dbraw/zinc/39/74/10/1036397410.db2.gz PEHIXGYZUFFEQJ-LBPRGKRZSA-N 0 3 318.367 4.411 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N3C[C@H](C)C[C@H](C)C3)n2)ccc1F ZINC000302954646 1036415616 /nfs/dbraw/zinc/41/56/16/1036415616.db2.gz OSWMQGIMPATACC-MBNYWOFBSA-N 0 3 317.408 4.223 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](c1ccccc1)C(C)C ZINC000008002813 1036453614 /nfs/dbraw/zinc/45/36/14/1036453614.db2.gz CBVOHXMXBXRBNA-FQEVSTJZSA-N 0 3 324.468 4.483 20 0 DIADHN Cc1ccc(NC(=O)/C=C\C2CCCCC2)c(CN(C)C)c1 ZINC000255070371 1036461880 /nfs/dbraw/zinc/46/18/80/1036461880.db2.gz XFYRRNSTFAZTMP-BENRWUELSA-N 0 3 300.446 4.132 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001167294421 1036486483 /nfs/dbraw/zinc/48/64/83/1036486483.db2.gz PYAPNAMOXHWPGK-VDZJLULYSA-N 0 3 305.437 4.385 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1cn2ccccc2n1)Nc1ccccc1 ZINC000655712749 1036496973 /nfs/dbraw/zinc/49/69/73/1036496973.db2.gz RLJGWEQULRYBAP-SJLPKXTDSA-N 0 3 322.456 4.122 20 0 DIADHN Clc1ccc(C2(CN[C@H]3CCCn4ccnc43)CCC2)cc1 ZINC000655708477 1036497374 /nfs/dbraw/zinc/49/73/74/1036497374.db2.gz QLGBRJOPCNOXCF-INIZCTEOSA-N 0 3 315.848 4.083 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H]1CCCn2ccnc21 ZINC000655738858 1036513792 /nfs/dbraw/zinc/51/37/92/1036513792.db2.gz ZWMNGIFOTCWURE-XHDPSFHLSA-N 0 3 324.255 4.246 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000008003609 1036545568 /nfs/dbraw/zinc/54/55/68/1036545568.db2.gz IYEAPEXBXOFISM-IBGZPJMESA-N 0 3 314.404 4.060 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C\c2ccc(Cl)s2)c1 ZINC000255111148 1036550459 /nfs/dbraw/zinc/55/04/59/1036550459.db2.gz SQEHUGMPZOTEFS-CLFYSBASSA-N 0 3 320.845 4.115 20 0 DIADHN Cc1cnc(CCNCc2nc(-c3ccccc3)cs2)c(C)c1 ZINC000655830967 1036573225 /nfs/dbraw/zinc/57/32/25/1036573225.db2.gz ZHLYOKZLEILOHO-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1csc(-c2ccccc2)n1 ZINC000655831470 1036574027 /nfs/dbraw/zinc/57/40/27/1036574027.db2.gz DMIJQEHWXYWDEC-LSDHHAIUSA-N 0 3 323.465 4.487 20 0 DIADHN Nc1c(Cl)cc(Cl)cc1CNCC1(C(F)(F)F)CC1 ZINC000639163647 1036576376 /nfs/dbraw/zinc/57/63/76/1036576376.db2.gz IQWTXPKXWXLLGK-UHFFFAOYSA-N 0 3 313.150 4.008 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1nc2cc(F)ccc2o1 ZINC001648816883 1036577265 /nfs/dbraw/zinc/57/72/65/1036577265.db2.gz LOONYCMABJFSSV-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN OC[C@H](CNCc1ccc(Cl)cc1Cl)CC1CCCC1 ZINC001651008918 1036585085 /nfs/dbraw/zinc/58/50/85/1036585085.db2.gz QAENTZZVVSTTOL-ZDUSSCGKSA-N 0 3 316.272 4.272 20 0 DIADHN Cc1cc(F)c(CNCCc2ccc(Cl)s2)c(F)c1 ZINC001648822037 1036586533 /nfs/dbraw/zinc/58/65/33/1036586533.db2.gz MXZRDLZAJMSATM-UHFFFAOYSA-N 0 3 301.789 4.320 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000655841014 1036593089 /nfs/dbraw/zinc/59/30/89/1036593089.db2.gz RECHRHNQMZVAHO-WOZUAGRISA-N 0 3 307.437 4.119 20 0 DIADHN CC[C@H](N[C@H](C)c1nc2c(s1)CCCC2)[C@H]1CCCCO1 ZINC000655847628 1036596749 /nfs/dbraw/zinc/59/67/49/1036596749.db2.gz CPUJJHUYPFYVRZ-VNHYZAJKSA-N 0 3 308.491 4.020 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc2c(c1)OCCCO2 ZINC000340430009 1036596984 /nfs/dbraw/zinc/59/69/84/1036596984.db2.gz ZZQVPPNMWNNIIF-CQSZACIVSA-N 0 3 323.392 4.445 20 0 DIADHN c1cc(CNCc2ccc(OC3CCCC3)nc2)cc2c1CCC2 ZINC001648828834 1036606697 /nfs/dbraw/zinc/60/66/97/1036606697.db2.gz ZQPFCXLBPBINCQ-UHFFFAOYSA-N 0 3 322.452 4.182 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCO[C@H]1CCCC[C@H]1C ZINC001167309927 1036606897 /nfs/dbraw/zinc/60/68/97/1036606897.db2.gz KJPXQICUHVYFPL-NEWSRXKRSA-N 0 3 317.473 4.427 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCC2)O1)c1ccc2ccccc2n1 ZINC000655853151 1036607627 /nfs/dbraw/zinc/60/76/27/1036607627.db2.gz SODMUSDZXLIVOM-DOTOQJQBSA-N 0 3 310.441 4.377 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000340453311 1036643463 /nfs/dbraw/zinc/64/34/63/1036643463.db2.gz DTEXMUFALYRODO-LSDHHAIUSA-N 0 3 324.403 4.127 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CCCC(C)(C)CC1 ZINC000579974017 1036663001 /nfs/dbraw/zinc/66/30/01/1036663001.db2.gz SUFGJIBWMHKMNF-GJZGRUSLSA-N 0 3 304.482 4.185 20 0 DIADHN Brc1cscc1CN[C@@H]1CCC12CCCC2 ZINC000309381437 1036664751 /nfs/dbraw/zinc/66/47/51/1036664751.db2.gz ROKMTGZWQQVWRH-GFCCVEGCSA-N 0 3 300.265 4.323 20 0 DIADHN Fc1cc(F)cc(CNCCOc2ccc3ccccc3c2)c1 ZINC001648873713 1036665774 /nfs/dbraw/zinc/66/57/74/1036665774.db2.gz AGHVSHFKQKYBKD-UHFFFAOYSA-N 0 3 313.347 4.287 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCOc1cccc(F)c1 ZINC001648876426 1036667597 /nfs/dbraw/zinc/66/75/97/1036667597.db2.gz KBAPKWSNPKMHOG-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Cc1cc(CN[C@@H](C)COc2ccc(C(C)(C)C)cc2)sn1 ZINC001648898633 1036679476 /nfs/dbraw/zinc/67/94/76/1036679476.db2.gz SIITWGUWIXATQA-AWEZNQCLSA-N 0 3 318.486 4.306 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNCc1cccc2c1OCO2 ZINC001648898753 1036680034 /nfs/dbraw/zinc/68/00/34/1036680034.db2.gz XPKUJFCWDIKDBF-UKTHLTGXSA-N 0 3 315.800 4.262 20 0 DIADHN COc1ncc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1Cl ZINC000579982065 1036686167 /nfs/dbraw/zinc/68/61/67/1036686167.db2.gz KSIWSDGZEGBIFH-BXKDBHETSA-N 0 3 324.774 4.371 20 0 DIADHN Cc1ccc(CNCc2c(C)nn(-c3ccccc3)c2C)s1 ZINC000072727666 1036694690 /nfs/dbraw/zinc/69/46/90/1036694690.db2.gz KFQGJKCUVACQCF-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000072879252 1036695038 /nfs/dbraw/zinc/69/50/38/1036695038.db2.gz BBCPOTXUNDXSCK-OLZOCXBDSA-N 0 3 301.361 4.009 20 0 DIADHN CC[C@@H](NCc1ccc2ccccc2n1)c1ccc(OC)cc1 ZINC000072982387 1036695626 /nfs/dbraw/zinc/69/56/26/1036695626.db2.gz JFDRBFVVTGJFSU-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cc([C@H](C)N[C@H](Cc2nccn2C)c2ccccc2)c(C)o1 ZINC000683690037 1036706690 /nfs/dbraw/zinc/70/66/90/1036706690.db2.gz CSPGGCKMOSMSBL-HNAYVOBHSA-N 0 3 323.440 4.265 20 0 DIADHN CO[C@H](CN[C@H]1CCCc2occc21)c1ccccc1Cl ZINC000683692793 1036718179 /nfs/dbraw/zinc/71/81/79/1036718179.db2.gz UJVVMIZRRIUWAW-DOTOQJQBSA-N 0 3 305.805 4.288 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000155498723 1036729674 /nfs/dbraw/zinc/72/96/74/1036729674.db2.gz QAXFCNRYYXGEAE-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN COc1cc(CN2C[C@@H](C(F)(F)F)CC[C@H]2C)ccc1C ZINC000683708035 1036746018 /nfs/dbraw/zinc/74/60/18/1036746018.db2.gz LLJNTNLIWHXOTC-OCCSQVGLSA-N 0 3 301.352 4.166 20 0 DIADHN Cc1c2ccccc2n(C)c1CN[C@@H]1CCCc2ccc(O)cc21 ZINC000340499029 1036765538 /nfs/dbraw/zinc/76/55/38/1036765538.db2.gz MULJQZOIOYFXGU-LJQANCHMSA-N 0 3 320.436 4.360 20 0 DIADHN CC(C)c1ccc(CN(Cc2cccc(C(N)=O)c2)C2CC2)cc1 ZINC000047461568 1036767237 /nfs/dbraw/zinc/76/72/37/1036767237.db2.gz CBQFHLHHVFHELJ-UHFFFAOYSA-N 0 3 322.452 4.074 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@@H]2CCC[C@@H]2F)cc1OCC ZINC000340521376 1036779547 /nfs/dbraw/zinc/77/95/47/1036779547.db2.gz CURHARVOYKDWJT-HRCADAONSA-N 0 3 309.425 4.415 20 0 DIADHN Cc1nc(C)c(CNCc2cccc(C(F)(F)F)c2)s1 ZINC000080692749 1036785943 /nfs/dbraw/zinc/78/59/43/1036785943.db2.gz SCHNRKXYQWFAOB-UHFFFAOYSA-N 0 3 300.349 4.069 20 0 DIADHN Cc1ccccc1CN(CCCOC(C)C)Cc1ccccn1 ZINC000564804814 1036793524 /nfs/dbraw/zinc/79/35/24/1036793524.db2.gz WZVAWNQMMVCQMS-UHFFFAOYSA-N 0 3 312.457 4.207 20 0 DIADHN C[C@@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@]1(C)CCCO1 ZINC000562838570 1036821734 /nfs/dbraw/zinc/82/17/34/1036821734.db2.gz RDESNCAIPVSGBB-OTYXRUKQSA-N 0 3 323.305 4.031 20 0 DIADHN c1cc(CNCc2cc3ccccc3s2)n(-c2ccccc2)n1 ZINC000580033928 1036826398 /nfs/dbraw/zinc/82/63/98/1036826398.db2.gz ZRDMMAXPVUZLAE-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN Cc1oncc1Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001210583316 1036839779 /nfs/dbraw/zinc/83/97/79/1036839779.db2.gz MKSQTUPKVWYJNN-QGZVFWFLSA-N 0 3 319.408 4.304 20 0 DIADHN CCCOc1ccc(CNCc2ccc3cnccc3c2)cc1 ZINC000683765714 1036863043 /nfs/dbraw/zinc/86/30/43/1036863043.db2.gz APZNDHFBZNHCJH-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN CCOc1ccc(CNCc2ccc3cnccc3c2)cc1F ZINC000683769553 1036877035 /nfs/dbraw/zinc/87/70/35/1036877035.db2.gz WRZFKMQXKHAMIQ-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN C[C@@H]1C[C@H](NCc2cc(Cl)ccc2Br)CS1 ZINC000397856523 1036926068 /nfs/dbraw/zinc/92/60/68/1036926068.db2.gz MMYSNHRIUXMBEV-KCJUWKMLSA-N 0 3 320.683 4.086 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1cc2c(s1)CCCC2)c1ccccc1 ZINC000658361988 1036929688 /nfs/dbraw/zinc/92/96/88/1036929688.db2.gz QFGJWXJDNKYVQE-IQUTYRLHSA-N 0 3 315.482 4.400 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3noc4c3CCCC4)C2)cc1 ZINC000683800265 1036943534 /nfs/dbraw/zinc/94/35/34/1036943534.db2.gz JVWNKKWWPLBSOF-WBVHZDCISA-N 0 3 310.441 4.240 20 0 DIADHN Cc1occc1CN[C@@H]1CCc2cc(Br)cc(C)c21 ZINC000683815370 1036959817 /nfs/dbraw/zinc/95/98/17/1036959817.db2.gz CSUAAMITTCDNLB-OAHLLOKOSA-N 0 3 320.230 4.436 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(C3CCCCC3)s2)C[C@H]1C ZINC000580155291 1036978111 /nfs/dbraw/zinc/97/81/11/1036978111.db2.gz INVFSVHDWMSOFN-CJNGLKHVSA-N 0 3 308.491 4.048 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc3cnccc3c2)n1 ZINC000683829689 1036983748 /nfs/dbraw/zinc/98/37/48/1036983748.db2.gz BVPPTGSNYIFRAY-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CCOc1c(Cl)cccc1CN1CC[C@@H](c2ccco2)C1 ZINC000683830917 1036991076 /nfs/dbraw/zinc/99/10/76/1036991076.db2.gz ZJEJFUUXYZGIKI-CYBMUJFWSA-N 0 3 305.805 4.321 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN(CCSC(F)(F)F)C1 ZINC001167367385 1036992447 /nfs/dbraw/zinc/99/24/47/1036992447.db2.gz JEFPDFMVBQJUHH-CQSZACIVSA-N 0 3 303.393 4.293 20 0 DIADHN Clc1cc(CN[C@@H]2CCOC3(CCC3)C2)c(Cl)s1 ZINC000312747627 1037009340 /nfs/dbraw/zinc/00/93/40/1037009340.db2.gz BPPPQWCNJCPOHJ-SNVBAGLBSA-N 0 3 306.258 4.246 20 0 DIADHN CCN(Cc1cc(OC)ccc1Br)[C@@H](C)C(C)C ZINC000706486451 1037023469 /nfs/dbraw/zinc/02/34/69/1037023469.db2.gz XXLCDKGNVKBQEB-LBPRGKRZSA-N 0 3 314.267 4.324 20 0 DIADHN CC(C)N(Cc1ccc(Br)cc1)Cc1ccccn1 ZINC000048273140 1037031917 /nfs/dbraw/zinc/03/19/17/1037031917.db2.gz ZOQGSQJLYHORPA-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN CCc1sc(-c2nnc(CN[C@@H](C)C3CCCC3)o2)cc1C ZINC000650279812 1037051589 /nfs/dbraw/zinc/05/15/89/1037051589.db2.gz PPCAABWSPGWZQM-LBPRGKRZSA-N 0 3 319.474 4.337 20 0 DIADHN COc1ccc([C@@H]2CCCCCN2Cc2oc(C)nc2C)cc1 ZINC000683897036 1037074844 /nfs/dbraw/zinc/07/48/44/1037074844.db2.gz JZFYDTVEPWBXSW-SFHVURJKSA-N 0 3 314.429 4.417 20 0 DIADHN C[C@H]1C[C@H](NCc2sccc2Cl)CN1Cc1ccccc1 ZINC000398004212 1037075939 /nfs/dbraw/zinc/07/59/39/1037075939.db2.gz ACUAUFOGMNTSQG-ZFWWWQNUSA-N 0 3 320.889 4.154 20 0 DIADHN Clc1c(Br)cccc1CN[C@@H]1CCCSC1 ZINC000706565369 1037116716 /nfs/dbraw/zinc/11/67/16/1037116716.db2.gz YKIVRBWHKKCOPU-SNVBAGLBSA-N 0 3 320.683 4.088 20 0 DIADHN CCCCCCC(=O)N1c2ccc(C)cc2[C@@H]2CN(C)CC[C@@H]21 ZINC000197068412 1037134210 /nfs/dbraw/zinc/13/42/10/1037134210.db2.gz KUEWTMVQWCTPPH-HKUYNNGSSA-N 0 3 314.473 4.100 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1ccccc1F ZINC000539510925 1037161781 /nfs/dbraw/zinc/16/17/81/1037161781.db2.gz OXZFXGLVVWRVIQ-LXIYXOSZSA-N 0 3 305.368 4.392 20 0 DIADHN C[C@@H]1SCCN(CCOc2cc(Cl)cc(Cl)c2)[C@H]1C ZINC001167412678 1037170204 /nfs/dbraw/zinc/17/02/04/1037170204.db2.gz PLUNFNINFJWPNJ-QWRGUYRKSA-N 0 3 320.285 4.198 20 0 DIADHN Clc1cccc(CCN2CCC[C@@H](n3ccnc3)C2)c1Cl ZINC000683994934 1037209106 /nfs/dbraw/zinc/20/91/06/1037209106.db2.gz WKDDYVXPVGRZBO-CQSZACIVSA-N 0 3 324.255 4.070 20 0 DIADHN CC(C)[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)CC1 ZINC001167424767 1037230580 /nfs/dbraw/zinc/23/05/80/1037230580.db2.gz MXJGSZLAOUGRGQ-HUUCEWRRSA-N 0 3 322.880 4.425 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCS[C@@H](c2ccccc2)C1 ZINC000684021768 1037247921 /nfs/dbraw/zinc/24/79/21/1037247921.db2.gz ULRQAWHROQMACJ-LJQANCHMSA-N 0 3 308.450 4.458 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000684025630 1037254099 /nfs/dbraw/zinc/25/40/99/1037254099.db2.gz QBLVZVRIHARKGJ-NRFANRHFSA-N 0 3 318.420 4.189 20 0 DIADHN Oc1ccc(CNC2(c3cccc(OC(F)(F)F)c3)CC2)cc1 ZINC000656278035 1037262786 /nfs/dbraw/zinc/26/27/86/1037262786.db2.gz SSALOTJYLZTVGK-UHFFFAOYSA-N 0 3 323.314 4.070 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125469750 1037270987 /nfs/dbraw/zinc/27/09/87/1037270987.db2.gz ZYLNXFCDOIHFBJ-SFHVURJKSA-N 0 3 322.537 4.317 20 0 DIADHN C[C@H](NCC1=CCOCC1)c1cc(Cl)cc(Cl)c1F ZINC000692302794 1037285354 /nfs/dbraw/zinc/28/53/54/1037285354.db2.gz VPYWPNKEQHKOAZ-VIFPVBQESA-N 0 3 304.192 4.130 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1ccc(F)cc1 ZINC000580860650 1037337061 /nfs/dbraw/zinc/33/70/61/1037337061.db2.gz JXNGKQOSTDBZSO-KRWDZBQOSA-N 0 3 301.409 4.283 20 0 DIADHN Cc1nnsc1CN1CCC[C@H]1c1cccc2ccccc21 ZINC000580871562 1037337937 /nfs/dbraw/zinc/33/79/37/1037337937.db2.gz HHDVGDRCTJGFBU-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN Cc1cc(CN2CCC3(CCC(=O)CC3)CC2)cc(C)c1F ZINC001143385621 1037372935 /nfs/dbraw/zinc/37/29/35/1037372935.db2.gz XJVGRWGCJDKBLL-UHFFFAOYSA-N 0 3 303.421 4.168 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@H]1CC[C@H]1C1CC1 ZINC000580944087 1037374291 /nfs/dbraw/zinc/37/42/91/1037374291.db2.gz LQBSCKRTCAAWJQ-ROUUACIJSA-N 0 3 316.514 4.144 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccccc2-n2ccc(C)n2)c1 ZINC000127639781 1037375778 /nfs/dbraw/zinc/37/57/78/1037375778.db2.gz VECDPCVWMJXUQH-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cccc(-c2ccccn2)c1 ZINC000127728192 1037381098 /nfs/dbraw/zinc/38/10/98/1037381098.db2.gz HHVGVANVAUTFPY-HXUWFJFHSA-N 0 3 316.404 4.231 20 0 DIADHN C[C@H](NCC(=O)N1[C@H](C)CCC[C@H]1C)c1cccc2ccccc21 ZINC000255954452 1037416567 /nfs/dbraw/zinc/41/65/67/1037416567.db2.gz LYTJZXSOYGLTFT-ZACQAIPSSA-N 0 3 324.468 4.280 20 0 DIADHN Clc1ccsc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000581099787 1037434337 /nfs/dbraw/zinc/43/43/37/1037434337.db2.gz HEEQVWYPIGSKBD-HIFRSBDPSA-N 0 3 307.846 4.411 20 0 DIADHN CCc1ccccc1CCN1CCCC[C@@H]1c1nccn1CC ZINC000581119754 1037441150 /nfs/dbraw/zinc/44/11/50/1037441150.db2.gz KRXXAPIDJZBFDG-LJQANCHMSA-N 0 3 311.473 4.235 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H](CCCO)c2ccccc2)c1 ZINC000160781913 1037454350 /nfs/dbraw/zinc/45/43/50/1037454350.db2.gz FMHJMRJUEWSHAU-UZLBHIALSA-N 0 3 313.441 4.250 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H](CCCO)c2ccccc2)c1 ZINC000160782268 1037455091 /nfs/dbraw/zinc/45/50/91/1037455091.db2.gz FMHJMRJUEWSHAU-OXJNMPFZSA-N 0 3 313.441 4.250 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000684223594 1037592831 /nfs/dbraw/zinc/59/28/31/1037592831.db2.gz TZRHZJMXBTVJEB-WBVHZDCISA-N 0 3 304.478 4.010 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(C)c1ccccc1)c1ccccc1 ZINC000341019495 1037619311 /nfs/dbraw/zinc/61/93/11/1037619311.db2.gz SOIIHNNUQGTOEG-IBGZPJMESA-N 0 3 310.441 4.026 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC001125500128 1037621840 /nfs/dbraw/zinc/62/18/40/1037621840.db2.gz RONLLQJNARGDRH-GOSISDBHSA-N 0 3 316.489 4.354 20 0 DIADHN c1csc([C@@H](NCc2cnn(Cc3ccccc3)c2)C2CC2)c1 ZINC000390430125 1037651103 /nfs/dbraw/zinc/65/11/03/1037651103.db2.gz OXLZXUMMEMDUNU-IBGZPJMESA-N 0 3 323.465 4.234 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccsc2)cc(Cl)c1O ZINC000656599206 1037679500 /nfs/dbraw/zinc/67/95/00/1037679500.db2.gz DZFWYHFIPDFQPN-SNVBAGLBSA-N 0 3 311.834 4.357 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2cnn(C(C)C)c2C)c1 ZINC000276308641 1037693441 /nfs/dbraw/zinc/69/34/41/1037693441.db2.gz FBKOXBQWLPJLBH-ZIAGYGMSSA-N 0 3 301.434 4.193 20 0 DIADHN C[C@]1(CN[C@H]2CCc3c2c(F)ccc3F)CC1(Cl)Cl ZINC000834885455 1037706962 /nfs/dbraw/zinc/70/69/62/1037706962.db2.gz WHZALDQCHXOISI-WCQYABFASA-N 0 3 306.183 4.126 20 0 DIADHN CC[C@H](O)[C@@H]1CCCCN1CCc1cc(Cl)cc(Cl)c1 ZINC001167469280 1037725483 /nfs/dbraw/zinc/72/54/83/1037725483.db2.gz XODLYTSFVBCDFR-HOTGVXAUSA-N 0 3 316.272 4.161 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCOC[C@H](C2CCC2)C1 ZINC000581561342 1037740876 /nfs/dbraw/zinc/74/08/76/1037740876.db2.gz QHQKIZIAUGNORX-GOSISDBHSA-N 0 3 316.489 4.016 20 0 DIADHN Cc1cnccc1CN1CC(Cc2cccc(C(F)(F)F)c2)C1 ZINC000656656211 1037748153 /nfs/dbraw/zinc/74/81/53/1037748153.db2.gz VCFMXQBJDVHXLZ-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)NCC(C)C)c1)c1ccccc1 ZINC000341222212 1037764250 /nfs/dbraw/zinc/76/42/50/1037764250.db2.gz RIFVYRAQIXMWQT-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Cl)cc1Cl ZINC000656683308 1037787178 /nfs/dbraw/zinc/78/71/78/1037787178.db2.gz GZPKIJITPJLYPQ-PWSUYJOCSA-N 0 3 300.229 4.356 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc3c(c2)CCC3)c1 ZINC000656684815 1037792108 /nfs/dbraw/zinc/79/21/08/1037792108.db2.gz GYFPMJIVRVSSTE-ZDUSSCGKSA-N 0 3 301.455 4.234 20 0 DIADHN OCCC[C@@H](NCc1ccccc1C(F)(F)F)c1ccccc1 ZINC000077467703 1037891012 /nfs/dbraw/zinc/89/10/12/1037891012.db2.gz MGGGEDBIQBEOCI-QGZVFWFLSA-N 0 3 323.358 4.309 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc(C)s1)c1ccc2c(c1)OCCO2 ZINC000090404136 1037957627 /nfs/dbraw/zinc/95/76/27/1037957627.db2.gz PMLSYGYBDQFZFO-SMDDNHRTSA-N 0 3 318.442 4.025 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccnn1CC1CCC1 ZINC000926174349 1037990834 /nfs/dbraw/zinc/99/08/34/1037990834.db2.gz JREBFHSJTIJSEP-DGCLKSJQSA-N 0 3 303.372 4.065 20 0 DIADHN Clc1cccc(CN2CCCC[C@H]2CNCc2ccco2)c1 ZINC000598038001 1038002192 /nfs/dbraw/zinc/00/21/92/1038002192.db2.gz MXTJGOAIHPIWDK-KRWDZBQOSA-N 0 3 318.848 4.077 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125535150 1038005463 /nfs/dbraw/zinc/00/54/63/1038005463.db2.gz QRTBVQXGSFZTNV-SFHVURJKSA-N 0 3 322.537 4.317 20 0 DIADHN CCN(CC)c1ccc(/C=[NH+]/CCCOC2CCCC2)c([O-])c1 ZINC000060789433 1038017116 /nfs/dbraw/zinc/01/71/16/1038017116.db2.gz RCUYIDOQJUBIFW-HMMYKYKNSA-N 0 3 318.461 4.007 20 0 DIADHN CCC[C@@H](NCc1cc(COC(C)(C)C)on1)C1CCCC1 ZINC000449256577 1038018095 /nfs/dbraw/zinc/01/80/95/1038018095.db2.gz JHXSDYRXTNNYAE-QGZVFWFLSA-N 0 3 308.466 4.438 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)N1CCc2sccc2[C@H]1CC ZINC000581853189 1038031147 /nfs/dbraw/zinc/03/11/47/1038031147.db2.gz QWQHZOQGASTFHS-GOEBONIOSA-N 0 3 322.518 4.094 20 0 DIADHN C[C@H]1CCCCCN1Cc1c[nH]c2ncc(Br)cc12 ZINC001140459303 1038034351 /nfs/dbraw/zinc/03/43/51/1038034351.db2.gz VFOMABFRXJTWLJ-NSHDSACASA-N 0 3 322.250 4.090 20 0 DIADHN COc1cc(F)c(F)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001143400289 1038051551 /nfs/dbraw/zinc/05/15/51/1038051551.db2.gz RZDFNMVLNJUBIJ-CYBMUJFWSA-N 0 3 313.363 4.078 20 0 DIADHN Cc1ccnc(OC2CCN(Cc3coc4ccccc34)CC2)c1 ZINC000341496197 1038060581 /nfs/dbraw/zinc/06/05/81/1038060581.db2.gz ZOMQVFGTYJPCCT-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC3(C2)CCCCC3)cc1F ZINC001167487242 1038071783 /nfs/dbraw/zinc/07/17/83/1038071783.db2.gz IJULFGCVQCCLLV-OAHLLOKOSA-N 0 3 318.436 4.117 20 0 DIADHN COc1ccccc1/C=C/CN1CCC(c2ccc(C)nc2)CC1 ZINC000341538623 1038094840 /nfs/dbraw/zinc/09/48/40/1038094840.db2.gz WTOYWCQAZCCJDM-FNORWQNLSA-N 0 3 322.452 4.291 20 0 DIADHN Cc1cccn2cc(CN(C)[C@@H](c3ccccc3)C(C)C)nc12 ZINC000602880769 1038103646 /nfs/dbraw/zinc/10/36/46/1038103646.db2.gz KYFAFCGTWVKZHI-LJQANCHMSA-N 0 3 307.441 4.472 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@H]1Cc2ccccc21 ZINC000602910186 1038106627 /nfs/dbraw/zinc/10/66/27/1038106627.db2.gz NSRMIDQTYLISNX-GFCCVEGCSA-N 0 3 323.770 4.371 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2cnc3ccsc3c2)c1 ZINC000126540193 1038107430 /nfs/dbraw/zinc/10/74/30/1038107430.db2.gz HHEVGIALYMXMPF-ZDUSSCGKSA-N 0 3 312.438 4.294 20 0 DIADHN COCc1cccc(CN[C@H](C)c2cnc3ccsc3c2)c1 ZINC000126540463 1038107473 /nfs/dbraw/zinc/10/74/73/1038107473.db2.gz HHEVGIALYMXMPF-CYBMUJFWSA-N 0 3 312.438 4.294 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)s1 ZINC000090418515 1038114728 /nfs/dbraw/zinc/11/47/28/1038114728.db2.gz YRVHYEPUUFZBDY-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1cc([C@@H](NCc2ccnn2CC(C)C)C2CC2)ccc1F ZINC000647197335 1038121353 /nfs/dbraw/zinc/12/13/53/1038121353.db2.gz NQVSBEJRFDJBIA-IBGZPJMESA-N 0 3 315.436 4.228 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1N[C@H]1CCCc2cccnc21 ZINC000657044085 1038145803 /nfs/dbraw/zinc/14/58/03/1038145803.db2.gz ZFQURPVQWDHSQC-UHOFOFEASA-N 0 3 316.807 4.397 20 0 DIADHN CCCNCc1c(C)nn(Cc2ccc(Cl)cc2)c1Cl ZINC000061003447 1038160417 /nfs/dbraw/zinc/16/04/17/1038160417.db2.gz VKJDHHOHEOLKEH-UHFFFAOYSA-N 0 3 312.244 4.046 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)C(F)(F)F)c1ccns1 ZINC000926214422 1038164259 /nfs/dbraw/zinc/16/42/59/1038164259.db2.gz APQOVRHRNCIFDL-ZYHUDNBSSA-N 0 3 300.349 4.140 20 0 DIADHN c1csc(C2(NCCSCc3ccccc3)CCCC2)n1 ZINC000430771898 1038193290 /nfs/dbraw/zinc/19/32/90/1038193290.db2.gz HOXOBCGUXGAZJZ-UHFFFAOYSA-N 0 3 318.511 4.435 20 0 DIADHN Cc1ccsc1CNCc1ccc(C(=O)OC(C)(C)C)s1 ZINC000598957374 1038201555 /nfs/dbraw/zinc/20/15/55/1038201555.db2.gz PATWZCYNOZVRSG-UHFFFAOYSA-N 0 3 323.483 4.363 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCC[C@H]1c1ccc(O)cc1 ZINC000891796185 1038201539 /nfs/dbraw/zinc/20/15/39/1038201539.db2.gz JWGGQVRCZHUCHC-IBGZPJMESA-N 0 3 313.445 4.124 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(C2CCC2)c1 ZINC000926236850 1038203283 /nfs/dbraw/zinc/20/32/83/1038203283.db2.gz QATXDYIRCXTWPV-SMDDNHRTSA-N 0 3 303.372 4.236 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(C(=O)OC(C)(C)C)s1 ZINC000598976896 1038207261 /nfs/dbraw/zinc/20/72/61/1038207261.db2.gz SNHSFYLHSZRJSQ-VIFPVBQESA-N 0 3 323.380 4.134 20 0 DIADHN CCC[C@H](NCC[C@H](OC)C(F)(F)F)c1ncccc1Cl ZINC000926248559 1038213825 /nfs/dbraw/zinc/21/38/25/1038213825.db2.gz AVQXIOZPRVLYCX-RYUDHWBXSA-N 0 3 324.774 4.133 20 0 DIADHN COc1cccc2c1OCC[C@@H]2NC/C(C)=C/c1ccccc1 ZINC000669682118 1038214946 /nfs/dbraw/zinc/21/49/46/1038214946.db2.gz NOWVNKYPDXMOBK-DUVHGLKDSA-N 0 3 309.409 4.212 20 0 DIADHN COc1cccc2c1OCC[C@H]2NC/C(C)=C\c1ccccc1 ZINC000669682121 1038215396 /nfs/dbraw/zinc/21/53/96/1038215396.db2.gz NOWVNKYPDXMOBK-XXTMNZORSA-N 0 3 309.409 4.212 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2ccc([S@](C)=O)cc2)c1 ZINC000599032498 1038219157 /nfs/dbraw/zinc/21/91/57/1038219157.db2.gz SKANEYLUQAVHPG-NYHFZMIOSA-N 0 3 315.482 4.398 20 0 DIADHN CSCCCCCN[C@@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000599042204 1038221898 /nfs/dbraw/zinc/22/18/98/1038221898.db2.gz FOXLZFOGAQJHTN-HNNXBMFYSA-N 0 3 317.502 4.271 20 0 DIADHN Cc1noc(C)c1CCN1CC=C(c2c[nH]c3ccccc32)CC1 ZINC000933818883 1038230618 /nfs/dbraw/zinc/23/06/18/1038230618.db2.gz KLRZWKLOPDARGU-UHFFFAOYSA-N 0 3 321.424 4.105 20 0 DIADHN Cc1ccc(CCCNCc2csc(C3CC3)n2)c(C)c1 ZINC000603509965 1038231861 /nfs/dbraw/zinc/23/18/61/1038231861.db2.gz PXRJKISTLYVOKL-UHFFFAOYSA-N 0 3 300.471 4.360 20 0 DIADHN Clc1cccc(CNC[C@@H]2OCCc3ccccc32)c1Cl ZINC000603516439 1038234843 /nfs/dbraw/zinc/23/48/43/1038234843.db2.gz SIZKKTGCXSZDFS-INIZCTEOSA-N 0 3 322.235 4.397 20 0 DIADHN Cc1ccc(NC(=O)C[C@H]2CCCC[C@@H]2C)c(CN(C)C)c1 ZINC000603694877 1038265659 /nfs/dbraw/zinc/26/56/59/1038265659.db2.gz UANXRBJVTFCDEC-JKSUJKDBSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(NC(=O)C[C@H](C)CC(C)(C)C)c(CN(C)C)c1 ZINC000603694904 1038265820 /nfs/dbraw/zinc/26/58/20/1038265820.db2.gz IETSXEJCKZOZHZ-HNNXBMFYSA-N 0 3 304.478 4.458 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cccnc2C(F)(F)F)C1 ZINC000599302238 1038269718 /nfs/dbraw/zinc/26/97/18/1038269718.db2.gz BESCDWNVZFHRIN-CHWSQXEVSA-N 0 3 318.408 4.206 20 0 DIADHN C[C@H](C(=O)N(C)c1cccc2ccccc21)N1CCCCCC1 ZINC000603797064 1038282554 /nfs/dbraw/zinc/28/25/54/1038282554.db2.gz GDGTXCNREWZHJM-MRXNPFEDSA-N 0 3 310.441 4.067 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CCc3ccccc32)cc(Cl)c1[O-] ZINC000276932940 1038286151 /nfs/dbraw/zinc/28/61/51/1038286151.db2.gz RDYQJBSBIGSUGZ-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN CN(Cc1ccc(Br)o1)c1ccnc2ccccc21 ZINC000599497671 1038292039 /nfs/dbraw/zinc/29/20/39/1038292039.db2.gz HEKIQOJMZHBACF-UHFFFAOYSA-N 0 3 317.186 4.227 20 0 DIADHN C[C@H](Nc1ccnc2ccccc21)c1cccc(OC(F)F)c1 ZINC000599503842 1038292692 /nfs/dbraw/zinc/29/26/92/1038292692.db2.gz ZRTDHKGGYXDXOJ-LBPRGKRZSA-N 0 3 314.335 4.431 20 0 DIADHN CC(C)(C)C[C@H](NCC(=O)N1CCCC1)c1ccc(Cl)cc1 ZINC000341844045 1038293775 /nfs/dbraw/zinc/29/37/75/1038293775.db2.gz AZPZCKOKEGYGEV-INIZCTEOSA-N 0 3 322.880 4.029 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2ccc(C(F)(F)F)cn2)C1 ZINC000341874087 1038305295 /nfs/dbraw/zinc/30/52/95/1038305295.db2.gz SLYCQBAPZGEVAB-ZIAGYGMSSA-N 0 3 318.408 4.206 20 0 DIADHN c1ccc([C@@H]2OCCC[C@H]2CNc2ccnc3ccccc32)cc1 ZINC000599556813 1038313105 /nfs/dbraw/zinc/31/31/05/1038313105.db2.gz HWZNFDHCTKJWLM-UWJYYQICSA-N 0 3 318.420 4.237 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2cccc(C)c2)C(C)C)c(C)o1 ZINC000599627413 1038324354 /nfs/dbraw/zinc/32/43/54/1038324354.db2.gz MHOCYFLSZJSHAQ-GOSISDBHSA-N 0 3 315.413 4.170 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cccc(NC(C)=O)c1 ZINC000603942287 1038325153 /nfs/dbraw/zinc/32/51/53/1038325153.db2.gz CXUYPGIOTPTEEH-HXUWFJFHSA-N 0 3 308.425 4.154 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCCC1)c1cscn1 ZINC000535777939 1038333582 /nfs/dbraw/zinc/33/35/82/1038333582.db2.gz HAISDVUPMOBLIS-ZDUSSCGKSA-N 0 3 304.434 4.445 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H](C)c3ccncc3)cc2)cs1 ZINC000535785295 1038336710 /nfs/dbraw/zinc/33/67/10/1038336710.db2.gz USTXKKDFWQBEOP-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN Oc1ccc(C2CCN(Cc3cnc(C4CC4)s3)CC2)cc1 ZINC000535788876 1038338271 /nfs/dbraw/zinc/33/82/71/1038338271.db2.gz PCOXYJOQHDCKRE-UHFFFAOYSA-N 0 3 314.454 4.106 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1N(C)Cc1cnc(C2CC2)s1 ZINC000535807045 1038343527 /nfs/dbraw/zinc/34/35/27/1038343527.db2.gz SZLCMXIKUTXTOX-HUUCEWRRSA-N 0 3 308.491 4.046 20 0 DIADHN C[C@@H]1CCCC[C@H]1CCNCc1nccn1Cc1ccccc1 ZINC000604065854 1038354550 /nfs/dbraw/zinc/35/45/50/1038354550.db2.gz NOZHTSSPRSKTKU-MJGOQNOKSA-N 0 3 311.473 4.237 20 0 DIADHN COc1sc(CN(C)[C@H](C)C2(C)CC2)cc1Br ZINC000535826352 1038380751 /nfs/dbraw/zinc/38/07/51/1038380751.db2.gz WKNUTHQLWXVZGH-SECBINFHSA-N 0 3 318.280 4.140 20 0 DIADHN Cc1noc(C[C@H](N[C@H](C)c2ccccc2C)c2ccccc2)n1 ZINC000277145270 1038387205 /nfs/dbraw/zinc/38/72/05/1038387205.db2.gz PQGXEZNBAVJOSJ-BEFAXECRSA-N 0 3 321.424 4.321 20 0 DIADHN Cc1ccnc(CN2CCC(c3cccc(Cl)c3)CC2)c1 ZINC000684624507 1038406194 /nfs/dbraw/zinc/40/61/94/1038406194.db2.gz SSCHHOKXKKIHJQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN COC(=O)C(C)(C)[C@@H](NCc1ccc(C)cc1)c1ccccc1 ZINC000610953199 1038408270 /nfs/dbraw/zinc/40/82/70/1038408270.db2.gz LXBCUTJWHLADPH-SFHVURJKSA-N 0 3 311.425 4.025 20 0 DIADHN Cc1cc(C)c(CNCc2ccnc(C(F)(F)F)c2)cc1C ZINC000449438122 1038410000 /nfs/dbraw/zinc/41/00/00/1038410000.db2.gz OVQZAQOBIYOADF-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1ccn(-c2ccccc2)n1 ZINC000604394107 1038411521 /nfs/dbraw/zinc/41/15/21/1038411521.db2.gz BFXBHWNMKHFXHR-HKUYNNGSSA-N 0 3 317.436 4.250 20 0 DIADHN Cc1nn(C)c(C)c1CN(C)[C@H](C)c1ccc2ccccc2c1 ZINC000749902981 1038418670 /nfs/dbraw/zinc/41/86/70/1038418670.db2.gz FSYURKGWSHRTNH-OAHLLOKOSA-N 0 3 307.441 4.383 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H]1CCCCc2ccc(Cl)cc21 ZINC000151157756 1038435658 /nfs/dbraw/zinc/43/56/58/1038435658.db2.gz KPMAUOBESJTQBV-SFHVURJKSA-N 0 3 317.864 4.248 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2CCOCCC(C)C)cc1 ZINC000604776105 1038447207 /nfs/dbraw/zinc/44/72/07/1038447207.db2.gz HVQQDYBKMFBZRW-SFHVURJKSA-N 0 3 307.503 4.148 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(F)c(C3CC3)c2)cc1 ZINC000342150378 1038455561 /nfs/dbraw/zinc/45/55/61/1038455561.db2.gz QSIPZXLHYWKTIZ-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN CSCCN[C@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000611559979 1038462282 /nfs/dbraw/zinc/46/22/82/1038462282.db2.gz FIRWVHDFHBJDCI-MRXNPFEDSA-N 0 3 323.408 4.330 20 0 DIADHN COc1ccc(CNCc2cccc(COC(C)(C)C)c2)cc1 ZINC000101932700 1038474650 /nfs/dbraw/zinc/47/46/50/1038474650.db2.gz GRGIPHNGIPFQFP-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN C[S@](=O)c1ccc(CNCc2ccc(C(C)(C)C)cc2)cc1 ZINC000080344459 1038476976 /nfs/dbraw/zinc/47/69/76/1038476976.db2.gz USSAELJKYUKPIZ-JOCHJYFZSA-N 0 3 315.482 4.011 20 0 DIADHN C[S@@](=O)c1ccc(CNCc2ccc(C(C)(C)C)cc2)cc1 ZINC000080344462 1038477917 /nfs/dbraw/zinc/47/79/17/1038477917.db2.gz USSAELJKYUKPIZ-QFIPXVFZSA-N 0 3 315.482 4.011 20 0 DIADHN C[C@H](CO)CCCNCc1c(Cl)ccc(Cl)c1Cl ZINC000230161600 1038484093 /nfs/dbraw/zinc/48/40/93/1038484093.db2.gz BROZDQNBCPPNQR-VIFPVBQESA-N 0 3 310.652 4.145 20 0 DIADHN CCOc1ccc(CN2CCS[C@H](c3ccccc3)C2)cc1 ZINC000605187947 1038496860 /nfs/dbraw/zinc/49/68/60/1038496860.db2.gz YBMRVJQLMXIOOM-IBGZPJMESA-N 0 3 313.466 4.375 20 0 DIADHN CC(C)[C@@H](CNCc1ccc(F)cc1F)Nc1ccccc1 ZINC000605322182 1038505541 /nfs/dbraw/zinc/50/55/41/1038505541.db2.gz JCTWAFMSPGOELI-GOSISDBHSA-N 0 3 304.384 4.191 20 0 DIADHN CC(C)[C@H](CNCc1ccc(F)cc1F)Nc1ccccc1 ZINC000605322183 1038505734 /nfs/dbraw/zinc/50/57/34/1038505734.db2.gz JCTWAFMSPGOELI-SFHVURJKSA-N 0 3 304.384 4.191 20 0 DIADHN COc1cccc(CN2CCS[C@@H](C)[C@H]2c2ccccc2)c1 ZINC000605335789 1038507886 /nfs/dbraw/zinc/50/78/86/1038507886.db2.gz UZBVGOCTWCZKMP-KXBFYZLASA-N 0 3 313.466 4.374 20 0 DIADHN CC(C)c1ccc([C@@H](NCc2cnc3n2CCC3)C(C)C)cc1 ZINC000657293139 1038508811 /nfs/dbraw/zinc/50/88/11/1038508811.db2.gz JIIQUPOHGUIOTL-FQEVSTJZSA-N 0 3 311.473 4.440 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000657293120 1038509390 /nfs/dbraw/zinc/50/93/90/1038509390.db2.gz XZIHGICIGLIYCE-SFHVURJKSA-N 0 3 315.848 4.192 20 0 DIADHN CCOCc1ccccc1CNCc1cc2ccc(C)cc2[nH]1 ZINC000657296630 1038513760 /nfs/dbraw/zinc/51/37/60/1038513760.db2.gz COYLBVIKIZGHJF-UHFFFAOYSA-N 0 3 308.425 4.303 20 0 DIADHN CC[C@@H](CN1CCCC1)Nc1ncc(C(F)(F)F)cc1Cl ZINC000605398399 1038515251 /nfs/dbraw/zinc/51/52/51/1038515251.db2.gz VYVDJTMDVRAOOA-NSHDSACASA-N 0 3 321.774 4.040 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cc3ccc(C)cc3[nH]2)c1 ZINC000657297428 1038516974 /nfs/dbraw/zinc/51/69/74/1038516974.db2.gz CUAUFGQJASCYAF-AWEZNQCLSA-N 0 3 321.424 4.286 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(Cc2ccccc2F)CC1 ZINC001167509449 1038523060 /nfs/dbraw/zinc/52/30/60/1038523060.db2.gz NGRBZKCZSWQWKI-FQEVSTJZSA-N 0 3 312.432 4.095 20 0 DIADHN C[C@@H](NCc1cccn1C)c1ccc(Oc2cccnc2)cc1 ZINC000342308869 1038525078 /nfs/dbraw/zinc/52/50/78/1038525078.db2.gz GVCJQVDSSRBGMP-OAHLLOKOSA-N 0 3 307.397 4.063 20 0 DIADHN Cc1noc(C[C@@H](NCc2ccc(C)c(C)c2)c2ccccc2)n1 ZINC000277374314 1038526324 /nfs/dbraw/zinc/52/63/24/1038526324.db2.gz BYFYQWOVAXDKCX-LJQANCHMSA-N 0 3 321.424 4.068 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnn(-c3ccccc3)c1)CCC2 ZINC000342317481 1038531845 /nfs/dbraw/zinc/53/18/45/1038531845.db2.gz RGFRJUMXCUGUQH-OAQYLSRUSA-N 0 3 317.436 4.348 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3ccc(C)cc32)cc(OC)c1 ZINC000342322572 1038533136 /nfs/dbraw/zinc/53/31/36/1038533136.db2.gz YCDHFDKXMRFQIJ-HXUWFJFHSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@H](CCO)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000151896800 1038535575 /nfs/dbraw/zinc/53/55/75/1038535575.db2.gz NTFYANBSNMANCL-PSASIEDQSA-N 0 3 308.274 4.040 20 0 DIADHN C[C@@H](CCO)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000151896882 1038535912 /nfs/dbraw/zinc/53/59/12/1038535912.db2.gz NTFYANBSNMANCL-WPRPVWTQSA-N 0 3 308.274 4.040 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cnc(-c3ccccc3)nc2)c1 ZINC000605596098 1038538576 /nfs/dbraw/zinc/53/85/76/1038538576.db2.gz UBYZSQGSBQKIHH-UHFFFAOYSA-N 0 3 317.436 4.477 20 0 DIADHN CCc1ccc(CNCc2ccc(O[C@H](C)COC)cc2)s1 ZINC000657310161 1038539007 /nfs/dbraw/zinc/53/90/07/1038539007.db2.gz MMLMRDHABHMNPS-CQSZACIVSA-N 0 3 319.470 4.014 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NCc1cccc(C2CCCC2)c1 ZINC000657308778 1038539909 /nfs/dbraw/zinc/53/99/09/1038539909.db2.gz BTFLBKFIAGHNAK-IBGZPJMESA-N 0 3 309.457 4.245 20 0 DIADHN Cc1ccc(NC(=O)CN(CC2CCCCC2)C2CC2)c(C)c1 ZINC000071954934 1038539944 /nfs/dbraw/zinc/53/99/44/1038539944.db2.gz OAGJJZPSZBBNEL-UHFFFAOYSA-N 0 3 314.473 4.287 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@H](c1cccs1)C1CC1 ZINC000605811289 1038550859 /nfs/dbraw/zinc/55/08/59/1038550859.db2.gz SZGQZJYVGQULIV-KRWDZBQOSA-N 0 3 310.422 4.243 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(Cc2ccc3c(c2)COC3)C1 ZINC001167533919 1038552318 /nfs/dbraw/zinc/55/23/18/1038552318.db2.gz OCDOGMZIXUEVCR-XOBRGWDASA-N 0 3 311.400 4.439 20 0 DIADHN COCC[C@H](C)N[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000563256299 1038589943 /nfs/dbraw/zinc/58/99/43/1038589943.db2.gz SASJMTMAECHSME-BONVTDFDSA-N 0 3 302.245 4.459 20 0 DIADHN OCc1ccc(CNCc2cccc(C3CCCC3)c2)cc1F ZINC000657328556 1038573794 /nfs/dbraw/zinc/57/37/94/1038573794.db2.gz ZVUONORJJKKYFP-UHFFFAOYSA-N 0 3 313.416 4.265 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1cc2ccccc2s1 ZINC000657329809 1038575391 /nfs/dbraw/zinc/57/53/91/1038575391.db2.gz FAQFLTMYNJNVHV-UHFFFAOYSA-N 0 3 311.454 4.192 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000657334917 1038577856 /nfs/dbraw/zinc/57/78/56/1038577856.db2.gz DYMYCSGMKISOLX-IBGZPJMESA-N 0 3 315.436 4.453 20 0 DIADHN COCc1csc(CNCc2ccccc2C(F)(F)F)c1 ZINC000657336171 1038580274 /nfs/dbraw/zinc/58/02/74/1038580274.db2.gz NFPIBZAMRBGOGZ-UHFFFAOYSA-N 0 3 315.360 4.203 20 0 DIADHN c1cc(CN[C@H](c2ccccc2)C2CCCC2)n(CC2CC2)n1 ZINC000657336752 1038580550 /nfs/dbraw/zinc/58/05/50/1038580550.db2.gz WAIFLEGHMMSKRT-HXUWFJFHSA-N 0 3 309.457 4.314 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc2n1CCC2)c1cccs1 ZINC000657336765 1038580705 /nfs/dbraw/zinc/58/07/05/1038580705.db2.gz WGKDIYBMXZIDME-QGZVFWFLSA-N 0 3 303.475 4.158 20 0 DIADHN CCOc1ccc(CN[C@@H]2CSCc3ccccc32)c(C)c1 ZINC000657336879 1038581350 /nfs/dbraw/zinc/58/13/50/1038581350.db2.gz ZDTVIHGFSDFDDM-LJQANCHMSA-N 0 3 313.466 4.471 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2c(c1)COC2)C(C)(C)C ZINC000934287251 1038581363 /nfs/dbraw/zinc/58/13/63/1038581363.db2.gz CLSQPYKSHAOODA-IBGZPJMESA-N 0 3 310.441 4.297 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@H](CC(C)C)C2)co1 ZINC001167548686 1038582924 /nfs/dbraw/zinc/58/29/24/1038582924.db2.gz DZDVVZUQDBBYET-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1nc(C(C)C)n2ccccc12 ZINC000563252729 1038584570 /nfs/dbraw/zinc/58/45/70/1038584570.db2.gz KJDPMJKJTQPZCI-CQSZACIVSA-N 0 3 305.491 4.031 20 0 DIADHN CCC(C)(C)C(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000072084536 1038586023 /nfs/dbraw/zinc/58/60/23/1038586023.db2.gz ZTPCEQQTNKAFGO-HNNXBMFYSA-N 0 3 322.518 4.074 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2ncccc2C(F)(F)F)C1 ZINC000684767164 1038593632 /nfs/dbraw/zinc/59/36/32/1038593632.db2.gz YAEUWVZTPQFZEW-HAQNSBGRSA-N 0 3 324.321 4.275 20 0 DIADHN FC(F)(F)c1cccnc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000684767176 1038594319 /nfs/dbraw/zinc/59/43/19/1038594319.db2.gz YIANWMAYJLONBF-HDJSIYSDSA-N 0 3 306.331 4.136 20 0 DIADHN Cc1c[nH]c(CNCC(C)(C)c2c(Cl)cccc2Cl)n1 ZINC000657350987 1038594419 /nfs/dbraw/zinc/59/44/19/1038594419.db2.gz YVHUSCJSDVRCSC-UHFFFAOYSA-N 0 3 312.244 4.092 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCc2cnc3n2CCC3)cc1 ZINC000657354170 1038596212 /nfs/dbraw/zinc/59/62/12/1038596212.db2.gz RVHQKVMSEJTHDX-CQSZACIVSA-N 0 3 315.486 4.181 20 0 DIADHN COCc1cc(CNCc2cc3c(cccc3C)[nH]2)ccc1F ZINC000657353852 1038596747 /nfs/dbraw/zinc/59/67/47/1038596747.db2.gz OBDAQWBEGJBBQY-UHFFFAOYSA-N 0 3 312.388 4.052 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@H](Cc3nccs3)C2)c1 ZINC000934331294 1038601374 /nfs/dbraw/zinc/60/13/74/1038601374.db2.gz ANQXTTFNBGXSFP-ZDUSSCGKSA-N 0 3 308.397 4.145 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nc(-c2ccccc2C)no1 ZINC000606434182 1038601351 /nfs/dbraw/zinc/60/13/51/1038601351.db2.gz OPIJARSGTTVWFR-RHSMWYFYSA-N 0 3 313.445 4.446 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000606434370 1038601922 /nfs/dbraw/zinc/60/19/22/1038601922.db2.gz WMMBXIYNMNVSHT-NVXWUHKLSA-N 0 3 313.445 4.446 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cn3cccc(C)c3n2)cc1 ZINC000606447187 1038603076 /nfs/dbraw/zinc/60/30/76/1038603076.db2.gz KQKJTXVZXXURDS-KRWDZBQOSA-N 0 3 307.441 4.014 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)NCc1nccn1C(C)C ZINC000657364198 1038607110 /nfs/dbraw/zinc/60/71/10/1038607110.db2.gz WEVXHGBIJCLXHM-INIZCTEOSA-N 0 3 305.853 4.228 20 0 DIADHN CC[C@H](NC[C@H]1OCCc2ccccc21)c1ccc(F)cc1F ZINC000606467844 1038607240 /nfs/dbraw/zinc/60/72/40/1038607240.db2.gz JPLKWDYYBRJHDV-RBUKOAKNSA-N 0 3 317.379 4.320 20 0 DIADHN Cn1nc(C(F)(F)F)cc1CN[C@@H](CC1CC1)c1ccccc1 ZINC000657378070 1038620863 /nfs/dbraw/zinc/62/08/63/1038620863.db2.gz XGJGORRSPKDRFZ-HNNXBMFYSA-N 0 3 323.362 4.070 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC000657378081 1038621303 /nfs/dbraw/zinc/62/13/03/1038621303.db2.gz XPFYIXWDGYNGKT-JEBQAFNWSA-N 0 3 317.864 4.433 20 0 DIADHN Cc1c[nH]c(CNCC[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000657381311 1038623984 /nfs/dbraw/zinc/62/39/84/1038623984.db2.gz BPKZXHLJWFHHEJ-LLVKDONJSA-N 0 3 311.351 4.020 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc(-n2ccnc2)cc1 ZINC000172628049 1038624573 /nfs/dbraw/zinc/62/45/73/1038624573.db2.gz YQHJLFILPPKUNX-UHFFFAOYSA-N 0 3 322.456 4.010 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1cccc(Cl)c1Cl ZINC001167561925 1038628679 /nfs/dbraw/zinc/62/86/79/1038628679.db2.gz CFYNQZJJPDSADL-NSHDSACASA-N 0 3 317.260 4.300 20 0 DIADHN FC(F)(F)Oc1ccc(CN2C[C@H]3CC[C@@H]2C3)cc1Cl ZINC001140559167 1038632957 /nfs/dbraw/zinc/63/29/57/1038632957.db2.gz SCNLAXARZVVXQJ-GXSJLCMTSA-N 0 3 305.727 4.223 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(Br)cc2)cn1 ZINC000044500532 1038637674 /nfs/dbraw/zinc/63/76/74/1038637674.db2.gz CZDVTXKBBSNBKI-NWDGAFQWSA-N 0 3 322.250 4.077 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccccc1OCC1CC1 ZINC000606914626 1038640060 /nfs/dbraw/zinc/64/00/60/1038640060.db2.gz INPDPWAKOHCCEQ-TWGQIWQCSA-N 0 3 323.436 4.287 20 0 DIADHN Fc1ccc(OC(F)F)c(CN[C@H]2CCCC(F)(F)C2)c1 ZINC000657402174 1038641075 /nfs/dbraw/zinc/64/10/75/1038641075.db2.gz ODGUXJWLRBQIQP-NSHDSACASA-N 0 3 309.278 4.095 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(C3CCC3)cnn2C)c2ccccc21 ZINC000657415919 1038654450 /nfs/dbraw/zinc/65/44/50/1038654450.db2.gz PDTUNPBFGDQLOW-LIRRHRJNSA-N 0 3 309.457 4.416 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnc3n1CCC3)CCC2 ZINC000657422323 1038658549 /nfs/dbraw/zinc/65/85/49/1038658549.db2.gz VZVQUBNPZXYCPW-LJQANCHMSA-N 0 3 309.457 4.120 20 0 DIADHN Clc1cccc(C2(NCC3=Cc4ccccc4OC3)CC2)c1 ZINC000607168591 1038660495 /nfs/dbraw/zinc/66/04/95/1038660495.db2.gz HJTYZBBRFVIQNF-UHFFFAOYSA-N 0 3 311.812 4.395 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc3c(c2)OCCCO3)s1 ZINC000047892515 1038663538 /nfs/dbraw/zinc/66/35/38/1038663538.db2.gz MKKQKVFMZXIHPP-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2ccc(F)c(F)c2F)CCO1 ZINC000657430503 1038671310 /nfs/dbraw/zinc/67/13/10/1038671310.db2.gz ZVLHGSMYDLUCDU-DZGCQCFKSA-N 0 3 315.379 4.177 20 0 DIADHN C[C@@H]1CN(Cc2ccc([Si](C)(C)C)cc2)[C@H]1c1ccccc1 ZINC001167575612 1038672229 /nfs/dbraw/zinc/67/22/29/1038672229.db2.gz FAUNUAIHWCNHEG-OXQOHEQNSA-N 0 3 309.529 4.425 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)c1cccc(CN(C)C)c1 ZINC000607384855 1038673939 /nfs/dbraw/zinc/67/39/39/1038673939.db2.gz GELQSEICUGXJQS-FQEVSTJZSA-N 0 3 324.468 4.362 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC000607358890 1038674495 /nfs/dbraw/zinc/67/44/95/1038674495.db2.gz VNGXLMUBGSTCCO-AWEZNQCLSA-N 0 3 323.358 4.088 20 0 DIADHN CCSc1cc(CNCc2cc3c(cccc3C)[nH]2)ccn1 ZINC000657441359 1038679933 /nfs/dbraw/zinc/67/99/33/1038679933.db2.gz VVAWPOQVNGEKCE-UHFFFAOYSA-N 0 3 311.454 4.273 20 0 DIADHN Cc1nccn1CCN(C)[C@H](C)c1ccc(Cl)cc1Cl ZINC000342589800 1038681453 /nfs/dbraw/zinc/68/14/53/1038681453.db2.gz VIDANHCRNPDKRG-LLVKDONJSA-N 0 3 312.244 4.191 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2CCCOC2)s1 ZINC000342612486 1038688581 /nfs/dbraw/zinc/68/85/81/1038688581.db2.gz GQKLTOSSQXVEMS-HNNXBMFYSA-N 0 3 305.443 4.078 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000657453238 1038693327 /nfs/dbraw/zinc/69/33/27/1038693327.db2.gz TYWSUMJSAOQAPT-RDJZCZTQSA-N 0 3 301.409 4.029 20 0 DIADHN Cc1c[nH]c(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)n1 ZINC000657457978 1038698734 /nfs/dbraw/zinc/69/87/34/1038698734.db2.gz HCBMBJJSUUREAM-HAQNSBGRSA-N 0 3 310.228 4.061 20 0 DIADHN FC1(F)CC(NCc2ccc(OCc3ccccc3)cc2)C1 ZINC000277686184 1038699262 /nfs/dbraw/zinc/69/92/62/1038699262.db2.gz PZXFRQHRSKVXIQ-UHFFFAOYSA-N 0 3 303.352 4.153 20 0 DIADHN CC(C)(CNCc1cccc(OC2CC2)c1F)C(F)(F)F ZINC000657461539 1038702109 /nfs/dbraw/zinc/70/21/09/1038702109.db2.gz HWBHQANUNFZOPU-UHFFFAOYSA-N 0 3 305.315 4.045 20 0 DIADHN Cc1cccc(CCN2CCc3cc(C(F)(F)F)ccc3C2)n1 ZINC000626008083 1038702428 /nfs/dbraw/zinc/70/24/28/1038702428.db2.gz HXRZZNCWLRQOTE-UHFFFAOYSA-N 0 3 320.358 4.010 20 0 DIADHN COCc1csc(CN[C@@H]2CCCc3c(F)cc(F)cc32)c1 ZINC000657476399 1038711102 /nfs/dbraw/zinc/71/11/02/1038711102.db2.gz HAZWLOWTXNQQLO-QGZVFWFLSA-N 0 3 323.408 4.340 20 0 DIADHN Fc1ccc(CNC[C@H]2CSc3ccccc32)c(F)c1F ZINC000657476300 1038711434 /nfs/dbraw/zinc/71/14/34/1038711434.db2.gz DPDVSYSBZSIPPH-NSHDSACASA-N 0 3 309.356 4.083 20 0 DIADHN CCOc1ccc(CN[C@@H]2C[C@@H](C)Oc3ccccc32)c(C)c1 ZINC000657479810 1038716521 /nfs/dbraw/zinc/71/65/21/1038716521.db2.gz WLWJXAFRIGTXOV-DNVCBOLYSA-N 0 3 311.425 4.396 20 0 DIADHN CC(C)n1ccnc1CNC1(C(C)(C)c2ccc(F)cc2)CC1 ZINC000657484992 1038719079 /nfs/dbraw/zinc/71/90/79/1038719079.db2.gz IAGQBQJNYUHJOG-UHFFFAOYSA-N 0 3 315.436 4.203 20 0 DIADHN c1sc(COc2ccccc2)nc1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000647256462 1038720037 /nfs/dbraw/zinc/72/00/37/1038720037.db2.gz SUHLDSQSCRMOFN-SOUVJXGZSA-N 0 3 314.454 4.000 20 0 DIADHN Cc1cccc2nc(CN3CC[C@@H](c4ccccc4)[C@@H](C)C3)cn21 ZINC000607752220 1038722935 /nfs/dbraw/zinc/72/29/35/1038722935.db2.gz NOVZSGMUCRPAKN-OXJNMPFZSA-N 0 3 319.452 4.268 20 0 DIADHN CCCN(Cc1cc(C)no1)[C@@H]1C[C@H](OCC)C12CCCCC2 ZINC000607749474 1038723000 /nfs/dbraw/zinc/72/30/00/1038723000.db2.gz FGZCRZMDIJRROZ-MSOLQXFVSA-N 0 3 320.477 4.323 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](NCc2cn(C3CCC3)nn2)C1 ZINC000657492487 1038724661 /nfs/dbraw/zinc/72/46/61/1038724661.db2.gz FGKMDEMFMGXLME-JQHSSLGASA-N 0 3 324.472 4.065 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ncc(-c2ccccc2F)o1 ZINC000607728180 1038726715 /nfs/dbraw/zinc/72/67/15/1038726715.db2.gz NJOGENLJBAUFQP-CQSZACIVSA-N 0 3 302.393 4.491 20 0 DIADHN CCCN(Cc1sc(C)nc1C)Cc1ccc(OC)cc1 ZINC000607727907 1038727438 /nfs/dbraw/zinc/72/74/38/1038727438.db2.gz DLOZQLCRZZZBON-UHFFFAOYSA-N 0 3 304.459 4.181 20 0 DIADHN COCc1csc(CNC2(c3ccc(C)cc3)CCC2)c1 ZINC000657499938 1038728287 /nfs/dbraw/zinc/72/82/87/1038728287.db2.gz JRVYCZVOCCJXGM-UHFFFAOYSA-N 0 3 301.455 4.372 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cc2ccccc2[nH]1 ZINC000684955628 1038739443 /nfs/dbraw/zinc/73/94/43/1038739443.db2.gz QUACLRUZPAQUNK-SFHVURJKSA-N 0 3 310.372 4.093 20 0 DIADHN C[C@H](N[C@H]1CCCc2cccnc21)c1cccc(N2CCCC2)c1 ZINC000684957532 1038741927 /nfs/dbraw/zinc/74/19/27/1038741927.db2.gz LZBIADAQSOYYMN-JXFKEZNVSA-N 0 3 321.468 4.410 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@H](c2cccnc2)C(C)C)c1 ZINC000091374515 1038750428 /nfs/dbraw/zinc/75/04/28/1038750428.db2.gz MEEYTZWNTAKUNT-QUCCMNQESA-N 0 3 323.440 4.249 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H](c2ccccc2)C(C)C)c1 ZINC000533966614 1038753915 /nfs/dbraw/zinc/75/39/15/1038753915.db2.gz BHXWCNZKKRBUFA-FQEVSTJZSA-N 0 3 324.468 4.435 20 0 DIADHN Fc1ccc(F)c(CN(CCc2cscn2)C2CCCC2)c1 ZINC001167597957 1038755500 /nfs/dbraw/zinc/75/55/00/1038755500.db2.gz BYYRZDYAJQMCJR-UHFFFAOYSA-N 0 3 322.424 4.409 20 0 DIADHN CCOC(=O)c1cc(Nc2ccnc(C(C)(C)C)c2)cnc1C ZINC001213163239 1038755759 /nfs/dbraw/zinc/75/57/59/1038755759.db2.gz ZQVRYZCYPJWNQQ-UHFFFAOYSA-N 0 3 313.401 4.003 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1F)Oc1ccc(Cl)cc1 ZINC000608068924 1038764012 /nfs/dbraw/zinc/76/40/12/1038764012.db2.gz MUAPKDSEZNGMPP-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc([C@H]3C[C@H]3C)o2)C12CCCC2 ZINC000608072950 1038764148 /nfs/dbraw/zinc/76/41/48/1038764148.db2.gz IDKOYNHJQBFKPO-HUHSQHJXSA-N 0 3 303.446 4.230 20 0 DIADHN Cc1ncsc1CN[C@@H](c1cccnc1)C1CCCCC1 ZINC000608055527 1038768098 /nfs/dbraw/zinc/76/80/98/1038768098.db2.gz UYWONLNRORXXEQ-QGZVFWFLSA-N 0 3 301.459 4.258 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000075022110 1038785288 /nfs/dbraw/zinc/78/52/88/1038785288.db2.gz CDRRYRZUKAEINN-ZBFHGGJFSA-N 0 3 302.462 4.177 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000075021761 1038785553 /nfs/dbraw/zinc/78/55/53/1038785553.db2.gz WLKVRNLXWANZJG-NEPJUHHUSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)NCc1csc(C2CC2)n1 ZINC000657547536 1038793778 /nfs/dbraw/zinc/79/37/78/1038793778.db2.gz JTMRICWUPCFOQJ-OAHLLOKOSA-N 0 3 304.434 4.271 20 0 DIADHN COC1CCC(N(C)Cc2ncc(-c3ccccc3C)o2)CC1 ZINC000075745692 1038803172 /nfs/dbraw/zinc/80/31/72/1038803172.db2.gz GVYWXOGQSHDXCS-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN Fc1cc(CNCCc2nc3ccccc3s2)cc(F)c1F ZINC000075716537 1038805284 /nfs/dbraw/zinc/80/52/84/1038805284.db2.gz JIEVPHGQPZTUJF-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)cc2)cc1F ZINC000608385713 1038836244 /nfs/dbraw/zinc/83/62/44/1038836244.db2.gz GREDCCIIDGSIRJ-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@@H](CC2CC2)c2ccccc2)c1 ZINC000608470732 1038851666 /nfs/dbraw/zinc/85/16/66/1038851666.db2.gz CJMZBSSLHHBAKE-FQEVSTJZSA-N 0 3 322.452 4.019 20 0 DIADHN c1cc(-c2ncc(CN3CCC[C@@H]3[C@@H]3CCCO3)s2)cs1 ZINC000342817712 1038862390 /nfs/dbraw/zinc/86/23/90/1038862390.db2.gz GHYSHANQDSXXTR-CABCVRRESA-N 0 3 320.483 4.015 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC=C(c3ccccc3)C2)o1 ZINC000342833793 1038897845 /nfs/dbraw/zinc/89/78/45/1038897845.db2.gz BMDKXAZEUBWFAS-UHFFFAOYSA-N 0 3 319.408 4.267 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cccnc1Cl)c1ccco1 ZINC000119082206 1038903831 /nfs/dbraw/zinc/90/38/31/1038903831.db2.gz QGHQOJVNDZBRSH-DZGCQCFKSA-N 0 3 321.852 4.062 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cncc(F)c2)C2CC2)cc1 ZINC000119208893 1038908279 /nfs/dbraw/zinc/90/82/79/1038908279.db2.gz SWNUDMLCSWQJTI-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2F)C(C)C)cc1OC ZINC000657615846 1038915822 /nfs/dbraw/zinc/91/58/22/1038915822.db2.gz RPDOFJCNEAXZPS-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccc([S@@](C)=O)cc1 ZINC000119390812 1038920139 /nfs/dbraw/zinc/92/01/39/1038920139.db2.gz BWSPFNYQPWHBMT-LSWYUMSHSA-N 0 3 319.495 4.214 20 0 DIADHN COc1cc(CNCc2cc(C)cc(C)c2)ccc1SC ZINC000657626596 1038923756 /nfs/dbraw/zinc/92/37/56/1038923756.db2.gz ZTFORGKIXABZEB-UHFFFAOYSA-N 0 3 301.455 4.324 20 0 DIADHN CCCN(CCCn1ccnc1C)Cc1c(F)cccc1Cl ZINC000342860516 1038926995 /nfs/dbraw/zinc/92/69/95/1038926995.db2.gz OZJOSTCLYBJMBI-UHFFFAOYSA-N 0 3 323.843 4.286 20 0 DIADHN Cc1nccn1CCCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC000342864767 1038930391 /nfs/dbraw/zinc/93/03/91/1038930391.db2.gz QJZAJLHXNCMDJX-CQSZACIVSA-N 0 3 317.864 4.461 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1cc(F)ccc1F ZINC001167618842 1038941101 /nfs/dbraw/zinc/94/11/01/1038941101.db2.gz HHWTYGMJPAHWNK-GOEBONIOSA-N 0 3 301.380 4.418 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@@H](C)c2ccc(F)cc2)cc1 ZINC000119752510 1038942871 /nfs/dbraw/zinc/94/28/71/1038942871.db2.gz CWUHIVNOFBTEOY-AWEZNQCLSA-N 0 3 314.404 4.271 20 0 DIADHN CCC1(C)CCC(NCc2ncc(Br)s2)CC1 ZINC000782365442 1038950542 /nfs/dbraw/zinc/95/05/42/1038950542.db2.gz UVOSJDUXRYPBDC-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCOc3cc(C)ccc32)s1 ZINC000119819455 1038950905 /nfs/dbraw/zinc/95/09/05/1038950905.db2.gz GSMJVMDCYFPBQD-OAHLLOKOSA-N 0 3 302.443 4.072 20 0 DIADHN Cc1cc(Nc2ccnc(C(C)(C)C)c2)n(-c2ccncc2)n1 ZINC001213164456 1038954862 /nfs/dbraw/zinc/95/48/62/1038954862.db2.gz QKDQQCKBVAGMLU-UHFFFAOYSA-N 0 3 307.401 4.012 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC(=O)C[C@H](N)c1ccccc1 ZINC000237633223 1038959703 /nfs/dbraw/zinc/95/97/03/1038959703.db2.gz MXWTWYUVRDDGIO-PBHICJAKSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2Br)CC1(F)F ZINC000657665383 1038971470 /nfs/dbraw/zinc/97/14/70/1038971470.db2.gz OOZNGJMWMKDREF-NSHDSACASA-N 0 3 324.596 4.237 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNCc1c(C2CC2)cnn1C ZINC000657665720 1038974067 /nfs/dbraw/zinc/97/40/67/1038974067.db2.gz VELGNZWATQDPMM-LCYFTJDESA-N 0 3 315.848 4.144 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000120299534 1038978602 /nfs/dbraw/zinc/97/86/02/1038978602.db2.gz FZFONRTXTZJJKT-FZKQIMNGSA-N 0 3 319.832 4.123 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@]1(C)CC1(F)F ZINC000657670636 1038983157 /nfs/dbraw/zinc/98/31/57/1038983157.db2.gz VZLGTKHRYGORFF-GFCCVEGCSA-N 0 3 310.171 4.137 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2Cc3ccc(Cl)cc3C2)c(C)s1 ZINC000800496411 1038999742 /nfs/dbraw/zinc/99/97/42/1038999742.db2.gz VDDHPBHRBGCNBK-PSLIRLAXSA-N 0 3 306.862 4.231 20 0 DIADHN CC[C@H](NCc1csc(COc2ccc(C)cc2)n1)C1CC1 ZINC000657679863 1039002255 /nfs/dbraw/zinc/00/22/55/1039002255.db2.gz GLTCZTUOGAPHBA-KRWDZBQOSA-N 0 3 316.470 4.309 20 0 DIADHN OC[C@@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000534238951 1039013107 /nfs/dbraw/zinc/01/31/07/1039013107.db2.gz PYBHXUSFPSNUIK-QZTJIDSGSA-N 0 3 301.817 4.041 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc(OC(F)(F)F)c1)CC2 ZINC000657693177 1039014113 /nfs/dbraw/zinc/01/41/13/1039014113.db2.gz JRVNBJRHZXKMQA-MRXNPFEDSA-N 0 3 323.314 4.068 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1cc(F)ccc1F ZINC000120842841 1039017840 /nfs/dbraw/zinc/01/78/40/1039017840.db2.gz FEDJNUGUQNWCPX-LBPRGKRZSA-N 0 3 322.374 4.016 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(OC(F)(F)F)cc1)CC2 ZINC000657692158 1039018948 /nfs/dbraw/zinc/01/89/48/1039018948.db2.gz OARXSRUUMOYDDU-MRXNPFEDSA-N 0 3 323.314 4.068 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(O)cc32)ccc1C(F)(F)F ZINC000657693924 1039020522 /nfs/dbraw/zinc/02/05/22/1039020522.db2.gz QQAMNZBTQGNTMI-KRWDZBQOSA-N 0 3 321.342 4.497 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2cccc(-c3cccnc3)c2)nc1 ZINC000534332211 1039031604 /nfs/dbraw/zinc/03/16/04/1039031604.db2.gz NDNNMDMRKYJAMV-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN C#CCOc1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000237829685 1039034041 /nfs/dbraw/zinc/03/40/41/1039034041.db2.gz PABJILWCHQZZQH-IBGZPJMESA-N 0 3 311.812 4.129 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(N3CCCC3)nc2)[C@H]2CCCC[C@@H]12 ZINC000534337102 1039038707 /nfs/dbraw/zinc/03/87/07/1039038707.db2.gz GXJYWBHFESVZIB-NEWSRXKRSA-N 0 3 313.489 4.082 20 0 DIADHN c1nc(C2CC2)oc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC000628185574 1039067919 /nfs/dbraw/zinc/06/79/19/1039067919.db2.gz CYYRPKQGBWTJFA-CQSZACIVSA-N 0 3 314.454 4.166 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN1CCC[C@@H]1C1CCC1 ZINC000343008135 1039096700 /nfs/dbraw/zinc/09/67/00/1039096700.db2.gz NKPAKSSUWHRGIN-GOSISDBHSA-N 0 3 301.430 4.052 20 0 DIADHN CCOCc1ccccc1CN[C@@H](CC(C)C)c1ccccn1 ZINC000122613573 1039111318 /nfs/dbraw/zinc/11/13/18/1039111318.db2.gz WSDZVJAWBNVZAH-FQEVSTJZSA-N 0 3 312.457 4.495 20 0 DIADHN CC(C)c1ccc(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)cn1 ZINC000278065697 1039119547 /nfs/dbraw/zinc/11/95/47/1039119547.db2.gz WDNYLVDRTGOFSY-MJBXVCDLSA-N 0 3 314.433 4.189 20 0 DIADHN CN(CC(=O)Nc1ccccc1-c1ccccc1)C1CCCC1 ZINC000139528923 1039121504 /nfs/dbraw/zinc/12/15/04/1039121504.db2.gz UHIRIJZHHYXQQK-UHFFFAOYSA-N 0 3 308.425 4.167 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1C[C@H](C)CCC[C@H]1C ZINC000525636694 1039126942 /nfs/dbraw/zinc/12/69/42/1039126942.db2.gz JBKQFISXVHXBFR-HZPDHXFCSA-N 0 3 316.489 4.260 20 0 DIADHN COc1ccc(C2=CCN(Cc3cc(C)ccc3OC)CC2)cc1 ZINC000061106947 1039180306 /nfs/dbraw/zinc/18/03/06/1039180306.db2.gz WSDNWPXOHFUDOV-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc(-c2ccccc2)nc1 ZINC000535328843 1039183164 /nfs/dbraw/zinc/18/31/64/1039183164.db2.gz DBLALVMJAYIRQL-UHFFFAOYSA-N 0 3 317.436 4.208 20 0 DIADHN COc1ccc([C@@H](C)CN2CCOC3(C2)CCCCCC3)cc1 ZINC001167658485 1039195310 /nfs/dbraw/zinc/19/53/10/1039195310.db2.gz IINDKKNOMXIUJF-KRWDZBQOSA-N 0 3 317.473 4.224 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cccc2cccnc21)c1ccccc1 ZINC000657741217 1039201353 /nfs/dbraw/zinc/20/13/53/1039201353.db2.gz FOGGSKWPQLXNJJ-RTWAWAEBSA-N 0 3 320.436 4.083 20 0 DIADHN CCN(Cc1nccc(C)n1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000535964565 1039210647 /nfs/dbraw/zinc/21/06/47/1039210647.db2.gz SXRWNXRADTYJFS-SFHVURJKSA-N 0 3 315.436 4.013 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@@H]1CCc3ccc(F)cc31)CC2 ZINC000535967701 1039215040 /nfs/dbraw/zinc/21/50/40/1039215040.db2.gz YKZCXBMFVHMFAF-OAQYLSRUSA-N 0 3 323.411 4.116 20 0 DIADHN COc1cc(OC)c(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001213166877 1039217787 /nfs/dbraw/zinc/21/77/87/1039217787.db2.gz WXRQFANJYBEKME-UHFFFAOYSA-N 0 3 304.365 4.279 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@H](O)C(C)C)cc21 ZINC000657744016 1039224153 /nfs/dbraw/zinc/22/41/53/1039224153.db2.gz CLTSITQJZMGTFH-NHCUHLMSSA-N 0 3 322.452 4.324 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)c(C)s1 ZINC000657745729 1039232992 /nfs/dbraw/zinc/23/29/92/1039232992.db2.gz KBNRLEOJCFKQOG-ROUUACIJSA-N 0 3 303.471 4.213 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2CCO[C@H]2C2CC2)o1 ZINC000535541142 1039236247 /nfs/dbraw/zinc/23/62/47/1039236247.db2.gz BBAXUJGCBNVKCV-AEFFLSMTSA-N 0 3 317.816 4.257 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)N(C)Cc1ncc(C2CC2)o1 ZINC000535553384 1039239021 /nfs/dbraw/zinc/23/90/21/1039239021.db2.gz JIXRHBMCARNGPD-KRWDZBQOSA-N 0 3 316.420 4.390 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000657746736 1039240905 /nfs/dbraw/zinc/24/09/05/1039240905.db2.gz NDDVQBBGXMECBD-ROUUACIJSA-N 0 3 319.395 4.472 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(OC(C)C)nc1)c1ccncc1 ZINC000647283072 1039245783 /nfs/dbraw/zinc/24/57/83/1039245783.db2.gz IZPWFOPRPPKHEP-GOSISDBHSA-N 0 3 313.445 4.141 20 0 DIADHN Clc1ccc([C@H]2CCCN2CCCn2ccnc2)cc1Cl ZINC000539414644 1039259794 /nfs/dbraw/zinc/25/97/94/1039259794.db2.gz FSYHVHYFJCPKFV-MRXNPFEDSA-N 0 3 324.255 4.417 20 0 DIADHN Cc1cc([C@@H](NCc2cnc3ccccn23)C2CC2)ccc1F ZINC000539425963 1039261220 /nfs/dbraw/zinc/26/12/20/1039261220.db2.gz ZCUFRGAPQMWXPI-IBGZPJMESA-N 0 3 309.388 4.023 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1coc2ccccc12)c1ccccc1 ZINC000657749106 1039269342 /nfs/dbraw/zinc/26/93/42/1039269342.db2.gz YDTBFQSIHNIKOH-UXHICEINSA-N 0 3 309.409 4.281 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccco2)o1)C1CCCCC1 ZINC000539491285 1039269748 /nfs/dbraw/zinc/26/97/48/1039269748.db2.gz MXRFMJVIBIMORA-CQSZACIVSA-N 0 3 303.406 4.168 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)c2cccc(CN(C)C)c2)c1 ZINC000155691632 1039271316 /nfs/dbraw/zinc/27/13/16/1039271316.db2.gz ZNAHLQVASPPDSY-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1ccc(CCCC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000044504091 1039273760 /nfs/dbraw/zinc/27/37/60/1039273760.db2.gz YOXONIMBLKOELU-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCO2)c2ccc(Cl)cc2)cc1 ZINC000491259945 1039276004 /nfs/dbraw/zinc/27/60/04/1039276004.db2.gz MYPLVGCVDVNILW-ZWKOTPCHSA-N 0 3 319.807 4.337 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cn1 ZINC000155779770 1039276610 /nfs/dbraw/zinc/27/66/10/1039276610.db2.gz UDXMUJAAQWVNQA-HOTGVXAUSA-N 0 3 320.440 4.377 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1ccccc1F ZINC000539510931 1039277119 /nfs/dbraw/zinc/27/71/19/1039277119.db2.gz OXZFXGLVVWRVIQ-VFVRVIDISA-N 0 3 305.368 4.392 20 0 DIADHN Fc1cc(CNC2(c3ccccc3)CCOCC2)ccc1Cl ZINC000539500442 1039277271 /nfs/dbraw/zinc/27/72/71/1039277271.db2.gz BUTJXSCOQDBOPZ-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1ccc2[nH]ccc2c1 ZINC000583332796 1039284429 /nfs/dbraw/zinc/28/44/29/1039284429.db2.gz UGGRLCAZFQQFNY-GOSISDBHSA-N 0 3 310.372 4.311 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)NC(=O)CCC3)ccn1 ZINC001213168487 1039294658 /nfs/dbraw/zinc/29/46/58/1039294658.db2.gz IWVJXSUDAYTZFR-UHFFFAOYSA-N 0 3 309.413 4.398 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@H](NCc2csc(-c3cccs3)n2)C1(C)C ZINC000539587726 1039295471 /nfs/dbraw/zinc/29/54/71/1039295471.db2.gz FMKCDIFPHRMJAL-LEWSCRJBSA-N 0 3 322.499 4.021 20 0 DIADHN CO[C@@H]1[C@H](C)[C@@H](NCc2csc(-c3ccsc3)n2)C1(C)C ZINC000539589120 1039296010 /nfs/dbraw/zinc/29/60/10/1039296010.db2.gz LPTPRORNKYOMTC-LERXQTSPSA-N 0 3 322.499 4.021 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccnc(Cl)c2)cc1 ZINC000161677189 1039299979 /nfs/dbraw/zinc/29/99/79/1039299979.db2.gz JJRVSEIOJCXODT-ZDUSSCGKSA-N 0 3 304.821 4.375 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC(C)C)c2ccncc2)cn1 ZINC000647288665 1039301967 /nfs/dbraw/zinc/30/19/67/1039301967.db2.gz JZRMGMDLLDOFDY-SFHVURJKSA-N 0 3 313.445 4.142 20 0 DIADHN Fc1cccc([C@@H](NC[C@@H]2CCCO2)c2cccc(Cl)c2)c1 ZINC000491271348 1039308644 /nfs/dbraw/zinc/30/86/44/1039308644.db2.gz OBPQHVVASHESPW-ROUUACIJSA-N 0 3 319.807 4.337 20 0 DIADHN COC(=O)[C@@H](C)c1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001213168952 1039311881 /nfs/dbraw/zinc/31/18/81/1039311881.db2.gz YGEPYFUCGXAWON-ZDUSSCGKSA-N 0 3 312.413 4.399 20 0 DIADHN CCN(Cc1nc2ccccc2o1)[C@H](C)Cc1ccsc1 ZINC000187781871 1039326969 /nfs/dbraw/zinc/32/69/69/1039326969.db2.gz JMGVTMLHIZSLHO-CYBMUJFWSA-N 0 3 300.427 4.342 20 0 DIADHN Fc1c(Cl)ccc(CN(C2CCC2)C2CCOCC2)c1F ZINC001233212825 1039326957 /nfs/dbraw/zinc/32/69/57/1039326957.db2.gz GIYDSFIPRFXFIO-UHFFFAOYSA-N 0 3 315.791 4.152 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(SC)c(F)c2)cc1 ZINC000150998519 1039330878 /nfs/dbraw/zinc/33/08/78/1039330878.db2.gz AETVFAZLFKIKKW-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN C[C@H](CN[C@@H](c1cnn(C)c1)c1cccc(F)c1)c1ccccc1 ZINC000491283107 1039340722 /nfs/dbraw/zinc/34/07/22/1039340722.db2.gz ICERTBATFPCEOX-FOIQADDNSA-N 0 3 323.415 4.042 20 0 DIADHN Cn1cc([C@@H](NCCCC(C)(C)C)c2cccc(F)c2)cn1 ZINC000539813186 1039345215 /nfs/dbraw/zinc/34/52/15/1039345215.db2.gz QREUDYLQULVANO-KRWDZBQOSA-N 0 3 303.425 4.065 20 0 DIADHN CC(C)Cc1ncc(CN(C)[C@@H](C)Cc2ccc(O)cc2)s1 ZINC000539830108 1039360911 /nfs/dbraw/zinc/36/09/11/1039360911.db2.gz REGFKODDNSLYBF-AWEZNQCLSA-N 0 3 318.486 4.110 20 0 DIADHN Clc1ccc(CN2CCCN3c4ccccc4C[C@@H]3C2)s1 ZINC000583534415 1039367560 /nfs/dbraw/zinc/36/75/60/1039367560.db2.gz NXUFFFZNVUHBMG-CQSZACIVSA-N 0 3 318.873 4.039 20 0 DIADHN CC(C)c1cnc(CNCCc2nsc3ccccc23)s1 ZINC000657770012 1039376581 /nfs/dbraw/zinc/37/65/81/1039376581.db2.gz JEHLYIYUZZYWCN-UHFFFAOYSA-N 0 3 317.483 4.209 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(OC3CCCC3)CC2)cc1F ZINC000583591419 1039390155 /nfs/dbraw/zinc/39/01/55/1039390155.db2.gz PJJKVIBAYBVPOH-ONEGZZNKSA-N 0 3 321.411 4.402 20 0 DIADHN COC(=O)[C@@H]1CCc2cc(Nc3ccnc(C(C)(C)C)c3)ccc21 ZINC001213170997 1039393075 /nfs/dbraw/zinc/39/30/75/1039393075.db2.gz WFNAQZWAYKZBRG-QGZVFWFLSA-N 0 3 324.424 4.326 20 0 DIADHN COCCOc1ccc([C@H](C)N[C@H](C)c2ccsc2)cc1 ZINC000669851271 1039393755 /nfs/dbraw/zinc/39/37/55/1039393755.db2.gz ZSHMEQQBZSMRNK-UONOGXRCSA-N 0 3 305.443 4.185 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2ccc(F)cn2)cc1 ZINC000539969567 1039399522 /nfs/dbraw/zinc/39/95/22/1039399522.db2.gz XEKOZANASDEXKK-CCKFTAQKSA-N 0 3 314.404 4.100 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H](C)c1ccc(C)nc1C ZINC000669852930 1039401357 /nfs/dbraw/zinc/40/13/57/1039401357.db2.gz GDLYVUWGZNXSFK-UGSOOPFHSA-N 0 3 314.404 4.184 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)CN2CCC[C@@H]2C2CC2)cc1 ZINC000728572192 1039406080 /nfs/dbraw/zinc/40/60/80/1039406080.db2.gz XWBUDQQOOZNLBX-KBXCAEBGSA-N 0 3 300.446 4.013 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@]3(CCSC3)C2)s1 ZINC000449737828 1039410418 /nfs/dbraw/zinc/41/04/18/1039410418.db2.gz ZUZYIOCWFKLSDQ-INIZCTEOSA-N 0 3 310.532 4.061 20 0 DIADHN c1c2ccccc2oc1CN[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000540068841 1039412152 /nfs/dbraw/zinc/41/21/52/1039412152.db2.gz UQAYBSHFXDICED-XLIONFOSSA-N 0 3 307.393 4.300 20 0 DIADHN CC[C@H](C)CN[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000540071664 1039414956 /nfs/dbraw/zinc/41/49/56/1039414956.db2.gz UBBKXQLCWGOEME-KXBFYZLASA-N 0 3 307.441 4.298 20 0 DIADHN C[C@@H](NCC1CC(c2ccccc2)C1)c1cc2n(n1)CCCC2 ZINC000647302477 1039420660 /nfs/dbraw/zinc/42/06/60/1039420660.db2.gz BBEBLJXNAVNLBN-KLHKWILBSA-N 0 3 309.457 4.064 20 0 DIADHN COCc1ccc(CNC2(c3cccc(OC)c3)CCC2)cc1 ZINC000657781985 1039424235 /nfs/dbraw/zinc/42/42/35/1039424235.db2.gz KKPSTQBMLWKGCD-UHFFFAOYSA-N 0 3 311.425 4.011 20 0 DIADHN CC[C@H](N[C@H](C)c1sccc1Br)[C@H]1CCCO1 ZINC000540145928 1039424758 /nfs/dbraw/zinc/42/47/58/1039424758.db2.gz MOBPQQFWZXSUBV-ADEWGFFLSA-N 0 3 318.280 4.119 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1cnccn1 ZINC000540141262 1039424719 /nfs/dbraw/zinc/42/47/19/1039424719.db2.gz GYYQIJHDXKGJIB-JKSUJKDBSA-N 0 3 315.486 4.315 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000540146905 1039425403 /nfs/dbraw/zinc/42/54/03/1039425403.db2.gz BYPMDYDXXWMBFL-DYVFJYSZSA-N 0 3 323.358 4.398 20 0 DIADHN CCCCCn1cc(CN[C@H]2c3ccccc3C[C@H]2C)c(C)n1 ZINC000540139448 1039426552 /nfs/dbraw/zinc/42/65/52/1039426552.db2.gz QCRCOJALWPHQBA-FOIQADDNSA-N 0 3 311.473 4.405 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000540148743 1039428050 /nfs/dbraw/zinc/42/80/50/1039428050.db2.gz CFCJPHRHEBXIHD-CXAGYDPISA-N 0 3 323.358 4.398 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000540153253 1039432230 /nfs/dbraw/zinc/43/22/30/1039432230.db2.gz BPVOCUWSPASWMU-DYVFJYSZSA-N 0 3 323.358 4.318 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000540153251 1039433469 /nfs/dbraw/zinc/43/34/69/1039433469.db2.gz BPVOCUWSPASWMU-CXAGYDPISA-N 0 3 323.358 4.318 20 0 DIADHN C[C@@H](NCCOc1ncccc1Cl)c1cc2ccccc2o1 ZINC000540155639 1039434350 /nfs/dbraw/zinc/43/43/50/1039434350.db2.gz GSJPVQKYWWHFQG-GFCCVEGCSA-N 0 3 316.788 4.211 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000540167299 1039435109 /nfs/dbraw/zinc/43/51/09/1039435109.db2.gz BBFKALCXFDPEEP-MORSLUCNSA-N 0 3 301.817 4.196 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(-c2ccccc2)c1)C(=O)NC(C)(C)C ZINC000540171786 1039436197 /nfs/dbraw/zinc/43/61/97/1039436197.db2.gz ZYJUKAUURLJLIZ-HZPDHXFCSA-N 0 3 324.468 4.307 20 0 DIADHN c1ccc2ncc(CN[C@@H]3CSCCc4ccccc43)cc2c1 ZINC000540172801 1039437383 /nfs/dbraw/zinc/43/73/83/1039437383.db2.gz WPSNZUQTQURATL-HXUWFJFHSA-N 0 3 320.461 4.355 20 0 DIADHN COC[C@@H](N[C@@H](c1cccs1)c1ccc(F)cc1)C(C)C ZINC000540169951 1039437712 /nfs/dbraw/zinc/43/77/12/1039437712.db2.gz ATGUABMLLWOWKV-NVXWUHKLSA-N 0 3 307.434 4.237 20 0 DIADHN C[C@@H](NCc1ccn(C2CCCC2)n1)C(C)(C)c1ccccc1 ZINC000540163234 1039439020 /nfs/dbraw/zinc/43/90/20/1039439020.db2.gz NDDYKMTYFYBDGQ-MRXNPFEDSA-N 0 3 311.473 4.454 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000540163290 1039439227 /nfs/dbraw/zinc/43/92/27/1039439227.db2.gz JFFRJOAHAWBDFT-MNOVXSKESA-N 0 3 300.418 4.250 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(F)cc1N(C)C ZINC000540175871 1039440328 /nfs/dbraw/zinc/44/03/28/1039440328.db2.gz ZKABHJQHBFLXIF-KZULUSFZSA-N 0 3 316.395 4.369 20 0 DIADHN COC[C@H](N[C@H]1CCCc2ccc(C)cc21)c1ccc(F)cc1 ZINC000540187333 1039442762 /nfs/dbraw/zinc/44/27/62/1039442762.db2.gz WIAQHGNEHMMRQS-PMACEKPBSA-N 0 3 313.416 4.489 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H]1CCc2cc(F)ccc21 ZINC000540177727 1039445135 /nfs/dbraw/zinc/44/51/35/1039445135.db2.gz JXZLHPCJGKJNTE-RBUKOAKNSA-N 0 3 311.400 4.489 20 0 DIADHN COC(C)(C)CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000540186965 1039448326 /nfs/dbraw/zinc/44/83/26/1039448326.db2.gz POGHEZGOHGTEMX-VIFPVBQESA-N 0 3 307.768 4.017 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(OCC)c(F)c1 ZINC000278412824 1039450632 /nfs/dbraw/zinc/45/06/32/1039450632.db2.gz PLCDITQDQHAXPJ-UHFFFAOYSA-N 0 3 317.404 4.051 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CCCN(CC(F)F)CC1 ZINC000540196467 1039452855 /nfs/dbraw/zinc/45/28/55/1039452855.db2.gz PQKVFDHFYGFUHJ-HNNXBMFYSA-N 0 3 318.411 4.174 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(Cl)cn1)c1ccccc1 ZINC000278422390 1039455935 /nfs/dbraw/zinc/45/59/35/1039455935.db2.gz IRUCKEXLOHAGNA-DYVFJYSZSA-N 0 3 304.821 4.163 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)c1ccccc1 ZINC000278422392 1039457214 /nfs/dbraw/zinc/45/72/14/1039457214.db2.gz IRUCKEXLOHAGNA-GUYCJALGSA-N 0 3 304.821 4.163 20 0 DIADHN Cc1ccc([C@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)cc1C ZINC000540219647 1039459783 /nfs/dbraw/zinc/45/97/83/1039459783.db2.gz HENYBSDCSWWYCZ-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)cc1C ZINC000540219647 1039459790 /nfs/dbraw/zinc/45/97/90/1039459790.db2.gz HENYBSDCSWWYCZ-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c1 ZINC000540219964 1039460130 /nfs/dbraw/zinc/46/01/30/1039460130.db2.gz OBMKXPZBGXSSED-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cc(C)cc([C@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1 ZINC000540219964 1039460135 /nfs/dbraw/zinc/46/01/35/1039460135.db2.gz OBMKXPZBGXSSED-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](c2ccc(F)cc2)C2CC2)cn1 ZINC000540260981 1039463005 /nfs/dbraw/zinc/46/30/05/1039463005.db2.gz QIESQMUVKJULFV-IBGZPJMESA-N 0 3 314.404 4.249 20 0 DIADHN CC(C)[C@H](NCc1ccccc1CO)c1ccc(Cl)cc1 ZINC000540299385 1039470047 /nfs/dbraw/zinc/47/00/47/1039470047.db2.gz CMEKVPLMVVCAIN-SFHVURJKSA-N 0 3 303.833 4.319 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C)cc2OC)C(C)C)cc1 ZINC000540313465 1039476973 /nfs/dbraw/zinc/47/69/73/1039476973.db2.gz RILABLKLZPJSRO-FQEVSTJZSA-N 0 3 313.441 4.499 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCCc4c(C)cccc43)n2c1 ZINC000657798905 1039482737 /nfs/dbraw/zinc/48/27/37/1039482737.db2.gz QUFNERIYXPSQIM-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN CC[C@H](N[C@H](C)c1ncc(-c2ccccc2)o1)C1CCOCC1 ZINC000540520266 1039509018 /nfs/dbraw/zinc/50/90/18/1039509018.db2.gz DGRDVHATNCGKPG-PBHICJAKSA-N 0 3 314.429 4.197 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000278505068 1039510619 /nfs/dbraw/zinc/51/06/19/1039510619.db2.gz OBNLPSGBWYVGNM-SFHVURJKSA-N 0 3 302.368 4.299 20 0 DIADHN COCc1cc(CN[C@H]2CCc3cc(Cl)ccc32)ccc1F ZINC000151218494 1039516041 /nfs/dbraw/zinc/51/60/41/1039516041.db2.gz FWGAQOSBKHRXIU-SFHVURJKSA-N 0 3 319.807 4.403 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NC2(c3ccccc3C)CC2)c1 ZINC000647315947 1039519123 /nfs/dbraw/zinc/51/91/23/1039519123.db2.gz HFKKEBKBHUIASC-HNNXBMFYSA-N 0 3 308.425 4.293 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc3c(c2)OCCO3)CC1 ZINC000647315823 1039520984 /nfs/dbraw/zinc/52/09/84/1039520984.db2.gz AXZSUBFRTBHXMT-HNNXBMFYSA-N 0 3 309.409 4.106 20 0 DIADHN CC(C)[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cc2ccccc2o1 ZINC000540644270 1039534446 /nfs/dbraw/zinc/53/44/46/1039534446.db2.gz HWDSEYFVRSINLF-DZFGPLHGSA-N 0 3 321.420 4.378 20 0 DIADHN COc1nsc(CNCC[C@@H]2CCCC2(C)C)c1Cl ZINC001203207035 1039535739 /nfs/dbraw/zinc/53/57/39/1039535739.db2.gz GSKZMWSOKPSOOU-JTQLQIEISA-N 0 3 302.871 4.111 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cccc(F)c1F)c1ccco1 ZINC000540645725 1039537915 /nfs/dbraw/zinc/53/79/15/1039537915.db2.gz GOCHVUNXZUJGEC-XJKSGUPXSA-N 0 3 322.399 4.292 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@H](C)O)ccc1C(F)(F)F ZINC000657814271 1039539817 /nfs/dbraw/zinc/53/98/17/1039539817.db2.gz BLYOSMYGDGIKSL-SUMWQHHRSA-N 0 3 323.358 4.226 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cc(C)ccc1F ZINC000540686458 1039542552 /nfs/dbraw/zinc/54/25/52/1039542552.db2.gz MROYPBWOMOKCKM-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN CCSc1cccc(CN[C@H](c2ccccc2)[C@H](C)O)c1 ZINC000657815388 1039545357 /nfs/dbraw/zinc/54/53/57/1039545357.db2.gz GUAIJLNMJUJJBK-KSSFIOAISA-N 0 3 301.455 4.010 20 0 DIADHN CCSc1cccc(CN[C@@H](c2ccccc2)[C@H](C)O)c1 ZINC000657815385 1039546446 /nfs/dbraw/zinc/54/64/46/1039546446.db2.gz GUAIJLNMJUJJBK-KBXCAEBGSA-N 0 3 301.455 4.010 20 0 DIADHN CN(Cc1cc[nH]n1)[C@@H]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000540694396 1039546646 /nfs/dbraw/zinc/54/66/46/1039546646.db2.gz YGDGJHZLIBNENJ-JKSUJKDBSA-N 0 3 323.362 4.197 20 0 DIADHN CN(CC[C@@H]1C[C@H]1C1CC1)Cc1csc(Br)c1 ZINC000714908368 1039547413 /nfs/dbraw/zinc/54/74/13/1039547413.db2.gz GAECTYJIXSLVML-OLZOCXBDSA-N 0 3 314.292 4.379 20 0 DIADHN C[C@H](N[C@@H]1CCOc2ccccc21)c1cc2ccncc2s1 ZINC000657818549 1039558062 /nfs/dbraw/zinc/55/80/62/1039558062.db2.gz BXUCIZXZXDPKSH-SWLSCSKDSA-N 0 3 310.422 4.471 20 0 DIADHN COCC1(N[C@@H](C)c2ccc3ccccc3c2OC(F)F)CC1 ZINC000540750133 1039558160 /nfs/dbraw/zinc/55/81/60/1039558160.db2.gz VEFFJGNBGUABEK-LBPRGKRZSA-N 0 3 321.367 4.271 20 0 DIADHN Clc1cccc(Cl)c1C1(NC2CCSCC2)CC1 ZINC000540791343 1039566755 /nfs/dbraw/zinc/56/67/55/1039566755.db2.gz LWQGSSJMMYOOSC-UHFFFAOYSA-N 0 3 302.270 4.468 20 0 DIADHN Fc1ccc([C@H](N[C@H]2COC3(CCC3)C2)c2cccs2)cc1 ZINC000657822840 1039578909 /nfs/dbraw/zinc/57/89/09/1039578909.db2.gz BYSZEJPPDOZFDG-WBVHZDCISA-N 0 3 317.429 4.278 20 0 DIADHN COc1ncc(CN[C@@]2(C)CCCc3ccccc32)cc1Cl ZINC000540906976 1039592741 /nfs/dbraw/zinc/59/27/41/1039592741.db2.gz MQDPPPMNOVLEBR-SFHVURJKSA-N 0 3 316.832 4.085 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657826497 1039594372 /nfs/dbraw/zinc/59/43/72/1039594372.db2.gz HCTQTYRXKLPTMG-LBPRGKRZSA-N 0 3 306.356 4.016 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(Cl)cc2C)c1 ZINC000536213708 1039598624 /nfs/dbraw/zinc/59/86/24/1039598624.db2.gz HLQKNBGZNCRGFE-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@]3(CC[C@H](C)C3)C2)c(C)c1 ZINC000541024641 1039610451 /nfs/dbraw/zinc/61/04/51/1039610451.db2.gz PLVZVPIOGVQDCF-KNBMTAEXSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000541020853 1039613280 /nfs/dbraw/zinc/61/32/80/1039613280.db2.gz KQUQFPFNVZKSQQ-SPYBWZPUSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000541020852 1039613668 /nfs/dbraw/zinc/61/36/68/1039613668.db2.gz KQUQFPFNVZKSQQ-CLCXKQKWSA-N 0 3 311.429 4.310 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000080656664 1039617178 /nfs/dbraw/zinc/61/71/78/1039617178.db2.gz GVWGKBYBXYHODF-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000080656658 1039617531 /nfs/dbraw/zinc/61/75/31/1039617531.db2.gz GVWGKBYBXYHODF-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN COc1cccc2c1CCN(Cc1cc(C)nc3ccccc13)C2 ZINC000541085866 1039618913 /nfs/dbraw/zinc/61/89/13/1039618913.db2.gz VPVUTTJCTXWWCZ-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCC[C@H](F)C2)c2cccnc2)cc1 ZINC000584172145 1039628732 /nfs/dbraw/zinc/62/87/32/1039628732.db2.gz AFWMRWDHJWHRKR-BZSNNMDCSA-N 0 3 302.368 4.180 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2cc3cnccc3o2)cc1 ZINC000657832935 1039631439 /nfs/dbraw/zinc/63/14/39/1039631439.db2.gz OIRFKNXORIDLSF-AWEZNQCLSA-N 0 3 310.397 4.466 20 0 DIADHN CC(C)(C)c1ccc(CN[C@H](CCO)c2ccccc2)s1 ZINC000127490552 1039648985 /nfs/dbraw/zinc/64/89/85/1039648985.db2.gz KYAPUHAHQXLEBH-MRXNPFEDSA-N 0 3 303.471 4.259 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)C[C@@H]2CC=CCC2)c(C)c1 ZINC000541312681 1039660366 /nfs/dbraw/zinc/66/03/66/1039660366.db2.gz CHXUNDQMDSQGAR-QZTJIDSGSA-N 0 3 314.473 4.227 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N(C)C[C@H]1CC=CCC1 ZINC000541321482 1039666031 /nfs/dbraw/zinc/66/60/31/1039666031.db2.gz XDPSKRRIJKOYEL-IRXDYDNUSA-N 0 3 314.473 4.425 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN(C)C[C@@H]1CC=CCC1 ZINC000541325248 1039666275 /nfs/dbraw/zinc/66/62/75/1039666275.db2.gz PLVUNMZPWCQNSC-CQSZACIVSA-N 0 3 302.443 4.500 20 0 DIADHN OCc1ccccc1CN[C@@H]1CCCCc2ccc(Cl)cc21 ZINC000151793865 1039669289 /nfs/dbraw/zinc/66/92/89/1039669289.db2.gz IXXIANKVNMQRDR-LJQANCHMSA-N 0 3 315.844 4.390 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCCCC[C@@H]1c1ccco1 ZINC000541348680 1039670842 /nfs/dbraw/zinc/67/08/42/1039670842.db2.gz JQKOSWFAZCRHKB-OAHLLOKOSA-N 0 3 307.434 4.319 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CC[C@@H](C(C)(C)C)C1 ZINC000541391352 1039679617 /nfs/dbraw/zinc/67/96/17/1039679617.db2.gz VGNGEADNEDJWGZ-QGZVFWFLSA-N 0 3 316.489 4.118 20 0 DIADHN C[C@H]1OCC[C@@]1(C)NCc1ccc(-c2ccccc2Cl)o1 ZINC000541385274 1039680166 /nfs/dbraw/zinc/68/01/66/1039680166.db2.gz BOKDZMZPZYMBTC-SJKOYZFVSA-N 0 3 305.805 4.257 20 0 DIADHN CC(C)COC[C@H](N[C@H](C)CCC(F)(F)F)c1ccco1 ZINC000541540704 1039694396 /nfs/dbraw/zinc/69/43/96/1039694396.db2.gz NCFRPARNIDIAOT-OLZOCXBDSA-N 0 3 307.356 4.314 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657843692 1039688118 /nfs/dbraw/zinc/68/81/18/1039688118.db2.gz NXPGBXPLOCSVOM-NEPJUHHUSA-N 0 3 306.356 4.440 20 0 DIADHN CC(C)COC[C@H](N[C@@H](C)CCC(F)(F)F)c1ccco1 ZINC000541540706 1039695219 /nfs/dbraw/zinc/69/52/19/1039695219.db2.gz NCFRPARNIDIAOT-STQMWFEESA-N 0 3 307.356 4.314 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccc(F)cc2)c2cccnc2)cc1 ZINC000541565036 1039701138 /nfs/dbraw/zinc/70/11/38/1039701138.db2.gz MNTIXWYUTGOVQA-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccc(F)cc2)c2cccnc2)cc1 ZINC000541565035 1039701275 /nfs/dbraw/zinc/70/12/75/1039701275.db2.gz MNTIXWYUTGOVQA-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H](N[C@H](c1nccn1C)c1ccccc1)C1CCCCCC1 ZINC000541550196 1039702040 /nfs/dbraw/zinc/70/20/40/1039702040.db2.gz OFCMJMNUCORPJT-LPHOPBHVSA-N 0 3 311.473 4.458 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCC(=O)Nc3ccccc32)cc1 ZINC000541547996 1039704041 /nfs/dbraw/zinc/70/40/41/1039704041.db2.gz AIEJZZDRMIRTFL-GOSISDBHSA-N 0 3 324.424 4.039 20 0 DIADHN CCc1nc(CN[C@@H](c2ccc(CC(C)C)cc2)C(C)C)n[nH]1 ZINC000541587303 1039713200 /nfs/dbraw/zinc/71/32/00/1039713200.db2.gz ZGGKQEOGONUVBM-LJQANCHMSA-N 0 3 314.477 4.053 20 0 DIADHN COC[C@@H](N[C@@H](C)CCSc1ccccc1)c1ccc(C)o1 ZINC000541630248 1039718083 /nfs/dbraw/zinc/71/80/83/1039718083.db2.gz GGQFTPMATKPNOF-WMLDXEAASA-N 0 3 319.470 4.436 20 0 DIADHN O[C@@H](CNCc1cc2ccccc2o1)c1ccc(Cl)c(F)c1 ZINC000136153196 1039721665 /nfs/dbraw/zinc/72/16/65/1039721665.db2.gz RMWHLSBCCLNYBO-INIZCTEOSA-N 0 3 319.763 4.049 20 0 DIADHN Cc1cc(CN2CC[C@H](c3cccnc3)C2)cc(C(F)(F)F)c1 ZINC001143454731 1039729815 /nfs/dbraw/zinc/72/98/15/1039729815.db2.gz IXUUIKBBLJJEEF-INIZCTEOSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@H]1N(Cc2c(Cl)cccc2Cl)CCC12CCOCC2 ZINC000541718753 1039731372 /nfs/dbraw/zinc/73/13/72/1039731372.db2.gz OOOPSLAYMVKKGR-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN CCOC1CCC(NCc2csc(-c3ccccc3)n2)CC1 ZINC000657854777 1039733074 /nfs/dbraw/zinc/73/30/74/1039733074.db2.gz ASTNWBGOMMQXJE-UHFFFAOYSA-N 0 3 316.470 4.247 20 0 DIADHN Cc1cccc(C)c1CCN[C@H](c1ccccc1)c1cncnc1 ZINC000541741179 1039738735 /nfs/dbraw/zinc/73/87/35/1039738735.db2.gz IKUUIXMGTRDOKB-OAQYLSRUSA-N 0 3 317.436 4.015 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC(Cc3cccnc3)CC2)o1 ZINC000541764619 1039739825 /nfs/dbraw/zinc/73/98/25/1039739825.db2.gz IFDGGXUZTFEUFD-BEFAXECRSA-N 0 3 310.441 4.253 20 0 DIADHN Cc1cc(CN(C)C[C@@H]2CCCCO2)cc(C(F)(F)F)c1 ZINC001143454802 1039745456 /nfs/dbraw/zinc/74/54/56/1039745456.db2.gz KDOULGDHXUSCIZ-HNNXBMFYSA-N 0 3 301.352 4.015 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nnc(-c3ccccc3)s2)C1 ZINC000464682794 1039745831 /nfs/dbraw/zinc/74/58/31/1039745831.db2.gz SXHIZAVGIOHLRL-ZIAGYGMSSA-N 0 3 301.459 4.216 20 0 DIADHN Cc1cc(CN(C)C[C@H]2CCCCO2)cc(C(F)(F)F)c1 ZINC001143454803 1039746501 /nfs/dbraw/zinc/74/65/01/1039746501.db2.gz KDOULGDHXUSCIZ-OAHLLOKOSA-N 0 3 301.352 4.015 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657854972 1039746971 /nfs/dbraw/zinc/74/69/71/1039746971.db2.gz ZHIJHHUHFIKFLX-MOPGFXCFSA-N 0 3 311.400 4.216 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ccn(-c2ccc(F)cc2)n1 ZINC000464734451 1039748221 /nfs/dbraw/zinc/74/82/21/1039748221.db2.gz ITTVMZWCPOELLG-QGZVFWFLSA-N 0 3 301.409 4.022 20 0 DIADHN COc1ccc([C@H](C)NC2(c3cccc(C)c3)CCC2)cc1O ZINC000647333464 1039748341 /nfs/dbraw/zinc/74/83/41/1039748341.db2.gz SGIZLXLKIHFHNR-HNNXBMFYSA-N 0 3 311.425 4.439 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc(C(F)F)nc1 ZINC000657857906 1039755892 /nfs/dbraw/zinc/75/58/92/1039755892.db2.gz XCHWDFHATPHOHG-ZUZCIYMTSA-N 0 3 306.331 4.496 20 0 DIADHN Cc1ccc(C)c(Oc2ccc(CNCc3cc[nH]c3)cn2)c1 ZINC000086251016 1039756882 /nfs/dbraw/zinc/75/68/82/1039756882.db2.gz TVCCADXIFKJUSU-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN Fc1ccccc1Oc1ccc(CNCc2cc[nH]c2)cc1F ZINC000086255214 1039757440 /nfs/dbraw/zinc/75/74/40/1039757440.db2.gz GLRPVNYBFLHLNY-UHFFFAOYSA-N 0 3 314.335 4.375 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3ccc(Oc4ccccc4)nn3)C2)C1 ZINC000541953421 1039771476 /nfs/dbraw/zinc/77/14/76/1039771476.db2.gz LGTDERJPKMNBCV-OXJNMPFZSA-N 0 3 323.440 4.281 20 0 DIADHN C[C@H](O)[C@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC000136821849 1039774091 /nfs/dbraw/zinc/77/40/91/1039774091.db2.gz WGBIVXAAUREYMJ-ZFWWWQNUSA-N 0 3 319.445 4.147 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1ccc(SC(C)C)cc1 ZINC000541984567 1039775872 /nfs/dbraw/zinc/77/58/72/1039775872.db2.gz OZOXDIKZKGMWRB-LJQANCHMSA-N 0 3 315.482 4.319 20 0 DIADHN CC(C)c1ccccc1OCCN[C@H](C)c1ccc(F)cn1 ZINC000089597376 1039776192 /nfs/dbraw/zinc/77/61/92/1039776192.db2.gz LYNHKDSRQSINBU-CQSZACIVSA-N 0 3 302.393 4.074 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3cccs3)n2)[C@@H]2CCCC[C@H]21 ZINC000542044976 1039783350 /nfs/dbraw/zinc/78/33/50/1039783350.db2.gz DKBOFQADVVHRJB-VHDGCEQUSA-N 0 3 302.443 4.414 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2CCc3ccc(F)cc32)s1 ZINC000089552358 1039786615 /nfs/dbraw/zinc/78/66/15/1039786615.db2.gz KOUDLUDBQFXAKZ-ZUZCIYMTSA-N 0 3 304.434 4.491 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1ccc(Br)o1 ZINC000542131241 1039800110 /nfs/dbraw/zinc/80/01/10/1039800110.db2.gz UEZKFWQJKAWMDK-JTQLQIEISA-N 0 3 314.248 4.167 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)N[C@@H](C)Cc1ccsc1 ZINC000090036989 1039787932 /nfs/dbraw/zinc/78/79/32/1039787932.db2.gz JIISQJIGJYTXNN-KBPBESRZSA-N 0 3 313.470 4.400 20 0 DIADHN Cc1ncc(CNCC2(c3cccc(F)c3)CCCCC2)o1 ZINC000628208896 1039790419 /nfs/dbraw/zinc/79/04/19/1039790419.db2.gz HATZYOCMLUQYFY-UHFFFAOYSA-N 0 3 302.393 4.114 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657860645 1039790979 /nfs/dbraw/zinc/79/09/79/1039790979.db2.gz BJVZCJMZGMQSOW-NWDGAFQWSA-N 0 3 306.356 4.440 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(F)cn1)Oc1ccccc1C ZINC000090218936 1039794352 /nfs/dbraw/zinc/79/43/52/1039794352.db2.gz UYUMMHWYKQSAEF-HOCLYGCPSA-N 0 3 302.393 4.037 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(OC3CCC3)c2)s1 ZINC000090912050 1039807555 /nfs/dbraw/zinc/80/75/55/1039807555.db2.gz HODSTZZHEMZVDA-CYBMUJFWSA-N 0 3 302.443 4.234 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CCCc3sccc32)cc1 ZINC000090843908 1039818450 /nfs/dbraw/zinc/81/84/50/1039818450.db2.gz VUYMOTOJIFUWPV-DLBZAZTESA-N 0 3 301.455 4.011 20 0 DIADHN Cn1cc([C@@H](NCc2ccc3c(c2)CCCC3)C(C)(C)C)cn1 ZINC000491387649 1039837529 /nfs/dbraw/zinc/83/75/29/1039837529.db2.gz JGFIHUPZVOKEGE-LJQANCHMSA-N 0 3 311.473 4.176 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCC(=O)Nc1c(C)cccc1C)[C@H](C)C2 ZINC000491380534 1039841114 /nfs/dbraw/zinc/84/11/14/1039841114.db2.gz NLQCCAIRPAGOPA-IIBYNOLFSA-N 0 3 322.452 4.073 20 0 DIADHN CC(C)[C@H](NCc1cccc(OCC(F)F)c1)c1cccnc1 ZINC000091372938 1039845980 /nfs/dbraw/zinc/84/59/80/1039845980.db2.gz ABVIXOYOGYXIPS-SFHVURJKSA-N 0 3 320.383 4.212 20 0 DIADHN COc1ccc(CN[C@@H](c2cccnc2)C(C)C)cc1Cl ZINC000091398624 1039851958 /nfs/dbraw/zinc/85/19/58/1039851958.db2.gz MEOLZLSWCXKTHA-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1cccc(Cl)c1)c1ccco1 ZINC000151716398 1039865482 /nfs/dbraw/zinc/86/54/82/1039865482.db2.gz IENGMYJGYWJNLF-HOTGVXAUSA-N 0 3 305.805 4.021 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1COc2c1ccc(C)c2C ZINC000491892708 1039889514 /nfs/dbraw/zinc/88/95/14/1039889514.db2.gz NSJRBICJIBMQPR-ZTNFWEORSA-N 0 3 311.425 4.103 20 0 DIADHN CC(C)N(Cc1cccnc1)Cc1cccc(OC(F)F)c1 ZINC000093223933 1039899779 /nfs/dbraw/zinc/89/97/79/1039899779.db2.gz QXRGYVNDDBGPOS-UHFFFAOYSA-N 0 3 306.356 4.094 20 0 DIADHN COCc1ccc(CN2CC[C@@H](CSc3ccccc3)C2)o1 ZINC000093756947 1039900314 /nfs/dbraw/zinc/90/03/14/1039900314.db2.gz DQGQSNMNCNDTEF-OAHLLOKOSA-N 0 3 317.454 4.040 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1ccc(Cl)cc1)c1ccco1 ZINC000151923128 1039909475 /nfs/dbraw/zinc/90/94/75/1039909475.db2.gz RJICHUSZANLXFS-JKSUJKDBSA-N 0 3 305.805 4.021 20 0 DIADHN CCn1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)cn1 ZINC000094015120 1039909824 /nfs/dbraw/zinc/90/98/24/1039909824.db2.gz ZMJIAWMKVTUJMZ-MRXNPFEDSA-N 0 3 323.362 4.163 20 0 DIADHN CN(Cc1coc(-c2ccc(F)c(F)c2)n1)C1CCCCC1 ZINC000492075422 1039914731 /nfs/dbraw/zinc/91/47/31/1039914731.db2.gz GLAGXTVTYCCJMN-UHFFFAOYSA-N 0 3 306.356 4.384 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1CCOc2ccc(F)cc21 ZINC000492090193 1039917895 /nfs/dbraw/zinc/91/78/95/1039917895.db2.gz YSLLISUSYWULPK-IXDGSTSKSA-N 0 3 315.388 4.015 20 0 DIADHN c1ccc([C@H]2C[C@H]3CC[C@@H](C2)N3Cc2noc3c2CCCC3)cc1 ZINC000669944548 1039918986 /nfs/dbraw/zinc/91/89/86/1039918986.db2.gz CCZBSJUBMAHWNQ-BCDXTJNWSA-N 0 3 322.452 4.464 20 0 DIADHN C[C@H](NCc1sccc1Cl)[C@H]1OCCc2sccc21 ZINC000492112920 1039921484 /nfs/dbraw/zinc/92/14/84/1039921484.db2.gz XVAYDYXYPKHFAB-LKFCYVNXSA-N 0 3 313.875 4.255 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000926571557 1039924412 /nfs/dbraw/zinc/92/44/12/1039924412.db2.gz WKDMGPHZLYQPOX-OLXJLDBKSA-N 0 3 308.784 4.291 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000492206494 1039933874 /nfs/dbraw/zinc/93/38/74/1039933874.db2.gz HBSRLOJTMNWLKT-TXEJJXNPSA-N 0 3 306.356 4.098 20 0 DIADHN c1ccc([C@@H]2CN(Cc3ccccn3)Cc3ccccc32)cc1 ZINC000164468799 1039979384 /nfs/dbraw/zinc/97/93/84/1039979384.db2.gz JODNSKRKVQPKKN-NRFANRHFSA-N 0 3 300.405 4.229 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC000162881365 1039984760 /nfs/dbraw/zinc/98/47/60/1039984760.db2.gz XLQUYOORFDEVMD-KRWDZBQOSA-N 0 3 305.853 4.259 20 0 DIADHN COCC[C@H](C)CN[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926577774 1039986690 /nfs/dbraw/zinc/98/66/90/1039986690.db2.gz KIPCJHIZTQGSIY-UWVGGRQHSA-N 0 3 324.774 4.077 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1cc(C)cc(C(F)(F)F)c1 ZINC001143456899 1039987346 /nfs/dbraw/zinc/98/73/46/1039987346.db2.gz BYPJRUNAJBQMQN-XYPWUTKMSA-N 0 3 313.363 4.156 20 0 DIADHN COCC[C@H](C)CN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926577810 1039987829 /nfs/dbraw/zinc/98/78/29/1039987829.db2.gz LCHJMEDEUMMTPE-KBXCAEBGSA-N 0 3 318.848 4.087 20 0 DIADHN CCCCCn1cc(CNCc2ccc3[nH]ccc3c2)c(C)n1 ZINC000565213222 1039990154 /nfs/dbraw/zinc/99/01/54/1039990154.db2.gz AAPKURWTJXUKLL-UHFFFAOYSA-N 0 3 310.445 4.153 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCOC2CCCCCC2)o1 ZINC000467017256 1039991500 /nfs/dbraw/zinc/99/15/00/1039991500.db2.gz QDPBTGLJJPKZCY-GOSISDBHSA-N 0 3 321.461 4.302 20 0 DIADHN COCC[C@@H](C)CN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926578396 1039991612 /nfs/dbraw/zinc/99/16/12/1039991612.db2.gz NPYBHICDZBGXAD-KDOFPFPSSA-N 0 3 318.848 4.087 20 0 DIADHN CC(C)N(CC(=O)N1CC[C@H](C)Sc2ccccc21)C(C)C ZINC000492878095 1040034929 /nfs/dbraw/zinc/03/49/29/1040034929.db2.gz QGQZFBINCLLMJK-HNNXBMFYSA-N 0 3 320.502 4.023 20 0 DIADHN CCc1cc(CCCN2CC[C@@H](C)C[C@H]2c2ccco2)on1 ZINC000685417910 1040036254 /nfs/dbraw/zinc/03/62/54/1040036254.db2.gz DMMRQOLWYGRYMJ-PBHICJAKSA-N 0 3 302.418 4.236 20 0 DIADHN Cc1cc(CN2CC[C@]3(C2)CCCOC3)cc(C(F)(F)F)c1 ZINC001143457839 1040038818 /nfs/dbraw/zinc/03/88/18/1040038818.db2.gz KKVQHNBWLXLCOR-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN CCc1ccc(CN2C[C@@H](C)C[C@@H]2c2cccc(OC)c2)cn1 ZINC000685427309 1040043365 /nfs/dbraw/zinc/04/33/65/1040043365.db2.gz SKFHMBHBKCTYTM-MGPUTAFESA-N 0 3 310.441 4.236 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2cnccc2c1)c1ccccc1 ZINC000685429594 1040047741 /nfs/dbraw/zinc/04/77/41/1040047741.db2.gz HOSBJNVZSLTTMR-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN Fc1cccc(F)c1-c1n[nH]cc1CN1CCC2(CCC2)CC1 ZINC000685444251 1040050741 /nfs/dbraw/zinc/05/07/41/1040050741.db2.gz OOPWCVHXAPOSLK-UHFFFAOYSA-N 0 3 317.383 4.121 20 0 DIADHN CC[C@@H](O)CCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493009383 1040056395 /nfs/dbraw/zinc/05/63/95/1040056395.db2.gz NQDCBDWDWPTNCX-MSOLQXFVSA-N 0 3 303.833 4.180 20 0 DIADHN CC[C@@H](NC[C@H](CC)Oc1cccc(F)c1)c1ccc(F)cn1 ZINC000493008538 1040058706 /nfs/dbraw/zinc/05/87/06/1040058706.db2.gz KXKAXOIGYVYTGD-DOTOQJQBSA-N 0 3 320.383 4.258 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(O)cc2)ccc1Br ZINC000685462442 1040059108 /nfs/dbraw/zinc/05/91/08/1040059108.db2.gz PGGCRWSNZWVCSD-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1cccc(OC2CCCC2)c1 ZINC000685462578 1040059505 /nfs/dbraw/zinc/05/95/05/1040059505.db2.gz WYKZUZQAZQPNMG-UHFFFAOYSA-N 0 3 311.425 4.346 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1noc2c1CCCC2 ZINC000685473938 1040072692 /nfs/dbraw/zinc/07/26/92/1040072692.db2.gz UPZVWGJFFWQDAT-QGZVFWFLSA-N 0 3 312.457 4.445 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccccc1C)c1ccc(F)cn1 ZINC000493059114 1040073221 /nfs/dbraw/zinc/07/32/21/1040073221.db2.gz OJXFFEJRVPAAAK-GOEBONIOSA-N 0 3 302.393 4.037 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(-c2ccncc2)cc1 ZINC000657898840 1040094449 /nfs/dbraw/zinc/09/44/49/1040094449.db2.gz GITBRUMUERVEQX-DNVCBOLYSA-N 0 3 318.424 4.131 20 0 DIADHN Cc1cnc([C@H](C)NCCc2cccc(C(F)(F)F)c2)s1 ZINC000628226900 1040104285 /nfs/dbraw/zinc/10/42/85/1040104285.db2.gz JHEXFIBFBPMYNO-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1cc2cnccc2o1 ZINC000657906689 1040109302 /nfs/dbraw/zinc/10/93/02/1040109302.db2.gz XNPSZXOULFWVIG-BLLLJJGKSA-N 0 3 308.381 4.175 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1cc2cnccc2o1 ZINC000657908519 1040115498 /nfs/dbraw/zinc/11/54/98/1040115498.db2.gz IOEBPAUJFLQROD-YYFZDKIDSA-N 0 3 322.408 4.256 20 0 DIADHN Cc1ccc2nc(CN[C@H]3c4cc(C)ccc4C[C@@H]3C)[nH]c2c1 ZINC000493172537 1040120437 /nfs/dbraw/zinc/12/04/37/1040120437.db2.gz AESGMAGQZQWKJO-VBKZILBWSA-N 0 3 305.425 4.203 20 0 DIADHN CSc1cc(CN[C@H](C)c2cc3ccncc3s2)ccn1 ZINC000657908123 1040120605 /nfs/dbraw/zinc/12/06/05/1040120605.db2.gz FUZNYRYCUQUIHJ-LLVKDONJSA-N 0 3 315.467 4.264 20 0 DIADHN C[C@@H](N[C@H](CC1CCCCC1)c1ccccc1)c1cnnn1C ZINC000925405040 1040133584 /nfs/dbraw/zinc/13/35/84/1040133584.db2.gz UCUBSSLOJXLJEL-CRAIPNDOSA-N 0 3 312.461 4.177 20 0 DIADHN Cc1nc(C)c(CN2CCC(C)(c3ccc(Cl)cc3)CC2)o1 ZINC000685580534 1040143116 /nfs/dbraw/zinc/14/31/16/1040143116.db2.gz KTKLZUYUAHRBNK-UHFFFAOYSA-N 0 3 318.848 4.499 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cs1 ZINC000294323432 1040151911 /nfs/dbraw/zinc/15/19/11/1040151911.db2.gz WYDCYJUBKKUTRS-CHWSQXEVSA-N 0 3 303.427 4.240 20 0 DIADHN C[C@@H](NCc1c(F)cccc1OC(F)F)C(C)(C)C(F)F ZINC000657923630 1040176273 /nfs/dbraw/zinc/17/62/73/1040176273.db2.gz WYTFHQMAIFWPNA-MRVPVSSYSA-N 0 3 311.294 4.197 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@H]3CCCn4nccc43)c2)cc1 ZINC000657925443 1040177404 /nfs/dbraw/zinc/17/74/04/1040177404.db2.gz DHQWZTNJDDZLRO-FQEVSTJZSA-N 0 3 317.436 4.483 20 0 DIADHN Cc1cc([C@@H](NCCCO)c2cccc(Cl)c2)c(C)s1 ZINC000685643642 1040177711 /nfs/dbraw/zinc/17/77/11/1040177711.db2.gz XZMHTVXWAVJZMS-INIZCTEOSA-N 0 3 309.862 4.080 20 0 DIADHN Cc1cc([C@@H](C)NCCc2c[nH]c3cc(Cl)ccc23)no1 ZINC000685646274 1040181143 /nfs/dbraw/zinc/18/11/43/1040181143.db2.gz GLOGCHGIGUKIAW-LLVKDONJSA-N 0 3 303.793 4.011 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1nccc2ccccc21 ZINC000685645016 1040181310 /nfs/dbraw/zinc/18/13/10/1040181310.db2.gz PAJXXPVXRMQRCB-JTDSTZFVSA-N 0 3 312.457 4.481 20 0 DIADHN Cc1cc([C@@H](NCC(C)(C)O)c2cccc(Cl)c2)c(C)s1 ZINC000685647149 1040181694 /nfs/dbraw/zinc/18/16/94/1040181694.db2.gz GSGFKSLFSMNJJV-INIZCTEOSA-N 0 3 323.889 4.468 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1cc2ccncc2s1 ZINC000657932379 1040192528 /nfs/dbraw/zinc/19/25/28/1040192528.db2.gz LHWNIIAIEQGPMU-GUYCJALGSA-N 0 3 323.465 4.134 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000657938073 1040198077 /nfs/dbraw/zinc/19/80/77/1040198077.db2.gz WXHMOMDUIQBQQD-BDJLRTHQSA-N 0 3 303.356 4.216 20 0 DIADHN CCc1ccc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)cc1 ZINC000685661137 1040200119 /nfs/dbraw/zinc/20/01/19/1040200119.db2.gz QAOWXRIOZZCIOB-KRWDZBQOSA-N 0 3 323.484 4.403 20 0 DIADHN Clc1ccc(C2(N[C@@H]3CCCn4nccc43)CCC2)cc1 ZINC000657943620 1040204868 /nfs/dbraw/zinc/20/48/68/1040204868.db2.gz RZALPKWJPJXEGK-OAHLLOKOSA-N 0 3 301.821 4.040 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(C(C)C)c1 ZINC000611982555 1040214013 /nfs/dbraw/zinc/21/40/13/1040214013.db2.gz PGNFLRIBEUNXTC-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2ccc(Cl)cc2)c1 ZINC000101543345 1040229207 /nfs/dbraw/zinc/22/92/07/1040229207.db2.gz CIXMHGVCAFFBLM-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN Cc1cccc(CN2CCC(c3ccc(F)c(F)c3)CC2)n1 ZINC000605574217 1040242225 /nfs/dbraw/zinc/24/22/25/1040242225.db2.gz BLWYIBZECWQHLE-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CCCSCC2)cc1 ZINC000780892518 1040242526 /nfs/dbraw/zinc/24/25/26/1040242526.db2.gz HVTQSRMCXPNEFS-UHFFFAOYSA-N 0 3 307.406 4.237 20 0 DIADHN CCn1cc(CN[C@H](Cc2ccccc2)c2ccccc2)c(C)n1 ZINC000892418813 1040246696 /nfs/dbraw/zinc/24/66/96/1040246696.db2.gz UWWJVIMBKBMXME-OAQYLSRUSA-N 0 3 319.452 4.285 20 0 DIADHN C[C@@H](NCc1ccc2c(n1)CCCC2)c1cc2ccncc2s1 ZINC000657994223 1040254235 /nfs/dbraw/zinc/25/42/35/1040254235.db2.gz QRIVFVDKZCHFGN-CYBMUJFWSA-N 0 3 323.465 4.421 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCCSCC2)c2ccco2)cc1 ZINC000658032233 1040276379 /nfs/dbraw/zinc/27/63/79/1040276379.db2.gz QCOYGVGHRCLZAH-CRAIPNDOSA-N 0 3 317.454 4.253 20 0 DIADHN Cc1ccc([C@@H](NCc2cnc(C(F)(F)F)nc2)C2CC2)cc1 ZINC000658080374 1040293075 /nfs/dbraw/zinc/29/30/75/1040293075.db2.gz YVUPUNHFAPFGDI-OAHLLOKOSA-N 0 3 321.346 4.045 20 0 DIADHN C[C@H]1C[C@@H](NC2CCC(C3CCCCC3)CC2)c2ncnn21 ZINC000658099504 1040305043 /nfs/dbraw/zinc/30/50/43/1040305043.db2.gz CKITYXUOEPJODH-YJNKEBTESA-N 0 3 302.466 4.013 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cccnc1N1CCCC1 ZINC000658104019 1040312382 /nfs/dbraw/zinc/31/23/82/1040312382.db2.gz OBPCJMBPQKBDJY-OXJNMPFZSA-N 0 3 321.468 4.095 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccnc3ccccc23)c(C)s1 ZINC000658129586 1040313206 /nfs/dbraw/zinc/31/32/06/1040313206.db2.gz UINSOIYWSGLFLP-GFCCVEGCSA-N 0 3 311.454 4.201 20 0 DIADHN C[C@H]1C[C@@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658105241 1040314953 /nfs/dbraw/zinc/31/49/53/1040314953.db2.gz GVQRAGZQEUWSCI-HNAYVOBHSA-N 0 3 307.441 4.020 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccnc1N1CCCC1)[C@H](C)C2 ZINC000658104169 1040315401 /nfs/dbraw/zinc/31/54/01/1040315401.db2.gz PEXLSIMIJTYPSG-OXQOHEQNSA-N 0 3 321.468 4.013 20 0 DIADHN C[C@@H](NC[C@@H](OC1CCCC1)C(F)(F)F)c1cccs1 ZINC000658123072 1040319571 /nfs/dbraw/zinc/31/95/71/1040319571.db2.gz JAZKCTMMSJJCAG-ZWNOBZJWSA-N 0 3 307.381 4.289 20 0 DIADHN CC[C@@H](N[C@H](COC)c1cccc(OC)c1)c1cccs1 ZINC000658162151 1040336558 /nfs/dbraw/zinc/33/65/58/1040336558.db2.gz BYIVOJXEFAWQKY-HZPDHXFCSA-N 0 3 305.443 4.185 20 0 DIADHN COC[C@@H](N[C@@H](C)c1ccc(C)cc1C)c1cccc(OC)c1 ZINC000658165971 1040337828 /nfs/dbraw/zinc/33/78/28/1040337828.db2.gz UAILDEAIIMZIRA-OXJNMPFZSA-N 0 3 313.441 4.350 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cccc(CO)c1)c1ccccc1F ZINC000658169032 1040342261 /nfs/dbraw/zinc/34/22/61/1040342261.db2.gz XWXYLZCWZGORTL-LIRRHRJNSA-N 0 3 301.405 4.366 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2cncc(Br)c2)c1 ZINC000658176027 1040342585 /nfs/dbraw/zinc/34/25/85/1040342585.db2.gz GTKHEEAMHQEUJJ-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](NCCO[C@@H]1CC1(F)F)c1cc2cc(Cl)ccc2o1 ZINC000658169672 1040343035 /nfs/dbraw/zinc/34/30/35/1040343035.db2.gz OMYAOWAHZGATFF-YMTOWFKASA-N 0 3 315.747 4.161 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1cc(C)cc(C)c1)CC2 ZINC000658176403 1040343703 /nfs/dbraw/zinc/34/37/03/1040343703.db2.gz KDGBDCGHNGXAFU-GOSISDBHSA-N 0 3 311.425 4.098 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cccc(CO)c1)c1ccccc1F ZINC000658169030 1040343922 /nfs/dbraw/zinc/34/39/22/1040343922.db2.gz XWXYLZCWZGORTL-IFXJQAMLSA-N 0 3 301.405 4.366 20 0 DIADHN C[C@H](NCCCOC(C)(C)C)c1nc2ccc(Cl)cc2n1C ZINC000658187967 1040344811 /nfs/dbraw/zinc/34/48/11/1040344811.db2.gz XOPMLTDDCPRHSY-LBPRGKRZSA-N 0 3 323.868 4.083 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cc(COC)cs2)s1 ZINC000658208828 1040358451 /nfs/dbraw/zinc/35/84/51/1040358451.db2.gz LDNHCHRWZBRMMM-SNVBAGLBSA-N 0 3 310.488 4.073 20 0 DIADHN CCc1ccc([C@@H](NCc2c(C3CC3)cnn2C)C(C)C)cc1 ZINC000658211122 1040359014 /nfs/dbraw/zinc/35/90/14/1040359014.db2.gz BDFVNOQUNQQRFO-FQEVSTJZSA-N 0 3 311.473 4.347 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccc(F)cc1OC(F)F ZINC000658221182 1040363611 /nfs/dbraw/zinc/36/36/11/1040363611.db2.gz SFECKVVDTPMZRH-OQPBUACISA-N 0 3 309.278 4.123 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1sccc1Br ZINC000658222142 1040364323 /nfs/dbraw/zinc/36/43/23/1040364323.db2.gz VHKNMTJZFZZXHG-XVKPBYJWSA-N 0 3 310.207 4.207 20 0 DIADHN COC[C@@H](NC[C@@]1(C)CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000658217514 1040375573 /nfs/dbraw/zinc/37/55/73/1040375573.db2.gz FZXPBVCHWLEBSA-CHWSQXEVSA-N 0 3 323.305 4.028 20 0 DIADHN Cc1cc([C@@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)ccc1F ZINC000658216425 1040376201 /nfs/dbraw/zinc/37/62/01/1040376201.db2.gz BTFJSSKMGFSKNI-IAGOWNOFSA-N 0 3 320.358 4.253 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(O)cc21)c1ccc(OC(F)F)cc1 ZINC000658237802 1040382860 /nfs/dbraw/zinc/38/28/60/1040382860.db2.gz DYIJWFFUZHHNOF-GTNSWQLSSA-N 0 3 319.351 4.332 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccc(O)cc32)cc1Cl ZINC000658241814 1040384356 /nfs/dbraw/zinc/38/43/56/1040384356.db2.gz VNWYPVBNAVAVIM-DIFFPNOSSA-N 0 3 317.816 4.392 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccc1)c1cccnc1)C1CCCCC1 ZINC000658330215 1040411578 /nfs/dbraw/zinc/41/15/78/1040411578.db2.gz QWXNHUTXALSPQI-RTWAWAEBSA-N 0 3 324.468 4.356 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccc1)c1ccncc1)C1CCCCC1 ZINC000658327386 1040413610 /nfs/dbraw/zinc/41/36/10/1040413610.db2.gz BTQPSNGJFMMXQB-RTWAWAEBSA-N 0 3 324.468 4.356 20 0 DIADHN CCc1ccc([C@H](C)NCC(=O)Nc2c(C)cccc2C)cc1 ZINC000612128166 1040419529 /nfs/dbraw/zinc/41/95/29/1040419529.db2.gz DCMZYIAZXHBKQM-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1(c3cccc(OC)c3)CCC1)CC2 ZINC000658323899 1040421465 /nfs/dbraw/zinc/42/14/65/1040421465.db2.gz SZYXYXVAPWVEAL-HXUWFJFHSA-N 0 3 323.436 4.360 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3c(C)cccc32)cc1O ZINC000658337278 1040428042 /nfs/dbraw/zinc/42/80/42/1040428042.db2.gz FOXSJDHUXHVEEI-KSSFIOAISA-N 0 3 311.425 4.437 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2N[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000658340423 1040430861 /nfs/dbraw/zinc/43/08/61/1040430861.db2.gz YVXLPGLIVZIHCT-OALUTQOASA-N 0 3 324.472 4.402 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000658340423 1040430869 /nfs/dbraw/zinc/43/08/69/1040430869.db2.gz YVXLPGLIVZIHCT-OALUTQOASA-N 0 3 324.472 4.402 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000658358808 1040440167 /nfs/dbraw/zinc/44/01/67/1040440167.db2.gz ZRLHOLHMNIIQFA-LXIYXOSZSA-N 0 3 312.438 4.069 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000658361511 1040440533 /nfs/dbraw/zinc/44/05/33/1040440533.db2.gz NVPGFEZVMOELBQ-JCGVRSQUSA-N 0 3 301.455 4.010 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000658361505 1040440788 /nfs/dbraw/zinc/44/07/88/1040440788.db2.gz MCRPGXVXHKPMDE-RZQQEMMASA-N 0 3 313.441 4.248 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](C)O)cc1 ZINC000658360254 1040441740 /nfs/dbraw/zinc/44/17/40/1040441740.db2.gz HOOQGPTUAIKJNU-TWOQFEAHSA-N 0 3 313.441 4.247 20 0 DIADHN CCOc1ccccc1CCN[C@H](C)c1ccncc1Cl ZINC000421847109 1040447984 /nfs/dbraw/zinc/44/79/84/1040447984.db2.gz WAAHQLVTDGLYDM-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccsc1 ZINC000294466608 1040448502 /nfs/dbraw/zinc/44/85/02/1040448502.db2.gz VALVIAUOUGMUJF-IUODEOHRSA-N 0 3 303.427 4.321 20 0 DIADHN C[C@@H](NCc1cnn(C)c1C1CC1)c1ccc2c(c1)CCCC2 ZINC000892449740 1040474942 /nfs/dbraw/zinc/47/49/42/1040474942.db2.gz WTQRNWADGJTMBX-CQSZACIVSA-N 0 3 309.457 4.027 20 0 DIADHN Cc1cc(CN2CCC(C)(F)CC2)cc(OC(F)(F)F)c1 ZINC001143463226 1040552823 /nfs/dbraw/zinc/55/28/23/1040552823.db2.gz URWMUMVWIYPQII-UHFFFAOYSA-N 0 3 305.315 4.218 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C)cc(C(F)(F)F)c2)[C@@H](C)C1 ZINC001143463428 1040555053 /nfs/dbraw/zinc/55/50/53/1040555053.db2.gz ZMGCPVOGBGCDBV-WFASDCNBSA-N 0 3 301.352 4.013 20 0 DIADHN O[C@@H](CN(CCC(F)(F)F)CC1CC1)c1cccc(Cl)c1 ZINC000177172996 1040562133 /nfs/dbraw/zinc/56/21/33/1040562133.db2.gz POBIHYYUCNNWCI-AWEZNQCLSA-N 0 3 321.770 4.038 20 0 DIADHN CC(C)[C@@H](NCc1cnn(C)c1C1CC1)c1ccc(Cl)cc1 ZINC000892487928 1040565879 /nfs/dbraw/zinc/56/58/79/1040565879.db2.gz XOYSTSDQIUVTGV-QGZVFWFLSA-N 0 3 317.864 4.438 20 0 DIADHN CCC[C@@H](N[C@H](C)Cc1ccccn1)c1ncccc1Cl ZINC000926669065 1040590987 /nfs/dbraw/zinc/59/09/87/1040590987.db2.gz NKLDYFWWAIIYIZ-CZUORRHYSA-N 0 3 303.837 4.192 20 0 DIADHN CC(C)CCC1CCN(CC(=O)N(C)[C@@H](C)CC(C)C)CC1 ZINC001167688985 1040597266 /nfs/dbraw/zinc/59/72/66/1040597266.db2.gz IHLPTVZZKNEOLS-KRWDZBQOSA-N 0 3 310.526 4.028 20 0 DIADHN C#CC[N@H+](Cc1ccc(F)cc1)Cc1c([O-])ccc2ccccc21 ZINC000065943022 1040608931 /nfs/dbraw/zinc/60/89/31/1040608931.db2.gz HYNLQQAULPTZLI-UHFFFAOYSA-N 0 3 319.379 4.320 20 0 DIADHN C#CC[N@@H+](Cc1ccc(F)cc1)Cc1c([O-])ccc2ccccc21 ZINC000065943022 1040608935 /nfs/dbraw/zinc/60/89/35/1040608935.db2.gz HYNLQQAULPTZLI-UHFFFAOYSA-N 0 3 319.379 4.320 20 0 DIADHN C#CCN(Cc1ccc(F)cc1)Cc1c(O)ccc2ccccc21 ZINC000065943022 1040608940 /nfs/dbraw/zinc/60/89/40/1040608940.db2.gz HYNLQQAULPTZLI-UHFFFAOYSA-N 0 3 319.379 4.320 20 0 DIADHN CCN(CCc1ccccn1)Cc1c(C)cc(C)c(C(C)=O)c1C ZINC000065952335 1040620229 /nfs/dbraw/zinc/62/02/29/1040620229.db2.gz GRKTUGWOWKZMBT-UHFFFAOYSA-N 0 3 324.468 4.274 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)N[C@@H]1CCCc2oc(C)nc21 ZINC000926682424 1040640954 /nfs/dbraw/zinc/64/09/54/1040640954.db2.gz WDIQGZQRHYYMLC-HZPDHXFCSA-N 0 3 302.393 4.110 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cnn(Cc2ccccc2)c1 ZINC000236705936 1040646717 /nfs/dbraw/zinc/64/67/17/1040646717.db2.gz LFAZJIFRXXMBTI-PDGQHHTCSA-N 0 3 317.436 4.125 20 0 DIADHN CC(C)CCSCC(=O)C(C#N)c1nc2ccccc2s1 ZINC000066004914 1040657874 /nfs/dbraw/zinc/65/78/74/1040657874.db2.gz HZMTUIFDRKUJKA-LBPRGKRZSA-N 0 3 318.467 4.252 20 0 DIADHN FC(F)(F)c1cc(CN2CCC[C@H]2[C@@H]2CCCOC2)cs1 ZINC000628321194 1040714840 /nfs/dbraw/zinc/71/48/40/1040714840.db2.gz QNIFQGOFHGQTBD-OLZOCXBDSA-N 0 3 319.392 4.158 20 0 DIADHN c1cc2cccc(CNCc3ccccc3OCC3CC3)c2[nH]1 ZINC000236722770 1040748566 /nfs/dbraw/zinc/74/85/66/1040748566.db2.gz HMHPCZCNLVVGDN-UHFFFAOYSA-N 0 3 306.409 4.247 20 0 DIADHN Cc1cn[nH]c1CN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000685843231 1040785454 /nfs/dbraw/zinc/78/54/54/1040785454.db2.gz VJCJKZXBLKHNAG-UHFFFAOYSA-N 0 3 322.239 4.314 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN(C)Cc1ccncc1 ZINC000685843360 1040786616 /nfs/dbraw/zinc/78/66/16/1040786616.db2.gz VOPLALMIGUCCMV-SDNWHVSQSA-N 0 3 318.367 4.218 20 0 DIADHN CSc1ccc(CN2CCC(c3cccnc3)CC2)s1 ZINC000685848025 1040792695 /nfs/dbraw/zinc/79/26/95/1040792695.db2.gz OTSVGBISOSSUPE-UHFFFAOYSA-N 0 3 304.484 4.245 20 0 DIADHN Cc1cnn([C@H]2CCN(Cc3cc4cc(C)c(C)cc4o3)C2)c1 ZINC000685852607 1040799256 /nfs/dbraw/zinc/79/92/56/1040799256.db2.gz XAOUMBBIOMMVBH-KRWDZBQOSA-N 0 3 309.413 4.002 20 0 DIADHN COCCCCCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414078407 1040831639 /nfs/dbraw/zinc/83/16/39/1040831639.db2.gz QYEPQRYPGYGIQB-AWEZNQCLSA-N 0 3 304.459 4.277 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414085722 1040838959 /nfs/dbraw/zinc/83/89/59/1040838959.db2.gz GXAOFBPDTKPUSA-ZIAGYGMSSA-N 0 3 318.486 4.258 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)c1C ZINC000926733329 1040839054 /nfs/dbraw/zinc/83/90/54/1040839054.db2.gz MARNQMJRACAPGD-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN C[C@@H](NCC[C@H]1CCCCO1)c1csc(-c2ccccc2)n1 ZINC000414099897 1040857964 /nfs/dbraw/zinc/85/79/64/1040857964.db2.gz ABEAEEMWGSADLR-GDBMZVCRSA-N 0 3 316.470 4.420 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3cc(F)ccc32)cc1OC ZINC000173361642 1040884642 /nfs/dbraw/zinc/88/46/42/1040884642.db2.gz MRISNHFWLFDQLO-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@@H]1CCCOC1 ZINC000414116366 1040885820 /nfs/dbraw/zinc/88/58/20/1040885820.db2.gz WSBSIIXMPFTAHI-IJEWVQPXSA-N 0 3 316.470 4.276 20 0 DIADHN CSC1(CN[C@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000414122850 1040892722 /nfs/dbraw/zinc/89/27/22/1040892722.db2.gz BEWRNWCSILTDOL-GFCCVEGCSA-N 0 3 304.484 4.356 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cccc(OC(C)C)c1 ZINC000613364315 1040897247 /nfs/dbraw/zinc/89/72/47/1040897247.db2.gz NKZPWMRNBJVUML-UHFFFAOYSA-N 0 3 313.441 4.122 20 0 DIADHN C[C@H](NCCC1CCOCC1)c1csc(-c2ccccc2)n1 ZINC000414131396 1040903498 /nfs/dbraw/zinc/90/34/98/1040903498.db2.gz XRPFHAPDVOSHIJ-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@@H](NCCC1CCOCC1)c1csc(-c2ccccc2)n1 ZINC000414131397 1040904316 /nfs/dbraw/zinc/90/43/16/1040904316.db2.gz XRPFHAPDVOSHIJ-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@H]1O)c1csc(-c2ccccc2)n1 ZINC000414132062 1040907601 /nfs/dbraw/zinc/90/76/01/1040907601.db2.gz YRVIFHUCASBGPP-JLJPHGGASA-N 0 3 316.470 4.012 20 0 DIADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000414150442 1040927711 /nfs/dbraw/zinc/92/77/11/1040927711.db2.gz UZWQYIZVHUWYNA-CWRNSKLLSA-N 0 3 316.470 4.417 20 0 DIADHN CC[C@H](O)[C@H](CC)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414152575 1040929093 /nfs/dbraw/zinc/92/90/93/1040929093.db2.gz QZCCJXUWVYSSHP-INWMFGNUSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@H](NCCCCc1ccc(Cl)s1)c1cc2n(n1)CCC2 ZINC000414157835 1040938736 /nfs/dbraw/zinc/93/87/36/1040938736.db2.gz WTOFPCNFTVVRIF-LBPRGKRZSA-N 0 3 323.893 4.218 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)O)c1csc(-c2ccccc2)n1 ZINC000414157628 1040939553 /nfs/dbraw/zinc/93/95/53/1040939553.db2.gz YEUNQSKWHODPNM-QWHCGFSZSA-N 0 3 304.459 4.010 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccc(F)cc3F)CC2)cc1 ZINC000613447781 1040957063 /nfs/dbraw/zinc/95/70/63/1040957063.db2.gz YVTPEVVWFCXLSC-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCO3)c1 ZINC000178155635 1040965448 /nfs/dbraw/zinc/96/54/48/1040965448.db2.gz IXIGVHAKXIDCLA-CABCVRRESA-N 0 3 311.425 4.432 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(F)cc2C)cc1OC ZINC000171127993 1040974799 /nfs/dbraw/zinc/97/47/99/1040974799.db2.gz LCDJTNMICVGVGE-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN CCC1CCC(N(CC(=O)Nc2ccccc2C)C2CC2)CC1 ZINC000613472943 1040979978 /nfs/dbraw/zinc/97/99/78/1040979978.db2.gz UQDQNEAAYPMWER-UHFFFAOYSA-N 0 3 314.473 4.367 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@H]3CCc3ccccc3)nc12 ZINC000613473604 1040982757 /nfs/dbraw/zinc/98/27/57/1040982757.db2.gz HMHRLIUTZLTZDK-FQEVSTJZSA-N 0 3 319.452 4.240 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2ccccc2N(C)C)c1 ZINC000171129251 1040983987 /nfs/dbraw/zinc/98/39/87/1040983987.db2.gz WMRLTBBSBCXWRR-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN Fc1cccc(CN(CCOc2ccccc2F)C2CC2)c1 ZINC000613482692 1040993613 /nfs/dbraw/zinc/99/36/13/1040993613.db2.gz AXCGJISALKWZLE-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN CCn1ccc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)n1 ZINC000414208572 1041004196 /nfs/dbraw/zinc/00/41/96/1041004196.db2.gz LXFSWUKXXQBMTC-KRWDZBQOSA-N 0 3 319.452 4.213 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)C[C@H]1CC1(Cl)Cl ZINC000808760965 1041014695 /nfs/dbraw/zinc/01/46/95/1041014695.db2.gz FXGPPUIZPAQYCG-TZMCWYRMSA-N 0 3 302.245 4.272 20 0 DIADHN CCC1CCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000613505566 1041024223 /nfs/dbraw/zinc/02/42/23/1041024223.db2.gz BHKPRBGIFVCTIT-KBPBESRZSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc([C@H](N[C@H]2CS[C@H](C)C2)c2cccnc2)ccc1F ZINC000414236473 1041036948 /nfs/dbraw/zinc/03/69/48/1041036948.db2.gz VSDXMDQWVHMJHI-QBIMZIAESA-N 0 3 316.445 4.102 20 0 DIADHN C[C@@H](NCC1(c2c(F)cccc2F)CCC1)c1ccncc1F ZINC000414235997 1041037500 /nfs/dbraw/zinc/03/75/00/1041037500.db2.gz KDSUKDQQDLJDFH-GFCCVEGCSA-N 0 3 320.358 4.271 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000613513488 1041061963 /nfs/dbraw/zinc/06/19/63/1041061963.db2.gz TXVTUHKIMYVRLG-JXFKEZNVSA-N 0 3 315.457 4.077 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2cn3ccnc3s2)cc1 ZINC000892604136 1041098673 /nfs/dbraw/zinc/09/86/73/1041098673.db2.gz ZZYMXDDSHPXCPD-CYBMUJFWSA-N 0 3 315.442 4.034 20 0 DIADHN CC(C)CN(Cc1nnc(-c2cccc(Cl)c2)o1)C(C)C ZINC000170953274 1041101081 /nfs/dbraw/zinc/10/10/81/1041101081.db2.gz WCLHLUXCJSVGTA-UHFFFAOYSA-N 0 3 307.825 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccc2c(c1)OCCO2 ZINC000102196385 1041122570 /nfs/dbraw/zinc/12/25/70/1041122570.db2.gz CXFMVKNDCMCXMB-SWLSCSKDSA-N 0 3 315.438 4.248 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000414324582 1041133186 /nfs/dbraw/zinc/13/31/86/1041133186.db2.gz KRYVSFSLRBZRFX-HOTGVXAUSA-N 0 3 313.470 4.150 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000414324584 1041133325 /nfs/dbraw/zinc/13/33/25/1041133325.db2.gz KRYVSFSLRBZRFX-HZPDHXFCSA-N 0 3 313.470 4.150 20 0 DIADHN C[C@H](NCCc1csc(-c2ccccc2)n1)c1ccns1 ZINC000924579704 1041150161 /nfs/dbraw/zinc/15/01/61/1041150161.db2.gz YXJPMQHDSLOHLN-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1cc(F)cc(F)c1 ZINC000176274047 1041150362 /nfs/dbraw/zinc/15/03/62/1041150362.db2.gz XRJLGXQZHDOTEV-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1ccccc1NC(=O)CN(Cc1ccccc1)[C@@H](C)C1CC1 ZINC000024672752 1041161152 /nfs/dbraw/zinc/16/11/52/1041161152.db2.gz GVWILJCPFGISPY-KRWDZBQOSA-N 0 3 322.452 4.234 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)cn1 ZINC000414357911 1041167128 /nfs/dbraw/zinc/16/71/28/1041167128.db2.gz KRULAHBVHYZOBX-ULFGMLNVSA-N 0 3 315.848 4.179 20 0 DIADHN CCc1ncc(CN[C@H](CC2CCCC2)c2ccccc2)cn1 ZINC000414367031 1041181847 /nfs/dbraw/zinc/18/18/47/1041181847.db2.gz PFAHLNOGJMDXIJ-LJQANCHMSA-N 0 3 309.457 4.450 20 0 DIADHN CCN(Cc1cscn1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000539850386 1041186587 /nfs/dbraw/zinc/18/65/87/1041186587.db2.gz KFNMUKXWAXVUDC-KRWDZBQOSA-N 0 3 306.450 4.371 20 0 DIADHN CCC1CCC(N(Cc2cnc([C@@H](C)O)s2)C2CC2)CC1 ZINC000809545856 1041189114 /nfs/dbraw/zinc/18/91/14/1041189114.db2.gz JPHIHIYGNOPGOO-IYXRBSQSSA-N 0 3 308.491 4.130 20 0 DIADHN COc1ccc(CN2CCCc3sccc3C2)c(C)c1OC ZINC000809573660 1041189176 /nfs/dbraw/zinc/18/91/76/1041189176.db2.gz UNGOBQVEPGTCIU-UHFFFAOYSA-N 0 3 317.454 4.022 20 0 DIADHN CCC(CC)[C@H](NCc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000809607990 1041194015 /nfs/dbraw/zinc/19/40/15/1041194015.db2.gz FJNCIUYSUXHPDQ-GUYCJALGSA-N 0 3 318.486 4.464 20 0 DIADHN CC(C)C[C@@H](NCc1cnc([C@H](C)O)s1)c1cccs1 ZINC000809608852 1041194346 /nfs/dbraw/zinc/19/43/46/1041194346.db2.gz VSWKENVTPXGWSW-WCQYABFASA-N 0 3 310.488 4.135 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2cccc3ccccc32)nn1 ZINC000414383434 1041208869 /nfs/dbraw/zinc/20/88/69/1041208869.db2.gz RHIRAQUUKXMCKZ-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc([C@@H](NCC[C@H]2CCSC2)c2ccccn2)cc1 ZINC000926780204 1041210376 /nfs/dbraw/zinc/21/03/76/1041210376.db2.gz XACYQWDMFWHPEZ-QFBILLFUSA-N 0 3 312.482 4.212 20 0 DIADHN CC(C)(CO)[C@H](NCc1cncc2ccccc21)c1ccccc1 ZINC000809655225 1041213473 /nfs/dbraw/zinc/21/34/73/1041213473.db2.gz FDMHKAXCRCTCSI-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@@]2(CCCOC2)C1 ZINC000462511230 1041214260 /nfs/dbraw/zinc/21/42/60/1041214260.db2.gz NGJDNLHGZBXWML-HXUWFJFHSA-N 0 3 310.441 4.249 20 0 DIADHN Fc1ccc(CNCc2c[nH]nc2-c2cccs2)cc1Cl ZINC000053148913 1041218605 /nfs/dbraw/zinc/21/86/05/1041218605.db2.gz BNGHKMMQTXIIQG-UHFFFAOYSA-N 0 3 321.808 4.221 20 0 DIADHN CC(C)(C)[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(F)cc1 ZINC000375894963 1041226165 /nfs/dbraw/zinc/22/61/65/1041226165.db2.gz IBZHQRXWOMZILJ-RDJZCZTQSA-N 0 3 301.409 4.303 20 0 DIADHN CCCCCC[C@@H](CC)N[C@H](CC(=O)OC)c1ccccn1 ZINC001170585698 1041227021 /nfs/dbraw/zinc/22/70/21/1041227021.db2.gz GEZPKHHZFHEZEW-NVXWUHKLSA-N 0 3 306.450 4.024 20 0 DIADHN CC(C)(C)OC(=O)NCC[C@H]1CCCCN1Cc1ccsc1 ZINC000809723489 1041233419 /nfs/dbraw/zinc/23/34/19/1041233419.db2.gz IOVXEPRZBBWFBO-OAHLLOKOSA-N 0 3 324.490 4.017 20 0 DIADHN O[C@H](CCNCc1csc(Cl)c1Cl)c1ccccc1 ZINC000809748754 1041246484 /nfs/dbraw/zinc/24/64/84/1041246484.db2.gz XMVNWISJCLZXRZ-GFCCVEGCSA-N 0 3 316.253 4.268 20 0 DIADHN Cc1ccc(CNCC[C@@H](O)c2ccc(Cl)c(Cl)c2)o1 ZINC000809754896 1041248011 /nfs/dbraw/zinc/24/80/11/1041248011.db2.gz FRXGZRAXPFUTMR-OAHLLOKOSA-N 0 3 314.212 4.108 20 0 DIADHN Cc1ccoc1CNCC[C@H](O)c1ccc(Cl)c(Cl)c1 ZINC000809755778 1041248119 /nfs/dbraw/zinc/24/81/19/1041248119.db2.gz KQOXIPNJCPUWPD-AWEZNQCLSA-N 0 3 314.212 4.108 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)nc2C)C(C)(C)C)cc1 ZINC000809792210 1041259532 /nfs/dbraw/zinc/25/95/32/1041259532.db2.gz MFFALDRXCBHKGA-UHFFFAOYSA-N 0 3 316.420 4.338 20 0 DIADHN Fc1cccc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)co2)c1 ZINC000177532996 1041277298 /nfs/dbraw/zinc/27/72/98/1041277298.db2.gz ACEDJSIKVLDLQE-GJZGRUSLSA-N 0 3 300.377 4.103 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)C[C@H]1NCc2ccccc21 ZINC000659249746 1041277402 /nfs/dbraw/zinc/27/74/02/1041277402.db2.gz KQZBOPUHJDXINF-OXJNMPFZSA-N 0 3 322.452 4.048 20 0 DIADHN CCCOc1ccc(CN2CCCC(F)(F)CC2)cc1OC ZINC000628421901 1041278912 /nfs/dbraw/zinc/27/89/12/1041278912.db2.gz DYSRGAJYQJNXKV-UHFFFAOYSA-N 0 3 313.388 4.105 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)co2)cc1 ZINC000177573519 1041279177 /nfs/dbraw/zinc/27/91/77/1041279177.db2.gz NRDOUWLTJCBWLF-HUUCEWRRSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)C[C@H]2NCc3ccccc32)c(C)c1 ZINC000659258430 1041279718 /nfs/dbraw/zinc/27/97/18/1041279718.db2.gz SYDZQETUTGCSFA-OXJNMPFZSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC2CC2)CC2CC2)c(Cl)c1 ZINC000115564202 1041281783 /nfs/dbraw/zinc/28/17/83/1041281783.db2.gz UTAKAMNNTJEVLR-UHFFFAOYSA-N 0 3 320.864 4.017 20 0 DIADHN Clc1ccccc1-c1nnc(CN(CC2CC2)CC2CC2)o1 ZINC000115562818 1041283437 /nfs/dbraw/zinc/28/34/37/1041283437.db2.gz WFWMYJNOGSUICY-UHFFFAOYSA-N 0 3 317.820 4.012 20 0 DIADHN O=C(C[C@@H]1NCc2ccccc21)N(CC1CCC1)c1ccccc1 ZINC000659274886 1041285168 /nfs/dbraw/zinc/28/51/68/1041285168.db2.gz GZRZDJLSYZUQOE-FQEVSTJZSA-N 0 3 320.436 4.054 20 0 DIADHN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc2oc3ccccc3c2c1 ZINC000809981205 1041288267 /nfs/dbraw/zinc/28/82/67/1041288267.db2.gz CUZAXBOENFDQCZ-GASCZTMLSA-N 0 3 305.377 4.282 20 0 DIADHN Fc1cc(CNC/C=C\c2ccccc2)ccc1Br ZINC000588178821 1041288499 /nfs/dbraw/zinc/28/84/99/1041288499.db2.gz ZNSQUEASAILFJM-DAXSKMNVSA-N 0 3 320.205 4.391 20 0 DIADHN COCC1(CN(C)[C@H](C)c2nc3ccccc3s2)CCC1 ZINC000361317477 1041302352 /nfs/dbraw/zinc/30/23/52/1041302352.db2.gz ARONRHGOBDXJRQ-CYBMUJFWSA-N 0 3 304.459 4.106 20 0 DIADHN C[C@@H](NCCc1ccc(C(F)(F)F)cc1)c1nccs1 ZINC000093369550 1041308582 /nfs/dbraw/zinc/30/85/82/1041308582.db2.gz GZPJGJITSZDZBM-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cc(O)cc(F)c1 ZINC000926811643 1041314905 /nfs/dbraw/zinc/31/49/05/1041314905.db2.gz YVWHUEFMEGKJQL-CUOATXAZSA-N 0 3 303.299 4.079 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)cc1F ZINC000924590281 1041316337 /nfs/dbraw/zinc/31/63/37/1041316337.db2.gz OUEGIJXVHUSCOR-CQSZACIVSA-N 0 3 301.405 4.212 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3cccnc3Cl)CC2)c1 ZINC000810240322 1041356306 /nfs/dbraw/zinc/35/63/06/1041356306.db2.gz VFXWBINRFOULGH-CYBMUJFWSA-N 0 3 316.832 4.126 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)ccc1F ZINC000924595219 1041363192 /nfs/dbraw/zinc/36/31/92/1041363192.db2.gz URFOFYXVNIFUGA-AWEZNQCLSA-N 0 3 301.405 4.212 20 0 DIADHN c1[nH]c2ccccc2c1C1CCN(Cc2cc(C3CC3)no2)CC1 ZINC000659819211 1041378950 /nfs/dbraw/zinc/37/89/50/1041378950.db2.gz CVAPVYIVFCOFIF-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1nc2ccccc2s1)C1CCC1 ZINC000659827269 1041383417 /nfs/dbraw/zinc/38/34/17/1041383417.db2.gz OYLVFYAKXKHKCZ-CVEARBPZSA-N 0 3 316.470 4.248 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2CCSC2)c1Cl ZINC000226381765 1041393792 /nfs/dbraw/zinc/39/37/92/1041393792.db2.gz KATNUQGJFROXOA-QMMMGPOBSA-N 0 3 310.677 4.490 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)nc3c2CCCC3)cc1 ZINC000892744001 1041394185 /nfs/dbraw/zinc/39/41/85/1041394185.db2.gz YORXDBSVUBRXJM-HNNXBMFYSA-N 0 3 310.441 4.128 20 0 DIADHN CC(C)N(Cc1nc(-c2cccc(Cl)c2)no1)CC1CC1 ZINC000613629318 1041394225 /nfs/dbraw/zinc/39/42/25/1041394225.db2.gz UUFIRXKPXHATAX-UHFFFAOYSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccn3)s2)CCC1(F)F ZINC001141003392 1041439100 /nfs/dbraw/zinc/43/91/00/1041439100.db2.gz UFBDKQICHQMKOA-LBPRGKRZSA-N 0 3 308.397 4.287 20 0 DIADHN CC(C)=CCCN1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000659854222 1041455007 /nfs/dbraw/zinc/45/50/07/1041455007.db2.gz YQZAWVAJDBGRFB-QWRGUYRKSA-N 0 3 303.290 4.405 20 0 DIADHN Fc1ccc(-c2ccn(CN3CC[C@H](c4ccccc4)C3)n2)cc1 ZINC000728708016 1041464141 /nfs/dbraw/zinc/46/41/41/1041464141.db2.gz WICKKZJNJSXHGX-SFHVURJKSA-N 0 3 321.399 4.136 20 0 DIADHN CCC[C@@H](CN[C@@H](C)c1ccc(Br)cc1F)OC ZINC000393541864 1041465964 /nfs/dbraw/zinc/46/59/64/1041465964.db2.gz FESVDDOAAFFMQW-JQWIXIFHSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)[C@H]1CCCCO1 ZINC000393654304 1041467663 /nfs/dbraw/zinc/46/76/63/1041467663.db2.gz RVXDHMKLKAXFGM-BEVDRBHNSA-N 0 3 313.416 4.462 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2CCOC3(CCCCC3)C2)c1 ZINC000893112105 1041491676 /nfs/dbraw/zinc/49/16/76/1041491676.db2.gz CDJSWZHWFCOBQW-HNNXBMFYSA-N 0 3 309.837 4.017 20 0 DIADHN Cc1cnccc1CNC(C)(C)c1ccc(Br)cc1 ZINC000395357936 1041493981 /nfs/dbraw/zinc/49/39/81/1041493981.db2.gz IANUIOVCCQLGLC-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CCn1cc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)cn1 ZINC000189578795 1041504532 /nfs/dbraw/zinc/50/45/32/1041504532.db2.gz CTLOZBWIBWVSQW-OAQYLSRUSA-N 0 3 317.436 4.347 20 0 DIADHN CC(C)CCN(C(=O)Nc1ccc2c(c1)CNC2)C1CCCC1 ZINC000415996445 1041513287 /nfs/dbraw/zinc/51/32/87/1041513287.db2.gz MTXJLGNFVBUXIB-UHFFFAOYSA-N 0 3 315.461 4.112 20 0 DIADHN CC(C)CC[C@H]1CCCCN1C(=O)Nc1ccc2c(c1)CNC2 ZINC000415995057 1041513591 /nfs/dbraw/zinc/51/35/91/1041513591.db2.gz JMSSOYNFZGKLTL-GOSISDBHSA-N 0 3 315.461 4.112 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)s1 ZINC000811467026 1041521843 /nfs/dbraw/zinc/52/18/43/1041521843.db2.gz CUEOSMHGXQZZFU-GXTWGEPZSA-N 0 3 323.483 4.151 20 0 DIADHN CCN(Cc1ccc(SC)s1)C[C@H](O)c1ccc(C)cc1 ZINC000811465326 1041522127 /nfs/dbraw/zinc/52/21/27/1041522127.db2.gz CXEXQWNSIZDEDT-INIZCTEOSA-N 0 3 321.511 4.334 20 0 DIADHN CCC1(CC)[C@@H](NCc2ccnc(Cl)c2Cl)C[C@@H]1OC ZINC000811484959 1041523043 /nfs/dbraw/zinc/52/30/43/1041523043.db2.gz QOICMOVOUQWZSB-RYUDHWBXSA-N 0 3 317.260 4.072 20 0 DIADHN COc1cc(C)cc(CNCc2ccc(C(F)F)c(F)c2)c1 ZINC000893191519 1041545688 /nfs/dbraw/zinc/54/56/88/1041545688.db2.gz OLAGHCHKMBRWKU-UHFFFAOYSA-N 0 3 309.331 4.370 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)[C@H]2CCC[C@H]2C(C)(C)C)c1 ZINC000416287377 1041556097 /nfs/dbraw/zinc/55/60/97/1041556097.db2.gz JTSUQUYSHFOFSS-MSOLQXFVSA-N 0 3 316.489 4.035 20 0 DIADHN C[C@@H](NC(=O)c1cccc(CN(C)C)c1)c1ccc(C2CC2)cc1 ZINC000416286229 1041556231 /nfs/dbraw/zinc/55/62/31/1041556231.db2.gz ADWNDKFPMUQCLY-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN COc1cccc(SCCN2CCC[C@H]2c2ccccn2)c1 ZINC000659992566 1041574537 /nfs/dbraw/zinc/57/45/37/1041574537.db2.gz CYXMVLUAPVJSCW-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN CCc1nc([C@H](C)N[C@H](C)CCc2ccc(OC)cc2)cs1 ZINC000162311943 1041578534 /nfs/dbraw/zinc/57/85/34/1041578534.db2.gz ASTXDEVCHPFUIU-KGLIPLIRSA-N 0 3 318.486 4.386 20 0 DIADHN CN(Cc1ccc(Cl)cc1C(F)(F)F)C[C@@H]1CCCCO1 ZINC001143505213 1041586536 /nfs/dbraw/zinc/58/65/36/1041586536.db2.gz BXVLOOOKRDUMJH-ZDUSSCGKSA-N 0 3 321.770 4.360 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NCc1ccc(-c2ccccc2)s1 ZINC000042229464 1041592067 /nfs/dbraw/zinc/59/20/67/1041592067.db2.gz PDBOLQJSTRHGFA-KRWDZBQOSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1csc(C(C)(C)NCCc2nsc3ccccc23)n1 ZINC000660076615 1041599965 /nfs/dbraw/zinc/59/99/65/1041599965.db2.gz DMFSDIULSRCXCY-UHFFFAOYSA-N 0 3 317.483 4.129 20 0 DIADHN C[C@@H]1[C@@H](C)CN1Cc1cccc(OCc2ccc(F)cc2F)c1 ZINC001170670624 1041603673 /nfs/dbraw/zinc/60/36/73/1041603673.db2.gz XKSKJVZZZFRIOE-UONOGXRCSA-N 0 3 317.379 4.384 20 0 DIADHN CCc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)s1 ZINC000185274020 1041609123 /nfs/dbraw/zinc/60/91/23/1041609123.db2.gz FQNPWFMTZDQEIX-UHFFFAOYSA-N 0 3 310.806 4.162 20 0 DIADHN CCC[C@@H](N[C@@H]1CCOC2(CCC2)C1)c1ncccc1Cl ZINC000925560976 1041612164 /nfs/dbraw/zinc/61/21/64/1041612164.db2.gz ANVSNCJMGCDXMI-UKRRQHHQSA-N 0 3 308.853 4.268 20 0 DIADHN c1cc(CN[C@H]2CCCc3sccc32)n(-c2ccccc2)n1 ZINC000363955338 1041613870 /nfs/dbraw/zinc/61/38/70/1041613870.db2.gz SIISUBRAWYIOHW-KRWDZBQOSA-N 0 3 309.438 4.101 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000651410689 1041619095 /nfs/dbraw/zinc/61/90/95/1041619095.db2.gz VEPHYTAGTSWFCS-FVMDXXJSSA-N 0 3 307.800 4.313 20 0 DIADHN Cc1c[nH]c2c1cccc2-n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001170682638 1041636326 /nfs/dbraw/zinc/63/63/26/1041636326.db2.gz PTPPHIHVSAHGDM-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN FC(F)c1ccc(CNCc2c[nH]nc2-c2cccs2)cc1 ZINC000364033947 1041637568 /nfs/dbraw/zinc/63/75/68/1041637568.db2.gz MWDAOTWWNANRQU-UHFFFAOYSA-N 0 3 319.380 4.366 20 0 DIADHN CCOc1ccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)cc1C ZINC001170683468 1041640270 /nfs/dbraw/zinc/64/02/70/1041640270.db2.gz ZVFAWGKNFHZNMY-HNNXBMFYSA-N 0 3 321.424 4.266 20 0 DIADHN Cc1cc(CNCc2ccc(C(C)(C)O)cc2)ccc1Cl ZINC000187498579 1041654297 /nfs/dbraw/zinc/65/42/97/1041654297.db2.gz HMXLBZPZNRRZRF-UHFFFAOYSA-N 0 3 303.833 4.166 20 0 DIADHN C[C@@H](NCc1nc(C2CC2)cs1)[C@@H]1C[C@H]1c1cccs1 ZINC000417311904 1041656545 /nfs/dbraw/zinc/65/65/45/1041656545.db2.gz QRUSDXKRLXAIPN-KGYLQXTDSA-N 0 3 304.484 4.364 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@@H]2C[C@H]2c2cccs2)[n-]1 ZINC000417324721 1041658063 /nfs/dbraw/zinc/65/80/63/1041658063.db2.gz DBYVVQPEZYETDR-HTVCTNPSSA-N 0 3 318.490 4.223 20 0 DIADHN CSc1cccc(CN[C@H](CCCO)c2ccccc2)c1 ZINC000660198125 1041660287 /nfs/dbraw/zinc/66/02/87/1041660287.db2.gz METWOWUMJPPWAU-GOSISDBHSA-N 0 3 301.455 4.012 20 0 DIADHN Fc1cc(CN2CCC3(CCC3)C2)ccc1OCC(F)(F)F ZINC000584749559 1041661853 /nfs/dbraw/zinc/66/18/53/1041661853.db2.gz WGRGSOLHUFVTPL-UHFFFAOYSA-N 0 3 317.326 4.143 20 0 DIADHN COc1cc(CN[C@H](c2cccc(C)c2)C(C)(C)C)sn1 ZINC000660201425 1041666647 /nfs/dbraw/zinc/66/66/47/1041666647.db2.gz IDFKQGWDSBMPFY-MRXNPFEDSA-N 0 3 304.459 4.337 20 0 DIADHN CO[C@@H](CN[C@H](c1nc(C)cs1)C1CC1)C1CCCCC1 ZINC000660215938 1041673554 /nfs/dbraw/zinc/67/35/54/1041673554.db2.gz YCYGQNUXBFCENH-HOTGVXAUSA-N 0 3 308.491 4.088 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)Cc1ncccc1O ZINC000584831734 1041685918 /nfs/dbraw/zinc/68/59/18/1041685918.db2.gz NAWGPVQWVVVFMW-JTQLQIEISA-N 0 3 311.212 4.287 20 0 DIADHN Fc1ccc2c(c1)CN([C@H]1CCc3cc(F)cc(F)c3C1)CC2 ZINC001170700831 1041686808 /nfs/dbraw/zinc/68/68/08/1041686808.db2.gz NITDWFDYFXRGNC-KRWDZBQOSA-N 0 3 317.354 4.020 20 0 DIADHN CSCCCN(Cc1ccccn1)Cc1ccccc1C ZINC000584842929 1041688152 /nfs/dbraw/zinc/68/81/52/1041688152.db2.gz JBGJUUZVTDZSFD-UHFFFAOYSA-N 0 3 300.471 4.145 20 0 DIADHN CCn1cncc1CN[C@H](Cc1ccccc1)c1cccs1 ZINC000417929293 1041711739 /nfs/dbraw/zinc/71/17/39/1041711739.db2.gz ILHQOAWDVFSRRD-QGZVFWFLSA-N 0 3 311.454 4.038 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1cccc(C(C)(C)C)c1 ZINC000660326308 1041717298 /nfs/dbraw/zinc/71/72/98/1041717298.db2.gz PINZRIRRKRKZPH-INIZCTEOSA-N 0 3 303.471 4.177 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC(OC2CCC2)CC1 ZINC000418104123 1041725258 /nfs/dbraw/zinc/72/52/58/1041725258.db2.gz WWSRCMJCCOTQPT-UHFFFAOYSA-N 0 3 311.425 4.480 20 0 DIADHN Cc1ccn2c(CN[C@@H](C)c3ccc(C4CC4)cc3)cnc2c1 ZINC000418111532 1041725407 /nfs/dbraw/zinc/72/54/07/1041725407.db2.gz FIXJUDCLYQYIKU-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN CCCc1nc(C)c(CNc2ccnc3cc(OC)ccc32)o1 ZINC000813634586 1041725566 /nfs/dbraw/zinc/72/55/66/1041725566.db2.gz ARYKKUSABJRPQH-UHFFFAOYSA-N 0 3 311.385 4.104 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H]1COCc2ccccc21 ZINC000418099271 1041725916 /nfs/dbraw/zinc/72/59/16/1041725916.db2.gz BWRVLVCVTMYXHL-NIHHCSOHSA-N 0 3 323.436 4.097 20 0 DIADHN Cc1cccn2c(CN[C@H](C)c3ccc(C4CC4)cc3)cnc12 ZINC000418115650 1041726165 /nfs/dbraw/zinc/72/61/65/1041726165.db2.gz POEGWWBFXQPZIW-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN CCn1cncc1CNCc1sc2ccc(Cl)cc2c1C ZINC000418141199 1041731686 /nfs/dbraw/zinc/73/16/86/1041731686.db2.gz KQQUQQGANMPIHN-UHFFFAOYSA-N 0 3 319.861 4.369 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OC(F)(F)F)cc1)c1cccnc1 ZINC000120383441 1041733047 /nfs/dbraw/zinc/73/30/47/1041733047.db2.gz OXLCKPICONTRDI-NWDGAFQWSA-N 0 3 310.319 4.392 20 0 DIADHN C[C@H]1CCC[C@@H](CNCc2csc(-c3ccccn3)n2)C1 ZINC000123622809 1041752718 /nfs/dbraw/zinc/75/27/18/1041752718.db2.gz RJIIIGNGPLBRKH-UONOGXRCSA-N 0 3 301.459 4.121 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccccc2-n2cccn2)c(C)o1 ZINC000364372234 1041766767 /nfs/dbraw/zinc/76/67/67/1041766767.db2.gz CKYVVWNZQOEPAS-LSDHHAIUSA-N 0 3 309.413 4.494 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC[C@]4(CC4(F)F)C3)o2)cc1 ZINC000652062231 1041772230 /nfs/dbraw/zinc/77/22/30/1041772230.db2.gz CLRPDGRWEBWUNU-INIZCTEOSA-N 0 3 307.315 4.317 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCC(=O)c3ccc(Cl)c(Cl)c3)C[C@@H]21 ZINC001170728503 1041777518 /nfs/dbraw/zinc/77/75/18/1041777518.db2.gz VAFDQVMAVIOEFD-CNDDSTCGSA-N 0 3 312.240 4.200 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCO[C@@H]1CC1(F)F ZINC000660422975 1041784775 /nfs/dbraw/zinc/78/47/75/1041784775.db2.gz IMVJFAJVRPTEBE-CHWSQXEVSA-N 0 3 323.305 4.002 20 0 DIADHN CSc1ccc(CN2CCC(OC3CCCC3)CC2)cc1 ZINC000195318989 1041806778 /nfs/dbraw/zinc/80/67/78/1041806778.db2.gz YIDFXGBNMOSCFO-UHFFFAOYSA-N 0 3 305.487 4.332 20 0 DIADHN C[C@@H](NC1CCCCCCC1)c1ncc(Br)cn1 ZINC000814302671 1041808523 /nfs/dbraw/zinc/80/85/23/1041808523.db2.gz YQNBEAVNVBNNTI-LLVKDONJSA-N 0 3 312.255 4.003 20 0 DIADHN CCc1nc([C@@H](C)N[C@H]2CC(C)(C)CCc3ccccc32)n[nH]1 ZINC000660476666 1041816905 /nfs/dbraw/zinc/81/69/05/1041816905.db2.gz HJMLHNRCVHOXHK-CJNGLKHVSA-N 0 3 312.461 4.122 20 0 DIADHN COc1cc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)sn1 ZINC000660487092 1041817232 /nfs/dbraw/zinc/81/72/32/1041817232.db2.gz MJHNRXWZUVNPFM-MUJYYYPQSA-N 0 3 314.454 4.062 20 0 DIADHN CC(C)c1cccc(CN2CCC[C@@](O)(C(F)(F)F)CC2)c1 ZINC000660538265 1041828705 /nfs/dbraw/zinc/82/87/05/1041828705.db2.gz FYAVOJMCPDKVLI-INIZCTEOSA-N 0 3 315.379 4.089 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N[C@@H]3CC4CCC3CC4)o2)c1 ZINC000814652796 1041844632 /nfs/dbraw/zinc/84/46/32/1041844632.db2.gz LTQUTMVWDGTZMU-PBMMVSLJSA-N 0 3 311.429 4.274 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](c2ccccc2)C2CC2)s1 ZINC000124839576 1041845594 /nfs/dbraw/zinc/84/55/94/1041845594.db2.gz GUTMLWGQTXROOY-KRWDZBQOSA-N 0 3 315.486 4.230 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H](c2ccccn2)C1 ZINC000660631981 1041847364 /nfs/dbraw/zinc/84/73/64/1041847364.db2.gz RCZTWGIZNBKWHH-UKRRQHHQSA-N 0 3 302.368 4.300 20 0 DIADHN CCOC1CC(CN(C)[C@H](C)c2nc3cc(Cl)ccc3[nH]2)C1 ZINC000660707734 1041855026 /nfs/dbraw/zinc/85/50/26/1041855026.db2.gz IHQQSKKGJKSBEW-LKSINWNRSA-N 0 3 321.852 4.024 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)on1 ZINC001170764399 1041863752 /nfs/dbraw/zinc/86/37/52/1041863752.db2.gz IFAMYESIGJVQAS-LUPIEILUSA-N 0 3 310.441 4.420 20 0 DIADHN CC[C@]1(C)CCC[C@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654916 1041866116 /nfs/dbraw/zinc/86/61/16/1041866116.db2.gz HJNYZXRDQXTIDI-WOJBJXKFSA-N 0 3 316.489 4.024 20 0 DIADHN CC[C@@]1(C)CCC[C@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654914 1041866606 /nfs/dbraw/zinc/86/66/06/1041866606.db2.gz HJNYZXRDQXTIDI-UXHICEINSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@@H](NCc1ncc(Br)cc1Cl)C(C)(C)C ZINC000660919848 1041870754 /nfs/dbraw/zinc/87/07/54/1041870754.db2.gz JYFQKGOALFSYBV-MRVPVSSYSA-N 0 3 305.647 4.022 20 0 DIADHN Fc1ccc(SCCN2CCC3(CC3(F)F)CC2)c(F)c1 ZINC000660918481 1041871025 /nfs/dbraw/zinc/87/10/25/1041871025.db2.gz UOCOFUOZHHSSSM-UHFFFAOYSA-N 0 3 319.367 4.178 20 0 DIADHN COc1ccc([C@H](NCc2cc3cnccc3o2)C(C)C)cc1 ZINC000651669560 1041871164 /nfs/dbraw/zinc/87/11/64/1041871164.db2.gz AIACPDMWHSSULF-LJQANCHMSA-N 0 3 310.397 4.323 20 0 DIADHN COc1ccc([C@@H](NCc2cc3cnccc3o2)C(C)C)cc1 ZINC000651669559 1041871302 /nfs/dbraw/zinc/87/13/02/1041871302.db2.gz AIACPDMWHSSULF-IBGZPJMESA-N 0 3 310.397 4.323 20 0 DIADHN Cc1nccc([C@H]2CCCN([C@H](C)c3ccc(Cl)cc3)C2)n1 ZINC000618442902 1041871620 /nfs/dbraw/zinc/87/16/20/1041871620.db2.gz OLYVPCHUYODJMT-CJNGLKHVSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1ccc(CNCc2cn(-c3cccc(C)c3)nc2C)s1 ZINC000651680927 1041879453 /nfs/dbraw/zinc/87/94/53/1041879453.db2.gz XCLBOSKQNFZOOJ-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)c2ccco2)c2ccccc2)cc1 ZINC000027197657 1041881745 /nfs/dbraw/zinc/88/17/45/1041881745.db2.gz UYHVWFUMWHIHIR-QUCCMNQESA-N 0 3 307.393 4.001 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000661103464 1041886773 /nfs/dbraw/zinc/88/67/73/1041886773.db2.gz BNGFFGGTJOQQHZ-MRXNPFEDSA-N 0 3 310.441 4.413 20 0 DIADHN CC[C@H](C)N(CC)Cc1csc(-c2ccccc2OC)n1 ZINC000661107264 1041887065 /nfs/dbraw/zinc/88/70/65/1041887065.db2.gz PYOMWDQHVJCDDR-ZDUSSCGKSA-N 0 3 304.459 4.439 20 0 DIADHN CCc1sc(-c2nnc(CN(CC)[C@H](C)CC)o2)cc1C ZINC000661108288 1041888790 /nfs/dbraw/zinc/88/87/90/1041888790.db2.gz YLWFICQUJOWJMU-GFCCVEGCSA-N 0 3 307.463 4.289 20 0 DIADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651714395 1041890455 /nfs/dbraw/zinc/89/04/55/1041890455.db2.gz ZXSZGGLEJPZCAN-CRAIPNDOSA-N 0 3 312.457 4.196 20 0 DIADHN CC[C@]1(C)CCC[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000651735447 1041898878 /nfs/dbraw/zinc/89/88/78/1041898878.db2.gz QSYIQNKXZRMMDV-WMLDXEAASA-N 0 3 301.459 4.264 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccnc(Br)c1 ZINC000815016462 1041904708 /nfs/dbraw/zinc/90/47/08/1041904708.db2.gz HYOPFIISVLRHEV-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2ccccc2Cl)cn1 ZINC000661211696 1041906799 /nfs/dbraw/zinc/90/67/99/1041906799.db2.gz UORHRDHOITVHJS-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccc(-c2ccccc2)cn1 ZINC000651768277 1041907493 /nfs/dbraw/zinc/90/74/93/1041907493.db2.gz FNEOTNKYMXCNSJ-YJBOKZPZSA-N 0 3 310.441 4.357 20 0 DIADHN O[C@@H](CN1CC[C@@H]1c1cccc(F)c1)c1cccc2ccccc21 ZINC000815057872 1041907740 /nfs/dbraw/zinc/90/77/40/1041907740.db2.gz CHNRNVKJQXCPFR-RTWAWAEBSA-N 0 3 321.395 4.459 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccnc(Br)c1 ZINC000815026509 1041907873 /nfs/dbraw/zinc/90/78/73/1041907873.db2.gz QFAIAOUKQCWKCK-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN Brc1cc(CN2CCCC23CCCCC3)ccn1 ZINC000815076385 1041910874 /nfs/dbraw/zinc/91/08/74/1041910874.db2.gz DNJCPPLRTQKLNR-UHFFFAOYSA-N 0 3 309.251 4.143 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(Br)c2)s1 ZINC000815088228 1041913716 /nfs/dbraw/zinc/91/37/16/1041913716.db2.gz CLDOBCWGWSSBMP-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN Cc1sccc1CN[C@@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000815119449 1041914541 /nfs/dbraw/zinc/91/45/41/1041914541.db2.gz YBZWHCUWZOXTPP-ZDUSSCGKSA-N 0 3 314.454 4.034 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000815152418 1041921529 /nfs/dbraw/zinc/92/15/29/1041921529.db2.gz SDLOMHZQGMKPOB-PSASIEDQSA-N 0 3 311.269 4.315 20 0 DIADHN COC1(CN[C@@H](C)c2ccc(-c3ccccc3)cn2)CCCC1 ZINC000651817968 1041922110 /nfs/dbraw/zinc/92/21/10/1041922110.db2.gz GMELBDNSVMZZAG-INIZCTEOSA-N 0 3 310.441 4.358 20 0 DIADHN CC(C)O[C@H]1C[C@@H](N[C@@H](C)c2ccc(-c3ccccc3)cn2)C1 ZINC000651828933 1041927005 /nfs/dbraw/zinc/92/70/05/1041927005.db2.gz GYTHTSMPQUTEEX-ZYSHUDEJSA-N 0 3 310.441 4.355 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cncc(C(F)(F)F)c1 ZINC000815149226 1041927561 /nfs/dbraw/zinc/92/75/61/1041927561.db2.gz FAGPPFRLHGZHBC-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN CC(C)(C)c1ncc(CNCc2cccc3ccsc32)cn1 ZINC000651863781 1041936109 /nfs/dbraw/zinc/93/61/09/1041936109.db2.gz XGDNWRYVNULVMR-UHFFFAOYSA-N 0 3 311.454 4.279 20 0 DIADHN c1cc2cccc(CNCc3ccc(N4CCCC4)nc3)c2s1 ZINC000651863169 1041936678 /nfs/dbraw/zinc/93/66/78/1041936678.db2.gz WYFWPIUOKNOISC-UHFFFAOYSA-N 0 3 323.465 4.186 20 0 DIADHN C[C@@H](NCc1cnc[nH]1)c1ccc(O[C@H](C)c2ccccc2)cc1 ZINC000661460026 1041941402 /nfs/dbraw/zinc/94/14/02/1041941402.db2.gz XMXNXWUBJZRRJL-HZPDHXFCSA-N 0 3 321.424 4.401 20 0 DIADHN CC[C@@H](OCCN[C@H]1CCCc2cccnc21)c1ccccc1 ZINC000651908832 1041948883 /nfs/dbraw/zinc/94/88/83/1041948883.db2.gz OQZVHSYIKHSKIU-RBUKOAKNSA-N 0 3 310.441 4.217 20 0 DIADHN CN(Cc1cnc(CC(F)(F)F)s1)C[C@@H]1CC=CCC1 ZINC000893522284 1042001489 /nfs/dbraw/zinc/00/14/89/1042001489.db2.gz PBADQUYLQDGNKM-LLVKDONJSA-N 0 3 304.381 4.036 20 0 DIADHN Cc1cccc(C)c1CN1CC2(CCOCC2)[C@H]1c1ccco1 ZINC000661563509 1041955541 /nfs/dbraw/zinc/95/55/41/1041955541.db2.gz YMNVSIHOUDIXDU-LJQANCHMSA-N 0 3 311.425 4.250 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1cccc(Cn2cccn2)c1 ZINC000815563015 1041963973 /nfs/dbraw/zinc/96/39/73/1041963973.db2.gz ROGPZEOMHJDTMY-MRXNPFEDSA-N 0 3 323.415 4.230 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1cccc(Cn2cccn2)c1 ZINC000815563014 1041963994 /nfs/dbraw/zinc/96/39/94/1041963994.db2.gz ROGPZEOMHJDTMY-INIZCTEOSA-N 0 3 323.415 4.230 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(F)cc2C)cn1 ZINC000815578499 1041965714 /nfs/dbraw/zinc/96/57/14/1041965714.db2.gz PKOCJMFLNXGNGK-CQSZACIVSA-N 0 3 302.393 4.169 20 0 DIADHN C[C@@H](c1ccc(CN(C)CC2=CCCOC2)cc1)C(F)(F)F ZINC000661651868 1041967136 /nfs/dbraw/zinc/96/71/36/1041967136.db2.gz FOTWGLASTWGGPB-ZDUSSCGKSA-N 0 3 313.363 4.131 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C(C)C)[C@@H](C)c1ccccc1 ZINC001170793600 1041969677 /nfs/dbraw/zinc/96/96/77/1041969677.db2.gz ADFDMOJJXVDEMQ-MSOLQXFVSA-N 0 3 318.505 4.351 20 0 DIADHN FCC[C@@H]1CCCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC000661690713 1041971300 /nfs/dbraw/zinc/97/13/00/1041971300.db2.gz UFMGSNIJQJTIAN-ZDUSSCGKSA-N 0 3 306.356 4.052 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CCC[C@@H](CCF)C1 ZINC000661692978 1041971611 /nfs/dbraw/zinc/97/16/11/1041971611.db2.gz JPRBBGUYYRWWNI-CABCVRRESA-N 0 3 302.393 4.474 20 0 DIADHN COc1ccc([C@H](NC2CCC3(CC3)CC2)c2ccccn2)cc1 ZINC000652193944 1041973226 /nfs/dbraw/zinc/97/32/26/1041973226.db2.gz ZYJMWKMWYJINKS-FQEVSTJZSA-N 0 3 322.452 4.492 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)[nH]1)c1cccc(C2CC2)c1 ZINC000815610822 1041973778 /nfs/dbraw/zinc/97/37/78/1041973778.db2.gz VBZJSFAULUUXOD-CQSZACIVSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@@H]([NH2+]Cc1nnc(-c2ccccc2)[n-]1)c1cccc(C2CC2)c1 ZINC000815610822 1041973787 /nfs/dbraw/zinc/97/37/87/1041973787.db2.gz VBZJSFAULUUXOD-CQSZACIVSA-N 0 3 318.424 4.200 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3ccccc3F)CCC2)cs1 ZINC000652220894 1041979442 /nfs/dbraw/zinc/97/94/42/1041979442.db2.gz MEEGRQHUXPXCSG-LBPRGKRZSA-N 0 3 304.434 4.363 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NCc2ccc3c(c2)CCO3)n1 ZINC001167782397 1041980265 /nfs/dbraw/zinc/98/02/65/1041980265.db2.gz VGXZIGDSHKHBGB-HNNXBMFYSA-N 0 3 323.440 4.007 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1F ZINC000652251112 1041984171 /nfs/dbraw/zinc/98/41/71/1041984171.db2.gz ZDCXDYKQWANTQU-LIRRHRJNSA-N 0 3 324.403 4.208 20 0 DIADHN Cn1ccnc1[C@@H](Cc1ccccc1)N[C@@H]1CCC12CCCC2 ZINC000652275486 1041986802 /nfs/dbraw/zinc/98/68/02/1041986802.db2.gz ORHOHYACRSDMJU-QZTJIDSGSA-N 0 3 309.457 4.016 20 0 DIADHN CCC[C@@H](N[C@H](CO)CCF)c1ccc(Cl)cc1Cl ZINC000652304758 1041997255 /nfs/dbraw/zinc/99/72/55/1041997255.db2.gz QGXFKWUPARFHNC-SMDDNHRTSA-N 0 3 308.224 4.145 20 0 DIADHN OCc1ccc(CN[C@H]2CCCc3sc(Cl)cc32)cc1 ZINC000227459608 1042002176 /nfs/dbraw/zinc/00/21/76/1042002176.db2.gz TZCCPGGMOKMEGP-AWEZNQCLSA-N 0 3 307.846 4.061 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC([C@H]2CCCCO2)CC1 ZINC000661864935 1042009354 /nfs/dbraw/zinc/00/93/54/1042009354.db2.gz WNCMYPFHYJMWKY-HXUWFJFHSA-N 0 3 316.489 4.295 20 0 DIADHN CSc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)cc1 ZINC000661870384 1042010243 /nfs/dbraw/zinc/01/02/43/1042010243.db2.gz GNZHSIMJBZKEPZ-SFHVURJKSA-N 0 3 305.487 4.190 20 0 DIADHN c1ccc2c(CN3CCC[C@H]([C@H]4CCCCO4)C3)nsc2c1 ZINC000661874150 1042012217 /nfs/dbraw/zinc/01/22/17/1042012217.db2.gz LDRURFZCIRIDNM-WMLDXEAASA-N 0 3 316.470 4.077 20 0 DIADHN COc1cccc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)c1Cl ZINC000661874576 1042013007 /nfs/dbraw/zinc/01/30/07/1042013007.db2.gz NCOQZINQWXHNEB-HOCLYGCPSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000661880076 1042014605 /nfs/dbraw/zinc/01/46/05/1042014605.db2.gz ZEDCEDGIPSXLJE-VYDXJSESSA-N 0 3 314.429 4.170 20 0 DIADHN CCC[C@H](C)N[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000643202855 1042015839 /nfs/dbraw/zinc/01/58/39/1042015839.db2.gz MHDBYKJOAYGFJY-KBXCAEBGSA-N 0 3 314.404 4.284 20 0 DIADHN CCCc1ccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)cc1 ZINC001135333500 1042016743 /nfs/dbraw/zinc/01/67/43/1042016743.db2.gz ZYJUMZFGYXNVDM-GOSISDBHSA-N 0 3 302.462 4.088 20 0 DIADHN CC[C@H](NCCCC1CCCC1)c1nnc2n1CCCCC2 ZINC000661935550 1042025637 /nfs/dbraw/zinc/02/56/37/1042025637.db2.gz CKASWHWYUYTCAJ-INIZCTEOSA-N 0 3 304.482 4.016 20 0 DIADHN O=C1CCCC12CCN(Cc1ccc(C(F)(F)F)cc1)CC2 ZINC000661951106 1042028066 /nfs/dbraw/zinc/02/80/66/1042028066.db2.gz HXFBTEKURBKQGH-UHFFFAOYSA-N 0 3 311.347 4.041 20 0 DIADHN C[C@H](NCc1nc(C(F)(F)F)cs1)C1CCC(F)CC1 ZINC000661999364 1042037051 /nfs/dbraw/zinc/03/70/51/1042037051.db2.gz ADVVRUPSIUMGNU-IDKOKCKLSA-N 0 3 310.360 4.168 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@H](C4CCC4)C3)o2)cc1 ZINC000662038120 1042047775 /nfs/dbraw/zinc/04/77/75/1042047775.db2.gz KRDUAIVGEWHHPF-KRWDZBQOSA-N 0 3 311.429 4.057 20 0 DIADHN CC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000248623525 1042068039 /nfs/dbraw/zinc/06/80/39/1042068039.db2.gz YMTRZPIWXZVNTG-VBQJREDUSA-N 0 3 322.408 4.169 20 0 DIADHN c1cc2c(o1)CCCN(C[C@H]1CCC[C@@H](c3ccccc3)O1)C2 ZINC001170835620 1042072715 /nfs/dbraw/zinc/07/27/15/1042072715.db2.gz NBRNGAIIVSPLHF-QUCCMNQESA-N 0 3 311.425 4.338 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN1CCC(C)(CC(C)C)CC1 ZINC001170837843 1042078412 /nfs/dbraw/zinc/07/84/12/1042078412.db2.gz XMOBTZHLPHYISR-QGZVFWFLSA-N 0 3 310.526 4.028 20 0 DIADHN CCCCc1ccc([C@@H](NC(=O)CN(C)CCC)C(C)C)cc1 ZINC001135672987 1042078955 /nfs/dbraw/zinc/07/89/55/1042078955.db2.gz ROVPAMGOMDVJCU-FQEVSTJZSA-N 0 3 318.505 4.184 20 0 DIADHN C[C@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C1CCCC1 ZINC000184657654 1042086429 /nfs/dbraw/zinc/08/64/29/1042086429.db2.gz UTHZACWIARUKOT-NSHDSACASA-N 0 3 305.809 4.058 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000165049189 1042087752 /nfs/dbraw/zinc/08/77/52/1042087752.db2.gz IFBIEXAJALGMMA-HNAYVOBHSA-N 0 3 315.461 4.494 20 0 DIADHN CN(C)[C@@H](CNC(=O)c1cccc(C(C)(C)C)c1)c1ccccc1 ZINC001135742069 1042087760 /nfs/dbraw/zinc/08/77/60/1042087760.db2.gz AUUJOEFYNQUYKW-IBGZPJMESA-N 0 3 324.468 4.017 20 0 DIADHN CN(C)[C@H](CNC(=O)c1cccc(C(C)(C)C)c1)c1ccccc1 ZINC001135742070 1042087786 /nfs/dbraw/zinc/08/77/86/1042087786.db2.gz AUUJOEFYNQUYKW-LJQANCHMSA-N 0 3 324.468 4.017 20 0 DIADHN CN(C)[C@H](CNC(=O)C(C)(C)C1CCCCC1)c1ccccc1 ZINC001135742418 1042088301 /nfs/dbraw/zinc/08/83/01/1042088301.db2.gz LWQNWDPFTBRFQE-GOSISDBHSA-N 0 3 316.489 4.012 20 0 DIADHN CC[C@@H](C)CCCCC(=O)NC[C@H](c1ccccc1)N(C)C ZINC001135742480 1042088567 /nfs/dbraw/zinc/08/85/67/1042088567.db2.gz NWOYRGGCGBGRSJ-SJLPKXTDSA-N 0 3 304.478 4.012 20 0 DIADHN CCCC[C@H](N[C@H](C)c1cnn(C)c1)c1ccc(OC)cc1 ZINC000165040364 1042088646 /nfs/dbraw/zinc/08/86/46/1042088646.db2.gz QZMVILSGDHIPLF-KDOFPFPSSA-N 0 3 301.434 4.011 20 0 DIADHN CCc1ccc(CNCc2csc(Br)c2)s1 ZINC000218216542 1042090482 /nfs/dbraw/zinc/09/04/82/1042090482.db2.gz WXQAEVPZJIHIRT-UHFFFAOYSA-N 0 3 316.289 4.424 20 0 DIADHN C[C@H](c1ccncc1)N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662212464 1042090935 /nfs/dbraw/zinc/09/09/35/1042090935.db2.gz AFFOTYOIRNOPRW-MRXNPFEDSA-N 0 3 306.409 4.083 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN2CCC[C@H](CC(F)(F)F)C2)c1 ZINC000817282315 1042100245 /nfs/dbraw/zinc/10/02/45/1042100245.db2.gz ZAMJXGHGCGEVEL-ZBFHGGJFSA-N 0 3 315.379 4.001 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001135853697 1042100696 /nfs/dbraw/zinc/10/06/96/1042100696.db2.gz DNUOTKXTSKZKOB-UONOGXRCSA-N 0 3 320.477 4.014 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C ZINC001135926512 1042111921 /nfs/dbraw/zinc/11/19/21/1042111921.db2.gz KYZDFOYQJHEPKY-ICSRJNTNSA-N 0 3 318.505 4.184 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H](C)C(C)(C)c2ccccc2)cs1 ZINC000165213472 1042112311 /nfs/dbraw/zinc/11/23/11/1042112311.db2.gz DIHUFXQUNZTZPA-UONOGXRCSA-N 0 3 318.486 4.306 20 0 DIADHN Cc1ccc(OC[C@@H](C)N[C@H](C)c2ccncc2Cl)cc1 ZINC000817574754 1042114710 /nfs/dbraw/zinc/11/47/10/1042114710.db2.gz QZGVVNUFTZVECN-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN COc1cc(CN[C@H]2CCSc3ccc(F)cc32)ccc1C ZINC000161769283 1042114905 /nfs/dbraw/zinc/11/49/05/1042114905.db2.gz RAFYXSBDTAIONQ-INIZCTEOSA-N 0 3 317.429 4.469 20 0 DIADHN CCC1(CN[C@@H](c2nc(Br)cs2)C2CC2)CC1 ZINC000817580316 1042116299 /nfs/dbraw/zinc/11/62/99/1042116299.db2.gz IGJPAPZSMUOFEF-LLVKDONJSA-N 0 3 315.280 4.137 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](c2nc(Br)cs2)C2CC2)C1 ZINC000817584337 1042117318 /nfs/dbraw/zinc/11/73/18/1042117318.db2.gz WYSRRVMUIVNXHB-UBHAPETDSA-N 0 3 315.280 4.135 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccncc1Cl)[C@H](O)c1ccccc1 ZINC000817584144 1042117888 /nfs/dbraw/zinc/11/78/88/1042117888.db2.gz SLHZUIIQJQWWCI-DOPJRALCSA-N 0 3 318.848 4.144 20 0 DIADHN C[C@@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1ccncc1Cl ZINC000817587023 1042118437 /nfs/dbraw/zinc/11/84/37/1042118437.db2.gz YAZZIOBOXONOFY-QMTHXVAHSA-N 0 3 307.224 4.206 20 0 DIADHN CC[C@H](NCc1cc(C)nc(C)c1)c1ccc2c(c1)CCCO2 ZINC000817589505 1042119954 /nfs/dbraw/zinc/11/99/54/1042119954.db2.gz NZARFBDFFMOGEY-IBGZPJMESA-N 0 3 310.441 4.264 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CCC[C@@H]2c2ccccc2)cs1 ZINC000165235392 1042120869 /nfs/dbraw/zinc/12/08/69/1042120869.db2.gz WPHOJSNNPWPATH-XYPHTWIQSA-N 0 3 316.470 4.276 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CCC[C@@H]2c2ccccc2)cs1 ZINC000165235162 1042121143 /nfs/dbraw/zinc/12/11/43/1042121143.db2.gz WPHOJSNNPWPATH-KBRIMQKVSA-N 0 3 316.470 4.276 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3nc(C)sc32)cc1F ZINC000162300774 1042122965 /nfs/dbraw/zinc/12/29/65/1042122965.db2.gz QVUIRONTSSACDT-HZMBPMFUSA-N 0 3 320.433 4.327 20 0 DIADHN O=C(Nc1cccc(-c2cccs2)c1)C1(NC2CC2)CCC1 ZINC000662470832 1042132943 /nfs/dbraw/zinc/13/29/43/1042132943.db2.gz VUCZXZCMTYEJQC-UHFFFAOYSA-N 0 3 312.438 4.028 20 0 DIADHN O=C(Nc1cccc(Nc2ccccc2)c1)C1(NC2CC2)CCC1 ZINC000662491923 1042135508 /nfs/dbraw/zinc/13/55/08/1042135508.db2.gz OHZVOEFCUCTJEE-UHFFFAOYSA-N 0 3 321.424 4.043 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cnc(CC(F)(F)F)s1 ZINC000893591956 1042147644 /nfs/dbraw/zinc/14/76/44/1042147644.db2.gz JDKGCVWYDXPAID-ZETCQYMHSA-N 0 3 320.302 4.069 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)[C@@H](O)c2ccccc2)c(Cl)c1 ZINC000818339190 1042148375 /nfs/dbraw/zinc/14/83/75/1042148375.db2.gz HVAKYCIRAVUKBB-ZJNRKIDTSA-N 0 3 319.832 4.121 20 0 DIADHN Nc1ccnc(CN[C@@H]2CCCSc3ccc(Cl)cc32)c1 ZINC000925770401 1042149599 /nfs/dbraw/zinc/14/95/99/1042149599.db2.gz HMYWFSOSCZUILJ-OAHLLOKOSA-N 0 3 319.861 4.034 20 0 DIADHN Nc1ccnc(CN[C@H]2CCCSc3ccc(Cl)cc32)c1 ZINC000925770400 1042150037 /nfs/dbraw/zinc/15/00/37/1042150037.db2.gz HMYWFSOSCZUILJ-HNNXBMFYSA-N 0 3 319.861 4.034 20 0 DIADHN CC[C@H](NCc1cc(N)ccn1)c1ccc(Cl)c(Cl)c1 ZINC000925772280 1042158703 /nfs/dbraw/zinc/15/87/03/1042158703.db2.gz RLUSNHSNTAHRSH-HNNXBMFYSA-N 0 3 310.228 4.212 20 0 DIADHN FC(F)(F)C1CCN([C@@H]2CCc3cccc(Cl)c3C2)CC1 ZINC001170916424 1042162557 /nfs/dbraw/zinc/16/25/57/1042162557.db2.gz CYNRGKOINAFULP-CYBMUJFWSA-N 0 3 317.782 4.472 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](C)c3ccc(C)s3)c(C)n2n1 ZINC000314675988 1042163396 /nfs/dbraw/zinc/16/33/96/1042163396.db2.gz QMBRDBQHDPZVFA-QWHCGFSZSA-N 0 3 314.458 4.128 20 0 DIADHN CCCCCCC(=O)NC[C@H](c1ccc(SC)cc1)N(C)C ZINC000819336916 1042178663 /nfs/dbraw/zinc/17/86/63/1042178663.db2.gz YDOPBHHZKLYAHX-QGZVFWFLSA-N 0 3 322.518 4.098 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCCC[C@@H]1C)c1ccsc1 ZINC001136215708 1042180797 /nfs/dbraw/zinc/18/07/97/1042180797.db2.gz NQNSXFWGVGWEHN-USXIJHARSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1cnccc1C(F)(F)F ZINC000663474799 1042185688 /nfs/dbraw/zinc/18/56/88/1042185688.db2.gz QWPWQEUHTIJDJL-BZNIZROVSA-N 0 3 306.331 4.124 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1cnccc1C(F)(F)F)CC2 ZINC000663475917 1042188496 /nfs/dbraw/zinc/18/84/96/1042188496.db2.gz VKYXFHYDFDOOCV-MRXNPFEDSA-N 0 3 320.358 4.494 20 0 DIADHN Cc1nc2c(s1)CN([C@H]1CCc3cccc(Cl)c3C1)CC2 ZINC001170934904 1042192598 /nfs/dbraw/zinc/19/25/98/1042192598.db2.gz NXUHPFHBLHGTTO-ZDUSSCGKSA-N 0 3 318.873 4.021 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCOc1ccccc1F ZINC000303236398 1042199234 /nfs/dbraw/zinc/19/92/34/1042199234.db2.gz ZQAWCIBULLPINT-QGZVFWFLSA-N 0 3 315.388 4.050 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](C(C)C)CC2)c1C ZINC000303576274 1042207340 /nfs/dbraw/zinc/20/73/40/1042207340.db2.gz CQQTWQCRZDTHSQ-QGZVFWFLSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)Oc1ccc(CN2C[C@H](C)C[C@H]2c2cccnc2)cc1 ZINC000805748154 1042216909 /nfs/dbraw/zinc/21/69/09/1042216909.db2.gz AFZDAPACKWWSGH-UZLBHIALSA-N 0 3 310.441 4.452 20 0 DIADHN CC(C)CCCCCC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001136348218 1042219829 /nfs/dbraw/zinc/21/98/29/1042219829.db2.gz AYNYORPNTHQMRF-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN CCCN(Cc1cc(Cl)ccc1OC)C[C@@H]1CCCCO1 ZINC000071963787 1042222431 /nfs/dbraw/zinc/22/24/31/1042222431.db2.gz DPVVXDKEONOPGI-INIZCTEOSA-N 0 3 311.853 4.130 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cccc(OC(C)=O)c1 ZINC001138043933 1042229736 /nfs/dbraw/zinc/22/97/36/1042229736.db2.gz JVMBIKDKCIXXAC-UHFFFAOYSA-N 0 3 317.816 4.287 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1ccc(C(F)(F)F)nc1Cl ZINC000820847103 1042231962 /nfs/dbraw/zinc/23/19/62/1042231962.db2.gz RIGXOBUZGXBBIT-BDAKNGLRSA-N 0 3 324.799 4.300 20 0 DIADHN CC(=O)Nc1cccc(CN2CCCCC[C@@H]2c2ccncc2)c1 ZINC000585748530 1042242964 /nfs/dbraw/zinc/24/29/64/1042242964.db2.gz WKNKIYXVCBKRFU-HXUWFJFHSA-N 0 3 323.440 4.157 20 0 DIADHN Cc1noc(C)c1CN1CCC(CCc2ccc(C)cc2)CC1 ZINC000302971983 1042246757 /nfs/dbraw/zinc/24/67/57/1042246757.db2.gz RGNQYDAZNZQLRK-UHFFFAOYSA-N 0 3 312.457 4.445 20 0 DIADHN C[C@H](N[C@H]1CCc2cccc(F)c2C1)c1c(F)cccc1F ZINC001170963862 1042250260 /nfs/dbraw/zinc/25/02/60/1042250260.db2.gz YBPMTQREFYNLAA-AAEUAGOBSA-N 0 3 305.343 4.312 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)cc1 ZINC001648941304 1042253143 /nfs/dbraw/zinc/25/31/43/1042253143.db2.gz UBDDLFYZUCDZHC-UXHICEINSA-N 0 3 313.416 4.398 20 0 DIADHN CCc1ccc(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)cc1 ZINC001648941306 1042253248 /nfs/dbraw/zinc/25/32/48/1042253248.db2.gz UBDDLFYZUCDZHC-WOJBJXKFSA-N 0 3 313.416 4.398 20 0 DIADHN Clc1cccc(CCCN2CCc3ncc(Cl)cc3C2)c1 ZINC001207594186 1042256300 /nfs/dbraw/zinc/25/63/00/1042256300.db2.gz UEQJOVHGSHKUSH-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN CCC[C@@H](CC)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001136479993 1042260843 /nfs/dbraw/zinc/26/08/43/1042260843.db2.gz ZAMWGHRCQDGILD-IEBWSBKVSA-N 0 3 316.489 4.074 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCCCN(C(=O)OC(C)(C)C)C2)o1 ZINC000223561807 1042262461 /nfs/dbraw/zinc/26/24/61/1042262461.db2.gz UILVWRSIHXPRID-GJZGRUSLSA-N 0 3 322.449 4.028 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(SC)cc2)c1 ZINC000038010586 1042266933 /nfs/dbraw/zinc/26/69/33/1042266933.db2.gz OQADWKQFBLANMF-AWEZNQCLSA-N 0 3 301.455 4.406 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000168352544 1042280241 /nfs/dbraw/zinc/28/02/41/1042280241.db2.gz HMZKXENVCKHWED-CABCVRRESA-N 0 3 324.472 4.105 20 0 DIADHN CC(C)n1cncc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000822443177 1042285884 /nfs/dbraw/zinc/28/58/84/1042285884.db2.gz QRQKCDFQIXXUGP-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN CCn1nccc1C1CCN(Cc2cccc(C(F)F)c2)CC1 ZINC000279247327 1042286187 /nfs/dbraw/zinc/28/61/87/1042286187.db2.gz GSJSNQHYZAEFNI-UHFFFAOYSA-N 0 3 319.399 4.220 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCSc3c(F)cccc32)cc1 ZINC000178552740 1042288380 /nfs/dbraw/zinc/28/83/80/1042288380.db2.gz IBMORFOHUCUCOI-SJKOYZFVSA-N 0 3 317.429 4.206 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000187057684 1042295572 /nfs/dbraw/zinc/29/55/72/1042295572.db2.gz KAPJSYOTHLFXBD-GUBZILKMSA-N 0 3 303.219 4.068 20 0 DIADHN O=C1CCCC12CCN(C/C=C/c1ccc(Cl)cc1)CC2 ZINC000661958698 1042297323 /nfs/dbraw/zinc/29/73/23/1042297323.db2.gz WXUBHLRIHISHDH-NSCUHMNNSA-N 0 3 303.833 4.188 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000822696140 1042298141 /nfs/dbraw/zinc/29/81/41/1042298141.db2.gz KYSUKGUFRCYVDF-HOCLYGCPSA-N 0 3 321.251 4.345 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCc1ccc(CO)cc1 ZINC000323421722 1042302859 /nfs/dbraw/zinc/30/28/59/1042302859.db2.gz OPGWRDAQESESJH-CQSZACIVSA-N 0 3 309.409 4.338 20 0 DIADHN CC(C)COC[C@@H](NCC[C@H]1CC1(Cl)Cl)c1ccco1 ZINC000822841935 1042303217 /nfs/dbraw/zinc/30/32/17/1042303217.db2.gz RJJMXHKUYWPASZ-QWHCGFSZSA-N 0 3 320.260 4.167 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CC[C@H]1CC1(Cl)Cl ZINC000822956268 1042307026 /nfs/dbraw/zinc/30/70/26/1042307026.db2.gz HXISHALKTKMBFM-IUCAKERBSA-N 0 3 304.183 4.233 20 0 DIADHN Cc1cnc(C2CCN(CC[C@@H]3CC3(Cl)Cl)CC2)s1 ZINC000822972517 1042307600 /nfs/dbraw/zinc/30/76/00/1042307600.db2.gz LXGYEADTFADCQY-GFCCVEGCSA-N 0 3 319.301 4.215 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1CCc2cccc(F)c2C1 ZINC001171005614 1042311717 /nfs/dbraw/zinc/31/17/17/1042311717.db2.gz PPQPLKGJPPTXST-OAHLLOKOSA-N 0 3 305.343 4.093 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC000823247896 1042319758 /nfs/dbraw/zinc/31/97/58/1042319758.db2.gz KPSUHUPHRHNMLU-NXEZZACHSA-N 0 3 304.183 4.235 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC000823247899 1042319853 /nfs/dbraw/zinc/31/98/53/1042319853.db2.gz KPSUHUPHRHNMLU-ZJUUUORDSA-N 0 3 304.183 4.235 20 0 DIADHN Clc1cccc2c1CC[C@H](NCc1nc3ccccc3o1)C2 ZINC001171013880 1042320528 /nfs/dbraw/zinc/32/05/28/1042320528.db2.gz RHOXTQZTYXXNRI-ZDUSSCGKSA-N 0 3 312.800 4.128 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN1CCCC(F)(F)[C@@H](F)C1 ZINC001207635644 1042323013 /nfs/dbraw/zinc/32/30/13/1042323013.db2.gz KRHPCKFZSDFPGX-KBPBESRZSA-N 0 3 309.416 4.023 20 0 DIADHN Cc1cccc(CN2CCC([C@@H](O)c3ccccc3)CC2)c1F ZINC000823394924 1042323499 /nfs/dbraw/zinc/32/34/99/1042323499.db2.gz GCCFDKMFJMIRGV-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1cccc(C(F)F)c1)c1ccco1 ZINC000279587188 1042324469 /nfs/dbraw/zinc/32/44/69/1042324469.db2.gz CYNQDUDKOYXIHY-JKSUJKDBSA-N 0 3 321.367 4.305 20 0 DIADHN Clc1cccnc1CN[C@H]1CCc2c(Cl)cccc2C1 ZINC001171018324 1042326929 /nfs/dbraw/zinc/32/69/29/1042326929.db2.gz SAMMOSTVKYWBKY-LBPRGKRZSA-N 0 3 307.224 4.036 20 0 DIADHN C[C@@H](CCc1ccccc1)CNCc1ccn(-c2ccccc2)n1 ZINC001648946039 1042331354 /nfs/dbraw/zinc/33/13/54/1042331354.db2.gz IVLJBMYBPDOEKD-SFHVURJKSA-N 0 3 319.452 4.231 20 0 DIADHN C[C@H](NCCCNc1cccc(F)c1)c1cccnc1Cl ZINC000753767757 1042337237 /nfs/dbraw/zinc/33/72/37/1042337237.db2.gz MCEQDLQSYCYPAG-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccnc2)ccc1Oc1cccnc1 ZINC000112371005 1042340363 /nfs/dbraw/zinc/34/03/63/1042340363.db2.gz UBRNJZGUFJFULY-MRXNPFEDSA-N 0 3 319.408 4.428 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](C)c2cccnc2)cc1OC ZINC000112529398 1042341446 /nfs/dbraw/zinc/34/14/46/1042341446.db2.gz ZEPJYFHITASWCH-LSDHHAIUSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1nccn1C(F)F ZINC000279776188 1042342372 /nfs/dbraw/zinc/34/23/72/1042342372.db2.gz BTVGQZITBQGDAA-QWRGUYRKSA-N 0 3 313.779 4.213 20 0 DIADHN C[C@@H](NCc1nccn1C(F)F)[C@@H](C)c1ccc(Cl)cc1 ZINC000279776179 1042342443 /nfs/dbraw/zinc/34/24/43/1042342443.db2.gz BTVGQZITBQGDAA-GHMZBOCLSA-N 0 3 313.779 4.213 20 0 DIADHN OCCC[C@@H](NCc1cccc(C(F)F)c1)c1ccccc1 ZINC000279805919 1042344094 /nfs/dbraw/zinc/34/40/94/1042344094.db2.gz WVHODDQMLDHENU-QGZVFWFLSA-N 0 3 305.368 4.228 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccncc1)c1ccc2c(c1)OCCO2 ZINC000163675998 1042357049 /nfs/dbraw/zinc/35/70/49/1042357049.db2.gz UYZAGBQGDUKABA-DLBZAZTESA-N 0 3 312.413 4.045 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccnc(Br)c1 ZINC000293775846 1042371452 /nfs/dbraw/zinc/37/14/52/1042371452.db2.gz LGXRRTZNQCAMBB-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CSCCNCc1ccc(-c2ccc(C(F)(F)F)cc2)o1 ZINC000237508067 1042378002 /nfs/dbraw/zinc/37/80/02/1042378002.db2.gz NQISMMUVOJAUCH-UHFFFAOYSA-N 0 3 315.360 4.418 20 0 DIADHN Cc1csc(CN(C)[C@@H](Cc2ccc(F)cc2)C(C)C)n1 ZINC000531777238 1042395719 /nfs/dbraw/zinc/39/57/19/1042395719.db2.gz LCJYXNWAHNPUIF-INIZCTEOSA-N 0 3 306.450 4.290 20 0 DIADHN Fc1cc(CN2CCC([C@H]3CCCO3)CC2)ccc1C(F)F ZINC000893902885 1042395853 /nfs/dbraw/zinc/39/58/53/1042395853.db2.gz ZUKCXWFOLQNAPC-MRXNPFEDSA-N 0 3 313.363 4.154 20 0 DIADHN CSc1ccc(CN2CC[C@H](COCc3ccccc3)C2)o1 ZINC000894053175 1042406012 /nfs/dbraw/zinc/40/60/12/1042406012.db2.gz IFXMWVOWPDWBTI-INIZCTEOSA-N 0 3 317.454 4.040 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2C[C@H](O)c2cccs2)o1 ZINC000894088245 1042407603 /nfs/dbraw/zinc/40/76/03/1042407603.db2.gz JKGXYCCLMAAFHN-JSGCOSHPSA-N 0 3 323.483 4.151 20 0 DIADHN Cc1csc([C@H](NC[C@@H]2CCCCC2(F)F)C2CC2)n1 ZINC000450687827 1042411614 /nfs/dbraw/zinc/41/16/14/1042411614.db2.gz BGRZQPCENSRLMK-QWHCGFSZSA-N 0 3 300.418 4.318 20 0 DIADHN CCCCOC1CCN(Cc2cnc3ccc(C)cc3c2)CC1 ZINC000411303972 1042437915 /nfs/dbraw/zinc/43/79/15/1042437915.db2.gz RMFWRRROULYKQL-UHFFFAOYSA-N 0 3 312.457 4.324 20 0 DIADHN c1ncc(CCN2CCc3cc(-c4ccccc4)oc3C2)s1 ZINC000411384503 1042443238 /nfs/dbraw/zinc/44/32/38/1042443238.db2.gz IQWDCZGDOYCBRU-UHFFFAOYSA-N 0 3 310.422 4.004 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@@H]2c2ccc(C)s2)c1C ZINC000353330028 1042459901 /nfs/dbraw/zinc/45/99/01/1042459901.db2.gz JLJSNZYIZNSTQG-MRXNPFEDSA-N 0 3 303.475 4.227 20 0 DIADHN Clc1cccc(-c2nc(CN[C@@H]3C[C@H]4C[C@H]4C3)cs2)c1 ZINC001167919367 1042460706 /nfs/dbraw/zinc/46/07/06/1042460706.db2.gz ZCKOXGYGVYZWLX-DABQJJPHSA-N 0 3 304.846 4.352 20 0 DIADHN COc1cccc(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)c1 ZINC000411981380 1042464451 /nfs/dbraw/zinc/46/44/51/1042464451.db2.gz ASWMSZMGHFZYGG-FQEVSTJZSA-N 0 3 323.436 4.049 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(Cl)cc2)o1)[C@H]1CC1(C)C ZINC000419327222 1042470199 /nfs/dbraw/zinc/47/01/99/1042470199.db2.gz ZCSRFSYWEGWCIW-KGLIPLIRSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc2n[nH]cc2c1 ZINC000513032263 1042471891 /nfs/dbraw/zinc/47/18/91/1042471891.db2.gz KCWHUWRSDATBQJ-LLVKDONJSA-N 0 3 301.340 4.351 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3ncccc3c2)cn1 ZINC000894152292 1042473460 /nfs/dbraw/zinc/47/34/60/1042473460.db2.gz IZKJEVFPOHYPFE-UHFFFAOYSA-N 0 3 305.425 4.118 20 0 DIADHN N#CC(C(=O)CCc1ccsc1)c1ccc2ccccc2n1 ZINC000047375484 1042477485 /nfs/dbraw/zinc/47/74/85/1042477485.db2.gz CHMPMAHVKZDWCY-HNNXBMFYSA-N 0 3 306.390 4.105 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc2ccccc21)C(=O)N1CCCCCC1 ZINC000216236117 1042496029 /nfs/dbraw/zinc/49/60/29/1042496029.db2.gz MBLFIOUQXFOQMX-DLBZAZTESA-N 0 3 324.468 4.282 20 0 DIADHN CC(C)[C@H](C)N(C)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000030148823 1042497323 /nfs/dbraw/zinc/49/73/23/1042497323.db2.gz TWKWLDHHEWFZDY-INIZCTEOSA-N 0 3 310.441 4.268 20 0 DIADHN CC(C)[C@@H](C)N(C)CC(=O)Nc1ccccc1Cc1ccccc1 ZINC000030148818 1042497681 /nfs/dbraw/zinc/49/76/81/1042497681.db2.gz YIPDRPSHTTZFGF-QGZVFWFLSA-N 0 3 324.468 4.192 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NCC(=O)N(C)C2CC2)C(C)C)cc1 ZINC001167937049 1042515482 /nfs/dbraw/zinc/51/54/82/1042515482.db2.gz QLMAJDIKYBSXMA-QRWLVFNGSA-N 0 3 316.489 4.108 20 0 DIADHN CCCN(CC(=O)Nc1c(C)cccc1C(C)C)CC1CC1 ZINC000052891293 1042521628 /nfs/dbraw/zinc/52/16/28/1042521628.db2.gz WXQIDEUIZKYYCV-UHFFFAOYSA-N 0 3 302.462 4.179 20 0 DIADHN CCc1nc(C)c(CNC2CC(c3ccc(Cl)cc3)C2)o1 ZINC000291903205 1042525342 /nfs/dbraw/zinc/52/53/42/1042525342.db2.gz LXKHGLFLSKWNID-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN Cc1nocc1CN1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000513342895 1042535713 /nfs/dbraw/zinc/53/57/13/1042535713.db2.gz JCWVODNNJRWWHF-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)Cc1cnccc1C ZINC000513380401 1042536793 /nfs/dbraw/zinc/53/67/93/1042536793.db2.gz HFBQDNCLETXXSI-WJDWOHSUSA-N 0 3 310.441 4.072 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000513444030 1042542107 /nfs/dbraw/zinc/54/21/07/1042542107.db2.gz QSAPUPVTHDYSEC-GOSISDBHSA-N 0 3 322.537 4.172 20 0 DIADHN CCCN(Cc1c(C)nn(C(C)(C)C)c1C)C[C@H]1CCCCO1 ZINC000513462797 1042544066 /nfs/dbraw/zinc/54/40/66/1042544066.db2.gz YEAYXLFITNGNOX-QGZVFWFLSA-N 0 3 321.509 4.036 20 0 DIADHN CC(C)c1ncc(CN2CC[C@H](c3cccc(F)c3)C2)s1 ZINC000513460815 1042544153 /nfs/dbraw/zinc/54/41/53/1042544153.db2.gz IHRXUSYXXUOTFM-AWEZNQCLSA-N 0 3 304.434 4.395 20 0 DIADHN CCCN(Cc1cnc(CC(C)C)s1)C[C@@H]1CCCCO1 ZINC000513480173 1042546665 /nfs/dbraw/zinc/54/66/65/1042546665.db2.gz XZLJPTCULWQUTR-HNNXBMFYSA-N 0 3 310.507 4.123 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3ccc(C)cn23)s1 ZINC000513506600 1042547743 /nfs/dbraw/zinc/54/77/43/1042547743.db2.gz AJHMHOUFLRAWRG-INIZCTEOSA-N 0 3 311.454 4.350 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1ccccc1Cl ZINC000513537201 1042550500 /nfs/dbraw/zinc/55/05/00/1042550500.db2.gz VOISQUOXPNAGPO-UHFFFAOYSA-N 0 3 323.868 4.070 20 0 DIADHN CC[C@H](C)[C@H](NCc1ccnn1CC)c1ccc(Cl)cc1 ZINC000513530880 1042550880 /nfs/dbraw/zinc/55/08/80/1042550880.db2.gz CPFAJTJOZKZIRO-GUYCJALGSA-N 0 3 305.853 4.433 20 0 DIADHN CCCc1ccc([C@H](N[C@@H](C)c2ccc(=O)[nH]n2)C(C)C)cc1 ZINC000513654037 1042556849 /nfs/dbraw/zinc/55/68/49/1042556849.db2.gz GWLLGURTQRHKHX-IFXJQAMLSA-N 0 3 313.445 4.183 20 0 DIADHN Cc1nc([C@@H](C)NCC2CCN(c3ccccc3)CC2)cs1 ZINC000280095507 1042563329 /nfs/dbraw/zinc/56/33/29/1042563329.db2.gz MSQVSVPGFWCLEQ-CQSZACIVSA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H](NCCSC(C)(C)C)c1ccc(Br)cn1 ZINC000280123977 1042565648 /nfs/dbraw/zinc/56/56/48/1042565648.db2.gz OLYBSHAAROJSBD-SNVBAGLBSA-N 0 3 317.296 4.026 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H]2CCCc3c2cnn3C)c2ccccc21 ZINC000280109290 1042565623 /nfs/dbraw/zinc/56/56/23/1042565623.db2.gz OTYGWCMPSVMKBZ-BHIYHBOVSA-N 0 3 309.457 4.272 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc2ccccc2c1 ZINC000280208203 1042567224 /nfs/dbraw/zinc/56/72/24/1042567224.db2.gz TZIFSJSDWPAJTN-KUHUBIRLSA-N 0 3 305.425 4.302 20 0 DIADHN CC[C@H](N[C@H]1CCCc2nn(C)cc21)c1cccc(Cl)c1 ZINC000280279928 1042570072 /nfs/dbraw/zinc/57/00/72/1042570072.db2.gz YKJXXVUJOOYLNL-HOTGVXAUSA-N 0 3 303.837 4.192 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ncc(-c3ccc(C)cc3)o2)CC1 ZINC000280482557 1042574363 /nfs/dbraw/zinc/57/43/63/1042574363.db2.gz LNFMDHAFDQLJDH-LJQANCHMSA-N 0 3 314.429 4.041 20 0 DIADHN CCc1ncc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)cn1 ZINC000414427373 1042592900 /nfs/dbraw/zinc/59/29/00/1042592900.db2.gz FJXYGXYQGPLJOA-PXNSSMCTSA-N 0 3 309.457 4.088 20 0 DIADHN Cn1cc(CN[C@@H]2CCCC[C@H]2F)c(-c2ccccc2Cl)n1 ZINC000419809316 1042593661 /nfs/dbraw/zinc/59/36/61/1042593661.db2.gz CXZLIAYSRDJOAU-HZPDHXFCSA-N 0 3 321.827 4.111 20 0 DIADHN C[C@@H](NCc1cccc(N)c1)c1cccc(OC(F)(F)F)c1 ZINC000420402736 1042599915 /nfs/dbraw/zinc/59/99/15/1042599915.db2.gz KMROGCAMKDJYMC-LLVKDONJSA-N 0 3 310.319 4.018 20 0 DIADHN c1cc2cc(-c3noc(C45CCCN4CCC5)n3)ccc2s1 ZINC000420498076 1042603782 /nfs/dbraw/zinc/60/37/82/1042603782.db2.gz BRVAAGNMSHPYTE-UHFFFAOYSA-N 0 3 311.410 4.036 20 0 DIADHN Clc1ccc(-c2noc(C34CCCN3CCC4)n2)cc1Cl ZINC000420478618 1042604041 /nfs/dbraw/zinc/60/40/41/1042604041.db2.gz XIHUDGSYPQXZKS-UHFFFAOYSA-N 0 3 324.211 4.128 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN1CC[C@H](C)[C@@H](F)C1 ZINC000643376259 1042606191 /nfs/dbraw/zinc/60/61/91/1042606191.db2.gz KJCARBMBKKSYTD-RDJZCZTQSA-N 0 3 317.452 4.195 20 0 DIADHN C[C@]1(Cc2ccc(F)cc2)CCCN1Cc1ncc(C2CC2)o1 ZINC000420927480 1042615299 /nfs/dbraw/zinc/61/52/99/1042615299.db2.gz OLZUOEVMKADWHM-LJQANCHMSA-N 0 3 314.404 4.288 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CC[C@@H](C2CCCC2)C1 ZINC000420965673 1042617778 /nfs/dbraw/zinc/61/77/78/1042617778.db2.gz SWKQDLDKHXVTGX-OAHLLOKOSA-N 0 3 313.470 4.217 20 0 DIADHN COCc1ccc(CN[C@@H](CC(F)F)c2ccccc2)cc1 ZINC000420992979 1042619840 /nfs/dbraw/zinc/61/98/40/1042619840.db2.gz LMTMTTJOMHDHDQ-KRWDZBQOSA-N 0 3 305.368 4.319 20 0 DIADHN CNC(=O)c1ccc(CN(C(C)C)[C@H](C)c2ccsc2)cc1 ZINC000421007424 1042621772 /nfs/dbraw/zinc/62/17/72/1042621772.db2.gz LJPUTVRXOBGAET-CQSZACIVSA-N 0 3 316.470 4.079 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CCO2)c1ccccc1OC ZINC000319667993 1042624031 /nfs/dbraw/zinc/62/40/31/1042624031.db2.gz CNBGOUOSIXAUSK-KBXCAEBGSA-N 0 3 311.425 4.432 20 0 DIADHN c1cc(CNCc2ccnc(OC3CCC3)c2)cc(C2CC2)c1 ZINC000421343774 1042631001 /nfs/dbraw/zinc/63/10/01/1042631001.db2.gz QUAUWUGBXCBCOZ-UHFFFAOYSA-N 0 3 308.425 4.180 20 0 DIADHN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1cccc(C2CC2)c1 ZINC000421360017 1042632713 /nfs/dbraw/zinc/63/27/13/1042632713.db2.gz YGLWCTIZLOJGFF-UXHICEINSA-N 0 3 319.452 4.118 20 0 DIADHN Cc1cc(CNCc2cccc(OC3CCCC3)c2)cc(C)n1 ZINC000421383184 1042635061 /nfs/dbraw/zinc/63/50/61/1042635061.db2.gz NSULVVQSQGKUGI-UHFFFAOYSA-N 0 3 310.441 4.310 20 0 DIADHN Cc1cc(CNCc2ccc(C)c(Br)c2)cc(C)n1 ZINC000421387315 1042635375 /nfs/dbraw/zinc/63/53/75/1042635375.db2.gz SLDPJVMVTNBUPD-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)s1)[C@H]1CCOC1 ZINC000513750325 1042641131 /nfs/dbraw/zinc/64/11/31/1042641131.db2.gz GMYPUOZXOFUORY-LBPRGKRZSA-N 0 3 307.846 4.289 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cccs2)c(C)c1OC ZINC000513753508 1042646402 /nfs/dbraw/zinc/64/64/02/1042646402.db2.gz CXNZQYYKZNMBHC-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1ccncc1Cl ZINC000421859797 1042658189 /nfs/dbraw/zinc/65/81/89/1042658189.db2.gz TWBDKQSMQAAIDV-WHOFXGATSA-N 0 3 304.796 4.471 20 0 DIADHN COc1ccc([C@@H](NC[C@H](C)C(F)(F)F)C2CC2)c(F)c1 ZINC000421843914 1042659685 /nfs/dbraw/zinc/65/96/85/1042659685.db2.gz RWDMNCZJBADDOP-XPTSAGLGSA-N 0 3 305.315 4.073 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(OC(F)F)c2)cc(C)n1 ZINC000421889139 1042660954 /nfs/dbraw/zinc/66/09/54/1042660954.db2.gz UGQXPRJXIZAYTD-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(C(C)(C)C)cc1 ZINC000031232895 1042666347 /nfs/dbraw/zinc/66/63/47/1042666347.db2.gz WEMTZRIJOGCQLU-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN Cc1n[nH]cc1CN[C@H]1CCCc2cc(SC(F)F)ccc21 ZINC000271753331 1042668018 /nfs/dbraw/zinc/66/80/18/1042668018.db2.gz YGDBKQDFMXCEDZ-HNNXBMFYSA-N 0 3 323.412 4.200 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1ccc(Cl)nc1 ZINC000271863481 1042671046 /nfs/dbraw/zinc/67/10/46/1042671046.db2.gz KZCCEHQGTFDVBN-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@H](C)c1ccc2c(c1)CCO2 ZINC000319687647 1042679564 /nfs/dbraw/zinc/67/95/64/1042679564.db2.gz BFUBUBGSWFBNSX-HUUCEWRRSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1csc([C@@H](C)NCCc2ccccc2C(F)(F)F)n1 ZINC000623870989 1042691503 /nfs/dbraw/zinc/69/15/03/1042691503.db2.gz RIULZXWLUKBWFQ-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)Nc1ccc2oc(C3CC3)nc2c1 ZINC000422918107 1042693117 /nfs/dbraw/zinc/69/31/17/1042693117.db2.gz GOGXFOVACIZZTH-NSHDSACASA-N 0 3 322.412 4.040 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCCc3cc(N)ccc32)cnn1C(C)C ZINC000423337313 1042706698 /nfs/dbraw/zinc/70/66/98/1042706698.db2.gz ANLYELFTWUGOCA-YJYMSZOUSA-N 0 3 312.461 4.083 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1cccc(F)c1F ZINC000423338887 1042706947 /nfs/dbraw/zinc/70/69/47/1042706947.db2.gz AZYOSDMEMHREKE-DIFFPNOSSA-N 0 3 302.368 4.275 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000423352103 1042707537 /nfs/dbraw/zinc/70/75/37/1042707537.db2.gz JRCHUHBFDYAUTE-OALUTQOASA-N 0 3 324.472 4.281 20 0 DIADHN CC(C)C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccccn1 ZINC000423351828 1042707644 /nfs/dbraw/zinc/70/76/44/1042707644.db2.gz JAFPIPAMGVAFBI-UYAOXDASSA-N 0 3 309.457 4.418 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1cnn(C2CCCC2)c1 ZINC000423352906 1042707660 /nfs/dbraw/zinc/70/76/60/1042707660.db2.gz APCOPGQRTUIWIS-XOBRGWDASA-N 0 3 324.472 4.309 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1cccc2c1CCCN2 ZINC000423409196 1042711206 /nfs/dbraw/zinc/71/12/06/1042711206.db2.gz BJOCDVGHGDPODE-OAHLLOKOSA-N 0 3 310.441 4.294 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)Oc2ccc(N)cc21)c1cccs1 ZINC000423415275 1042711438 /nfs/dbraw/zinc/71/14/38/1042711438.db2.gz ALRAXKCSBIULKI-SMDDNHRTSA-N 0 3 302.443 4.283 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2cccc3c2CCCN3)C1 ZINC000423414959 1042711473 /nfs/dbraw/zinc/71/14/73/1042711473.db2.gz OHSFXRBBZATQBZ-GOSISDBHSA-N 0 3 310.441 4.441 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1cccc2c1CCCN2 ZINC000423417382 1042712530 /nfs/dbraw/zinc/71/25/30/1042712530.db2.gz SGHDYBUDBAMBIB-FQEVSTJZSA-N 0 3 322.452 4.357 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1cc(C)cc(N)c1 ZINC000423475633 1042714886 /nfs/dbraw/zinc/71/48/86/1042714886.db2.gz JEHPBZXDCSFUNH-LBPRGKRZSA-N 0 3 303.475 4.316 20 0 DIADHN CC(C)Cc1ccc([C@H](C)C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000423706228 1042720077 /nfs/dbraw/zinc/72/00/77/1042720077.db2.gz QKXKNYNCXBVIBN-HNNXBMFYSA-N 0 3 322.452 4.231 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)c1ccc2c(c1)CNC2 ZINC000423765129 1042721322 /nfs/dbraw/zinc/72/13/22/1042721322.db2.gz YPWSSRMPGKPJHC-FQEVSTJZSA-N 0 3 322.452 4.068 20 0 DIADHN COCCCC[C@@H](NCc1cncn1C(C)C)c1ccccc1 ZINC000424186296 1042728539 /nfs/dbraw/zinc/72/85/39/1042728539.db2.gz GRKSKARRGJAPTP-LJQANCHMSA-N 0 3 315.461 4.112 20 0 DIADHN C(N[C@@H](C1CCC1)C1CCCCC1)c1nnc(C2CC2)s1 ZINC000424335128 1042734614 /nfs/dbraw/zinc/73/46/14/1042734614.db2.gz OOLJGZWBTHHLBA-MRXNPFEDSA-N 0 3 305.491 4.254 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCC(C)(C)C(=O)OC(C)(C)C ZINC000424329735 1042734791 /nfs/dbraw/zinc/73/47/91/1042734791.db2.gz RCJXLDRVUHAHEN-CQSZACIVSA-N 0 3 320.477 4.053 20 0 DIADHN F[C@@H]1CCCC[C@H]1N[C@@H](Cc1ccncc1)c1cccs1 ZINC000424339817 1042737488 /nfs/dbraw/zinc/73/74/88/1042737488.db2.gz WQQFJTZRVSBIEU-OAGGEKHMSA-N 0 3 304.434 4.297 20 0 DIADHN COc1ccc([C@@H](C)NCC2(C(F)(F)F)CC2)c(Cl)c1 ZINC000424342474 1042738177 /nfs/dbraw/zinc/73/81/77/1042738177.db2.gz DDFHCZCLIPEXGN-SECBINFHSA-N 0 3 307.743 4.342 20 0 DIADHN Cc1cccc2c(C(=O)Nc3cc(CN(C)C)ccc3C)coc21 ZINC000424704182 1042750061 /nfs/dbraw/zinc/75/00/61/1042750061.db2.gz LQAYFGNAKCTKDZ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cn2ccccc2n1 ZINC000513816416 1042757749 /nfs/dbraw/zinc/75/77/49/1042757749.db2.gz NJYVUORGCVPJAX-UHFFFAOYSA-N 0 3 315.420 4.162 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@@H]2NCCOCC1CC1 ZINC000513832896 1042758378 /nfs/dbraw/zinc/75/83/78/1042758378.db2.gz RPXWQQKFPLSVKU-SFHVURJKSA-N 0 3 315.457 4.229 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3c2cccc3F)cc1F ZINC000513865377 1042759000 /nfs/dbraw/zinc/75/90/00/1042759000.db2.gz FIYKGNPHTCKJQU-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN CO[C@H](CN1CCc2sccc2C1)c1ccc(Cl)cc1 ZINC000513881467 1042760017 /nfs/dbraw/zinc/76/00/17/1042760017.db2.gz PWOZQTXGHLLFBD-OAHLLOKOSA-N 0 3 307.846 4.147 20 0 DIADHN CO[C@@H](CN1CCC(C(F)F)CC1)c1ccc(Cl)cc1 ZINC000514077603 1042769499 /nfs/dbraw/zinc/76/94/99/1042769499.db2.gz VRXAZYQAVZAHPI-AWEZNQCLSA-N 0 3 303.780 4.005 20 0 DIADHN COC[C@@H](C)NCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000514230611 1042776343 /nfs/dbraw/zinc/77/63/43/1042776343.db2.gz KZHJOLPHIWSCMN-LLVKDONJSA-N 0 3 313.319 4.090 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(Cl)nc2)C1(C)C ZINC001167958417 1042789680 /nfs/dbraw/zinc/78/96/80/1042789680.db2.gz IXKUWPQFBSGBMQ-HUUCEWRRSA-N 0 3 310.869 4.151 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000514963126 1042802146 /nfs/dbraw/zinc/80/21/46/1042802146.db2.gz UMTUXWOZPZFSTK-JKSUJKDBSA-N 0 3 312.457 4.041 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H](Nc1ccccc1)C(C)C ZINC000924993903 1042802720 /nfs/dbraw/zinc/80/27/20/1042802720.db2.gz MVZOZCYMPBJTGK-CXAGYDPISA-N 0 3 301.434 4.079 20 0 DIADHN COc1ccc(CN[C@H](C)c2cnn(C(C)(C)C)c2C)c(C)c1 ZINC000515038341 1042806835 /nfs/dbraw/zinc/80/68/35/1042806835.db2.gz BQXCQSJFDMXHLB-CQSZACIVSA-N 0 3 315.461 4.114 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2c(F)cccc2N2CCCC2)CS1 ZINC000515417180 1042819161 /nfs/dbraw/zinc/81/91/61/1042819161.db2.gz IBXDQARJXMFCHN-KBXCAEBGSA-N 0 3 322.493 4.046 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2C2CCCCC2)c(F)c1 ZINC000515502653 1042824113 /nfs/dbraw/zinc/82/41/13/1042824113.db2.gz GNRUBLGAOKMTIB-GOSISDBHSA-N 0 3 318.436 4.117 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3cccs3)no2)[C@@H]2CCCC[C@@H]12 ZINC000515548452 1042825265 /nfs/dbraw/zinc/82/52/65/1042825265.db2.gz WBTVORLJGDRLRQ-HZSPNIEDSA-N 0 3 317.458 4.199 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3cccs3)no2)[C@H]2CCCC[C@@H]12 ZINC000515548455 1042825469 /nfs/dbraw/zinc/82/54/69/1042825469.db2.gz WBTVORLJGDRLRQ-RDBSUJKOSA-N 0 3 317.458 4.199 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)[C@H](C)c1ccc(C)cc1 ZINC000515544229 1042825549 /nfs/dbraw/zinc/82/55/49/1042825549.db2.gz PJVWUBDSAOJISO-MRXNPFEDSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](C)[C@@H]3CCCC[C@@H]32)c1C ZINC000515547985 1042825605 /nfs/dbraw/zinc/82/56/05/1042825605.db2.gz BQPMMWDQVFTRJC-IEZWGBDMSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3cccs3)no2)[C@H]2CCCC[C@H]12 ZINC000515548453 1042825810 /nfs/dbraw/zinc/82/58/10/1042825810.db2.gz WBTVORLJGDRLRQ-MCIONIFRSA-N 0 3 317.458 4.199 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3ccccc3)o2)[C@H]2CCCC[C@@H]12 ZINC000515548356 1042825832 /nfs/dbraw/zinc/82/58/32/1042825832.db2.gz RMZRMRJJJKRXMJ-PVAVHDDUSA-N 0 3 311.429 4.137 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)N[C@@H](C)c2ccc(Cl)cc2)C1 ZINC000515542365 1042826351 /nfs/dbraw/zinc/82/63/51/1042826351.db2.gz WJECLNJUWCLYMM-RRFJBIMHSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)N[C@H](C)c2ccc(Cl)cc2)C1 ZINC000515542363 1042826397 /nfs/dbraw/zinc/82/63/97/1042826397.db2.gz WJECLNJUWCLYMM-QLFBSQMISA-N 0 3 322.880 4.028 20 0 DIADHN CN(C)c1ccccc1CN(C)Cc1ccc(F)c2cccnc12 ZINC000515703914 1042832618 /nfs/dbraw/zinc/83/26/18/1042832618.db2.gz FEFFRXIRFTUGHB-UHFFFAOYSA-N 0 3 323.415 4.072 20 0 DIADHN Cc1ccccc1C[C@@H](C)N(C)Cc1cnc(C2CC2)s1 ZINC000515765924 1042834252 /nfs/dbraw/zinc/83/42/52/1042834252.db2.gz UJHNUDXEBCDTON-CQSZACIVSA-N 0 3 300.471 4.392 20 0 DIADHN CC(C)c1ccc(CCC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000515790079 1042835721 /nfs/dbraw/zinc/83/57/21/1042835721.db2.gz BUYANSASMWXPTA-UHFFFAOYSA-N 0 3 324.468 4.443 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CCC[C@H](F)C2)c2cccnc2)ccc1F ZINC000516926760 1042860386 /nfs/dbraw/zinc/86/03/86/1042860386.db2.gz KEXBXFOBHKTLPO-SCTDSRPQSA-N 0 3 316.395 4.489 20 0 DIADHN CCc1ccccc1NC(=O)CN([C@@H](C)[C@@H](C)CC)C1CC1 ZINC000517121342 1042869030 /nfs/dbraw/zinc/86/90/30/1042869030.db2.gz SJFHNMNDLVXIRU-GJZGRUSLSA-N 0 3 302.462 4.087 20 0 DIADHN O[C@H](CNCc1ccc(Cl)cc1Cl)C1CCCCC1 ZINC000517551295 1042885739 /nfs/dbraw/zinc/88/57/39/1042885739.db2.gz CDVAPWXXXKIBNK-OAHLLOKOSA-N 0 3 302.245 4.024 20 0 DIADHN CN(Cc1cnc([C@@H]2CCCO2)s1)C1CCC(F)(F)CC1 ZINC000517202935 1042871762 /nfs/dbraw/zinc/87/17/62/1042871762.db2.gz FFCKDCWGHMNHRM-ZDUSSCGKSA-N 0 3 316.417 4.004 20 0 DIADHN CCC[C@]1(CO)CCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000517263548 1042874128 /nfs/dbraw/zinc/87/41/28/1042874128.db2.gz PICAURPWCSPBNQ-KRWDZBQOSA-N 0 3 307.821 4.071 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCO[C@H]2CCCC[C@H]2C)s1 ZINC000517381155 1042877959 /nfs/dbraw/zinc/87/79/59/1042877959.db2.gz WHDPULHUGGNPLV-INWMFGNUSA-N 0 3 310.507 4.348 20 0 DIADHN FC(F)(F)Oc1ccccc1CNC/C=C/c1ccccc1 ZINC000517542940 1042884709 /nfs/dbraw/zinc/88/47/09/1042884709.db2.gz JZDPYJQRHUHBSV-RMKNXTFCSA-N 0 3 307.315 4.388 20 0 DIADHN COc1ccc(CCCNCc2c(F)cccc2Cl)cc1 ZINC000517533870 1042884778 /nfs/dbraw/zinc/88/47/78/1042884778.db2.gz CKGWQNPXHOQXSQ-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN CC(C)=CCC[C@@H](C)CCNCc1nncn1-c1ccccc1 ZINC000517610450 1042888031 /nfs/dbraw/zinc/88/80/31/1042888031.db2.gz BRUIKVRIZWRVQP-QGZVFWFLSA-N 0 3 312.461 4.130 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](c1ccccc1)C(C)(C)CO ZINC000517625789 1042888815 /nfs/dbraw/zinc/88/88/15/1042888815.db2.gz MZBOYHLSRYYMSW-SFHVURJKSA-N 0 3 317.860 4.498 20 0 DIADHN COc1ccc2cc(CN3CCC(F)(F)[C@H](C)C3)ccc2c1 ZINC000517789955 1042897640 /nfs/dbraw/zinc/89/76/40/1042897640.db2.gz MMRLUSYVVAPBKI-CYBMUJFWSA-N 0 3 305.368 4.326 20 0 DIADHN Cc1cccc([C@@H](NCc2cnn(CC(F)F)c2)C(C)(C)C)c1 ZINC000292991720 1042898096 /nfs/dbraw/zinc/89/80/96/1042898096.db2.gz MZYGLIFZKDVMGW-QGZVFWFLSA-N 0 3 321.415 4.334 20 0 DIADHN COc1ccc(CN(Cc2cccc(F)c2)C(C)C)cc1F ZINC000517853049 1042900860 /nfs/dbraw/zinc/90/08/60/1042900860.db2.gz APVSUPZFMADMLS-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN CSCc1cnc(CN[C@@H](C)Cc2ccc(C)s2)s1 ZINC000293682985 1042903741 /nfs/dbraw/zinc/90/37/41/1042903741.db2.gz VSZMYWRZMIOMLP-JTQLQIEISA-N 0 3 312.529 4.097 20 0 DIADHN Cc1ccc(NC(=O)CCCc2ccsc2)c(CN(C)C)c1 ZINC000783421468 1042907802 /nfs/dbraw/zinc/90/78/02/1042907802.db2.gz VIUPQICIUHVCPS-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN CCCCCC(C)(C)C[NH2+][C@@H](c1cccs1)c1nnc[n-]1 ZINC000294689615 1042909408 /nfs/dbraw/zinc/90/94/08/1042909408.db2.gz IMMFFHKNBKLPPN-AWEZNQCLSA-N 0 3 306.479 4.152 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2CCCc3c(Cl)cccc32)cn1 ZINC000296101297 1042916655 /nfs/dbraw/zinc/91/66/55/1042916655.db2.gz YUPAWMGMGHQNCW-SJCJKPOMSA-N 0 3 303.837 4.285 20 0 DIADHN C[C@H](NC[C@H]1CCCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000296078121 1042916692 /nfs/dbraw/zinc/91/66/92/1042916692.db2.gz SKVSNXHIIGDZFJ-DOTOQJQBSA-N 0 3 312.413 4.094 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]43)cs2)cc1 ZINC000426460413 1042922905 /nfs/dbraw/zinc/92/29/05/1042922905.db2.gz OQOWOPTZXIMGAC-LRDDRELGSA-N 0 3 302.418 4.324 20 0 DIADHN O=C(CN1CC[C@@H]2CCC[C@@H]21)Nc1ccccc1-c1ccccc1 ZINC000426476069 1042924818 /nfs/dbraw/zinc/92/48/18/1042924818.db2.gz PAZIODCTNXSLBR-PXNSSMCTSA-N 0 3 320.436 4.167 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)C/C=C\c1ccncc1 ZINC000426508795 1042928512 /nfs/dbraw/zinc/92/85/12/1042928512.db2.gz JRVFFOXNBNYHJT-DDKKJVIOSA-N 0 3 316.832 4.068 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]2CCCC[C@H]2C1)c1nnc2n1CCCCC2 ZINC000319889742 1042931295 /nfs/dbraw/zinc/93/12/95/1042931295.db2.gz YBOPIZTYKLRCIN-LUKYLMHMSA-N 0 3 316.493 4.014 20 0 DIADHN CN(C(=O)CN1CCCCC[C@H]1c1ccccc1)c1ccccc1 ZINC000519219751 1042938610 /nfs/dbraw/zinc/93/86/10/1042938610.db2.gz MLQHFNQDZWKAPT-FQEVSTJZSA-N 0 3 322.452 4.267 20 0 DIADHN Cc1cc([C@H](NC[C@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000519484487 1042951647 /nfs/dbraw/zinc/95/16/47/1042951647.db2.gz MTQZRNNRTZTVTK-MGPLVRAMSA-N 0 3 309.862 4.078 20 0 DIADHN CSCc1ccc(CNCc2c(C)nn(C(C)C)c2C)cc1 ZINC000894426561 1042957626 /nfs/dbraw/zinc/95/76/26/1042957626.db2.gz SFLGAFMIJYSFTG-UHFFFAOYSA-N 0 3 317.502 4.234 20 0 DIADHN Fc1cccnc1CN[C@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000519527650 1042958272 /nfs/dbraw/zinc/95/82/72/1042958272.db2.gz KPKDXFZURSCBIH-ZFWWWQNUSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1noc2ncc(CN[C@@H](C)c3ccc4c(c3)CCCC4)cc12 ZINC000894467005 1042960769 /nfs/dbraw/zinc/96/07/69/1042960769.db2.gz SSAWSCCNHVFSNU-ZDUSSCGKSA-N 0 3 321.424 4.261 20 0 DIADHN Cc1noc2ncc(CN[C@H](C)c3ccc4c(c3)CCCC4)cc12 ZINC000894468369 1042961142 /nfs/dbraw/zinc/96/11/42/1042961142.db2.gz SSAWSCCNHVFSNU-CYBMUJFWSA-N 0 3 321.424 4.261 20 0 DIADHN CO[C@@H](CN1CC(C)(C)[C@H]1c1cccnc1)C1CCCCC1 ZINC000664819690 1042962152 /nfs/dbraw/zinc/96/21/52/1042962152.db2.gz MTSMRVLUTIPVAQ-ZWKOTPCHSA-N 0 3 302.462 4.060 20 0 DIADHN CCOc1ccccc1OC1CCN(Cc2ccccc2)CC1 ZINC000064351452 1042963583 /nfs/dbraw/zinc/96/35/83/1042963583.db2.gz LINXZSUTYOROHG-UHFFFAOYSA-N 0 3 311.425 4.129 20 0 DIADHN CCn1cc(CN[C@@H](C)c2ccc(F)c3ccccc32)c(C)n1 ZINC000894520982 1042964220 /nfs/dbraw/zinc/96/42/20/1042964220.db2.gz RQNINALPIDSZOO-AWEZNQCLSA-N 0 3 311.404 4.355 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2cccc3ccccc32)c1 ZINC000008268856 1042964497 /nfs/dbraw/zinc/96/44/97/1042964497.db2.gz WNPCXZMJSDEWOC-MRXNPFEDSA-N 0 3 318.420 4.438 20 0 DIADHN C[C@H](NCC(=O)Nc1ccc(F)cc1)c1cccc2ccccc21 ZINC000008269058 1042964631 /nfs/dbraw/zinc/96/46/31/1042964631.db2.gz SCQJTJSRXIMRAO-AWEZNQCLSA-N 0 3 322.383 4.268 20 0 DIADHN C[C@@H](CCN1CC(C)(C)[C@@H]1c1ccncc1)OCc1ccccc1 ZINC000664827962 1042966770 /nfs/dbraw/zinc/96/67/70/1042966770.db2.gz AQKAKYWTSDRVMB-PXNSSMCTSA-N 0 3 324.468 4.460 20 0 DIADHN Cc1noc2ncc(CNCc3ccc(C4CCC4)cc3)cc12 ZINC000894557941 1042967421 /nfs/dbraw/zinc/96/74/21/1042967421.db2.gz CQWVTFOLZIXWCM-UHFFFAOYSA-N 0 3 307.397 4.089 20 0 DIADHN CO[C@H](CN1CC(C)(C)[C@@H]1c1ccncc1)C1CCCCC1 ZINC000664831013 1042968279 /nfs/dbraw/zinc/96/82/79/1042968279.db2.gz ZHAGOFKDCIGUDT-MSOLQXFVSA-N 0 3 302.462 4.060 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(C(F)F)c(F)c2)CC1 ZINC000894579284 1042968789 /nfs/dbraw/zinc/96/87/89/1042968789.db2.gz BQJDMCDXULBYSK-UHFFFAOYSA-N 0 3 301.352 4.154 20 0 DIADHN C[C@H](NC[C@H]1CCCCO1)c1cc(F)c(Cl)cc1Cl ZINC000049855539 1042974224 /nfs/dbraw/zinc/97/42/24/1042974224.db2.gz QCELHCFRDNQXTG-VHSXEESVSA-N 0 3 306.208 4.352 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCO1)c1cc(F)c(Cl)cc1Cl ZINC000049855537 1042974345 /nfs/dbraw/zinc/97/43/45/1042974345.db2.gz QCELHCFRDNQXTG-ZJUUUORDSA-N 0 3 306.208 4.352 20 0 DIADHN OCC[C@H]1CCCCN1Cc1sc2ccccc2c1Cl ZINC000050104980 1042974449 /nfs/dbraw/zinc/97/44/49/1042974449.db2.gz NMMSKVFUHQIVMT-GFCCVEGCSA-N 0 3 309.862 4.292 20 0 DIADHN C[C@@H](NCC(Cc1ccccc1)Cc1ccccc1)c1ccon1 ZINC000519570759 1042974728 /nfs/dbraw/zinc/97/47/28/1042974728.db2.gz CFAAZTLBEMKYHR-QGZVFWFLSA-N 0 3 320.436 4.427 20 0 DIADHN Cc1[nH]nc(CN2CCC([C@@H](F)c3ccccc3F)CC2)c1C ZINC000894739613 1042975964 /nfs/dbraw/zinc/97/59/64/1042975964.db2.gz JWGAYKGLRFBJRI-GOSISDBHSA-N 0 3 319.399 4.088 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCc1cc2c(cccc2O)s1 ZINC000894755716 1042975971 /nfs/dbraw/zinc/97/59/71/1042975971.db2.gz BJTWLWZUJVWDTP-UHFFFAOYSA-N 0 3 316.426 4.237 20 0 DIADHN C[C@@H]1CC[C@@H](CN(Cc2ccc(F)cc2F)C2CCCC2)O1 ZINC001167984323 1042979980 /nfs/dbraw/zinc/97/99/80/1042979980.db2.gz QYDYDXCUUHLSAF-DYVFJYSZSA-N 0 3 309.400 4.277 20 0 DIADHN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1cc(F)cc(F)c1 ZINC000519611064 1042986508 /nfs/dbraw/zinc/98/65/08/1042986508.db2.gz KQKQDTQBBBVAAO-APPDUMDISA-N 0 3 323.408 4.165 20 0 DIADHN Cc1noc2ncc(CN[C@H](C)c3cccc(C4CC4)c3)cc12 ZINC000894867890 1042994131 /nfs/dbraw/zinc/99/41/31/1042994131.db2.gz BWQQCPMFLCIDTF-GFCCVEGCSA-N 0 3 307.397 4.259 20 0 DIADHN Cc1cnn([C@H]2CCN(Cc3ccc(-c4ccc(C)cc4)o3)C2)c1 ZINC000519727729 1043000033 /nfs/dbraw/zinc/00/00/33/1043000033.db2.gz CGVGNFXLUYSHMH-SFHVURJKSA-N 0 3 321.424 4.207 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)cc1C ZINC000519724529 1043000206 /nfs/dbraw/zinc/00/02/06/1043000206.db2.gz PGQJLSJHFGWJPM-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN OCCC1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000188046476 1043000645 /nfs/dbraw/zinc/00/06/45/1043000645.db2.gz NUSUBSVYSAOFEY-SNVBAGLBSA-N 0 3 320.285 4.185 20 0 DIADHN CO[C@H]1C[C@@H](N(C)Cc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC000519815353 1043003420 /nfs/dbraw/zinc/00/34/20/1043003420.db2.gz IPHYFERANDMATR-OKILXGFUSA-N 0 3 322.861 4.073 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cnc(-c2ccco2)s1 ZINC000519798210 1043004054 /nfs/dbraw/zinc/00/40/54/1043004054.db2.gz LAOXLPKDNOXMMD-MRXNPFEDSA-N 0 3 310.422 4.489 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2ccc(OCC3CC3)cc2)nc1 ZINC000519856177 1043005943 /nfs/dbraw/zinc/00/59/43/1043005943.db2.gz LZJWTLGLURVKPG-FQEVSTJZSA-N 0 3 308.425 4.208 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc(C(=O)OC)cc1 ZINC000519907953 1043007760 /nfs/dbraw/zinc/00/77/60/1043007760.db2.gz WBFZKKJAQLAKFP-UHFFFAOYSA-N 0 3 317.816 4.149 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1nccc2ccccc21 ZINC000894887633 1043009434 /nfs/dbraw/zinc/00/94/34/1043009434.db2.gz HSYBNOXKOFGFGD-OCCSQVGLSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1nccc2ccccc21 ZINC000894887631 1043009601 /nfs/dbraw/zinc/00/96/01/1043009601.db2.gz HSYBNOXKOFGFGD-GXTWGEPZSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H](c1nc(-c2ccc(C(F)F)nc2)no1)N1[C@H](C)CC[C@@H]1C ZINC000664957098 1043019349 /nfs/dbraw/zinc/01/93/49/1043019349.db2.gz KUTUZLDGKNLLON-AXFHLTTASA-N 0 3 322.359 4.003 20 0 DIADHN CCCN(CC(=O)N1CCCCCC1)[C@@H](CC)c1ccccc1 ZINC000520171793 1043020326 /nfs/dbraw/zinc/02/03/26/1043020326.db2.gz DYMLQKOKMKEBNE-IBGZPJMESA-N 0 3 316.489 4.252 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ccc(C(=O)OC)cc1 ZINC000520174020 1043021596 /nfs/dbraw/zinc/02/15/96/1043021596.db2.gz OGATYLASUHZVFQ-UHFFFAOYSA-N 0 3 315.388 4.025 20 0 DIADHN CCc1ccc([C@H](N[C@H](C)CSC)c2cccnc2)cc1 ZINC000925097554 1043022587 /nfs/dbraw/zinc/02/25/87/1043022587.db2.gz SGPHHVBTFPOYLE-KDOFPFPSSA-N 0 3 300.471 4.075 20 0 DIADHN CC1CCC(N(C)Cc2ccn(-c3ccc(F)cc3)n2)CC1 ZINC000441162004 1043024389 /nfs/dbraw/zinc/02/43/89/1043024389.db2.gz AVGGVBVHLDEQOJ-UHFFFAOYSA-N 0 3 301.409 4.022 20 0 DIADHN CCOc1ccccc1OCCN1CCC[C@@H]1c1ccsc1 ZINC000441187999 1043026199 /nfs/dbraw/zinc/02/61/99/1043026199.db2.gz IXPXGPGSJDRORW-MRXNPFEDSA-N 0 3 317.454 4.363 20 0 DIADHN c1ccc(C2(NCc3ccc(OC[C@H]4CCCO4)cc3)CC2)cc1 ZINC000520248944 1043028490 /nfs/dbraw/zinc/02/84/90/1043028490.db2.gz NARRSSZXRQGCRG-HXUWFJFHSA-N 0 3 323.436 4.023 20 0 DIADHN COc1cccc(CN(C)C/C=C\c2ccc(F)c(F)c2)c1 ZINC000520288249 1043031848 /nfs/dbraw/zinc/03/18/48/1043031848.db2.gz IJNUPQPIXQUFRS-XQRVVYSFSA-N 0 3 303.352 4.119 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc(Cl)cc2)ccc1F ZINC000112545772 1043048080 /nfs/dbraw/zinc/04/80/80/1043048080.db2.gz UDEXKGNBBJHPEN-GFCCVEGCSA-N 0 3 307.796 4.476 20 0 DIADHN Cc1nsc(C)c1CN[C@H]1CCO[C@@H]1c1ccc(Cl)cc1 ZINC000647972227 1043048328 /nfs/dbraw/zinc/04/83/28/1043048328.db2.gz QUZZDKADLCWBKI-JKSUJKDBSA-N 0 3 322.861 4.033 20 0 DIADHN Cc1cc(C)c(CNCc2cc3ccnc(Cl)c3s2)cn1 ZINC000894939712 1043049007 /nfs/dbraw/zinc/04/90/07/1043049007.db2.gz FEYSVZJGJPVWNB-UHFFFAOYSA-N 0 3 317.845 4.251 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000794805365 1043049594 /nfs/dbraw/zinc/04/95/94/1043049594.db2.gz XBDPQDJFDUDNOP-ZWKOTPCHSA-N 0 3 323.358 4.124 20 0 DIADHN C[C@@H](NCCc1nc(C(F)(F)F)cs1)c1ccccc1F ZINC000174341634 1043063918 /nfs/dbraw/zinc/06/39/18/1043063918.db2.gz GGNXKHSIVTVLAJ-SECBINFHSA-N 0 3 318.339 4.194 20 0 DIADHN COc1cc2ccccc2cc1N(C(=N)N)c1ccc(F)cc1 ZINC001167994060 1043064706 /nfs/dbraw/zinc/06/47/06/1043064706.db2.gz BUOQYXORPSJEFK-UHFFFAOYSA-N 0 3 309.344 4.019 20 0 DIADHN CC(C)N(Cc1ccc2oc(=O)oc2c1)[C@@H](C)c1ccsc1 ZINC000895034840 1043066737 /nfs/dbraw/zinc/06/67/37/1043066737.db2.gz WOJGZXMKBHCEIX-LBPRGKRZSA-N 0 3 317.410 4.419 20 0 DIADHN Cc1nccnc1[C@H](C)NCC(C)(C)c1cccc(Cl)c1F ZINC000188479915 1043085838 /nfs/dbraw/zinc/08/58/38/1043085838.db2.gz FLADZASYQXEPQD-LBPRGKRZSA-N 0 3 321.827 4.206 20 0 DIADHN FC(F)(F)COc1ccccc1CN1CCC[C@H]2CCC[C@H]21 ZINC000441431964 1043086434 /nfs/dbraw/zinc/08/64/34/1043086434.db2.gz DXEAJUWOJQEDIP-UKRRQHHQSA-N 0 3 313.363 4.392 20 0 DIADHN COCc1cccc(CNC(C)(C)c2ccc(F)c(F)c2)c1 ZINC000175988226 1043091969 /nfs/dbraw/zinc/09/19/69/1043091969.db2.gz QMYOIKCOBZXAQO-UHFFFAOYSA-N 0 3 305.368 4.136 20 0 DIADHN C[C@H](O)C[C@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000320040106 1043092498 /nfs/dbraw/zinc/09/24/98/1043092498.db2.gz UHZGLDFASHZQBL-XJKSGUPXSA-N 0 3 318.848 4.014 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@@H](CCO)c2cccs2)c1 ZINC000865473297 1043103235 /nfs/dbraw/zinc/10/32/35/1043103235.db2.gz MFMDYZOIAXCCDB-INIZCTEOSA-N 0 3 319.470 4.139 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cnc2c(F)cccc2c1 ZINC000865492290 1043105236 /nfs/dbraw/zinc/10/52/36/1043105236.db2.gz QSYJJHJVDOBUBC-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2nc(C(C)(C)C)cs2)CCO1 ZINC000865521799 1043108540 /nfs/dbraw/zinc/10/85/40/1043108540.db2.gz HAPYBDWLMOZWRO-KGLIPLIRSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2nc(C(C)(C)C)cs2)CCO1 ZINC000865521797 1043108740 /nfs/dbraw/zinc/10/87/40/1043108740.db2.gz HAPYBDWLMOZWRO-KBPBESRZSA-N 0 3 310.507 4.124 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cnc2c(F)cccc2c1 ZINC000865527890 1043109588 /nfs/dbraw/zinc/10/95/88/1043109588.db2.gz DKCOVSBMKGOIOE-LBPRGKRZSA-N 0 3 300.299 4.195 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(N2CCOC2=O)cc1)c1ccsc1 ZINC000177756781 1043113848 /nfs/dbraw/zinc/11/38/48/1043113848.db2.gz JERRQGCRGLLAKZ-QWHCGFSZSA-N 0 3 316.426 4.117 20 0 DIADHN CC(C)(C)c1csc(CNC2CCC(OC(F)F)CC2)n1 ZINC000865593079 1043116964 /nfs/dbraw/zinc/11/69/64/1043116964.db2.gz HOGLRNDWGNGGTL-UHFFFAOYSA-N 0 3 318.433 4.081 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2cccnc2-n2cccn2)cc1C ZINC000177908079 1043116852 /nfs/dbraw/zinc/11/68/52/1043116852.db2.gz UGFYAHIYOQWCRO-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2CC23CCSCC3)n1 ZINC000865605784 1043117322 /nfs/dbraw/zinc/11/73/22/1043117322.db2.gz HBMDLBAPVOZOPX-LBPRGKRZSA-N 0 3 310.532 4.064 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@@H](C)CCC[C@@H]2C)c(C)c1C ZINC000441440658 1043117639 /nfs/dbraw/zinc/11/76/39/1043117639.db2.gz BEQRLVUXXREDRR-RYUDHWBXSA-N 0 3 308.491 4.122 20 0 DIADHN O[C@H]1C[C@H](NCc2ccc(CC(F)(F)F)cc2)c2ccccc21 ZINC000865626791 1043119153 /nfs/dbraw/zinc/11/91/53/1043119153.db2.gz YPJXGXUZMMEROU-IRXDYDNUSA-N 0 3 321.342 4.059 20 0 DIADHN C[C@H](O)[C@H](NCc1cccc(OC(C)(C)C)c1)c1ccccc1 ZINC000865635302 1043121161 /nfs/dbraw/zinc/12/11/61/1043121161.db2.gz LTOFSMGRDIPKCU-KXBFYZLASA-N 0 3 313.441 4.076 20 0 DIADHN c1csc(-c2nc(CNCC[C@H]3CCSC3)cs2)c1 ZINC000865654250 1043122449 /nfs/dbraw/zinc/12/24/49/1043122449.db2.gz IAEILMUWQQEZTB-NSHDSACASA-N 0 3 310.513 4.104 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2cccs2)cc1OC ZINC000178173722 1043122536 /nfs/dbraw/zinc/12/25/36/1043122536.db2.gz ZUVRMASQQXCSRG-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178137733 1043122877 /nfs/dbraw/zinc/12/28/77/1043122877.db2.gz KDWFOJQFWUNIEX-GTQRCTGISA-N 0 3 315.482 4.398 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000188693922 1043123196 /nfs/dbraw/zinc/12/31/96/1043123196.db2.gz YZAJOGIUOHHEDE-CYBMUJFWSA-N 0 3 304.459 4.276 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@H](C)c3cn(C)nc3C)ccc2c1 ZINC000178175678 1043123938 /nfs/dbraw/zinc/12/39/38/1043123938.db2.gz PZKWDNLSZAOYNS-UONOGXRCSA-N 0 3 323.440 4.302 20 0 DIADHN CC(C)(C)OC1CCC(NCc2csc(C3CC3)n2)CC1 ZINC000865698829 1043123974 /nfs/dbraw/zinc/12/39/74/1043123974.db2.gz UVBKRGXVHQZPCF-UHFFFAOYSA-N 0 3 308.491 4.236 20 0 DIADHN CC(C)(C)OC1CCC(NCc2ncc(Cl)s2)CC1 ZINC000865697871 1043124672 /nfs/dbraw/zinc/12/46/72/1043124672.db2.gz CFHBXGZGUVCUMO-UHFFFAOYSA-N 0 3 302.871 4.012 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C(C)C)c1)c1ccc(OC)cc1 ZINC000178291249 1043125228 /nfs/dbraw/zinc/12/52/28/1043125228.db2.gz GGJICMBQGPOSNJ-KSSFIOAISA-N 0 3 301.434 4.275 20 0 DIADHN C[C@@H](N[C@H]1CCCOc2ccccc21)c1ccc2c(c1)CCO2 ZINC000178315747 1043127709 /nfs/dbraw/zinc/12/77/09/1043127709.db2.gz CUKTYMYFKNGAAI-KDOFPFPSSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1ccc2oc(CNCc3cccc4c3CCOC4)cc2c1 ZINC000865726263 1043128324 /nfs/dbraw/zinc/12/83/24/1043128324.db2.gz VBGZTCFTDUBHJM-UHFFFAOYSA-N 0 3 307.393 4.104 20 0 DIADHN COCCC[C@@H](C)N[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000178488268 1043130808 /nfs/dbraw/zinc/13/08/08/1043130808.db2.gz BJNVDUXLFIKTMV-RDTXWAMCSA-N 0 3 318.848 4.229 20 0 DIADHN COCCC[C@H](C)N[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000178488229 1043130856 /nfs/dbraw/zinc/13/08/56/1043130856.db2.gz BJNVDUXLFIKTMV-KSSFIOAISA-N 0 3 318.848 4.229 20 0 DIADHN COCCC[C@@H](C)N[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000178488243 1043131097 /nfs/dbraw/zinc/13/10/97/1043131097.db2.gz BJNVDUXLFIKTMV-KDOFPFPSSA-N 0 3 318.848 4.229 20 0 DIADHN CC[C@H](N[C@H](C)c1ccsc1)c1ccc2c(c1)OCCO2 ZINC000178480684 1043131611 /nfs/dbraw/zinc/13/16/11/1043131611.db2.gz BIVKWDRWFMYXFU-DOMZBBRYSA-N 0 3 303.427 4.321 20 0 DIADHN C[C@@H](NCc1ccc([C@H](C)O)cc1)c1ccc(OC(F)F)cc1 ZINC000178554499 1043132812 /nfs/dbraw/zinc/13/28/12/1043132812.db2.gz HNEUERDUOGGWIA-OLZOCXBDSA-N 0 3 321.367 4.192 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc1 ZINC000178556614 1043133088 /nfs/dbraw/zinc/13/30/88/1043133088.db2.gz NZOIUASXXRAGIP-CVEARBPZSA-N 0 3 313.441 4.380 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc1 ZINC000178556604 1043133665 /nfs/dbraw/zinc/13/36/65/1043133665.db2.gz NZOIUASXXRAGIP-JKSUJKDBSA-N 0 3 313.441 4.380 20 0 DIADHN CC[C@H](NCc1ccc(CO)c(F)c1)c1ccc(Cl)cc1 ZINC000178567727 1043135626 /nfs/dbraw/zinc/13/56/26/1043135626.db2.gz KREIDWUTJYFMAN-KRWDZBQOSA-N 0 3 307.796 4.212 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)c2cccc(O)c2)cc1OC ZINC000178756078 1043137397 /nfs/dbraw/zinc/13/73/97/1043137397.db2.gz VSQIRQDGCWGVCG-ZIAGYGMSSA-N 0 3 315.413 4.130 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000178794990 1043137683 /nfs/dbraw/zinc/13/76/83/1043137683.db2.gz VEJYSPXXDUOGCI-RXVVDRJESA-N 0 3 301.455 4.007 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2cccc(O)c2)cc1OC ZINC000178756057 1043137577 /nfs/dbraw/zinc/13/75/77/1043137577.db2.gz VSQIRQDGCWGVCG-UONOGXRCSA-N 0 3 315.413 4.130 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(Cl)nc1)c1ccco1 ZINC000179115639 1043140710 /nfs/dbraw/zinc/14/07/10/1043140710.db2.gz MACKPGUROOSSLQ-UKRRQHHQSA-N 0 3 321.852 4.062 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(Cl)nc2)cc1 ZINC000179107217 1043141338 /nfs/dbraw/zinc/14/13/38/1043141338.db2.gz GWLCPXNSMYUFQQ-CYBMUJFWSA-N 0 3 304.821 4.375 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)o1)[C@@H](C)c1ccccc1 ZINC000183366103 1043162321 /nfs/dbraw/zinc/16/23/21/1043162321.db2.gz MISQGECPRDGNTL-HUUCEWRRSA-N 0 3 307.397 4.018 20 0 DIADHN CCCOCc1ccccc1CN[C@H](c1ccccn1)C(C)C ZINC000866240161 1043163560 /nfs/dbraw/zinc/16/35/60/1043163560.db2.gz YQZRLDJLYRALTM-FQEVSTJZSA-N 0 3 312.457 4.495 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(SC(F)(F)F)c2)n[nH]1 ZINC000866269604 1043168606 /nfs/dbraw/zinc/16/86/06/1043168606.db2.gz KTZRUOPUNJYHCW-SNVBAGLBSA-N 0 3 315.364 4.181 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(SC(F)(F)F)c2)n[nH]1 ZINC000866269603 1043169175 /nfs/dbraw/zinc/16/91/75/1043169175.db2.gz KTZRUOPUNJYHCW-JTQLQIEISA-N 0 3 315.364 4.181 20 0 DIADHN CCC(CC)c1cc(CN[C@H](C)CCc2cccnc2)on1 ZINC000866274123 1043170931 /nfs/dbraw/zinc/17/09/31/1043170931.db2.gz WMVFIUVTJHQQFK-CQSZACIVSA-N 0 3 301.434 4.084 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1cncc2ccccc21)c1ccco1 ZINC000866277498 1043172691 /nfs/dbraw/zinc/17/26/91/1043172691.db2.gz MTTTZSZOOKDGSZ-KXBFYZLASA-N 0 3 324.424 4.126 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000163375139 1043176322 /nfs/dbraw/zinc/17/63/22/1043176322.db2.gz CUWDHRYBOXJQJW-IUODEOHRSA-N 0 3 319.836 4.448 20 0 DIADHN c1ccc([C@H](NCCC[C@H]2CCCCO2)c2ccccn2)cc1 ZINC000184003448 1043177465 /nfs/dbraw/zinc/17/74/65/1043177465.db2.gz UJXYUAWOLBEAFT-QUCCMNQESA-N 0 3 310.441 4.110 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc([S@](C)=O)cc1 ZINC000183992732 1043177599 /nfs/dbraw/zinc/17/75/99/1043177599.db2.gz ZHEMYPCFYKJESR-IPQOISQHSA-N 0 3 323.408 4.212 20 0 DIADHN CC(C)OCCCCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000184080356 1043178895 /nfs/dbraw/zinc/17/88/95/1043178895.db2.gz KDQVQEXWBMTXST-IBGZPJMESA-N 0 3 316.420 4.105 20 0 DIADHN CC(C)OCCCCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000184080377 1043179456 /nfs/dbraw/zinc/17/94/56/1043179456.db2.gz KDQVQEXWBMTXST-LJQANCHMSA-N 0 3 316.420 4.105 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487392683 1043181878 /nfs/dbraw/zinc/18/18/78/1043181878.db2.gz HJJHCIWHJOVLDP-IAQYHMDHSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@@H](C[S@@](C)=O)N[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000184287304 1043182930 /nfs/dbraw/zinc/18/29/30/1043182930.db2.gz OKCVVRDGDQLECK-UTWWPYJBSA-N 0 3 315.438 4.004 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H](C)c1cc2c(ccnc2Cl)o1 ZINC000866341049 1043183971 /nfs/dbraw/zinc/18/39/71/1043183971.db2.gz NUUKPOROBGGAMB-SFYZADRCSA-N 0 3 306.715 4.473 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccccc1Br ZINC000148853715 1043184780 /nfs/dbraw/zinc/18/47/80/1043184780.db2.gz BJOUSBXMARXFMZ-KCPJHIHWSA-N 0 3 312.251 4.057 20 0 DIADHN CSc1ccccc1[C@H](NCCC[C@@H](C)O)c1ccccc1 ZINC000866349991 1043185622 /nfs/dbraw/zinc/18/56/22/1043185622.db2.gz RZJWSLJHVAMFOX-DNVCBOLYSA-N 0 3 315.482 4.249 20 0 DIADHN CCC[C@H](NCc1ccc(OC(F)F)cc1)c1cccnc1 ZINC000184877089 1043191155 /nfs/dbraw/zinc/19/11/55/1043191155.db2.gz IFCCKZGMYKCTJW-INIZCTEOSA-N 0 3 306.356 4.314 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000185140111 1043194752 /nfs/dbraw/zinc/19/47/52/1043194752.db2.gz BIFYYDRQTTXBPY-ZDUSSCGKSA-N 0 3 313.832 4.386 20 0 DIADHN COc1ccc([C@H](CC(C)C)NCc2ccnc(F)c2)cc1 ZINC000866474576 1043206415 /nfs/dbraw/zinc/20/64/15/1043206415.db2.gz NCLLRGLSTVYOKV-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN CCC[C@H](NCc1cccc(OCC(F)F)c1)c1cccnc1 ZINC000185982284 1043210712 /nfs/dbraw/zinc/21/07/12/1043210712.db2.gz TZHHFRWVAVMDJE-KRWDZBQOSA-N 0 3 320.383 4.357 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H](C)Cc2ccc(O)cc2)cs1 ZINC000186320069 1043221011 /nfs/dbraw/zinc/22/10/11/1043221011.db2.gz JRYUZNWGNIMCJU-CHWSQXEVSA-N 0 3 304.459 4.254 20 0 DIADHN COCc1cc(CN[C@H]2CCCNc3ccccc32)ccc1F ZINC000186407402 1043222904 /nfs/dbraw/zinc/22/29/04/1043222904.db2.gz YNAJSCQPJNKTQL-IBGZPJMESA-N 0 3 314.404 4.009 20 0 DIADHN Fc1cccc([C@@H]2CCCN2Cc2ccc(-n3cccn3)cc2)c1 ZINC000520564750 1043222982 /nfs/dbraw/zinc/22/29/82/1043222982.db2.gz POZZWILFJVSWPW-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)COC(C)(C)O3)ccc1F ZINC000186361461 1043223754 /nfs/dbraw/zinc/22/37/54/1043223754.db2.gz CVIYROKONFYVMG-UHFFFAOYSA-N 0 3 315.388 4.069 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2NCc2nn(C)cc2C(F)F)cc1 ZINC000866567206 1043223751 /nfs/dbraw/zinc/22/37/51/1043223751.db2.gz MMQBTFCNQRMXLW-HOCLYGCPSA-N 0 3 319.399 4.092 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@@H]2C[C@@H](c3ccccc3)C2(C)C)n1 ZINC000866566005 1043224818 /nfs/dbraw/zinc/22/48/18/1043224818.db2.gz WHDKVYDGROEPTL-GOEBONIOSA-N 0 3 319.399 4.030 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccccc1C(C)C)C1CC1 ZINC000520595685 1043231864 /nfs/dbraw/zinc/23/18/64/1043231864.db2.gz QULCFNDDBKCZBD-UHFFFAOYSA-N 0 3 302.462 4.402 20 0 DIADHN Clc1ccccc1CCN1CCC(OC2CCCC2)CC1 ZINC000520597153 1043232007 /nfs/dbraw/zinc/23/20/07/1043232007.db2.gz YEAQXZSZMHJASZ-UHFFFAOYSA-N 0 3 307.865 4.306 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)CCN2CCC(=O)OC(C)(C)C)o1 ZINC000441618820 1043233889 /nfs/dbraw/zinc/23/38/89/1043233889.db2.gz FKEBTAFMZQJCJM-UKRRQHHQSA-N 0 3 307.434 4.093 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CC=CC[C@H]2C(F)(F)F)cnn1C(C)C ZINC000866610071 1043234185 /nfs/dbraw/zinc/23/41/85/1043234185.db2.gz QDIMFPCPWONPGB-KCPJHIHWSA-N 0 3 315.383 4.320 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1cc(COC(C)(C)C)on1 ZINC000186831314 1043234631 /nfs/dbraw/zinc/23/46/31/1043234631.db2.gz CMUZKYHLHCFQTM-MRXNPFEDSA-N 0 3 316.445 4.101 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccncc1Cl ZINC000866611198 1043234773 /nfs/dbraw/zinc/23/47/73/1043234773.db2.gz ZMFKSGBNWKVAEG-IRUJWGPZSA-N 0 3 304.743 4.283 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1cc(COC(C)(C)C)on1 ZINC000186808604 1043234850 /nfs/dbraw/zinc/23/48/50/1043234850.db2.gz LSOIVBXTBARMDP-GJZGRUSLSA-N 0 3 316.445 4.272 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cc3cnccc3o1)CC2 ZINC000866619202 1043238333 /nfs/dbraw/zinc/23/83/33/1043238333.db2.gz DSCVYTWZMJYAFP-PXAZEXFGSA-N 0 3 308.381 4.175 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)COC2)c1cc2cnccc2o1 ZINC000866622332 1043239274 /nfs/dbraw/zinc/23/92/74/1043239274.db2.gz YWMMLMYXIKMXRW-QWHCGFSZSA-N 0 3 308.381 4.270 20 0 DIADHN CC[C@H](C[C@@H](C)O)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000866632919 1043241318 /nfs/dbraw/zinc/24/13/18/1043241318.db2.gz DHRGRFJLJSLMNJ-JHJVBQTASA-N 0 3 322.449 4.149 20 0 DIADHN CC[C@H](C[C@@H](C)O)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000866634077 1043241674 /nfs/dbraw/zinc/24/16/74/1043241674.db2.gz NQZYEUFJKBDVQN-UMVBOHGHSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000441644447 1043241835 /nfs/dbraw/zinc/24/18/35/1043241835.db2.gz HDWICBXLOCSNHK-JHJVBQTASA-N 0 3 314.256 4.413 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CCSc2cc(C)c(C)cc21 ZINC000187161873 1043242901 /nfs/dbraw/zinc/24/29/01/1043242901.db2.gz SKLBCOSFMBRHKA-SUMWQHHRSA-N 0 3 315.486 4.233 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCC2)c1)c1cccc(F)c1 ZINC000187744281 1043248334 /nfs/dbraw/zinc/24/83/34/1043248334.db2.gz JKUIUOCRDMQWQB-SFHVURJKSA-N 0 3 313.420 4.062 20 0 DIADHN CC[C@@H](NCc1ccc(OCCOC)cc1)c1cccc(F)c1 ZINC000187765621 1043249973 /nfs/dbraw/zinc/24/99/73/1043249973.db2.gz OWNCTYBWXSPJAQ-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)C[C@@H](C)CC(C)(C)C ZINC000614645467 1043252852 /nfs/dbraw/zinc/25/28/52/1043252852.db2.gz YPIYBYNUAPVWJU-CQSZACIVSA-N 0 3 320.477 4.158 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)(C)C)cc2)cc1C#N ZINC000237220991 1043255858 /nfs/dbraw/zinc/25/58/58/1043255858.db2.gz LSPBNFAVRRIKAF-UHFFFAOYSA-N 0 3 308.425 4.154 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@H]2CCCc3occc32)cc1 ZINC000192627609 1043273587 /nfs/dbraw/zinc/27/35/87/1043273587.db2.gz PCEHWYQMPBAIMM-KRWDZBQOSA-N 0 3 312.413 4.041 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000193048499 1043279352 /nfs/dbraw/zinc/27/93/52/1043279352.db2.gz KPYFTJZWHQCMTD-KFKAGJAMSA-N 0 3 311.425 4.415 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2N[C@@H]2CCCc3occc32)cc1F ZINC000193070792 1043279546 /nfs/dbraw/zinc/27/95/46/1043279546.db2.gz DVASFFITVIVKCZ-RYRKJORJSA-N 0 3 319.351 4.055 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000193048522 1043280388 /nfs/dbraw/zinc/28/03/88/1043280388.db2.gz KPYFTJZWHQCMTD-ATZDWAIDSA-N 0 3 311.425 4.415 20 0 DIADHN Cc1nccn1Cc1ccc(-c2ccc(F)c(CN(C)C)c2)cc1 ZINC000665199584 1043281667 /nfs/dbraw/zinc/28/16/67/1043281667.db2.gz OIVLNXRNWZEARZ-UHFFFAOYSA-N 0 3 323.415 4.108 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000194296666 1043290025 /nfs/dbraw/zinc/29/00/25/1043290025.db2.gz HEASILOHITWRML-KRWDZBQOSA-N 0 3 301.821 4.268 20 0 DIADHN C=Cn1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C2CC2)cn1 ZINC000194406101 1043292348 /nfs/dbraw/zinc/29/23/48/1043292348.db2.gz JORAMEWHEJVIRX-INIZCTEOSA-N 0 3 321.346 4.243 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCc2cccc(N)c2)c1 ZINC000665233969 1043292188 /nfs/dbraw/zinc/29/21/88/1043292188.db2.gz UXOXAQLILHLSAL-LBPRGKRZSA-N 0 3 306.356 4.029 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCCC2)c1)c1cccc(O)c1 ZINC000194912721 1043294419 /nfs/dbraw/zinc/29/44/19/1043294419.db2.gz QMCYHUILPHOLJZ-JKSUJKDBSA-N 0 3 310.441 4.404 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000427694084 1043294950 /nfs/dbraw/zinc/29/49/50/1043294950.db2.gz IKRKSKCTHAHHAB-AXFHLTTASA-N 0 3 317.246 4.459 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccccc2SC)cc1 ZINC000194840741 1043295395 /nfs/dbraw/zinc/29/53/95/1043295395.db2.gz QNFPMOCLEXGMOL-AWEZNQCLSA-N 0 3 301.455 4.406 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(O)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000194839658 1043295420 /nfs/dbraw/zinc/29/54/20/1043295420.db2.gz RFPSEGMQASMDEL-KBPBESRZSA-N 0 3 324.424 4.153 20 0 DIADHN Cc1c([C@H](C)NCc2ccc3ncccc3c2)cnn1C(C)(C)C ZINC000195144742 1043296675 /nfs/dbraw/zinc/29/66/75/1043296675.db2.gz OPMRAYZLYIVBQP-AWEZNQCLSA-N 0 3 322.456 4.346 20 0 DIADHN CC[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000339086101 1043300113 /nfs/dbraw/zinc/30/01/13/1043300113.db2.gz XBISOIWFUKPCSH-LMLFDSFASA-N 0 3 322.396 4.159 20 0 DIADHN COc1cc(CCNCc2ccsc2Cl)ccc1Cl ZINC001202527700 1043309975 /nfs/dbraw/zinc/30/99/75/1043309975.db2.gz FJRZIRPJMLLIAL-UHFFFAOYSA-N 0 3 316.253 4.396 20 0 DIADHN COc1cccc(C2(NCc3cccc4cccnc43)CC2)c1 ZINC000268147055 1043312954 /nfs/dbraw/zinc/31/29/54/1043312954.db2.gz ADPMHVFHYSWSLQ-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487405928 1043313346 /nfs/dbraw/zinc/31/33/46/1043313346.db2.gz JPCXLIBXDAGJBL-ZDUSSCGKSA-N 0 3 321.371 4.025 20 0 DIADHN c1c2ccccc2sc1CNCc1ccnc(N2CCCC2)c1 ZINC000268164590 1043313693 /nfs/dbraw/zinc/31/36/93/1043313693.db2.gz HUEGGIQBGSOGDG-UHFFFAOYSA-N 0 3 323.465 4.186 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000427881865 1043313921 /nfs/dbraw/zinc/31/39/21/1043313921.db2.gz KDDLIURUOXXMRN-VHSXEESVSA-N 0 3 307.768 4.017 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCc3c2cccc3OC)cc1 ZINC000268777580 1043329513 /nfs/dbraw/zinc/32/95/13/1043329513.db2.gz RYBQEEYHZOTRDY-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN CC[C@H](NCc1cc(F)cc(F)c1)c1ccc(OC)c(OC)c1 ZINC000268762362 1043329943 /nfs/dbraw/zinc/32/99/43/1043329943.db2.gz OOVVGGUUBPEYDY-INIZCTEOSA-N 0 3 321.367 4.223 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccc(C(=O)N(C)C)c2)c2ccccc21 ZINC000268775765 1043329965 /nfs/dbraw/zinc/32/99/65/1043329965.db2.gz VMADFWWSYHGTSK-YWZLYKJASA-N 0 3 322.452 4.117 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCCOc2ccccc21 ZINC000268830101 1043335266 /nfs/dbraw/zinc/33/52/66/1043335266.db2.gz LYCUGJGRVLHWTQ-MSOLQXFVSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(C(=O)NC3CC3)c2)cc1C ZINC000268856399 1043337588 /nfs/dbraw/zinc/33/75/88/1043337588.db2.gz UQYUVYNNNLUASW-INIZCTEOSA-N 0 3 322.452 4.046 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2csc(C)n2)cc1 ZINC000268864943 1043338030 /nfs/dbraw/zinc/33/80/30/1043338030.db2.gz FIOVZTKPCWBMBP-CHWSQXEVSA-N 0 3 304.459 4.132 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccc(F)cc1)c1ccc2ccccc2n1 ZINC000268886668 1043339727 /nfs/dbraw/zinc/33/97/27/1043339727.db2.gz IYPKLUQTIKMGBC-PJSUUKDQSA-N 0 3 324.399 4.147 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](C)c2ccc(OC)cc2)s1 ZINC000268881209 1043339835 /nfs/dbraw/zinc/33/98/35/1043339835.db2.gz FJRPPJMDAAQOHY-VXGBXAGGSA-N 0 3 304.459 4.434 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000665268316 1043340576 /nfs/dbraw/zinc/34/05/76/1043340576.db2.gz MERMFEOEEJPAAK-QZTJIDSGSA-N 0 3 302.368 4.275 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)c2ccc(OC)cc2)s1 ZINC000268881196 1043340852 /nfs/dbraw/zinc/34/08/52/1043340852.db2.gz FJRPPJMDAAQOHY-NEPJUHHUSA-N 0 3 304.459 4.434 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](C)c2ccc(OC)cc2)s1 ZINC000268881205 1043340952 /nfs/dbraw/zinc/34/09/52/1043340952.db2.gz FJRPPJMDAAQOHY-RYUDHWBXSA-N 0 3 304.459 4.434 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)c1ccc(Cl)cc1 ZINC000268925290 1043343287 /nfs/dbraw/zinc/34/32/87/1043343287.db2.gz VYAMTFMAALCCMH-DYVFJYSZSA-N 0 3 321.852 4.156 20 0 DIADHN COc1ccccc1/C=C/CN[C@H]1COc2c1ccc(C)c2C ZINC000520959360 1043343741 /nfs/dbraw/zinc/34/37/41/1043343741.db2.gz DNOHKOOLJQLGMF-IWHGQQBYSA-N 0 3 309.409 4.049 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000268976169 1043347279 /nfs/dbraw/zinc/34/72/79/1043347279.db2.gz AZVMNORMHKLRHE-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000268994979 1043347912 /nfs/dbraw/zinc/34/79/12/1043347912.db2.gz NKBYKDKSSDNDCX-QZTJIDSGSA-N 0 3 301.817 4.041 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000268994976 1043348129 /nfs/dbraw/zinc/34/81/29/1043348129.db2.gz NKBYKDKSSDNDCX-MSOLQXFVSA-N 0 3 301.817 4.041 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3nc(C)sc32)cc1 ZINC000268988493 1043348519 /nfs/dbraw/zinc/34/85/19/1043348519.db2.gz JGTHVQZATYUSAL-NHYWBVRUSA-N 0 3 302.443 4.188 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000268988489 1043349003 /nfs/dbraw/zinc/34/90/03/1043349003.db2.gz JGTHVQZATYUSAL-IAQYHMDHSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ncc(CN(CCc2ccccc2)Cc2ccccc2)cn1 ZINC000339102920 1043349227 /nfs/dbraw/zinc/34/92/27/1043349227.db2.gz YRIAVQQYUZLFKK-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN c1sc(CN[C@@H]2CCOC3(CCC3)C2)nc1-c1ccccc1 ZINC000268995285 1043349334 /nfs/dbraw/zinc/34/93/34/1043349334.db2.gz XMLFFZPOYNAQSI-OAHLLOKOSA-N 0 3 314.454 4.001 20 0 DIADHN c1c2ccccc2oc1CN1CCC[C@H](c2noc(C3CC3)n2)C1 ZINC000269023611 1043350685 /nfs/dbraw/zinc/35/06/85/1043350685.db2.gz ZZEVGEAIGIOBKD-HNNXBMFYSA-N 0 3 323.396 4.073 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](C)c1ccc(OC)cc1)CCC2 ZINC000269063257 1043355044 /nfs/dbraw/zinc/35/50/44/1043355044.db2.gz YHDYBQVGUKNTSY-WFASDCNBSA-N 0 3 316.470 4.442 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000269065702 1043355708 /nfs/dbraw/zinc/35/57/08/1043355708.db2.gz YSUOXVSIFSESQX-IWMITWMQSA-N 0 3 323.436 4.288 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cccc(C(F)(F)F)c1 ZINC000269080015 1043357443 /nfs/dbraw/zinc/35/74/43/1043357443.db2.gz OGZJCYHVBVGZIZ-GOPGUHFVSA-N 0 3 321.342 4.014 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H](c2cccs2)N2CCCC2)cs1 ZINC000269403280 1043366497 /nfs/dbraw/zinc/36/64/97/1043366497.db2.gz HAQUDSLBTSEVIM-DOMZBBRYSA-N 0 3 321.515 4.001 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(-c2ccc(C)cc2)o1 ZINC000521172471 1043371207 /nfs/dbraw/zinc/37/12/07/1043371207.db2.gz SSEWHNKBMFEVHH-SFHVURJKSA-N 0 3 301.430 4.142 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N1CCCC[C@@H]1CC ZINC000521198048 1043374823 /nfs/dbraw/zinc/37/48/23/1043374823.db2.gz AGVZKRMQPUGKHD-RBUKOAKNSA-N 0 3 316.489 4.251 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000270143719 1043381450 /nfs/dbraw/zinc/38/14/50/1043381450.db2.gz RHTOMLZIRAFGOZ-ZHRRBRCNSA-N 0 3 315.482 4.200 20 0 DIADHN Clc1ccc(-c2nnc(CN3CC[C@H](C4CCC4)C3)o2)cc1 ZINC000665284560 1043386631 /nfs/dbraw/zinc/38/66/31/1043386631.db2.gz NRRCJDRIGDFHIT-AWEZNQCLSA-N 0 3 317.820 4.012 20 0 DIADHN C[C@H](N[C@@H]1CCSc2c(F)cccc21)c1cccc(CO)c1 ZINC000270433232 1043387118 /nfs/dbraw/zinc/38/71/18/1043387118.db2.gz IPFJCOIRQWAHCD-YVEFUNNKSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@H](N[C@H]1CCSc2c(F)cccc21)c1cccc(CO)c1 ZINC000270433230 1043387171 /nfs/dbraw/zinc/38/71/71/1043387171.db2.gz IPFJCOIRQWAHCD-SJCJKPOMSA-N 0 3 317.429 4.206 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@@H](C4CCC4)C3)o2)cc1 ZINC000665286894 1043390193 /nfs/dbraw/zinc/39/01/93/1043390193.db2.gz XCOGVBQCSLFDJA-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1cccnc1Cl ZINC000270651525 1043393780 /nfs/dbraw/zinc/39/37/80/1043393780.db2.gz QPKAZEHEUOGWCX-BFQNTYOBSA-N 0 3 322.811 4.037 20 0 DIADHN COc1ccccc1C[C@H](N[C@H](C)c1cscn1)C(C)C ZINC000271059709 1043402993 /nfs/dbraw/zinc/40/29/93/1043402993.db2.gz BTNBYLIDGCCRCG-HIFRSBDPSA-N 0 3 304.459 4.070 20 0 DIADHN CC[C@H](O)CCN[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925281589 1043405152 /nfs/dbraw/zinc/40/51/52/1043405152.db2.gz LNZDATCOENEXLC-PMACEKPBSA-N 0 3 312.457 4.045 20 0 DIADHN CCC1(O)CCC(N[C@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000925294064 1043407601 /nfs/dbraw/zinc/40/76/01/1043407601.db2.gz AWLFJZUTFVFCPO-VEDCXYSMSA-N 0 3 324.468 4.153 20 0 DIADHN CC[C@@H](N[C@@H](C)c1sc(CCOC)nc1C)c1ccccc1 ZINC000271286851 1043409435 /nfs/dbraw/zinc/40/94/35/1043409435.db2.gz DKQJAGMIAGSUNJ-XJKSGUPXSA-N 0 3 318.486 4.442 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(OC(F)(F)F)cc1 ZINC000271358637 1043411849 /nfs/dbraw/zinc/41/18/49/1043411849.db2.gz IIPJYSYTYYMNHZ-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(C(F)(F)F)nc1 ZINC000871935191 1043417363 /nfs/dbraw/zinc/41/73/63/1043417363.db2.gz SZHQLNWAJXKQHO-IAQYHMDHSA-N 0 3 306.331 4.439 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2cccc(O)c2)cc1OC ZINC000442784554 1043419599 /nfs/dbraw/zinc/41/95/99/1043419599.db2.gz RYPDUERCAALVFV-KGLIPLIRSA-N 0 3 315.413 4.211 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)CC2 ZINC000271445379 1043419528 /nfs/dbraw/zinc/41/95/28/1043419528.db2.gz HJVXKIPLUQVHKB-BPSNYSSFSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nnc(-c2ccccc2Cl)o1 ZINC000271439959 1043420003 /nfs/dbraw/zinc/42/00/03/1043420003.db2.gz BRLUNKRZLHSZEW-NWDGAFQWSA-N 0 3 305.809 4.153 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccc2cc(OC)ccc2c1 ZINC000271478354 1043424524 /nfs/dbraw/zinc/42/45/24/1043424524.db2.gz JILHDOHJKISQKE-ORAYPTAESA-N 0 3 309.413 4.373 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2cc(-c3ccccc3)on2)CCO1 ZINC000872030868 1043426686 /nfs/dbraw/zinc/42/66/86/1043426686.db2.gz JXGOJMVGMCLHCA-YJBOKZPZSA-N 0 3 314.429 4.025 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2coc(-c3cccs3)n2)CCO1 ZINC000872029725 1043426830 /nfs/dbraw/zinc/42/68/30/1043426830.db2.gz YIPYRAVIXPAIQL-DOMZBBRYSA-N 0 3 320.458 4.086 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442798376 1043427246 /nfs/dbraw/zinc/42/72/46/1043427246.db2.gz WOVFMIARRKMJDM-STQMWFEESA-N 0 3 324.399 4.134 20 0 DIADHN CC(C)COC[C@@H](N[C@H]1CCOC2(CCCC2)C1)c1ccco1 ZINC000872031246 1043429051 /nfs/dbraw/zinc/42/90/51/1043429051.db2.gz NITRZVNCXJHGGD-DLBZAZTESA-N 0 3 321.461 4.075 20 0 DIADHN CCC(CC)c1cc(CN[C@H]2CCOC3(CCCC3)C2)on1 ZINC000872038504 1043430867 /nfs/dbraw/zinc/43/08/67/1043430867.db2.gz LUVQOGKIQBHGAL-HNNXBMFYSA-N 0 3 306.450 4.160 20 0 DIADHN CCC(CC)c1cc(CN[C@H]2CCO[C@@H](C(C)(C)C)C2)on1 ZINC000872040712 1043431570 /nfs/dbraw/zinc/43/15/70/1043431570.db2.gz ZULYQQDEQPBTCW-WMLDXEAASA-N 0 3 308.466 4.262 20 0 DIADHN CC[C@H](CCC(F)(F)F)NCc1csc([C@@H](C)OC)n1 ZINC000872041845 1043432938 /nfs/dbraw/zinc/43/29/38/1043432938.db2.gz LKOXSOMKOPOIMC-NXEZZACHSA-N 0 3 310.385 4.061 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCC[C@@H]2NCc2cocn2)cc1 ZINC000558087781 1043435110 /nfs/dbraw/zinc/43/51/10/1043435110.db2.gz QRUVAWCNBLHIOO-GJZGRUSLSA-N 0 3 310.319 4.119 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000271582236 1043438244 /nfs/dbraw/zinc/43/82/44/1043438244.db2.gz WZUPGIGQOUYSMD-LRAJWGHMSA-N 0 3 301.455 4.144 20 0 DIADHN Clc1cccc(OCCCN2CCC[C@@H]2c2cccnc2)c1 ZINC000558095407 1043438458 /nfs/dbraw/zinc/43/84/58/1043438458.db2.gz SRCPVICKGXKDPK-GOSISDBHSA-N 0 3 316.832 4.341 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](C)c1ccc(OC(F)F)cc1 ZINC000271595791 1043440744 /nfs/dbraw/zinc/44/07/44/1043440744.db2.gz SYWAPQVHOKRCIT-OLZOCXBDSA-N 0 3 306.356 4.403 20 0 DIADHN COCCC[C@H](C)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000558122123 1043442804 /nfs/dbraw/zinc/44/28/04/1043442804.db2.gz WDEXPZGFJALEDQ-NSHDSACASA-N 0 3 302.245 4.387 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@@H](c2ccccc2)C1)c1cccc([O-])c1F ZINC000872061931 1043443321 /nfs/dbraw/zinc/44/33/21/1043443321.db2.gz HUASLTZYTNEDKL-JCKWVBRZSA-N 0 3 315.388 4.102 20 0 DIADHN Cn1cnc2cc(CNC3(c4cccc(Cl)c4)CC3)ccc21 ZINC000271649189 1043444555 /nfs/dbraw/zinc/44/45/55/1043444555.db2.gz ITQLNUFUDDENIZ-UHFFFAOYSA-N 0 3 311.816 4.006 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc(F)c(F)c1 ZINC000442888411 1043450285 /nfs/dbraw/zinc/45/02/85/1043450285.db2.gz GPBKFZTWBNWOET-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN CC1(C)CN(CCC(=O)Nc2ccccc2)[C@@H]1c1cccs1 ZINC000558176892 1043452011 /nfs/dbraw/zinc/45/20/11/1043452011.db2.gz QSNRGDIPHBJYNP-QGZVFWFLSA-N 0 3 314.454 4.160 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1cc2ccccc2o1 ZINC000442895331 1043452048 /nfs/dbraw/zinc/45/20/48/1043452048.db2.gz UINFFUMWMPMYOB-CQSZACIVSA-N 0 3 311.381 4.171 20 0 DIADHN C[C@@H](N[C@H](c1cccc(C(F)(F)F)c1)C1CC1)c1ccnnc1 ZINC000925680289 1043453248 /nfs/dbraw/zinc/45/32/48/1043453248.db2.gz PYXPGDADQFOJHP-BZNIZROVSA-N 0 3 321.346 4.297 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H](C)CC3)cs2)c1 ZINC000521711146 1043457276 /nfs/dbraw/zinc/45/72/76/1043457276.db2.gz MCDPHEPJWZVVNH-CQSZACIVSA-N 0 3 316.470 4.441 20 0 DIADHN CN(CCCO)Cc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000442972432 1043463449 /nfs/dbraw/zinc/46/34/49/1043463449.db2.gz ZTWBBEXPQUWRQR-UHFFFAOYSA-N 0 3 314.212 4.068 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc3oc(=O)[nH]c3c2)cc1C ZINC000872122476 1043466847 /nfs/dbraw/zinc/46/68/47/1043466847.db2.gz FZQMJZCZTTUTSE-AWEZNQCLSA-N 0 3 310.397 4.309 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccc3oc(=O)[nH]c3c2)c2ccccc21 ZINC000872122362 1043467162 /nfs/dbraw/zinc/46/71/62/1043467162.db2.gz FEKRZBKDLIZAHW-BLLLJJGKSA-N 0 3 308.381 4.262 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H]1CCc2c1cccc2O ZINC000443013530 1043469108 /nfs/dbraw/zinc/46/91/08/1043469108.db2.gz NJAPOVNGRFVODN-INIZCTEOSA-N 0 3 321.342 4.497 20 0 DIADHN CC[C@H](NCc1cc(N)ccn1)c1ccc(Cl)cc1Cl ZINC000925772273 1043469330 /nfs/dbraw/zinc/46/93/30/1043469330.db2.gz RKTUCCWHANVFPZ-HNNXBMFYSA-N 0 3 310.228 4.212 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1ccc2oc(=O)[nH]c2c1 ZINC000872123929 1043469258 /nfs/dbraw/zinc/46/92/58/1043469258.db2.gz YAKHOEILQGZSLP-SWLSCSKDSA-N 0 3 308.381 4.180 20 0 DIADHN Fc1cncc([C@H](NCc2cccc3ncccc23)C2CC2)c1 ZINC000872123962 1043469658 /nfs/dbraw/zinc/46/96/58/1043469658.db2.gz YNKRFKAKUGIKTM-LJQANCHMSA-N 0 3 307.372 4.010 20 0 DIADHN Fc1ccc2oc(CN3CCC=C(c4ccncc4)C3)cc2c1 ZINC000348832938 1043471905 /nfs/dbraw/zinc/47/19/05/1043471905.db2.gz KONRYQQNHKBIAE-UHFFFAOYSA-N 0 3 308.356 4.256 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(CO)c1)c1ccc(C(F)(F)F)nc1 ZINC000872130337 1043472780 /nfs/dbraw/zinc/47/27/80/1043472780.db2.gz LDHYTNWEDAFJHH-VXGBXAGGSA-N 0 3 324.346 4.005 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cc1C ZINC000558255319 1043474444 /nfs/dbraw/zinc/47/44/44/1043474444.db2.gz FFSMCYYSANPCHW-JXFKEZNVSA-N 0 3 314.448 4.396 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000558256753 1043474587 /nfs/dbraw/zinc/47/45/87/1043474587.db2.gz IIGQVVKQWNSPQB-HOTGVXAUSA-N 0 3 323.415 4.293 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(-c3c(F)cccc3F)o2)[C@@H](C)C1 ZINC000443071119 1043477877 /nfs/dbraw/zinc/47/78/77/1043477877.db2.gz SFBOUSSSSXPLTP-STQMWFEESA-N 0 3 321.367 4.224 20 0 DIADHN CC1(C)Cc2cc(CN3CCC[C@H]3c3ccncc3)ccc2O1 ZINC000348899006 1043478734 /nfs/dbraw/zinc/47/87/34/1043478734.db2.gz ZIXOVHHEPIJNFV-SFHVURJKSA-N 0 3 308.425 4.132 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC[C@H]2c2ccncc2)cc1 ZINC000348898178 1043478921 /nfs/dbraw/zinc/47/89/21/1043478921.db2.gz XFNHYYLXNJZXCI-INIZCTEOSA-N 0 3 322.330 4.317 20 0 DIADHN C1=CC[C@@H](CN2CCCC[C@H]2c2nnc(C3CCC3)o2)CC1 ZINC000348904004 1043479716 /nfs/dbraw/zinc/47/97/16/1043479716.db2.gz JHUKBAZURGUPJQ-ZBFHGGJFSA-N 0 3 301.434 4.220 20 0 DIADHN C[C@@H](c1nc(-c2cccc(Cl)c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000443087441 1043482378 /nfs/dbraw/zinc/48/23/78/1043482378.db2.gz JRXZDPPETYJARF-TUAOUCFPSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccccc3)[nH]2)c2ccccc21 ZINC000777576615 1043482421 /nfs/dbraw/zinc/48/24/21/1043482421.db2.gz XFTDJAFKKBSSSW-KDOFPFPSSA-N 0 3 318.424 4.200 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H]1CCCN(C2CCCCC2)C1=O ZINC000443086740 1043482852 /nfs/dbraw/zinc/48/28/52/1043482852.db2.gz OYMVXNFLDVCMNZ-GOEBONIOSA-N 0 3 320.502 4.031 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@@H](OC3CCC3)CC2)s1 ZINC000872262273 1043487370 /nfs/dbraw/zinc/48/73/70/1043487370.db2.gz WNHJHSFPARSWFM-OAHLLOKOSA-N 0 3 308.491 4.190 20 0 DIADHN CCn1ncc2c1CCC[C@@H]2N[C@H](C)c1coc2ccccc12 ZINC000925887031 1043489020 /nfs/dbraw/zinc/48/90/20/1043489020.db2.gz PTHKYBUEIGOUQS-DYVFJYSZSA-N 0 3 309.413 4.377 20 0 DIADHN COc1cc(N[C@@H](C)c2ccc(CN)nc2C)cc2ccccc21 ZINC000925893148 1043489302 /nfs/dbraw/zinc/48/93/02/1043489302.db2.gz LSRBKDWSJNOKCE-AWEZNQCLSA-N 0 3 321.424 4.184 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)C(C)C)cc1F ZINC000521858869 1043491875 /nfs/dbraw/zinc/49/18/75/1043491875.db2.gz VWUJTXBCJMGGIC-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN Fc1cccc2c(CN3CCCC4(CC(F)C4)CC3)c[nH]c21 ZINC001137059206 1043495125 /nfs/dbraw/zinc/49/51/25/1043495125.db2.gz QSQKEOYZMOEWKN-UHFFFAOYSA-N 0 3 304.384 4.411 20 0 DIADHN CCN(Cc1c(C)n[nH]c1C)Cc1cccc(-c2ccncc2)c1 ZINC000349334941 1043502067 /nfs/dbraw/zinc/50/20/67/1043502067.db2.gz UHCILYDBGDXYGQ-UHFFFAOYSA-N 0 3 320.440 4.111 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CC=C(c2cccc3ccccc32)CC1 ZINC000558402044 1043502074 /nfs/dbraw/zinc/50/20/74/1043502074.db2.gz YASIRHDSRLCLNJ-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CC=C(c2cccc3ccccc32)CC1 ZINC000558402044 1043502085 /nfs/dbraw/zinc/50/20/85/1043502085.db2.gz YASIRHDSRLCLNJ-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN CC(=O)Oc1ccc(CN2CC[C@@H](C)C[C@H]2c2ccco2)cc1 ZINC000124125911 1043502942 /nfs/dbraw/zinc/50/29/42/1043502942.db2.gz PJWYEIPFMIVFPJ-KDOFPFPSSA-N 0 3 313.397 4.178 20 0 DIADHN CC(=O)Oc1ccc(CN2CC[C@H](C)C[C@H]2c2ccco2)cc1 ZINC000124126138 1043503578 /nfs/dbraw/zinc/50/35/78/1043503578.db2.gz PJWYEIPFMIVFPJ-KSSFIOAISA-N 0 3 313.397 4.178 20 0 DIADHN C[C@@](O)(CNCc1ccc(-c2ccccc2)o1)c1ccsc1 ZINC000124143265 1043503630 /nfs/dbraw/zinc/50/36/30/1043503630.db2.gz LWWBOAQFQIZUSX-GOSISDBHSA-N 0 3 313.422 4.005 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H](CO)c1cccc(F)c1 ZINC000349376280 1043503937 /nfs/dbraw/zinc/50/39/37/1043503937.db2.gz FOMUJQDTJWVNLE-MOPGFXCFSA-N 0 3 313.416 4.143 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cc2cc(OC)ccc2o1 ZINC000558425087 1043508897 /nfs/dbraw/zinc/50/88/97/1043508897.db2.gz PJHCGEMGTMCPJS-GOSISDBHSA-N 0 3 310.397 4.420 20 0 DIADHN CCN(Cc1sccc1C)Cc1ccc(OC)c(OC)c1 ZINC000349580053 1043512956 /nfs/dbraw/zinc/51/29/56/1043512956.db2.gz YKPJKEZCQSEROO-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2ccc3nccnc3c2)c1 ZINC000873163747 1043521815 /nfs/dbraw/zinc/52/18/15/1043521815.db2.gz UETUJPAOGMDLDM-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN C[C@H]1CCC[C@@H](NCc2csc(-c3ccccn3)n2)CC1 ZINC000191113052 1043521886 /nfs/dbraw/zinc/52/18/86/1043521886.db2.gz IIGYSSPNIAZVFY-UONOGXRCSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1n[nH]c(C)c1CN1Cc2ccccc2[C@H](c2ccccc2)C1 ZINC000349792280 1043523619 /nfs/dbraw/zinc/52/36/19/1043523619.db2.gz VOQILAAILJUUIE-NRFANRHFSA-N 0 3 317.436 4.174 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)c1 ZINC000873186185 1043526124 /nfs/dbraw/zinc/52/61/24/1043526124.db2.gz IAWXZDAQZZGGET-LBPRGKRZSA-N 0 3 324.346 4.098 20 0 DIADHN CC1(NCc2cnc(CCc3ccccc3)s2)CC(F)(F)C1 ZINC000873197675 1043526983 /nfs/dbraw/zinc/52/69/83/1043526983.db2.gz MURSJUHCMWWRTR-UHFFFAOYSA-N 0 3 322.424 4.206 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3ccc(N4CCCC4)o3)C2)c1 ZINC001137069555 1043528987 /nfs/dbraw/zinc/52/89/87/1043528987.db2.gz CKFHDYOSRBLZQK-MRXNPFEDSA-N 0 3 314.404 4.008 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)Nc1ccc(F)c(C(F)F)c1 ZINC000873249193 1043529259 /nfs/dbraw/zinc/52/92/59/1043529259.db2.gz LTDPFAPLCGXQQB-VIFPVBQESA-N 0 3 309.335 4.099 20 0 DIADHN C[C@H](NCc1cc(N)cc(F)c1)c1cccc(C(F)(F)F)c1 ZINC000873288246 1043531251 /nfs/dbraw/zinc/53/12/51/1043531251.db2.gz ZGFWORQJZHNKEU-JTQLQIEISA-N 0 3 312.310 4.278 20 0 DIADHN C[C@H](CN[C@@H](C)c1cc(-c2ccccc2)no1)c1nccs1 ZINC000926159766 1043536027 /nfs/dbraw/zinc/53/60/27/1043536027.db2.gz FCVXISWIKBDYBC-OLZOCXBDSA-N 0 3 313.426 4.252 20 0 DIADHN CCC[C@H](NC[C@@H](C)c1nccs1)c1ncccc1Cl ZINC000926160832 1043536703 /nfs/dbraw/zinc/53/67/03/1043536703.db2.gz KOYRVLSXUBOUKN-YPMHNXCESA-N 0 3 309.866 4.426 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](C)c2nccs2)c2cccnc2)cc1 ZINC000926162855 1043538855 /nfs/dbraw/zinc/53/88/55/1043538855.db2.gz YMTXRBSSRCSAID-QAPCUYQASA-N 0 3 323.465 4.329 20 0 DIADHN CCC[C@H](N[C@H]1CCO[C@@H](C2CC2)C1)c1ncccc1Cl ZINC000926183518 1043540614 /nfs/dbraw/zinc/54/06/14/1043540614.db2.gz OJOONMASBIKGLM-CWRNSKLLSA-N 0 3 308.853 4.123 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc(OC(F)F)c2)cc1 ZINC000522104462 1043541694 /nfs/dbraw/zinc/54/16/94/1043541694.db2.gz VVQNTMPVQSDGCN-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1C(C)=CCC[C@H]1C ZINC000443407981 1043541746 /nfs/dbraw/zinc/54/17/46/1043541746.db2.gz JIDLMWFRCQYWBV-QAPCUYQASA-N 0 3 314.473 4.166 20 0 DIADHN CCCC[C@H](C)N(C)Cc1c(C(C)C)nc2sc(C)nn12 ZINC000558577488 1043546607 /nfs/dbraw/zinc/54/66/07/1043546607.db2.gz PQSDCNPFCCZVND-LBPRGKRZSA-N 0 3 308.495 4.233 20 0 DIADHN Cc1sccc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000350681015 1043550070 /nfs/dbraw/zinc/55/00/70/1043550070.db2.gz GTNQJWKVVXDEGU-SFHVURJKSA-N 0 3 301.455 4.238 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H]1CCc2c1nccc2Cl ZINC000926234531 1043550136 /nfs/dbraw/zinc/55/01/36/1043550136.db2.gz ATYMKVGPPAYMLS-RYUDHWBXSA-N 0 3 306.759 4.289 20 0 DIADHN CCOC1CC(CCN[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000926258808 1043553979 /nfs/dbraw/zinc/55/39/79/1043553979.db2.gz IIURKYYEVYZHCE-CNBHCMJISA-N 0 3 324.468 4.274 20 0 DIADHN Cc1cc(F)cc(CN2CCC[C@@H](c3nc(C(C)C)no3)C2)c1 ZINC001143589844 1043557428 /nfs/dbraw/zinc/55/74/28/1043557428.db2.gz HNKVEDGAPRKCIV-OAHLLOKOSA-N 0 3 317.408 4.020 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCc2c1nccc2Cl)c1ccccc1 ZINC000926297780 1043560587 /nfs/dbraw/zinc/56/05/87/1043560587.db2.gz LNLJNNIXFIHUIT-SJORKVTESA-N 0 3 316.832 4.090 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@@H](C)c2cn3c(n2)CCCC3)C1 ZINC000926350123 1043568131 /nfs/dbraw/zinc/56/81/31/1043568131.db2.gz HSCZYGBMDLDASN-ULQDDVLXSA-N 0 3 309.457 4.125 20 0 DIADHN CCCCOC1CCN(Cc2ccc(OC(F)F)cc2)CC1 ZINC000353945591 1043569790 /nfs/dbraw/zinc/56/97/90/1043569790.db2.gz AYCVOXIRXMVTFZ-UHFFFAOYSA-N 0 3 313.388 4.069 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@@H]2CCC23CCCC3)n1 ZINC000474075340 1043570578 /nfs/dbraw/zinc/57/05/78/1043570578.db2.gz VEGLHIRWBGUJID-LJQANCHMSA-N 0 3 323.484 4.328 20 0 DIADHN CCC[C@@H](C)CN1CCCC[C@@]12CC(=O)N(CC1CCCC1)C2 ZINC001275081942 1043571786 /nfs/dbraw/zinc/57/17/86/1043571786.db2.gz JRIYFKRNRJVHGY-XLIONFOSSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCC(C)(C)Oc1cc(F)cc(F)c1 ZINC000926402484 1043573642 /nfs/dbraw/zinc/57/36/42/1043573642.db2.gz ULMOQOSKWFSPSK-JTQLQIEISA-N 0 3 324.371 4.078 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CCCSC2)c2ccccn2)cc1 ZINC000926431695 1043575502 /nfs/dbraw/zinc/57/55/02/1043575502.db2.gz QSZCNKAAKUKZDW-APWZRJJASA-N 0 3 312.482 4.212 20 0 DIADHN C[C@H](NCC1=CCCOC1)c1ccccc1SC(F)(F)F ZINC000926431196 1043575964 /nfs/dbraw/zinc/57/59/64/1043575964.db2.gz LKMVPXUMHWAVSK-NSHDSACASA-N 0 3 317.376 4.296 20 0 DIADHN Cc1ccc(C(=O)Nc2ccc(C)cc2CN(C)C)c(Cl)c1 ZINC000191248037 1043576449 /nfs/dbraw/zinc/57/64/49/1043576449.db2.gz SHKJVIIFPTVNPT-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H](NC[C@H]1COc2ccccc21)c1cc(-c2ccccc2)no1 ZINC000926440753 1043579166 /nfs/dbraw/zinc/57/91/66/1043579166.db2.gz RTXWVOAYOVKKNC-ZBFHGGJFSA-N 0 3 320.392 4.168 20 0 DIADHN Cc1ncc(CNCC2(C(F)(F)F)CCC2)n1-c1ccccc1 ZINC000353093672 1043583865 /nfs/dbraw/zinc/58/38/65/1043583865.db2.gz IAYMAHFEOOXOTB-UHFFFAOYSA-N 0 3 323.362 4.003 20 0 DIADHN CC(C)CCn1cccc1CN1CCC[C@@H](c2nccs2)C1 ZINC000353102976 1043585764 /nfs/dbraw/zinc/58/57/64/1043585764.db2.gz QJXZVDDMNMMBRW-MRXNPFEDSA-N 0 3 317.502 4.370 20 0 DIADHN C[C@@H](NCc1ccc(C[S@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000353121310 1043585975 /nfs/dbraw/zinc/58/59/75/1043585975.db2.gz DFUQBFSVUOKASE-ASSNKEHSSA-N 0 3 321.873 4.069 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2ccno2)CC1 ZINC000526080554 1043586051 /nfs/dbraw/zinc/58/60/51/1043586051.db2.gz ZVANAKIGOUWJGO-UHFFFAOYSA-N 0 3 304.821 4.272 20 0 DIADHN COC[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1ccc(Cl)cc1 ZINC000558743264 1043587280 /nfs/dbraw/zinc/58/72/80/1043587280.db2.gz SUZCZVBVGMUDGZ-IAGOWNOFSA-N 0 3 323.864 4.109 20 0 DIADHN CC(C)n1cc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)cn1 ZINC001137080872 1043588182 /nfs/dbraw/zinc/58/81/82/1043588182.db2.gz XFESTCCKRKFSPT-AWEZNQCLSA-N 0 3 317.864 4.279 20 0 DIADHN COc1cc([C@H](C)NCc2ccnc(C)c2)cc2ccccc21 ZINC000926502369 1043588061 /nfs/dbraw/zinc/58/80/61/1043588061.db2.gz FZFJKDMNHDQSNB-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cc([C@@H](C)NCc2ccnc(C)c2)cc2ccccc21 ZINC000926502371 1043588500 /nfs/dbraw/zinc/58/85/00/1043588500.db2.gz FZFJKDMNHDQSNB-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN FC1(F)CCCC[C@@H]1CN[C@H]1CCc2c1nccc2Cl ZINC000926500531 1043588629 /nfs/dbraw/zinc/58/86/29/1043588629.db2.gz DPPCULMLLJYBQV-MFKMUULPSA-N 0 3 300.780 4.137 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c(F)cccc21)c1cnn(C2CCC2)c1 ZINC000926497276 1043589804 /nfs/dbraw/zinc/58/98/04/1043589804.db2.gz VLMXEIFUJXECOA-BFUOFWGJSA-N 0 3 313.420 4.475 20 0 DIADHN CCc1ncc(CN[C@H](C)[C@@H](OC)c2ccccc2Cl)s1 ZINC000353176797 1043590453 /nfs/dbraw/zinc/59/04/53/1043590453.db2.gz WCOGJRGSUNRJCI-BDJLRTHQSA-N 0 3 324.877 4.225 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1cc(-c2ccccc2)no1 ZINC000926526425 1043592337 /nfs/dbraw/zinc/59/23/37/1043592337.db2.gz ZTOMPVWKOGYXOW-TZMCWYRMSA-N 0 3 306.356 4.428 20 0 DIADHN CCC[C@H](NCCc1ncccc1C)c1ncccc1Cl ZINC000926523268 1043592512 /nfs/dbraw/zinc/59/25/12/1043592512.db2.gz AQOJJPVCDQWKCB-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1cc(-c2ccccc2)no1 ZINC000926526421 1043592942 /nfs/dbraw/zinc/59/29/42/1043592942.db2.gz ZTOMPVWKOGYXOW-GXTWGEPZSA-N 0 3 306.356 4.428 20 0 DIADHN CCSc1ccccc1C[C@H](C)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000926531882 1043593801 /nfs/dbraw/zinc/59/38/01/1043593801.db2.gz IBUOEEQFJWTWHB-OFQRWUPVSA-N 0 3 315.486 4.222 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnn(CC(F)F)c2)c2ccccc21 ZINC000353259136 1043594892 /nfs/dbraw/zinc/59/48/92/1043594892.db2.gz WCWNWKGXUBCELT-IRXDYDNUSA-N 0 3 319.399 4.122 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2ccnc(C)n2)CC1 ZINC000353261774 1043594972 /nfs/dbraw/zinc/59/49/72/1043594972.db2.gz CQDAWICVTFEVTJ-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN CCSc1ccccc1C[C@@H](C)NCc1cnc(CC)o1 ZINC000926539921 1043596320 /nfs/dbraw/zinc/59/63/20/1043596320.db2.gz XZJZZEVQLAYORM-CYBMUJFWSA-N 0 3 304.459 4.070 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H](C)C2CCC(F)(F)CC2)cs1 ZINC000353314576 1043597464 /nfs/dbraw/zinc/59/74/64/1043597464.db2.gz NAQUULLNFDYZRW-MNOVXSKESA-N 0 3 318.433 4.154 20 0 DIADHN CCC1(CN[C@H](c2ccc(C(C)C)cc2)c2cccnc2)COC1 ZINC000926538716 1043597505 /nfs/dbraw/zinc/59/75/05/1043597505.db2.gz CIRGNDVSSTZZQW-HXUWFJFHSA-N 0 3 324.468 4.311 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCOc3c(C)cccc31)CCC2 ZINC000353346376 1043601822 /nfs/dbraw/zinc/60/18/22/1043601822.db2.gz NWWQUQJDQWSDCX-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN COCC[C@@H](C)CN[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926577773 1043602201 /nfs/dbraw/zinc/60/22/01/1043602201.db2.gz KIPCJHIZTQGSIY-NXEZZACHSA-N 0 3 324.774 4.077 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccco1)c1ccc(Cl)cc1Cl ZINC000125215774 1043608068 /nfs/dbraw/zinc/60/80/68/1043608068.db2.gz MMHVBZVXWSZALQ-KGLIPLIRSA-N 0 3 314.212 4.361 20 0 DIADHN C[C@H]1CC[C@@H](c2ccccc2)N(Cc2cnn(CC(F)F)c2)C1 ZINC000353476203 1043608153 /nfs/dbraw/zinc/60/81/53/1043608153.db2.gz XPSHFXVMGMLHEG-YOEHRIQHSA-N 0 3 319.399 4.121 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](C)CC(F)F)c2ccccn2)cc1 ZINC000926625734 1043608749 /nfs/dbraw/zinc/60/87/49/1043608749.db2.gz DTBUOLAMSZQQDN-KBXCAEBGSA-N 0 3 304.384 4.360 20 0 DIADHN C[C@H]1CC[C@H](c2ccccc2)N(Cc2cnn(CC(F)F)c2)C1 ZINC000353476201 1043608868 /nfs/dbraw/zinc/60/88/68/1043608868.db2.gz XPSHFXVMGMLHEG-WMLDXEAASA-N 0 3 319.399 4.121 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)N[C@@H]2CCCc3c2cnn3C)c1 ZINC000353462232 1043609140 /nfs/dbraw/zinc/60/91/40/1043609140.db2.gz OCOXENXSGVLOPX-DNVCBOLYSA-N 0 3 311.473 4.347 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2ccc3nccnc3c2)cc1 ZINC001137084472 1043611002 /nfs/dbraw/zinc/61/10/02/1043611002.db2.gz OKPAEANVBFZYSO-LJQANCHMSA-N 0 3 307.372 4.106 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000558831630 1043613146 /nfs/dbraw/zinc/61/31/46/1043613146.db2.gz OMAJLXIPNJTQNH-FZKQIMNGSA-N 0 3 305.368 4.345 20 0 DIADHN C[C@H](NC1(c2ccc(C(F)(F)F)cc2)CC1)c1cc[nH]c(=O)c1 ZINC000926658511 1043613892 /nfs/dbraw/zinc/61/38/92/1043613892.db2.gz BYESMAVAWJOWQJ-NSHDSACASA-N 0 3 322.330 4.146 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@H](C)c1cc(-c2ccccc2)no1 ZINC000926668400 1043614423 /nfs/dbraw/zinc/61/44/23/1043614423.db2.gz HURVETHINCEXAS-HUUCEWRRSA-N 0 3 307.397 4.018 20 0 DIADHN C[C@@H](NCCCOCCc1ccccc1)c1cccnc1Cl ZINC000125303401 1043615404 /nfs/dbraw/zinc/61/54/04/1043615404.db2.gz TXQWNMSCJXPFGT-OAHLLOKOSA-N 0 3 318.848 4.035 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)c(C)s1 ZINC000443606209 1043615688 /nfs/dbraw/zinc/61/56/88/1043615688.db2.gz SHSOYGFMGBQPKC-XHDPSFHLSA-N 0 3 304.434 4.277 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CCC[C@@H](C)O2)c2cccnc2)cc1 ZINC000926704256 1043618004 /nfs/dbraw/zinc/61/80/04/1043618004.db2.gz HSLXDCJLMCBDJS-UXPWSPDFSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1nc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)c(C)s1 ZINC000443611802 1043618663 /nfs/dbraw/zinc/61/86/63/1043618663.db2.gz BOCXERAFQZXPTD-CXAGYDPISA-N 0 3 300.471 4.213 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1csc(C(F)(F)F)c1 ZINC000926696971 1043618768 /nfs/dbraw/zinc/61/87/68/1043618768.db2.gz VWGTWISRRCCNRB-MWLCHTKSSA-N 0 3 305.365 4.129 20 0 DIADHN CCc1ccc([C@@H](NC[C@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926702301 1043618937 /nfs/dbraw/zinc/61/89/37/1043618937.db2.gz AUTHCRNDLGWGES-MSOLQXFVSA-N 0 3 316.395 4.368 20 0 DIADHN C[C@H](c1c(F)cccc1F)N(C)CCCN(C)Cc1ccco1 ZINC000353575525 1043620919 /nfs/dbraw/zinc/62/09/19/1043620919.db2.gz OKDXOQRSBXSIDN-CQSZACIVSA-N 0 3 322.399 4.073 20 0 DIADHN CCc1nocc1CN1CCC(c2ccc(F)cc2C)CC1 ZINC000353585149 1043621266 /nfs/dbraw/zinc/62/12/66/1043621266.db2.gz PLTPXOUBYVWFEZ-UHFFFAOYSA-N 0 3 302.393 4.064 20 0 DIADHN FC(F)O[C@H]1CCN(C(c2ccccc2)c2ccccc2)C1 ZINC000558867521 1043622580 /nfs/dbraw/zinc/62/25/80/1043622580.db2.gz NIRFZOBGSQPCJR-INIZCTEOSA-N 0 3 303.352 4.090 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000353604065 1043622823 /nfs/dbraw/zinc/62/28/23/1043622823.db2.gz HXVVMIFYWXCZBV-KNQAVFIVSA-N 0 3 315.482 4.253 20 0 DIADHN Fc1ccccc1C1CCN(Cc2ccc3nccnc3c2)CC1 ZINC001137087580 1043623016 /nfs/dbraw/zinc/62/30/16/1043623016.db2.gz BKOYANMEVAWAJY-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000353604067 1043622852 /nfs/dbraw/zinc/62/28/52/1043622852.db2.gz HXVVMIFYWXCZBV-UGKGYDQZSA-N 0 3 315.482 4.253 20 0 DIADHN C[C@H](NCC1SCCS1)c1csc(C(F)(F)F)c1 ZINC000926728203 1043623294 /nfs/dbraw/zinc/62/32/94/1043623294.db2.gz XRSOGAJPBGTBLP-ZETCQYMHSA-N 0 3 313.435 4.224 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1Cc1ccc2nccnc2c1 ZINC001137088922 1043624316 /nfs/dbraw/zinc/62/43/16/1043624316.db2.gz SHGDLFMBCNCZHF-LJQANCHMSA-N 0 3 307.372 4.106 20 0 DIADHN COC[C@@H]1CCCN([C@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000443626128 1043626433 /nfs/dbraw/zinc/62/64/33/1043626433.db2.gz KSVZAKUDEVHYND-BZNIZROVSA-N 0 3 314.256 4.339 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2ccc3ccccc3c2)c2ncnn21 ZINC000926771555 1043628409 /nfs/dbraw/zinc/62/84/09/1043628409.db2.gz UTZWMEDPJKZMNG-KBXCAEBGSA-N 0 3 320.440 4.005 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2ccc(C(C)(C)O)cc2)s1 ZINC000926784045 1043631869 /nfs/dbraw/zinc/63/18/69/1043631869.db2.gz DLQFRXGORMBKDK-VXGBXAGGSA-N 0 3 304.459 4.091 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2ccc(C(C)(C)O)cc2)s1 ZINC000926784042 1043631936 /nfs/dbraw/zinc/63/19/36/1043631936.db2.gz DLQFRXGORMBKDK-NEPJUHHUSA-N 0 3 304.459 4.091 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2ccc(C(C)(C)O)cc2)s1 ZINC000926784044 1043632387 /nfs/dbraw/zinc/63/23/87/1043632387.db2.gz DLQFRXGORMBKDK-RYUDHWBXSA-N 0 3 304.459 4.091 20 0 DIADHN Cc1ccc([C@H](NCC[C@H]2CCCS2)c2ccccn2)cc1 ZINC000926788985 1043633477 /nfs/dbraw/zinc/63/34/77/1043633477.db2.gz DLRUUVUESDVNHP-MJGOQNOKSA-N 0 3 312.482 4.355 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H]2CCCS2)c2ccccn2)c1 ZINC000926789007 1043633558 /nfs/dbraw/zinc/63/35/58/1043633558.db2.gz DYOKRXQRCCPRSR-PKOBYXMFSA-N 0 3 312.482 4.355 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCC(c2ccco2)CC1 ZINC000895320556 1043633605 /nfs/dbraw/zinc/63/36/05/1043633605.db2.gz NMPBCCXTLYXPHW-UHFFFAOYSA-N 0 3 301.434 4.053 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1cc(OC)ccn1)C(F)(F)F ZINC000926795490 1043634101 /nfs/dbraw/zinc/63/41/01/1043634101.db2.gz QZILLRIHLPOTMN-NSHDSACASA-N 0 3 304.356 4.110 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1N[C@@H]1CCc2c1nccc2Cl ZINC000926811094 1043637751 /nfs/dbraw/zinc/63/77/51/1043637751.db2.gz WJUXXRKMRMTWQS-RAIGVLPGSA-N 0 3 316.754 4.209 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C1CCCCCC1)c1ccsc1 ZINC000522349940 1043638095 /nfs/dbraw/zinc/63/80/95/1043638095.db2.gz NNDVHCVDFBCGFJ-KRWDZBQOSA-N 0 3 322.518 4.218 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H](O)C2CCCC2)c2cccnc2)cc1 ZINC000926817285 1043638535 /nfs/dbraw/zinc/63/85/35/1043638535.db2.gz RYXJACLUONZQSU-RTWAWAEBSA-N 0 3 324.468 4.010 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H](O)C2CCCC2)c2cccnc2)cc1 ZINC000926817284 1043638656 /nfs/dbraw/zinc/63/86/56/1043638656.db2.gz RYXJACLUONZQSU-NHCUHLMSSA-N 0 3 324.468 4.010 20 0 DIADHN COC[C@H]1C[C@@H](N[C@@H](c2ccc(C(C)C)cc2)c2cccnc2)C1 ZINC000926852908 1043642162 /nfs/dbraw/zinc/64/21/62/1043642162.db2.gz VSJSPRLZVNBHHD-DQLDELGASA-N 0 3 324.468 4.309 20 0 DIADHN Cc1cccc([C@@H](NC2CCC3(COC3)CC2)c2ccccn2)c1 ZINC000926859752 1043643704 /nfs/dbraw/zinc/64/37/04/1043643704.db2.gz CKTZIXORQKYILD-HXUWFJFHSA-N 0 3 322.452 4.028 20 0 DIADHN CCC[C@@H](NCc1ccc(C[S@](C)=O)cc1)c1ccsc1 ZINC000353806012 1043646338 /nfs/dbraw/zinc/64/63/38/1043646338.db2.gz PDHMPWMKAOEZDW-UTKZUKDTSA-N 0 3 321.511 4.258 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2Cc2cn(C)nc2C)o1 ZINC000353830060 1043648279 /nfs/dbraw/zinc/64/82/79/1043648279.db2.gz UETDCFHUTGWZPA-QGZVFWFLSA-N 0 3 301.434 4.001 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)NCc1cc(N(C)C)ccn1 ZINC000926882264 1043648765 /nfs/dbraw/zinc/64/87/65/1043648765.db2.gz ONKFPFOZMHIPMC-CYBMUJFWSA-N 0 3 309.413 4.053 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(F)cc2C)cc1O ZINC000926897836 1043650629 /nfs/dbraw/zinc/65/06/29/1043650629.db2.gz LQCUNZBIKAOGPE-OLZOCXBDSA-N 0 3 303.377 4.260 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(Cl)cc1)c1ccccc1 ZINC000051628124 1043650617 /nfs/dbraw/zinc/65/06/17/1043650617.db2.gz RUXBYCCTNJOBNA-MRXNPFEDSA-N 0 3 302.805 4.019 20 0 DIADHN CC1(C)CC[C@H](NCc2cnn3ccccc23)c2ccccc21 ZINC000353871153 1043653307 /nfs/dbraw/zinc/65/33/07/1043653307.db2.gz TWDJNMLXSOOEEI-SFHVURJKSA-N 0 3 305.425 4.237 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000353904203 1043654301 /nfs/dbraw/zinc/65/43/01/1043654301.db2.gz XZQWUZBZSXMYSZ-BPUTZDHNSA-N 0 3 306.475 4.149 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC000353904205 1043654784 /nfs/dbraw/zinc/65/47/84/1043654784.db2.gz XZQWUZBZSXMYSZ-KBMXLJTQSA-N 0 3 306.475 4.149 20 0 DIADHN OC[C@H](CN[C@@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000353888062 1043655707 /nfs/dbraw/zinc/65/57/07/1043655707.db2.gz YQDNIYCXXLFCBO-GXSJLCMTSA-N 0 3 320.285 4.040 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1cnc2ccsc2c1 ZINC000926924095 1043657472 /nfs/dbraw/zinc/65/74/72/1043657472.db2.gz WHXGLXKHCZXVEZ-QWHCGFSZSA-N 0 3 324.449 4.471 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccccc1-n1cccn1 ZINC001137100558 1043659790 /nfs/dbraw/zinc/65/97/90/1043659790.db2.gz DJHCPRGVBVCYMW-INIZCTEOSA-N 0 3 321.424 4.074 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(-c2ccncc2)s1 ZINC000353926045 1043660396 /nfs/dbraw/zinc/66/03/96/1043660396.db2.gz PFRGWLMTTHGUKB-ZDUSSCGKSA-N 0 3 321.515 4.169 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)C(C)(C)C)cn1 ZINC000474155964 1043671086 /nfs/dbraw/zinc/67/10/86/1043671086.db2.gz CUGZGMHJHVWIOX-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN Clc1cccc2c1[C@H](N[C@@H]1CCSC3(CCC3)C1)COC2 ZINC000927037965 1043671629 /nfs/dbraw/zinc/67/16/29/1043671629.db2.gz OCNXNPFDMMXOCQ-UKRRQHHQSA-N 0 3 323.889 4.319 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(Cc2cnn(C)c2)C1 ZINC000354026445 1043673481 /nfs/dbraw/zinc/67/34/81/1043673481.db2.gz TXRSBPDJAMOAHZ-WBMJQRKESA-N 0 3 323.362 4.022 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1ccc2ncccc2c1 ZINC000354032889 1043674913 /nfs/dbraw/zinc/67/49/13/1043674913.db2.gz FWEQMFYRAJSXMF-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065278 1043679166 /nfs/dbraw/zinc/67/91/66/1043679166.db2.gz GREXSZOVOBIZLG-DNVCBOLYSA-N 0 3 315.461 4.257 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cccnc21)c1ccc(C(C)(C)O)cc1 ZINC000927064262 1043679627 /nfs/dbraw/zinc/67/96/27/1043679627.db2.gz RLPKJNGTCQEADC-KDOFPFPSSA-N 0 3 310.441 4.037 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065277 1043679678 /nfs/dbraw/zinc/67/96/78/1043679678.db2.gz GREXSZOVOBIZLG-BEFAXECRSA-N 0 3 315.461 4.257 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccc(Cl)c(F)c1)c1cnn(C)c1 ZINC000927067250 1043681327 /nfs/dbraw/zinc/68/13/27/1043681327.db2.gz DYIBSWDJPUHFTC-BZNIZROVSA-N 0 3 309.816 4.261 20 0 DIADHN C[C@@H](NCc1csc(-c2ccccn2)n1)C1CCCCC1 ZINC000052333727 1043682075 /nfs/dbraw/zinc/68/20/75/1043682075.db2.gz OFQPWEXVAMCMSU-CYBMUJFWSA-N 0 3 301.459 4.264 20 0 DIADHN CN(Cc1cc2ccccc2o1)Cc1ccc(-n2cccn2)cc1 ZINC000354109244 1043681835 /nfs/dbraw/zinc/68/18/35/1043681835.db2.gz JNIBTVKPHXICJZ-UHFFFAOYSA-N 0 3 317.392 4.251 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000559057602 1043682666 /nfs/dbraw/zinc/68/26/66/1043682666.db2.gz OZMXOAZENKECHS-UHFFFAOYSA-N 0 3 317.483 4.151 20 0 DIADHN CCc1ccccc1CNCc1ccc(Br)cc1 ZINC000052200267 1043683036 /nfs/dbraw/zinc/68/30/36/1043683036.db2.gz FXJFBKLGZNAEMW-UHFFFAOYSA-N 0 3 304.231 4.301 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1Oc1ccccc1)N(C)CC1CC1 ZINC000053185026 1043687486 /nfs/dbraw/zinc/68/74/86/1043687486.db2.gz KSTMGBMRKTYEOP-OAHLLOKOSA-N 0 3 324.424 4.148 20 0 DIADHN C[C@@H](NC[C@]1(c2ccccc2)CCCO1)c1cccnc1Cl ZINC000927115290 1043691339 /nfs/dbraw/zinc/69/13/39/1043691339.db2.gz NGSJCAFIFITZMG-KDOFPFPSSA-N 0 3 316.832 4.092 20 0 DIADHN CC[C@H](CC1CCCCC1)NCc1nc2c(s1)COCC2 ZINC000927115780 1043691433 /nfs/dbraw/zinc/69/14/33/1043691433.db2.gz RCVPFSGXVKILHC-CQSZACIVSA-N 0 3 308.491 4.054 20 0 DIADHN C[C@@H]1CCN(Cc2cnn(C)c2)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000354319611 1043694120 /nfs/dbraw/zinc/69/41/20/1043694120.db2.gz QUNOZMFFLBDQOX-MLGOLLRUSA-N 0 3 323.362 4.022 20 0 DIADHN c1ccc([C@@H](NCC[C@H]2CCC3(CCC3)O2)c2ccccn2)cc1 ZINC000927129508 1043695029 /nfs/dbraw/zinc/69/50/29/1043695029.db2.gz PRVBKSMZAGNHGL-UYAOXDASSA-N 0 3 322.452 4.252 20 0 DIADHN C[C@H](NC1([C@H]2CCCCO2)CC1)c1cc2c(ccnc2Cl)o1 ZINC000927158781 1043698778 /nfs/dbraw/zinc/69/87/78/1043698778.db2.gz JKNVIQIXTOVOTJ-XHDPSFHLSA-N 0 3 320.820 4.234 20 0 DIADHN CC[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccc(C)cc1 ZINC000777616039 1043698938 /nfs/dbraw/zinc/69/89/38/1043698938.db2.gz XHZDBGJSKCVJCN-NRFANRHFSA-N 0 3 323.484 4.310 20 0 DIADHN C[C@@H](NC1([C@@H]2CCCCO2)CC1)c1cc2c(ccnc2Cl)o1 ZINC000927158778 1043700044 /nfs/dbraw/zinc/70/00/44/1043700044.db2.gz JKNVIQIXTOVOTJ-ABAIWWIYSA-N 0 3 320.820 4.234 20 0 DIADHN C[C@H](NC1([C@H]2CCCCO2)CC1)c1ccccc1OC(F)F ZINC000927164377 1043702501 /nfs/dbraw/zinc/70/25/01/1043702501.db2.gz QBQLLIIJKPDRTQ-SWLSCSKDSA-N 0 3 311.372 4.040 20 0 DIADHN C[C@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)CC[C@@H]1c1ccccc1 ZINC000876768505 1043711292 /nfs/dbraw/zinc/71/12/92/1043711292.db2.gz WKWWQQCEGJXJFV-YOEHRIQHSA-N 0 3 322.408 4.159 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2cc(F)ccc21)c1ccsc1 ZINC000354649143 1043711613 /nfs/dbraw/zinc/71/16/13/1043711613.db2.gz ADSMXHRPWQEGSC-KRWDZBQOSA-N 0 3 315.417 4.150 20 0 DIADHN CC[C@@H](NCc1cnc2c(C)cccn12)c1ccc(C)c(F)c1 ZINC000093113515 1043716909 /nfs/dbraw/zinc/71/69/09/1043716909.db2.gz VRYUPYDQIMMTKY-GOSISDBHSA-N 0 3 311.404 4.331 20 0 DIADHN CCn1cc(CN[C@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC000895635518 1043722760 /nfs/dbraw/zinc/72/27/60/1043722760.db2.gz MMJVRADVNQLMKJ-KRWDZBQOSA-N 0 3 303.837 4.032 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)N[C@H](C)c1csc(CC)n1 ZINC000877046176 1043737705 /nfs/dbraw/zinc/73/77/05/1043737705.db2.gz JVCCJUUMOVVHER-CRTZDJKQSA-N 0 3 318.423 4.079 20 0 DIADHN C[C@H](CN(C)Cc1cnc2c(F)cccc2c1)c1nccs1 ZINC000877316173 1043754812 /nfs/dbraw/zinc/75/48/12/1043754812.db2.gz MZMWZEDIAQJPOV-GFCCVEGCSA-N 0 3 315.417 4.066 20 0 DIADHN CCN(Cc1cc2c(cc[nH]c2=O)o1)[C@@H](C)Cc1ccsc1 ZINC000877440150 1043762498 /nfs/dbraw/zinc/76/24/98/1043762498.db2.gz MWOHKMPONCQILU-LBPRGKRZSA-N 0 3 316.426 4.048 20 0 DIADHN C[C@]12CCN(Cc3ccc(C(F)F)nc3)C[C@H]1C2(Cl)Cl ZINC000877508184 1043767371 /nfs/dbraw/zinc/76/73/71/1043767371.db2.gz WFSIGDIGYCZGOD-YPMHNXCESA-N 0 3 321.198 4.035 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1nc(Cl)cs1 ZINC000877562126 1043775585 /nfs/dbraw/zinc/77/55/85/1043775585.db2.gz PKMRCQBADRWHOE-IUCAKERBSA-N 0 3 312.788 4.397 20 0 DIADHN CCn1cc([C@H](C)NCc2cc3nc(Cl)ccc3s2)cn1 ZINC000877573545 1043777539 /nfs/dbraw/zinc/77/75/39/1043777539.db2.gz ZZTHRXAJPQYTOY-JTQLQIEISA-N 0 3 320.849 4.017 20 0 DIADHN CN1CCN(Cc2coc3ccc(F)cc23)C[C@@H]1c1ccccc1 ZINC001141853021 1043778639 /nfs/dbraw/zinc/77/86/39/1043778639.db2.gz ZOWITMZGHXQIAE-LJQANCHMSA-N 0 3 324.399 4.061 20 0 DIADHN COc1ccc(CN(C)Cc2cnccc2C)c2ccccc12 ZINC000355587984 1043779888 /nfs/dbraw/zinc/77/98/88/1043779888.db2.gz WSNJGKHXNINZAD-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Clc1nccc2oc(CNC[C@@H]3CCC4(CCCC4)O3)cc21 ZINC000877620802 1043781154 /nfs/dbraw/zinc/78/11/54/1043781154.db2.gz JJTCDNQYVUCWDB-LBPRGKRZSA-N 0 3 320.820 4.063 20 0 DIADHN CN(Cc1cc2nc(Cl)ccc2s1)CC1=CCSC1 ZINC000877641523 1043783324 /nfs/dbraw/zinc/78/33/24/1043783324.db2.gz XBQCDPRCBNYIDT-UHFFFAOYSA-N 0 3 310.875 4.055 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC(c3ccon3)CC2)c1 ZINC000877638622 1043784160 /nfs/dbraw/zinc/78/41/60/1043784160.db2.gz JGWSTNGGMIEPFD-UHFFFAOYSA-N 0 3 314.429 4.232 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(CF)cc2)cc1OC ZINC000777723729 1043785127 /nfs/dbraw/zinc/78/51/27/1043785127.db2.gz JETMOHJFMMMSNN-AWEZNQCLSA-N 0 3 317.404 4.333 20 0 DIADHN CC(C)n1nccc1CN[C@H]1CCc2cccc3cccc1c32 ZINC000895934922 1043785198 /nfs/dbraw/zinc/78/51/98/1043785198.db2.gz LOLREYXWWSPYPK-IBGZPJMESA-N 0 3 305.425 4.394 20 0 DIADHN CCCn1nccc1CN[C@H]1CCc2cccc3cccc1c32 ZINC000895933875 1043786048 /nfs/dbraw/zinc/78/60/48/1043786048.db2.gz HMOATLDFMFNEQN-IBGZPJMESA-N 0 3 305.425 4.223 20 0 DIADHN FC(F)(F)c1cc(CNC[C@@H]2CC2(Cl)Cl)cs1 ZINC000877658788 1043786653 /nfs/dbraw/zinc/78/66/53/1043786653.db2.gz XLLQUXWKFWDGKF-ZETCQYMHSA-N 0 3 304.164 4.050 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3ccc(CC(C)C)nc3)C2)n1 ZINC000895938439 1043787252 /nfs/dbraw/zinc/78/72/52/1043787252.db2.gz FFXDDFVXYSNRFQ-MRXNPFEDSA-N 0 3 315.486 4.035 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H]2CCc3cccc4cccc2c43)s1 ZINC000895936730 1043787891 /nfs/dbraw/zinc/78/78/91/1043787891.db2.gz VKTDGKBLDZFBCS-SJKOYZFVSA-N 0 3 324.449 4.127 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3ccc(CC(C)C)nc3)C2)n1 ZINC000895938438 1043787998 /nfs/dbraw/zinc/78/79/98/1043787998.db2.gz FFXDDFVXYSNRFQ-INIZCTEOSA-N 0 3 315.486 4.035 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@@H]4CSC[C@@H]4C3)s2)c1 ZINC000877742271 1043791566 /nfs/dbraw/zinc/79/15/66/1043791566.db2.gz YDXVAIDVWYRRJX-NEPJUHHUSA-N 0 3 322.524 4.057 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@H]4CSC[C@@H]4C3)s2)c1 ZINC000877742277 1043792419 /nfs/dbraw/zinc/79/24/19/1043792419.db2.gz YDXVAIDVWYRRJX-RYUDHWBXSA-N 0 3 322.524 4.057 20 0 DIADHN C[C@@H]([C@H](C)N[C@@H](C)c1nnc2n1CCCCC2)C1CCCCC1 ZINC000474475196 1043794943 /nfs/dbraw/zinc/79/49/43/1043794943.db2.gz IIZMGPVXHLJDDC-JYJNAYRXSA-N 0 3 318.509 4.260 20 0 DIADHN Cc1cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)cc(Cl)n1 ZINC000877760948 1043795175 /nfs/dbraw/zinc/79/51/75/1043795175.db2.gz ORJDOTPVSRBHRG-VXGBXAGGSA-N 0 3 304.743 4.030 20 0 DIADHN FC(F)(F)c1ccc(CNC[C@@H]2CC2(Cl)Cl)s1 ZINC000877805188 1043798903 /nfs/dbraw/zinc/79/89/03/1043798903.db2.gz IIYZMQHAOCJQAB-LURJTMIESA-N 0 3 304.164 4.050 20 0 DIADHN CCCCCC[C@@H](C)NCc1nc(Br)cs1 ZINC001257359624 1043808492 /nfs/dbraw/zinc/80/84/92/1043808492.db2.gz VAGCQHOJHWWYCI-SNVBAGLBSA-N 0 3 305.285 4.354 20 0 DIADHN CC(C)(C)c1coc(CN2CCCC[C@H](C3CCOCC3)C2)n1 ZINC000896035636 1043808816 /nfs/dbraw/zinc/80/88/16/1043808816.db2.gz FPJMRNNFLLXQBB-INIZCTEOSA-N 0 3 320.477 4.001 20 0 DIADHN CCN(CCc1nccs1)Cc1cscc1C(F)(F)F ZINC000356107434 1043810551 /nfs/dbraw/zinc/81/05/51/1043810551.db2.gz HCTALXRXUYPOOH-UHFFFAOYSA-N 0 3 320.405 4.288 20 0 DIADHN CCc1ccc([C@H]2C[C@@H](C)CCN2Cc2c[nH]c3nccnc23)o1 ZINC000356110141 1043812167 /nfs/dbraw/zinc/81/21/67/1043812167.db2.gz AUVNJOXVRBFAGE-XJKSGUPXSA-N 0 3 324.428 4.038 20 0 DIADHN FC(F)(F)c1cscc1CN1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000356128811 1043814715 /nfs/dbraw/zinc/81/47/15/1043814715.db2.gz AXQXFWSSACAWQU-NWDGAFQWSA-N 0 3 319.392 4.015 20 0 DIADHN CCn1ccnc1[C@H](C)NCCc1c(Cl)cccc1Cl ZINC000453060414 1043818005 /nfs/dbraw/zinc/81/80/05/1043818005.db2.gz BLDNEIIAHSAGMC-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN Fc1ccc(CCN(Cc2cccnc2)C2CC2)c(Cl)c1 ZINC000356292782 1043829023 /nfs/dbraw/zinc/82/90/23/1043829023.db2.gz DPJSONPGFBASLW-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN COc1ccc(CN2CC3(CCC3)[C@@H]2C2CC2)cc1OC(F)F ZINC000356289466 1043830568 /nfs/dbraw/zinc/83/05/68/1043830568.db2.gz GVPVPVNFQWPZBK-INIZCTEOSA-N 0 3 323.383 4.061 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cccc(-c2cccnc2)c1 ZINC000356296309 1043831269 /nfs/dbraw/zinc/83/12/69/1043831269.db2.gz AYSPTVSMRMNXOB-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CO[C@H]1C[C@@H](CN2CCC[C@@H]2c2ccc(Br)cc2)C1 ZINC000877984979 1043836995 /nfs/dbraw/zinc/83/69/95/1043836995.db2.gz RIXLWABFAKSREV-UHOFOFEASA-N 0 3 324.262 4.011 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1ccccc1-c1cccs1 ZINC000929752956 1043838149 /nfs/dbraw/zinc/83/81/49/1043838149.db2.gz JODKHZNNBMZGGD-MRXNPFEDSA-N 0 3 314.454 4.226 20 0 DIADHN Cc1cccc(C)c1OCCN1CCC[C@H](c2nccs2)C1 ZINC000356486545 1043842793 /nfs/dbraw/zinc/84/27/93/1043842793.db2.gz YRIVUGVUWCIHCE-INIZCTEOSA-N 0 3 316.470 4.018 20 0 DIADHN Clc1cccc(OCCN2CCC[C@H](c3nccs3)C2)c1 ZINC000356491705 1043844057 /nfs/dbraw/zinc/84/40/57/1043844057.db2.gz HVBUKTGFWRXEIO-ZDUSSCGKSA-N 0 3 322.861 4.055 20 0 DIADHN COc1ccccc1-c1nc(CN2CCCCC[C@H]2C)co1 ZINC000878105329 1043848338 /nfs/dbraw/zinc/84/83/38/1043848338.db2.gz AYENOMRTXRGIPN-CQSZACIVSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@@H]([NH2+]Cc1cc([O-])cc(Cl)c1)C1CCC(F)(F)CC1 ZINC001308449531 1043855937 /nfs/dbraw/zinc/85/59/37/1043855937.db2.gz ACICOPYKSGSBNV-SNVBAGLBSA-N 0 3 303.780 4.349 20 0 DIADHN CC[C@H](COCC1CC1)NCc1ccc(Cl)cc1Cl ZINC001308462407 1043860827 /nfs/dbraw/zinc/86/08/27/1043860827.db2.gz KWKJZABTFVNRRU-CQSZACIVSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cc(C)cc(C)n3)CC2)c1 ZINC000929994001 1043861507 /nfs/dbraw/zinc/86/15/07/1043861507.db2.gz CGWSZZZFNJAZIH-UHFFFAOYSA-N 0 3 309.457 4.083 20 0 DIADHN CC(C)C[C@H](CNCc1nccn1C(F)F)c1ccccc1 ZINC000474628476 1043861916 /nfs/dbraw/zinc/86/19/16/1043861916.db2.gz SYHIJEPXWSSWQE-OAHLLOKOSA-N 0 3 307.388 4.198 20 0 DIADHN CC(C)Cc1ncc(CN2CCC(c3ccncc3)CC2)s1 ZINC000289729952 1043868744 /nfs/dbraw/zinc/86/87/44/1043868744.db2.gz HUUBRRPIBAMTSG-UHFFFAOYSA-N 0 3 315.486 4.116 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC[C@@H]3c3cccnc3)O2)cc1 ZINC000878310450 1043869918 /nfs/dbraw/zinc/86/99/18/1043869918.db2.gz ZKQLSYPEEWZPRK-AQNXPRMDSA-N 0 3 308.425 4.139 20 0 DIADHN CCN(Cc1coc(-c2cccs2)n1)[C@@H]1CCOC(C)(C)C1 ZINC000289735883 1043870087 /nfs/dbraw/zinc/87/00/87/1043870087.db2.gz JGGPYBUDIDVKQB-CQSZACIVSA-N 0 3 320.458 4.183 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1coc(-c2ccccc2OC)n1 ZINC000878340789 1043870869 /nfs/dbraw/zinc/87/08/69/1043870869.db2.gz OXAAQHWPEHIXRI-DZGCQCFKSA-N 0 3 300.402 4.113 20 0 DIADHN CCOc1cc(CNCc2ccc(C)c(F)c2)cc(Cl)c1O ZINC000561060719 1043874723 /nfs/dbraw/zinc/87/47/23/1043874723.db2.gz RTKXYEQTNLJKCK-UHFFFAOYSA-N 0 3 323.795 4.182 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCc1ccns1 ZINC000930093723 1043876626 /nfs/dbraw/zinc/87/66/26/1043876626.db2.gz DFUGHNXAFCBVMK-LBPRGKRZSA-N 0 3 309.504 4.267 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CCC[C@H](c2ccccc2)O1 ZINC000878363466 1043879756 /nfs/dbraw/zinc/87/97/56/1043879756.db2.gz BAXFQIQDPWGHFV-MORSLUCNSA-N 0 3 314.429 4.252 20 0 DIADHN Cc1oc(C(C)(C)C)cc1C(=O)Nc1ccccc1CN(C)C ZINC000930126619 1043882519 /nfs/dbraw/zinc/88/25/19/1043882519.db2.gz DGRXVGNSAFQAOM-UHFFFAOYSA-N 0 3 314.429 4.199 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2C[C@@H](c3ccccc3)C[C@H]2C)c1 ZINC000522467427 1043888788 /nfs/dbraw/zinc/88/87/88/1043888788.db2.gz MASJJTDUMPVMNX-AOIWGVFYSA-N 0 3 322.452 4.200 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@H](c2ccccc2)O1)c1c(C)noc1C ZINC000878415605 1043889746 /nfs/dbraw/zinc/88/97/46/1043889746.db2.gz ZTPZYOINIIFRNX-OKZBNKHCSA-N 0 3 314.429 4.252 20 0 DIADHN CC[C@H](NCc1cnc(Br)c(C)c1)c1ccccc1 ZINC000930188404 1043890701 /nfs/dbraw/zinc/89/07/01/1043890701.db2.gz OXHKAMJCBWPINZ-HNNXBMFYSA-N 0 3 319.246 4.393 20 0 DIADHN CC(C)(C)C[C@H](NCc1ccc(N)nc1)c1ccc(F)cc1 ZINC000930203811 1043892723 /nfs/dbraw/zinc/89/27/23/1043892723.db2.gz FHKMTDUKXXKQOM-INIZCTEOSA-N 0 3 301.409 4.070 20 0 DIADHN CCOc1ccc(CNCc2ccc(C(F)F)cc2)cc1F ZINC000474720010 1043898927 /nfs/dbraw/zinc/89/89/27/1043898927.db2.gz PGCBBPIOEYAJEW-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CCC2(CCCCCC2)O1 ZINC000930222664 1043900146 /nfs/dbraw/zinc/90/01/46/1043900146.db2.gz OJAOLDKPJJBPGI-BBRMVZONSA-N 0 3 306.450 4.214 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@@H](C)c1ccccn1 ZINC000289943814 1043900422 /nfs/dbraw/zinc/90/04/22/1043900422.db2.gz PUDATPNBUZJOQN-CMPYXILNSA-N 0 3 318.367 4.437 20 0 DIADHN CCCOCc1ccccc1CNCC1=Cc2ccccc2OC1 ZINC000102423247 1043901422 /nfs/dbraw/zinc/90/14/22/1043901422.db2.gz DACSUEQPIUKNAK-UHFFFAOYSA-N 0 3 323.436 4.179 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccc(F)nc2)C2CC2)cc1 ZINC000930239047 1043903085 /nfs/dbraw/zinc/90/30/85/1043903085.db2.gz JIJXXQSMWZGJCR-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN COCCCC[C@@H](NCc1cc(C)cc(C)n1)c1ccccc1 ZINC000930275658 1043907243 /nfs/dbraw/zinc/90/72/43/1043907243.db2.gz IWVFVDIJGXKBSB-HXUWFJFHSA-N 0 3 312.457 4.346 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1CCSC1 ZINC000474798766 1043921183 /nfs/dbraw/zinc/92/11/83/1043921183.db2.gz ZSPNBLPUKLOKKA-LLVKDONJSA-N 0 3 301.908 4.436 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC000561307487 1043924202 /nfs/dbraw/zinc/92/42/02/1043924202.db2.gz JFZQPVDKPFGKOT-RYQLBKOJSA-N 0 3 314.473 4.293 20 0 DIADHN O=C1CCC(CN2CCC[C@H]2c2nc3ccccc3s2)CC1 ZINC000290160906 1043925051 /nfs/dbraw/zinc/92/50/51/1043925051.db2.gz XLDPCVCOESQKSJ-INIZCTEOSA-N 0 3 314.454 4.193 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2CF)c(C)c1OC ZINC000930494449 1043932324 /nfs/dbraw/zinc/93/23/24/1043932324.db2.gz XQSANMPXXSLGFI-AWEZNQCLSA-N 0 3 317.404 4.333 20 0 DIADHN CC(C)COc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2)cc1 ZINC000102888264 1043933070 /nfs/dbraw/zinc/93/30/70/1043933070.db2.gz DXLAWXDOBLZEQN-UZLBHIALSA-N 0 3 313.441 4.106 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccccc1CF)c1cccs1 ZINC000930498411 1043934642 /nfs/dbraw/zinc/93/46/42/1043934642.db2.gz YRNPCOLASLDGNJ-MRXNPFEDSA-N 0 3 307.434 4.067 20 0 DIADHN CC(C)O[C@@H](CN(Cc1cccnc1)C1CC1)c1ccccc1 ZINC000428304006 1043940963 /nfs/dbraw/zinc/94/09/63/1043940963.db2.gz SHVQEYDKBITPMM-FQEVSTJZSA-N 0 3 310.441 4.212 20 0 DIADHN OCC1([C@@H](NCc2ccccc2CF)c2ccccc2)CCC1 ZINC000930568275 1043942464 /nfs/dbraw/zinc/94/24/64/1043942464.db2.gz NHHWXYCCIHHSCI-IBGZPJMESA-N 0 3 313.416 4.150 20 0 DIADHN CC(C)(NCC1CC(F)(F)C1)c1nc2cc(Cl)ccc2o1 ZINC000879158505 1043948001 /nfs/dbraw/zinc/94/80/01/1043948001.db2.gz CIDGMAZFUAWTMO-UHFFFAOYSA-N 0 3 314.763 4.351 20 0 DIADHN Cc1ccc(C[C@@]2(C)CCCN2Cc2nnc(C(C)C)o2)cc1 ZINC000879387901 1043963566 /nfs/dbraw/zinc/96/35/66/1043963566.db2.gz VAFKDDLUDQMULM-LJQANCHMSA-N 0 3 313.445 4.099 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CNC(C)(C)[C@@H]1CCCCO1 ZINC000879408225 1043963974 /nfs/dbraw/zinc/96/39/74/1043963974.db2.gz RBXFQVCKTFGBHX-KRWDZBQOSA-N 0 3 314.429 4.087 20 0 DIADHN Cc1nc(CN2CCC[C@H]2[C@@H]2CCCOC2)sc1C(C)(C)C ZINC000930810300 1043966376 /nfs/dbraw/zinc/96/63/76/1043966376.db2.gz GZGWLMSLHGHWCR-CABCVRRESA-N 0 3 322.518 4.140 20 0 DIADHN CC[C@H](NCc1ccc(CF)cc1)c1cccc(OC)c1OC ZINC000879465353 1043967073 /nfs/dbraw/zinc/96/70/73/1043967073.db2.gz FQTCIYCFGAYIFO-KRWDZBQOSA-N 0 3 317.404 4.414 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Br)nc1)c1ccncc1 ZINC000290608432 1043968593 /nfs/dbraw/zinc/96/85/93/1043968593.db2.gz HVCPDRLARDWDJW-RISCZKNCSA-N 0 3 320.234 4.041 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCC[C@@H](C(C)C)C1)c1nc(C2CC2)no1 ZINC000474893758 1043971840 /nfs/dbraw/zinc/97/18/40/1043971840.db2.gz OPUSGPLGXIUWIB-OAGGEKHMSA-N 0 3 305.466 4.449 20 0 DIADHN CCOC[C@@H]1CCC[C@@H]1NCc1cc(Cl)sc1Cl ZINC000474895885 1043973398 /nfs/dbraw/zinc/97/33/98/1043973398.db2.gz YBHNANAXEKUIKH-ONGXEEELSA-N 0 3 308.274 4.350 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(CSC)cc2)cc1O ZINC000290744931 1043980027 /nfs/dbraw/zinc/98/00/27/1043980027.db2.gz QIQXNDYUUPNPIA-ZDUSSCGKSA-N 0 3 317.454 4.115 20 0 DIADHN COCC[C@H](N[C@@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000879715066 1043984496 /nfs/dbraw/zinc/98/44/96/1043984496.db2.gz OLRWMZONSOXHNU-YOEHRIQHSA-N 0 3 310.397 4.256 20 0 DIADHN C[C@H](N[C@@H](c1nc[nH]n1)c1ccccc1)[C@@H](C)C1CCCCC1 ZINC000474937965 1043991156 /nfs/dbraw/zinc/99/11/56/1043991156.db2.gz CBWMCQMOFCHQOL-RVKKMQEKSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@H]([NH2+][C@@H](c1nnc[n-]1)c1ccccc1)[C@@H](C)C1CCCCC1 ZINC000474937965 1043991167 /nfs/dbraw/zinc/99/11/67/1043991167.db2.gz CBWMCQMOFCHQOL-RVKKMQEKSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@@H](NCc1nc(-c2ccccc2)no1)C1CCC(F)(F)CC1 ZINC000474945049 1043991470 /nfs/dbraw/zinc/99/14/70/1043991470.db2.gz QUMIMCYTAGGLIK-GFCCVEGCSA-N 0 3 321.371 4.040 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3ccc(F)cc32)cc1O ZINC000290845323 1043993471 /nfs/dbraw/zinc/99/34/71/1043993471.db2.gz YZKDRLRGKQNBQW-YVEFUNNKSA-N 0 3 315.388 4.268 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@H]1NC/C=C\c1ccc(F)c(F)c1 ZINC000561753478 1044000150 /nfs/dbraw/zinc/00/01/50/1044000150.db2.gz RDTATFCJAMJXPP-MYNMJNTDSA-N 0 3 301.336 4.090 20 0 DIADHN Clc1cccc2c1OCCC[C@@H]2NCCOC1CCCC1 ZINC000358022709 1044007629 /nfs/dbraw/zinc/00/76/29/1044007629.db2.gz PIPXDLDPJZUWNX-INIZCTEOSA-N 0 3 309.837 4.103 20 0 DIADHN O=[S@@](CCN1CCCC[C@H]1c1ccccc1)c1ccccc1 ZINC000358031521 1044009004 /nfs/dbraw/zinc/00/90/04/1044009004.db2.gz IEMMGCBWZSDQMJ-UGKGYDQZSA-N 0 3 313.466 4.021 20 0 DIADHN CC(C)(C)OCCCCN1CC(c2nc3ccccc3s2)C1 ZINC000474977875 1044009387 /nfs/dbraw/zinc/00/93/87/1044009387.db2.gz UYXQOUNJADRKMX-UHFFFAOYSA-N 0 3 318.486 4.291 20 0 DIADHN O=[S@](CCN1CCCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000358031516 1044010333 /nfs/dbraw/zinc/01/03/33/1044010333.db2.gz IEMMGCBWZSDQMJ-DENIHFKCSA-N 0 3 313.466 4.021 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cnc3ccccc3c1)CCC2 ZINC000358040933 1044010961 /nfs/dbraw/zinc/01/09/61/1044010961.db2.gz CSGWCCPLEYVPJK-NRFANRHFSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)cc1CN[C@@H]1CCc2ccccc21 ZINC000358042337 1044012900 /nfs/dbraw/zinc/01/29/00/1044012900.db2.gz FFXIELASKFCWHE-HXUWFJFHSA-N 0 3 321.399 4.097 20 0 DIADHN CSc1ccccc1CN(Cc1ccccc1)[C@@H](C)CCO ZINC000358079627 1044014492 /nfs/dbraw/zinc/01/44/92/1044014492.db2.gz XYIRTYFESCMULL-INIZCTEOSA-N 0 3 315.482 4.182 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC000358078191 1044014685 /nfs/dbraw/zinc/01/46/85/1044014685.db2.gz BJCSSGCZOYEAFM-XHDPSFHLSA-N 0 3 313.388 4.126 20 0 DIADHN CSc1ccccc1CN[C@@H](CCCO)c1ccccc1 ZINC000358073746 1044014896 /nfs/dbraw/zinc/01/48/96/1044014896.db2.gz NAYKYRMDTWGOJY-KRWDZBQOSA-N 0 3 301.455 4.012 20 0 DIADHN Cc1nccnc1[C@H](C)NCCCSc1ccccc1Cl ZINC000880104904 1044014858 /nfs/dbraw/zinc/01/48/58/1044014858.db2.gz IBDFPLUOXCCLNO-LBPRGKRZSA-N 0 3 321.877 4.271 20 0 DIADHN CCOC(=O)CC(C)(C)CCN1CC[C@@H]1c1ccc(Cl)cc1 ZINC000561862865 1044015760 /nfs/dbraw/zinc/01/57/60/1044015760.db2.gz UNAVKYKTOZQDRQ-MRXNPFEDSA-N 0 3 323.864 4.456 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@@H](C)N[C@H](C)c1ccccc1 ZINC000358083263 1044018261 /nfs/dbraw/zinc/01/82/61/1044018261.db2.gz KLUUVRFFHKORKT-ZIAGYGMSSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000358097180 1044020243 /nfs/dbraw/zinc/02/02/43/1044020243.db2.gz NPANCJLRRCTAHO-IIAWOOMASA-N 0 3 316.470 4.418 20 0 DIADHN Cc1c([C@H](C)NC2(C(C)C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000645493649 1044024775 /nfs/dbraw/zinc/02/47/75/1044024775.db2.gz NOBZEHBRYYRQHX-LBPRGKRZSA-N 0 3 318.852 4.068 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3nc(C)c(C)o3)C2(C)C)c1 ZINC000880285697 1044027961 /nfs/dbraw/zinc/02/79/61/1044027961.db2.gz WDQHIWVCHVGMIT-KRWDZBQOSA-N 0 3 314.429 4.068 20 0 DIADHN CC[C@H](OCCN1CC[C@H](c2nccs2)C1)c1ccccc1 ZINC000880321007 1044029473 /nfs/dbraw/zinc/02/94/73/1044029473.db2.gz MBHZWJOIOBIYHK-IRXDYDNUSA-N 0 3 316.470 4.100 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCCc3ccc(O)cc32)cc1 ZINC000291155167 1044029852 /nfs/dbraw/zinc/02/98/52/1044029852.db2.gz IRATXXGAOMZQPC-FQEVSTJZSA-N 0 3 311.425 4.096 20 0 DIADHN CC[C@H](N[C@H](C)COCCOC)c1ccc(Cl)cc1Cl ZINC000358195138 1044032003 /nfs/dbraw/zinc/03/20/03/1044032003.db2.gz USWKZDFCCWLGSY-ABAIWWIYSA-N 0 3 320.260 4.086 20 0 DIADHN Cc1cnn(C)c1CN1CCC(c2cccc3ccccc32)CC1 ZINC000561949269 1044032358 /nfs/dbraw/zinc/03/23/58/1044032358.db2.gz OQCHCMOCKKFQLP-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN Cc1csc(CN2CCC(C(=O)c3ccc(F)cc3)CC2)c1 ZINC001137152260 1044032850 /nfs/dbraw/zinc/03/28/50/1044032850.db2.gz LJKQZJUJYZMXHI-UHFFFAOYSA-N 0 3 317.429 4.291 20 0 DIADHN COCC[C@H](C)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291163257 1044032874 /nfs/dbraw/zinc/03/28/74/1044032874.db2.gz JGDSKQVHBSGFKK-RYUDHWBXSA-N 0 3 308.422 4.025 20 0 DIADHN Cc1cncc(CNC(C)(C)c2ccc(C(F)(F)F)cc2)c1 ZINC000880385876 1044033516 /nfs/dbraw/zinc/03/35/16/1044033516.db2.gz XXLRWLGKKBLQSD-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN C[C@@H](O)CCCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000475022343 1044033750 /nfs/dbraw/zinc/03/37/50/1044033750.db2.gz HTOUYWNQEMDOLQ-CZUORRHYSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC000561951990 1044037415 /nfs/dbraw/zinc/03/74/15/1044037415.db2.gz YTLPTFQQQQSKPD-SYGIOELKSA-N 0 3 309.457 4.187 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)ccc1F ZINC000358224502 1044037605 /nfs/dbraw/zinc/03/76/05/1044037605.db2.gz KNLRJNYQAOITRU-ALLJEULLSA-N 0 3 319.445 4.283 20 0 DIADHN Cc1cccnc1[C@@H](N[C@@H]1CCCc2ccc(O)cc21)C(C)C ZINC000291214686 1044040969 /nfs/dbraw/zinc/04/09/69/1044040969.db2.gz NAEQJGJVTDRUBO-MOPGFXCFSA-N 0 3 310.441 4.460 20 0 DIADHN CC[C@@H](NCc1nc(-c2cccs2)oc1C)[C@@H]1CCCOC1 ZINC000880466244 1044041571 /nfs/dbraw/zinc/04/15/71/1044041571.db2.gz UAUVRUJPZQUNOQ-ZIAGYGMSSA-N 0 3 320.458 4.006 20 0 DIADHN CCc1nc(CN[C@@H](c2ccc([C@@H](C)CC)cc2)C(C)C)n[nH]1 ZINC000475025712 1044041800 /nfs/dbraw/zinc/04/18/00/1044041800.db2.gz JDVQFEDNZSNJLV-IFXJQAMLSA-N 0 3 314.477 4.368 20 0 DIADHN CCc1nc(CN[C@@H](c2ccc([C@H](C)CC)cc2)C(C)C)n[nH]1 ZINC000475025711 1044043080 /nfs/dbraw/zinc/04/30/80/1044043080.db2.gz JDVQFEDNZSNJLV-AUUYWEPGSA-N 0 3 314.477 4.368 20 0 DIADHN Cc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)cc1C ZINC000880570830 1044047531 /nfs/dbraw/zinc/04/75/31/1044047531.db2.gz YYGHYHZLHWLEDU-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN Cc1ccc(C)c(CN[C@H](Cn2ccnc2)c2ccccc2)c1 ZINC000880571434 1044047878 /nfs/dbraw/zinc/04/78/78/1044047878.db2.gz PGGROWAOANUNIM-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN COc1ccccc1O[C@H]1CCCN(Cc2cc(C)cs2)C1 ZINC001137156987 1044050679 /nfs/dbraw/zinc/05/06/79/1044050679.db2.gz HHUAOEMKRDSDSI-HNNXBMFYSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1csc(CN(C)Cc2ccc(Br)cc2)c1 ZINC001137156907 1044051449 /nfs/dbraw/zinc/05/14/49/1044051449.db2.gz CXEIYQMYCBNUQY-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc3c(c2)COC3)c2ccsc2S1 ZINC000358315167 1044051486 /nfs/dbraw/zinc/05/14/86/1044051486.db2.gz GNRMKQFLLDIOCS-BZNIZROVSA-N 0 3 317.479 4.494 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@H](C)c2ccc(C)o2)cc1 ZINC000358310264 1044053298 /nfs/dbraw/zinc/05/32/98/1044053298.db2.gz WVXKCWSPPFFOHD-HBUWYVDXSA-N 0 3 303.402 4.023 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc3c(c2)COC3)c2ccsc2S1 ZINC000358315172 1044053552 /nfs/dbraw/zinc/05/35/52/1044053552.db2.gz GNRMKQFLLDIOCS-ZBEGNZNMSA-N 0 3 317.479 4.494 20 0 DIADHN Cc1ccc(F)c(CNC[C@H](c2ccccc2Cl)N(C)C)c1 ZINC000522630737 1044056500 /nfs/dbraw/zinc/05/65/00/1044056500.db2.gz YLGJLYIFCXEPLI-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCCc4ccc(O)cc43)ccc2[nH]1 ZINC000291310849 1044058036 /nfs/dbraw/zinc/05/80/36/1044058036.db2.gz TXQHGPYYRBGZLP-FQEVSTJZSA-N 0 3 306.409 4.349 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3ccc(F)c(F)c3)C2(C)C)o1 ZINC000931688680 1044060802 /nfs/dbraw/zinc/06/08/02/1044060802.db2.gz JYBRIYYEICTKFU-AWEZNQCLSA-N 0 3 306.356 4.029 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1cnc2ccsc2c1 ZINC000358368737 1044061307 /nfs/dbraw/zinc/06/13/07/1044061307.db2.gz PIUDVJNBMLQLOI-SGTLLEGYSA-N 0 3 309.438 4.493 20 0 DIADHN C[C@H](N[C@@H]1CCCOCC1)c1csc(-c2ccccc2F)n1 ZINC000291342239 1044062884 /nfs/dbraw/zinc/06/28/84/1044062884.db2.gz WPCMOHKUDUIVLX-QWHCGFSZSA-N 0 3 320.433 4.169 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H]2CCc3c2cccc3C)c2ccccc21 ZINC000358386751 1044063511 /nfs/dbraw/zinc/06/35/11/1044063511.db2.gz SMBCNORNOYSJME-UXHICEINSA-N 0 3 320.436 4.070 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCCc2ccc(C)cc21 ZINC000358394601 1044064117 /nfs/dbraw/zinc/06/41/17/1044064117.db2.gz HIUVBFXUFZOKKE-IAGOWNOFSA-N 0 3 301.455 4.066 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCCc2ccc(C)cc21 ZINC000358394603 1044064317 /nfs/dbraw/zinc/06/43/17/1044064317.db2.gz HIUVBFXUFZOKKE-SJORKVTESA-N 0 3 301.455 4.066 20 0 DIADHN CCOc1ccc(CNCc2ccc(C)c(Cl)c2)cc1F ZINC000443954859 1044065657 /nfs/dbraw/zinc/06/56/57/1044065657.db2.gz ARIYICDZGMGCFF-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CC[C@@H](C)c2ccccc21 ZINC000358396782 1044066969 /nfs/dbraw/zinc/06/69/69/1044066969.db2.gz ILGOBDAWAPETQM-DQYPLSBCSA-N 0 3 301.455 4.318 20 0 DIADHN C[C@@H](CO)CCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291384912 1044068337 /nfs/dbraw/zinc/06/83/37/1044068337.db2.gz ZRPLSEJEOQKLBQ-CHWSQXEVSA-N 0 3 322.449 4.008 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cccc4cccc2c43)nc1 ZINC000931809065 1044075617 /nfs/dbraw/zinc/07/56/17/1044075617.db2.gz QJVLNWHCEQWEIP-LJQANCHMSA-N 0 3 304.393 4.021 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cccc4cccc2c43)c(C)nn1 ZINC000931806309 1044075864 /nfs/dbraw/zinc/07/58/64/1044075864.db2.gz NIUVRBZCKBMTQJ-LJQANCHMSA-N 0 3 303.409 4.024 20 0 DIADHN CC(C)n1nccc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000280926504 1044083733 /nfs/dbraw/zinc/08/37/33/1044083733.db2.gz QBPRGGOIPWCOQT-SFHVURJKSA-N 0 3 301.409 4.234 20 0 DIADHN CO[C@@H](C)CN(Cc1c(Cl)ccc2cccnc21)C(C)C ZINC000358605374 1044085758 /nfs/dbraw/zinc/08/57/58/1044085758.db2.gz GRKZRZSDOPEVMH-ZDUSSCGKSA-N 0 3 306.837 4.134 20 0 DIADHN Cn1ccnc1[C@@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)C1CC1 ZINC000280996544 1044087951 /nfs/dbraw/zinc/08/79/51/1044087951.db2.gz NGJDGBZXWQBNRC-XIRDDKMYSA-N 0 3 315.848 4.060 20 0 DIADHN Fc1cncc([C@H](NCc2cccc3cccnc32)C2CC2)c1 ZINC000281092124 1044091262 /nfs/dbraw/zinc/09/12/62/1044091262.db2.gz AOFCFEIDHPCLHR-LJQANCHMSA-N 0 3 307.372 4.010 20 0 DIADHN Cc1nccn1CCN(Cc1ccc(Cl)c(Cl)c1)C1CC1 ZINC000346344087 1044096358 /nfs/dbraw/zinc/09/63/58/1044096358.db2.gz GRBLDIFDYGMJSF-UHFFFAOYSA-N 0 3 324.255 4.163 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1cccc2nccn21 ZINC000291800129 1044103323 /nfs/dbraw/zinc/10/33/23/1044103323.db2.gz XEVOPLSXAOHLMY-CYBMUJFWSA-N 0 3 317.845 4.216 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1ccc(OC(C)C)cc1 ZINC000358834176 1044103618 /nfs/dbraw/zinc/10/36/18/1044103618.db2.gz UFOPWOUPPLBOAA-GOSISDBHSA-N 0 3 313.445 4.151 20 0 DIADHN CCOCCCCN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000346448039 1044104992 /nfs/dbraw/zinc/10/49/92/1044104992.db2.gz AYQKUKHAWHZCEZ-LJQANCHMSA-N 0 3 316.420 4.025 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000281254526 1044108073 /nfs/dbraw/zinc/10/80/73/1044108073.db2.gz GLXRBKLTPYAKJS-QGZVFWFLSA-N 0 3 303.837 4.203 20 0 DIADHN Cc1cc([C@H](NC[C@@H]2CCCS2)c2cccnc2)ccc1F ZINC000346558493 1044115157 /nfs/dbraw/zinc/11/51/57/1044115157.db2.gz LNSNRGMOAAWPCQ-WMZOPIPTSA-N 0 3 316.445 4.104 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1ccc(Cl)nc1 ZINC000291920006 1044115572 /nfs/dbraw/zinc/11/55/72/1044115572.db2.gz NULDYNUKUHFDHS-MMPTUQATSA-N 0 3 322.861 4.150 20 0 DIADHN O[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1ccc2ccccc2c1 ZINC000932380179 1044121793 /nfs/dbraw/zinc/12/17/93/1044121793.db2.gz SFSDYJIDFFJYDM-LEWJYISDSA-N 0 3 318.420 4.009 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000359008792 1044121863 /nfs/dbraw/zinc/12/18/63/1044121863.db2.gz XVCRLBRAUAMXRA-SWLSCSKDSA-N 0 3 323.362 4.180 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cccc2cccnc21 ZINC000281378067 1044123203 /nfs/dbraw/zinc/12/32/03/1044123203.db2.gz XAGPUESPNRDLOD-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN COc1ccc([C@H](NCc2cn(C(C)C)nc2C)C(C)C)cc1 ZINC000281337339 1044123443 /nfs/dbraw/zinc/12/34/43/1044123443.db2.gz LRIOLNLUJJVJMX-LJQANCHMSA-N 0 3 315.461 4.268 20 0 DIADHN C[C@H]1C[C@@H](CN(Cc2nc3ccccc3s2)C2CC2)CCO1 ZINC000932397332 1044125370 /nfs/dbraw/zinc/12/53/70/1044125370.db2.gz SRUBOVBHNHBGAN-KBPBESRZSA-N 0 3 316.470 4.076 20 0 DIADHN CCO[C@@H]1C[C@H](NC2(c3nc(C)cs3)CCC2)C12CCCC2 ZINC000346648896 1044125285 /nfs/dbraw/zinc/12/52/85/1044125285.db2.gz HKACMFTZGBKMPG-LSDHHAIUSA-N 0 3 320.502 4.158 20 0 DIADHN CC(C)c1ccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)cc1 ZINC000292018190 1044126871 /nfs/dbraw/zinc/12/68/71/1044126871.db2.gz CCACJISQPAXZSY-SFHVURJKSA-N 0 3 312.461 4.339 20 0 DIADHN CC1CCC(N(C)Cc2nnc(-c3cccc(Cl)c3)o2)CC1 ZINC000359129654 1044133750 /nfs/dbraw/zinc/13/37/50/1044133750.db2.gz FWERVLAYIZUGFG-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cncc(F)c1)c1ccc(OC)cc1 ZINC000359244750 1044145095 /nfs/dbraw/zinc/14/50/95/1044145095.db2.gz RMCFVEWKYBYMMH-UGSOOPFHSA-N 0 3 302.393 4.421 20 0 DIADHN FC(F)(F)c1ncc(CNCCc2ccccc2Cl)s1 ZINC000443991754 1044150668 /nfs/dbraw/zinc/15/06/68/1044150668.db2.gz WZTWFAVPTXYMGT-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCCc2ccc(OC)cc21 ZINC000359301564 1044151929 /nfs/dbraw/zinc/15/19/29/1044151929.db2.gz KFKUURCPCLIINH-VLIAUNLRSA-N 0 3 310.441 4.381 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCCc2nc(C)sc21 ZINC000359297462 1044152963 /nfs/dbraw/zinc/15/29/63/1044152963.db2.gz DKMIXHKFKFKUMA-ABAIWWIYSA-N 0 3 301.459 4.137 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1cccc(NC(C)=O)c1 ZINC000359330513 1044155904 /nfs/dbraw/zinc/15/59/04/1044155904.db2.gz KZAKJADBEQLVNT-ZIAGYGMSSA-N 0 3 311.429 4.014 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1nc2ccccc2n1C(F)F ZINC000444041217 1044156544 /nfs/dbraw/zinc/15/65/44/1044156544.db2.gz XXWYQSATIWPTAI-TZMCWYRMSA-N 0 3 307.388 4.442 20 0 DIADHN CC[C@@H](C)[C@H](NCc1n[nH]c(C(C)C)n1)c1ccc(Cl)cc1 ZINC000428322952 1044157371 /nfs/dbraw/zinc/15/73/71/1044157371.db2.gz IJJMGKLYQVMHQJ-WBMJQRKESA-N 0 3 320.868 4.459 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)c2ccncc2CC)s1 ZINC000359350914 1044158190 /nfs/dbraw/zinc/15/81/90/1044158190.db2.gz XORLCQVGCZLELL-NEPJUHHUSA-N 0 3 303.475 4.383 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3c(C)cc(C)cc32)cc1 ZINC000359377704 1044161002 /nfs/dbraw/zinc/16/10/02/1044161002.db2.gz KTGCTLUEIAURCN-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1cccc2cccnc21 ZINC001137178100 1044169035 /nfs/dbraw/zinc/16/90/35/1044169035.db2.gz SQWAEKHXJMTJBO-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)CC2 ZINC000562876606 1044173535 /nfs/dbraw/zinc/17/35/35/1044173535.db2.gz CYRRNHXFTPYQIT-VQTJNVASSA-N 0 3 312.432 4.014 20 0 DIADHN C[C@]1(Cc2cccs2)CCCN1Cc1coc(C2CC2)n1 ZINC000932920685 1044175348 /nfs/dbraw/zinc/17/53/48/1044175348.db2.gz DCRUFEOOLQLBPB-QGZVFWFLSA-N 0 3 302.443 4.211 20 0 DIADHN CC1(C)C[C@H](c2ccccc2)CN1Cc1nnc(-c2ccco2)o1 ZINC000932906070 1044176440 /nfs/dbraw/zinc/17/64/40/1044176440.db2.gz RAMKPMUVLKOKCO-HNNXBMFYSA-N 0 3 323.396 4.098 20 0 DIADHN CSC[C@@H]1CCCN(Cc2nc(-c3ccccc3)oc2C)C1 ZINC000292490583 1044177350 /nfs/dbraw/zinc/17/73/50/1044177350.db2.gz SXAZQQCHRYZIIX-OAHLLOKOSA-N 0 3 316.470 4.225 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@@H](C2CCOCC2)C1 ZINC000933081245 1044182160 /nfs/dbraw/zinc/18/21/60/1044182160.db2.gz KVVPNLHFGRTFPZ-GOEBONIOSA-N 0 3 314.429 4.027 20 0 DIADHN Fc1ccc(SCCN2CCC[C@H]2c2cccnc2)cc1 ZINC000347006043 1044182946 /nfs/dbraw/zinc/18/29/46/1044182946.db2.gz LVHTYQKSGPTHFP-KRWDZBQOSA-N 0 3 302.418 4.150 20 0 DIADHN CCc1cnc(CN[C@@H]2CCC[C@@H](c3ncccc3C)C2)s1 ZINC000281741924 1044186564 /nfs/dbraw/zinc/18/65/64/1044186564.db2.gz VOXQOXJNLJAFLV-HUUCEWRRSA-N 0 3 315.486 4.225 20 0 DIADHN CCN(C[C@@]1(C)CC1(Cl)Cl)[C@@H](C)c1cccc(O)c1 ZINC000828000253 1044187588 /nfs/dbraw/zinc/18/75/88/1044187588.db2.gz SIINRXZTPPPGFU-SMDDNHRTSA-N 0 3 302.245 4.359 20 0 DIADHN COc1ccc(CN[C@@H]2CCCCc3ccc(Cl)cc32)cn1 ZINC000359654934 1044187403 /nfs/dbraw/zinc/18/74/03/1044187403.db2.gz XQMVPNHSBKWNIJ-QGZVFWFLSA-N 0 3 316.832 4.301 20 0 DIADHN CC[C@H](NC[C@@H](c1ccsc1)N(C)C)c1cc(F)ccc1F ZINC000359671337 1044189133 /nfs/dbraw/zinc/18/91/33/1044189133.db2.gz AQVKUFJXFONWAC-IRXDYDNUSA-N 0 3 324.440 4.370 20 0 DIADHN C[C@H](COc1ccc(C(C)(C)C)cc1)NCc1ccc(F)nc1 ZINC000778160398 1044190874 /nfs/dbraw/zinc/19/08/74/1044190874.db2.gz VJMQYAHMJNAXMD-CQSZACIVSA-N 0 3 316.420 4.075 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc3ccccc3c2)cc1C ZINC000933483608 1044197835 /nfs/dbraw/zinc/19/78/35/1044197835.db2.gz BUXKAQZXHBNVJF-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H](O)c1ccccc1F ZINC000130328765 1044197917 /nfs/dbraw/zinc/19/79/17/1044197917.db2.gz TVAUGDXNVUMCAF-SUMWQHHRSA-N 0 3 313.372 4.265 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNCCOC2CCCC2)c1 ZINC001649166023 1044198422 /nfs/dbraw/zinc/19/84/22/1044198422.db2.gz DFVGFCKBALEDFT-UHFFFAOYSA-N 0 3 321.770 4.408 20 0 DIADHN FC(F)Cn1cc(CN[C@H](CC2CCC2)c2ccccc2)cn1 ZINC000292687477 1044199393 /nfs/dbraw/zinc/19/93/93/1044199393.db2.gz NHJLBCQERGXPHC-QGZVFWFLSA-N 0 3 319.399 4.169 20 0 DIADHN c1ccc2c(c1)CCC[C@H]2NC1(c2ccc3c(c2)OCO3)CC1 ZINC000347143448 1044199632 /nfs/dbraw/zinc/19/96/32/1044199632.db2.gz JSOLUTFSKQYOON-QGZVFWFLSA-N 0 3 307.393 4.072 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000359789649 1044200645 /nfs/dbraw/zinc/20/06/45/1044200645.db2.gz AIALWYJXHAQEEM-QGZVFWFLSA-N 0 3 310.372 4.180 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)C2CCOCC2)o1 ZINC000883003501 1044212851 /nfs/dbraw/zinc/21/28/51/1044212851.db2.gz SQUACWLFXHNKNR-GOSISDBHSA-N 0 3 317.454 4.259 20 0 DIADHN Fc1ccc(CCCCCNCc2cccnc2Cl)cc1 ZINC000778207065 1044217887 /nfs/dbraw/zinc/21/78/87/1044217887.db2.gz UUVYNYAFARJMGV-UHFFFAOYSA-N 0 3 306.812 4.377 20 0 DIADHN Cc1nn(C)c(Cl)c1CNCCCCCc1ccc(F)cc1 ZINC000778206714 1044218992 /nfs/dbraw/zinc/21/89/92/1044218992.db2.gz RPRXYUVUECYQIO-UHFFFAOYSA-N 0 3 323.843 4.024 20 0 DIADHN CCCC[C@@H](CCC)NC(=O)c1cccc(CN2CCCC2)c1 ZINC001137184618 1044227805 /nfs/dbraw/zinc/22/78/05/1044227805.db2.gz FRHQJNCVXZCOLR-LJQANCHMSA-N 0 3 316.489 4.371 20 0 DIADHN Cc1cccc2oc(C(=O)Nc3cc(CN(C)C)ccc3C)cc21 ZINC000934169537 1044228270 /nfs/dbraw/zinc/22/82/70/1044228270.db2.gz RUYCIVCTTOLNIY-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(C)o1)c1ccsc1 ZINC000360052161 1044229159 /nfs/dbraw/zinc/22/91/59/1044229159.db2.gz GZPLZZYXCJQROY-ZBFHGGJFSA-N 0 3 306.475 4.383 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(C)o1)c1ccsc1 ZINC000360052158 1044230047 /nfs/dbraw/zinc/23/00/47/1044230047.db2.gz GZPLZZYXCJQROY-GDBMZVCRSA-N 0 3 306.475 4.383 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccsc1)c1sccc1Cl ZINC000883192295 1044231366 /nfs/dbraw/zinc/23/13/66/1044231366.db2.gz VYGNVHZNJCGYPF-NWDGAFQWSA-N 0 3 301.864 4.237 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCC1CCOCC1 ZINC000292978051 1044237445 /nfs/dbraw/zinc/23/74/45/1044237445.db2.gz QQVLQNRORSDBMB-ZDUSSCGKSA-N 0 3 304.459 4.106 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCCCC2CC2)c2ccccc2)cc1 ZINC000522906561 1044239968 /nfs/dbraw/zinc/23/99/68/1044239968.db2.gz XJXOERMYMJFSTB-HXUWFJFHSA-N 0 3 322.452 4.455 20 0 DIADHN CCSc1ccc(CNCc2ccc(C(C)(C)O)cc2)cc1 ZINC000883236355 1044241489 /nfs/dbraw/zinc/24/14/89/1044241489.db2.gz ZQOOINDEWWTHDG-UHFFFAOYSA-N 0 3 315.482 4.316 20 0 DIADHN Cc1cnc(=S)n(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c1 ZINC000131312674 1044243742 /nfs/dbraw/zinc/24/37/42/1044243742.db2.gz QEHWLTCLQHDFJA-OAHLLOKOSA-N 0 3 319.449 4.395 20 0 DIADHN CC1(CN[C@H](c2ccccc2)c2ccccn2)CC(F)(F)C1 ZINC000883256317 1044246210 /nfs/dbraw/zinc/24/62/10/1044246210.db2.gz TZGRZZIANIGROW-MRXNPFEDSA-N 0 3 302.368 4.196 20 0 DIADHN CC1(CN[C@H](c2ccccc2)c2ccc(F)cn2)CC(F)(F)C1 ZINC000883256459 1044247118 /nfs/dbraw/zinc/24/71/18/1044247118.db2.gz YKCMANIKEZDHFK-MRXNPFEDSA-N 0 3 320.358 4.335 20 0 DIADHN CC1(CN[C@@H](c2ccccc2)c2ccc(F)cn2)CC(F)(F)C1 ZINC000883256458 1044248700 /nfs/dbraw/zinc/24/87/00/1044248700.db2.gz YKCMANIKEZDHFK-INIZCTEOSA-N 0 3 320.358 4.335 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@@H](c3cccc(Cl)c3)C2)s1 ZINC000563343172 1044249863 /nfs/dbraw/zinc/24/98/63/1044249863.db2.gz DFBRZCYXOYVQDT-UKRRQHHQSA-N 0 3 321.877 4.316 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](O)c2cc(Cl)cc(Cl)c2)o1 ZINC000131342981 1044250360 /nfs/dbraw/zinc/25/03/60/1044250360.db2.gz QTVFHJODDCKLKH-YGRLFVJLSA-N 0 3 314.212 4.279 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](O)c2cc(Cl)cc(Cl)c2)o1 ZINC000131343649 1044251318 /nfs/dbraw/zinc/25/13/18/1044251318.db2.gz QTVFHJODDCKLKH-IINYFYTJSA-N 0 3 314.212 4.279 20 0 DIADHN CCCC[C@H](COC)NCc1cc(Cl)cc(Cl)c1OC ZINC000522962066 1044254085 /nfs/dbraw/zinc/25/40/85/1044254085.db2.gz VJWKLVVKLZROPF-CYBMUJFWSA-N 0 3 320.260 4.297 20 0 DIADHN Clc1nccc2oc(CNC[C@@H]3CCCC4(CCC4)O3)cc21 ZINC000896917661 1044254930 /nfs/dbraw/zinc/25/49/30/1044254930.db2.gz FRBFDBBAMPJFMZ-LBPRGKRZSA-N 0 3 320.820 4.063 20 0 DIADHN C[C@H](NCc1ccc2cc[nH]c2c1)c1cnn(CC2CCC2)c1 ZINC000934560631 1044259376 /nfs/dbraw/zinc/25/93/76/1044259376.db2.gz FTWIHNUCJBYIDI-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000934628287 1044263531 /nfs/dbraw/zinc/26/35/31/1044263531.db2.gz OXLJEGBBWHNMRK-LALPHHSUSA-N 0 3 317.820 4.395 20 0 DIADHN C[C@H](C1CCCCC1)N(C)CC(=O)Nc1ccccc1Cl ZINC000563453615 1044264465 /nfs/dbraw/zinc/26/44/65/1044264465.db2.gz LQRNUHAFXFPWAO-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1nocc1CN[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000293251657 1044264855 /nfs/dbraw/zinc/26/48/55/1044264855.db2.gz MBPTZUNITOZEFS-NNUKFRKNSA-N 0 3 310.319 4.038 20 0 DIADHN Brc1cccc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934688194 1044269525 /nfs/dbraw/zinc/26/95/25/1044269525.db2.gz UHRGHHDRSXKYMF-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1nc(Br)cs1 ZINC000883322004 1044274344 /nfs/dbraw/zinc/27/43/44/1044274344.db2.gz RSAYPYDQFVLFCP-XWLWVQCSSA-N 0 3 315.280 4.135 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1nc(Br)cs1 ZINC000883322003 1044274439 /nfs/dbraw/zinc/27/44/39/1044274439.db2.gz RSAYPYDQFVLFCP-VPOLOUISSA-N 0 3 315.280 4.135 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000475115919 1044277854 /nfs/dbraw/zinc/27/78/54/1044277854.db2.gz DDVDKZANMUMODR-HQRMLTQVSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@@H](NCc1ccc2cnn(C)c2c1)c1ccc(Cl)s1 ZINC000563577668 1044284743 /nfs/dbraw/zinc/28/47/43/1044284743.db2.gz ATLOJMIANZURBS-SNVBAGLBSA-N 0 3 305.834 4.139 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCCC[C@@H]3C)n2)ccc1F ZINC000475151207 1044284975 /nfs/dbraw/zinc/28/49/75/1044284975.db2.gz NFZXUZDHODXBBR-RBOXIYTFSA-N 0 3 317.408 4.413 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCOc3cc(C)ccc32)cc1 ZINC000360597629 1044287748 /nfs/dbraw/zinc/28/77/48/1044287748.db2.gz MQZNWXFFEPSAMS-LJQANCHMSA-N 0 3 311.425 4.397 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCOc3cc(C)ccc32)cc1 ZINC000360597628 1044288434 /nfs/dbraw/zinc/28/84/34/1044288434.db2.gz MQZNWXFFEPSAMS-IBGZPJMESA-N 0 3 311.425 4.397 20 0 DIADHN CCCCc1ccc([C@H](NCc2n[nH]c(CC)n2)C(C)C)cc1 ZINC000475153301 1044289015 /nfs/dbraw/zinc/28/90/15/1044289015.db2.gz ZQMQONAQDQFEGK-LJQANCHMSA-N 0 3 314.477 4.197 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)cc1 ZINC000360589804 1044289437 /nfs/dbraw/zinc/28/94/37/1044289437.db2.gz XKCQALKXHGPMQC-JXFKEZNVSA-N 0 3 324.468 4.119 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NCc1ccc(-c2ccccc2)s1 ZINC000237429137 1044289846 /nfs/dbraw/zinc/28/98/46/1044289846.db2.gz RMBJBJSWCQWCRI-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCCC[C@H](C)C2)c(C)c1 ZINC000360628168 1044290469 /nfs/dbraw/zinc/29/04/69/1044290469.db2.gz GLBLJMPBEMSGAS-GUYCJALGSA-N 0 3 302.462 4.061 20 0 DIADHN CC1(C)CC[C@H](N[C@@H]2c3ccccc3C[C@H]2O)c2ccccc21 ZINC000360648466 1044293782 /nfs/dbraw/zinc/29/37/82/1044293782.db2.gz QYCDWLQJHNGFJS-XUVXKRRUSA-N 0 3 307.437 4.047 20 0 DIADHN CCCCn1cc(CN[C@H](c2cccnc2)C2CCC2)c(C)n1 ZINC000360662499 1044296020 /nfs/dbraw/zinc/29/60/20/1044296020.db2.gz GHPWMYSSWHIFRW-IBGZPJMESA-N 0 3 312.461 4.018 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387486 1044298742 /nfs/dbraw/zinc/29/87/42/1044298742.db2.gz YTKLJADAUJPRKP-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN c1cn(C[C@H](N[C@H]2CCCc3sccc32)c2ccccc2)cn1 ZINC000883386137 1044298907 /nfs/dbraw/zinc/29/89/07/1044298907.db2.gz AFFVNPRWKBSHAQ-ROUUACIJSA-N 0 3 323.465 4.353 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](Cn2ccnc2)c2ccccc2)s1 ZINC000883386352 1044299998 /nfs/dbraw/zinc/29/99/98/1044299998.db2.gz DQOAZZZRAHKSAF-DOTOQJQBSA-N 0 3 311.454 4.345 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387488 1044300685 /nfs/dbraw/zinc/30/06/85/1044300685.db2.gz YTKLJADAUJPRKP-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN c1nc(CNC2CC(c3ccccc3)C2)c(-c2ccccc2)o1 ZINC000360697267 1044302741 /nfs/dbraw/zinc/30/27/41/1044302741.db2.gz HISNSXVQFQVWCQ-UHFFFAOYSA-N 0 3 304.393 4.377 20 0 DIADHN Cc1cccc(CN[C@@H]2CC3(CCOCC3)Oc3ccccc32)c1 ZINC000883395040 1044303107 /nfs/dbraw/zinc/30/31/07/1044303107.db2.gz MFDMLOBHMDPNKM-LJQANCHMSA-N 0 3 323.436 4.158 20 0 DIADHN c1cn(C[C@H](NCc2cccc(C3CC3)c2)c2ccccc2)cn1 ZINC000883397500 1044304527 /nfs/dbraw/zinc/30/45/27/1044304527.db2.gz OBPBFGRYJBKQMJ-NRFANRHFSA-N 0 3 317.436 4.292 20 0 DIADHN COc1ccccc1OCCN1CCCCC[C@@H]1c1ccco1 ZINC000523010757 1044313941 /nfs/dbraw/zinc/31/39/41/1044313941.db2.gz SVBWJFDQMXPVBG-MRXNPFEDSA-N 0 3 315.413 4.284 20 0 DIADHN Cc1cccc2ncc(CN3CCCC[C@@H]3c3ccccc3)n21 ZINC001137191159 1044315036 /nfs/dbraw/zinc/31/50/36/1044315036.db2.gz QPAPRLHCVNBOEU-LJQANCHMSA-N 0 3 305.425 4.370 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@H](O)c1ccccc1Cl ZINC000132226938 1044316830 /nfs/dbraw/zinc/31/68/30/1044316830.db2.gz CRMBZBDTPACBGU-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN Cc1cc2cc(CN[C@H](c3nnc[nH]3)C3CCCCC3)ccc2[nH]1 ZINC000293722987 1044320029 /nfs/dbraw/zinc/32/00/29/1044320029.db2.gz PRRPUNOQJPKIPX-SFHVURJKSA-N 0 3 323.444 4.006 20 0 DIADHN Cc1cc2cc(CN[C@@H](c3nnc[nH]3)C3CCCCC3)ccc2[nH]1 ZINC000293722977 1044320743 /nfs/dbraw/zinc/32/07/43/1044320743.db2.gz PRRPUNOQJPKIPX-GOSISDBHSA-N 0 3 323.444 4.006 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1ccc(C(C)C)nc1C ZINC000293748470 1044323547 /nfs/dbraw/zinc/32/35/47/1044323547.db2.gz ILVJNIDWGWKODO-SFHVURJKSA-N 0 3 312.461 4.190 20 0 DIADHN CNC(=O)C[C@H](N[C@H](C)c1cc(C)c(C)cc1C)c1ccccc1 ZINC000360919943 1044329913 /nfs/dbraw/zinc/32/99/13/1044329913.db2.gz ONTFTFMZERXBLY-XLIONFOSSA-N 0 3 324.468 4.140 20 0 DIADHN COc1ccc([C@H](NCc2ccccc2SC)C2CC2)cn1 ZINC000626180554 1044331249 /nfs/dbraw/zinc/33/12/49/1044331249.db2.gz WSRGKMBEVLGVJY-GOSISDBHSA-N 0 3 314.454 4.053 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(OCC(F)F)cc1 ZINC000475275394 1044335698 /nfs/dbraw/zinc/33/56/98/1044335698.db2.gz GBNGNCBFXIYXHA-MFKMUULPSA-N 0 3 323.305 4.103 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2Cc2ccccc2Cl)cn1 ZINC000360964221 1044337533 /nfs/dbraw/zinc/33/75/33/1044337533.db2.gz OHVPTOPSWJSVIT-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)[C@H](c2ccccc2C)N(C)C)cs1 ZINC000360988573 1044341288 /nfs/dbraw/zinc/34/12/88/1044341288.db2.gz ZGBRSBKQUAEKDE-PMUMKWKESA-N 0 3 317.502 4.102 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCC2)s1 ZINC000293863485 1044341915 /nfs/dbraw/zinc/34/19/15/1044341915.db2.gz IKRQYISGEDRMBN-QGZVFWFLSA-N 0 3 301.455 4.050 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@@H](C(F)(F)F)[C@H]2C)cc1 ZINC000475281324 1044342809 /nfs/dbraw/zinc/34/28/09/1044342809.db2.gz CTRMTBVJYYHQMH-IUODEOHRSA-N 0 3 323.362 4.158 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000475281328 1044344893 /nfs/dbraw/zinc/34/48/93/1044344893.db2.gz CTRMTBVJYYHQMH-SWLSCSKDSA-N 0 3 323.362 4.158 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccsc2C)cc1OC ZINC000293943623 1044356517 /nfs/dbraw/zinc/35/65/17/1044356517.db2.gz BGHKCTQXODMYJC-GFCCVEGCSA-N 0 3 305.443 4.233 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000475332702 1044364462 /nfs/dbraw/zinc/36/44/62/1044364462.db2.gz MOEIREHEVDZICW-RYRKJORJSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cc(Br)c(CNCC[C@H](C)F)cc1Cl ZINC001202602598 1044366276 /nfs/dbraw/zinc/36/62/76/1044366276.db2.gz HSYMBFOZXKAWPY-VIFPVBQESA-N 0 3 308.622 4.249 20 0 DIADHN COc1cc(CN(C)[C@H]2CCc3c2cccc3Cl)ccc1O ZINC000487469484 1044384733 /nfs/dbraw/zinc/38/47/33/1044384733.db2.gz HIVAJMWWKGTJNZ-INIZCTEOSA-N 0 3 317.816 4.174 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cncc(C(F)(F)F)c2)C1 ZINC000361318859 1044389689 /nfs/dbraw/zinc/38/96/89/1044389689.db2.gz QQIDHRXOZIOIKV-KBPBESRZSA-N 0 3 318.408 4.206 20 0 DIADHN CC[C@H](NCc1cncc(C(F)(F)F)c1)c1ccc(OC)cc1 ZINC000361348645 1044394673 /nfs/dbraw/zinc/39/46/73/1044394673.db2.gz MJQVZZFPEUQSHV-INIZCTEOSA-N 0 3 324.346 4.350 20 0 DIADHN CC[C@@H](NCc1cncc(C(F)(F)F)c1)c1cccs1 ZINC000361337889 1044394769 /nfs/dbraw/zinc/39/47/69/1044394769.db2.gz ZHAIVORQTFCRPX-GFCCVEGCSA-N 0 3 300.349 4.403 20 0 DIADHN COc1nc2ccccc2cc1CN1CC[C@H](C)C(F)(F)CC1 ZINC001137201102 1044396595 /nfs/dbraw/zinc/39/65/95/1044396595.db2.gz CVZNDTBGQRGXQS-ZDUSSCGKSA-N 0 3 320.383 4.111 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C[C@@H]1CCCCC1(C)C ZINC000564740418 1044399037 /nfs/dbraw/zinc/39/90/37/1044399037.db2.gz QHBFYSZPCVGRSG-INIZCTEOSA-N 0 3 302.462 4.293 20 0 DIADHN CCc1ccc(CNC[C@H](Cc2ccccc2)C(F)(F)F)cn1 ZINC000564761457 1044402053 /nfs/dbraw/zinc/40/20/53/1044402053.db2.gz XRHNWFHTQSRQGE-INIZCTEOSA-N 0 3 322.374 4.155 20 0 DIADHN CC1=C[C@@H](C)C[C@@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000564760175 1044402377 /nfs/dbraw/zinc/40/23/77/1044402377.db2.gz MCZCGOFMCDPZIU-UKRRQHHQSA-N 0 3 313.470 4.287 20 0 DIADHN CCOCCC1(CNCc2ncc(C(C)(C)C)s2)CCC1 ZINC000564811831 1044403289 /nfs/dbraw/zinc/40/32/89/1044403289.db2.gz VOXRRKRINCLCOI-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN CC[C@H](NCC[C@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000348283837 1044406148 /nfs/dbraw/zinc/40/61/48/1044406148.db2.gz YKQWDRJTEKWPNJ-MNOVXSKESA-N 0 3 322.396 4.162 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000192946757 1044406782 /nfs/dbraw/zinc/40/67/82/1044406782.db2.gz XPOQASZAEBGMQQ-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@H](c2cccnc2)C1 ZINC000564903828 1044407124 /nfs/dbraw/zinc/40/71/24/1044407124.db2.gz BBDIPJJMNYNTIA-QGZVFWFLSA-N 0 3 303.409 4.241 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000192946772 1044407486 /nfs/dbraw/zinc/40/74/86/1044407486.db2.gz XPOQASZAEBGMQQ-KGLIPLIRSA-N 0 3 312.413 4.065 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCCC1(F)CCC1 ZINC001202629948 1044409520 /nfs/dbraw/zinc/40/95/20/1044409520.db2.gz VIVVPHZIRKYCAQ-UHFFFAOYSA-N 0 3 322.168 4.099 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2cccc(-n3ccnc3)c2)C1 ZINC000361492449 1044410263 /nfs/dbraw/zinc/41/02/63/1044410263.db2.gz IBZQQVNHBYSCHM-SFHVURJKSA-N 0 3 321.424 4.269 20 0 DIADHN c1nc(C2CCC2)sc1CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000150942410 1044421253 /nfs/dbraw/zinc/42/12/53/1044421253.db2.gz DIXXKAPFQSRCJJ-SJORKVTESA-N 0 3 314.454 4.030 20 0 DIADHN CCN(C)c1ccc(CN(CC)Cc2c[nH]c3ccccc23)cn1 ZINC000361613449 1044424395 /nfs/dbraw/zinc/42/43/95/1044424395.db2.gz XTWDESJAXMJHNA-UHFFFAOYSA-N 0 3 322.456 4.041 20 0 DIADHN COCCN(Cc1cnc(C2CCC2)s1)[C@H]1CC[C@H](C)C1 ZINC000361641318 1044428097 /nfs/dbraw/zinc/42/80/97/1044428097.db2.gz YSBCGUHQYDNXIR-ZFWWWQNUSA-N 0 3 308.491 4.048 20 0 DIADHN Cc1cc(CN2CCC([C@H](O)c3ccccc3)CC2)cs1 ZINC000294512219 1044429260 /nfs/dbraw/zinc/42/92/60/1044429260.db2.gz DDFSSLGLXFKQPV-GOSISDBHSA-N 0 3 301.455 4.002 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@@H](C)c1cnn(C)c1C)CCC2 ZINC000565363918 1044429467 /nfs/dbraw/zinc/42/94/67/1044429467.db2.gz YGDMCGIOIKMGDB-KPZWWZAWSA-N 0 3 315.486 4.179 20 0 DIADHN Cc1cnccc1CN1CCC(c2nc3ccccc3s2)CC1 ZINC000294520233 1044429701 /nfs/dbraw/zinc/42/97/01/1044429701.db2.gz FOHWEILCZKFQQS-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(C)C[C@H](C)c1nccs1 ZINC000294537794 1044431337 /nfs/dbraw/zinc/43/13/37/1044431337.db2.gz KCQMELVLJRXNQR-ZDUSSCGKSA-N 0 3 303.475 4.205 20 0 DIADHN Cc1ccc(-c2cc(CN3CC[C@@H](c4cccnc4)C3)on2)cc1 ZINC000565421823 1044431647 /nfs/dbraw/zinc/43/16/47/1044431647.db2.gz XJRYWKGIZLCKEP-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN COCCN(Cc1cnc(C2CCC2)s1)C[C@H]1CC=CCC1 ZINC000361678810 1044432086 /nfs/dbraw/zinc/43/20/86/1044432086.db2.gz RBHMNEAJNNMWQS-HNNXBMFYSA-N 0 3 320.502 4.215 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H](C)CC(F)(F)F)s2)o1 ZINC000361735015 1044436568 /nfs/dbraw/zinc/43/65/68/1044436568.db2.gz MNAWJRXNOSMIFY-QMMMGPOBSA-N 0 3 304.337 4.142 20 0 DIADHN C[C@H](c1ccccc1)N(C)Cc1cc(Cl)c2c(c1)OCCO2 ZINC000302742716 1044442015 /nfs/dbraw/zinc/44/20/15/1044442015.db2.gz SJDBNNATPUUJGA-CYBMUJFWSA-N 0 3 317.816 4.304 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)nc1)c1ccc(Br)cc1 ZINC000930181632 1044442974 /nfs/dbraw/zinc/44/29/74/1044442974.db2.gz GOZGHVONKFBINT-MNOVXSKESA-N 0 3 323.209 4.395 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H](C)c2ccc(F)cc2)cc1 ZINC000112528421 1044443736 /nfs/dbraw/zinc/44/37/36/1044443736.db2.gz ZHMYKPRGMISTNQ-CQSZACIVSA-N 0 3 314.404 4.415 20 0 DIADHN Cc1cc([C@H](N[C@@H](C)CO)c2cccc(Cl)c2)c(C)s1 ZINC000565625538 1044445520 /nfs/dbraw/zinc/44/55/20/1044445520.db2.gz IOWWCGVNMMWWQO-MGPLVRAMSA-N 0 3 309.862 4.078 20 0 DIADHN COc1ccc(CN[C@@H](C)c2nccc3ccccc32)c(C)c1 ZINC000565686386 1044449930 /nfs/dbraw/zinc/44/99/30/1044449930.db2.gz GNCWHVRFCIEGJW-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Fc1ccc(C[C@@H]2CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000121356083 1044451495 /nfs/dbraw/zinc/45/14/95/1044451495.db2.gz NURFJOHPBYXBBN-HNNXBMFYSA-N 0 3 310.372 4.032 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](CCO)c2ccccc2)cc1 ZINC000361877644 1044455885 /nfs/dbraw/zinc/45/58/85/1044455885.db2.gz QONYEYOGDKYAPY-UZLBHIALSA-N 0 3 313.441 4.248 20 0 DIADHN C[C@@H]1CN(CCSc2ccc(Cl)cc2)CCC1(F)F ZINC000565802943 1044456750 /nfs/dbraw/zinc/45/67/50/1044456750.db2.gz MDKWDWXETSXMKR-LLVKDONJSA-N 0 3 305.821 4.409 20 0 DIADHN CCc1ccccc1CN[C@@H]1CCCOc2ccc(OC)cc21 ZINC000361889903 1044457431 /nfs/dbraw/zinc/45/74/31/1044457431.db2.gz RLCGAPIHLJLRLN-LJQANCHMSA-N 0 3 311.425 4.261 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](c2ccncc2)C1 ZINC000565841795 1044458909 /nfs/dbraw/zinc/45/89/09/1044458909.db2.gz LLDYMEKFJDLWJE-RDTXWAMCSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](N[C@H]1COc2ccc(F)cc2C1)c1csc(Cl)c1 ZINC000566032549 1044471121 /nfs/dbraw/zinc/47/11/21/1044471121.db2.gz LAFHANXPHLWPDB-TVQRCGJNSA-N 0 3 311.809 4.195 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@@H]1CC[C@H](C)O1 ZINC001202691226 1044472889 /nfs/dbraw/zinc/47/28/89/1044472889.db2.gz GQIIZDPLGYYHDA-YJBOKZPZSA-N 0 3 322.468 4.109 20 0 DIADHN Cc1cccc(CC2(O)CCN(C/C=C\c3ccccc3)CC2)c1 ZINC000475509187 1044473278 /nfs/dbraw/zinc/47/32/78/1044473278.db2.gz LAUPRVMVPWXFCX-WDZFZDKYSA-N 0 3 321.464 4.078 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CCCCC23CCCC3)nc1 ZINC000294928521 1044474012 /nfs/dbraw/zinc/47/40/12/1044474012.db2.gz GCYLVPLHTQONRY-CYBMUJFWSA-N 0 3 313.367 4.088 20 0 DIADHN COc1c(C)cnc(CN(C)CCCCc2ccccc2)c1C ZINC000303214390 1044474624 /nfs/dbraw/zinc/47/46/24/1044474624.db2.gz SLJFDBAAIKCFQH-UHFFFAOYSA-N 0 3 312.457 4.162 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1nccn1C ZINC000295019255 1044481361 /nfs/dbraw/zinc/48/13/61/1044481361.db2.gz NOAWENYUXWDYGM-XEZPLFJOSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCCN1Cc1ccc(C(F)(F)F)s1 ZINC000566356038 1044485156 /nfs/dbraw/zinc/48/51/56/1044485156.db2.gz PGGLKXDTEJKQRJ-NEPJUHHUSA-N 0 3 321.408 4.282 20 0 DIADHN C[C@H]1C[C@@H](CO)CCN1Cc1ccccc1Oc1ccccc1 ZINC000566381097 1044487856 /nfs/dbraw/zinc/48/78/56/1044487856.db2.gz BQVLNBZBTKOJIH-IRXDYDNUSA-N 0 3 311.425 4.072 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCC2(C)C)cs1 ZINC000566428879 1044491535 /nfs/dbraw/zinc/49/15/35/1044491535.db2.gz LYGBEVLENFXKKE-OAHLLOKOSA-N 0 3 302.443 4.097 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cncc(F)c2)C2CC2)cc1 ZINC000119209170 1044492040 /nfs/dbraw/zinc/49/20/40/1044492040.db2.gz SWNUDMLCSWQJTI-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000119352644 1044493385 /nfs/dbraw/zinc/49/33/85/1044493385.db2.gz JSYXMISFPGOKPF-HKUYNNGSSA-N 0 3 313.420 4.068 20 0 DIADHN Cc1csc(C(C#N)C(=O)c2ccc(C)c(-n3cccc3)c2)n1 ZINC000041517120 1044493481 /nfs/dbraw/zinc/49/34/81/1044493481.db2.gz QUQNUOLZVHGWLS-OAHLLOKOSA-N 0 3 321.405 4.041 20 0 DIADHN N#CC(C(=O)CCc1ccc(-c2ccccc2)o1)c1ccccn1 ZINC000041493726 1044493690 /nfs/dbraw/zinc/49/36/90/1044493690.db2.gz HPJSQIQCNWOWNR-KRWDZBQOSA-N 0 3 316.360 4.151 20 0 DIADHN Cc1csc(C(C#N)C(=O)c2ccc(C)c(-n3cccc3)c2)n1 ZINC000041517122 1044494040 /nfs/dbraw/zinc/49/40/40/1044494040.db2.gz QUQNUOLZVHGWLS-HNNXBMFYSA-N 0 3 321.405 4.041 20 0 DIADHN C[C@H](N[C@H]1CCn2ccnc21)[C@@H](C)c1ccccc1C(F)(F)F ZINC000295182598 1044496644 /nfs/dbraw/zinc/49/66/44/1044496644.db2.gz VZNYDUDPYDUGNT-XUJVJEKNSA-N 0 3 323.362 4.129 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@H](c3cccc(F)c3)C2)cs1 ZINC000475556183 1044498554 /nfs/dbraw/zinc/49/85/54/1044498554.db2.gz PCXMWOREURFKBE-UKRRQHHQSA-N 0 3 304.434 4.271 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)NCc1ccc(-c2ccccc2)o1 ZINC000119825509 1044499024 /nfs/dbraw/zinc/49/90/24/1044499024.db2.gz KYOVNSBMZAGRQB-CYBMUJFWSA-N 0 3 310.397 4.272 20 0 DIADHN COc1ccc2nc(CN3CCC[C@@H]4CCC[C@H]43)sc2c1 ZINC000475561787 1044500348 /nfs/dbraw/zinc/50/03/48/1044500348.db2.gz ANDHQTWOKVQCKQ-SWLSCSKDSA-N 0 3 302.443 4.069 20 0 DIADHN FC(F)[C@H]1C[C@@H]1NCc1ccccc1OCc1ccccc1 ZINC000566584913 1044501425 /nfs/dbraw/zinc/50/14/25/1044501425.db2.gz XXNGEGPXWKZBMH-HOTGVXAUSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccs2)c(O[C@@H]2CCOC2)c1 ZINC000120561670 1044509438 /nfs/dbraw/zinc/50/94/38/1044509438.db2.gz BGBMCKQWWHOFRJ-GOEBONIOSA-N 0 3 317.454 4.075 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000475568541 1044510532 /nfs/dbraw/zinc/51/05/32/1044510532.db2.gz GWWGARRLQBMCSQ-IBGZPJMESA-N 0 3 314.429 4.041 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCN(c2nccs2)CC1 ZINC000566730443 1044510857 /nfs/dbraw/zinc/51/08/57/1044510857.db2.gz KOZWQPVHQICNIH-HNNXBMFYSA-N 0 3 323.465 4.180 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1noc2c1CCCC2 ZINC000121424860 1044513933 /nfs/dbraw/zinc/51/39/33/1044513933.db2.gz PHVBOGGQYDFVIM-UKRRQHHQSA-N 0 3 314.404 4.071 20 0 DIADHN COC(C)(C)CCN(Cc1ccccn1)Cc1ccccc1C ZINC000566858621 1044522441 /nfs/dbraw/zinc/52/24/41/1044522441.db2.gz SDZKVUYQVMGILP-UHFFFAOYSA-N 0 3 312.457 4.207 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)CCCC1CCCC1)c1ccco1 ZINC000566934429 1044528199 /nfs/dbraw/zinc/52/81/99/1044528199.db2.gz HTWDKOZZHYDZTM-RDJZCZTQSA-N 0 3 320.477 4.186 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NCc1cccc(-c2ccccc2)c1 ZINC000337952641 1044532815 /nfs/dbraw/zinc/53/28/15/1044532815.db2.gz ZNDUHJIMQDVXJH-FQEVSTJZSA-N 0 3 317.436 4.254 20 0 DIADHN O=c1cc(C[NH2+][C@H](c2ccccc2)C2CCCC2)nc(C2CC2)[n-]1 ZINC000567025575 1044538325 /nfs/dbraw/zinc/53/83/25/1044538325.db2.gz KRUQJNKKVXSETG-LJQANCHMSA-N 0 3 323.440 4.081 20 0 DIADHN CC(C)(O)c1ccc(CNC2(c3cccc(Cl)c3)CC2)cc1 ZINC000295545251 1044540062 /nfs/dbraw/zinc/54/00/62/1044540062.db2.gz WPWOJNPZDYDXJR-UHFFFAOYSA-N 0 3 315.844 4.346 20 0 DIADHN Cc1c2ccccc2oc1CNCc1ccc(C(C)(C)O)cc1 ZINC000295567121 1044542010 /nfs/dbraw/zinc/54/20/10/1044542010.db2.gz YMMXLXBIOVYONS-UHFFFAOYSA-N 0 3 309.409 4.258 20 0 DIADHN CN(Cc1nc2ccccc2s1)CC1Cc2ccccc2C1 ZINC000567205402 1044552210 /nfs/dbraw/zinc/55/22/10/1044552210.db2.gz IHMOXPAXHDONSS-UHFFFAOYSA-N 0 3 308.450 4.143 20 0 DIADHN CCc1ccc(CN(Cc2ccc(O)c(OC)c2)C2CC2)s1 ZINC000567256811 1044554531 /nfs/dbraw/zinc/55/45/31/1044554531.db2.gz HNFMTDQPSKBXRT-UHFFFAOYSA-N 0 3 317.454 4.189 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCCc3ccc(F)cc32)cc1 ZINC000295774699 1044557268 /nfs/dbraw/zinc/55/72/68/1044557268.db2.gz SUGOZMGLLAFEET-IBGZPJMESA-N 0 3 313.416 4.220 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(C(C)(F)F)c1)N1CCCCCC1 ZINC000444480664 1044558669 /nfs/dbraw/zinc/55/86/69/1044558669.db2.gz LBXMRQBOJNCZEU-ZDUSSCGKSA-N 0 3 310.388 4.001 20 0 DIADHN Cc1ccc2nc(CN3CCC(c4ccccn4)CC3)ccc2c1 ZINC001137216156 1044559365 /nfs/dbraw/zinc/55/93/65/1044559365.db2.gz ZYOAEZXKCFGQFF-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ncc(C)s2)C12CCCCC2 ZINC000135775507 1044560377 /nfs/dbraw/zinc/56/03/77/1044560377.db2.gz TWHPTMIQRIZNNE-RRFJBIMHSA-N 0 3 308.491 4.230 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)cn1 ZINC000295829993 1044563139 /nfs/dbraw/zinc/56/31/39/1044563139.db2.gz CLRSLNGRHLYERW-IFXJQAMLSA-N 0 3 322.456 4.421 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000295822736 1044563972 /nfs/dbraw/zinc/56/39/72/1044563972.db2.gz BYPYGXGFWYNVPA-KSSFIOAISA-N 0 3 301.434 4.104 20 0 DIADHN COCC1=CCN(Cc2ccc([C@H](C)C(F)(F)F)cc2)CC1 ZINC000295851137 1044565848 /nfs/dbraw/zinc/56/58/48/1044565848.db2.gz XNOJSXIUNREXHI-ZDUSSCGKSA-N 0 3 313.363 4.131 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000295851564 1044566828 /nfs/dbraw/zinc/56/68/28/1044566828.db2.gz DXUHNCZFVSVSJE-UGSOOPFHSA-N 0 3 308.429 4.113 20 0 DIADHN C/C(=C\c1ccc(C)cc1)C(=O)Nc1ccccc1CN(C)C ZINC000778591783 1044570145 /nfs/dbraw/zinc/57/01/45/1044570145.db2.gz CXXAMIAWKLWBDJ-DTQAZKPQSA-N 0 3 308.425 4.099 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCCC2)nc1)c1ccsc1 ZINC000295877315 1044571087 /nfs/dbraw/zinc/57/10/87/1044571087.db2.gz HKEFJPGUINCKCP-QGZVFWFLSA-N 0 3 315.486 4.374 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(OC(C)(C)C)cc2)cn1 ZINC000295877907 1044571879 /nfs/dbraw/zinc/57/18/79/1044571879.db2.gz GTAAKCKLFWNGKP-HUUCEWRRSA-N 0 3 315.461 4.492 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCCC2)nc1)c1ccsc1 ZINC000295877312 1044572037 /nfs/dbraw/zinc/57/20/37/1044572037.db2.gz HKEFJPGUINCKCP-KRWDZBQOSA-N 0 3 315.486 4.374 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN([C@H](C)C1CC1)C1CC1 ZINC000031653887 1044573970 /nfs/dbraw/zinc/57/39/70/1044573970.db2.gz KKYCANOXHYZSOZ-OAHLLOKOSA-N 0 3 314.473 4.320 20 0 DIADHN C[C@H](N[C@@H]1CCn2ccnc21)C(c1ccccc1)c1ccccc1 ZINC000295974726 1044580074 /nfs/dbraw/zinc/58/00/74/1044580074.db2.gz MXIISMISMBLGPU-QFBILLFUSA-N 0 3 317.436 4.138 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCCC2)c1)c1ccsc1 ZINC000295994149 1044580856 /nfs/dbraw/zinc/58/08/56/1044580856.db2.gz NVMZPHHWXSNAJP-QGZVFWFLSA-N 0 3 315.486 4.374 20 0 DIADHN CCc1cc(CCCN2CCc3sccc3[C@H]2CC)on1 ZINC000567720607 1044582595 /nfs/dbraw/zinc/58/25/95/1044582595.db2.gz PDAJMPRLQGDNGU-MRXNPFEDSA-N 0 3 304.459 4.241 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1ccc3c[nH]nc3c1)CCC2 ZINC000296019427 1044583701 /nfs/dbraw/zinc/58/37/01/1044583701.db2.gz MEZBAVKJYNFNDJ-QGZVFWFLSA-N 0 3 313.351 4.008 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3c(c2)CCC(=O)N3)c(C)s1 ZINC000567772152 1044584213 /nfs/dbraw/zinc/58/42/13/1044584213.db2.gz XJCNKHISFSMMPM-GFCCVEGCSA-N 0 3 314.454 4.100 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cc(Cl)cs1)c1cccs1 ZINC000296046589 1044584424 /nfs/dbraw/zinc/58/44/24/1044584424.db2.gz PGYDBJOMUWHVNE-ZDUSSCGKSA-N 0 3 315.891 4.312 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000296078133 1044587899 /nfs/dbraw/zinc/58/78/99/1044587899.db2.gz SKVSNXHIIGDZFJ-WBVHZDCISA-N 0 3 312.413 4.094 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000925158264 1044590691 /nfs/dbraw/zinc/59/06/91/1044590691.db2.gz RJUPLDIPKRBQAE-ZHALLVOQSA-N 0 3 312.482 4.353 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C(C)(C)CO)cc1F ZINC000296124731 1044592178 /nfs/dbraw/zinc/59/21/78/1044592178.db2.gz VSQOFSKNCDBFCQ-MRXNPFEDSA-N 0 3 307.434 4.045 20 0 DIADHN CN1CC[C@@H](NCc2cc(F)ccc2Cl)[C@@H]1c1ccccc1 ZINC000567968663 1044593867 /nfs/dbraw/zinc/59/38/67/1044593867.db2.gz UNIIZHGKBDTSDR-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1[nH]nc(CNC(C)(C)c2ccc(Cl)c(Cl)c2)c1C ZINC000885954164 1044594290 /nfs/dbraw/zinc/59/42/90/1044594290.db2.gz PMXHIPSEGFFJCN-UHFFFAOYSA-N 0 3 312.244 4.358 20 0 DIADHN Clc1ccc2c(n1)CCC[C@H]2NCc1ccc2ncccc2c1 ZINC000296240702 1044600780 /nfs/dbraw/zinc/60/07/80/1044600780.db2.gz ATXKLCZELIBSQN-QGZVFWFLSA-N 0 3 323.827 4.450 20 0 DIADHN CC(C)c1nc(CN[C@H](c2ccccc2)C2CCCCC2)n[nH]1 ZINC000296239106 1044601364 /nfs/dbraw/zinc/60/13/64/1044601364.db2.gz CKJLECUCEMRSTI-GOSISDBHSA-N 0 3 312.461 4.339 20 0 DIADHN CCc1ccccc1CCN1CCC[C@@H]1c1csc(C)n1 ZINC000444681355 1044601305 /nfs/dbraw/zinc/60/13/05/1044601305.db2.gz VAUGZBVAGUWKFR-GOSISDBHSA-N 0 3 300.471 4.394 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNCCCF ZINC000136520831 1044604423 /nfs/dbraw/zinc/60/44/23/1044604423.db2.gz FWXILMVIKCDJFN-UHFFFAOYSA-N 0 3 309.816 4.098 20 0 DIADHN Cc1cc(C)cc(CN(C)Cc2cnc3c(cnn3C(C)C)c2)c1 ZINC000444692927 1044606405 /nfs/dbraw/zinc/60/64/05/1044606405.db2.gz FZEJGUIRPVJFQX-UHFFFAOYSA-N 0 3 322.456 4.261 20 0 DIADHN COCC[C@@H](c1ccccc1)N(C)Cc1cc(F)cc(F)c1 ZINC000444707503 1044608010 /nfs/dbraw/zinc/60/80/10/1044608010.db2.gz YCJHGXYDRZYAGC-SFHVURJKSA-N 0 3 305.368 4.174 20 0 DIADHN C[C@@H](NC[C@@H](C)CCCO)c1csc(-c2ccccc2F)n1 ZINC000296363924 1044610848 /nfs/dbraw/zinc/61/08/48/1044610848.db2.gz IVXFKSOMWCPWFB-QWHCGFSZSA-N 0 3 322.449 4.008 20 0 DIADHN COc1cccc(CNCc2cccc(Br)c2C)c1 ZINC000568239055 1044611111 /nfs/dbraw/zinc/61/11/11/1044611111.db2.gz HOWDNSOELWIBMA-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2c(C)[nH]c3ccccc32)CC1 ZINC000052464654 1044613599 /nfs/dbraw/zinc/61/35/99/1044613599.db2.gz MGGQLXPMWYXZHM-UHFFFAOYSA-N 0 3 323.440 4.461 20 0 DIADHN C[C@@H]1c2ccsc2CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000042683265 1044614921 /nfs/dbraw/zinc/61/49/21/1044614921.db2.gz CSULTRLLEKKPBE-ABAIWWIYSA-N 0 3 307.846 4.054 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000444753871 1044618397 /nfs/dbraw/zinc/61/83/97/1044618397.db2.gz QYDQFLPYRGERNT-AWEZNQCLSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC[C@H](C(C)C)C2)c(C)c1 ZINC000444754571 1044619784 /nfs/dbraw/zinc/61/97/84/1044619784.db2.gz UFANMZXVBQGAJF-MSOLQXFVSA-N 0 3 316.489 4.307 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@@H]1CC[C@@H](C)O1 ZINC000444782505 1044620555 /nfs/dbraw/zinc/62/05/55/1044620555.db2.gz IVCPMCLUPKMBRF-IPYPFGDCSA-N 0 3 301.352 4.146 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cnc(OC)c(Cl)c2)s1 ZINC000296538073 1044623989 /nfs/dbraw/zinc/62/39/89/1044623989.db2.gz QWBPQYIAKXNIAS-SNVBAGLBSA-N 0 3 310.850 4.218 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1cccc2c1OC(C)(C)C2 ZINC000523391431 1044627910 /nfs/dbraw/zinc/62/79/10/1044627910.db2.gz VXIKEDBIVYWIBD-LIRRHRJNSA-N 0 3 307.437 4.423 20 0 DIADHN CSC1(CNCc2nc3cc(Cl)ccc3s2)CCC1 ZINC000568455873 1044628213 /nfs/dbraw/zinc/62/82/13/1044628213.db2.gz ZNUXDHGRYUGGTI-UHFFFAOYSA-N 0 3 312.891 4.325 20 0 DIADHN Cc1nccn1CCN1CCCC[C@@H]1c1cccc(Cl)c1 ZINC000444799030 1044629701 /nfs/dbraw/zinc/62/97/01/1044629701.db2.gz RLLGDEVMQXGQKL-QGZVFWFLSA-N 0 3 303.837 4.072 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCOCC1CC1 ZINC000444790310 1044629870 /nfs/dbraw/zinc/62/98/70/1044629870.db2.gz ILMUUXKUAPYKPK-HNNXBMFYSA-N 0 3 301.352 4.005 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN(CC1CCC1)C(C)C ZINC000444786872 1044629960 /nfs/dbraw/zinc/62/99/60/1044629960.db2.gz VLNXNOMXCSAWEP-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H]1C[C@H](NCCCCCc2ccc(F)cc2)c2nccn21 ZINC000296565119 1044630271 /nfs/dbraw/zinc/63/02/71/1044630271.db2.gz UQNLVXBYFSDIQP-YOEHRIQHSA-N 0 3 301.409 4.031 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@]2(C)CCOC3(CCC3)C2)cs1 ZINC000886345118 1044633626 /nfs/dbraw/zinc/63/36/26/1044633626.db2.gz RZXCAAOHCKVSPX-KRWDZBQOSA-N 0 3 322.518 4.270 20 0 DIADHN CCc1ncc(CN[C@H](CC)c2cccc3ccccc32)cn1 ZINC000886361685 1044633796 /nfs/dbraw/zinc/63/37/96/1044633796.db2.gz RFCIWVFGOUIUAH-LJQANCHMSA-N 0 3 305.425 4.433 20 0 DIADHN C[C@@H](CC(C)(C)O)N[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000296646428 1044637579 /nfs/dbraw/zinc/63/75/79/1044637579.db2.gz YMYUADBZZUUDFA-GJZGRUSLSA-N 0 3 314.429 4.074 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)cs1 ZINC000296644195 1044638400 /nfs/dbraw/zinc/63/84/00/1044638400.db2.gz CYNXJWSPPZYJPB-MTJIALIYSA-N 0 3 307.484 4.206 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1Cc1nnc(-c2ccco2)o1 ZINC000296656185 1044641350 /nfs/dbraw/zinc/64/13/50/1044641350.db2.gz JMWDQORNTMJMPW-IIAWOOMASA-N 0 3 323.396 4.096 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)o2)cc1C ZINC000568728514 1044646346 /nfs/dbraw/zinc/64/63/46/1044646346.db2.gz TZGIDHOBZHBOIQ-TXCZRRACSA-N 0 3 311.429 4.193 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cccnc1 ZINC000886491933 1044647095 /nfs/dbraw/zinc/64/70/95/1044647095.db2.gz XAISVUFNKOJRKW-KPZWWZAWSA-N 0 3 309.388 4.430 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000568831574 1044654127 /nfs/dbraw/zinc/65/41/27/1044654127.db2.gz GNARHFUJGBRYCQ-CORIIIEPSA-N 0 3 318.852 4.007 20 0 DIADHN COC(=O)c1cncc([C@@H](C)N[C@H](c2ccccc2)C2CCC2)c1 ZINC000886522517 1044654372 /nfs/dbraw/zinc/65/43/72/1044654372.db2.gz LDUTVBVGLNZFMB-AUUYWEPGSA-N 0 3 324.424 4.060 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCc2c(F)cc(F)cc21)c1cnn(C)c1 ZINC000886615341 1044668609 /nfs/dbraw/zinc/66/86/09/1044668609.db2.gz DOXKXIPOBNLYLU-QZTJIDSGSA-N 0 3 319.399 4.063 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(F)cc2C)c(C)c1OC ZINC000569052834 1044669625 /nfs/dbraw/zinc/66/96/25/1044669625.db2.gz XWPASQFTKCYROV-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN Cc1nc(CN[C@@H](c2cccc(Cl)c2)C2CCCCC2)n[nH]1 ZINC000569075088 1044671368 /nfs/dbraw/zinc/67/13/68/1044671368.db2.gz CYDFMYQIXVNENL-QGZVFWFLSA-N 0 3 318.852 4.178 20 0 DIADHN Fc1ccc2nc(CN3CCc4ccccc4CC3)sc2c1 ZINC000569063866 1044672221 /nfs/dbraw/zinc/67/22/21/1044672221.db2.gz YSKMHIQGAPINMV-UHFFFAOYSA-N 0 3 312.413 4.036 20 0 DIADHN Cc1ncccc1CN[C@@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC000886642751 1044672947 /nfs/dbraw/zinc/67/29/47/1044672947.db2.gz ABOXJUULFWDOIA-GOSISDBHSA-N 0 3 309.388 4.178 20 0 DIADHN Cc1ccc(NC(=O)CC2CCC(C)CC2)c(CN(C)C)c1 ZINC000523721709 1044677123 /nfs/dbraw/zinc/67/71/23/1044677123.db2.gz LMONJVZMRICYKS-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1nc(C2CCN([C@H](C)c3ccc(F)cc3F)CC2)[nH]c1C ZINC000886667672 1044677956 /nfs/dbraw/zinc/67/79/56/1044677956.db2.gz FOGHZXHEMBHSFX-CYBMUJFWSA-N 0 3 319.399 4.245 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000569274701 1044688647 /nfs/dbraw/zinc/68/86/47/1044688647.db2.gz NEIAYKHYICFLEU-FZKQIMNGSA-N 0 3 320.839 4.433 20 0 DIADHN COC1(C[C@@H](C)N[C@@H](c2ccccc2)c2ccccn2)CCC1 ZINC000365402847 1044688688 /nfs/dbraw/zinc/68/86/88/1044688688.db2.gz LFIXRHANKXPPCK-APWZRJJASA-N 0 3 310.441 4.108 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000569274702 1044689233 /nfs/dbraw/zinc/68/92/33/1044689233.db2.gz NEIAYKHYICFLEU-SCLBCKFNSA-N 0 3 320.839 4.433 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@@H]2c2ccc(Cl)cc2)[n-]1 ZINC000569674242 1044704931 /nfs/dbraw/zinc/70/49/31/1044704931.db2.gz SMVOKYVJYWRCTO-CABCVRRESA-N 0 3 318.852 4.007 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@H]2c2ccc(Cl)cc2)[n-]1 ZINC000569674243 1044705652 /nfs/dbraw/zinc/70/56/52/1044705652.db2.gz SMVOKYVJYWRCTO-GJZGRUSLSA-N 0 3 318.852 4.007 20 0 DIADHN Oc1cccnc1CN(Cc1ccc(F)cc1F)C1CCCC1 ZINC000569740528 1044709291 /nfs/dbraw/zinc/70/92/91/1044709291.db2.gz CEVXJFUJTUZDAF-UHFFFAOYSA-N 0 3 318.367 4.010 20 0 DIADHN CSc1cc(C)ccc1CNCc1cc(C)c(O)c(C)c1 ZINC000569741001 1044710554 /nfs/dbraw/zinc/71/05/54/1044710554.db2.gz GYDVLXUHBPFVCW-UHFFFAOYSA-N 0 3 301.455 4.329 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1ccc(C)s1 ZINC000366210636 1044712984 /nfs/dbraw/zinc/71/29/84/1044712984.db2.gz OUKQDGSUJDSDRX-DOMZBBRYSA-N 0 3 303.427 4.240 20 0 DIADHN C[C@@H](N[C@@H](C)CC1CCC1)c1ncc(Br)s1 ZINC000631738261 1044716099 /nfs/dbraw/zinc/71/60/99/1044716099.db2.gz IXGSIJITRKOWIO-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H]1CN(CCOc2ccc3ccccc3c2)CCC1(F)F ZINC000569970168 1044723562 /nfs/dbraw/zinc/72/35/62/1044723562.db2.gz JSIFTCZTPJUFPU-CQSZACIVSA-N 0 3 305.368 4.196 20 0 DIADHN Cc1csc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)n1 ZINC000366777051 1044724377 /nfs/dbraw/zinc/72/43/77/1044724377.db2.gz JHOJWNCVWINAED-GARJFASQSA-N 0 3 312.891 4.448 20 0 DIADHN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1cc(F)ccc1F ZINC000570012247 1044726093 /nfs/dbraw/zinc/72/60/93/1044726093.db2.gz KWELEARONGWXFT-APPDUMDISA-N 0 3 323.408 4.165 20 0 DIADHN CCN(C/C=C/c1ccc(F)cc1F)[C@@H](C)c1cccnc1 ZINC000570084332 1044729985 /nfs/dbraw/zinc/72/99/85/1044729985.db2.gz FUAXBQXIFHNLIM-DYLGSBMWSA-N 0 3 302.368 4.456 20 0 DIADHN CCN(C/C=C\c1ccc(F)cc1F)[C@H](C)c1cccnc1 ZINC000570084327 1044731285 /nfs/dbraw/zinc/73/12/85/1044731285.db2.gz FUAXBQXIFHNLIM-BRLPQQTGSA-N 0 3 302.368 4.456 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1 ZINC000367245219 1044735096 /nfs/dbraw/zinc/73/50/96/1044735096.db2.gz DYKFGYDAIBGADO-LEUOFYLZSA-N 0 3 324.472 4.018 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccccc2)cc1NC(C)=O ZINC000089553304 1044742786 /nfs/dbraw/zinc/74/27/86/1044742786.db2.gz SPHRORCCSXAKEP-ZIAGYGMSSA-N 0 3 312.413 4.065 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2cccc(C)c2C)c2ccccc21 ZINC000367548351 1044743945 /nfs/dbraw/zinc/74/39/45/1044743945.db2.gz BWBBXTJWFWSLED-OXJNMPFZSA-N 0 3 322.452 4.452 20 0 DIADHN CCCCC[C@H](NCc1cccc(C(=O)NC)c1)c1ccccc1 ZINC000155936048 1044744812 /nfs/dbraw/zinc/74/48/12/1044744812.db2.gz ZRWQIDPTKHTMCR-FQEVSTJZSA-N 0 3 324.468 4.457 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1cc(C)cc(OC)c1)CCC2 ZINC000090724162 1044749174 /nfs/dbraw/zinc/74/91/74/1044749174.db2.gz WEYTUKJZAZQWHA-OAHLLOKOSA-N 0 3 316.470 4.190 20 0 DIADHN Cc1c(CN[C@H](C)c2cccc(-c3ccccc3)c2)cnn1C ZINC000090888204 1044751795 /nfs/dbraw/zinc/75/17/95/1044751795.db2.gz OGGPPUGPCYIMRF-OAHLLOKOSA-N 0 3 305.425 4.246 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H](C)c1cnccn1 ZINC000090911167 1044752568 /nfs/dbraw/zinc/75/25/68/1044752568.db2.gz CVJHZINJYZPDLW-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN CC(C)N(C/C=C/c1ccc(F)c(F)c1)Cc1cccnc1 ZINC000570386317 1044756758 /nfs/dbraw/zinc/75/67/58/1044756758.db2.gz NNUKCJIXRGGMDY-GQCTYLIASA-N 0 3 302.368 4.284 20 0 DIADHN Cc1ccc(C)c(CN[C@H](c2cccs2)C(C)(C)CO)c1 ZINC000570435264 1044757771 /nfs/dbraw/zinc/75/77/71/1044757771.db2.gz SJEFZUCLZPZGPT-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(n3cc(Cl)cn3)CC1)CC2 ZINC000368006854 1044760189 /nfs/dbraw/zinc/76/01/89/1044760189.db2.gz OAJBWWCGLOLFOU-QGZVFWFLSA-N 0 3 319.811 4.000 20 0 DIADHN Cc1cn[nH]c1CN[C@H](c1cccs1)c1ccc(Cl)cc1 ZINC000368059270 1044765692 /nfs/dbraw/zinc/76/56/92/1044765692.db2.gz YCOMQXSUFSZSFV-INIZCTEOSA-N 0 3 317.845 4.312 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3cnn(C(C)C)c32)cc1 ZINC000368166159 1044767567 /nfs/dbraw/zinc/76/75/67/1044767567.db2.gz XSKQHTFQOUKFSL-KSSFIOAISA-N 0 3 313.445 4.201 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccccc1COC)CCC2 ZINC000122068075 1044769843 /nfs/dbraw/zinc/76/98/43/1044769843.db2.gz BQBKBZHDFQGBJV-OAHLLOKOSA-N 0 3 316.470 4.019 20 0 DIADHN Cc1ccccc1-c1cnc(CN2CCc3ccccc3CC2)o1 ZINC000570632073 1044772031 /nfs/dbraw/zinc/77/20/31/1044772031.db2.gz YLLBBVSDGFIZDO-UHFFFAOYSA-N 0 3 318.420 4.251 20 0 DIADHN OC[C@H]1CCCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000570691784 1044774909 /nfs/dbraw/zinc/77/49/09/1044774909.db2.gz LWOWUUXUOVDZEV-ZDUSSCGKSA-N 0 3 316.272 4.020 20 0 DIADHN CSc1ccc(CNCc2ccc(OC(F)F)cc2)s1 ZINC000570789838 1044782864 /nfs/dbraw/zinc/78/28/64/1044782864.db2.gz AAVKOXBRAZRFBG-UHFFFAOYSA-N 0 3 315.410 4.361 20 0 DIADHN c1c2ccccc2sc1CN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000570859152 1044787171 /nfs/dbraw/zinc/78/71/71/1044787171.db2.gz VSTCHDPPRDPGJL-FUHWJXTLSA-N 0 3 313.466 4.435 20 0 DIADHN C[C@@]1(CCCO)CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC000571006983 1044794061 /nfs/dbraw/zinc/79/40/61/1044794061.db2.gz RXLWWOBCQYFVIJ-HNNXBMFYSA-N 0 3 302.245 4.120 20 0 DIADHN CC(C)C[C@H](C)CC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000524321521 1044799610 /nfs/dbraw/zinc/79/96/10/1044799610.db2.gz UTDSNNASAOOTDT-HOTGVXAUSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2ccc(-c3ccc(F)cc3)o2)C1 ZINC001202760193 1044807648 /nfs/dbraw/zinc/80/76/48/1044807648.db2.gz OOXSTQCFERVJSN-WOVMCDHWSA-N 0 3 303.377 4.131 20 0 DIADHN CCCC(=O)NC[C@H]1CCCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC000263801591 1044827078 /nfs/dbraw/zinc/82/70/78/1044827078.db2.gz ZMWDHUJYCUUWMF-LSDHHAIUSA-N 0 3 322.880 4.029 20 0 DIADHN CCC[C@H](N[C@@H]1CCN(C(C)=O)c2ccccc21)c1cccnc1 ZINC000370372500 1044836553 /nfs/dbraw/zinc/83/65/53/1044836553.db2.gz AFSGSGCDJFARBX-RBUKOAKNSA-N 0 3 323.440 4.010 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N1CCCC2(CCCC2)C1 ZINC000445865034 1044842489 /nfs/dbraw/zinc/84/24/89/1044842489.db2.gz CGIKZGKZUPEYGA-MRXNPFEDSA-N 0 3 314.473 4.232 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc(-n3ccnc3)nc2)cc1C ZINC000370670113 1044848220 /nfs/dbraw/zinc/84/82/20/1044848220.db2.gz WMEWKTOUMTXBMU-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2ccc(Oc3ccccc3)cc2)n1C ZINC000572304009 1044850757 /nfs/dbraw/zinc/85/07/57/1044850757.db2.gz CCPGNFTUNCJYAV-HNNXBMFYSA-N 0 3 321.424 4.372 20 0 DIADHN Cc1nc(Cc2ccccc2)sc1[C@@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000572390714 1044855657 /nfs/dbraw/zinc/85/56/57/1044855657.db2.gz UXHXPNFKGMGBBR-SWHYSGLUSA-N 0 3 322.424 4.347 20 0 DIADHN Cc1nc2ccc(Nc3cccc4c3NC(=O)CC4(C)C)cc2[nH]1 ZINC001213076154 1044869385 /nfs/dbraw/zinc/86/93/85/1044869385.db2.gz IAFFAVASUPINQD-UHFFFAOYSA-N 0 3 320.396 4.235 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(C(=O)OC(C)(C)C)CC1 ZINC000524594434 1044880714 /nfs/dbraw/zinc/88/07/14/1044880714.db2.gz LYPFRUARJBDGRE-KRWDZBQOSA-N 0 3 321.436 4.331 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000572969419 1044887195 /nfs/dbraw/zinc/88/71/95/1044887195.db2.gz BEXLZOWFZPAUFB-ZFWWWQNUSA-N 0 3 318.852 4.007 20 0 DIADHN CCCCN(CC(=O)N1CCCC[C@@H]1C)[C@@H](C)c1ccccc1 ZINC000572981517 1044888409 /nfs/dbraw/zinc/88/84/09/1044888409.db2.gz MMEWUVPVPVEUJG-ROUUACIJSA-N 0 3 316.489 4.251 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@H](C)C[C@@H]3C)cs2)cc1 ZINC000524620113 1044889430 /nfs/dbraw/zinc/88/94/30/1044889430.db2.gz VKQUWBKOWRHYKU-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN Fc1cccc([C@@H](N[C@@H]2CCCOC2)c2cccc(Cl)c2)c1 ZINC000573041509 1044890792 /nfs/dbraw/zinc/89/07/92/1044890792.db2.gz QUNZIPVPKZXBIA-MSOLQXFVSA-N 0 3 319.807 4.337 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2C[C@H](c3ccccc3Cl)C2)c1 ZINC000573225083 1044900567 /nfs/dbraw/zinc/90/05/67/1044900567.db2.gz MBFMQHCKAVYODC-MQMHXKEQSA-N 0 3 305.780 4.221 20 0 DIADHN COCC[C@@H]1CCC[C@H]1N[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000337449937 1044901581 /nfs/dbraw/zinc/90/15/81/1044901581.db2.gz HNXZETSZGBEKDA-OUAUKWLOSA-N 0 3 322.396 4.018 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1cc(C)oc1C ZINC000573289334 1044902703 /nfs/dbraw/zinc/90/27/03/1044902703.db2.gz BDUWLKPWHFAPCR-VBHSOAQHSA-N 0 3 305.393 4.462 20 0 DIADHN CC(C)Oc1ccccc1CN1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000573350466 1044904031 /nfs/dbraw/zinc/90/40/31/1044904031.db2.gz ZYWMNIYGMJIWTQ-QFBILLFUSA-N 0 3 310.441 4.452 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H](C)c1ccc(F)cc1)CCC2 ZINC000372083995 1044904938 /nfs/dbraw/zinc/90/49/38/1044904938.db2.gz PSVVFLCPKVFFJL-CXAGYDPISA-N 0 3 301.409 4.331 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@H](C)CC1CCCC1)c1ccco1 ZINC000573354165 1044905178 /nfs/dbraw/zinc/90/51/78/1044905178.db2.gz KHTLTXHHSSNHFB-VYDXJSESSA-N 0 3 320.477 4.041 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnn(C2CCCC2)c1)c1ccccc1 ZINC000337470963 1044905638 /nfs/dbraw/zinc/90/56/38/1044905638.db2.gz NNAOKMQGOKHKMA-DNVCBOLYSA-N 0 3 313.445 4.037 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1ccc(OC(C)C)cc1 ZINC000573468851 1044908216 /nfs/dbraw/zinc/90/82/16/1044908216.db2.gz ALQFCRIZZNGQAZ-RHSMWYFYSA-N 0 3 319.470 4.228 20 0 DIADHN CCOc1cc(CN[C@H]2CCc3c2cccc3Cl)ccc1O ZINC000573468326 1044908764 /nfs/dbraw/zinc/90/87/64/1044908764.db2.gz RHTHPJBJPVXBHC-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN CCc1cnc(CN(C)[C@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000524752310 1044912763 /nfs/dbraw/zinc/91/27/63/1044912763.db2.gz CQIHAUJFZCYNJL-QGZVFWFLSA-N 0 3 304.409 4.075 20 0 DIADHN Clc1ccc(CN2CC[C@H](COCc3ccccc3)C2)cc1 ZINC000524755680 1044913613 /nfs/dbraw/zinc/91/36/13/1044913613.db2.gz LUKQSRQBLZWJLH-SFHVURJKSA-N 0 3 315.844 4.379 20 0 DIADHN CCCN(Cc1csc(C)n1)[C@@H]1CCc2ccccc2C1 ZINC000524753917 1044915062 /nfs/dbraw/zinc/91/50/62/1044915062.db2.gz IRBOZSUVEHXHOY-GOSISDBHSA-N 0 3 300.471 4.221 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC[C@@H](OCC(F)(F)F)C2)c1 ZINC000524755823 1044916561 /nfs/dbraw/zinc/91/65/61/1044916561.db2.gz GYHBXHPFZOBVOL-UKRRQHHQSA-N 0 3 301.352 4.099 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@@H]1OC(F)F)c1ccc(F)cc1F ZINC000337565552 1044922684 /nfs/dbraw/zinc/92/26/84/1044922684.db2.gz XVLYVSUJKHZRBO-IHRRRGAJSA-N 0 3 305.315 4.166 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN(CC1CC1)C1CCC1 ZINC000483292513 1044927660 /nfs/dbraw/zinc/92/76/60/1044927660.db2.gz GJNBXQVECBMWIA-UHFFFAOYSA-N 0 3 301.430 4.052 20 0 DIADHN Cc1cccc(CN(CCc2ccncc2)Cc2ccco2)c1 ZINC000337624022 1044929761 /nfs/dbraw/zinc/92/97/61/1044929761.db2.gz IRDROUSJUDDBKJ-UHFFFAOYSA-N 0 3 306.409 4.228 20 0 DIADHN COCc1nc(CNCC2CCC(C(C)(C)C)CC2)cs1 ZINC000573886029 1044930519 /nfs/dbraw/zinc/93/05/19/1044930519.db2.gz DWMJMVWLUASZSN-UHFFFAOYSA-N 0 3 310.507 4.232 20 0 DIADHN C[C@@H](NCCc1nc(C(C)(C)C)cs1)c1cccnc1Cl ZINC000133364556 1044935060 /nfs/dbraw/zinc/93/50/60/1044935060.db2.gz QPLRRIKCABIULG-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(-c3cnn(C)c3)s2)c(C)o1 ZINC000337817030 1044952718 /nfs/dbraw/zinc/95/27/18/1044952718.db2.gz OWMDZHUUJLBQFC-GFCCVEGCSA-N 0 3 315.442 4.209 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)cs1 ZINC000373244161 1044955202 /nfs/dbraw/zinc/95/52/02/1044955202.db2.gz PWPATBPXMXPCFN-CTHBEMJXSA-N 0 3 308.491 4.121 20 0 DIADHN COc1cc(CCNCc2c(F)cccc2Cl)ccc1C ZINC000524944296 1044956484 /nfs/dbraw/zinc/95/64/84/1044956484.db2.gz CWSPGTVAHXGGMN-UHFFFAOYSA-N 0 3 307.796 4.128 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(F)F)cc1)c1ccc2c(c1)OCO2 ZINC000574588821 1044959490 /nfs/dbraw/zinc/95/94/90/1044959490.db2.gz CTMBFDPIVZMDOC-GFCCVEGCSA-N 0 3 319.351 4.378 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cnc2ccccc2c1 ZINC000337879165 1044960736 /nfs/dbraw/zinc/96/07/36/1044960736.db2.gz WUQHAMGXIIMIPS-IBGZPJMESA-N 0 3 322.383 4.160 20 0 DIADHN c1cc(CN2CCC(Nc3ccc(C4CCC4)cc3)CC2)ccn1 ZINC000373363924 1044972227 /nfs/dbraw/zinc/97/22/27/1044972227.db2.gz IMMJOXJTOBFZFE-UHFFFAOYSA-N 0 3 321.468 4.426 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)N(Cc2cnc(C(C)(C)C)nc2)C1 ZINC000574847251 1044976047 /nfs/dbraw/zinc/97/60/47/1044976047.db2.gz YIUIEAABGPHNMC-YJBOKZPZSA-N 0 3 309.457 4.357 20 0 DIADHN CCc1ccccc1CN[C@@H](c1cccs1)C(C)(C)CO ZINC000574844571 1044976614 /nfs/dbraw/zinc/97/66/14/1044976614.db2.gz NNLRUFWJSFVJKL-KRWDZBQOSA-N 0 3 303.471 4.160 20 0 DIADHN Cc1cc(Cl)c(C(=O)Nc2ccccc2CN(C)C)cc1C ZINC000575015697 1044983135 /nfs/dbraw/zinc/98/31/35/1044983135.db2.gz LTECBUOJERZHIZ-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000925183459 1044983700 /nfs/dbraw/zinc/98/37/00/1044983700.db2.gz IVWCFHHCPHEGNO-GHMZBOCLSA-N 0 3 308.809 4.063 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC(c3nccs3)CC2)c1 ZINC000411210360 1044984201 /nfs/dbraw/zinc/98/42/01/1044984201.db2.gz PTJCBBSBRVMUOT-JKSUJKDBSA-N 0 3 302.418 4.018 20 0 DIADHN CC[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(Cl)s1 ZINC000373563033 1044990886 /nfs/dbraw/zinc/99/08/86/1044990886.db2.gz SNQDFKOXSIJCOM-RYUDHWBXSA-N 0 3 309.866 4.253 20 0 DIADHN Cc1c(C)c(C)c(CN[C@H](CO)c2ccc(F)cc2)c(C)c1C ZINC000575208892 1044991597 /nfs/dbraw/zinc/99/15/97/1044991597.db2.gz CFJSQHZCLVBRQM-HXUWFJFHSA-N 0 3 315.432 4.191 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc3ncccc3c2)o1 ZINC000137085893 1044992742 /nfs/dbraw/zinc/99/27/42/1044992742.db2.gz TXVRECMGNNZLCO-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN COc1ccc(Br)cc1CN(C)CCCCCF ZINC000576016705 1045024572 /nfs/dbraw/zinc/02/45/72/1045024572.db2.gz PTZDQBPSBUNCMT-UHFFFAOYSA-N 0 3 318.230 4.029 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCCc2c(OC)cccc21 ZINC000575741999 1045005744 /nfs/dbraw/zinc/00/57/44/1045005744.db2.gz GFQRGROIEYKQDF-KUHUBIRLSA-N 0 3 310.441 4.381 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3[nH]ccc3c2)cn1 ZINC000575806713 1045010299 /nfs/dbraw/zinc/01/02/99/1045010299.db2.gz GJHLRKOORFCDOE-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN COc1ccc(CN[C@@H](C)c2sc(C(C)C)nc2C)cc1 ZINC000150990943 1045011445 /nfs/dbraw/zinc/01/14/45/1045011445.db2.gz OOJWWRKGBHOJOM-LBPRGKRZSA-N 0 3 304.459 4.434 20 0 DIADHN Cc1ccc2ncc(CN[C@H](c3cccnc3)C3CC3)cc2c1 ZINC000411507587 1045012727 /nfs/dbraw/zinc/01/27/27/1045012727.db2.gz RZFXJFQAIBESBR-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN Nc1cc(CN2CCCCC[C@@H]2/C=C\c2cccs2)ccn1 ZINC000411509529 1045013697 /nfs/dbraw/zinc/01/36/97/1045013697.db2.gz WXVCRQRICPXLBK-XITLMJRVSA-N 0 3 313.470 4.183 20 0 DIADHN CC[C@H](NCc1cccnc1)c1ccc2cc(OC)ccc2c1 ZINC000151086375 1045015418 /nfs/dbraw/zinc/01/54/18/1045015418.db2.gz NBNMPBAIUFKXNO-FQEVSTJZSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@@H](C(C)C)C1 ZINC000446731780 1045018952 /nfs/dbraw/zinc/01/89/52/1045018952.db2.gz LAKHEUZPUIMYLA-DLBZAZTESA-N 0 3 316.489 4.423 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(F)c(COC)c2)cc1 ZINC000151225573 1045019018 /nfs/dbraw/zinc/01/90/18/1045019018.db2.gz ILKPUVXIMZQBBL-AWEZNQCLSA-N 0 3 317.404 4.222 20 0 DIADHN COC[C@H]1CCN(CCSc2cc(Cl)ccc2Cl)C1 ZINC000575958440 1045020273 /nfs/dbraw/zinc/02/02/73/1045020273.db2.gz PULAUFFNAFWTNE-NSHDSACASA-N 0 3 320.285 4.054 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3CCCc4c(O)cccc43)cc2c1 ZINC000411625373 1045020424 /nfs/dbraw/zinc/02/04/24/1045020424.db2.gz WAKBVDWBMYQCKY-FQEVSTJZSA-N 0 3 318.420 4.416 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@H](CC(F)(F)F)C3)cc2c1 ZINC000411635492 1045020677 /nfs/dbraw/zinc/02/06/77/1045020677.db2.gz NQCSVWBTAMNUQU-CYBMUJFWSA-N 0 3 308.347 4.318 20 0 DIADHN CCc1cnc(CN(CC)[C@@H](CC)Cc2ccc(C)cc2)o1 ZINC000525272202 1045020853 /nfs/dbraw/zinc/02/08/53/1045020853.db2.gz WFCKNZMLJSRKKZ-KRWDZBQOSA-N 0 3 300.446 4.389 20 0 DIADHN COC[C@@H]1CCN(CCSc2cc(Cl)ccc2Cl)C1 ZINC000575958439 1045021401 /nfs/dbraw/zinc/02/14/01/1045021401.db2.gz PULAUFFNAFWTNE-LLVKDONJSA-N 0 3 320.285 4.054 20 0 DIADHN CN(Cc1cnc(C2CCCC2)s1)CC1(O)CCCCCC1 ZINC000428452551 1045021838 /nfs/dbraw/zinc/02/18/38/1045021838.db2.gz LYMLSLBAZQKBLU-UHFFFAOYSA-N 0 3 322.518 4.318 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](CC)C1 ZINC000446724376 1045024805 /nfs/dbraw/zinc/02/48/05/1045024805.db2.gz CQIUUQLPVIJQSY-ARFHVFGLSA-N 0 3 302.462 4.259 20 0 DIADHN CCCCN(Cc1nnc2n1CCCCC2)[C@@H]1CCC[C@H](C)C1 ZINC000576006879 1045026204 /nfs/dbraw/zinc/02/62/04/1045026204.db2.gz WUJYUKHZQBWEQC-DLBZAZTESA-N 0 3 318.509 4.185 20 0 DIADHN Clc1ccc(/C=C\CN2CCC(c3ccncn3)CC2)cc1 ZINC000411826394 1045031027 /nfs/dbraw/zinc/03/10/27/1045031027.db2.gz JXRAKLCFITUFLY-UPHRSURJSA-N 0 3 313.832 4.023 20 0 DIADHN CCc1ccc(-c2ccc(CNCCc3nccs3)o2)cc1 ZINC000525289871 1045031792 /nfs/dbraw/zinc/03/17/92/1045031792.db2.gz CPEPUEXNVOWVDZ-UHFFFAOYSA-N 0 3 312.438 4.298 20 0 DIADHN CCC1(CC)CCN([C@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000446739192 1045032915 /nfs/dbraw/zinc/03/29/15/1045032915.db2.gz REWVGENLVUXFBM-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@H](CC)c1ccccc1 ZINC000411885544 1045033550 /nfs/dbraw/zinc/03/35/50/1045033550.db2.gz QRUFMUUAYGVTDB-CRAIPNDOSA-N 0 3 310.441 4.317 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CCCc2c(cnn2C)C1 ZINC000411844198 1045034037 /nfs/dbraw/zinc/03/40/37/1045034037.db2.gz LYVVAVBOLSUIEX-OAHLLOKOSA-N 0 3 305.425 4.083 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3CC[C@@H](C(C)C)C3)n2)cc1F ZINC000446736470 1045034617 /nfs/dbraw/zinc/03/46/17/1045034617.db2.gz UPVOPMUUTYPNTM-UKRRQHHQSA-N 0 3 317.408 4.223 20 0 DIADHN CO[C@@H](C)c1nc(CNC(C)(C)CCc2ccccc2)cs1 ZINC000151938136 1045035493 /nfs/dbraw/zinc/03/54/93/1045035493.db2.gz XUUWWNHEFKSALT-AWEZNQCLSA-N 0 3 318.486 4.352 20 0 DIADHN CC[C@H](N[C@H](C)Cc1c(C)noc1C)c1cc(F)ccc1F ZINC000152104506 1045037105 /nfs/dbraw/zinc/03/71/05/1045037105.db2.gz HAMNOSSKLCTIMZ-QGHHPUGFSA-N 0 3 308.372 4.242 20 0 DIADHN COc1cc(CN2CCC[C@@H](C(F)(F)F)[C@@H]2C)ccc1C ZINC000446797100 1045038030 /nfs/dbraw/zinc/03/80/30/1045038030.db2.gz PBZYJBGPDPFONY-GXTWGEPZSA-N 0 3 301.352 4.166 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1ncc(-c2ccccc2)s1)C1CC1 ZINC000411977264 1045040757 /nfs/dbraw/zinc/04/07/57/1045040757.db2.gz XVIAKROCQKYIGV-FZKQIMNGSA-N 0 3 316.470 4.103 20 0 DIADHN CC(C)O[C@@H](CN1CCc2sccc2C1)c1ccccc1 ZINC000428453037 1045042080 /nfs/dbraw/zinc/04/20/80/1045042080.db2.gz DXWPYXDVTJXNRX-KRWDZBQOSA-N 0 3 301.455 4.273 20 0 DIADHN C[C@@H]1CSCCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000576209201 1045042015 /nfs/dbraw/zinc/04/20/15/1045042015.db2.gz YERJALUWLMKEEQ-ZDUSSCGKSA-N 0 3 310.532 4.376 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc([S@@](C)=O)cc1 ZINC000152453291 1045041970 /nfs/dbraw/zinc/04/19/70/1045041970.db2.gz YYOFQFAUWXJAQG-BYVOGVQKSA-N 0 3 321.873 4.489 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1ccc(N2CCCC2)nc1 ZINC000152835612 1045048724 /nfs/dbraw/zinc/04/87/24/1045048724.db2.gz DEGCCPOILOYNGR-LPHOPBHVSA-N 0 3 321.468 4.328 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000152886106 1045049301 /nfs/dbraw/zinc/04/93/01/1045049301.db2.gz CKBFZBIKCKZAPO-IRXDYDNUSA-N 0 3 305.425 4.343 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000153195031 1045053125 /nfs/dbraw/zinc/05/31/25/1045053125.db2.gz INUCXUITHHUCJU-PDSXEYIOSA-N 0 3 301.455 4.144 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@H]3CC)co2)cc1 ZINC000446839482 1045054925 /nfs/dbraw/zinc/05/49/25/1045054925.db2.gz AMJXBGOSSFXVMS-MRXNPFEDSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@H](CN(C)C/C=C\c1ccc(F)c(F)c1)c1nccs1 ZINC000576387908 1045054902 /nfs/dbraw/zinc/05/49/02/1045054902.db2.gz UUJXKRZWOWIWHQ-VSQXVHSFSA-N 0 3 308.397 4.170 20 0 DIADHN Cc1ccc(CN(C)CCCC(=O)Nc2c(C)cccc2C)o1 ZINC000153311427 1045055055 /nfs/dbraw/zinc/05/50/55/1045055055.db2.gz HNSCWMUUFZCSSQ-UHFFFAOYSA-N 0 3 314.429 4.056 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)c1 ZINC000153281776 1045055660 /nfs/dbraw/zinc/05/56/60/1045055660.db2.gz HKFVRYMSBONHES-DNVCBOLYSA-N 0 3 322.452 4.083 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(C)o1)c1ccsc1 ZINC000360052160 1045060687 /nfs/dbraw/zinc/06/06/87/1045060687.db2.gz GZPLZZYXCJQROY-HOCLYGCPSA-N 0 3 306.475 4.383 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2cc(C(F)(F)F)ccn2)o1 ZINC000576653729 1045072113 /nfs/dbraw/zinc/07/21/13/1045072113.db2.gz IOYWDOUNLCIOHV-LLVKDONJSA-N 0 3 312.335 4.149 20 0 DIADHN C=Cn1cc(CN2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC000576694954 1045074271 /nfs/dbraw/zinc/07/42/71/1045074271.db2.gz QGQTYYSCGITUMD-UHFFFAOYSA-N 0 3 301.821 4.017 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1nc(C(F)(F)F)cs1 ZINC000576726016 1045075390 /nfs/dbraw/zinc/07/53/90/1045075390.db2.gz YTOSPGHZUBFOGL-ZRUFSTJUSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@H]2C[C@H]21)c1nc(C(F)(F)F)cs1 ZINC000576726014 1045076206 /nfs/dbraw/zinc/07/62/06/1045076206.db2.gz YTOSPGHZUBFOGL-VLEAKVRGSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@@H](NCCCN(C)c1ccccc1)c1nc(C)cs1 ZINC000576870513 1045086164 /nfs/dbraw/zinc/08/61/64/1045086164.db2.gz YDJVOUZOIQMWHJ-MRXNPFEDSA-N 0 3 303.475 4.019 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1F)Cc1cc(C(C)(C)C)[nH]n1 ZINC000447090823 1045095313 /nfs/dbraw/zinc/09/53/13/1045095313.db2.gz MNXRPASJVRPWID-WAYWQWQTSA-N 0 3 319.399 4.131 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1F)Cc1cc(C(C)(C)C)[nH]n1 ZINC000447090819 1045095441 /nfs/dbraw/zinc/09/54/41/1045095441.db2.gz MNXRPASJVRPWID-AATRIKPKSA-N 0 3 319.399 4.131 20 0 DIADHN Cc1cnccc1CN[C@@H](c1ccccc1)C1(CO)CCCCC1 ZINC000577043938 1045096583 /nfs/dbraw/zinc/09/65/83/1045096583.db2.gz IYOBTRLNDBYDSH-FQEVSTJZSA-N 0 3 324.468 4.164 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)[C@@H]1[C@@H]1CCCO1 ZINC000577109988 1045101333 /nfs/dbraw/zinc/10/13/33/1045101333.db2.gz HTPTZZNNNNGNPC-VQTJNVASSA-N 0 3 322.452 4.138 20 0 DIADHN CN(C)[C@@H](CNc1nc2cccc(F)c2s1)c1cccs1 ZINC001649173793 1045102943 /nfs/dbraw/zinc/10/29/43/1045102943.db2.gz CQTSMMUEEABRCS-LBPRGKRZSA-N 0 3 321.446 4.212 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccc2ccccc2c1)c1ccccn1 ZINC000159079135 1045103583 /nfs/dbraw/zinc/10/35/83/1045103583.db2.gz GNRCZJGRWFDQLR-PZJWPPBQSA-N 0 3 320.436 4.399 20 0 DIADHN Cc1ccc(C)c([C@H](NC[C@H](O)c2ccco2)c2ccccc2)c1 ZINC000779006899 1045119582 /nfs/dbraw/zinc/11/95/82/1045119582.db2.gz QWYQBCKOHAGXNI-PZJWPPBQSA-N 0 3 321.420 4.309 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2cccc(Cl)c2)o1 ZINC000577347961 1045120121 /nfs/dbraw/zinc/12/01/21/1045120121.db2.gz HNBZBGJEKWWVRR-JLUCKKNBSA-N 0 3 317.820 4.229 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccccc1F ZINC000779006647 1045122041 /nfs/dbraw/zinc/12/20/41/1045122041.db2.gz UHYFDKPBVSGKBK-IRXDYDNUSA-N 0 3 307.796 4.253 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCC2(C)C)nnn1-c1cccc(Cl)c1 ZINC000577429909 1045123228 /nfs/dbraw/zinc/12/32/28/1045123228.db2.gz QENFCZCRAMNPJM-ABAIWWIYSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1ccc([C@H](C)NCc2cncn2Cc2ccccc2)cc1 ZINC000525833760 1045126916 /nfs/dbraw/zinc/12/69/16/1045126916.db2.gz ADIPBBOSSXFPFM-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC[C@@H](F)CC1 ZINC001256839255 1045136253 /nfs/dbraw/zinc/13/62/53/1045136253.db2.gz ZPFSDLWLEPHQJE-GXTWGEPZSA-N 0 3 314.242 4.204 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000468758659 1045139121 /nfs/dbraw/zinc/13/91/21/1045139121.db2.gz ALVQUHSZMAFDGR-ZWOKBUDYSA-N 0 3 316.489 4.023 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000447553495 1045140086 /nfs/dbraw/zinc/14/00/86/1045140086.db2.gz RCTNNRCBWZOXEG-OXJNMPFZSA-N 0 3 313.441 4.432 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cc(F)cc(F)c1)c1ccccc1 ZINC000447550800 1045145676 /nfs/dbraw/zinc/14/56/76/1045145676.db2.gz IUKOOZODUNODPS-SCLBCKFNSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@@H](CN[C@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000447574720 1045146854 /nfs/dbraw/zinc/14/68/54/1045146854.db2.gz WKBKUPJESXTMRU-OALUTQOASA-N 0 3 316.420 4.285 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@@H](C)c1nnc2ccccn21 ZINC000557097412 1045149449 /nfs/dbraw/zinc/14/94/49/1045149449.db2.gz KSTTYXVLNLPIMH-HOTGVXAUSA-N 0 3 300.450 4.153 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2cc(C)oc2C)N2CCCC2)o1 ZINC000447563779 1045151696 /nfs/dbraw/zinc/15/16/96/1045151696.db2.gz SJXASPKLLWKPAC-MAUKXSAKSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN(c3c(F)cccc3F)C2)c(C)o1 ZINC000447583136 1045152695 /nfs/dbraw/zinc/15/26/95/1045152695.db2.gz ATDFCQQNWMCIRO-JSGCOSHPSA-N 0 3 320.383 4.104 20 0 DIADHN Cc1nc(CN[C@@H](Cc2ccccc2)C(C)C)nc2ccccc12 ZINC000779022634 1045154316 /nfs/dbraw/zinc/15/43/16/1045154316.db2.gz WEZDVYABTKXFPN-FQEVSTJZSA-N 0 3 319.452 4.295 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)nc1 ZINC000526083334 1045166140 /nfs/dbraw/zinc/16/61/40/1045166140.db2.gz ICOBWUQKTZDDCS-HNNXBMFYSA-N 0 3 323.362 4.210 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2cccs2)c(C)c1OC ZINC000577799702 1045172815 /nfs/dbraw/zinc/17/28/15/1045172815.db2.gz RRJLKTRIWXHVOX-ZDUSSCGKSA-N 0 3 305.443 4.267 20 0 DIADHN CC(C)c1ccc([C@H](NC[C@@H]2CCCO2)c2cccnc2)cc1 ZINC000924541639 1045176704 /nfs/dbraw/zinc/17/67/04/1045176704.db2.gz DWXSXVFLRFLIBZ-PMACEKPBSA-N 0 3 310.441 4.063 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cc(O)cc(F)c2)C2CC2)cc1 ZINC000924589615 1045179845 /nfs/dbraw/zinc/17/98/45/1045179845.db2.gz LFPYTFYVSCRAPM-BLVKFPJESA-N 0 3 315.388 4.342 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cc(O)cc(F)c2)C2CC2)cc1 ZINC000924589617 1045180232 /nfs/dbraw/zinc/18/02/32/1045180232.db2.gz LFPYTFYVSCRAPM-CWTRNNRKSA-N 0 3 315.388 4.342 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1cccc2c1OCO2 ZINC000924592630 1045181740 /nfs/dbraw/zinc/18/17/40/1045181740.db2.gz SMPYRKBWVWKMPW-MEDUHNTESA-N 0 3 301.411 4.279 20 0 DIADHN c1[nH]c(CNCCCSc2ccccc2)nc1-c1ccccc1 ZINC000447836351 1045181888 /nfs/dbraw/zinc/18/18/88/1045181888.db2.gz XBEWKTDKPGKUHK-UHFFFAOYSA-N 0 3 323.465 4.349 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCC2)c1)c1coc2ccccc12 ZINC000924628551 1045185526 /nfs/dbraw/zinc/18/55/26/1045185526.db2.gz JRAJSAMMOKQGNU-HNNXBMFYSA-N 0 3 321.424 4.279 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2ncc(C(C)(C)C)s2)CCO1 ZINC000447893381 1045188430 /nfs/dbraw/zinc/18/84/30/1045188430.db2.gz MDSJTVQSXKYGMC-ZIAGYGMSSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CCCO[C@@H]2C(C)(C)C)s1 ZINC000447928446 1045190679 /nfs/dbraw/zinc/19/06/79/1045190679.db2.gz HOGNQXWCZTWMLF-BBRMVZONSA-N 0 3 324.534 4.372 20 0 DIADHN Cc1csc(CCCCNCc2ncc(C(C)(C)C)s2)n1 ZINC000447934708 1045192105 /nfs/dbraw/zinc/19/21/05/1045192105.db2.gz AKGXNRGBCVCVJD-UHFFFAOYSA-N 0 3 323.531 4.318 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)c(F)c1)c1ccccc1Cl ZINC000048330972 1045192600 /nfs/dbraw/zinc/19/26/00/1045192600.db2.gz MHVFRMJIYDADLB-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cc(-c2noc([C@H](C)NC[C@@H]3CC=CCC3)n2)ccc1F ZINC000557513049 1045193362 /nfs/dbraw/zinc/19/33/62/1045193362.db2.gz YASZKEWCXQAWLS-UONOGXRCSA-N 0 3 315.392 4.191 20 0 DIADHN CO[C@@H](CN(C)Cc1ccccc1N1CCCC1)c1ccccc1 ZINC000577996298 1045196841 /nfs/dbraw/zinc/19/68/41/1045196841.db2.gz TYBSYXWWYUYJOH-NRFANRHFSA-N 0 3 324.468 4.106 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)cc1 ZINC000924786430 1045198122 /nfs/dbraw/zinc/19/81/22/1045198122.db2.gz UCJGJHALSULJAF-HNNXBMFYSA-N 0 3 313.441 4.164 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](c2ccccc2Cl)C(C)C)n1 ZINC000557542793 1045198843 /nfs/dbraw/zinc/19/88/43/1045198843.db2.gz CJTOHHBUUYVCND-QGZVFWFLSA-N 0 3 305.853 4.140 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccc(C(C)(C)O)cc1 ZINC000924842658 1045203089 /nfs/dbraw/zinc/20/30/89/1045203089.db2.gz HIXCPPJQFYTIPN-BFUOFWGJSA-N 0 3 313.416 4.391 20 0 DIADHN COc1ccc(-c2cnc(CNC3[C@@H](C)CCC[C@@H]3C)o2)cc1 ZINC000557594214 1045204036 /nfs/dbraw/zinc/20/40/36/1045204036.db2.gz UHNVYULQEAEOIZ-KBPBESRZSA-N 0 3 314.429 4.265 20 0 DIADHN COc1ccc(-c2csc(C3(N)CCCC3)n2)cc1Cl ZINC000578070733 1045204223 /nfs/dbraw/zinc/20/42/23/1045204223.db2.gz DYOIEEZTSRMUOG-UHFFFAOYSA-N 0 3 308.834 4.200 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(Cl)c(Cl)c1)c1ncc[nH]1 ZINC000578088765 1045206158 /nfs/dbraw/zinc/20/61/58/1045206158.db2.gz GEJLEDUKDDAXQP-JTQLQIEISA-N 0 3 312.244 4.345 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1[C@H](C)C[C@@H]1C ZINC000527238412 1045206358 /nfs/dbraw/zinc/20/63/58/1045206358.db2.gz BEVDXQDMULRUSY-BETUJISGSA-N 0 3 317.864 4.184 20 0 DIADHN CC1(C)CC2(CC(N[C@H](c3ccccc3)c3cccnc3)C2)CO1 ZINC000924939454 1045212360 /nfs/dbraw/zinc/21/23/60/1045212360.db2.gz WICQDSWQFBRCPV-OBXNSHGQSA-N 0 3 322.452 4.108 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc(C)s1)c1ccc(C(=O)OC)cc1 ZINC000601298573 1045213731 /nfs/dbraw/zinc/21/37/31/1045213731.db2.gz CBZZSPAWVZTAFZ-XHDPSFHLSA-N 0 3 318.442 4.040 20 0 DIADHN CC(C)[C@H](NCC(=O)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000557652147 1045214334 /nfs/dbraw/zinc/21/43/34/1045214334.db2.gz IVAKCIDKIPYUNY-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCC1(Sc2ccccc2)CC1 ZINC000925023834 1045219157 /nfs/dbraw/zinc/21/91/57/1045219157.db2.gz QJIQVLLVLZVQLH-LBPRGKRZSA-N 0 3 302.443 4.267 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCCCCOc1ccccc1 ZINC000527361320 1045222741 /nfs/dbraw/zinc/22/27/41/1045222741.db2.gz RAHDQYXULBENPY-HNNXBMFYSA-N 0 3 317.404 4.344 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1)c1ccc(F)c2ccccc21 ZINC000578227484 1045223402 /nfs/dbraw/zinc/22/34/02/1045223402.db2.gz MIQMXNBQBCGZRP-CQSZACIVSA-N 0 3 322.383 4.268 20 0 DIADHN CSCCCCN[C@H](c1ccc(C)cc1)c1ccccn1 ZINC000925101002 1045225754 /nfs/dbraw/zinc/22/57/54/1045225754.db2.gz XTZIKPCBOLQJNL-GOSISDBHSA-N 0 3 300.471 4.212 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000527484679 1045226088 /nfs/dbraw/zinc/22/60/88/1045226088.db2.gz IWHKRCXAUYXIJH-STQMWFEESA-N 0 3 318.486 4.245 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC(C)(C)c1cccc(F)c1 ZINC000925097284 1045226600 /nfs/dbraw/zinc/22/66/00/1045226600.db2.gz POEHHWPUIWGWEN-HNNXBMFYSA-N 0 3 302.393 4.067 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC000448361089 1045237158 /nfs/dbraw/zinc/23/71/58/1045237158.db2.gz VTUDHDSOPAJWIZ-OLZOCXBDSA-N 0 3 307.821 4.212 20 0 DIADHN CCCc1nc(CN(CCC)[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)cs1 ZINC000578374351 1045237834 /nfs/dbraw/zinc/23/78/34/1045237834.db2.gz PFZBGFDRCLFUQB-OIISXLGYSA-N 0 3 322.518 4.121 20 0 DIADHN CCCN(Cc1coc(-c2ccc(OCC)cc2)n1)CC1CC1 ZINC000448381299 1045238368 /nfs/dbraw/zinc/23/83/68/1045238368.db2.gz JDSOWOSDARQWIJ-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCN1Cc1ccc(Oc2ccccc2)o1 ZINC000448366603 1045238870 /nfs/dbraw/zinc/23/88/70/1045238870.db2.gz NGLMVOWSNQVJII-CVEARBPZSA-N 0 3 315.413 4.197 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)N[C@H]1c2ccccc2C[C@H]1O ZINC000925243302 1045239539 /nfs/dbraw/zinc/23/95/39/1045239539.db2.gz BYXDQIGEYDZZMW-TUIFFPIZSA-N 0 3 307.393 4.050 20 0 DIADHN CC[C@@H](CN1CCc2nc(C(C)C)ncc2C1)c1ccccc1 ZINC000527619713 1045241122 /nfs/dbraw/zinc/24/11/22/1045241122.db2.gz SMXIVPZXWXUVTE-INIZCTEOSA-N 0 3 309.457 4.152 20 0 DIADHN COc1cc(CNCc2cccs2)cc(C(F)(F)F)c1 ZINC000527631019 1045242966 /nfs/dbraw/zinc/24/29/66/1045242966.db2.gz GQQBUSYBOZXBLY-UHFFFAOYSA-N 0 3 301.333 4.065 20 0 DIADHN Cc1cc(CNCc2c(C)cc(Br)cc2C)ccn1 ZINC000527647970 1045243986 /nfs/dbraw/zinc/24/39/86/1045243986.db2.gz SFAUGFWPTTYMDM-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3ccncc3Cl)C2)cc1 ZINC000527703460 1045252256 /nfs/dbraw/zinc/25/22/56/1045252256.db2.gz ZWYOSECFPCJBQQ-CTYIDZIISA-N 0 3 307.224 4.424 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc2cc[nH]c2c1)c1ccccc1 ZINC000527725451 1045254525 /nfs/dbraw/zinc/25/45/25/1045254525.db2.gz FBPIUERUSZHQEW-VQTJNVASSA-N 0 3 308.425 4.016 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccc(Cl)cc2F)C(C)C)n1 ZINC000578570979 1045256070 /nfs/dbraw/zinc/25/60/70/1045256070.db2.gz NYECRWRMUHILIP-KRWDZBQOSA-N 0 3 323.843 4.279 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@H](c3ccccc3)CC2)[n-]1 ZINC000527713653 1045256808 /nfs/dbraw/zinc/25/68/08/1045256808.db2.gz RMWIQJGRRDNDMY-DLBZAZTESA-N 0 3 312.461 4.134 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc3[nH]ccc3c2)cc1F ZINC000527781784 1045260510 /nfs/dbraw/zinc/26/05/10/1045260510.db2.gz PYQUTWHICXTTPN-UHFFFAOYSA-N 0 3 312.388 4.341 20 0 DIADHN CCOc1cccc(CNC(C)(C)c2ccc(OC)c(F)c2)c1 ZINC000527782134 1045260645 /nfs/dbraw/zinc/26/06/45/1045260645.db2.gz UPJXBMXLYHCHAE-UHFFFAOYSA-N 0 3 317.404 4.258 20 0 DIADHN CN(C)c1ccccc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000527795882 1045263702 /nfs/dbraw/zinc/26/37/02/1045263702.db2.gz WHURANJNWOMDAY-QGZVFWFLSA-N 0 3 300.833 4.183 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2ccc(C(=O)NC)cc2)cc1 ZINC000527853298 1045267898 /nfs/dbraw/zinc/26/78/98/1045267898.db2.gz ULDQMFQOAMFMKG-HZPDHXFCSA-N 0 3 324.468 4.411 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2cccnc2-n2ccnc2)c1 ZINC000527854096 1045268801 /nfs/dbraw/zinc/26/88/01/1045268801.db2.gz WCGMHOBIPDIXSP-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN C[C@H](NCc1csc(CCc2ccccc2)n1)[C@H]1CC12CC2 ZINC000527867437 1045269819 /nfs/dbraw/zinc/26/98/19/1045269819.db2.gz JVXJIBZHBZFMMX-WMLDXEAASA-N 0 3 312.482 4.207 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000527901981 1045279654 /nfs/dbraw/zinc/27/96/54/1045279654.db2.gz DOVHXEJZKIHCKJ-UKRRQHHQSA-N 0 3 319.399 4.173 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2cccnc2)[C@H]1C1CC1 ZINC000578926983 1045281630 /nfs/dbraw/zinc/28/16/30/1045281630.db2.gz UCPZUIDTIPNFJL-FQEVSTJZSA-N 0 3 322.452 4.281 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc3c(c2)OCO3)s1 ZINC000578975531 1045286281 /nfs/dbraw/zinc/28/62/81/1045286281.db2.gz XKTPYJIHZQKZJR-JTQLQIEISA-N 0 3 307.440 4.050 20 0 DIADHN COc1cccc(Cl)c1CNCCC1C[C@H](C)O[C@@H](C)C1 ZINC000579006651 1045288724 /nfs/dbraw/zinc/28/87/24/1045288724.db2.gz VPMLMPBLPSVRBD-STQMWFEESA-N 0 3 311.853 4.032 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H](c2ccccn2)C2CCC2)cc1 ZINC000527961143 1045289618 /nfs/dbraw/zinc/28/96/18/1045289618.db2.gz BBFZNIKKKUNIEF-JLTOFOAXSA-N 0 3 323.440 4.232 20 0 DIADHN Cc1nsc(C)c1CN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000579022613 1045290053 /nfs/dbraw/zinc/29/00/53/1045290053.db2.gz KKRMAEDKMYHUPG-MAUKXSAKSA-N 0 3 316.470 4.017 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](c1ccccn1)C1CCC1 ZINC000527970264 1045291286 /nfs/dbraw/zinc/29/12/86/1045291286.db2.gz SUEJPMUAWBXYAW-FXAWDEMLSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H](CN1CCc2ccc(O)cc2C1)c1cccc(Cl)c1 ZINC000579059307 1045292255 /nfs/dbraw/zinc/29/22/55/1045292255.db2.gz JDWZKNHLADVKNM-ZDUSSCGKSA-N 0 3 301.817 4.207 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](N[C@@H](C)c2ncccc2F)C1 ZINC000528036058 1045296256 /nfs/dbraw/zinc/29/62/56/1045296256.db2.gz OFZVYGQJDOPFRJ-XHSDSOJGSA-N 0 3 313.420 4.301 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)n2)cc1F ZINC000579203958 1045300841 /nfs/dbraw/zinc/30/08/41/1045300841.db2.gz ZYRKZMOPKQQXCM-UNIGVISCSA-N 0 3 315.392 4.023 20 0 DIADHN COc1ccc2cc(CN(C)Cc3ccncc3C)ccc2c1 ZINC000579314649 1045308139 /nfs/dbraw/zinc/30/81/39/1045308139.db2.gz TWPPTBAFIWHEDA-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3c(c(Cl)c2)OCO3)s1 ZINC000049159447 1045310110 /nfs/dbraw/zinc/31/01/10/1045310110.db2.gz KWVBOVQFYWWCNS-JTQLQIEISA-N 0 3 309.818 4.289 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1ccc(F)cc1F ZINC000579413213 1045314379 /nfs/dbraw/zinc/31/43/79/1045314379.db2.gz KGZYPKMCWUWOLP-DIFFPNOSSA-N 0 3 323.408 4.165 20 0 DIADHN c1ccc(C(NC[C@@H]2COC3(CCCC3)O2)c2ccccc2)cc1 ZINC000779147724 1045321104 /nfs/dbraw/zinc/32/11/04/1045321104.db2.gz CQWXWTZFKCMHMQ-LJQANCHMSA-N 0 3 323.436 4.051 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001138483574 1045322094 /nfs/dbraw/zinc/32/20/94/1045322094.db2.gz MBZGPRUHLZPHPO-UHFFFAOYSA-N 0 3 323.864 4.272 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1cc(C)oc1C ZINC000779149918 1045322162 /nfs/dbraw/zinc/32/21/62/1045322162.db2.gz QGRJBHZYFWIAEM-SJCJKPOMSA-N 0 3 307.821 4.416 20 0 DIADHN O=C1CCC[C@@H]1CCS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000579591744 1045324095 /nfs/dbraw/zinc/32/40/95/1045324095.db2.gz MBRHTHSDGUHWFD-QZTJIDSGSA-N 0 3 317.498 4.144 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C/C=C/c1ccc(F)cc1F ZINC000579647867 1045328140 /nfs/dbraw/zinc/32/81/40/1045328140.db2.gz VYUBUDVYEDTQAL-SHCNBLLESA-N 0 3 302.368 4.456 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)C/C=C/c1ccc(F)cc1F ZINC000579647868 1045328481 /nfs/dbraw/zinc/32/84/81/1045328481.db2.gz VYUBUDVYEDTQAL-WRFKIARRSA-N 0 3 302.368 4.456 20 0 DIADHN CCn1nnc(C)c1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000449016600 1045332764 /nfs/dbraw/zinc/33/27/64/1045332764.db2.gz FIWNLVQEZOASJG-LJQANCHMSA-N 0 3 320.440 4.097 20 0 DIADHN Cc1ccccc1-c1ccccc1CN(C)Cc1cnn(C)c1 ZINC000449009891 1045333910 /nfs/dbraw/zinc/33/39/10/1045333910.db2.gz XECOAXFGUXMLIX-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cc3cccnc3o1)CCC2 ZINC000449034583 1045336043 /nfs/dbraw/zinc/33/60/43/1045336043.db2.gz JXDIAUTXTIKTQR-OAHLLOKOSA-N 0 3 313.426 4.102 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2ccnn2C2CCCC2)C1 ZINC000449036504 1045336634 /nfs/dbraw/zinc/33/66/34/1045336634.db2.gz UHJSSYBGYOMCME-QGZVFWFLSA-N 0 3 307.507 4.106 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2ccnn2C2CCCC2)C1 ZINC000449045237 1045340561 /nfs/dbraw/zinc/34/05/61/1045340561.db2.gz HKKAMVOGEFFASW-WBVHZDCISA-N 0 3 307.507 4.104 20 0 DIADHN c1cc2cccc(CNCc3ccc(N4CCCCC4)nc3)c2o1 ZINC000449083597 1045342298 /nfs/dbraw/zinc/34/22/98/1045342298.db2.gz UNIREGLVBXZIAE-UHFFFAOYSA-N 0 3 321.424 4.108 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H](N[C@H](C)c2nnc3n2CCCCC3)C1 ZINC000449073466 1045344028 /nfs/dbraw/zinc/34/40/28/1045344028.db2.gz NFZPWXBOTXHNQU-ZACQAIPSSA-N 0 3 318.509 4.260 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)nc2)[C@H](C)C2CC2)cc1 ZINC000189287180 1045345324 /nfs/dbraw/zinc/34/53/24/1045345324.db2.gz NHWUITMJECAEKK-CQSZACIVSA-N 0 3 314.404 4.030 20 0 DIADHN C[C@@H](C[C@H](O)c1cccs1)NCc1ccc(Cl)cc1F ZINC000189413336 1045346643 /nfs/dbraw/zinc/34/66/43/1045346643.db2.gz DDXQURAPPSFBGI-HZMBPMFUSA-N 0 3 313.825 4.142 20 0 DIADHN COCC1CCC(NCc2csc(-c3ccccc3)n2)CC1 ZINC000449096794 1045346586 /nfs/dbraw/zinc/34/65/86/1045346586.db2.gz ARVXMUOGZYASLY-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN c1c2ccccc2[nH]c1CNCc1ccc2c(c1)OCCCCO2 ZINC000449108292 1045347898 /nfs/dbraw/zinc/34/78/98/1045347898.db2.gz UDFMYMIOSHMQRB-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN Cc1csc(C(C)(C)NC[C@@H](C)c2cc(F)cc(F)c2)n1 ZINC000449138609 1045351413 /nfs/dbraw/zinc/35/14/13/1045351413.db2.gz CPUHIVPSJWSUQX-SNVBAGLBSA-N 0 3 310.413 4.358 20 0 DIADHN Fc1ccc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)cn1 ZINC000779170559 1045351520 /nfs/dbraw/zinc/35/15/20/1045351520.db2.gz CBHIKQMBHFEQLH-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)CC1CC(C)(C)C1 ZINC000528415492 1045351875 /nfs/dbraw/zinc/35/18/75/1045351875.db2.gz JBWLKWSBPKNHBZ-UHFFFAOYSA-N 0 3 302.462 4.000 20 0 DIADHN CCc1nc([C@@H](C)NC[C@@H](C)c2cc(F)cc(F)c2)cs1 ZINC000449153900 1045352605 /nfs/dbraw/zinc/35/26/05/1045352605.db2.gz GGEBXBDVRZNCRA-GHMZBOCLSA-N 0 3 310.413 4.438 20 0 DIADHN C/C(Cl)=C\CN[C@H](C)c1cccc(OCc2ccccn2)c1 ZINC000528430563 1045354421 /nfs/dbraw/zinc/35/44/21/1045354421.db2.gz DCAAAVPKVOVBQV-AAWPKVBNSA-N 0 3 316.832 4.454 20 0 DIADHN C/C(Cl)=C\CN[C@@H](C)c1cccc(OCc2ccccn2)c1 ZINC000528430569 1045354438 /nfs/dbraw/zinc/35/44/38/1045354438.db2.gz DCAAAVPKVOVBQV-HNRFISLBSA-N 0 3 316.832 4.454 20 0 DIADHN CC(C)N(CCC1=CCCCC1)CC(=O)Nc1ccc(F)cc1 ZINC001168107411 1045357991 /nfs/dbraw/zinc/35/79/91/1045357991.db2.gz YRKILWJUQXTXLI-UHFFFAOYSA-N 0 3 318.436 4.365 20 0 DIADHN Cc1cnc(C(C)(C)NC[C@H](C)c2cc(F)cc(F)c2)s1 ZINC000449181902 1045359382 /nfs/dbraw/zinc/35/93/82/1045359382.db2.gz JAKUJPVDLZFIAQ-JTQLQIEISA-N 0 3 310.413 4.358 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](N[C@@H]1CCCC(F)(F)C1)CC2 ZINC000449186835 1045360245 /nfs/dbraw/zinc/36/02/45/1045360245.db2.gz LLPNWRXWFMPYJT-MWLCHTKSSA-N 0 3 305.290 4.259 20 0 DIADHN Cc1cc(CNCc2ccccc2N2CCCCC2)cs1 ZINC000449226744 1045365437 /nfs/dbraw/zinc/36/54/37/1045365437.db2.gz NRGXTIMERLWACE-UHFFFAOYSA-N 0 3 300.471 4.337 20 0 DIADHN Cc1cccc(CN[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)c1F ZINC000449245478 1045369102 /nfs/dbraw/zinc/36/91/02/1045369102.db2.gz BEXJCHILCCBPAP-WMZOPIPTSA-N 0 3 321.342 4.032 20 0 DIADHN O[C@@H](CN1CCCCC[C@H]1c1ccco1)c1ccc(F)cc1F ZINC000076803665 1045373610 /nfs/dbraw/zinc/37/36/10/1045373610.db2.gz IVIPNEPPGLRJCF-IRXDYDNUSA-N 0 3 321.367 4.209 20 0 DIADHN FC(F)(F)C1CC(NCc2cc(Cl)sc2Cl)C1 ZINC000449327652 1045375267 /nfs/dbraw/zinc/37/52/67/1045375267.db2.gz CELBTUPAWAIRSD-UHFFFAOYSA-N 0 3 304.164 4.485 20 0 DIADHN COc1cc([C@@H](C)NCc2cc3ccccc3c(OC)c2)ccn1 ZINC000449327645 1045375765 /nfs/dbraw/zinc/37/57/65/1045375765.db2.gz CDKZWPXPDURETL-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN C[C@H](CN1CCC[C@@H]1c1ccncc1)c1cc(F)cc(F)c1 ZINC000449318426 1045376514 /nfs/dbraw/zinc/37/65/14/1045376514.db2.gz GMYAEYDJTHXAEQ-FZKQIMNGSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@@H](CN1CCC[C@@H]1c1ccncc1)c1cc(F)cc(F)c1 ZINC000449318427 1045377109 /nfs/dbraw/zinc/37/71/09/1045377109.db2.gz GMYAEYDJTHXAEQ-SCLBCKFNSA-N 0 3 302.368 4.300 20 0 DIADHN COc1cccc(CN[C@@H]2CCCc3c(F)cc(F)cc32)c1 ZINC000449348871 1045379935 /nfs/dbraw/zinc/37/99/35/1045379935.db2.gz HNHGUOVMNPVRJJ-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCCc3c(F)cccc32)c1 ZINC000449351870 1045380962 /nfs/dbraw/zinc/38/09/62/1045380962.db2.gz RJMHOCSOHNMZKZ-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN CC(C)(NC[C@H](O)C1CCCCC1)c1nc2ccccc2s1 ZINC000528601948 1045384078 /nfs/dbraw/zinc/38/40/78/1045384078.db2.gz JLWJPXATFJKPEX-HNNXBMFYSA-N 0 3 318.486 4.062 20 0 DIADHN CC(F)(F)CCNCc1cnc(CCc2ccccc2)s1 ZINC000449354488 1045385201 /nfs/dbraw/zinc/38/52/01/1045385201.db2.gz NZWYYGRREQBQOD-UHFFFAOYSA-N 0 3 310.413 4.063 20 0 DIADHN CCSc1cc(C[NH2+]Cc2cc(Cl)cc(C)c2[O-])ccn1 ZINC000190196607 1045387015 /nfs/dbraw/zinc/38/70/15/1045387015.db2.gz RALQRVZPKADPSJ-UHFFFAOYSA-N 0 3 322.861 4.151 20 0 DIADHN COCc1cnc(CN2[C@H](C)C[C@@H](c3ccccc3)[C@H]2C)s1 ZINC000449388621 1045388584 /nfs/dbraw/zinc/38/85/84/1045388584.db2.gz PNVKXMXAEAUZMY-CKEIUWERSA-N 0 3 316.470 4.056 20 0 DIADHN COCc1cnc(CN2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)s1 ZINC000449388622 1045389080 /nfs/dbraw/zinc/38/90/80/1045389080.db2.gz PNVKXMXAEAUZMY-CPUCHLNUSA-N 0 3 316.470 4.056 20 0 DIADHN CC[C@H](C)[C@H](CNCc1ccc(-c2ccncc2)cc1F)OC ZINC000449400758 1045389306 /nfs/dbraw/zinc/38/93/06/1045389306.db2.gz CWPVRXFHLHAJFG-LIRRHRJNSA-N 0 3 316.420 4.038 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN[C@@H](c1ccccn1)C1CCC1 ZINC000528652687 1045391884 /nfs/dbraw/zinc/39/18/84/1045391884.db2.gz VYIUTBDWTHKAAH-ATZDWAIDSA-N 0 3 302.462 4.108 20 0 DIADHN CC[C@@H]1CCC[C@@H]1CNCc1csc(-c2ccccn2)n1 ZINC000449434756 1045392750 /nfs/dbraw/zinc/39/27/50/1045392750.db2.gz SLKSHUYZSCCJJN-ZIAGYGMSSA-N 0 3 301.459 4.121 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000449449424 1045394730 /nfs/dbraw/zinc/39/47/30/1045394730.db2.gz LKWACNKRUXGEMZ-KRWDZBQOSA-N 0 3 320.795 4.124 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1csc(-c2ccccn2)n1 ZINC000449443287 1045396671 /nfs/dbraw/zinc/39/66/71/1045396671.db2.gz RCTLSCAJULTHQQ-AWEZNQCLSA-N 0 3 301.459 4.430 20 0 DIADHN CCCOc1ccc(CNCc2cc(C)cs2)cc1OC ZINC000449475002 1045398170 /nfs/dbraw/zinc/39/81/70/1045398170.db2.gz DSSIGXHCSHFQHI-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN CO[C@H]1CCN(Cc2cc3c(ccc(C)c3C)[nH]2)CC1(C)C ZINC000449496565 1045403669 /nfs/dbraw/zinc/40/36/69/1045403669.db2.gz HEZKOBBCTJSHDY-SFHVURJKSA-N 0 3 300.446 4.032 20 0 DIADHN CCO[C@H]1CCN(Cc2c(Cl)oc3ccccc32)C[C@@H]1C ZINC000449502925 1045404599 /nfs/dbraw/zinc/40/45/99/1045404599.db2.gz PERLXITUVBJZCK-WFASDCNBSA-N 0 3 307.821 4.333 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@]2(CCc3ccccc3O2)C1 ZINC000528733452 1045405824 /nfs/dbraw/zinc/40/58/24/1045405824.db2.gz IKLTWYHZMDLWRJ-OXJNMPFZSA-N 0 3 308.425 4.002 20 0 DIADHN CCc1cnc(CN2CC[C@@H](c3ccc(F)cc3)C2(C)C)o1 ZINC000528742065 1045408048 /nfs/dbraw/zinc/40/80/48/1045408048.db2.gz KOVFAMZTKUTDRR-INIZCTEOSA-N 0 3 302.393 4.144 20 0 DIADHN c1coc(-c2ncc(CN3C[C@@H](C4CC4)[C@@H]3C3CC3)s2)c1 ZINC000449534568 1045409412 /nfs/dbraw/zinc/40/94/12/1045409412.db2.gz GRYMBMIUJXYSRA-HOCLYGCPSA-N 0 3 300.427 4.024 20 0 DIADHN Cc1cccc([C@@H](NCc2cnc3ccccc3n2)C(C)C)c1 ZINC000528767464 1045411799 /nfs/dbraw/zinc/41/17/99/1045411799.db2.gz LGADLNXUIDWOMO-FQEVSTJZSA-N 0 3 305.425 4.425 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@@H]2c2ccccc2Cl)c2nccn21 ZINC000449570105 1045415480 /nfs/dbraw/zinc/41/54/80/1045415480.db2.gz IUEPRCRWUTWPQJ-WNOSKKOXSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@H]1C[C@@H](NC2CC(c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449573309 1045415795 /nfs/dbraw/zinc/41/57/95/1045415795.db2.gz QKOXXAAGHWLFSW-SXSDBLRQSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@H](N[C@H]1C[C@H](C)n2ccnc21)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449575248 1045416045 /nfs/dbraw/zinc/41/60/45/1045416045.db2.gz XGLOGLCEZJTDFP-NWBUJAPZSA-N 0 3 321.877 4.386 20 0 DIADHN CN(Cc1cnc(-c2ccsc2)s1)[C@@H](CO)CC(C)(C)C ZINC000449584028 1045416772 /nfs/dbraw/zinc/41/67/72/1045416772.db2.gz JBKMMCINJZOCGM-CYBMUJFWSA-N 0 3 324.515 4.101 20 0 DIADHN CCc1ncc(CN[C@H](C)[C@@H](C)c2nc3ccccc3s2)o1 ZINC000449610707 1045418334 /nfs/dbraw/zinc/41/83/34/1045418334.db2.gz RSSBBSOGBPGODS-VXGBXAGGSA-N 0 3 315.442 4.129 20 0 DIADHN CC(C)(CCCc1ccccc1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000449669361 1045425256 /nfs/dbraw/zinc/42/52/56/1045425256.db2.gz HUTHAAIEDKKGPF-UHFFFAOYSA-N 0 3 322.452 4.277 20 0 DIADHN Cc1cnc(CNC2(C(C)(C)c3ccc(F)cc3)CC2)s1 ZINC000449695060 1045427231 /nfs/dbraw/zinc/42/72/31/1045427231.db2.gz ICCRXFFSSCRFKL-UHFFFAOYSA-N 0 3 304.434 4.191 20 0 DIADHN CCn1cc([C@@H](C)NCc2ccc(-c3ccccc3)s2)cn1 ZINC000449671858 1045427488 /nfs/dbraw/zinc/42/74/88/1045427488.db2.gz UKLVUATYKWODOU-CQSZACIVSA-N 0 3 311.454 4.482 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2nc3ccc(F)cc3o2)C1 ZINC000119498614 1045428796 /nfs/dbraw/zinc/42/87/96/1045428796.db2.gz QBTPDQDKUPTIRR-QWHCGFSZSA-N 0 3 308.422 4.073 20 0 DIADHN COc1c(O)cccc1CNC1(C(C)(C)c2ccccc2)CC1 ZINC000449715208 1045429991 /nfs/dbraw/zinc/42/99/91/1045429991.db2.gz DPTVMDSNPGQTFU-UHFFFAOYSA-N 0 3 311.425 4.001 20 0 DIADHN COCC1(CNCc2nc(-c3ccccc3)cs2)CCCC1 ZINC000449741236 1045433786 /nfs/dbraw/zinc/43/37/86/1045433786.db2.gz SVHFLCDDLNLTOX-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CC(F)(F)F)c2ccccc2)C1(C)C ZINC000389781998 1045434741 /nfs/dbraw/zinc/43/47/41/1045434741.db2.gz ZDBPTNBGQWHUAB-RBSFLKMASA-N 0 3 315.379 4.473 20 0 DIADHN Cc1ccc(F)cc1CN[C@H](c1cccs1)C1(CO)CCC1 ZINC000449770496 1045435269 /nfs/dbraw/zinc/43/52/69/1045435269.db2.gz WNLCRVJKVUMCSZ-QGZVFWFLSA-N 0 3 319.445 4.189 20 0 DIADHN Cc1ccsc1CN1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000193418657 1045435511 /nfs/dbraw/zinc/43/55/11/1045435511.db2.gz OYDHLTXKGUBHNH-UHFFFAOYSA-N 0 3 317.429 4.291 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)NCc2ncc(C(C)C)s2)c1 ZINC000449783569 1045437649 /nfs/dbraw/zinc/43/76/49/1045437649.db2.gz QBCYNHYCJHPVOM-GFCCVEGCSA-N 0 3 322.449 4.135 20 0 DIADHN O=C(CCCN1CC=C(c2ccc(O)cc2)CC1)c1ccccc1 ZINC000450233144 1045477970 /nfs/dbraw/zinc/47/79/70/1045477970.db2.gz MUBGJYVJJUBSSQ-UHFFFAOYSA-N 0 3 321.420 4.144 20 0 DIADHN O=C1CCC(CN2CCC[C@@H]2Cc2c(F)cccc2Cl)CC1 ZINC000450270876 1045480267 /nfs/dbraw/zinc/48/02/67/1045480267.db2.gz ONKGQEJAMVUIBX-CQSZACIVSA-N 0 3 323.839 4.245 20 0 DIADHN CC(C)CCOC1CCN(CCCC(=O)c2ccccc2)CC1 ZINC000450266915 1045481245 /nfs/dbraw/zinc/48/12/45/1045481245.db2.gz VHCJWGHGRWEOQD-UHFFFAOYSA-N 0 3 317.473 4.177 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@H]([C@H]2CCOC2)C1 ZINC000529538291 1045494281 /nfs/dbraw/zinc/49/42/81/1045494281.db2.gz ZLKYQZFQIYEDJO-AAEUAGOBSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCCC(=O)c2ccccc2)s1 ZINC000450396544 1045498196 /nfs/dbraw/zinc/49/81/96/1045498196.db2.gz WCAJYCQDLUGXDZ-CQSZACIVSA-N 0 3 316.470 4.416 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@H]1[C@@H]1CCCOC1 ZINC000529611878 1045500847 /nfs/dbraw/zinc/50/08/47/1045500847.db2.gz ZETYPXOWYAZACG-OLZOCXBDSA-N 0 3 319.392 4.158 20 0 DIADHN C[C@H](CCc1ccccc1)NCc1ccn(Cc2ccccc2)n1 ZINC000389935673 1045501719 /nfs/dbraw/zinc/50/17/19/1045501719.db2.gz IPGYTESJVJDBLY-GOSISDBHSA-N 0 3 319.452 4.042 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cnn(C(C)C)c2)cc1 ZINC000475666908 1045504883 /nfs/dbraw/zinc/50/48/83/1045504883.db2.gz KNNXERFGLDBWKE-UHFFFAOYSA-N 0 3 315.461 4.278 20 0 DIADHN CC(=O)c1ccc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)cc1 ZINC000450441453 1045505520 /nfs/dbraw/zinc/50/55/20/1045505520.db2.gz QZWSYCYCFOTWHS-OAQYLSRUSA-N 0 3 322.452 4.292 20 0 DIADHN CC(C)[C@@H](CN1CCc2nc(C3CC3)ncc2C1)c1ccccc1 ZINC000529666145 1045510572 /nfs/dbraw/zinc/51/05/72/1045510572.db2.gz QPWCDGWKBAXTJV-LJQANCHMSA-N 0 3 321.468 4.152 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)Cc3ccc(F)cc3)cc2)n1 ZINC000302846514 1045510783 /nfs/dbraw/zinc/51/07/83/1045510783.db2.gz IJPSLGCOKVJPTC-UHFFFAOYSA-N 0 3 323.415 4.260 20 0 DIADHN Cc1ccc2c(c1)[nH]cc2C1=CCN(CCc2cscn2)CC1 ZINC000450518697 1045515118 /nfs/dbraw/zinc/51/51/18/1045515118.db2.gz QUEUIXUFNAFTGL-UHFFFAOYSA-N 0 3 323.465 4.265 20 0 DIADHN CC[C@](C)(NC[C@@H]1CCCCC1(F)F)c1nc(C)cs1 ZINC000450575163 1045522073 /nfs/dbraw/zinc/52/20/73/1045522073.db2.gz GFSWZZCAVPSXMC-JSGCOSHPSA-N 0 3 302.434 4.492 20 0 DIADHN Clc1ccccc1C[C@@H]1CCCN1Cc1cnc(C2CC2)o1 ZINC000628151885 1045530221 /nfs/dbraw/zinc/53/02/21/1045530221.db2.gz FCYVPUZOWOCRBC-HNNXBMFYSA-N 0 3 316.832 4.413 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(Cl)c(OC)c1 ZINC000450633410 1045535390 /nfs/dbraw/zinc/53/53/90/1045535390.db2.gz ZOULINVIFNFKDM-INIZCTEOSA-N 0 3 304.821 4.327 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccc3[nH]ccc3c1)CCC2 ZINC000628174128 1045535497 /nfs/dbraw/zinc/53/54/97/1045535497.db2.gz XPSMZWMYEXVXKE-QGZVFWFLSA-N 0 3 311.454 4.442 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cnc(C2CC2)o1 ZINC000628180706 1045535595 /nfs/dbraw/zinc/53/55/95/1045535595.db2.gz BGUCIFGGRNVAJP-IUODEOHRSA-N 0 3 300.377 4.069 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cnc(C2CC2)o1 ZINC000628180708 1045535631 /nfs/dbraw/zinc/53/56/31/1045535631.db2.gz BGUCIFGGRNVAJP-WFASDCNBSA-N 0 3 300.377 4.069 20 0 DIADHN Cc1cc(C2CCN(Cc3c(C)cccc3Cl)CC2)n[nH]1 ZINC000450647990 1045537142 /nfs/dbraw/zinc/53/71/42/1045537142.db2.gz UWLUGLWLIVIIQL-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCc3ccccc32)C[C@@H](c2ccsc2)O1 ZINC000780299808 1045541837 /nfs/dbraw/zinc/54/18/37/1045541837.db2.gz WWLFTFSJQNFALN-OGWOLHLISA-N 0 3 313.466 4.240 20 0 DIADHN c1ccc([C@@H](NCc2ccccc2OC2CC2)[C@@H]2CCOC2)cc1 ZINC000450677380 1045543434 /nfs/dbraw/zinc/54/34/34/1045543434.db2.gz YYEMMOZQOFGAMQ-WIYYLYMNSA-N 0 3 323.436 4.095 20 0 DIADHN Cc1nc(C)c(CNC[C@@H](C)c2c(Cl)cccc2Cl)o1 ZINC000628210788 1045543750 /nfs/dbraw/zinc/54/37/50/1045543750.db2.gz JSLISLNIBXNDFA-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN Cc1ccc([C@H](C)CNCc2nc(C)c3ccccc3n2)cc1 ZINC000628211141 1045544424 /nfs/dbraw/zinc/54/44/24/1045544424.db2.gz XVECYTYQLGVUMK-OAHLLOKOSA-N 0 3 305.425 4.140 20 0 DIADHN Cc1cc(Cl)ccc1OCCCNCc1cnc(C2CC2)o1 ZINC000628209328 1045544764 /nfs/dbraw/zinc/54/47/64/1045544764.db2.gz ZACLEBFZYZLWNM-UHFFFAOYSA-N 0 3 320.820 4.073 20 0 DIADHN C[C@@]1(CNCc2nc3cc(Cl)ccc3s2)CCCS1 ZINC000628210476 1045544869 /nfs/dbraw/zinc/54/48/69/1045544869.db2.gz YPRCTZJIOFMSAD-AWEZNQCLSA-N 0 3 312.891 4.325 20 0 DIADHN Clc1ccc(C2(CNCc3cnc(C4CC4)o3)CCC2)cc1 ZINC000628211052 1045545085 /nfs/dbraw/zinc/54/50/85/1045545085.db2.gz URAUUYXZKHQAGC-UHFFFAOYSA-N 0 3 316.832 4.417 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)Cc2c(Cl)cccc2Cl)o1 ZINC000628231159 1045549328 /nfs/dbraw/zinc/54/93/28/1045549328.db2.gz HAQFFCUDKDJJMM-VIFPVBQESA-N 0 3 313.228 4.319 20 0 DIADHN Cc1ccc(CN(C)CCc2cccc(C(F)(F)F)c2)nc1 ZINC000628250132 1045555648 /nfs/dbraw/zinc/55/56/48/1045555648.db2.gz DZOYBHPPRPMZIH-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCC[C@H](NCC/C=C\c1ccccc1)c1nnc2ccccn21 ZINC000450724212 1045557823 /nfs/dbraw/zinc/55/78/23/1045557823.db2.gz FUXRJAQGTMYGCI-BXIWPEDZSA-N 0 3 320.440 4.264 20 0 DIADHN Clc1ccc(CCCCNCc2cnc(C3CC3)o2)s1 ZINC000628265066 1045559523 /nfs/dbraw/zinc/55/95/23/1045559523.db2.gz ODNRBDSRZCUYEX-UHFFFAOYSA-N 0 3 310.850 4.379 20 0 DIADHN CC[C@@H](C)[C@@H](C(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001122221283 1045563017 /nfs/dbraw/zinc/56/30/17/1045563017.db2.gz WKJIQIDXQGPIDU-AUUYWEPGSA-N 0 3 308.425 4.058 20 0 DIADHN C[C@]1(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)CCCS1 ZINC000628326555 1045567767 /nfs/dbraw/zinc/56/77/67/1045567767.db2.gz MHYXFANJAOIDDT-CADBVGFASA-N 0 3 317.498 4.267 20 0 DIADHN Cn1cc([C@H](NCCC2=CCCCC2)c2ccc(F)cc2)cn1 ZINC000450778116 1045567766 /nfs/dbraw/zinc/56/77/66/1045567766.db2.gz YVDMMQBKDZHHBR-LJQANCHMSA-N 0 3 313.420 4.129 20 0 DIADHN C[C@@H]1CC2(CN1Cc1ccsc1C(F)(F)F)CCOCC2 ZINC000628322562 1045568061 /nfs/dbraw/zinc/56/80/61/1045568061.db2.gz AIABSNHUTAGPEE-LLVKDONJSA-N 0 3 319.392 4.158 20 0 DIADHN C[C@@H](N[C@H](CO)c1cccc(Cl)c1Cl)C1CC(F)(F)C1 ZINC000628352145 1045570428 /nfs/dbraw/zinc/57/04/28/1045570428.db2.gz YHZGNGFOLWLFMT-PRHODGIISA-N 0 3 324.198 4.050 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)o1 ZINC000628349441 1045570835 /nfs/dbraw/zinc/57/08/35/1045570835.db2.gz ISEIYYHWZLDWKU-KRWDZBQOSA-N 0 3 304.821 4.234 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)Nc1ccccc1Cl ZINC000008118419 1045575169 /nfs/dbraw/zinc/57/51/69/1045575169.db2.gz FZURIOYOMLDJHO-STQMWFEESA-N 0 3 302.805 4.018 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H](C)[C@H](CO)C1 ZINC000450848364 1045576584 /nfs/dbraw/zinc/57/65/84/1045576584.db2.gz WXYOSOZBKRTUJA-JRPNMDOOSA-N 0 3 302.245 4.005 20 0 DIADHN Cc1ccc2c(CN(C)[C@H](C)c3ccccc3)cc(=O)oc2c1 ZINC000020814171 1045581667 /nfs/dbraw/zinc/58/16/67/1045581667.db2.gz OFOAPQBZAVACLO-OAHLLOKOSA-N 0 3 307.393 4.294 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCC2=O)cc1)c1ccsc1 ZINC000382148673 1045587305 /nfs/dbraw/zinc/58/73/05/1045587305.db2.gz RXHYSZWPIGBQML-QGZVFWFLSA-N 0 3 314.454 4.116 20 0 DIADHN C[C@@H](O)CCCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000451042038 1045614568 /nfs/dbraw/zinc/61/45/68/1045614568.db2.gz KSUGSHKAHINPJD-BMIGLBTASA-N 0 3 302.245 4.195 20 0 DIADHN CCc1cc(CNCC(C)=Cc2ccccc2C(F)(F)F)[nH]n1 ZINC000800239007 1045590860 /nfs/dbraw/zinc/59/08/60/1045590860.db2.gz CMDQQCJIHZHLTB-WQLSENKSSA-N 0 3 323.362 4.184 20 0 DIADHN C[C@H](NCC1(C)OCCCO1)c1ccc(-c2cccs2)cc1 ZINC000190643108 1045592655 /nfs/dbraw/zinc/59/26/55/1045592655.db2.gz MJTXCIXFHPHWRA-AWEZNQCLSA-N 0 3 317.454 4.219 20 0 DIADHN FCCCCCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000451016850 1045609732 /nfs/dbraw/zinc/60/97/32/1045609732.db2.gz ILPLLWZYXRVOJK-OAHLLOKOSA-N 0 3 309.816 4.493 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc(OC(C)=O)cc1 ZINC000800395925 1045615392 /nfs/dbraw/zinc/61/53/92/1045615392.db2.gz BQNYUZVLGMNDPO-CYBMUJFWSA-N 0 3 315.438 4.185 20 0 DIADHN CCc1nnc(CN[C@@H]2CCCC[C@@H]2C2CCCCC2)s1 ZINC000391837637 1045622291 /nfs/dbraw/zinc/62/22/91/1045622291.db2.gz KOOMXGWHZBPDIW-HUUCEWRRSA-N 0 3 307.507 4.329 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc3ccccc3c2)oc1C ZINC000800479254 1045624991 /nfs/dbraw/zinc/62/49/91/1045624991.db2.gz YFUFLNHOQREGPL-IFXJQAMLSA-N 0 3 309.409 4.434 20 0 DIADHN C[C@@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1cccnc1Cl ZINC000800496407 1045633867 /nfs/dbraw/zinc/63/38/67/1045633867.db2.gz VCJIFQATDANSMR-QMTHXVAHSA-N 0 3 307.224 4.206 20 0 DIADHN CC(C)N(C)c1ccc(CNC(C)(C)c2ccc(F)cc2)cn1 ZINC000190948507 1045634042 /nfs/dbraw/zinc/63/40/42/1045634042.db2.gz TVEIJZYEXIQXJG-UHFFFAOYSA-N 0 3 315.436 4.090 20 0 DIADHN CC(=O)c1cccc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)c1 ZINC000451151756 1045636358 /nfs/dbraw/zinc/63/63/58/1045636358.db2.gz WIITWUBXHVTHRJ-OZVIIMIRSA-N 0 3 313.363 4.441 20 0 DIADHN CCCN(CCC)Cc1cnc(NC(=O)OC(C)(C)C)s1 ZINC000800506800 1045636671 /nfs/dbraw/zinc/63/66/71/1045636671.db2.gz ZRTSWUGSAMXCIO-UHFFFAOYSA-N 0 3 313.467 4.112 20 0 DIADHN CO[C@@H](C)CNC(C)(C)c1nc(-c2ccccc2F)c(C)s1 ZINC000451168615 1045638314 /nfs/dbraw/zinc/63/83/14/1045638314.db2.gz WLSCGPMOKMGVKA-NSHDSACASA-N 0 3 322.449 4.117 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1Cc1nc(-c2ccoc2)no1 ZINC000451179585 1045640308 /nfs/dbraw/zinc/64/03/08/1045640308.db2.gz DVJPUQCPANWDSF-CPUCHLNUSA-N 0 3 323.396 4.096 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1ccc(F)cc1)c1ccccc1F ZINC000752089811 1045658107 /nfs/dbraw/zinc/65/81/07/1045658107.db2.gz QANPNIDZHKFQHG-RTBURBONSA-N 0 3 317.379 4.225 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1ccc(F)cc1)c1ccccc1F ZINC000752089808 1045658601 /nfs/dbraw/zinc/65/86/01/1045658601.db2.gz QANPNIDZHKFQHG-OALUTQOASA-N 0 3 317.379 4.225 20 0 DIADHN c1ccc([C@H]2CN(Cc3ccncc3)Cc3ccccc32)cc1 ZINC000303862590 1045658933 /nfs/dbraw/zinc/65/89/33/1045658933.db2.gz GVRKGFDOFGNYLF-OAQYLSRUSA-N 0 3 300.405 4.229 20 0 DIADHN Cc1cc(Cl)cc(C[NH2+][C@H]2CCOc3c(F)cccc32)c1[O-] ZINC000191195489 1045663766 /nfs/dbraw/zinc/66/37/66/1045663766.db2.gz CNJMELHWVCQYBN-HNNXBMFYSA-N 0 3 321.779 4.107 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H]2CCOc3c(F)cccc32)c1O ZINC000191195489 1045663775 /nfs/dbraw/zinc/66/37/75/1045663775.db2.gz CNJMELHWVCQYBN-HNNXBMFYSA-N 0 3 321.779 4.107 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@H]2CCC3(CCCC3)O2)s1 ZINC000530462894 1045668593 /nfs/dbraw/zinc/66/85/93/1045668593.db2.gz NTRBXWOEDWMMNF-LSDHHAIUSA-N 0 3 322.518 4.499 20 0 DIADHN CCC1CCN(Cc2cccc(SC(=O)N(C)C)c2)CC1 ZINC000752280706 1045671708 /nfs/dbraw/zinc/67/17/08/1045671708.db2.gz JFTUBOXTHVPKBM-UHFFFAOYSA-N 0 3 306.475 4.082 20 0 DIADHN O[C@@H](CN1CCc2cc(-c3ccccc3)oc2C1)c1ccccc1 ZINC000752312756 1045672985 /nfs/dbraw/zinc/67/29/85/1045672985.db2.gz RPCAGNPMJFGEBV-IBGZPJMESA-N 0 3 319.404 4.038 20 0 DIADHN Cc1cccc([C@@H](NCCOC2CCC2)c2cccc(F)c2)c1 ZINC000800978650 1045674683 /nfs/dbraw/zinc/67/46/83/1045674683.db2.gz GRWXTBGOSXEDBK-HXUWFJFHSA-N 0 3 313.416 4.382 20 0 DIADHN Cc1cccc([C@@H](O)CNC2c3ccccc3-c3ccccc32)c1 ZINC000779411449 1045678108 /nfs/dbraw/zinc/67/81/08/1045678108.db2.gz FSZJHNJRXLYRAP-NRFANRHFSA-N 0 3 315.416 4.388 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@H](C)c2ccc3ccccc3n2)c1 ZINC000800994974 1045680567 /nfs/dbraw/zinc/68/05/67/1045680567.db2.gz YTUPHBZUQMGKQY-IIBYNOLFSA-N 0 3 320.436 4.236 20 0 DIADHN C[C@H](CCO)NCc1ccc(-c2ccc(Cl)cc2)cc1F ZINC000779413232 1045680627 /nfs/dbraw/zinc/68/06/27/1045680627.db2.gz SLYMAYPMELPNGB-GFCCVEGCSA-N 0 3 307.796 4.007 20 0 DIADHN Cc1ccsc1CNCc1ccc(OC(F)(F)F)cc1 ZINC000078358709 1045686300 /nfs/dbraw/zinc/68/63/00/1045686300.db2.gz DYWPHSKJQZYVNF-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C/c3ccc(F)cc3)n2)cc1 ZINC000530632064 1045699356 /nfs/dbraw/zinc/69/93/56/1045699356.db2.gz JYDZTVNIGHFEEI-KPKJPENVSA-N 0 3 323.371 4.108 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C\c3ccsc3)n2)cc1 ZINC000530632734 1045700061 /nfs/dbraw/zinc/70/00/61/1045700061.db2.gz GWCRFEPLEYSNSS-YVMONPNESA-N 0 3 311.410 4.030 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1ccc2c(c1)Cc1ccccc1-2 ZINC001124475228 1045704991 /nfs/dbraw/zinc/70/49/91/1045704991.db2.gz BFRPRWYWOXBZCN-FQEVSTJZSA-N 0 3 320.436 4.069 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1cccc(Br)n1 ZINC000779433824 1045723924 /nfs/dbraw/zinc/72/39/24/1045723924.db2.gz HIOBYGIWJQADBD-CYBMUJFWSA-N 0 3 311.267 4.245 20 0 DIADHN CC(C)(C)N1CC[C@](F)(C(=O)Nc2ccc3sccc3c2)C1 ZINC001124588705 1045728259 /nfs/dbraw/zinc/72/82/59/1045728259.db2.gz HSSPSRKLSWEBBR-QGZVFWFLSA-N 0 3 320.433 4.052 20 0 DIADHN CCN(C(=O)CN1[C@H](C)CC[C@@H]1C)c1cccc2ccccc21 ZINC000530813694 1045731604 /nfs/dbraw/zinc/73/16/04/1045731604.db2.gz FWSBXYBZHPKORV-IYBDPMFKSA-N 0 3 310.441 4.066 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCCC2)O1)c1nc2ccccc2s1 ZINC000801539948 1045733097 /nfs/dbraw/zinc/73/30/97/1045733097.db2.gz RGOWUSRVUWYDTP-KBPBESRZSA-N 0 3 316.470 4.439 20 0 DIADHN Fc1c(-c2ccc([C@H]3CNCCO3)cc2)cccc1C(F)F ZINC000630054550 1045734521 /nfs/dbraw/zinc/73/45/21/1045734521.db2.gz XSAUIOLCOVRLGB-OAHLLOKOSA-N 0 3 307.315 4.091 20 0 DIADHN Fc1c(-c2cccc([C@H]3CNCCO3)c2)cccc1C(F)F ZINC000630054309 1045734642 /nfs/dbraw/zinc/73/46/42/1045734642.db2.gz NHNWADRWROJZEZ-OAHLLOKOSA-N 0 3 307.315 4.091 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCN(C)c3ccccc32)c1 ZINC000451868453 1045740644 /nfs/dbraw/zinc/74/06/44/1045740644.db2.gz LZKUMPYXDCVXHI-HNAYVOBHSA-N 0 3 310.441 4.317 20 0 DIADHN CCCCS[C@H](C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000530872506 1045743763 /nfs/dbraw/zinc/74/37/63/1045743763.db2.gz VNDHNXMTHPSPBX-CYBMUJFWSA-N 0 3 319.474 4.393 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)C1CCC(CC)CC1 ZINC000530901730 1045748924 /nfs/dbraw/zinc/74/89/24/1045748924.db2.gz RYSWPZGXYIOZQZ-UHFFFAOYSA-N 0 3 316.489 4.397 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnc(-c3ccncc3)s2)s1 ZINC000753365170 1045748825 /nfs/dbraw/zinc/74/88/25/1045748825.db2.gz DIFCDVPTNBQSGE-GFCCVEGCSA-N 0 3 315.467 4.426 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1nnc(-c2cccc(F)c2)o1 ZINC000801600139 1045752749 /nfs/dbraw/zinc/75/27/49/1045752749.db2.gz CJYPKJCZUYUDPZ-CYBMUJFWSA-N 0 3 317.408 4.276 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@]2(CCSC2)C1 ZINC000451984804 1045756162 /nfs/dbraw/zinc/75/61/62/1045756162.db2.gz WDCKJZBFYRGCTG-DYVFJYSZSA-N 0 3 302.443 4.108 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3cccc(C(C)=O)c3)C2)c1 ZINC000452017056 1045761817 /nfs/dbraw/zinc/76/18/17/1045761817.db2.gz MSKNCDJEXHVJFH-MXVIHJGJSA-N 0 3 323.436 4.276 20 0 DIADHN CSc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000530967322 1045765129 /nfs/dbraw/zinc/76/51/29/1045765129.db2.gz DNQICFGALHMTEQ-MRXNPFEDSA-N 0 3 303.475 4.019 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](CF)c2ccc(F)cc2)cc1 ZINC001203426986 1045770027 /nfs/dbraw/zinc/77/00/27/1045770027.db2.gz CGIZUPCKCXHKBE-IFXJQAMLSA-N 0 3 319.395 4.456 20 0 DIADHN CC(C)[C@H](NC[C@H]1CC2(CCC2)C(=O)O1)c1ccccc1Cl ZINC000192157555 1045787752 /nfs/dbraw/zinc/78/77/52/1045787752.db2.gz ZISVYSOTGIWKEI-CJNGLKHVSA-N 0 3 321.848 4.113 20 0 DIADHN CC(C)[C@@H](NC[C@@H]1CC2(CCC2)C(=O)O1)c1ccccc1Cl ZINC000192157550 1045788448 /nfs/dbraw/zinc/78/84/48/1045788448.db2.gz ZISVYSOTGIWKEI-XJKSGUPXSA-N 0 3 321.848 4.113 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2cc(C)c(OC)cc2C)c1 ZINC000753688900 1045791784 /nfs/dbraw/zinc/79/17/84/1045791784.db2.gz WMUHCHUSVYRFAC-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1C ZINC000753688608 1045791886 /nfs/dbraw/zinc/79/18/86/1045791886.db2.gz JXXMFJUIJIXDHC-OXQOHEQNSA-N 0 3 324.468 4.149 20 0 DIADHN Clc1ccc([C@@H]2CCN2CC2CCC3(CC2)OCCO3)cc1 ZINC000753731838 1045796354 /nfs/dbraw/zinc/79/63/54/1045796354.db2.gz KBGCENOGJPRASE-KRWDZBQOSA-N 0 3 321.848 4.020 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(C(F)F)nc2)cc1 ZINC000631036089 1045799870 /nfs/dbraw/zinc/79/98/70/1045799870.db2.gz FLHMVOAJIYPUGS-LBPRGKRZSA-N 0 3 306.356 4.269 20 0 DIADHN COCCc1ncc(CN[C@@H](c2ccccc2)C(C)(C)C)s1 ZINC000631039747 1045800739 /nfs/dbraw/zinc/80/07/39/1045800739.db2.gz LRHCJWWQOFGGCH-KRWDZBQOSA-N 0 3 318.486 4.209 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C(F)F)nc2)C2CC2)cc1 ZINC000631037194 1045801295 /nfs/dbraw/zinc/80/12/95/1045801295.db2.gz NIAVGFYXIPEELV-QGZVFWFLSA-N 0 3 318.367 4.269 20 0 DIADHN FC(F)c1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cn1 ZINC000631054745 1045803234 /nfs/dbraw/zinc/80/32/34/1045803234.db2.gz VOOXPASOUDWTGH-AWEZNQCLSA-N 0 3 308.759 4.450 20 0 DIADHN CCC[C@H](NC[C@@H]1CSCCS1)c1ncccc1Cl ZINC000925242809 1045806156 /nfs/dbraw/zinc/80/61/56/1045806156.db2.gz MEESYTSHRRVASP-YPMHNXCESA-N 0 3 316.923 4.014 20 0 DIADHN Cc1scc(CNC2(c3ccc(F)cc3)CCOCC2)c1C ZINC000631071272 1045807395 /nfs/dbraw/zinc/80/73/95/1045807395.db2.gz DYWNDYKAUUWCDN-UHFFFAOYSA-N 0 3 319.445 4.300 20 0 DIADHN COc1cncc(CNC(C)(C)c2cccc(Cl)c2)c1C ZINC000631076484 1045810710 /nfs/dbraw/zinc/81/07/10/1045810710.db2.gz KVRPWDGNROWWEH-UHFFFAOYSA-N 0 3 304.821 4.077 20 0 DIADHN C[C@](O)(CNCc1cc(Cl)ccc1Cl)c1ccsc1 ZINC000753855105 1045812819 /nfs/dbraw/zinc/81/28/19/1045812819.db2.gz YAYYUVBMTOZHKR-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN Cc1ccc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c(F)c1 ZINC000631107314 1045817092 /nfs/dbraw/zinc/81/70/92/1045817092.db2.gz WCKCYDWGFYVZGH-JXFKEZNVSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(CC(F)(F)F)cc1 ZINC000631105296 1045819521 /nfs/dbraw/zinc/81/95/21/1045819521.db2.gz JRXUGIKUUXTSGD-ZDUSSCGKSA-N 0 3 308.347 4.346 20 0 DIADHN Cc1cc(C)c([C@H](C)OC(=O)C2CCN(C3CC3)CC2)c(C)c1 ZINC000801875282 1045819971 /nfs/dbraw/zinc/81/99/71/1045819971.db2.gz REMUYPFQBLROON-INIZCTEOSA-N 0 3 315.457 4.090 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccc(C(F)F)nc1)CCC2 ZINC000631124926 1045821087 /nfs/dbraw/zinc/82/10/87/1045821087.db2.gz VDFAHUWTKDTSDW-OAHLLOKOSA-N 0 3 306.331 4.326 20 0 DIADHN Cc1ccc2ccccc2c1COC(=O)C1CCN(C2CC2)CC1 ZINC000801875662 1045820982 /nfs/dbraw/zinc/82/09/82/1045820982.db2.gz YBDGWXTZLFFMGL-UHFFFAOYSA-N 0 3 323.436 4.066 20 0 DIADHN C[C@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc2ccccc2c1 ZINC000801874871 1045821105 /nfs/dbraw/zinc/82/11/05/1045821105.db2.gz LAUUJRDKDVLOFB-HNNXBMFYSA-N 0 3 323.436 4.318 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1ccc(F)c2ccccc12 ZINC000631176876 1045828478 /nfs/dbraw/zinc/82/84/78/1045828478.db2.gz XNDJZYXLUHJZJP-UHFFFAOYSA-N 0 3 323.415 4.270 20 0 DIADHN Cc1ccc(C2(NCc3ccc(C(F)F)nc3)CCC2)cc1 ZINC000631167549 1045828852 /nfs/dbraw/zinc/82/88/52/1045828852.db2.gz ZUAKYKHJKDPVDE-UHFFFAOYSA-N 0 3 302.368 4.497 20 0 DIADHN CC(C)CNC(=O)c1cccc(CNC(C)(C)c2ccccc2)c1 ZINC000531348168 1045829949 /nfs/dbraw/zinc/82/99/49/1045829949.db2.gz OPPSFAYWTQQPRI-UHFFFAOYSA-N 0 3 324.468 4.097 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000631186242 1045830327 /nfs/dbraw/zinc/83/03/27/1045830327.db2.gz KAAUUICFDFRDOA-LQAWEQHXSA-N 0 3 320.795 4.055 20 0 DIADHN CC[C@](C)(NCc1cn(C(C)C)nc1C)c1ccc(F)cc1 ZINC000631195345 1045830424 /nfs/dbraw/zinc/83/04/24/1045830424.db2.gz VXEGIPFSBIHLDN-SFHVURJKSA-N 0 3 303.425 4.327 20 0 DIADHN CCOc1cncc(CN[C@@](C)(CC)c2ccc(F)cc2)c1 ZINC000631195145 1045830655 /nfs/dbraw/zinc/83/06/55/1045830655.db2.gz TZPRHUDFMXWZLK-SFHVURJKSA-N 0 3 302.393 4.034 20 0 DIADHN CC[C@@](C)(NCc1cccc(NC(C)=O)c1)c1ccc(F)cc1 ZINC000631192942 1045830965 /nfs/dbraw/zinc/83/09/65/1045830965.db2.gz BKLNPZVHKGLUBJ-LJQANCHMSA-N 0 3 314.404 4.199 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(F)cc2)C2CCCCC2)cn1 ZINC000631227397 1045837767 /nfs/dbraw/zinc/83/77/67/1045837767.db2.gz JWXNIABHHCCXCE-IBGZPJMESA-N 0 3 313.420 4.335 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cccc2c1CCOC2 ZINC000631243934 1045842043 /nfs/dbraw/zinc/84/20/43/1045842043.db2.gz INNFOZLTMCAJPP-UHFFFAOYSA-N 0 3 307.393 4.104 20 0 DIADHN CC(C)(C)c1nc(CNCC2([C@H]3CCCCO3)CCC2)cs1 ZINC000631245904 1045843557 /nfs/dbraw/zinc/84/35/57/1045843557.db2.gz RDOJWMLRSOSBIN-OAHLLOKOSA-N 0 3 322.518 4.270 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000631248737 1045844043 /nfs/dbraw/zinc/84/40/43/1045844043.db2.gz WEYREZSYYKJWOB-NSHDSACASA-N 0 3 316.426 4.398 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000754088715 1045845009 /nfs/dbraw/zinc/84/50/09/1045845009.db2.gz TTYQUMWHFSPWSM-XOBRGWDASA-N 0 3 319.408 4.226 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@H](C)C[C@H]1c1cccnc1 ZINC000754088712 1045845504 /nfs/dbraw/zinc/84/55/04/1045845504.db2.gz TTYQUMWHFSPWSM-VLIAUNLRSA-N 0 3 319.408 4.226 20 0 DIADHN Cc1ncsc1-c1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC000631263190 1045846733 /nfs/dbraw/zinc/84/67/33/1045846733.db2.gz ZNEGPCCXIIXGNH-CHWSQXEVSA-N 0 3 312.360 4.159 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCOc1cccc(C(C)(C)C)c1 ZINC000754156418 1045856834 /nfs/dbraw/zinc/85/68/34/1045856834.db2.gz NUCIITBPBADPHD-ZDUSSCGKSA-N 0 3 316.445 4.319 20 0 DIADHN C[C@@H](NCc1ccnc(N(C)C)c1)c1ccccc1C(F)(F)F ZINC000754158788 1045858071 /nfs/dbraw/zinc/85/80/71/1045858071.db2.gz JLSKZTRZCHFSOW-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN Fc1ccc(C2=CCN(CCc3noc4ccccc43)CC2)cc1 ZINC000653482267 1045864053 /nfs/dbraw/zinc/86/40/53/1045864053.db2.gz GKNYOZQOFRUXQZ-UHFFFAOYSA-N 0 3 322.383 4.299 20 0 DIADHN COc1ccc2ccccc2c1CN[C@@H]1CCCc2ncccc21 ZINC000631567763 1045869864 /nfs/dbraw/zinc/86/98/64/1045869864.db2.gz ILIKWBQIRSWNFE-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN Brc1csc(CN[C@H]2CCCc3ncccc32)c1 ZINC000631568657 1045870727 /nfs/dbraw/zinc/87/07/27/1045870727.db2.gz BWXHBZHBLTVVRD-AWEZNQCLSA-N 0 3 323.259 4.073 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H]2CCCc3ncccc32)cc1 ZINC000631568168 1045871457 /nfs/dbraw/zinc/87/14/57/1045871457.db2.gz RTXQWYQNSAOMEG-INIZCTEOSA-N 0 3 306.331 4.268 20 0 DIADHN Cc1[nH]c2ccc(CN[C@@H]3CCCc4ncccc43)cc2c1C ZINC000631573572 1045872828 /nfs/dbraw/zinc/87/28/28/1045872828.db2.gz NIPDMEZAHQINSX-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN Brc1ccc(CN[C@@H]2CCCc3ncccc32)cc1 ZINC000631576157 1045873617 /nfs/dbraw/zinc/87/36/17/1045873617.db2.gz GPDJZNTYNJLPPY-MRXNPFEDSA-N 0 3 317.230 4.011 20 0 DIADHN Brc1cccc(CN[C@@H]2CCCc3ncccc32)c1 ZINC000631578194 1045875055 /nfs/dbraw/zinc/87/50/55/1045875055.db2.gz XGAOFASMFQAWEY-MRXNPFEDSA-N 0 3 317.230 4.011 20 0 DIADHN CCC[C@H](N[C@@H](CCCO)c1ccccc1)c1cc(C)ccn1 ZINC000631581565 1045876300 /nfs/dbraw/zinc/87/63/00/1045876300.db2.gz GQPFFZHHRORGIA-OALUTQOASA-N 0 3 312.457 4.335 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ncccc21)c1cccc(N2CCCC2)c1 ZINC000631591852 1045879434 /nfs/dbraw/zinc/87/94/34/1045879434.db2.gz ZLGZHUCDKBHKLD-IERDGZPVSA-N 0 3 321.468 4.410 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1cc(C)cs1 ZINC000631631583 1045893016 /nfs/dbraw/zinc/89/30/16/1045893016.db2.gz JUOYBANTXDTJMT-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1cnccc1C(C)(C)C ZINC000631636382 1045895468 /nfs/dbraw/zinc/89/54/68/1045895468.db2.gz GGPWTONTVFAHCO-IBGZPJMESA-N 0 3 310.441 4.165 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4ncccc43)CCC2)c1 ZINC000631647816 1045899076 /nfs/dbraw/zinc/89/90/76/1045899076.db2.gz HNKKZMRFGWNJJP-IBGZPJMESA-N 0 3 308.425 4.137 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1ccc(F)cc1N(C)C ZINC000631682214 1045902293 /nfs/dbraw/zinc/90/22/93/1045902293.db2.gz DGJUYPCGEQLJCJ-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN CCC[C@@H](CCO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000631669853 1045902535 /nfs/dbraw/zinc/90/25/35/1045902535.db2.gz RZZWJXVTQNEJCN-HIFRSBDPSA-N 0 3 304.459 4.012 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc3cccnc3c2)cnn1C(C)C ZINC000631682308 1045902566 /nfs/dbraw/zinc/90/25/66/1045902566.db2.gz FWFVJRNVGOVGPE-CQSZACIVSA-N 0 3 308.429 4.171 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1ccc2c(c1)COC2 ZINC000631682322 1045902840 /nfs/dbraw/zinc/90/28/40/1045902840.db2.gz GEEZYZYBCOKHKQ-AWEZNQCLSA-N 0 3 304.393 4.116 20 0 DIADHN CCn1nc(C)c(CN[C@H](C)c2cc3c(s2)CCCC3)c1C ZINC000531709944 1045904541 /nfs/dbraw/zinc/90/45/41/1045904541.db2.gz BVUMTVLOIIKRRU-CYBMUJFWSA-N 0 3 317.502 4.311 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1Cl)N1CCCC1)c1ccco1 ZINC000531692823 1045905954 /nfs/dbraw/zinc/90/59/54/1045905954.db2.gz KABXYIYOLJOUAH-PBHICJAKSA-N 0 3 318.848 4.421 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(C)c2)s1 ZINC000754660350 1045906080 /nfs/dbraw/zinc/90/60/80/1045906080.db2.gz ULWPYALJVOYTNE-CABCVRRESA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2Cl)s1 ZINC000754668463 1045907129 /nfs/dbraw/zinc/90/71/29/1045907129.db2.gz PFLUSKOJKCHUBT-NWDGAFQWSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2)s1 ZINC000754667802 1045907345 /nfs/dbraw/zinc/90/73/45/1045907345.db2.gz LUSSFVIYHMIXQL-KBPBESRZSA-N 0 3 302.443 4.043 20 0 DIADHN CCCOCc1ccccc1CNCc1ccccc1NCC ZINC000902077324 1045908196 /nfs/dbraw/zinc/90/81/96/1045908196.db2.gz YDZOYXPHPPTQAF-UHFFFAOYSA-N 0 3 312.457 4.335 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc(C(C)C)c2)s1 ZINC000754667192 1045908375 /nfs/dbraw/zinc/90/83/75/1045908375.db2.gz FOBXNLJOJGWVNT-CQSZACIVSA-N 0 3 316.470 4.469 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc3c1CCOC3)CCC2 ZINC000631729181 1045909199 /nfs/dbraw/zinc/90/91/99/1045909199.db2.gz IZDYDDCAYZLLDD-HXUWFJFHSA-N 0 3 311.400 4.066 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)NCCC2=CCCCC2)s1 ZINC000754672989 1045910124 /nfs/dbraw/zinc/91/01/24/1045910124.db2.gz JYIOWFLZTMNFSY-CABCVRRESA-N 0 3 320.502 4.102 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@H](C)c1ccc(C)s1)c1ccccc1 ZINC000754671411 1045910319 /nfs/dbraw/zinc/91/03/19/1045910319.db2.gz QYJQJBMODGFTHG-HUUCEWRRSA-N 0 3 316.470 4.149 20 0 DIADHN Clc1cccc2c1CC[C@@H]2NCc1cccc2c1CCOC2 ZINC000631729821 1045910777 /nfs/dbraw/zinc/91/07/77/1045910777.db2.gz SEDUVEYKXWOKLV-IBGZPJMESA-N 0 3 313.828 4.190 20 0 DIADHN C[C@@H](NCCc1cccs1)c1ncc(-c2ccccc2)n1C ZINC000453056484 1045920173 /nfs/dbraw/zinc/92/01/73/1045920173.db2.gz LFRUOFOJESAENI-CQSZACIVSA-N 0 3 311.454 4.042 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000631806882 1045921399 /nfs/dbraw/zinc/92/13/99/1045921399.db2.gz DQYDPNIEDJREIN-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H](NCc1cccc2[nH]ccc21)c1cnn(C2CCCC2)c1 ZINC000631806962 1045922047 /nfs/dbraw/zinc/92/20/47/1045922047.db2.gz GAPKATJHABRXIV-CQSZACIVSA-N 0 3 308.429 4.330 20 0 DIADHN C[C@H](NCC(=O)N(C)c1ccccc1)c1csc2ccccc21 ZINC000754745247 1045922665 /nfs/dbraw/zinc/92/26/65/1045922665.db2.gz VXZONAIBSIHECF-AWEZNQCLSA-N 0 3 324.449 4.215 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(C3CC3)cc2F)c1 ZINC000828625425 1045923414 /nfs/dbraw/zinc/92/34/14/1045923414.db2.gz BYFIMVWDYANTHC-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@@H](C)c1c(F)cccc1F ZINC000453062724 1045923469 /nfs/dbraw/zinc/92/34/69/1045923469.db2.gz POYIMQVTSNJBHH-KGLIPLIRSA-N 0 3 304.384 4.422 20 0 DIADHN Clc1cccc2c1CCC[C@H]2N[C@@H]1CCCn2nccc21 ZINC000631810375 1045924846 /nfs/dbraw/zinc/92/48/46/1045924846.db2.gz SITDZHKZWYEECW-HZPDHXFCSA-N 0 3 301.821 4.039 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H]2CCCn3nccc32)cc1 ZINC000631810511 1045925191 /nfs/dbraw/zinc/92/51/91/1045925191.db2.gz URVBRSVKESRTCZ-MAUKXSAKSA-N 0 3 313.445 4.248 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3nc(C)ncc31)CCC2 ZINC000754795895 1045928257 /nfs/dbraw/zinc/92/82/57/1045928257.db2.gz QHRXGNQTKVLVGA-AZUAARDMSA-N 0 3 307.441 4.138 20 0 DIADHN CC(C)(NCC(=O)Nc1ccc2ccccc2c1)c1cccs1 ZINC000754833780 1045929593 /nfs/dbraw/zinc/92/95/93/1045929593.db2.gz VMUWOEUEBGMWFB-UHFFFAOYSA-N 0 3 324.449 4.365 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccc(CO)c(F)c2)c2sccc21 ZINC000453094693 1045933223 /nfs/dbraw/zinc/93/32/23/1045933223.db2.gz NNGPHBSQDDKYDV-MEDUHNTESA-N 0 3 305.418 4.108 20 0 DIADHN C[C@@H](OC(=O)C(C)(C)N(C)C1CCCC1)c1ccccc1F ZINC000754868012 1045934183 /nfs/dbraw/zinc/93/41/83/1045934183.db2.gz GQIJUZMKDZDECU-CYBMUJFWSA-N 0 3 307.409 4.083 20 0 DIADHN COc1ccccc1C[C@@H](NCc1csc(Cl)n1)C(C)C ZINC000828808259 1045935667 /nfs/dbraw/zinc/93/56/67/1045935667.db2.gz JABAAHUJKDFKOY-CQSZACIVSA-N 0 3 324.877 4.162 20 0 DIADHN C[C@@H](OC(=O)C(C)(C)N(C)C1CCCC1)c1cccc(F)c1 ZINC000754868916 1045936183 /nfs/dbraw/zinc/93/61/83/1045936183.db2.gz MRHUDFJQVSTVDO-CYBMUJFWSA-N 0 3 307.409 4.083 20 0 DIADHN Cc1ccnc(C2CCN(Cc3c(C)cccc3Cl)CC2)n1 ZINC000828860746 1045938766 /nfs/dbraw/zinc/93/87/66/1045938766.db2.gz GPJFFTQSYIMQLB-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN CC(C)Cc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000531785846 1045939046 /nfs/dbraw/zinc/93/90/46/1045939046.db2.gz SVNYMIRTXFCXNF-LJQANCHMSA-N 0 3 313.489 4.496 20 0 DIADHN CCc1nc([C@@H](C)N[C@H](C)CCCc2cccnc2)cs1 ZINC000453112148 1045942163 /nfs/dbraw/zinc/94/21/63/1045942163.db2.gz FPUMBOCKVCMPFV-ZIAGYGMSSA-N 0 3 303.475 4.163 20 0 DIADHN CCC[C@](C)(O)CN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453115806 1045943591 /nfs/dbraw/zinc/94/35/91/1045943591.db2.gz IYLDUXNJRMHYQS-BEFAXECRSA-N 0 3 314.429 4.076 20 0 DIADHN CCSCC[C@H](C)N[C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453116894 1045943891 /nfs/dbraw/zinc/94/38/91/1045943891.db2.gz JUDTUNJJJRIZEQ-GJZGRUSLSA-N 0 3 317.502 4.269 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCO3)cc1F ZINC000193003909 1045959761 /nfs/dbraw/zinc/95/97/61/1045959761.db2.gz GPWYNZUBYLERIY-CHWSQXEVSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1cccc(-c3cncnc3)c1)C2 ZINC001137772601 1045964476 /nfs/dbraw/zinc/96/44/76/1045964476.db2.gz HMERHDIEOQKABQ-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN Cc1csc(C2(N[C@@H](C)CCCc3cccnc3)CCC2)n1 ZINC000453180442 1045968365 /nfs/dbraw/zinc/96/83/65/1045968365.db2.gz XOSYEROOHNXRQS-AWEZNQCLSA-N 0 3 315.486 4.227 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](C)c1nccn1CC ZINC000453182016 1045969596 /nfs/dbraw/zinc/96/95/96/1045969596.db2.gz WYJOLGYVRUCJPD-CABCVRRESA-N 0 3 303.475 4.125 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1cccc(N2CCCC2)c1 ZINC000902305811 1045971742 /nfs/dbraw/zinc/97/17/42/1045971742.db2.gz KVIUBLQATGQQIH-KRWDZBQOSA-N 0 3 323.484 4.488 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1sccc1Cl ZINC000193195532 1045977810 /nfs/dbraw/zinc/97/78/10/1045977810.db2.gz SKOWCYHRRQLZQV-YGRLFVJLSA-N 0 3 313.825 4.142 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccncc1 ZINC000453211075 1045983783 /nfs/dbraw/zinc/98/37/83/1045983783.db2.gz KSCXUGVRXPZQNI-ZWKOTPCHSA-N 0 3 317.864 4.079 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccncc1 ZINC000453211072 1045984313 /nfs/dbraw/zinc/98/43/13/1045984313.db2.gz KSCXUGVRXPZQNI-QZTJIDSGSA-N 0 3 317.864 4.079 20 0 DIADHN C=CCN1CCC(NC(=O)[C@@](C)(CC)CCCCCC)CC1 ZINC000080079345 1045989188 /nfs/dbraw/zinc/98/91/88/1045989188.db2.gz RNWZGWKIIPRVGQ-IBGZPJMESA-N 0 3 308.510 4.140 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@@H]2C[C@H](C)n3ccnc32)c2ccccc21 ZINC000453218903 1045990357 /nfs/dbraw/zinc/99/03/57/1045990357.db2.gz NXTUIMVLQRFPPX-STEAMIEHSA-N 0 3 309.457 4.406 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H](c2ccccc2F)C1 ZINC000453223365 1045991025 /nfs/dbraw/zinc/99/10/25/1045991025.db2.gz RAQQRHIIYGNYFN-SOUVJXGZSA-N 0 3 301.409 4.029 20 0 DIADHN C[C@H]1C[C@H](NC2(Cc3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453234934 1045998272 /nfs/dbraw/zinc/99/82/72/1045998272.db2.gz IWKUBXPRMVDLLX-BBRMVZONSA-N 0 3 315.848 4.297 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc2cc(Cl)ccc2o1)[C@@H](O)C(F)F ZINC000453268552 1046008886 /nfs/dbraw/zinc/00/88/86/1046008886.db2.gz KNGYHCIVANNWNI-YLGCSUCGSA-N 0 3 317.763 4.141 20 0 DIADHN CC[C@@H](NCc1ccnc(C(F)(F)F)c1)c1cccc(OC)c1 ZINC000453277156 1046012151 /nfs/dbraw/zinc/01/21/51/1046012151.db2.gz AQIJMTUKAQAICT-OAHLLOKOSA-N 0 3 324.346 4.350 20 0 DIADHN CC[C@](C)(CN[C@H](c1cccnc1)c1ccc(F)c(C)c1)OC ZINC000453294301 1046017812 /nfs/dbraw/zinc/01/78/12/1046017812.db2.gz FBAXCPSWBNCSKX-RBUKOAKNSA-N 0 3 316.420 4.023 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccc(CSC)cc1 ZINC000902563098 1046022291 /nfs/dbraw/zinc/02/22/91/1046022291.db2.gz JNZJGOMWBACVMS-UHFFFAOYSA-N 0 3 300.471 4.190 20 0 DIADHN CC(C)[C@@H](C(=O)NCC1(C2CCCCC2)CCCCC1)N(C)C ZINC001137954313 1046030884 /nfs/dbraw/zinc/03/08/84/1046030884.db2.gz GCVFFPBFFPRZHM-SFHVURJKSA-N 0 3 322.537 4.220 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000453318836 1046036103 /nfs/dbraw/zinc/03/61/03/1046036103.db2.gz LRUWOTAQBRYQGH-GTNSWQLSSA-N 0 3 321.827 4.497 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H]3COC4(CCCC4)O3)oc2c1 ZINC000756043723 1046043804 /nfs/dbraw/zinc/04/38/04/1046043804.db2.gz UOEBRDXTMVWLTJ-GDBMZVCRSA-N 0 3 315.413 4.078 20 0 DIADHN CC(C)=CCN(Cc1ccccc1)C[C@@H](O)c1cccs1 ZINC000756053507 1046047165 /nfs/dbraw/zinc/04/71/65/1046047165.db2.gz AEQYWLRQHRYORO-QGZVFWFLSA-N 0 3 301.455 4.250 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@@H](O)CC ZINC000453348368 1046049542 /nfs/dbraw/zinc/04/95/42/1046049542.db2.gz GFSNUXORUWCRCB-BJJXKVORSA-N 0 3 304.459 4.010 20 0 DIADHN CC[C@H](O)[C@H](CC)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000453348372 1046050500 /nfs/dbraw/zinc/05/05/00/1046050500.db2.gz GFSNUXORUWCRCB-INWMFGNUSA-N 0 3 304.459 4.010 20 0 DIADHN CCO[C@@H]1CCC[C@H](N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000902725229 1046051181 /nfs/dbraw/zinc/05/11/81/1046051181.db2.gz SASZMMOAPMTGOL-NSHGMRRFSA-N 0 3 310.441 4.108 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H]1CCCN(C)c2ccccc21 ZINC000453355337 1046055565 /nfs/dbraw/zinc/05/55/65/1046055565.db2.gz IKISSMLBGRKDRX-DZGCQCFKSA-N 0 3 316.470 4.379 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2cnccn2)c2ccccc12 ZINC001138017067 1046058848 /nfs/dbraw/zinc/05/88/48/1046058848.db2.gz WFSLQSQXUKBVPC-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000453384642 1046060529 /nfs/dbraw/zinc/06/05/29/1046060529.db2.gz AOCARINCQCNBKQ-ZLKJLUDKSA-N 0 3 317.351 4.035 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NCc1ccc(Cl)nc1 ZINC000902754654 1046061448 /nfs/dbraw/zinc/06/14/48/1046061448.db2.gz FQKHEFAKLFABKI-PXAZEXFGSA-N 0 3 316.832 4.157 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCCN(C)c3ccccc32)cn1 ZINC000453362690 1046064616 /nfs/dbraw/zinc/06/46/16/1046064616.db2.gz KYSKKMZRGKUJOV-QAPCUYQASA-N 0 3 312.461 4.086 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@H](O)c1cc(F)ccc1F ZINC000799339108 1046065395 /nfs/dbraw/zinc/06/53/95/1046065395.db2.gz HDVRERYSCXMPJT-ZBEGNZNMSA-N 0 3 323.408 4.071 20 0 DIADHN Cc1occc1CN[C@@H](CCc1cccnc1)c1ccccc1 ZINC000902772895 1046067201 /nfs/dbraw/zinc/06/72/01/1046067201.db2.gz VZPARJNLFRRPGJ-FQEVSTJZSA-N 0 3 306.409 4.447 20 0 DIADHN Cc1ncsc1CN[C@H](CCc1cccnc1)c1ccccc1 ZINC000902793287 1046072376 /nfs/dbraw/zinc/07/23/76/1046072376.db2.gz GXCATJKPYYPWFE-GOSISDBHSA-N 0 3 323.465 4.310 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C)[C@@H](C)C2)c(Cl)c1OC ZINC001139114627 1046074633 /nfs/dbraw/zinc/07/46/33/1046074633.db2.gz KTPJNQLLCIYNHP-OLZOCXBDSA-N 0 3 311.853 4.225 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000384359770 1046081266 /nfs/dbraw/zinc/08/12/66/1046081266.db2.gz VAWFEOCFVMONMN-UHFFFAOYSA-N 0 3 302.349 4.146 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCOC(C)(C)C2)c2ccccn2)c1 ZINC000634681277 1046084950 /nfs/dbraw/zinc/08/49/50/1046084950.db2.gz MDCVZDICNAZBPQ-MJGOQNOKSA-N 0 3 310.441 4.027 20 0 DIADHN Fc1ccc([C@@H](NCCC2CC(F)(F)C2)c2ccccc2)nc1 ZINC000453425081 1046086356 /nfs/dbraw/zinc/08/63/56/1046086356.db2.gz NXLVZQSUAZMEKT-KRWDZBQOSA-N 0 3 320.358 4.335 20 0 DIADHN C[C@@H](NCCSC(C)(C)C)c1ccc(C(F)(F)F)cn1 ZINC000902855198 1046086275 /nfs/dbraw/zinc/08/62/75/1046086275.db2.gz VNPIHFVAOPMGNN-SNVBAGLBSA-N 0 3 306.397 4.283 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@@H](C)c1cnccc1C ZINC000902849507 1046086426 /nfs/dbraw/zinc/08/64/26/1046086426.db2.gz PSTVXCKNXQMYNV-KPHUOKFYSA-N 0 3 310.441 4.373 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C(C)(C)CO)c(C)c1 ZINC000453570521 1046090261 /nfs/dbraw/zinc/09/02/61/1046090261.db2.gz AKZGXVYFIHNODC-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN CCOc1cccc(CN2CCC[C@H]2c2ccccc2OC)c1 ZINC001138100497 1046091488 /nfs/dbraw/zinc/09/14/88/1046091488.db2.gz UCLMNUVPWRNBMC-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN FC1(F)CC(CCNCc2csc(-c3cccs3)n2)C1 ZINC000453588731 1046095789 /nfs/dbraw/zinc/09/57/89/1046095789.db2.gz LRXOFAVEYAXOJW-UHFFFAOYSA-N 0 3 314.426 4.397 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)cs1 ZINC000453624949 1046095983 /nfs/dbraw/zinc/09/59/83/1046095983.db2.gz YKQMTUFSKXDRFN-SFHVURJKSA-N 0 3 315.482 4.440 20 0 DIADHN O[C@H](CN1CC2(CC=CC2)[C@@H]1c1ccccc1)c1ccccc1F ZINC000830749817 1046096027 /nfs/dbraw/zinc/09/60/27/1046096027.db2.gz PYQPPPQOJADNLS-UXHICEINSA-N 0 3 323.411 4.252 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3c(F)ccc(C)c3F)C2)cc1 ZINC001138121002 1046103461 /nfs/dbraw/zinc/10/34/61/1046103461.db2.gz SJYDAXPYTXYYLX-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN CC(C)c1cnc(CN[C@H]2CCCC[C@H]2CC(F)(F)F)o1 ZINC000830823079 1046103520 /nfs/dbraw/zinc/10/35/20/1046103520.db2.gz LPVOCUUYYCALNN-RYUDHWBXSA-N 0 3 304.356 4.399 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2ccsc2)C2CCCC2)o1 ZINC000756595499 1046106936 /nfs/dbraw/zinc/10/69/36/1046106936.db2.gz WFNPBDNTPZZDAQ-DOTOQJQBSA-N 0 3 305.443 4.204 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCC[C@@H]3CCCC[C@@H]32)c1 ZINC001138156213 1046108934 /nfs/dbraw/zinc/10/89/34/1046108934.db2.gz HWLADIDKIWQPIO-ZFWWWQNUSA-N 0 3 301.459 4.293 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCC[C@H]3CCCC[C@H]32)c1 ZINC001138156212 1046109103 /nfs/dbraw/zinc/10/91/03/1046109103.db2.gz HWLADIDKIWQPIO-UKRRQHHQSA-N 0 3 301.459 4.293 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCC[C@@H]3CCCC[C@H]32)c1 ZINC001138156205 1046109286 /nfs/dbraw/zinc/10/92/86/1046109286.db2.gz HWLADIDKIWQPIO-DZGCQCFKSA-N 0 3 301.459 4.293 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3c(c2)OCO3)cc(C)c1F ZINC000532187952 1046109588 /nfs/dbraw/zinc/10/95/88/1046109588.db2.gz PEVKFENVVDVXOV-CYBMUJFWSA-N 0 3 301.361 4.022 20 0 DIADHN CO[C@@H](C)[C@@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000532193934 1046110301 /nfs/dbraw/zinc/11/03/01/1046110301.db2.gz HXUPYZWGSFAAEP-NEPJUHHUSA-N 0 3 316.426 4.069 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](C)c2ccc(CC)cc2)c1 ZINC000532193976 1046110956 /nfs/dbraw/zinc/11/09/56/1046110956.db2.gz JOYYCXCTJHKOLN-HNNXBMFYSA-N 0 3 310.441 4.448 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2cccs2)cc1C ZINC001138159061 1046111194 /nfs/dbraw/zinc/11/11/94/1046111194.db2.gz KQDFVJGGRUXPGX-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN C[C@H]1CCN(Cc2ccc(N3CCCCC3)o2)CCC1(F)F ZINC001138172572 1046112020 /nfs/dbraw/zinc/11/20/20/1046112020.db2.gz UVWYOEXVSJISBF-AWEZNQCLSA-N 0 3 312.404 4.137 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc2c(c1)CCC(=O)N2 ZINC000532204811 1046114654 /nfs/dbraw/zinc/11/46/54/1046114654.db2.gz JTYPPQXOEZZGMB-BUXKBTBVSA-N 0 3 324.399 4.049 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000532248410 1046120712 /nfs/dbraw/zinc/12/07/12/1046120712.db2.gz ILAHWPSYUURXPL-GUYCJALGSA-N 0 3 323.358 4.398 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1cccc(F)c1)c1ccc(C)o1 ZINC000532248371 1046121998 /nfs/dbraw/zinc/12/19/98/1046121998.db2.gz ZYWGCCLDALZUNF-SUMWQHHRSA-N 0 3 305.393 4.026 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc(C(F)(F)F)cn1)c1nccs1 ZINC000902951367 1046125014 /nfs/dbraw/zinc/12/50/14/1046125014.db2.gz OKNADCYHEBOXMW-NXEZZACHSA-N 0 3 315.364 4.011 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc(C(F)(F)F)cn1)c1nccs1 ZINC000902951368 1046125384 /nfs/dbraw/zinc/12/53/84/1046125384.db2.gz OKNADCYHEBOXMW-UWVGGRQHSA-N 0 3 315.364 4.011 20 0 DIADHN CC[C@H]1CN(Cc2nc(C)cs2)CC[C@H]1c1ccccc1 ZINC000532288172 1046128615 /nfs/dbraw/zinc/12/86/15/1046128615.db2.gz FZZJJRGNVZPWCN-DOTOQJQBSA-N 0 3 300.471 4.467 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccccc2C(C)C)c1 ZINC000831300754 1046129865 /nfs/dbraw/zinc/12/98/65/1046129865.db2.gz UYKHARKYZMDXHO-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc(C)c(Cl)c2)c1 ZINC000193731103 1046132681 /nfs/dbraw/zinc/13/26/81/1046132681.db2.gz GURABKSGTWYIOK-CYBMUJFWSA-N 0 3 316.832 4.458 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc2c(c1)CCO2 ZINC000193892440 1046133388 /nfs/dbraw/zinc/13/33/88/1046133388.db2.gz SZVQHZPTLNSFIX-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2COC3(CCCCC3)O2)C2CCC2)o1 ZINC000756791093 1046135363 /nfs/dbraw/zinc/13/53/63/1046135363.db2.gz QMDIVKXIISGCCK-SJLPKXTDSA-N 0 3 319.445 4.095 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC3(CC[C@H](F)C3)CC2)n1 ZINC001138283888 1046138568 /nfs/dbraw/zinc/13/85/68/1046138568.db2.gz OOUGSPLGQCBNLO-HNNXBMFYSA-N 0 3 320.452 4.363 20 0 DIADHN CCOC1(CN[C@H](C)c2ccc(C(F)(F)F)cn2)CCCC1 ZINC000903001627 1046139390 /nfs/dbraw/zinc/13/93/90/1046139390.db2.gz WFTVRVHQTXJAGV-GFCCVEGCSA-N 0 3 316.367 4.100 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2N[C@@H](C)c1cncc(F)c1 ZINC000903017366 1046143590 /nfs/dbraw/zinc/14/35/90/1046143590.db2.gz NBMMWFNBADSLNR-NQCMUKECSA-N 0 3 314.404 4.204 20 0 DIADHN Cc1cnc(CCN[C@@H](C)c2ccc(C(F)(F)F)cn2)c(C)c1 ZINC000903042743 1046146453 /nfs/dbraw/zinc/14/64/53/1046146453.db2.gz GYXOMYYIFCSXCF-ZDUSSCGKSA-N 0 3 323.362 4.006 20 0 DIADHN C[C@@H](NC[C@@H]1C(C)(C)C1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903054471 1046148784 /nfs/dbraw/zinc/14/87/84/1046148784.db2.gz LNVWDALMYMWXGT-LDYMZIIASA-N 0 3 308.294 4.042 20 0 DIADHN O[C@@H](CN(Cc1ccccc1)Cc1ccccc1)c1ccsc1 ZINC000756903734 1046149361 /nfs/dbraw/zinc/14/93/61/1046149361.db2.gz KOQVXPIAIVFHLV-FQEVSTJZSA-N 0 3 323.461 4.484 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2ccc(C)c(F)c2)c1 ZINC000903057442 1046151222 /nfs/dbraw/zinc/15/12/22/1046151222.db2.gz CBOOQUONYMRQFY-CQSZACIVSA-N 0 3 315.388 4.048 20 0 DIADHN Fc1ccccc1[C@H](NCc1ccsc1)C1CCOCC1 ZINC000903068874 1046155089 /nfs/dbraw/zinc/15/50/89/1046155089.db2.gz OKOZQBRTWLAOLG-QGZVFWFLSA-N 0 3 305.418 4.145 20 0 DIADHN CSC[C@H]1CCCN1CCc1cc(Cl)cc(Cl)c1 ZINC001168147816 1046155311 /nfs/dbraw/zinc/15/53/11/1046155311.db2.gz XUGATUFRDJQXMQ-CQSZACIVSA-N 0 3 304.286 4.363 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(CC3CCC3)c2)cc1 ZINC000903083977 1046158346 /nfs/dbraw/zinc/15/83/46/1046158346.db2.gz SBYSHYRONXGNIW-CABCVRRESA-N 0 3 313.445 4.104 20 0 DIADHN CC(C)OC1(CN[C@@H](C)c2ccc(C(F)(F)F)cn2)CCC1 ZINC000903084183 1046159525 /nfs/dbraw/zinc/15/95/25/1046159525.db2.gz BJHRNYRAXASZJJ-LBPRGKRZSA-N 0 3 316.367 4.099 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccsc1)c1ccc(C(F)(F)F)s1 ZINC000717956382 1046161623 /nfs/dbraw/zinc/16/16/23/1046161623.db2.gz KHTGNVVCHQIIKC-WCBMZHEXSA-N 0 3 321.389 4.213 20 0 DIADHN C[C@@H](NCC1SCCS1)c1ccc(C(F)(F)F)s1 ZINC000717965948 1046163512 /nfs/dbraw/zinc/16/35/12/1046163512.db2.gz AXHVLNOFUSTJMG-SSDOTTSWSA-N 0 3 313.435 4.224 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc3nccnc3c2)cc1 ZINC000634952500 1046164657 /nfs/dbraw/zinc/16/46/57/1046164657.db2.gz ATZHKIBMXLKDKM-OAHLLOKOSA-N 0 3 321.424 4.268 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H]1CCc2cccc3cccc1c32 ZINC000903108107 1046164766 /nfs/dbraw/zinc/16/47/66/1046164766.db2.gz MRFNAVFHFQPVOG-AUUYWEPGSA-N 0 3 303.409 4.276 20 0 DIADHN Cc1c(CN2CC[C@H](C(=O)c3ccccc3)C2)[nH]c2ccccc12 ZINC001138401073 1046165235 /nfs/dbraw/zinc/16/52/35/1046165235.db2.gz JZHHSHIHTPFUMU-KRWDZBQOSA-N 0 3 318.420 4.181 20 0 DIADHN Clc1ccc(C2(NCc3ccc4nccnc4c3)CCC2)cc1 ZINC000634957536 1046166864 /nfs/dbraw/zinc/16/68/64/1046166864.db2.gz PZJYEOUOPBKCIN-UHFFFAOYSA-N 0 3 323.827 4.452 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000779933285 1046169916 /nfs/dbraw/zinc/16/99/16/1046169916.db2.gz KGMDYIHNSGRHGG-NEPJUHHUSA-N 0 3 324.346 4.411 20 0 DIADHN CC1(C)C[C@]1(NCc1ccc2nccnc2c1)c1ccccc1 ZINC000634987212 1046170030 /nfs/dbraw/zinc/17/00/30/1046170030.db2.gz OVQPZOOMDSQKNW-FQEVSTJZSA-N 0 3 303.409 4.045 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](Oc4ccccc4C)C3)cc2c1 ZINC001138415858 1046171861 /nfs/dbraw/zinc/17/18/61/1046171861.db2.gz OFAGLVZBHSGNLL-IBGZPJMESA-N 0 3 320.436 4.438 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](Oc4ccc(F)cc4)C3)cc2c1 ZINC001138416385 1046172820 /nfs/dbraw/zinc/17/28/20/1046172820.db2.gz XIDVESLUXMEWGU-IBGZPJMESA-N 0 3 324.399 4.269 20 0 DIADHN COc1c(C)cc(CN2CCC[C@@H]2c2ccc(C)nc2)cc1C ZINC001138429182 1046177059 /nfs/dbraw/zinc/17/70/59/1046177059.db2.gz YJJYSCGPPSSEEL-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2ccc(C)nc2)c(C)c1 ZINC001138436318 1046179406 /nfs/dbraw/zinc/17/94/06/1046179406.db2.gz YSSGHFKYENOQQX-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1cc(Cl)c(N)c(Cl)c1 ZINC000760165369 1046183225 /nfs/dbraw/zinc/18/32/25/1046183225.db2.gz BVGTWJKDYQULMV-ZCFIWIBFSA-N 0 3 301.139 4.179 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCc3ccc(C)cc3C2)cc1 ZINC001138447203 1046184600 /nfs/dbraw/zinc/18/46/00/1046184600.db2.gz SEQYSXXFINKDAR-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@H]1CSCCS1 ZINC000779977322 1046185790 /nfs/dbraw/zinc/18/57/90/1046185790.db2.gz MDLNGNHTBVWWDZ-HNNXBMFYSA-N 0 3 321.467 4.010 20 0 DIADHN C[C@H](N[C@H]1CC2(CCC2)Oc2ccccc21)c1cccc(CO)c1 ZINC000760166387 1046185955 /nfs/dbraw/zinc/18/59/55/1046185955.db2.gz FEMNYWUUHYMCOF-KXBFYZLASA-N 0 3 323.436 4.276 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2Cc3ccccc3C[C@H]2C)cc1 ZINC001138449085 1046186576 /nfs/dbraw/zinc/18/65/76/1046186576.db2.gz DASXHEOOVQZZKC-MRXNPFEDSA-N 0 3 317.436 4.332 20 0 DIADHN C[C@H](C[C@@H]1CCOC1)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000666097669 1046189105 /nfs/dbraw/zinc/18/91/05/1046189105.db2.gz KJKTXWUAKGJTAX-KFWWJZLASA-N 0 3 316.470 4.276 20 0 DIADHN CC(C)C[C@@H](NCc1cc(N(C)C)ccn1)c1cccs1 ZINC000903201754 1046190959 /nfs/dbraw/zinc/19/09/59/1046190959.db2.gz MJWNAYUBTLBAKE-MRXNPFEDSA-N 0 3 303.475 4.086 20 0 DIADHN CC[C@H](NCc1cc(N(C)C)ccn1)c1ccc(Cl)s1 ZINC000903202093 1046191042 /nfs/dbraw/zinc/19/10/42/1046191042.db2.gz WKUOXICKWFTOAM-ZDUSSCGKSA-N 0 3 309.866 4.103 20 0 DIADHN Cc1cnc([C@H](C)N[C@H]2CCc3cccc(Cl)c3C2)s1 ZINC000666097565 1046191425 /nfs/dbraw/zinc/19/14/25/1046191425.db2.gz SCLYVAYLLOUHNT-AAEUAGOBSA-N 0 3 306.862 4.313 20 0 DIADHN CN(C)c1ccnc(CN[C@@H](c2cccs2)C2CCCC2)c1 ZINC000903201714 1046191528 /nfs/dbraw/zinc/19/15/28/1046191528.db2.gz LPUWUKVCLNGUMB-GOSISDBHSA-N 0 3 315.486 4.230 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000475738791 1046191600 /nfs/dbraw/zinc/19/16/00/1046191600.db2.gz KUZMTKVRXKREOB-PVAVHDDUSA-N 0 3 316.489 4.423 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2csc(C)c2C)c1 ZINC000903209132 1046193889 /nfs/dbraw/zinc/19/38/89/1046193889.db2.gz HALVXZKWNBWOEX-CYBMUJFWSA-N 0 3 317.454 4.279 20 0 DIADHN CC[C@@H](NCc1cc(N(C)C)ccn1)c1cccc(Cl)c1 ZINC000903203986 1046194543 /nfs/dbraw/zinc/19/45/43/1046194543.db2.gz ZOJYFJDIABMYAO-QGZVFWFLSA-N 0 3 303.837 4.042 20 0 DIADHN Brc1cc(CN2CCC3(CCCCC3)CC2)ccn1 ZINC001138731263 1046199711 /nfs/dbraw/zinc/19/97/11/1046199711.db2.gz JLUTVMFDKNMFRT-UHFFFAOYSA-N 0 3 323.278 4.390 20 0 DIADHN CN(C)c1ccc(CN2CCC[C@H]2c2ccc(F)cc2)s1 ZINC001138490052 1046199995 /nfs/dbraw/zinc/19/99/95/1046199995.db2.gz YZONASKCFBWXFZ-INIZCTEOSA-N 0 3 304.434 4.290 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001138502099 1046201398 /nfs/dbraw/zinc/20/13/98/1046201398.db2.gz IUNPSOWUQLGTJF-FPOVZHCZSA-N 0 3 323.484 4.313 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C(C)C)c2)cc1C ZINC000903235241 1046202586 /nfs/dbraw/zinc/20/25/86/1046202586.db2.gz VXFVRLUWLXAOBD-CABCVRRESA-N 0 3 301.434 4.193 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cnn(CC3CCC3)c2)c2sccc21 ZINC000903239198 1046203878 /nfs/dbraw/zinc/20/38/78/1046203878.db2.gz ARIQHLCIYMEYBY-DYVFJYSZSA-N 0 3 315.486 4.473 20 0 DIADHN c1nc2cc(CN[C@H]3CC4(CCC4)Oc4ccccc43)ccc2[nH]1 ZINC000757704670 1046204289 /nfs/dbraw/zinc/20/42/89/1046204289.db2.gz IJNIICOPVPWXNT-SFHVURJKSA-N 0 3 319.408 4.099 20 0 DIADHN c1nc2ccc(CN[C@H]3CC4(CCC4)Oc4ccccc43)cc2[nH]1 ZINC000757704670 1046204298 /nfs/dbraw/zinc/20/42/98/1046204298.db2.gz IJNIICOPVPWXNT-SFHVURJKSA-N 0 3 319.408 4.099 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c(O)cccc21)c1ccc2c(c1)CCO2 ZINC000903238508 1046204560 /nfs/dbraw/zinc/20/45/60/1046204560.db2.gz NIBKLTSAIGTHBP-FZKQIMNGSA-N 0 3 309.409 4.055 20 0 DIADHN CC(C)c1nc([C@H](C)NCC(C)(C)C2CCOCC2)cs1 ZINC000903244491 1046204976 /nfs/dbraw/zinc/20/49/76/1046204976.db2.gz WQAINIXFRQMHBS-ZDUSSCGKSA-N 0 3 310.507 4.370 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ccc(O)cc21)c1ccc2c(c1)CCO2 ZINC000903238435 1046205000 /nfs/dbraw/zinc/20/50/00/1046205000.db2.gz KOSSYUNMTOPGQY-YJYMSZOUSA-N 0 3 309.409 4.055 20 0 DIADHN c1nc2cc(CN[C@@H]3CC4(CCC4)Oc4ccccc43)ccc2[nH]1 ZINC000757704667 1046205202 /nfs/dbraw/zinc/20/52/02/1046205202.db2.gz IJNIICOPVPWXNT-GOSISDBHSA-N 0 3 319.408 4.099 20 0 DIADHN c1nc2ccc(CN[C@@H]3CC4(CCC4)Oc4ccccc43)cc2[nH]1 ZINC000757704667 1046205212 /nfs/dbraw/zinc/20/52/12/1046205212.db2.gz IJNIICOPVPWXNT-GOSISDBHSA-N 0 3 319.408 4.099 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1ccc(C(F)F)cc1 ZINC000185176926 1046208480 /nfs/dbraw/zinc/20/84/80/1046208480.db2.gz PXYCEEBKLKWBHU-UHFFFAOYSA-N 0 3 314.335 4.168 20 0 DIADHN CCCc1ccc(CN2CC[C@@](CF)(C(F)(F)F)C2)s1 ZINC001138523494 1046211124 /nfs/dbraw/zinc/21/11/24/1046211124.db2.gz HBWCKYZGDNHYHN-ZDUSSCGKSA-N 0 3 309.372 4.425 20 0 DIADHN CCCc1ccc(CN2CC[C@](CF)(C(F)(F)F)C2)s1 ZINC001138523493 1046212553 /nfs/dbraw/zinc/21/25/53/1046212553.db2.gz HBWCKYZGDNHYHN-CYBMUJFWSA-N 0 3 309.372 4.425 20 0 DIADHN CCCc1ccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)s1 ZINC001138528833 1046214963 /nfs/dbraw/zinc/21/49/63/1046214963.db2.gz JKPUXDNJQNSUHJ-ZWKOTPCHSA-N 0 3 324.509 4.099 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2cnn(CCF)c2)cc1 ZINC000903275251 1046218572 /nfs/dbraw/zinc/21/85/72/1046218572.db2.gz YKFRPNFJSQSXAC-HUUCEWRRSA-N 0 3 303.425 4.217 20 0 DIADHN C[C@@H](N[C@H]1CCCC2(CC2)C1)c1nc(Br)cs1 ZINC000903280624 1046220008 /nfs/dbraw/zinc/22/00/08/1046220008.db2.gz WHRBMVRURNHQGN-ZJUUUORDSA-N 0 3 315.280 4.279 20 0 DIADHN CN(Cc1cccnc1NC(=O)C(C)(C)C)CC1CCCCC1 ZINC001138546169 1046220290 /nfs/dbraw/zinc/22/02/90/1046220290.db2.gz GEHWPKIERWLJCD-UHFFFAOYSA-N 0 3 317.477 4.078 20 0 DIADHN CCC[C@@H](NC1CC2(C1)CO[C@H](C)C2)c1ncccc1Cl ZINC000903279302 1046220826 /nfs/dbraw/zinc/22/08/26/1046220826.db2.gz MFEYWEYZJFMWAA-RZZRIIHNSA-N 0 3 308.853 4.123 20 0 DIADHN CC1(C)C[C@@H](N[C@@H]2COCc3cccc(Cl)c32)CCS1 ZINC000903282641 1046222424 /nfs/dbraw/zinc/22/24/24/1046222424.db2.gz FJJDYPMWCJATFR-GXTWGEPZSA-N 0 3 311.878 4.175 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1cn(CC2CCC2)cn1 ZINC001168165495 1046223414 /nfs/dbraw/zinc/22/34/14/1046223414.db2.gz DLOGWFWYCFDZIS-AWEZNQCLSA-N 0 3 317.864 4.057 20 0 DIADHN CC(C)CC[C@H](C)N[C@@H](CO)c1ccc(Cl)cc1Cl ZINC001256355661 1046224016 /nfs/dbraw/zinc/22/40/16/1046224016.db2.gz ARZNMGQRIKAENY-NHYWBVRUSA-N 0 3 304.261 4.441 20 0 DIADHN Cc1ccc([C@H](C)NC2(c3ccc4c(c3)OCCO4)CC2)s1 ZINC000903293096 1046226367 /nfs/dbraw/zinc/22/63/67/1046226367.db2.gz APDCTQLWJDHWDD-ZDUSSCGKSA-N 0 3 315.438 4.168 20 0 DIADHN Cc1cc(CN2CC[C@H](Oc3cc(F)ccc3F)C2)c(C)s1 ZINC001138568262 1046229037 /nfs/dbraw/zinc/22/90/37/1046229037.db2.gz VXAQNOSRHIUGET-HNNXBMFYSA-N 0 3 323.408 4.296 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cncs1 ZINC000903305550 1046229885 /nfs/dbraw/zinc/22/98/85/1046229885.db2.gz MRQKZENYKYIBHU-BMIGLBTASA-N 0 3 315.417 4.492 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1nccc2ccccc21 ZINC000903303653 1046230658 /nfs/dbraw/zinc/23/06/58/1046230658.db2.gz UBHDWILUJBPSRF-INIZCTEOSA-N 0 3 320.436 4.195 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@@H](C)c1cncs1 ZINC000903310809 1046233256 /nfs/dbraw/zinc/23/32/56/1046233256.db2.gz LKRGYBAYRROJKK-XHDPSFHLSA-N 0 3 302.443 4.225 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1c(C)cc(CO)cc1C ZINC000903308125 1046233475 /nfs/dbraw/zinc/23/34/75/1046233475.db2.gz NNIGLIWOYFJNTM-MRXNPFEDSA-N 0 3 313.441 4.045 20 0 DIADHN COc1ccc2c(CN3CCCC4(CC(F)C4)CC3)c[nH]c2c1 ZINC001138590742 1046235425 /nfs/dbraw/zinc/23/54/25/1046235425.db2.gz YVCMCUKTAABBIY-UHFFFAOYSA-N 0 3 316.420 4.281 20 0 DIADHN COc1ccc2c(CN(C)[C@@H](C)c3ccccc3OC)c[nH]c2c1 ZINC001138590220 1046236251 /nfs/dbraw/zinc/23/62/51/1046236251.db2.gz OVKFXUAEUYBRRP-AWEZNQCLSA-N 0 3 324.424 4.378 20 0 DIADHN C[C@H](CCc1c[nH]c2ccccc12)N[C@@H](c1ncccn1)C1CC1 ZINC000903324883 1046238759 /nfs/dbraw/zinc/23/87/59/1046238759.db2.gz SGNGVODVDYYHFB-AUUYWEPGSA-N 0 3 320.440 4.020 20 0 DIADHN FC(F)(F)[C@H]1CCCN(Cc2ccccc2N2CCCC2)C1 ZINC001138611626 1046240678 /nfs/dbraw/zinc/24/06/78/1046240678.db2.gz CGAABANXBVUXTC-HNNXBMFYSA-N 0 3 312.379 4.061 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2ccccc2N2CCCC2)cc1 ZINC001138614296 1046241950 /nfs/dbraw/zinc/24/19/50/1046241950.db2.gz WBWWHIRPVXQFTM-QGZVFWFLSA-N 0 3 324.468 4.488 20 0 DIADHN Cc1cccnc1[C@@H](N[C@@H](C)c1cccc(CO)c1)C(C)(C)C ZINC000903331636 1046244527 /nfs/dbraw/zinc/24/45/27/1046244527.db2.gz ZQKWQOFDUCFXBO-HNAYVOBHSA-N 0 3 312.457 4.320 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H](C)c1cccc(CO)c1)C(C)(C)C ZINC000903331635 1046244603 /nfs/dbraw/zinc/24/46/03/1046244603.db2.gz ZQKWQOFDUCFXBO-DNVCBOLYSA-N 0 3 312.457 4.320 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@H](C)Cc2ccc(Cl)cc2)no1 ZINC001168170742 1046245272 /nfs/dbraw/zinc/24/52/72/1046245272.db2.gz PQJZWQMMHWPVBD-SJKOYZFVSA-N 0 3 304.821 4.405 20 0 DIADHN CC(C)c1ccc([C@@H](NC2CC(CCO)C2)c2cccnc2)cc1 ZINC000903333563 1046245844 /nfs/dbraw/zinc/24/58/44/1046245844.db2.gz QAOVMFKBQWMQJN-VYHPPPRESA-N 0 3 324.468 4.045 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CC1)c1cccc(-n2ccnc2)c1 ZINC000903334963 1046246580 /nfs/dbraw/zinc/24/65/80/1046246580.db2.gz JERZFQCENYLKOL-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000903334714 1046246631 /nfs/dbraw/zinc/24/66/31/1046246631.db2.gz FNEGWIFDHPAMJK-VLIAUNLRSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@H](c1ccccn1)C1CC1 ZINC000903334676 1046247054 /nfs/dbraw/zinc/24/70/54/1046247054.db2.gz FEDVFDJDKRUTDY-DLPLYFIVSA-N 0 3 322.452 4.455 20 0 DIADHN Fc1ccccc1-n1cccc1CN1CC[C@@H](c2ccncc2)C1 ZINC001138633941 1046249285 /nfs/dbraw/zinc/24/92/85/1046249285.db2.gz JALPEHGJDDFJQK-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1ccccn1)C1CC1)CCC2 ZINC000903337304 1046249722 /nfs/dbraw/zinc/24/97/22/1046249722.db2.gz CJTZUCAXGZVMGO-UYAOXDASSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000903338197 1046250018 /nfs/dbraw/zinc/25/00/18/1046250018.db2.gz GPXAZPQCTRCITH-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN CCc1nc(CN[C@](C)(Cc2ccccc2)C2CC2)cs1 ZINC001202850623 1046254810 /nfs/dbraw/zinc/25/48/10/1046254810.db2.gz BJHVDHBATZUQMR-GOSISDBHSA-N 0 3 300.471 4.207 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnn(-c2ccc(F)cc2)c1 ZINC001138650123 1046257680 /nfs/dbraw/zinc/25/76/80/1046257680.db2.gz POEIKOFQEPBQMN-UHFFFAOYSA-N 0 3 323.415 4.076 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC[C@@H]4CCCC[C@H]43)cn2)cc1 ZINC001138653279 1046258182 /nfs/dbraw/zinc/25/81/82/1046258182.db2.gz XUHJYZWJRCRBDO-QFBILLFUSA-N 0 3 313.420 4.166 20 0 DIADHN C[C@@](Cc1ccccc1)(NCc1nc2cc(F)ccc2o1)C1CC1 ZINC001202850962 1046260171 /nfs/dbraw/zinc/26/01/71/1046260171.db2.gz HVSWASPOQSPGHZ-FQEVSTJZSA-N 0 3 324.399 4.468 20 0 DIADHN Cc1cnc(=S)n(CN2C[C@H](c3ccccc3C)C[C@@H]2C)c1 ZINC000758327971 1046261691 /nfs/dbraw/zinc/26/16/91/1046261691.db2.gz STBYRBUARBXKNN-JKSUJKDBSA-N 0 3 313.470 4.065 20 0 DIADHN C[C@@H](N[C@H]1CCOC12CCCC2)c1cc(-c2ccccc2)no1 ZINC000903391294 1046264087 /nfs/dbraw/zinc/26/40/87/1046264087.db2.gz ZLGRSCYLCNCABQ-KDOFPFPSSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1ccc2cc([C@H](C)NCc3ccc(CCO)cc3)oc2c1 ZINC000903403537 1046266051 /nfs/dbraw/zinc/26/60/51/1046266051.db2.gz SAZRMCKWLUGPJW-HNNXBMFYSA-N 0 3 309.409 4.127 20 0 DIADHN CC(C)c1ccc([C@H](NCC[C@@H](O)C2CC2)c2cccnc2)cc1 ZINC000903412909 1046268970 /nfs/dbraw/zinc/26/89/70/1046268970.db2.gz YHZPPAHLPHBUMF-RTWAWAEBSA-N 0 3 324.468 4.045 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCc3n[nH]cc32)cc2ccccc21 ZINC000903413303 1046271302 /nfs/dbraw/zinc/27/13/02/1046271302.db2.gz KGFVAWNKDYIYFG-UGSOOPFHSA-N 0 3 321.424 4.300 20 0 DIADHN c1ccc([C@H]2CN(CCc3noc4ccccc34)CCS2)cc1 ZINC000653557137 1046272710 /nfs/dbraw/zinc/27/27/10/1046272710.db2.gz DMWLYYSITBMCBA-LJQANCHMSA-N 0 3 324.449 4.160 20 0 DIADHN Fc1cccc([C@H]2CCCN2Cc2ccc3c(c2)CCCO3)c1 ZINC001138716671 1046276874 /nfs/dbraw/zinc/27/68/74/1046276874.db2.gz SLKABOXLPWYEDD-LJQANCHMSA-N 0 3 311.400 4.488 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](c1ccncc1)C1CC1)CCC2 ZINC000903429230 1046279222 /nfs/dbraw/zinc/27/92/22/1046279222.db2.gz UAHQIYUKFYAWSE-RDJZCZTQSA-N 0 3 313.470 4.219 20 0 DIADHN CC[C@@H](C)N(Cc1cnc(CCOC)s1)Cc1ccccc1 ZINC000637866623 1046281153 /nfs/dbraw/zinc/28/11/53/1046281153.db2.gz BEWYTZMOZWXSMC-OAHLLOKOSA-N 0 3 318.486 4.133 20 0 DIADHN COc1ccc2c(c1)CN([C@H](C)Cc1ccc(Cl)cc1)C2 ZINC001168179331 1046282434 /nfs/dbraw/zinc/28/24/34/1046282434.db2.gz JDHLBHZUWQKEJW-CYBMUJFWSA-N 0 3 301.817 4.295 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ncc(C)s2)C12CCCCC2 ZINC000245935442 1046286727 /nfs/dbraw/zinc/28/67/27/1046286727.db2.gz TWHPTMIQRIZNNE-RBSFLKMASA-N 0 3 308.491 4.230 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CCC2(CCC2)C1 ZINC000758737755 1046287518 /nfs/dbraw/zinc/28/75/18/1046287518.db2.gz NXCCEJMXJNYHCV-HNNXBMFYSA-N 0 3 308.425 4.043 20 0 DIADHN CCCCc1ccc(CN2CC[C@]3(C2)CC(F)(F)CO3)s1 ZINC001138754269 1046288801 /nfs/dbraw/zinc/28/88/01/1046288801.db2.gz DNQUTQORNMRUFA-OAHLLOKOSA-N 0 3 315.429 4.091 20 0 DIADHN Cc1cc(CN(C)C[C@H]2CCCO[C@H]2c2ccccc2)sn1 ZINC000637990525 1046289800 /nfs/dbraw/zinc/28/98/00/1046289800.db2.gz DOAXWWSDZMJGQW-AEFFLSMTSA-N 0 3 316.470 4.051 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001138766723 1046290967 /nfs/dbraw/zinc/29/09/67/1046290967.db2.gz YPAKXKABXWQPOG-UXHICEINSA-N 0 3 309.457 4.086 20 0 DIADHN CCN(CC)c1ncc(CN2CCC[C@H]2c2ccccc2)s1 ZINC001138800129 1046295582 /nfs/dbraw/zinc/29/55/82/1046295582.db2.gz QZNXVHYZXFPJRF-KRWDZBQOSA-N 0 3 315.486 4.326 20 0 DIADHN CCC[C@H](Nc1ccccc1CN1CCC(O)CC1)C1CCC1 ZINC000638196691 1046300366 /nfs/dbraw/zinc/30/03/66/1046300366.db2.gz MICRJZRRCLWVCB-IBGZPJMESA-N 0 3 316.489 4.024 20 0 DIADHN Cc1ccnc(O[C@H]2CCCN(Cc3ccc4occc4c3)C2)c1 ZINC001138825574 1046302111 /nfs/dbraw/zinc/30/21/11/1046302111.db2.gz AAPVJCFCJTUAOH-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccc(O)c(CN[C@H](C)c2ccc(F)cc2Cl)c1 ZINC000089726229 1046303543 /nfs/dbraw/zinc/30/35/43/1046303543.db2.gz SFLDAYYOPKNWGK-SNVBAGLBSA-N 0 3 309.768 4.044 20 0 DIADHN COCCC1CCN(Cc2c[nH]c3c2cccc3Cl)CC1 ZINC001138834516 1046308141 /nfs/dbraw/zinc/30/81/41/1046308141.db2.gz CZGJPTGKZSOCDM-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN CC(C)[C@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)c1ccccc1 ZINC000638314924 1046310805 /nfs/dbraw/zinc/31/08/05/1046310805.db2.gz ABRAKPQONMMJBV-ZWKOTPCHSA-N 0 3 312.461 4.413 20 0 DIADHN CC(C)[C@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)c1ccccc1 ZINC000638314924 1046310820 /nfs/dbraw/zinc/31/08/20/1046310820.db2.gz ABRAKPQONMMJBV-ZWKOTPCHSA-N 0 3 312.461 4.413 20 0 DIADHN CO[C@@H]1CCCN(Cc2cc3ccc(C)cc3nc2Cl)CC1 ZINC001138862850 1046312147 /nfs/dbraw/zinc/31/21/47/1046312147.db2.gz RDPVIDKUMCVTLE-MRXNPFEDSA-N 0 3 318.848 4.198 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)c(F)c2)[C@H]1c1ccccc1 ZINC000759062574 1046313120 /nfs/dbraw/zinc/31/31/20/1046313120.db2.gz KSHYJSQMJBNBOZ-OCBCSQNSSA-N 0 3 319.807 4.206 20 0 DIADHN c1ccc([C@@H]2CCCCN2Cc2cnc3ccccc3n2)cc1 ZINC001138863254 1046313619 /nfs/dbraw/zinc/31/36/19/1046313619.db2.gz BNAMUHSYVADXBU-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN FC(F)(F)Oc1ccccc1CNCc1cccc2[nH]ccc21 ZINC000237719843 1046314253 /nfs/dbraw/zinc/31/42/53/1046314253.db2.gz DFMJKIOXCLMHJN-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)c(F)c2)[C@H]1c1ccccc1 ZINC000759062573 1046314499 /nfs/dbraw/zinc/31/44/99/1046314499.db2.gz KSHYJSQMJBNBOZ-NXOUGTEYSA-N 0 3 319.807 4.206 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccc(F)cc2)Cc2ccccc21 ZINC001138878685 1046315187 /nfs/dbraw/zinc/31/51/87/1046315187.db2.gz PWRTXCKGNIHRMG-AWEZNQCLSA-N 0 3 321.399 4.335 20 0 DIADHN C[C@@H](NC1CCC(=C2CCC2)CC1)c1nnc2n1CCCCC2 ZINC000638343882 1046317829 /nfs/dbraw/zinc/31/78/29/1046317829.db2.gz NLABKJZAEXCCHB-CQSZACIVSA-N 0 3 314.477 4.078 20 0 DIADHN C[C@H](NCc1cccnc1Oc1cccc(F)c1)[C@@H]1CC1(F)F ZINC000638361398 1046317964 /nfs/dbraw/zinc/31/79/64/1046317964.db2.gz PHFFVTXQHSUZOB-NHYWBVRUSA-N 0 3 322.330 4.146 20 0 DIADHN CCc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(F)cc2)cc1 ZINC001138885312 1046319104 /nfs/dbraw/zinc/31/91/04/1046319104.db2.gz GEKKKQZBFCIGGW-UHFFFAOYSA-N 0 3 323.415 4.410 20 0 DIADHN C[C@H](NC1CC(n2cccn2)C1)c1cc(Cl)ccc1Cl ZINC000638445570 1046321531 /nfs/dbraw/zinc/32/15/31/1046321531.db2.gz OFMWOSNRTCTANV-PKSQDBQZSA-N 0 3 310.228 4.244 20 0 DIADHN C[C@@H](NC1CC(n2cccn2)C1)c1cc(Cl)ccc1Cl ZINC000638445571 1046322242 /nfs/dbraw/zinc/32/22/42/1046322242.db2.gz OFMWOSNRTCTANV-QFWMXSHPSA-N 0 3 310.228 4.244 20 0 DIADHN CC[C@H](NC[C@@H]1CCN(c2ccccc2)C1)c1nc(C)cs1 ZINC000638579762 1046330599 /nfs/dbraw/zinc/33/05/99/1046330599.db2.gz XELPKZHURDTCQP-RDJZCZTQSA-N 0 3 315.486 4.019 20 0 DIADHN CC(C)c1cc(Cl)ccc1NC(=O)c1ccc2c(c1)CNC2 ZINC000638585565 1046330957 /nfs/dbraw/zinc/33/09/57/1046330957.db2.gz BKZFEDJUFKRTLI-UHFFFAOYSA-N 0 3 314.816 4.319 20 0 DIADHN COc1ccc(C2(NCc3cc(C)ns3)CCCC2)cc1 ZINC000638603578 1046331488 /nfs/dbraw/zinc/33/14/88/1046331488.db2.gz FQFNUAQOHXSXEX-UHFFFAOYSA-N 0 3 302.443 4.019 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001138934684 1046331765 /nfs/dbraw/zinc/33/17/65/1046331765.db2.gz JYNTZCYPCCIUPJ-CHWSQXEVSA-N 0 3 303.837 4.180 20 0 DIADHN CC(C)c1cc(Cl)ccc1NC(=O)c1cccc2c1CNC2 ZINC000638585676 1046331680 /nfs/dbraw/zinc/33/16/80/1046331680.db2.gz FKMHNBKPBUPVMX-UHFFFAOYSA-N 0 3 314.816 4.319 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001138935883 1046333125 /nfs/dbraw/zinc/33/31/25/1046333125.db2.gz LFESAZBUDSJJNK-IUODEOHRSA-N 0 3 303.837 4.323 20 0 DIADHN O[C@H](CCN1CCC[C@H]1c1ccc(F)cc1F)c1ccccc1 ZINC000832518118 1046334542 /nfs/dbraw/zinc/33/45/42/1046334542.db2.gz USRSKCYUCYBTTR-RBUKOAKNSA-N 0 3 317.379 4.225 20 0 DIADHN Cc1cccc(CCN(C)Cc2c3cc[nH]c3ncc2Cl)c1 ZINC001138952566 1046338008 /nfs/dbraw/zinc/33/80/08/1046338008.db2.gz SYQYDSJCJLWBSN-UHFFFAOYSA-N 0 3 313.832 4.151 20 0 DIADHN CCC(=O)Oc1ccc(CN2CCc3ccccc3[C@@H]2C)cc1 ZINC001138962507 1046341402 /nfs/dbraw/zinc/34/14/02/1046341402.db2.gz PWABKCCFHHVDBP-HNNXBMFYSA-N 0 3 309.409 4.121 20 0 DIADHN CC(C)COc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1 ZINC001138968005 1046342761 /nfs/dbraw/zinc/34/27/61/1046342761.db2.gz GAYVTOCQQDGOKT-IYBDPMFKSA-N 0 3 309.400 4.199 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](CC(F)(F)F)c2ccc(F)cc2)CS1 ZINC000638800914 1046343255 /nfs/dbraw/zinc/34/32/55/1046343255.db2.gz QPNBPMLHHLXKQD-OASPWFOLSA-N 0 3 307.356 4.303 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Br)[C@@H]1CC1(F)F ZINC000666445712 1046346636 /nfs/dbraw/zinc/34/66/36/1046346636.db2.gz XHCKONUJIGZJFV-XCBNKYQSSA-N 0 3 324.596 4.236 20 0 DIADHN CC(C)OCC(C)(C)NCc1ccc(CSC(F)F)o1 ZINC000396396858 1046347042 /nfs/dbraw/zinc/34/70/42/1046347042.db2.gz WEDZEJSRGNRVMT-UHFFFAOYSA-N 0 3 307.406 4.029 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)NCc1cc(C2CC2)no1 ZINC000638962664 1046351747 /nfs/dbraw/zinc/35/17/47/1046351747.db2.gz MXDATMKOWCQONT-LLVKDONJSA-N 0 3 324.346 4.292 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@H]4CCCO[C@H]4C3)s2)c1 ZINC001139016756 1046355751 /nfs/dbraw/zinc/35/57/51/1046355751.db2.gz BOOWCNGXSXGBNA-HIFRSBDPSA-N 0 3 319.495 4.478 20 0 DIADHN CC1=C(C)C[C@H]([C@H](C)N[C@H](c2nc[nH]n2)c2ccccc2)CC1 ZINC000639062622 1046357461 /nfs/dbraw/zinc/35/74/61/1046357461.db2.gz RNFAQEQCDDBULP-JQHSSLGASA-N 0 3 310.445 4.009 20 0 DIADHN CC1=C(C)C[C@H]([C@H](C)[NH2+][C@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000639062622 1046357473 /nfs/dbraw/zinc/35/74/73/1046357473.db2.gz RNFAQEQCDDBULP-JQHSSLGASA-N 0 3 310.445 4.009 20 0 DIADHN FC1CC2(C1)CCCN(Cc1ccc(C(F)(F)F)cn1)CC2 ZINC001139017373 1046358237 /nfs/dbraw/zinc/35/82/37/1046358237.db2.gz WGRMTMOKIREKBQ-UHFFFAOYSA-N 0 3 316.342 4.205 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139018979 1046359213 /nfs/dbraw/zinc/35/92/13/1046359213.db2.gz PALMZGCUWMGNJC-ZDUSSCGKSA-N 0 3 307.484 4.478 20 0 DIADHN COCCCC[C@@H](NCc1cccc(F)c1N)c1ccccc1 ZINC000639121636 1046361075 /nfs/dbraw/zinc/36/10/75/1046361075.db2.gz UBLUZFWBNWCRSN-GOSISDBHSA-N 0 3 316.420 4.056 20 0 DIADHN Fc1cccc([C@@H]2CC[C@@H](NCc3cc(C4CC4)no3)C2)c1 ZINC000639127562 1046361481 /nfs/dbraw/zinc/36/14/81/1046361481.db2.gz QYRHFVIMAHTWPO-GDBMZVCRSA-N 0 3 300.377 4.117 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139020104 1046362896 /nfs/dbraw/zinc/36/28/96/1046362896.db2.gz WJJNNJFFUWKDOR-CYBMUJFWSA-N 0 3 307.484 4.476 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1cccc(Cl)c1)c1ccco1 ZINC000246513431 1046368996 /nfs/dbraw/zinc/36/89/96/1046368996.db2.gz IENGMYJGYWJNLF-JKSUJKDBSA-N 0 3 305.805 4.021 20 0 DIADHN CCOC1(C)CCN(Cc2ccccc2CC(F)(F)F)CC1 ZINC000639198832 1046369786 /nfs/dbraw/zinc/36/97/86/1046369786.db2.gz GFZUZMXWXYHABT-UHFFFAOYSA-N 0 3 315.379 4.182 20 0 DIADHN CCO[C@H]1CCN(Cc2ccccc2CC(F)(F)F)C[C@@H]1C ZINC000639231201 1046372506 /nfs/dbraw/zinc/37/25/06/1046372506.db2.gz VAXDPMIAFDBJTP-BBRMVZONSA-N 0 3 315.379 4.038 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)/C=C/C2CCCCC2)c1 ZINC000759745404 1046376663 /nfs/dbraw/zinc/37/66/63/1046376663.db2.gz LTUWXZOHUSYVRB-MDZDMXLPSA-N 0 3 300.446 4.132 20 0 DIADHN CN(CCOc1ccccc1)Cc1ccccc1N1CCCCC1 ZINC000759769892 1046378115 /nfs/dbraw/zinc/37/81/15/1046378115.db2.gz WTEUIRWVKCUQRB-UHFFFAOYSA-N 0 3 324.468 4.188 20 0 DIADHN CC[C@](C)(N[C@H](C)c1cnc(C)nc1C)c1ccc(F)cc1 ZINC000639359452 1046381441 /nfs/dbraw/zinc/38/14/41/1046381441.db2.gz NMOICECXKRSLGR-ACJLOTCBSA-N 0 3 301.409 4.209 20 0 DIADHN CC(C)CCO[C@H]1CC[C@H](NCc2nc3ccccc3o2)CC1 ZINC000639349658 1046381517 /nfs/dbraw/zinc/38/15/17/1046381517.db2.gz ORRMJDXODQYVJG-WKILWMFISA-N 0 3 316.445 4.291 20 0 DIADHN C[C@H](O)[C@H](NCc1ccccc1CC(F)(F)F)c1ccccc1 ZINC000639334678 1046381984 /nfs/dbraw/zinc/38/19/84/1046381984.db2.gz ILTRFQZUOPASEY-GUYCJALGSA-N 0 3 323.358 4.003 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@H](O)C[C@@H]3c3ccccc3)[nH]c2c1 ZINC000639368729 1046385428 /nfs/dbraw/zinc/38/54/28/1046385428.db2.gz YMEHOFQQNIJHHY-TZIWHRDSSA-N 0 3 320.436 4.174 20 0 DIADHN COc1ccc(CN(C(C)C)[C@@H](C)c2ccccc2)nc1OC ZINC001139109866 1046385837 /nfs/dbraw/zinc/38/58/37/1046385837.db2.gz RVBLGNYSPNJGPF-HNNXBMFYSA-N 0 3 314.429 4.070 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001139101594 1046386185 /nfs/dbraw/zinc/38/61/85/1046386185.db2.gz YOOKWSNZYZOABA-ZDUSSCGKSA-N 0 3 324.255 4.323 20 0 DIADHN CCCN(Cc1ccc(Br)nc1Cl)CC(C)C ZINC001139117080 1046388681 /nfs/dbraw/zinc/38/86/81/1046388681.db2.gz WDPAAWQSAYYTIK-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@@H](C2CCC2)CC1 ZINC000639391601 1046389089 /nfs/dbraw/zinc/38/90/89/1046389089.db2.gz GQSMHURKEDRRQW-NVXWUHKLSA-N 0 3 320.502 4.397 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@H]3c3cccnc3)cc21 ZINC001139119226 1046389960 /nfs/dbraw/zinc/38/99/60/1046389960.db2.gz HPKCYUBSRRSYFC-IBGZPJMESA-N 0 3 305.425 4.393 20 0 DIADHN COc1cc(O)ccc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001139143486 1046391747 /nfs/dbraw/zinc/39/17/47/1046391747.db2.gz SVSLWZNJBRTMKI-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN Clc1ccc(-c2ccc(CNC[C@H]3CCC=CO3)o2)cc1 ZINC000780570522 1046393138 /nfs/dbraw/zinc/39/31/38/1046393138.db2.gz OXODXDFHAOWFJM-OAHLLOKOSA-N 0 3 303.789 4.382 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@@H]2CCC=CO2)o1 ZINC000780568149 1046393392 /nfs/dbraw/zinc/39/33/92/1046393392.db2.gz XBPGHDZTBMTZPO-AWEZNQCLSA-N 0 3 301.361 4.176 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](C)c1ccc(C)nc1C)CCC2 ZINC000780745827 1046403920 /nfs/dbraw/zinc/40/39/20/1046403920.db2.gz KGEVVGGXUSRVMA-WFASDCNBSA-N 0 3 301.459 4.192 20 0 DIADHN C[C@@H](c1ccc(C(C)(C)C)cc1)N(C)Cc1cccc(C(N)=O)c1 ZINC000748806600 1046404019 /nfs/dbraw/zinc/40/40/19/1046404019.db2.gz KUYXRFFSHYKAFJ-HNNXBMFYSA-N 0 3 324.468 4.276 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C1CCCCCCC1 ZINC000748806229 1046404317 /nfs/dbraw/zinc/40/43/17/1046404317.db2.gz UGIOOSALXCBPID-UHFFFAOYSA-N 0 3 302.462 4.232 20 0 DIADHN C[C@H](c1nnc(-c2ccc3ccccc3c2)o1)N1CC[C@H](C)C1 ZINC000639468581 1046405282 /nfs/dbraw/zinc/40/52/82/1046405282.db2.gz FCNLKIITPDCQME-UONOGXRCSA-N 0 3 307.397 4.293 20 0 DIADHN CC1(C)CCCN1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639475306 1046405421 /nfs/dbraw/zinc/40/54/21/1046405421.db2.gz ZEGOPFWKLVWYSS-UHFFFAOYSA-N 0 3 307.397 4.264 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3cccc4ccccc43)o2)[C@@H]1C ZINC000639511201 1046407607 /nfs/dbraw/zinc/40/76/07/1046407607.db2.gz NOJZAUHLYBDELU-ZIAGYGMSSA-N 0 3 307.397 4.120 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC[C@@H]1c1cccn1C ZINC000639518798 1046409065 /nfs/dbraw/zinc/40/90/65/1046409065.db2.gz BRLSIZHERVHYFN-GOSISDBHSA-N 0 3 321.424 4.326 20 0 DIADHN Clc1ccc(C2(NCc3cccc4c3CCOC4)CC2)cc1 ZINC000639564289 1046411541 /nfs/dbraw/zinc/41/15/41/1046411541.db2.gz CNCYIMVUVQWXOM-UHFFFAOYSA-N 0 3 313.828 4.192 20 0 DIADHN Cn1ccc2ccc(CN3CCSc4ccccc4C3)cc21 ZINC001139208883 1046413335 /nfs/dbraw/zinc/41/33/35/1046413335.db2.gz YUWCYUDBJKWQCE-UHFFFAOYSA-N 0 3 308.450 4.286 20 0 DIADHN CC(C)C[C@H](NCc1c[nH]cn1)c1cccc(C(F)(F)F)c1 ZINC000171134882 1046414190 /nfs/dbraw/zinc/41/41/90/1046414190.db2.gz QEHSXJSZRYCRSJ-HNNXBMFYSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)C[C@H](NCc1cnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000171134882 1046414196 /nfs/dbraw/zinc/41/41/96/1046414196.db2.gz QEHSXJSZRYCRSJ-HNNXBMFYSA-N 0 3 311.351 4.306 20 0 DIADHN O[C@H](CN1CCCCC[C@H]1c1ccco1)c1ccc(F)cc1F ZINC000246725989 1046414310 /nfs/dbraw/zinc/41/43/10/1046414310.db2.gz IVIPNEPPGLRJCF-DLBZAZTESA-N 0 3 321.367 4.209 20 0 DIADHN c1cc2c(cccc2CN[C@@H](c2ccccc2)[C@@H]2CCCO2)[nH]1 ZINC000171132793 1046414757 /nfs/dbraw/zinc/41/47/57/1046414757.db2.gz LXFLDCHAIVOZPP-PMACEKPBSA-N 0 3 306.409 4.178 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc2nsnc2c1 ZINC001139212801 1046415001 /nfs/dbraw/zinc/41/50/01/1046415001.db2.gz ZVMHQKUMXGZFKD-UHFFFAOYSA-N 0 3 317.845 4.367 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000639627149 1046418876 /nfs/dbraw/zinc/41/88/76/1046418876.db2.gz NWKSFQXSEPEJGH-ZDUSSCGKSA-N 0 3 324.346 4.424 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)C2(CO)CCC2)c(C)n1 ZINC000639654113 1046420588 /nfs/dbraw/zinc/42/05/88/1046420588.db2.gz GHSLOKGYGAOZAQ-FQEVSTJZSA-N 0 3 324.468 4.000 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccc(C)cn1)CC2 ZINC000639714409 1046423615 /nfs/dbraw/zinc/42/36/15/1046423615.db2.gz ALXJTGIYIDBKMV-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC[C@H](OC(C)C)C1 ZINC000639719381 1046423903 /nfs/dbraw/zinc/42/39/03/1046423903.db2.gz DLHONOAEUNBDOC-KRWDZBQOSA-N 0 3 314.429 4.039 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)o1 ZINC000246770957 1046424015 /nfs/dbraw/zinc/42/40/15/1046424015.db2.gz PXILSFXFWHPOIC-YQFWSFKMSA-N 0 3 315.413 4.084 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](c2cccnc2)C1 ZINC000639697125 1046424252 /nfs/dbraw/zinc/42/42/52/1046424252.db2.gz XDDPCITVWCJCBY-GDBMZVCRSA-N 0 3 307.397 4.163 20 0 DIADHN C[C@H]1COCCN(Cc2ccccc2Oc2ccc(F)cc2)C1 ZINC001139297542 1046425333 /nfs/dbraw/zinc/42/53/33/1046425333.db2.gz FQENAYMGCJFFMM-OAHLLOKOSA-N 0 3 315.388 4.086 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cc(C)ccn1)CC2 ZINC000639729257 1046425761 /nfs/dbraw/zinc/42/57/61/1046425761.db2.gz MFDIKOJMXMWPPD-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccccc2Oc2ccc(F)cc2)C1 ZINC001139297656 1046427697 /nfs/dbraw/zinc/42/76/97/1046427697.db2.gz IDIHBVYAZLQZJN-GOSISDBHSA-N 0 3 315.388 4.229 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000090920850 1046430031 /nfs/dbraw/zinc/43/00/31/1046430031.db2.gz JRWDRRPICJZGHC-WBVHZDCISA-N 0 3 322.811 4.039 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)c(F)c1)c1ccccc1F ZINC000090921098 1046430072 /nfs/dbraw/zinc/43/00/72/1046430072.db2.gz RTYZJMICSNEDOX-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](O)c2ccc(Cl)c(F)c2)o1 ZINC000090921033 1046430114 /nfs/dbraw/zinc/43/01/14/1046430114.db2.gz RGFAQBBEYDFSGQ-MEBBXXQBSA-N 0 3 311.784 4.019 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](O)c2ccc(Cl)c(F)c2)o1 ZINC000090921034 1046430660 /nfs/dbraw/zinc/43/06/60/1046430660.db2.gz RGFAQBBEYDFSGQ-ZUZCIYMTSA-N 0 3 311.784 4.019 20 0 DIADHN Oc1ccc2cc(CN3CCC(C(F)(F)F)CC3)ccc2c1 ZINC001139336852 1046430683 /nfs/dbraw/zinc/43/06/83/1046430683.db2.gz CHPFNXPKAVAFEA-UHFFFAOYSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1Cl)[C@H](O)c1cccc(F)c1 ZINC000749133434 1046431929 /nfs/dbraw/zinc/43/19/29/1046431929.db2.gz ZLGKMBNBQJFQSQ-JKDFXYPNSA-N 0 3 307.796 4.252 20 0 DIADHN O=C(CN1CCCC12CCCCC2)Nc1ccc(F)c(Cl)c1 ZINC001168223396 1046432463 /nfs/dbraw/zinc/43/24/63/1046432463.db2.gz YUXBYRLMLVPPEV-UHFFFAOYSA-N 0 3 324.827 4.216 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(Cc2cc[nH]c(=O)c2)CC1 ZINC000639892510 1046435011 /nfs/dbraw/zinc/43/50/11/1046435011.db2.gz VQLJYJIVUJURNB-UHFFFAOYSA-N 0 3 314.816 4.038 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(N2CCCCC2)nc1 ZINC001139347010 1046435017 /nfs/dbraw/zinc/43/50/17/1046435017.db2.gz VBZZSOYTULYFDE-UHFFFAOYSA-N 0 3 323.484 4.402 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H](O)CC1CCCC1 ZINC001168233804 1046435796 /nfs/dbraw/zinc/43/57/96/1046435796.db2.gz HUNUJBHRRFTEHD-QWQRMKEZSA-N 0 3 305.437 4.075 20 0 DIADHN Fc1ccc([C@@H]2CCCN2C[C@@H]2CCOC2)cc1C(F)(F)F ZINC000639935968 1046437765 /nfs/dbraw/zinc/43/77/65/1046437765.db2.gz OCGLLJHTOGBGPM-NHYWBVRUSA-N 0 3 317.326 4.018 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139367669 1046438877 /nfs/dbraw/zinc/43/88/77/1046438877.db2.gz QRCSVKJESKUYQZ-QWHCGFSZSA-N 0 3 323.893 4.267 20 0 DIADHN CCOC1CCN(Cc2cccc(SC(F)(F)F)c2)CC1 ZINC000781326729 1046439720 /nfs/dbraw/zinc/43/97/20/1046439720.db2.gz LHCINGSFZKJUBT-UHFFFAOYSA-N 0 3 319.392 4.299 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(-c3cnccn3)cc1)CC2 ZINC001139391001 1046441629 /nfs/dbraw/zinc/44/16/29/1046441629.db2.gz ISHTZLMPLRFZGL-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN COc1ccc2c(c1)C[C@@H](N[C@@H](C)c1c(F)cccc1Cl)C2 ZINC001168241739 1046441675 /nfs/dbraw/zinc/44/16/75/1046441675.db2.gz NVNKSOOZEBPWNJ-FZMZJTMJSA-N 0 3 319.807 4.306 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(-c3cnccn3)cc2)cc1 ZINC001139393337 1046441894 /nfs/dbraw/zinc/44/18/94/1046441894.db2.gz OOSGQELALBANND-UHFFFAOYSA-N 0 3 317.436 4.338 20 0 DIADHN c1ccc(-c2nc3ccccn3c2CN2[C@H]3C=CC[C@@H]2CC3)cc1 ZINC000676906224 1046446074 /nfs/dbraw/zinc/44/60/74/1046446074.db2.gz IRDQCVDXYAAQLF-ZWKOTPCHSA-N 0 3 315.420 4.294 20 0 DIADHN C[C@H](N[C@H](CO)c1ccccc1)c1cccc(-c2ccncc2)c1 ZINC000123675183 1046449511 /nfs/dbraw/zinc/44/95/11/1046449511.db2.gz QEUIHBGQTFZNPH-HRAATJIYSA-N 0 3 318.420 4.133 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CCC[C@H](C3CC3)C2)n1 ZINC000677040444 1046456487 /nfs/dbraw/zinc/45/64/87/1046456487.db2.gz KYVOPGYWFAHSKF-ZBFHGGJFSA-N 0 3 311.429 4.228 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677043086 1046456836 /nfs/dbraw/zinc/45/68/36/1046456836.db2.gz NWHIQEPFUXLKML-ADLMAVQZSA-N 0 3 303.352 4.312 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CCC4(CCC4)CC3)c2c1 ZINC001139536074 1046465175 /nfs/dbraw/zinc/46/51/75/1046465175.db2.gz CRBNSBPGNHEYFN-UHFFFAOYSA-N 0 3 323.362 4.348 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CCC[C@@H]4CCC[C@H]43)c2c1 ZINC001139539535 1046466356 /nfs/dbraw/zinc/46/63/56/1046466356.db2.gz LUKABULBLQLJBQ-XHDPSFHLSA-N 0 3 323.362 4.346 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CCC[C@H]4CCC[C@H]43)c2c1 ZINC001139539533 1046466943 /nfs/dbraw/zinc/46/69/43/1046466943.db2.gz LUKABULBLQLJBQ-IAQYHMDHSA-N 0 3 323.362 4.346 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CC[C@@H]4CCC[C@H]4C3)c2c1 ZINC001139538637 1046467126 /nfs/dbraw/zinc/46/71/26/1046467126.db2.gz PJVCDLABUFBVRS-RYUDHWBXSA-N 0 3 323.362 4.204 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CC[C@H]4CCC[C@H]4C3)c2c1 ZINC001139538635 1046467169 /nfs/dbraw/zinc/46/71/69/1046467169.db2.gz PJVCDLABUFBVRS-NEPJUHHUSA-N 0 3 323.362 4.204 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CC[C@H]4CCC[C@@H]4C3)c2c1 ZINC001139538638 1046467180 /nfs/dbraw/zinc/46/71/80/1046467180.db2.gz PJVCDLABUFBVRS-VXGBXAGGSA-N 0 3 323.362 4.204 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139416444 1046470003 /nfs/dbraw/zinc/47/00/03/1046470003.db2.gz RODFPYJKPCMFEH-OAHLLOKOSA-N 0 3 305.805 4.211 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(-c3ccncc3)c2)cn1 ZINC000126488396 1046476009 /nfs/dbraw/zinc/47/60/09/1046476009.db2.gz JQFSKANXEKWJQU-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN Clc1ccccc1-c1ccccc1CN1C[C@@H]2CCO[C@@H]2C1 ZINC001139576933 1046476295 /nfs/dbraw/zinc/47/62/95/1046476295.db2.gz IOWBMIPLMAIDOT-HNAYVOBHSA-N 0 3 313.828 4.228 20 0 DIADHN CCOc1ccc(C)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001139605499 1046483011 /nfs/dbraw/zinc/48/30/11/1046483011.db2.gz AHGMDAIVLHWZQO-UHFFFAOYSA-N 0 3 305.437 4.498 20 0 DIADHN CN(Cc1c[nH]c2c1cccc2Cl)Cc1cccc(O)c1 ZINC001138830573 1046484583 /nfs/dbraw/zinc/48/45/83/1046484583.db2.gz QTGDKZGXGHYJRX-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001139606804 1046484787 /nfs/dbraw/zinc/48/47/87/1046484787.db2.gz CREWGQCMTVHCNQ-KRWDZBQOSA-N 0 3 305.437 4.498 20 0 DIADHN O[C@H](C[C@H]1CCCN1C/C=C/c1ccc(F)cc1)c1ccco1 ZINC000091958653 1046488154 /nfs/dbraw/zinc/48/81/54/1046488154.db2.gz PHMDTIZMNQCUBD-PESVTJQDSA-N 0 3 315.388 4.020 20 0 DIADHN CCOc1ccc(CN2CCC(OC3CCC3)CC2)cc1CC ZINC001139618676 1046488615 /nfs/dbraw/zinc/48/86/15/1046488615.db2.gz GKXIHXDVDLNULS-UHFFFAOYSA-N 0 3 317.473 4.181 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2ccccn2)cc1CC ZINC001139624390 1046490411 /nfs/dbraw/zinc/49/04/11/1046490411.db2.gz YWQWAHXLGLJIQG-IBGZPJMESA-N 0 3 310.441 4.380 20 0 DIADHN CC[C@@H](NCc1cccc(-c2ccccn2)c1)c1ccncc1 ZINC000128342727 1046493439 /nfs/dbraw/zinc/49/34/39/1046493439.db2.gz BKWVUXJNYGJLHB-LJQANCHMSA-N 0 3 303.409 4.385 20 0 DIADHN CCSCCCN[C@@H](c1cccc(C)c1)c1ccccn1 ZINC000782159291 1046497753 /nfs/dbraw/zinc/49/77/53/1046497753.db2.gz BGIRFENBLBOOGX-SFHVURJKSA-N 0 3 300.471 4.212 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(Cc2cncn2C)C1 ZINC000129075422 1046499734 /nfs/dbraw/zinc/49/97/34/1046499734.db2.gz VGHLUUNVCVHMMC-MLGOLLRUSA-N 0 3 323.362 4.022 20 0 DIADHN Cc1cc([C@H](NCc2n[nH]c3ccccc23)C2CC2)ccc1F ZINC000677521429 1046501597 /nfs/dbraw/zinc/50/15/97/1046501597.db2.gz RZGFTVFJUJECIP-LJQANCHMSA-N 0 3 309.388 4.251 20 0 DIADHN Cc1cc([C@H](NCc2[nH]nc3ccccc32)C2CC2)ccc1F ZINC000677521429 1046501606 /nfs/dbraw/zinc/50/16/06/1046501606.db2.gz RZGFTVFJUJECIP-LJQANCHMSA-N 0 3 309.388 4.251 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc3ccc(C)cn23)c1 ZINC001137303834 1046504172 /nfs/dbraw/zinc/50/41/72/1046504172.db2.gz WWVHWYCSAZQXPI-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NC[C@@H]1CCCCO1)CC2 ZINC000677654186 1046509543 /nfs/dbraw/zinc/50/95/43/1046509543.db2.gz RGVUMZANUAUELT-GXTWGEPZSA-N 0 3 300.229 4.139 20 0 DIADHN Fc1ccc(CNC2(CCOCc3ccccc3)CC2)c(F)c1 ZINC000677662629 1046510073 /nfs/dbraw/zinc/51/00/73/1046510073.db2.gz JZJPSQTXYAJOMF-UHFFFAOYSA-N 0 3 317.379 4.194 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@H]3c3ccccc3C)n2c1 ZINC001137306514 1046511418 /nfs/dbraw/zinc/51/14/18/1046511418.db2.gz XJJVJMYNDRKNQR-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN C[C@@H]1CN(CN2c3cccc4cccc(c34)C2=O)[C@@H]2CCCC[C@@H]21 ZINC000782275739 1046512739 /nfs/dbraw/zinc/51/27/39/1046512739.db2.gz GUQXFXWDLWNBAD-QGPMSJSTSA-N 0 3 320.436 4.268 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@@H](c1ccccc1)C1CCC1 ZINC000641782110 1046513502 /nfs/dbraw/zinc/51/35/02/1046513502.db2.gz HZFCVIIVLKOYHA-FQEVSTJZSA-N 0 3 309.457 4.319 20 0 DIADHN Cc1cc(Br)ccc1[C@H](C)NCc1cccnc1 ZINC000641773048 1046513664 /nfs/dbraw/zinc/51/36/64/1046513664.db2.gz WOWDOFAMKYWRTH-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Nc1cnccc1CN[C@@H](c1ccc(F)cc1)C1CCCCC1 ZINC000641776478 1046514385 /nfs/dbraw/zinc/51/43/85/1046514385.db2.gz PUXOPVKUUBYRDM-LJQANCHMSA-N 0 3 313.420 4.214 20 0 DIADHN FC(F)(F)Cn1cc(CN[C@H](c2ccccc2)C2CCC2)cn1 ZINC000641776639 1046514417 /nfs/dbraw/zinc/51/44/17/1046514417.db2.gz UGTFYYHXYDTQJH-MRXNPFEDSA-N 0 3 323.362 4.076 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc3oc4ccccc4c3c2)C1 ZINC001139753935 1046514990 /nfs/dbraw/zinc/51/49/90/1046514990.db2.gz FMBNIFFSHLKMPF-INIZCTEOSA-N 0 3 307.393 4.387 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC(C)CC3)s2)cc1 ZINC001139789321 1046515676 /nfs/dbraw/zinc/51/56/76/1046515676.db2.gz FIOHUDQIFAJBEO-UHFFFAOYSA-N 0 3 302.443 4.051 20 0 DIADHN c1ccc(N2CCC(NCc3nsc4ccccc34)CC2)cc1 ZINC000641791681 1046516445 /nfs/dbraw/zinc/51/64/45/1046516445.db2.gz ICXSVAZGXMASPW-UHFFFAOYSA-N 0 3 323.465 4.055 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000131466816 1046520766 /nfs/dbraw/zinc/52/07/66/1046520766.db2.gz ZLRXSORBJFDDNX-OXQOHEQNSA-N 0 3 321.468 4.410 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnc(C)s1)c1ccc(Cl)cc1 ZINC000677756053 1046521994 /nfs/dbraw/zinc/52/19/94/1046521994.db2.gz FHISALZTTIMMHA-IINYFYTJSA-N 0 3 310.850 4.143 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnc(C)s1)c1ccc(Cl)cc1 ZINC000677756055 1046522326 /nfs/dbraw/zinc/52/23/26/1046522326.db2.gz FHISALZTTIMMHA-YGRLFVJLSA-N 0 3 310.850 4.143 20 0 DIADHN CN(Cc1ccccc1C(F)F)C[C@@H]1Cc2ccccc2O1 ZINC001139806285 1046522671 /nfs/dbraw/zinc/52/26/71/1046522671.db2.gz QQBVLEDLXHHVMT-HNNXBMFYSA-N 0 3 303.352 4.060 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3ccccc3C(F)F)C2)cc1 ZINC001139800877 1046522702 /nfs/dbraw/zinc/52/27/02/1046522702.db2.gz FGDARQNQWWYMBU-INIZCTEOSA-N 0 3 321.342 4.417 20 0 DIADHN COCc1ccc(CN2CCC(c3ccccc3F)CC2)s1 ZINC001139827012 1046526938 /nfs/dbraw/zinc/52/69/38/1046526938.db2.gz KEJDKVGJOXPXCQ-UHFFFAOYSA-N 0 3 319.445 4.413 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3nc(C)sc31)CC2 ZINC000359905902 1046527456 /nfs/dbraw/zinc/52/74/56/1046527456.db2.gz XNJLBRHROBEHFH-DOTOQJQBSA-N 0 3 314.454 4.115 20 0 DIADHN Fc1cc(CN2CCC3(CCCc4ccccc43)CC2)ccn1 ZINC001139836724 1046528899 /nfs/dbraw/zinc/52/88/99/1046528899.db2.gz VLGYBTZTKBUPRH-UHFFFAOYSA-N 0 3 310.416 4.091 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)nc1 ZINC001139840076 1046529210 /nfs/dbraw/zinc/52/92/10/1046529210.db2.gz BVPMXZNEFJURKK-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cncn2Cc2ccccc2)s1 ZINC000782531587 1046533403 /nfs/dbraw/zinc/53/34/03/1046533403.db2.gz WYJWNOKIRKEXDL-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN c1ccc2c(c1)oc1c2cccc1CN1CCOCC12CCC2 ZINC001139858846 1046536777 /nfs/dbraw/zinc/53/67/77/1046536777.db2.gz WWCUCDZTSJQCFN-UHFFFAOYSA-N 0 3 307.393 4.341 20 0 DIADHN Cn1c(CN2CCC3(CC(F)(F)C3)CC2)cc2ccccc21 ZINC001139868620 1046537127 /nfs/dbraw/zinc/53/71/27/1046537127.db2.gz SPHRIRWTCJBMSN-UHFFFAOYSA-N 0 3 304.384 4.190 20 0 DIADHN c1ccc2c(c1)oc1c2cccc1CN1CCOC[C@@H]1C1CC1 ZINC001139858932 1046538052 /nfs/dbraw/zinc/53/80/52/1046538052.db2.gz ZZEXYVFWGJEXLS-GOSISDBHSA-N 0 3 307.393 4.197 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cn3cc(C)cnc3=S)C2)cc1 ZINC000782951070 1046547078 /nfs/dbraw/zinc/54/70/78/1046547078.db2.gz BLPGIODYNNSRBB-WBVHZDCISA-N 0 3 313.470 4.065 20 0 DIADHN COc1ccc(CN(C)CCSc2ccccc2F)cc1F ZINC000248106581 1046548010 /nfs/dbraw/zinc/54/80/10/1046548010.db2.gz IWRGGWITZPJLJM-UHFFFAOYSA-N 0 3 323.408 4.198 20 0 DIADHN Cn1ncc2cc(CN3CCC[C@H]3c3ccccc3F)ccc21 ZINC001139950660 1046557039 /nfs/dbraw/zinc/55/70/39/1046557039.db2.gz KIVLFLNRHWZIDP-IBGZPJMESA-N 0 3 309.388 4.050 20 0 DIADHN CC(C)COC1CCN(Cc2cc(F)cc3cccnc32)CC1 ZINC000054327592 1046557918 /nfs/dbraw/zinc/55/79/18/1046557918.db2.gz XNZQEJGLDRYDAN-UHFFFAOYSA-N 0 3 316.420 4.011 20 0 DIADHN CC[C@@H](NC(=O)CN(CC(C)C)C1CC1)c1ccc(Cl)cc1 ZINC000642094269 1046562531 /nfs/dbraw/zinc/56/25/31/1046562531.db2.gz YQJWOAUSXTUQKV-QGZVFWFLSA-N 0 3 322.880 4.028 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@H](C)c2ccc(C)s2)s1 ZINC000092740634 1046562766 /nfs/dbraw/zinc/56/27/66/1046562766.db2.gz QFYOUQPYUFOMRE-NEPJUHHUSA-N 0 3 324.515 4.422 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1ccnnc1 ZINC001139985321 1046563728 /nfs/dbraw/zinc/56/37/28/1046563728.db2.gz NQDRVOMIJNZFKJ-UHFFFAOYSA-N 0 3 317.436 4.131 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1nn(C)c2ccccc12 ZINC000678140064 1046564809 /nfs/dbraw/zinc/56/48/09/1046564809.db2.gz HJUAEGSKAYIAFF-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN CCS[C@@H](C(=O)C(C#N)c1nc2ccccc2s1)C(C)C ZINC000067600692 1046565614 /nfs/dbraw/zinc/56/56/14/1046565614.db2.gz QHEYWPRAIICKGK-IAQYHMDHSA-N 0 3 318.467 4.250 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1nccc2ccccc21 ZINC000678142106 1046569917 /nfs/dbraw/zinc/56/99/17/1046569917.db2.gz MZPYWYNBBULVDN-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Oc1ccc(F)c(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001140051913 1046573812 /nfs/dbraw/zinc/57/38/12/1046573812.db2.gz XYGPMTZGFMGPSF-ZDUSSCGKSA-N 0 3 305.780 4.174 20 0 DIADHN CCc1ncc(CN(Cc2cccc(OC)c2)CC(C)C)s1 ZINC000678156752 1046574312 /nfs/dbraw/zinc/57/43/12/1046574312.db2.gz JQONITFKFHRAGL-UHFFFAOYSA-N 0 3 318.486 4.372 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](N[C@H](C)Cc1ccccc1F)CCC2 ZINC000783294542 1046574973 /nfs/dbraw/zinc/57/49/73/1046574973.db2.gz KHWNZQZWAWRNNX-KDOFPFPSSA-N 0 3 315.436 4.201 20 0 DIADHN CSc1nccc(CN(C(C)C)[C@@H](C)c2ccccc2)n1 ZINC001140039004 1046575614 /nfs/dbraw/zinc/57/56/14/1046575614.db2.gz NJWLQQMEARJWCA-AWEZNQCLSA-N 0 3 301.459 4.170 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(C)c2)cc1OC(F)F ZINC000642189586 1046576830 /nfs/dbraw/zinc/57/68/30/1046576830.db2.gz CZTKZYPPDWIOAB-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN CN(CCCc1ccccc1)Cc1ccc(Br)o1 ZINC000642331534 1046598282 /nfs/dbraw/zinc/59/82/82/1046598282.db2.gz UECDIFYJQQKPRX-UHFFFAOYSA-N 0 3 308.219 4.107 20 0 DIADHN COc1c(Cl)cccc1CN(C)CCc1ccccc1F ZINC001140063627 1046580838 /nfs/dbraw/zinc/58/08/38/1046580838.db2.gz ZGLLFRQWNCGQNI-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COCc1ccc(CN[C@H](C)c2cccc(OC(C)C)c2)cc1 ZINC000322032910 1046582619 /nfs/dbraw/zinc/58/26/19/1046582619.db2.gz MLQPWRMNXVTLMP-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(O)cc3Cl)C2)cc1 ZINC001140083087 1046585354 /nfs/dbraw/zinc/58/53/54/1046585354.db2.gz HPDXSZOUFJCYOW-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN Oc1ccc([C@@H]2CCCN2CC2=Cc3ccccc3OC2)cc1 ZINC000678212894 1046588104 /nfs/dbraw/zinc/58/81/04/1046588104.db2.gz PMQNXRSLYVDWMN-IBGZPJMESA-N 0 3 307.393 4.005 20 0 DIADHN CCOCOc1ccc(CN(Cc2ccco2)C(C)C)cc1 ZINC000783400310 1046590385 /nfs/dbraw/zinc/59/03/85/1046590385.db2.gz IEZAOTKWZPHORC-UHFFFAOYSA-N 0 3 303.402 4.063 20 0 DIADHN Oc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)c(F)c1 ZINC001140127331 1046594838 /nfs/dbraw/zinc/59/48/38/1046594838.db2.gz ZQHIXXCTHMXAGM-UHFFFAOYSA-N 0 3 309.384 4.092 20 0 DIADHN Fc1ccc(SCCN2CCC[C@H](c3nccs3)C2)cc1 ZINC000195442532 1046594721 /nfs/dbraw/zinc/59/47/21/1046594721.db2.gz FYZQCXQEZUILBZ-ZDUSSCGKSA-N 0 3 322.474 4.254 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)NC2CCC(C)CC2)c(C)s1 ZINC000195585593 1046597400 /nfs/dbraw/zinc/59/74/00/1046597400.db2.gz JNEXAZFNWHDKPT-VLBSBUOFSA-N 0 3 322.518 4.099 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cccc(F)n2)CC1 ZINC001140132073 1046597237 /nfs/dbraw/zinc/59/72/37/1046597237.db2.gz FNTWQMYMIANKBF-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCC2CCC2)c2ccccc2)cc1 ZINC000642336455 1046598958 /nfs/dbraw/zinc/59/89/58/1046598958.db2.gz DKKNOXLGLFHKSF-IBGZPJMESA-N 0 3 308.425 4.065 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc2cnccc21 ZINC001140143053 1046599580 /nfs/dbraw/zinc/59/95/80/1046599580.db2.gz CFMWKKWITHGMIS-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc2cnccc21 ZINC001140143052 1046600724 /nfs/dbraw/zinc/60/07/24/1046600724.db2.gz CFMWKKWITHGMIS-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN COc1c(Br)cccc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001140153355 1046601905 /nfs/dbraw/zinc/60/19/05/1046601905.db2.gz TVKXEZFUETWDSR-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@@H]1C(C)C ZINC001140156561 1046603438 /nfs/dbraw/zinc/60/34/38/1046603438.db2.gz WZEHPGYZXCIHLC-CQSZACIVSA-N 0 3 312.251 4.078 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(N2CCCC2)nc1 ZINC000678267162 1046603544 /nfs/dbraw/zinc/60/35/44/1046603544.db2.gz PSNLLLLWQOKFLB-FQEVSTJZSA-N 0 3 321.468 4.191 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1nn(C)c2ccccc12 ZINC000678265156 1046604701 /nfs/dbraw/zinc/60/47/01/1046604701.db2.gz UDQXTHISQWYETC-HXUWFJFHSA-N 0 3 307.441 4.402 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2ncccc2C(F)(F)F)cc1 ZINC000678275190 1046607152 /nfs/dbraw/zinc/60/71/52/1046607152.db2.gz NQDPHDPQIKMVTE-CQSZACIVSA-N 0 3 322.374 4.472 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1ncccc1C(F)(F)F ZINC000678270046 1046607176 /nfs/dbraw/zinc/60/71/76/1046607176.db2.gz RVWHODLHTFLHPM-HIFRSBDPSA-N 0 3 320.358 4.478 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OCc2ccccc23)cc1F ZINC000678284386 1046610692 /nfs/dbraw/zinc/61/06/92/1046610692.db2.gz CRCDEIPBDZWQRB-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1ncccc1C(F)(F)F ZINC000678282185 1046610869 /nfs/dbraw/zinc/61/08/69/1046610869.db2.gz PFBJAVKZOAJNRE-UKRRQHHQSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@@H](c3nccs3)C2)o1 ZINC000245550648 1046613528 /nfs/dbraw/zinc/61/35/28/1046613528.db2.gz AGUZEEZPDJANLS-UMVBOHGHSA-N 0 3 302.443 4.239 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CCCc2ccsc2)c1 ZINC000783593546 1046613526 /nfs/dbraw/zinc/61/35/26/1046613526.db2.gz WFBQFXOWEDLBHO-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)C[C@@H]1COc2ccccc2O1 ZINC000642524148 1046617287 /nfs/dbraw/zinc/61/72/87/1046617287.db2.gz VOLRWGOUOUQEFX-GOEBONIOSA-N 0 3 323.392 4.266 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1c(C)cc(Cl)cc1C ZINC000678339575 1046620880 /nfs/dbraw/zinc/62/08/80/1046620880.db2.gz RGHUISSGOITZSG-GOSISDBHSA-N 0 3 317.864 4.336 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccc(-n3ccnc3)nc2)c2sccc21 ZINC000834501480 1046624598 /nfs/dbraw/zinc/62/45/98/1046624598.db2.gz BRADPICOOODXTQ-CZUORRHYSA-N 0 3 324.453 4.057 20 0 DIADHN CCCOc1cc(CN[C@H](C)c2cnccc2C)ccc1OC ZINC000678361455 1046624727 /nfs/dbraw/zinc/62/47/27/1046624727.db2.gz BZZSJBUSXBMWBN-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(Br)cs1 ZINC000834534729 1046626418 /nfs/dbraw/zinc/62/64/18/1046626418.db2.gz URXQTGKALQJEHN-OPRDCNLKSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccccc2COC(C)C)c(C)n1 ZINC000678412903 1046630430 /nfs/dbraw/zinc/63/04/30/1046630430.db2.gz BIGDFXFQJQREGJ-INIZCTEOSA-N 0 3 312.457 4.474 20 0 DIADHN Cc1csc([C@H](N[C@H]2C[C@@H](OC(C)C)C2(C)C)C2CC2)n1 ZINC000248799071 1046636445 /nfs/dbraw/zinc/63/64/45/1046636445.db2.gz JNHOATWGBMXDOA-RRFJBIMHSA-N 0 3 308.491 4.084 20 0 DIADHN Cc1csc([C@H](N[C@@H]2C[C@@H](OC(C)C)C2(C)C)C2CC2)n1 ZINC000248799065 1046637013 /nfs/dbraw/zinc/63/70/13/1046637013.db2.gz JNHOATWGBMXDOA-RBSFLKMASA-N 0 3 308.491 4.084 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)c(C)n1 ZINC000678428277 1046637402 /nfs/dbraw/zinc/63/74/02/1046637402.db2.gz QGJPEVPZPZUHHN-NVXWUHKLSA-N 0 3 320.440 4.296 20 0 DIADHN [O-]c1cnccc1C[NH+]1CCC(c2csc3ccccc32)CC1 ZINC001140279822 1046637968 /nfs/dbraw/zinc/63/79/68/1046637968.db2.gz WOBYSZQQIBRLQG-UHFFFAOYSA-N 0 3 324.449 4.382 20 0 DIADHN CC[C@@H](N[C@@H](CO)CC(F)F)c1ccc(Cl)c(Cl)c1 ZINC000429447839 1046642365 /nfs/dbraw/zinc/64/23/65/1046642365.db2.gz FVYPPZAMXUSEEN-BXKDBHETSA-N 0 3 312.187 4.050 20 0 DIADHN CC(C)N(CCCO)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC001140296635 1046642868 /nfs/dbraw/zinc/64/28/68/1046642868.db2.gz YFRAUDSNFFFFEA-UHFFFAOYSA-N 0 3 324.877 4.056 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)o1 ZINC000678463734 1046643001 /nfs/dbraw/zinc/64/30/01/1046643001.db2.gz WMNPITLBDXKWCZ-ZBFHGGJFSA-N 0 3 309.413 4.405 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CCC1)C(=O)Nc1ccccc1 ZINC000533046402 1046643698 /nfs/dbraw/zinc/64/36/98/1046643698.db2.gz SATCCHLLGXQZLN-DNVCBOLYSA-N 0 3 308.425 4.145 20 0 DIADHN CC(=O)Nc1ccc(CN2CC[C@H](C)C[C@@H]2c2ccco2)cc1 ZINC000245743591 1046644538 /nfs/dbraw/zinc/64/45/38/1046644538.db2.gz MHVBZBSNLLUKIR-KBXCAEBGSA-N 0 3 312.413 4.211 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000834673935 1046647702 /nfs/dbraw/zinc/64/77/02/1046647702.db2.gz XTOBFJUAMFSXGH-AEJSXWLSSA-N 0 3 305.285 4.381 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC[C@@H](Oc4ccccc4)C3)c2c1 ZINC001137339702 1046652998 /nfs/dbraw/zinc/65/29/98/1046652998.db2.gz AKIJXQREPUAUGG-GOSISDBHSA-N 0 3 324.399 4.350 20 0 DIADHN c1cc2c(s1)CN(Cc1ccccc1-c1cccnc1)CC2 ZINC001140318381 1046653410 /nfs/dbraw/zinc/65/34/10/1046653410.db2.gz YUJSFHHTYHTKQK-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1nc(Br)cs1 ZINC000834729462 1046657089 /nfs/dbraw/zinc/65/70/89/1046657089.db2.gz GJWCEJKWSLBWNR-SFXRXQKFSA-N 0 3 315.280 4.135 20 0 DIADHN C[C@H](NCC[C@H]1CCCO1)c1csc(-c2ccccc2F)n1 ZINC000643307375 1046657906 /nfs/dbraw/zinc/65/79/06/1046657906.db2.gz TVSICJQKFZJTSS-QWHCGFSZSA-N 0 3 320.433 4.169 20 0 DIADHN COc1ccc(C(C)(C)CN[C@@H](C)c2nc(C)sc2C)cc1 ZINC000678580063 1046661401 /nfs/dbraw/zinc/66/14/01/1046661401.db2.gz AOIMQIWCXSGXOA-LBPRGKRZSA-N 0 3 318.486 4.397 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2nc(C)sc2C)c1 ZINC000678585392 1046663234 /nfs/dbraw/zinc/66/32/34/1046663234.db2.gz DVDPMQMXLYANGC-NEPJUHHUSA-N 0 3 304.459 4.050 20 0 DIADHN CC[C@H](NC[C@@H]1OCCc2ccccc21)c1cc(F)ccc1F ZINC000678585747 1046664613 /nfs/dbraw/zinc/66/46/13/1046664613.db2.gz KLRJQFGTORYRCS-OALUTQOASA-N 0 3 317.379 4.320 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2cccc(C(C)(C)C)c2)s1 ZINC000678588747 1046664703 /nfs/dbraw/zinc/66/47/03/1046664703.db2.gz VCWJNNGFJMIMSE-ZDUSSCGKSA-N 0 3 318.486 4.479 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H]1OCCc2ccccc21 ZINC000678585792 1046665072 /nfs/dbraw/zinc/66/50/72/1046665072.db2.gz LTMHULLFEGGWAJ-MGPUTAFESA-N 0 3 311.425 4.050 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCOc3c(OC)cccc32)s1 ZINC000678590583 1046666451 /nfs/dbraw/zinc/66/64/51/1046666451.db2.gz YHTBYMPUOXWMSJ-IUODEOHRSA-N 0 3 317.454 4.494 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1cc(F)c(F)c(F)c1 ZINC000678589864 1046666481 /nfs/dbraw/zinc/66/64/81/1046666481.db2.gz RSQLSHWBVPUDFI-APPDUMDISA-N 0 3 321.342 4.069 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC000678594518 1046667795 /nfs/dbraw/zinc/66/77/95/1046667795.db2.gz HZGNWYKBWAVBOC-IFXJQAMLSA-N 0 3 317.404 4.181 20 0 DIADHN C[C@@H](NC[C@@H]1CCC=CO1)c1ccc(Oc2ccccc2)cn1 ZINC000834773074 1046668773 /nfs/dbraw/zinc/66/87/73/1046668773.db2.gz BFXLYWBGONABME-WBVHZDCISA-N 0 3 310.397 4.217 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC000678594802 1046669286 /nfs/dbraw/zinc/66/92/86/1046669286.db2.gz MYEPCQWIXJYICX-KZULUSFZSA-N 0 3 321.367 4.012 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1ccccc1 ZINC000533224222 1046673808 /nfs/dbraw/zinc/67/38/08/1046673808.db2.gz RAFMGGYVFPJJMS-WMZOPIPTSA-N 0 3 304.478 4.007 20 0 DIADHN CCCOc1cccc(CCN[C@@H](C)c2nc(C)sc2C)c1 ZINC000678602331 1046674051 /nfs/dbraw/zinc/67/40/51/1046674051.db2.gz NXYADFPFWBYACN-ZDUSSCGKSA-N 0 3 318.486 4.442 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000533239307 1046674248 /nfs/dbraw/zinc/67/42/48/1046674248.db2.gz UDPODKZHYKIIHA-QGTPRVQTSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000533239306 1046674515 /nfs/dbraw/zinc/67/45/15/1046674515.db2.gz UDPODKZHYKIIHA-JCGIZDLHSA-N 0 3 314.473 4.143 20 0 DIADHN c1ccc(-c2ccc(CN3CCC[C@@H]3c3ccccn3)cc2)nc1 ZINC001140380327 1046677835 /nfs/dbraw/zinc/67/78/35/1046677835.db2.gz SYHRLPMUNAEXSO-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN c1ccc(-c2ccc(CN3CCC[C@@H]3c3cccnc3)cc2)nc1 ZINC001140377486 1046678508 /nfs/dbraw/zinc/67/85/08/1046678508.db2.gz YNXQUSRZMGXUHF-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN COc1cccc2cc(CNc3nc4ccc(F)cc4[nH]3)oc21 ZINC000784327357 1046683049 /nfs/dbraw/zinc/68/30/49/1046683049.db2.gz XDNGOBRQECQCEC-UHFFFAOYSA-N 0 3 311.316 4.069 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000678641945 1046683937 /nfs/dbraw/zinc/68/39/37/1046683937.db2.gz XCOBDPWAWIJPEH-KFWWJZLASA-N 0 3 309.400 4.164 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000678640887 1046684369 /nfs/dbraw/zinc/68/43/69/1046684369.db2.gz USPVPCPQVHFTQL-ILXRZTDVSA-N 0 3 309.400 4.164 20 0 DIADHN CCC(CC)N(CC(=O)Nc1cc(Cl)ccc1C)C1CC1 ZINC000533268687 1046684597 /nfs/dbraw/zinc/68/45/97/1046684597.db2.gz XGBLOSPXMOTXTJ-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000678640889 1046684626 /nfs/dbraw/zinc/68/46/26/1046684626.db2.gz USPVPCPQVHFTQL-KFWWJZLASA-N 0 3 309.400 4.164 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1c[nH]c2ccc(F)cc12 ZINC001137342976 1046685748 /nfs/dbraw/zinc/68/57/48/1046685748.db2.gz VEPNFFKQRPICGO-UHFFFAOYSA-N 0 3 316.401 4.073 20 0 DIADHN CC(C)N(CC[C@@H](O)c1ccccc1)Cc1ccccc1F ZINC000795878470 1046689152 /nfs/dbraw/zinc/68/91/52/1046689152.db2.gz VSWFFMHFJRWHNT-LJQANCHMSA-N 0 3 301.405 4.160 20 0 DIADHN CC(C)N(Cc1cn2cc(Cl)cc(Cl)c2n1)C(C)C ZINC000678714335 1046689656 /nfs/dbraw/zinc/68/96/56/1046689656.db2.gz HOHVNXDPDSRSKZ-UHFFFAOYSA-N 0 3 300.233 4.260 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CCC[C@H](CC)CC1 ZINC000533299411 1046692277 /nfs/dbraw/zinc/69/22/77/1046692277.db2.gz KSRDXGAWCHJYCM-HOTGVXAUSA-N 0 3 302.462 4.088 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2c3ccccc3CC[C@@H]2C)cn1 ZINC000678765751 1046694906 /nfs/dbraw/zinc/69/49/06/1046694906.db2.gz DYTKDMWXJVQPCU-MGPUTAFESA-N 0 3 310.441 4.282 20 0 DIADHN O[C@H](CCN1CCC[C@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000795916201 1046695156 /nfs/dbraw/zinc/69/51/56/1046695156.db2.gz KDPBCPQGQKBTFJ-RBUKOAKNSA-N 0 3 317.379 4.225 20 0 DIADHN CCCCn1nc(C)c(CNC[C@@H]2CCCCS2)c1Cl ZINC000784615460 1046699645 /nfs/dbraw/zinc/69/96/45/1046699645.db2.gz IDLHFYNFWPXMCV-ZDUSSCGKSA-N 0 3 315.914 4.020 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1ccc2ccccc2n1 ZINC000834885123 1046700381 /nfs/dbraw/zinc/70/03/81/1046700381.db2.gz TUHHHQICJUXQBO-ABAIWWIYSA-N 0 3 309.240 4.469 20 0 DIADHN CC(C)(C)CN(Cc1nc(-c2ccccn2)no1)CC(C)(C)C ZINC000678797188 1046702430 /nfs/dbraw/zinc/70/24/30/1046702430.db2.gz BQRBAXVVDLONID-UHFFFAOYSA-N 0 3 316.449 4.026 20 0 DIADHN CC[C@@H](C)c1ccc(COC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000784651361 1046705283 /nfs/dbraw/zinc/70/52/83/1046705283.db2.gz YMUGLLUJGUSMCI-OAHLLOKOSA-N 0 3 315.457 4.118 20 0 DIADHN CCc1nn(C)cc1CN(C1CC1)[C@H]1CCCc2ccccc21 ZINC000678814063 1046706946 /nfs/dbraw/zinc/70/69/46/1046706946.db2.gz AQBUOPUTXXAJOV-FQEVSTJZSA-N 0 3 309.457 4.025 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1cccc(Br)c1 ZINC000533377041 1046708080 /nfs/dbraw/zinc/70/80/80/1046708080.db2.gz VLTCSDABFBKGGW-LBPRGKRZSA-N 0 3 320.230 4.348 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)cc1 ZINC000533367999 1046708750 /nfs/dbraw/zinc/70/87/50/1046708750.db2.gz HJFBWDDEKRABRE-FQEVSTJZSA-N 0 3 308.425 4.065 20 0 DIADHN CCC[C@@H](C)CN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000678819474 1046709051 /nfs/dbraw/zinc/70/90/51/1046709051.db2.gz ZELPZEJARPIEEI-MXWKQRLJSA-N 0 3 305.306 4.485 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC1CC(F)(F)C1 ZINC000644406998 1046710957 /nfs/dbraw/zinc/71/09/57/1046710957.db2.gz CTAFJOUFKHKQEW-UHFFFAOYSA-N 0 3 311.706 4.076 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N(C)C[C@H](C)c1ccccc1 ZINC000533400675 1046711246 /nfs/dbraw/zinc/71/12/46/1046711246.db2.gz PMIVKRJLAKMYIR-DLBZAZTESA-N 0 3 310.441 4.058 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N(C)C[C@@H](C)c1ccccc1 ZINC000533400399 1046711884 /nfs/dbraw/zinc/71/18/84/1046711884.db2.gz RPCPJVMFKSBRMG-IAGOWNOFSA-N 0 3 324.468 4.312 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1csc(-c2ncccn2)n1 ZINC000533390141 1046713872 /nfs/dbraw/zinc/71/38/72/1046713872.db2.gz RQWMZKBAAYTICO-UKRRQHHQSA-N 0 3 316.474 4.001 20 0 DIADHN C[C@@H](O)CN[C@@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000644525425 1046719003 /nfs/dbraw/zinc/71/90/03/1046719003.db2.gz JZXRECXUPVUXML-BZNIZROVSA-N 0 3 310.224 4.053 20 0 DIADHN CC(C)CC[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccoc1 ZINC000796708225 1046719804 /nfs/dbraw/zinc/71/98/04/1046719804.db2.gz QJOHHMBIFDUEHO-ZWKOTPCHSA-N 0 3 305.393 4.219 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)N[C@H](C)c2cccnc2Cl)c1 ZINC000834913962 1046720578 /nfs/dbraw/zinc/72/05/78/1046720578.db2.gz RPAYIADFRXAKKL-VXGBXAGGSA-N 0 3 322.811 4.165 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H](C)c2ccc(C)s2)c1 ZINC000796702219 1046720951 /nfs/dbraw/zinc/72/09/51/1046720951.db2.gz KRYRJPPKZGSKNM-QWRGUYRKSA-N 0 3 309.456 4.316 20 0 DIADHN COCCC1CCN(Cc2c[nH]c3cccc(Cl)c23)CC1 ZINC001140436420 1046723988 /nfs/dbraw/zinc/72/39/88/1046723988.db2.gz ABPZLRMPVDCLIH-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN Cc1ccc(Cl)nc1CN1CCC[C@H](c2ccccc2)C1 ZINC001140446518 1046726857 /nfs/dbraw/zinc/72/68/57/1046726857.db2.gz KMXAVPHAKALPDN-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(Cl)nc1CN1CCC[C@@H]1Cc1ccc(F)cc1 ZINC001140448213 1046727684 /nfs/dbraw/zinc/72/76/84/1046727684.db2.gz HYSRFJVQCCDBRG-MRXNPFEDSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1ccc(Cl)nc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC001140447506 1046728722 /nfs/dbraw/zinc/72/87/22/1046728722.db2.gz ACIXWDGUUGPCOK-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN CCN(Cc1cc(C(F)(F)F)ccc1Cl)C1CCOCC1 ZINC001138085787 1046729830 /nfs/dbraw/zinc/72/98/30/1046729830.db2.gz ZHSVXGHGYXZPES-UHFFFAOYSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(-c2cccnc2)cc1 ZINC000796778167 1046730959 /nfs/dbraw/zinc/73/09/59/1046730959.db2.gz GBAGMQVJTFRNET-LIRRHRJNSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(-c2ccncc2)cc1 ZINC000796778155 1046730988 /nfs/dbraw/zinc/73/09/88/1046730988.db2.gz FVKQZBCWGVYNKH-LIRRHRJNSA-N 0 3 318.424 4.200 20 0 DIADHN COc1ccccc1CN(C)Cc1ccccc1-c1nccs1 ZINC001140452817 1046732206 /nfs/dbraw/zinc/73/22/06/1046732206.db2.gz PSXXMHZXBHDYFI-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc3ccccc3[nH]c1=O)CC2 ZINC000678931205 1046736984 /nfs/dbraw/zinc/73/69/84/1046736984.db2.gz LXCNKIJZDXDSHA-IBGZPJMESA-N 0 3 304.393 4.026 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC(C4CC4)CC3)on2)cc1 ZINC000644719745 1046741149 /nfs/dbraw/zinc/74/11/49/1046741149.db2.gz FTNPIMTYYXUUGH-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN CO[C@H](CN[C@H](C)c1cccc(F)c1F)c1ccc(F)cc1 ZINC000678945941 1046743102 /nfs/dbraw/zinc/74/31/02/1046743102.db2.gz SZCIQPGHRBSINH-BDJLRTHQSA-N 0 3 309.331 4.142 20 0 DIADHN CC(C)N(Cc1cn2cc(Cl)cc(Cl)c2n1)C1CCC1 ZINC000533530806 1046743137 /nfs/dbraw/zinc/74/31/37/1046743137.db2.gz IKJQOWDUKFSWCM-UHFFFAOYSA-N 0 3 312.244 4.404 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)c(F)c1 ZINC000445386946 1046744335 /nfs/dbraw/zinc/74/43/35/1046744335.db2.gz UHLDPKFGFKILCU-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN c1ccc(C[C@@H]2CCN(Cc3nc4c(s3)CCCC4)C2)cc1 ZINC000678958747 1046745881 /nfs/dbraw/zinc/74/58/81/1046745881.db2.gz LMJJWYMDFCICOW-INIZCTEOSA-N 0 3 312.482 4.087 20 0 DIADHN CC(C)Oc1ccc([C@H]2CCC[C@H]2NCc2cscn2)cc1 ZINC000679000216 1046752980 /nfs/dbraw/zinc/75/29/80/1046752980.db2.gz YHAMQHHIWIVUKI-QZTJIDSGSA-N 0 3 316.470 4.356 20 0 DIADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1nnc(-c2cccs2)o1 ZINC000645015524 1046757368 /nfs/dbraw/zinc/75/73/68/1046757368.db2.gz OSXUQAMHFVRESO-IKWCTNDRSA-N 0 3 303.431 4.027 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(Cl)sc(Cl)c21)C(C)(C)O ZINC000679016401 1046758664 /nfs/dbraw/zinc/75/86/64/1046758664.db2.gz NERUYYITZPUIRA-IONNQARKSA-N 0 3 308.274 4.181 20 0 DIADHN Fc1ccc(CN2CCCN(C/C=C\c3ccccc3)CC2)cc1 ZINC000645036100 1046759354 /nfs/dbraw/zinc/75/93/54/1046759354.db2.gz IHHWNUMAVNFTJQ-YWEYNIOJSA-N 0 3 324.443 4.047 20 0 DIADHN C[C@@H](NCc1ccc(-c2c(F)cccc2F)o1)[C@H]1CCCCO1 ZINC000679028879 1046760553 /nfs/dbraw/zinc/76/05/53/1046760553.db2.gz ANBBERKPWAUYPD-MLGOLLRUSA-N 0 3 321.367 4.272 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CCC1)c1ccc2c(c1)OCO2 ZINC000679017941 1046761239 /nfs/dbraw/zinc/76/12/39/1046761239.db2.gz ZPNVIBKAOVNHAG-CYBMUJFWSA-N 0 3 313.372 4.284 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H](C)[C@H]2CCCCO2)o1 ZINC000679029439 1046761420 /nfs/dbraw/zinc/76/14/20/1046761420.db2.gz QCYGJVZKWCTIDA-KBXCAEBGSA-N 0 3 317.404 4.441 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cc(C)nc(C)c2)cc1F ZINC000421382647 1046764037 /nfs/dbraw/zinc/76/40/37/1046764037.db2.gz MOEJVGSSFAVUTL-CYBMUJFWSA-N 0 3 304.434 4.410 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCc2ccc(O)cc2CC1 ZINC000645093964 1046766010 /nfs/dbraw/zinc/76/60/10/1046766010.db2.gz KNKASLABDRQTQW-UHFFFAOYSA-N 0 3 324.468 4.074 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(c2cccnc2)CC1 ZINC000645105906 1046766467 /nfs/dbraw/zinc/76/64/67/1046766467.db2.gz SZOILMDVOQXRIG-UHFFFAOYSA-N 0 3 309.457 4.288 20 0 DIADHN Cc1cc(CNCc2sc3c(cccc3Cl)c2C)[nH]n1 ZINC000645156691 1046770318 /nfs/dbraw/zinc/77/03/18/1046770318.db2.gz VOMYOEDZJQEWEM-UHFFFAOYSA-N 0 3 305.834 4.184 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1nc(-c2ccccc2)cs1 ZINC000679092786 1046774641 /nfs/dbraw/zinc/77/46/41/1046774641.db2.gz SZZWFVVYIIIDRP-ZQIUZPCESA-N 0 3 316.470 4.418 20 0 DIADHN CSCCN(C)Cc1ccc(OC(F)(F)F)c(Cl)c1 ZINC001140559666 1046780879 /nfs/dbraw/zinc/78/08/79/1046780879.db2.gz PBQNQGLIEJKMGX-UHFFFAOYSA-N 0 3 313.772 4.033 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@H](C)c2ccccc2Cl)cc1 ZINC000797061751 1046783882 /nfs/dbraw/zinc/78/38/82/1046783882.db2.gz OQRFVYYBIGTWHI-HBUWYVDXSA-N 0 3 303.833 4.421 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@@H](C)c2cccc(F)c2F)cc1 ZINC000797064307 1046785223 /nfs/dbraw/zinc/78/52/23/1046785223.db2.gz STTWLEQQEGRZOU-ZJNRKIDTSA-N 0 3 305.368 4.046 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000797065210 1046785241 /nfs/dbraw/zinc/78/52/41/1046785241.db2.gz KLLAVIXHQJUDHW-ZNZDAUKMSA-N 0 3 319.395 4.436 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2ccnc(Cl)c2)cc1 ZINC000797099754 1046790225 /nfs/dbraw/zinc/79/02/25/1046790225.db2.gz ONKDGNGQFOTALS-GFCCVEGCSA-N 0 3 306.862 4.449 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)ccc1O ZINC001140576500 1046790781 /nfs/dbraw/zinc/79/07/81/1046790781.db2.gz YIIJLOYONQNESF-UHFFFAOYSA-N 0 3 323.436 4.060 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1cccc(C2CC2)c1 ZINC000645428763 1046791157 /nfs/dbraw/zinc/79/11/57/1046791157.db2.gz ZINVYHOGRVYZBZ-QVKFZJNVSA-N 0 3 306.453 4.401 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2C[C@H](c3ccc(F)cc3)C2)c(C)s1 ZINC000645474355 1046793379 /nfs/dbraw/zinc/79/33/79/1046793379.db2.gz ISXRUFQTCQRNKF-FSBGKCOPSA-N 0 3 304.434 4.496 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CC[C@H](C)[C@H](F)C2)c(C)c1 ZINC000679225397 1046795080 /nfs/dbraw/zinc/79/50/80/1046795080.db2.gz XLWIKVRQFBWUGA-WMLDXEAASA-N 0 3 320.452 4.010 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CC[C@H](C)[C@@H](F)C2)c(C)c1 ZINC000679225556 1046795715 /nfs/dbraw/zinc/79/57/15/1046795715.db2.gz XLWIKVRQFBWUGA-YOEHRIQHSA-N 0 3 320.452 4.010 20 0 DIADHN CSc1ncc(Cl)c(CN[C@@H]2C[C@@H](C)CC(C)(C)C2)n1 ZINC001258691281 1046819164 /nfs/dbraw/zinc/81/91/64/1046819164.db2.gz ZOKAOFQVKMGLIL-GHMZBOCLSA-N 0 3 313.898 4.156 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(OC(F)F)c(O)c1 ZINC001140624477 1046819216 /nfs/dbraw/zinc/81/92/16/1046819216.db2.gz XZDOOUDKBLBXRS-UHFFFAOYSA-N 0 3 307.340 4.016 20 0 DIADHN CSc1ncc(Cl)c(CN[C@H]2C[C@@H](C)CC(C)(C)C2)n1 ZINC001258691284 1046820081 /nfs/dbraw/zinc/82/00/81/1046820081.db2.gz ZOKAOFQVKMGLIL-MNOVXSKESA-N 0 3 313.898 4.156 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCC[C@H]1CCOC1 ZINC000645817394 1046820407 /nfs/dbraw/zinc/82/04/07/1046820407.db2.gz QLSGUPVBIUPVDR-KGLIPLIRSA-N 0 3 304.459 4.106 20 0 DIADHN Cc1nc(C(C)(C)NCCc2c(F)cccc2F)sc1C ZINC000645832313 1046823099 /nfs/dbraw/zinc/82/30/99/1046823099.db2.gz AROWGIYZNXLMRW-UHFFFAOYSA-N 0 3 310.413 4.106 20 0 DIADHN Cc1cccc(CN(CCC[C@H]2CCOC2)Cc2ccccn2)c1 ZINC000645829254 1046823740 /nfs/dbraw/zinc/82/37/40/1046823740.db2.gz WDJWPHKBKBUOJV-IBGZPJMESA-N 0 3 324.468 4.209 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CCC[C@@H]3CCOC3)nc2c1 ZINC000645835758 1046824041 /nfs/dbraw/zinc/82/40/41/1046824041.db2.gz YGDZUNUDZBAPFV-WBVHZDCISA-N 0 3 314.429 4.172 20 0 DIADHN COc1cccc2c1CCN(Cc1csc3ccccc13)C2 ZINC001140639552 1046826691 /nfs/dbraw/zinc/82/66/91/1046826691.db2.gz GNSYNWIXGJQDDI-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@@H](C)c2nc(C)sc2C)CCO1 ZINC000679360844 1046827266 /nfs/dbraw/zinc/82/72/66/1046827266.db2.gz LSCSOBDRYBVKPZ-UVBJJODRSA-N 0 3 310.507 4.394 20 0 DIADHN CC[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1cccc(Cl)c1 ZINC000247260430 1046828684 /nfs/dbraw/zinc/82/86/84/1046828684.db2.gz ZDKRNAZPWPPJDH-RCCFBDPRSA-N 0 3 301.817 4.039 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2cccc3ccncc32)cc1 ZINC001140643533 1046829647 /nfs/dbraw/zinc/82/96/47/1046829647.db2.gz ANFZVMXHELNVON-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(OC(F)F)cc2)CC1 ZINC000645979540 1046837324 /nfs/dbraw/zinc/83/73/24/1046837324.db2.gz RMTVXOPCOKCZLS-UHFFFAOYSA-N 0 3 303.352 4.375 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@H](c3ccccc3F)C2)[nH]1 ZINC001137376739 1046846139 /nfs/dbraw/zinc/84/61/39/1046846139.db2.gz OJEXZMOQCQPDRV-AWEZNQCLSA-N 0 3 300.421 4.441 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)CC1(C)CCC1 ZINC001276326213 1046846345 /nfs/dbraw/zinc/84/63/45/1046846345.db2.gz NKJAGCWODQOMQI-KURKYZTESA-N 0 3 320.521 4.068 20 0 DIADHN OCc1ccc(CN2CCC(c3ccc4ccccc4c3)CC2)o1 ZINC001140681714 1046849504 /nfs/dbraw/zinc/84/95/04/1046849504.db2.gz JIFYZJNUEKLUSY-UHFFFAOYSA-N 0 3 321.420 4.305 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc4ccccc4n3C)cccc21 ZINC001212052029 1046850704 /nfs/dbraw/zinc/85/07/04/1046850704.db2.gz CSFAJAZGBTXRSU-SFHVURJKSA-N 0 3 321.424 4.307 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3C)C2)cc(Cl)n1 ZINC000797590189 1046858490 /nfs/dbraw/zinc/85/84/90/1046858490.db2.gz NEZGMPVMERQNRM-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1cc2ccccc2[nH]c1=O ZINC000679541787 1046861966 /nfs/dbraw/zinc/86/19/66/1046861966.db2.gz LXPQCGSMCBTKRP-SMDDNHRTSA-N 0 3 324.346 4.103 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1cc2ccccc2[nH]c1=O ZINC000679541784 1046862619 /nfs/dbraw/zinc/86/26/19/1046862619.db2.gz LXPQCGSMCBTKRP-BXUZGUMPSA-N 0 3 324.346 4.103 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc(-n2cccn2)cc1 ZINC000679544687 1046864421 /nfs/dbraw/zinc/86/44/21/1046864421.db2.gz HLIKLFVUZDQIHK-DZGCQCFKSA-N 0 3 323.362 4.035 20 0 DIADHN Fc1cc(CN(C2CCC2)C2CCOCC2)c(F)cc1Cl ZINC001140704535 1046864496 /nfs/dbraw/zinc/86/44/96/1046864496.db2.gz VHDGJOIMIDUTSP-UHFFFAOYSA-N 0 3 315.791 4.152 20 0 DIADHN COc1ncc(CNC2(c3ccc(C)cc3)CCC2)cc1Cl ZINC000646130700 1046866836 /nfs/dbraw/zinc/86/68/36/1046866836.db2.gz AXNKLTZTPCXCII-UHFFFAOYSA-N 0 3 316.832 4.221 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc(C)nc(Cl)c1)[C@H](C)C2 ZINC000797601280 1046868004 /nfs/dbraw/zinc/86/80/04/1046868004.db2.gz NULACFJBXGSRLI-XIKOKIGWSA-N 0 3 300.833 4.375 20 0 DIADHN c1cc(CN2CCSC[C@H]2c2cccc3ccccc32)c[nH]1 ZINC001140710634 1046869051 /nfs/dbraw/zinc/86/90/51/1046869051.db2.gz OXBZKJBSYIPOMN-IBGZPJMESA-N 0 3 308.450 4.458 20 0 DIADHN Nc1ccc(Cl)cc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001140713662 1046869819 /nfs/dbraw/zinc/86/98/19/1046869819.db2.gz AUPIHDWAWBQCDI-UHFFFAOYSA-N 0 3 324.855 4.483 20 0 DIADHN COCC[C@H]1CCCCN1Cc1c(Cl)ncc2ccccc21 ZINC001140722117 1046873891 /nfs/dbraw/zinc/87/38/91/1046873891.db2.gz LNUQBYYPBZBRIV-OAHLLOKOSA-N 0 3 318.848 4.279 20 0 DIADHN Clc1ncc2ccccc2c1CN1CC[C@H](c2ccncc2)C1 ZINC001140722200 1046875281 /nfs/dbraw/zinc/87/52/81/1046875281.db2.gz OLNUXJAFNZEDKL-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN COc1ccc(CN2C[C@H](C(F)(F)F)CC[C@@H]2C)cc1C ZINC000679582803 1046877379 /nfs/dbraw/zinc/87/73/79/1046877379.db2.gz ACPHKGZGNOFDBK-GXTWGEPZSA-N 0 3 301.352 4.166 20 0 DIADHN COc1cccc(Cl)c1CN1CCC2(CC(F)(F)C2)CC1 ZINC001140728377 1046878895 /nfs/dbraw/zinc/87/88/95/1046878895.db2.gz WEPPSKXJHNXXGZ-UHFFFAOYSA-N 0 3 315.791 4.360 20 0 DIADHN CCOc1cccc(CN2C[C@H](C(F)(F)F)CC[C@H]2C)c1 ZINC000679584392 1046881687 /nfs/dbraw/zinc/88/16/87/1046881687.db2.gz NPUDUGMMWSEOTA-TZMCWYRMSA-N 0 3 301.352 4.248 20 0 DIADHN c1csc(-c2n[nH]cc2CNCc2ccc3occc3c2)c1 ZINC001203063064 1046883849 /nfs/dbraw/zinc/88/38/49/1046883849.db2.gz UPQLBSQPDJRNNC-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN Clc1cnc(N[C@@H](CN2CCCC2)c2ccccc2)s1 ZINC000679634216 1046886131 /nfs/dbraw/zinc/88/61/31/1046886131.db2.gz WZLLLWHGFAAZMZ-ZDUSSCGKSA-N 0 3 307.850 4.046 20 0 DIADHN CC(C)OCCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000679639020 1046886173 /nfs/dbraw/zinc/88/61/73/1046886173.db2.gz ZPDJBCYUADJVCX-GOSISDBHSA-N 0 3 303.833 4.444 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1c(C)nn(C)c1C ZINC001203063505 1046887230 /nfs/dbraw/zinc/88/72/30/1046887230.db2.gz JAAJGKSUOQDBMY-KRWDZBQOSA-N 0 3 315.486 4.096 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCC[C@@H]3C2)c(Br)c1 ZINC001140757082 1046888275 /nfs/dbraw/zinc/88/82/75/1046888275.db2.gz DNXMAGKMOAERAZ-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3ccccc32)c(N2CCCC2)n1 ZINC000679722631 1046894972 /nfs/dbraw/zinc/89/49/72/1046894972.db2.gz HKPGUAHRSSICAG-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc(F)cc3C)CC2)c1 ZINC001140781200 1046896238 /nfs/dbraw/zinc/89/62/38/1046896238.db2.gz ONWSGXKYWOTQNG-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN Cc1ccnc(O[C@H]2CCCN(Cc3coc4ccccc34)C2)c1 ZINC001140785944 1046897105 /nfs/dbraw/zinc/89/71/05/1046897105.db2.gz BQWSIBHEHRKSIP-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccc2cc(CN3CCC[C@@H](OC(F)F)C3)ccc2c1 ZINC000679740786 1046897542 /nfs/dbraw/zinc/89/75/42/1046897542.db2.gz REMSZAJHSWLZIK-QGZVFWFLSA-N 0 3 321.367 4.052 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2coc3ccccc23)C1 ZINC001140785071 1046898572 /nfs/dbraw/zinc/89/85/72/1046898572.db2.gz MEXNBLINQKTARX-INIZCTEOSA-N 0 3 305.377 4.138 20 0 DIADHN CN(Cc1coc2ccccc12)Cc1ccc(-n2ccnc2)cc1 ZINC001140785053 1046898831 /nfs/dbraw/zinc/89/88/31/1046898831.db2.gz LEBKKOOBAJAZSG-UHFFFAOYSA-N 0 3 317.392 4.251 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2ccccc2C)s1 ZINC000303250316 1046903444 /nfs/dbraw/zinc/90/34/44/1046903444.db2.gz BDXOGPKITADATH-AWEZNQCLSA-N 0 3 304.459 4.140 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3ccccn3)C2)c(Cl)c1 ZINC001140798372 1046905404 /nfs/dbraw/zinc/90/54/04/1046905404.db2.gz KNJKGOYQOPOURZ-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C)cc2Cl)C[C@@H]1C ZINC001140800131 1046905964 /nfs/dbraw/zinc/90/59/64/1046905964.db2.gz WFQKUWYYOKQKDU-GJZGRUSLSA-N 0 3 323.864 4.060 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2ccc(C)cc2Cl)C[C@H]1C ZINC001140800132 1046906166 /nfs/dbraw/zinc/90/61/66/1046906166.db2.gz WFQKUWYYOKQKDU-HUUCEWRRSA-N 0 3 323.864 4.060 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1c[nH]c2ccncc12 ZINC001140802805 1046909331 /nfs/dbraw/zinc/90/93/31/1046909331.db2.gz FFUUKSQBYHYFLU-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN CO[C@H]1CCCN([C@H](C)c2ccccc2Br)CC1 ZINC000679953182 1046914847 /nfs/dbraw/zinc/91/48/47/1046914847.db2.gz MFAIIMOFPRZLNW-OLZOCXBDSA-N 0 3 312.251 4.011 20 0 DIADHN CO[C@H]1CCCN([C@@H](C)c2cccc(Br)c2)CC1 ZINC000679952833 1046915073 /nfs/dbraw/zinc/91/50/73/1046915073.db2.gz DOLWRCZWZRCGKQ-WFASDCNBSA-N 0 3 312.251 4.011 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@H](C)c2cnccc2C)c1C ZINC000679943586 1046916665 /nfs/dbraw/zinc/91/66/65/1046916665.db2.gz FCJAYJQMVHQAPQ-MRXNPFEDSA-N 0 3 310.441 4.369 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@@H](C)c3cnccc3C)cc2)n1 ZINC000679943865 1046916719 /nfs/dbraw/zinc/91/67/19/1046916719.db2.gz GPTHCRGRDUAPTL-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN CO[C@@H]1CCCN([C@H](C)c2cccc(Br)c2)CC1 ZINC000679952830 1046917101 /nfs/dbraw/zinc/91/71/01/1046917101.db2.gz DOLWRCZWZRCGKQ-IUODEOHRSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cccnc1Cl ZINC000797999056 1046917940 /nfs/dbraw/zinc/91/79/40/1046917940.db2.gz ONAWCDONVVJNKV-WHOFXGATSA-N 0 3 304.796 4.471 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc3c(c2)OCCCO3)s1 ZINC000161962622 1046920213 /nfs/dbraw/zinc/92/02/13/1046920213.db2.gz QXSRJJYZFQIOHJ-CYBMUJFWSA-N 0 3 317.454 4.323 20 0 DIADHN Cc1c[nH]c(CN2CCC[C@]3(C[C@@H](c4ccccc4)CO3)C2)c1C ZINC001140860640 1046932088 /nfs/dbraw/zinc/93/20/88/1046932088.db2.gz RMLKOFNZHYBHTO-CTNGQTDRSA-N 0 3 324.468 4.170 20 0 DIADHN CC[C@H](C)CCCCC(=O)Nc1cc(CN(C)C)ccc1OC ZINC000680025741 1046932842 /nfs/dbraw/zinc/93/28/42/1046932842.db2.gz SKICYDHZMHJLOB-HNNXBMFYSA-N 0 3 320.477 4.302 20 0 DIADHN Cc1c[nH]c(CN2CC[C@H](Sc3ccc(F)cc3)C2)c1C ZINC001140864020 1046935839 /nfs/dbraw/zinc/93/58/39/1046935839.db2.gz FFYWTJYNTFJNNB-INIZCTEOSA-N 0 3 304.434 4.137 20 0 DIADHN Fc1cc(CN2CCC[C@@H](F)CC2)ccc1OC(F)(F)F ZINC001140886282 1046940933 /nfs/dbraw/zinc/94/09/33/1046940933.db2.gz SGIXMAYWYBOYPE-LLVKDONJSA-N 0 3 309.278 4.048 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccc(OC(F)(F)F)c(F)c2)CC1 ZINC001140886308 1046941681 /nfs/dbraw/zinc/94/16/81/1046941681.db2.gz TWQSYJOITZINAU-AWEZNQCLSA-N 0 3 323.305 4.438 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1CCCc1ccccc1Cl ZINC000680060297 1046941835 /nfs/dbraw/zinc/94/18/35/1046941835.db2.gz FOILYNIDGJEAKW-GOSISDBHSA-N 0 3 317.864 4.152 20 0 DIADHN CCc1nnc(CN2CCC[C@@H]2[C@@H](CC)c2ccccc2)s1 ZINC000680051603 1046942344 /nfs/dbraw/zinc/94/23/44/1046942344.db2.gz STTRBDPRNUIUQS-JKSUJKDBSA-N 0 3 315.486 4.259 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccccc2Cl)cc1N ZINC001140898904 1046946645 /nfs/dbraw/zinc/94/66/45/1046946645.db2.gz DQOPNBJQNJGGER-KRWDZBQOSA-N 0 3 316.832 4.268 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(c3ccnc4ccccc43)C2)c1 ZINC000680071121 1046946919 /nfs/dbraw/zinc/94/69/19/1046946919.db2.gz SYIVVVDDSYVXGC-MRXNPFEDSA-N 0 3 308.356 4.032 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC([C@H]2CCOC2)CC1 ZINC000680090737 1046947848 /nfs/dbraw/zinc/94/78/48/1046947848.db2.gz QBRDBWVHIOEFAF-KBXCAEBGSA-N 0 3 309.400 4.164 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CC[C@H](C(C)(C)C)C1 ZINC000680112294 1046948741 /nfs/dbraw/zinc/94/87/41/1046948741.db2.gz SLQITLQGEOEVDQ-HNNXBMFYSA-N 0 3 302.462 4.117 20 0 DIADHN Cc1ccc(CCN(C)Cc2cnccc2C(F)(F)F)cc1 ZINC001140919333 1046949268 /nfs/dbraw/zinc/94/92/68/1046949268.db2.gz PCABSDJJRMASRK-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cnccc1C(F)(F)F)CC2 ZINC001140920520 1046951216 /nfs/dbraw/zinc/95/12/16/1046951216.db2.gz VQAYYPZNNSCYOW-ZDUSSCGKSA-N 0 3 316.342 4.205 20 0 DIADHN CC1(NCc2coc(-c3ccccc3)n2)CCC(F)(F)CC1 ZINC000680136934 1046951858 /nfs/dbraw/zinc/95/18/58/1046951858.db2.gz GYRNWEGSLHPYOZ-UHFFFAOYSA-N 0 3 306.356 4.399 20 0 DIADHN Cc1cccc(NC(=O)CN(C)[C@@H](C)CCc2ccccc2)c1C ZINC000615086085 1046953133 /nfs/dbraw/zinc/95/31/33/1046953133.db2.gz JSOVAAUQXWNYSY-KRWDZBQOSA-N 0 3 324.468 4.195 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@H](c2ccccn2)C1 ZINC000798415855 1046953205 /nfs/dbraw/zinc/95/32/05/1046953205.db2.gz IEHLJXZJYFSHEH-INIZCTEOSA-N 0 3 303.409 4.241 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)s1 ZINC000827377469 1046953657 /nfs/dbraw/zinc/95/36/57/1046953657.db2.gz YUZSQEJPRQQLTC-HOCLYGCPSA-N 0 3 308.491 4.048 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2ccc(Cl)nc2)C1 ZINC000303740211 1046955512 /nfs/dbraw/zinc/95/55/12/1046955512.db2.gz IFFVNWHGGSRFNL-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN CCOc1cc(CNC2(c3ccccc3C)CC2)ccc1OC ZINC000647224687 1046955589 /nfs/dbraw/zinc/95/55/89/1046955589.db2.gz UNVICFLWCMAONT-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1c[nH]c2ncccc12 ZINC001137402302 1046957911 /nfs/dbraw/zinc/95/79/11/1046957911.db2.gz SCBUCXRMVWOBNC-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1cccn1-c1ccc(Cl)cc1 ZINC001140944455 1046958766 /nfs/dbraw/zinc/95/87/66/1046958766.db2.gz GJSMKOJUJNIRKI-AFAVFJNCSA-N 0 3 304.796 4.063 20 0 DIADHN CCCCOc1cccc(CN2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001138952140 1046961379 /nfs/dbraw/zinc/96/13/79/1046961379.db2.gz KNXYQBNRMLOXDG-MRXNPFEDSA-N 0 3 315.379 4.435 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1CN1CCC(C(F)F)CC1 ZINC001140966441 1046964049 /nfs/dbraw/zinc/96/40/49/1046964049.db2.gz XMCIZVWTNDSFPJ-UHFFFAOYSA-N 0 3 323.305 4.371 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccnc(N3CCCCC3)c2)cc1 ZINC000162391414 1046965802 /nfs/dbraw/zinc/96/58/02/1046965802.db2.gz UJETVQKAQCSGBY-QGZVFWFLSA-N 0 3 323.484 4.485 20 0 DIADHN COc1ccc([C@H](CC(C)C)NCc2scnc2C)cc1 ZINC000647288204 1046967170 /nfs/dbraw/zinc/96/71/70/1046967170.db2.gz SLCVZJJQEVYYFH-INIZCTEOSA-N 0 3 304.459 4.337 20 0 DIADHN CCCOc1ccc(CN[C@H](CC(C)C)c2ccncc2)cn1 ZINC000647288664 1046967590 /nfs/dbraw/zinc/96/75/90/1046967590.db2.gz JZRMGMDLLDOFDY-GOSISDBHSA-N 0 3 313.445 4.142 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1cccc(-c2ncccn2)c1 ZINC001140979295 1046968995 /nfs/dbraw/zinc/96/89/95/1046968995.db2.gz IMBSDFFWUNYCIW-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN Cc1nc([C@H](C)NCCCNc2ccccc2F)c(C)s1 ZINC000680353676 1046971152 /nfs/dbraw/zinc/97/11/52/1046971152.db2.gz KMERHEHJKXQAKB-NSHDSACASA-N 0 3 307.438 4.052 20 0 DIADHN C[C@@H](NCC1(CO)CCC1)c1ccc(Cl)c(Cl)c1Cl ZINC000680355300 1046972273 /nfs/dbraw/zinc/97/22/73/1046972273.db2.gz VXIRAQARBMYCGL-SECBINFHSA-N 0 3 322.663 4.460 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccc(-c3ccccn3)s2)C1 ZINC001141001992 1046974497 /nfs/dbraw/zinc/97/44/97/1046974497.db2.gz JICGKLALORWGDW-LBPRGKRZSA-N 0 3 308.397 4.287 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(-c3ccccn3)s2)CC1 ZINC001141000436 1046974634 /nfs/dbraw/zinc/97/46/34/1046974634.db2.gz FFEREMUADQWSIV-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN C[C@H](CN[C@@H](c1ccc(F)cc1)c1ccccn1)CC(F)F ZINC000647319058 1046975193 /nfs/dbraw/zinc/97/51/93/1046975193.db2.gz KNVMDYYQNHOTOO-SJCJKPOMSA-N 0 3 308.347 4.191 20 0 DIADHN C[C@@H](CN[C@@H](c1ccc(F)cc1)c1ccccn1)CC(F)F ZINC000647319057 1046975311 /nfs/dbraw/zinc/97/53/11/1046975311.db2.gz KNVMDYYQNHOTOO-PXAZEXFGSA-N 0 3 308.347 4.191 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCOc1ccc2ccccc2c1 ZINC000680383296 1046976917 /nfs/dbraw/zinc/97/69/17/1046976917.db2.gz PGLVYORFXKZTGX-CYBMUJFWSA-N 0 3 310.397 4.174 20 0 DIADHN CC(C)CN(Cc1c[nH]nc1C(F)(F)F)Cc1ccccc1 ZINC001141011657 1046979261 /nfs/dbraw/zinc/97/92/61/1046979261.db2.gz LKKDIEWTSYYJIY-UHFFFAOYSA-N 0 3 311.351 4.087 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2ccc3cccc(O)c3n2)cc1 ZINC001141021675 1046980872 /nfs/dbraw/zinc/98/08/72/1046980872.db2.gz JGSMTLLDAXRHOZ-AWEZNQCLSA-N 0 3 322.408 4.142 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2OCCc3ccccc32)C2CCC2)o1 ZINC000680463767 1046984066 /nfs/dbraw/zinc/98/40/66/1046984066.db2.gz FNATUPRRRIHWDH-WOJBJXKFSA-N 0 3 311.425 4.333 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4ccno4)CC3)c[nH]c21 ZINC000615101669 1046986470 /nfs/dbraw/zinc/98/64/70/1046986470.db2.gz VCXGHVQKRNTTNR-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN COc1ccc2cc(CN[C@H]3CCCc4cccnc43)ccc2c1 ZINC000798925445 1046987224 /nfs/dbraw/zinc/98/72/24/1046987224.db2.gz APIHCLOYIJCEOL-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1cccc(CN(Cc2ccn3ccnc3c2)C2CC2)c1C ZINC001141049429 1046990428 /nfs/dbraw/zinc/99/04/28/1046990428.db2.gz HDUYPEZRQMURQA-UHFFFAOYSA-N 0 3 305.425 4.116 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccc(C)cc3)CCC2)cc1O ZINC000647353116 1046991378 /nfs/dbraw/zinc/99/13/78/1046991378.db2.gz SFXUSVVRYQXMPR-OAHLLOKOSA-N 0 3 311.425 4.439 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C23CCC(CC2)C3(C)C)c1 ZINC001137562504 1046991867 /nfs/dbraw/zinc/99/18/67/1046991867.db2.gz BQKSACPCGMMRCU-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1ncoc1CN(C[C@H](O)c1ccc2ccccc2c1)C(C)C ZINC001141053896 1046992783 /nfs/dbraw/zinc/99/27/83/1046992783.db2.gz RNUJDKNMAUJEFX-IBGZPJMESA-N 0 3 324.424 4.080 20 0 DIADHN COc1ccc2nc(Cl)c(CN3CCCCCC3)cc2c1 ZINC001141062223 1046993705 /nfs/dbraw/zinc/99/37/05/1046993705.db2.gz ABAQIFMLACONGX-UHFFFAOYSA-N 0 3 304.821 4.273 20 0 DIADHN CC[C@H]1CN(Cc2ccc(SC(F)F)cc2)CCCO1 ZINC000798964812 1046994914 /nfs/dbraw/zinc/99/49/14/1046994914.db2.gz PZOZOUXYDFSGLC-ZDUSSCGKSA-N 0 3 301.402 4.002 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@H](c2ccccn2)C1 ZINC000798963759 1046994942 /nfs/dbraw/zinc/99/49/42/1046994942.db2.gz JMEBZGNAFIEAHO-CYBMUJFWSA-N 0 3 312.800 4.471 20 0 DIADHN CCCCc1ncc(CN(CC)Cc2ccc(Cl)cc2)[nH]1 ZINC001141071245 1046998764 /nfs/dbraw/zinc/99/87/64/1046998764.db2.gz HITFYBCHFVOJHV-UHFFFAOYSA-N 0 3 305.853 4.428 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CC[C@H]3CCCCO3)nc2c1 ZINC000347400414 1046999905 /nfs/dbraw/zinc/99/99/05/1046999905.db2.gz OYVACGAOZZLSDH-ZBFHGGJFSA-N 0 3 316.470 4.392 20 0 DIADHN CCN(Cc1ccc(OCc2ccccc2)cc1OC)C1CC1 ZINC001141078953 1047000700 /nfs/dbraw/zinc/00/07/00/1047000700.db2.gz OQZWHKZPBYYGBL-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN CCCN(Cc1cnc(Cl)cn1)[C@H]1CCc2ccccc21 ZINC000799117726 1047001118 /nfs/dbraw/zinc/00/11/18/1047001118.db2.gz PAHQXFXCKFANNK-INIZCTEOSA-N 0 3 301.821 4.030 20 0 DIADHN C[C@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)CC1 ZINC001141081721 1047001783 /nfs/dbraw/zinc/00/17/83/1047001783.db2.gz LOGSAOFIJFAFIM-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141086016 1047003191 /nfs/dbraw/zinc/00/31/91/1047003191.db2.gz VUISQYUESFBXPE-UONOGXRCSA-N 0 3 319.449 4.049 20 0 DIADHN CC[C@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)C1 ZINC001141087011 1047005702 /nfs/dbraw/zinc/00/57/02/1047005702.db2.gz QOHQFAFFLNOFOJ-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H]2CSc3ccccc32)c(C)s1 ZINC000648611369 1047007387 /nfs/dbraw/zinc/00/73/87/1047007387.db2.gz UMRYSKKMOZSGLR-ZWNOBZJWSA-N 0 3 304.484 4.300 20 0 DIADHN Clc1cc(CN2CC[C@H](c3ccccn3)C2)c2ccccc2n1 ZINC000799190714 1047008638 /nfs/dbraw/zinc/00/86/38/1047008638.db2.gz SIZXUHKLZUJFLM-AWEZNQCLSA-N 0 3 323.827 4.273 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2)C(C)C)s1 ZINC000162620681 1047012303 /nfs/dbraw/zinc/01/23/03/1047012303.db2.gz POUBBFHGVRQGER-INIZCTEOSA-N 0 3 303.427 4.022 20 0 DIADHN Cn1c(Cl)cnc1CNCC1(c2cccs2)CCCCC1 ZINC000799338124 1047018415 /nfs/dbraw/zinc/01/84/15/1047018415.db2.gz FUUKFCUPWRQJMR-UHFFFAOYSA-N 0 3 323.893 4.127 20 0 DIADHN Cn1c(Cl)cnc1CNCC1(c2ccccc2)CCCCC1 ZINC000799334205 1047018656 /nfs/dbraw/zinc/01/86/56/1047018656.db2.gz IDHGLJLVLKVUIZ-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN Cn1c(Cl)cnc1CNCC1CCC(c2ccccc2)CC1 ZINC000799336059 1047019447 /nfs/dbraw/zinc/01/94/47/1047019447.db2.gz OVULIQSPPUMERD-UHFFFAOYSA-N 0 3 317.864 4.137 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cccs2)cc1OC ZINC000162703164 1047023143 /nfs/dbraw/zinc/02/31/43/1047023143.db2.gz SXPSNALQFWLCPD-AWEZNQCLSA-N 0 3 303.427 4.102 20 0 DIADHN c1cc(CN2CCN(Cc3cccc4ccccc43)CC2)cs1 ZINC000533808372 1047026090 /nfs/dbraw/zinc/02/60/90/1047026090.db2.gz JUFCTNFMUSLASF-UHFFFAOYSA-N 0 3 322.477 4.219 20 0 DIADHN COc1ccc2nccc(CN(C)Cc3ccc(F)cc3)c2c1 ZINC001141147072 1047026477 /nfs/dbraw/zinc/02/64/77/1047026477.db2.gz QJUPPNNJNVDGFX-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN COc1ccc2nccc(CN3Cc4ccccc4[C@@H](C)C3)c2c1 ZINC001141147302 1047028210 /nfs/dbraw/zinc/02/82/10/1047028210.db2.gz XOHSIPPAZKETHO-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(Cc2ccsc2)CC1 ZINC000533810406 1047028699 /nfs/dbraw/zinc/02/86/99/1047028699.db2.gz CTRXWLSCLCNJFF-GOSISDBHSA-N 0 3 300.471 4.017 20 0 DIADHN COc1ccc2cc(CN3CCCCCC3)c(Cl)nc2c1 ZINC000037495049 1047029017 /nfs/dbraw/zinc/02/90/17/1047029017.db2.gz PPNFIZXFJLICAM-UHFFFAOYSA-N 0 3 304.821 4.273 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2ccc(C)cc2F)CC1 ZINC001141195382 1047040957 /nfs/dbraw/zinc/04/09/57/1047040957.db2.gz LYFBETJCOYNHMV-UHFFFAOYSA-N 0 3 313.416 4.272 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(-c2ncccn2)cc1 ZINC001141188665 1047041447 /nfs/dbraw/zinc/04/14/47/1047041447.db2.gz LXUIDCJPVJJVHJ-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN CO[C@H](C)CN(Cc1cnc(C2CCCCC2)s1)C(C)C ZINC000536661226 1047052549 /nfs/dbraw/zinc/05/25/49/1047052549.db2.gz NDQRJIXTBTVBBI-CQSZACIVSA-N 0 3 310.507 4.436 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CC[C@H](NCc3ccon3)C2)cc1 ZINC000799719329 1047055249 /nfs/dbraw/zinc/05/52/49/1047055249.db2.gz ZQRNKTKDBIAQBP-OCCSQVGLSA-N 0 3 310.319 4.119 20 0 DIADHN CC[C@@H](N[C@H]1CCO[C@H](CC)C1)c1nc(C(F)(F)F)cs1 ZINC000648464262 1047055407 /nfs/dbraw/zinc/05/54/07/1047055407.db2.gz RAYNPCFHOONPIX-HBNTYKKESA-N 0 3 322.396 4.160 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CC[C@@H](NCc3ccon3)C2)cc1 ZINC000799719330 1047056073 /nfs/dbraw/zinc/05/60/73/1047056073.db2.gz ZQRNKTKDBIAQBP-TZMCWYRMSA-N 0 3 310.319 4.119 20 0 DIADHN CO[C@H](C)CN(Cc1cc(C(F)(F)F)ccc1F)C(C)C ZINC000536662931 1047056264 /nfs/dbraw/zinc/05/62/64/1047056264.db2.gz KUAQOIZKMYCTAQ-LLVKDONJSA-N 0 3 307.331 4.090 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc(-n2ccnc2)c1 ZINC001141244574 1047061200 /nfs/dbraw/zinc/06/12/00/1047061200.db2.gz QBNCJJDHJVEIJO-INIZCTEOSA-N 0 3 321.424 4.074 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccc(F)c(C)c1)CCC2 ZINC000536671357 1047062867 /nfs/dbraw/zinc/06/28/67/1047062867.db2.gz DPMKBCUXBKGGNM-INIZCTEOSA-N 0 3 304.434 4.408 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@H](Cc2ccncc2)C1 ZINC000680892347 1047063154 /nfs/dbraw/zinc/06/31/54/1047063154.db2.gz XOORDJSXMAHXQW-DZGCQCFKSA-N 0 3 318.823 4.500 20 0 DIADHN CCN(CC)[C@@H](CNc1ncc(Cl)s1)c1ccsc1 ZINC000680892411 1047063901 /nfs/dbraw/zinc/06/39/01/1047063901.db2.gz ZPOWUVDWTSNKNI-NSHDSACASA-N 0 3 315.895 4.353 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC([C@H]2CCOC2)CC1 ZINC000680896955 1047066267 /nfs/dbraw/zinc/06/62/67/1047066267.db2.gz PCPOKKJKDJWFCX-KRWDZBQOSA-N 0 3 310.441 4.105 20 0 DIADHN CN(C)c1cccc(CN2CCSC[C@@H]2c2ccccc2)c1 ZINC001141266393 1047067338 /nfs/dbraw/zinc/06/73/38/1047067338.db2.gz OTNXBTUKSWHRHL-LJQANCHMSA-N 0 3 312.482 4.043 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000648563120 1047068208 /nfs/dbraw/zinc/06/82/08/1047068208.db2.gz SDHQWOUGHRTZJQ-NSHGMRRFSA-N 0 3 310.441 4.027 20 0 DIADHN CCc1ncc(CN(C)[C@H](C)Cc2ccc(Cl)cc2)s1 ZINC000536680445 1047069101 /nfs/dbraw/zinc/06/91/01/1047069101.db2.gz PVRWNYGCZYJRKX-GFCCVEGCSA-N 0 3 308.878 4.422 20 0 DIADHN Cc1ccc(CN(Cc2ccc3c(c2)OCO3)C2CCCC2)cn1 ZINC000680929536 1047069726 /nfs/dbraw/zinc/06/97/26/1047069726.db2.gz KIJCKKJMOZTRFQ-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@@H](C3CC3)C2)cc1Cl ZINC000536696857 1047074917 /nfs/dbraw/zinc/07/49/17/1047074917.db2.gz PKWVOQRUHXJHSD-GFCCVEGCSA-N 0 3 301.764 4.173 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1ccc(F)cc1Cl ZINC000191492695 1047086909 /nfs/dbraw/zinc/08/69/09/1047086909.db2.gz NOWQTEAAOBIVDV-UHFFFAOYSA-N 0 3 323.843 4.150 20 0 DIADHN CCCc1ccc(CNCc2ccnc(C(F)(F)F)c2)cc1 ZINC000648705200 1047087170 /nfs/dbraw/zinc/08/71/70/1047087170.db2.gz KNYLKPBNQMEVCH-UHFFFAOYSA-N 0 3 308.347 4.343 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cncc(C)c1)c1ccccc1Cl ZINC000681053199 1047087704 /nfs/dbraw/zinc/08/77/04/1047087704.db2.gz MBYRANCIIBPIML-DYVFJYSZSA-N 0 3 304.821 4.082 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000536743579 1047088805 /nfs/dbraw/zinc/08/88/05/1047088805.db2.gz JEDRZTWHFQSZMX-SMDDNHRTSA-N 0 3 305.809 4.153 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1[C@H](C)CC[C@@H]1CC ZINC000536745337 1047089535 /nfs/dbraw/zinc/08/95/35/1047089535.db2.gz OXTOOJIGCZVDEE-PBHICJAKSA-N 0 3 302.462 4.013 20 0 DIADHN Fc1ccc(CC2(NCc3ncccc3C(F)(F)F)CC2)cc1 ZINC000648776944 1047090504 /nfs/dbraw/zinc/09/05/04/1047090504.db2.gz FQBDNKCDTFIJOE-UHFFFAOYSA-N 0 3 324.321 4.104 20 0 DIADHN Fc1cccc(Cl)c1CNC1CCC(OC(F)F)CC1 ZINC000648817417 1047092354 /nfs/dbraw/zinc/09/23/54/1047092354.db2.gz RGKVIAUBLGVIGY-UHFFFAOYSA-N 0 3 307.743 4.119 20 0 DIADHN COc1cc(CNCc2ccc(SC)cc2)ccc1Cl ZINC000648816728 1047092573 /nfs/dbraw/zinc/09/25/73/1047092573.db2.gz DLIFBVKTUXPYDK-UHFFFAOYSA-N 0 3 307.846 4.360 20 0 DIADHN c1n[nH]cc1CN1CCC[C@@H](Cc2csc3ccccc23)C1 ZINC000681084598 1047092979 /nfs/dbraw/zinc/09/29/79/1047092979.db2.gz PPJRDHXLBBOWFT-AWEZNQCLSA-N 0 3 311.454 4.079 20 0 DIADHN Cc1ccc(OCCCN(Cc2cccnc2)C2CC2)cc1C ZINC000536749866 1047093964 /nfs/dbraw/zinc/09/39/64/1047093964.db2.gz ATCAMEPTBMLWCH-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3cc(C)c(Cl)cc3O)C2)s1 ZINC000827506205 1047094527 /nfs/dbraw/zinc/09/45/27/1047094527.db2.gz DPTXAEBFFLKBBJ-GFCCVEGCSA-N 0 3 322.861 4.108 20 0 DIADHN COc1ccsc1CN1CCC(Cc2cccc(F)c2)CC1 ZINC001141329183 1047094684 /nfs/dbraw/zinc/09/46/84/1047094684.db2.gz JHNOIHINZBKEHQ-UHFFFAOYSA-N 0 3 319.445 4.351 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cnc(C2CC2)nc1 ZINC000459529394 1047095742 /nfs/dbraw/zinc/09/57/42/1047095742.db2.gz LVQJNGYSVLTYHA-HNAYVOBHSA-N 0 3 307.441 4.122 20 0 DIADHN CC(C)C[C@H](NCCOc1ccccc1Cl)c1ccccn1 ZINC000536833691 1047104877 /nfs/dbraw/zinc/10/48/77/1047104877.db2.gz RGISQCBAAMJSEK-KRWDZBQOSA-N 0 3 318.848 4.491 20 0 DIADHN C[C@H](NCCCc1cccs1)c1nc2c(s1)CCCC2 ZINC000536852179 1047111536 /nfs/dbraw/zinc/11/15/36/1047111536.db2.gz MTOMIJDSOKVSSY-LBPRGKRZSA-N 0 3 306.500 4.367 20 0 DIADHN COc1ccccc1[C@H](C)NCc1cc2c(s1)CCCC2 ZINC000536851812 1047111974 /nfs/dbraw/zinc/11/19/74/1047111974.db2.gz MWDTTWSMUAYKLE-ZDUSSCGKSA-N 0 3 301.455 4.486 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCN(c3ccc(Cl)c(F)c3)C2)o1 ZINC000536850916 1047112079 /nfs/dbraw/zinc/11/20/79/1047112079.db2.gz HHLPMJNDJSUPPP-STQMWFEESA-N 0 3 322.811 4.310 20 0 DIADHN CC[C@H](COC)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000536856971 1047113603 /nfs/dbraw/zinc/11/36/03/1047113603.db2.gz IDQCBPPHPITYNB-QGZVFWFLSA-N 0 3 305.368 4.069 20 0 DIADHN c1cc(-c2cccc(CN3CC(N4CCCCC4)C3)c2)cs1 ZINC001141384674 1047113668 /nfs/dbraw/zinc/11/36/68/1047113668.db2.gz TWVNRJLMFFSKGM-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN CCOCc1ccccc1CNc1c(C)cnc2ccccc21 ZINC001116184451 1047117598 /nfs/dbraw/zinc/11/75/98/1047117598.db2.gz DCEUCBHQTGRSJB-UHFFFAOYSA-N 0 3 306.409 4.114 20 0 DIADHN CCCCn1cc(CNCc2cccc3ccccc32)c(C)n1 ZINC000536888589 1047120889 /nfs/dbraw/zinc/12/08/89/1047120889.db2.gz CIZKXLYOWIPSFC-UHFFFAOYSA-N 0 3 307.441 4.435 20 0 DIADHN Cc1ccc(C(=O)CCN2CCC[C@H]2c2ccc(O)cc2)cc1 ZINC000681407560 1047122657 /nfs/dbraw/zinc/12/26/57/1047122657.db2.gz DAALOKXPMPKHBI-IBGZPJMESA-N 0 3 309.409 4.111 20 0 DIADHN Cc1ccc(C(=O)CCN2CCC[C@@H]2c2ccc(O)cc2)cc1 ZINC000681407561 1047123303 /nfs/dbraw/zinc/12/33/03/1047123303.db2.gz DAALOKXPMPKHBI-LJQANCHMSA-N 0 3 309.409 4.111 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC[C@H](OCC(F)(F)F)C1 ZINC001116269534 1047126382 /nfs/dbraw/zinc/12/63/82/1047126382.db2.gz RZNCKGAXWZZPEX-ZDUSSCGKSA-N 0 3 324.346 4.091 20 0 DIADHN COCC1=CCN(Cc2ccc(N(C)c3ccccc3)cc2)CC1 ZINC000681496644 1047127987 /nfs/dbraw/zinc/12/79/87/1047127987.db2.gz VLGZRWUYLFMILH-UHFFFAOYSA-N 0 3 322.452 4.233 20 0 DIADHN CCc1nn(C)cc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000681495716 1047128341 /nfs/dbraw/zinc/12/83/41/1047128341.db2.gz VHYJJIJQKZOPCB-UHFFFAOYSA-N 0 3 317.864 4.016 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CCC2(CC(F)C2)CC1 ZINC001141424116 1047128658 /nfs/dbraw/zinc/12/86/58/1047128658.db2.gz VMZACTPRXVOSRN-UHFFFAOYSA-N 0 3 316.342 4.123 20 0 DIADHN CCCN(Cc1n[nH]c2ccccc21)[C@@H]1CCCc2cccnc21 ZINC000681496373 1047128766 /nfs/dbraw/zinc/12/87/66/1047128766.db2.gz MGSHCSYWQJFFFF-LJQANCHMSA-N 0 3 320.440 4.248 20 0 DIADHN CCCN(Cc1[nH]nc2ccccc21)[C@@H]1CCCc2cccnc21 ZINC000681496373 1047128771 /nfs/dbraw/zinc/12/87/71/1047128771.db2.gz MGSHCSYWQJFFFF-LJQANCHMSA-N 0 3 320.440 4.248 20 0 DIADHN COC[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1)c1ccc(C)o1 ZINC000537013809 1047130681 /nfs/dbraw/zinc/13/06/81/1047130681.db2.gz RQRZSMAZKAIPIM-BLLLJJGKSA-N 0 3 307.821 4.150 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2ccc(F)c(OC)c2)cc1 ZINC001116439596 1047140382 /nfs/dbraw/zinc/14/03/82/1047140382.db2.gz IVWGCPPHGJQWKP-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN CC[C@H](OCCN1CCC[C@@H]1c1ccccn1)c1ccccc1 ZINC000649549267 1047141323 /nfs/dbraw/zinc/14/13/23/1047141323.db2.gz ZZZCCFOAFFHRIM-UXHICEINSA-N 0 3 310.441 4.386 20 0 DIADHN CC[C@@H](OCCN1CCC[C@H]1c1ccccn1)c1ccccc1 ZINC000649549268 1047141682 /nfs/dbraw/zinc/14/16/82/1047141682.db2.gz ZZZCCFOAFFHRIM-VQTJNVASSA-N 0 3 310.441 4.386 20 0 DIADHN c1cc2c(s1)CCCN(Cc1ccccc1N1CCCC1)C2 ZINC001116444321 1047141740 /nfs/dbraw/zinc/14/17/40/1047141740.db2.gz YAJRGCPHXGVYPU-UHFFFAOYSA-N 0 3 312.482 4.297 20 0 DIADHN OCC[C@H]1CCC[C@H]1NCc1c(Cl)ccc(Cl)c1Cl ZINC000681705327 1047144068 /nfs/dbraw/zinc/14/40/68/1047144068.db2.gz ZWWJSJVJFSTDRP-NOZJJQNGSA-N 0 3 322.663 4.288 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H](CC2CC2)c2ccccc2)cn1 ZINC000649575246 1047146353 /nfs/dbraw/zinc/14/63/53/1047146353.db2.gz ISURVKWSXPXZQR-HNNXBMFYSA-N 0 3 321.346 4.126 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c(-c2cccs2)n1 ZINC001116484485 1047148130 /nfs/dbraw/zinc/14/81/30/1047148130.db2.gz WJVTWBQDOWCZKQ-HUUCEWRRSA-N 0 3 315.486 4.161 20 0 DIADHN C[C@]1(CN2CCCO[C@H](c3ccccc3)C2)CC1(Cl)Cl ZINC000827695886 1047149838 /nfs/dbraw/zinc/14/98/38/1047149838.db2.gz DZOJDNUDGUMIQD-LSDHHAIUSA-N 0 3 314.256 4.034 20 0 DIADHN CCCC1CCC(CN[C@H](C)c2nnc3n2CCCCC3)CC1 ZINC000537210090 1047150236 /nfs/dbraw/zinc/15/02/36/1047150236.db2.gz ITUQFZCPWXYXOW-KLAILNCOSA-N 0 3 318.509 4.262 20 0 DIADHN CSc1ccc(C)c(NC(=O)[C@@H]2CCCN2C2CCCC2)c1 ZINC000537237536 1047154354 /nfs/dbraw/zinc/15/43/54/1047154354.db2.gz QRZYBGXVSMKZEY-KRWDZBQOSA-N 0 3 318.486 4.062 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)CC(=O)Nc2cccc(C)c2)c(C)o1 ZINC001116540705 1047154585 /nfs/dbraw/zinc/15/45/85/1047154585.db2.gz QLDXIIFJHCISAF-HIFRSBDPSA-N 0 3 314.429 4.273 20 0 DIADHN Cc1cncc(CCN2CCCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000649622714 1047155886 /nfs/dbraw/zinc/15/58/86/1047155886.db2.gz SFNLKFYJBUIPHJ-GOSISDBHSA-N 0 3 315.848 4.208 20 0 DIADHN Cc1ccccc1C1(NCc2cc3ccccc3[nH]c2=O)CCC1 ZINC000649645205 1047157341 /nfs/dbraw/zinc/15/73/41/1047157341.db2.gz JHBJDCILMILREU-UHFFFAOYSA-N 0 3 318.420 4.418 20 0 DIADHN CC(C)c1ocnc1CN[C@H](Cc1ccc(F)cc1)C(C)C ZINC001116576848 1047158521 /nfs/dbraw/zinc/15/85/21/1047158521.db2.gz HRCAWKUFCACJGL-MRXNPFEDSA-N 0 3 304.409 4.294 20 0 DIADHN Cc1noc(C)c1CN[C@H](COc1ccccc1F)C(C)(C)C ZINC000537292696 1047159337 /nfs/dbraw/zinc/15/93/37/1047159337.db2.gz ZTELSBZVRRFHJP-QGZVFWFLSA-N 0 3 320.408 4.014 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cccc(OC(F)(F)F)c1 ZINC000681989043 1047159398 /nfs/dbraw/zinc/15/93/98/1047159398.db2.gz PDVKKLYAQGDQFI-UHFFFAOYSA-N 0 3 315.257 4.406 20 0 DIADHN CCCOc1cc(CNC(C)(C)CC(F)(F)F)ccc1OC ZINC000681989769 1047159503 /nfs/dbraw/zinc/15/95/03/1047159503.db2.gz RHUYQJJHGQNKBQ-UHFFFAOYSA-N 0 3 319.367 4.305 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN(c2ccnc3ccc(C)cc32)C1 ZINC000682003021 1047161282 /nfs/dbraw/zinc/16/12/82/1047161282.db2.gz RSEVMYHJLHHEGF-INIZCTEOSA-N 0 3 324.346 4.091 20 0 DIADHN COC[C@H](N[C@@H](C)Cc1ccccc1Cl)c1ccc(C)o1 ZINC001116613979 1047163700 /nfs/dbraw/zinc/16/37/00/1047163700.db2.gz CXJRPDBKQJKWSH-LRDDRELGSA-N 0 3 307.821 4.150 20 0 DIADHN CCn1c(C)nnc1CN[C@@H](CC1CCCCC1)C(C)(C)C ZINC000537288784 1047164455 /nfs/dbraw/zinc/16/44/55/1047164455.db2.gz ADXCZNKLRMZCGQ-INIZCTEOSA-N 0 3 306.498 4.081 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC3(CC2)C(F)(F)C3(F)F)c1 ZINC000649710588 1047166841 /nfs/dbraw/zinc/16/68/41/1047166841.db2.gz GIIQUXNFGXLUDL-GFCCVEGCSA-N 0 3 301.327 4.422 20 0 DIADHN CCOCOc1ccc(CNCc2cccc(C(F)F)c2)cc1 ZINC001116702494 1047168112 /nfs/dbraw/zinc/16/81/12/1047168112.db2.gz GWCQECGTOUEZFC-UHFFFAOYSA-N 0 3 321.367 4.287 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccco1)c1cc2cc(Cl)ccc2o1 ZINC000305766727 1047168945 /nfs/dbraw/zinc/16/89/45/1047168945.db2.gz FNYFKEMAAUMOHG-MFKMUULPSA-N 0 3 305.761 4.063 20 0 DIADHN COc1ccccc1-c1nc(CNC2(C3CCC3)CC2)cs1 ZINC000649807457 1047177994 /nfs/dbraw/zinc/17/79/94/1047177994.db2.gz VINZKURDESVKSM-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN CCOc1cc(C[NH2+]Cc2c(C)cccc2C)cc(Cl)c1[O-] ZINC000838978752 1047178920 /nfs/dbraw/zinc/17/89/20/1047178920.db2.gz DOIAWLDUJPYSKV-UHFFFAOYSA-N 0 3 319.832 4.351 20 0 DIADHN CCOc1cc(CNCc2c(C)cccc2C)cc(Cl)c1O ZINC000838978752 1047178924 /nfs/dbraw/zinc/17/89/24/1047178924.db2.gz DOIAWLDUJPYSKV-UHFFFAOYSA-N 0 3 319.832 4.351 20 0 DIADHN Cc1ccc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)c(Cl)n1 ZINC000838982761 1047179660 /nfs/dbraw/zinc/17/96/60/1047179660.db2.gz GRTWCMGXNNZNSS-WCQYABFASA-N 0 3 319.663 4.059 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1c(Cl)ccc3cccnc31)C2 ZINC000649903519 1047188744 /nfs/dbraw/zinc/18/87/44/1047188744.db2.gz PNHFSDYNPXWLBB-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccccc1Oc1cccnc1)C2 ZINC000649905061 1047189233 /nfs/dbraw/zinc/18/92/33/1047189233.db2.gz SFLIURCUWJIMRE-KRWDZBQOSA-N 0 3 316.351 4.105 20 0 DIADHN CC1(C)CN(Cc2nccc3ccccc32)CC2(CCCC2)O1 ZINC000682408915 1047191918 /nfs/dbraw/zinc/19/19/18/1047191918.db2.gz BUZBPOGPYHYACL-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2cccc(F)c2)C(C)(C)C)n(C)n1 ZINC001117076680 1047193341 /nfs/dbraw/zinc/19/33/41/1047193341.db2.gz UXAMARQPKUOBFI-CXAGYDPISA-N 0 3 303.425 4.306 20 0 DIADHN Cc1ccccc1[C@@H](O)C1CCN(Cc2cccc(F)c2)CC1 ZINC000796406770 1047199689 /nfs/dbraw/zinc/19/96/89/1047199689.db2.gz MPYSFXOBOKOKPB-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1csc(Cl)c1 ZINC000682493725 1047200579 /nfs/dbraw/zinc/20/05/79/1047200579.db2.gz JEKFCBOPWYULPK-XHDPSFHLSA-N 0 3 307.846 4.366 20 0 DIADHN Cc1cc(C)c(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000397087993 1047210259 /nfs/dbraw/zinc/21/02/59/1047210259.db2.gz IRLNBGNASBEJSY-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN C[C@H](N[C@H]1COc2cc(F)cc(F)c21)C1CCC(F)(F)CC1 ZINC000682733716 1047218535 /nfs/dbraw/zinc/21/85/35/1047218535.db2.gz GJFCBJHBLBVFAO-ZANVPECISA-N 0 3 317.326 4.202 20 0 DIADHN COc1cccc(CCN(C)Cc2cc3cccnc3cc2F)c1 ZINC001141630742 1047239573 /nfs/dbraw/zinc/23/95/73/1047239573.db2.gz AOHLBYCQJNIDCA-UHFFFAOYSA-N 0 3 324.399 4.057 20 0 DIADHN CCN[C@@H](c1ccccc1)c1cc(Br)ccc1F ZINC000035540513 1047240178 /nfs/dbraw/zinc/24/01/78/1047240178.db2.gz RORYGTXFGFZVDF-HNNXBMFYSA-N 0 3 308.194 4.287 20 0 DIADHN Cc1ccc(CCNCc2cc(Cl)sc2Cl)nc1 ZINC000399858003 1047240834 /nfs/dbraw/zinc/24/08/34/1047240834.db2.gz SEYBBMUMXSUMBN-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN COc1ccc(-c2nc(CNCCC=C(C)C)cs2)cc1 ZINC000682945236 1047240933 /nfs/dbraw/zinc/24/09/33/1047240933.db2.gz AJQVIKBEUPMFQL-UHFFFAOYSA-N 0 3 302.443 4.265 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839534743 1047242073 /nfs/dbraw/zinc/24/20/73/1047242073.db2.gz GHCXOVSFAKBARZ-CYBMUJFWSA-N 0 3 319.392 4.005 20 0 DIADHN CC[C@@H](NCC[C@@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000839542981 1047244604 /nfs/dbraw/zinc/24/46/04/1047244604.db2.gz YBAWOOHDNDQVHG-QZTJIDSGSA-N 0 3 305.368 4.129 20 0 DIADHN COc1cc(CN(Cc2ccccc2C2CC2)C2CC2)ccc1O ZINC000682998655 1047251518 /nfs/dbraw/zinc/25/15/18/1047251518.db2.gz BNOICCBPWUOUPG-UHFFFAOYSA-N 0 3 323.436 4.443 20 0 DIADHN Cc1ccnc(CN2CCC(c3nc4cccc(C)c4o3)CC2)c1 ZINC000683027177 1047261029 /nfs/dbraw/zinc/26/10/29/1047261029.db2.gz TZVXZWCILOHNGD-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN COc1cccc(C2=CCN(C/C=C\c3ccccc3)CC2)c1 ZINC000476254056 1047262812 /nfs/dbraw/zinc/26/28/12/1047262812.db2.gz GIYLMQXZFHTNNL-TWGQIWQCSA-N 0 3 305.421 4.498 20 0 DIADHN CC(C)(C)N1CC[C@@H]1CNCc1ccc(Oc2ccccc2)o1 ZINC001203113165 1047269246 /nfs/dbraw/zinc/26/92/46/1047269246.db2.gz IRFUNZSTYZVGJO-OAHLLOKOSA-N 0 3 314.429 4.034 20 0 DIADHN C[C@H]1c2ccccc2CN1C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001149079687 1047274226 /nfs/dbraw/zinc/27/42/26/1047274226.db2.gz IGZMXLWQSDHWJW-AWEZNQCLSA-N 0 3 319.408 4.008 20 0 DIADHN c1nc(CN[C@H](CCCc2ccccc2)c2ccccc2)c[nH]1 ZINC000796441189 1047284988 /nfs/dbraw/zinc/28/49/88/1047284988.db2.gz HSELICCKOSXUBX-HXUWFJFHSA-N 0 3 305.425 4.264 20 0 DIADHN c1ncc(CN[C@H](CCCc2ccccc2)c2ccccc2)[nH]1 ZINC000796441189 1047284993 /nfs/dbraw/zinc/28/49/93/1047284993.db2.gz HSELICCKOSXUBX-HXUWFJFHSA-N 0 3 305.425 4.264 20 0 DIADHN C[C@H]1CC2(CC(NCc3ccc(Oc4ccccc4)o3)C2)CO1 ZINC000897778794 1047288005 /nfs/dbraw/zinc/28/80/05/1047288005.db2.gz KFAXHCBUOLQDHE-XVTSOASTSA-N 0 3 313.397 4.119 20 0 DIADHN CC1(C)C[C@H](NCc2c(Cl)cncc2Cl)CCS1 ZINC000897790354 1047290019 /nfs/dbraw/zinc/29/00/19/1047290019.db2.gz UPTRVTXWBNCBRU-SECBINFHSA-N 0 3 305.274 4.152 20 0 DIADHN CC(=O)OCc1ccc(CN(C(C)C)[C@@H](C)c2ccccc2)o1 ZINC001141796072 1047291474 /nfs/dbraw/zinc/29/14/74/1047291474.db2.gz XKWHNJXHTBWOST-HNNXBMFYSA-N 0 3 315.413 4.314 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N[C@@H](C)c1ncccc1F ZINC000528038026 1047300458 /nfs/dbraw/zinc/30/04/58/1047300458.db2.gz OITNBMUEFSPXCW-QWRGUYRKSA-N 0 3 310.775 4.295 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc2ccccc2c1)c1ccccc1F ZINC000390575420 1047300717 /nfs/dbraw/zinc/30/07/17/1047300717.db2.gz BYWQJDFAEDKRRO-VBKZILBWSA-N 0 3 309.384 4.363 20 0 DIADHN CCC[C@](C)(NCc1cnc2onc(C)c2c1)c1ccccc1 ZINC000897926421 1047302179 /nfs/dbraw/zinc/30/21/79/1047302179.db2.gz XJMBJPHSNWTFMF-IBGZPJMESA-N 0 3 309.413 4.336 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1ccc3cc[nH]c3c1)CCC2 ZINC001118805331 1047311434 /nfs/dbraw/zinc/31/14/34/1047311434.db2.gz YBALXDYXXOYLKH-KRWDZBQOSA-N 0 3 308.429 4.113 20 0 DIADHN C[C@@H](NC[C@H]1C[C@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000720995694 1047313328 /nfs/dbraw/zinc/31/33/28/1047313328.db2.gz WKTZSZQWRAPOCU-IWSPIJDZSA-N 0 3 308.636 4.068 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cn2cc(-c3ccccc3)cn2)C1 ZINC000725778527 1047313211 /nfs/dbraw/zinc/31/32/11/1047313211.db2.gz LSFUDPQTOFOTPE-IBGZPJMESA-N 0 3 317.436 4.306 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cn2cc(-c3ccccc3)cn2)C1 ZINC000725778528 1047313744 /nfs/dbraw/zinc/31/37/44/1047313744.db2.gz LSFUDPQTOFOTPE-LJQANCHMSA-N 0 3 317.436 4.306 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cn1nccc1-c1cccnc1 ZINC000725771894 1047313854 /nfs/dbraw/zinc/31/38/54/1047313854.db2.gz OINHFZGSRAYVHZ-UHFFFAOYSA-N 0 3 320.440 4.061 20 0 DIADHN Cc1cc(N[C@@H](C)c2ccccc2Oc2ccccc2)nc(N)n1 ZINC000725792666 1047314065 /nfs/dbraw/zinc/31/40/65/1047314065.db2.gz NMZPVUFKJLKAPQ-AWEZNQCLSA-N 0 3 320.396 4.333 20 0 DIADHN CCC[C@@H](NC(=O)CNC(C)(C)c1ccccc1)c1ccccc1 ZINC000725800460 1047315790 /nfs/dbraw/zinc/31/57/90/1047315790.db2.gz LLBDIGITXYCUCO-LJQANCHMSA-N 0 3 324.468 4.169 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC001142531902 1047317999 /nfs/dbraw/zinc/31/79/99/1047317999.db2.gz PGSMXUUJKOMKET-ZACQAIPSSA-N 0 3 316.489 4.475 20 0 DIADHN CCOc1ccc(C[C@@H](C)CNCc2ncc(Cl)s2)cc1 ZINC000651598027 1047319843 /nfs/dbraw/zinc/31/98/43/1047319843.db2.gz NPNRFFPLKXNJHN-GFCCVEGCSA-N 0 3 324.877 4.164 20 0 DIADHN Cc1ccsc1CNCc1cn(-c2cccc(C)c2)nc1C ZINC000651691844 1047321613 /nfs/dbraw/zinc/32/16/13/1047321613.db2.gz JBZRGPNZIWWKEB-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN CC(C)(C)C1CCC(N2CC(N3CCC(F)(F)CC3)C2)CC1 ZINC001255500536 1047323325 /nfs/dbraw/zinc/32/33/25/1047323325.db2.gz AHUKJMFSWPYRKW-UHFFFAOYSA-N 0 3 314.464 4.007 20 0 DIADHN C[C@H](NCc1ccc2cnccc2c1)c1cnn(CC2CCC2)c1 ZINC000898181601 1047325338 /nfs/dbraw/zinc/32/53/38/1047325338.db2.gz KMXBPJCYCFYQJH-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN CC(C)CSCCNCc1ccc(CSC(F)F)o1 ZINC000397361792 1047325557 /nfs/dbraw/zinc/32/55/57/1047325557.db2.gz MDWQIHBURGHDEJ-UHFFFAOYSA-N 0 3 309.447 4.214 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCCC[C@](C)(F)C1 ZINC001141979053 1047328671 /nfs/dbraw/zinc/32/86/71/1047328671.db2.gz NTFAWBUZKXTMIH-SFHVURJKSA-N 0 3 302.393 4.364 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CC[C@@H](F)C(F)(F)CC1 ZINC001141978834 1047329450 /nfs/dbraw/zinc/32/94/50/1047329450.db2.gz JHFZIVDAXRFPQJ-OAHLLOKOSA-N 0 3 324.346 4.219 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CC[C@@]3(CCCO3)C2)s1 ZINC001141994401 1047334402 /nfs/dbraw/zinc/33/44/02/1047334402.db2.gz KIDQJBXJPXOLHT-SFHVURJKSA-N 0 3 315.438 4.295 20 0 DIADHN C[C@H]1CN(Cc2sccc2Oc2ccccc2)CC[C@]1(C)O ZINC001141994536 1047334864 /nfs/dbraw/zinc/33/48/64/1047334864.db2.gz ORYUIGOUGPFBPE-KSSFIOAISA-N 0 3 317.454 4.133 20 0 DIADHN COc1cc([C@H](C)N[C@H](c2ccncc2)C2CC2)ccc1F ZINC000898321808 1047334804 /nfs/dbraw/zinc/33/48/04/1047334804.db2.gz VWRYYSHQMVINPE-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1c(C3CC3)nc3sccn13)CC2 ZINC000651959152 1047335301 /nfs/dbraw/zinc/33/53/01/1047335301.db2.gz ADYVKNFVVCZWSS-MRXNPFEDSA-N 0 3 323.465 4.359 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CC[C@]3(CCCO3)C2)s1 ZINC001141994400 1047335665 /nfs/dbraw/zinc/33/56/65/1047335665.db2.gz KIDQJBXJPXOLHT-GOSISDBHSA-N 0 3 315.438 4.295 20 0 DIADHN CCn1cc(CN(Cc2cc(C)c3ncccc3c2)C(C)C)cn1 ZINC000651959799 1047335658 /nfs/dbraw/zinc/33/56/58/1047335658.db2.gz FALAYJKUUWNFMQ-UHFFFAOYSA-N 0 3 322.456 4.170 20 0 DIADHN COc1cccc(-c2csc(CNC(C)(C)CSC)n2)c1 ZINC000898304426 1047337576 /nfs/dbraw/zinc/33/75/76/1047337576.db2.gz DIWFHCZVNHAOBO-UHFFFAOYSA-N 0 3 322.499 4.050 20 0 DIADHN CC1(C)CCc2cc(CN[C@@H](c3ccncc3)C3CC3)ccc2O1 ZINC000898326301 1047338004 /nfs/dbraw/zinc/33/80/04/1047338004.db2.gz VJNPGPYRXICFPR-HXUWFJFHSA-N 0 3 322.452 4.426 20 0 DIADHN C[C@@H](N[C@@H](C)COCC1CC1)c1ccc(-c2ccccc2)cn1 ZINC000651983876 1047338829 /nfs/dbraw/zinc/33/88/29/1047338829.db2.gz MOSLLZUUCBXIRM-JKSUJKDBSA-N 0 3 310.441 4.214 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1sccc1Oc1ccccc1 ZINC001142001629 1047339967 /nfs/dbraw/zinc/33/99/67/1047339967.db2.gz XSYMGIZHJJYEHL-YDHLFZDLSA-N 0 3 303.402 4.473 20 0 DIADHN Fc1cc(CN[C@@H](c2ccncc2)C2CC2)ccc1C(F)F ZINC000898317641 1047340012 /nfs/dbraw/zinc/34/00/12/1047340012.db2.gz KQHFEJXYKIJFAC-MRXNPFEDSA-N 0 3 306.331 4.399 20 0 DIADHN CCOc1ccc(CCN(C)Cc2ccc(C(F)F)nc2)cc1 ZINC000898347593 1047341488 /nfs/dbraw/zinc/34/14/88/1047341488.db2.gz RBNQVRSDVOIXGO-UHFFFAOYSA-N 0 3 320.383 4.092 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccccc2C2CC2)c1 ZINC000796491334 1047342274 /nfs/dbraw/zinc/34/22/74/1047342274.db2.gz UFTGCGANVWAWPM-UHFFFAOYSA-N 0 3 310.397 4.032 20 0 DIADHN Cc1n[nH]cc1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC000105427775 1047344344 /nfs/dbraw/zinc/34/43/44/1047344344.db2.gz NBLDOOQNZXLACP-UHFFFAOYSA-N 0 3 303.837 4.321 20 0 DIADHN COc1ccc([C@@H](NC[C@H]2CC=CCC2)c2ccccn2)cc1 ZINC000652143559 1047345011 /nfs/dbraw/zinc/34/50/11/1047345011.db2.gz DDWILRBEUUWELZ-OXJNMPFZSA-N 0 3 308.425 4.126 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccccc3C)CCC2)cc1O ZINC000652217327 1047348242 /nfs/dbraw/zinc/34/82/42/1047348242.db2.gz UUGLNNGVYISLGS-HNNXBMFYSA-N 0 3 311.425 4.439 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001142027115 1047349937 /nfs/dbraw/zinc/34/99/37/1047349937.db2.gz ZGFNRCHGBVLQKM-KRWDZBQOSA-N 0 3 322.537 4.173 20 0 DIADHN CSc1ccc(CN2CC[C@@H](Oc3ccccc3C)C2)s1 ZINC001142025558 1047350551 /nfs/dbraw/zinc/35/05/51/1047350551.db2.gz BCUKJRGRAUIXCQ-CQSZACIVSA-N 0 3 319.495 4.432 20 0 DIADHN CCN(C(=O)CN[C@H](c1ccccc1)C(C)C)c1ccccc1 ZINC000057627525 1047361410 /nfs/dbraw/zinc/36/14/10/1047361410.db2.gz YOMHIYSVSXCQOQ-FQEVSTJZSA-N 0 3 310.441 4.026 20 0 DIADHN CC[C@H](C)NC(=S)Nc1ccc2c(c1)CN([C@H](C)C(C)C)C2 ZINC000726495271 1047362203 /nfs/dbraw/zinc/36/22/03/1047362203.db2.gz PSLPOCUXGDMBTA-UONOGXRCSA-N 0 3 319.518 4.132 20 0 DIADHN CCC[C@H](C)N1Cc2ccc(NC(=S)NC(C)(C)C)cc2C1 ZINC000726496408 1047362726 /nfs/dbraw/zinc/36/27/26/1047362726.db2.gz WYDKNSXIGKALHX-ZDUSSCGKSA-N 0 3 319.518 4.276 20 0 DIADHN CSCc1ccc(CNCc2cnc(Cl)c(C)c2)cc1 ZINC000796514445 1047366465 /nfs/dbraw/zinc/36/64/65/1047366465.db2.gz LHTPIKFBZAMREP-UHFFFAOYSA-N 0 3 306.862 4.196 20 0 DIADHN CSCc1ccc(CNCc2cncc3ccccc32)cc1 ZINC000796515131 1047366546 /nfs/dbraw/zinc/36/65/46/1047366546.db2.gz YMWJWRGGSIKQAL-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN Fc1cccc(C=C2CCN(C[C@@H]3C[C@H]4CCC[C@H]4O3)CC2)c1 ZINC001168302671 1047378357 /nfs/dbraw/zinc/37/83/57/1047378357.db2.gz PDGLRZIZPMJRQU-YZGWKJHDSA-N 0 3 315.432 4.263 20 0 DIADHN Cn1nccc1CN[C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000080204905 1047383778 /nfs/dbraw/zinc/38/37/78/1047383778.db2.gz IEORGUHPVJWORS-MRXNPFEDSA-N 0 3 305.853 4.341 20 0 DIADHN O[C@H](c1ccccc1)[C@H]1CCCCN1Cc1ccc(F)c(F)c1 ZINC000726972653 1047396177 /nfs/dbraw/zinc/39/61/77/1047396177.db2.gz CHBMCGFUKNUAPN-RTBURBONSA-N 0 3 317.379 4.053 20 0 DIADHN O[C@H](c1ccccc1)[C@@H]1CCCCN1Cc1ccc(F)c(F)c1 ZINC000726972650 1047396841 /nfs/dbraw/zinc/39/68/41/1047396841.db2.gz CHBMCGFUKNUAPN-RBUKOAKNSA-N 0 3 317.379 4.053 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCc2noc3ccccc32)s1 ZINC000653553915 1047399710 /nfs/dbraw/zinc/39/97/10/1047399710.db2.gz IVLKZLAKETWLGV-GFCCVEGCSA-N 0 3 315.442 4.137 20 0 DIADHN COc1ccc(C(C)C)cc1CN(C)CCc1ncc(C)s1 ZINC000653590041 1047404569 /nfs/dbraw/zinc/40/45/69/1047404569.db2.gz KSPXDUJFKHITRP-UHFFFAOYSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1CCc1noc2ccccc21 ZINC000653610581 1047407031 /nfs/dbraw/zinc/40/70/31/1047407031.db2.gz OEWBGJWUPQRDDY-RYUDHWBXSA-N 0 3 312.335 4.033 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1[nH]cnc1C(C)(C)C ZINC001142230985 1047409087 /nfs/dbraw/zinc/40/90/87/1047409087.db2.gz YEZJXJLUWCFHCM-UHFFFAOYSA-N 0 3 305.853 4.035 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000653693810 1047416234 /nfs/dbraw/zinc/41/62/34/1047416234.db2.gz PRKGAXZLGTWTJH-SJORKVTESA-N 0 3 309.400 4.296 20 0 DIADHN Cc1ccnc([C@@H]2CCN(CCCc3c(F)cccc3F)C2)c1 ZINC000653750185 1047419927 /nfs/dbraw/zinc/41/99/27/1047419927.db2.gz UUQXSMCTSJMBCA-OAHLLOKOSA-N 0 3 316.395 4.090 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)[C@H](C)CCc1ccccc1 ZINC000055898366 1047426946 /nfs/dbraw/zinc/42/69/46/1047426946.db2.gz VYXYBMATLFHWMC-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H](NCc1cc(C(C)(C)C)on1)C1CCC(F)(F)CC1 ZINC000653872182 1047428500 /nfs/dbraw/zinc/42/85/00/1047428500.db2.gz QNPDQIKTPWIZMH-NSHDSACASA-N 0 3 300.393 4.276 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(C)ccc2C)cc1 ZINC000056201585 1047430194 /nfs/dbraw/zinc/43/01/94/1047430194.db2.gz ADUZWWWJNGKBHW-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN CCCc1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1 ZINC001142354608 1047433198 /nfs/dbraw/zinc/43/31/98/1047433198.db2.gz UJIVMLXZIQRXTK-UHFFFAOYSA-N 0 3 309.400 4.029 20 0 DIADHN CCN(Cc1nnc(-c2ccc(Cl)cc2)o1)[C@H](C)C(C)C ZINC000118833342 1047435920 /nfs/dbraw/zinc/43/59/20/1047435920.db2.gz NIYPNNUZXAZNBQ-GFCCVEGCSA-N 0 3 307.825 4.256 20 0 DIADHN CCN(Cc1nnc(-c2ccc(Cl)cc2)o1)[C@@H](C)C(C)C ZINC000118833339 1047436388 /nfs/dbraw/zinc/43/63/88/1047436388.db2.gz NIYPNNUZXAZNBQ-LBPRGKRZSA-N 0 3 307.825 4.256 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(N(C)CC(F)F)CC1 ZINC000749844101 1047439095 /nfs/dbraw/zinc/43/90/95/1047439095.db2.gz JRLJAKQUGWCVQE-GFCCVEGCSA-N 0 3 316.823 4.062 20 0 DIADHN Cc1ccccc1[C@H]1CN(Cc2cccc3[nH]ccc32)[C@H](C)CO1 ZINC000537616387 1047452405 /nfs/dbraw/zinc/45/24/05/1047452405.db2.gz MTEMIVFIYOHFJC-IIBYNOLFSA-N 0 3 320.436 4.438 20 0 DIADHN CC(C)(C)OCCN1CCC(c2nc(C(C)(C)C)cs2)CC1 ZINC000750064890 1047456914 /nfs/dbraw/zinc/45/69/14/1047456914.db2.gz DSQYAOMOHVSEAT-UHFFFAOYSA-N 0 3 324.534 4.435 20 0 DIADHN CCn1nc(C)c(CN2CC[C@H](C)[C@@H]2c2cccc(F)c2)c1C ZINC000537621587 1047467257 /nfs/dbraw/zinc/46/72/57/1047467257.db2.gz ZIRJAJHRUBANBS-ORAYPTAESA-N 0 3 315.436 4.242 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)CC(C)(C)O3)n1 ZINC000537623619 1047475474 /nfs/dbraw/zinc/47/54/74/1047475474.db2.gz KYKZVMFFWRUUGJ-IBGZPJMESA-N 0 3 322.452 4.441 20 0 DIADHN CN(Cc1ccc(F)c(Cl)c1)[C@@H](CCO)c1ccccc1 ZINC000537626188 1047481279 /nfs/dbraw/zinc/48/12/79/1047481279.db2.gz ITBHQRVEPQZIQG-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN CC(C)CC(=O)Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001142710143 1047484725 /nfs/dbraw/zinc/48/47/25/1047484725.db2.gz KIKHSNMXBGPZOY-SFHVURJKSA-N 0 3 322.452 4.249 20 0 DIADHN COc1ccc(CN(Cc2scnc2C)[C@@H](C)C2CC2)cc1 ZINC000120716979 1047501415 /nfs/dbraw/zinc/50/14/15/1047501415.db2.gz MYDDTAFTDVAMNK-AWEZNQCLSA-N 0 3 316.470 4.261 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2cccc(-c3ccccn3)c2)C1 ZINC001142844632 1047502814 /nfs/dbraw/zinc/50/28/14/1047502814.db2.gz YNNDRTJRISAPFW-HNNXBMFYSA-N 0 3 306.331 4.133 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2ccccc2-n2cccn2)s1 ZINC000090419408 1047503225 /nfs/dbraw/zinc/50/32/25/1047503225.db2.gz CPDGSMCFABRXTM-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2ccccc2-n2cccn2)s1 ZINC000090419406 1047503634 /nfs/dbraw/zinc/50/36/34/1047503634.db2.gz CPDGSMCFABRXTM-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCCC2)C1)c1nc2c(s1)CCCC2 ZINC000090527105 1047505950 /nfs/dbraw/zinc/50/59/50/1047505950.db2.gz OZNNRSWZYYVCCD-ZIAGYGMSSA-N 0 3 320.502 4.164 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1ccnc2[nH]ccc21 ZINC001142880589 1047507590 /nfs/dbraw/zinc/50/75/90/1047507590.db2.gz FFPKULNATRTGCS-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN CO[C@H]1CN(C2CCC(c3ccc(Cl)cc3)CC2)C[C@@H]1F ZINC001168341948 1047509615 /nfs/dbraw/zinc/50/96/15/1047509615.db2.gz VXRCDAZORGXGGT-KMZOKZLKSA-N 0 3 311.828 4.035 20 0 DIADHN C[C@H]1CN(Cc2cnn(C(C)(C)C)c2)CC[C@H]1c1ccccc1 ZINC000537632781 1047510417 /nfs/dbraw/zinc/51/04/17/1047510417.db2.gz QFYRYELYXFVGQA-QFBILLFUSA-N 0 3 311.473 4.264 20 0 DIADHN Cc1cc(CN2CCC(C(F)F)CC2)cc(C)c1OC(F)F ZINC000537635331 1047513855 /nfs/dbraw/zinc/51/38/55/1047513855.db2.gz NQDASLWSNQWGIK-UHFFFAOYSA-N 0 3 319.342 4.382 20 0 DIADHN Clc1ccc(C2=CCN(Cc3cccn4ccnc34)CC2)cc1 ZINC001142944888 1047519158 /nfs/dbraw/zinc/51/91/58/1047519158.db2.gz USRGRRDSGKFBND-UHFFFAOYSA-N 0 3 323.827 4.277 20 0 DIADHN Clc1ccc(C2CCC(n3cnc4c3CNCC4)CC2)cc1 ZINC001168344683 1047519559 /nfs/dbraw/zinc/51/95/59/1047519559.db2.gz KXOHDXDWWDAKGA-UHFFFAOYSA-N 0 3 315.848 4.081 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@H](CO)CCC(C)C)c1Cl ZINC001203127395 1047520924 /nfs/dbraw/zinc/52/09/24/1047520924.db2.gz KQGRGWVONFNCBD-CYBMUJFWSA-N 0 3 304.261 4.189 20 0 DIADHN Brc1ccc2c(c1)CC[C@@H]2NCc1ccsc1 ZINC000059639525 1047522290 /nfs/dbraw/zinc/52/22/90/1047522290.db2.gz BACXMWOHEVZUKG-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN CCO[C@@H](CCN[C@H](C)c1nc2c(s1)CCCC2)C(C)C ZINC000091731913 1047522473 /nfs/dbraw/zinc/52/24/73/1047522473.db2.gz MESYJBCSECKHAC-HIFRSBDPSA-N 0 3 310.507 4.124 20 0 DIADHN Cn1nc(-c2ccccc2)cc1CN1CCCc2ccccc2C1 ZINC001143011957 1047525047 /nfs/dbraw/zinc/52/50/47/1047525047.db2.gz HHPRJBWNOSAAMQ-UHFFFAOYSA-N 0 3 317.436 4.036 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](C1CCC1)C1CCCCC1 ZINC001143079544 1047526945 /nfs/dbraw/zinc/52/69/45/1047526945.db2.gz GLAVGFHLVPRQSQ-FQEVSTJZSA-N 0 3 322.537 4.364 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](C1CCC1)C1CCCCC1 ZINC001143079545 1047527862 /nfs/dbraw/zinc/52/78/62/1047527862.db2.gz GLAVGFHLVPRQSQ-HXUWFJFHSA-N 0 3 322.537 4.364 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)cc1F ZINC000121979712 1047534647 /nfs/dbraw/zinc/53/46/47/1047534647.db2.gz UABWLBRCCLIZAM-QWHCGFSZSA-N 0 3 301.361 4.275 20 0 DIADHN Cc1ncccc1CN(C)CCCc1cc(-c2ccccc2)no1 ZINC000092664255 1047536946 /nfs/dbraw/zinc/53/69/46/1047536946.db2.gz WBYGOWYXLDHBOE-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000122122869 1047538582 /nfs/dbraw/zinc/53/85/82/1047538582.db2.gz MCKZNLFERFKNJV-OAHLLOKOSA-N 0 3 301.405 4.440 20 0 DIADHN CC(=O)Nc1cccc(CN2CC=C(c3cccc(C)c3)CC2)c1 ZINC000092822259 1047539823 /nfs/dbraw/zinc/53/98/23/1047539823.db2.gz LYSHGBICUHNRKP-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN FC(F)c1ccc(CN2CCC(Oc3ccncc3)CC2)cc1 ZINC001143244657 1047543463 /nfs/dbraw/zinc/54/34/63/1047543463.db2.gz YZXZKNMOGWBLAT-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1Cc1cccc2nonc21 ZINC001203136239 1047547189 /nfs/dbraw/zinc/54/71/89/1047547189.db2.gz MDBLBXZBRAUFDW-MRXNPFEDSA-N 0 3 313.788 4.213 20 0 DIADHN CCCc1ccc([C@@H](NCC(=O)NCC(C)(C)C)C(C)C)cc1 ZINC001168351204 1047549134 /nfs/dbraw/zinc/54/91/34/1047549134.db2.gz PKFKGODJFPAIRR-IBGZPJMESA-N 0 3 318.505 4.088 20 0 DIADHN c1nc(C2CCC2)sc1CN(C[C@H]1CCCO1)C1CCCC1 ZINC000093666205 1047549451 /nfs/dbraw/zinc/54/94/51/1047549451.db2.gz NECJSFQVUQCUAH-MRXNPFEDSA-N 0 3 320.502 4.334 20 0 DIADHN COc1ccc(F)c(C(F)(F)F)c1CN(C)CCC1CC1 ZINC001143310041 1047551171 /nfs/dbraw/zinc/55/11/71/1047551171.db2.gz PJTDCHPWHSDNPB-UHFFFAOYSA-N 0 3 305.315 4.085 20 0 DIADHN CC(=O)Nc1ccc(CN2CC[C@H](C)C[C@H]2c2ccco2)cc1 ZINC000093811892 1047552712 /nfs/dbraw/zinc/55/27/12/1047552712.db2.gz MHVBZBSNLLUKIR-KSSFIOAISA-N 0 3 312.413 4.211 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1ccccc1N(C)C ZINC000093943753 1047554342 /nfs/dbraw/zinc/55/43/42/1047554342.db2.gz QCVWFJGGMIVPHE-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)C(C)(C)C ZINC001149183461 1047556182 /nfs/dbraw/zinc/55/61/82/1047556182.db2.gz BFAPVZRGGZGYJK-JTDSTZFVSA-N 0 3 316.489 4.071 20 0 DIADHN Fc1ccc([C@@H]2CCCCN2Cc2ccc3[nH]cnc3c2)cc1 ZINC000537647290 1047559251 /nfs/dbraw/zinc/55/92/51/1047559251.db2.gz JFHUZHMPFLWRPK-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccc([C@@H]2CCCCN2Cc2ccc3nc[nH]c3c2)cc1 ZINC000537647290 1047559255 /nfs/dbraw/zinc/55/92/55/1047559255.db2.gz JFHUZHMPFLWRPK-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Cc1n[nH]cc1CN1CC[C@H](C)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000537654482 1047569665 /nfs/dbraw/zinc/56/96/65/1047569665.db2.gz AOINWNHZMSMLEK-MEDUHNTESA-N 0 3 323.362 4.320 20 0 DIADHN Cc1ccsc1CNCc1cc(Cl)c2c(c1)OCCCO2 ZINC000061556463 1047572029 /nfs/dbraw/zinc/57/20/29/1047572029.db2.gz OPEXYOZBXGCBHG-UHFFFAOYSA-N 0 3 323.845 4.161 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H]2c2ccccc2C)oc1C ZINC000097476110 1047573279 /nfs/dbraw/zinc/57/32/79/1047573279.db2.gz GHNLIMSUACWKDD-SFHVURJKSA-N 0 3 313.397 4.020 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC(CCC(C)C)CC1 ZINC001150252264 1047575191 /nfs/dbraw/zinc/57/51/91/1047575191.db2.gz VXFIRYXZTMOGCM-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(OC)c(O)c2)cc1C ZINC000926903571 1047579193 /nfs/dbraw/zinc/57/91/93/1047579193.db2.gz WYNPBOYCBBSMBU-KBPBESRZSA-N 0 3 315.413 4.130 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2ncccc2c1 ZINC000130876514 1047587913 /nfs/dbraw/zinc/58/79/13/1047587913.db2.gz CCIVXOHRUSSELK-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN COC(=O)c1cnc([C@@H](C)N[C@H]2CCCCC23CCCC3)s1 ZINC000314959656 1047592058 /nfs/dbraw/zinc/59/20/58/1047592058.db2.gz OGDMWJRMARQOOO-OCCSQVGLSA-N 0 3 322.474 4.083 20 0 DIADHN Clc1ccc([C@H](NCc2ccc3c(c2)OCO3)C2CC2)cc1 ZINC000315364819 1047604810 /nfs/dbraw/zinc/60/48/10/1047604810.db2.gz CALBFJTYVABLST-GOSISDBHSA-N 0 3 315.800 4.310 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nc(C(C)C)no1 ZINC000477222322 1047606330 /nfs/dbraw/zinc/60/63/30/1047606330.db2.gz OSFSYJUZZDYWEN-SJORKVTESA-N 0 3 313.445 4.351 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1ccn(C2CCCC2)n1 ZINC000477260960 1047608517 /nfs/dbraw/zinc/60/85/17/1047608517.db2.gz XXRMKYKCKAHDRQ-IBGZPJMESA-N 0 3 311.473 4.451 20 0 DIADHN c1nc(CN2CC[C@@H](c3ccccc3)C2)oc1-c1ccccc1 ZINC000477289704 1047609790 /nfs/dbraw/zinc/60/97/90/1047609790.db2.gz LUKOWFQCMYVIQZ-GOSISDBHSA-N 0 3 304.393 4.331 20 0 DIADHN Brc1cc(CN2CCC[C@H]2C2CCC2)cs1 ZINC000477597781 1047627207 /nfs/dbraw/zinc/62/72/07/1047627207.db2.gz DYEMDZPRKKYGAV-LBPRGKRZSA-N 0 3 300.265 4.275 20 0 DIADHN Fc1ccccc1C=C1CCN(Cc2ccc3nc[nH]c3c2)CC1 ZINC000537706089 1047627251 /nfs/dbraw/zinc/62/72/51/1047627251.db2.gz PMTCRWDPVBYEIG-UHFFFAOYSA-N 0 3 321.399 4.381 20 0 DIADHN Brc1ccc(CN2CCC[C@@H]2C2CCC2)s1 ZINC000477606872 1047627302 /nfs/dbraw/zinc/62/73/02/1047627302.db2.gz YCRJHBFBRMKHFD-GFCCVEGCSA-N 0 3 300.265 4.275 20 0 DIADHN O=C(Nc1cccc(Cl)c1)c1cccc(CN2CCCC2)c1 ZINC000060549319 1047631100 /nfs/dbraw/zinc/63/11/00/1047631100.db2.gz NEPLGKBQUOKBFL-UHFFFAOYSA-N 0 3 314.816 4.188 20 0 DIADHN CCCCN(CCCc1nc(C)no1)Cc1ccccc1Cl ZINC000477765246 1047637845 /nfs/dbraw/zinc/63/78/45/1047637845.db2.gz MVZPJZWBKVGEHO-UHFFFAOYSA-N 0 3 321.852 4.266 20 0 DIADHN c1ccc(CN(CCc2ccc3ccccc3c2)C2CC2)nc1 ZINC000537896726 1047643949 /nfs/dbraw/zinc/64/39/49/1047643949.db2.gz IGYIXVRMPLAZJK-UHFFFAOYSA-N 0 3 302.421 4.442 20 0 DIADHN CCOC[C@@H](C)N[C@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000537943778 1047644963 /nfs/dbraw/zinc/64/49/63/1047644963.db2.gz AOZGRMOJPBUHTQ-OXQOHEQNSA-N 0 3 313.441 4.108 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2c(CC)nn(C)c2CC)cc1 ZINC000537942443 1047644999 /nfs/dbraw/zinc/64/49/99/1047644999.db2.gz CMFPSFNWBARSPL-OAHLLOKOSA-N 0 3 313.489 4.348 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(OC(F)F)cc2)c(C)c1 ZINC000537958732 1047646384 /nfs/dbraw/zinc/64/63/84/1047646384.db2.gz GPANCFSKBTTXFC-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN CO[C@@H](C)[C@H](C)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000537967183 1047650394 /nfs/dbraw/zinc/65/03/94/1047650394.db2.gz XLEWOAPDMHTWCF-STQMWFEESA-N 0 3 305.368 4.067 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(OCC(F)F)cc1 ZINC000538003243 1047652954 /nfs/dbraw/zinc/65/29/54/1047652954.db2.gz YHXOWWRRAWYULZ-CYBMUJFWSA-N 0 3 320.383 4.139 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(OC(F)(F)F)cc1 ZINC000538002448 1047653012 /nfs/dbraw/zinc/65/30/12/1047653012.db2.gz ODQCDZWFXKDZQK-GFCCVEGCSA-N 0 3 324.346 4.393 20 0 DIADHN CC(C)[C@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ccccc1 ZINC000538117858 1047657106 /nfs/dbraw/zinc/65/71/06/1047657106.db2.gz YRLQELSELVLZJU-SFHVURJKSA-N 0 3 324.403 4.098 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cccc(C)c1C)c1ccc(C)cc1 ZINC000538154672 1047660082 /nfs/dbraw/zinc/66/00/82/1047660082.db2.gz FQTWHJPVCZOAGP-GOSISDBHSA-N 0 3 310.441 4.291 20 0 DIADHN CCN(Cc1ccccc1)C(=O)[C@@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000538130078 1047660366 /nfs/dbraw/zinc/66/03/66/1047660366.db2.gz UJJHMENXNJCXBO-ZWKOTPCHSA-N 0 3 324.468 4.083 20 0 DIADHN CC[C@@H](C)[C@@H](NCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000538196146 1047662432 /nfs/dbraw/zinc/66/24/32/1047662432.db2.gz ZOAMRSFIWNOANR-RDTXWAMCSA-N 0 3 322.880 4.029 20 0 DIADHN COC[C@H](NCc1cccc2ccccc21)c1ccc(F)cc1 ZINC000538275463 1047666362 /nfs/dbraw/zinc/66/63/62/1047666362.db2.gz HDLUWSGQIPXFHU-FQEVSTJZSA-N 0 3 309.384 4.456 20 0 DIADHN COc1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)ccn1 ZINC000538323056 1047669311 /nfs/dbraw/zinc/66/93/11/1047669311.db2.gz GEHQWXCTJARYJP-BJOOFOGMSA-N 0 3 316.832 4.231 20 0 DIADHN CC(C)(C)C(=N)Nc1cc(F)ccc1-c1ccc(Cl)nn1 ZINC001155181240 1047670992 /nfs/dbraw/zinc/67/09/92/1047670992.db2.gz CLTJTACXZCWMOI-UHFFFAOYSA-N 0 3 306.772 4.371 20 0 DIADHN Fc1cccc(Oc2ccccc2CNCC2=CCCOC2)c1 ZINC000538402109 1047672501 /nfs/dbraw/zinc/67/25/01/1047672501.db2.gz OUHOHOFTGYWUFF-UHFFFAOYSA-N 0 3 313.372 4.054 20 0 DIADHN Cc1cc(NC(=O)[C@@H](C)N2CCCCCC2)cc(C)c1Cl ZINC001155317427 1047676666 /nfs/dbraw/zinc/67/66/66/1047676666.db2.gz OZAIHGYTESTTFM-CQSZACIVSA-N 0 3 308.853 4.160 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccc(Cl)s1 ZINC000538487537 1047682557 /nfs/dbraw/zinc/68/25/57/1047682557.db2.gz LCAOCNAFDDVPEW-MEBBXXQBSA-N 0 3 313.825 4.406 20 0 DIADHN Clc1cccc2nc(N[C@@H]3CNCc4ccsc43)ccc12 ZINC001155562668 1047685046 /nfs/dbraw/zinc/68/50/46/1047685046.db2.gz UXFOBZWNTNIJCD-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cc(N[C@H]2CNCc3ccsc32)c2ccsc2n1 ZINC001155561751 1047685095 /nfs/dbraw/zinc/68/50/95/1047685095.db2.gz OVROXWYBDMAQHP-NSHDSACASA-N 0 3 321.858 4.268 20 0 DIADHN Cc1ccc2c(C)cc(N[C@H]3CNCc4ccsc43)nc2c1C ZINC001155563307 1047685322 /nfs/dbraw/zinc/68/53/22/1047685322.db2.gz BMALBZWXJMCNIX-INIZCTEOSA-N 0 3 323.465 4.478 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643398 1047685899 /nfs/dbraw/zinc/68/58/99/1047685899.db2.gz QJLABARAZDRBOK-GUYCJALGSA-N 0 3 324.896 4.131 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2csc(C(C)(C)C)n2)CCO1 ZINC000538533181 1047685982 /nfs/dbraw/zinc/68/59/82/1047685982.db2.gz YNEBRLJFPBZYPM-DZGCQCFKSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2cc(O)ccc2o1)C(C)C ZINC001155674315 1047686714 /nfs/dbraw/zinc/68/67/14/1047686714.db2.gz PYLZVWQNOBFBFC-UHFFFAOYSA-N 0 3 321.357 4.167 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(F)c(F)c(F)c2)C1 ZINC000538724953 1047705810 /nfs/dbraw/zinc/70/58/10/1047705810.db2.gz KSSHDQUDEYFTIX-NEPJUHHUSA-N 0 3 303.393 4.210 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000538723491 1047700352 /nfs/dbraw/zinc/70/03/52/1047700352.db2.gz HLCPHXWMLRERTH-RDTXWAMCSA-N 0 3 315.461 4.111 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccc(F)cc2)[C@H]1c1ccccc1 ZINC000538724374 1047701061 /nfs/dbraw/zinc/70/10/61/1047701061.db2.gz GUMBWIOBQCDXHQ-VBKZILBWSA-N 0 3 321.399 4.409 20 0 DIADHN COc1ccc(CN2CCC23CCC3)cc1OCc1ccccc1 ZINC000538736560 1047703029 /nfs/dbraw/zinc/70/30/29/1047703029.db2.gz NPHPXBVEXXGXQV-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN COc1ccsc1CN1CC[C@@H](Oc2cccc(Cl)c2)C1 ZINC000538750042 1047707917 /nfs/dbraw/zinc/70/79/17/1047707917.db2.gz VYNJCSCISQWEIM-CQSZACIVSA-N 0 3 323.845 4.063 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(-c3ccc(Cl)cc3)n2)CCO1 ZINC000538774250 1047710080 /nfs/dbraw/zinc/71/00/80/1047710080.db2.gz AVWSVNSBIAEPFN-BXUZGUMPSA-N 0 3 322.861 4.121 20 0 DIADHN Fc1cnc(Cl)cc1NC[C@H](c1ccccc1)N1CCCC1 ZINC001156531096 1047716391 /nfs/dbraw/zinc/71/63/91/1047716391.db2.gz NBMCHQLURYAQAQ-MRXNPFEDSA-N 0 3 319.811 4.123 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000538954847 1047720268 /nfs/dbraw/zinc/72/02/68/1047720268.db2.gz DTUNIQWJHQJTSE-UKRRQHHQSA-N 0 3 300.402 4.113 20 0 DIADHN COc1ccc(CN[C@H]2CCc3c2cccc3Cl)c(C)c1 ZINC000539066753 1047734438 /nfs/dbraw/zinc/73/44/38/1047734438.db2.gz PFYDYXMYSVCHLB-SFHVURJKSA-N 0 3 301.817 4.434 20 0 DIADHN CCCC[C@H](CC)COCCCNc1cc(CN(C)C)ccn1 ZINC001157341324 1047739999 /nfs/dbraw/zinc/73/99/99/1047739999.db2.gz SFQJIDZBBDVCFU-KRWDZBQOSA-N 0 3 321.509 4.178 20 0 DIADHN Cn1cnc2cc(CNC(C)(C)c3cccc(Cl)c3)ccc21 ZINC000539224036 1047742821 /nfs/dbraw/zinc/74/28/21/1047742821.db2.gz VEEJUYDFDZBKBH-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](C)c2cccc(C)c2C)c1 ZINC000539288314 1047745030 /nfs/dbraw/zinc/74/50/30/1047745030.db2.gz WSNYUZAJDRJDKG-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c1C ZINC000539289585 1047745080 /nfs/dbraw/zinc/74/50/80/1047745080.db2.gz WVHMVWVKTQQNFD-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN C[C@@H]1CCN(Cc2cccc3nccn32)[C@H]1c1cccc(F)c1 ZINC000539381701 1047751123 /nfs/dbraw/zinc/75/11/23/1047751123.db2.gz NUQRBYJOLMRTKH-AUUYWEPGSA-N 0 3 309.388 4.057 20 0 DIADHN Cc1ccsc1CN(C)Cc1cccc(Br)c1 ZINC000061798677 1047771384 /nfs/dbraw/zinc/77/13/84/1047771384.db2.gz NJJQZCGIBYBZSD-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000478435861 1047786231 /nfs/dbraw/zinc/78/62/31/1047786231.db2.gz VYFROQKGTIATAH-LMOYCYGVSA-N 0 3 317.408 4.351 20 0 DIADHN CN1CCC(N(c2ccc(C(C)(C)C)cn2)C2CCCC2)CC1 ZINC001158493769 1047786649 /nfs/dbraw/zinc/78/66/49/1047786649.db2.gz KTFUDTDGVJDGBF-UHFFFAOYSA-N 0 3 315.505 4.222 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1Cl ZINC000927068145 1047792435 /nfs/dbraw/zinc/79/24/35/1047792435.db2.gz RBKAMECZOCOJBI-PXAZEXFGSA-N 0 3 321.852 4.130 20 0 DIADHN CC[C@H](N[C@@H](c1cnn(C)c1)C(C)C)c1cc(C)ccc1OC ZINC000927067893 1047792965 /nfs/dbraw/zinc/79/29/65/1047792965.db2.gz IHRVOFDGQKRBPA-PKOBYXMFSA-N 0 3 315.461 4.175 20 0 DIADHN c1oc2ccccc2c1CCNc1ncccc1CN1CCCC1 ZINC001158661454 1047793458 /nfs/dbraw/zinc/79/34/58/1047793458.db2.gz IQDKZWRAIJBPJA-UHFFFAOYSA-N 0 3 321.424 4.078 20 0 DIADHN CN(Cc1ccc(NCCc2coc3ccccc23)nc1)C1CC1 ZINC001158661023 1047793573 /nfs/dbraw/zinc/79/35/73/1047793573.db2.gz DDZQHQYROQKJMV-UHFFFAOYSA-N 0 3 321.424 4.077 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNc1cc(C)cc(C)n1 ZINC000478611955 1047799544 /nfs/dbraw/zinc/79/95/44/1047799544.db2.gz QIXUFPBXEISTJE-UHFFFAOYSA-N 0 3 319.408 4.381 20 0 DIADHN CCOCCCCN[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000924712528 1047820845 /nfs/dbraw/zinc/82/08/45/1047820845.db2.gz ZGSPQHOXNOHMAC-JTQLQIEISA-N 0 3 324.774 4.221 20 0 DIADHN NCc1cc(Nc2cccc(OCc3ccccc3F)c2)ccn1 ZINC001159296029 1047826569 /nfs/dbraw/zinc/82/65/69/1047826569.db2.gz CHCQBWZDUSUVIH-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN NCc1cc(Nc2ccc(F)cc2OCc2ccccc2)ccn1 ZINC001159296400 1047827123 /nfs/dbraw/zinc/82/71/23/1047827123.db2.gz KYMHZSVXFLHMQX-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN CCC[C@@H](C(=O)NC[C@H](c1cccs1)N1CCCC1)C(C)C ZINC000478941161 1047828267 /nfs/dbraw/zinc/82/82/67/1047828267.db2.gz TUKXSNRTDBAONW-HZPDHXFCSA-N 0 3 322.518 4.074 20 0 DIADHN COc1ncc(CN[C@@H](CC2CC2)c2ccccc2)cc1Cl ZINC000553333431 1047839551 /nfs/dbraw/zinc/83/95/51/1047839551.db2.gz VCZLVQWQVBAQAA-KRWDZBQOSA-N 0 3 316.832 4.375 20 0 DIADHN CCCOc1cccc(CN2CC[C@](C)(C(F)(F)F)C2)c1 ZINC001197553414 1047844383 /nfs/dbraw/zinc/84/43/83/1047844383.db2.gz QTXTUXPYZVNENT-HNNXBMFYSA-N 0 3 301.352 4.250 20 0 DIADHN COc1cc(CN[C@H]2CCc3ccc(Cl)cc32)ccc1C ZINC000479188267 1047844474 /nfs/dbraw/zinc/84/44/74/1047844474.db2.gz FYTKLMSDDDAOQD-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN CCOc1cc(CN[C@H]2C[C@H](C)c3ccccc32)ccc1OC ZINC000553421965 1047847632 /nfs/dbraw/zinc/84/76/32/1047847632.db2.gz NQHADGSBWKMRLX-KSSFIOAISA-N 0 3 311.425 4.432 20 0 DIADHN Fc1cc(Nc2ccc3n[nH]cc3c2)ccc1CN1CCCCC1 ZINC001159451289 1047848829 /nfs/dbraw/zinc/84/88/29/1047848829.db2.gz ZZYBKBDMZZGOEN-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Cc1cc(F)cc(Nc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC001174530196 1047859960 /nfs/dbraw/zinc/85/99/60/1047859960.db2.gz CVZUSPDYUGLYKV-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN CCCCCC[C@@H]1CCC[C@H]1NCc1nccc(OC)c1F ZINC001182950011 1047864535 /nfs/dbraw/zinc/86/45/35/1047864535.db2.gz SCJBTGNVMVOFQF-HUUCEWRRSA-N 0 3 308.441 4.458 20 0 DIADHN Cc1cnccc1Nc1cc(-c2ccccc2)nn1C1CCC1 ZINC001174537288 1047864925 /nfs/dbraw/zinc/86/49/25/1047864925.db2.gz FHMALYHWCPPXJT-UHFFFAOYSA-N 0 3 304.397 4.144 20 0 DIADHN Cc1ccc(NC(=O)OC(C)(C)C)cc1Nc1ccncc1C ZINC001174539257 1047866166 /nfs/dbraw/zinc/86/61/66/1047866166.db2.gz ZWAXRKGYXWDHIB-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN Cc1cnccc1Nc1ccc(NC(=O)OC(C)(C)C)cc1C ZINC001174538743 1047866923 /nfs/dbraw/zinc/86/69/23/1047866923.db2.gz OVBVFLQBILKKRR-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cccc2n[nH]cc21 ZINC001159574297 1047869522 /nfs/dbraw/zinc/86/95/22/1047869522.db2.gz AEHZMJNJCDMIJI-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cccc2[nH]ncc21 ZINC001159574297 1047869531 /nfs/dbraw/zinc/86/95/31/1047869531.db2.gz AEHZMJNJCDMIJI-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Nc1ccc(F)cc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001159590777 1047874072 /nfs/dbraw/zinc/87/40/72/1047874072.db2.gz WBIJBKWGCOZSPD-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@@H](c3noc(C)n3)C2)cc1 ZINC001197727835 1047876099 /nfs/dbraw/zinc/87/60/99/1047876099.db2.gz HLOZFYXKFNHROO-MRXNPFEDSA-N 0 3 313.445 4.055 20 0 DIADHN CC(C)c1cccc(CNCc2cc3ccccc3[nH]c2=O)c1 ZINC000479420834 1047882295 /nfs/dbraw/zinc/88/22/95/1047882295.db2.gz MBWGDUAPUUDBIP-UHFFFAOYSA-N 0 3 306.409 4.354 20 0 DIADHN Clc1csc(CNCC2CCc3ccccc3CC2)n1 ZINC001183052940 1047884027 /nfs/dbraw/zinc/88/40/27/1047884027.db2.gz CUQUXBMQELFRSI-UHFFFAOYSA-N 0 3 306.862 4.081 20 0 DIADHN Cc1ccc(CN[C@H](C)COc2ccc(Cl)c(Cl)c2)o1 ZINC001183124728 1047889782 /nfs/dbraw/zinc/88/97/82/1047889782.db2.gz RUAWBHNLPPVXEH-SNVBAGLBSA-N 0 3 314.212 4.452 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1cc(Br)ccc1F ZINC000479453374 1047894931 /nfs/dbraw/zinc/89/49/31/1047894931.db2.gz KDVKAYZUCKJSCF-MRVPVSSYSA-N 0 3 322.168 4.284 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001197901074 1047895529 /nfs/dbraw/zinc/89/55/29/1047895529.db2.gz HMDAUHRGOLLQNT-UKRRQHHQSA-N 0 3 303.837 4.014 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccccc1)c1ccc(F)cc1Cl ZINC000774593024 1047896963 /nfs/dbraw/zinc/89/69/63/1047896963.db2.gz WKLXZWQCTGSJNG-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccccc1)c1ccc(F)cc1Cl ZINC000774593026 1047897814 /nfs/dbraw/zinc/89/78/14/1047897814.db2.gz WKLXZWQCTGSJNG-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1ccccc1Br ZINC000479448080 1047903855 /nfs/dbraw/zinc/90/38/55/1047903855.db2.gz RPAIWJDCLCQSSF-VIFPVBQESA-N 0 3 304.178 4.145 20 0 DIADHN c1ccc(OCNc2nc(N3CCCC3)cc3ccccc32)cc1 ZINC001159705004 1047905053 /nfs/dbraw/zinc/90/50/53/1047905053.db2.gz MMICNUSNYHRDLI-UHFFFAOYSA-N 0 3 319.408 4.283 20 0 DIADHN CC(C)CN(CCOC1CCCCCC1)Cc1ccccn1 ZINC001198001241 1047911986 /nfs/dbraw/zinc/91/19/86/1047911986.db2.gz OXUJCMXAIFEWSL-UHFFFAOYSA-N 0 3 304.478 4.279 20 0 DIADHN CC(C)(c1ccccc1)c1ccc(Nc2ccncc2CN)cc1 ZINC001159757126 1047915590 /nfs/dbraw/zinc/91/55/90/1047915590.db2.gz LISJUFACPWAFTR-UHFFFAOYSA-N 0 3 317.436 4.032 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@H]3C(C)=CCC[C@@H]3C)CCO2)cc1 ZINC001183362915 1047919650 /nfs/dbraw/zinc/91/96/50/1047919650.db2.gz FTKJPMSGDRQOAP-VDGAXYAQSA-N 0 3 315.457 4.061 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000479538437 1047922483 /nfs/dbraw/zinc/92/24/83/1047922483.db2.gz BUXKFQSBXNZZEH-VWYCJHECSA-N 0 3 315.230 4.070 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(c3cccc(Cl)c3)CCC2)c2nccn21 ZINC001183370694 1047923783 /nfs/dbraw/zinc/92/37/83/1047923783.db2.gz JQRYSNQQPWWQGO-CZUORRHYSA-N 0 3 315.848 4.254 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1ccn(C)n1 ZINC000479542271 1047924596 /nfs/dbraw/zinc/92/45/96/1047924596.db2.gz WTNJVIVIXUHYFK-FVQBIDKESA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1cc(Cl)ccc1Cl ZINC000479550330 1047926694 /nfs/dbraw/zinc/92/66/94/1047926694.db2.gz BXLQEOMMVGGOGU-ZWNOBZJWSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@H](c2ncccn2)C1 ZINC001198164884 1047931702 /nfs/dbraw/zinc/93/17/02/1047931702.db2.gz NEHLTEJHTRYJPP-HOCLYGCPSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H](CN(C)C)c3ccccc3)cc2c(C)c1 ZINC000479577918 1047934093 /nfs/dbraw/zinc/93/40/93/1047934093.db2.gz LIJOXWKRNIQMFQ-NRFANRHFSA-N 0 3 321.468 4.177 20 0 DIADHN Nc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001159837912 1047934389 /nfs/dbraw/zinc/93/43/89/1047934389.db2.gz UMFSPSKMLMQTPL-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN C[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)C(F)(F)F ZINC000479582651 1047937033 /nfs/dbraw/zinc/93/70/33/1047937033.db2.gz KWWXDRYRMNQPLY-SNVBAGLBSA-N 0 3 301.283 4.374 20 0 DIADHN C[C@@H](CNCc1ccccc1OC1CCCC1)C(F)(F)F ZINC000479594725 1047940691 /nfs/dbraw/zinc/94/06/91/1047940691.db2.gz UAELPUXAGPJIKF-LBPRGKRZSA-N 0 3 301.352 4.296 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC(CC2CCOCC2)C1 ZINC001183561587 1047941722 /nfs/dbraw/zinc/94/17/22/1047941722.db2.gz SKWOBVMTQSCHOG-UHFFFAOYSA-N 0 3 305.462 4.134 20 0 DIADHN Cc1ccc(CN[C@@H](C)Cc2ccccc2Cl)c(Cl)n1 ZINC001183550194 1047942988 /nfs/dbraw/zinc/94/29/88/1047942988.db2.gz HWQKNCOGEWYQCT-LBPRGKRZSA-N 0 3 309.240 4.418 20 0 DIADHN c1ccc2sc(CN3CCCSC[C@H]3C3CC3)nc2c1 ZINC001198270643 1047943608 /nfs/dbraw/zinc/94/36/08/1047943608.db2.gz MTJGRNKKUFVZGO-AWEZNQCLSA-N 0 3 304.484 4.014 20 0 DIADHN CCC[C@H](C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1)C(C)C ZINC000479636165 1047946542 /nfs/dbraw/zinc/94/65/42/1047946542.db2.gz ICVIAAYOLKZBON-UHOSZYNNSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@@H](NCc1ncc(Cl)n1C)C1(c2ccccc2)CCCC1 ZINC000775844214 1047948825 /nfs/dbraw/zinc/94/88/25/1047948825.db2.gz KBKSRLDIPRQSHG-CQSZACIVSA-N 0 3 317.864 4.064 20 0 DIADHN Cc1ccc2cc(CN3CCC(OCC(C)C)CC3)[nH]c2c1 ZINC000667536447 1047954267 /nfs/dbraw/zinc/95/42/67/1047954267.db2.gz ZQXXKANRLPQVJN-UHFFFAOYSA-N 0 3 300.446 4.113 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C1 ZINC000775848063 1047955359 /nfs/dbraw/zinc/95/53/59/1047955359.db2.gz HSXDHKKQQFNAMK-OLZOCXBDSA-N 0 3 305.384 4.046 20 0 DIADHN COc1cc(Cl)c(CN(C)C[C@@H](C)C(C)(C)C)cc1OC ZINC001183697412 1047956923 /nfs/dbraw/zinc/95/69/23/1047956923.db2.gz RSBOPTDLVHMCQR-GFCCVEGCSA-N 0 3 313.869 4.471 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)c(F)c1 ZINC001183661917 1047957014 /nfs/dbraw/zinc/95/70/14/1047957014.db2.gz LJJBUHCNOLMWRZ-INIZCTEOSA-N 0 3 315.436 4.360 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3cc(C(C)C)[nH]n3)C2)c(F)c1 ZINC001183661917 1047957023 /nfs/dbraw/zinc/95/70/23/1047957023.db2.gz LJJBUHCNOLMWRZ-INIZCTEOSA-N 0 3 315.436 4.360 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2noc3ccccc23)C12CCC2 ZINC001183767573 1047960464 /nfs/dbraw/zinc/96/04/64/1047960464.db2.gz FCIUKRXUAVVYQY-IAGOWNOFSA-N 0 3 314.429 4.044 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CNC1(CC(C)C)CC1 ZINC001174770683 1047966188 /nfs/dbraw/zinc/96/61/88/1047966188.db2.gz FCIPFSBUIMVJKA-UHFFFAOYSA-N 0 3 315.413 4.278 20 0 DIADHN C[C@H](NC[C@H]1Cc2ccccc21)c1nc(C(C)(C)C)cs1 ZINC000553918831 1047967036 /nfs/dbraw/zinc/96/70/36/1047967036.db2.gz MYVZQGSHODHKDT-GXTWGEPZSA-N 0 3 300.471 4.431 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)Cc2ccccc2C(F)(F)F)on1 ZINC000553927555 1047967602 /nfs/dbraw/zinc/96/76/02/1047967602.db2.gz GMIQAKXHOSDQOX-LBPRGKRZSA-N 0 3 312.335 4.065 20 0 DIADHN COc1nc(CN2CCC(CCc3ccccc3)CC2)ccc1C ZINC001183843785 1047969840 /nfs/dbraw/zinc/96/98/40/1047969840.db2.gz JEPPQXQPQPNPDJ-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H]1CCCCC12CCCC2 ZINC001160022560 1047971490 /nfs/dbraw/zinc/97/14/90/1047971490.db2.gz KSUQDILCSQIIEX-QGZVFWFLSA-N 0 3 308.510 4.118 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(CCCC1CCCCC1)C2 ZINC001174790104 1047972262 /nfs/dbraw/zinc/97/22/62/1047972262.db2.gz RFFUGMNZDRUWGO-UHFFFAOYSA-N 0 3 315.505 4.493 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(OC(C)(C)C)CC3)cc21 ZINC000667552639 1047973158 /nfs/dbraw/zinc/97/31/58/1047973158.db2.gz NQMQXNKMFAANGL-UHFFFAOYSA-N 0 3 300.446 4.256 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@@H](Cc2nccs2)C1 ZINC001198572418 1047974670 /nfs/dbraw/zinc/97/46/70/1047974670.db2.gz HDOHMWRPZWHPEU-ZDUSSCGKSA-N 0 3 306.862 4.170 20 0 DIADHN CCCCC1(NCc2cc(-c3ccc(OC)cc3)no2)CC1 ZINC001198553585 1047978193 /nfs/dbraw/zinc/97/81/93/1047978193.db2.gz NXJCUGCDUADGFI-UHFFFAOYSA-N 0 3 300.402 4.163 20 0 DIADHN C[C@]1(Cc2cccc3ccccc32)CCCN1Cc1ccon1 ZINC001174796837 1047988029 /nfs/dbraw/zinc/98/80/29/1047988029.db2.gz AIDILWKXOZCQCB-HXUWFJFHSA-N 0 3 306.409 4.425 20 0 DIADHN Cc1ccccc1-c1ccccc1CN(C)Cc1cccc(=O)[nH]1 ZINC001183972182 1047988128 /nfs/dbraw/zinc/98/81/28/1047988128.db2.gz NWWZWCAZNWFEBD-UHFFFAOYSA-N 0 3 318.420 4.395 20 0 DIADHN COC[C@@H](NC[C@]1(C)CCCS1)c1cccc(Cl)c1F ZINC000554045736 1047991679 /nfs/dbraw/zinc/99/16/79/1047991679.db2.gz TWHHEWNASYZXFM-HIFRSBDPSA-N 0 3 317.857 4.042 20 0 DIADHN COC[C@H](NC[C@@]1(C)CCCS1)c1cccc(Cl)c1F ZINC000554045735 1047991933 /nfs/dbraw/zinc/99/19/33/1047991933.db2.gz TWHHEWNASYZXFM-DZGCQCFKSA-N 0 3 317.857 4.042 20 0 DIADHN COC[C@@H](NCc1ccc2[nH]c(C)c(C)c2c1)c1ccccc1 ZINC000554049772 1047992118 /nfs/dbraw/zinc/99/21/18/1047992118.db2.gz BIUFBZRVQOFGTQ-HXUWFJFHSA-N 0 3 308.425 4.262 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCOC(C2CC2)(C2CC2)C1 ZINC001174890499 1047993322 /nfs/dbraw/zinc/99/33/22/1047993322.db2.gz HUGMYQQBDNUCGM-SFHVURJKSA-N 0 3 303.421 4.168 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1ccc(F)cc1N(C)C ZINC000479862494 1047994165 /nfs/dbraw/zinc/99/41/65/1047994165.db2.gz PGKWOJLVIDYKFD-GFCCVEGCSA-N 0 3 306.812 4.396 20 0 DIADHN Cc1ccc(F)cc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001174887539 1047994969 /nfs/dbraw/zinc/99/49/69/1047994969.db2.gz LSQNDMIHVNFTOW-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN Fc1cc(Nc2cccc3c[nH]nc32)ccc1CN1CCCCC1 ZINC001160204711 1047996061 /nfs/dbraw/zinc/99/60/61/1047996061.db2.gz WDHHEAIIAGMWHE-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCSC2)c2ccccn2)cc1 ZINC000554072947 1047996722 /nfs/dbraw/zinc/99/67/22/1047996722.db2.gz JTRNZLZMJPMYTL-KBXCAEBGSA-N 0 3 316.445 4.043 20 0 DIADHN Nc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1F ZINC001160208559 1047997037 /nfs/dbraw/zinc/99/70/37/1047997037.db2.gz OVXVRUJMDYFLFK-MSOLQXFVSA-N 0 3 323.415 4.141 20 0 DIADHN Cn1cccc1CN1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001203270943 1047999480 /nfs/dbraw/zinc/99/94/80/1047999480.db2.gz UCRKRUGWCJFMST-INIZCTEOSA-N 0 3 308.347 4.381 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C3CCCC3)C2)cc1C ZINC001198706162 1047999456 /nfs/dbraw/zinc/99/94/56/1047999456.db2.gz PBVSKSVKSXGBQC-GOSISDBHSA-N 0 3 314.473 4.144 20 0 DIADHN Fc1cc(Nc2ccc3[nH]cnc3c2)ccc1CN1CCCCC1 ZINC001160223004 1048002704 /nfs/dbraw/zinc/00/27/04/1048002704.db2.gz DGGSXLLZFUKUOG-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc2c(c1)CCC2)C(C)C ZINC001198789951 1048002752 /nfs/dbraw/zinc/00/27/52/1048002752.db2.gz WMYUMBMWEMAASA-UHFFFAOYSA-N 0 3 305.402 4.204 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(C)cc1 ZINC000554086867 1048004666 /nfs/dbraw/zinc/00/46/66/1048004666.db2.gz RLJDZXNKWYFFCC-VQTJNVASSA-N 0 3 314.448 4.478 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554085950 1048005403 /nfs/dbraw/zinc/00/54/03/1048005403.db2.gz IJPFXIXGMVDUKD-OXJNMPFZSA-N 0 3 314.448 4.396 20 0 DIADHN Cn1c2ccccc2nc1CN1CCC[C@@H](C2CCCCC2)C1 ZINC001174862880 1048005621 /nfs/dbraw/zinc/00/56/21/1048005621.db2.gz DRBNUFLAGRKWQU-QGZVFWFLSA-N 0 3 311.473 4.366 20 0 DIADHN COC[C@H](NC[C@H](c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000554097297 1048006871 /nfs/dbraw/zinc/00/68/71/1048006871.db2.gz WSSDSYRIFGDDJF-SJORKVTESA-N 0 3 323.358 4.310 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(c2cncn2C)CC1 ZINC001198772105 1048007701 /nfs/dbraw/zinc/00/77/01/1048007701.db2.gz YOOZKTWFIDDLOE-ZDUSSCGKSA-N 0 3 303.837 4.014 20 0 DIADHN CC[C@@H](C)N1CCc2cccc(NC(=O)OC(C)(C)C)c2C1 ZINC001198844675 1048009143 /nfs/dbraw/zinc/00/91/43/1048009143.db2.gz QLMONUBUWPCGIO-CYBMUJFWSA-N 0 3 304.434 4.190 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCC2(C)C)nnn1-c1ccc(Cl)cc1 ZINC000554097361 1048010392 /nfs/dbraw/zinc/01/03/92/1048010392.db2.gz YIGARQLBQSOQGY-XHDPSFHLSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(CCOC1CCCCCC1)C2 ZINC001198853674 1048013033 /nfs/dbraw/zinc/01/30/33/1048013033.db2.gz ZJKSUPYDXKGYMZ-UHFFFAOYSA-N 0 3 301.474 4.401 20 0 DIADHN C[C@H](NCc1ccc2c(c1)ncn2C)c1ccc(Cl)s1 ZINC000775912391 1048014233 /nfs/dbraw/zinc/01/42/33/1048014233.db2.gz BWIWCPFUCIPHFM-JTQLQIEISA-N 0 3 305.834 4.139 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccccc2Cl)N(C)C)c(F)c1 ZINC001198918552 1048015615 /nfs/dbraw/zinc/01/56/15/1048015615.db2.gz LZGDULPHVDLORS-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@H](C)c1ccccc1 ZINC001198943362 1048017699 /nfs/dbraw/zinc/01/76/99/1048017699.db2.gz XVMPPIHGCCFGJJ-CQSZACIVSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@H](NCc1ccc(Oc2cnccn2)cc1)c1ccccc1 ZINC001198942869 1048019107 /nfs/dbraw/zinc/01/91/07/1048019107.db2.gz IYVPPKLBELFFQK-HNNXBMFYSA-N 0 3 305.381 4.120 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(Br)ccc2N)ccn1 ZINC001160278784 1048020955 /nfs/dbraw/zinc/02/09/55/1048020955.db2.gz VUPFFOVTUUBPFO-UHFFFAOYSA-N 0 3 320.234 4.467 20 0 DIADHN Cn1cc(CNCc2ccc(Cl)s2)c(-c2cccs2)n1 ZINC001199076980 1048034158 /nfs/dbraw/zinc/03/41/58/1048034158.db2.gz BKJPCTBJJSGOLM-UHFFFAOYSA-N 0 3 323.874 4.153 20 0 DIADHN Cc1ccc(N)c(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001160335738 1048035924 /nfs/dbraw/zinc/03/59/24/1048035924.db2.gz FBCXELCTOLGMKP-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN CC(C)CCCNCc1cnn(Cc2ccccc2)c1Cl ZINC001199117564 1048039199 /nfs/dbraw/zinc/03/91/99/1048039199.db2.gz DHKRJGAOZWQFAR-UHFFFAOYSA-N 0 3 305.853 4.111 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](c2ccco2)N2CCCCC2)o1 ZINC000480078788 1048044717 /nfs/dbraw/zinc/04/47/17/1048044717.db2.gz APFRCGHQYVNRDM-DOTOQJQBSA-N 0 3 316.445 4.313 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc(C2CCC2)nc1)C(C)C ZINC001199285020 1048049488 /nfs/dbraw/zinc/04/94/88/1048049488.db2.gz DOYYGWYLGMMMEY-UHFFFAOYSA-N 0 3 320.417 4.378 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNC[C@H]1C[C@H]1C ZINC001199276914 1048053754 /nfs/dbraw/zinc/05/37/54/1048053754.db2.gz VVXJSDIIBGYYSC-ZIAGYGMSSA-N 0 3 317.864 4.395 20 0 DIADHN Cc1ncc(Nc2ccc(F)c(OCc3ccccc3)c2)n1C ZINC001174989409 1048057490 /nfs/dbraw/zinc/05/74/90/1048057490.db2.gz MILCFCKHKORBOB-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CN(C)Cc1ccnc(N[C@@H]2[C@@H]3CC[C@@H](C3)[C@@H]2c2ccccc2)c1 ZINC001160508560 1048061431 /nfs/dbraw/zinc/06/14/31/1048061431.db2.gz HZUNRXFDSOPDQU-IZZBFERCSA-N 0 3 321.468 4.137 20 0 DIADHN COc1cc(Nc2cccc3c2OCC[C@H]3N(C)C)ccc1C ZINC001175017612 1048073664 /nfs/dbraw/zinc/07/36/64/1048073664.db2.gz WZHBEZGCCCALDJ-QGZVFWFLSA-N 0 3 312.413 4.132 20 0 DIADHN CC[C@H](C)C(=O)Nc1cccc(CNC/C=C\c2ccccc2)c1 ZINC001199571450 1048075906 /nfs/dbraw/zinc/07/59/06/1048075906.db2.gz PMBBNSOUJHILKR-UEOUDEGLSA-N 0 3 322.452 4.474 20 0 DIADHN C[C@H](NCCOc1cccc(Cl)c1)c1ccc(Cl)cn1 ZINC000480168420 1048076888 /nfs/dbraw/zinc/07/68/88/1048076888.db2.gz SZYUYFZLKVRTCM-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(Cl)cn1 ZINC000480172251 1048078158 /nfs/dbraw/zinc/07/81/58/1048078158.db2.gz SAVVTIUJYQIIKP-JCKWVBRZSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1ccn(C2CCCC2)n1 ZINC000480182229 1048082386 /nfs/dbraw/zinc/08/23/86/1048082386.db2.gz PBXVXMHZAQOKHZ-LBPRGKRZSA-N 0 3 303.372 4.209 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccc(Cl)cn2)C12CCCC2 ZINC000480185226 1048084001 /nfs/dbraw/zinc/08/40/01/1048084001.db2.gz VNEUEZFQLMEYBJ-APHBMKBZSA-N 0 3 308.853 4.123 20 0 DIADHN COC[C@@H](NC[C@@H]1CCC(F)(F)C1)c1cccc(Cl)c1F ZINC000554375521 1048085506 /nfs/dbraw/zinc/08/55/06/1048085506.db2.gz QTMIZTPAOKFQMG-ZWNOBZJWSA-N 0 3 321.770 4.192 20 0 DIADHN Cc1cc([C@H](N[C@H]2CC[C@H](F)C2)c2cccnc2)ccc1F ZINC000554375597 1048085878 /nfs/dbraw/zinc/08/58/78/1048085878.db2.gz SNDIALUKCOFCBD-BQFCYCMXSA-N 0 3 302.368 4.099 20 0 DIADHN CCOc1cc(F)c(Nc2ccc3c(c2)CN(C)CC3)cc1F ZINC001175059145 1048086939 /nfs/dbraw/zinc/08/69/39/1048086939.db2.gz UAGMVHZNJUPOAP-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1nc(C(F)(F)F)cs1 ZINC000480198891 1048088656 /nfs/dbraw/zinc/08/86/56/1048088656.db2.gz JJMGDOZTKNETHW-ZETCQYMHSA-N 0 3 320.302 4.373 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)cc1)c1ccc(Cl)cn1 ZINC000480191518 1048089867 /nfs/dbraw/zinc/08/98/67/1048089867.db2.gz BGZVMPZJTAASKJ-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CCN(CCN[C@@H](C)c1ccc(Cl)cn1)c1ccccc1C ZINC000480230762 1048098586 /nfs/dbraw/zinc/09/85/86/1048098586.db2.gz RNLOHHVHVNEPFV-HNNXBMFYSA-N 0 3 317.864 4.221 20 0 DIADHN CCCCC[C@H](NCc1nnc2n1CCCCC2)C(C)(C)C ZINC000776023749 1048099533 /nfs/dbraw/zinc/09/95/33/1048099533.db2.gz OSXLBUWYFNHCRP-HNNXBMFYSA-N 0 3 306.498 4.089 20 0 DIADHN COc1ncc(CN[C@H](c2ccccc2C)C2CC2)cc1Cl ZINC000776023736 1048100281 /nfs/dbraw/zinc/10/02/81/1048100281.db2.gz IYKVXYGXGKRITK-KRWDZBQOSA-N 0 3 316.832 4.293 20 0 DIADHN CC(C)(CNCc1coc(-c2cccs2)n1)c1ccccc1 ZINC001199777839 1048101177 /nfs/dbraw/zinc/10/11/77/1048101177.db2.gz YOCYYDDELHHQBV-UHFFFAOYSA-N 0 3 312.438 4.471 20 0 DIADHN CC(C)[C@H]1N(C(=O)[C@@H](C)N2CCCCCC2)CC12CCCCC2 ZINC001161238330 1048117691 /nfs/dbraw/zinc/11/76/91/1048117691.db2.gz SOJPOXAAOZQISQ-QZTJIDSGSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc(N(C)C(C)C)nc1 ZINC000480334446 1048123735 /nfs/dbraw/zinc/12/37/35/1048123735.db2.gz KYTCOLYWJBYELL-LJQANCHMSA-N 0 3 309.457 4.012 20 0 DIADHN C[C@H]1C[C@@]1(NCc1ccc2[nH]cnc2c1)c1ccc(Cl)cc1 ZINC000554547621 1048125299 /nfs/dbraw/zinc/12/52/99/1048125299.db2.gz MAGCKQJUDKEEDM-SGTLLEGYSA-N 0 3 311.816 4.241 20 0 DIADHN C[C@H]1C[C@@]1(NCc1ccc2nc[nH]c2c1)c1ccc(Cl)cc1 ZINC000554547621 1048125302 /nfs/dbraw/zinc/12/53/02/1048125302.db2.gz MAGCKQJUDKEEDM-SGTLLEGYSA-N 0 3 311.816 4.241 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCC23CCOCC3)c2ccccn2)cc1 ZINC000554558023 1048129926 /nfs/dbraw/zinc/12/99/26/1048129926.db2.gz UGXKGAMRPVXUKM-PMACEKPBSA-N 0 3 322.452 4.028 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2CCC23CCOCC3)c2ccccn2)cc1 ZINC000554558026 1048129962 /nfs/dbraw/zinc/12/99/62/1048129962.db2.gz UGXKGAMRPVXUKM-WOJBJXKFSA-N 0 3 322.452 4.028 20 0 DIADHN CCc1nc(CNCC2(c3ccc(F)cc3)CCC2)cs1 ZINC001199951985 1048132351 /nfs/dbraw/zinc/13/23/51/1048132351.db2.gz NWLASJKRUDPHOJ-UHFFFAOYSA-N 0 3 304.434 4.056 20 0 DIADHN c1ccc2ncc(CNCc3ccc(OCC4CC4)cc3)cc2c1 ZINC000480364250 1048132290 /nfs/dbraw/zinc/13/22/90/1048132290.db2.gz MGZJGAQVDPCDHO-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)CC)nc1)c1ccc(Cl)s1 ZINC000480394170 1048137233 /nfs/dbraw/zinc/13/72/33/1048137233.db2.gz HRMBJZZBICTDIW-CYBMUJFWSA-N 0 3 323.893 4.494 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1C[C@H]1CCC=CO1 ZINC000774840487 1048144110 /nfs/dbraw/zinc/14/41/10/1048144110.db2.gz QMFSWNUAGHFIQC-UONOGXRCSA-N 0 3 309.812 4.179 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC(Cc3nccs3)CC2)c1 ZINC001175156790 1048147191 /nfs/dbraw/zinc/14/71/91/1048147191.db2.gz SNWIAPPOFPEVMC-IRXDYDNUSA-N 0 3 316.445 4.093 20 0 DIADHN Cc1cc(CN[C@@H]2c3cccc(F)c3CC[C@@H]2C)cnc1F ZINC001200864558 1048149513 /nfs/dbraw/zinc/14/95/13/1048149513.db2.gz XVZGPTHYYLCBGL-GTNSWQLSSA-N 0 3 302.368 4.081 20 0 DIADHN CCCC[C@@H](CCC)NCc1cn(-c2ccccc2C)nn1 ZINC001200910315 1048154241 /nfs/dbraw/zinc/15/42/41/1048154241.db2.gz BLXPQLFEIUQMAH-MRXNPFEDSA-N 0 3 300.450 4.024 20 0 DIADHN Cc1ncc(Nc2cc(F)cc(OCc3ccccc3)c2)n1C ZINC001175138460 1048162078 /nfs/dbraw/zinc/16/20/78/1048162078.db2.gz WBUDLIWJPLKUBW-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN c1cn(-c2ccccc2)nc1CNC1(Cc2ccccc2)CCC1 ZINC001200971287 1048163680 /nfs/dbraw/zinc/16/36/80/1048163680.db2.gz JKINLZGYPFJALQ-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN CC(C)Oc1cc(F)cc(Nc2ccc3c(c2)CN(C)CC3)c1 ZINC001175145970 1048167889 /nfs/dbraw/zinc/16/78/89/1048167889.db2.gz YXCODDGOJFRJPY-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN CCCCO[C@@H]1C[C@@H]([NH2+]Cc2cc([O-])cc(Cl)c2)C1(C)C ZINC001200952198 1048168843 /nfs/dbraw/zinc/16/88/43/1048168843.db2.gz QZYHFKOBPXMZBT-HZPDHXFCSA-N 0 3 311.853 4.119 20 0 DIADHN C[C@@H]1CN(Cc2ccc(O[C@H]3CCOC3)cc2)[C@@H]1c1ccccc1 ZINC000667689650 1048170296 /nfs/dbraw/zinc/17/02/96/1048170296.db2.gz FFMWDAMOLXAWRL-CZAAIQMYSA-N 0 3 323.436 4.047 20 0 DIADHN CCOC(=O)c1ccc(CN2CC=C(c3ccccc3)CC2)cc1 ZINC001142599450 1048173128 /nfs/dbraw/zinc/17/31/28/1048173128.db2.gz JCCIPKTXLDYWGR-UHFFFAOYSA-N 0 3 321.420 4.153 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(C)ccc3C)c2C1 ZINC001175164185 1048178109 /nfs/dbraw/zinc/17/81/09/1048178109.db2.gz XYYNELQRCLCYSU-UHFFFAOYSA-N 0 3 315.486 4.459 20 0 DIADHN COCCN(C)[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000480581004 1048184931 /nfs/dbraw/zinc/18/49/31/1048184931.db2.gz RHDBVDMWKHHJKE-QGZVFWFLSA-N 0 3 307.796 4.147 20 0 DIADHN COCCN(C)[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000480581002 1048186113 /nfs/dbraw/zinc/18/61/13/1048186113.db2.gz RHDBVDMWKHHJKE-KRWDZBQOSA-N 0 3 307.796 4.147 20 0 DIADHN CCN(CCC(=O)c1ccc(C)cc1)[C@H](C)c1cccc(O)c1 ZINC000480594801 1048189130 /nfs/dbraw/zinc/18/91/30/1048189130.db2.gz XISILOWRPCPTKZ-MRXNPFEDSA-N 0 3 311.425 4.357 20 0 DIADHN CCc1ccccc1NC(=S)Nc1cccc(CN(C)C)c1 ZINC001201144705 1048200950 /nfs/dbraw/zinc/20/09/50/1048200950.db2.gz DOXJRJFFBVXZPZ-UHFFFAOYSA-N 0 3 313.470 4.120 20 0 DIADHN Cc1cc(CNC2(c3ccc(Cl)cc3)CC2)cc(C)c1O ZINC000554861242 1048204644 /nfs/dbraw/zinc/20/46/44/1048204644.db2.gz KQUHCGUMAZGFHA-UHFFFAOYSA-N 0 3 301.817 4.441 20 0 DIADHN Cc1ncc(Nc2ccc(OCc3ccccc3)cc2C)n1C ZINC001175229390 1048205596 /nfs/dbraw/zinc/20/55/96/1048205596.db2.gz FCNGERQGUUPMAI-UHFFFAOYSA-N 0 3 307.397 4.360 20 0 DIADHN Fc1ccc(CNC2CC(c3cc(F)cc(F)c3)C2)c(F)c1 ZINC000554854793 1048206266 /nfs/dbraw/zinc/20/62/66/1048206266.db2.gz YNFZOEYNMIAJSN-UHFFFAOYSA-N 0 3 309.306 4.279 20 0 DIADHN CCc1nc(CN[C@H](c2ccc(C(C)(C)C)cc2)C(C)C)n[nH]1 ZINC000554852521 1048207488 /nfs/dbraw/zinc/20/74/88/1048207488.db2.gz HWWVCATXTAVMNY-SFHVURJKSA-N 0 3 314.477 4.152 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1cn(C(C)C)nn1 ZINC000554856104 1048209882 /nfs/dbraw/zinc/20/98/82/1048209882.db2.gz JDMQKHYLUHBKBL-IBGZPJMESA-N 0 3 314.477 4.011 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccc3c(c2)CCC3)cn1 ZINC000480713413 1048228516 /nfs/dbraw/zinc/22/85/16/1048228516.db2.gz MCTRPMJIDMFZGJ-OAHLLOKOSA-N 0 3 310.441 4.208 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccc3c(c2)CCC3)cn1 ZINC000480713412 1048228765 /nfs/dbraw/zinc/22/87/65/1048228765.db2.gz MCTRPMJIDMFZGJ-HNNXBMFYSA-N 0 3 310.441 4.208 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(OC(C)C)nc2)cc(C)c1F ZINC000480725636 1048231861 /nfs/dbraw/zinc/23/18/61/1048231861.db2.gz ZASORCQCDJRDGU-OAHLLOKOSA-N 0 3 316.420 4.476 20 0 DIADHN Cc1cc(Br)cc(CNCc2ccc(F)cc2)c1 ZINC000774942135 1048233727 /nfs/dbraw/zinc/23/37/27/1048233727.db2.gz IBRPDBVBJMAPJQ-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NC[C@H](O)c1ccco1 ZINC000776153579 1048234051 /nfs/dbraw/zinc/23/40/51/1048234051.db2.gz FSHVWKDSKFNZNZ-CJNGLKHVSA-N 0 3 313.397 4.281 20 0 DIADHN Fc1ccc([C@@H](NCCNc2ccccn2)c2ccccc2)cc1 ZINC000480779538 1048240037 /nfs/dbraw/zinc/24/00/37/1048240037.db2.gz QCXTVXRCHNWJQK-FQEVSTJZSA-N 0 3 321.399 4.012 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](c2cccs2)C2CC2)cc1O ZINC000554987951 1048244136 /nfs/dbraw/zinc/24/41/36/1048244136.db2.gz OEPGCLGXUZKJCC-DIFFPNOSSA-N 0 3 303.427 4.264 20 0 DIADHN [O-]c1ccc(F)c(Nc2cccc(C[NH+]3CCCC3)c2)c1F ZINC001175264225 1048244760 /nfs/dbraw/zinc/24/47/60/1048244760.db2.gz CGGXGIWZTBEWBE-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN [O-]c1ccc(F)c(Nc2cccc(C[NH+]3CCCCC3)c2)c1F ZINC001175266385 1048246088 /nfs/dbraw/zinc/24/60/88/1048246088.db2.gz ZKDNTVBAYAFREJ-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN C[C@@H](NC/C=C\Cl)c1ccc(OCc2cccnc2)cc1 ZINC000774970395 1048260420 /nfs/dbraw/zinc/26/04/20/1048260420.db2.gz DAZMPVZQFRVPLX-YZGHKGICSA-N 0 3 302.805 4.064 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CO[C@H](C4CC4)C3)oc21 ZINC000667770137 1048267931 /nfs/dbraw/zinc/26/79/31/1048267931.db2.gz PJYISGPDWNIRHE-QITLCBANSA-N 0 3 315.413 4.050 20 0 DIADHN CCc1cc(Cl)nc(NCCP(C(C)C)C(C)C)n1 ZINC001162363592 1048269067 /nfs/dbraw/zinc/26/90/67/1048269067.db2.gz UKCSMXGGZNGXHX-UHFFFAOYSA-N 0 3 301.802 4.403 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(C)n1 ZINC001201470189 1048277047 /nfs/dbraw/zinc/27/70/47/1048277047.db2.gz MAXLTNZZIDGRAT-UXHICEINSA-N 0 3 319.452 4.431 20 0 DIADHN Cc1cc(C)c(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001201470185 1048277236 /nfs/dbraw/zinc/27/72/36/1048277236.db2.gz LVYFMYOSOJNXSJ-UXHICEINSA-N 0 3 319.452 4.431 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nc(Cl)ccc1Br ZINC001201449342 1048277868 /nfs/dbraw/zinc/27/78/68/1048277868.db2.gz AGKPWXCYGXKRCP-KOLCDFICSA-N 0 3 317.658 4.166 20 0 DIADHN CC(C)n1c(CNCCCc2cccs2)nc2ccccc21 ZINC001201449356 1048278674 /nfs/dbraw/zinc/27/86/74/1048278674.db2.gz AWHLBRXOJFRKDB-UHFFFAOYSA-N 0 3 313.470 4.401 20 0 DIADHN COC(=O)CCCCCCNc1ccc2cnc(C)cc2c1 ZINC001162434462 1048281677 /nfs/dbraw/zinc/28/16/77/1048281677.db2.gz TUNWVRDLWYIEFP-UHFFFAOYSA-N 0 3 300.402 4.079 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2nc3ccccc3s2)C1 ZINC000480996825 1048284548 /nfs/dbraw/zinc/28/45/48/1048284548.db2.gz GCZJPUCJSPSUGD-CYBMUJFWSA-N 0 3 306.500 4.404 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(F)n1 ZINC001201470853 1048293146 /nfs/dbraw/zinc/29/31/46/1048293146.db2.gz XFEPTPDWZKYSQU-MSOLQXFVSA-N 0 3 323.415 4.262 20 0 DIADHN S=c1[nH]cccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201474852 1048294362 /nfs/dbraw/zinc/29/43/62/1048294362.db2.gz NTEUKNHYVVKJNX-SJORKVTESA-N 0 3 323.465 4.103 20 0 DIADHN Cc1cnc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(C)c1 ZINC001201475855 1048296133 /nfs/dbraw/zinc/29/61/33/1048296133.db2.gz ZWFADIGSHALTMY-UXHICEINSA-N 0 3 319.452 4.431 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2ccc(Cl)c(F)c2)on1 ZINC001175419039 1048300455 /nfs/dbraw/zinc/30/04/55/1048300455.db2.gz RMPNMYZZLBOVBU-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](c1ccc(Cl)cc1)c1cnccn1 ZINC000481084245 1048304348 /nfs/dbraw/zinc/30/43/48/1048304348.db2.gz BMZXYNLRTIVPRZ-CXAGYDPISA-N 0 3 303.837 4.197 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CO[C@H](C3CC3)C2)c2ccccc2)cc1 ZINC000667812698 1048305304 /nfs/dbraw/zinc/30/53/04/1048305304.db2.gz UKZZCFYQNRMMAA-SLFFLAALSA-N 0 3 311.400 4.072 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@H]3CCCCC3(C)C)CCO2)cc1 ZINC001175437518 1048306285 /nfs/dbraw/zinc/30/62/85/1048306285.db2.gz YVYKKYKSYAUYEE-MJGOQNOKSA-N 0 3 317.473 4.285 20 0 DIADHN CC1(C)CCC(CN2CCN(c3ccc(F)cc3F)CC2)CC1 ZINC001175462352 1048310955 /nfs/dbraw/zinc/31/09/55/1048310955.db2.gz QIPZTWPLTZJORS-UHFFFAOYSA-N 0 3 322.443 4.303 20 0 DIADHN c1ccc(COC[C@H](NC[C@@H]2CCC=CO2)c2ccccc2)cc1 ZINC000775024602 1048312368 /nfs/dbraw/zinc/31/23/68/1048312368.db2.gz QVFHRAKDEGQNDL-SFTDATJTSA-N 0 3 323.436 4.227 20 0 DIADHN c1cc2n(c1)CCN(CCc1ccccc1)[C@H]2c1ccccc1 ZINC001201596372 1048314070 /nfs/dbraw/zinc/31/40/70/1048314070.db2.gz XVDLRKZYCFQEHJ-NRFANRHFSA-N 0 3 302.421 4.136 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1 ZINC000154931974 1048319058 /nfs/dbraw/zinc/31/90/58/1048319058.db2.gz JWGVDCGYRCWRNR-ZDUSSCGKSA-N 0 3 314.385 4.017 20 0 DIADHN COc1ccc2cc(CNCc3cc(C)cc(OC)c3)ccc2c1 ZINC001201599915 1048322659 /nfs/dbraw/zinc/32/26/59/1048322659.db2.gz CDDLXCBALPTEOL-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN COc1cccc(CN2CCC[C@H](OC3CCC3)CC2)c1Cl ZINC001175486774 1048324000 /nfs/dbraw/zinc/32/40/00/1048324000.db2.gz YTPHVHOPSVCSDU-INIZCTEOSA-N 0 3 323.864 4.272 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CC[C@H](C)C[C@@H]3C)o2)cc1 ZINC000481184070 1048325105 /nfs/dbraw/zinc/32/51/05/1048325105.db2.gz DNKIHRBXIDZXAQ-GRDNDAEWSA-N 0 3 314.429 4.265 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCC[C@H](C)[C@H]3C)o2)cc1 ZINC000481189121 1048328520 /nfs/dbraw/zinc/32/85/20/1048328520.db2.gz JSRQOVOVMBNXPJ-JJRVBVJISA-N 0 3 314.429 4.265 20 0 DIADHN Fc1ccc(NC[C@@H]2CCC(F)(F)C2)cc1CN1CCCC1 ZINC001162655335 1048329717 /nfs/dbraw/zinc/32/97/17/1048329717.db2.gz KESABTJQBGHHBX-CYBMUJFWSA-N 0 3 312.379 4.269 20 0 DIADHN c1ccc(CN2CC=C(Nc3cnccc3C3CC3)CC2)cc1 ZINC001175505299 1048339280 /nfs/dbraw/zinc/33/92/80/1048339280.db2.gz TWZFTYVCJRUDSU-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc4cccnc4c3)CC2)cc1 ZINC001175505412 1048339412 /nfs/dbraw/zinc/33/94/12/1048339412.db2.gz PGMXIXKVGRIBDC-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN c1nc2cccc(NC3=CCN(Cc4ccccc4)CC3)c2s1 ZINC001175506248 1048340725 /nfs/dbraw/zinc/34/07/25/1048340725.db2.gz QKQFZUBTIQRNNB-UHFFFAOYSA-N 0 3 321.449 4.498 20 0 DIADHN COc1cc(C)ccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506240 1048340955 /nfs/dbraw/zinc/34/09/55/1048340955.db2.gz QETXEPOMAQDZCG-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN COc1cccc(NC2=CCN(Cc3ccccc3)CC2)c1C ZINC001175506095 1048342711 /nfs/dbraw/zinc/34/27/11/1048342711.db2.gz NXTQOMMMXBDQFW-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN Cn1ccc2c1cccc2NC1=CCN(Cc2ccccc2)CC1 ZINC001175506573 1048344283 /nfs/dbraw/zinc/34/42/83/1048344283.db2.gz GXAYNALDEFQEFZ-UHFFFAOYSA-N 0 3 317.436 4.380 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc4c(c3)N=NC4)CC2)cc1 ZINC001175506852 1048345482 /nfs/dbraw/zinc/34/54/82/1048345482.db2.gz GVJBMYYMMYTBSD-UHFFFAOYSA-N 0 3 304.397 4.486 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000667854110 1048346500 /nfs/dbraw/zinc/34/65/00/1048346500.db2.gz CGNIWRLKNYAVFL-KDOFPFPSSA-N 0 3 315.461 4.016 20 0 DIADHN Cc1c(O)ccc(NC2=CCN(Cc3ccccc3)CC2)c1C ZINC001175506827 1048346720 /nfs/dbraw/zinc/34/67/20/1048346720.db2.gz OMFLJSPVFNTFNN-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(F)c2ccccc21 ZINC001162764980 1048351974 /nfs/dbraw/zinc/35/19/74/1048351974.db2.gz CESYHPCDJYNVKT-LBPRGKRZSA-N 0 3 305.356 4.338 20 0 DIADHN COc1cc(C)c(-n2c3ccccc3nc2[C@H](C)N)cc1Cl ZINC001162765780 1048353848 /nfs/dbraw/zinc/35/38/48/1048353848.db2.gz JZBCAFXQWMRIHT-NSHDSACASA-N 0 3 315.804 4.016 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(F)c(C(F)(F)F)c1 ZINC001162766553 1048353927 /nfs/dbraw/zinc/35/39/27/1048353927.db2.gz PJBCXCLQALOCNP-SECBINFHSA-N 0 3 323.293 4.203 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cccc(-c2cccnc2)c1 ZINC001162776796 1048356413 /nfs/dbraw/zinc/35/64/13/1048356413.db2.gz CTDUQBRTKHMCPH-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cccnc1-c1ccccc1 ZINC001162776879 1048356746 /nfs/dbraw/zinc/35/67/46/1048356746.db2.gz FQQATUNCUQZABK-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cc2c(Cl)cccc2cn1 ZINC001162776780 1048357221 /nfs/dbraw/zinc/35/72/21/1048357221.db2.gz CJZKMSBEQCYVQN-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccc(OC2CCCC2)nc1 ZINC000481303579 1048359035 /nfs/dbraw/zinc/35/90/35/1048359035.db2.gz BWFPCMGQHWMQRG-YCRPNKLZSA-N 0 3 322.452 4.426 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OC3CCCC3)nc1)CC2 ZINC000481321716 1048364944 /nfs/dbraw/zinc/36/49/44/1048364944.db2.gz LZLBWOLUAMLEPY-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN CC(C)CN(CCC(F)(F)F)[C@@H]1CCCc2cccnc21 ZINC000481314503 1048365692 /nfs/dbraw/zinc/36/56/92/1048365692.db2.gz UGEWNHHURDTWSD-CQSZACIVSA-N 0 3 300.368 4.369 20 0 DIADHN CCc1cccc(Nc2ccc(N3CCN(C)CC3)cc2C)c1 ZINC001175553154 1048371965 /nfs/dbraw/zinc/37/19/65/1048371965.db2.gz FLVJOCOPCFSAGS-UHFFFAOYSA-N 0 3 309.457 4.053 20 0 DIADHN COc1ccc(Oc2ccc(NC3=CCCN(C)C3)cc2)cc1 ZINC001175578623 1048375121 /nfs/dbraw/zinc/37/51/21/1048375121.db2.gz HSPNFOVSQAYNOO-UHFFFAOYSA-N 0 3 310.397 4.119 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(C(=O)OC)c(C)c2)cc1 ZINC001201802887 1048375062 /nfs/dbraw/zinc/37/50/62/1048375062.db2.gz FFVITHPLJQRWRM-HNNXBMFYSA-N 0 3 311.425 4.195 20 0 DIADHN Cc1cc(-c2ccc(NC3=CCCN(C)C3)c(C)c2)ccc1N ZINC001175580697 1048378216 /nfs/dbraw/zinc/37/82/16/1048378216.db2.gz QKJJRFJRFSNONX-UHFFFAOYSA-N 0 3 307.441 4.184 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000481349933 1048378559 /nfs/dbraw/zinc/37/85/59/1048378559.db2.gz PEHYKDCRJSSZGP-MFKMUULPSA-N 0 3 312.335 4.467 20 0 DIADHN COc1ccc(C[C@H](C)CNCc2c(F)cc(C)cc2F)cc1 ZINC001201786408 1048380076 /nfs/dbraw/zinc/38/00/76/1048380076.db2.gz JOBKNHJWFKZGKI-AWEZNQCLSA-N 0 3 319.395 4.250 20 0 DIADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000667872772 1048383359 /nfs/dbraw/zinc/38/33/59/1048383359.db2.gz KCBZBFQZOFADCK-IXBGWNDUSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000667872775 1048384081 /nfs/dbraw/zinc/38/40/81/1048384081.db2.gz KCBZBFQZOFADCK-RMLVOYDJSA-N 0 3 305.805 4.304 20 0 DIADHN Cc1ccc2ccccc2c1NC(=O)c1ccc(CN(C)C)cc1 ZINC001187277664 1048387458 /nfs/dbraw/zinc/38/74/58/1048387458.db2.gz MDRJRSCTCHVNBR-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN CCCOc1ccc(NC(=O)[C@H](C(C)C)N(C)C)cc1C(C)C ZINC001175641551 1048387414 /nfs/dbraw/zinc/38/74/14/1048387414.db2.gz LLKLBRMDECGMPU-SFHVURJKSA-N 0 3 320.477 4.124 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc3cc(F)ccc3c2)cc1 ZINC001187294741 1048390716 /nfs/dbraw/zinc/39/07/16/1048390716.db2.gz BCKVPLOPJRYECI-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN CCc1ccc([C@@H](C)NCC(=O)Nc2ccccc2CC)cc1 ZINC000192852800 1048394264 /nfs/dbraw/zinc/39/42/64/1048394264.db2.gz QODRPEMBVKJEKE-OAHLLOKOSA-N 0 3 310.441 4.101 20 0 DIADHN CC(C)CCN[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000481427854 1048400064 /nfs/dbraw/zinc/40/00/64/1048400064.db2.gz ZAKFENRQVFQZOL-IBGZPJMESA-N 0 3 307.441 4.298 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCc2nc(C3CC3)ncc2C1 ZINC001201981473 1048405712 /nfs/dbraw/zinc/40/57/12/1048405712.db2.gz OICBXZQXIBFWET-INIZCTEOSA-N 0 3 313.489 4.485 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCc2nc(C3CC3)ncc2C1 ZINC001201981476 1048406080 /nfs/dbraw/zinc/40/60/80/1048406080.db2.gz OICBXZQXIBFWET-MRXNPFEDSA-N 0 3 313.489 4.485 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@@H](CC4CC4)C3)nc2c1 ZINC000555697374 1048423409 /nfs/dbraw/zinc/42/34/09/1048423409.db2.gz YWNNGMOKAHOEHI-LBPRGKRZSA-N 0 3 324.346 4.469 20 0 DIADHN Cc1ccc(-c2noc(CN3CC(C)(C)[C@H]4CCC[C@H]43)n2)cc1 ZINC000555712658 1048425240 /nfs/dbraw/zinc/42/52/40/1048425240.db2.gz QIJJSASXPWHBLF-JKSUJKDBSA-N 0 3 311.429 4.056 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(C)c(F)c(F)c3)C2)cc1 ZINC001143610092 1048425651 /nfs/dbraw/zinc/42/56/51/1048425651.db2.gz QXBSHWKFNOCJBE-INIZCTEOSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccco1 ZINC000481545503 1048430156 /nfs/dbraw/zinc/43/01/56/1048430156.db2.gz NQYXEIFWLDCMEB-RYUDHWBXSA-N 0 3 313.319 4.417 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CC[C@@H]2CCCC2(F)F)CC1 ZINC001175750615 1048434683 /nfs/dbraw/zinc/43/46/83/1048434683.db2.gz WZAABBQCYAFPJT-WMZOPIPTSA-N 0 3 322.443 4.191 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CC[C@@H]2CCCC2(F)F)CC1 ZINC001175750612 1048434887 /nfs/dbraw/zinc/43/48/87/1048434887.db2.gz WZAABBQCYAFPJT-AEFFLSMTSA-N 0 3 322.443 4.191 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CC[C@H]2CCCC2(F)F)CC1 ZINC001175750614 1048434951 /nfs/dbraw/zinc/43/49/51/1048434951.db2.gz WZAABBQCYAFPJT-SJLPKXTDSA-N 0 3 322.443 4.191 20 0 DIADHN Brc1sccc1CNC1(Cc2ccccc2)CC1 ZINC001202065998 1048436839 /nfs/dbraw/zinc/43/68/39/1048436839.db2.gz GRMPKAKWQQDIQJ-UHFFFAOYSA-N 0 3 322.271 4.376 20 0 DIADHN Cc1ccc(Br)cc1N[C@H]1CNCc2ccsc21 ZINC001163226742 1048440726 /nfs/dbraw/zinc/44/07/26/1048440726.db2.gz KYPVBBVYEZWNSZ-ZDUSSCGKSA-N 0 3 323.259 4.075 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccc3c(c1)nc1cc[nH]cc3-1)CNC2 ZINC001163230203 1048440732 /nfs/dbraw/zinc/44/07/32/1048440732.db2.gz NACYCUNYUFUINX-QGZVFWFLSA-N 0 3 320.421 4.034 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccc(-c3ccncc3)cc1)CNC2 ZINC001163227635 1048441536 /nfs/dbraw/zinc/44/15/36/1048441536.db2.gz BJTIREVTKSMZBZ-QGZVFWFLSA-N 0 3 307.422 4.067 20 0 DIADHN Cc1cc(OC(C)C)ccc1N[C@@H]1CNCc2ccsc21 ZINC001163228879 1048441696 /nfs/dbraw/zinc/44/16/96/1048441696.db2.gz DXAODYRKHLIBKX-MRXNPFEDSA-N 0 3 302.443 4.100 20 0 DIADHN CCOc1c(C)cc(C)cc1N[C@@H]1CNCc2ccsc21 ZINC001163229806 1048441715 /nfs/dbraw/zinc/44/17/15/1048441715.db2.gz LLFZVTWMCFVRDV-OAHLLOKOSA-N 0 3 302.443 4.020 20 0 DIADHN CCN1CCN(c2ccc(Nc3cc(Cl)cs3)cc2)CC1 ZINC001175780908 1048450157 /nfs/dbraw/zinc/45/01/57/1048450157.db2.gz BQERWTFXBQHEEW-UHFFFAOYSA-N 0 3 321.877 4.287 20 0 DIADHN C[C@H](NCC1(CCO)CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000157516336 1048450528 /nfs/dbraw/zinc/45/05/28/1048450528.db2.gz KJINEIRLZHHWIY-VIFPVBQESA-N 0 3 322.663 4.460 20 0 DIADHN C[C@@H](NCC1(CCO)CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000157516462 1048450881 /nfs/dbraw/zinc/45/08/81/1048450881.db2.gz KJINEIRLZHHWIY-SECBINFHSA-N 0 3 322.663 4.460 20 0 DIADHN CN(C)Cc1ccnc(N[C@H]2CCC[C@@H](c3ccccc3)C2)c1 ZINC001163330716 1048452036 /nfs/dbraw/zinc/45/20/36/1048452036.db2.gz DANAJXGCBXXTSR-MOPGFXCFSA-N 0 3 309.457 4.282 20 0 DIADHN FC(F)(F)CCCCN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481677132 1048456063 /nfs/dbraw/zinc/45/60/63/1048456063.db2.gz OWNIISQJHLQGKA-INIZCTEOSA-N 0 3 313.363 4.241 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(Cl)c(C)c1Cl ZINC001202142521 1048462124 /nfs/dbraw/zinc/46/21/24/1048462124.db2.gz IESVHYUIBVFSKJ-KBPBESRZSA-N 0 3 302.245 4.349 20 0 DIADHN Cc1c(C)c(C)c(CN2CCc3nc[nH]c3C23CCC3)c(C)c1C ZINC001175839986 1048462831 /nfs/dbraw/zinc/46/28/31/1048462831.db2.gz ZEBNKDDTJUHNHV-UHFFFAOYSA-N 0 3 323.484 4.389 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(-c3cccc(F)c3F)o2)O1 ZINC001202110673 1048463645 /nfs/dbraw/zinc/46/36/45/1048463645.db2.gz HTWHDNLFWZVLMU-ZDUSSCGKSA-N 0 3 321.367 4.272 20 0 DIADHN C[C@H](CC1CCCCC1)NCc1cccnc1Br ZINC001202194973 1048478265 /nfs/dbraw/zinc/47/82/65/1048478265.db2.gz ZKFVQJORKIWFSI-GFCCVEGCSA-N 0 3 311.267 4.293 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1cccc(-n2cccn2)c1 ZINC000481729606 1048470871 /nfs/dbraw/zinc/47/08/71/1048470871.db2.gz NGXITBOAVATRDI-BBRMVZONSA-N 0 3 323.362 4.035 20 0 DIADHN CCCC1(CCC)CCCN1Cc1cc(C(F)(F)F)nn1C ZINC001175897745 1048477326 /nfs/dbraw/zinc/47/73/26/1048477326.db2.gz CWBQQYDAGAXECJ-UHFFFAOYSA-N 0 3 317.399 4.374 20 0 DIADHN CCOc1ccc(CN2CCC[C@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000481752598 1048477900 /nfs/dbraw/zinc/47/79/00/1048477900.db2.gz SWVKJBWCPYMCOE-WFASDCNBSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc2ncccc2c1 ZINC000481767856 1048479757 /nfs/dbraw/zinc/47/97/57/1048479757.db2.gz LKAGAGLNMRBZFP-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@@H]2CCSC2)cc1Cl ZINC001202269182 1048496511 /nfs/dbraw/zinc/49/65/11/1048496511.db2.gz FDLGYQKATUMEBS-LLVKDONJSA-N 0 3 320.285 4.376 20 0 DIADHN Cc1ccc(CNC2CC(F)(c3cccc(Cl)c3)C2)nc1 ZINC000481829666 1048496765 /nfs/dbraw/zinc/49/67/65/1048496765.db2.gz HASZYNZUKJFEIE-UHFFFAOYSA-N 0 3 304.796 4.160 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@H]2CCSC2)cc1Cl ZINC001202269184 1048496842 /nfs/dbraw/zinc/49/68/42/1048496842.db2.gz FDLGYQKATUMEBS-NSHDSACASA-N 0 3 320.285 4.376 20 0 DIADHN FC(F)(F)CCN1CC[C@@H](c2ccc(Br)cc2)C1 ZINC001202345963 1048518231 /nfs/dbraw/zinc/51/82/31/1048518231.db2.gz HLESMNIPIPSTRH-LLVKDONJSA-N 0 3 322.168 4.191 20 0 DIADHN Cc1cc(C)cc(CNc2ccc([C@@H]3CCCCN3C)cn2)c1 ZINC001163751384 1048516670 /nfs/dbraw/zinc/51/66/70/1048516670.db2.gz UMZVMCUCRFEOGT-IBGZPJMESA-N 0 3 309.457 4.467 20 0 DIADHN CCOc1ccc(NC(=O)[C@@H](C)N(C)C[C@H](C)C(C)(C)C)cc1 ZINC001175983816 1048517639 /nfs/dbraw/zinc/51/76/39/1048517639.db2.gz QXXBGZAQWAPFBC-LSDHHAIUSA-N 0 3 320.477 4.026 20 0 DIADHN CN1Cc2c(Nc3cscn3)cccc2[C@@H](c2ccccc2)C1 ZINC001175945615 1048523704 /nfs/dbraw/zinc/52/37/04/1048523704.db2.gz UGCNBWKTCHXUKC-MRXNPFEDSA-N 0 3 321.449 4.464 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(CCCC(F)(F)F)C1 ZINC001202414559 1048542937 /nfs/dbraw/zinc/54/29/37/1048542937.db2.gz CPIMEONNTQRXKP-CQSZACIVSA-N 0 3 301.352 4.181 20 0 DIADHN Cc1cnc(CCN(C)Cc2cc3c(cc(C)cc3C)[nH]2)s1 ZINC000482008431 1048543490 /nfs/dbraw/zinc/54/34/90/1048543490.db2.gz MPBMLSJTUGORCC-UHFFFAOYSA-N 0 3 313.470 4.224 20 0 DIADHN c1c[nH]c(Nc2cc(-c3ccccc3)nn2-c2ccccc2)n1 ZINC001176079001 1048547201 /nfs/dbraw/zinc/54/72/01/1048547201.db2.gz BUQJMRNWNOZSSR-UHFFFAOYSA-N 0 3 301.353 4.006 20 0 DIADHN FC(F)(F)Oc1cc(Nc2ncc[nH]2)c(Cl)cc1Cl ZINC001176090252 1048549224 /nfs/dbraw/zinc/54/92/24/1048549224.db2.gz AFINQVCMHTXPLS-UHFFFAOYSA-N 0 3 312.078 4.359 20 0 DIADHN CN(C)c1cccc(CN2Cc3ccccc3OC3(CCC3)C2)c1 ZINC001176052561 1048554829 /nfs/dbraw/zinc/55/48/29/1048554829.db2.gz PFQRNIQBWAYVRR-UHFFFAOYSA-N 0 3 322.452 4.070 20 0 DIADHN Cc1ccc(F)c(CCNCc2ccnc(Cl)c2Cl)c1 ZINC001202427732 1048557991 /nfs/dbraw/zinc/55/79/91/1048557991.db2.gz SNNBQWDBEYXVCD-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN Cc1cccc(OC2CCN(CCCC(F)(F)F)CC2)c1 ZINC001202433317 1048560923 /nfs/dbraw/zinc/56/09/23/1048560923.db2.gz LUTXCOVTFKSRDF-UHFFFAOYSA-N 0 3 301.352 4.181 20 0 DIADHN C[C@H](NCCCOc1ccccc1F)c1cccc(F)c1F ZINC000776305306 1048561902 /nfs/dbraw/zinc/56/19/02/1048561902.db2.gz GFZPAPYBNLXNLQ-LBPRGKRZSA-N 0 3 309.331 4.224 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OCC3CC3)nc2)cc1C ZINC000482121429 1048563557 /nfs/dbraw/zinc/56/35/57/1048563557.db2.gz LIXTWRADTADHRX-INIZCTEOSA-N 0 3 310.441 4.338 20 0 DIADHN CCCC[C@H](O)CNC(C)(C)c1ccc(Cl)cc1Cl ZINC001252110706 1048565514 /nfs/dbraw/zinc/56/55/14/1048565514.db2.gz ODBNFWVEFICWJG-LBPRGKRZSA-N 0 3 304.261 4.369 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@]4(C3)OCc3ccccc34)[nH]c2c1 ZINC000667996908 1048582510 /nfs/dbraw/zinc/58/25/10/1048582510.db2.gz AFSNMOMNNNXFJE-NRFANRHFSA-N 0 3 318.420 4.108 20 0 DIADHN CCCN(Cc1cnn2ccccc12)[C@@H]1CCCc2cccnc21 ZINC000482286314 1048583380 /nfs/dbraw/zinc/58/33/80/1048583380.db2.gz VQIRCLLXDNCGKU-LJQANCHMSA-N 0 3 320.440 4.019 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNC(C)(C)c1ccc(F)cc1F ZINC001176178536 1048583718 /nfs/dbraw/zinc/58/37/18/1048583718.db2.gz YROKDSVVTOQMBQ-DYVFJYSZSA-N 0 3 311.416 4.385 20 0 DIADHN CCOc1ccc(CN2CCC(CCc3ccccc3)CC2)nc1 ZINC001176192975 1048590443 /nfs/dbraw/zinc/59/04/43/1048590443.db2.gz HMQHTXHCDWIWES-UHFFFAOYSA-N 0 3 324.468 4.325 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(n2cccn2)CC1 ZINC000109006995 1048606676 /nfs/dbraw/zinc/60/66/76/1048606676.db2.gz AXUYBMALYKASLI-QGZVFWFLSA-N 0 3 303.837 4.325 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)c[nH]1)c1cccs1 ZINC000194312655 1048612436 /nfs/dbraw/zinc/61/24/36/1048612436.db2.gz MRBPATCBWYGOCN-UHFFFAOYSA-N 0 3 311.454 4.206 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CCC(C)=C(C)C1 ZINC000482454465 1048612657 /nfs/dbraw/zinc/61/26/57/1048612657.db2.gz DUSGCNHYWHCXBG-UHFFFAOYSA-N 0 3 308.425 4.299 20 0 DIADHN CCc1ccc(-c2ccc(CN3CC=C(COC)CC3)o2)cc1 ZINC000482488541 1048616752 /nfs/dbraw/zinc/61/67/52/1048616752.db2.gz HDTPGOHSLVROGD-UHFFFAOYSA-N 0 3 311.425 4.288 20 0 DIADHN COCC1=CCN(Cc2ccc(-c3ccccc3F)s2)CC1 ZINC000482492672 1048618033 /nfs/dbraw/zinc/61/80/33/1048618033.db2.gz VOEGSZPNHLGOGO-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN CCc1nc(C)c(CN2CCC(c3cccc(Cl)c3)CC2)[nH]1 ZINC001203234680 1048630830 /nfs/dbraw/zinc/63/08/30/1048630830.db2.gz OPYITSVAZVOQIC-UHFFFAOYSA-N 0 3 317.864 4.314 20 0 DIADHN CCOCOc1ccc(CNCc2ccc3occc3c2)cc1 ZINC000850354650 1048637033 /nfs/dbraw/zinc/63/70/33/1048637033.db2.gz SGGFCOZVZLCNAE-UHFFFAOYSA-N 0 3 311.381 4.095 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2COC3(CCC3)C2)o1 ZINC000850368387 1048639968 /nfs/dbraw/zinc/63/99/68/1048639968.db2.gz XGKKIKFJMHLTNJ-OAHLLOKOSA-N 0 3 315.388 4.195 20 0 DIADHN CCCCOc1ccc(CN[C@@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850368282 1048640447 /nfs/dbraw/zinc/64/04/47/1048640447.db2.gz BJSAOEWDILGCLZ-UXHICEINSA-N 0 3 311.425 4.134 20 0 DIADHN CCc1ccc([C@H](C)C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000482598695 1048640877 /nfs/dbraw/zinc/64/08/77/1048640877.db2.gz UKCFHRIMEZVIPU-INIZCTEOSA-N 0 3 324.468 4.361 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H]1C[C@H](O)c2ccccc21 ZINC000850373192 1048642257 /nfs/dbraw/zinc/64/22/57/1048642257.db2.gz DNYOKEWOUHDJAG-IRXDYDNUSA-N 0 3 321.342 4.282 20 0 DIADHN CCCOc1ccc(CN[C@@H]2C[C@@H](O)c3ccccc32)c(C)c1 ZINC000850374621 1048643182 /nfs/dbraw/zinc/64/31/82/1048643182.db2.gz NFLPOCADMXJKEE-WOJBJXKFSA-N 0 3 311.425 4.052 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@@H]2CCCC23CC3)n1 ZINC000668081826 1048643933 /nfs/dbraw/zinc/64/39/33/1048643933.db2.gz BOPRPTFGXYQDDO-OAHLLOKOSA-N 0 3 302.418 4.372 20 0 DIADHN CO[C@@H](CNCc1ccc(CSC(F)F)o1)C1CCCC1 ZINC000850400205 1048647951 /nfs/dbraw/zinc/64/79/51/1048647951.db2.gz BOVWKDYYFKEBIL-AWEZNQCLSA-N 0 3 319.417 4.030 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCCC1SCCS1 ZINC000850407085 1048649323 /nfs/dbraw/zinc/64/93/23/1048649323.db2.gz KXMPMNFEAXGBJD-UHFFFAOYSA-N 0 3 321.467 4.358 20 0 DIADHN c1nc(CNCCC2SCCS2)sc1-c1ccccc1 ZINC000850407378 1048650278 /nfs/dbraw/zinc/65/02/78/1048650278.db2.gz ZIZPIHFZEFIBJN-UHFFFAOYSA-N 0 3 322.524 4.096 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000850424355 1048650996 /nfs/dbraw/zinc/65/09/96/1048650996.db2.gz DVSZTOKJZCYYQT-NWDGAFQWSA-N 0 3 316.470 4.497 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850421460 1048651411 /nfs/dbraw/zinc/65/14/11/1048651411.db2.gz VRHIHXUFNOIDIH-HUUCEWRRSA-N 0 3 311.425 4.432 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850424502 1048651699 /nfs/dbraw/zinc/65/16/99/1048651699.db2.gz JSBLICFGUQHDIP-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)cn1 ZINC000850433708 1048656717 /nfs/dbraw/zinc/65/67/17/1048656717.db2.gz LWXYIAYGDFGQEN-HUUCEWRRSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1ccc2cc(Cl)nc(N3CCN(C(C)(C)C)CC3)c2c1 ZINC001165091123 1048658844 /nfs/dbraw/zinc/65/88/44/1048658844.db2.gz MZJBEQZVDTZRKP-UHFFFAOYSA-N 0 3 317.864 4.117 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1ccc(SC(F)F)cc1 ZINC000850444230 1048658967 /nfs/dbraw/zinc/65/89/67/1048658967.db2.gz HXCBONURQAVFJL-RISCZKNCSA-N 0 3 323.412 4.384 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850448157 1048660960 /nfs/dbraw/zinc/66/09/60/1048660960.db2.gz QNUPILBODBNMNJ-OAHLLOKOSA-N 0 3 311.425 4.179 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCC(C)(C)C1=CCCCC1 ZINC000775319737 1048665807 /nfs/dbraw/zinc/66/58/07/1048665807.db2.gz YHFOGXGRTMFYFI-UHFFFAOYSA-N 0 3 316.276 4.343 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(C(F)F)nc1 ZINC000850463512 1048665882 /nfs/dbraw/zinc/66/58/82/1048665882.db2.gz ZWSNAXHVOQJVAE-LBPRGKRZSA-N 0 3 320.383 4.097 20 0 DIADHN CCc1ccc(CN2CCC(n3ncc4ccccc43)CC2)o1 ZINC001204395648 1048668658 /nfs/dbraw/zinc/66/86/58/1048668658.db2.gz WZEKPDFPVJJXTK-UHFFFAOYSA-N 0 3 309.413 4.029 20 0 DIADHN C[C@H](N[C@H]1C=CCC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000850511924 1048675289 /nfs/dbraw/zinc/67/52/89/1048675289.db2.gz QSNCQGWRXSTTSO-UWVGGRQHSA-N 0 3 319.298 4.259 20 0 DIADHN CC[C@H](NC[C@@H](O)c1cccc2ccccc21)c1ccc(F)cn1 ZINC000850516917 1048675537 /nfs/dbraw/zinc/67/55/37/1048675537.db2.gz PIGOHDRKHDNFPM-AZUAARDMSA-N 0 3 324.399 4.148 20 0 DIADHN CC(C)(C)Oc1cccc(CN(CCc2ccccc2)C2CC2)n1 ZINC001176411165 1048678463 /nfs/dbraw/zinc/67/84/63/1048678463.db2.gz GQADRJLAXADCNW-UHFFFAOYSA-N 0 3 324.468 4.466 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)C[C@H](O)CCC(C)C ZINC000482791079 1048681129 /nfs/dbraw/zinc/68/11/29/1048681129.db2.gz RIBLTBYLTBLEIN-LSDHHAIUSA-N 0 3 312.523 4.365 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850528185 1048681110 /nfs/dbraw/zinc/68/11/10/1048681110.db2.gz JGZWBSXPOYIOBY-AJSUPXSGSA-N 0 3 311.400 4.217 20 0 DIADHN O[C@@H]1C[C@H](N[C@H]2CCCc3c(Cl)cccc32)c2ccccc21 ZINC000850526710 1048681177 /nfs/dbraw/zinc/68/11/77/1048681177.db2.gz CWDHOGMCWYTBKI-GBESFXJTSA-N 0 3 313.828 4.485 20 0 DIADHN CCCCCC/C(=C/c1ccccc1)CNCC1OCCCO1 ZINC001203235283 1048681251 /nfs/dbraw/zinc/68/12/51/1048681251.db2.gz OKVJROKXYXEYRN-CYVLTUHYSA-N 0 3 317.473 4.393 20 0 DIADHN CC(C)[C@H](N[C@H]1C[C@H](O)c2ccccc21)c1ccc(F)c(F)c1 ZINC000850528743 1048681279 /nfs/dbraw/zinc/68/12/79/1048681279.db2.gz MTOVXPYPXXYDHB-FHWLQOOXSA-N 0 3 317.379 4.430 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850529202 1048682428 /nfs/dbraw/zinc/68/24/28/1048682428.db2.gz OHKLOESCZVUBHL-VHKYSDTDSA-N 0 3 311.425 4.304 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850529201 1048682745 /nfs/dbraw/zinc/68/27/45/1048682745.db2.gz OHKLOESCZVUBHL-PNHOKKKMSA-N 0 3 311.425 4.304 20 0 DIADHN COc1ccc([C@H](N[C@@H]2C[C@@H](O)c3ccccc32)C(C)C)cc1 ZINC000850530421 1048683565 /nfs/dbraw/zinc/68/35/65/1048683565.db2.gz YTHYJNHGKFTXDZ-VAMGGRTRSA-N 0 3 311.425 4.160 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC)C[C@H](C)CC ZINC000482842342 1048684755 /nfs/dbraw/zinc/68/47/55/1048684755.db2.gz LVBLVAQMGYWRIC-OAHLLOKOSA-N 0 3 304.478 4.118 20 0 DIADHN CCc1cccc(C)c1NC(=O)Nc1ccccc1CN(C)C ZINC001189982586 1048686158 /nfs/dbraw/zinc/68/61/58/1048686158.db2.gz YLJLKPJVBUGZRV-UHFFFAOYSA-N 0 3 311.429 4.263 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000850542126 1048686458 /nfs/dbraw/zinc/68/64/58/1048686458.db2.gz IDKFJSRSRKFWOD-DJJJIMSYSA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCO2 ZINC000850543370 1048686831 /nfs/dbraw/zinc/68/68/31/1048686831.db2.gz IGBDRUVJWTXXGZ-MOPGFXCFSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1ccc2[nH]ccc2c1NCC1CN(Cc2ccccc2)C1 ZINC001165442534 1048688120 /nfs/dbraw/zinc/68/81/20/1048688120.db2.gz PVYIKHKEQIDODQ-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN Cc1csc2ccc(NCC3CN(Cc4ccccc4)C3)nc12 ZINC001165427141 1048688467 /nfs/dbraw/zinc/68/84/67/1048688467.db2.gz KGOANSZHCKZQLH-UHFFFAOYSA-N 0 3 323.465 4.149 20 0 DIADHN Cc1cnc(NCC2CN(Cc3ccccc3)C2)c2ccccc12 ZINC001165427016 1048688544 /nfs/dbraw/zinc/68/85/44/1048688544.db2.gz HMRMFRFDTSGURZ-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1ccc(NCC2CN(Cc3ccccc3)C2)c2cc[nH]c21 ZINC001165468125 1048689634 /nfs/dbraw/zinc/68/96/34/1048689634.db2.gz BQVSUZGDBOBHQM-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN Cc1ccc(NCC2CN(Cc3ccccc3)C2)c2ncccc12 ZINC001165453803 1048689917 /nfs/dbraw/zinc/68/99/17/1048689917.db2.gz JJDAYSLWRITZHV-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1cc(Cl)cc(NCC2CN(Cc3ccccc3)C2)c1 ZINC001165452987 1048690101 /nfs/dbraw/zinc/69/01/01/1048690101.db2.gz DHTBRTSTLXRDPC-UHFFFAOYSA-N 0 3 300.833 4.192 20 0 DIADHN Cc1ccnc2cc(NCC3CN(Cc4ccccc4)C3)ccc12 ZINC001165452922 1048690892 /nfs/dbraw/zinc/69/08/92/1048690892.db2.gz BNQSRCKSZZRAIK-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@@H](C)[C@H]1C ZINC000482863061 1048691770 /nfs/dbraw/zinc/69/17/70/1048691770.db2.gz FKUYSRDWUCVFGZ-UTUOFQBUSA-N 0 3 305.809 4.181 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(-c3ccc(C(C)C)cc3)s2)C1 ZINC000482917549 1048705645 /nfs/dbraw/zinc/70/56/45/1048705645.db2.gz GYOGKPVSCLGNQG-INIZCTEOSA-N 0 3 316.470 4.154 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1CCCc1ccccc1 ZINC001176534154 1048710374 /nfs/dbraw/zinc/71/03/74/1048710374.db2.gz WSQCENHLGJTDLY-DBXWQHBBSA-N 0 3 309.453 4.470 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)CC2CC2)nnn1-c1ccc(Cl)cc1 ZINC000482962520 1048713031 /nfs/dbraw/zinc/71/30/31/1048713031.db2.gz QIQVJRUSRIXGAE-RYUDHWBXSA-N 0 3 318.852 4.068 20 0 DIADHN C[C@@H](C(=O)NCC1CC(C)(C)CC(C)(C)C1)N1CCCCCC1 ZINC001165786475 1048717991 /nfs/dbraw/zinc/71/79/91/1048717991.db2.gz ZDSLJJPNRPZURN-INIZCTEOSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1ccc(COC)cc1 ZINC001190332558 1048719796 /nfs/dbraw/zinc/71/97/96/1048719796.db2.gz UERSDNFTCJTYDZ-QGZVFWFLSA-N 0 3 301.455 4.404 20 0 DIADHN Cc1nn(C)cc1CN1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 ZINC001190382620 1048724784 /nfs/dbraw/zinc/72/47/84/1048724784.db2.gz KIIUFKBKRKERQM-LJQANCHMSA-N 0 3 311.473 4.363 20 0 DIADHN COC(=O)[C@@H](CC(C)C)N1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001176568589 1048728758 /nfs/dbraw/zinc/72/87/58/1048728758.db2.gz WEDABQHBMRLYOP-QZTJIDSGSA-N 0 3 317.473 4.090 20 0 DIADHN COC(=O)[C@@H](CC(C)C)N1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001176568591 1048728825 /nfs/dbraw/zinc/72/88/25/1048728825.db2.gz WEDABQHBMRLYOP-ZWKOTPCHSA-N 0 3 317.473 4.090 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1ccc2ncsc2c1 ZINC000902498667 1048729129 /nfs/dbraw/zinc/72/91/29/1048729129.db2.gz HTXSWTNCAAEXEN-ZDUSSCGKSA-N 0 3 311.454 4.497 20 0 DIADHN Cc1cc(CN2CCC(Cc3cccc(F)c3)CC2)sn1 ZINC001190376349 1048731180 /nfs/dbraw/zinc/73/11/80/1048731180.db2.gz XNQBWTKPOUNIQX-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN CO[C@@H](CN(Cc1cccc(F)c1)C1CC1)c1ccc(F)cc1 ZINC001190416957 1048733221 /nfs/dbraw/zinc/73/32/21/1048733221.db2.gz FRORMUGFRMPCNS-IBGZPJMESA-N 0 3 317.379 4.317 20 0 DIADHN CN(Cc1nnc(-c2cccc(Cl)c2)o1)[C@H]1CCC(C)(C)C1 ZINC000483098768 1048734929 /nfs/dbraw/zinc/73/49/29/1048734929.db2.gz DHFNHTBGZAOVLF-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN CN(Cc1nnc(-c2ccc(Cl)cc2)o1)[C@@H]1CCC(C)(C)C1 ZINC000483105418 1048735913 /nfs/dbraw/zinc/73/59/13/1048735913.db2.gz PMSLIKGHSABDMP-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@@H]1CCC(C)(C)C1 ZINC000483120883 1048736387 /nfs/dbraw/zinc/73/63/87/1048736387.db2.gz UXSSECIPBPFGIT-MRXNPFEDSA-N 0 3 302.462 4.006 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@](C)(CC)c1ccccc1 ZINC000775386316 1048736671 /nfs/dbraw/zinc/73/66/71/1048736671.db2.gz CULIOCJDKBUCJE-HXUWFJFHSA-N 0 3 310.441 4.103 20 0 DIADHN Cc1cncc(CN2CCC(c3cccc(Cl)c3)CC2)c1 ZINC000483122517 1048737448 /nfs/dbraw/zinc/73/74/48/1048737448.db2.gz SIKYVFJYYZSUSP-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CCN(Cc1csc(-c2ccccc2OC)n1)CC1CCC1 ZINC000483120765 1048738744 /nfs/dbraw/zinc/73/87/44/1048738744.db2.gz NFYSFRZLFGTMMC-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN CN(Cc1nc2ccccc2n1C(F)F)[C@H]1CCC(C)(C)C1 ZINC000483118481 1048740240 /nfs/dbraw/zinc/74/02/40/1048740240.db2.gz QOIRZTWVXHMNCE-LBPRGKRZSA-N 0 3 307.388 4.442 20 0 DIADHN C[C@@H](NC[C@@H](c1c(F)cccc1F)N(C)C)c1ccccc1F ZINC000483133632 1048745211 /nfs/dbraw/zinc/74/52/11/1048745211.db2.gz ISYUAEFDIWEFCN-PXAZEXFGSA-N 0 3 322.374 4.057 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2ccc(C(C)C)cc2)C[C@]2(CCOC2)O1 ZINC000483147731 1048746737 /nfs/dbraw/zinc/74/67/37/1048746737.db2.gz BTGCVVSLAZXDTJ-AHRSYUTCSA-N 0 3 317.473 4.141 20 0 DIADHN CCCN(C/C=C(\C)C(=O)OC)[C@@H](C)c1cc2ccccc2o1 ZINC001190518956 1048748330 /nfs/dbraw/zinc/74/83/30/1048748330.db2.gz KQFWUCZTKIQVHH-IPJDOKCGSA-N 0 3 315.413 4.325 20 0 DIADHN Cc1ccc(F)cc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC001190528541 1048749525 /nfs/dbraw/zinc/74/95/25/1048749525.db2.gz LXJGSTZUWKSKLQ-HXUWFJFHSA-N 0 3 313.416 4.315 20 0 DIADHN CC[C@](C)(NCc1nc2ccccc2nc1C)c1ccccc1 ZINC000775390244 1048751751 /nfs/dbraw/zinc/75/17/51/1048751751.db2.gz KQAWHAOBNBZFQN-FQEVSTJZSA-N 0 3 305.425 4.353 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483238039 1048758281 /nfs/dbraw/zinc/75/82/81/1048758281.db2.gz ZXWRADYMGHTWHT-LLVKDONJSA-N 0 3 312.335 4.325 20 0 DIADHN C[C@H]1CC[C@H](C)N(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483222599 1048759615 /nfs/dbraw/zinc/75/96/15/1048759615.db2.gz UKCONUHWXUIJOM-QWRGUYRKSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@@H](C(C)(C)C)C1 ZINC000483248423 1048761234 /nfs/dbraw/zinc/76/12/34/1048761234.db2.gz QNJOCEMXFCHDTD-IAGOWNOFSA-N 0 3 316.489 4.389 20 0 DIADHN CCN(C)c1ccc(CN(Cc2ccc(C)s2)C(C)C)cn1 ZINC000483270852 1048767336 /nfs/dbraw/zinc/76/73/36/1048767336.db2.gz NYMAZCKHCWIPHU-UHFFFAOYSA-N 0 3 317.502 4.318 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N1CCC[C@H](C)CC1 ZINC001190660481 1048767425 /nfs/dbraw/zinc/76/74/25/1048767425.db2.gz KBHFYUAHVSNKDE-JKSUJKDBSA-N 0 3 310.441 4.289 20 0 DIADHN COc1ccc(CN2CCC[C@H](C)CC2)c(Br)c1 ZINC001190660317 1048768177 /nfs/dbraw/zinc/76/81/77/1048768177.db2.gz HSMYMUJDMIUKTA-LBPRGKRZSA-N 0 3 312.251 4.080 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN(CC1CC1)C1CCC1 ZINC000483288423 1048772545 /nfs/dbraw/zinc/77/25/45/1048772545.db2.gz GYDVEJFLTBWUNW-UHFFFAOYSA-N 0 3 302.443 4.476 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2ccc(OCC3CC3)cc2)C1 ZINC000483308368 1048778144 /nfs/dbraw/zinc/77/81/44/1048778144.db2.gz JLMOCKNITJKHMM-IIBYNOLFSA-N 0 3 322.452 4.454 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483356271 1048781241 /nfs/dbraw/zinc/78/12/41/1048781241.db2.gz LKSXWFWCGIQKSN-RCBQFDQVSA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)c1ccc([C@H](C)N2C[C@@H](C)O[C@@]3(CCCOC3)C2)cc1 ZINC000483369666 1048782713 /nfs/dbraw/zinc/78/27/13/1048782713.db2.gz DUCITUAPGLCVBJ-UWVAXJGDSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]1CC(C)C ZINC001190768221 1048784405 /nfs/dbraw/zinc/78/44/05/1048784405.db2.gz AHJMOENRJRCOOW-HNNXBMFYSA-N 0 3 308.853 4.097 20 0 DIADHN CN(Cc1cn(C2CCC2)nn1)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000668240064 1048786949 /nfs/dbraw/zinc/78/69/49/1048786949.db2.gz XIHVOEGSTIKNGI-UXHICEINSA-N 0 3 324.472 4.161 20 0 DIADHN CCC[C@H]1CCCN1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001176782833 1048787703 /nfs/dbraw/zinc/78/77/03/1048787703.db2.gz OYXSPCCJHXHKMT-INIZCTEOSA-N 0 3 309.457 4.126 20 0 DIADHN COc1cc2c(cc1OC)CN([C@@H](C)c1ccccc1C)CC2 ZINC000483399499 1048789166 /nfs/dbraw/zinc/78/91/66/1048789166.db2.gz CDJLOIOOUMUJIH-HNNXBMFYSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483406772 1048789314 /nfs/dbraw/zinc/78/93/14/1048789314.db2.gz MFBMYAATTYYJSX-PHIMTYICSA-N 0 3 312.335 4.325 20 0 DIADHN CCS[C@@H](C)c1noc(CN(CC(C)C)C2CCCC2)n1 ZINC000483429885 1048797055 /nfs/dbraw/zinc/79/70/55/1048797055.db2.gz HLGSTFHMOKEJHK-ZDUSSCGKSA-N 0 3 311.495 4.284 20 0 DIADHN CC1(C)CN(Cc2ccc3c(c2)CCO3)CC[C@@H]1C(F)(F)F ZINC000483440557 1048799034 /nfs/dbraw/zinc/79/90/34/1048799034.db2.gz HBERWJJARUYDIM-HNNXBMFYSA-N 0 3 313.363 4.032 20 0 DIADHN COc1ccc(CN2CC[C@H](C(F)(F)F)C(C)(C)C2)cc1 ZINC000483437223 1048800528 /nfs/dbraw/zinc/80/05/28/1048800528.db2.gz HPWAJGCGVBQHJZ-AWEZNQCLSA-N 0 3 301.352 4.106 20 0 DIADHN Cc1cc(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)c(C)s1 ZINC001176932221 1048804051 /nfs/dbraw/zinc/80/40/51/1048804051.db2.gz GEINOOWKSVXORE-ORAYPTAESA-N 0 3 315.482 4.240 20 0 DIADHN Cc1ccc(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)cc1C ZINC001176932761 1048804610 /nfs/dbraw/zinc/80/46/10/1048804610.db2.gz QQIYHWXMWHAKLN-IERDGZPVSA-N 0 3 309.453 4.178 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CNC1([C@H]2CCCO2)CCC1 ZINC001176939624 1048804830 /nfs/dbraw/zinc/80/48/30/1048804830.db2.gz MKPQCGKDVDOSKW-CYBMUJFWSA-N 0 3 318.219 4.324 20 0 DIADHN Fc1cccc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)n1 ZINC000851823661 1048805393 /nfs/dbraw/zinc/80/53/93/1048805393.db2.gz MCPXSFJTZIUIPO-OAHLLOKOSA-N 0 3 309.388 4.082 20 0 DIADHN COc1ccc(Cl)c(CN(C)[C@@H]2CCc3ccccc3C2)c1 ZINC001176908778 1048806182 /nfs/dbraw/zinc/80/61/82/1048806182.db2.gz HXBQVSZHPADMBM-QGZVFWFLSA-N 0 3 315.844 4.338 20 0 DIADHN CN(Cc1cccc(F)c1Cl)[C@@H]1CCc2ccccc2C1 ZINC001176909490 1048806726 /nfs/dbraw/zinc/80/67/26/1048806726.db2.gz YTAMFZBYHBMLLN-MRXNPFEDSA-N 0 3 303.808 4.468 20 0 DIADHN Clc1ccc2ccc(CN3CCCC[C@]34CCOC4)nc2c1 ZINC001176977689 1048809954 /nfs/dbraw/zinc/80/99/54/1048809954.db2.gz NIWONTRJIJRTND-GOSISDBHSA-N 0 3 316.832 4.033 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001190966816 1048810977 /nfs/dbraw/zinc/81/09/77/1048810977.db2.gz ROGCTYQAMOOGGR-KBPBESRZSA-N 0 3 308.853 4.179 20 0 DIADHN CC(C)(C)c1cccc(CN[C@@H](CCO)c2cccs2)c1 ZINC000851926857 1048813242 /nfs/dbraw/zinc/81/32/42/1048813242.db2.gz WZNFPLIBRDYSIX-INIZCTEOSA-N 0 3 303.471 4.259 20 0 DIADHN O[C@@H](CN1CC=CC12CCCCC2)c1ccc(F)cc1Cl ZINC001176994657 1048814575 /nfs/dbraw/zinc/81/45/75/1048814575.db2.gz BIYDSQZMXKODAH-INIZCTEOSA-N 0 3 309.812 4.087 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2cc(F)cc3cccnc32)cc1 ZINC000138908018 1048820960 /nfs/dbraw/zinc/82/09/60/1048820960.db2.gz BBEJVZCVNCMWQT-IBGZPJMESA-N 0 3 322.383 4.417 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2cc(F)cc3cccnc32)cc1 ZINC000138907901 1048821325 /nfs/dbraw/zinc/82/13/25/1048821325.db2.gz BBEJVZCVNCMWQT-LJQANCHMSA-N 0 3 322.383 4.417 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCCOc1ccccc1 ZINC001177076540 1048824412 /nfs/dbraw/zinc/82/44/12/1048824412.db2.gz LBLFIMACVYELOS-UHFFFAOYSA-N 0 3 322.383 4.056 20 0 DIADHN O[C@H](CN1CC2(CCCCC2)[C@@H]1c1ccccc1)c1ccccn1 ZINC000852160462 1048826410 /nfs/dbraw/zinc/82/64/10/1048826410.db2.gz CYKDKTRMQMKLPH-UXHICEINSA-N 0 3 322.452 4.122 20 0 DIADHN COc1ccc(OCCNCc2cc(C(C)(C)C)oc2C)cc1 ZINC001177127962 1048827676 /nfs/dbraw/zinc/82/76/76/1048827676.db2.gz YDRRHDOEXHLTPH-UHFFFAOYSA-N 0 3 317.429 4.063 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1csc(COc2ccccc2)n1 ZINC001177093390 1048828430 /nfs/dbraw/zinc/82/84/30/1048828430.db2.gz PZCKLXHCSPTFIC-RHSMWYFYSA-N 0 3 316.470 4.390 20 0 DIADHN CCCC(CCC)N[C@@H](C)c1nc(-c2ccccc2OC)no1 ZINC000483648880 1048832116 /nfs/dbraw/zinc/83/21/16/1048832116.db2.gz FDYGFFRHZCVYPI-ZDUSSCGKSA-N 0 3 317.433 4.365 20 0 DIADHN CCCC(CCC)N[C@H](C)c1nc(-c2ccccc2OC)no1 ZINC000483648875 1048832183 /nfs/dbraw/zinc/83/21/83/1048832183.db2.gz FDYGFFRHZCVYPI-CYBMUJFWSA-N 0 3 317.433 4.365 20 0 DIADHN CCCC[C@@H](CC)N[C@H](C)c1nc(-c2ccccc2OC)no1 ZINC000483651802 1048832442 /nfs/dbraw/zinc/83/24/42/1048832442.db2.gz KKZBQCQYZJXHMS-ZIAGYGMSSA-N 0 3 317.433 4.365 20 0 DIADHN Fc1ccccc1OCCNCc1cnc(C2CCCC2)s1 ZINC001177166160 1048834993 /nfs/dbraw/zinc/83/49/93/1048834993.db2.gz HVEZBDIHUJCBNE-UHFFFAOYSA-N 0 3 320.433 4.108 20 0 DIADHN CCCCC[C@@H](C)NCc1ncc(Br)cc1F ZINC001177148811 1048838238 /nfs/dbraw/zinc/83/82/38/1048838238.db2.gz NWMSUPZCFJENFG-SNVBAGLBSA-N 0 3 303.219 4.042 20 0 DIADHN CC[C@H]1Oc2cc([C@@H](C)N[C@@H](C)c3ccccc3)ccc2NC1=O ZINC000173223031 1048840125 /nfs/dbraw/zinc/84/01/25/1048840125.db2.gz ZHIPIECPJBIILX-PMUMKWKESA-N 0 3 324.424 4.208 20 0 DIADHN Clc1ccc(CNCc2cccc3n[nH]cc32)cc1Cl ZINC001203156398 1048840489 /nfs/dbraw/zinc/84/04/89/1048840489.db2.gz XGNZHMLMTSRUTJ-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccco2)c(-c2ccnn2C)c1 ZINC000338946236 1048843007 /nfs/dbraw/zinc/84/30/07/1048843007.db2.gz WBOXXRLVFFDSHL-OAHLLOKOSA-N 0 3 309.413 4.182 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001191047707 1048846100 /nfs/dbraw/zinc/84/61/00/1048846100.db2.gz HRCIAJLALXRIPZ-IUODEOHRSA-N 0 3 304.796 4.425 20 0 DIADHN COc1ccc2ccccc2c1CNCc1ccc2c[nH]nc2c1 ZINC001177180969 1048847298 /nfs/dbraw/zinc/84/72/98/1048847298.db2.gz QYVNMKDWQDOKPN-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC[C@@H](c2nccs2)C1 ZINC000338948770 1048851012 /nfs/dbraw/zinc/85/10/12/1048851012.db2.gz PRWPQCXXCBWZAX-MRXNPFEDSA-N 0 3 315.486 4.350 20 0 DIADHN CC(C)[C@@H](O)CCN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000483748603 1048856701 /nfs/dbraw/zinc/85/67/01/1048856701.db2.gz SDBZYSCADHXURQ-XPTSAGLGSA-N 0 3 308.224 4.190 20 0 DIADHN C[C@@H](NCc1cncc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000852780200 1048861784 /nfs/dbraw/zinc/86/17/84/1048861784.db2.gz IYVMHTBDUQAJHF-OAHLLOKOSA-N 0 3 318.420 4.411 20 0 DIADHN COC(=O)Nc1ccc(CNCc2ccc(C3CC3)cc2)cc1 ZINC001177281843 1048867479 /nfs/dbraw/zinc/86/74/79/1048867479.db2.gz BQVXPYPTTCCHDE-UHFFFAOYSA-N 0 3 310.397 4.032 20 0 DIADHN CCCCN(CC[S@](=O)C(F)(F)F)[C@@H](C)c1ccccc1 ZINC001191164837 1048872442 /nfs/dbraw/zinc/87/24/42/1048872442.db2.gz NDEHWPMTEORZKZ-ZSEKCTLFSA-N 0 3 321.408 4.118 20 0 DIADHN CCN1CCN(c2ccc(NC[C@H]3CCCC[C@H]3C)cc2)CC1 ZINC001177379019 1048878931 /nfs/dbraw/zinc/87/89/31/1048878931.db2.gz IXFUFPBZUKGTAP-QZTJIDSGSA-N 0 3 315.505 4.067 20 0 DIADHN CCN1CCN(c2ccc(NC[C@H]3CCCC[C@@H]3C)cc2)CC1 ZINC001177379021 1048879003 /nfs/dbraw/zinc/87/90/03/1048879003.db2.gz IXFUFPBZUKGTAP-ZWKOTPCHSA-N 0 3 315.505 4.067 20 0 DIADHN CC[C@](C)(NCc1cnn(CC2CCC2)c1)c1ccc(F)cc1 ZINC001203357491 1048879596 /nfs/dbraw/zinc/87/95/96/1048879596.db2.gz JTLFYWALDYNTNN-IBGZPJMESA-N 0 3 315.436 4.237 20 0 DIADHN Cc1cc(CNCCOc2cccc(C(F)(F)F)c2)c(C)o1 ZINC001177359347 1048879971 /nfs/dbraw/zinc/87/99/71/1048879971.db2.gz PFNGCZRZNNKAQE-UHFFFAOYSA-N 0 3 313.319 4.084 20 0 DIADHN C[C@@H](NCc1cc2cccnc2o1)c1ccc2c(c1)CCCO2 ZINC000853094985 1048882215 /nfs/dbraw/zinc/88/22/15/1048882215.db2.gz QUTGKDXZONCMNP-CYBMUJFWSA-N 0 3 308.381 4.004 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cccnc21)c1ccc2c(c1)CCCO2 ZINC000853240786 1048890805 /nfs/dbraw/zinc/89/08/05/1048890805.db2.gz IGZUSXMDQPRDAX-KDOFPFPSSA-N 0 3 308.425 4.135 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@@H](C)[C@H]3C)co2)cc1 ZINC001191182061 1048892902 /nfs/dbraw/zinc/89/29/02/1048892902.db2.gz OXNZQNFCKWNIHI-HUUCEWRRSA-N 0 3 314.429 4.361 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@H](C)[C@H]3C)co2)cc1 ZINC001191182063 1048892960 /nfs/dbraw/zinc/89/29/60/1048892960.db2.gz OXNZQNFCKWNIHI-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN CN(CCCc1ccccc1)Cn1ccc(-c2ccsc2)n1 ZINC000111219771 1048895158 /nfs/dbraw/zinc/89/51/58/1048895158.db2.gz AGUJHIUWJFKDJE-UHFFFAOYSA-N 0 3 311.454 4.134 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3c(Cl)cccc3Cl)C[C@@H]21 ZINC001203419486 1048897020 /nfs/dbraw/zinc/89/70/20/1048897020.db2.gz QJUBUIOVWGXUTJ-WQGACYEGSA-N 0 3 314.256 4.240 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](C)N(C2CC2)C1)c1cc(Cl)sc1Cl ZINC000484290473 1048920475 /nfs/dbraw/zinc/92/04/75/1048920475.db2.gz DOVSGYJUKNBERV-LPEHRKFASA-N 0 3 319.301 4.331 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1ccc2c(c1)CCCC2 ZINC000484128184 1048903171 /nfs/dbraw/zinc/90/31/71/1048903171.db2.gz IUEFNVKRRWBGRU-IIBYNOLFSA-N 0 3 309.453 4.340 20 0 DIADHN CC(C)P(CCNC(=O)[C@H]1C[C@H]1c1cccs1)C(C)C ZINC001177551990 1048907670 /nfs/dbraw/zinc/90/76/70/1048907670.db2.gz RUZSDVCOMHCYQE-KGLIPLIRSA-N 0 3 311.431 4.267 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cc(OC)c(OC)cc1C ZINC000484181475 1048911454 /nfs/dbraw/zinc/91/14/54/1048911454.db2.gz SSLMIRXHQAKPBM-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN C[C@@H]1CCc2nc(CNCC[C@H]3CCCC3(F)F)sc2C1 ZINC001203478797 1048911709 /nfs/dbraw/zinc/91/17/09/1048911709.db2.gz IXSOSEXGPNPGQY-VXGBXAGGSA-N 0 3 314.445 4.183 20 0 DIADHN CC(C)(CNCc1nc2c(s1)CCC2)c1cccc(Cl)c1 ZINC001177542307 1048913114 /nfs/dbraw/zinc/91/31/14/1048913114.db2.gz NHHOVIPMOUZKLL-UHFFFAOYSA-N 0 3 320.889 4.353 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CCCC(C)(C)CC1 ZINC001191297903 1048918954 /nfs/dbraw/zinc/91/89/54/1048918954.db2.gz SKWMRQOOOACVLB-CQSZACIVSA-N 0 3 322.880 4.488 20 0 DIADHN C[C@H](N[C@H]1C[C@H](C)N(C2CC2)C1)c1cc(Cl)sc1Cl ZINC000484290471 1048919900 /nfs/dbraw/zinc/91/99/00/1048919900.db2.gz DOVSGYJUKNBERV-GUBZILKMSA-N 0 3 319.301 4.331 20 0 DIADHN Cc1nc(CNCC2(c3ccccc3C)CCCCC2)[nH]c1C ZINC001177599596 1048921363 /nfs/dbraw/zinc/92/13/63/1048921363.db2.gz MLYFBBYIWTYSEG-UHFFFAOYSA-N 0 3 311.473 4.327 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@H](C)c1ccccc1Br ZINC000484297326 1048922355 /nfs/dbraw/zinc/92/23/55/1048922355.db2.gz HWJHQAQAAZCNNP-VXGBXAGGSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@@H](C)c1ccccc1Br ZINC000484297322 1048922667 /nfs/dbraw/zinc/92/26/67/1048922667.db2.gz HWJHQAQAAZCNNP-NEPJUHHUSA-N 0 3 308.219 4.324 20 0 DIADHN CO[C@@H]1C[C@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1(C)C ZINC000484299183 1048923157 /nfs/dbraw/zinc/92/31/57/1048923157.db2.gz DVESZGHNXBUERH-UHOFOFEASA-N 0 3 316.470 4.274 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cncc(Cl)c2)C12CCCCC2 ZINC001191319999 1048924306 /nfs/dbraw/zinc/92/43/06/1048924306.db2.gz UFSCLJRDEJHKSX-SJORKVTESA-N 0 3 322.880 4.295 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)C3CCCCC3)o2)cc1 ZINC001177624118 1048924624 /nfs/dbraw/zinc/92/46/24/1048924624.db2.gz BWRNQZGYPBRIGB-LJQANCHMSA-N 0 3 313.441 4.286 20 0 DIADHN CCOc1ccccc1CN1CCC[C@@H]1c1ccc(OC)cc1 ZINC001203541121 1048925028 /nfs/dbraw/zinc/92/50/28/1048925028.db2.gz NNQFQXOGEJCEDU-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN CC1(NCc2cnc(-c3ccsc3)s2)CC(F)(F)C1 ZINC001203553598 1048925909 /nfs/dbraw/zinc/92/59/09/1048925909.db2.gz JCVIQCXKAJHGGJ-UHFFFAOYSA-N 0 3 300.399 4.149 20 0 DIADHN CCOc1cccc(CNC2(c3cccc(C)c3)CCCC2)n1 ZINC001177624336 1048926390 /nfs/dbraw/zinc/92/63/90/1048926390.db2.gz JXDOBQAJQRJKIV-UHFFFAOYSA-N 0 3 310.441 4.348 20 0 DIADHN Clc1ccc(C2(CNCc3cccnc3Cl)CC2)cc1 ZINC001177631689 1048926543 /nfs/dbraw/zinc/92/65/43/1048926543.db2.gz VBSWRKWTUPYANF-UHFFFAOYSA-N 0 3 307.224 4.210 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000484341276 1048929232 /nfs/dbraw/zinc/92/92/32/1048929232.db2.gz OMZHVFDGTUNDKX-LBPRGKRZSA-N 0 3 323.399 4.019 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1cn(-c2ccccc2C)nn1 ZINC001177656328 1048929523 /nfs/dbraw/zinc/92/95/23/1048929523.db2.gz JMDDMZZOCOPHCM-FUHWJXTLSA-N 0 3 312.461 4.024 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1cn(-c2ccccc2C)nn1 ZINC001177656327 1048930656 /nfs/dbraw/zinc/93/06/56/1048930656.db2.gz JMDDMZZOCOPHCM-AEFFLSMTSA-N 0 3 312.461 4.024 20 0 DIADHN C[C@H]1CN(Cc2cccc(OC(F)F)c2)[C@H]1c1ccccc1 ZINC001191399729 1048933042 /nfs/dbraw/zinc/93/30/42/1048933042.db2.gz LJQQCBHDMSDWTI-SUMWQHHRSA-N 0 3 303.352 4.481 20 0 DIADHN CCN(C)c1ccccc1CNCc1cc(C)c2ncccc2c1 ZINC001177693565 1048934690 /nfs/dbraw/zinc/93/46/90/1048934690.db2.gz TUQGFJSNNDLEQX-UHFFFAOYSA-N 0 3 319.452 4.289 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001177706955 1048935535 /nfs/dbraw/zinc/93/55/35/1048935535.db2.gz FLWKAXNQEDWTHB-SJORKVTESA-N 0 3 308.510 4.068 20 0 DIADHN COc1ccc(CNCc2ccc3[nH]ccc3c2)cc1Cl ZINC001203597114 1048939963 /nfs/dbraw/zinc/93/99/63/1048939963.db2.gz BRHQMZMTXFFWCR-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN COC/C(C)=C/CN(Cc1cc(F)ccc1F)C1CCCC1 ZINC001191443604 1048947485 /nfs/dbraw/zinc/94/74/85/1048947485.db2.gz CSGUNMXWEMQLAY-NTEUORMPSA-N 0 3 309.400 4.302 20 0 DIADHN Cc1nnsc1[C@@H](C)N1CC[C@@](C)(CCc2ccccc2)C1 ZINC001191443664 1048947917 /nfs/dbraw/zinc/94/79/17/1048947917.db2.gz FEJKQGGUOAXGHC-CRAIPNDOSA-N 0 3 315.486 4.252 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCOCc1ccccc1 ZINC000484498072 1048951662 /nfs/dbraw/zinc/95/16/62/1048951662.db2.gz GYCMIPFBEGNFBH-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1n[nH]c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC001177850634 1048953054 /nfs/dbraw/zinc/95/30/54/1048953054.db2.gz UPBHSAJVQGDIRQ-GLZQIGESSA-N 0 3 303.837 4.167 20 0 DIADHN C[C@H](N[C@H]1CN(C2CC2)C[C@H]1C)c1cc(Cl)sc1Cl ZINC000484503153 1048956370 /nfs/dbraw/zinc/95/63/70/1048956370.db2.gz LKDAWQLUMLQVHB-PTRXPTGYSA-N 0 3 319.301 4.188 20 0 DIADHN CC(C)(C)CCCN1CCN(Cc2ccccc2Cl)CC1 ZINC001191531077 1048958617 /nfs/dbraw/zinc/95/86/17/1048958617.db2.gz PCZZWCJNNJGLRK-UHFFFAOYSA-N 0 3 308.897 4.284 20 0 DIADHN O[C@@H](CNCc1cc2ccccc2o1)c1c(F)cccc1Cl ZINC001177920867 1048960520 /nfs/dbraw/zinc/96/05/20/1048960520.db2.gz XQGARCLRGYYUMB-HNNXBMFYSA-N 0 3 319.763 4.049 20 0 DIADHN CCC1(CC)CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001191541173 1048961568 /nfs/dbraw/zinc/96/15/68/1048961568.db2.gz OALFGEXHHUGNFA-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC001203683187 1048961662 /nfs/dbraw/zinc/96/16/62/1048961662.db2.gz ROYNRHWZVUQWJJ-DEQVHRJGSA-N 0 3 302.443 4.093 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2c(C)cccc2Cl)C12CCC2 ZINC001191550091 1048963918 /nfs/dbraw/zinc/96/39/18/1048963918.db2.gz JACDCOLRFVRUMK-DLBZAZTESA-N 0 3 307.865 4.428 20 0 DIADHN CCCCOc1ccc(CN[C@H](CO)c2sccc2C)cc1 ZINC001177933659 1048967157 /nfs/dbraw/zinc/96/71/57/1048967157.db2.gz SDGPDJJNGXZGGJ-QGZVFWFLSA-N 0 3 319.470 4.059 20 0 DIADHN COc1cccc(C(=O)C2CCN(Cc3ccccc3C)CC2)c1 ZINC001203700184 1048969396 /nfs/dbraw/zinc/96/93/96/1048969396.db2.gz KXZWGVXCUAQKLA-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN Cc1ccccc1CN1CCC2(CC(=O)c3ccc(F)cc32)CC1 ZINC001203700271 1048969557 /nfs/dbraw/zinc/96/95/57/1048969557.db2.gz QPDRUXGMECQGMW-UHFFFAOYSA-N 0 3 323.411 4.254 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1CC(C)(N(C)C)C1 ZINC001191633316 1048969991 /nfs/dbraw/zinc/96/99/91/1048969991.db2.gz NUSBXGKUMZYILH-UHFFFAOYSA-N 0 3 317.477 4.101 20 0 DIADHN O=c1[nH]cc(CNCc2ccc(F)c(Cl)c2)c2ccccc12 ZINC001203721045 1048977996 /nfs/dbraw/zinc/97/79/96/1048977996.db2.gz GFQYRFIBJJPNJH-UHFFFAOYSA-N 0 3 316.763 4.023 20 0 DIADHN CC(C)[C@H](NCc1nnc[nH]1)c1ccc(C2CCCCC2)cc1 ZINC001191664006 1048978549 /nfs/dbraw/zinc/97/85/49/1048978549.db2.gz IEMWBMHAVMCDMH-IBGZPJMESA-N 0 3 312.461 4.339 20 0 DIADHN Cc1ccc(Cl)c(NC(=O)Nc2ccccc2CN(C)C)c1 ZINC001191703650 1048980019 /nfs/dbraw/zinc/98/00/19/1048980019.db2.gz YUEDNSBBZUYLIB-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCOc1cc(C)ccc1CNC/C=C/c1ccc(F)cc1F ZINC001178161778 1048992199 /nfs/dbraw/zinc/99/21/99/1048992199.db2.gz XTKKMNSFSURTIO-SNAWJCMRSA-N 0 3 317.379 4.475 20 0 DIADHN Clc1ccc(CN2CCC[C@@H](Nc3ccccc3)C2)cc1 ZINC001191741642 1048995269 /nfs/dbraw/zinc/99/52/69/1048995269.db2.gz JNMBGAUKVUSOJE-GOSISDBHSA-N 0 3 300.833 4.417 20 0 DIADHN Cc1ccc(Br)cc1CN[C@H](C)c1cccc(O)c1 ZINC000194966800 1048996383 /nfs/dbraw/zinc/99/63/83/1048996383.db2.gz GBBUTAUUCOOCLU-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN CC(C)P(CCNC(=O)C(C)(C)Cc1ccccn1)C(C)C ZINC001178190023 1048996681 /nfs/dbraw/zinc/99/66/81/1048996681.db2.gz WYWVARCUWYMJMC-UHFFFAOYSA-N 0 3 322.433 4.065 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCN(c3c(F)cccc3F)C2)o1 ZINC000484624586 1048998481 /nfs/dbraw/zinc/99/84/81/1048998481.db2.gz ZSFZAANCYLHKDY-QWHCGFSZSA-N 0 3 320.383 4.050 20 0 DIADHN Clc1cccc(CN2CCC3(Cc4ccccc4O3)CC2)c1 ZINC001203800848 1049000308 /nfs/dbraw/zinc/00/03/08/1049000308.db2.gz GIXUWKZXRGVYSV-UHFFFAOYSA-N 0 3 313.828 4.310 20 0 DIADHN CC(C)P(CCNC(=O)C(C)(C)Cc1ccncc1)C(C)C ZINC001178256242 1049003149 /nfs/dbraw/zinc/00/31/49/1049003149.db2.gz RVVPRCXPNJBCCB-UHFFFAOYSA-N 0 3 322.433 4.065 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(F)cc(F)c2)C1(CC)CC ZINC001191820510 1049005504 /nfs/dbraw/zinc/00/55/04/1049005504.db2.gz DPPUXZDFYSDORB-IRXDYDNUSA-N 0 3 311.416 4.380 20 0 DIADHN C[C@@H](NCc1cc(-n2ccnc2)cs1)c1cccc(F)c1F ZINC001178237813 1049006636 /nfs/dbraw/zinc/00/66/36/1049006636.db2.gz IZZALROHDFSSEK-LLVKDONJSA-N 0 3 319.380 4.063 20 0 DIADHN Cc1cc(C)c(CCNCc2nc3cc(F)ccc3o2)c(C)c1 ZINC001178276391 1049008959 /nfs/dbraw/zinc/00/89/59/1049008959.db2.gz OWXYWMVABRAUTQ-UHFFFAOYSA-N 0 3 312.388 4.224 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cccc(OC)c1F ZINC001178268194 1049008987 /nfs/dbraw/zinc/00/89/87/1049008987.db2.gz YKIXCDIWMUQGBA-OAHLLOKOSA-N 0 3 319.445 4.495 20 0 DIADHN COc1cccc(CN2CCC(Sc3ccccc3)CC2)c1 ZINC001203825698 1049011445 /nfs/dbraw/zinc/01/14/45/1049011445.db2.gz OBGFZNDDXOVEKS-UHFFFAOYSA-N 0 3 313.466 4.452 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1ccc(OC(F)F)cc1)CCC2 ZINC001178286085 1049012038 /nfs/dbraw/zinc/01/20/38/1049012038.db2.gz WHRUGEWYPDYJFA-CYBMUJFWSA-N 0 3 324.396 4.220 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1cnc(-c3ccccc3)[nH]1)CCC2 ZINC001178285767 1049012271 /nfs/dbraw/zinc/01/22/71/1049012271.db2.gz SRJJNMVLYWUOTK-OAHLLOKOSA-N 0 3 324.453 4.009 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)cc1O ZINC001203869633 1049018043 /nfs/dbraw/zinc/01/80/43/1049018043.db2.gz IXNLDJLYKXSDMI-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN O[C@H]1CCCC[C@H]1CCNCc1cccc(Cl)c1Cl ZINC001203870952 1049018417 /nfs/dbraw/zinc/01/84/17/1049018417.db2.gz DLCKUZDHNYQSPB-FZMZJTMJSA-N 0 3 302.245 4.024 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3scnc3c2)cc1C ZINC001203872105 1049018443 /nfs/dbraw/zinc/01/84/43/1049018443.db2.gz LRHUCJWOAZGYEH-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN Oc1cccc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)c1 ZINC001203866401 1049018796 /nfs/dbraw/zinc/01/87/96/1049018796.db2.gz QBBGCOUTMLMVLZ-HXUWFJFHSA-N 0 3 311.400 4.011 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2csc(C3CC3)n2)CCO1 ZINC001178352333 1049019163 /nfs/dbraw/zinc/01/91/63/1049019163.db2.gz ZMLPXRNBUHWYIK-HOCLYGCPSA-N 0 3 308.491 4.094 20 0 DIADHN Cc1ncsc1CCCN[C@@H](c1ccccc1)c1ccccn1 ZINC001191947493 1049020042 /nfs/dbraw/zinc/02/00/42/1049020042.db2.gz DQUNKBLZGOZVLC-IBGZPJMESA-N 0 3 323.465 4.158 20 0 DIADHN Cc1ncsc1CCCN[C@H](c1ccccc1)c1ccccn1 ZINC001191947494 1049020488 /nfs/dbraw/zinc/02/04/88/1049020488.db2.gz DQUNKBLZGOZVLC-LJQANCHMSA-N 0 3 323.465 4.158 20 0 DIADHN OCc1csc(CNCC2(C3CCCCC3)CCCCC2)n1 ZINC001178373082 1049023298 /nfs/dbraw/zinc/02/32/98/1049023298.db2.gz FHDOKXPHRZCKBF-UHFFFAOYSA-N 0 3 322.518 4.256 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3ccc(C)cc3)cc2)CC1 ZINC000776807290 1049025629 /nfs/dbraw/zinc/02/56/29/1049025629.db2.gz VXJXJMBHAXZICF-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN Cc1cccc(CN2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)c1 ZINC001203895228 1049026213 /nfs/dbraw/zinc/02/62/13/1049026213.db2.gz PXRGXTKEWRJURF-CALCHBBNSA-N 0 3 315.420 4.025 20 0 DIADHN Cc1cccc(CN2CCC(C(=O)c3ccccc3F)CC2)c1 ZINC001203894757 1049026579 /nfs/dbraw/zinc/02/65/79/1049026579.db2.gz WHWUQSHXOCRKSX-UHFFFAOYSA-N 0 3 311.400 4.229 20 0 DIADHN CC[C@H](NCc1cnc2ccc(C)cn12)c1ccc(C)c(F)c1 ZINC001178361631 1049027361 /nfs/dbraw/zinc/02/73/61/1049027361.db2.gz XKLVIENAYRBKDG-SFHVURJKSA-N 0 3 311.404 4.331 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2cccc(OC3CC3)c2F)C1(C)C ZINC001178430905 1049028940 /nfs/dbraw/zinc/02/89/40/1049028940.db2.gz MVODYDBEXHNPKJ-DLBZAZTESA-N 0 3 321.436 4.049 20 0 DIADHN CC[C@H](CSC)NCc1cc(Cl)cc(Cl)c1OC ZINC001178365689 1049029796 /nfs/dbraw/zinc/02/97/96/1049029796.db2.gz OZERSNVHTDGWQL-LLVKDONJSA-N 0 3 308.274 4.233 20 0 DIADHN CCOc1cncc(CN[C@H](C)c2ccc3c(c2)CCCC3)c1 ZINC001178439530 1049030581 /nfs/dbraw/zinc/03/05/81/1049030581.db2.gz DMTJTBQIXREMPS-OAHLLOKOSA-N 0 3 310.441 4.210 20 0 DIADHN CCCc1ccc(CNCc2ccc(-c3nc(C)no3)cc2)cc1 ZINC001178436616 1049030742 /nfs/dbraw/zinc/03/07/42/1049030742.db2.gz MSFRYLUECWBYEH-UHFFFAOYSA-N 0 3 321.424 4.287 20 0 DIADHN Clc1ccc(CN2CCC(Cc3ccncc3)CC2)cc1 ZINC001203922946 1049032107 /nfs/dbraw/zinc/03/21/07/1049032107.db2.gz HPODWLKTRDOUGU-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CNCc1cccc(Cl)c1Cl ZINC001178404553 1049035705 /nfs/dbraw/zinc/03/57/05/1049035705.db2.gz ODDXDTYSHBXXIA-CQSZACIVSA-N 0 3 304.261 4.126 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H]2CCCc3sccc32)c1 ZINC001178426068 1049036303 /nfs/dbraw/zinc/03/63/03/1049036303.db2.gz RDJBATAMBRJINF-MRXNPFEDSA-N 0 3 305.418 4.453 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)C3CCC3)cs2)cc1 ZINC001178426064 1049036789 /nfs/dbraw/zinc/03/67/89/1049036789.db2.gz QWGMTDRFUKWJEV-LBPRGKRZSA-N 0 3 302.443 4.097 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2ccc(Oc3ccccc3)cc2)C1 ZINC001203943708 1049037319 /nfs/dbraw/zinc/03/73/19/1049037319.db2.gz JYMNREPPYZUIRW-FQEVSTJZSA-N 0 3 311.425 4.478 20 0 DIADHN FC(F)(F)CCN(CCCc1ccncc1)Cc1ccccc1 ZINC000484803313 1049042394 /nfs/dbraw/zinc/04/23/94/1049042394.db2.gz NKJDVYDLODGPMJ-UHFFFAOYSA-N 0 3 322.374 4.469 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001192158741 1049044711 /nfs/dbraw/zinc/04/47/11/1049044711.db2.gz LPGQPFYSYAZJSX-CQSZACIVSA-N 0 3 317.408 4.276 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC[C@@H]2CCC(C)C)cc1 ZINC001192159664 1049045056 /nfs/dbraw/zinc/04/50/56/1049045056.db2.gz SELXEXSTSCMGJW-GOSISDBHSA-N 0 3 302.462 4.088 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cccc2c1OC(C)(C)C2 ZINC001178509435 1049047213 /nfs/dbraw/zinc/04/72/13/1049047213.db2.gz JLBJYPBNHQQQPD-AWEZNQCLSA-N 0 3 315.379 4.467 20 0 DIADHN C[C@@H](NCc1cnc2c(cnn2C)c1)c1csc2ccccc12 ZINC001203975218 1049049592 /nfs/dbraw/zinc/04/95/92/1049049592.db2.gz ADTQBKDQTZKLAT-GFCCVEGCSA-N 0 3 322.437 4.034 20 0 DIADHN CC(C)C(CNCc1c(F)cncc1Br)C(C)C ZINC001178519321 1049050752 /nfs/dbraw/zinc/05/07/52/1049050752.db2.gz RFIPVRLSNYLJNE-UHFFFAOYSA-N 0 3 317.246 4.001 20 0 DIADHN CC(C)C[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001192198542 1049052278 /nfs/dbraw/zinc/05/22/78/1049052278.db2.gz KPYZAFHHNQWVMG-CABCVRRESA-N 0 3 322.880 4.425 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc3nccnc3c2)cc1 ZINC001178581691 1049057708 /nfs/dbraw/zinc/05/77/08/1049057708.db2.gz WIOSBQIHAGNBCI-ZDUSSCGKSA-N 0 3 309.438 4.203 20 0 DIADHN CCc1noc(C2CCN(Cc3ccc4ccccc4c3)CC2)n1 ZINC001203999405 1049057966 /nfs/dbraw/zinc/05/79/66/1049057966.db2.gz GROVKCCJZSXXRI-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2csc(C(F)(F)F)n2)[C@H]1C ZINC001178594737 1049058874 /nfs/dbraw/zinc/05/88/74/1049058874.db2.gz JTWZDKUEUGIUIT-OUAUKWLOSA-N 0 3 306.397 4.324 20 0 DIADHN CCSCCCNCc1c(Cl)cc(Cl)cc1N(C)C ZINC001178561605 1049061669 /nfs/dbraw/zinc/06/16/69/1049061669.db2.gz MCAHADZSGJSJTE-UHFFFAOYSA-N 0 3 321.317 4.292 20 0 DIADHN Clc1ccc(OC2CCN(Cc3cccs3)CC2)cc1 ZINC001204014014 1049063241 /nfs/dbraw/zinc/06/32/41/1049063241.db2.gz CXMQKUMCBSHPRY-UHFFFAOYSA-N 0 3 307.846 4.445 20 0 DIADHN CCCC1CCC(N(C)Cc2nc3cccnc3s2)CC1 ZINC000484865947 1049064057 /nfs/dbraw/zinc/06/40/57/1049064057.db2.gz LDJRMRPVEQVCGG-UHFFFAOYSA-N 0 3 303.475 4.482 20 0 DIADHN COc1cc(CN2CCC[C@H]2CCC2CCCCC2)sn1 ZINC001192232905 1049065881 /nfs/dbraw/zinc/06/58/81/1049065881.db2.gz AWTDJZUJBBYRIB-HNNXBMFYSA-N 0 3 308.491 4.477 20 0 DIADHN Cc1ccc2[nH]cc(CC[NH2+]Cc3cc([O-])cc(Cl)c3)c2c1 ZINC001204027065 1049066499 /nfs/dbraw/zinc/06/64/99/1049066499.db2.gz ACHXLKQZXRSWEK-UHFFFAOYSA-N 0 3 314.816 4.168 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCCc1cccc(Cl)c1 ZINC001178609353 1049067003 /nfs/dbraw/zinc/06/70/03/1049067003.db2.gz GAWZPDTWELNFCN-UHFFFAOYSA-N 0 3 312.244 4.001 20 0 DIADHN Cc1ccc(CN2CCC3(CC(=O)c4ccccc43)CC2)s1 ZINC001204035611 1049067877 /nfs/dbraw/zinc/06/78/77/1049067877.db2.gz OIDGMIGIYJGERX-UHFFFAOYSA-N 0 3 311.450 4.177 20 0 DIADHN c1cc(CN2CCC[C@@]3(C[C@H](c4ccccc4)CO3)C2)cs1 ZINC001204036170 1049068147 /nfs/dbraw/zinc/06/81/47/1049068147.db2.gz OUIPCOJHGOBKMX-RBUKOAKNSA-N 0 3 313.466 4.287 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3c[nH]c4ccccc34)CC2)c1 ZINC001204041217 1049069276 /nfs/dbraw/zinc/06/92/76/1049069276.db2.gz IKNCMGFUVFPUHV-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN COC(=O)c1ccc([C@H]2CCCCN2Cc2ccsc2)cc1 ZINC001204040896 1049069520 /nfs/dbraw/zinc/06/95/20/1049069520.db2.gz BZRNWRBESRZFTM-QGZVFWFLSA-N 0 3 315.438 4.262 20 0 DIADHN CNc1ccc(C)cc1CNC(C)(C)c1ccc(OC)c(F)c1 ZINC000904446830 1049069867 /nfs/dbraw/zinc/06/98/67/1049069867.db2.gz ZCFXXFBCGKCPGU-UHFFFAOYSA-N 0 3 316.420 4.209 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2cccc3c2CCC3)c2ccccc21 ZINC001204047280 1049070187 /nfs/dbraw/zinc/07/01/87/1049070187.db2.gz BSLGLESKLYDRHR-ZHRRBRCNSA-N 0 3 321.464 4.050 20 0 DIADHN CC(C)[C@@H](CNCc1nccn1C1CC1)c1ccc(Cl)cc1 ZINC001178654878 1049071025 /nfs/dbraw/zinc/07/10/25/1049071025.db2.gz QLFQKCSHIIEWRD-QGZVFWFLSA-N 0 3 317.864 4.401 20 0 DIADHN CC(C)COc1ccccc1CNCC1(C(F)(F)F)CC1 ZINC001178667988 1049072273 /nfs/dbraw/zinc/07/22/73/1049072273.db2.gz RUIHSRIXZKZYSQ-UHFFFAOYSA-N 0 3 301.352 4.154 20 0 DIADHN Clc1cccc(CC2CCN(Cc3ccncc3)CC2)c1 ZINC001204080723 1049077728 /nfs/dbraw/zinc/07/77/28/1049077728.db2.gz XFMALTQPRWAVIV-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H]3C[C@@H](C)Oc4ccccc43)ccc2O1 ZINC001202495170 1049077787 /nfs/dbraw/zinc/07/77/87/1049077787.db2.gz NPYSVVKEJMZWRI-PMUMKWKESA-N 0 3 309.409 4.012 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCN2Cc2cccnc2)cc1 ZINC001204076949 1049080078 /nfs/dbraw/zinc/08/00/78/1049080078.db2.gz BODVAXHVEGRBAT-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@@]1(C)CC1(Cl)Cl ZINC001178748237 1049080456 /nfs/dbraw/zinc/08/04/56/1049080456.db2.gz SFVPWXLDBAIVOQ-CQSZACIVSA-N 0 3 302.245 4.147 20 0 DIADHN Oc1ccc(Br)c(CNC[C@H]2C[C@H]2C2CCCC2)c1 ZINC001204089371 1049081508 /nfs/dbraw/zinc/08/15/08/1049081508.db2.gz MLKAUBCOLRZETK-DOMZBBRYSA-N 0 3 324.262 4.071 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CCC(C)(C2CC2)CC1 ZINC000568683607 1049082146 /nfs/dbraw/zinc/08/21/46/1049082146.db2.gz ORWQWMSCUIGYCI-AWEZNQCLSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CCN(C)c1ccccc1 ZINC001192302398 1049083226 /nfs/dbraw/zinc/08/32/26/1049083226.db2.gz JGRRHWXDNUJJMF-HOTGVXAUSA-N 0 3 312.432 4.270 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccnc2ccccc12 ZINC001204090785 1049084531 /nfs/dbraw/zinc/08/45/31/1049084531.db2.gz MNFFLDLDMUBDFT-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccnc3ccccc23)cn1 ZINC001204092189 1049084796 /nfs/dbraw/zinc/08/47/96/1049084796.db2.gz XYQOMTXSVLFTBV-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H]1CC[C@H](C2CC2)O1 ZINC001192305667 1049084979 /nfs/dbraw/zinc/08/49/79/1049084979.db2.gz ZKORHKOPRJHIKT-PBXUPMNYSA-N 0 3 303.421 4.091 20 0 DIADHN CC(=O)c1cccc(Nc2cccc(CN3CCCCC3)c2)n1 ZINC001204109277 1049086031 /nfs/dbraw/zinc/08/60/31/1049086031.db2.gz CPYWKBCBBLTPCO-UHFFFAOYSA-N 0 3 309.413 4.014 20 0 DIADHN CC(=O)c1cccc(O)c1Nc1cccc(CN2CCCCC2)c1 ZINC001204118656 1049087617 /nfs/dbraw/zinc/08/76/17/1049087617.db2.gz MCSLKFRVEDDAIZ-UHFFFAOYSA-N 0 3 324.424 4.324 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@@H]1CSc2ccccc21 ZINC001178787479 1049088384 /nfs/dbraw/zinc/08/83/84/1049088384.db2.gz VXMJNGNAFFYPTM-LLVKDONJSA-N 0 3 307.821 4.458 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc(O)c(OC)c1 ZINC001204118408 1049088494 /nfs/dbraw/zinc/08/84/94/1049088494.db2.gz PKEDKHFFXAKSCU-UHFFFAOYSA-N 0 3 305.805 4.076 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)C2(C)CC2)nnn1-c1cccc(Cl)c1 ZINC000776883762 1049093283 /nfs/dbraw/zinc/09/32/83/1049093283.db2.gz RTWBGIDIYVCHNS-DGCLKSJQSA-N 0 3 318.852 4.068 20 0 DIADHN FCC1(NCc2ccc(C3CC3)c(C3CC3)c2)CCOCC1 ZINC001178823630 1049094413 /nfs/dbraw/zinc/09/44/13/1049094413.db2.gz ISGGRQBPHRMGFS-UHFFFAOYSA-N 0 3 303.421 4.050 20 0 DIADHN Fc1ccc(CN(Cc2cccc3c2CCOC3)C2CC2)cc1 ZINC001204145378 1049096397 /nfs/dbraw/zinc/09/63/97/1049096397.db2.gz MWFTZJFNGUEBCC-UHFFFAOYSA-N 0 3 311.400 4.063 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc3c(c2)C[C@@H](C)O3)cc1 ZINC001204145008 1049096872 /nfs/dbraw/zinc/09/68/72/1049096872.db2.gz MDTOBGMBZDOIHK-FOIQADDNSA-N 0 3 323.436 4.356 20 0 DIADHN CCC(CC)N(Cc1noc(-c2ccsc2)n1)CC(C)C ZINC000484934470 1049099104 /nfs/dbraw/zinc/09/91/04/1049099104.db2.gz INLFLNKGMDIGRM-UHFFFAOYSA-N 0 3 307.463 4.445 20 0 DIADHN Fc1cccc([C@H]2CCN2C[C@@H]2CCN(c3ccccc3)C2)c1 ZINC001204164170 1049100206 /nfs/dbraw/zinc/10/02/06/1049100206.db2.gz YZIZBYDASYRUIW-OXQOHEQNSA-N 0 3 310.416 4.099 20 0 DIADHN Fc1cccc([C@H]2CCN2C[C@H]2CCN(c3ccccc3)C2)c1 ZINC001204164169 1049100327 /nfs/dbraw/zinc/10/03/27/1049100327.db2.gz YZIZBYDASYRUIW-OXJNMPFZSA-N 0 3 310.416 4.099 20 0 DIADHN Fc1cccc([C@@H]2CCN2C[C@H]2CCN(c3ccccc3)C2)c1 ZINC001204164167 1049100537 /nfs/dbraw/zinc/10/05/37/1049100537.db2.gz YZIZBYDASYRUIW-JXFKEZNVSA-N 0 3 310.416 4.099 20 0 DIADHN CC(C)c1ccc(CN2CCC[C@@H](Oc3cccnc3)C2)cc1 ZINC001204166554 1049101743 /nfs/dbraw/zinc/10/17/43/1049101743.db2.gz XPJRMOBEEZZOHN-HXUWFJFHSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)c1ccc(CN2CCC[C@H](Oc3cccnc3)C2)cc1 ZINC001204166553 1049102597 /nfs/dbraw/zinc/10/25/97/1049102597.db2.gz XPJRMOBEEZZOHN-FQEVSTJZSA-N 0 3 310.441 4.248 20 0 DIADHN COC(=O)c1cccc([C@@H]2CCCN2Cc2ccc(C)cc2)c1 ZINC001204173774 1049104063 /nfs/dbraw/zinc/10/40/63/1049104063.db2.gz GFFMBLIACTYYAF-IBGZPJMESA-N 0 3 309.409 4.119 20 0 DIADHN CC[C@@H](NCC(=O)N(C(C)C)C1CCCC1)c1ccc(F)cc1 ZINC001192452024 1049107591 /nfs/dbraw/zinc/10/75/91/1049107591.db2.gz GXZSHVPMVJXGLE-GOSISDBHSA-N 0 3 320.452 4.046 20 0 DIADHN CCOc1cc(CN2CCC3(CC[C@H](F)C3)CC2)ccc1OC ZINC001204189807 1049107674 /nfs/dbraw/zinc/10/76/74/1049107674.db2.gz DNCZTUOFNCIFQF-INIZCTEOSA-N 0 3 321.436 4.198 20 0 DIADHN ClC1(Cl)C[C@@H]1CCNCc1coc(-c2ccccc2)n1 ZINC000856806210 1049107752 /nfs/dbraw/zinc/10/77/52/1049107752.db2.gz BYQWPJQVKUSJDS-LBPRGKRZSA-N 0 3 311.212 4.015 20 0 DIADHN C[C@@H]1CC[C@H](c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@H]1C ZINC000904622567 1049107844 /nfs/dbraw/zinc/10/78/44/1049107844.db2.gz JDRKTCDDHSUFGC-CPUCHLNUSA-N 0 3 313.445 4.338 20 0 DIADHN CCC[C@@H]1CCC[C@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622693 1049108700 /nfs/dbraw/zinc/10/87/00/1049108700.db2.gz LIPIXRSDATXGSB-NVXWUHKLSA-N 0 3 313.445 4.482 20 0 DIADHN CCC[C@@H](c1nc(-c2ccc(CN(C)C)cc2)no1)C(C)C ZINC000904624841 1049110355 /nfs/dbraw/zinc/11/03/55/1049110355.db2.gz YGMJEAUMLPXKCG-MRXNPFEDSA-N 0 3 301.434 4.338 20 0 DIADHN CCC[C@H](c1nc(-c2ccc(CN(C)C)cc2)no1)C(C)C ZINC000904624838 1049110376 /nfs/dbraw/zinc/11/03/76/1049110376.db2.gz YGMJEAUMLPXKCG-INIZCTEOSA-N 0 3 301.434 4.338 20 0 DIADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)CC(C)(C)C1 ZINC001192500884 1049110863 /nfs/dbraw/zinc/11/08/63/1049110863.db2.gz JXLHPINZPHCPOY-XHDPSFHLSA-N 0 3 316.272 4.395 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CCCCCCC1 ZINC000856881172 1049111012 /nfs/dbraw/zinc/11/10/12/1049111012.db2.gz BFWXGVRTOGYLOA-INIZCTEOSA-N 0 3 316.489 4.405 20 0 DIADHN OC[C@H](CC1CCCCC1)NCc1cc(Cl)ccc1Cl ZINC001178981286 1049111527 /nfs/dbraw/zinc/11/15/27/1049111527.db2.gz DVEMMZOQOVTPNP-HNNXBMFYSA-N 0 3 316.272 4.414 20 0 DIADHN C[C@@H]1C[C@H](NCc2c(Cl)cc(Cl)cc2N(C)C)CS1 ZINC001178950895 1049112391 /nfs/dbraw/zinc/11/23/91/1049112391.db2.gz VFDZYYNIGWSWFV-KOLCDFICSA-N 0 3 319.301 4.043 20 0 DIADHN CO[C@H](CNCc1ccc(F)c(Cl)c1Cl)CC(C)C ZINC001178971685 1049116306 /nfs/dbraw/zinc/11/63/06/1049116306.db2.gz LHLQDXKBGJLDAW-NSHDSACASA-N 0 3 308.224 4.283 20 0 DIADHN Cc1cc(C)c(-c2nc(-c3ccc(CN(C)C)cc3)no2)s1 ZINC000904625843 1049116374 /nfs/dbraw/zinc/11/63/74/1049116374.db2.gz SJKHILCIIZAYKL-UHFFFAOYSA-N 0 3 313.426 4.144 20 0 DIADHN CC[C@@H](NCc1ccccc1N(C)C)c1ccc(C)c(F)c1 ZINC001192565195 1049116373 /nfs/dbraw/zinc/11/63/73/1049116373.db2.gz IYFDFMYFLBNDOS-GOSISDBHSA-N 0 3 300.421 4.441 20 0 DIADHN CCc1ccccc1-c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904627908 1049117552 /nfs/dbraw/zinc/11/75/52/1049117552.db2.gz YRWSATFYBVBJNB-UHFFFAOYSA-N 0 3 307.397 4.028 20 0 DIADHN COc1cccc(OC)c1CN1CCC[C@H]1c1ccccc1C ZINC001204249077 1049120307 /nfs/dbraw/zinc/12/03/07/1049120307.db2.gz KNGPATCJUFFMML-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN COc1cccc(OC)c1CN1CCCC[C@H]1c1ccccc1 ZINC001204247664 1049120609 /nfs/dbraw/zinc/12/06/09/1049120609.db2.gz OIRKAGMPPMCMJX-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN c1cc(CN2CCC(Cc3cnc4ccccc4c3)CC2)co1 ZINC001204247073 1049122018 /nfs/dbraw/zinc/12/20/18/1049122018.db2.gz DHOGJWKPXNXDMV-UHFFFAOYSA-N 0 3 306.409 4.283 20 0 DIADHN CCCCC[C@@H](NCc1nncn1-c1ccccc1)C(C)(C)C ZINC001192606060 1049122165 /nfs/dbraw/zinc/12/21/65/1049122165.db2.gz ZFKFZKLZNLIGFC-QGZVFWFLSA-N 0 3 314.477 4.352 20 0 DIADHN O[C@H]1Cc2ccccc2[C@@H]1NCc1ccccc1-c1ccccc1 ZINC001179049419 1049123619 /nfs/dbraw/zinc/12/36/19/1049123619.db2.gz OZOQGZDKYAGJSI-VXKWHMMOSA-N 0 3 315.416 4.102 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cccs2)c(OC)c1C ZINC001204262451 1049123980 /nfs/dbraw/zinc/12/39/80/1049123980.db2.gz RJAHFPRSWZFQJF-HNNXBMFYSA-N 0 3 317.454 4.411 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@H]1C[C@@]1(C)Br ZINC001204271012 1049125421 /nfs/dbraw/zinc/12/54/21/1049125421.db2.gz UZPPAMWOZKIZOP-TZMCWYRMSA-N 0 3 316.670 4.335 20 0 DIADHN c1c(CNC[C@H]2CSc3ccccc3O2)oc2ccccc12 ZINC001179028538 1049125816 /nfs/dbraw/zinc/12/58/16/1049125816.db2.gz TYSRQMFIPDGZFI-HNNXBMFYSA-N 0 3 311.406 4.076 20 0 DIADHN CC1(C)CO[C@@H](CN[C@@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC001192681225 1049128601 /nfs/dbraw/zinc/12/86/01/1049128601.db2.gz HGBHJZBRXDRGLW-KGLIPLIRSA-N 0 3 319.342 4.224 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541904 1049128695 /nfs/dbraw/zinc/12/86/95/1049128695.db2.gz FZCKHVBAKLLNMT-MSOLQXFVSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541907 1049129333 /nfs/dbraw/zinc/12/93/33/1049129333.db2.gz FZCKHVBAKLLNMT-ROUUACIJSA-N 0 3 323.358 4.124 20 0 DIADHN C/C(=C\C(=O)Nc1ccc(C)cc1CN(C)C)c1cccs1 ZINC000857125735 1049129647 /nfs/dbraw/zinc/12/96/47/1049129647.db2.gz MQPMGNZHZFQWGV-SDNWHVSQSA-N 0 3 314.454 4.160 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001204292448 1049130106 /nfs/dbraw/zinc/13/01/06/1049130106.db2.gz CRUHUUUWHJTGEI-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN COC(=O)/C(C)=C\CN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001192698017 1049130285 /nfs/dbraw/zinc/13/02/85/1049130285.db2.gz CGDQZMAKHWRAMY-KGAUPUERSA-N 0 3 309.409 4.124 20 0 DIADHN Cc1[nH]c2ccccc2c1CN(C)CCC(=O)c1cccs1 ZINC001204292839 1049130652 /nfs/dbraw/zinc/13/06/52/1049130652.db2.gz OFRXWZMPAAPTET-UHFFFAOYSA-N 0 3 312.438 4.243 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775542870 1049130877 /nfs/dbraw/zinc/13/08/77/1049130877.db2.gz PIWAIVNNMGCJAJ-MSOLQXFVSA-N 0 3 323.358 4.268 20 0 DIADHN CCCCCCOc1ccccc1CN1CCCO[C@@H](C)C1 ZINC001204296552 1049131748 /nfs/dbraw/zinc/13/17/48/1049131748.db2.gz BRBFKHHFUARYFT-KRWDZBQOSA-N 0 3 305.462 4.257 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H](C(C)C)CC2)[nH]c1C(C)C ZINC001204298622 1049132242 /nfs/dbraw/zinc/13/22/42/1049132242.db2.gz JAVIDTJJMCDRIZ-OAHLLOKOSA-N 0 3 320.477 4.183 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@H](C(C)=O)C1 ZINC001204294915 1049132515 /nfs/dbraw/zinc/13/25/15/1049132515.db2.gz VJRMHWQVBLDWLK-KRWDZBQOSA-N 0 3 303.446 4.057 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)C[C@H]1CCCC[C@@H]1O ZINC001192744003 1049132501 /nfs/dbraw/zinc/13/25/01/1049132501.db2.gz AZBDHGWRFAIDCU-DOMZBBRYSA-N 0 3 307.821 4.069 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@H](C(C)=O)C1 ZINC001204294916 1049132699 /nfs/dbraw/zinc/13/26/99/1049132699.db2.gz VJRMHWQVBLDWLK-QGZVFWFLSA-N 0 3 303.446 4.057 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(-c3ccccn3)nc2)cc1 ZINC001192730517 1049132759 /nfs/dbraw/zinc/13/27/59/1049132759.db2.gz JHCRQBWYRJZXOT-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN CCCCCCOc1ccccc1CN1CCCC2(COC2)C1 ZINC001204298021 1049132878 /nfs/dbraw/zinc/13/28/78/1049132878.db2.gz OEGZDEJDOZDCJU-UHFFFAOYSA-N 0 3 317.473 4.258 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1cccc(Cl)c1Cl ZINC001179135365 1049134418 /nfs/dbraw/zinc/13/44/18/1049134418.db2.gz OOFPTNHGPMSFMU-ZDUSSCGKSA-N 0 3 302.245 4.288 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC(OC)CC1 ZINC001204298961 1049134403 /nfs/dbraw/zinc/13/44/03/1049134403.db2.gz YOVNCDNFGFRXGR-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN Fc1ccc(C2(NC[C@H]3CCC4(CCC4)O3)CCC2)c(F)c1 ZINC001192709789 1049134589 /nfs/dbraw/zinc/13/45/89/1049134589.db2.gz NMACJZHRPYDUDT-CQSZACIVSA-N 0 3 307.384 4.035 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775543434 1049135679 /nfs/dbraw/zinc/13/56/79/1049135679.db2.gz VTLNCRXQBVSRIC-MSOLQXFVSA-N 0 3 323.358 4.124 20 0 DIADHN CCCOc1ccc(CN2CCC3(CCC(=O)CC3)CC2)cc1 ZINC001204308996 1049137923 /nfs/dbraw/zinc/13/79/23/1049137923.db2.gz AKRKIQAAVBYANA-UHFFFAOYSA-N 0 3 315.457 4.201 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)c1 ZINC001179159109 1049137871 /nfs/dbraw/zinc/13/78/71/1049137871.db2.gz ISALFJQHFBFWKB-SJORKVTESA-N 0 3 321.436 4.050 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@@H]3CCCO[C@@H]3C2)cc1 ZINC001204311039 1049138129 /nfs/dbraw/zinc/13/81/29/1049138129.db2.gz RKPYKWCHRIAEJB-AZUAARDMSA-N 0 3 317.473 4.257 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](C)c2cc(C)cc(C)c2)cc1 ZINC001192780680 1049138050 /nfs/dbraw/zinc/13/80/50/1049138050.db2.gz JZLOQLNRQUQTTC-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCCOCc1ccccc1 ZINC001192758334 1049138092 /nfs/dbraw/zinc/13/80/92/1049138092.db2.gz SKSXWIAYSSNCKU-KRWDZBQOSA-N 0 3 313.441 4.341 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@@H](OC(C)C)C2)cc1 ZINC001204311592 1049138171 /nfs/dbraw/zinc/13/81/71/1049138171.db2.gz ISWHFDIWLIQGCY-LJQANCHMSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2c(C3CCC3)cnn2C)cc1 ZINC001204308774 1049138396 /nfs/dbraw/zinc/13/83/96/1049138396.db2.gz VXYPKZWHHFXGHL-INIZCTEOSA-N 0 3 311.473 4.059 20 0 DIADHN Cn1cc(CN2CCC3(CC[C@H](F)C3)CC2)c2ccccc21 ZINC001204324194 1049141855 /nfs/dbraw/zinc/14/18/55/1049141855.db2.gz JLLQPHOEGRIHOT-INIZCTEOSA-N 0 3 300.421 4.283 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1ccccc1OCc1ccccc1 ZINC001204331836 1049144010 /nfs/dbraw/zinc/14/40/10/1049144010.db2.gz NJSAQOYHPOOYPR-GBESFXJTSA-N 0 3 311.400 4.198 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@]3(CCO3)C2)cc1 ZINC001204331841 1049144404 /nfs/dbraw/zinc/14/44/04/1049144404.db2.gz NVJMLIZKKBTMDI-NRFANRHFSA-N 0 3 323.436 4.021 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cnn(C3CCC3)c2)C1(CC)CC ZINC001204334346 1049144821 /nfs/dbraw/zinc/14/48/21/1049144821.db2.gz JGALDPAJTVZUBM-MSOLQXFVSA-N 0 3 319.493 4.024 20 0 DIADHN Cc1cccn2c(CN[C@]3(c4ccccc4)CC3(C)C)cnc12 ZINC001179248759 1049147027 /nfs/dbraw/zinc/14/70/27/1049147027.db2.gz WLAWOEIXFVGJBJ-FQEVSTJZSA-N 0 3 305.425 4.058 20 0 DIADHN C[C@@H]1Cc2cc(CN3Cc4ccccc4C(C)(C)C3)ccc2O1 ZINC001204350304 1049147745 /nfs/dbraw/zinc/14/77/45/1049147745.db2.gz YYKJJJYAGCLTRS-OAHLLOKOSA-N 0 3 307.437 4.303 20 0 DIADHN Cc1ccc(CN2CCC3(Cc4ccccc4O3)CC2)cc1C ZINC001204354081 1049147853 /nfs/dbraw/zinc/14/78/53/1049147853.db2.gz AGSYLQHISQOXQO-UHFFFAOYSA-N 0 3 307.437 4.273 20 0 DIADHN CCOc1ccc(CN2CCC3(CC[C@H](F)C3)CC2)cc1OC ZINC001204361222 1049148491 /nfs/dbraw/zinc/14/84/91/1049148491.db2.gz DCSIEDKBDUDBHH-INIZCTEOSA-N 0 3 321.436 4.198 20 0 DIADHN Cc1ccc([C@H](C)NC(=O)[C@H]2CCCCN2C2CCCC2)s1 ZINC000857441870 1049149823 /nfs/dbraw/zinc/14/98/23/1049149823.db2.gz XUBJOVBAWWMLBO-GOEBONIOSA-N 0 3 320.502 4.031 20 0 DIADHN Cc1ccc(-c2ccccc2CNCc2ccc[nH]c2=O)c(C)c1 ZINC001179299102 1049150362 /nfs/dbraw/zinc/15/03/62/1049150362.db2.gz LSGJFLYCNFBWDZ-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN FC(F)Oc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1Cl ZINC001179304332 1049150446 /nfs/dbraw/zinc/15/04/46/1049150446.db2.gz JMFFEVALXOBPLR-NWDGAFQWSA-N 0 3 307.743 4.312 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)CC3CCC3)cs2)cc1 ZINC001179285238 1049151330 /nfs/dbraw/zinc/15/13/30/1049151330.db2.gz IKCXRIQRHDUPIA-CYBMUJFWSA-N 0 3 316.470 4.487 20 0 DIADHN CCOc1ccc(CN(CC)Cc2ccc(F)cc2)cc1OC ZINC001204362314 1049151354 /nfs/dbraw/zinc/15/13/54/1049151354.db2.gz MITZFYWEZVHBLE-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN CCCCCCOc1ccc(CN2CC3(C2)CCOCC3)cc1 ZINC001204367042 1049152041 /nfs/dbraw/zinc/15/20/41/1049152041.db2.gz VBWHASLQJSTHSW-UHFFFAOYSA-N 0 3 317.473 4.258 20 0 DIADHN CCn1cnc(CNCC(C)(C)c2ccc3ccccc3c2)c1 ZINC001179304896 1049152592 /nfs/dbraw/zinc/15/25/92/1049152592.db2.gz XNPYTXCBKVTZAA-UHFFFAOYSA-N 0 3 307.441 4.124 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-c3ccc(Cl)cc3)o2)[C@H](C)O1 ZINC001179347699 1049153356 /nfs/dbraw/zinc/15/33/56/1049153356.db2.gz AXVWQCGOQPKKFE-BFQNTYOBSA-N 0 3 305.805 4.256 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cncn1C ZINC001204386391 1049153480 /nfs/dbraw/zinc/15/34/80/1049153480.db2.gz WOTHDWBHFIRRBP-UHFFFAOYSA-N 0 3 319.452 4.074 20 0 DIADHN CCOC(=O)C1(C)CCN(Cc2ccc(C(C)(C)C)cc2)CC1 ZINC001204397775 1049154899 /nfs/dbraw/zinc/15/48/99/1049154899.db2.gz NAERVWHMLXNYOV-UHFFFAOYSA-N 0 3 317.473 4.149 20 0 DIADHN CC(=O)n1cc(CN2CC[C@H](C)C(F)(F)CC2)c2ccccc21 ZINC001204404063 1049155220 /nfs/dbraw/zinc/15/52/20/1049155220.db2.gz CPIUUAJHYNMQAD-ZDUSSCGKSA-N 0 3 320.383 4.169 20 0 DIADHN CCn1cncc1CN(Cc1ccccc1)[C@@H]1CCCC1(C)C ZINC001204399650 1049156161 /nfs/dbraw/zinc/15/61/61/1049156161.db2.gz CFVGFDUPFCYWBN-LJQANCHMSA-N 0 3 311.473 4.484 20 0 DIADHN CCc1ccc(CN(CCCCCCO)Cc2ccccc2)o1 ZINC001204394092 1049156185 /nfs/dbraw/zinc/15/61/85/1049156185.db2.gz JLVHXOAACFQAEJ-UHFFFAOYSA-N 0 3 315.457 4.397 20 0 DIADHN CC[C@@](C)(NCc1ccc(OC)cc1OC)c1ccc(F)cc1 ZINC001179339082 1049158178 /nfs/dbraw/zinc/15/81/78/1049158178.db2.gz UCOPDYPCEVJNBM-LJQANCHMSA-N 0 3 317.404 4.258 20 0 DIADHN CC(=O)n1cc(CN(C)[C@H]2CCc3ccccc32)c2ccccc21 ZINC001204413092 1049158216 /nfs/dbraw/zinc/15/82/16/1049158216.db2.gz AGFWSZVSGNJRKN-FQEVSTJZSA-N 0 3 318.420 4.421 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H]3CCc4cc(Cl)ccc43)ccc2O1 ZINC001179339181 1049158301 /nfs/dbraw/zinc/15/83/01/1049158301.db2.gz XYJNYXLYYDKGHQ-XIKOKIGWSA-N 0 3 313.828 4.441 20 0 DIADHN Clc1cc(Br)cnc1CNCCCCC1CC1 ZINC001179366376 1049161338 /nfs/dbraw/zinc/16/13/38/1049161338.db2.gz WPLUDHDKELQWER-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN COC(=O)/C(C)=C/CN1CCCC[C@H]1c1ccc(C(C)C)cc1 ZINC001192974846 1049161802 /nfs/dbraw/zinc/16/18/02/1049161802.db2.gz AVAAQAVOOXOIFG-BAILOTOSSA-N 0 3 315.457 4.456 20 0 DIADHN COC(=O)/C(C)=C\CN1CCCC[C@@H]1c1ccc(C(C)C)cc1 ZINC001192974847 1049162157 /nfs/dbraw/zinc/16/21/57/1049162157.db2.gz AVAAQAVOOXOIFG-KVVHSQDJSA-N 0 3 315.457 4.456 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ccc(Cl)s3)C2)c1 ZINC001204444319 1049163423 /nfs/dbraw/zinc/16/34/23/1049163423.db2.gz JTNBBMXXSYOFDW-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001204446401 1049164341 /nfs/dbraw/zinc/16/43/41/1049164341.db2.gz JUSWRKNCPNCTOG-QZTJIDSGSA-N 0 3 309.457 4.111 20 0 DIADHN Cc1ccc(CNC[C@]2(C)OCCc3ccccc32)c(Cl)c1 ZINC001179447773 1049165582 /nfs/dbraw/zinc/16/55/82/1049165582.db2.gz RAPKNXADZOUHFL-IBGZPJMESA-N 0 3 315.844 4.226 20 0 DIADHN Fc1cc(-c2ccc([C@H]3CNCCO3)cc2)ccc1C(F)F ZINC000904844592 1049167190 /nfs/dbraw/zinc/16/71/90/1049167190.db2.gz RSSNMJUOMQYHAL-MRXNPFEDSA-N 0 3 307.315 4.091 20 0 DIADHN CC1(C)C[C@]1(C)CNCc1cc(Br)cnc1Cl ZINC001179468557 1049169083 /nfs/dbraw/zinc/16/90/83/1049169083.db2.gz HEFDOQSKAPZOAG-CYBMUJFWSA-N 0 3 317.658 4.023 20 0 DIADHN CCCCC[C@@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000775569338 1049173012 /nfs/dbraw/zinc/17/30/12/1049173012.db2.gz UFPDDYGQQXGZBS-RDTXWAMCSA-N 0 3 303.425 4.207 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCOC2CCC2)cc1 ZINC001193071240 1049173236 /nfs/dbraw/zinc/17/32/36/1049173236.db2.gz WCSRWSCBAPLCKN-OAHLLOKOSA-N 0 3 301.352 4.147 20 0 DIADHN C[C@@H]1CN(Cc2cc3nc(Cl)ccc3s2)C[C@@H](C)S1 ZINC001204488326 1049174768 /nfs/dbraw/zinc/17/47/68/1049174768.db2.gz LPCDPKZCBUJOAP-NXEZZACHSA-N 0 3 312.891 4.276 20 0 DIADHN C[C@H](NC[C@@H]1CCCC(C)(C)O1)c1nc2ccccc2s1 ZINC001193083499 1049176205 /nfs/dbraw/zinc/17/62/05/1049176205.db2.gz WCXFMHIKORRJSN-STQMWFEESA-N 0 3 304.459 4.295 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1N[C@H](C)c1cn(-c2ccccc2)nn1 ZINC001193083534 1049176406 /nfs/dbraw/zinc/17/64/06/1049176406.db2.gz WHQKHHNCZIBWCU-JFIYKMOQSA-N 0 3 312.461 4.277 20 0 DIADHN Cc1c(F)cccc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001204511725 1049179130 /nfs/dbraw/zinc/17/91/30/1049179130.db2.gz XTBQSMBKQPKSSX-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN CC(C)n1cncc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC001204516780 1049181126 /nfs/dbraw/zinc/18/11/26/1049181126.db2.gz XKLMMHJNPNVLOU-MRXNPFEDSA-N 0 3 317.864 4.325 20 0 DIADHN C[C@H]1CC[C@@H](NCc2oc3ccccc3c2Br)C1 ZINC001179598496 1049182515 /nfs/dbraw/zinc/18/25/15/1049182515.db2.gz PGUUDNBHTLHVIN-WDEREUQCSA-N 0 3 308.219 4.474 20 0 DIADHN C[C@@H]1CC[C@H](NCc2oc3ccccc3c2Br)C1 ZINC001179598494 1049182755 /nfs/dbraw/zinc/18/27/55/1049182755.db2.gz PGUUDNBHTLHVIN-MNOVXSKESA-N 0 3 308.219 4.474 20 0 DIADHN Cc1ccccc1C(NCc1cc[nH]c(=O)c1)c1ccccc1C ZINC001193141453 1049183736 /nfs/dbraw/zinc/18/37/36/1049183736.db2.gz ITQBVZXCDFTBNH-UHFFFAOYSA-N 0 3 318.420 4.283 20 0 DIADHN Cc1cc(-c2noc(CN(C(C)C)C3CCCC3)n2)ccc1F ZINC000485095059 1049185370 /nfs/dbraw/zinc/18/53/70/1049185370.db2.gz RUHFKJHUXQIMFX-UHFFFAOYSA-N 0 3 317.408 4.337 20 0 DIADHN CC[C@H](CC(F)F)CN1CCO[C@H](CCc2ccccc2)C1 ZINC001193198591 1049186213 /nfs/dbraw/zinc/18/62/13/1049186213.db2.gz RHEZRQZTRPHZBZ-NVXWUHKLSA-N 0 3 311.416 4.001 20 0 DIADHN CC(C)(/C=C/Cl)NCc1cccc(OCc2ccccn2)c1 ZINC001179627342 1049190382 /nfs/dbraw/zinc/19/03/82/1049190382.db2.gz XHSWWABQIFNSFG-MDZDMXLPSA-N 0 3 316.832 4.281 20 0 DIADHN C[C@H](COCC1CCCCC1)NCc1scnc1C1CC1 ZINC001179637111 1049191063 /nfs/dbraw/zinc/19/10/63/1049191063.db2.gz SWLJJPCPILAEDI-CYBMUJFWSA-N 0 3 308.491 4.096 20 0 DIADHN COc1ccc(CN2[C@H](C)Cc3cc(OC)ccc3[C@@H]2C)cc1 ZINC001193272444 1049192670 /nfs/dbraw/zinc/19/26/70/1049192670.db2.gz MTXANPCNOKTZJF-CABCVRRESA-N 0 3 311.425 4.212 20 0 DIADHN Fc1ccc(CCCN2CCOC3(C2)CCCCCC3)cc1F ZINC001204585178 1049193752 /nfs/dbraw/zinc/19/37/52/1049193752.db2.gz MWISQIRFWGYZFW-UHFFFAOYSA-N 0 3 323.427 4.323 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@H]1CCCC2(CCC2)O1 ZINC001179696785 1049194320 /nfs/dbraw/zinc/19/43/20/1049194320.db2.gz ZTFXXYANLFFPRU-MRXNPFEDSA-N 0 3 323.864 4.320 20 0 DIADHN Fc1cccc(Cl)c1CN1CCN(CCC2CCCC2)CC1 ZINC001193310842 1049196446 /nfs/dbraw/zinc/19/64/46/1049196446.db2.gz QKDVBYPLUDNZEA-UHFFFAOYSA-N 0 3 324.871 4.177 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)c2ccccc2CN(C)C)cc1 ZINC000485187051 1049198645 /nfs/dbraw/zinc/19/86/45/1049198645.db2.gz XVTOMAWLXIYDJJ-UHFFFAOYSA-N 0 3 324.468 4.144 20 0 DIADHN Cc1cc(C)cc(CCN2C[C@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001204615259 1049201146 /nfs/dbraw/zinc/20/11/46/1049201146.db2.gz GXGBBNZURTVGLQ-GHTZIAJQSA-N 0 3 309.453 4.308 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCc3c[nH]nc32)C2CCCC2)cc1 ZINC000857851566 1049201360 /nfs/dbraw/zinc/20/13/60/1049201360.db2.gz OGQQUKUVRANNKW-ROUUACIJSA-N 0 3 313.420 4.447 20 0 DIADHN COCOc1cc(C)c(-c2ccc3c(N)ccnc3c2)c(C)c1 ZINC001204612865 1049201352 /nfs/dbraw/zinc/20/13/52/1049201352.db2.gz VSNIMIPCONIVPF-UHFFFAOYSA-N 0 3 308.381 4.084 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC1CC(CCO)C1 ZINC001179758717 1049204968 /nfs/dbraw/zinc/20/49/68/1049204968.db2.gz KRRUCKGCWDVKMZ-UHFFFAOYSA-N 0 3 313.894 4.091 20 0 DIADHN Cc1ccc(OC[C@H](C)N[C@@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857903277 1049207005 /nfs/dbraw/zinc/20/70/05/1049207005.db2.gz CVVDEKNUSOZBPO-XJKSGUPXSA-N 0 3 316.832 4.088 20 0 DIADHN Clc1ccc2c(n1)[C@@H](NCCSc1ccccc1)CC2 ZINC000857900910 1049207119 /nfs/dbraw/zinc/20/71/19/1049207119.db2.gz FZBUJJNVJSQJKB-AWEZNQCLSA-N 0 3 304.846 4.104 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2cccc3ccoc32)c2ccccc21 ZINC001179793708 1049207277 /nfs/dbraw/zinc/20/72/77/1049207277.db2.gz OAMDXBBUBXJWSD-QVKFZJNVSA-N 0 3 321.420 4.308 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2cccc3ccoc32)c2ccccc21 ZINC001179793710 1049207821 /nfs/dbraw/zinc/20/78/21/1049207821.db2.gz OAMDXBBUBXJWSD-YCRPNKLZSA-N 0 3 321.420 4.308 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccs2)cnc1Br ZINC001193448971 1049208104 /nfs/dbraw/zinc/20/81/04/1049208104.db2.gz ZAPKAIVSEVKBPA-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN CCOC(=O)CC[C@H]1CCCN(Cc2cc(C)cc(Cl)c2)C1 ZINC001204640946 1049208811 /nfs/dbraw/zinc/20/88/11/1049208811.db2.gz YJMYXGCXYHRWMU-OAHLLOKOSA-N 0 3 323.864 4.204 20 0 DIADHN Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C[C@@H]1C[C@@H]1C ZINC001204640728 1049209006 /nfs/dbraw/zinc/20/90/06/1049209006.db2.gz SSEBWLYXOBWNKT-QEJZJMRPSA-N 0 3 309.885 4.294 20 0 DIADHN FC(F)(F)c1ccc(CNC[C@H]2OCc3ccccc32)s1 ZINC001179799670 1049209396 /nfs/dbraw/zinc/20/93/96/1049209396.db2.gz JAONSMRNECAFQP-CYBMUJFWSA-N 0 3 313.344 4.128 20 0 DIADHN CC(C)(C)C1=CCN(Cc2ccc(O[C@H]3CCOC3)cc2)CC1 ZINC001204647945 1049210793 /nfs/dbraw/zinc/21/07/93/1049210793.db2.gz LVHKGUMWTFAKFD-IBGZPJMESA-N 0 3 315.457 4.033 20 0 DIADHN CCOC(OCC)[C@H](C)NCc1ccc(C2CCCC2)cc1 ZINC001179842725 1049213897 /nfs/dbraw/zinc/21/38/97/1049213897.db2.gz URZSZCCPZZBYEY-HNNXBMFYSA-N 0 3 305.462 4.221 20 0 DIADHN Cc1ccncc1CCCN1CC(Cc2ccccc2Cl)C1 ZINC000485291216 1049216879 /nfs/dbraw/zinc/21/68/79/1049216879.db2.gz KXRJDOYIMHMRAS-UHFFFAOYSA-N 0 3 314.860 4.151 20 0 DIADHN CCC1(CC)CCN(Cc2ccc(C(=O)OC)cc2F)CC1 ZINC001193535231 1049218014 /nfs/dbraw/zinc/21/80/14/1049218014.db2.gz BHAARLQYCPLGLM-UHFFFAOYSA-N 0 3 307.409 4.015 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2cnc(CC(C)C)s2)C1 ZINC001179892406 1049219531 /nfs/dbraw/zinc/21/95/31/1049219531.db2.gz GJXSLKQWLNGSGP-CQSZACIVSA-N 0 3 312.548 4.449 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C)s2)cc1OC1CC1 ZINC000858107703 1049220526 /nfs/dbraw/zinc/22/05/26/1049220526.db2.gz VEEGJXKBJFXVDF-CYBMUJFWSA-N 0 3 317.454 4.457 20 0 DIADHN C[C@@H]1CCCCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001193517371 1049220623 /nfs/dbraw/zinc/22/06/23/1049220623.db2.gz RKTDFDPBWIKAGP-OAHLLOKOSA-N 0 3 302.462 4.045 20 0 DIADHN C[C@H]1CN(Cc2cc3ccc(F)cc3[nH]c2=O)[C@@H]1c1ccccc1 ZINC001204680499 1049222673 /nfs/dbraw/zinc/22/26/73/1049222673.db2.gz DJLDJETZMZTJAQ-DJJJIMSYSA-N 0 3 322.383 4.273 20 0 DIADHN C[C@H]1CN(Cc2ccnn2C2CCC2)CC[C@@H]1c1ccccc1 ZINC001179877716 1049225977 /nfs/dbraw/zinc/22/59/77/1049225977.db2.gz IHUAHJAHGFNEME-JXFKEZNVSA-N 0 3 309.457 4.234 20 0 DIADHN CN(CCOC(C)(C)C)Cc1cc2nc(Cl)ccc2s1 ZINC001179883003 1049227298 /nfs/dbraw/zinc/22/72/98/1049227298.db2.gz WIJHBWJRYIBBGR-UHFFFAOYSA-N 0 3 312.866 4.197 20 0 DIADHN Clc1cccc(-c2ccc(CN3CC[C@@]4(CCCO4)C3)o2)c1 ZINC001204692873 1049227314 /nfs/dbraw/zinc/22/73/14/1049227314.db2.gz CDBYEVANZGWWQT-SFHVURJKSA-N 0 3 317.816 4.355 20 0 DIADHN c1ccc([C@H](NCc2ccc3cccnc3c2)C2CCC2)nc1 ZINC000858239150 1049229808 /nfs/dbraw/zinc/22/98/08/1049229808.db2.gz OXMFMUATLWSIIB-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204694787 1049230837 /nfs/dbraw/zinc/23/08/37/1049230837.db2.gz KOEWOUNMOXTXPT-CYBMUJFWSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC(C)(C(C)C)CC2)c(C)c1 ZINC001193616505 1049231491 /nfs/dbraw/zinc/23/14/91/1049231491.db2.gz NLFVWCWAYTUQKW-KRWDZBQOSA-N 0 3 316.489 4.389 20 0 DIADHN C[C@@H](NC[C@@H](O)Cc1ccccc1)c1cc(Cl)ccc1Cl ZINC001193608542 1049232066 /nfs/dbraw/zinc/23/20/66/1049232066.db2.gz YNYIPFASCQHTIS-DOMZBBRYSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@@H](C)C3(CCCCC3)C2)cc1 ZINC001193637070 1049235333 /nfs/dbraw/zinc/23/53/33/1049235333.db2.gz QIQPZMCORBQMPQ-QGZVFWFLSA-N 0 3 314.473 4.226 20 0 DIADHN C[C@H](CCN1CCO[C@]2(CCc3ccccc32)C1)c1ccccc1 ZINC001179905536 1049237143 /nfs/dbraw/zinc/23/71/43/1049237143.db2.gz KOBKFWJIGPTVBF-XMSQKQJNSA-N 0 3 321.464 4.354 20 0 DIADHN COCCC1CN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000668472223 1049237319 /nfs/dbraw/zinc/23/73/19/1049237319.db2.gz GATUYVOGRRAMIJ-UHFFFAOYSA-N 0 3 305.805 4.068 20 0 DIADHN COc1ccc(CCCN(C)Cc2ccc(C)cc2F)cc1 ZINC001204714577 1049237750 /nfs/dbraw/zinc/23/77/50/1049237750.db2.gz VCBMXEXGYXXCNM-UHFFFAOYSA-N 0 3 301.405 4.207 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccc2c(c1)CCC2 ZINC000195613819 1049240358 /nfs/dbraw/zinc/24/03/58/1049240358.db2.gz GLAMPJVJXSJYBW-ZIAGYGMSSA-N 0 3 309.409 4.316 20 0 DIADHN CN(Cc1ccc(-c2cccc(Cl)c2)o1)[C@@H]1CCCOC1 ZINC001204717181 1049240615 /nfs/dbraw/zinc/24/06/15/1049240615.db2.gz AXRBAGAJRMCDLK-OAHLLOKOSA-N 0 3 305.805 4.211 20 0 DIADHN Oc1cc(Cl)cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)c1 ZINC001179970442 1049240869 /nfs/dbraw/zinc/24/08/69/1049240869.db2.gz ZMADEUJMTYSUOK-QGZVFWFLSA-N 0 3 319.807 4.392 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1sncc1Br ZINC001193648539 1049241067 /nfs/dbraw/zinc/24/10/67/1049241067.db2.gz GXTKPGGPYOBHDI-JQWIXIFHSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)cnc1Cl ZINC000858321277 1049243406 /nfs/dbraw/zinc/24/34/06/1049243406.db2.gz XUNCVHWLVKHMAB-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN FC(F)(F)CCN(Cc1ccc2scnc2c1)CC1CC1 ZINC001179974960 1049243379 /nfs/dbraw/zinc/24/33/79/1049243379.db2.gz RFBWOFWZPWERSK-UHFFFAOYSA-N 0 3 314.376 4.461 20 0 DIADHN C(=C\[C@@H]1CCCCCN1Cc1cnc2n1CCC2)\c1ccccc1 ZINC001179974453 1049243514 /nfs/dbraw/zinc/24/35/14/1049243514.db2.gz NLPUPKZDNDMJLR-HSNDNRACSA-N 0 3 321.468 4.287 20 0 DIADHN Cc1cccc2ncc(CN[C@@H]3CCc4ccc(Cl)cc43)n21 ZINC000858320901 1049243524 /nfs/dbraw/zinc/24/35/24/1049243524.db2.gz MGGBUKOMYKWZBC-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN Cn1cnc2cc(CN[C@H]3CCc4ccc(Cl)cc43)ccc21 ZINC000858321057 1049243776 /nfs/dbraw/zinc/24/37/76/1049243776.db2.gz QTMXKRVBIZZSQV-INIZCTEOSA-N 0 3 311.816 4.004 20 0 DIADHN CC(C)P(CCNC(=O)c1ccnc(C(F)F)c1)C(C)C ZINC001193663611 1049243822 /nfs/dbraw/zinc/24/38/22/1049243822.db2.gz YPIYSBZBHRJLOB-UHFFFAOYSA-N 0 3 316.332 4.048 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H]1CCCc2ccc(Cl)cc21 ZINC000858337820 1049244154 /nfs/dbraw/zinc/24/41/54/1049244154.db2.gz ODDIZSXSJYFPGA-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN CN(c1ccccc1)C1CCN(C/C=C/c2cccc(F)c2)CC1 ZINC001179975635 1049244598 /nfs/dbraw/zinc/24/45/98/1049244598.db2.gz ZJMZPXUDYLYMDD-SOFGYWHQSA-N 0 3 324.443 4.440 20 0 DIADHN Cc1csc([C@@H](C)N[C@H]2CCCN(c3ccc(C)cc3)C2)n1 ZINC000858366520 1049249787 /nfs/dbraw/zinc/24/97/87/1049249787.db2.gz GGLLSHUDXMALDH-CVEARBPZSA-N 0 3 315.486 4.080 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1cc(F)cc(F)c1 ZINC001204749636 1049250138 /nfs/dbraw/zinc/25/01/38/1049250138.db2.gz DIMGJZJYBCJRJR-UONOGXRCSA-N 0 3 324.415 4.052 20 0 DIADHN Cc1ccc(Br)cc1[C@H](C)N[C@H](C)c1ccc[nH]1 ZINC000858381901 1049251368 /nfs/dbraw/zinc/25/13/68/1049251368.db2.gz JTXIRCZMECUHBG-NWDGAFQWSA-N 0 3 307.235 4.497 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C[C@@H]1CCCC(C)(C)C1 ZINC001204749934 1049250984 /nfs/dbraw/zinc/25/09/84/1049250984.db2.gz OSKZLYPAEKWIJK-HZPDHXFCSA-N 0 3 324.509 4.144 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204746284 1049251643 /nfs/dbraw/zinc/25/16/43/1049251643.db2.gz WCCNLTBCOUJZGA-GFCCVEGCSA-N 0 3 305.805 4.067 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001179963293 1049252818 /nfs/dbraw/zinc/25/28/18/1049252818.db2.gz YNXZYHMYAMDHMW-SMDDNHRTSA-N 0 3 321.408 4.402 20 0 DIADHN C[C@H](NCCOC1CCC1)c1ccc(F)cc1C(F)(F)F ZINC000858391411 1049253381 /nfs/dbraw/zinc/25/33/81/1049253381.db2.gz GNYKXFRHWUQVPF-JTQLQIEISA-N 0 3 305.315 4.064 20 0 DIADHN CCC[C@H](N[C@H](C)c1nc(C)cs1)[C@@H](O)c1ccccc1 ZINC000858412370 1049254233 /nfs/dbraw/zinc/25/42/33/1049254233.db2.gz VBMRKSVZMMPYPH-KBMXLJTQSA-N 0 3 304.459 4.004 20 0 DIADHN ClC1(Cl)C[C@H]1CCCN[C@@H]1CCCc2scnc21 ZINC000858429083 1049256395 /nfs/dbraw/zinc/25/63/95/1049256395.db2.gz BNQIQZNJNVECNL-NXEZZACHSA-N 0 3 305.274 4.084 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C1 ZINC001204767989 1049257339 /nfs/dbraw/zinc/25/73/39/1049257339.db2.gz FMURBEYZENXSGX-MRXNPFEDSA-N 0 3 301.817 4.228 20 0 DIADHN c1nc(-c2ccccn2)sc1CN1CCC(C2CCC2)CC1 ZINC000668492353 1049257960 /nfs/dbraw/zinc/25/79/60/1049257960.db2.gz JCTBDDIQDJIRDQ-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN CCCCN(Cc1ccc(C(=O)OC(C)(C)C)s1)C1CC1 ZINC001180034790 1049261883 /nfs/dbraw/zinc/26/18/83/1049261883.db2.gz HZPKUUFQSDUOCX-UHFFFAOYSA-N 0 3 309.475 4.468 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccsc1)C(=O)N(C)c1ccc(C)cc1 ZINC001193798313 1049264635 /nfs/dbraw/zinc/26/46/35/1049264635.db2.gz SEFCKIRTUCRDPO-RHSMWYFYSA-N 0 3 316.470 4.149 20 0 DIADHN Cc1ccccc1[C@]1(F)CCN(Cc2cccc(N(C)C)c2)C1 ZINC001193798291 1049265595 /nfs/dbraw/zinc/26/55/95/1049265595.db2.gz RUDUIBMFPIDYBN-FQEVSTJZSA-N 0 3 312.432 4.132 20 0 DIADHN CC(C)Cc1ccc(CN2CCC[C@@H](Nc3ccccc3)C2)cn1 ZINC001180059271 1049268923 /nfs/dbraw/zinc/26/89/23/1049268923.db2.gz WLEQFDQGDZVHEE-OAQYLSRUSA-N 0 3 323.484 4.357 20 0 DIADHN C[C@@H](NCc1cn(C(F)F)c2ccccc12)c1cncs1 ZINC000858536557 1049269418 /nfs/dbraw/zinc/26/94/18/1049269418.db2.gz MRCKHHLLZVJCNC-SNVBAGLBSA-N 0 3 307.369 4.344 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1cc(Cl)c(N)c(Cl)c1 ZINC000858519249 1049270155 /nfs/dbraw/zinc/27/01/55/1049270155.db2.gz XKCZPZVKJNOBNV-SNVBAGLBSA-N 0 3 310.228 4.130 20 0 DIADHN CC(C)c1ccc(Cl)c(C(=O)Nc2cnccc2N(C)C)c1 ZINC001193845089 1049271361 /nfs/dbraw/zinc/27/13/61/1049271361.db2.gz OWFRHXRHDPDXJC-UHFFFAOYSA-N 0 3 317.820 4.177 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2ccc(OC(F)F)cc2F)C1 ZINC001180071664 1049271561 /nfs/dbraw/zinc/27/15/61/1049271561.db2.gz FHCWPGIYSQNHPG-YPMHNXCESA-N 0 3 319.392 4.143 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3cncc(Cl)c3)C2)cc1 ZINC001193933584 1049278540 /nfs/dbraw/zinc/27/85/40/1049278540.db2.gz WALLSEPRDSUKNT-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN COc1cc(F)c(CN2CCC[C@@H]2CCC(C)C)cc1OC ZINC001180137415 1049279506 /nfs/dbraw/zinc/27/95/06/1049279506.db2.gz ZAMKFPRACDMFAZ-OAHLLOKOSA-N 0 3 309.425 4.244 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2ccns2)cc1 ZINC000858577463 1049280527 /nfs/dbraw/zinc/28/05/27/1049280527.db2.gz QCJCLEAENYIXOM-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC[C@H](c3cc[nH]n3)C2)cc1C ZINC001204840654 1049283011 /nfs/dbraw/zinc/28/30/11/1049283011.db2.gz NNCIDBFRKNWUBC-UTSMFGRCSA-N 0 3 309.457 4.228 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)n(C)n1 ZINC000858599980 1049283473 /nfs/dbraw/zinc/28/34/73/1049283473.db2.gz OUOROCCZTDGRGC-BLLLJJGKSA-N 0 3 303.837 4.110 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccc(F)cc2C)C2CC2)cn1 ZINC000858604684 1049284174 /nfs/dbraw/zinc/28/41/74/1049284174.db2.gz OCRGKRUPBRZGKO-YJYMSZOUSA-N 0 3 314.404 4.340 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccc(F)cc2C)C2CC2)cn1 ZINC000858604679 1049284924 /nfs/dbraw/zinc/28/49/24/1049284924.db2.gz OCRGKRUPBRZGKO-BFUOFWGJSA-N 0 3 314.404 4.340 20 0 DIADHN CCCC[C@H](CC)COC(=O)N(C(C)C)C1CCN(C)CC1 ZINC001193967040 1049285951 /nfs/dbraw/zinc/28/59/51/1049285951.db2.gz FKPSTUOMTQHUMX-INIZCTEOSA-N 0 3 312.498 4.144 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1ccnc2c(Br)cccc12 ZINC001180108684 1049288828 /nfs/dbraw/zinc/28/88/28/1049288828.db2.gz VXYQKLJKVLSPNB-RYUDHWBXSA-N 0 3 319.246 4.370 20 0 DIADHN [O-]c1ccc(C[N@@H+](Cc2ccc(F)cc2)CC2CC2)cc1F ZINC001180123679 1049291313 /nfs/dbraw/zinc/29/13/13/1049291313.db2.gz CUYMQWYWIYABRA-UHFFFAOYSA-N 0 3 303.352 4.083 20 0 DIADHN [O-]c1ccc(C[N@H+](Cc2ccc(F)cc2)CC2CC2)cc1F ZINC001180123679 1049291325 /nfs/dbraw/zinc/29/13/25/1049291325.db2.gz CUYMQWYWIYABRA-UHFFFAOYSA-N 0 3 303.352 4.083 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1-c1cc(N)c(C)cn1 ZINC001204876920 1049295303 /nfs/dbraw/zinc/29/53/03/1049295303.db2.gz UUERFSRMKFEKNA-UHFFFAOYSA-N 0 3 320.392 4.227 20 0 DIADHN CCn1cc(CN(C2CC2)[C@@H]2CCCc3ccccc32)c(C)n1 ZINC001180158646 1049302051 /nfs/dbraw/zinc/30/20/51/1049302051.db2.gz ZLLZRWBCJHURLR-HXUWFJFHSA-N 0 3 309.457 4.253 20 0 DIADHN COc1cc(CN(C)CCCOc2ccccc2C)ccc1F ZINC001180164842 1049302771 /nfs/dbraw/zinc/30/27/71/1049302771.db2.gz CHOMGTJNGQWSPC-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN Cn1ncc(CN(Cc2ccccc2)CC2CCC2)c1C1CC1 ZINC001204899370 1049303336 /nfs/dbraw/zinc/30/33/36/1049303336.db2.gz QACWCSXIQICLNZ-UHFFFAOYSA-N 0 3 309.457 4.100 20 0 DIADHN Cc1cccc(CN(C)[C@@H]2CCCN(c3ccccc3)C2)c1F ZINC001180203194 1049307596 /nfs/dbraw/zinc/30/75/96/1049307596.db2.gz LOQKAEFZWDYBPJ-LJQANCHMSA-N 0 3 312.432 4.235 20 0 DIADHN Cn1ncc(C2CC2)c1CN(Cc1ccccc1)CC1CCC1 ZINC001204909181 1049307643 /nfs/dbraw/zinc/30/76/43/1049307643.db2.gz XIUUFPWBIQOELD-UHFFFAOYSA-N 0 3 309.457 4.100 20 0 DIADHN Oc1ccc(CN2CCC(Cc3ccccc3F)CC2)cc1F ZINC001180219724 1049311361 /nfs/dbraw/zinc/31/13/61/1049311361.db2.gz UJPFAQWMKJMTSA-UHFFFAOYSA-N 0 3 317.379 4.125 20 0 DIADHN CO[C@@H](CNCc1cc(F)cc(Cl)c1)c1ccc(F)cc1 ZINC000485693332 1049313469 /nfs/dbraw/zinc/31/34/69/1049313469.db2.gz HVODJXUHKACGDH-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2c3c(nn2C)CCCC3)cc1 ZINC001204930294 1049313838 /nfs/dbraw/zinc/31/38/38/1049313838.db2.gz KIHUSVSROHMTQP-FQEVSTJZSA-N 0 3 323.484 4.335 20 0 DIADHN Cc1ccn2c(CN[C@H](C)c3ccc4ncsc4c3)cnc2c1 ZINC000485703233 1049317274 /nfs/dbraw/zinc/31/72/74/1049317274.db2.gz GNCRFYXCDJKNIO-CYBMUJFWSA-N 0 3 322.437 4.103 20 0 DIADHN OCc1ccc(CN2CCC[C@H]2c2cccc(Cl)c2)cc1 ZINC000485709427 1049318754 /nfs/dbraw/zinc/31/87/54/1049318754.db2.gz OTYPMZFIAJKPGW-SFHVURJKSA-N 0 3 301.817 4.169 20 0 DIADHN CCN(Cc1cc2ccccc2n1C)[C@H](C)c1cccc(O)c1 ZINC001180239683 1049319902 /nfs/dbraw/zinc/31/99/02/1049319902.db2.gz PDEWDRUDONMOND-OAHLLOKOSA-N 0 3 308.425 4.467 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2cccc(C)c2)[nH]c1C(C)C ZINC001204946409 1049320312 /nfs/dbraw/zinc/32/03/12/1049320312.db2.gz PRRBYHQROGMCMF-AWEZNQCLSA-N 0 3 314.429 4.084 20 0 DIADHN Fc1cc(Cl)cc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)c1 ZINC000485697591 1049320745 /nfs/dbraw/zinc/32/07/45/1049320745.db2.gz SWINJCQMGRNRKS-CRAIPNDOSA-N 0 3 319.807 4.347 20 0 DIADHN Cc1ccnc(CN2CC[C@@H](C(C)(C)C)C2)c1Br ZINC001180317339 1049329057 /nfs/dbraw/zinc/32/90/57/1049329057.db2.gz DNXYRBXTVLGIDE-GFCCVEGCSA-N 0 3 311.267 4.021 20 0 DIADHN CCOC(=O)c1ccc(CN2CCCC3(CCCCC3)C2)o1 ZINC001180293212 1049337807 /nfs/dbraw/zinc/33/78/07/1049337807.db2.gz XLAFHQLWOGCWEZ-UHFFFAOYSA-N 0 3 305.418 4.003 20 0 DIADHN COc1cccc([C@@H](C)N(C)Cc2ccccc2N2CCCC2)c1 ZINC000485786757 1049340087 /nfs/dbraw/zinc/34/00/87/1049340087.db2.gz NNCLORWLWXBZMC-QGZVFWFLSA-N 0 3 324.468 4.488 20 0 DIADHN COc1cccc([C@H](C)N(C)Cc2ccccc2N2CCCC2)c1 ZINC000485786756 1049340306 /nfs/dbraw/zinc/34/03/06/1049340306.db2.gz NNCLORWLWXBZMC-KRWDZBQOSA-N 0 3 324.468 4.488 20 0 DIADHN C[C@@H](CCN1CCN(Cc2ccsc2)CC1)c1ccccc1 ZINC001180359361 1049343009 /nfs/dbraw/zinc/34/30/09/1049343009.db2.gz JHHLOXVSHCZLHD-KRWDZBQOSA-N 0 3 314.498 4.060 20 0 DIADHN Fc1cccc(CCCN(Cc2ccco2)C[C@@H]2CCCO2)c1 ZINC000485801619 1049343273 /nfs/dbraw/zinc/34/32/73/1049343273.db2.gz PRZGRTVOBRHAOI-IBGZPJMESA-N 0 3 317.404 4.033 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)N[C@@H](C)[C@@H](C)CC)n2)cc1 ZINC001205656992 1049348290 /nfs/dbraw/zinc/34/82/90/1049348290.db2.gz WLNWSKJLHBIWGF-IHRRRGAJSA-N 0 3 301.434 4.384 20 0 DIADHN CCC[C@H](NCc1ccc(-c2cnco2)cc1)c1cccnc1 ZINC000906525221 1049350035 /nfs/dbraw/zinc/35/00/35/1049350035.db2.gz LFSASWDJXJUGDX-SFHVURJKSA-N 0 3 307.397 4.368 20 0 DIADHN CCC[C@@H](NCc1ccc(-c2cnco2)cc1)c1cccnc1 ZINC000906525220 1049350801 /nfs/dbraw/zinc/35/08/01/1049350801.db2.gz LFSASWDJXJUGDX-GOSISDBHSA-N 0 3 307.397 4.368 20 0 DIADHN CCOC(=O)c1ccc(CN(Cc2ccccc2)C(C)(C)C)o1 ZINC001205040179 1049351097 /nfs/dbraw/zinc/35/10/97/1049351097.db2.gz VCDBSJXKPMLXIN-UHFFFAOYSA-N 0 3 315.413 4.257 20 0 DIADHN c1ncc(-c2ccc(CN[C@H](c3cccnc3)C3CCC3)cc2)o1 ZINC000906526580 1049351853 /nfs/dbraw/zinc/35/18/53/1049351853.db2.gz UZTNHOKHSAHVQX-FQEVSTJZSA-N 0 3 319.408 4.368 20 0 DIADHN c1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)n(CCc2ccccc2)n1 ZINC001180403488 1049351968 /nfs/dbraw/zinc/35/19/68/1049351968.db2.gz LHUDNKZLSPRDHW-VQTJNVASSA-N 0 3 323.484 4.138 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc(C4CC4)no3)[C@H]3CCCC[C@H]23)cc1 ZINC000485855771 1049354517 /nfs/dbraw/zinc/35/45/17/1049354517.db2.gz BTDAONLWKVHNQJ-SQNIBIBYSA-N 0 3 323.440 4.105 20 0 DIADHN CC(C)(C)N(Cc1cnn(CC2CCC2)c1)Cc1ccccc1 ZINC001180372335 1049355299 /nfs/dbraw/zinc/35/52/99/1049355299.db2.gz QFYQNIWHZQRCNG-UHFFFAOYSA-N 0 3 311.473 4.484 20 0 DIADHN CC[C@@H](C)N(Cc1cnc2ccc(C)cn12)Cc1ccccc1 ZINC001180423016 1049355565 /nfs/dbraw/zinc/35/55/65/1049355565.db2.gz RNNGJTRORRETGW-QGZVFWFLSA-N 0 3 307.441 4.443 20 0 DIADHN c1ccc([C@@H]2C[C@H]3CN(Cc4nc5ccccc5o4)C[C@H]32)cc1 ZINC000859931402 1049362160 /nfs/dbraw/zinc/36/21/60/1049362160.db2.gz PLDICRLTPRIPLY-YESZJQIVSA-N 0 3 304.393 4.063 20 0 DIADHN C[C@@H](NCCOc1cc(Cl)ccc1Cl)c1ccns1 ZINC000860051151 1049365846 /nfs/dbraw/zinc/36/58/46/1049365846.db2.gz FJNNHRVLVFWNGO-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN CN(Cc1ccc(-c2ccc(O)cc2C(F)(F)F)nc1)C1CC1 ZINC001205080271 1049366452 /nfs/dbraw/zinc/36/64/52/1049366452.db2.gz QURQAGPDYATDES-UHFFFAOYSA-N 0 3 322.330 4.067 20 0 DIADHN CN(Cc1ccc(-c2ccc(F)cc2)s1)CC1=CCCOC1 ZINC000668568740 1049370335 /nfs/dbraw/zinc/37/03/35/1049370335.db2.gz LGWWTRHTWPKNCT-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CCC[C@@H]2Cc2ccccc2)n1 ZINC001180520335 1049370795 /nfs/dbraw/zinc/37/07/95/1049370795.db2.gz FRFNFEOYPUXQMI-HXUWFJFHSA-N 0 3 317.436 4.079 20 0 DIADHN CCc1ccc(OCC(=O)NCCP(C(C)C)C(C)C)cc1 ZINC001180518965 1049371187 /nfs/dbraw/zinc/37/11/87/1049371187.db2.gz KJPFQVHPKKTKMG-UHFFFAOYSA-N 0 3 323.417 4.043 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1cccc2c1NCCC2 ZINC001180530979 1049373264 /nfs/dbraw/zinc/37/32/64/1049373264.db2.gz APNULXPPAPLHKY-UHFFFAOYSA-N 0 3 300.833 4.330 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc3c2OCC3)cc1 ZINC001180573678 1049379226 /nfs/dbraw/zinc/37/92/26/1049379226.db2.gz AMCXZHPDPYFXBS-HXUWFJFHSA-N 0 3 323.436 4.357 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCO[C@H](C(C)C)C2)cs1 ZINC000486049767 1049379483 /nfs/dbraw/zinc/37/94/83/1049379483.db2.gz FQNRRKFEEULUMI-DZKIICNBSA-N 0 3 310.507 4.368 20 0 DIADHN COc1ccc(CN(Cc2ccc(OC)cc2C)C2CC2)cc1 ZINC001180574093 1049379365 /nfs/dbraw/zinc/37/93/65/1049379365.db2.gz JUGLJWZPLBVOGJ-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2c(C3CCC3)cnn2C)cc1 ZINC001180540754 1049379639 /nfs/dbraw/zinc/37/96/39/1049379639.db2.gz QHXFYVAHBJNWJR-IBGZPJMESA-N 0 3 309.457 4.333 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2csc(-c3ccco3)n2)C1 ZINC000117394202 1049379970 /nfs/dbraw/zinc/37/99/70/1049379970.db2.gz VCKQZNCCJDCCSU-WCQYABFASA-N 0 3 308.472 4.167 20 0 DIADHN CN(CCCSC(C)(C)C)C[C@@H]1OCCc2ccccc21 ZINC001194487545 1049383640 /nfs/dbraw/zinc/38/36/40/1049383640.db2.gz GOOYXBBLPAEVKQ-KRWDZBQOSA-N 0 3 307.503 4.154 20 0 DIADHN CCOc1cc(CN(Cc2ccccc2C)C2CC2)ccc1O ZINC001180566586 1049384041 /nfs/dbraw/zinc/38/40/41/1049384041.db2.gz QVYIEZIENYUXQL-UHFFFAOYSA-N 0 3 311.425 4.264 20 0 DIADHN CCN(C)c1ccc(CN2CCCC[C@@H]2c2ccccc2)cn1 ZINC001180583584 1049386995 /nfs/dbraw/zinc/38/69/95/1049386995.db2.gz BDDHOAXTSGFQJJ-LJQANCHMSA-N 0 3 309.457 4.265 20 0 DIADHN CSC1CC(N[C@@H](CC(F)(F)F)c2ccc(C)cc2)C1 ZINC001180594341 1049387935 /nfs/dbraw/zinc/38/79/35/1049387935.db2.gz RFBRRPKQYRZVRY-RUXDESIVSA-N 0 3 303.393 4.472 20 0 DIADHN CN(CC(=O)Nc1ccccc1SC(C)(C)C)C1CCCC1 ZINC001180629713 1049392409 /nfs/dbraw/zinc/39/24/09/1049392409.db2.gz VYBKWROYSOWPAE-UHFFFAOYSA-N 0 3 320.502 4.390 20 0 DIADHN CN(Cc1ccc(-c2ccc(F)c(F)c2Cl)nc1)C1CC1 ZINC001205666312 1049393951 /nfs/dbraw/zinc/39/39/51/1049393951.db2.gz GTHRCJGQMAHAJD-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN CCOC(=O)[C@@H]1C2CCC(CC2)N1C[C@H](C)C1CCCCC1 ZINC001194590384 1049394921 /nfs/dbraw/zinc/39/49/21/1049394921.db2.gz WGLCVZLOCLXZJY-KUQKPQIMSA-N 0 3 307.478 4.009 20 0 DIADHN CC(C)CC(CC(C)C)NCc1nc(-c2ccccc2)no1 ZINC001180674166 1049397742 /nfs/dbraw/zinc/39/77/42/1049397742.db2.gz SZDNTIJFEDECBG-UHFFFAOYSA-N 0 3 301.434 4.287 20 0 DIADHN C[C@@]1(NCc2cnn(CC3CCC3)c2)CCCc2ccccc21 ZINC001180780059 1049407252 /nfs/dbraw/zinc/40/72/52/1049407252.db2.gz OSSOEBYVSLVUDT-HXUWFJFHSA-N 0 3 309.457 4.025 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3nc(C(C)C)no3)C2)ccc1F ZINC001205193437 1049407454 /nfs/dbraw/zinc/40/74/54/1049407454.db2.gz ZAABPDCBPOBWPW-OAHLLOKOSA-N 0 3 317.408 4.020 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1cccc2c1NCCC2 ZINC001180801766 1049408749 /nfs/dbraw/zinc/40/87/49/1049408749.db2.gz JHJHYZFDGMDMCY-WFASDCNBSA-N 0 3 312.379 4.208 20 0 DIADHN CCNc1ccccc1CN(C)CCOc1ccc(Cl)cc1 ZINC001205215052 1049410851 /nfs/dbraw/zinc/41/08/51/1049410851.db2.gz CQFMIPOUMNVBKX-UHFFFAOYSA-N 0 3 318.848 4.283 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2ccc(C)c(F)c2)CC1 ZINC001205244169 1049416104 /nfs/dbraw/zinc/41/61/04/1049416104.db2.gz VTCZRRDSFHUAHM-UHFFFAOYSA-N 0 3 313.416 4.272 20 0 DIADHN CN(C)c1c(CN2CCC(C(C)(C)C)CC2)ccc(F)c1F ZINC001180917646 1049417928 /nfs/dbraw/zinc/41/79/28/1049417928.db2.gz YIHXQCNEGWMZFK-UHFFFAOYSA-N 0 3 310.432 4.289 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CCCC(C)(C)C2)cn1 ZINC001180896175 1049419382 /nfs/dbraw/zinc/41/93/82/1049419382.db2.gz BQTHWQMQMAUEAE-UHFFFAOYSA-N 0 3 309.457 4.472 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)C2)CCN1C(=O)OC(C)(C)C ZINC001180896642 1049420924 /nfs/dbraw/zinc/42/09/24/1049420924.db2.gz JAMQMNWOOKZKKE-CVEARBPZSA-N 0 3 324.509 4.144 20 0 DIADHN Cc1ccc(NC(=O)/C=C/C2CCCCC2)c(CN(C)C)c1 ZINC000117805930 1049422353 /nfs/dbraw/zinc/42/23/53/1049422353.db2.gz XFYRRNSTFAZTMP-ZRDIBKRKSA-N 0 3 300.446 4.132 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1 ZINC001180995164 1049430131 /nfs/dbraw/zinc/43/01/31/1049430131.db2.gz JISHUHQZTMXPBB-GVDBMIGSSA-N 0 3 324.509 4.144 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@@H](C(C)(C)C)C[C@@H]1C ZINC001194804087 1049430641 /nfs/dbraw/zinc/43/06/41/1049430641.db2.gz CIOKUGDZQGKRNA-YESZJQIVSA-N 0 3 310.526 4.026 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000668632537 1049434553 /nfs/dbraw/zinc/43/45/53/1049434553.db2.gz YVNZPUQPLBSFCO-NVXWUHKLSA-N 0 3 320.502 4.192 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)s1 ZINC000668629853 1049436330 /nfs/dbraw/zinc/43/63/30/1049436330.db2.gz CSQRFHQBTDVUTH-GDBMZVCRSA-N 0 3 322.518 4.222 20 0 DIADHN C[C@@H]([C@H](C)NC(=O)c1ccccc1CN(C)C)C1CCCCC1 ZINC000486590467 1049441710 /nfs/dbraw/zinc/44/17/10/1049441710.db2.gz HVEREGLSZJVRSL-HOTGVXAUSA-N 0 3 316.489 4.083 20 0 DIADHN C[C@@]1(NCc2cc(O)cc(Cl)c2)CCCc2ccccc21 ZINC001205366941 1049452250 /nfs/dbraw/zinc/45/22/50/1049452250.db2.gz MSQHJFCLUXRBOE-GOSISDBHSA-N 0 3 301.817 4.387 20 0 DIADHN C=Cn1cc(CN2CCC(C)(c3cccc(Cl)c3)CC2)cn1 ZINC001181206141 1049453335 /nfs/dbraw/zinc/45/33/35/1049453335.db2.gz PTRILALIVBQRCU-UHFFFAOYSA-N 0 3 315.848 4.191 20 0 DIADHN Cc1cc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)ccc1Cl ZINC001181242635 1049453384 /nfs/dbraw/zinc/45/33/84/1049453384.db2.gz AUEVKTYATQOQHI-UHFFFAOYSA-N 0 3 305.853 4.301 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1cc2ccccc2[nH]1 ZINC000775626120 1049454171 /nfs/dbraw/zinc/45/41/71/1049454171.db2.gz GDSSQFPPXQAKOE-OAHLLOKOSA-N 0 3 307.441 4.475 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1cc2ccccc2[nH]1 ZINC000775626117 1049454235 /nfs/dbraw/zinc/45/42/35/1049454235.db2.gz GDSSQFPPXQAKOE-HNNXBMFYSA-N 0 3 307.441 4.475 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCCc3sccc32)c1 ZINC000237531474 1049455129 /nfs/dbraw/zinc/45/51/29/1049455129.db2.gz GANGHTYALDULBF-SJCJKPOMSA-N 0 3 314.454 4.435 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cc3ccccc3[nH]2)cn1 ZINC000775628221 1049460855 /nfs/dbraw/zinc/46/08/55/1049460855.db2.gz QCNOMTGFWUHLDN-AWEZNQCLSA-N 0 3 309.413 4.203 20 0 DIADHN CC(C)(C)OC1CC(NCc2cc(F)c(Cl)cc2F)C1 ZINC001181337425 1049463159 /nfs/dbraw/zinc/46/31/59/1049463159.db2.gz QNFRZJVLMBRTTR-UHFFFAOYSA-N 0 3 303.780 4.054 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccc2scnc2c1 ZINC001205418694 1049465659 /nfs/dbraw/zinc/46/56/59/1049465659.db2.gz YIIJLFRNTLZUMB-ZDUSSCGKSA-N 0 3 314.376 4.459 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2c(F)cccc2OC(F)F)cc1 ZINC001181382203 1049467851 /nfs/dbraw/zinc/46/78/51/1049467851.db2.gz CZBKDBOEMDPILS-ZDUSSCGKSA-N 0 3 323.358 4.456 20 0 DIADHN C[C@@H](CC1CCCC1)NCc1c(F)cccc1OC(F)F ZINC001181382283 1049468695 /nfs/dbraw/zinc/46/86/95/1049468695.db2.gz GKTPDYHTMGXDRD-NSHDSACASA-N 0 3 301.352 4.486 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@@H]1CCC(C)C ZINC000486783805 1049469165 /nfs/dbraw/zinc/46/91/65/1049469165.db2.gz SHNPRYKTCCACFS-QGZVFWFLSA-N 0 3 302.462 4.143 20 0 DIADHN Fc1cccc(OC(F)F)c1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC001181383661 1049469627 /nfs/dbraw/zinc/46/96/27/1049469627.db2.gz YBAZEPOIMGMVIT-UONOGXRCSA-N 0 3 321.342 4.320 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nc(-c2cccs2)no1 ZINC000486776671 1049469987 /nfs/dbraw/zinc/46/99/87/1049469987.db2.gz CQZKSDPGFZJXLR-OLZOCXBDSA-N 0 3 305.447 4.199 20 0 DIADHN CN(Cc1ccnn1CCc1ccccc1)[C@H]1CCC(C)(C)C1 ZINC001181410406 1049470145 /nfs/dbraw/zinc/47/01/45/1049470145.db2.gz KWAWZWUACXHVIW-SFHVURJKSA-N 0 3 311.473 4.136 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1nc2cc(Br)ccc2[nH]1 ZINC001195075873 1049472612 /nfs/dbraw/zinc/47/26/12/1049472612.db2.gz YETJZYYPHVUXRK-SNVBAGLBSA-N 0 3 322.250 4.088 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1cc(F)c(C(F)(F)F)cc1F ZINC001195074371 1049472860 /nfs/dbraw/zinc/47/28/60/1049472860.db2.gz GNUWNKNMGLSRNR-ZIAGYGMSSA-N 0 3 323.305 4.031 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3ccc(C(F)(F)F)nc3)C2)cc1 ZINC001205442133 1049473302 /nfs/dbraw/zinc/47/33/02/1049473302.db2.gz UFAQISOPNJNFAO-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@]2(CCSC2)C1 ZINC001195093600 1049475595 /nfs/dbraw/zinc/47/55/95/1049475595.db2.gz KJOOQSNHLRGVDL-HNNXBMFYSA-N 0 3 315.404 4.425 20 0 DIADHN CC(C)C1(CNCc2nc(-c3ccccc3F)cs2)CC1 ZINC001181400525 1049477355 /nfs/dbraw/zinc/47/73/55/1049477355.db2.gz UYVJMLVBNQDZGT-UHFFFAOYSA-N 0 3 304.434 4.475 20 0 DIADHN CC[C@@H]1CCN(Cc2nc(-c3cccc(OC)c3)cs2)[C@@H]1C ZINC001205471613 1049479600 /nfs/dbraw/zinc/47/96/00/1049479600.db2.gz OQBZEVMIUVJAPD-ZIAGYGMSSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1c(CN2CC[C@H](CSc3ccccc3)C2)ccnc1F ZINC000862249832 1049481521 /nfs/dbraw/zinc/48/15/21/1049481521.db2.gz DPUOTAFZNABEKP-HNNXBMFYSA-N 0 3 316.445 4.143 20 0 DIADHN Cc1c(F)nccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000862261746 1049482511 /nfs/dbraw/zinc/48/25/11/1049482511.db2.gz KJCZCIZWIMACFD-MRXNPFEDSA-N 0 3 304.796 4.423 20 0 DIADHN CCOc1cc(C[NH2+]C(C)(C2CC2)C2CC2)cc(Cl)c1[O-] ZINC000668697522 1049482705 /nfs/dbraw/zinc/48/27/05/1049482705.db2.gz ZWPSUTCZRZXFEX-UHFFFAOYSA-N 0 3 309.837 4.113 20 0 DIADHN Cc1c(F)nccc1CN[C@H](C)c1ccc(Br)cc1 ZINC000862264583 1049484112 /nfs/dbraw/zinc/48/41/12/1049484112.db2.gz XDVQULOATYSDPJ-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN C[C@@H]1C[C@H]1CN1CC[C@@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001205499019 1049486139 /nfs/dbraw/zinc/48/61/39/1049486139.db2.gz QVDRUJOXOSMSEG-XUJVJEKNSA-N 0 3 301.327 4.232 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1ccnc(F)c1C ZINC000862300415 1049486942 /nfs/dbraw/zinc/48/69/42/1049486942.db2.gz OWPYEAWVRUWCEZ-BLLLJJGKSA-N 0 3 322.811 4.048 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccnc(F)c1C ZINC000862338542 1049488344 /nfs/dbraw/zinc/48/83/44/1049488344.db2.gz SPGXQTRZYQGHOD-UHFFFAOYSA-N 0 3 312.310 4.146 20 0 DIADHN CO[C@@H](CN1CC(Cc2ccccc2Cl)C1)C1CCCCC1 ZINC001181543662 1049489542 /nfs/dbraw/zinc/48/95/42/1049489542.db2.gz HJTURCRSCZFMRN-IBGZPJMESA-N 0 3 321.892 4.410 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@](C)(O)[C@H](C)C1 ZINC001204298982 1049490032 /nfs/dbraw/zinc/49/00/32/1049490032.db2.gz YXZXVCIFFPLRFN-YLJYHZDGSA-N 0 3 319.489 4.239 20 0 DIADHN CCn1cc(CN2CCC(c3ccc4ccccc4c3)CC2)cn1 ZINC001205538450 1049494404 /nfs/dbraw/zinc/49/44/04/1049494404.db2.gz KYFMXTCQLLHDAS-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN Cc1ccc(C2=CCN(Cc3ccc4c(c3)ncn4C)CC2)cc1 ZINC000775644616 1049497630 /nfs/dbraw/zinc/49/76/30/1049497630.db2.gz KSQVRFBQNDKAQO-UHFFFAOYSA-N 0 3 317.436 4.171 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@H](c2ccc(C)cc2)C[C@@H]1C ZINC001205567880 1049499271 /nfs/dbraw/zinc/49/92/71/1049499271.db2.gz FDQIKRWRVGKEGQ-GOEBONIOSA-N 0 3 317.864 4.243 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)cc(Cl)n1 ZINC000862538963 1049501342 /nfs/dbraw/zinc/50/13/42/1049501342.db2.gz HKQBLXIYLWJZDJ-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN FC(F)n1cccc1CNCc1ccc(OC2CCCC2)cc1 ZINC001181675612 1049503958 /nfs/dbraw/zinc/50/39/58/1049503958.db2.gz SVFFLPIATMPBFP-UHFFFAOYSA-N 0 3 320.383 4.494 20 0 DIADHN COCc1cc([C@@H](C)NCc2ccc(C)c(F)c2)ccc1OC ZINC000119095685 1049513994 /nfs/dbraw/zinc/51/39/94/1049513994.db2.gz WEJONGAHLQPIQC-CQSZACIVSA-N 0 3 317.404 4.140 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccnc(Cl)c1)c1ccco1 ZINC000119098092 1049514751 /nfs/dbraw/zinc/51/47/51/1049514751.db2.gz NSFMIORJHXCFOZ-ZFWWWQNUSA-N 0 3 321.852 4.062 20 0 DIADHN COc1cccc(SCCN2CCc3cc(C)ccc3C2)c1 ZINC001195307639 1049514765 /nfs/dbraw/zinc/51/47/65/1049514765.db2.gz UHFDTPKMXJIMRJ-UHFFFAOYSA-N 0 3 313.466 4.154 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccnc(Cl)c1)c1ccco1 ZINC000119098306 1049515278 /nfs/dbraw/zinc/51/52/78/1049515278.db2.gz NSFMIORJHXCFOZ-HIFRSBDPSA-N 0 3 321.852 4.062 20 0 DIADHN CCOC[C@@H](N[C@@H](C)c1ccc(-c2ccccc2)cn1)C(C)C ZINC001205628903 1049515561 /nfs/dbraw/zinc/51/55/61/1049515561.db2.gz OOVUAHZTUKJRRK-OXJNMPFZSA-N 0 3 312.457 4.460 20 0 DIADHN c1cn([C@@H]2CCCN(Cc3ccc(C4CCCCC4)cc3)C2)nn1 ZINC001195334178 1049520407 /nfs/dbraw/zinc/52/04/07/1049520407.db2.gz MMKMEQNRHRWOIB-HXUWFJFHSA-N 0 3 324.472 4.163 20 0 DIADHN Cc1ccc(F)c(CN2CCC(n3ncc4ccccc43)CC2)c1 ZINC001205655499 1049522636 /nfs/dbraw/zinc/52/26/36/1049522636.db2.gz QOSDHZDMLJDUGS-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN C[C@H](N[C@@H]1CC=C(c2ccc(Cl)cc2)CC1)c1nccn1C ZINC000668747113 1049523453 /nfs/dbraw/zinc/52/34/53/1049523453.db2.gz BSKHMNYGRXOLBR-SUMWQHHRSA-N 0 3 315.848 4.360 20 0 DIADHN Cc1ccc(CN2CC3(CCC3)[C@H]2C2CCOCC2)c(Cl)c1 ZINC001195377607 1049525855 /nfs/dbraw/zinc/52/58/55/1049525855.db2.gz ZFMVPTZOFOPDEX-GOSISDBHSA-N 0 3 319.876 4.430 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](Oc3ccccc3)C2)cc1 ZINC001204309361 1049528936 /nfs/dbraw/zinc/52/89/36/1049528936.db2.gz SJVLNGZNVSPFIB-HXUWFJFHSA-N 0 3 311.425 4.129 20 0 DIADHN Cn1cccc1CN1CCc2ccccc2[C@@H]1c1ccccc1 ZINC001203263287 1049530696 /nfs/dbraw/zinc/53/06/96/1049530696.db2.gz AMMXJLFNOXXBCO-NRFANRHFSA-N 0 3 302.421 4.173 20 0 DIADHN Clc1ccc(CN2CCC[C@H]2[C@H]2CCCOC2)c(Cl)c1 ZINC001195487734 1049534316 /nfs/dbraw/zinc/53/43/16/1049534316.db2.gz KHRYXILUABZHRH-BBRMVZONSA-N 0 3 314.256 4.384 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C[C@H]1O ZINC001205692076 1049535143 /nfs/dbraw/zinc/53/51/43/1049535143.db2.gz CBWLSELDYOYDCG-AUUYWEPGSA-N 0 3 315.844 4.210 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N(C)CCC(C)(C)C ZINC001195497047 1049537345 /nfs/dbraw/zinc/53/73/45/1049537345.db2.gz FSJFTEXYMMQEER-KRWDZBQOSA-N 0 3 304.478 4.104 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000487288519 1049545766 /nfs/dbraw/zinc/54/57/66/1049545766.db2.gz IJVHSAWBABFPDR-NEPJUHHUSA-N 0 3 307.825 4.429 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@H](c2ccccc2)C1 ZINC001181912789 1049546838 /nfs/dbraw/zinc/54/68/38/1049546838.db2.gz HVXKXQFIFQMGGZ-AWEZNQCLSA-N 0 3 303.837 4.005 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736704 1049548082 /nfs/dbraw/zinc/54/80/82/1049548082.db2.gz XPNMPDBTCZZMFL-DOMZBBRYSA-N 0 3 303.352 4.254 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736704 1049548096 /nfs/dbraw/zinc/54/80/96/1049548096.db2.gz XPNMPDBTCZZMFL-DOMZBBRYSA-N 0 3 303.352 4.254 20 0 DIADHN C[C@H]1CN(Cc2cccc3cccnc32)CC2(CCCCC2)O1 ZINC001195647623 1049548405 /nfs/dbraw/zinc/54/84/05/1049548405.db2.gz AQZURNFRYKPRKF-INIZCTEOSA-N 0 3 310.441 4.158 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)[C@@H](CO)c2ccccc2)cc1C ZINC001181958117 1049553345 /nfs/dbraw/zinc/55/33/45/1049553345.db2.gz NFFBGOXWARXWFQ-OMCJBDSBSA-N 0 3 309.453 4.290 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc([S@](C)=O)cc2)cc1 ZINC000085332493 1049554844 /nfs/dbraw/zinc/55/48/44/1049554844.db2.gz SVAMVEBVGUVYQS-JOCHJYFZSA-N 0 3 315.482 4.011 20 0 DIADHN Cc1ccc(CN2CCc3ccc(Cl)cc3[C@H](C)C2)cn1 ZINC001195765930 1049563574 /nfs/dbraw/zinc/56/35/74/1049563574.db2.gz AUIHQIKBMBEBTQ-CYBMUJFWSA-N 0 3 300.833 4.205 20 0 DIADHN CCc1cnc(CN2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)s1 ZINC001182052831 1049566433 /nfs/dbraw/zinc/56/64/33/1049566433.db2.gz LCNBIVRXBKJAPG-CPUCHLNUSA-N 0 3 300.471 4.472 20 0 DIADHN CCOc1c(F)c(F)ccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001205797732 1049566565 /nfs/dbraw/zinc/56/65/65/1049566565.db2.gz VKMWBEORNVNVMW-UHFFFAOYSA-N 0 3 318.367 4.020 20 0 DIADHN CC1=CC[C@@H](NCc2nc3ccc(Br)cc3o2)CC1 ZINC001182061971 1049566776 /nfs/dbraw/zinc/56/67/76/1049566776.db2.gz IIZNKOWGEVQMRU-GFCCVEGCSA-N 0 3 321.218 4.179 20 0 DIADHN FC(F)(F)CCCN1CC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001182084586 1049570170 /nfs/dbraw/zinc/57/01/70/1049570170.db2.gz AFGZRBJFBYEYCK-ZDUSSCGKSA-N 0 3 307.743 4.136 20 0 DIADHN [O-]c1ccc(C[NH2+]C2(Cc3ccc(Cl)cc3)CCC2)cc1F ZINC001182088178 1049570242 /nfs/dbraw/zinc/57/02/42/1049570242.db2.gz UOQBIVLKJLMESS-UHFFFAOYSA-N 0 3 319.807 4.440 20 0 DIADHN CC[C@H](C)N1CCN(Cc2ccc(F)c(Cl)c2Cl)CC1 ZINC001205813053 1049571308 /nfs/dbraw/zinc/57/13/08/1049571308.db2.gz RJFICOLNNBPNAQ-NSHDSACASA-N 0 3 319.251 4.049 20 0 DIADHN Cc1ccc(CN2CCCC[C@H]2CC(=O)OC(C)(C)C)cc1F ZINC001195797639 1049572706 /nfs/dbraw/zinc/57/27/06/1049572706.db2.gz JVJCITPKQYEDJS-INIZCTEOSA-N 0 3 321.436 4.220 20 0 DIADHN Cc1cc(F)ccc1CN1CCCC[C@@H]1CC(=O)OC(C)(C)C ZINC001195797621 1049572976 /nfs/dbraw/zinc/57/29/76/1049572976.db2.gz JHJAVGLXHHWNBR-QGZVFWFLSA-N 0 3 321.436 4.220 20 0 DIADHN C[C@H]1CN(c2cccc(F)c2)CCN1Cc1ccc2[nH]ccc2c1 ZINC001182114770 1049572869 /nfs/dbraw/zinc/57/28/69/1049572869.db2.gz COTLCQQJHCMEQH-HNNXBMFYSA-N 0 3 323.415 4.018 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(C[C@@H]2CCC3(CCC3)CO2)C1 ZINC001195851654 1049573528 /nfs/dbraw/zinc/57/35/28/1049573528.db2.gz MSEOYWLCHYWKES-OALUTQOASA-N 0 3 317.448 4.138 20 0 DIADHN Cc1nnc(CNCC2(c3ccc(C)cc3C)CCCC2)s1 ZINC001182097875 1049574321 /nfs/dbraw/zinc/57/43/21/1049574321.db2.gz AWMAZDCARIHGSW-UHFFFAOYSA-N 0 3 315.486 4.065 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN(CC(=O)OC(C)(C)C)C1CCC1 ZINC001206485049 1049579983 /nfs/dbraw/zinc/57/99/83/1049579983.db2.gz QHRBWGUPKAHTCZ-RDJZCZTQSA-N 0 3 307.478 4.175 20 0 DIADHN c1sc(-c2ccccc2)nc1CNC1C[C@H]2CC[C@@H](C1)S2 ZINC000924828861 1049580600 /nfs/dbraw/zinc/58/06/00/1049580600.db2.gz RHXVTDFUZNYQSK-VHRNVKJDSA-N 0 3 316.495 4.326 20 0 DIADHN CC(C)(CNCc1nnn(-c2ccccc2)c1C1CC1)C1CCC1 ZINC001205849540 1049582721 /nfs/dbraw/zinc/58/27/21/1049582721.db2.gz RRYNDGPWZGYHPG-UHFFFAOYSA-N 0 3 324.472 4.061 20 0 DIADHN CC(C)(C)OC(=O)N(CCN1CC(C)(C)[C@@H]1C1CC1)C(C)(C)C ZINC001182184290 1049583710 /nfs/dbraw/zinc/58/37/10/1049583710.db2.gz MIBMOGDVAURLMI-HNNXBMFYSA-N 0 3 324.509 4.142 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CC(C)(C)[C@H]1C1CC1 ZINC001182185167 1049583927 /nfs/dbraw/zinc/58/39/27/1049583927.db2.gz ZESNPNHOKYPDIF-LJQANCHMSA-N 0 3 309.457 4.110 20 0 DIADHN CC(C)SCCN1CCc2oc(-c3ccccc3)cc2C1 ZINC001195875930 1049584187 /nfs/dbraw/zinc/58/41/87/1049584187.db2.gz BRURCLDXVUMIRG-UHFFFAOYSA-N 0 3 301.455 4.446 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCC(C)(F)F)cc1F ZINC001195938406 1049584854 /nfs/dbraw/zinc/58/48/54/1049584854.db2.gz LKHQQOGXYNQMMZ-AWEZNQCLSA-N 0 3 301.352 4.407 20 0 DIADHN CCOC[C@H]1CCCN(CCc2cc(Cl)ccc2Cl)C1 ZINC000487928201 1049585099 /nfs/dbraw/zinc/58/50/99/1049585099.db2.gz IDFARVIZEHLSQB-ZDUSSCGKSA-N 0 3 316.272 4.284 20 0 DIADHN CCN(Cc1cccc(Br)c1)Cc1ccncc1C ZINC001205862524 1049586025 /nfs/dbraw/zinc/58/60/25/1049586025.db2.gz GATBXUCYQTZHCM-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN COCCN(Cc1cc(-c2cccs2)on1)[C@@H]1CCC[C@@H]1C ZINC000488007899 1049591141 /nfs/dbraw/zinc/59/11/41/1049591141.db2.gz KABRVDXURJNKGD-DZGCQCFKSA-N 0 3 320.458 4.040 20 0 DIADHN COCCN(Cc1cc(-c2cccs2)on1)[C@H]1CCC[C@H]1C ZINC000488007900 1049591597 /nfs/dbraw/zinc/59/15/97/1049591597.db2.gz KABRVDXURJNKGD-HIFRSBDPSA-N 0 3 320.458 4.040 20 0 DIADHN CN(Cc1ccc(C2CC2)cc1)C[C@H]1OCCc2ccccc21 ZINC001205889372 1049592704 /nfs/dbraw/zinc/59/27/04/1049592704.db2.gz DRTMXMCNKQAAIW-OAQYLSRUSA-N 0 3 307.437 4.310 20 0 DIADHN COCCN(Cc1ncc(-c2cccs2)o1)[C@H]1CCC[C@@H]1C ZINC000488039324 1049593292 /nfs/dbraw/zinc/59/32/92/1049593292.db2.gz CSPMNQDXSRVZJH-KBPBESRZSA-N 0 3 320.458 4.040 20 0 DIADHN COC(=O)c1csc(CN2CC3(CCCCC3)[C@H]2C(C)C)c1 ZINC001182251541 1049594723 /nfs/dbraw/zinc/59/47/23/1049594723.db2.gz PNJXBERWFHFAOU-MRXNPFEDSA-N 0 3 321.486 4.325 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1cc2ccccc2[nH]c1=O ZINC000488045568 1049594874 /nfs/dbraw/zinc/59/48/74/1049594874.db2.gz UGCRAFJOLAAGIJ-UHFFFAOYSA-N 0 3 310.372 4.020 20 0 DIADHN C[C@]1(CCCO)CCCN1Cc1cc2ccccc2c(Cl)n1 ZINC000794563862 1049595714 /nfs/dbraw/zinc/59/57/14/1049595714.db2.gz YFEYOBKRTIQJQX-GOSISDBHSA-N 0 3 318.848 4.015 20 0 DIADHN CCC(CC)[C@@H](NCc1cccnc1OC)c1cccs1 ZINC000488130306 1049602701 /nfs/dbraw/zinc/60/27/01/1049602701.db2.gz OXIKXOFJEZQTQT-MRXNPFEDSA-N 0 3 304.459 4.419 20 0 DIADHN CCC(CC)[C@H](NCc1cccnc1OC)c1cccs1 ZINC000488130304 1049603142 /nfs/dbraw/zinc/60/31/42/1049603142.db2.gz OXIKXOFJEZQTQT-INIZCTEOSA-N 0 3 304.459 4.419 20 0 DIADHN CC1(C)CN(Cc2cc(F)ccc2F)CCc2ccccc21 ZINC001196023744 1049603771 /nfs/dbraw/zinc/60/37/71/1049603771.db2.gz WMOCAMIEEARQAP-UHFFFAOYSA-N 0 3 301.380 4.301 20 0 DIADHN CC[C@@H]1C[C@H]1CN(C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC001196014375 1049603940 /nfs/dbraw/zinc/60/39/40/1049603940.db2.gz UNPIHLYDWZELIV-MNOVXSKESA-N 0 3 311.351 4.060 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CCSC[C@@H](C)C1 ZINC000488158881 1049604622 /nfs/dbraw/zinc/60/46/22/1049604622.db2.gz GUQSXEHEPVKUDX-RYUDHWBXSA-N 0 3 314.292 4.195 20 0 DIADHN CCC(CC)CN(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001196013822 1049605117 /nfs/dbraw/zinc/60/51/17/1049605117.db2.gz MWCKKTFCPKELKE-UHFFFAOYSA-N 0 3 313.367 4.450 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(CCc2noc3ccccc32)C1 ZINC000653768584 1049611166 /nfs/dbraw/zinc/61/11/66/1049611166.db2.gz RVTAFQBPGGNUCO-GFCCVEGCSA-N 0 3 312.335 4.035 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@H](C)Cc2ccccc2Cl)c1 ZINC000774150119 1049611359 /nfs/dbraw/zinc/61/13/59/1049611359.db2.gz JGXGBIIVVVLMNP-NEPJUHHUSA-N 0 3 321.804 4.001 20 0 DIADHN CC[C@H](NCC[C@H]1CCC[C@H](C)C1)c1nnc2n1CCCCC2 ZINC001196092251 1049612686 /nfs/dbraw/zinc/61/26/86/1049612686.db2.gz GQJOTONBGOSIBX-BBWFWOEESA-N 0 3 318.509 4.262 20 0 DIADHN CCC1(CC)CCCN([C@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC001196104565 1049616556 /nfs/dbraw/zinc/61/65/56/1049616556.db2.gz NRTKXYXSZFISEX-OAHLLOKOSA-N 0 3 320.452 4.363 20 0 DIADHN COc1nccc(CN2CC[C@H](CC(C)(C)C)C2(C)C)c1F ZINC001182310480 1049616887 /nfs/dbraw/zinc/61/68/87/1049616887.db2.gz ZERPHQIESQPLBH-CQSZACIVSA-N 0 3 308.441 4.266 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC001196135340 1049617883 /nfs/dbraw/zinc/61/78/83/1049617883.db2.gz VQYGLUZMMRECIL-HRAATJIYSA-N 0 3 321.420 4.248 20 0 DIADHN C[C@H]1CSCCN(Cc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC000488244865 1049620366 /nfs/dbraw/zinc/62/03/66/1049620366.db2.gz AMMXVCNNHXYNNH-GFCCVEGCSA-N 0 3 322.861 4.180 20 0 DIADHN Cc1ccc2nc(-c3ccc(F)cc3)c(CN3C[C@H](C)[C@@H]3C)n2c1 ZINC001206018298 1049630528 /nfs/dbraw/zinc/63/05/28/1049630528.db2.gz YYQNRWZNJONTHP-GJZGRUSLSA-N 0 3 323.415 4.289 20 0 DIADHN CC(C)C1CC(Nc2cccc(CN3CCSCC3)c2)C1 ZINC000488315377 1049633324 /nfs/dbraw/zinc/63/33/24/1049633324.db2.gz DJHHBUNFVYBLEB-UHFFFAOYSA-N 0 3 304.503 4.082 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCOc2cccc(Cl)c2)on1 ZINC000067387259 1049633698 /nfs/dbraw/zinc/63/36/98/1049633698.db2.gz SHNVSRFYZPGCBX-MRXNPFEDSA-N 0 3 320.820 4.242 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(c2ccc(F)cn2)CC1 ZINC001196262680 1049633687 /nfs/dbraw/zinc/63/36/87/1049633687.db2.gz HDNCNEWPMWEYKP-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@@H](C3CCC3)C2)co1 ZINC001196254418 1049633709 /nfs/dbraw/zinc/63/37/09/1049633709.db2.gz LNKBMBVXJOJAEF-OAHLLOKOSA-N 0 3 314.404 4.493 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CC[C@H](C2CCC2)C1 ZINC001182356876 1049635531 /nfs/dbraw/zinc/63/55/31/1049635531.db2.gz PVZISZXLHUJMQB-AWEZNQCLSA-N 0 3 302.443 4.334 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2ccc([O-])cc2F)nc1 ZINC001206045063 1049638842 /nfs/dbraw/zinc/63/88/42/1049638842.db2.gz YNQLIADUNIMZOY-GOSISDBHSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2ccc([O-])cc2F)nc1 ZINC001206045063 1049638847 /nfs/dbraw/zinc/63/88/47/1049638847.db2.gz YNQLIADUNIMZOY-GOSISDBHSA-N 0 3 300.377 4.140 20 0 DIADHN C[C@](Cc1ccccc1)(NCc1nc(Cl)cs1)C1CC1 ZINC001182410689 1049641010 /nfs/dbraw/zinc/64/10/10/1049641010.db2.gz SKBBBMUOYFLOLP-MRXNPFEDSA-N 0 3 306.862 4.298 20 0 DIADHN COc1cccnc1CN[C@H]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC001182389544 1049641243 /nfs/dbraw/zinc/64/12/43/1049641243.db2.gz ZTKCCPZLCQMFKJ-CVEARBPZSA-N 0 3 316.832 4.170 20 0 DIADHN CCCSC[C@H](C)NCc1cc(Br)ccc1F ZINC001206056154 1049642473 /nfs/dbraw/zinc/64/24/73/1049642473.db2.gz PMYNRBKAUQUAHL-JTQLQIEISA-N 0 3 320.271 4.210 20 0 DIADHN CO[C@H](CN[C@H]1C[C@H](C(C)C)c2ccccc21)C(F)(F)F ZINC000774294310 1049642732 /nfs/dbraw/zinc/64/27/32/1049642732.db2.gz WZJHFTXMXZELJO-QLFBSQMISA-N 0 3 301.352 4.038 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC001206057291 1049643056 /nfs/dbraw/zinc/64/30/56/1049643056.db2.gz ZXGLYISOTZDPQG-TXEJJXNPSA-N 0 3 322.905 4.388 20 0 DIADHN CO[C@H](CN[C@@H]1C[C@H](C(C)C)c2ccccc21)C(F)(F)F ZINC000774294379 1049643176 /nfs/dbraw/zinc/64/31/76/1049643176.db2.gz WZJHFTXMXZELJO-RBSFLKMASA-N 0 3 301.352 4.038 20 0 DIADHN CCCCC[C@@H]1CCCCN1CCCS(=O)(=O)C(C)(C)C ZINC001196328764 1049644021 /nfs/dbraw/zinc/64/40/21/1049644021.db2.gz GXBZTXYLLJFSAO-MRXNPFEDSA-N 0 3 317.539 4.025 20 0 DIADHN CN(Cc1cc(F)ccc1Oc1ccccc1)C[C@H]1CCOC1 ZINC001202513278 1049649660 /nfs/dbraw/zinc/64/96/60/1049649660.db2.gz PWCOYDYPCBDFBI-OAHLLOKOSA-N 0 3 315.388 4.086 20 0 DIADHN CC[C@@H](CO)N(Cc1cc(C)on1)C1CCC(C(C)(C)C)CC1 ZINC001196408711 1049652878 /nfs/dbraw/zinc/65/28/78/1049652878.db2.gz AUPSAEOFEUQONP-NXYGQSRBSA-N 0 3 322.493 4.161 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H](C3CCCCC3)C2)cs1 ZINC001196408974 1049653043 /nfs/dbraw/zinc/65/30/43/1049653043.db2.gz CQKKABQDTFAKTP-MRXNPFEDSA-N 0 3 321.486 4.327 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCCc3ccc(C)cc32)cn1 ZINC000339068313 1049654716 /nfs/dbraw/zinc/65/47/16/1049654716.db2.gz DNUJPRFTXOWYHA-FQEVSTJZSA-N 0 3 323.484 4.403 20 0 DIADHN Cc1ccc(CCCN2CCC[C@@]2(C)C(=O)OC(C)(C)C)cc1 ZINC001196420115 1049655752 /nfs/dbraw/zinc/65/57/52/1049655752.db2.gz QBIMRDKIEWNSHP-FQEVSTJZSA-N 0 3 317.473 4.124 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@H]1CC1CCCCC1 ZINC000488462498 1049659803 /nfs/dbraw/zinc/65/98/03/1049659803.db2.gz RCSWEUZGGYUHES-SFHVURJKSA-N 0 3 314.473 4.368 20 0 DIADHN CC(C)(NC[C@@H]1CC2(CCC2)CO1)c1nc2ccccc2s1 ZINC001196489196 1049660486 /nfs/dbraw/zinc/66/04/86/1049660486.db2.gz MPCIYMKLPRXSAJ-ZDUSSCGKSA-N 0 3 316.470 4.080 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)Cc2ccc(Cl)cc2)[n-]1 ZINC000488468909 1049661511 /nfs/dbraw/zinc/66/15/11/1049661511.db2.gz YIVDQRWEFQAZCW-AVGNSLFASA-N 0 3 320.868 4.253 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](c2ccccc2F)C2CCCC2)o1 ZINC000774429793 1049661443 /nfs/dbraw/zinc/66/14/43/1049661443.db2.gz AUTXPKMQHZQHKJ-HKUYNNGSSA-N 0 3 317.404 4.282 20 0 DIADHN COc1ccccc1/C=C\CNC(C)(C)c1ccccc1OC ZINC000488483093 1049661574 /nfs/dbraw/zinc/66/15/74/1049661574.db2.gz PJNGJAGEKUEUOX-LUAWRHEFSA-N 0 3 311.425 4.242 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@H](C)c2ccccc2)[n-]1 ZINC000488493380 1049662950 /nfs/dbraw/zinc/66/29/50/1049662950.db2.gz FYZZSMKCMNNURS-AJNGGQMLSA-N 0 3 300.450 4.161 20 0 DIADHN CCCOc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(F)c1 ZINC001206136947 1049663256 /nfs/dbraw/zinc/66/32/56/1049663256.db2.gz TYWKIWFHXCWFAQ-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCc2cccc(F)c2)[n-]1 ZINC000488507705 1049665545 /nfs/dbraw/zinc/66/55/45/1049665545.db2.gz RNJXFOGYTDMRMD-MJBXVCDLSA-N 0 3 318.440 4.129 20 0 DIADHN C[C@H](NCc1c(Cl)cncc1Cl)C1CCC(F)CC1 ZINC001196490019 1049666552 /nfs/dbraw/zinc/66/65/52/1049666552.db2.gz WHMWJRSBWHBIKC-WHXUTIOJSA-N 0 3 305.224 4.395 20 0 DIADHN CC1(C)Cc2n[nH]cc2CN([C@H]2CCCc3ccc(F)cc32)C1 ZINC001196535654 1049668192 /nfs/dbraw/zinc/66/81/92/1049668192.db2.gz MOWPVLVPJQZAJN-SFHVURJKSA-N 0 3 313.420 4.011 20 0 DIADHN COC[C@H](N[C@@H](C)CSC(C)(C)C)c1ccc(F)c(F)c1 ZINC000774473657 1049671556 /nfs/dbraw/zinc/67/15/56/1049671556.db2.gz PWIDSHPZNRAVSF-NHYWBVRUSA-N 0 3 317.445 4.162 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1ccc(C(F)F)nc1 ZINC001182471380 1049673943 /nfs/dbraw/zinc/67/39/43/1049673943.db2.gz VODHHURHWRBUEZ-UHFFFAOYSA-N 0 3 320.383 4.229 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](N[C@H]2CCOc3c(F)cccc32)CS1 ZINC000488550573 1049674542 /nfs/dbraw/zinc/67/45/42/1049674542.db2.gz YOLPZXHTEMBVFC-TUKIKUTGSA-N 0 3 309.450 4.159 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1c[nH]nc1-c1ccccc1 ZINC000488553928 1049675576 /nfs/dbraw/zinc/67/55/76/1049675576.db2.gz FBNBXVWJSFNNGI-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN Cc1ccc([C@H](O)CNC2c3ccccc3-c3ccccc32)cc1 ZINC000774492820 1049676820 /nfs/dbraw/zinc/67/68/20/1049676820.db2.gz VTGXTBTUSABPGQ-OAQYLSRUSA-N 0 3 315.416 4.388 20 0 DIADHN CCN(CC(C)C)[C@@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000488591207 1049681097 /nfs/dbraw/zinc/68/10/97/1049681097.db2.gz FLVQNIAMEWMJPV-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN COc1ncc(CN(Cc2c(C)cccc2C)CC(C)C)s1 ZINC001182520059 1049679009 /nfs/dbraw/zinc/67/90/09/1049679009.db2.gz CXZUKCITTXPXAP-UHFFFAOYSA-N 0 3 318.486 4.427 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@@H]1C[C@@]1(F)c1ccccc1 ZINC001182500420 1049679840 /nfs/dbraw/zinc/67/98/40/1049679840.db2.gz SITWXTGIUMHPCD-FSPWUOQZSA-N 0 3 303.808 4.498 20 0 DIADHN C[C@@H]1C[C@@H]1CN1CCCC[C@@H]1c1nc(-c2cccc(F)c2)c[nH]1 ZINC001206195270 1049680259 /nfs/dbraw/zinc/68/02/59/1049680259.db2.gz QOEFCICHWOHAEK-DDUZABMNSA-N 0 3 313.420 4.399 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccc3c(c2)CCC3)C2CC2)cn1 ZINC001196667816 1049681689 /nfs/dbraw/zinc/68/16/89/1049681689.db2.gz YVZWXBMVPBXXKZ-QKKBWIMNSA-N 0 3 322.452 4.381 20 0 DIADHN CCN(CC(C)C)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000488595572 1049682927 /nfs/dbraw/zinc/68/29/27/1049682927.db2.gz TYKDWOLLWXRZBZ-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC(Cc2nccs2)CC1 ZINC001196727371 1049683165 /nfs/dbraw/zinc/68/31/65/1049683165.db2.gz CJXPBFBBSJHEKJ-CYBMUJFWSA-N 0 3 304.434 4.298 20 0 DIADHN CN(Cc1ccc(Sc2ccccn2)o1)C1CC(C)(C)C1 ZINC001206215228 1049683464 /nfs/dbraw/zinc/68/34/64/1049683464.db2.gz NMDAVZRSKCATBP-UHFFFAOYSA-N 0 3 302.443 4.446 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@@]1(C)Cc1ccccc1F ZINC001182542182 1049685050 /nfs/dbraw/zinc/68/50/50/1049685050.db2.gz CKJOHTMINJGSIA-IBGZPJMESA-N 0 3 315.436 4.200 20 0 DIADHN CCCN(CC)[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000488732137 1049690541 /nfs/dbraw/zinc/69/05/41/1049690541.db2.gz ZWRPIBFZKPOYDQ-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000488721404 1049690684 /nfs/dbraw/zinc/69/06/84/1049690684.db2.gz DIJUMPGORPVVJO-HXUWFJFHSA-N 0 3 318.505 4.269 20 0 DIADHN CCN(Cc1cccc(Br)c1)[C@H](C)c1cccnc1 ZINC000488757524 1049693837 /nfs/dbraw/zinc/69/38/37/1049693837.db2.gz HPOWUKLOTWMPCU-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN COC[C@@H]1CCCCN1Cc1ccc(-c2cccc(F)c2F)o1 ZINC001206270055 1049694294 /nfs/dbraw/zinc/69/42/94/1049694294.db2.gz JGMAPMLHCGAWDE-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC(c3ccncn3)CC2)cc1C ZINC001206270391 1049694417 /nfs/dbraw/zinc/69/44/17/1049694417.db2.gz NBXIDPARPDQTOZ-SNAWJCMRSA-N 0 3 321.468 4.295 20 0 DIADHN CC[C@H](CN(C)Cc1ccccc1OCc1ccccc1)OC ZINC001196888496 1049695950 /nfs/dbraw/zinc/69/59/50/1049695950.db2.gz OEQIQUAUYMHHRA-LJQANCHMSA-N 0 3 313.441 4.122 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1Cc1cccc2c1OCC2 ZINC001206276867 1049696207 /nfs/dbraw/zinc/69/62/07/1049696207.db2.gz RJMXSNOERJZFQR-IBGZPJMESA-N 0 3 311.400 4.406 20 0 DIADHN CCNc1ccccc1CN1C[C@H](C)OC2(CCCCC2)C1 ZINC001182645187 1049696490 /nfs/dbraw/zinc/69/64/90/1049696490.db2.gz PGMWFPISYBMTED-INIZCTEOSA-N 0 3 302.462 4.042 20 0 DIADHN CCc1cc(OC)ccc1CN1C[C@H](C)OC2(CCCCC2)C1 ZINC001182644018 1049696977 /nfs/dbraw/zinc/69/69/77/1049696977.db2.gz FJLQJKUVKSHIGL-INIZCTEOSA-N 0 3 317.473 4.181 20 0 DIADHN Cc1cc(Br)c2c(c1)CCN(CC1CC=CC1)C2 ZINC001196869559 1049699422 /nfs/dbraw/zinc/69/94/22/1049699422.db2.gz MSRRUJYHBNYRGA-UHFFFAOYSA-N 0 3 306.247 4.082 20 0 DIADHN C[C@@H]1CN(Cc2nc3cc(F)ccc3o2)CCc2ccccc21 ZINC000911595608 1049702122 /nfs/dbraw/zinc/70/21/22/1049702122.db2.gz KLMJAJWELRDPTQ-CYBMUJFWSA-N 0 3 310.372 4.129 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@](C)(c2cccc(F)c2)C1 ZINC001182752687 1049704176 /nfs/dbraw/zinc/70/41/76/1049704176.db2.gz CFKIUJMWEGLCQW-IBGZPJMESA-N 0 3 315.436 4.075 20 0 DIADHN c1nn(CC2CCC2)cc1CN1CCC2(CCCCC2)CC1 ZINC001182796424 1049711314 /nfs/dbraw/zinc/71/13/14/1049711314.db2.gz UPHHHFFTKPEGQD-UHFFFAOYSA-N 0 3 301.478 4.230 20 0 DIADHN c1c(CN2CCC3(CCCCC3)CC2)[nH]nc1-c1ccccn1 ZINC001182796722 1049711411 /nfs/dbraw/zinc/71/14/11/1049711411.db2.gz YKKLZXNWFRDLPB-UHFFFAOYSA-N 0 3 310.445 4.018 20 0 DIADHN Fc1ccccc1C[C@H]1CCCCN1C[C@H]1CC1(Cl)Cl ZINC001182765747 1049713154 /nfs/dbraw/zinc/71/31/54/1049713154.db2.gz WCJUSWOWAMTUKJ-ZIAGYGMSSA-N 0 3 316.247 4.417 20 0 DIADHN c1ccc([C@H]2CN(Cc3nccc4c3CCCC4)CCS2)cc1 ZINC001182765351 1049713357 /nfs/dbraw/zinc/71/33/57/1049713357.db2.gz UOMGCYBOANTRCP-HXUWFJFHSA-N 0 3 324.493 4.251 20 0 DIADHN CC[C@@H]1CN(CCc2ccc(C(C)(C)C)cc2)C[C@@H](CC)O1 ZINC001206349965 1049717751 /nfs/dbraw/zinc/71/77/51/1049717751.db2.gz DQXHLJSIDNMEOS-RTBURBONSA-N 0 3 303.490 4.416 20 0 DIADHN CC[C@H]1CN(CCc2ccc(C(C)(C)C)cc2)C[C@H](CC)O1 ZINC001206349962 1049718027 /nfs/dbraw/zinc/71/80/27/1049718027.db2.gz DQXHLJSIDNMEOS-OALUTQOASA-N 0 3 303.490 4.416 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H](OC2CCC2)CC1 ZINC001197070076 1049719015 /nfs/dbraw/zinc/71/90/15/1049719015.db2.gz QVFWHTYCRPRSMN-CJNGLKHVSA-N 0 3 309.400 4.449 20 0 DIADHN CCOC(=O)CCCCCN1CCC[C@@](F)(c2ccccc2)C1 ZINC001197076788 1049719537 /nfs/dbraw/zinc/71/95/37/1049719537.db2.gz HSZXCIKDLQGSCP-IBGZPJMESA-N 0 3 321.436 4.071 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@H]2CCC[C@H](CC(C)C)C2)s1 ZINC001206356948 1049719787 /nfs/dbraw/zinc/71/97/87/1049719787.db2.gz BXSJSTRWRFUPFL-MJBXVCDLSA-N 0 3 324.490 4.185 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCC(F)(F)F)c(F)c2)[C@H](C)C1 ZINC001206371770 1049722559 /nfs/dbraw/zinc/72/25/59/1049722559.db2.gz SNGKBSKLUIKMNG-VXGBXAGGSA-N 0 3 319.342 4.387 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCCCN1C[C@@H]1CC2CCC1CC2 ZINC001197177645 1049726386 /nfs/dbraw/zinc/72/63/86/1049726386.db2.gz SSASOAKVPCSMMA-GQGLESIBSA-N 0 3 307.478 4.009 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCN(C)c2ncccc2C1 ZINC001197212577 1049729151 /nfs/dbraw/zinc/72/91/51/1049729151.db2.gz SZXAZFIIVSYMSI-MRXNPFEDSA-N 0 3 317.436 4.248 20 0 DIADHN Cc1ccc(CCO[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001206415492 1049730535 /nfs/dbraw/zinc/73/05/35/1049730535.db2.gz BOSWUUWQAORTSZ-QUCCMNQESA-N 0 3 324.468 4.175 20 0 DIADHN CCN(Cc1nnc(-c2ccccc2Cl)o1)[C@H](C)C(C)C ZINC000067661277 1049737451 /nfs/dbraw/zinc/73/74/51/1049737451.db2.gz OWDCAAVBISBSPU-GFCCVEGCSA-N 0 3 307.825 4.256 20 0 DIADHN CC(C)Oc1ccccc1CN1CCSC[C@@H]1C(C)(C)C ZINC001197295085 1049740297 /nfs/dbraw/zinc/74/02/97/1049740297.db2.gz CXTZVAKXZWHJEF-QGZVFWFLSA-N 0 3 307.503 4.437 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC001197348054 1049740971 /nfs/dbraw/zinc/74/09/71/1049740971.db2.gz DDLMOWUIWDLGCU-CZAAIQMYSA-N 0 3 324.443 4.060 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC001197348056 1049742031 /nfs/dbraw/zinc/74/20/31/1049742031.db2.gz DDLMOWUIWDLGCU-MAODMQOUSA-N 0 3 324.443 4.060 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001197350903 1049742064 /nfs/dbraw/zinc/74/20/64/1049742064.db2.gz TYDKKPCWVNZRJZ-GCJKJVERSA-N 0 3 320.480 4.443 20 0 DIADHN COc1cccc(CN2CCc3ccc(F)cc3[C@@H](C)C2)c1F ZINC001206499305 1049745137 /nfs/dbraw/zinc/74/51/37/1049745137.db2.gz XYRNPIXCYNLFQY-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN CC1(C)[C@H](c2ccc(F)c(F)c2)CCN1CCC(F)(F)F ZINC001197421543 1049751287 /nfs/dbraw/zinc/75/12/87/1049751287.db2.gz MBIPKSHJGWFRKY-NSHDSACASA-N 0 3 307.306 4.485 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CCCC[C@@H]2C2CCC2)s1 ZINC001206552068 1049753949 /nfs/dbraw/zinc/75/39/49/1049753949.db2.gz GJRCXALAPUFOEY-GFCCVEGCSA-N 0 3 313.898 4.017 20 0 DIADHN CC(C)n1nccc1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001206577664 1049760990 /nfs/dbraw/zinc/76/09/90/1049760990.db2.gz NTTYPSBMBKJOIG-QGZVFWFLSA-N 0 3 315.436 4.200 20 0 DIADHN COc1nc2ccccc2cc1CN1CCC(C2CCC2)CC1 ZINC001206596938 1049765685 /nfs/dbraw/zinc/76/56/85/1049765685.db2.gz BCHUEDIRSJOGLH-UHFFFAOYSA-N 0 3 310.441 4.256 20 0 DIADHN COC(=O)c1sccc1CNC[C@]1(C)CC[C@H](C)C1(C)C ZINC001206605472 1049767531 /nfs/dbraw/zinc/76/75/31/1049767531.db2.gz QUUGVWZAQNTMRO-SJCJKPOMSA-N 0 3 309.475 4.087 20 0 DIADHN Cc1ccc(CN2CCC([C@H]3CCCCO3)CC2)cc1Cl ZINC001206691672 1049780213 /nfs/dbraw/zinc/78/02/13/1049780213.db2.gz MYYKNDFXHWNFEZ-GOSISDBHSA-N 0 3 307.865 4.430 20 0 DIADHN FC(F)(F)c1ccc(CNCCCC2CCC2)c(Cl)n1 ZINC001206696612 1049780636 /nfs/dbraw/zinc/78/06/36/1049780636.db2.gz AQMZOHOHMMDMSW-UHFFFAOYSA-N 0 3 306.759 4.424 20 0 DIADHN CC(C)C1CC(N[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001206720548 1049783237 /nfs/dbraw/zinc/78/32/37/1049783237.db2.gz JWLBMJRYRODXSF-MGULZYLOSA-N 0 3 302.245 4.051 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)C1(C)CCCC1 ZINC000489881806 1049786801 /nfs/dbraw/zinc/78/68/01/1049786801.db2.gz HYYPICOKWHMCDA-UHFFFAOYSA-N 0 3 302.462 4.014 20 0 DIADHN CN(CC(=O)Nc1c(Cl)cccc1Cl)C1(C)CCCC1 ZINC000489902396 1049788501 /nfs/dbraw/zinc/78/85/01/1049788501.db2.gz VAWCUGNFPQCPME-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN CCCCOCCN1CCC[C@H](c2nc3c(s2)CCCC3)C1 ZINC000490008370 1049794137 /nfs/dbraw/zinc/79/41/37/1049794137.db2.gz QAKMJONCMHUUIB-HNNXBMFYSA-N 0 3 322.518 4.018 20 0 DIADHN CCCCOCCN1CCC[C@@H](c2nc3c(s2)CCCC3)C1 ZINC000490008372 1049794933 /nfs/dbraw/zinc/79/49/33/1049794933.db2.gz QAKMJONCMHUUIB-OAHLLOKOSA-N 0 3 322.518 4.018 20 0 DIADHN Fc1cnccc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001206783718 1049800179 /nfs/dbraw/zinc/80/01/79/1049800179.db2.gz OMRPCGJXTCWCOQ-UHFFFAOYSA-N 0 3 310.416 4.091 20 0 DIADHN C[C@H]1CN(Cc2ccncc2F)CCc2ccc(Cl)cc21 ZINC001206789363 1049800262 /nfs/dbraw/zinc/80/02/62/1049800262.db2.gz OLFNDWQRWJVEPA-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN C[C@@H]1CCC[C@@H](CN[C@H](c2cnn(C)c2)c2cccc(F)c2)C1 ZINC000490147823 1049803203 /nfs/dbraw/zinc/80/32/03/1049803203.db2.gz ANUYNNOZISZYMM-CLCXKQKWSA-N 0 3 315.436 4.065 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN1CCCCCCC1 ZINC001206811814 1049806010 /nfs/dbraw/zinc/80/60/10/1049806010.db2.gz DZZKTMBAKGQJGQ-UHFFFAOYSA-N 0 3 321.465 4.049 20 0 DIADHN COc1ccc(-c2cc(CN3CCC4(CCCC4)C3)no2)cc1 ZINC001206826938 1049809000 /nfs/dbraw/zinc/80/90/00/1049809000.db2.gz FLQQBPAVDNOXQG-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN Fc1ccc(-c2cc(CN3C4CCCC3CCC4)no2)cc1 ZINC001206835653 1049810012 /nfs/dbraw/zinc/81/00/12/1049810012.db2.gz ALWJPWIKWUOMFX-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC[C@@H]4CCC[C@H]43)no2)cc1 ZINC001206836335 1049810905 /nfs/dbraw/zinc/81/09/05/1049810905.db2.gz KRUJFGBQFSWZAB-SUMWQHHRSA-N 0 3 300.377 4.245 20 0 DIADHN Fc1cncc(CN2CCC3(CCCc4ccccc43)CC2)c1 ZINC001207017673 1049821444 /nfs/dbraw/zinc/82/14/44/1049821444.db2.gz BOPOLCVQHNRGLW-UHFFFAOYSA-N 0 3 310.416 4.091 20 0 DIADHN CCCCCc1ccc([C@H](NCc2cnnn2C)C(C)C)cc1 ZINC000490315329 1049823069 /nfs/dbraw/zinc/82/30/69/1049823069.db2.gz STQRRBYJPDLNMC-LJQANCHMSA-N 0 3 314.477 4.035 20 0 DIADHN C[Si](C)(C)CN(Cc1ccccc1)Cc1ncccc1F ZINC001207034775 1049823241 /nfs/dbraw/zinc/82/32/41/1049823241.db2.gz XJSSWSWSVOSFLE-UHFFFAOYSA-N 0 3 302.469 4.100 20 0 DIADHN C[C@@H]1C[C@@H](CN(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC000490403054 1049829660 /nfs/dbraw/zinc/82/96/60/1049829660.db2.gz OJICBTSPHPGITI-NEPJUHHUSA-N 0 3 302.245 4.240 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1ccc(Br)c2cccnc12 ZINC000490405678 1049831072 /nfs/dbraw/zinc/83/10/72/1049831072.db2.gz WMHPTKUCUJOWBE-RYUDHWBXSA-N 0 3 319.246 4.370 20 0 DIADHN Fc1cccc(OC(F)F)c1CN1CCC2(CC(F)C2)CC1 ZINC001207111356 1049836746 /nfs/dbraw/zinc/83/67/46/1049836746.db2.gz HFKGRBSMOQFPTC-UHFFFAOYSA-N 0 3 317.326 4.141 20 0 DIADHN C[C@@H]1CCN(CCCc2ccc(Br)cc2)C[C@H]1F ZINC001207317533 1049879830 /nfs/dbraw/zinc/87/98/30/1049879830.db2.gz JHYVYTNECWVGDS-IUODEOHRSA-N 0 3 314.242 4.062 20 0 DIADHN CN(Cc1cc[nH]c(=O)c1)Cc1ccccc1Oc1ccccc1 ZINC001207123714 1049839757 /nfs/dbraw/zinc/83/97/57/1049839757.db2.gz YQUXSBADWBVNQJ-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2cccc(Cl)c2)CC1 ZINC001207133753 1049843449 /nfs/dbraw/zinc/84/34/49/1049843449.db2.gz WGNNAZBBNGFHHH-UHFFFAOYSA-N 0 3 318.848 4.400 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1c(C)noc1C ZINC001207139238 1049843591 /nfs/dbraw/zinc/84/35/91/1049843591.db2.gz QQWMOCNINMJHOX-MRXNPFEDSA-N 0 3 302.443 4.350 20 0 DIADHN Cc1ncccc1CN1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001207154989 1049845036 /nfs/dbraw/zinc/84/50/36/1049845036.db2.gz IKFKQKUAKIOLRQ-SFHVURJKSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1cncc(CN2CCC(Cc3cccc(Cl)c3)CC2)c1 ZINC001207155635 1049845703 /nfs/dbraw/zinc/84/57/03/1049845703.db2.gz VVBLXYVLWPKWRY-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1ncccc1CN1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001207153752 1049845934 /nfs/dbraw/zinc/84/59/34/1049845934.db2.gz CUCLELCYGGDMJP-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN CCn1cncc1CN1CCC(Cc2ccc(Cl)cc2)CC1 ZINC001207173738 1049851548 /nfs/dbraw/zinc/85/15/48/1049851548.db2.gz BEIZRRHVGYRUIZ-UHFFFAOYSA-N 0 3 317.864 4.011 20 0 DIADHN FC[C@H](N[C@H]1CCC[C@@H](C2CCOCC2)C1)c1ccc(F)cc1 ZINC001207225736 1049864992 /nfs/dbraw/zinc/86/49/92/1049864992.db2.gz OTNXYTZTPHGQRJ-NEWSRXKRSA-N 0 3 323.427 4.411 20 0 DIADHN O=C(Nc1ccccc1)[C@@H]1CCCN1CCC1CCCCC1 ZINC001207260574 1049871284 /nfs/dbraw/zinc/87/12/84/1049871284.db2.gz CNPQITUWVWFPDN-SFHVURJKSA-N 0 3 300.446 4.060 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc(F)ccc2Cl)c1 ZINC000490887912 1049873121 /nfs/dbraw/zinc/87/31/21/1049873121.db2.gz XKYWDGNYSIDRPU-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC1=CC[C@@H](CCN2CCc3cnc(C4CC4)nc3C2)C1(C)C ZINC001207274552 1049873225 /nfs/dbraw/zinc/87/32/25/1049873225.db2.gz CPVXOYOGWZAVGF-KRWDZBQOSA-N 0 3 311.473 4.095 20 0 DIADHN CC1=CC[C@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C1(C)C ZINC001207278484 1049873947 /nfs/dbraw/zinc/87/39/47/1049873947.db2.gz DQMAWDPGMOQERU-CQSZACIVSA-N 0 3 317.395 4.212 20 0 DIADHN CC1=CC[C@@H](CCN2CCc3nc(C4CC4)ncc3C2)C1(C)C ZINC001207277930 1049874619 /nfs/dbraw/zinc/87/46/19/1049874619.db2.gz XKGHMJSTJUJLFS-KRWDZBQOSA-N 0 3 311.473 4.095 20 0 DIADHN FC1CCN(Cc2cc3cc(Br)ccc3o2)CC1 ZINC001207313804 1049878839 /nfs/dbraw/zinc/87/88/39/1049878839.db2.gz KNIHDZPAYOKXDU-UHFFFAOYSA-N 0 3 312.182 4.129 20 0 DIADHN CN(Cc1coc(-c2ccc(F)c(F)c2)n1)CC1CCCC1 ZINC000491025448 1049879829 /nfs/dbraw/zinc/87/98/29/1049879829.db2.gz CTCQBWLCJZSVFG-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCOC2)c2ccc(Cl)cc2)cc1 ZINC000491046769 1049881467 /nfs/dbraw/zinc/88/14/67/1049881467.db2.gz ATFWGQFYLGMZHN-QZTJIDSGSA-N 0 3 319.807 4.337 20 0 DIADHN CC1(C)C[C@H](CN2CCn3cccc3[C@@H]2c2ccccc2)CCO1 ZINC001207352057 1049883760 /nfs/dbraw/zinc/88/37/60/1049883760.db2.gz CUSWPURXHWEANO-XLIONFOSSA-N 0 3 324.468 4.098 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@H](C2CC2)C1 ZINC000491136884 1049886641 /nfs/dbraw/zinc/88/66/41/1049886641.db2.gz DRWZSNLCWJQPSF-FZMZJTMJSA-N 0 3 317.820 4.183 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CCc2cccc(Cl)c2)cn1 ZINC001207425433 1049892561 /nfs/dbraw/zinc/89/25/61/1049892561.db2.gz HEGCNVMGVCZLHE-SFHVURJKSA-N 0 3 300.833 4.423 20 0 DIADHN Fc1cc(Cl)ccc1CNC1CC(Nc2ccccc2)C1 ZINC001207460268 1049895005 /nfs/dbraw/zinc/89/50/05/1049895005.db2.gz TXYANJXYIWMJOJ-UHFFFAOYSA-N 0 3 304.796 4.212 20 0 DIADHN CCN(CCc1ccccc1OC)Cc1cccc(F)c1F ZINC001207460117 1049895683 /nfs/dbraw/zinc/89/56/83/1049895683.db2.gz IURIVFQELLPMDZ-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN COc1ccc(CCN2CCC[C@@H]2c2cc(F)ccc2F)cc1 ZINC001207477578 1049897746 /nfs/dbraw/zinc/89/77/46/1049897746.db2.gz NRQKZLISZUFGNP-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1ccccc1OC1CCN(CCc2ccccc2F)CC1 ZINC001207497852 1049898954 /nfs/dbraw/zinc/89/89/54/1049898954.db2.gz WMGIBCSLKNFFDM-UHFFFAOYSA-N 0 3 313.416 4.220 20 0 DIADHN Fc1ccccc1CCCN1CC[C@@H]1COCc1ccccc1 ZINC001207494531 1049899572 /nfs/dbraw/zinc/89/95/72/1049899572.db2.gz XTLBHSUAVMZTGI-LJQANCHMSA-N 0 3 313.416 4.049 20 0 DIADHN Cc1ccc(OC2CCN(CCc3ccccc3F)CC2)cc1 ZINC001207502176 1049900307 /nfs/dbraw/zinc/90/03/07/1049900307.db2.gz PHKIFODNVRIBQY-UHFFFAOYSA-N 0 3 313.416 4.220 20 0 DIADHN Fc1ccccc1CCN1CCC[C@@H](Sc2ccncc2)C1 ZINC001207502374 1049901069 /nfs/dbraw/zinc/90/10/69/1049901069.db2.gz XVHHIGUJTMSHGS-QGZVFWFLSA-N 0 3 316.445 4.020 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2c(F)cccc2F)cc1 ZINC001207516438 1049902419 /nfs/dbraw/zinc/90/24/19/1049902419.db2.gz FALPJTRCUIZJAZ-QGZVFWFLSA-N 0 3 305.343 4.484 20 0 DIADHN c1ccc(C(CN2CCc3cnccc3C2)c2ccccc2)cc1 ZINC001207523126 1049904562 /nfs/dbraw/zinc/90/45/62/1049904562.db2.gz UCFZWZUQTGHGBX-UHFFFAOYSA-N 0 3 314.432 4.272 20 0 DIADHN c1ccc(SC2CC(NCc3nc4c(s3)CCC4)C2)cc1 ZINC001207548788 1049907891 /nfs/dbraw/zinc/90/78/91/1049907891.db2.gz NORMDCHDBBWPQY-UHFFFAOYSA-N 0 3 316.495 4.045 20 0 DIADHN CN(CCCc1cccc(Cl)c1)Cc1cnc2ccccn12 ZINC001207583885 1049911971 /nfs/dbraw/zinc/91/19/71/1049911971.db2.gz OSHBWPRSEBWAIN-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN Cc1nn2c(CN3CC[C@@H](C)C[C@@H](C)C3)c(C(C)C)nc2s1 ZINC001207587992 1049913494 /nfs/dbraw/zinc/91/34/94/1049913494.db2.gz DJGSUPNUEFFHCK-CHWSQXEVSA-N 0 3 320.506 4.091 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@@]1(c2ccccc2)CCCO1 ZINC001207638592 1049919293 /nfs/dbraw/zinc/91/92/93/1049919293.db2.gz GTUCVYCWODPAMQ-GOSISDBHSA-N 0 3 319.807 4.275 20 0 DIADHN c1cc2n(c1)CCN(CC1CCSCC1)[C@@H]2c1ccccc1 ZINC001207693248 1049929108 /nfs/dbraw/zinc/92/91/08/1049929108.db2.gz PWIQZPRBSMYJSS-LJQANCHMSA-N 0 3 312.482 4.036 20 0 DIADHN COc1ccc2oc(CN3CCC(F)(C4CC4)CC3)cc2c1 ZINC001207716493 1049930552 /nfs/dbraw/zinc/93/05/52/1049930552.db2.gz ZXJHVLJXCOGYGC-UHFFFAOYSA-N 0 3 303.377 4.156 20 0 DIADHN CC(C)c1cc(Cl)ccc1NC(=O)NC[C@H]1CCCCN1C ZINC001207748547 1049934010 /nfs/dbraw/zinc/93/40/10/1049934010.db2.gz UQVZNCQRSRBQEV-CQSZACIVSA-N 0 3 323.868 4.069 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CN(CC1CCSCC1)CC2 ZINC001207751567 1049934931 /nfs/dbraw/zinc/93/49/31/1049934931.db2.gz IRAMAFWCSZCKNT-UHFFFAOYSA-N 0 3 315.404 4.207 20 0 DIADHN CN(CCCC1CCCCC1)Cc1ccc([S@](C)=O)cc1 ZINC000571602798 1049939879 /nfs/dbraw/zinc/93/98/79/1049939879.db2.gz YCEAWYLYJWPBDX-NRFANRHFSA-N 0 3 307.503 4.216 20 0 DIADHN CCC[C@@H](NCc1ncccc1Br)[C@H]1CC1(C)C ZINC001207799253 1049939936 /nfs/dbraw/zinc/93/99/36/1049939936.db2.gz YNAFNBAOWHKETF-DGCLKSJQSA-N 0 3 311.267 4.149 20 0 DIADHN Cc1ccc2cc(CN3CCO[C@H](C(C)(C)C)CC3)[nH]c2c1 ZINC001207835764 1049943430 /nfs/dbraw/zinc/94/34/30/1049943430.db2.gz DXAQNNRIMLJYGI-SFHVURJKSA-N 0 3 300.446 4.113 20 0 DIADHN CC(=O)[C@@H]1CCCCN1CCCc1cccc(C(F)(F)F)c1 ZINC001207845616 1049945003 /nfs/dbraw/zinc/94/50/03/1049945003.db2.gz GJOFPRXXXCHZLN-INIZCTEOSA-N 0 3 313.363 4.082 20 0 DIADHN CC(=O)[C@H]1CCCCN1CCCc1cccc(C(F)(F)F)c1 ZINC001207845617 1049945061 /nfs/dbraw/zinc/94/50/61/1049945061.db2.gz GJOFPRXXXCHZLN-MRXNPFEDSA-N 0 3 313.363 4.082 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCCN1C[C@@H]1CCCO1 ZINC001207895777 1049949825 /nfs/dbraw/zinc/94/98/25/1049949825.db2.gz DYWUWNRZSQRUMO-BBRMVZONSA-N 0 3 313.363 4.411 20 0 DIADHN CN(CC1CCCCC1)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000588003372 1049950411 /nfs/dbraw/zinc/95/04/11/1049950411.db2.gz RNDFGIGVOYZBKX-IBGZPJMESA-N 0 3 315.436 4.161 20 0 DIADHN C[C@H](Cc1ccc(C(C)(C)C)cc1)CN1CCc2ncncc2C1 ZINC001207917867 1049956063 /nfs/dbraw/zinc/95/60/63/1049956063.db2.gz XQVMWEWPLVRSRB-MRXNPFEDSA-N 0 3 323.484 4.011 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)CN2CCC(=O)[C@H](C)CC2)cc1 ZINC001207931583 1049957215 /nfs/dbraw/zinc/95/72/15/1049957215.db2.gz HNZIZOKDRGXGFM-IAGOWNOFSA-N 0 3 301.474 4.290 20 0 DIADHN Cc1cc(CN(C)C[C@H](C)Cc2ccc(C(C)C)cc2)n(C)n1 ZINC001207937216 1049958883 /nfs/dbraw/zinc/95/88/83/1049958883.db2.gz OSQOXOIVGXYFNY-MRXNPFEDSA-N 0 3 313.489 4.163 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCc3nn(C)cc3C2)CC1 ZINC001207937453 1049959990 /nfs/dbraw/zinc/95/99/90/1049959990.db2.gz KBVLLMRLYDUEIK-SFHVURJKSA-N 0 3 313.489 4.251 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCC(=O)[C@@H](F)CC2)CC1 ZINC001207941773 1049964552 /nfs/dbraw/zinc/96/45/52/1049964552.db2.gz SVAAUKDDFLGKTE-ROUUACIJSA-N 0 3 307.453 4.462 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(OC)c(F)c1 ZINC000571781785 1049967277 /nfs/dbraw/zinc/96/72/77/1049967277.db2.gz FACDCSISKBMTAO-OLZOCXBDSA-N 0 3 302.393 4.204 20 0 DIADHN O=C1CCC(CS[C@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000571799787 1049968955 /nfs/dbraw/zinc/96/89/55/1049968955.db2.gz LHQJZSGYBBRDCQ-IBGZPJMESA-N 0 3 317.498 4.144 20 0 DIADHN Fc1cc(CNC/C=C/c2ccccc2)ccc1Br ZINC000588178822 1049972993 /nfs/dbraw/zinc/97/29/93/1049972993.db2.gz ZNSQUEASAILFJM-QPJJXVBHSA-N 0 3 320.205 4.391 20 0 DIADHN FC(F)(F)c1cnc2c(c1)CN(CCCC1CCCC1)CC2 ZINC001208091489 1049973009 /nfs/dbraw/zinc/97/30/09/1049973009.db2.gz HXOYGVHOKJUZHR-UHFFFAOYSA-N 0 3 312.379 4.429 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cnn(Cc2ccccc2)c1 ZINC000588177621 1049973534 /nfs/dbraw/zinc/97/35/34/1049973534.db2.gz LFAZJIFRXXMBTI-LDADJPATSA-N 0 3 317.436 4.125 20 0 DIADHN Fc1cccc(F)c1CCN1CCC(c2cccs2)CC1 ZINC001208117684 1049974741 /nfs/dbraw/zinc/97/47/41/1049974741.db2.gz FVYPWMIXGBQXSC-UHFFFAOYSA-N 0 3 307.409 4.448 20 0 DIADHN CC/C=C\CCCN1CCC2(CC1)CC(=O)c1ccccc1O2 ZINC001208136003 1049976852 /nfs/dbraw/zinc/97/68/52/1049976852.db2.gz NWFBUWZLIPQYOU-ARJAWSKDSA-N 0 3 313.441 4.233 20 0 DIADHN CS[C@@H](C)CCN1CCc2cccc(C(F)(F)F)c2C1 ZINC001208152510 1049977463 /nfs/dbraw/zinc/97/74/63/1049977463.db2.gz HUDPFIOSOFJBQC-NSHDSACASA-N 0 3 303.393 4.205 20 0 DIADHN Cc1ccc([C@H](C)CCN2CC[C@H]2COCc2ccccc2)o1 ZINC001208156463 1049979066 /nfs/dbraw/zinc/97/90/66/1049979066.db2.gz QBNLNVOVMHEAIT-APWZRJJASA-N 0 3 313.441 4.373 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCc3ncc(Cl)cc3C2)o1 ZINC001208167728 1049980023 /nfs/dbraw/zinc/98/00/23/1049980023.db2.gz JWFULJFQVZKNLF-GFCCVEGCSA-N 0 3 304.821 4.188 20 0 DIADHN Fc1cccc(CCN(Cc2ccccc2)Cc2ccncc2)c1 ZINC001208195705 1049983523 /nfs/dbraw/zinc/98/35/23/1049983523.db2.gz CXXQSDAQYBGJJW-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN C[C@@H](CCN1CCC(C(=O)C(F)(F)F)CC1)CC(C)(C)C ZINC001208662018 1049999443 /nfs/dbraw/zinc/99/94/43/1049999443.db2.gz AHTNBCYQNQAICR-LBPRGKRZSA-N 0 3 307.400 4.292 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1cccs1)c1ccc(F)cc1Cl ZINC000156921153 1050001185 /nfs/dbraw/zinc/00/11/85/1050001185.db2.gz ZPHPJLUCDVIKCM-MEBBXXQBSA-N 0 3 313.825 4.099 20 0 DIADHN C[C@@H](CN1C[C@@H]2C[C@H](C1)c1ccccc12)OCc1ccccc1 ZINC001208702674 1050003189 /nfs/dbraw/zinc/00/31/89/1050003189.db2.gz OVISVYQGSYYHRP-YTQUADARSA-N 0 3 307.437 4.178 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)C[C@H](C)OCc1ccccc1 ZINC001208703367 1050003284 /nfs/dbraw/zinc/00/32/84/1050003284.db2.gz ZVLXZSSPYKTUCG-DLBZAZTESA-N 0 3 313.441 4.293 20 0 DIADHN Cc1cc(CN(C)C[C@@H](C)c2cccc3ccccc32)n(C)n1 ZINC001208720567 1050006006 /nfs/dbraw/zinc/00/60/06/1050006006.db2.gz YTSYOLTXPJSINK-OAHLLOKOSA-N 0 3 307.441 4.117 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(CCc2ccc(Cl)cc2)C1 ZINC001208736436 1050008261 /nfs/dbraw/zinc/00/82/61/1050008261.db2.gz FLNBFLAWGCLXFD-ZDUSSCGKSA-N 0 3 309.734 4.106 20 0 DIADHN Clc1ccc(CCCN2CCOC[C@H]2C2CC2)cc1Cl ZINC001208836090 1050015436 /nfs/dbraw/zinc/01/54/36/1050015436.db2.gz VJMLFFVSVCXCDJ-INIZCTEOSA-N 0 3 314.256 4.037 20 0 DIADHN C[C@@]1(CF)CCN(CCCc2ccccc2Br)C1 ZINC001208847565 1050015932 /nfs/dbraw/zinc/01/59/32/1050015932.db2.gz MYKVJJFSXWDJQJ-HNNXBMFYSA-N 0 3 314.242 4.063 20 0 DIADHN Clc1ccc([C@H]2CCN(C[C@H]3CCC=CO3)C2)cc1Cl ZINC001208880496 1050019473 /nfs/dbraw/zinc/01/94/73/1050019473.db2.gz MJSODEYCHANVLS-UONOGXRCSA-N 0 3 312.240 4.475 20 0 DIADHN Cc1cnc2c(c1)CN(CCCc1ccccc1Cl)CC2 ZINC001208874593 1050020255 /nfs/dbraw/zinc/02/02/55/1050020255.db2.gz KPWRDDNYHGVNIR-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN Cc1ccccc1OC1CCN(C[C@@H](C)C(F)(F)F)CC1 ZINC001208887666 1050021080 /nfs/dbraw/zinc/02/10/80/1050021080.db2.gz OCFGRFQSEMJTMV-CYBMUJFWSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@@H](CN1CCC(c2nc3ccccc3o2)CC1)C(F)(F)F ZINC001208887356 1050021378 /nfs/dbraw/zinc/02/13/78/1050021378.db2.gz KGZPMVWUUSAGIH-NSHDSACASA-N 0 3 312.335 4.206 20 0 DIADHN CN1CC=C(Nc2cccc(F)c2OC2CCCCC2)CC1 ZINC001208979127 1050029424 /nfs/dbraw/zinc/02/94/24/1050029424.db2.gz HMTQSTIWGIXZGN-UHFFFAOYSA-N 0 3 304.409 4.169 20 0 DIADHN CC(C)O[C@@H]1CCN(CCc2ccc(Cl)cc2Cl)C1 ZINC001209083043 1050033233 /nfs/dbraw/zinc/03/32/33/1050033233.db2.gz NQZARRCNARTDES-CQSZACIVSA-N 0 3 302.245 4.035 20 0 DIADHN CCO[C@H]1CCCN(CCc2ccc(Cl)cc2Cl)C1 ZINC001209082596 1050033536 /nfs/dbraw/zinc/03/35/36/1050033536.db2.gz XPFWHAFGDPNPNI-AWEZNQCLSA-N 0 3 302.245 4.037 20 0 DIADHN COC(=O)CCCCCCCCCN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001209093045 1050034340 /nfs/dbraw/zinc/03/43/40/1050034340.db2.gz CNHOQIZVQMEFAG-DOTOQJQBSA-N 0 3 319.436 4.052 20 0 DIADHN COC(=O)CCCCCCCCCN1CC2(C1)CC(F)(F)C2 ZINC001209093818 1050035015 /nfs/dbraw/zinc/03/50/15/1050035015.db2.gz LXKORXMPUSMJRJ-UHFFFAOYSA-N 0 3 317.420 4.011 20 0 DIADHN FC(F)(F)c1cccc(CCN2CCc3sccc3C2)c1 ZINC001209121919 1050038611 /nfs/dbraw/zinc/03/86/11/1050038611.db2.gz MVXPAEASQUVFNT-UHFFFAOYSA-N 0 3 311.372 4.368 20 0 DIADHN Oc1cccc2c1CCN(CCc1cccc(C(F)(F)F)c1)C2 ZINC001209122982 1050038922 /nfs/dbraw/zinc/03/89/22/1050038922.db2.gz UBDXSWJDGFFBRH-UHFFFAOYSA-N 0 3 321.342 4.012 20 0 DIADHN Oc1cccc(C2CCN(CCc3cc(F)cc(F)c3)CC2)c1 ZINC001209133805 1050038936 /nfs/dbraw/zinc/03/89/36/1050038936.db2.gz VETXTEVIUYSLFQ-UHFFFAOYSA-N 0 3 317.379 4.093 20 0 DIADHN Cc1ccc([C@H]2CCCN2CCc2cc(F)cc(F)c2)cn1 ZINC001209133169 1050038953 /nfs/dbraw/zinc/03/89/53/1050038953.db2.gz NXIUMDRLHZZLJZ-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1CCCc1ccncc1 ZINC001209188975 1050042704 /nfs/dbraw/zinc/04/27/04/1050042704.db2.gz BYDYGPVRHRGXKP-KRWDZBQOSA-N 0 3 302.368 4.130 20 0 DIADHN Fc1ccc(CCN2CCSC[C@@H]2c2ccccc2)cc1F ZINC001209243187 1050047194 /nfs/dbraw/zinc/04/71/94/1050047194.db2.gz ALIWRCQDISVXOM-GOSISDBHSA-N 0 3 319.420 4.297 20 0 DIADHN C[C@H](NCCCO[C@@H](C)c1ccccc1)c1cn2ccccc2n1 ZINC000572855677 1050047272 /nfs/dbraw/zinc/04/72/72/1050047272.db2.gz IJXOMSLVJVASLZ-IRXDYDNUSA-N 0 3 323.440 4.153 20 0 DIADHN COc1ccccc1[C@H](C)N(C)CCc1cc(F)c(F)cc1F ZINC001209252404 1050048349 /nfs/dbraw/zinc/04/83/49/1050048349.db2.gz LSESLHDWQILPQK-LBPRGKRZSA-N 0 3 323.358 4.348 20 0 DIADHN Cc1ccc(CN(C)CCc2ccccc2C(F)(F)F)cn1 ZINC001209281148 1050049157 /nfs/dbraw/zinc/04/91/57/1050049157.db2.gz AWTQKKHZIIGYBU-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Fc1ccc2c(c1)CN(CCCCOCc1ccccc1)CC2 ZINC001209264949 1050049429 /nfs/dbraw/zinc/04/94/29/1050049429.db2.gz GQXVLLXZJSIDQY-UHFFFAOYSA-N 0 3 313.416 4.181 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc21)c1ccc2c(c1)CCCC2 ZINC000589637009 1050051582 /nfs/dbraw/zinc/05/15/82/1050051582.db2.gz XVFRKTBYWJTCRO-CQSZACIVSA-N 0 3 305.425 4.293 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1ccc2c(c1)CCCC2 ZINC000589637009 1050051587 /nfs/dbraw/zinc/05/15/87/1050051587.db2.gz XVFRKTBYWJTCRO-CQSZACIVSA-N 0 3 305.425 4.293 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc12)c1cccc(OC(F)F)c1 ZINC000589641480 1050052307 /nfs/dbraw/zinc/05/23/07/1050052307.db2.gz LOQVTWMOCCNZSW-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1cccc(OC(F)F)c1 ZINC000589641480 1050052312 /nfs/dbraw/zinc/05/23/12/1050052312.db2.gz LOQVTWMOCCNZSW-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN CCOC(=O)CCCCCCN1CCc2c(Cl)cccc2C1 ZINC001209350565 1050052321 /nfs/dbraw/zinc/05/23/21/1050052321.db2.gz IRBINELVDGXDPA-UHFFFAOYSA-N 0 3 323.864 4.212 20 0 DIADHN CCC[C@H](NCc1cc(C)[nH]n1)c1cccc(C(F)(F)F)c1 ZINC000589649477 1050054158 /nfs/dbraw/zinc/05/41/58/1050054158.db2.gz OZJCFWJGCQUJHU-HNNXBMFYSA-N 0 3 311.351 4.368 20 0 DIADHN Fc1ccc(C2(NCc3n[nH]c4ccccc43)CCCC2)cc1 ZINC000589649622 1050054224 /nfs/dbraw/zinc/05/42/24/1050054224.db2.gz BXJHYEQNDFDZIL-UHFFFAOYSA-N 0 3 309.388 4.261 20 0 DIADHN Cc1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C(C)C)[nH]n1 ZINC000589649199 1050054504 /nfs/dbraw/zinc/05/45/04/1050054504.db2.gz LXGPLPAJAXKAOT-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN Cc1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C(C)C)n[nH]1 ZINC000589649199 1050054510 /nfs/dbraw/zinc/05/45/10/1050054510.db2.gz LXGPLPAJAXKAOT-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN C[C@@H]1CC(CN2CCn3cccc3[C@H]2c2ccccc2)C[C@@H](C)O1 ZINC001209380986 1050054575 /nfs/dbraw/zinc/05/45/75/1050054575.db2.gz ZBWVIIYKDKSQIC-CBGDNZLLSA-N 0 3 324.468 4.097 20 0 DIADHN C[C@@H]1C[C@@H](CN2CCn3cccc3[C@H]2c2ccccc2)C[C@H](C)O1 ZINC001209380980 1050054894 /nfs/dbraw/zinc/05/48/94/1050054894.db2.gz ZBWVIIYKDKSQIC-BMQTXXJKSA-N 0 3 324.468 4.097 20 0 DIADHN CCC[C@@H](C)CC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000589879530 1050061657 /nfs/dbraw/zinc/06/16/57/1050061657.db2.gz GPSLQXDEAGBNJV-IEBWSBKVSA-N 0 3 316.489 4.156 20 0 DIADHN CCC[C@H](C)CC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000589879529 1050061821 /nfs/dbraw/zinc/06/18/21/1050061821.db2.gz GPSLQXDEAGBNJV-HKUYNNGSSA-N 0 3 316.489 4.156 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc3c(cn2)OCCC3)c1 ZINC001209498750 1050063206 /nfs/dbraw/zinc/06/32/06/1050063206.db2.gz AMVOYBZSCQGIIR-LJQANCHMSA-N 0 3 308.425 4.052 20 0 DIADHN COc1cccc2c1CCN(Cc1c[nH]c3ccc(C)cc13)C2 ZINC001209498554 1050063989 /nfs/dbraw/zinc/06/39/89/1050063989.db2.gz DZBSECCYWAYJRE-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c3ccc(C)cc23)cc1OC ZINC001209497866 1050064005 /nfs/dbraw/zinc/06/40/05/1050064005.db2.gz XAQHFFXTJCJWJR-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000589860527 1050064090 /nfs/dbraw/zinc/06/40/90/1050064090.db2.gz MSTUVSXTJAJQHI-JENIJYKNSA-N 0 3 316.489 4.010 20 0 DIADHN Cn1nc2ccccc2c1CN1CCC(c2cccs2)CC1 ZINC001209535457 1050067345 /nfs/dbraw/zinc/06/73/45/1050067345.db2.gz CSNJWUFGUCCIMY-UHFFFAOYSA-N 0 3 311.454 4.014 20 0 DIADHN Cn1nc(CN2CCC(C)(c3ccccc3)CC2)c2ccccc21 ZINC001209586056 1050070424 /nfs/dbraw/zinc/07/04/24/1050070424.db2.gz ZOOMZWFWOLHHAH-UHFFFAOYSA-N 0 3 319.452 4.127 20 0 DIADHN Clc1cnc2[nH]cc(CN3CCC[C@@H]4CCCC[C@H]43)c2c1 ZINC001209597635 1050071726 /nfs/dbraw/zinc/07/17/26/1050071726.db2.gz WFXNEMYVHIPYQG-BLLLJJGKSA-N 0 3 303.837 4.371 20 0 DIADHN CCN(Cc1c(C)nc2ccccn21)Cc1ccc(C)c(C)c1 ZINC001209650535 1050073597 /nfs/dbraw/zinc/07/35/97/1050073597.db2.gz FWQMEPAPFNYKJT-UHFFFAOYSA-N 0 3 307.441 4.282 20 0 DIADHN Fc1cccc(CN[C@H](CN2CCCCC2)c2ccccc2)c1 ZINC000590075638 1050074544 /nfs/dbraw/zinc/07/45/44/1050074544.db2.gz UTMAFNJZFGASQG-HXUWFJFHSA-N 0 3 312.432 4.143 20 0 DIADHN C[C@@H]1CCN1Cc1c[nH]c2cccc(OCc3ccccc3)c12 ZINC001209672173 1050075997 /nfs/dbraw/zinc/07/59/97/1050075997.db2.gz SBOOJLFSNYOROF-OAHLLOKOSA-N 0 3 306.409 4.341 20 0 DIADHN Fc1ccc2c(CN3CCCC[C@H]3c3cccnc3)c[nH]c2c1 ZINC001209693101 1050076354 /nfs/dbraw/zinc/07/63/54/1050076354.db2.gz PVAFNJQOPNNGAN-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Clc1ccc2[nH]c(CN3CC[C@H](c4ccccn4)C3)cc2c1 ZINC001209722952 1050078613 /nfs/dbraw/zinc/07/86/13/1050078613.db2.gz KNUDCSTWEKGPQO-ZDUSSCGKSA-N 0 3 311.816 4.206 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1[nH]nc3ccc(Cl)cc31)CC2 ZINC001209757913 1050081124 /nfs/dbraw/zinc/08/11/24/1050081124.db2.gz DEYMALOSWVCTBI-ZDUSSCGKSA-N 0 3 321.827 4.321 20 0 DIADHN CCc1ccc(CN(C)Cc2c[nH]nc2-c2ccccc2)cc1 ZINC001209763094 1050082005 /nfs/dbraw/zinc/08/20/05/1050082005.db2.gz OXDQINFVSFTMOE-UHFFFAOYSA-N 0 3 305.425 4.271 20 0 DIADHN COc1ccc2oc(CN3CCC(F)(F)C(C)(C)C3)cc2c1 ZINC001209769915 1050082572 /nfs/dbraw/zinc/08/25/72/1050082572.db2.gz NQEUOFJXWTZKOL-UHFFFAOYSA-N 0 3 309.356 4.309 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000224435652 1050099281 /nfs/dbraw/zinc/09/92/81/1050099281.db2.gz WCPZCEKDVKOQQS-MCCGJVOXSA-N 0 3 306.208 4.351 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2C[C@H]3CC[C@@H]2O3)c2cccc(F)c2)c1 ZINC000510756870 1050107801 /nfs/dbraw/zinc/10/78/01/1050107801.db2.gz XKBGBOCNZXUVOK-ZRNYENFQSA-N 0 3 311.400 4.133 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C(C)C)N1CCCCC1 ZINC000591109843 1050124566 /nfs/dbraw/zinc/12/45/66/1050124566.db2.gz CPZDMIJNUIBPDN-INIZCTEOSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC001168400408 1050133152 /nfs/dbraw/zinc/13/31/52/1050133152.db2.gz AKLJXVKCONXMIK-UONOGXRCSA-N 0 3 322.880 4.343 20 0 DIADHN Cc1ncc(CN[C@H](CCc2ccccc2)c2ccccc2)n1C ZINC000573103983 1050134215 /nfs/dbraw/zinc/13/42/15/1050134215.db2.gz IRAMKWXUFFSIOP-OAQYLSRUSA-N 0 3 319.452 4.192 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ccnc(Cl)c1F ZINC000823966514 1050170652 /nfs/dbraw/zinc/17/06/52/1050170652.db2.gz RYGJSAUWGBMMHF-VXGBXAGGSA-N 0 3 302.846 4.028 20 0 DIADHN Cc1ccc(OCCCCNCc2ccnc(Cl)c2F)cc1 ZINC000823666959 1050146080 /nfs/dbraw/zinc/14/60/80/1050146080.db2.gz WOJQDEAKRRVBIQ-UHFFFAOYSA-N 0 3 322.811 4.131 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@@H](c1cccs1)C1CCCC1 ZINC000823683683 1050148081 /nfs/dbraw/zinc/14/80/81/1050148081.db2.gz HSOYUTSPEVUHKH-GOSISDBHSA-N 0 3 315.486 4.380 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)cc1F ZINC000770291094 1050148326 /nfs/dbraw/zinc/14/83/26/1050148326.db2.gz GZJDUMWZEWISLS-NVXWUHKLSA-N 0 3 319.445 4.284 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1ccc(Cl)c2cccnc12 ZINC001171026065 1050150309 /nfs/dbraw/zinc/15/03/09/1050150309.db2.gz OKQDXEQJZAFBTJ-OAHLLOKOSA-N 0 3 318.848 4.279 20 0 DIADHN OCc1ccc(CN2CC3(CC=CC3)[C@@H]2c2ccccc2)cc1 ZINC000646118453 1050152722 /nfs/dbraw/zinc/15/27/22/1050152722.db2.gz KBEYEFURIVLOME-FQEVSTJZSA-N 0 3 305.421 4.072 20 0 DIADHN CCCCC[C@H](NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)C(C)(C)C ZINC001136774309 1050153263 /nfs/dbraw/zinc/15/32/63/1050153263.db2.gz WYMWBXNXNCMCJO-QAETUUGQSA-N 0 3 310.526 4.359 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)[C@H]1C[C@@H]1c1ccccc1 ZINC000770350903 1050154965 /nfs/dbraw/zinc/15/49/65/1050154965.db2.gz QJQWWBBTOISCST-QUCCMNQESA-N 0 3 303.409 4.065 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1cccc(SC)c1)C1CC1 ZINC000591475641 1050157880 /nfs/dbraw/zinc/15/78/80/1050157880.db2.gz RYZGKBYDXRILOH-UONOGXRCSA-N 0 3 320.502 4.246 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1cccc(Cl)c1C)C1CC1 ZINC000591475727 1050158023 /nfs/dbraw/zinc/15/80/23/1050158023.db2.gz ZFKVYWUCGLIREL-JSGCOSHPSA-N 0 3 322.880 4.486 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000282597957 1050167413 /nfs/dbraw/zinc/16/74/13/1050167413.db2.gz JMDNJVYCKCQGOS-UKRRQHHQSA-N 0 3 319.449 4.213 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000282597961 1050168264 /nfs/dbraw/zinc/16/82/64/1050168264.db2.gz JMDNJVYCKCQGOS-ZFWWWQNUSA-N 0 3 319.449 4.213 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc2c(n1)CCC2 ZINC000573423462 1050171162 /nfs/dbraw/zinc/17/11/62/1050171162.db2.gz YKHPGLWMSJSDOM-UHFFFAOYSA-N 0 3 305.425 4.074 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(Cl)c2cccnc12 ZINC000348070463 1050174080 /nfs/dbraw/zinc/17/40/80/1050174080.db2.gz ITTCIFWOSRKMPT-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1ccccc1C(F)(F)F ZINC000348065733 1050179449 /nfs/dbraw/zinc/17/94/49/1050179449.db2.gz AAPODENHCVZFPU-GUYCJALGSA-N 0 3 323.358 4.480 20 0 DIADHN FC(F)(F)[C@@H]1CCCN([C@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171044570 1050181024 /nfs/dbraw/zinc/18/10/24/1050181024.db2.gz LXODBBWPEXOMKW-OLZOCXBDSA-N 0 3 317.782 4.472 20 0 DIADHN O[C@@H]1C[C@@H](c2ccccc2)N(C/C=C\c2ccc(Cl)cc2)C1 ZINC001171049552 1050186983 /nfs/dbraw/zinc/18/69/83/1050186983.db2.gz ONDZDINRJLSWDT-LSLCWXNRSA-N 0 3 313.828 4.161 20 0 DIADHN CC(C)(NC[C@H]1CC1(Cl)Cl)c1cccc(Cl)c1F ZINC000770687697 1050187319 /nfs/dbraw/zinc/18/73/19/1050187319.db2.gz NTBLOLSRPPPSDO-MRVPVSSYSA-N 0 3 310.627 4.498 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cnc(Cl)c(C)c1 ZINC000770745910 1050191976 /nfs/dbraw/zinc/19/19/76/1050191976.db2.gz IIMHREFVVJIIFF-LRDDRELGSA-N 0 3 322.811 4.048 20 0 DIADHN c1ccc2c(CNCc3ccc(OC4CCCC4)cc3)n[nH]c2c1 ZINC000591717882 1050192614 /nfs/dbraw/zinc/19/26/14/1050192614.db2.gz XGIGVKRSGWVNCD-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN c1ccc2c(c1)n[nH]c2CNCc1ccc(OC2CCCC2)cc1 ZINC000591717882 1050192620 /nfs/dbraw/zinc/19/26/20/1050192620.db2.gz XGIGVKRSGWVNCD-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CC[C@H](NCc1ccc(-n2ccnc2)cc1)c1ccccc1OC ZINC000378883941 1050192754 /nfs/dbraw/zinc/19/27/54/1050192754.db2.gz GSXIHQGUHIXTRM-IBGZPJMESA-N 0 3 321.424 4.122 20 0 DIADHN COc1ccc2c(c1)CN([C@@H]1CCc3c(Cl)cccc3C1)C2 ZINC001171051011 1050193770 /nfs/dbraw/zinc/19/37/70/1050193770.db2.gz UVQFTHYCBHQZCQ-MRXNPFEDSA-N 0 3 313.828 4.222 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2ccnc(Cl)c2F)C1 ZINC000824326390 1050199584 /nfs/dbraw/zinc/19/95/84/1050199584.db2.gz CYDITSBGSXFKOT-HAQNSBGRSA-N 0 3 308.759 4.049 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2c[nH]nc2C(C)(C)C)c1C ZINC000591711655 1050200239 /nfs/dbraw/zinc/20/02/39/1050200239.db2.gz DRYDRCSZRGHBMQ-UHFFFAOYSA-N 0 3 324.472 4.404 20 0 DIADHN Fc1cc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)c(F)cn1 ZINC000824370546 1050203456 /nfs/dbraw/zinc/20/34/56/1050203456.db2.gz LFJKJBVZJLHWAH-JZXOWHBKSA-N 0 3 314.379 4.128 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1ccc(F)c2ccccc21 ZINC000824401115 1050206037 /nfs/dbraw/zinc/20/60/37/1050206037.db2.gz CBPINZCOUXVYNE-CYBMUJFWSA-N 0 3 323.415 4.441 20 0 DIADHN Clc1ccc(C2=CC[C@H](N[C@@H]3CCn4ccnc43)CC2)cc1 ZINC000824533328 1050220514 /nfs/dbraw/zinc/22/05/14/1050220514.db2.gz DRPOYKCZRBDLSM-DLBZAZTESA-N 0 3 313.832 4.207 20 0 DIADHN Fc1cnc(Cl)c(CN[C@H]2CC[C@H](c3ccccc3)C2)c1 ZINC000824544598 1050226037 /nfs/dbraw/zinc/22/60/37/1050226037.db2.gz ZOCGBIWMNMPRSJ-BBRMVZONSA-N 0 3 304.796 4.300 20 0 DIADHN Clc1ccccc1CCN1CCCO[C@@H](c2ccccc2)C1 ZINC000591811948 1050230306 /nfs/dbraw/zinc/23/03/06/1050230306.db2.gz UJZHSIQJXCWFBY-LJQANCHMSA-N 0 3 315.844 4.346 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC001168401298 1050239367 /nfs/dbraw/zinc/23/93/67/1050239367.db2.gz IKJSIJLQJCGLBL-UONOGXRCSA-N 0 3 322.880 4.343 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC001168401297 1050240119 /nfs/dbraw/zinc/24/01/19/1050240119.db2.gz IKJSIJLQJCGLBL-KGLIPLIRSA-N 0 3 322.880 4.343 20 0 DIADHN C[C@@]1(CNCc2cnc(C3CCCC3)s2)CC1(Cl)Cl ZINC000824764552 1050240839 /nfs/dbraw/zinc/24/08/39/1050240839.db2.gz BJVHRAQBGAHHME-ZDUSSCGKSA-N 0 3 319.301 4.474 20 0 DIADHN C[C@]1(F)CCCCN(Cc2ccc(OCC(F)F)cc2)C1 ZINC001136935459 1050244583 /nfs/dbraw/zinc/24/45/83/1050244583.db2.gz FLVBVYFAOLHUSA-INIZCTEOSA-N 0 3 301.352 4.045 20 0 DIADHN CCN(Cc1ncc(-c2cccs2)o1)[C@@H]1CCOC(C)(C)C1 ZINC000573733556 1050248125 /nfs/dbraw/zinc/24/81/25/1050248125.db2.gz XAMOFMFLJJQLNR-CYBMUJFWSA-N 0 3 320.458 4.183 20 0 DIADHN CCN(Cc1cnc2c(C)cccn12)Cc1ccc(C)c(C)c1 ZINC001136965934 1050257527 /nfs/dbraw/zinc/25/75/27/1050257527.db2.gz FNVIOGAOUQEFQL-UHFFFAOYSA-N 0 3 307.441 4.282 20 0 DIADHN Cc1nc([C@H](C)NCC[C@@]2(C)CC2(Cl)Cl)c(C)s1 ZINC000824968726 1050263581 /nfs/dbraw/zinc/26/35/81/1050263581.db2.gz DKDQMSPVPGAUGT-UFBFGSQYSA-N 0 3 307.290 4.385 20 0 DIADHN C[C@@]1(CCNCc2csc(C3CC3)n2)CC1(Cl)Cl ZINC000824969731 1050263746 /nfs/dbraw/zinc/26/37/46/1050263746.db2.gz IPNGMIQWECWORE-GFCCVEGCSA-N 0 3 305.274 4.084 20 0 DIADHN Cc1ccc2c(CN3CCC(=O)[C@H](C)C34CCCC4)c[nH]c2c1 ZINC001136977253 1050267438 /nfs/dbraw/zinc/26/74/38/1050267438.db2.gz VMCZBDYSFHCAKN-HNNXBMFYSA-N 0 3 310.441 4.200 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@@H]2c2ccccc2Cl)co1 ZINC000825057474 1050270651 /nfs/dbraw/zinc/27/06/51/1050270651.db2.gz PBZACHDMUTUINW-ZBFHGGJFSA-N 0 3 304.821 4.316 20 0 DIADHN CC(C)(c1ccc(F)cc1)C1(NCc2cc(F)ncc2F)CC1 ZINC000825158078 1050280779 /nfs/dbraw/zinc/28/07/79/1050280779.db2.gz DBJVULWJDHAOQF-UHFFFAOYSA-N 0 3 320.358 4.099 20 0 DIADHN FC(F)(F)SCCNCc1ccc(Cl)cc1Cl ZINC000225637579 1050282936 /nfs/dbraw/zinc/28/29/36/1050282936.db2.gz DJGDHFWYWRIQDO-UHFFFAOYSA-N 0 3 304.164 4.336 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(Cc2cccc(F)c2F)CC1 ZINC001171078875 1050288370 /nfs/dbraw/zinc/28/83/70/1050288370.db2.gz UOCMMNISKUGROO-CQSZACIVSA-N 0 3 316.395 4.376 20 0 DIADHN CC(C)CN(Cc1ncc(C(F)(F)F)[nH]1)Cc1ccccc1 ZINC001137010484 1050290308 /nfs/dbraw/zinc/29/03/08/1050290308.db2.gz SZMAXWHCDWDKDH-UHFFFAOYSA-N 0 3 311.351 4.087 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc([S@@](C)=O)cc2)CC1 ZINC000592391715 1050297228 /nfs/dbraw/zinc/29/72/28/1050297228.db2.gz CQQCYZBEGQAYLW-OYHNWAKOSA-N 0 3 313.466 4.072 20 0 DIADHN CCN(CC)[C@H](CNC(=O)/C(C)=C/C(C)(C)C)c1ccsc1 ZINC000771913847 1050299835 /nfs/dbraw/zinc/29/98/35/1050299835.db2.gz ANYVOTSLULDHMX-WSYSLRRZSA-N 0 3 322.518 4.240 20 0 DIADHN COc1ccc(CN2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC001137031951 1050302678 /nfs/dbraw/zinc/30/26/78/1050302678.db2.gz RTNDZGLTGQRAIA-UHFFFAOYSA-N 0 3 316.832 4.123 20 0 DIADHN CCOc1cc(CN[C@@H]2CCc3ccc(C)cc32)ccc1OC ZINC000592462830 1050309714 /nfs/dbraw/zinc/30/97/14/1050309714.db2.gz RHQOOGDWIBTUFD-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN(C)C[C@H]2CCCCO2)c1 ZINC001143676426 1050334029 /nfs/dbraw/zinc/33/40/29/1050334029.db2.gz LWYNCJUFNYIOAE-CQSZACIVSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2C[C@H]3[C@H](CCC3(F)F)C2)c1 ZINC001143672388 1050334258 /nfs/dbraw/zinc/33/42/58/1050334258.db2.gz FPIQEZLIFDIOCB-RISCZKNCSA-N 0 3 319.317 4.491 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@@]3(C2)CCCCO3)c1 ZINC001143672276 1050334407 /nfs/dbraw/zinc/33/44/07/1050334407.db2.gz AQBAZBHVNHXTGC-MRXNPFEDSA-N 0 3 313.363 4.159 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@@]3(C2)CCCOC3)c1 ZINC001143680458 1050336749 /nfs/dbraw/zinc/33/67/49/1050336749.db2.gz CGQSRMQIXRJJKF-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccc(C(C)(C)O)cc1 ZINC000772229830 1050338550 /nfs/dbraw/zinc/33/85/50/1050338550.db2.gz HCTUIIFFGIHJSB-UHFFFAOYSA-N 0 3 322.408 4.083 20 0 DIADHN C[C@]1(O)CCCN(Cc2ccc(C(F)(F)F)cc2Cl)CC1 ZINC001143710343 1050343694 /nfs/dbraw/zinc/34/36/94/1050343694.db2.gz QGYXBXAZDXGFMO-AWEZNQCLSA-N 0 3 321.770 4.096 20 0 DIADHN Clc1cnn(CN(CCc2ccc(Cl)cc2)CC2CC2)c1 ZINC000735072735 1050343850 /nfs/dbraw/zinc/34/38/50/1050343850.db2.gz GFBPFTNDBFMTFF-UHFFFAOYSA-N 0 3 324.255 4.102 20 0 DIADHN C[C@H](CNCc1occc1Br)c1cccc(F)c1 ZINC000735324259 1050370026 /nfs/dbraw/zinc/37/00/26/1050370026.db2.gz KZFFRSSETKOYEV-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN FC[C@@H](N[C@H]1CCc2cc(Cl)ccc2C1)c1ccccc1 ZINC001171100994 1050349894 /nfs/dbraw/zinc/34/98/94/1050349894.db2.gz QWRJXAZTPDZKKC-ZWKOTPCHSA-N 0 3 303.808 4.498 20 0 DIADHN Fc1ccc(CCN(Cc2ccnc(Cl)c2)C2CC2)cc1 ZINC000735168442 1050352963 /nfs/dbraw/zinc/35/29/63/1050352963.db2.gz MOIOIQDYLDJQHA-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN CC(C)Oc1cccc(CN2CCCc3sccc3C2)c1 ZINC000592983456 1050357606 /nfs/dbraw/zinc/35/76/06/1050357606.db2.gz JJGCSAKVCQTCAB-UHFFFAOYSA-N 0 3 301.455 4.484 20 0 DIADHN C[C@@]1(O)CCCCN(Cc2ccc(C(F)(F)F)c(Cl)c2)C1 ZINC001143804489 1050365104 /nfs/dbraw/zinc/36/51/04/1050365104.db2.gz SFKWNZVWNPBBHO-CQSZACIVSA-N 0 3 321.770 4.096 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)c(Cl)c1)C[C@H]1CCCCO1 ZINC001143809789 1050370188 /nfs/dbraw/zinc/37/01/88/1050370188.db2.gz CJSUECUSYXZTTN-GFCCVEGCSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@@H](CNCc1occc1Br)c1ccc(F)cc1 ZINC000735322119 1050370283 /nfs/dbraw/zinc/37/02/83/1050370283.db2.gz FCHOCQGDSSBGGS-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN CC[C@H]1CCCN(C[C@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000735311237 1050373933 /nfs/dbraw/zinc/37/39/33/1050373933.db2.gz MWMLKILBGZXNPF-BBRMVZONSA-N 0 3 315.379 4.251 20 0 DIADHN C[C@H](NCC1(c2cccc(Cl)c2F)CCCC1)c1ncc[nH]1 ZINC000574180310 1050374497 /nfs/dbraw/zinc/37/44/97/1050374497.db2.gz FYJFAAHRAPVREL-LBPRGKRZSA-N 0 3 321.827 4.365 20 0 DIADHN C[C@@H](O)[C@](C)(CNCc1ccsc1Cl)Cc1ccccc1 ZINC000480482568 1050375763 /nfs/dbraw/zinc/37/57/63/1050375763.db2.gz QQVWJFZSRYYSQC-DYVFJYSZSA-N 0 3 323.889 4.121 20 0 DIADHN CC(C)c1ccccc1CN[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000783317515 1050376704 /nfs/dbraw/zinc/37/67/04/1050376704.db2.gz VVERTQFPHYSNFS-OYHNWAKOSA-N 0 3 315.482 4.398 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](C)c1ccncc1CC)CCC2 ZINC000574226420 1050379091 /nfs/dbraw/zinc/37/90/91/1050379091.db2.gz JNJXSKVIDKFVNT-SWLSCSKDSA-N 0 3 315.486 4.391 20 0 DIADHN CC(=O)Nc1cccc2c1CCN([C@@H](C)c1ccccc1C)C2 ZINC000178324036 1050385711 /nfs/dbraw/zinc/38/57/11/1050385711.db2.gz LFZGMVYKIVBCRY-HNNXBMFYSA-N 0 3 308.425 4.073 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc(OC4CC4)c3)cccc21 ZINC001210864852 1050397115 /nfs/dbraw/zinc/39/71/15/1050397115.db2.gz LDKMUANLUSVNHY-IBGZPJMESA-N 0 3 324.424 4.357 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@@H]2CCc3cc(Cl)ccc3C2)no1 ZINC001171117844 1050399632 /nfs/dbraw/zinc/39/96/32/1050399632.db2.gz ZNHMGUYZUSVUAI-SJLPKXTDSA-N 0 3 316.832 4.331 20 0 DIADHN CO[C@@H]1CCN(Cc2cccc(C(F)(F)F)c2C)[C@H](C)C1 ZINC001143929416 1050401696 /nfs/dbraw/zinc/40/16/96/1050401696.db2.gz KFVGYDQMNGAZCP-BXUZGUMPSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1c(CN2CC[C@]3(C2)CCCOC3)cccc1C(F)(F)F ZINC001143929876 1050403116 /nfs/dbraw/zinc/40/31/16/1050403116.db2.gz DPFKXRWUDLHDQO-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN Cc1cc2ccccc2nc1NCC1CN(Cc2ccccc2)C1 ZINC000716221064 1050406514 /nfs/dbraw/zinc/40/65/14/1050406514.db2.gz MOLOFMIYJORSQG-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1c(CN2CC[C@@H]3OCCC[C@@H]3C2)cccc1C(F)(F)F ZINC001143917926 1050407248 /nfs/dbraw/zinc/40/72/48/1050407248.db2.gz QYYPKYPFPNZOHJ-ZBFHGGJFSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1ccccc1OCCN1CCS[C@@H](c2ccccc2)C1 ZINC000735563545 1050407929 /nfs/dbraw/zinc/40/79/29/1050407929.db2.gz WEZZPCYGEFTTAI-LJQANCHMSA-N 0 3 313.466 4.164 20 0 DIADHN Cc1c(CN2C[C@H]3[C@H](CCC3(F)F)C2)cccc1C(F)(F)F ZINC001143922008 1050409234 /nfs/dbraw/zinc/40/92/34/1050409234.db2.gz GGRCUZBFADOXFQ-OCCSQVGLSA-N 0 3 319.317 4.491 20 0 DIADHN Cc1c(CN2CC[C@]3(CC3(F)F)C2)cccc1C(F)(F)F ZINC001143930396 1050413039 /nfs/dbraw/zinc/41/30/39/1050413039.db2.gz PHRBPMHJYGJMMF-ZDUSSCGKSA-N 0 3 305.290 4.245 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2)[nH]1)c1cccc(C)c1 ZINC000796895260 1050420053 /nfs/dbraw/zinc/42/00/53/1050420053.db2.gz ANIAXLCEBMHHIS-KRWDZBQOSA-N 0 3 306.413 4.021 20 0 DIADHN CO[C@@H](CN1CCC[C@@]2(CC2(F)F)C1)c1ccc(Cl)cc1 ZINC000844441626 1050426841 /nfs/dbraw/zinc/42/68/41/1050426841.db2.gz PQRVIQFQYJMDMV-LSDHHAIUSA-N 0 3 315.791 4.149 20 0 DIADHN C[C@H]([NH2+]Cc1ccc([O-])c(F)c1)c1ccc(Br)cc1 ZINC000226324810 1050426849 /nfs/dbraw/zinc/42/68/49/1050426849.db2.gz METXOZSEXJBCEH-JTQLQIEISA-N 0 3 324.193 4.145 20 0 DIADHN C[C@H](NCc1ccc(O)c(F)c1)c1ccc(Br)cc1 ZINC000226324810 1050426853 /nfs/dbraw/zinc/42/68/53/1050426853.db2.gz METXOZSEXJBCEH-JTQLQIEISA-N 0 3 324.193 4.145 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC[C@@]4(CC4(F)F)C3)c2O1 ZINC000844441681 1050426989 /nfs/dbraw/zinc/42/69/89/1050426989.db2.gz QUHRPALCZFFWLR-QGZVFWFLSA-N 0 3 307.384 4.021 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@]4(CC4(F)F)C3)cc2c1 ZINC000844444758 1050429763 /nfs/dbraw/zinc/42/97/63/1050429763.db2.gz UAIACYPCPVUXMZ-KRWDZBQOSA-N 0 3 302.368 4.164 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(SC(F)F)cc1)N(CC)CC ZINC000735990510 1050447942 /nfs/dbraw/zinc/44/79/42/1050447942.db2.gz ZUAVCWUMKRTEQR-ZDUSSCGKSA-N 0 3 316.417 4.060 20 0 DIADHN CC(C)CCc1nc(CNC(C)(C)C2CCOCC2)cs1 ZINC000786155270 1050453846 /nfs/dbraw/zinc/45/38/46/1050453846.db2.gz AAJZFWLFYWXLFN-UHFFFAOYSA-N 0 3 310.507 4.027 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CC[C@H](O)C[C@@H]3C)c2)cc1 ZINC001144090690 1050459068 /nfs/dbraw/zinc/45/90/68/1050459068.db2.gz OKBLVCSDVMAXHW-WMZOPIPTSA-N 0 3 311.425 4.133 20 0 DIADHN Cc1c(O)cccc1CN(C)Cc1ccc(Br)cc1 ZINC001144114823 1050469075 /nfs/dbraw/zinc/46/90/75/1050469075.db2.gz CETKNPIMTKRTIX-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN Cc1ccc(OC2CCN(Cc3cccc(O)c3C)CC2)cc1 ZINC001144117107 1050469310 /nfs/dbraw/zinc/46/93/10/1050469310.db2.gz ROUGGYYSFXPVGU-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cccc(O)c2C)c1 ZINC001144119198 1050470589 /nfs/dbraw/zinc/47/05/89/1050470589.db2.gz WQLPYFZRSXANKZ-LJQANCHMSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1c(O)cccc1CN1CCC(c2ccc(F)cc2F)CC1 ZINC001144121115 1050472710 /nfs/dbraw/zinc/47/27/10/1050472710.db2.gz YFNXVOMOUSBIEJ-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN COc1cccc(CN2CCSC3(CCC3)CC2)c1Cl ZINC001171143802 1050474209 /nfs/dbraw/zinc/47/42/09/1050474209.db2.gz MOMIGLMXSMDGBT-UHFFFAOYSA-N 0 3 311.878 4.210 20 0 DIADHN Cn1ccnc1Nc1ccc2ccc(-c3ccccc3)nc2c1 ZINC001211099440 1050474884 /nfs/dbraw/zinc/47/48/84/1050474884.db2.gz BFTJZFYCCVXQPM-UHFFFAOYSA-N 0 3 300.365 4.379 20 0 DIADHN CC(C)(C)[C@@H](NCN1C(=O)CC12CCCC2)c1ccc(F)cc1 ZINC000844690700 1050480497 /nfs/dbraw/zinc/48/04/97/1050480497.db2.gz ZTYAMRNLYSATMQ-KRWDZBQOSA-N 0 3 318.436 4.005 20 0 DIADHN Brc1ccoc1CN[C@H](Cc1ccccc1)C1CC1 ZINC000736648182 1050484933 /nfs/dbraw/zinc/48/49/33/1050484933.db2.gz RIVLUARLZVTMLK-OAHLLOKOSA-N 0 3 320.230 4.153 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(OC(C)(C)C)cc2)s1 ZINC000736639503 1050485788 /nfs/dbraw/zinc/48/57/88/1050485788.db2.gz ZWTYKZSECVHSLL-UHFFFAOYSA-N 0 3 304.459 4.227 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC[C@H](c4ccccc4)C3)c2c1 ZINC001144197167 1050490216 /nfs/dbraw/zinc/49/02/16/1050490216.db2.gz PIXMQJLSBYYBPF-HNNXBMFYSA-N 0 3 309.388 4.082 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1n[nH]c2ccc(F)cc21 ZINC001144192224 1050490689 /nfs/dbraw/zinc/49/06/89/1050490689.db2.gz AROBPHHTRWOEHP-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1[nH]nc2ccc(F)cc21 ZINC001144192224 1050490695 /nfs/dbraw/zinc/49/06/95/1050490695.db2.gz AROBPHHTRWOEHP-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2[nH]nc(CN3CCC4(CCCCC4)CC3)c2c1 ZINC001144191746 1050491496 /nfs/dbraw/zinc/49/14/96/1050491496.db2.gz YHRGXOQQJVZJHO-UHFFFAOYSA-N 0 3 301.409 4.248 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC4(CCCCC4)CC3)c2c1 ZINC001144191746 1050491509 /nfs/dbraw/zinc/49/15/09/1050491509.db2.gz YHRGXOQQJVZJHO-UHFFFAOYSA-N 0 3 301.409 4.248 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCc2c1ccc(OC)c2F ZINC000574550807 1050495806 /nfs/dbraw/zinc/49/58/06/1050495806.db2.gz DBNNICJTSVBIAH-SJKOYZFVSA-N 0 3 314.404 4.130 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)NCc2ccccc2CN(C)C)cc1 ZINC001144213848 1050496039 /nfs/dbraw/zinc/49/60/39/1050496039.db2.gz IHWXBBBNAXJCLL-INIZCTEOSA-N 0 3 324.468 4.192 20 0 DIADHN COc1cc(CN2C[C@@H]3CCCC[C@@H]3C2)ccc1Br ZINC001144223938 1050505790 /nfs/dbraw/zinc/50/57/90/1050505790.db2.gz CBMDWXYCTSPTRV-OKILXGFUSA-N 0 3 324.262 4.080 20 0 DIADHN CCS[C@H]1CCCCN(Cn2ccc(-c3ccsc3)n2)C1 ZINC000738218305 1050524276 /nfs/dbraw/zinc/52/42/76/1050524276.db2.gz DIIABLLZWFEBCF-HNNXBMFYSA-N 0 3 321.515 4.177 20 0 DIADHN Cc1cscc1CN[C@@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000786929484 1050533027 /nfs/dbraw/zinc/53/30/27/1050533027.db2.gz YGIBXYOPKVHMCS-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccccc2)c2cccnc2)cc1F ZINC000786938096 1050535146 /nfs/dbraw/zinc/53/51/46/1050535146.db2.gz JTIKOQIFGWTCOX-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2cc(F)ccc2Br)C1 ZINC001168405341 1050543575 /nfs/dbraw/zinc/54/35/75/1050543575.db2.gz XIUWRUYTMWJXRE-LBPRGKRZSA-N 0 3 314.242 4.456 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1cc(F)cc(Cl)c1)c1ccccn1 ZINC000787039969 1050545460 /nfs/dbraw/zinc/54/54/60/1050545460.db2.gz YEYIJXVCQSEOMK-DOTOQJQBSA-N 0 3 322.811 4.039 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CC[C@H](C)CC3)cs2)cc1 ZINC000594784531 1050551228 /nfs/dbraw/zinc/55/12/28/1050551228.db2.gz RHNBOUFPUGNEJI-CTYIDZIISA-N 0 3 316.470 4.487 20 0 DIADHN CCCc1ccc(CNC(C)(C)C(=O)Nc2ccccc2)cc1 ZINC000594789183 1050552758 /nfs/dbraw/zinc/55/27/58/1050552758.db2.gz OLUGYFIXLMGXSP-UHFFFAOYSA-N 0 3 310.441 4.146 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN[C@H](C)c1ccccc1Cl ZINC000746654177 1050559133 /nfs/dbraw/zinc/55/91/33/1050559133.db2.gz NOOAMMJFHZELEH-SJKOYZFVSA-N 0 3 307.796 4.172 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN[C@@H](C)c1ccccc1Cl ZINC000746654180 1050559396 /nfs/dbraw/zinc/55/93/96/1050559396.db2.gz NOOAMMJFHZELEH-YVEFUNNKSA-N 0 3 307.796 4.172 20 0 DIADHN Clc1ccc2c(CN3CC[C@H]4CCCC[C@H]4C3)c[nH]c2n1 ZINC001144415736 1050568926 /nfs/dbraw/zinc/56/89/26/1050568926.db2.gz LQVKNQYIYLIWNF-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN Cc1nc2ccc(Nc3ccc(C(F)(F)F)nc3C)cc2[nH]1 ZINC001213071362 1050576324 /nfs/dbraw/zinc/57/63/24/1050576324.db2.gz NQYFHYXJRACFFY-UHFFFAOYSA-N 0 3 306.291 4.337 20 0 DIADHN [O-]c1ccc(C[NH2+][C@@H]2CC[C@@H](c3ccc(F)cc3)C2)cc1F ZINC000780531110 1050579893 /nfs/dbraw/zinc/57/98/93/1050579893.db2.gz YSBWLUQBMQGQCJ-GDBMZVCRSA-N 0 3 303.352 4.096 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2CC[C@H](c3ccc(F)cc3)C2)cc1F ZINC000780531112 1050581083 /nfs/dbraw/zinc/58/10/83/1050581083.db2.gz YSBWLUQBMQGQCJ-HOCLYGCPSA-N 0 3 303.352 4.096 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccccc1Cl)c1cccc(F)c1 ZINC000059376218 1050583628 /nfs/dbraw/zinc/58/36/28/1050583628.db2.gz CXLRJNQRNUFCJJ-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CSCC1(CCNCc2csc(-c3ccccc3)n2)CC1 ZINC000594964159 1050584026 /nfs/dbraw/zinc/58/40/26/1050584026.db2.gz WLSWNGCGWZTEFJ-UHFFFAOYSA-N 0 3 318.511 4.433 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1cccc(Cl)n1 ZINC000746678474 1050593077 /nfs/dbraw/zinc/59/30/77/1050593077.db2.gz XUIPKGOEHAQYJM-ZBFHGGJFSA-N 0 3 300.833 4.188 20 0 DIADHN COc1cc(Cl)cc(CN2CCC3(CCOC3(C)C)CC2)c1 ZINC001144489819 1050600304 /nfs/dbraw/zinc/60/03/04/1050600304.db2.gz PFVAICLLRUVPFW-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3nc(C4CCC4)nn3c2)ccn1 ZINC001213164725 1050605316 /nfs/dbraw/zinc/60/53/16/1050605316.db2.gz ZPGYCKTUDKJFKD-UHFFFAOYSA-N 0 3 321.428 4.433 20 0 DIADHN CC(=O)c1oc2cccnc2c1Nc1ccnc(C(C)(C)C)c1 ZINC001213164670 1050605391 /nfs/dbraw/zinc/60/53/91/1050605391.db2.gz XCTGXXFSNYWPAG-UHFFFAOYSA-N 0 3 309.369 4.467 20 0 DIADHN COc1ccc(NC(C)=O)c(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001213166786 1050605456 /nfs/dbraw/zinc/60/54/56/1050605456.db2.gz QAVHLMLFEHPQPT-UHFFFAOYSA-N 0 3 313.401 4.090 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)CC(C)(C)NC3=O)ccn1 ZINC001213171079 1050607015 /nfs/dbraw/zinc/60/70/15/1050607015.db2.gz ZDRCCNRTMQYUCZ-UHFFFAOYSA-N 0 3 323.440 4.187 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)C(=O)N(C2CC2)C3)ccn1 ZINC001213168825 1050607439 /nfs/dbraw/zinc/60/74/39/1050607439.db2.gz VZLJKVICOQEQNN-UHFFFAOYSA-N 0 3 321.424 4.241 20 0 DIADHN C[C@@H]1Cc2cc(Nc3ccnc(C(C)(C)C)c3)ccc2N(C)C1=O ZINC001213170520 1050608182 /nfs/dbraw/zinc/60/81/82/1050608182.db2.gz JOJJHFRZAJDWNC-CYBMUJFWSA-N 0 3 323.440 4.278 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccccc1F)NC(=O)OC(C)(C)C ZINC000227119210 1050616247 /nfs/dbraw/zinc/61/62/47/1050616247.db2.gz MGXYJEOCDONXCS-CZUORRHYSA-N 0 3 324.440 4.026 20 0 DIADHN Clc1ccc2c(n1)[C@H](NCCOc1ccccc1Cl)CC2 ZINC000787758415 1050618147 /nfs/dbraw/zinc/61/81/47/1050618147.db2.gz FOWXFCCEQYBJSU-CYBMUJFWSA-N 0 3 323.223 4.044 20 0 DIADHN [O-]c1cc(F)c(C[N@@H+]2CC[C@H](c3ccc(Cl)cc3)C2)c(F)c1 ZINC001144559535 1050619068 /nfs/dbraw/zinc/61/90/68/1050619068.db2.gz NLBNSEUTGNPDAB-LBPRGKRZSA-N 0 3 323.770 4.313 20 0 DIADHN [O-]c1cc(F)c(C[N@H+]2CC[C@H](c3ccc(Cl)cc3)C2)c(F)c1 ZINC001144559535 1050619076 /nfs/dbraw/zinc/61/90/76/1050619076.db2.gz NLBNSEUTGNPDAB-LBPRGKRZSA-N 0 3 323.770 4.313 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cn1cc(Cl)cn1 ZINC000746710593 1050629878 /nfs/dbraw/zinc/62/98/78/1050629878.db2.gz FTKSYWOODPIFIC-IRXDYDNUSA-N 0 3 303.837 4.152 20 0 DIADHN c1nc(CN2CCC[C@@H](c3ccccc3)CC2)c2ccccn12 ZINC001144625012 1050630930 /nfs/dbraw/zinc/63/09/30/1050630930.db2.gz ZBBNTFVDAQDREX-GOSISDBHSA-N 0 3 305.425 4.104 20 0 DIADHN c1nc(CN2CCC[C@@H](CCc3ccccc3)C2)c2ccccn12 ZINC001144627381 1050634865 /nfs/dbraw/zinc/63/48/65/1050634865.db2.gz MIIVXUDCPDTKTM-IBGZPJMESA-N 0 3 319.452 4.179 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H](C)C3CCCCC3)o2)cc1 ZINC000575163368 1050650224 /nfs/dbraw/zinc/65/02/24/1050650224.db2.gz MPZZEHHZJMYRAN-CQSZACIVSA-N 0 3 314.429 4.409 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@@]2(C)C[C@]2(F)Cl)s1 ZINC000846038713 1050655487 /nfs/dbraw/zinc/65/54/87/1050655487.db2.gz QUOIVEVMEXGFRT-UONOGXRCSA-N 0 3 304.862 4.235 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC000741139132 1050661287 /nfs/dbraw/zinc/66/12/87/1050661287.db2.gz BVYFRXJGWXBJAZ-HZPDHXFCSA-N 0 3 323.440 4.242 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc2c(c1)CCC(C)(C)O2 ZINC000741157959 1050661866 /nfs/dbraw/zinc/66/18/66/1050661866.db2.gz RWQYHZUSLJCIDV-UHFFFAOYSA-N 0 3 324.468 4.146 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1CC(=O)N(Cc1ccccc1)C(C)(C)C ZINC000595716068 1050663698 /nfs/dbraw/zinc/66/36/98/1050663698.db2.gz KAJLUHMVUABXCJ-IAGOWNOFSA-N 0 3 316.489 4.077 20 0 DIADHN CC(C)c1cnc(CNCCC[C@@H]2CC2(Cl)Cl)s1 ZINC000846104708 1050670005 /nfs/dbraw/zinc/67/00/05/1050670005.db2.gz KDLNXYLXGGICMY-SNVBAGLBSA-N 0 3 307.290 4.330 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC001171216472 1050676153 /nfs/dbraw/zinc/67/61/53/1050676153.db2.gz GQRBPOGGPSYCPL-SUMWQHHRSA-N 0 3 316.832 4.408 20 0 DIADHN c1nc2cc(CN3CCC(c4ccncc4)CC3)ccc2s1 ZINC001144737190 1050692938 /nfs/dbraw/zinc/69/29/38/1050692938.db2.gz QAJJLUSPOGCVHL-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN c1nc2cc(CN3CCC(c4ccccn4)CC3)ccc2s1 ZINC001144738836 1050693835 /nfs/dbraw/zinc/69/38/35/1050693835.db2.gz HYXJVQQKEZRILN-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CC(C)[C@H](NCc1cscc1Cl)[C@H](O)c1ccccc1 ZINC000788536168 1050697943 /nfs/dbraw/zinc/69/79/43/1050697943.db2.gz FDQGJLNCXRQIIE-JKSUJKDBSA-N 0 3 309.862 4.249 20 0 DIADHN CCc1ccc(NC(=O)CN2C[C@@H](C)C[C@@H]2c2ccccc2)cc1 ZINC001170086898 1050712518 /nfs/dbraw/zinc/71/25/18/1050712518.db2.gz ZHFSICBEXJOAQN-OXJNMPFZSA-N 0 3 322.452 4.271 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@H]2CCc3ccc(Cl)nc32)c1 ZINC000788606927 1050712896 /nfs/dbraw/zinc/71/28/96/1050712896.db2.gz ATMYBVHXDYNSQW-CJNGLKHVSA-N 0 3 316.832 4.088 20 0 DIADHN C[C@@H](NCCC1CCCC1)c1nc(Br)ccc1F ZINC000788647255 1050715450 /nfs/dbraw/zinc/71/54/50/1050715450.db2.gz LWMWVXGUYBAMFS-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cccnc1Cl)[C@@H](O)c1ccccc1 ZINC000788622659 1050720719 /nfs/dbraw/zinc/72/07/19/1050720719.db2.gz TZYLKZKGUSYOQA-JQFCIGGWSA-N 0 3 318.848 4.144 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1ccc(F)cc1)c1cc[nH]c(=O)c1 ZINC000788643237 1050721630 /nfs/dbraw/zinc/72/16/30/1050721630.db2.gz PBXPDDSEIHIQFS-VBKZILBWSA-N 0 3 322.383 4.367 20 0 DIADHN C[C@@H](NCC1(C)CCCC1)c1nc(Br)ccc1F ZINC000788639186 1050722503 /nfs/dbraw/zinc/72/25/03/1050722503.db2.gz NEJIPMBELZFRNS-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc[nH]c(=O)c2)c(OC(C)(C)C)c1 ZINC000788630291 1050722856 /nfs/dbraw/zinc/72/28/56/1050722856.db2.gz ZPHNESRASYUOSW-AWEZNQCLSA-N 0 3 314.429 4.124 20 0 DIADHN C[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccccc1SC(C)(C)C ZINC000788630385 1050723417 /nfs/dbraw/zinc/72/34/17/1050723417.db2.gz GXGTWYHFEZDWQO-QLFBSQMISA-N 0 3 305.487 4.165 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000180041033 1050724172 /nfs/dbraw/zinc/72/41/72/1050724172.db2.gz SOJYVPOYAORYQY-VHSXEESVSA-N 0 3 313.323 4.029 20 0 DIADHN C[C@H](N[C@H]1CCCOc2cc(Cl)ccc21)c1cc[nH]c(=O)c1 ZINC000788691953 1050727692 /nfs/dbraw/zinc/72/76/92/1050727692.db2.gz NYNASSCQRKNCCB-NHYWBVRUSA-N 0 3 318.804 4.005 20 0 DIADHN CC[C@H](N[C@@H]1Cc2ccccc2[C@@H]1OC)c1ccc(F)cc1F ZINC001171232832 1050728503 /nfs/dbraw/zinc/72/85/03/1050728503.db2.gz YGUTZTHLUFWXKY-OTWHNJEPSA-N 0 3 317.379 4.318 20 0 DIADHN CC(C)C[C@@H](C)N[C@H](C)c1nc(Br)ccc1F ZINC000788669639 1050730253 /nfs/dbraw/zinc/73/02/53/1050730253.db2.gz FKLVXQLBOBPCIH-NXEZZACHSA-N 0 3 303.219 4.068 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788713480 1050731662 /nfs/dbraw/zinc/73/16/62/1050731662.db2.gz WFSRFSRLVSDZER-BFYDXBDKSA-N 0 3 323.864 4.095 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H]2COCc3cccc(Cl)c32)n1 ZINC000788741833 1050743833 /nfs/dbraw/zinc/74/38/33/1050743833.db2.gz VYRZQSXVJVISLP-HZMBPMFUSA-N 0 3 322.861 4.070 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(Cl)nc2)C12CCCCC2 ZINC000741809364 1050746021 /nfs/dbraw/zinc/74/60/21/1050746021.db2.gz HFYJDXDPWFIMLG-HOTGVXAUSA-N 0 3 322.880 4.295 20 0 DIADHN Fc1ccccc1CCCN[C@H]1COCc2cccc(Cl)c21 ZINC000788801560 1050749262 /nfs/dbraw/zinc/74/92/62/1050749262.db2.gz ARUDFUNWPWLDLM-KRWDZBQOSA-N 0 3 319.807 4.273 20 0 DIADHN C[C@H](NC1(c2ccc(Cl)c(Cl)c2)CC1)c1cc[nH]c(=O)c1 ZINC000788817325 1050757976 /nfs/dbraw/zinc/75/79/76/1050757976.db2.gz YVXDKVJBPOJGHW-JTQLQIEISA-N 0 3 323.223 4.434 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H](C)C[C@H](O)c1ccco1 ZINC000788968198 1050767814 /nfs/dbraw/zinc/76/78/14/1050767814.db2.gz WJGCCXWXLDIIJK-WBMJQRKESA-N 0 3 307.821 4.097 20 0 DIADHN CCCCCC[C@H](C)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC000741885526 1050769956 /nfs/dbraw/zinc/76/99/56/1050769956.db2.gz NPVZTKXDQHYWQY-FUHWJXTLSA-N 0 3 304.478 4.012 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@]2(CC2(F)F)C1 ZINC000847011966 1050799486 /nfs/dbraw/zinc/79/94/86/1050799486.db2.gz FRBFZODETSKQDG-HNNXBMFYSA-N 0 3 301.327 4.019 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@@H](Cc4ccccc4)C3)n2)c1 ZINC000742153408 1050805993 /nfs/dbraw/zinc/80/59/93/1050805993.db2.gz YXNJJFSWSYSUCT-KRWDZBQOSA-N 0 3 323.465 4.134 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2ccc3c(c2)COC3)CC1 ZINC000789308758 1050810471 /nfs/dbraw/zinc/81/04/71/1050810471.db2.gz FWDPLLPHKANXOC-UHFFFAOYSA-N 0 3 311.400 4.178 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H](O)c1ccc(CC)cc1 ZINC000789358767 1050814033 /nfs/dbraw/zinc/81/40/33/1050814033.db2.gz SSUMZPJBVQLAFN-DNVCBOLYSA-N 0 3 313.441 4.032 20 0 DIADHN CCn1cc(CN[C@H](Cc2ccc(F)cc2)c2ccccc2)cn1 ZINC000601535431 1050816816 /nfs/dbraw/zinc/81/68/16/1050816816.db2.gz UCDMWCIJSGTTMG-HXUWFJFHSA-N 0 3 323.415 4.116 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1CCCCOC(C)(C)C ZINC000789320632 1050817544 /nfs/dbraw/zinc/81/75/44/1050817544.db2.gz MHGDHNQYWRTTAB-LMMKCTJWSA-N 0 3 319.489 4.432 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3c2cccc3F)cc1Cl ZINC000742368861 1050821402 /nfs/dbraw/zinc/82/14/02/1050821402.db2.gz KJYALRFWRWBIPU-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN COc1ccc(CNCc2ccc(OC(C)C)cc2)cc1Cl ZINC000742366648 1050823231 /nfs/dbraw/zinc/82/32/31/1050823231.db2.gz OHEKVKXOKOOKPZ-UHFFFAOYSA-N 0 3 319.832 4.426 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CNCCC1CC1 ZINC000742383125 1050825058 /nfs/dbraw/zinc/82/50/58/1050825058.db2.gz UBCADSMHILOHLC-UHFFFAOYSA-N 0 3 324.255 4.377 20 0 DIADHN Cc1cnccc1Nc1cc(Cl)ccc1NC(=O)C(C)(C)C ZINC001214125157 1050827187 /nfs/dbraw/zinc/82/71/87/1050827187.db2.gz GOJBJFQACAMYJJ-UHFFFAOYSA-N 0 3 317.820 4.194 20 0 DIADHN CC[C@H](NCc1ccc(OC(C)C)c(OC)c1)c1ccncc1 ZINC000742371854 1050835716 /nfs/dbraw/zinc/83/57/16/1050835716.db2.gz AEUFYTGBPCRBEC-KRWDZBQOSA-N 0 3 314.429 4.118 20 0 DIADHN Cc1ccc(N2CCC[C@H](NCc3ccc(F)cc3F)C2)cc1 ZINC000742380090 1050838323 /nfs/dbraw/zinc/83/83/23/1050838323.db2.gz KTMNBXFQBCOBCZ-KRWDZBQOSA-N 0 3 316.395 4.032 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccc(OC)cc2)o1)[C@@H]1CC1(C)C ZINC001171286257 1050843149 /nfs/dbraw/zinc/84/31/49/1050843149.db2.gz HDJOSVDPIFQHCD-JKSUJKDBSA-N 0 3 314.429 4.265 20 0 DIADHN COc1ccc(Cl)c2c1C[C@H](N[C@@H](C)c1nccs1)CC2 ZINC001171290065 1050847055 /nfs/dbraw/zinc/84/70/55/1050847055.db2.gz WDKABNAOLHDVPC-WDEREUQCSA-N 0 3 322.861 4.013 20 0 DIADHN CC(C)N(CC(=O)Nc1cc(Cl)ccc1Cl)C(C)C ZINC000180449576 1050847952 /nfs/dbraw/zinc/84/79/52/1050847952.db2.gz XPJGANFRGHPHOS-UHFFFAOYSA-N 0 3 303.233 4.051 20 0 DIADHN C[C@H](N[C@H](CN1CCCCC1)c1ccccc1)c1cccc(O)c1 ZINC000669614494 1050857807 /nfs/dbraw/zinc/85/78/07/1050857807.db2.gz KAAGCXYZXABBAE-LAUBAEHRSA-N 0 3 324.468 4.270 20 0 DIADHN COc1ccccc1OC1CCN(Cc2ccc(C)s2)CC1 ZINC001204033701 1050868670 /nfs/dbraw/zinc/86/86/70/1050868670.db2.gz QJFZXIRYJIJTHG-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1ccc(N2CCCN(Cc3cc4ccccc4[nH]3)CC2)cc1 ZINC000789723298 1050877719 /nfs/dbraw/zinc/87/77/19/1050877719.db2.gz OXJKDNXYZXOHMR-UHFFFAOYSA-N 0 3 319.452 4.189 20 0 DIADHN CCS[C@@H]1CCC[C@H]1NCc1cc(-c2ccc(F)cc2)no1 ZINC001170118498 1050878704 /nfs/dbraw/zinc/87/87/04/1050878704.db2.gz QYBZOUCTPAEWEW-NVXWUHKLSA-N 0 3 320.433 4.245 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1c(F)cccc1F)[C@H](O)c1ccccc1 ZINC001170119107 1050879030 /nfs/dbraw/zinc/87/90/30/1050879030.db2.gz UIZUEDYOACEWEV-FASAQXTFSA-N 0 3 319.395 4.374 20 0 DIADHN CN1CCC(N(C(=O)CC2CCCCC2)c2ccccc2)CC1 ZINC000601961003 1050881939 /nfs/dbraw/zinc/88/19/39/1050881939.db2.gz BKWKTYPJDNFVHM-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc3c2OCCO3)cc1 ZINC000746856245 1050887881 /nfs/dbraw/zinc/88/78/81/1050887881.db2.gz VJSXHNGMKWNJPC-SFHVURJKSA-N 0 3 309.409 4.103 20 0 DIADHN Cc1ccc(CN2C[C@H](C(=O)OC(C)(C)C)CC[C@H]2C)cc1F ZINC001171308377 1050888252 /nfs/dbraw/zinc/88/82/52/1050888252.db2.gz QWSXJECQCJPXFQ-GDBMZVCRSA-N 0 3 321.436 4.076 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cccc2c1OCCO2 ZINC000772983100 1050900192 /nfs/dbraw/zinc/90/01/92/1050900192.db2.gz JVEPWAFJLUAYNJ-RHSMWYFYSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CC[C@@H](C)C3)cs2)c1 ZINC001170122890 1050901291 /nfs/dbraw/zinc/90/12/91/1050901291.db2.gz AOSXNNANXQKTBW-TZMCWYRMSA-N 0 3 302.443 4.097 20 0 DIADHN FC1(F)Oc2cccc(CN3CC[C@@H](C4CCCC4)C3)c2O1 ZINC001171330805 1050938503 /nfs/dbraw/zinc/93/85/03/1050938503.db2.gz FQRGCKQSIIOARR-CYBMUJFWSA-N 0 3 309.356 4.020 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1CC[C@H](C2CCCC2)C1 ZINC001171332950 1050952845 /nfs/dbraw/zinc/95/28/45/1050952845.db2.gz VDYCOAREXOBQDO-ZFWWWQNUSA-N 0 3 320.864 4.179 20 0 DIADHN CCC1(CC)[C@H](NCc2nc(C)c(C(C)(C)C)s2)C[C@H]1OC ZINC001307743803 1050985532 /nfs/dbraw/zinc/98/55/32/1050985532.db2.gz CUQJDGYLSFNYHD-ZIAGYGMSSA-N 0 3 324.534 4.432 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000790715848 1050989228 /nfs/dbraw/zinc/98/92/28/1050989228.db2.gz SMEOVWYUKBKGGA-ZFWWWQNUSA-N 0 3 306.450 4.078 20 0 DIADHN CCCCn1cc(CN[C@@H]2CCCNc3ccccc32)c(C)n1 ZINC000348146390 1050993497 /nfs/dbraw/zinc/99/34/97/1050993497.db2.gz UYOWGTGDTRFOET-LJQANCHMSA-N 0 3 312.461 4.028 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cccc(Cl)c1)c1ccccc1Cl ZINC000743250499 1051004980 /nfs/dbraw/zinc/00/49/80/1051004980.db2.gz ZUQCJTJQLZBVFC-BDJLRTHQSA-N 0 3 310.224 4.378 20 0 DIADHN OCC[C@@H](N[C@@H]1CCCc2ccccc21)c1ccc(Cl)cc1 ZINC000348204214 1051005740 /nfs/dbraw/zinc/00/57/40/1051005740.db2.gz FWHBCSHZLVMHSR-RTBURBONSA-N 0 3 315.844 4.431 20 0 DIADHN Cc1ccc([C@H](O)CN(C)Cc2cc(Cl)cc(Cl)c2)cc1 ZINC000743264287 1051010524 /nfs/dbraw/zinc/01/05/24/1051010524.db2.gz KTMOJRPNIHWYTP-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1cccc(F)c1)c1cccc(Cl)c1 ZINC000743262245 1051011244 /nfs/dbraw/zinc/01/12/44/1051011244.db2.gz SDIUMBDEZSBSKQ-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN OC1(c2ccccc2)CCC(NCc2ccsc2Cl)CC1 ZINC000790986851 1051012597 /nfs/dbraw/zinc/01/25/97/1051012597.db2.gz XRTZMYXDSAAAHT-UHFFFAOYSA-N 0 3 321.873 4.322 20 0 DIADHN C[C@@](O)(CNCc1ccsc1Cl)c1cccc(Cl)c1 ZINC000790989322 1051012936 /nfs/dbraw/zinc/01/29/36/1051012936.db2.gz BNHRUGRDKTXNOH-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN CCC[C@@H]1CC(=O)N(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000743352295 1051014818 /nfs/dbraw/zinc/01/48/18/1051014818.db2.gz WPSXIILWIJDBRY-KDOFPFPSSA-N 0 3 320.452 4.109 20 0 DIADHN CCCCN(CCCC)CN1C(=O)[C@H](C)[C@H]1c1ccccc1 ZINC000743354894 1051017808 /nfs/dbraw/zinc/01/78/08/1051017808.db2.gz YELVUEYLXWTGMW-AEFFLSMTSA-N 0 3 302.462 4.066 20 0 DIADHN CCN(CN1C(=O)C[C@@H]1c1ccc(Cl)cc1)C1CCCCC1 ZINC000743347441 1051019678 /nfs/dbraw/zinc/01/96/78/1051019678.db2.gz PPALKAPVMWTGDJ-QGZVFWFLSA-N 0 3 320.864 4.226 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2ccsc2Cl)CC1 ZINC000790983693 1051020062 /nfs/dbraw/zinc/02/00/62/1051020062.db2.gz UZMSZAXVIWFKOA-AWEZNQCLSA-N 0 3 307.846 4.005 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1Cl)c1cc2ccccc2o1 ZINC000773009924 1051020746 /nfs/dbraw/zinc/02/07/46/1051020746.db2.gz FDKNHRHNJMIKCF-WBMJQRKESA-N 0 3 315.800 4.470 20 0 DIADHN c1cc(-c2cccnc2)n(CN2CCCC3(C2)CCCCCC3)n1 ZINC000743385800 1051020791 /nfs/dbraw/zinc/02/07/91/1051020791.db2.gz OLVAINJDIWJYSO-UHFFFAOYSA-N 0 3 324.472 4.339 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC000773029665 1051029013 /nfs/dbraw/zinc/02/90/13/1051029013.db2.gz ZIAPOBWIRBHCTA-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](OC)c1cccc(Cl)c1 ZINC000773036927 1051032439 /nfs/dbraw/zinc/03/24/39/1051032439.db2.gz YWIUGDGHEIAEDH-BZNIZROVSA-N 0 3 322.836 4.237 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(C(=O)N3CCCC3)cc2)c1 ZINC000743465367 1051035640 /nfs/dbraw/zinc/03/56/40/1051035640.db2.gz ZQRGKYZTDTUJJD-QGZVFWFLSA-N 0 3 322.452 4.082 20 0 DIADHN CCN(Cc1cc(Br)cc(F)c1F)C1CCCC1 ZINC001170151053 1051036967 /nfs/dbraw/zinc/03/69/67/1051036967.db2.gz VHQDJSGJSQVXBM-UHFFFAOYSA-N 0 3 318.205 4.492 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000773045103 1051037395 /nfs/dbraw/zinc/03/73/95/1051037395.db2.gz XSNGCVNHDYTHML-FJNPEDAXSA-N 0 3 323.358 4.267 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000773045105 1051037596 /nfs/dbraw/zinc/03/75/96/1051037596.db2.gz XSNGCVNHDYTHML-VQTKTOELSA-N 0 3 323.358 4.267 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)c(Cl)c1)C1CCCC1 ZINC001170151372 1051037822 /nfs/dbraw/zinc/03/78/22/1051037822.db2.gz VUVPTRORQHMPTM-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CC[C@H]3CCCC[C@@H]3C2)s1 ZINC000773041384 1051038358 /nfs/dbraw/zinc/03/83/58/1051038358.db2.gz PPWOJXDZZAJHEJ-MGPQQGTHSA-N 0 3 307.507 4.284 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@H](O)c1cccc(C)c1 ZINC000773066076 1051045256 /nfs/dbraw/zinc/04/52/56/1051045256.db2.gz USFHRYSTUDUUFL-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1cccc(C)c1)c1cc(F)ccc1F ZINC000773065730 1051047796 /nfs/dbraw/zinc/04/77/96/1051047796.db2.gz QOIUZCMAVYPAPD-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN CC[C@H](NC[C@](C)(O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000773116585 1051064117 /nfs/dbraw/zinc/06/41/17/1051064117.db2.gz DMRIFKLABAYHSM-ROUUACIJSA-N 0 3 323.358 4.052 20 0 DIADHN CCSCCCN[C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000791637353 1051068102 /nfs/dbraw/zinc/06/81/02/1051068102.db2.gz IHIUVGYIONFBKB-SFHVURJKSA-N 0 3 300.471 4.212 20 0 DIADHN COCCCC[C@@H](NCc1ccnc(Cl)c1)c1ccccc1 ZINC000791604586 1051068472 /nfs/dbraw/zinc/06/84/72/1051068472.db2.gz KWHPOSPDNRFJMX-QGZVFWFLSA-N 0 3 318.848 4.383 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000773119650 1051069012 /nfs/dbraw/zinc/06/90/12/1051069012.db2.gz LPVIJRNYLMJHOA-LLVKDONJSA-N 0 3 320.383 4.206 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cccc(Cl)n2)cc1 ZINC000743842202 1051069829 /nfs/dbraw/zinc/06/98/29/1051069829.db2.gz CWRLELBETSHVQX-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN CC[C@H]1c2ccccc2CCN1C[C@@H](O)c1cccc(Cl)c1 ZINC000743850434 1051071552 /nfs/dbraw/zinc/07/15/52/1051071552.db2.gz WUSKSYPFFUGHEP-RBUKOAKNSA-N 0 3 315.844 4.383 20 0 DIADHN COc1ccc(CN2CCCCC2)cc1SC(F)(F)F ZINC000743839801 1051072198 /nfs/dbraw/zinc/07/21/98/1051072198.db2.gz AHFYMEXFKBPNIC-UHFFFAOYSA-N 0 3 305.365 4.293 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cccc(Cl)n1 ZINC000743850875 1051073895 /nfs/dbraw/zinc/07/38/95/1051073895.db2.gz DQVPSOAZZRSRBB-JSGCOSHPSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1ccccc1[C@@H]1CN(CCc2cccs2)[C@H](C)CO1 ZINC000743851683 1051074426 /nfs/dbraw/zinc/07/44/26/1051074426.db2.gz DABDUADZRQPDNW-QAPCUYQASA-N 0 3 301.455 4.061 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000743851082 1051075070 /nfs/dbraw/zinc/07/50/70/1051075070.db2.gz IYWDBMMWDFSVLF-LSDHHAIUSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000743851081 1051075097 /nfs/dbraw/zinc/07/50/97/1051075097.db2.gz IYWDBMMWDFSVLF-HUUCEWRRSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@H](NCC1(C)OCCO1)c1ccc(SC2CCCC2)cc1 ZINC000773140878 1051077168 /nfs/dbraw/zinc/07/71/68/1051077168.db2.gz WSAACOWVWHKBRJ-AWEZNQCLSA-N 0 3 321.486 4.135 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(C[C@@H]2CC2(Cl)Cl)C1 ZINC000743854520 1051077307 /nfs/dbraw/zinc/07/73/07/1051077307.db2.gz AXKOEIUWCVASRC-SCDSUCTJSA-N 0 3 302.220 4.402 20 0 DIADHN CC[C@H](NCc1ncc(Br)s1)C1CCCCC1 ZINC000713719707 1051084376 /nfs/dbraw/zinc/08/43/76/1051084376.db2.gz URISLAKPASDNEH-NSHDSACASA-N 0 3 317.296 4.354 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2C[C@@H](O)c2ccccc2F)o1 ZINC000743872577 1051085511 /nfs/dbraw/zinc/08/55/11/1051085511.db2.gz ZADASZYKBZSTNL-JEBQAFNWSA-N 0 3 317.404 4.234 20 0 DIADHN O[C@H](CN1CCC2(CCCC2)C1)c1ccccc1C(F)(F)F ZINC000743863686 1051087234 /nfs/dbraw/zinc/08/72/34/1051087234.db2.gz LIMXVZZPYNJDFG-OAHLLOKOSA-N 0 3 313.363 4.005 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2ncc(Br)s2)C1 ZINC000714945677 1051097834 /nfs/dbraw/zinc/09/78/34/1051097834.db2.gz KSZZVCYQWGNRPH-WDEREUQCSA-N 0 3 317.296 4.210 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(C)s2)c(OC)c1 ZINC000036922105 1051101346 /nfs/dbraw/zinc/10/13/46/1051101346.db2.gz PKEYBKRNGBKLOF-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN C[C@@H](Cc1ccco1)N[C@@H](C)c1ccccc1Br ZINC000036993859 1051105144 /nfs/dbraw/zinc/10/51/44/1051105144.db2.gz VVJGPDUMCVPGBL-RYUDHWBXSA-N 0 3 308.219 4.324 20 0 DIADHN CCc1ccc([C@H](O)CN[C@H](CC)c2ccccc2F)cc1 ZINC000797028142 1051116056 /nfs/dbraw/zinc/11/60/56/1051116056.db2.gz XJCGJAHFKWLWHJ-RTBURBONSA-N 0 3 301.405 4.162 20 0 DIADHN COc1ccc(Nc2cnc(C)n2C)c(OCc2ccccc2)c1 ZINC001215221260 1051121204 /nfs/dbraw/zinc/12/12/04/1051121204.db2.gz ZPJZYFBPHQKJSH-UHFFFAOYSA-N 0 3 323.396 4.060 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1CCc2c(F)cccc2C1 ZINC001171440454 1051126633 /nfs/dbraw/zinc/12/66/33/1051126633.db2.gz JNJUNHVNUBAWQA-OAHLLOKOSA-N 0 3 305.343 4.093 20 0 DIADHN COCCN(Cc1cc(Cl)nc(Cl)c1)[C@@H]1CC[C@@H](C)C1 ZINC000792464969 1051139029 /nfs/dbraw/zinc/13/90/29/1051139029.db2.gz PWAHIAHFUURMDP-DGCLKSJQSA-N 0 3 317.260 4.025 20 0 DIADHN CN1CCCC[C@H]1c1ccc(NCC2(c3ccccc3)CC2)nc1 ZINC001171460896 1051142414 /nfs/dbraw/zinc/14/24/14/1051142414.db2.gz FXTVZBPJCYTCRP-IBGZPJMESA-N 0 3 321.468 4.382 20 0 DIADHN Cc1nc(CN2CCC[C@]2(C)Cc2ccccc2F)sc1C ZINC001171491507 1051143489 /nfs/dbraw/zinc/14/34/89/1051143489.db2.gz VNEFLLNYXQSHHP-GOSISDBHSA-N 0 3 318.461 4.496 20 0 DIADHN Fc1cccc(F)c1CCCN1CC[C@H](Oc2ccccc2)C1 ZINC001171538093 1051153065 /nfs/dbraw/zinc/15/30/65/1051153065.db2.gz DHZOXMLGCXQSFS-INIZCTEOSA-N 0 3 317.379 4.051 20 0 DIADHN FC[C@@H](N[C@@H]1CCc2cc(F)c(F)c(F)c2C1)c1ccccc1 ZINC001171510413 1051155455 /nfs/dbraw/zinc/15/54/55/1051155455.db2.gz BDODYLCMJAFAMX-CZUORRHYSA-N 0 3 323.333 4.262 20 0 DIADHN CC[C@H]1C[C@@H](N(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC001170203136 1051155671 /nfs/dbraw/zinc/15/56/71/1051155671.db2.gz IZUXTIBJPOGHNP-KBPBESRZSA-N 0 3 302.245 4.383 20 0 DIADHN CC[C@@H]1C[C@@H](N2CCc3cccc(C(F)(F)F)c3C2)CCO1 ZINC001170236588 1051158071 /nfs/dbraw/zinc/15/80/71/1051158071.db2.gz UNXNQBGZSQKZDY-UONOGXRCSA-N 0 3 313.363 4.021 20 0 DIADHN CC(C)(Nc1ccc(F)c(CN2CCCC2)c1)c1ccncc1 ZINC001171518861 1051159278 /nfs/dbraw/zinc/15/92/78/1051159278.db2.gz IYQVZPBTVAZAEW-UHFFFAOYSA-N 0 3 313.420 4.164 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@H]1COc2ccc(F)cc21 ZINC001170256784 1051163356 /nfs/dbraw/zinc/16/33/56/1051163356.db2.gz NEPFXDBALHWONC-BZNIZROVSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1CC[C@](CF)(C(F)(F)F)C1 ZINC001170265016 1051167657 /nfs/dbraw/zinc/16/76/57/1051167657.db2.gz CUXBMWYKGBRVIH-BXUZGUMPSA-N 0 3 323.761 4.495 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1CC[C@@H](F)C(F)(F)CC1 ZINC001170265555 1051168005 /nfs/dbraw/zinc/16/80/05/1051168005.db2.gz HWQJZZHNXUMRRE-BXUZGUMPSA-N 0 3 305.771 4.340 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCC(=O)c1ccc(Cl)cc1 ZINC001170251396 1051173947 /nfs/dbraw/zinc/17/39/47/1051173947.db2.gz ZNZMTYMKDUKVOW-LBPRGKRZSA-N 0 3 322.235 4.397 20 0 DIADHN CCc1ccc(CN2CCC(OCc3ccc(F)cc3)CC2)o1 ZINC000181533566 1051176541 /nfs/dbraw/zinc/17/65/41/1051176541.db2.gz WBQCVZYSZMRPKH-UHFFFAOYSA-N 0 3 317.404 4.162 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3cnccc32)cn1 ZINC000181555459 1051182544 /nfs/dbraw/zinc/18/25/44/1051182544.db2.gz BQGAPRDMXUNCKV-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN CCOC(=O)[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1ccc(Cl)cc1 ZINC001170290730 1051183374 /nfs/dbraw/zinc/18/33/74/1051183374.db2.gz QTVRDYPSIXLPIM-USXIJHARSA-N 0 3 321.848 4.066 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCC(=O)c2ccc(F)cc2F)cc1 ZINC001171657725 1051183899 /nfs/dbraw/zinc/18/38/99/1051183899.db2.gz KNVCTKGGPHBVTO-CQSZACIVSA-N 0 3 317.379 4.067 20 0 DIADHN CC[C@H](C)N1CCN([C@@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC001170316337 1051185828 /nfs/dbraw/zinc/18/58/28/1051185828.db2.gz XPJKDSDPILBMLK-STQMWFEESA-N 0 3 315.288 4.471 20 0 DIADHN CC[C@H](C)N1CCN([C@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC001170316336 1051186042 /nfs/dbraw/zinc/18/60/42/1051186042.db2.gz XPJKDSDPILBMLK-QWHCGFSZSA-N 0 3 315.288 4.471 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCCCCCCC1 ZINC001170341964 1051192607 /nfs/dbraw/zinc/19/26/07/1051192607.db2.gz GLECKVCVXZSUJO-UHFFFAOYSA-N 0 3 308.853 4.243 20 0 DIADHN COc1cccc2c1CN(Cc1cccc3ccccc31)CC2 ZINC001170342055 1051193266 /nfs/dbraw/zinc/19/32/66/1051193266.db2.gz HUMJIWTVFXNFED-UHFFFAOYSA-N 0 3 303.405 4.407 20 0 DIADHN CCC[C@@H](NCc1cn(-c2ccc(Cl)cc2)nn1)C(C)(C)C ZINC001170365860 1051193507 /nfs/dbraw/zinc/19/35/07/1051193507.db2.gz RSJORVGVZLRYHB-MRXNPFEDSA-N 0 3 320.868 4.225 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3C[C@@H](OC(C)C)C3(C)C)nc2c1 ZINC001170399316 1051196776 /nfs/dbraw/zinc/19/67/76/1051196776.db2.gz HUAYTYDZYHJAOS-HZPDHXFCSA-N 0 3 318.486 4.286 20 0 DIADHN Fc1cc(C2OCCO2)cc(F)c1Nc1ccnc(C2CC2)c1 ZINC001215434429 1051204678 /nfs/dbraw/zinc/20/46/78/1051204678.db2.gz FCEJLAUNLUWROI-UHFFFAOYSA-N 0 3 318.323 4.026 20 0 DIADHN C=C/C=C\CCN1CC[C@H](c2cccc(Br)c2)C1 ZINC001170413673 1051205283 /nfs/dbraw/zinc/20/52/83/1051205283.db2.gz ZEIHSCVIBUCFRJ-JZIMQPMSSA-N 0 3 306.247 4.371 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1cccc(Cl)c1Cl)C2 ZINC001171774972 1051210536 /nfs/dbraw/zinc/21/05/36/1051210536.db2.gz GVAGBWJOKFDYQY-LBPRGKRZSA-N 0 3 310.199 4.390 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2Cc3cccc(F)c3C2)c1Cl ZINC001171774036 1051210690 /nfs/dbraw/zinc/21/06/90/1051210690.db2.gz TZGPGKAQTGCVAF-LBPRGKRZSA-N 0 3 307.771 4.184 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1ccc(F)c(Cl)c1F)C2 ZINC001171777480 1051213059 /nfs/dbraw/zinc/21/30/59/1051213059.db2.gz QGBXMNQZMPIBJY-LLVKDONJSA-N 0 3 311.734 4.014 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@H]1C[C@H](O)c1ccco1 ZINC000792839034 1051219396 /nfs/dbraw/zinc/21/93/96/1051219396.db2.gz JFOFZKJEJPGYGD-YJBOKZPZSA-N 0 3 317.404 4.069 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CC[C@@H](C2CCCCC2)C1 ZINC001171799682 1051222493 /nfs/dbraw/zinc/22/24/93/1051222493.db2.gz QYHLLCQIIXPHDM-GOEBONIOSA-N 0 3 318.436 4.055 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CC[C@@H](C2CCCCC2)C1 ZINC001171799678 1051223644 /nfs/dbraw/zinc/22/36/44/1051223644.db2.gz QYHLLCQIIXPHDM-GDBMZVCRSA-N 0 3 318.436 4.055 20 0 DIADHN CC(C)Oc1cc(Nc2ccccc2CN(C)C)ccc1F ZINC001215502629 1051224658 /nfs/dbraw/zinc/22/46/58/1051224658.db2.gz NRYOIHCXOPIUJX-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN COc1ccc(C)cc1CN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC000792903244 1051224672 /nfs/dbraw/zinc/22/46/72/1051224672.db2.gz JPHGLLYFZKGBBD-LSDHHAIUSA-N 0 3 314.256 4.019 20 0 DIADHN Fc1ccc([C@H]2CCCN2[C@H]2Cc3cccc(F)c3C2)c(F)c1 ZINC001171808794 1051225202 /nfs/dbraw/zinc/22/52/02/1051225202.db2.gz DRJKETKLIJDUIQ-IFXJQAMLSA-N 0 3 317.354 4.408 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)c1 ZINC000792901188 1051225534 /nfs/dbraw/zinc/22/55/34/1051225534.db2.gz KJCJFRGALOUNCW-GLQYFDAESA-N 0 3 314.256 4.272 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)c(C)s1 ZINC000792911573 1051226278 /nfs/dbraw/zinc/22/62/78/1051226278.db2.gz XVFJFRXGJILJIQ-OLZOCXBDSA-N 0 3 304.286 4.381 20 0 DIADHN CN(Cc1cc2n(n1)CCCC2)C1CC(C)(C)CC(C)(C)C1 ZINC001171862741 1051233680 /nfs/dbraw/zinc/23/36/80/1051233680.db2.gz QRROZGAWLPHRAQ-UHFFFAOYSA-N 0 3 303.494 4.256 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001171917561 1051240508 /nfs/dbraw/zinc/24/05/08/1051240508.db2.gz ZTMZAJXCHATEEF-INIZCTEOSA-N 0 3 310.441 4.413 20 0 DIADHN O[C@@H](CN1CCC[C@@H](CCF)C1)c1ccc(Cl)cc1Cl ZINC001171955031 1051244564 /nfs/dbraw/zinc/24/45/64/1051244564.db2.gz WDMCRCDEDSOEMJ-NHYWBVRUSA-N 0 3 320.235 4.098 20 0 DIADHN CCOC(=O)C1(C)CCC(N2Cc3ccc(Cl)cc3C2)CC1 ZINC001171956748 1051244659 /nfs/dbraw/zinc/24/46/59/1051244659.db2.gz NMMHJXYHEKFHFT-UHFFFAOYSA-N 0 3 321.848 4.168 20 0 DIADHN O[C@@H](CN1CCC[C@H](CCF)C1)c1ccc(Cl)cc1Cl ZINC001171955030 1051244775 /nfs/dbraw/zinc/24/47/75/1051244775.db2.gz WDMCRCDEDSOEMJ-ABAIWWIYSA-N 0 3 320.235 4.098 20 0 DIADHN C[C@H](N[C@H]1CSc2ccccc21)c1cccc(-n2ccnc2)c1 ZINC000766327243 1051247563 /nfs/dbraw/zinc/24/75/63/1051247563.db2.gz VPSSDJDSEGDDMH-KSSFIOAISA-N 0 3 321.449 4.370 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2cccnc2C1 ZINC001171967809 1051247787 /nfs/dbraw/zinc/24/77/87/1051247787.db2.gz STVIPPOQPMUYHV-ZDUSSCGKSA-N 0 3 320.358 4.090 20 0 DIADHN CCc1ccc(C2=CCN(C[C@H]3CC[C@H](C4CC4)O3)CC2)cc1 ZINC001168775292 1051247771 /nfs/dbraw/zinc/24/77/71/1051247771.db2.gz OLSXJOFOFIWOLW-NHCUHLMSSA-N 0 3 311.469 4.296 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N(C)Cc1cncs1 ZINC001171968671 1051248583 /nfs/dbraw/zinc/24/85/83/1051248583.db2.gz WHQYSOUWWPYFRJ-NSHDSACASA-N 0 3 314.376 4.225 20 0 DIADHN CC(=O)[C@H]1CCCCN1[C@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC001171964931 1051249235 /nfs/dbraw/zinc/24/92/35/1051249235.db2.gz KWKLZVPXGOGKRC-MLGOLLRUSA-N 0 3 313.363 4.080 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2cccnc2C1 ZINC001171967803 1051250248 /nfs/dbraw/zinc/25/02/48/1051250248.db2.gz STVIPPOQPMUYHV-CYBMUJFWSA-N 0 3 320.358 4.090 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC001171936491 1051253796 /nfs/dbraw/zinc/25/37/96/1051253796.db2.gz APWKGZXYRSRCGZ-KZVDOYCCSA-N 0 3 314.256 4.147 20 0 DIADHN CCCCCCOc1cccc(C[NH2+]Cc2ncccc2[O-])c1 ZINC000793257149 1051274429 /nfs/dbraw/zinc/27/44/29/1051274429.db2.gz QLXLWPRCHGANBL-UHFFFAOYSA-N 0 3 314.429 4.036 20 0 DIADHN Fc1cnc(Nc2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001215676471 1051293662 /nfs/dbraw/zinc/29/36/62/1051293662.db2.gz XJLMKNCIQMXIOK-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C(C)(C)C2CCCCC2)c1 ZINC000766337002 1051293651 /nfs/dbraw/zinc/29/36/51/1051293651.db2.gz PDMPOBXCPCKUOU-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN CN(C)[C@H](C/N=C/c1c(O)ccc2ccccc21)c1ccccc1 ZINC000005288939 1051294384 /nfs/dbraw/zinc/29/43/84/1051294384.db2.gz DWRXXJWQUDZSMB-YRXLECQKSA-N 0 3 318.420 4.267 20 0 DIADHN Nc1ccc(F)c(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215678423 1051295766 /nfs/dbraw/zinc/29/57/66/1051295766.db2.gz BJCWPNXBCDXOPC-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Oc1ccc(F)cc1Nc1cccc(CN2CCCCC2)c1F ZINC001215677653 1051296211 /nfs/dbraw/zinc/29/62/11/1051296211.db2.gz QNPZWDOHAREGEV-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Oc1cc(F)ccc1Nc1cccc(CN2CCCCC2)c1F ZINC001215677010 1051296253 /nfs/dbraw/zinc/29/62/53/1051296253.db2.gz KYVJTIZUYLYYHM-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Cc1ccc(NC(=O)[C@H](F)CC2CCCCC2)c(CN(C)C)c1 ZINC000793509171 1051305107 /nfs/dbraw/zinc/30/51/07/1051305107.db2.gz OTKREVYSHQXQGM-QGZVFWFLSA-N 0 3 320.452 4.304 20 0 DIADHN C[C@H](N[C@@H]1CSc2ccccc2C1)c1ccc(F)cc1F ZINC001172186430 1051308489 /nfs/dbraw/zinc/30/84/89/1051308489.db2.gz YXWQFPLWHQXCDC-FZMZJTMJSA-N 0 3 305.393 4.332 20 0 DIADHN FC(F)Oc1ccccc1CN[C@H]1CSc2ccccc2C1 ZINC001172188004 1051309162 /nfs/dbraw/zinc/30/91/62/1051309162.db2.gz PFECGZZVSKEJOS-CQSZACIVSA-N 0 3 321.392 4.095 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1cc(C)nn1C)c1ccc(OC)cc1 ZINC000793529273 1051309859 /nfs/dbraw/zinc/30/98/59/1051309859.db2.gz WMPDQZGNDYHOHC-MAUKXSAKSA-N 0 3 315.461 4.319 20 0 DIADHN Fc1c(Cl)cccc1CN[C@@H]1CSc2ccccc2C1 ZINC001172191308 1051310503 /nfs/dbraw/zinc/31/05/03/1051310503.db2.gz QKWHPTQZXRZZDP-ZDUSSCGKSA-N 0 3 307.821 4.286 20 0 DIADHN CCc1cc(Nc2c(F)cc(F)c(OC(C)C)c2F)ccn1 ZINC001212644126 1051314643 /nfs/dbraw/zinc/31/46/43/1051314643.db2.gz OEJJBUVFWDRYBQ-UHFFFAOYSA-N 0 3 310.319 4.014 20 0 DIADHN CCc1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)s1 ZINC000793611473 1051327609 /nfs/dbraw/zinc/32/76/09/1051327609.db2.gz HDMQETQDYDDQIJ-OLZOCXBDSA-N 0 3 304.286 4.326 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2N[C@@H]1CSc2ccccc2C1 ZINC001172204019 1051316274 /nfs/dbraw/zinc/31/62/74/1051316274.db2.gz ZTHLDQVUVGEZRM-BLLLJJGKSA-N 0 3 317.404 4.259 20 0 DIADHN Clc1ccc2c(c1)CN([C@H]1CSc3ccccc3C1)C2 ZINC001172204395 1051316295 /nfs/dbraw/zinc/31/62/95/1051316295.db2.gz BAHKWOHGMYKBNT-MRXNPFEDSA-N 0 3 301.842 4.373 20 0 DIADHN Cc1csc(Nc2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001215710593 1051316797 /nfs/dbraw/zinc/31/67/97/1051316797.db2.gz ZMYKNKNJXQGDIT-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN Fc1cc(Nc2ccn3nccc3c2)ccc1CN1CCCCC1 ZINC001215711270 1051316966 /nfs/dbraw/zinc/31/69/66/1051316966.db2.gz KJBAKPVOUYNWET-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1cc(F)ncc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215709682 1051317328 /nfs/dbraw/zinc/31/73/28/1051317328.db2.gz BEGVUEOVPWVIIU-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Nc1cc2ccccc2n1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001215711226 1051317457 /nfs/dbraw/zinc/31/74/57/1051317457.db2.gz IKXIQYKRYROFFZ-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Cc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)sn1 ZINC001215709939 1051317988 /nfs/dbraw/zinc/31/79/88/1051317988.db2.gz JLIOQXVYFKWOMB-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN CC(C)n1cc(Nc2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001215711879 1051319002 /nfs/dbraw/zinc/31/90/02/1051319002.db2.gz ZQKKFEQUEPLKFK-UHFFFAOYSA-N 0 3 316.424 4.333 20 0 DIADHN CC[C@@H](NCc1cc(C)nc(C)n1)c1cccc2ccccc21 ZINC000793564292 1051319966 /nfs/dbraw/zinc/31/99/66/1051319966.db2.gz LTRQYSVYXAMLIR-HXUWFJFHSA-N 0 3 305.425 4.488 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H]2CSc3ccccc3C2)o1 ZINC001172193677 1051323694 /nfs/dbraw/zinc/32/36/94/1051323694.db2.gz OBLWNQKYZZPDGT-LLVKDONJSA-N 0 3 313.344 4.105 20 0 DIADHN CC(C)N(Cc1ccc(F)cc1)C[C@H](O)c1c(F)cccc1F ZINC000746134263 1051324564 /nfs/dbraw/zinc/32/45/64/1051324564.db2.gz AHTNGFHVIQUCJF-KRWDZBQOSA-N 0 3 323.358 4.048 20 0 DIADHN CC[NH+](CC)Cc1ccc(F)c(Nc2c(F)cc([O-])cc2F)c1 ZINC001215726249 1051326167 /nfs/dbraw/zinc/32/61/67/1051326167.db2.gz JAHLDLXFOBMYOP-UHFFFAOYSA-N 0 3 324.346 4.395 20 0 DIADHN CCc1ccc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)s1 ZINC000793611474 1051326576 /nfs/dbraw/zinc/32/65/76/1051326576.db2.gz HDMQETQDYDDQIJ-QWHCGFSZSA-N 0 3 304.286 4.326 20 0 DIADHN C[C@H](c1ccccc1)N(C[C@@H](O)c1c(F)cccc1F)C1CC1 ZINC000746115667 1051327386 /nfs/dbraw/zinc/32/73/86/1051327386.db2.gz WSANNZYHHHNKTC-FZKQIMNGSA-N 0 3 317.379 4.224 20 0 DIADHN C[C@@H](c1ccccc1)N(C[C@H](O)c1c(F)cccc1F)C1CC1 ZINC000746115671 1051327619 /nfs/dbraw/zinc/32/76/19/1051327619.db2.gz WSANNZYHHHNKTC-UGSOOPFHSA-N 0 3 317.379 4.224 20 0 DIADHN CC1(C)C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)CO1 ZINC001172269546 1051328655 /nfs/dbraw/zinc/32/86/55/1051328655.db2.gz FSLBJWKQGGCSSC-LLVKDONJSA-N 0 3 307.743 4.016 20 0 DIADHN CCN(C(=O)CN1[C@@H](C)CC[C@@H]1C)c1ccc2ccccc2c1 ZINC000746187668 1051336874 /nfs/dbraw/zinc/33/68/74/1051336874.db2.gz RPTKGJMNEOXNIG-HOTGVXAUSA-N 0 3 310.441 4.066 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1c(F)ccc(Cl)c1F ZINC001172321268 1051341157 /nfs/dbraw/zinc/34/11/57/1051341157.db2.gz IFNRVLISKIEZJE-QWRGUYRKSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1c(F)ccc(Cl)c1F ZINC001172321266 1051341464 /nfs/dbraw/zinc/34/14/64/1051341464.db2.gz IFNRVLISKIEZJE-GHMZBOCLSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1c(F)ccc(Cl)c1F ZINC001172321267 1051341924 /nfs/dbraw/zinc/34/19/24/1051341924.db2.gz IFNRVLISKIEZJE-MNOVXSKESA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1cc(F)c(F)cc1Cl ZINC001172324211 1051343194 /nfs/dbraw/zinc/34/31/94/1051343194.db2.gz FWHPUUMIEFWNPJ-MNOVXSKESA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1c(F)ccc(C)c1Cl ZINC001172322834 1051343855 /nfs/dbraw/zinc/34/38/55/1051343855.db2.gz ZAYSOAAUOIMTDA-OLZOCXBDSA-N 0 3 301.833 4.471 20 0 DIADHN C[C@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@@H]1CCCCO1 ZINC000379150916 1051352557 /nfs/dbraw/zinc/35/25/57/1051352557.db2.gz BZZWAHIRDYRTCB-GUYCJALGSA-N 0 3 303.377 4.133 20 0 DIADHN CCC(CC)CN[C@@H](C)c1ncc(Br)cc1F ZINC000187180335 1051352829 /nfs/dbraw/zinc/35/28/29/1051352829.db2.gz UCTRQOQCGUWSES-VIFPVBQESA-N 0 3 303.219 4.070 20 0 DIADHN Cc1nc2ccc(Nc3ccc(-c4ccccn4)nc3)cc2[nH]1 ZINC001213073229 1051355047 /nfs/dbraw/zinc/35/50/47/1051355047.db2.gz KDXZSJVAYZLCTQ-UHFFFAOYSA-N 0 3 301.353 4.072 20 0 DIADHN C[C@@H]1CC[C@@H](N2CCc3nn(-c4ccccc4)cc3C2)C[C@@H]1C ZINC001172378813 1051355007 /nfs/dbraw/zinc/35/50/07/1051355007.db2.gz MFTKWKMDQOAROT-JTDSTZFVSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N1CCN(c2cccc(Cl)c2)CC1 ZINC001172329243 1051357612 /nfs/dbraw/zinc/35/76/12/1051357612.db2.gz GIRHYMKFMREWHY-HOTGVXAUSA-N 0 3 324.896 4.056 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001172335087 1051359012 /nfs/dbraw/zinc/35/90/12/1051359012.db2.gz OBNOLHUYDLEZPJ-KGLIPLIRSA-N 0 3 320.408 4.164 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(c3cc4ccccc4[nH]3)CC2)cn1 ZINC000794306641 1051369269 /nfs/dbraw/zinc/36/92/69/1051369269.db2.gz VMGQYWQPFLVXOU-OAHLLOKOSA-N 0 3 320.440 4.207 20 0 DIADHN C[C@@H](CC(=O)N[C@H](C)CN(C)Cc1ccccc1)CC(C)(C)C ZINC001129395745 1051374400 /nfs/dbraw/zinc/37/44/00/1051374400.db2.gz UBPPRXIJBSWXJG-DLBZAZTESA-N 0 3 318.505 4.086 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CC[C@H](C)[C@H](C)C3)co2)c1 ZINC001172369149 1051378384 /nfs/dbraw/zinc/37/83/84/1051378384.db2.gz PPSWXLKEFIWGBW-SQWLQELKSA-N 0 3 314.429 4.265 20 0 DIADHN COCc1ccc(CNCCc2ccc(Cl)cc2Cl)o1 ZINC000382482759 1051380075 /nfs/dbraw/zinc/38/00/75/1051380075.db2.gz JCEPDAKJGASMGI-UHFFFAOYSA-N 0 3 314.212 4.065 20 0 DIADHN CC(C)OCC[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172435409 1051382194 /nfs/dbraw/zinc/38/21/94/1051382194.db2.gz GMUJTAWVJCXJPJ-AWEZNQCLSA-N 0 3 304.459 4.103 20 0 DIADHN Cc1ccc(CN[C@@H]2CCC(F)(F)C2)c(Br)c1 ZINC000384053957 1051382926 /nfs/dbraw/zinc/38/29/26/1051382926.db2.gz SDGCYBYHRBPALI-LLVKDONJSA-N 0 3 304.178 4.035 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccccc1Br ZINC000384005184 1051384071 /nfs/dbraw/zinc/38/40/71/1051384071.db2.gz NKYXSZIFLJMDMF-ZJUUUORDSA-N 0 3 304.178 4.288 20 0 DIADHN CCOCC[C@@H](C)NC1(c2ccc(Cl)cc2Cl)CC1 ZINC001172414780 1051384241 /nfs/dbraw/zinc/38/42/41/1051384241.db2.gz QAGGGXWAUDHERS-LLVKDONJSA-N 0 3 302.245 4.387 20 0 DIADHN CCOCC[C@@H](C)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC001172421931 1051384680 /nfs/dbraw/zinc/38/46/80/1051384680.db2.gz QRSHFQCWIVWZRU-GFCCVEGCSA-N 0 3 324.877 4.368 20 0 DIADHN CC[C@@H](CC(C)C)NCC(=O)c1ccc(Br)cc1 ZINC001172382309 1051388035 /nfs/dbraw/zinc/38/80/35/1051388035.db2.gz CXTZNRVJTDWYJS-AWEZNQCLSA-N 0 3 312.251 4.046 20 0 DIADHN CC[C@H](CC(C)C)NCC(=O)c1cccc(Br)c1 ZINC001172384079 1051388639 /nfs/dbraw/zinc/38/86/39/1051388639.db2.gz RSGXDTLSWLSYII-CQSZACIVSA-N 0 3 312.251 4.046 20 0 DIADHN C[C@H](NCCSc1ccncc1)c1ccccc1OC(F)F ZINC000930194652 1051389875 /nfs/dbraw/zinc/38/98/75/1051389875.db2.gz XVGMZDMSWAUSJU-LBPRGKRZSA-N 0 3 324.396 4.126 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccc(F)cc1Br ZINC000386612676 1051391389 /nfs/dbraw/zinc/39/13/89/1051391389.db2.gz MUAJDIQCFGNQKB-SCZZXKLOSA-N 0 3 322.168 4.427 20 0 DIADHN Cc1nc2ccc(Nc3cc(Br)ncc3C)cc2[nH]1 ZINC001213071431 1051396176 /nfs/dbraw/zinc/39/61/76/1051396176.db2.gz RXPNCICFPIKDSP-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)CCOCc2ccccc2)c(C)s1 ZINC000389955798 1051401691 /nfs/dbraw/zinc/40/16/91/1051401691.db2.gz WQVOESUGQWWRNZ-KBPBESRZSA-N 0 3 318.486 4.406 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cccc(F)c1 ZINC000391400929 1051405097 /nfs/dbraw/zinc/40/50/97/1051405097.db2.gz BUOUCDKTELBOKL-NHYWBVRUSA-N 0 3 313.376 4.210 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cccc(F)c1 ZINC000391400928 1051406046 /nfs/dbraw/zinc/40/60/46/1051406046.db2.gz BUOUCDKTELBOKL-IAQYHMDHSA-N 0 3 313.376 4.210 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H](C)CCOC(C)C ZINC001172442809 1051407932 /nfs/dbraw/zinc/40/79/32/1051407932.db2.gz NHFBUKNQWUSASN-JKSUJKDBSA-N 0 3 317.477 4.458 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1ccc(F)c(Cl)c1F ZINC001172462439 1051414355 /nfs/dbraw/zinc/41/43/55/1051414355.db2.gz PQVQDFAZTRMCKK-LLVKDONJSA-N 0 3 305.796 4.159 20 0 DIADHN CC(C)COCC[C@H](C)NCc1ccc(F)c(Cl)c1F ZINC001172462441 1051415056 /nfs/dbraw/zinc/41/50/56/1051415056.db2.gz PQVQDFAZTRMCKK-NSHDSACASA-N 0 3 305.796 4.159 20 0 DIADHN CC(C)COCC[C@H](C)NCc1cc(F)c(F)cc1Cl ZINC001172462653 1051415081 /nfs/dbraw/zinc/41/50/81/1051415081.db2.gz UYLBOCGKMXEPBL-NSHDSACASA-N 0 3 305.796 4.159 20 0 DIADHN Clc1cc2[nH]ncc2c(Nc2ccc(-n3ccnc3)cc2)c1 ZINC001215859773 1051418139 /nfs/dbraw/zinc/41/81/39/1051418139.db2.gz SXTBMBGMRNQZTM-UHFFFAOYSA-N 0 3 309.760 4.146 20 0 DIADHN O[C@H](CN1CC[C@H]1c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000746457247 1051421885 /nfs/dbraw/zinc/42/18/85/1051421885.db2.gz MDZKOJCYQBGMCU-DLBZAZTESA-N 0 3 321.342 4.186 20 0 DIADHN CCCCCC[C@H](CCCC)n1cnc(CN)c1C(=O)OCC ZINC001172510454 1051426968 /nfs/dbraw/zinc/42/69/68/1051426968.db2.gz CGRAPQZKRWSJAW-HNNXBMFYSA-N 0 3 323.481 4.220 20 0 DIADHN CCCCCC[C@@H](CCCC)NCc1cc2n(n1)CCCO2 ZINC001172513910 1051430804 /nfs/dbraw/zinc/43/08/04/1051430804.db2.gz QUZZVZFLRXUNMA-MRXNPFEDSA-N 0 3 307.482 4.284 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553755 1051437436 /nfs/dbraw/zinc/43/74/36/1051437436.db2.gz BQIUSKBXBVALEP-HZPDHXFCSA-N 0 3 321.424 4.012 20 0 DIADHN COC(=O)c1cccc2c1CN([C@@H]1CC[C@@H](c3ccccc3)C1)C2 ZINC001172562561 1051442285 /nfs/dbraw/zinc/44/22/85/1051442285.db2.gz BSNPTCIGTMUFES-SJLPKXTDSA-N 0 3 321.420 4.125 20 0 DIADHN c1ccc(C[C@@H]2COCCN2[C@@H]2CC[C@@H](c3ccccc3)C2)cc1 ZINC001172568379 1051445991 /nfs/dbraw/zinc/44/59/91/1051445991.db2.gz LIRRJVRJSBMVHL-YPAWHYETSA-N 0 3 321.464 4.266 20 0 DIADHN CC[C@@H](N[C@@H]1C[C@H](C)N(C(=O)OC(C)(C)C)C1)c1ccc(C)o1 ZINC001168824278 1051446841 /nfs/dbraw/zinc/44/68/41/1051446841.db2.gz ONLABPHIINNLMW-NWANDNLSSA-N 0 3 322.449 4.027 20 0 DIADHN CC(C)c1cc(CNc2ccc(F)c(CN3CCCC3)c2)on1 ZINC001168827097 1051448225 /nfs/dbraw/zinc/44/82/25/1051448225.db2.gz CTNVYKRMIGKVPG-UHFFFAOYSA-N 0 3 317.408 4.145 20 0 DIADHN COc1cccc(CCCN2CCc3ccc(Cl)cc3C2)c1 ZINC001168838059 1051450765 /nfs/dbraw/zinc/45/07/65/1051450765.db2.gz LMEUXAMJMUJKPX-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538486 1051451816 /nfs/dbraw/zinc/45/18/16/1051451816.db2.gz OSEUIXBFTODOIF-MBOZVWFJSA-N 0 3 320.436 4.304 20 0 DIADHN C[C@H](NCCNc1ccccc1)c1ccccc1Br ZINC000766516253 1051454376 /nfs/dbraw/zinc/45/43/76/1051454376.db2.gz TYSQAZKIHUSURK-ZDUSSCGKSA-N 0 3 319.246 4.212 20 0 DIADHN O=C(CN[C@@H]1CCC[C@@H](c2ccccc2)C1)c1cccc(F)c1 ZINC001172547453 1051457136 /nfs/dbraw/zinc/45/71/36/1051457136.db2.gz WVFOPEBPKAERRI-VQIMIIECSA-N 0 3 311.400 4.324 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)cs1 ZINC000766528548 1051467612 /nfs/dbraw/zinc/46/76/12/1051467612.db2.gz MPXFNAJKHLBQPD-APIJFGDWSA-N 0 3 308.491 4.299 20 0 DIADHN C[C@@H](c1ccccn1)N(C)C[C@H]1[C@H](c2ccccc2)C1(F)F ZINC001168957758 1051479490 /nfs/dbraw/zinc/47/94/90/1051479490.db2.gz IRNNGZSDDAGUSD-QRTARXTBSA-N 0 3 302.368 4.123 20 0 DIADHN Clc1ccc2c(c1)C[C@H](N1CCCC[C@H]1c1cccnc1)C2 ZINC001172718386 1051482599 /nfs/dbraw/zinc/48/25/99/1051482599.db2.gz KVICVUOPRSUQMN-MOPGFXCFSA-N 0 3 312.844 4.429 20 0 DIADHN NCc1cc(-c2ccncc2)nn1C1CCC2(CCCC2)CC1 ZINC001172723420 1051484296 /nfs/dbraw/zinc/48/42/96/1051484296.db2.gz CMHKRKGNTZBUGB-UHFFFAOYSA-N 0 3 310.445 4.079 20 0 DIADHN CC(C)n1cc([C@H](C)NC/C=C/c2ccc(Cl)cc2)cn1 ZINC001168997654 1051485053 /nfs/dbraw/zinc/48/50/53/1051485053.db2.gz XAZXPWHRGPWALT-NNTXTVRGSA-N 0 3 303.837 4.481 20 0 DIADHN CN(C)CCN(Cc1ccsc1)C(=O)CCCCC(C)(C)C ZINC001129701109 1051489731 /nfs/dbraw/zinc/48/97/31/1051489731.db2.gz POZXIPDPVHOOQV-UHFFFAOYSA-N 0 3 324.534 4.245 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172708162 1051492395 /nfs/dbraw/zinc/49/23/95/1051492395.db2.gz CENBIEQVGSCSSA-NHYWBVRUSA-N 0 3 311.816 4.049 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N[C@H](C)c1cccc(Cl)c1F ZINC001172748384 1051492448 /nfs/dbraw/zinc/49/24/48/1051492448.db2.gz PTUWFCKLNGXZDY-VXGBXAGGSA-N 0 3 315.816 4.250 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N[C@H](C)c1cccc(F)c1Cl ZINC001172751383 1051492496 /nfs/dbraw/zinc/49/24/96/1051492496.db2.gz WMJXOORZKCDSNZ-VXGBXAGGSA-N 0 3 315.816 4.250 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1cc(-c3ccccc3)no1)C2 ZINC001172708827 1051494194 /nfs/dbraw/zinc/49/41/94/1051494194.db2.gz NPWFKLPGKKNTJO-QGZVFWFLSA-N 0 3 324.811 4.252 20 0 DIADHN Fc1ccc(C2(N[C@@H]3Cc4ccc(Cl)cc4C3)CC2)c(F)c1 ZINC001172714030 1051497069 /nfs/dbraw/zinc/49/70/69/1051497069.db2.gz SNIVIQDEZYILNB-OAHLLOKOSA-N 0 3 319.782 4.364 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccc(F)cc1 ZINC001172754867 1051497312 /nfs/dbraw/zinc/49/73/12/1051497312.db2.gz JTHGDXHERYTATE-RHSMWYFYSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccccc1F ZINC001172759888 1051498449 /nfs/dbraw/zinc/49/84/49/1051498449.db2.gz SZFXNFVYAFPIRV-PBHICJAKSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N(C)[C@H](C)c1ccccc1Cl ZINC001172762572 1051500054 /nfs/dbraw/zinc/50/00/54/1051500054.db2.gz MPSCNHYXDWWAAX-ZIAGYGMSSA-N 0 3 311.853 4.453 20 0 DIADHN Cc1ccc2c(c1)C[C@H](N(C)Cc1cnc(Cl)s1)CC2 ZINC001172828291 1051518530 /nfs/dbraw/zinc/51/85/30/1051518530.db2.gz SDAZMPSPUWYIDZ-CQSZACIVSA-N 0 3 306.862 4.094 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)Nc1cccc(F)c1CN ZINC001172837515 1051522389 /nfs/dbraw/zinc/52/23/89/1051522389.db2.gz NRJRYAHTTIZAEH-JTQLQIEISA-N 0 3 310.775 4.120 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cc(Cl)ccn1 ZINC001172838330 1051526527 /nfs/dbraw/zinc/52/65/27/1051526527.db2.gz VGUJZRIJENWLNV-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000766605285 1051527151 /nfs/dbraw/zinc/52/71/51/1051527151.db2.gz TWKPZLUEAWRKTH-IJLUTSLNSA-N 0 3 318.269 4.301 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CC[C@@]2(C1)CCCCO2 ZINC001172846820 1051533989 /nfs/dbraw/zinc/53/39/89/1051533989.db2.gz IHJHYMWTWDTZCM-CXAGYDPISA-N 0 3 311.828 4.055 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N[C@@H](C)c1ccc(F)cn1 ZINC001172846273 1051534252 /nfs/dbraw/zinc/53/42/52/1051534252.db2.gz TYGGCOHOACBDCA-MNOVXSKESA-N 0 3 310.775 4.295 20 0 DIADHN CC(C)Cn1cnc(CN[C@H](C)Cc2c(F)cccc2Cl)c1 ZINC001172848090 1051537356 /nfs/dbraw/zinc/53/73/56/1051537356.db2.gz QBFPDLAUMHDVRQ-CYBMUJFWSA-N 0 3 323.843 4.052 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CC[C@H](c2ccccn2)C1 ZINC001172853525 1051539696 /nfs/dbraw/zinc/53/96/96/1051539696.db2.gz MHWXCCLKYZGIID-KBPBESRZSA-N 0 3 318.823 4.295 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CC[C@H](c2ccccn2)C1 ZINC001172853526 1051539790 /nfs/dbraw/zinc/53/97/90/1051539790.db2.gz MHWXCCLKYZGIID-KGLIPLIRSA-N 0 3 318.823 4.295 20 0 DIADHN CC(C)Cn1cnc(CN[C@@H](C)Cc2ccc(F)cc2Cl)c1 ZINC001172858513 1051546185 /nfs/dbraw/zinc/54/61/85/1051546185.db2.gz MVLDLJQPSYDKEI-ZDUSSCGKSA-N 0 3 323.843 4.052 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(N)c(C(F)(F)F)c1 ZINC001216051807 1051549492 /nfs/dbraw/zinc/54/94/92/1051549492.db2.gz IPWLCDCYXRBXEW-UHFFFAOYSA-N 0 3 309.335 4.093 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CC[C@]2(CC2(F)F)C1 ZINC001172870056 1051553031 /nfs/dbraw/zinc/55/30/31/1051553031.db2.gz SXYFVUZLXCBJKT-YGRLFVJLSA-N 0 3 303.755 4.141 20 0 DIADHN Fc1ccc(N[C@H]2CCCC(F)(F)C2)cc1CN1CCCC1 ZINC001169216875 1051554550 /nfs/dbraw/zinc/55/45/50/1051554550.db2.gz AXJFECSFYPQLTB-HNNXBMFYSA-N 0 3 312.379 4.411 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NCc1ccc(F)cn1 ZINC001172881324 1051562887 /nfs/dbraw/zinc/56/28/87/1051562887.db2.gz DPQSGJQNHFGMIX-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(Br)cc2C)c1 ZINC001169253618 1051563631 /nfs/dbraw/zinc/56/36/31/1051563631.db2.gz XJYQQPZGGGKPBV-UHFFFAOYSA-N 0 3 321.262 4.211 20 0 DIADHN Cc1ccsc1CN1CCC2(CC(=O)c3ccccc32)CC1 ZINC001204023050 1051565414 /nfs/dbraw/zinc/56/54/14/1051565414.db2.gz BJYUNVFPYAVKPA-UHFFFAOYSA-N 0 3 311.450 4.177 20 0 DIADHN Cn1cc(CN2CC(C3CCCCC3)C2)c(C2CCCCC2)n1 ZINC001206180328 1051569397 /nfs/dbraw/zinc/56/93/97/1051569397.db2.gz KCPYILGATDIUHP-UHFFFAOYSA-N 0 3 315.505 4.480 20 0 DIADHN CN(C)Cc1ccc(-c2nc(-c3cccc(Cl)c3)no2)cc1 ZINC001216084459 1051570537 /nfs/dbraw/zinc/57/05/37/1051570537.db2.gz QRZVVRTVAFNRGK-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN CC(C)[C@@](C)(O)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000311893367 1051575011 /nfs/dbraw/zinc/57/50/11/1051575011.db2.gz BCACNTQKWBJYAT-XPTSAGLGSA-N 0 3 308.224 4.190 20 0 DIADHN CO[C@H]1CCC[C@@H](N(C)Cc2cccc(Cl)c2Cl)C1 ZINC001172938232 1051578042 /nfs/dbraw/zinc/57/80/42/1051578042.db2.gz KKHAOJWVLREJIJ-OLZOCXBDSA-N 0 3 302.245 4.383 20 0 DIADHN CO[C@@H]1CCC[C@@H](N2CCc3c(cccc3C(F)(F)F)C2)C1 ZINC001172947198 1051580452 /nfs/dbraw/zinc/58/04/52/1051580452.db2.gz WWKIXFSUXJNAGJ-ZIAGYGMSSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1ccsc1CN1CCC(C(=O)c2ccccc2F)CC1 ZINC001204024617 1051601597 /nfs/dbraw/zinc/60/15/97/1051601597.db2.gz LVLVNHAARJHUDO-UHFFFAOYSA-N 0 3 317.429 4.291 20 0 DIADHN Cc1cccn2c(CNC3CCC4(CCCCC4)CC3)nnc12 ZINC001173117730 1051613434 /nfs/dbraw/zinc/61/34/34/1051613434.db2.gz VITNKAOGTDNCLB-UHFFFAOYSA-N 0 3 312.461 4.020 20 0 DIADHN CCN(CC)C(=O)C[C@H](C)N[C@@H](CC(C)C)c1ccccc1F ZINC001169443419 1051614419 /nfs/dbraw/zinc/61/44/19/1051614419.db2.gz GPJMAIONLRTQEY-YJBOKZPZSA-N 0 3 322.468 4.150 20 0 DIADHN CCN(CC)C(=O)C[C@@H](C)N[C@@H](CC(C)C)c1ccccc1F ZINC001169443418 1051614917 /nfs/dbraw/zinc/61/49/17/1051614917.db2.gz GPJMAIONLRTQEY-QAPCUYQASA-N 0 3 322.468 4.150 20 0 DIADHN C[C@H]1CCCCCN1Cc1nc2ccc(Br)cc2[nH]1 ZINC001169461097 1051624479 /nfs/dbraw/zinc/62/44/79/1051624479.db2.gz CLZUFYKCMDQBMC-NSHDSACASA-N 0 3 322.250 4.090 20 0 DIADHN COc1ccc(C2=CCN(Cc3sc(C)nc3C)CC2)cc1 ZINC001206192179 1051627148 /nfs/dbraw/zinc/62/71/48/1051627148.db2.gz LMHXLCIHQZFRIT-UHFFFAOYSA-N 0 3 314.454 4.058 20 0 DIADHN NCc1c(F)cccc1NC1CCC(Oc2ccccc2)CC1 ZINC001173195734 1051629572 /nfs/dbraw/zinc/62/95/72/1051629572.db2.gz VMIQQMQZZIHMRB-UHFFFAOYSA-N 0 3 314.404 4.087 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000520838369 1051630052 /nfs/dbraw/zinc/63/00/52/1051630052.db2.gz YYJFGIGHHDZFTB-CXAGYDPISA-N 0 3 303.837 4.486 20 0 DIADHN CSC[C@@H](O)CN(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000493766739 1051650777 /nfs/dbraw/zinc/65/07/77/1051650777.db2.gz KRSDOVWQHFOARW-HOCLYGCPSA-N 0 3 321.511 4.035 20 0 DIADHN CCCC[C@@H](CC(C)C)NCc1nnc(-c2ccccc2)o1 ZINC001173245399 1051651161 /nfs/dbraw/zinc/65/11/61/1051651161.db2.gz FQLRZUDVYFESPI-INIZCTEOSA-N 0 3 301.434 4.431 20 0 DIADHN CCc1ccc(C[C@H](C)N2CC3(C2)CC(F)(F)CS3)cc1 ZINC001173248189 1051653516 /nfs/dbraw/zinc/65/35/16/1051653516.db2.gz SGFZZWDRDHFNQO-ZDUSSCGKSA-N 0 3 311.441 4.007 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1csc(Cc2ccccc2)n1 ZINC001169581699 1051655707 /nfs/dbraw/zinc/65/57/07/1051655707.db2.gz IPGRPPQSADTCBJ-RHSMWYFYSA-N 0 3 300.471 4.354 20 0 DIADHN Cc1cc2cc(N[C@H]3CCc4ccccc4[C@H]3O)ccc2cn1 ZINC001169625283 1051659357 /nfs/dbraw/zinc/65/93/57/1051659357.db2.gz BCEQWLATOKTNCN-VQTJNVASSA-N 0 3 304.393 4.004 20 0 DIADHN COc1cc(Nc2ccc3c(c2)CN(C)CC3)ccc1C1CC1 ZINC001216254069 1051660244 /nfs/dbraw/zinc/66/02/44/1051660244.db2.gz ZOZGQDFXDQFSCZ-UHFFFAOYSA-N 0 3 308.425 4.304 20 0 DIADHN CC[C@@H]1CC[C@@H](N[C@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001173263572 1051663292 /nfs/dbraw/zinc/66/32/92/1051663292.db2.gz MXWLTYMIHOVFCJ-IXPVHAAZSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@@H]1CC[C@H](NCc2csc(-c3ccc(OC)cc3)n2)C1 ZINC001173266897 1051665497 /nfs/dbraw/zinc/66/54/97/1051665497.db2.gz ONQWHUNXWBUEQV-HIFRSBDPSA-N 0 3 316.470 4.487 20 0 DIADHN CC[C@H]1CC[C@@H](NCc2csc(-c3ccc(OC)cc3)n2)C1 ZINC001173266838 1051665850 /nfs/dbraw/zinc/66/58/50/1051665850.db2.gz ONQWHUNXWBUEQV-DZGCQCFKSA-N 0 3 316.470 4.487 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH3+])CCCN2c1ccc2c(c1)[N-]C(=S)C2 ZINC001169689550 1051673692 /nfs/dbraw/zinc/67/36/92/1051673692.db2.gz KYZXAUCLSBXLJR-MRXNPFEDSA-N 0 3 323.465 4.222 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H](C)[C@H](OC)c1ccccc1 ZINC000493978522 1051675869 /nfs/dbraw/zinc/67/58/69/1051675869.db2.gz SSJLSZKRDPNFBB-UGSOOPFHSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)Oc1ccc(CN2C[C@@H](C)C[C@H]2c2cccnc2)cc1 ZINC000805748151 1051677868 /nfs/dbraw/zinc/67/78/68/1051677868.db2.gz AFZDAPACKWWSGH-JXFKEZNVSA-N 0 3 310.441 4.452 20 0 DIADHN CC[C@@H](NCc1cccc(C#N)c1)c1ccc2c(c1)CCCO2 ZINC000152842931 1051678488 /nfs/dbraw/zinc/67/84/88/1051678488.db2.gz CQNVPUOGZPDPJA-LJQANCHMSA-N 0 3 306.409 4.124 20 0 DIADHN CC(C)CC(C)(C)CN[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000494021449 1051680590 /nfs/dbraw/zinc/68/05/90/1051680590.db2.gz WSPOWEOWDFSENX-SFHVURJKSA-N 0 3 317.452 4.311 20 0 DIADHN CC(C)CC(C)(C)CN[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000494021448 1051681067 /nfs/dbraw/zinc/68/10/67/1051681067.db2.gz WSPOWEOWDFSENX-GOSISDBHSA-N 0 3 317.452 4.311 20 0 DIADHN CCSC[C@@H](C)N(Cc1ccccc1)Cc1cccnc1 ZINC001173305703 1051682308 /nfs/dbraw/zinc/68/23/08/1051682308.db2.gz KQLMXQJKLRKJBO-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN CCCc1csc(CNCCCc2c[nH]c3ccccc23)n1 ZINC000494007538 1051683689 /nfs/dbraw/zinc/68/36/89/1051683689.db2.gz SJGJSVCRGIPODB-UHFFFAOYSA-N 0 3 313.470 4.299 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)C2)c(C)c1 ZINC001169724196 1051684304 /nfs/dbraw/zinc/68/43/04/1051684304.db2.gz MNDWBRHQUPNIFL-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN CC[C@@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1ccccc1C ZINC000494040330 1051686089 /nfs/dbraw/zinc/68/60/89/1051686089.db2.gz SEEHSKCYXKNITA-IRXDYDNUSA-N 0 3 316.420 4.427 20 0 DIADHN C[C@@H]1CCN(Cc2c(Cl)ccc3cccnc32)CCS1 ZINC000494098355 1051689117 /nfs/dbraw/zinc/68/91/17/1051689117.db2.gz MWGXIVXCXLNVIL-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN CCSC[C@@H](C)NC(C)(C)c1ccccc1Br ZINC001173292175 1051692556 /nfs/dbraw/zinc/69/25/56/1051692556.db2.gz UTTUMBJJSRYPFA-LLVKDONJSA-N 0 3 316.308 4.415 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCS[C@@H](C)CC1 ZINC000494133309 1051696232 /nfs/dbraw/zinc/69/62/32/1051696232.db2.gz BUQKNSADSIINKP-RYUDHWBXSA-N 0 3 314.292 4.338 20 0 DIADHN c1coc([C@H](CNc2nccc3sccc32)N2CCCC2)c1 ZINC000613338926 1051696543 /nfs/dbraw/zinc/69/65/43/1051696543.db2.gz YNLSHAKVGIAIAA-AWEZNQCLSA-N 0 3 313.426 4.138 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc2nc(C)sc2c1 ZINC000494180249 1051697784 /nfs/dbraw/zinc/69/77/84/1051697784.db2.gz STUYUQXZQXKCRB-GFCCVEGCSA-N 0 3 311.454 4.413 20 0 DIADHN C[C@H]1CCCN(Cc2ccc(OCc3ccccc3F)nc2)C1 ZINC001169799498 1051697954 /nfs/dbraw/zinc/69/79/54/1051697954.db2.gz CUBXVFXIVHRRNL-HNNXBMFYSA-N 0 3 314.404 4.032 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@@H]2C[C@H]2c2ccccc2)nc1 ZINC001169813232 1051704220 /nfs/dbraw/zinc/70/42/20/1051704220.db2.gz YMFGVMCIURVYFM-OTWHNJEPSA-N 0 3 307.441 4.206 20 0 DIADHN CC(C)(C)C(=O)N1CCN([C@H]2CCCC3(CCCCC3)C2)CC1 ZINC001173349010 1051706571 /nfs/dbraw/zinc/70/65/71/1051706571.db2.gz MZCMZXHCKVBPOZ-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1ccc(F)c(CN(C)CCCOCCc2ccccc2)c1 ZINC001169821492 1051707518 /nfs/dbraw/zinc/70/75/18/1051707518.db2.gz LFBGQKBCVCENPL-UHFFFAOYSA-N 0 3 315.432 4.215 20 0 DIADHN COc1ccccc1-c1nc(CN(C(C)C)C(C)C)cs1 ZINC000183031187 1051708957 /nfs/dbraw/zinc/70/89/57/1051708957.db2.gz QSMFFAPDPLIQLW-UHFFFAOYSA-N 0 3 304.459 4.438 20 0 DIADHN Fc1ccc([C@H]2CCCN2C2Cc3cc(F)c(F)cc3C2)cc1 ZINC001173478729 1051725687 /nfs/dbraw/zinc/72/56/87/1051725687.db2.gz AAPCQHDRRRTHIP-LJQANCHMSA-N 0 3 317.354 4.408 20 0 DIADHN Cc1ccc(CCC2CCN([C@H](C)c3cnccn3)CC2)cc1 ZINC000184414884 1051733856 /nfs/dbraw/zinc/73/38/56/1051733856.db2.gz GCPWIGQJOFMELF-QGZVFWFLSA-N 0 3 309.457 4.191 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806135098 1051734337 /nfs/dbraw/zinc/73/43/37/1051734337.db2.gz FVGYOTYBZMKUJG-ABAIWWIYSA-N 0 3 302.805 4.082 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC000806135138 1051736071 /nfs/dbraw/zinc/73/60/71/1051736071.db2.gz GWPFJQCDFQENDD-BLLLJJGKSA-N 0 3 316.832 4.472 20 0 DIADHN COc1cc(CN2CC[C@H](c3cccc(Cl)c3)C2)ccc1O ZINC001204117802 1051736157 /nfs/dbraw/zinc/73/61/57/1051736157.db2.gz GPCGUHJWIWCQHS-HNNXBMFYSA-N 0 3 317.816 4.044 20 0 DIADHN C[C@@H](N)c1ccn([C@H](C)CCc2ccc(Cl)c(Cl)c2)n1 ZINC001173540589 1051736240 /nfs/dbraw/zinc/73/62/40/1051736240.db2.gz ZJMLICDEPBGDRD-GHMZBOCLSA-N 0 3 312.244 4.403 20 0 DIADHN Cc1cc(CN)nn1[C@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173541722 1051738100 /nfs/dbraw/zinc/73/81/00/1051738100.db2.gz COVIWAWFJXBORT-SNVBAGLBSA-N 0 3 312.244 4.151 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccnc3C3CC3)cccc21 ZINC001212740914 1051746614 /nfs/dbraw/zinc/74/66/14/1051746614.db2.gz ZTFWGIYNEXGVFG-KRWDZBQOSA-N 0 3 309.413 4.088 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1ccncn1 ZINC001173549799 1051748721 /nfs/dbraw/zinc/74/87/21/1051748721.db2.gz JIGOMTBTMFHIIU-GFCCVEGCSA-N 0 3 324.255 4.237 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1ccc(CF)cc1 ZINC000767031218 1051749047 /nfs/dbraw/zinc/74/90/47/1051749047.db2.gz UGXWYCUHPIHVAK-ZDUSSCGKSA-N 0 3 303.425 4.267 20 0 DIADHN COC[C@H](N[C@@H]1CC[C@H](SC)C1)c1cccc(Cl)c1F ZINC000806142787 1051750693 /nfs/dbraw/zinc/75/06/93/1051750693.db2.gz QSSOCARPOOUFBE-SUNKGSAMSA-N 0 3 317.857 4.040 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806143280 1051752795 /nfs/dbraw/zinc/75/27/95/1051752795.db2.gz IRBYRQRPFVCXEP-LSDHHAIUSA-N 0 3 314.816 4.008 20 0 DIADHN Clc1ccc2c(n1)CC[C@@H]2N[C@H]1CCCc2sccc21 ZINC000806160490 1051763205 /nfs/dbraw/zinc/76/32/05/1051763205.db2.gz SFDFYYSHOVGGHL-STQMWFEESA-N 0 3 304.846 4.451 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000185650371 1051779071 /nfs/dbraw/zinc/77/90/71/1051779071.db2.gz JYBBTBGOZFKIBO-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN CCOc1cncc(CN2CC3(CCCCC3)[C@@H]2C(C)C)c1 ZINC001206214882 1051787583 /nfs/dbraw/zinc/78/75/83/1051787583.db2.gz GDYHHFXXMZFUEW-SFHVURJKSA-N 0 3 302.462 4.271 20 0 DIADHN CC(C)c1ccc(OC2CCN(CCC(=O)C3CC3)CC2)cc1 ZINC000767349810 1051791188 /nfs/dbraw/zinc/79/11/88/1051791188.db2.gz ZEDKEAALOUVJGA-UHFFFAOYSA-N 0 3 315.457 4.022 20 0 DIADHN CCN(CCC(=O)C1CC1)Cc1ccc(Cl)c(Cl)c1 ZINC000767364509 1051795389 /nfs/dbraw/zinc/79/53/89/1051795389.db2.gz ONNNVOCRZVROGP-UHFFFAOYSA-N 0 3 300.229 4.185 20 0 DIADHN CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NCc1cc(C)oc1C ZINC000767371018 1051796638 /nfs/dbraw/zinc/79/66/38/1051796638.db2.gz SKQADMSUELSLSK-YVEFUNNKSA-N 0 3 307.821 4.416 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2c(Cl)cccc2Cl)c(C)o1 ZINC000767377206 1051797604 /nfs/dbraw/zinc/79/76/04/1051797604.db2.gz IQEZXGZWLOITAN-CQSZACIVSA-N 0 3 314.212 4.026 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H](c2ccccc2)N(C)C)cs1 ZINC000186207833 1051798786 /nfs/dbraw/zinc/79/87/86/1051798786.db2.gz XLYSJKVJXUZJTO-WMLDXEAASA-N 0 3 317.502 4.220 20 0 DIADHN O=C(CCN1CCc2ccccc2[C@H]1c1ccccc1)C1CC1 ZINC000767373958 1051798986 /nfs/dbraw/zinc/79/89/86/1051798986.db2.gz OHGPFSXFVDSGMI-OAQYLSRUSA-N 0 3 305.421 4.003 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H]1CCc2c1cccc2OC ZINC000186194850 1051800502 /nfs/dbraw/zinc/80/05/02/1051800502.db2.gz SROWMSSCTMNQQM-FZMZJTMJSA-N 0 3 303.427 4.103 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccc2c(n1)CCCC2 ZINC000767408996 1051801062 /nfs/dbraw/zinc/80/10/62/1051801062.db2.gz BINNCQRELLOVSU-UHFFFAOYSA-N 0 3 319.408 4.129 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1 ZINC000186447472 1051816262 /nfs/dbraw/zinc/81/62/62/1051816262.db2.gz IDQRTMZPFUTFMS-OAQYLSRUSA-N 0 3 323.436 4.105 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001168463722 1051818952 /nfs/dbraw/zinc/81/89/52/1051818952.db2.gz CCXHJUGNHYIMJR-BZNIZROVSA-N 0 3 321.342 4.148 20 0 DIADHN Cn1ccnc1Nc1ccccc1OCc1cccc(Cl)c1 ZINC001216570879 1051820963 /nfs/dbraw/zinc/82/09/63/1051820963.db2.gz CLSMKKZFMQDNDH-UHFFFAOYSA-N 0 3 313.788 4.396 20 0 DIADHN CCCc1csc(CNC2CCC(C(F)(F)F)CC2)n1 ZINC000494338593 1051873581 /nfs/dbraw/zinc/87/35/81/1051873581.db2.gz AXXOLPIZSYIKSS-UHFFFAOYSA-N 0 3 306.397 4.306 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347438851 1051850410 /nfs/dbraw/zinc/85/04/10/1051850410.db2.gz VTEWQCMLWNBXPA-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN C[C@H](NC1CC(C)(C)N(O)C(C)(C)C1)c1ccc(F)cc1F ZINC001169975150 1051851152 /nfs/dbraw/zinc/85/11/52/1051851152.db2.gz RLAYIMCSAYIBPP-NSHDSACASA-N 0 3 312.404 4.026 20 0 DIADHN CCOC(CN1CC[C@H](C)C[C@@H]1c1ccc(CC)o1)OCC ZINC000767791249 1051853000 /nfs/dbraw/zinc/85/30/00/1051853000.db2.gz CVURRHHIVGSFIV-GOEBONIOSA-N 0 3 309.450 4.014 20 0 DIADHN C[C@@H](NC1CCCCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000767810978 1051854559 /nfs/dbraw/zinc/85/45/59/1051854559.db2.gz NYTHYNKHERLBKI-LLVKDONJSA-N 0 3 305.809 4.373 20 0 DIADHN CCOC(CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C)OCC ZINC000767818136 1051855043 /nfs/dbraw/zinc/85/50/43/1051855043.db2.gz NPJVKHSJSQECLP-YLQAJVPDSA-N 0 3 311.853 4.026 20 0 DIADHN CC(=O)Nc1cccc(CN(C)C/C(C)=C\c2ccccc2)c1 ZINC000494232635 1051856021 /nfs/dbraw/zinc/85/60/21/1051856021.db2.gz BTJGTNKXVQPLSN-VBKFSLOCSA-N 0 3 308.425 4.180 20 0 DIADHN Clc1ccc(-c2ccc(CNCCOC3CCC3)o2)cc1 ZINC000742385835 1051858847 /nfs/dbraw/zinc/85/88/47/1051858847.db2.gz XVHAJYSLGFVCDD-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000767905732 1051865010 /nfs/dbraw/zinc/86/50/10/1051865010.db2.gz HXOSWTOKDHIOED-MRXNPFEDSA-N 0 3 317.408 4.420 20 0 DIADHN Cc1cccc([C@H](O)CNCc2ccc(-c3ccccc3)o2)c1 ZINC000767927129 1051866795 /nfs/dbraw/zinc/86/67/95/1051866795.db2.gz OATSKUAOPHCBAV-LJQANCHMSA-N 0 3 307.393 4.078 20 0 DIADHN Cc1nc2ccc(CNCc3ccc(F)c(F)c3)cc2s1 ZINC000494310024 1051869133 /nfs/dbraw/zinc/86/91/33/1051869133.db2.gz KJBXERDHSIGOTD-UHFFFAOYSA-N 0 3 304.365 4.173 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cncc(Cl)c3)C2)c(C)c1 ZINC001169980159 1051875892 /nfs/dbraw/zinc/87/58/92/1051875892.db2.gz UOFLGQKQYYXBTG-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN CCCc1csc(CNCCCn2ccc3ccccc32)n1 ZINC000494324613 1051876247 /nfs/dbraw/zinc/87/62/47/1051876247.db2.gz BIOMTXSRSDOLNY-UHFFFAOYSA-N 0 3 313.470 4.230 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1F)c1ccc(Cl)c(F)c1 ZINC000768098112 1051879865 /nfs/dbraw/zinc/87/98/65/1051879865.db2.gz RXWFPMWCOPKVGR-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1ccc(F)c(OC)c1 ZINC000768103472 1051882190 /nfs/dbraw/zinc/88/21/90/1051882190.db2.gz FQSANAIFWBIZCW-AWEZNQCLSA-N 0 3 316.420 4.141 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(F)cc3F)c2C1 ZINC001173925590 1051883100 /nfs/dbraw/zinc/88/31/00/1051883100.db2.gz ACTCGDIDNTZOFB-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN COc1ccc(CN(C)C/C=C\c2ccc(F)cc2)cc1F ZINC000768199249 1051895607 /nfs/dbraw/zinc/89/56/07/1051895607.db2.gz GPTUVOVSKOVZFB-ARJAWSKDSA-N 0 3 303.352 4.119 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)ccc1SC ZINC001173929366 1051903282 /nfs/dbraw/zinc/90/32/82/1051903282.db2.gz DFKIHAISOFMLOB-UHFFFAOYSA-N 0 3 302.443 4.222 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(C(F)(F)F)cc1O ZINC001173931375 1051903589 /nfs/dbraw/zinc/90/35/89/1051903589.db2.gz IPBJBJDQIBDOQZ-UHFFFAOYSA-N 0 3 310.319 4.216 20 0 DIADHN CN(C)Cc1ccccc1Nc1nc2ccccc2nc1Cl ZINC001173929201 1051903823 /nfs/dbraw/zinc/90/38/23/1051903823.db2.gz LCOJZBMOFORMPY-UHFFFAOYSA-N 0 3 312.804 4.088 20 0 DIADHN COc1ccc(F)c(Nc2ccccc2CN(C)C)c1Cl ZINC001173932625 1051905039 /nfs/dbraw/zinc/90/50/39/1051905039.db2.gz UHIIKRJPGBHSHT-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN Cc1cc(CN2CC=C(c3c[nH]c4ncccc34)CC2)c(C)o1 ZINC000768234404 1051906220 /nfs/dbraw/zinc/90/62/20/1051906220.db2.gz IMOVNXKDIIZQET-UHFFFAOYSA-N 0 3 307.397 4.062 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3cc(C)ccc31)CCO2 ZINC000768283776 1051914229 /nfs/dbraw/zinc/91/42/29/1051914229.db2.gz GHGUSMQKGZHJHH-MOPGFXCFSA-N 0 3 309.409 4.104 20 0 DIADHN CCc1ccc([C@H](NCCCCO)c2ccccc2Cl)cc1 ZINC000494534353 1051915354 /nfs/dbraw/zinc/91/53/54/1051915354.db2.gz YUNJFICKXJYWBD-IBGZPJMESA-N 0 3 317.860 4.354 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc(C)c3C)cc2)CC1 ZINC001173954354 1051918780 /nfs/dbraw/zinc/91/87/80/1051918780.db2.gz VFJHISUVULACQO-UHFFFAOYSA-N 0 3 309.457 4.189 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C1CCCCCCC1)c1ccco1 ZINC000807680873 1051919007 /nfs/dbraw/zinc/91/90/07/1051919007.db2.gz XKASMTCQNCCFCY-DOTOQJQBSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@@H]1C[C@@H](O)c1ccco1 ZINC000768507367 1051935329 /nfs/dbraw/zinc/93/53/29/1051935329.db2.gz BPSNFBXANVHCDK-IAGOWNOFSA-N 0 3 319.832 4.330 20 0 DIADHN CCCCNCc1c(C)nn(Cc2ccc(C)cc2)c1Cl ZINC000743503671 1051942293 /nfs/dbraw/zinc/94/22/93/1051942293.db2.gz WHJKWDRUYOXUCK-UHFFFAOYSA-N 0 3 305.853 4.091 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(CC1CCCCC1)C1CC1 ZINC000053359855 1051950126 /nfs/dbraw/zinc/95/01/26/1051950126.db2.gz FHCTWYHNBVJVQJ-UHFFFAOYSA-N 0 3 314.473 4.287 20 0 DIADHN Cc1nc(CCN[C@H](CC(F)(F)F)c2ccccc2)cs1 ZINC000223669241 1051950947 /nfs/dbraw/zinc/95/09/47/1051950947.db2.gz UNDRDPGEVMAONR-CQSZACIVSA-N 0 3 314.376 4.277 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(C(F)(F)F)cc2C)s1 ZINC000191001538 1051956596 /nfs/dbraw/zinc/95/65/96/1051956596.db2.gz DDMGINRXEQTVOQ-UHFFFAOYSA-N 0 3 314.376 4.377 20 0 DIADHN Cc1csc([C@@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)n1 ZINC000191013565 1051957120 /nfs/dbraw/zinc/95/71/20/1051957120.db2.gz YJMMIHXFPKSUBB-CVEARBPZSA-N 0 3 320.502 4.374 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(C2CCCCC2)CC1 ZINC000768769347 1051958695 /nfs/dbraw/zinc/95/86/95/1051958695.db2.gz GCQIDTCJDQKYGB-UHFFFAOYSA-N 0 3 314.473 4.437 20 0 DIADHN C[C@H](NCc1ccc(-n2cccn2)cc1)c1csc(Cl)c1 ZINC000191177660 1051964322 /nfs/dbraw/zinc/96/43/22/1051964322.db2.gz SSCUMTUAQPBKPP-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN Cc1ncc(CN2CCC[C@H]2COc2ccc(Cl)cc2)s1 ZINC000768790696 1051967359 /nfs/dbraw/zinc/96/73/59/1051967359.db2.gz AEQYYFPNHHLECU-AWEZNQCLSA-N 0 3 322.861 4.148 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1ccc(F)cc1Br ZINC000224430961 1051974382 /nfs/dbraw/zinc/97/43/82/1051974382.db2.gz NDRGDEYBECHQHX-GXSJLCMTSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000191531686 1051974999 /nfs/dbraw/zinc/97/49/99/1051974999.db2.gz YGPNDNVMHMTTTG-XUJVJEKNSA-N 0 3 303.381 4.105 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cncc4ccccc43)cccc21 ZINC001174103468 1051976757 /nfs/dbraw/zinc/97/67/57/1051976757.db2.gz VJSBHCTVDSHANG-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000191646588 1051980891 /nfs/dbraw/zinc/98/08/91/1051980891.db2.gz GZQXVNQOQJXDPZ-AEJSXWLSSA-N 0 3 307.768 4.015 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1cccc2nccn21 ZINC000191724057 1051984769 /nfs/dbraw/zinc/98/47/69/1051984769.db2.gz GQTFUPXOQWKKKB-AWEZNQCLSA-N 0 3 305.381 4.240 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)CCc1ccccc1F ZINC000769020882 1051990107 /nfs/dbraw/zinc/99/01/07/1051990107.db2.gz HQDUBQAAEDTEHF-UHFFFAOYSA-N 0 3 317.452 4.069 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H](C)c1cccc(F)c1F ZINC000494638308 1051995718 /nfs/dbraw/zinc/99/57/18/1051995718.db2.gz QFLWSARLSYVMIR-JCGVRSQUSA-N 0 3 305.368 4.392 20 0 DIADHN Oc1cccc(CN(Cc2nccc3ccccc32)CC2CC2)c1 ZINC000769192446 1052000253 /nfs/dbraw/zinc/00/02/53/1052000253.db2.gz KDMIVQUDADTKMU-UHFFFAOYSA-N 0 3 318.420 4.353 20 0 DIADHN COc1cccc2cc(CN3CCC[C@@H]3c3ccc(O)cc3)oc21 ZINC000769104001 1052000284 /nfs/dbraw/zinc/00/02/84/1052000284.db2.gz FZJFCEFYXJJFIA-GOSISDBHSA-N 0 3 323.392 4.484 20 0 DIADHN CC(C)n1ncc2cc(CN(C)CC3CCCC3)c(Cl)nc21 ZINC000769192391 1052000687 /nfs/dbraw/zinc/00/06/87/1052000687.db2.gz QGEPRUXVIIOBHF-UHFFFAOYSA-N 0 3 320.868 4.288 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CC[C@@H]1CCCC1(F)F)C2 ZINC001170549134 1052004433 /nfs/dbraw/zinc/00/44/33/1052004433.db2.gz KURJWSWVTXRTLM-AWEZNQCLSA-N 0 3 307.384 4.065 20 0 DIADHN c1cc(CN2CCC(OC3CCCCC3)CC2)cc2c1COC2 ZINC000769218313 1052008940 /nfs/dbraw/zinc/00/89/40/1052008940.db2.gz QZHKLISPTFTPSF-UHFFFAOYSA-N 0 3 315.457 4.031 20 0 DIADHN CCn1nc(CNCCc2ccc(Cl)s2)c2ccccc21 ZINC000225315291 1052011183 /nfs/dbraw/zinc/01/11/83/1052011183.db2.gz ANBFANTTYKWHGP-UHFFFAOYSA-N 0 3 319.861 4.103 20 0 DIADHN CCc1cc(CNCC(C)=Cc2cccc(C(F)(F)F)c2)[nH]n1 ZINC000808390461 1052017317 /nfs/dbraw/zinc/01/73/17/1052017317.db2.gz VJXPYMHYXDQDOY-KPKJPENVSA-N 0 3 323.362 4.184 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)c(C)c2)c(C)c1OC ZINC000808395121 1052017757 /nfs/dbraw/zinc/01/77/57/1052017757.db2.gz XSXSSGNMUASUJA-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN Clc1csc(CN[C@@H](CN2CCCC2)c2ccccc2)c1 ZINC000192991643 1052025555 /nfs/dbraw/zinc/02/55/55/1052025555.db2.gz CORLUQWOBNWKEE-KRWDZBQOSA-N 0 3 320.889 4.328 20 0 DIADHN Fc1cc(CNCc2ccc3ncccc3c2)ccc1Cl ZINC000192975394 1052025608 /nfs/dbraw/zinc/02/56/08/1052025608.db2.gz HPSSWYBBFFYWSL-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1Cc1ccc2c(c1)COC2 ZINC000769334387 1052026651 /nfs/dbraw/zinc/02/66/51/1052026651.db2.gz OKQWFPCRYQKFPA-FQEVSTJZSA-N 0 3 323.436 4.453 20 0 DIADHN CN(Cc1csc(-c2ccccn2)n1)C1CCCCCC1 ZINC000193115111 1052029200 /nfs/dbraw/zinc/02/92/00/1052029200.db2.gz VKVFDIGUHDTUEI-UHFFFAOYSA-N 0 3 301.459 4.360 20 0 DIADHN O=C(c1cccc(Nc2ccnc(C3CC3)c2)c1)N1CCCCC1 ZINC001174177032 1052035760 /nfs/dbraw/zinc/03/57/60/1052035760.db2.gz REVCXOBEPLETTN-UHFFFAOYSA-N 0 3 321.424 4.329 20 0 DIADHN Cc1cccc2ncc(CN3CCC(c4cccc(F)c4)CC3)n21 ZINC000769388179 1052037025 /nfs/dbraw/zinc/03/70/25/1052037025.db2.gz DKKOYZDBGCRUOE-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N(Cc1cnn(C)c1)C1CC1 ZINC000195110717 1052037291 /nfs/dbraw/zinc/03/72/91/1052037291.db2.gz SGYDJDJWAMDYRR-OAHLLOKOSA-N 0 3 305.425 4.299 20 0 DIADHN CC1CCN(CCSc2nnc(-c3ccccc3)s2)CC1 ZINC000769403449 1052042342 /nfs/dbraw/zinc/04/23/42/1052042342.db2.gz CRIZIGNDGJPCED-UHFFFAOYSA-N 0 3 319.499 4.029 20 0 DIADHN CC(C)CNC(=O)c1cccc(Nc2ccnc(C3CCC3)c2)c1 ZINC001174193515 1052043500 /nfs/dbraw/zinc/04/35/00/1052043500.db2.gz REBMPFNOUOEJPW-UHFFFAOYSA-N 0 3 323.440 4.479 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1C[C@H](c2ccccc2)C[C@H]1C ZINC000769445451 1052054804 /nfs/dbraw/zinc/05/48/04/1052054804.db2.gz JADDBHHYDKCGBN-UKRRQHHQSA-N 0 3 317.864 4.014 20 0 DIADHN COc1ccc(Br)cc1CN1CCC2(CCC2)CC1 ZINC000308737158 1052056747 /nfs/dbraw/zinc/05/67/47/1052056747.db2.gz MBWIZFCJHQJAQW-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(-c3ccccc3F)s2)C1 ZINC000769458206 1052060595 /nfs/dbraw/zinc/06/05/95/1052060595.db2.gz YTMGIDXVBPBPDY-CYBMUJFWSA-N 0 3 305.418 4.023 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccc4ccoc4c3)n2)cc1 ZINC001217459607 1052064378 /nfs/dbraw/zinc/06/43/78/1052064378.db2.gz RUKIFJFPGOLDAU-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1CC[C@@H](c2ccccc2)[C@H]1C ZINC000769499946 1052068201 /nfs/dbraw/zinc/06/82/01/1052068201.db2.gz XWFHZNGRSVHHTE-UKRRQHHQSA-N 0 3 317.864 4.014 20 0 DIADHN CC(C)C(=O)CCN(C)[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000808712565 1052074359 /nfs/dbraw/zinc/07/43/59/1052074359.db2.gz HJMCEUOQNVIGRP-GFCCVEGCSA-N 0 3 301.352 4.313 20 0 DIADHN Cc1cccc([C@H](NCCc2ccccc2)c2ccccn2)c1 ZINC000769538701 1052079295 /nfs/dbraw/zinc/07/92/95/1052079295.db2.gz QYDZJRAVPYIESF-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000808720987 1052080697 /nfs/dbraw/zinc/08/06/97/1052080697.db2.gz FXXYZXZXTQWVTL-IGNZVWTISA-N 0 3 305.368 4.128 20 0 DIADHN CCN(C)C(=O)c1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001174320908 1052098178 /nfs/dbraw/zinc/09/81/78/1052098178.db2.gz QWVLAPAIXBXXOJ-UHFFFAOYSA-N 0 3 309.413 4.185 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CCCCC1(C)C ZINC000194268104 1052103555 /nfs/dbraw/zinc/10/35/55/1052103555.db2.gz YJYRQIVPZYUULE-MRXNPFEDSA-N 0 3 302.462 4.212 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCSc1ccc(C)cc1 ZINC000769867370 1052115262 /nfs/dbraw/zinc/11/52/62/1052115262.db2.gz KTKNLIYLDVAXSA-ZDUSSCGKSA-N 0 3 304.459 4.297 20 0 DIADHN CCc1ccc(O)c(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174397303 1052117890 /nfs/dbraw/zinc/11/78/90/1052117890.db2.gz SKCKFHIHGFDIJM-UHFFFAOYSA-N 0 3 308.425 4.156 20 0 DIADHN COc1ccc(NC2=CCCN(Cc3ccccc3)C2)cc1F ZINC001174397425 1052118040 /nfs/dbraw/zinc/11/80/40/1052118040.db2.gz YWJLRMYBAWRHEA-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN Cc1cc(C)c(O)c(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174397414 1052118924 /nfs/dbraw/zinc/11/89/24/1052118924.db2.gz YNXVQOCTMJBAJV-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cc(F)cc(OC)c1 ZINC000769913792 1052121160 /nfs/dbraw/zinc/12/11/60/1052121160.db2.gz MLSJGBQMXRLXHD-UHFFFAOYSA-N 0 3 312.344 4.003 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](Nc1ccccc1)C(C)C ZINC000769874029 1052124523 /nfs/dbraw/zinc/12/45/23/1052124523.db2.gz LSUWYBZBGJFKNF-KBXCAEBGSA-N 0 3 315.461 4.333 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cccnc2Cl)cc1 ZINC000769934386 1052133845 /nfs/dbraw/zinc/13/38/45/1052133845.db2.gz CDMVMHDJIOQVMZ-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN O[C@H](CN1CCCC12CCC2)c1ccc(Cl)cc1Cl ZINC000769983207 1052135005 /nfs/dbraw/zinc/13/50/05/1052135005.db2.gz GRQMYDDMHPDOAF-CQSZACIVSA-N 0 3 300.229 4.045 20 0 DIADHN CCCn1cc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)cn1 ZINC000227282616 1052138246 /nfs/dbraw/zinc/13/82/46/1052138246.db2.gz KCIXMIHWBRXDGR-QGZVFWFLSA-N 0 3 305.853 4.433 20 0 DIADHN Cn1cnc2cc(CNCc3ccc(C4CCC4)cc3)ccc21 ZINC000494844075 1052148237 /nfs/dbraw/zinc/14/82/37/1052148237.db2.gz FEYQYALZQFNRBJ-UHFFFAOYSA-N 0 3 305.425 4.131 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1nccn1-c1ccccc1 ZINC000494841349 1052148310 /nfs/dbraw/zinc/14/83/10/1052148310.db2.gz AYVGLDWGDYRLOF-CYBMUJFWSA-N 0 3 311.351 4.083 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc(OCC)c(F)c2)CC1 ZINC000494923979 1052173470 /nfs/dbraw/zinc/17/34/70/1052173470.db2.gz RUUGGMVIYZVNNO-MRXNPFEDSA-N 0 3 309.425 4.006 20 0 DIADHN c1sc(-c2ccccc2)nc1CO[C@@H]1CNCc2ccccc21 ZINC001217941850 1052175255 /nfs/dbraw/zinc/17/52/55/1052175255.db2.gz UQOKWEIGKKYAJZ-GOSISDBHSA-N 0 3 322.433 4.171 20 0 DIADHN Cc1nc([C@@H]2CCCN(C/C=C\c3ccncc3)C2)sc1C ZINC000494957876 1052181704 /nfs/dbraw/zinc/18/17/04/1052181704.db2.gz VLCKFNVMAJAXPX-MAVJXHJTSA-N 0 3 313.470 4.048 20 0 DIADHN Clc1ccc([C@@H]2CSCCN2C[C@@H]2CCSC2)cc1 ZINC000494964913 1052186967 /nfs/dbraw/zinc/18/69/67/1052186967.db2.gz UASMBKAJBFRCOO-WFASDCNBSA-N 0 3 313.919 4.183 20 0 DIADHN CC[C@H](O)CN(Cc1cc(Cl)cs1)Cc1ccccc1 ZINC000494974940 1052187667 /nfs/dbraw/zinc/18/76/67/1052187667.db2.gz DKHGMDXYZQVTLH-HNNXBMFYSA-N 0 3 309.862 4.175 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1ccc(Cl)cc1-c1ccccc1 ZINC001218073088 1052192023 /nfs/dbraw/zinc/19/20/23/1052192023.db2.gz YUNYSUDUXQPKOC-WBVHZDCISA-N 0 3 305.780 4.086 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1ccc(Cl)cc1Cc1ccccc1 ZINC001218068548 1052192655 /nfs/dbraw/zinc/19/26/55/1052192655.db2.gz WTUZNPRUZQGPHD-AEFFLSMTSA-N 0 3 319.807 4.010 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001218066984 1052192688 /nfs/dbraw/zinc/19/26/88/1052192688.db2.gz PKPILGBVNULGQS-IRXDYDNUSA-N 0 3 305.780 4.086 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1ccccc1C(F)(F)F ZINC001218177166 1052203229 /nfs/dbraw/zinc/20/32/29/1052203229.db2.gz JEDHBWGSCPJHQL-NSHDSACASA-N 0 3 307.315 4.449 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1ccccc1OC(F)(F)F ZINC001218320737 1052225230 /nfs/dbraw/zinc/22/52/30/1052225230.db2.gz XXXZRMYIZMAGDU-LLVKDONJSA-N 0 3 323.314 4.328 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1c(Cl)cncc1Cl ZINC001218328750 1052226109 /nfs/dbraw/zinc/22/61/09/1052226109.db2.gz OZKSKJCJODQWDV-SECBINFHSA-N 0 3 309.196 4.132 20 0 DIADHN FC1(F)CCNC[C@H]1Oc1cc(Cl)cc(Cl)c1Cl ZINC001218449216 1052239840 /nfs/dbraw/zinc/23/98/40/1052239840.db2.gz RREWWRZKGCVQHM-SECBINFHSA-N 0 3 316.562 4.023 20 0 DIADHN Cc1cccc(-c2ccccc2)c1O[C@H]1CNCCC1(F)F ZINC001218447852 1052239564 /nfs/dbraw/zinc/23/95/64/1052239564.db2.gz LWJARNJGOLKTTE-INIZCTEOSA-N 0 3 303.352 4.038 20 0 DIADHN Cc1cc(COc2cccc3c2CNC3)cc(C)c1OC(C)C ZINC001218442493 1052239735 /nfs/dbraw/zinc/23/97/35/1052239735.db2.gz FQDBPGMTJKZCIJ-UHFFFAOYSA-N 0 3 311.425 4.273 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1cc(-c2ccccc2)no1 ZINC001218454315 1052241705 /nfs/dbraw/zinc/24/17/05/1052241705.db2.gz DUKOLHDMGIXDEN-CYBMUJFWSA-N 0 3 306.365 4.085 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H]3OCCC[C@@H]3C2)cc1Cl ZINC000187946693 1052243411 /nfs/dbraw/zinc/24/34/11/1052243411.db2.gz BSJLVYHZQCYCJQ-NVXWUHKLSA-N 0 3 323.864 4.128 20 0 DIADHN Cc1cc(O[C@H]2CNCc3ccccc32)nc2ccc(Cl)cc12 ZINC001218485769 1052245474 /nfs/dbraw/zinc/24/54/74/1052245474.db2.gz NAVWGDMXVQBBHG-SFHVURJKSA-N 0 3 324.811 4.420 20 0 DIADHN C[C@@H]1c2ccc(O[C@@H]3CNCc4ccccc43)cc2OC1(C)C ZINC001218484183 1052246324 /nfs/dbraw/zinc/24/63/24/1052246324.db2.gz JLWYYERAHRYQTQ-BFUOFWGJSA-N 0 3 309.409 4.184 20 0 DIADHN Clc1cccc2cc(O[C@H]3CNCc4ccccc43)cnc12 ZINC001218488188 1052246990 /nfs/dbraw/zinc/24/69/90/1052246990.db2.gz JSOLOBPQBBEBLA-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@H]1CNCc2ccccc21 ZINC001218485800 1052247461 /nfs/dbraw/zinc/24/74/61/1052247461.db2.gz BCGSNNAJEQPEDJ-NRFANRHFSA-N 0 3 317.388 4.266 20 0 DIADHN Oc1ccc(O[C@H]2CNCc3ccccc32)c(-c2ccccc2)c1 ZINC001218487067 1052247542 /nfs/dbraw/zinc/24/75/42/1052247542.db2.gz HDUWNWFDZYGVTE-NRFANRHFSA-N 0 3 317.388 4.283 20 0 DIADHN Clc1cc(O[C@H]2CNCc3ccccc32)cc2cccnc12 ZINC001218488108 1052247694 /nfs/dbraw/zinc/24/76/94/1052247694.db2.gz IZHAVVNELAFRCG-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1ccc2ccnc(O[C@@H]3CNCc4ccccc43)c2c1 ZINC001218487332 1052247880 /nfs/dbraw/zinc/24/78/80/1052247880.db2.gz HERNCPMOWSPYLG-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cccc2ncc(O[C@H]3CNCc4ccccc43)cc12 ZINC001218492365 1052248758 /nfs/dbraw/zinc/24/87/58/1052248758.db2.gz YENZYTCSYZJYBT-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc(O[C@H]2CNCc3ccccc32)c(C(C)(C)C)c1 ZINC001218490291 1052248773 /nfs/dbraw/zinc/24/87/73/1052248773.db2.gz YGXMAJCHAWXICT-IBGZPJMESA-N 0 3 311.425 4.216 20 0 DIADHN COc1c(Cl)cc(O[C@H]2CNCc3ccccc32)cc1Cl ZINC001218493238 1052248797 /nfs/dbraw/zinc/24/87/97/1052248797.db2.gz KJALIDIKZPXHCH-HNNXBMFYSA-N 0 3 324.207 4.225 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1O[C@@H]1CNCc2ccccc21 ZINC001218492410 1052248933 /nfs/dbraw/zinc/24/89/33/1052248933.db2.gz AOSSNTDBLYIKCQ-MRXNPFEDSA-N 0 3 307.315 4.237 20 0 DIADHN COc1c(Cl)cc(O[C@@H]2CNCc3ccccc32)cc1Cl ZINC001218493239 1052249220 /nfs/dbraw/zinc/24/92/20/1052249220.db2.gz KJALIDIKZPXHCH-OAHLLOKOSA-N 0 3 324.207 4.225 20 0 DIADHN c1sc(O[C@H]2CNCc3ccccc32)nc1-c1ccccc1 ZINC001218489830 1052249309 /nfs/dbraw/zinc/24/93/09/1052249309.db2.gz WDUOTZGDFLIMRQ-KRWDZBQOSA-N 0 3 308.406 4.033 20 0 DIADHN CCN(Cc1cn2c(cccc2C)n1)[C@@H](C)Cc1ccsc1 ZINC000495205925 1052257738 /nfs/dbraw/zinc/25/77/38/1052257738.db2.gz XZOKXZIEFSBLDL-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN CCCCCCc1ccc(NC(=O)C[C@@H]2CCNC[C@H]2F)cc1 ZINC001218837848 1052259043 /nfs/dbraw/zinc/25/90/43/1052259043.db2.gz JRLPYSVDVGMBSG-FUHWJXTLSA-N 0 3 320.452 4.086 20 0 DIADHN CC(C)[C@@H](N)C(=O)Nc1ccc(Oc2ccccc2)c(Cl)c1 ZINC001218956820 1052263542 /nfs/dbraw/zinc/26/35/42/1052263542.db2.gz AKHOCJDTVJKKCL-MRXNPFEDSA-N 0 3 318.804 4.054 20 0 DIADHN CCC[C@H](N)C(=O)Nc1ccc(Oc2ccccc2)c(Cl)c1 ZINC001218957722 1052263657 /nfs/dbraw/zinc/26/36/57/1052263657.db2.gz QMKBQZXMIOFFSM-HNNXBMFYSA-N 0 3 318.804 4.198 20 0 DIADHN CC(C)(C)[C@@H](N)C(=O)Nc1ccc2[nH]c(-c3ccccc3)cc2c1 ZINC001218988658 1052265986 /nfs/dbraw/zinc/26/59/86/1052265986.db2.gz JTJKARMQQCJSSW-SFHVURJKSA-N 0 3 321.424 4.147 20 0 DIADHN O=C(Nc1cccc2c1CNC2)c1cccc(-c2ccsc2)c1 ZINC001218993608 1052266167 /nfs/dbraw/zinc/26/61/67/1052266167.db2.gz OACXFDAOZMLTAZ-UHFFFAOYSA-N 0 3 320.417 4.271 20 0 DIADHN C[C@H]1CC(C)(C)c2cccc(NC(=O)c3ccc4c(c3)CNC4)c21 ZINC001219040004 1052270555 /nfs/dbraw/zinc/27/05/55/1052270555.db2.gz IFMQODSYTQFIPE-ZDUSSCGKSA-N 0 3 320.436 4.327 20 0 DIADHN COc1cc(F)cc(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000312286601 1052288629 /nfs/dbraw/zinc/28/86/29/1052288629.db2.gz RIQWFNPAJGWLHT-SFHVURJKSA-N 0 3 305.368 4.460 20 0 DIADHN Clc1ccc(SC2CCN(Cc3ccc[nH]3)CC2)cc1 ZINC000190690801 1052295065 /nfs/dbraw/zinc/29/50/65/1052295065.db2.gz YZIFCSOIJJBUAP-UHFFFAOYSA-N 0 3 306.862 4.425 20 0 DIADHN Clc1ccc(CN2CCCN(c3ccccc3)CC2)cc1 ZINC000302993866 1052309405 /nfs/dbraw/zinc/30/94/05/1052309405.db2.gz SKNLNQUYKFQVEB-UHFFFAOYSA-N 0 3 300.833 4.052 20 0 DIADHN C[C@H](C1CC1)N(Cc1cn2cc(Cl)cc(Cl)c2n1)C1CC1 ZINC000303111492 1052315217 /nfs/dbraw/zinc/31/52/17/1052315217.db2.gz NYJPSEVGEVCLKB-SNVBAGLBSA-N 0 3 324.255 4.404 20 0 DIADHN C[C@@H](CC(=O)NC[C@@H](c1ccccc1)N(C)C)C1CCCCC1 ZINC000303136647 1052315969 /nfs/dbraw/zinc/31/59/69/1052315969.db2.gz QIJJEGGPLCURRW-LPHOPBHVSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)[C@@H]1CCC[C@H](c2ccccc2)N1 ZINC001220251690 1052325524 /nfs/dbraw/zinc/32/55/24/1052325524.db2.gz BTAKLTOVSVVJBF-UHOSZYNNSA-N 0 3 316.489 4.201 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@H](C(C)(C)C)CC1)c1cccs1 ZINC000303703087 1052338161 /nfs/dbraw/zinc/33/81/61/1052338161.db2.gz XQHUAVATSBEGMR-CABCVRRESA-N 0 3 322.518 4.074 20 0 DIADHN CCc1ccc([C@H](C)CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000303704212 1052338645 /nfs/dbraw/zinc/33/86/45/1052338645.db2.gz BMGUUCRWWXPZBI-MRXNPFEDSA-N 0 3 324.468 4.443 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccc(OC(C)(C)C)nc1 ZINC000495420556 1052344964 /nfs/dbraw/zinc/34/49/64/1052344964.db2.gz JFQZOPHABNRRCK-ZDUSSCGKSA-N 0 3 304.459 4.480 20 0 DIADHN C[C@H](NCCCOc1ccccc1)c1nc2ccccc2s1 ZINC000315604710 1052345668 /nfs/dbraw/zinc/34/56/68/1052345668.db2.gz LPIAAHNETHXFQA-AWEZNQCLSA-N 0 3 312.438 4.416 20 0 DIADHN Oc1ccc(Br)c(CNCCCC2CCCC2)c1 ZINC000315642411 1052347187 /nfs/dbraw/zinc/34/71/87/1052347187.db2.gz WUWWJGMNCTYZNM-UHFFFAOYSA-N 0 3 312.251 4.215 20 0 DIADHN CC1(C)Cc2cc(CNCc3ccc(F)c(Cl)c3)ccc2O1 ZINC000316105188 1052361339 /nfs/dbraw/zinc/36/13/39/1052361339.db2.gz LJXSOWUQHWWLAL-UHFFFAOYSA-N 0 3 319.807 4.482 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(F)cc2F)C12CCCCC2 ZINC000316081075 1052361418 /nfs/dbraw/zinc/36/14/18/1052361418.db2.gz QLWKBWFWJCRDJF-SJORKVTESA-N 0 3 309.400 4.182 20 0 DIADHN c1ccc2c(c1)SCC[C@H]2NCc1cccc2c1NCCC2 ZINC000316514723 1052369070 /nfs/dbraw/zinc/36/90/70/1052369070.db2.gz ROZAJWJBNSOFBQ-QGZVFWFLSA-N 0 3 310.466 4.371 20 0 DIADHN CSc1ccc(NC(=O)CN[C@H](C)c2ccccc2C)cc1 ZINC000195356017 1052371322 /nfs/dbraw/zinc/37/13/22/1052371322.db2.gz ZYCCBTKGWYNLQT-CQSZACIVSA-N 0 3 314.454 4.006 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1CCNc2ccccc21)C(C)C ZINC001221256693 1052383051 /nfs/dbraw/zinc/38/30/51/1052383051.db2.gz INTMVOXIHMDSLG-MRXNPFEDSA-N 0 3 320.417 4.001 20 0 DIADHN C=CCc1cc(CN[C@H](C)c2cccs2)cc(OC)c1OC ZINC000089520581 1052393550 /nfs/dbraw/zinc/39/35/50/1052393550.db2.gz MWUSDHBJSDUHBY-CYBMUJFWSA-N 0 3 317.454 4.345 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(Cl)cc2C)c1 ZINC000495750612 1052412743 /nfs/dbraw/zinc/41/27/43/1052412743.db2.gz QLOOGHVTZYNTCE-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN c1coc(-c2nc(CNC[C@H]3C[C@@H]3c3ccccc3)cs2)c1 ZINC000236589853 1052427577 /nfs/dbraw/zinc/42/75/77/1052427577.db2.gz MRUHEZFSZFETAT-GDBMZVCRSA-N 0 3 310.422 4.296 20 0 DIADHN CCCC(CCC)N[C@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000236780603 1052430108 /nfs/dbraw/zinc/43/01/08/1052430108.db2.gz MIWFSFYARSILSQ-CYBMUJFWSA-N 0 3 317.433 4.365 20 0 DIADHN CCCC(C)(C)NCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000236768347 1052430514 /nfs/dbraw/zinc/43/05/14/1052430514.db2.gz REWJSLWVZFAVPR-UHFFFAOYSA-N 0 3 318.442 4.207 20 0 DIADHN CCC[C@@H](N)C(=O)Nc1ccc(Oc2ccccc2Cl)cc1 ZINC000236805210 1052431789 /nfs/dbraw/zinc/43/17/89/1052431789.db2.gz XGCJRENWSMBPIS-OAHLLOKOSA-N 0 3 318.804 4.198 20 0 DIADHN CCCNC(=O)c1cccc(CNC/C(C)=C/c2ccccc2)c1 ZINC000236885209 1052433256 /nfs/dbraw/zinc/43/32/56/1052433256.db2.gz UIJPYMSJGBABQP-GHRIWEEISA-N 0 3 322.452 4.020 20 0 DIADHN C[C@@H](CN(C)[C@@H](C)c1ccccc1Cl)C(=O)OC(C)(C)C ZINC000319841342 1052433875 /nfs/dbraw/zinc/43/38/75/1052433875.db2.gz OSIZEMBVMWYHFG-STQMWFEESA-N 0 3 311.853 4.311 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(Br)cc1N ZINC000094047208 1052436229 /nfs/dbraw/zinc/43/62/29/1052436229.db2.gz WLDSDZUELZQLHX-UHFFFAOYSA-N 0 3 319.246 4.053 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)Nc1ccccc1)c1ccccc1Cl ZINC000237320221 1052438527 /nfs/dbraw/zinc/43/85/27/1052438527.db2.gz SZLQOEKIWIYLGG-UONOGXRCSA-N 0 3 316.832 4.408 20 0 DIADHN Nc1cc(Br)ccc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000094047353 1052439621 /nfs/dbraw/zinc/43/96/21/1052439621.db2.gz BDZKQRDNZNPREF-LRDDRELGSA-N 0 3 323.278 4.186 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCCc3ccccc32)c1 ZINC000237526267 1052441140 /nfs/dbraw/zinc/44/11/40/1052441140.db2.gz ITUYBQDZSSIEJU-VBKZILBWSA-N 0 3 308.425 4.373 20 0 DIADHN Fc1ccccc1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000237820765 1052445834 /nfs/dbraw/zinc/44/58/34/1052445834.db2.gz JGQSGSNSFHFVQS-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN N[C@H](CC(=O)N1CCCC2(C1)CCCCCC2)c1ccccc1 ZINC000237836251 1052445846 /nfs/dbraw/zinc/44/58/46/1052445846.db2.gz HLRWOQMRZQNZDV-GOSISDBHSA-N 0 3 314.473 4.040 20 0 DIADHN Cc1ccc([C@@H](CNCc2ccccc2Cl)N2CCCC2)o1 ZINC000237887052 1052447016 /nfs/dbraw/zinc/44/70/16/1052447016.db2.gz FJRTVIXJTMYUMO-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN Fc1ccc(-c2ccc(CNCCOC3CCCC3)o2)cc1 ZINC000316055166 1052456057 /nfs/dbraw/zinc/45/60/57/1052456057.db2.gz OADMKNNPOTZPNO-UHFFFAOYSA-N 0 3 303.377 4.135 20 0 DIADHN CCOc1cc(CN2C[C@H]3CCC[C@@H]3C2)ccc1Br ZINC001235441330 1052465633 /nfs/dbraw/zinc/46/56/33/1052465633.db2.gz ZVOQIRNOENOXNF-ZIAGYGMSSA-N 0 3 324.262 4.080 20 0 DIADHN CC(C)Oc1c(Cl)cc(-c2ccc(CN)nc2)cc1Cl ZINC001222259162 1052470449 /nfs/dbraw/zinc/47/04/49/1052470449.db2.gz UGQBOWJPSBVQNO-UHFFFAOYSA-N 0 3 311.212 4.301 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCc3c2cc(F)cc3F)c1 ZINC000154592416 1052474735 /nfs/dbraw/zinc/47/47/35/1052474735.db2.gz CRUMMUJMMYIUBO-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN FC(F)(F)c1ccccc1OCCN1CCC[C@H]1c1ccc[nH]1 ZINC000496074155 1052481725 /nfs/dbraw/zinc/48/17/25/1052481725.db2.gz TXAQFOQAWWXSJM-HNNXBMFYSA-N 0 3 324.346 4.249 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(OC(F)(F)F)c1 ZINC001222336545 1052485971 /nfs/dbraw/zinc/48/59/71/1052485971.db2.gz WDOIQWIHAZEWNG-VQHVLOKHSA-N 0 3 308.303 4.180 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763048363 1052493442 /nfs/dbraw/zinc/49/34/42/1052493442.db2.gz GHDZLFUWOYOSSC-HZPDHXFCSA-N 0 3 317.408 4.180 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763048360 1052493517 /nfs/dbraw/zinc/49/35/17/1052493517.db2.gz GHDZLFUWOYOSSC-CVEARBPZSA-N 0 3 317.408 4.180 20 0 DIADHN Cc1cccc([C@H](C)C(=O)Nc2ccc(C)cc2CN(C)C)c1 ZINC000122747114 1052497493 /nfs/dbraw/zinc/49/74/93/1052497493.db2.gz RTLQCNGSYGPRSG-INIZCTEOSA-N 0 3 310.441 4.107 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000106859734 1052522984 /nfs/dbraw/zinc/52/29/84/1052522984.db2.gz AVIKZJICSGUYOL-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN c1coc(-c2cc(CN3CCC[C@H]3Cc3ccccc3)no2)c1 ZINC000055505291 1052533499 /nfs/dbraw/zinc/53/34/99/1052533499.db2.gz OWZJRTVBDUJDPB-KRWDZBQOSA-N 0 3 308.381 4.142 20 0 DIADHN Cc1cc(C)cc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001222775093 1052534299 /nfs/dbraw/zinc/53/42/99/1052534299.db2.gz MAXFSIAOZMHBPQ-UYAOXDASSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1cccc(C)c1CO[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001222829369 1052538146 /nfs/dbraw/zinc/53/81/46/1052538146.db2.gz ZEEDXTHWALKEKJ-MOPGFXCFSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CN(C)Cc2ccsc2)c(-c2cnn(C)c2)c1 ZINC000670261757 1052538402 /nfs/dbraw/zinc/53/84/02/1052538402.db2.gz KOUGGLFCWQYAKY-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CC(C)N(Cc1nc2ccccc2c(=O)[nH]1)C[C@@H](C)C(C)(C)C ZINC000670262404 1052538725 /nfs/dbraw/zinc/53/87/25/1052538725.db2.gz QMHKZQKBBGJEKL-CQSZACIVSA-N 0 3 315.461 4.228 20 0 DIADHN Cc1ccc(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc1C ZINC001222850653 1052538733 /nfs/dbraw/zinc/53/87/33/1052538733.db2.gz DBOCUCOVFJQKPR-PKOBYXMFSA-N 0 3 310.441 4.051 20 0 DIADHN CCc1ccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001222843998 1052539167 /nfs/dbraw/zinc/53/91/67/1052539167.db2.gz IDMFRLDZUXKXLQ-FXAWDEMLSA-N 0 3 324.468 4.386 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)c1 ZINC000107070376 1052543942 /nfs/dbraw/zinc/54/39/42/1052543942.db2.gz UXCDRXVMNVNPQG-KBPBESRZSA-N 0 3 314.454 4.086 20 0 DIADHN Cc1cccc(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1C ZINC001223033128 1052558952 /nfs/dbraw/zinc/55/89/52/1052558952.db2.gz HHDDSOJODHBUCO-IEBWSBKVSA-N 0 3 310.441 4.051 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CCc3c1cc(F)cc3F)CC2 ZINC000154668264 1052566330 /nfs/dbraw/zinc/56/63/30/1052566330.db2.gz FUYHTZAQWNCHSI-ZWKOTPCHSA-N 0 3 303.327 4.368 20 0 DIADHN CCN1CC[C@H](OC2=Nc3ccccc3Sc3ccccc32)C1 ZINC001223469728 1052605752 /nfs/dbraw/zinc/60/57/52/1052605752.db2.gz RGKNAUQZEYPXPF-AWEZNQCLSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@H](NCc1cc(Cl)c2c(c1)OCCCO2)c1cccs1 ZINC000037301282 1052622279 /nfs/dbraw/zinc/62/22/79/1052622279.db2.gz UBXFUSFBZNVJCA-NSHDSACASA-N 0 3 323.845 4.414 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H]1CCc2ccc(Cl)cc21 ZINC000763395639 1052622476 /nfs/dbraw/zinc/62/24/76/1052622476.db2.gz NBFWSEGIVQEMNT-ZWKOTPCHSA-N 0 3 313.832 4.093 20 0 DIADHN COc1cc(CN[C@H]2CCc3ccc(Cl)cc32)cc(OC)c1 ZINC000763394881 1052624149 /nfs/dbraw/zinc/62/41/49/1052624149.db2.gz GOTVUROSPAZLCX-SFHVURJKSA-N 0 3 317.816 4.134 20 0 DIADHN CCCC[C@@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037620379 1052626982 /nfs/dbraw/zinc/62/69/82/1052626982.db2.gz SOIWAUCASZVKCA-MRXNPFEDSA-N 0 3 306.475 4.177 20 0 DIADHN CC[C@@H](O[C@@H]1CCN([C@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223703911 1052628535 /nfs/dbraw/zinc/62/85/35/1052628535.db2.gz AEFLRPVAUUJIGK-YVWKXTFCSA-N 0 3 310.441 4.385 20 0 DIADHN Clc1ccc(CNC[C@H]2Cc3ccccc3O2)c(Cl)c1 ZINC000037986430 1052629620 /nfs/dbraw/zinc/62/96/20/1052629620.db2.gz ATIAXFHCEFWRAF-CQSZACIVSA-N 0 3 308.208 4.087 20 0 DIADHN Clc1cccc(CNC[C@H]2Cc3ccccc3O2)c1Cl ZINC000037986428 1052630227 /nfs/dbraw/zinc/63/02/27/1052630227.db2.gz JSFZIJGJOGHHKH-CYBMUJFWSA-N 0 3 308.208 4.087 20 0 DIADHN CO[C@@H](CN(C)Cc1ccc(Cl)c(F)c1)c1ccccc1 ZINC001307651096 1052630335 /nfs/dbraw/zinc/63/03/35/1052630335.db2.gz FMTMACMLYIGVEC-KRWDZBQOSA-N 0 3 307.796 4.299 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001127416251 1052632117 /nfs/dbraw/zinc/63/21/17/1052632117.db2.gz CWDPVJJQGCLXCT-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN COCCCOc1cc(C)ccc1CN[C@H](C)c1ccccc1 ZINC000124962931 1052644636 /nfs/dbraw/zinc/64/46/36/1052644636.db2.gz GYRRRLAQBKRWFE-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000125124112 1052646178 /nfs/dbraw/zinc/64/61/78/1052646178.db2.gz GIRLFGMVLSTBHV-OLZOCXBDSA-N 0 3 319.836 4.401 20 0 DIADHN CCCOc1ccc(CN2CCC(OC(C)(C)C)CC2)cc1 ZINC000125309605 1052646697 /nfs/dbraw/zinc/64/66/97/1052646697.db2.gz OLXAKFDOWVUIAH-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1nc(C)c(CNC(C)(C)c2ccc(Cl)c(Cl)c2)[nH]1 ZINC000929949787 1052663190 /nfs/dbraw/zinc/66/31/90/1052663190.db2.gz FFJBOQOGYKYOFD-UHFFFAOYSA-N 0 3 312.244 4.358 20 0 DIADHN c1nn(Cc2ccccc2)cc1CNC1(c2ccccc2)CCC1 ZINC000065275654 1052666090 /nfs/dbraw/zinc/66/60/90/1052666090.db2.gz WVRWXPSGXLZOHE-UHFFFAOYSA-N 0 3 317.436 4.100 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ncc(Br)s1 ZINC000126359665 1052669345 /nfs/dbraw/zinc/66/93/45/1052669345.db2.gz PWSRHBHHVHAPEQ-KXUCPTDWSA-N 0 3 303.269 4.135 20 0 DIADHN OC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(F)c(-c2cccs2)c1 ZINC000126621548 1052672769 /nfs/dbraw/zinc/67/27/69/1052672769.db2.gz PVPXXOGZCCBGNS-YIONKMFJSA-N 0 3 317.429 4.042 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1ncc(Br)s1 ZINC000126662330 1052673402 /nfs/dbraw/zinc/67/34/02/1052673402.db2.gz QAYSBIDKGMOQKI-AEJSXWLSSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)c1ccc(CN(C)C[C@H](O)c2ccc(F)cc2F)cc1 ZINC000916969366 1052675380 /nfs/dbraw/zinc/67/53/80/1052675380.db2.gz PWMVBEMPOHWDND-IBGZPJMESA-N 0 3 319.395 4.254 20 0 DIADHN CC(C)c1ccc(CN(C)C[C@@H](O)c2cc(F)ccc2F)cc1 ZINC000916969500 1052675722 /nfs/dbraw/zinc/67/57/22/1052675722.db2.gz ZIUHXIYRZNJURX-LJQANCHMSA-N 0 3 319.395 4.254 20 0 DIADHN CN(Cc1cccc(F)c1)C[C@H](O)c1ccc2ccccc2c1 ZINC000916968940 1052675826 /nfs/dbraw/zinc/67/58/26/1052675826.db2.gz RCGDROLRIOKLRM-FQEVSTJZSA-N 0 3 309.384 4.144 20 0 DIADHN CC(C)c1ccc(CN(C)C[C@@H](O)c2c(F)cccc2F)cc1 ZINC000916969074 1052675871 /nfs/dbraw/zinc/67/58/71/1052675871.db2.gz CEXGQPGKWYWLPW-GOSISDBHSA-N 0 3 319.395 4.254 20 0 DIADHN Clc1sccc1CN1C[C@@H]2CNc3ccccc3[C@H]2C1 ZINC001224263651 1052683994 /nfs/dbraw/zinc/68/39/94/1052683994.db2.gz WASOFNNXNVDOMX-JSGCOSHPSA-N 0 3 304.846 4.043 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000127304159 1052691886 /nfs/dbraw/zinc/69/18/86/1052691886.db2.gz RUUJXIKWEKANTG-ZIAGYGMSSA-N 0 3 313.397 4.226 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)s1 ZINC000127281723 1052692343 /nfs/dbraw/zinc/69/23/43/1052692343.db2.gz PHOMSSINBWSXRV-QWRGUYRKSA-N 0 3 318.442 4.154 20 0 DIADHN Cc1cc(N[C@H](c2ccccc2)c2cccc(Cl)c2)nc(N)n1 ZINC000917083252 1052693488 /nfs/dbraw/zinc/69/34/88/1052693488.db2.gz CGFZWMCYGKWCSK-QGZVFWFLSA-N 0 3 324.815 4.222 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC(C)(C)c2ccccc2)c1 ZINC000067040227 1052700517 /nfs/dbraw/zinc/70/05/17/1052700517.db2.gz GFEXAYVISCMFQZ-UHFFFAOYSA-N 0 3 310.441 4.055 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1nccs1 ZINC000127888947 1052704242 /nfs/dbraw/zinc/70/42/42/1052704242.db2.gz CMSCTFRFZZKRPU-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OC/C=C/c2ccccc2)C1 ZINC001224680272 1052715808 /nfs/dbraw/zinc/71/58/08/1052715808.db2.gz XICCFKITRCUPMN-YGQKLEOUSA-N 0 3 322.452 4.337 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2cccs2)c1 ZINC000089725104 1052718332 /nfs/dbraw/zinc/71/83/32/1052718332.db2.gz ZULZDEMZEDMYMV-ZFWWWQNUSA-N 0 3 300.427 4.280 20 0 DIADHN CCCCN(Cn1c(=O)oc2cc(Cl)ccc21)[C@H](C)CC ZINC000917436751 1052727300 /nfs/dbraw/zinc/72/73/00/1052727300.db2.gz DNTLNGXJGUTYIW-GFCCVEGCSA-N 0 3 310.825 4.106 20 0 DIADHN Cc1occc1CN[C@@](C)(CNC(=O)OC(C)(C)C)CC(C)C ZINC000321673588 1052734064 /nfs/dbraw/zinc/73/40/64/1052734064.db2.gz YFIMMOMTGZVINH-GOSISDBHSA-N 0 3 324.465 4.007 20 0 DIADHN Cc1cc2cc([C@H](C)NC[C@H](O)c3ccsc3)oc2cc1C ZINC000154437340 1052734440 /nfs/dbraw/zinc/73/44/40/1052734440.db2.gz ATZIOSBFKGEYIW-BBRMVZONSA-N 0 3 315.438 4.495 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2cccnc2C)CC1 ZINC000128648022 1052740396 /nfs/dbraw/zinc/74/03/96/1052740396.db2.gz BZOYLXKLIMXZJH-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN Cc1cccc([C@H]2CCCN2C[C@H](O)c2c(F)cccc2F)c1 ZINC000917641081 1052746862 /nfs/dbraw/zinc/74/68/62/1052746862.db2.gz WBZYSQSVKDRJQK-MSOLQXFVSA-N 0 3 317.379 4.144 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(OC)c(Cl)c2)ccc1F ZINC000154486711 1052750901 /nfs/dbraw/zinc/75/09/01/1052750901.db2.gz FTVXJTXSPZMMMU-NSHDSACASA-N 0 3 323.795 4.347 20 0 DIADHN Cc1ccc([C@@H](NCCCc2nccs2)c2ccccn2)cc1 ZINC000925442800 1052756927 /nfs/dbraw/zinc/75/69/27/1052756927.db2.gz LGTSWQWXEMDKPA-LJQANCHMSA-N 0 3 323.465 4.158 20 0 DIADHN Cc1ccc(C)c(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001225220926 1052757836 /nfs/dbraw/zinc/75/78/36/1052757836.db2.gz FJPBVDDIJHPGOK-QZTJIDSGSA-N 0 3 310.441 4.303 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cccc(Cl)c2)C1 ZINC001225232941 1052760500 /nfs/dbraw/zinc/76/05/00/1052760500.db2.gz ZMVAGLKFTSZVSK-YOEHRIQHSA-N 0 3 316.832 4.339 20 0 DIADHN CC(C)c1cccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001225239401 1052761456 /nfs/dbraw/zinc/76/14/56/1052761456.db2.gz BXLIMZNACQJHKO-QFBILLFUSA-N 0 3 310.441 4.419 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937564 1052765479 /nfs/dbraw/zinc/76/54/79/1052765479.db2.gz GEFXADIWSIDVTD-HUUCEWRRSA-N 0 3 318.505 4.328 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc3ccccc3c2)C1 ZINC001225268210 1052766364 /nfs/dbraw/zinc/76/63/64/1052766364.db2.gz USBHPPIQPPJHNI-OXQOHEQNSA-N 0 3 318.420 4.449 20 0 DIADHN CCCN1CCc2c(cccc2NC(=O)Nc2ccccc2)C1 ZINC000068860376 1052766892 /nfs/dbraw/zinc/76/68/92/1052766892.db2.gz QLQHQCPWUJWLLO-UHFFFAOYSA-N 0 3 309.413 4.099 20 0 DIADHN CC(C)N1CC[C@H](Oc2cc(C(F)(F)F)ccc2Cl)C1 ZINC001225323286 1052773569 /nfs/dbraw/zinc/77/35/69/1052773569.db2.gz CQHBKMFJBIYHNY-NSHDSACASA-N 0 3 307.743 4.220 20 0 DIADHN CC(C)N1CC[C@@H](Oc2cc(C(F)(F)F)ccc2Cl)C1 ZINC001225322902 1052773647 /nfs/dbraw/zinc/77/36/47/1052773647.db2.gz CQHBKMFJBIYHNY-LLVKDONJSA-N 0 3 307.743 4.220 20 0 DIADHN Cc1cc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)ccc1F ZINC001225325926 1052774768 /nfs/dbraw/zinc/77/47/68/1052774768.db2.gz UNZFGSJUWPIVRB-WBVHZDCISA-N 0 3 314.404 4.134 20 0 DIADHN C[C@H](NCc1cnn(-c2ccccc2)c1)c1cc2c(s1)CCC2 ZINC000129494346 1052791759 /nfs/dbraw/zinc/79/17/59/1052791759.db2.gz WBPGGDMJXAYZEC-AWEZNQCLSA-N 0 3 323.465 4.273 20 0 DIADHN CCCN(Cc1cc2cnn(C(C)C)c2nc1Cl)CC1CC1 ZINC000154696962 1052792299 /nfs/dbraw/zinc/79/22/99/1052792299.db2.gz FYGZKSLHHOCRAY-UHFFFAOYSA-N 0 3 320.868 4.288 20 0 DIADHN CC(C)CSCCCNCc1ncc(C(C)(C)C)s1 ZINC000556092899 1052797726 /nfs/dbraw/zinc/79/77/26/1052797726.db2.gz XXHKATFCSSKRLS-UHFFFAOYSA-N 0 3 300.537 4.310 20 0 DIADHN CCCOc1cccc(CN[C@H](C)c2ccc(OC)c(F)c2)c1 ZINC000069669283 1052798937 /nfs/dbraw/zinc/79/89/37/1052798937.db2.gz QJBMPTHXXAMSKU-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN COc1ccccc1C[C@@H]1CCCN1Cc1nc2ccccc2o1 ZINC000069683583 1052799022 /nfs/dbraw/zinc/79/90/22/1052799022.db2.gz JGJUTTPZYDCQAC-INIZCTEOSA-N 0 3 322.408 4.044 20 0 DIADHN CC(C)CC1(C[NH2+][C@H](c2cccs2)c2nnc[n-]2)CCCC1 ZINC000556104697 1052799860 /nfs/dbraw/zinc/79/98/60/1052799860.db2.gz IFITUOVFOYGAGH-OAHLLOKOSA-N 0 3 318.490 4.152 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(C2CC2)c1)C(=O)Nc1ccccc1 ZINC000556118276 1052803346 /nfs/dbraw/zinc/80/33/46/1052803346.db2.gz AQWFATUXUYDJGI-GJZGRUSLSA-N 0 3 308.425 4.242 20 0 DIADHN CC(=O)c1ccccc1O[C@H]1CN(Cc2ccccc2)CC[C@H]1C ZINC001225570166 1052803547 /nfs/dbraw/zinc/80/35/47/1052803547.db2.gz UWKHSKCUWUDIPU-IERDGZPVSA-N 0 3 323.436 4.179 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](C)c1ccncc1F ZINC000556120241 1052804548 /nfs/dbraw/zinc/80/45/48/1052804548.db2.gz MLPVLZMZRQOHJA-UONOGXRCSA-N 0 3 304.434 4.442 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2cc3c(s2)CCCC3)cnn1C ZINC000130061748 1052820348 /nfs/dbraw/zinc/82/03/48/1052820348.db2.gz AWUYVJNTWULDML-NWDGAFQWSA-N 0 3 303.475 4.081 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000556221683 1052824084 /nfs/dbraw/zinc/82/40/84/1052824084.db2.gz FBFOURHYBQADDD-OUJBWJOFSA-N 0 3 306.456 4.125 20 0 DIADHN CO[C@@H](CN[C@@H](c1cccc(C)c1)c1cccc(F)c1)C1CC1 ZINC000556238716 1052824742 /nfs/dbraw/zinc/82/47/42/1052824742.db2.gz LJYYURFWEXTMIV-PMACEKPBSA-N 0 3 313.416 4.238 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H]2CCC[C@@H]2F)cc1OC ZINC000556255015 1052827608 /nfs/dbraw/zinc/82/76/08/1052827608.db2.gz YJNNUBMGEDYCGF-VNQPRFMTSA-N 0 3 309.425 4.415 20 0 DIADHN Fc1cccc(O[C@@H]2CCCN(Cc3ccccc3)C2)c1F ZINC001225922270 1052847735 /nfs/dbraw/zinc/84/77/35/1052847735.db2.gz HXERWFJXYALAOH-OAHLLOKOSA-N 0 3 303.352 4.008 20 0 DIADHN CC(C)OCCCCNCc1c(F)cccc1OC(F)(F)F ZINC000556417622 1052850588 /nfs/dbraw/zinc/85/05/88/1052850588.db2.gz AYTQFJFKGZKOCC-UHFFFAOYSA-N 0 3 323.330 4.019 20 0 DIADHN CC(C)N1CCC(Oc2ccccc2C(=O)c2ccccc2)CC1 ZINC001225978885 1052856100 /nfs/dbraw/zinc/85/61/00/1052856100.db2.gz OYIHLCZYZFPBDQ-UHFFFAOYSA-N 0 3 323.436 4.169 20 0 DIADHN CC(=O)c1ccc(O[C@H]2CN(Cc3ccccc3)C[C@@H]2C)c(C)c1 ZINC001226031485 1052862578 /nfs/dbraw/zinc/86/25/78/1052862578.db2.gz DEXQRGDTJYJJAO-KKSFZXQISA-N 0 3 323.436 4.097 20 0 DIADHN COc1ncc([C@@H](C)NCc2ccc(C3CC3)cc2)cc1Cl ZINC000556572402 1052866743 /nfs/dbraw/zinc/86/67/43/1052866743.db2.gz DTTHWYXSDZOSTK-GFCCVEGCSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@@H]1CN(Cc2cnc(C(C)(C)C)nc2)CC[C@H]1c1ccccc1 ZINC000556601340 1052870189 /nfs/dbraw/zinc/87/01/89/1052870189.db2.gz JXZWOGHSQJVTBR-VQIMIIECSA-N 0 3 323.484 4.400 20 0 DIADHN CCSc1cccc(C(=O)Nc2ccccc2CN(C)C)c1 ZINC000556674782 1052875206 /nfs/dbraw/zinc/87/52/06/1052875206.db2.gz BVGGOXYMZXZIFF-UHFFFAOYSA-N 0 3 314.454 4.113 20 0 DIADHN CCN(C[C@H]1CC1(Cl)Cl)[C@@H](C)c1cccc(OC)c1 ZINC000919770609 1052888319 /nfs/dbraw/zinc/88/83/19/1052888319.db2.gz LVJPGEOORYMRFN-WCQYABFASA-N 0 3 302.245 4.272 20 0 DIADHN COC(=O)c1csc([C@@H](C)NC2(c3ccccc3)CCC2)c1 ZINC000556884365 1052891743 /nfs/dbraw/zinc/89/17/43/1052891743.db2.gz BTOJXCGZBAKOTP-CYBMUJFWSA-N 0 3 315.438 4.265 20 0 DIADHN CC(C)OCCCN(C)Cc1cc(Cl)ccc1OC(F)F ZINC000556895814 1052894047 /nfs/dbraw/zinc/89/40/47/1052894047.db2.gz TZOZRPDIPSDXSI-UHFFFAOYSA-N 0 3 321.795 4.188 20 0 DIADHN CC(C)CCN(Cc1cc(-c2ccc(F)cc2)no1)C1CC1 ZINC000515255899 1052907974 /nfs/dbraw/zinc/90/79/74/1052907974.db2.gz BFDYFHMHHKKYTC-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)[C@H](C)C1CCCCC1 ZINC000557051639 1052917840 /nfs/dbraw/zinc/91/78/40/1052917840.db2.gz KOYBPABYZHZJAC-UONOGXRCSA-N 0 3 315.461 4.016 20 0 DIADHN CC(C)N(Cc1cccc(F)c1)C[C@H](O)c1c(F)cccc1F ZINC000920089878 1052917881 /nfs/dbraw/zinc/91/78/81/1052917881.db2.gz XMTDITWRHRGHMH-KRWDZBQOSA-N 0 3 323.358 4.048 20 0 DIADHN Nc1cc(OC2c3ccccc3C=Cc3ccccc32)ccn1 ZINC001226658288 1052919128 /nfs/dbraw/zinc/91/91/28/1052919128.db2.gz ZMFOWIHQOLJTGN-UHFFFAOYSA-N 0 3 300.361 4.316 20 0 DIADHN Fc1ccc(Cl)cc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001226690292 1052922392 /nfs/dbraw/zinc/92/23/92/1052922392.db2.gz LYGVLEYBFLXTGC-OAHLLOKOSA-N 0 3 305.780 4.132 20 0 DIADHN CCCOc1ccc(CNCc2cc(C)c(OC)c(C)c2)cc1 ZINC000920179611 1052925021 /nfs/dbraw/zinc/92/50/21/1052925021.db2.gz KBPMJWJPPOFXHX-UHFFFAOYSA-N 0 3 313.441 4.391 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNC[C@H]1C[C@H]1C ZINC000920179420 1052925384 /nfs/dbraw/zinc/92/53/84/1052925384.db2.gz GHSARMIGJTWQQD-ZYHUDNBSSA-N 0 3 324.255 4.233 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNC[C@@H]1C[C@H]1C ZINC000920179419 1052925616 /nfs/dbraw/zinc/92/56/16/1052925616.db2.gz GHSARMIGJTWQQD-PWSUYJOCSA-N 0 3 324.255 4.233 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccccc2N2CCCCC2)cc1 ZINC000920182240 1052926005 /nfs/dbraw/zinc/92/60/05/1052926005.db2.gz KQFWHPCXNBDCSW-QGZVFWFLSA-N 0 3 324.468 4.020 20 0 DIADHN CCCc1ccccc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001227172391 1052968033 /nfs/dbraw/zinc/96/80/33/1052968033.db2.gz KFFJOHJXUVRYPZ-SJLPKXTDSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)C[C@@H](NCc1cccc2[nH]c(=O)oc21)c1ccccc1 ZINC000921488326 1052973001 /nfs/dbraw/zinc/97/30/01/1052973001.db2.gz ROIMBGTXAYCBBO-QGZVFWFLSA-N 0 3 310.397 4.410 20 0 DIADHN CC(C)C[C@H](NCc1cccc2[nH]c(=O)oc21)c1ccccc1 ZINC000921488325 1052973228 /nfs/dbraw/zinc/97/32/28/1052973228.db2.gz ROIMBGTXAYCBBO-KRWDZBQOSA-N 0 3 310.397 4.410 20 0 DIADHN CC(=O)[C@@H](C)Oc1ccc2ccccc2c1CN1CCCCC1 ZINC001227277423 1052978484 /nfs/dbraw/zinc/97/84/84/1052978484.db2.gz RNCKPLFGXLSELM-MRXNPFEDSA-N 0 3 311.425 4.182 20 0 DIADHN CC[C@H](C)c1ccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001227327097 1052982998 /nfs/dbraw/zinc/98/29/98/1052982998.db2.gz CCUIGFCCSWATSU-XKGZKEIXSA-N 0 3 317.473 4.222 20 0 DIADHN OC[C@@H]1CCCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000378782252 1052985914 /nfs/dbraw/zinc/98/59/14/1052985914.db2.gz FYXRBQVGKJUNEK-GFCCVEGCSA-N 0 3 309.862 4.149 20 0 DIADHN CC(C)N1CCC(Oc2ccc3c(c2)C(=O)c2ccccc2-3)CC1 ZINC001227426160 1052990378 /nfs/dbraw/zinc/99/03/78/1052990378.db2.gz XOKSRLHMSKYFHZ-UHFFFAOYSA-N 0 3 321.420 4.150 20 0 DIADHN CC(=O)c1ccc(O[C@H]2CCN(Cc3ccccc3)C[C@H]2C)cc1 ZINC001227463474 1052993499 /nfs/dbraw/zinc/99/34/99/1052993499.db2.gz BGJKVOSUWZDGIQ-IERDGZPVSA-N 0 3 323.436 4.179 20 0 DIADHN CCCCc1ccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001227620674 1053004738 /nfs/dbraw/zinc/00/47/38/1053004738.db2.gz PBSDSHOGMLVTAG-PUZFROQSSA-N 0 3 317.473 4.051 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(C)cc(C)c2)cc1 ZINC000615328923 1053013363 /nfs/dbraw/zinc/01/33/63/1053013363.db2.gz FSIBJNRCBWXWCI-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@@H](C)c1ccccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001227759089 1053014105 /nfs/dbraw/zinc/01/41/05/1053014105.db2.gz GAONXFSTIMHTIO-KZNAEPCWSA-N 0 3 317.473 4.222 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(OCC(F)(F)F)cc1 ZINC000615454390 1053017996 /nfs/dbraw/zinc/01/79/96/1053017996.db2.gz VWWFSWBVWSICJO-UHFFFAOYSA-N 0 3 309.331 4.260 20 0 DIADHN Cc1cc(F)cc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001227847056 1053021027 /nfs/dbraw/zinc/02/10/27/1053021027.db2.gz CTUBSTHKSKYHNT-WBVHZDCISA-N 0 3 314.404 4.134 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)(F)F)cc2)cc1F ZINC000237748160 1053024950 /nfs/dbraw/zinc/02/49/50/1053024950.db2.gz QNVXUQHUTOVKNL-UHFFFAOYSA-N 0 3 313.294 4.323 20 0 DIADHN C#CCOc1ccc(CN[C@@H]2CCc3c2cccc3Cl)cc1 ZINC000237788066 1053028611 /nfs/dbraw/zinc/02/86/11/1053028611.db2.gz KCQIEJNGXZUTLM-LJQANCHMSA-N 0 3 311.812 4.129 20 0 DIADHN C#CCOc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000237831137 1053030886 /nfs/dbraw/zinc/03/08/86/1053030886.db2.gz PABJILWCHQZZQH-LJQANCHMSA-N 0 3 311.812 4.129 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2cc3cc(C)c(C)cc3o2)cc1 ZINC000237898611 1053037468 /nfs/dbraw/zinc/03/74/68/1053037468.db2.gz JDTVYALYTCYXIS-IBGZPJMESA-N 0 3 309.409 4.181 20 0 DIADHN Cc1cc2cc(CNC[C@@](C)(O)c3cccs3)oc2cc1C ZINC000237909702 1053039394 /nfs/dbraw/zinc/03/93/94/1053039394.db2.gz HSIHLGMRFAQTPF-GOSISDBHSA-N 0 3 315.438 4.108 20 0 DIADHN C[C@@H](NC(c1cccs1)c1cccs1)[C@H]1CCCCO1 ZINC000379212283 1053042288 /nfs/dbraw/zinc/04/22/88/1053042288.db2.gz FNUDVGMRBJLIIP-CHWSQXEVSA-N 0 3 307.484 4.446 20 0 DIADHN C[C@H](CN[C@@H](C)c1cc2ccccc2o1)C(=O)OC(C)(C)C ZINC000616176579 1053048565 /nfs/dbraw/zinc/04/85/65/1053048565.db2.gz ZFVWBCUMPROGOV-OLZOCXBDSA-N 0 3 303.402 4.061 20 0 DIADHN c1c(CN2CCCC3(CCCC3)CC2)[nH]nc1-c1ccccn1 ZINC001474626715 1053067271 /nfs/dbraw/zinc/06/72/71/1053067271.db2.gz QIBQPIJDZMOOBR-UHFFFAOYSA-N 0 3 310.445 4.018 20 0 DIADHN C[C@H](CSCCF)NCc1c(Cl)oc2ccccc21 ZINC001474627181 1053067691 /nfs/dbraw/zinc/06/76/91/1053067691.db2.gz DNPDJVGIGLGZTK-SNVBAGLBSA-N 0 3 301.814 4.267 20 0 DIADHN Fc1ccccc1[C@@H](N[C@H]1CCCc2n[nH]cc21)C1CCCC1 ZINC000353392749 1053081851 /nfs/dbraw/zinc/08/18/51/1053081851.db2.gz HLNWHNJJGHWNNA-HKUYNNGSSA-N 0 3 313.420 4.447 20 0 DIADHN COC1=CC[C@@H](Oc2ccc3ccccc3c2CN(C)C)CC1 ZINC001228442014 1053104814 /nfs/dbraw/zinc/10/48/14/1053104814.db2.gz QBMYLGKYVFSTBM-QGZVFWFLSA-N 0 3 311.425 4.363 20 0 DIADHN CCC(=O)c1ccccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001228453828 1053108100 /nfs/dbraw/zinc/10/81/00/1053108100.db2.gz GWGJCQPZABGINL-IBGZPJMESA-N 0 3 324.332 4.468 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1cccc(Cl)c1)c1cccc(F)c1 ZINC001317892357 1053138356 /nfs/dbraw/zinc/13/83/56/1053138356.db2.gz RBHZNDZAOANAAS-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N(C)Cc1ccc2ccccc2c1 ZINC001318058883 1053147826 /nfs/dbraw/zinc/14/78/26/1053147826.db2.gz FWBCSGDJVVUAER-HXUWFJFHSA-N 0 3 324.468 4.063 20 0 DIADHN CC(C)Oc1cccc(Nc2ccccc2CN(C)C)c1F ZINC001212323719 1053163209 /nfs/dbraw/zinc/16/32/09/1053163209.db2.gz QCGAIJDTTXBQLX-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@H]4CCCC[C@H]4C3)n2)cs1 ZINC001318359223 1053164129 /nfs/dbraw/zinc/16/41/29/1053164129.db2.gz ORIWRURZAXXICH-CABCVRRESA-N 0 3 301.459 4.081 20 0 DIADHN Fc1cccc(Cl)c1CNCCCCOc1ccccc1 ZINC001318367097 1053164884 /nfs/dbraw/zinc/16/48/84/1053164884.db2.gz IMDSKWCTCBDIHO-UHFFFAOYSA-N 0 3 307.796 4.428 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc3ccoc3c2)C1 ZINC001228726065 1053167728 /nfs/dbraw/zinc/16/77/28/1053167728.db2.gz DTKNDOQPQIDAKH-WMLDXEAASA-N 0 3 308.381 4.042 20 0 DIADHN COc1cccc2cc(CNc3ccnc4ccccc43)oc21 ZINC001318480665 1053170628 /nfs/dbraw/zinc/17/06/28/1053170628.db2.gz JHCZJSDTLXDYLU-UHFFFAOYSA-N 0 3 304.349 4.024 20 0 DIADHN Cc1ccccc1OCCN1CCSC[C@H]1c1ccccc1 ZINC001318522783 1053173621 /nfs/dbraw/zinc/17/36/21/1053173621.db2.gz ORPODGZGRICEGJ-SFHVURJKSA-N 0 3 313.466 4.164 20 0 DIADHN Cc1cc(OC2CCN(Cc3ccccc3)CC2)ncc1Cl ZINC001228746557 1053174048 /nfs/dbraw/zinc/17/40/48/1053174048.db2.gz UTYNZGRXWBKXPT-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN c1ccc(CN2CCC(Oc3cnc4c(c3)CCCC4)CC2)cc1 ZINC001228779850 1053181415 /nfs/dbraw/zinc/18/14/15/1053181415.db2.gz YILSNDPVWLGACC-UHFFFAOYSA-N 0 3 322.452 4.004 20 0 DIADHN COc1cccc(Br)c1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001236670826 1053182509 /nfs/dbraw/zinc/18/25/09/1053182509.db2.gz WEQIOEKGBHNJSO-BETUJISGSA-N 0 3 324.262 4.080 20 0 DIADHN Fc1cc2[nH]ccc2cc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001228886472 1053198506 /nfs/dbraw/zinc/19/85/06/1053198506.db2.gz YSYDTJLWBHDMAG-KRWDZBQOSA-N 0 3 324.399 4.350 20 0 DIADHN Cc1ccc(C[C@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000181433025 1053207196 /nfs/dbraw/zinc/20/71/96/1053207196.db2.gz ZXPDWBOVOJNYCR-HOCLYGCPSA-N 0 3 318.376 4.191 20 0 DIADHN C[C@H](Oc1ccc2c(c1)CCN(C)C2)c1ccc(Cl)cc1 ZINC001228936629 1053208379 /nfs/dbraw/zinc/20/83/79/1053208379.db2.gz KCMGNRNJJZKTSU-ZDUSSCGKSA-N 0 3 301.817 4.468 20 0 DIADHN CCCN(C(=O)[C@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001351664403 1053209631 /nfs/dbraw/zinc/20/96/31/1053209631.db2.gz PHKHAZZFUYBMTN-HXUWFJFHSA-N 0 3 324.468 4.456 20 0 DIADHN CN1CCc2cc(O[C@@H]3CCc4ccc(Cl)cc43)ccc2C1 ZINC001228942991 1053210051 /nfs/dbraw/zinc/21/00/51/1053210051.db2.gz CCLGOXFTERUCEE-LJQANCHMSA-N 0 3 313.828 4.394 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001360294615 1053211249 /nfs/dbraw/zinc/21/12/49/1053211249.db2.gz MOUJBYKYASEWNS-JLAWEPINSA-N 0 3 322.537 4.172 20 0 DIADHN CC(=O)c1c(C)cccc1O[C@@H](CCN(C)C)c1cccs1 ZINC001228987721 1053217772 /nfs/dbraw/zinc/21/77/72/1053217772.db2.gz DDENAIDYPPDEAW-HNNXBMFYSA-N 0 3 317.454 4.331 20 0 DIADHN CCCCCN(Cc1nnc(-c2cc(C)oc2C)o1)C(C)C ZINC000089885628 1053218678 /nfs/dbraw/zinc/21/86/78/1053218678.db2.gz CPOZIGRFTLXTFY-UHFFFAOYSA-N 0 3 305.422 4.347 20 0 DIADHN CC(=O)c1c(C)cccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001228994602 1053220294 /nfs/dbraw/zinc/22/02/94/1053220294.db2.gz ZWFHLMFDPSYSSW-LJQANCHMSA-N 0 3 324.332 4.387 20 0 DIADHN N[C@H](CC(=O)N1CCCCC[C@H]1c1ccccc1)c1ccccc1 ZINC000163597610 1053221761 /nfs/dbraw/zinc/22/17/61/1053221761.db2.gz YWQSQAPCJZZZAP-UXHICEINSA-N 0 3 322.452 4.220 20 0 DIADHN c1ccc(CN2CCC[C@@H](Oc3ncccc3C3CC3)C2)cc1 ZINC001229014301 1053224771 /nfs/dbraw/zinc/22/47/71/1053224771.db2.gz CQHDYRQZDJYRCN-GOSISDBHSA-N 0 3 308.425 4.002 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@H](C)c1cc(F)ccc1F ZINC001319199036 1053226827 /nfs/dbraw/zinc/22/68/27/1053226827.db2.gz MNLGQTSCCVSZAL-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN CC(C)[C@@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccccc1Cl ZINC001360457276 1053229013 /nfs/dbraw/zinc/22/90/13/1053229013.db2.gz HLBYVKIJJHCFDX-IAGOWNOFSA-N 0 3 322.880 4.026 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(OC)c(F)c2)c1 ZINC000090724102 1053240672 /nfs/dbraw/zinc/24/06/72/1053240672.db2.gz SKRLOXKQWQHXLK-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3c2cccc3F)cc1F ZINC001319496444 1053247168 /nfs/dbraw/zinc/24/71/68/1053247168.db2.gz IZLPISBFDYMNQA-KRWDZBQOSA-N 0 3 303.352 4.093 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CC[C@@H]3CCCC[C@H]3C2)c1 ZINC001319681764 1053263268 /nfs/dbraw/zinc/26/32/68/1053263268.db2.gz JEXKIUWFDIETOH-KBPBESRZSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@@]1(CO)CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC001319712696 1053267151 /nfs/dbraw/zinc/26/71/51/1053267151.db2.gz SHGULTLEFLQLEX-GOSISDBHSA-N 0 3 319.445 4.149 20 0 DIADHN Fc1ccc(-c2cc(CNCCCC(F)(F)F)no2)c(F)c1 ZINC001352076906 1053273910 /nfs/dbraw/zinc/27/39/10/1053273910.db2.gz BFUMVPCTTJNMGW-UHFFFAOYSA-N 0 3 320.261 4.052 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC001319783653 1053274050 /nfs/dbraw/zinc/27/40/50/1053274050.db2.gz TZMNIOPHJPSOSD-SJORKVTESA-N 0 3 310.441 4.186 20 0 DIADHN COc1ccc(C)cc1CN1CCC(Nc2ccccc2)CC1 ZINC001319801387 1053275028 /nfs/dbraw/zinc/27/50/28/1053275028.db2.gz RQWNARNOIABZIY-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000252745378 1053278105 /nfs/dbraw/zinc/27/81/05/1053278105.db2.gz ONXDHNHOPKAOCF-XWIAVFTESA-N 0 3 319.399 4.196 20 0 DIADHN CN1CCC[C@@H](NCc2cnc3ccccc3c2)c2ccccc21 ZINC000449655536 1053284182 /nfs/dbraw/zinc/28/41/82/1053284182.db2.gz ZHCFMKFMCNIUKV-HXUWFJFHSA-N 0 3 317.436 4.296 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCC[S@](=O)C(C)(C)C ZINC000181764898 1053288391 /nfs/dbraw/zinc/28/83/91/1053288391.db2.gz CTESISWSVHNPGV-DMZKTXOQSA-N 0 3 321.486 4.193 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCC[S@@](=O)C(C)(C)C ZINC000181764880 1053289314 /nfs/dbraw/zinc/28/93/14/1053289314.db2.gz CTESISWSVHNPGV-WHEQGISXSA-N 0 3 321.486 4.193 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NC[C@H](O)c1ccsc1 ZINC000181786068 1053294641 /nfs/dbraw/zinc/29/46/41/1053294641.db2.gz OTWHYCIXFRIPDR-LRDDRELGSA-N 0 3 315.438 4.441 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NC[C@@H](O)c1ccsc1 ZINC000181786107 1053294920 /nfs/dbraw/zinc/29/49/20/1053294920.db2.gz OTWHYCIXFRIPDR-BLLLJJGKSA-N 0 3 315.438 4.441 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229307595 1053295088 /nfs/dbraw/zinc/29/50/88/1053295088.db2.gz YBPNMNUQAFBRGT-NRFANRHFSA-N 0 3 323.436 4.196 20 0 DIADHN Cc1ccc([C@H](C)Oc2ccc(C(=O)CCN(C)C)cc2)cc1 ZINC001229303632 1053295546 /nfs/dbraw/zinc/29/55/46/1053295546.db2.gz WPAAENMIYPFODG-INIZCTEOSA-N 0 3 311.425 4.269 20 0 DIADHN CN(C)CCC(=O)c1ccc(O[C@H](c2ccccc2)C2CC2)cc1 ZINC001229307181 1053296010 /nfs/dbraw/zinc/29/60/10/1053296010.db2.gz TYPUSSJNEPBSJQ-OAQYLSRUSA-N 0 3 323.436 4.351 20 0 DIADHN CC[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1ccccc1 ZINC001229307610 1053296153 /nfs/dbraw/zinc/29/61/53/1053296153.db2.gz YEFIPDJHNNXKSX-HXUWFJFHSA-N 0 3 311.425 4.351 20 0 DIADHN CC(C)=CCC[C@H](C)Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229304016 1053296444 /nfs/dbraw/zinc/29/64/44/1053296444.db2.gz YHJFMZZPGOMSOV-INIZCTEOSA-N 0 3 303.446 4.335 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2coc(-c3ccccc3)n2)o1 ZINC001320069903 1053299372 /nfs/dbraw/zinc/29/93/72/1053299372.db2.gz CSYQNTRHPZFDNL-KSSFIOAISA-N 0 3 322.408 4.390 20 0 DIADHN Cc1cnc(CNCC2(c3ccc(Cl)cc3)CCC2)s1 ZINC001200002118 1053311967 /nfs/dbraw/zinc/31/19/67/1053311967.db2.gz RYHJWIZZOYJNEU-UHFFFAOYSA-N 0 3 306.862 4.316 20 0 DIADHN Cc1cccc(C2(NCc3cnc(N(C)C)s3)CCCC2)c1 ZINC001200023496 1053319176 /nfs/dbraw/zinc/31/91/76/1053319176.db2.gz OLHXGGAFGMNXGM-UHFFFAOYSA-N 0 3 315.486 4.077 20 0 DIADHN Cc1csc(CCCCNCc2nc(C(C)(C)C)cs2)n1 ZINC001200037302 1053325305 /nfs/dbraw/zinc/32/53/05/1053325305.db2.gz VIZCZDBUWQVKBE-UHFFFAOYSA-N 0 3 323.531 4.318 20 0 DIADHN C[C@@H](O)CN(C)Cc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001320450126 1053335747 /nfs/dbraw/zinc/33/57/47/1053335747.db2.gz OCOGYMWWFBDQPE-SNVBAGLBSA-N 0 3 314.212 4.066 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN(c2c(C)cnc3ccccc32)C1 ZINC001320496558 1053338142 /nfs/dbraw/zinc/33/81/42/1053338142.db2.gz YAYMUXYNVZEROV-INIZCTEOSA-N 0 3 324.346 4.091 20 0 DIADHN Oc1ccc(Cl)cc1OC1CCN(Cc2ccccc2)CC1 ZINC001229544734 1053350622 /nfs/dbraw/zinc/35/06/22/1053350622.db2.gz NMPQWZNUOIRDFC-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN CC(C)c1nc(CN[C@@H](C)[C@H](c2ccccc2)N(C)C)cs1 ZINC001320638943 1053351255 /nfs/dbraw/zinc/35/12/55/1053351255.db2.gz USOBRWXYQCSRRR-WMLDXEAASA-N 0 3 317.502 4.048 20 0 DIADHN CCN1CCC[C@@H](Oc2c(C(C)=O)cccc2-c2ccccc2)C1 ZINC001229571162 1053356047 /nfs/dbraw/zinc/35/60/47/1053356047.db2.gz FPCZKSSPOVOBJT-GOSISDBHSA-N 0 3 323.436 4.419 20 0 DIADHN CN(C)Cc1ccccc1O[C@@H]1CCc2c1cc(F)cc2F ZINC001229701613 1053387724 /nfs/dbraw/zinc/38/77/24/1053387724.db2.gz KMCZRBAMMFWIDF-GOSISDBHSA-N 0 3 303.352 4.093 20 0 DIADHN Cc1cc(COc2ccccc2CN(C)C)ccc1OC(C)C ZINC001229701995 1053388095 /nfs/dbraw/zinc/38/80/95/1053388095.db2.gz NPUJDBFDUARUAP-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N(C)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001321193269 1053399744 /nfs/dbraw/zinc/39/97/44/1053399744.db2.gz KDAYLNCVNRBKJN-HNNXBMFYSA-N 0 3 323.415 4.419 20 0 DIADHN CCCCOc1ccc(CCN[C@@H](C)c2nccs2)cc1 ZINC000093398950 1053400700 /nfs/dbraw/zinc/40/07/00/1053400700.db2.gz QPKLNOGBDCUERW-AWEZNQCLSA-N 0 3 304.459 4.215 20 0 DIADHN C[C@@H](C[C@H](O)c1ccco1)NCc1c(Cl)oc2ccccc21 ZINC000763523483 1053417119 /nfs/dbraw/zinc/41/71/19/1053417119.db2.gz CMBLHSWVUJPNJS-FZMZJTMJSA-N 0 3 319.788 4.281 20 0 DIADHN CC(C)CCCC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001352869442 1053420733 /nfs/dbraw/zinc/42/07/33/1053420733.db2.gz VKGUULIJCATULV-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2ccccc2F)c(Cl)c1 ZINC001321654368 1053425480 /nfs/dbraw/zinc/42/54/80/1053425480.db2.gz SGVVVRKXWNTBFG-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@H](NC[C@]1(C)CCCCO1)c1nc2ccccc2n1C(F)F ZINC001474761857 1053429681 /nfs/dbraw/zinc/42/96/81/1053429681.db2.gz DGBXVJKANMIHLF-SJCJKPOMSA-N 0 3 323.387 4.041 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc3c(c2)CCC3)cnn1C(C)(C)C ZINC001321728549 1053430533 /nfs/dbraw/zinc/43/05/33/1053430533.db2.gz GMGDIFYUUDLELC-CQSZACIVSA-N 0 3 311.473 4.286 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccccc2)s1)C(F)(F)F ZINC001321800665 1053434692 /nfs/dbraw/zinc/43/46/92/1053434692.db2.gz LDRZYMNHWJWQAS-AWEZNQCLSA-N 0 3 315.360 4.082 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N(C)CCN1CCCCC1 ZINC001300155772 1053440676 /nfs/dbraw/zinc/44/06/76/1053440676.db2.gz WXSXODQUSMJRJA-IBGZPJMESA-N 0 3 310.526 4.317 20 0 DIADHN CCCC[C@H](C(=O)NC[C@@H](C)N(C)Cc1ccccc1)C(C)C ZINC001435166313 1053460760 /nfs/dbraw/zinc/46/07/60/1053460760.db2.gz JSFGTHUNKPSITB-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001435170896 1053461863 /nfs/dbraw/zinc/46/18/63/1053461863.db2.gz SHDFWYHUBWTBEO-XBMUEBEBSA-N 0 3 322.537 4.316 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccccc1N(C)C ZINC000093941860 1053465004 /nfs/dbraw/zinc/46/50/04/1053465004.db2.gz RXADJULGPLYCAF-MRXNPFEDSA-N 0 3 312.457 4.140 20 0 DIADHN C[C@H](CO)N(Cc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC001322157210 1053465174 /nfs/dbraw/zinc/46/51/74/1053465174.db2.gz GPVYEEJJZQHBDG-CQSZACIVSA-N 0 3 323.358 4.088 20 0 DIADHN C[C@H](NC[C@@H](O)c1cc(F)ccc1F)c1cc2ccccc2o1 ZINC000182625400 1053487736 /nfs/dbraw/zinc/48/77/36/1053487736.db2.gz MEROJDJNYBKQEO-MEDUHNTESA-N 0 3 317.335 4.095 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3csc(C)n3)cc2)n[nH]1 ZINC001322602506 1053525246 /nfs/dbraw/zinc/52/52/46/1053525246.db2.gz VZBUNQAQZIAMBK-GFCCVEGCSA-N 0 3 312.442 4.001 20 0 DIADHN CC[C@H](C(=O)N(CCN(C)C)C1CCCC1)C1CCC(C)CC1 ZINC001435415995 1053547953 /nfs/dbraw/zinc/54/79/53/1053547953.db2.gz LWVAQQWNIIDPGE-TVPLGVNVSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1occc1CN[C@H](C)CC(=O)Nc1ccccc1C(C)C ZINC000619766546 1053552506 /nfs/dbraw/zinc/55/25/06/1053552506.db2.gz USUOTXLWMXJEFR-CQSZACIVSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1ccccc1 ZINC000152959870 1053562436 /nfs/dbraw/zinc/56/24/36/1053562436.db2.gz AULWOOFLEIGXCC-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(F)cc32)c2c(n1)CCCC2 ZINC001200334623 1053568856 /nfs/dbraw/zinc/56/88/56/1053568856.db2.gz UUJXRBFOYFYZQS-IBGZPJMESA-N 0 3 310.416 4.185 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(SC(F)F)cc1)C(C)C ZINC000183016881 1053572027 /nfs/dbraw/zinc/57/20/27/1053572027.db2.gz SENNCUZQDSWJIS-UHFFFAOYSA-N 0 3 316.417 4.059 20 0 DIADHN COc1cccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)c1OC ZINC000153053332 1053573111 /nfs/dbraw/zinc/57/31/11/1053573111.db2.gz TZOTZRINEJYPII-PBHICJAKSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccccc1/C=C\CN1CCC(Nc2ccccc2)CC1 ZINC001323302160 1053573369 /nfs/dbraw/zinc/57/33/69/1053573369.db2.gz GEJPYDIDZZOUSD-CLFYSBASSA-N 0 3 322.452 4.285 20 0 DIADHN CCC(CC)[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccccc1 ZINC001353440457 1053573659 /nfs/dbraw/zinc/57/36/59/1053573659.db2.gz ZVKDQXCKNIIIRE-RBUKOAKNSA-N 0 3 316.489 4.153 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@H](C)c3c2cccc3C)c1OC ZINC000153053583 1053574229 /nfs/dbraw/zinc/57/42/29/1053574229.db2.gz TZOTZRINEJYPII-WMLDXEAASA-N 0 3 311.425 4.350 20 0 DIADHN Clc1cccc(-c2nc(CN3C[C@@H]4CCC[C@H]4C3)co2)c1 ZINC000153181405 1053590854 /nfs/dbraw/zinc/59/08/54/1053590854.db2.gz KIFXOROUOSUTHV-KBPBESRZSA-N 0 3 302.805 4.227 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H]2CCC[C@@H]2C1 ZINC000153291743 1053601494 /nfs/dbraw/zinc/60/14/94/1053601494.db2.gz JAFSTQPCODTPRJ-MRVWCRGKSA-N 0 3 317.820 4.183 20 0 DIADHN O=C(CN1C[C@@H]2CCC[C@H]2C1)Nc1ccccc1-c1ccccc1 ZINC000153313155 1053602077 /nfs/dbraw/zinc/60/20/77/1053602077.db2.gz SAQHWVCALXJBIV-ROUUACIJSA-N 0 3 320.436 4.024 20 0 DIADHN Cc1ccc(OCCNCc2cnc(C(C)(C)C)s2)c(C)c1 ZINC000620109259 1053607636 /nfs/dbraw/zinc/60/76/36/1053607636.db2.gz SVKYZALTEAQZOK-UHFFFAOYSA-N 0 3 318.486 4.226 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H]1CCCc2cnn(C(C)C)c21 ZINC001323966673 1053613350 /nfs/dbraw/zinc/61/33/50/1053613350.db2.gz GSMUCKYIDRSYHS-RBUKOAKNSA-N 0 3 309.457 4.427 20 0 DIADHN CCc1noc(C)c1CN1C[C@H]2CCCC[C@@]21c1ccccc1 ZINC001324058938 1053620236 /nfs/dbraw/zinc/62/02/36/1053620236.db2.gz DDHXDIGQZCVSAI-YLJYHZDGSA-N 0 3 310.441 4.447 20 0 DIADHN CC(C)CC1(C(=O)N2CCN(C3CCCCC3)CC2)CCCC1 ZINC001435629535 1053623294 /nfs/dbraw/zinc/62/32/94/1053623294.db2.gz SWMJBJVANMMVFU-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H]([NH2+]Cc1cc(Cl)ccc1OC)c1ccccc1[O-] ZINC000183311367 1053631579 /nfs/dbraw/zinc/63/15/79/1053631579.db2.gz HYMIXJNUJZTTCR-OAHLLOKOSA-N 0 3 305.805 4.295 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cccc(C(F)F)c1 ZINC001324334944 1053644423 /nfs/dbraw/zinc/64/44/23/1053644423.db2.gz KSBOQLKCMQAQCH-INIZCTEOSA-N 0 3 303.352 4.410 20 0 DIADHN Cc1ccc(C(=O)c2ccc(O[C@@H]3CCCN(C)CC3)cc2)cc1 ZINC001231022821 1053654419 /nfs/dbraw/zinc/65/44/19/1053654419.db2.gz JWFWYTMBIAAIIQ-LJQANCHMSA-N 0 3 323.436 4.089 20 0 DIADHN COc1cc(CNCc2ccc(C(C)(F)F)cc2)ccc1F ZINC001324554959 1053662697 /nfs/dbraw/zinc/66/26/97/1053662697.db2.gz PQCWWXNMQNAUCF-UHFFFAOYSA-N 0 3 309.331 4.236 20 0 DIADHN COc1ccccc1C1(NCc2ccc3cnccc3c2)CCC1 ZINC001324793961 1053680450 /nfs/dbraw/zinc/68/04/50/1053680450.db2.gz VPGICGMSDIZDNW-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CCC[C@H](NCCOc1ccc2c(c1)CCC2)c1ccccn1 ZINC001324840422 1053682303 /nfs/dbraw/zinc/68/23/03/1053682303.db2.gz BEMDKKMQBDMJPI-IBGZPJMESA-N 0 3 310.441 4.080 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)[NH2+][C@@H](C)c1ccccc1[O-] ZINC000183608355 1053691091 /nfs/dbraw/zinc/69/10/91/1053691091.db2.gz KTUWVAAOEVQDQH-JCGVRSQUSA-N 0 3 319.832 4.472 20 0 DIADHN CO[C@H](C[NH2+][C@H](C)c1ccccc1[O-])c1cccc(Cl)c1 ZINC000183613574 1053692020 /nfs/dbraw/zinc/69/20/20/1053692020.db2.gz UVICHYVMAKUYHO-SJKOYZFVSA-N 0 3 305.805 4.084 20 0 DIADHN C[C@@H]([NH2+]C[C@H](C)Oc1ccccc1Cl)c1ccccc1[O-] ZINC000183613298 1053692266 /nfs/dbraw/zinc/69/22/66/1053692266.db2.gz GEGHDLBLNBLAAY-QWHCGFSZSA-N 0 3 305.805 4.164 20 0 DIADHN CCn1nccc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC001200485185 1053695555 /nfs/dbraw/zinc/69/55/55/1053695555.db2.gz AWONPHIUUOBBKJ-CSMYWGQOSA-N 0 3 303.837 4.043 20 0 DIADHN C[C@@H](NCc1cccn1C1CC1)c1ccc(Br)cc1 ZINC001325116743 1053699740 /nfs/dbraw/zinc/69/97/40/1053699740.db2.gz DOGHRVDQVVSOPO-GFCCVEGCSA-N 0 3 319.246 4.436 20 0 DIADHN COCCOc1ccc(CN2CCC[C@@H]2c2ccsc2)cc1 ZINC000153562157 1053700325 /nfs/dbraw/zinc/70/03/25/1053700325.db2.gz YYOZHOWUCIDVRW-GOSISDBHSA-N 0 3 317.454 4.110 20 0 DIADHN CCOc1cc(Cl)ccc1C[NH2+][C@H](C)c1ccccc1[O-] ZINC000183627316 1053700463 /nfs/dbraw/zinc/70/04/63/1053700463.db2.gz ZGURKDAAPGKXHW-GFCCVEGCSA-N 0 3 305.805 4.295 20 0 DIADHN CC[NH+](CC)C[C@@H](C)Oc1ccccc1Oc1ccccc1[O-] ZINC001231219371 1053703644 /nfs/dbraw/zinc/70/36/44/1053703644.db2.gz WMKIUAZECPCMJD-OAHLLOKOSA-N 0 3 315.413 4.294 20 0 DIADHN CC[C@H](NCc1c(C)nn(CC)c1C)c1ccc(Cl)cc1 ZINC000307966281 1053720470 /nfs/dbraw/zinc/72/04/70/1053720470.db2.gz JCAGZWUFOCPSFT-KRWDZBQOSA-N 0 3 305.853 4.414 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(O)c2)c2ccccc12 ZINC000154408362 1053736880 /nfs/dbraw/zinc/73/68/80/1053736880.db2.gz YZQPWGDOXVTNMF-AWEZNQCLSA-N 0 3 307.393 4.405 20 0 DIADHN CCCN(C(=O)CN(C)C(C)C)[C@@H](C)c1cc2ccccc2o1 ZINC000620774514 1053740461 /nfs/dbraw/zinc/74/04/61/1053740461.db2.gz RQPAAJNOEQIDLK-HNNXBMFYSA-N 0 3 316.445 4.073 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)OCO3)cc1Cl ZINC001231423870 1053746364 /nfs/dbraw/zinc/74/63/64/1053746364.db2.gz KPTAZXGOJYYYFJ-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN COc1c(F)ccc(F)c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001231414249 1053747188 /nfs/dbraw/zinc/74/71/88/1053747188.db2.gz LOCIABIZDFMDTA-GFCCVEGCSA-N 0 3 313.363 4.078 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(Cl)c2F)c(CN(C)C)c1 ZINC000154861381 1053751317 /nfs/dbraw/zinc/75/13/17/1053751317.db2.gz OMNKTFJZTKKHFW-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000155018571 1053756914 /nfs/dbraw/zinc/75/69/14/1053756914.db2.gz VDKPKTGCKOWVNL-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc(F)c(OC)c1 ZINC001326124010 1053758164 /nfs/dbraw/zinc/75/81/64/1053758164.db2.gz JFLWTQNBGZXMNU-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)c(C)cc2C)cc1OC ZINC001231484647 1053764577 /nfs/dbraw/zinc/76/45/77/1053764577.db2.gz RUFAOLSUSVIUON-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN C[C@@H](N[C@H]1CCCc2[nH]c(=O)ccc21)c1cc2ccccc2o1 ZINC000268726157 1053766806 /nfs/dbraw/zinc/76/68/06/1053766806.db2.gz KQHIKUIQEUTUST-DOMZBBRYSA-N 0 3 308.381 4.262 20 0 DIADHN C1=CC2(CCN(Cc3c[nH]c4cccnc34)CC2)c2ccccc21 ZINC001231487852 1053767173 /nfs/dbraw/zinc/76/71/73/1053767173.db2.gz RDXBUBXKPHAHLC-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000268693817 1053767603 /nfs/dbraw/zinc/76/76/03/1053767603.db2.gz AIKKMIYCEHTZQS-CVEARBPZSA-N 0 3 321.424 4.293 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(C)o1)c1ccccc1Cl ZINC000620875729 1053768998 /nfs/dbraw/zinc/76/89/98/1053768998.db2.gz QEBQKBLMJAMBSQ-GOSISDBHSA-N 0 3 320.864 4.414 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(OCC(F)(F)F)c2)cc1 ZINC000155243704 1053771226 /nfs/dbraw/zinc/77/12/26/1053771226.db2.gz BZMZSCJMOBJVTQ-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN CCCOc1ccc(CNCc2ccc(F)c(OC)c2)c(C)c1 ZINC001326279903 1053771613 /nfs/dbraw/zinc/77/16/13/1053771613.db2.gz JNXHTXTYJCQIFY-UHFFFAOYSA-N 0 3 317.404 4.221 20 0 DIADHN Cc1cccc([C@H](C)NCc2cccc(N3CCCC3=O)c2)c1C ZINC000155268341 1053771752 /nfs/dbraw/zinc/77/17/52/1053771752.db2.gz ZPHKAQYAMBNBIC-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN CCOC(=O)C1(C(C)C)CCN(Cc2ccc(CC)s2)CC1 ZINC001231503302 1053771893 /nfs/dbraw/zinc/77/18/93/1053771893.db2.gz WUICAWGPBXBVIE-UHFFFAOYSA-N 0 3 323.502 4.112 20 0 DIADHN Cc1cccc(C[N@@H+](C)Cc2ccc([O-])c(C(F)(F)F)c2)c1 ZINC001231524866 1053779864 /nfs/dbraw/zinc/77/98/64/1053779864.db2.gz XCZICFRZKAEGRG-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1cccc(C[N@H+](C)Cc2ccc([O-])c(C(F)(F)F)c2)c1 ZINC001231524866 1053779872 /nfs/dbraw/zinc/77/98/72/1053779872.db2.gz XCZICFRZKAEGRG-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(O)c(C(F)(F)F)c2)c1 ZINC001231524866 1053779882 /nfs/dbraw/zinc/77/98/82/1053779882.db2.gz XCZICFRZKAEGRG-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2cccc(C(=O)NC)c2)cc1 ZINC000155834108 1053787835 /nfs/dbraw/zinc/78/78/35/1053787835.db2.gz JRSYPPNDNSDXSI-INIZCTEOSA-N 0 3 324.468 4.240 20 0 DIADHN CC(C)CN(C)[C@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000620937658 1053793544 /nfs/dbraw/zinc/79/35/44/1053793544.db2.gz LYMDTKKHEMDKEK-QGZVFWFLSA-N 0 3 324.468 4.192 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2ccc(OC(F)F)cc2)cc1 ZINC000620934125 1053796836 /nfs/dbraw/zinc/79/68/36/1053796836.db2.gz JSCWRKXWEFBDIT-QGZVFWFLSA-N 0 3 319.351 4.331 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001436158209 1053797720 /nfs/dbraw/zinc/79/77/20/1053797720.db2.gz PTWCSCSPWPCBIU-SJORKVTESA-N 0 3 310.526 4.220 20 0 DIADHN COC[C@H](N[C@H](C)[C@@H](C)c1ccc(OC)cc1)c1ccc(C)o1 ZINC000156469805 1053810752 /nfs/dbraw/zinc/81/07/52/1053810752.db2.gz UANASPOZAIGTMT-RKVPGOIHSA-N 0 3 317.429 4.066 20 0 DIADHN COC[C@H](N[C@@H](C)[C@@H](C)c1ccc(OC)cc1)c1ccc(C)o1 ZINC000156469560 1053810993 /nfs/dbraw/zinc/81/09/93/1053810993.db2.gz UANASPOZAIGTMT-VKJFTORMSA-N 0 3 317.429 4.066 20 0 DIADHN Cc1sc2ccccc2c1CN1CCc2cc(O)ccc2C1 ZINC001231645156 1053813555 /nfs/dbraw/zinc/81/35/55/1053813555.db2.gz SGIRZPBJGASOIU-UHFFFAOYSA-N 0 3 309.434 4.474 20 0 DIADHN Cc1cccc2cc(CN3C[C@H]4CCC[C@@H]4C3)c(Cl)nc12 ZINC001231644777 1053814942 /nfs/dbraw/zinc/81/49/42/1053814942.db2.gz BOQNMBCNPSONMC-HUUCEWRRSA-N 0 3 300.833 4.429 20 0 DIADHN CN(Cc1cc2cc[nH]c2cn1)Cc1cccc2ccccc21 ZINC001231668239 1053829182 /nfs/dbraw/zinc/82/91/82/1053829182.db2.gz NSXNAOPDNVFGKX-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)CC(F)(F)F)cc1OCC ZINC000156931614 1053834412 /nfs/dbraw/zinc/83/44/12/1053834412.db2.gz NGDSKGVUGWMQME-VXGBXAGGSA-N 0 3 319.367 4.476 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cccc2ccoc21)c1ccccc1 ZINC001327211121 1053840814 /nfs/dbraw/zinc/84/08/14/1053840814.db2.gz VXBUSVDVNQUFQL-RTBURBONSA-N 0 3 309.409 4.281 20 0 DIADHN CC(C)Oc1ncccc1CN1CCC[C@H](c2ccccc2)C1 ZINC001231758077 1053847853 /nfs/dbraw/zinc/84/78/53/1053847853.db2.gz KZUHLGJQZSTJLF-SFHVURJKSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)Sc1nc2sccn2c1CN(C)C1CCCC1 ZINC001231777318 1053852030 /nfs/dbraw/zinc/85/20/30/1053852030.db2.gz GDSZKUKRCLIQEB-UHFFFAOYSA-N 0 3 309.504 4.271 20 0 DIADHN CC(C)Sc1nc2sccn2c1CN1C[C@H]2CCC[C@@H]2C1 ZINC001231774265 1053853062 /nfs/dbraw/zinc/85/30/62/1053853062.db2.gz OZAOLEVTIWGAQH-CHWSQXEVSA-N 0 3 321.515 4.128 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccccc1SC ZINC001231786272 1053857007 /nfs/dbraw/zinc/85/70/07/1053857007.db2.gz ROIDCLFPBVHYCA-UHFFFAOYSA-N 0 3 301.455 4.439 20 0 DIADHN CSc1ccccc1CN1CC[C@@H](Oc2ccc(F)cc2)C1 ZINC001231785555 1053857201 /nfs/dbraw/zinc/85/72/01/1053857201.db2.gz SWIAERDFKPIXSK-QGZVFWFLSA-N 0 3 317.429 4.201 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1C2CCCC1CCC2 ZINC001231800882 1053860126 /nfs/dbraw/zinc/86/01/26/1053860126.db2.gz RHQDSGFPDKBQJR-UHFFFAOYSA-N 0 3 307.441 4.359 20 0 DIADHN CSc1ccccc1CN1CC[C@H](C(=O)c2ccccc2)C1 ZINC001231788052 1053861551 /nfs/dbraw/zinc/86/15/51/1053861551.db2.gz UVAYALWASGFBCX-KRWDZBQOSA-N 0 3 311.450 4.113 20 0 DIADHN CC(C)(C)CCCNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001200661162 1053862812 /nfs/dbraw/zinc/86/28/12/1053862812.db2.gz JDGFKPUKACCRRV-UHFFFAOYSA-N 0 3 317.399 4.154 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(OC(C)(C)C)cc2)CC1 ZINC001231807145 1053864267 /nfs/dbraw/zinc/86/42/67/1053864267.db2.gz WXKBQTYFXSDZBR-UHFFFAOYSA-N 0 3 305.462 4.253 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H](C)C3CCC3)n2)ccc1F ZINC000157709753 1053864692 /nfs/dbraw/zinc/86/46/92/1053864692.db2.gz JPCPDANERCKNFV-RYUDHWBXSA-N 0 3 303.381 4.023 20 0 DIADHN CC(C)(C)Oc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1 ZINC001231804051 1053866244 /nfs/dbraw/zinc/86/62/44/1053866244.db2.gz SKWHKNJVLINPKA-HNNXBMFYSA-N 0 3 315.379 4.433 20 0 DIADHN CO[C@@H](CN[C@@H](c1nc(C)cs1)C1CC1)C1CCCCC1 ZINC000660215942 1053868507 /nfs/dbraw/zinc/86/85/07/1053868507.db2.gz YCYGQNUXBFCENH-JKSUJKDBSA-N 0 3 308.491 4.088 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1c[nH]nc1-c1cccs1 ZINC000157815424 1053871390 /nfs/dbraw/zinc/87/13/90/1053871390.db2.gz RFTXBEFCAUBTQI-NSHDSACASA-N 0 3 303.456 4.393 20 0 DIADHN CCOc1ccc(CN(C)[C@H](C)c2cccs2)cc1OC ZINC000157862286 1053871687 /nfs/dbraw/zinc/87/16/87/1053871687.db2.gz ULJQFTYLFIUKLW-CYBMUJFWSA-N 0 3 305.443 4.348 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000157892007 1053876051 /nfs/dbraw/zinc/87/60/51/1053876051.db2.gz FVWFDEWCQJTUEZ-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN O[C@@H]1CCCN(Cc2ccc(Oc3ccc(Cl)cc3)cc2)C1 ZINC001231816220 1053876713 /nfs/dbraw/zinc/87/67/13/1053876713.db2.gz VHBMDXUQBLGEIJ-MRXNPFEDSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC3CCCCC3)nc2)C2(CC2)C1 ZINC001231872882 1053900416 /nfs/dbraw/zinc/90/04/16/1053900416.db2.gz QYSNMUNKKLOJQM-OAHLLOKOSA-N 0 3 300.446 4.168 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1ccncc1F ZINC000671123450 1053901099 /nfs/dbraw/zinc/90/10/99/1053901099.db2.gz UDYJUJNRDGDJIO-UXIGCNINSA-N 0 3 304.796 4.471 20 0 DIADHN CC(C)(O)c1ccc(CNCc2cccc(C(F)F)c2)cc1 ZINC001327999153 1053901446 /nfs/dbraw/zinc/90/14/46/1053901446.db2.gz PMEIFLCDSJYHJG-UHFFFAOYSA-N 0 3 305.368 4.141 20 0 DIADHN C[C@H](NCCc1csc(-c2ccccc2)n1)c1ccccn1 ZINC000158510340 1053906328 /nfs/dbraw/zinc/90/63/28/1053906328.db2.gz TZEOGCHAGZNVCN-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CC[C@@H]3CCC[C@@H]3C2)cn1 ZINC001231898975 1053908428 /nfs/dbraw/zinc/90/84/28/1053908428.db2.gz BPAREIPTZJRNLE-WDEREUQCSA-N 0 3 318.770 4.376 20 0 DIADHN COc1cccc2c(CN3CCC4(CC[C@@H](F)C4)CC3)c[nH]c21 ZINC001231899918 1053909344 /nfs/dbraw/zinc/90/93/44/1053909344.db2.gz YNJVXWFXDUSRCY-OAHLLOKOSA-N 0 3 316.420 4.281 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ccnc(C(F)F)c2)cc1 ZINC001231895417 1053910247 /nfs/dbraw/zinc/91/02/47/1053910247.db2.gz NJQJKLWDRZUNAI-INIZCTEOSA-N 0 3 320.358 4.365 20 0 DIADHN CCCCN(CCCC)Cc1cc(Br)ncc1F ZINC001231917893 1053916049 /nfs/dbraw/zinc/91/60/49/1053916049.db2.gz JBXFWVPVVCMOFX-UHFFFAOYSA-N 0 3 317.246 4.385 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc43)CC2)cnc1F ZINC001231924975 1053916589 /nfs/dbraw/zinc/91/65/89/1053916589.db2.gz KAXLZKKINXIVIQ-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1cc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)cnc1F ZINC001231926280 1053917183 /nfs/dbraw/zinc/91/71/83/1053917183.db2.gz QJQIQKLKQWDASV-ZDUSSCGKSA-N 0 3 318.823 4.344 20 0 DIADHN Cc1cccc2cc(CNCC(C)(C)C[C@@H](C)O)c(Cl)nc12 ZINC001200715361 1053919213 /nfs/dbraw/zinc/91/92/13/1053919213.db2.gz YKUSKHNDHKSQBY-CYBMUJFWSA-N 0 3 320.864 4.083 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN1CCC2(CC2)CC1 ZINC001231930583 1053919235 /nfs/dbraw/zinc/91/92/35/1053919235.db2.gz QEPQJOCSIIGSGL-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1cncc(Cl)c1 ZINC000621250892 1053924297 /nfs/dbraw/zinc/92/42/97/1053924297.db2.gz WQZVOJZATSOXGB-UHFFFAOYSA-N 0 3 318.848 4.164 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3ccc4ccnc(Cl)c4c3)C[C@@H]21 ZINC001231978283 1053926369 /nfs/dbraw/zinc/92/63/69/1053926369.db2.gz FPTOKIHQYJHEKE-HIFRSBDPSA-N 0 3 322.786 4.365 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Oc3ccccc3C)C2)cc(C)n1 ZINC001232000340 1053932603 /nfs/dbraw/zinc/93/26/03/1053932603.db2.gz IUCWHQMGNMLRRV-LJQANCHMSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cc(CN2CC[C@H](c3cccc(Cl)c3)C2)cc(C)n1 ZINC001232002048 1053935005 /nfs/dbraw/zinc/93/50/05/1053935005.db2.gz WOBZYNBTOGFGAT-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cc3c[nH]ccc-3n2)c1 ZINC001232013842 1053938501 /nfs/dbraw/zinc/93/85/01/1053938501.db2.gz GXGPELQJVPINJC-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC[C@H](C(F)(F)F)C1 ZINC001232006631 1053940734 /nfs/dbraw/zinc/94/07/34/1053940734.db2.gz LAKRWPMEPCYPIH-LBPRGKRZSA-N 0 3 311.269 4.398 20 0 DIADHN Cc1cnc2ccccc2c1N1C[C@@H](C)O[C@H](CC(F)(F)F)C1 ZINC001328601060 1053941321 /nfs/dbraw/zinc/94/13/21/1053941321.db2.gz IVUBENRFPLUAFT-CHWSQXEVSA-N 0 3 324.346 4.089 20 0 DIADHN C[C@H]1CCCN(Cc2c[nH]c3c2cccc3Br)C1 ZINC001232016645 1053942375 /nfs/dbraw/zinc/94/23/75/1053942375.db2.gz RDDFFCCEKIKSAA-NSHDSACASA-N 0 3 307.235 4.162 20 0 DIADHN COc1cccc2[nH]cc(CN3CCc4ccccc4[C@@H]3C)c21 ZINC001232034490 1053942916 /nfs/dbraw/zinc/94/29/16/1053942916.db2.gz LECGADZOKHBLRZ-AWEZNQCLSA-N 0 3 306.409 4.296 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(C(F)F)cc1 ZINC000316498366 1053943296 /nfs/dbraw/zinc/94/32/96/1053943296.db2.gz QPAFEFRQCBPANI-UHFFFAOYSA-N 0 3 305.368 4.451 20 0 DIADHN CCOc1cncc(CN[C@@H](C)c2cccc3ccccc32)c1 ZINC000621325656 1053946617 /nfs/dbraw/zinc/94/66/17/1053946617.db2.gz FPWXIWGDNSZQTN-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN CC(C)CN(Cc1c(Cl)n(C)nc1C(F)F)CC(C)C ZINC001232051551 1053947532 /nfs/dbraw/zinc/94/75/32/1053947532.db2.gz KVCHOTIVMZJCAB-UHFFFAOYSA-N 0 3 307.816 4.125 20 0 DIADHN Oc1cncc(CN(CCc2ccccc2)Cc2ccccc2)c1 ZINC001232044829 1053949564 /nfs/dbraw/zinc/94/95/64/1053949564.db2.gz OCNSJRVPBSLSLQ-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN CCOc1cncc(CN[C@H]2c3cccc(F)c3CC[C@@H]2C)c1 ZINC000621339615 1053952676 /nfs/dbraw/zinc/95/26/76/1053952676.db2.gz FZEUNTAFOIGRAC-ORAYPTAESA-N 0 3 314.404 4.033 20 0 DIADHN CCCCN(CCOCC(F)(F)F)Cc1ccccc1F ZINC000621318470 1053956728 /nfs/dbraw/zinc/95/67/28/1053956728.db2.gz IHZSWPNAWFKFAL-UHFFFAOYSA-N 0 3 307.331 4.007 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-n3cccn3)cc2)ccc1F ZINC001200773087 1053961307 /nfs/dbraw/zinc/96/13/07/1053961307.db2.gz FPEFNDMETFXHJT-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cc4ccncc4[nH]3)C2)c1 ZINC001232095272 1053962105 /nfs/dbraw/zinc/96/21/05/1053962105.db2.gz ANXIBOGMNDPIQH-HNNXBMFYSA-N 0 3 311.816 4.206 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)C)cc1)C(=O)Nc1ccccc1 ZINC000621352521 1053962929 /nfs/dbraw/zinc/96/29/29/1053962929.db2.gz NHSZSZZXHHOZKB-HNNXBMFYSA-N 0 3 310.441 4.101 20 0 DIADHN CCCc1ccc(CNCc2ccnc(C(F)(F)F)c2)s1 ZINC000621358821 1053966092 /nfs/dbraw/zinc/96/60/92/1053966092.db2.gz VUOPVUMLRQDRNY-UHFFFAOYSA-N 0 3 314.376 4.404 20 0 DIADHN c1nc(-c2cccnc2)oc1CN1CCCC[C@@H]1c1ccccc1 ZINC001232130864 1053967226 /nfs/dbraw/zinc/96/72/26/1053967226.db2.gz KLVQVGWYLXTJCQ-LJQANCHMSA-N 0 3 319.408 4.464 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2cnc(-c3cccnc3)o2)c1 ZINC001232137097 1053968333 /nfs/dbraw/zinc/96/83/33/1053968333.db2.gz HEGLEMCSQHFQDR-HNNXBMFYSA-N 0 3 311.410 4.135 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cnc(-c2cccnc2)o1 ZINC001232136391 1053969633 /nfs/dbraw/zinc/96/96/33/1053969633.db2.gz VBVHBLDZVUYYJZ-LJQANCHMSA-N 0 3 319.408 4.246 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc(-c3cccnc3)o2)c1 ZINC001232130808 1053969773 /nfs/dbraw/zinc/96/97/73/1053969773.db2.gz JOSPUMSTXCKJDV-IBGZPJMESA-N 0 3 319.408 4.382 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1CC2CCC1CC2 ZINC001232142838 1053970932 /nfs/dbraw/zinc/97/09/32/1053970932.db2.gz QLCWPACUUPZNLO-UHFFFAOYSA-N 0 3 319.246 4.305 20 0 DIADHN CN(Cc1nc(Cl)ccc1F)C12CC3CC(CC(C3)C1)C2 ZINC001232159836 1053974674 /nfs/dbraw/zinc/97/46/74/1053974674.db2.gz WXMPGEUIGMHPPV-UHFFFAOYSA-N 0 3 308.828 4.275 20 0 DIADHN COc1ccnc(Cl)c1CN1CCC[C@H]1C1CCCCC1 ZINC001232154336 1053977203 /nfs/dbraw/zinc/97/72/03/1053977203.db2.gz MNIQABOYVHBSCP-HNNXBMFYSA-N 0 3 308.853 4.288 20 0 DIADHN Cc1cnc(CN(CC(C)C)CC(C)C)c(Br)c1 ZINC001232173816 1053978184 /nfs/dbraw/zinc/97/81/84/1053978184.db2.gz OFNZZQALWMBEKN-UHFFFAOYSA-N 0 3 313.283 4.267 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(NC(C)=O)cc1C ZINC001232187949 1053981895 /nfs/dbraw/zinc/98/18/95/1053981895.db2.gz XMMJCTYOTVMHQA-UHFFFAOYSA-N 0 3 302.443 4.037 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccc(NC(C)=O)cc1C ZINC001232186851 1053982886 /nfs/dbraw/zinc/98/28/86/1053982886.db2.gz BIGHWLBLROUPMI-UHFFFAOYSA-N 0 3 314.404 4.115 20 0 DIADHN Fc1cccc2c(CN3CCC(c4ccccn4)CC3)ccnc12 ZINC001232210155 1053990103 /nfs/dbraw/zinc/99/01/03/1053990103.db2.gz YJOMFXUJLYBBCV-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC1(C)CN(Cc2ccnc3c(F)cccc23)CCC1(F)F ZINC001232208957 1053990450 /nfs/dbraw/zinc/99/04/50/1053990450.db2.gz CLPKJJPBFMVALC-UHFFFAOYSA-N 0 3 308.347 4.241 20 0 DIADHN Cc1cc(CNCc2ccc(O[C@H](C)C#N)cc2)ccc1Cl ZINC000184335345 1053992965 /nfs/dbraw/zinc/99/29/65/1053992965.db2.gz OHCNLUDLAMNUNN-CQSZACIVSA-N 0 3 314.816 4.229 20 0 DIADHN FC12C[C@@H]3C[C@H](C1)CC(NCc1cc(-c4ccco4)on1)(C3)C2 ZINC001354904980 1053999460 /nfs/dbraw/zinc/99/94/60/1053999460.db2.gz KRXAEGCXQQOQOP-NLKGSNSHSA-N 0 3 316.376 4.085 20 0 DIADHN CCCc1ccc(CN(CCCOC)Cc2ccncc2)s1 ZINC000621727393 1054002289 /nfs/dbraw/zinc/00/22/89/1054002289.db2.gz ARUFDNSKEGMAHM-UHFFFAOYSA-N 0 3 318.486 4.134 20 0 DIADHN Cc1cccc(CCN(C)Cc2ccnc(C(F)(F)F)c2)c1 ZINC001232241875 1054002794 /nfs/dbraw/zinc/00/27/94/1054002794.db2.gz VCSURMVHMATPTG-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN c1ccc2c(c1)ccnc2CN1CCCC[C@H]1c1cccnc1 ZINC001232255217 1054007787 /nfs/dbraw/zinc/00/77/87/1054007787.db2.gz VBASZRWDSCUAPB-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1nccc2ccccc21 ZINC001232252345 1054007819 /nfs/dbraw/zinc/00/78/19/1054007819.db2.gz RANSQZZNTKWDSD-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Oc1ccc(CN2CCCC[C@H]2c2cccnc2)c2cccnc12 ZINC001232279963 1054014865 /nfs/dbraw/zinc/01/48/65/1054014865.db2.gz UQTRTDFKGFFORT-SFHVURJKSA-N 0 3 319.408 4.063 20 0 DIADHN CC(C)c1noc(CCN[C@H](c2ccc(F)cc2F)C(C)C)n1 ZINC001330066652 1054018208 /nfs/dbraw/zinc/01/82/08/1054018208.db2.gz FVJBIINWPUVELC-INIZCTEOSA-N 0 3 323.387 4.001 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](Cc3ccccc3)C2)cn1 ZINC001232327936 1054019596 /nfs/dbraw/zinc/01/95/96/1054019596.db2.gz AUXVTUGUFAOWPD-KRWDZBQOSA-N 0 3 312.482 4.258 20 0 DIADHN Cc1cc(Br)ncc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001232320442 1054021621 /nfs/dbraw/zinc/02/16/21/1054021621.db2.gz VUTUPVSHNANDBQ-DZGCQCFKSA-N 0 3 323.278 4.307 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3ccccc32)c(C)n1 ZINC001232332468 1054023222 /nfs/dbraw/zinc/02/32/22/1054023222.db2.gz FLLHMSPCDQXGLL-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCN(Cc1ccc(SC)nc1)Cc1ccc(C)c(C)c1 ZINC001232329822 1054024619 /nfs/dbraw/zinc/02/46/19/1054024619.db2.gz GCSWETZGSCXTIK-UHFFFAOYSA-N 0 3 300.471 4.442 20 0 DIADHN CSc1cc(C)c(CN2CCC3(CC[C@H](F)C3)CC2)cn1 ZINC001232350933 1054025788 /nfs/dbraw/zinc/02/57/88/1054025788.db2.gz ZVANCNAOACTYBJ-HNNXBMFYSA-N 0 3 308.466 4.216 20 0 DIADHN CSc1cc(C)c(CN2CC[C@@H](c3cccc(F)c3)C2)cn1 ZINC001232348634 1054027819 /nfs/dbraw/zinc/02/78/19/1054027819.db2.gz TZYUXCVSAZQEGG-OAHLLOKOSA-N 0 3 316.445 4.241 20 0 DIADHN COc1cc(CN(C)CCc2ccccc2)c(OC)cc1Cl ZINC001232370509 1054030694 /nfs/dbraw/zinc/03/06/94/1054030694.db2.gz PAGOVDMRFPKSHY-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CC[C@@H](C)C2)c1 ZINC001232379734 1054032822 /nfs/dbraw/zinc/03/28/22/1054032822.db2.gz OWKCVBJSYDGOBN-MRXNPFEDSA-N 0 3 311.425 4.116 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CCCC3(CCC3)C2)c1 ZINC001232389580 1054037766 /nfs/dbraw/zinc/03/77/66/1054037766.db2.gz VFSURXCHHJSGAV-UHFFFAOYSA-N 0 3 303.446 4.249 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c(F)cccc32)ccc1F ZINC001330534809 1054040535 /nfs/dbraw/zinc/04/05/35/1054040535.db2.gz CMYYSBOUOAUBEI-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@@H](c4ccccc4)CC3)cn2c1 ZINC001232417615 1054041933 /nfs/dbraw/zinc/04/19/33/1054041933.db2.gz UUUOHEAKBMCQHC-QGZVFWFLSA-N 0 3 323.415 4.243 20 0 DIADHN CN(Cc1cc(OCc2ccccc2)no1)CC1CCCCC1 ZINC001232452781 1054049100 /nfs/dbraw/zinc/04/91/00/1054049100.db2.gz NJMMTFSBFAHBLY-UHFFFAOYSA-N 0 3 314.429 4.266 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]c3nccc(Cl)c23)CCC1(F)F ZINC001232456465 1054051433 /nfs/dbraw/zinc/05/14/33/1054051433.db2.gz UJWDDZFHLQZWKL-SNVBAGLBSA-N 0 3 313.779 4.084 20 0 DIADHN Cc1cc(O)c(C(C)C)cc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC000164289722 1054055907 /nfs/dbraw/zinc/05/59/07/1054055907.db2.gz WJYNGMSEBJITCN-ZNMIVQPWSA-N 0 3 318.461 4.024 20 0 DIADHN CC[C@H](NCc1cnn(CC(F)F)c1)c1ccc(Cl)cc1 ZINC000293083291 1054064162 /nfs/dbraw/zinc/06/41/62/1054064162.db2.gz VEVMFHVFNPTUBK-AWEZNQCLSA-N 0 3 313.779 4.043 20 0 DIADHN Cc1noc(C)c1CCN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000164874186 1054064348 /nfs/dbraw/zinc/06/43/48/1054064348.db2.gz JTYXMTKUNGZQKX-UHFFFAOYSA-N 0 3 313.228 4.273 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(Cc2ccccc2F)CC1 ZINC001232512386 1054064502 /nfs/dbraw/zinc/06/45/02/1054064502.db2.gz QLFGVKUHCXDCSS-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1cc2ccoc2cn1 ZINC001232553572 1054070959 /nfs/dbraw/zinc/07/09/59/1054070959.db2.gz YIRLOLAYNRBCRU-UHFFFAOYSA-N 0 3 300.789 4.156 20 0 DIADHN C[C@@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)[C@@H]1C ZINC001232543083 1054071235 /nfs/dbraw/zinc/07/12/35/1054071235.db2.gz WAXFFHSVOFZXQI-ZIAGYGMSSA-N 0 3 319.449 4.049 20 0 DIADHN CN(Cc1cc(OCc2ccccc2)ccn1)C[C@@H]1CC1(C)C ZINC001232589177 1054075666 /nfs/dbraw/zinc/07/56/66/1054075666.db2.gz ZVQIIPWVKNEUTI-KRWDZBQOSA-N 0 3 310.441 4.139 20 0 DIADHN CCCCCN(C)Cc1cccc(NC(=O)OC(C)(C)C)n1 ZINC001232599426 1054079973 /nfs/dbraw/zinc/07/99/73/1054079973.db2.gz DTFQALZHPDDAFX-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1nccc2ccccc21 ZINC001331531825 1054082135 /nfs/dbraw/zinc/08/21/35/1054082135.db2.gz DNQQSPDFNCGWRC-XOBRGWDASA-N 0 3 324.399 4.240 20 0 DIADHN FC1(F)COC2(C1)CCN(Cc1ccc3ccsc3c1)CC2 ZINC001232610347 1054082262 /nfs/dbraw/zinc/08/22/62/1054082262.db2.gz NZGBHUXUJPUOPT-UHFFFAOYSA-N 0 3 323.408 4.292 20 0 DIADHN Cc1ccc(O[C@@H]2CCN(Cc3ccc4ccsc4c3)C2)nc1 ZINC001232615054 1054083354 /nfs/dbraw/zinc/08/33/54/1054083354.db2.gz PRSRRMUJQIXORS-QGZVFWFLSA-N 0 3 324.449 4.258 20 0 DIADHN CC(C)c1nc(CCN(C)Cc2ccc3ccsc3c2)no1 ZINC001232610650 1054083420 /nfs/dbraw/zinc/08/34/20/1054083420.db2.gz VFOTUUICUHTZGN-UHFFFAOYSA-N 0 3 315.442 4.082 20 0 DIADHN COc1cccc(C)c1CN1CCC(Oc2ccccc2)CC1 ZINC001232619410 1054085275 /nfs/dbraw/zinc/08/52/75/1054085275.db2.gz ASOZCCKGNORDMD-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccccn1 ZINC000184579662 1054087494 /nfs/dbraw/zinc/08/74/94/1054087494.db2.gz UVCLWOGRVJDGDX-KKSFZXQISA-N 0 3 318.420 4.133 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccccn1 ZINC000184579681 1054087588 /nfs/dbraw/zinc/08/75/88/1054087588.db2.gz UVCLWOGRVJDGDX-IERDGZPVSA-N 0 3 318.420 4.133 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)[C@@H]1CC1(F)F ZINC001331727275 1054087833 /nfs/dbraw/zinc/08/78/33/1054087833.db2.gz YOQPPBBDAGTZMZ-RNSXUZJQSA-N 0 3 309.278 4.121 20 0 DIADHN COc1cccc(C)c1CN1CCC2(CC1)OCc1ccccc12 ZINC001232627835 1054088816 /nfs/dbraw/zinc/08/88/16/1054088816.db2.gz PWKPVSMVDAMJMU-UHFFFAOYSA-N 0 3 323.436 4.025 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1c(C)cccc1OC ZINC001232628790 1054089161 /nfs/dbraw/zinc/08/91/61/1054089161.db2.gz YLDJAMSKFWQHRJ-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN Cn1ncc(CN[C@H]2CCC(C)(C)c3ccccc32)c1C1CC1 ZINC001355251483 1054095137 /nfs/dbraw/zinc/09/51/37/1054095137.db2.gz FGORVNWYMDETCU-SFHVURJKSA-N 0 3 309.457 4.200 20 0 DIADHN CNc1ccccc1CN(C)Cc1cccc(Br)c1 ZINC001232669081 1054095752 /nfs/dbraw/zinc/09/57/52/1054095752.db2.gz SXYKGUKYRSWYJK-UHFFFAOYSA-N 0 3 319.246 4.123 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cncc2cnccc21 ZINC001232652774 1054095788 /nfs/dbraw/zinc/09/57/88/1054095788.db2.gz DGZJANPZEOGGLW-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN CCN(Cc1cc(F)c(Cl)cc1Cl)C1CCOCC1 ZINC001232700730 1054105586 /nfs/dbraw/zinc/10/55/86/1054105586.db2.gz PKWUWKHGWAPAPM-UHFFFAOYSA-N 0 3 306.208 4.133 20 0 DIADHN Cc1sccc1CN(C)Cc1cccc(Br)c1 ZINC001237964043 1054108424 /nfs/dbraw/zinc/10/84/24/1054108424.db2.gz CVZJPLPIQWAKDE-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN CC1(C)CCC2(CCN(Cc3ccc4ncsc4c3)CC2)O1 ZINC001232743549 1054112001 /nfs/dbraw/zinc/11/20/01/1054112001.db2.gz ACFRGBUMTDPLLB-UHFFFAOYSA-N 0 3 316.470 4.220 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc3ncsc32)cn1 ZINC001232737489 1054112531 /nfs/dbraw/zinc/11/25/31/1054112531.db2.gz ZYCPQBJLSIYCSB-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1ccc3ncsc3c1)CC2 ZINC001232744228 1054112798 /nfs/dbraw/zinc/11/27/98/1054112798.db2.gz NBFWUKRDQOEDFU-UHFFFAOYSA-N 0 3 314.454 4.022 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc2ncsc2c1 ZINC001232740598 1054113838 /nfs/dbraw/zinc/11/38/38/1054113838.db2.gz DEAJDXKLCZMDSD-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN Cc1c(Cl)nccc1CN(C)CCc1ccccc1Cl ZINC001232752763 1054116190 /nfs/dbraw/zinc/11/61/90/1054116190.db2.gz BKWTZVAVBDIGEO-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CC(C)[C@H](NCc1cnc(Cl)n1C)c1ccc(Cl)cc1 ZINC000848525480 1054124521 /nfs/dbraw/zinc/12/45/21/1054124521.db2.gz OQTUPZOHZHISHM-AWEZNQCLSA-N 0 3 312.244 4.214 20 0 DIADHN COc1cc(O)cc(CN2CC[C@H](c3ccccc3Cl)C2)c1 ZINC001232805190 1054124688 /nfs/dbraw/zinc/12/46/88/1054124688.db2.gz XNTHJBOMPMHALU-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN CCc1ccc([C@@H](NCc2cnc(Cl)n2C)C(C)C)cc1 ZINC000848523997 1054125381 /nfs/dbraw/zinc/12/53/81/1054125381.db2.gz CBRGQKUPISINLM-INIZCTEOSA-N 0 3 305.853 4.123 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](c1ccccc1)C1CCCCC1 ZINC000848529006 1054127240 /nfs/dbraw/zinc/12/72/40/1054127240.db2.gz RCDPUDRCORANER-QGZVFWFLSA-N 0 3 317.864 4.485 20 0 DIADHN CN(Cc1ccc(C(C)(C)O)nc1)Cc1cccc2ccccc21 ZINC001232829205 1054127652 /nfs/dbraw/zinc/12/76/52/1054127652.db2.gz KQGZCFNAXWCNMZ-UHFFFAOYSA-N 0 3 320.436 4.094 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](N[C@@H]1CCCc3nc(C)ncc31)CC2 ZINC000848528082 1054127754 /nfs/dbraw/zinc/12/77/54/1054127754.db2.gz JXZPAPIQAOXUHT-RTBURBONSA-N 0 3 307.441 4.056 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccccc2C(C)C)c1 ZINC000848528973 1054127767 /nfs/dbraw/zinc/12/77/67/1054127767.db2.gz QOECTLFPHLMKIB-UHFFFAOYSA-N 0 3 310.441 4.448 20 0 DIADHN COc1cc(CN2CCC[C@H]2c2ccccc2)c(OC)cc1C ZINC001232832305 1054128571 /nfs/dbraw/zinc/12/85/71/1054128571.db2.gz IDSCUVWIISXTKC-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](c1ccccc1)C1CCCC1 ZINC000848531631 1054129330 /nfs/dbraw/zinc/12/93/30/1054129330.db2.gz QFAQIEORBTWFJC-MRXNPFEDSA-N 0 3 303.837 4.095 20 0 DIADHN Cc1cccc2c1[C@H](N[C@@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC001332882346 1054129548 /nfs/dbraw/zinc/12/95/48/1054129548.db2.gz XRYYJRYOHSLIKB-QZTJIDSGSA-N 0 3 309.457 4.427 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnc(Cl)n1C ZINC000848536658 1054133054 /nfs/dbraw/zinc/13/30/54/1054133054.db2.gz SGBKSDUCZJNBTG-MRXNPFEDSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3cc(C)cc(O)c3)C2)cc1 ZINC001232884538 1054137735 /nfs/dbraw/zinc/13/77/35/1054137735.db2.gz PDBGRQBBIJBQSS-FQEVSTJZSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@@H]2CCCCN2C2CCCC2)c1 ZINC000622275728 1054141585 /nfs/dbraw/zinc/14/15/85/1054141585.db2.gz PSQNVJDHNDVAHR-SFHVURJKSA-N 0 3 300.446 4.039 20 0 DIADHN C[C@]1(CF)CCN(Cc2ccc(-c3cccc(F)c3)nc2)C1 ZINC001232885676 1054142076 /nfs/dbraw/zinc/14/20/76/1054142076.db2.gz LGLZVFBLXREPPW-GOSISDBHSA-N 0 3 302.368 4.069 20 0 DIADHN O=C(NC1CCCCCC1)c1cccc(CN2CCCCC2)c1 ZINC000622276490 1054142177 /nfs/dbraw/zinc/14/21/77/1054142177.db2.gz XUWGKTVKEDPIIU-UHFFFAOYSA-N 0 3 314.473 4.125 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H]1CC(C)(C)CCc2ccccc21 ZINC000848551119 1054144910 /nfs/dbraw/zinc/14/49/10/1054144910.db2.gz DNKMYDZIHWITEB-INIZCTEOSA-N 0 3 317.864 4.267 20 0 DIADHN CC(C)c1nc(CNCCc2cn(C)c3ccccc23)cs1 ZINC001333311820 1054150008 /nfs/dbraw/zinc/15/00/08/1054150008.db2.gz NNFRVNKVASHANV-UHFFFAOYSA-N 0 3 313.470 4.091 20 0 DIADHN c1nc2ccc(CN3CCC(COc4ccccc4)CC3)cc2o1 ZINC001232940550 1054151966 /nfs/dbraw/zinc/15/19/66/1054151966.db2.gz BJKAQMFTCWKPTC-UHFFFAOYSA-N 0 3 322.408 4.119 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232944485 1054152399 /nfs/dbraw/zinc/15/23/99/1054152399.db2.gz OSWJPPGFAKZHAU-ZDUSSCGKSA-N 0 3 307.356 4.480 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc3ncoc3c2)cc1 ZINC001232941807 1054153393 /nfs/dbraw/zinc/15/33/93/1054153393.db2.gz NHFLTOHOMOZRDP-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3ccc4ncoc4c3)C2)cc1 ZINC001232941172 1054154311 /nfs/dbraw/zinc/15/43/11/1054154311.db2.gz KLCGPZPEGHBINR-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN COc1cccc2cc(CN[C@H](C)c3ccc4c(c3)CCO4)oc21 ZINC001333506720 1054156308 /nfs/dbraw/zinc/15/63/08/1054156308.db2.gz DRYUSTSNABONAF-CYBMUJFWSA-N 0 3 323.392 4.227 20 0 DIADHN CC[C@H](NCc1ccc(CSC(F)F)o1)[C@@H]1CCCCO1 ZINC000848574615 1054157924 /nfs/dbraw/zinc/15/79/24/1054157924.db2.gz GOVJMNKYLOYVIZ-KBPBESRZSA-N 0 3 319.417 4.173 20 0 DIADHN CC[C@H](NCc1ccc(CSC(F)F)o1)[C@H]1CCCCO1 ZINC000848574617 1054158755 /nfs/dbraw/zinc/15/87/55/1054158755.db2.gz GOVJMNKYLOYVIZ-UONOGXRCSA-N 0 3 319.417 4.173 20 0 DIADHN CC[C@@H](NCc1ccc(CSC(F)F)o1)[C@H]1CCCCO1 ZINC000848574618 1054158928 /nfs/dbraw/zinc/15/89/28/1054158928.db2.gz GOVJMNKYLOYVIZ-ZIAGYGMSSA-N 0 3 319.417 4.173 20 0 DIADHN Fc1cccc([C@H](NCCCC(F)(F)F)c2ccccn2)c1 ZINC001333650142 1054164581 /nfs/dbraw/zinc/16/45/81/1054164581.db2.gz GUDGVUROUUPPPU-HNNXBMFYSA-N 0 3 312.310 4.242 20 0 DIADHN Cc1ncc(F)cc1CN1CCC2(CCc3ccccc32)CC1 ZINC001232987158 1054165706 /nfs/dbraw/zinc/16/57/06/1054165706.db2.gz HVWVTFVZYMBKEM-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1csc([C@@H](C)NC2CC(c3ccc(F)cc3F)C2)n1 ZINC001333668391 1054166213 /nfs/dbraw/zinc/16/62/13/1054166213.db2.gz MIJNIGHXGMYXPJ-XSRFYTQQSA-N 0 3 308.397 4.327 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CC2)O[C@H](C)c2ccccc23)cn1 ZINC001233009217 1054170880 /nfs/dbraw/zinc/17/08/80/1054170880.db2.gz NLKRKFKDFDSQRC-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@H](Oc3ccccc3C)C2)cn1 ZINC001233007637 1054171908 /nfs/dbraw/zinc/17/19/08/1054171908.db2.gz QOHOODFWEKJMRD-IBGZPJMESA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cccc(CN(Cc2cc(Cl)ncc2[O-])C2CC2)c1C ZINC001233037327 1054174096 /nfs/dbraw/zinc/17/40/96/1054174096.db2.gz CIBKVTBYJIEDKB-UHFFFAOYSA-N 0 3 316.832 4.222 20 0 DIADHN Cc1cccc(C[N@H+](Cc2cc(Cl)ncc2[O-])C2CC2)c1C ZINC001233037327 1054174097 /nfs/dbraw/zinc/17/40/97/1054174097.db2.gz CIBKVTBYJIEDKB-UHFFFAOYSA-N 0 3 316.832 4.222 20 0 DIADHN Cc1cccc(C[N@@H+](Cc2cc(Cl)ncc2[O-])C2CC2)c1C ZINC001233037327 1054174104 /nfs/dbraw/zinc/17/41/04/1054174104.db2.gz CIBKVTBYJIEDKB-UHFFFAOYSA-N 0 3 316.832 4.222 20 0 DIADHN FC(F)(F)c1cccc(-n2cc(CN3C4CCC3CC4)cn2)c1 ZINC001233041919 1054178029 /nfs/dbraw/zinc/17/80/29/1054178029.db2.gz ZEYVCYDVYNZOGP-UHFFFAOYSA-N 0 3 321.346 4.018 20 0 DIADHN CCOc1c(Cl)cc(CN(C)[C@@H]2CCCOC2)cc1Cl ZINC001233106562 1054186146 /nfs/dbraw/zinc/18/61/46/1054186146.db2.gz FOPBASNTTXVVFB-GFCCVEGCSA-N 0 3 318.244 4.003 20 0 DIADHN Cc1ccc(C)c2nc(Cl)c(CN3CC4CC(C4)C3)cc12 ZINC001233137792 1054191521 /nfs/dbraw/zinc/19/15/21/1054191521.db2.gz YPAJJXZPBOMVAD-UHFFFAOYSA-N 0 3 300.833 4.347 20 0 DIADHN CCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@H](CC)c1ccccc1 ZINC001355647667 1054193505 /nfs/dbraw/zinc/19/35/05/1054193505.db2.gz YTMGNUBRIXFNCT-MOPGFXCFSA-N 0 3 316.489 4.249 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cnc(C)c(C)c2)cc1 ZINC001233161652 1054194397 /nfs/dbraw/zinc/19/43/97/1054194397.db2.gz GOAATAIFHRISPN-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3csc(C(F)(F)F)c3)C[C@@H]21 ZINC001233176610 1054196421 /nfs/dbraw/zinc/19/64/21/1054196421.db2.gz NNMFHWPLCVXILA-AGIUHOORSA-N 0 3 319.392 4.014 20 0 DIADHN C[C@H](NC1CC2(C1)CO[C@@H](C)C2)c1nc(C(C)(C)C)cs1 ZINC001335209781 1054210387 /nfs/dbraw/zinc/21/03/87/1054210387.db2.gz DPZRAGNXFFOONQ-LZSIIWAZSA-N 0 3 308.491 4.049 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)cc1O ZINC001233234656 1054214362 /nfs/dbraw/zinc/21/43/62/1054214362.db2.gz CDISSVLIXALZCB-MRXNPFEDSA-N 0 3 323.436 4.283 20 0 DIADHN Cc1ccc(Cl)cc1CN1CC[C@H](Oc2ccncc2C)C1 ZINC001233250953 1054217045 /nfs/dbraw/zinc/21/70/45/1054217045.db2.gz HFDRUESUEVITJW-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN COCOc1cccc(CN(C)Cc2ccc3ccccc3c2)c1 ZINC001233283198 1054221707 /nfs/dbraw/zinc/22/17/07/1054221707.db2.gz WQWSOFCEZQLTKN-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(c2ccccn2)CC1 ZINC001335620290 1054221894 /nfs/dbraw/zinc/22/18/94/1054221894.db2.gz IEPLXRBBDNJXRI-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN CC(C)C[C@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000184860069 1054222000 /nfs/dbraw/zinc/22/20/00/1054222000.db2.gz NKMGPNNPXMEKIM-YOEHRIQHSA-N 0 3 322.408 4.169 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccc(F)cc3)CC2)sn1 ZINC001233312381 1054224469 /nfs/dbraw/zinc/22/44/69/1054224469.db2.gz PHMUYWZPOFYJNL-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN Cc1cnsc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001233321443 1054230885 /nfs/dbraw/zinc/23/08/85/1054230885.db2.gz OWLFITHJEGRZLE-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H](C)[C@@](C)(O)CC1 ZINC001233350673 1054244250 /nfs/dbraw/zinc/24/42/50/1054244250.db2.gz ORYIRTMIYHMWJZ-WBMJQRKESA-N 0 3 313.894 4.045 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H](C)[C@](C)(O)CC1 ZINC001233350672 1054246543 /nfs/dbraw/zinc/24/65/43/1054246543.db2.gz ORYIRTMIYHMWJZ-MLGOLLRUSA-N 0 3 313.894 4.045 20 0 DIADHN CSc1cccc(Cl)c1CN1CC(C)(C)C[C@@]1(C)CO ZINC001233350387 1054246868 /nfs/dbraw/zinc/24/68/68/1054246868.db2.gz FUVAUFYBIQSGGE-INIZCTEOSA-N 0 3 313.894 4.045 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H](c2cccnc2)C1 ZINC001233352400 1054246932 /nfs/dbraw/zinc/24/69/32/1054246932.db2.gz CICVHDRKCBINTA-AWEZNQCLSA-N 0 3 318.873 4.446 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1cccc2nccn21 ZINC001233363904 1054248522 /nfs/dbraw/zinc/24/85/22/1054248522.db2.gz GGBXFTSWMABGME-KRWDZBQOSA-N 0 3 323.465 4.393 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H](c2ccccn2)C1 ZINC001233352759 1054249658 /nfs/dbraw/zinc/24/96/58/1054249658.db2.gz RQCKPPKPOIEWPW-ZDUSSCGKSA-N 0 3 318.873 4.446 20 0 DIADHN Cc1ccc([C@H](CNc2ccc3ccccc3n2)N2CCCC2)o1 ZINC000506750299 1054259906 /nfs/dbraw/zinc/25/99/06/1054259906.db2.gz MBDWKRAWGDHAQD-SFHVURJKSA-N 0 3 321.424 4.385 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3)C2)c(Cl)c1O ZINC001233397659 1054260687 /nfs/dbraw/zinc/26/06/87/1054260687.db2.gz IEGCSKZBMAKNIT-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN Brc1cc(CN2C[C@@H]3CCCC[C@@H]3C2)cs1 ZINC001233412919 1054267232 /nfs/dbraw/zinc/26/72/32/1054267232.db2.gz ZNANNNMINPEFNO-TXEJJXNPSA-N 0 3 300.265 4.133 20 0 DIADHN CC[C@@H](C)N(C)Cc1cc(Br)c(Cl)cc1F ZINC001233439765 1054272663 /nfs/dbraw/zinc/27/26/63/1054272663.db2.gz QRHXMOKWBRMJMY-MRVPVSSYSA-N 0 3 308.622 4.472 20 0 DIADHN Cc1ncsc1CN[C@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC001337586383 1054275231 /nfs/dbraw/zinc/27/52/31/1054275231.db2.gz RTZIYYRZXRBBGG-HNNXBMFYSA-N 0 3 315.417 4.239 20 0 DIADHN CC1(C)CN(Cc2ccc3nc(Cl)ccc3c2)CC(C)(C)O1 ZINC001233445633 1054276063 /nfs/dbraw/zinc/27/60/63/1054276063.db2.gz NMVRYKCQDFOGNY-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN CCN1CC[C@@H](Oc2c(C(C)=O)cc(Cl)c3ccccc32)C1 ZINC001233542150 1054291220 /nfs/dbraw/zinc/29/12/20/1054291220.db2.gz MLTXKYDZWUDNAI-CYBMUJFWSA-N 0 3 317.816 4.169 20 0 DIADHN CC(=O)c1ccc2c(c1O[C@H]1CCCN(C(C)C)C1)CCCC2 ZINC001233547240 1054292602 /nfs/dbraw/zinc/29/26/02/1054292602.db2.gz PXWLGAFAXZVGHM-KRWDZBQOSA-N 0 3 315.457 4.020 20 0 DIADHN CCOC(CN1CCCC[C@@H]1c1cc2ccccc2[nH]1)OCC ZINC000184984227 1054303838 /nfs/dbraw/zinc/30/38/38/1054303838.db2.gz OFJDYCOJQUICJE-GOSISDBHSA-N 0 3 316.445 4.094 20 0 DIADHN Cc1cc([O-])c(Cl)cc1O[C@H]1C[C@H]2CC[C@@H](C1)[N@H+]2C(C)C ZINC001233593331 1054305130 /nfs/dbraw/zinc/30/51/30/1054305130.db2.gz VXVWUFGSBIZSGE-WDNDVIMCSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1cc([O-])c(Cl)cc1O[C@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2C(C)C ZINC001233593331 1054305149 /nfs/dbraw/zinc/30/51/49/1054305149.db2.gz VXVWUFGSBIZSGE-WDNDVIMCSA-N 0 3 309.837 4.137 20 0 DIADHN c1cc2cc(OC3CCN(Cc4ccccc4)CC3)ccc2o1 ZINC001233669651 1054327822 /nfs/dbraw/zinc/32/78/22/1054327822.db2.gz AAMSVVCILKYZFQ-UHFFFAOYSA-N 0 3 307.393 4.476 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc3occc3c2)C1 ZINC001233677527 1054329266 /nfs/dbraw/zinc/32/92/66/1054329266.db2.gz RAJVKUBWWQFZMP-RHSMWYFYSA-N 0 3 308.381 4.042 20 0 DIADHN C[C@@H](CC(=O)Nc1ccc(CN(C)C)cc1F)C1CCCCC1 ZINC000622704296 1054339077 /nfs/dbraw/zinc/33/90/77/1054339077.db2.gz WNILGERYHJNLJO-AWEZNQCLSA-N 0 3 320.452 4.432 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CC2CCC(C)(C)CC2)c(F)c1 ZINC000622703860 1054339432 /nfs/dbraw/zinc/33/94/32/1054339432.db2.gz CNITUMHQWFGPBG-UHFFFAOYSA-N 0 3 320.452 4.432 20 0 DIADHN Cn1cc(-c2ccc(CN[C@H]3CCc4cc(F)ccc43)cc2)cn1 ZINC001339600398 1054341318 /nfs/dbraw/zinc/34/13/18/1054341318.db2.gz VGJJQHJTRNZDTN-FQEVSTJZSA-N 0 3 321.399 4.003 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CN[C@H](C)c1ccsc1 ZINC001340075181 1054356049 /nfs/dbraw/zinc/35/60/49/1054356049.db2.gz XDIWLRQISVYFSR-GFCCVEGCSA-N 0 3 321.515 4.354 20 0 DIADHN Cc1cc(CN[C@@H](c2cccc(Cl)c2)C2CCCC2)[nH]n1 ZINC001340733902 1054366650 /nfs/dbraw/zinc/36/66/50/1054366650.db2.gz DQYLMADBPSQNOG-QGZVFWFLSA-N 0 3 303.837 4.393 20 0 DIADHN COCCCCCN(C)[C@@H](C)c1ccccc1Br ZINC000171500449 1054372168 /nfs/dbraw/zinc/37/21/68/1054372168.db2.gz DOUKUYPRMOUOEF-ZDUSSCGKSA-N 0 3 314.267 4.259 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NC(=O)CN(CC)CC)C(C)C)cc1 ZINC001440945158 1054375117 /nfs/dbraw/zinc/37/51/17/1054375117.db2.gz MSPQDEGYEPLWKL-OXJNMPFZSA-N 0 3 318.505 4.355 20 0 DIADHN CC[C@@H](C(=O)N[C@H](c1ccc(CC(C)C)cc1)C(C)C)N(C)C ZINC001440945394 1054375362 /nfs/dbraw/zinc/37/53/62/1054375362.db2.gz OBZTWCMGCKLGKX-OALUTQOASA-N 0 3 318.505 4.039 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@H]2c2ccc(F)cc2)c2ccccc12 ZINC001233963920 1054399220 /nfs/dbraw/zinc/39/92/20/1054399220.db2.gz DWBLEDYWAICVTP-IBGZPJMESA-N 0 3 322.383 4.417 20 0 DIADHN COc1ccc(CN2C[C@@H]3CCCC[C@@]32c2ccccc2)cc1O ZINC001341605473 1054399660 /nfs/dbraw/zinc/39/96/60/1054399660.db2.gz XBRPOFJCZAFEJM-GHTZIAJQSA-N 0 3 323.436 4.302 20 0 DIADHN COc1ccc(CN2C[C@@H]3CCCC[C@]32c2ccccc2)cc1O ZINC001341605475 1054400392 /nfs/dbraw/zinc/40/03/92/1054400392.db2.gz XBRPOFJCZAFEJM-RXVVDRJESA-N 0 3 323.436 4.302 20 0 DIADHN CC(C)n1cc(CN2C[C@@H]3CCCC[C@]32c2ccccc2)cn1 ZINC001341603889 1054400554 /nfs/dbraw/zinc/40/05/54/1054400554.db2.gz BWAQIELRDQVOHS-PMACEKPBSA-N 0 3 309.457 4.365 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3ccco3)C2)cc1Br ZINC001473167362 1054403958 /nfs/dbraw/zinc/40/39/58/1054403958.db2.gz GTYGFXWCGGXSFZ-AWEZNQCLSA-N 0 3 320.230 4.340 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@@H]2c2cccc(F)c2)c2ccccc12 ZINC001233999912 1054410587 /nfs/dbraw/zinc/41/05/87/1054410587.db2.gz UMDUTLOZIMVGLK-LJQANCHMSA-N 0 3 322.383 4.417 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)[C@@H]1CCCCC1(C)C ZINC001442263034 1054416912 /nfs/dbraw/zinc/41/69/12/1054416912.db2.gz PXJJXZZJZXKIMX-SFHVURJKSA-N 0 3 322.537 4.173 20 0 DIADHN CCCCCCN(C)CC(=O)N(C1CC1)C1CCC(C)CC1 ZINC001442363214 1054418523 /nfs/dbraw/zinc/41/85/23/1054418523.db2.gz DTRQASOUGZTGAR-UHFFFAOYSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1cccc([C@H](NC(=O)[C@@H]2CCCN2C(C)C)C(C)(C)C)c1 ZINC001357138661 1054423675 /nfs/dbraw/zinc/42/36/75/1054423675.db2.gz XICJJSOHSGDGLW-ROUUACIJSA-N 0 3 316.489 4.071 20 0 DIADHN CCCCCCN(C)CC(=O)NC[C@@H]1C[C@H](C)CC[C@@H]1C(C)C ZINC001442621371 1054428226 /nfs/dbraw/zinc/42/82/26/1054428226.db2.gz RKPMDXUBRWJTTO-CEXWTWQISA-N 0 3 324.553 4.323 20 0 DIADHN FC(F)(F)CCCN1CCC[C@H](c2nc3c(s2)CCC3)C1 ZINC001343095161 1054437989 /nfs/dbraw/zinc/43/79/89/1054437989.db2.gz CPXZOCKTDYDJRZ-NSHDSACASA-N 0 3 318.408 4.154 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1CCCCC[C@@H]1c1ccccc1 ZINC001357261580 1054446054 /nfs/dbraw/zinc/44/60/54/1054446054.db2.gz LUUNMVFLRRDCRS-RTBURBONSA-N 0 3 314.473 4.003 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(N(C)c3cccc(C)c3)cc2)C1 ZINC001234180484 1054449253 /nfs/dbraw/zinc/44/92/53/1054449253.db2.gz RYLWGRIZYUHDNL-QGZVFWFLSA-N 0 3 324.468 4.230 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCCC(C)(C)O3)CCCC2)n1 ZINC001473258694 1054462122 /nfs/dbraw/zinc/46/21/22/1054462122.db2.gz WAYMURFVWDBAAG-AWEZNQCLSA-N 0 3 308.491 4.158 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001344367481 1054465434 /nfs/dbraw/zinc/46/54/34/1054465434.db2.gz WXASTBUBSOKDEW-AWEZNQCLSA-N 0 3 311.454 4.342 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)c1cccc(F)c1 ZINC001234237328 1054467489 /nfs/dbraw/zinc/46/74/89/1054467489.db2.gz CFKIRHIJJXHKCR-GFCCVEGCSA-N 0 3 321.367 4.175 20 0 DIADHN Cc1ccc(NC(=O)/C=C/CCc2ccccc2)c(CN(C)C)c1 ZINC001444167761 1054469675 /nfs/dbraw/zinc/46/96/75/1054469675.db2.gz LOTGTBFSYQGJCS-XYOKQWHBSA-N 0 3 322.452 4.184 20 0 DIADHN Cc1ccc(NC(=O)/C=C\CCc2ccccc2)c(CN(C)C)c1 ZINC001444167746 1054469731 /nfs/dbraw/zinc/46/97/31/1054469731.db2.gz LOTGTBFSYQGJCS-WQLSENKSSA-N 0 3 322.452 4.184 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3cn4ccccc4n3)C2)cc1 ZINC000622911326 1054471194 /nfs/dbraw/zinc/47/11/94/1054471194.db2.gz PLINFYISZYVWDN-LJQANCHMSA-N 0 3 319.452 4.097 20 0 DIADHN CCCC/C=C/[C@@H](C)Oc1c(F)cc(CN(C)C)cc1OC ZINC001234244613 1054471672 /nfs/dbraw/zinc/47/16/72/1054471672.db2.gz RBWCHYOCVPPXOA-ATWMFIQVSA-N 0 3 309.425 4.410 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1ccccc1C ZINC001234245118 1054471755 /nfs/dbraw/zinc/47/17/55/1054471755.db2.gz UJNZESGQSDNPNO-AWEZNQCLSA-N 0 3 317.404 4.344 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1F)c1cccc(-n2cccn2)c1 ZINC000173204252 1054471829 /nfs/dbraw/zinc/47/18/29/1054471829.db2.gz UBZMVKKCBWTXNM-HUUCEWRRSA-N 0 3 309.388 4.423 20 0 DIADHN CSc1cccc(O[C@@H]2CCN(Cc3ccccc3)C2)c1F ZINC001234254375 1054476060 /nfs/dbraw/zinc/47/60/60/1054476060.db2.gz BNRNMWGKPZSDTI-OAHLLOKOSA-N 0 3 317.429 4.201 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@@H](C)C(F)(F)F)cc1OC ZINC000441145830 1054496955 /nfs/dbraw/zinc/49/69/55/1054496955.db2.gz ITDINBDXXNXYJG-DGCLKSJQSA-N 0 3 317.351 4.039 20 0 DIADHN CN1CCc2c(cccc2O[C@@H]2CCc3c2cc(F)cc3F)C1 ZINC001234423598 1054520413 /nfs/dbraw/zinc/52/04/13/1054520413.db2.gz MHUXXPVQHOIYBA-LJQANCHMSA-N 0 3 315.363 4.019 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2CCc3ccc(C)cc32)cc1Cl ZINC000671297803 1054530593 /nfs/dbraw/zinc/53/05/93/1054530593.db2.gz MYXJBZAVSMFJTP-SJCJKPOMSA-N 0 3 316.832 4.390 20 0 DIADHN CCN(CCCOc1ccc(C)c(C)c1)CCC(F)(F)F ZINC000623121254 1054534644 /nfs/dbraw/zinc/53/46/44/1054534644.db2.gz SAPPMFOXCMBJHZ-UHFFFAOYSA-N 0 3 303.368 4.347 20 0 DIADHN CC(C)c1ccc(OC2CCN(Cc3ccccc3)CC2)cn1 ZINC001234486267 1054534669 /nfs/dbraw/zinc/53/46/69/1054534669.db2.gz RKIWLEFYTZPHGY-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN C[C@@H](CCC(C)(C)C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000671299415 1054536533 /nfs/dbraw/zinc/53/65/33/1054536533.db2.gz QIDQVVPDERFPAF-KSSFIOAISA-N 0 3 317.452 4.453 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H](C)c1cc(C)ccc1O ZINC000185399445 1054540916 /nfs/dbraw/zinc/54/09/16/1054540916.db2.gz DLWULZMTRFOUAZ-GFCCVEGCSA-N 0 3 305.805 4.213 20 0 DIADHN CN(C)c1ccccc1CNC(C)(C)c1ccc(F)c(F)c1 ZINC000175990254 1054546033 /nfs/dbraw/zinc/54/60/33/1054546033.db2.gz UDHONTBLMYPCTF-UHFFFAOYSA-N 0 3 304.384 4.056 20 0 DIADHN Clc1ccc(-c2ccc(CN3CCc4cn[nH]c4C3)cc2)cc1 ZINC000671306147 1054546137 /nfs/dbraw/zinc/54/61/37/1054546137.db2.gz CGEHPFJLYKUJHD-UHFFFAOYSA-N 0 3 323.827 4.288 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC2(CCOCC2)CC1 ZINC000671311738 1054556393 /nfs/dbraw/zinc/55/63/93/1054556393.db2.gz JNNBMHBHCQBYLD-UHFFFAOYSA-N 0 3 319.832 4.479 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(O)(c2ccccc2)CC1 ZINC000185503630 1054558283 /nfs/dbraw/zinc/55/82/83/1054558283.db2.gz RZYLVYTUEJKORY-OAHLLOKOSA-N 0 3 315.844 4.385 20 0 DIADHN C[C@@H](NCc1ccsc1)[C@@H](O)c1c(Cl)cccc1Cl ZINC000072925594 1054576942 /nfs/dbraw/zinc/57/69/42/1054576942.db2.gz LROBXQNUBJEPAL-YMTOWFKASA-N 0 3 316.253 4.267 20 0 DIADHN CO[C@H](CNCc1csc(C(F)(F)F)c1)c1ccccc1 ZINC000623371450 1054577937 /nfs/dbraw/zinc/57/79/37/1054577937.db2.gz DKIIDFUBBPJODK-CYBMUJFWSA-N 0 3 315.360 4.244 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001358004590 1054579813 /nfs/dbraw/zinc/57/98/13/1054579813.db2.gz VWHLMWBOGZHLPP-GOSISDBHSA-N 0 3 322.537 4.317 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001358004591 1054580197 /nfs/dbraw/zinc/58/01/97/1054580197.db2.gz VWHLMWBOGZHLPP-SFHVURJKSA-N 0 3 322.537 4.317 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001358004087 1054580583 /nfs/dbraw/zinc/58/05/83/1054580583.db2.gz MNEPRBXGJPMGHT-QGZVFWFLSA-N 0 3 322.537 4.173 20 0 DIADHN CCn1c(CNCC(C)(C)C(F)(F)CC)nc2ccccc21 ZINC000623403914 1054585452 /nfs/dbraw/zinc/58/54/52/1054585452.db2.gz LASMZRKBLGNSND-UHFFFAOYSA-N 0 3 309.404 4.217 20 0 DIADHN CC(C)Sc1ccccc1C(=O)Nc1cccc2c1CNC2 ZINC001358059510 1054589070 /nfs/dbraw/zinc/58/90/70/1054589070.db2.gz GRSCANLVDIGCGI-UHFFFAOYSA-N 0 3 312.438 4.043 20 0 DIADHN CCOc1cc(C)ccc1CN[C@H]1CCCNc2ccccc21 ZINC000192952348 1054589696 /nfs/dbraw/zinc/58/96/96/1054589696.db2.gz OLNNPWYLMTWLGV-IBGZPJMESA-N 0 3 310.441 4.430 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@H](COC)c1ccc(F)c(F)c1 ZINC000623482823 1054603930 /nfs/dbraw/zinc/60/39/30/1054603930.db2.gz IHUSLWOEMZTKNT-CQSZACIVSA-N 0 3 321.358 4.313 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNCCC[C@@H](C)O)cc1Cl ZINC000623510474 1054606576 /nfs/dbraw/zinc/60/65/76/1054606576.db2.gz ZTXQAFKYIMKAFA-LLVKDONJSA-N 0 3 320.260 4.031 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2c(C)c(C)n[nH]c2=O)cc1 ZINC001348109776 1054612890 /nfs/dbraw/zinc/61/28/90/1054612890.db2.gz CBJBTDFCHDHRJV-WFASDCNBSA-N 0 3 313.445 4.163 20 0 DIADHN CCc1cccc(CNCc2ccc(OC)c(OC3CC3)c2)c1 ZINC000623560275 1054623208 /nfs/dbraw/zinc/62/32/08/1054623208.db2.gz ADVWZLXAUQFDCG-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN Cc1c(F)ccc(OC2CCN(Cc3ccccc3)CC2)c1F ZINC001234933973 1054626519 /nfs/dbraw/zinc/62/65/19/1054626519.db2.gz ZUBIKBCGXRAHBO-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN CCOC(=O)c1cc(CN(C)[C@H](C)c2ccc(Cl)cc2)c[nH]1 ZINC001234948111 1054629634 /nfs/dbraw/zinc/62/96/34/1054629634.db2.gz ATJKVIDKCBMJIB-GFCCVEGCSA-N 0 3 320.820 4.038 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(F)c(F)c1 ZINC000178051814 1054642117 /nfs/dbraw/zinc/64/21/17/1054642117.db2.gz QMRVQOYEVVNCCY-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN C/C(=C/C1CCC(C)CC1)C(=O)Nc1ccccc1CN(C)C ZINC001450221709 1054642038 /nfs/dbraw/zinc/64/20/38/1054642038.db2.gz ZTXHXIFYEWJRBO-SSZFMOIBSA-N 0 3 314.473 4.459 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CCCC1CCCC1 ZINC001450355091 1054645493 /nfs/dbraw/zinc/64/54/93/1054645493.db2.gz HBILARPRZONMIP-UHFFFAOYSA-N 0 3 317.477 4.403 20 0 DIADHN CC(C)CCO[C@H]1CC[C@H](NCc2ncc(Cl)s2)CC1 ZINC000623678754 1054646175 /nfs/dbraw/zinc/64/61/75/1054646175.db2.gz YQODPFRJBUGWTA-JOCQHMNTSA-N 0 3 316.898 4.260 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccoc1)c1ccc2c(c1)OCCO2 ZINC000178385617 1054651757 /nfs/dbraw/zinc/65/17/57/1054651757.db2.gz NPHVPKCDVNBDSA-UGSOOPFHSA-N 0 3 301.386 4.099 20 0 DIADHN C[C@H](NCCc1ccccc1O)c1nc(-c2ccccc2)cs1 ZINC000178417408 1054651988 /nfs/dbraw/zinc/65/19/88/1054651988.db2.gz XGZZQSJFTVBVJG-AWEZNQCLSA-N 0 3 324.449 4.409 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc3c(c2)CCC3)c2cc(N)ccc2O1 ZINC001348516986 1054652674 /nfs/dbraw/zinc/65/26/74/1054652674.db2.gz YKMVSHVNDAANEF-LJQANCHMSA-N 0 3 322.452 4.150 20 0 DIADHN c1cc(CN2CCC[C@H]2c2ccncc2)cc(N2CCCC2)c1 ZINC001235091307 1054654496 /nfs/dbraw/zinc/65/44/96/1054654496.db2.gz DQDNJAOGVXZRJT-FQEVSTJZSA-N 0 3 307.441 4.019 20 0 DIADHN CCOc1cncc(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)c1 ZINC000623708037 1054659885 /nfs/dbraw/zinc/65/98/85/1054659885.db2.gz WTRQSHFGABVQHJ-WOJBJXKFSA-N 0 3 310.441 4.455 20 0 DIADHN Fc1ccc(C(NCCc2cccnc2)c2ccc(F)cc2)cc1 ZINC000179385550 1054678127 /nfs/dbraw/zinc/67/81/27/1054678127.db2.gz TVBFMYXTOOQIRN-UHFFFAOYSA-N 0 3 324.374 4.282 20 0 DIADHN CC(C)N1CCN(Cc2cc(-c3ccsc3)cs2)C[C@@H]1C ZINC001235191514 1054680017 /nfs/dbraw/zinc/68/00/17/1054680017.db2.gz AMRLBTQBUMXIQO-AWEZNQCLSA-N 0 3 320.527 4.391 20 0 DIADHN CC[C@@H](C(=O)N(CC(C)C)c1ccc(C)cc1)N(CC)CC ZINC001451717914 1054684158 /nfs/dbraw/zinc/68/41/58/1054684158.db2.gz BIVNCWSEKCAVTF-SFHVURJKSA-N 0 3 304.478 4.104 20 0 DIADHN CN(Cc1nc(Cl)ccc1C(F)(F)F)C[C@@H]1CC1(C)C ZINC001235234605 1054687823 /nfs/dbraw/zinc/68/78/23/1054687823.db2.gz YAGSBEMUIWFPGL-VIFPVBQESA-N 0 3 306.759 4.232 20 0 DIADHN CCN(Cc1cccs1)Cc1cn(C)nc1-c1cccs1 ZINC000179604346 1054690385 /nfs/dbraw/zinc/69/03/85/1054690385.db2.gz GZXIKCSCFPBBMA-UHFFFAOYSA-N 0 3 317.483 4.232 20 0 DIADHN CCN(Cc1cc2cccc(F)c2[nH]1)Cc1ccc(OC)cc1 ZINC001235250180 1054691933 /nfs/dbraw/zinc/69/19/33/1054691933.db2.gz BNOVOXBMDXPXAP-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN C[C@H](Nc1cccc(CN2CCSCC2)c1)c1ccccc1 ZINC000179619123 1054692968 /nfs/dbraw/zinc/69/29/68/1054692968.db2.gz KSVFBVSIYSQBCW-INIZCTEOSA-N 0 3 312.482 4.409 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3cc4cccc(F)c4[nH]3)C2)c1 ZINC001235248772 1054693065 /nfs/dbraw/zinc/69/30/65/1054693065.db2.gz JODSWMDFVFJWPW-OAHLLOKOSA-N 0 3 312.363 4.436 20 0 DIADHN CCc1cccc(CN2CCC(Cc3ccccc3F)CC2)n1 ZINC001235256747 1054694245 /nfs/dbraw/zinc/69/42/45/1054694245.db2.gz DVKMYTBDRVOALO-UHFFFAOYSA-N 0 3 312.432 4.238 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc(CC)n2)cc1 ZINC001235257656 1054695460 /nfs/dbraw/zinc/69/54/60/1054695460.db2.gz IQQXPEDRLWDVDH-HXUWFJFHSA-N 0 3 310.441 4.380 20 0 DIADHN C[C@@H](C(=O)N[C@@H](C1CCC1)C1CCCCC1)N1CCCCCC1 ZINC001452185496 1054697541 /nfs/dbraw/zinc/69/75/41/1054697541.db2.gz KQDOWFNUQKDBMQ-QFBILLFUSA-N 0 3 320.521 4.116 20 0 DIADHN C[C@H](NCc1csc(C2CCCCC2)n1)C1CCOCC1 ZINC000179867097 1054708887 /nfs/dbraw/zinc/70/88/87/1054708887.db2.gz DTXXWXRMJUXSCB-ZDUSSCGKSA-N 0 3 308.491 4.096 20 0 DIADHN COc1cc(CN2CC[C@@H](Cc3ccccc3)C2)cc(F)c1F ZINC001235444981 1054720711 /nfs/dbraw/zinc/72/07/11/1054720711.db2.gz GLZUSILAKDLADK-HNNXBMFYSA-N 0 3 317.379 4.038 20 0 DIADHN COc1cc(CN2CC[C@H](Cc3ccccc3)C2)cc(F)c1F ZINC001235444988 1054722572 /nfs/dbraw/zinc/72/25/72/1054722572.db2.gz GLZUSILAKDLADK-OAHLLOKOSA-N 0 3 317.379 4.038 20 0 DIADHN CN(C)c1ncccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000180016096 1054726372 /nfs/dbraw/zinc/72/63/72/1054726372.db2.gz IIPZSMIVKGURRG-KRWDZBQOSA-N 0 3 315.848 4.042 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)o1 ZINC000623752993 1054726574 /nfs/dbraw/zinc/72/65/74/1054726574.db2.gz PHWYOLBWYOWIEB-DNVCBOLYSA-N 0 3 315.388 4.051 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC(C)(C)CC(C)(C)C1 ZINC001453116509 1054729320 /nfs/dbraw/zinc/72/93/20/1054729320.db2.gz BUSFROMIKWMTKQ-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN COc1cncc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c1C ZINC001235470068 1054729816 /nfs/dbraw/zinc/72/98/16/1054729816.db2.gz GWVODOUBKHXTEL-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN COc1cncc(CN2CC[C@@H](c3ccccc3Cl)C2)c1C ZINC001235463101 1054729939 /nfs/dbraw/zinc/72/99/39/1054729939.db2.gz ABWLUMXHVGMGPV-CQSZACIVSA-N 0 3 316.832 4.042 20 0 DIADHN Clc1cc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c[nH]c2cn1 ZINC001235511904 1054731927 /nfs/dbraw/zinc/73/19/27/1054731927.db2.gz FQMVEMPFDFGFCI-BETUJISGSA-N 0 3 323.827 4.303 20 0 DIADHN Clc1ccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)o1 ZINC000350909901 1054732072 /nfs/dbraw/zinc/73/20/72/1054732072.db2.gz ROTNDOFOPXHICC-LLVKDONJSA-N 0 3 307.781 4.063 20 0 DIADHN CC[C@@H](CN[C@@H](c1c(Cl)cccc1Cl)C1CC1)OC ZINC001473521130 1054738529 /nfs/dbraw/zinc/73/85/29/1054738529.db2.gz KSNFWGNNNFTZTB-XHDPSFHLSA-N 0 3 302.245 4.459 20 0 DIADHN COc1ccc(CN2[C@H](C)CCC2(C)C)c(Br)c1 ZINC001473544193 1054738594 /nfs/dbraw/zinc/73/85/94/1054738594.db2.gz ODVCPONDNMCGSP-LLVKDONJSA-N 0 3 312.251 4.221 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CC[C@@H](C2CCC2)C1 ZINC001473550310 1054743395 /nfs/dbraw/zinc/74/33/95/1054743395.db2.gz LAELSOIJIFZKND-HNAYVOBHSA-N 0 3 322.452 4.289 20 0 DIADHN C[C@H](NCc1ncccc1Br)[C@@H]1CCC[C@H](C)C1 ZINC001473553453 1054743597 /nfs/dbraw/zinc/74/35/97/1054743597.db2.gz INRZSOWKGGLASO-RWMBFGLXSA-N 0 3 311.267 4.149 20 0 DIADHN Cc1ccnc(CN2CCC[C@H](c3ccccc3)C2)c1Cl ZINC001235583721 1054744024 /nfs/dbraw/zinc/74/40/24/1054744024.db2.gz UMTSZQXEFFZTEC-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)[C@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1F ZINC000180266438 1054747159 /nfs/dbraw/zinc/74/71/59/1054747159.db2.gz VPBGQDHVLXTNHC-SFHVURJKSA-N 0 3 321.823 4.458 20 0 DIADHN CCOCCN[C@@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000180314497 1054747753 /nfs/dbraw/zinc/74/77/53/1054747753.db2.gz XUAZSFPTPWYVMX-KRWDZBQOSA-N 0 3 321.367 4.004 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccccc3)CCC2)c(OC)c1 ZINC000180344779 1054750547 /nfs/dbraw/zinc/75/05/47/1054750547.db2.gz MUXTTWZMEZGQTB-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H]2Cc2ccc(F)cc2)c1Cl ZINC001235593646 1054750800 /nfs/dbraw/zinc/75/08/00/1054750800.db2.gz WFXAKSSUNXZFFS-MRXNPFEDSA-N 0 3 318.823 4.390 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001235627674 1054756446 /nfs/dbraw/zinc/75/64/46/1054756446.db2.gz AJJBTXMCSUXSOA-HOCLYGCPSA-N 0 3 304.434 4.036 20 0 DIADHN CC(C)n1cc(CNCC(C)(C)c2ccc3ccccc3c2)nn1 ZINC000623785039 1054759787 /nfs/dbraw/zinc/75/97/87/1054759787.db2.gz USTDUWWRQKHCTP-UHFFFAOYSA-N 0 3 322.456 4.080 20 0 DIADHN CCn1ccnc1CNCC(C)(C)c1ccc2ccccc2c1 ZINC000623784870 1054759868 /nfs/dbraw/zinc/75/98/68/1054759868.db2.gz NQMNTLPDOFOUPK-UHFFFAOYSA-N 0 3 307.441 4.124 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001235634344 1054759915 /nfs/dbraw/zinc/75/99/15/1054759915.db2.gz GIGUHSFLOZCBQQ-HZPDHXFCSA-N 0 3 318.461 4.283 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001235629860 1054760770 /nfs/dbraw/zinc/76/07/70/1054760770.db2.gz GIGUHSFLOZCBQQ-CVEARBPZSA-N 0 3 318.461 4.283 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+]C(C)(C)CC2CCCCCC2)[n-]1 ZINC001307690379 1054767481 /nfs/dbraw/zinc/76/74/81/1054767481.db2.gz ZVOVTZIBTGOFOC-UHFFFAOYSA-N 0 3 306.498 4.331 20 0 DIADHN CN(C)c1ccc(CNC(C)(C)c2ccc3ccccc3c2)cn1 ZINC000623803329 1054770565 /nfs/dbraw/zinc/77/05/65/1054770565.db2.gz AFXORTPKGINSRE-UHFFFAOYSA-N 0 3 319.452 4.326 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNC[C@H]2CCCCO2)c1 ZINC001473968073 1054774131 /nfs/dbraw/zinc/77/41/31/1054774131.db2.gz ITYLQRQGTVOOPL-GFCCVEGCSA-N 0 3 307.743 4.018 20 0 DIADHN CN(Cc1nc2ccc(F)cc2[nH]1)Cc1cccc2ccccc21 ZINC001235689966 1054776240 /nfs/dbraw/zinc/77/62/40/1054776240.db2.gz BBVFCGSLTWSEBO-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN CO[C@H]1C[C@@H](CNCc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000623822343 1054783834 /nfs/dbraw/zinc/78/38/34/1054783834.db2.gz DZULNSFIYJROKR-DTORHVGOSA-N 0 3 308.636 4.161 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cnc(OC)c(Cl)c1 ZINC001235707309 1054784329 /nfs/dbraw/zinc/78/43/29/1054784329.db2.gz ZGBMNQQAZLKLRD-INIZCTEOSA-N 0 3 304.821 4.327 20 0 DIADHN CC(C)[C@H]1C[C@H](NC2(c3c(F)cccc3F)CCC2)CCO1 ZINC000623844510 1054789859 /nfs/dbraw/zinc/78/98/59/1054789859.db2.gz ZHYFBCWDTZONMO-CZUORRHYSA-N 0 3 309.400 4.137 20 0 DIADHN Cc1cc(Br)cc(CN(C)CCC2CC2)c1F ZINC001235731187 1054793237 /nfs/dbraw/zinc/79/32/37/1054793237.db2.gz FIDQRHZBQZWVCY-UHFFFAOYSA-N 0 3 300.215 4.129 20 0 DIADHN C[C@@H](OCCCN[C@@H]1CCCc2scnc21)c1ccccc1 ZINC000623854286 1054794594 /nfs/dbraw/zinc/79/45/94/1054794594.db2.gz XXPFNRIUAWJBCS-GDBMZVCRSA-N 0 3 316.470 4.278 20 0 DIADHN c1nc2c(s1)CCC[C@H]2NCCCSc1ccccc1 ZINC000623852272 1054795182 /nfs/dbraw/zinc/79/51/82/1054795182.db2.gz RWNRDGVLGABHSO-CQSZACIVSA-N 0 3 304.484 4.293 20 0 DIADHN Fc1ccc(S[C@H]2CCCC[C@H]2NCc2nccs2)cc1 ZINC000623869022 1054801676 /nfs/dbraw/zinc/80/16/76/1054801676.db2.gz NAQSBWPDZOVOAS-CABCVRRESA-N 0 3 322.474 4.475 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1ccc(Br)cc1)C1CC1 ZINC001474358146 1054803821 /nfs/dbraw/zinc/80/38/21/1054803821.db2.gz HBPBJAKZCUBHLV-JKSUJKDBSA-N 0 3 324.262 4.011 20 0 DIADHN CC(C)Oc1cc(C(F)(F)F)ccc1-c1ccnc(CN)c1 ZINC001235773722 1054803756 /nfs/dbraw/zinc/80/37/56/1054803756.db2.gz YTCRUIKSFMCXCZ-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN C[C@H](CN[C@@H]1CCCc2scnc21)c1ccc(F)cc1F ZINC000623900832 1054807063 /nfs/dbraw/zinc/80/70/63/1054807063.db2.gz SUSSQRGIDPXFHU-QMTHXVAHSA-N 0 3 308.397 4.192 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@H]2CCCc3scnc32)cc1 ZINC000623898917 1054808017 /nfs/dbraw/zinc/80/80/17/1054808017.db2.gz VOWMEKIBFJNCQP-BBRMVZONSA-N 0 3 316.470 4.313 20 0 DIADHN Cc1csc([C@@H](C)NC[C@H](Nc2ccccc2)C(C)C)n1 ZINC000623889348 1054809991 /nfs/dbraw/zinc/80/99/91/1054809991.db2.gz LBDOJBJPNVNASV-ZBFHGGJFSA-N 0 3 303.475 4.239 20 0 DIADHN CC(C)(CN[C@H]1CCCc2scnc21)c1ccc(F)cc1 ZINC000623886056 1054810925 /nfs/dbraw/zinc/81/09/25/1054810925.db2.gz PYKRBJHYPGYIBV-AWEZNQCLSA-N 0 3 304.434 4.227 20 0 DIADHN Cc1csc([C@H](C)NC[C@@H](c2ccc(Cl)cc2)N(C)C)n1 ZINC000623903144 1054812603 /nfs/dbraw/zinc/81/26/03/1054812603.db2.gz ZJGFIUQMMBQOSE-WFASDCNBSA-N 0 3 323.893 4.058 20 0 DIADHN CC[C@H](N[C@@H](CO)c1cccc(F)c1)c1cc(C)ccc1C ZINC000623915764 1054817075 /nfs/dbraw/zinc/81/70/75/1054817075.db2.gz QZVMBWBVGKIDIJ-OALUTQOASA-N 0 3 301.405 4.217 20 0 DIADHN C[C@H](NCCCC(F)(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000623901901 1054817695 /nfs/dbraw/zinc/81/76/95/1054817695.db2.gz WMFMPBCLHNNZRW-LBPRGKRZSA-N 0 3 311.351 4.080 20 0 DIADHN CCCOc1cccc(CCN[C@@H](C)c2nc(C)cs2)c1 ZINC000623921989 1054825373 /nfs/dbraw/zinc/82/53/73/1054825373.db2.gz MVMVBOYRYFAIMB-AWEZNQCLSA-N 0 3 304.459 4.134 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2nc(C)cs2)c1 ZINC000623921990 1054825446 /nfs/dbraw/zinc/82/54/46/1054825446.db2.gz MVMVBOYRYFAIMB-CQSZACIVSA-N 0 3 304.459 4.134 20 0 DIADHN Cc1nc2cc(CN3CCC(c4ccccn4)CC3)ccc2s1 ZINC001235863627 1054826323 /nfs/dbraw/zinc/82/63/23/1054826323.db2.gz DWTFBDHXRXHHJM-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CCSc1cccc(CN2C[C@@H](O)C[C@H]2c2ccccc2)c1 ZINC001474576181 1054828395 /nfs/dbraw/zinc/82/83/95/1054828395.db2.gz SZUBBIQOJKYHIF-HKUYNNGSSA-N 0 3 313.466 4.107 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c3cc(Cl)ccc3[nH]c21)c1cn[nH]c1 ZINC000623925552 1054828688 /nfs/dbraw/zinc/82/86/88/1054828688.db2.gz IQLBYWVACARODV-HWPZZCPQSA-N 0 3 314.820 4.273 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@H]2CCCc3scnc32)CCO1 ZINC000623956637 1054835160 /nfs/dbraw/zinc/83/51/60/1054835160.db2.gz KWFSCDAGWXIUFU-KKUMJFAQSA-N 0 3 308.491 4.094 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@@H]2CCCc3scnc32)CCO1 ZINC000623956636 1054835454 /nfs/dbraw/zinc/83/54/54/1054835454.db2.gz KWFSCDAGWXIUFU-KFWWJZLASA-N 0 3 308.491 4.094 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(F)c(CO)c2F)c1F ZINC001235896972 1054835712 /nfs/dbraw/zinc/83/57/12/1054835712.db2.gz UIKSZMGRJMDYGZ-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)n1 ZINC000623959002 1054836755 /nfs/dbraw/zinc/83/67/55/1054836755.db2.gz DAVGKMCQSDRCFZ-RCBQFDQVSA-N 0 3 320.433 4.162 20 0 DIADHN COc1ccc(C2(CN[C@H](C)c3nc(C)cs3)CCC2)cc1 ZINC000623959199 1054837812 /nfs/dbraw/zinc/83/78/12/1054837812.db2.gz JUDTXHVKLVTATK-CQSZACIVSA-N 0 3 316.470 4.233 20 0 DIADHN Cc1csc([C@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)n1 ZINC000623972941 1054842877 /nfs/dbraw/zinc/84/28/77/1054842877.db2.gz CMUNKRKWLBEXHQ-HOTGVXAUSA-N 0 3 315.486 4.019 20 0 DIADHN Fc1cccc([C@H]2C[C@H](N[C@@H]3CCCc4scnc43)C2)c1 ZINC000623977526 1054843790 /nfs/dbraw/zinc/84/37/90/1054843790.db2.gz URWOPGRUGIMLEZ-BPLDGKMQSA-N 0 3 302.418 4.195 20 0 DIADHN CNC(=O)C[C@H](N[C@@H](C)c1cccc(C(C)C)c1)c1ccccc1 ZINC000623976570 1054845014 /nfs/dbraw/zinc/84/50/14/1054845014.db2.gz ORJIWWUSBYLZNX-JXFKEZNVSA-N 0 3 324.468 4.338 20 0 DIADHN CNC(=O)C[C@@H](N[C@H](C)c1cccc(C(C)C)c1)c1ccccc1 ZINC000623976572 1054845144 /nfs/dbraw/zinc/84/51/44/1054845144.db2.gz ORJIWWUSBYLZNX-OXQOHEQNSA-N 0 3 324.468 4.338 20 0 DIADHN Clc1cc(-c2ccc3c(n2)CNCC3)cc(Cl)c1Cl ZINC001235908395 1054845309 /nfs/dbraw/zinc/84/53/09/1054845309.db2.gz FCOILZWBBCBRFG-UHFFFAOYSA-N 0 3 313.615 4.355 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H](C)CCOCc2ccccc2)n1 ZINC000623983556 1054846648 /nfs/dbraw/zinc/84/66/48/1054846648.db2.gz DZMUTIKSUPDVHU-DZGCQCFKSA-N 0 3 304.459 4.098 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc(Br)ccc2C)ccn1 ZINC000623991532 1054848627 /nfs/dbraw/zinc/84/86/27/1054848627.db2.gz JVVATXKXXQLXQZ-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@@H]3CCCc4scnc43)C2)c1 ZINC000623996220 1054850829 /nfs/dbraw/zinc/85/08/29/1054850829.db2.gz XKPJCEHLDXDAQF-IIAWOOMASA-N 0 3 314.454 4.065 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCC[C@@H]2Sc2ccc(F)cc2)o1 ZINC000623998910 1054851055 /nfs/dbraw/zinc/85/10/55/1054851055.db2.gz FMZDAXDZXNQKBU-SJORKVTESA-N 0 3 320.433 4.315 20 0 DIADHN CC[C@H](NCc1cnc(C(F)(F)F)nc1)c1cc(C)ccc1C ZINC000624010503 1054855872 /nfs/dbraw/zinc/85/58/72/1054855872.db2.gz QWDTTYBMPFBIJL-HNNXBMFYSA-N 0 3 323.362 4.353 20 0 DIADHN FC(F)(F)Oc1ccc(-c2cc(N3CCCC3)ccn2)cc1 ZINC001235932524 1054857065 /nfs/dbraw/zinc/85/70/65/1054857065.db2.gz XUUJAIZNSGMIHK-UHFFFAOYSA-N 0 3 308.303 4.247 20 0 DIADHN C[C@H](NCC[C@]1(C)CC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000624013602 1054858077 /nfs/dbraw/zinc/85/80/77/1054858077.db2.gz DTWQLQNJLGXAOQ-SUMWQHHRSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)n1 ZINC000624013751 1054858342 /nfs/dbraw/zinc/85/83/42/1054858342.db2.gz IIQQJPMOGMWSJP-BFHYXJOUSA-N 0 3 308.491 4.228 20 0 DIADHN C[C@H](NC[C@@H]1Cc2ccccc2CO1)c1ccc2ccccc2n1 ZINC000624055108 1054863477 /nfs/dbraw/zinc/86/34/77/1054863477.db2.gz XDPYZAIQWVTVNC-KXBFYZLASA-N 0 3 318.420 4.027 20 0 DIADHN C[C@@H](NC[C@@H]1Cc2ccccc2CO1)c1ccc2ccccc2n1 ZINC000624055107 1054864524 /nfs/dbraw/zinc/86/45/24/1054864524.db2.gz XDPYZAIQWVTVNC-BEFAXECRSA-N 0 3 318.420 4.027 20 0 DIADHN CCC[C@H](NC[C@@H](n1cccn1)C(F)(F)F)c1ccccc1 ZINC000624041832 1054864788 /nfs/dbraw/zinc/86/47/88/1054864788.db2.gz JZFZMKYKYARDJQ-LSDHHAIUSA-N 0 3 311.351 4.118 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cccc4c3NCC4)CC2)c1 ZINC001235959413 1054870638 /nfs/dbraw/zinc/87/06/38/1054870638.db2.gz JCKNELYGAJUMTG-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN Cc1cc(-c2ccnc(CN)c2)cc(C)c1OCc1ccccc1 ZINC001235961013 1054872233 /nfs/dbraw/zinc/87/22/33/1054872233.db2.gz WVKZZDCVORBQLJ-UHFFFAOYSA-N 0 3 318.420 4.403 20 0 DIADHN Cc1ccc(CNC2CC3(CC3(F)F)C2)c(Br)c1 ZINC001350141379 1054877256 /nfs/dbraw/zinc/87/72/56/1054877256.db2.gz AYRXPRIGYVCPFK-UHFFFAOYSA-N 0 3 316.189 4.035 20 0 DIADHN CC(C)CC[C@@H](CO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000624110379 1054879884 /nfs/dbraw/zinc/87/98/84/1054879884.db2.gz XADHQOVEXKCPST-ZBFHGGJFSA-N 0 3 318.486 4.258 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2cc[nH]c2n1 ZINC001236003082 1054887441 /nfs/dbraw/zinc/88/74/41/1054887441.db2.gz QHSAQZMJMZOIAZ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2ccncc2n1 ZINC001236002340 1054888872 /nfs/dbraw/zinc/88/88/72/1054888872.db2.gz HMIGPDAZKDIOLO-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1ccc(F)c(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236002392 1054888942 /nfs/dbraw/zinc/88/89/42/1054888942.db2.gz IPJXOCIMBVQXDJ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc2ncccc21 ZINC001236003200 1054889034 /nfs/dbraw/zinc/88/90/34/1054889034.db2.gz TYUAHPWIHCLTDQ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1nnc(-c2cccc(CN3CCCCC3)c2F)c(C)c1C ZINC001236004420 1054891043 /nfs/dbraw/zinc/89/10/43/1054891043.db2.gz HTCQYVMBVBGVJQ-UHFFFAOYSA-N 0 3 313.420 4.194 20 0 DIADHN Cc1c[nH]c2nc(-c3cccc(CN4CCCCC4)c3F)ncc12 ZINC001236004134 1054891291 /nfs/dbraw/zinc/89/12/91/1054891291.db2.gz KGAGQPIOSABUQN-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc(C(F)F)n1 ZINC001236004391 1054891305 /nfs/dbraw/zinc/89/13/05/1054891305.db2.gz HADMXBVQIIGMJT-UHFFFAOYSA-N 0 3 321.346 4.206 20 0 DIADHN COc1ccc(C)nc1-c1cccc(CN2CCCCC2)c1F ZINC001236004653 1054891406 /nfs/dbraw/zinc/89/14/06/1054891406.db2.gz MAYZAQDGBNLFDN-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc2nccn2cc1-c1cccc(CN2CCCCC2)c1F ZINC001236010759 1054894217 /nfs/dbraw/zinc/89/42/17/1054894217.db2.gz FNZLPLULEBOLFL-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Cc1cn2cc(-c3cccc(CN4CCCCC4)c3F)ccc2n1 ZINC001236010769 1054894506 /nfs/dbraw/zinc/89/45/06/1054894506.db2.gz GDKAZRQCNNLRJO-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN CC(C)CCO[C@H]1CC[C@H](N[C@H]2CCCc3scnc32)CC1 ZINC000624147097 1054894944 /nfs/dbraw/zinc/89/49/44/1054894944.db2.gz UHCFJHFKFXLOMZ-JYJNAYRXSA-N 0 3 322.518 4.484 20 0 DIADHN CC(C)CCO[C@H]1CC[C@H](N[C@@H]2CCCc3scnc32)CC1 ZINC000624147096 1054895207 /nfs/dbraw/zinc/89/52/07/1054895207.db2.gz UHCFJHFKFXLOMZ-BZUAXINKSA-N 0 3 322.518 4.484 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2nccn2c1 ZINC001236012205 1054896476 /nfs/dbraw/zinc/89/64/76/1054896476.db2.gz NWHIOWZAIYFSOT-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN COc1ncc(-c2cccc(CN3CCCCC3)c2F)cc1F ZINC001236012147 1054896960 /nfs/dbraw/zinc/89/69/60/1054896960.db2.gz LHNHKUDUZGVSJX-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cccc2c1CCN2 ZINC001236012960 1054898219 /nfs/dbraw/zinc/89/82/19/1054898219.db2.gz VMAYNDROSGLUNQ-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Cc1nnc(CCN[C@H](C)c2cccc(Cl)c2Cl)s1 ZINC000353576209 1054904984 /nfs/dbraw/zinc/90/49/84/1054904984.db2.gz MYEOUYCLVXUVFM-MRVPVSSYSA-N 0 3 316.257 4.047 20 0 DIADHN CC(C)C(=O)NCCN[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000181406250 1054912557 /nfs/dbraw/zinc/91/25/57/1054912557.db2.gz FFCKTVHZLBKRJA-CQSZACIVSA-N 0 3 324.424 4.009 20 0 DIADHN c1cc(-c2cccc(C3=NCCC3)c2)n(Cc2ccccc2)n1 ZINC001236052119 1054917093 /nfs/dbraw/zinc/91/70/93/1054917093.db2.gz RMWWFRDVHFLTRB-UHFFFAOYSA-N 0 3 301.393 4.181 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2ccc3oc(=O)n(C)c3c2)c1 ZINC000624205976 1054931804 /nfs/dbraw/zinc/93/18/04/1054931804.db2.gz XAXMIMDRVRAFAT-CQSZACIVSA-N 0 3 324.424 4.106 20 0 DIADHN CC(C)(C)C(=O)Nc1ccc(CN)nc1-c1cccc(C2CC2)c1 ZINC001236082746 1054932190 /nfs/dbraw/zinc/93/21/90/1054932190.db2.gz VEWRPYFZKIQNJU-UHFFFAOYSA-N 0 3 323.440 4.069 20 0 DIADHN C[C@@H](NCCn1cccn1)c1cc2c(ccc3ccccc23)o1 ZINC000181922236 1054947053 /nfs/dbraw/zinc/94/70/53/1054947053.db2.gz NJCIVDUNEWNAES-CQSZACIVSA-N 0 3 305.381 4.133 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2cc3ccccc3o2)cc1 ZINC000181984689 1054950900 /nfs/dbraw/zinc/95/09/00/1054950900.db2.gz NQWPGTSBUJFHJA-ZDUSSCGKSA-N 0 3 308.381 4.242 20 0 DIADHN CC(C)Oc1ncccc1-c1cccc(CN2CCCC2)c1F ZINC001236108646 1054951252 /nfs/dbraw/zinc/95/12/52/1054951252.db2.gz IQGGYRSNHMADSV-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN Nc1ccc2c(c1)CCN(Cc1cccc3c(F)cccc13)C2 ZINC001236108020 1054952384 /nfs/dbraw/zinc/95/23/84/1054952384.db2.gz HDZXVHFMZUEWIM-UHFFFAOYSA-N 0 3 306.384 4.119 20 0 DIADHN CC(C)[C@H](NCc1cccc(CO)c1)c1ccc(Cl)cc1F ZINC000182067100 1054957744 /nfs/dbraw/zinc/95/77/44/1054957744.db2.gz DQBMORKPMMLMRW-SFHVURJKSA-N 0 3 321.823 4.458 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)Cc1ccccc1)c1nc(C2CC2)no1 ZINC000182076269 1054958608 /nfs/dbraw/zinc/95/86/08/1054958608.db2.gz CBDBTKJWKJPISL-RHSMWYFYSA-N 0 3 313.445 4.113 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1ccccc1F ZINC000182164839 1054966354 /nfs/dbraw/zinc/96/63/54/1054966354.db2.gz VHPHKNAGTUXCNI-FJNPEDAXSA-N 0 3 321.342 4.285 20 0 DIADHN C[C@H](NCCC(c1ccccc1)c1ccccc1)c1nccn1C ZINC000182179084 1054968788 /nfs/dbraw/zinc/96/87/88/1054968788.db2.gz ZYYIDRTXZPWYJB-KRWDZBQOSA-N 0 3 319.452 4.293 20 0 DIADHN CC[C@H](NCc1cc(-c2ccc(Cl)cc2)no1)[C@H]1CCCO1 ZINC000182310212 1054979982 /nfs/dbraw/zinc/97/99/82/1054979982.db2.gz HGSDDLWTFYDUPB-DOTOQJQBSA-N 0 3 320.820 4.042 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccoc1 ZINC000624225520 1054982579 /nfs/dbraw/zinc/98/25/79/1054982579.db2.gz WORSUFOXGLIWSR-RISCZKNCSA-N 0 3 308.784 4.002 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccco1 ZINC000624225256 1054982789 /nfs/dbraw/zinc/98/27/89/1054982789.db2.gz NYNUMQUZFYIHGE-YPMHNXCESA-N 0 3 308.784 4.002 20 0 DIADHN COc1ccc(C(C)(C)CN[C@H](C)c2nc3ccccc3o2)cc1 ZINC000182437475 1054986630 /nfs/dbraw/zinc/98/66/30/1054986630.db2.gz IWJBBJNWWSPLPS-CQSZACIVSA-N 0 3 324.424 4.465 20 0 DIADHN CC(C)n1cc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)cn1 ZINC001236192719 1054990186 /nfs/dbraw/zinc/99/01/86/1054990186.db2.gz PXQHNKMOYSXSOJ-LJQANCHMSA-N 0 3 308.429 4.282 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236193338 1054993397 /nfs/dbraw/zinc/99/33/97/1054993397.db2.gz JXWCSGYCOSEUEE-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN Cc1ncc(F)cc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236194638 1054993941 /nfs/dbraw/zinc/99/39/41/1054993941.db2.gz XJZIDQHPMBEJSH-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN Cc1nc(C(C)(C)NCCCOCc2ccccc2)sc1C ZINC000182534495 1054996173 /nfs/dbraw/zinc/99/61/73/1054996173.db2.gz GHEAGNOORWZRTQ-UHFFFAOYSA-N 0 3 318.486 4.192 20 0 DIADHN CC[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1nccn1C ZINC000182631136 1055000256 /nfs/dbraw/zinc/00/02/56/1055000256.db2.gz RJDSPNPKFWAODB-CQSZACIVSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@H](NCC(C)(C)c1cccc(Cl)c1)c1nccn1C ZINC000182682856 1055004661 /nfs/dbraw/zinc/00/46/61/1055004661.db2.gz KXFKOVCZLSFUEV-HNNXBMFYSA-N 0 3 305.853 4.092 20 0 DIADHN O=c1[nH]cccc1CN[C@@H](c1ccc(Cl)c(Cl)c1)C1CC1 ZINC000624258210 1055005567 /nfs/dbraw/zinc/00/55/67/1055005567.db2.gz CQQNIOBNPRHACG-OAHLLOKOSA-N 0 3 323.223 4.335 20 0 DIADHN CC(C)C[C@@H](NCc1ccc[nH]c1=O)c1ccc(Cl)cc1 ZINC000624258288 1055014963 /nfs/dbraw/zinc/01/49/63/1055014963.db2.gz FSIQTCJAUKZMGJ-MRXNPFEDSA-N 0 3 304.821 4.318 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)N(CCN(C)C)c1ccccc1 ZINC001535838398 1055019626 /nfs/dbraw/zinc/01/96/26/1055019626.db2.gz DPZSGBYDXODGSI-GOSISDBHSA-N 0 3 316.489 4.188 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)c1cc(C)cc(C)c1 ZINC000182942520 1055019673 /nfs/dbraw/zinc/01/96/73/1055019673.db2.gz OIQCNBQEDFALGS-QGZVFWFLSA-N 0 3 324.468 4.464 20 0 DIADHN CCOc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nc1 ZINC001236232064 1055020671 /nfs/dbraw/zinc/02/06/71/1055020671.db2.gz LPNYFKXWWQCNEV-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCc3ccc(N)cc3C2)c1 ZINC001236232283 1055020918 /nfs/dbraw/zinc/02/09/18/1055020918.db2.gz BXXRKBLGNVTOCL-UHFFFAOYSA-N 0 3 310.441 4.004 20 0 DIADHN Fc1cc(-c2ccc3ccncc3n2)ccc1CN1CCCCC1 ZINC001236233462 1055023920 /nfs/dbraw/zinc/02/39/20/1055023920.db2.gz RLXHVNRONUYOFP-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1c(F)ccnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236234246 1055024558 /nfs/dbraw/zinc/02/45/58/1055024558.db2.gz YYQUVHKKVKZDPV-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN C[C@H](NCC1(c2cccs2)CCCCC1)c1nccn1C ZINC000183004516 1055024658 /nfs/dbraw/zinc/02/46/58/1055024658.db2.gz SPKSUNZNZAAOPT-AWEZNQCLSA-N 0 3 303.475 4.034 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1Cl)c1nccn1C ZINC000183064948 1055027503 /nfs/dbraw/zinc/02/75/03/1055027503.db2.gz CHCVGIZZCIYMRX-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1csc(-c2ccccc2OC)n1 ZINC000183057130 1055028740 /nfs/dbraw/zinc/02/87/40/1055028740.db2.gz QSNSMRBDWJMXCV-OLZOCXBDSA-N 0 3 304.459 4.343 20 0 DIADHN COCc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nc1 ZINC001236243592 1055029575 /nfs/dbraw/zinc/02/95/75/1055029575.db2.gz BSFGZOWLQOXBHQ-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN Fc1cnc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236248700 1055031553 /nfs/dbraw/zinc/03/15/53/1055031553.db2.gz JZFBRPFGJSNSFC-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC(C)CCc1ccc(NC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001535943853 1055033332 /nfs/dbraw/zinc/03/33/32/1055033332.db2.gz AWMRWHRROFSDBZ-GOSISDBHSA-N 0 3 302.462 4.087 20 0 DIADHN Fc1cc(F)nc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236250307 1055034146 /nfs/dbraw/zinc/03/41/46/1055034146.db2.gz GFYSMTJDBQUODB-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)c2nccn2c1 ZINC001236249310 1055034234 /nfs/dbraw/zinc/03/42/34/1055034234.db2.gz RWXIKMFCRMHAFO-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2N[C@H](C)c1cccc(CO)c1 ZINC000183229277 1055034975 /nfs/dbraw/zinc/03/49/75/1055034975.db2.gz RKKSBNDBOZIWEE-RDTXWAMCSA-N 0 3 313.441 4.445 20 0 DIADHN Cc1ncc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236252082 1055035884 /nfs/dbraw/zinc/03/58/84/1055035884.db2.gz YJXXCTFABPBTIW-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2cccc(F)c2F)cs1 ZINC000183253309 1055038041 /nfs/dbraw/zinc/03/80/41/1055038041.db2.gz IALLZFWPADXREH-NSHDSACASA-N 0 3 310.413 4.438 20 0 DIADHN Cn1ccnc1[C@@H](NCC(C)(C)c1ccccc1Cl)C1CC1 ZINC000183268219 1055038819 /nfs/dbraw/zinc/03/88/19/1055038819.db2.gz RVWRBHUKWSJRRA-INIZCTEOSA-N 0 3 317.864 4.092 20 0 DIADHN CC[C@@H](CSC)N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000183517828 1055048292 /nfs/dbraw/zinc/04/82/92/1055048292.db2.gz MWBMYHIXVDYFKJ-PWSUYJOCSA-N 0 3 311.882 4.019 20 0 DIADHN OCC[C@@H](CN[C@@H](c1ccco1)c1ccccc1)c1ccccc1 ZINC000183633594 1055051720 /nfs/dbraw/zinc/05/17/20/1055051720.db2.gz VNFYYARKDYQFGY-PZJWPPBQSA-N 0 3 321.420 4.125 20 0 DIADHN OCC[C@@H](CN[C@H](c1ccco1)c1ccccc1)c1ccccc1 ZINC000183633555 1055052348 /nfs/dbraw/zinc/05/23/48/1055052348.db2.gz VNFYYARKDYQFGY-FPOVZHCZSA-N 0 3 321.420 4.125 20 0 DIADHN Cc1cc(CN(C)[C@H](C)c2ccncc2)cc(C)c1OC(F)F ZINC000183716571 1055055269 /nfs/dbraw/zinc/05/52/69/1055055269.db2.gz ODBFZIAJKZZLQR-CQSZACIVSA-N 0 3 320.383 4.493 20 0 DIADHN CN(C)c1ccnc(-c2ccc(NC(=O)c3ccccc3)cc2)c1 ZINC001236329048 1055060789 /nfs/dbraw/zinc/06/07/89/1055060789.db2.gz OGVBSGANKDZDAH-UHFFFAOYSA-N 0 3 317.392 4.067 20 0 DIADHN Cc1c(CN2CCC(c3ccc(N)cc3)CC2)ccc(F)c1F ZINC001236336858 1055066039 /nfs/dbraw/zinc/06/60/39/1055066039.db2.gz MVGQYAHZZZMVRV-UHFFFAOYSA-N 0 3 316.395 4.235 20 0 DIADHN Cc1csc([C@H](C)NCCCOc2cccc(Cl)c2)n1 ZINC000184144175 1055069807 /nfs/dbraw/zinc/06/98/07/1055069807.db2.gz OETFACUMVMLNHH-LBPRGKRZSA-N 0 3 310.850 4.225 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCc2c(N)cccc2C1 ZINC001236365857 1055076416 /nfs/dbraw/zinc/07/64/16/1055076416.db2.gz YRJNGMSFUSCWCS-UHFFFAOYSA-N 0 3 300.833 4.097 20 0 DIADHN C[C@H](NCCCOCC(F)(F)F)c1nc(C(C)(C)C)cs1 ZINC000184459782 1055076364 /nfs/dbraw/zinc/07/63/64/1055076364.db2.gz VGLKCHMAVCHJMB-JTQLQIEISA-N 0 3 324.412 4.060 20 0 DIADHN CO[C@@H](C)CN[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184949214 1055084739 /nfs/dbraw/zinc/08/47/39/1055084739.db2.gz WASVTSKIACMQMU-WDEREUQCSA-N 0 3 324.877 4.457 20 0 DIADHN CCN[C@H](C)c1nc(-c2ccc(Br)cc2)cs1 ZINC000184958216 1055084779 /nfs/dbraw/zinc/08/47/79/1055084779.db2.gz WWWCCHZTHQEPNN-SECBINFHSA-N 0 3 311.248 4.243 20 0 DIADHN CC(C)Oc1cc(-c2cccc(CN(C)C)n2)ccc1Cl ZINC001236410055 1055100941 /nfs/dbraw/zinc/10/09/41/1055100941.db2.gz XNUDHZKPWZDCNF-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CCCNc2ccccc21 ZINC000193349528 1055103844 /nfs/dbraw/zinc/10/38/44/1055103844.db2.gz AKFBXBPZWJCFRL-RBUKOAKNSA-N 0 3 308.425 4.355 20 0 DIADHN Cc1nn(C)c2ncc(CN(C)Cc3ccc(C(C)C)cc3)cc12 ZINC000193684237 1055107068 /nfs/dbraw/zinc/10/70/68/1055107068.db2.gz ABIZGXZMJKFNON-UHFFFAOYSA-N 0 3 322.456 4.032 20 0 DIADHN CSc1cc(C)cc(CN2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001238676213 1055109716 /nfs/dbraw/zinc/10/97/16/1055109716.db2.gz SYPWBAHXWIHILQ-CQSZACIVSA-N 0 3 303.393 4.286 20 0 DIADHN CCCc1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1 ZINC001236470091 1055129420 /nfs/dbraw/zinc/12/94/20/1055129420.db2.gz QLDCLPITNWPXLG-UXHICEINSA-N 0 3 319.452 4.249 20 0 DIADHN COc1cc(C)cnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236470357 1055130199 /nfs/dbraw/zinc/13/01/99/1055130199.db2.gz DUGBEHVXKKSQEP-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN CC(C)n1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001236471708 1055131430 /nfs/dbraw/zinc/13/14/30/1055131430.db2.gz FGQATBHPBRUCEB-UXHICEINSA-N 0 3 307.441 4.284 20 0 DIADHN CCc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc(C)n1 ZINC001236472212 1055131525 /nfs/dbraw/zinc/13/15/25/1055131525.db2.gz XJVYIDUXTZBLRG-UXHICEINSA-N 0 3 319.452 4.168 20 0 DIADHN Fc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc(F)n1 ZINC001236475041 1055133520 /nfs/dbraw/zinc/13/35/20/1055133520.db2.gz PKZSQNVNNVLUGZ-SJORKVTESA-N 0 3 312.363 4.180 20 0 DIADHN Cc1c(F)nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236475013 1055134127 /nfs/dbraw/zinc/13/41/27/1055134127.db2.gz ORABVWUUXLMXSR-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN COc1nccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1C ZINC001236476506 1055135134 /nfs/dbraw/zinc/13/51/34/1055135134.db2.gz UJYLPKXTPGXELY-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN COc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cnc1F ZINC001236476290 1055135473 /nfs/dbraw/zinc/13/54/73/1055135473.db2.gz QNFKMUFHTDNDKM-MSOLQXFVSA-N 0 3 324.399 4.050 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(OC)c(O)c2)cc1 ZINC000195039356 1055143220 /nfs/dbraw/zinc/14/32/20/1055143220.db2.gz JGWVPXBOCBUAHD-AWEZNQCLSA-N 0 3 315.413 4.040 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C)n1C1CC1 ZINC000195120255 1055147949 /nfs/dbraw/zinc/14/79/49/1055147949.db2.gz DIXNGALIRHLFAA-OALUTQOASA-N 0 3 324.472 4.080 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)OC ZINC000381125839 1055149475 /nfs/dbraw/zinc/14/94/75/1055149475.db2.gz GCVWCIXQMHJAMH-FZKQIMNGSA-N 0 3 305.393 4.236 20 0 DIADHN CC[C@H](C)[C@H](CNCc1cc(Cl)ccc1OC(F)F)OC ZINC000381109614 1055149723 /nfs/dbraw/zinc/14/97/23/1055149723.db2.gz BWIXJCISBWJWLM-HZMBPMFUSA-N 0 3 321.795 4.092 20 0 DIADHN COc1cc(C)c(CN(C)[C@@H](C)c2cccc(F)c2)cc1OC ZINC000195542035 1055155805 /nfs/dbraw/zinc/15/58/05/1055155805.db2.gz WYCOLIKXNYSQPT-AWEZNQCLSA-N 0 3 317.404 4.344 20 0 DIADHN CC[C@H](NC[C@H](OC)C1CC1)c1ccc(Cl)cc1Cl ZINC000381240036 1055155993 /nfs/dbraw/zinc/15/59/93/1055155993.db2.gz RTTKCOURODNQJL-GJZGRUSLSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1cc(Cl)nc(Cl)c1CN(C(C)C)C1CCOCC1 ZINC001236611764 1055203853 /nfs/dbraw/zinc/20/38/53/1055203853.db2.gz ZRSCWKXKRKJTEY-UHFFFAOYSA-N 0 3 317.260 4.086 20 0 DIADHN CC(C)Oc1cncc(-c2cccc(CN3CCCC3)c2F)c1 ZINC001236523235 1055159641 /nfs/dbraw/zinc/15/96/41/1055159641.db2.gz UCNOFETUUKUHRD-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@@H]3CCCC[C@@H]3C)o2)cc1 ZINC000195696286 1055160071 /nfs/dbraw/zinc/16/00/71/1055160071.db2.gz MEECVNYOIPBXQH-WMLDXEAASA-N 0 3 314.429 4.361 20 0 DIADHN Cc1cc(CNCc2ccc(OC(F)(F)F)cc2)cs1 ZINC000381281627 1055160412 /nfs/dbraw/zinc/16/04/12/1055160412.db2.gz LFWJDFFSDISSNI-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN COc1ccc([C@H](NC[C@@H](OC)C(C)C)c2ccccc2)cc1 ZINC000381679019 1055174544 /nfs/dbraw/zinc/17/45/44/1055174544.db2.gz BIWOYUICIMQCKH-WOJBJXKFSA-N 0 3 313.441 4.045 20 0 DIADHN Brc1sccc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000381659144 1055175488 /nfs/dbraw/zinc/17/54/88/1055175488.db2.gz UJXBBEYHUNXHQF-NWDGAFQWSA-N 0 3 300.265 4.179 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](C)c2csc(C)c2)c1 ZINC000381780595 1055181525 /nfs/dbraw/zinc/18/15/25/1055181525.db2.gz RBBAINXHMDABSS-CHWSQXEVSA-N 0 3 302.443 4.427 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@H]3CCCC[C@@H]32)c1Cl ZINC000382126183 1055201966 /nfs/dbraw/zinc/20/19/66/1055201966.db2.gz MFFKFLCUPDRQQA-CJNGLKHVSA-N 0 3 321.848 4.281 20 0 DIADHN Fc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)c2c(c1)CCCO2 ZINC001236628621 1055205484 /nfs/dbraw/zinc/20/54/84/1055205484.db2.gz AIXFZKCKQDEQGL-MRXNPFEDSA-N 0 3 321.411 4.255 20 0 DIADHN Fc1cc(CN2CCC3(CC[C@H](F)C3)CC2)c2c(c1)CCCO2 ZINC001236628619 1055206299 /nfs/dbraw/zinc/20/62/99/1055206299.db2.gz AIXFZKCKQDEQGL-INIZCTEOSA-N 0 3 321.411 4.255 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NC[C@H](O)c1ccccc1 ZINC000764559524 1055207273 /nfs/dbraw/zinc/20/72/73/1055207273.db2.gz TXOGPBLRYAONBE-DYVFJYSZSA-N 0 3 319.832 4.123 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NC[C@@H](O)c1ccccc1 ZINC000764559523 1055207825 /nfs/dbraw/zinc/20/78/25/1055207825.db2.gz TXOGPBLRYAONBE-CXAGYDPISA-N 0 3 319.832 4.123 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)sc1Br ZINC000382250150 1055208178 /nfs/dbraw/zinc/20/81/78/1055208178.db2.gz UVRMPOAZDBXVFN-CSMHCCOUSA-N 0 3 310.207 4.123 20 0 DIADHN FC(F)(F)c1cc(CN2CC3CCC(CC3)C2)cnc1Cl ZINC001236631854 1055209794 /nfs/dbraw/zinc/20/97/94/1055209794.db2.gz PCKHPHVSSYCKFX-UHFFFAOYSA-N 0 3 318.770 4.376 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccccc1)c1cc(Cl)ccc1OC ZINC000764557963 1055209961 /nfs/dbraw/zinc/20/99/61/1055209961.db2.gz DTSJMVZFDZXOSH-SJORKVTESA-N 0 3 319.832 4.123 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cc(Cl)cc(C)n1 ZINC001236647327 1055212460 /nfs/dbraw/zinc/21/24/60/1055212460.db2.gz LOPVEFWLMMBLKX-CQSZACIVSA-N 0 3 318.848 4.115 20 0 DIADHN CN(CCc1cccnc1)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236661502 1055218851 /nfs/dbraw/zinc/21/88/51/1055218851.db2.gz KRGNWZVBWCCFMY-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@H]2CCOC2)c2cccs2)cc1 ZINC000764669546 1055233066 /nfs/dbraw/zinc/23/30/66/1055233066.db2.gz VIPPWVKGTYXNAP-PKOBYXMFSA-N 0 3 315.482 4.415 20 0 DIADHN Cc1c(F)ccc(CN2CC[C@H](c3cccnc3)C2)c1Cl ZINC001236712648 1055236862 /nfs/dbraw/zinc/23/68/62/1055236862.db2.gz XIKVJYGFLQQMFD-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN CCCCC[C@@H](CC)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC001587215229 1055240597 /nfs/dbraw/zinc/24/05/97/1055240597.db2.gz RKPZVTIWVVVZDU-SJLPKXTDSA-N 0 3 304.478 4.012 20 0 DIADHN Cc1c(F)ccc(CN(CCCCCO)C(C)C)c1Cl ZINC001236716618 1055241599 /nfs/dbraw/zinc/24/15/99/1055241599.db2.gz VYPKXSCBJVYPQZ-UHFFFAOYSA-N 0 3 301.833 4.161 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3ccoc32)c(OC)c1 ZINC001236734362 1055243710 /nfs/dbraw/zinc/24/37/10/1055243710.db2.gz CLKLVHDMEAVKRQ-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN c1cc2c(cccc2CN2CC[C@@H]2COCc2ccccc2)o1 ZINC001236742550 1055247471 /nfs/dbraw/zinc/24/74/71/1055247471.db2.gz FMHGOQWGVDUJSK-GOSISDBHSA-N 0 3 307.393 4.224 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cc2sccc2nc1Cl ZINC001236866078 1055295325 /nfs/dbraw/zinc/29/53/25/1055295325.db2.gz DVOVCLSYZVOXSY-AWEZNQCLSA-N 0 3 324.877 4.339 20 0 DIADHN CC(C)N(Cc1nc(Cl)ccc1N)[C@@H](C)c1ccccc1 ZINC001236858636 1055296882 /nfs/dbraw/zinc/29/68/82/1055296882.db2.gz DXWFYZFYOLBNBG-ZDUSSCGKSA-N 0 3 303.837 4.289 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236889744 1055301963 /nfs/dbraw/zinc/30/19/63/1055301963.db2.gz FMAQMYKMTCNNCM-AWEZNQCLSA-N 0 3 319.273 4.296 20 0 DIADHN CC(C)N(CCCCCO)Cc1nc2ccccc2cc1Cl ZINC001236878810 1055303778 /nfs/dbraw/zinc/30/37/78/1055303778.db2.gz VVPXFTUIXBTITI-UHFFFAOYSA-N 0 3 320.864 4.261 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1nc2ccccc2cc1Cl ZINC001236876986 1055304155 /nfs/dbraw/zinc/30/41/55/1055304155.db2.gz MQVRGAUIPCZRFQ-YIONKMFJSA-N 0 3 316.832 4.030 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc(N2CCCCC2)nc1 ZINC000764989170 1055305668 /nfs/dbraw/zinc/30/56/68/1055305668.db2.gz JZDTXHPPKPEOKH-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc(N2CCCCC2)nc1 ZINC000764989169 1055306131 /nfs/dbraw/zinc/30/61/31/1055306131.db2.gz JZDTXHPPKPEOKH-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN Clc1cc(CN2CCC23CCCC3)ccc1-n1cccn1 ZINC001236896282 1055308304 /nfs/dbraw/zinc/30/83/04/1055308304.db2.gz DQWLTIPQJJRHIL-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@](C)(O)c2cccc(Cl)c2)o1 ZINC000764981260 1055308459 /nfs/dbraw/zinc/30/84/59/1055308459.db2.gz BGBORDHMPZEDFH-PZPSRYQVSA-N 0 3 319.832 4.054 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@](C)(O)c2cccc(Cl)c2)o1 ZINC000764981263 1055308833 /nfs/dbraw/zinc/30/88/33/1055308833.db2.gz BGBORDHMPZEDFH-XHBKTUGNSA-N 0 3 319.832 4.054 20 0 DIADHN Cc1cc([C@@H]2CCCN2c2c(C)cnc3c(C)cccc32)no1 ZINC000765003006 1055311411 /nfs/dbraw/zinc/31/14/11/1055311411.db2.gz ZDIBKLJIRISSQH-KRWDZBQOSA-N 0 3 307.397 4.490 20 0 DIADHN Clc1cc(CN2CCCCC23CC3)ccc1-n1ccnc1 ZINC001236911084 1055312278 /nfs/dbraw/zinc/31/22/78/1055312278.db2.gz IAJXVCWJSKCEBB-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN Cc1cccc(-c2ccc(CN3CC[C@@H](OC(C)C)C3)cn2)c1C ZINC001236985625 1055326972 /nfs/dbraw/zinc/32/69/72/1055326972.db2.gz PDCASEXGWXQJDU-LJQANCHMSA-N 0 3 324.468 4.365 20 0 DIADHN Fc1ccc(-c2cncc(CN3CCC[C@H](C(F)F)C3)c2)cc1 ZINC001237001825 1055332721 /nfs/dbraw/zinc/33/27/21/1055332721.db2.gz LPSXFCLOTBINTF-HNNXBMFYSA-N 0 3 320.358 4.365 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccc(Cl)cc3)nc2)CCCO1 ZINC001237007001 1055335097 /nfs/dbraw/zinc/33/50/97/1055335097.db2.gz SJPLEFHJIPJJGO-CQSZACIVSA-N 0 3 316.832 4.013 20 0 DIADHN C[C@@H]1CCN1Cc1ccc(-c2ccccc2OC(F)(F)F)nc1 ZINC001237017273 1055340599 /nfs/dbraw/zinc/34/05/99/1055340599.db2.gz QLFLUWBUOIYVDH-GFCCVEGCSA-N 0 3 322.330 4.241 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCC[C@H]4C[C@H]43)cn2)c(F)c1 ZINC001237013214 1055340766 /nfs/dbraw/zinc/34/07/66/1055340766.db2.gz KXAKIQKWLXITPF-SCLBCKFNSA-N 0 3 300.352 4.011 20 0 DIADHN C[C@H](C(=O)Nc1ccc2sccc2c1)N1[C@H](C)CC[C@H]1C ZINC001587649538 1055341192 /nfs/dbraw/zinc/34/11/92/1055341192.db2.gz KRATVSIKJGCPEA-JHJVBQTASA-N 0 3 302.443 4.101 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCc3cc(C)ccc32)cn1 ZINC000765239585 1055342817 /nfs/dbraw/zinc/34/28/17/1055342817.db2.gz PBQOAPJLMFKIMF-IBGZPJMESA-N 0 3 309.457 4.013 20 0 DIADHN COCCN(Cc1ccc(-c2ccccc2Cl)nc1)C(C)C ZINC001237021078 1055342854 /nfs/dbraw/zinc/34/28/54/1055342854.db2.gz NEMXJHICQIWTKI-UHFFFAOYSA-N 0 3 318.848 4.259 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(Cl)cc2)s1 ZINC000765246041 1055344497 /nfs/dbraw/zinc/34/44/97/1055344497.db2.gz ROSHRRLECVGOFK-NEPJUHHUSA-N 0 3 322.861 4.388 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCSC(F)(F)F ZINC000765246074 1055345349 /nfs/dbraw/zinc/34/53/49/1055345349.db2.gz RRBZVQFFRIGWES-MRVPVSSYSA-N 0 3 313.772 4.252 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccc(F)cc2Cl)cc1 ZINC000765271895 1055350848 /nfs/dbraw/zinc/35/08/48/1055350848.db2.gz PXTPRYWBGBIAHG-SJCJKPOMSA-N 0 3 307.796 4.172 20 0 DIADHN CCOc1ccccc1CN[C@@H](CC)c1ccc2c(c1)CCO2 ZINC000765280279 1055356720 /nfs/dbraw/zinc/35/67/20/1055356720.db2.gz JHDXQGPGEHQZHD-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@@H]2c2cccnc2)c1 ZINC001237066462 1055358091 /nfs/dbraw/zinc/35/80/91/1055358091.db2.gz UCQHIODMUVPNLZ-HXUWFJFHSA-N 0 3 304.393 4.278 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCc3c2cccc3Cl)cc1 ZINC000765302299 1055358237 /nfs/dbraw/zinc/35/82/37/1055358237.db2.gz CTXVEGZDTJYPRP-SGTLLEGYSA-N 0 3 301.817 4.170 20 0 DIADHN CC(C)(C)Oc1cccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)c1 ZINC001237097921 1055369256 /nfs/dbraw/zinc/36/92/56/1055369256.db2.gz DQYHMLYOLMFLDY-GASCZTMLSA-N 0 3 309.400 4.341 20 0 DIADHN CCCOC1CCN(Cc2cccc(OC(C)(C)C)c2)CC1 ZINC001237103795 1055371649 /nfs/dbraw/zinc/37/16/49/1055371649.db2.gz KHKADONFHSQYTE-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC(OC3CCC3)CC2)c1 ZINC001237098971 1055371973 /nfs/dbraw/zinc/37/19/73/1055371973.db2.gz QWUJKVCWXBSOMY-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc(Cl)c2C)s1 ZINC000765372783 1055373624 /nfs/dbraw/zinc/37/36/24/1055373624.db2.gz RVIZNMVNDWEMHT-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN COc1cccc2c(CN3CCc4ccccc4[C@@H]3C)ccnc12 ZINC001237111265 1055375376 /nfs/dbraw/zinc/37/53/76/1055375376.db2.gz COKZBJBMCXJUDZ-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN CC(C)[C@H](NCc1c2c(nn1C)CCC2)c1ccc(Cl)cc1 ZINC000921512369 1055384568 /nfs/dbraw/zinc/38/45/68/1055384568.db2.gz IGURJQAETLAEOO-SFHVURJKSA-N 0 3 317.864 4.049 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@@H]1CCc3cc(C)ccc31)CCO2 ZINC000765430496 1055387204 /nfs/dbraw/zinc/38/72/04/1055387204.db2.gz YPLZYQFGOMGOSM-UXHICEINSA-N 0 3 323.436 4.494 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@H]1CCc3cc(C)ccc31)CCO2 ZINC000765430498 1055387313 /nfs/dbraw/zinc/38/73/13/1055387313.db2.gz YPLZYQFGOMGOSM-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1c(CN2CC[C@H](c3ccc(F)cc3)C2)ccc(F)c1F ZINC001237163479 1055390548 /nfs/dbraw/zinc/39/05/48/1055390548.db2.gz RWTVHWMAKFIMJT-HNNXBMFYSA-N 0 3 305.343 4.402 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CCc2cc(C)ccc21 ZINC000765429377 1055392345 /nfs/dbraw/zinc/39/23/45/1055392345.db2.gz VFXHHSMIXHYFJJ-MSOLQXFVSA-N 0 3 309.409 4.104 20 0 DIADHN Cc1c(CN2CCC(c3cccc(O)c3)CC2)ccc(F)c1F ZINC001237168635 1055392869 /nfs/dbraw/zinc/39/28/69/1055392869.db2.gz WVFMQODXZHECGV-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1)c1cc(F)c(F)c(F)c1 ZINC000765440903 1055393039 /nfs/dbraw/zinc/39/30/39/1055393039.db2.gz VALGKRLBFKWKSA-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN Clc1ccc2c(c1)[C@@H]1CN(Cc3cccs3)CC[C@H]1N2 ZINC001237234379 1055407403 /nfs/dbraw/zinc/40/74/03/1055407403.db2.gz MEALCRHPBICEMK-GOEBONIOSA-N 0 3 304.846 4.185 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc2nccc(Cl)c2c1 ZINC001237267266 1055409469 /nfs/dbraw/zinc/40/94/69/1055409469.db2.gz KHYWBANXCVMKCF-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN Cc1cc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@@H]3C2)cs1 ZINC001237248563 1055410370 /nfs/dbraw/zinc/41/03/70/1055410370.db2.gz LUMTUOZFQDROEO-DOTOQJQBSA-N 0 3 318.873 4.494 20 0 DIADHN Cc1cc(Br)c(CN2C[C@@H]3CCCC[C@@H]3C2)cc1O ZINC001237298413 1055421887 /nfs/dbraw/zinc/42/18/87/1055421887.db2.gz KXKUMLICDMAUQT-BETUJISGSA-N 0 3 324.262 4.085 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000011047290 1055422524 /nfs/dbraw/zinc/42/25/24/1055422524.db2.gz CRPWQTUNYCQFOY-GUYCJALGSA-N 0 3 316.832 4.408 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H](CCCO)c1ccccc1 ZINC000765518527 1055424768 /nfs/dbraw/zinc/42/47/68/1055424768.db2.gz WZVCNPIOWDYDRW-GOSISDBHSA-N 0 3 322.408 4.169 20 0 DIADHN Clc1cccc(CN2CCC3(Cc4ccccc4N3)CC2)c1 ZINC001237333531 1055431652 /nfs/dbraw/zinc/43/16/52/1055431652.db2.gz KGKDNPXWYCLQRT-UHFFFAOYSA-N 0 3 312.844 4.343 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC(C)(F)CC1 ZINC001237338677 1055432577 /nfs/dbraw/zinc/43/25/77/1055432577.db2.gz USOILECMIOQAPH-UHFFFAOYSA-N 0 3 322.424 4.358 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccc(OC(F)F)c(F)c2)CC1 ZINC001237352739 1055433358 /nfs/dbraw/zinc/43/33/58/1055433358.db2.gz KXBWLCTUWJWCRT-OAHLLOKOSA-N 0 3 305.315 4.141 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC2(CC2)C1 ZINC001237340301 1055434501 /nfs/dbraw/zinc/43/45/01/1055434501.db2.gz MJGOCZSCZYUAGI-UHFFFAOYSA-N 0 3 302.418 4.020 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@@H](Oc2cccnc2)C1 ZINC001237354022 1055436833 /nfs/dbraw/zinc/43/68/33/1055436833.db2.gz SZPLEOIRMLJHBC-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN CN(CCC1CC1)Cc1cccc(F)c1NC(=O)OC(C)(C)C ZINC001237360729 1055438872 /nfs/dbraw/zinc/43/88/72/1055438872.db2.gz FJHIQYSQRCXJRW-UHFFFAOYSA-N 0 3 322.424 4.405 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCCc2ccccc2C1 ZINC001237372684 1055441166 /nfs/dbraw/zinc/44/11/66/1055441166.db2.gz HIUOCKXSCXDVTB-UHFFFAOYSA-N 0 3 304.393 4.290 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC(c2ccncc2)CC1 ZINC001237373741 1055442434 /nfs/dbraw/zinc/44/24/34/1055442434.db2.gz NNBLOVNUTDYPFI-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cnc(-c2ccccc2)o1 ZINC001237369621 1055442624 /nfs/dbraw/zinc/44/26/24/1055442624.db2.gz XEXANMHALJSOSW-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN COc1ccc(CCN(C)Cc2cnc(-c3ccccc3)o2)cc1 ZINC001237367499 1055442655 /nfs/dbraw/zinc/44/26/55/1055442655.db2.gz HOJGJBQUZPQJAK-UHFFFAOYSA-N 0 3 322.408 4.025 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cnc(-c3ccccc3)o1)CC2 ZINC001237363087 1055442825 /nfs/dbraw/zinc/44/28/25/1055442825.db2.gz JCEOGNDHMSLNBK-UHFFFAOYSA-N 0 3 318.367 4.353 20 0 DIADHN Cc1ncc(CN2CCC[C@H]3CCCC[C@H]32)cc1Br ZINC001237554152 1055474768 /nfs/dbraw/zinc/47/47/68/1055474768.db2.gz CVSDQLQNRAZMCJ-GDBMZVCRSA-N 0 3 323.278 4.307 20 0 DIADHN COc1ccc2c(c1)[nH]nc2CN(Cc1ccccc1)C(C)(C)C ZINC001237394667 1055445736 /nfs/dbraw/zinc/44/57/36/1055445736.db2.gz CPTBWRRFZFAGGV-UHFFFAOYSA-N 0 3 323.440 4.372 20 0 DIADHN C[C@H](CC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C)CC(C)(C)C ZINC001537814880 1055447920 /nfs/dbraw/zinc/44/79/20/1055447920.db2.gz LDNCDPXOGFOFMY-JTDSTZFVSA-N 0 3 318.505 4.256 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCCC[C@@H]1c1ccccc1 ZINC001237405245 1055447930 /nfs/dbraw/zinc/44/79/30/1055447930.db2.gz VBBXNKPQVAHHDT-HXUWFJFHSA-N 0 3 308.425 4.372 20 0 DIADHN CC(C)c1cccc(C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)c1 ZINC001537814410 1055447939 /nfs/dbraw/zinc/44/79/39/1055447939.db2.gz ARUOEXXUQOWXRG-UZLBHIALSA-N 0 3 324.468 4.231 20 0 DIADHN CC(C)c1cccc(C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)c1 ZINC001537814407 1055448005 /nfs/dbraw/zinc/44/80/05/1055448005.db2.gz ARUOEXXUQOWXRG-JXFKEZNVSA-N 0 3 324.468 4.231 20 0 DIADHN CCCCC[C@H](C)CC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001537814485 1055448251 /nfs/dbraw/zinc/44/82/51/1055448251.db2.gz BVQASJYZSJCJBJ-SQGPQFPESA-N 0 3 318.505 4.401 20 0 DIADHN CCC1(C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)CCCCC1 ZINC001537814570 1055448514 /nfs/dbraw/zinc/44/85/14/1055448514.db2.gz DKGKPLOVERLOBO-SJLPKXTDSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H](CC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C)CC(C)(C)C ZINC001537814878 1055448953 /nfs/dbraw/zinc/44/89/53/1055448953.db2.gz LDNCDPXOGFOFMY-GJYPPUQNSA-N 0 3 318.505 4.256 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccc(F)c2cccnc12 ZINC001237422836 1055449566 /nfs/dbraw/zinc/44/95/66/1055449566.db2.gz KTBIQZMLNLNJIC-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccc(F)c2cccnc12 ZINC001237434693 1055453252 /nfs/dbraw/zinc/45/32/52/1055453252.db2.gz SKJSTUUNAAIKCW-AWEZNQCLSA-N 0 3 306.384 4.321 20 0 DIADHN CC(C)c1cccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)c1 ZINC001237460103 1055455288 /nfs/dbraw/zinc/45/52/88/1055455288.db2.gz HSDNRIJXMFSLLK-PMACEKPBSA-N 0 3 318.480 4.208 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(C(C)C)c2)cc1OC ZINC001237459792 1055455916 /nfs/dbraw/zinc/45/59/16/1055455916.db2.gz VPHUPZZQGDAAMK-UHFFFAOYSA-N 0 3 313.441 4.459 20 0 DIADHN CC(C)c1cccc(CN2CCC(Oc3cccnc3)CC2)c1 ZINC001237459958 1055456361 /nfs/dbraw/zinc/45/63/61/1055456361.db2.gz YLXGOYNRFIDQIV-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)c1cccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)c1 ZINC001237460104 1055456483 /nfs/dbraw/zinc/45/64/83/1055456483.db2.gz HSDNRIJXMFSLLK-VQTJNVASSA-N 0 3 318.480 4.208 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c(Cl)[nH]c3cnccc31)CC2 ZINC001237459898 1055456854 /nfs/dbraw/zinc/45/68/54/1055456854.db2.gz XRGAAFOEFZGESN-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@@H]3CCC[C@@H]3C2)cc(Cl)n1 ZINC001237494374 1055462534 /nfs/dbraw/zinc/46/25/34/1055462534.db2.gz HMEAMSXFNJXDEA-NWDGAFQWSA-N 0 3 318.770 4.376 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2cccc(C)c2OC)c1 ZINC001237515194 1055464421 /nfs/dbraw/zinc/46/44/21/1055464421.db2.gz XEJOIWQQVIIMCN-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN Cc1c(CN2CCCC(=O)[C@@H](C)C2)cccc1-c1ccccc1 ZINC001237580829 1055478292 /nfs/dbraw/zinc/47/82/92/1055478292.db2.gz JPZWNBGAWLAGDL-INIZCTEOSA-N 0 3 307.437 4.463 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CCc3cccnc3)nc2c1 ZINC000172070516 1055483474 /nfs/dbraw/zinc/48/34/74/1055483474.db2.gz ZSAUKMZITZINTM-MRXNPFEDSA-N 0 3 309.438 4.071 20 0 DIADHN FC(F)Oc1cc(CN2CCC[C@H]2c2ccccc2)ccn1 ZINC001237613688 1055485293 /nfs/dbraw/zinc/48/52/93/1055485293.db2.gz HCRJTHMNEQUFQB-HNNXBMFYSA-N 0 3 304.340 4.020 20 0 DIADHN CCOc1ccc(CN2CCC3(CCOC3(C)C)CC2)c(F)c1 ZINC001237627208 1055488570 /nfs/dbraw/zinc/48/85/70/1055488570.db2.gz BOHUKJIVPJPCAW-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN COc1ccsc1CN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC001620864252 1055492721 /nfs/dbraw/zinc/49/27/21/1055492721.db2.gz UNPIPCCGKHAFOP-ZBFHGGJFSA-N 0 3 317.454 4.014 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(C)ccc1OC)CCC2 ZINC001620867323 1055494032 /nfs/dbraw/zinc/49/40/32/1055494032.db2.gz DCDKXNJYKRZAIA-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CNc1cc(C)cc(C)n1 ZINC001620868272 1055496387 /nfs/dbraw/zinc/49/63/87/1055496387.db2.gz WTHUBNKAKYQXPD-UHFFFAOYSA-N 0 3 320.440 4.172 20 0 DIADHN Clc1cccc(-c2ccc(CN[C@@H]3CCOC3)o2)c1Cl ZINC001620871986 1055499355 /nfs/dbraw/zinc/49/93/55/1055499355.db2.gz AUKOJRXGGDIMQB-SNVBAGLBSA-N 0 3 312.196 4.132 20 0 DIADHN Cc1cccc(C)c1CNCc1cc2cc(Cl)cnc2o1 ZINC001620871976 1055500488 /nfs/dbraw/zinc/50/04/88/1055500488.db2.gz AJNZENLZBMHXRO-UHFFFAOYSA-N 0 3 300.789 4.388 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc2cccnc21 ZINC000026334735 1055504182 /nfs/dbraw/zinc/50/41/82/1055504182.db2.gz CIXNZWISYFFRCE-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1ccnn1C1CCN(Cc2cc(C)cc(C)c2Cl)CC1 ZINC001237701143 1055506695 /nfs/dbraw/zinc/50/66/95/1055506695.db2.gz IOGOHAZCHLJLTK-UHFFFAOYSA-N 0 3 317.864 4.299 20 0 DIADHN Cc1c(Cl)cccc1CN(C)Cc1ccc2c(c1)OCCO2 ZINC001237727354 1055516177 /nfs/dbraw/zinc/51/61/77/1055516177.db2.gz AIGXTQHRJHJVPZ-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN Cc1c(Cl)cccc1CN(C)CCCC(=O)c1cccnc1 ZINC001237738737 1055518394 /nfs/dbraw/zinc/51/83/94/1055518394.db2.gz TZJSPJUMGXWBID-UHFFFAOYSA-N 0 3 316.832 4.138 20 0 DIADHN COc1cc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)sn1 ZINC001620894665 1055519350 /nfs/dbraw/zinc/51/93/50/1055519350.db2.gz ALRAJSGCYDIKBK-MAUKXSAKSA-N 0 3 316.470 4.201 20 0 DIADHN C[C@@H](NCc1ccc2nccnc2c1)c1cc2ccccc2o1 ZINC001620902528 1055526511 /nfs/dbraw/zinc/52/65/11/1055526511.db2.gz LAGOFFHRNDADQW-CYBMUJFWSA-N 0 3 303.365 4.227 20 0 DIADHN C[C@@](O)(CNCc1c(Cl)cccc1Cl)C1CCCCC1 ZINC001620901306 1055527027 /nfs/dbraw/zinc/52/70/27/1055527027.db2.gz ULMKGRQWHKUPPT-MRXNPFEDSA-N 0 3 316.272 4.414 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CC[C@H](Cc4ccccc4)C3)nc2c1 ZINC001620906590 1055530662 /nfs/dbraw/zinc/53/06/62/1055530662.db2.gz JZECQALJPOSAES-NVXWUHKLSA-N 0 3 324.399 4.468 20 0 DIADHN Fc1cccc(F)c1CN[C@@H]1CC[C@H](Cc2ccccc2)C1 ZINC001620906645 1055530777 /nfs/dbraw/zinc/53/07/77/1055530777.db2.gz LESAYJXBDLXLHH-HZPDHXFCSA-N 0 3 301.380 4.466 20 0 DIADHN Cc1ccccc1C1(CN[C@H](C)c2cccnc2Cl)CC1 ZINC000765644472 1055533252 /nfs/dbraw/zinc/53/32/52/1055533252.db2.gz ZUUGGFQUOWMPNK-CQSZACIVSA-N 0 3 300.833 4.426 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccc(F)c(C)n2)CC1 ZINC001237815961 1055536835 /nfs/dbraw/zinc/53/68/35/1055536835.db2.gz ICMQFKUBWNGUKE-UHFFFAOYSA-N 0 3 324.443 4.318 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC[C@H](C)c1ccccc1 ZINC001620916817 1055539894 /nfs/dbraw/zinc/53/98/94/1055539894.db2.gz GIBABTNJXPHETC-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2C[C@H](c3ccccc3)C2)n1 ZINC001620918665 1055540999 /nfs/dbraw/zinc/54/09/99/1055540999.db2.gz WCYGUYHTAHBPBP-SHTZXODSSA-N 0 3 300.471 4.476 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)n2)CCC1(F)F ZINC001237828114 1055541675 /nfs/dbraw/zinc/54/16/75/1055541675.db2.gz HAZLHTYHYZUMAI-UHFFFAOYSA-N 0 3 317.383 4.011 20 0 DIADHN Clc1cccc(CCCNCc2nc3c(s2)CCCC3)c1 ZINC001620920867 1055542610 /nfs/dbraw/zinc/54/26/10/1055542610.db2.gz GIKAGQMCMZIODT-UHFFFAOYSA-N 0 3 320.889 4.398 20 0 DIADHN COc1cccc(CN2CCC[C@H]3CCC[C@@H]32)c1Br ZINC001237865962 1055543226 /nfs/dbraw/zinc/54/32/26/1055543226.db2.gz QWCFXGOKTCIRBE-OCCSQVGLSA-N 0 3 324.262 4.222 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCCc3ccccc3C2)c(F)c1F ZINC001620926580 1055544812 /nfs/dbraw/zinc/54/48/12/1055544812.db2.gz XXFVHJSBICTQTD-CYBMUJFWSA-N 0 3 323.333 4.280 20 0 DIADHN COc1cccc(CN2CCC3(CCCC3)C2)c1Br ZINC001237859997 1055544915 /nfs/dbraw/zinc/54/49/15/1055544915.db2.gz CLUVOBGVTBYMBG-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CC[C@@H](c2ccccc2)C1 ZINC001237874337 1055547888 /nfs/dbraw/zinc/54/78/88/1055547888.db2.gz UQVJEWUBGFMIKT-MRXNPFEDSA-N 0 3 321.424 4.008 20 0 DIADHN c1cc2c(s1)CCN(Cc1ccccc1C1CCOCC1)C2 ZINC001237893943 1055550894 /nfs/dbraw/zinc/55/08/94/1055550894.db2.gz AWZCVKVZBKRWDZ-UHFFFAOYSA-N 0 3 313.466 4.200 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CC[C@@H](C(F)(F)F)C3)cc21 ZINC001237885563 1055551369 /nfs/dbraw/zinc/55/13/69/1055551369.db2.gz IIRGSOMVWGOKGG-OAHLLOKOSA-N 0 3 324.390 4.072 20 0 DIADHN C[C@]1(CC[NH2+]Cc2cc([O-])cc(Cl)c2)CC1(Cl)Cl ZINC001620937883 1055551930 /nfs/dbraw/zinc/55/19/30/1055551930.db2.gz DIKYPQYQDWRCIS-LBPRGKRZSA-N 0 3 308.636 4.109 20 0 DIADHN C[C@]1(F)CCCCN(Cc2ccccc2C2CCOCC2)C1 ZINC001237898121 1055553730 /nfs/dbraw/zinc/55/37/30/1055553730.db2.gz IVSIGSQGVSTBSD-IBGZPJMESA-N 0 3 305.437 4.295 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCC2(CC(F)C2)CC1 ZINC001237905158 1055554017 /nfs/dbraw/zinc/55/40/17/1055554017.db2.gz FOSCROKJRMUAGP-UHFFFAOYSA-N 0 3 309.400 4.329 20 0 DIADHN CC(C)(CNCc1c(Cl)cccc1Cl)c1ccccn1 ZINC001620942159 1055554737 /nfs/dbraw/zinc/55/47/37/1055554737.db2.gz WBIJYNUQAHNCJL-UHFFFAOYSA-N 0 3 309.240 4.456 20 0 DIADHN CCOc1cc(F)cc(CN(C)Cc2cccc3cccnc32)c1 ZINC001237916529 1055558262 /nfs/dbraw/zinc/55/82/62/1055558262.db2.gz FXXDNTKUWKBLIE-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN COc1nc2ccccc2cc1CNC[C@H]1CCCCC1(F)F ZINC001620949181 1055563108 /nfs/dbraw/zinc/56/31/08/1055563108.db2.gz GGCRXGQRSHPFTP-OAHLLOKOSA-N 0 3 320.383 4.159 20 0 DIADHN CCOc1ccc(CN2CCC3(CCOC3(C)C)CC2)cc1F ZINC001237958002 1055563564 /nfs/dbraw/zinc/56/35/64/1055563564.db2.gz HKYWNOQTVHXPQR-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN CCC[C@H](CNCc1cc(-c2ccccc2)ccc1OC)OC ZINC001620949507 1055565665 /nfs/dbraw/zinc/56/56/65/1055565665.db2.gz ROHWHEQLRIKJED-LJQANCHMSA-N 0 3 313.441 4.267 20 0 DIADHN Cc1sccc1CN1CCC[C@H](Sc2ccncc2)C1 ZINC001237972210 1055566404 /nfs/dbraw/zinc/56/64/04/1055566404.db2.gz PINJWTQQAOKLGT-INIZCTEOSA-N 0 3 304.484 4.208 20 0 DIADHN CC/C=C\CNCc1cc(Cl)ccc1OCc1cccnc1 ZINC001620950798 1055566658 /nfs/dbraw/zinc/56/66/58/1055566658.db2.gz NEAYDGYJCVCBQE-ARJAWSKDSA-N 0 3 316.832 4.370 20 0 DIADHN Fc1cccc(-c2nc(CN3C[C@@H]4CCC[C@@H]4C3)cs2)c1 ZINC001237970212 1055567663 /nfs/dbraw/zinc/56/76/63/1055567663.db2.gz YAPHEMUNQWHRJS-OKILXGFUSA-N 0 3 302.418 4.181 20 0 DIADHN Cc1sccc1CN(C)Cc1ccc(Br)cc1 ZINC001237966207 1055568012 /nfs/dbraw/zinc/56/80/12/1055568012.db2.gz IQBHFFFZPDGEHL-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN CCCCOCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC001168553464 1055569181 /nfs/dbraw/zinc/56/91/81/1055569181.db2.gz RIQZJQLUXGIJEG-NSHDSACASA-N 0 3 321.795 4.409 20 0 DIADHN Oc1ccc(-c2nc(CN3CC[C@H]4CCC[C@H]4C3)cs2)cc1 ZINC001237986914 1055576186 /nfs/dbraw/zinc/57/61/86/1055576186.db2.gz UGIOSKGKMAVPDE-HIFRSBDPSA-N 0 3 314.454 4.138 20 0 DIADHN CCCc1ccc(CN[C@@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC001620956817 1055580932 /nfs/dbraw/zinc/58/09/32/1055580932.db2.gz LYWZHVJYJFWEQQ-FQEVSTJZSA-N 0 3 314.448 4.171 20 0 DIADHN CC(C)(C)C1CCN(Cc2cccc(N3CCCCC3)n2)CC1 ZINC001238001042 1055581110 /nfs/dbraw/zinc/58/11/10/1055581110.db2.gz QVLJSBWLQNWBBB-UHFFFAOYSA-N 0 3 315.505 4.330 20 0 DIADHN CC(C)Cc1ccc(NC(=O)c2cccc(CN(C)C)c2)cc1 ZINC001595328265 1055586841 /nfs/dbraw/zinc/58/68/41/1055586841.db2.gz XSAHATWISFRJGE-UHFFFAOYSA-N 0 3 310.441 4.199 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2)n1C)C1CCCCC1 ZINC000102768516 1055590939 /nfs/dbraw/zinc/59/09/39/1055590939.db2.gz VIRQHCFYNLHAPB-UHFFFAOYSA-N 0 3 312.461 4.025 20 0 DIADHN COc1ccc(C(F)(F)F)c(CN(C)Cc2ccccc2)c1 ZINC001238053886 1055595567 /nfs/dbraw/zinc/59/55/67/1055595567.db2.gz RNOFWHPQDCDKCN-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CCOc1cc(CN2CC[C@H](Oc3ccccc3)C2)ccc1C ZINC001238081316 1055602714 /nfs/dbraw/zinc/60/27/14/1055602714.db2.gz YPNVXNYQGMPMFE-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CCOc1cc(CN2CC[C@@H](Oc3ccccc3)C2)ccc1C ZINC001238081317 1055604000 /nfs/dbraw/zinc/60/40/00/1055604000.db2.gz YPNVXNYQGMPMFE-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CCOC(=O)CC1CCN(Cc2cc(C)c(Cl)c(C)c2)CC1 ZINC001238090246 1055605910 /nfs/dbraw/zinc/60/59/10/1055605910.db2.gz NTYGIZIDHKWHMP-UHFFFAOYSA-N 0 3 323.864 4.122 20 0 DIADHN Clc1ccc([C@H]2CCCN2Cc2cccc3cncn32)s1 ZINC001238117838 1055608629 /nfs/dbraw/zinc/60/86/29/1055608629.db2.gz DDYBHJCXEUVHHQ-CQSZACIVSA-N 0 3 317.845 4.386 20 0 DIADHN Clc1cccc([C@H]2CCCN2Cc2cccc3cncn32)c1 ZINC001238111726 1055609335 /nfs/dbraw/zinc/60/93/35/1055609335.db2.gz WVRSVCKYFYCNTA-GOSISDBHSA-N 0 3 311.816 4.325 20 0 DIADHN C[C@H](NCCc1c[nH]c2cc(F)c(Cl)cc12)c1nccs1 ZINC000624291711 1055611258 /nfs/dbraw/zinc/61/12/58/1055611258.db2.gz XWPAJHDJZUQTOR-VIFPVBQESA-N 0 3 323.824 4.310 20 0 DIADHN CCC(C)(C)OCCNCc1cnc(-c2ccc(C)cc2)s1 ZINC001620960905 1055615279 /nfs/dbraw/zinc/61/52/79/1055615279.db2.gz IICNLESGKAOBRB-UHFFFAOYSA-N 0 3 318.486 4.413 20 0 DIADHN Oc1cccc(C2CCN(Cc3cncc(C4CC4)c3)CC2)c1 ZINC001238192052 1055616962 /nfs/dbraw/zinc/61/69/62/1055616962.db2.gz BGEWAPRMJFFMGU-UHFFFAOYSA-N 0 3 308.425 4.044 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2cncc(C3CC3)c2)CC1 ZINC001238192663 1055616982 /nfs/dbraw/zinc/61/69/82/1055616982.db2.gz HHPXVYXAQQAEID-UHFFFAOYSA-N 0 3 322.452 4.097 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@@H](Oc3cccnc3)C2)c1C ZINC001238217593 1055623014 /nfs/dbraw/zinc/62/30/14/1055623014.db2.gz BLVKKSNMXSWPLB-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001595722465 1055623867 /nfs/dbraw/zinc/62/38/67/1055623867.db2.gz YFBWHSLFKMYDSR-QZTJIDSGSA-N 0 3 322.537 4.458 20 0 DIADHN CC(C)c1cc(CN2C[C@H]3CCCC(=O)[C@@H]3C2)ccc1Cl ZINC001238223843 1055625243 /nfs/dbraw/zinc/62/52/43/1055625243.db2.gz CTXNPTZYWHNFME-GDBMZVCRSA-N 0 3 305.849 4.264 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(C)(C)C1CCC1)c1ccsc1 ZINC001595730522 1055626360 /nfs/dbraw/zinc/62/63/60/1055626360.db2.gz NODREPMLFMVXME-MRXNPFEDSA-N 0 3 322.518 4.074 20 0 DIADHN CCOc1cc(Br)cc(CN2C[C@@H]3CCC[C@@H]3C2)c1 ZINC001238245943 1055628995 /nfs/dbraw/zinc/62/89/95/1055628995.db2.gz CDIMNDHOLMGETK-OKILXGFUSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000030628339 1055629986 /nfs/dbraw/zinc/62/99/86/1055629986.db2.gz ONAZOFSQMRPCLT-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Oc1cc(CNCc2cccc3[nH]ccc32)cc(C(F)(F)F)c1 ZINC001203458187 1055630271 /nfs/dbraw/zinc/63/02/71/1055630271.db2.gz XIPBDVKCATXNNM-UHFFFAOYSA-N 0 3 320.314 4.182 20 0 DIADHN Oc1ccc(CN2CCCCC23CC3)cc1OCc1ccccc1 ZINC001238253224 1055630239 /nfs/dbraw/zinc/63/02/39/1055630239.db2.gz ZHLWHEDUXJAQSE-UHFFFAOYSA-N 0 3 323.436 4.490 20 0 DIADHN Clc1ccsc1CN1CCC(CCCn2ccnc2)CC1 ZINC000338569598 1055635938 /nfs/dbraw/zinc/63/59/38/1055635938.db2.gz VSEYMROFJMCYNH-UHFFFAOYSA-N 0 3 323.893 4.290 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cccc(F)c1OC(C)C ZINC001238318399 1055639264 /nfs/dbraw/zinc/63/92/64/1055639264.db2.gz FMADNKTUGNHUMX-MRXNPFEDSA-N 0 3 309.425 4.004 20 0 DIADHN CC(C)Oc1c(F)cccc1CN1CCC2(CC(F)C2)CC1 ZINC001238319288 1055639398 /nfs/dbraw/zinc/63/93/98/1055639398.db2.gz UFNGRMDUJSSIEC-UHFFFAOYSA-N 0 3 309.400 4.327 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccccc1)c1ccc(F)cc1F ZINC000338572654 1055641488 /nfs/dbraw/zinc/64/14/88/1055641488.db2.gz WYTWMZYRWQWHFK-MSOLQXFVSA-N 0 3 305.368 4.393 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccccc1)c1ccc(F)cc1F ZINC000338572660 1055642228 /nfs/dbraw/zinc/64/22/28/1055642228.db2.gz WYTWMZYRWQWHFK-ZWKOTPCHSA-N 0 3 305.368 4.393 20 0 DIADHN c1ccc(COc2cncc(CN3CC[C@H]4CCC[C@@H]4C3)c2)cc1 ZINC001238366504 1055646743 /nfs/dbraw/zinc/64/67/43/1055646743.db2.gz FSVXBHSFTMZUEZ-WOJBJXKFSA-N 0 3 322.452 4.283 20 0 DIADHN CCCN(Cc1ccnc(Br)c1Cl)CC(C)C ZINC001238378970 1055648541 /nfs/dbraw/zinc/64/85/41/1055648541.db2.gz CWDOPRQCMFHTGP-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)ncc1Cl ZINC001238422898 1055656324 /nfs/dbraw/zinc/65/63/24/1055656324.db2.gz RSTKWGFSSMARRG-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001168570268 1055656927 /nfs/dbraw/zinc/65/69/27/1055656927.db2.gz LJNAZJKTOFDVHB-PBHICJAKSA-N 0 3 302.462 4.186 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cccc(OC(C)C)c1F ZINC001238426956 1055658104 /nfs/dbraw/zinc/65/81/04/1055658104.db2.gz LOFVTTFEVUMWMC-MRXNPFEDSA-N 0 3 309.425 4.002 20 0 DIADHN CC(C)Oc1ccc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c(F)c1 ZINC001238445658 1055660822 /nfs/dbraw/zinc/66/08/22/1055660822.db2.gz UVYYAHNIFIFCLF-OKILXGFUSA-N 0 3 313.363 4.090 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1cnc(F)c(OC)c1 ZINC001238453416 1055663331 /nfs/dbraw/zinc/66/33/31/1055663331.db2.gz JGLFOUYPFZYGRW-UHFFFAOYSA-N 0 3 302.393 4.032 20 0 DIADHN COc1c(CN2CCC3(CC[C@H](F)C3)CC2)ccc(F)c1F ZINC001238473531 1055669311 /nfs/dbraw/zinc/66/93/11/1055669311.db2.gz REILUJZNFVHJRZ-ZDUSSCGKSA-N 0 3 313.363 4.078 20 0 DIADHN Cc1ccc(OC(C)C)cc1CN1CC[C@H](C(F)(F)F)C1 ZINC001238485908 1055670810 /nfs/dbraw/zinc/67/08/10/1055670810.db2.gz NUJCMBCCGIVDLG-AWEZNQCLSA-N 0 3 301.352 4.166 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@H](c1ccccc1)C1CCC1 ZINC000104701280 1055679191 /nfs/dbraw/zinc/67/91/91/1055679191.db2.gz TXKSFHLQZYZCPJ-OXJNMPFZSA-N 0 3 322.452 4.453 20 0 DIADHN CC(C)Oc1c(F)ccc(CN2CC3CCC(CC3)C2)c1F ZINC001238530048 1055679945 /nfs/dbraw/zinc/67/99/45/1055679945.db2.gz MUDWTTCDIQCDIB-UHFFFAOYSA-N 0 3 309.400 4.374 20 0 DIADHN CC(C)Oc1c(F)ccc(CN2C[C@@H]3CCCC[C@@H]3C2)c1F ZINC001238528206 1055680886 /nfs/dbraw/zinc/68/08/86/1055680886.db2.gz PQWYIWMRFQLULA-OKILXGFUSA-N 0 3 309.400 4.374 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C/C=C\Cc2ccccc2)c1 ZINC001596232811 1055685498 /nfs/dbraw/zinc/68/54/98/1055685498.db2.gz JHAAPJXFZNABRL-FPLPWBNLSA-N 0 3 322.452 4.184 20 0 DIADHN CN(Cc1ccc(F)c(-c2ccncc2)c1)C1CC(F)(F)C1 ZINC001238551757 1055685594 /nfs/dbraw/zinc/68/55/94/1055685594.db2.gz OTWJTUODEZOPTH-UHFFFAOYSA-N 0 3 306.331 4.117 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2=CCC(C)(C)CC2)c1 ZINC001596232479 1055685716 /nfs/dbraw/zinc/68/57/16/1055685716.db2.gz AEGSVTVZIJDQAW-UHFFFAOYSA-N 0 3 300.446 4.132 20 0 DIADHN Fc1ccc(CN2CCC(C(F)F)CC2)cc1-c1ccncc1 ZINC001238559259 1055686786 /nfs/dbraw/zinc/68/67/86/1055686786.db2.gz UNIHSQBBZLBEEI-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccc(CN2CC[C@@H]3CCO[C@H]3C2)cc1-c1ccccc1 ZINC001238560518 1055687907 /nfs/dbraw/zinc/68/79/07/1055687907.db2.gz JMGYNVKTNSLSPM-XLIONFOSSA-N 0 3 311.400 4.104 20 0 DIADHN CSCCN(C)Cc1cc(Cl)c(Br)cc1C ZINC001238577260 1055689198 /nfs/dbraw/zinc/68/91/98/1055689198.db2.gz FBCDSIOQNWSOMC-UHFFFAOYSA-N 0 3 322.699 4.206 20 0 DIADHN Cc1ccc(Cl)c(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)c1 ZINC001238566759 1055689253 /nfs/dbraw/zinc/68/92/53/1055689253.db2.gz NZHWUUDMGQOWDN-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@H]3CCCC[C@@H]32)cnc1F ZINC001238596154 1055691947 /nfs/dbraw/zinc/69/19/47/1055691947.db2.gz RJQJIMVXMDVANG-CVEARBPZSA-N 0 3 306.425 4.163 20 0 DIADHN CCO[C@@H]1CCCN(Cc2cnc(-c3ccccc3)c(C)c2)C1 ZINC001238612263 1055696314 /nfs/dbraw/zinc/69/63/14/1055696314.db2.gz PNJGTVNWDNMSET-LJQANCHMSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1cc(CN2CCC(OC(C)C)CC2)cnc1-c1ccccc1 ZINC001238613033 1055696527 /nfs/dbraw/zinc/69/65/27/1055696527.db2.gz WOUANSOIIDIIJX-UHFFFAOYSA-N 0 3 324.468 4.446 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2cnc(C3CC3)c(C)c2)C1 ZINC001238618401 1055698714 /nfs/dbraw/zinc/69/87/14/1055698714.db2.gz RYOVEOBJUQKATP-LJQANCHMSA-N 0 3 322.452 4.229 20 0 DIADHN Cc1cc(CN2CC[C@@H](OC(C)C)C2)cnc1-c1ccccc1 ZINC001238613876 1055699280 /nfs/dbraw/zinc/69/92/80/1055699280.db2.gz CYPMSJSQNGXQLB-LJQANCHMSA-N 0 3 310.441 4.056 20 0 DIADHN Cc1cc(CN2CCC[C@H](Oc3ccccc3)C2)cnc1C1CC1 ZINC001238618886 1055700359 /nfs/dbraw/zinc/70/03/59/1055700359.db2.gz WWKWQYDFGXZDHQ-FQEVSTJZSA-N 0 3 322.452 4.311 20 0 DIADHN Cc1cc(CN2CCC3(CC(F)(F)C3)CC2)cnc1C1CC1 ZINC001238620820 1055701592 /nfs/dbraw/zinc/70/15/92/1055701592.db2.gz OYEITSFTYALFAG-UHFFFAOYSA-N 0 3 306.400 4.279 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H](C)[C@@](C)(O)CC1 ZINC001238669930 1055713920 /nfs/dbraw/zinc/71/39/20/1055713920.db2.gz CEPCSOOBPZBUOU-WBMJQRKESA-N 0 3 313.894 4.045 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N(CCN(C)C)C1CCCCC1 ZINC001565584489 1055711686 /nfs/dbraw/zinc/71/16/86/1055711686.db2.gz XPSKWKMYCHHDPF-SFHVURJKSA-N 0 3 322.537 4.482 20 0 DIADHN CCCCc1ccc(NC(=O)[C@@H]2CCCN2CC(C)C)cc1 ZINC001538779504 1055712892 /nfs/dbraw/zinc/71/28/92/1055712892.db2.gz ASMDJQZCNKRMKW-SFHVURJKSA-N 0 3 302.462 4.088 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@]2(C1)CCCOC2 ZINC001238677969 1055718533 /nfs/dbraw/zinc/71/85/33/1055718533.db2.gz RONRBXUSHBUODA-MRXNPFEDSA-N 0 3 311.878 4.064 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccc(Cl)cn3)cc2)C[C@@H]1F ZINC001238689180 1055723309 /nfs/dbraw/zinc/72/33/09/1055723309.db2.gz ZDLIHDQBAVIOHY-WBMJQRKESA-N 0 3 304.796 4.192 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCCCN2C2CCCC2)cc1Cl ZINC001538813060 1055724832 /nfs/dbraw/zinc/72/48/32/1055724832.db2.gz UMEMWZHIEBMKBP-QGZVFWFLSA-N 0 3 320.864 4.384 20 0 DIADHN CSc1ncc(C)cc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC001238718747 1055730732 /nfs/dbraw/zinc/73/07/32/1055730732.db2.gz RVXRNPOCXOZEDH-OAHLLOKOSA-N 0 3 316.445 4.241 20 0 DIADHN CSc1ncc(C)cc1CN(C)CCc1ccc(Cl)cc1 ZINC001238716957 1055732633 /nfs/dbraw/zinc/73/26/33/1055732633.db2.gz OVWOVYMLXSTJSP-UHFFFAOYSA-N 0 3 320.889 4.440 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CCCC2(C1)CCCCCC2 ZINC001620969200 1055733934 /nfs/dbraw/zinc/73/39/34/1055733934.db2.gz CMBJSVCHORKSGK-GOSISDBHSA-N 0 3 320.521 4.214 20 0 DIADHN CN(C)Cc1ccc(OCc2ccccc2)cc1C(F)(F)F ZINC001238746420 1055739988 /nfs/dbraw/zinc/73/99/88/1055739988.db2.gz PMMLNTKHBSUHLD-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN C[C@H]1CN(Cc2cccnc2OCc2ccccc2)C2(CC2)C1 ZINC001238779456 1055746254 /nfs/dbraw/zinc/74/62/54/1055746254.db2.gz BGNCPKHQHGHXCH-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN C[C@@H]1CN(Cc2cccnc2OCc2ccccc2)C2(CC2)C1 ZINC001238779450 1055746612 /nfs/dbraw/zinc/74/66/12/1055746612.db2.gz BGNCPKHQHGHXCH-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN C[C@H]1CCCN(Cc2cccnc2OCc2ccccc2)[C@H]1C ZINC001238772061 1055746722 /nfs/dbraw/zinc/74/67/22/1055746722.db2.gz AQRZLXLISMBJJR-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN CN(Cc1cccnc1OCc1ccccc1)C[C@@H]1CC1(C)C ZINC001238775834 1055747774 /nfs/dbraw/zinc/74/77/74/1055747774.db2.gz FBXBUELVNOLRRD-SFHVURJKSA-N 0 3 310.441 4.139 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CCC[C@@H]1Cc1ccccn1 ZINC001238783801 1055749772 /nfs/dbraw/zinc/74/97/72/1055749772.db2.gz BQOQIBQPFMAMNG-MRXNPFEDSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@@H](c2cccnc2)C1 ZINC001238784358 1055750956 /nfs/dbraw/zinc/75/09/56/1055750956.db2.gz HYQSWSGRLLUHDC-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN c1ccc(COc2ncccc2CN(CC2CC2)C2CC2)cc1 ZINC001238783106 1055751473 /nfs/dbraw/zinc/75/14/73/1055751473.db2.gz YZDBBRNOEVJEBW-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@@H](c2ccccn2)C1 ZINC001238785745 1055753190 /nfs/dbraw/zinc/75/31/90/1055753190.db2.gz RJCUPBRRBFPIEJ-CYBMUJFWSA-N 0 3 304.796 4.172 20 0 DIADHN C[C@H](C(=O)NCC1CCC(C(C)(C)C)CC1)N1CCCCCC1 ZINC001566291447 1055757546 /nfs/dbraw/zinc/75/75/46/1055757546.db2.gz MCPWNISQZUDTJV-WWDZGPRUSA-N 0 3 322.537 4.220 20 0 DIADHN O=C(c1ccc2c(c1)CNC2)N1CCCCC[C@H]1c1ccccc1 ZINC000347521996 1055773695 /nfs/dbraw/zinc/77/36/95/1055773695.db2.gz PCCKNZJVECPUPM-FQEVSTJZSA-N 0 3 320.436 4.047 20 0 DIADHN Cc1cccc(C2(NCc3cnn(C4CCC4)c3)CCCC2)c1 ZINC001649055007 1055777807 /nfs/dbraw/zinc/77/78/07/1055777807.db2.gz VKKJSGSTUUBUBV-UHFFFAOYSA-N 0 3 309.457 4.476 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC000624329215 1055788413 /nfs/dbraw/zinc/78/84/13/1055788413.db2.gz PNYWRLNBJYTQHF-KZULUSFZSA-N 0 3 315.848 4.475 20 0 DIADHN CCn1c2ccccc2c2cc(-c3ccnc(CN)c3)ccc21 ZINC001238916889 1055788594 /nfs/dbraw/zinc/78/85/94/1055788594.db2.gz FBTXEXDDSQUFCE-UHFFFAOYSA-N 0 3 301.393 4.335 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000624330247 1055790141 /nfs/dbraw/zinc/79/01/41/1055790141.db2.gz QUAOOGRYSYYYQX-YVEFUNNKSA-N 0 3 301.821 4.167 20 0 DIADHN Cn1c2ccccc2nc1[C@@H]1CCCN1CCc1cccs1 ZINC000084116583 1055794238 /nfs/dbraw/zinc/79/42/38/1055794238.db2.gz KWHOBTQXYQWSHD-KRWDZBQOSA-N 0 3 311.454 4.014 20 0 DIADHN CCOCc1cncc(-c2ccc(F)c(CN(CC)CC)c2)c1 ZINC001238939955 1055797925 /nfs/dbraw/zinc/79/79/25/1055797925.db2.gz NLTNACHVLMPEMK-UHFFFAOYSA-N 0 3 316.420 4.266 20 0 DIADHN CC[C@H](NCc1cc2ccc(F)cc2[nH]c1=O)c1ccccc1 ZINC001620973388 1055799414 /nfs/dbraw/zinc/79/94/14/1055799414.db2.gz DGUCGTSXURHJEV-KRWDZBQOSA-N 0 3 310.372 4.320 20 0 DIADHN C[C@H](NCCc1c[nH]c2c1cccc2Cl)c1nccs1 ZINC000624331775 1055800426 /nfs/dbraw/zinc/80/04/26/1055800426.db2.gz JGUZJCMEHUXMCH-JTQLQIEISA-N 0 3 305.834 4.171 20 0 DIADHN C[C@H](NCCc1c[nH]c2c1cccc2Cl)c1cscn1 ZINC000624332348 1055805105 /nfs/dbraw/zinc/80/51/05/1055805105.db2.gz XRSIPKAJAAKEKU-JTQLQIEISA-N 0 3 305.834 4.171 20 0 DIADHN CC1CCC(N(C)Cc2nc3ccccc3n2C(F)F)CC1 ZINC000058563853 1055807150 /nfs/dbraw/zinc/80/71/50/1055807150.db2.gz NSZJNRZZUNOOAK-UHFFFAOYSA-N 0 3 307.388 4.442 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(OC)c3C)c(C)c2)CC1 ZINC001238981850 1055812381 /nfs/dbraw/zinc/81/23/81/1055812381.db2.gz KIQQLPQNFSPIPO-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN CCC(CC)(CC)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001539271905 1055815385 /nfs/dbraw/zinc/81/53/85/1055815385.db2.gz WLRGYGUFKGXOSR-SFHVURJKSA-N 0 3 316.489 4.156 20 0 DIADHN CC(C)Oc1ccc(-c2cccc(CN)n2)cc1C(F)(F)F ZINC001238999856 1055818382 /nfs/dbraw/zinc/81/83/82/1055818382.db2.gz MXSJATXVBUCYIE-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN CC(C)[C@@H](NCc1cccc2c1OCCO2)c1ccc(F)cc1 ZINC000059260032 1055823338 /nfs/dbraw/zinc/82/33/38/1055823338.db2.gz PDDHPUQIGLDTRG-GOSISDBHSA-N 0 3 315.388 4.084 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C)nc2)ccc1OC(C)C ZINC000155383611 1055823700 /nfs/dbraw/zinc/82/37/00/1055823700.db2.gz YMRZQCTZOXNISI-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H]2COCc3ccccc32)c(C)c1 ZINC001620976315 1055840798 /nfs/dbraw/zinc/84/07/98/1055840798.db2.gz WPQDGEQTFDQUHF-DFOOEPSASA-N 0 3 307.437 4.486 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C1CC1 ZINC000060562723 1055843437 /nfs/dbraw/zinc/84/34/37/1055843437.db2.gz XIMOOPWHUAAWAP-CJNGLKHVSA-N 0 3 302.462 4.141 20 0 DIADHN C[C@H](CC1CCCC1)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001597613426 1055853320 /nfs/dbraw/zinc/85/33/20/1055853320.db2.gz FPVLRONAJKMTQH-QGZVFWFLSA-N 0 3 322.537 4.173 20 0 DIADHN CCc1ccc([C@@H](NCc2cccc3c2OCO3)C(C)C)cc1 ZINC000060856837 1055854257 /nfs/dbraw/zinc/85/42/57/1055854257.db2.gz WBVWKFWQCABYHZ-IBGZPJMESA-N 0 3 311.425 4.465 20 0 DIADHN COc1ccc(C)cc1CNCCSc1ccc(C)cc1 ZINC000061012256 1055857238 /nfs/dbraw/zinc/85/72/38/1055857238.db2.gz OOPOWRMFZYUASF-UHFFFAOYSA-N 0 3 301.455 4.194 20 0 DIADHN CCc1ccc(N(CC2CC2)C(=O)[C@@H](CC)N(CC)CC)cc1 ZINC001569305159 1055859319 /nfs/dbraw/zinc/85/93/19/1055859319.db2.gz ATRCZCPYBZNWTR-LJQANCHMSA-N 0 3 316.489 4.112 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(C(F)F)c(F)c1 ZINC001569615704 1055865673 /nfs/dbraw/zinc/86/56/73/1055865673.db2.gz ZIMCMIUSTSTMTQ-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000061558036 1055868087 /nfs/dbraw/zinc/86/80/87/1055868087.db2.gz ZKNPRALVYCZYPV-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN CCOC(=O)c1nc(CN[C@H]2CCCC[C@@H]2C(C)(C)C)cs1 ZINC000108367694 1055875888 /nfs/dbraw/zinc/87/58/88/1055875888.db2.gz KJDPWPYTGKBHAH-KBPBESRZSA-N 0 3 324.490 4.014 20 0 DIADHN COCCC[C@@H](CNCc1nccs1)c1ccc(Cl)cc1 ZINC000671723700 1055878508 /nfs/dbraw/zinc/87/85/08/1055878508.db2.gz PTWLKWRORUXRJY-AWEZNQCLSA-N 0 3 324.877 4.097 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cc2ccccc2[nH]c1=O ZINC001649070396 1055879409 /nfs/dbraw/zinc/87/94/09/1055879409.db2.gz AGDZFLMRNDCFRH-LJQANCHMSA-N 0 3 304.393 4.026 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cc2ccccc2[nH]c1=O ZINC001649070391 1055880371 /nfs/dbraw/zinc/88/03/71/1055880371.db2.gz AGDZFLMRNDCFRH-IBGZPJMESA-N 0 3 304.393 4.026 20 0 DIADHN Clc1c(Br)cccc1CN[C@@H]1C[C@H]1C1CCC1 ZINC001620979450 1055890772 /nfs/dbraw/zinc/89/07/72/1055890772.db2.gz XSNYWJNVFARLIH-WCQYABFASA-N 0 3 314.654 4.381 20 0 DIADHN Fc1cccc(-c2nnc(CN3CCC[C@@H]4CCCC[C@@H]43)o2)c1 ZINC000075611672 1055893415 /nfs/dbraw/zinc/89/34/15/1055893415.db2.gz TZXZVKBXHMHMML-BBRMVZONSA-N 0 3 315.392 4.030 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCc1ccc(C)c2ccccc12 ZINC001570365120 1055899124 /nfs/dbraw/zinc/89/91/24/1055899124.db2.gz NKAPRNKYQCRGPB-HXUWFJFHSA-N 0 3 324.468 4.029 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@H]2CC[C@H](C3CCC3)CC2)c1 ZINC001539803574 1055907294 /nfs/dbraw/zinc/90/72/94/1055907294.db2.gz ZVOIDVULCVNYON-IYARVYRRSA-N 0 3 314.473 4.293 20 0 DIADHN CC[C@@H](NC(=O)CN(C)CC1CCCC1)c1ccc(Cl)cc1 ZINC000064020604 1055908612 /nfs/dbraw/zinc/90/86/12/1055908612.db2.gz ZJHOPMZPHUQCDC-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN CC[C@@H](C)C[C@@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001620981267 1055915792 /nfs/dbraw/zinc/91/57/92/1055915792.db2.gz JXSXOAYDIHBTCL-OLZOCXBDSA-N 0 3 323.383 4.112 20 0 DIADHN c1ccc(-c2ccccc2-c2ccc3c(c2)COC32CNC2)cc1 ZINC001239506737 1055918067 /nfs/dbraw/zinc/91/80/67/1055918067.db2.gz KDTLQOODSJNNGA-UHFFFAOYSA-N 0 3 313.400 4.349 20 0 DIADHN COc1ncc(-c2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001239517769 1055924550 /nfs/dbraw/zinc/92/45/50/1055924550.db2.gz BVLGFMFICFDIHU-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(OC)c3)c(C)c2)CC1 ZINC001239519936 1055926517 /nfs/dbraw/zinc/92/65/17/1055926517.db2.gz PVWGSKXNHJCYRL-UHFFFAOYSA-N 0 3 308.425 4.175 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H](C)C1(CO)CC1 ZINC001621000980 1055968790 /nfs/dbraw/zinc/96/87/90/1055968790.db2.gz XXISMPZPDSBZME-LBPRGKRZSA-N 0 3 313.894 4.091 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742274 1055930782 /nfs/dbraw/zinc/93/07/82/1055930782.db2.gz NCOZBSJDAUOOAD-RKDXNWHRSA-N 0 3 317.414 4.019 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@@H](C)c1ccccn1 ZINC000671742295 1055931087 /nfs/dbraw/zinc/93/10/87/1055931087.db2.gz NIDOFLRRCVTSEY-JQERWDHBSA-N 0 3 310.441 4.084 20 0 DIADHN Brc1cc2c(s1)CC[C@H]2NCc1ccccc1 ZINC000306764953 1055975666 /nfs/dbraw/zinc/97/56/66/1055975666.db2.gz LFSQARQFICFIOM-GFCCVEGCSA-N 0 3 308.244 4.288 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742723 1055933772 /nfs/dbraw/zinc/93/37/72/1055933772.db2.gz DYFKQLGRWGLQMT-QWRGUYRKSA-N 0 3 302.399 4.315 20 0 DIADHN Cc1ccccc1C1(CNCc2c(Cl)n[nH]c2C2CC2)CC1 ZINC001620762535 1055934044 /nfs/dbraw/zinc/93/40/44/1055934044.db2.gz AVWGFNNFBABGMT-UHFFFAOYSA-N 0 3 315.848 4.070 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)c(C)c1 ZINC000671744481 1055936230 /nfs/dbraw/zinc/93/62/30/1055936230.db2.gz AUWUJZRJTKQUAJ-CQSZACIVSA-N 0 3 310.397 4.309 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671744146 1055938413 /nfs/dbraw/zinc/93/84/13/1055938413.db2.gz KHXYSHGZMKTHOJ-BMIGLBTASA-N 0 3 312.344 4.011 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671744149 1055939660 /nfs/dbraw/zinc/93/96/60/1055939660.db2.gz KHXYSHGZMKTHOJ-ZUZCIYMTSA-N 0 3 312.344 4.011 20 0 DIADHN CCCC[C@H](NCc1cncn1CCC)c1ccc(OC)cc1 ZINC001620771372 1055940338 /nfs/dbraw/zinc/94/03/38/1055940338.db2.gz BFKULTFZNCQVOK-IBGZPJMESA-N 0 3 315.461 4.323 20 0 DIADHN Fc1ccc2c(CCNCc3c(F)cccc3Cl)c[nH]c2c1 ZINC000065276154 1055942387 /nfs/dbraw/zinc/94/23/87/1055942387.db2.gz RPTVGNUNRBQUMF-UHFFFAOYSA-N 0 3 320.770 4.432 20 0 DIADHN Cc1cc2cc(CN[C@H](C)CC(=O)OC(C)(C)C)oc2cc1C ZINC001620775791 1055942727 /nfs/dbraw/zinc/94/27/27/1055942727.db2.gz QJOSELKHLXAKDG-CQSZACIVSA-N 0 3 317.429 4.260 20 0 DIADHN C[C@@H](CO)N(Cc1cc(Cl)ccc1Cl)C1CCCC1 ZINC001617217644 1055944675 /nfs/dbraw/zinc/94/46/75/1055944675.db2.gz UHBIGAFSKDGPDG-NSHDSACASA-N 0 3 302.245 4.119 20 0 DIADHN Fc1ccccc1-c1ccc(CNCCOC2CCCC2)o1 ZINC001620777869 1055946322 /nfs/dbraw/zinc/94/63/22/1055946322.db2.gz ORGFPLLMVZOZIW-UHFFFAOYSA-N 0 3 303.377 4.135 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@@H]1CCC[C@@H](F)C1 ZINC001620984615 1055956795 /nfs/dbraw/zinc/95/67/95/1055956795.db2.gz YJCAIIKQHZTBMU-ZIAGYGMSSA-N 0 3 321.827 4.204 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC001620506666 1055962419 /nfs/dbraw/zinc/96/24/19/1055962419.db2.gz KOSREOZBAVUUML-OLZOCXBDSA-N 0 3 316.297 4.221 20 0 DIADHN Cc1ncc(CN2CCC(Cc3ccc(Cl)cc3)CC2)o1 ZINC001620506524 1055962539 /nfs/dbraw/zinc/96/25/39/1055962539.db2.gz GIOJWXLNDBLJCD-UHFFFAOYSA-N 0 3 304.821 4.091 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(C(C)(C)C)cc1 ZINC001620788178 1055962567 /nfs/dbraw/zinc/96/25/67/1055962567.db2.gz ZDYAKNXXOCUCDC-SFHVURJKSA-N 0 3 309.457 4.250 20 0 DIADHN Cc1ccc(SC2(CN[C@@H](C)c3ncc[nH]3)CCCC2)cc1 ZINC000671750267 1055964576 /nfs/dbraw/zinc/96/45/76/1055964576.db2.gz VZYJMCSGYJTERD-HNNXBMFYSA-N 0 3 315.486 4.474 20 0 DIADHN CC[C@H](CN[C@H](C)c1cc(C)ccn1)Oc1cccc(F)c1 ZINC000671750245 1055965881 /nfs/dbraw/zinc/96/58/81/1055965881.db2.gz OOUMALHUCWLMCW-GDBMZVCRSA-N 0 3 302.393 4.037 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751514 1055968786 /nfs/dbraw/zinc/96/87/86/1055968786.db2.gz ARHJZHCIRPBSFT-BWACUDIHSA-N 0 3 308.381 4.433 20 0 DIADHN CCc1cccc(CN[C@H](CCC(=O)OC)c2ccccc2)c1 ZINC001649091855 1055969858 /nfs/dbraw/zinc/96/98/58/1055969858.db2.gz VGRGKQRPQZESEN-LJQANCHMSA-N 0 3 311.425 4.033 20 0 DIADHN Fc1cccc(Cl)c1CCN1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001620575407 1055970404 /nfs/dbraw/zinc/97/04/04/1055970404.db2.gz OYYRYJZGQCFBCV-HNAYVOBHSA-N 0 3 315.819 4.295 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNC(C)(C)CCO)cc1Cl ZINC001620794683 1055971948 /nfs/dbraw/zinc/97/19/48/1055971948.db2.gz XEPOMAWEQOXELI-UHFFFAOYSA-N 0 3 320.260 4.031 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(C(C)(C)C)cc2)c1 ZINC001239605495 1055974857 /nfs/dbraw/zinc/97/48/57/1055974857.db2.gz BTAPBYABQBXAQK-UHFFFAOYSA-N 0 3 312.457 4.124 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H]1COc2cc(F)ccc2C1 ZINC001621011303 1055976619 /nfs/dbraw/zinc/97/66/19/1055976619.db2.gz AVDVDQJKQNRRQB-HNNXBMFYSA-N 0 3 319.807 4.135 20 0 DIADHN Clc1cc(Br)cnc1CNCCCC1CCC1 ZINC001621008824 1055976761 /nfs/dbraw/zinc/97/67/61/1055976761.db2.gz IWSYHIFCTVRRJQ-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN Cc1ccc(CCCN(C)Cc2cc(-c3ccccc3)n[nH]2)cc1 ZINC001620652880 1055977226 /nfs/dbraw/zinc/97/72/26/1055977226.db2.gz FJFOHYQDJSSNLT-UHFFFAOYSA-N 0 3 319.452 4.450 20 0 DIADHN CN(C(=O)c1cccc(CN2CCCC2)c1)C1CCCCCC1 ZINC000066410415 1055978741 /nfs/dbraw/zinc/97/87/41/1055978741.db2.gz UBDPHLAULYLUMT-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN CCCC1CCC(CN[C@@H](CO)c2ccc(F)cc2F)CC1 ZINC001621014299 1055980089 /nfs/dbraw/zinc/98/00/89/1055980089.db2.gz KWLFBDPKEBAFKC-JRSKDTKFSA-N 0 3 311.416 4.194 20 0 DIADHN c1nc(CNCCc2cccc(-c3ccccc3)c2)cn1C1CC1 ZINC001621017589 1055983207 /nfs/dbraw/zinc/98/32/07/1055983207.db2.gz WLVRXYRGBKRPRD-UHFFFAOYSA-N 0 3 317.436 4.217 20 0 DIADHN CO[C@@H](CNCc1ccccc1OC1CCCC1)C1CCCC1 ZINC001621017301 1055984006 /nfs/dbraw/zinc/98/40/06/1055984006.db2.gz NJORKCJIAPZJQX-FQEVSTJZSA-N 0 3 317.473 4.303 20 0 DIADHN CCCN1CCN(c2ccccc2CNc2cccc(C)c2)CC1 ZINC001620732193 1055986180 /nfs/dbraw/zinc/98/61/80/1055986180.db2.gz CQXZZMRXTQMDSV-UHFFFAOYSA-N 0 3 323.484 4.139 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCC2)c1ccc2[nH]c(=O)oc2c1 ZINC001621022778 1055989169 /nfs/dbraw/zinc/98/91/69/1055989169.db2.gz KPMOTBLPUSTBOA-ZDUSSCGKSA-N 0 3 322.408 4.263 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)COc1cccc(C)c1 ZINC001620739304 1055990132 /nfs/dbraw/zinc/99/01/32/1055990132.db2.gz LTQRKFWFIMJBMT-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN CCN1CCCC[C@@H]1c1ccc(-c2cccc(C(C)=O)c2)nc1 ZINC001239633950 1055990277 /nfs/dbraw/zinc/99/02/77/1055990277.db2.gz DORHGXUZRGKUQH-HXUWFJFHSA-N 0 3 308.425 4.498 20 0 DIADHN Clc1c(CN[C@@]23C[C@@H]2CCCC3)cnn1Cc1ccccc1 ZINC001621031997 1055994047 /nfs/dbraw/zinc/99/40/47/1055994047.db2.gz KRQKUZAUBGGEJG-WMZOPIPTSA-N 0 3 315.848 4.007 20 0 DIADHN C[C@H](NCc1cc2ccccc2n1C)c1ccc(F)c(F)c1 ZINC001620803547 1055997966 /nfs/dbraw/zinc/99/79/66/1055997966.db2.gz WSYYHPGJEUETSZ-LBPRGKRZSA-N 0 3 300.352 4.307 20 0 DIADHN CCOc1ccc(Cl)cc1CNC(C)(C)[C@@H]1CCCCO1 ZINC001621039027 1056000987 /nfs/dbraw/zinc/00/09/87/1056000987.db2.gz RRNBIGMEMLBAQS-INIZCTEOSA-N 0 3 311.853 4.176 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccccc2OCC2CC2)cc1 ZINC001620807702 1056001708 /nfs/dbraw/zinc/00/17/08/1056001708.db2.gz JSQLNNSOFPQCAM-ONEGZZNKSA-N 0 3 311.400 4.418 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc(C)s1)c1ccc(OC)c(OC)c1 ZINC000671765764 1056006281 /nfs/dbraw/zinc/00/62/81/1056006281.db2.gz FFXQFXHSUVXBRT-SMDDNHRTSA-N 0 3 320.458 4.271 20 0 DIADHN Cc1cc(C)c(CN[C@@H](Cn2ccnc2)c2ccccc2)cc1C ZINC001621045836 1056007065 /nfs/dbraw/zinc/00/70/65/1056007065.db2.gz JOTHDNIKERDVKQ-NRFANRHFSA-N 0 3 319.452 4.339 20 0 DIADHN CO[C@H](CNCc1cccc(C)c1F)c1cccc(Cl)c1 ZINC001620813625 1056007517 /nfs/dbraw/zinc/00/75/17/1056007517.db2.gz PXZUPLJGTBIKTJ-MRXNPFEDSA-N 0 3 307.796 4.265 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1Cl)Oc1ccccc1F ZINC001620814380 1056007768 /nfs/dbraw/zinc/00/77/68/1056007768.db2.gz NJXXCZFWXJYWPL-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CCCCn1nc(C)c(CNCC2(CC3CC3)CC2)c1Cl ZINC001621048885 1056007967 /nfs/dbraw/zinc/00/79/67/1056007967.db2.gz CDXYSISFFRRZBN-UHFFFAOYSA-N 0 3 309.885 4.315 20 0 DIADHN CCCc1ccc(NC(=O)[C@H]2CCCCN2C2CCCC2)cc1 ZINC001540292871 1056008812 /nfs/dbraw/zinc/00/88/12/1056008812.db2.gz UAFDMQLPRHPPCQ-LJQANCHMSA-N 0 3 314.473 4.375 20 0 DIADHN CCc1ccc(CNCc2cc(C3CC3)ccc2OC)s1 ZINC001621049440 1056008861 /nfs/dbraw/zinc/00/88/61/1056008861.db2.gz CSMYYZMTCNQKDS-UHFFFAOYSA-N 0 3 301.455 4.486 20 0 DIADHN Cc1cc([C@H]2CCCN2CCOc2cccc3ccccc32)on1 ZINC000068407919 1056008889 /nfs/dbraw/zinc/00/88/89/1056008889.db2.gz UDIDGZIXYZEKAX-GOSISDBHSA-N 0 3 322.408 4.352 20 0 DIADHN Cc1cc(C)n(CCN2CCCC[C@@H]2c2cc3ccccc3[nH]2)n1 ZINC000068830156 1056017581 /nfs/dbraw/zinc/01/75/81/1056017581.db2.gz FJSTYKVMLTXWAS-HXUWFJFHSA-N 0 3 322.456 4.208 20 0 DIADHN COC(=O)c1ccc(CNCc2cccc(C3CCCC3)c2)cc1 ZINC001621317493 1056023003 /nfs/dbraw/zinc/02/30/03/1056023003.db2.gz BOLYAQOYGSHURS-UHFFFAOYSA-N 0 3 323.436 4.421 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)CC1CC1 ZINC000070947174 1056026551 /nfs/dbraw/zinc/02/65/51/1056026551.db2.gz MBLYZGDEERYMBB-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc2c(c1)Cc1ccccc1-2)N(CC)CC ZINC001540458017 1056033133 /nfs/dbraw/zinc/03/31/33/1056033133.db2.gz UTYCBNZROHCUCK-FQEVSTJZSA-N 0 3 322.452 4.317 20 0 DIADHN CCc1ccc([C@H](CNC(=O)[C@H](C)C(CC)CC)N(C)C)cc1 ZINC001540450698 1056033437 /nfs/dbraw/zinc/03/34/37/1056033437.db2.gz CCOLZCRFURRCOS-BEFAXECRSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(Cl)s2)c(CN(C)C)c1 ZINC000072841106 1056034537 /nfs/dbraw/zinc/03/45/37/1056034537.db2.gz PBNOQVWDKOFZSZ-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1ccc(NC(=O)C[C@H](C)c2ccccc2)c(CN(C)C)c1 ZINC000072840555 1056035253 /nfs/dbraw/zinc/03/52/53/1056035253.db2.gz VJVQBTFQCQKONJ-INIZCTEOSA-N 0 3 310.441 4.189 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@H](C(C)C)[C@H]2C(C)C)c1 ZINC001621334407 1056037236 /nfs/dbraw/zinc/03/72/36/1056037236.db2.gz MHQGTPXVMZIZNU-NVXWUHKLSA-N 0 3 323.864 4.239 20 0 DIADHN CC(C)Cc1ccc(C(=O)Nc2cccc(CN(C)C)c2)s1 ZINC001540627863 1056052645 /nfs/dbraw/zinc/05/26/45/1056052645.db2.gz RTEPODDJFHZMBA-UHFFFAOYSA-N 0 3 316.470 4.261 20 0 DIADHN COc1cccc(-c2ccc(F)c(CN3CCCC3)c2)c1F ZINC001239751918 1056056565 /nfs/dbraw/zinc/05/65/65/1056056565.db2.gz RSEUKOKFYMRABS-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(NC(C)=O)cc2)cc1F ZINC001239758665 1056062458 /nfs/dbraw/zinc/06/24/58/1056062458.db2.gz VMRFDAFROOHOSI-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C[C@@H]1CCC[C@@H]1C)c1ccsc1 ZINC001540779609 1056076156 /nfs/dbraw/zinc/07/61/56/1056076156.db2.gz VKVQVPUASNUKSE-YQQAZPJKSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)[C@@H](CC1CCCCC1)C(=O)NCCN1CCC(C)CC1 ZINC001540797680 1056078448 /nfs/dbraw/zinc/07/84/48/1056078448.db2.gz FABLBFOWJWOHNQ-LJQANCHMSA-N 0 3 322.537 4.077 20 0 DIADHN CC[C@@H](CC(=O)N1CCN(CCC(C)(C)C)CC1)C(C)(C)C ZINC001542199454 1056110134 /nfs/dbraw/zinc/11/01/34/1056110134.db2.gz YRCXRTFSFCCHQH-INIZCTEOSA-N 0 3 310.526 4.029 20 0 DIADHN C[C@@H](NCc1csc(COc2ccc(F)cc2)n1)C(C)(C)C ZINC000114474101 1056131651 /nfs/dbraw/zinc/13/16/51/1056131651.db2.gz XSONZJPLVJLLBP-GFCCVEGCSA-N 0 3 322.449 4.385 20 0 DIADHN O=C(c1ccc(-c2cccc(C3=NCCC3)c2)cc1)N1CCCC1 ZINC001239897770 1056142992 /nfs/dbraw/zinc/14/29/92/1056142992.db2.gz SMMQUVPKFQOAAK-UHFFFAOYSA-N 0 3 318.420 4.173 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@H]1CCCC[C@H]1C(C)(C)C ZINC001542629479 1056147570 /nfs/dbraw/zinc/14/75/70/1056147570.db2.gz QLLLRPRLMMGCOI-KZNAEPCWSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@@H](C)CCC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001611432861 1056158937 /nfs/dbraw/zinc/15/89/37/1056158937.db2.gz CVYJEQPTNSAYSI-IEBWSBKVSA-N 0 3 316.489 4.156 20 0 DIADHN CCCC[C@H](C)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001542816697 1056158951 /nfs/dbraw/zinc/15/89/51/1056158951.db2.gz HXKXKRUBVUZWQL-HKUYNNGSSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1ccccc1Cl)c1ccccc1F ZINC000765812777 1056159095 /nfs/dbraw/zinc/15/90/95/1056159095.db2.gz ZFQOOGKDABGWCT-YVEFUNNKSA-N 0 3 307.796 4.037 20 0 DIADHN CCOc1cc(CN[C@H]2C[C@H]2C2CCCCC2)c(F)cc1OC ZINC001620820167 1056171492 /nfs/dbraw/zinc/17/14/92/1056171492.db2.gz LTEAKQUVGNAJJZ-RDJZCZTQSA-N 0 3 321.436 4.291 20 0 DIADHN CCCCC[C@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921670525 1056181263 /nfs/dbraw/zinc/18/12/63/1056181263.db2.gz NXEHFBGCWNCQAH-SFHVURJKSA-N 0 3 303.425 4.264 20 0 DIADHN C[C@@H](CNc1nc(C2CCCCC2)ns1)N1CCC[C@H](C)C1 ZINC001611793925 1056191472 /nfs/dbraw/zinc/19/14/72/1056191472.db2.gz JWDBUHJILFTLGK-KBPBESRZSA-N 0 3 322.522 4.118 20 0 DIADHN C[C@@H](NCCCc1c(Cl)cccc1Cl)c1nccn1C ZINC000655820788 1056231712 /nfs/dbraw/zinc/23/17/12/1056231712.db2.gz LJEPZQHNJKOAER-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN COc1cc(F)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001239999690 1056237433 /nfs/dbraw/zinc/23/74/33/1056237433.db2.gz AODFGBHMMADSGO-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN c1nn(Cc2ccccc2)cc1-c1cccc(C2=NCCC2)c1 ZINC001240005028 1056244754 /nfs/dbraw/zinc/24/47/54/1056244754.db2.gz OTOQABOFHZXLHE-UHFFFAOYSA-N 0 3 301.393 4.181 20 0 DIADHN CC(C)NC(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001240023965 1056260962 /nfs/dbraw/zinc/26/09/62/1056260962.db2.gz SKLDKUYJVXTTON-UHFFFAOYSA-N 0 3 306.409 4.075 20 0 DIADHN Fc1ccc([C@H](NCc2cnn(C3CCC3)c2)C2CCC2)cc1 ZINC000921684802 1056265476 /nfs/dbraw/zinc/26/54/76/1056265476.db2.gz UDBJYTXFSFGEAK-LJQANCHMSA-N 0 3 313.420 4.378 20 0 DIADHN CSc1ccc([C@H](C)NCc2cnc3ccc(C)cn23)cc1 ZINC000282298042 1056269467 /nfs/dbraw/zinc/26/94/67/1056269467.db2.gz ROUFHWUTTLGCCN-AWEZNQCLSA-N 0 3 311.454 4.215 20 0 DIADHN COc1ccc(-c2cncc(CN3CCCCC3)c2)cc1Cl ZINC001240032574 1056270244 /nfs/dbraw/zinc/27/02/44/1056270244.db2.gz TYJPBJRLVXICTQ-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN Cc1ccc2ncnc(SCCN(C)Cc3ccccc3)c2c1 ZINC001612318279 1056271849 /nfs/dbraw/zinc/27/18/49/1056271849.db2.gz IUBZGPNYFJZHCC-UHFFFAOYSA-N 0 3 323.465 4.162 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H]2CCCc3cn(C(C)C)nc32)C1(C)C ZINC000765826626 1056276152 /nfs/dbraw/zinc/27/61/52/1056276152.db2.gz ZQGDEJJMIUETMY-IXDOHACOSA-N 0 3 319.493 4.023 20 0 DIADHN CCN(CC)C(=O)c1cccc(-c2nc(C)cc3[nH]ccc32)c1 ZINC001240050269 1056283773 /nfs/dbraw/zinc/28/37/73/1056283773.db2.gz QAVAGKRVJMDUAI-UHFFFAOYSA-N 0 3 307.397 4.020 20 0 DIADHN CCN(CC)C(=O)c1cccc(-c2ccc(C3=NCCC3)cc2)c1 ZINC001240051105 1056285678 /nfs/dbraw/zinc/28/56/78/1056285678.db2.gz GOZBUUGCVJQDSF-UHFFFAOYSA-N 0 3 320.436 4.419 20 0 DIADHN c1ccc2c(c1)NCC[C@@H]2Nc1cc(CN2CCCCC2)ccn1 ZINC001168640972 1056314297 /nfs/dbraw/zinc/31/42/97/1056314297.db2.gz QVILOGQUQMNBIV-IBGZPJMESA-N 0 3 322.456 4.036 20 0 DIADHN CC(C)CNC(=O)c1cccc(-c2ccc(C3=NCCC3)cc2)c1 ZINC001240090416 1056316686 /nfs/dbraw/zinc/31/66/86/1056316686.db2.gz KEUFGSDUZQHHDN-UHFFFAOYSA-N 0 3 320.436 4.322 20 0 DIADHN Fc1ccc(CNC2(c3ccc(Cl)cc3)CCOCC2)cc1 ZINC000107897755 1056320381 /nfs/dbraw/zinc/32/03/81/1056320381.db2.gz RAMNQVFDINDBCD-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccccc2N(C)CC)c1 ZINC000765849741 1056325953 /nfs/dbraw/zinc/32/59/53/1056325953.db2.gz PNHATZVMPASDJU-INIZCTEOSA-N 0 3 312.457 4.392 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1sc(C)nc1C ZINC000765849882 1056326108 /nfs/dbraw/zinc/32/61/08/1056326108.db2.gz RPADUQPLCUJSMU-LBPRGKRZSA-N 0 3 303.475 4.067 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@@H]2CCNc3ccccc32)nc1 ZINC001168643797 1056328438 /nfs/dbraw/zinc/32/84/38/1056328438.db2.gz BCBRMIXTQZZGMP-RTBURBONSA-N 0 3 322.456 4.207 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)/C=C/C1CCCCC1 ZINC001543934967 1056328703 /nfs/dbraw/zinc/32/87/03/1056328703.db2.gz YXLPPZQCTMWPJH-BUHFOSPRSA-N 0 3 320.521 4.093 20 0 DIADHN CN(C)c1ccnc(-c2cccc(C(=O)Nc3ccccc3)c2)c1 ZINC001240111463 1056332248 /nfs/dbraw/zinc/33/22/48/1056332248.db2.gz FUFMOZIKCJHAJL-UHFFFAOYSA-N 0 3 317.392 4.067 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(-c2cncc3cc[nH]c32)c1 ZINC001240114106 1056336754 /nfs/dbraw/zinc/33/67/54/1056336754.db2.gz RFRFOWQMOGJBHZ-UHFFFAOYSA-N 0 3 313.360 4.482 20 0 DIADHN Nc1nc2cc(-c3cccc(OCc4ccccc4)c3)ccc2[nH]1 ZINC001240121981 1056342864 /nfs/dbraw/zinc/34/28/64/1056342864.db2.gz JRPMHQOQVGMZDE-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN Nc1nc2ccc(-c3cccc(OCc4ccccc4)c3)cc2[nH]1 ZINC001240121981 1056342878 /nfs/dbraw/zinc/34/28/78/1056342878.db2.gz JRPMHQOQVGMZDE-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F)c1ncc[nH]1 ZINC000353621338 1056344612 /nfs/dbraw/zinc/34/46/12/1056344612.db2.gz MFIRAKGQGSERAO-LNSITVRQSA-N 0 3 323.362 4.415 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](O)c2ccc3ccccc3c2)o1 ZINC000765880086 1056351978 /nfs/dbraw/zinc/35/19/78/1056351978.db2.gz KLKNSMLSMXNYCK-KUHUBIRLSA-N 0 3 309.409 4.379 20 0 DIADHN COc1ccc(OCCCN(C)[C@@H](C)c2ccccc2F)cc1 ZINC000302947769 1056366068 /nfs/dbraw/zinc/36/60/68/1056366068.db2.gz NDGBCIINXFTSKO-HNNXBMFYSA-N 0 3 317.404 4.296 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NCC1(C(F)(F)F)CC1 ZINC000353948938 1056385390 /nfs/dbraw/zinc/38/53/90/1056385390.db2.gz YKQHEXJSVHKFSF-NSHDSACASA-N 0 3 305.340 4.295 20 0 DIADHN c1cc(-c2cc(N3CCCC3)ccn2)cc(N2CCCCC2)c1 ZINC001240249640 1056385908 /nfs/dbraw/zinc/38/59/08/1056385908.db2.gz DVAGNGIVGBGJCX-UHFFFAOYSA-N 0 3 307.441 4.339 20 0 DIADHN Fc1ccc(-c2cccc(-n3cccn3)c2)cc1CN1CCCC1 ZINC001240249945 1056386317 /nfs/dbraw/zinc/38/63/17/1056386317.db2.gz SNGHHQYRXJJIQX-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc2[nH]c(=O)oc21)C(C)C ZINC000921731177 1056390462 /nfs/dbraw/zinc/39/04/62/1056390462.db2.gz CZWMCYSNBRMNKA-QGZVFWFLSA-N 0 3 310.397 4.329 20 0 DIADHN CCC(CC)[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)c1ccccc1 ZINC001544268830 1056397207 /nfs/dbraw/zinc/39/72/07/1056397207.db2.gz OFVZUNVBPDZJHB-FTRWYGJKSA-N 0 3 316.489 4.153 20 0 DIADHN Cc1ccc([C@H](CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)N(C)C)cc1 ZINC001544274076 1056400468 /nfs/dbraw/zinc/40/04/68/1056400468.db2.gz UQUFQFHKVQULGW-NUJGCVRESA-N 0 3 318.505 4.032 20 0 DIADHN OCCC1(CNCc2c(Cl)cccc2Cl)CCCCC1 ZINC001620825735 1056411996 /nfs/dbraw/zinc/41/19/96/1056411996.db2.gz HGCYGHQUJBNEAO-UHFFFAOYSA-N 0 3 316.272 4.416 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3cnn(C)c3c2)c1F ZINC001240310329 1056421552 /nfs/dbraw/zinc/42/15/52/1056421552.db2.gz KWGKHWLYRNPMBD-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CC(=O)N(C)c2ccccc2)c1C ZINC000303929921 1056440651 /nfs/dbraw/zinc/44/06/51/1056440651.db2.gz QJAPEVBIXZGARO-FQEVSTJZSA-N 0 3 322.452 4.103 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(N[C@H]2CCCc3cccnc32)n1 ZINC001168656915 1056447489 /nfs/dbraw/zinc/44/74/89/1056447489.db2.gz WBUBTTWSVIXVHB-WBVHZDCISA-N 0 3 322.456 4.345 20 0 DIADHN FCCn1cc(CNC2(c3ccc(Cl)cc3)CCCC2)cn1 ZINC000921747075 1056458927 /nfs/dbraw/zinc/45/89/27/1056458927.db2.gz SBPLXDVEJPKETP-UHFFFAOYSA-N 0 3 321.827 4.065 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(Cc3ccccc3)cc2)c1 ZINC001240383256 1056464122 /nfs/dbraw/zinc/46/41/22/1056464122.db2.gz MSOWMQPGDXJQER-UHFFFAOYSA-N 0 3 302.421 4.401 20 0 DIADHN Fc1ccc2ncc(C3=CCCN(Cc4ccccc4)C3)nc2c1 ZINC001240384472 1056466689 /nfs/dbraw/zinc/46/66/89/1056466689.db2.gz NLIIRXSVUOMPAJ-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CC(C)(C)c1ncncc1C1=CCCN(Cc2ccccc2)C1 ZINC001240386690 1056468307 /nfs/dbraw/zinc/46/83/07/1056468307.db2.gz HOWDFJHZJSIBGW-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN COCc1ccc(C2=CCCN(Cc3ccccc3)C2)c(F)c1 ZINC001240386791 1056468810 /nfs/dbraw/zinc/46/88/10/1056468810.db2.gz JZZSCSJDBSVTJH-UHFFFAOYSA-N 0 3 311.400 4.261 20 0 DIADHN CCC1CCC(N(Cc2n[nH]c(C(C)(C)C)n2)C2CC2)CC1 ZINC001615194604 1056474099 /nfs/dbraw/zinc/47/40/99/1056474099.db2.gz GUTDICCHZCKXCF-UHFFFAOYSA-N 0 3 304.482 4.035 20 0 DIADHN Fc1cc(-c2cnc3[nH]ccc3c2)ccc1CN1CCCCC1 ZINC001240394646 1056477328 /nfs/dbraw/zinc/47/73/28/1056477328.db2.gz GZJIBGKNBQAFJG-UHFFFAOYSA-N 0 3 309.388 4.307 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@H](c2cc[nH]n2)C1 ZINC001615214589 1056478488 /nfs/dbraw/zinc/47/84/88/1056478488.db2.gz GQTLJAVTDFXDMU-LBPRGKRZSA-N 0 3 324.255 4.139 20 0 DIADHN CN1CCc2ccc(-c3ccc(C(F)(F)F)cc3F)cc2C1 ZINC001240400198 1056482867 /nfs/dbraw/zinc/48/28/67/1056482867.db2.gz SSZHQBUZLKEHOH-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1CCCC1CCOCC1 ZINC000765921234 1056483044 /nfs/dbraw/zinc/48/30/44/1056483044.db2.gz RGMMYUAUNMUCOG-HLIPFELVSA-N 0 3 317.473 4.044 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N(C)Cc1cc(OC)cc(OC)c1 ZINC001615255961 1056485285 /nfs/dbraw/zinc/48/52/85/1056485285.db2.gz NPDANRSSISXULR-LJQANCHMSA-N 0 3 317.404 4.426 20 0 DIADHN COc1cc(CN(C)CCOCC2CCCC2)ccc1SC ZINC001615297192 1056488740 /nfs/dbraw/zinc/48/87/40/1056488740.db2.gz WTMQNUGKPPCJIZ-UHFFFAOYSA-N 0 3 323.502 4.056 20 0 DIADHN CCCN(CCc1ncc(C)cc1C)Cc1ccc(F)cc1F ZINC001615313356 1056491315 /nfs/dbraw/zinc/49/13/15/1056491315.db2.gz AIMCSAAEHVMGAG-UHFFFAOYSA-N 0 3 318.411 4.431 20 0 DIADHN COc1ccccc1C[C@@H]1CCCN1Cc1cc(F)ccc1F ZINC001615314106 1056491637 /nfs/dbraw/zinc/49/16/37/1056491637.db2.gz UPGMROVSNVABLP-KRWDZBQOSA-N 0 3 317.379 4.181 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001615309447 1056492706 /nfs/dbraw/zinc/49/27/06/1056492706.db2.gz XWVUQGMAVNGERX-TZMCWYRMSA-N 0 3 309.837 4.122 20 0 DIADHN Brc1cccc2c1CCN(CC13CCC(CC1)C3)C2 ZINC001615376546 1056501483 /nfs/dbraw/zinc/50/14/83/1056501483.db2.gz WRJACAPMBRBLNX-UHFFFAOYSA-N 0 3 320.274 4.388 20 0 DIADHN CC(C)=CCCN(C)Cc1cc(Br)ccc1F ZINC001615391789 1056501700 /nfs/dbraw/zinc/50/17/00/1056501700.db2.gz DIJOROYZNNLHLI-UHFFFAOYSA-N 0 3 300.215 4.376 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1ccnc2ccccc12 ZINC000903948000 1056503437 /nfs/dbraw/zinc/50/34/37/1056503437.db2.gz KLAJVCCYWSCUPA-IBGZPJMESA-N 0 3 303.409 4.270 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1coc(-c2ccccc2F)n1 ZINC001615398810 1056503667 /nfs/dbraw/zinc/50/36/67/1056503667.db2.gz WEVFLJDJTAJLDY-HNNXBMFYSA-N 0 3 302.393 4.491 20 0 DIADHN OCCCN(CC1=CCCC1)Cc1ccc(Cl)c(Cl)c1 ZINC001615446714 1056511000 /nfs/dbraw/zinc/51/10/00/1056511000.db2.gz ZKCPBORBLHMWKZ-UHFFFAOYSA-N 0 3 314.256 4.288 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(C(=O)OC(C)(C)C)cc1 ZINC001240441065 1056512368 /nfs/dbraw/zinc/51/23/68/1056512368.db2.gz BYCMQNLOZIYJJG-ACCUITESSA-N 0 3 324.424 4.236 20 0 DIADHN CC1(C)OC[C@H](CN(Cc2ccccc2Cl)C2CCCC2)O1 ZINC001615466527 1056513018 /nfs/dbraw/zinc/51/30/18/1056513018.db2.gz ZETGLTPUBQKPLG-INIZCTEOSA-N 0 3 323.864 4.236 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1cnn(C2CCC2)c1 ZINC000921817907 1056513411 /nfs/dbraw/zinc/51/34/11/1056513411.db2.gz QNWATKJBFJXSSM-DJJJIMSYSA-N 0 3 313.420 4.160 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000014934943 1056514109 /nfs/dbraw/zinc/51/41/09/1056514109.db2.gz STOXUIDSEQSFFP-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN CC(C)(C)OC(=O)[C@H](C1CC1)N1CCCC2(CCCCC2)C1 ZINC001615454437 1056514836 /nfs/dbraw/zinc/51/48/36/1056514836.db2.gz XUBZEOUCKPSCCC-INIZCTEOSA-N 0 3 307.478 4.153 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@H]2CCC3(CCC3)CO2)s1 ZINC001615474670 1056517876 /nfs/dbraw/zinc/51/78/76/1056517876.db2.gz SMMFYYPJGZYEJV-DZGCQCFKSA-N 0 3 308.491 4.102 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2ccccc2)ccc1OC(F)F ZINC000061761107 1056519176 /nfs/dbraw/zinc/51/91/76/1056519176.db2.gz XGUKKURRLHPIBL-CYBMUJFWSA-N 0 3 321.367 4.490 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2CCC(C)(C)CO2)cc1 ZINC001615486455 1056519258 /nfs/dbraw/zinc/51/92/58/1056519258.db2.gz XITSJZIIZZTTMN-ROUUACIJSA-N 0 3 319.514 4.290 20 0 DIADHN CC(C)[C@@H]1CCCCN1CC(=O)Nc1ccc2ccccc2c1 ZINC001615520041 1056526949 /nfs/dbraw/zinc/52/69/49/1056526949.db2.gz PXAJTTIMJIZYIO-IBGZPJMESA-N 0 3 310.441 4.289 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)[C@H](O)c1cccc(F)c1 ZINC001620828272 1056532659 /nfs/dbraw/zinc/53/26/59/1056532659.db2.gz NKHAKACTPRHSAK-XIKOKIGWSA-N 0 3 319.420 4.236 20 0 DIADHN Cc1ccc(-c2cccc(CNCc3cnn(CCF)c3)c2)cc1 ZINC000921840066 1056538287 /nfs/dbraw/zinc/53/82/87/1056538287.db2.gz WZKYJBBRWOZWPY-UHFFFAOYSA-N 0 3 323.415 4.118 20 0 DIADHN CC[C@@H]1CCCCN1CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000251937770 1056543142 /nfs/dbraw/zinc/54/31/42/1056543142.db2.gz ZXDIEOCQHHMHDG-OXQOHEQNSA-N 0 3 324.468 4.282 20 0 DIADHN C[C@@H](c1cccnc1)N1CCN(CCC2CCCCCC2)CC1 ZINC001615596196 1056544567 /nfs/dbraw/zinc/54/45/67/1056544567.db2.gz VQTYXJDXXQWKEC-SFHVURJKSA-N 0 3 315.505 4.121 20 0 DIADHN Clc1cccc2c1C[C@@H](NCc1cc(-c3ccccc3)no1)C2 ZINC001168685317 1056552463 /nfs/dbraw/zinc/55/24/63/1056552463.db2.gz OMCWWTUIEHNERK-HNNXBMFYSA-N 0 3 324.811 4.252 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(CCCOC(F)(F)F)C1 ZINC001615665710 1056559781 /nfs/dbraw/zinc/55/97/81/1056559781.db2.gz UUPQFFYJLMXQRI-BXUZGUMPSA-N 0 3 305.315 4.135 20 0 DIADHN CCc1ccc([C@H]2C[C@@H](C)CCN2Cc2ccc(CO)cc2)o1 ZINC001615669801 1056561553 /nfs/dbraw/zinc/56/15/53/1056561553.db2.gz XIAMIANHTWSXLE-HNAYVOBHSA-N 0 3 313.441 4.308 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1cnn(-c2ccccc2)c1 ZINC000765948050 1056562570 /nfs/dbraw/zinc/56/25/70/1056562570.db2.gz YNTXXNFYMNGHTD-NLZINVLXSA-N 0 3 303.409 4.236 20 0 DIADHN CCCN(Cc1ccns1)[C@H]1C[C@H](OCC)C12CCCCC2 ZINC001615712363 1056563860 /nfs/dbraw/zinc/56/38/60/1056563860.db2.gz CQGUNQIZISESQQ-IRXDYDNUSA-N 0 3 322.518 4.483 20 0 DIADHN Cc1ccc(CCN(Cc2ccco2)C[C@H]2CC[C@H](C)O2)cc1 ZINC001615718765 1056568473 /nfs/dbraw/zinc/56/84/73/1056568473.db2.gz BPPXOADBQQBKCW-FXAWDEMLSA-N 0 3 313.441 4.200 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1CC(=O)Nc1cccc2ccccc21 ZINC001615725096 1056569567 /nfs/dbraw/zinc/56/95/67/1056569567.db2.gz CCZVILHCBZTMHN-SFHVURJKSA-N 0 3 310.441 4.289 20 0 DIADHN COc1cccc(CN(C2CC2)C2CC(c3ccccc3C)C2)n1 ZINC001615725745 1056569712 /nfs/dbraw/zinc/56/97/12/1056569712.db2.gz LRGVBHDLZGFSOE-UHFFFAOYSA-N 0 3 322.452 4.309 20 0 DIADHN CN(CCn1ccc2cc(Cl)ccc21)Cc1cccc(O)c1 ZINC001615790136 1056575814 /nfs/dbraw/zinc/57/58/14/1056575814.db2.gz VHARKQMYRQLZBL-UHFFFAOYSA-N 0 3 314.816 4.132 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1n[nH]c(C2CC2)n1)c1ccc(Cl)cc1 ZINC000347671977 1056577842 /nfs/dbraw/zinc/57/78/42/1056577842.db2.gz LDMPWFKICODDNZ-BDJLRTHQSA-N 0 3 318.852 4.213 20 0 DIADHN COc1ccccc1C(C)(C)CNCc1c(F)cccc1F ZINC000063320033 1056580520 /nfs/dbraw/zinc/58/05/20/1056580520.db2.gz KHIBUHNYLRPFNG-UHFFFAOYSA-N 0 3 305.368 4.041 20 0 DIADHN CC(=O)Nc1cccc2c1CCN([C@@H](C)c1cccc(C)c1)C2 ZINC000075643954 1056583561 /nfs/dbraw/zinc/58/35/61/1056583561.db2.gz OKULAGWDPBWNIX-HNNXBMFYSA-N 0 3 308.425 4.073 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccccc2OC(C)C)C12CCC2 ZINC001615870492 1056586454 /nfs/dbraw/zinc/58/64/54/1056586454.db2.gz BEQVAZAVZOFVIK-RBUKOAKNSA-N 0 3 317.473 4.253 20 0 DIADHN CC[C@@](C)(NC[C@@H](C)COCc1ccccc1)c1nccs1 ZINC001615887156 1056590852 /nfs/dbraw/zinc/59/08/52/1056590852.db2.gz NPRBBVZMKPWTIG-CRAIPNDOSA-N 0 3 318.486 4.211 20 0 DIADHN c1ccc(CCC[C@@H](NCC2=NOCC2)c2ccccc2)cc1 ZINC001615929684 1056596945 /nfs/dbraw/zinc/59/69/45/1056596945.db2.gz XESBKRPOKPOCNL-HXUWFJFHSA-N 0 3 308.425 4.117 20 0 DIADHN Oc1ccc([C@@H]2CCCN2CCCc2c(F)cccc2F)cc1 ZINC001615919800 1056597056 /nfs/dbraw/zinc/59/70/56/1056597056.db2.gz JJWYXHYQRZAOAF-IBGZPJMESA-N 0 3 317.379 4.440 20 0 DIADHN c1cc(N2CCCCC2)cc(-c2ccc(N3CCCC3)cc2)n1 ZINC001240589981 1056597792 /nfs/dbraw/zinc/59/77/92/1056597792.db2.gz ZQAXGSPOMUNYFL-UHFFFAOYSA-N 0 3 307.441 4.339 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccccc1C)c1ccc(F)cc1F ZINC000765952628 1056599245 /nfs/dbraw/zinc/59/92/45/1056599245.db2.gz NBPVZFGCKVRRSY-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)C[C@@H]1CCC(C)(C)CO1)CCC2 ZINC001615960611 1056601399 /nfs/dbraw/zinc/60/13/99/1056601399.db2.gz JDDCDESNTOSTKQ-ZFWWWQNUSA-N 0 3 322.518 4.220 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1cccc(C)c1C ZINC001615988509 1056603197 /nfs/dbraw/zinc/60/31/97/1056603197.db2.gz HMJBHFPLWRXTEB-YJBOKZPZSA-N 0 3 302.462 4.143 20 0 DIADHN CCC(C)(CC)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001585689270 1056604880 /nfs/dbraw/zinc/60/48/80/1056604880.db2.gz DDBZNPFLNFRKJS-GOSISDBHSA-N 0 3 318.505 4.086 20 0 DIADHN CCCc1cc(C(=O)NCCN2CCCC[C@H]2CC)sc1C ZINC001585717863 1056608086 /nfs/dbraw/zinc/60/80/86/1056608086.db2.gz XZLOIGIQWRXABX-MRXNPFEDSA-N 0 3 322.518 4.003 20 0 DIADHN COCCN(CCCc1ccccc1Cl)Cc1ccc(C)o1 ZINC001616056292 1056608740 /nfs/dbraw/zinc/60/87/40/1056608740.db2.gz NUYCHFBAUFBWHW-UHFFFAOYSA-N 0 3 321.848 4.323 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001616065735 1056608856 /nfs/dbraw/zinc/60/88/56/1056608856.db2.gz ACZCMCWFOGIMAI-KRWDZBQOSA-N 0 3 315.436 4.412 20 0 DIADHN Cc1cccc(CCNCc2csc(Cl)c2Cl)n1 ZINC000355227866 1056613325 /nfs/dbraw/zinc/61/33/25/1056613325.db2.gz VQMJMQLKQOKERJ-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(O)c(OC)c2)cc1F ZINC001240617660 1056616965 /nfs/dbraw/zinc/61/69/65/1056616965.db2.gz KJGIXLHOGGQXIJ-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(C)c(Cl)n2)C1(C)C ZINC001616167250 1056616883 /nfs/dbraw/zinc/61/68/83/1056616883.db2.gz IRNNBXOUYICVAD-JKSUJKDBSA-N 0 3 324.896 4.459 20 0 DIADHN CCCCn1cc(CN[C@@H]2c3ccccc3CC[C@H]2C)c(C)n1 ZINC000678774540 1056622895 /nfs/dbraw/zinc/62/28/95/1056622895.db2.gz LDONTJWGXRFIAD-QRWLVFNGSA-N 0 3 311.473 4.405 20 0 DIADHN FC(F)COCCN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC001616323527 1056635589 /nfs/dbraw/zinc/63/55/89/1056635589.db2.gz VOTHNVXGQIODMX-MQNTZWLQSA-N 0 3 309.400 4.226 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC000921928550 1056635982 /nfs/dbraw/zinc/63/59/82/1056635982.db2.gz VDLMKPIDDQUARX-WBMJQRKESA-N 0 3 308.381 4.262 20 0 DIADHN Cc1cnccc1CCN1CCC(=Cc2ccccc2F)CC1 ZINC001616338482 1056637859 /nfs/dbraw/zinc/63/78/59/1056637859.db2.gz KGXVJGHDAYAKSP-UHFFFAOYSA-N 0 3 310.416 4.251 20 0 DIADHN O[C@H](CC1CCCC1)CN1CCC(=Cc2ccccc2F)CC1 ZINC001616338148 1056637975 /nfs/dbraw/zinc/63/79/75/1056637975.db2.gz BQWAXRVWZOHTLK-LJQANCHMSA-N 0 3 317.448 4.246 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N(C)CCC(=O)OC(C)(C)C ZINC001616363359 1056643387 /nfs/dbraw/zinc/64/33/87/1056643387.db2.gz GKVADMOKKQXILC-LLVKDONJSA-N 0 3 315.816 4.204 20 0 DIADHN CCN1CCN([C@H](C)c2cc(Cl)ccc2Cl)C[C@H]1C ZINC001616381931 1056647307 /nfs/dbraw/zinc/64/73/07/1056647307.db2.gz AMGOTIMGTCRLGV-VXGBXAGGSA-N 0 3 301.261 4.080 20 0 DIADHN CC[C@H](CC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C)C(C)(C)C ZINC001128188404 1056648539 /nfs/dbraw/zinc/64/85/39/1056648539.db2.gz DPEJRIFKKRBCNN-SUMDDJOVSA-N 0 3 318.505 4.256 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N(C)CCN(C)C(=O)OC(C)(C)C ZINC001616389714 1056648766 /nfs/dbraw/zinc/64/87/66/1056648766.db2.gz QVGZKALQSZMOEA-MRXNPFEDSA-N 0 3 324.440 4.076 20 0 DIADHN C[C@H](CN1CCN(c2ccc(F)cc2Cl)CC1)C(C)(C)C ZINC001616410203 1056652325 /nfs/dbraw/zinc/65/23/25/1056652325.db2.gz DMELBCKLYIOXMF-CYBMUJFWSA-N 0 3 312.860 4.283 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CC[C@H]2[C@@H](C1)C2(F)F ZINC001616467658 1056662822 /nfs/dbraw/zinc/66/28/22/1056662822.db2.gz SQKBCJPUDYECHB-JIMOISOXSA-N 0 3 305.290 4.353 20 0 DIADHN CN(CCCC1CCCCC1)Cc1cn(C)nc1C(F)(F)F ZINC001616474976 1056663310 /nfs/dbraw/zinc/66/33/10/1056663310.db2.gz FVZGZIHCRHDANE-UHFFFAOYSA-N 0 3 317.399 4.231 20 0 DIADHN COc1c(F)ccc(F)c1-c1cccc(CN2CCCC2)c1F ZINC001240726485 1056664928 /nfs/dbraw/zinc/66/49/28/1056664928.db2.gz PGKVBNAXRPTRQX-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Clc1ccccc1O[C@H]1CCN([C@@H]2CCc3ccccc32)C1 ZINC001616515028 1056668162 /nfs/dbraw/zinc/66/81/62/1056668162.db2.gz RHFVNBTZPBAIBK-MAUKXSAKSA-N 0 3 313.828 4.481 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C1CC1)C1CCCC1 ZINC000064807981 1056671850 /nfs/dbraw/zinc/67/18/50/1056671850.db2.gz DQPKZGHQAWURAA-UHFFFAOYSA-N 0 3 314.473 4.464 20 0 DIADHN COc1ccc(C[NH+]2CCCCC2)cc1-c1ccc([O-])c(F)c1 ZINC001240789741 1056700340 /nfs/dbraw/zinc/70/03/40/1056700340.db2.gz BXQWDQQEFMAQMR-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COC(=O)/C(C)=C/CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC001616699160 1056702855 /nfs/dbraw/zinc/70/28/55/1056702855.db2.gz HLIGXBAUSICSBO-UQCBTIDJSA-N 0 3 323.436 4.069 20 0 DIADHN C[C@H](CCCC(C)(C)O)Nc1cccc(N2CCCC[C@@H]2C)n1 ZINC001168698601 1056707063 /nfs/dbraw/zinc/70/70/63/1056707063.db2.gz LHABNIJPIGFMDZ-CVEARBPZSA-N 0 3 319.493 4.202 20 0 DIADHN CCCCCC[C@H](O)CNC1(c2ccc(F)cc2F)CCC1 ZINC001616729198 1056708189 /nfs/dbraw/zinc/70/81/89/1056708189.db2.gz JNXLRJIVWZNMTQ-HNNXBMFYSA-N 0 3 311.416 4.265 20 0 DIADHN Cc1ccc(-c2nnc(CNC(C)(C)CC(C)(C)C)o2)cc1 ZINC000077183480 1056709993 /nfs/dbraw/zinc/70/99/93/1056709993.db2.gz BQJXBVPVXLDCAR-UHFFFAOYSA-N 0 3 301.434 4.349 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(C)cc1)C(=O)Nc1ccc(C)cc1 ZINC001616753360 1056711985 /nfs/dbraw/zinc/71/19/85/1056711985.db2.gz INWZVJQVEXAZJM-QFBILLFUSA-N 0 3 310.441 4.371 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cn1 ZINC001240810267 1056712210 /nfs/dbraw/zinc/71/22/10/1056712210.db2.gz OSBLPBYYNIANNP-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN Cc1ccccc1[C@H](NCC1=NOCC1)[C@@H]1CCC[C@@H](C)C1 ZINC001616786455 1056716430 /nfs/dbraw/zinc/71/64/30/1056716430.db2.gz IIGPKKBVWFIXEX-IDHHARJASA-N 0 3 300.446 4.228 20 0 DIADHN C[C@H](NCCN1CCCc2ccccc21)c1ccc(F)cc1F ZINC001616817630 1056721352 /nfs/dbraw/zinc/72/13/52/1056721352.db2.gz XZOBLJCCSGUINM-AWEZNQCLSA-N 0 3 316.395 4.068 20 0 DIADHN Cc1cnc(Cl)c(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000922002478 1056722801 /nfs/dbraw/zinc/72/28/01/1056722801.db2.gz YZSSSBGNNUINED-DLBZAZTESA-N 0 3 316.832 4.053 20 0 DIADHN Cn1ccc2cc(-c3ccc(F)c(CN4CCCCC4)c3)cnc21 ZINC001240846240 1056726846 /nfs/dbraw/zinc/72/68/46/1056726846.db2.gz WBYHSEWXFQJBKZ-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN COC[C@H](NC[C@H]1[C@H](c2ccccc2)C1(F)F)c1ccccc1 ZINC001616866119 1056730110 /nfs/dbraw/zinc/73/01/10/1056730110.db2.gz WWKZSHOOSKJIME-BZSNNMDCSA-N 0 3 317.379 4.013 20 0 DIADHN Fc1cc(Br)c(CN[C@H]2CC[C@H]2C2CC2)cc1F ZINC000672614113 1056733221 /nfs/dbraw/zinc/73/32/21/1056733221.db2.gz VLLGJJVPUIQCRA-HZMBPMFUSA-N 0 3 316.189 4.006 20 0 DIADHN CC(C)(C)[C@@H]1CC[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000672605105 1056734366 /nfs/dbraw/zinc/73/43/66/1056734366.db2.gz LEQHPPRWRDWOGU-KGLIPLIRSA-N 0 3 301.459 4.119 20 0 DIADHN CC[C@H](C)[C@@H](C)N1CCO[C@@H](c2ccc(OC(F)F)cc2)C1 ZINC001616921660 1056739887 /nfs/dbraw/zinc/73/98/87/1056739887.db2.gz RKSQVIYFIDCIQE-WOSRLPQWSA-N 0 3 313.388 4.096 20 0 DIADHN C[C@H](Cc1cccs1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000672617277 1056742898 /nfs/dbraw/zinc/74/28/98/1056742898.db2.gz PZXKNBUSNKEMMJ-CABCVRRESA-N 0 3 311.454 4.216 20 0 DIADHN c1ccc2c(c1)oc1c2cccc1-c1ccc2c(n1)CNCC2 ZINC001240889878 1056744111 /nfs/dbraw/zinc/74/41/11/1056744111.db2.gz LSXSLUVFNRIZQD-UHFFFAOYSA-N 0 3 300.361 4.294 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1ccc2c(c1)CCC2 ZINC001616982223 1056752334 /nfs/dbraw/zinc/75/23/34/1056752334.db2.gz VGWPJDGNRVFTBZ-CQSZACIVSA-N 0 3 311.473 4.286 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1ccc(C)c(C(=O)OC)c1 ZINC001617013936 1056759356 /nfs/dbraw/zinc/75/93/56/1056759356.db2.gz JWRWFCZVOLDPRZ-LJQANCHMSA-N 0 3 323.436 4.119 20 0 DIADHN CC(C)c1ccc(C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)cc1 ZINC001128299778 1056762305 /nfs/dbraw/zinc/76/23/05/1056762305.db2.gz PAQLILCOCIFTKP-UZLBHIALSA-N 0 3 324.468 4.231 20 0 DIADHN C[C@@H](NCc1cscn1)[C@@H](C)c1ccccc1C(F)(F)F ZINC001617104154 1056777207 /nfs/dbraw/zinc/77/72/07/1056777207.db2.gz QKWBOTVFBJQGDF-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000672806786 1056777342 /nfs/dbraw/zinc/77/73/42/1056777342.db2.gz RCBVPXNYBISWSF-UONOGXRCSA-N 0 3 322.412 4.317 20 0 DIADHN COC(=O)[C@@H]1CCCCCN1Cc1c(C)ccc2ccccc21 ZINC001617114821 1056778529 /nfs/dbraw/zinc/77/85/29/1056778529.db2.gz FPPFZHRWHWAIAF-IBGZPJMESA-N 0 3 311.425 4.066 20 0 DIADHN COC(=O)[C@H]1CCCCCN1Cc1c(C)ccc2ccccc21 ZINC001617114822 1056778927 /nfs/dbraw/zinc/77/89/27/1056778927.db2.gz FPPFZHRWHWAIAF-LJQANCHMSA-N 0 3 311.425 4.066 20 0 DIADHN Cc1c[nH]c2ncc(-c3ccc(F)c(CN4CCCC4)c3)cc12 ZINC001240968218 1056790964 /nfs/dbraw/zinc/79/09/64/1056790964.db2.gz DXYITTHYBUQBTB-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1Cl)c1ccc(F)c(F)c1 ZINC000132172500 1056795663 /nfs/dbraw/zinc/79/56/63/1056795663.db2.gz QNNPRBXEGROVSE-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@H]3CCC[C@@H](C)C3)CCO2)cc1 ZINC001617218482 1056797699 /nfs/dbraw/zinc/79/76/99/1056797699.db2.gz YXJPKNKDLXUTKP-KPFFTGBYSA-N 0 3 301.474 4.449 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1ccncc1Br ZINC001617252095 1056801767 /nfs/dbraw/zinc/80/17/67/1056801767.db2.gz LTLKSMNYKPZHEA-DOMZBBRYSA-N 0 3 311.267 4.245 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN(Cc2ccccc2C2CC2)C1 ZINC001617252598 1056801810 /nfs/dbraw/zinc/80/18/10/1056801810.db2.gz RICZVESJEBIYMG-MRXNPFEDSA-N 0 3 313.363 4.107 20 0 DIADHN Fc1ccc(-c2cnccc2CN2CCCCC2)c(F)c1F ZINC001240993835 1056805359 /nfs/dbraw/zinc/80/53/59/1056805359.db2.gz RDQUWNFGDPITDI-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC001617282430 1056806293 /nfs/dbraw/zinc/80/62/93/1056806293.db2.gz CBQFJTUKCGGJFM-QGZVFWFLSA-N 0 3 323.484 4.229 20 0 DIADHN CC[C@@H](NC(=O)CN1CCCC[C@@H](C)C1)c1ccc(Cl)cc1 ZINC001617291436 1056808282 /nfs/dbraw/zinc/80/82/82/1056808282.db2.gz KXCXGMSSXUQVRF-RHSMWYFYSA-N 0 3 322.880 4.029 20 0 DIADHN CC[C@H](NC(=O)CN1CCCC[C@@H](C)C1)c1ccc(Cl)cc1 ZINC001617291435 1056808967 /nfs/dbraw/zinc/80/89/67/1056808967.db2.gz KXCXGMSSXUQVRF-PBHICJAKSA-N 0 3 322.880 4.029 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1cc(C(F)(F)F)co1 ZINC001617303682 1056810175 /nfs/dbraw/zinc/81/01/75/1056810175.db2.gz VFRPEHLUNBPSHN-QWHCGFSZSA-N 0 3 307.381 4.452 20 0 DIADHN CCCCC[C@@H](NC(=O)[C@H](C)N1CCCCCC1)C(C)(C)C ZINC001617324122 1056815354 /nfs/dbraw/zinc/81/53/54/1056815354.db2.gz YEKZXSVYMDVMKM-DLBZAZTESA-N 0 3 310.526 4.362 20 0 DIADHN COc1cccc(F)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001241014330 1056817910 /nfs/dbraw/zinc/81/79/10/1056817910.db2.gz XOYXEZXEBRSYDE-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CC[C@H](NC(=O)CN1CC[C@H](CC)[C@H]1C)c1ccc(Cl)cc1 ZINC001617361225 1056820452 /nfs/dbraw/zinc/82/04/52/1056820452.db2.gz SKGLUHUZKSPAJJ-KEYYUXOJSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2cc(Cl)ccc2C)[C@H]1C ZINC001617359379 1056820478 /nfs/dbraw/zinc/82/04/78/1056820478.db2.gz GQLMFXVBVFFPDE-BFHYXJOUSA-N 0 3 308.853 4.096 20 0 DIADHN c1ccc(C[C@H]2CCCC[C@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001617391189 1056824837 /nfs/dbraw/zinc/82/48/37/1056824837.db2.gz SYIHHENXDIJBMK-QZTJIDSGSA-N 0 3 324.468 4.445 20 0 DIADHN Fc1cncc(F)c1CN[C@@H]1CCCC[C@@H]1Cc1ccccc1 ZINC001617390678 1056825175 /nfs/dbraw/zinc/82/51/75/1056825175.db2.gz ODNRJPHEUMNQLB-DNVCBOLYSA-N 0 3 316.395 4.251 20 0 DIADHN c1ccc(C[C@H]2CCCC[C@@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001617391188 1056825385 /nfs/dbraw/zinc/82/53/85/1056825385.db2.gz SYIHHENXDIJBMK-MSOLQXFVSA-N 0 3 324.468 4.445 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cccc(Cl)c1C)c1ccccc1 ZINC000066109804 1056828695 /nfs/dbraw/zinc/82/86/95/1056828695.db2.gz DALOZRLMSCHHJV-MRXNPFEDSA-N 0 3 316.832 4.328 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(C)c(OC)c3)c(C)c2)CC1 ZINC001241034937 1056835038 /nfs/dbraw/zinc/83/50/38/1056835038.db2.gz WDARTKTWMXEDBJ-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC(N2CCc3ccccc32)CC1 ZINC001617532392 1056844820 /nfs/dbraw/zinc/84/48/20/1056844820.db2.gz OYSOEOWXZCEHKU-INIZCTEOSA-N 0 3 324.443 4.414 20 0 DIADHN Fc1ccc(F)c(-c2cncc(CN3CCCCC3)c2)c1F ZINC001241049419 1056844981 /nfs/dbraw/zinc/84/49/81/1056844981.db2.gz GGQXYJGYSQEACN-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN COC(=O)c1csc(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC001617538622 1056847015 /nfs/dbraw/zinc/84/70/15/1056847015.db2.gz GREWAIFECOSOJK-INIZCTEOSA-N 0 3 321.417 4.161 20 0 DIADHN CC[C@@H]1Cc2c(Br)cccc2CN1CCCCF ZINC001617561944 1056849659 /nfs/dbraw/zinc/84/96/59/1056849659.db2.gz KQHWQXZTBKBVRR-CYBMUJFWSA-N 0 3 314.242 4.336 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@H](C)CC(C)C ZINC001617597556 1056854415 /nfs/dbraw/zinc/85/44/15/1056854415.db2.gz JKGXDTSHETWSQV-NJAFHUGGSA-N 0 3 303.446 4.047 20 0 DIADHN CCCC[C@@H](CC)C(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC001587056330 1056854879 /nfs/dbraw/zinc/85/48/79/1056854879.db2.gz LJWWTORTSRKCEG-MJGOQNOKSA-N 0 3 316.489 4.156 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1CCCc1cccc(C)c1 ZINC001617636945 1056859432 /nfs/dbraw/zinc/85/94/32/1056859432.db2.gz PUNRPOWTMIPKTJ-FQEVSTJZSA-N 0 3 317.473 4.125 20 0 DIADHN CCCN(Cc1cnn(-c2ccccc2)c1)Cc1ccccc1 ZINC000673076727 1056859921 /nfs/dbraw/zinc/85/99/21/1056859921.db2.gz VBQAJWIMLWNJNN-UHFFFAOYSA-N 0 3 305.425 4.285 20 0 DIADHN COC(=O)CCCN(Cc1ccccc1Cl)C1CCCC1 ZINC000078761090 1056874102 /nfs/dbraw/zinc/87/41/02/1056874102.db2.gz NITWHUDKRSJMRO-UHFFFAOYSA-N 0 3 309.837 4.038 20 0 DIADHN Cc1ccc2c(CN(C)Cc3cc(C)cc(C)c3)cc(=O)oc2c1 ZINC001617729409 1056874665 /nfs/dbraw/zinc/87/46/65/1056874665.db2.gz WBKKTINFZYAWJH-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN CCOc1ccc(-c2cncc(CN3CCCCC3)c2)cc1F ZINC001241087611 1056879014 /nfs/dbraw/zinc/87/90/14/1056879014.db2.gz XRZBOFWOBNATAG-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN C[C@H](N[C@H]1Cc2cccc(Cl)c2C1)c1c(F)cccc1F ZINC001168703453 1056883908 /nfs/dbraw/zinc/88/39/08/1056883908.db2.gz GTJDZSPYUMTRBR-JQWIXIFHSA-N 0 3 307.771 4.436 20 0 DIADHN Fc1ccc(C2(N[C@@H]3Cc4cccc(Cl)c4C3)CC2)c(F)c1 ZINC001168705293 1056898537 /nfs/dbraw/zinc/89/85/37/1056898537.db2.gz UNRIUYYXLRAXSF-CYBMUJFWSA-N 0 3 319.782 4.364 20 0 DIADHN CN(Cc1c(Cl)ccc2cccnc21)[C@@H](CO)CC(C)(C)C ZINC001617954977 1056908044 /nfs/dbraw/zinc/90/80/44/1056908044.db2.gz KXNOOQWVDUPWDC-CQSZACIVSA-N 0 3 320.864 4.117 20 0 DIADHN CCCCCN(Cc1ccc(Cl)cc1)C(=O)[C@@H](CC)N(C)C ZINC001618023974 1056915264 /nfs/dbraw/zinc/91/52/64/1056915264.db2.gz UGGUOKRIPKYESK-QGZVFWFLSA-N 0 3 324.896 4.199 20 0 DIADHN COc1ccc(F)c(-c2cncc(CN3CCCCC3)c2)c1F ZINC001241141675 1056923130 /nfs/dbraw/zinc/92/31/30/1056923130.db2.gz RXQUTOCYDMGBTB-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@@H]1CCC[C@H](CN2CC(C)(C)O[C@@](C)(C(F)(F)F)C2)C1 ZINC001618171402 1056928692 /nfs/dbraw/zinc/92/86/92/1056928692.db2.gz WLIDKLRVTSISJL-VNHYZAJKSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@@H]1CCC[C@H](CN2CC(C)(C)O[C@](C)(C(F)(F)F)C2)C1 ZINC001618171399 1056928842 /nfs/dbraw/zinc/92/88/42/1056928842.db2.gz WLIDKLRVTSISJL-IPYPFGDCSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@H](NC(=O)C(C)(C)N1CCCCC1)c1cccc2ccccc21 ZINC001618181588 1056929719 /nfs/dbraw/zinc/92/97/19/1056929719.db2.gz SKKTURBTNQLCJL-INIZCTEOSA-N 0 3 324.468 4.282 20 0 DIADHN C[C@H](CNCc1cc2n(n1)CCC2)c1c(Cl)cccc1Cl ZINC000657343091 1056935484 /nfs/dbraw/zinc/93/54/84/1056935484.db2.gz WWWQCFQDKRPRAF-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN CC(C)(C)[C@@H](NCc1ccnn1CC1CC1)c1ccc(F)cc1 ZINC000657336663 1056935581 /nfs/dbraw/zinc/93/55/81/1056935581.db2.gz WYARDABQZNZKNT-SFHVURJKSA-N 0 3 315.436 4.309 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCCOc4ccccc43)[nH]c2c1 ZINC000657339027 1056936198 /nfs/dbraw/zinc/93/61/98/1056936198.db2.gz JMGFKVOHFWFOCZ-SFHVURJKSA-N 0 3 306.409 4.480 20 0 DIADHN CCCC[C@H](NCc1ccnn1CCC)c1ccc(OC)cc1 ZINC000657354070 1056937689 /nfs/dbraw/zinc/93/76/89/1056937689.db2.gz PEEKAGPRMHXKEY-IBGZPJMESA-N 0 3 315.461 4.323 20 0 DIADHN CCCC[C@@H](NCc1ccnn1CCC)c1ccc(OC)cc1 ZINC000657354072 1056938542 /nfs/dbraw/zinc/93/85/42/1056938542.db2.gz PEEKAGPRMHXKEY-LJQANCHMSA-N 0 3 315.461 4.323 20 0 DIADHN CC(C)COCCN1CCc2ccc(-c3ccccc3)cc2C1 ZINC001618243417 1056940122 /nfs/dbraw/zinc/94/01/22/1056940122.db2.gz XHEHMZKLHLUVQD-UHFFFAOYSA-N 0 3 309.453 4.384 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C(CC)(CC)CC)c1ccco1 ZINC000673290978 1056941539 /nfs/dbraw/zinc/94/15/39/1056941539.db2.gz SRDYBQGPDRESAZ-HUUCEWRRSA-N 0 3 308.466 4.041 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN3CCc3ccccc3)nc2c1 ZINC001618258020 1056941868 /nfs/dbraw/zinc/94/18/68/1056941868.db2.gz SXCNRVRYDVRGLN-IBGZPJMESA-N 0 3 305.425 4.251 20 0 DIADHN Cc1ccc2nc([C@@H]3CCCN3CCc3ccccc3)[nH]c2c1 ZINC001618258020 1056941875 /nfs/dbraw/zinc/94/18/75/1056941875.db2.gz SXCNRVRYDVRGLN-IBGZPJMESA-N 0 3 305.425 4.251 20 0 DIADHN Clc1ccccc1CCCN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC001618257856 1056942190 /nfs/dbraw/zinc/94/21/90/1056942190.db2.gz PZXUFDRXGYKQCX-ZWKOTPCHSA-N 0 3 319.876 4.306 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C(CC)(CC)CC)c1ccco1 ZINC000673290977 1056942220 /nfs/dbraw/zinc/94/22/20/1056942220.db2.gz SRDYBQGPDRESAZ-GJZGRUSLSA-N 0 3 308.466 4.041 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1Cc2ccccc2OC(C)(C)C1 ZINC001618287493 1056944408 /nfs/dbraw/zinc/94/44/08/1056944408.db2.gz JWWFVUJNIKWREZ-FUHWJXTLSA-N 0 3 317.473 4.255 20 0 DIADHN CC1(C)CCC[C@H](CN2Cc3ccccc3OC(C)(C)C2)O1 ZINC001618286755 1056944625 /nfs/dbraw/zinc/94/46/25/1056944625.db2.gz FIMKSBKRYJMIAW-MRXNPFEDSA-N 0 3 303.446 4.007 20 0 DIADHN COc1ccc(-c2c(F)cc(F)cc2F)cc1CN1CCCC1 ZINC001241173217 1056946072 /nfs/dbraw/zinc/94/60/72/1056946072.db2.gz ZLNBODPHUJRMCP-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCOc1ccc(-c2ncccc2CN2CCCC2)c(F)c1F ZINC001241178130 1056947373 /nfs/dbraw/zinc/94/73/73/1056947373.db2.gz SKNWWHHNEXQZCK-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1ccc(CNCc2cnn(C3CCC3)c2)c2ccccc12 ZINC000922183132 1056954012 /nfs/dbraw/zinc/95/40/12/1056954012.db2.gz LAQGFMPRPFXQKV-UHFFFAOYSA-N 0 3 305.425 4.360 20 0 DIADHN Cc1nc(-c2cccc(O[C@H]3CCCCO3)c2)cc2[nH]ccc21 ZINC001241214424 1056963867 /nfs/dbraw/zinc/96/38/67/1056963867.db2.gz PISRUMSRGZWFFN-IBGZPJMESA-N 0 3 308.381 4.444 20 0 DIADHN c1nc2n(c1CNCc1ccc(C3CCCCC3)cc1)CCC2 ZINC000657473819 1056968855 /nfs/dbraw/zinc/96/88/55/1056968855.db2.gz ULGSJTISAGYUHC-UHFFFAOYSA-N 0 3 309.457 4.167 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCCc1cccc(Cl)c1 ZINC000925356370 1056972272 /nfs/dbraw/zinc/97/22/72/1056972272.db2.gz WDTIRWQXVOJXLY-HNNXBMFYSA-N 0 3 304.821 4.236 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCCC[C@@H]2c2ccccc2)c1 ZINC000358187626 1056978910 /nfs/dbraw/zinc/97/89/10/1056978910.db2.gz QLDLAZWJGFTZHX-HXUWFJFHSA-N 0 3 322.452 4.116 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnc(N(C)C)cc2C)c1F ZINC001241251382 1056980842 /nfs/dbraw/zinc/98/08/42/1056980842.db2.gz KEDDVUCMOGDQND-UHFFFAOYSA-N 0 3 315.436 4.104 20 0 DIADHN Fc1cccc2c1SCC[C@H]2N[C@@H](c1cccnc1)C1CC1 ZINC000358369830 1056982790 /nfs/dbraw/zinc/98/27/90/1056982790.db2.gz QYLMLRBUWOWOLX-IAGOWNOFSA-N 0 3 314.429 4.499 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000358738789 1056990785 /nfs/dbraw/zinc/99/07/85/1056990785.db2.gz BKWYZACSIVEFBD-SJLPKXTDSA-N 0 3 315.436 4.314 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000117347592 1056997935 /nfs/dbraw/zinc/99/79/35/1056997935.db2.gz QDSZYVKLQWDVSC-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H](c3ccccn3)C3CCC3)ccc2O1 ZINC000922286428 1056997998 /nfs/dbraw/zinc/99/79/98/1056997998.db2.gz XSVINQKHUYAEHU-JLTOFOAXSA-N 0 3 308.425 4.036 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(C(F)(F)F)c(Cl)c2)c1 ZINC001241287820 1056998064 /nfs/dbraw/zinc/99/80/64/1056998064.db2.gz DCYBYRMCINLBHN-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CC[C@H](NCc1cccc2[nH]c(=O)oc21)c1cc(C)ccc1C ZINC000922293058 1056999950 /nfs/dbraw/zinc/99/99/50/1056999950.db2.gz DPWZWZNBNQSAJP-INIZCTEOSA-N 0 3 310.397 4.391 20 0 DIADHN Cc1nc2ccc(Nc3cc(Br)cnc3C)cc2[nH]1 ZINC001213071140 1057008304 /nfs/dbraw/zinc/00/83/04/1057008304.db2.gz BDINSKZQCDYFEM-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN Cc1ccc(-c2csc3ncnc(C4=CCN(C)CC4)c23)cc1 ZINC001241309581 1057008478 /nfs/dbraw/zinc/00/84/78/1057008478.db2.gz USFBLNNJASVGAM-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN Cc1sc2ncnc(C3=CCN(C)CC3)c2c1-c1ccccc1 ZINC001241312599 1057009920 /nfs/dbraw/zinc/00/99/20/1057009920.db2.gz HZMQYSYGWXYDMO-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN CCCCCC(C)(C)C(=O)Nc1ccc(CN(C)C)cc1OC ZINC001626078949 1057017178 /nfs/dbraw/zinc/01/71/78/1057017178.db2.gz JTYPNBKKDNYWFO-UHFFFAOYSA-N 0 3 320.477 4.302 20 0 DIADHN Cc1nc2c(cc(C3=CCN(C)CC3)cc2F)n1C1CCCC1 ZINC001241333712 1057018588 /nfs/dbraw/zinc/01/85/88/1057018588.db2.gz ZPBQAZLCAHHUPZ-UHFFFAOYSA-N 0 3 313.420 4.318 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(C)cnc2OC)ccc1F ZINC001241366906 1057027862 /nfs/dbraw/zinc/02/78/62/1057027862.db2.gz AFHVNOHKAQRGFY-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN CCCc1ccc(CNCc2c[nH]c(=O)c3ccccc23)s1 ZINC000922493863 1057028000 /nfs/dbraw/zinc/02/80/00/1057028000.db2.gz QEKALXCRLIHPPU-UHFFFAOYSA-N 0 3 312.438 4.244 20 0 DIADHN CC(C)c1cccc(CNCc2c[nH]c(=O)c3ccccc23)c1 ZINC000922493690 1057028240 /nfs/dbraw/zinc/02/82/40/1057028240.db2.gz NGFPFAVIGWORGL-UHFFFAOYSA-N 0 3 306.409 4.354 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000154387692 1057028357 /nfs/dbraw/zinc/02/83/57/1057028357.db2.gz QGHIBUYMBDWENK-SJCJKPOMSA-N 0 3 305.372 4.019 20 0 DIADHN CCc1cncc(-c2ncc(C3=CCN(CC)CC3)cc2C)c1 ZINC001241370936 1057028569 /nfs/dbraw/zinc/02/85/69/1057028569.db2.gz ZCIOYPHLLNQSGV-UHFFFAOYSA-N 0 3 307.441 4.123 20 0 DIADHN CCc1cc(CN[C@H]2CCCc3c4ccccc4sc32)[nH]n1 ZINC000765991220 1057028654 /nfs/dbraw/zinc/02/86/54/1057028654.db2.gz BWUUWLSLSMNCGI-INIZCTEOSA-N 0 3 311.454 4.354 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCC4(CC4)CC3)o2)cc1 ZINC000626113942 1057044740 /nfs/dbraw/zinc/04/47/40/1057044740.db2.gz NBAGWWVDZPYGOT-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cnc(CC)nc1 ZINC000922714961 1057051002 /nfs/dbraw/zinc/05/10/02/1057051002.db2.gz GZHZFQVSIYVWCF-UHFFFAOYSA-N 0 3 305.425 4.044 20 0 DIADHN CC(C)O[C@H](CN1CCC2(CCOCC2)CC1)c1ccccc1 ZINC000428969415 1057054303 /nfs/dbraw/zinc/05/43/03/1057054303.db2.gz VPNFGSBJNGEVML-LJQANCHMSA-N 0 3 317.473 4.045 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc3cnn(C)c32)ccc1F ZINC001241458876 1057054391 /nfs/dbraw/zinc/05/43/91/1057054391.db2.gz UNCHSVJWOPMDHV-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H]3CCCCC34CCCC4)o2)cc1 ZINC000391887162 1057068958 /nfs/dbraw/zinc/06/89/58/1057068958.db2.gz VXZKVKFVWLAEMZ-MRXNPFEDSA-N 0 3 311.429 4.329 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2cc(CC)[nH]n2)cc1 ZINC000766002483 1057069648 /nfs/dbraw/zinc/06/96/48/1057069648.db2.gz LRTANCWFLPWAIK-HNNXBMFYSA-N 0 3 315.461 4.392 20 0 DIADHN Cc1nnc(C2=CCN(Cc3ccccc3)CC2)c2ccccc12 ZINC001241502643 1057071294 /nfs/dbraw/zinc/07/12/94/1057071294.db2.gz QHQKKFRHURESJD-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Cc1ccc2nc(C3=CCN(Cc4ccccc4)CC3)ncc2c1 ZINC001241502271 1057071513 /nfs/dbraw/zinc/07/15/13/1057071513.db2.gz SDDLNCFDRAXHSY-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CC(C)Oc1ccc(C2=CCN(Cc3ccccc3)CC2)nc1 ZINC001241501847 1057071557 /nfs/dbraw/zinc/07/15/57/1057071557.db2.gz GBTUDZDETOQUHQ-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Cc1cc2ncccc2nc1C1=CCN(Cc2ccccc2)CC1 ZINC001241502777 1057072122 /nfs/dbraw/zinc/07/21/22/1057072122.db2.gz VJLXCNQPYAWBQG-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Fc1cccc2ncnc(C3=CCN(Cc4ccccc4)CC3)c12 ZINC001241502243 1057072143 /nfs/dbraw/zinc/07/21/43/1057072143.db2.gz RBINXPSZATXEAF-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CCOc1ccc(C2=CCN(Cc3ccccc3)CC2)c(C)n1 ZINC001241504227 1057072848 /nfs/dbraw/zinc/07/28/48/1057072848.db2.gz CJNOHYPXFDASKV-UHFFFAOYSA-N 0 3 308.425 4.078 20 0 DIADHN COC(OC)c1ccccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241503124 1057073116 /nfs/dbraw/zinc/07/31/16/1057073116.db2.gz JOLNDTUQQIXGSR-UHFFFAOYSA-N 0 3 323.436 4.267 20 0 DIADHN Cc1nc2cc(C3=CCN(Cc4ccccc4)CC3)cnc2s1 ZINC001241503927 1057073571 /nfs/dbraw/zinc/07/35/71/1057073571.db2.gz JDWLDWIOXHOSPP-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN c1ccc(CN2CC=C(c3cc(C4CCC4)ncn3)CC2)cc1 ZINC001241503402 1057073775 /nfs/dbraw/zinc/07/37/75/1057073775.db2.gz QVUVYMTUWVRSME-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN CC(=O)Nc1ccc(F)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504075 1057073949 /nfs/dbraw/zinc/07/39/49/1057073949.db2.gz LQPMOGRIKYGCFM-UHFFFAOYSA-N 0 3 324.399 4.073 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccccc3)CC2)c(C)c1OC ZINC001241505596 1057077061 /nfs/dbraw/zinc/07/70/61/1057077061.db2.gz VWWJHALTYISNCR-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN COc1cc(C2=CCN(Cc3ccccc3)CC2)cc(C)c1F ZINC001241506737 1057077139 /nfs/dbraw/zinc/07/71/39/1057077139.db2.gz CWUZHYBYZSTUBD-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN c1ccc(OC2CC2)c(CN2CCC(c3ccncc3)CC2)c1 ZINC000347771767 1057083457 /nfs/dbraw/zinc/08/34/57/1057083457.db2.gz FECWTNHZKXJPLQ-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN COc1c(F)ccc(-c2cnccc2/C=C/N(C)C)c1Cl ZINC001241521215 1057084014 /nfs/dbraw/zinc/08/40/14/1057084014.db2.gz KEJLNWVITDJLPT-VQHVLOKHSA-N 0 3 306.768 4.082 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1ccc(-c2ccncc2)cc1 ZINC000657898843 1057085102 /nfs/dbraw/zinc/08/51/02/1057085102.db2.gz GITBRUMUERVEQX-KXBFYZLASA-N 0 3 318.424 4.131 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(OC)cc2)C2CCC2)s1 ZINC000922887256 1057088004 /nfs/dbraw/zinc/08/80/04/1057088004.db2.gz BGBQBMMXXYKIJY-SFHVURJKSA-N 0 3 316.470 4.345 20 0 DIADHN COc1ccc([C@@H](NCc2ccnn2C(C)C)C2CCC2)cc1 ZINC000922887722 1057088327 /nfs/dbraw/zinc/08/83/27/1057088327.db2.gz FQBOMHFJZYGIPP-IBGZPJMESA-N 0 3 313.445 4.104 20 0 DIADHN COc1ccc([C@H](NCc2ccc(Cl)nc2)C2CCC2)cc1 ZINC000922887993 1057089431 /nfs/dbraw/zinc/08/94/31/1057089431.db2.gz IGZPQLHWBGDLPH-GOSISDBHSA-N 0 3 316.832 4.375 20 0 DIADHN CC[C@H](N[C@@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657901478 1057090599 /nfs/dbraw/zinc/09/05/99/1057090599.db2.gz YJIMUBJSQVMNBS-ZJUUUORDSA-N 0 3 324.437 4.488 20 0 DIADHN Cc1ccc(-c2nnc(C3=CCCN(C)C3)c3ccccc32)cc1 ZINC001241567438 1057093043 /nfs/dbraw/zinc/09/30/43/1057093043.db2.gz BPGHUXKFSNFFPX-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN COc1ccc([C@H](NCc2ccc(F)nc2C)C2CCC2)cc1 ZINC000922889923 1057093014 /nfs/dbraw/zinc/09/30/14/1057093014.db2.gz WIMSLEVSGAFMQK-LJQANCHMSA-N 0 3 314.404 4.169 20 0 DIADHN CN1CCC=C(c2nc(-c3ccsc3)nc3ccccc32)C1 ZINC001241568613 1057094614 /nfs/dbraw/zinc/09/46/14/1057094614.db2.gz UYMUHIONEPZOJR-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@@H]3CCC[C@@H]32)co1 ZINC000625182932 1057097055 /nfs/dbraw/zinc/09/70/55/1057097055.db2.gz JDGIYTXFQMWLTQ-GUYCJALGSA-N 0 3 300.377 4.245 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cccc4nn(C)cc43)cc21 ZINC001241584700 1057099146 /nfs/dbraw/zinc/09/91/46/1057099146.db2.gz ZSUXSLCNRBFDOY-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3nn(C)cc3c2)c1F ZINC001241610128 1057108172 /nfs/dbraw/zinc/10/81/72/1057108172.db2.gz SBMSQYHHCGTRKE-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CC(C)Sc1ccc(-c2ccc3c(c2)COC32CNC2)cc1 ZINC001241613655 1057110781 /nfs/dbraw/zinc/11/07/81/1057110781.db2.gz WJHFAZHLPOFMJX-UHFFFAOYSA-N 0 3 311.450 4.183 20 0 DIADHN Fc1ccc(-c2cccc3c[nH]nc32)cc1CN1CCCCC1 ZINC001241625335 1057113505 /nfs/dbraw/zinc/11/35/05/1057113505.db2.gz AJAQRMIQTYNVMF-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC[C@@H](N[C@@H](Cn1cccn1)c1ccccc1)c1ccccc1 ZINC000673715543 1057119674 /nfs/dbraw/zinc/11/96/74/1057119674.db2.gz ZNZFSEYLSMUOAP-UXHICEINSA-N 0 3 305.425 4.365 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000766029248 1057131479 /nfs/dbraw/zinc/13/14/79/1057131479.db2.gz PSMSVEVHDZPMNX-VQTKTOELSA-N 0 3 323.358 4.267 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1n[nH]c2ccccc12 ZINC000673810938 1057134100 /nfs/dbraw/zinc/13/41/00/1057134100.db2.gz BLDPMKXSBJUPQA-SFHVURJKSA-N 0 3 323.440 4.449 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1[nH]nc2ccccc21 ZINC000673810938 1057134104 /nfs/dbraw/zinc/13/41/04/1057134104.db2.gz BLDPMKXSBJUPQA-SFHVURJKSA-N 0 3 323.440 4.449 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936975 1057134954 /nfs/dbraw/zinc/13/49/54/1057134954.db2.gz SKRIKHSGJMXDEW-HKUYNNGSSA-N 0 3 312.482 4.355 20 0 DIADHN CCOc1ccc(-c2ccc(CN(CC)CC)c(F)c2)cn1 ZINC001241706648 1057143774 /nfs/dbraw/zinc/14/37/74/1057143774.db2.gz HXCUIQLPZWRMBQ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CNCc1cc(-c2ccc3sc4ccccc4c3c2)ncn1 ZINC001241715079 1057145532 /nfs/dbraw/zinc/14/55/32/1057145532.db2.gz ZYEHKCZEVQCYSE-UHFFFAOYSA-N 0 3 305.406 4.231 20 0 DIADHN CCc1ccc(-c2ccc(-c3ncc4c(n3)CNCC4)cc2)cc1 ZINC001241725744 1057148040 /nfs/dbraw/zinc/14/80/40/1057148040.db2.gz ZZBOMHWKWHSLAN-UHFFFAOYSA-N 0 3 315.420 4.019 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H]1CC(C)(C)c2ccccc21 ZINC000766041694 1057148752 /nfs/dbraw/zinc/14/87/52/1057148752.db2.gz AOVSZDZPMGTJQK-RBUKOAKNSA-N 0 3 307.441 4.175 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2CCCc3nn(C)cc32)c2ccccc21 ZINC000282468144 1057153680 /nfs/dbraw/zinc/15/36/80/1057153680.db2.gz PECYTTVGRUYFBR-ILZDJORESA-N 0 3 309.457 4.272 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4[nH]ccc4c(F)c3)cc2)CC1 ZINC001241744583 1057154197 /nfs/dbraw/zinc/15/41/97/1057154197.db2.gz XPTDOMIRUXKMDQ-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCN1CCN(c2ccc(-c3cncc(C4CC4)c3C)cc2)CC1 ZINC001241746257 1057154833 /nfs/dbraw/zinc/15/48/33/1057154833.db2.gz VJBQKRSDGSGAGL-UHFFFAOYSA-N 0 3 321.468 4.076 20 0 DIADHN CC[C@H](NCc1nc2cc(C)ccc2s1)[C@@H]1CCCCO1 ZINC000625518244 1057155639 /nfs/dbraw/zinc/15/56/39/1057155639.db2.gz HMDXKMALEVJOGR-ZFWWWQNUSA-N 0 3 304.459 4.042 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCc2c(cccc2NC(C)=O)C1 ZINC000057862424 1057157578 /nfs/dbraw/zinc/15/75/78/1057157578.db2.gz FQCULQONKFCMML-FQEVSTJZSA-N 0 3 308.425 4.154 20 0 DIADHN Fc1cc(-c2cnn(-c3ccccc3)c2)ccc1CN1CCCC1 ZINC001241757355 1057158383 /nfs/dbraw/zinc/15/83/83/1057158383.db2.gz NQEFTSBATKUYQC-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H](C)c1ccc2c(c1)CCC2 ZINC000766045661 1057165805 /nfs/dbraw/zinc/16/58/05/1057165805.db2.gz UHBCLKCCBXKTRC-YJYMSZOUSA-N 0 3 307.441 4.002 20 0 DIADHN C[C@@H](N[C@H](C)c1cncc(F)c1)c1cc2ccncc2s1 ZINC000657969407 1057166392 /nfs/dbraw/zinc/16/63/92/1057166392.db2.gz ORHCVUBXCYZBTB-GHMZBOCLSA-N 0 3 301.390 4.242 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)F)cc2)C[C@@H](C)C1(F)F ZINC000625645139 1057182696 /nfs/dbraw/zinc/18/26/96/1057182696.db2.gz FIOQRZDIYRWSNY-GHMZBOCLSA-N 0 3 305.315 4.011 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)ccn1 ZINC000625656073 1057184725 /nfs/dbraw/zinc/18/47/25/1057184725.db2.gz SFLLRAWKCLNCSP-INIZCTEOSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)(F)[C@H]1CCCC[C@@H]1CNCc1csc(C2CC2)n1 ZINC000674045094 1057188408 /nfs/dbraw/zinc/18/84/08/1057188408.db2.gz FBJUPQIUYXKGRQ-YPMHNXCESA-N 0 3 318.408 4.479 20 0 DIADHN CCc1nc(CNC[C@@H]2CCCC[C@@H]2C(F)(F)F)cs1 ZINC000674045138 1057190404 /nfs/dbraw/zinc/19/04/04/1057190404.db2.gz GDFKDBLHQIPWRV-JQWIXIFHSA-N 0 3 306.397 4.164 20 0 DIADHN CCOc1ccc(-c2ccc(OC)c(CN(C)C)c2)c(Cl)c1 ZINC001241854636 1057191798 /nfs/dbraw/zinc/19/17/98/1057191798.db2.gz LETGCQNHQPSCEN-UHFFFAOYSA-N 0 3 319.832 4.476 20 0 DIADHN CN(C)[C@H](CNc1nc2ccccc2s1)c1ccc(F)cc1 ZINC000059394246 1057192775 /nfs/dbraw/zinc/19/27/75/1057192775.db2.gz XOYQQJAZAVZMDB-OAHLLOKOSA-N 0 3 315.417 4.150 20 0 DIADHN COc1ccc(-c2cccc(OC)c2Cl)cc1CN(C)C ZINC001241858964 1057194202 /nfs/dbraw/zinc/19/42/02/1057194202.db2.gz NMQPRSWKFJPHLP-UHFFFAOYSA-N 0 3 305.805 4.086 20 0 DIADHN C[C@@H](CNCc1csc(-c2cccs2)n1)c1ccncc1 ZINC000674070073 1057198133 /nfs/dbraw/zinc/19/81/33/1057198133.db2.gz MGLNSACBTBDSIX-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H](C)C1CCCC1)c1ccsc1 ZINC000674080962 1057200087 /nfs/dbraw/zinc/20/00/87/1057200087.db2.gz RCPUUHOARVMCJD-WMLDXEAASA-N 0 3 322.518 4.074 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(C(F)(F)F)c(F)c1 ZINC001241922320 1057211975 /nfs/dbraw/zinc/21/19/75/1057211975.db2.gz IDJZSDZATNMBPB-SOFGYWHQSA-N 0 3 310.294 4.439 20 0 DIADHN CCCCOC(=O)Nc1ccc(-c2cc(N(C)C)ccn2)cc1 ZINC001241923945 1057213447 /nfs/dbraw/zinc/21/34/47/1057213447.db2.gz NDQKHHNJILEDBW-UHFFFAOYSA-N 0 3 313.401 4.163 20 0 DIADHN Clc1cscc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000674195958 1057217184 /nfs/dbraw/zinc/21/71/84/1057217184.db2.gz ZDVYQSLLFNYSKZ-GDBMZVCRSA-N 0 3 307.846 4.411 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1n[nH]c2ccccc12 ZINC000674336036 1057232183 /nfs/dbraw/zinc/23/21/83/1057232183.db2.gz WSGXBYRIHBTPBR-HXPMCKFVSA-N 0 3 309.388 4.115 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1[nH]nc2ccccc21 ZINC000674336036 1057232185 /nfs/dbraw/zinc/23/21/85/1057232185.db2.gz WSGXBYRIHBTPBR-HXPMCKFVSA-N 0 3 309.388 4.115 20 0 DIADHN CCC(CC)N(C(=O)[C@@H]1CCCN1C(C)C)c1cccc(C)c1 ZINC001128715526 1057240165 /nfs/dbraw/zinc/24/01/65/1057240165.db2.gz XJEMDOSJRQSBMB-IBGZPJMESA-N 0 3 316.489 4.389 20 0 DIADHN COc1cc(-c2ccc(CN3CCCC3)c(F)c2)c(F)cc1F ZINC001242049564 1057249691 /nfs/dbraw/zinc/24/96/91/1057249691.db2.gz UVGOVJJURKJYTL-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1cc(N)ncc1-c1ccc2c(c1)CN(Cc1ccccc1)C2 ZINC001242095929 1057263008 /nfs/dbraw/zinc/26/30/08/1057263008.db2.gz SYRWZIJDNDFIGR-UHFFFAOYSA-N 0 3 315.420 4.155 20 0 DIADHN CCCOc1c(Cl)cc(-c2ccc(CN)nc2)cc1Cl ZINC001242110269 1057265627 /nfs/dbraw/zinc/26/56/27/1057265627.db2.gz NLNUONWSIIKPTE-UHFFFAOYSA-N 0 3 311.212 4.303 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(-c3ccccn3)s1)CC2 ZINC000674676272 1057272943 /nfs/dbraw/zinc/27/29/43/1057272943.db2.gz QJLQKWOSQMCJTD-KRWDZBQOSA-N 0 3 321.449 4.291 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C(C)(C)C)CC1CC1 ZINC000674786992 1057282753 /nfs/dbraw/zinc/28/27/53/1057282753.db2.gz VASUKKTYVZSAEJ-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4c(c3)CCC4=O)cc21 ZINC001242157665 1057283364 /nfs/dbraw/zinc/28/33/64/1057283364.db2.gz QIKQJTCLTGCTJD-UHFFFAOYSA-N 0 3 303.405 4.484 20 0 DIADHN C[N@@H+]1CCc2cc(-c3ccc([O-])c(C(F)(F)F)c3)ccc2C1 ZINC001242162822 1057285751 /nfs/dbraw/zinc/28/57/51/1057285751.db2.gz UHSPCOJSOUWLOW-UHFFFAOYSA-N 0 3 307.315 4.066 20 0 DIADHN C[N@H+]1CCc2cc(-c3ccc([O-])c(C(F)(F)F)c3)ccc2C1 ZINC001242162822 1057285755 /nfs/dbraw/zinc/28/57/55/1057285755.db2.gz UHSPCOJSOUWLOW-UHFFFAOYSA-N 0 3 307.315 4.066 20 0 DIADHN [O-]c1cc(F)ccc1-c1ccc(SC2CC[NH2+]CC2)cc1 ZINC001242220856 1057297886 /nfs/dbraw/zinc/29/78/86/1057297886.db2.gz DJCBZSWCOYSIPP-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN CCN(C[C@H]1COc2ccccc2O1)[C@H](C)c1ccc(F)cc1 ZINC000675042541 1057312104 /nfs/dbraw/zinc/31/21/04/1057312104.db2.gz YEBIMIGJIPJVIC-PBHICJAKSA-N 0 3 315.388 4.049 20 0 DIADHN c1c(CN[C@@H](CC2CC2)c2ccccc2)[nH]nc1-c1cccnc1 ZINC000675100847 1057315196 /nfs/dbraw/zinc/31/51/96/1057315196.db2.gz VPUDWEYPVXVONQ-IBGZPJMESA-N 0 3 318.424 4.103 20 0 DIADHN COCc1csc(CN[C@@H]2CC(C)(C)Cc3occc32)c1 ZINC000658209349 1057320878 /nfs/dbraw/zinc/32/08/78/1057320878.db2.gz PFXXUDDFGHOKCN-OAHLLOKOSA-N 0 3 305.443 4.291 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c(C3CCC3)cnn2C)c2sccc21 ZINC000658266297 1057347005 /nfs/dbraw/zinc/34/70/05/1057347005.db2.gz HTCFKJZIOLBNLX-WBMJQRKESA-N 0 3 315.486 4.477 20 0 DIADHN CCC[C@H](NCc1c(C2CCC2)cnn1C)c1ccsc1 ZINC000658267773 1057347736 /nfs/dbraw/zinc/34/77/36/1057347736.db2.gz HGWLHZHHKXAXDD-INIZCTEOSA-N 0 3 303.475 4.380 20 0 DIADHN CCC[C@@H](NCc1c(C2CCC2)cnn1C)c1ccsc1 ZINC000658267774 1057348042 /nfs/dbraw/zinc/34/80/42/1057348042.db2.gz HGWLHZHHKXAXDD-MRXNPFEDSA-N 0 3 303.475 4.380 20 0 DIADHN FC(F)(F)c1cccc(-c2ccc([C@@H]3CNCCO3)cc2)c1 ZINC000041538813 1057352311 /nfs/dbraw/zinc/35/23/11/1057352311.db2.gz PWBUFEMXEXQUDX-INIZCTEOSA-N 0 3 307.315 4.033 20 0 DIADHN FC(F)(F)c1ccc(-c2ccc([C@H]3CNCCO3)cc2)cc1 ZINC000041538833 1057352572 /nfs/dbraw/zinc/35/25/72/1057352572.db2.gz GKLMDJBZSGLZKS-MRXNPFEDSA-N 0 3 307.315 4.033 20 0 DIADHN CC(C)[C@@H](O)[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccccc1 ZINC000658288319 1057355482 /nfs/dbraw/zinc/35/54/82/1057355482.db2.gz NXMYWHUKGZNYON-ZOCIIQOWSA-N 0 3 301.405 4.235 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000675443032 1057369809 /nfs/dbraw/zinc/36/98/09/1057369809.db2.gz MDTWESGSVVWBST-FUHWJXTLSA-N 0 3 316.489 4.155 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000675443028 1057369942 /nfs/dbraw/zinc/36/99/42/1057369942.db2.gz MDTWESGSVVWBST-AEFFLSMTSA-N 0 3 316.489 4.155 20 0 DIADHN c1cc2cncc(-c3ccc4c(cnn4[C@H]4CCCCO4)c3)c2[nH]1 ZINC001242446220 1057370549 /nfs/dbraw/zinc/37/05/49/1057370549.db2.gz DADJBYMIVYKESL-GOSISDBHSA-N 0 3 318.380 4.279 20 0 DIADHN C[C@@H](N[C@H](CCO)c1cccs1)c1ccc(F)c(Cl)c1 ZINC000766137352 1057372319 /nfs/dbraw/zinc/37/23/19/1057372319.db2.gz TZBRTYUBLCKLCT-QMTHXVAHSA-N 0 3 313.825 4.315 20 0 DIADHN OCC[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1cccs1 ZINC000766136410 1057373269 /nfs/dbraw/zinc/37/32/69/1057373269.db2.gz OXIHHFIWBFKMIQ-GJZGRUSLSA-N 0 3 307.846 4.102 20 0 DIADHN C[C@H](N[C@H](CCO)c1cccs1)c1ccc2c(c1)CCC2 ZINC000766136468 1057373484 /nfs/dbraw/zinc/37/34/84/1057373484.db2.gz OZODIUBHOTXMCZ-SUMWQHHRSA-N 0 3 301.455 4.011 20 0 DIADHN C[C@@H](N[C@H](CCO)c1cccs1)c1ccc2c(c1)CCC2 ZINC000766136461 1057373705 /nfs/dbraw/zinc/37/37/05/1057373705.db2.gz OZODIUBHOTXMCZ-CXAGYDPISA-N 0 3 301.455 4.011 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H]2CCSc3c(Cl)cccc32)o1 ZINC000766140909 1057375874 /nfs/dbraw/zinc/37/58/74/1057375874.db2.gz LXTWQBRWTXIROS-ZIAGYGMSSA-N 0 3 323.845 4.102 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccc(-c3ccccc3)o2)o1 ZINC000766143115 1057379927 /nfs/dbraw/zinc/37/99/27/1057379927.db2.gz RAYJESBCSZBOLB-HOCLYGCPSA-N 0 3 311.381 4.232 20 0 DIADHN CN1CCC(Oc2ccnc(C3=CCCCCCC3)c2)CC1 ZINC001242503460 1057386679 /nfs/dbraw/zinc/38/66/79/1057386679.db2.gz XOCNQVCZEQQOLF-UHFFFAOYSA-N 0 3 300.446 4.292 20 0 DIADHN COc1ncc(Cl)cc1-c1cccc(CN2CCCC2)c1F ZINC001242509292 1057388457 /nfs/dbraw/zinc/38/84/57/1057388457.db2.gz BNEIHBTXYLXSBE-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3ncncc3c2)ccc1F ZINC001242513282 1057389427 /nfs/dbraw/zinc/38/94/27/1057389427.db2.gz UGQBXKHQDPHCGP-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN Fc1cc(-c2ccc3ncncc3c2)ccc1CN1CCCC1 ZINC001242513620 1057389912 /nfs/dbraw/zinc/38/99/12/1057389912.db2.gz ZNCIRKYAFIGUHL-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2C[C@H](C)c3ccccc32)c1 ZINC000675660174 1057395828 /nfs/dbraw/zinc/39/58/28/1057395828.db2.gz PUZMCYVMSYSHTE-IFXJQAMLSA-N 0 3 308.425 4.373 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc([C@@H](C)O)c2)c1F ZINC001242551637 1057402579 /nfs/dbraw/zinc/40/25/79/1057402579.db2.gz IXFHQDSMOHPIMC-CQSZACIVSA-N 0 3 301.405 4.388 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1cc(C)ccc1C ZINC000675726251 1057404961 /nfs/dbraw/zinc/40/49/61/1057404961.db2.gz MEKCGHJZPQBOIA-QAPCUYQASA-N 0 3 311.425 4.486 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000675751492 1057406987 /nfs/dbraw/zinc/40/69/87/1057406987.db2.gz UHQPYEPKCVYTDP-RYUDHWBXSA-N 0 3 300.427 4.045 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCc1c(F)cccc1F ZINC000675753364 1057407890 /nfs/dbraw/zinc/40/78/90/1057407890.db2.gz IVKYZFVKWMSFPE-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN C[C@@H](CC1CCC1)NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000675765703 1057410745 /nfs/dbraw/zinc/41/07/45/1057410745.db2.gz NFGBHEAKIDGRLB-HNNXBMFYSA-N 0 3 309.457 4.029 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CSCc2ccccc21 ZINC000675782300 1057413251 /nfs/dbraw/zinc/41/32/51/1057413251.db2.gz DJJJATXSJWTRJQ-ROUUACIJSA-N 0 3 311.450 4.260 20 0 DIADHN C[C@H](N[C@H]1CSCc2ccccc21)c1ccc2c(c1)COC2 ZINC000675783455 1057414214 /nfs/dbraw/zinc/41/42/14/1057414214.db2.gz ZWRUVVLBGAIIKD-DJJJIMSYSA-N 0 3 311.450 4.356 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000675784161 1057414303 /nfs/dbraw/zinc/41/43/03/1057414303.db2.gz HAVWEIXOBONKNM-ACRUOGEOSA-N 0 3 307.437 4.492 20 0 DIADHN C[C@@H](CC1CCOCC1)NCc1c(Cl)cccc1Cl ZINC000675788793 1057415316 /nfs/dbraw/zinc/41/53/16/1057415316.db2.gz MKWAAYYBIRVYCN-NSHDSACASA-N 0 3 302.245 4.288 20 0 DIADHN Cc1ccc2nc(CN(Cc3ccccc3)[C@H](C)C3CC3)cn2c1 ZINC000675808997 1057417560 /nfs/dbraw/zinc/41/75/60/1057417560.db2.gz XWGWHLWFXOINDJ-QGZVFWFLSA-N 0 3 319.452 4.443 20 0 DIADHN Cc1cccn2cc(CN(Cc3ccccc3)[C@H](C)C3CC3)nc12 ZINC000675810967 1057417814 /nfs/dbraw/zinc/41/78/14/1057417814.db2.gz SGTRHOLEFONPNH-QGZVFWFLSA-N 0 3 319.452 4.443 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccc(-n2ccnc2)cc1)c1ccccn1 ZINC000675817568 1057418383 /nfs/dbraw/zinc/41/83/83/1057418383.db2.gz VEMFXKUTNMJBKT-OXJNMPFZSA-N 0 3 320.440 4.459 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCN(c3ccc(F)cc3F)C2)o1 ZINC000675830676 1057420601 /nfs/dbraw/zinc/42/06/01/1057420601.db2.gz HJIDOMHNFYZHHL-JSGCOSHPSA-N 0 3 320.383 4.050 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3ccc(F)cc3)s2)[nH]n1 ZINC000675893538 1057427108 /nfs/dbraw/zinc/42/71/08/1057427108.db2.gz ODKAFRJKQMHRLC-GFCCVEGCSA-N 0 3 315.417 4.437 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3ccc(F)cc3)s2)n[nH]1 ZINC000675893538 1057427113 /nfs/dbraw/zinc/42/71/13/1057427113.db2.gz ODKAFRJKQMHRLC-GFCCVEGCSA-N 0 3 315.417 4.437 20 0 DIADHN CC(C)(O)c1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1 ZINC001242627139 1057428016 /nfs/dbraw/zinc/42/80/16/1057428016.db2.gz YFQRTPJMLNFWCK-UHFFFAOYSA-N 0 3 313.416 4.316 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccoc1 ZINC000675883899 1057429783 /nfs/dbraw/zinc/42/97/83/1057429783.db2.gz DAXVXWPSXCPHHF-SWLSCSKDSA-N 0 3 324.346 4.228 20 0 DIADHN CC(C)CCN(C)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000675884962 1057430115 /nfs/dbraw/zinc/43/01/15/1057430115.db2.gz AYBCPELHBKCMSY-UHFFFAOYSA-N 0 3 300.324 4.325 20 0 DIADHN C[C@H](C1CC1)N(Cc1cn2ccccc2n1)Cc1ccccc1 ZINC000675921241 1057430950 /nfs/dbraw/zinc/43/09/50/1057430950.db2.gz XWHPCSRXNOQBMI-MRXNPFEDSA-N 0 3 305.425 4.135 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCCc2ccccc21 ZINC000675903235 1057433767 /nfs/dbraw/zinc/43/37/67/1057433767.db2.gz BEYAQVNQKRGSTF-RBUKOAKNSA-N 0 3 309.409 4.186 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1cc(C)ccc1C ZINC000675902777 1057433838 /nfs/dbraw/zinc/43/38/38/1057433838.db2.gz CSMIMALTLIKAGS-DNVCBOLYSA-N 0 3 311.425 4.486 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc([C@@H](C)O)cc2)cc1F ZINC001242650097 1057436891 /nfs/dbraw/zinc/43/68/91/1057436891.db2.gz OLRVIHKOZJXVPC-CQSZACIVSA-N 0 3 301.405 4.388 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc([C@H](C)O)cc2)cc1F ZINC001242650096 1057436951 /nfs/dbraw/zinc/43/69/51/1057436951.db2.gz OLRVIHKOZJXVPC-AWEZNQCLSA-N 0 3 301.405 4.388 20 0 DIADHN CCC1(CC)CCC([NH2+][C@@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000675965038 1057438029 /nfs/dbraw/zinc/43/80/29/1057438029.db2.gz KTVFCYGRWTYLPB-QGZVFWFLSA-N 0 3 312.461 4.233 20 0 DIADHN CCCC[C@H](C)[C@@H](C)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000675976803 1057440227 /nfs/dbraw/zinc/44/02/27/1057440227.db2.gz MHDUGPDSXWAMIQ-ZNMIVQPWSA-N 0 3 315.465 4.041 20 0 DIADHN Cc1ccc([C@H](CNCc2cscc2Cl)N2CCCC2)o1 ZINC000676416564 1057471560 /nfs/dbraw/zinc/47/15/60/1057471560.db2.gz OREMHDWQIQZAPK-HNNXBMFYSA-N 0 3 324.877 4.230 20 0 DIADHN Cc1ccc2ncc(CNCc3ccc(OC(C)(C)C)cc3)n2c1 ZINC000676414357 1057472220 /nfs/dbraw/zinc/47/22/20/1057472220.db2.gz ADBNOKRIHWZGOU-UHFFFAOYSA-N 0 3 323.440 4.110 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3cccc(C)c23)c(C)s1 ZINC000676490507 1057476478 /nfs/dbraw/zinc/47/64/78/1057476478.db2.gz PYASZNJHQJUKIY-GFCCVEGCSA-N 0 3 313.470 4.443 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc(C(F)(F)F)cc2)cs1 ZINC000676489367 1057478611 /nfs/dbraw/zinc/47/86/11/1057478611.db2.gz OGQHYTRRSYCPMW-SNVBAGLBSA-N 0 3 314.376 4.364 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc(F)c(F)c3)CC2)nc1 ZINC000676558696 1057483746 /nfs/dbraw/zinc/48/37/46/1057483746.db2.gz OUXYROKXHOGECO-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN CCc1cccc(C)c1-c1cccc2cc([C@@H]3CNCCO3)cn21 ZINC001242816991 1057489976 /nfs/dbraw/zinc/48/99/76/1057489976.db2.gz HSDKKYAYANHBSU-FQEVSTJZSA-N 0 3 320.436 4.138 20 0 DIADHN CCCOc1ccc(CNC(C)(C)CC(F)(F)F)cc1OC ZINC000681989856 1057492095 /nfs/dbraw/zinc/49/20/95/1057492095.db2.gz WGTCFQCSYQNMMZ-UHFFFAOYSA-N 0 3 319.367 4.305 20 0 DIADHN Cc1ccc(C)c(CN[C@H](Cn2cccn2)c2ccccc2)c1 ZINC000676703880 1057496481 /nfs/dbraw/zinc/49/64/81/1057496481.db2.gz YCMDRGBBRWUXBA-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCCOC[C@H]1C ZINC000676713053 1057497622 /nfs/dbraw/zinc/49/76/22/1057497622.db2.gz DWRXXQSGJWOOTI-MRXNPFEDSA-N 0 3 313.441 4.238 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000218861961 1057502478 /nfs/dbraw/zinc/50/24/78/1057502478.db2.gz ANMJKYKOUNTXBS-CABCVRRESA-N 0 3 312.457 4.184 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@H](c2nccn2C)C1 ZINC000373098176 1057507149 /nfs/dbraw/zinc/50/71/49/1057507149.db2.gz IEAUYZGIDZFRRV-DYVFJYSZSA-N 0 3 319.399 4.029 20 0 DIADHN FC1(F)CCCN(Cc2ccccc2Oc2cccnc2)CC1 ZINC000625768478 1057512925 /nfs/dbraw/zinc/51/29/25/1057512925.db2.gz JLSBFQAOIZZXNU-UHFFFAOYSA-N 0 3 318.367 4.495 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000625772669 1057514615 /nfs/dbraw/zinc/51/46/15/1057514615.db2.gz YRCGGSIMEJVVGJ-OLZOCXBDSA-N 0 3 301.377 4.175 20 0 DIADHN CC1(C)C[C@H](CNCc2c(Cl)cncc2Cl)C(C)(C)O1 ZINC000922949274 1057516501 /nfs/dbraw/zinc/51/65/01/1057516501.db2.gz WDTBDGDMISMJAW-SNVBAGLBSA-N 0 3 317.260 4.072 20 0 DIADHN COc1ccc(CNCc2ccc(SC)s2)c(C)c1OC ZINC000922966702 1057517731 /nfs/dbraw/zinc/51/77/31/1057517731.db2.gz UKGIRIUDRBRAKM-UHFFFAOYSA-N 0 3 323.483 4.085 20 0 DIADHN CN1CCc2ccc(-c3cncc(-c4ccccc4)c3)cc2C1 ZINC001242914134 1057518214 /nfs/dbraw/zinc/51/82/14/1057518214.db2.gz AJUVCTDBNVWKMY-UHFFFAOYSA-N 0 3 300.405 4.404 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2N[C@H]1CCSc2ccc(F)cc21 ZINC000316381935 1057520790 /nfs/dbraw/zinc/52/07/90/1057520790.db2.gz DEXWWMUKOWISFZ-IRXDYDNUSA-N 0 3 314.429 4.222 20 0 DIADHN Cc1cc(CN[C@]2(C)CCOc3ccccc32)cc2cccnc12 ZINC000923024123 1057521802 /nfs/dbraw/zinc/52/18/02/1057521802.db2.gz FSEOXRPTQJEQCF-OAQYLSRUSA-N 0 3 318.420 4.331 20 0 DIADHN COc1ncc(-c2ccc(CN3CCCC3)c(F)c2)cc1Cl ZINC001242934507 1057521784 /nfs/dbraw/zinc/52/17/84/1057521784.db2.gz VLZCWHSQKANYLB-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CSc1cncc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001242950805 1057527459 /nfs/dbraw/zinc/52/74/59/1057527459.db2.gz AWGXHLSZSHURJW-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN NCc1ccc(-c2ccc3ccc(-c4ccccc4)nc3c2)cn1 ZINC001242969215 1057530809 /nfs/dbraw/zinc/53/08/09/1057530809.db2.gz UZNWXSSZWVJQPA-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN COc1ccc(-c2ccc(SC)c(F)c2)cc1CN(C)C ZINC001242975484 1057531861 /nfs/dbraw/zinc/53/18/61/1057531861.db2.gz MYEDYDKSWNNHIG-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cc(O)cc(OC(F)(F)F)c2)n1 ZINC001242986229 1057536517 /nfs/dbraw/zinc/53/65/17/1057536517.db2.gz MBFLDYBILARJHU-UHFFFAOYSA-N 0 3 308.259 4.143 20 0 DIADHN CC(C)CC[C@H](NCc1ccnc(N)c1)c1ccc(Cl)cc1 ZINC000411445890 1057554063 /nfs/dbraw/zinc/55/40/63/1057554063.db2.gz HPPSXKHPLNXBLV-KRWDZBQOSA-N 0 3 317.864 4.584 20 0 DIADHN COc1ccc(-c2cnccc2Cl)c(CN2CCCCC2)c1 ZINC001243047333 1057557571 /nfs/dbraw/zinc/55/75/71/1057557571.db2.gz AIWAYCKEVGBIMK-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3ccc(F)c([O-])c3)cc12 ZINC001243052575 1057559800 /nfs/dbraw/zinc/55/98/00/1057559800.db2.gz ZYEIRCWZPCBSNZ-MRXNPFEDSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3ccc(F)c([O-])c3)cc12 ZINC001243052575 1057559810 /nfs/dbraw/zinc/55/98/10/1057559810.db2.gz ZYEIRCWZPCBSNZ-MRXNPFEDSA-N 0 3 324.399 4.316 20 0 DIADHN NCc1ccc(-c2cc(Cl)cc(C(F)(F)F)c2F)cn1 ZINC001243067061 1057562194 /nfs/dbraw/zinc/56/21/94/1057562194.db2.gz DRIDTWCWDOUIPM-UHFFFAOYSA-N 0 3 304.674 4.019 20 0 DIADHN O=C(c1ccccc1)C1CCN(CCCOc2ccccc2)CC1 ZINC000078469479 1057567156 /nfs/dbraw/zinc/56/71/56/1057567156.db2.gz CKAXJSPVBDDCBB-UHFFFAOYSA-N 0 3 323.436 4.050 20 0 DIADHN C[C@H](NCc1scnc1C1CC1)c1ccccc1-n1cccn1 ZINC000487313103 1057572808 /nfs/dbraw/zinc/57/28/08/1057572808.db2.gz AFYDPMUFBSOCKQ-ZDUSSCGKSA-N 0 3 324.453 4.057 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1scnc1C1CC1 ZINC000487545383 1057574169 /nfs/dbraw/zinc/57/41/69/1057574169.db2.gz YADVMZXIVNWEKY-PIGZYNQJSA-N 0 3 320.433 4.025 20 0 DIADHN CN(CCCOC(C)(C)C)Cc1ccc(Br)s1 ZINC000674903515 1057582255 /nfs/dbraw/zinc/58/22/55/1057582255.db2.gz YHXIPPGTUNDMOD-UHFFFAOYSA-N 0 3 320.296 4.148 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN([C@@H](C)c2ccccc2Cl)C1 ZINC000611320092 1057590414 /nfs/dbraw/zinc/59/04/14/1057590414.db2.gz URRRPBKIKQQAET-KBPBESRZSA-N 0 3 323.864 4.312 20 0 DIADHN CCN(CC)Cc1cc(-c2ccccc2OCOC)ccc1F ZINC001243188774 1057598143 /nfs/dbraw/zinc/59/81/43/1057598143.db2.gz QFHRSMKNTYLHLX-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(CO)c(C)c2)ccc1F ZINC001243190808 1057598466 /nfs/dbraw/zinc/59/84/66/1057598466.db2.gz BHPUQPJHPUYSDQ-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN Cc1ccc(CNCc2cccc(C(=O)OC(C)(C)C)c2)s1 ZINC000611443465 1057600456 /nfs/dbraw/zinc/60/04/56/1057600456.db2.gz WAOVIHDJIKFWNX-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CC[C@H]2SC)c2ccccc2)cc1 ZINC000611520572 1057605198 /nfs/dbraw/zinc/60/51/98/1057605198.db2.gz BZFURYOCJMLMKC-GUDVDZBRSA-N 0 3 313.466 4.268 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OCOC)cc2)ccc1F ZINC001243210623 1057606256 /nfs/dbraw/zinc/60/62/56/1057606256.db2.gz INIOWLUYFHNUAU-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000162213148 1057611940 /nfs/dbraw/zinc/61/19/40/1057611940.db2.gz GIXKMICMNZDZNQ-AEFFLSMTSA-N 0 3 315.436 4.314 20 0 DIADHN Cc1ccccc1[C@H](NCc1nc2ccccc2nc1C)C1CC1 ZINC000271984970 1057616021 /nfs/dbraw/zinc/61/60/21/1057616021.db2.gz FBWWDHODXODWFO-OAQYLSRUSA-N 0 3 317.436 4.488 20 0 DIADHN CCCCOc1ccc(F)cc1-c1cc(CN(C)C)ccn1 ZINC001243238474 1057617477 /nfs/dbraw/zinc/61/74/77/1057617477.db2.gz JEYNSJWVLFBEHM-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCOc1cc(F)c(F)cc1-c1ccc(OC)c(CN(C)C)c1 ZINC001243277588 1057633409 /nfs/dbraw/zinc/63/34/09/1057633409.db2.gz XJIYHYPEKLVONG-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2ccnc(F)c2)cc1 ZINC001118859986 1057634337 /nfs/dbraw/zinc/63/43/37/1057634337.db2.gz OWHPVQHLBDGZCD-UHFFFAOYSA-N 0 3 302.393 4.034 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNC[C@H]2CCC=CO2)c1 ZINC000780568296 1057638059 /nfs/dbraw/zinc/63/80/59/1057638059.db2.gz OUNVAVANLCNQLK-GFCCVEGCSA-N 0 3 305.727 4.141 20 0 DIADHN CCN(CC)Cc1cc(-c2ccnc(OC)c2Cl)ccc1F ZINC001243321583 1057645389 /nfs/dbraw/zinc/64/53/89/1057645389.db2.gz WMUQNRPXGNAPDL-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN C[C@H]1CCC[C@H](OC(=O)C2CCN(Cc3ccccc3)CC2)C1 ZINC000727292714 1057648436 /nfs/dbraw/zinc/64/84/36/1057648436.db2.gz IQPGLKUGKYUCTL-LPHOPBHVSA-N 0 3 315.457 4.021 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC(C)=O)cc2)cc1F ZINC001243364221 1057659789 /nfs/dbraw/zinc/65/97/89/1057659789.db2.gz JGXIPEFYLXCVSD-UHFFFAOYSA-N 0 3 315.388 4.260 20 0 DIADHN CC(C)CC[C@@H](NCc1ccnn1C)c1ccc(Cl)cc1 ZINC000727644380 1057662505 /nfs/dbraw/zinc/66/25/05/1057662505.db2.gz BWZUNIMDGDBHHK-QGZVFWFLSA-N 0 3 305.853 4.341 20 0 DIADHN CCCCOc1ccc(CN[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000533848339 1057672794 /nfs/dbraw/zinc/67/27/94/1057672794.db2.gz DQOPXGKUEFRYIH-NRFANRHFSA-N 0 3 324.468 4.142 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2cc3ccccc3s2)C1 ZINC000533820003 1057672986 /nfs/dbraw/zinc/67/29/86/1057672986.db2.gz QZDRWNVWDMHHBC-GOSISDBHSA-N 0 3 308.450 4.178 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2ccc(C(C)(C)C)s2)C1 ZINC000535266669 1057673546 /nfs/dbraw/zinc/67/35/46/1057673546.db2.gz VGASVUMMMQCFKO-KRWDZBQOSA-N 0 3 314.498 4.322 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H]2CN(C)Cc3ccccc32)c2ccccc21 ZINC000536837982 1057673843 /nfs/dbraw/zinc/67/38/43/1057673843.db2.gz GISCUCGQPNTGQU-NQERJWCQSA-N 0 3 306.453 4.401 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H]2CCc3ccc(Cl)cc32)C1 ZINC000536847052 1057674952 /nfs/dbraw/zinc/67/49/52/1057674952.db2.gz RFVJHSXOZNRFTO-RBUKOAKNSA-N 0 3 312.844 4.104 20 0 DIADHN Nc1nc2cc(-c3ccc(CNc4ccccc4)cc3)ccc2[nH]1 ZINC001243438876 1057684559 /nfs/dbraw/zinc/68/45/59/1057684559.db2.gz HCOHCEAMBUQLAZ-UHFFFAOYSA-N 0 3 314.392 4.424 20 0 DIADHN Nc1nc2ccc(-c3ccc(CNc4ccccc4)cc3)cc2[nH]1 ZINC001243438876 1057684561 /nfs/dbraw/zinc/68/45/61/1057684561.db2.gz HCOHCEAMBUQLAZ-UHFFFAOYSA-N 0 3 314.392 4.424 20 0 DIADHN CC(C)Oc1cc(F)ccc1-c1cnccc1/C=C/N(C)C ZINC001243440657 1057685244 /nfs/dbraw/zinc/68/52/44/1057685244.db2.gz SONXNAMYODFPLI-CSKARUKUSA-N 0 3 300.377 4.207 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCN1C[C@@H]1CCCCO1 ZINC000728056287 1057685550 /nfs/dbraw/zinc/68/55/50/1057685550.db2.gz OOHNGFSCOYGXCG-BBRMVZONSA-N 0 3 313.363 4.411 20 0 DIADHN CC(C)Oc1ccc(F)c(-c2cncc(CN3CCCC3)c2)c1 ZINC001243444640 1057686867 /nfs/dbraw/zinc/68/68/67/1057686867.db2.gz RCRQIPYGLCYUAR-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CC(C)Oc1cccc(-c2ccc(CN(C)C3CC3)cn2)c1F ZINC001243449320 1057687955 /nfs/dbraw/zinc/68/79/55/1057687955.db2.gz ZDWZNKOTAHUHST-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN CC(C)Oc1cccc(-c2ncccc2CN2CCCC2)c1F ZINC001243450887 1057688759 /nfs/dbraw/zinc/68/87/59/1057688759.db2.gz IKLGVJQXNUOVMH-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(C(F)(F)F)cc1)c1ncc[nH]1 ZINC000287764333 1057688989 /nfs/dbraw/zinc/68/89/89/1057688989.db2.gz ZYBSFEOONBXFKS-LLVKDONJSA-N 0 3 311.351 4.057 20 0 DIADHN Nc1ccnc2cc(-c3cc(F)c(OC(F)F)c(F)c3)ccc12 ZINC001243491927 1057694845 /nfs/dbraw/zinc/69/48/45/1057694845.db2.gz IQUQEKXOVHIYHY-UHFFFAOYSA-N 0 3 322.261 4.364 20 0 DIADHN COc1c(F)ccc(-c2ccc(CN3CCCC3)c(F)c2)c1F ZINC001243509966 1057699133 /nfs/dbraw/zinc/69/91/33/1057699133.db2.gz TXJAUIHGGPDJOF-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730022110 1057700643 /nfs/dbraw/zinc/70/06/43/1057700643.db2.gz MFUMZJHJPBXQTE-OLZOCXBDSA-N 0 3 318.486 4.245 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730020600 1057700722 /nfs/dbraw/zinc/70/07/22/1057700722.db2.gz CGDRZUYHNQJWCM-KBPBESRZSA-N 0 3 318.486 4.247 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccc(F)cc32)c2ccccc2n1 ZINC000175016144 1057701884 /nfs/dbraw/zinc/70/18/84/1057701884.db2.gz DBZHUWFMPMFDPS-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN CCOc1cc(-c2ccc(CN(C)C3CC3)cn2)c(F)cc1F ZINC001243527160 1057702842 /nfs/dbraw/zinc/70/28/42/1057702842.db2.gz OCYJIIAFHSISNT-UHFFFAOYSA-N 0 3 318.367 4.020 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2cccc([O-])c2F)nc1 ZINC001243546907 1057710487 /nfs/dbraw/zinc/71/04/87/1057710487.db2.gz REMYQYFVKZAFSF-MRXNPFEDSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2cccc([O-])c2F)nc1 ZINC001243546907 1057710489 /nfs/dbraw/zinc/71/04/89/1057710489.db2.gz REMYQYFVKZAFSF-MRXNPFEDSA-N 0 3 300.377 4.140 20 0 DIADHN Cc1cc(NCc2ccccc2Sc2ccccc2)nc(N)n1 ZINC000730569495 1057718288 /nfs/dbraw/zinc/71/82/88/1057718288.db2.gz TUSLFLPXBFUPBE-UHFFFAOYSA-N 0 3 322.437 4.131 20 0 DIADHN CCN(C(=O)OC(C)(C)C)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000731149508 1057735444 /nfs/dbraw/zinc/73/54/44/1057735444.db2.gz KMZXRWQXVLYLNN-RDJZCZTQSA-N 0 3 318.461 4.079 20 0 DIADHN CSc1ncc(Cl)cc1-c1cncc(CN2CCCC2)c1 ZINC001243636474 1057740580 /nfs/dbraw/zinc/74/05/80/1057740580.db2.gz HKLITPBTJIBIFK-UHFFFAOYSA-N 0 3 319.861 4.115 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1csc2ccccc12 ZINC000731452398 1057743422 /nfs/dbraw/zinc/74/34/22/1057743422.db2.gz WXAURZKQHVZQDD-CYBMUJFWSA-N 0 3 307.422 4.400 20 0 DIADHN COc1ccc(CN[C@H](C)c2csc3ccccc32)cc1O ZINC000731452178 1057743657 /nfs/dbraw/zinc/74/36/57/1057743657.db2.gz NZWJSSWFXSMHNC-GFCCVEGCSA-N 0 3 313.422 4.466 20 0 DIADHN CN1CCN(c2cccc(-c3ccc4cscc4c3)c2)CC1 ZINC001243670587 1057749900 /nfs/dbraw/zinc/74/99/00/1057749900.db2.gz KAHKZCARDOSLQO-UHFFFAOYSA-N 0 3 308.450 4.320 20 0 DIADHN CCCCN(Cn1nc(C)c(Br)c1C)[C@H](C)CC ZINC000731658905 1057749960 /nfs/dbraw/zinc/74/99/60/1057749960.db2.gz RXLUXXBHSWOIBN-LLVKDONJSA-N 0 3 316.287 4.121 20 0 DIADHN C[C@H](CN(C)Cn1ccc(-c2ccsc2)n1)c1ccccc1 ZINC000731660606 1057750901 /nfs/dbraw/zinc/75/09/01/1057750901.db2.gz OPRKBTQYPQIYCW-OAHLLOKOSA-N 0 3 311.454 4.305 20 0 DIADHN Cc1c(CNC2(c3ccccc3)CC2)sc2nc(C)nc(C)c12 ZINC000731677851 1057751759 /nfs/dbraw/zinc/75/17/59/1057751759.db2.gz ADHCUEFBKJDEIF-UHFFFAOYSA-N 0 3 323.465 4.395 20 0 DIADHN Clc1ccc(CN2CCCC[C@H]2Cc2ccccc2)cn1 ZINC000731751059 1057753227 /nfs/dbraw/zinc/75/32/27/1057753227.db2.gz GNTAXMYNRJZCMS-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1ccccc1CF ZINC001119818284 1057755231 /nfs/dbraw/zinc/75/52/31/1057755231.db2.gz NOPSFZBTCNCAFG-CYBMUJFWSA-N 0 3 303.425 4.267 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1c1ccc(F)cc1 ZINC000731867066 1057757423 /nfs/dbraw/zinc/75/74/23/1057757423.db2.gz CUVMCLBHAPVLNJ-GOSISDBHSA-N 0 3 320.452 4.001 20 0 DIADHN COc1ccc(-c2cccc3nc(C)ccc32)cc1CN(C)C ZINC001243739627 1057766590 /nfs/dbraw/zinc/76/65/90/1057766590.db2.gz HOCYZDJCCSOFEL-UHFFFAOYSA-N 0 3 306.409 4.280 20 0 DIADHN C[C@H](c1ccncc1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000732196720 1057769370 /nfs/dbraw/zinc/76/93/70/1057769370.db2.gz PJBLORWBUUSWDR-OAHLLOKOSA-N 0 3 303.409 4.413 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)c1ccccc1C ZINC000732270065 1057772392 /nfs/dbraw/zinc/77/23/92/1057772392.db2.gz YSVJHLCMTCNPIL-QGZVFWFLSA-N 0 3 304.478 4.027 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(Br)cc1F ZINC001243808915 1057784292 /nfs/dbraw/zinc/78/42/92/1057784292.db2.gz PJGZNESPRPUKNA-SOFGYWHQSA-N 0 3 321.193 4.183 20 0 DIADHN COCOc1cccc(-c2ccc3c(c2)C(C(C)C)=NCC3)c1 ZINC001243828709 1057789696 /nfs/dbraw/zinc/78/96/96/1057789696.db2.gz TYVZFVSUHIIWAD-UHFFFAOYSA-N 0 3 309.409 4.338 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2ncc(C)s2)C2CC2)C[C@H]1OC ZINC001120006240 1057789750 /nfs/dbraw/zinc/78/97/50/1057789750.db2.gz YCWNASCPCAPHCO-KFWWJZLASA-N 0 3 308.491 4.086 20 0 DIADHN CC(=O)Nc1nc2ccc(-c3nc(C)cc4[nH]ccc43)cc2s1 ZINC001243855554 1057793600 /nfs/dbraw/zinc/79/36/00/1057793600.db2.gz JPQPMTSKSJCBAB-UHFFFAOYSA-N 0 3 322.393 4.106 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cnc(F)c(-c2ccccc2)c1 ZINC001243901769 1057802418 /nfs/dbraw/zinc/80/24/18/1057802418.db2.gz ZYXBBTCLRPXGQB-PKNBQFBNSA-N 0 3 319.383 4.482 20 0 DIADHN Nc1ccnc2cc(-c3cc(F)nc(C(F)(F)F)c3)ccc12 ZINC001243913930 1057805440 /nfs/dbraw/zinc/80/54/40/1057805440.db2.gz RVGQQMHILYHOSQ-UHFFFAOYSA-N 0 3 307.250 4.037 20 0 DIADHN CO[C@H](CNCc1ccccc1-c1ccccc1)C(F)(F)F ZINC000733329018 1057813796 /nfs/dbraw/zinc/81/37/96/1057813796.db2.gz KHWXKRBRPLCZKS-MRXNPFEDSA-N 0 3 309.331 4.021 20 0 DIADHN CN(C)Cc1ccnc(-c2c(Cl)cccc2C(F)(F)F)c1 ZINC001243957734 1057819179 /nfs/dbraw/zinc/81/91/79/1057819179.db2.gz ULRLIRLLUCNOQU-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN COc1ccc(-c2cc(F)nc(F)c2)cc1CN1CCCCC1 ZINC001243974997 1057825750 /nfs/dbraw/zinc/82/57/50/1057825750.db2.gz SDRCJAHHAALOBY-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2ccc(Cl)nc2)cc1 ZINC000733614740 1057827137 /nfs/dbraw/zinc/82/71/37/1057827137.db2.gz YMZMONJEZWIGJQ-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2ccc(Cl)nc2)cc1 ZINC000733614741 1057827155 /nfs/dbraw/zinc/82/71/55/1057827155.db2.gz YMZMONJEZWIGJQ-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN CN(Cc1ccc(-c2ccc(F)c(Cl)c2F)nc1)C1CC1 ZINC001244037571 1057845857 /nfs/dbraw/zinc/84/58/57/1057845857.db2.gz NZMQTRHVHXWBCW-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN Fc1ccc(-c2cncc(CN3CCCC3)c2)c(F)c1Cl ZINC001244039702 1057846931 /nfs/dbraw/zinc/84/69/31/1057846931.db2.gz QKBJSJXHGCCGEV-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN CC(C)Oc1c(F)cc(-c2cnccc2/C=C/N(C)C)cc1F ZINC001244109954 1057871909 /nfs/dbraw/zinc/87/19/09/1057871909.db2.gz WCEVHVUWSUCBIS-SOFGYWHQSA-N 0 3 318.367 4.346 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnc(C)cc3C)c(C)c2)CC1 ZINC001244148114 1057886808 /nfs/dbraw/zinc/88/68/08/1057886808.db2.gz VYBGGMTZVNHHCE-UHFFFAOYSA-N 0 3 307.441 4.178 20 0 DIADHN COc1ccc(-c2cnc(SC)c(Cl)c2)cc1CN(C)C ZINC001244244500 1057908631 /nfs/dbraw/zinc/90/86/31/1057908631.db2.gz CDORIAMUSIYFFL-UHFFFAOYSA-N 0 3 322.861 4.194 20 0 DIADHN Cc1ccc(-c2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001244296243 1057925465 /nfs/dbraw/zinc/92/54/65/1057925465.db2.gz CUWMQCZOSIALCL-UHFFFAOYSA-N 0 3 300.405 4.370 20 0 DIADHN CN(Cc1ccc(-c2cc(F)c(Cl)cc2F)nc1)C1CC1 ZINC001244302161 1057927659 /nfs/dbraw/zinc/92/76/59/1057927659.db2.gz BSZWGUNRUOAWOJ-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN O=c1[nH]ccc2ccc(-c3ccc(F)c(CN4CCCC4)c3)cc21 ZINC001244314637 1057932075 /nfs/dbraw/zinc/93/20/75/1057932075.db2.gz ITBFEFZFVPLJOJ-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN COc1cc(F)c(-c2ccc(OC)c(CN(C)C)c2)cc1Cl ZINC001244351389 1057939799 /nfs/dbraw/zinc/93/97/99/1057939799.db2.gz POKNHFJUXHLYRQ-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(C)cc3N)c(C)c2)CC1 ZINC001244390740 1057948940 /nfs/dbraw/zinc/94/89/40/1057948940.db2.gz FNCIQPUYXNRTPF-UHFFFAOYSA-N 0 3 307.441 4.057 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1ccc2c(c1)CCC21CC[NH2+]CC1 ZINC001244470081 1057968816 /nfs/dbraw/zinc/96/88/16/1057968816.db2.gz YPTZRFIKFBBLEJ-UHFFFAOYSA-N 0 3 313.828 4.280 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1cncc(C[NH+]2CCCCC2)c1 ZINC001244474286 1057969881 /nfs/dbraw/zinc/96/98/81/1057969881.db2.gz YZDZCAKQUIGDRS-UHFFFAOYSA-N 0 3 302.805 4.094 20 0 DIADHN Cc1cc(-c2ccc(C[N@H+]3CCCC[C@H]3C)cn2)cc([O-])c1F ZINC001244505632 1057979451 /nfs/dbraw/zinc/97/94/51/1057979451.db2.gz BBOXTHXODGTEOX-CQSZACIVSA-N 0 3 314.404 4.276 20 0 DIADHN Cc1cc(-c2ccc(C[N@@H+]3CCCC[C@H]3C)cn2)cc([O-])c1F ZINC001244505632 1057979455 /nfs/dbraw/zinc/97/94/55/1057979455.db2.gz BBOXTHXODGTEOX-CQSZACIVSA-N 0 3 314.404 4.276 20 0 DIADHN Cc1cc(-c2ccc3[nH]cc(CC[NH+](C)C)c3c2)cc([O-])c1F ZINC001244531191 1057987429 /nfs/dbraw/zinc/98/74/29/1057987429.db2.gz WLOAXLFHKZHIKW-UHFFFAOYSA-N 0 3 312.388 4.092 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(CO)cc2C)c1F ZINC001244583722 1058001760 /nfs/dbraw/zinc/00/17/60/1058001760.db2.gz DTPOUYUVJKANPL-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN Cc1cc(CO)cc(C)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244696984 1058028718 /nfs/dbraw/zinc/02/87/18/1058028718.db2.gz NRLSWAOCVBHPPN-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN Cc1cc(CO)cc(C)c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001244697760 1058029383 /nfs/dbraw/zinc/02/93/83/1058029383.db2.gz XDBZGILCZFZGGP-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN CCN(CC)Cc1ccncc1-c1ccc(C(C)=O)c(F)c1F ZINC001244705955 1058032201 /nfs/dbraw/zinc/03/22/01/1058032201.db2.gz SSOLJDIYKXMXTD-UHFFFAOYSA-N 0 3 318.367 4.071 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCC3)c(F)c2)cc(C)c1CO ZINC001244712598 1058033254 /nfs/dbraw/zinc/03/32/54/1058033254.db2.gz QEFVLFFSAZQHKQ-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN c1cc(-c2ccncc2)cc(-c2cc(N3CCCC3)ccn2)c1 ZINC001244778583 1058046213 /nfs/dbraw/zinc/04/62/13/1058046213.db2.gz BILOXGFVOAGJBO-UHFFFAOYSA-N 0 3 301.393 4.411 20 0 DIADHN NCc1cc(-c2ccc(Oc3ccccc3)cc2Cl)ncn1 ZINC001244874357 1058062412 /nfs/dbraw/zinc/06/24/12/1058062412.db2.gz PSJKSXOSYFDIFT-UHFFFAOYSA-N 0 3 311.772 4.048 20 0 DIADHN COc1cc(-c2cnccc2/C=C/N(C)C)ccc1OC(C)C ZINC001244886153 1058065411 /nfs/dbraw/zinc/06/54/11/1058065411.db2.gz KVZJQUATUYQBRJ-PKNBQFBNSA-N 0 3 312.413 4.077 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)cc1N ZINC001244900767 1058068278 /nfs/dbraw/zinc/06/82/78/1058068278.db2.gz ZOAPKFXXOIJUFM-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN Cc1cc(Cl)ncc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001244916973 1058071073 /nfs/dbraw/zinc/07/10/73/1058071073.db2.gz RKYJTYQVESDYDX-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN Cc1cc(Cl)ncc1-c1cncc(CN2CCCCC2)c1 ZINC001244917013 1058071129 /nfs/dbraw/zinc/07/11/29/1058071129.db2.gz SJOYLAPMUNAPFW-UHFFFAOYSA-N 0 3 301.821 4.091 20 0 DIADHN Cc1cc(Cl)ncc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244917264 1058071185 /nfs/dbraw/zinc/07/11/85/1058071185.db2.gz XHTIYTSNZSGPER-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN Clc1ccnc(Cl)c1-c1cc(CN2CCCCC2)ccn1 ZINC001244936917 1058075771 /nfs/dbraw/zinc/07/57/71/1058075771.db2.gz XLXFIYWTCNJMAR-UHFFFAOYSA-N 0 3 322.239 4.436 20 0 DIADHN Cc1c(F)ccc(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001244983516 1058086529 /nfs/dbraw/zinc/08/65/29/1058086529.db2.gz VMMPSUTVFJDXKL-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Nc1ccnc2cc(-c3c(Cl)cc(CO)cc3Cl)ccc12 ZINC001245009235 1058091187 /nfs/dbraw/zinc/09/11/87/1058091187.db2.gz LBZGQLHUWCXPEG-UHFFFAOYSA-N 0 3 319.191 4.283 20 0 DIADHN COc1cc(C)c(C)cc1-c1cncc(CN2CCCCC2)c1 ZINC001245022839 1058096221 /nfs/dbraw/zinc/09/62/21/1058096221.db2.gz HYWFBXNCZZQTEB-UHFFFAOYSA-N 0 3 310.441 4.360 20 0 DIADHN Cc1cc(OCc2ccccc2)c(-c2cccc(CN)n2)cc1C ZINC001245028798 1058097984 /nfs/dbraw/zinc/09/79/84/1058097984.db2.gz YBTTZLHWIQYEPO-UHFFFAOYSA-N 0 3 318.420 4.403 20 0 DIADHN Oc1ccc(Cl)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001245052160 1058103256 /nfs/dbraw/zinc/10/32/56/1058103256.db2.gz CYUVUPLOWLNBOW-UHFFFAOYSA-N 0 3 305.780 4.448 20 0 DIADHN CCn1ncc2cc(-c3cc(N4CCCCC4)ccn3)ccc21 ZINC001245234793 1058131612 /nfs/dbraw/zinc/13/16/12/1058131612.db2.gz LKAGRNFNRKPNND-UHFFFAOYSA-N 0 3 306.413 4.109 20 0 DIADHN CCn1ncc2cc(-c3ccc(CN4CCCC4)c(F)c3)ccc21 ZINC001245236263 1058132090 /nfs/dbraw/zinc/13/20/90/1058132090.db2.gz APAOLCMEKXEAIO-UHFFFAOYSA-N 0 3 323.415 4.458 20 0 DIADHN COc1ccc(-c2ccc3cc(N)ccc3c2)cc1CN(C)C ZINC001245369834 1058154520 /nfs/dbraw/zinc/15/45/20/1058154520.db2.gz UJCRMLQZTAPIPZ-UHFFFAOYSA-N 0 3 306.409 4.159 20 0 DIADHN Fc1cc(-c2cc3cccnc3[nH]2)ccc1CN1CCCCC1 ZINC001245404568 1058160961 /nfs/dbraw/zinc/16/09/61/1058160961.db2.gz KMCMHIINCNWUNW-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)(C)Oc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001245424689 1058164474 /nfs/dbraw/zinc/16/44/74/1058164474.db2.gz MKDYHPPWXRNUSK-GOSISDBHSA-N 0 3 315.457 4.132 20 0 DIADHN c1cncc(-c2ccc(C3=CC[C@@H](N4CCOCC4)CC3)cc2)c1 ZINC001245427777 1058164711 /nfs/dbraw/zinc/16/47/11/1058164711.db2.gz ZEZZWDFSSQJEDE-OAQYLSRUSA-N 0 3 320.436 4.017 20 0 DIADHN COc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245427065 1058164732 /nfs/dbraw/zinc/16/47/32/1058164732.db2.gz VPBQWFSKDCMAHX-QGZVFWFLSA-N 0 3 323.436 4.117 20 0 DIADHN c1cc2c3c(ccc(C4=CC[C@@H](N5CCOCC5)CC4)c3c1)CC2 ZINC001245424742 1058164839 /nfs/dbraw/zinc/16/48/39/1058164839.db2.gz MJPDIUCHZKTIID-LJQANCHMSA-N 0 3 319.448 4.207 20 0 DIADHN c1ccc(-c2cnccc2C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001245425429 1058164887 /nfs/dbraw/zinc/16/48/87/1058164887.db2.gz KMIYVTWJKOFWBI-IBGZPJMESA-N 0 3 320.436 4.017 20 0 DIADHN COc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c(C(C)C)c1 ZINC001245429162 1058164893 /nfs/dbraw/zinc/16/48/93/1058164893.db2.gz ROZXVUCXZKNAIJ-KRWDZBQOSA-N 0 3 315.457 4.087 20 0 DIADHN COc1cc(C2=CC[C@@H](N3CCOCC3)CC2)cc(C(C)C)c1 ZINC001245425865 1058164908 /nfs/dbraw/zinc/16/49/08/1058164908.db2.gz GLZWRYTZDRQCTA-LJQANCHMSA-N 0 3 315.457 4.087 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(F)c(N)c2C)cc1F ZINC001245463780 1058172317 /nfs/dbraw/zinc/17/23/17/1058172317.db2.gz NQCWAJBPKOFTPZ-UHFFFAOYSA-N 0 3 304.384 4.364 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cn(C)c4cnccc34)cc21 ZINC001245495109 1058183485 /nfs/dbraw/zinc/18/34/85/1058183485.db2.gz ZJXNUHWXPYOGSS-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CC[C@@H](CC(=O)Nc1cc(CN(C)C)ccc1C)C(C)(C)C ZINC001545231198 1058187732 /nfs/dbraw/zinc/18/77/32/1058187732.db2.gz CAYYQJJJMCAWNX-INIZCTEOSA-N 0 3 304.478 4.458 20 0 DIADHN COC(=O)c1cc(-c2ccc(C3=NCCC3)cc2)c(C)cc1C ZINC001245554498 1058229538 /nfs/dbraw/zinc/22/95/38/1058229538.db2.gz OJPYVWRENSVUGS-UHFFFAOYSA-N 0 3 307.393 4.340 20 0 DIADHN CC(C)C[C@H](C)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001547468475 1058248916 /nfs/dbraw/zinc/24/89/16/1058248916.db2.gz FMWAYIPVUDBCFA-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN Fc1ccc2cc(-c3cncc(CN4CCCCC4)c3)[nH]c2c1 ZINC001245590498 1058261747 /nfs/dbraw/zinc/26/17/47/1058261747.db2.gz PSMNCFAYDLGYEV-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN FC(F)C1(CN2CCC[C@@H]3O[C@@H](c4ccccc4)C[C@@H]32)CC1 ZINC001549107259 1058284072 /nfs/dbraw/zinc/28/40/72/1058284072.db2.gz ODOZJAPYFCVYOL-HRCADAONSA-N 0 3 307.384 4.026 20 0 DIADHN CC(C)CCCCC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001517812213 1058286779 /nfs/dbraw/zinc/28/67/79/1058286779.db2.gz BBZAYQOJZSVDLZ-LPHOPBHVSA-N 0 3 304.478 4.010 20 0 DIADHN C[C@H](N[C@@H]1CCCO[C@@H]1c1ccc(Cl)cc1)c1cncs1 ZINC001549774594 1058305011 /nfs/dbraw/zinc/30/50/11/1058305011.db2.gz DUWIPXFROCIFAG-SGIREYDYSA-N 0 3 322.861 4.367 20 0 DIADHN Oc1cccc(F)c1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001245656560 1058315414 /nfs/dbraw/zinc/31/54/14/1058315414.db2.gz XEZOMGFNMUFFNK-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)C(C)(C)N1Cc1nnc(C2CC2)o1 ZINC001550157414 1058318487 /nfs/dbraw/zinc/31/84/87/1058318487.db2.gz RVNZLLWKZWAIFE-XJKSGUPXSA-N 0 3 311.429 4.104 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243245 1058327950 /nfs/dbraw/zinc/32/79/50/1058327950.db2.gz GEMSIGNSBJLNLU-SJORKVTESA-N 0 3 313.470 4.355 20 0 DIADHN CCCC[C@H](C)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001518298727 1058330608 /nfs/dbraw/zinc/33/06/08/1058330608.db2.gz CFVUCNHCVZSFMH-HKUYNNGSSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1c1ccccc1)c1ncc(Cl)n1C ZINC001550348606 1058340067 /nfs/dbraw/zinc/34/00/67/1058340067.db2.gz LFJYAXKEBOIGPW-NWANDNLSSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1c1ccccc1)c1ncc(Cl)n1C ZINC001550348609 1058340190 /nfs/dbraw/zinc/34/01/90/1058340190.db2.gz LFJYAXKEBOIGPW-QEJZJMRPSA-N 0 3 303.837 4.060 20 0 DIADHN Nc1nc2ccc(-c3cc(Cl)ccc3C(F)(F)F)cc2[nH]1 ZINC001245695735 1058363266 /nfs/dbraw/zinc/36/32/66/1058363266.db2.gz OCGSFJHRBFHROF-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2cc(-c3cc(Cl)ccc3C(F)(F)F)ccc2[nH]1 ZINC001245695735 1058363278 /nfs/dbraw/zinc/36/32/78/1058363278.db2.gz OCGSFJHRBFHROF-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(C)c(CO)cc2C)ccc1F ZINC001245703588 1058370992 /nfs/dbraw/zinc/37/09/92/1058370992.db2.gz XMFHWNJZDKZVRM-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN CCOc1cc(F)c(-c2cnccc2/C=C/N(C)C)cc1Cl ZINC001245705581 1058375217 /nfs/dbraw/zinc/37/52/17/1058375217.db2.gz RRLHBPPQYYEXFN-SOFGYWHQSA-N 0 3 320.795 4.472 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccsc21)c1cnn(CC2CCC2)c1 ZINC001551390282 1058391048 /nfs/dbraw/zinc/39/10/48/1058391048.db2.gz PQGBOAXJDUWZBX-MLGOLLRUSA-N 0 3 301.459 4.083 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccsc21)c1cnn(CC2CCC2)c1 ZINC001551390280 1058391733 /nfs/dbraw/zinc/39/17/33/1058391733.db2.gz PQGBOAXJDUWZBX-BLLLJJGKSA-N 0 3 301.459 4.083 20 0 DIADHN CSc1ccc(F)c(-c2cncc(CN3CCCC3)c2)c1 ZINC001245749508 1058431394 /nfs/dbraw/zinc/43/13/94/1058431394.db2.gz SMCUXQVIQVNAIU-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN CSc1cnc(F)c(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001245764211 1058448571 /nfs/dbraw/zinc/44/85/71/1058448571.db2.gz FLHZYRPKPUQUFN-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN CSc1c(Cl)cccc1-c1ccc2c(c1)COC21CNC1 ZINC001245777391 1058464919 /nfs/dbraw/zinc/46/49/19/1058464919.db2.gz NQDIIJFNFAUMHG-UHFFFAOYSA-N 0 3 317.841 4.058 20 0 DIADHN c1oc(-c2ccccn2)nc1CN[C@@H]1CCC[C@H]1c1ccccc1 ZINC001553868989 1058502793 /nfs/dbraw/zinc/50/27/93/1058502793.db2.gz WTQXZSDKDICOHB-ZWKOTPCHSA-N 0 3 319.408 4.163 20 0 DIADHN CCc1nocc1CN1CCC(c2cccc3[nH]ccc32)CC1 ZINC001553875370 1058507252 /nfs/dbraw/zinc/50/72/52/1058507252.db2.gz OTVBSLHZBPAEOC-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN C[C@@H]1CN(Cc2cc3cnccc3o2)CC[C@@H](c2ccccc2)O1 ZINC001553967755 1058510732 /nfs/dbraw/zinc/51/07/32/1058510732.db2.gz CYLUDNQKUVVNKN-QRWLVFNGSA-N 0 3 322.408 4.180 20 0 DIADHN CCOc1c(F)cc(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001245821303 1058514533 /nfs/dbraw/zinc/51/45/33/1058514533.db2.gz GHUDBPXQJWMJCR-UHFFFAOYSA-N 0 3 305.740 4.003 20 0 DIADHN CCOc1c(F)cc(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001245821303 1058514548 /nfs/dbraw/zinc/51/45/48/1058514548.db2.gz GHUDBPXQJWMJCR-UHFFFAOYSA-N 0 3 305.740 4.003 20 0 DIADHN CC(C)(C)CC(C)(C)N1CCN(C(=O)C2(C)CCCCC2)CC1 ZINC001556617611 1058621477 /nfs/dbraw/zinc/62/14/77/1058621477.db2.gz DZFDOHDQEUBQFS-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN CN1CCN(C(=O)C[C@H]2CCCCC2(C)C)CC12CCCCC2 ZINC001556685275 1058629435 /nfs/dbraw/zinc/62/94/35/1058629435.db2.gz XTEYNWLOGUUHCE-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H]1CCC[C@H]1C(C)(C)C ZINC001557081964 1058695654 /nfs/dbraw/zinc/69/56/54/1058695654.db2.gz LKGSNJSYOWISSN-IAGOWNOFSA-N 0 3 310.526 4.172 20 0 DIADHN CCC[C@@H](C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979259 1058725139 /nfs/dbraw/zinc/72/51/39/1058725139.db2.gz JUUAFKCRFPESCN-PBHICJAKSA-N 0 3 324.896 4.275 20 0 DIADHN CC(C)[C@@H](CC1CCCCC1)C(=O)N(C)CCN1CCCCC1 ZINC001557301472 1058730592 /nfs/dbraw/zinc/73/05/92/1058730592.db2.gz VPRYIZHQUHZUOT-LJQANCHMSA-N 0 3 322.537 4.173 20 0 DIADHN CSc1cc(NC(=O)[C@H]2CCCN2C2CCCC2)ccc1C ZINC001557326113 1058733992 /nfs/dbraw/zinc/73/39/92/1058733992.db2.gz VICHQMWYPCQABY-MRXNPFEDSA-N 0 3 318.486 4.062 20 0 DIADHN CC(C)[C@@H](C(=O)NC[C@]1(C)CC[C@H](C)C1(C)C)N1CCCCC1 ZINC001557414655 1058748551 /nfs/dbraw/zinc/74/85/51/1058748551.db2.gz NXOMWBGZJRTQEJ-ZWOKBUDYSA-N 0 3 322.537 4.076 20 0 DIADHN CC(C)CCCCCC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001529632808 1058778087 /nfs/dbraw/zinc/77/80/87/1058778087.db2.gz OZXDRNMWBMNAQU-FXAWDEMLSA-N 0 3 318.505 4.401 20 0 DIADHN CCC[C@@](C)(NC(=O)[C@H](C)N1CCCCCC1)c1ccccc1 ZINC001558780708 1058911880 /nfs/dbraw/zinc/91/18/80/1058911880.db2.gz VLERIVMVZRMEEH-FXAWDEMLSA-N 0 3 316.489 4.083 20 0 DIADHN CN(C)[C@@H]1Cc2ccccc2N(C(=O)CCCCC(C)(C)C)C1 ZINC001530060706 1058923601 /nfs/dbraw/zinc/92/36/01/1058923601.db2.gz BJVUSBMVRRZWBV-QGZVFWFLSA-N 0 3 316.489 4.112 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC001530839148 1059161632 /nfs/dbraw/zinc/16/16/32/1059161632.db2.gz IVYNEBSHQOZMON-FUHWJXTLSA-N 0 3 314.473 4.036 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CCc2ccc(N)cc2C1 ZINC001246907362 1059167630 /nfs/dbraw/zinc/16/76/30/1059167630.db2.gz UXTMRZXVRBRICQ-GFCCVEGCSA-N 0 3 318.823 4.051 20 0 DIADHN CCCCN(C(=O)[C@]1(F)CCN(C(C)(C)C)C1)c1ccccc1 ZINC001530858519 1059169077 /nfs/dbraw/zinc/16/90/77/1059169077.db2.gz UHGOWRWVWPQDGD-IBGZPJMESA-N 0 3 320.452 4.032 20 0 DIADHN N[C@H](Cc1ccccc1)c1nc2c(ccc(Cl)c2Cl)[nH]1 ZINC001247014702 1059242619 /nfs/dbraw/zinc/24/26/19/1059242619.db2.gz WUILCTZHSFFGKM-LLVKDONJSA-N 0 3 306.196 4.112 20 0 DIADHN N[C@H](Cc1ccccc1)c1nc2cc(-c3ccccc3)ccc2[nH]1 ZINC001247012714 1059244977 /nfs/dbraw/zinc/24/49/77/1059244977.db2.gz ROKKTYZQYXCWPA-GOSISDBHSA-N 0 3 313.404 4.472 20 0 DIADHN N[C@H](Cc1ccccc1)c1nc2ccc(-c3ccccc3)cc2[nH]1 ZINC001247012714 1059244991 /nfs/dbraw/zinc/24/49/91/1059244991.db2.gz ROKKTYZQYXCWPA-GOSISDBHSA-N 0 3 313.404 4.472 20 0 DIADHN CCCSc1ccc2[nH]c([C@H](N)Cc3ccccc3)nc2c1 ZINC001247021183 1059249761 /nfs/dbraw/zinc/24/97/61/1059249761.db2.gz VDPGRBGZAMDBOC-OAHLLOKOSA-N 0 3 311.454 4.308 20 0 DIADHN CC(C)n1c2ccc(C(F)(F)F)cc2nc1[C@@H]1CCCCN1 ZINC001247035862 1059256442 /nfs/dbraw/zinc/25/64/42/1059256442.db2.gz BQZPTNMFMGQIMJ-LBPRGKRZSA-N 0 3 311.351 4.451 20 0 DIADHN CC1(C)NC[C@@H](c2nc3ccc(F)cc3n2C2CCCCC2)O1 ZINC001247041886 1059259930 /nfs/dbraw/zinc/25/99/30/1059259930.db2.gz QWIQCNCYNWJCQQ-INIZCTEOSA-N 0 3 317.408 4.078 20 0 DIADHN C[C@H](c1ccc(CN(C)C[C@H]2CCCCO2)cc1)C(F)(F)F ZINC001559495616 1059272760 /nfs/dbraw/zinc/27/27/60/1059272760.db2.gz MOYMRLQOZIOVGV-CZUORRHYSA-N 0 3 315.379 4.353 20 0 DIADHN CCn1ncc(CN(CC2CCCCC2)CC2CCCCC2)n1 ZINC001559497487 1059279940 /nfs/dbraw/zinc/27/99/40/1059279940.db2.gz ZQBFFUZSHKFCBD-UHFFFAOYSA-N 0 3 318.509 4.261 20 0 DIADHN Fc1ccc(OCCN2CC[C@H](c3ccccc3)C2)c(Cl)c1 ZINC001559502948 1059289430 /nfs/dbraw/zinc/28/94/30/1059289430.db2.gz SDQBLPRXNXPOFJ-HNNXBMFYSA-N 0 3 319.807 4.347 20 0 DIADHN CC(C)CCCCC(=O)N1c2ccccc2CC[C@@H]1CN(C)C ZINC001523573810 1059296703 /nfs/dbraw/zinc/29/67/03/1059296703.db2.gz JWCOTADBIFDFJV-GOSISDBHSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1cc(-c2noc(CN3CCC[C@@H]3CC(C)C)n2)ccc1F ZINC001559519898 1059314781 /nfs/dbraw/zinc/31/47/81/1059314781.db2.gz RTBHQVVKUDFTET-OAHLLOKOSA-N 0 3 317.408 4.195 20 0 DIADHN CN(Cc1cc(Br)ccc1F)CC1(C)CCC1 ZINC001559519322 1059315914 /nfs/dbraw/zinc/31/59/14/1059315914.db2.gz ZFMMXSQLABJARW-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1c(C)cc(C)nc1C ZINC001559522267 1059323359 /nfs/dbraw/zinc/32/33/59/1059323359.db2.gz BFVQVINFUXSCLP-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN CCSc1ccccc1CN(C)CCN(C)c1ccccc1 ZINC001559529570 1059328041 /nfs/dbraw/zinc/32/80/41/1059328041.db2.gz SERSQFSJZDXNDW-UHFFFAOYSA-N 0 3 314.498 4.367 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1ccn(-c2cccc(F)c2)n1)C1CC1 ZINC001559530848 1059328354 /nfs/dbraw/zinc/32/83/54/1059328354.db2.gz FOZWLPFAWCAOHO-LSDHHAIUSA-N 0 3 315.436 4.410 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@@](C)(c4ccccc4)C3)cn21 ZINC001559534190 1059334298 /nfs/dbraw/zinc/33/42/98/1059334298.db2.gz HEDYSLLMFWZNRY-OAQYLSRUSA-N 0 3 319.452 4.196 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](c2ccccc2)C(C)C)s1 ZINC001559534984 1059335773 /nfs/dbraw/zinc/33/57/73/1059335773.db2.gz BORMHUIDMINUDE-QGZVFWFLSA-N 0 3 317.454 4.364 20 0 DIADHN CN(CCC1=CCCCC1)[C@H]1CCCN(c2ccccc2)C1=O ZINC001559537885 1059337834 /nfs/dbraw/zinc/33/78/34/1059337834.db2.gz IZJTZGSVSQGCMY-IBGZPJMESA-N 0 3 312.457 4.004 20 0 DIADHN C[C@@H]1SCCN(CCOCC2CCCC2)[C@H]1c1ccccc1 ZINC001559542777 1059343461 /nfs/dbraw/zinc/34/34/61/1059343461.db2.gz GCQCZAVXRUKQGT-QFBILLFUSA-N 0 3 319.514 4.372 20 0 DIADHN C[C@H]1CCC[C@H](C)N(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001559547488 1059352790 /nfs/dbraw/zinc/35/27/90/1059352790.db2.gz XCCPXKGODVGKDV-HOTGVXAUSA-N 0 3 316.489 4.433 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ncccc1Cl ZINC001559547750 1059356284 /nfs/dbraw/zinc/35/62/84/1059356284.db2.gz CSOOCEVDIHWJFS-GXTWGEPZSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1cc(Cl)cc(-c2noc(C[C@@H](N)c3cccs3)n2)c1 ZINC001247260149 1059364421 /nfs/dbraw/zinc/36/44/21/1059364421.db2.gz ZJJQPYDKVGFIGR-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001559561924 1059379164 /nfs/dbraw/zinc/37/91/64/1059379164.db2.gz QRZVNTZJCKNFPD-KRWDZBQOSA-N 0 3 303.837 4.146 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)nc1 ZINC001559563857 1059387237 /nfs/dbraw/zinc/38/72/37/1059387237.db2.gz DUJQOKNWTYZYCS-OXJNMPFZSA-N 0 3 310.441 4.106 20 0 DIADHN CCC[C@]1(C)CCCN([C@@H]2CCCN(c3ccccc3)C2=O)C1 ZINC001559568443 1059398382 /nfs/dbraw/zinc/39/83/82/1059398382.db2.gz XDANMUJPBPDTKK-UYAOXDASSA-N 0 3 314.473 4.084 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C34CCC(CC3)CC4)n2)cc1 ZINC001247359449 1059398677 /nfs/dbraw/zinc/39/86/77/1059398677.db2.gz LVKYZUZWJHEWAA-UHFFFAOYSA-N 0 3 311.429 4.020 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCC(C3CCCC3)CC2)o1 ZINC001559576876 1059408465 /nfs/dbraw/zinc/40/84/65/1059408465.db2.gz OGOGVMFOULULKQ-CYBMUJFWSA-N 0 3 305.418 4.029 20 0 DIADHN COC(=O)c1ccc(CN2CCCC3(C2)CCCCCC3)s1 ZINC001559576717 1059409257 /nfs/dbraw/zinc/40/92/57/1059409257.db2.gz JUYOKQMPFNIRET-UHFFFAOYSA-N 0 3 321.486 4.471 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1cncc(Cl)c1 ZINC001559590164 1059424610 /nfs/dbraw/zinc/42/46/10/1059424610.db2.gz JCUFWOBEAATCLA-YOEHRIQHSA-N 0 3 300.833 4.188 20 0 DIADHN CC(C)[C@@H]1c2ccccc2CCN1Cc1cc(CO)ccc1F ZINC001559596459 1059432357 /nfs/dbraw/zinc/43/23/57/1059432357.db2.gz OFFFVGKQUVANJR-HXUWFJFHSA-N 0 3 313.416 4.073 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCOCC(C)C)CC1 ZINC001559614450 1059444870 /nfs/dbraw/zinc/44/48/70/1059444870.db2.gz PNCXXQHSPWHPMX-UHFFFAOYSA-N 0 3 312.457 4.238 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCOC1CCCCC1)CCC2 ZINC001559622889 1059450172 /nfs/dbraw/zinc/45/01/72/1059450172.db2.gz ZRWWDBZCLHFVIS-INIZCTEOSA-N 0 3 308.491 4.110 20 0 DIADHN COC(=O)c1cc(CN2CCCC[C@H]2CCC(C)C)cs1 ZINC001559627263 1059455333 /nfs/dbraw/zinc/45/53/33/1059455333.db2.gz RWFBRVDYPXEINN-HNNXBMFYSA-N 0 3 309.475 4.325 20 0 DIADHN Clc1ccc(N2CCN(CCCc3ccsc3)CC2)cc1 ZINC001559630358 1059457712 /nfs/dbraw/zinc/45/77/12/1059457712.db2.gz NLPVSJIZEHMIRX-UHFFFAOYSA-N 0 3 320.889 4.156 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(F)ccc2OC)no1 ZINC001247417544 1059467179 /nfs/dbraw/zinc/46/71/79/1059467179.db2.gz MGGVROWCXCMNDE-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccnc3ccccc32)no1 ZINC001247417856 1059471753 /nfs/dbraw/zinc/47/17/53/1059471753.db2.gz RBXFVXGPSNCEPL-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(CC(C)C)cc1 ZINC001559648630 1059474705 /nfs/dbraw/zinc/47/47/05/1059474705.db2.gz MEBIMWPLNNCSLK-HXUWFJFHSA-N 0 3 311.473 4.264 20 0 DIADHN CC(C)C[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559649296 1059476888 /nfs/dbraw/zinc/47/68/88/1059476888.db2.gz CPMGCCDVYQHRGS-CABCVRRESA-N 0 3 322.880 4.425 20 0 DIADHN CCC(C)(C)c1ccc(CN[C@@]2(CO)CCc3ccccc32)cc1 ZINC001559660249 1059490314 /nfs/dbraw/zinc/49/03/14/1059490314.db2.gz OIUCGTACBSUZNL-JOCHJYFZSA-N 0 3 323.480 4.298 20 0 DIADHN C[C@H]1CCN(C[C@H](O)c2ccc(F)cc2Cl)CC12CCC2 ZINC001559682217 1059509537 /nfs/dbraw/zinc/50/95/37/1059509537.db2.gz BVHVFCZXTPVRHW-LRDDRELGSA-N 0 3 311.828 4.025 20 0 DIADHN CCC[C@@H](NC[C@H](O)CC1CCCC1)c1ccc(F)cc1F ZINC001559681865 1059510444 /nfs/dbraw/zinc/51/04/44/1059510444.db2.gz SGBSOCXZHLHSAE-CRAIPNDOSA-N 0 3 311.416 4.337 20 0 DIADHN CC(C)[C@@H](NC[C@@H]1CCCCC1(C)C)c1nc(C2CC2)no1 ZINC001559683769 1059513937 /nfs/dbraw/zinc/51/39/37/1059513937.db2.gz PPRMHDYACWICKQ-LSDHHAIUSA-N 0 3 305.466 4.450 20 0 DIADHN CC(C)[C@H](NC[C@H]1CCCCC1(C)C)c1nc(C2CC2)no1 ZINC001559683766 1059514271 /nfs/dbraw/zinc/51/42/71/1059514271.db2.gz PPRMHDYACWICKQ-CABCVRRESA-N 0 3 305.466 4.450 20 0 DIADHN C[C@@H]1[C@H](C)N(CCC2=CCCCC2)CCN1C(=O)OC(C)(C)C ZINC001559690798 1059521018 /nfs/dbraw/zinc/52/10/18/1059521018.db2.gz VMBXLDIXYHFFNY-JKSUJKDBSA-N 0 3 322.493 4.207 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCCN(c3ccccc3)C2=O)cc1 ZINC001559694504 1059523167 /nfs/dbraw/zinc/52/31/67/1059523167.db2.gz WXDVQWVZMDMDAW-UZLBHIALSA-N 0 3 322.452 4.095 20 0 DIADHN C=C/C=C/CCN[C@@H](C)c1ccc(OC)cc1Br ZINC001559708754 1059535344 /nfs/dbraw/zinc/53/53/44/1059535344.db2.gz BJJUDJQPYNYFEN-FYJFLYSWSA-N 0 3 310.235 4.241 20 0 DIADHN CN(CCc1c(F)cccc1F)Cc1ccc(Cl)c(F)c1 ZINC001559719243 1059546199 /nfs/dbraw/zinc/54/61/99/1059546199.db2.gz ZVXHYMDHQMJKLT-UHFFFAOYSA-N 0 3 313.750 4.432 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N(C)CCCc1ccccc1 ZINC001559721758 1059550749 /nfs/dbraw/zinc/55/07/49/1059550749.db2.gz YUIGOIKUDUJAEZ-HXUWFJFHSA-N 0 3 324.468 4.277 20 0 DIADHN CN(CCCc1ccccc1)Cc1cccc2c1OC(F)(F)O2 ZINC001559721219 1059551947 /nfs/dbraw/zinc/55/19/47/1059551947.db2.gz HCKGGPMLZRUJGG-UHFFFAOYSA-N 0 3 319.351 4.073 20 0 DIADHN COC/C(C)=C/CN[C@@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001559723782 1059555366 /nfs/dbraw/zinc/55/53/66/1059555366.db2.gz IRIYTJZKRHWINX-NRUITVPNSA-N 0 3 301.352 4.171 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1ncccc1Br ZINC001559725167 1059556666 /nfs/dbraw/zinc/55/66/66/1059556666.db2.gz PKFHCXSMLIXERI-JSGCOSHPSA-N 0 3 311.267 4.293 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)OC(F)(F)O3)s1 ZINC001559724007 1059556827 /nfs/dbraw/zinc/55/68/27/1059556827.db2.gz MOLFQASRDJABRL-SNVBAGLBSA-N 0 3 311.353 4.229 20 0 DIADHN COCC[C@H](NC[C@H]1CCC2(CCCCCC2)O1)c1ccco1 ZINC001559736546 1059571453 /nfs/dbraw/zinc/57/14/53/1059571453.db2.gz NXISNYJUQQZFQY-SJORKVTESA-N 0 3 321.461 4.219 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(F)c2cccnc12 ZINC001559740980 1059575385 /nfs/dbraw/zinc/57/53/85/1059575385.db2.gz GVUWAPZZNRMZRM-CYBMUJFWSA-N 0 3 309.388 4.182 20 0 DIADHN CCC1(CC)CCCN([C@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC001559753792 1059588175 /nfs/dbraw/zinc/58/81/75/1059588175.db2.gz UDRLSTQJTFJPMZ-OAHLLOKOSA-N 0 3 320.452 4.445 20 0 DIADHN C[C@@H](O)[C@@H]1C[C@H](C)CCN1CCc1cc(Cl)cc(Cl)c1 ZINC001559757664 1059589954 /nfs/dbraw/zinc/58/99/54/1059589954.db2.gz JAQCKCVICSIJOR-HSMVNMDESA-N 0 3 316.272 4.017 20 0 DIADHN Cc1conc1CN[C@H]1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC001559758780 1059592888 /nfs/dbraw/zinc/59/28/88/1059592888.db2.gz IXWBAKOKCWPKIJ-HIFRSBDPSA-N 0 3 324.346 4.428 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@@H]1C ZINC001559769615 1059606208 /nfs/dbraw/zinc/60/62/08/1059606208.db2.gz PWCVXIHZFSJANC-SJORKVTESA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@H]1C ZINC001559769614 1059607017 /nfs/dbraw/zinc/60/70/17/1059607017.db2.gz PWCVXIHZFSJANC-IRXDYDNUSA-N 0 3 322.452 4.413 20 0 DIADHN CCN(Cc1csc(C(=O)OC)c1)[C@H](C)Cc1ccsc1 ZINC001559778428 1059615026 /nfs/dbraw/zinc/61/50/26/1059615026.db2.gz QYOXADJPJZEZLM-GFCCVEGCSA-N 0 3 323.483 4.049 20 0 DIADHN CCN(Cc1csc(C(=O)OC)c1)[C@@H](C)Cc1ccsc1 ZINC001559778429 1059615115 /nfs/dbraw/zinc/61/51/15/1059615115.db2.gz QYOXADJPJZEZLM-LBPRGKRZSA-N 0 3 323.483 4.049 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H](C)C2CCCCC2)c(C)c1 ZINC001559787997 1059620845 /nfs/dbraw/zinc/62/08/45/1059620845.db2.gz RPLGTTWUGUPGIL-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccccc1[C@@]1(F)CCN(Cc2ccccc2N(C)C)C1 ZINC001559787243 1059620957 /nfs/dbraw/zinc/62/09/57/1059620957.db2.gz SSIXYSVLBWJSIB-HXUWFJFHSA-N 0 3 312.432 4.132 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCc2ccns2)CC1 ZINC001559792218 1059628587 /nfs/dbraw/zinc/62/85/87/1059628587.db2.gz AZRUXJGEEWSRHA-UHFFFAOYSA-N 0 3 324.852 4.300 20 0 DIADHN COc1cncc(/C=C\CCN(C)Cc2cc(C)ccc2F)c1 ZINC001559795922 1059629384 /nfs/dbraw/zinc/62/93/84/1059629384.db2.gz UEGRSNJXUBVWMR-XQRVVYSFSA-N 0 3 314.404 4.073 20 0 DIADHN CCC(CC)CN1CCCC[C@@H]1C(=O)OCc1ccccc1 ZINC001559804197 1059640645 /nfs/dbraw/zinc/64/06/45/1059640645.db2.gz DBQHIURYJXCZET-GOSISDBHSA-N 0 3 303.446 4.021 20 0 DIADHN CCO[C@H]1C[C@H](NCc2c(F)cccc2F)C12CCCCC2 ZINC001559810921 1059646935 /nfs/dbraw/zinc/64/69/35/1059646935.db2.gz AOBDQMYSHRCHKS-IRXDYDNUSA-N 0 3 309.400 4.182 20 0 DIADHN CCC1(CC)[C@@H](NCc2cc(OC)ccc2Cl)C[C@@H]1OC ZINC001559812228 1059650423 /nfs/dbraw/zinc/65/04/23/1059650423.db2.gz WYQDUQPUXAMZHY-HOTGVXAUSA-N 0 3 311.853 4.032 20 0 DIADHN C/C(=C/C1CCC(C)CC1)C(=O)N(CCN(C)C)C1CCCC1 ZINC001524845523 1059653750 /nfs/dbraw/zinc/65/37/50/1059653750.db2.gz UBPCYNSAYHJRHV-ICFOKQHNSA-N 0 3 320.521 4.092 20 0 DIADHN C/C(=C\C1CCC(C)CC1)C(=O)N(CCN(C)C)C1CCCC1 ZINC001524845521 1059654402 /nfs/dbraw/zinc/65/44/02/1059654402.db2.gz UBPCYNSAYHJRHV-BMRADRMJSA-N 0 3 320.521 4.092 20 0 DIADHN CC(C)[C@H](NCc1ccc(F)cc1Cl)[C@H](O)c1ccccc1 ZINC001559822495 1059655397 /nfs/dbraw/zinc/65/53/97/1059655397.db2.gz GKVLRAVNXUVOKX-ZWKOTPCHSA-N 0 3 321.823 4.327 20 0 DIADHN CC(C)(C)CNC(=S)Nc1ccccc1CN1CCCCC1 ZINC001247973498 1059659718 /nfs/dbraw/zinc/65/97/18/1059659718.db2.gz KXSKESKIJFWQCE-UHFFFAOYSA-N 0 3 319.518 4.005 20 0 DIADHN c1ccc(COCCN2Cc3ccccc3C3(CCC3)C2)cc1 ZINC001559827358 1059662455 /nfs/dbraw/zinc/66/24/55/1059662455.db2.gz LITYQQWNQNCICH-UHFFFAOYSA-N 0 3 307.437 4.141 20 0 DIADHN Cn1nccc1C1=CCN(Cc2cccc(C(C)(C)C)c2)CC1 ZINC001559831552 1059665125 /nfs/dbraw/zinc/66/51/25/1059665125.db2.gz WIAUYUBAEDQQAM-UHFFFAOYSA-N 0 3 309.457 4.007 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@@H]1[C@@H]1CCCO1 ZINC001559833933 1059665420 /nfs/dbraw/zinc/66/54/20/1059665420.db2.gz PZEGSWIDMSVWLR-CVEARBPZSA-N 0 3 314.256 4.179 20 0 DIADHN Cc1ccc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)c(C)c1 ZINC001559856645 1059679179 /nfs/dbraw/zinc/67/91/79/1059679179.db2.gz FJNXCHKMQPQRON-SFHVURJKSA-N 0 3 303.833 4.122 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1ncccn1 ZINC001559862156 1059682694 /nfs/dbraw/zinc/68/26/94/1059682694.db2.gz HNUTXBIAWQXYOO-KSSFIOAISA-N 0 3 317.864 4.438 20 0 DIADHN C[C@H](CN1[C@H](C)CN(C(=O)C(C)(C)C)C[C@H]1C)CC(C)(C)C ZINC001559861561 1059682930 /nfs/dbraw/zinc/68/29/30/1059682930.db2.gz MTVMJUDBBJKWFE-ARFHVFGLSA-N 0 3 310.526 4.026 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CN[C@@H](C)c1ccccc1Cl ZINC001559862881 1059683294 /nfs/dbraw/zinc/68/32/94/1059683294.db2.gz FVNIXFGWUNVNSD-QWQRMKEZSA-N 0 3 303.833 4.155 20 0 DIADHN Cc1ccc(NC(=O)CN2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)cc1 ZINC001559868446 1059689065 /nfs/dbraw/zinc/68/90/65/1059689065.db2.gz RHZCXLZQFCYZAG-HLIPFELVSA-N 0 3 322.452 4.200 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3cncc(Cl)c3)C2)cc1 ZINC001559871327 1059692209 /nfs/dbraw/zinc/69/22/09/1059692209.db2.gz UAZCZESHYHGQPO-QGZVFWFLSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@H]1CN(c2cccc(F)c2)CCN1CCCc1ccsc1 ZINC001559875289 1059697331 /nfs/dbraw/zinc/69/73/31/1059697331.db2.gz QYBJASSDYRYBLY-HNNXBMFYSA-N 0 3 318.461 4.031 20 0 DIADHN CCC[C@H](C)CCCN1CCc2c(cccc2C(=O)OC)C1 ZINC001559888616 1059708007 /nfs/dbraw/zinc/70/80/07/1059708007.db2.gz QBWPACSEYOXWOB-HNNXBMFYSA-N 0 3 303.446 4.048 20 0 DIADHN Cc1ccc(CN2CCC(C3CC3)CC2)c(Br)c1 ZINC001559887361 1059708144 /nfs/dbraw/zinc/70/81/44/1059708144.db2.gz JLAIJTSNNKZFHV-UHFFFAOYSA-N 0 3 308.263 4.380 20 0 DIADHN CN(CC(=O)N[C@H](c1cccs1)C(C)(C)C)C1CC(C)(C)C1 ZINC001559897659 1059714888 /nfs/dbraw/zinc/71/48/88/1059714888.db2.gz UVAKKPPCYVOKNE-MRXNPFEDSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1ccc(CN2CCN(Cc3ccccc3)[C@H](C)C2)c(C)c1 ZINC001559907660 1059723917 /nfs/dbraw/zinc/72/39/17/1059723917.db2.gz JOEGKDQQWDTRMJ-LJQANCHMSA-N 0 3 308.469 4.010 20 0 DIADHN C[C@H](c1ccccc1F)N1C[C@H](C)C[C@H](C(=O)OC(C)(C)C)C1 ZINC001559918126 1059731015 /nfs/dbraw/zinc/73/10/15/1059731015.db2.gz BOLHJPCVHFSRPA-KFWWJZLASA-N 0 3 321.436 4.186 20 0 DIADHN Cc1csc(CN2CCC[C@@]2(C)Cc2ccc(F)cc2)n1 ZINC001559922314 1059734807 /nfs/dbraw/zinc/73/48/07/1059734807.db2.gz DTDYBJLMKRPLEY-KRWDZBQOSA-N 0 3 304.434 4.188 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2coc(-c3ccc(F)c(F)c3)n2)C[C@H]1C ZINC001559922222 1059736760 /nfs/dbraw/zinc/73/67/60/1059736760.db2.gz BRNSAMIOEZPQCN-IJLUTSLNSA-N 0 3 306.356 4.096 20 0 DIADHN C[C@@]1(Cc2cccc(F)c2)CCCN1CCSC(F)(F)F ZINC001559934542 1059747373 /nfs/dbraw/zinc/74/73/73/1059747373.db2.gz NQXQVOISIDMYNY-AWEZNQCLSA-N 0 3 321.383 4.476 20 0 DIADHN Cn1c2ccccc2nc1CN1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC001559935780 1059748721 /nfs/dbraw/zinc/74/87/21/1059748721.db2.gz TUBPNRQXXYIVJP-JKSUJKDBSA-N 0 3 311.473 4.222 20 0 DIADHN CCc1ccc(CN(Cc2cc(OC)ccn2)CC(C)C)cc1 ZINC001559935238 1059749274 /nfs/dbraw/zinc/74/92/74/1059749274.db2.gz DFBYTIIZDCDSNS-UHFFFAOYSA-N 0 3 312.457 4.311 20 0 DIADHN COc1ccccc1C1(NCCCOc2ccccc2C)CC1 ZINC001559934852 1059750619 /nfs/dbraw/zinc/75/06/19/1059750619.db2.gz RPJDLDMKUCATDI-UHFFFAOYSA-N 0 3 311.425 4.051 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@@H](C)[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559940928 1059755945 /nfs/dbraw/zinc/75/59/45/1059755945.db2.gz GDBYMDASDNVCQN-FMKPAKJESA-N 0 3 321.436 4.186 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccc(F)cc1)c1ccccc1F ZINC001559952036 1059762117 /nfs/dbraw/zinc/76/21/17/1059762117.db2.gz XSBRANHVIOIODA-QGZVFWFLSA-N 0 3 318.367 4.034 20 0 DIADHN Clc1ccccc1C1(NC[C@H]2CC3(CCC3)CO2)CCC1 ZINC001559963071 1059768746 /nfs/dbraw/zinc/76/87/46/1059768746.db2.gz HUVNBLKWAKRQFT-CQSZACIVSA-N 0 3 305.849 4.268 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2OC(F)F)CCc2ccccc21 ZINC001559968971 1059775821 /nfs/dbraw/zinc/77/58/21/1059775821.db2.gz QLYXPBGBSBNTRI-CQSZACIVSA-N 0 3 317.379 4.450 20 0 DIADHN c1ccc(-c2ccc(-c3noc([C@H]4N[C@H]5CC[C@@H]4C5)n3)cc2)cc1 ZINC001248359505 1059794540 /nfs/dbraw/zinc/79/45/40/1059794540.db2.gz IUMFTBCJPGLAIA-SQNIBIBYSA-N 0 3 317.392 4.217 20 0 DIADHN Cc1cc(CN(C2CC2)C2CC2)nc(-c2ccc(Cl)cc2)n1 ZINC001560008925 1059819710 /nfs/dbraw/zinc/81/97/10/1059819710.db2.gz KOVGCKGHXQDFGT-UHFFFAOYSA-N 0 3 313.832 4.232 20 0 DIADHN Clc1cc(CN2CCC3(CCCC3)CC2)c2nccn2c1 ZINC001248435135 1059829604 /nfs/dbraw/zinc/82/96/04/1059829604.db2.gz MURMQLUYIQSKKN-UHFFFAOYSA-N 0 3 303.837 4.144 20 0 DIADHN Clc1ccc2nc(Cl)c(CN3CC[C@@H]4C[C@@H]4C3)cc2c1 ZINC001560019312 1059829809 /nfs/dbraw/zinc/82/98/09/1059829809.db2.gz XKDCQYCBKXJUHV-ZYHUDNBSSA-N 0 3 307.224 4.383 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)[C@@H](C)CCc1ccccc1 ZINC001560028413 1059842418 /nfs/dbraw/zinc/84/24/18/1059842418.db2.gz PFBKBKPLSYMEMP-KRWDZBQOSA-N 0 3 312.457 4.160 20 0 DIADHN CN(Cc1cc(Cl)cn2ccnc12)[C@@H]1CCc2ccccc21 ZINC001248459568 1059842836 /nfs/dbraw/zinc/84/28/36/1059842836.db2.gz ZNDYZJQQZDVTNX-QGZVFWFLSA-N 0 3 311.816 4.107 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001560030196 1059844613 /nfs/dbraw/zinc/84/46/13/1059844613.db2.gz PRGGDBPSFMUBHZ-QRVBRYPASA-N 0 3 321.411 4.309 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1Cc2c[nH]nc2CC(C)(C)C1 ZINC001560035108 1059848368 /nfs/dbraw/zinc/84/83/68/1059848368.db2.gz MOFCQYMTQZTBAF-QGZVFWFLSA-N 0 3 301.409 4.084 20 0 DIADHN Cc1cccc(C[C@@]2(C)CCCN2Cc2cc3n(n2)CCCC3)c1 ZINC001560039965 1059850117 /nfs/dbraw/zinc/85/01/17/1059850117.db2.gz CYAWVTDUFHFSHX-OAQYLSRUSA-N 0 3 323.484 4.125 20 0 DIADHN CC(C)c1ccccc1CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001560036559 1059850275 /nfs/dbraw/zinc/85/02/75/1059850275.db2.gz HCFOUXFICSTEOA-SFTDATJTSA-N 0 3 320.480 4.269 20 0 DIADHN CCCN(CCOC)Cc1cccc(-c2ccc(Cl)cc2)n1 ZINC001248504701 1059856958 /nfs/dbraw/zinc/85/69/58/1059856958.db2.gz OIZCRXAOSSEZDY-UHFFFAOYSA-N 0 3 318.848 4.260 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@@H]3CCCC[C@H]3C2)c1C ZINC001248532579 1059868682 /nfs/dbraw/zinc/86/86/82/1059868682.db2.gz BWWSMHSBASLWLQ-BZSNNMDCSA-N 0 3 314.473 4.143 20 0 DIADHN COc1c(C)cccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001248533321 1059870692 /nfs/dbraw/zinc/87/06/92/1059870692.db2.gz CPFNKVMJMILCIH-KRWDZBQOSA-N 0 3 312.413 4.132 20 0 DIADHN O[C@H](c1ccccc1)[C@@H]1CCCN1Cc1ccc(Cl)c(F)c1 ZINC001560053575 1059870439 /nfs/dbraw/zinc/87/04/39/1059870439.db2.gz GXMYBRXUDOWMDS-ZWKOTPCHSA-N 0 3 319.807 4.177 20 0 DIADHN Fc1ccc2occc2c1CN1CCC[C@@H](c2ccccn2)C1 ZINC001248539720 1059875467 /nfs/dbraw/zinc/87/54/67/1059875467.db2.gz VACKXFHMKTYDJW-CQSZACIVSA-N 0 3 310.372 4.347 20 0 DIADHN CCC[C@@H](C)CCCN1Cc2cccnc2N2CCC[C@@H]2C1 ZINC001560083467 1059891827 /nfs/dbraw/zinc/89/18/27/1059891827.db2.gz QVFQBLWVCMLJAD-SJLPKXTDSA-N 0 3 301.478 4.082 20 0 DIADHN CCc1nocc1CN1CCC[C@@]1(C)Cc1ccc(F)c(F)c1 ZINC001560081949 1059893971 /nfs/dbraw/zinc/89/39/71/1059893971.db2.gz QTPDWXKRGQPAAA-SFHVURJKSA-N 0 3 320.383 4.112 20 0 DIADHN FC[C@@H]1CCN([C@H]2CCCc3ccc(Br)cc32)C1 ZINC001560103775 1059910967 /nfs/dbraw/zinc/91/09/67/1059910967.db2.gz YYMNLGFNHLHQRP-NHYWBVRUSA-N 0 3 312.226 4.118 20 0 DIADHN Cc1cc(CN2CC[C@H](c3cccc(Cl)c3)C2(C)C)on1 ZINC001560117873 1059918864 /nfs/dbraw/zinc/91/88/64/1059918864.db2.gz JEYPNKROOXSJIE-MRXNPFEDSA-N 0 3 304.821 4.405 20 0 DIADHN C=C/C=C\CCN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001560121204 1059926344 /nfs/dbraw/zinc/92/63/44/1059926344.db2.gz RQWLTVLTLOEACB-SFFCAUCYSA-N 0 3 302.437 4.027 20 0 DIADHN CSCCN(C)Cc1cc(OC(C)C)cc(OC(C)C)c1 ZINC001248660132 1059929851 /nfs/dbraw/zinc/92/98/51/1059929851.db2.gz LOQKNQWKZSIGEX-UHFFFAOYSA-N 0 3 311.491 4.056 20 0 DIADHN C[C@H](CN(C)CC(=O)Nc1cccc2ccccc21)C(C)(C)C ZINC001560126481 1059930372 /nfs/dbraw/zinc/93/03/72/1059930372.db2.gz ADBORJPWCQZCIN-OAHLLOKOSA-N 0 3 312.457 4.392 20 0 DIADHN CN(C)c1cccc(CN2CC[C@H](c3c(F)cccc3F)C2)c1 ZINC001560131199 1059933574 /nfs/dbraw/zinc/93/35/74/1059933574.db2.gz XMWDWKYCCGRLEZ-HNNXBMFYSA-N 0 3 316.395 4.020 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CSC[C@@H]2C1 ZINC001560139172 1059933903 /nfs/dbraw/zinc/93/39/03/1059933903.db2.gz XMGCOSLKBVAJNB-MXWKQRLJSA-N 0 3 302.270 4.349 20 0 DIADHN CSc1ccccc1CN1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC001560138504 1059941661 /nfs/dbraw/zinc/94/16/61/1059941661.db2.gz RLQMGMSZNZCWPB-CYBMUJFWSA-N 0 3 319.367 4.275 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc3onc(C)c3c2)cc1 ZINC001248702784 1059943316 /nfs/dbraw/zinc/94/33/16/1059943316.db2.gz PHTZDYJNJSPQOY-IBGZPJMESA-N 0 3 322.408 4.482 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001560153775 1059947324 /nfs/dbraw/zinc/94/73/24/1059947324.db2.gz QJLXYMNCUWNDJC-SCLBCKFNSA-N 0 3 319.399 4.029 20 0 DIADHN Cc1nc(C2CCN(Cc3cc(Cl)ccc3F)CC2)[nH]c1C ZINC001560149505 1059950856 /nfs/dbraw/zinc/95/08/56/1059950856.db2.gz MGCQUSFUZMANIP-UHFFFAOYSA-N 0 3 321.827 4.199 20 0 DIADHN O[C@@H](CN1CCCC12CCC2)c1ccc(Cl)c(Cl)c1 ZINC001560163906 1059952231 /nfs/dbraw/zinc/95/22/31/1059952231.db2.gz GEIXXFBUPDBNLS-AWEZNQCLSA-N 0 3 300.229 4.045 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2[C@@H](C)c2ccccc2)cc1 ZINC001560163227 1059956883 /nfs/dbraw/zinc/95/68/83/1059956883.db2.gz LIDHVKUNKRCJHH-PXNSSMCTSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1csc(C[C@@H]2CCN([C@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001560170969 1059960093 /nfs/dbraw/zinc/96/00/93/1059960093.db2.gz NTCJGQDELJLUHZ-OLZOCXBDSA-N 0 3 322.424 4.355 20 0 DIADHN Cc1ccc(CCN2[C@H](C)CO[C@H](c3ccccc3)[C@@H]2C)cc1 ZINC001560178632 1059965327 /nfs/dbraw/zinc/96/53/27/1059965327.db2.gz ARKAYNBEPVREBA-LQWHRVPQSA-N 0 3 309.453 4.388 20 0 DIADHN Cc1cc([C@@H]2CCCCN2CCSc2ccccc2)n[nH]1 ZINC001560174203 1059965872 /nfs/dbraw/zinc/96/58/72/1059965872.db2.gz WVONSFWKLNPHSJ-KRWDZBQOSA-N 0 3 301.459 4.037 20 0 DIADHN C[C@H](Cc1cccnc1)NCc1cc(Cl)sc1Cl ZINC001560215171 1059992151 /nfs/dbraw/zinc/99/21/51/1059992151.db2.gz FJGWZCNOIDFLDG-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(-c3ccn(C)n3)cc2)cc1 ZINC001248766893 1059973505 /nfs/dbraw/zinc/97/35/05/1059973505.db2.gz LDDIUCMDGDPNLA-UHFFFAOYSA-N 0 3 319.452 4.282 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@H](C)[C@H](C)C1 ZINC001248778057 1059975664 /nfs/dbraw/zinc/97/56/64/1059975664.db2.gz KMGHKHMZKGAHHR-NWDGAFQWSA-N 0 3 311.267 4.021 20 0 DIADHN CSCC1CCN(Cc2cnc3ccc(C)cc3c2)CC1 ZINC001560194853 1059977346 /nfs/dbraw/zinc/97/73/46/1059977346.db2.gz WWQLFOHNBVQJKX-UHFFFAOYSA-N 0 3 300.471 4.118 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccccc3C)CC2)cs1 ZINC001248801441 1059982658 /nfs/dbraw/zinc/98/26/58/1059982658.db2.gz QQKHFMHZTLMXMP-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN COc1ccc(CN(C)CCc2ccccc2)c(OC)c1Cl ZINC001248796347 1059983530 /nfs/dbraw/zinc/98/35/30/1059983530.db2.gz QJPNSTCIFNNJET-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2ccc(Cl)cn2)cc1 ZINC001560216764 1059993587 /nfs/dbraw/zinc/99/35/87/1059993587.db2.gz JNSJQKNAAYJWLG-QGZVFWFLSA-N 0 3 316.832 4.038 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1cnc2ccccc2c1Cl ZINC001248813663 1059994841 /nfs/dbraw/zinc/99/48/41/1059994841.db2.gz HHXRSLUMQANIFX-YIONKMFJSA-N 0 3 316.832 4.030 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccc(F)c2cc[nH]c21 ZINC001248818653 1059996927 /nfs/dbraw/zinc/99/69/27/1059996927.db2.gz WCRCXBPDYBSRRY-UHFFFAOYSA-N 0 3 316.401 4.073 20 0 DIADHN CC[C@H](OCCN1CCO[C@@H](C(C)(C)C)CC1)c1ccccc1 ZINC001560236326 1060004300 /nfs/dbraw/zinc/00/43/00/1060004300.db2.gz KJYXFAUJLWREBF-RBUKOAKNSA-N 0 3 319.489 4.291 20 0 DIADHN CCc1ccc2c(c1)CCN(CCc1nsc3ccccc31)C2 ZINC001560238489 1060004956 /nfs/dbraw/zinc/00/49/56/1060004956.db2.gz PPXZUKPYOONDES-UHFFFAOYSA-N 0 3 322.477 4.460 20 0 DIADHN CC(C)CN1CCc2cccc(NC(=O)OC(C)(C)C)c2C1 ZINC001560241665 1060005281 /nfs/dbraw/zinc/00/52/81/1060005281.db2.gz OAHXBPWRIOXNHX-UHFFFAOYSA-N 0 3 304.434 4.048 20 0 DIADHN Cn1cc(CN2CCC3(CCCC3)CC2)c2c1ccnc2Cl ZINC001248834995 1060006308 /nfs/dbraw/zinc/00/63/08/1060006308.db2.gz PPKSXODCVRCQDD-UHFFFAOYSA-N 0 3 317.864 4.383 20 0 DIADHN Cn1cc(CN2CCC[C@@H]3CCCC[C@@H]32)c2c1ccnc2Cl ZINC001248837917 1060008294 /nfs/dbraw/zinc/00/82/94/1060008294.db2.gz XKRSMSIMUONQPA-ZFWWWQNUSA-N 0 3 317.864 4.381 20 0 DIADHN Cn1cc(CN2CCC[C@H]3CCCC[C@H]32)c2c1ccnc2Cl ZINC001248837916 1060009782 /nfs/dbraw/zinc/00/97/82/1060009782.db2.gz XKRSMSIMUONQPA-UKRRQHHQSA-N 0 3 317.864 4.381 20 0 DIADHN CCCC[C@@H](CC)CNCc1c(F)cncc1Br ZINC001560247516 1060011730 /nfs/dbraw/zinc/01/17/30/1060011730.db2.gz ILEXRKJTGQSLDP-LLVKDONJSA-N 0 3 317.246 4.289 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CCC2(CCC2)CC1 ZINC001248844839 1060012404 /nfs/dbraw/zinc/01/24/04/1060012404.db2.gz PJIBXKJRMHNOJA-UHFFFAOYSA-N 0 3 323.362 4.348 20 0 DIADHN C[C@@H]1CCc2nc(CNCCc3cccc(Cl)c3)sc2C1 ZINC001560249886 1060015767 /nfs/dbraw/zinc/01/57/67/1060015767.db2.gz OKXSNYPBYSRDQN-GFCCVEGCSA-N 0 3 320.889 4.254 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H](CC)c2ccc(OC)cc2)c1 ZINC001560250231 1060016279 /nfs/dbraw/zinc/01/62/79/1060016279.db2.gz HRWFAMJOGYPLNU-IBGZPJMESA-N 0 3 317.404 4.474 20 0 DIADHN CCN(CCCNCc1ccc(F)c(F)c1F)c1ccccc1 ZINC001560252720 1060018460 /nfs/dbraw/zinc/01/84/60/1060018460.db2.gz KHSNQTUBIJFYFT-UHFFFAOYSA-N 0 3 322.374 4.110 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(OC)cc2)C(C)C)s1 ZINC001560252617 1060018567 /nfs/dbraw/zinc/01/85/67/1060018567.db2.gz FDHSMZUZBSJAOV-QGZVFWFLSA-N 0 3 304.459 4.201 20 0 DIADHN C[C@H](OCCC[NH2+]Cc1cc([O-])cc(Cl)c1)c1ccccc1 ZINC001560253107 1060022060 /nfs/dbraw/zinc/02/20/60/1060022060.db2.gz HFXVJNHHJHRUAT-AWEZNQCLSA-N 0 3 319.832 4.303 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H]4CCC[C@H]4C3)co2)cc1 ZINC001248853538 1060022733 /nfs/dbraw/zinc/02/27/33/1060022733.db2.gz JQHVEEUGPVPIHL-HIFRSBDPSA-N 0 3 300.377 4.103 20 0 DIADHN CCOCc1ccccc1CNCc1cc(C)c2ncccc2c1 ZINC001560257157 1060023934 /nfs/dbraw/zinc/02/39/34/1060023934.db2.gz DGSKWOHNVHXKHC-UHFFFAOYSA-N 0 3 320.436 4.370 20 0 DIADHN Fc1ccc2oc(CNCC3(c4ccccc4)CCC3)nc2c1 ZINC001560260292 1060028287 /nfs/dbraw/zinc/02/82/87/1060028287.db2.gz YZMOTUCXCRWLET-UHFFFAOYSA-N 0 3 310.372 4.178 20 0 DIADHN CCc1cnc(CNCC2(c3ccc(F)cc3)CCCC2)s1 ZINC001560269367 1060043082 /nfs/dbraw/zinc/04/30/82/1060043082.db2.gz ZPCNYCRJHZPVFT-UHFFFAOYSA-N 0 3 318.461 4.446 20 0 DIADHN COC(=O)Nc1ccc(CNCc2ccccc2C2CC2)cc1 ZINC001560268495 1060043380 /nfs/dbraw/zinc/04/33/80/1060043380.db2.gz NIUYGQZGLMKOKS-UHFFFAOYSA-N 0 3 310.397 4.032 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC001560272461 1060044947 /nfs/dbraw/zinc/04/49/47/1060044947.db2.gz BGVMUTYAPWSGCH-VSQXVHSFSA-N 0 3 321.342 4.477 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001560274369 1060049764 /nfs/dbraw/zinc/04/97/64/1060049764.db2.gz JMXRCJYPYQTQEL-CIWUYKSXSA-N 0 3 324.468 4.328 20 0 DIADHN CN(CCCNCc1ccc(F)cc1Cl)c1ccccc1F ZINC001560284687 1060062780 /nfs/dbraw/zinc/06/27/80/1060062780.db2.gz HYHXOEFJZCDXSE-UHFFFAOYSA-N 0 3 324.802 4.234 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1cc(Cl)ccc1F ZINC001560286672 1060067704 /nfs/dbraw/zinc/06/77/04/1060067704.db2.gz RYETYZOSBMBIMS-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc(Cl)c2N)cc1 ZINC001560293462 1060073641 /nfs/dbraw/zinc/07/36/41/1060073641.db2.gz HYSAXKPRILRGPW-UHFFFAOYSA-N 0 3 318.848 4.389 20 0 DIADHN CCOc1cccc(CN[C@H](c2ccccc2)[C@H]2CCCO2)c1 ZINC001560294044 1060076407 /nfs/dbraw/zinc/07/64/07/1060076407.db2.gz LBWKRPIULLVSCZ-WOJBJXKFSA-N 0 3 311.425 4.095 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)[C@H]2CCCO2)s1 ZINC001560294381 1060078338 /nfs/dbraw/zinc/07/83/38/1060078338.db2.gz XLBCKVFPJOOKHV-QZTJIDSGSA-N 0 3 301.455 4.320 20 0 DIADHN CCc1cnc(CNCC(C)(C)c2cccc(Cl)c2)s1 ZINC001560297957 1060084267 /nfs/dbraw/zinc/08/42/67/1060084267.db2.gz RYWYXOSEVALHSV-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN Cc1ccnc(CNC[C@@H]2CCCC[C@@H]2C)c1Br ZINC001560301723 1060086799 /nfs/dbraw/zinc/08/67/99/1060086799.db2.gz CPZXEAQKTIDASZ-AAEUAGOBSA-N 0 3 311.267 4.068 20 0 DIADHN CC(C)(CNCc1nccs1)c1cccc(C(F)(F)F)c1 ZINC001560308214 1060094609 /nfs/dbraw/zinc/09/46/09/1060094609.db2.gz FUTNQRONJNWDBT-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN c1ccc(C2CC2)c(CNCc2ccc3c(c2)OCCCO3)c1 ZINC001560307556 1060095912 /nfs/dbraw/zinc/09/59/12/1060095912.db2.gz CZYIZEGMIDMUAQ-UHFFFAOYSA-N 0 3 309.409 4.015 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3)C2)c(Cl)nc1C ZINC001248988274 1060099618 /nfs/dbraw/zinc/09/96/18/1060099618.db2.gz ISFIGJMDTZTMCC-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cc(CN2CCC3(CC(F)(F)C3)CC2)c(Cl)nc1C ZINC001248995020 1060102611 /nfs/dbraw/zinc/10/26/11/1060102611.db2.gz WUISIKNBNPENOI-UHFFFAOYSA-N 0 3 314.807 4.363 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(C(=O)NC3CC3)cc2)c1 ZINC001560316745 1060104000 /nfs/dbraw/zinc/10/40/00/1060104000.db2.gz KEYOGIGQUUPZQO-MRXNPFEDSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1cc(CN2CC[C@H](Cc3ccccc3)C2)c(Cl)nc1C ZINC001249019734 1060111618 /nfs/dbraw/zinc/11/16/18/1060111618.db2.gz ONMYATVZYNDOST-QGZVFWFLSA-N 0 3 314.860 4.416 20 0 DIADHN Cc1cc(CN2CC[C@@H](Cc3ccccc3)C2)c(Cl)nc1C ZINC001249019728 1060112285 /nfs/dbraw/zinc/11/22/85/1060112285.db2.gz ONMYATVZYNDOST-KRWDZBQOSA-N 0 3 314.860 4.416 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(F)cc(Br)cc2F)CC1 ZINC001560325500 1060112373 /nfs/dbraw/zinc/11/23/73/1060112373.db2.gz LRUKMPYYGBJFHC-HOMQSWHASA-N 0 3 318.205 4.396 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CCCC(C)(C)C1)c1nc(C2CC2)no1 ZINC001560328812 1060114548 /nfs/dbraw/zinc/11/45/48/1060114548.db2.gz IVMXBCPFXNTHBG-DZGCQCFKSA-N 0 3 305.466 4.450 20 0 DIADHN CC(C)[C@H](NC[C@H]1CCCC(C)(C)C1)c1nc(C2CC2)no1 ZINC001560328815 1060115280 /nfs/dbraw/zinc/11/52/80/1060115280.db2.gz IVMXBCPFXNTHBG-ZFWWWQNUSA-N 0 3 305.466 4.450 20 0 DIADHN C[C@@H]1CCc2ccccc2N1CCNCc1sccc1Cl ZINC001560330885 1060118713 /nfs/dbraw/zinc/11/87/13/1060118713.db2.gz CPDPBNNECDOROT-CYBMUJFWSA-N 0 3 320.889 4.332 20 0 DIADHN C[C@H](NCc1cc(-n2ccnc2)cs1)c1ccc(F)c(F)c1 ZINC001560342148 1060128064 /nfs/dbraw/zinc/12/80/64/1060128064.db2.gz IBKWLWSAZUQPAI-NSHDSACASA-N 0 3 319.380 4.063 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@H](OC)c2ccc(Cl)cc2)o1 ZINC001560342711 1060128588 /nfs/dbraw/zinc/12/85/88/1060128588.db2.gz DAQVNXIWLMUUJG-PXAZEXFGSA-N 0 3 307.821 4.361 20 0 DIADHN C[C@@H](CNCc1nc2c(s1)CCCC2)c1ccc(F)cc1F ZINC001560353686 1060139899 /nfs/dbraw/zinc/13/98/99/1060139899.db2.gz SMVPHNMLVOAKGU-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC001560354323 1060140791 /nfs/dbraw/zinc/14/07/91/1060140791.db2.gz MZUXFXBOIVWAAA-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Clc1sc(CN2CCN3CCCC[C@H]3C2)c2ccccc12 ZINC001249068346 1060142236 /nfs/dbraw/zinc/14/22/36/1060142236.db2.gz SXRPMHDGYJEGSK-ZDUSSCGKSA-N 0 3 320.889 4.225 20 0 DIADHN Fc1cccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)c1Cl ZINC001249072441 1060146704 /nfs/dbraw/zinc/14/67/04/1060146704.db2.gz FEGFMZVLIPVQOV-ZDUSSCGKSA-N 0 3 321.783 4.076 20 0 DIADHN O=C1CCC[C@H]2CN(Cc3sc(Cl)c4ccccc43)C[C@@H]12 ZINC001249074663 1060146753 /nfs/dbraw/zinc/14/67/53/1060146753.db2.gz CHUNLKSIBWSUSJ-SMDDNHRTSA-N 0 3 319.857 4.356 20 0 DIADHN Cc1ccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)cc1Cl ZINC001249072760 1060146877 /nfs/dbraw/zinc/14/68/77/1060146877.db2.gz AOMUFGUMEWYZLN-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN Cc1ccc(-c2ccccc2-c2noc([C@@H]3C[C@@H]4C[C@@H]4N3)n2)cc1 ZINC001249146070 1060169513 /nfs/dbraw/zinc/16/95/13/1060169513.db2.gz YKEOTBXNEFNBPI-WBAXXEDZSA-N 0 3 317.392 4.135 20 0 DIADHN Cc1cccc(Cc2nc(-c3ccc(CN(C)C)cc3)no2)c1C ZINC001249176576 1060182614 /nfs/dbraw/zinc/18/26/14/1060182614.db2.gz GBBAESQKLXXBAG-UHFFFAOYSA-N 0 3 321.424 4.006 20 0 DIADHN CC1(C)CC[C@H](CNCc2cc3n(n2)CCCC3)c2ccccc21 ZINC001560400151 1060185495 /nfs/dbraw/zinc/18/54/95/1060185495.db2.gz XQBAGYQHHKLRRX-MRXNPFEDSA-N 0 3 323.484 4.164 20 0 DIADHN CC1(C)CC[C@@H](CNCc2cc3n(n2)CCCC3)c2ccccc21 ZINC001560400150 1060185573 /nfs/dbraw/zinc/18/55/73/1060185573.db2.gz XQBAGYQHHKLRRX-INIZCTEOSA-N 0 3 323.484 4.164 20 0 DIADHN Cc1cc(Br)c(CNC2(C)CCC2)cc1Cl ZINC001560402326 1060188068 /nfs/dbraw/zinc/18/80/68/1060188068.db2.gz JFEIHXZPXWPWHW-UHFFFAOYSA-N 0 3 302.643 4.443 20 0 DIADHN Cc1ccc(-c2noc([C@H]3N[C@@H]4CC[C@H]3C4)n2)c2ccccc12 ZINC001249210781 1060189717 /nfs/dbraw/zinc/18/97/17/1060189717.db2.gz OENCQQNMQPJOTA-AHIWAGSCSA-N 0 3 305.381 4.011 20 0 DIADHN Fc1ccc(Oc2cccc(Cl)c2)c(CN[C@@H]2CCOC2)c1 ZINC001560411241 1060195872 /nfs/dbraw/zinc/19/58/72/1060195872.db2.gz SUXGNGSYFOXTMV-OAHLLOKOSA-N 0 3 321.779 4.150 20 0 DIADHN CC[C@H](NCc1ccc2oc(=O)oc2c1)c1ccc(C)c(F)c1 ZINC001560422322 1060207130 /nfs/dbraw/zinc/20/71/30/1060207130.db2.gz MTWXOBRUOUGGKN-HNNXBMFYSA-N 0 3 315.344 4.074 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CCC1)c1ccc2c(c1)CCCC2 ZINC001560433291 1060221036 /nfs/dbraw/zinc/22/10/36/1060221036.db2.gz FNFLREMAVXIGMY-MRXNPFEDSA-N 0 3 323.484 4.413 20 0 DIADHN COCCC(C)(C)CNCc1c(Cl)cccc1OC(F)F ZINC001560435463 1060222530 /nfs/dbraw/zinc/22/25/30/1060222530.db2.gz OIYILSOVAKPQFD-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(C)nc2N2CCCC2)c(C)c1 ZINC001560435473 1060224539 /nfs/dbraw/zinc/22/45/39/1060224539.db2.gz OUIAETQBIUTRJW-UHFFFAOYSA-N 0 3 323.484 4.205 20 0 DIADHN Cc1ccc(CNCc2cc(C)c(C)cc2C)c(N2CCCC2)n1 ZINC001560435670 1060224602 /nfs/dbraw/zinc/22/46/02/1060224602.db2.gz XUDCCIZBIYGSCZ-UHFFFAOYSA-N 0 3 323.484 4.205 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCC3(CCCCC3)O2)c(Cl)c1 ZINC001560440052 1060228623 /nfs/dbraw/zinc/22/86/23/1060228623.db2.gz HPWLETFJYLYUOH-HNNXBMFYSA-N 0 3 311.828 4.451 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H](NCc2cn(C(C)(C)C)nn2)CC1 ZINC001560441955 1060231307 /nfs/dbraw/zinc/23/13/07/1060231307.db2.gz IEVSROPXSAFPIF-GJZGRUSLSA-N 0 3 306.498 4.118 20 0 DIADHN C[C@@](CO)(NCc1ccc(C2CC2)c(C2CC2)c1)c1ccccc1 ZINC001560442876 1060232125 /nfs/dbraw/zinc/23/21/25/1060232125.db2.gz BUFIYWVAJKMUJK-QFIPXVFZSA-N 0 3 321.464 4.439 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2ccc3ncsc3c2)c1 ZINC001560445720 1060236998 /nfs/dbraw/zinc/23/69/98/1060236998.db2.gz HKDNQBUWYQGMHL-LLVKDONJSA-N 0 3 316.401 4.295 20 0 DIADHN CCOc1ccc(Cl)cc1CNCC1(OC)CCCCC1 ZINC001560445798 1060237875 /nfs/dbraw/zinc/23/78/75/1060237875.db2.gz KCZQHSOJVZJMKG-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1nc2ccc(OC)cc2[nH]1 ZINC001249414518 1060239615 /nfs/dbraw/zinc/23/96/15/1060239615.db2.gz KXOWRTQVWXZTFA-GOSISDBHSA-N 0 3 309.413 4.155 20 0 DIADHN Cc1ccc(C)c(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC001560452746 1060242636 /nfs/dbraw/zinc/24/26/36/1060242636.db2.gz NEWMHXXTNGBPOO-LJQANCHMSA-N 0 3 316.876 4.349 20 0 DIADHN C[C@@H]1CCC[C@H]1CNCc1c(F)cc(Br)cc1F ZINC001560450544 1060243118 /nfs/dbraw/zinc/24/31/18/1060243118.db2.gz HVTNSQFWXPZFLU-ZJUUUORDSA-N 0 3 318.205 4.253 20 0 DIADHN COc1ccc2nc(CN3CCC[C@H]3c3ccc(C)cc3)[nH]c2c1 ZINC001249413717 1060243512 /nfs/dbraw/zinc/24/35/12/1060243512.db2.gz CUIGYMYELSSUNF-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCc2ccccc2[C@@H]1CC ZINC001249409062 1060244742 /nfs/dbraw/zinc/24/47/42/1060244742.db2.gz RKSFVXXZUYAKJC-BEFAXECRSA-N 0 3 311.473 4.443 20 0 DIADHN CCn1ccc(CNC[C@@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC001560464108 1060256162 /nfs/dbraw/zinc/25/61/62/1060256162.db2.gz RADRRZRBJHJDNH-QGZVFWFLSA-N 0 3 305.853 4.086 20 0 DIADHN CCc1ccc([C@@H](O)CNCc2cccc(Cl)c2Cl)cc1 ZINC001560469761 1060257035 /nfs/dbraw/zinc/25/70/35/1060257035.db2.gz KKRLVKOJBNDSMS-INIZCTEOSA-N 0 3 324.251 4.379 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2cccc3c2CCC3)CC1 ZINC001249456565 1060258773 /nfs/dbraw/zinc/25/87/73/1060258773.db2.gz WZWZGQCCBIVZQK-UHFFFAOYSA-N 0 3 319.448 4.270 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1CCN(C2CCCCC2)CC1 ZINC001526567217 1060259662 /nfs/dbraw/zinc/25/96/62/1060259662.db2.gz HHKMQAKGKSFTFJ-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN CCCn1cc(CNC(C)(C)c2ccc(Cl)cc2)c(C)n1 ZINC001560471388 1060260740 /nfs/dbraw/zinc/26/07/40/1060260740.db2.gz SPYBBAYCGJYYQG-UHFFFAOYSA-N 0 3 305.853 4.280 20 0 DIADHN CCSc1ncc2cccc(CN3CCC34CCCCC4)n21 ZINC001249498950 1060266300 /nfs/dbraw/zinc/26/63/00/1060266300.db2.gz ZDQBHICMHNDKDC-UHFFFAOYSA-N 0 3 315.486 4.355 20 0 DIADHN Brc1ccc2nc(CN3CCCCCCC3)[nH]c2c1 ZINC001249519259 1060271347 /nfs/dbraw/zinc/27/13/47/1060271347.db2.gz TUGFFXONOPJUMH-UHFFFAOYSA-N 0 3 322.250 4.092 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H](CO)c2ccc(F)cc2)cc1C ZINC001560483565 1060275525 /nfs/dbraw/zinc/27/55/25/1060275525.db2.gz CLIIMQPTHCCBSL-DPZZJQGRSA-N 0 3 313.416 4.087 20 0 DIADHN Cc1ncc(CNC/C=C/c2ccc(Br)cc2)s1 ZINC001560483367 1060276102 /nfs/dbraw/zinc/27/61/02/1060276102.db2.gz WTBAPRQPHWDHMF-NSCUHMNNSA-N 0 3 323.259 4.017 20 0 DIADHN OCC[C@H](NC/C=C/c1cccc(F)c1)c1ccc(Cl)cc1 ZINC001560490036 1060281725 /nfs/dbraw/zinc/28/17/25/1060281725.db2.gz ISDGPRSDBUCSFA-BWDYHRDRSA-N 0 3 319.807 4.206 20 0 DIADHN CC[C@@]1(CNCc2cscc2Cl)OCCc2ccccc21 ZINC001560493972 1060288837 /nfs/dbraw/zinc/28/88/37/1060288837.db2.gz UXQNDIYXWNMZGX-KRWDZBQOSA-N 0 3 321.873 4.369 20 0 DIADHN C[C@H](CCOCc1ccccc1)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001560494525 1060289898 /nfs/dbraw/zinc/28/98/98/1060289898.db2.gz OVFNWQNKYHVWJK-CQSZACIVSA-N 0 3 319.832 4.131 20 0 DIADHN c1sc2ccccc2c1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249554586 1060290459 /nfs/dbraw/zinc/29/04/59/1060290459.db2.gz TZXQGRZKHXRUQB-HNNXBMFYSA-N 0 3 314.454 4.082 20 0 DIADHN Cc1cccc(C2CC2)c1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249561601 1060290694 /nfs/dbraw/zinc/29/06/94/1060290694.db2.gz OCICAUZKAIGLJC-GOSISDBHSA-N 0 3 312.457 4.053 20 0 DIADHN Cc1ccc2ccccc2c1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249555218 1060291534 /nfs/dbraw/zinc/29/15/34/1060291534.db2.gz HYMLGKKVLMPTSB-LJQANCHMSA-N 0 3 322.452 4.329 20 0 DIADHN CC(C)(C)c1ccc(NC2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001249556947 1060291798 /nfs/dbraw/zinc/29/17/98/1060291798.db2.gz RYROVEJWBRZYHU-IBGZPJMESA-N 0 3 314.473 4.165 20 0 DIADHN Cc1ccc2c(cccc2NC2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001249561119 1060292179 /nfs/dbraw/zinc/29/21/79/1060292179.db2.gz GRJJRVHOFUFRLT-LJQANCHMSA-N 0 3 322.452 4.329 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2C[C@H]2CC(C)C)s1 ZINC001560500929 1060296803 /nfs/dbraw/zinc/29/68/03/1060296803.db2.gz JYLNZOWUGUWGTN-ZYHUDNBSSA-N 0 3 302.281 4.343 20 0 DIADHN CO[C@](C)([C@H](C)NCc1ccc(Oc2ccccc2)o1)C1CC1 ZINC001560505561 1060301423 /nfs/dbraw/zinc/30/14/23/1060301423.db2.gz YPFCRUREMSIDHO-IFXJQAMLSA-N 0 3 315.413 4.365 20 0 DIADHN Cn1ncc(CN[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)c1Cl ZINC001560505206 1060302620 /nfs/dbraw/zinc/30/26/20/1060302620.db2.gz OEBZIRLOMIRLLJ-WFASDCNBSA-N 0 3 324.255 4.153 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)CC(C)C ZINC001560509306 1060307794 /nfs/dbraw/zinc/30/77/94/1060307794.db2.gz XQKNNJNWCAHSEY-KRWDZBQOSA-N 0 3 305.393 4.236 20 0 DIADHN Cc1ccc(CNC[C@@H](CC(C)C)C(=O)OC(C)(C)C)c(F)c1 ZINC001560510821 1060308499 /nfs/dbraw/zinc/30/84/99/1060308499.db2.gz MMRNBFBTGBVPKP-MRXNPFEDSA-N 0 3 323.452 4.228 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@H]1CCC[C@@H](F)C1 ZINC001560515597 1060313525 /nfs/dbraw/zinc/31/35/25/1060313525.db2.gz CTQDPTIDWYDDGG-BDAKNGLRSA-N 0 3 322.168 4.098 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001560518565 1060317700 /nfs/dbraw/zinc/31/77/00/1060317700.db2.gz AHAOBASYFREGHA-LBPRGKRZSA-N 0 3 319.392 4.348 20 0 DIADHN O[C@@H](CNCc1sccc1Cl)c1cccc2ccccc21 ZINC001560529259 1060328423 /nfs/dbraw/zinc/32/84/23/1060328423.db2.gz XVTSCTGGLGVGTE-INIZCTEOSA-N 0 3 317.841 4.378 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1nc(Cl)ccc1Br ZINC001560531169 1060331048 /nfs/dbraw/zinc/33/10/48/1060331048.db2.gz VZYNJAADFJJTMK-VIFPVBQESA-N 0 3 319.674 4.412 20 0 DIADHN CCCC(CCC)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001526773557 1060332578 /nfs/dbraw/zinc/33/25/78/1060332578.db2.gz HVMABTQOWMRFAK-VQIMIIECSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ccc(Br)c(F)c1CNC[C@]1(C)CC1(F)F ZINC001560536605 1060339519 /nfs/dbraw/zinc/33/95/19/1060339519.db2.gz PJEHZXIJTIOWMF-LBPRGKRZSA-N 0 3 322.168 4.032 20 0 DIADHN COC(=O)c1c(Cl)cccc1CN(C)[C@H]1CC[C@H](C)CC1 ZINC001249657366 1060341610 /nfs/dbraw/zinc/34/16/10/1060341610.db2.gz JMLWEAJFOCLVEW-MQMHXKEQSA-N 0 3 309.837 4.137 20 0 DIADHN CCO[C@@]1(C)C[C@H]1NCc1cccc(Oc2ccc(F)cc2)c1 ZINC001560538449 1060343226 /nfs/dbraw/zinc/34/32/26/1060343226.db2.gz OYKVXFNSRLADPU-MOPGFXCFSA-N 0 3 315.388 4.275 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@H]1C(C)(C)C1(F)F ZINC001560542942 1060349366 /nfs/dbraw/zinc/34/93/66/1060349366.db2.gz XZQXDLPDHABBFR-NSHDSACASA-N 0 3 324.198 4.383 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@H]1C(C)(C)C1(F)F ZINC001560542941 1060350001 /nfs/dbraw/zinc/35/00/01/1060350001.db2.gz XZQXDLPDHABBFR-LLVKDONJSA-N 0 3 324.198 4.383 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@H]1CCCS1 ZINC001560547617 1060356946 /nfs/dbraw/zinc/35/69/46/1060356946.db2.gz LZKYRYNJCZYCNT-GFCCVEGCSA-N 0 3 308.472 4.087 20 0 DIADHN CC(C)(F)CNCc1cccc(OCc2ccccc2F)c1 ZINC001560552850 1060364624 /nfs/dbraw/zinc/36/46/24/1060364624.db2.gz OFEIXJBWYVNPNK-UHFFFAOYSA-N 0 3 305.368 4.242 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC(C)(C)C(C)(F)F ZINC001560560955 1060370486 /nfs/dbraw/zinc/37/04/86/1060370486.db2.gz XGVHDQMFZMIXHB-UHFFFAOYSA-N 0 3 314.401 4.483 20 0 DIADHN Cc1c(Cl)ccc(CNCC[C@@H](O)C2CCCC2)c1Cl ZINC001560564808 1060376147 /nfs/dbraw/zinc/37/61/47/1060376147.db2.gz KNNWQJYFSFNAPB-OAHLLOKOSA-N 0 3 316.272 4.333 20 0 DIADHN C[C@H](NC/C=C/c1ccc(F)cc1F)c1cc2cnccc2o1 ZINC001560567305 1060377047 /nfs/dbraw/zinc/37/70/47/1060377047.db2.gz COBBQYMXXCAJAH-JDGPPOGSSA-N 0 3 314.335 4.470 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@@H]2CCCC2(F)F)cs1 ZINC001560570525 1060381607 /nfs/dbraw/zinc/38/16/07/1060381607.db2.gz MVMKEWWXGKPQHE-NSHDSACASA-N 0 3 302.434 4.356 20 0 DIADHN COC[C@H]1C[C@@H](NCc2c(Cl)cccc2SC(C)C)C1 ZINC001560572318 1060383594 /nfs/dbraw/zinc/38/35/94/1060383594.db2.gz HSYZATVMNLVIFM-BETUJISGSA-N 0 3 313.894 4.355 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNC[C@]1(C)CC1(C)C ZINC001560572817 1060384469 /nfs/dbraw/zinc/38/44/69/1060384469.db2.gz DKLMVEOEZMXKCL-SFHVURJKSA-N 0 3 317.864 4.360 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001560576373 1060392022 /nfs/dbraw/zinc/39/20/22/1060392022.db2.gz HTYAHJSEFDOHDL-ZBFHGGJFSA-N 0 3 302.462 4.272 20 0 DIADHN CC1(C)CCc2onc(CNC3CCc4ccccc4CC3)c2C1 ZINC001560576357 1060392712 /nfs/dbraw/zinc/39/27/12/1060392712.db2.gz HDRKZKZFWVZAOX-UHFFFAOYSA-N 0 3 324.468 4.227 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CNCc2cc3ccccc3o2)O1 ZINC001560581148 1060395336 /nfs/dbraw/zinc/39/53/36/1060395336.db2.gz RCHOLJCNTWKYAI-IUODEOHRSA-N 0 3 313.319 4.022 20 0 DIADHN Cc1cccc2[nH]c(CNCc3ccc4c(c3)C[C@H](C)O4)cc21 ZINC001560582149 1060397437 /nfs/dbraw/zinc/39/74/37/1060397437.db2.gz VPVSOCVVVVZHHE-AWEZNQCLSA-N 0 3 306.409 4.090 20 0 DIADHN CC(C)(/C=C/Cl)NCc1ccc(F)c(Br)c1 ZINC001560595089 1060408428 /nfs/dbraw/zinc/40/84/28/1060408428.db2.gz LZBXLXCJSYZNTH-AATRIKPKSA-N 0 3 306.606 4.209 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@@H]1CCCc2ccccc21 ZINC001560608804 1060421428 /nfs/dbraw/zinc/42/14/28/1060421428.db2.gz GDYWHKNCAWTBGP-LJQANCHMSA-N 0 3 311.473 4.422 20 0 DIADHN CCCCC1(NCc2nc(C(F)(F)F)n3c2CCCC3)CC1 ZINC001560613622 1060426310 /nfs/dbraw/zinc/42/63/10/1060426310.db2.gz FIAFHADSJHTKQE-UHFFFAOYSA-N 0 3 315.383 4.051 20 0 DIADHN CC(C)CC[C@H]1CC[C@H](NCc2cc(C(F)(F)F)n(C)n2)C1 ZINC001560614103 1060426450 /nfs/dbraw/zinc/42/64/50/1060426450.db2.gz YFSZEQUBPFOORE-STQMWFEESA-N 0 3 317.399 4.133 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cc(F)ccc2N(C)C)cc1 ZINC001560624100 1060434220 /nfs/dbraw/zinc/43/42/20/1060434220.db2.gz BIVWBUKECAQVNA-CQSZACIVSA-N 0 3 300.421 4.305 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cc(F)ccc2N(C)C)s1 ZINC001560624440 1060435315 /nfs/dbraw/zinc/43/53/15/1060435315.db2.gz NYBXCSJVVPRNSM-GFCCVEGCSA-N 0 3 306.450 4.366 20 0 DIADHN Fc1ccc(CN(Cc2cccc3c2NCCC3)C2CC2)cc1 ZINC001560626937 1060437303 /nfs/dbraw/zinc/43/73/03/1060437303.db2.gz IBQRRFVRTHMJSW-UHFFFAOYSA-N 0 3 310.416 4.348 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(-c3cccc(F)c3F)o2)C1 ZINC001560627189 1060437436 /nfs/dbraw/zinc/43/74/36/1060437436.db2.gz RRMTVNCSJWTHIT-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3ccnn3CC3CC3)C2)cc1 ZINC001560630165 1060442496 /nfs/dbraw/zinc/44/24/96/1060442496.db2.gz OOSKBTOYPNPMGN-HXUWFJFHSA-N 0 3 323.484 4.056 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2nccn2C2CC2)cc1 ZINC001560631898 1060443657 /nfs/dbraw/zinc/44/36/57/1060443657.db2.gz LHDSPHLYWCEHNU-RBUKOAKNSA-N 0 3 309.457 4.295 20 0 DIADHN Cc1noc2ncc(CN3CC[C@@H](c4ccccc4)[C@H](C)C3)cc12 ZINC001560631632 1060444257 /nfs/dbraw/zinc/44/42/57/1060444257.db2.gz IJIWJOXBPSHCNV-RDTXWAMCSA-N 0 3 321.424 4.157 20 0 DIADHN CCCN(CCN1CCCCCC1)C(=O)c1cc(C)c(C)s1 ZINC001535072798 1060447492 /nfs/dbraw/zinc/44/74/92/1060447492.db2.gz FLVCOSZIKQZEDI-UHFFFAOYSA-N 0 3 322.518 4.093 20 0 DIADHN CCc1ccc(N2CCN(Cc3csc(C)c3C)CC2)cc1 ZINC001560637696 1060450645 /nfs/dbraw/zinc/45/06/45/1060450645.db2.gz LUNLESDTQAAJDS-UHFFFAOYSA-N 0 3 314.498 4.250 20 0 DIADHN CCOc1ccc(CN(CCc2cccc(F)c2)C2CC2)o1 ZINC001560640022 1060455993 /nfs/dbraw/zinc/45/59/93/1060455993.db2.gz JNPZALBNWCVCFS-UHFFFAOYSA-N 0 3 303.377 4.025 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@@]2(CC=CCC2)C1 ZINC001560640471 1060456050 /nfs/dbraw/zinc/45/60/50/1060456050.db2.gz QYMCQHUNJWKMOB-KRWDZBQOSA-N 0 3 305.853 4.263 20 0 DIADHN COCCN(Cc1cc2ccccc2n1C)[C@H](C)c1ccccc1 ZINC001560641634 1060460469 /nfs/dbraw/zinc/46/04/69/1060460469.db2.gz KJNYRQVMIBEIGQ-QGZVFWFLSA-N 0 3 322.452 4.388 20 0 DIADHN COCc1csc(CN2CCC(OCC3CCCC3)CC2)c1 ZINC001560643613 1060463416 /nfs/dbraw/zinc/46/34/16/1060463416.db2.gz RQCVVEQYHDZCME-UHFFFAOYSA-N 0 3 323.502 4.066 20 0 DIADHN CC(C)C1(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)CC1 ZINC001560642801 1060464101 /nfs/dbraw/zinc/46/41/01/1060464101.db2.gz RHHFQCHIUYWQOD-UHFFFAOYSA-N 0 3 303.290 4.239 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(OCC)o2)C1(C)C ZINC001560645928 1060466452 /nfs/dbraw/zinc/46/64/52/1060466452.db2.gz JONXNFALLPZJAW-HZPDHXFCSA-N 0 3 309.450 4.094 20 0 DIADHN CCn1nccc1CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001560647832 1060469210 /nfs/dbraw/zinc/46/92/10/1060469210.db2.gz DZVFNAGNVDZYKX-JXOMPUQVSA-N 0 3 309.457 4.361 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001560647306 1060470344 /nfs/dbraw/zinc/47/03/44/1060470344.db2.gz UUXLVNDLKKCODM-BXUZGUMPSA-N 0 3 321.408 4.402 20 0 DIADHN CCc1cccc(F)c1CN1CCCN(c2ccccc2)CC1 ZINC001560648483 1060470443 /nfs/dbraw/zinc/47/04/43/1060470443.db2.gz PYYVKMZAANRMGS-UHFFFAOYSA-N 0 3 312.432 4.100 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccc2c(c1)OCCCCO2 ZINC001560648431 1060470822 /nfs/dbraw/zinc/47/08/22/1060470822.db2.gz OOHBWAIABOHRKT-AWEZNQCLSA-N 0 3 317.454 4.493 20 0 DIADHN Cc1ccc(C(=O)Cc2cccc(CN3CCCCC3)c2)cc1 ZINC001249910129 1060475202 /nfs/dbraw/zinc/47/52/02/1060475202.db2.gz NMORLDPCDUJDEY-UHFFFAOYSA-N 0 3 307.437 4.406 20 0 DIADHN O=c1ccc(CN(Cc2ccc(F)cc2F)C2CCCC2)c[nH]1 ZINC001560652333 1060476493 /nfs/dbraw/zinc/47/64/93/1060476493.db2.gz WIBZYHJADJQZLB-UHFFFAOYSA-N 0 3 318.367 4.010 20 0 DIADHN Cc1cc(N2CCN(C)CC2)ccc1Nc1cccc2ccoc21 ZINC001249919088 1060480137 /nfs/dbraw/zinc/48/01/37/1060480137.db2.gz XGESAGNSLLCQEG-UHFFFAOYSA-N 0 3 321.424 4.237 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CCC[C@H](C)CC1 ZINC001560657465 1060481547 /nfs/dbraw/zinc/48/15/47/1060481547.db2.gz NKJXFSLUEOTHGW-LBPRGKRZSA-N 0 3 303.475 4.204 20 0 DIADHN CCOc1ccc(Cl)cc1CN(C)[C@@H](C)CCSC ZINC001560656164 1060481601 /nfs/dbraw/zinc/48/16/01/1060481601.db2.gz BCUNQECIEUSQQP-LBPRGKRZSA-N 0 3 301.883 4.312 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cnc(Cl)c(OC)c1 ZINC001249927070 1060485058 /nfs/dbraw/zinc/48/50/58/1060485058.db2.gz DQKVMSFESSJHQX-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN CCCC1CCN(Cc2ccc3c(c2)OCCCCO3)CC1 ZINC001560663383 1060489368 /nfs/dbraw/zinc/48/93/68/1060489368.db2.gz YYQDATOYXALTSP-UHFFFAOYSA-N 0 3 303.446 4.250 20 0 DIADHN CCCC1CCN(Cc2cn(C)nc2-c2ccc(C)o2)CC1 ZINC001560662571 1060491036 /nfs/dbraw/zinc/49/10/36/1060491036.db2.gz CYHUAFCDERSQTB-UHFFFAOYSA-N 0 3 301.434 4.001 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN1CCCC(C)(C)CC1 ZINC001560668808 1060496069 /nfs/dbraw/zinc/49/60/69/1060496069.db2.gz VSBIKCOSPKXFOY-UHFFFAOYSA-N 0 3 305.853 4.239 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(SC)s2)cc1 ZINC001560671986 1060507787 /nfs/dbraw/zinc/50/77/87/1060507787.db2.gz UFEVAAFIQFFARU-CQSZACIVSA-N 0 3 319.495 4.296 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H]2C2CCCC2)c1Br ZINC001560679216 1060517200 /nfs/dbraw/zinc/51/72/00/1060517200.db2.gz GABXHWKGBXAMKH-OAHLLOKOSA-N 0 3 323.278 4.307 20 0 DIADHN C[C@@H]1CCN(Cc2ccnc(OCc3ccccc3)c2)[C@@H](C)C1 ZINC001249976025 1060514942 /nfs/dbraw/zinc/51/49/42/1060514942.db2.gz MTYUDFWCRVDUHZ-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@H]1CN(Cc2ccc(Oc3cccc(F)c3)cc2)CCCO1 ZINC001560683807 1060525986 /nfs/dbraw/zinc/52/59/86/1060525986.db2.gz NKSDMLFCVXSXTA-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Oc3cccc(F)c3)cc2)CCCO1 ZINC001560683808 1060528625 /nfs/dbraw/zinc/52/86/25/1060528625.db2.gz NKSDMLFCVXSXTA-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN COc1cc2ccccc2cc1CN1CCc2ccccc2CC1 ZINC001560691017 1060543339 /nfs/dbraw/zinc/54/33/39/1060543339.db2.gz NNZWGIHPVRRYHB-UHFFFAOYSA-N 0 3 317.432 4.449 20 0 DIADHN CC(C)CCCCN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC001560699820 1060554388 /nfs/dbraw/zinc/55/43/88/1060554388.db2.gz FKEDGPYBHADFER-IBGZPJMESA-N 0 3 317.452 4.407 20 0 DIADHN CCn1cc(CN(Cc2ccc(F)cc2)C(C)(C)C)c(C)n1 ZINC001560700716 1060555277 /nfs/dbraw/zinc/55/52/77/1060555277.db2.gz WETMQXOHCZRDGM-UHFFFAOYSA-N 0 3 303.425 4.151 20 0 DIADHN CC(C)Cc1ccc(CN2CC[C@H](COc3ccccc3)C2)cn1 ZINC001560706236 1060565199 /nfs/dbraw/zinc/56/51/99/1060565199.db2.gz DVLYRQFBXKVLGO-IBGZPJMESA-N 0 3 324.468 4.181 20 0 DIADHN CC(C)c1ccccc1CN(C)Cc1ccc2c(c1)OCCO2 ZINC001560713532 1060569230 /nfs/dbraw/zinc/56/92/30/1060569230.db2.gz XVJYPBOXNKVEFT-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)CCCCN(CC(=O)Nc1ccc(F)cc1)C(C)C ZINC001560713153 1060570640 /nfs/dbraw/zinc/57/06/40/1060570640.db2.gz GLPWTUNPUARWET-UHFFFAOYSA-N 0 3 308.441 4.301 20 0 DIADHN CC[C@H]1CCC[C@H](CN2CCN(c3ccccc3F)CC2)C1 ZINC001560715850 1060572701 /nfs/dbraw/zinc/57/27/01/1060572701.db2.gz ZMODFCCCKABWJM-IRXDYDNUSA-N 0 3 304.453 4.164 20 0 DIADHN COc1cc(CN2C[C@@H](C)C[C@H](C)C2)cc(C(F)(F)F)c1 ZINC001560715374 1060573675 /nfs/dbraw/zinc/57/36/75/1060573675.db2.gz FWPDKRTVEVYGQS-RYUDHWBXSA-N 0 3 301.352 4.192 20 0 DIADHN CCOc1cc(OC)ccc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001250062563 1060574728 /nfs/dbraw/zinc/57/47/28/1060574728.db2.gz LIJXMQNMVBRGGS-MRXNPFEDSA-N 0 3 321.436 4.198 20 0 DIADHN COc1cc(CN2CCC(Cc3ccccc3)CC2)ccc1F ZINC001560715759 1060575441 /nfs/dbraw/zinc/57/54/41/1060575441.db2.gz UYMMIXDCLTWOSC-UHFFFAOYSA-N 0 3 313.416 4.289 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccnn1CC1CC1)Cc1ccccc1 ZINC001560717968 1060577059 /nfs/dbraw/zinc/57/70/59/1060577059.db2.gz DJJYDDQQZKSLEH-MRXNPFEDSA-N 0 3 309.457 4.094 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccnn1CC1CC1)Cc1ccccc1 ZINC001560717967 1060578171 /nfs/dbraw/zinc/57/81/71/1060578171.db2.gz DJJYDDQQZKSLEH-INIZCTEOSA-N 0 3 309.457 4.094 20 0 DIADHN c1ccc2cc(CC3=CC[C@H](N4CCOCC4)CC3)ccc2c1 ZINC001250072935 1060584878 /nfs/dbraw/zinc/58/48/78/1060584878.db2.gz KITNLBATDIJEBH-NRFANRHFSA-N 0 3 307.437 4.193 20 0 DIADHN Cc1oc2ccccc2c1CN(C)CCOc1ccccc1F ZINC001560721702 1060585288 /nfs/dbraw/zinc/58/52/88/1060585288.db2.gz RWALWICYKKZOLS-UHFFFAOYSA-N 0 3 313.372 4.391 20 0 DIADHN OCCN(Cc1cc(C(F)(F)F)ccc1Cl)C1CCCC1 ZINC001560724251 1060588521 /nfs/dbraw/zinc/58/85/21/1060588521.db2.gz DGBWCSUPMQKHOE-UHFFFAOYSA-N 0 3 321.770 4.096 20 0 DIADHN CC[C@H](CN1CCN(Cc2cccs2)CC1)c1ccccc1 ZINC001560725809 1060590389 /nfs/dbraw/zinc/59/03/89/1060590389.db2.gz QNQABUUEPSGLND-QGZVFWFLSA-N 0 3 314.498 4.060 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(F)ccc1N(C)C ZINC001560725250 1060590718 /nfs/dbraw/zinc/59/07/18/1060590718.db2.gz RBWRRCBEVIOQSL-UHFFFAOYSA-N 0 3 300.421 4.304 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](NC1CC(c3ccccc3)C1)CCC2 ZINC001560735277 1060603152 /nfs/dbraw/zinc/60/31/52/1060603152.db2.gz XFDINEWIQFQXBD-CTWPCTMYSA-N 0 3 309.457 4.377 20 0 DIADHN COc1ncc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)s1 ZINC001560734527 1060603323 /nfs/dbraw/zinc/60/33/23/1060603323.db2.gz JVXBDYVBHYMZMR-RDJZCZTQSA-N 0 3 316.470 4.201 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2ccc(O)c(F)c2)c1 ZINC001560752809 1060618297 /nfs/dbraw/zinc/61/82/97/1060618297.db2.gz NYEUKYSRMPYGPP-FZKQIMNGSA-N 0 3 315.388 4.123 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2ccc3c(n2)CCC3)c1 ZINC001560753219 1060620118 /nfs/dbraw/zinc/62/01/18/1060620118.db2.gz ZEQXWABCBVWQAQ-QVKFZJNVSA-N 0 3 322.452 4.162 20 0 DIADHN CCCN(CC[C@H](C)c1ccccc1)[C@@H](CC)C(=O)OCC ZINC001560754781 1060620911 /nfs/dbraw/zinc/62/09/11/1060620911.db2.gz RDVZVCVLVNIWPE-WMZOPIPTSA-N 0 3 305.462 4.234 20 0 DIADHN C[C@H](CNCc1cc(C2CC2)no1)c1ccc(C(F)(F)F)cc1 ZINC001560764440 1060629481 /nfs/dbraw/zinc/62/94/81/1060629481.db2.gz KHGYYCJMOOLOST-LLVKDONJSA-N 0 3 324.346 4.464 20 0 DIADHN CC(C)CCCCN1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001560767005 1060632023 /nfs/dbraw/zinc/63/20/23/1060632023.db2.gz IVQUAOWAGOPOSQ-CALCHBBNSA-N 0 3 324.509 4.287 20 0 DIADHN COc1c2ccccc2oc1CNC[C@H](OC)C1CCCCC1 ZINC001560768625 1060632225 /nfs/dbraw/zinc/63/22/25/1060632225.db2.gz FVTISIQKJWKYRQ-KRWDZBQOSA-N 0 3 317.429 4.126 20 0 DIADHN COc1cc(CN2CCCCC[C@H]2C)cc(C(F)(F)F)c1 ZINC001560769185 1060634501 /nfs/dbraw/zinc/63/45/01/1060634501.db2.gz UBRWMYGZZUJQGN-GFCCVEGCSA-N 0 3 301.352 4.479 20 0 DIADHN CC[C@@H](C)C[C@H](CC)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001560774733 1060638674 /nfs/dbraw/zinc/63/86/74/1060638674.db2.gz QWJWHWYLULWLIQ-MSOLQXFVSA-N 0 3 317.521 4.455 20 0 DIADHN Nc1ncccc1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC001560776701 1060641284 /nfs/dbraw/zinc/64/12/84/1060641284.db2.gz QLMDDCNTVGDOAZ-FQEVSTJZSA-N 0 3 315.420 4.108 20 0 DIADHN [O-]c1ccc(C[N@H+]2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cc1F ZINC001560782578 1060645362 /nfs/dbraw/zinc/64/53/62/1060645362.db2.gz MNTCVXMEWRGZKM-BCDXTJNWSA-N 0 3 311.400 4.442 20 0 DIADHN [O-]c1ccc(C[N@@H+]2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cc1F ZINC001560782578 1060645368 /nfs/dbraw/zinc/64/53/68/1060645368.db2.gz MNTCVXMEWRGZKM-BCDXTJNWSA-N 0 3 311.400 4.442 20 0 DIADHN CCOc1cc(C[N@H+](CC2CC2)CC2CCC2)cc(Cl)c1[O-] ZINC001560786291 1060647931 /nfs/dbraw/zinc/64/79/31/1060647931.db2.gz SPDLXBVCPAFUSO-UHFFFAOYSA-N 0 3 323.864 4.456 20 0 DIADHN CCOc1cc(C[N@@H+](CC2CC2)CC2CCC2)cc(Cl)c1[O-] ZINC001560786291 1060647934 /nfs/dbraw/zinc/64/79/34/1060647934.db2.gz SPDLXBVCPAFUSO-UHFFFAOYSA-N 0 3 323.864 4.456 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1cc2c(cccc2O)s1 ZINC001560798099 1060658302 /nfs/dbraw/zinc/65/83/02/1060658302.db2.gz XPEZDTIYINQGFK-SKDRFNHKSA-N 0 3 315.360 4.380 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1cc2c(cccc2O)s1 ZINC001560798097 1060658849 /nfs/dbraw/zinc/65/88/49/1060658849.db2.gz XPEZDTIYINQGFK-CABZTGNLSA-N 0 3 315.360 4.380 20 0 DIADHN CCOc1cc(C)ccc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001250179209 1060659913 /nfs/dbraw/zinc/65/99/13/1060659913.db2.gz CAPPZKAEHMZNDJ-HDICACEKSA-N 0 3 307.437 4.480 20 0 DIADHN Cc1ccc(CN2CCC(OC3CCC3)CC2)c(C)c1Cl ZINC001250189000 1060665552 /nfs/dbraw/zinc/66/55/52/1060665552.db2.gz HASCLPPCMXSHRH-UHFFFAOYSA-N 0 3 307.865 4.490 20 0 DIADHN CCOC(=O)CC1CCN(Cc2ccc(C)c(Cl)c2C)CC1 ZINC001250190012 1060667807 /nfs/dbraw/zinc/66/78/07/1060667807.db2.gz NKMYJNYJYKAVAV-UHFFFAOYSA-N 0 3 323.864 4.122 20 0 DIADHN Cc1ccc(CN2CCC(CCCn3ccnc3)CC2)c(F)c1 ZINC001560811766 1060671657 /nfs/dbraw/zinc/67/16/57/1060671657.db2.gz WWQXFNVUTKLFOY-UHFFFAOYSA-N 0 3 315.436 4.023 20 0 DIADHN CCCn1nccc1CN1CCC[C@H](c2ccc(CC)cc2)C1 ZINC001560816698 1060676114 /nfs/dbraw/zinc/67/61/14/1060676114.db2.gz BGLCBSKLGXRAIR-IBGZPJMESA-N 0 3 311.473 4.235 20 0 DIADHN Cc1ccc(CN2CCC3(C[C@@H](F)CO3)CC2)c(C)c1Cl ZINC001250203995 1060682063 /nfs/dbraw/zinc/68/20/63/1060682063.db2.gz QOSVUXFAHXAMRW-OAHLLOKOSA-N 0 3 311.828 4.050 20 0 DIADHN COC(=O)c1cc(CN2CCCCCCCC2)[nH]c1C(C)C ZINC001560836649 1060693654 /nfs/dbraw/zinc/69/36/54/1060693654.db2.gz UKXANKNLEICCNG-UHFFFAOYSA-N 0 3 306.450 4.081 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)C[C@H]2C)cc(C)c1O ZINC001560845033 1060700152 /nfs/dbraw/zinc/70/01/52/1060700152.db2.gz ONIPEFHEKORWDK-TZMCWYRMSA-N 0 3 301.352 4.172 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC001560849664 1060707117 /nfs/dbraw/zinc/70/71/17/1060707117.db2.gz ZMFJXELOWGHWNK-HXUWFJFHSA-N 0 3 324.468 4.030 20 0 DIADHN CN1CCN(CCCc2cccc3c4ccccc4sc32)CC1 ZINC001250228421 1060708121 /nfs/dbraw/zinc/70/81/21/1060708121.db2.gz BDCGLHKGFSLUPO-UHFFFAOYSA-N 0 3 324.493 4.235 20 0 DIADHN COc1nc2ccccc2cc1CN1CC2(CCC2)[C@H]1C(C)C ZINC001560858283 1060716493 /nfs/dbraw/zinc/71/64/93/1060716493.db2.gz MSDHFTPDIBKMBD-GOSISDBHSA-N 0 3 310.441 4.254 20 0 DIADHN CCOc1cc(C[NH2+]CCC(C)(C)C2CC2)cc(Cl)c1[O-] ZINC001560858044 1060717975 /nfs/dbraw/zinc/71/79/75/1060717975.db2.gz IYPJIBSWZWSTDE-UHFFFAOYSA-N 0 3 311.853 4.360 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CNCc1ncc(C(F)(F)F)s1 ZINC001560860417 1060718200 /nfs/dbraw/zinc/71/82/00/1060718200.db2.gz MJEHNLODOFNOAY-WDEREUQCSA-N 0 3 306.397 4.468 20 0 DIADHN CNc1ccc(C)cc1CN1Cc2ccccc2OC(C)(C)C1 ZINC001560864612 1060722219 /nfs/dbraw/zinc/72/22/19/1060722219.db2.gz UICIGAFTNYIXDM-UHFFFAOYSA-N 0 3 310.441 4.210 20 0 DIADHN COc1c(C)ccc(F)c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001250250590 1060729364 /nfs/dbraw/zinc/72/93/64/1060729364.db2.gz FBEHOMZDQMPRII-CQSZACIVSA-N 0 3 309.400 4.247 20 0 DIADHN CO[C@@H]1C[C@H](N[C@H](C)c2ccc(-c3ccccc3)cn2)C12CCC2 ZINC001560878054 1060738271 /nfs/dbraw/zinc/73/82/71/1060738271.db2.gz KWJGVSHPIVUSMT-UIAACRFSSA-N 0 3 322.452 4.357 20 0 DIADHN Cc1noc2ncc(CN3CC4(CCCCC4)[C@H]3C(C)C)cc12 ZINC001560877822 1060739399 /nfs/dbraw/zinc/73/93/99/1060739399.db2.gz DNNICAIBYXJEGX-QGZVFWFLSA-N 0 3 313.445 4.322 20 0 DIADHN CCOc1nccc(CN2CCC3(CCCC3)CC2)c1Cl ZINC001250368907 1060789452 /nfs/dbraw/zinc/78/94/52/1060789452.db2.gz GDWICUORVPUYDH-UHFFFAOYSA-N 0 3 308.853 4.290 20 0 DIADHN C[C@H](CC1CCCCC1)CN(C)CC(=O)N(C)C1CCCCC1 ZINC001560929940 1060792217 /nfs/dbraw/zinc/79/22/17/1060792217.db2.gz NAJBWKUYAGTBAP-QGZVFWFLSA-N 0 3 322.537 4.316 20 0 DIADHN C[C@@H]1CN([C@@H]2CC[C@](C)(c3ccccc3)C2)C[C@](C)(C(F)F)O1 ZINC001560938895 1060801965 /nfs/dbraw/zinc/80/19/65/1060801965.db2.gz QXQMJLIJUYPHLX-SKWUIDRYSA-N 0 3 323.427 4.241 20 0 DIADHN CSC1(CN2CCc3ccc(C(F)(F)F)cc3C2)CCC1 ZINC001560965767 1060829028 /nfs/dbraw/zinc/82/90/28/1060829028.db2.gz ABBDFHPVPKNWLC-UHFFFAOYSA-N 0 3 315.404 4.349 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2noc3ccc(F)cc23)C1(C)C ZINC001560974401 1060838112 /nfs/dbraw/zinc/83/81/12/1060838112.db2.gz KYAWBGSGTXMTAO-JKSUJKDBSA-N 0 3 320.408 4.039 20 0 DIADHN CCOc1cc(CN2CC([C@@H]3CCC[C@H](C)C3)C2)ccc1O ZINC001560978936 1060843604 /nfs/dbraw/zinc/84/36/04/1060843604.db2.gz XLWQARUKHGBHDE-GOEBONIOSA-N 0 3 303.446 4.049 20 0 DIADHN Fc1cc2c(cc1F)CN(C[C@@H]1CCc3ccccc3C1)CC2 ZINC001560985837 1060849086 /nfs/dbraw/zinc/84/90/86/1060849086.db2.gz NDDVYDJDOSENLH-CQSZACIVSA-N 0 3 313.391 4.128 20 0 DIADHN Fc1cc2c(cc1F)CN(C[C@H]1CCc3ccccc3C1)CC2 ZINC001560985836 1060849275 /nfs/dbraw/zinc/84/92/75/1060849275.db2.gz NDDVYDJDOSENLH-AWEZNQCLSA-N 0 3 313.391 4.128 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@H](OC(C)(C)C)C2(C)C)c(Cl)n1 ZINC001560986360 1060849345 /nfs/dbraw/zinc/84/93/45/1060849345.db2.gz CEOHTDLGGQQEHO-KGLIPLIRSA-N 0 3 310.869 4.115 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4c(c3)C[C@@H](C)O4)ccc2n1 ZINC001561000094 1060860583 /nfs/dbraw/zinc/86/05/83/1060860583.db2.gz LJCJNGZKQCWLEW-OAHLLOKOSA-N 0 3 318.420 4.156 20 0 DIADHN COc1cc(CN2CCCc3ccccc3C2)cc2c1OCC=C2 ZINC001250512811 1060863603 /nfs/dbraw/zinc/86/36/03/1060863603.db2.gz NGWWAROSQCFUDM-UHFFFAOYSA-N 0 3 321.420 4.049 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C2CC2)cc2c1OCC=C2 ZINC001250512335 1060863635 /nfs/dbraw/zinc/86/36/35/1060863635.db2.gz UQVKAAROUDGDDL-UHFFFAOYSA-N 0 3 321.420 4.265 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC001561006722 1060865839 /nfs/dbraw/zinc/86/58/39/1060865839.db2.gz YXGSIPQLPAXOSO-CQSZACIVSA-N 0 3 319.317 4.255 20 0 DIADHN C[C@H]1C[C@H](N2CCC(O)(C3CCC3)CC2)c2ccsc2S1 ZINC001561031484 1060884430 /nfs/dbraw/zinc/88/44/30/1060884430.db2.gz RZCOTSZFGFZVAS-WFASDCNBSA-N 0 3 323.527 4.301 20 0 DIADHN COC(=O)[C@@H](CNCc1ccc(C)cc1Cl)CC1CCCC1 ZINC001561040049 1060891266 /nfs/dbraw/zinc/89/12/66/1060891266.db2.gz IXGFCGKAYMIYKB-MRXNPFEDSA-N 0 3 323.864 4.108 20 0 DIADHN C[C@@H](CCC1CCCCC1)NCc1cc(C(F)(F)F)n(C)n1 ZINC001561041749 1060893658 /nfs/dbraw/zinc/89/36/58/1060893658.db2.gz DGPJPJSTDVXKJR-LBPRGKRZSA-N 0 3 317.399 4.278 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@@H](C(N)=O)c1ccc(Cl)cc1 ZINC001561047091 1060895759 /nfs/dbraw/zinc/89/57/59/1060895759.db2.gz FNKNYBVECUBSPS-IAGOWNOFSA-N 0 3 322.880 4.205 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@H](CO)c1cc(F)ccc1F ZINC001561047549 1060899045 /nfs/dbraw/zinc/89/90/45/1060899045.db2.gz RNXLIBAOWGSGRP-KBXCAEBGSA-N 0 3 311.416 4.360 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@H](CO)c1cc(F)ccc1F ZINC001561047678 1060899359 /nfs/dbraw/zinc/89/93/59/1060899359.db2.gz WNJFHRHGQBBNGW-CRAIPNDOSA-N 0 3 311.416 4.337 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@H](C)[C@H](C)C3)c2)CC1 ZINC001462131307 1060952387 /nfs/dbraw/zinc/95/23/87/1060952387.db2.gz WPUZFSPOBIVHEZ-YQVWRLOYSA-N 0 3 315.505 4.065 20 0 DIADHN C[C@@H](CCC1CC1)NCc1ccc(C(F)(F)F)nc1Cl ZINC001462131707 1060953141 /nfs/dbraw/zinc/95/31/41/1060953141.db2.gz AHZQJBXWFIUMQX-VIFPVBQESA-N 0 3 306.759 4.422 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(CCOCc2ccccc2)C1 ZINC001473198595 1060956789 /nfs/dbraw/zinc/95/67/89/1060956789.db2.gz OWDAPLNKDDOJND-UZLBHIALSA-N 0 3 313.416 4.425 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(C)cc(C)n2)C12CCCCC2 ZINC001473199519 1060958338 /nfs/dbraw/zinc/95/83/38/1060958338.db2.gz MTRAATJXZIQAMZ-RBUKOAKNSA-N 0 3 316.489 4.258 20 0 DIADHN CC[C@H](C)n1c2ccc(Cl)cc2nc1-c1cnccc1N ZINC001250628919 1060962173 /nfs/dbraw/zinc/96/21/73/1060962173.db2.gz WBBFVQWWGLPBEY-JTQLQIEISA-N 0 3 300.793 4.135 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2cccc(Cl)c2)CC1 ZINC001473209511 1060974599 /nfs/dbraw/zinc/97/45/99/1060974599.db2.gz WWRDMICPCQXWDT-UHFFFAOYSA-N 0 3 308.853 4.179 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC[C@H](CC)C1 ZINC001473208509 1060977042 /nfs/dbraw/zinc/97/70/42/1060977042.db2.gz DUFALEWKERWXCF-AWEZNQCLSA-N 0 3 315.413 4.232 20 0 DIADHN Fc1cccc(CN2CCC[C@@]3(CCCc4ccccc43)C2)n1 ZINC001473208503 1060977336 /nfs/dbraw/zinc/97/73/36/1060977336.db2.gz DPNRSBBYUFDQDO-FQEVSTJZSA-N 0 3 310.416 4.091 20 0 DIADHN CCC1CCC(N(C)Cc2ccn(-c3cccc(F)c3)n2)CC1 ZINC001473209514 1060977400 /nfs/dbraw/zinc/97/74/00/1060977400.db2.gz WZGSDAANPFIEIU-UHFFFAOYSA-N 0 3 315.436 4.412 20 0 DIADHN Cc1c(Cl)cccc1CN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001462156983 1060977295 /nfs/dbraw/zinc/97/72/95/1060977295.db2.gz NDMGFZQPSPTYOO-UHFFFAOYSA-N 0 3 305.853 4.301 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2ccc3c(n2)CCCC3)C1(C)C ZINC001462155453 1060978865 /nfs/dbraw/zinc/97/88/65/1060978865.db2.gz OPIBTNKCBRFJJL-MOPGFXCFSA-N 0 3 316.489 4.034 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2ccc3c(n2)CCCC3)C1(C)C ZINC001462155457 1060979549 /nfs/dbraw/zinc/97/95/49/1060979549.db2.gz OPIBTNKCBRFJJL-OALUTQOASA-N 0 3 316.489 4.034 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@@H]2CCN(C)[C@H]2C)c(C(C)C)c1 ZINC001562127131 1060980971 /nfs/dbraw/zinc/98/09/71/1060980971.db2.gz ZPBZKNLVCIFHGX-WMLDXEAASA-N 0 3 317.477 4.148 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@@H](c3ccccc3)[C@@H]2C)cc1 ZINC001473213996 1060985453 /nfs/dbraw/zinc/98/54/53/1060985453.db2.gz YEAICDZIMQPOKT-OXJNMPFZSA-N 0 3 322.452 4.066 20 0 DIADHN CC1(C)CCN(Cc2cc3c(ccnc3Cl)o2)CCS1 ZINC001462164684 1060985725 /nfs/dbraw/zinc/98/57/25/1060985725.db2.gz TUSSHOGEWZPUGA-UHFFFAOYSA-N 0 3 310.850 4.199 20 0 DIADHN COCCN(Cc1csc(C(F)(F)F)c1)[C@H]1CC[C@H](C)C1 ZINC001462173863 1060995059 /nfs/dbraw/zinc/99/50/59/1060995059.db2.gz DSWPMRUHFJUBTN-AAEUAGOBSA-N 0 3 321.408 4.404 20 0 DIADHN CCCC[C@H](CC)CN1CCOC[C@H]1C[C@@H](O)c1ccccc1 ZINC001473221097 1060995740 /nfs/dbraw/zinc/99/57/40/1060995740.db2.gz WRAKESVBCINQPB-DFQSSKMNSA-N 0 3 319.489 4.027 20 0 DIADHN CCc1onc(C)c1CN1CCC(Cc2ccccc2F)CC1 ZINC001473219104 1060999196 /nfs/dbraw/zinc/99/91/96/1060999196.db2.gz BCUSSLDASXVVML-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN Cc1ccc(OC2CCN(Cc3cccc4c3NCC4)CC2)cc1 ZINC000346857512 1061004181 /nfs/dbraw/zinc/00/41/81/1061004181.db2.gz WPJFTJHMKMPDIQ-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCSC[C@H]1c1cnn(C)c1 ZINC001462184101 1061004867 /nfs/dbraw/zinc/00/48/67/1061004867.db2.gz NYOVXDAAGLOFMY-YJBOKZPZSA-N 0 3 321.534 4.117 20 0 DIADHN Oc1cccnc1-c1nc2cc(C(F)(F)F)cc(Cl)c2[nH]1 ZINC001250692879 1061022424 /nfs/dbraw/zinc/02/24/24/1061022424.db2.gz DNGVFGNJSHQWRJ-UHFFFAOYSA-N 0 3 313.666 4.003 20 0 DIADHN CO[C@H](CNC1(c2ccc(F)cc2)CC1)c1ccc(Cl)cc1 ZINC001473250873 1061028282 /nfs/dbraw/zinc/02/82/82/1061028282.db2.gz FNLORHBLXRXSKJ-QGZVFWFLSA-N 0 3 319.807 4.446 20 0 DIADHN CN(CC[C@H]1CCc2ccccc21)Cc1nc2ccccc2o1 ZINC001473252409 1061031710 /nfs/dbraw/zinc/03/17/10/1061031710.db2.gz MEOMRMQVAAXNFC-MRXNPFEDSA-N 0 3 306.409 4.380 20 0 DIADHN CO[C@H]1C[C@@H](N(C)Cc2cnc(-c3ccc(C)c(C)c3)s2)C1 ZINC001462217068 1061034823 /nfs/dbraw/zinc/03/48/23/1061034823.db2.gz UYZTYZTVUDENFM-IYBDPMFKSA-N 0 3 316.470 4.036 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@H]2CCCC[C@H]2C)cc(Cl)c1[O-] ZINC001462218505 1061036657 /nfs/dbraw/zinc/03/66/57/1061036657.db2.gz UGWGCCFSUWCMHC-DOMZBBRYSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@H]2CCCC[C@H]2C)cc(Cl)c1[O-] ZINC001462218505 1061036678 /nfs/dbraw/zinc/03/66/78/1061036678.db2.gz UGWGCCFSUWCMHC-DOMZBBRYSA-N 0 3 311.853 4.455 20 0 DIADHN COc1ccc(CN2CCC(CC(F)(F)F)CC2)c(C)c1 ZINC001462221532 1061037754 /nfs/dbraw/zinc/03/77/54/1061037754.db2.gz USBDHDPAPYGFHO-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN Cc1ccc2c(c1)CN(CC(C)(C)Oc1ccccc1)CCO2 ZINC001462223794 1061038464 /nfs/dbraw/zinc/03/84/64/1061038464.db2.gz ULNXXQHVAXZENB-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN Cn1ncc(CN2CCC[C@](C)(c3ccccc3)CC2)c1Cl ZINC001462225986 1061040568 /nfs/dbraw/zinc/04/05/68/1061040568.db2.gz PMRXZAAUIMUUHR-SFHVURJKSA-N 0 3 317.864 4.017 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2CC(C)C)cc1O ZINC001473275068 1061048455 /nfs/dbraw/zinc/04/84/55/1061048455.db2.gz SFFQCLDIAIFWQK-UHFFFAOYSA-N 0 3 313.441 4.231 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@H](CC(C)C)C2)c(C)c1 ZINC001473278435 1061048571 /nfs/dbraw/zinc/04/85/71/1061048571.db2.gz UQQAXQWTHJZHMJ-ZWKOTPCHSA-N 0 3 316.489 4.389 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462256327 1061056895 /nfs/dbraw/zinc/05/68/95/1061056895.db2.gz WPAFKBWZLLTAGB-ZUZCIYMTSA-N 0 3 323.333 4.451 20 0 DIADHN Clc1ccccc1-c1cc(CN2CC[C@H](C3CC3)C2)no1 ZINC001473288951 1061059806 /nfs/dbraw/zinc/05/98/06/1061059806.db2.gz ZEILMKGQBDEQKM-ZDUSSCGKSA-N 0 3 302.805 4.227 20 0 DIADHN CS[C@@H]1CCCCN(Cc2cc(C)ccc2OC(F)F)C1 ZINC001473290936 1061060983 /nfs/dbraw/zinc/06/09/83/1061060983.db2.gz APWAZTOACGCZMD-CQSZACIVSA-N 0 3 315.429 4.314 20 0 DIADHN Cc1cccc(CN2CC[C@]3(C2)CCc2ccccc2O3)c1F ZINC001462290534 1061083159 /nfs/dbraw/zinc/08/31/59/1061083159.db2.gz LCPPBARDDPGETI-FQEVSTJZSA-N 0 3 311.400 4.104 20 0 DIADHN CC1(C)CN(Cc2ccc3[nH]ccc3c2)Cc2ccccc2O1 ZINC001462299173 1061087834 /nfs/dbraw/zinc/08/78/34/1061087834.db2.gz LUMVOODUIGJKJJ-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H]2CCCN(c3ccccc3)C2=O)c1C ZINC001473334775 1061095322 /nfs/dbraw/zinc/09/53/22/1061095322.db2.gz MAZCYPJAKCLBCB-FXAWDEMLSA-N 0 3 322.452 4.150 20 0 DIADHN Brc1ccccc1CCCN1CC2CCC1CC2 ZINC001473333531 1061095446 /nfs/dbraw/zinc/09/54/46/1061095446.db2.gz DACHADICBLXGNO-UHFFFAOYSA-N 0 3 308.263 4.256 20 0 DIADHN COc1ccccc1C1(NCCOC2CCCCCC2)CCC1 ZINC001473333481 1061095737 /nfs/dbraw/zinc/09/57/37/1061095737.db2.gz BVUNLMYLOWHJSV-UHFFFAOYSA-N 0 3 317.473 4.403 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(Cc1cnn(C)c1)CC(C)C ZINC001462313457 1061100227 /nfs/dbraw/zinc/10/02/27/1061100227.db2.gz YLLPQKYKLVZAOU-UHFFFAOYSA-N 0 3 319.880 4.349 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC001473346559 1061101547 /nfs/dbraw/zinc/10/15/47/1061101547.db2.gz NLMQVRIBVZSIGN-MSOLQXFVSA-N 0 3 319.489 4.048 20 0 DIADHN CCC[C@H](C)CCCN1CCN(Cc2cccc(F)c2)CC1 ZINC001473344877 1061102656 /nfs/dbraw/zinc/10/26/56/1061102656.db2.gz HBPNQRKMPFYUGU-KRWDZBQOSA-N 0 3 306.469 4.160 20 0 DIADHN Cc1ccc(Br)c(CNC[C@@H]2CC2(Cl)Cl)c1 ZINC001462353477 1061102838 /nfs/dbraw/zinc/10/28/38/1061102838.db2.gz JUVQBKBTCFWQPG-JTQLQIEISA-N 0 3 323.061 4.041 20 0 DIADHN Cc1nc(N2CCN(CCCC3CCCCC3)CC2)sc1C ZINC001473344527 1061102883 /nfs/dbraw/zinc/10/28/83/1061102883.db2.gz SSQBZUNBAGNWSC-UHFFFAOYSA-N 0 3 321.534 4.242 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(c2nc(C)c(C)s2)CC1 ZINC001473344583 1061103003 /nfs/dbraw/zinc/10/30/03/1061103003.db2.gz VVTYWVBMHZXURC-CQSZACIVSA-N 0 3 309.523 4.098 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN2CC(C3CCCCC3)C2)o1 ZINC001462321266 1061104249 /nfs/dbraw/zinc/10/42/49/1061104249.db2.gz LTZJYLQKBMHAPH-UHFFFAOYSA-N 0 3 313.445 4.001 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](C)C(=O)N[C@H](C)c2ccccc2)c1 ZINC001473349780 1061105376 /nfs/dbraw/zinc/10/53/76/1061105376.db2.gz MJEMSJHDZSKAAR-KZNAEPCWSA-N 0 3 324.468 4.220 20 0 DIADHN CCc1[nH]nc(Cl)c1CN(C)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001462329812 1061108481 /nfs/dbraw/zinc/10/84/81/1061108481.db2.gz AFJATXBTOXRXPZ-PBHICJAKSA-N 0 3 317.864 4.394 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)CO1)c1nc2ccccc2s1 ZINC001473356164 1061111513 /nfs/dbraw/zinc/11/15/13/1061111513.db2.gz SWYSHXBWZBHQCW-STQMWFEESA-N 0 3 304.459 4.152 20 0 DIADHN OCC[C@@H](NC/C=C\c1ccc(Cl)cc1)c1cccs1 ZINC001473368973 1061115765 /nfs/dbraw/zinc/11/57/65/1061115765.db2.gz VYBNIIATDRBGDL-PEXHTFQZSA-N 0 3 307.846 4.128 20 0 DIADHN C[C@@H](N[C@@H](C)c1cn(-c2ccccc2)nn1)C1CCCCCC1 ZINC001473369465 1061116145 /nfs/dbraw/zinc/11/61/45/1061116145.db2.gz RXDSTSWDSWZULO-CVEARBPZSA-N 0 3 312.461 4.277 20 0 DIADHN COC(=O)c1ccc(CN2CC3(CCCC3)[C@@H]2C(C)C)c(F)c1 ZINC001462340309 1061117711 /nfs/dbraw/zinc/11/77/11/1061117711.db2.gz VYBHTNLLGKNDSR-KRWDZBQOSA-N 0 3 319.420 4.013 20 0 DIADHN COC(=O)c1ccc(CN2CC3(CCCC3)[C@H]2C(C)C)c(F)c1 ZINC001462340313 1061117779 /nfs/dbraw/zinc/11/77/79/1061117779.db2.gz VYBHTNLLGKNDSR-QGZVFWFLSA-N 0 3 319.420 4.013 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001473377738 1061121201 /nfs/dbraw/zinc/12/12/01/1061121201.db2.gz HKMNBUSUEFOOJT-KGLIPLIRSA-N 0 3 300.421 4.491 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001473377740 1061122143 /nfs/dbraw/zinc/12/21/43/1061122143.db2.gz HKMNBUSUEFOOJT-ZIAGYGMSSA-N 0 3 300.421 4.491 20 0 DIADHN C[C@H]1CCC[C@H]1NCc1oc2ccccc2c1Br ZINC001473379967 1061122871 /nfs/dbraw/zinc/12/28/71/1061122871.db2.gz DEBCLORXKAPSQQ-CMPLNLGQSA-N 0 3 308.219 4.474 20 0 DIADHN CCNc1ccccc1CN1CCC[C@H](CC(F)(F)F)C1 ZINC001462354572 1061126163 /nfs/dbraw/zinc/12/61/63/1061126163.db2.gz JZIBDGHRASQAQU-CYBMUJFWSA-N 0 3 300.368 4.283 20 0 DIADHN CC(C)C1(c2ccccc2)CN(Cc2ccc3c(c2)C[C@H](C)O3)C1 ZINC001462356635 1061126698 /nfs/dbraw/zinc/12/66/98/1061126698.db2.gz PWZKUWUFVOXKJD-KRWDZBQOSA-N 0 3 321.464 4.420 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1coc(-c2ccccc2F)n1 ZINC001473383238 1061127173 /nfs/dbraw/zinc/12/71/73/1061127173.db2.gz DGWJHWWELRNNIM-SUMWQHHRSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1coc(-c2ccccc2F)n1 ZINC001473383235 1061127550 /nfs/dbraw/zinc/12/75/50/1061127550.db2.gz DGWJHWWELRNNIM-GUYCJALGSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1coc(-c2cccc(OC)c2)n1 ZINC001473383864 1061128169 /nfs/dbraw/zinc/12/81/69/1061128169.db2.gz HGKYJZPWEPGERG-SUMWQHHRSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CCCC[C@H](C)C1 ZINC001473395726 1061135114 /nfs/dbraw/zinc/13/51/14/1061135114.db2.gz MZPBJJVYOFSCGS-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)[C@H]1C ZINC001473401897 1061137475 /nfs/dbraw/zinc/13/74/75/1061137475.db2.gz FKYWMUHCWWVFDY-NWDGAFQWSA-N 0 3 306.356 4.240 20 0 DIADHN Fc1ccc(/C=C/CN2CCSC[C@@H]2C2CCC2)cc1F ZINC001462382481 1061140015 /nfs/dbraw/zinc/14/00/15/1061140015.db2.gz GCJVPGWXCSQLAP-RCAFFNEUSA-N 0 3 309.425 4.196 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CC[C@H](C)C2(CCCCC2)C1 ZINC001473406862 1061141239 /nfs/dbraw/zinc/14/12/39/1061141239.db2.gz XHDHPMVAESAZQU-IRXDYDNUSA-N 0 3 314.473 4.306 20 0 DIADHN Cc1ccccc1-n1cc(CN2CCC[C@H]2CC2CCCC2)nn1 ZINC001462388431 1061143990 /nfs/dbraw/zinc/14/39/90/1061143990.db2.gz CRLJKRTVSRIJDW-IBGZPJMESA-N 0 3 324.472 4.120 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@@H](OCC2CC2)C1 ZINC001473410908 1061146080 /nfs/dbraw/zinc/14/60/80/1061146080.db2.gz YDGIMZHMQZGZQN-LJQANCHMSA-N 0 3 317.473 4.210 20 0 DIADHN CCN(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@@H]1CCCOC1 ZINC001462399205 1061150745 /nfs/dbraw/zinc/15/07/45/1061150745.db2.gz GFWNFBCWQXASEW-CQSZACIVSA-N 0 3 321.770 4.360 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@@H](C(C)(C)C)C2)c1 ZINC001473421742 1061151262 /nfs/dbraw/zinc/15/12/62/1061151262.db2.gz IZZDBNIQHAOUTE-OAHLLOKOSA-N 0 3 323.864 4.385 20 0 DIADHN CS[C@@H]1CCCC[C@H]1NCc1cncc(Cl)c1Cl ZINC001462403499 1061152141 /nfs/dbraw/zinc/15/21/41/1061152141.db2.gz YUZPKPIAGMTFBF-VXGBXAGGSA-N 0 3 305.274 4.152 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@H](C(C)(C)C)C2)c(C)c1 ZINC001473422408 1061153370 /nfs/dbraw/zinc/15/33/70/1061153370.db2.gz RCRDLYBHIPWBEE-KRWDZBQOSA-N 0 3 316.489 4.308 20 0 DIADHN CC1=CCCN([C@@H]2CCCc3ccc(Br)cc32)C1 ZINC001473426311 1061156702 /nfs/dbraw/zinc/15/67/02/1061156702.db2.gz UZTPTZAVHNXAAW-MRXNPFEDSA-N 0 3 306.247 4.479 20 0 DIADHN COc1cccc(SCCN2CC[C@H](C(F)(F)F)[C@@H]2C)c1 ZINC001473437654 1061158380 /nfs/dbraw/zinc/15/83/80/1061158380.db2.gz DNQBHYCWZWJNFS-FZMZJTMJSA-N 0 3 319.392 4.060 20 0 DIADHN CC(C)C[C@]1(CO)CCCN1Cc1cccc(Cl)c1Cl ZINC001473440055 1061160686 /nfs/dbraw/zinc/16/06/86/1061160686.db2.gz QRFVXNGECVMIAZ-INIZCTEOSA-N 0 3 316.272 4.366 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(C(F)(F)F)co2)C12CCCC2 ZINC001473457315 1061169328 /nfs/dbraw/zinc/16/93/28/1061169328.db2.gz SBDKLYKKHYPCQK-KBPBESRZSA-N 0 3 317.351 4.126 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1nnn(-c2ccccc2)c1C1CC1 ZINC001462431077 1061169797 /nfs/dbraw/zinc/16/97/97/1061169797.db2.gz WKKCNMQVVMUMEX-CQSZACIVSA-N 0 3 312.461 4.059 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1ccncc1C ZINC001473461566 1061173019 /nfs/dbraw/zinc/17/30/19/1061173019.db2.gz AGHNRCKMBRBADD-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN Fc1ccc(/C=C\CN2CCC([C@H]3CCCCO3)CC2)cc1F ZINC001462440749 1061176281 /nfs/dbraw/zinc/17/62/81/1061176281.db2.gz LDXIMCIROIDVOE-ZRHASKPBSA-N 0 3 321.411 4.259 20 0 DIADHN Cc1scc(CN2CC[C@@H](C(=O)OC(C)(C)C)[C@H](C)C2)c1C ZINC001462443365 1061177523 /nfs/dbraw/zinc/17/75/23/1061177523.db2.gz SKHHKDMAMDYXMR-MLGOLLRUSA-N 0 3 323.502 4.165 20 0 DIADHN Cc1scc(CN2CC[C@@H](C(=O)OC(C)(C)C)[C@@H](C)C2)c1C ZINC001462443351 1061178235 /nfs/dbraw/zinc/17/82/35/1061178235.db2.gz SKHHKDMAMDYXMR-BLLLJJGKSA-N 0 3 323.502 4.165 20 0 DIADHN Fc1ccc(Cl)c(CN2CCCc3ccccc3CC2)c1 ZINC001473478574 1061182322 /nfs/dbraw/zinc/18/23/22/1061182322.db2.gz WQTHUYKHCWNRCZ-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN Cc1cccc2[nH]c(CN3CC[C@@H](Oc4ccccc4)C3)cc21 ZINC001462448970 1061182439 /nfs/dbraw/zinc/18/24/39/1061182439.db2.gz VYSKTPQMOSVIPL-GOSISDBHSA-N 0 3 306.409 4.130 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@H](CO)c1ccc(F)cc1F ZINC001462453005 1061183980 /nfs/dbraw/zinc/18/39/80/1061183980.db2.gz PCXMJEABCFLTHV-RDTXWAMCSA-N 0 3 311.416 4.360 20 0 DIADHN CC1(C)CC[C@H]1NCc1oc2ccccc2c1Br ZINC001473484539 1061184252 /nfs/dbraw/zinc/18/42/52/1061184252.db2.gz RBSVAJQKOSCROF-CYBMUJFWSA-N 0 3 308.219 4.474 20 0 DIADHN Cc1cc(C)c(CN(C)[C@@H](CO)c2ccc(Cl)cc2)c(C)c1 ZINC001473494871 1061191237 /nfs/dbraw/zinc/19/12/37/1061191237.db2.gz XIFFERUFGVRPJZ-IBGZPJMESA-N 0 3 317.860 4.431 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccc1Cl ZINC001473501398 1061196485 /nfs/dbraw/zinc/19/64/85/1061196485.db2.gz IVAIAXXYTXMTBR-MJVIPROJSA-N 0 3 321.770 4.491 20 0 DIADHN CCc1ccc(C2CCN(Cc3cccc(F)c3N)CC2)cc1 ZINC001462481521 1061200948 /nfs/dbraw/zinc/20/09/48/1061200948.db2.gz ZDKTYAORFBKJGW-UHFFFAOYSA-N 0 3 312.432 4.350 20 0 DIADHN CCCCN(Cc1nc(CC2CC2)no1)[C@H]1CCC[C@H](C)C1 ZINC001473510191 1061206553 /nfs/dbraw/zinc/20/65/53/1061206553.db2.gz OJRQOAAQFGOGJR-HOCLYGCPSA-N 0 3 305.466 4.203 20 0 DIADHN Cc1cc(C)cc(CN2CCN(c3cccc(F)c3)C[C@H]2C)c1 ZINC001473515591 1061208289 /nfs/dbraw/zinc/20/82/89/1061208289.db2.gz JKOLBBOWJDUMJR-QGZVFWFLSA-N 0 3 312.432 4.153 20 0 DIADHN CCn1cc(CN2CC(c3ccccc3)C2)c(-c2ccccc2)n1 ZINC001462495857 1061210988 /nfs/dbraw/zinc/21/09/88/1061210988.db2.gz JTUSNDLWFNZNEL-UHFFFAOYSA-N 0 3 317.436 4.169 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)nc(C)n1 ZINC001473521475 1061216052 /nfs/dbraw/zinc/21/60/52/1061216052.db2.gz IEBPGTYTUCBFDK-UHFFFAOYSA-N 0 3 323.484 4.165 20 0 DIADHN COCCCC1(CN[C@@H]2c3ccccc3CC[C@@H]2F)CCC1 ZINC001462527334 1061238856 /nfs/dbraw/zinc/23/88/56/1061238856.db2.gz KDAFPZFLYFRLRR-ZWKOTPCHSA-N 0 3 305.437 4.199 20 0 DIADHN C[C@H]1[C@@H](C)N(CC(=O)Nc2ccc(-c3ccccc3)cc2)C[C@H]1C ZINC001473566456 1061252700 /nfs/dbraw/zinc/25/27/00/1061252700.db2.gz SJRIFACTUMXLMH-BRWVUGGUSA-N 0 3 322.452 4.268 20 0 DIADHN CC[C@](O)(CNC1(c2cccc(Cl)c2)CCC1)C(F)(F)F ZINC001473580700 1061272841 /nfs/dbraw/zinc/27/28/41/1061272841.db2.gz VESZRVXJZKDSAV-AWEZNQCLSA-N 0 3 321.770 4.012 20 0 DIADHN Brc1cnccc1CN1CCC[C@H]1CC1CCCC1 ZINC001473595709 1061274078 /nfs/dbraw/zinc/27/40/78/1061274078.db2.gz LNQNHIFEPXVGIW-HNNXBMFYSA-N 0 3 323.278 4.389 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@@H](OC2CCC2)C1 ZINC001473595921 1061279105 /nfs/dbraw/zinc/27/91/05/1061279105.db2.gz RGCJLUPPPQJALV-LJQANCHMSA-N 0 3 317.473 4.352 20 0 DIADHN C[C@H](NC[C@H]1CC1(Cl)Cl)c1cc(Cl)cc2c1OCC2 ZINC001462615801 1061298668 /nfs/dbraw/zinc/29/86/68/1061298668.db2.gz TUNVVQQTWNHIPY-WCBMZHEXSA-N 0 3 320.647 4.119 20 0 DIADHN COc1ncc(CN2CCC3(CCCCC3)CC2)cc1Cl ZINC001473625435 1061301175 /nfs/dbraw/zinc/30/11/75/1061301175.db2.gz LXWDULOVWOHMKL-UHFFFAOYSA-N 0 3 308.853 4.290 20 0 DIADHN Cc1cccc(C)c1[C@@H]1CCC[N@@H+]1Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001473634102 1061303191 /nfs/dbraw/zinc/30/31/91/1061303191.db2.gz CRVSXVFOIKDKRO-KRWDZBQOSA-N 0 3 323.440 4.014 20 0 DIADHN Cc1cccc(C)c1[C@@H]1CCC[N@H+]1Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001473634102 1061303208 /nfs/dbraw/zinc/30/32/08/1061303208.db2.gz CRVSXVFOIKDKRO-KRWDZBQOSA-N 0 3 323.440 4.014 20 0 DIADHN FC1(F)CC[C@H](CCNCc2c(Cl)ccnc2Cl)C1 ZINC001462646802 1061315229 /nfs/dbraw/zinc/31/52/29/1061315229.db2.gz XHPQBDKLARIAAR-SECBINFHSA-N 0 3 309.187 4.304 20 0 DIADHN FC(F)[C@H](NC[C@H]1CCCC12CCOCC2)C1CCCCC1 ZINC001473657551 1061321592 /nfs/dbraw/zinc/32/15/92/1061321592.db2.gz IVSXDWLJKAHTGK-HZPDHXFCSA-N 0 3 315.448 4.387 20 0 DIADHN CCC(C)(C)CCN[C@H](CO)c1cccc(Cl)c1Cl ZINC001473658630 1061322015 /nfs/dbraw/zinc/32/20/15/1061322015.db2.gz IMGYAQLMNPXSCV-CYBMUJFWSA-N 0 3 304.261 4.443 20 0 DIADHN CCSc1ccccc1CN1CC[C@@H](OCCC(C)C)C1 ZINC001473680335 1061343010 /nfs/dbraw/zinc/34/30/10/1061343010.db2.gz RBQFXPQKMRRGTD-QGZVFWFLSA-N 0 3 307.503 4.436 20 0 DIADHN CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2CCC1CCCCCC1 ZINC001473698618 1061354936 /nfs/dbraw/zinc/35/49/36/1061354936.db2.gz UNEMQKHLDPZEBY-IRXDYDNUSA-N 0 3 322.493 4.041 20 0 DIADHN C[C@H](CN(C)CC(=O)N(C)[C@@H]1CCCC[C@@H]1C)C1CCCCC1 ZINC001473706893 1061361396 /nfs/dbraw/zinc/36/13/96/1061361396.db2.gz QLFQVEVKUUBQOC-YQVWRLOYSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1cncc(CN2CCC(Cc3ccccc3Cl)CC2)c1 ZINC001473724770 1061371189 /nfs/dbraw/zinc/37/11/89/1061371189.db2.gz XXQLMCOJBJASPK-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3F)C2(C)C)o1 ZINC001473726801 1061375224 /nfs/dbraw/zinc/37/52/24/1061375224.db2.gz OUFHAAPPARJWHZ-OAHLLOKOSA-N 0 3 302.393 4.144 20 0 DIADHN COc1ccc(Cl)c(CN2CCC[C@@H](OC3CCC3)CC2)c1 ZINC001473727453 1061375738 /nfs/dbraw/zinc/37/57/38/1061375738.db2.gz IISLTNZYIHHKTK-MRXNPFEDSA-N 0 3 323.864 4.272 20 0 DIADHN Cc1cncc([C@@H]2CCCN2C[C@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC001473733465 1061379876 /nfs/dbraw/zinc/37/98/76/1061379876.db2.gz CFNTWXNMPLXHHT-HKBOAZHASA-N 0 3 322.452 4.447 20 0 DIADHN CN1CCN(CC2CCC(C(C)(C)C)CC2)Cc2cccnc21 ZINC001473741006 1061384998 /nfs/dbraw/zinc/38/49/98/1061384998.db2.gz JYUVZSBJWHFGDE-UHFFFAOYSA-N 0 3 315.505 4.186 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3Cl)C2(C)C)ncn1 ZINC001473750086 1061392892 /nfs/dbraw/zinc/39/28/92/1061392892.db2.gz FURXEMRRUCWZAI-MRXNPFEDSA-N 0 3 315.848 4.207 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3ccc(F)c(F)c3)C2(C)C)s1 ZINC001473762419 1061398291 /nfs/dbraw/zinc/39/82/91/1061398291.db2.gz RWGQLULBYIWNLB-AWEZNQCLSA-N 0 3 322.424 4.498 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](C)N(CCCC(C)(F)F)CC2 ZINC001473771087 1061402151 /nfs/dbraw/zinc/40/21/51/1061402151.db2.gz UFRQKAOYOXYPLJ-GFCCVEGCSA-N 0 3 313.388 4.058 20 0 DIADHN CSc1ccc(OCCN2CC[C@@](C)(C(F)(F)F)C2)cc1 ZINC001473773044 1061402221 /nfs/dbraw/zinc/40/22/21/1061402221.db2.gz OQHMUUFBMQOGHZ-CQSZACIVSA-N 0 3 319.392 4.062 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C)C[C@H](C)C(C)(C)C)c1 ZINC001473780101 1061406448 /nfs/dbraw/zinc/40/64/48/1061406448.db2.gz VPWAPLBMBMQNRQ-LBPRGKRZSA-N 0 3 311.853 4.241 20 0 DIADHN COCCN(Cc1ccc(C)c(C)c1)C[C@@H]1CCCC(C)(C)O1 ZINC001473803156 1061413027 /nfs/dbraw/zinc/41/30/27/1061413027.db2.gz VTTXCNAQDVZSAE-IBGZPJMESA-N 0 3 319.489 4.100 20 0 DIADHN CC[C@@H](NC[C@H]1CCCC(C)(C)O1)c1cc(F)ccc1OC ZINC001473813457 1061419986 /nfs/dbraw/zinc/41/99/86/1061419986.db2.gz KOVPBELPYLDMSI-GDBMZVCRSA-N 0 3 309.425 4.223 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(Cl)cc3)C2(C)C)[nH]c1C ZINC001473817793 1061422107 /nfs/dbraw/zinc/42/21/07/1061422107.db2.gz YHITYZOTLUAQRS-INIZCTEOSA-N 0 3 317.864 4.448 20 0 DIADHN OC[C@@H](N[C@@H]1C=CCCCCC1)c1ccccc1C(F)(F)F ZINC001473820814 1061425388 /nfs/dbraw/zinc/42/53/88/1061425388.db2.gz GKJWGZNZADENPA-CZUORRHYSA-N 0 3 313.363 4.217 20 0 DIADHN CN(CCC1CCCCCC1)CC(=O)NCCC1=CCCCC1 ZINC001473823852 1061426290 /nfs/dbraw/zinc/42/62/90/1061426290.db2.gz QDXCESHCGLRJSH-UHFFFAOYSA-N 0 3 320.521 4.285 20 0 DIADHN COc1nscc1CN1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001473836947 1061428687 /nfs/dbraw/zinc/42/86/87/1061428687.db2.gz JLKUIPJSZCWBNO-INIZCTEOSA-N 0 3 316.470 4.167 20 0 DIADHN COc1nscc1CN1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001473836948 1061428830 /nfs/dbraw/zinc/42/88/30/1061428830.db2.gz JLKUIPJSZCWBNO-MRXNPFEDSA-N 0 3 316.470 4.167 20 0 DIADHN Cc1ccc(C)c(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)c1 ZINC001473885169 1061449429 /nfs/dbraw/zinc/44/94/29/1061449429.db2.gz HCOMMGYFJPCRGJ-UTKZUKDTSA-N 0 3 309.453 4.178 20 0 DIADHN CC[C@@H](CC(=O)N(CCN(C)C)Cc1cccs1)C(C)(C)C ZINC001457438629 1061457370 /nfs/dbraw/zinc/45/73/70/1061457370.db2.gz VPTUGSQLCJJKAS-HNNXBMFYSA-N 0 3 324.534 4.101 20 0 DIADHN CSc1ccc(CNC[C@@H](c2ccccc2Cl)N(C)C)o1 ZINC001473911281 1061458158 /nfs/dbraw/zinc/45/81/58/1061458158.db2.gz GOHMWBNMTGSZAA-HNNXBMFYSA-N 0 3 324.877 4.047 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCc1cccs1 ZINC001473911717 1061459071 /nfs/dbraw/zinc/45/90/71/1061459071.db2.gz MJURTPOMTJJLFX-UHFFFAOYSA-N 0 3 304.440 4.105 20 0 DIADHN Fc1cc(CNCc2ccccc2)ccc1OCC(F)(F)F ZINC001473912798 1061460701 /nfs/dbraw/zinc/46/07/01/1061460701.db2.gz YZUNKZRAVHPCPI-UHFFFAOYSA-N 0 3 313.294 4.057 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCOc1ccccc1F ZINC001473920198 1061467586 /nfs/dbraw/zinc/46/75/86/1061467586.db2.gz KTDLMXFGBRXQFT-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN FC(F)(F)Oc1ccc(CNCc2ccc3cc[nH]c3c2)cc1 ZINC001473919869 1061467840 /nfs/dbraw/zinc/46/78/40/1061467840.db2.gz SVJISNCTCPAUKL-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN Cc1cccc([C@H](C)NC(=O)c2ccc(NC3=NCCC3)cc2)c1 ZINC001546092225 1061472520 /nfs/dbraw/zinc/47/25/20/1061472520.db2.gz NYDFDXLBCGPBIP-HNNXBMFYSA-N 0 3 321.424 4.090 20 0 DIADHN COc1ccc(CNCc2ccccc2-c2ccccc2C)cn1 ZINC001473924126 1061473045 /nfs/dbraw/zinc/47/30/45/1061473045.db2.gz KFCXFWITQPTWKU-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN COc1cccc(CCNCc2ccc(Oc3ccccc3)o2)c1 ZINC001473935199 1061479245 /nfs/dbraw/zinc/47/92/45/1061479245.db2.gz UPDWRCHACVPYBT-UHFFFAOYSA-N 0 3 323.392 4.413 20 0 DIADHN CCN(CCNCc1cscc1Cl)c1ccccc1C ZINC001473936867 1061482722 /nfs/dbraw/zinc/48/27/22/1061482722.db2.gz KPRBBMUCWURQAD-UHFFFAOYSA-N 0 3 308.878 4.326 20 0 DIADHN CCc1cccc(CNCc2ccc(OC3CCCC3)nc2)c1 ZINC001473939403 1061484337 /nfs/dbraw/zinc/48/43/37/1061484337.db2.gz BOXYLONVOYEPOY-UHFFFAOYSA-N 0 3 310.441 4.255 20 0 DIADHN CCc1cccc(F)c1CNCCOc1ccc2c(c1)CCC2 ZINC001473949817 1061492531 /nfs/dbraw/zinc/49/25/31/1061492531.db2.gz CVHHJVKVLNEKDL-UHFFFAOYSA-N 0 3 313.416 4.045 20 0 DIADHN c1nc2cc(CN[C@H](c3ccccc3)[C@H]3CCCO3)ccc2s1 ZINC001473961112 1061501352 /nfs/dbraw/zinc/50/13/52/1061501352.db2.gz UTUUBHRNEOPFCV-IEBWSBKVSA-N 0 3 324.449 4.306 20 0 DIADHN c1nc2cc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)ccc2s1 ZINC001473961111 1061502526 /nfs/dbraw/zinc/50/25/26/1061502526.db2.gz UTUUBHRNEOPFCV-HKUYNNGSSA-N 0 3 324.449 4.306 20 0 DIADHN CN(C)[C@H](CNC(=O)CC1CCC(C)(C)CC1)c1ccccc1 ZINC001463693317 1061510937 /nfs/dbraw/zinc/51/09/37/1061510937.db2.gz QCZRRSHIWANSAG-GOSISDBHSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1ccc(CNCc2ccc(Oc3ccccc3)nc2)cc1 ZINC001473969553 1061512869 /nfs/dbraw/zinc/51/28/69/1061512869.db2.gz WJQBZXWPGJJHJY-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN Nc1c(Cl)cccc1CN[C@H]1CCc2c1cccc2Cl ZINC001473978129 1061520209 /nfs/dbraw/zinc/52/02/09/1061520209.db2.gz WZTYKZSMSOZKKJ-HNNXBMFYSA-N 0 3 307.224 4.353 20 0 DIADHN CNc1ccccc1CN[C@@H](CN1CCCCC1)c1ccccc1 ZINC001473977103 1061520469 /nfs/dbraw/zinc/52/04/69/1061520469.db2.gz SDNGGBIADSJYBN-NRFANRHFSA-N 0 3 323.484 4.045 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](O)c1ccc2ccccc2c1 ZINC001473979331 1061523346 /nfs/dbraw/zinc/52/33/46/1061523346.db2.gz PBCMNAPSPRILEA-NRFANRHFSA-N 0 3 323.411 4.365 20 0 DIADHN Cc1csc(CNCCCCOc2ccc(Cl)cc2)n1 ZINC001473992343 1061534906 /nfs/dbraw/zinc/53/49/06/1061534906.db2.gz VZDFDJNQJKIIGW-UHFFFAOYSA-N 0 3 310.850 4.054 20 0 DIADHN c1ccc2c(c1)cccc2CCNCc1nc2c(s1)CCC2 ZINC001473991447 1061535814 /nfs/dbraw/zinc/53/58/14/1061535814.db2.gz MYZWCZOIZRKUJI-UHFFFAOYSA-N 0 3 308.450 4.117 20 0 DIADHN Cc1coc(CN[C@H](COc2ccccc2F)C(C)(C)C)c1 ZINC001473996796 1061539608 /nfs/dbraw/zinc/53/96/08/1061539608.db2.gz ZDZVIUJCZKBHHH-QGZVFWFLSA-N 0 3 305.393 4.310 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCC(=O)Nc1ccccc1 ZINC001474001452 1061542313 /nfs/dbraw/zinc/54/23/13/1061542313.db2.gz QXXSXTQAGHFPCH-UHFFFAOYSA-N 0 3 314.429 4.004 20 0 DIADHN O[C@@H](CN1CCC(c2ccccc2Cl)CC1)c1ccccc1 ZINC001251992379 1061545922 /nfs/dbraw/zinc/54/59/22/1061545922.db2.gz QRQXXUZVBGRCFU-IBGZPJMESA-N 0 3 315.844 4.253 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccc(Cl)s1)c1ccccc1 ZINC001251991541 1061546359 /nfs/dbraw/zinc/54/63/59/1061546359.db2.gz VMVNCLDOGJTXJO-ZIAGYGMSSA-N 0 3 307.846 4.272 20 0 DIADHN O[C@H](CN1CCC(c2ccccc2Cl)CC1)c1ccccc1 ZINC001251992380 1061546798 /nfs/dbraw/zinc/54/67/98/1061546798.db2.gz QRQXXUZVBGRCFU-LJQANCHMSA-N 0 3 315.844 4.253 20 0 DIADHN Brc1c2ccccc2oc1CN[C@H]1CC=CCC1 ZINC001474013963 1061555390 /nfs/dbraw/zinc/55/53/90/1061555390.db2.gz VDFMSNOFDQMFHB-NSHDSACASA-N 0 3 306.203 4.394 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccccc2C)c(Cl)c1 ZINC001474024340 1061563317 /nfs/dbraw/zinc/56/33/17/1061563317.db2.gz HWXNNPTWOHNFEO-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN CC[C@@H](CNCc1cc(C)ccc1F)Oc1ccccc1C ZINC001474028137 1061569055 /nfs/dbraw/zinc/56/90/55/1061569055.db2.gz LTSJLKNFWAFJRM-KRWDZBQOSA-N 0 3 301.405 4.390 20 0 DIADHN CCCC[C@@H](O)CN1[C@@H]2Cc3ccccc3[C@@]1(C)c1ccccc12 ZINC001252095411 1061570441 /nfs/dbraw/zinc/57/04/41/1061570441.db2.gz WZMPWVAHBSZSHJ-YHYVQYDKSA-N 0 3 321.464 4.414 20 0 DIADHN CC[C@H](NCc1cscc1Cl)[C@H](O)c1ccccc1F ZINC001474028952 1061570566 /nfs/dbraw/zinc/57/05/66/1061570566.db2.gz VUYZYUCTANDOPK-LSDHHAIUSA-N 0 3 313.825 4.142 20 0 DIADHN CCOc1cccc(F)c1CN[C@@H]1C[C@H](OCC)C1(CC)CC ZINC001474028349 1061570746 /nfs/dbraw/zinc/57/07/46/1061570746.db2.gz NPBYCHYLDJGLOC-MSOLQXFVSA-N 0 3 323.452 4.298 20 0 DIADHN Cc1nn(C(C)(C)C)c2nc(Cl)c(CNC3CC(C)C3)cc12 ZINC001474037238 1061580423 /nfs/dbraw/zinc/58/04/23/1061580423.db2.gz BHKMVXNCFFQFPZ-UHFFFAOYSA-N 0 3 320.868 4.036 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2Cl)CCCC[C@@H]1O ZINC001474039199 1061582458 /nfs/dbraw/zinc/58/24/58/1061582458.db2.gz OPUWLQGIDMNKQB-GJZGRUSLSA-N 0 3 302.245 4.024 20 0 DIADHN C[C@]1(CNCc2c(Cl)cccc2Cl)CCCC[C@@H]1O ZINC001474037976 1061583276 /nfs/dbraw/zinc/58/32/76/1061583276.db2.gz PZFMWAIOAVKNHM-LSDHHAIUSA-N 0 3 302.245 4.024 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2ccc(F)c(F)c2F)C1(C)C ZINC001474038522 1061583552 /nfs/dbraw/zinc/58/35/52/1061583552.db2.gz XPPCJRZDZOJYHR-UONOGXRCSA-N 0 3 315.379 4.177 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H](CO)c2ccccc2C)c(C)c1 ZINC001474041167 1061586651 /nfs/dbraw/zinc/58/66/51/1061586651.db2.gz NAHJYIBDCYUXLD-PWYGHMFVSA-N 0 3 309.453 4.257 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H](CO)c2ccccc2C)c(C)c1 ZINC001474041166 1061586855 /nfs/dbraw/zinc/58/68/55/1061586855.db2.gz NAHJYIBDCYUXLD-CLNPQZTCSA-N 0 3 309.453 4.257 20 0 DIADHN COc1cccc(CNCC2(OC(C)C)CCCCC2)c1F ZINC001474044977 1061593032 /nfs/dbraw/zinc/59/30/32/1061593032.db2.gz JOCGCEVZZSYAPW-UHFFFAOYSA-N 0 3 309.425 4.052 20 0 DIADHN COc1cc(C)ccc1CNC/C=C/c1ccc(F)c(F)c1 ZINC001474047419 1061593840 /nfs/dbraw/zinc/59/38/40/1061593840.db2.gz BOZMJSCFJNKXPY-ONEGZZNKSA-N 0 3 303.352 4.085 20 0 DIADHN Cc1ccc(CNC[C@H](O)c2cc3ccccc3s2)c(F)c1 ZINC001474051366 1061598166 /nfs/dbraw/zinc/59/81/66/1061598166.db2.gz YTIVLQFUKKVBDV-INIZCTEOSA-N 0 3 315.413 4.172 20 0 DIADHN CCN(C(=O)[C@H](C)N1CCCCCC1)c1ccc2ccccc2c1 ZINC001464611763 1061613524 /nfs/dbraw/zinc/61/35/24/1061613524.db2.gz KCOPMLGSBYOQIX-KRWDZBQOSA-N 0 3 324.468 4.457 20 0 DIADHN C[C@@H]1CCc2nc(CNCCCc3cccs3)sc2C1 ZINC001474070716 1061615699 /nfs/dbraw/zinc/61/56/99/1061615699.db2.gz CSULRLINKQAOOX-GFCCVEGCSA-N 0 3 306.500 4.052 20 0 DIADHN CCCCOc1ccc(CNCc2cc(F)cc(OC)c2)cc1 ZINC001474073068 1061618489 /nfs/dbraw/zinc/61/84/89/1061618489.db2.gz DYOSTKCFNBRPBX-UHFFFAOYSA-N 0 3 317.404 4.303 20 0 DIADHN Cc1ccsc1CCNCc1nc(-c2cccs2)oc1C ZINC001474086640 1061631791 /nfs/dbraw/zinc/63/17/91/1061631791.db2.gz DRYIUFKQSFQHCY-UHFFFAOYSA-N 0 3 318.467 4.414 20 0 DIADHN CC(C)(C)Oc1ccc(CNC/C=C\c2cccc(F)c2)cn1 ZINC001474091380 1061637425 /nfs/dbraw/zinc/63/74/25/1061637425.db2.gz FQBVECINJZINQI-ALCCZGGFSA-N 0 3 314.404 4.201 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1N)c1ccc2c(c1)CCC2 ZINC001474098383 1061645190 /nfs/dbraw/zinc/64/51/90/1061645190.db2.gz VDGZOSJZDSHAHS-GFCCVEGCSA-N 0 3 300.833 4.262 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CNCc1ccc(F)c(Cl)c1Cl ZINC001474100819 1061648346 /nfs/dbraw/zinc/64/83/46/1061648346.db2.gz UYVJYUNMRNDDCX-AWEZNQCLSA-N 0 3 322.251 4.265 20 0 DIADHN Cc1ccc(CNC[C@@](C)(O)c2cccc(Cl)c2)c(Cl)c1 ZINC001474101881 1061649042 /nfs/dbraw/zinc/64/90/42/1061649042.db2.gz CKTAERNLKMBEOV-QGZVFWFLSA-N 0 3 324.251 4.299 20 0 DIADHN CCOc1ccc(CNCC2(c3ccc(F)cc3Cl)CC2)o1 ZINC001474102625 1061650427 /nfs/dbraw/zinc/65/04/27/1061650427.db2.gz OYDVYWOZPRMCDO-UHFFFAOYSA-N 0 3 323.795 4.292 20 0 DIADHN COC1(CNCc2cc(Cl)ccc2Cl)CCCCC1 ZINC001474117615 1061666722 /nfs/dbraw/zinc/66/67/22/1061666722.db2.gz ZTLQBICXGJKHMA-UHFFFAOYSA-N 0 3 302.245 4.432 20 0 DIADHN COC[C@@H](NC/C=C\c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC001474128776 1061673707 /nfs/dbraw/zinc/67/37/07/1061673707.db2.gz HCDFNYUWQBEBJT-LHRDXKRPSA-N 0 3 319.807 4.470 20 0 DIADHN Cc1cc(CNCCSC(C)C)c(Br)cc1F ZINC001474139198 1061686545 /nfs/dbraw/zinc/68/65/45/1061686545.db2.gz XHRXWAUYBKKIDE-UHFFFAOYSA-N 0 3 320.271 4.128 20 0 DIADHN Clc1cnc(CNC[C@H]2CCC[C@@H]2c2ccccc2)s1 ZINC001474159772 1061701834 /nfs/dbraw/zinc/70/18/34/1061701834.db2.gz OTOCRNUIGBSROC-ZIAGYGMSSA-N 0 3 306.862 4.470 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCC1=CCCCC1 ZINC001474162749 1061705486 /nfs/dbraw/zinc/70/54/86/1061705486.db2.gz SKXIGHHTZKCNPL-UHFFFAOYSA-N 0 3 308.425 4.347 20 0 DIADHN Clc1ccc(CC2(NCc3cn(C4CC4)cn3)CCC2)cc1 ZINC001474162862 1061706466 /nfs/dbraw/zinc/70/64/66/1061706466.db2.gz ZATVOEHDQWFYEN-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN COCC1CCC(NCc2nc(-c3cccs3)oc2C)CC1 ZINC001474178208 1061723797 /nfs/dbraw/zinc/72/37/97/1061723797.db2.gz CPZNYBAEAIEZBX-UHFFFAOYSA-N 0 3 320.458 4.006 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(-c2ccccc2F)o1 ZINC001474178096 1061724486 /nfs/dbraw/zinc/72/44/86/1061724486.db2.gz MSPWRPDYGYSFHJ-SJLPKXTDSA-N 0 3 303.377 4.133 20 0 DIADHN CC[C@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@@H](O)C(C)C ZINC001474180865 1061727247 /nfs/dbraw/zinc/72/72/47/1061727247.db2.gz PXHLMBDWAVKOPO-KBPBESRZSA-N 0 3 323.786 4.244 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2C[C@@H](C)C[C@H](c3ccccc3)C2)n1 ZINC001474179330 1061728693 /nfs/dbraw/zinc/72/86/93/1061728693.db2.gz NXCWNINMDCTSBO-JCGIZDLHSA-N 0 3 310.441 4.158 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@@H]3C[C@H]3C3CCC3)cs2)cc1 ZINC001474187933 1061735408 /nfs/dbraw/zinc/73/54/08/1061735408.db2.gz QKWDKSGFEXNAPL-YOEHRIQHSA-N 0 3 316.445 4.475 20 0 DIADHN CC(C)(C)OC(=O)C(C)(C)CCNCc1cscc1Cl ZINC001474186635 1061736340 /nfs/dbraw/zinc/73/63/40/1061736340.db2.gz LHTJBGNBBQVWER-UHFFFAOYSA-N 0 3 317.882 4.249 20 0 DIADHN COC(=O)c1ccc(CNC2(c3cccc(C)c3)CCC2)cc1C ZINC001474190247 1061738041 /nfs/dbraw/zinc/73/80/41/1061738041.db2.gz LKCWFXBBIKICFK-UHFFFAOYSA-N 0 3 323.436 4.259 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1ccccc1F)c1ccc(F)cc1 ZINC001252846237 1061741706 /nfs/dbraw/zinc/74/17/06/1061741706.db2.gz IPQGOHGZRFCRIQ-MOPGFXCFSA-N 0 3 317.379 4.225 20 0 DIADHN Fc1ccc(Cl)cc1CNC[C@@H]1CSc2ccccc2O1 ZINC001474192479 1061741917 /nfs/dbraw/zinc/74/19/17/1061741917.db2.gz SLKPZSOQPGVDDG-CYBMUJFWSA-N 0 3 323.820 4.122 20 0 DIADHN CC[C@H](OCCNCc1ccc(F)c(OC)c1)c1ccccc1 ZINC001474200651 1061750885 /nfs/dbraw/zinc/75/08/85/1061750885.db2.gz HAVOTTWISOQWJW-SFHVURJKSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@@H](C)CCNCc1cc(Br)cnc1Cl ZINC001474203021 1061756717 /nfs/dbraw/zinc/75/67/17/1061756717.db2.gz FDEBBTIXPPZWSZ-SECBINFHSA-N 0 3 305.647 4.023 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nnc(-c2ccc(CC)cc2)o1 ZINC001252947152 1061758024 /nfs/dbraw/zinc/75/80/24/1061758024.db2.gz SLMUHUIHLZLQCT-AWEZNQCLSA-N 0 3 301.434 4.482 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1C[C@@H](OC(C)(C)C)C12CCC2 ZINC001474210321 1061766973 /nfs/dbraw/zinc/76/69/73/1061766973.db2.gz PVMKYSIGXCYLFW-IAGOWNOFSA-N 0 3 321.436 4.050 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001474213709 1061768158 /nfs/dbraw/zinc/76/81/58/1061768158.db2.gz ZQVWCHDJNZCJEF-QAPCUYQASA-N 0 3 303.446 4.055 20 0 DIADHN C[C@H]1CCC[C@@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001474213710 1061769178 /nfs/dbraw/zinc/76/91/78/1061769178.db2.gz ZQVWCHDJNZCJEF-YJBOKZPZSA-N 0 3 303.446 4.055 20 0 DIADHN Cc1cccc2c1C[C@@H](NCc1cc(Cl)ccc1Cl)CO2 ZINC001474217738 1061775877 /nfs/dbraw/zinc/77/58/77/1061775877.db2.gz KKSGIDWFLROKAO-CQSZACIVSA-N 0 3 322.235 4.395 20 0 DIADHN Fc1ccc(F)c(CNCC[C@H]2CC2(Cl)Cl)c1Cl ZINC001474218932 1061777347 /nfs/dbraw/zinc/77/73/47/1061777347.db2.gz UKIQRDOLGMAKPD-ZETCQYMHSA-N 0 3 314.590 4.292 20 0 DIADHN Cc1cnc(CNCCc2cccc(-c3ccccc3)c2)s1 ZINC001474219276 1061778985 /nfs/dbraw/zinc/77/89/85/1061778985.db2.gz YCILVVSSHJAWQZ-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN CO[C@@H](CNCc1ccc(F)c(Cl)c1Cl)C1CCCC1 ZINC001474221465 1061780262 /nfs/dbraw/zinc/78/02/62/1061780262.db2.gz LACZQJFLMUOXRB-ZDUSSCGKSA-N 0 3 320.235 4.427 20 0 DIADHN CC1(C)C[C@@]1(NCc1cnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC001474222579 1061783585 /nfs/dbraw/zinc/78/35/85/1061783585.db2.gz GODMDSKBPBXRQG-OAQYLSRUSA-N 0 3 317.436 4.492 20 0 DIADHN Fc1ccc(CNCC2(C(F)(F)F)CCCC2)c(F)c1F ZINC001474225327 1061788002 /nfs/dbraw/zinc/78/80/02/1061788002.db2.gz CMOITLYANXYJQX-UHFFFAOYSA-N 0 3 311.269 4.316 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1F)c1ccc2ccccc2n1 ZINC001253085247 1061795215 /nfs/dbraw/zinc/79/52/15/1061795215.db2.gz BXBMAFFBAGWOKT-LSDHHAIUSA-N 0 3 324.399 4.492 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H]1C[C@@H](C)O[C@H]1C ZINC001474234455 1061798482 /nfs/dbraw/zinc/79/84/82/1061798482.db2.gz UQKUFUZPQKMGAJ-XUJVJEKNSA-N 0 3 313.894 4.496 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC001474234454 1061798580 /nfs/dbraw/zinc/79/85/80/1061798580.db2.gz UQKUFUZPQKMGAJ-TYNCELHUSA-N 0 3 313.894 4.496 20 0 DIADHN Cc1ccc(CN2CC[C@@H](NCc3sccc3Cl)C2)cc1 ZINC001474241799 1061805664 /nfs/dbraw/zinc/80/56/64/1061805664.db2.gz AABWVELYIYIUPH-OAHLLOKOSA-N 0 3 320.889 4.074 20 0 DIADHN C[C@H]1Cc2cc(CNCc3ccc(Cl)c(F)c3)ccc2O1 ZINC001474259986 1061829511 /nfs/dbraw/zinc/82/95/11/1061829511.db2.gz OTFBNLLMHMYXEW-NSHDSACASA-N 0 3 305.780 4.092 20 0 DIADHN Cc1nc(CNCC2(c3cccc(F)c3)CCC2)sc1C ZINC001474260274 1061829649 /nfs/dbraw/zinc/82/96/49/1061829649.db2.gz RDRVIUNOCHHKBT-UHFFFAOYSA-N 0 3 304.434 4.111 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)cc1 ZINC001465898317 1061832610 /nfs/dbraw/zinc/83/26/10/1061832610.db2.gz QUYLADRUNCJTJP-LJQANCHMSA-N 0 3 316.489 4.334 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC001465899576 1061837481 /nfs/dbraw/zinc/83/74/81/1061837481.db2.gz ISKFXMDJXMFCQS-POAQFYNOSA-N 0 3 322.537 4.362 20 0 DIADHN Cc1cc2cc(CNCc3c(C)cc(CO)cc3C)oc2cc1C ZINC001474273976 1061842450 /nfs/dbraw/zinc/84/24/50/1061842450.db2.gz OCUAZMXMWWTFPP-UHFFFAOYSA-N 0 3 323.436 4.449 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1sc(N(C)C)nc1Cl ZINC001474308914 1061875628 /nfs/dbraw/zinc/87/56/28/1061875628.db2.gz JNVDBTYVYPGYIK-NWDGAFQWSA-N 0 3 315.914 4.263 20 0 DIADHN CC1(C)Cc2cc(CN[C@]3(C)CCOc4ccccc43)ccc2O1 ZINC001474278753 1061846735 /nfs/dbraw/zinc/84/67/35/1061846735.db2.gz UPHQTEKDNPFGQF-OAQYLSRUSA-N 0 3 323.436 4.188 20 0 DIADHN C[C@@]1(NC/C=C/c2ccc(F)c(F)c2)CCOc2ccccc21 ZINC001474278196 1061847603 /nfs/dbraw/zinc/84/76/03/1061847603.db2.gz JTBKJVFZFHQWIL-VZSQWMKGSA-N 0 3 315.363 4.266 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1cccc(OC(F)F)c1 ZINC001474287629 1061855106 /nfs/dbraw/zinc/85/51/06/1061855106.db2.gz QUJLEEOUGYGVIJ-MRXNPFEDSA-N 0 3 307.315 4.204 20 0 DIADHN CC(C)[C@@H]1C[C@H]1NCc1ccc(Br)cc1Cl ZINC001474306773 1061872512 /nfs/dbraw/zinc/87/25/12/1061872512.db2.gz JMBFRDJIGVCJJL-WCQYABFASA-N 0 3 302.643 4.237 20 0 DIADHN CN1CCN(Cc2cccc(C3CC3)c2)[C@@H](c2ccccc2)C1 ZINC001474307827 1061877223 /nfs/dbraw/zinc/87/72/23/1061877223.db2.gz UJBXADAGBBGRSD-OAQYLSRUSA-N 0 3 306.453 4.053 20 0 DIADHN COc1ccc(F)cc1CN1CC[C@@H](c2ccccc2)[C@@H](C)C1 ZINC001474312958 1061883023 /nfs/dbraw/zinc/88/30/23/1061883023.db2.gz RRGDBAWTOSWBNF-HNAYVOBHSA-N 0 3 313.416 4.460 20 0 DIADHN CC(C)[C@H](C(=O)NCC(C)(C)C1CCCCC1)N1CCCCC1 ZINC001466151367 1061886945 /nfs/dbraw/zinc/88/69/45/1061886945.db2.gz NHFFTKUNEISNBC-GOSISDBHSA-N 0 3 322.537 4.220 20 0 DIADHN COCCN(Cc1ccc(Oc2ccccc2)o1)CC(C)C ZINC001474322357 1061901593 /nfs/dbraw/zinc/90/15/93/1061901593.db2.gz GIPFLEDUHQWGGQ-UHFFFAOYSA-N 0 3 303.402 4.176 20 0 DIADHN OC[C@H]1c2ccccc2CCN1Cc1ccc(C2CCC2)cc1 ZINC001474327034 1061915752 /nfs/dbraw/zinc/91/57/52/1061915752.db2.gz AIBGTPMCYXQNAC-NRFANRHFSA-N 0 3 307.437 4.046 20 0 DIADHN FC(F)(F)CCN(Cc1ccc2c(c1)CCCO2)CC1CC1 ZINC001474330151 1061916763 /nfs/dbraw/zinc/91/67/63/1061916763.db2.gz KMFUDKNVBLOYHY-UHFFFAOYSA-N 0 3 313.363 4.176 20 0 DIADHN CC[C@]1(C)CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001474348737 1061958760 /nfs/dbraw/zinc/95/87/60/1061958760.db2.gz KLNAHWUSAUIQCI-QGZVFWFLSA-N 0 3 309.475 4.325 20 0 DIADHN CC[C@]1(C)CCN(Cc2cc(Br)c(C)s2)C1 ZINC001474348010 1061959122 /nfs/dbraw/zinc/95/91/22/1061959122.db2.gz AZPGPLCFBMODGW-CYBMUJFWSA-N 0 3 302.281 4.441 20 0 DIADHN O[C@H](c1ccccc1)[C@@H]1CCCCN1Cc1cc(Cl)cs1 ZINC001474351136 1061961685 /nfs/dbraw/zinc/96/16/85/1061961685.db2.gz RZIFAQSUCIMGRY-DLBZAZTESA-N 0 3 321.873 4.490 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CC[C@H](OCC(C)C)C2)c(C)c1 ZINC001474354305 1061968255 /nfs/dbraw/zinc/96/82/55/1061968255.db2.gz OQRYMOBEQLEHSW-GHOSXJJBSA-N 0 3 301.474 4.372 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@H](c2ccccc2)C[C@@H]1C ZINC001474355542 1061970144 /nfs/dbraw/zinc/97/01/44/1061970144.db2.gz NSLVBXYFGLVJQL-GXTWGEPZSA-N 0 3 303.837 4.004 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1ccc(Br)cc1)C1CC1 ZINC001474358138 1061974588 /nfs/dbraw/zinc/97/45/88/1061974588.db2.gz HBPBJAKZCUBHLV-HOTGVXAUSA-N 0 3 324.262 4.011 20 0 DIADHN CC(C)(C)[C@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001253750960 1061974923 /nfs/dbraw/zinc/97/49/23/1061974923.db2.gz GZBCMKQDQYGJOT-QZTJIDSGSA-N 0 3 317.860 4.426 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)[C@@H]2CCCc3c2cnn3C)cc1C ZINC001474359606 1061980448 /nfs/dbraw/zinc/98/04/48/1061980448.db2.gz YGQICPHQVSXHQR-WHXUGTBJSA-N 0 3 323.484 4.368 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(Oc3cccc(F)c3)cc2)C1 ZINC001474367720 1062001459 /nfs/dbraw/zinc/00/14/59/1062001459.db2.gz NAWKTUBVPATZIJ-IBGZPJMESA-N 0 3 315.388 4.229 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc3cc[nH]c32)cc1OC ZINC001253968712 1062005678 /nfs/dbraw/zinc/00/56/78/1062005678.db2.gz RXNNRVWEDKPWQW-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCCN(Cc1cnn(Cc2ccccc2)c1Cl)CC(C)C ZINC001474373607 1062011869 /nfs/dbraw/zinc/01/18/69/1062011869.db2.gz NZLDJKAEJKRGSW-UHFFFAOYSA-N 0 3 319.880 4.453 20 0 DIADHN FC(F)(F)c1ccc(CN2CCc3ccccc3CC2)s1 ZINC001474375706 1062021366 /nfs/dbraw/zinc/02/13/66/1062021366.db2.gz WGSUKLRLVNMTKN-UHFFFAOYSA-N 0 3 311.372 4.368 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCc2ccccc2CC1 ZINC001474375785 1062021472 /nfs/dbraw/zinc/02/14/72/1062021472.db2.gz XKWKQLGBDRAHOZ-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@H](C)c2nccc3ccccc32)on1 ZINC001474378251 1062023906 /nfs/dbraw/zinc/02/39/06/1062023906.db2.gz NELUTQLKMOYXGO-PBHICJAKSA-N 0 3 307.397 4.429 20 0 DIADHN c1cc(CN2CCC(COc3ccccc3)CC2)n(C2CC2)c1 ZINC001474382143 1062029612 /nfs/dbraw/zinc/02/96/12/1062029612.db2.gz DLOGBQUUQFLNQD-UHFFFAOYSA-N 0 3 310.441 4.114 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC001474383631 1062030395 /nfs/dbraw/zinc/03/03/95/1062030395.db2.gz VGNFTXVXIBEMKB-POZUXBRTSA-N 0 3 303.494 4.481 20 0 DIADHN Cc1ccc(CC2CCN(Cc3cccc(F)c3N)CC2)cc1 ZINC001474388889 1062036534 /nfs/dbraw/zinc/03/65/34/1062036534.db2.gz ZVOVODRWORJLOY-UHFFFAOYSA-N 0 3 312.432 4.171 20 0 DIADHN CC[C@H](NCc1c(C)nc2scc(C)n21)c1ccc(F)cc1 ZINC001474386922 1062037588 /nfs/dbraw/zinc/03/75/88/1062037588.db2.gz MTQGDZVZUVFGPD-HNNXBMFYSA-N 0 3 317.433 4.393 20 0 DIADHN Cc1ccc(C2CCN(Cc3nccc4c3CCCC4)CC2)cn1 ZINC001474388716 1062038352 /nfs/dbraw/zinc/03/83/52/1062038352.db2.gz SRIABIVQRSOVRL-UHFFFAOYSA-N 0 3 321.468 4.043 20 0 DIADHN CC(C)[C@@H]1CCN1Cc1ccc(Oc2ccc(F)cc2)nc1 ZINC001474392918 1062047348 /nfs/dbraw/zinc/04/73/48/1062047348.db2.gz CSCRHRKMBMXNNU-KRWDZBQOSA-N 0 3 300.377 4.243 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001459254406 1062058806 /nfs/dbraw/zinc/05/88/06/1062058806.db2.gz HFZYCGLVZWRUEK-NUJGCVRESA-N 0 3 318.505 4.256 20 0 DIADHN CCCc1cccc(CN2CCN(Cc3cccc(C)c3)CC2)c1 ZINC001474403510 1062060914 /nfs/dbraw/zinc/06/09/14/1062060914.db2.gz ANBYWKBXTJEKLX-UHFFFAOYSA-N 0 3 322.496 4.265 20 0 DIADHN COc1cccc(CN(C)C/C=C\c2ccc(F)cc2F)c1 ZINC001474404114 1062062374 /nfs/dbraw/zinc/06/23/74/1062062374.db2.gz YVOUHOAJCKUTJN-XQRVVYSFSA-N 0 3 303.352 4.119 20 0 DIADHN CCCN(Cc1cc(-c2ccccn2)n[nH]1)Cc1ccc(C)cc1 ZINC001474407269 1062069785 /nfs/dbraw/zinc/06/97/85/1062069785.db2.gz OIVGVIMCCFXFAR-UHFFFAOYSA-N 0 3 320.440 4.192 20 0 DIADHN C=Cc1ccccc1NC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001467151489 1062074556 /nfs/dbraw/zinc/07/45/56/1062074556.db2.gz HZZQPIMXYLKCNC-LJQANCHMSA-N 0 3 323.440 4.062 20 0 DIADHN C=Cc1ccccc1NC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001467151485 1062074802 /nfs/dbraw/zinc/07/48/02/1062074802.db2.gz HZZQPIMXYLKCNC-IBGZPJMESA-N 0 3 323.440 4.062 20 0 DIADHN CN(Cc1nc2cc(Cl)ccc2n1C)CC1CCCCC1 ZINC001474413495 1062077699 /nfs/dbraw/zinc/07/76/99/1062077699.db2.gz MNRXPEDFZHDFOG-UHFFFAOYSA-N 0 3 305.853 4.239 20 0 DIADHN C[C@H](CCN1CCN(Cc2cccs2)CC1)c1ccccc1 ZINC001474411950 1062079248 /nfs/dbraw/zinc/07/92/48/1062079248.db2.gz VFDHRKHEHNAOGZ-QGZVFWFLSA-N 0 3 314.498 4.060 20 0 DIADHN CCOc1cc(C[N@H+](C2CC2)C2CCCC2)cc(Cl)c1[O-] ZINC001474415085 1062081018 /nfs/dbraw/zinc/08/10/18/1062081018.db2.gz RSYFRVJAUAPSSH-UHFFFAOYSA-N 0 3 309.837 4.351 20 0 DIADHN CCOc1cc(C[N@@H+](C2CC2)C2CCCC2)cc(Cl)c1[O-] ZINC001474415085 1062081040 /nfs/dbraw/zinc/08/10/40/1062081040.db2.gz RSYFRVJAUAPSSH-UHFFFAOYSA-N 0 3 309.837 4.351 20 0 DIADHN Oc1ccc(CN(Cc2ccc(Cl)cc2)C2CC2)cc1F ZINC001474414887 1062081427 /nfs/dbraw/zinc/08/14/27/1062081427.db2.gz ORELUHGLGBVTFF-UHFFFAOYSA-N 0 3 305.780 4.349 20 0 DIADHN CSc1ccc(CN2CCN(CC3CCCCC3)CC2)s1 ZINC001474414305 1062084001 /nfs/dbraw/zinc/08/40/01/1062084001.db2.gz XYRWXTLWPKXXQO-UHFFFAOYSA-N 0 3 324.559 4.168 20 0 DIADHN CC(C)N(CCSc1ccc(F)cc1F)Cc1ccccn1 ZINC001459325738 1062087916 /nfs/dbraw/zinc/08/79/16/1062087916.db2.gz AYDRHPOLDHHFMN-UHFFFAOYSA-N 0 3 322.424 4.363 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCCN1[C@@H]1CCCOC1 ZINC001254388295 1062089821 /nfs/dbraw/zinc/08/98/21/1062089821.db2.gz UKNPUIOMARNSMK-CJNGLKHVSA-N 0 3 313.363 4.411 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)C[C@H](O)Cc1ccc(F)cc1 ZINC001459328459 1062091638 /nfs/dbraw/zinc/09/16/38/1062091638.db2.gz DSKALUPVHKZRLI-XJKSGUPXSA-N 0 3 321.823 4.076 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)C[C@@H](O)CCc1ccccc1 ZINC001459340626 1062098752 /nfs/dbraw/zinc/09/87/52/1062098752.db2.gz VATYURQFPUFEKG-WBVHZDCISA-N 0 3 317.860 4.327 20 0 DIADHN Cc1nsc(C)c1CN1CCC(COc2ccccc2)CC1 ZINC001459341041 1062098958 /nfs/dbraw/zinc/09/89/58/1062098958.db2.gz AAFOEIIMWQCVQE-UHFFFAOYSA-N 0 3 316.470 4.051 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1CCC[C@H]1C1CCCC1 ZINC001459345573 1062099764 /nfs/dbraw/zinc/09/97/64/1062099764.db2.gz IAWVCBNDFLDMGA-INIZCTEOSA-N 0 3 315.436 4.350 20 0 DIADHN CO[C@H](Cc1ccccc1)CN(C)[C@@H](C)c1ccccc1F ZINC001459345354 1062101226 /nfs/dbraw/zinc/10/12/26/1062101226.db2.gz YZCLUMZHAUATMU-DOTOQJQBSA-N 0 3 301.405 4.076 20 0 DIADHN C[C@@]1(c2ccc(F)cc2)CN(C2CCC3(CC3)CC2)CCO1 ZINC001254445489 1062107544 /nfs/dbraw/zinc/10/75/44/1062107544.db2.gz OAROQQPWLPZBKW-SFHVURJKSA-N 0 3 303.421 4.096 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN(Cc1ccccc1F)C(C)C ZINC001459373444 1062116782 /nfs/dbraw/zinc/11/67/82/1062116782.db2.gz CAZBFVBPXPXERE-IBGZPJMESA-N 0 3 319.395 4.217 20 0 DIADHN Cc1cccc(CC[C@@H](C)N[C@H]2COc3cc(F)cc(F)c32)c1 ZINC001474439320 1062118370 /nfs/dbraw/zinc/11/83/70/1062118370.db2.gz NKDVKVCSFVBOJV-DYVFJYSZSA-N 0 3 317.379 4.318 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(Cc1ccccc1)CC(C)C ZINC001459383628 1062119145 /nfs/dbraw/zinc/11/91/45/1062119145.db2.gz UJLDQRRXYALOSI-SFHVURJKSA-N 0 3 318.505 4.038 20 0 DIADHN c1ccc([C@@H]2CN(C3CCc4ccccc4CC3)CCO2)cc1 ZINC001254461037 1062119301 /nfs/dbraw/zinc/11/93/01/1062119301.db2.gz WEBNETTUQOKNMF-NRFANRHFSA-N 0 3 307.437 4.008 20 0 DIADHN COc1nccc(CN2CCCC3(CCCCC3)CC2)c1F ZINC001474446396 1062124456 /nfs/dbraw/zinc/12/44/56/1062124456.db2.gz NVLJVSXRQBSDHY-UHFFFAOYSA-N 0 3 306.425 4.166 20 0 DIADHN Cc1ccc(N2CC[C@H](N(C)CCC3CCCCC3)C2=O)cc1 ZINC001459406698 1062132525 /nfs/dbraw/zinc/13/25/25/1062132525.db2.gz PDKHOVAPHFWUIQ-IBGZPJMESA-N 0 3 314.473 4.003 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CNCc1cc(COC(C)(C)C)on1 ZINC001474458340 1062138467 /nfs/dbraw/zinc/13/84/67/1062138467.db2.gz GZYOXUKZULGMPK-HUUCEWRRSA-N 0 3 308.466 4.296 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccc(C)c(Cl)c1)C1CC1 ZINC001459419917 1062139534 /nfs/dbraw/zinc/13/95/34/1062139534.db2.gz NYLHIEBWKGCLFZ-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CCO[C@@H](c2ccncc2)C1 ZINC001254498191 1062140600 /nfs/dbraw/zinc/14/06/00/1062140600.db2.gz HJTLJEXKPSZGNR-NHCUHLMSSA-N 0 3 324.468 4.256 20 0 DIADHN Cc1cc(Br)c2c(c1)CN(C[C@H]1CC=CCC1)CC2 ZINC001474461727 1062141134 /nfs/dbraw/zinc/14/11/34/1062141134.db2.gz VHVYYTLCUKDKSZ-AWEZNQCLSA-N 0 3 320.274 4.472 20 0 DIADHN CC[C@]1(C)COCCN1C[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC001474463704 1062144392 /nfs/dbraw/zinc/14/43/92/1062144392.db2.gz VWOWLSOMSPZLAY-XJKSGUPXSA-N 0 3 315.379 4.310 20 0 DIADHN COc1cccc(-c2nnc(CNC(C)(C)CC(C)(C)C)o2)c1 ZINC001459442050 1062144388 /nfs/dbraw/zinc/14/43/88/1062144388.db2.gz ZYFBGSVBZOQNBJ-UHFFFAOYSA-N 0 3 317.433 4.050 20 0 DIADHN COc1cccc(-c2nc(CN3[C@H](C)CCC[C@H]3C)co2)c1 ZINC001459445714 1062149228 /nfs/dbraw/zinc/14/92/28/1062149228.db2.gz KSTOOEQILCUVAZ-ZIAGYGMSSA-N 0 3 300.402 4.113 20 0 DIADHN CCOc1cc(C[N@H+](CC2CC2)C2CCC2)cc(Cl)c1[O-] ZINC001474474066 1062151161 /nfs/dbraw/zinc/15/11/61/1062151161.db2.gz AWNZMMTWOCZNRS-UHFFFAOYSA-N 0 3 309.837 4.209 20 0 DIADHN CCOc1cc(C[N@@H+](CC2CC2)C2CCC2)cc(Cl)c1[O-] ZINC001474474066 1062151173 /nfs/dbraw/zinc/15/11/73/1062151173.db2.gz AWNZMMTWOCZNRS-UHFFFAOYSA-N 0 3 309.837 4.209 20 0 DIADHN C[C@H](c1ccccc1Cl)N(CC[C@@H]1COC(C)(C)O1)C1CC1 ZINC001459459055 1062151617 /nfs/dbraw/zinc/15/16/17/1062151617.db2.gz VRFOEOJDZWZXMT-UKRRQHHQSA-N 0 3 323.864 4.407 20 0 DIADHN C[C@@H]1CCC[C@H](CN2CCC[C@H]2C(=O)OCc2ccccc2)C1 ZINC001459475089 1062159339 /nfs/dbraw/zinc/15/93/39/1062159339.db2.gz JGINXNNXFZILMZ-NEWSRXKRSA-N 0 3 315.457 4.021 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC3(CCC3)C2)s1 ZINC001474483354 1062162113 /nfs/dbraw/zinc/16/21/13/1062162113.db2.gz FDHPKLVRMIAFMX-UHFFFAOYSA-N 0 3 307.459 4.079 20 0 DIADHN COC[C@@H](CC(C)(C)C)NCc1cc(F)c(Cl)cc1F ZINC001474486838 1062168226 /nfs/dbraw/zinc/16/82/26/1062168226.db2.gz XBMFYYNJMLCLDU-LLVKDONJSA-N 0 3 305.796 4.159 20 0 DIADHN Cc1ccc(CN2C[C@H](c3ccccc3)C[C@@H]2C)c(Cl)n1 ZINC001459498926 1062169523 /nfs/dbraw/zinc/16/95/23/1062169523.db2.gz WULCKFCYTFNOCX-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@H](C)CCC[C@@H]2C)c1 ZINC001459506219 1062172515 /nfs/dbraw/zinc/17/25/15/1062172515.db2.gz JKZTZDAFMZYJAE-OLZOCXBDSA-N 0 3 309.837 4.137 20 0 DIADHN C[C@@H](CN1CCN(c2ccccc2F)C[C@@H]1C)c1ccccc1 ZINC001474492713 1062172397 /nfs/dbraw/zinc/17/23/97/1062172397.db2.gz UPBSVCFSPQIBOL-IRXDYDNUSA-N 0 3 312.432 4.140 20 0 DIADHN COC(=O)c1cccc(CN2C[C@H](C)CCC[C@@H]2C)c1Cl ZINC001459512632 1062176732 /nfs/dbraw/zinc/17/67/32/1062176732.db2.gz SHHWJCXGTHRKGE-OLZOCXBDSA-N 0 3 309.837 4.137 20 0 DIADHN COc1cccc(-c2noc([C@H](C)N[C@@H](C)CC(C)(C)C)n2)c1 ZINC001474496619 1062176750 /nfs/dbraw/zinc/17/67/50/1062176750.db2.gz JODJALLHESPLIF-STQMWFEESA-N 0 3 317.433 4.221 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1cc(F)cc(OC)c1 ZINC001474501560 1062183369 /nfs/dbraw/zinc/18/33/69/1062183369.db2.gz XATXRHUEYORUIR-LJQANCHMSA-N 0 3 317.404 4.426 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2ccc(N(C)C)nc2)cc1 ZINC001459538499 1062190966 /nfs/dbraw/zinc/19/09/66/1062190966.db2.gz FNKINFTVRNEHOQ-LJQANCHMSA-N 0 3 309.457 4.183 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1C[C@H](O)c1ccc(Cl)cc1Cl ZINC001459539184 1062191068 /nfs/dbraw/zinc/19/10/68/1062191068.db2.gz GXOMVSMDGZNFNF-RWSFTLGLSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001459539178 1062191853 /nfs/dbraw/zinc/19/18/53/1062191853.db2.gz GXOMVSMDGZNFNF-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2ccc(N(C)C)nc2)cc1 ZINC001459538488 1062191995 /nfs/dbraw/zinc/19/19/95/1062191995.db2.gz FNKINFTVRNEHOQ-IBGZPJMESA-N 0 3 309.457 4.183 20 0 DIADHN CCCN(Cc1cc(F)ccc1F)[C@H]1C[C@@H](OCC)C1(C)C ZINC001459535951 1062193082 /nfs/dbraw/zinc/19/30/82/1062193082.db2.gz PVBPIECQZCBYDA-DLBZAZTESA-N 0 3 311.416 4.380 20 0 DIADHN C[C@H]1CC[C@H](c2ccccc2)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC001474514725 1062197131 /nfs/dbraw/zinc/19/71/31/1062197131.db2.gz FUUVMEKZRZIHSE-WMLDXEAASA-N 0 3 323.392 4.359 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459544229 1062197612 /nfs/dbraw/zinc/19/76/12/1062197612.db2.gz YAXIHNZVEKYDBT-CRAIPNDOSA-N 0 3 315.436 4.412 20 0 DIADHN O=C(CN1CCC2(CCCC2)C1)Nc1cccc2ccccc21 ZINC001459547296 1062199792 /nfs/dbraw/zinc/19/97/92/1062199792.db2.gz FIRLIECGZHJJGU-UHFFFAOYSA-N 0 3 308.425 4.044 20 0 DIADHN CN(Cc1ccccc1F)C1CCN(c2ccc(F)cc2)CC1 ZINC001254628314 1062206034 /nfs/dbraw/zinc/20/60/34/1062206034.db2.gz QFUUWRHDOBWOKM-UHFFFAOYSA-N 0 3 316.395 4.066 20 0 DIADHN COc1nccc(CN(CC(C)C)[C@H](C)c2ccccc2C)n1 ZINC001474522996 1062207825 /nfs/dbraw/zinc/20/78/25/1062207825.db2.gz PEYJUXZRUDRUNF-MRXNPFEDSA-N 0 3 313.445 4.013 20 0 DIADHN Fc1nccc(Cl)c1CNC[C@H]1CCCCC(F)(F)C1 ZINC001474539242 1062228437 /nfs/dbraw/zinc/22/84/37/1062228437.db2.gz HOAYPOOQXHDPJI-JTQLQIEISA-N 0 3 306.759 4.179 20 0 DIADHN Cc1ccccc1[C@H]1CN(CC[C@H]2CCc3ccccc32)CCO1 ZINC001459598713 1062233102 /nfs/dbraw/zinc/23/31/02/1062233102.db2.gz QIDPCESSLKKXFE-DENIHFKCSA-N 0 3 321.464 4.488 20 0 DIADHN COc1ncc(CN2CC[C@H](c3ccccc3)[C@H]2C)cc1Cl ZINC001459618053 1062250625 /nfs/dbraw/zinc/25/06/25/1062250625.db2.gz AQLGLUNIUKVXDX-CJNGLKHVSA-N 0 3 316.832 4.122 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1cccc2c1NCCC2 ZINC001474553088 1062251578 /nfs/dbraw/zinc/25/15/78/1062251578.db2.gz VXMWPSJCNQZQMY-LJQANCHMSA-N 0 3 310.416 4.351 20 0 DIADHN CC(C)COCCN(Cc1cc(F)ccc1F)C1CCCC1 ZINC001459621400 1062257656 /nfs/dbraw/zinc/25/76/56/1062257656.db2.gz GZCBBLNQQGOSIB-UHFFFAOYSA-N 0 3 311.416 4.382 20 0 DIADHN CC[C@@H](NC(=O)CN(C)[C@@H](C)C1(C)CC1)c1ccc(Cl)cc1 ZINC001459626127 1062262849 /nfs/dbraw/zinc/26/28/49/1062262849.db2.gz GAVFZENLTAHENE-XJKSGUPXSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nccn2-c2ccccc2)cc1C ZINC001474560883 1062265530 /nfs/dbraw/zinc/26/55/30/1062265530.db2.gz LEOVFUACDZRSRQ-GOSISDBHSA-N 0 3 319.452 4.382 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1ncccc1Cl ZINC001459631224 1062267240 /nfs/dbraw/zinc/26/72/40/1062267240.db2.gz LEFRGZHPCAZGKE-ZBFHGGJFSA-N 0 3 300.833 4.188 20 0 DIADHN CSCc1ccc(CN(C)Cc2ccccc2N(C)C)cc1 ZINC001474563315 1062268848 /nfs/dbraw/zinc/26/88/48/1062268848.db2.gz LCANWHYLLKJUDU-UHFFFAOYSA-N 0 3 314.498 4.248 20 0 DIADHN Cc1noc2ncc(CN3CCC(C)(CC(C)C)CC3)cc12 ZINC001474563676 1062269361 /nfs/dbraw/zinc/26/93/61/1062269361.db2.gz ALSBFABTFKSBQF-UHFFFAOYSA-N 0 3 301.434 4.179 20 0 DIADHN Fc1cccc(Cl)c1CC1CN(CC2CCSCC2)C1 ZINC001474567505 1062271007 /nfs/dbraw/zinc/27/10/07/1062271007.db2.gz GWBOMVBVJQZBLL-UHFFFAOYSA-N 0 3 313.869 4.097 20 0 DIADHN CC(C)[C@@H](CN1CC(N(C)Cc2ccccc2)C1)c1ccccc1 ZINC001474567977 1062271363 /nfs/dbraw/zinc/27/13/63/1062271363.db2.gz OZCIQKYUZLQROS-JOCHJYFZSA-N 0 3 322.496 4.242 20 0 DIADHN COCCCC1(CN2CC(Cc3c(F)cccc3F)C2)CCC1 ZINC001474568401 1062276250 /nfs/dbraw/zinc/27/62/50/1062276250.db2.gz VJKFFFVKEASUHB-UHFFFAOYSA-N 0 3 323.427 4.036 20 0 DIADHN CC(C)[C@H]1N(Cc2cc(-c3ccccn3)n[nH]2)CC12CCCCC2 ZINC001474573200 1062280425 /nfs/dbraw/zinc/28/04/25/1062280425.db2.gz VRHSXTKJOZFVPK-LJQANCHMSA-N 0 3 324.472 4.262 20 0 DIADHN COc1cc(CN2CC[C@@H](C3CCC3)C2)cc(C(F)(F)F)c1 ZINC001474581085 1062288936 /nfs/dbraw/zinc/28/89/36/1062288936.db2.gz NUEIVFYZGOASOH-CQSZACIVSA-N 0 3 313.363 4.336 20 0 DIADHN O=C1C[C@@H]2CC(N3CCC[C@H]3c3ccc(Cl)s3)C[C@H]2C1 ZINC001254957214 1062289207 /nfs/dbraw/zinc/28/92/07/1062289207.db2.gz SJWQWWPEZRMWIK-MJVIPROJSA-N 0 3 309.862 4.296 20 0 DIADHN CC(C)Cc1ccc(CN2CCSC3(CCC3)CC2)cn1 ZINC001474581263 1062289268 /nfs/dbraw/zinc/28/92/68/1062289268.db2.gz PNKQVYPUMNBPHD-UHFFFAOYSA-N 0 3 304.503 4.142 20 0 DIADHN Cc1cc(CN2CC3(CCOCC3)[C@H]2C(C)C)ccc1Cl ZINC001474580555 1062290984 /nfs/dbraw/zinc/29/09/84/1062290984.db2.gz BEAFVDZCDWBSOB-QGZVFWFLSA-N 0 3 307.865 4.285 20 0 DIADHN CCOc1cc(CN2CC[C@@H](C3CCCC3)C2)c(F)cc1OC ZINC001474588870 1062299085 /nfs/dbraw/zinc/29/90/85/1062299085.db2.gz QOVVBAOOXDBYRZ-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2ccc3scnc3c2)C1 ZINC001474589209 1062299958 /nfs/dbraw/zinc/29/99/58/1062299958.db2.gz RAGXNFMREHEUKS-GFCCVEGCSA-N 0 3 314.376 4.461 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CN(C1Cc3ccccc3C1)CC2 ZINC001255026754 1062300784 /nfs/dbraw/zinc/30/07/84/1062300784.db2.gz BUBVWFCNFYFERZ-UHFFFAOYSA-N 0 3 317.354 4.231 20 0 DIADHN Fc1cccc(-c2nc(CNC3Cc4ccccc4C3)cs2)c1 ZINC001255019039 1062301529 /nfs/dbraw/zinc/30/15/29/1062301529.db2.gz JVIVTGSLCHNADD-UHFFFAOYSA-N 0 3 324.424 4.206 20 0 DIADHN C[C@H](CCc1ccccc1F)N[C@@H]1C[C@@]1(F)c1ccccc1 ZINC001474592356 1062306643 /nfs/dbraw/zinc/30/66/43/1062306643.db2.gz XPGZNNCYOYTCEE-NIKGAXFTSA-N 0 3 301.380 4.374 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@@H](OC3CCC3)C2)cc1F ZINC001474604340 1062318318 /nfs/dbraw/zinc/31/83/18/1062318318.db2.gz BMLIEIUQRCPTKY-ZENLRNHISA-N 0 3 307.384 4.012 20 0 DIADHN Cc1coc(CN2C[C@H](C)N(Cc3ccccc3)[C@H](C)[C@@H]2C)c1 ZINC001474610254 1062324893 /nfs/dbraw/zinc/32/48/93/1062324893.db2.gz VKVFFWPGSQUKTF-OKZBNKHCSA-N 0 3 312.457 4.071 20 0 DIADHN CC[C@H](C)[C@H](CN1CCc2ccc(Cl)cc2[C@@H](C)C1)OC ZINC001474611644 1062327628 /nfs/dbraw/zinc/32/76/28/1062327628.db2.gz NCHZKDOANIOCKC-DEYYWGMASA-N 0 3 309.881 4.363 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cc(C(=O)OC)ccc1F ZINC001459711909 1062328132 /nfs/dbraw/zinc/32/81/32/1062328132.db2.gz YMDKDIWFKICYDP-GUYCJALGSA-N 0 3 307.409 4.013 20 0 DIADHN CCCn1nccc1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001474615950 1062335087 /nfs/dbraw/zinc/33/50/87/1062335087.db2.gz GDIIANISNQQEHU-QGZVFWFLSA-N 0 3 315.436 4.029 20 0 DIADHN CC(C)N(C)c1ccc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001474618772 1062339460 /nfs/dbraw/zinc/33/94/60/1062339460.db2.gz CEDBFUNVOWFHNM-UHFFFAOYSA-N 0 3 315.505 4.473 20 0 DIADHN CCC1(CC)CCCN(Cc2cc(OC)c(OC)cc2F)C1 ZINC001474627630 1062349451 /nfs/dbraw/zinc/34/94/51/1062349451.db2.gz QZOIRITYWANTKQ-UHFFFAOYSA-N 0 3 309.425 4.245 20 0 DIADHN Cc1ccc2cc(CN3CC(Cc4cccc(C)c4)C3)[nH]c2c1 ZINC001474641580 1062368578 /nfs/dbraw/zinc/36/85/78/1062368578.db2.gz NZDCOBKCILNIMX-UHFFFAOYSA-N 0 3 304.437 4.459 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001468647291 1062370086 /nfs/dbraw/zinc/37/00/86/1062370086.db2.gz RYCWUDGOIRTOMW-CRAIPNDOSA-N 0 3 322.468 4.151 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001468646889 1062370178 /nfs/dbraw/zinc/37/01/78/1062370178.db2.gz ONLPQBFXAIDRNX-CRAIPNDOSA-N 0 3 322.468 4.151 20 0 DIADHN Cc1[nH]nc(CN(Cc2cccc(Cl)c2)CC(C)C)c1C ZINC001474644152 1062370890 /nfs/dbraw/zinc/37/08/90/1062370890.db2.gz KPFAKBVCVVTGSD-UHFFFAOYSA-N 0 3 305.853 4.338 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CC(C)(C)OC2(C)C)C[C@H](c2ccsc2)O1 ZINC001459757454 1062371780 /nfs/dbraw/zinc/37/17/80/1062371780.db2.gz UFZVNYQQNUEGEA-VNQPRFMTSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)CCO[C@@H]1CCN(C[C@H](C)c2cc(F)cc(F)c2)C1 ZINC001474648503 1062374025 /nfs/dbraw/zinc/37/40/25/1062374025.db2.gz FGTPODQETJCMGT-KBXCAEBGSA-N 0 3 311.416 4.205 20 0 DIADHN CC(C)CCO[C@@H]1CCN(C[C@@H](C)c2cc(F)cc(F)c2)C1 ZINC001474648504 1062376867 /nfs/dbraw/zinc/37/68/67/1062376867.db2.gz FGTPODQETJCMGT-RDTXWAMCSA-N 0 3 311.416 4.205 20 0 DIADHN CC(C)(C)c1nc(CN2CCC[C@H]2CCC2CCCC2)n[nH]1 ZINC001459772112 1062383277 /nfs/dbraw/zinc/38/32/77/1062383277.db2.gz OPXVSOFTLGJOCM-HNNXBMFYSA-N 0 3 304.482 4.037 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2nc3ccc(OC)cc3s2)C1 ZINC001474652196 1062384022 /nfs/dbraw/zinc/38/40/22/1062384022.db2.gz CLKQXUCHAPNGMD-XGACYXMMSA-N 0 3 316.470 4.483 20 0 DIADHN O[C@@H]1CCN(C/C=C/c2cccc(F)c2)[C@H](c2ccccc2)C1 ZINC001474656596 1062390253 /nfs/dbraw/zinc/39/02/53/1062390253.db2.gz LANCHOXGOGTFEW-RSECOTNCSA-N 0 3 311.400 4.037 20 0 DIADHN CCCC[C@@H](C)NCc1csc(-c2ccc(OC)cc2)n1 ZINC001255311970 1062391673 /nfs/dbraw/zinc/39/16/73/1062391673.db2.gz GDWFPCGKZWPKND-CYBMUJFWSA-N 0 3 304.459 4.487 20 0 DIADHN COc1cc(F)cc(OC)c1CN(C)C[C@@H](C)C1CCCCC1 ZINC001474664267 1062394624 /nfs/dbraw/zinc/39/46/24/1062394624.db2.gz RPIAWYXFBWKZOD-CQSZACIVSA-N 0 3 323.452 4.491 20 0 DIADHN CCOCCN1CCc2ccccc2[C@@H](c2ccccc2)[C@@H]1C ZINC001459784884 1062395166 /nfs/dbraw/zinc/39/51/66/1062395166.db2.gz GREQKLVEXDDKSJ-LAUBAEHRSA-N 0 3 309.453 4.102 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@@H](Oc2cccc(F)c2)C1 ZINC001474669639 1062398069 /nfs/dbraw/zinc/39/80/69/1062398069.db2.gz KMCBDPGVPORAKP-QGZVFWFLSA-N 0 3 317.379 4.181 20 0 DIADHN CCOC(=O)[C@H](CC)N1CCC[C@H](CCCc2ccccc2)C1 ZINC001459786176 1062399083 /nfs/dbraw/zinc/39/90/83/1062399083.db2.gz DXTBABLPWGBDEN-OALUTQOASA-N 0 3 317.473 4.063 20 0 DIADHN C[C@@H](NCC[C@H](O)C1CCCC1)c1ccc(-c2ccccc2)cn1 ZINC001474675342 1062404994 /nfs/dbraw/zinc/40/49/94/1062404994.db2.gz OBVXNALDSKGSCR-IERDGZPVSA-N 0 3 324.468 4.340 20 0 DIADHN Cc1ccc(CCCN2CCC[C@](F)(c3ccccc3)C2)cn1 ZINC001474673742 1062405998 /nfs/dbraw/zinc/40/59/98/1062405998.db2.gz NEWNZWAOGKXIFL-HXUWFJFHSA-N 0 3 312.432 4.283 20 0 DIADHN CCOC(=O)[C@@H]1CCC[C@@H](NC2(c3ccccc3Cl)CC2)C1 ZINC001255336623 1062408464 /nfs/dbraw/zinc/40/84/64/1062408464.db2.gz DEWGZCDSQRIPNK-ZIAGYGMSSA-N 0 3 321.848 4.041 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC001474685662 1062415818 /nfs/dbraw/zinc/41/58/18/1062415818.db2.gz XTVHPMKXSJABQC-QWNKOJSDSA-N 0 3 324.443 4.218 20 0 DIADHN Cc1ccc(C2=NO[C@@H](CNCc3cccc(C4CC4)c3)C2)cc1 ZINC001474693566 1062421973 /nfs/dbraw/zinc/42/19/73/1062421973.db2.gz SXZUFYXIYVMEGN-HXUWFJFHSA-N 0 3 320.436 4.155 20 0 DIADHN CC(C)N(CC(=O)N(Cc1ccccc1)c1ccccc1)C(C)C ZINC001459818349 1062426383 /nfs/dbraw/zinc/42/63/83/1062426383.db2.gz JGQORKNAJJETEF-UHFFFAOYSA-N 0 3 324.468 4.339 20 0 DIADHN Cc1ccnc(CN2CC[C@@H](C)C[C@@H](C)C2)c1Br ZINC001474712555 1062440257 /nfs/dbraw/zinc/44/02/57/1062440257.db2.gz CMNZVSOUZOVVSQ-VXGBXAGGSA-N 0 3 311.267 4.021 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H](c1ncccn1)C1CC1 ZINC001474718290 1062441790 /nfs/dbraw/zinc/44/17/90/1062441790.db2.gz ZOUJGHBIJNHTJM-RBUKOAKNSA-N 0 3 309.457 4.319 20 0 DIADHN CCOC(=O)/C=C/CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC001459845569 1062445165 /nfs/dbraw/zinc/44/51/65/1062445165.db2.gz REIQVLZOCJHXFG-SQRWCISFSA-N 0 3 309.837 4.136 20 0 DIADHN Fc1ccc(C2(NCCc3c(F)cccc3F)CCC2)c(F)c1 ZINC001459852791 1062450177 /nfs/dbraw/zinc/45/01/77/1062450177.db2.gz OOCVAVYJYGENSU-UHFFFAOYSA-N 0 3 323.333 4.455 20 0 DIADHN CC(C)=C[C@H]1[C@H](C(=O)Nc2ccc(CN(C)C)cc2F)C1(C)C ZINC001468970441 1062455008 /nfs/dbraw/zinc/45/50/08/1062455008.db2.gz NHMLDLQKTBNZAT-WMLDXEAASA-N 0 3 318.436 4.064 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@@H]1CCCC[C@H]1C)c1ccccc1 ZINC001459863208 1062456690 /nfs/dbraw/zinc/45/66/90/1062456690.db2.gz HVKGEZDLLQVMHM-NZSAHSFTSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1cccc(-c2ccccc2)c1 ZINC001459867148 1062458517 /nfs/dbraw/zinc/45/85/17/1062458517.db2.gz ROFCEXLESZHXRZ-LJQANCHMSA-N 0 3 308.425 4.165 20 0 DIADHN FC1(F)CC[C@H](CCNCc2cncc(Cl)c2Cl)C1 ZINC001474743744 1062460408 /nfs/dbraw/zinc/46/04/08/1062460408.db2.gz ULMSYKLNUAEVAR-SECBINFHSA-N 0 3 309.187 4.304 20 0 DIADHN CC(C)(C)C1CCC(NCc2nnc(-c3ccccc3)o2)CC1 ZINC001255496380 1062461325 /nfs/dbraw/zinc/46/13/25/1062461325.db2.gz PNQWFAFMAWCTQO-UHFFFAOYSA-N 0 3 313.445 4.431 20 0 DIADHN COC[C@@H](NC/C=C/c1ccc(Cl)cc1)c1ccccc1 ZINC001459878406 1062465912 /nfs/dbraw/zinc/46/59/12/1062465912.db2.gz PCXOUYZHKUSRJR-DUMMIQTOSA-N 0 3 301.817 4.331 20 0 DIADHN COc1ccc(F)c(CNC2CCC(C(F)(F)F)CC2)c1F ZINC001255508498 1062466712 /nfs/dbraw/zinc/46/67/12/1062466712.db2.gz PSBZBSIYZLJOSK-UHFFFAOYSA-N 0 3 323.305 4.184 20 0 DIADHN COC[C@H](NCCSc1cccc(Cl)c1)c1ccccc1 ZINC001459876698 1062467232 /nfs/dbraw/zinc/46/72/32/1062467232.db2.gz KIFBEYIECRUAQQ-KRWDZBQOSA-N 0 3 321.873 4.409 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC001459888504 1062471821 /nfs/dbraw/zinc/47/18/21/1062471821.db2.gz ASIRBSGZHSDYHO-ZCNNSNEGSA-N 0 3 310.441 4.385 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C[C@H]1CC[C@H](c2ccccc2)O1 ZINC001459888497 1062471967 /nfs/dbraw/zinc/47/19/67/1062471967.db2.gz ASIRBSGZHSDYHO-VAMGGRTRSA-N 0 3 310.441 4.385 20 0 DIADHN CN(Cc1ccc(Cl)cc1Cl)[C@H]1CCOC(C)(C)C1 ZINC001255537097 1062476372 /nfs/dbraw/zinc/47/63/72/1062476372.db2.gz TXHMBJOBFYYCGX-ZDUSSCGKSA-N 0 3 302.245 4.383 20 0 DIADHN CN1CCN(Cc2cccc3ccccc32)[C@H](c2ccccc2)C1 ZINC001459895110 1062477450 /nfs/dbraw/zinc/47/74/50/1062477450.db2.gz STZDUIGXGNIHII-QFIPXVFZSA-N 0 3 316.448 4.329 20 0 DIADHN CC(C)N1CCCC[C@@H]1CN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC001459902349 1062483979 /nfs/dbraw/zinc/48/39/79/1062483979.db2.gz IXXUMBVBUAFFOY-LSDHHAIUSA-N 0 3 323.550 4.354 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC2(CCC2)O1)c1nc2ccccc2s1 ZINC001459903627 1062487658 /nfs/dbraw/zinc/48/76/58/1062487658.db2.gz LQMHBYYXZGWSFJ-KGLIPLIRSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H](c1ccccc1)[C@@H](O)c1ccccc1 ZINC001255640116 1062495381 /nfs/dbraw/zinc/49/53/81/1062495381.db2.gz OYJRDHFPNZZHCX-COXVUDFISA-N 0 3 323.358 4.392 20 0 DIADHN CC[C@H](NC[C@@](O)(c1ccccc1)C(C)C)c1nc(C)cs1 ZINC001459926039 1062500328 /nfs/dbraw/zinc/50/03/28/1062500328.db2.gz OCMHGQWERYADEI-WMZOPIPTSA-N 0 3 318.486 4.036 20 0 DIADHN C[C@@H](CC(F)(F)F)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001255656684 1062500364 /nfs/dbraw/zinc/50/03/64/1062500364.db2.gz ZNQHQHCFJBFCBJ-AAEUAGOBSA-N 0 3 311.351 4.364 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@H](C)CC(F)(F)F)C2)cc1 ZINC001255657872 1062500954 /nfs/dbraw/zinc/50/09/54/1062500954.db2.gz LYARDWDYUZYNQX-UKRRQHHQSA-N 0 3 301.352 4.179 20 0 DIADHN OC[C@@H](NCc1cc(Cl)cc(Cl)c1F)C1CCCCC1 ZINC001459929313 1062503608 /nfs/dbraw/zinc/50/36/08/1062503608.db2.gz DGUHMIVVLFLXST-CQSZACIVSA-N 0 3 320.235 4.163 20 0 DIADHN CC(C)Cc1ccccc1CN[C@@H](CCO)c1cccs1 ZINC001459930874 1062505751 /nfs/dbraw/zinc/50/57/51/1062505751.db2.gz HLZIEAFDCUCWFN-KRWDZBQOSA-N 0 3 303.471 4.160 20 0 DIADHN COc1cccc2c1CCN([C@H](C)c1ccc3c(c1)CCC3)C2 ZINC001459934817 1062507078 /nfs/dbraw/zinc/50/70/78/1062507078.db2.gz PYJIGJVDKDDEGP-OAHLLOKOSA-N 0 3 307.437 4.303 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3ccc(C)c(Cl)n3)C2)c1 ZINC001459935563 1062507786 /nfs/dbraw/zinc/50/77/86/1062507786.db2.gz WHKSOFHPLKCISD-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@H]1COc2ccccc2CN1CCSC1CCCCC1 ZINC001459939554 1062512774 /nfs/dbraw/zinc/51/27/74/1062512774.db2.gz XRKZBQNUGNIUSK-HNNXBMFYSA-N 0 3 305.487 4.335 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@H]1CCCC[C@H]1C ZINC001459948378 1062516183 /nfs/dbraw/zinc/51/61/83/1062516183.db2.gz GNLASBJIMMMKLT-WBMJQRKESA-N 0 3 308.853 4.097 20 0 DIADHN O=C(CN[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1ccc(Cl)cc1 ZINC001255688828 1062516534 /nfs/dbraw/zinc/51/65/34/1062516534.db2.gz FDUMTENCWADRHK-DGCLKSJQSA-N 0 3 319.754 4.233 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255691646 1062517592 /nfs/dbraw/zinc/51/75/92/1062517592.db2.gz ZXXYFJUFJOWZQS-KGLIPLIRSA-N 0 3 323.362 4.302 20 0 DIADHN COc1cc(F)c(CN[C@H]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC001255689254 1062518121 /nfs/dbraw/zinc/51/81/21/1062518121.db2.gz LBBBYOWSKFYSGR-UWVGGRQHSA-N 0 3 323.305 4.184 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C(C)C)nc1)c1ccc(F)cc1 ZINC001255690356 1062518644 /nfs/dbraw/zinc/51/86/44/1062518644.db2.gz SCTUANXDQFBHCO-SFHVURJKSA-N 0 3 315.436 4.306 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@H]3CCCC[C@@H]3C)CCO2)cc1 ZINC001459951880 1062524156 /nfs/dbraw/zinc/52/41/56/1062524156.db2.gz RDPIVVWFXZHVRN-DBVUQKKJSA-N 0 3 301.474 4.449 20 0 DIADHN COc1ccc2c(c1)CCN([C@@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255709109 1062535278 /nfs/dbraw/zinc/53/52/78/1062535278.db2.gz PMNMXFIUMLUULB-HUUCEWRRSA-N 0 3 313.363 4.174 20 0 DIADHN C[C@H]1CCCCCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001459981188 1062539773 /nfs/dbraw/zinc/53/97/73/1062539773.db2.gz VLRMXTGPOGALDP-AWEZNQCLSA-N 0 3 303.837 4.290 20 0 DIADHN Fc1ccc2c(c1)CCN([C@@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255722441 1062543780 /nfs/dbraw/zinc/54/37/80/1062543780.db2.gz ZSACRGWUDDKXAV-UKRRQHHQSA-N 0 3 301.327 4.305 20 0 DIADHN C[C@@H]1C(=O)CCN([C@@H]2CCC[C@@H](C(F)(F)F)C2)C12CCCC2 ZINC001255726835 1062548080 /nfs/dbraw/zinc/54/80/80/1062548080.db2.gz SILPQAWDRPWPDZ-MGPQQGTHSA-N 0 3 317.395 4.331 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@@H](C)c1ccc(F)cn1 ZINC001255728080 1062549231 /nfs/dbraw/zinc/54/92/31/1062549231.db2.gz MJGAREKTVCNOEN-NEPJUHHUSA-N 0 3 308.397 4.191 20 0 DIADHN CCO[C@H]1C[C@H](NCc2c(F)ccc(C)c2F)C1(CC)CC ZINC001459990430 1062551722 /nfs/dbraw/zinc/55/17/22/1062551722.db2.gz QURHWEOXBYFNCV-HOTGVXAUSA-N 0 3 311.416 4.347 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NC(C)(C)c1ccccn1 ZINC001255735501 1062556614 /nfs/dbraw/zinc/55/66/14/1062556614.db2.gz HANQASNYMRRWHJ-ZDUSSCGKSA-N 0 3 304.434 4.226 20 0 DIADHN CCN(Cc1ccccn1)[C@H](C)CSc1ccc(F)cc1 ZINC001255744940 1062564533 /nfs/dbraw/zinc/56/45/33/1062564533.db2.gz ONAWFYITFWCQBZ-CQSZACIVSA-N 0 3 304.434 4.223 20 0 DIADHN COc1ccccc1CN(C)[C@@H](C)CSc1ccc(F)cc1 ZINC001255745109 1062565532 /nfs/dbraw/zinc/56/55/32/1062565532.db2.gz QAHZIXKLDCIKPV-AWEZNQCLSA-N 0 3 319.445 4.447 20 0 DIADHN Cc1ccccc1OCCCN1CCc2cc(F)cc(C)c2C1 ZINC001460010874 1062567853 /nfs/dbraw/zinc/56/78/53/1062567853.db2.gz LKZGMCIOSAMZHX-UHFFFAOYSA-N 0 3 313.416 4.270 20 0 DIADHN COCC[C@H](c1ccccc1)N1CCc2cc(F)cc(C)c2C1 ZINC001460013001 1062568088 /nfs/dbraw/zinc/56/80/88/1062568088.db2.gz UYDYHWRSGOYXGE-HXUWFJFHSA-N 0 3 313.416 4.270 20 0 DIADHN CCc1ccc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)cc1 ZINC001460015383 1062571737 /nfs/dbraw/zinc/57/17/37/1062571737.db2.gz JFGIVRQWKRLQMO-HNNXBMFYSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1ccc(SC[C@@H](C)n2nc(CN)c3ccccc32)cc1 ZINC001255753827 1062576634 /nfs/dbraw/zinc/57/66/34/1062576634.db2.gz YCAUXSKRCYPTOV-CQSZACIVSA-N 0 3 311.454 4.157 20 0 DIADHN Cc1ccc(SC[C@@H](C)N(C)Cc2cccc(O)c2)cc1 ZINC001255761155 1062584418 /nfs/dbraw/zinc/58/44/18/1062584418.db2.gz NLOGQOBINWDWJD-OAHLLOKOSA-N 0 3 301.455 4.313 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(CC(=O)Nc3cccc(C)c3)C2)cc1 ZINC001460030742 1062587485 /nfs/dbraw/zinc/58/74/85/1062587485.db2.gz PXABYJOZMGKWIJ-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CCC[C@H]2c2cnccn2)cc1 ZINC001255767790 1062588329 /nfs/dbraw/zinc/58/83/29/1062588329.db2.gz QRHXFKBKILODIK-YJBOKZPZSA-N 0 3 313.470 4.103 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc([Si](C)(C)C)cc1 ZINC001460036592 1062590272 /nfs/dbraw/zinc/59/02/72/1062590272.db2.gz FWWLBCOWCDICSR-DOMZBBRYSA-N 0 3 315.455 4.005 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2cc(-c3ccccc3)on2)cc1 ZINC001255777589 1062598736 /nfs/dbraw/zinc/59/87/36/1062598736.db2.gz LVOQIGODXOAKBO-INIZCTEOSA-N 0 3 306.409 4.371 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2c(Cl)cncc2Cl)cc1 ZINC001255776028 1062600143 /nfs/dbraw/zinc/60/01/43/1062600143.db2.gz CJOXAZFHYDEWFE-GFCCVEGCSA-N 0 3 309.240 4.418 20 0 DIADHN Clc1cccc(C2=CCN(CCc3ccns3)CC2)c1 ZINC001460046829 1062600998 /nfs/dbraw/zinc/60/09/98/1062600998.db2.gz PTFRZXHGEMNSJS-UHFFFAOYSA-N 0 3 304.846 4.128 20 0 DIADHN Cc1ccc(C[C@H](C)N2CCC[C@H]2C(=O)Nc2ccccc2)cc1 ZINC001255796881 1062611741 /nfs/dbraw/zinc/61/17/41/1062611741.db2.gz MHMZWNIQNWLCNX-PXNSSMCTSA-N 0 3 322.452 4.029 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2c(F)ccc(C)c2F)C12CCCCC2 ZINC001460072805 1062616961 /nfs/dbraw/zinc/61/69/61/1062616961.db2.gz ZQYIMAJTGSAYSB-IAGOWNOFSA-N 0 3 323.427 4.491 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2cc(C(F)(F)F)co2)C1(C)C ZINC001460076485 1062617624 /nfs/dbraw/zinc/61/76/24/1062617624.db2.gz NUWLUFALZWFPEW-KBPBESRZSA-N 0 3 319.367 4.372 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1COc2cc(Cl)ccc21 ZINC001255837694 1062621532 /nfs/dbraw/zinc/62/15/32/1062621532.db2.gz HAGMYMXKOMPYMC-ZBEGNZNMSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1COc2ccc(Cl)cc21 ZINC001255838287 1062625254 /nfs/dbraw/zinc/62/52/54/1062625254.db2.gz NSYRQGYZNHBCNE-BZNIZROVSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H](c1ncccc1F)C1CC1 ZINC001255839807 1062626827 /nfs/dbraw/zinc/62/68/27/1062626827.db2.gz XNFWZWOLNMIERL-SJCJKPOMSA-N 0 3 302.368 4.032 20 0 DIADHN Fc1ccc(-n2ccc(CN3CCCCCCCC3)n2)c(F)c1 ZINC001460113658 1062644889 /nfs/dbraw/zinc/64/48/89/1062644889.db2.gz VMWBIRUIQOBHNW-UHFFFAOYSA-N 0 3 319.399 4.307 20 0 DIADHN Clc1ccc(CCCCN(C2CC2)C2COC2)cc1Cl ZINC001460140418 1062657043 /nfs/dbraw/zinc/65/70/43/1062657043.db2.gz DRHZXCIITCZQNK-UHFFFAOYSA-N 0 3 314.256 4.179 20 0 DIADHN Cc1cc(F)ccc1CN1CC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001460148805 1062666612 /nfs/dbraw/zinc/66/66/12/1062666612.db2.gz AOKZXVWHXKGSAN-SFHVURJKSA-N 0 3 319.807 4.441 20 0 DIADHN CCCCN(Cc1nnc(C(C)(C)C)[nH]1)[C@@H]1CCC[C@H](C)C1 ZINC001460171476 1062678410 /nfs/dbraw/zinc/67/84/10/1062678410.db2.gz BWVAYGIDDJWCJX-LSDHHAIUSA-N 0 3 306.498 4.283 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccccc1N(C)C ZINC001460180335 1062681883 /nfs/dbraw/zinc/68/18/83/1062681883.db2.gz FHLARCQGPBDGEU-GOSISDBHSA-N 0 3 312.457 4.344 20 0 DIADHN CCc1ccc(CN(C)[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)nc1 ZINC001460192817 1062691120 /nfs/dbraw/zinc/69/11/20/1062691120.db2.gz ACQHCUOGKCZHFK-VQTJNVASSA-N 0 3 324.468 4.322 20 0 DIADHN CC(C)CC1(C)CCN(CC(=O)N(C(C)C)C2CCCC2)CC1 ZINC001460200242 1062696184 /nfs/dbraw/zinc/69/61/84/1062696184.db2.gz ZZRXAHUQHGPCNV-UHFFFAOYSA-N 0 3 322.537 4.314 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1 ZINC001470082594 1062699896 /nfs/dbraw/zinc/69/98/96/1062699896.db2.gz KXAGAAAPYIZOQK-IYBDPMFKSA-N 0 3 316.489 4.034 20 0 DIADHN COc1ccc(C(=O)CN[C@H]2CCC[C@H](c3ccccc3)C2)cc1 ZINC001256104974 1062704214 /nfs/dbraw/zinc/70/42/14/1062704214.db2.gz AKKJYPNWMRZNNJ-OALUTQOASA-N 0 3 323.436 4.194 20 0 DIADHN Cc1ccc(C(=O)CN[C@@H]2CCC[C@H](c3ccccc3)C2)cc1 ZINC001256108517 1062709686 /nfs/dbraw/zinc/70/96/86/1062709686.db2.gz LMZIDDYGIGCBEJ-VQTJNVASSA-N 0 3 307.437 4.494 20 0 DIADHN Cc1ncccc1CN1CCC(Cc2c(F)cccc2F)CC1 ZINC001460233046 1062713597 /nfs/dbraw/zinc/71/35/97/1062713597.db2.gz YKINPDLWOQBXBG-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1CCCC(=O)OC(C)(C)C ZINC001460237374 1062718782 /nfs/dbraw/zinc/71/87/82/1062718782.db2.gz ATTTVUJQHMQWFP-KRWDZBQOSA-N 0 3 321.436 4.393 20 0 DIADHN C[C@](Cc1ccccc1)(NCc1cncc(F)c1)c1ccccc1 ZINC001460238609 1062719102 /nfs/dbraw/zinc/71/91/02/1062719102.db2.gz DWRHFTCUZVUYMS-OAQYLSRUSA-N 0 3 320.411 4.468 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001460258602 1062733326 /nfs/dbraw/zinc/73/33/26/1062733326.db2.gz RRBJETNOZDCNPZ-CYBMUJFWSA-N 0 3 323.864 4.369 20 0 DIADHN COc1cccc(C[C@@H](C)N2CCc3ccc(Cl)cc3C2)c1 ZINC001256156826 1062734080 /nfs/dbraw/zinc/73/40/80/1062734080.db2.gz QFJYJFIYAAHRIM-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN COc1cccc(C[C@@H](C)N(C)Cc2cccc(F)c2F)c1 ZINC001256168536 1062738111 /nfs/dbraw/zinc/73/81/11/1062738111.db2.gz GFSYXEMVBRHZIJ-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN COc1cccc(C[C@H](C)N2CCc3cccc(Cl)c3C2)c1 ZINC001256170427 1062739895 /nfs/dbraw/zinc/73/98/95/1062739895.db2.gz VDMJXZARMAFOIO-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC2(CC2)CC1 ZINC001256183895 1062743587 /nfs/dbraw/zinc/74/35/87/1062743587.db2.gz VUAKVUBLLWMSAJ-CYBMUJFWSA-N 0 3 308.263 4.256 20 0 DIADHN CC(C)Oc1ccccc1CN1CCSC[C@@H]1C1CCC1 ZINC001460271220 1062746109 /nfs/dbraw/zinc/74/61/09/1062746109.db2.gz NTSOOIHYIWVDCH-QGZVFWFLSA-N 0 3 305.487 4.191 20 0 DIADHN Fc1cccc(F)c1CCCN1CCSC[C@@H]1C1CCC1 ZINC001460272398 1062751204 /nfs/dbraw/zinc/75/12/04/1062751204.db2.gz RZIKWKQVNLITFT-QGZVFWFLSA-N 0 3 311.441 4.115 20 0 DIADHN C[C@@H]1CCCN(CCC(C)(C)C2CC2)[C@@H]1C(=O)OC(C)(C)C ZINC001460285850 1062757558 /nfs/dbraw/zinc/75/75/58/1062757558.db2.gz NMNRLQPEELYYBQ-ZBFHGGJFSA-N 0 3 309.494 4.255 20 0 DIADHN Clc1ccccc1CCN1CC[C@H](Oc2ccccc2)C1 ZINC001460300576 1062769459 /nfs/dbraw/zinc/76/94/59/1062769459.db2.gz VVJVJHXIXWUTOZ-KRWDZBQOSA-N 0 3 301.817 4.036 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CC[C@@H]3CCCC[C@@H]32)co1 ZINC001460300865 1062769737 /nfs/dbraw/zinc/76/97/37/1062769737.db2.gz ZZDRWDUVTFWHLH-LRDDRELGSA-N 0 3 318.367 4.384 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)OC(F)(F)O3)c(C)c1 ZINC001460305393 1062771595 /nfs/dbraw/zinc/77/15/95/1062771595.db2.gz ABLWBMQMPBCYDD-UHFFFAOYSA-N 0 3 319.351 4.257 20 0 DIADHN Fc1ccc(F)c(C[C@H]2CCCN2CCOCC2CCCC2)c1 ZINC001460306028 1062773152 /nfs/dbraw/zinc/77/31/52/1062773152.db2.gz VFGPHDWVSNHYEH-GOSISDBHSA-N 0 3 323.427 4.179 20 0 DIADHN CC(C)CC[C@@H](C)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001256357367 1062782792 /nfs/dbraw/zinc/78/27/92/1062782792.db2.gz GYMPHBUOUNTXPG-LLVKDONJSA-N 0 3 319.342 4.442 20 0 DIADHN CC(C)(C(=O)N1CCC[C@H](C2CCCCC2)C1)N1CCCCC1 ZINC001470472806 1062790985 /nfs/dbraw/zinc/79/09/85/1062790985.db2.gz ABXCBDSJTMCJCJ-SFHVURJKSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC[C@@H]2C2CCC2)cc1Cl ZINC001460342390 1062795165 /nfs/dbraw/zinc/79/51/65/1062795165.db2.gz KRYPHKWGCXRJGV-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H](C)Cc1ccccn1 ZINC001256402475 1062806882 /nfs/dbraw/zinc/80/68/82/1062806882.db2.gz JCGZAGBYZUZCDO-NVXWUHKLSA-N 0 3 322.456 4.281 20 0 DIADHN CCCCCC[C@H](O)CN1CCC[C@H]1c1ccc(OC)c(F)c1 ZINC001460363276 1062807608 /nfs/dbraw/zinc/80/76/08/1062807608.db2.gz JRIGWIGTDPZBPW-WMZOPIPTSA-N 0 3 323.452 4.303 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@@H]1c1cc(F)ccc1F ZINC001256416315 1062810702 /nfs/dbraw/zinc/81/07/02/1062810702.db2.gz AEXYJMGFTKHZOR-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001460364501 1062811345 /nfs/dbraw/zinc/81/13/45/1062811345.db2.gz MBEXPOXXBXJUJY-UKRRQHHQSA-N 0 3 316.247 4.373 20 0 DIADHN C[C@@H](Cc1ccccn1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001256421485 1062813713 /nfs/dbraw/zinc/81/37/13/1062813713.db2.gz KJNUQZGPVYNTHJ-YJBOKZPZSA-N 0 3 320.440 4.050 20 0 DIADHN COC(=O)CN(CCCc1ccc(Cl)cc1)C1CCCCC1 ZINC001460374019 1062819149 /nfs/dbraw/zinc/81/91/49/1062819149.db2.gz IQMYBSHQEDGPFX-UHFFFAOYSA-N 0 3 323.864 4.080 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)C1CCC(OCC)CC1 ZINC001256446852 1062825052 /nfs/dbraw/zinc/82/50/52/1062825052.db2.gz IIXRDSIZXSJMPF-ACBHZAAOSA-N 0 3 317.473 4.318 20 0 DIADHN OC[C@@H](NCCCC1CCC1)c1cccc(Cl)c1Cl ZINC001460469689 1062868396 /nfs/dbraw/zinc/86/83/96/1062868396.db2.gz HGIULLDNHXXFOK-CQSZACIVSA-N 0 3 302.245 4.197 20 0 DIADHN Cc1cc(CN(Cc2cccc(Cl)c2)CC(C)C)c(C)nn1 ZINC001460516852 1062891597 /nfs/dbraw/zinc/89/15/97/1062891597.db2.gz XSEOYJRMTPQPPL-UHFFFAOYSA-N 0 3 317.864 4.405 20 0 DIADHN CN(c1ccccc1)[C@H]1CCN(Cc2sccc2Cl)C1 ZINC001460526638 1062900057 /nfs/dbraw/zinc/90/00/57/1062900057.db2.gz FFRAIIUGVNJGAR-AWEZNQCLSA-N 0 3 306.862 4.112 20 0 DIADHN CC/C=C/CCN(C)Cc1ccc(Br)cc1F ZINC001460574496 1062918723 /nfs/dbraw/zinc/91/87/23/1062918723.db2.gz DXSGOZUAEPVNEX-SNAWJCMRSA-N 0 3 300.215 4.376 20 0 DIADHN C[C@H](CN(C)Cc1cc(C(F)(F)F)nn1C)C1CCCCC1 ZINC001460598149 1062925013 /nfs/dbraw/zinc/92/50/13/1062925013.db2.gz JWKVFTCPHPMLDO-GFCCVEGCSA-N 0 3 317.399 4.087 20 0 DIADHN Cc1cc(Br)ccc1[C@@H]1CCCN1CCCF ZINC001460612504 1062933389 /nfs/dbraw/zinc/93/33/89/1062933389.db2.gz VROFICZTDXWKDQ-AWEZNQCLSA-N 0 3 300.215 4.254 20 0 DIADHN Cc1cc(Br)ccc1[C@H]1CCCN1CCCF ZINC001460612505 1062933286 /nfs/dbraw/zinc/93/32/86/1062933286.db2.gz VROFICZTDXWKDQ-CQSZACIVSA-N 0 3 300.215 4.254 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)oc1C)c1cc(C)ccc1C ZINC001460628696 1062946271 /nfs/dbraw/zinc/94/62/71/1062946271.db2.gz PYFUPZPPSIQVFM-KRWDZBQOSA-N 0 3 315.413 4.232 20 0 DIADHN CC[C@@H](NCc1cnnn1-c1ccccc1)c1cc(C)ccc1C ZINC001460630140 1062950479 /nfs/dbraw/zinc/95/04/79/1062950479.db2.gz YMUWOFUHVYBGCF-HXUWFJFHSA-N 0 3 320.440 4.125 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC[C@@H](Oc2cccc(F)c2)C1 ZINC001460635106 1062954347 /nfs/dbraw/zinc/95/43/47/1062954347.db2.gz BERQGHJBZYAJTH-FZKQIMNGSA-N 0 3 303.352 4.179 20 0 DIADHN C[C@H](Cc1ccncc1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001256744895 1062959276 /nfs/dbraw/zinc/95/92/76/1062959276.db2.gz AWVHMZFKCSJINW-QAPCUYQASA-N 0 3 320.440 4.050 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccccc3Cl)CC2)nc1 ZINC001460646492 1062959034 /nfs/dbraw/zinc/95/90/34/1062959034.db2.gz ZNPOHPDZBQCLMU-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@H]2CSC[C@H]2C1 ZINC001460653196 1062960584 /nfs/dbraw/zinc/96/05/84/1062960584.db2.gz LVJMWYGUNPCMHU-NWDGAFQWSA-N 0 3 307.846 4.271 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@H]2CSC[C@H]2C1 ZINC001460654496 1062963411 /nfs/dbraw/zinc/96/34/11/1062963411.db2.gz OBGXLIPXSDCBRP-DOTOQJQBSA-N 0 3 305.487 4.004 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c1 ZINC001256786862 1062975852 /nfs/dbraw/zinc/97/58/52/1062975852.db2.gz QWTMQACTVKHKKR-WBVHZDCISA-N 0 3 321.342 4.114 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@H]2CCO[C@H](c3ccccc3)C2)c1 ZINC001256786861 1062978358 /nfs/dbraw/zinc/97/83/58/1062978358.db2.gz QWTMQACTVKHKKR-RDJZCZTQSA-N 0 3 321.342 4.114 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@]2(C)C2CCCCC2)cc1 ZINC001460676901 1062980126 /nfs/dbraw/zinc/98/01/26/1062980126.db2.gz SZODOCZCUJDGLY-HXUWFJFHSA-N 0 3 314.473 4.232 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c(F)c1 ZINC001256788980 1062981024 /nfs/dbraw/zinc/98/10/24/1062981024.db2.gz CWGIVUXOZGPSFH-RDTXWAMCSA-N 0 3 321.342 4.114 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)C(C)(C)N1Cc1ccc(Cl)nn1 ZINC001460682810 1062983791 /nfs/dbraw/zinc/98/37/91/1062983791.db2.gz DLAWCVRUUCXYOB-CZUORRHYSA-N 0 3 315.848 4.287 20 0 DIADHN CN(Cc1ccc2cc[nH]c2c1)Cc1cccc(OC(F)F)c1 ZINC001460681343 1062984839 /nfs/dbraw/zinc/98/48/39/1062984839.db2.gz ZDBBWBUOEDGRJI-UHFFFAOYSA-N 0 3 316.351 4.401 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](c2nccs2)C1 ZINC001460686324 1062986036 /nfs/dbraw/zinc/98/60/36/1062986036.db2.gz CISVMVKTWGKAJS-XHDPSFHLSA-N 0 3 308.397 4.362 20 0 DIADHN Cc1ccc(C)c(CN2CCN([C@@H](C)c3ccccc3)CC2)c1 ZINC001460700171 1062994932 /nfs/dbraw/zinc/99/49/32/1062994932.db2.gz ACPGNHCBVARVFT-IBGZPJMESA-N 0 3 308.469 4.182 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCO[C@H](C(F)(F)F)CC1 ZINC001460719679 1063011135 /nfs/dbraw/zinc/01/11/35/1063011135.db2.gz SHHYSGPMIIIPOL-KRWDZBQOSA-N 0 3 323.358 4.301 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ncc(C)s3)C2(C)C)c1 ZINC001460721281 1063013381 /nfs/dbraw/zinc/01/33/81/1063013381.db2.gz UIIFTNMKAKGDFJ-MRXNPFEDSA-N 0 3 316.470 4.228 20 0 DIADHN C[C@@H]1CN(CC/C=C\c2ccccc2)CC[C@@H](C(F)(F)F)O1 ZINC001460725801 1063018431 /nfs/dbraw/zinc/01/84/31/1063018431.db2.gz JISIUBGNYOFOOP-SPKVYTQQSA-N 0 3 313.363 4.132 20 0 DIADHN Cc1ccccc1[C@H](C)N1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC001460732084 1063024740 /nfs/dbraw/zinc/02/47/40/1063024740.db2.gz SGBUOTCGUDJBNX-VNHYZAJKSA-N 0 3 301.352 4.098 20 0 DIADHN Clc1cccc(CCN2CC[C@@H]([C@@H]3CCCO3)C2)c1Cl ZINC001460751552 1063040712 /nfs/dbraw/zinc/04/07/12/1063040712.db2.gz XYVBEHBABOWRIV-HIFRSBDPSA-N 0 3 314.256 4.037 20 0 DIADHN C[C@H](CN(C)CC(=O)Nc1ccc2ccccc2c1)C(C)(C)C ZINC001460753648 1063041189 /nfs/dbraw/zinc/04/11/89/1063041189.db2.gz YNOJDTMOESJLFP-OAHLLOKOSA-N 0 3 312.457 4.392 20 0 DIADHN CC[C@H](NCC(=O)NCC(C)(C)C)c1cccc2ccccc21 ZINC001460788795 1063061222 /nfs/dbraw/zinc/06/12/22/1063061222.db2.gz CLFTWTKFIUSMCG-SFHVURJKSA-N 0 3 312.457 4.043 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001278982130 1063071397 /nfs/dbraw/zinc/07/13/97/1063071397.db2.gz WKPVNMYNIQRBPA-MSOLQXFVSA-N 0 3 322.537 4.362 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCC[C@@H](C2CCOCC2)C1 ZINC001460831189 1063086770 /nfs/dbraw/zinc/08/67/70/1063086770.db2.gz JNQZVSAEXCAOOR-UKRRQHHQSA-N 0 3 309.400 4.164 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001257040773 1063091710 /nfs/dbraw/zinc/09/17/10/1063091710.db2.gz PTPKQRHGWBYQAO-KGLIPLIRSA-N 0 3 321.848 4.041 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N(C)[C@@H](C)c2ccccc2Cl)C1 ZINC001257071312 1063100265 /nfs/dbraw/zinc/10/02/65/1063100265.db2.gz QVPJOFSYIQDQMR-BFHYXJOUSA-N 0 3 309.837 4.065 20 0 DIADHN Cc1ccc(C)c(C2CCN([C@@H](C)c3cnc(C)cn3)CC2)c1 ZINC001460893875 1063120943 /nfs/dbraw/zinc/12/09/43/1063120943.db2.gz BIHYLFSEHMWJNI-KRWDZBQOSA-N 0 3 309.457 4.342 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC001460899545 1063122652 /nfs/dbraw/zinc/12/26/52/1063122652.db2.gz ZGLWSTDHNLLOSC-XJKSGUPXSA-N 0 3 320.864 4.097 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@H](Cc2nccs2)C1 ZINC001460929694 1063139382 /nfs/dbraw/zinc/13/93/82/1063139382.db2.gz NQVHXWVYOIZIKH-QWHCGFSZSA-N 0 3 306.862 4.422 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2cccnc2Cl)cc1 ZINC001460939802 1063147370 /nfs/dbraw/zinc/14/73/70/1063147370.db2.gz WEVUCKDHWMCUHK-INIZCTEOSA-N 0 3 316.832 4.038 20 0 DIADHN OC[C@@]1(c2ccccc2)CCCN1Cc1cccc(C(F)F)c1 ZINC001460958096 1063159643 /nfs/dbraw/zinc/15/96/43/1063159643.db2.gz KZNRZFGGAAQZKJ-LJQANCHMSA-N 0 3 317.379 4.108 20 0 DIADHN c1sc(C2CCCC2)nc1CN[C@H]1CCOCC12CCCC2 ZINC001460967907 1063161577 /nfs/dbraw/zinc/16/15/77/1063161577.db2.gz VIPAVCFMPKQYFF-INIZCTEOSA-N 0 3 320.502 4.240 20 0 DIADHN COc1ccc2cc(CC[C@H](C)n3ccnc3[C@@H](C)N)ccc2c1 ZINC001257269770 1063173040 /nfs/dbraw/zinc/17/30/40/1063173040.db2.gz NBDGPZROYVUWEN-LSDHHAIUSA-N 0 3 323.440 4.258 20 0 DIADHN CC(C)n1cc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC001461002961 1063177214 /nfs/dbraw/zinc/17/72/14/1063177214.db2.gz BXNUQWQXBWSOMQ-QGZVFWFLSA-N 0 3 303.837 4.358 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)n3ccc([C@H](C)N)n3)ccc2c1 ZINC001257274855 1063178045 /nfs/dbraw/zinc/17/80/45/1063178045.db2.gz MAJCBRJWMPGJTA-CABCVRRESA-N 0 3 323.440 4.258 20 0 DIADHN O=C(Nc1ccc(CNCc2ccccc2C2CC2)cc1)C1CC1 ZINC001461011120 1063186149 /nfs/dbraw/zinc/18/61/49/1063186149.db2.gz GVSZQCGLDPAURJ-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN C[C@@H](NCc1cc2nc(Cl)ccc2s1)c1cccnc1 ZINC001461014633 1063188244 /nfs/dbraw/zinc/18/82/44/1063188244.db2.gz DSXXHKGGODTGGJ-SNVBAGLBSA-N 0 3 303.818 4.196 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CNCCCSc1ccccc1 ZINC001461022239 1063193662 /nfs/dbraw/zinc/19/36/62/1063193662.db2.gz ONIKLIGZDFDGKY-UHFFFAOYSA-N 0 3 321.877 4.213 20 0 DIADHN CC(C)[C@@H](CNCc1nc2cc(F)ccc2o1)c1ccccc1 ZINC001461019834 1063194243 /nfs/dbraw/zinc/19/42/43/1063194243.db2.gz STXUDTRILXOPDU-MRXNPFEDSA-N 0 3 312.388 4.496 20 0 DIADHN CC(C)[C@H](CNCc1nc2cc(F)ccc2o1)c1ccccc1 ZINC001461019832 1063194746 /nfs/dbraw/zinc/19/47/46/1063194746.db2.gz STXUDTRILXOPDU-INIZCTEOSA-N 0 3 312.388 4.496 20 0 DIADHN Clc1cscc1CN[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001461043415 1063213061 /nfs/dbraw/zinc/21/30/61/1063213061.db2.gz ZVXJXBWPXKLGRS-MRXNPFEDSA-N 0 3 320.889 4.156 20 0 DIADHN COC(=O)Nc1ccc(CNCc2ccc(C)c(Cl)c2)cc1 ZINC001461048521 1063214948 /nfs/dbraw/zinc/21/49/48/1063214948.db2.gz KNFFPFLBDKAKNF-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1ccsc1C(F)(F)F ZINC001461052236 1063217380 /nfs/dbraw/zinc/21/73/80/1063217380.db2.gz LEGBBIDNXDBJCN-DGCLKSJQSA-N 0 3 321.408 4.452 20 0 DIADHN CCCC(CCC)N1CCn2c(CN(C)C(C)C)cnc2[C@@H]1C ZINC001257337557 1063217827 /nfs/dbraw/zinc/21/78/27/1063217827.db2.gz YJWMRNZZBAEJAK-INIZCTEOSA-N 0 3 320.525 4.069 20 0 DIADHN CCc1cccc(CNCc2ccc(N3CCC(C)CC3)nc2)c1 ZINC001461054397 1063220577 /nfs/dbraw/zinc/22/05/77/1063220577.db2.gz WTLJXFHXFULPRJ-UHFFFAOYSA-N 0 3 323.484 4.170 20 0 DIADHN CC(C)Oc1ccc(CC[C@H](C)NCc2nccs2)cc1 ZINC001461063770 1063228381 /nfs/dbraw/zinc/22/83/81/1063228381.db2.gz DJPBUXBMWOWXFU-AWEZNQCLSA-N 0 3 304.459 4.041 20 0 DIADHN Cc1cccc(OC[C@@H](C)NCc2ccc(F)cc2Cl)c1 ZINC001461085651 1063244653 /nfs/dbraw/zinc/24/46/53/1063244653.db2.gz OPMYZEGSJUKLBO-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1occc1CN[C@@H](C)COc1ccc(C(C)(C)C)cc1 ZINC001461096937 1063250256 /nfs/dbraw/zinc/25/02/56/1063250256.db2.gz PZOHUWAWIXWSBT-AWEZNQCLSA-N 0 3 301.430 4.443 20 0 DIADHN CCCC[C@H](CC)NCc1coc(-c2ccc(OC)cc2)n1 ZINC001257413101 1063262354 /nfs/dbraw/zinc/26/23/54/1063262354.db2.gz SORIZQHUPPUYJD-HNNXBMFYSA-N 0 3 302.418 4.409 20 0 DIADHN C[C@H](NCc1ccc(=O)[nH]c1)c1ccccc1Oc1ccccc1 ZINC001461128148 1063277568 /nfs/dbraw/zinc/27/75/68/1063277568.db2.gz LFGKWDDQALXYFM-HNNXBMFYSA-N 0 3 320.392 4.430 20 0 DIADHN CC[C@H](NC[C@@H]1CCCC(C)(C)C1)c1nnc2n1CCCCC2 ZINC001461129310 1063279266 /nfs/dbraw/zinc/27/92/66/1063279266.db2.gz QHHGFZQMHUZYFX-CVEARBPZSA-N 0 3 318.509 4.262 20 0 DIADHN Cc1ccc(-c2nc(CCNCc3ccc(C)cc3F)co2)cc1 ZINC001461130394 1063279636 /nfs/dbraw/zinc/27/96/36/1063279636.db2.gz XDCVDDIVOGAILG-UHFFFAOYSA-N 0 3 324.399 4.430 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@@H]1NCc1cccc(C2CCCC2)c1 ZINC001461128769 1063280215 /nfs/dbraw/zinc/28/02/15/1063280215.db2.gz MVDHRNCWKWTLNS-RTWAWAEBSA-N 0 3 307.437 4.092 20 0 DIADHN Cc1ccccc1CNCc1ccc(OC2CCCCC2)nc1 ZINC001461133271 1063282603 /nfs/dbraw/zinc/28/26/03/1063282603.db2.gz LEDOANAVNAEAPI-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN O[C@H](CNCc1ccc(Oc2ccccc2)o1)C1CCCCC1 ZINC001461137435 1063285709 /nfs/dbraw/zinc/28/57/09/1063285709.db2.gz DQJXQUBGEGMFLA-GOSISDBHSA-N 0 3 315.413 4.103 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)n1nc(CN)c2cc(OC)ccc21 ZINC001257479023 1063301892 /nfs/dbraw/zinc/30/18/92/1063301892.db2.gz YFNYMUQSNOMKTH-ZIAGYGMSSA-N 0 3 303.450 4.281 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CCCc2c[nH]c3ccccc23)c1 ZINC001461162609 1063302192 /nfs/dbraw/zinc/30/21/92/1063302192.db2.gz VFHSKEDDVPGLRS-UHFFFAOYSA-N 0 3 314.816 4.249 20 0 DIADHN COc1nsc(CNC(C)(C)Cc2ccccc2C)c1Cl ZINC001461166787 1063304176 /nfs/dbraw/zinc/30/41/76/1063304176.db2.gz NOCFCQUDTFDWCN-UHFFFAOYSA-N 0 3 324.877 4.224 20 0 DIADHN CC1(C)CC[C@@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC001461176318 1063311545 /nfs/dbraw/zinc/31/15/45/1063311545.db2.gz FKUZJUKBHHXRSS-MRXNPFEDSA-N 0 3 322.408 4.436 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc(Br)c1)CC2 ZINC001461180077 1063312956 /nfs/dbraw/zinc/31/29/56/1063312956.db2.gz POQBAEOXNUUYCY-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN CCCCC[C@H](C)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001257502504 1063316099 /nfs/dbraw/zinc/31/60/99/1063316099.db2.gz NPSUKLROLWEZPT-LBPRGKRZSA-N 0 3 301.352 4.447 20 0 DIADHN CC(C)n1cc([C@@H](C)NC/C=C\c2ccc(F)cc2F)cn1 ZINC001461186448 1063320292 /nfs/dbraw/zinc/32/02/92/1063320292.db2.gz RANRGVCTJNKQGI-DSYXLKISSA-N 0 3 305.372 4.106 20 0 DIADHN CC(C)n1cc([C@H](C)NC/C=C\c2ccc(F)cc2F)cn1 ZINC001461186451 1063320844 /nfs/dbraw/zinc/32/08/44/1063320844.db2.gz RANRGVCTJNKQGI-ZFDPJTLLSA-N 0 3 305.372 4.106 20 0 DIADHN Cc1ccc(C[C@H](C)[NH2+]Cc2cc([O-])cc(Cl)c2)c(C)c1 ZINC001461207074 1063332022 /nfs/dbraw/zinc/33/20/22/1063332022.db2.gz MNSQWMLEURYEHH-AWEZNQCLSA-N 0 3 303.833 4.383 20 0 DIADHN Cc1ccc(C[C@@H](C)[NH2+]Cc2cc([O-])cc(Cl)c2)c(C)c1 ZINC001461207075 1063333399 /nfs/dbraw/zinc/33/33/99/1063333399.db2.gz MNSQWMLEURYEHH-CQSZACIVSA-N 0 3 303.833 4.383 20 0 DIADHN COc1ccc(-c2nc(CNCCCCSC)cs2)cc1 ZINC001461220151 1063342407 /nfs/dbraw/zinc/34/24/07/1063342407.db2.gz VFHKRCMKACKAFE-UHFFFAOYSA-N 0 3 322.499 4.052 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1ccc(Cl)cc1Cl ZINC001461225347 1063346197 /nfs/dbraw/zinc/34/61/97/1063346197.db2.gz BOGDHAIQTGVMPH-HNNXBMFYSA-N 0 3 304.261 4.270 20 0 DIADHN COCc1cccc(CNCc2oc3ccc(F)cc3c2C)c1 ZINC001461229065 1063348968 /nfs/dbraw/zinc/34/89/68/1063348968.db2.gz KKVPCYMVYOPTDI-UHFFFAOYSA-N 0 3 313.372 4.317 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@](C)(O)c1cc(C)oc1C ZINC001461228066 1063350066 /nfs/dbraw/zinc/35/00/66/1063350066.db2.gz BHWJVDYCEJGLSR-SFHVURJKSA-N 0 3 321.848 4.110 20 0 DIADHN Cc1cc(F)c(CNCC2(c3cccc(F)c3)CC2)c(F)c1 ZINC001461227441 1063350374 /nfs/dbraw/zinc/35/03/74/1063350374.db2.gz RYAGHQBGFPKWAJ-UHFFFAOYSA-N 0 3 305.343 4.234 20 0 DIADHN CC(C)(N[C@H]1CCCSC1)c1ccccc1Br ZINC001257662189 1063360537 /nfs/dbraw/zinc/36/05/37/1063360537.db2.gz ZFKVTHMMMDEDRT-NSHDSACASA-N 0 3 314.292 4.169 20 0 DIADHN Clc1ccc(C2(N[C@@H]3CCCSC3)CC2)c(Cl)c1 ZINC001257661942 1063361045 /nfs/dbraw/zinc/36/10/45/1063361045.db2.gz XDXNBOFPGYPEPJ-LLVKDONJSA-N 0 3 302.270 4.468 20 0 DIADHN CC[C@@H](C)C[C@H](C)NCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001461246159 1063361073 /nfs/dbraw/zinc/36/10/73/1063361073.db2.gz GOLLMWLITATTSO-NEPJUHHUSA-N 0 3 317.399 4.153 20 0 DIADHN Cc1ccc(-c2ncc(CNCCC(F)(F)F)s2)cc1 ZINC001461249397 1063363120 /nfs/dbraw/zinc/36/31/20/1063363120.db2.gz SMDDBHGYNMMWSO-UHFFFAOYSA-N 0 3 300.349 4.161 20 0 DIADHN CC[C@H](C)C[C@H](C)NCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001461246181 1063364208 /nfs/dbraw/zinc/36/42/08/1063364208.db2.gz GOLLMWLITATTSO-RYUDHWBXSA-N 0 3 317.399 4.153 20 0 DIADHN CC(C)C[C@H](CCO)CNCc1cc(Cl)sc1Cl ZINC001461250642 1063365144 /nfs/dbraw/zinc/36/51/44/1063365144.db2.gz XWYFOPVYFUZWCF-JTQLQIEISA-N 0 3 310.290 4.189 20 0 DIADHN Cc1cc(C)cc(C[C@@H](C)NCc2nc3cc(F)ccc3o2)c1 ZINC001461256347 1063368191 /nfs/dbraw/zinc/36/81/91/1063368191.db2.gz YZDLLUUEQXNNEU-CQSZACIVSA-N 0 3 312.388 4.305 20 0 DIADHN C[C@@H](CNCc1nc(C(C)(C)C)cs1)Cc1cccs1 ZINC001461262279 1063374712 /nfs/dbraw/zinc/37/47/12/1063374712.db2.gz GCLFEJFCTOWOJH-GFCCVEGCSA-N 0 3 308.516 4.471 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2ccc(Cl)c(C)c2Cl)C1(C)C ZINC001461265847 1063377483 /nfs/dbraw/zinc/37/74/83/1063377483.db2.gz PEFNQNHHEUMXDU-CHWSQXEVSA-N 0 3 302.245 4.205 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1cccc2nccn21 ZINC001461272908 1063379688 /nfs/dbraw/zinc/37/96/88/1063379688.db2.gz CPMZXYYRFANVGV-SFHVURJKSA-N 0 3 323.440 4.220 20 0 DIADHN CC[C@H](CNCc1cc(F)ccc1F)Oc1ccccc1F ZINC001461270184 1063381997 /nfs/dbraw/zinc/38/19/97/1063381997.db2.gz VCRRKAJNKBQYSZ-CQSZACIVSA-N 0 3 309.331 4.051 20 0 DIADHN CCCC[C@H](CCC)CNCc1cn(-c2ccccc2C)nn1 ZINC001461296733 1063399414 /nfs/dbraw/zinc/39/94/14/1063399414.db2.gz YURYJHGOASJKDS-KRWDZBQOSA-N 0 3 314.477 4.272 20 0 DIADHN CCC[C@@H](C)CCCN1CCc2c(cnn2-c2ccccc2)C1 ZINC001473103729 1063400924 /nfs/dbraw/zinc/40/09/24/1063400924.db2.gz LYXISQSCOKWMLQ-QGZVFWFLSA-N 0 3 311.473 4.447 20 0 DIADHN C[C@@]1(F)CCN(C2CCC(OCc3ccccc3)CC2)C[C@H]1F ZINC001257830471 1063401202 /nfs/dbraw/zinc/40/12/02/1063401202.db2.gz ZQGSDFQCSJWNCB-CSODTCANSA-N 0 3 323.427 4.286 20 0 DIADHN CC[C@H]1c2ccsc2CCN1C[C@@H]1COC2(CCCCC2)O1 ZINC001473105649 1063402216 /nfs/dbraw/zinc/40/22/16/1063402216.db2.gz PNPRGXBBCNGSQW-ZBFHGGJFSA-N 0 3 321.486 4.133 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(F)c(Cl)c1 ZINC001461301829 1063402531 /nfs/dbraw/zinc/40/25/31/1063402531.db2.gz AQQUPHGCUDWMOY-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN COC/C(C)=C\CN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC001473109998 1063408675 /nfs/dbraw/zinc/40/86/75/1063408675.db2.gz IWUZZRBSFKYIPW-LCYFTJDESA-N 0 3 313.363 4.263 20 0 DIADHN CCc1onc(C)c1CN1CCC(Cc2cccc(F)c2)CC1 ZINC001473109840 1063408916 /nfs/dbraw/zinc/40/89/16/1063408916.db2.gz YDPWSUSTYGCIPM-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)CCCN(C)c1ccccc1 ZINC001473112251 1063413181 /nfs/dbraw/zinc/41/31/81/1063413181.db2.gz NBDRJLXPOAYYRH-INIZCTEOSA-N 0 3 300.421 4.345 20 0 DIADHN CC(C)OC1CCC(NCc2c(F)cc(Cl)cc2F)CC1 ZINC001257871822 1063413243 /nfs/dbraw/zinc/41/32/43/1063413243.db2.gz INVAQXIJPQGXEV-UHFFFAOYSA-N 0 3 317.807 4.444 20 0 DIADHN CC(C)OC1CCC(N2CCc3ccc(Cl)cc3C2)CC1 ZINC001257878069 1063422249 /nfs/dbraw/zinc/42/22/49/1063422249.db2.gz JTOUFTWKRXILAI-UHFFFAOYSA-N 0 3 307.865 4.434 20 0 DIADHN c1ccc(CN(Cc2ccc3c(c2)CCC3)C[C@H]2CCCO2)cc1 ZINC001473123410 1063424798 /nfs/dbraw/zinc/42/47/98/1063424798.db2.gz HHLWUMFFUZXAQC-JOCHJYFZSA-N 0 3 321.464 4.357 20 0 DIADHN O=C(CN(C1CC1)C1CCCCC1)Nc1ccc(Cl)c(F)c1 ZINC001473121486 1063426373 /nfs/dbraw/zinc/42/63/73/1063426373.db2.gz RNOBLQIUFOXQNM-UHFFFAOYSA-N 0 3 324.827 4.215 20 0 DIADHN CC(C)CC1(CNCc2ncc(Br)s2)CCC1 ZINC001461340221 1063428343 /nfs/dbraw/zinc/42/83/43/1063428343.db2.gz JVXYODQKDWIRHE-UHFFFAOYSA-N 0 3 317.296 4.212 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C)[C@@H]1CCC[C@H](C)C1 ZINC001473128221 1063433028 /nfs/dbraw/zinc/43/30/28/1063433028.db2.gz PACSUALXDNPVFW-UONOGXRCSA-N 0 3 303.425 4.206 20 0 DIADHN CC1(C)CC[C@@H](CNCc2nccn2C2CC2)c2ccccc21 ZINC001461353963 1063436887 /nfs/dbraw/zinc/43/68/87/1063436887.db2.gz JNCLXIYPGKXCSM-HNNXBMFYSA-N 0 3 309.457 4.163 20 0 DIADHN CCCOc1cccc(CCNCc2c(F)cccc2F)c1 ZINC001461356572 1063439780 /nfs/dbraw/zinc/43/97/80/1063439780.db2.gz GQKOHSBSBHYILJ-UHFFFAOYSA-N 0 3 305.368 4.086 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2noc3c2CC(C)(C)CC3)C1 ZINC001473135247 1063444326 /nfs/dbraw/zinc/44/43/26/1063444326.db2.gz DSPUXWSMZSBREV-INIZCTEOSA-N 0 3 324.468 4.487 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1cc(F)ccc1F ZINC001257953655 1063446451 /nfs/dbraw/zinc/44/64/51/1063446451.db2.gz CQQQPEHDABFKID-HZMBPMFUSA-N 0 3 323.770 4.273 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1cc3ccccc3o1)CO2 ZINC001257951598 1063446843 /nfs/dbraw/zinc/44/68/43/1063446843.db2.gz YPTANAABXNCWKT-HNNXBMFYSA-N 0 3 313.784 4.180 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CN1Cc2ccccc2-c2ccccc2C1 ZINC001473138178 1063446967 /nfs/dbraw/zinc/44/69/67/1063446967.db2.gz LIDBOEIPBXPGSS-WIYYLYMNSA-N 0 3 307.437 4.220 20 0 DIADHN Brc1cccc2c1CCN(C[C@@H]1CC[C@H]3C[C@H]3C1)C2 ZINC001473138948 1063447329 /nfs/dbraw/zinc/44/73/29/1063447329.db2.gz KULCVUKUCIHQEC-VNHYZAJKSA-N 0 3 320.274 4.243 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@H]2CC(C)C)c1 ZINC001473143295 1063450439 /nfs/dbraw/zinc/45/04/39/1063450439.db2.gz HSSOERHWRNYOBY-HNNXBMFYSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC1CCC(c3ccccc3)CC1)C(=O)N2 ZINC001257987242 1063450389 /nfs/dbraw/zinc/45/03/89/1063450389.db2.gz LCEXDXOKTIWYTH-LBXVMSDZSA-N 0 3 320.436 4.304 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(Cl)cc2C1)c1cncc(Cl)c1 ZINC001257959560 1063450462 /nfs/dbraw/zinc/45/04/62/1063450462.db2.gz MJOAEYDVQILYDI-MEBBXXQBSA-N 0 3 323.223 4.043 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@@H]2CC(C)C)c1 ZINC001473143297 1063450748 /nfs/dbraw/zinc/45/07/48/1063450748.db2.gz HSSOERHWRNYOBY-OAHLLOKOSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1cccc2c1[C@H](NC1CCC(c3ccccc3)CC1)C(=O)N2 ZINC001257989250 1063452214 /nfs/dbraw/zinc/45/22/14/1063452214.db2.gz KBWDGAUGIXHOFJ-UHYCVJNDSA-N 0 3 320.436 4.304 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cc(OC)ccc1F ZINC001461372872 1063454753 /nfs/dbraw/zinc/45/47/53/1063454753.db2.gz CVYIYLSETSEWRS-OAHLLOKOSA-N 0 3 319.445 4.495 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)c(C)c1)C1CC1 ZINC001473155725 1063460209 /nfs/dbraw/zinc/46/02/09/1063460209.db2.gz IOSJGYRDOZNOLY-BBRMVZONSA-N 0 3 302.462 4.141 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1 ZINC001461383491 1063461519 /nfs/dbraw/zinc/46/15/19/1063461519.db2.gz QCEANBMPJZKAOK-OAHLLOKOSA-N 0 3 302.443 4.017 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC001461381497 1063461775 /nfs/dbraw/zinc/46/17/75/1063461775.db2.gz LWSMYYCTFZKQAB-NEPJUHHUSA-N 0 3 317.807 4.302 20 0 DIADHN Cc1ccc(OC2CCN(CCOC3CCCCC3)CC2)cc1 ZINC001473159476 1063462560 /nfs/dbraw/zinc/46/25/60/1063462560.db2.gz KXYDOWOIWXRQGH-UHFFFAOYSA-N 0 3 317.473 4.188 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2C[C@@H]3CCC[C@H]3O2)cc1 ZINC001473159485 1063462892 /nfs/dbraw/zinc/46/28/92/1063462892.db2.gz LHFYHVRZIYPXIV-CADBVGFASA-N 0 3 317.498 4.043 20 0 DIADHN CC(C)C[C@H](C)CN(C)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC001473162183 1063465760 /nfs/dbraw/zinc/46/57/60/1063465760.db2.gz ILKCKOKWAHNBMD-WMLDXEAASA-N 0 3 322.880 4.059 20 0 DIADHN CC(C)C[C@@H](C)CN(C)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC001473162181 1063467054 /nfs/dbraw/zinc/46/70/54/1063467054.db2.gz ILKCKOKWAHNBMD-RHSMWYFYSA-N 0 3 322.880 4.059 20 0 DIADHN Cc1nc(CN2CCc3ccccc3CC2)sc1C(C)(C)C ZINC001473167931 1063469194 /nfs/dbraw/zinc/46/91/94/1063469194.db2.gz LIHRTHVRUMYZKV-UHFFFAOYSA-N 0 3 314.498 4.350 20 0 DIADHN CC[C@@H](CSC)NCc1csc(-c2ccc(OC)cc2)n1 ZINC001461393688 1063470717 /nfs/dbraw/zinc/47/07/17/1063470717.db2.gz XPFPVFIEUUPAEM-ZDUSSCGKSA-N 0 3 322.499 4.050 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccccc2)cc1)[C@@H](O)C(F)(F)F ZINC001461399264 1063472321 /nfs/dbraw/zinc/47/23/21/1063472321.db2.gz GUOANJVELDBPHE-DLBZAZTESA-N 0 3 323.358 4.145 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cccnc2C(F)(F)F)CC1 ZINC001473169656 1063472551 /nfs/dbraw/zinc/47/25/51/1063472551.db2.gz CUWSBACPVXUNGW-CYBMUJFWSA-N 0 3 300.368 4.359 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2cc(O)cc(C(F)(F)F)c2)C1 ZINC001473171987 1063476999 /nfs/dbraw/zinc/47/69/99/1063476999.db2.gz BEWJKNOOGHFMHI-VXGBXAGGSA-N 0 3 301.352 4.422 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)s1)c1ccc(-n2ccnc2)cc1 ZINC001461406139 1063477550 /nfs/dbraw/zinc/47/75/50/1063477550.db2.gz OODCMWSKLZMHQM-ZDUSSCGKSA-N 0 3 324.453 4.057 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc[nH]2)cc1OCCC(C)C ZINC001461416148 1063485081 /nfs/dbraw/zinc/48/50/81/1063485081.db2.gz XEATYUZZAYQGFR-HNNXBMFYSA-N 0 3 316.445 4.299 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN(CC/C=C/c2ccccc2)C1 ZINC001473181558 1063487962 /nfs/dbraw/zinc/48/79/62/1063487962.db2.gz WHMKPCXEZLQWRT-UFNQUYMESA-N 0 3 313.363 4.133 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1ncc(Br)s1 ZINC001258062371 1063491071 /nfs/dbraw/zinc/49/10/71/1063491071.db2.gz PNNLJWOGBABJMC-GHMZBOCLSA-N 0 3 319.312 4.456 20 0 DIADHN C[C@H]1CCCN(C[C@H](O)c2ccc(Cl)cc2Cl)[C@H]1C ZINC001473184247 1063492301 /nfs/dbraw/zinc/49/23/01/1063492301.db2.gz FHWCJFCQICCCCL-PGUXBMHVSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@@H]1CCN(C[C@@H]2COC3(CCCCC3)O2)[C@H](c2ccco2)C1 ZINC001473187920 1063495704 /nfs/dbraw/zinc/49/57/04/1063495704.db2.gz ULVUYDBLFORCAW-ZACQAIPSSA-N 0 3 319.445 4.128 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001258069483 1063497137 /nfs/dbraw/zinc/49/71/37/1063497137.db2.gz IQBIVLFZDFMZGN-OLZOCXBDSA-N 0 3 307.400 4.291 20 0 DIADHN C[C@@H](CCc1ccccc1)CN[C@H]1COc2cc(F)cc(F)c21 ZINC001461429415 1063497258 /nfs/dbraw/zinc/49/72/58/1063497258.db2.gz GUXSGRNBWZPIRX-GUYCJALGSA-N 0 3 317.379 4.257 20 0 DIADHN C[C@@H]1CCN(C[C@H]2COC3(CCCCC3)O2)[C@@H](c2ccco2)C1 ZINC001473187918 1063497729 /nfs/dbraw/zinc/49/77/29/1063497729.db2.gz ULVUYDBLFORCAW-IXDOHACOSA-N 0 3 319.445 4.128 20 0 DIADHN Cc1c(Cl)ccc(CN[C@]2(C)CCO[C@H]2C2CC2)c1Cl ZINC001461432678 1063498874 /nfs/dbraw/zinc/49/88/74/1063498874.db2.gz RSEJZYHSCUYUMQ-JKSUJKDBSA-N 0 3 314.256 4.349 20 0 DIADHN CCOc1cccc(F)c1CNCCC1CC(OC(C)(C)C)C1 ZINC001461432503 1063498940 /nfs/dbraw/zinc/49/89/40/1063498940.db2.gz IHDJDAGDLXREGX-UHFFFAOYSA-N 0 3 323.452 4.298 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258073950 1063500241 /nfs/dbraw/zinc/50/02/41/1063500241.db2.gz HHVOUXCZDNSFQJ-RYUDHWBXSA-N 0 3 317.399 4.181 20 0 DIADHN CC(C)=CCC[C@@H](C)NCC(=O)c1cccc(Br)c1 ZINC001258078200 1063505500 /nfs/dbraw/zinc/50/55/00/1063505500.db2.gz SNKMKTIBVUWSLE-CYBMUJFWSA-N 0 3 324.262 4.356 20 0 DIADHN CC(C)=CCC[C@@H](C)N1CC[C@H]2[C@H]1CC(=O)N2c1ccsc1 ZINC001258094360 1063514728 /nfs/dbraw/zinc/51/47/28/1063514728.db2.gz ZNYRHUIDCXRTHB-HYVNUMGLSA-N 0 3 318.486 4.063 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H](O)c1cc(C)cc(C)c1 ZINC001461460712 1063519846 /nfs/dbraw/zinc/51/98/46/1063519846.db2.gz IDGXZVLMORGUCJ-GOSISDBHSA-N 0 3 315.457 4.326 20 0 DIADHN Cc1cc(C)cc([C@H](O)CNCc2cccc(Cl)c2Cl)c1 ZINC001461465967 1063525470 /nfs/dbraw/zinc/52/54/70/1063525470.db2.gz PUHWBLDCCYJSHA-MRXNPFEDSA-N 0 3 324.251 4.433 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@@H](C)CC2CCCCC2)nn1 ZINC001461484639 1063530887 /nfs/dbraw/zinc/53/08/87/1063530887.db2.gz RIZWHIYRZLDVMM-INIZCTEOSA-N 0 3 312.461 4.024 20 0 DIADHN C[C@@H]1CC(NCc2coc(-c3ccc(F)cc3)n2)C[C@@H](C)C1 ZINC001258160594 1063535411 /nfs/dbraw/zinc/53/54/11/1063535411.db2.gz MUCACMNPYBLPCX-STQMWFEESA-N 0 3 302.393 4.395 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CN[C@@H]1CC[C@H]1C ZINC001461491480 1063536870 /nfs/dbraw/zinc/53/68/70/1063536870.db2.gz AZALIEZQYHIKII-CXAGYDPISA-N 0 3 317.864 4.090 20 0 DIADHN C[C@H]1C[C@H](N(Cc2ccccn2)Cc2ccccn2)C[C@@H](C)C1 ZINC001258162124 1063538082 /nfs/dbraw/zinc/53/80/82/1063538082.db2.gz HMGOQVWAVHQUPG-YRWFTTLQSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@@H]1CC(N(Cc2cccnc2)Cc2ccccn2)C[C@@H](C)C1 ZINC001258174104 1063543299 /nfs/dbraw/zinc/54/32/99/1063543299.db2.gz LPGDKOXJECFHDI-IRXDYDNUSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@H](Cc1ccco1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001258178746 1063545841 /nfs/dbraw/zinc/54/58/41/1063545841.db2.gz LFSDDHXPWYOARE-PBHICJAKSA-N 0 3 312.438 4.241 20 0 DIADHN COc1cccc(C(F)(F)F)c1CN[C@@H](C)Cc1ccco1 ZINC001258180414 1063548216 /nfs/dbraw/zinc/54/82/16/1063548216.db2.gz OKBDROKGRHEXRD-NSHDSACASA-N 0 3 313.319 4.028 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H](C)Cc1ccco1 ZINC001258182085 1063548506 /nfs/dbraw/zinc/54/85/06/1063548506.db2.gz VCHLAHAVGLZVSC-GOEBONIOSA-N 0 3 311.429 4.479 20 0 DIADHN CC(C)[C@@H]1C[C@H]1CNCc1c(F)cc(Br)cc1F ZINC001461516801 1063550244 /nfs/dbraw/zinc/55/02/44/1063550244.db2.gz SUJOVHPNDPBSNO-ONGXEEELSA-N 0 3 318.205 4.109 20 0 DIADHN C[C@H](Cc1ccco1)N1CCn2cccc2[C@@H]1c1ccccc1 ZINC001258186684 1063550844 /nfs/dbraw/zinc/55/08/44/1063550844.db2.gz QZTPKVBEDJSXMC-UZLBHIALSA-N 0 3 306.409 4.117 20 0 DIADHN C[C@H](Cc1ccco1)N(Cc1ccccc1)Cc1cccnc1 ZINC001258191393 1063553915 /nfs/dbraw/zinc/55/39/15/1063553915.db2.gz FJGDSTHTUBNIKM-QGZVFWFLSA-N 0 3 306.409 4.308 20 0 DIADHN CCCOc1c(Cl)cc(CNC/C=C\C2CC2)cc1OC ZINC001461520460 1063553919 /nfs/dbraw/zinc/55/39/19/1063553919.db2.gz IUVVZLKCAMUQBE-PLNGDYQASA-N 0 3 309.837 4.193 20 0 DIADHN C[C@H](Cc1ccco1)N1CCSC[C@H]1c1ccc(F)cc1 ZINC001258191399 1063555917 /nfs/dbraw/zinc/55/59/17/1063555917.db2.gz BFNAOUXPALFLNN-DYVFJYSZSA-N 0 3 305.418 4.140 20 0 DIADHN CSCC[C@H](C)n1c2ccccc2nc1[C@H](N)CC(C)C ZINC001258208241 1063560271 /nfs/dbraw/zinc/56/02/71/1063560271.db2.gz LFUHJGIHEQPSEA-UONOGXRCSA-N 0 3 305.491 4.396 20 0 DIADHN CSCC[C@H](C)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001258226535 1063569426 /nfs/dbraw/zinc/56/94/26/1063569426.db2.gz NIMXAICDWLVKIF-NSHDSACASA-N 0 3 303.393 4.205 20 0 DIADHN CSCC[C@@H](C)NCc1csc(-c2cccc(F)c2)n1 ZINC001258212369 1063562326 /nfs/dbraw/zinc/56/23/26/1063562326.db2.gz SKBRWHIKBJXBCN-LLVKDONJSA-N 0 3 310.463 4.180 20 0 DIADHN CC(C)c1cnc(CNCC[C@@H]2CCc3ccccc32)s1 ZINC001461537471 1063566102 /nfs/dbraw/zinc/56/61/02/1063566102.db2.gz GXSBZLPYIHASQU-HNNXBMFYSA-N 0 3 300.471 4.476 20 0 DIADHN CSCC[C@@H](C)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001258226534 1063567606 /nfs/dbraw/zinc/56/76/06/1063567606.db2.gz NIMXAICDWLVKIF-LLVKDONJSA-N 0 3 303.393 4.205 20 0 DIADHN Fc1ccc(F)c(CNCC2(c3c(F)cccc3F)CCC2)c1 ZINC001461573484 1063586844 /nfs/dbraw/zinc/58/68/44/1063586844.db2.gz CFXLHEUBKHUDLK-UHFFFAOYSA-N 0 3 323.333 4.455 20 0 DIADHN Clc1ccc([C@H]2CC[C@@H](NCc3nccn3C3CC3)C2)cc1 ZINC001461575064 1063588114 /nfs/dbraw/zinc/58/81/14/1063588114.db2.gz IHOWGGBASMTWHO-GOEBONIOSA-N 0 3 315.848 4.297 20 0 DIADHN COC1([C@@H](C)NCc2ccc(Oc3ccccc3)o2)CCC1 ZINC001461575355 1063589546 /nfs/dbraw/zinc/58/95/46/1063589546.db2.gz DVEOWUAFUSCXOK-CQSZACIVSA-N 0 3 301.386 4.119 20 0 DIADHN C[C@H](NCc1csc(C(F)(F)F)n1)[C@@H]1CCC[C@H](C)C1 ZINC001461581423 1063590151 /nfs/dbraw/zinc/59/01/51/1063590151.db2.gz RYOBOPCJGMEXGU-GARJFASQSA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)(CNCc1c(Cl)cccc1Cl)C1(O)CCCC1 ZINC001461580026 1063590957 /nfs/dbraw/zinc/59/09/57/1063590957.db2.gz UJBAORROEZMSQF-UHFFFAOYSA-N 0 3 316.272 4.414 20 0 DIADHN Cc1cc(CN[C@H](C)CC(C)(C)SCc2ccco2)on1 ZINC001258296648 1063592037 /nfs/dbraw/zinc/59/20/37/1063592037.db2.gz YEQYGGHFNOLKRR-CYBMUJFWSA-N 0 3 308.447 4.156 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001258303517 1063598021 /nfs/dbraw/zinc/59/80/21/1063598021.db2.gz BMRHZHZGFDLTNV-SDADXPQNSA-N 0 3 311.466 4.360 20 0 DIADHN CC(C)CCc1nnc(C2CCN(Cc3ccccc3)CC2)o1 ZINC001258301882 1063598218 /nfs/dbraw/zinc/59/82/18/1063598218.db2.gz NPCUMBRNWDWFSI-UHFFFAOYSA-N 0 3 313.445 4.038 20 0 DIADHN C[C@H](N)c1ccn([C@@H](C)CC(C)(C)SCc2ccco2)n1 ZINC001258304024 1063600981 /nfs/dbraw/zinc/60/09/81/1063600981.db2.gz YOLATXJVPWVOEO-STQMWFEESA-N 0 3 307.463 4.159 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCCC(=O)CC1 ZINC001258306386 1063601323 /nfs/dbraw/zinc/60/13/23/1063601323.db2.gz AZLFWCVEYRREFN-CQSZACIVSA-N 0 3 309.475 4.125 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)Nc1ccc(CN)nc1 ZINC001258307398 1063603630 /nfs/dbraw/zinc/60/36/30/1063603630.db2.gz SWPATPSPWFACDG-ZDUSSCGKSA-N 0 3 319.474 4.036 20 0 DIADHN CC(C)(C)c1ccc(CN[C@H]2CCc3ccccc3NC2=O)cc1 ZINC001461608587 1063609127 /nfs/dbraw/zinc/60/91/27/1063609127.db2.gz XPKSVXRZSHUFHW-IBGZPJMESA-N 0 3 322.452 4.027 20 0 DIADHN COCC1(CNCc2c(Cl)cccc2SC(C)C)CC1 ZINC001461610772 1063610935 /nfs/dbraw/zinc/61/09/35/1063610935.db2.gz ZKFIBUSEMNBBMY-UHFFFAOYSA-N 0 3 313.894 4.357 20 0 DIADHN CSC(C)(C)C[C@H](C)N1CCO[C@](C)(c2ccc(C)cc2)C1 ZINC001258340616 1063616606 /nfs/dbraw/zinc/61/66/06/1063616606.db2.gz GBJMLCUEPSSCJJ-LPHOPBHVSA-N 0 3 321.530 4.463 20 0 DIADHN CSC(C)(C)C[C@H](C)N1CCO[C@@](C)(c2ccc(C)cc2)C1 ZINC001258340618 1063618336 /nfs/dbraw/zinc/61/83/36/1063618336.db2.gz GBJMLCUEPSSCJJ-QFBILLFUSA-N 0 3 321.530 4.463 20 0 DIADHN CCCCC(CCCC)n1nc(CN)c2cc(OC)ccc21 ZINC001258382646 1063626823 /nfs/dbraw/zinc/62/68/23/1063626823.db2.gz APDWKIAQCANEIU-UHFFFAOYSA-N 0 3 303.450 4.425 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNC[C@@]1(C)CC1(F)F ZINC001461640577 1063629412 /nfs/dbraw/zinc/62/94/12/1063629412.db2.gz HVSAXGBLLOMSSN-CYBMUJFWSA-N 0 3 323.214 4.194 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2c(F)ccc(F)c2F)cc1 ZINC001258387978 1063631224 /nfs/dbraw/zinc/63/12/24/1063631224.db2.gz MFTZBOKQURJFAG-GFCCVEGCSA-N 0 3 323.358 4.223 20 0 DIADHN c1nc2cc(CN[C@@H](c3ccccn3)C3CCC3)ccc2s1 ZINC001461644258 1063632800 /nfs/dbraw/zinc/63/28/00/1063632800.db2.gz VAJYWVJFBTZTBQ-GOSISDBHSA-N 0 3 309.438 4.322 20 0 DIADHN Clc1ccsc1CNC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC001461649417 1063636474 /nfs/dbraw/zinc/63/64/74/1063636474.db2.gz YZNRWFURSYMLPS-KBPBESRZSA-N 0 3 307.846 4.064 20 0 DIADHN COc1ccc(CC[C@H](C)N2CCC[C@H]2c2cc(C)on2)cc1 ZINC001258398443 1063636714 /nfs/dbraw/zinc/63/67/14/1063636714.db2.gz UWRRNUPHJBGDIH-LIRRHRJNSA-N 0 3 314.429 4.150 20 0 DIADHN COc1ccc(CC[C@H](C)N2CCCC(F)(F)[C@H](F)C2)cc1 ZINC001258398057 1063636943 /nfs/dbraw/zinc/63/69/43/1063636943.db2.gz NJWOZZVMJAXGLC-XJKSGUPXSA-N 0 3 315.379 4.086 20 0 DIADHN COc1ccc(CC[C@H](C)N2CCC[C@@H]2c2nccs2)cc1 ZINC001258405231 1063640479 /nfs/dbraw/zinc/64/04/79/1063640479.db2.gz BHXAXGCPBZBSTO-WMLDXEAASA-N 0 3 316.470 4.310 20 0 DIADHN COc1cncc(CNCc2ccc(-c3ccccc3)s2)c1 ZINC001461657484 1063640896 /nfs/dbraw/zinc/64/08/96/1063640896.db2.gz QSNLHKQYIAFHNB-UHFFFAOYSA-N 0 3 310.422 4.109 20 0 DIADHN COc1ccc(CC[C@H](C)N(C)Cc2nc3ccccc3o2)cc1 ZINC001258409609 1063642085 /nfs/dbraw/zinc/64/20/85/1063642085.db2.gz YITLJFBZSMUOGH-HNNXBMFYSA-N 0 3 324.424 4.290 20 0 DIADHN CCOC(=O)CC[C@@H](C)N[C@H](C)c1cc(Cl)ccc1Cl ZINC001258474943 1063668770 /nfs/dbraw/zinc/66/87/70/1063668770.db2.gz ZUNZCWRAHHFPKN-GHMZBOCLSA-N 0 3 318.244 4.376 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC001461727798 1063681963 /nfs/dbraw/zinc/68/19/63/1063681963.db2.gz HMAHLNKNLQHUCX-VZJVUDMVSA-N 0 3 300.229 4.041 20 0 DIADHN Cc1c(Cl)cccc1CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001461668031 1063647665 /nfs/dbraw/zinc/64/76/65/1063647665.db2.gz APUXAEPIOMARIX-NSHDSACASA-N 0 3 316.788 4.346 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H]3CCc4ccc(Cl)cc43)ccc2O1 ZINC001461668738 1063647703 /nfs/dbraw/zinc/64/77/03/1063647703.db2.gz FGISDLWHBIURPJ-SGTLLEGYSA-N 0 3 313.828 4.441 20 0 DIADHN CCCCC[C@@H](CC)NCc1nc(SC)ncc1Cl ZINC001258439433 1063654132 /nfs/dbraw/zinc/65/41/32/1063654132.db2.gz UUCQXLZZPXYVIA-LLVKDONJSA-N 0 3 301.887 4.300 20 0 DIADHN C[C@]1(CNCc2c(F)cccc2OC(F)(F)F)C[C@H]2C[C@H]2C1 ZINC001461681304 1063654739 /nfs/dbraw/zinc/65/47/39/1063654739.db2.gz MONGQJUBOXZSOW-HBYGRHMLSA-N 0 3 317.326 4.250 20 0 DIADHN CCOc1cccc(F)c1CNCC(C)(C)C(F)(F)CC ZINC001461685985 1063655806 /nfs/dbraw/zinc/65/58/06/1063655806.db2.gz VAKPMQIEFXZZBP-UHFFFAOYSA-N 0 3 303.368 4.386 20 0 DIADHN COc1cccc(-c2csc(CN[C@@]34C[C@@H]3CCCC4)n2)c1 ZINC001461684685 1063656152 /nfs/dbraw/zinc/65/61/52/1063656152.db2.gz PHRDBGQRWSIVSO-KSSFIOAISA-N 0 3 314.454 4.241 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC001461684561 1063656283 /nfs/dbraw/zinc/65/62/83/1063656283.db2.gz OIDZHQKCGANJRM-SPYBWZPUSA-N 0 3 311.425 4.018 20 0 DIADHN COc1cncc(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)c1C ZINC001461685515 1063657115 /nfs/dbraw/zinc/65/71/15/1063657115.db2.gz STPFFQVKQGYFJJ-OALUTQOASA-N 0 3 310.441 4.373 20 0 DIADHN CC(C)(CNCc1c(F)ccc(F)c1Cl)[C@H]1CCCCO1 ZINC001461695814 1063661728 /nfs/dbraw/zinc/66/17/28/1063661728.db2.gz QGHOJYORHJTQEB-CQSZACIVSA-N 0 3 317.807 4.303 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H](C)CC3CC3)cs2)c1 ZINC001258456723 1063663268 /nfs/dbraw/zinc/66/32/68/1063663268.db2.gz AMTPUAIOCKVVIJ-LBPRGKRZSA-N 0 3 302.443 4.097 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1[C@H](C)CC1CC1 ZINC001258458190 1063663693 /nfs/dbraw/zinc/66/36/93/1063663693.db2.gz RKQZBHQBNWYPJB-AEFFLSMTSA-N 0 3 314.473 4.285 20 0 DIADHN O[C@H](CCNCc1cccc(Cl)c1Cl)C1CCCC1 ZINC001461706172 1063669704 /nfs/dbraw/zinc/66/97/04/1063669704.db2.gz KNEOXFIQXASGHA-CQSZACIVSA-N 0 3 302.245 4.024 20 0 DIADHN CCOC(=O)CC[C@H](C)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC001258474945 1063670062 /nfs/dbraw/zinc/67/00/62/1063670062.db2.gz ZUNZCWRAHHFPKN-QWRGUYRKSA-N 0 3 318.244 4.376 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CC=C(c2ccc(Cl)cc2)CC1 ZINC001258487842 1063673066 /nfs/dbraw/zinc/67/30/66/1063673066.db2.gz CUZHYQDTIQMCJS-CQSZACIVSA-N 0 3 321.848 4.161 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccc(Cl)cc1 ZINC001258496181 1063676231 /nfs/dbraw/zinc/67/62/31/1063676231.db2.gz OVAHZJNMCJFTDJ-CJNGLKHVSA-N 0 3 309.837 4.209 20 0 DIADHN CCCC1CCC(NCc2nc(Br)cs2)CC1 ZINC001258530212 1063682451 /nfs/dbraw/zinc/68/24/51/1063682451.db2.gz HLNSDKIOYQZFGQ-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN CC1(NCc2cc(Cl)cc(Br)c2)CC(F)(F)C1 ZINC001461730897 1063684130 /nfs/dbraw/zinc/68/41/30/1063684130.db2.gz UCFHFVVVMGRFBT-UHFFFAOYSA-N 0 3 324.596 4.380 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)C[C@H](C)O3)ccc1Cl ZINC001461735227 1063685781 /nfs/dbraw/zinc/68/57/81/1063685781.db2.gz DWIDBNAEAALQGW-ZDUSSCGKSA-N 0 3 301.817 4.262 20 0 DIADHN COc1cc(CNCc2ccc(C(C)(C)C)s2)ccc1F ZINC001461735047 1063686391 /nfs/dbraw/zinc/68/63/91/1063686391.db2.gz JXNHBZFMUNCHQK-UHFFFAOYSA-N 0 3 307.434 4.483 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc(C(C)(C)C)s1 ZINC001461738587 1063688701 /nfs/dbraw/zinc/68/87/01/1063688701.db2.gz ZHXYVMBCDNYDRA-UHFFFAOYSA-N 0 3 313.470 4.292 20 0 DIADHN C[C@H](NC1CCN(c2ccccc2Cl)CC1)c1nccs1 ZINC001258537521 1063689292 /nfs/dbraw/zinc/68/92/92/1063689292.db2.gz NYXNRKPHBMHZKA-LBPRGKRZSA-N 0 3 321.877 4.116 20 0 DIADHN CC1(C)CC[C@@H](N[C@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001258595789 1063700205 /nfs/dbraw/zinc/70/02/05/1063700205.db2.gz LDHAVTZSAISQPN-BXUZGUMPSA-N 0 3 302.245 4.195 20 0 DIADHN CC1(C)CC[C@@H](N[C@@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001258595791 1063700433 /nfs/dbraw/zinc/70/04/33/1063700433.db2.gz LDHAVTZSAISQPN-RISCZKNCSA-N 0 3 302.245 4.195 20 0 DIADHN Fc1ccc2nc(CNCC[C@H]3CCC4(CCC4)O3)sc2c1 ZINC001461774710 1063708550 /nfs/dbraw/zinc/70/85/50/1063708550.db2.gz DKCVPUBJBPNPPS-CYBMUJFWSA-N 0 3 320.433 4.017 20 0 DIADHN c1ccc(-c2cccc(CN[C@H](c3ccccn3)C3CC3)c2)nc1 ZINC001461777633 1063709790 /nfs/dbraw/zinc/70/97/90/1063709790.db2.gz NYBCGXSLTKMDLS-NRFANRHFSA-N 0 3 315.420 4.385 20 0 DIADHN C[C@H](Cc1cccnc1)N(C)Cc1ccc(Cl)cc1Cl ZINC001258621659 1063712799 /nfs/dbraw/zinc/71/27/99/1063712799.db2.gz BFQQFWPCUWGCBT-GFCCVEGCSA-N 0 3 309.240 4.451 20 0 DIADHN C[C@H](Cc1cccnc1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001258618570 1063712897 /nfs/dbraw/zinc/71/28/97/1063712897.db2.gz YPHIIJJGKWTUBC-QAPCUYQASA-N 0 3 323.465 4.043 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@H]1c1ccc(F)cc1F ZINC001258633985 1063718675 /nfs/dbraw/zinc/71/86/75/1063718675.db2.gz NBIUGRUQZHDPRS-UGSOOPFHSA-N 0 3 302.368 4.128 20 0 DIADHN CCC1CCC(C2CCC(NCc3nccn3C)CC2)CC1 ZINC001258633186 1063719502 /nfs/dbraw/zinc/71/95/02/1063719502.db2.gz RGHVSLSSAIDGFD-UHFFFAOYSA-N 0 3 303.494 4.285 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCC(=O)c1cc(F)cc(F)c1 ZINC001258655165 1063728775 /nfs/dbraw/zinc/72/87/75/1063728775.db2.gz XBRALCHHDYKOLG-GOSISDBHSA-N 0 3 317.379 4.149 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2cnn(CC3CCC3)c2)c1 ZINC001461812300 1063730254 /nfs/dbraw/zinc/73/02/54/1063730254.db2.gz OQWGOXXWKOXBHZ-MRXNPFEDSA-N 0 3 311.473 4.487 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@@H]2C[C@H]2Cc2ccccc2)c1 ZINC001461819212 1063734773 /nfs/dbraw/zinc/73/47/73/1063734773.db2.gz HNAVYMNJOSQHIA-CVEARBPZSA-N 0 3 301.817 4.014 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2ccc3occc3c2)c2ccccc21 ZINC001461818346 1063735899 /nfs/dbraw/zinc/73/58/99/1063735899.db2.gz HEGYLYHQLXRYGE-QVKFZJNVSA-N 0 3 321.420 4.308 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@H]3C[C@@H]3C3CCCC3)sc2C1 ZINC001461829076 1063739754 /nfs/dbraw/zinc/73/97/54/1063739754.db2.gz KMKHHOXVAHWBIN-BPLDGKMQSA-N 0 3 304.503 4.184 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N(CCN(C)C)Cc1cccs1 ZINC001516409226 1063740941 /nfs/dbraw/zinc/74/09/41/1063740941.db2.gz SBGNXTYOWPULHZ-OAHLLOKOSA-N 0 3 324.534 4.101 20 0 DIADHN CCCCC[C@H](CCC)N1CCc2cnc(SC)nc2C1 ZINC001258673193 1063742664 /nfs/dbraw/zinc/74/26/64/1063742664.db2.gz JNKOJCYHJGYTBK-HNNXBMFYSA-N 0 3 307.507 4.306 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@@]3(CCOC3)Oc3ccccc32)cc1C ZINC001461834525 1063743394 /nfs/dbraw/zinc/74/33/94/1063743394.db2.gz FDUXAXZRCWKRQX-PZJWPPBQSA-N 0 3 323.436 4.076 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CC[C@]2(CCCO2)C1 ZINC001258677018 1063745072 /nfs/dbraw/zinc/74/50/72/1063745072.db2.gz BTJMOCQBEQLFCG-MLGOLLRUSA-N 0 3 314.256 4.179 20 0 DIADHN CC[C@@H]1CCCN1Cc1nc(-c2cccc(OC)c2)cs1 ZINC001461844038 1063745714 /nfs/dbraw/zinc/74/57/14/1063745714.db2.gz QFEXCXZJBQEICU-CQSZACIVSA-N 0 3 302.443 4.193 20 0 DIADHN Cc1cnc(CN[C@@H](C)Cc2ccc(Cl)cc2Cl)s1 ZINC001258680031 1063746470 /nfs/dbraw/zinc/74/64/70/1063746470.db2.gz VDVPSQIUEUUDMD-VIFPVBQESA-N 0 3 315.269 4.479 20 0 DIADHN CO[C@@H]1CCCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258678405 1063747483 /nfs/dbraw/zinc/74/74/83/1063747483.db2.gz NGXLPHNYLMYBSB-BXUZGUMPSA-N 0 3 302.245 4.035 20 0 DIADHN CCOC(OCC)[C@H](C)NC/C=C/c1cc(C)c(C)cc1C ZINC001461845333 1063749953 /nfs/dbraw/zinc/74/99/53/1063749953.db2.gz QPOPZQYRMZZIOB-FVNWOWOISA-N 0 3 305.462 4.002 20 0 DIADHN C[C@@H]1C[C@@H](NCc2nc(Br)cs2)CC(C)(C)C1 ZINC001258689460 1063753691 /nfs/dbraw/zinc/75/36/91/1063753691.db2.gz CPCBHFLOHYINKB-NXEZZACHSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2ccccc2)c2cccnn2)CC(C)(C)C1 ZINC001258692547 1063755778 /nfs/dbraw/zinc/75/57/78/1063755778.db2.gz BKOLSYZHJXVEPW-HHXXYDBFSA-N 0 3 309.457 4.370 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2cccnn2)CC(C)(C)C1 ZINC001258692548 1063756408 /nfs/dbraw/zinc/75/64/08/1063756408.db2.gz BKOLSYZHJXVEPW-SUMDDJOVSA-N 0 3 309.457 4.370 20 0 DIADHN c1coc([C@@H]2CCCCCN2Cc2ccc3nccnc3c2)c1 ZINC001461873635 1063763616 /nfs/dbraw/zinc/76/36/16/1063763616.db2.gz PWSMXTZMDQNSCJ-SFHVURJKSA-N 0 3 307.397 4.340 20 0 DIADHN c1coc([C@H]2CCCCCN2Cc2ccc3nccnc3c2)c1 ZINC001461873630 1063763840 /nfs/dbraw/zinc/76/38/40/1063763840.db2.gz PWSMXTZMDQNSCJ-GOSISDBHSA-N 0 3 307.397 4.340 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cccc3c2OCC3)C1(C)C ZINC001461871201 1063764217 /nfs/dbraw/zinc/76/42/17/1063764217.db2.gz IALDJZQEHAVSJY-QZTJIDSGSA-N 0 3 317.473 4.037 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)NC(=N)c1cccnc1Cl ZINC001258707406 1063764440 /nfs/dbraw/zinc/76/44/40/1063764440.db2.gz HJDJUUSHHKASJJ-HNNXBMFYSA-N 0 3 315.848 4.451 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N1CCCc2ccc(CN)nc21 ZINC001258705347 1063764691 /nfs/dbraw/zinc/76/46/91/1063764691.db2.gz GSBYAEHQUURWLW-FQEVSTJZSA-N 0 3 323.484 4.094 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(SC)o2)C1(CC)CC ZINC001461877744 1063769028 /nfs/dbraw/zinc/76/90/28/1063769028.db2.gz VFHRGLISLKXMSV-LSDHHAIUSA-N 0 3 311.491 4.417 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N1CCO[C@H](c2ccncc2)C1 ZINC001258715247 1063772907 /nfs/dbraw/zinc/77/29/07/1063772907.db2.gz YTQOZAMJDNEBPI-SFTDATJTSA-N 0 3 324.468 4.256 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001461885315 1063775207 /nfs/dbraw/zinc/77/52/07/1063775207.db2.gz OBGPDPSGYLJZBV-OALUTQOASA-N 0 3 321.505 4.007 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001461885333 1063775347 /nfs/dbraw/zinc/77/53/47/1063775347.db2.gz OBGPDPSGYLJZBV-RTBURBONSA-N 0 3 321.505 4.007 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001461894794 1063783370 /nfs/dbraw/zinc/78/33/70/1063783370.db2.gz FTYCBFYPCRPMGL-IUODEOHRSA-N 0 3 315.848 4.319 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCN(C(=O)OC(C)(C)C)C[C@@H]2C)C1 ZINC001461902043 1063786075 /nfs/dbraw/zinc/78/60/75/1063786075.db2.gz UOHXYUWFJBZRKM-GVDBMIGSSA-N 0 3 324.509 4.144 20 0 DIADHN Cc1cc(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)cnc1F ZINC001461906282 1063788473 /nfs/dbraw/zinc/78/84/73/1063788473.db2.gz VRMYDLFIMNRCIW-CJNGLKHVSA-N 0 3 302.368 4.046 20 0 DIADHN CCn1cc(CN2CCC[C@@H]2Cc2ccccc2Cl)c(C)n1 ZINC001461908634 1063790420 /nfs/dbraw/zinc/79/04/20/1063790420.db2.gz AEUHXVDNADFROM-QGZVFWFLSA-N 0 3 317.864 4.072 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCc1cn(CC2CCC2)cn1 ZINC001258741506 1063791255 /nfs/dbraw/zinc/79/12/55/1063791255.db2.gz LATNEDPPSKCUEE-AWEZNQCLSA-N 0 3 317.864 4.057 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCc1noc2ccccc12 ZINC001258743123 1063792450 /nfs/dbraw/zinc/79/24/50/1063792450.db2.gz YJNHDXMWKQAVOO-GFCCVEGCSA-N 0 3 300.789 4.202 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N[C@@H]1CCc2ccccc2C1=O ZINC001258743921 1063793288 /nfs/dbraw/zinc/79/32/88/1063793288.db2.gz MIGROFSRLOWMTR-FZKQIMNGSA-N 0 3 313.828 4.058 20 0 DIADHN CCn1ccc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC001461911436 1063793842 /nfs/dbraw/zinc/79/38/42/1063793842.db2.gz HHLXBZHGECRXDR-UONOGXRCSA-N 0 3 305.853 4.180 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N1C[C@@H](CF)[C@H](C(F)(F)F)C1 ZINC001258745646 1063794158 /nfs/dbraw/zinc/79/41/58/1063794158.db2.gz SPOQWJAYTOSRDA-MPKXVKKWSA-N 0 3 323.761 4.351 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2cccc(OC3CC3)c2F)C1 ZINC001461911711 1063795483 /nfs/dbraw/zinc/79/54/83/1063795483.db2.gz DMTNLAWRXBMGFB-ZFWWWQNUSA-N 0 3 309.450 4.083 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N1CC[C@@](CF)(C(F)(F)F)C1 ZINC001258744948 1063795849 /nfs/dbraw/zinc/79/58/49/1063795849.db2.gz HDWJTORRDRIOBD-FZMZJTMJSA-N 0 3 323.761 4.495 20 0 DIADHN CC[C@@]1(C)CCN(Cc2cccc(F)c2OCC(F)(F)F)C1 ZINC001461917596 1063801380 /nfs/dbraw/zinc/80/13/80/1063801380.db2.gz HRFGYSASTCGBAZ-HNNXBMFYSA-N 0 3 319.342 4.389 20 0 DIADHN CC[C@]1(C)CCN(Cc2cccc(F)c2OCC(F)(F)F)C1 ZINC001461917597 1063801567 /nfs/dbraw/zinc/80/15/67/1063801567.db2.gz HRFGYSASTCGBAZ-OAHLLOKOSA-N 0 3 319.342 4.389 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(C)c(Cl)c2)cc1 ZINC001461925050 1063808392 /nfs/dbraw/zinc/80/83/92/1063808392.db2.gz GXICAJPTLMFQKV-QGZVFWFLSA-N 0 3 315.844 4.474 20 0 DIADHN CCCC[C@@H](CCC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258763231 1063809604 /nfs/dbraw/zinc/80/96/04/1063809604.db2.gz LRLZGKBMLJHFSL-GOSISDBHSA-N 0 3 317.477 4.195 20 0 DIADHN CCCc1ccc(CN(C)Cc2cccc(N(C)C)c2)s1 ZINC001461928119 1063810758 /nfs/dbraw/zinc/81/07/58/1063810758.db2.gz PJABCOCYFIBANC-UHFFFAOYSA-N 0 3 302.487 4.399 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2cnc3c(F)cccc3c2)c1 ZINC001461926298 1063811674 /nfs/dbraw/zinc/81/16/74/1063811674.db2.gz JZCXOHNRGYVQHW-UHFFFAOYSA-N 0 3 323.415 4.072 20 0 DIADHN CCn1ccc(CN(CCc2ccc(Cl)cc2)CC2CC2)n1 ZINC001461929248 1063815259 /nfs/dbraw/zinc/81/52/59/1063815259.db2.gz YWOSXURXYNEMMZ-UHFFFAOYSA-N 0 3 317.864 4.011 20 0 DIADHN Fc1ccc(F)c(CN(C[C@H]2CCC=CO2)C2CCCC2)c1 ZINC001461929844 1063815383 /nfs/dbraw/zinc/81/53/83/1063815383.db2.gz ZBULAXJUZPTUKV-QGZVFWFLSA-N 0 3 307.384 4.402 20 0 DIADHN C[N@H+](CCCOc1ccc(Cl)cc1)Cc1ccc([O-])c(F)c1 ZINC001461937974 1063823735 /nfs/dbraw/zinc/82/37/35/1063823735.db2.gz QZLRDKRWYJIDJF-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN C[N@@H+](CCCOc1ccc(Cl)cc1)Cc1ccc([O-])c(F)c1 ZINC001461937974 1063823750 /nfs/dbraw/zinc/82/37/50/1063823750.db2.gz QZLRDKRWYJIDJF-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nc2ccc(Cl)cc2n1C ZINC001461946462 1063830442 /nfs/dbraw/zinc/83/04/42/1063830442.db2.gz SWXVCGVHIBOWJO-CQSZACIVSA-N 0 3 305.853 4.237 20 0 DIADHN COc1ccc(F)c(CN(C)CCCCc2ccccc2)c1 ZINC001461963282 1063842452 /nfs/dbraw/zinc/84/24/52/1063842452.db2.gz FBVMBOFPYNREGT-UHFFFAOYSA-N 0 3 301.405 4.289 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@@H](c2ccc(F)cc2)C1 ZINC001461963657 1063844099 /nfs/dbraw/zinc/84/40/99/1063844099.db2.gz IRIDYLCVMNTOCZ-HHXXYDBFSA-N 0 3 305.437 4.415 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@H](c2ccc(F)cc2)C1 ZINC001461963660 1063845610 /nfs/dbraw/zinc/84/56/10/1063845610.db2.gz IRIDYLCVMNTOCZ-SUMDDJOVSA-N 0 3 305.437 4.415 20 0 DIADHN Cc1cc(CN2CC[C@H](OCCCc3ccccc3)C2)c(C)o1 ZINC001461970090 1063848932 /nfs/dbraw/zinc/84/89/32/1063848932.db2.gz RUAUDDPBFQPXEQ-FQEVSTJZSA-N 0 3 313.441 4.120 20 0 DIADHN CCCCN(CCOC)Cc1cc(F)cc(C(F)(F)F)c1 ZINC001461978691 1063856075 /nfs/dbraw/zinc/85/60/75/1063856075.db2.gz XKKGUKJGOLIKQE-UHFFFAOYSA-N 0 3 307.331 4.093 20 0 DIADHN Cc1coc(CN2CCC([C@H](O)c3ccc(Cl)cc3)CC2)c1 ZINC001461986122 1063859633 /nfs/dbraw/zinc/85/96/33/1063859633.db2.gz IMMDRPQKTYXSDG-GOSISDBHSA-N 0 3 319.832 4.187 20 0 DIADHN Cc1ccc(CC2CCN(Cc3ccc4c(n3)CCC4)CC2)cc1 ZINC001461993956 1063866342 /nfs/dbraw/zinc/86/63/42/1063866342.db2.gz FRZHUWWYODWHCS-UHFFFAOYSA-N 0 3 320.480 4.333 20 0 DIADHN Cc1oc2ccccc2c1CN(CCO)Cc1ccc(C)cc1 ZINC001461995016 1063866696 /nfs/dbraw/zinc/86/66/96/1063866696.db2.gz QHSQRGOEJJVATL-UHFFFAOYSA-N 0 3 309.409 4.044 20 0 DIADHN COc1ccccc1C[C@H](C)N1CCc2c(Cl)cccc2C1 ZINC001258894318 1063874729 /nfs/dbraw/zinc/87/47/29/1063874729.db2.gz VDWZDIXRCYVLSX-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN Cc1ccccc1CN1CCN(Cc2cccc3ccoc32)CC1 ZINC001462017567 1063881548 /nfs/dbraw/zinc/88/15/48/1063881548.db2.gz AQFXMMJJZFDWBY-UHFFFAOYSA-N 0 3 320.436 4.059 20 0 DIADHN FC(F)COc1ccccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001462019540 1063883243 /nfs/dbraw/zinc/88/32/43/1063883243.db2.gz WCXVWPIZFPYEIM-HUUCEWRRSA-N 0 3 309.400 4.343 20 0 DIADHN FC(F)COc1ccccc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001462019542 1063883284 /nfs/dbraw/zinc/88/32/84/1063883284.db2.gz WCXVWPIZFPYEIM-LSDHHAIUSA-N 0 3 309.400 4.343 20 0 DIADHN COc1cccc(CN(C)Cc2cc3ccccc3c(OC)c2)c1 ZINC001462023262 1063886050 /nfs/dbraw/zinc/88/60/50/1063886050.db2.gz NFKGDDKZDSEJDL-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN CSc1ccc(CN(C)CCOc2ccc(C)cc2)s1 ZINC001462027134 1063889050 /nfs/dbraw/zinc/88/90/50/1063889050.db2.gz QDTAHIWWFIATGJ-UHFFFAOYSA-N 0 3 307.484 4.289 20 0 DIADHN COc1ccc(CN2CCCCC[C@H]2c2ccc(OC)cc2)o1 ZINC001462029185 1063889475 /nfs/dbraw/zinc/88/94/75/1063889475.db2.gz MZHVFKAYNLTTFF-SFHVURJKSA-N 0 3 315.413 4.414 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc3nsnc32)cc1 ZINC001462038420 1063896053 /nfs/dbraw/zinc/89/60/53/1063896053.db2.gz YYKMGAIJHSEGQX-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1cc(C)c(O)c(C)c1)CCO2 ZINC001462051369 1063901271 /nfs/dbraw/zinc/90/12/71/1063901271.db2.gz KBSABPCGUUNYDC-GOSISDBHSA-N 0 3 311.425 4.185 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3ncccc3F)C2)cc1 ZINC001462049584 1063901663 /nfs/dbraw/zinc/90/16/63/1063901663.db2.gz FXTHGGPYNFGSOO-QGZVFWFLSA-N 0 3 312.432 4.409 20 0 DIADHN CCCN(CC(=O)OC(C)(C)C)CC1C2CC3CC(C2)CC1C3 ZINC001462065625 1063909969 /nfs/dbraw/zinc/90/99/69/1063909969.db2.gz NYCYZVVMFCZAFV-UHFFFAOYSA-N 0 3 321.505 4.112 20 0 DIADHN CC(C)C(=O)CCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC001261139061 1063910482 /nfs/dbraw/zinc/91/04/82/1063910482.db2.gz FAUVEDDTQADRKX-AWEZNQCLSA-N 0 3 316.470 4.091 20 0 DIADHN c1ccc(CC[C@H]2CN(CCC3CCCCC3)CCO2)cc1 ZINC001462063961 1063911256 /nfs/dbraw/zinc/91/12/56/1063911256.db2.gz XSCHZNLOEIQZQB-FQEVSTJZSA-N 0 3 301.474 4.290 20 0 DIADHN C[C@@H]1CCCCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001462110865 1063934490 /nfs/dbraw/zinc/93/44/90/1063934490.db2.gz JMOSTZQJTBTPMB-CQSZACIVSA-N 0 3 302.443 4.448 20 0 DIADHN CC(C)C(CN[C@H](C)c1nc(-c2cccc(F)c2)no1)C(C)C ZINC001462120595 1063937589 /nfs/dbraw/zinc/93/75/89/1063937589.db2.gz ONBMJLFYAQDUBP-CYBMUJFWSA-N 0 3 319.424 4.455 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCCc4cnn(C(C)C)c43)[nH]c2c1 ZINC001261671104 1063942179 /nfs/dbraw/zinc/94/21/79/1063942179.db2.gz HXMTYKJTDCUFNU-SFHVURJKSA-N 0 3 322.456 4.421 20 0 DIADHN C[C@@H]1CCc2nc(CNCC(C)(C)CC(F)F)sc2C1 ZINC001262031336 1063953816 /nfs/dbraw/zinc/95/38/16/1063953816.db2.gz OCKGAPFKJBNBKZ-SNVBAGLBSA-N 0 3 302.434 4.039 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc3ncsc3c2)c1C ZINC001262263399 1063961915 /nfs/dbraw/zinc/96/19/15/1063961915.db2.gz OVGRLIHYHRXNKB-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN COCc1cccc(CN[C@@H]2CCSc3c(F)cccc32)c1 ZINC000378884876 1063979801 /nfs/dbraw/zinc/97/98/01/1063979801.db2.gz HIQZKMXGSDMZDQ-QGZVFWFLSA-N 0 3 317.429 4.299 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](CO)C1)c1cc(Cl)sc1Cl ZINC000380711755 1063989227 /nfs/dbraw/zinc/98/92/27/1063989227.db2.gz CNLNGMFHQUYQQJ-IVZWLZJFSA-N 0 3 308.274 4.257 20 0 DIADHN CCN(C(=O)[C@@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001264311004 1064071153 /nfs/dbraw/zinc/07/11/53/1064071153.db2.gz JDYDVNWDWGHOCY-IBGZPJMESA-N 0 3 310.441 4.066 20 0 DIADHN FC(F)(F)c1cccc(CNCc2ccc3cc[nH]c3c2)c1 ZINC000389409311 1064128070 /nfs/dbraw/zinc/12/80/70/1064128070.db2.gz KXXLAOPCTLYCOF-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001264802164 1064137574 /nfs/dbraw/zinc/13/75/74/1064137574.db2.gz TWXBUGCWPRFMQK-YQVWRLOYSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001264955909 1064177729 /nfs/dbraw/zinc/17/77/29/1064177729.db2.gz WJDKOTNBALFXCA-WMZOPIPTSA-N 0 3 316.489 4.112 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001264955906 1064178060 /nfs/dbraw/zinc/17/80/60/1064178060.db2.gz WJDKOTNBALFXCA-AEFFLSMTSA-N 0 3 316.489 4.112 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N(CCC)CCN(C)C ZINC001265291231 1064213258 /nfs/dbraw/zinc/21/32/58/1064213258.db2.gz HXTMVVYLVHMWPF-SFHVURJKSA-N 0 3 310.526 4.173 20 0 DIADHN CN(C(=O)C1(C2CCCCC2)CCCCC1)[C@@H]1CCCN(C)C1 ZINC001265573394 1064245945 /nfs/dbraw/zinc/24/59/45/1064245945.db2.gz DISQKPQDICVIKM-GOSISDBHSA-N 0 3 320.521 4.070 20 0 DIADHN CN(C(=O)C1(C2CCCCC2)CCCCC1)[C@H]1CCCN(C)C1 ZINC001265573395 1064246403 /nfs/dbraw/zinc/24/64/03/1064246403.db2.gz DISQKPQDICVIKM-SFHVURJKSA-N 0 3 320.521 4.070 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N(C)[C@@H]2CCCN(C)C2)CC1 ZINC001265573406 1064246528 /nfs/dbraw/zinc/24/65/28/1064246528.db2.gz DWPYBOYNGMPWPR-DAWZGUTISA-N 0 3 322.537 4.172 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)Cc1cccs1)CC(C)(C)C ZINC001265756141 1064269392 /nfs/dbraw/zinc/26/93/92/1064269392.db2.gz YXJXXHIGKWGEAF-HNNXBMFYSA-N 0 3 324.534 4.101 20 0 DIADHN FC(F)(F)CCCN1CCC12CN(CCC1CCCCC1)C2 ZINC001279586384 1064359180 /nfs/dbraw/zinc/35/91/80/1064359180.db2.gz CQCHFTVOQKJJMF-UHFFFAOYSA-N 0 3 318.427 4.059 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001290312598 1064690164 /nfs/dbraw/zinc/69/01/64/1064690164.db2.gz NTOPORKYMNUZES-VQIMIIECSA-N 0 3 316.489 4.012 20 0 DIADHN CCC(CC)[C@H](C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001290312597 1064690811 /nfs/dbraw/zinc/69/08/11/1064690811.db2.gz NTOPORKYMNUZES-QFBILLFUSA-N 0 3 316.489 4.012 20 0 DIADHN CCCCCC[C@H](C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001290512193 1064698276 /nfs/dbraw/zinc/69/82/76/1064698276.db2.gz KYAUMLQXPWDWSV-HNNXBMFYSA-N 0 3 315.461 4.380 20 0 DIADHN CN(C(=O)C(C)(C)N1CCCCC1)c1ccc2ccccc2c1 ZINC001290537117 1064700615 /nfs/dbraw/zinc/70/06/15/1064700615.db2.gz MBUFSMDDEWWBBM-UHFFFAOYSA-N 0 3 310.441 4.067 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CC[C@H](C)CC1)c1ccsc1 ZINC001290870487 1064715942 /nfs/dbraw/zinc/71/59/42/1064715942.db2.gz UDYIXRHLPNBDRG-BFYDXBDKSA-N 0 3 322.518 4.074 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NCCN1CCC[C@H](C)C1 ZINC001290891867 1064716721 /nfs/dbraw/zinc/71/67/21/1064716721.db2.gz QUFUJZHALSUEBL-HKUYNNGSSA-N 0 3 310.526 4.221 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC001290931598 1064717559 /nfs/dbraw/zinc/71/75/59/1064717559.db2.gz RUSGFAUTFWXJDG-JZXOWHBKSA-N 0 3 316.489 4.153 20 0 DIADHN C[C@H](C(=O)N[C@H](c1ccccc1)C(C)(C)C)N1[C@H](C)CC[C@@H]1C ZINC001291719144 1064742443 /nfs/dbraw/zinc/74/24/43/1064742443.db2.gz SEJUVTMPZYKCHR-KYHPRHEASA-N 0 3 316.489 4.151 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001291909072 1064750937 /nfs/dbraw/zinc/75/09/37/1064750937.db2.gz ONUFDCYHRQZZBP-UHFFFAOYSA-N 0 3 324.553 4.275 20 0 DIADHN CCCC[C@@H](C(=O)N1CCN(CCC(C)(C)C)CC1)C(C)C ZINC001291909007 1064751829 /nfs/dbraw/zinc/75/18/29/1064751829.db2.gz MCNIUSMRAYXVBS-QGZVFWFLSA-N 0 3 310.526 4.029 20 0 DIADHN CCCCC[C@@H](CC)C(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001292262531 1064765075 /nfs/dbraw/zinc/76/50/75/1064765075.db2.gz XVNLTSWSNVTJTG-IEBWSBKVSA-N 0 3 318.505 4.320 20 0 DIADHN CC[C@H](C(=O)N(CC(C)C)c1ccc(Cl)cc1)N(CC)CC ZINC001294886062 1064889164 /nfs/dbraw/zinc/88/91/64/1064889164.db2.gz MUSZMZNSAFKQCH-QGZVFWFLSA-N 0 3 324.896 4.449 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CC1(C)CCCCC1 ZINC001297734520 1064987916 /nfs/dbraw/zinc/98/79/16/1064987916.db2.gz WZSFOETXVGBQAT-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN C[C@H](CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1)CC(C)(C)C ZINC001298814383 1065022404 /nfs/dbraw/zinc/02/24/04/1065022404.db2.gz UYNAXARENAVZLV-RHSMWYFYSA-N 0 3 322.468 4.007 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)Nc1ccc(CN(C)C)cc1OC ZINC001299106145 1065032939 /nfs/dbraw/zinc/03/29/39/1065032939.db2.gz ORISPAUGTWLEBW-MRXNPFEDSA-N 0 3 320.477 4.158 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)c1ccc(Cl)cc1 ZINC001303371151 1065114527 /nfs/dbraw/zinc/11/45/27/1065114527.db2.gz POZPYRDTHUOUHI-OAHLLOKOSA-N 0 3 324.896 4.372 20 0 DIADHN CCCCCCN(Cc1ccco1)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC001304793696 1065143476 /nfs/dbraw/zinc/14/34/76/1065143476.db2.gz YSBCUNMGOAUGGL-CALCHBBNSA-N 0 3 320.477 4.061 20 0 DIADHN C[C@]1(CNc2ncc(Cl)s2)CCN(Cc2ccccc2)C1 ZINC001307109818 1065267086 /nfs/dbraw/zinc/26/70/86/1065267086.db2.gz NNJDXBLXKKPKQC-MRXNPFEDSA-N 0 3 321.877 4.121 20 0 DIADHN C[C@H]1CCC[C@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001307412087 1065375095 /nfs/dbraw/zinc/37/50/95/1065375095.db2.gz CHILBMXLBIGWLX-IRXDYDNUSA-N 0 3 309.457 4.057 20 0 DIADHN Cc1cccc(OCCN(C)Cc2ccc(Cl)c(F)c2)c1 ZINC001307417565 1065378481 /nfs/dbraw/zinc/37/84/81/1065378481.db2.gz DSKGQIYUNXUOAY-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN C[C@H](c1ccccc1F)N(C)CCCOCc1ccccc1 ZINC001307419020 1065380739 /nfs/dbraw/zinc/38/07/39/1065380739.db2.gz RJHQVRXUCXZHDV-MRXNPFEDSA-N 0 3 301.405 4.425 20 0 DIADHN Cc1ccc(NC(=O)CN(C2CC2)C2CCCCC2)cc1Cl ZINC001307428640 1065387793 /nfs/dbraw/zinc/38/77/93/1065387793.db2.gz DZWYIECZXFGDSK-UHFFFAOYSA-N 0 3 320.864 4.384 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)C[C@H]2CCCO2)cc1C ZINC001307431260 1065391225 /nfs/dbraw/zinc/39/12/25/1065391225.db2.gz VBBVESNZRWPBNZ-OAQYLSRUSA-N 0 3 309.453 4.485 20 0 DIADHN CC[C@H](C)N(CCc1ccccc1F)Cc1cnc(Cl)cn1 ZINC001307438401 1065399431 /nfs/dbraw/zinc/39/94/31/1065399431.db2.gz LCPPZDXTTNHZMK-ZDUSSCGKSA-N 0 3 321.827 4.112 20 0 DIADHN Cc1conc1CN(CCc1ccccc1F)C1CCCC1 ZINC001307438764 1065400567 /nfs/dbraw/zinc/40/05/67/1065400567.db2.gz OCMCKOFYNKPDNN-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN COc1cccc(-c2nc(CN([C@@H](C)C3CC3)C3CC3)co2)c1 ZINC001307442860 1065405043 /nfs/dbraw/zinc/40/50/43/1065405043.db2.gz PVBRVMYQGVWLKI-ZDUSSCGKSA-N 0 3 312.413 4.113 20 0 DIADHN COc1ccc(Br)cc1[C@H]1CCCN1CCC1CC1 ZINC001307444668 1065405202 /nfs/dbraw/zinc/40/52/02/1065405202.db2.gz XURMZJKXOARJTA-OAHLLOKOSA-N 0 3 324.262 4.395 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N(C)CCC1CCCCC1 ZINC001307445665 1065407411 /nfs/dbraw/zinc/40/74/11/1065407411.db2.gz JLVBEBZQUGUKLB-CQSZACIVSA-N 0 3 306.425 4.055 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001307452446 1065409275 /nfs/dbraw/zinc/40/92/75/1065409275.db2.gz ZJOHYRVILLGVKD-INIZCTEOSA-N 0 3 301.409 4.022 20 0 DIADHN Brc1cccnc1CN(CC1CC1)C1CCCCC1 ZINC001307455274 1065411037 /nfs/dbraw/zinc/41/10/37/1065411037.db2.gz CTGMCKJRDATAEH-UHFFFAOYSA-N 0 3 323.278 4.389 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C[C@H]1CCCC[C@H]1O)C1CC1 ZINC001307455267 1065411077 /nfs/dbraw/zinc/41/10/77/1065411077.db2.gz IZVPZTXRUSEXIM-HBUWYVDXSA-N 0 3 307.865 4.417 20 0 DIADHN CCOCCN(CC)Cc1ccc(Oc2ccccc2)c(F)c1 ZINC001307460694 1065412573 /nfs/dbraw/zinc/41/25/73/1065412573.db2.gz WRVWLAPDMBCBRX-UHFFFAOYSA-N 0 3 317.404 4.476 20 0 DIADHN CCN(Cc1cc(Br)ccc1Cl)CC1CC1 ZINC001307464543 1065415888 /nfs/dbraw/zinc/41/58/88/1065415888.db2.gz FUJCYHACDHFXPB-UHFFFAOYSA-N 0 3 302.643 4.334 20 0 DIADHN CC[C@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)CC1 ZINC001307468339 1065419527 /nfs/dbraw/zinc/41/95/27/1065419527.db2.gz VYZWAPRRGHPTFB-ZDUSSCGKSA-N 0 3 309.837 4.123 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2cncc(Cl)c2)cc1 ZINC001307468791 1065419950 /nfs/dbraw/zinc/41/99/50/1065419950.db2.gz CPUYKYYSWBJOIR-SFHVURJKSA-N 0 3 300.833 4.251 20 0 DIADHN CC(C)(C)OC(=O)[C@@H](C1CC1)N1CCC[C@H](C(C)(C)C)CC1 ZINC001307473138 1065421615 /nfs/dbraw/zinc/42/16/15/1065421615.db2.gz NBOOVCODBBIBJE-JKSUJKDBSA-N 0 3 309.494 4.255 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C(C)(C)C)CC2)c(C)c1 ZINC001307474557 1065423934 /nfs/dbraw/zinc/42/39/34/1065423934.db2.gz WXALVBTVGSZEBR-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN Fc1cccc(CCN2CCC[C@H]2c2ccc(F)c(F)c2)c1 ZINC001307476108 1065424099 /nfs/dbraw/zinc/42/40/99/1065424099.db2.gz PQIIAQFCMUFKLJ-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN CO[C@@H](CN1CCc2ccccc2CC1)c1ccc(Cl)cc1 ZINC001307475772 1065424234 /nfs/dbraw/zinc/42/42/34/1065424234.db2.gz HLPYHYBFFOQIRJ-IBGZPJMESA-N 0 3 315.844 4.128 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001307480005 1065425135 /nfs/dbraw/zinc/42/51/35/1065425135.db2.gz SQIZMERKWVPTRM-HUUCEWRRSA-N 0 3 301.409 4.022 20 0 DIADHN Clc1cccc2c1CCN(CCCCC1CCOCC1)C2 ZINC001307483396 1065428481 /nfs/dbraw/zinc/42/84/81/1065428481.db2.gz QDOBGGXBTDMSBA-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1ccn(-c2cccc(F)c2)n1 ZINC001307480007 1065428680 /nfs/dbraw/zinc/42/86/80/1065428680.db2.gz SQIZMERKWVPTRM-LSDHHAIUSA-N 0 3 301.409 4.022 20 0 DIADHN CCCOC(=O)[C@H](C)N(CC)[C@H](Cc1ccc(F)cc1)C(C)C ZINC001307501451 1065443328 /nfs/dbraw/zinc/44/33/28/1065443328.db2.gz RXNFDVGTHQFDAG-MAUKXSAKSA-N 0 3 323.452 4.056 20 0 DIADHN CCCN(Cc1n[nH]cc1C)[C@@H]1C[C@@H](OCC)C12CCCCC2 ZINC001307502825 1065446746 /nfs/dbraw/zinc/44/67/46/1065446746.db2.gz FRCLWGMMMGVWEM-QZTJIDSGSA-N 0 3 319.493 4.058 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2ccc(SC)cc2)CC1 ZINC001307515708 1065456405 /nfs/dbraw/zinc/45/64/05/1065456405.db2.gz SSOFEFFXVVVHTD-UHFFFAOYSA-N 0 3 320.502 4.248 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2CCc3ccccc3[C@@H]2C)cc1 ZINC001307518417 1065457249 /nfs/dbraw/zinc/45/72/49/1065457249.db2.gz DAFPWQUYHRMACA-INIZCTEOSA-N 0 3 323.436 4.371 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCCc2c(F)cccc2F)n1 ZINC001307526214 1065464421 /nfs/dbraw/zinc/46/44/21/1065464421.db2.gz JHOPJSZVPGZYFH-IBGZPJMESA-N 0 3 316.395 4.438 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cc(F)ccc1OC(F)F ZINC001307543498 1065474398 /nfs/dbraw/zinc/47/43/98/1065474398.db2.gz DATFMHJVPNZPFW-SNVBAGLBSA-N 0 3 307.381 4.001 20 0 DIADHN CCC1(CC)[C@H](N(C)Cc2cc(C(F)(F)F)co2)C[C@@H]1OC ZINC001307542819 1065474511 /nfs/dbraw/zinc/47/45/11/1065474511.db2.gz FXTBCCFVEOHWKJ-KGLIPLIRSA-N 0 3 319.367 4.324 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1N(C)Cc1cc(C(F)(F)F)co1 ZINC001307542823 1065474891 /nfs/dbraw/zinc/47/48/91/1065474891.db2.gz FXTBCCFVEOHWKJ-ZIAGYGMSSA-N 0 3 319.367 4.324 20 0 DIADHN CCCOC(=O)[C@H](C)N(CC(C)C)[C@H](CC)c1ccccc1 ZINC001307554135 1065479844 /nfs/dbraw/zinc/47/98/44/1065479844.db2.gz CZTNTUVOWQBQEO-FUHWJXTLSA-N 0 3 305.462 4.437 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC[C@@H]1CC(C)C ZINC001307558280 1065482007 /nfs/dbraw/zinc/48/20/07/1065482007.db2.gz PDHLJFJZLYHEJF-OAHLLOKOSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)C[C@H](C)CN1CCc2cccc(Br)c2C1 ZINC001307579401 1065493437 /nfs/dbraw/zinc/49/34/37/1065493437.db2.gz MSEUSVHMUQLRMH-ZDUSSCGKSA-N 0 3 310.279 4.489 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1ccnc(Br)c1 ZINC001307580670 1065494253 /nfs/dbraw/zinc/49/42/53/1065494253.db2.gz XGNFUQKKIOOCQU-TZMCWYRMSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1ccc(CCCN2CCO[C@](C)(c3ccccc3)C2)cc1 ZINC001307579601 1065494528 /nfs/dbraw/zinc/49/45/28/1065494528.db2.gz PTSOISFBMIATJY-NRFANRHFSA-N 0 3 309.453 4.175 20 0 DIADHN Cc1cc(C)cc(C2=CCN(C[C@H]3CC[C@@H](C4CC4)O3)CC2)c1 ZINC001307585929 1065497888 /nfs/dbraw/zinc/49/78/88/1065497888.db2.gz PTEFAWOEQWEUGP-RTWAWAEBSA-N 0 3 311.469 4.350 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CC[C@H](O)c1ccccc1 ZINC001307588479 1065501666 /nfs/dbraw/zinc/50/16/66/1065501666.db2.gz KJXQUGLMDXVAAQ-SIFCLUCFSA-N 0 3 313.416 4.257 20 0 DIADHN CS[C@@H]1CCCCN(CCOc2ccc(F)cc2Cl)C1 ZINC001307593644 1065503737 /nfs/dbraw/zinc/50/37/37/1065503737.db2.gz FQCGLZSAFFURNR-CYBMUJFWSA-N 0 3 317.857 4.075 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(CCO)[C@H](C)c1ccccc1 ZINC001307592667 1065505339 /nfs/dbraw/zinc/50/53/39/1065505339.db2.gz LFJGONWLQIVBGL-QGZVFWFLSA-N 0 3 312.457 4.069 20 0 DIADHN CN(CCC1CCCCCC1)Cc1cn(C)nc1C(F)(F)F ZINC001307598068 1065507039 /nfs/dbraw/zinc/50/70/39/1065507039.db2.gz ZUKKDEGWBWHEMF-UHFFFAOYSA-N 0 3 317.399 4.231 20 0 DIADHN c1c(CN2CCCC[C@@H]2CCCc2ccccc2)nn2c1CCC2 ZINC001307602159 1065509874 /nfs/dbraw/zinc/50/98/74/1065509874.db2.gz CGOGNKCIGNBTQI-HXUWFJFHSA-N 0 3 323.484 4.207 20 0 DIADHN C[C@H]1CCC[C@@H](CCN2CCN(C(=O)C(C)(C)C)CC2(C)C)C1 ZINC001307610875 1065515458 /nfs/dbraw/zinc/51/54/58/1065515458.db2.gz ODAJVDRWWYZPAB-IRXDYDNUSA-N 0 3 322.537 4.172 20 0 DIADHN CO[C@H](CN[C@H](c1ccc(F)cc1F)C1CC1)C1CCCC1 ZINC001307616954 1065520280 /nfs/dbraw/zinc/52/02/80/1065520280.db2.gz PNECDNGLJRGBIY-MSOLQXFVSA-N 0 3 309.400 4.211 20 0 DIADHN CO[C@H](CN[C@@H](c1ccc(F)cc1F)C1CC1)C1CCCC1 ZINC001307616955 1065520943 /nfs/dbraw/zinc/52/09/43/1065520943.db2.gz PNECDNGLJRGBIY-QZTJIDSGSA-N 0 3 309.400 4.211 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307621586 1065521881 /nfs/dbraw/zinc/52/18/81/1065521881.db2.gz ORPUCMVATXXRGF-PWSUYJOCSA-N 0 3 315.244 4.195 20 0 DIADHN Cc1cccc(C(C)(C)NCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001307624048 1065524127 /nfs/dbraw/zinc/52/41/27/1065524127.db2.gz ASMZBPIHXLQWNH-UHFFFAOYSA-N 0 3 319.351 4.341 20 0 DIADHN CC[C@H](NCCOCc1ccccc1)c1ccc(F)cc1F ZINC001307625205 1065524948 /nfs/dbraw/zinc/52/49/48/1065524948.db2.gz NMFAXJNNEZLVQA-SFHVURJKSA-N 0 3 305.368 4.222 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)NCCC(C)(C)C)c1ccccc1 ZINC001307622444 1065525350 /nfs/dbraw/zinc/52/53/50/1065525350.db2.gz XYOUEXJRDAZYFY-LJQANCHMSA-N 0 3 318.505 4.306 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(C)cc1)C(=O)N(C)c1ccc(C)cc1 ZINC001307631503 1065528643 /nfs/dbraw/zinc/52/86/43/1065528643.db2.gz VMCBQYWRAVKLKG-FXAWDEMLSA-N 0 3 324.468 4.396 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(-c3ccccn3)nc2)cc1 ZINC001307630371 1065529332 /nfs/dbraw/zinc/52/93/32/1065529332.db2.gz QEDXZWULULAJQM-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN COc1cccc(SCCN[C@@H](C)c2ccc(F)cc2F)c1 ZINC001307637107 1065532149 /nfs/dbraw/zinc/53/21/49/1065532149.db2.gz MMZNGZRSSSRDQW-LBPRGKRZSA-N 0 3 323.408 4.416 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)c1ccc(C)c(F)c1 ZINC001307641485 1065537010 /nfs/dbraw/zinc/53/70/10/1065537010.db2.gz AJVSRBGFDVKRKG-KBXCAEBGSA-N 0 3 314.404 4.202 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(c2nc(C)c(C)s2)CC1 ZINC001307649120 1065541185 /nfs/dbraw/zinc/54/11/85/1065541185.db2.gz WEDLMVPOCVTPLN-QGZVFWFLSA-N 0 3 315.486 4.033 20 0 DIADHN Cc1ccc(N2CCN(CC3CCC(F)(F)CC3)CC2)cc1C ZINC001307651156 1065541434 /nfs/dbraw/zinc/54/14/34/1065541434.db2.gz GWPAUPBTGKXVNN-UHFFFAOYSA-N 0 3 322.443 4.251 20 0 DIADHN c1ccc(CCCCN2CCO[C@H](CCc3ccccc3)C2)cc1 ZINC001307667041 1065550530 /nfs/dbraw/zinc/55/05/30/1065550530.db2.gz QUULBIXLXAAOEU-JOCHJYFZSA-N 0 3 323.480 4.343 20 0 DIADHN CC[C@H](NC[C@@H](COC)C(C)C)c1nc(C(F)(F)F)cs1 ZINC001307667589 1065551125 /nfs/dbraw/zinc/55/11/25/1065551125.db2.gz CQAJBCPJUSPKHV-QWRGUYRKSA-N 0 3 324.412 4.121 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1ncc(Br)cc1Cl ZINC001307682400 1065560199 /nfs/dbraw/zinc/56/01/99/1065560199.db2.gz JABXFMIVFPQKLZ-SKDRFNHKSA-N 0 3 317.658 4.166 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccs1)C(=O)Nc1ccc(C)cc1 ZINC001307696507 1065567654 /nfs/dbraw/zinc/56/76/54/1065567654.db2.gz QIPHXYJIFOQLAO-UKRRQHHQSA-N 0 3 302.443 4.124 20 0 DIADHN CC[C@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@@H]1C ZINC001307703317 1065572481 /nfs/dbraw/zinc/57/24/81/1065572481.db2.gz RLYLWQZXHORJDF-MNOVXSKESA-N 0 3 312.335 4.467 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC[C@@H](OCC2CC2)C1 ZINC001307705518 1065575036 /nfs/dbraw/zinc/57/50/36/1065575036.db2.gz NDMDKLPFDKCPDK-KDOFPFPSSA-N 0 3 305.849 4.218 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2nc(-c3ccccc3F)no2)C1 ZINC001307718703 1065584603 /nfs/dbraw/zinc/58/46/03/1065584603.db2.gz VUEUUQVTJHJTIN-CYBMUJFWSA-N 0 3 317.408 4.134 20 0 DIADHN C[C@H](c1ccncc1)N(C)CCOc1ccccc1C(C)(C)C ZINC001307727950 1065589947 /nfs/dbraw/zinc/58/99/47/1065589947.db2.gz FXNNGERVLQPWKY-MRXNPFEDSA-N 0 3 312.457 4.451 20 0 DIADHN CC(C)COc1cccc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)c1 ZINC001307735849 1065593146 /nfs/dbraw/zinc/59/31/46/1065593146.db2.gz YRNXRCGTWKCPSI-CZUORRHYSA-N 0 3 315.379 4.494 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H]2[C@@H]2C[C@H](C)CC[C@H]2O)c1F ZINC001307732915 1065594609 /nfs/dbraw/zinc/59/46/09/1065594609.db2.gz SJVOUGZRFQMJOW-KBEZCGTCSA-N 0 3 323.427 4.035 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1CC/C=C\c1ccccc1 ZINC001307736180 1065594693 /nfs/dbraw/zinc/59/46/93/1065594693.db2.gz KWOMJPQHQKPPEG-VTSXBNNFSA-N 0 3 324.443 4.440 20 0 DIADHN C[C@@H]1CSCCN(CCc2cccc(Cl)c2Cl)C1 ZINC001307740253 1065596546 /nfs/dbraw/zinc/59/65/46/1065596546.db2.gz NWGBSHXSFPPYEO-NSHDSACASA-N 0 3 304.286 4.221 20 0 DIADHN CCc1ccc([C@@H]2CCCN(Cc3ncc(C)s3)C2)cc1 ZINC001307748574 1065603120 /nfs/dbraw/zinc/60/31/20/1065603120.db2.gz SCFSYCIAGACKQF-QGZVFWFLSA-N 0 3 300.471 4.394 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@@H](C)C[C@@H]2c2ccccc2)c1 ZINC001307750513 1065604672 /nfs/dbraw/zinc/60/46/72/1065604672.db2.gz IPVTXKFOZFVZHI-QFBILLFUSA-N 0 3 308.425 4.017 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2ccc(C)c(Cl)n2)C1(C)C ZINC001307757295 1065607320 /nfs/dbraw/zinc/60/73/20/1065607320.db2.gz MEKJOEDRHHJRDD-CABCVRRESA-N 0 3 310.869 4.117 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC001307766904 1065610869 /nfs/dbraw/zinc/61/08/69/1065610869.db2.gz OVPMMPWIPVFEBP-BARDWOONSA-N 0 3 320.304 4.097 20 0 DIADHN CC(C)O[C@H](CN1CCOCC12CCCCC2)c1ccccc1 ZINC001307770833 1065614291 /nfs/dbraw/zinc/61/42/91/1065614291.db2.gz GHSUGPMVAWUZCM-LJQANCHMSA-N 0 3 317.473 4.188 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2cc(Cl)sc2Cl)C1(C)C ZINC001307777744 1065617019 /nfs/dbraw/zinc/61/70/19/1065617019.db2.gz IMGZCGZSQYNAHJ-PPKCKEKNSA-N 0 3 308.274 4.204 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2cc(Cl)sc2Cl)C1(C)C ZINC001307777745 1065618021 /nfs/dbraw/zinc/61/80/21/1065618021.db2.gz IMGZCGZSQYNAHJ-WHGOUJPWSA-N 0 3 308.274 4.204 20 0 DIADHN COC(=O)c1csc(CN[C@@H](C)c2cccc(C3CC3)c2)c1 ZINC001307798365 1065625532 /nfs/dbraw/zinc/62/55/32/1065625532.db2.gz IEHYJVKUQXXYKT-LBPRGKRZSA-N 0 3 315.438 4.263 20 0 DIADHN CCc1ccc([C@H]2CCN([C@@H](C)C(=O)Nc3ccccc3)C2)cc1 ZINC001307800606 1065628250 /nfs/dbraw/zinc/62/82/50/1065628250.db2.gz SYVZAXPDNSETAP-LPHOPBHVSA-N 0 3 322.452 4.066 20 0 DIADHN CC(C)N(CC(=O)NCCC(C)(C)C)[C@H](C)c1ccccc1 ZINC001307811479 1065633172 /nfs/dbraw/zinc/63/31/72/1065633172.db2.gz BTWUCXBDJKKJNY-MRXNPFEDSA-N 0 3 304.478 4.010 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1CCCC(C)(C)O1 ZINC001307812488 1065634063 /nfs/dbraw/zinc/63/40/63/1065634063.db2.gz UCEWLIUBSQQHTB-DOTOQJQBSA-N 0 3 305.462 4.426 20 0 DIADHN C[C@@H](NC(=O)CN1CC[C@H](C(C)(C)C)C[C@H]1C)c1ccccc1 ZINC001307810022 1065634227 /nfs/dbraw/zinc/63/42/27/1065634227.db2.gz HKVKRZIRFZKEJN-NUJGCVRESA-N 0 3 316.489 4.010 20 0 DIADHN CCSc1ccccc1CN1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC001307814918 1065635982 /nfs/dbraw/zinc/63/59/82/1065635982.db2.gz BSDQBKWQGABMGC-WBVHZDCISA-N 0 3 305.487 4.188 20 0 DIADHN CC[C@H](OCCN1CC(C)(C)[C@H]1[C@H]1CCCO1)c1ccccc1 ZINC001307815909 1065636574 /nfs/dbraw/zinc/63/65/74/1065636574.db2.gz XUOZZSVMBDSALJ-IPMKNSEASA-N 0 3 317.473 4.044 20 0 DIADHN Cc1cnccc1CN(C)[C@@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC001307822155 1065637548 /nfs/dbraw/zinc/63/75/48/1065637548.db2.gz SSNLJUCUTWYEOA-MOPGFXCFSA-N 0 3 310.441 4.068 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cccc(F)c3F)CC2)cn1 ZINC001307833626 1065643660 /nfs/dbraw/zinc/64/36/60/1065643660.db2.gz DCJQBLJHOZKIBO-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@](Cc1ccccc1)(NCc1cccnc1)c1ccccc1 ZINC001307842456 1065648574 /nfs/dbraw/zinc/64/85/74/1065648574.db2.gz HQZAWOCMOOIMCO-OAQYLSRUSA-N 0 3 302.421 4.329 20 0 DIADHN CC(C)=CCN1CCC[C@H]1Cc1ccccc1Br ZINC001307854618 1065653537 /nfs/dbraw/zinc/65/35/37/1065653537.db2.gz UMQLBRYMIDAZEF-HNNXBMFYSA-N 0 3 308.263 4.422 20 0 DIADHN CC(C)=CCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@@H](C)[C@@H]1C ZINC001307866677 1065660909 /nfs/dbraw/zinc/66/09/09/1065660909.db2.gz CAWDHWQEUKNKTF-PMPSAXMXSA-N 0 3 310.482 4.061 20 0 DIADHN CN1CCN(Cc2cc(Cl)cc(Cl)c2)C[C@H]1C(C)(C)C ZINC001307888352 1065669704 /nfs/dbraw/zinc/66/97/04/1065669704.db2.gz VVURJXDLXONNAC-HNNXBMFYSA-N 0 3 315.288 4.156 20 0 DIADHN Cc1ncc(CN2CCCC[C@H]2Cc2ccccc2F)s1 ZINC001307905401 1065675512 /nfs/dbraw/zinc/67/55/12/1065675512.db2.gz KWMUQYQXERVJRV-HNNXBMFYSA-N 0 3 304.434 4.188 20 0 DIADHN c1ccc([C@@H]2CN(C[C@H]3CCCC4(CCC4)O3)CCS2)cc1 ZINC001307904048 1065676478 /nfs/dbraw/zinc/67/64/78/1065676478.db2.gz JRKPDVMFOGRGAL-MSOLQXFVSA-N 0 3 317.498 4.268 20 0 DIADHN Cc1c(C)c(C)c(CN2CC3(CCC3)OC[C@@H]2C)c(C)c1C ZINC001307909528 1065678962 /nfs/dbraw/zinc/67/89/62/1065678962.db2.gz GRIVCOOXLAFJNR-ZDUSSCGKSA-N 0 3 301.474 4.372 20 0 DIADHN CC(C)[C@@H](NCc1ccc(-n2cccn2)cc1)c1ccccc1F ZINC001307913264 1065680975 /nfs/dbraw/zinc/68/09/75/1065680975.db2.gz RVQFARLJEHCCKV-HXUWFJFHSA-N 0 3 323.415 4.498 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2c2c(C)cccc2C)cc1 ZINC001307921396 1065681530 /nfs/dbraw/zinc/68/15/30/1065681530.db2.gz XBYCXGBNEXGUQU-IBGZPJMESA-N 0 3 322.452 4.387 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2c2c(C)cccc2C)cc1 ZINC001307921397 1065682226 /nfs/dbraw/zinc/68/22/26/1065682226.db2.gz XBYCXGBNEXGUQU-LJQANCHMSA-N 0 3 322.452 4.387 20 0 DIADHN CC[C@@]1(Cc2ccccc2)CCCN1Cc1c(F)cncc1F ZINC001307918513 1065682387 /nfs/dbraw/zinc/68/23/87/1065682387.db2.gz JZGIOPMZBHVDOQ-IBGZPJMESA-N 0 3 316.395 4.347 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCC=C(c3cnn(C)c3)C2)cc1 ZINC001307919168 1065682460 /nfs/dbraw/zinc/68/24/60/1065682460.db2.gz SUIHMUVLEVWVCD-UHFFFAOYSA-N 0 3 323.484 4.397 20 0 DIADHN CN(CCN1CC2(CCCC2)[C@H]1c1ccco1)c1ccccc1 ZINC001307920976 1065682603 /nfs/dbraw/zinc/68/26/03/1065682603.db2.gz QUHYBZQCWRKIMS-LJQANCHMSA-N 0 3 310.441 4.333 20 0 DIADHN CC[C@@H](NC[C@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC001307929481 1065686115 /nfs/dbraw/zinc/68/61/15/1065686115.db2.gz ZGRVCZNMEQANKX-DOTOQJQBSA-N 0 3 318.509 4.262 20 0 DIADHN CC[C@@H](NC[C@@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC001307929482 1065686599 /nfs/dbraw/zinc/68/65/99/1065686599.db2.gz ZGRVCZNMEQANKX-NVXWUHKLSA-N 0 3 318.509 4.262 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](O)CCc1ccccc1 ZINC001307933585 1065688342 /nfs/dbraw/zinc/68/83/42/1065688342.db2.gz DZVNOZKHVAVLAQ-IBGZPJMESA-N 0 3 315.432 4.031 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(CC(=O)Nc2ccc(C)c(Cl)c2)C1 ZINC001307935792 1065688901 /nfs/dbraw/zinc/68/89/01/1065688901.db2.gz FJFBYHOAXKNJHU-ZIAGYGMSSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(=O)OC(C)(C)C)[C@@H](C)C2)c(C)s1 ZINC001307939048 1065691388 /nfs/dbraw/zinc/69/13/88/1065691388.db2.gz NWEBEZVGUURKKT-BLLLJJGKSA-N 0 3 323.502 4.165 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC(c2ccc(F)cn2)CC1 ZINC001307945395 1065692997 /nfs/dbraw/zinc/69/29/97/1065692997.db2.gz PCQLGWLGCZIFFZ-CYBMUJFWSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1ccc(CCCN2CCc3nc(C(C)(C)C)ncc3C2)cc1 ZINC001307951575 1065697369 /nfs/dbraw/zinc/69/73/69/1065697369.db2.gz STTKNHVJAITCFU-UHFFFAOYSA-N 0 3 323.484 4.073 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc(-c2ccccn2)nc1 ZINC001307970260 1065704185 /nfs/dbraw/zinc/70/41/85/1065704185.db2.gz HGFNUKIEMJCHQQ-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN Cc1cccc(C[C@@]2(C)CCCN2Cc2cnc(Cl)cn2)c1 ZINC001307973191 1065706729 /nfs/dbraw/zinc/70/67/29/1065706729.db2.gz XWFIJIQRGKEUGV-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN COc1nscc1CN1CCC[C@@]1(C)Cc1ccc(C)cc1 ZINC001307972584 1065708317 /nfs/dbraw/zinc/70/83/17/1065708317.db2.gz ONCPIMZERUNCPQ-SFHVURJKSA-N 0 3 316.470 4.057 20 0 DIADHN Cc1cccc([C@H](NCC(=O)N(C)[C@H](C)CC(C)C)C(C)C)c1 ZINC001307986475 1065712138 /nfs/dbraw/zinc/71/21/38/1065712138.db2.gz AJJZZLZGBKUXLX-YLJYHZDGSA-N 0 3 318.505 4.175 20 0 DIADHN COc1ccccc1[C@H]1CN(C[C@@H]2CCCCC2(C)C)CCO1 ZINC001308007685 1065720293 /nfs/dbraw/zinc/72/02/93/1065720293.db2.gz WNULAYHAUAQWPR-QFBILLFUSA-N 0 3 317.473 4.285 20 0 DIADHN Fc1cccc(O[C@@H]2CCN([C@@H]3CCc4c3cccc4F)C2)c1 ZINC001308009666 1065723426 /nfs/dbraw/zinc/72/34/26/1065723426.db2.gz CCFZRWQSTYSZRG-DNVCBOLYSA-N 0 3 315.363 4.105 20 0 DIADHN Cc1ccc2sc(CNC(C)(C)[C@@H]3CCCCO3)nc2c1 ZINC001308016912 1065725465 /nfs/dbraw/zinc/72/54/65/1065725465.db2.gz REEVMAFPCKOUQB-HNNXBMFYSA-N 0 3 304.459 4.042 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCO[C@H]2CCCC[C@@H]2C)c1 ZINC001308020715 1065725581 /nfs/dbraw/zinc/72/55/81/1065725581.db2.gz XIUWYOMVWBSWSO-WDSOQIARSA-N 0 3 302.462 4.122 20 0 DIADHN C[C@@]1(C2CCCCC2)CCN1C[C@@H](O)c1c(F)cccc1F ZINC001308025966 1065731073 /nfs/dbraw/zinc/73/10/73/1065731073.db2.gz FRZAPDAXNNMNPT-AEFFLSMTSA-N 0 3 309.400 4.043 20 0 DIADHN C[C@]1(C(F)(F)F)CCN(CCc2nsc3ccccc32)C1 ZINC001308055556 1065743448 /nfs/dbraw/zinc/74/34/48/1065743448.db2.gz SCMOPKGCMFYHGK-AWEZNQCLSA-N 0 3 314.376 4.113 20 0 DIADHN CCOC(=O)[C@H](CCc1ccccc1)N(C)C[C@H](C)C(C)(C)C ZINC001308060754 1065745150 /nfs/dbraw/zinc/74/51/50/1065745150.db2.gz BKRFKWMZYRAXFU-WMZOPIPTSA-N 0 3 319.489 4.165 20 0 DIADHN CCOC(=O)[C@H](CCc1ccccc1)N(C)C[C@@H](C)C(C)(C)C ZINC001308060751 1065745184 /nfs/dbraw/zinc/74/51/84/1065745184.db2.gz BKRFKWMZYRAXFU-AEFFLSMTSA-N 0 3 319.489 4.165 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(F)c(Cl)c3)CC2)[nH]c1C ZINC001308082363 1065754046 /nfs/dbraw/zinc/75/40/46/1065754046.db2.gz BVWXVBLHUWWBOR-UHFFFAOYSA-N 0 3 321.827 4.199 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001308086874 1065754778 /nfs/dbraw/zinc/75/47/78/1065754778.db2.gz IJIYMIYUFTWNKV-ZIAGYGMSSA-N 0 3 303.837 4.014 20 0 DIADHN C[C@@]12CSC[C@@H]1CN(CCc1cccc(Cl)c1Cl)C2 ZINC001308134268 1065775677 /nfs/dbraw/zinc/77/56/77/1065775677.db2.gz PBWIBDGCAIBDPX-SWLSCSKDSA-N 0 3 316.297 4.221 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCO[C@@H](C)CC1 ZINC001308093311 1065758975 /nfs/dbraw/zinc/75/89/75/1065758975.db2.gz RSSDNIAZIGPJEG-INIZCTEOSA-N 0 3 313.441 4.238 20 0 DIADHN Cn1nccc1[C@@H]1CCCN1Cc1ccc(-c2cccs2)cc1 ZINC001308108912 1065765268 /nfs/dbraw/zinc/76/52/68/1065765268.db2.gz PALQIJQTKVWTEB-SFHVURJKSA-N 0 3 323.465 4.486 20 0 DIADHN Fc1ccc(CN[C@@H](c2ccncc2)C2CC2)c2ncccc12 ZINC001308140649 1065777030 /nfs/dbraw/zinc/77/70/30/1065777030.db2.gz WHZSXJJAMBLQNI-GOSISDBHSA-N 0 3 307.372 4.010 20 0 DIADHN C[C@@]1(C2CC2)COCCN1Cc1ccc(-c2ccsc2)cc1 ZINC001308139373 1065777665 /nfs/dbraw/zinc/77/76/65/1065777665.db2.gz DNWANPWBVBJOGS-IBGZPJMESA-N 0 3 313.466 4.416 20 0 DIADHN CCc1ccc(CCN(C)Cc2cc(-c3ccccc3)n[nH]2)cc1 ZINC001308140128 1065777946 /nfs/dbraw/zinc/77/79/46/1065777946.db2.gz CQRGAYRDMLUHDY-UHFFFAOYSA-N 0 3 319.452 4.314 20 0 DIADHN Cc1ccc2ncc(CN3CCO[C@@H](C(C)(C)C)CC3)cc2c1 ZINC001308167975 1065787522 /nfs/dbraw/zinc/78/75/22/1065787522.db2.gz AMWFZXYECNKCAK-LJQANCHMSA-N 0 3 312.457 4.180 20 0 DIADHN CC[C@@H](C)Oc1cc(CNCc2ccc(C)cc2)ccc1OC ZINC001308180040 1065792469 /nfs/dbraw/zinc/79/24/69/1065792469.db2.gz GMEUMQRAOPWSKW-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2ccccc2CC)cc1 ZINC001308201756 1065810081 /nfs/dbraw/zinc/81/00/81/1065810081.db2.gz USQLQIZPUBWLLH-UHFFFAOYSA-N 0 3 324.468 4.069 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc3scnc3c2)c1 ZINC001308208098 1065817313 /nfs/dbraw/zinc/81/73/13/1065817313.db2.gz VKGDXTLBDIRTSM-UHFFFAOYSA-N 0 3 312.438 4.373 20 0 DIADHN Cc1cccc(OC[C@H](C)NCc2cnc(CC(C)C)s2)c1 ZINC001308218837 1065827153 /nfs/dbraw/zinc/82/71/53/1065827153.db2.gz OXUPTXSUTYDHTC-HNNXBMFYSA-N 0 3 318.486 4.207 20 0 DIADHN CO[C@H](CNCc1cnc(C2CCCC2)s1)c1ccccc1 ZINC001308223156 1065829646 /nfs/dbraw/zinc/82/96/46/1065829646.db2.gz MLGILYXXLMXEOR-QGZVFWFLSA-N 0 3 316.470 4.278 20 0 DIADHN c1ccc([C@@H](NCc2cccc3cccnc32)[C@H]2CCCO2)cc1 ZINC001308228115 1065834127 /nfs/dbraw/zinc/83/41/27/1065834127.db2.gz DBYYESJUBHXDIM-TZIWHRDSSA-N 0 3 318.420 4.245 20 0 DIADHN c1ccc([C@@H](NCc2cccc3c2CCC3)[C@H]2CCCO2)cc1 ZINC001308228594 1065836519 /nfs/dbraw/zinc/83/65/19/1065836519.db2.gz WKWJZDCYLUDXGC-NHCUHLMSSA-N 0 3 307.437 4.185 20 0 DIADHN Cc1ccc(OC[C@@H](C)NCc2cnc(CC(C)C)s2)cc1 ZINC001308232589 1065838332 /nfs/dbraw/zinc/83/83/32/1065838332.db2.gz VHLLSEYMCOWTIV-OAHLLOKOSA-N 0 3 318.486 4.207 20 0 DIADHN C[C@H](CNCc1nccs1)c1c(Cl)cccc1Cl ZINC001308235992 1065842980 /nfs/dbraw/zinc/84/29/80/1065842980.db2.gz BULXVGUESRMZSI-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1nc(CNC2(C)CCC(C)CC2)sc1Br ZINC001308247842 1065852211 /nfs/dbraw/zinc/85/22/11/1065852211.db2.gz DTFOYIKDDNZLAM-UHFFFAOYSA-N 0 3 317.296 4.272 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCOC1CCCCC1 ZINC001308249321 1065854946 /nfs/dbraw/zinc/85/49/46/1065854946.db2.gz COJXTMRYEDUPPL-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN COc1cc(CNCc2cc3c(s2)CCCC3)ccc1F ZINC001308252345 1065855672 /nfs/dbraw/zinc/85/56/72/1065855672.db2.gz KRVLZLLBACHRCF-UHFFFAOYSA-N 0 3 305.418 4.064 20 0 DIADHN CC(C)COc1ccc(CCNCc2nc3ccccc3o2)cc1 ZINC001308252778 1065856997 /nfs/dbraw/zinc/85/69/97/1065856997.db2.gz XWAFHSILAHSKRJ-UHFFFAOYSA-N 0 3 324.424 4.195 20 0 DIADHN Cc1ccc(-c2nc(CNC3CCSCC3)cs2)cc1 ZINC001308254152 1065858175 /nfs/dbraw/zinc/85/81/75/1065858175.db2.gz FBKTWFIBJLRPCW-UHFFFAOYSA-N 0 3 304.484 4.104 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC001308267415 1065867339 /nfs/dbraw/zinc/86/73/39/1065867339.db2.gz WSWHDDXVSGSSBF-XNAIMREJSA-N 0 3 317.864 4.177 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)cn1 ZINC001308267531 1065867736 /nfs/dbraw/zinc/86/77/36/1065867736.db2.gz ZKRTYNYYRBVFPM-CSMYWGQOSA-N 0 3 303.837 4.043 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2CCCO[C@H]2C(C)(C)C)n1 ZINC001308268668 1065868577 /nfs/dbraw/zinc/86/85/77/1065868577.db2.gz KHHKHAHVZYSSGO-XJKSGUPXSA-N 0 3 324.534 4.372 20 0 DIADHN COc1cc(Cl)ccc1CNC/C=C/c1ccc(F)cc1F ZINC001308272583 1065872705 /nfs/dbraw/zinc/87/27/05/1065872705.db2.gz LOFPTDNDFCXYSQ-NSCUHMNNSA-N 0 3 323.770 4.430 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H](C)Oc1ccc(C)cc1 ZINC001308286681 1065885533 /nfs/dbraw/zinc/88/55/33/1065885533.db2.gz PJXLLUAYZMQBGZ-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN CO[C@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)C1(C)C ZINC001308297824 1065893507 /nfs/dbraw/zinc/89/35/07/1065893507.db2.gz LYCYIUOFYYAURN-STQMWFEESA-N 0 3 321.770 4.262 20 0 DIADHN CC[C@H](CNCc1ccc(F)cc1F)Oc1ccccc1F ZINC001308301336 1065897223 /nfs/dbraw/zinc/89/72/23/1065897223.db2.gz VTFVUKHURNHZLV-CQSZACIVSA-N 0 3 309.331 4.051 20 0 DIADHN Cc1coc(CNc2ccc(CN3CCSCC3)cc2C)c1 ZINC001308311214 1065904561 /nfs/dbraw/zinc/90/45/61/1065904561.db2.gz AFWNAVSYILHYBI-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CCNc1ccccc1CNCc1ccc(C)cc1SC ZINC001308328755 1065916484 /nfs/dbraw/zinc/91/64/84/1065916484.db2.gz LTVCYBBSAMJSQD-UHFFFAOYSA-N 0 3 300.471 4.439 20 0 DIADHN COc1nsc(CNCC2(CC(C)C)CCC2)c1Cl ZINC001308330217 1065918572 /nfs/dbraw/zinc/91/85/72/1065918572.db2.gz IHGQEEFPEPKMKX-UHFFFAOYSA-N 0 3 302.871 4.111 20 0 DIADHN CCC(C)(C)c1ccc(CNCc2cn3ccnc3s2)cc1 ZINC001308341938 1065926185 /nfs/dbraw/zinc/92/61/85/1065926185.db2.gz IEMHRBANZKXMGZ-UHFFFAOYSA-N 0 3 313.470 4.373 20 0 DIADHN CC[C@@H](NCc1cc(OC)c(OC)cc1C)c1ccc(F)cc1 ZINC001308344114 1065928753 /nfs/dbraw/zinc/92/87/53/1065928753.db2.gz XFJHTSOGYXLBPE-QGZVFWFLSA-N 0 3 317.404 4.392 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1CCc2ccccc2C1 ZINC001308348804 1065930860 /nfs/dbraw/zinc/93/08/60/1065930860.db2.gz APQCUDUJWXCNTG-INIZCTEOSA-N 0 3 318.420 4.236 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2csc(C(C)C)n2)CCO1 ZINC001308351974 1065932667 /nfs/dbraw/zinc/93/26/67/1065932667.db2.gz BMQXSAHEFGPDNG-HOCLYGCPSA-N 0 3 310.507 4.340 20 0 DIADHN C[C@@H](NCc1cnn(CC2CCC2)c1)c1ccc2c(c1)CCC2 ZINC001308357850 1065937338 /nfs/dbraw/zinc/93/73/38/1065937338.db2.gz ZUBMVOJRFDRKJI-OAHLLOKOSA-N 0 3 309.457 4.023 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc[nH]2)cc1OCC ZINC001308358589 1065937656 /nfs/dbraw/zinc/93/76/56/1065937656.db2.gz KCSDVCVHYOHWSG-CQSZACIVSA-N 0 3 302.418 4.053 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CNCc1ccc(Cl)cc1Cl ZINC001308359315 1065938137 /nfs/dbraw/zinc/93/81/37/1065938137.db2.gz XTVOIGGHDGWJTA-CQSZACIVSA-N 0 3 304.261 4.126 20 0 DIADHN COc1cc(CNCc2c(C)oc3ccccc32)cc(OC)c1 ZINC001308363316 1065941858 /nfs/dbraw/zinc/94/18/58/1065941858.db2.gz SRIJNALBCCSUBA-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1cccc3[nH]ccc31)CCO2 ZINC001308366564 1065943151 /nfs/dbraw/zinc/94/31/51/1065943151.db2.gz QWCWYGHUKWNUDX-LJQANCHMSA-N 0 3 306.409 4.344 20 0 DIADHN CC(C)(O)CCNCc1c(Cl)cccc1Oc1ccccc1 ZINC001308379659 1065951998 /nfs/dbraw/zinc/95/19/98/1065951998.db2.gz ZFASEOZVEAAAER-UHFFFAOYSA-N 0 3 319.832 4.383 20 0 DIADHN CC1(C)CC[C@H](CNCc2cc(C(F)(F)F)ccc2Cl)O1 ZINC001308381738 1065953615 /nfs/dbraw/zinc/95/36/15/1065953615.db2.gz WPVQZIJWQMIERJ-GFCCVEGCSA-N 0 3 321.770 4.406 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2c(F)cccc2OC(F)F)C1 ZINC001308391520 1065958001 /nfs/dbraw/zinc/95/80/01/1065958001.db2.gz YQWXZQAYPMFMLU-QWRGUYRKSA-N 0 3 319.392 4.191 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2c(F)cccc2OC(F)F)C1 ZINC001308391522 1065958077 /nfs/dbraw/zinc/95/80/77/1065958077.db2.gz YQWXZQAYPMFMLU-WDEREUQCSA-N 0 3 319.392 4.191 20 0 DIADHN COC1(CNCc2c(Cl)cccc2N(C)C)CCC(C)CC1 ZINC001308392726 1065959370 /nfs/dbraw/zinc/95/93/70/1065959370.db2.gz MEXYOURHJRKUKQ-UHFFFAOYSA-N 0 3 324.896 4.091 20 0 DIADHN CCC[C@H](NCc1cccc(Cl)c1F)[C@@H](O)c1ccccc1 ZINC001308393508 1065959797 /nfs/dbraw/zinc/95/97/97/1065959797.db2.gz WUPPQRTULXILLS-WMZOPIPTSA-N 0 3 321.823 4.471 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H]3CCCc4ccc(F)cc43)ccc2O1 ZINC001308394642 1065960814 /nfs/dbraw/zinc/96/08/14/1065960814.db2.gz RBBLAOQRYDIGKW-DJJJIMSYSA-N 0 3 311.400 4.316 20 0 DIADHN CCOc1cc(F)cc(CNCc2ccc3[nH]c(C)cc3c2)c1 ZINC001308395080 1065961166 /nfs/dbraw/zinc/96/11/66/1065961166.db2.gz HVTUOUCOGUTGNA-UHFFFAOYSA-N 0 3 312.388 4.304 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CN[C@@H]1CC[C@@H]1C ZINC001308398387 1065963125 /nfs/dbraw/zinc/96/31/25/1065963125.db2.gz ZMMSFOLVXVMHEV-BLLLJJGKSA-N 0 3 317.864 4.339 20 0 DIADHN Fc1cccc2cc(CNCc3cc4ccccc4[nH]3)cnc12 ZINC001308403835 1065967124 /nfs/dbraw/zinc/96/71/24/1065967124.db2.gz ZSYFABSEKHDNAH-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNCC[C@@H](C)F ZINC001308420697 1065976205 /nfs/dbraw/zinc/97/62/05/1065976205.db2.gz KFAVDYONQCVCCH-CYBMUJFWSA-N 0 3 323.843 4.289 20 0 DIADHN Cc1cc(CNCc2ccc([Si](C)(C)C)cc2)ccc1Cl ZINC001308426141 1065980530 /nfs/dbraw/zinc/98/05/30/1065980530.db2.gz PLIHATBCDGSDPA-UHFFFAOYSA-N 0 3 317.936 4.483 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1(C)C ZINC001308433406 1065984543 /nfs/dbraw/zinc/98/45/43/1065984543.db2.gz LUGDFTCEDSOJSK-LSDHHAIUSA-N 0 3 322.518 4.094 20 0 DIADHN COc1cc(Cl)c(CN[C@@H](C)C2(OC)CCC2)cc1Cl ZINC001308439292 1065988911 /nfs/dbraw/zinc/98/89/11/1065988911.db2.gz KRJOTRGZWAEDIC-JTQLQIEISA-N 0 3 318.244 4.049 20 0 DIADHN Cc1ccc(C)c(CNC[C@H](OC2CCCC2)C(F)(F)F)c1 ZINC001308455419 1065998657 /nfs/dbraw/zinc/99/86/57/1065998657.db2.gz KEUAEECULZHROM-INIZCTEOSA-N 0 3 315.379 4.283 20 0 DIADHN Clc1cc(Cl)cc(CNCc2ccc3nccnc3c2)c1 ZINC001308462717 1066004233 /nfs/dbraw/zinc/00/42/33/1066004233.db2.gz UATNHGZVOOCMBK-UHFFFAOYSA-N 0 3 318.207 4.226 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2CCC[C@H](C)O2)c1Cl ZINC001308467512 1066007833 /nfs/dbraw/zinc/00/78/33/1066007833.db2.gz AMQGOEJFKHQGCY-GWCFXTLKSA-N 0 3 302.245 4.349 20 0 DIADHN C[C@H]1CCC[C@@H](CNCc2cc(Cl)ccc2OCC2CC2)O1 ZINC001308468024 1066008079 /nfs/dbraw/zinc/00/80/79/1066008079.db2.gz IFHIXGQWMSZOQU-GUYCJALGSA-N 0 3 323.864 4.176 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2cccc(F)c2F)C12CCC2 ZINC001308467012 1066008241 /nfs/dbraw/zinc/00/82/41/1066008241.db2.gz SVQZILHIAAXYMJ-HUUCEWRRSA-N 0 3 309.400 4.181 20 0 DIADHN CCc1ccc(CNC(C)(C)c2ccc(OC)c(F)c2)s1 ZINC001308469850 1066009281 /nfs/dbraw/zinc/00/92/81/1066009281.db2.gz XTTKQXORMKTVFZ-UHFFFAOYSA-N 0 3 307.434 4.483 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001308470959 1066011584 /nfs/dbraw/zinc/01/15/84/1066011584.db2.gz MBBTUGCALAVGST-HZSPNIEDSA-N 0 3 302.443 4.237 20 0 DIADHN CCCc1cccc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)c1 ZINC001308474458 1066013658 /nfs/dbraw/zinc/01/36/58/1066013658.db2.gz ATNVVNPVKUCOTN-NHCUHLMSSA-N 0 3 311.469 4.487 20 0 DIADHN CCC[C@H](CNCc1ccc(F)c(Cl)c1Cl)OCC ZINC001308474597 1066013969 /nfs/dbraw/zinc/01/39/69/1066013969.db2.gz DJOOPTXUZUOJFO-LLVKDONJSA-N 0 3 308.224 4.427 20 0 DIADHN Cc1cc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)c(F)c2)c(C)o1 ZINC001308491801 1066025144 /nfs/dbraw/zinc/02/51/44/1066025144.db2.gz ZGSALNSLZGSOLD-SJORKVTESA-N 0 3 323.795 4.309 20 0 DIADHN C[C@H]1C[C@H](NCc2noc3c2CC(C)(C)CC3)CC(C)(C)C1 ZINC001308508490 1066035415 /nfs/dbraw/zinc/03/54/15/1066035415.db2.gz IWUGFUIXVQILTI-KBPBESRZSA-N 0 3 304.478 4.494 20 0 DIADHN COc1ccc(Cl)cc1CNC1CC(Nc2ccccc2)C1 ZINC001308511406 1066037600 /nfs/dbraw/zinc/03/76/00/1066037600.db2.gz UWOXWCARNAQQIE-UHFFFAOYSA-N 0 3 316.832 4.081 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(OC)c(C)c2)cc1F ZINC001308514381 1066038856 /nfs/dbraw/zinc/03/88/56/1066038856.db2.gz XYEBYQMTYMEWBY-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccc2oc(=O)[nH]c2c1 ZINC001308514623 1066040166 /nfs/dbraw/zinc/04/01/66/1066040166.db2.gz VBBYFCQKDUWGKC-UHFFFAOYSA-N 0 3 316.788 4.093 20 0 DIADHN CC(C)(/C=C/Cl)NCc1ccc(OCc2ccncc2)cc1 ZINC001308527309 1066046292 /nfs/dbraw/zinc/04/62/92/1066046292.db2.gz DZRBTWUBKDXWBO-MDZDMXLPSA-N 0 3 316.832 4.281 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@@H]2CCC(C)(C)CO2)s1 ZINC001308537505 1066053905 /nfs/dbraw/zinc/05/39/05/1066053905.db2.gz YNAAWOYYQHRCBE-ZDUSSCGKSA-N 0 3 310.507 4.126 20 0 DIADHN CC1(C)CCC[C@@H](CNCc2ccsc2C(F)(F)F)O1 ZINC001308543072 1066058583 /nfs/dbraw/zinc/05/85/83/1066058583.db2.gz WVFNMZRQTDSNQB-NSHDSACASA-N 0 3 307.381 4.204 20 0 DIADHN C[C@@H](NC/C=C\c1cccc(F)c1)c1cnn(CC2CCC2)c1 ZINC001308546671 1066060706 /nfs/dbraw/zinc/06/07/06/1066060706.db2.gz DZWRUBADVMFFOH-UFRMUOTOSA-N 0 3 313.420 4.186 20 0 DIADHN Cc1cc(C)c(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)cc1C ZINC001308552924 1066065353 /nfs/dbraw/zinc/06/53/53/1066065353.db2.gz ZGCMUDVICFGPCC-QRQCRPRQSA-N 0 3 323.480 4.487 20 0 DIADHN CC(C)C1CCC(CN[C@H](CO)c2cc(F)ccc2F)CC1 ZINC001308552956 1066065942 /nfs/dbraw/zinc/06/59/42/1066065942.db2.gz ZMPXNJOTRMPCGE-WTNGLUPJSA-N 0 3 311.416 4.050 20 0 DIADHN Cc1cccc(CN[C@H](C)c2cc(F)ccc2N(C)C)c1C ZINC001308554773 1066066136 /nfs/dbraw/zinc/06/61/36/1066066136.db2.gz LNLJTXKVIVKDOC-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3ccnn3C3CCC3)C2)cc1 ZINC001308561860 1066071553 /nfs/dbraw/zinc/07/15/53/1066071553.db2.gz HPEPTWMUUTZQIG-LJQANCHMSA-N 0 3 323.484 4.371 20 0 DIADHN CN(CCn1cccn1)Cc1ccc(Sc2ccccc2)cc1 ZINC001308566726 1066074278 /nfs/dbraw/zinc/07/42/78/1066074278.db2.gz GCOFORLGEFHQTK-UHFFFAOYSA-N 0 3 323.465 4.166 20 0 DIADHN CCCc1cccc(CN2CCN(Cc3ccccc3)C[C@H]2C)c1 ZINC001308566353 1066075868 /nfs/dbraw/zinc/07/58/68/1066075868.db2.gz AQOOKHTXAGAADJ-LJQANCHMSA-N 0 3 322.496 4.345 20 0 DIADHN COc1cc(C)ccc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC001308568147 1066079001 /nfs/dbraw/zinc/07/90/01/1066079001.db2.gz RGORQHGTADXXFS-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN CCN(Cc1ccccc1C)Cc1cc(F)ccc1N(C)C ZINC001308570420 1066080174 /nfs/dbraw/zinc/08/01/74/1066080174.db2.gz KAMVDCSUMGPDGK-UHFFFAOYSA-N 0 3 300.421 4.222 20 0 DIADHN CCCN(Cc1cccc(OC)c1F)[C@H]1C[C@@H](OCC)C1(C)C ZINC001308570884 1066081341 /nfs/dbraw/zinc/08/13/41/1066081341.db2.gz PFVUVLJBCNXJPT-DLBZAZTESA-N 0 3 323.452 4.250 20 0 DIADHN CCC1(CC)[C@H](N(C)Cc2ccc(CC(C)C)nc2)C[C@@H]1OC ZINC001308571370 1066082162 /nfs/dbraw/zinc/08/21/62/1066082162.db2.gz RMBRVHLOEDIQNQ-MOPGFXCFSA-N 0 3 318.505 4.306 20 0 DIADHN CC1(C)OC[C@H](CN(Cc2ccccc2F)C2CCCCC2)O1 ZINC001308574182 1066086270 /nfs/dbraw/zinc/08/62/70/1066086270.db2.gz NSYFSCITPDCNRC-KRWDZBQOSA-N 0 3 321.436 4.112 20 0 DIADHN C1=CC[C@]2(CC1)CCCN(Cc1nc3c(s1)CCCC3)C2 ZINC001308573261 1066086767 /nfs/dbraw/zinc/08/67/67/1066086767.db2.gz DYPNLUFYSUYFBV-SFHVURJKSA-N 0 3 302.487 4.344 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(N(C)C(C)C)nc1 ZINC001308574076 1066088188 /nfs/dbraw/zinc/08/81/88/1066088188.db2.gz MAIFLUWVOZVDRL-FQEVSTJZSA-N 0 3 323.484 4.436 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H]2CCCC[C@@H]2C)c(C)c1 ZINC001308579440 1066096090 /nfs/dbraw/zinc/09/60/90/1066096090.db2.gz ARIQHGAITAHZMT-MAUKXSAKSA-N 0 3 316.489 4.308 20 0 DIADHN CN(CCCOc1ccc(F)cc1)Cc1cc(O)cc(Cl)c1 ZINC001308583501 1066098036 /nfs/dbraw/zinc/09/80/36/1066098036.db2.gz LQAYGMZBZMYGHX-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN CC(C)CCCCN1CCN([C@H](C)c2ccc(F)cc2F)CC1 ZINC001308585857 1066101755 /nfs/dbraw/zinc/10/17/55/1066101755.db2.gz VLRWZUSHEADOLP-MRXNPFEDSA-N 0 3 324.459 4.470 20 0 DIADHN CC[C@@H](C)[C@@H](CN(C)Cc1cc(Br)cs1)OC ZINC001308591189 1066103571 /nfs/dbraw/zinc/10/35/71/1066103571.db2.gz NTQGJNIEDYVZED-ZWNOBZJWSA-N 0 3 320.296 4.004 20 0 DIADHN CCOC(=O)C1(N(C)C[C@@H](C)c2ccc(C)cc2)CCCCC1 ZINC001308593791 1066104733 /nfs/dbraw/zinc/10/47/33/1066104733.db2.gz JZIVKBQNENMHOW-QGZVFWFLSA-N 0 3 317.473 4.296 20 0 DIADHN Cc1cc(Br)c(CN2CCC(C)(C)CC2)s1 ZINC001308591804 1066104945 /nfs/dbraw/zinc/10/49/45/1066104945.db2.gz MWSFCZMBOTYIEB-UHFFFAOYSA-N 0 3 302.281 4.441 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@H]2CCCC(C)(C)C2)c1C ZINC001308598454 1066108532 /nfs/dbraw/zinc/10/85/32/1066108532.db2.gz PQUVIYXACKSKGQ-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CCN(c2ccccc2Cl)CC1 ZINC001308601093 1066110962 /nfs/dbraw/zinc/11/09/62/1066110962.db2.gz PGRHUCFBDHVCJU-HZPDHXFCSA-N 0 3 306.881 4.288 20 0 DIADHN COc1cccc(-c2csc(CN3[C@H](C)CC[C@@H]3C)n2)c1 ZINC001308602903 1066111721 /nfs/dbraw/zinc/11/17/21/1066111721.db2.gz HFFWPXIJLMXRRA-BETUJISGSA-N 0 3 302.443 4.192 20 0 DIADHN CN(Cc1cn(Cc2ccccc2)nc1C(C)(C)C)C1CCC1 ZINC001308606088 1066113309 /nfs/dbraw/zinc/11/33/09/1066113309.db2.gz XUNBOROKWUAIEV-UHFFFAOYSA-N 0 3 311.473 4.213 20 0 DIADHN CSC(C)(C)CCN1C[C@@H](c2ccccc2C)OC[C@H]1C ZINC001308608732 1066114462 /nfs/dbraw/zinc/11/44/62/1066114462.db2.gz HYIWXMYYPJLDJK-WBVHZDCISA-N 0 3 307.503 4.289 20 0 DIADHN CC(C)[N@H+](CCc1ccccc1)Cc1cc([O-])cc(Cl)c1 ZINC001308608186 1066114775 /nfs/dbraw/zinc/11/47/75/1066114775.db2.gz AJCCHKYDMZJCLU-UHFFFAOYSA-N 0 3 303.833 4.499 20 0 DIADHN CC(C)[N@@H+](CCc1ccccc1)Cc1cc([O-])cc(Cl)c1 ZINC001308608186 1066114779 /nfs/dbraw/zinc/11/47/79/1066114779.db2.gz AJCCHKYDMZJCLU-UHFFFAOYSA-N 0 3 303.833 4.499 20 0 DIADHN CCCCN(Cc1cnc(Cl)n1C)[C@@H](C)c1ccccc1 ZINC001308612569 1066117658 /nfs/dbraw/zinc/11/76/58/1066117658.db2.gz YVXWGSICOFDVTO-AWEZNQCLSA-N 0 3 305.853 4.437 20 0 DIADHN c1cc(CN2CC[C@@H](OCCCc3ccccc3)C2)n(C2CC2)c1 ZINC001308622289 1066123004 /nfs/dbraw/zinc/12/30/04/1066123004.db2.gz LZASQARHAISRNQ-OAQYLSRUSA-N 0 3 324.468 4.047 20 0 DIADHN Fc1ccccc1CCN(Cc1ncccc1F)C1CCCC1 ZINC001308625292 1066124883 /nfs/dbraw/zinc/12/48/83/1066124883.db2.gz SNNJDSNNUJBOTF-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN Fc1cnccc1CNCCn1c2ccccc2c2ccccc21 ZINC001308633946 1066129199 /nfs/dbraw/zinc/12/91/99/1066129199.db2.gz ADAPJWLZDUONOQ-UHFFFAOYSA-N 0 3 319.383 4.118 20 0 DIADHN CCOc1cncc(CN(Cc2ccc(F)cc2)C(C)(C)C)c1 ZINC001308632821 1066129374 /nfs/dbraw/zinc/12/93/74/1066129374.db2.gz UFHPJAOKWUWTFQ-UHFFFAOYSA-N 0 3 316.420 4.420 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)o1 ZINC001308634805 1066130324 /nfs/dbraw/zinc/13/03/24/1066130324.db2.gz RUXNAPKCPAJGGG-CQSZACIVSA-N 0 3 305.805 4.321 20 0 DIADHN Cc1ccnc(CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)c1Br ZINC001308634663 1066130482 /nfs/dbraw/zinc/13/04/82/1066130482.db2.gz NGBDTHAPMDGNCB-IPYPFGDCSA-N 0 3 323.278 4.306 20 0 DIADHN COc1cc(Cl)c(CN(C)[C@@H]2CCC[C@H](C)C2)cc1OC ZINC001308649383 1066136166 /nfs/dbraw/zinc/13/61/66/1066136166.db2.gz KDFDCQIANFGEDA-GXTWGEPZSA-N 0 3 311.853 4.368 20 0 DIADHN Fc1ccc(CCN2CCSC[C@@H]2c2ccccc2)c(F)c1 ZINC001308650688 1066137844 /nfs/dbraw/zinc/13/78/44/1066137844.db2.gz NWHSYYVFQWCOJM-GOSISDBHSA-N 0 3 319.420 4.297 20 0 DIADHN CNc1ccc(C)cc1CN(C)CCOc1ccc(Cl)cc1 ZINC001308662772 1066144030 /nfs/dbraw/zinc/14/40/30/1066144030.db2.gz LTVPKGDVMWBJOX-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCN([C@@H](C)c2nccs2)CC1 ZINC001308668542 1066146971 /nfs/dbraw/zinc/14/69/71/1066146971.db2.gz OXUZRHLSYUTBCO-GVDBMIGSSA-N 0 3 321.534 4.038 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OC(F)F)cc2F)CC1(C)C ZINC001308672411 1066148036 /nfs/dbraw/zinc/14/80/36/1066148036.db2.gz POUWXPHPHTUZCF-LLVKDONJSA-N 0 3 301.352 4.295 20 0 DIADHN CC[C@H](CCC(F)(F)F)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001308687476 1066181177 /nfs/dbraw/zinc/18/11/77/1066181177.db2.gz UNWGRKYLAIDBEO-MWLCHTKSSA-N 0 3 309.278 4.109 20 0 DIADHN COC(=O)C(C)(C)CCN[C@H](C)c1cc(Cl)ccc1Cl ZINC001308705592 1066189511 /nfs/dbraw/zinc/18/95/11/1066189511.db2.gz PKAHQFSJHBKDTE-SNVBAGLBSA-N 0 3 318.244 4.233 20 0 DIADHN CN(Cc1ccsc1C(F)(F)F)CC1(O)CCCCCC1 ZINC001308704963 1066189846 /nfs/dbraw/zinc/18/98/46/1066189846.db2.gz LTHZYWIDNGERGS-UHFFFAOYSA-N 0 3 321.408 4.284 20 0 DIADHN COCCCC1(CN2CC[C@](F)(c3ccccc3C)C2)CCC1 ZINC001308717826 1066197078 /nfs/dbraw/zinc/19/70/78/1066197078.db2.gz KHGRSLGCRPVJBD-HXUWFJFHSA-N 0 3 319.464 4.462 20 0 DIADHN CCSc1cccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)c1 ZINC001308719806 1066197120 /nfs/dbraw/zinc/19/71/20/1066197120.db2.gz GKHBNSDELPAUKR-UHFFFAOYSA-N 0 3 317.502 4.451 20 0 DIADHN COc1cc(CN2CCC[C@@H](C(C)(C)C)C2)c(Cl)cc1O ZINC001308719097 1066197808 /nfs/dbraw/zinc/19/78/08/1066197808.db2.gz XDEPEWNRQNJAEC-CYBMUJFWSA-N 0 3 311.853 4.312 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(F)c(Cl)cc2F)C12CCC2 ZINC001308729236 1066204236 /nfs/dbraw/zinc/20/42/36/1066204236.db2.gz RXKWGAGKSKKZFV-GJZGRUSLSA-N 0 3 315.791 4.056 20 0 DIADHN CCc1ccc(-c2noc([C@@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)n2)cc1 ZINC001308739232 1066214135 /nfs/dbraw/zinc/21/41/35/1066214135.db2.gz PYVLKXYEZLCYBV-VDNDLQMASA-N 0 3 311.429 4.138 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCC2(CCC2)CC1 ZINC001308751425 1066226102 /nfs/dbraw/zinc/22/61/02/1066226102.db2.gz KNYYJTHDAFZJEF-UHFFFAOYSA-N 0 3 313.420 4.086 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC001308755385 1066228229 /nfs/dbraw/zinc/22/82/29/1066228229.db2.gz GKTPUDNTRXUVBL-RTBURBONSA-N 0 3 321.505 4.007 20 0 DIADHN CNc1ccc(C)cc1CN1CC[C@@H](C(F)(F)F)C[C@H]1C ZINC001308778081 1066249795 /nfs/dbraw/zinc/24/97/95/1066249795.db2.gz UBQKFWZUGVSFFT-TZMCWYRMSA-N 0 3 300.368 4.200 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C)c(C)c2)s1)C[C@H](C)OC ZINC001308777167 1066251625 /nfs/dbraw/zinc/25/16/25/1066251625.db2.gz CSWTZRSEIZBRAW-HNNXBMFYSA-N 0 3 318.486 4.284 20 0 DIADHN CCCc1cccc(CN2Cc3ccc(O)cc3C3(CC3)C2)c1 ZINC001308781819 1066255225 /nfs/dbraw/zinc/25/52/25/1066255225.db2.gz IOQVRQXICDQUHZ-UHFFFAOYSA-N 0 3 307.437 4.392 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCN(C)Cc2ccccc21 ZINC001308781803 1066255762 /nfs/dbraw/zinc/25/57/62/1066255762.db2.gz INFHMFSEFNWXNH-UHFFFAOYSA-N 0 3 312.457 4.338 20 0 DIADHN Cc1scc(CN[C@H](c2ccccc2)C2(CO)CCC2)c1C ZINC001308782959 1066257193 /nfs/dbraw/zinc/25/71/93/1066257193.db2.gz RDVGHHTWLLSMBG-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2nc(-c3ccccc3)cs2)CS1 ZINC001308796266 1066274006 /nfs/dbraw/zinc/27/40/06/1066274006.db2.gz DNLFGAFLDAPAJP-GOEBONIOSA-N 0 3 318.511 4.430 20 0 DIADHN Fc1cc(F)cc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)c1 ZINC001308809023 1066284575 /nfs/dbraw/zinc/28/45/75/1066284575.db2.gz MBFFDPRAMXBDEB-IUODEOHRSA-N 0 3 311.734 4.403 20 0 DIADHN CN(Cc1ccccc1)C1CN(CCCC2CCCCC2)C1 ZINC001308807323 1066284949 /nfs/dbraw/zinc/28/49/49/1066284949.db2.gz MLHLBXIBEAPURC-UHFFFAOYSA-N 0 3 300.490 4.163 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC[C@@H]2[C@H](C1)C2(F)F ZINC001308809721 1066286200 /nfs/dbraw/zinc/28/62/00/1066286200.db2.gz CNAPOXINAOBYMP-OLZOCXBDSA-N 0 3 305.290 4.101 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCC[C@H]2C2CC2)cc(Cl)c1[O-] ZINC001308822172 1066295143 /nfs/dbraw/zinc/29/51/43/1066295143.db2.gz ZJVKCJWKVCBYRM-GJZGRUSLSA-N 0 3 323.864 4.360 20 0 DIADHN C[C@@H]1CC(C)(C)CN1Cc1cccc(F)c1OCC(F)(F)F ZINC001308821209 1066296123 /nfs/dbraw/zinc/29/61/23/1066296123.db2.gz PTZTUIMNGZCULR-LLVKDONJSA-N 0 3 319.342 4.387 20 0 DIADHN CCOc1cc(CN2CC[C@@H](CC(C)(C)C)C2)c(F)cc1OC ZINC001308824042 1066298351 /nfs/dbraw/zinc/29/83/51/1066298351.db2.gz YEUJJLSSRHFSQZ-AWEZNQCLSA-N 0 3 323.452 4.491 20 0 DIADHN COc1cc(CN2C[C@H](C)[C@@H](C)[C@H]2C)cc(C(F)(F)F)c1 ZINC001308826375 1066300946 /nfs/dbraw/zinc/30/09/46/1066300946.db2.gz RIPUQZOJHVEYDR-QJPTWQEYSA-N 0 3 301.352 4.190 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)Cc1cn2ccnc2s1 ZINC001308849331 1066326105 /nfs/dbraw/zinc/32/61/05/1066326105.db2.gz CHQCNNHIHUXYPU-ZDUSSCGKSA-N 0 3 317.483 4.008 20 0 DIADHN CCOc1cccc(CN2CCS[C@H](c3ccccc3)C2)c1 ZINC001308852396 1066330813 /nfs/dbraw/zinc/33/08/13/1066330813.db2.gz SAGAPHDDXJYLFN-IBGZPJMESA-N 0 3 313.466 4.375 20 0 DIADHN COc1cccc([C@@]2(C)CCN(Cc3ccc(C)cc3F)C2)c1 ZINC001308865994 1066346031 /nfs/dbraw/zinc/34/60/31/1066346031.db2.gz RKJOHMCATZJAPW-FQEVSTJZSA-N 0 3 313.416 4.306 20 0 DIADHN COc1ccnc(CN[C@@H]2CCCC[C@H]2c2ccccc2)c1F ZINC001308869234 1066349149 /nfs/dbraw/zinc/34/91/49/1066349149.db2.gz KEDQHBGEUWUIIV-JKSUJKDBSA-N 0 3 314.404 4.045 20 0 DIADHN Cc1cccc(CC2CN(Cc3ccc4scnc4c3)C2)c1 ZINC001308874907 1066353969 /nfs/dbraw/zinc/35/39/69/1066353969.db2.gz ASVOCDDKJZKEEN-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN COc1cc(F)c(CN(C)C[C@H](C)C2CCCCC2)cc1OC ZINC001308898394 1066378464 /nfs/dbraw/zinc/37/84/64/1066378464.db2.gz XNFJAQQQSUZOKR-AWEZNQCLSA-N 0 3 323.452 4.491 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1cc(F)cc(OC)c1 ZINC001308902148 1066379858 /nfs/dbraw/zinc/37/98/58/1066379858.db2.gz PUEXRYJERCWOMR-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN C[C@H](CNCc1noc2ccc(F)cc12)c1cc(F)cc(F)c1 ZINC001308905296 1066382162 /nfs/dbraw/zinc/38/21/62/1066382162.db2.gz FSNWRGZGLMNAIO-SNVBAGLBSA-N 0 3 320.314 4.138 20 0 DIADHN C[C@@H](CNCc1noc2ccc(F)cc12)c1cc(F)cc(F)c1 ZINC001308905295 1066383034 /nfs/dbraw/zinc/38/30/34/1066383034.db2.gz FSNWRGZGLMNAIO-JTQLQIEISA-N 0 3 320.314 4.138 20 0 DIADHN FC(F)(F)c1ccc(CNCCCCC2CC2)c(Cl)n1 ZINC001308903743 1066384297 /nfs/dbraw/zinc/38/42/97/1066384297.db2.gz KIKGEOPELXRMJS-UHFFFAOYSA-N 0 3 306.759 4.424 20 0 DIADHN C[C@@H](CCc1ccccc1F)NCc1noc2ccc(F)cc12 ZINC001308906728 1066384841 /nfs/dbraw/zinc/38/48/41/1066384841.db2.gz QRCFCRNLUYNGNS-LBPRGKRZSA-N 0 3 316.351 4.217 20 0 DIADHN CCn1ccc(CN(CCc2ccccc2)CCC(C)(C)C)n1 ZINC001308913976 1066391159 /nfs/dbraw/zinc/39/11/59/1066391159.db2.gz HVCUOTQIWMVWPB-UHFFFAOYSA-N 0 3 313.489 4.384 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1NCCc1ccc(Cl)cc1 ZINC001308916038 1066393474 /nfs/dbraw/zinc/39/34/74/1066393474.db2.gz SCARUKGALQCDFU-QZTJIDSGSA-N 0 3 303.808 4.498 20 0 DIADHN CCc1ccc(CN2CCN(C[C@H](C)c3ccccc3)CC2)cc1 ZINC001308918416 1066397550 /nfs/dbraw/zinc/39/75/50/1066397550.db2.gz LIRRLEHDVMHUQT-IBGZPJMESA-N 0 3 322.496 4.170 20 0 DIADHN COCC1CN(Cc2cccc(-c3ccc(Cl)cc3)c2)C1 ZINC001308921299 1066400056 /nfs/dbraw/zinc/40/00/56/1066400056.db2.gz AFIHDZYKGCWADC-UHFFFAOYSA-N 0 3 301.817 4.085 20 0 DIADHN CCOc1ccc(CN2CC[C@](C)(C(F)(F)F)C2)c(C)c1 ZINC001308929322 1066405555 /nfs/dbraw/zinc/40/55/55/1066405555.db2.gz YUXJDGOBAMSEQX-HNNXBMFYSA-N 0 3 301.352 4.168 20 0 DIADHN CO[C@]12CCC[C@@]1(NCc1cccc(C3CCCC3)c1)CCO2 ZINC001308933856 1066406666 /nfs/dbraw/zinc/40/66/66/1066406666.db2.gz FDBNFFSMGLLNLL-UXHICEINSA-N 0 3 315.457 4.120 20 0 DIADHN C[C@H](NC[C@H]1CC2(CCC2)CO1)c1ccc(-c2ccccc2)cn1 ZINC001308944383 1066410769 /nfs/dbraw/zinc/41/07/69/1066410769.db2.gz RCEYOELUIWVREF-QFBILLFUSA-N 0 3 322.452 4.358 20 0 DIADHN Cc1cc(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)c(C)o1 ZINC001308959430 1066419698 /nfs/dbraw/zinc/41/96/98/1066419698.db2.gz BUJBEUJTVWJDIK-FQEVSTJZSA-N 0 3 309.409 4.337 20 0 DIADHN CC1(C)[C@H](N[C@H]2CCCc3cccnc32)C[C@H]1Oc1ccccc1 ZINC001308963623 1066421347 /nfs/dbraw/zinc/42/13/47/1066421347.db2.gz OXJGFZFMZZXTEB-IPMKNSEASA-N 0 3 322.452 4.295 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2C[C@@H]3CCC[C@H]23)c(Cl)n1 ZINC001308974426 1066427478 /nfs/dbraw/zinc/42/74/78/1066427478.db2.gz HXALZQZTBQZILF-INTQDDNPSA-N 0 3 304.743 4.032 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](COC)c2ccc(F)cc2)C1(C)C ZINC001308976798 1066428982 /nfs/dbraw/zinc/42/89/82/1066428982.db2.gz IVZLDWACRIPASG-KZNAEPCWSA-N 0 3 323.452 4.087 20 0 DIADHN Fc1ccc(CCN2CC[C@@H](Cc3nccs3)C2)c(Cl)c1 ZINC001308976268 1066429411 /nfs/dbraw/zinc/42/94/11/1066429411.db2.gz SMSJNMJNQGQSHN-LBPRGKRZSA-N 0 3 324.852 4.043 20 0 DIADHN CCC1(CC)CCC(N[C@@H](CO)c2cc(F)ccc2F)CC1 ZINC001308978927 1066429758 /nfs/dbraw/zinc/42/97/58/1066429758.db2.gz LGFRZUUYPJSCGJ-KRWDZBQOSA-N 0 3 311.416 4.337 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000087407758 185224155 /nfs/dbraw/zinc/22/41/55/185224155.db2.gz MHSWFJVRQWUIMF-YVEFUNNKSA-N 0 3 305.853 4.430 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C)c1cnn(C)c1 ZINC000090114940 185282613 /nfs/dbraw/zinc/28/26/13/185282613.db2.gz LHZGTIJMPHDCPN-FCLUMBPUSA-N 0 3 303.837 4.121 20 0 DIADHN COCCc1nc(C)c([C@H](C)NCc2ccc(C)cc2C)s1 ZINC000092739832 185337782 /nfs/dbraw/zinc/33/77/82/185337782.db2.gz UECQZKXNMFVRGH-AWEZNQCLSA-N 0 3 318.486 4.108 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@H](C)c2ccc(C)cc2)s1 ZINC000092739918 185337923 /nfs/dbraw/zinc/33/79/23/185337923.db2.gz YPQJPIABJMGTBU-KGLIPLIRSA-N 0 3 318.486 4.361 20 0 DIADHN C[C@H](N[C@@H]1CCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000190039746 334942654 /nfs/dbraw/zinc/94/26/54/334942654.db2.gz NGGATBHUPXKQNE-SMDDNHRTSA-N 0 3 303.381 4.105 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cc(Cl)cc3cccnc32)C1 ZINC000072977128 191261519 /nfs/dbraw/zinc/26/15/19/191261519.db2.gz JUAQPOUPESEPFN-CQSZACIVSA-N 0 3 318.848 4.137 20 0 DIADHN C[C@@H]1OC2(CCN(Cc3ccc(F)cc3)CC2)c2ccccc21 ZINC000073169218 191288432 /nfs/dbraw/zinc/28/84/32/191288432.db2.gz GMBDWVVKOABDAR-HNNXBMFYSA-N 0 3 311.400 4.408 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000236972124 202100109 /nfs/dbraw/zinc/10/01/09/202100109.db2.gz QHYZMMMLXQDVRQ-SQGPQFPESA-N 0 3 324.468 4.150 20 0 DIADHN CC(C)=CCC[C@@H](C)NC(=O)Nc1ccccc1CCN(C)C ZINC000297871740 535362927 /nfs/dbraw/zinc/36/29/27/535362927.db2.gz QGPDCVNIQVDMOD-MRXNPFEDSA-N 0 3 317.477 4.047 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@H](C)c3nccs3)oc21 ZINC000186743208 200002562 /nfs/dbraw/zinc/00/25/62/200002562.db2.gz FKAZSTSKSSGDRD-NEPJUHHUSA-N 0 3 316.426 4.352 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccc(F)c1 ZINC000186842214 200020241 /nfs/dbraw/zinc/02/02/41/200020241.db2.gz RDXVANKCMWEJKP-YVEFUNNKSA-N 0 3 315.392 4.118 20 0 DIADHN CC(C)(O)c1ccc(CNCc2cccc(C(F)(F)F)c2)cc1 ZINC000187509570 200121141 /nfs/dbraw/zinc/12/11/41/200121141.db2.gz GPDVNVPAVNVNQS-UHFFFAOYSA-N 0 3 323.358 4.223 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H]1CCCOc2cc(C)ccc21 ZINC000090763578 192929807 /nfs/dbraw/zinc/92/98/07/192929807.db2.gz MSNSZRYAKDJIDD-DYVFJYSZSA-N 0 3 314.429 4.207 20 0 DIADHN C[C@H](NCCc1cccc2ccccc21)c1cn2ccccc2n1 ZINC000575766727 370706817 /nfs/dbraw/zinc/70/68/17/370706817.db2.gz CAQSDQBILANJRF-INIZCTEOSA-N 0 3 315.420 4.381 20 0 DIADHN Cc1ccc2c(CCN[C@@H](C)c3cn4ccccc4n3)c[nH]c2c1 ZINC000575329731 370707202 /nfs/dbraw/zinc/70/72/02/370707202.db2.gz PNFXRLLWFBYVMM-HNNXBMFYSA-N 0 3 318.424 4.017 20 0 DIADHN C[C@H](NCC1CC(c2ccccc2)C1)c1cn2ccccc2n1 ZINC000584853887 370708308 /nfs/dbraw/zinc/70/83/08/370708308.db2.gz SDHOEYOITQTISE-HJOIGYKYSA-N 0 3 305.425 4.179 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](c2ccc(C)cc2)C2CC2)c1 ZINC000091285433 193031868 /nfs/dbraw/zinc/03/18/68/193031868.db2.gz DOOAOYAEIODFJU-FQEVSTJZSA-N 0 3 308.425 4.194 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1ccc(OCC(F)(F)F)cc1 ZINC000091987280 193137474 /nfs/dbraw/zinc/13/74/74/193137474.db2.gz DNIYBCJBRWNLSV-ZDUSSCGKSA-N 0 3 324.346 4.216 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN(Cc2cncs2)CC1 ZINC000092191676 193172313 /nfs/dbraw/zinc/17/23/13/193172313.db2.gz FCGXSBKZJXWYLK-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CC1(C)CCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000191855422 335013291 /nfs/dbraw/zinc/01/32/91/335013291.db2.gz PSYMBSPSDFATJM-CYBMUJFWSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](C)c3cc(C)cc(C)c3)c(C)n2n1 ZINC000093406160 193245401 /nfs/dbraw/zinc/24/54/01/193245401.db2.gz WNQXXGZJUGJOMZ-JKSUJKDBSA-N 0 3 322.456 4.375 20 0 DIADHN COc1cccc2c1CCN(Cc1ccc(SC)s1)CC2 ZINC000563801564 335020316 /nfs/dbraw/zinc/02/03/16/335020316.db2.gz ZWZIUIYZWKWILT-UHFFFAOYSA-N 0 3 319.495 4.079 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(Br)c2)cc1 ZINC000019908989 182163749 /nfs/dbraw/zinc/16/37/49/182163749.db2.gz BPUIYCGIPCFXQB-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN C[C@H](NCc1ccc(F)cc1)c1ccc(Br)cc1 ZINC000019943546 182168782 /nfs/dbraw/zinc/16/87/82/182168782.db2.gz WLLFGJFBRCHJOF-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN Brc1ccccc1CNCCCc1ccccc1 ZINC000020122760 182189279 /nfs/dbraw/zinc/18/92/79/182189279.db2.gz PBLZUHHXQTZRFG-UHFFFAOYSA-N 0 3 304.231 4.172 20 0 DIADHN CC(C)[C@H]1CCCN1CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000518826211 335020980 /nfs/dbraw/zinc/02/09/80/335020980.db2.gz LQTSJVRSHOLWNS-OXJNMPFZSA-N 0 3 324.468 4.137 20 0 DIADHN CC(C)[C@H]1CCCN1CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000518826380 335021420 /nfs/dbraw/zinc/02/14/20/335021420.db2.gz PASAMHXAAOLOTL-OXQOHEQNSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](NCc1cccc(F)c1)c1ccc(Br)cc1 ZINC000020305563 182205028 /nfs/dbraw/zinc/20/50/28/182205028.db2.gz ZEUYCFSRQLFIIJ-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN C[C@@H](NCc1cccc(F)c1)c1ccc(Br)cc1 ZINC000020305562 182205138 /nfs/dbraw/zinc/20/51/38/182205138.db2.gz ZEUYCFSRQLFIIJ-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@H]1c1cccn1C ZINC000563815381 335025312 /nfs/dbraw/zinc/02/53/12/335025312.db2.gz NKOZMXPHNUCYSA-IBGZPJMESA-N 0 3 312.457 4.397 20 0 DIADHN C[C@H](N[C@H]1CCN(C)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000042545792 183283035 /nfs/dbraw/zinc/28/30/35/183283035.db2.gz AURYHMSXOKUYNT-IUCAKERBSA-N 0 3 307.652 4.002 20 0 DIADHN Clc1ccc(C2(NCc3cncnc3)CCCCC2)cc1 ZINC000105427769 194104917 /nfs/dbraw/zinc/10/49/17/194104917.db2.gz RNPADHXDGIRUJY-UHFFFAOYSA-N 0 3 301.821 4.079 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2c(C)cccc2C)cc1 ZINC000056201494 184006886 /nfs/dbraw/zinc/00/68/86/184006886.db2.gz YJVNHIVDIBNPNG-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](NCCc1nccs1)c1ccccc1C(F)(F)F ZINC000063133320 184272764 /nfs/dbraw/zinc/27/27/64/184272764.db2.gz CDZKFPKALRLFTD-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN C[C@H](NCCc1nccs1)c1cccc(C(F)(F)F)c1 ZINC000063133469 184272799 /nfs/dbraw/zinc/27/27/99/184272799.db2.gz HIQNUXJZNVGXFF-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN C[C@H](NCCc1nccs1)c1ccc(Cl)c(Cl)c1 ZINC000063133565 184272926 /nfs/dbraw/zinc/27/29/26/184272926.db2.gz NPIZTXNQONRUSB-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](CO)c3ccccc3)oc2c1 ZINC000426039138 335045966 /nfs/dbraw/zinc/04/59/66/335045966.db2.gz JCVLBEDWHOGCEU-QAPCUYQASA-N 0 3 309.409 4.168 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN(C(C)C)C1CCOCC1 ZINC000119692112 195018634 /nfs/dbraw/zinc/01/86/34/195018634.db2.gz IBKBYWHMMZDYCQ-UHFFFAOYSA-N 0 3 320.458 4.101 20 0 DIADHN COCCC[C@H](NCc1cccc(F)c1)c1ccc(F)cc1 ZINC000442721745 335052393 /nfs/dbraw/zinc/05/23/93/335052393.db2.gz UJOZDXBZYCWQTE-SFHVURJKSA-N 0 3 305.368 4.222 20 0 DIADHN C[C@@H](C1CCN(C)CC1)N(C)C(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC000498069070 335052907 /nfs/dbraw/zinc/05/29/07/335052907.db2.gz BJWYNKDEQOUXDK-IKGGRYGDSA-N 0 3 322.537 4.028 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2c(C)cc(C)cc2C)o1 ZINC000063775914 335059832 /nfs/dbraw/zinc/05/98/32/335059832.db2.gz RUNAOXSZGJTHLC-UHFFFAOYSA-N 0 3 309.413 4.193 20 0 DIADHN COc1cccc(C(C)(C)CN[C@@H](C)c2csc(C)n2)c1 ZINC000271560153 129934649 /nfs/dbraw/zinc/93/46/49/129934649.db2.gz WPNAVUGVUQJNEM-LBPRGKRZSA-N 0 3 304.459 4.089 20 0 DIADHN c1ccc([C@@H](NCc2ccc(N3CCCCC3)nc2)C2CC2)cc1 ZINC000271887994 129976610 /nfs/dbraw/zinc/97/66/10/129976610.db2.gz VGTZPHOQSRTCBD-OAQYLSRUSA-N 0 3 321.468 4.313 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1cccc(CO)c1 ZINC000272018934 129990447 /nfs/dbraw/zinc/99/04/47/129990447.db2.gz VFNDXQNSPBHDKK-KPZWWZAWSA-N 0 3 301.817 4.170 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1cccc2cc[nH]c21 ZINC000272372480 130024823 /nfs/dbraw/zinc/02/48/23/130024823.db2.gz XMXVPSOQFSYTPG-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272683575 130052859 /nfs/dbraw/zinc/05/28/59/130052859.db2.gz VWWAIIKYEPRVME-SFHLNBCPSA-N 0 3 324.468 4.354 20 0 DIADHN Cn1cc(CN[C@@H]2CCc3c2cccc3F)c(-c2ccccc2)n1 ZINC000272754581 130061574 /nfs/dbraw/zinc/06/15/74/130061574.db2.gz NDINCNBYAABZRG-LJQANCHMSA-N 0 3 321.399 4.003 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3F)cc1 ZINC000272782687 130063545 /nfs/dbraw/zinc/06/35/45/130063545.db2.gz WFPHVRLTRUCKOX-CWTRNNRKSA-N 0 3 312.388 4.122 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3nnc(-c4ccccc4)o3)C2)c1 ZINC000273048555 130085942 /nfs/dbraw/zinc/08/59/42/130085942.db2.gz FZMQMGXSYFRQJY-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ccc(CSC(F)F)o2)CCO1 ZINC000273065596 130087118 /nfs/dbraw/zinc/08/71/18/130087118.db2.gz NIYQMQMQTMYAAP-NEPJUHHUSA-N 0 3 319.417 4.030 20 0 DIADHN C[C@@H](CN(C)Cc1cc(-c2ccccc2)no1)c1nccs1 ZINC000273083123 130088082 /nfs/dbraw/zinc/08/80/82/130088082.db2.gz RCDUEWUCJFKFEB-ZDUSSCGKSA-N 0 3 313.426 4.034 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2csc(-c3ccsc3)n2)CCO1 ZINC000273078421 130088467 /nfs/dbraw/zinc/08/84/67/130088467.db2.gz QCGAGHCSSYUPGB-CHWSQXEVSA-N 0 3 322.499 4.166 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2-n2ccnc2)cc1C ZINC000273093533 130089975 /nfs/dbraw/zinc/08/99/75/130089975.db2.gz SDKLFIWIPFZQLZ-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc(CN(C)[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000273353406 130109517 /nfs/dbraw/zinc/10/95/17/130109517.db2.gz BNMOGJVKJPXUDR-FUHWJXTLSA-N 0 3 303.377 4.079 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cccc(F)c3F)CCO2)cc1 ZINC000273517097 130130473 /nfs/dbraw/zinc/13/04/73/130130473.db2.gz RZVCOCUTPWXIEQ-NVXWUHKLSA-N 0 3 321.342 4.114 20 0 DIADHN Cn1cc(CN(Cc2ccccc2)C(C)(C)C)c(C(F)F)n1 ZINC000274524790 130243223 /nfs/dbraw/zinc/24/32/23/130243223.db2.gz ZIBZXHJZHAXNPW-UHFFFAOYSA-N 0 3 307.388 4.158 20 0 DIADHN C[C@H](NCC1(CCOCc2ccccc2)CC1)c1cscn1 ZINC000274591364 130247782 /nfs/dbraw/zinc/24/77/82/130247782.db2.gz NLHKWAHHLNAMDQ-HNNXBMFYSA-N 0 3 316.470 4.181 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000274609850 130249019 /nfs/dbraw/zinc/24/90/19/130249019.db2.gz NBGZRLKQSWCDIK-NXEZZACHSA-N 0 3 318.364 4.427 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)cc1C ZINC000274776033 130261268 /nfs/dbraw/zinc/26/12/68/130261268.db2.gz NZPHKHKGYLCRHB-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN C[C@H](NC1CCN(c2ccccc2)CC1)c1ccc(Cl)cn1 ZINC000274916270 130273512 /nfs/dbraw/zinc/27/35/12/130273512.db2.gz OFBULVRFJVPHHD-AWEZNQCLSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@H](C)c1ccc(Cl)cn1 ZINC000274953301 130277743 /nfs/dbraw/zinc/27/77/43/130277743.db2.gz VMMSJOHUCSFOOR-QFSBIZTOSA-N 0 3 322.811 4.037 20 0 DIADHN Cc1cccc(C(=O)Nc2ccccc2CCN(C)C)c1Cl ZINC000275656244 130355879 /nfs/dbraw/zinc/35/58/79/130355879.db2.gz NKJVXDWTOBROMW-UHFFFAOYSA-N 0 3 316.832 4.005 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc([S@](C)=O)cc1 ZINC000275753609 130378737 /nfs/dbraw/zinc/37/87/37/130378737.db2.gz SABJAOHFAMIVON-IPQOISQHSA-N 0 3 323.408 4.212 20 0 DIADHN C[C@H](NC[C@@H]1CC2(CCC2)C(=O)O1)c1cc2ccccc2s1 ZINC000276996174 130568645 /nfs/dbraw/zinc/56/86/45/130568645.db2.gz NTQGLVUCSWSRDK-JSGCOSHPSA-N 0 3 315.438 4.038 20 0 DIADHN C=Cn1cc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)cn1 ZINC000280529148 130805164 /nfs/dbraw/zinc/80/51/64/130805164.db2.gz BTJSTQORWJCMLI-KOMQPUFPSA-N 0 3 321.346 4.038 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2coc3ccccc23)cn1 ZINC000340106976 130876283 /nfs/dbraw/zinc/87/62/83/130876283.db2.gz VYPKNLLYQLVPEA-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN Fc1cc(CN[C@@H](c2cccnc2)C2CC2)ccc1OC(F)F ZINC000340104708 130878894 /nfs/dbraw/zinc/87/88/94/130878894.db2.gz JUUHUABAONMHQW-MRXNPFEDSA-N 0 3 322.330 4.063 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)c1cccc(C2CC2)c1 ZINC000340178377 131000577 /nfs/dbraw/zinc/00/05/77/131000577.db2.gz HSWHURGZLMPIDG-MRXNPFEDSA-N 0 3 322.452 4.470 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)c1ccc(C)c(C)c1 ZINC000340180385 131006741 /nfs/dbraw/zinc/00/67/41/131006741.db2.gz LHAGPCOTPRSEKL-UHFFFAOYSA-N 0 3 324.468 4.027 20 0 DIADHN C[C@@H](NCCCO[C@@H](C)c1ccccc1)c1ccncc1F ZINC000340178887 131008165 /nfs/dbraw/zinc/00/81/65/131008165.db2.gz CITNUYWABYJZML-CABCVRRESA-N 0 3 302.393 4.039 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)c1cc(C)cc(C)c1 ZINC000340181793 131013013 /nfs/dbraw/zinc/01/30/13/131013013.db2.gz PYRIJXTXQQPXDS-UHFFFAOYSA-N 0 3 324.468 4.027 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)N[C@H](C)c1cn[nH]c1 ZINC000283693719 131013755 /nfs/dbraw/zinc/01/37/55/131013755.db2.gz AMSSFZVLTZKGBE-RHSMWYFYSA-N 0 3 311.454 4.414 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2c(C)nn(C)c2C)cc1 ZINC000284120082 131050027 /nfs/dbraw/zinc/05/00/27/131050027.db2.gz CNVHDIAGGGGJOI-CQSZACIVSA-N 0 3 315.461 4.067 20 0 DIADHN CC[C@H](C)N(CCCCCF)Cc1nc2ccccc2c(=O)[nH]1 ZINC000284196636 131058427 /nfs/dbraw/zinc/05/84/27/131058427.db2.gz SFBAVKKDYIWKPR-AWEZNQCLSA-N 0 3 319.424 4.076 20 0 DIADHN Cc1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)n(C)n1 ZINC000284203612 131058751 /nfs/dbraw/zinc/05/87/51/131058751.db2.gz GQIXTLMRRNDUOG-NRFANRHFSA-N 0 3 319.452 4.192 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2CCCO[C@H]2c2ccc(C)cc2)no1 ZINC000284303477 131068549 /nfs/dbraw/zinc/06/85/49/131068549.db2.gz YVFPIXDUPORWNX-WDYCEAGBSA-N 0 3 314.429 4.110 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000284475042 131084208 /nfs/dbraw/zinc/08/42/08/131084208.db2.gz UOEMZNGWAKBINU-CABCVRRESA-N 0 3 301.434 4.011 20 0 DIADHN C[C@@H](N[C@H](CCc1ccccc1)c1ccccc1)c1cn[nH]c1 ZINC000285073316 131135627 /nfs/dbraw/zinc/13/56/27/131135627.db2.gz JIGBDHQKMZZDDY-OXQOHEQNSA-N 0 3 305.425 4.434 20 0 DIADHN C[C@@H](NCC1CCC(C(F)(F)F)CC1)c1c(F)cncc1F ZINC000285398897 131164672 /nfs/dbraw/zinc/16/46/72/131164672.db2.gz DXUQKMRVICUDTB-KPPDAEKUSA-N 0 3 322.321 4.379 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C(F)(F)F)C1)c1c(F)cncc1F ZINC000285532509 131178310 /nfs/dbraw/zinc/17/83/10/131178310.db2.gz CRVFVJJQMAXICR-AEJSXWLSSA-N 0 3 308.294 4.132 20 0 DIADHN CCOc1ccc(CNCc2ccc3occc3c2)cc1OC ZINC000285532533 131178426 /nfs/dbraw/zinc/17/84/26/131178426.db2.gz SKFYIHIFVMTETL-UHFFFAOYSA-N 0 3 311.381 4.130 20 0 DIADHN FC(F)(F)Oc1cccc([C@@H](NCc2cc[nH]c2)C2CC2)c1 ZINC000285568022 131181473 /nfs/dbraw/zinc/18/14/73/131181473.db2.gz SECSMTWOSSCMAT-HNNXBMFYSA-N 0 3 310.319 4.154 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H](c2ccccc2)C2CC2)c1 ZINC000285717178 131195216 /nfs/dbraw/zinc/19/52/16/131195216.db2.gz PWMCXFPKHYGQBS-SJKOYZFVSA-N 0 3 315.438 4.337 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2sc(C)nc2C)cc1 ZINC000285724677 131196725 /nfs/dbraw/zinc/19/67/25/131196725.db2.gz QKPVGEUOAZTVEE-LLVKDONJSA-N 0 3 306.500 4.474 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccccc32)c(C)c1OC ZINC000285728596 131197257 /nfs/dbraw/zinc/19/72/57/131197257.db2.gz QNHLJAZBWDECET-KDOFPFPSSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1OC ZINC000285762718 131200443 /nfs/dbraw/zinc/20/04/43/131200443.db2.gz STOPRUCNMPEDHW-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN CC(C)CC[C@@H](NCc1ccc(NC(N)=O)cc1)c1ccoc1 ZINC000285764920 131200689 /nfs/dbraw/zinc/20/06/89/131200689.db2.gz SKFJCXMYWJNLPB-QGZVFWFLSA-N 0 3 315.417 4.037 20 0 DIADHN CCOC1CC(CN[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000285809925 131203650 /nfs/dbraw/zinc/20/36/50/131203650.db2.gz ZDHPPENKZKMBSI-VQCLRJIVSA-N 0 3 316.470 4.276 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3ccc4c(c3)OCO4)ccc2[nH]1 ZINC000285845371 131205137 /nfs/dbraw/zinc/20/51/37/131205137.db2.gz YQOVIIIRFFVXFT-CYBMUJFWSA-N 0 3 308.381 4.056 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(F)c2)c(C)c1OC ZINC000285889358 131208312 /nfs/dbraw/zinc/20/83/12/131208312.db2.gz ZXHYXOXKZHRJFQ-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1ccnc([C@@H](C)NCC2(CCOCc3ccccc3)CC2)c1 ZINC000285932290 131209936 /nfs/dbraw/zinc/20/99/36/131209936.db2.gz CYHVLXIIIKQRLP-GOSISDBHSA-N 0 3 324.468 4.428 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1ccccc1-n1ccnn1 ZINC000286049595 131217499 /nfs/dbraw/zinc/21/74/99/131217499.db2.gz KEJPYCZYQKORSF-CJNGLKHVSA-N 0 3 324.453 4.057 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCC(=O)N3)c1 ZINC000286253533 131226434 /nfs/dbraw/zinc/22/64/34/131226434.db2.gz UMUIRAGFOCDPNV-HUUCEWRRSA-N 0 3 324.424 4.046 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)c(F)c2)c(C)c1OC ZINC000286326090 131230654 /nfs/dbraw/zinc/23/06/54/131230654.db2.gz XTTXTRSRTGCCOK-GFCCVEGCSA-N 0 3 321.367 4.141 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H]2CCCc3sccc32)c1 ZINC000286345320 131231437 /nfs/dbraw/zinc/23/14/37/131231437.db2.gz ZARRIUHEBDTXRB-MFKMUULPSA-N 0 3 321.467 4.324 20 0 DIADHN C[C@H](NCC[S@@](=O)c1ccccc1)c1cccc(C2CC2)c1 ZINC000340239429 131246374 /nfs/dbraw/zinc/24/63/74/131246374.db2.gz NXKQSDYXMRBHSN-OYHNWAKOSA-N 0 3 313.466 4.022 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCSC2)c2ccccc2)nc1 ZINC000286908706 131254642 /nfs/dbraw/zinc/25/46/42/131254642.db2.gz DYVUVFONXXCNFD-KBXCAEBGSA-N 0 3 316.445 4.043 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCSC2)c2ccccc2)nc1 ZINC000286908720 131254651 /nfs/dbraw/zinc/25/46/51/131254651.db2.gz DYVUVFONXXCNFD-RDTXWAMCSA-N 0 3 316.445 4.043 20 0 DIADHN FC(F)c1ccnc(CN[C@H]2CCCC[C@H]2c2ccccc2)n1 ZINC000286971994 131258049 /nfs/dbraw/zinc/25/80/49/131258049.db2.gz IMBYXBNAUJYOBI-GJZGRUSLSA-N 0 3 317.383 4.230 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000287164194 131266906 /nfs/dbraw/zinc/26/69/06/131266906.db2.gz UDABBZKGJUOZBX-CZUORRHYSA-N 0 3 306.450 4.149 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000287231122 131270077 /nfs/dbraw/zinc/27/00/77/131270077.db2.gz YEHLWNQWAUBRDZ-SJCJKPOMSA-N 0 3 307.796 4.253 20 0 DIADHN C[C@H](NC[C@@H]1CCCSC1)c1nc2ccc(Cl)cc2n1C ZINC000287243923 131271058 /nfs/dbraw/zinc/27/10/58/131271058.db2.gz ZAOURLZXRAASAX-RYUDHWBXSA-N 0 3 323.893 4.021 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2ccc(C)cc2C)c1 ZINC000287459741 131281410 /nfs/dbraw/zinc/28/14/10/131281410.db2.gz LVRZJFDXTZOASS-HNNXBMFYSA-N 0 3 312.413 4.333 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2sccc2C)c1 ZINC000287614402 131290661 /nfs/dbraw/zinc/29/06/61/131290661.db2.gz SPVVNKFHUULBCS-GFCCVEGCSA-N 0 3 304.415 4.086 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3cc[nH]c32)c(C)c1OC ZINC000287736514 131298129 /nfs/dbraw/zinc/29/81/29/131298129.db2.gz YQPFGTWMYOZDRZ-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@@H](N[C@@H](C)c2ncc(C)s2)C1 ZINC000340262063 131301241 /nfs/dbraw/zinc/30/12/41/131301241.db2.gz VNZIRGUAJPIERM-SOUVJXGZSA-N 0 3 324.490 4.004 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1cncc(F)c1 ZINC000366659172 131320666 /nfs/dbraw/zinc/32/06/66/131320666.db2.gz ALYACMDRTZVMPB-ZMNCSTGQSA-N 0 3 306.331 4.096 20 0 DIADHN CN(Cc1ccc(Cl)nc1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000288543128 131329909 /nfs/dbraw/zinc/32/99/09/131329909.db2.gz ACZFMDMBUBUZAP-TXEJJXNPSA-N 0 3 306.759 4.288 20 0 DIADHN CCc1ccc(CN2CCC(OC3CCC(C)CC3)CC2)o1 ZINC000353513615 131353851 /nfs/dbraw/zinc/35/38/51/131353851.db2.gz AQPBRGKUSLMIRA-UHFFFAOYSA-N 0 3 305.462 4.402 20 0 DIADHN c1c(CN2CCC[C@H](c3ccncc3)C2)onc1-c1ccccc1 ZINC000289309028 131372211 /nfs/dbraw/zinc/37/22/11/131372211.db2.gz JADVQDYTQMCEPS-SFHVURJKSA-N 0 3 319.408 4.116 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](c2ccncc2)C1 ZINC000289321511 131374627 /nfs/dbraw/zinc/37/46/27/131374627.db2.gz KCLBXCMMRTWCSL-ZBFHGGJFSA-N 0 3 307.397 4.163 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(Oc2cccnc2)cc1 ZINC000289426198 131385485 /nfs/dbraw/zinc/38/54/85/131385485.db2.gz NCUZJCVJSNBUPR-LBPRGKRZSA-N 0 3 310.319 4.477 20 0 DIADHN Cc1cc(C(C)(C)NCc2cc[nH]c2)ccc1Br ZINC000289460649 131387906 /nfs/dbraw/zinc/38/79/06/131387906.db2.gz CLAGPKXYLOIWDX-UHFFFAOYSA-N 0 3 307.235 4.111 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H](C)c2ccc(OC)c(O)c2)c1 ZINC000289577270 131396837 /nfs/dbraw/zinc/39/68/37/131396837.db2.gz DPPVUXBSWYCRHA-ZIAGYGMSSA-N 0 3 315.413 4.211 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2ccnc(Cl)c2Cl)C1 ZINC000290821823 131520583 /nfs/dbraw/zinc/52/05/83/131520583.db2.gz HCQODVDQSIFZCY-GHMZBOCLSA-N 0 3 305.274 4.152 20 0 DIADHN CO[C@H](C)CCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291083996 131542300 /nfs/dbraw/zinc/54/23/00/131542300.db2.gz CFXFLJGSJDOODY-NEPJUHHUSA-N 0 3 308.422 4.025 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccccc1OC(F)F ZINC000340449673 131797713 /nfs/dbraw/zinc/79/77/13/131797713.db2.gz OMVZMSZQQRYSRY-SMDDNHRTSA-N 0 3 322.355 4.191 20 0 DIADHN CC[C@@H](NCc1cn(C)nc1-c1ccccc1)c1ccsc1 ZINC000296613508 131976100 /nfs/dbraw/zinc/97/61/00/131976100.db2.gz YPOZEZLFTQAANQ-QGZVFWFLSA-N 0 3 311.454 4.390 20 0 DIADHN Cc1cc([C@H](C)N[C@H](CCO)c2ccc(Cl)cc2)cs1 ZINC000297227294 132005427 /nfs/dbraw/zinc/00/54/27/132005427.db2.gz HPKUOWVBBHBFEP-BLLLJJGKSA-N 0 3 309.862 4.484 20 0 DIADHN COCCOCc1ccc(CN[C@H](C)c2csc(C)c2)cc1 ZINC000297243119 132005603 /nfs/dbraw/zinc/00/56/03/132005603.db2.gz IQIJDOKYKHBYKF-OAHLLOKOSA-N 0 3 319.470 4.070 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCC(C)(F)F)CCCS2 ZINC000297257693 132006412 /nfs/dbraw/zinc/00/64/12/132006412.db2.gz JTQACBVBNVCPNG-ZDUSSCGKSA-N 0 3 301.402 4.257 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@@H](C)c2csc(C)c2)cc1 ZINC000297259518 132006467 /nfs/dbraw/zinc/00/64/67/132006467.db2.gz JXPJHIIZXAHYDJ-KSSFIOAISA-N 0 3 318.486 4.019 20 0 DIADHN FC(F)(F)CCc1cccc(NC2CCN(C3CC3)CC2)c1 ZINC000297298981 132009054 /nfs/dbraw/zinc/00/90/54/132009054.db2.gz BFLHMDOGWIZWOH-UHFFFAOYSA-N 0 3 312.379 4.220 20 0 DIADHN C[C@H]1C[C@H](N[C@H]2C[C@H](c3ccccc3Cl)C2)c2nccn21 ZINC000297334901 132010665 /nfs/dbraw/zinc/01/06/65/132010665.db2.gz OEFVLAHKQQOGQT-QCQGSNGOSA-N 0 3 301.821 4.078 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCCC(C)(F)F ZINC000297386694 132013452 /nfs/dbraw/zinc/01/34/52/132013452.db2.gz RNTKFQMCFQPVML-JTQLQIEISA-N 0 3 311.401 4.209 20 0 DIADHN CN(Cc1nnc(-c2ccco2)o1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000297467376 132017566 /nfs/dbraw/zinc/01/75/66/132017566.db2.gz IOIXVORJHNFDLI-HZPDHXFCSA-N 0 3 323.396 4.098 20 0 DIADHN CN(Cc1cn(C)nc1C(F)F)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000297501639 132019559 /nfs/dbraw/zinc/01/95/59/132019559.db2.gz LLLHHCIVMHRXDF-JKSUJKDBSA-N 0 3 319.399 4.126 20 0 DIADHN CCn1ccnc1[C@H](C)NCCCCOc1ccc(Cl)cc1 ZINC000297540148 132021819 /nfs/dbraw/zinc/02/18/19/132021819.db2.gz DZBJXMVTJVMBQQ-AWEZNQCLSA-N 0 3 321.852 4.066 20 0 DIADHN C[C@H](CCN[C@H]1CCn2ccnc21)c1cccc(C(F)(F)F)c1 ZINC000297668691 132028542 /nfs/dbraw/zinc/02/85/42/132028542.db2.gz KVYZUICTMFGFTE-DOMZBBRYSA-N 0 3 323.362 4.130 20 0 DIADHN Cc1cc([C@@H](C)NCCc2nc(C(F)(F)F)cs2)cs1 ZINC000297685699 132029053 /nfs/dbraw/zinc/02/90/53/132029053.db2.gz LSEFOEPCKHGSDB-SECBINFHSA-N 0 3 320.405 4.425 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3nc(Cl)ccc32)ccc1C ZINC000297692554 132029237 /nfs/dbraw/zinc/02/92/37/132029237.db2.gz LZIJVHOVDWXZJI-OAHLLOKOSA-N 0 3 316.832 4.219 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2ccccc2Cl)c2nccn21 ZINC000297741258 132031808 /nfs/dbraw/zinc/03/18/08/132031808.db2.gz NYOFYIGXMRXOMD-IUODEOHRSA-N 0 3 303.837 4.110 20 0 DIADHN CC[C@@H](NCc1ccc(OCC2CC2)nc1)c1ccsc1 ZINC000297753955 132032066 /nfs/dbraw/zinc/03/20/66/132032066.db2.gz OMKDYPCDVQTKGU-MRXNPFEDSA-N 0 3 302.443 4.173 20 0 DIADHN CCC(CC)(CC)NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000298032089 132045125 /nfs/dbraw/zinc/04/51/25/132045125.db2.gz BVNKCCWVOUCKLB-UHFFFAOYSA-N 0 3 307.825 4.047 20 0 DIADHN CC(C)[C@H](NCc1cc(F)cc2c1OCOC2)c1ccccc1 ZINC000298102773 132046137 /nfs/dbraw/zinc/04/61/37/132046137.db2.gz SELMPXKMSHRSOT-SFHVURJKSA-N 0 3 315.388 4.179 20 0 DIADHN CCOC1(C)CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC000298220800 132051329 /nfs/dbraw/zinc/05/13/29/132051329.db2.gz FYMRINDXXUHCFN-UHFFFAOYSA-N 0 3 316.470 4.201 20 0 DIADHN FC(F)c1cccc(NC2CCN(c3ccncc3)CC2)c1 ZINC000298407056 132061060 /nfs/dbraw/zinc/06/10/60/132061060.db2.gz LYVYKRPBLUKVEO-UHFFFAOYSA-N 0 3 303.356 4.100 20 0 DIADHN CSc1ccccc1NC(=O)CCN[C@H](C)c1cccs1 ZINC000298748986 132076268 /nfs/dbraw/zinc/07/62/68/132076268.db2.gz CETKGZQPOCHJFS-GFCCVEGCSA-N 0 3 320.483 4.149 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000299369852 132101809 /nfs/dbraw/zinc/10/18/09/132101809.db2.gz FIHQZWGSUXHIDW-SJLPKXTDSA-N 0 3 310.441 4.371 20 0 DIADHN CCCNC(=O)C1CCN([C@H](CC)c2ccc(Cl)cc2)CC1 ZINC000299395883 132103000 /nfs/dbraw/zinc/10/30/00/132103000.db2.gz TUZBSSKETUYXPM-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@H]1C[C@H](NCCCNc2ccccn2)c2ccsc2S1 ZINC000163810138 132123085 /nfs/dbraw/zinc/12/30/85/132123085.db2.gz VUJQWDGJAZIHFK-JSGCOSHPSA-N 0 3 319.499 4.160 20 0 DIADHN CCC[C@H](NCc1cncc(Br)c1)c1ccccc1 ZINC000163843991 132124554 /nfs/dbraw/zinc/12/45/54/132124554.db2.gz NQGQMBJMQBWWIW-INIZCTEOSA-N 0 3 319.246 4.475 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCCc2cc(OC)ccc21 ZINC000119562266 132134130 /nfs/dbraw/zinc/13/41/30/132134130.db2.gz ZDOPLRWRKSVJHC-HXUWFJFHSA-N 0 3 311.425 4.009 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(OC(C)C)cc1 ZINC000119565570 132134231 /nfs/dbraw/zinc/13/42/31/132134231.db2.gz PXBCMTRUKHPVRD-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000119846045 132138334 /nfs/dbraw/zinc/13/83/34/132138334.db2.gz PHPBXNROOSAAGI-AWEZNQCLSA-N 0 3 319.836 4.448 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(N3CCCC3=O)cc2)s1 ZINC000120460824 132141767 /nfs/dbraw/zinc/14/17/67/132141767.db2.gz VYUPVUCXLSORIU-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN NC1(c2nc(-c3ccc(Br)cc3)cs2)CCCC1 ZINC000041164245 132146417 /nfs/dbraw/zinc/14/64/17/132146417.db2.gz NHXGAIBIEAFAAS-UHFFFAOYSA-N 0 3 323.259 4.301 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2O)c1cccc(OC(F)F)c1 ZINC000121449435 132156146 /nfs/dbraw/zinc/15/61/46/132156146.db2.gz ZPKWRRMMMFBDRZ-ZBEGNZNMSA-N 0 3 319.351 4.332 20 0 DIADHN CCCn1c(CNc2cccc(CN(C)C)c2)nc2ccccc21 ZINC000121611383 132156672 /nfs/dbraw/zinc/15/66/72/132156672.db2.gz PMAXZKBDAXXVTP-UHFFFAOYSA-N 0 3 322.456 4.120 20 0 DIADHN C[C@H](NCc1ccccc1C(F)(F)F)c1ccc2c(c1)OCO2 ZINC000121763958 132159454 /nfs/dbraw/zinc/15/94/54/132159454.db2.gz UUEHZNXFDGFDHB-NSHDSACASA-N 0 3 323.314 4.285 20 0 DIADHN C[C@@H](NCc1ccccc1C(F)(F)F)c1ccc2c(c1)OCO2 ZINC000121764122 132159920 /nfs/dbraw/zinc/15/99/20/132159920.db2.gz UUEHZNXFDGFDHB-LLVKDONJSA-N 0 3 323.314 4.285 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000122524834 132165647 /nfs/dbraw/zinc/16/56/47/132165647.db2.gz LQMCUIMUXVEEAD-FUHWJXTLSA-N 0 3 315.436 4.314 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C)CC1)c1cccc2ccccc21 ZINC000520136292 335065417 /nfs/dbraw/zinc/06/54/17/335065417.db2.gz HCYKFBBMRGLKNT-SJORKVTESA-N 0 3 324.468 4.139 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(CCCCC2CCOCC2)C1 ZINC000563861847 335068558 /nfs/dbraw/zinc/06/85/58/335068558.db2.gz VXIXWJGJBUZHMQ-IBGZPJMESA-N 0 3 305.437 4.154 20 0 DIADHN F[C@]1(c2ccccc2)CCN(CCCCC2CCOCC2)C1 ZINC000563861848 335068564 /nfs/dbraw/zinc/06/85/64/335068564.db2.gz VXIXWJGJBUZHMQ-LJQANCHMSA-N 0 3 305.437 4.154 20 0 DIADHN Cc1ccc2oc(C(=O)Nc3cccc(CN(C)C)c3)cc2c1 ZINC000129458004 196050050 /nfs/dbraw/zinc/05/00/50/196050050.db2.gz QYNHSEIAUZZFDX-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CCc1nc([C@H](C)NCCCCOc2ccccc2)cs1 ZINC000525870233 335071392 /nfs/dbraw/zinc/07/13/92/335071392.db2.gz OROZZVFZQQMVDB-AWEZNQCLSA-N 0 3 304.459 4.215 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC[C@H]1O ZINC000525871957 335082510 /nfs/dbraw/zinc/08/25/10/335082510.db2.gz VWIGAEREZXKRLD-QGZVFWFLSA-N 0 3 319.832 4.193 20 0 DIADHN COC[C@H](C)CN[C@H](c1cc2ccccc2o1)c1ccccc1 ZINC000525875285 335089499 /nfs/dbraw/zinc/08/94/99/335089499.db2.gz ALIOCSIRZOAVSQ-QRWLVFNGSA-N 0 3 309.409 4.394 20 0 DIADHN COC[C@@H](C)CN[C@H](c1cc2ccccc2o1)c1ccccc1 ZINC000525875286 335089533 /nfs/dbraw/zinc/08/95/33/335089533.db2.gz ALIOCSIRZOAVSQ-YWZLYKJASA-N 0 3 309.409 4.394 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425558924 335089702 /nfs/dbraw/zinc/08/97/02/335089702.db2.gz YKAYOSXSXUEADC-KSSFIOAISA-N 0 3 303.421 4.168 20 0 DIADHN CCCCC1CCC(C(=O)N(C)[C@@H](C)C2CCN(C)CC2)CC1 ZINC000498078576 335090214 /nfs/dbraw/zinc/09/02/14/335090214.db2.gz WUEOYAIEQZBRPZ-MUYFXNHWSA-N 0 3 322.537 4.172 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(OC)c(F)c1)CC2 ZINC000173230699 332825705 /nfs/dbraw/zinc/82/57/05/332825705.db2.gz ZMRHSPVZIKBIKJ-SGTLLEGYSA-N 0 3 315.388 4.181 20 0 DIADHN CC(C)Cc1cccc([C@H](C)NCc2ccc(N(C)C)nc2)c1 ZINC000570832914 332826818 /nfs/dbraw/zinc/82/68/18/332826818.db2.gz CKQYDEUWGKTCCK-INIZCTEOSA-N 0 3 311.473 4.197 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(CCOC2CCC2)CC1 ZINC000570855556 332829937 /nfs/dbraw/zinc/82/99/37/332829937.db2.gz WDVNDEOBHHCTFG-UHFFFAOYSA-N 0 3 311.828 4.170 20 0 DIADHN COc1ccc(CN(C)C)cc1N[C@@H](C)CCCC(F)(F)F ZINC000318618594 332838105 /nfs/dbraw/zinc/83/81/05/332838105.db2.gz OQWIWSHIFHBAQN-LBPRGKRZSA-N 0 3 318.383 4.290 20 0 DIADHN Fc1ccccc1CCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000514081955 332859532 /nfs/dbraw/zinc/85/95/32/332859532.db2.gz FADCHTDTUCOECC-KRWDZBQOSA-N 0 3 301.409 4.003 20 0 DIADHN COCCCCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000318457388 332872195 /nfs/dbraw/zinc/87/21/95/332872195.db2.gz RSQSLEYNQNDKJC-LJQANCHMSA-N 0 3 301.405 4.321 20 0 DIADHN FC(F)SCc1ccc(CNCCc2cccs2)o1 ZINC000035014979 331466230 /nfs/dbraw/zinc/46/62/30/331466230.db2.gz OTNQAKXAWLKTLS-UHFFFAOYSA-N 0 3 303.399 4.129 20 0 DIADHN C[C@H](NCCc1cscn1)c1cc(Cl)ccc1Cl ZINC000108941706 331480526 /nfs/dbraw/zinc/48/05/26/331480526.db2.gz XYCFHIVOWHZLDH-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](Cc1ccsc1)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000565459363 331493806 /nfs/dbraw/zinc/49/38/06/331493806.db2.gz LRFMKCRGANYUPX-DYVFJYSZSA-N 0 3 316.470 4.176 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)c(OC)c2)ccc1C ZINC000179411643 331523405 /nfs/dbraw/zinc/52/34/05/331523405.db2.gz JYDYTJUTJVEODN-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CCC[C@@H](NC[C@](C)(O)C(F)(F)F)c1ccc(Cl)cc1 ZINC000537947307 331523965 /nfs/dbraw/zinc/52/39/65/331523965.db2.gz QFVZYZBRYZUEAC-OLZOCXBDSA-N 0 3 309.759 4.084 20 0 DIADHN Cc1ncsc1CN[C@@H]1CCCC[C@@H]1Oc1ccccc1C ZINC000533444469 331531710 /nfs/dbraw/zinc/53/17/10/331531710.db2.gz GYCMLMFASXXZQI-WBVHZDCISA-N 0 3 316.470 4.240 20 0 DIADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037033583 331533875 /nfs/dbraw/zinc/53/38/75/331533875.db2.gz JGHDNYWJMNSPFT-LRDDRELGSA-N 0 3 306.475 4.033 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccc(Cl)cc1)c1ccsc1 ZINC000537948499 331542047 /nfs/dbraw/zinc/54/20/47/331542047.db2.gz PNADWYGUDRHYMC-VUCTXSBTSA-N 0 3 320.889 4.498 20 0 DIADHN FC(F)(F)CCNC1(c2ccc(Br)cc2)CCC1 ZINC000533492297 331546283 /nfs/dbraw/zinc/54/62/83/331546283.db2.gz IWIBCNSSOVUBPP-UHFFFAOYSA-N 0 3 322.168 4.370 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@H](C)c1ccccn1 ZINC000180225293 331564114 /nfs/dbraw/zinc/56/41/14/331564114.db2.gz OQYCZNOLDVZQTP-CHWSQXEVSA-N 0 3 308.347 4.382 20 0 DIADHN c1ccc([C@H](NC[C@@H]2CCc3ccccc32)c2ccccn2)cc1 ZINC000180517222 331571163 /nfs/dbraw/zinc/57/11/63/331571163.db2.gz ATCRVKPEBDWOLZ-UGKGYDQZSA-N 0 3 314.432 4.491 20 0 DIADHN c1ccc([C@H](NC[C@H]2CCc3ccccc32)c2ccccn2)cc1 ZINC000180517262 331571175 /nfs/dbraw/zinc/57/11/75/331571175.db2.gz ATCRVKPEBDWOLZ-KNQAVFIVSA-N 0 3 314.432 4.491 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(c2ccc(Cl)cn2)CC1 ZINC000109477814 331580113 /nfs/dbraw/zinc/58/01/13/331580113.db2.gz DZYSKLZWHWPXJC-KRWDZBQOSA-N 0 3 315.848 4.008 20 0 DIADHN C[C@H](Cc1nc(-c2ccc(CN(C)C)cc2)no1)c1ccccc1 ZINC000530632088 331613814 /nfs/dbraw/zinc/61/38/14/331613814.db2.gz NDSDBPDIOUGJBN-OAHLLOKOSA-N 0 3 321.424 4.144 20 0 DIADHN Cc1ccc2[nH]c(C(=O)Nc3cc(CN(C)C)ccc3C)cc2c1 ZINC000530659026 331616452 /nfs/dbraw/zinc/61/64/52/331616452.db2.gz WAJHTBCJKVNCNR-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN c1cc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)cs2)cs1 ZINC000530701675 331620235 /nfs/dbraw/zinc/62/02/35/331620235.db2.gz PXHVQJOVGFJAPX-STQMWFEESA-N 0 3 304.484 4.494 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc3cccc(C)n23)cc1 ZINC000530723049 331621693 /nfs/dbraw/zinc/62/16/93/331621693.db2.gz OKGLMIJTJAMADP-UHFFFAOYSA-N 0 3 307.441 4.230 20 0 DIADHN c1csc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)cs2)c1 ZINC000530940047 331636980 /nfs/dbraw/zinc/63/69/80/331636980.db2.gz YJKRMKYWAIRCFH-CHWSQXEVSA-N 0 3 304.484 4.494 20 0 DIADHN Clc1sccc1CNCCc1cccc2cccnc21 ZINC000312571957 331659356 /nfs/dbraw/zinc/65/93/56/331659356.db2.gz LCWLFOVCDIPQRL-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN CCCCOc1ccc(CNCc2ccnc(SC)c2)cc1 ZINC000538967912 331669160 /nfs/dbraw/zinc/66/91/60/331669160.db2.gz PCYNMFLFWJPNRQ-UHFFFAOYSA-N 0 3 316.470 4.272 20 0 DIADHN CCC(CC)[C@H](NCc1ccc(C(=O)NC)cc1)c1ccccc1 ZINC000077279654 331673919 /nfs/dbraw/zinc/67/39/19/331673919.db2.gz OVHYGPSOZFTTBG-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN COc1cc(CNCc2cccc(C)c2)c(SC)cc1OC ZINC000077988332 331674372 /nfs/dbraw/zinc/67/43/72/331674372.db2.gz MHIVMBNFAQGKGS-UHFFFAOYSA-N 0 3 317.454 4.024 20 0 DIADHN COc1cccc(CCN[C@H](C)c2ccccc2OC(F)F)c1 ZINC000323073358 331675340 /nfs/dbraw/zinc/67/53/40/331675340.db2.gz PEQWBWZGENVMIY-CYBMUJFWSA-N 0 3 321.367 4.190 20 0 DIADHN Cc1c(CNC2(c3ccc(Cl)cc3)CCCCC2)cnn1C ZINC000533754887 331679292 /nfs/dbraw/zinc/67/92/92/331679292.db2.gz QJSPRHAUBOYAHV-UHFFFAOYSA-N 0 3 317.864 4.331 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2cccc(OC(C)C)c2)s1 ZINC000080693576 331685279 /nfs/dbraw/zinc/68/52/79/331685279.db2.gz PRHRMVDGTDXKLA-GFCCVEGCSA-N 0 3 304.459 4.398 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@@H](CO)CC(F)(F)F)cc1 ZINC000539052762 331686969 /nfs/dbraw/zinc/68/69/69/331686969.db2.gz MHJLFSRDSSNCSH-WCQYABFASA-N 0 3 321.408 4.151 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1ccc(F)cc1N(C)C ZINC000539062137 331688510 /nfs/dbraw/zinc/68/85/10/331688510.db2.gz SNHXEFXSKCEMJK-YPMHNXCESA-N 0 3 300.368 4.120 20 0 DIADHN CC(C)OC[C@@H](C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556406787 331700865 /nfs/dbraw/zinc/70/08/65/331700865.db2.gz FMISFKNNKIJOKK-GFCCVEGCSA-N 0 3 324.877 4.367 20 0 DIADHN [O-]c1cccnc1C[NH2+]Cc1ccc(-c2ccc(Cl)cc2)cc1 ZINC000556413514 331702124 /nfs/dbraw/zinc/70/21/24/331702124.db2.gz MQFBSOKUVBTNSG-UHFFFAOYSA-N 0 3 324.811 4.397 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H]2CCc3ccc(Cl)cc32)CC1 ZINC000556519303 331713998 /nfs/dbraw/zinc/71/39/98/331713998.db2.gz MAMDPWRNHOBFNK-SFHVURJKSA-N 0 3 315.848 4.238 20 0 DIADHN COc1ncc([C@H](C)NCCCSCC(C)C)cc1Cl ZINC000556583416 331716703 /nfs/dbraw/zinc/71/67/03/331716703.db2.gz IOGFAAXZLVCVPP-LBPRGKRZSA-N 0 3 316.898 4.174 20 0 DIADHN CCn1ccnc1CNC[C@H](c1ccc(Cl)cc1)C(C)C ZINC000565552996 331723859 /nfs/dbraw/zinc/72/38/59/331723859.db2.gz OZZMOTMCQLAYRM-INIZCTEOSA-N 0 3 305.853 4.086 20 0 DIADHN Clc1cc(CN[C@@H]2CCCC23CCOCC3)c(Cl)s1 ZINC000312754946 331728620 /nfs/dbraw/zinc/72/86/20/331728620.db2.gz DGCMQUARPXIBFR-LLVKDONJSA-N 0 3 320.285 4.494 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1ccccc1Br ZINC000162122128 332928487 /nfs/dbraw/zinc/92/84/87/332928487.db2.gz YUMJEQJXYQSRBH-WDEREUQCSA-N 0 3 302.281 4.241 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@@H]2CC=C(C)CC2)c1 ZINC000556828794 331737839 /nfs/dbraw/zinc/73/78/39/331737839.db2.gz CBXPVOLCIQFOLY-QGZVFWFLSA-N 0 3 324.424 4.471 20 0 DIADHN CCc1cnc(CN[C@H](C)CCc2ccc(F)cc2F)s1 ZINC000556870986 331744643 /nfs/dbraw/zinc/74/46/43/331744643.db2.gz QFWKJCYLWHXUTG-LLVKDONJSA-N 0 3 310.413 4.095 20 0 DIADHN OCC1([C@@H](NCc2ccc(Cl)cc2)c2ccccc2)CCC1 ZINC000556908004 331751658 /nfs/dbraw/zinc/75/16/58/331751658.db2.gz ABLNSSVOTKGBSM-SFHVURJKSA-N 0 3 315.844 4.334 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CCC2)ccc1F ZINC000556910069 331752530 /nfs/dbraw/zinc/75/25/30/331752530.db2.gz TXJUWCMFTNLDDW-LJQANCHMSA-N 0 3 313.416 4.128 20 0 DIADHN CC(C)SCCN[C@@H](C)c1cc(Br)ccc1F ZINC000310259174 331760571 /nfs/dbraw/zinc/76/05/71/331760571.db2.gz ZBOYDTSFGNXZKB-JTQLQIEISA-N 0 3 320.271 4.380 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000565725469 331780742 /nfs/dbraw/zinc/78/07/42/331780742.db2.gz GSJQNFMRWNPHFO-SUMWQHHRSA-N 0 3 301.409 4.362 20 0 DIADHN Cc1ccc([C@H](C)NCCc2ccc(Br)cc2)o1 ZINC000310735139 331794212 /nfs/dbraw/zinc/79/42/12/331794212.db2.gz GESGCCZNEKGOSD-LBPRGKRZSA-N 0 3 308.219 4.244 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3ccc(OC)cc32)cc1F ZINC000152770804 331812425 /nfs/dbraw/zinc/81/24/25/331812425.db2.gz FLRZIZSJQKQUNA-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN COC1(CN[C@H](C)c2ccccc2Br)CCCC1 ZINC000311207813 331829094 /nfs/dbraw/zinc/82/90/94/331829094.db2.gz KBFOVBUMIIDUKM-GFCCVEGCSA-N 0 3 312.251 4.059 20 0 DIADHN Fc1ccc(-c2nc(CNCCC3(F)CCC3)cs2)cc1 ZINC000584136122 331838797 /nfs/dbraw/zinc/83/87/97/331838797.db2.gz MHEMKDHIIITVKR-UHFFFAOYSA-N 0 3 308.397 4.321 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)c1 ZINC000584136937 331841137 /nfs/dbraw/zinc/84/11/37/331841137.db2.gz WGWYHPNOXJGCLM-SFHVURJKSA-N 0 3 316.832 4.375 20 0 DIADHN Fc1ccccc1[C@@H](Cc1ccccc1)NCCOC1CCC1 ZINC000558093142 331875202 /nfs/dbraw/zinc/87/52/02/331875202.db2.gz SULCIZRPVVFRIL-HXUWFJFHSA-N 0 3 313.416 4.268 20 0 DIADHN Fc1cncc(CN[C@@H](Cc2ccccc2)c2cccs2)c1 ZINC000558124935 331877516 /nfs/dbraw/zinc/87/75/16/331877516.db2.gz AJCMFYXXRUJNNB-KRWDZBQOSA-N 0 3 312.413 4.356 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)ccc2C)c(C)c1OC ZINC000558154094 331880335 /nfs/dbraw/zinc/88/03/35/331880335.db2.gz RSHWFDASSKHNGM-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN COc1cc([C@@H](C)NCC[C@H](C)c2ccccc2)c(F)cn1 ZINC000558226805 331885183 /nfs/dbraw/zinc/88/51/83/331885183.db2.gz BCQZEGQFEHVKRE-UONOGXRCSA-N 0 3 302.393 4.074 20 0 DIADHN COc1cc([C@@H](C)NCC2(c3ccccc3)CCC2)c(F)cn1 ZINC000558223287 331885542 /nfs/dbraw/zinc/88/55/42/331885542.db2.gz YJWIKXWSYSYYEF-CQSZACIVSA-N 0 3 314.404 4.002 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccccc1OC(F)(F)F ZINC000558254241 331888746 /nfs/dbraw/zinc/88/87/46/331888746.db2.gz BMNLEABZJVDRLA-LLVKDONJSA-N 0 3 307.381 4.377 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccc(C(C)C)cc1)c1cnn(C)c1 ZINC000558256869 331889557 /nfs/dbraw/zinc/88/95/57/331889557.db2.gz JMWDNMCFIVILLN-BEFAXECRSA-N 0 3 315.461 4.052 20 0 DIADHN CC[C@H](NCC[C@@H](C)[S@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000090514375 331910954 /nfs/dbraw/zinc/91/09/54/331910954.db2.gz XZSIWBNMSWVSNB-MPSXMAJESA-N 0 3 322.301 4.191 20 0 DIADHN FC1(c2ccccc2)CCN(C[C@H]2CCC3(CCCC3)O2)CC1 ZINC000558737922 331940243 /nfs/dbraw/zinc/94/02/43/331940243.db2.gz OJPAZLBFCPEGMS-GOSISDBHSA-N 0 3 317.448 4.439 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2sc(C)nc2C)cc1F ZINC000040517950 331948651 /nfs/dbraw/zinc/94/86/51/331948651.db2.gz GFDAVOZHWQVSNV-VHSXEESVSA-N 0 3 308.422 4.319 20 0 DIADHN COC(=O)C(C)(C)CCN[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000558850991 331951791 /nfs/dbraw/zinc/95/17/91/331951791.db2.gz OEODUCKIWMOFIN-JTQLQIEISA-N 0 3 318.244 4.233 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2)c1C ZINC000538186469 331954006 /nfs/dbraw/zinc/95/40/06/331954006.db2.gz OJRLBBGHVKVORK-QZTJIDSGSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2)c1C ZINC000538186470 331954464 /nfs/dbraw/zinc/95/44/64/331954464.db2.gz OJRLBBGHVKVORK-ROUUACIJSA-N 0 3 324.468 4.001 20 0 DIADHN Fc1ccc(Oc2ccc(CN[C@H]3C[C@@H]3C(F)F)cc2)cc1 ZINC000518080593 331990363 /nfs/dbraw/zinc/99/03/63/331990363.db2.gz QOCNYNFDUHNXHL-HOTGVXAUSA-N 0 3 307.315 4.361 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccccc2)cc(OC)c1OC ZINC000127247940 332000499 /nfs/dbraw/zinc/00/04/99/332000499.db2.gz IMPNFUOQNQDWPG-ZIAGYGMSSA-N 0 3 315.413 4.124 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc(OCC)c(F)c2)c1 ZINC000152791749 332000594 /nfs/dbraw/zinc/00/05/94/332000594.db2.gz INQIAGRLAXHOBT-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cccs2)cc(OC)c1OC ZINC000127284541 332000816 /nfs/dbraw/zinc/00/08/16/332000816.db2.gz BRBAQOJCVLXEQW-NEPJUHHUSA-N 0 3 321.442 4.186 20 0 DIADHN COc1ccc(CNCC2(c3ccccc3)CC2)c(Cl)c1 ZINC000518272084 332003988 /nfs/dbraw/zinc/00/39/88/332003988.db2.gz OQERWKGSJCDWFP-UHFFFAOYSA-N 0 3 301.817 4.170 20 0 DIADHN CCc1nc(CNC(C)(C)Cc2ccccc2Cl)cs1 ZINC000518275788 332004975 /nfs/dbraw/zinc/00/49/75/332004975.db2.gz PIEPLPOUWFSUIM-UHFFFAOYSA-N 0 3 308.878 4.470 20 0 DIADHN COc1cccc(CNCc2ccc(-c3csc(C)n3)cc2)c1 ZINC000518278543 332005778 /nfs/dbraw/zinc/00/57/78/332005778.db2.gz XCRGXOCXQURMNP-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN Cc1ccc(OCCNCc2cccc(OC(F)F)c2)c(C)c1 ZINC000518278653 332005910 /nfs/dbraw/zinc/00/59/10/332005910.db2.gz ZKVUDZZUEOJRMW-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(OC)c(OC)c2)cc1 ZINC000128479644 332011970 /nfs/dbraw/zinc/01/19/70/332011970.db2.gz WEHUOSAMYUIRKE-KBPBESRZSA-N 0 3 315.413 4.124 20 0 DIADHN COc1ccc(F)c(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000559282416 332014889 /nfs/dbraw/zinc/01/48/89/332014889.db2.gz RQFSFBLMNJJKDY-DGCLKSJQSA-N 0 3 319.342 4.293 20 0 DIADHN CCN(Cc1ccc(-c2nc(C3CCCC3)no2)cc1)C1CC1 ZINC000518331363 332015008 /nfs/dbraw/zinc/01/50/08/332015008.db2.gz KZYMBGUNOOVQCX-UHFFFAOYSA-N 0 3 311.429 4.379 20 0 DIADHN CC(C)(C)Cc1nc(-c2cccc(CN3CCCCC3)c2)no1 ZINC000518344798 332016506 /nfs/dbraw/zinc/01/65/06/332016506.db2.gz ZHABBONVFSUJJJ-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(OC(C)C)c2)cc1F ZINC000129322127 332018304 /nfs/dbraw/zinc/01/83/04/332018304.db2.gz VABDMQVEPUNVKR-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN Cc1sccc1CNCc1ccccc1N1CCCCC1 ZINC000559294365 332018310 /nfs/dbraw/zinc/01/83/10/332018310.db2.gz LAGYXKRBTQQJQM-UHFFFAOYSA-N 0 3 300.471 4.337 20 0 DIADHN COCc1cccc(CN[C@@H]2CCc3cc(Cl)ccc32)c1 ZINC000090714672 332019636 /nfs/dbraw/zinc/01/96/36/332019636.db2.gz ZABWSGBOHQSWKG-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H]2CCSc3ccc(Cl)cc32)CN1C1CC1 ZINC000129757277 332020711 /nfs/dbraw/zinc/02/07/11/332020711.db2.gz RBDWQJFRGKAXSK-AXAPSJFSSA-N 0 3 322.905 4.092 20 0 DIADHN CCc1nocc1CN[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000559301624 332020948 /nfs/dbraw/zinc/02/09/48/332020948.db2.gz FDCZMFFIAWQLGG-NNUKFRKNSA-N 0 3 324.346 4.292 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000559304476 332021740 /nfs/dbraw/zinc/02/17/40/332021740.db2.gz YXBXAKGDHVCGEI-IXDOHACOSA-N 0 3 314.473 4.293 20 0 DIADHN CCc1noc(C)c1CNC/C(C)=C/c1ccc(Cl)cc1 ZINC000559314025 332023283 /nfs/dbraw/zinc/02/32/83/332023283.db2.gz DYKJEDAHDKYBTH-FMIVXFBMSA-N 0 3 304.821 4.392 20 0 DIADHN C[C@H]1C[C@@H](NCCN2CCCCCC2)c2ccsc2S1 ZINC000130273025 332023701 /nfs/dbraw/zinc/02/37/01/332023701.db2.gz UISPZALBARJLNJ-DZGCQCFKSA-N 0 3 310.532 4.139 20 0 DIADHN CCCCCOc1ccc(CN[C@@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000559323755 332025314 /nfs/dbraw/zinc/02/53/14/332025314.db2.gz NGNHSKCWLRXFDN-HNNXBMFYSA-N 0 3 314.429 4.207 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnn(C)c1)c1ccc(-c2ccccc2)cc1 ZINC000130792162 332026217 /nfs/dbraw/zinc/02/62/17/332026217.db2.gz ZDXVFJWWBLJWMX-CVEARBPZSA-N 0 3 305.425 4.499 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H]2CCc3c2cccc3C)c1 ZINC000518411518 332028149 /nfs/dbraw/zinc/02/81/49/332028149.db2.gz GXSQVGYQNWQZHH-MLGOLLRUSA-N 0 3 315.438 4.181 20 0 DIADHN CCn1ccnc1CNC[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl ZINC000559333610 332029023 /nfs/dbraw/zinc/02/90/23/332029023.db2.gz OIVYVHIGFQGUTQ-SMDDNHRTSA-N 0 3 324.255 4.103 20 0 DIADHN C[C@@H](NC[C@H](C)Sc1ccccc1)c1cn2ccccc2n1 ZINC000569355815 332029233 /nfs/dbraw/zinc/02/92/33/332029233.db2.gz ZMIMJQJIJWEEAY-LSDHHAIUSA-N 0 3 311.454 4.166 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(Cl)cc2)o1)C1CC1 ZINC000559336349 332029505 /nfs/dbraw/zinc/02/95/05/332029505.db2.gz PLWCTUVPUAGPHQ-QGZVFWFLSA-N 0 3 305.805 4.115 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N[C@H]3CC4CCC3CC4)o2)cc1 ZINC000559341276 332030042 /nfs/dbraw/zinc/03/00/42/332030042.db2.gz KLTBEHQXBMRERS-VXTDNTOSSA-N 0 3 311.429 4.274 20 0 DIADHN CC[C@@H](NCCc1nnc(C(C)C)s1)c1ccccc1F ZINC000426293794 332033674 /nfs/dbraw/zinc/03/36/74/332033674.db2.gz RPQHPDVKPIKXGG-CQSZACIVSA-N 0 3 307.438 4.084 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2csc(Cl)c2)s1 ZINC000426307454 332042402 /nfs/dbraw/zinc/04/24/02/332042402.db2.gz RCGLMBYJDZCNCJ-VIFPVBQESA-N 0 3 315.895 4.270 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(SC)s1 ZINC000518450917 332047464 /nfs/dbraw/zinc/04/74/64/332047464.db2.gz HYDLFHXIBINBRE-UHFFFAOYSA-N 0 3 306.500 4.320 20 0 DIADHN C[C@@H](N[C@@H]1CCc2sc(Cl)cc21)c1cccc(CO)c1 ZINC000573449317 332066141 /nfs/dbraw/zinc/06/61/41/332066141.db2.gz NVLKCFDHKNGPCR-QMTHXVAHSA-N 0 3 307.846 4.232 20 0 DIADHN CCOc1c(Cl)cccc1CNCCCC1CCOCC1 ZINC000449354912 332066437 /nfs/dbraw/zinc/06/64/37/332066437.db2.gz SQVCTBQBNGWVJA-UHFFFAOYSA-N 0 3 311.853 4.035 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H]1CCc2c1cccc2Br ZINC000089551399 332072207 /nfs/dbraw/zinc/07/22/07/332072207.db2.gz LPBHNUJVWGSULZ-PRHODGIISA-N 0 3 322.168 4.367 20 0 DIADHN C[C@@]1(O)CCN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000569390927 332074092 /nfs/dbraw/zinc/07/40/92/332074092.db2.gz CAZSJHUQZKCZGH-MRXNPFEDSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1cc(C)n(CCN2CCCCC[C@@H]2c2ccc(C)o2)n1 ZINC000569410959 332078270 /nfs/dbraw/zinc/07/82/70/332078270.db2.gz PGSIFAKUOKYFBI-QGZVFWFLSA-N 0 3 301.434 4.019 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CCC(C)=C(c2ccco2)C1 ZINC000518548474 332079315 /nfs/dbraw/zinc/07/93/15/332079315.db2.gz ALWXAYGLWLOHRF-UHFFFAOYSA-N 0 3 324.424 4.096 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCCSCc1ccccc1 ZINC000091703765 332080875 /nfs/dbraw/zinc/08/08/75/332080875.db2.gz PSYABZIWMXNOIB-MRXNPFEDSA-N 0 3 300.471 4.398 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1cccc(N2CCOC2=O)c1 ZINC000090468844 332088701 /nfs/dbraw/zinc/08/87/01/332088701.db2.gz USWMYAJFUIPQNW-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000090468829 332088708 /nfs/dbraw/zinc/08/87/08/332088708.db2.gz VASXVVCEVXFVLD-OAHLLOKOSA-N 0 3 308.425 4.039 20 0 DIADHN CCOCC(C)(C)CNCc1ccc(Oc2ccccc2)o1 ZINC000518606624 332092779 /nfs/dbraw/zinc/09/27/79/332092779.db2.gz YSFTVUDPVSDUOO-UHFFFAOYSA-N 0 3 303.402 4.224 20 0 DIADHN CCC[C@H](CNCc1cnc(-c2ccc(C)cc2)s1)OC ZINC000449377788 332093735 /nfs/dbraw/zinc/09/37/35/332093735.db2.gz UNUFOXKNPDLOPM-OAHLLOKOSA-N 0 3 304.459 4.023 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H](C)CN2CCC(C)CC2)cs1 ZINC000569472932 332099383 /nfs/dbraw/zinc/09/93/83/332099383.db2.gz UQGPANOOUMNJCX-HUUCEWRRSA-N 0 3 309.523 4.038 20 0 DIADHN Cc1cccc2oc(CCNCc3cc(Cl)ccc3F)nc21 ZINC000410323748 332111068 /nfs/dbraw/zinc/11/10/68/332111068.db2.gz OLFSSQQPCHMBKC-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(Cl)cc3)nc21 ZINC000410318484 332111515 /nfs/dbraw/zinc/11/15/15/332111515.db2.gz PXFZNJNVOXMWOO-UHFFFAOYSA-N 0 3 300.789 4.122 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3ccc(F)c(F)c3)nc21 ZINC000410318477 332111628 /nfs/dbraw/zinc/11/16/28/332111628.db2.gz PVCJBNKOVATLDX-GFCCVEGCSA-N 0 3 316.351 4.308 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC000410331479 332112218 /nfs/dbraw/zinc/11/22/18/332112218.db2.gz ZNJSDWIZQZNGLV-INIZCTEOSA-N 0 3 317.864 4.325 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(Cl)cc3C)nc21 ZINC000410349925 332115059 /nfs/dbraw/zinc/11/50/59/332115059.db2.gz CJAJKTFSXBTKJE-UHFFFAOYSA-N 0 3 314.816 4.430 20 0 DIADHN Cc1cccc2oc(CCN[C@H]3CCCc4ccc(F)cc43)nc21 ZINC000410362793 332116297 /nfs/dbraw/zinc/11/62/97/332116297.db2.gz VSXQCFVHQPIPOL-KRWDZBQOSA-N 0 3 324.399 4.485 20 0 DIADHN CCOc1ccc([C@@H](C)NCCc2nc3c(cccc3C)o2)cc1 ZINC000410362707 332116494 /nfs/dbraw/zinc/11/64/94/332116494.db2.gz VEEZWMDLHGYXHL-OAHLLOKOSA-N 0 3 324.424 4.428 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(Cl)c(F)c3)nc21 ZINC000410356546 332116851 /nfs/dbraw/zinc/11/68/51/332116851.db2.gz XGOBSNADNWGPJZ-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN Cc1cccc2oc(CCN[C@@H]3CCc4cc(F)ccc43)nc21 ZINC000410358313 332117223 /nfs/dbraw/zinc/11/72/23/332117223.db2.gz IGQLDBCCJMIFKX-MRXNPFEDSA-N 0 3 310.372 4.095 20 0 DIADHN Cc1cccc2oc(CCN[C@H](c3cccnc3)C(C)C)nc21 ZINC000410364722 332118435 /nfs/dbraw/zinc/11/84/35/332118435.db2.gz ZQZHPJLWOHWQSS-SFHVURJKSA-N 0 3 309.413 4.061 20 0 DIADHN CCCCN(Cc1cc(C(N)=O)cs1)[C@@H](C)c1ccccc1 ZINC000092263496 332123494 /nfs/dbraw/zinc/12/34/94/332123494.db2.gz QYVDSLVDMZNOEX-AWEZNQCLSA-N 0 3 316.470 4.210 20 0 DIADHN CC[N@H+](CCCCC1CCOCC1)[C@@H](C)c1cccc([O-])c1 ZINC000410404063 332123518 /nfs/dbraw/zinc/12/35/18/332123518.db2.gz UHFHOCQRCUOSKE-INIZCTEOSA-N 0 3 305.462 4.372 20 0 DIADHN CC[N@@H+](CCCCC1CCOCC1)[C@@H](C)c1cccc([O-])c1 ZINC000410404063 332123520 /nfs/dbraw/zinc/12/35/20/332123520.db2.gz UHFHOCQRCUOSKE-INIZCTEOSA-N 0 3 305.462 4.372 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1ccc(Br)cc1F ZINC000132352002 332128031 /nfs/dbraw/zinc/12/80/31/332128031.db2.gz LPTNBEVBVAHOQI-GXSJLCMTSA-N 0 3 320.271 4.380 20 0 DIADHN Cc1noc([C@@H]2CCCN2C[C@H](CC(C)C)c2ccccc2)n1 ZINC000569531912 332126558 /nfs/dbraw/zinc/12/65/58/332126558.db2.gz PEGVFKYAMPICQX-ROUUACIJSA-N 0 3 313.445 4.345 20 0 DIADHN C[C@H](CCNCc1cccc(C(F)(F)F)n1)c1ccccc1 ZINC000449399867 332128496 /nfs/dbraw/zinc/12/84/96/332128496.db2.gz FAAPFXLQGVRAHF-CYBMUJFWSA-N 0 3 308.347 4.384 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000132907051 332134440 /nfs/dbraw/zinc/13/44/40/332134440.db2.gz TZALKEIVWQGRHU-STQMWFEESA-N 0 3 317.454 4.494 20 0 DIADHN CCc1nocc1CN(C)CCCc1cccc2ccccc21 ZINC000559369572 332134561 /nfs/dbraw/zinc/13/45/61/332134561.db2.gz GDOBXRDXAVAQBR-UHFFFAOYSA-N 0 3 308.425 4.455 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)s1 ZINC000132908184 332134618 /nfs/dbraw/zinc/13/46/18/332134618.db2.gz VNPGKOAJPXNEHC-NWDGAFQWSA-N 0 3 317.458 4.213 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@@H](C)c1ncc(C)s1 ZINC000186777137 332945614 /nfs/dbraw/zinc/94/56/14/332945614.db2.gz AWEUZXYHIYJYGC-OCCSQVGLSA-N 0 3 304.459 4.223 20 0 DIADHN Cc1n[nH]cc1CCCN[C@H](C)c1cc(Cl)sc1Cl ZINC000133055487 332136163 /nfs/dbraw/zinc/13/61/63/332136163.db2.gz JXHSBHBCPPREAQ-SECBINFHSA-N 0 3 318.273 4.370 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2cccc(C(F)(F)F)n2)CS1 ZINC000449402830 332136475 /nfs/dbraw/zinc/13/64/75/332136475.db2.gz SWMHMGKBDIEJOB-DGCLKSJQSA-N 0 3 318.408 4.110 20 0 DIADHN Oc1ccc(CCNCc2c(Cl)oc3ccccc32)cc1 ZINC000133176835 332138278 /nfs/dbraw/zinc/13/82/78/332138278.db2.gz DMVXJMNFYSDKHV-UHFFFAOYSA-N 0 3 301.773 4.124 20 0 DIADHN Fc1ccc([C@@H](NC2CCSCC2)c2ccccc2)cc1 ZINC000133298972 332138902 /nfs/dbraw/zinc/13/89/02/332138902.db2.gz IHGKFZHYRZGUMN-SFHVURJKSA-N 0 3 301.430 4.400 20 0 DIADHN COCc1ccc(CN2CCC[C@@H]2CC(=O)c2ccccc2)cc1 ZINC000093667812 332141248 /nfs/dbraw/zinc/14/12/48/332141248.db2.gz UCEDDVQUHXJFLM-HXUWFJFHSA-N 0 3 323.436 4.070 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)C[C@@H]1CCOC1 ZINC000093840164 332142720 /nfs/dbraw/zinc/14/27/20/332142720.db2.gz STUQUAOMLICQLZ-ZDUSSCGKSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3C[C@@H]4CCCC[C@@H]4C3)o2)cc1 ZINC000426813803 332157028 /nfs/dbraw/zinc/15/70/28/332157028.db2.gz ZTWMGWBCLGCSQI-OIISXLGYSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(CC(C)(C)O)C2CC2)o1 ZINC000428413998 332157998 /nfs/dbraw/zinc/15/79/98/332157998.db2.gz RZGNJCWSYAOPAX-UHFFFAOYSA-N 0 3 317.404 4.129 20 0 DIADHN CC(C)[C@@H]1OCCC[C@H]1CN[C@@H](c1ccccc1)c1ccccn1 ZINC000180931116 332165109 /nfs/dbraw/zinc/16/51/09/332165109.db2.gz RXXQDNAEPQAQFE-JBACZVJFSA-N 0 3 324.468 4.212 20 0 DIADHN CCc1nc(C)c(CN(CC)[C@@H](C)Cc2ccc(OC)cc2)o1 ZINC000428252036 332169820 /nfs/dbraw/zinc/16/98/20/332169820.db2.gz DKRCFNTXHSZSJB-AWEZNQCLSA-N 0 3 316.445 4.007 20 0 DIADHN CC(C(=O)Nc1cc(Cl)c(F)c(Cl)c1)C(F)(F)F ZINC000427164011 332174566 /nfs/dbraw/zinc/17/45/66/332174566.db2.gz UOGAXICTJWMOJJ-SCSAIBSYSA-N 0 3 304.070 4.269 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN(C)C2CCC2)on1 ZINC000181121367 332175936 /nfs/dbraw/zinc/17/59/36/332175936.db2.gz SDGZLZNTRBLRGW-UHFFFAOYSA-N 0 3 322.408 4.488 20 0 DIADHN Cc1csc([C@@H](NCCCOc2ccccc2C)C2CC2)n1 ZINC000181628787 332204913 /nfs/dbraw/zinc/20/49/13/332204913.db2.gz FFDSDUJSQRQTAN-KRWDZBQOSA-N 0 3 316.470 4.270 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537958696 332211559 /nfs/dbraw/zinc/21/15/59/332211559.db2.gz DYGFPVBPMDYWHZ-UVBJJODRSA-N 0 3 309.400 4.135 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3c(c2)COC3)cc1Cl ZINC000537959094 332215799 /nfs/dbraw/zinc/21/57/99/332215799.db2.gz UYYSYLPTDZKZIG-GFCCVEGCSA-N 0 3 317.816 4.230 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@H](C)[C@H](O)Cc3ccccc3)oc2c1 ZINC000426072682 335098925 /nfs/dbraw/zinc/09/89/25/335098925.db2.gz MQXHOIXGEDQFQM-JTDSTZFVSA-N 0 3 323.436 4.384 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(Nc2ccccn2)CC1 ZINC000536370295 332234233 /nfs/dbraw/zinc/23/42/33/332234233.db2.gz NFDRLPXHKBOMHO-SFHVURJKSA-N 0 3 313.420 4.248 20 0 DIADHN COc1cc(CN(Cc2ccc(Cl)cc2)C2CC2)ccc1O ZINC000536374911 332235129 /nfs/dbraw/zinc/23/51/29/332235129.db2.gz NDPMLQSBDFGJEX-UHFFFAOYSA-N 0 3 317.816 4.219 20 0 DIADHN CCOC(=O)CC(C)(C)CCN(C)Cc1ccc(Cl)s1 ZINC000584309371 332236205 /nfs/dbraw/zinc/23/62/05/332236205.db2.gz BRWLMKHWYYBGCX-UHFFFAOYSA-N 0 3 317.882 4.203 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(C)c(F)c1 ZINC000536392344 332238574 /nfs/dbraw/zinc/23/85/74/332238574.db2.gz HHLBEOGHZQAITL-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN C[C@H](CCC1CCCCC1)NC(=O)c1cccc(CN(C)C)c1 ZINC000531063022 332241865 /nfs/dbraw/zinc/24/18/65/332241865.db2.gz VTSHUSLDSXIRAJ-MRXNPFEDSA-N 0 3 316.489 4.227 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000363764250 332243141 /nfs/dbraw/zinc/24/31/41/332243141.db2.gz HZCHMGYULFRIQD-QJPTWQEYSA-N 0 3 307.825 4.475 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C(C)C)cc1Br ZINC000064517585 332247429 /nfs/dbraw/zinc/24/74/29/332247429.db2.gz LLGXTSOGSJDRPB-AWEZNQCLSA-N 0 3 312.251 4.078 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccc(CN(C)C)c(F)c1 ZINC000536490692 332252093 /nfs/dbraw/zinc/25/20/93/332252093.db2.gz HWWWLKDOODJZJT-LFIBNONCSA-N 0 3 312.432 4.080 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000536499194 332253134 /nfs/dbraw/zinc/25/31/34/332253134.db2.gz SCXCAJZOAGFLRF-IAGOWNOFSA-N 0 3 315.848 4.118 20 0 DIADHN Cc1noc(C)c1CCNC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000559398000 332255974 /nfs/dbraw/zinc/25/59/74/332255974.db2.gz ZTUHKPJUXBCLJU-UHFFFAOYSA-N 0 3 324.346 4.132 20 0 DIADHN Cc1csc(CNCc2ccccc2OCC(F)(F)F)c1 ZINC000449480298 332268357 /nfs/dbraw/zinc/26/83/57/332268357.db2.gz OBANYLZQWUJGQN-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN Cc1nc(CN[C@H](C)Cc2c(Cl)cccc2Cl)[nH]c1C ZINC000573743031 332274299 /nfs/dbraw/zinc/27/42/99/332274299.db2.gz DFQHLKVJLAWAMP-SECBINFHSA-N 0 3 312.244 4.054 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)c3ccccc32)ccn1 ZINC000559734973 332278030 /nfs/dbraw/zinc/27/80/30/332278030.db2.gz UHMZIXCOMLUXIP-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](NCCCCOc1ccc(Cl)cc1)c1ccncc1F ZINC000559743349 332278605 /nfs/dbraw/zinc/27/86/05/332278605.db2.gz OGNVICBATMCVDQ-CYBMUJFWSA-N 0 3 322.811 4.384 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1cccc(C2CC2)c1 ZINC000559788129 332281399 /nfs/dbraw/zinc/28/13/99/332281399.db2.gz TXSRIVUQHMKAQS-GUYCJALGSA-N 0 3 301.455 4.318 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccc(OC(F)F)cc1 ZINC000109783086 332283941 /nfs/dbraw/zinc/28/39/41/332283941.db2.gz QNTSTBRNCBYQFZ-LBPRGKRZSA-N 0 3 307.340 4.187 20 0 DIADHN C[C@@H](NCc1ccc2cnn(C)c2c1)c1cccc(C2CC2)c1 ZINC000559856775 332285767 /nfs/dbraw/zinc/28/57/67/332285767.db2.gz URZARCAGCYPRQN-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc2c(c1)CCC(=O)N2 ZINC000559860898 332286247 /nfs/dbraw/zinc/28/62/47/332286247.db2.gz QNQLMAMNYWJHTH-CYBMUJFWSA-N 0 3 320.392 4.168 20 0 DIADHN COc1ccncc1CN[C@H]1CCCC[C@H](c2ccccc2)C1 ZINC000559871280 332287624 /nfs/dbraw/zinc/28/76/24/332287624.db2.gz LHPQCMYTQMJLES-HKUYNNGSSA-N 0 3 310.441 4.296 20 0 DIADHN C[C@H](NC1CC(C(C)(C)C)C1)c1ccc(Br)cn1 ZINC000559880009 332287953 /nfs/dbraw/zinc/28/79/53/332287953.db2.gz ZAKJPUUTBNJQHB-ZBOXLXRLSA-N 0 3 311.267 4.319 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000559879050 332289058 /nfs/dbraw/zinc/28/90/58/332289058.db2.gz SXWSPVPVHORHKU-OLZOCXBDSA-N 0 3 302.443 4.311 20 0 DIADHN Cc1cc([C@H](C)NCC[C@@H](c2ccccc2)C(F)(F)F)no1 ZINC000559879304 332289093 /nfs/dbraw/zinc/28/90/93/332289093.db2.gz VTFDZCITAUKRCJ-JSGCOSHPSA-N 0 3 312.335 4.370 20 0 DIADHN Cc1cc([C@@H](C)NCC[C@H](c2ccccc2)C(F)(F)F)no1 ZINC000559879311 332289104 /nfs/dbraw/zinc/28/91/04/332289104.db2.gz VTFDZCITAUKRCJ-TZMCWYRMSA-N 0 3 312.335 4.370 20 0 DIADHN Cc1c([C@H](C)NCC[C@@H](C)F)cnn1-c1cccc(Cl)c1 ZINC000559892334 332290187 /nfs/dbraw/zinc/29/01/87/332290187.db2.gz IJFSNMHFFPXJPF-NEPJUHHUSA-N 0 3 309.816 4.233 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000559893096 332290529 /nfs/dbraw/zinc/29/05/29/332290529.db2.gz FXTPPHUUVPTIPA-CVEARBPZSA-N 0 3 323.440 4.015 20 0 DIADHN CO[C@H](CN[C@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000559898228 332291262 /nfs/dbraw/zinc/29/12/62/332291262.db2.gz ZECUZVLHTZIFEC-RTBURBONSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1cc(-c2noc([C@H](C)NC3CCC(C)CC3)n2)ccc1F ZINC000559899875 332291553 /nfs/dbraw/zinc/29/15/53/332291553.db2.gz GPMGNUGUWPOEFQ-QRJNDHJOSA-N 0 3 317.408 4.413 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000559900091 332291575 /nfs/dbraw/zinc/29/15/75/332291575.db2.gz SFSKOZJHPJEZDM-OTYXRUKQSA-N 0 3 319.779 4.017 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccc(F)cn1 ZINC000559913167 332292358 /nfs/dbraw/zinc/29/23/58/332292358.db2.gz FYFITHBLWTZWNB-OBJOEFQTSA-N 0 3 304.796 4.471 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccncc1F)Oc1cccc(Cl)c1 ZINC000559917464 332292766 /nfs/dbraw/zinc/29/27/66/332292766.db2.gz PPXSQPPJOSYLNZ-TZMCWYRMSA-N 0 3 322.811 4.382 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc(OC(C)C)cc2)ccn1 ZINC000559918786 332293038 /nfs/dbraw/zinc/29/30/38/332293038.db2.gz VROBCWZQDZPBGC-LSDHHAIUSA-N 0 3 314.429 4.289 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCC3([C@@H](O)C(C)C)CC3)oc2c1 ZINC000426065553 335099440 /nfs/dbraw/zinc/09/94/40/335099440.db2.gz ZZGAURKHRVROID-KDOFPFPSSA-N 0 3 301.430 4.189 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1ncc(-c2ccccc2)s1 ZINC000449492234 332300658 /nfs/dbraw/zinc/30/06/58/332300658.db2.gz ITVUSJIJCBCDEY-ZDUSSCGKSA-N 0 3 308.397 4.335 20 0 DIADHN C[C@@H](N[C@@H](C)CCCC(F)(F)F)c1nc2ccccc2n1C ZINC000426066235 335099782 /nfs/dbraw/zinc/09/97/82/335099782.db2.gz IBWGMVAQTJUGKV-NWDGAFQWSA-N 0 3 313.367 4.345 20 0 DIADHN Cn1nccc1[C@H]1CCC[C@H](NCc2c(F)cccc2Cl)C1 ZINC000560024311 332302964 /nfs/dbraw/zinc/30/29/64/332302964.db2.gz CXMWRIHBRQVGII-STQMWFEESA-N 0 3 321.827 4.029 20 0 DIADHN Cn1nccc1[C@@H]1CCC[C@H](NCc2c(F)cccc2Cl)C1 ZINC000560024307 332303004 /nfs/dbraw/zinc/30/30/04/332303004.db2.gz CXMWRIHBRQVGII-OLZOCXBDSA-N 0 3 321.827 4.029 20 0 DIADHN COc1cc(CNCc2ccccc2COC(C)C)ccc1C ZINC000560018940 332303475 /nfs/dbraw/zinc/30/34/75/332303475.db2.gz UUAIYHQZCGOOQT-UHFFFAOYSA-N 0 3 313.441 4.218 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCC[C@@H]3c3ccccc3)cn2c1 ZINC000560048618 332306121 /nfs/dbraw/zinc/30/61/21/332306121.db2.gz NYJMQWRFFBXLIA-MOPGFXCFSA-N 0 3 305.425 4.069 20 0 DIADHN CCC[C@](C)(O)CNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000560051193 332306543 /nfs/dbraw/zinc/30/65/43/332306543.db2.gz XEUYBRNHZGLMCS-INIZCTEOSA-N 0 3 324.877 4.104 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](NC[C@H]1CCCC1(F)F)CC2 ZINC000449496019 332308646 /nfs/dbraw/zinc/30/86/46/332308646.db2.gz PGMSEPAELAVFJW-MWLCHTKSSA-N 0 3 305.290 4.116 20 0 DIADHN Clc1ccc(-c2ncc(CN[C@H]3CCCSC3)s2)cc1 ZINC000560097434 332310945 /nfs/dbraw/zinc/31/09/45/332310945.db2.gz KLVNPQFFNDIIPD-ZDUSSCGKSA-N 0 3 324.902 4.449 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CC(F)(F)F)c2ccc(F)cc2)C[C@H](C)O1 ZINC000560116670 332312116 /nfs/dbraw/zinc/31/21/16/332312116.db2.gz UGWLBUZDVCVSGA-JLUCKKNBSA-N 0 3 319.342 4.365 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2)c(C)s1 ZINC000049507509 332313191 /nfs/dbraw/zinc/31/31/91/332313191.db2.gz KYPMHKVJPFNLAI-OLZOCXBDSA-N 0 3 302.443 4.043 20 0 DIADHN CC[C@@H](N[C@@H]1CCOc2ccc(OC)cc21)c1cccs1 ZINC000049529559 332315385 /nfs/dbraw/zinc/31/53/85/332315385.db2.gz SESDCYHSODLGEU-HUUCEWRRSA-N 0 3 303.427 4.321 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1ccc(C(F)(F)F)cn1 ZINC000560160287 332316600 /nfs/dbraw/zinc/31/66/00/332316600.db2.gz SWVONNJFONFNQF-UKRRQHHQSA-N 0 3 320.358 4.478 20 0 DIADHN COc1ccc(CNCc2ccc3sccc3c2)cc1F ZINC000564407232 332319251 /nfs/dbraw/zinc/31/92/51/332319251.db2.gz XPZYTRUITRNMAL-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](C)Cn2cccn2)cc1Cl ZINC000560244769 332322673 /nfs/dbraw/zinc/32/26/73/332322673.db2.gz GMXQYHCQNWUMNV-KBPBESRZSA-N 0 3 321.852 4.063 20 0 DIADHN c1ccc(OC2CCCC2)c(CN2CCC[C@@H]2[C@H]2CCCO2)c1 ZINC000560267103 332325515 /nfs/dbraw/zinc/32/55/15/332325515.db2.gz NEKPBFMEWWPIRJ-UYAOXDASSA-N 0 3 315.457 4.151 20 0 DIADHN FC(F)(F)CC1CCN(Cc2ccnc3ccccc23)CC1 ZINC000560277290 332326553 /nfs/dbraw/zinc/32/65/53/332326553.db2.gz XWCPTELJPSITEL-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc(Cl)c(C)c2)CC1 ZINC000560275771 332327072 /nfs/dbraw/zinc/32/70/72/332327072.db2.gz CNVWAFSPROSULH-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN COc1cc(CNC(C)(C)c2cccc(C(F)(F)F)c2)ccn1 ZINC000560277753 332327242 /nfs/dbraw/zinc/32/72/42/332327242.db2.gz WGMHXRGOYKFHGQ-UHFFFAOYSA-N 0 3 324.346 4.134 20 0 DIADHN Fc1ccc([C@H](NCCC2CCOCC2)c2cccs2)cc1 ZINC000560340456 332331888 /nfs/dbraw/zinc/33/18/88/332331888.db2.gz PBVJQEAVXQZHFT-SFHVURJKSA-N 0 3 319.445 4.383 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1ccccc1OC(F)(F)F ZINC000152424043 335102248 /nfs/dbraw/zinc/10/22/48/335102248.db2.gz FUYTZKCDETYMKI-OLZOCXBDSA-N 0 3 319.367 4.035 20 0 DIADHN C[C@@H]1CC(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)C[C@@H](C)O1 ZINC000560537603 332354362 /nfs/dbraw/zinc/35/43/62/332354362.db2.gz PIYJXDIJKCWDMC-IIDMSEBBSA-N 0 3 319.493 4.214 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CC(F)(F)F)c2ccccc2)C[C@H](C)O1 ZINC000583362429 332354471 /nfs/dbraw/zinc/35/44/71/332354471.db2.gz VCPKVRKVYKFYNE-NEBZKDRISA-N 0 3 301.352 4.226 20 0 DIADHN C[C@@H]1CC(CCN[C@H](c2ncc[nH]2)C2CCCCC2)C[C@@H](C)O1 ZINC000560537608 332354497 /nfs/dbraw/zinc/35/44/97/332354497.db2.gz PIYJXDIJKCWDMC-RKVPGOIHSA-N 0 3 319.493 4.214 20 0 DIADHN CS[C@@H](CN[C@@H](c1ncc[nH]1)C1CCCCC1)C(C)(C)C ZINC000560548625 332357890 /nfs/dbraw/zinc/35/78/90/332357890.db2.gz JTRBWCBVLWPHFJ-LSDHHAIUSA-N 0 3 309.523 4.398 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000387556188 332361586 /nfs/dbraw/zinc/36/15/86/332361586.db2.gz RUHNCOKULHIDCA-CYBMUJFWSA-N 0 3 317.816 4.320 20 0 DIADHN CC(C)COc1ccc([C@@H](C)NCc2cnn3ccccc23)cc1 ZINC000560571798 332362461 /nfs/dbraw/zinc/36/24/61/332362461.db2.gz KNPSUSOOLSDZIK-MRXNPFEDSA-N 0 3 323.440 4.220 20 0 DIADHN Cc1ccc([C@H](NCc2cnn3ccccc23)C2CCC2)cc1 ZINC000560573742 332362957 /nfs/dbraw/zinc/36/29/57/332362957.db2.gz APMRYHWXOQTEAX-HXUWFJFHSA-N 0 3 305.425 4.274 20 0 DIADHN C[C@@H](NCc1c(F)cccc1N1CCCC1)C1CCSCC1 ZINC000560574235 332363479 /nfs/dbraw/zinc/36/34/79/332363479.db2.gz QDWMSSARGSPJQW-CQSZACIVSA-N 0 3 322.493 4.047 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc21)c1ccc(N2CCCC2=O)cc1 ZINC000119142173 332365213 /nfs/dbraw/zinc/36/52/13/332365213.db2.gz NJBXUIQSTBXFQM-QRWLVFNGSA-N 0 3 320.436 4.152 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CSC[C@H]3C)oc21 ZINC000560584254 332365322 /nfs/dbraw/zinc/36/53/22/332365322.db2.gz BZBQQNWSYHRPJR-DYEKYZERSA-N 0 3 305.443 4.234 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(C2CCOCC2)CC1 ZINC000560587164 332366052 /nfs/dbraw/zinc/36/60/52/332366052.db2.gz SHMRGDGIVSUCLQ-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN CCCCN(Cc1ncc(COC)s1)[C@H]1CCC[C@@H](C)C1 ZINC000560611070 332369423 /nfs/dbraw/zinc/36/94/23/332369423.db2.gz AYYLLJUOXGPOOM-CABCVRRESA-N 0 3 310.507 4.470 20 0 DIADHN CCCN(CCC)[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000560687874 332377823 /nfs/dbraw/zinc/37/78/23/332377823.db2.gz AJERLSCEQFBGCT-LLVKDONJSA-N 0 3 317.260 4.442 20 0 DIADHN CCCN(CCC)[C@H](C)C(=O)Nc1cccc(C(F)(F)F)c1 ZINC000560688452 332378061 /nfs/dbraw/zinc/37/80/61/332378061.db2.gz KSUXPRFUNYASLU-GFCCVEGCSA-N 0 3 316.367 4.154 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccncc1F)Oc1ccccc1C ZINC000583386268 332378521 /nfs/dbraw/zinc/37/85/21/332378521.db2.gz OGFBLSNEBOUAOX-CABCVRRESA-N 0 3 302.393 4.037 20 0 DIADHN O=C(Nc1ccccc1)Nc1cccc2c1CCN(C1CCC1)C2 ZINC000560701698 332379188 /nfs/dbraw/zinc/37/91/88/332379188.db2.gz IMPXGLXAAXUBFC-UHFFFAOYSA-N 0 3 321.424 4.241 20 0 DIADHN COc1cc2c(cc1CN[C@@H]1c3ccccc3C[C@H]1C)O[C@H](C)C2 ZINC000564696772 332384450 /nfs/dbraw/zinc/38/44/50/332384450.db2.gz LIULFGZVIXHWTL-LKBUQDJMSA-N 0 3 323.436 4.042 20 0 DIADHN COc1cc2c(cc1CN[C@@H]1c3ccccc3C[C@@H]1C)O[C@@H](C)C2 ZINC000564696774 332384729 /nfs/dbraw/zinc/38/47/29/332384729.db2.gz LIULFGZVIXHWTL-RXSFTSLZSA-N 0 3 323.436 4.042 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1cnc(C2CCCCC2)s1 ZINC000564408657 332386264 /nfs/dbraw/zinc/38/62/64/332386264.db2.gz DTJHFAIJQNLHRJ-HZPDHXFCSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1cc(C)cc(OCCCN2CCC[C@@H]2c2cccn2C)c1 ZINC000560841155 332389592 /nfs/dbraw/zinc/38/95/92/332389592.db2.gz QFGBHUGDNVNUPU-HXUWFJFHSA-N 0 3 312.457 4.248 20 0 DIADHN Cc1cc(C)cc(OCCCN(Cc2cccnc2)C2CC2)c1 ZINC000560841359 332389603 /nfs/dbraw/zinc/38/96/03/332389603.db2.gz WVVWFTMJRYEVSO-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2cccc3ccccc32)c1 ZINC000560859093 332390521 /nfs/dbraw/zinc/39/05/21/332390521.db2.gz SIGPQZXAJFAPFU-HNNXBMFYSA-N 0 3 319.404 4.477 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000323210693 332400139 /nfs/dbraw/zinc/40/01/39/332400139.db2.gz NJKZEOYSPFPGBB-JXFKEZNVSA-N 0 3 315.432 4.303 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNCc1ccc(C(F)(F)F)s1 ZINC000428854090 332439247 /nfs/dbraw/zinc/43/92/47/332439247.db2.gz BBVIROAWPBRVTG-QMMMGPOBSA-N 0 3 318.364 4.265 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnc(-c3ccncc3)s2)cc1 ZINC000561365171 332441864 /nfs/dbraw/zinc/44/18/64/332441864.db2.gz NLYOULNCQLOSND-CQSZACIVSA-N 0 3 309.438 4.364 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2nc3ccc(F)cc3s2)CCO1 ZINC000428870414 332443900 /nfs/dbraw/zinc/44/39/00/332443900.db2.gz XUEFFRSEICMZOQ-WFASDCNBSA-N 0 3 322.449 4.119 20 0 DIADHN CNCc1cccc(NC(=O)[C@@H](CC(C)C)c2ccccc2)c1 ZINC000428310309 332450951 /nfs/dbraw/zinc/45/09/51/332450951.db2.gz OGMVVKKYLJJHCY-IBGZPJMESA-N 0 3 310.441 4.174 20 0 DIADHN COC[C@@H](NC[C@@H](OC(C)C)c1ccccc1)c1ccccc1 ZINC000428313084 332454686 /nfs/dbraw/zinc/45/46/86/332454686.db2.gz HEZZVOAEYDVGKB-WOJBJXKFSA-N 0 3 313.441 4.130 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C1CCCCCC1 ZINC000427597584 332458473 /nfs/dbraw/zinc/45/84/73/332458473.db2.gz WBORZXCGTRBVET-UHFFFAOYSA-N 0 3 314.473 4.500 20 0 DIADHN Cc1c([C@H](C)NC2CC3(CCC3)C2)cnn1-c1ccc(F)cc1 ZINC000448060949 332478052 /nfs/dbraw/zinc/47/80/52/332478052.db2.gz PWGKWFUQCWTHCD-ZDUSSCGKSA-N 0 3 313.420 4.303 20 0 DIADHN CC[C@H](N[C@@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000427717498 332498402 /nfs/dbraw/zinc/49/84/02/332498402.db2.gz BVAIVHLEMJPXLJ-CABZTGNLSA-N 0 3 317.246 4.459 20 0 DIADHN Clc1ccc(C2(NCCOC3CCC3)CC2)cc1Cl ZINC000561866625 332508604 /nfs/dbraw/zinc/50/86/04/332508604.db2.gz YNMWBNKNTZPAGF-UHFFFAOYSA-N 0 3 300.229 4.141 20 0 DIADHN Fc1ccc(CCNCc2cscc2C(F)(F)F)cc1 ZINC000564416661 332510545 /nfs/dbraw/zinc/51/05/45/332510545.db2.gz BZQFLUBBMACIPB-UHFFFAOYSA-N 0 3 303.324 4.238 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)N(CCN(C)C)C(C)C)cc1 ZINC000448119417 332527981 /nfs/dbraw/zinc/52/79/81/332527981.db2.gz NRQAYPJNJFBIPS-UHFFFAOYSA-N 0 3 319.493 4.178 20 0 DIADHN CC(C)c1ccc(CN[C@@H](C)c2cccc(-n3ccnn3)c2)cc1 ZINC000562020273 332532216 /nfs/dbraw/zinc/53/22/16/332532216.db2.gz WJAORKXHFRWFGH-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN COc1cc(F)cc(C[C@H](C)NCc2ncc(C(C)C)s2)c1 ZINC000449783570 332533445 /nfs/dbraw/zinc/53/34/45/332533445.db2.gz QBCYNHYCJHPVOM-LBPRGKRZSA-N 0 3 322.449 4.135 20 0 DIADHN COc1cccc(CN[C@H]2CC[C@H]2C2CC2)c1OC1CCCC1 ZINC000562040237 332535568 /nfs/dbraw/zinc/53/55/68/332535568.db2.gz WPDFKLBRLMSSHI-ROUUACIJSA-N 0 3 315.457 4.295 20 0 DIADHN C[C@H](CCc1ccco1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000562057603 332539921 /nfs/dbraw/zinc/53/99/21/332539921.db2.gz YBSRZSWFRFPKJK-CVEARBPZSA-N 0 3 309.413 4.137 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@@H](C)CN(C)C)c(C(C)C)c1 ZINC000562073960 332542394 /nfs/dbraw/zinc/54/23/94/332542394.db2.gz ICZOTIVMFOCJAY-AWEZNQCLSA-N 0 3 305.466 4.005 20 0 DIADHN CCSc1cc(CN[C@@H]2c3cc(F)ccc3C[C@H]2C)ccn1 ZINC000427873046 332552268 /nfs/dbraw/zinc/55/22/68/332552268.db2.gz YVKWQAJXENMTNU-XIKOKIGWSA-N 0 3 316.445 4.356 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000427885477 332554703 /nfs/dbraw/zinc/55/47/03/332554703.db2.gz WPZGERFIZVQKHY-KBPBESRZSA-N 0 3 318.486 4.118 20 0 DIADHN C[C@@H](N[C@H]1CCC1(C)C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000562293873 332569454 /nfs/dbraw/zinc/56/94/54/332569454.db2.gz WVHZKTDQTQSFEK-RNCFNFMXSA-N 0 3 315.244 4.099 20 0 DIADHN C[C@H](NC1(C(=O)Nc2ccccc2)CC1)C1CCCCCC1 ZINC000427975371 332577688 /nfs/dbraw/zinc/57/76/88/332577688.db2.gz HPKXXSAZMWQXFI-HNNXBMFYSA-N 0 3 300.446 4.106 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccc(F)cc1OC(F)F ZINC000427981507 332580613 /nfs/dbraw/zinc/58/06/13/332580613.db2.gz FTQBUDLPOSONGM-PWSUYJOCSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1ccc([C@H](N[C@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)cc1 ZINC000562652775 332612757 /nfs/dbraw/zinc/61/27/57/332612757.db2.gz PHAVIARUXRHAQQ-QXOCSCLMSA-N 0 3 310.441 4.025 20 0 DIADHN CC[C@H](O)CCCNCc1ccc(-c2ccc(F)cc2C)o1 ZINC000525697176 332623596 /nfs/dbraw/zinc/62/35/96/332623596.db2.gz BGDJCVJEPCUWIB-HNNXBMFYSA-N 0 3 305.393 4.035 20 0 DIADHN COCc1cnc(CNCC[C@@H]2C[C@@H](C)CC(C)(C)C2)s1 ZINC000443992183 332647576 /nfs/dbraw/zinc/64/75/76/332647576.db2.gz XYHUURLRBPORJZ-ZIAGYGMSSA-N 0 3 310.507 4.232 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1cc2cccc(OC)c2o1)C(C)C ZINC000318303201 332653449 /nfs/dbraw/zinc/65/34/49/332653449.db2.gz PBEGIUZQOPJSFW-ZFWWWQNUSA-N 0 3 305.418 4.153 20 0 DIADHN Fc1cccc(N2CCC[C@@H](N[C@@H]3CCCc4occc43)C2)c1 ZINC000569671571 332657272 /nfs/dbraw/zinc/65/72/72/332657272.db2.gz COZDDSQEPLXOKK-CRAIPNDOSA-N 0 3 314.404 4.055 20 0 DIADHN Cc1cccc2nc(C3CCN(Cc4ccncc4C)CC3)oc21 ZINC000569780218 332678555 /nfs/dbraw/zinc/67/85/55/332678555.db2.gz JFAIZTPAEQASQU-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN Cc1ccncc1CCCNCc1csc(-c2ccccc2)n1 ZINC000569855553 332686282 /nfs/dbraw/zinc/68/62/82/332686282.db2.gz FOSIHUUXONLNSR-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN C[C@@]1(NCc2cnc(-c3ccc(Cl)cc3)s2)CCCOC1 ZINC000569948725 332695959 /nfs/dbraw/zinc/69/59/59/332695959.db2.gz ZRQYERUXGFSAQD-MRXNPFEDSA-N 0 3 322.861 4.122 20 0 DIADHN CSc1cc(CN[C@@H]2c3cccc(F)c3CC[C@@H]2C)ccn1 ZINC000537935394 332702780 /nfs/dbraw/zinc/70/27/80/332702780.db2.gz MPDVLOGWMDOTAW-SGTLLEGYSA-N 0 3 316.445 4.356 20 0 DIADHN CCc1ccc([C@@H](C)NCCOCc2ccc(OC)cc2)s1 ZINC000537935564 332719110 /nfs/dbraw/zinc/71/91/10/332719110.db2.gz VVPUTANBFOGSOC-CQSZACIVSA-N 0 3 319.470 4.187 20 0 DIADHN CC[C@](C)(O)CN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000570203826 332724976 /nfs/dbraw/zinc/72/49/76/332724976.db2.gz MOESYFGGOFNVPK-GJZGRUSLSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1ccc(CCCC(=O)Nc2ccccc2CN(C)C)s1 ZINC000570232057 332728247 /nfs/dbraw/zinc/72/82/47/332728247.db2.gz CVDJPZHIGRDTTN-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN CCCN(CCN1CCCCCC1)C(=O)Nc1cscc1C ZINC000570315539 332739463 /nfs/dbraw/zinc/73/94/63/332739463.db2.gz WGPSKUDQLCZSKJ-UHFFFAOYSA-N 0 3 323.506 4.176 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000570391554 332749008 /nfs/dbraw/zinc/74/90/08/332749008.db2.gz AJQZWGHYWYSUOI-SQWLQELKSA-N 0 3 307.459 4.460 20 0 DIADHN COc1cccc([C@H](C)N2CCC(OCC3CCCC3)CC2)c1 ZINC000177477607 332988488 /nfs/dbraw/zinc/98/84/88/332988488.db2.gz UOOWJACPNKOPCS-INIZCTEOSA-N 0 3 317.473 4.427 20 0 DIADHN Fc1ccccc1-c1cnc(CN2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000177546668 332990735 /nfs/dbraw/zinc/99/07/35/332990735.db2.gz QJURUKBGQJEAJF-ZIAGYGMSSA-N 0 3 300.377 4.103 20 0 DIADHN Clc1cccc(-c2nnc(CN3C[C@@H]4CCCC[C@H]4C3)o2)c1 ZINC000177563965 332991384 /nfs/dbraw/zinc/99/13/84/332991384.db2.gz BKTRLKVZIAXOQJ-KBPBESRZSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000177579982 332992831 /nfs/dbraw/zinc/99/28/31/332992831.db2.gz ZXNFRFFHQNMMEL-ARFHVFGLSA-N 0 3 311.429 4.228 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C3CC3)cc2)cc1OC ZINC000177608584 332993289 /nfs/dbraw/zinc/99/32/89/332993289.db2.gz CZYIGYGSKUZEKP-AWEZNQCLSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1cc2ccccc2s1 ZINC000177987658 333000544 /nfs/dbraw/zinc/00/05/44/333000544.db2.gz YADCXABJLWHRAV-DOMZBBRYSA-N 0 3 311.454 4.363 20 0 DIADHN COc1cccc(CN[C@H]2C[C@H](c3ccccc3C)C2)c1OC ZINC000466383843 333015995 /nfs/dbraw/zinc/01/59/95/333015995.db2.gz PESGPHABSBJOEW-QAQDUYKDSA-N 0 3 311.425 4.048 20 0 DIADHN COc1ccccc1/C=C\CNCCOc1cccc(Cl)c1 ZINC000518764506 333018475 /nfs/dbraw/zinc/01/84/75/333018475.db2.gz QPVSKIRQYVWACS-ALCCZGGFSA-N 0 3 317.816 4.030 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000178780996 333020138 /nfs/dbraw/zinc/02/01/38/333020138.db2.gz BPIONIYDDAIWBR-HNNXBMFYSA-N 0 3 303.377 4.133 20 0 DIADHN CN(Cc1ccccc1)Cc1cccc(OCc2ccccn2)c1 ZINC000178842708 333020860 /nfs/dbraw/zinc/02/08/60/333020860.db2.gz VDGKRNOFHCOCQR-UHFFFAOYSA-N 0 3 318.420 4.293 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc(Br)s1)C1CCCC1 ZINC000179230326 333024777 /nfs/dbraw/zinc/02/47/77/333024777.db2.gz BSJXBOLZDKBEOY-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H](N[C@@H](C)CN(C)C)c1ccc(Cl)c(Cl)c1Cl ZINC000168735932 333026867 /nfs/dbraw/zinc/02/68/67/333026867.db2.gz JJGHPESJRPJJBT-DTWKUNHWSA-N 0 3 309.668 4.248 20 0 DIADHN C[C@@H](NC1CCSCC1)c1ccc(F)cc1Br ZINC000180511160 333028081 /nfs/dbraw/zinc/02/80/81/333028081.db2.gz TYEUBAHWGIFWHF-SECBINFHSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@H](N[C@H]1CCOC(C)(C)C1)c1sccc1Br ZINC000215734597 333033537 /nfs/dbraw/zinc/03/35/37/333033537.db2.gz ZOWDMVVLLVWCJJ-UWVGGRQHSA-N 0 3 318.280 4.119 20 0 DIADHN Fc1ccc(/C=C\CNCCc2nc3ccccc3s2)cc1 ZINC000580245704 333040218 /nfs/dbraw/zinc/04/02/18/333040218.db2.gz ZSHGSFRMFWJBJO-ARJAWSKDSA-N 0 3 312.413 4.281 20 0 DIADHN COc1cc2c(cc1CNC/C(C)=C\c1ccccc1)O[C@H](C)C2 ZINC000518768513 333052827 /nfs/dbraw/zinc/05/28/27/333052827.db2.gz XCZVOOOZFCCYNQ-QOBZYLRHSA-N 0 3 323.436 4.212 20 0 DIADHN CC(C)[C@H](NCc1cnn(-c2ccccc2)c1)c1ccc(F)cc1 ZINC000378871433 333058648 /nfs/dbraw/zinc/05/86/48/333058648.db2.gz OOTNSKSDBAEGFY-FQEVSTJZSA-N 0 3 323.415 4.498 20 0 DIADHN CC(C)[C@@H](NCc1cccc2c1OCO2)c1ccc(F)cc1 ZINC000378881002 333058928 /nfs/dbraw/zinc/05/89/28/333058928.db2.gz GUIWMKVPECSTLV-QGZVFWFLSA-N 0 3 301.361 4.041 20 0 DIADHN Cc1cccc([C@H](C)NCc2cc(Cl)c3c(c2)OCCO3)c1 ZINC000378882240 333060274 /nfs/dbraw/zinc/06/02/74/333060274.db2.gz HXJLWKIXDGOBHR-ZDUSSCGKSA-N 0 3 317.816 4.270 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3cccnc32)c(OC)c1 ZINC000378902049 333061726 /nfs/dbraw/zinc/06/17/26/333061726.db2.gz XKNOIUPLQBCVRE-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN C[C@H](CCNCc1csc(-c2ccccn2)n1)c1ccccc1 ZINC000378911838 333063403 /nfs/dbraw/zinc/06/34/03/333063403.db2.gz JLIOBVMKBIBOCE-OAHLLOKOSA-N 0 3 323.465 4.489 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H]2CCCOC2)cc1OCCC ZINC000378921349 333063578 /nfs/dbraw/zinc/06/35/78/333063578.db2.gz PMXFSUPXLYVOCH-NVXWUHKLSA-N 0 3 321.461 4.094 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H]2CCCOC2)cc1OCCC ZINC000378921348 333063670 /nfs/dbraw/zinc/06/36/70/333063670.db2.gz PMXFSUPXLYVOCH-DOTOQJQBSA-N 0 3 321.461 4.094 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H]2CCCOC2)cc1OCCC ZINC000378921651 333063688 /nfs/dbraw/zinc/06/36/88/333063688.db2.gz PMXFSUPXLYVOCH-WBVHZDCISA-N 0 3 321.461 4.094 20 0 DIADHN C[C@H](CCNCc1cn2cc(Cl)ccc2n1)c1ccccc1 ZINC000378953294 333066804 /nfs/dbraw/zinc/06/68/04/333066804.db2.gz JJTMAQYJYMPRIE-CQSZACIVSA-N 0 3 313.832 4.271 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000460348453 333068208 /nfs/dbraw/zinc/06/82/08/333068208.db2.gz FJYQGWZTSVFTPM-KGLIPLIRSA-N 0 3 305.447 4.103 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(CCc2ccccc2Cl)C1 ZINC000460359892 333071240 /nfs/dbraw/zinc/07/12/40/333071240.db2.gz CIKZUBFEYMXKCW-KDOFPFPSSA-N 0 3 300.833 4.361 20 0 DIADHN c1coc([C@H]2CCCCCN2CCCO[C@@H]2CCCCO2)c1 ZINC000460382703 333075796 /nfs/dbraw/zinc/07/57/96/333075796.db2.gz ARZOYEFLFYREJS-SJLPKXTDSA-N 0 3 307.434 4.130 20 0 DIADHN c1coc([C@@H]2CCCCCN2CCCO[C@@H]2CCCCO2)c1 ZINC000460382702 333075806 /nfs/dbraw/zinc/07/58/06/333075806.db2.gz ARZOYEFLFYREJS-FUHWJXTLSA-N 0 3 307.434 4.130 20 0 DIADHN Clc1cc(Cl)c2c(c1)C=C(CNCCc1ccco1)CO2 ZINC000518771607 333079163 /nfs/dbraw/zinc/07/91/63/333079163.db2.gz AOXPYOUYFXQGPD-UHFFFAOYSA-N 0 3 324.207 4.195 20 0 DIADHN CCCn1cc(CN2CCC[C@H](c3ccc(F)cc3)CC2)cn1 ZINC000497146842 333079477 /nfs/dbraw/zinc/07/94/77/333079477.db2.gz NADSTWHZGNREPL-KRWDZBQOSA-N 0 3 315.436 4.202 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccccc1OC(F)F ZINC000518771672 333079496 /nfs/dbraw/zinc/07/94/96/333079496.db2.gz KZVJFXRNRUTETG-KAMYIIQDSA-N 0 3 303.352 4.481 20 0 DIADHN C[C@H](NCCOc1ncc(Cl)cc1Cl)c1ccccc1 ZINC000540157681 333083953 /nfs/dbraw/zinc/08/39/53/333083953.db2.gz DIAIZOQQTHTOJF-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN C[C@@H](CSc1nnc(-c2ccc(C(C)(C)C)cc2)o1)N(C)C ZINC000460432676 333085785 /nfs/dbraw/zinc/08/57/85/333085785.db2.gz UXVXYINNPWXFMA-LBPRGKRZSA-N 0 3 319.474 4.076 20 0 DIADHN CC(C)c1ccc([C@@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000314153063 333090212 /nfs/dbraw/zinc/09/02/12/333090212.db2.gz JATLNVAKESRIFE-CRAIPNDOSA-N 0 3 300.446 4.357 20 0 DIADHN C[C@@H](CNCc1cc(F)ccc1F)Oc1ccccc1Cl ZINC000462739461 333102705 /nfs/dbraw/zinc/10/27/05/333102705.db2.gz WOSONFMAAIJZJA-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN Cc1cc([C@H](C)NCCn2c(C)nc3ccccc32)c(C)s1 ZINC000314387214 333107596 /nfs/dbraw/zinc/10/75/96/333107596.db2.gz RPYQYGRMZYPXNJ-ZDUSSCGKSA-N 0 3 313.470 4.374 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H]2Cc2ccccc2)c(Cl)c1 ZINC000462770539 333110617 /nfs/dbraw/zinc/11/06/17/333110617.db2.gz WJRPLKNSHKVZES-QAPCUYQASA-N 0 3 301.817 4.069 20 0 DIADHN C[C@H](CC1CCCCC1)NCc1cnc([C@H]2CCCO2)s1 ZINC000487157232 333113972 /nfs/dbraw/zinc/11/39/72/333113972.db2.gz OQWHEBAVNHMHAK-CZUORRHYSA-N 0 3 308.491 4.443 20 0 DIADHN CC(C)N(C(=O)CN[C@H](C)c1cccc2ccccc21)C(C)C ZINC000215985542 333116516 /nfs/dbraw/zinc/11/65/16/333116516.db2.gz TUIYICOQZBBWPT-MRXNPFEDSA-N 0 3 312.457 4.136 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@](CO)(C(C)C)C3)cc2c1C ZINC000516670765 333133015 /nfs/dbraw/zinc/13/30/15/333133015.db2.gz RVTIEWJORJUBDJ-FQEVSTJZSA-N 0 3 314.473 4.015 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCOc2cccc3ccccc32)cn1 ZINC000177913278 333133135 /nfs/dbraw/zinc/13/31/35/333133135.db2.gz AWEUHRAAKWDMQG-MRXNPFEDSA-N 0 3 323.440 4.347 20 0 DIADHN C[C@H](NCc1ccc(N2CCCCC2=O)cc1)c1ccsc1 ZINC000178508868 333140007 /nfs/dbraw/zinc/14/00/07/333140007.db2.gz HYKNHGINMDRNSA-AWEZNQCLSA-N 0 3 314.454 4.116 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cn(C)nc1C)c1ccc(OC(F)F)cc1 ZINC000178722033 333143039 /nfs/dbraw/zinc/14/30/39/333143039.db2.gz QGQKWYLKSWZAKH-MEDUHNTESA-N 0 3 323.387 4.132 20 0 DIADHN CC[C@@H](N[C@H](C)c1cn(C)nc1C)c1ccc(OC(F)F)cc1 ZINC000178722055 333143257 /nfs/dbraw/zinc/14/32/57/333143257.db2.gz QGQKWYLKSWZAKH-BDJLRTHQSA-N 0 3 323.387 4.132 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2N(C)C)cc(C)c1F ZINC000540174341 333143773 /nfs/dbraw/zinc/14/37/73/333143773.db2.gz RIEXAOUHVIPUGN-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000487571542 333150896 /nfs/dbraw/zinc/15/08/96/333150896.db2.gz IEYXKOGWJDWUEG-GDBMZVCRSA-N 0 3 311.853 4.032 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCc2csc(C(C)(C)C)n2)s1 ZINC000179535671 333152327 /nfs/dbraw/zinc/15/23/27/333152327.db2.gz NFFYDJLXBLXSIQ-SNVBAGLBSA-N 0 3 323.531 4.407 20 0 DIADHN CCCCc1ccc([C@@H](C)NCCc2nnc(C)s2)cc1 ZINC000391854282 335125636 /nfs/dbraw/zinc/12/56/36/335125636.db2.gz UEZWTVJOZPMBQF-CYBMUJFWSA-N 0 3 303.475 4.082 20 0 DIADHN CCCC[C@H](CC)CC(=O)NC[C@H](c1ccccc1)N(C)C ZINC000522672826 333161159 /nfs/dbraw/zinc/16/11/59/333161159.db2.gz CPSUGCSYQWDXSO-FUHWJXTLSA-N 0 3 304.478 4.012 20 0 DIADHN CCc1nc(C)c(CN[C@@H](C)CC(C)(C)c2ccccc2)o1 ZINC000320690002 335125699 /nfs/dbraw/zinc/12/56/99/335125699.db2.gz NAQGAESMYCOIML-AWEZNQCLSA-N 0 3 300.446 4.391 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCCCCF)c2ccccc2)cc1 ZINC000461081321 333164997 /nfs/dbraw/zinc/16/49/97/333164997.db2.gz AXLKIMVOODNDMU-SFHVURJKSA-N 0 3 314.404 4.014 20 0 DIADHN C[C@H](CN1CCN(C2CCCC2)CC1)c1cccc(Cl)c1 ZINC000189629143 333178470 /nfs/dbraw/zinc/17/84/70/333178470.db2.gz YBHIIIPQMMODKI-OAHLLOKOSA-N 0 3 306.881 4.004 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](CO)C1)c1cc(Cl)ccc1Cl ZINC000387950339 333187068 /nfs/dbraw/zinc/18/70/68/333187068.db2.gz BBJQQFDFEKKJLK-GVXVVHGQSA-N 0 3 302.245 4.195 20 0 DIADHN COc1ccc(CNC[C@@H](Cc2ccccc2)C(F)(F)F)cc1 ZINC000582091892 333210688 /nfs/dbraw/zinc/21/06/88/333210688.db2.gz PNIGGXJIDXYROK-MRXNPFEDSA-N 0 3 323.358 4.206 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@@H](c3ccccc3)[C@@H]2C)[n-]1 ZINC000582098225 333217260 /nfs/dbraw/zinc/21/72/60/333217260.db2.gz WKOXHJBSBUGJNI-WLRQEKSISA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C)[nH]1 ZINC000582098225 333217261 /nfs/dbraw/zinc/21/72/61/333217261.db2.gz WKOXHJBSBUGJNI-WLRQEKSISA-N 0 3 312.461 4.161 20 0 DIADHN CCn1nc(CNCC[C@H](C)c2ccccc2)c2ccccc21 ZINC000390025487 333217440 /nfs/dbraw/zinc/21/74/40/333217440.db2.gz BFMZJJJJKHJMKB-INIZCTEOSA-N 0 3 307.441 4.340 20 0 DIADHN CN(CCC1CC1)[C@H]1CCOc2ccc(Br)cc21 ZINC000445891051 333218276 /nfs/dbraw/zinc/21/82/76/333218276.db2.gz RKFDPHQHFMHHRV-AWEZNQCLSA-N 0 3 310.235 4.005 20 0 DIADHN C[C@@H](NCC1(c2cccc(Cl)c2)CCCC1)c1cnccn1 ZINC000151987863 333220624 /nfs/dbraw/zinc/22/06/24/333220624.db2.gz OXZCQLHQVYSLOQ-CQSZACIVSA-N 0 3 315.848 4.293 20 0 DIADHN CCOc1ccc(OCCN(Cc2cccs2)C2CC2)cc1 ZINC000497199895 333220990 /nfs/dbraw/zinc/22/09/90/333220990.db2.gz QJNHPWIDLOWTML-UHFFFAOYSA-N 0 3 317.454 4.190 20 0 DIADHN C[C@@H](NCCOCc1ccccc1)c1ccccc1OC(F)F ZINC000390081833 333223746 /nfs/dbraw/zinc/22/37/46/333223746.db2.gz CLLWFTPEUQGKEE-CQSZACIVSA-N 0 3 321.367 4.155 20 0 DIADHN COc1cncc(/C=C/CCN2Cc3ccccc3C3(CC3)C2)c1 ZINC000563061405 333227166 /nfs/dbraw/zinc/22/71/66/333227166.db2.gz HYKGJUJYDKWKNB-GQCTYLIASA-N 0 3 320.436 4.041 20 0 DIADHN Fc1cccc(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000514085082 333236046 /nfs/dbraw/zinc/23/60/46/333236046.db2.gz SRMYPSGAYIPNKM-QGZVFWFLSA-N 0 3 301.409 4.003 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc3c(c2)OCCO3)cc1Cl ZINC000318997667 333239584 /nfs/dbraw/zinc/23/95/84/333239584.db2.gz OKSCPSNGDRHXCR-CYBMUJFWSA-N 0 3 317.816 4.270 20 0 DIADHN CC(C)CC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Cl)cc1 ZINC000497356055 333239845 /nfs/dbraw/zinc/23/98/45/333239845.db2.gz NFZNIDRSRMJFEF-BMGDILEWSA-N 0 3 307.865 4.344 20 0 DIADHN C/C=C\c1ccc(NC(=O)NCc2ccc(CN(C)C)cc2)cc1 ZINC000497383033 333243048 /nfs/dbraw/zinc/24/30/48/333243048.db2.gz FYJXBLYJMAWUQW-PLNGDYQASA-N 0 3 323.440 4.103 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@H]2CC(C)C)c(C)c1 ZINC000500456215 333243127 /nfs/dbraw/zinc/24/31/27/333243127.db2.gz KCGRMCUGUQCCCC-KRWDZBQOSA-N 0 3 302.462 4.061 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCC[C@H]1CC(C)C ZINC000500479902 333245111 /nfs/dbraw/zinc/24/51/11/333245111.db2.gz QGJVCWPBGRNCQF-SFHVURJKSA-N 0 3 316.489 4.260 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCCOCc2ccccc2)cc1 ZINC000390233830 333251568 /nfs/dbraw/zinc/25/15/68/333251568.db2.gz JKGYMOQUGIQNML-KRWDZBQOSA-N 0 3 313.441 4.341 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H](c2ccnn2C)C1 ZINC000497496232 333256695 /nfs/dbraw/zinc/25/66/95/333256695.db2.gz NTKWQUPBPDJGGX-TZMCWYRMSA-N 0 3 321.827 4.153 20 0 DIADHN CC(C)[C@H](NCCSc1ccccc1)c1ccc(F)cn1 ZINC000497552841 333262119 /nfs/dbraw/zinc/26/21/19/333262119.db2.gz HPJHZAGQBZMTFU-KRWDZBQOSA-N 0 3 304.434 4.300 20 0 DIADHN CCSCCN[C@H](C)c1ccc(OCC(C)C)c(OC)c1 ZINC000540364838 333265498 /nfs/dbraw/zinc/26/54/98/333265498.db2.gz FXFVUZSXBNZJOT-CQSZACIVSA-N 0 3 311.491 4.134 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000497710129 333280939 /nfs/dbraw/zinc/28/09/39/333280939.db2.gz DAHCECCCXNWQCI-CHWSQXEVSA-N 0 3 318.461 4.443 20 0 DIADHN C[C@@H](NCC[C@H](C)c1ccccc1)c1nc2c(s1)CCC2 ZINC000497713486 333281399 /nfs/dbraw/zinc/28/13/99/333281399.db2.gz MHNJGXOEWKRNAI-UONOGXRCSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@H](CCc1ccco1)NCc1ccccc1Br ZINC000020145102 333281651 /nfs/dbraw/zinc/28/16/51/333281651.db2.gz GDQHFMZNIOLNSU-GFCCVEGCSA-N 0 3 308.219 4.153 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccccc2-n2cccn2)cc1 ZINC000390452900 333281823 /nfs/dbraw/zinc/28/18/23/333281823.db2.gz BVBSOUGYSVXYHQ-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN Cc1ccccc1CCCN[C@@H](C)c1nc2c(s1)CCC2 ZINC000497720582 333282561 /nfs/dbraw/zinc/28/25/61/333282561.db2.gz VIJCMXMOMXGBEF-AWEZNQCLSA-N 0 3 300.471 4.224 20 0 DIADHN CC[C@H](NC[C@@H]1COc2ccccc21)c1cc(C)ccc1OC ZINC000582114363 333285048 /nfs/dbraw/zinc/28/50/48/333285048.db2.gz KYZJIBMAAGJRJJ-QAPCUYQASA-N 0 3 311.425 4.221 20 0 DIADHN CN(CCCOc1cccc2ccccc21)C[C@@H]1CCCCO1 ZINC000497738899 333285157 /nfs/dbraw/zinc/28/51/57/333285157.db2.gz XMCUBMMBTPAPTD-SFHVURJKSA-N 0 3 313.441 4.110 20 0 DIADHN CCC(C)(C)C1CCC(NCc2cn(C(C)(C)C)nn2)CC1 ZINC000319377750 333289579 /nfs/dbraw/zinc/28/95/79/333289579.db2.gz ZLBVAIDQDUSAKA-UHFFFAOYSA-N 0 3 306.498 4.118 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3ccccc32)cc1OC ZINC000120515100 333289621 /nfs/dbraw/zinc/28/96/21/333289621.db2.gz RZPMITZNUSUPKK-KSSFIOAISA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1ccc(F)cn1 ZINC000497830442 333298830 /nfs/dbraw/zinc/29/88/30/333298830.db2.gz YZHVJUWBQMHPDL-OLMNPRSZSA-N 0 3 308.441 4.103 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)NC1CCCCC1)c1cccc2ccccc21 ZINC000216050184 333299535 /nfs/dbraw/zinc/29/95/35/333299535.db2.gz CKUBQKYFAFALLO-CVEARBPZSA-N 0 3 324.468 4.328 20 0 DIADHN COc1ccc(C)cc1CCN[C@H](c1ccc(F)cn1)C(C)C ZINC000497842465 333299956 /nfs/dbraw/zinc/29/99/56/333299956.db2.gz VRMKGUZLHRPMKS-IBGZPJMESA-N 0 3 316.420 4.067 20 0 DIADHN C[C@H](CN(C)Cc1ncccc1C(F)(F)F)c1ccccc1 ZINC000514089689 333301630 /nfs/dbraw/zinc/30/16/30/333301630.db2.gz QXIXHQULOCAYNB-CYBMUJFWSA-N 0 3 308.347 4.336 20 0 DIADHN CC(C)[C@@H](NCC1(c2ccc(F)cc2)CC1)c1ccc(F)cn1 ZINC000497854896 333302156 /nfs/dbraw/zinc/30/21/56/333302156.db2.gz BGZHLDISGKTQQG-GOSISDBHSA-N 0 3 316.395 4.378 20 0 DIADHN CC(C)[C@H](NC[C@H](C)Oc1ccc(F)cc1)c1ccc(F)cn1 ZINC000497868224 333303605 /nfs/dbraw/zinc/30/36/05/333303605.db2.gz NTMRQYFQWHHVTI-UGSOOPFHSA-N 0 3 320.383 4.114 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)Oc1ccc(F)cc1)c1ccc(F)cn1 ZINC000497868220 333303701 /nfs/dbraw/zinc/30/37/01/333303701.db2.gz NTMRQYFQWHHVTI-ACJLOTCBSA-N 0 3 320.383 4.114 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CCc2ccc(C)cc2)c1 ZINC000497872341 333303789 /nfs/dbraw/zinc/30/37/89/333303789.db2.gz VIMXLVVIQCDALR-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2ccc(C)c(C)c2)c1 ZINC000497875515 333304162 /nfs/dbraw/zinc/30/41/62/333304162.db2.gz STDWXXCMHONGOX-UHFFFAOYSA-N 0 3 310.441 4.398 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cn3cc(Cl)ccc3n2)C1 ZINC000525781050 333305367 /nfs/dbraw/zinc/30/53/67/333305367.db2.gz XQRYOSSJHRCBLB-LSDHHAIUSA-N 0 3 323.893 4.094 20 0 DIADHN CC[C@@H](N[C@H](C)COCc1ccccc1)c1ccccc1OC ZINC000390601136 333305967 /nfs/dbraw/zinc/30/59/67/333305967.db2.gz XCCGVXFWZXXIDE-VQIMIIECSA-N 0 3 313.441 4.341 20 0 DIADHN Cc1cc(CN2CCS[C@@H](C(C)C)C2)c2ccccc2n1 ZINC000525781429 333306456 /nfs/dbraw/zinc/30/64/56/333306456.db2.gz FPAZUFUNZIWACG-GOSISDBHSA-N 0 3 300.471 4.117 20 0 DIADHN CC1(C)CCC[C@H]1CNCc1csc(-c2ccccn2)n1 ZINC000388447964 333308246 /nfs/dbraw/zinc/30/82/46/333308246.db2.gz IEFXBBUYAUURMQ-ZDUSSCGKSA-N 0 3 301.459 4.121 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ncc(-c2ccccc2F)o1 ZINC000525785461 333310875 /nfs/dbraw/zinc/31/08/75/333310875.db2.gz CILJQMQCMVRANQ-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCCCC2(F)F)cc1F ZINC000390651013 333310886 /nfs/dbraw/zinc/31/08/86/333310886.db2.gz NMECPXWEPJDRIY-AAEUAGOBSA-N 0 3 301.352 4.310 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cccc(O)c1 ZINC000194942958 333317918 /nfs/dbraw/zinc/31/79/18/333317918.db2.gz NJCBQNXXHWYMBU-NXOUGTEYSA-N 0 3 317.816 4.226 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCCC2(F)F)c(Br)c1 ZINC000390721997 333319475 /nfs/dbraw/zinc/31/94/75/333319475.db2.gz ZMMYVQVZRLGSRX-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(F)c(Cl)c1 ZINC000020553639 333323099 /nfs/dbraw/zinc/32/30/99/333323099.db2.gz JFAUUHGOKVVELZ-ABAIWWIYSA-N 0 3 310.800 4.026 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(F)c(Cl)c1 ZINC000020553640 333323105 /nfs/dbraw/zinc/32/31/05/333323105.db2.gz JFAUUHGOKVVELZ-NHYWBVRUSA-N 0 3 310.800 4.026 20 0 DIADHN COC1(CN[C@@H](C)c2ccccc2OC(F)F)CCCCC1 ZINC000319617716 333323285 /nfs/dbraw/zinc/32/32/85/333323285.db2.gz LBXGNTIWTRHYFR-ZDUSSCGKSA-N 0 3 313.388 4.288 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2cnc(-c3cccs3)s2)C1(C)C ZINC000531199668 333331171 /nfs/dbraw/zinc/33/11/71/333331171.db2.gz FCZINGFSXHIDQS-CJNGLKHVSA-N 0 3 322.499 4.165 20 0 DIADHN C[C@H](NCC1=Cc2ccccc2OC1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000531213899 333335430 /nfs/dbraw/zinc/33/54/30/333335430.db2.gz QNLRBLNCWPKDBU-VFCRVFHLSA-N 0 3 321.420 4.005 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000537937797 333335711 /nfs/dbraw/zinc/33/57/11/333335711.db2.gz ARKMFNZJXDPCKJ-IAQYHMDHSA-N 0 3 301.833 4.173 20 0 DIADHN CC(C)(C)C[C@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000323467637 333338969 /nfs/dbraw/zinc/33/89/69/333338969.db2.gz KOJMQNLAXQZMGZ-INIZCTEOSA-N 0 3 321.415 4.415 20 0 DIADHN Brc1ccc2c(c1)CC[C@@H]2NCc1ccccc1 ZINC000040226127 333341666 /nfs/dbraw/zinc/34/16/66/333341666.db2.gz ZPFVZBMCCRHXAX-INIZCTEOSA-N 0 3 302.215 4.226 20 0 DIADHN CCOC[C@H](C)NC1(c2cccc(C(F)(F)F)c2)CCC1 ZINC000540753459 333341878 /nfs/dbraw/zinc/34/18/78/333341878.db2.gz PHZFFYBPSVOUGY-LBPRGKRZSA-N 0 3 301.352 4.099 20 0 DIADHN COC1CCC(CNCc2csc(-c3ccccc3)n2)CC1 ZINC000531410461 333350300 /nfs/dbraw/zinc/35/03/00/333350300.db2.gz XIANHIWYPXGVAD-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1cnn(C)c1 ZINC000537941487 333354023 /nfs/dbraw/zinc/35/40/23/333354023.db2.gz SCJQLQJLQQCHDT-RDJZCZTQSA-N 0 3 317.502 4.258 20 0 DIADHN CSCCCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000540911409 333354990 /nfs/dbraw/zinc/35/49/90/333354990.db2.gz AHXRXTIQHNGALA-UHFFFAOYSA-N 0 3 307.409 4.397 20 0 DIADHN Cc1cncc([C@H](C)NC[C@H](C)Oc2ccc(Cl)cc2)c1 ZINC000184373854 333356836 /nfs/dbraw/zinc/35/68/36/333356836.db2.gz FEFFUXXGRKIHPD-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1ccc([C@@H](NCc2cnn(CC(F)F)c2)C(C)C)cc1 ZINC000323493241 333357176 /nfs/dbraw/zinc/35/71/76/333357176.db2.gz SPOWEAASCIFKRQ-SFHVURJKSA-N 0 3 321.415 4.198 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCC1(C(F)(F)F)CC1 ZINC000583456486 333357626 /nfs/dbraw/zinc/35/76/26/333357626.db2.gz GNTYLOGPNGMRGL-IINYFYTJSA-N 0 3 301.327 4.381 20 0 DIADHN CSc1ccc(CNCCCOc2ccc(F)cc2)s1 ZINC000583469787 333360204 /nfs/dbraw/zinc/36/02/04/333360204.db2.gz DTZPSSFIQYWSAD-UHFFFAOYSA-N 0 3 311.447 4.168 20 0 DIADHN CC[C@H](O)CN[C@@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000184512505 333360804 /nfs/dbraw/zinc/36/08/04/333360804.db2.gz IWTXOGYSLHPVID-HZMBPMFUSA-N 0 3 324.877 4.193 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)C3CCCC3)co2)cc1 ZINC000184626234 333362635 /nfs/dbraw/zinc/36/26/35/333362635.db2.gz BTQCTSVMZSHQEP-CYBMUJFWSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@H](NCc1coc(-c2ccc(F)c(F)c2)n1)C1CCCC1 ZINC000184663322 333363403 /nfs/dbraw/zinc/36/34/03/333363403.db2.gz KMGBKENLMWUFHH-NSHDSACASA-N 0 3 306.356 4.288 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1ccc(F)cc1Br ZINC000221755171 333365650 /nfs/dbraw/zinc/36/56/50/333365650.db2.gz HVSQKGRWWWPSRP-NSHDSACASA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(Cl)c2)cc1OC ZINC000020556189 333371041 /nfs/dbraw/zinc/37/10/41/333371041.db2.gz NWKZXPXJGNOUAE-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN Cc1ccc(N[C@@H]2CS[C@@H](C(C)(C)C)C2)c(CN(C)C)c1 ZINC000488326404 333372265 /nfs/dbraw/zinc/37/22/65/333372265.db2.gz DJPGWUXLZJRIST-DOTOQJQBSA-N 0 3 306.519 4.389 20 0 DIADHN c1csc(CN(CC[C@@H]2CCCO2)Cc2cccs2)c1 ZINC000188549831 333373655 /nfs/dbraw/zinc/37/36/55/333373655.db2.gz DHGRFJRJEGGQCB-AWEZNQCLSA-N 0 3 307.484 4.381 20 0 DIADHN CC(C(=O)Nc1ccccc1Cc1ccccc1)C(F)(F)F ZINC000463347943 333374094 /nfs/dbraw/zinc/37/40/94/333374094.db2.gz DRPJQWMLUMQHIS-LBPRGKRZSA-N 0 3 307.315 4.414 20 0 DIADHN CC(=O)CCCCS[C@H]1CCCN(Cc2ccccc2)C1 ZINC000564570101 333375096 /nfs/dbraw/zinc/37/50/96/333375096.db2.gz GNWNIQMMCWBGCZ-SFHVURJKSA-N 0 3 305.487 4.144 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CS[C@H](C(C)(C)C)C2)cc1F ZINC000488427131 333375836 /nfs/dbraw/zinc/37/58/36/333375836.db2.gz XGNVEEDWAYRNQQ-RBOXIYTFSA-N 0 3 311.466 4.405 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000186840962 333379610 /nfs/dbraw/zinc/37/96/10/333379610.db2.gz GFAKHBPXKMFVMB-SUMWQHHRSA-N 0 3 315.388 4.399 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000186840983 333379653 /nfs/dbraw/zinc/37/96/53/333379653.db2.gz GFAKHBPXKMFVMB-DYVFJYSZSA-N 0 3 315.388 4.399 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCO2)c1cc2ccccc2s1 ZINC000186874500 333380184 /nfs/dbraw/zinc/38/01/84/333380184.db2.gz FRUNFWARZPTARS-LBPRGKRZSA-N 0 3 311.406 4.481 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1cc(F)c(Cl)cc1Cl ZINC000186906333 333381243 /nfs/dbraw/zinc/38/12/43/333381243.db2.gz WPVPDRYWIRHQCN-MAUFJKMRSA-N 0 3 318.219 4.351 20 0 DIADHN C[C@@H](NC[C@](C)(O)C1CCCCC1)c1cnc2ccsc2c1 ZINC000188657079 333384219 /nfs/dbraw/zinc/38/42/19/333384219.db2.gz JSYSZPIVRPUDCR-ACJLOTCBSA-N 0 3 318.486 4.278 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@@H]1CCCN1Cc1ccc(Cl)cc1Cl ZINC000228483083 333386841 /nfs/dbraw/zinc/38/68/41/333386841.db2.gz VNMKQWYVVBFJJJ-VNQPRFMTSA-N 0 3 314.256 4.119 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccccc2)C(C)C)cc1F ZINC000390789740 333393405 /nfs/dbraw/zinc/39/34/05/333393405.db2.gz FABYDUJRTDNXRW-UHFFFAOYSA-N 0 3 315.388 4.023 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@@H](F)C1)c1cccc(C(F)(F)F)c1 ZINC000563127119 333395480 /nfs/dbraw/zinc/39/54/80/333395480.db2.gz WDDYAPTYGXXFJC-KFWWJZLASA-N 0 3 319.342 4.263 20 0 DIADHN Cc1nc2ccc(NC3CCN(c4ccncc4)CC3)cc2s1 ZINC000319797063 333407516 /nfs/dbraw/zinc/40/75/16/333407516.db2.gz IVBGXLUORUAQCW-UHFFFAOYSA-N 0 3 324.453 4.081 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1CCCCC1)c1ccc(C(C)(C)C)cc1 ZINC000319871558 333414137 /nfs/dbraw/zinc/41/41/37/333414137.db2.gz VYCRBQOCKZYMFE-CVEARBPZSA-N 0 3 316.489 4.036 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(CO)c(F)c2)C2CCC2)cc1 ZINC000187053113 333416054 /nfs/dbraw/zinc/41/60/54/333416054.db2.gz ZFWQQCAKYMGEHH-HXUWFJFHSA-N 0 3 313.416 4.257 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c(C)c1 ZINC000319983729 333420318 /nfs/dbraw/zinc/42/03/18/333420318.db2.gz FSFFUMUUPFNBRV-HZPDHXFCSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc(Br)cs2)ccn1 ZINC000391209503 333424448 /nfs/dbraw/zinc/42/44/48/333424448.db2.gz ULQPXFPYBWJWFD-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN COc1cc(CNCc2cscc2C)ccc1OC(C)C ZINC000094878267 333460667 /nfs/dbraw/zinc/46/06/67/333460667.db2.gz PMUNYGWLISHMSK-UHFFFAOYSA-N 0 3 305.443 4.142 20 0 DIADHN Cc1cscc1CN[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000094878340 333460759 /nfs/dbraw/zinc/46/07/59/333460759.db2.gz UPQAEUIJZJAHHU-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN Cc1cnc([C@@H](C)NCC2(c3ccccc3)CCCCC2)cn1 ZINC000571021406 333481503 /nfs/dbraw/zinc/48/15/03/333481503.db2.gz VWNKSCFQJWKBKB-QGZVFWFLSA-N 0 3 309.457 4.338 20 0 DIADHN CCc1cccc(CNCc2ccc(C(=O)N(CC)CC)cc2)c1 ZINC000563252104 333512093 /nfs/dbraw/zinc/51/20/93/333512093.db2.gz LMNALDOXHQKGBZ-UHFFFAOYSA-N 0 3 324.468 4.021 20 0 DIADHN CCC[C@](C)(O)CNCc1cc(-c2ccccc2)ccc1OC ZINC000531535339 333533946 /nfs/dbraw/zinc/53/39/46/333533946.db2.gz QQDRZDBDCAHKQW-FQEVSTJZSA-N 0 3 313.441 4.003 20 0 DIADHN Cc1ccc(NC(=O)c2cc(F)cc(Cl)c2)c(CN(C)C)c1 ZINC000531536395 333534225 /nfs/dbraw/zinc/53/42/25/333534225.db2.gz DQJDSCPXQZBCGH-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN COc1cc(F)c([C@@H](C)NCCCc2ccccc2)cc1OC ZINC000531678069 333543909 /nfs/dbraw/zinc/54/39/09/333543909.db2.gz FRPBHZRFNOGTFT-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C(C)C)c2)C12CCCCC2 ZINC000531724471 333544191 /nfs/dbraw/zinc/54/41/91/333544191.db2.gz AXEATNAOLODSBH-CGTJXYLNSA-N 0 3 319.493 4.243 20 0 DIADHN c1sc(CCc2ccccc2)nc1CNC(C1CC1)C1CC1 ZINC000531725907 333544714 /nfs/dbraw/zinc/54/47/14/333544714.db2.gz JJVDXAGXGPTMNS-UHFFFAOYSA-N 0 3 312.482 4.207 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](c1ccsc1)N(C)C ZINC000531688929 333545077 /nfs/dbraw/zinc/54/50/77/333545077.db2.gz LGVUPVZPYIJJSK-RHSMWYFYSA-N 0 3 318.486 4.019 20 0 DIADHN COc1ccc(CNCc2cccn2CCC(C)C)cc1Cl ZINC000531698270 333547086 /nfs/dbraw/zinc/54/70/86/333547086.db2.gz JYHDOFJJRJMKLS-UHFFFAOYSA-N 0 3 320.864 4.486 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(C)cc1OC ZINC000531739097 333551897 /nfs/dbraw/zinc/55/18/97/333551897.db2.gz KCACWQMZACOHLX-KBXCAEBGSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc(Cl)c2F)c1 ZINC000533968304 333552282 /nfs/dbraw/zinc/55/22/82/333552282.db2.gz GVMRXFBQGUINKT-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CCC(O)(C(F)(F)F)CC2)c(C)c1 ZINC000534129155 333560947 /nfs/dbraw/zinc/56/09/47/333560947.db2.gz XZEJKGYVFIKMGG-AWEZNQCLSA-N 0 3 315.379 4.062 20 0 DIADHN C[C@H](CCN[C@@H](C)c1nc2ccccc2n1C)c1ccccc1 ZINC000320815498 335147817 /nfs/dbraw/zinc/14/78/17/335147817.db2.gz BEBQUDCQEKOJBP-CVEARBPZSA-N 0 3 307.441 4.418 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1ccc(Cl)c(F)c1 ZINC000534328883 333577300 /nfs/dbraw/zinc/57/73/00/333577300.db2.gz QJUXILIBQOAYLB-LBPRGKRZSA-N 0 3 306.812 4.396 20 0 DIADHN Cc1ccc(CNCC2(c3cccc(Cl)c3)CCOCC2)o1 ZINC000067223779 335148637 /nfs/dbraw/zinc/14/86/37/335148637.db2.gz DUNKIXPQVAOSSN-UHFFFAOYSA-N 0 3 319.832 4.079 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(F)c2)s1 ZINC000110386548 333581696 /nfs/dbraw/zinc/58/16/96/333581696.db2.gz VOZSKXRRHLNYBN-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN C[C@H](NC[C@@]1(CO)C[C@H]1c1ccccc1)c1ccccc1Cl ZINC000563575060 333628428 /nfs/dbraw/zinc/62/84/28/333628428.db2.gz YVPHBYYQUFPJQK-UCLAIMLFSA-N 0 3 315.844 4.157 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CCCc3c4ccccc4[nH]c32)c1C ZINC000563653195 333645743 /nfs/dbraw/zinc/64/57/43/333645743.db2.gz ZDFCMFKOGGJMDI-LJQANCHMSA-N 0 3 322.456 4.168 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2-n2ccnc2)cc1C ZINC000152234622 333649150 /nfs/dbraw/zinc/64/91/50/333649150.db2.gz QGUMGWZXOXDKFI-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@H](CN[C@@H](C)c1nccc2ccccc21)Oc1ccc(F)cc1 ZINC000571092437 333659210 /nfs/dbraw/zinc/65/92/10/333659210.db2.gz PAPVQOPURFKEBJ-CABCVRRESA-N 0 3 324.399 4.492 20 0 DIADHN Clc1scc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c1Cl ZINC000571191488 333677175 /nfs/dbraw/zinc/67/71/75/333677175.db2.gz XTUKRURUPZDJEN-MBNYWOFBSA-N 0 3 310.249 4.483 20 0 DIADHN C[C@H](NCc1nc2ccc(Cl)cc2c(=O)[nH]1)C1CCCCC1 ZINC000411465505 333677183 /nfs/dbraw/zinc/67/71/83/333677183.db2.gz WZFNVVUMMOYDMF-NSHDSACASA-N 0 3 319.836 4.047 20 0 DIADHN CC(C)(C)[C@H](NCCc1cncs1)c1ccc(F)cc1F ZINC000411475142 333679010 /nfs/dbraw/zinc/67/90/10/333679010.db2.gz NADXTVFLUIFAFS-OAHLLOKOSA-N 0 3 310.413 4.341 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccccc1Cl)c1cccs1 ZINC000411512406 333686270 /nfs/dbraw/zinc/68/62/70/333686270.db2.gz LRGLFJGDZXERGZ-CYBMUJFWSA-N 0 3 322.861 4.471 20 0 DIADHN Cc1cnc(C2CCN([C@@H]3C[C@H]3c3cccc(F)c3)CC2)s1 ZINC000411634160 333699431 /nfs/dbraw/zinc/69/94/31/333699431.db2.gz KPXQVMAYESPKLO-DLBZAZTESA-N 0 3 316.445 4.326 20 0 DIADHN COCC[C@H](NCc1ccc(-c2ncco2)cc1F)C(C)(C)C ZINC000411691074 333701765 /nfs/dbraw/zinc/70/17/65/333701765.db2.gz INCZYSWOGLQQPK-INIZCTEOSA-N 0 3 320.408 4.022 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN[C@@H]1CCC12CCCC2 ZINC000411785992 333706513 /nfs/dbraw/zinc/70/65/13/333706513.db2.gz CQQZIKZOMWRQPB-MRXNPFEDSA-N 0 3 300.377 4.293 20 0 DIADHN CC[C@@H](NCC(=O)Nc1sc(C)c(C)c1C)c1ccccc1 ZINC000411876630 333711333 /nfs/dbraw/zinc/71/13/33/333711333.db2.gz BNGHHQLZNAAMPN-MRXNPFEDSA-N 0 3 316.470 4.353 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)c(Cl)c1)c1ccccc1 ZINC000411885608 333711824 /nfs/dbraw/zinc/71/18/24/333711824.db2.gz JCSGOMQBOUYWON-KRWDZBQOSA-N 0 3 317.816 4.368 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1ncc(-c2ccccc2)s1)C1CC1 ZINC000411977267 333716049 /nfs/dbraw/zinc/71/60/49/333716049.db2.gz XVIAKROCQKYIGV-UGSOOPFHSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)Cc2cccc(C3CC3)c2)c1 ZINC000412211188 333739359 /nfs/dbraw/zinc/73/93/59/333739359.db2.gz AWNOIVUQVWLMQP-UHFFFAOYSA-N 0 3 322.452 4.115 20 0 DIADHN CCc1sc(C(=O)Nc2ccc(CN(C)C)cc2F)cc1C ZINC000412553537 333763477 /nfs/dbraw/zinc/76/34/77/333763477.db2.gz WJGSYXUNDLEIPV-UHFFFAOYSA-N 0 3 320.433 4.072 20 0 DIADHN COc1cc(CN2CCC(c3ncc(C)s3)CC2)ccc1C ZINC000571372077 333779733 /nfs/dbraw/zinc/77/97/33/333779733.db2.gz OUWCOBGOOYEVKE-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCO[C@@H]3c3cccnc3)oc2cc1C ZINC000343380525 335160446 /nfs/dbraw/zinc/16/04/46/335160446.db2.gz BGKOYZCCUKZWCE-UYAOXDASSA-N 0 3 322.408 4.064 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000413366546 333845930 /nfs/dbraw/zinc/84/59/30/333845930.db2.gz VUCUSFCFPIGCLO-IAGOWNOFSA-N 0 3 314.473 4.076 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccc1Cl)c1cc2n(n1)CCC2 ZINC000414062329 333889261 /nfs/dbraw/zinc/88/92/61/333889261.db2.gz BLUAYLFPBSSPFY-ZDUSSCGKSA-N 0 3 317.864 4.111 20 0 DIADHN CCOCCCCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414073232 333891646 /nfs/dbraw/zinc/89/16/46/333891646.db2.gz NBXIGNWMJUQZJJ-CQSZACIVSA-N 0 3 304.459 4.277 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccn(C)n1 ZINC000414078740 333892464 /nfs/dbraw/zinc/89/24/64/333892464.db2.gz RDUQLSLCPFRRED-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCSCC(C)C)CCS2 ZINC000343454873 335165800 /nfs/dbraw/zinc/16/58/00/335165800.db2.gz BTFPKUHPJQEKAB-HNNXBMFYSA-N 0 3 311.516 4.211 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)c1ccccc1OC)[C@@H]1C[C@H]1C ZINC000414092080 333894733 /nfs/dbraw/zinc/89/47/33/333894733.db2.gz DUSXWHUYVQAGOT-PYQAKABTSA-N 0 3 310.441 4.204 20 0 DIADHN C[C@H](NC[C@@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000414095875 333895546 /nfs/dbraw/zinc/89/55/46/333895546.db2.gz OFVLJZXQVOBBNJ-QLFBSQMISA-N 0 3 316.470 4.276 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCOc3c(C)cccc32)c(C)c1 ZINC000414125016 333898574 /nfs/dbraw/zinc/89/85/74/333898574.db2.gz COOCLAWQTDMEKO-HNAYVOBHSA-N 0 3 311.425 4.486 20 0 DIADHN COCc1nc(CN[C@H](C)[C@H]2C[C@H]2C)c(-c2ccccc2)s1 ZINC000414136796 333901131 /nfs/dbraw/zinc/90/11/31/333901131.db2.gz HWJTVDLPZMRJNN-NFAWXSAZSA-N 0 3 316.470 4.091 20 0 DIADHN C[C@H](NCCC[C@H]1CCOC1)c1csc(-c2ccccc2)n1 ZINC000414143839 333902251 /nfs/dbraw/zinc/90/22/51/333902251.db2.gz JAVCZGARTVRTDZ-GJZGRUSLSA-N 0 3 316.470 4.277 20 0 DIADHN CC[C@@H](N[C@@H](C)c1csc(-c2ccccc2)n1)C(C)(C)O ZINC000414147620 333902871 /nfs/dbraw/zinc/90/28/71/333902871.db2.gz RPQFIZPMIJCMPO-SWLSCSKDSA-N 0 3 304.459 4.010 20 0 DIADHN Cc1cc([C@@H](NCc2ccc3cncn3c2)C2CC2)ccc1F ZINC000414185395 333910377 /nfs/dbraw/zinc/91/03/77/333910377.db2.gz OOHZOEUHPDKTGB-IBGZPJMESA-N 0 3 309.388 4.023 20 0 DIADHN Fc1ccccc1[C@H](NCc1ccc2cncn2c1)C1CCCC1 ZINC000414191463 333911679 /nfs/dbraw/zinc/91/16/79/333911679.db2.gz SIYATAUUOLNWOZ-HXUWFJFHSA-N 0 3 323.415 4.495 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@H](c3cccc(Cl)c3)C2)o1 ZINC000414194697 333912370 /nfs/dbraw/zinc/91/23/70/333912370.db2.gz WRFYJRAGDAIVHT-HOCLYGCPSA-N 0 3 304.821 4.452 20 0 DIADHN FC(F)C1CCC(N[C@H](c2ncc[nH]2)C2CCCCC2)CC1 ZINC000414196759 333912660 /nfs/dbraw/zinc/91/26/60/333912660.db2.gz VGXXYYXCXSXLOU-NRXISQOPSA-N 0 3 311.420 4.445 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)CCCc2cccnc2)c(F)c1 ZINC000414157145 333914160 /nfs/dbraw/zinc/91/41/60/333914160.db2.gz RIPUDNKBOHVHOQ-CABCVRRESA-N 0 3 316.420 4.291 20 0 DIADHN C[C@H](NCCCOC(F)F)c1csc(-c2ccccc2)n1 ZINC000414157249 333914229 /nfs/dbraw/zinc/91/42/29/333914229.db2.gz WDRJUMVYTNMMCP-NSHDSACASA-N 0 3 312.385 4.090 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC1(Cc2cccc(Cl)c2)CC1 ZINC000414161916 333915139 /nfs/dbraw/zinc/91/51/39/333915139.db2.gz GJLASFXSEKYDQU-CQSZACIVSA-N 0 3 315.848 4.112 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1ccc2cncn2c1 ZINC000414161828 333915184 /nfs/dbraw/zinc/91/51/84/333915184.db2.gz COVUGOHWWJIWIN-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN COC1CCC(N[C@@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000414166157 333916275 /nfs/dbraw/zinc/91/62/75/333916275.db2.gz DNIKFMZKZBQOHD-JEYLPNPQSA-N 0 3 316.470 4.418 20 0 DIADHN COC1CCC(N[C@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000414166155 333916318 /nfs/dbraw/zinc/91/63/18/333916318.db2.gz DNIKFMZKZBQOHD-IUDNXUCKSA-N 0 3 316.470 4.418 20 0 DIADHN CO[C@@](C)([C@@H](C)N[C@@H]1C[C@H](C)Sc2sccc21)C1CC1 ZINC000414228658 333925835 /nfs/dbraw/zinc/92/58/35/333925835.db2.gz DXMIJHDGVPAPOC-CIGZNWKYSA-N 0 3 311.516 4.467 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414283553 333933419 /nfs/dbraw/zinc/93/34/19/333933419.db2.gz JKYGRJWBUATULA-KBRIMQKVSA-N 0 3 321.440 4.148 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)CC(F)(F)F ZINC000414284603 333933614 /nfs/dbraw/zinc/93/36/14/333933614.db2.gz QTLKJSDXTCUKPH-RQJHMYQMSA-N 0 3 320.302 4.401 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@@H]1CCCC[C@H]1F ZINC000414293342 333935010 /nfs/dbraw/zinc/93/50/10/333935010.db2.gz VTSAEFDTECZKLL-NILFDRSVSA-N 0 3 319.449 4.445 20 0 DIADHN COC1([C@@H](C)N[C@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000414305151 333943810 /nfs/dbraw/zinc/94/38/10/333943810.db2.gz NHHMQDWVMIWGDL-GHMZBOCLSA-N 0 3 317.351 4.035 20 0 DIADHN Cn1ccnc1NCc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC000414305116 333943850 /nfs/dbraw/zinc/94/38/50/333943850.db2.gz PMTVYCWNXLJKCG-UHFFFAOYSA-N 0 3 323.240 4.070 20 0 DIADHN Cc1occc1CN[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 ZINC000414368751 333946486 /nfs/dbraw/zinc/94/64/86/333946486.db2.gz SMQUKAAAMGHYSO-CVEARBPZSA-N 0 3 309.768 4.000 20 0 DIADHN C[C@H]1OCC[C@]1(C)NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000436409834 333960461 /nfs/dbraw/zinc/96/04/61/333960461.db2.gz JMIHBOCATHDHQB-RNCFNFMXSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H](c3ncccc3C)C2)sc1C ZINC000343473055 335167701 /nfs/dbraw/zinc/16/77/01/335167701.db2.gz RBYDXSDEHKXGCI-CVEARBPZSA-N 0 3 315.486 4.279 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@H](c3ncccc3C)C2)sc1C ZINC000343473069 335167855 /nfs/dbraw/zinc/16/78/55/335167855.db2.gz RBYDXSDEHKXGCI-HOTGVXAUSA-N 0 3 315.486 4.279 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCC[C@H]([C@@H]4CCOC4)C3)CCC2)n1 ZINC000343475644 335168076 /nfs/dbraw/zinc/16/80/76/335168076.db2.gz ULYRJUWTVUAXHP-ARFHVFGLSA-N 0 3 320.502 4.016 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccccc2-n2ccnc2)c2ccccc21 ZINC000343474518 335168120 /nfs/dbraw/zinc/16/81/20/335168120.db2.gz JZQGVXDALPUYQY-BEFAXECRSA-N 0 3 303.409 4.210 20 0 DIADHN Cc1occc1CN1CCC(n2c(C)nc3ccccc32)CC1 ZINC000414446719 333980492 /nfs/dbraw/zinc/98/04/92/333980492.db2.gz PASZJGPOZDPAQF-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN CN(C)Cc1ccc(NCc2cccc(OC(F)F)c2)c(F)c1 ZINC000414489102 333995165 /nfs/dbraw/zinc/99/51/65/333995165.db2.gz PKPLLGBSWITEFJ-UHFFFAOYSA-N 0 3 324.346 4.101 20 0 DIADHN CC[C@@H](CNCc1ncc(CSC)s1)CC(F)(F)F ZINC000414505737 334000837 /nfs/dbraw/zinc/00/08/37/334000837.db2.gz AJSXLWOHSMNFLJ-SECBINFHSA-N 0 3 312.426 4.074 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3C[C@@H](C)O[C@H]3C)cs2)cc1 ZINC000414509443 334002388 /nfs/dbraw/zinc/00/23/88/334002388.db2.gz CKGOPTMFEJKDLX-YCPHGPKFSA-N 0 3 316.470 4.022 20 0 DIADHN CC[C@@H](CNCc1coc(-c2ccccc2)n1)CC(F)(F)F ZINC000414515212 334004636 /nfs/dbraw/zinc/00/46/36/334004636.db2.gz LKAAPJNHGWCSJL-GFCCVEGCSA-N 0 3 312.335 4.410 20 0 DIADHN CC[C@@H](NCc1csc(-c2ccc(C)cc2)n1)[C@H](O)C(C)C ZINC000414519975 334005633 /nfs/dbraw/zinc/00/56/33/334005633.db2.gz CSSLENBJEQTNBE-IAGOWNOFSA-N 0 3 318.486 4.004 20 0 DIADHN CC[C@H](NCc1csc(-c2ccc(C)cc2)n1)[C@H](O)C(C)C ZINC000414519969 334005652 /nfs/dbraw/zinc/00/56/52/334005652.db2.gz CSSLENBJEQTNBE-DLBZAZTESA-N 0 3 318.486 4.004 20 0 DIADHN CC[C@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@H](O)C(C)C ZINC000414536747 334012677 /nfs/dbraw/zinc/01/26/77/334012677.db2.gz XWFKQWZJPVRGOJ-DLBZAZTESA-N 0 3 318.486 4.004 20 0 DIADHN CC(=O)Nc1ccccc1CN1CC(c2ccccc2)(C(C)C)C1 ZINC000414539513 334012960 /nfs/dbraw/zinc/01/29/60/334012960.db2.gz SJOREUZHIDTWQO-UHFFFAOYSA-N 0 3 322.452 4.055 20 0 DIADHN CC(C)OCC(C)(C)NCc1cnc(-c2cccs2)s1 ZINC000414547308 334014813 /nfs/dbraw/zinc/01/48/13/334014813.db2.gz IGWMNNQGEGKQNY-UHFFFAOYSA-N 0 3 310.488 4.165 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@@H](C)c1ccc(F)c(F)c1 ZINC000270603659 334014947 /nfs/dbraw/zinc/01/49/47/334014947.db2.gz GJBPNURITJLWFP-JRPNMDOOSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@H](C)c1ccc(F)c(F)c1 ZINC000270603656 334015040 /nfs/dbraw/zinc/01/50/40/334015040.db2.gz GJBPNURITJLWFP-HFAKWTLXSA-N 0 3 311.397 4.189 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc2c(c1)COC2 ZINC000271415246 334022833 /nfs/dbraw/zinc/02/28/33/334022833.db2.gz ARSMLOUSGSQZRE-JLTOFOAXSA-N 0 3 323.436 4.454 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CCC[C@@H](CO)C2)o1 ZINC000414567185 334023250 /nfs/dbraw/zinc/02/32/50/334023250.db2.gz GKUCXJHCLHHDEL-ZBFHGGJFSA-N 0 3 317.404 4.035 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000271875982 334027972 /nfs/dbraw/zinc/02/79/72/334027972.db2.gz OAWUZEKPGNXJRY-MMPTUQATSA-N 0 3 322.449 4.326 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000271878033 334028032 /nfs/dbraw/zinc/02/80/32/334028032.db2.gz OQKGAISIHZGDQF-IYOUNJFTSA-N 0 3 319.424 4.030 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1sc(C)nc1C ZINC000271875980 334028085 /nfs/dbraw/zinc/02/80/85/334028085.db2.gz OAWUZEKPGNXJRY-GDLVEWKHSA-N 0 3 322.449 4.326 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000271878031 334028088 /nfs/dbraw/zinc/02/80/88/334028088.db2.gz OQKGAISIHZGDQF-GLJUWKHASA-N 0 3 319.424 4.030 20 0 DIADHN c1coc([C@@H]2C[C@H]2NCc2cnc(-c3ccsc3)s2)c1 ZINC000414594186 334031945 /nfs/dbraw/zinc/03/19/45/334031945.db2.gz RPZYLZRYRBLXOQ-CHWSQXEVSA-N 0 3 302.424 4.110 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC(c2ccc(O)cc2)CC1 ZINC000272350329 334033933 /nfs/dbraw/zinc/03/39/33/334033933.db2.gz VAOATMWXOZNBEA-AWEZNQCLSA-N 0 3 322.408 4.474 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2csc(-c3cccs3)n2)CCO1 ZINC000273054214 334042723 /nfs/dbraw/zinc/04/27/23/334042723.db2.gz LABRUJFYXVFBOC-CHWSQXEVSA-N 0 3 322.499 4.166 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](NCc3cc(F)ccc3F)CCO2)cc1 ZINC000273463555 334047127 /nfs/dbraw/zinc/04/71/27/334047127.db2.gz IBRRRCIRERIVER-AEFFLSMTSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ncc(CN(C)[C@H]2CC[C@@](C)(c3ccccc3)C2)s1 ZINC000437587518 334054734 /nfs/dbraw/zinc/05/47/34/334054734.db2.gz GWZXAHACYHJLBF-FUHWJXTLSA-N 0 3 300.471 4.394 20 0 DIADHN CCCN(Cc1nc(C2CC2)cs1)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000343529204 335175339 /nfs/dbraw/zinc/17/53/39/335175339.db2.gz MKJOVAUQJVERRZ-IAOVAPTHSA-N 0 3 320.502 4.046 20 0 DIADHN Cc1ccncc1CNC(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000276416957 334077691 /nfs/dbraw/zinc/07/76/91/334077691.db2.gz NCKOOZUJUMASLU-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN OC[C@H](CN[C@@H]1CCSc2ccc(F)cc21)CC1CCCC1 ZINC000343506978 335172554 /nfs/dbraw/zinc/17/25/54/335172554.db2.gz GSLBLKMYVVIVCN-WMLDXEAASA-N 0 3 323.477 4.141 20 0 DIADHN C[C@@H](O)CCCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000279103800 334105707 /nfs/dbraw/zinc/10/57/07/334105707.db2.gz OPEUNFJKOALSBL-KDOFPFPSSA-N 0 3 303.833 4.180 20 0 DIADHN COc1ccccc1/C=C/CN[C@H](CC(F)(F)F)C(C)C ZINC000438490379 334120219 /nfs/dbraw/zinc/12/02/19/334120219.db2.gz ZWXJOHQYZSMIIS-IZPAUZPXSA-N 0 3 301.352 4.275 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)ccn1 ZINC000281026307 334122242 /nfs/dbraw/zinc/12/22/42/334122242.db2.gz OTZYTWUBUMLROX-GOSISDBHSA-N 0 3 321.424 4.047 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cnc3ccc(C)cn23)cc1 ZINC000282298046 334133246 /nfs/dbraw/zinc/13/32/46/334133246.db2.gz ROUFHWUTTLGCCN-CQSZACIVSA-N 0 3 311.454 4.215 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](N[C@@H]1C[C@@H]3OCCC[C@@H]13)CC2 ZINC000439071550 334152563 /nfs/dbraw/zinc/15/25/63/334152563.db2.gz ZFLXSBYWRPMDOV-MHEUCROKSA-N 0 3 312.240 4.138 20 0 DIADHN Cc1nn(C(C)C)cc1CN(C1CC1)[C@H]1CCc2ccccc21 ZINC000343582836 335179310 /nfs/dbraw/zinc/17/93/10/335179310.db2.gz ZSGYTYPLGZGIIW-FQEVSTJZSA-N 0 3 309.457 4.424 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cnc(C2CCC2)s1 ZINC000414908985 334171350 /nfs/dbraw/zinc/17/13/50/334171350.db2.gz BRFPPTJXSFMKNI-QGZVFWFLSA-N 0 3 313.470 4.160 20 0 DIADHN C[C@@H](NCC[C@@](C)(O)c1ccccc1)c1nccc2ccccc21 ZINC000290680562 334174146 /nfs/dbraw/zinc/17/41/46/334174146.db2.gz LVSYZBLJQZOOBD-IIBYNOLFSA-N 0 3 320.436 4.183 20 0 DIADHN CC(C)COc1ccc(CN[C@@H]2CCCc3cc(N)ccc32)cc1 ZINC000414913221 334175022 /nfs/dbraw/zinc/17/50/22/334175022.db2.gz IUSUMQICRWECPK-OAQYLSRUSA-N 0 3 324.468 4.471 20 0 DIADHN CCCOc1cccc(CN[C@@H]2CCCc3cc(N)ccc32)c1 ZINC000414916155 334177188 /nfs/dbraw/zinc/17/71/88/334177188.db2.gz OCSHVWFCMQAOQY-HXUWFJFHSA-N 0 3 310.441 4.225 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3cc(N)ccc32)cc1Cl ZINC000414921879 334182085 /nfs/dbraw/zinc/18/20/85/334182085.db2.gz FDLWPIBAFSXBNB-SFHVURJKSA-N 0 3 300.833 4.398 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cccc(Cl)c1F ZINC000414923492 334183827 /nfs/dbraw/zinc/18/38/27/334183827.db2.gz HIVDDVRZMXSMHZ-INIZCTEOSA-N 0 3 304.796 4.229 20 0 DIADHN CC1(C)Cc2cccc(CN[C@@H]3CCCc4cc(N)ccc43)c2O1 ZINC000414929118 334192860 /nfs/dbraw/zinc/19/28/60/334192860.db2.gz NQLFROKWTSKZDB-LJQANCHMSA-N 0 3 322.452 4.150 20 0 DIADHN Fc1c(Br)cccc1CNC1CCC(F)(F)CC1 ZINC000394903511 334271983 /nfs/dbraw/zinc/27/19/83/334271983.db2.gz HDENLISUMCTXHZ-UHFFFAOYSA-N 0 3 322.168 4.256 20 0 DIADHN CSCc1cnc(CN[C@H](C)Cc2ccccc2C)s1 ZINC000293531684 334198683 /nfs/dbraw/zinc/19/86/83/334198683.db2.gz JLWLXLKMJXJHEC-CYBMUJFWSA-N 0 3 306.500 4.035 20 0 DIADHN CC[C@H](NCc1ccc(Cn2ccnc2)cc1)c1cccc(F)c1 ZINC000187757257 334272136 /nfs/dbraw/zinc/27/21/36/334272136.db2.gz MWAVTYJOEIEIGC-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1nc2ccc(CN[C@@H]3CCCc4cc(N)ccc43)cc2s1 ZINC000414941608 334202585 /nfs/dbraw/zinc/20/25/85/334202585.db2.gz YQXXQEUFHJFBLR-QGZVFWFLSA-N 0 3 323.465 4.354 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cc(Cl)ccc1OC ZINC000340450596 334205007 /nfs/dbraw/zinc/20/50/07/334205007.db2.gz VDNLCCMCWQAYIW-XHDPSFHLSA-N 0 3 320.820 4.251 20 0 DIADHN Cc1cc(N)cc(CNCc2ccccc2OC2CCCC2)c1 ZINC000414956940 334209803 /nfs/dbraw/zinc/20/98/03/334209803.db2.gz AYTLDSFMMZFMHD-UHFFFAOYSA-N 0 3 310.441 4.188 20 0 DIADHN Cc1cc(N)cc(CNCc2ccc(F)c(C(F)(F)F)c2)c1 ZINC000414958837 334210646 /nfs/dbraw/zinc/21/06/46/334210646.db2.gz DPNFRRMDERKJFB-UHFFFAOYSA-N 0 3 312.310 4.025 20 0 DIADHN COC1(C)CCN(Cc2ccc([C@@H](C)C(F)(F)F)cc2)CC1 ZINC000294955351 334214712 /nfs/dbraw/zinc/21/47/12/334214712.db2.gz JJKVJQDBIBKRFV-CYBMUJFWSA-N 0 3 315.379 4.353 20 0 DIADHN C[C@@H](CSC(C)(C)C)NCc1ccnc(C(F)(F)F)c1 ZINC000295071394 334217030 /nfs/dbraw/zinc/21/70/30/334217030.db2.gz PFPQFDFMDWJKEE-JTQLQIEISA-N 0 3 306.397 4.110 20 0 DIADHN FC(F)(F)c1cc(CN[C@H]2CCCNc3ccccc32)ccn1 ZINC000295074586 334217382 /nfs/dbraw/zinc/21/73/82/334217382.db2.gz PNRQHHPHTMVRMN-HNNXBMFYSA-N 0 3 321.346 4.137 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCCc3ccc(O)cc32)c1 ZINC000340493775 334219519 /nfs/dbraw/zinc/21/95/19/334219519.db2.gz BROLNCAUTSVXPQ-LJQANCHMSA-N 0 3 313.466 4.422 20 0 DIADHN CCC[C@H](NCc1c(CC)nn(C)c1CC)c1ccsc1 ZINC000187806233 334273948 /nfs/dbraw/zinc/27/39/48/334273948.db2.gz MZZDSAWNQABCDY-INIZCTEOSA-N 0 3 305.491 4.237 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000295924775 334228512 /nfs/dbraw/zinc/22/85/12/334228512.db2.gz AHOUQGCEMGYLQC-UYAOXDASSA-N 0 3 322.452 4.171 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000295924760 334228561 /nfs/dbraw/zinc/22/85/61/334228561.db2.gz AHOUQGCEMGYLQC-QUCCMNQESA-N 0 3 322.452 4.171 20 0 DIADHN C[C@H](CCCCO)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000296350914 334232633 /nfs/dbraw/zinc/23/26/33/334232633.db2.gz IHFSXHFAWZOUIP-CHWSQXEVSA-N 0 3 322.449 4.151 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCc1scnc1C ZINC000453308841 334251819 /nfs/dbraw/zinc/25/18/19/334251819.db2.gz OBXITKXGYZJZSB-JTQLQIEISA-N 0 3 310.850 4.007 20 0 DIADHN FC(F)(F)c1ccc(CNCc2cccc3c2CCCN3)cc1 ZINC000415020958 334259407 /nfs/dbraw/zinc/25/94/07/334259407.db2.gz AKKAORAOHDGQLQ-UHFFFAOYSA-N 0 3 320.358 4.353 20 0 DIADHN c1nc(C2CCC2)sc1CNCc1cccc2c1CCCN2 ZINC000415022121 334259575 /nfs/dbraw/zinc/25/95/75/334259575.db2.gz BRRKFPBIYPAWJS-UHFFFAOYSA-N 0 3 313.470 4.059 20 0 DIADHN c1cc(CNCc2ccc(OCC3CC3)cc2)c2c(c1)NCCC2 ZINC000415040866 334260732 /nfs/dbraw/zinc/26/07/32/334260732.db2.gz SPBSZTKAWVLJCU-UHFFFAOYSA-N 0 3 322.452 4.123 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000415040359 334260796 /nfs/dbraw/zinc/26/07/96/334260796.db2.gz RTKOOGMXHXSSAQ-QGZVFWFLSA-N 0 3 314.404 4.108 20 0 DIADHN Cc1ccc(CNCc2cccc3c2CCCN3)cc1Cl ZINC000415044155 334261213 /nfs/dbraw/zinc/26/12/13/334261213.db2.gz WSLJUGQPXQVWAT-UHFFFAOYSA-N 0 3 300.833 4.296 20 0 DIADHN Cc1noc(C)c1CCN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000534471472 334268442 /nfs/dbraw/zinc/26/84/42/334268442.db2.gz MMNHJNOVWJOCAV-GOSISDBHSA-N 0 3 302.393 4.200 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@H]1C)c1ncc(Br)s1 ZINC000534495547 334277524 /nfs/dbraw/zinc/27/75/24/334277524.db2.gz AWSSCUUEHKDKHI-OUAUKWLOSA-N 0 3 317.296 4.383 20 0 DIADHN CCC[C@H](NC[C@@H](C)CCO)c1ccc(Cl)cc1Cl ZINC000534495591 334277588 /nfs/dbraw/zinc/27/75/88/334277588.db2.gz FTVBIQBOSJPSJM-NHYWBVRUSA-N 0 3 304.261 4.443 20 0 DIADHN CCSc1ccc([C@@H](C)NCCC(=O)OC(C)(C)C)cc1 ZINC000534496271 334277935 /nfs/dbraw/zinc/27/79/35/334277935.db2.gz CKTYTEINVXXJBV-CYBMUJFWSA-N 0 3 309.475 4.181 20 0 DIADHN COC[C@@H](NCCC1CC(F)(F)C1)c1cccc(Cl)c1 ZINC000453426045 334279769 /nfs/dbraw/zinc/27/97/69/334279769.db2.gz OJGREGVQASADHE-CQSZACIVSA-N 0 3 303.780 4.053 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC(C)C)CC(C)C)c(C)c1 ZINC000302812551 334290778 /nfs/dbraw/zinc/29/07/78/334290778.db2.gz PINNWGRSHAXQGM-UHFFFAOYSA-N 0 3 304.478 4.164 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N(C)CCCc2ccccc2)c1 ZINC000534600925 334296294 /nfs/dbraw/zinc/29/62/94/334296294.db2.gz HOMALWFOWNPHLV-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN CCOc1cc(CN(C)[C@H]2C=CCCC2)ccc1OC(F)F ZINC000110930869 334297837 /nfs/dbraw/zinc/29/78/37/334297837.db2.gz WMJMNJNCDBRUJE-AWEZNQCLSA-N 0 3 311.372 4.227 20 0 DIADHN CC(C)[C@H](N[C@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000531944619 334300190 /nfs/dbraw/zinc/30/01/90/334300190.db2.gz LGKHBNQJYJKVIR-RXVVDRJESA-N 0 3 323.436 4.432 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000531944272 334300215 /nfs/dbraw/zinc/30/02/15/334300215.db2.gz USKDDKMLQLDYPK-SZNDQCEHSA-N 0 3 320.436 4.373 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000531944270 334300281 /nfs/dbraw/zinc/30/02/81/334300281.db2.gz USKDDKMLQLDYPK-QKKBWIMNSA-N 0 3 320.436 4.373 20 0 DIADHN Cc1ccc(CN[C@@H](C)Cc2c(Cl)cccc2Cl)nc1 ZINC000534612358 334301491 /nfs/dbraw/zinc/30/14/91/334301491.db2.gz LKFMHRJNLKVEDH-LBPRGKRZSA-N 0 3 309.240 4.418 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000531943345 334302319 /nfs/dbraw/zinc/30/23/19/334302319.db2.gz YJCXUALPIREYFV-IBGZPJMESA-N 0 3 311.425 4.335 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1Cl)N1CCCC1)c1ccoc1 ZINC000531948137 334302748 /nfs/dbraw/zinc/30/27/48/334302748.db2.gz FSDDJKPDOIOGQG-RDTXWAMCSA-N 0 3 318.848 4.421 20 0 DIADHN CCCC[C@H](COC)NCc1nc2cc(Cl)ccc2s1 ZINC000531950812 334304322 /nfs/dbraw/zinc/30/43/22/334304322.db2.gz JRJABYSRDRHULV-GFCCVEGCSA-N 0 3 312.866 4.245 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](C)N2CCc3sccc3C2)o1 ZINC000531954303 334305758 /nfs/dbraw/zinc/30/57/58/334305758.db2.gz XXLWGTGUOMBYKR-UONOGXRCSA-N 0 3 318.486 4.001 20 0 DIADHN c1nc(-c2ccccc2)sc1CN[C@H](c1cccnc1)C1CC1 ZINC000534645248 334306656 /nfs/dbraw/zinc/30/66/56/334306656.db2.gz VHGINWNUFPCLKU-SFHVURJKSA-N 0 3 321.449 4.446 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCOc3c(F)cccc32)cc1 ZINC000443012740 338442049 /nfs/dbraw/zinc/44/20/49/338442049.db2.gz IGNPXEPROUZHKX-KYVUIXNKSA-N 0 3 301.336 4.091 20 0 DIADHN CC[C@H](NCc1ccc(COC)o1)C(C)(C)c1ccccc1 ZINC000531957080 334313273 /nfs/dbraw/zinc/31/32/73/334313273.db2.gz ZERAFDFKXRGURA-SFHVURJKSA-N 0 3 301.430 4.272 20 0 DIADHN C[C@@H](CO)CCCNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000534647395 334316127 /nfs/dbraw/zinc/31/61/27/334316127.db2.gz BULVYHLSQONFIH-CYBMUJFWSA-N 0 3 307.821 4.098 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1c(C)cccc1C)C1CC1 ZINC000111227089 334316860 /nfs/dbraw/zinc/31/68/60/334316860.db2.gz DMPWKGLPBXDQHK-CZUORRHYSA-N 0 3 302.462 4.141 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@@H]2CCCC[C@H]2C(C)(C)C)c1 ZINC000303871467 334338092 /nfs/dbraw/zinc/33/80/92/334338092.db2.gz NPJAJJWMGLIHII-QZTJIDSGSA-N 0 3 316.489 4.083 20 0 DIADHN COCCN(CCSC)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000534848342 334339727 /nfs/dbraw/zinc/33/97/27/334339727.db2.gz JEAZJZSSYYHQMI-LBPRGKRZSA-N 0 3 321.408 4.078 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCCOCCc1ccccc1 ZINC000303905558 334342087 /nfs/dbraw/zinc/34/20/87/334342087.db2.gz LZSGEMYLOIIZQR-QGZVFWFLSA-N 0 3 313.441 4.034 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(Cl)cc1)c1cccs1 ZINC000049530784 334345538 /nfs/dbraw/zinc/34/55/38/334345538.db2.gz OYBFRTJIUHVGLN-CYBMUJFWSA-N 0 3 308.834 4.081 20 0 DIADHN CCC1(NCc2cc(Cl)c(OC)cc2Cl)CCOCC1 ZINC000534899139 334347460 /nfs/dbraw/zinc/34/74/60/334347460.db2.gz UZCUGALVWJYCGM-UHFFFAOYSA-N 0 3 318.244 4.051 20 0 DIADHN COc1ccc(CNC2CCC(F)(F)CC2)c(Cl)c1OC ZINC000534903196 334347961 /nfs/dbraw/zinc/34/79/61/334347961.db2.gz VRXTUSJGMVHBOT-UHFFFAOYSA-N 0 3 319.779 4.025 20 0 DIADHN Cc1c(Cl)cc(F)c(NC(=O)C(C)C(F)(F)F)c1F ZINC000534925992 334349092 /nfs/dbraw/zinc/34/90/92/334349092.db2.gz PNBBEVRBWSJRCL-YFKPBYRVSA-N 0 3 301.642 4.064 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC[C@@H]1c1ccncc1 ZINC000534939922 334349827 /nfs/dbraw/zinc/34/98/27/334349827.db2.gz AJAJQXLJNWMBMN-LJQANCHMSA-N 0 3 310.441 4.304 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000534973569 334351219 /nfs/dbraw/zinc/35/12/19/334351219.db2.gz VPNLZZFZPOYWLZ-DIFFPNOSSA-N 0 3 303.837 4.110 20 0 DIADHN CC[C@H](N[C@@H]1CCCN(C2CCCCC2)C1=O)c1cccs1 ZINC000443093183 338444136 /nfs/dbraw/zinc/44/41/36/338444136.db2.gz LTLWAJHTQGPBFQ-JKSUJKDBSA-N 0 3 320.502 4.113 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCc2ccccc2Cl)n1 ZINC000531981835 334355209 /nfs/dbraw/zinc/35/52/09/334355209.db2.gz XEEDZBVVGGCUSF-GOSISDBHSA-N 0 3 300.833 4.423 20 0 DIADHN CCC[C@H](NCc1ccc(NCCOC)cc1)c1ccsc1 ZINC000443100189 338444598 /nfs/dbraw/zinc/44/45/98/338444598.db2.gz IARZGQYLWUSSGQ-SFHVURJKSA-N 0 3 318.486 4.437 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cc(C)sc2C)c1 ZINC000049685362 334357506 /nfs/dbraw/zinc/35/75/06/334357506.db2.gz QVNDTMFAWOAVRM-GFCCVEGCSA-N 0 3 302.443 4.174 20 0 DIADHN Cc1nc(CCNCc2c(Cl)oc3ccccc32)c(C)o1 ZINC000535036258 334359180 /nfs/dbraw/zinc/35/91/80/334359180.db2.gz ZTPGGJBNROQPKT-UHFFFAOYSA-N 0 3 304.777 4.023 20 0 DIADHN CCCN(Cc1nnc(-c2ccco2)o1)CC1CCCCC1 ZINC000049972501 334360220 /nfs/dbraw/zinc/36/02/20/334360220.db2.gz XVYUQPVLEXGIBU-UHFFFAOYSA-N 0 3 303.406 4.122 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1ccc(Cl)c(F)c1 ZINC000535065496 334362669 /nfs/dbraw/zinc/36/26/69/334362669.db2.gz UHHOEHHDQPDHGN-YVEFUNNKSA-N 0 3 307.796 4.253 20 0 DIADHN Cc1cccc2ncc(CN(C)[C@@H]3CCCc4ccccc43)n21 ZINC000535068431 334363399 /nfs/dbraw/zinc/36/33/99/334363399.db2.gz DGNZVSPNGBOXBJ-LJQANCHMSA-N 0 3 305.425 4.152 20 0 DIADHN CN(Cc1ccc(N2CCCC2)nc1)[C@@H]1CCCc2ccccc21 ZINC000535068738 334363638 /nfs/dbraw/zinc/36/36/38/334363638.db2.gz WSWPWNPHVLSAQX-HXUWFJFHSA-N 0 3 321.468 4.191 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCOc2cccc(Cl)c2)n1 ZINC000531988740 334364006 /nfs/dbraw/zinc/36/40/06/334364006.db2.gz ADCVBUHZWMOPNQ-SFHVURJKSA-N 0 3 316.832 4.259 20 0 DIADHN CCc1oc2ccccc2c1CN1CCC(OC(C)C)CC1 ZINC000535078947 334364797 /nfs/dbraw/zinc/36/47/97/334364797.db2.gz INEJILSFHAQLLJ-UHFFFAOYSA-N 0 3 301.430 4.385 20 0 DIADHN CC(C)N(CCC(=O)c1ccc(F)cc1)Cc1cccs1 ZINC000050150575 334367250 /nfs/dbraw/zinc/36/72/50/334367250.db2.gz PCCCAZWAGYRDNX-UHFFFAOYSA-N 0 3 305.418 4.371 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000531995630 334369555 /nfs/dbraw/zinc/36/95/55/334369555.db2.gz PYUMRERBAXQARZ-IUODEOHRSA-N 0 3 323.358 4.012 20 0 DIADHN Clc1ccc(CN2CC[C@H](C3CCOCC3)C2)cc1Cl ZINC000535118928 334370653 /nfs/dbraw/zinc/37/06/53/334370653.db2.gz YIEWRDRDQBVWMW-AWEZNQCLSA-N 0 3 314.256 4.242 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000532013806 334374446 /nfs/dbraw/zinc/37/44/46/334374446.db2.gz XBNLHXVEHXYGFC-HKUYNNGSSA-N 0 3 311.473 4.332 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@@H](C)c1cccc(F)c1)CC2 ZINC000535214571 334379050 /nfs/dbraw/zinc/37/90/50/334379050.db2.gz FPOKZTUEMKCUQJ-AWEZNQCLSA-N 0 3 311.400 4.190 20 0 DIADHN C[C@]1(NCc2ccc(Oc3cc(F)cc(F)c3)cc2)CCOC1 ZINC000535199920 334379630 /nfs/dbraw/zinc/37/96/30/334379630.db2.gz GPHADGWCFIVLNK-SFHVURJKSA-N 0 3 319.351 4.026 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccc2c(c1)COC2 ZINC000535248893 334382291 /nfs/dbraw/zinc/38/22/91/334382291.db2.gz UUEYASFCXXFAFG-UHFFFAOYSA-N 0 3 301.817 4.277 20 0 DIADHN CCCN(CCC)Cc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000112338131 334382833 /nfs/dbraw/zinc/38/28/33/334382833.db2.gz ZTIJLILCFMASRV-UHFFFAOYSA-N 0 3 320.458 4.146 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000341220231 334418898 /nfs/dbraw/zinc/41/88/98/334418898.db2.gz PHMKVIOHENNLIM-YOEHRIQHSA-N 0 3 314.404 4.202 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)sc1C)c1ccccc1 ZINC000341228334 334420240 /nfs/dbraw/zinc/42/02/40/334420240.db2.gz LJFKJTJHXHJYPU-OAHLLOKOSA-N 0 3 303.427 4.084 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)NC(C)(C)CC)c1cccc(Cl)c1 ZINC000341286378 334429201 /nfs/dbraw/zinc/42/92/01/334429201.db2.gz KOIDVMFKXCERAK-SWLSCSKDSA-N 0 3 310.869 4.074 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCc1c(C)oc2ccccc21 ZINC000341367309 334436036 /nfs/dbraw/zinc/43/60/36/334436036.db2.gz HMYLKGYUKCDVLB-UHFFFAOYSA-N 0 3 311.429 4.159 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)C[C@@H]1N[C@H]1CCOc2c(F)cccc21 ZINC000443222578 338447939 /nfs/dbraw/zinc/44/79/39/338447939.db2.gz LCUDRMYSILERAK-BIGGFVEDSA-N 0 3 311.400 4.431 20 0 DIADHN CC(C)(C)C[C@@H](NCCC(=O)NC(C)(C)C)c1ccc(F)cc1 ZINC000341458462 334442527 /nfs/dbraw/zinc/44/25/27/334442527.db2.gz OXWXMDYDEZLOKF-MRXNPFEDSA-N 0 3 322.468 4.197 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000341574318 334463343 /nfs/dbraw/zinc/46/33/43/334463343.db2.gz NRVLAKPDZRPOCD-HNNXBMFYSA-N 0 3 312.335 4.117 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCCc1csc(C)n1 ZINC000341659932 334463613 /nfs/dbraw/zinc/46/36/13/334463613.db2.gz OWSFIPQAGHZZTL-MRXNPFEDSA-N 0 3 304.459 4.134 20 0 DIADHN COc1cc([C@@H](C)NCc2cnccc2C)ccc1OC(C)C ZINC000341652841 334468915 /nfs/dbraw/zinc/46/89/15/334468915.db2.gz CRKGNDQWKRFYKW-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN Cc1ccccc1[C@H](NCc1cncn1C)[C@@H]1CCC[C@@H](C)C1 ZINC000341688139 334470482 /nfs/dbraw/zinc/47/04/82/334470482.db2.gz DJXQCRHPZUAOAE-WRWLIDTKSA-N 0 3 311.473 4.386 20 0 DIADHN CC(C)CCOCCN1CCC(OC2CCC(C)CC2)CC1 ZINC000342179778 334515040 /nfs/dbraw/zinc/51/50/40/334515040.db2.gz OBLWLXDBRQTJCD-UHFFFAOYSA-N 0 3 311.510 4.109 20 0 DIADHN FC1(CCNCc2ccccc2OCc2cccnc2)CCC1 ZINC000342224215 334522530 /nfs/dbraw/zinc/52/25/30/334522530.db2.gz MZGAUJRLQNRFKJ-UHFFFAOYSA-N 0 3 314.404 4.033 20 0 DIADHN CC[C@@H](NCCCCCOC)c1ccccc1Br ZINC000342226056 334522778 /nfs/dbraw/zinc/52/27/78/334522778.db2.gz JIZSHYRINAAADW-OAHLLOKOSA-N 0 3 314.267 4.307 20 0 DIADHN Cc1cc(CN[C@@H](CC2CC2)c2ccc(Cl)cc2)n(C)n1 ZINC000342612581 334559367 /nfs/dbraw/zinc/55/93/67/334559367.db2.gz KTKGVRJPJJYIBN-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCc1cccnc1 ZINC000532046462 334575926 /nfs/dbraw/zinc/57/59/26/334575926.db2.gz KZPBNQSXZQKKFJ-UHFFFAOYSA-N 0 3 318.420 4.090 20 0 DIADHN OC1(CCN[C@H]2CC3(CCCCC3)Oc3ccccc32)CCC1 ZINC000342816210 334580170 /nfs/dbraw/zinc/58/01/70/334580170.db2.gz UJVWUXXKLPSDHU-KRWDZBQOSA-N 0 3 315.457 4.108 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnc3cnccn13)CCC2 ZINC000342820440 334580885 /nfs/dbraw/zinc/58/08/85/334580885.db2.gz IPGAYTCXBATUEV-IBGZPJMESA-N 0 3 320.440 4.020 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000343106695 334601121 /nfs/dbraw/zinc/60/11/21/334601121.db2.gz LDWYKPHTUHEBCM-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(F)c(F)c1)c1ccco1 ZINC000467837805 334607242 /nfs/dbraw/zinc/60/72/42/334607242.db2.gz BYMPXKMUAYIMPM-DYVFJYSZSA-N 0 3 322.399 4.292 20 0 DIADHN CO[C@@H](C)CN[C@H]1CCCc2nc(-c3ccc(C)cc3)sc21 ZINC000188112716 334642136 /nfs/dbraw/zinc/64/21/36/334642136.db2.gz AQYRCEVWPHCXBI-ZFWWWQNUSA-N 0 3 316.470 4.120 20 0 DIADHN CC[C@H](NC[C@@H]1C(C)=CCC[C@@H]1C)c1nnc2n1CCCCC2 ZINC000443638037 338458412 /nfs/dbraw/zinc/45/84/12/338458412.db2.gz CSERELOXLZRUOW-BBWFWOEESA-N 0 3 316.493 4.038 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN[C@@H](c1nnc2ccccn21)C(C)C ZINC000443644595 338458859 /nfs/dbraw/zinc/45/88/59/338458859.db2.gz YQHSIYCHQLSJOT-XYJFISCASA-N 0 3 312.461 4.008 20 0 DIADHN C[C@H](NCc1ccn(C2CCCC2)n1)[C@@H]1C[C@H]1c1cccs1 ZINC000417310699 334705029 /nfs/dbraw/zinc/70/50/29/334705029.db2.gz MOTBBZBWKSOBOK-RRQGHBQHSA-N 0 3 315.486 4.342 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)[C@@H]2C[C@H]2c2cccs2)o1 ZINC000417347399 334706296 /nfs/dbraw/zinc/70/62/96/334706296.db2.gz HGCAMCUVMOISJU-FPMFFAJLSA-N 0 3 304.459 4.279 20 0 DIADHN C[C@H](Cc1coc2ccccc12)N[C@H]1COCc2ccccc21 ZINC000417368134 334759758 /nfs/dbraw/zinc/75/97/58/334759758.db2.gz QSADGTRCVLIIND-KUHUBIRLSA-N 0 3 307.393 4.225 20 0 DIADHN c1ccc([C@H](C[C@@H]2CCOC2)N[C@H]2COCc3ccccc32)cc1 ZINC000417368992 334764441 /nfs/dbraw/zinc/76/44/41/334764441.db2.gz RPCDWGAVKUXENS-NDXORKPFSA-N 0 3 323.436 4.015 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@@H]1COCc2ccccc21 ZINC000417372468 334765067 /nfs/dbraw/zinc/76/50/67/334765067.db2.gz XENZCGXJRICYOL-RDTXWAMCSA-N 0 3 313.466 4.201 20 0 DIADHN CC(C)CSCCCNCc1cnc(C(F)(F)F)s1 ZINC000443990136 338465745 /nfs/dbraw/zinc/46/57/45/338465745.db2.gz QOFIBWXLBIRMGT-UHFFFAOYSA-N 0 3 312.426 4.031 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H]1CCCSC1 ZINC000444006881 338466828 /nfs/dbraw/zinc/46/68/28/338466828.db2.gz CBINHTWBTGZIEF-GXTWGEPZSA-N 0 3 303.393 4.474 20 0 DIADHN COc1cccc(CN[C@@H]2CC[C@H]2SC)c1OC1CCCC1 ZINC000425363180 334838841 /nfs/dbraw/zinc/83/88/41/334838841.db2.gz WCRQLYMOYHOOTC-NVXWUHKLSA-N 0 3 321.486 4.000 20 0 DIADHN Cc1nccnc1[C@@H](C)NC1(Cc2ccc(Cl)cc2)CCC1 ZINC000425956626 334864542 /nfs/dbraw/zinc/86/45/42/334864542.db2.gz XVVXQCHQGXOCBX-CQSZACIVSA-N 0 3 315.848 4.254 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H](C)Cc1ccccc1SC ZINC000188763066 334871681 /nfs/dbraw/zinc/87/16/81/334871681.db2.gz ACPAAUBGNAJVJX-KGLIPLIRSA-N 0 3 316.470 4.094 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2ccccc2N(C)C)c1 ZINC000188717648 334872869 /nfs/dbraw/zinc/87/28/69/334872869.db2.gz FKKNRGSJXPFVBB-OAHLLOKOSA-N 0 3 314.498 4.466 20 0 DIADHN CSCc1cccc(CN[C@H]2CCc3ccc(F)cc32)c1 ZINC000188716669 334872871 /nfs/dbraw/zinc/87/28/71/334872871.db2.gz ZEJVALSQBJIWFG-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(Cc3ccc(F)cc3)c2)cc1 ZINC000189054508 334881458 /nfs/dbraw/zinc/88/14/58/334881458.db2.gz OQQFFVICAKJKQM-INIZCTEOSA-N 0 3 323.415 4.230 20 0 DIADHN CO[C@@H](CNCc1ccc2nc(C)ccc2c1)c1ccccc1 ZINC000189276130 334889587 /nfs/dbraw/zinc/88/95/87/334889587.db2.gz PTLIYAJOFNEYMA-FQEVSTJZSA-N 0 3 306.409 4.021 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H]3CCCC[C@@H]3C(C)C)o2)c(C)o1 ZINC000162529861 334910395 /nfs/dbraw/zinc/91/03/95/334910395.db2.gz ACQPHOXFXHXYIQ-GDBMZVCRSA-N 0 3 317.433 4.251 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000343718910 335186457 /nfs/dbraw/zinc/18/64/57/335186457.db2.gz FQBQBEBZBRDUNF-QWRGUYRKSA-N 0 3 322.396 4.162 20 0 DIADHN COc1cncc(CN(C2CC2)[C@H]2CCCc3ccccc32)c1 ZINC000343800593 335194092 /nfs/dbraw/zinc/19/40/92/335194092.db2.gz RZMYWDFGDRRVJK-FQEVSTJZSA-N 0 3 308.425 4.132 20 0 DIADHN CCc1ccc(CN(C)[C@H](C)c2ccc(-n3cncn3)cc2)cc1 ZINC000343818581 335195397 /nfs/dbraw/zinc/19/53/97/335195397.db2.gz NJSKQHOIBNKLIR-MRXNPFEDSA-N 0 3 320.440 4.023 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2cncc(C)c2)cc1OC ZINC000343840174 335196214 /nfs/dbraw/zinc/19/62/14/335196214.db2.gz NMSRLRSPJQDBPR-CABCVRRESA-N 0 3 314.429 4.209 20 0 DIADHN CCC1(CN[C@H](C)c2nc(C(F)(F)F)cs2)CCOCC1 ZINC000343851121 335197412 /nfs/dbraw/zinc/19/74/12/335197412.db2.gz HMVGLQXBJPZQAO-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@H]2COc3ccccc32)c2ccsc2S1 ZINC000343923386 335202118 /nfs/dbraw/zinc/20/21/18/335202118.db2.gz GVUZVUQQOQKKNV-TYNCELHUSA-N 0 3 317.479 4.439 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)N[C@H]1CCCOC1 ZINC000343976999 335204895 /nfs/dbraw/zinc/20/48/95/335204895.db2.gz HPZLQXRTERLPLQ-HOCLYGCPSA-N 0 3 311.853 4.204 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1sc(C)nc1C ZINC000344000743 335206747 /nfs/dbraw/zinc/20/67/47/335206747.db2.gz YGMUCFRYJCFQGK-MRXNPFEDSA-N 0 3 304.459 4.352 20 0 DIADHN CC[C@@H](NC[C@H]1COc2ccccc21)c1ccc(F)cc1F ZINC000344002474 335207025 /nfs/dbraw/zinc/20/70/25/335207025.db2.gz XRFVWEMQWUPCEL-YVEFUNNKSA-N 0 3 303.352 4.182 20 0 DIADHN CC[C@H](NC[C@H]1COc2ccccc21)c1ccc(F)cc1F ZINC000344002470 335207035 /nfs/dbraw/zinc/20/70/35/335207035.db2.gz XRFVWEMQWUPCEL-SJCJKPOMSA-N 0 3 303.352 4.182 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1CC[C@H](C2CC2)C1 ZINC000343961260 335208152 /nfs/dbraw/zinc/20/81/52/335208152.db2.gz TVRZHNRHHAHNCG-WBVHZDCISA-N 0 3 314.473 4.177 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCCc2ccc(F)cc21)c1ccsc1 ZINC000344030299 335210448 /nfs/dbraw/zinc/21/04/48/335210448.db2.gz DOXHDQOGUQVFNG-ZWKOTPCHSA-N 0 3 318.461 4.157 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CCCc2ccc(F)cc21)c1ccsc1 ZINC000344030295 335210484 /nfs/dbraw/zinc/21/04/84/335210484.db2.gz DOXHDQOGUQVFNG-MSOLQXFVSA-N 0 3 318.461 4.157 20 0 DIADHN Cc1oc2ccccc2c1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000344042181 335211761 /nfs/dbraw/zinc/21/17/61/335211761.db2.gz BFLZWPDYWOLINM-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc(C(C)C)cs2)C12CCCC2 ZINC000344077789 335215550 /nfs/dbraw/zinc/21/55/50/335215550.db2.gz QMGXKNVUENYYQP-CABCVRRESA-N 0 3 308.491 4.094 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000344099419 335218029 /nfs/dbraw/zinc/21/80/29/335218029.db2.gz KMVJXXNSQDMYRU-CHWSQXEVSA-N 0 3 305.796 4.033 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H]2CCSc3c(F)cccc32)C12CCC2 ZINC000344113676 335218350 /nfs/dbraw/zinc/21/83/50/335218350.db2.gz MGBNFIGBMUVSAO-BZUAXINKSA-N 0 3 321.461 4.300 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCc3ccc(C)cc31)CCO2 ZINC000344116629 335218535 /nfs/dbraw/zinc/21/85/35/335218535.db2.gz APIAGUSGOZXDPX-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3ccc(C)cc31)CCO2 ZINC000344116622 335218703 /nfs/dbraw/zinc/21/87/03/335218703.db2.gz APIAGUSGOZXDPX-UXHICEINSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)N[C@H]3CCCOC3)cs2)cs1 ZINC000344137487 335220928 /nfs/dbraw/zinc/22/09/28/335220928.db2.gz YREJOXKKMSCVGB-MFKMUULPSA-N 0 3 308.472 4.010 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-n3cccn3)cc2)c2ccccc21 ZINC000344111459 335224287 /nfs/dbraw/zinc/22/42/87/335224287.db2.gz HILCCURUGZVBNO-FOIQADDNSA-N 0 3 303.409 4.210 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCC1([C@H](O)C(C)C)CC1 ZINC000344302096 335238449 /nfs/dbraw/zinc/23/84/49/335238449.db2.gz VNVQFBNBIPAKBP-IUODEOHRSA-N 0 3 310.507 4.023 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@H](C)CC[S@](C)=O ZINC000344305891 335239119 /nfs/dbraw/zinc/23/91/19/335239119.db2.gz PFWJHRQNGSTBLJ-QLEMLULZSA-N 0 3 321.486 4.111 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc(C3CC3)cs2)C12CCCCC2 ZINC000344331026 335241406 /nfs/dbraw/zinc/24/14/06/335241406.db2.gz OOIBHWGMYKVIMF-HZPDHXFCSA-N 0 3 320.502 4.238 20 0 DIADHN c1cc(CN[C@H]2CCOc3c(-c4ccccc4)cccc32)c[nH]1 ZINC000344408930 335246714 /nfs/dbraw/zinc/24/67/14/335246714.db2.gz FPCPYTKAMLGEIK-IBGZPJMESA-N 0 3 304.393 4.295 20 0 DIADHN c1ccc(-c2cccc3c2OCC[C@H]3NCC2=CCCOC2)cc1 ZINC000344408714 335246755 /nfs/dbraw/zinc/24/67/55/335246755.db2.gz CUDFQDKHINDZEN-HXUWFJFHSA-N 0 3 321.420 4.114 20 0 DIADHN CC[C@H](NCc1cc(Br)cs1)c1ccncc1 ZINC000344589717 335262125 /nfs/dbraw/zinc/26/21/25/335262125.db2.gz VTYVXEDTENFTNZ-ZDUSSCGKSA-N 0 3 311.248 4.147 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@H](c2nccs2)C1 ZINC000344618172 335264457 /nfs/dbraw/zinc/26/44/57/335264457.db2.gz NQKWHQMNNRNPSV-AWEZNQCLSA-N 0 3 306.862 4.485 20 0 DIADHN CCCOc1cccc(CN[C@H](CC)c2c(C)nn(C)c2C)c1 ZINC000344757071 335273774 /nfs/dbraw/zinc/27/37/74/335273774.db2.gz JJULVDODIMYPTQ-GOSISDBHSA-N 0 3 315.461 4.067 20 0 DIADHN CCC(CC)[C@@H](CCNCc1cnc(C2CCC2)s1)OC ZINC000344828992 335278533 /nfs/dbraw/zinc/27/85/33/335278533.db2.gz PZTZGXDQNOKSBS-MRXNPFEDSA-N 0 3 310.507 4.342 20 0 DIADHN CCC(CC)[C@H](CCNCc1cnc(C2CCC2)s1)OC ZINC000344828914 335278712 /nfs/dbraw/zinc/27/87/12/335278712.db2.gz PZTZGXDQNOKSBS-INIZCTEOSA-N 0 3 310.507 4.342 20 0 DIADHN CC(C)c1ccc(CN2CC[C@H](c3nc(C4CC4)no3)C2)cc1 ZINC000344873972 335282046 /nfs/dbraw/zinc/28/20/46/335282046.db2.gz KUCSEXMKMZPBEB-KRWDZBQOSA-N 0 3 311.429 4.060 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000345021802 335292174 /nfs/dbraw/zinc/29/21/74/335292174.db2.gz CLLVRFNOKNSKFK-OXJNMPFZSA-N 0 3 313.416 4.392 20 0 DIADHN CCC[C@H](N[C@H]1C=C[C@H](CO)C1)c1cccc(C(F)(F)F)c1 ZINC000345049225 335295662 /nfs/dbraw/zinc/29/56/62/335295662.db2.gz HGIAHSRBUKKKPY-RCBQFDQVSA-N 0 3 313.363 4.073 20 0 DIADHN COc1cccc(C2(F)CCN([C@H](C)c3cccnc3)CC2)c1 ZINC000366889502 335300988 /nfs/dbraw/zinc/30/09/88/335300988.db2.gz XGVUKSJEVCHXRJ-OAHLLOKOSA-N 0 3 314.404 4.112 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)N[C@@H](C)Cn1cccn1 ZINC000345181822 335305665 /nfs/dbraw/zinc/30/56/65/335305665.db2.gz IPYGHSCKWPXGGK-FUHWJXTLSA-N 0 3 315.461 4.047 20 0 DIADHN C[C@@H](NCC[C@@H]1COc2ccccc2O1)c1csc(Cl)c1 ZINC000345278383 335318448 /nfs/dbraw/zinc/31/84/48/335318448.db2.gz SSDRZBNYFXZCDN-DGCLKSJQSA-N 0 3 323.845 4.282 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345278620 335318494 /nfs/dbraw/zinc/31/84/94/335318494.db2.gz JEFJQUOCEKRFBN-DYVFJYSZSA-N 0 3 322.880 4.076 20 0 DIADHN c1[nH]c2ccccc2c1CCCNCc1csc(C2CC2)n1 ZINC000113339674 335325219 /nfs/dbraw/zinc/32/52/19/335325219.db2.gz XIVKSFQZRAQDCH-UHFFFAOYSA-N 0 3 311.454 4.224 20 0 DIADHN CO[C@@H](CCN[C@H](C)c1csc(Cl)c1)C(F)(F)F ZINC000345534874 335331548 /nfs/dbraw/zinc/33/15/48/335331548.db2.gz XWKRPCYSSBFFBU-APPZFPTMSA-N 0 3 301.761 4.020 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000345695957 335342714 /nfs/dbraw/zinc/34/27/14/335342714.db2.gz ULLUESXQNVSYFS-MLGOLLRUSA-N 0 3 322.449 4.271 20 0 DIADHN CCn1cc(CN[C@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000345708986 335349377 /nfs/dbraw/zinc/34/93/77/335349377.db2.gz QRVNPFJIJQBUNQ-YJBOKZPZSA-N 0 3 309.457 4.293 20 0 DIADHN CCc1ccc([C@H](C)NCCc2c[nH]c3ccc(F)cc23)o1 ZINC000346047868 335370929 /nfs/dbraw/zinc/37/09/29/335370929.db2.gz PSPFRXMDRBGKCR-LBPRGKRZSA-N 0 3 300.377 4.356 20 0 DIADHN CCN(Cc1cc(-n2ccnc2)cs1)Cc1cccc(F)c1 ZINC000346147068 335381530 /nfs/dbraw/zinc/38/15/30/335381530.db2.gz UJNNBYNIOAXJOK-UHFFFAOYSA-N 0 3 315.417 4.095 20 0 DIADHN CN(Cc1cc(-n2ccnc2)cs1)Cc1cccc(Cl)c1 ZINC000346149004 335381926 /nfs/dbraw/zinc/38/19/26/335381926.db2.gz LJFKCPMRQFLTKS-UHFFFAOYSA-N 0 3 317.845 4.219 20 0 DIADHN CN(Cc1ccc(Cl)s1)Cc1cc(-n2ccnc2)cs1 ZINC000346215958 335384884 /nfs/dbraw/zinc/38/48/84/335384884.db2.gz QPBNZWFVGIFYNW-UHFFFAOYSA-N 0 3 323.874 4.281 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346219020 335385406 /nfs/dbraw/zinc/38/54/06/335385406.db2.gz VKIJPMZKBOUKSC-YPMHNXCESA-N 0 3 317.820 4.151 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346189672 335388183 /nfs/dbraw/zinc/38/81/83/335388183.db2.gz JWNPHZFSBUDAPF-FZMZJTMJSA-N 0 3 317.820 4.151 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)Cc2cccc(C(=O)N(C)C)c2)c1 ZINC000346297216 335393416 /nfs/dbraw/zinc/39/34/16/335393416.db2.gz RIARXBAPOKIPHB-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN Fc1c(Br)cccc1CNC[C@H]1CCCC1(F)F ZINC000394935074 335394003 /nfs/dbraw/zinc/39/40/03/335394003.db2.gz PDCYEQOYYCZUEU-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CSc1cccc(F)c1CNC1CCC2(CCOCC2)CC1 ZINC000353884881 335398651 /nfs/dbraw/zinc/39/86/51/335398651.db2.gz YVTBCWWPLWGDBW-UHFFFAOYSA-N 0 3 323.477 4.377 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000346319404 335399748 /nfs/dbraw/zinc/39/97/48/335399748.db2.gz XXSZHYZTDJIKAT-GOSISDBHSA-N 0 3 319.452 4.455 20 0 DIADHN Cc1cccc(CN2CCC(c3nc4ccccc4s3)CC2)n1 ZINC000346428930 335407310 /nfs/dbraw/zinc/40/73/10/335407310.db2.gz ARGIHXMWIURGQN-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CCCC[C@H](NCCO[C@H]1CCCCO1)c1ccc(OC)cc1 ZINC000444684220 338482275 /nfs/dbraw/zinc/48/22/75/338482275.db2.gz IUZVSLGCGFOTGG-OALUTQOASA-N 0 3 321.461 4.059 20 0 DIADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1nc(-c2ccncc2)cs1 ZINC000346625551 335424875 /nfs/dbraw/zinc/42/48/75/335424875.db2.gz PTCXJNHCPUDGRT-OCCSQVGLSA-N 0 3 301.459 4.434 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCOC1CCC1 ZINC000347114829 335466765 /nfs/dbraw/zinc/46/67/65/335466765.db2.gz AOHOBYQSUSJKRT-OAHLLOKOSA-N 0 3 301.352 4.147 20 0 DIADHN c1cc2c(s1)CCC[C@H]2NC1(c2ccc3c(c2)OCO3)CC1 ZINC000347157825 335474576 /nfs/dbraw/zinc/47/45/76/335474576.db2.gz UFNXNLXCRVXOGE-CQSZACIVSA-N 0 3 313.422 4.133 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC(c2c[nH]cn2)CC1 ZINC000347506767 335500681 /nfs/dbraw/zinc/50/06/81/335500681.db2.gz QJFQUDXMDQWZOZ-KRWDZBQOSA-N 0 3 305.372 4.019 20 0 DIADHN CC[C@@H](NCc1cccc(C(F)F)c1)c1c(C)nn(C)c1C ZINC000348034585 335546763 /nfs/dbraw/zinc/54/67/63/335546763.db2.gz NHRQZYTWCOMLMF-OAHLLOKOSA-N 0 3 307.388 4.215 20 0 DIADHN CC[C@H](NCCCc1cnccc1C)c1cc(F)ccc1F ZINC000348070802 335550683 /nfs/dbraw/zinc/55/06/83/335550683.db2.gz HSKXPQCFXMOYDB-SFHVURJKSA-N 0 3 304.384 4.342 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348221830 335562835 /nfs/dbraw/zinc/56/28/35/335562835.db2.gz WADQXRAMPVUCPY-RDTXWAMCSA-N 0 3 303.833 4.423 20 0 DIADHN CC(C)SCCN[C@@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000348332178 335582758 /nfs/dbraw/zinc/58/27/58/335582758.db2.gz LHMXRBIDYWTQOL-CYBMUJFWSA-N 0 3 318.408 4.209 20 0 DIADHN C[C@H](NC[C@H](c1cccs1)N(C)C)c1csc(Cl)c1 ZINC000191118074 335598142 /nfs/dbraw/zinc/59/81/42/335598142.db2.gz XFDNFUFUPHBZDY-CMPLNLGQSA-N 0 3 314.907 4.417 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccnc(OC)c1)c1ccc(OC)cc1 ZINC000348758081 335606694 /nfs/dbraw/zinc/60/66/94/335606694.db2.gz YEVMVGCCCDSCSD-KDOFPFPSSA-N 0 3 314.429 4.291 20 0 DIADHN CCC[C@@H](NCc1ccc2c(c1)OCCO2)c1ccsc1 ZINC000191184519 335635219 /nfs/dbraw/zinc/63/52/19/335635219.db2.gz WALCQTYHGCISJT-OAHLLOKOSA-N 0 3 303.427 4.150 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)N[C@@H]3COC(C)(C)C3)cc2)cs1 ZINC000417860861 335644698 /nfs/dbraw/zinc/64/46/98/335644698.db2.gz WLZZLQLJEUATAC-WBMJQRKESA-N 0 3 316.470 4.337 20 0 DIADHN CCn1cncc1CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000417867923 335645711 /nfs/dbraw/zinc/64/57/11/335645711.db2.gz FUNLCTSCTVZPEQ-QGZVFWFLSA-N 0 3 303.425 4.309 20 0 DIADHN CN(C)Cc1cccc(N[C@@H]2CCC[C@@H](c3cccnc3)C2)c1 ZINC000367085758 335659637 /nfs/dbraw/zinc/65/96/37/335659637.db2.gz RHXKXZDLQANZMF-YLJYHZDGSA-N 0 3 309.457 4.282 20 0 DIADHN CCC1(NCc2csc(-c3ccc(C)cc3)n2)CCOCC1 ZINC000535616714 335691396 /nfs/dbraw/zinc/69/13/96/335691396.db2.gz LCDOIZLJUBYINS-UHFFFAOYSA-N 0 3 316.470 4.167 20 0 DIADHN C[C@H](CN[C@H]1CCCOc2ccc(Cl)cc21)c1nccs1 ZINC000349832879 335704660 /nfs/dbraw/zinc/70/46/60/335704660.db2.gz JYTCZTWGZFHTNU-RISCZKNCSA-N 0 3 322.861 4.404 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](C)c2ccncc2)cc1OC ZINC000350514711 335758799 /nfs/dbraw/zinc/75/87/99/335758799.db2.gz MRORWXCZENOKOI-GJZGRUSLSA-N 0 3 314.429 4.291 20 0 DIADHN COc1cc(CN2CCCCC2)c(-c2ccccc2)cc1OC ZINC000535840945 335791430 /nfs/dbraw/zinc/79/14/30/335791430.db2.gz NNSAQRMIFKXMGR-UHFFFAOYSA-N 0 3 311.425 4.357 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000535987409 335808680 /nfs/dbraw/zinc/80/86/80/335808680.db2.gz NGLUXPGSDYXEKB-VWYCJHECSA-N 0 3 317.296 4.383 20 0 DIADHN Fc1ccc(SCCN2CCC(c3ccncc3)CC2)cc1 ZINC000191646712 335819543 /nfs/dbraw/zinc/81/95/43/335819543.db2.gz HXVYBTRYTBFXSW-UHFFFAOYSA-N 0 3 316.445 4.192 20 0 DIADHN C[C@@H](NCCCNc1ccccc1)c1nccc2ccccc21 ZINC000519236433 335819725 /nfs/dbraw/zinc/81/97/25/335819725.db2.gz ZFJDXRROSKNCSB-MRXNPFEDSA-N 0 3 305.425 4.388 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@H](C)c1ccc(F)cn1 ZINC000536180292 335828398 /nfs/dbraw/zinc/82/83/98/335828398.db2.gz VVGNMHNEBCRBFH-CYBMUJFWSA-N 0 3 322.811 4.302 20 0 DIADHN CSCCCNCc1cc(Br)c(Cl)s1 ZINC000191694954 335829974 /nfs/dbraw/zinc/82/99/74/335829974.db2.gz WSIPDEICCBUMNX-UHFFFAOYSA-N 0 3 314.701 4.007 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCOC(C)(C)C)CCCS2 ZINC000527359635 335841955 /nfs/dbraw/zinc/84/19/55/335841955.db2.gz CLSGOJKUORMVIZ-OAHLLOKOSA-N 0 3 309.475 4.027 20 0 DIADHN Cc1nc(CCN2CCC(c3ccccc3F)CC2)cs1 ZINC000536605472 335844428 /nfs/dbraw/zinc/84/44/28/335844428.db2.gz HKMONSYIMWFHSI-UHFFFAOYSA-N 0 3 304.434 4.013 20 0 DIADHN CCn1cncc1CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000417918640 335854709 /nfs/dbraw/zinc/85/47/09/335854709.db2.gz XEFJCCYOFHXFHN-QGZVFWFLSA-N 0 3 303.837 4.187 20 0 DIADHN CCn1cncc1CNC1(c2ccc(Cl)cc2)CCCC1 ZINC000417927874 335877746 /nfs/dbraw/zinc/87/77/46/335877746.db2.gz GJFWRAJIVOSSSN-UHFFFAOYSA-N 0 3 303.837 4.116 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(=O)N(CC)CC)c1ccc(Cl)cc1 ZINC000532198201 335914627 /nfs/dbraw/zinc/91/46/27/335914627.db2.gz BRLBCUCRBWORGS-XJKSGUPXSA-N 0 3 310.869 4.028 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)COC3)cc1F ZINC000532200360 335915282 /nfs/dbraw/zinc/91/52/82/335915282.db2.gz JPXAUVPKTZLLBX-QWHCGFSZSA-N 0 3 315.388 4.276 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCOC3CCCC3)c(C)c2c1 ZINC000532204393 335916462 /nfs/dbraw/zinc/91/64/62/335916462.db2.gz BGKQJTSGAITTGB-CQSZACIVSA-N 0 3 317.429 4.360 20 0 DIADHN Cc1ccc(Cl)cc1N1CC[C@H](CN[C@@H](C)c2ccoc2)C1 ZINC000532219123 335916655 /nfs/dbraw/zinc/91/66/55/335916655.db2.gz QKLFLHNWCWHVIP-LSDHHAIUSA-N 0 3 318.848 4.419 20 0 DIADHN c1ccc2c(c1)C[C@@H](CNCc1ccccc1OC1CCCC1)O2 ZINC000520213278 335940546 /nfs/dbraw/zinc/94/05/46/335940546.db2.gz SMKFAHDXOLEVIF-IBGZPJMESA-N 0 3 323.436 4.101 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1sc(C)nc1C ZINC000351145824 336017593 /nfs/dbraw/zinc/01/75/93/336017593.db2.gz ORVAQJNABHGZAU-BZNIZROVSA-N 0 3 324.877 4.279 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cc(C)nn1C)c1ccc(Cl)cc1 ZINC000417952739 336023431 /nfs/dbraw/zinc/02/34/31/336023431.db2.gz CTKKLDVFOHXNRD-SJKOYZFVSA-N 0 3 305.853 4.259 20 0 DIADHN CC[C@H](C)[C@H](NCc1cncn1CC)c1ccc(Cl)cc1 ZINC000417953530 336025519 /nfs/dbraw/zinc/02/55/19/336025519.db2.gz DVANIYIQQJFUGA-GUYCJALGSA-N 0 3 305.853 4.433 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2ccoc2Cl)c1 ZINC000192395425 336030651 /nfs/dbraw/zinc/03/06/51/336030651.db2.gz KLNWKRWMCYHPSB-UHFFFAOYSA-N 0 3 306.793 4.027 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc(F)c3ccccc32)cnn1C ZINC000563901886 336044591 /nfs/dbraw/zinc/04/45/91/336044591.db2.gz GBTLYNFRKUJCRB-CHWSQXEVSA-N 0 3 311.404 4.433 20 0 DIADHN COCCSc1ccc([C@@H](C)NCc2scnc2C)cc1 ZINC000351576338 336046531 /nfs/dbraw/zinc/04/65/31/336046531.db2.gz WOFMFFPZHOKEQH-GFCCVEGCSA-N 0 3 322.499 4.041 20 0 DIADHN Cc1oncc1CN[C@@H](COc1c(C)cccc1C)C(C)(C)C ZINC000445816053 338511264 /nfs/dbraw/zinc/51/12/64/338511264.db2.gz RVIXYNBPLWUBHB-KRWDZBQOSA-N 0 3 316.445 4.183 20 0 DIADHN C[C@H]1CCN(Cc2ccc(C3CCC3)cc2)C[C@H]1n1ccnc1 ZINC000351776190 336068580 /nfs/dbraw/zinc/06/85/80/336068580.db2.gz ZAQXXFZNINANJI-OXJNMPFZSA-N 0 3 309.457 4.234 20 0 DIADHN COc1cc(CN(CC[C@H](C)F)C2CC2)ccc1OC(F)F ZINC000351842847 336072621 /nfs/dbraw/zinc/07/26/21/336072621.db2.gz AAQJXGCILKNPHN-NSHDSACASA-N 0 3 317.351 4.009 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN1CCCC2(CC2)C1 ZINC000351891411 336103534 /nfs/dbraw/zinc/10/35/34/336103534.db2.gz MCOVHGRAJJJGOJ-UHFFFAOYSA-N 0 3 323.484 4.233 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H](c2ccco2)N2CCCC2)c2ccccc21 ZINC000324283176 336129666 /nfs/dbraw/zinc/12/96/66/336129666.db2.gz QGGYHISSYJHVLK-AYOQOUSVSA-N 0 3 310.441 4.255 20 0 DIADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000332148390 336160417 /nfs/dbraw/zinc/16/04/17/336160417.db2.gz GQSMSTUKEKYTNR-RISCZKNCSA-N 0 3 303.381 4.105 20 0 DIADHN C[C@H]1CC[C@@H](C(N)=O)CN1Cc1ccc(Cl)c2cccnc12 ZINC000332306482 336167189 /nfs/dbraw/zinc/16/71/89/336167189.db2.gz OHIUEGUZWGEZDO-WCQYABFASA-N 0 3 317.820 4.024 20 0 DIADHN c1csc([C@@H](CN[C@H]2CCCc3occc32)N2CCCC2)c1 ZINC000192590969 336167292 /nfs/dbraw/zinc/16/72/92/336167292.db2.gz NXGGVDQQBOVZQY-JKSUJKDBSA-N 0 3 316.470 4.145 20 0 DIADHN C[C@H]1CC[C@H](C(N)=O)CN1Cc1ccc(Cl)c2cccnc12 ZINC000332306480 336167395 /nfs/dbraw/zinc/16/73/95/336167395.db2.gz OHIUEGUZWGEZDO-AAEUAGOBSA-N 0 3 317.820 4.024 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000332651996 336177759 /nfs/dbraw/zinc/17/77/59/336177759.db2.gz ZNWLPXMKVVYOFM-AWEZNQCLSA-N 0 3 319.836 4.047 20 0 DIADHN Cc1cc(CN[C@H](c2cccc(Cl)c2)C2CCCC2)n(C)n1 ZINC000417960893 336178387 /nfs/dbraw/zinc/17/83/87/336178387.db2.gz XZRHMGNRZSNTGI-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192607840 336183672 /nfs/dbraw/zinc/18/36/72/336183672.db2.gz FTAIQSWWBMLOPN-QFBILLFUSA-N 0 3 305.425 4.055 20 0 DIADHN CCSc1cccc(CN2CCC[C@@]3(CCCOC3)C2)c1 ZINC000352010629 336188706 /nfs/dbraw/zinc/18/87/06/336188706.db2.gz HGLLUAMFHZHQPM-GOSISDBHSA-N 0 3 305.487 4.191 20 0 DIADHN Fc1cccc(F)c1C1=CCN(CC[C@H]2CCCCO2)CC1 ZINC000352020616 336189663 /nfs/dbraw/zinc/18/96/63/336189663.db2.gz JCGJOSQISIHONV-OAHLLOKOSA-N 0 3 307.384 4.013 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@@H](CN1CCCC1)c1ccccc1 ZINC000192630393 336201894 /nfs/dbraw/zinc/20/18/94/336201894.db2.gz ZKLMZDKVPCDDHG-MOPGFXCFSA-N 0 3 310.441 4.084 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@H](CN1CCCC1)c1ccccc1 ZINC000192630380 336201908 /nfs/dbraw/zinc/20/19/08/336201908.db2.gz ZKLMZDKVPCDDHG-RTBURBONSA-N 0 3 310.441 4.084 20 0 DIADHN c1cn(-c2ccccc2)nc1CN[C@@H]1CC[C@H](c2ccccc2)C1 ZINC000526118301 336202048 /nfs/dbraw/zinc/20/20/48/336202048.db2.gz MVJONSDHVMULLK-RBUKOAKNSA-N 0 3 317.436 4.298 20 0 DIADHN COC[C@H](NC[C@@H](C)c1cccc(Cl)c1)c1ccc(C)o1 ZINC000526119277 336204764 /nfs/dbraw/zinc/20/47/64/336204764.db2.gz FLKZELDCDMSHPC-WBMJQRKESA-N 0 3 307.821 4.322 20 0 DIADHN CC[C@@H](NCc1cc(F)ccc1C)c1ccc(OC)c(OC)c1 ZINC000352242934 336206049 /nfs/dbraw/zinc/20/60/49/336206049.db2.gz UKLLGCORDOPTGF-QGZVFWFLSA-N 0 3 317.404 4.392 20 0 DIADHN CO[C@@](C)(CN[C@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC000324391217 336206624 /nfs/dbraw/zinc/20/66/24/336206624.db2.gz NDHKSLHRCDYZSQ-UXHICEINSA-N 0 3 313.416 4.320 20 0 DIADHN CC[C@@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccc(C)cc1 ZINC000352280673 336209595 /nfs/dbraw/zinc/20/95/95/336209595.db2.gz ITGNFRSUIUTLKJ-YLJYHZDGSA-N 0 3 324.468 4.255 20 0 DIADHN Cc1[nH]c2ccc(-c3noc([C@H]4CCN(C)[C@@H](C)C4)n3)cc2c1C ZINC000333763726 336209749 /nfs/dbraw/zinc/20/97/49/336209749.db2.gz DBRZEDHXDAARQB-NHYWBVRUSA-N 0 3 324.428 4.032 20 0 DIADHN C[C@H]1C[C@@H](Nc2cccc(OCCN(C)C)c2)c2ccccc21 ZINC000324397719 336210093 /nfs/dbraw/zinc/21/00/93/336210093.db2.gz OFVJKZZNWAWQHP-MGPUTAFESA-N 0 3 310.441 4.287 20 0 DIADHN Cc1cccnc1OC1CCN(Cc2cc3ccccc3o2)CC1 ZINC000352350440 336213524 /nfs/dbraw/zinc/21/35/24/336213524.db2.gz RFLSDFAFCUKLRL-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cc(CN2CCC(Oc3ncccc3C)CC2)c(C)s1 ZINC000352357405 336214072 /nfs/dbraw/zinc/21/40/72/336214072.db2.gz MRZKWMMFWJOGHE-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc2c(c1)OCCO2 ZINC000324423956 336215544 /nfs/dbraw/zinc/21/55/44/336215544.db2.gz SLDMEGUJRWDEMV-LBTNJELSSA-N 0 3 309.409 4.357 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc2c(c1)OCCO2 ZINC000324423960 336215571 /nfs/dbraw/zinc/21/55/71/336215571.db2.gz SLDMEGUJRWDEMV-QWQRMKEZSA-N 0 3 309.409 4.357 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@H]2[C@H]2CCCO2)c2ccccc2n1 ZINC000352433735 336218349 /nfs/dbraw/zinc/21/83/49/336218349.db2.gz WZOQFQZWOLVXTL-WOJBJXKFSA-N 0 3 322.452 4.077 20 0 DIADHN Cn1cnc2cc(CNCc3ccc4sccc4c3)ccc21 ZINC000352453560 336220049 /nfs/dbraw/zinc/22/00/49/336220049.db2.gz OKHJAQATTCRPSW-UHFFFAOYSA-N 0 3 307.422 4.078 20 0 DIADHN CC1(c2nc3ccccc3s2)CCN(Cc2ccncc2)CC1 ZINC000352549674 336223946 /nfs/dbraw/zinc/22/39/46/336223946.db2.gz FMPCCEBFLSIBQB-UHFFFAOYSA-N 0 3 323.465 4.245 20 0 DIADHN CC1(c2nc3ccccc3s2)CCN(Cc2ccccn2)CC1 ZINC000352561792 336224649 /nfs/dbraw/zinc/22/46/49/336224649.db2.gz HFCIHYSTUNRFKR-UHFFFAOYSA-N 0 3 323.465 4.245 20 0 DIADHN CC[C@H](NCc1ccc(NCCOC)cc1)c1ccccc1F ZINC000352683145 336230231 /nfs/dbraw/zinc/23/02/31/336230231.db2.gz JFMVRWLZVSCKHZ-IBGZPJMESA-N 0 3 316.420 4.125 20 0 DIADHN CCc1ccc(CN[C@@H](CCCCOC)c2ccccc2)cn1 ZINC000352713323 336231979 /nfs/dbraw/zinc/23/19/79/336231979.db2.gz SMQQSDOMKCTIFT-FQEVSTJZSA-N 0 3 312.457 4.292 20 0 DIADHN CC[C@H](NCCCCc1nc(C)cs1)c1ccc(F)cn1 ZINC000352718227 336232186 /nfs/dbraw/zinc/23/21/86/336232186.db2.gz JKFFJNLIFOHFDV-AWEZNQCLSA-N 0 3 307.438 4.049 20 0 DIADHN OCCC[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1ccccc1 ZINC000352765399 336233801 /nfs/dbraw/zinc/23/38/01/336233801.db2.gz JVLPHJJANIYBMF-GJEJWFRCSA-N 0 3 317.379 4.081 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@@H](OC)c2ccc(Cl)cc2)cn1 ZINC000352750081 336234710 /nfs/dbraw/zinc/23/47/10/336234710.db2.gz PFHGLVPNUSDLOU-FZKQIMNGSA-N 0 3 318.848 4.163 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc(-n2cccn2)cc1 ZINC000352751432 336234858 /nfs/dbraw/zinc/23/48/58/336234858.db2.gz VLSKOXIJJDOJCO-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc(-n2cccn2)cc1 ZINC000352751433 336234923 /nfs/dbraw/zinc/23/49/23/336234923.db2.gz VLSKOXIJJDOJCO-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CC[C@@H](N[C@H](C)CCCCO)c1ccccc1Br ZINC000352784447 336236369 /nfs/dbraw/zinc/23/63/69/336236369.db2.gz UNOJNNCTNZHUJL-IUODEOHRSA-N 0 3 314.267 4.041 20 0 DIADHN CC[C@H](N[C@H](C)CCCCO)c1ccccc1Br ZINC000352784446 336236464 /nfs/dbraw/zinc/23/64/64/336236464.db2.gz UNOJNNCTNZHUJL-DOMZBBRYSA-N 0 3 314.267 4.041 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(Br)c2)cc1 ZINC000042268031 336238229 /nfs/dbraw/zinc/23/82/29/336238229.db2.gz FAQXVZYJBNMVEM-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN Fc1ccc(-c2nc(CNCCc3ccsc3)cs2)cc1 ZINC000042374070 336239865 /nfs/dbraw/zinc/23/98/65/336239865.db2.gz LUAWRPBFBGHTFG-UHFFFAOYSA-N 0 3 318.442 4.343 20 0 DIADHN CSc1ccc(CNCc2cccc(Br)c2)cc1 ZINC000042418803 336240113 /nfs/dbraw/zinc/24/01/13/336240113.db2.gz PDYQBSABAFAXKX-UHFFFAOYSA-N 0 3 322.271 4.461 20 0 DIADHN CCc1ccc(CNCc2cccc(Br)c2)cc1 ZINC000042418747 336240183 /nfs/dbraw/zinc/24/01/83/336240183.db2.gz IAQWHGBDWVXASH-UHFFFAOYSA-N 0 3 304.231 4.301 20 0 DIADHN CC(C)[C@H](NCc1ccc2[nH]cnc2c1)c1ccc(F)cc1F ZINC000532328907 336240663 /nfs/dbraw/zinc/24/06/63/336240663.db2.gz JXFUAZVSQLNLTD-SFHVURJKSA-N 0 3 315.367 4.328 20 0 DIADHN CC(C)[C@H](NCc1ccc2nc[nH]c2c1)c1ccc(F)cc1F ZINC000532328907 336240664 /nfs/dbraw/zinc/24/06/64/336240664.db2.gz JXFUAZVSQLNLTD-SFHVURJKSA-N 0 3 315.367 4.328 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000532441274 336247378 /nfs/dbraw/zinc/24/73/78/336247378.db2.gz AKKMUNCGEBTGMN-HBUWYVDXSA-N 0 3 303.833 4.442 20 0 DIADHN C[C@@H](NC[C@@H](c1cccs1)N1CCC(C)CC1)c1ccoc1 ZINC000532441937 336247525 /nfs/dbraw/zinc/24/75/25/336247525.db2.gz JMIZMHFREIWCRS-WBVHZDCISA-N 0 3 318.486 4.465 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCCc3sccc32)c1 ZINC000532448260 336247942 /nfs/dbraw/zinc/24/79/42/336247942.db2.gz VOZPWPRDZXPWQV-INIZCTEOSA-N 0 3 314.454 4.264 20 0 DIADHN C[C@@H](NCCCc1cccc(C(F)(F)F)c1)c1cncs1 ZINC000532463041 336248975 /nfs/dbraw/zinc/24/89/75/336248975.db2.gz KIAUPCJBJSTEEL-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN CC[C@@H](N[C@H](c1nccs1)c1ccccc1)C1CCOCC1 ZINC000532463889 336249176 /nfs/dbraw/zinc/24/91/76/336249176.db2.gz ZBCPICSPRSVQOI-SJORKVTESA-N 0 3 316.470 4.027 20 0 DIADHN Oc1ccccc1CCN[C@@H]1CCCOc2c(Cl)cccc21 ZINC000532488599 336250079 /nfs/dbraw/zinc/25/00/79/336250079.db2.gz YLBDTZHAYGALNU-MRXNPFEDSA-N 0 3 317.816 4.092 20 0 DIADHN CN(C)C(=O)c1cccc(CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000532452908 336250137 /nfs/dbraw/zinc/25/01/37/336250137.db2.gz JPBDWZQHNZOLON-HXUWFJFHSA-N 0 3 322.452 4.019 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(F)cc1)c1cccc(Cl)c1 ZINC000532496633 336250991 /nfs/dbraw/zinc/25/09/91/336250991.db2.gz XAVBLRGBIRJHEZ-MRXNPFEDSA-N 0 3 320.795 4.159 20 0 DIADHN COCc1ccc(CNCC(C)(C)c2ccc(Cl)cc2)o1 ZINC000532479678 336251419 /nfs/dbraw/zinc/25/14/19/336251419.db2.gz DZUXRKBJHYKXDU-UHFFFAOYSA-N 0 3 307.821 4.147 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCC[C@H](C)CC1 ZINC000532487820 336251756 /nfs/dbraw/zinc/25/17/56/336251756.db2.gz YHLLAWRBSLCJCN-HOTGVXAUSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N(C)C[C@H]1CCCCO1 ZINC000532486651 336251821 /nfs/dbraw/zinc/25/18/21/336251821.db2.gz JBXUTLDOFKFCJY-SWLSCSKDSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N(C)C[C@@H]1CCCCO1 ZINC000532486653 336251938 /nfs/dbraw/zinc/25/19/38/336251938.db2.gz JBXUTLDOFKFCJY-WFASDCNBSA-N 0 3 312.251 4.011 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nccn1CCCc1ccccc1 ZINC000532521489 336252045 /nfs/dbraw/zinc/25/20/45/336252045.db2.gz AESXFHJCTYPOTG-RTBURBONSA-N 0 3 311.473 4.184 20 0 DIADHN CC[C@@H](C)N[C@H](c1cccc(OC)c1)c1cc(F)ccc1OC ZINC000532522152 336252159 /nfs/dbraw/zinc/25/21/59/336252159.db2.gz HHHREPHBURKRAO-BFUOFWGJSA-N 0 3 317.404 4.320 20 0 DIADHN CC[C@H](C)N[C@@H](c1cccc(OC)c1)c1cc(F)ccc1OC ZINC000532522153 336252172 /nfs/dbraw/zinc/25/21/72/336252172.db2.gz HHHREPHBURKRAO-DJJJIMSYSA-N 0 3 317.404 4.320 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccoc1)Oc1ccc(Br)cc1 ZINC000532532038 336253988 /nfs/dbraw/zinc/25/39/88/336253988.db2.gz SFSATTMNWVPPGE-RYUDHWBXSA-N 0 3 324.218 4.160 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1cnn(C(C)C)c1)CCC2 ZINC000532511597 336252981 /nfs/dbraw/zinc/25/29/81/336252981.db2.gz NVFYNZQTUPGPCU-AUUYWEPGSA-N 0 3 313.445 4.201 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCC[C@@H]2NCc2cc[nH]n2)cc1 ZINC000532513083 336253069 /nfs/dbraw/zinc/25/30/69/336253069.db2.gz AUDXGGMPMUWLEG-CVEARBPZSA-N 0 3 323.362 4.245 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cc(C)c(F)c(C)c2)cn1 ZINC000532514476 336253176 /nfs/dbraw/zinc/25/31/76/336253176.db2.gz NIBGVLLDYDZFLL-HNNXBMFYSA-N 0 3 316.420 4.477 20 0 DIADHN C[C@H](CN(C)Cc1cnc(-c2ccccc2)nc1)c1ccccc1 ZINC000532518577 336253504 /nfs/dbraw/zinc/25/35/04/336253504.db2.gz ILZYMEZFRFGTFR-QGZVFWFLSA-N 0 3 317.436 4.379 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@@H](C)N(C)C[C@H](C)c2ccccc2)c1 ZINC000532518820 336253531 /nfs/dbraw/zinc/25/35/31/336253531.db2.gz ZCERPJOLIHXUCX-ZWKOTPCHSA-N 0 3 324.468 4.366 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccoc1)Oc1ccc(Br)cc1 ZINC000532532036 336253920 /nfs/dbraw/zinc/25/39/20/336253920.db2.gz SFSATTMNWVPPGE-NEPJUHHUSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1cccc(Br)c1 ZINC000104443867 336254029 /nfs/dbraw/zinc/25/40/29/336254029.db2.gz BXPJHQIKAVLMJX-GFCCVEGCSA-N 0 3 312.251 4.059 20 0 DIADHN CSc1ccc([C@@H](C)NCCOCc2ccccc2)cc1F ZINC000532549746 336254848 /nfs/dbraw/zinc/25/48/48/336254848.db2.gz UUYOCIYNICMEKT-CQSZACIVSA-N 0 3 319.445 4.415 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCCOc2ccc(F)cc2)n1 ZINC000532537708 336255158 /nfs/dbraw/zinc/25/51/58/336255158.db2.gz XFNGKWVJTICLTL-IBGZPJMESA-N 0 3 314.404 4.135 20 0 DIADHN Cc1sccc1CN1CCC2(CC1)OCCc1ccsc12 ZINC000352819728 336255242 /nfs/dbraw/zinc/25/52/42/336255242.db2.gz XQFSEZQUJQEWFO-UHFFFAOYSA-N 0 3 319.495 4.182 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2ccc(SC)c(F)c2)s1 ZINC000532538053 336255272 /nfs/dbraw/zinc/25/52/72/336255272.db2.gz SIZVDNKZWMTMCS-NSHDSACASA-N 0 3 324.490 4.460 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCCOc2ccccc2C)n1 ZINC000532538514 336255386 /nfs/dbraw/zinc/25/53/86/336255386.db2.gz RXEKQNNHLWWTJJ-IBGZPJMESA-N 0 3 310.441 4.304 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1c(C)cc(C)cc1C)C1CC1 ZINC000532570607 336255970 /nfs/dbraw/zinc/25/59/70/336255970.db2.gz AHMUJRQCHMUODV-YOEHRIQHSA-N 0 3 316.489 4.449 20 0 DIADHN CO[C@H](C)CN(Cc1c(C)cc(C)c(C(C)=O)c1C)C(C)C ZINC000532577991 336256256 /nfs/dbraw/zinc/25/62/56/336256256.db2.gz OYFIUMJRYGOIHI-OAHLLOKOSA-N 0 3 305.462 4.060 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000532584668 336256438 /nfs/dbraw/zinc/25/64/38/336256438.db2.gz QIJDTJNXTJLHCU-DLBZAZTESA-N 0 3 322.452 4.413 20 0 DIADHN Cc1ccc(C)c([C@H](NCCC2(CO)CC2)c2ccccc2)c1 ZINC000395971700 336257350 /nfs/dbraw/zinc/25/73/50/336257350.db2.gz YQBLUJWKUOYVCM-HXUWFJFHSA-N 0 3 309.453 4.145 20 0 DIADHN CCC(CC)[C@H](CCNCc1cc(-c2ccccc2)n[nH]1)OC ZINC000532615767 336257704 /nfs/dbraw/zinc/25/77/04/336257704.db2.gz CRBNRBRKCBTSCV-IBGZPJMESA-N 0 3 315.461 4.008 20 0 DIADHN FC(F)(F)CCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000532625794 336258156 /nfs/dbraw/zinc/25/81/56/336258156.db2.gz XGXCFUWWXGAWHN-LLVKDONJSA-N 0 3 314.376 4.428 20 0 DIADHN COC[C@H](CC(C)C)N[C@@H](CC(F)(F)F)c1ccccc1 ZINC000480888424 336258771 /nfs/dbraw/zinc/25/87/71/336258771.db2.gz XLLNIEDHWVTBEJ-GJZGRUSLSA-N 0 3 303.368 4.331 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(C(C)(C)C)cc1 ZINC000192706285 336259103 /nfs/dbraw/zinc/25/91/03/336259103.db2.gz KZJPWCWKSNPRSG-KSSFIOAISA-N 0 3 311.473 4.446 20 0 DIADHN CC[C@@H](NCCSCc1ccccc1)c1nc(C)cs1 ZINC000192777410 336261488 /nfs/dbraw/zinc/26/14/88/336261488.db2.gz XMDZELDCCVQMKY-OAHLLOKOSA-N 0 3 306.500 4.426 20 0 DIADHN COCCN[C@H](C)c1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC000043512857 336263506 /nfs/dbraw/zinc/26/35/06/336263506.db2.gz SLYWHIJYGITCCP-MRVPVSSYSA-N 0 3 315.257 4.021 20 0 DIADHN Brc1cccc([C@H]2CCCN2C[C@H]2CCCOC2)c1 ZINC000532640546 336264362 /nfs/dbraw/zinc/26/43/62/336264362.db2.gz SQYSRWHQCSEKBI-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532674895 336266301 /nfs/dbraw/zinc/26/63/01/336266301.db2.gz IQTLSHFSKXRIHE-SUNYJGFJSA-N 0 3 315.388 4.446 20 0 DIADHN COc1cccc(-c2nc(CN(CC3CC3)C(C)C)cs2)c1 ZINC000532668201 336266547 /nfs/dbraw/zinc/26/65/47/336266547.db2.gz IVYIVSCYWSWBLY-UHFFFAOYSA-N 0 3 316.470 4.439 20 0 DIADHN CCSCCN[C@@H](c1ccc(F)cc1)c1ccc(OC)cc1 ZINC000532703155 336267256 /nfs/dbraw/zinc/26/72/56/336267256.db2.gz FKCJNYOWGHRHSU-SFHVURJKSA-N 0 3 319.445 4.266 20 0 DIADHN Cc1cccnc1[C@H](NCCOC1CCCCCC1)C(C)C ZINC000532710479 336267885 /nfs/dbraw/zinc/26/78/85/336267885.db2.gz UCJMXXRQHKOQHY-GOSISDBHSA-N 0 3 304.478 4.416 20 0 DIADHN Cc1cccnc1[C@@H](NCCOC1CCCCCC1)C(C)C ZINC000532710481 336268020 /nfs/dbraw/zinc/26/80/20/336268020.db2.gz UCJMXXRQHKOQHY-SFHVURJKSA-N 0 3 304.478 4.416 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccc(Cl)cc1 ZINC000192847663 336269407 /nfs/dbraw/zinc/26/94/07/336269407.db2.gz VIWLEZVSEQLWRR-NEPJUHHUSA-N 0 3 317.820 4.242 20 0 DIADHN Cc1cc(C)c(CNC[C@@H](c2ccco2)N2CCCC2)cc1C ZINC000104863462 336271149 /nfs/dbraw/zinc/27/11/49/336271149.db2.gz MOJVBNNINPVVTA-IBGZPJMESA-N 0 3 312.457 4.132 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccccc32)c2ccccc2n1 ZINC000124837964 336272531 /nfs/dbraw/zinc/27/25/31/336272531.db2.gz OKMXJQYHMUIGGH-GOSISDBHSA-N 0 3 304.393 4.021 20 0 DIADHN CC[C@H](NCCCSC)c1ccccc1Br ZINC000167880676 336272777 /nfs/dbraw/zinc/27/27/77/336272777.db2.gz LWQFGGPPNYACEA-ZDUSSCGKSA-N 0 3 302.281 4.243 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccccc1C(C)(C)C ZINC000532844935 336273584 /nfs/dbraw/zinc/27/35/84/336273584.db2.gz JJVSXCRORNAPSW-PNHOKKKMSA-N 0 3 309.453 4.293 20 0 DIADHN O[C@H]1CCCC[C@@H]1CCCNCc1ccc(-c2ccccc2)o1 ZINC000532879606 336274086 /nfs/dbraw/zinc/27/40/86/336274086.db2.gz FYGZMYZUKUTLBX-APWZRJJASA-N 0 3 313.441 4.368 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccccc2)c2ccccn2)cc1 ZINC000192866206 336275861 /nfs/dbraw/zinc/27/58/61/336275861.db2.gz PGHNEOYJPHGZIU-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cc3ccccc3s2)cc1 ZINC000526944705 336275995 /nfs/dbraw/zinc/27/59/95/336275995.db2.gz YIKRZUZHDPSHKH-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN C[C@@H](C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000182628898 336276654 /nfs/dbraw/zinc/27/66/54/336276654.db2.gz MBMSQODSCPSZJL-SJORKVTESA-N 0 3 324.468 4.036 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC(c4ncc[nH]4)CC3)o2)cc1 ZINC000352855024 336280762 /nfs/dbraw/zinc/28/07/62/336280762.db2.gz VPGLVNXQBXFKOU-UHFFFAOYSA-N 0 3 321.424 4.358 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000527065355 336281960 /nfs/dbraw/zinc/28/19/60/336281960.db2.gz FGOHVPXLVJIZHL-DZGCQCFKSA-N 0 3 322.861 4.121 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1cncn1Cc1ccccc1 ZINC000527076128 336282824 /nfs/dbraw/zinc/28/28/24/336282824.db2.gz XZLHLFIBBFMLRD-LJQANCHMSA-N 0 3 311.473 4.332 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1cncn1Cc1ccccc1 ZINC000527076127 336282833 /nfs/dbraw/zinc/28/28/33/336282833.db2.gz XZLHLFIBBFMLRD-IBGZPJMESA-N 0 3 311.473 4.332 20 0 DIADHN Cc1cc(CN[C@H](c2cccnc2)C2CC2)c2ccccc2n1 ZINC000527076320 336282922 /nfs/dbraw/zinc/28/29/22/336282922.db2.gz WIGVRCDOEPVQTR-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN C[C@@H](NCCCCCO)c1ccc(Cl)c(Cl)c1Cl ZINC000192933008 336285069 /nfs/dbraw/zinc/28/50/69/336285069.db2.gz UGDBRMFSDPLMED-SECBINFHSA-N 0 3 310.652 4.460 20 0 DIADHN Cc1cc(NCc2ccc(-n3ccnc3)cc2)c2ccccc2n1 ZINC000301104799 336290205 /nfs/dbraw/zinc/29/02/05/336290205.db2.gz IXDLUVDJZOUZAK-UHFFFAOYSA-N 0 3 314.392 4.341 20 0 DIADHN Cc1cc(N2CCO[C@H](c3ccccc3F)C2)c2ccccc2n1 ZINC000301138040 336291822 /nfs/dbraw/zinc/29/18/22/336291822.db2.gz ADSQEBFUHQXVNH-FQEVSTJZSA-N 0 3 322.383 4.260 20 0 DIADHN CCN(CC)[C@@H](CNc1ncnc2ccc(C)cc21)c1ccco1 ZINC000301236695 336296242 /nfs/dbraw/zinc/29/62/42/336296242.db2.gz KRFNHCNMNGAIHA-KRWDZBQOSA-N 0 3 324.428 4.026 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2ccc(Cl)cn2)CC1 ZINC000301440749 336306933 /nfs/dbraw/zinc/30/69/33/336306933.db2.gz MEYADIMKXNJQAR-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN CN1CCC[C@@H](CNc2ccc(Cl)cn2)[C@H]1c1cccs1 ZINC000301443631 336307149 /nfs/dbraw/zinc/30/71/49/336307149.db2.gz NHYWSFHETPOGIF-LRDDRELGSA-N 0 3 321.877 4.292 20 0 DIADHN CCCN1CCC(Nc2ncc(-c3ccccc3)s2)CC1 ZINC000301511422 336311476 /nfs/dbraw/zinc/31/14/76/336311476.db2.gz FFHGPDLSQRDGTB-UHFFFAOYSA-N 0 3 301.459 4.096 20 0 DIADHN CC(C)c1nsc(NC[C@@H](c2ccc(Cl)cc2)N(C)C)n1 ZINC000301510154 336311555 /nfs/dbraw/zinc/31/15/55/336311555.db2.gz ALFZTKYWQVBODQ-ZDUSSCGKSA-N 0 3 324.881 4.030 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2ccncc2Cl)CC1 ZINC000301541068 336313435 /nfs/dbraw/zinc/31/34/35/336313435.db2.gz VKURWMAKKJXECO-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN CN1CCC[C@H](CNc2ccnc(Cl)c2)[C@@H]1c1cccs1 ZINC000301618880 336318663 /nfs/dbraw/zinc/31/86/63/336318663.db2.gz BQXZBQOTHPHIQJ-MLGOLLRUSA-N 0 3 321.877 4.292 20 0 DIADHN Cc1cc(N2CCO[C@@H](c3ccsc3)C2)c2ccccc2n1 ZINC000301624360 336319084 /nfs/dbraw/zinc/31/90/84/336319084.db2.gz FWWHWTDJOOBRPS-GOSISDBHSA-N 0 3 310.422 4.183 20 0 DIADHN Cc1ccccc1CN1CCC(Nc2ccnc(Cl)c2)CC1 ZINC000301634885 336319632 /nfs/dbraw/zinc/31/96/32/336319632.db2.gz WANAYPVZJGYDFH-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN CCc1cc(N2CCO[C@H](c3cccs3)C2)c2ccccc2n1 ZINC000301769076 336328590 /nfs/dbraw/zinc/32/85/90/336328590.db2.gz CRTXVCXGPWFHJA-SFHVURJKSA-N 0 3 324.449 4.437 20 0 DIADHN CCc1cc(NC[C@](C)(O)c2cccs2)c2ccccc2n1 ZINC000301774465 336328894 /nfs/dbraw/zinc/32/88/94/336328894.db2.gz FYMKKPQOTPVYHJ-SFHVURJKSA-N 0 3 312.438 4.178 20 0 DIADHN CCc1cc(NC[C@H](O)c2ccc(F)cc2)c2ccccc2n1 ZINC000301792720 336330259 /nfs/dbraw/zinc/33/02/59/336330259.db2.gz ODVYWQWMVAUYMW-IBGZPJMESA-N 0 3 310.372 4.082 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@H](n2cccn2)C1 ZINC000302053379 336345793 /nfs/dbraw/zinc/34/57/93/336345793.db2.gz PPAVVQRAAGZMFA-INIZCTEOSA-N 0 3 320.440 4.144 20 0 DIADHN CN1CCC[C@H](CNc2nc(-c3ccccc3Cl)cs2)C1 ZINC000302078803 336347502 /nfs/dbraw/zinc/34/75/02/336347502.db2.gz KNCYXTGWWWISIP-GFCCVEGCSA-N 0 3 321.877 4.217 20 0 DIADHN Cc1ccc2nccc(NCCCCNc3ccccn3)c2c1 ZINC000302140424 336350462 /nfs/dbraw/zinc/35/04/62/336350462.db2.gz DOWACTNJKQCNPT-UHFFFAOYSA-N 0 3 306.413 4.242 20 0 DIADHN COCCOc1cccc(CNc2ccnc3ccc(C)cc32)c1 ZINC000302144483 336350693 /nfs/dbraw/zinc/35/06/93/336350693.db2.gz GYKILPUADLXCQV-UHFFFAOYSA-N 0 3 322.408 4.181 20 0 DIADHN C[C@H]1CCN(C)CCN1Cc1ccc(-c2ccccc2Cl)o1 ZINC000514104491 336352295 /nfs/dbraw/zinc/35/22/95/336352295.db2.gz KISIMOUDTXPCGS-AWEZNQCLSA-N 0 3 318.848 4.126 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)CCC(=O)c2ccc(F)cc2)C1 ZINC000352891073 336353520 /nfs/dbraw/zinc/35/35/20/336353520.db2.gz BLUDKVKLXCSZGC-CVEARBPZSA-N 0 3 309.450 4.005 20 0 DIADHN CC(=O)c1ccc(CNc2ccnc3ccc(C)cc32)nc1C ZINC000302238655 336355720 /nfs/dbraw/zinc/35/57/20/336355720.db2.gz WUSFQFWTRNNGKX-UHFFFAOYSA-N 0 3 305.381 4.061 20 0 DIADHN c1nc2ccccn2c1CN1CCC[C@H](c2ccccc2)CC1 ZINC000514105510 336357613 /nfs/dbraw/zinc/35/76/13/336357613.db2.gz RMBAIBBMAPMRFV-SFHVURJKSA-N 0 3 305.425 4.104 20 0 DIADHN CCc1cc(N2CCC([C@H]3CCCO3)CC2)c2ccccc2n1 ZINC000302549860 336361480 /nfs/dbraw/zinc/36/14/80/336361480.db2.gz JQLHGBYFYPIVRS-HXUWFJFHSA-N 0 3 310.441 4.193 20 0 DIADHN Cc1ccc2nccc(N[C@@H](C)[C@@H](O)c3ccc(F)cc3)c2c1 ZINC000302680661 336364765 /nfs/dbraw/zinc/36/47/65/336364765.db2.gz RTKHBHYNOAKZMY-ORAYPTAESA-N 0 3 310.372 4.216 20 0 DIADHN Cc1cnc(NC2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)s1 ZINC000302871896 336372732 /nfs/dbraw/zinc/37/27/32/336372732.db2.gz CDYOGGMRYCFWLG-ALOPSCKCSA-N 0 3 313.470 4.059 20 0 DIADHN OCCCN(C/C=C\c1ccccc1)[C@@H]1CCc2ccccc21 ZINC000514120816 336373207 /nfs/dbraw/zinc/37/32/07/336373207.db2.gz OIZULBHWJUSOOX-RACPOZDESA-N 0 3 307.437 4.072 20 0 DIADHN OCCCN(C/C=C/c1ccccc1)[C@H]1CCc2ccccc21 ZINC000514120814 336373221 /nfs/dbraw/zinc/37/32/21/336373221.db2.gz OIZULBHWJUSOOX-ISPXXFMDSA-N 0 3 307.437 4.072 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1ccc(O)c2nc(C)ccc12 ZINC000514134977 336374541 /nfs/dbraw/zinc/37/45/41/336374541.db2.gz UFUKUZLENDWKEB-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN CO[C@@H](CNC1(c2ccccc2)CC1)c1ccc(Cl)cc1 ZINC000514138626 336374718 /nfs/dbraw/zinc/37/47/18/336374718.db2.gz QWDDCOZOZOAAKS-KRWDZBQOSA-N 0 3 301.817 4.306 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000152437025 336376275 /nfs/dbraw/zinc/37/62/75/336376275.db2.gz YTVZNLAEGBNLIZ-KGLIPLIRSA-N 0 3 315.404 4.046 20 0 DIADHN CC[C@H](NCc1cccc(-c2cnn(C)c2)c1)c1ccccc1F ZINC000352924180 336377492 /nfs/dbraw/zinc/37/74/92/336377492.db2.gz BRJYXFTZHIZBFA-FQEVSTJZSA-N 0 3 323.415 4.467 20 0 DIADHN Cc1cccc(CCCNCc2ccccc2OC(F)(F)F)n1 ZINC000352952334 336379005 /nfs/dbraw/zinc/37/90/05/336379005.db2.gz QQJGVDWCMKTSNT-UHFFFAOYSA-N 0 3 324.346 4.011 20 0 DIADHN CC(C)c1ccc(OC2CCN(CCc3cccnc3)CC2)cc1 ZINC000563909187 336382461 /nfs/dbraw/zinc/38/24/61/336382461.db2.gz KYPZURWAMWFPST-UHFFFAOYSA-N 0 3 324.468 4.291 20 0 DIADHN FC(F)COc1ccc(CN2CC3(CCC3)[C@@H]2C2CC2)cc1 ZINC000563921733 336384131 /nfs/dbraw/zinc/38/41/31/336384131.db2.gz GPFWLUZYYUWRKS-KRWDZBQOSA-N 0 3 307.384 4.095 20 0 DIADHN Fc1cccc(OCCN2CCC(OC3CCCCC3)CC2)c1 ZINC000261383328 336384648 /nfs/dbraw/zinc/38/46/48/336384648.db2.gz QLHYISSHYNXABP-UHFFFAOYSA-N 0 3 321.436 4.018 20 0 DIADHN COc1cccc([C@H](C)NC[C@@H]2CCC3(CCCCC3)O2)c1 ZINC000389428650 336389919 /nfs/dbraw/zinc/38/99/19/336389919.db2.gz SNLNDXQNVWGDCE-YJBOKZPZSA-N 0 3 303.446 4.228 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@@H](OC)C[C@@H]2C)cc1 ZINC000443070698 336390161 /nfs/dbraw/zinc/39/01/61/336390161.db2.gz OXDGNVZWOIRTHB-QFBILLFUSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1cc(CN2CC[C@@H](CC(F)(F)F)C2)cc2cccnc12 ZINC000514651181 336391493 /nfs/dbraw/zinc/39/14/93/336391493.db2.gz DWTICHNQUMVTNQ-ZDUSSCGKSA-N 0 3 308.347 4.318 20 0 DIADHN Cc1nc2ccc(CN3CCC(OC4CCC4)CC3)cc2s1 ZINC000418094074 336399281 /nfs/dbraw/zinc/39/92/81/336399281.db2.gz RKMMXZFCKQEEAE-UHFFFAOYSA-N 0 3 316.470 4.138 20 0 DIADHN CCSc1cccc(CN2CCC(OC3CCC3)CC2)c1 ZINC000418097183 336399672 /nfs/dbraw/zinc/39/96/72/336399672.db2.gz WLNIHIHFKBWPGO-UHFFFAOYSA-N 0 3 305.487 4.332 20 0 DIADHN C[C@H](NCCCc1cncn1C)c1cccc(Cl)c1Cl ZINC000565159214 336400738 /nfs/dbraw/zinc/40/07/38/336400738.db2.gz WNAYGJSWALCAII-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc2cccnc12 ZINC000353105220 336402544 /nfs/dbraw/zinc/40/25/44/336402544.db2.gz ZEBWIPGKCBZPAB-AWEZNQCLSA-N 0 3 316.408 4.270 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1ccc2ncccc2c1 ZINC000353227994 336407321 /nfs/dbraw/zinc/40/73/21/336407321.db2.gz UTLMXSXJTFFHMF-LJQANCHMSA-N 0 3 304.393 4.157 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc2c(c1)COC2 ZINC000353340811 336412595 /nfs/dbraw/zinc/41/25/95/336412595.db2.gz DDHUNYDQOJBPLF-KUHUBIRLSA-N 0 3 309.409 4.200 20 0 DIADHN CC[C@H](N[C@@H](CCO)c1ccc(OC)cc1)c1cccc(C)c1 ZINC000353420882 336416703 /nfs/dbraw/zinc/41/67/03/336416703.db2.gz HPBIIIDXFPSQGI-PMACEKPBSA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@@H](NCc1ccc(NCCOC)cc1)c1cccc(C)c1 ZINC000353512506 336421625 /nfs/dbraw/zinc/42/16/25/336421625.db2.gz DALKMBMRWZWDDW-HXUWFJFHSA-N 0 3 312.457 4.294 20 0 DIADHN CC(C)CC[C@@H](NCCCNc1ccccn1)c1ccoc1 ZINC000353534660 336423106 /nfs/dbraw/zinc/42/31/06/336423106.db2.gz YZJTVKADVDSMAV-QGZVFWFLSA-N 0 3 301.434 4.244 20 0 DIADHN CC(C)[C@@H](NCc1ccc(C[S@](C)=O)cc1)c1ccccc1 ZINC000353801722 336441057 /nfs/dbraw/zinc/44/10/57/336441057.db2.gz GCBAJIXWRFTYJB-KNQAVFIVSA-N 0 3 315.482 4.052 20 0 DIADHN CCn1cc(CN[C@H](CCC(C)C)c2ccc(OC)cc2)cn1 ZINC000353957262 336451129 /nfs/dbraw/zinc/45/11/29/336451129.db2.gz OSHUYSZVMFWUGX-LJQANCHMSA-N 0 3 315.461 4.179 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(F)ccc21)c1ccc2n[nH]cc2c1 ZINC000353970648 336451909 /nfs/dbraw/zinc/45/19/09/336451909.db2.gz FXQBUBJBBFISEB-BUXKBTBVSA-N 0 3 309.388 4.430 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCC1(C(F)(F)F)CC1)CCCO2 ZINC000353976186 336452141 /nfs/dbraw/zinc/45/21/41/336452141.db2.gz WVVAMMMTZWFSGV-CQSZACIVSA-N 0 3 313.363 4.449 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)COC2)c1ccc2n[nH]cc2c1 ZINC000353978797 336452310 /nfs/dbraw/zinc/45/23/10/336452310.db2.gz NSKCLSFWVCNWGP-CHWSQXEVSA-N 0 3 307.397 4.005 20 0 DIADHN CCn1cc(CN[C@H](CC(C)C)c2ccc(Cl)cc2)cn1 ZINC000353978869 336452354 /nfs/dbraw/zinc/45/23/54/336452354.db2.gz OGNJYRACPXBUIE-QGZVFWFLSA-N 0 3 305.853 4.433 20 0 DIADHN CC1(C)CC(N[C@H](CCO)c2ccc(Cl)c(Cl)c2)C1 ZINC000354041206 336456038 /nfs/dbraw/zinc/45/60/38/336456038.db2.gz RMGGTIXMGWLKFY-CQSZACIVSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)c(C)s1 ZINC000354220013 336463833 /nfs/dbraw/zinc/46/38/33/336463833.db2.gz QSMPVFZHRCXNSQ-MYZSUADSSA-N 0 3 322.518 4.194 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](CC)c1ccc(OC)c(OC)c1 ZINC000354966548 336489270 /nfs/dbraw/zinc/48/92/70/336489270.db2.gz AYMKTECIQZWLQP-STQMWFEESA-N 0 3 319.367 4.476 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1ccncc1 ZINC000354972169 336489616 /nfs/dbraw/zinc/48/96/16/336489616.db2.gz UPEQJZBUQMMGLC-IGCXYCKISA-N 0 3 304.821 4.162 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1cccnc1 ZINC000354974711 336489694 /nfs/dbraw/zinc/48/96/94/336489694.db2.gz XZIUCXSIPWQUDZ-AHIWAGSCSA-N 0 3 304.821 4.162 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000354977729 336489820 /nfs/dbraw/zinc/48/98/20/336489820.db2.gz XCCGFCZLOYIPFV-GXFFZTMASA-N 0 3 302.340 4.027 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1sc(CCOC)nc1C ZINC000354987201 336490020 /nfs/dbraw/zinc/49/00/20/336490020.db2.gz DBNPPZTZALDLJQ-GXSJLCMTSA-N 0 3 324.412 4.022 20 0 DIADHN COC1CCC(C)(CNCc2coc(-c3ccccc3)n2)CC1 ZINC000355125022 336495632 /nfs/dbraw/zinc/49/56/32/336495632.db2.gz CMYSPRYAJOXVCV-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(Br)cc1 ZINC000356275220 336543801 /nfs/dbraw/zinc/54/38/01/336543801.db2.gz IHBOZUMBAFQNFF-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2cnccc2C)cc1 ZINC000356700875 336558606 /nfs/dbraw/zinc/55/86/06/336558606.db2.gz ROCPMVWOBKGOSH-HZPDHXFCSA-N 0 3 320.440 4.296 20 0 DIADHN CC[C@@H](NCc1csc(-c2ccccn2)n1)[C@H]1CC1(C)C ZINC000418108742 336566853 /nfs/dbraw/zinc/56/68/53/336566853.db2.gz RVEXNVFZIMBTBV-ZIAGYGMSSA-N 0 3 301.459 4.119 20 0 DIADHN CSc1cc(CNCc2csc3ccccc23)ccn1 ZINC000357379164 336583064 /nfs/dbraw/zinc/58/30/64/336583064.db2.gz XOSNENSZDSFXTF-UHFFFAOYSA-N 0 3 300.452 4.308 20 0 DIADHN CSCc1cnc(CNC(C)(C)Cc2ccc(C)cc2)s1 ZINC000418109348 336591862 /nfs/dbraw/zinc/59/18/62/336591862.db2.gz UICGEARPGXUMMC-UHFFFAOYSA-N 0 3 320.527 4.425 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NC[C@@H]1CCCCO1 ZINC000358014916 336606119 /nfs/dbraw/zinc/60/61/19/336606119.db2.gz DHDZDLAZCRQNLS-YJBOKZPZSA-N 0 3 303.446 4.182 20 0 DIADHN CC[C@@H](NC[C@H]1CCCCO1)c1ccccc1Br ZINC000358017800 336606345 /nfs/dbraw/zinc/60/63/45/336606345.db2.gz IQGQNKCPDYTKPV-IUODEOHRSA-N 0 3 312.251 4.059 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NC[C@@H]1CCCCO1)CCCO2 ZINC000358019824 336606598 /nfs/dbraw/zinc/60/65/98/336606598.db2.gz KCNJIIOCIIYETJ-XJKSGUPXSA-N 0 3 309.837 4.021 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccc(C)cc21)c1ccc2c(c1)OCCO2 ZINC000358019939 336606791 /nfs/dbraw/zinc/60/67/91/336606791.db2.gz LCBGKGAUTCRRNT-OALUTQOASA-N 0 3 323.436 4.494 20 0 DIADHN Fc1cccc(OCCN[C@H]2CCSc3ccc(F)cc32)c1 ZINC000358023822 336607087 /nfs/dbraw/zinc/60/70/87/336607087.db2.gz XXPYKUIYODLCGP-INIZCTEOSA-N 0 3 321.392 4.170 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H]1CC(C)(C)Cc2occc21 ZINC000358042098 336608257 /nfs/dbraw/zinc/60/82/57/336608257.db2.gz DZKNIIZFGNGIOI-QGZVFWFLSA-N 0 3 323.440 4.241 20 0 DIADHN CC(C)CCC[C@@H](NCc1cccc(C(N)=O)c1)c1ccccc1 ZINC000358078385 336610140 /nfs/dbraw/zinc/61/01/40/336610140.db2.gz BSOLRKAMZCEDDH-HXUWFJFHSA-N 0 3 324.468 4.443 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H]1CCSc2ccccc21 ZINC000358088767 336610932 /nfs/dbraw/zinc/61/09/32/336610932.db2.gz QMLATUATYQLTAV-SJLPKXTDSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@H](NCC(C)(C)C[C@@H](C)O)c1cc(Cl)ccc1OC ZINC000358149430 336613278 /nfs/dbraw/zinc/61/32/78/336613278.db2.gz BJQNEYGLLDPISS-DOMZBBRYSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@@H](N[C@H]1CCc2c1cccc2Cl)c1c(C)nn(C)c1C ZINC000358201971 336616666 /nfs/dbraw/zinc/61/66/66/336616666.db2.gz LFKGUOQDYASHQN-SJORKVTESA-N 0 3 317.864 4.419 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1ccccc1OC ZINC000358219050 336617509 /nfs/dbraw/zinc/61/75/09/336617509.db2.gz DQAYERZVJZCJDB-QGTPRVQTSA-N 0 3 311.425 4.301 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)ccc1F ZINC000358224510 336617822 /nfs/dbraw/zinc/61/78/22/336617822.db2.gz KNLRJNYQAOITRU-FBJOKTGGSA-N 0 3 319.445 4.283 20 0 DIADHN COc1cc(CN[C@H]2CCCOc3cc(C)ccc32)ccc1C ZINC000358230592 336618276 /nfs/dbraw/zinc/61/82/76/336618276.db2.gz YHXBJYPBEHNPOO-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN CC[C@@H](N[C@H](CO)CCSC)c1ccc(Cl)cc1Cl ZINC000358299998 336622463 /nfs/dbraw/zinc/62/24/63/336622463.db2.gz NEVRNEMIXBRACT-SMDDNHRTSA-N 0 3 322.301 4.148 20 0 DIADHN CC[C@H](NCc1cc(F)cc(OC)c1)c1cccc(OC)c1 ZINC000358296848 336622322 /nfs/dbraw/zinc/62/23/22/336622322.db2.gz FMHZYRZFFQDQAJ-SFHVURJKSA-N 0 3 303.377 4.084 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCCSCC(F)(F)F)C1 ZINC000358297861 336622340 /nfs/dbraw/zinc/62/23/40/336622340.db2.gz KLDXXUJKLSLADY-LLVKDONJSA-N 0 3 307.381 4.178 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCCSCC(F)(F)F ZINC000358297987 336622425 /nfs/dbraw/zinc/62/24/25/336622425.db2.gz KYFUVYFUFRRHMG-ZDUSSCGKSA-N 0 3 319.392 4.094 20 0 DIADHN C[C@H](CO)CCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000358363203 336626315 /nfs/dbraw/zinc/62/63/15/336626315.db2.gz DFFBVIDOKMTIGH-GXSJLCMTSA-N 0 3 322.301 4.431 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)[C@H]2Oc3ccccc3[C@@H]2C)cn1 ZINC000358390148 336627793 /nfs/dbraw/zinc/62/77/93/336627793.db2.gz XNWDZUDBBFRFJC-ZTENYAIWSA-N 0 3 313.445 4.068 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CC[C@@H](C)c2ccccc21 ZINC000358396790 336628119 /nfs/dbraw/zinc/62/81/19/336628119.db2.gz ILGOBDAWAPETQM-JLZZUVOBSA-N 0 3 301.455 4.318 20 0 DIADHN CCC[C@H](NC[C@@H](COC)OC)c1ccc(Cl)cc1Cl ZINC000358439123 336630051 /nfs/dbraw/zinc/63/00/51/336630051.db2.gz XJKRKJYERJRWID-WFASDCNBSA-N 0 3 320.260 4.086 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000358914606 336647397 /nfs/dbraw/zinc/64/73/97/336647397.db2.gz LXEASQRYVXLPPN-WMZOPIPTSA-N 0 3 315.848 4.192 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCC[C@@H]2NCc1ccccc1 ZINC000358942105 336648592 /nfs/dbraw/zinc/64/85/92/336648592.db2.gz ZRQQEUYCQJMZCQ-IBGZPJMESA-N 0 3 303.409 4.040 20 0 DIADHN C[C@H](NCC12CCC(CC1)C2)c1ccc(Br)cn1 ZINC000358974101 336650716 /nfs/dbraw/zinc/65/07/16/336650716.db2.gz YLNNOVWKFYIUSZ-BZUNDVKYSA-N 0 3 309.251 4.075 20 0 DIADHN FC(F)C1(CN[C@@H]2CCCOc3cc4c(cc32)CCCC4)CC1 ZINC000358998467 336652220 /nfs/dbraw/zinc/65/22/20/336652220.db2.gz HSKACFROYVMFRU-MRXNPFEDSA-N 0 3 321.411 4.414 20 0 DIADHN FC(F)C1(CN[C@H]2CCCc3ncc(C(F)(F)F)cc32)CC1 ZINC000359007146 336652871 /nfs/dbraw/zinc/65/28/71/336652871.db2.gz YXCYILGPZHQABY-LBPRGKRZSA-N 0 3 320.305 4.113 20 0 DIADHN CC1CCC(C)(CN[C@H](c2ccccc2)c2cncnc2)CC1 ZINC000359040599 336654827 /nfs/dbraw/zinc/65/48/27/336654827.db2.gz WJFNGVHFQCEYNW-NQKNOSNGSA-N 0 3 309.457 4.372 20 0 DIADHN CCC[C@H](N[C@H](C)C(=O)N1Cc2ccccc2C1)c1ccccc1 ZINC000359045885 336655146 /nfs/dbraw/zinc/65/51/46/336655146.db2.gz KFPSBCJCBKCTNK-UZLBHIALSA-N 0 3 322.452 4.048 20 0 DIADHN CC(C)(C)CC[C@@H](CO)N[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000359078528 336656644 /nfs/dbraw/zinc/65/66/44/336656644.db2.gz DCISSWUAXUMYDQ-DOTOQJQBSA-N 0 3 317.473 4.210 20 0 DIADHN CO[C@H](CN[C@@H]1CCCOc2cc(F)ccc21)c1ccccc1 ZINC000359225536 336663670 /nfs/dbraw/zinc/66/36/70/336663670.db2.gz LXNJCQVTSFMUHO-IEBWSBKVSA-N 0 3 315.388 4.017 20 0 DIADHN CC(C)[C@@H](NCc1ccsc1)c1ccc2[nH]c(=O)oc2c1 ZINC000359273513 336665310 /nfs/dbraw/zinc/66/53/10/336665310.db2.gz BRTKTCKRCGZWBU-OAHLLOKOSA-N 0 3 302.399 4.082 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000359330511 336668063 /nfs/dbraw/zinc/66/80/63/336668063.db2.gz KZAKJADBEQLVNT-UONOGXRCSA-N 0 3 311.429 4.014 20 0 DIADHN COCCN[C@@H](CCSc1ccccc1)c1ccc(F)cc1 ZINC000359364139 336669430 /nfs/dbraw/zinc/66/94/30/336669430.db2.gz DAZNIDXFAWKCIB-SFHVURJKSA-N 0 3 319.445 4.285 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCCCc3ccccc32)cn1 ZINC000360153595 336703175 /nfs/dbraw/zinc/70/31/75/336703175.db2.gz JQAROECVCWZWAV-FQEVSTJZSA-N 0 3 323.484 4.485 20 0 DIADHN C[C@H](COc1cccc(F)c1)NCc1cc2cc(F)ccc2o1 ZINC000360506996 336713400 /nfs/dbraw/zinc/71/34/00/336713400.db2.gz IIBIWUNHLIZRJX-GFCCVEGCSA-N 0 3 317.335 4.268 20 0 DIADHN O=C1CC[C@H](N[C@H]2C[C@@H]2C2CCCCC2)c2cc(F)ccc2N1 ZINC000360616360 336716242 /nfs/dbraw/zinc/71/62/42/336716242.db2.gz UJWFIOHTZFZODL-HFTRVMKXSA-N 0 3 316.420 4.158 20 0 DIADHN C[C@H](NCCCc1ccncc1)c1nc(-c2ccccc2)cs1 ZINC000360634561 336716785 /nfs/dbraw/zinc/71/67/85/336716785.db2.gz PMOCOGHYBCFUJZ-HNNXBMFYSA-N 0 3 323.465 4.489 20 0 DIADHN CN(C)c1ncccc1CN[C@@H]1CCC(C)(C)c2ccccc21 ZINC000360837240 336723758 /nfs/dbraw/zinc/72/37/58/336723758.db2.gz PCRXYBWWDJCYMQ-GOSISDBHSA-N 0 3 309.457 4.050 20 0 DIADHN Cc1ccc([C@H](CN[C@@H]2CCCOc3ccccc32)N(C)C)cc1 ZINC000360856411 336724535 /nfs/dbraw/zinc/72/45/35/336724535.db2.gz GSUUDSYYGNINNL-UXHICEINSA-N 0 3 324.468 4.101 20 0 DIADHN CC(C)CCOCCN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000360855682 336724557 /nfs/dbraw/zinc/72/45/57/336724557.db2.gz FRRURHMLWYWPHO-KRWDZBQOSA-N 0 3 303.446 4.085 20 0 DIADHN Cc1ccc([C@@H](CN[C@H]2CCCOc3ccccc32)N(C)C)cc1 ZINC000360856412 336724580 /nfs/dbraw/zinc/72/45/80/336724580.db2.gz GSUUDSYYGNINNL-VQTJNVASSA-N 0 3 324.468 4.101 20 0 DIADHN CCN(CC)[C@@H](CN[C@H]1CCCc2sccc21)c1ccco1 ZINC000360857539 336724665 /nfs/dbraw/zinc/72/46/65/336724665.db2.gz JJSRFHSPQKGVME-HOTGVXAUSA-N 0 3 318.486 4.391 20 0 DIADHN CNC(=O)C[C@@H](N[C@@H](C)c1cc(C)c(C)cc1C)c1ccccc1 ZINC000360919941 336727286 /nfs/dbraw/zinc/72/72/86/336727286.db2.gz ONTFTFMZERXBLY-FXAWDEMLSA-N 0 3 324.468 4.140 20 0 DIADHN CO[C@@H](C)CCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360933055 336728125 /nfs/dbraw/zinc/72/81/25/336728125.db2.gz IERCUOISWZPNKM-WPRPVWTQSA-N 0 3 308.274 4.447 20 0 DIADHN Cc1nc([C@H](C)NCCc2cn(C)c3cc(C)ccc23)cs1 ZINC000360983501 336730822 /nfs/dbraw/zinc/73/08/22/336730822.db2.gz BASZMDLIURZAHL-ZDUSSCGKSA-N 0 3 313.470 4.145 20 0 DIADHN COCCC[C@H](Cc1ccccc1)N[C@@H](C)c1csc(C)n1 ZINC000360995122 336731442 /nfs/dbraw/zinc/73/14/42/336731442.db2.gz HOPUVLQBCKBGRV-WMLDXEAASA-N 0 3 318.486 4.140 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H]1CCCc2ccc(O)cc21 ZINC000360997914 336731753 /nfs/dbraw/zinc/73/17/53/336731753.db2.gz OOPRLVNPVMBMJE-CABCVRRESA-N 0 3 301.352 4.336 20 0 DIADHN Cn1nc2c(c1CN[C@@H](CC1CCC1)c1ccccc1)CCCC2 ZINC000361002750 336732277 /nfs/dbraw/zinc/73/22/77/336732277.db2.gz LHYNGCUJTHMUCA-FQEVSTJZSA-N 0 3 323.484 4.320 20 0 DIADHN Cc1ccc(C2CCN(CCO[C@@H]3CCCC[C@H]3C)CC2)cn1 ZINC000361061456 336736202 /nfs/dbraw/zinc/73/62/02/336736202.db2.gz ZQTCBDJGASOPBI-OXQOHEQNSA-N 0 3 316.489 4.165 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361180889 336742718 /nfs/dbraw/zinc/74/27/18/336742718.db2.gz VBWQBVALTJBJEH-ZBEGNZNMSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](CCc1ccsc1)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361187039 336743404 /nfs/dbraw/zinc/74/34/04/336743404.db2.gz NSYVNCIPUFRPPB-ABAIWWIYSA-N 0 3 323.408 4.461 20 0 DIADHN C[C@H](CCC(F)(F)F)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361188099 336743446 /nfs/dbraw/zinc/74/34/46/336743446.db2.gz RHXSBHXALRWHKV-KCJUWKMLSA-N 0 3 309.278 4.109 20 0 DIADHN CC[C@@H](NCCc1sc(C)nc1C)c1ccc(F)cc1F ZINC000361314377 336750467 /nfs/dbraw/zinc/75/04/67/336750467.db2.gz DEIKBDMJBUGSCD-OAHLLOKOSA-N 0 3 310.413 4.322 20 0 DIADHN CC[C@H](NCc1cccc(-n2ccnc2)c1)c1ccccc1OC ZINC000361480715 336758337 /nfs/dbraw/zinc/75/83/37/336758337.db2.gz FETLYOWDFHATCK-IBGZPJMESA-N 0 3 321.424 4.122 20 0 DIADHN C[C@H](CN[C@H]1CCCOc2ccccc21)Oc1cccc(F)c1 ZINC000361700405 336769284 /nfs/dbraw/zinc/76/92/84/336769284.db2.gz VXWOINQSTRGCSF-KDOFPFPSSA-N 0 3 315.388 4.097 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(-n3ccnc3)cc2C)c1 ZINC000361864888 336775988 /nfs/dbraw/zinc/77/59/88/336775988.db2.gz GUBMGQRVNXZWIY-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@@H]1C[C@H](C)Sc2sccc21 ZINC000361879100 336776417 /nfs/dbraw/zinc/77/64/17/336776417.db2.gz GBEGIUHROUJTRZ-BJJXKVORSA-N 0 3 313.532 4.450 20 0 DIADHN CCC1(CN[C@@H](C)c2ccc(-n3cncn3)cc2Cl)CCC1 ZINC000361927581 336778484 /nfs/dbraw/zinc/77/84/84/336778484.db2.gz TYUMHTMAZAFXFD-ZDUSSCGKSA-N 0 3 318.852 4.152 20 0 DIADHN COCC[C@@H](N[C@@H]1CCCc2c(O)cccc21)c1ccccc1 ZINC000361930874 336778677 /nfs/dbraw/zinc/77/86/77/336778677.db2.gz RXGWPIKOFRQAEU-RTBURBONSA-N 0 3 311.425 4.137 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H](CO)c2ccccc2)c2ccsc2S1 ZINC000361966973 336780340 /nfs/dbraw/zinc/78/03/40/336780340.db2.gz HZYSQMGDAVTTJX-XPKDYRNWSA-N 0 3 319.495 4.039 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@@H]2SCCc3ccccc32)s1 ZINC000361967128 336780347 /nfs/dbraw/zinc/78/03/47/336780347.db2.gz QNZNGLKIZQEKEW-ZBEGNZNMSA-N 0 3 318.511 4.441 20 0 DIADHN CCCC1(C(=O)Nc2cc(CN)cc(C(F)(F)F)c2)CCC1 ZINC000362572790 336804517 /nfs/dbraw/zinc/80/45/17/336804517.db2.gz LZFAUNZZROCNCK-UHFFFAOYSA-N 0 3 314.351 4.073 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2cccc(Cl)c2)cn1 ZINC000362677146 336808757 /nfs/dbraw/zinc/80/87/57/336808757.db2.gz PJNACEGFQKYRIK-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN c1csc([C@@H](CNc2nccc3occc32)N2CCCC2)c1 ZINC000362820602 336812593 /nfs/dbraw/zinc/81/25/93/336812593.db2.gz PLKPXEHUKSXLNT-CQSZACIVSA-N 0 3 313.426 4.138 20 0 DIADHN CCc1cnc(CNC2CC(c3cc(F)cc(F)c3)C2)s1 ZINC000368030313 336835954 /nfs/dbraw/zinc/83/59/54/336835954.db2.gz MWANUVGNMOXZRA-UHFFFAOYSA-N 0 3 308.397 4.020 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cc(-c3cccnc3)n[nH]2)cc1 ZINC000368534814 336847009 /nfs/dbraw/zinc/84/70/09/336847009.db2.gz QEZHXINSKPTCFM-CYBMUJFWSA-N 0 3 324.453 4.044 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1nccc2ccccc21 ZINC000368689098 336850926 /nfs/dbraw/zinc/85/09/26/336850926.db2.gz SHDJUGQCHUXRER-DOXZYTNZSA-N 0 3 318.420 4.450 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](c1cccnc1)C1CCC1)CCC2 ZINC000370209401 336879649 /nfs/dbraw/zinc/87/96/49/336879649.db2.gz HIDBIRVODUEFTG-IAGOWNOFSA-N 0 3 313.470 4.355 20 0 DIADHN Cc1ncc([C@H](C)NCc2cccc(-c3cccnc3)c2)c(C)n1 ZINC000372094931 336921900 /nfs/dbraw/zinc/92/19/00/336921900.db2.gz MHJLIXCCNFJJTJ-AWEZNQCLSA-N 0 3 318.424 4.006 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCCCOCc1ccccc1 ZINC000390203133 336935342 /nfs/dbraw/zinc/93/53/42/336935342.db2.gz RHZPUPWKTSGGGN-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1ccc(Cl)nc1 ZINC000373168510 336950432 /nfs/dbraw/zinc/95/04/32/336950432.db2.gz ZHPVSNZGVZEKCH-OWQGQXMQSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)C[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000583687054 336950896 /nfs/dbraw/zinc/95/08/96/336950896.db2.gz MWGAOQLHNQKFJW-SLEUVZQESA-N 0 3 317.408 4.351 20 0 DIADHN CCCc1cccc(CN[C@@H]2CCN(C(C)=O)c3ccccc32)c1 ZINC000373463408 336961194 /nfs/dbraw/zinc/96/11/94/336961194.db2.gz XKNSTVYSSMVZOY-HXUWFJFHSA-N 0 3 322.452 4.227 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H]1CCc2c1cccc2OC ZINC000121608933 336968801 /nfs/dbraw/zinc/96/88/01/336968801.db2.gz HOHHMMBUTNKWKG-PMACEKPBSA-N 0 3 323.436 4.358 20 0 DIADHN CC(C)C[C@@H](NC(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000303930201 336979145 /nfs/dbraw/zinc/97/91/45/336979145.db2.gz VKJFWYMOGYODOR-HXUWFJFHSA-N 0 3 324.468 4.265 20 0 DIADHN Clc1ccc(CN[C@H](c2ccccc2)[C@H]2CCOC2)cc1 ZINC000374469531 336989937 /nfs/dbraw/zinc/98/99/37/336989937.db2.gz HBUGIZURLICALM-FUHWJXTLSA-N 0 3 301.817 4.207 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)CC2 ZINC000374502109 336990880 /nfs/dbraw/zinc/99/08/80/336990880.db2.gz IPKRPMLYMYQKTR-UIFIKXQLSA-N 0 3 323.436 4.050 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H]1CCc3cc(F)ccc31)CCC2 ZINC000375185419 337005460 /nfs/dbraw/zinc/00/54/60/337005460.db2.gz YYRZALHWZHWCFD-ROUUACIJSA-N 0 3 313.420 4.258 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2cccc(C(F)F)c2)CC1 ZINC000375393473 337010106 /nfs/dbraw/zinc/01/01/06/337010106.db2.gz YAPVUMLUQALGGJ-UHFFFAOYSA-N 0 3 305.372 4.035 20 0 DIADHN CCCc1nc(CN2CCC[C@@H]2[C@@H]2CCCC[C@]2(C)O)cs1 ZINC000375485327 337011910 /nfs/dbraw/zinc/01/19/10/337011910.db2.gz UQZBRALIKJBRBX-JZXOWHBKSA-N 0 3 322.518 4.001 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H](c1cccnc1)C1CCC1)CCC2 ZINC000375637538 337015362 /nfs/dbraw/zinc/01/53/62/337015362.db2.gz XNPOJJLEJPGUBW-RBUKOAKNSA-N 0 3 324.472 4.367 20 0 DIADHN Clc1cccc(Cl)c1CNC1(C2CC2)CCOCC1 ZINC000375701265 337017256 /nfs/dbraw/zinc/01/72/56/337017256.db2.gz MWQNGPLRROAMAQ-UHFFFAOYSA-N 0 3 300.229 4.042 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCC[C@H]2NCc2ccon2)cc1 ZINC000376180667 337029084 /nfs/dbraw/zinc/02/90/84/337029084.db2.gz ICLDQPZLXCKNRH-HUUCEWRRSA-N 0 3 310.319 4.119 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1cc(F)ccc1Cl ZINC000429228394 337030230 /nfs/dbraw/zinc/03/02/30/337030230.db2.gz RKXBYUVOKQUUAP-UHFFFAOYSA-N 0 3 323.843 4.150 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)cn1 ZINC000376450409 337033704 /nfs/dbraw/zinc/03/37/04/337033704.db2.gz UBIIPGRWDZOADU-RVZJWNSFSA-N 0 3 319.399 4.339 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](CCCO)c1ccccc1)[C@H](C)C2 ZINC000305819414 337038167 /nfs/dbraw/zinc/03/81/67/337038167.db2.gz KSRMQZZJADZQET-TYCQWZJGSA-N 0 3 309.453 4.332 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](CCCO)c1ccccc1)[C@@H](C)C2 ZINC000305819415 337038252 /nfs/dbraw/zinc/03/82/52/337038252.db2.gz KSRMQZZJADZQET-ZLGUVYLKSA-N 0 3 309.453 4.332 20 0 DIADHN CC(C)CCc1nc(CN[C@@H]2CCCC23CCOCC3)cs1 ZINC000376740876 337040360 /nfs/dbraw/zinc/04/03/60/337040360.db2.gz ZRYBCZAHWKRVKV-MRXNPFEDSA-N 0 3 322.518 4.171 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(-c3cccnc3)cc2)ccn1 ZINC000376828301 337042242 /nfs/dbraw/zinc/04/22/42/337042242.db2.gz NNCHOMPDIIQOMU-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@H](C2CCOCC2)C1 ZINC000377251321 337049455 /nfs/dbraw/zinc/04/94/55/337049455.db2.gz PYTWQYGTBUDZHX-INIZCTEOSA-N 0 3 320.502 4.049 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2c3ccccc3CC23CCOCC3)c1 ZINC000377771001 337060714 /nfs/dbraw/zinc/06/07/14/337060714.db2.gz COCDIQWIWVYWLJ-OXJNMPFZSA-N 0 3 322.452 4.135 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@H]2c3ccccc3CC23CCOCC3)c1 ZINC000377771010 337060739 /nfs/dbraw/zinc/06/07/39/337060739.db2.gz COCDIQWIWVYWLJ-UZLBHIALSA-N 0 3 322.452 4.135 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc(Cl)nc1 ZINC000377796035 337061246 /nfs/dbraw/zinc/06/12/46/337061246.db2.gz KGYISUSCQQWEPR-XKQJLSEDSA-N 0 3 316.832 4.306 20 0 DIADHN Cc1cn2c(n1)[C@@H](CNCc1c(Cl)cccc1Cl)CCC2 ZINC000377838305 337062351 /nfs/dbraw/zinc/06/23/51/337062351.db2.gz XNHOQBNYRAQDDH-GFCCVEGCSA-N 0 3 324.255 4.166 20 0 DIADHN Cc1ccccc1CN[C@@H]1c2ccccc2CC[C@H]1n1ccnc1 ZINC000377865833 337062832 /nfs/dbraw/zinc/06/28/32/337062832.db2.gz VAHCIEQGRIECSW-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccccc2-c2cnn(C)c2)o1 ZINC000378401941 337071912 /nfs/dbraw/zinc/07/19/12/337071912.db2.gz KVHOTUVAYXTZLC-CQSZACIVSA-N 0 3 309.413 4.093 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](c2ccccc2)C2CC2)c2ccccc21 ZINC000378435859 337072648 /nfs/dbraw/zinc/07/26/48/337072648.db2.gz VVSPDZOXXWTMBX-CTNGQTDRSA-N 0 3 320.436 4.225 20 0 DIADHN CSC1(CNCc2cnc(-c3cccs3)s2)CCC1 ZINC000193643513 337078842 /nfs/dbraw/zinc/07/88/42/337078842.db2.gz YUOPNFNUNUKFQV-UHFFFAOYSA-N 0 3 310.513 4.247 20 0 DIADHN CSC1(CN[C@H](C)c2ccccc2OC(F)F)CCC1 ZINC000193808762 337080275 /nfs/dbraw/zinc/08/02/75/337080275.db2.gz IWHJNKDSFARXNS-LLVKDONJSA-N 0 3 301.402 4.224 20 0 DIADHN CSC1(CN[C@H](C)c2ccccc2OC(F)(F)F)CC1 ZINC000193826857 337080356 /nfs/dbraw/zinc/08/03/56/337080356.db2.gz LBYISPQYJKWBOP-SNVBAGLBSA-N 0 3 305.365 4.131 20 0 DIADHN CSC1(CN[C@@H](C)c2ccccc2OC(F)(F)F)CC1 ZINC000193826843 337080384 /nfs/dbraw/zinc/08/03/84/337080384.db2.gz LBYISPQYJKWBOP-JTQLQIEISA-N 0 3 305.365 4.131 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCc3cc(OC)ccc32)c1 ZINC000320959752 337081985 /nfs/dbraw/zinc/08/19/85/337081985.db2.gz GKWWOVKVMBYRPL-VLIAUNLRSA-N 0 3 311.425 4.432 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)ccc1C ZINC000194270182 337091674 /nfs/dbraw/zinc/09/16/74/337091674.db2.gz VFXQKHJLZLAEBJ-QUCCMNQESA-N 0 3 311.425 4.014 20 0 DIADHN COc1ccc([C@H](NCCC(C)(F)F)c2ccccc2)cc1 ZINC000393637934 337093323 /nfs/dbraw/zinc/09/33/23/337093323.db2.gz PFKYBXUZVCEZKB-QGZVFWFLSA-N 0 3 305.368 4.420 20 0 DIADHN CCOCC(C)(C)NCc1cc(Br)ccc1Cl ZINC000393655392 337093463 /nfs/dbraw/zinc/09/34/63/337093463.db2.gz GDXPCPOKWBSTAW-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CSC2(C)C)c2ccccc2)cc1 ZINC000393824715 337093893 /nfs/dbraw/zinc/09/38/93/337093893.db2.gz FOLUTBCOKXGMPL-QZTJIDSGSA-N 0 3 313.466 4.268 20 0 DIADHN CCc1ccc(C[C@@H](Cc2ccc(Cl)cc2)NCCF)nc1 ZINC000582509604 337099543 /nfs/dbraw/zinc/09/95/43/337099543.db2.gz KCYSCHJIOBESNJ-GOSISDBHSA-N 0 3 320.839 4.010 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2nccc3ccccc32)c1 ZINC000582517063 337100064 /nfs/dbraw/zinc/10/00/64/337100064.db2.gz SFJUGQAIIIWLOH-HNNXBMFYSA-N 0 3 306.409 4.232 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000582573659 337107650 /nfs/dbraw/zinc/10/76/50/337107650.db2.gz RJFOMLVGWPVARO-LIRRHRJNSA-N 0 3 317.404 4.014 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000582636713 337116550 /nfs/dbraw/zinc/11/65/50/337116550.db2.gz PANRJNPOXDIUJA-CYBMUJFWSA-N 0 3 304.384 4.297 20 0 DIADHN C[C@@H](NCc1ccccc1-n1ccnc1)c1ccc(F)c(F)c1 ZINC000173349034 337134714 /nfs/dbraw/zinc/13/47/14/337134714.db2.gz VMYOKQZVBBCAQM-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccccc1N(C)C)CCC2 ZINC000173379718 337134989 /nfs/dbraw/zinc/13/49/89/337134989.db2.gz JMWMYGYLEKGJMK-KRWDZBQOSA-N 0 3 315.486 4.027 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2cnc3c(cnn3C(C)C)c2)C1 ZINC000174196565 337139697 /nfs/dbraw/zinc/13/96/97/337139697.db2.gz ZWUWXEVNIFRFPU-SFHVURJKSA-N 0 3 300.450 4.024 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H]4[C@H](C3)C4(C)C)o2)cc1C ZINC000176904424 337153689 /nfs/dbraw/zinc/15/36/89/337153689.db2.gz GMWLRJYTBYPUAF-CWRNSKLLSA-N 0 3 311.429 4.002 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1ccccc1-n1cccn1 ZINC000177210319 337154897 /nfs/dbraw/zinc/15/48/97/337154897.db2.gz OJKTUKVTJSIINC-YOEHRIQHSA-N 0 3 307.397 4.194 20 0 DIADHN Clc1ccccc1-c1nnc(CN2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000177551762 337156634 /nfs/dbraw/zinc/15/66/34/337156634.db2.gz JXQDBIHQTJSUDM-BETUJISGSA-N 0 3 317.820 4.012 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2ccccc2-n2cccn2)cn1 ZINC000177329302 337156904 /nfs/dbraw/zinc/15/69/04/337156904.db2.gz LIAMVWAZWCRACX-CVEARBPZSA-N 0 3 323.444 4.062 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc(OC)c(OC)c1 ZINC000177351102 337157075 /nfs/dbraw/zinc/15/70/75/337157075.db2.gz DFPASWUDLFTZBC-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC[C@H](c3nccs3)C1)CC2 ZINC000177697445 337158533 /nfs/dbraw/zinc/15/85/33/337158533.db2.gz XOWMVNKKWHYIGN-BBRMVZONSA-N 0 3 302.418 4.149 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)c1cccc(CN(C)C)c1 ZINC000177942835 337159258 /nfs/dbraw/zinc/15/92/58/337159258.db2.gz QANCAYWMCHRFOY-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1sc(C)nc1C)c1ccco1 ZINC000178139360 337162626 /nfs/dbraw/zinc/16/26/26/337162626.db2.gz GILZKVKOEFSDON-WFASDCNBSA-N 0 3 321.490 4.087 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000178718132 337164730 /nfs/dbraw/zinc/16/47/30/337164730.db2.gz AQARYTCDIWNKEA-LSDHHAIUSA-N 0 3 320.440 4.202 20 0 DIADHN c1nc(CN[C@@H](c2ccccc2)[C@H]2CCCc3ccccc32)c[nH]1 ZINC000178957254 337166529 /nfs/dbraw/zinc/16/65/29/337166529.db2.gz HUVTYOVBNPCROP-SFTDATJTSA-N 0 3 317.436 4.361 20 0 DIADHN c1ncc(CN[C@@H](c2ccccc2)[C@H]2CCCc3ccccc32)[nH]1 ZINC000178957254 337166530 /nfs/dbraw/zinc/16/65/30/337166530.db2.gz HUVTYOVBNPCROP-SFTDATJTSA-N 0 3 317.436 4.361 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN[C@@H]2CCCc3ccc(C)cc32)o1 ZINC000179361605 337167429 /nfs/dbraw/zinc/16/74/29/337167429.db2.gz NGGHCVFNMBRASC-GOSISDBHSA-N 0 3 321.424 4.454 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NCCc1cscn1 ZINC000179114273 337167975 /nfs/dbraw/zinc/16/79/75/337167975.db2.gz PHAJGWZKRHJNGP-OAHLLOKOSA-N 0 3 322.861 4.151 20 0 DIADHN COCc1cccc(CN(C)[C@H]2CCCc3nc(C)sc32)c1 ZINC000179236890 337168425 /nfs/dbraw/zinc/16/84/25/337168425.db2.gz PDYNINRVBPCECN-KRWDZBQOSA-N 0 3 316.470 4.107 20 0 DIADHN CC[C@@H](NCc1ccc(-n2cccn2)cc1)c1ccccc1F ZINC000180129154 337171578 /nfs/dbraw/zinc/17/15/78/337171578.db2.gz SJYQWEVBTVHPRC-LJQANCHMSA-N 0 3 309.388 4.252 20 0 DIADHN CC[C@@H](NCc1cccc(CN(C)C)c1)c1ccccc1F ZINC000180043678 337173386 /nfs/dbraw/zinc/17/33/86/337173386.db2.gz ZEKAPZLHOMOJFE-LJQANCHMSA-N 0 3 300.421 4.128 20 0 DIADHN Cc1cnc(CCN(C)Cc2c(C)oc3ccccc32)s1 ZINC000180058727 337173448 /nfs/dbraw/zinc/17/34/48/337173448.db2.gz AGJBRAMUMWKNJB-UHFFFAOYSA-N 0 3 300.427 4.181 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000582802037 337175546 /nfs/dbraw/zinc/17/55/46/337175546.db2.gz VKJMBSVOESTNMD-BMFZPTHFSA-N 0 3 308.491 4.395 20 0 DIADHN C[C@H](NCC[C@@H]1CCCCO1)c1nc(-c2ccccc2)cs1 ZINC000180263410 337175576 /nfs/dbraw/zinc/17/55/76/337175576.db2.gz POIPVZVXQMOTJG-HOCLYGCPSA-N 0 3 316.470 4.420 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@@H](C)c1nccs1)CC3 ZINC000180491076 337175868 /nfs/dbraw/zinc/17/58/68/337175868.db2.gz YSCAKLIRACEXNO-STQMWFEESA-N 0 3 311.454 4.141 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000180670574 337177100 /nfs/dbraw/zinc/17/71/00/337177100.db2.gz YKDBXVKLJFLBGB-KSSFIOAISA-N 0 3 315.388 4.171 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1ccccc1C)c1ccccc1 ZINC000180673193 337177159 /nfs/dbraw/zinc/17/71/59/337177159.db2.gz JUOMBQSQHLQYGT-VQIMIIECSA-N 0 3 311.425 4.340 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1ccccc1F ZINC000181021995 337179385 /nfs/dbraw/zinc/17/93/85/337179385.db2.gz XSZDLCDAYIIKTQ-LIRRHRJNSA-N 0 3 324.403 4.208 20 0 DIADHN CC(C)C[C@H]1CCCCCN1Cc1nnc(C2CC2)n1C1CC1 ZINC000181371669 337181759 /nfs/dbraw/zinc/18/17/59/337181759.db2.gz MVILWAAIVYQYRE-QGZVFWFLSA-N 0 3 316.493 4.281 20 0 DIADHN C[C@@H](NCCCc1ccccn1)c1nc(-c2ccccc2)cs1 ZINC000181491366 337182636 /nfs/dbraw/zinc/18/26/36/337182636.db2.gz IORAXFVOFULGLL-OAHLLOKOSA-N 0 3 323.465 4.489 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN(Cc2cnoc2C)CC1 ZINC000181650279 337183553 /nfs/dbraw/zinc/18/35/53/337183553.db2.gz TXFSCKXZOHSPLA-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1cncc(CN[C@@H]2CCc3ccc(OC(F)(F)F)cc32)c1 ZINC000181834329 337184819 /nfs/dbraw/zinc/18/48/19/337184819.db2.gz GCXXZPHLEUPBMB-MRXNPFEDSA-N 0 3 322.330 4.066 20 0 DIADHN Cn1cc(CNc2ccnc3ccccc32)c(-c2ccccc2)n1 ZINC000181808901 337184902 /nfs/dbraw/zinc/18/49/02/337184902.db2.gz ULYFWURANWGWJU-UHFFFAOYSA-N 0 3 314.392 4.247 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc(Cl)s2)cc1 ZINC000182013343 337185668 /nfs/dbraw/zinc/18/56/68/337185668.db2.gz AAUNXZOOUZAAHX-JTQLQIEISA-N 0 3 308.834 4.211 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@H](CCO)c1ccco1 ZINC000469780818 337186172 /nfs/dbraw/zinc/18/61/72/337186172.db2.gz QTGIGYJCAQSYOX-DGCLKSJQSA-N 0 3 313.850 4.029 20 0 DIADHN OCCN(Cc1ccc(C(F)F)cc1)[C@H]1CCc2ccccc21 ZINC000183955977 337195776 /nfs/dbraw/zinc/19/57/76/337195776.db2.gz HOIOLMWVCHWFQH-SFHVURJKSA-N 0 3 317.379 4.106 20 0 DIADHN FC(F)c1ccc(CNCc2ccc(-n3cccn3)cc2)cc1 ZINC000185172054 337202541 /nfs/dbraw/zinc/20/25/41/337202541.db2.gz DIOOLQMWVKMTNR-UHFFFAOYSA-N 0 3 313.351 4.100 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc(C(F)F)cc2)o1 ZINC000185186511 337202640 /nfs/dbraw/zinc/20/26/40/337202640.db2.gz BFVSXEMOGAGQIR-UHFFFAOYSA-N 0 3 317.339 4.206 20 0 DIADHN C[C@H](NCCCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000395180107 337209350 /nfs/dbraw/zinc/20/93/50/337209350.db2.gz DSCOOQYMAYXYOH-HNNXBMFYSA-N 0 3 304.482 4.016 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccccc1OC1CC1 ZINC000582931115 337215828 /nfs/dbraw/zinc/21/58/28/337215828.db2.gz LDSGFQIENXVWHZ-HNNXBMFYSA-N 0 3 313.363 4.391 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccccc1OC1CC1 ZINC000582931118 337215863 /nfs/dbraw/zinc/21/58/63/337215863.db2.gz LDSGFQIENXVWHZ-OAHLLOKOSA-N 0 3 313.363 4.391 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccc(F)cc1)c1cc2c(s1)CCCC2 ZINC000583040933 337233049 /nfs/dbraw/zinc/23/30/49/337233049.db2.gz MCBOEARCPOBFIA-LRDDRELGSA-N 0 3 319.445 4.150 20 0 DIADHN COC[C@H](NCCCc1cccs1)c1cccc(Cl)c1 ZINC000584380036 337233105 /nfs/dbraw/zinc/23/31/05/337233105.db2.gz GYVFCRGBIPYNHJ-INIZCTEOSA-N 0 3 309.862 4.311 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(-c3ccccn3)s2)CC1(C)C ZINC000583075870 337236194 /nfs/dbraw/zinc/23/61/94/337236194.db2.gz FMVRGYIFFDMZTR-OAHLLOKOSA-N 0 3 301.459 4.072 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(-c3ccccn3)s2)CC1(C)C ZINC000583075869 337236237 /nfs/dbraw/zinc/23/62/37/337236237.db2.gz FMVRGYIFFDMZTR-HNNXBMFYSA-N 0 3 301.459 4.072 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)[C@@H](C)C1)c1ncc(Br)s1 ZINC000583171142 337250559 /nfs/dbraw/zinc/25/05/59/337250559.db2.gz FOMZLRYQJYAHNY-VPOLOUISSA-N 0 3 317.296 4.381 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCc2ccc(F)cc2C)c1 ZINC000321274684 337254484 /nfs/dbraw/zinc/25/44/84/337254484.db2.gz MVABPHQYTXJEKF-CQSZACIVSA-N 0 3 317.404 4.045 20 0 DIADHN CC[C@H](NCc1ccc(F)c(F)c1)c1ccc(OC)c(OC)c1 ZINC000321303667 337255735 /nfs/dbraw/zinc/25/57/35/337255735.db2.gz KZEQWZKKZRHWQP-INIZCTEOSA-N 0 3 321.367 4.223 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@@H]1NCc1cccc2c1OCO2 ZINC000392541295 337260076 /nfs/dbraw/zinc/26/00/76/337260076.db2.gz YXECSALLAFSAHA-KRWDZBQOSA-N 0 3 320.392 4.064 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCCc1ccsc1 ZINC000187110067 337262613 /nfs/dbraw/zinc/26/26/13/337262613.db2.gz IQQBFRCTVPLPSC-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN Cc1nccn1CCCN(C)[C@H](C)c1cccc(Cl)c1F ZINC000187309706 337264089 /nfs/dbraw/zinc/26/40/89/337264089.db2.gz ZKMSIYYLAPXWQM-GFCCVEGCSA-N 0 3 309.816 4.067 20 0 DIADHN Cc1nccn1CCCN(C)[C@@H](C)c1cccc(Cl)c1F ZINC000187309683 337264096 /nfs/dbraw/zinc/26/40/96/337264096.db2.gz ZKMSIYYLAPXWQM-LBPRGKRZSA-N 0 3 309.816 4.067 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CCCC2(CC2)C1 ZINC000187404720 337265758 /nfs/dbraw/zinc/26/57/58/337265758.db2.gz LVCWYNYYYSQPEO-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Br)cc2)c(C)n1 ZINC000187421676 337265819 /nfs/dbraw/zinc/26/58/19/337265819.db2.gz XMHPZLHQJLHRTJ-LBPRGKRZSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc(C)c([C@H](NCCC2(O)CCC2)c2ccccc2)c1 ZINC000397657113 337277847 /nfs/dbraw/zinc/27/78/47/337277847.db2.gz HJQHHNOXNSTHSY-HXUWFJFHSA-N 0 3 309.453 4.288 20 0 DIADHN C[C@H](N[C@@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000530423661 337279648 /nfs/dbraw/zinc/27/96/48/337279648.db2.gz SKFZGORTNLTRNF-WCBMZHEXSA-N 0 3 307.793 4.098 20 0 DIADHN COc1ccc(CNCC[C@@H]2CCC[C@@H](C)C2)c(OC)c1OC ZINC000321639972 337280586 /nfs/dbraw/zinc/28/05/86/337280586.db2.gz QHPQQCOZIXDJTR-CABCVRRESA-N 0 3 321.461 4.018 20 0 DIADHN COc1ccc(CNCC[C@H]2CCC[C@@H](C)C2)c(OC)c1OC ZINC000321641098 337280606 /nfs/dbraw/zinc/28/06/06/337280606.db2.gz QHPQQCOZIXDJTR-HUUCEWRRSA-N 0 3 321.461 4.018 20 0 DIADHN C[C@H]1CN(CCOc2cccc3ccccc32)CCC1(F)F ZINC000583230832 337281023 /nfs/dbraw/zinc/28/10/23/337281023.db2.gz CEODBCZZSJSKCF-AWEZNQCLSA-N 0 3 305.368 4.196 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)o1 ZINC000583232784 337281337 /nfs/dbraw/zinc/28/13/37/337281337.db2.gz BZFPKRGWHNUVOX-OPNNQBFTSA-N 0 3 311.425 4.413 20 0 DIADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1sccc1Br ZINC000397803426 337286924 /nfs/dbraw/zinc/28/69/24/337286924.db2.gz MIQFTJLHNXMEOT-IWSPIJDZSA-N 0 3 306.294 4.055 20 0 DIADHN Cc1cnccc1CN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000583243936 337287320 /nfs/dbraw/zinc/28/73/20/337287320.db2.gz RONRPZXJIYWMPR-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN CSc1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)cc1 ZINC000583254694 337290931 /nfs/dbraw/zinc/29/09/31/337290931.db2.gz COKAEEZGJLYKRY-IEBWSBKVSA-N 0 3 313.466 4.418 20 0 DIADHN CC[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1c(C)noc1C ZINC000191019511 337293182 /nfs/dbraw/zinc/29/31/82/337293182.db2.gz AEAWZNJOXWNFPC-CVEARBPZSA-N 0 3 306.450 4.214 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccc(N(C)C(C)C)nc1 ZINC000191611730 337297784 /nfs/dbraw/zinc/29/77/84/337297784.db2.gz BXEPAKLPBKZWKN-UHFFFAOYSA-N 0 3 323.440 4.271 20 0 DIADHN COc1ccc(CCC2CCN([C@@H](C)c3cccnc3)CC2)cc1 ZINC000532914415 337298281 /nfs/dbraw/zinc/29/82/81/337298281.db2.gz OHCPIOWNPUBONI-KRWDZBQOSA-N 0 3 324.468 4.496 20 0 DIADHN Cn1cc(CNCc2ccc(C3CC3)cc2)c(-c2cccs2)n1 ZINC000191682097 337298783 /nfs/dbraw/zinc/29/87/83/337298783.db2.gz RBWPBPNGGNQICX-UHFFFAOYSA-N 0 3 323.465 4.316 20 0 DIADHN C[C@H]1CCSCCN1Cc1cc(Cl)cc2cccnc21 ZINC000191816440 337300428 /nfs/dbraw/zinc/30/04/28/337300428.db2.gz IGRBMFKRHHXUGH-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(-n2ccnc2)cc1C ZINC000192136268 337303129 /nfs/dbraw/zinc/30/31/29/337303129.db2.gz UILQSKCOXUXAHU-FQEVSTJZSA-N 0 3 320.440 4.159 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(-n2ccnc2)cc1C ZINC000192136273 337303178 /nfs/dbraw/zinc/30/31/78/337303178.db2.gz UILQSKCOXUXAHU-HXUWFJFHSA-N 0 3 320.440 4.159 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000525951743 337308183 /nfs/dbraw/zinc/30/81/83/337308183.db2.gz DAVPTCBQSUQXMU-MJBXVCDLSA-N 0 3 318.486 4.245 20 0 DIADHN C[C@H](CN[C@@H]1CCCc2occc21)c1nc(-c2ccccc2)no1 ZINC000193056452 337309042 /nfs/dbraw/zinc/30/90/42/337309042.db2.gz OBXRTMAGIDFRNC-CZUORRHYSA-N 0 3 323.396 4.100 20 0 DIADHN CN1CCC(N[C@@H](c2c(Cl)cccc2Cl)C2CC2)CC1 ZINC000583722741 337318211 /nfs/dbraw/zinc/31/82/11/337318211.db2.gz KWNJNGQNXXVDLS-MRXNPFEDSA-N 0 3 313.272 4.128 20 0 DIADHN CC(C)CN(CC(=O)Nc1c(Cl)cccc1Cl)C(C)C ZINC000532922508 337326696 /nfs/dbraw/zinc/32/66/96/337326696.db2.gz JHMVOBNHPJRFEZ-UHFFFAOYSA-N 0 3 317.260 4.298 20 0 DIADHN CSCc1cnc(CNC[C@H](C)c2cccc(C)c2)s1 ZINC000583766667 337331808 /nfs/dbraw/zinc/33/18/08/337331808.db2.gz WHXLYIZTNBFZQK-ZDUSSCGKSA-N 0 3 306.500 4.208 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](CC)c1ccc(Cl)cc1 ZINC000218863783 337340314 /nfs/dbraw/zinc/34/03/14/337340314.db2.gz UCYWZGSNKWBJPB-BLLLJJGKSA-N 0 3 310.869 4.074 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000218863644 337340335 /nfs/dbraw/zinc/34/03/35/337340335.db2.gz UCYWZGSNKWBJPB-LRDDRELGSA-N 0 3 310.869 4.074 20 0 DIADHN C[C@H](CCN1CCC[C@@H](OCC(F)(F)F)C1)c1ccccc1 ZINC000583851873 337342696 /nfs/dbraw/zinc/34/26/96/337342696.db2.gz MAPZROSVHSUBLT-GDBMZVCRSA-N 0 3 315.379 4.224 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ncc(-c2ccc(F)cc2)o1 ZINC000219702447 337351270 /nfs/dbraw/zinc/35/12/70/337351270.db2.gz CDFFBLNXMVPCFD-CJNGLKHVSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1nocc1CN1CCC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000584545743 337358448 /nfs/dbraw/zinc/35/84/48/337358448.db2.gz OLNZZQDVIICBAG-AWEZNQCLSA-N 0 3 324.346 4.381 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCC[C@@H]2C(F)(F)F)cc1 ZINC000037099892 337363214 /nfs/dbraw/zinc/36/32/14/337363214.db2.gz VGMMNIPGJIWJEB-BYCMXARLSA-N 0 3 301.352 4.467 20 0 DIADHN C[C@@H](CN1CCC[C@@H]1c1ccccn1)c1cc(F)cc(F)c1 ZINC000584570361 337363684 /nfs/dbraw/zinc/36/36/84/337363684.db2.gz AVGQCHZWVZWHNH-SCLBCKFNSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](C)c1cc(F)ccc1F ZINC000583901790 337384915 /nfs/dbraw/zinc/38/49/15/337384915.db2.gz SQTHQTZTNHIYPH-ZDUSSCGKSA-N 0 3 304.384 4.297 20 0 DIADHN CCCCC(=O)N(c1cccc(SC)c1)C1CCN(C)CC1 ZINC000112247817 337390304 /nfs/dbraw/zinc/39/03/04/337390304.db2.gz PIPJYEBEXDYPSR-UHFFFAOYSA-N 0 3 320.502 4.026 20 0 DIADHN CC(C)CCOC1CCN(CCO[C@@H]2CCCC[C@@H]2C)CC1 ZINC000520787486 337391169 /nfs/dbraw/zinc/39/11/69/337391169.db2.gz LLISBLGHKJZYEJ-PKOBYXMFSA-N 0 3 311.510 4.109 20 0 DIADHN Clc1ccc(Br)cc1CNC1(C2CC2)CC1 ZINC000379465568 337399083 /nfs/dbraw/zinc/39/90/83/337399083.db2.gz XEWJLQDZDHVGOE-UHFFFAOYSA-N 0 3 300.627 4.135 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2cccc3cccnc32)o1 ZINC000322053170 337461557 /nfs/dbraw/zinc/46/15/57/337461557.db2.gz AXJKPKCHLGLGOS-KBXCAEBGSA-N 0 3 306.409 4.284 20 0 DIADHN Clc1cccc2c1OCC[C@@H]2NCc1cccc2cc[nH]c21 ZINC000237894125 337469692 /nfs/dbraw/zinc/46/96/92/337469692.db2.gz CRGQCCZXNPCBFO-INIZCTEOSA-N 0 3 312.800 4.435 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(-c2csc(C)n2)cc1)OC ZINC000419223401 337476617 /nfs/dbraw/zinc/47/66/17/337476617.db2.gz CUJLMWVJMQZZGV-WBMJQRKESA-N 0 3 304.459 4.194 20 0 DIADHN COC1(C(F)(F)F)CCN(CC/C=C/c2ccccc2)CC1 ZINC000419300017 337478817 /nfs/dbraw/zinc/47/88/17/337478817.db2.gz IVFQIOASBLCQAG-WEVVVXLNSA-N 0 3 313.363 4.133 20 0 DIADHN COC1(C(F)(F)F)CCN([C@H](C)CCc2ccccc2)CC1 ZINC000419310570 337479165 /nfs/dbraw/zinc/47/91/65/337479165.db2.gz CLCPCODXTFXFIQ-CQSZACIVSA-N 0 3 315.379 4.051 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(C3CC3)cc2)s1 ZINC000419354679 337480749 /nfs/dbraw/zinc/48/07/49/337480749.db2.gz MHJYYKLVMQJHIA-GFCCVEGCSA-N 0 3 315.438 4.263 20 0 DIADHN C[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccc(C2CC2)cc1 ZINC000419329656 337481549 /nfs/dbraw/zinc/48/15/49/337481549.db2.gz BEWZMFIALBLVNN-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000429459660 337494557 /nfs/dbraw/zinc/49/45/57/337494557.db2.gz YTKFNVYIKBWJLV-UKRRQHHQSA-N 0 3 321.367 4.146 20 0 DIADHN Cc1cccc(OCCN[C@H](CC(F)F)c2ccccc2)c1 ZINC000420983060 337517460 /nfs/dbraw/zinc/51/74/60/337517460.db2.gz BELUBAQJYUWMMB-QGZVFWFLSA-N 0 3 305.368 4.360 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1cc(Cl)ccc1Cl ZINC000421203417 337523686 /nfs/dbraw/zinc/52/36/86/337523686.db2.gz PYPYMSAWSCSLKL-LLVKDONJSA-N 0 3 302.245 4.339 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OC(F)F)cc2)cc(C)n1 ZINC000421203932 337523795 /nfs/dbraw/zinc/52/37/95/337523795.db2.gz QSLSKULVXAMBNQ-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN CC[C@H](NCC(=O)Nc1c(C)cc(C)cc1C)c1ccccc1 ZINC000066109789 337524237 /nfs/dbraw/zinc/52/42/37/337524237.db2.gz AXTFGDVFLMOQKQ-SFHVURJKSA-N 0 3 310.441 4.291 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H](CC(F)F)c1ccccc1 ZINC000421374027 337528380 /nfs/dbraw/zinc/52/83/80/337528380.db2.gz OPWWQUHYINEFPX-MSOLQXFVSA-N 0 3 319.395 4.230 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](CC(F)F)c2ccccc2)n1 ZINC000421374439 337528447 /nfs/dbraw/zinc/52/84/47/337528447.db2.gz OVYWZLOQJKWVQK-XJKSGUPXSA-N 0 3 320.383 4.007 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@H](N[C@H](C)c2csc(Cl)c2)C1 ZINC000248739116 337567088 /nfs/dbraw/zinc/56/70/88/337567088.db2.gz YANAOWGVJCGQOA-FFGDQTTQSA-N 0 3 319.923 4.132 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1ccc(F)cn1 ZINC000572017827 337586809 /nfs/dbraw/zinc/58/68/09/337586809.db2.gz PUHPIEAAMFLKDE-JQFCIGGWSA-N 0 3 302.368 4.347 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2ccccc2Br)cn1 ZINC000161560651 337592881 /nfs/dbraw/zinc/59/28/81/337592881.db2.gz XEUOJOSNYCPAGA-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H]1CCCc2c3ccccc3[nH]c21 ZINC000572201019 337596560 /nfs/dbraw/zinc/59/65/60/337596560.db2.gz QEGHHZMXIIPOBL-WOJBJXKFSA-N 0 3 320.436 4.177 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1cccc(C)c1 ZINC000286136699 337606079 /nfs/dbraw/zinc/60/60/79/337606079.db2.gz OTTIZESIGBEPLC-KDOFPFPSSA-N 0 3 311.429 4.288 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](C(F)(F)F)C1)c1ccccc1Cl ZINC000286852237 337616167 /nfs/dbraw/zinc/61/61/67/337616167.db2.gz FVSMWZSVRLWGLV-OPQQBVKSSA-N 0 3 307.743 4.101 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1sccc1Br ZINC000162354161 337616226 /nfs/dbraw/zinc/61/62/26/337616226.db2.gz OJMUTMZNJBESGP-DTWKUNHWSA-N 0 3 308.310 4.303 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCCC2)c1)c1cccs1 ZINC000162417597 337619058 /nfs/dbraw/zinc/61/90/58/337619058.db2.gz JGQFVEVMWJOMPP-MRXNPFEDSA-N 0 3 315.486 4.374 20 0 DIADHN C[C@@H](NCCCCN(C)c1ccccc1)c1ccncc1Cl ZINC000421827463 337646666 /nfs/dbraw/zinc/64/66/66/337646666.db2.gz XUPPYDUKAUILSQ-OAHLLOKOSA-N 0 3 317.864 4.302 20 0 DIADHN C[C@@H](NCCCOCCc1ccccc1)c1ccncc1Cl ZINC000421818350 337648543 /nfs/dbraw/zinc/64/85/43/337648543.db2.gz AGSVANZQTZBCIM-OAHLLOKOSA-N 0 3 318.848 4.035 20 0 DIADHN OC1(CCCN[C@H]2CCSc3ccc(Cl)cc32)CCC1 ZINC000421852876 337649940 /nfs/dbraw/zinc/64/99/40/337649940.db2.gz IBESBZRAMDGPLR-AWEZNQCLSA-N 0 3 311.878 4.162 20 0 DIADHN COc1ccc([C@@H](NC[C@@H]2CCCC2(F)F)C2CC2)c(F)c1 ZINC000421856559 337650557 /nfs/dbraw/zinc/65/05/57/337650557.db2.gz OHSXXSOFWHPQAR-LRDDRELGSA-N 0 3 313.363 4.310 20 0 DIADHN CCCc1ncc(CN[C@@H](C)Cc2ccc(F)cc2Cl)o1 ZINC000421858690 337651033 /nfs/dbraw/zinc/65/10/33/337651033.db2.gz QZCFUTBIPTXNCR-NSHDSACASA-N 0 3 310.800 4.140 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)NC1CC(C(F)(F)F)C1 ZINC000421859406 337651291 /nfs/dbraw/zinc/65/12/91/337651291.db2.gz SODILKZUJAHCSZ-FKTRJACZSA-N 0 3 307.743 4.340 20 0 DIADHN Cc1cccc2c(CCN[C@@H](C)c3ccncc3Cl)c[nH]c21 ZINC000421832097 337651536 /nfs/dbraw/zinc/65/15/36/337651536.db2.gz MIUNHHDBANNCKW-ZDUSSCGKSA-N 0 3 313.832 4.418 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](C)c1ccncc1Cl ZINC000421834953 337651980 /nfs/dbraw/zinc/65/19/80/337651980.db2.gz PEHWHOYEPNKJLH-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN COCCC1(CN[C@@H](C)c2ccncc2Cl)CCCCC1 ZINC000421834987 337651982 /nfs/dbraw/zinc/65/19/82/337651982.db2.gz DCLQZIHLOSOKAA-AWEZNQCLSA-N 0 3 310.869 4.373 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccncc1Cl ZINC000421840808 337653394 /nfs/dbraw/zinc/65/33/94/337653394.db2.gz HPWGUOLWJRDYDH-KBPBESRZSA-N 0 3 308.853 4.268 20 0 DIADHN CCOc1ccccc1CCN[C@@H](C)c1ccncc1Cl ZINC000421847110 337654366 /nfs/dbraw/zinc/65/43/66/337654366.db2.gz WAAHQLVTDGLYDM-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3ccncc3Cl)CC2)c1 ZINC000421848174 337654607 /nfs/dbraw/zinc/65/46/07/337654607.db2.gz AEFLREAMMGCTKB-CYBMUJFWSA-N 0 3 316.832 4.126 20 0 DIADHN CCc1nc(C)c(CN[C@@H](C)Cc2ccc(F)cc2Cl)o1 ZINC000421863758 337656350 /nfs/dbraw/zinc/65/63/50/337656350.db2.gz XRNJFCGHYYPJFN-JTQLQIEISA-N 0 3 310.800 4.059 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@H](CC(F)F)c1ccccc1 ZINC000421876736 337658022 /nfs/dbraw/zinc/65/80/22/337658022.db2.gz LAPDASSOZYRJFE-GXTWGEPZSA-N 0 3 313.388 4.093 20 0 DIADHN c1ccc([C@@H](NC[C@@H]2CC23CCSCC3)c2ccccn2)cc1 ZINC000421877936 337658178 /nfs/dbraw/zinc/65/81/78/337658178.db2.gz LNPPXMWAVKOBCK-PKOBYXMFSA-N 0 3 324.493 4.294 20 0 DIADHN CSc1ccc(NC(=O)C(C)(C)[C@H](N)c2ccccc2)cc1 ZINC000422944428 337693067 /nfs/dbraw/zinc/69/30/67/337693067.db2.gz ZTLCBLABGWPQTQ-MRXNPFEDSA-N 0 3 314.454 4.073 20 0 DIADHN CC[C@H]1CCC[C@H]1NCC(=O)Nc1ccc(Cl)cc1Cl ZINC000429771954 337695181 /nfs/dbraw/zinc/69/51/81/337695181.db2.gz HQOHFRVEVYBOOP-GXFFZTMASA-N 0 3 315.244 4.100 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1cccc(F)c1F ZINC000423338889 337712814 /nfs/dbraw/zinc/71/28/14/337712814.db2.gz AZYOSDMEMHREKE-GTNSWQLSSA-N 0 3 302.368 4.275 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@@H]1CSCCc2ccccc21 ZINC000423342810 337713099 /nfs/dbraw/zinc/71/30/99/337713099.db2.gz DGOLCVVWQFFLFA-WOJBJXKFSA-N 0 3 324.493 4.266 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000423351681 337713626 /nfs/dbraw/zinc/71/36/26/337713626.db2.gz ITVQVYXLOCMLEF-XHDPSFHLSA-N 0 3 302.443 4.067 20 0 DIADHN Cc1cc([C@H](C)N[C@H](CN)c2ccc3ccccc3c2)c(C)o1 ZINC000423389816 337718282 /nfs/dbraw/zinc/71/82/82/337718282.db2.gz JTWXKMDJPAUFDP-VBKZILBWSA-N 0 3 308.425 4.400 20 0 DIADHN COc1ccc2oc([C@H](C)NCc3cc(C)cc(N)c3)c(C)c2c1 ZINC000423484523 337722113 /nfs/dbraw/zinc/72/21/13/337722113.db2.gz UMWQYDOZMNYCPR-AWEZNQCLSA-N 0 3 324.424 4.491 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NC(=O)C[C@H](N)c1ccccc1)CC2 ZINC000423890732 337729254 /nfs/dbraw/zinc/72/92/54/337729254.db2.gz LJSWSZKUIYLGBQ-PMACEKPBSA-N 0 3 322.452 4.004 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2C[C@H](C)c3c2cccc3C)cc1 ZINC000536832829 337734764 /nfs/dbraw/zinc/73/47/64/337734764.db2.gz MQBWNNLJOHRLJY-BEVDRBHNSA-N 0 3 309.453 4.429 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@@H](CO)CC(C)(C)C)c1 ZINC000536837212 337735526 /nfs/dbraw/zinc/73/55/26/337735526.db2.gz FKCOIYPKEUPRFD-QWHCGFSZSA-N 0 3 315.404 4.044 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@H](CO)CC(C)(C)C)c1 ZINC000536837210 337735564 /nfs/dbraw/zinc/73/55/64/337735564.db2.gz FKCOIYPKEUPRFD-OLZOCXBDSA-N 0 3 315.404 4.044 20 0 DIADHN COc1ccc(OC)c(CCCN[C@@H](C)c2ccccc2F)c1 ZINC000536838563 337735990 /nfs/dbraw/zinc/73/59/90/337735990.db2.gz KPWYOMQXHBCAJP-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2cc3c(s2)CCC3)c1 ZINC000536840764 337737372 /nfs/dbraw/zinc/73/73/72/337737372.db2.gz ULQKQMMHXOYPFQ-ZDUSSCGKSA-N 0 3 301.455 4.405 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1ccc(Cl)s1 ZINC000035286063 337742746 /nfs/dbraw/zinc/74/27/46/337742746.db2.gz JKQGCJQRXDAYPW-CHWSQXEVSA-N 0 3 324.877 4.482 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@H]2Cc2ccccc2)s1 ZINC000536844192 337743140 /nfs/dbraw/zinc/74/31/40/337743140.db2.gz HILBQOGQLFQPOB-HOTGVXAUSA-N 0 3 315.486 4.163 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)Oc1cccc(C)c1 ZINC000536844107 337743176 /nfs/dbraw/zinc/74/31/76/337743176.db2.gz GSLWKROUVIVCBR-AEFFLSMTSA-N 0 3 315.461 4.281 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)Oc1cccc(C)c1 ZINC000536844128 337743184 /nfs/dbraw/zinc/74/31/84/337743184.db2.gz GSLWKROUVIVCBR-FUHWJXTLSA-N 0 3 315.461 4.281 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H]1CCc2ccc(OC)cc21 ZINC000536861767 337746906 /nfs/dbraw/zinc/74/69/06/337746906.db2.gz DWCIYJVYCRNPIH-WOJBJXKFSA-N 0 3 323.436 4.358 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccccc2N(C)C)cc1 ZINC000536863638 337746983 /nfs/dbraw/zinc/74/69/83/337746983.db2.gz OQNUXHMVPHKQMP-MRXNPFEDSA-N 0 3 312.457 4.392 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](C)COCC(F)(F)F)cc1 ZINC000536865374 337747287 /nfs/dbraw/zinc/74/72/87/337747287.db2.gz XFNFSUDVFVJLAR-CHWSQXEVSA-N 0 3 319.367 4.093 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000536866677 337749412 /nfs/dbraw/zinc/74/94/12/337749412.db2.gz ABWSJRWAZRETMG-CMPLNLGQSA-N 0 3 321.795 4.143 20 0 DIADHN CC[C@H](O)CCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000536866694 337749533 /nfs/dbraw/zinc/74/95/33/337749533.db2.gz ABWSJRWAZRETMG-PWSUYJOCSA-N 0 3 321.795 4.143 20 0 DIADHN Fc1cccc([C@H]2CC[C@H](NCc3ccncc3Cl)C2)c1 ZINC000527702763 337757598 /nfs/dbraw/zinc/75/75/98/337757598.db2.gz UEMJUAXVZRHXTG-BBRMVZONSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H](NCc1ccc2cc[nH]c2c1)[C@H]1OCCc2sccc21 ZINC000527711632 337758246 /nfs/dbraw/zinc/75/82/46/337758246.db2.gz WIQJBPXMZXJFOF-KZULUSFZSA-N 0 3 312.438 4.022 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H](c1ccccn1)C1CCC1 ZINC000527771446 337762401 /nfs/dbraw/zinc/76/24/01/337762401.db2.gz IRPPOFBEOFGSRU-WUTDNEBXSA-N 0 3 308.425 4.234 20 0 DIADHN COc1ccc(C(C)(C)NCc2cc(F)ccc2C)cc1F ZINC000527780058 337763634 /nfs/dbraw/zinc/76/36/34/337763634.db2.gz BGPRGZLUWYKCLT-UHFFFAOYSA-N 0 3 305.368 4.307 20 0 DIADHN Cc1cccc([C@@H](NCc2cccc(C(=O)N(C)C)c2)C(C)C)c1 ZINC000527844528 337768275 /nfs/dbraw/zinc/76/82/75/337768275.db2.gz GLQDMAPLKNQIOA-FQEVSTJZSA-N 0 3 324.468 4.184 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2cccc(C(=O)NC)c2)cc1 ZINC000527855672 337769532 /nfs/dbraw/zinc/76/95/32/337769532.db2.gz VQUWSCKURJZNHS-JKSUJKDBSA-N 0 3 324.468 4.411 20 0 DIADHN C[C@@H](NC1CCC(F)(F)CC1)c1cc(-c2ccccc2)n(C)n1 ZINC000527876196 337771273 /nfs/dbraw/zinc/77/12/73/337771273.db2.gz IHZRPIRGMPJNRK-CYBMUJFWSA-N 0 3 319.399 4.316 20 0 DIADHN COc1ccccc1CNC1CC(c2ccccc2Cl)C1 ZINC000036841204 337771848 /nfs/dbraw/zinc/77/18/48/337771848.db2.gz APFIMIOXAJBGIU-UHFFFAOYSA-N 0 3 301.817 4.384 20 0 DIADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527891815 337772077 /nfs/dbraw/zinc/77/20/77/337772077.db2.gz DMCIVWCCUUOXPY-KBPBESRZSA-N 0 3 319.399 4.173 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)cn1 ZINC000527946072 337773680 /nfs/dbraw/zinc/77/36/80/337773680.db2.gz RYTWXKRSDSLABU-HQKIHSOMSA-N 0 3 315.848 4.106 20 0 DIADHN COc1cccc([C@@H](CN[C@H](C)c2ccc(C)s2)OC)c1 ZINC000527917340 337774664 /nfs/dbraw/zinc/77/46/64/337774664.db2.gz CGVMGVMPJJNUKV-CZUORRHYSA-N 0 3 305.443 4.103 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1ccc(F)c(Br)c1 ZINC000159239060 338521904 /nfs/dbraw/zinc/52/19/04/338521904.db2.gz IFUINLDPVFJBJB-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1ncccc1CN[C@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000527984278 337777680 /nfs/dbraw/zinc/77/76/80/337777680.db2.gz FLWSAXWKYIIOGS-QWHCGFSZSA-N 0 3 311.454 4.282 20 0 DIADHN CC[C@H](NCc1ccc2cn(C)nc2c1)c1ccc(Cl)cc1 ZINC000527960296 337780098 /nfs/dbraw/zinc/78/00/98/337780098.db2.gz YDBKQVZNHFIGHI-KRWDZBQOSA-N 0 3 313.832 4.468 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc3cn(C)nc3c2)cc1C ZINC000527960294 337780123 /nfs/dbraw/zinc/78/01/23/337780123.db2.gz AOGTWXUWVUIZDQ-OAHLLOKOSA-N 0 3 323.440 4.050 20 0 DIADHN Cc1ccc2cc([C@H](C)NCc3ccc4cn(C)nc4c3)oc2c1 ZINC000527962452 337780432 /nfs/dbraw/zinc/78/04/32/337780432.db2.gz MOOSWTIRMNBESG-AWEZNQCLSA-N 0 3 319.408 4.479 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H](C)c2ncccc2F)cc1 ZINC000528034673 337782263 /nfs/dbraw/zinc/78/22/63/337782263.db2.gz AREKNQBHOVHJJS-RDBSUJKOSA-N 0 3 302.393 4.072 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@@H](C)c1ncccc1F)CC3 ZINC000528036007 337782432 /nfs/dbraw/zinc/78/24/32/337782432.db2.gz MZYPKFHLJLSPAR-UONOGXRCSA-N 0 3 323.415 4.219 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@H]1CCN1C1CCCC1 ZINC000528011977 337783554 /nfs/dbraw/zinc/78/35/54/337783554.db2.gz NDFVIAWYPRXEIB-XJKSGUPXSA-N 0 3 321.534 4.242 20 0 DIADHN COc1ccc([C@H](N[C@H]2C[C@@H](C)c3ccccc32)C2CC2)cn1 ZINC000528043411 337785568 /nfs/dbraw/zinc/78/55/68/337785568.db2.gz ONTNFLCUSRIUBZ-ORPRQENYSA-N 0 3 308.425 4.379 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cccc(F)c2)C2CC2)cn1 ZINC000528043802 337785689 /nfs/dbraw/zinc/78/56/89/337785689.db2.gz QHKAQMXLFUOXFI-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN COCCOC[C@H](C)N[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000536879672 337799098 /nfs/dbraw/zinc/79/90/98/337799098.db2.gz ZCZLJKBMBLZSIT-IRXDYDNUSA-N 0 3 313.441 4.056 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)CC(C)(C)C)c(Cl)c1 ZINC000532955694 337803799 /nfs/dbraw/zinc/80/37/99/337803799.db2.gz YGIKMXMTDMKGJA-UHFFFAOYSA-N 0 3 310.869 4.391 20 0 DIADHN COc1ccc([C@H](C)NC[C@@]2(C)CCO[C@H]2C2CC2)c(Cl)c1 ZINC000424286846 337815427 /nfs/dbraw/zinc/81/54/27/337815427.db2.gz WNFGOHKPOMIHEV-UYHISHBKSA-N 0 3 323.864 4.204 20 0 DIADHN COc1ccc([C@H](C)NCC[C@H]2CCO[C@H](C)C2)c(Cl)c1 ZINC000424286437 337815429 /nfs/dbraw/zinc/81/54/29/337815429.db2.gz VZMVXYXKZJCWRU-RDBSUJKOSA-N 0 3 311.853 4.204 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](N[C@@H](C)c3c(F)cccc3Cl)C[C@H]2C1 ZINC000424305262 337818309 /nfs/dbraw/zinc/81/83/09/337818309.db2.gz RXZCIHIMVKGPEY-PDWCTOEPSA-N 0 3 311.828 4.333 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCOCCC(C)C ZINC000045094324 337819039 /nfs/dbraw/zinc/81/90/39/337819039.db2.gz MAIIVOLAXPFZON-CYBMUJFWSA-N 0 3 312.523 4.462 20 0 DIADHN C[C@@H](NCCCCn1ccnc1)c1cc(Cl)ccc1Cl ZINC000045094492 337819047 /nfs/dbraw/zinc/81/90/47/337819047.db2.gz NYQDXJYXPYEZHF-GFCCVEGCSA-N 0 3 312.244 4.321 20 0 DIADHN C[C@@H](N[C@H]1CC12CC2)c1ccc(OC(F)F)cc1OC(F)F ZINC000424323442 337820581 /nfs/dbraw/zinc/82/05/81/337820581.db2.gz MDHNZDIIRIDKHJ-PELKAZGASA-N 0 3 319.298 4.093 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@H]1CC12CC2 ZINC000424326265 337821274 /nfs/dbraw/zinc/82/12/74/337821274.db2.gz OSMMTJZOEWTAQK-HZMBPMFUSA-N 0 3 302.418 4.461 20 0 DIADHN Clc1ccc(CNCc2c[nH]nc2-c2ccccc2)s1 ZINC000045822315 337828991 /nfs/dbraw/zinc/82/89/91/337828991.db2.gz JQURMZGETHLSMK-UHFFFAOYSA-N 0 3 303.818 4.081 20 0 DIADHN CCC(CC)N(CC(C)C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000424431344 337833015 /nfs/dbraw/zinc/83/30/15/337833015.db2.gz FPHCFKVJXRDWAZ-UHFFFAOYSA-N 0 3 305.466 4.132 20 0 DIADHN CCN(CC)[C@H](CNC/C=C/c1ccc(F)cc1)c1ccco1 ZINC000533361126 337843399 /nfs/dbraw/zinc/84/33/99/337843399.db2.gz LFVXLYHFTBUMBC-SUQGKYDKSA-N 0 3 316.420 4.105 20 0 DIADHN C[C@@H](NCCn1cccn1)c1ccc(Oc2ccccc2)cc1 ZINC000536883553 337844301 /nfs/dbraw/zinc/84/43/01/337844301.db2.gz WQPLAFNFKVYYHB-MRXNPFEDSA-N 0 3 307.397 4.026 20 0 DIADHN CC[C@@H](N[C@H](C(=O)Nc1ccccc1)c1ccccc1)C1CC1 ZINC000536883192 337844309 /nfs/dbraw/zinc/84/43/09/337844309.db2.gz PYABRNJKHDPEEN-MOPGFXCFSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@@H](O)CCN[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000119012755 337852726 /nfs/dbraw/zinc/85/27/26/337852726.db2.gz FYBNQVKQDCHRSA-KGLIPLIRSA-N 0 3 303.377 4.040 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(Cl)c(F)c2)c1 ZINC000213539743 337853801 /nfs/dbraw/zinc/85/38/01/337853801.db2.gz OIRWRUNSZUHAGZ-LBPRGKRZSA-N 0 3 307.796 4.476 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C)c(OC)c2)C(C)C)cc1 ZINC000536999909 337861293 /nfs/dbraw/zinc/86/12/93/337861293.db2.gz FOGVMQQAPYIBRK-HXUWFJFHSA-N 0 3 313.441 4.499 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1cc(C)ccc1OC ZINC000119546372 337862843 /nfs/dbraw/zinc/86/28/43/337862843.db2.gz KBFPTMMOIVLRRC-MRXNPFEDSA-N 0 3 313.441 4.391 20 0 DIADHN CCc1ccc([C@H](N[C@@H]2c3ccccc3C[C@@H]2O)C(C)C)cc1 ZINC000536999706 337862890 /nfs/dbraw/zinc/86/28/90/337862890.db2.gz YLWDBHOXOUYJJT-PWRODBHTSA-N 0 3 309.453 4.194 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@H](C)c2nc3ccccc3n2C)cc1 ZINC000537012546 337864388 /nfs/dbraw/zinc/86/43/88/337864388.db2.gz VTJHSGZIYYGVEB-JKSUJKDBSA-N 0 3 307.441 4.336 20 0 DIADHN c1ccc(-n2nc(CN[C@H]3CCC[C@@H]3C3CC3)c3c2CCC3)cc1 ZINC000537015681 337866164 /nfs/dbraw/zinc/86/61/64/337866164.db2.gz HZAWNSXDRDEILZ-MJGOQNOKSA-N 0 3 321.468 4.029 20 0 DIADHN CO[C@H]1CCC[C@H]1CNCc1csc(-c2ccc(C)cc2)n1 ZINC000537024666 337870951 /nfs/dbraw/zinc/87/09/51/337870951.db2.gz TZQVFZNFONQDTH-RDJZCZTQSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000120193515 337871432 /nfs/dbraw/zinc/87/14/32/337871432.db2.gz GRRYWFDXTKGBDF-HOTGVXAUSA-N 0 3 308.425 4.165 20 0 DIADHN Cc1nn(C)c(C)c1C[C@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000120555529 337876464 /nfs/dbraw/zinc/87/64/64/337876464.db2.gz BXOPIQMZNPPBDZ-QWRGUYRKSA-N 0 3 323.843 4.111 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H]2CCc3c2cccc3OC)c1 ZINC000120591020 337877913 /nfs/dbraw/zinc/87/79/13/337877913.db2.gz WGNWTPJZGOVGJH-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN CCCC[C@@H](CC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000058637782 337879366 /nfs/dbraw/zinc/87/93/66/337879366.db2.gz PWJDPYRGQNKTHY-HZPDHXFCSA-N 0 3 322.518 4.218 20 0 DIADHN CCC(C)(C)c1ccc(CN[C@@H]2CCCc3c2cnn3C)cc1 ZINC000090727141 337885166 /nfs/dbraw/zinc/88/51/66/337885166.db2.gz VKKZQAKUEARNBS-GOSISDBHSA-N 0 3 311.473 4.275 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCCOC[C@H]2CCCO2)cc1 ZINC000121126666 337886443 /nfs/dbraw/zinc/88/64/43/337886443.db2.gz UVQRVKRMCUKNJS-DOTOQJQBSA-N 0 3 323.502 4.033 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc(OC)cc1)c1c(C)noc1C ZINC000090886218 337886618 /nfs/dbraw/zinc/88/66/18/337886618.db2.gz FIIZEXOLONDHKR-IAGOWNOFSA-N 0 3 302.418 4.492 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccsc1)c1nc(-c2ccccc2)no1 ZINC000090911735 337887154 /nfs/dbraw/zinc/88/71/54/337887154.db2.gz OSVRMAPQQGWZQN-OLZOCXBDSA-N 0 3 313.426 4.252 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCCc3cc(F)ccc32)cn1 ZINC000090964438 337887687 /nfs/dbraw/zinc/88/76/87/337887687.db2.gz GWDFSOOXLNWLRI-ACJLOTCBSA-N 0 3 301.409 4.331 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cccc(C)c2F)c1 ZINC000121216206 337888198 /nfs/dbraw/zinc/88/81/98/337888198.db2.gz YRBAYTBHKSZWNY-UHFFFAOYSA-N 0 3 314.404 4.228 20 0 DIADHN CC(C)(C)c1ncc(CNCCc2ccc(Cl)cc2)s1 ZINC000059180724 337888704 /nfs/dbraw/zinc/88/87/04/337888704.db2.gz LMFAMYHZTJIDIC-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1nc2ccccc2n1C)c1cccnc1 ZINC000091368402 337891936 /nfs/dbraw/zinc/89/19/36/337891936.db2.gz FEQZSKJRBTYMEH-WBVHZDCISA-N 0 3 322.456 4.059 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2nc3c(s2)CCCC3)C12CCC2 ZINC000091728438 337894991 /nfs/dbraw/zinc/89/49/91/337894991.db2.gz TZHDUGHUNKPAPR-APHBMKBZSA-N 0 3 320.502 4.020 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N1CCCC1)c1ccc(F)cc1 ZINC000216371142 337898496 /nfs/dbraw/zinc/89/84/96/337898496.db2.gz KKIQZXGDMMUWJJ-YOEHRIQHSA-N 0 3 318.461 4.375 20 0 DIADHN CSCCCN[C@H](COCc1ccccc1)c1ccccc1 ZINC000537158787 337902300 /nfs/dbraw/zinc/90/23/00/337902300.db2.gz PDMSAVDAAPYMRN-LJQANCHMSA-N 0 3 315.482 4.287 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1cc(F)cc(F)c1 ZINC000121929186 337902528 /nfs/dbraw/zinc/90/25/28/337902528.db2.gz JDMZCESGXCMKAJ-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN Cn1cccc1CN1CCC(c2c[nH]c3cc(F)ccc32)CC1 ZINC000092668524 337907140 /nfs/dbraw/zinc/90/71/40/337907140.db2.gz NXWAYDCRWMWVQL-UHFFFAOYSA-N 0 3 311.404 4.025 20 0 DIADHN C[C@@H](NCc1cnc(NC(=O)OC(C)(C)C)s1)C(C)(C)C ZINC000496924070 340016291 /nfs/dbraw/zinc/01/62/91/340016291.db2.gz VQWTWXLGRTXYCS-SNVBAGLBSA-N 0 3 313.467 4.014 20 0 DIADHN Cc1ccc(-c2nn(Cc3ccccc3)cc2CNC2CC2)cc1 ZINC000061003424 337924113 /nfs/dbraw/zinc/92/41/13/337924113.db2.gz JOMSTFYKYXYSGJ-UHFFFAOYSA-N 0 3 317.436 4.159 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@@H](CCO)CSC ZINC000537292187 337928473 /nfs/dbraw/zinc/92/84/73/337928473.db2.gz GODSZEXTBAAWLJ-KBPBESRZSA-N 0 3 321.486 4.068 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCC[C@@H](C)[S@@](C)=O ZINC000537304713 337929960 /nfs/dbraw/zinc/92/99/60/337929960.db2.gz TWRDGRGFJGRWHA-ALLJEULLSA-N 0 3 321.486 4.111 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)N[C@H](C)COCCOC ZINC000537308620 337930257 /nfs/dbraw/zinc/93/02/57/337930257.db2.gz UFAQNKJPPSYVKY-HUUCEWRRSA-N 0 3 319.445 4.006 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2)C1)c1cccc(F)c1F ZINC000537345417 337931882 /nfs/dbraw/zinc/93/18/82/337931882.db2.gz WPNXQSBHHWVSGJ-CABCVRRESA-N 0 3 316.395 4.284 20 0 DIADHN CC(C)[C@H](NCCOCC(F)(F)F)c1cc2ccccc2o1 ZINC000537358088 337932511 /nfs/dbraw/zinc/93/25/11/337932511.db2.gz OMBGYHJKFMHOOK-HNNXBMFYSA-N 0 3 315.335 4.298 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCOC(C)(C)C)c(C)c2c1 ZINC000537422139 337935699 /nfs/dbraw/zinc/93/56/99/337935699.db2.gz SSSVSQNXJHGOFX-CYBMUJFWSA-N 0 3 305.418 4.216 20 0 DIADHN Cc1ccc(C)c(N[C@H](C)c2ccc(OCCN(C)C)cc2)c1 ZINC000537516160 337939547 /nfs/dbraw/zinc/93/95/47/337939547.db2.gz IXLYDEXHSZUXBP-QGZVFWFLSA-N 0 3 312.457 4.417 20 0 DIADHN Cc1cnc([C@H](NC[C@@H]2CCCC(F)(F)C2)C2CC2)s1 ZINC000514245064 337967888 /nfs/dbraw/zinc/96/78/88/337967888.db2.gz RIOKEBFKVRDHMP-DGCLKSJQSA-N 0 3 300.418 4.318 20 0 DIADHN COC(=O)c1cnc([C@@H](C)N[C@@H]2CCCCC23CCCC3)s1 ZINC000314959658 337976508 /nfs/dbraw/zinc/97/65/08/337976508.db2.gz OGDMWJRMARQOOO-TZMCWYRMSA-N 0 3 322.474 4.083 20 0 DIADHN CCc1oc2ccccc2c1CNCc1cccc(C(=O)OC)c1 ZINC000515530354 337991588 /nfs/dbraw/zinc/99/15/88/337991588.db2.gz ZDKIDIFNQWQUSO-UHFFFAOYSA-N 0 3 323.392 4.072 20 0 DIADHN Fc1ccccc1CNC[C@H](Cc1ccccc1)C(F)(F)F ZINC000515924727 338001327 /nfs/dbraw/zinc/00/13/27/338001327.db2.gz MONPLBYYVMDXER-HNNXBMFYSA-N 0 3 311.322 4.337 20 0 DIADHN CCc1ccccc1CNCc1csc(Br)c1 ZINC000084199693 338001407 /nfs/dbraw/zinc/00/14/07/338001407.db2.gz PGKLRIKHQWGZPX-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN Cc1ccc(C2CC(NCc3ccnc(OC(F)F)c3)C2)cc1 ZINC000516032365 338002751 /nfs/dbraw/zinc/00/27/51/338002751.db2.gz PVOXDDAPGGBENS-UHFFFAOYSA-N 0 3 318.367 4.027 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(C)c(F)c2)ccc1OC ZINC000088511341 338003555 /nfs/dbraw/zinc/00/35/55/338003555.db2.gz LSSAJELDZWCJLB-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC000516104072 338003649 /nfs/dbraw/zinc/00/36/49/338003649.db2.gz UZJPCCOTHQOPKD-AYOQOUSVSA-N 0 3 310.441 4.287 20 0 DIADHN CCSc1cccc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)c1 ZINC000516117337 338005625 /nfs/dbraw/zinc/00/56/25/338005625.db2.gz AYPVJTQZOIKAJZ-QGZVFWFLSA-N 0 3 315.486 4.476 20 0 DIADHN O[C@H](Cc1cccc(Cl)c1)CN1CCC[C@H]1c1ccsc1 ZINC000516170312 338007020 /nfs/dbraw/zinc/00/70/20/338007020.db2.gz KDKPEEHXNVIYRK-SJORKVTESA-N 0 3 321.873 4.142 20 0 DIADHN CC(C)(O)C(C)(C)CNCc1cnc(C2CCCCC2)s1 ZINC000516243476 338008635 /nfs/dbraw/zinc/00/86/35/338008635.db2.gz OKMKBQYQEYHMCV-UHFFFAOYSA-N 0 3 310.507 4.078 20 0 DIADHN CN1CC[C@@H](NCc2ccccc2F)[C@H]1c1ccc(Cl)cc1 ZINC000516264979 338009131 /nfs/dbraw/zinc/00/91/31/338009131.db2.gz YTHAGKZIKLNBHC-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1nnc(CN(CC2CCCCC2)CC2CCCCC2)n1C ZINC000516309301 338009389 /nfs/dbraw/zinc/00/93/89/338009389.db2.gz QRROUCQMGCXCTL-UHFFFAOYSA-N 0 3 318.509 4.086 20 0 DIADHN CC(C)[C@H](C)NC(=O)CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000516309188 338009477 /nfs/dbraw/zinc/00/94/77/338009477.db2.gz KNBXKVRRCCCLBO-OXJNMPFZSA-N 0 3 324.468 4.137 20 0 DIADHN CC(C)OCCN(Cc1ccc(Br)cc1)C(C)C ZINC000516308752 338009527 /nfs/dbraw/zinc/00/95/27/338009527.db2.gz GYNSPKKWCDXYCU-UHFFFAOYSA-N 0 3 314.267 4.085 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3ccccc3c2)cc1O ZINC000516353093 338010568 /nfs/dbraw/zinc/01/05/68/338010568.db2.gz NNUMUPOXCQBXAC-AWEZNQCLSA-N 0 3 307.393 4.405 20 0 DIADHN CC(C)N(Cc1cnc(-c2ccc(Cl)cc2)s1)C[C@H](C)O ZINC000516322308 338010812 /nfs/dbraw/zinc/01/08/12/338010812.db2.gz RHAHPLDUYCRCBK-LBPRGKRZSA-N 0 3 324.877 4.055 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@@H]1O)c1cc(Cl)ccc1Cl ZINC000085964459 338012481 /nfs/dbraw/zinc/01/24/81/338012481.db2.gz FPAMWTPXTLTGQO-RWSFTLGLSA-N 0 3 302.245 4.195 20 0 DIADHN CS[C@H]1CC[C@@H]1N[C@H](C)c1ccc(Br)cc1F ZINC000425390466 338015478 /nfs/dbraw/zinc/01/54/78/338015478.db2.gz YNBMKLCRAFIWKD-JCOFBHIZSA-N 0 3 318.255 4.133 20 0 DIADHN CCCOc1c(CNC[C@H]2CCCSC2)cccc1OCC ZINC000516508160 338014001 /nfs/dbraw/zinc/01/40/01/338014001.db2.gz ZSFZQYVBXAZJQL-OAHLLOKOSA-N 0 3 323.502 4.107 20 0 DIADHN Cc1nc2ccc(CN3CCC[C@H]3c3cccn3C)cc2s1 ZINC000426047323 338020048 /nfs/dbraw/zinc/02/00/48/338020048.db2.gz ZSAIRXBASSGLNA-KRWDZBQOSA-N 0 3 311.454 4.280 20 0 DIADHN CCOC(=O)CCCCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000426118509 338020662 /nfs/dbraw/zinc/02/06/62/338020662.db2.gz VBNYQEPQWPIAMK-NSHDSACASA-N 0 3 318.244 4.377 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(-c3ccco3)s2)CC12CCC2 ZINC000426147235 338021209 /nfs/dbraw/zinc/02/12/09/338021209.db2.gz AGFIROYZPWVZOK-CYBMUJFWSA-N 0 3 302.443 4.415 20 0 DIADHN C(=C/c1ccncc1)\CN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000426151678 338021337 /nfs/dbraw/zinc/02/13/37/338021337.db2.gz YCTPNTCENWUMSQ-ONEGZZNKSA-N 0 3 315.420 4.365 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NC/C=C/c1ccncc1 ZINC000426160313 338021603 /nfs/dbraw/zinc/02/16/03/338021603.db2.gz KEUCMQHGPVZFQY-HEAGNNNHSA-N 0 3 316.832 4.114 20 0 DIADHN CCCN(C/C=C/c1ccncc1)[C@@H]1CCCc2cccnc21 ZINC000426167257 338022021 /nfs/dbraw/zinc/02/20/21/338022021.db2.gz JSCLBPWGAGVZMK-ZMBJWTFHSA-N 0 3 307.441 4.279 20 0 DIADHN CC(C)c1nnc(CCN[C@@H]2CC(C)(C)Cc3occc32)s1 ZINC000426297205 338025190 /nfs/dbraw/zinc/02/51/90/338025190.db2.gz XTXXWYVMHJGKFE-CYBMUJFWSA-N 0 3 319.474 4.100 20 0 DIADHN CC(C)c1nnc(CCN[C@H]2CCc3ccc(Cl)cc32)s1 ZINC000426297566 338025328 /nfs/dbraw/zinc/02/53/28/338025328.db2.gz BGRUNYDTVDYHMZ-AWEZNQCLSA-N 0 3 321.877 4.135 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3ccccc3n(C)c2=O)c(C)c1 ZINC000426302100 338025826 /nfs/dbraw/zinc/02/58/26/338025826.db2.gz QCGKZMUPTSAPJC-INIZCTEOSA-N 0 3 320.436 4.006 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)[C@H]1OCCc2sccc21 ZINC000426309356 338026062 /nfs/dbraw/zinc/02/60/62/338026062.db2.gz ZYPAPADGTQRDNM-SDDDUWNISA-N 0 3 317.429 4.167 20 0 DIADHN CC[C@H](O)CN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000426319491 338026734 /nfs/dbraw/zinc/02/67/34/338026734.db2.gz QMJMELMMFIWWTK-HIFRSBDPSA-N 0 3 303.377 4.040 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@H](C)c2ccc(F)cn2)c(C)c1 ZINC000426327056 338027163 /nfs/dbraw/zinc/02/71/63/338027163.db2.gz PEQGAVAAJQAOJF-OAHLLOKOSA-N 0 3 300.421 4.466 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2c(F)cccc2F)o1 ZINC000426328187 338027195 /nfs/dbraw/zinc/02/71/95/338027195.db2.gz BBENMMCDRTVDLO-GFCCVEGCSA-N 0 3 322.399 4.325 20 0 DIADHN CCC[C@H](NCCc1nnc(C(C)C)s1)c1ccsc1 ZINC000426339220 338027886 /nfs/dbraw/zinc/02/78/86/338027886.db2.gz KDFWMUKHACIWBE-ZDUSSCGKSA-N 0 3 309.504 4.397 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@H]1OCCc2sccc21 ZINC000426346642 338028137 /nfs/dbraw/zinc/02/81/37/338028137.db2.gz PEFQWQDNZOVZBR-VEVIJQCQSA-N 0 3 316.470 4.059 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)[C@@H]1OCCc2sccc21 ZINC000426347450 338028372 /nfs/dbraw/zinc/02/83/72/338028372.db2.gz URRMLOIZXMEQIW-YLVFBTJISA-N 0 3 319.495 4.479 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]nc2-c2ccc(Cl)cc2)CCS1 ZINC000426386636 338029960 /nfs/dbraw/zinc/02/99/60/338029960.db2.gz YIMDNSDVBZILMB-LBPRGKRZSA-N 0 3 321.877 4.058 20 0 DIADHN Cc1nc2ccc(CN3CCC(OCC(C)C)CC3)cc2s1 ZINC000426392900 338030237 /nfs/dbraw/zinc/03/02/37/338030237.db2.gz BJZIOLBWBJQPCS-UHFFFAOYSA-N 0 3 318.486 4.242 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]43)co2)cc1 ZINC000426471196 338033225 /nfs/dbraw/zinc/03/32/25/338033225.db2.gz MDAOZJPEILSJKV-KSSFIOAISA-N 0 3 312.413 4.115 20 0 DIADHN COc1c(O)cccc1CN1C[C@@H](c2ccc(C)cc2)C[C@H]1C ZINC000426541925 338034384 /nfs/dbraw/zinc/03/43/84/338034384.db2.gz SOBFVAGNMCIYKP-QAPCUYQASA-N 0 3 311.425 4.087 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000426764433 338041238 /nfs/dbraw/zinc/04/12/38/338041238.db2.gz JHMPPQWROAZPER-CALCHBBNSA-N 0 3 312.482 4.356 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000426764438 338041299 /nfs/dbraw/zinc/04/12/99/338041299.db2.gz JHMPPQWROAZPER-IRXDYDNUSA-N 0 3 312.482 4.356 20 0 DIADHN Cc1nc(C(C)C)oc1CN(CCc1cccc(F)c1)C1CC1 ZINC000428227482 338043143 /nfs/dbraw/zinc/04/31/43/338043143.db2.gz JWYUFDFVWXQVCD-UHFFFAOYSA-N 0 3 316.420 4.453 20 0 DIADHN CCCCOC1CCN(C[C@@H](OC(C)C)c2ccccc2)CC1 ZINC000428229808 338043478 /nfs/dbraw/zinc/04/34/78/338043478.db2.gz XTEXPCXJXILDIQ-HXUWFJFHSA-N 0 3 319.489 4.434 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@@H](Cc3ccccc3)C2)o1 ZINC000428238059 338043888 /nfs/dbraw/zinc/04/38/88/338043888.db2.gz MPHQXRMMMIFFIX-SFHVURJKSA-N 0 3 312.457 4.390 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@H](Cc3ccccc3)C2)o1 ZINC000428238057 338043941 /nfs/dbraw/zinc/04/39/41/338043941.db2.gz MPHQXRMMMIFFIX-GOSISDBHSA-N 0 3 312.457 4.390 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@H](Cc3ccc(F)cc3)C2)o1 ZINC000428243633 338043986 /nfs/dbraw/zinc/04/39/86/338043986.db2.gz NQUZBNASSYXYHP-MRXNPFEDSA-N 0 3 316.420 4.139 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@@]3(CCCc4ccccc43)C2)cn1 ZINC000428248773 338044376 /nfs/dbraw/zinc/04/43/76/338044376.db2.gz WFVXKDKVEJORCX-UTKZUKDTSA-N 0 3 321.468 4.216 20 0 DIADHN CCc1nc(C)c(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)o1 ZINC000428250268 338044406 /nfs/dbraw/zinc/04/44/06/338044406.db2.gz ZEHABYNEPUZBPZ-IUODEOHRSA-N 0 3 302.393 4.063 20 0 DIADHN Cc1cc(CN2CCCC[C@@H]2CCCc2ccccc2)ncn1 ZINC000428297000 338046203 /nfs/dbraw/zinc/04/62/03/338046203.db2.gz ZDSZIJWNVMEHSK-HXUWFJFHSA-N 0 3 309.457 4.162 20 0 DIADHN Cc1nc(C(C)C)oc1CN(CCc1nccs1)C(C)C ZINC000428305559 338046474 /nfs/dbraw/zinc/04/64/74/338046474.db2.gz KZYOZKXGIYMXMH-UHFFFAOYSA-N 0 3 307.463 4.016 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@H](COc2ccccc2)C1 ZINC000428320389 338047061 /nfs/dbraw/zinc/04/70/61/338047061.db2.gz TULUHYQRMIGOGB-INIZCTEOSA-N 0 3 314.429 4.007 20 0 DIADHN Cc1cccc([C@@H](NCc2n[nH]c(C(C)C)n2)C(C)(C)C)c1 ZINC000428321960 338047313 /nfs/dbraw/zinc/04/73/13/338047313.db2.gz GANLLBSBFTVBSG-MRXNPFEDSA-N 0 3 300.450 4.114 20 0 DIADHN CC(C)O[C@H](CN[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000428342686 338047893 /nfs/dbraw/zinc/04/78/93/338047893.db2.gz CDIOIYPPYZGEFK-KBXCAEBGSA-N 0 3 302.393 4.038 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2oc(C(C)C)nc2C)C12CCCC2 ZINC000428386533 338049753 /nfs/dbraw/zinc/04/97/53/338049753.db2.gz XGTRTVSDGFBKQS-SJORKVTESA-N 0 3 320.477 4.276 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2oc(C(C)C)nc2C)C12CCCC2 ZINC000428386530 338049853 /nfs/dbraw/zinc/04/98/53/338049853.db2.gz XGTRTVSDGFBKQS-IAGOWNOFSA-N 0 3 320.477 4.276 20 0 DIADHN CC(C)O[C@@H](CN1CCC(OC(C)(C)C)CC1)c1ccccc1 ZINC000428392275 338049933 /nfs/dbraw/zinc/04/99/33/338049933.db2.gz YZPSUVMZQOPPRS-IBGZPJMESA-N 0 3 319.489 4.432 20 0 DIADHN c1ccc(-c2cccc(CN3CCC[C@H](OCC4CC4)C3)c2)nc1 ZINC000428401577 338050337 /nfs/dbraw/zinc/05/03/37/338050337.db2.gz PRUYMBQHQBQUIE-FQEVSTJZSA-N 0 3 322.452 4.140 20 0 DIADHN CC(C)c1nnc(C[N@@H+]2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)[n-]1 ZINC000428435105 338051278 /nfs/dbraw/zinc/05/12/78/338051278.db2.gz BROKUMMJCYHUDS-RCCFBDPRSA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(C[N@H+]2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)[n-]1 ZINC000428435105 338051279 /nfs/dbraw/zinc/05/12/79/338051279.db2.gz BROKUMMJCYHUDS-RCCFBDPRSA-N 0 3 324.472 4.086 20 0 DIADHN CC(C)c1nnc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)[nH]1 ZINC000428435105 338051280 /nfs/dbraw/zinc/05/12/80/338051280.db2.gz BROKUMMJCYHUDS-RCCFBDPRSA-N 0 3 324.472 4.086 20 0 DIADHN COc1ccc(CN(C)C[C@H](OC(C)C)c2ccccc2)cc1 ZINC000428447409 338052024 /nfs/dbraw/zinc/05/20/24/338052024.db2.gz OPJCVHQASCOITM-FQEVSTJZSA-N 0 3 313.441 4.293 20 0 DIADHN COC(=O)c1ccc(CN[C@@H]2CCc3ccc(C(C)C)cc32)cc1 ZINC000428458407 338052853 /nfs/dbraw/zinc/05/28/53/338052853.db2.gz KXNGFAYJBWOYMD-HXUWFJFHSA-N 0 3 323.436 4.374 20 0 DIADHN Fc1cc(CN2CC[C@H]3CCC[C@@H]32)ccc1OCC(F)(F)F ZINC000428458866 338052896 /nfs/dbraw/zinc/05/28/96/338052896.db2.gz LMXBGLWXWYVKIQ-OCCSQVGLSA-N 0 3 317.326 4.141 20 0 DIADHN Cc1cc(CN[C@@H](C)Cn2cccn2)ccc1-c1ccccc1 ZINC000428458603 338052910 /nfs/dbraw/zinc/05/29/10/338052910.db2.gz ODPTZXANFVULKX-KRWDZBQOSA-N 0 3 305.425 4.037 20 0 DIADHN COc1c(O)cccc1CNCCCCCc1ccc(F)cc1 ZINC000428462847 338053271 /nfs/dbraw/zinc/05/32/71/338053271.db2.gz RYCPWJXWXNIMIU-UHFFFAOYSA-N 0 3 317.404 4.043 20 0 DIADHN CCN(Cc1cnn(CC(C)C)c1)[C@@H](C)Cc1ccsc1 ZINC000428461226 338053391 /nfs/dbraw/zinc/05/33/91/338053391.db2.gz IPFLSXMYXHGWSU-HNNXBMFYSA-N 0 3 305.491 4.054 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN[C@@H]2CC23CCCC3)c1 ZINC000428544016 338055923 /nfs/dbraw/zinc/05/59/23/338055923.db2.gz SUCAWGLZLARCFV-LJQANCHMSA-N 0 3 308.425 4.083 20 0 DIADHN Cc1ccc(COc2ccc(CNC3CC(F)(F)C3)cc2)cc1 ZINC000428637503 338057408 /nfs/dbraw/zinc/05/74/08/338057408.db2.gz DPFJRDZZIOFCLY-UHFFFAOYSA-N 0 3 317.379 4.461 20 0 DIADHN C[C@]12CCN(CCSc3ccc(Cl)cc3)C[C@H]1C2(F)F ZINC000428707439 338058992 /nfs/dbraw/zinc/05/89/92/338058992.db2.gz GHQNZHIDPGRNEC-KGLIPLIRSA-N 0 3 317.832 4.409 20 0 DIADHN CC(C)O[C@H](CN1CC[C@]2(C)[C@H](C1)C2(F)F)c1ccccc1 ZINC000428736416 338060072 /nfs/dbraw/zinc/06/00/72/338060072.db2.gz HIHWWFFKSYCAFR-IXDOHACOSA-N 0 3 309.400 4.130 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(Cc3ccc(C)c(C)c3)CC2)cn1 ZINC000428754194 338060582 /nfs/dbraw/zinc/06/05/82/338060582.db2.gz YZVSWFGVLXSZGZ-GOSISDBHSA-N 0 3 323.484 4.418 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(C(F)(F)F)s2)C12CCC2 ZINC000428848391 338062090 /nfs/dbraw/zinc/06/20/90/338062090.db2.gz IYIOMXVTLBOZKH-VXGBXAGGSA-N 0 3 319.392 4.204 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCCC(F)(F)C3)sc2c1 ZINC000428855475 338062609 /nfs/dbraw/zinc/06/26/09/338062609.db2.gz GIHVKWWKPBZKTH-JTQLQIEISA-N 0 3 300.349 4.103 20 0 DIADHN C[C@H](CNCc1ccc(C(F)(F)F)s1)N1CCCC[C@H]1C ZINC000428866649 338063169 /nfs/dbraw/zinc/06/31/69/338063169.db2.gz YMFIGQJZKKQISB-VXGBXAGGSA-N 0 3 320.424 4.119 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1nc2ccc(F)cc2s1 ZINC000428869533 338063533 /nfs/dbraw/zinc/06/35/33/338063533.db2.gz BLAOSIOSMNZLHS-LLVKDONJSA-N 0 3 318.392 4.295 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1nc2ccc(F)cc2s1 ZINC000428869534 338063578 /nfs/dbraw/zinc/06/35/78/338063578.db2.gz BLAOSIOSMNZLHS-NSHDSACASA-N 0 3 318.392 4.295 20 0 DIADHN Fc1ccc2nc(CNC[C@@H]3CCc4ccccc43)sc2c1 ZINC000428871278 338063739 /nfs/dbraw/zinc/06/37/39/338063739.db2.gz IJKPGKMWGCZAKD-ZDUSSCGKSA-N 0 3 312.413 4.255 20 0 DIADHN CCCC(O)(CCC)CNCc1ccc(C(F)(F)F)s1 ZINC000428871528 338063844 /nfs/dbraw/zinc/06/38/44/338063844.db2.gz JBXDXEVQBXLOAI-UHFFFAOYSA-N 0 3 309.397 4.188 20 0 DIADHN Fc1ccc(F)c(CCNCc2ccc(C(F)(F)F)s2)c1 ZINC000428884811 338064429 /nfs/dbraw/zinc/06/44/29/338064429.db2.gz VUMXUJSAHBWYLX-UHFFFAOYSA-N 0 3 321.314 4.377 20 0 DIADHN CCc1nc(C)c(CN[C@@H]2CCCC[C@H]2OCCC(C)C)o1 ZINC000428949445 338066300 /nfs/dbraw/zinc/06/63/00/338066300.db2.gz AZNKFISETBXDJD-HZPDHXFCSA-N 0 3 308.466 4.009 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@](C)(c3ccccc3)CC2)cn1 ZINC000428953797 338066346 /nfs/dbraw/zinc/06/63/46/338066346.db2.gz KNPIDYBMJQPHHI-XLIONFOSSA-N 0 3 309.457 4.290 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CCCC[C@H]2OCCC(C)C)o1 ZINC000428952009 338066384 /nfs/dbraw/zinc/06/63/84/338066384.db2.gz LAVXRTKAKITIJC-IAGOWNOFSA-N 0 3 322.493 4.399 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)o1 ZINC000428968162 338067016 /nfs/dbraw/zinc/06/70/16/338067016.db2.gz YYUDUHCIQIBATH-BETUJISGSA-N 0 3 318.383 4.488 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@@H](C)Cc2ccccc2C)o1 ZINC000428978419 338067502 /nfs/dbraw/zinc/06/75/02/338067502.db2.gz OLBSHFVEWAYNHL-HNNXBMFYSA-N 0 3 300.446 4.307 20 0 DIADHN Cc1ccc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)nc1 ZINC000429129155 338071436 /nfs/dbraw/zinc/07/14/36/338071436.db2.gz QYQPWJDSPQMKCG-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1ccncc1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429149149 338071812 /nfs/dbraw/zinc/07/18/12/338071812.db2.gz DYJBEUOUWVRGAB-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN(C1CCC1)C1CCC1 ZINC000429150163 338071875 /nfs/dbraw/zinc/07/18/75/338071875.db2.gz KBAGBQRTRGNTEQ-UHFFFAOYSA-N 0 3 314.473 4.330 20 0 DIADHN c1ccc(-n2nc(CN(C3CCC3)C3CCC3)c3c2CCC3)cc1 ZINC000429159071 338072164 /nfs/dbraw/zinc/07/21/64/338072164.db2.gz DRSBUKRVLHWGCH-UHFFFAOYSA-N 0 3 321.468 4.268 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1ccccc1SC ZINC000429246390 338074545 /nfs/dbraw/zinc/07/45/45/338074545.db2.gz OFSRGUQCPYVNNP-UHFFFAOYSA-N 0 3 317.502 4.080 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CNC(C)(C)c1ccccc1F ZINC000429253459 338074898 /nfs/dbraw/zinc/07/48/98/338074898.db2.gz KGXFMFHJDGRQQS-UHFFFAOYSA-N 0 3 323.415 4.345 20 0 DIADHN c1ccc(CCN(CC[C@H]2CCCCO2)Cc2cccnc2)cc1 ZINC000429294960 338076766 /nfs/dbraw/zinc/07/67/66/338076766.db2.gz OGRUQTCLNVOAOT-OAQYLSRUSA-N 0 3 324.468 4.086 20 0 DIADHN Oc1cccc2c1C[C@@H](NC1c3ccccc3-c3ccccc31)C2 ZINC000429301696 338077249 /nfs/dbraw/zinc/07/72/49/338077249.db2.gz NYCPMLBPHDGIJA-HNNXBMFYSA-N 0 3 313.400 4.219 20 0 DIADHN C[C@H]1CC(O)C[C@H](C)N1Cc1ccccc1Oc1ccccc1 ZINC000429312237 338077370 /nfs/dbraw/zinc/07/73/70/338077370.db2.gz DNNRRZPCZWMIAC-HOTGVXAUSA-N 0 3 311.425 4.213 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@H]2CC23CCCC3)cc1Cl ZINC000429419419 338080324 /nfs/dbraw/zinc/08/03/24/338080324.db2.gz QUNCOYSSVJBBLQ-ZBEGNZNMSA-N 0 3 309.837 4.341 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1cccc(-c2ccccc2)c1 ZINC000429454861 338081622 /nfs/dbraw/zinc/08/16/22/338081622.db2.gz URGIKVYQXXQUKX-DYVFJYSZSA-N 0 3 305.368 4.020 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1ccc(-c2cccs2)cc1 ZINC000429466360 338082059 /nfs/dbraw/zinc/08/20/59/338082059.db2.gz YOSFBJJGFFNRGS-BXUZGUMPSA-N 0 3 311.397 4.082 20 0 DIADHN C[C@@H](NC1CC(F)(F)C1)c1ccc(OCC(F)(F)F)cc1 ZINC000429466209 338082080 /nfs/dbraw/zinc/08/20/80/338082080.db2.gz IAPRTIBBIOJKQP-SECBINFHSA-N 0 3 309.278 4.076 20 0 DIADHN COC1(C[C@H](C)N[C@H](C)c2nc(C(C)(C)C)cs2)CCC1 ZINC000429526028 338082910 /nfs/dbraw/zinc/08/29/10/338082910.db2.gz HVMQVVUOWMSRQL-QWHCGFSZSA-N 0 3 310.507 4.439 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(c3ccncc3)CC1)CCC2 ZINC000429551780 338083803 /nfs/dbraw/zinc/08/38/03/338083803.db2.gz ZHJJYBNMRXSKHV-FQEVSTJZSA-N 0 3 310.416 4.478 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000429562153 338083999 /nfs/dbraw/zinc/08/39/99/338083999.db2.gz YRNWTKNVVJTXJD-BXUZGUMPSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)N[C@@H](c2cccs2)C(C)(C)C)C1 ZINC000429587852 338085452 /nfs/dbraw/zinc/08/54/52/338085452.db2.gz GSJWNBZEHLNWGU-CPUCHLNUSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1ccc(C(=O)CCN2C[C@@H](C)C[C@H]2c2cccnc2)cc1 ZINC000429626334 338086284 /nfs/dbraw/zinc/08/62/84/338086284.db2.gz OPHUETGOBBHUMP-LPHOPBHVSA-N 0 3 308.425 4.046 20 0 DIADHN CC[C@@H](c1ccncc1)[N@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000429688954 338087513 /nfs/dbraw/zinc/08/75/13/338087513.db2.gz YIGMJZBCSSUFTA-SFHVURJKSA-N 0 3 321.424 4.227 20 0 DIADHN CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000429688954 338087514 /nfs/dbraw/zinc/08/75/14/338087514.db2.gz YIGMJZBCSSUFTA-SFHVURJKSA-N 0 3 321.424 4.227 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000429781121 338089779 /nfs/dbraw/zinc/08/97/79/338089779.db2.gz CVWFSHQDFUVMGN-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN FC1(F)CC[C@H](CNCc2csc(-c3ccccc3)n2)C1 ZINC000430007506 338093309 /nfs/dbraw/zinc/09/33/09/338093309.db2.gz RYPKJKGKPOQXRC-LBPRGKRZSA-N 0 3 308.397 4.335 20 0 DIADHN CCCC[C@@H](C)N(C)Cc1cnc(-c2ccccc2OC)nc1 ZINC000430082547 338095197 /nfs/dbraw/zinc/09/51/97/338095197.db2.gz FCNGNTGANQTLSN-OAHLLOKOSA-N 0 3 313.445 4.163 20 0 DIADHN CCc1oc2ccccc2c1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000430086496 338095300 /nfs/dbraw/zinc/09/53/00/338095300.db2.gz BIRYFQUZQVDERZ-DLBZAZTESA-N 0 3 305.368 4.472 20 0 DIADHN CC(C)[C@@H]1N(Cc2cn(C)nc2-c2cccs2)CC12CCC2 ZINC000430086744 338095558 /nfs/dbraw/zinc/09/55/58/338095558.db2.gz FRLMROSERVPRDN-KRWDZBQOSA-N 0 3 315.486 4.159 20 0 DIADHN CCOCc1cc(CN2CC3(CCC3)[C@@H]2C(C)C)ccc1OC ZINC000430091574 338095887 /nfs/dbraw/zinc/09/58/87/338095887.db2.gz XYUCXTGIISBRDN-IBGZPJMESA-N 0 3 317.473 4.242 20 0 DIADHN COc1ccc2ccccc2c1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000430109508 338097152 /nfs/dbraw/zinc/09/71/52/338097152.db2.gz YLHWMZREAJQAGN-MSOLQXFVSA-N 0 3 317.379 4.326 20 0 DIADHN CSC[C@H]1CCCN(Cc2cn(C)nc2C2CCCCC2)C1 ZINC000430185215 338100011 /nfs/dbraw/zinc/10/00/11/338100011.db2.gz CYWHIQHHOUGHAW-HNNXBMFYSA-N 0 3 321.534 4.043 20 0 DIADHN COc1cc(CN2CCC[C@H](CSC)C2)ccc1OC(C)C ZINC000430191339 338100406 /nfs/dbraw/zinc/10/04/06/338100406.db2.gz JJSRRVGAOQQKOH-INIZCTEOSA-N 0 3 323.502 4.057 20 0 DIADHN CC[C@H]1CCN1Cc1ccc(OCc2cccs2)c(OC)c1 ZINC000430200034 338101032 /nfs/dbraw/zinc/10/10/32/338101032.db2.gz VVRRUTXWXKLMLX-HNNXBMFYSA-N 0 3 317.454 4.320 20 0 DIADHN CC[C@@H]1CCN1Cc1cc(OC)c(OC)cc1-c1ccccc1 ZINC000430201897 338101234 /nfs/dbraw/zinc/10/12/34/338101234.db2.gz XBJWXTUXFAANLQ-QGZVFWFLSA-N 0 3 311.425 4.355 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccc(OCc3cccnc3)cc2)C1 ZINC000430277396 338104038 /nfs/dbraw/zinc/10/40/38/338104038.db2.gz NFKIDEBRZXOECV-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN c1ccc(CCN(CCC2CCCCC2)Cc2cccnc2)nc1 ZINC000430341169 338105254 /nfs/dbraw/zinc/10/52/54/338105254.db2.gz FJWDNHAUESPYHS-UHFFFAOYSA-N 0 3 323.484 4.492 20 0 DIADHN CC(C(=O)N1c2ccccc2S[C@@H](C)[C@@H]1C)C(F)(F)F ZINC000430656869 338110577 /nfs/dbraw/zinc/11/05/77/338110577.db2.gz UERGJFOUIQEVEE-GUBZILKMSA-N 0 3 303.349 4.101 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2[C@@H](C)[C@@H]2c2ccccc2)c(CN(C)C)c1 ZINC000430679345 338111167 /nfs/dbraw/zinc/11/11/67/338111167.db2.gz VKOVLWWNFNRIAR-CWFSZBLJSA-N 0 3 322.452 4.045 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](C)c2ccccc2Br)CCO1 ZINC000148866952 338111736 /nfs/dbraw/zinc/11/17/36/338111736.db2.gz KZOHYBSTCHMUSO-JHJVBQTASA-N 0 3 312.251 4.057 20 0 DIADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000430725673 338112332 /nfs/dbraw/zinc/11/23/32/338112332.db2.gz RNSPNYNTWSKDPJ-JGVFFNPUSA-N 0 3 314.323 4.248 20 0 DIADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000430754126 338113781 /nfs/dbraw/zinc/11/37/81/338113781.db2.gz MONKQRNQEFVMNA-WDEREUQCSA-N 0 3 300.418 4.108 20 0 DIADHN FC(F)(F)C[C@H]1CCN(CCSCc2ccccc2)C1 ZINC000430761200 338114138 /nfs/dbraw/zinc/11/41/38/338114138.db2.gz UIVRYLSSQAILRD-CQSZACIVSA-N 0 3 303.393 4.194 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000430867366 338117429 /nfs/dbraw/zinc/11/74/29/338117429.db2.gz KABYHVDMYDMBSQ-FHWLQOOXSA-N 0 3 309.457 4.234 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@H](C)c1ccc(F)cc1 ZINC000430871133 338117581 /nfs/dbraw/zinc/11/75/81/338117581.db2.gz CIIDHIYARJTLPJ-YJBOKZPZSA-N 0 3 315.436 4.373 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@@H](C)c1ccc(F)cc1 ZINC000430871131 338117615 /nfs/dbraw/zinc/11/76/15/338117615.db2.gz CIIDHIYARJTLPJ-QAPCUYQASA-N 0 3 315.436 4.373 20 0 DIADHN CCn1ccnc1[C@H]1CCCCN1C[C@H](C)c1ccc(F)cc1 ZINC000430871129 338117626 /nfs/dbraw/zinc/11/76/26/338117626.db2.gz CIIDHIYARJTLPJ-MAUKXSAKSA-N 0 3 315.436 4.373 20 0 DIADHN COC(=O)c1cc([C@H](C)N[C@H](C)[C@@H](C)c2ccccc2)oc1C ZINC000431120908 338123315 /nfs/dbraw/zinc/12/33/15/338123315.db2.gz PFRPXRBIZCBGIJ-MCIONIFRSA-N 0 3 315.413 4.217 20 0 DIADHN CCC(O)(CC)CN[C@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000431347453 338128697 /nfs/dbraw/zinc/12/86/97/338128697.db2.gz GSLXJUCTABZORO-HNNXBMFYSA-N 0 3 311.853 4.003 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NC[C@@]1(C)CCCO1 ZINC000431347414 338128758 /nfs/dbraw/zinc/12/87/58/338128758.db2.gz GLVAORBFIOSITJ-NVXWUHKLSA-N 0 3 309.837 4.021 20 0 DIADHN CCCN(Cc1ccccc1)C(=O)C[C@H](c1ccccc1)N(C)C ZINC000431516800 338133016 /nfs/dbraw/zinc/13/30/16/338133016.db2.gz GLCJEXDXNBASFD-HXUWFJFHSA-N 0 3 324.468 4.118 20 0 DIADHN Cc1ncsc1CN(C)[C@@H](C)CCSc1ccccc1 ZINC000431598782 338134389 /nfs/dbraw/zinc/13/43/89/338134389.db2.gz DDVULEOIWWOJQZ-ZDUSSCGKSA-N 0 3 306.500 4.454 20 0 DIADHN CSc1cccc(NC(=O)C[C@H](c2ccccc2)N(C)C)c1 ZINC000431649008 338135473 /nfs/dbraw/zinc/13/54/73/338135473.db2.gz SIQZGPOKLBCFOB-QGZVFWFLSA-N 0 3 314.454 4.040 20 0 DIADHN C[C@H](CN1CCCCC1)NCc1csc(Cl)c1Cl ZINC000432232973 338148693 /nfs/dbraw/zinc/14/86/93/338148693.db2.gz PDHBFPWCZXLQIU-SNVBAGLBSA-N 0 3 307.290 4.019 20 0 DIADHN Cc1nc(CCNCc2csc(Cl)c2Cl)cs1 ZINC000432228685 338149221 /nfs/dbraw/zinc/14/92/21/338149221.db2.gz LEBUYSKDBYVXHW-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN C[C@@H](CN1CCCCC1)NCc1csc(Cl)c1Cl ZINC000432232972 338149254 /nfs/dbraw/zinc/14/92/54/338149254.db2.gz PDHBFPWCZXLQIU-JTQLQIEISA-N 0 3 307.290 4.019 20 0 DIADHN Fc1ccc(CNCC2CCSCC2)c(Cl)c1Cl ZINC000432233672 338149478 /nfs/dbraw/zinc/14/94/78/338149478.db2.gz AVIDAWTYVBKSAK-UHFFFAOYSA-N 0 3 308.249 4.365 20 0 DIADHN CCc1nc(CCNCc2csc(Cl)c2Cl)cs1 ZINC000432235501 338149617 /nfs/dbraw/zinc/14/96/17/338149617.db2.gz JDJBVTDLTIJZOV-UHFFFAOYSA-N 0 3 321.298 4.406 20 0 DIADHN C[C@@H](O)CC(C)(C)CNCc1ccc(F)c(Cl)c1Cl ZINC000432244497 338150274 /nfs/dbraw/zinc/15/02/74/338150274.db2.gz CIRBXLYXLZDVOR-SECBINFHSA-N 0 3 308.224 4.019 20 0 DIADHN C[C@@]1(CNCc2csc(Cl)c2Cl)CCCC[C@H]1O ZINC000432246097 338150402 /nfs/dbraw/zinc/15/04/02/338150402.db2.gz AVFGSSZNRBHMSV-MFKMUULPSA-N 0 3 308.274 4.086 20 0 DIADHN C[C@@]1(CNCc2csc(Cl)c2Cl)CCCC[C@@H]1O ZINC000432246095 338150470 /nfs/dbraw/zinc/15/04/70/338150470.db2.gz AVFGSSZNRBHMSV-GWCFXTLKSA-N 0 3 308.274 4.086 20 0 DIADHN OCCC1(CNCc2ccc(F)c(Cl)c2Cl)CCCC1 ZINC000432248820 338150506 /nfs/dbraw/zinc/15/05/06/338150506.db2.gz BFTNJUFMXSVFPE-UHFFFAOYSA-N 0 3 320.235 4.165 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432246756 338150521 /nfs/dbraw/zinc/15/05/21/338150521.db2.gz NUSWCJAZQVVSIC-SNVBAGLBSA-N 0 3 306.208 4.180 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)NCc1csc(Cl)c1Cl ZINC000432247563 338150578 /nfs/dbraw/zinc/15/05/78/338150578.db2.gz RVGJUJBCSNOAEG-SECBINFHSA-N 0 3 316.253 4.481 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2csc(Cl)c2Cl)CCO1 ZINC000432253765 338151194 /nfs/dbraw/zinc/15/11/94/338151194.db2.gz LGPBPUPFDSEUPF-ZJUUUORDSA-N 0 3 308.274 4.350 20 0 DIADHN CO[C@H]1CCC[C@H]1CNCc1ccc(F)c(Cl)c1Cl ZINC000432256308 338151336 /nfs/dbraw/zinc/15/13/36/338151336.db2.gz GLNGKHLKMIGGGF-CABZTGNLSA-N 0 3 306.208 4.037 20 0 DIADHN Clc1scc(CNCCCNc2ccccn2)c1Cl ZINC000432255021 338151440 /nfs/dbraw/zinc/15/14/40/338151440.db2.gz XTBYVJRRGNGXNA-UHFFFAOYSA-N 0 3 316.257 4.042 20 0 DIADHN CO[C@H]1CCC[C@@H]1CNCc1ccc(F)c(Cl)c1Cl ZINC000432256310 338151475 /nfs/dbraw/zinc/15/14/75/338151475.db2.gz GLNGKHLKMIGGGF-SKDRFNHKSA-N 0 3 306.208 4.037 20 0 DIADHN CC[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@H]1CCCO1 ZINC000432257575 338151523 /nfs/dbraw/zinc/15/15/23/338151523.db2.gz KFEJYFAUCGVEDS-NWDGAFQWSA-N 0 3 306.208 4.180 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1Cl)C1CCOCC1 ZINC000432258551 338151649 /nfs/dbraw/zinc/15/16/49/338151649.db2.gz ZPROIEWHRCBFPI-SECBINFHSA-N 0 3 306.208 4.037 20 0 DIADHN COCCC(C)(C)CNCc1ccc(F)c(Cl)c1Cl ZINC000432256622 338151651 /nfs/dbraw/zinc/15/16/51/338151651.db2.gz CZTPWGFXGYSLRV-UHFFFAOYSA-N 0 3 308.224 4.285 20 0 DIADHN CO[C@@H](CCNCc1csc(Cl)c1Cl)C(F)(F)F ZINC000432258985 338151699 /nfs/dbraw/zinc/15/16/99/338151699.db2.gz MQMQAFZODCRQIL-ZETCQYMHSA-N 0 3 322.179 4.112 20 0 DIADHN C[C@@H]1COCC[C@H]1N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000432411299 338155214 /nfs/dbraw/zinc/15/52/14/338155214.db2.gz XDMQRKXVPKHIOY-FBIMIBRVSA-N 0 3 320.285 4.447 20 0 DIADHN C[C@@H](NCCC1CC(O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000432416154 338155657 /nfs/dbraw/zinc/15/56/57/338155657.db2.gz PMMQWLMHBSNELS-XNWIYYODSA-N 0 3 322.663 4.458 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NC(=O)C(C)(C)C(C)(C)C)C1 ZINC000432420534 338155884 /nfs/dbraw/zinc/15/58/84/338155884.db2.gz KUKQQUACRXDWTP-DOTOQJQBSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NC(=O)C(C)(C)C(C)(C)C)C1 ZINC000432420536 338155922 /nfs/dbraw/zinc/15/59/22/338155922.db2.gz KUKQQUACRXDWTP-RDJZCZTQSA-N 0 3 316.489 4.010 20 0 DIADHN OC1CC(CCN[C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000432441389 338156583 /nfs/dbraw/zinc/15/65/83/338156583.db2.gz KJNDRUYCVURIHF-FPCQFERPSA-N 0 3 315.844 4.180 20 0 DIADHN CCc1cccnc1[C@H](C)NCCC1CC(OC(C)(C)C)C1 ZINC000432448245 338156691 /nfs/dbraw/zinc/15/66/91/338156691.db2.gz RTYTZYDVZZONLU-UQPPLGOBSA-N 0 3 304.478 4.278 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2cccc3ccccc32)cn1 ZINC000432507998 338157917 /nfs/dbraw/zinc/15/79/17/338157917.db2.gz XEEZXPDXOYRNDC-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN C[C@@H](NC[C@H]1CCCN(c2ccccc2)C1)c1ccccc1F ZINC000432507785 338157936 /nfs/dbraw/zinc/15/79/36/338157936.db2.gz VPHSNFGMWZHMEF-IAGOWNOFSA-N 0 3 312.432 4.393 20 0 DIADHN C[C@H](NC[C@H]1CCCN(c2ccccc2)C1)c1ccccc1F ZINC000432507784 338157955 /nfs/dbraw/zinc/15/79/55/338157955.db2.gz VPHSNFGMWZHMEF-DLBZAZTESA-N 0 3 312.432 4.393 20 0 DIADHN CCN(CCc1nccs1)Cc1ccc(C(F)(F)F)s1 ZINC000432731240 338164752 /nfs/dbraw/zinc/16/47/52/338164752.db2.gz SJXQUVRQXVSSFD-UHFFFAOYSA-N 0 3 320.405 4.288 20 0 DIADHN CC[C@@H](C)N(Cc1nc2ccccc2c(=O)[nH]1)CC1(C)CCC1 ZINC000432777991 338167181 /nfs/dbraw/zinc/16/71/81/338167181.db2.gz XHWCJDWQOYRRMW-CQSZACIVSA-N 0 3 313.445 4.126 20 0 DIADHN CCC(CC)[C@@H](NCc1n[nH]c(C(C)(C)C)n1)c1cccs1 ZINC000432779858 338167422 /nfs/dbraw/zinc/16/74/22/338167422.db2.gz FFXNLYMYPINNSX-OAHLLOKOSA-N 0 3 320.506 4.431 20 0 DIADHN CCC(CC)[C@H](NCc1n[nH]c(C(C)(C)C)n1)c1cccs1 ZINC000432779857 338167446 /nfs/dbraw/zinc/16/74/46/338167446.db2.gz FFXNLYMYPINNSX-HNNXBMFYSA-N 0 3 320.506 4.431 20 0 DIADHN CC(C)(C)C[C@@H](NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000432785978 338167712 /nfs/dbraw/zinc/16/77/12/338167712.db2.gz WIVVUVSDZMEYLH-OAHLLOKOSA-N 0 3 314.477 4.369 20 0 DIADHN COc1cccc(CN2CC3(CCC3)C[C@@H]2C)c1OC(C)C ZINC000432789903 338167951 /nfs/dbraw/zinc/16/79/51/338167951.db2.gz UZPIWDQVTREUJD-HNNXBMFYSA-N 0 3 303.446 4.247 20 0 DIADHN CCOC(=O)c1csc(CN[C@H]2CCC[C@H]2CCC(C)C)n1 ZINC000432799874 338168413 /nfs/dbraw/zinc/16/84/13/338168413.db2.gz ILYJHEAECPEEQB-KBPBESRZSA-N 0 3 324.490 4.014 20 0 DIADHN Cc1cccc(CN(CCC2CCOCC2)Cc2ccco2)c1 ZINC000432828463 338169577 /nfs/dbraw/zinc/16/95/77/338169577.db2.gz IYMCGGZCRTXKAC-UHFFFAOYSA-N 0 3 313.441 4.407 20 0 DIADHN Cc1ccccc1[C@@H](NCc1n[nH]c(C(C)(C)C)n1)C(C)(C)C ZINC000432853372 338170847 /nfs/dbraw/zinc/17/08/47/338170847.db2.gz UKIVIZDROBUNFW-MRXNPFEDSA-N 0 3 314.477 4.288 20 0 DIADHN Cc1ccc(CN(CCC2CCOCC2)Cc2ccco2)s1 ZINC000432934835 338174030 /nfs/dbraw/zinc/17/40/30/338174030.db2.gz XIKSSKSPHXXDEX-UHFFFAOYSA-N 0 3 319.470 4.468 20 0 DIADHN COC[C@H](N[C@H](C)c1ccc(SC(C)C)cc1)[C@@H]1CCCO1 ZINC000433010339 338176145 /nfs/dbraw/zinc/17/61/45/338176145.db2.gz XRUMLGLJSWYAIM-JLSDUUJJSA-N 0 3 323.502 4.032 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@H]2C)ccc1OC(F)F ZINC000433023727 338176848 /nfs/dbraw/zinc/17/68/48/338176848.db2.gz SUJIWDHVVPNHIB-GFCCVEGCSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc(C)cc3C)CC2)s1 ZINC000433033668 338177504 /nfs/dbraw/zinc/17/75/04/338177504.db2.gz WECAHRVYSFMDKA-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN Cc1nc(CSCCN(C)Cc2ccccc2)sc1C ZINC000433036936 338177668 /nfs/dbraw/zinc/17/76/68/338177668.db2.gz APEBVFGLBNHURF-UHFFFAOYSA-N 0 3 306.500 4.125 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCCC[C@@H](C)O ZINC000433068142 338179989 /nfs/dbraw/zinc/17/99/89/338179989.db2.gz NYPCZFZLAPINOA-CJNGLKHVSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@@H](NCC1(c2ccc(C)c(C)c2)CCC1)c1nccn1C ZINC000433076331 338180498 /nfs/dbraw/zinc/18/04/98/338180498.db2.gz KCGWRVGQLPRZDT-GOSISDBHSA-N 0 3 311.473 4.200 20 0 DIADHN Cc1ccc(C2(CN[C@@H](c3nccn3C)C3CC3)CCC2)cc1C ZINC000433082011 338180692 /nfs/dbraw/zinc/18/06/92/338180692.db2.gz IKBXJQHYBANCIF-LJQANCHMSA-N 0 3 323.484 4.200 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CC4CCC3CC4)co2)cc1 ZINC000433083191 338180838 /nfs/dbraw/zinc/18/08/38/338180838.db2.gz NZDNRKXFFHNFFI-FVKWTLKZSA-N 0 3 300.377 4.149 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3nc(C)c(C)s3)C2)cc1 ZINC000433083228 338180971 /nfs/dbraw/zinc/18/09/71/338180971.db2.gz OFZTTWBIVYGSIR-KRWDZBQOSA-N 0 3 300.471 4.312 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1cc(F)c(Cl)cc1F ZINC000433094060 338181420 /nfs/dbraw/zinc/18/14/20/338181420.db2.gz TUVPGARVGNCKOQ-SSDOTTSWSA-N 0 3 301.686 4.439 20 0 DIADHN CSC1(CN[C@@H]2CCCOc3c(C)cc(Cl)cc32)CC1 ZINC000433093218 338181502 /nfs/dbraw/zinc/18/15/02/338181502.db2.gz SUJIXXWOOYNMFP-CQSZACIVSA-N 0 3 311.878 4.347 20 0 DIADHN Cc1cnc(C2CCN([C@H]3CCc4ccc(F)cc43)CC2)s1 ZINC000433135852 338182737 /nfs/dbraw/zinc/18/27/37/338182737.db2.gz KDPGKUUYZLQSHG-KRWDZBQOSA-N 0 3 316.445 4.458 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3coc4ccccc34)cccc21 ZINC000433174591 338184080 /nfs/dbraw/zinc/18/40/80/338184080.db2.gz LIWKWIDWQXHMFE-SFHVURJKSA-N 0 3 322.408 4.430 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@H]3N(C)C)cc1F ZINC000433178360 338184187 /nfs/dbraw/zinc/18/41/87/338184187.db2.gz BUHVULFFHSOVBG-GOSISDBHSA-N 0 3 314.404 4.131 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3cc4ccccc4o3)cccc21 ZINC000433181148 338184416 /nfs/dbraw/zinc/18/44/16/338184416.db2.gz ZQANPPZCRPZIPC-SFHVURJKSA-N 0 3 322.408 4.430 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3ccsc3Cl)cccc21 ZINC000433180760 338184491 /nfs/dbraw/zinc/18/44/91/338184491.db2.gz IJWXEKCDTMJIDR-AWEZNQCLSA-N 0 3 322.861 4.399 20 0 DIADHN Cc1ccccc1C[C@@H](C)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000433193826 338185054 /nfs/dbraw/zinc/18/50/54/338185054.db2.gz SLWPUCBWOAEPDJ-OXQOHEQNSA-N 0 3 324.468 4.423 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCC1(SC)CC1 ZINC000433191835 338185158 /nfs/dbraw/zinc/18/51/58/338185158.db2.gz WXHKYQYGOFUZOH-UHFFFAOYSA-N 0 3 313.466 4.347 20 0 DIADHN C[C@@H](O)CCCNCc1c(Cl)cccc1Oc1ccccc1 ZINC000433206254 338185906 /nfs/dbraw/zinc/18/59/06/338185906.db2.gz NJHNCHOAIYGQBZ-CQSZACIVSA-N 0 3 319.832 4.383 20 0 DIADHN Cc1ccc(F)c(CNc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC000433210075 338186135 /nfs/dbraw/zinc/18/61/35/338186135.db2.gz ZVFXXMDRNXUKQP-SFHVURJKSA-N 0 3 314.404 4.131 20 0 DIADHN FC(F)(F)COCCCN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000433283150 338189220 /nfs/dbraw/zinc/18/92/20/338189220.db2.gz CAVSCKFHTHENJO-ZDUSSCGKSA-N 0 3 321.770 4.098 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c(C)n1 ZINC000433297990 338190205 /nfs/dbraw/zinc/19/02/05/338190205.db2.gz NADOCBWLVPZRTD-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN Clc1cccc([C@@H]2CCN(CCCO[C@H]3CCCCO3)C2)c1 ZINC000433301032 338190502 /nfs/dbraw/zinc/19/05/02/338190502.db2.gz UWXZUHLCWXMEGA-AEFFLSMTSA-N 0 3 323.864 4.063 20 0 DIADHN CC[C@@H](CNCc1ncc(C(F)(F)F)s1)c1ccccc1 ZINC000433302864 338190533 /nfs/dbraw/zinc/19/05/33/338190533.db2.gz JXEPFMFFUVRSNP-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](O)C23CCCC3)cc1Cl ZINC000433312076 338191630 /nfs/dbraw/zinc/19/16/30/338191630.db2.gz JRWSNQOUIIXJAL-QGZVFWFLSA-N 0 3 323.864 4.007 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000433321061 338192155 /nfs/dbraw/zinc/19/21/55/338192155.db2.gz MQHKMBPFMLCVBY-IBGZPJMESA-N 0 3 307.397 4.219 20 0 DIADHN Cc1cc(C)cc([C@H](C)NC(=O)C[C@@H](c2ccccc2)N(C)C)c1 ZINC000433348837 338193618 /nfs/dbraw/zinc/19/36/18/338193618.db2.gz BDLLXEFZNZFVOA-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1cccc([C@@H](C)NC(=O)C[C@@H](c2ccccc2)N(C)C)c1C ZINC000433355233 338193734 /nfs/dbraw/zinc/19/37/34/338193734.db2.gz OXELHENLEWNIDM-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1cccc([C@@H](C)NC(=O)C[C@H](c2ccccc2)N(C)C)c1C ZINC000433355234 338193764 /nfs/dbraw/zinc/19/37/64/338193764.db2.gz OXELHENLEWNIDM-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN CO[C@H]1CCN(c2ccnc3ccc(Oc4ccccc4)cc32)C1 ZINC000433775659 338201433 /nfs/dbraw/zinc/20/14/33/338201433.db2.gz SDZCIRUXLLMBBG-KRWDZBQOSA-N 0 3 320.392 4.252 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCN(C(C)C)C1=O)c1ccc(Cl)cc1 ZINC000433778982 338201505 /nfs/dbraw/zinc/20/15/05/338201505.db2.gz FBAXJPCHHXDXGJ-DLBZAZTESA-N 0 3 322.880 4.026 20 0 DIADHN Fc1ccc(OC2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC000433799475 338202219 /nfs/dbraw/zinc/20/22/19/338202219.db2.gz AOWRKAWGYIEXRA-UHFFFAOYSA-N 0 3 322.383 4.422 20 0 DIADHN c1ccc(Oc2ccc3nccc(NC4CCOCC4)c3c2)cc1 ZINC000434084478 338209175 /nfs/dbraw/zinc/20/91/75/338209175.db2.gz WTHRSKLWEFGRML-UHFFFAOYSA-N 0 3 320.392 4.040 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(F)c(OC)c1 ZINC000152720549 338210340 /nfs/dbraw/zinc/21/03/40/338210340.db2.gz RDUNCJSQTNHXNW-AWEZNQCLSA-N 0 3 317.404 4.222 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(F)c(OC)c1 ZINC000152720642 338210437 /nfs/dbraw/zinc/21/04/37/338210437.db2.gz RDUNCJSQTNHXNW-CQSZACIVSA-N 0 3 317.404 4.222 20 0 DIADHN c1cc(CN2CCCCC2)cc(-c2noc([C@@H]3C[C@@H]3C3CC3)n2)c1 ZINC000434205625 338212232 /nfs/dbraw/zinc/21/22/32/338212232.db2.gz GCFDZVVADNQXTL-QZTJIDSGSA-N 0 3 323.440 4.236 20 0 DIADHN c1cc(CN2CCCCC2)cc(-c2noc([C@H]3C[C@H]3C3CC3)n2)c1 ZINC000434205626 338212300 /nfs/dbraw/zinc/21/23/00/338212300.db2.gz GCFDZVVADNQXTL-ROUUACIJSA-N 0 3 323.440 4.236 20 0 DIADHN CC(C)n1ncnc1CN1C[C@H](c2ccccc2)[C@@H]2CCCC[C@H]21 ZINC000434478494 338217325 /nfs/dbraw/zinc/21/73/25/338217325.db2.gz GKZGECPKHIQWFJ-IPMKNSEASA-N 0 3 324.472 4.017 20 0 DIADHN CCN(CCc1cccc(Cl)c1Cl)Cc1ccncc1 ZINC000434486975 338217886 /nfs/dbraw/zinc/21/78/86/338217886.db2.gz ULVIJYMPGKYBQO-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN Clc1cccc(CCN2CCC[C@@H](c3ncc[nH]3)C2)c1Cl ZINC000434490538 338218147 /nfs/dbraw/zinc/21/81/47/338218147.db2.gz YRVQZTSVJLCURF-CYBMUJFWSA-N 0 3 324.255 4.139 20 0 DIADHN CCN(CCc1cccc(Cl)c1Cl)Cc1ccccn1 ZINC000434493752 338218319 /nfs/dbraw/zinc/21/83/19/338218319.db2.gz VPGAEWIDNFLFEV-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1ncnn1C(C)C ZINC000434534785 338219787 /nfs/dbraw/zinc/21/97/87/338219787.db2.gz JVIGAANAFIWWOQ-ZWKOTPCHSA-N 0 3 312.461 4.017 20 0 DIADHN C[C@@H](c1cccs1)N(C)CCC(=O)Nc1cc(F)cc(F)c1 ZINC000434656906 338222594 /nfs/dbraw/zinc/22/25/94/338222594.db2.gz OHAQAZJMZMSFGX-NSHDSACASA-N 0 3 324.396 4.048 20 0 DIADHN Cc1ccc([C@]2(C)CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000434745988 338224606 /nfs/dbraw/zinc/22/46/06/338224606.db2.gz LVQLARPKUZJTSD-HXUWFJFHSA-N 0 3 306.409 4.300 20 0 DIADHN CCCNc1ccc(CNC/C=C\c2ccccc2OC)cc1 ZINC000434788142 338225641 /nfs/dbraw/zinc/22/56/41/338225641.db2.gz XVZPFEHSPZPORN-VURMDHGXSA-N 0 3 310.441 4.320 20 0 DIADHN CCCNc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1 ZINC000434785895 338225708 /nfs/dbraw/zinc/22/57/08/338225708.db2.gz QROPIKVVJGHPOW-UHFFFAOYSA-N 0 3 311.404 4.419 20 0 DIADHN c1cc(-c2ccc(CN3CCCCC[C@H]3c3ccncc3)o2)n[nH]1 ZINC000434835096 338226281 /nfs/dbraw/zinc/22/62/81/338226281.db2.gz YNWCJHZRHFHGEV-SFHVURJKSA-N 0 3 322.412 4.182 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1cc(-c2ccco2)on1 ZINC000434894474 338227012 /nfs/dbraw/zinc/22/70/12/338227012.db2.gz GCUMXMGQDKVPLU-WMLDXEAASA-N 0 3 308.381 4.313 20 0 DIADHN Cc1cccc2nc(CN3CC[C@H](c4ccccc4)[C@@H]3C)cn21 ZINC000434901243 338227596 /nfs/dbraw/zinc/22/75/96/338227596.db2.gz UAMLMUAKLXMJOQ-LPHOPBHVSA-N 0 3 305.425 4.021 20 0 DIADHN COc1ccc([C@@H]2CCCN2CC2=Cc3ccccc3OC2)cc1 ZINC000434986176 338228914 /nfs/dbraw/zinc/22/89/14/338228914.db2.gz FNWBNRURDRRSCS-FQEVSTJZSA-N 0 3 321.420 4.308 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCCC[C@@H]3C3CC3)cccc21 ZINC000435023392 338229792 /nfs/dbraw/zinc/22/97/92/338229792.db2.gz JXQBBHCUPMFPGJ-SZVBFZGTSA-N 0 3 314.473 4.453 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCC[C@H](C4CC4)C3)cccc21 ZINC000435025688 338229901 /nfs/dbraw/zinc/22/99/01/338229901.db2.gz JVHGIUHIPHUKAJ-FRQCXROJSA-N 0 3 314.473 4.453 20 0 DIADHN C[C@H](CCC(F)(F)F)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000435029247 338229999 /nfs/dbraw/zinc/22/99/99/338229999.db2.gz UAZDFGVDBAVRNW-BXUZGUMPSA-N 0 3 316.367 4.215 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC3CC(c4ccccc4)C3)cccc21 ZINC000435043056 338230635 /nfs/dbraw/zinc/23/06/35/338230635.db2.gz PNDSFYIBKNLPRA-UHYCVJNDSA-N 0 3 322.452 4.430 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC3CCC4(CC4)CC3)cccc21 ZINC000435042250 338230683 /nfs/dbraw/zinc/23/06/83/338230683.db2.gz LJAZAYMNJPCFRY-QGZVFWFLSA-N 0 3 300.446 4.207 20 0 DIADHN Cc1ccn(C2CCN(Cc3ccc(C(C)(C)C)s3)CC2)n1 ZINC000435054736 338231086 /nfs/dbraw/zinc/23/10/86/338231086.db2.gz FSFUHTQGILICGJ-UHFFFAOYSA-N 0 3 317.502 4.388 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@@H](Oc3ccncc3)C2)s1 ZINC000435058491 338231339 /nfs/dbraw/zinc/23/13/39/338231339.db2.gz TYSASSVMVLLDIZ-OAHLLOKOSA-N 0 3 316.470 4.094 20 0 DIADHN c1cc(-c2ccc(CN3CCC(c4ccccc4)CC3)o2)n[nH]1 ZINC000435126639 338232642 /nfs/dbraw/zinc/23/26/42/338232642.db2.gz PBDOFIUVSNDJRG-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CCOc1c(Cl)cc(CN(C)Cc2ccccc2)cc1OC ZINC000435236548 338234967 /nfs/dbraw/zinc/23/49/67/338234967.db2.gz JJGWEIXYIWJULR-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CC(C)[C@H](c1ccc(F)cc1)N(C)Cc1cnn2ccccc12 ZINC000435275023 338236177 /nfs/dbraw/zinc/23/61/77/338236177.db2.gz ODIBXAKZWBSOEF-LJQANCHMSA-N 0 3 311.404 4.303 20 0 DIADHN Cc1ccc([O-])c(C[N@@H+](C)[C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000435274206 338236232 /nfs/dbraw/zinc/23/62/32/338236232.db2.gz HFMWTIPBIOLYKV-GOSISDBHSA-N 0 3 302.393 4.064 20 0 DIADHN Cc1ccc([O-])c(C[N@H+](C)[C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000435274206 338236233 /nfs/dbraw/zinc/23/62/33/338236233.db2.gz HFMWTIPBIOLYKV-GOSISDBHSA-N 0 3 302.393 4.064 20 0 DIADHN CCCn1cc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000435279306 338236356 /nfs/dbraw/zinc/23/63/56/338236356.db2.gz OVIZBMSQCWFYSQ-SFHVURJKSA-N 0 3 303.425 4.261 20 0 DIADHN CC(C)c1cnc(CN[C@H]2C[C@H](c3cccc(F)c3)C2)s1 ZINC000435725185 338246239 /nfs/dbraw/zinc/24/62/39/338246239.db2.gz FLKIBRYUZGCXII-CTYIDZIISA-N 0 3 304.434 4.441 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3nc(-c4ccccc4)c[nH]3)C2)c1 ZINC000435730029 338246590 /nfs/dbraw/zinc/24/65/90/338246590.db2.gz WFPURGUHFAFDER-SAABIXHNSA-N 0 3 321.399 4.252 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3c[nH]c(-c4ccccc4)n3)C2)c1 ZINC000435748345 338247845 /nfs/dbraw/zinc/24/78/45/338247845.db2.gz DNTKQVVQCPFBMQ-SAABIXHNSA-N 0 3 321.399 4.252 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2c[nH]c(-c3ccccc3)n2)C1 ZINC000435779951 338248793 /nfs/dbraw/zinc/24/87/93/338248793.db2.gz BBCAEHIZFHANDS-WKILWMFISA-N 0 3 321.399 4.252 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2cnc(-c3ccccc3)[nH]2)C1 ZINC000435779951 338248794 /nfs/dbraw/zinc/24/87/94/338248794.db2.gz BBCAEHIZFHANDS-WKILWMFISA-N 0 3 321.399 4.252 20 0 DIADHN c1[nH]c(CN[C@H]2C[C@H](c3ccccc3)C2)nc1-c1ccccc1 ZINC000435779394 338248900 /nfs/dbraw/zinc/24/89/00/338248900.db2.gz QMCKKZREBVIVHC-IYARVYRRSA-N 0 3 303.409 4.113 20 0 DIADHN CCn1nc(C)c(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)c1C ZINC000435782506 338248952 /nfs/dbraw/zinc/24/89/52/338248952.db2.gz QULYITWJSJTKHT-JCNLHEQBSA-N 0 3 317.864 4.209 20 0 DIADHN Fc1ccc2nc(CN[C@H]3C[C@H](c4ccccc4)C3)sc2c1 ZINC000435783471 338249009 /nfs/dbraw/zinc/24/90/09/338249009.db2.gz XGSRROWXSWWMQP-CTYIDZIISA-N 0 3 312.413 4.471 20 0 DIADHN COCc1ccc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)o1 ZINC000435782311 338249011 /nfs/dbraw/zinc/24/90/11/338249011.db2.gz PLEWAZZCUHRLBU-CTYIDZIISA-N 0 3 305.805 4.115 20 0 DIADHN c1coc(-c2ncc(CN[C@@H]3C[C@@H](c4ccccc4)C3)s2)c1 ZINC000435781772 338249047 /nfs/dbraw/zinc/24/90/47/338249047.db2.gz KTBFHWATZBUCLJ-SHTZXODSSA-N 0 3 310.422 4.439 20 0 DIADHN Clc1ccc2nc(CN[C@H]3C[C@H](c4ccccc4)C3)cn2c1 ZINC000435789433 338249290 /nfs/dbraw/zinc/24/92/90/338249290.db2.gz XGNXGHOQVSJSQG-KOMQPUFPSA-N 0 3 311.816 4.024 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2[C@H](C)[C@H]2c2ccccc2)c1 ZINC000435800158 338249742 /nfs/dbraw/zinc/24/97/42/338249742.db2.gz PEXOYFIFWLRFBC-UIAACRFSSA-N 0 3 322.452 4.045 20 0 DIADHN Cc1cccn2cc(CN[C@@H]3CC[C@@H](c4ccccc4)C3)nc12 ZINC000436253922 338263873 /nfs/dbraw/zinc/26/38/73/338263873.db2.gz RIUQPCFXIDPXHG-QZTJIDSGSA-N 0 3 305.425 4.069 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNC[C@H]2CCCCO2)c1 ZINC000436279254 338265304 /nfs/dbraw/zinc/26/53/04/338265304.db2.gz IQJHECQTSLSVIN-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN CSc1ccc(CCN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000436297565 338267195 /nfs/dbraw/zinc/26/71/95/338267195.db2.gz WFXYWHWYHDGKSB-LBPRGKRZSA-N 0 3 306.862 4.350 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2C[C@H](c3ccccc3)C2)c(OC)c1 ZINC000436303116 338267678 /nfs/dbraw/zinc/26/76/78/338267678.db2.gz VQVITUJQJUCCNI-XIRDDKMYSA-N 0 3 311.425 4.301 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H]1CCCC[C@H]1OCCC(C)C ZINC000436311231 338268350 /nfs/dbraw/zinc/26/83/50/338268350.db2.gz RZIGRKWYMMUHIH-BHIYHBOVSA-N 0 3 304.478 4.415 20 0 DIADHN Fc1cncc(CNCC2(c3ccc(C(F)(F)F)cc3)CC2)c1 ZINC000436397914 338270738 /nfs/dbraw/zinc/27/07/38/338270738.db2.gz BFKXIMWRVWGSDC-UHFFFAOYSA-N 0 3 324.321 4.061 20 0 DIADHN Clc1ccc(CN[C@H]2CC[C@@H](Cc3ccccc3)C2)nc1 ZINC000436404868 338271019 /nfs/dbraw/zinc/27/10/19/338271019.db2.gz ATGAMZGZLLYGGS-RDJZCZTQSA-N 0 3 300.833 4.236 20 0 DIADHN Cn1ncc(Cl)c1CNC1CCC(c2ccc(F)cc2)CC1 ZINC000436403597 338271110 /nfs/dbraw/zinc/27/11/10/338271110.db2.gz JKERXRDSCRACBC-UHFFFAOYSA-N 0 3 321.827 4.029 20 0 DIADHN Fc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@@H]3CCOC3)cc21 ZINC000436419333 338272048 /nfs/dbraw/zinc/27/20/48/338272048.db2.gz RBHKIRCHWYMRSL-QRWLVFNGSA-N 0 3 324.399 4.174 20 0 DIADHN CCc1nc([C@@H](C)NC2CC(C)(c3ccccc3)C2)cs1 ZINC000436469775 338273277 /nfs/dbraw/zinc/27/32/77/338273277.db2.gz GCDSGSKSLDQTQX-MJJDPTRDSA-N 0 3 300.471 4.476 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H](C)C1(c2ccccc2)CCCC1 ZINC000437016525 338297899 /nfs/dbraw/zinc/29/78/99/338297899.db2.gz XDHGYMUNBXJDLC-IRXDYDNUSA-N 0 3 309.457 4.336 20 0 DIADHN O=C(Nc1ccccc1)C1(N[C@@H]2CC[C@H](c3ccccc3)C2)CC1 ZINC000437022539 338298061 /nfs/dbraw/zinc/29/80/61/338298061.db2.gz VOJLQZIIEQVCGY-PKOBYXMFSA-N 0 3 320.436 4.084 20 0 DIADHN O=C(Nc1ccccc1)C1(N[C@H]2CC[C@H](c3ccccc3)C2)CC1 ZINC000437022536 338298114 /nfs/dbraw/zinc/29/81/14/338298114.db2.gz VOJLQZIIEQVCGY-HKUYNNGSSA-N 0 3 320.436 4.084 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccsc1)c1cc2ccccc2s1 ZINC000437031010 338298510 /nfs/dbraw/zinc/29/85/10/338298510.db2.gz VIMGBIDKSMENMD-RISCZKNCSA-N 0 3 303.452 4.347 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](CC(F)(F)F)C(C)C)CC2 ZINC000437072415 338299214 /nfs/dbraw/zinc/29/92/14/338299214.db2.gz CKJFKVWHBWRKOA-CABCVRRESA-N 0 3 301.352 4.249 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437072653 338299219 /nfs/dbraw/zinc/29/92/19/338299219.db2.gz BWOWDKPEEOTMDD-RIFZZMRRSA-N 0 3 310.441 4.251 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437072655 338299235 /nfs/dbraw/zinc/29/92/35/338299235.db2.gz BWOWDKPEEOTMDD-VPWXQRGCSA-N 0 3 310.441 4.251 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1ccccc1N(C)C ZINC000437075739 338299451 /nfs/dbraw/zinc/29/94/51/338299451.db2.gz JSSWFDSZEJCVCF-OCCSQVGLSA-N 0 3 302.384 4.380 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccccc1N(C)C(C)C)CC2 ZINC000437075144 338299491 /nfs/dbraw/zinc/29/94/91/338299491.db2.gz KRQVYYNISSIZNW-FQEVSTJZSA-N 0 3 324.468 4.317 20 0 DIADHN COc1cnccc1[C@@H](C)NC1CCC(c2ccccc2)CC1 ZINC000437084361 338299878 /nfs/dbraw/zinc/29/98/78/338299878.db2.gz VLYNLHWDYUPLQO-FAEJEUNOSA-N 0 3 310.441 4.467 20 0 DIADHN Fc1cccc2c1OCC[C@H]2N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000437096164 338300571 /nfs/dbraw/zinc/30/05/71/338300571.db2.gz KUMNFSJPPCAYAU-WMLDXEAASA-N 0 3 317.791 4.060 20 0 DIADHN C[C@@H]1[C@H](N[C@@H]2CCOc3c(F)cccc32)C[C@@H]1c1ccccc1 ZINC000437096259 338300615 /nfs/dbraw/zinc/30/06/15/338300615.db2.gz LCUDRMYSILERAK-PTKHLAPESA-N 0 3 311.400 4.431 20 0 DIADHN C[C@@H]1[C@H](N[C@@H]2CCOc3c(F)cccc32)C[C@H]1c1ccccc1 ZINC000437096261 338300669 /nfs/dbraw/zinc/30/06/69/338300669.db2.gz LCUDRMYSILERAK-UONJOCPKSA-N 0 3 311.400 4.431 20 0 DIADHN CSCc1cccc(CN[C@@H](c2cccnc2)C(C)C)c1 ZINC000437105994 338301178 /nfs/dbraw/zinc/30/11/78/338301178.db2.gz LBAYNTZQQYIBGM-GOSISDBHSA-N 0 3 300.471 4.432 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1ccc(Br)s1 ZINC000437109998 338301433 /nfs/dbraw/zinc/30/14/33/338301433.db2.gz YVIJZJRHXXIJAI-QWRGUYRKSA-N 0 3 320.296 4.366 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000437110748 338301630 /nfs/dbraw/zinc/30/16/30/338301630.db2.gz NCCHRKFQAXCGJG-AAEUAGOBSA-N 0 3 317.351 4.085 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000437110749 338301634 /nfs/dbraw/zinc/30/16/34/338301634.db2.gz NCCHRKFQAXCGJG-DGCLKSJQSA-N 0 3 317.351 4.085 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3nc(C)ccc3c2)c1 ZINC000437585313 338314994 /nfs/dbraw/zinc/31/49/94/338314994.db2.gz VOLAYUVETKIJGY-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CN(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@H]1CCSC1 ZINC000437590853 338315380 /nfs/dbraw/zinc/31/53/80/338315380.db2.gz IBVMWWFEMDASPY-LBPRGKRZSA-N 0 3 309.784 4.296 20 0 DIADHN CN(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@@H]1CCSC1 ZINC000437590851 338315454 /nfs/dbraw/zinc/31/54/54/338315454.db2.gz IBVMWWFEMDASPY-GFCCVEGCSA-N 0 3 309.784 4.296 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CC[C@H]2CCOC2)c1 ZINC000437594763 338315500 /nfs/dbraw/zinc/31/55/00/338315500.db2.gz WWXOBGRUPRXEIX-GUYCJALGSA-N 0 3 319.495 4.184 20 0 DIADHN Cc1ccc(CCN2CCC[C@H]2c2nc3ccccc3n2C)cc1 ZINC000437605104 338315953 /nfs/dbraw/zinc/31/59/53/338315953.db2.gz RDQJUBQYWHTCNE-FQEVSTJZSA-N 0 3 319.452 4.261 20 0 DIADHN C[C@H](CN(Cc1ccco1)C[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000437687261 338318259 /nfs/dbraw/zinc/31/82/59/338318259.db2.gz KBOYMSFXCKDHOJ-BEFAXECRSA-N 0 3 317.404 4.203 20 0 DIADHN COc1ccc(CN(C)Cc2cc3c(cccc3F)[nH]2)cc1C ZINC000437749657 338320054 /nfs/dbraw/zinc/32/00/54/338320054.db2.gz SIFGWQPBHQZZGJ-UHFFFAOYSA-N 0 3 312.388 4.256 20 0 DIADHN COCC[C@@H](N[C@@H](C)Cc1ccccc1F)c1ccc(C)o1 ZINC000437784970 338321381 /nfs/dbraw/zinc/32/13/81/338321381.db2.gz DRVPFHUXYWVKHN-SUMWQHHRSA-N 0 3 305.393 4.026 20 0 DIADHN COCC[C@H](NC[C@@H](C)c1ccc(F)cc1)c1ccc(C)o1 ZINC000437787537 338321481 /nfs/dbraw/zinc/32/14/81/338321481.db2.gz LKLPINWLBKYTSX-DYVFJYSZSA-N 0 3 305.393 4.198 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3cccnc3)C3CC3)ccc2n1 ZINC000437803642 338322190 /nfs/dbraw/zinc/32/21/90/338322190.db2.gz USXXTIZTDAIPAC-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3c[nH]nc3-c3ccsc3)C2)c1 ZINC000437964899 338326592 /nfs/dbraw/zinc/32/65/92/338326592.db2.gz QZZRUDDVELJQEO-MRXNPFEDSA-N 0 3 323.465 4.436 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@@H]1CCN(c2ccccc2)C1 ZINC000438073099 338329853 /nfs/dbraw/zinc/32/98/53/338329853.db2.gz AHJKPEIDTQMCMF-AWEZNQCLSA-N 0 3 318.823 4.095 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cc2c(cccc2F)[nH]1 ZINC000438093314 338331242 /nfs/dbraw/zinc/33/12/42/338331242.db2.gz BZRSCHWCGFYLDC-UHFFFAOYSA-N 0 3 317.433 4.217 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@](C)(c3ccccc3)C2)s1 ZINC000438174957 338334790 /nfs/dbraw/zinc/33/47/90/338334790.db2.gz HPGMHOHZGXALGW-QAPCUYQASA-N 0 3 300.471 4.306 20 0 DIADHN Cc1csc([C@H](NC[C@@H](C)c2ccc(F)cc2)C2CC2)n1 ZINC000438180061 338335259 /nfs/dbraw/zinc/33/52/59/338335259.db2.gz SCAZBXIBXDXIKQ-BDJLRTHQSA-N 0 3 304.434 4.435 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CCC[C@@H](C(F)(F)F)C3)nc2c1 ZINC000438182987 338335342 /nfs/dbraw/zinc/33/53/42/338335342.db2.gz VPVFXOUJXVDGCZ-NEPJUHHUSA-N 0 3 311.351 4.082 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCC[C@@H](C(F)(F)F)C3)[nH]c2c1 ZINC000438182987 338335343 /nfs/dbraw/zinc/33/53/43/338335343.db2.gz VPVFXOUJXVDGCZ-NEPJUHHUSA-N 0 3 311.351 4.082 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)s1 ZINC000438189070 338335701 /nfs/dbraw/zinc/33/57/01/338335701.db2.gz KPFFUZYNYVGROZ-VHSXEESVSA-N 0 3 321.412 4.046 20 0 DIADHN Cc1cnc([C@@H](NC[C@@H](C)c2ccc(F)cc2)C2CC2)s1 ZINC000438187973 338335809 /nfs/dbraw/zinc/33/58/09/338335809.db2.gz JVTCLCFCQUATMF-BZNIZROVSA-N 0 3 304.434 4.435 20 0 DIADHN Clc1cccc([C@H](NCC[C@@H]2CCOC2)c2ccccc2)c1 ZINC000438205115 338336534 /nfs/dbraw/zinc/33/65/34/338336534.db2.gz VOWSDGWCKUUXPC-DNVCBOLYSA-N 0 3 315.844 4.446 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1nc(C(C)(C)C)cs1 ZINC000438220419 338337158 /nfs/dbraw/zinc/33/71/58/338337158.db2.gz SMQBTUNLMKNOCE-LBPRGKRZSA-N 0 3 306.450 4.301 20 0 DIADHN Clc1ccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)cc1 ZINC000438234489 338337530 /nfs/dbraw/zinc/33/75/30/338337530.db2.gz BCVRHPKAMAWXHY-HNNXBMFYSA-N 0 3 317.820 4.470 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1ccc2ncccc2c1 ZINC000438333617 338339985 /nfs/dbraw/zinc/33/99/85/338339985.db2.gz VEUHIUVWUGQEEV-UHFFFAOYSA-N 0 3 319.452 4.369 20 0 DIADHN COc1ccc(CC(C)(C)NCc2ncc(C(C)C)s2)cc1 ZINC000438340562 338340156 /nfs/dbraw/zinc/34/01/56/338340156.db2.gz QXELGQRNPJVWSS-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@H](O)C(C)(C)C3)s2)cc1 ZINC000438342605 338340370 /nfs/dbraw/zinc/34/03/70/338340370.db2.gz OQHQBTCOXJVTDY-SFHVURJKSA-N 0 3 315.482 4.316 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCCC3(CCCC3)C2)cs1 ZINC000438356176 338341009 /nfs/dbraw/zinc/34/10/09/338341009.db2.gz UBSDEXXNDGXKHG-UHFFFAOYSA-N 0 3 301.459 4.294 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCOc3c(F)cccc32)c(F)c1 ZINC000438473389 338345034 /nfs/dbraw/zinc/34/50/34/338345034.db2.gz SDYPYIVCEFUXSZ-RCAFFNEUSA-N 0 3 319.326 4.231 20 0 DIADHN COc1cc(CN[C@H](CC(F)(F)F)C(C)C)ccc1SC ZINC000438475871 338345195 /nfs/dbraw/zinc/34/51/95/338345195.db2.gz NMHCVOZTGFLDDZ-GFCCVEGCSA-N 0 3 321.408 4.484 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H](CC(F)(F)F)C(C)C)s1 ZINC000438483907 338345610 /nfs/dbraw/zinc/34/56/10/338345610.db2.gz GHJLXQLYZQMWOC-LBPRGKRZSA-N 0 3 308.413 4.408 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@@H](C(C)C)C3)co2)cc1 ZINC000438497435 338346086 /nfs/dbraw/zinc/34/60/86/338346086.db2.gz SEAQUZOODFOPMJ-MRXNPFEDSA-N 0 3 314.429 4.218 20 0 DIADHN FC(F)(F)Oc1cccc(CCN2CCC[C@H]2c2ccc[nH]2)c1 ZINC000438759071 338351857 /nfs/dbraw/zinc/35/18/57/338351857.db2.gz XWOSFVMFVGOWLA-INIZCTEOSA-N 0 3 324.346 4.293 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@H]3CCCCS3)cs2)cc1 ZINC000156344189 338353693 /nfs/dbraw/zinc/35/36/93/338353693.db2.gz UPHPIHXYOYXCBE-OAHLLOKOSA-N 0 3 322.474 4.325 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000438906449 338355579 /nfs/dbraw/zinc/35/55/79/338355579.db2.gz BZWBUNPLQHFRPC-SBHAEUEKSA-N 0 3 323.436 4.019 20 0 DIADHN C[C@@H](NC[C@H]1CCCCS1)c1ccccc1OC(F)F ZINC000156403051 338358099 /nfs/dbraw/zinc/35/80/99/338358099.db2.gz RWFMYJRKZNJSCT-VXGBXAGGSA-N 0 3 301.402 4.224 20 0 DIADHN CN(Cc1cccc(F)c1F)C1CCN(c2ccccc2)CC1 ZINC000439009271 338358299 /nfs/dbraw/zinc/35/82/99/338358299.db2.gz QAHNJUWKJLYVHS-UHFFFAOYSA-N 0 3 316.395 4.066 20 0 DIADHN CC(C)C(C)(C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000439143119 338362335 /nfs/dbraw/zinc/36/23/35/338362335.db2.gz YJENWYVAEYBHHG-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN CC[C@H]1CN([C@H](C)c2ccc(OC(F)(F)F)cc2)CCCO1 ZINC000439473783 338370652 /nfs/dbraw/zinc/37/06/52/338370652.db2.gz WDKGCRABJUVVMA-OCCSQVGLSA-N 0 3 317.351 4.147 20 0 DIADHN CC(C)=CCN(CCn1ccnc1)Cc1ccc(Cl)cc1 ZINC000439483265 338371373 /nfs/dbraw/zinc/37/13/73/338371373.db2.gz HHLPGYFQPQZOFD-UHFFFAOYSA-N 0 3 303.837 4.005 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCCC2CC2)c2ccccc2)cc1 ZINC000439644838 338375232 /nfs/dbraw/zinc/37/52/32/338375232.db2.gz RUQFUPGGFACOOY-LJQANCHMSA-N 0 3 308.425 4.065 20 0 DIADHN CC(C)CC(C)(C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000439708552 338376300 /nfs/dbraw/zinc/37/63/00/338376300.db2.gz YSOAXMPRUVHTID-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC([C@@H]2CCCO2)CC1 ZINC000439788794 338378137 /nfs/dbraw/zinc/37/81/37/338378137.db2.gz OJCXIVVTIVHYFL-INIZCTEOSA-N 0 3 319.832 4.477 20 0 DIADHN CC(C)COc1ccc(CN2CCC([C@H]3CCCO3)CC2)cc1 ZINC000439795339 338378349 /nfs/dbraw/zinc/37/83/49/338378349.db2.gz UDAQSZVIMPEZFD-HXUWFJFHSA-N 0 3 317.473 4.112 20 0 DIADHN OCCCCCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000439800727 338378577 /nfs/dbraw/zinc/37/85/77/338378577.db2.gz LBFCEMFDWAAUTF-MRXNPFEDSA-N 0 3 315.379 4.395 20 0 DIADHN C[C@H](C(=O)Nc1ccc(OC(C)(C)C)cc1)N1[C@H](C)CC[C@@H]1C ZINC000439852951 338379569 /nfs/dbraw/zinc/37/95/69/338379569.db2.gz CTLVYHFMQBABOL-QLFBSQMISA-N 0 3 318.461 4.064 20 0 DIADHN CCOc1ccc(-c2nc(CN3[C@H](C)CC[C@H]3C)co2)cc1 ZINC000156868455 338387170 /nfs/dbraw/zinc/38/71/70/338387170.db2.gz WKVUFLXTYTVTLG-ZIAGYGMSSA-N 0 3 300.402 4.113 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@H](O)CCc1ccccc1 ZINC000440611661 338392440 /nfs/dbraw/zinc/39/24/40/338392440.db2.gz HJFNJPAWECIIPD-DOTOQJQBSA-N 0 3 315.482 4.053 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cc(C(F)(F)F)n[nH]1 ZINC000440647197 338394256 /nfs/dbraw/zinc/39/42/56/338394256.db2.gz NQOKGPGEEKOMSR-BDJLRTHQSA-N 0 3 323.362 4.232 20 0 DIADHN O=C(CNC1(c2ccccc2)CCCCC1)Nc1ccccc1 ZINC000440918232 338399892 /nfs/dbraw/zinc/39/98/92/338399892.db2.gz ZODUDUZTNSIAEC-UHFFFAOYSA-N 0 3 308.425 4.074 20 0 DIADHN c1cc2cc(CCNCc3cnc(C4CCC4)s3)ccc2[nH]1 ZINC000441214832 338405616 /nfs/dbraw/zinc/40/56/16/338405616.db2.gz ZWCCJGDSBDMNRC-UHFFFAOYSA-N 0 3 311.454 4.224 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(OC(C)C)c(Cl)c1 ZINC000441273176 338407134 /nfs/dbraw/zinc/40/71/34/338407134.db2.gz BRXHPMXLHZROSY-RDJZCZTQSA-N 0 3 311.853 4.174 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCC1([S@@](C)=O)CC1 ZINC000441383235 338411321 /nfs/dbraw/zinc/41/13/21/338411321.db2.gz WLPDDAQVHOPODG-MTPVXSITSA-N 0 3 319.514 4.151 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2ccncc2OCC(F)F)C1 ZINC000441386129 338411359 /nfs/dbraw/zinc/41/13/59/338411359.db2.gz WEICBVHPWSIYDN-DZGCQCFKSA-N 0 3 312.404 4.030 20 0 DIADHN C[C@@H](CCC(=O)Nc1ccc(CN(C)C)cc1)c1ccccc1 ZINC000441800481 338419226 /nfs/dbraw/zinc/41/92/26/338419226.db2.gz JIHFYHOQZSWSQT-INIZCTEOSA-N 0 3 310.441 4.271 20 0 DIADHN CC(C)(C)c1nc(CNC2CCC(C(F)F)CC2)cs1 ZINC000442787517 338431455 /nfs/dbraw/zinc/43/14/55/338431455.db2.gz WVJVTFLRUAOXQQ-UHFFFAOYSA-N 0 3 302.434 4.354 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2cccc(O)c2)cc1OC ZINC000442784558 338430981 /nfs/dbraw/zinc/43/09/81/338430981.db2.gz RYPDUERCAALVFV-ZIAGYGMSSA-N 0 3 315.413 4.211 20 0 DIADHN COc1cccc(F)c1[C@@H](C)N[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442798374 338432213 /nfs/dbraw/zinc/43/22/13/338432213.db2.gz WOVFMIARRKMJDM-OLZOCXBDSA-N 0 3 324.399 4.134 20 0 DIADHN CCCC[C@H](NCc1cccc(N2CCC2=O)c1)c1ccccc1 ZINC000442822925 338433668 /nfs/dbraw/zinc/43/36/68/338433668.db2.gz YJRHVKQQYSJASJ-FQEVSTJZSA-N 0 3 322.452 4.444 20 0 DIADHN FC(F)C1CCC(NCc2nc3ccccc3n2C2CC2)CC1 ZINC000442846418 338434283 /nfs/dbraw/zinc/43/42/83/338434283.db2.gz ZEDCNVGYGBAMJM-UHFFFAOYSA-N 0 3 319.399 4.285 20 0 DIADHN Fc1cc(F)c(CNC2CCC(C(F)F)CC2)c(F)c1F ZINC000442873071 338436962 /nfs/dbraw/zinc/43/69/62/338436962.db2.gz KNMQTGDMRDPRSI-UHFFFAOYSA-N 0 3 311.269 4.157 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CC(C)(C)CCc2ccccc21 ZINC000442876488 338437429 /nfs/dbraw/zinc/43/74/29/338437429.db2.gz RICISLLSFGCGRO-IFXJQAMLSA-N 0 3 311.473 4.483 20 0 DIADHN CCO[C@@H](CNCc1cc(F)cc2cccnc21)c1ccccc1 ZINC000194469457 338534166 /nfs/dbraw/zinc/53/41/66/338534166.db2.gz LETOSYFDIZTLSZ-IBGZPJMESA-N 0 3 324.399 4.241 20 0 DIADHN COCC[C@H](NCC[C@H](C)c1ccccc1)c1ccc(C)o1 ZINC000446813948 338536491 /nfs/dbraw/zinc/53/64/91/338536491.db2.gz IOWNYLRIAIKCGX-YJBOKZPZSA-N 0 3 301.430 4.449 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H]1CCCSc2ccc(OC)cc21 ZINC000446831051 338537218 /nfs/dbraw/zinc/53/72/18/338537218.db2.gz ZTDODIRENUFROD-DLBZAZTESA-N 0 3 323.502 4.009 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1scnc1C)CCCS2 ZINC000446830134 338537353 /nfs/dbraw/zinc/53/73/53/338537353.db2.gz OUZRGQQTSVYUQE-CQSZACIVSA-N 0 3 320.483 4.177 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cccc(F)c1F)c1ccccc1 ZINC000447568291 338557554 /nfs/dbraw/zinc/55/75/54/338557554.db2.gz ISGJCDPWMGIPDU-DYVFJYSZSA-N 0 3 305.368 4.393 20 0 DIADHN C[C@H](NC1(c2c(Cl)cccc2Cl)CCC1)[C@@H]1CCOC1 ZINC000447578957 338558628 /nfs/dbraw/zinc/55/86/28/338558628.db2.gz LXALDBLHZRGOQC-NWDGAFQWSA-N 0 3 314.256 4.387 20 0 DIADHN COC[C@H](N[C@@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000447579770 338558779 /nfs/dbraw/zinc/55/87/79/338558779.db2.gz OKTPKPQLPXDTPL-GJZGRUSLSA-N 0 3 301.352 4.003 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1CCC[C@H]1OCc1ccccc1 ZINC000447588341 338559889 /nfs/dbraw/zinc/55/98/89/338559889.db2.gz UVSIQCLKQXFZHK-CMKODMSKSA-N 0 3 311.425 4.385 20 0 DIADHN C[C@H](NC1CCC2(CC2)CC1)c1ncc(Br)s1 ZINC000447593206 338560511 /nfs/dbraw/zinc/56/05/11/338560511.db2.gz QIACHIIMACGDDK-VIFPVBQESA-N 0 3 315.280 4.279 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@H](c2ccccc2)N(C)C)c(C)o1 ZINC000447595457 338560958 /nfs/dbraw/zinc/56/09/58/338560958.db2.gz NQVPULLYGDONJB-YZVOILCLSA-N 0 3 300.446 4.238 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H]2CCCCN2C2CC2)c2ccsc2S1 ZINC000447596785 338561051 /nfs/dbraw/zinc/56/10/51/338561051.db2.gz CTHCXGMBWOBIMZ-JGGQBBKZSA-N 0 3 322.543 4.280 20 0 DIADHN C[C@@H](NCc1nc(-c2ccccc2)c[nH]1)[C@H](C)c1ccccc1 ZINC000447841996 338568880 /nfs/dbraw/zinc/56/88/80/338568880.db2.gz GJSCZMNFUAGBFP-JKSUJKDBSA-N 0 3 305.425 4.359 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000447845181 338569147 /nfs/dbraw/zinc/56/91/47/338569147.db2.gz QTTOZPJZGUUEMY-NVXWUHKLSA-N 0 3 315.486 4.231 20 0 DIADHN CS[C@H](CNCc1ncc(C(C)(C)C)s1)C(C)(C)C ZINC000447900089 338571189 /nfs/dbraw/zinc/57/11/89/338571189.db2.gz ZTYOOTXNHCFUCF-LLVKDONJSA-N 0 3 300.537 4.308 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)NCc1ncc(C(C)(C)C)s1 ZINC000447944724 338572512 /nfs/dbraw/zinc/57/25/12/338572512.db2.gz SNXFIRYMWLEUKT-ZDUSSCGKSA-N 0 3 318.486 4.257 20 0 DIADHN C[C@H](NCc1cccc2ccoc21)c1ccc2c(c1)OCCO2 ZINC000449067379 338589996 /nfs/dbraw/zinc/58/99/96/338589996.db2.gz KYALJOPWEDDAPV-ZDUSSCGKSA-N 0 3 309.365 4.055 20 0 DIADHN Cc1ccc(CNCc2cn(C)nc2-c2ccc(F)cc2)c(C)c1 ZINC000449093789 338592806 /nfs/dbraw/zinc/59/28/06/338592806.db2.gz YMPCSJPOSGWIMB-UHFFFAOYSA-N 0 3 323.415 4.133 20 0 DIADHN Cc1ccc(C[C@H](N[C@H]2CSC[C@@H]2C)c2ccccn2)cc1 ZINC000449147515 338596931 /nfs/dbraw/zinc/59/69/31/338596931.db2.gz HIDTZDFBLHXEMR-SNRMKQJTSA-N 0 3 312.482 4.015 20 0 DIADHN C[C@H](CNCc1ccnn1C1CCCC1)c1ccc(F)cc1F ZINC000449168865 338598829 /nfs/dbraw/zinc/59/88/29/338598829.db2.gz BXZDPCCPIUWQLV-CYBMUJFWSA-N 0 3 319.399 4.170 20 0 DIADHN Clc1cc(CN[C@@H]2CCC[C@H]3OCC[C@@H]32)c(Cl)s1 ZINC000449181519 338600051 /nfs/dbraw/zinc/60/00/51/338600051.db2.gz HWPZLBPXYSYLJJ-GMTAPVOTSA-N 0 3 306.258 4.102 20 0 DIADHN COC[C@@H](NC1CCC(OC2CCCC2)CC1)c1ccc(C)o1 ZINC000449176512 338599546 /nfs/dbraw/zinc/59/95/46/338599546.db2.gz MXQXYIXIIYZHAF-VMWRSERWSA-N 0 3 321.461 4.136 20 0 DIADHN Cc1cnc([C@H](C)N[C@H](C)Cc2coc3ccccc23)s1 ZINC000449178502 338599789 /nfs/dbraw/zinc/59/97/89/338599789.db2.gz SRECRWZOUDKCHD-YPMHNXCESA-N 0 3 300.427 4.480 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNCc1ccccc1OCC(C)C ZINC000449182581 338600227 /nfs/dbraw/zinc/60/02/27/338600227.db2.gz BOQXGTREQOKNOO-CQSZACIVSA-N 0 3 316.445 4.220 20 0 DIADHN c1c2cccnc2oc1CN[C@H]1CCC[C@@H]1OCc1ccccc1 ZINC000449185464 338600361 /nfs/dbraw/zinc/60/03/61/338600361.db2.gz BCXZWSKHRUYSTA-OALUTQOASA-N 0 3 322.408 4.055 20 0 DIADHN C[C@@H](NCc1cc2cccnc2o1)c1cccc(N2CCCC2)c1 ZINC000449197001 338601658 /nfs/dbraw/zinc/60/16/58/338601658.db2.gz YAAAFYCDFDCNTL-OAHLLOKOSA-N 0 3 321.424 4.279 20 0 DIADHN Cc1cc(CN[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)cs1 ZINC000449206088 338602463 /nfs/dbraw/zinc/60/24/63/338602463.db2.gz PGPCPDVOFMAVAH-JKSUJKDBSA-N 0 3 307.846 4.330 20 0 DIADHN CCCN[C@H](C)C(=O)Nc1ccccc1SC1CCCC1 ZINC000449224782 338604035 /nfs/dbraw/zinc/60/40/35/338604035.db2.gz MRZHUWKMCRFYAR-CYBMUJFWSA-N 0 3 306.475 4.048 20 0 DIADHN COCC[C@@H](N[C@H](C)Cc1coc2ccccc12)c1ccco1 ZINC000449233733 338604956 /nfs/dbraw/zinc/60/49/56/338604956.db2.gz ZZLGJKBSBSZQKF-RHSMWYFYSA-N 0 3 313.397 4.324 20 0 DIADHN CC(C)COc1ccccc1CN[C@@H]1CCO[C@H](C(C)C)C1 ZINC000449248505 338606491 /nfs/dbraw/zinc/60/64/91/338606491.db2.gz HSSWXIVHNHJBEW-MJGOQNOKSA-N 0 3 305.462 4.015 20 0 DIADHN C[C@@H](CNCc1cnc(-c2ccccc2F)s1)C(F)(F)F ZINC000449255554 338607244 /nfs/dbraw/zinc/60/72/44/338607244.db2.gz FCNKXAHWVUXKMX-VIFPVBQESA-N 0 3 318.339 4.237 20 0 DIADHN COc1ccc(F)cc1CNC1CCC(OC2CCCC2)CC1 ZINC000449261202 338607778 /nfs/dbraw/zinc/60/77/78/338607778.db2.gz YZSOUPJXOOLLKN-UHFFFAOYSA-N 0 3 321.436 4.194 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCCC34CCC4)cccc21 ZINC000449293597 338610195 /nfs/dbraw/zinc/61/01/95/338610195.db2.gz VYUXZJQBYIGAPD-SJORKVTESA-N 0 3 300.446 4.207 20 0 DIADHN COCCCC[C@@H](NCc1cc2cccnc2o1)c1ccccc1 ZINC000449293529 338610247 /nfs/dbraw/zinc/61/02/47/338610247.db2.gz IGJHCJPRHXAJIN-LJQANCHMSA-N 0 3 324.424 4.475 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1NCc1cc2cccnc2o1 ZINC000449298640 338610790 /nfs/dbraw/zinc/61/07/90/338610790.db2.gz IROUHGLAZYOEGK-QZTJIDSGSA-N 0 3 316.445 4.291 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2CCCc3c(F)cccc32)c1C ZINC000449352788 338616895 /nfs/dbraw/zinc/61/68/95/338616895.db2.gz WKVSFHMQTPCKGP-IBGZPJMESA-N 0 3 315.436 4.216 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CSc3ccccc32)s1 ZINC000449360264 338617639 /nfs/dbraw/zinc/61/76/39/338617639.db2.gz HVKWXEGQVVPLKE-LBPRGKRZSA-N 0 3 318.511 4.420 20 0 DIADHN CCn1nc(CNC[C@H]2CSc3ccccc32)c2ccccc21 ZINC000449367223 338618071 /nfs/dbraw/zinc/61/80/71/338618071.db2.gz UOSWBOICUQRFJY-AWEZNQCLSA-N 0 3 323.465 4.035 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)NCc2cc(C3CC3)ccc2F)n1 ZINC000449374132 338618933 /nfs/dbraw/zinc/61/89/33/338618933.db2.gz XDGVMCAKYPGOBP-CQSZACIVSA-N 0 3 315.436 4.201 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2ccc(F)c(C)c2)c1 ZINC000449373850 338618981 /nfs/dbraw/zinc/61/89/81/338618981.db2.gz UWWHVJJQVQAFIV-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN Fc1ccc2nc(CNC[C@H]3CCCCC3(F)F)sc2c1 ZINC000449376055 338619190 /nfs/dbraw/zinc/61/91/90/338619190.db2.gz KFNCXMSXXOYXKP-SNVBAGLBSA-N 0 3 314.376 4.351 20 0 DIADHN CC(C)(CNCc1csc(-c2ccccc2)n1)c1ccccn1 ZINC000449396969 338620434 /nfs/dbraw/zinc/62/04/34/338620434.db2.gz NWHZIRHRJRPNAC-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1cccc(C(F)(F)F)n1 ZINC000449401332 338620984 /nfs/dbraw/zinc/62/09/84/338620984.db2.gz MHTHCDJMBDSIRY-STQMWFEESA-N 0 3 308.347 4.382 20 0 DIADHN CC(C)CC(CC(C)C)NCc1ncc(C(F)(F)F)cn1 ZINC000449472821 338628185 /nfs/dbraw/zinc/62/81/85/338628185.db2.gz QVOAYBADIOKXFT-UHFFFAOYSA-N 0 3 303.372 4.046 20 0 DIADHN FC(F)(F)COc1ccccc1CNC[C@H]1CCCC1(F)F ZINC000449493433 338631027 /nfs/dbraw/zinc/63/10/27/338631027.db2.gz LGTFYHHQBHDXPO-GFCCVEGCSA-N 0 3 323.305 4.153 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@@H](C)c1ccc(Cl)cc1 ZINC000449573700 338638996 /nfs/dbraw/zinc/63/89/96/338638996.db2.gz RSRJXBWSMPHHFR-NFFDBFGFSA-N 0 3 303.837 4.324 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)C1CCC(C(F)(F)F)CC1 ZINC000449574654 338639308 /nfs/dbraw/zinc/63/93/08/338639308.db2.gz VLBTYAYGHHNGGS-CJQNXBEJSA-N 0 3 315.383 4.236 20 0 DIADHN CC(C)c1ccc([C@H]2C[C@@H]2C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449667956 338645058 /nfs/dbraw/zinc/64/50/58/338645058.db2.gz GJZJNPFSTYOWLF-UXHICEINSA-N 0 3 320.436 4.155 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)C1CCC(c2ccccc2)CC1 ZINC000449674000 338645484 /nfs/dbraw/zinc/64/54/84/338645484.db2.gz RQCDQUXFPQPCSY-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN COc1cc(CNCc2cnc(C)cc2C)cc2ccccc21 ZINC000449733599 338648564 /nfs/dbraw/zinc/64/85/64/338648564.db2.gz IVSSHZCAZUCGQZ-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN Cc1cnc(CN[C@H]2CCC[C@H](c3cccc(Cl)c3)C2)n1C ZINC000449790765 338653344 /nfs/dbraw/zinc/65/33/44/338653344.db2.gz MGLSGPXLJSTTFR-RDJZCZTQSA-N 0 3 317.864 4.198 20 0 DIADHN c1ccc(OC2CC2)c(CN2CCC(OC3CCCC3)CC2)c1 ZINC000450331840 338678029 /nfs/dbraw/zinc/67/80/29/338678029.db2.gz WHXSGCVWASVTMA-UHFFFAOYSA-N 0 3 315.457 4.151 20 0 DIADHN CCc1cc(N[C@H](CC)c2nc(C(F)(F)F)cs2)ccn1 ZINC000450367405 338680210 /nfs/dbraw/zinc/68/02/10/338680210.db2.gz YHIYPPFKDFMDLO-LLVKDONJSA-N 0 3 315.364 4.104 20 0 DIADHN CCCCOC1CCN(CCCC(=O)c2ccc(F)cc2)CC1 ZINC000450456297 338685580 /nfs/dbraw/zinc/68/55/80/338685580.db2.gz WXYRMCCTZYNLLK-UHFFFAOYSA-N 0 3 321.436 4.070 20 0 DIADHN CCc1cc(N2CCC(n3c(C)nc4ccccc43)CC2)ccn1 ZINC000450494678 338687793 /nfs/dbraw/zinc/68/77/93/338687793.db2.gz NVTRYHJDSVBOAX-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN Clc1ccc(C2(NCCc3cscn3)CC2)cc1Cl ZINC000450518978 338689086 /nfs/dbraw/zinc/68/90/86/338689086.db2.gz LALBZGHYGZROPM-UHFFFAOYSA-N 0 3 313.253 4.271 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1Cl ZINC000450672005 338697810 /nfs/dbraw/zinc/69/78/10/338697810.db2.gz XRQGDVOYFDEODE-ZDUSSCGKSA-N 0 3 317.816 4.286 20 0 DIADHN CO[C@H](CN[C@@H](CC(C)C)c1ccccn1)Cc1ccccc1 ZINC000450720433 338701130 /nfs/dbraw/zinc/70/11/30/338701130.db2.gz SZEBYWOQEJYKLV-ICSRJNTNSA-N 0 3 312.457 4.016 20 0 DIADHN Fc1ccc(C=C2CCN(CC3CC(F)(F)C3)CC2)cc1F ZINC000450761273 338704219 /nfs/dbraw/zinc/70/42/19/338704219.db2.gz RVJQHEQPDABVGY-UHFFFAOYSA-N 0 3 313.338 4.489 20 0 DIADHN Cc1cccnc1OC1CCN(C[C@H]2CCCCC2(F)F)CC1 ZINC000450865782 338709362 /nfs/dbraw/zinc/70/93/62/338709362.db2.gz DVAGSPHLDVKOFL-OAHLLOKOSA-N 0 3 324.415 4.059 20 0 DIADHN COC[C@H](NC[C@@H]1CCCC1(F)F)c1ccc(Cl)cc1 ZINC000450881659 338710327 /nfs/dbraw/zinc/71/03/27/338710327.db2.gz MTJNWKAIBPLMES-JSGCOSHPSA-N 0 3 303.780 4.053 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000451123207 338722057 /nfs/dbraw/zinc/72/20/57/338722057.db2.gz UYCCWZGEFHQJIB-LMRQPLJMSA-N 0 3 316.470 4.335 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000451162248 338723889 /nfs/dbraw/zinc/72/38/89/338723889.db2.gz MRRIHLLJKJPBOP-VIRABCJISA-N 0 3 301.352 4.144 20 0 DIADHN C[C@H](NC1(Cc2cccc(Cl)c2)CC1)c1cncc(F)c1 ZINC000451240607 338727032 /nfs/dbraw/zinc/72/70/32/338727032.db2.gz DCMVDACFNAEYJW-LBPRGKRZSA-N 0 3 304.796 4.300 20 0 DIADHN c1ccc(CCCN[C@@H](c2ccccc2)c2ccccn2)cc1 ZINC000194778805 338748477 /nfs/dbraw/zinc/74/84/77/338748477.db2.gz XFRKLGQGRFTWRS-NRFANRHFSA-N 0 3 302.421 4.393 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccccc1-n1cccn1 ZINC000194873524 338771478 /nfs/dbraw/zinc/77/14/78/338771478.db2.gz AYCLWNOTBYNOIC-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccc3c(c2)OCO3)c2sccc21 ZINC000453052284 338787911 /nfs/dbraw/zinc/78/79/11/338787911.db2.gz CNLMOBRSQSTHKU-SMDDNHRTSA-N 0 3 301.411 4.205 20 0 DIADHN COc1cccc(CN[C@H]2CC[C@@H](C)c3ccsc32)c1OC ZINC000453054606 338788125 /nfs/dbraw/zinc/78/81/25/338788125.db2.gz BAQHSZVNNIAXGY-DOMZBBRYSA-N 0 3 317.454 4.494 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)c1cc(-c2ccccc2)nn1C ZINC000453060636 338788775 /nfs/dbraw/zinc/78/87/75/338788775.db2.gz RYHVQAGSUQEJEV-HZPDHXFCSA-N 0 3 305.425 4.499 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@@H](C)CCCc1cccnc1 ZINC000453063598 338789224 /nfs/dbraw/zinc/78/92/24/338789224.db2.gz NWSQDTXRLZTLSB-GJZGRUSLSA-N 0 3 316.420 4.291 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCc1cccc(Cl)c1Cl ZINC000453078433 338791175 /nfs/dbraw/zinc/79/11/75/338791175.db2.gz GDGGAOUMASDBEB-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccncc1 ZINC000453079645 338791323 /nfs/dbraw/zinc/79/13/23/338791323.db2.gz SKZZZJIJQJFEFN-AUUYWEPGSA-N 0 3 323.440 4.232 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(c3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453101409 338793988 /nfs/dbraw/zinc/79/39/88/338793988.db2.gz YXSMDDYDCWACEV-CZUORRHYSA-N 0 3 315.848 4.254 20 0 DIADHN C[C@H]1C[C@H](NCC2(c3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453101345 338794009 /nfs/dbraw/zinc/79/40/09/338794009.db2.gz YXSMDDYDCWACEV-BBRMVZONSA-N 0 3 315.848 4.254 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000453102295 338794098 /nfs/dbraw/zinc/79/40/98/338794098.db2.gz XXCKOYOWCACQJY-JRPNMDOOSA-N 0 3 324.255 4.417 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1cc(-c2ccccc2)on1 ZINC000453102323 338794144 /nfs/dbraw/zinc/79/41/44/338794144.db2.gz YBLJZKRRWLURNG-INIZCTEOSA-N 0 3 321.424 4.238 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@@H]1CCCC[C@@H]1C ZINC000453120306 338796165 /nfs/dbraw/zinc/79/61/65/338796165.db2.gz CTNHHLSZAVQHSW-JJXSEGSLSA-N 0 3 304.482 4.041 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)Cc2cccc(Cl)c2)c2nccn21 ZINC000453131503 338797753 /nfs/dbraw/zinc/79/77/53/338797753.db2.gz PHHXGUZGETVSNI-BBRMVZONSA-N 0 3 317.864 4.401 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@H](C)c1nccn1CC ZINC000453138910 338798498 /nfs/dbraw/zinc/79/84/98/338798498.db2.gz HRZLFMGAUHQMGO-CJNGLKHVSA-N 0 3 305.853 4.228 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CC[C@@H](C)c2ccsc21 ZINC000453138939 338798587 /nfs/dbraw/zinc/79/85/87/338798587.db2.gz AAWXUQVMDQSACY-SWHYSGLUSA-N 0 3 307.484 4.380 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccccc2)s1)C1CCC1 ZINC000453143887 338799283 /nfs/dbraw/zinc/79/92/83/338799283.db2.gz JRPBGNSYXLQXGJ-HNNXBMFYSA-N 0 3 301.459 4.264 20 0 DIADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1nc(-c2ccncc2)cs1 ZINC000453143732 338799305 /nfs/dbraw/zinc/79/93/05/338799305.db2.gz LVTXHJZZCSDXEH-SJKOYZFVSA-N 0 3 313.470 4.434 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2c(F)cccc2Cl)c2nccn21 ZINC000453149002 338799874 /nfs/dbraw/zinc/79/98/74/338799874.db2.gz KDWLBSVTVCQWFT-SMDDNHRTSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H]1CCOc2ccc(F)cc21 ZINC000453154116 338800355 /nfs/dbraw/zinc/80/03/55/338800355.db2.gz CZFIQHRPMXLZRR-KBXCAEBGSA-N 0 3 314.404 4.045 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cc(-c2ccccc2)nn1C ZINC000453165834 338801805 /nfs/dbraw/zinc/80/18/05/338801805.db2.gz SQNCKAGXCNYKTK-HIFRSBDPSA-N 0 3 319.399 4.316 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)[C@H](C)N[C@@H](C)c1ccncn1 ZINC000453168100 338802057 /nfs/dbraw/zinc/80/20/57/338802057.db2.gz SQHCJHSKZZSRJB-AVGNSLFASA-N 0 3 323.362 4.338 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccc(Oc2ccccc2)cn1 ZINC000453170444 338802253 /nfs/dbraw/zinc/80/22/53/338802253.db2.gz GNEUZUFTXDQFHQ-KPOBHBOGSA-N 0 3 324.424 4.092 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453171843 338802412 /nfs/dbraw/zinc/80/24/12/338802412.db2.gz XIGDIMCELNCUIM-UKRRQHHQSA-N 0 3 319.399 4.316 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1ccsc1 ZINC000453187356 338804654 /nfs/dbraw/zinc/80/46/54/338804654.db2.gz YBZKSJFASYCJSG-SUMWQHHRSA-N 0 3 312.442 4.131 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H](C)c1ccncn1 ZINC000453188126 338804853 /nfs/dbraw/zinc/80/48/53/338804853.db2.gz YWVSXGVRQJRHTJ-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN CC(C)[C@H](N[C@@H](C)Cc1cnccn1)c1ccc(Cl)cc1F ZINC000453199514 338806731 /nfs/dbraw/zinc/80/67/31/338806731.db2.gz SHDAMNHWNQVWDH-SJCJKPOMSA-N 0 3 321.827 4.187 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1cc(-c2ccccc2)nn1C ZINC000453200539 338806881 /nfs/dbraw/zinc/80/68/81/338806881.db2.gz ZERUUMHUTKWKOY-ZBFHGGJFSA-N 0 3 315.486 4.023 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCCCCc1ccc(F)cc1 ZINC000453201764 338807238 /nfs/dbraw/zinc/80/72/38/338807238.db2.gz USYDYGUKNWJOIR-OAHLLOKOSA-N 0 3 303.425 4.106 20 0 DIADHN C[C@@H]1C[C@H](NC2CC(c3ccc(F)c(Cl)c3)C2)c2nccn21 ZINC000453213199 338808760 /nfs/dbraw/zinc/80/87/60/338808760.db2.gz MENREMASUZATOC-YMVOAIQMSA-N 0 3 319.811 4.217 20 0 DIADHN C[C@H](N[C@H]1CSC[C@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000453213556 338808782 /nfs/dbraw/zinc/80/87/82/338808782.db2.gz QDQXTSYXUIEVEZ-GLJUWKHASA-N 0 3 314.454 4.276 20 0 DIADHN CC(F)(F)CCN[C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000453222867 338809989 /nfs/dbraw/zinc/80/99/89/338809989.db2.gz RUQQWEOMLTVNQI-LBPRGKRZSA-N 0 3 309.278 4.207 20 0 DIADHN COc1ncc([C@H](C)NC[C@H]2CCCCC2(F)F)cc1Cl ZINC000453243431 338813150 /nfs/dbraw/zinc/81/31/50/338813150.db2.gz GAKBQHRWMJJSFS-CMPLNLGQSA-N 0 3 318.795 4.220 20 0 DIADHN COc1ncc([C@H](C)NC[C@@H]2CCCCC2(F)F)cc1Cl ZINC000453243437 338813230 /nfs/dbraw/zinc/81/32/30/338813230.db2.gz GAKBQHRWMJJSFS-JQWIXIFHSA-N 0 3 318.795 4.220 20 0 DIADHN FC1(F)CCCC[C@@H]1CN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000453251756 338814484 /nfs/dbraw/zinc/81/44/84/338814484.db2.gz NTIOBAANSRTPTQ-CABCVRRESA-N 0 3 311.420 4.446 20 0 DIADHN FC1(F)CCCC[C@H]1CN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000453251764 338814520 /nfs/dbraw/zinc/81/45/20/338814520.db2.gz NTIOBAANSRTPTQ-LSDHHAIUSA-N 0 3 311.420 4.446 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H](CC)COCC(F)(F)F ZINC000453252507 338814550 /nfs/dbraw/zinc/81/45/50/338814550.db2.gz GZDJSCIWPFFBQP-STQMWFEESA-N 0 3 319.367 4.093 20 0 DIADHN FC1(F)CCCC[C@@H]1CN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000453251761 338814571 /nfs/dbraw/zinc/81/45/71/338814571.db2.gz NTIOBAANSRTPTQ-HUUCEWRRSA-N 0 3 311.420 4.446 20 0 DIADHN CC[C@H](COCC(F)(F)F)N[C@@H]1CCSc2ccccc21 ZINC000453265186 338816036 /nfs/dbraw/zinc/81/60/36/338816036.db2.gz XOTZTVZIPOFXNV-DGCLKSJQSA-N 0 3 319.392 4.171 20 0 DIADHN CC[C@@H](COCC(F)(F)F)N[C@@H]1CCSc2ccccc21 ZINC000453265187 338816072 /nfs/dbraw/zinc/81/60/72/338816072.db2.gz XOTZTVZIPOFXNV-WCQYABFASA-N 0 3 319.392 4.171 20 0 DIADHN C[C@H](CCCCO)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000453283551 338818429 /nfs/dbraw/zinc/81/84/29/338818429.db2.gz KDJDPHLEOSOCPF-MNOVXSKESA-N 0 3 321.795 4.143 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)NCC2=CCOCC2)cc1 ZINC000453286406 338818859 /nfs/dbraw/zinc/81/88/59/338818859.db2.gz KIXLYHBDNHEJDI-MRXNPFEDSA-N 0 3 303.446 4.109 20 0 DIADHN COc1ccccc1[C@H](NCc1ccnc(C)c1)C1CCCC1 ZINC000453300470 338820686 /nfs/dbraw/zinc/82/06/86/338820686.db2.gz XJJIJPLJSCBHJW-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccn1)c1cc(F)c(F)c(F)c1 ZINC000453299951 338820757 /nfs/dbraw/zinc/82/07/57/338820757.db2.gz YDIWKINEIYZKCQ-NSHDSACASA-N 0 3 308.347 4.127 20 0 DIADHN Cc1cccnc1CCN[C@H](C)c1ccccc1OC(F)(F)F ZINC000453301674 338821066 /nfs/dbraw/zinc/82/10/66/338821066.db2.gz AGQQTCRASVHQCO-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@H](c2ccccc2)c2ccccn2)CCO1 ZINC000453320256 338824317 /nfs/dbraw/zinc/82/43/17/338824317.db2.gz OOLBCGRGMDAQDO-DZFGPLHGSA-N 0 3 324.468 4.212 20 0 DIADHN COc1ccccc1[C@@H](NCc1cnccc1C)C1CCCC1 ZINC000453325368 338825187 /nfs/dbraw/zinc/82/51/87/338825187.db2.gz MBCOFHLGMSWAIP-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN COC[C@H](CN[C@H](C)c1csc(C)n1)c1ccc(Cl)cc1 ZINC000453325720 338825189 /nfs/dbraw/zinc/82/51/89/338825189.db2.gz RSDYICZLODKUEQ-RISCZKNCSA-N 0 3 324.877 4.186 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1cc(-c2ccccc2)nn1C ZINC000453326541 338825296 /nfs/dbraw/zinc/82/52/96/338825296.db2.gz YFBZOKAPINPNBV-UKRRQHHQSA-N 0 3 319.399 4.173 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000453326192 338825341 /nfs/dbraw/zinc/82/53/41/338825341.db2.gz NGVORCVJSFCWAV-JGGQBBKZSA-N 0 3 302.443 4.028 20 0 DIADHN c1ccc([C@@H](NC[C@@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000453327596 338825471 /nfs/dbraw/zinc/82/54/71/338825471.db2.gz WCXXJWQDCKWTIR-YLJYHZDGSA-N 0 3 322.452 4.110 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000453358975 338831243 /nfs/dbraw/zinc/83/12/43/338831243.db2.gz FDDZOQZMVAASOA-JJRVBVJISA-N 0 3 317.454 4.328 20 0 DIADHN CN1CCC[C@@H](N[C@H]2CCCc3ccc(O)cc32)c2ccccc21 ZINC000453362852 338831796 /nfs/dbraw/zinc/83/17/96/338831796.db2.gz LGMZAECCRHXABH-UXHICEINSA-N 0 3 322.452 4.331 20 0 DIADHN CC[C@@H](c1cccc(F)c1)N1CCC(OCCOC(C)C)CC1 ZINC000453369627 338833122 /nfs/dbraw/zinc/83/31/22/338833122.db2.gz QXYIMIMGTVVMNO-IBGZPJMESA-N 0 3 323.452 4.183 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000453374198 338833984 /nfs/dbraw/zinc/83/39/84/338833984.db2.gz XDENOHPJZMAILH-OIISXLGYSA-N 0 3 315.486 4.295 20 0 DIADHN C[C@H](N[C@@H]1COCC1(C)C)c1ccc(SCC(F)F)cc1 ZINC000453377354 338834337 /nfs/dbraw/zinc/83/43/37/338834337.db2.gz PUPXJROSYSPFFZ-SMDDNHRTSA-N 0 3 315.429 4.119 20 0 DIADHN COCC1(CN[C@H](C)c2nc(C(F)(F)F)cs2)CCCC1 ZINC000453392794 338837076 /nfs/dbraw/zinc/83/70/76/338837076.db2.gz UKXSFBJKACIHRF-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN CCC1(CN[C@H](c2ccccc2)c2ccc(Cl)cc2)COC1 ZINC000453393059 338837111 /nfs/dbraw/zinc/83/71/11/338837111.db2.gz ZSEOSASHEKLJCT-GOSISDBHSA-N 0 3 315.844 4.446 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)N[C@H](C)c2ccc(Cl)cn2)c1 ZINC000453404379 338839149 /nfs/dbraw/zinc/83/91/49/338839149.db2.gz RNPQAYTVSSDERL-VXGBXAGGSA-N 0 3 322.811 4.165 20 0 DIADHN C[C@H](NCCC1CC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453422593 338841152 /nfs/dbraw/zinc/84/11/52/338841152.db2.gz MVCGCOWKAIZERY-ZDUSSCGKSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CCCC(F)(F)C2)s1 ZINC000453570813 338848610 /nfs/dbraw/zinc/84/86/10/338848610.db2.gz KZUVVKONPZJDQP-VIFPVBQESA-N 0 3 324.234 4.487 20 0 DIADHN Cc1cccc(CN[C@H](c2cccs2)C(C)(C)CO)c1C ZINC000453583447 338849204 /nfs/dbraw/zinc/84/92/04/338849204.db2.gz YFNJBUHGENYTGD-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCCC1CC(F)(F)C1 ZINC000453586929 338849313 /nfs/dbraw/zinc/84/93/13/338849313.db2.gz JOIBXCFZDYVAEA-UHFFFAOYSA-N 0 3 320.358 4.413 20 0 DIADHN Cc1ccsc1CN[C@H](c1ccccc1)C1(CO)CCCC1 ZINC000453624977 338851352 /nfs/dbraw/zinc/85/13/52/338851352.db2.gz YPDDHLOOQAQPNE-GOSISDBHSA-N 0 3 315.482 4.440 20 0 DIADHN Cc1ccccc1C[C@H](CO)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000467406181 339013943 /nfs/dbraw/zinc/01/39/43/339013943.db2.gz JCWBCTUVRWXCRN-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN O=C(c1ccccc1)C1CCN(CC2CCC(F)(F)CC2)CC1 ZINC000459282145 339019113 /nfs/dbraw/zinc/01/91/13/339019113.db2.gz CYCYAPDTEMPERX-UHFFFAOYSA-N 0 3 321.411 4.407 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccccc1)Cc1cnc(C2CC2)nc1 ZINC000459298611 339020098 /nfs/dbraw/zinc/02/00/98/339020098.db2.gz PDBVKQFWKVDIMT-OAHLLOKOSA-N 0 3 307.441 4.155 20 0 DIADHN CCOc1cc(CN(Cc2cccs2)C(C)C)ccc1O ZINC000459311626 339020998 /nfs/dbraw/zinc/02/09/98/339020998.db2.gz YBLAOKOYMPKFGA-UHFFFAOYSA-N 0 3 305.443 4.263 20 0 DIADHN c1ccc([C@@H]2CCCCCN2Cc2cnc(C3CC3)nc2)cc1 ZINC000459330897 339022324 /nfs/dbraw/zinc/02/23/24/339022324.db2.gz SAXCRLSWGRNMFA-IBGZPJMESA-N 0 3 307.441 4.471 20 0 DIADHN CN(Cc1nc2c(s1)CCCC2)CC1CCC(F)(F)CC1 ZINC000459346035 339023367 /nfs/dbraw/zinc/02/33/67/339023367.db2.gz BGWNUYICNPKFNX-UHFFFAOYSA-N 0 3 314.445 4.279 20 0 DIADHN CC(C)OC1CC(N2CCC(OC3CCC(C)CC3)CC2)C1 ZINC000459357194 339024211 /nfs/dbraw/zinc/02/42/11/339024211.db2.gz VTAMXPCIBPLSGF-UHFFFAOYSA-N 0 3 309.494 4.002 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459378083 339025641 /nfs/dbraw/zinc/02/56/41/339025641.db2.gz PSJKOWBYFZLDAW-IBGZPJMESA-N 0 3 309.457 4.116 20 0 DIADHN CC(C)[C@@H](NCc1cnc(C2CC2)nc1)c1ccc(Cl)cc1 ZINC000459380162 339025965 /nfs/dbraw/zinc/02/59/65/339025965.db2.gz BSRKWKYLKHAVNN-QGZVFWFLSA-N 0 3 315.848 4.494 20 0 DIADHN CCOc1cc(CN[C@H](c2ccccc2)C2CCC2)ccc1O ZINC000459405435 339027363 /nfs/dbraw/zinc/02/73/63/339027363.db2.gz SPPKJSMJWIZKDA-HXUWFJFHSA-N 0 3 311.425 4.422 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNCc1c(F)cc(C)cc1Cl ZINC000459454654 339030074 /nfs/dbraw/zinc/03/00/74/339030074.db2.gz VAHNAUQBRBMQBZ-JTQLQIEISA-N 0 3 310.800 4.286 20 0 DIADHN c1ccc([C@@H](NCc2ccc(C3CC3)cc2)[C@@H]2CCOC2)cc1 ZINC000459472248 339031243 /nfs/dbraw/zinc/03/12/43/339031243.db2.gz VPHIRMBKDKBPBZ-NHCUHLMSSA-N 0 3 307.437 4.431 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cc3cccc(F)c3o2)[C@@H]1SC ZINC000459498089 339032715 /nfs/dbraw/zinc/03/27/15/339032715.db2.gz ULXGQUDLGFOPEY-SWPKTZRSSA-N 0 3 323.433 4.132 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3cnc(C4CC4)nc3)C2)cc1 ZINC000459510603 339033315 /nfs/dbraw/zinc/03/33/15/339033315.db2.gz WXSCOHUWCZEVQT-HNAYVOBHSA-N 0 3 307.441 4.040 20 0 DIADHN Clc1cccc(CCN2CC[C@@]3(C2)OCc2ccccc23)c1 ZINC000459515446 339033599 /nfs/dbraw/zinc/03/35/99/339033599.db2.gz DMMVPDTYPRQFDX-IBGZPJMESA-N 0 3 313.828 4.014 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cnc(C2CC2)nc1 ZINC000459529391 339034279 /nfs/dbraw/zinc/03/42/79/339034279.db2.gz LVQJNGYSVLTYHA-BEFAXECRSA-N 0 3 307.441 4.122 20 0 DIADHN CN1CCC(N(Cc2ccco2)Cc2ccc(C3CC3)cc2)CC1 ZINC000459553498 339035364 /nfs/dbraw/zinc/03/53/64/339035364.db2.gz YDKHHCBPVHFICW-UHFFFAOYSA-N 0 3 324.468 4.253 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(C2CC2)cc1)c1cccs1 ZINC000459561064 339035879 /nfs/dbraw/zinc/03/58/79/339035879.db2.gz LXKNUOXQLAQTGP-GOSISDBHSA-N 0 3 315.482 4.475 20 0 DIADHN CC1(c2ccccc2)CC(NCc2cccc(C(F)(F)F)n2)C1 ZINC000459573157 339036665 /nfs/dbraw/zinc/03/66/65/339036665.db2.gz RSSBFGXAPHNHBI-UHFFFAOYSA-N 0 3 320.358 4.310 20 0 DIADHN FC(F)(F)c1cccc(CNCCc2ccc(Cl)cc2)n1 ZINC000459573135 339036676 /nfs/dbraw/zinc/03/66/76/339036676.db2.gz RPYPCYIIJYMVII-UHFFFAOYSA-N 0 3 314.738 4.086 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000471607342 339037367 /nfs/dbraw/zinc/03/73/67/339037367.db2.gz YPZWBUYEBHKMGH-MKBNYLNASA-N 0 3 323.433 4.323 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1ccc(Oc2ccccc2)nn1 ZINC000459619483 339038673 /nfs/dbraw/zinc/03/86/73/339038673.db2.gz UOFLJKPPJCHYGD-DNVCBOLYSA-N 0 3 311.429 4.327 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1ncc(Cl)cc1Cl ZINC000459640322 339039783 /nfs/dbraw/zinc/03/97/83/339039783.db2.gz QAYIOMULIJMDMJ-LBPRGKRZSA-N 0 3 324.255 4.284 20 0 DIADHN CCC(CC)n1ccc(CN[C@@H](C)CCCc2cccnc2)n1 ZINC000459640936 339039903 /nfs/dbraw/zinc/03/99/03/339039903.db2.gz QTEYYXOZEFRAQV-INIZCTEOSA-N 0 3 314.477 4.140 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cc2ccc(F)cc2[nH]1 ZINC000459646856 339040253 /nfs/dbraw/zinc/04/02/53/339040253.db2.gz CMYZVSNHOZQLQL-CYBMUJFWSA-N 0 3 312.388 4.475 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cccc(-n2cccn2)c1 ZINC000459652676 339040732 /nfs/dbraw/zinc/04/07/32/339040732.db2.gz VBTPBABSIIZGGX-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cccc(-n2cccn2)c1 ZINC000459652674 339040743 /nfs/dbraw/zinc/04/07/43/339040743.db2.gz VBTPBABSIIZGGX-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](NC[C@@H](C)c1cc(F)cc(F)c1)c1nc2c(s1)CCC2 ZINC000459656917 339040925 /nfs/dbraw/zinc/04/09/25/339040925.db2.gz WJSPLAYKYTZSLK-GHMZBOCLSA-N 0 3 322.424 4.364 20 0 DIADHN C[C@H](NC1CCC(C(F)F)CC1)c1nc2c(s1)CCC2 ZINC000459657505 339040959 /nfs/dbraw/zinc/04/09/59/339040959.db2.gz XAZPRIJERHGEOM-WHXUTIOJSA-N 0 3 300.418 4.106 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2C[C@H]2c2c(F)cccc2Cl)c1 ZINC000459665235 339041491 /nfs/dbraw/zinc/04/14/91/339041491.db2.gz KEQHYHFFIGLVLX-HWWQOWPSSA-N 0 3 304.796 4.389 20 0 DIADHN CN(Cc1nc(-c2ccco2)no1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000459677166 339042611 /nfs/dbraw/zinc/04/26/11/339042611.db2.gz PJVUCQPYCKDKEA-CVEARBPZSA-N 0 3 323.396 4.098 20 0 DIADHN C[C@H](N[C@H]1CC=C(c2ccc(Cl)cc2)CC1)c1ccn(C)n1 ZINC000459739905 339045507 /nfs/dbraw/zinc/04/55/07/339045507.db2.gz AZBGXBMZKFIDPS-GUYCJALGSA-N 0 3 315.848 4.360 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1ccn(C)n1 ZINC000459761884 339046904 /nfs/dbraw/zinc/04/69/04/339046904.db2.gz NEDWCNFLOIQCGI-JGGQBBKZSA-N 0 3 303.837 4.060 20 0 DIADHN Fc1ccc(-c2nc(CNC3(C4CCC4)CCC3)co2)cc1F ZINC000459767051 339047248 /nfs/dbraw/zinc/04/72/48/339047248.db2.gz KRALMMLIHLPRSF-UHFFFAOYSA-N 0 3 318.367 4.432 20 0 DIADHN Clc1cccc(-c2nc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)co2)c1 ZINC000459764950 339047273 /nfs/dbraw/zinc/04/72/73/339047273.db2.gz CXISEZRMOHUXLO-XFBWCDHKSA-N 0 3 302.805 4.273 20 0 DIADHN COc1ccccc1-c1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1 ZINC000459767578 339047277 /nfs/dbraw/zinc/04/72/77/339047277.db2.gz PWFMWNITKDCIDC-IRXDYDNUSA-N 0 3 311.450 4.052 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2ccccc2F)s1 ZINC000459771278 339047489 /nfs/dbraw/zinc/04/74/89/339047489.db2.gz HPMWXFVWVOCISC-WJNVRWDZSA-N 0 3 317.433 4.183 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC[C@@H]4C[C@@H]43)cs2)cc1 ZINC000459773145 339047685 /nfs/dbraw/zinc/04/76/85/339047685.db2.gz SNWMDHCEJJTZAS-COXVUDFISA-N 0 3 314.454 4.097 20 0 DIADHN CC(C)c1ccccc1NC(=O)CNC1(C2CCC2)CCC1 ZINC000459781148 339048225 /nfs/dbraw/zinc/04/82/25/339048225.db2.gz WBZILLMJZFXSDX-UHFFFAOYSA-N 0 3 300.446 4.061 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@@H](OC(C)(C)C)C2(C)C)o1 ZINC000459815957 339049670 /nfs/dbraw/zinc/04/96/70/339049670.db2.gz YYRNDOLWHYZSDC-LSDHHAIUSA-N 0 3 308.466 4.007 20 0 DIADHN C[C@@H](CCn1cccn1)N[C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000498929713 339057009 /nfs/dbraw/zinc/05/70/09/339057009.db2.gz OKAKIYFZQTUHOZ-GUYCJALGSA-N 0 3 321.415 4.317 20 0 DIADHN Cc1c(CSC(C)C)cccc1NC(=O)[C@H](C(C)C)N(C)C ZINC000461009044 339057333 /nfs/dbraw/zinc/05/73/33/339057333.db2.gz PVHPMSRMSDOATO-KRWDZBQOSA-N 0 3 322.518 4.161 20 0 DIADHN C[C@@H](NCCc1ccco1)c1ccc(Br)c(F)c1 ZINC000461102745 339059398 /nfs/dbraw/zinc/05/93/98/339059398.db2.gz KPPVRAFLILPAAX-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN CCN(Cc1ccc(C(F)F)cc1)Cc1ccc2c(c1)OCO2 ZINC000461388365 339062929 /nfs/dbraw/zinc/06/29/29/339062929.db2.gz PMGJQGIVCQWNLM-UHFFFAOYSA-N 0 3 319.351 4.375 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@@H]1c1cccc(C)c1C)N(CC)CC ZINC000461754863 339066492 /nfs/dbraw/zinc/06/64/92/339066492.db2.gz IVGSVFKMYDJICK-RBUKOAKNSA-N 0 3 316.489 4.087 20 0 DIADHN Cc1ccc(CCN(CCOCC2CC2)Cc2ccco2)cc1 ZINC000461760272 339066681 /nfs/dbraw/zinc/06/66/81/339066681.db2.gz VLVLSVRKWWQXLL-UHFFFAOYSA-N 0 3 313.441 4.059 20 0 DIADHN c1ccc2c(c1)nc(CN[C@H]1CCc3ccccc3C1)n2C1CC1 ZINC000462065967 339071691 /nfs/dbraw/zinc/07/16/91/339071691.db2.gz FWAIBLXUXBGQPL-KRWDZBQOSA-N 0 3 317.436 4.018 20 0 DIADHN CCN(CC)CCSc1nc(C(F)F)nc2ccccc21 ZINC000462111685 339072370 /nfs/dbraw/zinc/07/23/70/339072370.db2.gz KDVHRTYHMJDBKC-UHFFFAOYSA-N 0 3 311.401 4.001 20 0 DIADHN CC(C)(O)CCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000462319088 339075796 /nfs/dbraw/zinc/07/57/96/339075796.db2.gz OIZLJWAAYIAXNT-HNNXBMFYSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)CCC(C)(C)O ZINC000462329329 339075937 /nfs/dbraw/zinc/07/59/37/339075937.db2.gz WGISGEATUZENBD-CQSZACIVSA-N 0 3 318.486 4.272 20 0 DIADHN COCc1ccc(CNCCOc2ccc(C(C)C)cc2)cc1 ZINC000462351174 339076211 /nfs/dbraw/zinc/07/62/11/339076211.db2.gz FXPVMAPWAYSNMW-UHFFFAOYSA-N 0 3 313.441 4.125 20 0 DIADHN CCC[C@H](NCCCc1ccncc1)c1ccc(F)cc1F ZINC000462343597 339076257 /nfs/dbraw/zinc/07/62/57/339076257.db2.gz AUHKFSIJJOQWIL-SFHVURJKSA-N 0 3 304.384 4.423 20 0 DIADHN Cc1ccoc1CNC[C@H](c1ccccc1)N1CCC(C)CC1 ZINC000462357087 339076475 /nfs/dbraw/zinc/07/64/75/339076475.db2.gz HEPXYXKQUIZKTI-LJQANCHMSA-N 0 3 312.457 4.151 20 0 DIADHN CCCNC(=O)[C@H](C)N[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462359580 339076704 /nfs/dbraw/zinc/07/67/04/339076704.db2.gz MYABQCGEUGOZLD-OLZOCXBDSA-N 0 3 318.848 4.059 20 0 DIADHN CCOc1ccc(C2=CCN(CCCc3ccncc3)CC2)cc1 ZINC000462362941 339076844 /nfs/dbraw/zinc/07/68/44/339076844.db2.gz XELNIAHBNXCXRU-UHFFFAOYSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2NCc2ccc(C)nc2)c1 ZINC000462543691 339080899 /nfs/dbraw/zinc/08/08/99/339080899.db2.gz UTAXJZNAKAQSTN-VQTJNVASSA-N 0 3 310.441 4.178 20 0 DIADHN Cc1cccc2ncc(CN[C@@H]3c4ccccc4CCC[C@@H]3C)n21 ZINC000462543146 339080966 /nfs/dbraw/zinc/08/09/66/339080966.db2.gz RFAQRKCLISMVKU-BTYIYWSLSA-N 0 3 319.452 4.446 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3c4ccccc4CCC[C@H]3C)n2c1 ZINC000462543636 339081018 /nfs/dbraw/zinc/08/10/18/339081018.db2.gz UESXRJTTYWKPBV-IIBYNOLFSA-N 0 3 319.452 4.446 20 0 DIADHN COCc1ccc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)o1 ZINC000462543662 339081048 /nfs/dbraw/zinc/08/10/48/339081048.db2.gz UMELNCGKAXULLX-QUCCMNQESA-N 0 3 313.441 4.475 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cnc(N(C)C)s1 ZINC000462558961 339081400 /nfs/dbraw/zinc/08/14/00/339081400.db2.gz YHGOBGBMAPFFKL-GUYCJALGSA-N 0 3 315.486 4.012 20 0 DIADHN CCCN(C)CC(=O)N(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000462605642 339082284 /nfs/dbraw/zinc/08/22/84/339082284.db2.gz NTTCJUXLMREBFB-SFHVURJKSA-N 0 3 324.468 4.118 20 0 DIADHN CC(C)N(C)CC(=O)N(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000462607697 339082372 /nfs/dbraw/zinc/08/23/72/339082372.db2.gz WEFWPVRJZUZLRD-SFHVURJKSA-N 0 3 324.468 4.117 20 0 DIADHN COCc1ccc(CNCCSc2ccc(Cl)cc2)cc1 ZINC000462666764 339083611 /nfs/dbraw/zinc/08/36/11/339083611.db2.gz NBOKWDCPWUFLPF-UHFFFAOYSA-N 0 3 321.873 4.368 20 0 DIADHN CCC1CCC(NCc2cccnc2OCC(F)(F)F)CC1 ZINC000462675356 339083743 /nfs/dbraw/zinc/08/37/43/339083743.db2.gz LWWASGMKVOVKCU-UHFFFAOYSA-N 0 3 316.367 4.081 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN(c2ccnc3ccccc32)CC1 ZINC000462694452 339084260 /nfs/dbraw/zinc/08/42/60/339084260.db2.gz CRVNDXMFLWWDPN-HNNXBMFYSA-N 0 3 323.465 4.180 20 0 DIADHN Cc1ccc(-c2ccc(CNCCC(=O)OC(C)(C)C)o2)cc1 ZINC000462727726 339085054 /nfs/dbraw/zinc/08/50/54/339085054.db2.gz OZAYZIPGBIBWIJ-UHFFFAOYSA-N 0 3 315.413 4.076 20 0 DIADHN Cc1cccc(O[C@H](C)CNCc2cc3cc(F)ccc3o2)c1 ZINC000462732363 339085258 /nfs/dbraw/zinc/08/52/58/339085258.db2.gz YPBHZDDJOJRPQF-CQSZACIVSA-N 0 3 313.372 4.437 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccc(C)cc2)cc1Cl ZINC000462733967 339085443 /nfs/dbraw/zinc/08/54/43/339085443.db2.gz LGQNXWXRVSWQBP-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN Cc1ccc(O[C@@H](C)CNCc2cc3cc(F)ccc3o2)cc1 ZINC000462736681 339085658 /nfs/dbraw/zinc/08/56/58/339085658.db2.gz UDSZMLPFRQNGTJ-AWEZNQCLSA-N 0 3 313.372 4.437 20 0 DIADHN Cc1ccccc1O[C@H](C)CNCc1cccc(OC(F)F)c1 ZINC000462735871 339085673 /nfs/dbraw/zinc/08/56/73/339085673.db2.gz RATIRWJESYERGN-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN Cc1ccccc1O[C@@H](C)CNCc1cc2cc(F)ccc2o1 ZINC000462735906 339085678 /nfs/dbraw/zinc/08/56/78/339085678.db2.gz FOZNNJYIBCJMNU-AWEZNQCLSA-N 0 3 313.372 4.437 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@H](C)Oc1ccc(F)cc1 ZINC000462737741 339085840 /nfs/dbraw/zinc/08/58/40/339085840.db2.gz KNJJJVZBIKXFQV-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN CC(C)Oc1ccc(CNC[C@@H](C)Oc2ccccc2F)cc1 ZINC000462738551 339085992 /nfs/dbraw/zinc/08/59/92/339085992.db2.gz LXFOEHDGCUSIRQ-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN Cc1cc(F)ccc1CNC[C@H](C)Oc1ccccc1Cl ZINC000462739394 339086132 /nfs/dbraw/zinc/08/61/32/339086132.db2.gz OMABJJYLNPDAHU-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN C[C@H](CNCc1ccc(Cl)o1)Oc1cccc(Cl)c1 ZINC000462740801 339086357 /nfs/dbraw/zinc/08/63/57/339086357.db2.gz RZLADAQJXLHHJM-SNVBAGLBSA-N 0 3 300.185 4.144 20 0 DIADHN COc1ccc(C)cc1CNC[C@H](C)Sc1ccccc1 ZINC000462744949 339086456 /nfs/dbraw/zinc/08/64/56/339086456.db2.gz XLIQZRUAOXENMG-HNNXBMFYSA-N 0 3 301.455 4.274 20 0 DIADHN COc1cccc(Cl)c1CNC[C@@H](C)Cc1cccs1 ZINC000462754520 339087002 /nfs/dbraw/zinc/08/70/02/339087002.db2.gz MEDDUDYVQZWTED-LBPRGKRZSA-N 0 3 309.862 4.379 20 0 DIADHN C[C@H](CNCc1ccccc1Br)Cc1cccs1 ZINC000462763669 339087490 /nfs/dbraw/zinc/08/74/90/339087490.db2.gz DNRGGIKVLINGMF-LBPRGKRZSA-N 0 3 324.287 4.479 20 0 DIADHN Fc1ccc(-n2cc(CN[C@H]3C[C@@H]3C3CCCCC3)cn2)cc1 ZINC000462769254 339087678 /nfs/dbraw/zinc/08/76/78/339087678.db2.gz QAMDLVLQRRYHDJ-MOPGFXCFSA-N 0 3 313.420 4.070 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1NCc1ccc(C2CCCC2)cc1 ZINC000462782125 339088318 /nfs/dbraw/zinc/08/83/18/339088318.db2.gz YTXLHVHIWIBUMQ-LEWJYISDSA-N 0 3 307.437 4.092 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000462797000 339089320 /nfs/dbraw/zinc/08/93/20/339089320.db2.gz ASGPXQDELNODSR-YNEHKIRRSA-N 0 3 320.433 4.167 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000462796999 339089433 /nfs/dbraw/zinc/08/94/33/339089433.db2.gz ASGPXQDELNODSR-RWMBFGLXSA-N 0 3 320.433 4.167 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCCSc1ccccc1F ZINC000462800177 339089755 /nfs/dbraw/zinc/08/97/55/339089755.db2.gz AGSOYNIJMQNTBI-INIZCTEOSA-N 0 3 317.429 4.291 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H]1CCCc2c(O)cccc21 ZINC000462806017 339090287 /nfs/dbraw/zinc/09/02/87/339090287.db2.gz UUQNFSLQZBOFFW-ZIAGYGMSSA-N 0 3 301.352 4.336 20 0 DIADHN Cc1cc(CN[C@@H](C)CC(C)(C)c2c[nH]c3ccccc32)on1 ZINC000462807295 339090459 /nfs/dbraw/zinc/09/04/59/339090459.db2.gz ADGIKLPYAFWKGZ-AWEZNQCLSA-N 0 3 311.429 4.310 20 0 DIADHN CSC1(CN[C@@H](C)c2csc(-c3ccccc3F)n2)CC1 ZINC000462809856 339090784 /nfs/dbraw/zinc/09/07/84/339090784.db2.gz JDSNFEGXLIOFSL-NSHDSACASA-N 0 3 322.474 4.495 20 0 DIADHN CCc1ccc([C@H](CC)NCc2c3c(nn2C)CCCC3)cc1 ZINC000462812303 339090987 /nfs/dbraw/zinc/09/09/87/339090987.db2.gz ZIIIAMKFPLNRJV-SFHVURJKSA-N 0 3 311.473 4.102 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2c3c(nn2C)CCCC3)cc1 ZINC000462812300 339091036 /nfs/dbraw/zinc/09/10/36/339091036.db2.gz ZIIIAMKFPLNRJV-GOSISDBHSA-N 0 3 311.473 4.102 20 0 DIADHN Cn1nc2c(c1CN[C@H](c1ccccc1)C1CCCC1)CCCC2 ZINC000462821744 339092054 /nfs/dbraw/zinc/09/20/54/339092054.db2.gz YORFWUCUCLIWNG-OAQYLSRUSA-N 0 3 323.484 4.320 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1c2c(nn1C)CCCC2 ZINC000462822112 339092062 /nfs/dbraw/zinc/09/20/62/339092062.db2.gz SIMQTXMFLVMIGU-IBGZPJMESA-N 0 3 323.484 4.083 20 0 DIADHN Cn1nc2c(c1CN[C@@H](c1ccccc1)C1CCCC1)CCCC2 ZINC000462821743 339092080 /nfs/dbraw/zinc/09/20/80/339092080.db2.gz YORFWUCUCLIWNG-NRFANRHFSA-N 0 3 323.484 4.320 20 0 DIADHN Cc1nc([C@H](C)NCCCCc2ccc(F)c(F)c2)cs1 ZINC000462822105 339092156 /nfs/dbraw/zinc/09/21/56/339092156.db2.gz SHBBTCYZQNDYNP-NSHDSACASA-N 0 3 310.413 4.403 20 0 DIADHN CSCCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000462822617 339092277 /nfs/dbraw/zinc/09/22/77/339092277.db2.gz PFWYIZJVZDJCBG-NSHDSACASA-N 0 3 310.463 4.353 20 0 DIADHN CCCN(C)CC(=O)N[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462834730 339093278 /nfs/dbraw/zinc/09/32/78/339093278.db2.gz BQCAEVXWNHGBCF-ZDUSSCGKSA-N 0 3 318.848 4.012 20 0 DIADHN CC[C@H](C(=O)N[C@@H](C)c1ccc(Cl)c2ccccc21)N(C)C ZINC000462838735 339093582 /nfs/dbraw/zinc/09/35/82/339093582.db2.gz ZMPOULZDYUOEBE-YVEFUNNKSA-N 0 3 318.848 4.011 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2c3ccccc3CCC[C@H]2C)c(C)n1 ZINC000462838842 339093654 /nfs/dbraw/zinc/09/36/54/339093654.db2.gz IATXELOKVBWSAV-IAMHBRQHSA-N 0 3 309.457 4.458 20 0 DIADHN Cc1ccc(-c2ncc(CN(C(C)C)[C@@H]3CCOC3)s2)cc1 ZINC000462871663 339095385 /nfs/dbraw/zinc/09/53/85/339095385.db2.gz QKEKUNHOZDFCTQ-MRXNPFEDSA-N 0 3 316.470 4.118 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C(C)C)[C@@H]2CCOC2)o1 ZINC000462877718 339095948 /nfs/dbraw/zinc/09/59/48/339095948.db2.gz NZMQLCKBRBJNTN-MRXNPFEDSA-N 0 3 317.404 4.393 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000462894080 339096403 /nfs/dbraw/zinc/09/64/03/339096403.db2.gz GPEXPHMKFSQCSY-WJYNOGRTSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cn(C)nc1C(F)F ZINC000462899581 339096801 /nfs/dbraw/zinc/09/68/01/339096801.db2.gz ADRHVWMKQRBASB-LRDDRELGSA-N 0 3 319.399 4.161 20 0 DIADHN Fc1ccccc1N1CC[C@H](CNCc2ccccc2Cl)C1 ZINC000462906726 339097388 /nfs/dbraw/zinc/09/73/88/339097388.db2.gz CBBNUGYQNXAVAF-CQSZACIVSA-N 0 3 318.823 4.095 20 0 DIADHN C=Cc1ccc(CCNCc2cnc(-c3ccco3)s2)cc1 ZINC000462913151 339097750 /nfs/dbraw/zinc/09/77/50/339097750.db2.gz LPMGEJPOLMZVHW-UHFFFAOYSA-N 0 3 310.422 4.378 20 0 DIADHN C=Cc1ccc(CCNCc2ccc(Br)o2)cc1 ZINC000462915103 339097853 /nfs/dbraw/zinc/09/78/53/339097853.db2.gz WKNUWJKRNGEGNU-UHFFFAOYSA-N 0 3 306.203 4.017 20 0 DIADHN Fc1ccccc1N1CC[C@@H](CNCc2sccc2Cl)C1 ZINC000462914964 339097886 /nfs/dbraw/zinc/09/78/86/339097886.db2.gz TZSJZQREAJUBGF-LBPRGKRZSA-N 0 3 324.852 4.157 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@H]2CCCCC23CCCC3)c1 ZINC000462941143 339098543 /nfs/dbraw/zinc/09/85/43/339098543.db2.gz POUVUSLJBOHKQG-BBRMVZONSA-N 0 3 305.418 4.220 20 0 DIADHN Cc1cccc(CN(Cc2cn(C)nc2C(F)F)CC(C)C)c1 ZINC000462947118 339098675 /nfs/dbraw/zinc/09/86/75/339098675.db2.gz WTOPNAMWIQZWSJ-UHFFFAOYSA-N 0 3 321.415 4.324 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)Cc1cn(C)nc1C(F)F ZINC000462954240 339098946 /nfs/dbraw/zinc/09/89/46/339098946.db2.gz PQCQSUKBUZPREK-MRXNPFEDSA-N 0 3 321.415 4.119 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@](CO)(c2ccccc2)C1 ZINC000463011713 339100328 /nfs/dbraw/zinc/10/03/28/339100328.db2.gz PSIQWWBFYZXWSD-KXBFYZLASA-N 0 3 315.844 4.037 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3cccc(F)c3F)o2)CC1 ZINC000463010542 339100353 /nfs/dbraw/zinc/10/03/53/339100353.db2.gz LTWRTBWJUZZILE-UHFFFAOYSA-N 0 3 321.367 4.226 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](C)c2nc3c(s2)CCCC3)C1(C)C ZINC000463014688 339100471 /nfs/dbraw/zinc/10/04/71/339100471.db2.gz VKXACEJHERNDEF-VBNZEHGJSA-N 0 3 322.518 4.265 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@H]2NC[C@@H]1C[C@H]1c1ccccc1 ZINC000463025233 339101152 /nfs/dbraw/zinc/10/11/52/339101152.db2.gz OTULQUNGBJIHGC-GRDNDAEWSA-N 0 3 315.363 4.182 20 0 DIADHN Cc1ccccc1-c1cccc(CN2CC[C@@H](n3cccn3)C2)c1 ZINC000463027780 339101289 /nfs/dbraw/zinc/10/12/89/339101289.db2.gz HIJJTJANVHTVQV-HXUWFJFHSA-N 0 3 317.436 4.306 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2cc(-c3ccccc3)no2)C1(C)C ZINC000463032504 339102019 /nfs/dbraw/zinc/10/20/19/339102019.db2.gz JHXHLUZLLCAFRS-ROUUACIJSA-N 0 3 314.429 4.023 20 0 DIADHN Cc1ccc(-c2cccc(CN3CC[C@@H](n4cccn4)C3)c2)cc1 ZINC000463035190 339102119 /nfs/dbraw/zinc/10/21/19/339102119.db2.gz HWOCVULXSXVJEH-OAQYLSRUSA-N 0 3 317.436 4.306 20 0 DIADHN Cc1ccc([C@H](CNc2ccc(Cl)cn2)N2CCCC2)cc1 ZINC000463057285 339103380 /nfs/dbraw/zinc/10/33/80/339103380.db2.gz UMEPEUZGKXKASZ-KRWDZBQOSA-N 0 3 315.848 4.292 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3ccc(Br)cc3o2)CC1 ZINC000463070199 339104101 /nfs/dbraw/zinc/10/41/01/339104101.db2.gz DCGKEUMRYPIGKE-LLVKDONJSA-N 0 3 323.234 4.212 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2o1)C1CCCCC1 ZINC000463070938 339104132 /nfs/dbraw/zinc/10/41/32/339104132.db2.gz PMWMDTINKZITJR-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463077205 339104663 /nfs/dbraw/zinc/10/46/63/339104663.db2.gz MZQFNXMMIRSFKN-SNVBAGLBSA-N 0 3 311.223 4.067 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1cncc(C(F)(F)F)c1)C1CC1 ZINC000463081177 339105079 /nfs/dbraw/zinc/10/50/79/339105079.db2.gz ISWRLKAVAILCNI-RYUDHWBXSA-N 0 3 300.368 4.499 20 0 DIADHN C[C@H](N(C)Cc1nc2ccc(Br)cc2o1)C1(C)CC1 ZINC000463103878 339105785 /nfs/dbraw/zinc/10/57/85/339105785.db2.gz JNWREBHHIACWNU-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463165652 339107775 /nfs/dbraw/zinc/10/77/75/339107775.db2.gz WZIWCONIWAZFSP-PWSUYJOCSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@H]1CCCCN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463205496 339108911 /nfs/dbraw/zinc/10/89/11/339108911.db2.gz UPXOHMANMXIRES-NSHDSACASA-N 0 3 323.234 4.212 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463202480 339109213 /nfs/dbraw/zinc/10/92/13/339109213.db2.gz NZBOALKBIZRNDE-PWSUYJOCSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2Cc2cc(F)ccc2F)C1 ZINC000463201934 339109253 /nfs/dbraw/zinc/10/92/53/339109253.db2.gz KHBLUYAYFAQWAG-AZFSHXRASA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2Cc2c(F)cccc2F)C1 ZINC000463206708 339109318 /nfs/dbraw/zinc/10/93/18/339109318.db2.gz RZIIQZHUYVAJFY-QZCPTFHJSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2Cc2c(F)cccc2F)C1 ZINC000463206709 339109370 /nfs/dbraw/zinc/10/93/70/339109370.db2.gz RZIIQZHUYVAJFY-WXRFYESLSA-N 0 3 323.427 4.116 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nc2ccc(Br)cc2o1 ZINC000463210066 339109697 /nfs/dbraw/zinc/10/96/97/339109697.db2.gz BDYALPOQGVHJHL-GWCFXTLKSA-N 0 3 323.234 4.211 20 0 DIADHN Fc1ccc(C2(NCCCC3CCOCC3)CCC2)c(F)c1 ZINC000463243435 339111490 /nfs/dbraw/zinc/11/14/90/339111490.db2.gz RUCWSZYDXHOLRX-UHFFFAOYSA-N 0 3 309.400 4.140 20 0 DIADHN Fc1cc(Cl)ccc1CNC1CCN(c2ccccc2)CC1 ZINC000463285705 339113459 /nfs/dbraw/zinc/11/34/59/339113459.db2.gz GUSIAXRXTDVMME-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@](CO)(c2ccccc2)C1 ZINC000463380738 339116248 /nfs/dbraw/zinc/11/62/48/339116248.db2.gz XLILZJKYGGNKAF-BEFAXECRSA-N 0 3 315.844 4.037 20 0 DIADHN c1cnn([C@H]2CCN(Cc3ccc(-c4cccs4)cc3)C2)c1 ZINC000463434333 339117603 /nfs/dbraw/zinc/11/76/03/339117603.db2.gz NISNNWRBGOUISO-KRWDZBQOSA-N 0 3 309.438 4.059 20 0 DIADHN Cc1ncsc1CCN(C)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463442434 339118026 /nfs/dbraw/zinc/11/80/26/339118026.db2.gz MERTZKBRYGLJTF-NEPJUHHUSA-N 0 3 306.397 4.047 20 0 DIADHN COc1c(Cl)cc(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000463608154 339122625 /nfs/dbraw/zinc/12/26/25/339122625.db2.gz UNYPQCIUJOLKSR-RXMQYKEDSA-N 0 3 316.106 4.139 20 0 DIADHN CC(C(=O)N1CC2(CCCC2)c2c1cccc2F)C(F)(F)F ZINC000463619021 339122786 /nfs/dbraw/zinc/12/27/86/339122786.db2.gz BIJDAQRWCVFMHS-JTQLQIEISA-N 0 3 315.310 4.183 20 0 DIADHN Fc1ccc(-c2nc3c(s2)CCC[C@@H]3NCC2CC2)cc1 ZINC000463712498 339124282 /nfs/dbraw/zinc/12/42/82/339124282.db2.gz VMLWGRSRCFSTBC-AWEZNQCLSA-N 0 3 302.418 4.326 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)Nc1ccnc2ccccc21 ZINC000463731756 339124905 /nfs/dbraw/zinc/12/49/05/339124905.db2.gz ICXLMLINYBCZEK-ZDUSSCGKSA-N 0 3 308.429 4.090 20 0 DIADHN Cc1ccc(-c2csc(NC(=O)C(C)C(F)(F)F)n2)cc1 ZINC000463760397 339125746 /nfs/dbraw/zinc/12/57/46/339125746.db2.gz OCJIOUGBUTUXPR-SECBINFHSA-N 0 3 314.332 4.255 20 0 DIADHN CC(C(=O)Nc1ccc(Oc2ccccn2)cc1)C(F)(F)F ZINC000463815108 339126459 /nfs/dbraw/zinc/12/64/59/339126459.db2.gz QDTDVZOJXOCZIX-SNVBAGLBSA-N 0 3 310.275 4.011 20 0 DIADHN COc1ccccc1-c1cccc(NC(=O)C(C)C(F)(F)F)c1 ZINC000463836581 339126983 /nfs/dbraw/zinc/12/69/83/339126983.db2.gz MVVVGVQKLYFLBP-NSHDSACASA-N 0 3 323.314 4.499 20 0 DIADHN COC[C@H](C)CNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464037847 339131581 /nfs/dbraw/zinc/13/15/81/339131581.db2.gz HSXHOVQTZTTYAI-CYBMUJFWSA-N 0 3 311.853 4.034 20 0 DIADHN CCc1cnc(CN[C@@H]2C[C@H](c3ccccc3)C2(C)C)s1 ZINC000464060802 339132363 /nfs/dbraw/zinc/13/23/63/339132363.db2.gz FHWDOUITJIZREX-HZPDHXFCSA-N 0 3 300.471 4.377 20 0 DIADHN Cc1nn(C(C)C)cc1CNCC(C)(C)c1ccccc1Cl ZINC000464062723 339132561 /nfs/dbraw/zinc/13/25/61/339132561.db2.gz PPMVIHJKOVCNBI-UHFFFAOYSA-N 0 3 319.880 4.493 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)n1 ZINC000464063111 339132580 /nfs/dbraw/zinc/13/25/80/339132580.db2.gz VDFLZPFZXMSKJV-SOUVJXGZSA-N 0 3 322.518 4.126 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000464068275 339132969 /nfs/dbraw/zinc/13/29/69/339132969.db2.gz RGBXSUOSQSTEHE-BFHYXJOUSA-N 0 3 311.828 4.164 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CNCc1ccc(Cl)o1 ZINC000464094821 339134284 /nfs/dbraw/zinc/13/42/84/339134284.db2.gz TXISVFUYATZXKS-CYBMUJFWSA-N 0 3 305.805 4.151 20 0 DIADHN CC(C)N(C)CC(=O)N(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464388609 339141070 /nfs/dbraw/zinc/14/10/70/339141070.db2.gz XIEYKVZDXMWWMA-QGZVFWFLSA-N 0 3 324.468 4.213 20 0 DIADHN Cc1ccc(C(C)(C)CC(=O)Nc2ccc(CN(C)C)cc2)cc1 ZINC000498230605 339141195 /nfs/dbraw/zinc/14/11/95/339141195.db2.gz YYCDJYACZRYAOC-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc(F)cc(Cl)c1 ZINC000464738795 339150431 /nfs/dbraw/zinc/15/04/31/339150431.db2.gz ZCNLPVKUUPIYQR-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1c(C)cccc1C ZINC000464739949 339150719 /nfs/dbraw/zinc/15/07/19/339150719.db2.gz QSKNLBWWJOSDOU-WMLDXEAASA-N 0 3 302.462 4.143 20 0 DIADHN COC(=O)c1coc(CN2CCCC3(C2)CCCCCC3)c1 ZINC000464761363 339151614 /nfs/dbraw/zinc/15/16/14/339151614.db2.gz NLUFJIAMPPNFBC-UHFFFAOYSA-N 0 3 305.418 4.003 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(Cl)ccc2OC)C1 ZINC000464768941 339151991 /nfs/dbraw/zinc/15/19/91/339151991.db2.gz CPKQRNNKIWBBFM-LSDHHAIUSA-N 0 3 313.894 4.455 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(Cl)c3cccnc23)CCS1 ZINC000464780994 339153242 /nfs/dbraw/zinc/15/32/42/339153242.db2.gz ZEEXNILKTGZEKM-MRXNPFEDSA-N 0 3 320.889 4.462 20 0 DIADHN Cc1nc(C(C)(C)NCCc2ccccc2Cl)sc1C ZINC000464804976 339154649 /nfs/dbraw/zinc/15/46/49/339154649.db2.gz IUAUJJJQEBGRFT-UHFFFAOYSA-N 0 3 308.878 4.481 20 0 DIADHN C[C@@H](NC(=O)CN1C[C@H](C)CC[C@@H]1C)c1cccc2ccccc21 ZINC000464817667 339155216 /nfs/dbraw/zinc/15/52/16/339155216.db2.gz HXRJYNLZUSUHDZ-IXDOHACOSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1C[C@@H](C)[C@H](C)C1 ZINC000464837488 339156472 /nfs/dbraw/zinc/15/64/72/339156472.db2.gz LUPJTKOKMXHJSR-BRWVUGGUSA-N 0 3 322.452 4.268 20 0 DIADHN COc1ccccc1OCCN1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000464846437 339157332 /nfs/dbraw/zinc/15/73/32/339157332.db2.gz BLNBQJSNCSCQDZ-CVEARBPZSA-N 0 3 315.413 4.140 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C)C[C@@H]2c2ccco2)cc1 ZINC000464850228 339157600 /nfs/dbraw/zinc/15/76/00/339157600.db2.gz MQRPUBRRMRMVDS-RHSMWYFYSA-N 0 3 313.397 4.039 20 0 DIADHN c1ccc(Oc2ccccc2CN2CC[C@]3(CCOC3)C2)cc1 ZINC000464852155 339157836 /nfs/dbraw/zinc/15/78/36/339157836.db2.gz IKAJLMPPCUJWCC-FQEVSTJZSA-N 0 3 309.409 4.091 20 0 DIADHN CCCCOC1CCN(CCOc2ccc(SC)cc2)CC1 ZINC000464854633 339158184 /nfs/dbraw/zinc/15/81/84/339158184.db2.gz VXZIHCCKSFNANG-UHFFFAOYSA-N 0 3 323.502 4.068 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1nc2cc(F)ccc2o1 ZINC000464881748 339159995 /nfs/dbraw/zinc/15/99/95/339159995.db2.gz VPNOSBRJGMQABC-CZUORRHYSA-N 0 3 310.372 4.345 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1C[C@H]2CCC[C@@H]2C1 ZINC000464886023 339160207 /nfs/dbraw/zinc/16/02/07/339160207.db2.gz AJFHKCOXKYUUPB-MWDXBVQZSA-N 0 3 314.473 4.259 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)C1(CC)CCCC1 ZINC000464924862 339161933 /nfs/dbraw/zinc/16/19/33/339161933.db2.gz BHSUCGALMJWKPJ-UHFFFAOYSA-N 0 3 316.489 4.067 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H](CC)C[C@H](C)CO)o2)cc1 ZINC000465000267 339165104 /nfs/dbraw/zinc/16/51/04/339165104.db2.gz IQWOBUXVYWPDPY-YJBOKZPZSA-N 0 3 315.457 4.396 20 0 DIADHN CO[C@@H](CNCc1cc(Cl)ccc1OC(F)F)C(C)(C)C ZINC000465010227 339165327 /nfs/dbraw/zinc/16/53/27/339165327.db2.gz HRVVAXNJNGZLPA-ZDUSSCGKSA-N 0 3 321.795 4.092 20 0 DIADHN COc1ccccc1/C=C/CNCc1cc(F)ccc1SC ZINC000465011530 339165331 /nfs/dbraw/zinc/16/53/31/339165331.db2.gz BYUOAJXDJCRDDV-FNORWQNLSA-N 0 3 317.429 4.359 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@H](OC)C(C)(C)C ZINC000465019894 339165595 /nfs/dbraw/zinc/16/55/95/339165595.db2.gz VWYSCEIAOBNDQY-CYBMUJFWSA-N 0 3 320.260 4.153 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccccc1Br)C(C)(C)C ZINC000465416724 339175655 /nfs/dbraw/zinc/17/56/55/339175655.db2.gz ZVIUGXSFBXOPLY-RISCZKNCSA-N 0 3 314.267 4.161 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cn(C(C)C)nc1C ZINC000466345524 339200218 /nfs/dbraw/zinc/20/02/18/339200218.db2.gz GCCJVZNBCBDBHE-QGZVFWFLSA-N 0 3 317.502 4.433 20 0 DIADHN CCCc1csc(CNC2CC(C)(c3ccccc3)C2)n1 ZINC000466385290 339201783 /nfs/dbraw/zinc/20/17/83/339201783.db2.gz CHQRRCFPRBMPQA-UHFFFAOYSA-N 0 3 300.471 4.306 20 0 DIADHN CCCc1csc(CN[C@H]2C[C@H](c3ccccc3C)C2)n1 ZINC000466402851 339202640 /nfs/dbraw/zinc/20/26/40/339202640.db2.gz BRTRDBCFHXECTB-KOMQPUFPSA-N 0 3 300.471 4.440 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2C[C@H](c3ccccc3C)C2)c1C ZINC000466402130 339202646 /nfs/dbraw/zinc/20/26/46/339202646.db2.gz ROHHEWQBFOJTKC-IYARVYRRSA-N 0 3 311.473 4.254 20 0 DIADHN CC[C@@]1(C)C[C@H]1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000466409469 339203136 /nfs/dbraw/zinc/20/31/36/339203136.db2.gz LXSFZTYHJOADCL-HKUYNNGSSA-N 0 3 300.446 4.047 20 0 DIADHN CC[C@]1(C)C[C@@H]1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000466409471 339203154 /nfs/dbraw/zinc/20/31/54/339203154.db2.gz LXSFZTYHJOADCL-IEBWSBKVSA-N 0 3 300.446 4.047 20 0 DIADHN c1cn2c(CNC3CC(c4ccccc4)C3)c(C3CC3)nc2s1 ZINC000466426848 339204490 /nfs/dbraw/zinc/20/44/90/339204490.db2.gz XZBQASWHSROAAQ-UHFFFAOYSA-N 0 3 323.465 4.309 20 0 DIADHN Cc1ncc(CNC2CC(c3ccc(F)c(Cl)c3)C2)s1 ZINC000466474726 339206593 /nfs/dbraw/zinc/20/65/93/339206593.db2.gz QLOQANMGMMFSPG-UHFFFAOYSA-N 0 3 310.825 4.280 20 0 DIADHN Cc1ncsc1CNC1CC(c2ccc(F)c(Cl)c2)C1 ZINC000466475833 339206759 /nfs/dbraw/zinc/20/67/59/339206759.db2.gz WGIWEBNIZNWMSC-UHFFFAOYSA-N 0 3 310.825 4.280 20 0 DIADHN CCC[C@H]1CCCN([C@H](C)C(=O)Nc2ccccc2CC)C1 ZINC000466485485 339207178 /nfs/dbraw/zinc/20/71/78/339207178.db2.gz VGVGYDSAFLIPHY-CVEARBPZSA-N 0 3 302.462 4.088 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC000466662467 339212353 /nfs/dbraw/zinc/21/23/53/339212353.db2.gz YIDMRBKBAAXEIH-IYBDPMFKSA-N 0 3 308.425 4.312 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCCC[C@H]1CC(C)C ZINC000466694955 339213343 /nfs/dbraw/zinc/21/33/43/339213343.db2.gz GTCQFDLXKNDRGH-KRWDZBQOSA-N 0 3 302.462 4.143 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@@H]1CC(C)C ZINC000466700674 339213407 /nfs/dbraw/zinc/21/34/07/339213407.db2.gz UVGSIBAKSOTBOE-GOSISDBHSA-N 0 3 316.489 4.397 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000466735396 339214871 /nfs/dbraw/zinc/21/48/71/339214871.db2.gz OVRWVCQJWVYCSI-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN CC(C)CO[C@H](C)c1noc(CN(CC(C)C)C2CCCC2)n1 ZINC000466739871 339215133 /nfs/dbraw/zinc/21/51/33/339215133.db2.gz SBVKKPICAOWKDX-OAHLLOKOSA-N 0 3 323.481 4.204 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CC[C@H](CC(C)C)C1 ZINC000466747982 339215805 /nfs/dbraw/zinc/21/58/05/339215805.db2.gz QYCCJAPHEQFUCU-HUUCEWRRSA-N 0 3 322.880 4.343 20 0 DIADHN CC/C=C\CCN1CCC(C(=O)c2cc(F)ccc2F)CC1 ZINC000466835541 339218658 /nfs/dbraw/zinc/21/86/58/339218658.db2.gz PVWWZRZUUUNZFY-ARJAWSKDSA-N 0 3 307.384 4.216 20 0 DIADHN COc1ccc2oc(CNCc3cccc4cc[nH]c43)cc2c1 ZINC000466916547 339221415 /nfs/dbraw/zinc/22/14/15/339221415.db2.gz ITWDTOSNEBJOAL-UHFFFAOYSA-N 0 3 306.365 4.213 20 0 DIADHN CCCOc1cc(CNCc2cccc3cc[nH]c32)ccc1OC ZINC000466919391 339221613 /nfs/dbraw/zinc/22/16/13/339221613.db2.gz FHNSMUSUROFXRR-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1CC(=O)N(Cc1ccccc1)C(C)(C)C ZINC000466926338 339221878 /nfs/dbraw/zinc/22/18/78/339221878.db2.gz KAJLUHMVUABXCJ-CALCHBBNSA-N 0 3 316.489 4.077 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1csc(Cc2ccccc2)n1 ZINC000466932860 339222190 /nfs/dbraw/zinc/22/21/90/339222190.db2.gz DRTRXPSMTSCFCQ-PBHICJAKSA-N 0 3 300.471 4.354 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1c(C)onc1CC ZINC000466943763 339222448 /nfs/dbraw/zinc/22/24/48/339222448.db2.gz RCYSJZOUQHTCCF-UHFFFAOYSA-N 0 3 304.409 4.139 20 0 DIADHN CCc1noc(C)c1CN(C)[C@@H](CC)Cc1ccc(C)cc1 ZINC000466960751 339222841 /nfs/dbraw/zinc/22/28/41/339222841.db2.gz CMLJHVZMRXOHOF-KRWDZBQOSA-N 0 3 300.446 4.307 20 0 DIADHN CCN(CCSc1ccc(Cl)cc1)Cc1ccccn1 ZINC000466965034 339223011 /nfs/dbraw/zinc/22/30/11/339223011.db2.gz OEZXCGWNBFMNLS-UHFFFAOYSA-N 0 3 306.862 4.349 20 0 DIADHN CCN(CCCOc1cccc(Cl)c1)Cc1ccccn1 ZINC000466967636 339223031 /nfs/dbraw/zinc/22/30/31/339223031.db2.gz PMESDOLGEBEXRO-UHFFFAOYSA-N 0 3 304.821 4.026 20 0 DIADHN C[C@@H](NCC1(c2ccccc2)CCCCCC1)c1cnccn1 ZINC000466985773 339223428 /nfs/dbraw/zinc/22/34/28/339223428.db2.gz PFMPPGVUGNFOQQ-QGZVFWFLSA-N 0 3 309.457 4.419 20 0 DIADHN C[C@@H](c1ccc(OC(F)(F)F)cc1)N(C)C[C@@H]1CCCCO1 ZINC000467186804 339227216 /nfs/dbraw/zinc/22/72/16/339227216.db2.gz NHPORSXXUUVDNI-WFASDCNBSA-N 0 3 317.351 4.147 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN([C@@H](C)c3cnccn3)C2)cc1 ZINC000467190649 339227528 /nfs/dbraw/zinc/22/75/28/339227528.db2.gz HUDXEECOWYRCRU-FUHWJXTLSA-N 0 3 309.457 4.226 20 0 DIADHN CCc1cnccc1[C@@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000467196799 339227903 /nfs/dbraw/zinc/22/79/03/339227903.db2.gz RHNJAJRNEUEDLO-NVXWUHKLSA-N 0 3 302.462 4.177 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@@]1(C)Cc1ccccc1 ZINC000467220183 339228732 /nfs/dbraw/zinc/22/87/32/339228732.db2.gz WKESBRPDSJOTAT-NRFANRHFSA-N 0 3 322.452 4.031 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3cc[nH]c32)cc1Cl ZINC000467274180 339229447 /nfs/dbraw/zinc/22/94/47/339229447.db2.gz VQLFUQADCBQNSL-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN C[C@H](NCCCC(=O)OC(C)(C)C)c1cc2ccccc2o1 ZINC000467274269 339229579 /nfs/dbraw/zinc/22/95/79/339229579.db2.gz WDEKHEQKMIXHHA-ZDUSSCGKSA-N 0 3 303.402 4.205 20 0 DIADHN Fc1cncc([C@H](NCc2ccc(OC(F)F)cc2)C2CC2)c1 ZINC000467312164 339231326 /nfs/dbraw/zinc/23/13/26/339231326.db2.gz AYAHAZVIBBVIOO-MRXNPFEDSA-N 0 3 322.330 4.063 20 0 DIADHN Cc1cccnc1CN[C@@H](C)Cc1cccc(C(F)(F)F)c1 ZINC000467333714 339232135 /nfs/dbraw/zinc/23/21/35/339232135.db2.gz LNEHVXZLUKWXSW-ZDUSSCGKSA-N 0 3 308.347 4.130 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1c2ccccc2C[C@H]1C ZINC000467348209 339233034 /nfs/dbraw/zinc/23/30/34/339233034.db2.gz RDKLTMMHJFJPGQ-CCMJGWDWSA-N 0 3 311.400 4.345 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](NCc2cc(F)ccc2F)C1 ZINC000467359869 339233869 /nfs/dbraw/zinc/23/38/69/339233869.db2.gz UYFMQSHZNMHBSV-RHSMWYFYSA-N 0 3 316.395 4.484 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](NCc2cc(F)ccc2F)C1 ZINC000467359871 339233875 /nfs/dbraw/zinc/23/38/75/339233875.db2.gz UYFMQSHZNMHBSV-YOEHRIQHSA-N 0 3 316.395 4.484 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000467359845 339233909 /nfs/dbraw/zinc/23/39/09/339233909.db2.gz USJHEVWCFPLCDV-XUWVNRHRSA-N 0 3 321.436 4.080 20 0 DIADHN Fc1cncc([C@@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467371451 339234809 /nfs/dbraw/zinc/23/48/09/339234809.db2.gz VNGQSONUUGCNGS-MSOLQXFVSA-N 0 3 318.436 4.143 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H]1CCc2cc(F)c(OC)cc21 ZINC000467388974 339236110 /nfs/dbraw/zinc/23/61/10/339236110.db2.gz OAMQDQWPTKJCNB-GWCFXTLKSA-N 0 3 305.315 4.142 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000467390205 339236336 /nfs/dbraw/zinc/23/63/36/339236336.db2.gz UUFIRIRONQVPHA-MISXGVKJSA-N 0 3 321.408 4.123 20 0 DIADHN Fc1cncc([C@H](NCCOC2CCCCCC2)C2CC2)c1 ZINC000467389099 339236349 /nfs/dbraw/zinc/23/63/49/339236349.db2.gz OYLWFMWIIKISJN-GOSISDBHSA-N 0 3 306.425 4.001 20 0 DIADHN Cc1cccc(C[C@H](CO)N[C@H](C)c2ccc(F)cc2Cl)c1 ZINC000467405424 339236875 /nfs/dbraw/zinc/23/68/75/339236875.db2.gz GADBJCNHJMVACD-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN CC[C@@H](NC1CC(c2ccc(F)c(Cl)c2)C1)c1ccn(C)n1 ZINC000467430450 339237965 /nfs/dbraw/zinc/23/79/65/339237965.db2.gz QZCIKFKVCMBMPO-SEEARECTSA-N 0 3 321.827 4.200 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC000467433715 339238376 /nfs/dbraw/zinc/23/83/76/339238376.db2.gz KLUWZTUUIHBBFU-LJQANCHMSA-N 0 3 311.473 4.227 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@H]1/C=C\c1ccccc1 ZINC000467484170 339240299 /nfs/dbraw/zinc/24/02/99/339240299.db2.gz RQDMZKOXHDZUIE-DUQGCJEPSA-N 0 3 309.457 4.450 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000467533103 339241096 /nfs/dbraw/zinc/24/10/96/339241096.db2.gz OCHFSDWTXCXGDR-BWTSREIZSA-N 0 3 312.457 4.047 20 0 DIADHN Cn1cccc1CN1CCC(F)(c2cccc(Cl)c2)CC1 ZINC000467553820 339242027 /nfs/dbraw/zinc/24/20/27/339242027.db2.gz LWKAEWUORRNXOY-UHFFFAOYSA-N 0 3 306.812 4.139 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3)C[C@@H]2C)s1 ZINC000467550313 339242046 /nfs/dbraw/zinc/24/20/46/339242046.db2.gz JBGYEKCTPJNMHI-HOCLYGCPSA-N 0 3 300.471 4.474 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cn2ccccc2n1 ZINC000467554861 339242491 /nfs/dbraw/zinc/24/24/91/339242491.db2.gz RIMYVGKUNYLEIG-WMZOPIPTSA-N 0 3 305.425 4.102 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC(F)(c2cccc(Cl)c2)CC1 ZINC000467557775 339242496 /nfs/dbraw/zinc/24/24/96/339242496.db2.gz NWDCZVKIIBVYBM-UHFFFAOYSA-N 0 3 321.827 4.141 20 0 DIADHN CC[C@H]1CN(Cc2cccc(OC3CCCC3)c2)CCCO1 ZINC000467560815 339242602 /nfs/dbraw/zinc/24/26/02/339242602.db2.gz VSPDRGOAMXGHCT-KRWDZBQOSA-N 0 3 303.446 4.009 20 0 DIADHN CC[C@H]1CN(Cc2ccc(-c3ccc(F)cc3)o2)CCCO1 ZINC000467558563 339242722 /nfs/dbraw/zinc/24/27/22/339242722.db2.gz CAUDXKJRCIDDDF-INIZCTEOSA-N 0 3 303.377 4.087 20 0 DIADHN CC1CC(N[C@H](Cc2ccc(Cl)cc2)c2ccccn2)C1 ZINC000467744725 339245481 /nfs/dbraw/zinc/24/54/81/339245481.db2.gz HZCCKDHIRKIJIV-PVCYVWKFSA-N 0 3 300.833 4.407 20 0 DIADHN c1cnn([C@@H]2CCCC[C@@H]2NCc2ccc(-c3ccccc3)o2)c1 ZINC000467761970 339245979 /nfs/dbraw/zinc/24/59/79/339245979.db2.gz HEEIDNZPMMLSBU-RBUKOAKNSA-N 0 3 321.424 4.417 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2ccc(C)c(F)c2)cc1 ZINC000467762841 339246032 /nfs/dbraw/zinc/24/60/32/339246032.db2.gz DJZRVCAHYBYACG-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN Clc1ccc(CN[C@H]2CCCC[C@@H]2n2cccn2)c(Cl)c1 ZINC000467764342 339246117 /nfs/dbraw/zinc/24/61/17/339246117.db2.gz OQDMPPNOGSMUOZ-HOTGVXAUSA-N 0 3 324.255 4.463 20 0 DIADHN CSc1ccc([C@H](C)NC[C@@H](c2ccsc2)N(C)C)cc1 ZINC000467838317 339246921 /nfs/dbraw/zinc/24/69/21/339246921.db2.gz HEUWXKVHZDKSFM-GUYCJALGSA-N 0 3 320.527 4.424 20 0 DIADHN CCCC[C@@H](NCc1ccc(C(=O)NCC)cc1)c1ccccc1 ZINC000467836320 339247265 /nfs/dbraw/zinc/24/72/65/339247265.db2.gz JGMSODACWAXITM-HXUWFJFHSA-N 0 3 324.468 4.457 20 0 DIADHN C[C@@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1ccc(F)c(F)c1 ZINC000467836786 339247332 /nfs/dbraw/zinc/24/73/32/339247332.db2.gz RUESOQDDJNZXAH-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000467855802 339247819 /nfs/dbraw/zinc/24/78/19/339247819.db2.gz YTDOIXFYEFGKKW-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@H]2COc3ccc(F)cc32)cc1 ZINC000468037048 339252142 /nfs/dbraw/zinc/25/21/42/339252142.db2.gz HFWXPPQPJWJENA-VFVRVIDISA-N 0 3 315.388 4.050 20 0 DIADHN C[C@H](NC[C@@]1(C)CCO[C@@H]1C1CC1)c1ccc(F)cc1Cl ZINC000468039664 339252262 /nfs/dbraw/zinc/25/22/62/339252262.db2.gz RBLGOXWEUZFTCK-YMRXKLBXSA-N 0 3 311.828 4.335 20 0 DIADHN CCCCOc1ccccc1CN(C)CCc1ncc(C)s1 ZINC000468411861 339262369 /nfs/dbraw/zinc/26/23/69/339262369.db2.gz KDANAFCXEMPQAX-UHFFFAOYSA-N 0 3 318.486 4.305 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000468522840 339265375 /nfs/dbraw/zinc/26/53/75/339265375.db2.gz JRLSBTNESKVASZ-LLVKDONJSA-N 0 3 317.351 4.072 20 0 DIADHN CCN(Cc1ccc2ccccc2c1)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000468523968 339265382 /nfs/dbraw/zinc/26/53/82/339265382.db2.gz SNCLBIMDQDPWAD-CALCHBBNSA-N 0 3 324.468 4.061 20 0 DIADHN CO[C@@H](CCNCc1cc(Cl)ccc1Cl)C(F)(F)F ZINC000468621208 339267272 /nfs/dbraw/zinc/26/72/72/339267272.db2.gz WDLUTILPQQPUCG-NSHDSACASA-N 0 3 316.150 4.050 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000468696720 339268933 /nfs/dbraw/zinc/26/89/33/339268933.db2.gz NRHGTUXVLSUYTP-CYBMUJFWSA-N 0 3 322.499 4.120 20 0 DIADHN Oc1ccc(C2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC000468713629 339269310 /nfs/dbraw/zinc/26/93/10/339269310.db2.gz HUNGTTWZYFXHPT-UHFFFAOYSA-N 0 3 304.393 4.324 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2csc(Cl)c2)cc1F ZINC000468757491 339270028 /nfs/dbraw/zinc/27/00/28/339270028.db2.gz ANYLDRJYOPBMPF-JTQLQIEISA-N 0 3 313.825 4.443 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1CCOCC(F)(F)C(F)F ZINC000468895143 339273923 /nfs/dbraw/zinc/27/39/23/339273923.db2.gz HOCUSBSAWWRANH-AWEZNQCLSA-N 0 3 319.342 4.049 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCO[C@@H]2CC(C)C)cnn1C(C)(C)C ZINC000468902259 339274148 /nfs/dbraw/zinc/27/41/48/339274148.db2.gz JJKLMRKCVWSJBQ-BMGDILEWSA-N 0 3 321.509 4.191 20 0 DIADHN C[C@H](NC[C@H](O)CCc1ccccc1)c1csc(Cl)c1 ZINC000468953830 339275825 /nfs/dbraw/zinc/27/58/25/339275825.db2.gz MTWUOAPHVWVLBL-SWLSCSKDSA-N 0 3 309.862 4.046 20 0 DIADHN C[C@@H](Cn1cccn1)NCc1ccccc1Sc1ccccc1 ZINC000468960217 339276065 /nfs/dbraw/zinc/27/60/65/339276065.db2.gz KFBILAVJULYXMW-INIZCTEOSA-N 0 3 323.465 4.213 20 0 DIADHN CCCC1(C(=O)NCC(C)(C)N[C@H](C)c2ccccc2)CCC1 ZINC000468968393 339276316 /nfs/dbraw/zinc/27/63/16/339276316.db2.gz ODIMRBQDYAGOFV-MRXNPFEDSA-N 0 3 316.489 4.202 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccccc1)c1csc(Cl)c1 ZINC000469003171 339277360 /nfs/dbraw/zinc/27/73/60/339277360.db2.gz OYOZENZBBAASQT-YLQAJVPDSA-N 0 3 320.889 4.498 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1csc(Cl)c1 ZINC000469022654 339277942 /nfs/dbraw/zinc/27/79/42/339277942.db2.gz RZIMYFHLKSLIMZ-VHSXEESVSA-N 0 3 303.855 4.172 20 0 DIADHN CC(C)CO[C@@H]1CCN([C@@H](C)c2ccc(OC(F)F)cc2)C1 ZINC000469087696 339279852 /nfs/dbraw/zinc/27/98/52/339279852.db2.gz VXVORLLUUFSTCT-XJKSGUPXSA-N 0 3 313.388 4.096 20 0 DIADHN C[C@@H](NCCCC(=O)OC(C)(C)C)c1csc(Cl)c1 ZINC000469228204 339283690 /nfs/dbraw/zinc/28/36/90/339283690.db2.gz XKFCOFKUWBBBFH-SNVBAGLBSA-N 0 3 303.855 4.174 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H](C)c1csc(Cl)c1 ZINC000469240747 339283996 /nfs/dbraw/zinc/28/39/96/339283996.db2.gz VLONXIWZNZYYTR-DOMZBBRYSA-N 0 3 309.862 4.310 20 0 DIADHN CO[C@H](CCN[C@H](C)c1ccc(F)cc1Cl)C(F)(F)F ZINC000469299937 339285536 /nfs/dbraw/zinc/28/55/36/339285536.db2.gz HHCOTEUCTNGARW-PRHODGIISA-N 0 3 313.722 4.097 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc3c(c2)COC(C)(C)O3)c1 ZINC000469317057 339285988 /nfs/dbraw/zinc/28/59/88/339285988.db2.gz QHWPQPFPBACSOZ-UHFFFAOYSA-N 0 3 311.425 4.272 20 0 DIADHN CC1(CN2CCCC[C@@H]2c2cccc(C(F)(F)F)c2)COC1 ZINC000469516737 339292320 /nfs/dbraw/zinc/29/23/20/339292320.db2.gz GOVMICPIAWAXSS-OAHLLOKOSA-N 0 3 313.363 4.269 20 0 DIADHN CO[C@@H](C)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000469522805 339292483 /nfs/dbraw/zinc/29/24/83/339292483.db2.gz YNBLJQYVJMVYAE-WFASDCNBSA-N 0 3 301.352 4.267 20 0 DIADHN Cc1csc(CNCC(C)(C)c2cccc(Cl)c2F)n1 ZINC000469560264 339293332 /nfs/dbraw/zinc/29/33/32/339293332.db2.gz KLBOJHNHSHKYRN-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN CC(C)[C@@H](c1ccccc1Cl)N(C)C[C@H](O)CC(F)(F)F ZINC000469610873 339294498 /nfs/dbraw/zinc/29/44/98/339294498.db2.gz HWYZOZBKVOPPMW-RISCZKNCSA-N 0 3 323.786 4.282 20 0 DIADHN Clc1ccc(C2(NCC[C@@H]3CCCO3)CC2)cc1Cl ZINC000469713710 339296101 /nfs/dbraw/zinc/29/61/01/339296101.db2.gz WVJWETFLCSUABK-LBPRGKRZSA-N 0 3 300.229 4.141 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCCOCC(F)(F)C(F)F)cc1 ZINC000469716142 339296262 /nfs/dbraw/zinc/29/62/62/339296262.db2.gz CYAGFDFYOVKYFO-GFCCVEGCSA-N 0 3 321.358 4.378 20 0 DIADHN COc1cc(Br)ccc1[C@@H](C)N[C@H]1C=CCCC1 ZINC000469755176 339297715 /nfs/dbraw/zinc/29/77/15/339297715.db2.gz YKUGSWJWROZFOF-YPMHNXCESA-N 0 3 310.235 4.217 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2NCc2ccc(F)cn2)c1 ZINC000469774420 339298719 /nfs/dbraw/zinc/29/87/19/339298719.db2.gz FVOOHTFXGRQPLP-RTBURBONSA-N 0 3 314.404 4.009 20 0 DIADHN OCC[C@H](N[C@H]1CCC[C@@H]1CCc1ccccc1)c1ccco1 ZINC000469774331 339298725 /nfs/dbraw/zinc/29/87/25/339298725.db2.gz FDBKMBKTOHCLBX-QYZOEREBSA-N 0 3 313.441 4.094 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC000469779725 339299410 /nfs/dbraw/zinc/29/94/10/339299410.db2.gz KSLPYXXYRIFWAN-WMZOPIPTSA-N 0 3 316.470 4.496 20 0 DIADHN CC1(c2ccccc2)CCC(N[C@@H](CCO)c2ccco2)CC1 ZINC000469782363 339299466 /nfs/dbraw/zinc/29/94/66/339299466.db2.gz YZTDJWPFJITVNR-FDYSRKEFSA-N 0 3 313.441 4.193 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ccn(C2CCCC2)n1 ZINC000469789584 339300190 /nfs/dbraw/zinc/30/01/90/339300190.db2.gz FQOKIKYKBMNMHL-IAGOWNOFSA-N 0 3 307.507 4.152 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H](CCO)c1ccco1 ZINC000469790888 339300311 /nfs/dbraw/zinc/30/03/11/339300311.db2.gz MKEDOWNTPYEIMR-QZTJIDSGSA-N 0 3 301.430 4.094 20 0 DIADHN C[C@H](CCC1CC1)NCc1nccn1CCCc1ccccc1 ZINC000469795790 339300581 /nfs/dbraw/zinc/30/05/81/339300581.db2.gz GTBYHEOTODGZPV-QGZVFWFLSA-N 0 3 311.473 4.184 20 0 DIADHN CC(C)c1nc([C@H](C)NCCC[C@H]2CCCC[C@H]2O)cs1 ZINC000469797511 339300736 /nfs/dbraw/zinc/30/07/36/339300736.db2.gz CLBBCMZBBKCOQY-SQWLQELKSA-N 0 3 310.507 4.248 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](N[C@H](CCO)c2ccco2)C1 ZINC000469802458 339301198 /nfs/dbraw/zinc/30/11/98/339301198.db2.gz UJMPMFUYOHKFIE-WZGPROSRSA-N 0 3 313.441 4.265 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)CCC2CC2)nnn1-c1ccc(C)cc1 ZINC000469807429 339301484 /nfs/dbraw/zinc/30/14/84/339301484.db2.gz HXIRHTUTOXKUPC-HUUCEWRRSA-N 0 3 312.461 4.113 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)CCC2CC2)nnn1-c1ccc(C)cc1 ZINC000469807428 339301536 /nfs/dbraw/zinc/30/15/36/339301536.db2.gz HXIRHTUTOXKUPC-GJZGRUSLSA-N 0 3 312.461 4.113 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CC1)c1nc2ccc(Cl)cc2n1C ZINC000469811696 339301730 /nfs/dbraw/zinc/30/17/30/339301730.db2.gz WFSJCBMERIDAGS-NWDGAFQWSA-N 0 3 305.853 4.456 20 0 DIADHN COC1CC(N[C@@H](c2cc3ccccc3o2)c2ccccc2)C1 ZINC000470110221 339311003 /nfs/dbraw/zinc/31/10/03/339311003.db2.gz FJQGUIHRQPNHHA-LBXVMSDZSA-N 0 3 307.393 4.289 20 0 DIADHN CC1(C)CCCN1Cc1cc(Br)cc2cccnc21 ZINC000470205663 339314178 /nfs/dbraw/zinc/31/41/78/339314178.db2.gz NRVGJPCKISHQTE-UHFFFAOYSA-N 0 3 319.246 4.372 20 0 DIADHN C[C@H]1C[C@H](C)N(Cc2cc(Br)cc3cccnc32)C1 ZINC000470204475 339314236 /nfs/dbraw/zinc/31/42/36/339314236.db2.gz VLFBCEICNIJBEQ-RYUDHWBXSA-N 0 3 319.246 4.228 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(C(F)(F)F)ccc1C)N(CC)CC ZINC000470224927 339314675 /nfs/dbraw/zinc/31/46/75/339314675.db2.gz UYIYXTVRTIWWRW-AWEZNQCLSA-N 0 3 316.367 4.073 20 0 DIADHN CC[C@H](C(=O)Nc1cc(C(F)(F)F)ccc1C)N(CC)CC ZINC000470224928 339314702 /nfs/dbraw/zinc/31/47/02/339314702.db2.gz UYIYXTVRTIWWRW-CQSZACIVSA-N 0 3 316.367 4.073 20 0 DIADHN Fc1ccccc1CCN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000470253660 339315433 /nfs/dbraw/zinc/31/54/33/339315433.db2.gz DNSKSXIJSXCOMZ-GOSISDBHSA-N 0 3 311.400 4.404 20 0 DIADHN COCC[C@@H](NCCc1ccc(C(C)C)cc1)c1ccco1 ZINC000470302013 339316742 /nfs/dbraw/zinc/31/67/42/339316742.db2.gz CNNNHTKZFKKBAZ-GOSISDBHSA-N 0 3 301.430 4.313 20 0 DIADHN CC(C)[C@@H](N[C@H](C)Cn1cccn1)c1ccc(Cl)cc1F ZINC000470323623 339317224 /nfs/dbraw/zinc/31/72/24/339317224.db2.gz IXRJKGARKBWVNQ-MLGOLLRUSA-N 0 3 309.816 4.051 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(Cl)cc1F ZINC000470323618 339317269 /nfs/dbraw/zinc/31/72/69/339317269.db2.gz IXRJKGARKBWVNQ-BLLLJJGKSA-N 0 3 309.816 4.051 20 0 DIADHN Cc1cccn2cc(CNC[C@H](C)c3cccc(Cl)c3)nc12 ZINC000470359901 339318156 /nfs/dbraw/zinc/31/81/56/339318156.db2.gz VLBCHCGGTKEYGU-AWEZNQCLSA-N 0 3 313.832 4.189 20 0 DIADHN Cc1cccn2cc(CNC[C@@H](C)c3cccc(Cl)c3)nc12 ZINC000470359902 339318194 /nfs/dbraw/zinc/31/81/94/339318194.db2.gz VLBCHCGGTKEYGU-CQSZACIVSA-N 0 3 313.832 4.189 20 0 DIADHN Cc1cccc([C@H](NC(=O)c2ccc3c(c2)CNC3)C(C)(C)C)c1 ZINC000470367566 339318472 /nfs/dbraw/zinc/31/84/72/339318472.db2.gz QEXQFEDVGICSFT-IBGZPJMESA-N 0 3 322.452 4.115 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+]C[C@@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470367645 339318476 /nfs/dbraw/zinc/31/84/76/339318476.db2.gz REFJDCYGKRCTAL-NEPJUHHUSA-N 0 3 306.841 4.036 20 0 DIADHN CC(C)c1nnc([C@H](C)NC[C@@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470367645 339318477 /nfs/dbraw/zinc/31/84/77/339318477.db2.gz REFJDCYGKRCTAL-NEPJUHHUSA-N 0 3 306.841 4.036 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1[C@H]1CCCc2ccc(F)cc21 ZINC000470940936 339331553 /nfs/dbraw/zinc/33/15/53/339331553.db2.gz RKGFTGHVHBVBGD-ONERCXAPSA-N 0 3 301.327 4.476 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(F)c2cccnc12 ZINC000470950526 339332450 /nfs/dbraw/zinc/33/24/50/339332450.db2.gz RKKVQWJZUGBKID-GXFFZTMASA-N 0 3 312.310 4.147 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000470953440 339332562 /nfs/dbraw/zinc/33/25/62/339332562.db2.gz OQBUXGIGYKUCLY-WFASDCNBSA-N 0 3 301.352 4.247 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1cccc(OC(F)F)c1 ZINC000470953510 339332769 /nfs/dbraw/zinc/33/27/69/339332769.db2.gz PHVUWUIJCVUJFX-CABZTGNLSA-N 0 3 309.278 4.061 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)[C@H]2C)c2ccccc2n1 ZINC000470954452 339332778 /nfs/dbraw/zinc/33/27/78/339332778.db2.gz ZFPBYOWHRQYMGT-DOMZBBRYSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1ccccc1OCCCN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000470956112 339332785 /nfs/dbraw/zinc/33/27/85/339332785.db2.gz AUKDCLPYVWZGSK-ZIAGYGMSSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000471072432 339336483 /nfs/dbraw/zinc/33/64/83/339336483.db2.gz NLLISVPMBULJRG-HXUWFJFHSA-N 0 3 323.415 4.413 20 0 DIADHN Cc1ccc([C@H](NC2Cc3ccccc3C2)c2ccccn2)cc1 ZINC000471079993 339336581 /nfs/dbraw/zinc/33/65/81/339336581.db2.gz FLYFVPHYMCYHFX-QFIPXVFZSA-N 0 3 314.432 4.236 20 0 DIADHN C[C@@H](NC(=O)C(C)(C)C1CCCC1)[C@@H](c1ccccc1)N(C)C ZINC000471138957 339338170 /nfs/dbraw/zinc/33/81/70/339338170.db2.gz COCMSUAXWTZLCQ-QAPCUYQASA-N 0 3 316.489 4.010 20 0 DIADHN COc1ccc(CN(C)CCCc2ccc(Cl)cc2)cc1O ZINC000471178884 339339808 /nfs/dbraw/zinc/33/98/08/339339808.db2.gz QCYVDHGHWLYUTM-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN CCOc1ccc(-c2noc(CNC(CC)(CC)CC)n2)cc1 ZINC000471237357 339340820 /nfs/dbraw/zinc/34/08/20/339340820.db2.gz NWVSMISBLNIXBS-UHFFFAOYSA-N 0 3 317.433 4.194 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1ccccc1F ZINC000471583521 339348098 /nfs/dbraw/zinc/34/80/98/339348098.db2.gz ACXXQXBLNNJULN-DOXZYTNZSA-N 0 3 300.421 4.168 20 0 DIADHN C[C@@H](NCC1(CO)CCCC1)c1cc2cc(Cl)ccc2o1 ZINC000471588617 339348418 /nfs/dbraw/zinc/34/84/18/339348418.db2.gz MCLGGUOTTXOZNP-GFCCVEGCSA-N 0 3 307.821 4.290 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](OCc2ccccc2)C1(C)C)c1cncs1 ZINC000471588589 339348431 /nfs/dbraw/zinc/34/84/31/339348431.db2.gz LUTBKFVANXBJKA-RRQGHBQHSA-N 0 3 316.470 4.178 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC3CC(OC(C)C)C3)oc21 ZINC000471600709 339348970 /nfs/dbraw/zinc/34/89/70/339348970.db2.gz DIIRUDAWFJYDLO-IUDNXUCKSA-N 0 3 317.429 4.438 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@@H](C)c2ccc(F)cn2)cc1 ZINC000471600276 339349010 /nfs/dbraw/zinc/34/90/10/339349010.db2.gz BDYSPJJYGZJHOJ-KBPBESRZSA-N 0 3 304.434 4.361 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@H](c2ccccc2)N(C)C)o1 ZINC000471602980 339349075 /nfs/dbraw/zinc/34/90/75/339349075.db2.gz QSONNUBLZWUSLO-QMTMVMCOSA-N 0 3 300.446 4.184 20 0 DIADHN C/C=C/C[C@H](CO)N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000471616868 339349671 /nfs/dbraw/zinc/34/96/71/339349671.db2.gz FSIISGSRZDKQKN-FCVPOFOPSA-N 0 3 306.208 4.110 20 0 DIADHN CCC(O)(CC)CCN[C@H]1CCSc2ccc(Cl)cc21 ZINC000471758160 339353057 /nfs/dbraw/zinc/35/30/57/339353057.db2.gz LKUBZMJTBHXGDJ-AWEZNQCLSA-N 0 3 313.894 4.408 20 0 DIADHN C[C@H](NCCOCC(F)F)c1ccc(-c2ccccc2)s1 ZINC000471770135 339353565 /nfs/dbraw/zinc/35/35/65/339353565.db2.gz MTOBIDCQVKKQOU-LBPRGKRZSA-N 0 3 311.397 4.347 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000471782513 339353751 /nfs/dbraw/zinc/35/37/51/339353751.db2.gz STBSQXAPJMMHFZ-AWEZNQCLSA-N 0 3 318.486 4.402 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000471786362 339353980 /nfs/dbraw/zinc/35/39/80/339353980.db2.gz IBHVTRZDRZVLNN-SCRDCRAPSA-N 0 3 300.229 4.138 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1sc(C(C)(C)C)nc1C ZINC000471801856 339354632 /nfs/dbraw/zinc/35/46/32/339354632.db2.gz YCXSWQNJHXBUKV-GFCCVEGCSA-N 0 3 312.523 4.341 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000471803049 339354677 /nfs/dbraw/zinc/35/46/77/339354677.db2.gz FJFKHXPXDKLROU-QWHCGFSZSA-N 0 3 305.805 4.257 20 0 DIADHN CCC(O)(CC)CCNCc1ccccc1OC1CCCC1 ZINC000471814068 339355027 /nfs/dbraw/zinc/35/50/27/339355027.db2.gz AKCIWIIEZNTCND-UHFFFAOYSA-N 0 3 305.462 4.039 20 0 DIADHN CN(CCCCO)Cc1ccc(-c2ccc(Cl)cc2)s1 ZINC000471819730 339355224 /nfs/dbraw/zinc/35/52/24/339355224.db2.gz JOGMHWVUVMVOBJ-UHFFFAOYSA-N 0 3 309.862 4.273 20 0 DIADHN CO[C@H]1CC[C@H](NCc2ccc(-c3cccc(F)c3C)o2)C1 ZINC000471826328 339355491 /nfs/dbraw/zinc/35/54/91/339355491.db2.gz YGUXZRYVCFAYFV-KBPBESRZSA-N 0 3 303.377 4.051 20 0 DIADHN Oc1cc(F)cc(CNC2(c3ccc(F)cc3)CCCC2)c1 ZINC000472035074 339359730 /nfs/dbraw/zinc/35/97/30/339359730.db2.gz MFHXGVPJWSXOSE-UHFFFAOYSA-N 0 3 303.352 4.230 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](CN(C)C)c3ccccc3)cc2c1C ZINC000472037023 339359890 /nfs/dbraw/zinc/35/98/90/339359890.db2.gz HGWOXORBUUTXTD-NRFANRHFSA-N 0 3 321.468 4.177 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@H]1C[C@H]1c1ccccc1 ZINC000472037188 339359975 /nfs/dbraw/zinc/35/99/75/339359975.db2.gz IDBZOSAVWLQQJZ-SJORKVTESA-N 0 3 319.452 4.202 20 0 DIADHN C[C@@]1(CNCc2ncc(Cl)s2)CCCc2ccccc21 ZINC000472038637 339360093 /nfs/dbraw/zinc/36/00/93/339360093.db2.gz QZQNESMMASZPQD-INIZCTEOSA-N 0 3 306.862 4.180 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+]CCc2csc3ccccc23)c1 ZINC000472038425 339360140 /nfs/dbraw/zinc/36/01/40/339360140.db2.gz PKMBWYJMVOQMNS-UHFFFAOYSA-N 0 3 301.386 4.078 20 0 DIADHN CCc1ccc([C@@H](CO)NCc2cc3c(ccc(C)c3C)[nH]2)cc1 ZINC000472046721 339360653 /nfs/dbraw/zinc/36/06/53/339360653.db2.gz GTRIWJMFORGITR-OAQYLSRUSA-N 0 3 322.452 4.170 20 0 DIADHN CCn1nc(CN[C@H](C)[C@H](C)c2ccccc2)c2ccccc21 ZINC000472052473 339361005 /nfs/dbraw/zinc/36/10/05/339361005.db2.gz LNOFPLHUNXOGHC-JKSUJKDBSA-N 0 3 307.441 4.338 20 0 DIADHN Fc1ccccc1CNCC1(CCOCc2ccccc2)CC1 ZINC000472063059 339361690 /nfs/dbraw/zinc/36/16/90/339361690.db2.gz CLIINVCYKLVMRO-UHFFFAOYSA-N 0 3 313.416 4.302 20 0 DIADHN COc1ccccc1[C@@H](CNCc1cccc(F)c1)OC(C)C ZINC000472064912 339361930 /nfs/dbraw/zinc/36/19/30/339361930.db2.gz KQLZWUKTRDULHZ-LJQANCHMSA-N 0 3 317.404 4.090 20 0 DIADHN CC1(C)CCC[C@@H]1NCC(=O)Nc1ccc(Cl)cc1Cl ZINC000472636234 339375493 /nfs/dbraw/zinc/37/54/93/339375493.db2.gz OLKYLKATPBYXRT-ZDUSSCGKSA-N 0 3 315.244 4.100 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](c3cnn(C)c3)C(C)(C)C)cc2c1C ZINC000472072227 339362286 /nfs/dbraw/zinc/36/22/86/339362286.db2.gz CUWKOAXOJPRWDI-IBGZPJMESA-N 0 3 324.472 4.395 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)[C@@H](c1ccccc1)N(C)C ZINC000472075265 339362731 /nfs/dbraw/zinc/36/27/31/339362731.db2.gz QXJYBYNHQFSPAM-WBMJQRKESA-N 0 3 308.878 4.183 20 0 DIADHN Clc1ccc(CNCC2(CCOCc3ccccc3)CC2)o1 ZINC000472077567 339362808 /nfs/dbraw/zinc/36/28/08/339362808.db2.gz WXPKVMYYUNBJOO-UHFFFAOYSA-N 0 3 319.832 4.410 20 0 DIADHN C[C@@H](NCc1cc2ccccc2o1)[C@H](c1ccccc1)N(C)C ZINC000472080964 339362945 /nfs/dbraw/zinc/36/29/45/339362945.db2.gz VYQJVHNBKBXREM-FOIQADDNSA-N 0 3 308.425 4.214 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H](C)Oc1cccc(F)c1 ZINC000472128103 339363646 /nfs/dbraw/zinc/36/36/46/339363646.db2.gz MEPVTCSHQQNBLP-NEPJUHHUSA-N 0 3 309.406 4.014 20 0 DIADHN Cc1ccccc1C1(C(=O)Nc2ccc(CN(C)C)cc2)CCC1 ZINC000472139530 339364052 /nfs/dbraw/zinc/36/40/52/339364052.db2.gz DEZZUQNRZCZLKP-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2sccc2OC)C12CCCC2 ZINC000472148082 339364371 /nfs/dbraw/zinc/36/43/71/339364371.db2.gz VSJIMRPSFYNFKS-BPLDGKMQSA-N 0 3 309.475 4.145 20 0 DIADHN C[C@H]1C[C@@H](NC(=O)C2(C3CCCCC3)CCCCC2)CCN1C ZINC000472201849 339365937 /nfs/dbraw/zinc/36/59/37/339365937.db2.gz VAHPLOWJMUTBOP-WMZOPIPTSA-N 0 3 320.521 4.116 20 0 DIADHN C[C@@H]1C[C@H](NC(=O)C2(C3CCCCC3)CCCCC2)CCN1C ZINC000472201848 339365976 /nfs/dbraw/zinc/36/59/76/339365976.db2.gz VAHPLOWJMUTBOP-SJLPKXTDSA-N 0 3 320.521 4.116 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@H](C)CC2(C)C)cs1 ZINC000472257571 339367972 /nfs/dbraw/zinc/36/79/72/339367972.db2.gz MKQPSJUWOYQTAN-CYBMUJFWSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1cnc(CCN[C@@H](c2ccc(Cl)cc2F)C(C)C)cn1 ZINC000472466456 339371622 /nfs/dbraw/zinc/37/16/22/339371622.db2.gz YTWJRYNOEDQSJX-QGZVFWFLSA-N 0 3 321.827 4.107 20 0 DIADHN Fc1cncc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)c1 ZINC000472446865 339372046 /nfs/dbraw/zinc/37/20/46/339372046.db2.gz JWVJCRLTHNQUBO-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN CCn1cc(CCN[C@@H](c2ccc(Cl)cc2F)C(C)C)cn1 ZINC000472466153 339372534 /nfs/dbraw/zinc/37/25/34/339372534.db2.gz XZAUOXARSNZIGZ-QGZVFWFLSA-N 0 3 323.843 4.225 20 0 DIADHN CCN(CCCc1c(F)cccc1Cl)Cc1ccccn1 ZINC000472582848 339374311 /nfs/dbraw/zinc/37/43/11/339374311.db2.gz CGSSCPVNBDNZSV-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N[C@H]1CCCC1(C)C ZINC000472594926 339374887 /nfs/dbraw/zinc/37/48/87/339374887.db2.gz GAVRBAMPWJTFJT-WFASDCNBSA-N 0 3 308.853 4.144 20 0 DIADHN CCn1cc(CCN[C@H](C)c2cccc(Cl)c2Cl)cn1 ZINC000472595084 339374889 /nfs/dbraw/zinc/37/48/89/339374889.db2.gz GBMYVDZEUWCLTP-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN COc1c(C)cc(CN2C[C@@H](C)C[C@H]2c2cccnc2)cc1C ZINC000472603083 339375066 /nfs/dbraw/zinc/37/50/66/339375066.db2.gz RVDONQPRWGNEJY-LIRRHRJNSA-N 0 3 310.441 4.290 20 0 DIADHN Clc1cnccc1CN[C@H]1CCC[C@@H]1Cc1ccccc1 ZINC000472611616 339375212 /nfs/dbraw/zinc/37/52/12/339375212.db2.gz OBDYGVIBCGPTQO-QAPCUYQASA-N 0 3 300.833 4.236 20 0 DIADHN CC(C)(C)c1ccc(CN2CCSC3(CCOCC3)C2)cc1 ZINC000473073341 339381074 /nfs/dbraw/zinc/38/10/74/339381074.db2.gz YQMDVGWCIKUMIZ-UHFFFAOYSA-N 0 3 319.514 4.082 20 0 DIADHN CCn1nc(CN2CC[C@@H](c3cccc(F)c3)C2)c2ccccc21 ZINC000473134515 339382086 /nfs/dbraw/zinc/38/20/86/339382086.db2.gz XPACQOGTTCEDTN-MRXNPFEDSA-N 0 3 323.415 4.185 20 0 DIADHN Cc1ccc2[nH]c(CN(C)[C@H](CCO)c3ccccc3)cc2c1C ZINC000473145110 339382897 /nfs/dbraw/zinc/38/28/97/339382897.db2.gz JNJBOMROVDRFHP-OAQYLSRUSA-N 0 3 322.452 4.340 20 0 DIADHN CCn1nc(CN(C)C[C@@H](C)c2ccccc2)c2ccccc21 ZINC000473145959 339382914 /nfs/dbraw/zinc/38/29/14/339382914.db2.gz LMOGEWOGFMWRSW-MRXNPFEDSA-N 0 3 307.441 4.292 20 0 DIADHN C[C@H](CNC(C)(C)c1nc2ccccc2n1C)c1ccccc1 ZINC000473174750 339383587 /nfs/dbraw/zinc/38/35/87/339383587.db2.gz RBFRWTYNKBHMKZ-OAHLLOKOSA-N 0 3 307.441 4.202 20 0 DIADHN CN1CC[C@@H](CSc2ncc(-c3ccc(Cl)cc3)o2)C1 ZINC000473784617 339392000 /nfs/dbraw/zinc/39/20/00/339392000.db2.gz WSSVROJGNLWXHS-LLVKDONJSA-N 0 3 308.834 4.039 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000473986061 339396232 /nfs/dbraw/zinc/39/62/32/339396232.db2.gz VVYOBLYTDQERDN-MSOLQXFVSA-N 0 3 307.865 4.197 20 0 DIADHN CCOC1CC(CCNCc2ccc(Cl)cc2Cl)C1 ZINC000473998317 339396626 /nfs/dbraw/zinc/39/66/26/339396626.db2.gz DMRXSGIMELSLRY-UHFFFAOYSA-N 0 3 302.245 4.288 20 0 DIADHN CCCOc1ccc(CNCc2c(C)nc3ccccn32)c(C)c1 ZINC000474019229 339397275 /nfs/dbraw/zinc/39/72/75/339397275.db2.gz GXYHYWYSJRQKDY-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN COc1ccc(OC(F)F)c(CN[C@H]2CCC23CCCC3)c1 ZINC000474041383 339398507 /nfs/dbraw/zinc/39/85/07/339398507.db2.gz YVLPVWOCDCIDQT-HNNXBMFYSA-N 0 3 311.372 4.109 20 0 DIADHN CCOC[C@H](N[C@H](C)c1ccc(F)cc1OC(F)F)C(C)C ZINC000474095099 339402096 /nfs/dbraw/zinc/40/20/96/339402096.db2.gz KVVYIYWXBLIGKJ-RISCZKNCSA-N 0 3 319.367 4.139 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC1CCCCC1)c1ccsc1 ZINC000498350455 339409373 /nfs/dbraw/zinc/40/93/73/339409373.db2.gz XUEBMTIMONNUMS-QGZVFWFLSA-N 0 3 322.518 4.218 20 0 DIADHN CCc1cccc(Cl)c1CNCCC(=O)Nc1ccccc1 ZINC000474378946 339411627 /nfs/dbraw/zinc/41/16/27/339411627.db2.gz QBVGJZCYJHVJMY-UHFFFAOYSA-N 0 3 316.832 4.021 20 0 DIADHN COc1ncccc1CN[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000474462794 339415119 /nfs/dbraw/zinc/41/51/19/339415119.db2.gz UZPJBSTYHBPLPV-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN COc1cccc(-c2ccc(CNCc3cnccc3C)cc2)c1 ZINC000474722182 339423869 /nfs/dbraw/zinc/42/38/69/339423869.db2.gz KINNFVUXEXPWJE-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@](C)(O)C1CC1 ZINC000474725323 339424165 /nfs/dbraw/zinc/42/41/65/339424165.db2.gz MEGQLKYBGGFHGY-INIZCTEOSA-N 0 3 313.894 4.091 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)C3CCSCC3)co2)cc1 ZINC000474808472 339427374 /nfs/dbraw/zinc/42/73/74/339427374.db2.gz BOHJZXARXSFVAJ-AWEZNQCLSA-N 0 3 316.470 4.271 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC[C@H](C(C)C)C1 ZINC000474809624 339427434 /nfs/dbraw/zinc/42/74/34/339427434.db2.gz PAKCUTZGCBUDLA-XHSDSOJGSA-N 0 3 304.482 4.041 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2nc(C(F)(F)F)cs2)C1 ZINC000474809454 339427458 /nfs/dbraw/zinc/42/74/58/339427458.db2.gz DRYIOXLXYATIKV-GHMZBOCLSA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCC[C@@H](C(C)C)C1)c1nc(C2CC2)no1 ZINC000474893757 339430340 /nfs/dbraw/zinc/43/03/40/339430340.db2.gz OPUSGPLGXIUWIB-BZUAXINKSA-N 0 3 305.466 4.449 20 0 DIADHN C[C@@H](N[C@H](C)[C@H]1CCCOC1)c1cc(Cl)ccc1Cl ZINC000474927329 339431411 /nfs/dbraw/zinc/43/14/11/339431411.db2.gz QIPCTPMMJYFSIW-UTUOFQBUSA-N 0 3 302.245 4.459 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H](C)C[C@@H](C)O ZINC000474929046 339431537 /nfs/dbraw/zinc/43/15/37/339431537.db2.gz UYMSGBWKGZJDGO-NWDGAFQWSA-N 0 3 301.883 4.090 20 0 DIADHN CC(C)(C)OCCCCN1CCN(c2cccc(Cl)c2)CC1 ZINC000475045925 339436805 /nfs/dbraw/zinc/43/68/05/339436805.db2.gz JHJFYPLRWCOLQP-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN CC(C)CCN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000475634405 339457725 /nfs/dbraw/zinc/45/77/25/339457725.db2.gz MIKPUCATWWOEHD-CYBMUJFWSA-N 0 3 309.759 4.028 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2c(Cl)cccc2SC(C)C)C1 ZINC000475208764 339441686 /nfs/dbraw/zinc/44/16/86/339441686.db2.gz RBCHGASBOUSQQA-QWHCGFSZSA-N 0 3 313.894 4.498 20 0 DIADHN Cc1ccccc1-n1nccc1CNCc1ccc(C2CC2)cc1 ZINC000475210783 339441771 /nfs/dbraw/zinc/44/17/71/339441771.db2.gz KFZSBJYBZXCLIX-UHFFFAOYSA-N 0 3 317.436 4.348 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCC1(C(F)F)CC1 ZINC000475216701 339442077 /nfs/dbraw/zinc/44/20/77/339442077.db2.gz PCNRWQWVEMQBOP-UHFFFAOYSA-N 0 3 317.379 4.497 20 0 DIADHN COc1cc(Cl)c(CNCC2(C(F)F)CC2)cc1Cl ZINC000475216803 339442083 /nfs/dbraw/zinc/44/20/83/339442083.db2.gz UVDRTTPZPDIIJF-UHFFFAOYSA-N 0 3 310.171 4.137 20 0 DIADHN C[C@H]1CC[C@H](CN2CCC(=Cc3ccc(F)c(F)c3)CC2)O1 ZINC000475316153 339445775 /nfs/dbraw/zinc/44/57/75/339445775.db2.gz LOOVGQBRPHKMBF-XJKSGUPXSA-N 0 3 307.384 4.012 20 0 DIADHN COC1(CNCc2nc3ccc(F)cc3s2)CCC(C)CC1 ZINC000475430327 339451288 /nfs/dbraw/zinc/45/12/88/339451288.db2.gz SPLHUYUTQCZIJX-UHFFFAOYSA-N 0 3 322.449 4.120 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2cncs2)CC1 ZINC000475578383 339456437 /nfs/dbraw/zinc/45/64/37/339456437.db2.gz ARBFGORDXXZZPB-UHFFFAOYSA-N 0 3 300.471 4.378 20 0 DIADHN Cc1ccc(CN2CCC(c3cccc(Cl)c3)CC2)nc1 ZINC000475578492 339456446 /nfs/dbraw/zinc/45/64/46/339456446.db2.gz PLNHCFHUUPANMI-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F)C1CCC1 ZINC000475638407 339457914 /nfs/dbraw/zinc/45/79/14/339457914.db2.gz OVGVWXCXDIGZGV-XPTSAGLGSA-N 0 3 321.770 4.170 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cnc(C)s2)cc1 ZINC000475668607 339458911 /nfs/dbraw/zinc/45/89/11/339458911.db2.gz FGGOCSWQTOUWPH-UHFFFAOYSA-N 0 3 304.459 4.265 20 0 DIADHN CC(C)(CC(=O)N1CC[C@H](N2CCCCC2)C1)C1CCCCC1 ZINC000475769039 339461926 /nfs/dbraw/zinc/46/19/26/339461926.db2.gz OPLVIXLLTQJYQF-SFHVURJKSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@](C)(NCCc1c(F)cccc1Cl)c1nccs1 ZINC000477065731 339485844 /nfs/dbraw/zinc/48/58/44/339485844.db2.gz IUUNPBYGZCMEAD-HNNXBMFYSA-N 0 3 312.841 4.393 20 0 DIADHN CC[C@@](C)(NCCc1c(F)cccc1Cl)c1nccs1 ZINC000477065733 339485851 /nfs/dbraw/zinc/48/58/51/339485851.db2.gz IUUNPBYGZCMEAD-OAHLLOKOSA-N 0 3 312.841 4.393 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cccc(Cl)c1Cl)C(C)(C)O ZINC000477855464 339502816 /nfs/dbraw/zinc/50/28/16/339502816.db2.gz PPDBAMDQNKDBEV-HZMBPMFUSA-N 0 3 304.261 4.440 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc(Cl)cn1 ZINC000477854720 339502857 /nfs/dbraw/zinc/50/28/57/339502857.db2.gz WDKMJNHTQJHGRA-HIFRSBDPSA-N 0 3 308.853 4.268 20 0 DIADHN COc1cccc2c1CC[C@H]2NC[C@H]1CCC2(CCCCC2)O1 ZINC000477861231 339503107 /nfs/dbraw/zinc/50/31/07/339503107.db2.gz WNHDQYKKFDZKAH-CRAIPNDOSA-N 0 3 315.457 4.154 20 0 DIADHN COc1ccc2oc(CNCCSc3ccccc3)cc2c1 ZINC000478255072 339511702 /nfs/dbraw/zinc/51/17/02/339511702.db2.gz KTFFHRHUMHCDIJ-UHFFFAOYSA-N 0 3 313.422 4.323 20 0 DIADHN CC1(C)C[C@@H](NCc2cnc(-c3ccsc3)s2)C(C)(C)O1 ZINC000478298997 339512908 /nfs/dbraw/zinc/51/29/08/339512908.db2.gz MMMGATYPOMJEKF-CYBMUJFWSA-N 0 3 322.499 4.307 20 0 DIADHN Cn1cc([C@H](NCCCC2CCCC2)c2ccc(F)cc2)cn1 ZINC000478309874 339513181 /nfs/dbraw/zinc/51/31/81/339513181.db2.gz QZGKABFRQIHMJF-LJQANCHMSA-N 0 3 315.436 4.209 20 0 DIADHN CC(C)[C@@H](NC[C@H](CO)c1ccccc1)c1cc(F)ccc1F ZINC000479072768 339526853 /nfs/dbraw/zinc/52/68/53/339526853.db2.gz UADWUKGBEOFUQO-DNVCBOLYSA-N 0 3 319.395 4.028 20 0 DIADHN Cc1ccc(C)c(NC(=O)CNC(C)(C)CCc2ccccc2)c1 ZINC000479099255 339527474 /nfs/dbraw/zinc/52/74/74/339527474.db2.gz KPZAONIACPMJTJ-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCCC[C@H]1[C@H]1CCOC1 ZINC000479284183 339530474 /nfs/dbraw/zinc/53/04/74/339530474.db2.gz OAWQZHNFYNSSDA-GRDNDAEWSA-N 0 3 323.864 4.034 20 0 DIADHN COC1(CNCc2cnc(-c3cccs3)s2)CCCCC1 ZINC000479423553 339534390 /nfs/dbraw/zinc/53/43/90/339534390.db2.gz PVBPDZYZHCFXQK-UHFFFAOYSA-N 0 3 322.499 4.311 20 0 DIADHN C[C@@H](N[C@@H]1CCC12CCCC2)c1ccc(Br)cn1 ZINC000479448362 339535560 /nfs/dbraw/zinc/53/55/60/339535560.db2.gz CPZMLHQCYNMZIX-BXUZGUMPSA-N 0 3 309.251 4.218 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1ccc(Br)cc1F ZINC000479455174 339535987 /nfs/dbraw/zinc/53/59/87/339535987.db2.gz RLVBSLCAAKOZAK-QMMMGPOBSA-N 0 3 322.168 4.284 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCCc2ccc(F)cc21 ZINC000479493422 339538038 /nfs/dbraw/zinc/53/80/38/339538038.db2.gz ZWMCUZUABDLMLT-OALUTQOASA-N 0 3 311.400 4.489 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](CO)CCC(C)(C)C ZINC000479517349 339539036 /nfs/dbraw/zinc/53/90/36/339539036.db2.gz OBWKRIFUCUKPBN-JSGCOSHPSA-N 0 3 312.523 4.413 20 0 DIADHN CCC1(Cc2ccccc2)CCN([C@H](C)c2cnccn2)CC1 ZINC000479554177 339540897 /nfs/dbraw/zinc/54/08/97/339540897.db2.gz RJQKTWFDPLBCKK-QGZVFWFLSA-N 0 3 309.457 4.273 20 0 DIADHN CCCC[C@H](N[C@@H](C)CC(N)=O)c1ccc(Cl)cc1Cl ZINC000479570451 339541643 /nfs/dbraw/zinc/54/16/43/339541643.db2.gz WXYVXXUQAZDZAV-HZMBPMFUSA-N 0 3 317.260 4.078 20 0 DIADHN COCc1ccc(CN[C@@H](C)C2(c3ccccc3)CCCC2)o1 ZINC000479586017 339542424 /nfs/dbraw/zinc/54/24/24/339542424.db2.gz BOGJXGZMPYBEPG-INIZCTEOSA-N 0 3 313.441 4.416 20 0 DIADHN C[C@H](CNCc1cnc(-c2cccs2)s1)C(F)(F)F ZINC000479595811 339542867 /nfs/dbraw/zinc/54/28/67/339542867.db2.gz XBFWQFBIWSSNHK-MRVPVSSYSA-N 0 3 306.378 4.160 20 0 DIADHN COc1ccc2oc(CN[C@H]3CCCO[C@H]3CC(C)C)cc2c1 ZINC000479604378 339543436 /nfs/dbraw/zinc/54/34/36/339543436.db2.gz UKTYXZVIYOHIJN-HKUYNNGSSA-N 0 3 317.429 4.125 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1ccccc1Br ZINC000479763799 339547968 /nfs/dbraw/zinc/54/79/68/339547968.db2.gz JLTMXKDVJUVXTK-JHJVBQTASA-N 0 3 312.251 4.057 20 0 DIADHN C[C@@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1cc(F)cc(F)c1 ZINC000479849148 339550402 /nfs/dbraw/zinc/55/04/02/339550402.db2.gz HFQXQEOMNXLWCS-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN CCSc1ccccc1[C@H](C)NC[C@H](c1ccco1)N(C)C ZINC000480086503 339558524 /nfs/dbraw/zinc/55/85/24/339558524.db2.gz NYMZTOIONXRPLT-GOEBONIOSA-N 0 3 318.486 4.345 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCCC1)c1ccccc1F ZINC000480092156 339558778 /nfs/dbraw/zinc/55/87/78/339558778.db2.gz WQBNRARQVADQAV-QAPCUYQASA-N 0 3 316.420 4.297 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)Oc1ccccc1F ZINC000480166012 339561459 /nfs/dbraw/zinc/56/14/59/339561459.db2.gz QGSLTRUBOSZNLS-JSGCOSHPSA-N 0 3 322.811 4.382 20 0 DIADHN Cc1cccc(C)c1OCCCN[C@H](C)c1ccc(Cl)cn1 ZINC000480197545 339563191 /nfs/dbraw/zinc/56/31/91/339563191.db2.gz LTJFADZTHMDNIY-OAHLLOKOSA-N 0 3 318.848 4.472 20 0 DIADHN C[C@H](NCCCOCCc1ccccc1)c1ccc(Cl)cn1 ZINC000480243455 339564974 /nfs/dbraw/zinc/56/49/74/339564974.db2.gz DUSHBVROBXGRAB-HNNXBMFYSA-N 0 3 318.848 4.035 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc(Cn2cccn2)cc1 ZINC000480247075 339565153 /nfs/dbraw/zinc/56/51/53/339565153.db2.gz APCUINIFSKJCBA-OAQYLSRUSA-N 0 3 317.436 4.017 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CC1)c1ccc(Cl)cn1 ZINC000480251041 339565323 /nfs/dbraw/zinc/56/53/23/339565323.db2.gz SEAGDYWNYMSVBY-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(-c3cccc(F)c3F)o2)CCO1 ZINC000480260237 339565854 /nfs/dbraw/zinc/56/58/54/339565854.db2.gz VDLHABVZZOSLMU-LBPRGKRZSA-N 0 3 321.367 4.272 20 0 DIADHN COCCCCCN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000498416030 339568672 /nfs/dbraw/zinc/56/86/72/339568672.db2.gz VLYSWMIEYNUYGU-FQEVSTJZSA-N 0 3 324.424 4.324 20 0 DIADHN Cc1cccc([C@@H](C)CNCc2nccn2-c2ccccc2)c1 ZINC000480341654 339569808 /nfs/dbraw/zinc/56/98/08/339569808.db2.gz YZHOTAFBUPSSSK-KRWDZBQOSA-N 0 3 305.425 4.074 20 0 DIADHN C[C@@H](O)CC(C)(C)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480349816 339570031 /nfs/dbraw/zinc/57/00/31/339570031.db2.gz ABVVUSDLAHLSJM-GFCCVEGCSA-N 0 3 323.383 4.112 20 0 DIADHN Fc1cccc(-c2ccc(CNC[C@H]3CCCS3)o2)c1F ZINC000480346698 339570081 /nfs/dbraw/zinc/57/00/81/339570081.db2.gz ZQLTUWIJHBBYOB-GFCCVEGCSA-N 0 3 309.381 4.210 20 0 DIADHN Fc1cccc(-c2ccc(CNCCC3CCOCC3)o2)c1F ZINC000480398448 339572218 /nfs/dbraw/zinc/57/22/18/339572218.db2.gz XHKUEAHKQFLBTN-UHFFFAOYSA-N 0 3 321.367 4.131 20 0 DIADHN CC(C)[C@H](NCc1cc(C(F)(F)F)ccc1Cl)C(C)(C)O ZINC000480474953 339574189 /nfs/dbraw/zinc/57/41/89/339574189.db2.gz XHMSYABIHKAWCF-ZDUSSCGKSA-N 0 3 323.786 4.244 20 0 DIADHN CC(C)[C@H](NCc1ccc(-c2cccc(F)c2F)o1)C(C)(C)O ZINC000480476809 339574370 /nfs/dbraw/zinc/57/43/70/339574370.db2.gz PKNAZNQFZPCOOD-KRWDZBQOSA-N 0 3 323.383 4.110 20 0 DIADHN COc1cc2c(cc1CN[C@H](C)c1sccc1C)O[C@@H](C)C2 ZINC000480530449 339576154 /nfs/dbraw/zinc/57/61/54/339576154.db2.gz SHJYPDHZVMJQKB-QWHCGFSZSA-N 0 3 317.454 4.239 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccc(F)cc1OC(F)F ZINC000480660252 339581759 /nfs/dbraw/zinc/58/17/59/339581759.db2.gz FVEVUIPPXRZZIP-JGVFFNPUSA-N 0 3 315.257 4.276 20 0 DIADHN C[C@@H](N[C@H](c1cnn(C)c1)c1ccc(F)cc1)C1CCCCC1 ZINC000480665714 339581961 /nfs/dbraw/zinc/58/19/61/339581961.db2.gz QLRKMCCPNRDAKA-KUHUBIRLSA-N 0 3 315.436 4.207 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1cc(Cl)cc2c1OCC2 ZINC000480669465 339582402 /nfs/dbraw/zinc/58/24/02/339582402.db2.gz XIZBSAUSFGOJCK-JTQLQIEISA-N 0 3 321.770 4.486 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](c2ccccc2)C1)c1ccc(Cl)cn1 ZINC000480668322 339582406 /nfs/dbraw/zinc/58/24/06/339582406.db2.gz SQIQCLYWEREHNM-XCRHUMRWSA-N 0 3 316.832 4.306 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000480696302 339583789 /nfs/dbraw/zinc/58/37/89/339583789.db2.gz WNNRXHGATHSKHX-IVZQSRNASA-N 0 3 315.482 4.398 20 0 DIADHN C[C@@H]1CCC[C@H](N[C@@H](c2cnn(C)c2)c2ccc(F)cc2)[C@H]1C ZINC000480710655 339584298 /nfs/dbraw/zinc/58/42/98/339584298.db2.gz KZYMVCPSPFTKRT-SPLQCWDRSA-N 0 3 315.436 4.063 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CC(C)(C)c3ccccc32)cn1 ZINC000480730595 339585093 /nfs/dbraw/zinc/58/50/93/339585093.db2.gz VQRDCZOGHXUWSY-SFHVURJKSA-N 0 3 310.441 4.381 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(N3CCCCC3)nc2)cc1 ZINC000480731325 339585095 /nfs/dbraw/zinc/58/50/95/339585095.db2.gz QMUQSMKEGWGDSE-QGZVFWFLSA-N 0 3 323.484 4.485 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000480739055 339585253 /nfs/dbraw/zinc/58/52/53/339585253.db2.gz ZBGYVPBIZXJJLA-DZKIICNBSA-N 0 3 322.518 4.266 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCCC2)nc1)c1cccs1 ZINC000480741868 339585359 /nfs/dbraw/zinc/58/53/59/339585359.db2.gz PGYXIPACSKRQOC-MRXNPFEDSA-N 0 3 315.486 4.374 20 0 DIADHN CSCCCCCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000480754009 339585942 /nfs/dbraw/zinc/58/59/42/339585942.db2.gz CUTBPBJJGWMXON-SFHVURJKSA-N 0 3 318.461 4.433 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2ccc(C)cc2C)cn1 ZINC000480899726 339589960 /nfs/dbraw/zinc/58/99/60/339589960.db2.gz LXSYWCMYZPTPEN-QGZVFWFLSA-N 0 3 311.473 4.395 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(Cn3cccn3)c2)cc1 ZINC000480921453 339590894 /nfs/dbraw/zinc/59/08/94/339590894.db2.gz RAWFAIMOZUBJBR-KRWDZBQOSA-N 0 3 319.452 4.345 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)COc2cccc(F)c2)cc1F ZINC000481016757 339592991 /nfs/dbraw/zinc/59/29/91/339592991.db2.gz BBHBQTJZIBXONJ-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN CC[C@H](NCc1ccccc1OCCOC)c1cccs1 ZINC000481047724 339594341 /nfs/dbraw/zinc/59/43/41/339594341.db2.gz KLBSXQNDUVJFPY-HNNXBMFYSA-N 0 3 305.443 4.014 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CCCC[C@@H]1Cc1ccccc1 ZINC000481195583 339598245 /nfs/dbraw/zinc/59/82/45/339598245.db2.gz WCFFCBQHVVFJSN-RTBURBONSA-N 0 3 311.473 4.355 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000481200342 339598436 /nfs/dbraw/zinc/59/84/36/339598436.db2.gz QFIAIFDLRKEMHD-IAQYHMDHSA-N 0 3 321.371 4.073 20 0 DIADHN C[C@@H](NCCCc1nc2ccccc2[nH]1)c1ccccc1Cl ZINC000481424372 339603970 /nfs/dbraw/zinc/60/39/70/339603970.db2.gz XVVPAIRDZRSAIO-CYBMUJFWSA-N 0 3 313.832 4.500 20 0 DIADHN CC(C)CC[C@H](O)CN[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000481719508 339611038 /nfs/dbraw/zinc/61/10/38/339611038.db2.gz QHQBPQKYBXWVNL-WFASDCNBSA-N 0 3 303.368 4.153 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000481719505 339611061 /nfs/dbraw/zinc/61/10/61/339611061.db2.gz QHQBPQKYBXWVNL-DOMZBBRYSA-N 0 3 303.368 4.153 20 0 DIADHN CC(C)n1ccnc1CN[C@@H](C)C1(c2ccccc2)CCCC1 ZINC000481848625 339615239 /nfs/dbraw/zinc/61/52/39/339615239.db2.gz OWUBXHXKJQYYLG-KRWDZBQOSA-N 0 3 311.473 4.454 20 0 DIADHN CCC[C@H](C)[C@@H](CC)N[C@H](CC)c1nnc2n1CCCCC2 ZINC000481918980 339616692 /nfs/dbraw/zinc/61/66/92/339616692.db2.gz HKBGLVOZYISXGO-ARFHVFGLSA-N 0 3 306.498 4.260 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2ccc(C)s2)N2CCCC2)o1 ZINC000482029634 339620316 /nfs/dbraw/zinc/62/03/16/339620316.db2.gz CBDHXWMJXXDCAI-HZPDHXFCSA-N 0 3 318.486 4.446 20 0 DIADHN Cc1cc(CN[C@@H](CCc2ccc(Cl)cc2)C(C)C)on1 ZINC000482355887 339630162 /nfs/dbraw/zinc/63/01/62/339630162.db2.gz JHFIPOTUZQYBOO-KRWDZBQOSA-N 0 3 306.837 4.383 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H](C)CCCC(F)(F)F)n2C ZINC000482540819 339635177 /nfs/dbraw/zinc/63/51/77/339635177.db2.gz ZOKXFQDIGHWWJV-GFCCVEGCSA-N 0 3 313.367 4.092 20 0 DIADHN COC[C@H](N[C@@H](C)c1oc2ccc(OC)cc2c1C)C(C)C ZINC000482622813 339637659 /nfs/dbraw/zinc/63/76/59/339637659.db2.gz XXNPPHVWPPXAOC-BBRMVZONSA-N 0 3 305.418 4.071 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(CC)s2)cn1 ZINC000482621314 339637667 /nfs/dbraw/zinc/63/76/67/339637667.db2.gz WZEIBNQKFNCGAI-CYBMUJFWSA-N 0 3 304.459 4.345 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H]1c2ccccc2C[C@@H]1C ZINC000482639050 339638474 /nfs/dbraw/zinc/63/84/74/339638474.db2.gz FPERLHXYLHMIPR-SGTLLEGYSA-N 0 3 301.817 4.372 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1cnccn1 ZINC000482728663 339641062 /nfs/dbraw/zinc/64/10/62/339641062.db2.gz FZFYNIVZFGYVHP-BXUZGUMPSA-N 0 3 324.255 4.455 20 0 DIADHN COc1ccc2oc(CNCCCNc3ccccc3)cc2c1 ZINC000482793827 339642778 /nfs/dbraw/zinc/64/27/78/339642778.db2.gz WBCQFRBEMGVAJB-UHFFFAOYSA-N 0 3 310.397 4.033 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H]2CCOc3ccccc32)c(F)c1 ZINC000482813583 339643171 /nfs/dbraw/zinc/64/31/71/339643171.db2.gz GZZIWIBHPGYRRX-ZIAGYGMSSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1nc(C)c(CCN[C@@H](C)c2ccc(F)cc2Cl)s1 ZINC000482823517 339643536 /nfs/dbraw/zinc/64/35/36/339643536.db2.gz OFHAQLSKFHSNAQ-VIFPVBQESA-N 0 3 312.841 4.446 20 0 DIADHN CCC(CC)[C@H](O)CN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000482864457 339645017 /nfs/dbraw/zinc/64/50/17/339645017.db2.gz HQSZUVYLOKBWLC-SWLSCSKDSA-N 0 3 315.404 4.044 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H](CC)c1ccccc1OC(F)F ZINC000482892166 339646200 /nfs/dbraw/zinc/64/62/00/339646200.db2.gz XPOYAGKQIGCZEG-HUUCEWRRSA-N 0 3 315.404 4.126 20 0 DIADHN CCC[C@@H](O)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000482948813 339648013 /nfs/dbraw/zinc/64/80/13/339648013.db2.gz SJTTWTHFGYMCSP-SCZZXKLOSA-N 0 3 308.274 4.183 20 0 DIADHN CCC[C@H](O)CN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000482949368 339648039 /nfs/dbraw/zinc/64/80/39/339648039.db2.gz SZOZKJPFAKMJMO-JSGCOSHPSA-N 0 3 323.383 4.253 20 0 DIADHN Cc1ccc([C@@H](C)NCC(C)(C)N[C@@H](C)c2ccccc2)o1 ZINC000482953045 339648148 /nfs/dbraw/zinc/64/81/48/339648148.db2.gz RTIDXRPDHIVXLH-JKSUJKDBSA-N 0 3 300.446 4.368 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2ccccc2)cc(C)c1F ZINC000482957808 339648482 /nfs/dbraw/zinc/64/84/82/339648482.db2.gz HPAZRLWLQLBANO-HNNXBMFYSA-N 0 3 314.404 4.122 20 0 DIADHN C[C@@H](NC[C@]1(C)CCOC1)c1cc(Cl)ccc1OC(F)F ZINC000482992285 339649311 /nfs/dbraw/zinc/64/93/11/339649311.db2.gz TXLXCEFSGAZLAY-BMIGLBTASA-N 0 3 319.779 4.019 20 0 DIADHN C[C@H](NC[C@]1(C)CCOC1)c1cc(Cl)ccc1OC(F)F ZINC000482992288 339649315 /nfs/dbraw/zinc/64/93/15/339649315.db2.gz TXLXCEFSGAZLAY-BONVTDFDSA-N 0 3 319.779 4.019 20 0 DIADHN Cc1ccsc1[C@H](C)NC[C@@H](c1c(F)cccc1F)N(C)C ZINC000483142094 339653758 /nfs/dbraw/zinc/65/37/58/339653758.db2.gz LMVROHREAOJTIB-WFASDCNBSA-N 0 3 324.440 4.288 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccc(-n3cncn3)c2)cc1 ZINC000483149638 339654275 /nfs/dbraw/zinc/65/42/75/339654275.db2.gz GDXYGJZMJPHHIV-INIZCTEOSA-N 0 3 320.440 4.071 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(c3cccc(F)c3)C2)o1 ZINC000483170364 339655000 /nfs/dbraw/zinc/65/50/00/339655000.db2.gz RDGVOVZSAHRYND-GOEBONIOSA-N 0 3 302.393 4.047 20 0 DIADHN CSC[C@H](C)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000483213185 339656605 /nfs/dbraw/zinc/65/66/05/339656605.db2.gz UXBRBIBLIHACAJ-STQMWFEESA-N 0 3 320.527 4.444 20 0 DIADHN COCC1CCN(Cc2ccc(-c3cccc(F)c3F)o2)CC1 ZINC000483254928 339658129 /nfs/dbraw/zinc/65/81/29/339658129.db2.gz ILGFUSMCHAPENI-UHFFFAOYSA-N 0 3 321.367 4.083 20 0 DIADHN CC/C=C\CCN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000483254254 339658156 /nfs/dbraw/zinc/65/81/56/339658156.db2.gz MLUQTWLRWKONKJ-NQHOJNORSA-N 0 3 321.770 4.338 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCC(c2noc(C(C)C)n2)CC1 ZINC000483420135 339664712 /nfs/dbraw/zinc/66/47/12/339664712.db2.gz JWWWXZIJENJKRE-HNNXBMFYSA-N 0 3 313.445 4.442 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2cc(Cl)ccc2OC)s1 ZINC000483456096 339666551 /nfs/dbraw/zinc/66/65/51/339666551.db2.gz RIFVLENTVNPVEX-NSHDSACASA-N 0 3 324.877 4.261 20 0 DIADHN FC(F)C1CCN(CCOc2cccc3ccccc32)CC1 ZINC000483517859 339667943 /nfs/dbraw/zinc/66/79/43/339667943.db2.gz KPOXNBVWYHLJFF-UHFFFAOYSA-N 0 3 305.368 4.196 20 0 DIADHN COc1cc(CN[C@H]2c3ccccc3C[C@H]2C)c2ccccc2n1 ZINC000483528639 339668204 /nfs/dbraw/zinc/66/82/04/339668204.db2.gz MWKQYOXDGFWPOO-SPLOXXLWSA-N 0 3 318.420 4.267 20 0 DIADHN CC(C)C[C@@H](NC[C@H](C)Oc1ccccc1F)c1ccccn1 ZINC000483608416 339669273 /nfs/dbraw/zinc/66/92/73/339669273.db2.gz UZCFUWSVEXRDSS-MAUKXSAKSA-N 0 3 316.420 4.365 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](C)Oc2ccc(F)cc2)s1 ZINC000483619537 339669682 /nfs/dbraw/zinc/66/96/82/339669682.db2.gz RKSVKBDVQZNJNI-RYUDHWBXSA-N 0 3 322.449 4.271 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@H](C)C3)n2)cc1F ZINC000483644282 339670441 /nfs/dbraw/zinc/67/04/41/339670441.db2.gz AEVOGELAMWXJOT-WHOFXGATSA-N 0 3 317.408 4.413 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@@H](C)c2ccc(F)cc2F)cc1 ZINC000483643711 339670491 /nfs/dbraw/zinc/67/04/91/339670491.db2.gz OKHCYOCOSZQHOT-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H](C)C3)n2)ccc1F ZINC000483654750 339670991 /nfs/dbraw/zinc/67/09/91/339670991.db2.gz OPUQGVRSUCSHDT-OSAQELSMSA-N 0 3 317.408 4.413 20 0 DIADHN CCCC[C@H](CC)N[C@@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000483654647 339671020 /nfs/dbraw/zinc/67/10/20/339671020.db2.gz ODRZZQRKGSLQQZ-ZFWWWQNUSA-N 0 3 317.433 4.365 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000483662133 339671275 /nfs/dbraw/zinc/67/12/75/339671275.db2.gz YJXWUPNYEFQSAI-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1ccc(F)cc1OC(F)F ZINC000483718003 339672521 /nfs/dbraw/zinc/67/25/21/339672521.db2.gz ZZBOFQTZPIPJTF-DDTOSNHZSA-N 0 3 317.351 4.035 20 0 DIADHN CCc1cnccc1[C@H](C)NCCOc1ccc(Cl)c(F)c1 ZINC000483734467 339673454 /nfs/dbraw/zinc/67/34/54/339673454.db2.gz UNSYIFNPXPFKBC-LBPRGKRZSA-N 0 3 322.811 4.166 20 0 DIADHN CCCC[C@H](CCC)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000483849966 339676343 /nfs/dbraw/zinc/67/63/43/339676343.db2.gz JGTMJDBMLGTIJI-INIZCTEOSA-N 0 3 305.466 4.229 20 0 DIADHN CO[C@]1(C)C[C@H](N[C@H](C)c2cnc3ccsc3c2)C1(C)C ZINC000483868293 339677254 /nfs/dbraw/zinc/67/72/54/339677254.db2.gz FRLPKEVVACTSDD-XQAQDONZSA-N 0 3 304.459 4.151 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1ccc(-c2ccccc2)o1 ZINC000483869907 339677501 /nfs/dbraw/zinc/67/75/01/339677501.db2.gz JLWHOGLUGQXCGH-CVEARBPZSA-N 0 3 301.430 4.396 20 0 DIADHN CC[C@H](COC)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000484127550 339681324 /nfs/dbraw/zinc/68/13/24/339681324.db2.gz HKVVLNIGJMPKOQ-GXSJLCMTSA-N 0 3 307.768 4.017 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1nccn1CCCc1ccccc1 ZINC000484281096 339683636 /nfs/dbraw/zinc/68/36/36/339683636.db2.gz ZKPRULFWRIOBNN-SFHVURJKSA-N 0 3 311.473 4.184 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCN(c3cccc(Cl)c3)C2)o1 ZINC000484290544 339683848 /nfs/dbraw/zinc/68/38/48/339683848.db2.gz FGCWWDCGOJHJDG-HIFRSBDPSA-N 0 3 304.821 4.171 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCN(c3cccc(Cl)c3)C2)o1 ZINC000484290978 339683926 /nfs/dbraw/zinc/68/39/26/339683926.db2.gz FGCWWDCGOJHJDG-UKRRQHHQSA-N 0 3 304.821 4.171 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1Br)c1ccco1 ZINC000484481543 339687248 /nfs/dbraw/zinc/68/72/48/339687248.db2.gz FKXZKZDEAHVLSN-NWDGAFQWSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccco1)Oc1ccccc1Br ZINC000484481542 339687285 /nfs/dbraw/zinc/68/72/85/339687285.db2.gz FKXZKZDEAHVLSN-NEPJUHHUSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2c(F)cccc2F)C1)c1ccc(F)cc1 ZINC000484621829 339690820 /nfs/dbraw/zinc/69/08/20/339690820.db2.gz RXXHJYGJXASWDR-IUODEOHRSA-N 0 3 320.358 4.033 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCc3c2cccc3F)ccc1F ZINC000498479994 339708130 /nfs/dbraw/zinc/70/81/30/339708130.db2.gz DUWVCRLFYVHNLM-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN FC(F)(F)c1ccc2oc(CN(CC3CC3)CC3CC3)nc2c1 ZINC000485673867 339708961 /nfs/dbraw/zinc/70/89/61/339708961.db2.gz QAMUQCNVAQUCFG-UHFFFAOYSA-N 0 3 324.346 4.469 20 0 DIADHN C[C@@H](NCc1cc(F)cc(Cl)c1)[C@H]1COc2ccccc21 ZINC000485684518 339709317 /nfs/dbraw/zinc/70/93/17/339709317.db2.gz MYDBDNMHZXJGTA-BDJLRTHQSA-N 0 3 305.780 4.133 20 0 DIADHN Fc1cc(Cl)cc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)c1 ZINC000485697597 339709826 /nfs/dbraw/zinc/70/98/26/339709826.db2.gz SWINJCQMGRNRKS-QAPCUYQASA-N 0 3 319.807 4.347 20 0 DIADHN Fc1cccc(F)c1C1CCN(CCCC2CCOCC2)CC1 ZINC000485724280 339711486 /nfs/dbraw/zinc/71/14/86/339711486.db2.gz JGCJAYFFJZVNQY-UHFFFAOYSA-N 0 3 323.427 4.351 20 0 DIADHN CCC(CC)[C@@H](NC(=O)CC1CCN(C)CC1)c1cccs1 ZINC000485901859 339715897 /nfs/dbraw/zinc/71/58/97/339715897.db2.gz VMUOANSDFAIRST-GOSISDBHSA-N 0 3 322.518 4.074 20 0 DIADHN Fc1ccc2cc(CNCCOc3ccccc3Cl)[nH]c2c1 ZINC000486674542 339730793 /nfs/dbraw/zinc/73/07/93/339730793.db2.gz ISDOUIUXVDFLQK-UHFFFAOYSA-N 0 3 318.779 4.129 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1cccc(Br)c1 ZINC000486952098 339739789 /nfs/dbraw/zinc/73/97/89/339739789.db2.gz XEMCFANUAZQHAX-OOPCZODUSA-N 0 3 317.230 4.208 20 0 DIADHN C(=C/c1ccncc1)\CNCc1ccccc1OC1CCCC1 ZINC000486962566 339740394 /nfs/dbraw/zinc/74/03/94/339740394.db2.gz DXEIGACXMCOLFF-AATRIKPKSA-N 0 3 308.425 4.206 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NC(=O)c2ccccc2CN(C)C)CC1 ZINC000487170366 339745154 /nfs/dbraw/zinc/74/51/54/339745154.db2.gz HSUXVBXLFLZEBS-SJLPKXTDSA-N 0 3 316.489 4.083 20 0 DIADHN CCCNc1ccc(CNCc2ccc(OCC)c(F)c2)cc1 ZINC000487185805 339745674 /nfs/dbraw/zinc/74/56/74/339745674.db2.gz MESCYLHGUWZPLG-UHFFFAOYSA-N 0 3 316.420 4.336 20 0 DIADHN C[C@@H](NC/C=C/c1ccncc1)c1cccc(N2CCCC2)c1 ZINC000487267046 339747926 /nfs/dbraw/zinc/74/79/26/339747926.db2.gz DPEVGWVUJRCXOL-FUTAKVPZSA-N 0 3 307.441 4.046 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@]1(C)CCO[C@H]1C1CC1 ZINC000487565524 339757749 /nfs/dbraw/zinc/75/77/49/339757749.db2.gz AJKZUVRZDUDMHG-ROUUACIJSA-N 0 3 323.864 4.034 20 0 DIADHN COCCSCCCNC(C)(C)c1cccc(Cl)c1F ZINC000488009589 339768159 /nfs/dbraw/zinc/76/81/59/339768159.db2.gz NVMNMLYSFJEOIN-UHFFFAOYSA-N 0 3 319.873 4.074 20 0 DIADHN CCn1cccc(CN[C@@H](C)c2ccc(C(C)(C)C)cc2)c1=O ZINC000488377746 339778998 /nfs/dbraw/zinc/77/89/98/339778998.db2.gz XTTFXGJCENVLKI-HNNXBMFYSA-N 0 3 312.457 4.017 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)CS1 ZINC000488419167 339780948 /nfs/dbraw/zinc/78/09/48/339780948.db2.gz GNRCAMWPMCZNGQ-XSLAGTTESA-N 0 3 323.477 4.166 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCCOc3cc(F)ccc32)CS1 ZINC000488454475 339783496 /nfs/dbraw/zinc/78/34/96/339783496.db2.gz DEOSUXSQMGCBPL-QRTARXTBSA-N 0 3 309.450 4.159 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000488456264 339783675 /nfs/dbraw/zinc/78/36/75/339783675.db2.gz HBNPGSORDFSJNK-LSDHHAIUSA-N 0 3 322.880 4.172 20 0 DIADHN CCSc1cc(CN[C@H]2CS[C@H](C(C)(C)C)C2)ccn1 ZINC000488518078 339786334 /nfs/dbraw/zinc/78/63/34/339786334.db2.gz SCFMQHFUVLOUTD-KGLIPLIRSA-N 0 3 310.532 4.203 20 0 DIADHN CC(C)Oc1ccccc1CNCCc1cccc(F)c1F ZINC000489078654 339801480 /nfs/dbraw/zinc/80/14/80/339801480.db2.gz LPSSXWQOGXQLJH-UHFFFAOYSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@@H](C)[C@@](C)(O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000489108311 339801929 /nfs/dbraw/zinc/80/19/29/339801929.db2.gz VQXXERYMORWVIP-ACJLOTCBSA-N 0 3 321.848 4.487 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NC[C@]1(C)CCCO1)CCCO2 ZINC000489521485 339811664 /nfs/dbraw/zinc/81/16/64/339811664.db2.gz DYYYMDJUWIJMPB-WBVHZDCISA-N 0 3 309.837 4.021 20 0 DIADHN CC[C@H](N)C(=O)Nc1ccc([C@H](C)Sc2ccccc2)cc1 ZINC000491002154 339845719 /nfs/dbraw/zinc/84/57/19/339845719.db2.gz JLPBLZWCSLGFIG-GUYCJALGSA-N 0 3 314.454 4.216 20 0 DIADHN C[C@H](CN[C@@H](c1ccc(F)cc1)c1ccccc1Cl)N(C)C ZINC000491033750 339846554 /nfs/dbraw/zinc/84/65/54/339846554.db2.gz FWPRWWZSIXJBAS-ACJLOTCBSA-N 0 3 320.839 4.108 20 0 DIADHN CC[C@@H](NCCSc1ccc(C)cc1)c1ccc(F)cn1 ZINC000491316181 339857789 /nfs/dbraw/zinc/85/77/89/339857789.db2.gz KTGJUZWSVLZAQF-MRXNPFEDSA-N 0 3 304.434 4.362 20 0 DIADHN FC1(F)CCCC[C@@H](CNCc2ccc(Br)o2)C1 ZINC000491574429 339867540 /nfs/dbraw/zinc/86/75/40/339867540.db2.gz WGQIMWBREQJYSF-SNVBAGLBSA-N 0 3 322.193 4.347 20 0 DIADHN FC1(F)CCCC[C@H](CNCc2ccn(-c3ccccc3)n2)C1 ZINC000491579054 339867701 /nfs/dbraw/zinc/86/77/01/339867701.db2.gz MOSHUBCJHMHBQF-HNNXBMFYSA-N 0 3 319.399 4.178 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCC1CC(F)(F)C1 ZINC000491581551 339867749 /nfs/dbraw/zinc/86/77/49/339867749.db2.gz NNQXOGHDFVTYNX-UHFFFAOYSA-N 0 3 306.331 4.023 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1cccc(OC(F)F)c1 ZINC000491831790 339884817 /nfs/dbraw/zinc/88/48/17/339884817.db2.gz LGWDZUFKOHKXBH-GUYCJALGSA-N 0 3 321.367 4.154 20 0 DIADHN CCC[C@@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000491993768 339888604 /nfs/dbraw/zinc/88/86/04/339888604.db2.gz AYYURVXTQBXFBI-QZTJIDSGSA-N 0 3 303.833 4.180 20 0 DIADHN C[C@](O)(CN[C@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000492018196 339889262 /nfs/dbraw/zinc/88/92/62/339889262.db2.gz CZDWUMKVPFCSRN-MOPGFXCFSA-N 0 3 315.844 4.180 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC2)[C@H]1OCCc2sccc21 ZINC000492223336 339894648 /nfs/dbraw/zinc/89/46/48/339894648.db2.gz HEVSNHKHYHXNTM-BFUOFWGJSA-N 0 3 313.466 4.029 20 0 DIADHN C[C@H](NCc1cc2ccccc2o1)[C@H]1OCCc2sccc21 ZINC000492261761 339895638 /nfs/dbraw/zinc/89/56/38/339895638.db2.gz WEBFAFALUITDKI-KPZWWZAWSA-N 0 3 313.422 4.286 20 0 DIADHN Cc1nnsc1CN[C@H]1CCCC[C@H](c2ccccc2)C1 ZINC000492457991 339901115 /nfs/dbraw/zinc/90/11/15/339901115.db2.gz CHPLIFKDWNJLEX-HOTGVXAUSA-N 0 3 301.459 4.053 20 0 DIADHN COc1cccc(-c2nc(CN(C(C)C)C(C)C)cs2)c1 ZINC000492855493 339910809 /nfs/dbraw/zinc/91/08/09/339910809.db2.gz YOJMKDZICVFHTO-UHFFFAOYSA-N 0 3 304.459 4.438 20 0 DIADHN CC1(C)CCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000492894887 339911864 /nfs/dbraw/zinc/91/18/64/339911864.db2.gz SQHOXWWEFCHWSM-CQSZACIVSA-N 0 3 306.356 4.288 20 0 DIADHN CC[C@H](NC[C@H]1CCCO[C@@H]1C(C)(C)C)c1ccc(F)cn1 ZINC000493036416 339915543 /nfs/dbraw/zinc/91/55/43/339915543.db2.gz PAHZJJUWQGSIRK-KMFMINBZSA-N 0 3 308.441 4.103 20 0 DIADHN CC[C@@H](NC[C@@H](C)Sc1ccccc1)c1ccc(F)cn1 ZINC000493054695 339916000 /nfs/dbraw/zinc/91/60/00/339916000.db2.gz IISDGHOMKKXPQQ-CZUORRHYSA-N 0 3 304.434 4.442 20 0 DIADHN Fc1ccc(C(NCCc2ccncc2)c2ccc(F)cc2)cc1 ZINC000493077403 339916654 /nfs/dbraw/zinc/91/66/54/339916654.db2.gz HDHUBLPYHJIQCS-UHFFFAOYSA-N 0 3 324.374 4.282 20 0 DIADHN CC[C@@H](NC[C@@H]1SCCc2ccccc21)c1ccc(F)cn1 ZINC000493101343 339917208 /nfs/dbraw/zinc/91/72/08/339917208.db2.gz ALVLEAFAYXSTQK-AEFFLSMTSA-N 0 3 316.445 4.292 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)NCc1ccc(F)cn1 ZINC000493126772 339917928 /nfs/dbraw/zinc/91/79/28/339917928.db2.gz HHQUMSZSSHSZMW-QGZVFWFLSA-N 0 3 304.434 4.127 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cccc(N3CCOC3=O)c2)c1 ZINC000493443296 339925852 /nfs/dbraw/zinc/92/58/52/339925852.db2.gz CMFLNVZIVYGUCU-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1ccc(OC(F)F)cc1 ZINC000493851723 339934930 /nfs/dbraw/zinc/93/49/30/339934930.db2.gz WXJFWSISTXALMY-CXAGYDPISA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc(OC(F)F)cc1 ZINC000493851725 339934935 /nfs/dbraw/zinc/93/49/35/339934935.db2.gz WXJFWSISTXALMY-GUYCJALGSA-N 0 3 321.367 4.154 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)[C@@H](OC)c1ccccc1 ZINC000493926824 339936747 /nfs/dbraw/zinc/93/67/47/339936747.db2.gz PEFRCEBPIJVEHS-OXJNMPFZSA-N 0 3 313.441 4.089 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccccc1Cl)c1ccc(F)cn1 ZINC000494003455 339938631 /nfs/dbraw/zinc/93/86/31/339938631.db2.gz HVWKPEFIQBZJOL-IUODEOHRSA-N 0 3 322.811 4.382 20 0 DIADHN CO[C@H](CNCc1ccc2nc(C)sc2c1)c1ccccc1 ZINC000494039530 339939614 /nfs/dbraw/zinc/93/96/14/339939614.db2.gz UNHYVKWKDXIMOQ-QGZVFWFLSA-N 0 3 312.438 4.082 20 0 DIADHN CC[C@H](NCCOc1ccccc1C(C)C)c1ccc(F)cn1 ZINC000494048609 339939860 /nfs/dbraw/zinc/93/98/60/339939860.db2.gz LCWYBJOUCZYWGD-KRWDZBQOSA-N 0 3 316.420 4.464 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc2nc(C)sc2c1 ZINC000494180250 339942909 /nfs/dbraw/zinc/94/29/09/339942909.db2.gz STUYUQXZQXKCRB-LBPRGKRZSA-N 0 3 311.454 4.413 20 0 DIADHN CCCc1csc(CN[C@H]2CCO[C@H](C(CC)CC)C2)n1 ZINC000494192154 339943344 /nfs/dbraw/zinc/94/33/44/339943344.db2.gz OQXKOMLPTWJIMJ-HOCLYGCPSA-N 0 3 310.507 4.169 20 0 DIADHN C[C@@H]1OCC[C@@]1(C)N[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000494251870 339944922 /nfs/dbraw/zinc/94/49/22/339944922.db2.gz TZCBHYXWMNIGFF-TZTCFGBESA-N 0 3 300.229 4.138 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc3nc(C)sc3c2)c1 ZINC000494356195 339947351 /nfs/dbraw/zinc/94/73/51/339947351.db2.gz JTWDBEDTPRHHOB-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN COC[C@@H](NC[C@H](C)Oc1ccccc1)c1ccc(Cl)cc1 ZINC000494592082 339954378 /nfs/dbraw/zinc/95/43/78/339954378.db2.gz NLQSKLZWSGJRKB-KBXCAEBGSA-N 0 3 319.832 4.085 20 0 DIADHN COc1ccc(CN[C@H](C)[C@H](OC)c2ccccc2)cc1Cl ZINC000494617309 339955482 /nfs/dbraw/zinc/95/54/82/339955482.db2.gz BCWWEKGKFLLJMH-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc(C(F)F)cc1 ZINC000494772397 339959725 /nfs/dbraw/zinc/95/97/25/339959725.db2.gz LUZPBIPZTDWDQX-GUYCJALGSA-N 0 3 305.368 4.490 20 0 DIADHN CC(C)Oc1ccc(CN[C@@]2(C)CCO[C@H]2C2CC2)cc1Cl ZINC000494797398 339960467 /nfs/dbraw/zinc/96/04/67/339960467.db2.gz AWNBXPLMJKWNFI-ROUUACIJSA-N 0 3 323.864 4.174 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccnc1N(C)C)C1CCCC1 ZINC000494884029 339963580 /nfs/dbraw/zinc/96/35/80/339963580.db2.gz VIASMQKZZRWLBL-FQEVSTJZSA-N 0 3 323.484 4.477 20 0 DIADHN FC1(F)CCC(N[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000495043217 339971111 /nfs/dbraw/zinc/97/11/11/339971111.db2.gz DMKQPVYQDWCUTP-QGZVFWFLSA-N 0 3 302.368 4.339 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccsc1 ZINC000495164282 339977273 /nfs/dbraw/zinc/97/72/73/339977273.db2.gz GPRQMGRSOZZVAV-WCQYABFASA-N 0 3 324.852 4.470 20 0 DIADHN CC[C@@H](NCCC(=O)OC(C)(C)C)c1ccc(Cl)s1 ZINC000495368674 339981645 /nfs/dbraw/zinc/98/16/45/339981645.db2.gz JJVPONDSAWEUMN-SNVBAGLBSA-N 0 3 303.855 4.174 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OC(C)(C)C)nc1)CC2 ZINC000495395111 339982549 /nfs/dbraw/zinc/98/25/49/339982549.db2.gz ARYXRWXEUIWCFI-GOSISDBHSA-N 0 3 310.441 4.344 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@@H](C)NC/C=C/c1ccccc1 ZINC000495406914 339983197 /nfs/dbraw/zinc/98/31/97/339983197.db2.gz HDYPNHWGFDLIMI-JOZWUWCOSA-N 0 3 324.424 4.018 20 0 DIADHN CC[C@H](NCC1(Cc2ccccc2)CCCC1)c1nccn1C ZINC000495406478 339983294 /nfs/dbraw/zinc/98/32/94/339983294.db2.gz FZTWALKNWLOPMZ-SFHVURJKSA-N 0 3 311.473 4.264 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccc(F)cc1)c1ccc(C)o1 ZINC000495414143 339983748 /nfs/dbraw/zinc/98/37/48/339983748.db2.gz GWCVMMVEQAIJOH-RDTXWAMCSA-N 0 3 319.420 4.416 20 0 DIADHN COC(=O)Nc1cccc(CNCc2cc(C)cc(Cl)c2)c1 ZINC000495599166 339988120 /nfs/dbraw/zinc/98/81/20/339988120.db2.gz XKAMLNUPTFALIK-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1cccc(N2CCOC2=O)c1 ZINC000495718291 339990666 /nfs/dbraw/zinc/99/06/66/339990666.db2.gz DQCCUNJLDJDHEZ-HWYHOQROSA-N 0 3 322.408 4.007 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(C(F)F)cc2)c1 ZINC000495814668 339992685 /nfs/dbraw/zinc/99/26/85/339992685.db2.gz RDCXASXLVAZDCA-UHFFFAOYSA-N 0 3 320.339 4.092 20 0 DIADHN CC(C)(C)OC(=O)Nc1ncc(CN[C@@]2(C)CC=CCC2)s1 ZINC000496319463 340002954 /nfs/dbraw/zinc/00/29/54/340002954.db2.gz COUYINCADLIETK-INIZCTEOSA-N 0 3 323.462 4.079 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NC1(c2cccc(Cl)c2)CC1 ZINC000498725083 340022236 /nfs/dbraw/zinc/02/22/36/340022236.db2.gz GJQXCBYZYSEBBF-LBPRGKRZSA-N 0 3 309.837 4.039 20 0 DIADHN Fc1ccc(C2=CC[C@@H](N[C@@H]3CCCc4c[nH]nc43)CC2)cc1 ZINC000498791042 340023270 /nfs/dbraw/zinc/02/32/70/340023270.db2.gz USSMFGWKPKEQGS-QZTJIDSGSA-N 0 3 311.404 4.152 20 0 DIADHN Fc1ccc(C2=CC[C@@H](N[C@H]3CCCc4c[nH]nc43)CC2)cc1 ZINC000498791041 340023379 /nfs/dbraw/zinc/02/33/79/340023379.db2.gz USSMFGWKPKEQGS-MSOLQXFVSA-N 0 3 311.404 4.152 20 0 DIADHN CC(C(=O)Nc1ccc(F)cc1OC(C)(C)C)C(F)(F)F ZINC000498857036 340024481 /nfs/dbraw/zinc/02/44/81/340024481.db2.gz ZAARIXHJFMLEML-MRVPVSSYSA-N 0 3 307.287 4.140 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H]3C3CCC3)nn2)cc1 ZINC000499056059 340028743 /nfs/dbraw/zinc/02/87/43/340028743.db2.gz ZQGKDCGUMGASKL-GOSISDBHSA-N 0 3 309.413 4.033 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1ccc(Oc2ccccc2)nn1 ZINC000499059231 340028904 /nfs/dbraw/zinc/02/89/04/340028904.db2.gz PNZRYLCOUOJHDP-MDZRGWNJSA-N 0 3 323.440 4.422 20 0 DIADHN COc1cc(CN(Cc2ccc(C)c(C)c2)C2CC2)ccc1O ZINC000499088390 340029397 /nfs/dbraw/zinc/02/93/97/340029397.db2.gz VXMHTVPIJPBXGA-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN CCN(Cc1ccc(Oc2ccccc2)nn1)C1CCCCC1 ZINC000499099000 340029598 /nfs/dbraw/zinc/02/95/98/340029598.db2.gz DYLUFHVVNOIOKM-UHFFFAOYSA-N 0 3 311.429 4.424 20 0 DIADHN COc1ccc2cc(CN(C)Cc3ccc(O)cc3)ccc2c1 ZINC000499108896 340029904 /nfs/dbraw/zinc/02/99/04/340029904.db2.gz CTIIGBUUUCMZFM-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN c1csc(C2(NCCCCC3CCOCC3)CCCC2)n1 ZINC000499548203 340039023 /nfs/dbraw/zinc/03/90/23/340039023.db2.gz KQMZKURWUOSPTJ-UHFFFAOYSA-N 0 3 308.491 4.099 20 0 DIADHN CC(C)n1nccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000499597746 340040248 /nfs/dbraw/zinc/04/02/48/340040248.db2.gz ASACBIYPYKUWEA-KRWDZBQOSA-N 0 3 303.837 4.358 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NC[C@](C)(O)C(F)(F)F)cc1 ZINC000499602529 340040667 /nfs/dbraw/zinc/04/06/67/340040667.db2.gz NPGCZAGQCOXIKN-FZMZJTMJSA-N 0 3 321.408 4.151 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000499625243 340041287 /nfs/dbraw/zinc/04/12/87/340041287.db2.gz KXMKLEMFMFXBOI-GXZWQRSESA-N 0 3 318.420 4.278 20 0 DIADHN COCCN(Cc1cnc2ccccc2c1)C[C@H]1CC=CCC1 ZINC000499734656 340043632 /nfs/dbraw/zinc/04/36/32/340043632.db2.gz NFVOSIKKTZLGFR-KRWDZBQOSA-N 0 3 310.441 4.040 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000499811694 340045071 /nfs/dbraw/zinc/04/50/71/340045071.db2.gz WNZZLKIVERXLCI-MOPGFXCFSA-N 0 3 320.521 4.070 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCc2cccnc2)CC1 ZINC000500135456 340051069 /nfs/dbraw/zinc/05/10/69/340051069.db2.gz CTIHKXBTYXRVBK-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2cc(-c3ccco3)on2)cc1 ZINC000500234862 340053724 /nfs/dbraw/zinc/05/37/24/340053724.db2.gz XNQZQEJUUMNVGV-SFHVURJKSA-N 0 3 322.408 4.450 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2ccc(SC)cc2)c1 ZINC000500245456 340053994 /nfs/dbraw/zinc/05/39/94/340053994.db2.gz LYRDPZQTDPLNSY-ZDUSSCGKSA-N 0 3 315.438 4.046 20 0 DIADHN CCc1cc(OC)ccc1CNCCc1nc2c(cccc2C)o1 ZINC000500350734 340055530 /nfs/dbraw/zinc/05/55/30/340055530.db2.gz AGDFEVCVOGGZQW-UHFFFAOYSA-N 0 3 324.424 4.040 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc2ncccc2c1 ZINC000500402947 340057061 /nfs/dbraw/zinc/05/70/61/340057061.db2.gz LMMZLHYRSPZWMZ-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN C[C@@H]1C[C@@]1(NCc1cccc2nccn21)c1ccc(Cl)cc1 ZINC000500423643 340058010 /nfs/dbraw/zinc/05/80/10/340058010.db2.gz KMZCYAZFMJCEIE-ACJLOTCBSA-N 0 3 311.816 4.013 20 0 DIADHN C[C@@H]1C[C@]1(NCc1cccc2nccn21)c1ccc(Cl)cc1 ZINC000500423647 340058022 /nfs/dbraw/zinc/05/80/22/340058022.db2.gz KMZCYAZFMJCEIE-FZKQIMNGSA-N 0 3 311.816 4.013 20 0 DIADHN C[C@H]1C[C@@]1(NCc1cccc2nccn21)c1ccc(Cl)cc1 ZINC000500423655 340058078 /nfs/dbraw/zinc/05/80/78/340058078.db2.gz KMZCYAZFMJCEIE-UGSOOPFHSA-N 0 3 311.816 4.013 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CNCCOC(C)C ZINC000500424446 340058118 /nfs/dbraw/zinc/05/81/18/340058118.db2.gz CPPPHXASLJPMII-RAXLEYEMSA-N 0 3 301.352 4.123 20 0 DIADHN CCCn1c(CNC[C@@H]2CCCC(F)(F)C2)nc2ccccc21 ZINC000500438312 340058645 /nfs/dbraw/zinc/05/86/45/340058645.db2.gz GIPSHACYJZWINE-CQSZACIVSA-N 0 3 321.415 4.361 20 0 DIADHN CCC(C)(C)C1CCC(NCc2ncnn2C(C)(C)C)CC1 ZINC000500448687 340059047 /nfs/dbraw/zinc/05/90/47/340059047.db2.gz ROJAHZQRSVQFBR-UHFFFAOYSA-N 0 3 306.498 4.118 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H]3CC(C)C)co2)cc1 ZINC000500453595 340059202 /nfs/dbraw/zinc/05/92/02/340059202.db2.gz BFTNNXCQIUXEQC-KRWDZBQOSA-N 0 3 314.429 4.361 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1ccc3ccccc3n1)C2 ZINC000500451661 340059278 /nfs/dbraw/zinc/05/92/78/340059278.db2.gz NEIKPGORUSZPTH-GOSISDBHSA-N 0 3 308.812 4.145 20 0 DIADHN CCC(=O)N[C@@H]1CCCN([C@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC000500456177 340059381 /nfs/dbraw/zinc/05/93/81/340059381.db2.gz JOFJGGFDKPKSMM-CRAIPNDOSA-N 0 3 316.489 4.036 20 0 DIADHN C[C@@H]1C[C@]1(NCc1ccc2c[nH]nc2c1)c1ccc(Cl)cc1 ZINC000500466389 340059829 /nfs/dbraw/zinc/05/98/29/340059829.db2.gz YRMVLXQCKSOJJW-KZULUSFZSA-N 0 3 311.816 4.241 20 0 DIADHN C[C@H]1C[C@]1(NCc1ccc2c[nH]nc2c1)c1ccc(Cl)cc1 ZINC000500466388 340059875 /nfs/dbraw/zinc/05/98/75/340059875.db2.gz YRMVLXQCKSOJJW-KPZWWZAWSA-N 0 3 311.816 4.241 20 0 DIADHN Fc1cc(Cl)ccc1CNCCc1cccc2[nH]ccc21 ZINC000500475746 340060059 /nfs/dbraw/zinc/06/00/59/340060059.db2.gz JTNUYYYIEMNUCE-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN CC(C)[C@@H](NCc1cc(-c2cccnc2)n[nH]1)c1ccc(F)cc1 ZINC000500477327 340060140 /nfs/dbraw/zinc/06/01/40/340060140.db2.gz UKHATGLPDKRGDL-LJQANCHMSA-N 0 3 324.403 4.098 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1cnc(-c2ccccc2)s1 ZINC000500495437 340060549 /nfs/dbraw/zinc/06/05/49/340060549.db2.gz GOZZHYMJQCEBLZ-ZIAGYGMSSA-N 0 3 324.396 4.060 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1ccc2c(c1)ncn2C)c1cccc(C)c1 ZINC000500535173 340061698 /nfs/dbraw/zinc/06/16/98/340061698.db2.gz QIHLPQKKSNGVES-DYESRHJHSA-N 0 3 319.452 4.297 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cccc2nccn21)c1cccc(C)c1 ZINC000500538973 340061842 /nfs/dbraw/zinc/06/18/42/340061842.db2.gz VXZCDHOFLCESEA-JXFKEZNVSA-N 0 3 305.425 4.058 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](c3ccc(F)cc3)C(C)C)n2c1 ZINC000500561377 340062281 /nfs/dbraw/zinc/06/22/81/340062281.db2.gz SDVXYPMEJNFHEJ-LJQANCHMSA-N 0 3 311.404 4.269 20 0 DIADHN CCC[C@@H](NC[C@H]1CCCN1Cc1ccccc1)c1ccccn1 ZINC000500618238 340063853 /nfs/dbraw/zinc/06/38/53/340063853.db2.gz NXHVCGCQASUNTH-WOJBJXKFSA-N 0 3 323.484 4.177 20 0 DIADHN CCC[C@H](N[C@@H]1C[C@H](OCC)C12CCCC2)c1ccccn1 ZINC000500645973 340064470 /nfs/dbraw/zinc/06/44/70/340064470.db2.gz DIKVNJPTJOLRHD-KSZLIROESA-N 0 3 302.462 4.250 20 0 DIADHN COC1(C)CCN(Cc2cc(-c3ccccc3)cs2)CC1 ZINC000500718861 340065897 /nfs/dbraw/zinc/06/58/97/340065897.db2.gz CVWPGNIQEGBEQR-UHFFFAOYSA-N 0 3 301.455 4.416 20 0 DIADHN COC1(C)CCN(Cc2ccccc2OC2CCCC2)CC1 ZINC000500760869 340066713 /nfs/dbraw/zinc/06/67/13/340066713.db2.gz AKHQGNFSIPNVHO-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN Cn1cc([C@H](NCCSC2CCCCC2)C(C)(C)C)cn1 ZINC000501005117 340071954 /nfs/dbraw/zinc/07/19/54/340071954.db2.gz DQWWXGOBZTYRIM-INIZCTEOSA-N 0 3 309.523 4.163 20 0 DIADHN COc1ccc2oc([C@H](C)NCCOCC(C)C)c(C)c2c1 ZINC000501025409 340072522 /nfs/dbraw/zinc/07/25/22/340072522.db2.gz RXXAYCLJGWHABT-AWEZNQCLSA-N 0 3 305.418 4.073 20 0 DIADHN C[C@H](CN1CCOC2(CCC2)C1)c1ccc(C(F)(F)F)cc1 ZINC000501032529 340072799 /nfs/dbraw/zinc/07/27/99/340072799.db2.gz OJERTOVHBHXTJQ-CYBMUJFWSA-N 0 3 313.363 4.064 20 0 DIADHN C[C@H](CN1CCO[C@@H]2CCC[C@@H]21)c1ccc(C(F)(F)F)cc1 ZINC000501086212 340074026 /nfs/dbraw/zinc/07/40/26/340074026.db2.gz AMBRZOKGVLGSOD-UHOFOFEASA-N 0 3 313.363 4.062 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000501376221 340079439 /nfs/dbraw/zinc/07/94/39/340079439.db2.gz DMTJJGPOBXJWFF-ASNKMWSDSA-N 0 3 320.436 4.325 20 0 DIADHN Brc1cccc(-c2ccc(CNC3CCC3)o2)c1 ZINC000501378857 340079502 /nfs/dbraw/zinc/07/95/02/340079502.db2.gz SXFYTGCRKFCUKI-UHFFFAOYSA-N 0 3 306.203 4.351 20 0 DIADHN CC(C)OC[C@H](C)NCc1ccc(Br)cc1Cl ZINC000501386745 340079827 /nfs/dbraw/zinc/07/98/27/340079827.db2.gz IYCOXPFPHKCKHN-JTQLQIEISA-N 0 3 320.658 4.006 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NC/C(C)=C\c2ccccc2)c1 ZINC000501405380 340080212 /nfs/dbraw/zinc/08/02/12/340080212.db2.gz KDNLIDYPKKUUDS-IRVMHKCDSA-N 0 3 308.425 4.399 20 0 DIADHN CC(C)OC[C@H](C)N[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000501434374 340080987 /nfs/dbraw/zinc/08/09/87/340080987.db2.gz IVHVRGWJSFMIQV-HZMBPMFUSA-N 0 3 302.245 4.384 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000501434375 340081031 /nfs/dbraw/zinc/08/10/31/340081031.db2.gz IVHVRGWJSFMIQV-IINYFYTJSA-N 0 3 302.245 4.384 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN[C@H](C)c1cnn(C)c1 ZINC000501461261 340081588 /nfs/dbraw/zinc/08/15/88/340081588.db2.gz JVKJJJWVFPPIJM-LLBKUYECSA-N 0 3 323.362 4.193 20 0 DIADHN CCCc1ccccc1NC(=O)NC[C@H](C)N1CCCC[C@H]1C ZINC000501494267 340082176 /nfs/dbraw/zinc/08/21/76/340082176.db2.gz HGZCORDCIXKESI-CVEARBPZSA-N 0 3 317.477 4.024 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000501503228 340082350 /nfs/dbraw/zinc/08/23/50/340082350.db2.gz NRGYVEDENDNSMR-UONOGXRCSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@H](C)N2CCC[C@H](C)C2)cc1 ZINC000501516795 340082488 /nfs/dbraw/zinc/08/24/88/340082488.db2.gz PKHPKHDZICILRX-HOTGVXAUSA-N 0 3 317.477 4.052 20 0 DIADHN CCCn1nccc1C1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000501563563 340083827 /nfs/dbraw/zinc/08/38/27/340083827.db2.gz WYLWAOZFOVDROA-OAHLLOKOSA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)c1nc(CN2CCC[C@H]2Cc2ccccc2)cs1 ZINC000501833366 340089503 /nfs/dbraw/zinc/08/95/03/340089503.db2.gz VZHWMCDQXWBVBA-KRWDZBQOSA-N 0 3 300.471 4.474 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCC[C@@H]3C)cs2)cc1 ZINC000501900413 340090327 /nfs/dbraw/zinc/09/03/27/340090327.db2.gz QGOWVOLMTJDOGW-GUYCJALGSA-N 0 3 316.470 4.487 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCC[C@@H]2C)cs1 ZINC000501912214 340090699 /nfs/dbraw/zinc/09/06/99/340090699.db2.gz AVDMWMPDQGITIU-SWLSCSKDSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@H]1CCC[C@@H]1NCc1nc(-c2c(F)cccc2F)cs1 ZINC000501936783 340091013 /nfs/dbraw/zinc/09/10/13/340091013.db2.gz AZMOTSJSHLLEGG-GWCFXTLKSA-N 0 3 308.397 4.367 20 0 DIADHN C[C@H](NCCCCOc1ccccc1)c1nc2c(s1)CCC2 ZINC000502013802 340092922 /nfs/dbraw/zinc/09/29/22/340092922.db2.gz CLDXJMLDWPFJQP-AWEZNQCLSA-N 0 3 316.470 4.142 20 0 DIADHN Cc1ccsc1CN1CCC(N2CCc3ccccc32)CC1 ZINC000502306518 340099372 /nfs/dbraw/zinc/09/93/72/340099372.db2.gz AWURIFLQVGIXHL-UHFFFAOYSA-N 0 3 312.482 4.084 20 0 DIADHN CCCn1nccc1C1CCN(C/C=C\c2ccccc2)CC1 ZINC000502316614 340099549 /nfs/dbraw/zinc/09/95/49/340099549.db2.gz ZFDVZAFZNYCFFD-TWGQIWQCSA-N 0 3 309.457 4.186 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2coc3ccccc23)cc1 ZINC000516587333 340100184 /nfs/dbraw/zinc/10/01/84/340100184.db2.gz OAZYDJGIUGKWHX-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2CCC(C)(C)c3ccccc32)nn1C ZINC000502366267 340100817 /nfs/dbraw/zinc/10/08/17/340100817.db2.gz OATVSTSACZQCLU-CVEARBPZSA-N 0 3 311.473 4.234 20 0 DIADHN CCCc1ccccc1NC(=O)NCCN1CCCC[C@@H]1CC ZINC000502368059 340100917 /nfs/dbraw/zinc/10/09/17/340100917.db2.gz QYHDBFLCRDOQNQ-KRWDZBQOSA-N 0 3 317.477 4.025 20 0 DIADHN Cc1cc([C@H](C)NCC2(CO)CC(c3ccccc3)C2)oc1C ZINC000502390785 340101375 /nfs/dbraw/zinc/10/13/75/340101375.db2.gz OVOHVAJEFZSVGQ-IJNIYJAUSA-N 0 3 313.441 4.103 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@@H](C)c2cc(C)c(C)o2)cc1 ZINC000502391726 340101614 /nfs/dbraw/zinc/10/16/14/340101614.db2.gz LWBVYNLIELNEOK-IQUTYRLHSA-N 0 3 317.429 4.332 20 0 DIADHN Cc1cc([C@@H](C)NCC(c2ccccc2)c2ccccc2)nn1C ZINC000502413166 340102444 /nfs/dbraw/zinc/10/24/44/340102444.db2.gz GJHYWKNRNBNCTN-QGZVFWFLSA-N 0 3 319.452 4.211 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2CCCN(c3ccccc3)C2)oc1C ZINC000502445404 340103924 /nfs/dbraw/zinc/10/39/24/340103924.db2.gz NSSCUAOCNBQJLA-FUHWJXTLSA-N 0 3 312.457 4.464 20 0 DIADHN Cc1cc([C@H](C)NCCc2ccccc2OC(F)F)oc1C ZINC000502455379 340104319 /nfs/dbraw/zinc/10/43/19/340104319.db2.gz QOXMLILGFMEWSX-LBPRGKRZSA-N 0 3 309.356 4.391 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2ccccc2)C(C)(C)CO)oc1C ZINC000502457383 340104371 /nfs/dbraw/zinc/10/43/71/340104371.db2.gz WTSKOGBFLOVMJD-MAUKXSAKSA-N 0 3 315.457 4.349 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@@H](C)c2cc(C)c(C)o2)cc1 ZINC000502458678 340104546 /nfs/dbraw/zinc/10/45/46/340104546.db2.gz DMOUDDYDQDZPCB-KBPBESRZSA-N 0 3 303.402 4.023 20 0 DIADHN C[C@@H](NCCc1cc(F)ccc1F)c1cnn(C2CCCC2)c1 ZINC000502461650 340104640 /nfs/dbraw/zinc/10/46/40/340104640.db2.gz KOZHTPJHKNGJCZ-CYBMUJFWSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C2(c3ccccc3)CCCC2)nn1C ZINC000502480695 340105514 /nfs/dbraw/zinc/10/55/14/340105514.db2.gz IRBKSCAZVSSQIW-IAGOWNOFSA-N 0 3 311.473 4.280 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(F)cc1 ZINC000502490069 340105930 /nfs/dbraw/zinc/10/59/30/340105930.db2.gz WWKZPXLLDLWZDJ-CRAIPNDOSA-N 0 3 322.468 4.146 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2cn3cc(C)ccc3n2)cc1 ZINC000502551824 340107491 /nfs/dbraw/zinc/10/74/91/340107491.db2.gz ADSWOINWKHEGIQ-HXUWFJFHSA-N 0 3 319.452 4.158 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1cc(F)ccc1F ZINC000502649686 340109582 /nfs/dbraw/zinc/10/95/82/340109582.db2.gz JDKDLIYPDIAMEG-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1ccccc1C(C)C ZINC000502693034 340110838 /nfs/dbraw/zinc/11/08/38/340110838.db2.gz HDJPPPJEYXLZLI-INIZCTEOSA-N 0 3 317.477 4.196 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2ccc(C)cc2)N(C)C)oc1C ZINC000502695004 340111016 /nfs/dbraw/zinc/11/10/16/340111016.db2.gz CLJIGSXDGJHZJM-MAUKXSAKSA-N 0 3 300.446 4.158 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)c2ccc(F)cc2Cl)nn1C ZINC000502710114 340111792 /nfs/dbraw/zinc/11/17/92/340111792.db2.gz UQBIQLWDTWCDEO-GFCCVEGCSA-N 0 3 323.843 4.149 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000502709935 340111797 /nfs/dbraw/zinc/11/17/97/340111797.db2.gz AOZRFUKFBPWHAQ-IHRRRGAJSA-N 0 3 310.507 4.296 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2CCN(c3ccc(F)cc3)C2)oc1C ZINC000502720296 340112067 /nfs/dbraw/zinc/11/20/67/340112067.db2.gz AIVDOKOQKALKTE-GOEBONIOSA-N 0 3 316.420 4.213 20 0 DIADHN CC(C)N(CCC1CC1)Cc1nnc(-c2ccccc2Cl)o1 ZINC000502732399 340112581 /nfs/dbraw/zinc/11/25/81/340112581.db2.gz KIARHGZOWYNUKQ-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN CCN(Cc1ccncc1)[C@@H](C)c1cccc(Br)c1 ZINC000502732534 340112662 /nfs/dbraw/zinc/11/26/62/340112662.db2.gz ZJZBIEAOVZSHAX-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN CC(C)(NCc1ccc2ccccc2c1)C(=O)NCC1CCCC1 ZINC000502744210 340112903 /nfs/dbraw/zinc/11/29/03/340112903.db2.gz PIDXHPMBUQGAOW-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN Cc1nc(CCN2C[C@@H](C)C[C@H]2c2cccc(F)c2)cs1 ZINC000502888188 340115689 /nfs/dbraw/zinc/11/56/89/340115689.db2.gz MIAIPGMFKXAYDZ-SJCJKPOMSA-N 0 3 304.434 4.216 20 0 DIADHN Cc1nc(CCN2CC[C@@H](C)[C@H]2c2cccc(F)c2)cs1 ZINC000502914531 340116601 /nfs/dbraw/zinc/11/66/01/340116601.db2.gz PCRFSDJKSHUULK-PXAZEXFGSA-N 0 3 304.434 4.216 20 0 DIADHN Cc1nc(CCN2CC[C@@H](C)[C@@H]2c2cccc(F)c2)cs1 ZINC000502914533 340116640 /nfs/dbraw/zinc/11/66/40/340116640.db2.gz PCRFSDJKSHUULK-SJKOYZFVSA-N 0 3 304.434 4.216 20 0 DIADHN Cc1sc(CNC[C@@H]2C[C@@H]2c2ccccc2)nc1C(C)C ZINC000503043102 340119532 /nfs/dbraw/zinc/11/95/32/340119532.db2.gz JPNLKALTSYNTKP-JKSUJKDBSA-N 0 3 300.471 4.468 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2C[C@@H]2c2ccccc2)sc1C ZINC000503047250 340119694 /nfs/dbraw/zinc/11/96/94/340119694.db2.gz OEEIWBRFCUYJOX-JKSUJKDBSA-N 0 3 300.471 4.388 20 0 DIADHN c1c(CNC[C@H]2C[C@H]2c2ccccc2)noc1-c1ccccc1 ZINC000503057765 340119839 /nfs/dbraw/zinc/11/98/39/340119839.db2.gz ZAQGAGCUMHMJBA-MJGOQNOKSA-N 0 3 304.393 4.235 20 0 DIADHN c1cncc(-c2cccc(CN(CCOCC3CC3)C3CC3)c2)c1 ZINC000503061005 340119911 /nfs/dbraw/zinc/11/99/11/340119911.db2.gz CZECABHRFSQUKO-UHFFFAOYSA-N 0 3 322.452 4.140 20 0 DIADHN CCCCCn1cc(CNC/C=C/c2ccc(F)cc2)c(C)n1 ZINC000503245327 340123855 /nfs/dbraw/zinc/12/38/55/340123855.db2.gz MDZBRKALEHWQFI-VOTSOKGWSA-N 0 3 315.436 4.324 20 0 DIADHN CCc1cccc(OCCN2CCC(OC3CCCC3)CC2)c1 ZINC000503542504 340130036 /nfs/dbraw/zinc/13/00/36/340130036.db2.gz XGJBOYOJCIPTTF-UHFFFAOYSA-N 0 3 317.473 4.051 20 0 DIADHN CC[C@@H]1C[C@@H](CNC2(c3nc(C)cs3)CCCC2)CCO1 ZINC000503553102 340130260 /nfs/dbraw/zinc/13/02/60/340130260.db2.gz XMCLJWAPNVRKNC-LSDHHAIUSA-N 0 3 308.491 4.016 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1CCO[C@H]1C1CC1 ZINC000503558261 340130325 /nfs/dbraw/zinc/13/03/25/340130325.db2.gz PMFHOUCUCCQDLU-UXHICEINSA-N 0 3 311.400 4.150 20 0 DIADHN CC[C@@H]1C[C@@H](CNC2(c3ccc(F)cc3F)CCC2)CCO1 ZINC000503572607 340130974 /nfs/dbraw/zinc/13/09/74/340130974.db2.gz GUFFUPYMDGKEEV-DZGCQCFKSA-N 0 3 309.400 4.139 20 0 DIADHN CC[C@@H]1C[C@H](CNC2(c3ccc(F)cc3F)CCC2)CCO1 ZINC000503572610 340131001 /nfs/dbraw/zinc/13/10/01/340131001.db2.gz GUFFUPYMDGKEEV-UKRRQHHQSA-N 0 3 309.400 4.139 20 0 DIADHN C[C@@H](Cc1cnccn1)NCc1ccc(-c2ccccc2)cc1F ZINC000503581624 340131558 /nfs/dbraw/zinc/13/15/58/340131558.db2.gz PPRPEXXIAIPVNO-HNNXBMFYSA-N 0 3 321.399 4.004 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2)cc1 ZINC000503587397 340131770 /nfs/dbraw/zinc/13/17/70/340131770.db2.gz TVYSZKQQWIXKBZ-ZIAGYGMSSA-N 0 3 314.454 4.086 20 0 DIADHN Oc1ccc(CCNCc2ccc(Oc3ccccc3)o2)cc1 ZINC000503591774 340131823 /nfs/dbraw/zinc/13/18/23/340131823.db2.gz JORLIIYUNXQKCN-UHFFFAOYSA-N 0 3 309.365 4.110 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC[C@H](c2ccccc2F)C1 ZINC000503588683 340131922 /nfs/dbraw/zinc/13/19/22/340131922.db2.gz LHXZEEAAQHLUDJ-HNNXBMFYSA-N 0 3 321.399 4.067 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1ccc(-c2ccccc2)cc1F ZINC000503593010 340131980 /nfs/dbraw/zinc/13/19/80/340131980.db2.gz SFGOHUYZAVBLSF-KXBFYZLASA-N 0 3 315.432 4.379 20 0 DIADHN COCCC1(CNCc2cc(Cl)sc2Cl)CCC1 ZINC000503597500 340132141 /nfs/dbraw/zinc/13/21/41/340132141.db2.gz INJUEHDVVDCERJ-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN CC[C@H]1C[C@@H](CNCc2cc(Cl)sc2Cl)CCO1 ZINC000503596489 340132188 /nfs/dbraw/zinc/13/21/88/340132188.db2.gz APISPTHEANRKDW-ONGXEEELSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccc2ccccc2n1 ZINC000503864937 340135405 /nfs/dbraw/zinc/13/54/05/340135405.db2.gz WPIXWWCCBUTKDC-CSMYWGQOSA-N 0 3 320.383 4.436 20 0 DIADHN COC1CCN(C/C(C)=C/c2ccccc2C(F)(F)F)CC1 ZINC000503894119 340136043 /nfs/dbraw/zinc/13/60/43/340136043.db2.gz QTXKNLRDFGMCOD-ACCUITESSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cccc(F)c1F ZINC000503910238 340136442 /nfs/dbraw/zinc/13/64/42/340136442.db2.gz LGWLBNTVTKBPGV-OASPWFOLSA-N 0 3 305.315 4.166 20 0 DIADHN COC1(CNCc2ccc(-c3ccccc3Cl)o2)CCC1 ZINC000503918218 340136790 /nfs/dbraw/zinc/13/67/90/340136790.db2.gz ARJDAGKRFNDURC-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccccc1OC(F)F ZINC000503948992 340138179 /nfs/dbraw/zinc/13/81/79/340138179.db2.gz QPTAECQULHFVDQ-IRUJWGPZSA-N 0 3 321.314 4.099 20 0 DIADHN COc1ccc([C@@H](C)NCCc2cccc3[nH]ccc32)c(F)c1 ZINC000503950922 340138348 /nfs/dbraw/zinc/13/83/48/340138348.db2.gz DHWLDCUZGUGILS-CYBMUJFWSA-N 0 3 312.388 4.209 20 0 DIADHN C[C@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1nc2c(s1)CCC2 ZINC000503953786 340138456 /nfs/dbraw/zinc/13/84/56/340138456.db2.gz QSLBYUWEPLAUHW-HZMBPMFUSA-N 0 3 318.873 4.103 20 0 DIADHN CCCCN(Cc1cccc(C(=O)NC)c1)[C@@H](C)c1ccccc1 ZINC000504126044 340141246 /nfs/dbraw/zinc/14/12/46/340141246.db2.gz UQKDDNTYIAZTKB-KRWDZBQOSA-N 0 3 324.468 4.410 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NC(=O)CCCC(C)(C)C)C1 ZINC000504286816 340144439 /nfs/dbraw/zinc/14/44/39/340144439.db2.gz VSANROTYPSIMDW-AEFFLSMTSA-N 0 3 316.489 4.155 20 0 DIADHN CC[C@H](CC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000504319286 340144887 /nfs/dbraw/zinc/14/48/87/340144887.db2.gz YQJMHQYPNRBPGH-QGZVFWFLSA-N 0 3 310.441 4.271 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H](C)c3c2cccc3C)c(OC)c1 ZINC000504912106 340155758 /nfs/dbraw/zinc/15/57/58/340155758.db2.gz QIDCNOMEWLSMNZ-KBXCAEBGSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCCC(=O)Nc1ccccc1 ZINC000504925275 340156413 /nfs/dbraw/zinc/15/64/13/340156413.db2.gz AKUFMWPWYMAUPV-CRAIPNDOSA-N 0 3 308.425 4.162 20 0 DIADHN Cc1ccc(-c2nn(-c3ccccc3)cc2CN2CCCC2)o1 ZINC000505312928 340166004 /nfs/dbraw/zinc/16/60/04/340166004.db2.gz BWTSABHHJJCQMW-UHFFFAOYSA-N 0 3 307.397 4.037 20 0 DIADHN c1csc(-c2nn(-c3ccccc3)cc2CN2CCCC2)c1 ZINC000505349376 340166766 /nfs/dbraw/zinc/16/67/66/340166766.db2.gz KMZYGLZVGMOQTI-UHFFFAOYSA-N 0 3 309.438 4.197 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1ccc(N2CCCC2)nc1 ZINC000505455063 340168866 /nfs/dbraw/zinc/16/88/66/340168866.db2.gz FVXCCGSRVGRRTO-UHFFFAOYSA-N 0 3 323.484 4.483 20 0 DIADHN FC(F)(F)COCCN1CCCCC[C@H]1c1ccccc1 ZINC000505460705 340168880 /nfs/dbraw/zinc/16/88/80/340168880.db2.gz FEPRNWXZHQCCEK-HNNXBMFYSA-N 0 3 301.352 4.183 20 0 DIADHN COc1ccccc1CCCN[C@H](C)c1ccc(F)cc1F ZINC000505457885 340168882 /nfs/dbraw/zinc/16/88/82/340168882.db2.gz OZBJRGKHHCIPJE-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN COc1ccccc1CCCN[C@H](C)c1c(F)cccc1OC ZINC000505472328 340169210 /nfs/dbraw/zinc/16/92/10/340169210.db2.gz RWYQHGPEGKVCJY-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cnc2c(cnn2C(C)C)c1 ZINC000505602755 340172355 /nfs/dbraw/zinc/17/23/55/340172355.db2.gz BFGUEPOYUDQZMG-UHFFFAOYSA-N 0 3 322.456 4.424 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)c(OC(F)F)c1)C(F)(F)F ZINC000505668501 340174791 /nfs/dbraw/zinc/17/47/91/340174791.db2.gz HSQJXRANQMVBQX-RXMQYKEDSA-N 0 3 317.641 4.078 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccc(F)cc1)Cc1ccccc1 ZINC000505858734 340179961 /nfs/dbraw/zinc/17/99/61/340179961.db2.gz JKANENSQVFGDTI-UHFFFAOYSA-N 0 3 309.388 4.238 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccccc1N1CCCC1 ZINC000506011980 340183360 /nfs/dbraw/zinc/18/33/60/340183360.db2.gz JHMMDCJZJSHNLM-UHFFFAOYSA-N 0 3 300.471 4.289 20 0 DIADHN COCCCOc1cccc(CN(C)Cc2sccc2C)c1 ZINC000506029876 340183709 /nfs/dbraw/zinc/18/37/09/340183709.db2.gz GHISXGNLBIPPNQ-UHFFFAOYSA-N 0 3 319.470 4.104 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1cccc(OC(F)(F)F)c1 ZINC000506045217 340184223 /nfs/dbraw/zinc/18/42/23/340184223.db2.gz AFZGVZVJCVZCBM-MRXNPFEDSA-N 0 3 324.346 4.261 20 0 DIADHN COc1cc(CN2CCC[C@H]2c2cccs2)cc(OC)c1 ZINC000506085626 340184863 /nfs/dbraw/zinc/18/48/63/340184863.db2.gz IUBAMYMMEDAIKV-INIZCTEOSA-N 0 3 303.427 4.102 20 0 DIADHN c1cnn(-c2cccc(CN3CCC[C@H]3c3cccs3)c2)c1 ZINC000506099755 340185550 /nfs/dbraw/zinc/18/55/50/340185550.db2.gz PYVVPSPKJVADBS-KRWDZBQOSA-N 0 3 309.438 4.271 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3ccccc3c2)cc1O ZINC000506114637 340185875 /nfs/dbraw/zinc/18/58/75/340185875.db2.gz SUUSWSUFBIHNBE-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2cccs2)cc1OC ZINC000506114968 340185883 /nfs/dbraw/zinc/18/58/83/340185883.db2.gz WBVVHORKLYBVGV-OAHLLOKOSA-N 0 3 317.454 4.411 20 0 DIADHN CC(=O)Nc1ccc(CN(C)Cc2ccc3ccccc3c2)cc1 ZINC000506111568 340185992 /nfs/dbraw/zinc/18/59/92/340185992.db2.gz BZSAKFHBXNXWDC-UHFFFAOYSA-N 0 3 318.420 4.430 20 0 DIADHN CCn1cc(CN2CCCCC[C@H]2c2ccc(OC)cc2)cn1 ZINC000506128851 340186455 /nfs/dbraw/zinc/18/64/55/340186455.db2.gz MGXLNLYNVYULTE-IBGZPJMESA-N 0 3 313.445 4.029 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000506175710 340187630 /nfs/dbraw/zinc/18/76/30/340187630.db2.gz IBCBXALFBMXWKF-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000506195264 340188359 /nfs/dbraw/zinc/18/83/59/340188359.db2.gz SITILUTYMTWPHA-CVEARBPZSA-N 0 3 321.848 4.066 20 0 DIADHN Fc1cc(Cl)cc(CNCCc2nc3ccccc3s2)c1 ZINC000506205715 340188585 /nfs/dbraw/zinc/18/85/85/340188585.db2.gz HLAPSDQVJUAVSI-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN CCCCCOc1cc(CN(C)[C@H]2CCSC2)ccc1OC ZINC000506204924 340188702 /nfs/dbraw/zinc/18/87/02/340188702.db2.gz QTCVAIWBKAMAID-INIZCTEOSA-N 0 3 323.502 4.202 20 0 DIADHN CN(Cc1ccc(Cl)c(C(F)(F)F)c1)[C@@H]1CCSC1 ZINC000506215885 340188968 /nfs/dbraw/zinc/18/89/68/340188968.db2.gz DMRGPJMSPJUIGA-SNVBAGLBSA-N 0 3 309.784 4.296 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)[C@H]2CCSC2)o1 ZINC000506230126 340189472 /nfs/dbraw/zinc/18/94/72/340189472.db2.gz ARTOPUWZHRQOMA-ZDUSSCGKSA-N 0 3 305.418 4.331 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@H](C)C3CCCC3)n2)ccc1F ZINC000506255335 340190113 /nfs/dbraw/zinc/19/01/13/340190113.db2.gz RGLUQUCTXAKMDS-CHWSQXEVSA-N 0 3 317.408 4.413 20 0 DIADHN COc1ccc([C@H](NCCCCF)c2ccc(F)cc2)cc1 ZINC000506258635 340190221 /nfs/dbraw/zinc/19/02/21/340190221.db2.gz JTIPKLFARFHVJI-GOSISDBHSA-N 0 3 305.368 4.263 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN1CCC[C@H]1c1ccccc1 ZINC000506271146 340190683 /nfs/dbraw/zinc/19/06/83/340190683.db2.gz VHOHOHLBEQIVFZ-IBGZPJMESA-N 0 3 303.409 4.414 20 0 DIADHN COC1CCN(Cc2cccc(OCC3CCCCC3)c2)CC1 ZINC000506269025 340190714 /nfs/dbraw/zinc/19/07/14/340190714.db2.gz MKISPKODIZEQKT-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN Brc1ccc(CNCCCC2CCCCC2)nc1 ZINC000506286578 340191174 /nfs/dbraw/zinc/19/11/74/340191174.db2.gz SVDODOHNOKICNU-UHFFFAOYSA-N 0 3 311.267 4.294 20 0 DIADHN C[C@@H](CNCc1ccccc1OCC1CC1)Oc1ccccc1 ZINC000506293081 340191400 /nfs/dbraw/zinc/19/14/00/340191400.db2.gz QOBNSBQFDNUYDP-INIZCTEOSA-N 0 3 311.425 4.033 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccccc3)CC2)ccc1F ZINC000506311642 340191828 /nfs/dbraw/zinc/19/18/28/340191828.db2.gz FHNZDJNTVQEZJY-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CN(Cc1ccccc1)C1CC1 ZINC000506353435 340193174 /nfs/dbraw/zinc/19/31/74/340193174.db2.gz OKAFFANNLHWAMQ-UHFFFAOYSA-N 0 3 321.399 4.380 20 0 DIADHN COc1cc(CN(C)Cc2ccc3ccccc3c2)ccc1O ZINC000506366984 340193471 /nfs/dbraw/zinc/19/34/71/340193471.db2.gz BRSVLJKENFWQBO-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN CN(Cc1cccc2c[nH]nc21)Cc1ccccc1C(F)(F)F ZINC000506386171 340193892 /nfs/dbraw/zinc/19/38/92/340193892.db2.gz NQWMACIMQRMTCM-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Cn1nccc1-c1ccccc1CN1CC[C@H]1c1ccccc1 ZINC000506819228 340202283 /nfs/dbraw/zinc/20/22/83/340202283.db2.gz KMJGRCCTZZHSDG-IBGZPJMESA-N 0 3 303.409 4.034 20 0 DIADHN Cc1nccc(CN(Cc2ccc(F)cc2)C2CCCCC2)n1 ZINC000506823548 340202459 /nfs/dbraw/zinc/20/24/59/340202459.db2.gz ZPVIUWMNPIAXOR-UHFFFAOYSA-N 0 3 313.420 4.259 20 0 DIADHN Fc1ccc([C@@H](NCCC[C@H]2CCOC2)c2ccccc2)cc1 ZINC000402077545 340202468 /nfs/dbraw/zinc/20/24/68/340202468.db2.gz SQLKBOCMDRVETL-JXFKEZNVSA-N 0 3 313.416 4.321 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@@H](C)c2ccc(C)cc2C)c1 ZINC000506890435 340203184 /nfs/dbraw/zinc/20/31/84/340203184.db2.gz RBFBQMRGUUPOPN-INIZCTEOSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)CCC(=O)Nc1ccccc1 ZINC000506945637 340204163 /nfs/dbraw/zinc/20/41/63/340204163.db2.gz IPLHTEKVFKIYTC-OAHLLOKOSA-N 0 3 322.408 4.454 20 0 DIADHN COc1cc(C)c(CN(Cc2cccs2)C(C)C)cc1OC ZINC000507002033 340205132 /nfs/dbraw/zinc/20/51/32/340205132.db2.gz OBCRMQJDFSXICE-UHFFFAOYSA-N 0 3 319.470 4.484 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3ccccc3)C2)n1-c1ccccc1 ZINC000507063462 340206335 /nfs/dbraw/zinc/20/63/35/340206335.db2.gz DFKYDWMJPMOZOT-LJQANCHMSA-N 0 3 317.436 4.170 20 0 DIADHN CC(C)(C)n1cc(CN2CCC[C@@H]2CCc2ccccc2)cn1 ZINC000507116008 340207896 /nfs/dbraw/zinc/20/78/96/340207896.db2.gz NLSPBYQDOKIZJZ-LJQANCHMSA-N 0 3 311.473 4.235 20 0 DIADHN CCN(Cc1ccc(N2CCCC2)nc1)Cc1ccccc1C ZINC000507116181 340208221 /nfs/dbraw/zinc/20/82/21/340208221.db2.gz OHOLYWHKYKGXIL-UHFFFAOYSA-N 0 3 309.457 4.012 20 0 DIADHN CCN(Cc1nc2cc(C(F)(F)F)ccc2n1C)CC(C)C ZINC000507133213 340208936 /nfs/dbraw/zinc/20/89/36/340208936.db2.gz UAACPCGNCFHYIM-UHFFFAOYSA-N 0 3 313.367 4.070 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2c2ccccc2C)c1OC ZINC000507152592 340209794 /nfs/dbraw/zinc/20/97/94/340209794.db2.gz WNBPBUHYDWGCBG-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H]1c1cccc(C)c1 ZINC000507157845 340210000 /nfs/dbraw/zinc/21/00/00/340210000.db2.gz CIHTUWIPDGGSCR-HXUWFJFHSA-N 0 3 311.473 4.190 20 0 DIADHN CCc1ncc(CN2CCC[C@@H]2CCc2ccccc2)s1 ZINC000507173912 340211014 /nfs/dbraw/zinc/21/10/14/340211014.db2.gz PMHPLTHPWMRIMM-MRXNPFEDSA-N 0 3 300.471 4.303 20 0 DIADHN COCCN(Cc1c(OC(F)F)ccc2ccccc21)C1CC1 ZINC000507173493 340211127 /nfs/dbraw/zinc/21/11/27/340211127.db2.gz NHOUXTJKEOSGKM-UHFFFAOYSA-N 0 3 321.367 4.052 20 0 DIADHN CC(=O)c1ccccc1OCCN1CC[C@H](c2ccccc2C)C1 ZINC000507184896 340211832 /nfs/dbraw/zinc/21/18/32/340211832.db2.gz UZKWHAKAWBMGHR-SFHVURJKSA-N 0 3 323.436 4.066 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CN(C)[C@H](C)C(C)C ZINC000507204087 340213327 /nfs/dbraw/zinc/21/33/27/340213327.db2.gz PKFYSBXUYZOACE-CQSZACIVSA-N 0 3 303.425 4.105 20 0 DIADHN Cc1nc2ccccn2c1CN(C)[C@@H](C)c1cc(C)ccc1C ZINC000507217691 340214117 /nfs/dbraw/zinc/21/41/17/340214117.db2.gz XQLXCKWJIRTOEW-KRWDZBQOSA-N 0 3 307.441 4.453 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCc3c2cccc3F)cc1 ZINC000507217804 340214225 /nfs/dbraw/zinc/21/42/25/340214225.db2.gz YDPYEIXOQJDYBR-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN Cc1nccc(CN2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)n1 ZINC000507221687 340214474 /nfs/dbraw/zinc/21/44/74/340214474.db2.gz MWLDZXSPXJGDNV-LJQANCHMSA-N 0 3 309.457 4.420 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NCC1(c2ccccn2)CCC1 ZINC000507251104 340215648 /nfs/dbraw/zinc/21/56/48/340215648.db2.gz GAPZIJSZHGPCLY-MRXNPFEDSA-N 0 3 314.379 4.059 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1cnccn1)c1ccc(Cl)cc1 ZINC000507267386 340216227 /nfs/dbraw/zinc/21/62/27/340216227.db2.gz LVPVGYONEIBNMH-XJKSGUPXSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@@H]1COc2ccccc21 ZINC000162956739 340216948 /nfs/dbraw/zinc/21/69/48/340216948.db2.gz SCVAMYZPFCQEGZ-OZVIIMIRSA-N 0 3 303.352 4.180 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1ccccc1F)c1ccco1 ZINC000507406284 340218633 /nfs/dbraw/zinc/21/86/33/340218633.db2.gz ROMIYVFGJHPOBD-PBHICJAKSA-N 0 3 305.393 4.107 20 0 DIADHN COc1ccccc1[C@H](C)NCCc1cc(F)c(F)c(F)c1 ZINC000507423414 340219120 /nfs/dbraw/zinc/21/91/20/340219120.db2.gz DADHAHDPCMQFEA-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN(C)[C@@H](C)C1CC1 ZINC000507432578 340219283 /nfs/dbraw/zinc/21/92/83/340219283.db2.gz IJAUHYZLTQJQKK-INIZCTEOSA-N 0 3 311.473 4.087 20 0 DIADHN COC1(CN[C@@H]2CCc3cc(Cl)cc(Cl)c32)CCC1 ZINC000507447537 340220020 /nfs/dbraw/zinc/22/00/20/340220020.db2.gz WVKVZAQTDOHOJD-CYBMUJFWSA-N 0 3 300.229 4.139 20 0 DIADHN COC1(CN[C@@H]2CC3(CCCCC3)Oc3ccccc32)CCC1 ZINC000507446571 340219978 /nfs/dbraw/zinc/21/99/78/340219978.db2.gz FHAOIDJYUGUKMT-QGZVFWFLSA-N 0 3 315.457 4.372 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1ccc(C)cc1)c1ccco1 ZINC000507452411 340220329 /nfs/dbraw/zinc/22/03/29/340220329.db2.gz WVBYIBNBQMPIJS-FUHWJXTLSA-N 0 3 301.430 4.277 20 0 DIADHN CCN(CC)C(=O)[C@@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000507464962 340220851 /nfs/dbraw/zinc/22/08/51/340220851.db2.gz PCJBHSQWJIEWFQ-LSDHHAIUSA-N 0 3 322.518 4.095 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)c1cccc(-n2cccn2)c1 ZINC000507469065 340221147 /nfs/dbraw/zinc/22/11/47/340221147.db2.gz JEVKIDZYXQAUPS-CVEARBPZSA-N 0 3 320.440 4.242 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000507469805 340221175 /nfs/dbraw/zinc/22/11/75/340221175.db2.gz LFLGZSMWZKSQHI-ZIAGYGMSSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000507469068 340221196 /nfs/dbraw/zinc/22/11/96/340221196.db2.gz JEVKIDZYXQAUPS-JKSUJKDBSA-N 0 3 320.440 4.242 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCSc1ccccc1 ZINC000507470858 340221297 /nfs/dbraw/zinc/22/12/97/340221297.db2.gz OBGHRZLJLDSKCP-OAHLLOKOSA-N 0 3 300.471 4.477 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000507472667 340221528 /nfs/dbraw/zinc/22/15/28/340221528.db2.gz RNXDPKYLOOFCEJ-KBPBESRZSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@H](C)NCCOc1cccc(Cl)c1 ZINC000507473622 340221543 /nfs/dbraw/zinc/22/15/43/340221543.db2.gz VRICSPBDSBXUNZ-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1cccc(F)c1F ZINC000507477934 340221771 /nfs/dbraw/zinc/22/17/71/340221771.db2.gz LVTUUOYBIOYCLR-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CCc1cccnc1[C@H](C)NCC(C)(C)c1ccc(F)cc1 ZINC000507487939 340222361 /nfs/dbraw/zinc/22/23/61/340222361.db2.gz SNFAGVGHNVTXFX-AWEZNQCLSA-N 0 3 300.421 4.412 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(SCC)cc1 ZINC000507506960 340223258 /nfs/dbraw/zinc/22/32/58/340223258.db2.gz GCIDRNQDDYOQGE-SOUVJXGZSA-N 0 3 322.518 4.143 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(SCC(F)F)cc1)OC ZINC000516605717 340223593 /nfs/dbraw/zinc/22/35/93/340223593.db2.gz QWWAWLGCGFXBAP-WCQYABFASA-N 0 3 303.418 4.119 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC(C)(C)c1cccc(OC)c1 ZINC000507517615 340223892 /nfs/dbraw/zinc/22/38/92/340223892.db2.gz YPCBUQUFXINTEQ-OAHLLOKOSA-N 0 3 312.457 4.281 20 0 DIADHN CCc1cccnc1[C@H](C)NCCOc1ccccc1Cl ZINC000507519233 340223898 /nfs/dbraw/zinc/22/38/98/340223898.db2.gz HSMFPPCWBBQLDR-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1ccc2ccccc2n1 ZINC000507521693 340224215 /nfs/dbraw/zinc/22/42/15/340224215.db2.gz ZYJMQUORPKYGBQ-OAHLLOKOSA-N 0 3 305.425 4.086 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCc1c[nH]c2ccccc12 ZINC000507521087 340224260 /nfs/dbraw/zinc/22/42/60/340224260.db2.gz VPDWMUMQPNTOOV-HNNXBMFYSA-N 0 3 307.441 4.409 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)Sc1ccccc1 ZINC000507533849 340224615 /nfs/dbraw/zinc/22/46/15/340224615.db2.gz UIPUNYAVRLSLHW-HUUCEWRRSA-N 0 3 300.471 4.475 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000507601669 340225906 /nfs/dbraw/zinc/22/59/06/340225906.db2.gz PDGGNJIESJWBTM-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@H]1C[C@H](CN(Cc2ccco2)Cc2ccccc2)CCO1 ZINC000507620396 340226468 /nfs/dbraw/zinc/22/64/68/340226468.db2.gz NCGBBGPMKGNDPX-MOPGFXCFSA-N 0 3 313.441 4.487 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccc(C(C)(C)C)cc1)c1ccncc1 ZINC000507619465 340226565 /nfs/dbraw/zinc/22/65/65/340226565.db2.gz HEGKQDNFCZBEQS-JKSUJKDBSA-N 0 3 312.457 4.497 20 0 DIADHN CC[C@@H]1C[C@@H](CN(Cc2ccc(F)cc2F)C2CC2)CCO1 ZINC000507622850 340226748 /nfs/dbraw/zinc/22/67/48/340226748.db2.gz TWJMNTMCTIMGPJ-SUMWQHHRSA-N 0 3 309.400 4.134 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnn(C)c1)c1cc(Cl)ccc1Cl ZINC000507660548 340227457 /nfs/dbraw/zinc/22/74/57/340227457.db2.gz NSUGNYFOIKXKOV-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@@H](C)N(CC(=O)N1[C@H](C)CCC[C@@H]1C)Cc1ccccc1 ZINC000507691922 340228372 /nfs/dbraw/zinc/22/83/72/340228372.db2.gz GHIYYCQUJLKFCJ-KURKYZTESA-N 0 3 316.489 4.077 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC(n2cc(Cl)cn2)CC1 ZINC000507694884 340228496 /nfs/dbraw/zinc/22/84/96/340228496.db2.gz OEJZXZAWXMATTQ-PTNGSMBKSA-N 0 3 315.848 4.277 20 0 DIADHN C[C@H]1C[C@H](CN2CC=C(c3ccccc3Cl)CC2)CCO1 ZINC000507693248 340228549 /nfs/dbraw/zinc/22/85/49/340228549.db2.gz YHARRBUVWDLOGF-LSDHHAIUSA-N 0 3 305.849 4.244 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@@H](C)c2cc(C)ccc2C)c1 ZINC000507707265 340228906 /nfs/dbraw/zinc/22/89/06/340228906.db2.gz DNCMJMPZZIGGQM-INIZCTEOSA-N 0 3 310.441 4.455 20 0 DIADHN COc1ccccc1/C=C/CN1CC[C@H](COc2ccccc2)C1 ZINC000507713960 340229248 /nfs/dbraw/zinc/22/92/48/340229248.db2.gz MYBBEEOPMSRJCV-KOEDOTQGSA-N 0 3 323.436 4.109 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000507780354 340231096 /nfs/dbraw/zinc/23/10/96/340231096.db2.gz WJTDQFSPZHTTPJ-UHFFFAOYSA-N 0 3 313.416 4.230 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2N[C@H]1CCOC2(CCCCC2)C1 ZINC000507790533 340231649 /nfs/dbraw/zinc/23/16/49/340231649.db2.gz AUMDQNZKYVUDKP-GUYCJALGSA-N 0 3 321.411 4.424 20 0 DIADHN C[C@]1(CN[C@H]2CCc3c2cc(Cl)cc3Cl)CCCO1 ZINC000507813852 340232909 /nfs/dbraw/zinc/23/29/09/340232909.db2.gz UCSSXOUGASMTBR-LSDHHAIUSA-N 0 3 300.229 4.139 20 0 DIADHN C[C@@H](CN[C@@H]1CCc2c1c(F)ccc2F)Oc1ccccc1 ZINC000507831883 340233574 /nfs/dbraw/zinc/23/35/74/340233574.db2.gz UQMUDECJGNMHMG-YVEFUNNKSA-N 0 3 303.352 4.009 20 0 DIADHN CCOc1cc(CNCc2ccc(Cl)c(C)c2)ccc1O ZINC000507855053 340234166 /nfs/dbraw/zinc/23/41/66/340234166.db2.gz JOZKUQPXNURREX-UHFFFAOYSA-N 0 3 305.805 4.043 20 0 DIADHN CC(C)[C@@H](CN[C@@H]1CCc2c1c(F)ccc2F)c1cccnc1 ZINC000507869727 340234732 /nfs/dbraw/zinc/23/47/32/340234732.db2.gz DETGNNHNPPNDGL-CRAIPNDOSA-N 0 3 316.395 4.377 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1cnn2ccccc12)\c1ccccc1 ZINC000507882996 340235217 /nfs/dbraw/zinc/23/52/17/340235217.db2.gz SCXSLBOCFIUEKF-YAINUAMHSA-N 0 3 303.409 4.012 20 0 DIADHN Cc1ccc(OCCCNCc2ccccc2OC(F)F)cc1 ZINC000507933161 340236094 /nfs/dbraw/zinc/23/60/94/340236094.db2.gz RFHVKONCLWJNPT-UHFFFAOYSA-N 0 3 321.367 4.155 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1[C@H](C)CC[C@@H]1C ZINC000507959690 340236526 /nfs/dbraw/zinc/23/65/26/340236526.db2.gz YXRZSOKXBXQZMG-AXFHLTTASA-N 0 3 315.244 4.193 20 0 DIADHN Cc1cc(Cl)c(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000507961714 340236598 /nfs/dbraw/zinc/23/65/98/340236598.db2.gz MEROMTJTMDCWPF-ZCFIWIBFSA-N 0 3 300.107 4.439 20 0 DIADHN CC[C@H](CNCc1ccc(Br)o1)CC(F)(F)F ZINC000402457780 340236765 /nfs/dbraw/zinc/23/67/65/340236765.db2.gz KPZMXOSXTYMLKD-QMMMGPOBSA-N 0 3 314.145 4.110 20 0 DIADHN COc1ccc(CN(C2CC2)[C@@H]2CCCc3ccccc32)cc1O ZINC000507995654 340237035 /nfs/dbraw/zinc/23/70/35/340237035.db2.gz VRKINUSWJXOIER-LJQANCHMSA-N 0 3 323.436 4.443 20 0 DIADHN COCCN(Cc1cccc(COC)c1)[C@@H](C)c1ccccc1 ZINC000508517678 340245499 /nfs/dbraw/zinc/24/54/99/340245499.db2.gz AYPZJFWCQSADQT-KRWDZBQOSA-N 0 3 313.441 4.043 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2cnn(CC(F)F)c2)cc1 ZINC000508563243 340246652 /nfs/dbraw/zinc/24/66/52/340246652.db2.gz ZIYAASYVRFBNMD-KRWDZBQOSA-N 0 3 319.399 4.184 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1C[C@H]2CCC[C@@H]2C1 ZINC000508594478 340247242 /nfs/dbraw/zinc/24/72/42/340247242.db2.gz JJUNCGLNYWTUIL-ZIAGYGMSSA-N 0 3 300.427 4.058 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC(C(F)F)CC1 ZINC000508608129 340247961 /nfs/dbraw/zinc/24/79/61/340247961.db2.gz GUBVCMJYWMCLHN-SDNWHVSQSA-N 0 3 309.400 4.213 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1ccc(C(=O)OC)o1 ZINC000508616084 340248304 /nfs/dbraw/zinc/24/83/04/340248304.db2.gz BESCNLWMAXDZCJ-MRXNPFEDSA-N 0 3 301.386 4.039 20 0 DIADHN CCN(Cc1cccc(COC)c1)[C@H](C)c1cccc(OC)c1 ZINC000508662938 340249952 /nfs/dbraw/zinc/24/99/52/340249952.db2.gz OIHSUJUUEKMIDJ-MRXNPFEDSA-N 0 3 313.441 4.425 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnc2nc(C)cc(C)n12 ZINC000508673808 340250247 /nfs/dbraw/zinc/25/02/47/340250247.db2.gz XLHMYZKVCVAXHU-IBGZPJMESA-N 0 3 322.456 4.319 20 0 DIADHN Fc1ccc(CN(Cc2ccc(F)cc2)C2CCOCC2)cc1 ZINC000508695552 340250892 /nfs/dbraw/zinc/25/08/92/340250892.db2.gz QWWCRZIHMYKJAB-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)NCc1cn2ccccc2n1 ZINC000508724322 340251658 /nfs/dbraw/zinc/25/16/58/340251658.db2.gz QHZWEOJDJPUOGJ-MRXNPFEDSA-N 0 3 313.832 4.099 20 0 DIADHN CC[C@H](CNCc1nn(CC)c2ccccc12)CC(F)(F)F ZINC000402656033 340252326 /nfs/dbraw/zinc/25/23/26/340252326.db2.gz RZHLGBBYNKJNPA-LBPRGKRZSA-N 0 3 313.367 4.124 20 0 DIADHN CCN(Cc1ccc(C(=O)N(CC)c2ccccc2)cc1)C1CC1 ZINC000508769947 340252939 /nfs/dbraw/zinc/25/29/39/340252939.db2.gz RQZHQYWUUZBZJX-UHFFFAOYSA-N 0 3 322.452 4.338 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000508872097 340254869 /nfs/dbraw/zinc/25/48/69/340254869.db2.gz FMWDCVYFWAHZOA-LKJUBWIJSA-N 0 3 321.420 4.308 20 0 DIADHN C[C@H](F)CCN[C@@H](COCc1ccccc1)c1ccccc1 ZINC000508966760 340256494 /nfs/dbraw/zinc/25/64/94/340256494.db2.gz XNNBUBUYWOKOAI-LPHOPBHVSA-N 0 3 301.405 4.282 20 0 DIADHN C[C@@H](C1CCC1)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000508978593 340256903 /nfs/dbraw/zinc/25/69/03/340256903.db2.gz QFBDAWMWEAEXAC-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H](F)CCN[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000508991618 340257316 /nfs/dbraw/zinc/25/73/16/340257316.db2.gz RZETWQFYORQMSY-CXAGYDPISA-N 0 3 306.812 4.357 20 0 DIADHN Cc1nccc(CN(Cc2ccccc2)[C@@H]2CCCC2(C)C)n1 ZINC000509022040 340258424 /nfs/dbraw/zinc/25/84/24/340258424.db2.gz JSFBEFBKCZJHIN-LJQANCHMSA-N 0 3 309.457 4.366 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1nc2cc(Br)ccc2o1 ZINC000509023225 340258539 /nfs/dbraw/zinc/25/85/39/340258539.db2.gz VIYPGJWJGJXFEZ-NXEZZACHSA-N 0 3 311.223 4.115 20 0 DIADHN Cc1nccc(CN2CCCCC[C@H]2/C=C\c2ccccc2)n1 ZINC000509040341 340259324 /nfs/dbraw/zinc/25/93/24/340259324.db2.gz SQXWQXYJGVWHIU-DUQGCJEPSA-N 0 3 307.441 4.243 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCOc2cccc(F)c2)cc1 ZINC000509055485 340259760 /nfs/dbraw/zinc/25/97/60/340259760.db2.gz VATRLQVSMREEOI-IBGZPJMESA-N 0 3 315.388 4.050 20 0 DIADHN Cc1nccc(CN2CCCC[C@H]2CCCc2ccccc2)n1 ZINC000509099671 340261374 /nfs/dbraw/zinc/26/13/74/340261374.db2.gz YRQFPVAFYOLPLR-FQEVSTJZSA-N 0 3 309.457 4.162 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3ccc(F)cc3C)o2)C1 ZINC000509165005 340262778 /nfs/dbraw/zinc/26/27/78/340262778.db2.gz JLMAVMVHADTGQZ-HNNXBMFYSA-N 0 3 303.377 4.005 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3ccc(F)cc3C)o2)C1 ZINC000509165008 340262823 /nfs/dbraw/zinc/26/28/23/340262823.db2.gz JLMAVMVHADTGQZ-OAHLLOKOSA-N 0 3 303.377 4.005 20 0 DIADHN CC[C@H]1CCCN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509295189 340265589 /nfs/dbraw/zinc/26/55/89/340265589.db2.gz FTUCTHBJTRALPD-NSHDSACASA-N 0 3 323.234 4.212 20 0 DIADHN Cc1ncsc1CCN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000509312940 340266062 /nfs/dbraw/zinc/26/60/62/340266062.db2.gz ZNAVAFIRWONDDK-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN FCCCCN(Cc1cc(Br)ccc1F)C1CC1 ZINC000509327179 340266337 /nfs/dbraw/zinc/26/63/37/340266337.db2.gz JKWOSQAUEFJHIS-UHFFFAOYSA-N 0 3 318.205 4.302 20 0 DIADHN C[C@H](N(C)Cc1nc2cc(Br)ccc2o1)C1(C)CC1 ZINC000509388857 340268066 /nfs/dbraw/zinc/26/80/66/340268066.db2.gz SEIRGADXLBCVGQ-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCCOC(F)F)o1 ZINC000509400580 340268272 /nfs/dbraw/zinc/26/82/72/340268272.db2.gz FMWFIGDYFUFLEB-UHFFFAOYSA-N 0 3 313.319 4.113 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(SC)cc1 ZINC000509413681 340268681 /nfs/dbraw/zinc/26/86/81/340268681.db2.gz FJIKKSNSEDDYLW-KRWDZBQOSA-N 0 3 317.429 4.333 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cccc2[nH]ccc21 ZINC000509413239 340268723 /nfs/dbraw/zinc/26/87/23/340268723.db2.gz FROAVMBUPDNNNH-GOSISDBHSA-N 0 3 310.372 4.093 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(C)c(F)c1 ZINC000509413198 340268725 /nfs/dbraw/zinc/26/87/25/340268725.db2.gz FMZIMONZGBUQDU-KRWDZBQOSA-N 0 3 303.352 4.059 20 0 DIADHN FC(F)OCCCNCc1ccc(-c2ccccc2Cl)o1 ZINC000509427028 340269237 /nfs/dbraw/zinc/26/92/37/340269237.db2.gz WZILLNZIUZZESL-UHFFFAOYSA-N 0 3 315.747 4.319 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NC/C=C/c1ccc(F)cc1 ZINC000509429957 340269303 /nfs/dbraw/zinc/26/93/03/340269303.db2.gz JWKVUKVDPFNZAP-DCKQQPRJSA-N 0 3 315.363 4.264 20 0 DIADHN Cn1cc(-c2ccc(CNC(C)(C)c3cccs3)s2)cn1 ZINC000509459267 340270173 /nfs/dbraw/zinc/27/01/73/340270173.db2.gz JXRHWTWMSBOPMH-UHFFFAOYSA-N 0 3 317.483 4.235 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccccc2OCc2ccccn2)C1 ZINC000509543979 340272839 /nfs/dbraw/zinc/27/28/39/340272839.db2.gz OYLDRZKAQGXSNQ-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc(CN2CCC(c3c[nH]c4ncccc34)CC2)ccc1F ZINC000509562418 340273462 /nfs/dbraw/zinc/27/34/62/340273462.db2.gz WHSQWVVWIDTBLK-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cn1nccc1-c1ccccc1CNCc1ccccc1Cl ZINC000509667875 340276343 /nfs/dbraw/zinc/27/63/43/340276343.db2.gz SFTBPVNVAPNIKU-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN Cn1nccc1-c1ccccc1CNCc1ccc(Cl)cc1 ZINC000509717166 340277723 /nfs/dbraw/zinc/27/77/23/340277723.db2.gz FXTWGKCNJGCMQS-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN CC[C@H]1CCCCN1CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000302740832 340280829 /nfs/dbraw/zinc/28/08/29/340280829.db2.gz PWJUGOCCZXQTQD-AEFFLSMTSA-N 0 3 324.468 4.282 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(OCc2ccccc2)cc1 ZINC000302832978 340283331 /nfs/dbraw/zinc/28/33/31/340283331.db2.gz XNDIKVGNNXOTJT-JXFKEZNVSA-N 0 3 311.425 4.095 20 0 DIADHN COCC[C@H](NCc1coc(-c2ccc(C)cc2)n1)C(C)(C)C ZINC000509955007 340283714 /nfs/dbraw/zinc/28/37/14/340283714.db2.gz CXHAESAOKLBCIQ-KRWDZBQOSA-N 0 3 316.445 4.191 20 0 DIADHN COCC[C@H](NCc1csc(-c2ccccc2)n1)C(C)(C)C ZINC000509964678 340284057 /nfs/dbraw/zinc/28/40/57/340284057.db2.gz WWESIHAVNIGLRU-INIZCTEOSA-N 0 3 318.486 4.351 20 0 DIADHN CCc1sc(-c2nnc(CN[C@H]3CCCC[C@@H]3C)o2)cc1C ZINC000510030177 340285654 /nfs/dbraw/zinc/28/56/54/340285654.db2.gz PHAJALBRWHLCQI-AAEUAGOBSA-N 0 3 319.474 4.337 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1ccc(OCc2ccccc2)cc1 ZINC000302931021 340287899 /nfs/dbraw/zinc/28/78/99/340287899.db2.gz OUNPIDWIRXCTBE-IRXDYDNUSA-N 0 3 313.441 4.341 20 0 DIADHN COc1cccc(C2(NCCSc3ccc(F)cc3)CC2)c1 ZINC000510092223 340288525 /nfs/dbraw/zinc/28/85/25/340288525.db2.gz ONHVWZROEPGOAU-UHFFFAOYSA-N 0 3 317.429 4.205 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000510132817 340290030 /nfs/dbraw/zinc/29/00/30/340290030.db2.gz JCLPKACWSLFSGE-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN(C)[C@@H]1CCCOC1 ZINC000510136631 340290176 /nfs/dbraw/zinc/29/01/76/340290176.db2.gz XMPYFOBEWUWPQM-QGZVFWFLSA-N 0 3 313.441 4.238 20 0 DIADHN Cc1csc(CN2CCC[C@@](C)(c3ccccc3)CC2)n1 ZINC000510137071 340290220 /nfs/dbraw/zinc/29/02/20/340290220.db2.gz ZQVSNCYMPVSUOW-GOSISDBHSA-N 0 3 300.471 4.395 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000510139851 340290300 /nfs/dbraw/zinc/29/03/00/340290300.db2.gz NPRUSRPVVZXLLR-CQSZACIVSA-N 0 3 321.436 4.188 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1C[C@@H]1CCCCO1 ZINC000510171145 340291474 /nfs/dbraw/zinc/29/14/74/340291474.db2.gz SVALNTHCXSGOJV-BQFCYCMXSA-N 0 3 303.446 4.037 20 0 DIADHN COc1ccccc1[C@@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC000510187025 340292054 /nfs/dbraw/zinc/29/20/54/340292054.db2.gz VTQZIOLDVOYJGM-URWSZGRFSA-N 0 3 303.352 4.337 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc3occc3c2)cc1 ZINC000510225640 340293089 /nfs/dbraw/zinc/29/30/89/340293089.db2.gz XXWIVFSRVQQZFF-UHFFFAOYSA-N 0 3 303.308 4.324 20 0 DIADHN Cc1cc(CN(C)CCOc2ccccc2)c2ccccc2n1 ZINC000510225481 340293092 /nfs/dbraw/zinc/29/30/92/340293092.db2.gz YFEFXDVSSVAACL-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](c1ccc(CC(C)C)cc1)C(C)C ZINC000510230593 340293295 /nfs/dbraw/zinc/29/32/95/340293295.db2.gz SMBYCFJCISGHFO-HXUWFJFHSA-N 0 3 313.489 4.414 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C\c2ccc(F)c(F)c2)cc1F ZINC000510231616 340293506 /nfs/dbraw/zinc/29/35/06/340293506.db2.gz YLWVIHVNKSDVDN-VSQXVHSFSA-N 0 3 321.342 4.477 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1cncc(C)c1 ZINC000510267404 340294651 /nfs/dbraw/zinc/29/46/51/340294651.db2.gz LFIMOQYULXJLJU-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN c1cc2cc(CN[C@H](CN3CCCC3)c3ccccc3)ccc2o1 ZINC000510266951 340294685 /nfs/dbraw/zinc/29/46/85/340294685.db2.gz KCPRUCGBDXIABE-HXUWFJFHSA-N 0 3 320.436 4.360 20 0 DIADHN C[C@H](N[C@@H]1CSC1(C)C)c1ccc(Br)s1 ZINC000309001620 340297948 /nfs/dbraw/zinc/29/79/48/340297948.db2.gz OHVJWRIXBJNDHG-IONNQARKSA-N 0 3 306.294 4.055 20 0 DIADHN CN(Cc1ccc2c[nH]nc2c1)Cc1cccc(C(F)(F)F)c1 ZINC000510467908 340300305 /nfs/dbraw/zinc/30/03/05/340300305.db2.gz UGHCZMSYKBNUAZ-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN COc1ccc2ccccc2c1CNCCOCCC(C)C ZINC000303078492 340300331 /nfs/dbraw/zinc/30/03/31/340300331.db2.gz SNADADGSNUDCRT-UHFFFAOYSA-N 0 3 301.430 4.001 20 0 DIADHN CC1(C)CCCN(Cc2ccccc2OCc2cccnc2)C1 ZINC000510486257 340300747 /nfs/dbraw/zinc/30/07/47/340300747.db2.gz XGBCIOPXZDXWCW-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(O[C@@H]2CCCN(Cc3ccc4ncccc4c3)C2)cc1 ZINC000510493149 340300951 /nfs/dbraw/zinc/30/09/51/340300951.db2.gz UMORFKPEZBAIFH-HXUWFJFHSA-N 0 3 318.420 4.278 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC[C@@H](C(F)(F)F)C1)CCC2 ZINC000510514151 340301464 /nfs/dbraw/zinc/30/14/64/340301464.db2.gz KVQVOPCHKJYGKV-IUODEOHRSA-N 0 3 301.327 4.477 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC[C@H](C(F)(F)F)C1)CCC2 ZINC000510514153 340301491 /nfs/dbraw/zinc/30/14/91/340301491.db2.gz KVQVOPCHKJYGKV-WFASDCNBSA-N 0 3 301.327 4.477 20 0 DIADHN Cc1ccc(C(=O)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)c(C)c1 ZINC000510527654 340301962 /nfs/dbraw/zinc/30/19/62/340301962.db2.gz UFHROQSNBQTQBL-XLIONFOSSA-N 0 3 324.468 4.033 20 0 DIADHN Cc1cccc(C(=O)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)c1C ZINC000510544356 340302273 /nfs/dbraw/zinc/30/22/73/340302273.db2.gz DKDPJXRGXOLBQP-FXAWDEMLSA-N 0 3 324.468 4.033 20 0 DIADHN CCCC1(C(=O)N[C@H](C)[C@H](c2ccccc2C)N(C)C)CCC1 ZINC000510545679 340302309 /nfs/dbraw/zinc/30/23/09/340302309.db2.gz LQNKHYOFKSOTPF-SJLPKXTDSA-N 0 3 316.489 4.073 20 0 DIADHN CC(C)COC1CCN(C/C=C/c2ccc(Cl)cc2)CC1 ZINC000510613957 340303924 /nfs/dbraw/zinc/30/39/24/340303924.db2.gz IERRVMMWHQVNBD-ONEGZZNKSA-N 0 3 307.865 4.490 20 0 DIADHN Cc1cccc([C@@H](NCCC[C@@H](C)CO)c2cccc(F)c2)c1 ZINC000510655745 340305369 /nfs/dbraw/zinc/30/53/69/340305369.db2.gz JFCRVZXOXFILMK-OXQOHEQNSA-N 0 3 315.432 4.222 20 0 DIADHN Cn1nccc1[C@H]1CCCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000510664903 340305701 /nfs/dbraw/zinc/30/57/01/340305701.db2.gz QKAOLMLDIIVGOF-KRWDZBQOSA-N 0 3 321.424 4.060 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC[C@H](c2ccnn2C)C1 ZINC000510673280 340306123 /nfs/dbraw/zinc/30/61/23/340306123.db2.gz AQAQQXOHUNTNIT-HNNXBMFYSA-N 0 3 317.864 4.070 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)N[C@H]1COc2ccccc21 ZINC000510685240 340306591 /nfs/dbraw/zinc/30/65/91/340306591.db2.gz KIABCRSJENSTCX-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](CCc1c(F)cccc1F)N[C@H]1COc2ccccc21 ZINC000510685241 340306651 /nfs/dbraw/zinc/30/66/51/340306651.db2.gz KIABCRSJENSTCX-SJCJKPOMSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](CCc1c(F)cccc1F)N[C@@H]1COc2ccccc21 ZINC000510685244 340306669 /nfs/dbraw/zinc/30/66/69/340306669.db2.gz KIABCRSJENSTCX-YVEFUNNKSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CC[C@H](O)CC2)c2cccc(F)c2)c1 ZINC000510713669 340307771 /nfs/dbraw/zinc/30/77/71/340307771.db2.gz KYHHILNFWSRKMC-VAMGGRTRSA-N 0 3 313.416 4.117 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1csc(-c2ccco2)n1 ZINC000510721291 340308091 /nfs/dbraw/zinc/30/80/91/340308091.db2.gz NIUZVLGZGAZLPW-OCCSQVGLSA-N 0 3 308.422 4.411 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCN(C3CC3)C2)c2cccc(F)c2)c1 ZINC000510733949 340308664 /nfs/dbraw/zinc/30/86/64/340308664.db2.gz KZXYANLIECZZIY-FPOVZHCZSA-N 0 3 324.443 4.050 20 0 DIADHN Cc1cccc([C@H](NC[C@@H]2CCCOC2)c2cccc(F)c2)c1 ZINC000510739728 340308991 /nfs/dbraw/zinc/30/89/91/340308991.db2.gz YDPSXKBEGQQBND-JXFKEZNVSA-N 0 3 313.416 4.240 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)CCc1c(F)cccc1F ZINC000510741614 340309032 /nfs/dbraw/zinc/30/90/32/340309032.db2.gz ZMAYQAVDTDIYGH-GFCCVEGCSA-N 0 3 322.399 4.189 20 0 DIADHN Cc1cc(OCCN(C)CCc2nccs2)ccc1C(C)C ZINC000510747370 340309340 /nfs/dbraw/zinc/30/93/40/340309340.db2.gz BGBCNSBRLGGQSN-UHFFFAOYSA-N 0 3 318.486 4.128 20 0 DIADHN Cc1cccc([C@@H](NCCc2cccnc2)c2cccc(F)c2)c1 ZINC000510749181 340309529 /nfs/dbraw/zinc/30/95/29/340309529.db2.gz HGLBJMNMGPTNOI-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2CCSC2)c2cccc(F)c2)c1 ZINC000510756222 340309904 /nfs/dbraw/zinc/30/99/04/340309904.db2.gz QLSABSWAFNEGJQ-QZTJIDSGSA-N 0 3 301.430 4.319 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nc2cc(Br)ccc2o1 ZINC000510797283 340311402 /nfs/dbraw/zinc/31/14/02/340311402.db2.gz OOGKTLDNDCWJBZ-JQWIXIFHSA-N 0 3 323.234 4.259 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CCCC(C)(C)C)c1ccsc1 ZINC000303199166 340311742 /nfs/dbraw/zinc/31/17/42/340311742.db2.gz LKHLSOSDTOIBHY-MRXNPFEDSA-N 0 3 324.534 4.464 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000510843167 340312744 /nfs/dbraw/zinc/31/27/44/340312744.db2.gz AWZIFJMIYXTIET-INIZCTEOSA-N 0 3 322.452 4.163 20 0 DIADHN CC(C)COc1cccc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000510903750 340314287 /nfs/dbraw/zinc/31/42/87/340314287.db2.gz TXBVWPSRNYKDBE-HXUWFJFHSA-N 0 3 310.441 4.357 20 0 DIADHN C[C@H](N[C@@H]1CCN([C@@H](C)c2ccccc2)C1)c1ccsc1 ZINC000510926785 340315060 /nfs/dbraw/zinc/31/50/60/340315060.db2.gz FBNITIMBPOTUBK-RLFYNMQTSA-N 0 3 300.471 4.234 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCc2ccc(C)cc21 ZINC000510937561 340315551 /nfs/dbraw/zinc/31/55/51/340315551.db2.gz ZBLBJOYUTJCRQB-OALUTQOASA-N 0 3 311.400 4.407 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)[C@H](C)N2CCCCCC2)c(C)c1 ZINC000510939626 340315573 /nfs/dbraw/zinc/31/55/73/340315573.db2.gz OVAFHJMWXRANJQ-MSOLQXFVSA-N 0 3 316.489 4.087 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000510947727 340315811 /nfs/dbraw/zinc/31/58/11/340315811.db2.gz NSOMAMWPWPSBRM-PXNSSMCTSA-N 0 3 324.468 4.337 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](c2cccc(C)c2)c2cccc(F)c2)C1 ZINC000510946016 340315837 /nfs/dbraw/zinc/31/58/37/340315837.db2.gz DJNPWUQUGUZUDA-ZCNNSNEGSA-N 0 3 313.416 4.381 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000510947728 340316043 /nfs/dbraw/zinc/31/60/43/340316043.db2.gz NSOMAMWPWPSBRM-XLIONFOSSA-N 0 3 324.468 4.337 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2OC1)c1cc(F)c(F)c(F)c1 ZINC000510981266 340317090 /nfs/dbraw/zinc/31/70/90/340317090.db2.gz BRMFQSQFYLNPID-BXUZGUMPSA-N 0 3 321.342 4.148 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@H](C)c1ccc(C)cc1)C1CCCCC1 ZINC000510999642 340317733 /nfs/dbraw/zinc/31/77/33/340317733.db2.gz PHCMQLFJKRAABF-SJORKVTESA-N 0 3 316.489 4.215 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@@H](C)CCc1c(F)cccc1F ZINC000511001646 340317795 /nfs/dbraw/zinc/31/77/95/340317795.db2.gz UASCQRFYGXCJIZ-QWHCGFSZSA-N 0 3 320.383 4.040 20 0 DIADHN COCC[C@@H](N[C@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000511017812 340318245 /nfs/dbraw/zinc/31/82/45/340318245.db2.gz SCXOSHIRXLGUNW-IUODEOHRSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)[C@@H](NCCCOC(F)F)c1ccc(Cl)cc1F ZINC000511017306 340318353 /nfs/dbraw/zinc/31/83/53/340318353.db2.gz YXJNXYVJMCSEMR-CYBMUJFWSA-N 0 3 309.759 4.395 20 0 DIADHN CCCCc1ccc([C@H](C)N[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000511019399 340318441 /nfs/dbraw/zinc/31/84/41/340318441.db2.gz VTIGBKKJLOYKRD-HNAYVOBHSA-N 0 3 311.473 4.491 20 0 DIADHN CC(C)CN(C(=O)[C@@H](C)CC1CCCCC1)C1CCN(C)CC1 ZINC000511025432 340318724 /nfs/dbraw/zinc/31/87/24/340318724.db2.gz DGWWZIHUKYYFQA-KRWDZBQOSA-N 0 3 322.537 4.172 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@H](C)c2ccncc2F)cc1 ZINC000511029586 340318799 /nfs/dbraw/zinc/31/87/99/340318799.db2.gz IZYVMYFDEYJTQL-MGPQQGTHSA-N 0 3 302.393 4.072 20 0 DIADHN CCN(Cc1ccc(C(=O)N[C@H](C)CCC(C)C)cc1)C1CC1 ZINC000511039085 340319101 /nfs/dbraw/zinc/31/91/01/340319101.db2.gz YZQVEVPFFALYRB-MRXNPFEDSA-N 0 3 316.489 4.225 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1c(F)cccc1F)c1ccco1 ZINC000511050046 340319376 /nfs/dbraw/zinc/31/93/76/340319376.db2.gz OACGEXYGRPVGAF-GUYCJALGSA-N 0 3 323.383 4.246 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1c(F)cccc1F)c1ccco1 ZINC000511050047 340319393 /nfs/dbraw/zinc/31/93/93/340319393.db2.gz OACGEXYGRPVGAF-SUMWQHHRSA-N 0 3 323.383 4.246 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN[C@H]1CCC[C@@H]1CCCF ZINC000511053751 340319439 /nfs/dbraw/zinc/31/94/39/340319439.db2.gz YXGVKPVDCQGAQB-OCCSQVGLSA-N 0 3 323.843 4.235 20 0 DIADHN Cc1ncsc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC000511103426 340320682 /nfs/dbraw/zinc/32/06/82/340320682.db2.gz ARBYUGBIFUEQEF-AWEZNQCLSA-N 0 3 306.862 4.312 20 0 DIADHN CNC(=O)c1ccc(CN2CCC[C@H]2c2ccc(C)c(C)c2)cc1 ZINC000511105376 340320969 /nfs/dbraw/zinc/32/09/69/340320969.db2.gz DUUGUFAKMGRVEM-FQEVSTJZSA-N 0 3 322.452 4.000 20 0 DIADHN Cc1ncccc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC000511110242 340321233 /nfs/dbraw/zinc/32/12/33/340321233.db2.gz WYCMGMCBYXJNBV-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCN(Cc3ccncc3)C2)c1 ZINC000511123396 340321525 /nfs/dbraw/zinc/32/15/25/340321525.db2.gz JPDXIAIPUTURDX-MRXNPFEDSA-N 0 3 320.358 4.480 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@H](c2ccnn2C)C1 ZINC000511132263 340321832 /nfs/dbraw/zinc/32/18/32/340321832.db2.gz RBYXTVZDEAENEM-KBPBESRZSA-N 0 3 303.837 4.014 20 0 DIADHN Cc1ccncc1CN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000511127994 340321879 /nfs/dbraw/zinc/32/18/79/340321879.db2.gz WCUCCTSDDJOPQT-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2ccc(SC(C)C)cc2)C1 ZINC000511133773 340322083 /nfs/dbraw/zinc/32/20/83/340322083.db2.gz DFIONVOFKIIRRP-QGZVFWFLSA-N 0 3 307.503 4.436 20 0 DIADHN CC(C)Sc1ccc(CN2CCC(c3cc[nH]n3)CC2)cc1 ZINC000511139964 340322169 /nfs/dbraw/zinc/32/21/69/340322169.db2.gz DRDIAAGLDPBIIG-UHFFFAOYSA-N 0 3 315.486 4.290 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000511160914 340322855 /nfs/dbraw/zinc/32/28/55/340322855.db2.gz LAULODUGJOYYFM-RTBURBONSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1noc(C)c1CCN1CCc2ccc(C(C)(C)C)cc2C1 ZINC000511184180 340323411 /nfs/dbraw/zinc/32/34/11/340323411.db2.gz NPIMDBRLDRIOJX-UHFFFAOYSA-N 0 3 312.457 4.190 20 0 DIADHN CSc1ccccc1CN[C@H](C)c1ccccc1-n1cccn1 ZINC000511181781 340323426 /nfs/dbraw/zinc/32/34/26/340323426.db2.gz IKARFMGWHSXKMJ-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN CC[C@H](N[C@H](C)Cc1ccc(O)cc1)c1ccc(F)cc1F ZINC000511191526 340323694 /nfs/dbraw/zinc/32/36/94/340323694.db2.gz IMEOAQIJPSYEKS-XIKOKIGWSA-N 0 3 305.368 4.342 20 0 DIADHN CCC1(CO)CCN(Cc2ccc(SC(C)C)cc2)CC1 ZINC000511200390 340323881 /nfs/dbraw/zinc/32/38/81/340323881.db2.gz SWOMSBBUZSNTOR-UHFFFAOYSA-N 0 3 307.503 4.172 20 0 DIADHN C[C@H](C(=O)N(C)Cc1ccc2ccccc2c1)N1[C@H](C)CC[C@@H]1C ZINC000511356067 340325751 /nfs/dbraw/zinc/32/57/51/340325751.db2.gz UVVXAMYMPZRWSF-IXDOHACOSA-N 0 3 324.468 4.060 20 0 DIADHN C[C@@H](C(=O)N(C)Cc1ccc2ccccc2c1)N1[C@H](C)CC[C@H]1C ZINC000511356068 340325801 /nfs/dbraw/zinc/32/58/01/340325801.db2.gz UVVXAMYMPZRWSF-ZACQAIPSSA-N 0 3 324.468 4.060 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)CN(C)[C@@H](c1ccccc1)C(C)C ZINC000303523615 340330300 /nfs/dbraw/zinc/33/03/00/340330300.db2.gz PYIWVJISTRIFTK-UYAOXDASSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC000303546085 340332549 /nfs/dbraw/zinc/33/25/49/340332549.db2.gz KXGCJHUBUBROPU-VQIMIIECSA-N 0 3 316.489 4.012 20 0 DIADHN CN(Cc1coc2ccccc12)C[C@@H]1OCCc2ccccc21 ZINC000511665386 340334514 /nfs/dbraw/zinc/33/45/14/340334514.db2.gz JPUMJEGIRIUVTK-FQEVSTJZSA-N 0 3 307.393 4.179 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(Cl)c(Cl)c2)cc1 ZINC000511747811 340336857 /nfs/dbraw/zinc/33/68/57/340336857.db2.gz BHKKOXUFVCQDAS-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CCCN(Cc1cn(C)nc1C)[C@@H](C)c1cc2ccccc2o1 ZINC000511748546 340337027 /nfs/dbraw/zinc/33/70/27/340337027.db2.gz PQLKHAYJMZQVEG-HNNXBMFYSA-N 0 3 311.429 4.448 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc4ccccc4[nH]3)[C@@H]3CCC[C@@H]23)cc1 ZINC000511844776 340340138 /nfs/dbraw/zinc/34/01/38/340340138.db2.gz STVUNMISLKCQEP-ABSDTBQOSA-N 0 3 317.436 4.331 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC[C@H]4CCC[C@H]43)s2)o1 ZINC000511886989 340341774 /nfs/dbraw/zinc/34/17/74/340341774.db2.gz FSECOVQZDWECEI-UKRRQHHQSA-N 0 3 302.443 4.476 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)c(Cl)c1OC ZINC000511886414 340341849 /nfs/dbraw/zinc/34/18/49/340341849.db2.gz CUIFWIHJOYSOGH-JSGCOSHPSA-N 0 3 309.837 4.122 20 0 DIADHN CN(C)Cc1cnc(CNC(C)(C)CC2CCCCCC2)s1 ZINC000511903970 340342505 /nfs/dbraw/zinc/34/25/05/340342505.db2.gz BCTGDSHZAMIMKU-UHFFFAOYSA-N 0 3 323.550 4.433 20 0 DIADHN CN(C)Cc1cnc(CN[C@H]2CCC[C@@H](C(C)(C)C)CC2)s1 ZINC000511907954 340342670 /nfs/dbraw/zinc/34/26/70/340342670.db2.gz MSMJGTDSIVEVBP-CABCVRRESA-N 0 3 323.550 4.289 20 0 DIADHN Cc1ccc(C(C)(C)NC/C=C/c2ccc(F)c(F)c2)cn1 ZINC000511911815 340342735 /nfs/dbraw/zinc/34/27/35/340342735.db2.gz QSHAPIWSHFPEIA-SNAWJCMRSA-N 0 3 302.368 4.206 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc2occc2c1 ZINC000511938623 340343309 /nfs/dbraw/zinc/34/33/09/340343309.db2.gz MDMJQEDAFGRLCA-BFUOFWGJSA-N 0 3 313.372 4.438 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000511957113 340343780 /nfs/dbraw/zinc/34/37/80/340343780.db2.gz MOQQHKUZDXGPBQ-ZDUSSCGKSA-N 0 3 313.832 4.386 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccccc1-n1cccn1 ZINC000512026615 340345759 /nfs/dbraw/zinc/34/57/59/340345759.db2.gz SFTBECUNAQZDEG-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN COc1cccc(C2(NC/C=C\c3ccc(F)c(F)c3)CC2)c1 ZINC000512045603 340346495 /nfs/dbraw/zinc/34/64/95/340346495.db2.gz NPRYRKLYDBEGIE-ARJAWSKDSA-N 0 3 315.363 4.266 20 0 DIADHN Fc1ccc(/C=C/CNCc2cnc3ccccc3c2)cc1F ZINC000512051775 340346783 /nfs/dbraw/zinc/34/67/83/340346783.db2.gz JZBFNZMRDDNOOT-ONEGZZNKSA-N 0 3 310.347 4.316 20 0 DIADHN CC1(CNCc2ccc(-c3ccc(Cl)cc3)cc2F)COC1 ZINC000512055765 340346946 /nfs/dbraw/zinc/34/69/46/340346946.db2.gz ZUCVSYDSRGWPKX-UHFFFAOYSA-N 0 3 319.807 4.272 20 0 DIADHN Brc1cccc(CNCc2ccc3occc3c2)c1 ZINC000512102022 340348631 /nfs/dbraw/zinc/34/86/31/340348631.db2.gz XLURKIKRTWHYIY-UHFFFAOYSA-N 0 3 316.198 4.485 20 0 DIADHN c1cc2cc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)ccc2o1 ZINC000512114656 340348967 /nfs/dbraw/zinc/34/89/67/340348967.db2.gz ICNCETGPEWDZTC-UXHICEINSA-N 0 3 307.393 4.443 20 0 DIADHN COc1cccc([C@H](C)NC/C=C/c2ccc(F)c(F)c2)c1 ZINC000512130497 340349464 /nfs/dbraw/zinc/34/94/64/340349464.db2.gz OZIQGLPSFODHKT-IHVVCDCBSA-N 0 3 303.352 4.337 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000512134880 340349606 /nfs/dbraw/zinc/34/96/06/340349606.db2.gz ZMFTWHQGOWWNPM-VQIMIIECSA-N 0 3 320.521 4.140 20 0 DIADHN C[C@H]1CCCCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000512162018 340350355 /nfs/dbraw/zinc/35/03/55/340350355.db2.gz CXIKITCTGXUVDR-LBPRGKRZSA-N 0 3 305.809 4.155 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000512182872 340351221 /nfs/dbraw/zinc/35/12/21/340351221.db2.gz TVSGLGLKQSLLBS-IINYFYTJSA-N 0 3 324.902 4.305 20 0 DIADHN CC[C@@H](CN1CCO[C@H](c2cccs2)C1)c1ccccc1 ZINC000512243227 340353771 /nfs/dbraw/zinc/35/37/71/340353771.db2.gz JQYCIHWILRGENI-RDJZCZTQSA-N 0 3 301.455 4.315 20 0 DIADHN COC[C@@H](CN[C@@H](C)c1ccc(C2CCCCC2)cc1)OC ZINC000512275684 340355086 /nfs/dbraw/zinc/35/50/86/340355086.db2.gz AWLJOADVQWXICR-HNAYVOBHSA-N 0 3 305.462 4.046 20 0 DIADHN COC[C@H](CN[C@H](C)c1ccc(C2CCCCC2)cc1)OC ZINC000512275682 340355126 /nfs/dbraw/zinc/35/51/26/340355126.db2.gz AWLJOADVQWXICR-BEFAXECRSA-N 0 3 305.462 4.046 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@@H]([C@H](C)O)C1 ZINC000512338427 340357827 /nfs/dbraw/zinc/35/78/27/340357827.db2.gz MEZSUJYCOAKCNO-NWDGAFQWSA-N 0 3 309.862 4.066 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@H]([C@@H](C)O)C1 ZINC000512338426 340357934 /nfs/dbraw/zinc/35/79/34/340357934.db2.gz MEZSUJYCOAKCNO-NEPJUHHUSA-N 0 3 309.862 4.066 20 0 DIADHN COc1ccc([C@H]2CCN(C/C=C/c3ccccc3OC)C2)cc1 ZINC000512344168 340358204 /nfs/dbraw/zinc/35/82/04/340358204.db2.gz VGWBLDAAYDOUCC-XZXOBPBMSA-N 0 3 323.436 4.207 20 0 DIADHN C[C@@H](NC1CC(c2ccccc2Cl)C1)c1cncc(F)c1 ZINC000512349895 340358394 /nfs/dbraw/zinc/35/83/94/340358394.db2.gz CIRXAZHMWWPICH-XIKARTHZSA-N 0 3 304.796 4.471 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC[C@@H]1CCCCS1 ZINC000512379712 340359482 /nfs/dbraw/zinc/35/94/82/340359482.db2.gz NQDXRWNZDORDSC-AWEZNQCLSA-N 0 3 320.483 4.201 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@@H]2CC2CCCCC2)cc1 ZINC000512384235 340359818 /nfs/dbraw/zinc/35/98/18/340359818.db2.gz MHBAANDKJBZIJB-GCJKJVERSA-N 0 3 319.514 4.359 20 0 DIADHN C[S@@](=O)c1ccc(CN2CCC[C@@H]2CC2CCCCC2)cc1 ZINC000512384237 340359919 /nfs/dbraw/zinc/35/99/19/340359919.db2.gz MHBAANDKJBZIJB-XMSQKQJNSA-N 0 3 319.514 4.359 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2occc2c1 ZINC000512390078 340360181 /nfs/dbraw/zinc/36/01/81/340360181.db2.gz YGNPBMUMOYOURH-QGZVFWFLSA-N 0 3 311.356 4.358 20 0 DIADHN OCCC1(NCc2c(Cl)ccc3cccnc32)CCCCC1 ZINC000512395811 340360344 /nfs/dbraw/zinc/36/03/44/340360344.db2.gz BGIOKFZIBGWADJ-UHFFFAOYSA-N 0 3 318.848 4.063 20 0 DIADHN CCC(O)(CC)CNCc1ccc(-c2ccccc2)cc1OC ZINC000512415967 340361119 /nfs/dbraw/zinc/36/11/19/340361119.db2.gz SDCWXLUDVPUVGF-UHFFFAOYSA-N 0 3 313.441 4.003 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)[C@H](C)c1ccncc1 ZINC000512426518 340361594 /nfs/dbraw/zinc/36/15/94/340361594.db2.gz HOSBLJISXHZKBB-HJUDDPQBSA-N 0 3 310.441 4.324 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC2(CCO)CCCC2)o1 ZINC000512497486 340364227 /nfs/dbraw/zinc/36/42/27/340364227.db2.gz WCZGKMCPKYZTGO-UHFFFAOYSA-N 0 3 317.404 4.179 20 0 DIADHN COCc1ccccc1/C=C(/C)CNC(C)(C)c1ccc(C)nc1 ZINC000512507282 340364702 /nfs/dbraw/zinc/36/47/02/340364702.db2.gz XKRIXEWUPSMRFM-VBKFSLOCSA-N 0 3 324.468 4.465 20 0 DIADHN Cc1cccc(CCCNCc2c(Cl)cccc2Cl)n1 ZINC000512536486 340365887 /nfs/dbraw/zinc/36/58/87/340365887.db2.gz IZZPFGOUMBWLFB-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN CC(C)(C)C1CCN(Cc2cnc(-c3ccccn3)s2)CC1 ZINC000512552763 340366550 /nfs/dbraw/zinc/36/65/50/340366550.db2.gz UHKOKNQNMCTJQZ-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN CSc1cccc(F)c1CN[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000512573019 340367446 /nfs/dbraw/zinc/36/74/46/340367446.db2.gz KEPDAUMGECXSMN-RBSFLKMASA-N 0 3 323.477 4.233 20 0 DIADHN Cc1ccc(CN2CCC(OC3CCCCC3)CC2)cc1F ZINC000512577315 340367705 /nfs/dbraw/zinc/36/77/05/340367705.db2.gz IHDKWBGNFQHQBO-UHFFFAOYSA-N 0 3 305.437 4.448 20 0 DIADHN Fc1ccc2oc(CN3CC[C@@H](Nc4ccccc4)C3)cc2c1 ZINC000512581943 340367873 /nfs/dbraw/zinc/36/78/73/340367873.db2.gz KQEFBQNLHCLNIL-QGZVFWFLSA-N 0 3 310.372 4.258 20 0 DIADHN Cc1cccc(CCCNCc2ccc(Oc3ccccc3)o2)n1 ZINC000512583001 340367969 /nfs/dbraw/zinc/36/79/69/340367969.db2.gz PWQGYWTWLXMQQL-UHFFFAOYSA-N 0 3 322.408 4.498 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(OC2CCCC2)CC1 ZINC000512630433 340369962 /nfs/dbraw/zinc/36/99/62/340369962.db2.gz XJBONHVSHWVGIS-UHFFFAOYSA-N 0 3 318.505 4.458 20 0 DIADHN c1cc(C2CCC2)ccc1CN1CCSC2(CCOCC2)C1 ZINC000512632887 340370187 /nfs/dbraw/zinc/37/01/87/340370187.db2.gz IRGNRFJPSWAHLV-UHFFFAOYSA-N 0 3 317.498 4.052 20 0 DIADHN COc1cc(CN2CCC[C@@H]2C2CCC2)cc(Cl)c1OC ZINC000512652087 340371191 /nfs/dbraw/zinc/37/11/91/340371191.db2.gz HXLVJDGDFGUPBH-OAHLLOKOSA-N 0 3 309.837 4.122 20 0 DIADHN COc1ccc(CN(C)CCc2cccc3ccccc32)cc1O ZINC000512663871 340371931 /nfs/dbraw/zinc/37/19/31/340371931.db2.gz RNFHLQKVCUQDLZ-UHFFFAOYSA-N 0 3 321.420 4.229 20 0 DIADHN CCCn1nc(C)c(CN(C)CCc2ccc(Cl)cc2)c1C ZINC000512687784 340373121 /nfs/dbraw/zinc/37/31/21/340373121.db2.gz XDNJVYWWOFSKLL-UHFFFAOYSA-N 0 3 319.880 4.238 20 0 DIADHN CN(Cc1ccccc1OCc1cccnc1)CC1CCCC1 ZINC000512692694 340373339 /nfs/dbraw/zinc/37/33/39/340373339.db2.gz HCBLXEVDOGAYEA-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN COc1cc(CN(C)Cc2ccc(F)cc2C)ccc1SC ZINC000512706018 340373728 /nfs/dbraw/zinc/37/37/28/340373728.db2.gz UEKPRFBRYQOJCF-UHFFFAOYSA-N 0 3 319.445 4.497 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CC[C@@H]2CCC[C@@H]21 ZINC000512723182 340374332 /nfs/dbraw/zinc/37/43/32/340374332.db2.gz PPFKCXPWNPPRQE-ZFWWWQNUSA-N 0 3 300.427 4.200 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CC[C@H]2CCC[C@H]21 ZINC000512723181 340374342 /nfs/dbraw/zinc/37/43/42/340374342.db2.gz PPFKCXPWNPPRQE-UKRRQHHQSA-N 0 3 300.427 4.200 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CC[C@@H](COC(F)F)C1 ZINC000512812032 340378745 /nfs/dbraw/zinc/37/87/45/340378745.db2.gz OOYOCFIROWWUBL-WDEREUQCSA-N 0 3 323.305 4.328 20 0 DIADHN CCOC1CC2(C1)CCN([C@H](CC)c1ccc(F)cc1F)C2 ZINC000512817583 340379026 /nfs/dbraw/zinc/37/90/26/340379026.db2.gz MAPJLOYQWVYJLF-ZCDVPAHGSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1ccc(OCCCCNCc2cc(F)ccc2F)cc1 ZINC000512849388 340380720 /nfs/dbraw/zinc/38/07/20/340380720.db2.gz NXQRGQLYHVXOPL-UHFFFAOYSA-N 0 3 305.368 4.222 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CSC[C@@H]2C)o1 ZINC000512861996 340381218 /nfs/dbraw/zinc/38/12/18/340381218.db2.gz RLSFYWMWVRYGST-MEDUHNTESA-N 0 3 305.418 4.235 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1ccc(-c2cccc(F)c2F)o1 ZINC000512862001 340381231 /nfs/dbraw/zinc/38/12/31/340381231.db2.gz RMLDGDCEUHWKQT-YGRLFVJLSA-N 0 3 309.381 4.066 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNCc1cnn2ccccc12 ZINC000512862241 340381248 /nfs/dbraw/zinc/38/12/48/340381248.db2.gz SCQGYGYSPSETRA-UVTDQMKNSA-N 0 3 311.816 4.181 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1ccc(-c2cccc(F)c2F)o1 ZINC000512861998 340381287 /nfs/dbraw/zinc/38/12/87/340381287.db2.gz RMLDGDCEUHWKQT-HZMBPMFUSA-N 0 3 309.381 4.066 20 0 DIADHN Cc1cc(CNCC2(C(F)(F)F)CC2)ccc1Br ZINC000512864140 340381530 /nfs/dbraw/zinc/38/15/30/340381530.db2.gz JSNIPGCBMMNJOA-UHFFFAOYSA-N 0 3 322.168 4.190 20 0 DIADHN CCOc1c(Cl)cccc1CNCC1(C(F)(F)F)CC1 ZINC000512864114 340381555 /nfs/dbraw/zinc/38/15/55/340381555.db2.gz JOBPPMRRULNRSN-UHFFFAOYSA-N 0 3 307.743 4.171 20 0 DIADHN Cc1ccc(CNCC2(C(F)(F)F)CC2)c(Br)c1 ZINC000512866259 340381603 /nfs/dbraw/zinc/38/16/03/340381603.db2.gz YNJTWGCKTKKCFC-UHFFFAOYSA-N 0 3 322.168 4.190 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC000512866323 340381650 /nfs/dbraw/zinc/38/16/50/340381650.db2.gz ZBHVOHSOVLDROD-IINYFYTJSA-N 0 3 309.381 4.066 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000512868912 340381660 /nfs/dbraw/zinc/38/16/60/340381660.db2.gz KEMRMSZMTXZZHQ-UFBFGSQYSA-N 0 3 309.784 4.200 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000512873776 340381940 /nfs/dbraw/zinc/38/19/40/340381940.db2.gz FMRJKDZFNRWUSF-QMTHXVAHSA-N 0 3 324.902 4.305 20 0 DIADHN CCC[C@@]1(NCc2cc(Cl)c(OC)cc2Cl)CCOC1 ZINC000512879858 340382253 /nfs/dbraw/zinc/38/22/53/340382253.db2.gz KUWUYIDCUURLMZ-OAHLLOKOSA-N 0 3 318.244 4.051 20 0 DIADHN Cn1cccc1CN[C@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000512890775 340382687 /nfs/dbraw/zinc/38/26/87/340382687.db2.gz MLSXFGBXWWEAGC-INIZCTEOSA-N 0 3 324.346 4.165 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2Cl)C1)c1ccncc1 ZINC000174147706 340383023 /nfs/dbraw/zinc/38/30/23/340383023.db2.gz RRAYOSIIBBCLAM-GDBMZVCRSA-N 0 3 315.848 4.055 20 0 DIADHN Cc1ccc(CNCC2(C(F)(F)F)CC2)cc1Br ZINC000512898394 340383156 /nfs/dbraw/zinc/38/31/56/340383156.db2.gz BHWLOOCIEMDAAE-UHFFFAOYSA-N 0 3 322.168 4.190 20 0 DIADHN COc1cccc(C2(NCc3ccc4nc(C)sc4c3)CC2)c1 ZINC000512904499 340383413 /nfs/dbraw/zinc/38/34/13/340383413.db2.gz VLBMKTNQJZNFFO-UHFFFAOYSA-N 0 3 324.449 4.392 20 0 DIADHN CC[C@@H](NC/C=C/c1ccccc1)c1ccc(OC)c(OC)c1 ZINC000512904156 340383451 /nfs/dbraw/zinc/38/34/51/340383451.db2.gz NQGHOYYJXXUBRN-VEGGFIAOSA-N 0 3 311.425 4.458 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccc(F)c2)c2ccccc2n1 ZINC000174253584 340384815 /nfs/dbraw/zinc/38/48/15/340384815.db2.gz CCWSANSVNQLMHG-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2nc3ccccc3nc2C)C1 ZINC000174351862 340386548 /nfs/dbraw/zinc/38/65/48/340386548.db2.gz NHSBIUAYMAQKAV-HUUCEWRRSA-N 0 3 315.486 4.044 20 0 DIADHN CC[C@@H](C)CCC(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000512989369 340387033 /nfs/dbraw/zinc/38/70/33/340387033.db2.gz STRVQMFXTYLLDZ-SJORKVTESA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H](NCc1cc(N(C)C)nc2ccccc12)c1ccc(F)cc1 ZINC000174388699 340387295 /nfs/dbraw/zinc/38/72/95/340387295.db2.gz WZLUOJZIQRGVAB-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN Cc1noc(C)c1CNC[C@H](c1ccc(Cl)cc1)C(C)C ZINC000513001217 340387686 /nfs/dbraw/zinc/38/76/86/340387686.db2.gz CICYRXIQHHXKAJ-INIZCTEOSA-N 0 3 306.837 4.474 20 0 DIADHN C[C@H](NCc1cc2ccc(F)cc2[nH]1)c1ccc2n[nH]cc2c1 ZINC000513016698 340388709 /nfs/dbraw/zinc/38/87/09/340388709.db2.gz KVLGOJGBTHSPCE-NSHDSACASA-N 0 3 308.360 4.034 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2cccc3ccccc32)c1 ZINC000174462752 340389135 /nfs/dbraw/zinc/38/91/35/340389135.db2.gz BKDGTALGCLEKMU-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN Fc1ccc(Cl)cc1CNCCc1ccc2ccccc2n1 ZINC000513027657 340389281 /nfs/dbraw/zinc/38/92/81/340389281.db2.gz ZIQMOCSQWIHKBE-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccc2n[nH]cc2c1 ZINC000513038466 340390073 /nfs/dbraw/zinc/39/00/73/340390073.db2.gz YJAHLGIOZYAABJ-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN Cc1cc2cc(CNCC3=Cc4ccccc4OC3)ccc2[nH]1 ZINC000513040514 340390208 /nfs/dbraw/zinc/39/02/08/340390208.db2.gz ITFBXUCSTHAOSN-UHFFFAOYSA-N 0 3 304.393 4.042 20 0 DIADHN C[C@@H]1CC[C@@H]1NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000513046993 340390724 /nfs/dbraw/zinc/39/07/24/340390724.db2.gz OPFKGFAERWTLHE-DYVFJYSZSA-N 0 3 316.832 4.202 20 0 DIADHN C[C@@H](NC[C@@H](C)CCc1ccccc1)c1c(F)cncc1F ZINC000513059259 340391373 /nfs/dbraw/zinc/39/13/73/340391373.db2.gz VLZZXJWGHSFIIK-UONOGXRCSA-N 0 3 304.384 4.279 20 0 DIADHN CCCOc1cccc(CNCCc2c(F)cccc2F)c1 ZINC000513063559 340391565 /nfs/dbraw/zinc/39/15/65/340391565.db2.gz YNPWQUGARTYOSB-UHFFFAOYSA-N 0 3 305.368 4.086 20 0 DIADHN Cc1cc2cc(CNCc3c[nH]c(-c4ccccc4)n3)ccc2[nH]1 ZINC000513078191 340392337 /nfs/dbraw/zinc/39/23/37/340392337.db2.gz WZKZOKJHXYVSKM-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN Cc1cc2cc(CNCc3cnc(-c4ccccc4)[nH]3)ccc2[nH]1 ZINC000513078191 340392338 /nfs/dbraw/zinc/39/23/38/340392338.db2.gz WZKZOKJHXYVSKM-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](C)c1ccc(Br)cc1F ZINC000513096302 340393043 /nfs/dbraw/zinc/39/30/43/340393043.db2.gz TVZOUSKDNYUNHT-QWRGUYRKSA-N 0 3 318.230 4.052 20 0 DIADHN CC[C@@H](N[C@@H](C(=O)NCc1ccccc1)c1ccccc1)C(C)C ZINC000513117824 340394097 /nfs/dbraw/zinc/39/40/97/340394097.db2.gz VNCDSLUAEHITBU-WOJBJXKFSA-N 0 3 324.468 4.068 20 0 DIADHN CN(Cc1nccn1C(F)F)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000513218843 340397815 /nfs/dbraw/zinc/39/78/15/340397815.db2.gz OKUXFWZYPRWOND-HOTGVXAUSA-N 0 3 319.399 4.436 20 0 DIADHN COCC1CCN(Cc2ccc(-c3cccc(F)c3C)o2)CC1 ZINC000513446624 340408012 /nfs/dbraw/zinc/40/80/12/340408012.db2.gz GYADIVWVDYOUQC-UHFFFAOYSA-N 0 3 317.404 4.253 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)c1nc2cc(C)ccc2o1 ZINC000513630957 340416107 /nfs/dbraw/zinc/41/61/07/340416107.db2.gz VOZHJKKPVSQWMQ-UHFFFAOYSA-N 0 3 323.440 4.224 20 0 DIADHN Clc1ccccc1CNCCCOCCc1ccccc1 ZINC000513637173 340416279 /nfs/dbraw/zinc/41/62/79/340416279.db2.gz IHIYVWIOKZGRLF-UHFFFAOYSA-N 0 3 303.833 4.079 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1ccc(C(F)(F)F)cc1 ZINC000513639012 340416503 /nfs/dbraw/zinc/41/65/03/340416503.db2.gz XLUXBVXHHQBLKL-CZUORRHYSA-N 0 3 315.379 4.390 20 0 DIADHN C[C@@H](CN[C@H]1CCc2c1cccc2F)Oc1ccccc1F ZINC000513858611 340428965 /nfs/dbraw/zinc/42/89/65/340428965.db2.gz BWBGQZFMXRXHAJ-SJCJKPOMSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1cccc(-n2cccn2)c1 ZINC000513869816 340429735 /nfs/dbraw/zinc/42/97/35/340429735.db2.gz QJNAOSJYIIOSJI-VBKZILBWSA-N 0 3 321.399 4.350 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000514072632 340444423 /nfs/dbraw/zinc/44/44/23/340444423.db2.gz IJBWGQQOKNRFQX-CYBMUJFWSA-N 0 3 303.377 4.133 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CC[C@H](C)c3ccsc32)c1C ZINC000516909050 340458500 /nfs/dbraw/zinc/45/85/00/340458500.db2.gz OCPSXGKOHUBOMW-MEDUHNTESA-N 0 3 303.475 4.310 20 0 DIADHN CCC[C@@H](NCCOCC(F)(F)C(F)F)c1ccsc1 ZINC000517070690 340463081 /nfs/dbraw/zinc/46/30/81/340463081.db2.gz LKDUZZJHVXWIBH-LLVKDONJSA-N 0 3 313.360 4.096 20 0 DIADHN COCCOc1ccc(CN[C@H](C)c2ccc(C)c(F)c2)cc1 ZINC000088765778 340463127 /nfs/dbraw/zinc/46/31/27/340463127.db2.gz RWVASOPRMVCMAP-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN CC[C@H](NC[C@@]1(C)OCCc2sccc21)c1ccccc1F ZINC000517205757 340465540 /nfs/dbraw/zinc/46/55/40/340465540.db2.gz JPGPUHSFMUZFOO-FUHWJXTLSA-N 0 3 319.445 4.416 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000089552824 340470064 /nfs/dbraw/zinc/47/00/64/340470064.db2.gz BYACSDXQUVFQQD-UONOGXRCSA-N 0 3 308.425 4.328 20 0 DIADHN C[C@@H]1C[C@@H]1CNCc1cc(Cl)ccc1OCc1cccnc1 ZINC000517535727 340471235 /nfs/dbraw/zinc/47/12/35/340471235.db2.gz MJTWQIRNKQTRSX-UKRRQHHQSA-N 0 3 316.832 4.060 20 0 DIADHN CCC1(C(=O)Nc2cc(CN(C)C)ccc2C)CCCCC1 ZINC000184121528 340490996 /nfs/dbraw/zinc/49/09/96/340490996.db2.gz CMLCQVIWTZRHJZ-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Oc1cc(F)cc(CN[C@H](c2ccc(F)cc2)C2CCC2)c1 ZINC000185515816 340502239 /nfs/dbraw/zinc/50/22/39/340502239.db2.gz PDJFYNPKWQBSEP-SFHVURJKSA-N 0 3 303.352 4.301 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2CCCc3c(C)ccc(C)c32)cc1 ZINC000186091990 340508144 /nfs/dbraw/zinc/50/81/44/340508144.db2.gz IWIQMJNKAIFPIF-FQEVSTJZSA-N 0 3 322.452 4.429 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1ccc(CO)c(F)c1 ZINC000186123475 340508497 /nfs/dbraw/zinc/50/84/97/340508497.db2.gz BTTFKABSCXYHGK-LJQANCHMSA-N 0 3 313.416 4.102 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N(C)C)c1ccc2ccccc2c1 ZINC000219858786 340510663 /nfs/dbraw/zinc/51/06/63/340510663.db2.gz NACHNSCFJDISFE-HNAYVOBHSA-N 0 3 308.425 4.386 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H]2CCC[C@H](C3CC3)C2)no1 ZINC000186820269 340516065 /nfs/dbraw/zinc/51/60/65/340516065.db2.gz LOWSWZYGLUHWCU-GJZGRUSLSA-N 0 3 306.450 4.048 20 0 DIADHN COc1cc(F)c(CN[C@H](c2ccccc2)C(C)C)cc1OC ZINC000521631275 340526673 /nfs/dbraw/zinc/52/66/73/340526673.db2.gz JJVOELZDPWCLIO-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(Cl)cc1)c1c(C)nn(C)c1C ZINC000152565809 340527495 /nfs/dbraw/zinc/52/74/95/340527495.db2.gz BCATTXHRIQUWQW-MEDUHNTESA-N 0 3 305.853 4.492 20 0 DIADHN COc1cccc2c1CCC[C@H]2NC[C@@H](OC)c1ccccc1 ZINC000521763737 340531000 /nfs/dbraw/zinc/53/10/00/340531000.db2.gz VLRNPJVDZOCOCU-UYAOXDASSA-N 0 3 311.425 4.050 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(Br)c(F)c1 ZINC000222935284 340542235 /nfs/dbraw/zinc/54/22/35/340542235.db2.gz ZQUMHJDQGBJLRW-ZETCQYMHSA-N 0 3 314.120 4.019 20 0 DIADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccccc1C(F)(F)F ZINC000223691597 340547085 /nfs/dbraw/zinc/54/70/85/340547085.db2.gz OSYVXQMIEPUTCC-VXGBXAGGSA-N 0 3 303.368 4.153 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C(C)C)nc1)c1cccs1 ZINC000190842204 340547448 /nfs/dbraw/zinc/54/74/48/340547448.db2.gz GOOVPQGSPYIKGC-OAHLLOKOSA-N 0 3 303.475 4.229 20 0 DIADHN Cc1csc([C@@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)n1 ZINC000191013523 340549135 /nfs/dbraw/zinc/54/91/35/340549135.db2.gz YJMMIHXFPKSUBB-HOTGVXAUSA-N 0 3 320.502 4.374 20 0 DIADHN Cc1nc(C)c(CCN[C@H](C)c2csc(Cl)c2)s1 ZINC000191276442 340552091 /nfs/dbraw/zinc/55/20/91/340552091.db2.gz YEASZZQWGYDNAN-MRVPVSSYSA-N 0 3 300.880 4.368 20 0 DIADHN Cc1c([C@H](C)NC[C@@H](C)Oc2ccccc2C)cnn1C(C)C ZINC000191314992 340552728 /nfs/dbraw/zinc/55/27/28/340552728.db2.gz NKQDFIYQDFGVNI-CVEARBPZSA-N 0 3 315.461 4.199 20 0 DIADHN Cc1c([C@@H](C)NC[C@H](C)Oc2cccc(F)c2)cnn1C(C)C ZINC000191314323 340552815 /nfs/dbraw/zinc/55/28/15/340552815.db2.gz HNGFBXUWPWCUCU-UONOGXRCSA-N 0 3 319.424 4.030 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2CC(C)(C)c3ccccc32)cn1 ZINC000191367706 340553373 /nfs/dbraw/zinc/55/33/73/340553373.db2.gz BWKVYWPWFKONKE-GOSISDBHSA-N 0 3 309.457 4.050 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CNC1(c2ccccc2)CCC1 ZINC000192081618 340561748 /nfs/dbraw/zinc/56/17/48/340561748.db2.gz XKFIPHUAFGJXAO-UHFFFAOYSA-N 0 3 317.436 4.350 20 0 DIADHN C[C@H](NCC1(CO)CCCC1)c1cc(Cl)sc1Cl ZINC000225414907 340567340 /nfs/dbraw/zinc/56/73/40/340567340.db2.gz IZBOTQMSGBACSB-VIFPVBQESA-N 0 3 308.274 4.258 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC1CCOCC1 ZINC000192530038 340567491 /nfs/dbraw/zinc/56/74/91/340567491.db2.gz HPAGZIYQUBDJTG-UHFFFAOYSA-N 0 3 313.894 4.357 20 0 DIADHN c1coc(-c2ncc(CNCc3cccc4cccnc43)s2)c1 ZINC000193069326 340572019 /nfs/dbraw/zinc/57/20/19/340572019.db2.gz HKTPVDRLNVVOKS-UHFFFAOYSA-N 0 3 321.405 4.241 20 0 DIADHN OCCCCNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000193253601 340574965 /nfs/dbraw/zinc/57/49/65/340574965.db2.gz JBVGVZKRJWCJKK-UHFFFAOYSA-N 0 3 314.212 4.116 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCc2nccs2)o1 ZINC000195264932 340577122 /nfs/dbraw/zinc/57/71/22/340577122.db2.gz IFGAIKQLAAWSPH-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN CCn1c(CNCCc2ccc(Cl)s2)nc2ccccc21 ZINC000226181375 340579801 /nfs/dbraw/zinc/57/98/01/340579801.db2.gz AUOWJVWUAJLPQF-UHFFFAOYSA-N 0 3 319.861 4.103 20 0 DIADHN C[C@@H](CCc1ccc(C(F)(F)F)cc1)NCc1cscn1 ZINC000193979871 340587178 /nfs/dbraw/zinc/58/71/78/340587178.db2.gz IOSWSKWYPPZGJG-NSHDSACASA-N 0 3 314.376 4.273 20 0 DIADHN CCN(CC)C(=O)C[C@@H](C)NC(c1ccccc1)c1ccccc1 ZINC000194653113 340594404 /nfs/dbraw/zinc/59/44/04/340594404.db2.gz KAKLIUXUKJPHBO-QGZVFWFLSA-N 0 3 324.468 4.013 20 0 DIADHN C[C@H]1CCCC[C@H]1CCNCc1cnc(-c2ccccc2)nc1 ZINC000522259766 340594702 /nfs/dbraw/zinc/59/47/02/340594702.db2.gz DEXDRNBJKOAQLK-WMZOPIPTSA-N 0 3 309.457 4.450 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000194936032 340597548 /nfs/dbraw/zinc/59/75/48/340597548.db2.gz HZHDKALKCQMYHW-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](C)C[C@H]2CCCO2)o1 ZINC000522381480 340600310 /nfs/dbraw/zinc/60/03/10/340600310.db2.gz DDUONRLHDAYWHQ-GDBMZVCRSA-N 0 3 317.404 4.441 20 0 DIADHN C[C@H](O)CCCN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000228027688 340606011 /nfs/dbraw/zinc/60/60/11/340606011.db2.gz FGLZGNYOKORGCF-IUCAKERBSA-N 0 3 310.652 4.458 20 0 DIADHN CSCCCCCNCc1ccccc1Br ZINC000229519172 340614222 /nfs/dbraw/zinc/61/42/22/340614222.db2.gz PZICHUYOTKMVFZ-UHFFFAOYSA-N 0 3 302.281 4.072 20 0 DIADHN CC(C)C(CNCc1csc(-c2ccccn2)n1)C(C)C ZINC000229524690 340614232 /nfs/dbraw/zinc/61/42/32/340614232.db2.gz WMEDSKXTGLWOCN-UHFFFAOYSA-N 0 3 303.475 4.223 20 0 DIADHN C[C@@H](N[C@H]1CCN(Cc2ccccc2)C1)c1csc(Cl)c1 ZINC000230406996 340619949 /nfs/dbraw/zinc/61/99/49/340619949.db2.gz QKQHBBXCLLVQJW-CJNGLKHVSA-N 0 3 320.889 4.327 20 0 DIADHN C[C@@H](CNCc1c(Cl)cccc1Cl)c1nccs1 ZINC000230692923 340620685 /nfs/dbraw/zinc/62/06/85/340620685.db2.gz NTMYUSRSLUNUFY-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1cccc2c1OC(C)(C)C2 ZINC000523391428 340623006 /nfs/dbraw/zinc/62/30/06/340623006.db2.gz VXIKEDBIVYWIBD-AUUYWEPGSA-N 0 3 307.437 4.423 20 0 DIADHN CC[C@H](N[C@@H](C)CC(F)(F)F)c1ccc(OC)c(OC)c1 ZINC000231791448 340626104 /nfs/dbraw/zinc/62/61/04/340626104.db2.gz LVDLKZCRIQGXOQ-JQWIXIFHSA-N 0 3 305.340 4.085 20 0 DIADHN CCOc1ccccc1CNCCCO[C@@H](C)c1ccccc1 ZINC000302717333 340630637 /nfs/dbraw/zinc/63/06/37/340630637.db2.gz DIBFYCSGRZLYRH-KRWDZBQOSA-N 0 3 313.441 4.343 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](C)Cc2ccc(C)cc2C)c1 ZINC000303357479 340635083 /nfs/dbraw/zinc/63/50/83/340635083.db2.gz PEBWUNLFFHUNKS-INIZCTEOSA-N 0 3 313.441 4.041 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC2(O)CCCCC2)o1 ZINC000523740000 340636926 /nfs/dbraw/zinc/63/69/26/340636926.db2.gz RZKJAHIXGZHSDZ-UHFFFAOYSA-N 0 3 317.404 4.179 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCC[C@@H]1CN1CCCCC1 ZINC000524213140 340649970 /nfs/dbraw/zinc/64/99/70/340649970.db2.gz AXAQUECGUMNJJX-QZTJIDSGSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1ccc(-c2noc(CNC(C)(C)CC(C)(C)C)n2)cc1 ZINC000524436381 340654394 /nfs/dbraw/zinc/65/43/94/340654394.db2.gz RARCOAJLXDHIEW-UHFFFAOYSA-N 0 3 301.434 4.349 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000235531839 340660639 /nfs/dbraw/zinc/66/06/39/340660639.db2.gz FXQUJZDLNHRNOD-LPEHRKFASA-N 0 3 322.663 4.458 20 0 DIADHN Clc1ccc(CNC[C@H]2CCCO[C@@H]2c2ccccc2)o1 ZINC000524680603 340661009 /nfs/dbraw/zinc/66/10/09/340661009.db2.gz IUIISMYGHUULBE-RHSMWYFYSA-N 0 3 305.805 4.191 20 0 DIADHN CC(C)COc1ccc(C(C)(C)NCc2cccc(O)c2)cc1 ZINC000236666834 340663539 /nfs/dbraw/zinc/66/35/39/340663539.db2.gz YGELFHPOSSBFSX-UHFFFAOYSA-N 0 3 313.441 4.452 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)cc1)c1cccc(Cl)c1 ZINC000236933279 340666581 /nfs/dbraw/zinc/66/65/81/340666581.db2.gz SCYWXLFOCADFGV-KRWDZBQOSA-N 0 3 317.816 4.368 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)CCc1ccc(O)cc1 ZINC000237102174 340668084 /nfs/dbraw/zinc/66/80/84/340668084.db2.gz IOGVCSIRMVXUPY-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2)C(C)(C)C)cc1 ZINC000237231753 340669162 /nfs/dbraw/zinc/66/91/62/340669162.db2.gz VOLUSVFDYFHWER-GOSISDBHSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NC2CC(c3ccccc3)C2)c1 ZINC000237406688 340670702 /nfs/dbraw/zinc/67/07/02/340670702.db2.gz VOFDAPLRMZYSDV-ODIFPOPNSA-N 0 3 311.425 4.301 20 0 DIADHN Clc1cccc(CCNCc2ccc(Br)o2)c1 ZINC000237776701 340673604 /nfs/dbraw/zinc/67/36/04/340673604.db2.gz MZBMIKPXRRAZHJ-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)c(C)c1 ZINC000237883172 340674651 /nfs/dbraw/zinc/67/46/51/340674651.db2.gz WBIQFCSEYBPRGD-NRFANRHFSA-N 0 3 323.436 4.316 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2c(F)cccc2F)C12CCCC2 ZINC000315763858 340676748 /nfs/dbraw/zinc/67/67/48/340676748.db2.gz CFWGDZBJBOOKLR-DAXOMENPSA-N 0 3 309.400 4.353 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cc(F)ccc2F)C12CCCC2 ZINC000316060438 340678105 /nfs/dbraw/zinc/67/81/05/340678105.db2.gz JSEDSGZCWSQMCJ-CSMYWGQOSA-N 0 3 309.400 4.353 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@H](C)C[C@@H](C)O)o2)cc1 ZINC000524773344 340680014 /nfs/dbraw/zinc/68/00/14/340680014.db2.gz IXEBWEXSRSBSTH-HUUCEWRRSA-N 0 3 301.430 4.006 20 0 DIADHN c1ccc2c(c1)cccc2C1CCN(CCOCC2CC2)CC1 ZINC000525119872 340690395 /nfs/dbraw/zinc/69/03/95/340690395.db2.gz WEJBSRGNSLHLGB-UHFFFAOYSA-N 0 3 309.453 4.446 20 0 DIADHN Clc1ccc2nc(CN[C@@H]3CC[C@@H]4CCC[C@@H]4C3)cn2c1 ZINC000525182657 340694096 /nfs/dbraw/zinc/69/40/96/340694096.db2.gz IYLVFFHSVTWZMY-GZBFAFLISA-N 0 3 303.837 4.046 20 0 DIADHN CC[C@@H](C[C@@H](C)CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC000525247207 340696771 /nfs/dbraw/zinc/69/67/71/340696771.db2.gz SISPKEOHXJLWJU-ZBFHGGJFSA-N 0 3 318.486 4.005 20 0 DIADHN CCCCCN(CCCCC)C(=O)c1ccc2c(c1)CNC2 ZINC000317030246 340699709 /nfs/dbraw/zinc/69/97/09/340699709.db2.gz QDPBFLYCIFZUIZ-UHFFFAOYSA-N 0 3 302.462 4.112 20 0 DIADHN Brc1ccsc1CN[C@H]1CC[C@@H]1C1CCC1 ZINC000393775443 340705554 /nfs/dbraw/zinc/70/55/54/340705554.db2.gz FECCUJWBTOQROG-PWSUYJOCSA-N 0 3 300.265 4.179 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc3cccnc31)CCC2 ZINC000322629386 340705581 /nfs/dbraw/zinc/70/55/81/340705581.db2.gz QKQXUCMEEUXXII-LJQANCHMSA-N 0 3 304.393 4.108 20 0 DIADHN COCCC[C@H](Cc1ccccc1)NCc1cccc(F)c1F ZINC000525999241 340708082 /nfs/dbraw/zinc/70/80/82/340708082.db2.gz SZMILBGHULBLOJ-QGZVFWFLSA-N 0 3 319.395 4.092 20 0 DIADHN C[C@@H](NCC(=O)N1CCCCC1)c1ccc(-c2ccccc2)cc1 ZINC000325948045 340710021 /nfs/dbraw/zinc/71/00/21/340710021.db2.gz COEDEXHOFFFIIA-QGZVFWFLSA-N 0 3 322.452 4.017 20 0 DIADHN C/C(Cl)=C\CNC1(c2ccc(Cl)cc2)CCOCC1 ZINC000528413203 340717435 /nfs/dbraw/zinc/71/74/35/340717435.db2.gz HZDLEDZCLNTRTL-WUXMJOGZSA-N 0 3 300.229 4.078 20 0 DIADHN Cc1cc(Cl)ccc1NC1CCN(c2ccncc2)CC1 ZINC000037374227 340785182 /nfs/dbraw/zinc/78/51/82/340785182.db2.gz UQQWIDGQWMZPIL-UHFFFAOYSA-N 0 3 301.821 4.124 20 0 DIADHN CC[C@H](N[C@H](c1ccc(C)cc1)c1ccccn1)C1CCOCC1 ZINC000530370794 340789653 /nfs/dbraw/zinc/78/96/53/340789653.db2.gz CBCLSYNZRWTLRN-PZJWPPBQSA-N 0 3 324.468 4.274 20 0 DIADHN C[C@@H](N[C@@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000530423659 340791193 /nfs/dbraw/zinc/79/11/93/340791193.db2.gz SKFZGORTNLTRNF-PSASIEDQSA-N 0 3 307.793 4.098 20 0 DIADHN CCOC[C@H](C)N[C@@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000537943776 340792277 /nfs/dbraw/zinc/79/22/77/340792277.db2.gz AOZGRMOJPBUHTQ-JXFKEZNVSA-N 0 3 313.441 4.108 20 0 DIADHN COc1cc(F)c([C@@H](C)NCCc2ccc(C)cc2)cc1OC ZINC000537983439 340795550 /nfs/dbraw/zinc/79/55/50/340795550.db2.gz LZTOQAMNKLPHPP-CQSZACIVSA-N 0 3 317.404 4.045 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2ccccc21)c1cccc(Cl)c1 ZINC000537984477 340795605 /nfs/dbraw/zinc/79/56/05/340795605.db2.gz WEVIVRNVTBSYAL-ROUUACIJSA-N 0 3 301.817 4.305 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CN[C@@H](C)c1ccc(F)cn1 ZINC000124170055 340797581 /nfs/dbraw/zinc/79/75/81/340797581.db2.gz OKZIOAYKVUJRJL-ZFWWWQNUSA-N 0 3 314.404 4.000 20 0 DIADHN CCC[C@@H](NCc1ccc(COC)cc1)c1ccc(OC)cc1 ZINC000124381439 340798802 /nfs/dbraw/zinc/79/88/02/340798802.db2.gz ZTDXQOOJTSOXPV-HXUWFJFHSA-N 0 3 313.441 4.473 20 0 DIADHN CCCC[C@@H](NCc1cccc(C(=O)NCC)c1)c1ccccc1 ZINC000537988790 340806035 /nfs/dbraw/zinc/80/60/35/340806035.db2.gz ZNEOYHGDLNFNDM-HXUWFJFHSA-N 0 3 324.468 4.457 20 0 DIADHN COC[C@@H](NCC1(C)CCC1)c1cccc(C(F)(F)F)c1 ZINC000538018359 340807407 /nfs/dbraw/zinc/80/74/07/340807407.db2.gz RKOQPUBUXYPQBP-CQSZACIVSA-N 0 3 301.352 4.173 20 0 DIADHN CCc1ccc([C@@H](NCc2ccc(CO)c(F)c2)C(C)C)cc1 ZINC000538113546 340809727 /nfs/dbraw/zinc/80/97/27/340809727.db2.gz VZPIVAZLOIWVDH-FQEVSTJZSA-N 0 3 315.432 4.367 20 0 DIADHN COc1ccccc1[C@@H](NCCC(F)(F)F)C1CCCC1 ZINC000538116619 340810127 /nfs/dbraw/zinc/81/01/27/340810127.db2.gz NTJZMMAYAGVEIB-HNNXBMFYSA-N 0 3 301.352 4.469 20 0 DIADHN C[C@H](NCC1(C)Cc2ccccc2C1)c1nc2ccccc2n1C ZINC000538117775 340810349 /nfs/dbraw/zinc/81/03/49/340810349.db2.gz VPKGUJFKRSRKBI-HNNXBMFYSA-N 0 3 319.452 4.029 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCSc1ccccc1 ZINC000538165695 340812068 /nfs/dbraw/zinc/81/20/68/340812068.db2.gz SDWCGHIXRMKMIU-CYBMUJFWSA-N 0 3 305.418 4.277 20 0 DIADHN CC[C@H](NCC(C)(C)Cc1cccc(Cl)c1)c1nccn1C ZINC000538218347 340813814 /nfs/dbraw/zinc/81/38/14/340813814.db2.gz VLIYGUYIGWVBJD-INIZCTEOSA-N 0 3 319.880 4.383 20 0 DIADHN Cc1cc(CN[C@H](COc2c(C)cccc2C)C(C)(C)C)on1 ZINC000538323479 340818270 /nfs/dbraw/zinc/81/82/70/340818270.db2.gz OQCJSTVIGLMKSJ-QGZVFWFLSA-N 0 3 316.445 4.183 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@@H](OC)C(C)C)oc21 ZINC000538394367 340820698 /nfs/dbraw/zinc/82/06/98/340820698.db2.gz XTIILQCKQXDMLG-SUMWQHHRSA-N 0 3 305.418 4.153 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccnc(SC)c2)C12CCCCC2 ZINC000538396446 340820797 /nfs/dbraw/zinc/82/07/97/340820797.db2.gz UBIMELRIQGJOJX-HZPDHXFCSA-N 0 3 320.502 4.021 20 0 DIADHN CC(C)COc1ccccc1CN[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC000538397885 340821020 /nfs/dbraw/zinc/82/10/20/340821020.db2.gz YTAWEJRCBULZBB-QRQLOZEOSA-N 0 3 317.473 4.015 20 0 DIADHN C[C@H](CCO)C1(CNCc2c(Cl)oc3ccccc32)CCC1 ZINC000538446402 340824049 /nfs/dbraw/zinc/82/40/49/340824049.db2.gz SDMVWBPTWICRPQ-CYBMUJFWSA-N 0 3 321.848 4.365 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](C)c2cccc(F)c2F)c1 ZINC000538463060 340824889 /nfs/dbraw/zinc/82/48/89/340824889.db2.gz CLGRJXWKBHKUGO-QWHCGFSZSA-N 0 3 305.368 4.255 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1ccc(Cl)s1 ZINC000538487535 340827675 /nfs/dbraw/zinc/82/76/75/340827675.db2.gz LCAOCNAFDDVPEW-BMIGLBTASA-N 0 3 313.825 4.406 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1ccnc2ccccc12 ZINC000538488167 340827884 /nfs/dbraw/zinc/82/78/84/340827884.db2.gz RZCKUNLFGGYGAI-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN CCC1(CO)CCN(Cc2cc3cc(C)c(C)cc3o2)CC1 ZINC000538717476 340840910 /nfs/dbraw/zinc/84/09/10/340840910.db2.gz DKSAMTAUWOCGIT-UHFFFAOYSA-N 0 3 301.430 4.034 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCN(C2CCC(C)CC2)CC1 ZINC000538739338 340845674 /nfs/dbraw/zinc/84/56/74/340845674.db2.gz TVDMHGITNFMTTN-MNDPQUGUSA-N 0 3 312.501 4.286 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2ccc(C)cc2C)cc1 ZINC000127164935 340845919 /nfs/dbraw/zinc/84/59/19/340845919.db2.gz XHYFPYMXTKFDCR-QGZVFWFLSA-N 0 3 312.457 4.213 20 0 DIADHN CC[C@H](N[C@H]1CCOC2(CCCC2)C1)c1ccc(F)cc1F ZINC000127270623 340848132 /nfs/dbraw/zinc/84/81/32/340848132.db2.gz SMSCLEUOMPQTRJ-YOEHRIQHSA-N 0 3 309.400 4.497 20 0 DIADHN c1ccc(SCCN2CCC(Cc3cccnc3)CC2)cc1 ZINC000538946161 340863013 /nfs/dbraw/zinc/86/30/13/340863013.db2.gz YKUCREWFTNSALQ-UHFFFAOYSA-N 0 3 312.482 4.128 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1nc(C)oc1C ZINC000538986121 340864394 /nfs/dbraw/zinc/86/43/94/340864394.db2.gz OTDVNHXSCPHWLZ-LLVKDONJSA-N 0 3 322.836 4.237 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H]2CCc3ccccc32)cc1OC ZINC000539021019 340865376 /nfs/dbraw/zinc/86/53/76/340865376.db2.gz MNTRKQIEWPVFQL-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN CCC(CC)(CO)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000539039085 340866200 /nfs/dbraw/zinc/86/62/00/340866200.db2.gz HHJHEVGUMPKFJJ-CYBMUJFWSA-N 0 3 315.404 4.046 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1OC(F)(F)F)[C@H]1CCCO1 ZINC000539042113 340866497 /nfs/dbraw/zinc/86/64/97/340866497.db2.gz UMIQLFZMVVKDRD-CORIIIEPSA-N 0 3 317.351 4.193 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3cc(C)ccc32)cc1OC ZINC000539043337 340866583 /nfs/dbraw/zinc/86/65/83/340866583.db2.gz OBOOXNRJEHYDLE-KBXCAEBGSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3cc(C)ccc32)c(OC)c1 ZINC000539044296 340866685 /nfs/dbraw/zinc/86/66/85/340866685.db2.gz OJKRUUSZBWKTLP-IFXJQAMLSA-N 0 3 311.425 4.350 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CSCCc3ccccc32)c1 ZINC000539047924 340867268 /nfs/dbraw/zinc/86/72/68/340867268.db2.gz ZMJOZPOGQOCWSZ-IBGZPJMESA-N 0 3 313.466 4.124 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2N[C@@H](C)c2cncs2)c1 ZINC000539050069 340867572 /nfs/dbraw/zinc/86/75/72/340867572.db2.gz XEGQPUXTBXUCHP-BHYGNILZSA-N 0 3 316.470 4.492 20 0 DIADHN Cc1cccc(NCCN[C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000539053213 340868139 /nfs/dbraw/zinc/86/81/39/340868139.db2.gz BMDMDSLYEZUPSA-ZDUSSCGKSA-N 0 3 323.362 4.172 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1C[C@@H](C)c2c1cccc2C ZINC000539056088 340868479 /nfs/dbraw/zinc/86/84/79/340868479.db2.gz VEWZDOGJWZJORZ-KBMXLJTQSA-N 0 3 301.455 4.236 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1ccccc1F ZINC000539055048 340868552 /nfs/dbraw/zinc/86/85/52/340868552.db2.gz SGPFEIWCRBRIAU-VFVRVIDISA-N 0 3 305.368 4.392 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1ccc2c(c1)CCC2 ZINC000539056206 340868558 /nfs/dbraw/zinc/86/85/58/340868558.db2.gz ZULHLPMKEWNYCF-VFNWGFHPSA-N 0 3 306.453 4.013 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCC[C@H]2c2ccccc2)s1 ZINC000539057962 340868829 /nfs/dbraw/zinc/86/88/29/340868829.db2.gz FUCVHGCDPWHXRV-LSDHHAIUSA-N 0 3 315.486 4.262 20 0 DIADHN CCc1ccc([C@@H](C)NCCOc2ncccc2Cl)s1 ZINC000539059326 340869027 /nfs/dbraw/zinc/86/90/27/340869027.db2.gz WUWOHHUSVNPMCQ-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN C[C@H](NCCOc1ncc(Cl)cc1Cl)c1cccs1 ZINC000539059101 340869061 /nfs/dbraw/zinc/86/90/61/340869061.db2.gz BWJFIDUWGYEMIV-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCCC[C@H]2c2ccccc2)s1 ZINC000539060181 340869247 /nfs/dbraw/zinc/86/92/47/340869247.db2.gz NULCJNFBJBHKTC-HOTGVXAUSA-N 0 3 315.486 4.478 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCCc1ccccn1 ZINC000539061855 340869456 /nfs/dbraw/zinc/86/94/56/340869456.db2.gz OQWYPZPLXUMVPC-CQSZACIVSA-N 0 3 318.848 4.417 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)c(C)c1 ZINC000539064675 340869893 /nfs/dbraw/zinc/86/98/93/340869893.db2.gz IFQYYZTUQYPHRS-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN C[C@@H](NC1CCC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000539071891 340870578 /nfs/dbraw/zinc/87/05/78/340870578.db2.gz ZXIISPDLZHIKMS-MRVPVSSYSA-N 0 3 307.287 4.093 20 0 DIADHN Cc1cccc(OCCN[C@H](C)c2ccc3ccccc3n2)c1 ZINC000539073033 340870682 /nfs/dbraw/zinc/87/06/82/340870682.db2.gz WPCAPNOGQZTLKY-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN CCOc1ccccc1CCN[C@@H](CC(C)C)c1ccccn1 ZINC000539078290 340871157 /nfs/dbraw/zinc/87/11/57/340871157.db2.gz VAHUQKLEBPPOJK-IBGZPJMESA-N 0 3 312.457 4.400 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)[C@H](C)OC)ccc1OCCC(C)C ZINC000539090682 340872544 /nfs/dbraw/zinc/87/25/44/340872544.db2.gz BBKARIDNMGWTBF-PMPSAXMXSA-N 0 3 323.477 4.194 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(OC(F)F)cc1 ZINC000539104747 340873719 /nfs/dbraw/zinc/87/37/19/340873719.db2.gz XKRXNCACWVWGMS-GFCCVEGCSA-N 0 3 306.356 4.096 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@H](CO)c2sccc2C)cc1 ZINC000539121576 340874237 /nfs/dbraw/zinc/87/42/37/340874237.db2.gz IWFQDSBLCJTSGX-IAGOWNOFSA-N 0 3 303.471 4.393 20 0 DIADHN CC[C@H](CN[C@H](C)c1nc2ccccc2n1C)c1ccccc1 ZINC000539203795 340876320 /nfs/dbraw/zinc/87/63/20/340876320.db2.gz YOCHNYUANMZVOU-HZPDHXFCSA-N 0 3 307.441 4.418 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)C1CC1)c1nc2ccccc2n1C ZINC000539214207 340876492 /nfs/dbraw/zinc/87/64/92/340876492.db2.gz CSTNXFRMACCLMN-HNAYVOBHSA-N 0 3 319.452 4.245 20 0 DIADHN COc1cc(F)cc(CN[C@H](c2ccc(F)cc2)C2CC2)c1 ZINC000539215458 340876617 /nfs/dbraw/zinc/87/66/17/340876617.db2.gz OSYLVSHUWKJMAD-SFHVURJKSA-N 0 3 303.352 4.214 20 0 DIADHN COc1cccc(CCCN[C@H](C)c2c(F)cccc2F)c1 ZINC000539233212 340877711 /nfs/dbraw/zinc/87/77/11/340877711.db2.gz KXFSHXSPUYOODR-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)N(c2ccccc2)C(C)C)cc1 ZINC000539233666 340877806 /nfs/dbraw/zinc/87/78/06/340877806.db2.gz QQVKVHKWGBXOFO-QGZVFWFLSA-N 0 3 310.441 4.087 20 0 DIADHN CCCOc1ccc([C@H](C)NC[C@@H](C)C(F)(F)F)cc1OC ZINC000539254909 340878504 /nfs/dbraw/zinc/87/85/04/340878504.db2.gz ZMCRLNUUSWEDGE-NEPJUHHUSA-N 0 3 319.367 4.333 20 0 DIADHN COc1cccc(CCCN[C@@H](C)c2cc(F)ccc2OC)c1 ZINC000539269271 340879002 /nfs/dbraw/zinc/87/90/02/340879002.db2.gz SKQDSEGFBINZQL-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C)c2C)c(F)c1 ZINC000539287144 340879234 /nfs/dbraw/zinc/87/92/34/340879234.db2.gz PRCLHUKMVYWEIQ-HNNXBMFYSA-N 0 3 314.404 4.040 20 0 DIADHN C[C@H](N[C@@H]1C=CCCC1)c1ccc(NC(=O)c2ccncc2)cc1 ZINC000539290019 340879507 /nfs/dbraw/zinc/87/95/07/340879507.db2.gz VZXJPRYZDATUMW-MAUKXSAKSA-N 0 3 321.424 4.093 20 0 DIADHN CCC[C@@H](NCCCCCn1cccn1)c1ccc(F)cc1F ZINC000539327802 340881316 /nfs/dbraw/zinc/88/13/16/340881316.db2.gz FEUGVQOZUQMJHM-GOSISDBHSA-N 0 3 321.415 4.463 20 0 DIADHN CCC(CC)(CN[C@@H](c1nccn1C)C1CC1)c1ccccc1 ZINC000539394581 340883628 /nfs/dbraw/zinc/88/36/28/340883628.db2.gz QQAROGDFYUGCKF-GOSISDBHSA-N 0 3 311.473 4.219 20 0 DIADHN Cn1ccnc1CN[C@H]1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000539497325 340887758 /nfs/dbraw/zinc/88/77/58/340887758.db2.gz DCQLKKSNRLEXEF-DOMZBBRYSA-N 0 3 324.255 4.153 20 0 DIADHN C[C@@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1cccc(F)c1F ZINC000539556304 340890447 /nfs/dbraw/zinc/89/04/47/340890447.db2.gz UYKCEVNVCSFFSO-SJKOYZFVSA-N 0 3 322.374 4.057 20 0 DIADHN c1ccc([C@H](NCc2ccc3ncccc3c2)[C@H]2CCOC2)cc1 ZINC000539553918 340890527 /nfs/dbraw/zinc/89/05/27/340890527.db2.gz ZHUNNUWADOCTNF-FPOVZHCZSA-N 0 3 318.420 4.102 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2csc(-c3cccs3)n2)C1(C)C ZINC000539587724 340891283 /nfs/dbraw/zinc/89/12/83/340891283.db2.gz FMKCDIFPHRMJAL-DDTOSNHZSA-N 0 3 322.499 4.021 20 0 DIADHN C[C@H](NC1(c2ccccc2)CC1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000539624563 340893144 /nfs/dbraw/zinc/89/31/44/340893144.db2.gz VFXRENYUGQYJQG-AWEZNQCLSA-N 0 3 320.436 4.256 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4cc(OC)ccc43)CC2)cc1 ZINC000539624439 340893160 /nfs/dbraw/zinc/89/31/60/340893160.db2.gz RGBPLDPWLZEVLY-FQEVSTJZSA-N 0 3 323.436 4.360 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2ccccc2OC(C)C)CCO1 ZINC000539632276 340893656 /nfs/dbraw/zinc/89/36/56/340893656.db2.gz CELYTWSUAONZGF-ZWKOTPCHSA-N 0 3 305.462 4.157 20 0 DIADHN CCC[C@H](C)CC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128524578 340893709 /nfs/dbraw/zinc/89/37/09/340893709.db2.gz AHVIDLZXRMZYSL-HNNXBMFYSA-N 0 3 302.462 4.356 20 0 DIADHN CCC[C@@H](C)CC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128524359 340893712 /nfs/dbraw/zinc/89/37/12/340893712.db2.gz AHVIDLZXRMZYSL-OAHLLOKOSA-N 0 3 302.462 4.356 20 0 DIADHN CCc1ccc(C(=O)Nc2cc(CN3CCCC3)ccc2C)cc1 ZINC000128635369 340900722 /nfs/dbraw/zinc/90/07/22/340900722.db2.gz FHUCGUFMQMOYNL-UHFFFAOYSA-N 0 3 322.452 4.406 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)[C@H]2CCOC2)cc1C ZINC000540068571 340914179 /nfs/dbraw/zinc/91/41/79/340914179.db2.gz NULJEAKEINSCAU-FPOVZHCZSA-N 0 3 309.453 4.479 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H](CO)CC(C)(C)C)c(C)c2c1 ZINC000540147566 340917118 /nfs/dbraw/zinc/91/71/18/340917118.db2.gz AMHPQSCRWVMPAA-KBPBESRZSA-N 0 3 319.445 4.198 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H]1CCc2cc(F)ccc21 ZINC000540151020 340917566 /nfs/dbraw/zinc/91/75/66/340917566.db2.gz LMCWAUHMBFTVCF-DPMMWBKBSA-N 0 3 317.379 4.318 20 0 DIADHN Cc1cccc(C[C@H](NCc2nnc(C(C)C)s2)C2CC2)c1 ZINC000540155282 340918256 /nfs/dbraw/zinc/91/82/56/340918256.db2.gz CZWSWHQJSFGEFR-INIZCTEOSA-N 0 3 315.486 4.081 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCCOC1CCCCC1 ZINC000540168656 340919893 /nfs/dbraw/zinc/91/98/93/340919893.db2.gz FTUIEIXVIIVJIH-SFHVURJKSA-N 0 3 303.446 4.148 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCc3c2cccc3OC)C2CC2)cc1 ZINC000540172038 340920422 /nfs/dbraw/zinc/92/04/22/340920422.db2.gz MCVNMNRYGLCMSZ-CTNGQTDRSA-N 0 3 323.436 4.432 20 0 DIADHN COc1ccc([C@@H](C)NCCOc2ccccc2F)cc1Cl ZINC000540173526 340920504 /nfs/dbraw/zinc/92/05/04/340920504.db2.gz IDZXDJOJIRIZBQ-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(F)cc1N(C)C ZINC000540175873 340920655 /nfs/dbraw/zinc/92/06/55/340920655.db2.gz ZKABHJQHBFLXIF-XIKOKIGWSA-N 0 3 316.395 4.369 20 0 DIADHN Cc1cc([C@@H](C)NCCNC(=O)CC2CCCCC2)c(C)s1 ZINC000540181828 340921272 /nfs/dbraw/zinc/92/12/72/340921272.db2.gz JAVVQNLNBSLGMZ-CQSZACIVSA-N 0 3 322.518 4.102 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1Cc1ccccc1)c1nc2c(s1)CCCC2 ZINC000540183534 340921488 /nfs/dbraw/zinc/92/14/88/340921488.db2.gz IONDCKULASAGTI-YSVLISHTSA-N 0 3 312.482 4.304 20 0 DIADHN COC[C@@H](N[C@@H](C)Cc1ccccc1C)c1ccc(F)cc1 ZINC000540186210 340921892 /nfs/dbraw/zinc/92/18/92/340921892.db2.gz OPPDCNSGQHGSNP-HNAYVOBHSA-N 0 3 301.405 4.042 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccc(F)cc1 ZINC000540187234 340921937 /nfs/dbraw/zinc/92/19/37/340921937.db2.gz IFMPFCZRCNBWPU-BLLLJJGKSA-N 0 3 319.445 4.414 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc2c(s1)CCC2)c1ccc(F)cc1 ZINC000540187236 340921941 /nfs/dbraw/zinc/92/19/41/340921941.db2.gz IFMPFCZRCNBWPU-MLGOLLRUSA-N 0 3 319.445 4.414 20 0 DIADHN C[C@H](Cc1ccsc1)N[C@@H]1CCCOc2ccc(F)cc21 ZINC000540189664 340922344 /nfs/dbraw/zinc/92/23/44/340922344.db2.gz WGBCAQVDZYHECE-MLGOLLRUSA-N 0 3 305.418 4.322 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1Cl)c1ccc(F)cn1 ZINC000540192824 340922749 /nfs/dbraw/zinc/92/27/49/340922749.db2.gz UCHRBUNLZSHZIJ-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000540219366 340923902 /nfs/dbraw/zinc/92/39/02/340923902.db2.gz DYCKSCPCMMXXTR-RDTXWAMCSA-N 0 3 315.482 4.320 20 0 DIADHN COc1cc([C@@H](C)NCCOC(C)C)ccc1OC1CCCC1 ZINC000540349954 340928055 /nfs/dbraw/zinc/92/80/55/340928055.db2.gz ADLNRPDQUKFGSV-OAHLLOKOSA-N 0 3 321.461 4.092 20 0 DIADHN Cc1cccc([C@@H](C)NCCC(=O)Nc2ccc(F)cc2)c1C ZINC000540389137 340929079 /nfs/dbraw/zinc/92/90/79/340929079.db2.gz APJUOHSZXNMBHK-OAHLLOKOSA-N 0 3 314.404 4.122 20 0 DIADHN COC(=O)c1ccc(CNC2(c3ccccc3)CCCC2)cc1 ZINC000540390806 340929254 /nfs/dbraw/zinc/92/92/54/340929254.db2.gz UVFVRUVJFXTOPY-UHFFFAOYSA-N 0 3 309.409 4.032 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)N(C)[C@H](C)c2ccccc2)c1C ZINC000540389221 340929256 /nfs/dbraw/zinc/92/92/56/340929256.db2.gz FAHLTUAGBVOVKU-ZWKOTPCHSA-N 0 3 324.468 4.174 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000540433268 340931078 /nfs/dbraw/zinc/93/10/78/340931078.db2.gz RYNPULJELMXIPY-QGZVFWFLSA-N 0 3 312.457 4.462 20 0 DIADHN CCOc1ccc(-c2noc(CN[C@@H](CC)C(C)(C)C)n2)cc1 ZINC000540590092 340936726 /nfs/dbraw/zinc/93/67/26/340936726.db2.gz AVKQSYBRBGDUGV-HNNXBMFYSA-N 0 3 317.433 4.050 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2C)C2CC2)s1 ZINC000540591552 340936944 /nfs/dbraw/zinc/93/69/44/340936944.db2.gz IUGSKQUOYYHMTJ-KRWDZBQOSA-N 0 3 315.438 4.084 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC3(O)CCCCC3)oc21 ZINC000540595123 340937340 /nfs/dbraw/zinc/93/73/40/340937340.db2.gz NNGXTAXKYWLURT-CQSZACIVSA-N 0 3 317.429 4.177 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC3(O)CCCCC3)oc21 ZINC000540595121 340937405 /nfs/dbraw/zinc/93/74/05/340937405.db2.gz NNGXTAXKYWLURT-AWEZNQCLSA-N 0 3 317.429 4.177 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1csc(-c2ccccc2)n1 ZINC000540616835 340939134 /nfs/dbraw/zinc/93/91/34/340939134.db2.gz OIXDDTIXMCPUTF-ZDUSSCGKSA-N 0 3 304.459 4.103 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H](c1cc2ccccc2o1)C(C)C ZINC000540646921 340940115 /nfs/dbraw/zinc/94/01/15/340940115.db2.gz XLFMGBCBTKIKOW-LIRRHRJNSA-N 0 3 316.445 4.024 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccc(F)c(C)c1 ZINC000540686989 340941357 /nfs/dbraw/zinc/94/13/57/340941357.db2.gz ANHUOPFGPGWEHS-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H](CC(C)C)c1ccccn1 ZINC000540700464 340942154 /nfs/dbraw/zinc/94/21/54/340942154.db2.gz XQTMOGKDCIKRRD-ICSRJNTNSA-N 0 3 312.457 4.016 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H](CC(C)C)c1ccccn1 ZINC000540700463 340942156 /nfs/dbraw/zinc/94/21/56/340942156.db2.gz XQTMOGKDCIKRRD-AZUAARDMSA-N 0 3 312.457 4.016 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H](CC(C)C)c1ccccn1 ZINC000540700466 340942175 /nfs/dbraw/zinc/94/21/75/340942175.db2.gz XQTMOGKDCIKRRD-UYAOXDASSA-N 0 3 312.457 4.016 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2cccc3c2OC(C)(C)C3)CCO1 ZINC000540731630 340943716 /nfs/dbraw/zinc/94/37/16/340943716.db2.gz DTYJYVMIKXPQIF-ROUUACIJSA-N 0 3 317.473 4.083 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2CC[C@@H]1C)c1ccc2c(c1)OCO2 ZINC000540918135 340951663 /nfs/dbraw/zinc/95/16/63/340951663.db2.gz KXTOSJIFRKRPOD-YRVVQQKDSA-N 0 3 309.409 4.390 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000129317969 340953479 /nfs/dbraw/zinc/95/34/79/340953479.db2.gz CRCFEUNCIZJYEY-AWEZNQCLSA-N 0 3 313.397 4.053 20 0 DIADHN CCc1cnccc1[C@H](C)NCCSc1ccccc1F ZINC000541192804 340961137 /nfs/dbraw/zinc/96/11/37/340961137.db2.gz FCUUWPMPHSVBBT-ZDUSSCGKSA-N 0 3 304.434 4.226 20 0 DIADHN Cc1ncc(CNCCn2c3ccccc3c3ccccc32)s1 ZINC000541383880 340969043 /nfs/dbraw/zinc/96/90/43/340969043.db2.gz ANVAZQLNMTXPDV-UHFFFAOYSA-N 0 3 321.449 4.349 20 0 DIADHN Fc1ccc([C@H](NCC2CCSCC2)c2cccnc2)cc1 ZINC000541545951 340973687 /nfs/dbraw/zinc/97/36/87/340973687.db2.gz QTKAHIMQBFDNGK-SFHVURJKSA-N 0 3 316.445 4.043 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccc(F)cc2)c2cccnc2)o1 ZINC000541552023 340973884 /nfs/dbraw/zinc/97/38/84/340973884.db2.gz AUQZAEPDVGIOTK-IBGZPJMESA-N 0 3 310.372 4.044 20 0 DIADHN COC[C@@H](NC1CC(c2ccccc2F)C1)c1ccc(F)cc1 ZINC000542136766 340996724 /nfs/dbraw/zinc/99/67/24/340996724.db2.gz RCQDSHPIBLRJAQ-GSQSYGFSSA-N 0 3 317.379 4.188 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@@H](C3CCOCC3)C2)c1C ZINC000542210289 341000113 /nfs/dbraw/zinc/00/01/13/341000113.db2.gz ZGNVRQJDHIGVHL-QGZVFWFLSA-N 0 3 310.441 4.105 20 0 DIADHN COc1ccc(Oc2ccc(CNCc3ccn(C)c3)cc2)cc1 ZINC000542247478 341001821 /nfs/dbraw/zinc/00/18/21/341001821.db2.gz DQQHIUOTVWDASB-UHFFFAOYSA-N 0 3 322.408 4.116 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N(C)C[C@H]3CC=CCC3)o2)cc1 ZINC000542251724 341002069 /nfs/dbraw/zinc/00/20/69/341002069.db2.gz MODCNHCUQTZTHR-HOTGVXAUSA-N 0 3 311.429 4.394 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCCCC(=O)OC(C)(C)C ZINC000542258181 341002428 /nfs/dbraw/zinc/00/24/28/341002428.db2.gz KSZWZDJIBDQXSE-HNNXBMFYSA-N 0 3 321.461 4.246 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc(C2CC2)cs1 ZINC000542278721 341003153 /nfs/dbraw/zinc/00/31/53/341003153.db2.gz JPESEGHMQQABNM-RHSMWYFYSA-N 0 3 312.482 4.474 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCc3ccc(Cl)cc32)ccc1O ZINC000542277592 341003188 /nfs/dbraw/zinc/00/31/88/341003188.db2.gz VXRGZEHTLKKXID-MRXNPFEDSA-N 0 3 317.816 4.174 20 0 DIADHN Cc1cc(CNC2(c3cccc(F)c3)CC2)cc2cccnc12 ZINC000542303995 341003784 /nfs/dbraw/zinc/00/37/84/341003784.db2.gz GTLLEDLOAWIGRH-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN c1cc(-c2ncc(CNC[C@@H]3CCCCS3)s2)cs1 ZINC000542312957 341004089 /nfs/dbraw/zinc/00/40/89/341004089.db2.gz IHZRXWWZCICXGC-LBPRGKRZSA-N 0 3 310.513 4.247 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](C(C)(C)C)C3)o2)cc1 ZINC000542322468 341005051 /nfs/dbraw/zinc/00/50/51/341005051.db2.gz KNIJRMWTVDGTBZ-HOCLYGCPSA-N 0 3 313.445 4.474 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CC=CCC2)c2cccnc2)c(F)c1 ZINC000542476267 341008976 /nfs/dbraw/zinc/00/89/76/341008976.db2.gz DPJPRGPHDZWHDA-AUUYWEPGSA-N 0 3 314.379 4.395 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCCCC1)c1nnc2n1CCCCC2 ZINC000542479883 341009361 /nfs/dbraw/zinc/00/93/61/341009361.db2.gz ZEMCZCXEUPYQGD-GJZGRUSLSA-N 0 3 304.482 4.014 20 0 DIADHN CS[C@H](CNCc1c(C2CC2)nc2sccn12)C(C)(C)C ZINC000542484295 341009619 /nfs/dbraw/zinc/00/96/19/341009619.db2.gz BQPDBTRHBVCKKJ-CYBMUJFWSA-N 0 3 323.531 4.141 20 0 DIADHN Fc1ccc([C@H](NCC23CCC(CC2)C3)c2ccccn2)cc1 ZINC000542493157 341010209 /nfs/dbraw/zinc/01/02/09/341010209.db2.gz GIEJHAYGWPINJS-YHDJDMAPSA-N 0 3 310.416 4.480 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)C1CCCCCC1 ZINC000130261074 341010686 /nfs/dbraw/zinc/01/06/86/341010686.db2.gz BBPXNEDBLBFMIF-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN Cc1cnc(C(C)(C)NC[C@@H]2CCc3ccccc3C2)s1 ZINC000542580615 341014504 /nfs/dbraw/zinc/01/45/04/341014504.db2.gz HLXMCKNRATYKQU-CQSZACIVSA-N 0 3 300.471 4.081 20 0 DIADHN Cc1cnc(C(C)(C)NCCCOc2cccc(Cl)c2)s1 ZINC000542581402 341014519 /nfs/dbraw/zinc/01/45/19/341014519.db2.gz ZLBIUBOZWKXQAZ-UHFFFAOYSA-N 0 3 324.877 4.399 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](C)CCC(C)(C)C ZINC000542600710 341014917 /nfs/dbraw/zinc/01/49/17/341014917.db2.gz MATNNYSLFFKXFF-OAHLLOKOSA-N 0 3 304.478 4.458 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)CCC(C)(C)C ZINC000542600695 341014931 /nfs/dbraw/zinc/01/49/31/341014931.db2.gz MATNNYSLFFKXFF-HNNXBMFYSA-N 0 3 304.478 4.458 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000130303845 341015048 /nfs/dbraw/zinc/01/50/48/341015048.db2.gz YNWJBJJKLDMVDL-ZDUSSCGKSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CCN[C@H](c1ccccc1)c1cncnc1 ZINC000542663707 341016007 /nfs/dbraw/zinc/01/60/07/341016007.db2.gz YVKSEENWVKKFSC-MBOZVWFJSA-N 0 3 309.457 4.372 20 0 DIADHN CC[C@@H](NCC1(c2ccc(Cl)cc2)CCC1)c1ccn(C)n1 ZINC000542664979 341016191 /nfs/dbraw/zinc/01/61/91/341016191.db2.gz ZAJHEMXPBIWHHB-MRXNPFEDSA-N 0 3 317.864 4.236 20 0 DIADHN c1ccc([C@H](N[C@@H]2C[C@@H]2C2CCCCC2)c2cncnc2)cc1 ZINC000542668984 341016426 /nfs/dbraw/zinc/01/64/26/341016426.db2.gz WPVHQQMZCCBCTF-AQNXPRMDSA-N 0 3 307.441 4.124 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1F)[C@@H](CCO)c1ccccc1 ZINC000542702868 341017926 /nfs/dbraw/zinc/01/79/26/341017926.db2.gz XNJQUJSEAWLPTM-MEQKGCOVSA-N 0 3 317.379 4.034 20 0 DIADHN COc1cc(CN[C@H](C)c2ccccc2)ccc1OC(F)F ZINC000070921124 341019535 /nfs/dbraw/zinc/01/95/35/341019535.db2.gz VGPCNCRTUWFDSV-GFCCVEGCSA-N 0 3 307.340 4.147 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC2CCN(Cc3ccccc3)CC2)o1 ZINC000070982407 341020821 /nfs/dbraw/zinc/02/08/21/341020821.db2.gz BGIOFHADFPYSKU-OXQOHEQNSA-N 0 3 324.468 4.157 20 0 DIADHN Cn1ccc(CN[C@H]2CC3(CCCCC3)Oc3ccccc32)c1 ZINC000130412510 341021149 /nfs/dbraw/zinc/02/11/49/341021149.db2.gz DJZPUPAKSSTTJX-SFHVURJKSA-N 0 3 310.441 4.341 20 0 DIADHN Clc1cc(Br)ccc1CNC[C@H]1CCCS1 ZINC000130426821 341021375 /nfs/dbraw/zinc/02/13/75/341021375.db2.gz ICDXGJSZYPYPGU-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN Cc1oncc1CN[C@H](C)[C@H](C)c1ccccc1C(F)(F)F ZINC000130429123 341021475 /nfs/dbraw/zinc/02/14/75/341021475.db2.gz MFXNCRNMTYONAK-WDEREUQCSA-N 0 3 312.335 4.284 20 0 DIADHN C[C@@]1(NCc2ccc(-n3cccn3)cc2)CCCc2ccccc21 ZINC000542820510 341022116 /nfs/dbraw/zinc/02/21/16/341022116.db2.gz LHCZUHAEGVYHOL-OAQYLSRUSA-N 0 3 317.436 4.214 20 0 DIADHN CC[C@H](COC)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000542846756 341023277 /nfs/dbraw/zinc/02/32/77/341023277.db2.gz NLXCPYULMQDGER-KGLIPLIRSA-N 0 3 321.408 4.124 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)cc1 ZINC000542846593 341023321 /nfs/dbraw/zinc/02/33/21/341023321.db2.gz MUEWZOJEQPYABB-PJSUUKDQSA-N 0 3 308.425 4.229 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3coc(-c4ccc(F)cc4)n3)C2)C1 ZINC000542878167 341024739 /nfs/dbraw/zinc/02/47/39/341024739.db2.gz DUMBQFSPDDFOGM-IFXJQAMLSA-N 0 3 314.404 4.493 20 0 DIADHN CN(Cc1nc2ccccc2o1)C[C@H]1CCc2ccccc2C1 ZINC000542913842 341026124 /nfs/dbraw/zinc/02/61/24/341026124.db2.gz DIJKUDDKASBHEO-HNNXBMFYSA-N 0 3 306.409 4.065 20 0 DIADHN CO[C@H](C)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000542930382 341026602 /nfs/dbraw/zinc/02/66/02/341026602.db2.gz ORKFRTUZKTXUBH-DOMZBBRYSA-N 0 3 301.352 4.267 20 0 DIADHN CO[C@@H](C)CN(C)[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000542929986 341026606 /nfs/dbraw/zinc/02/66/06/341026606.db2.gz ILLFMWSPILXMHG-DZGCQCFKSA-N 0 3 318.486 4.455 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000542946450 341026984 /nfs/dbraw/zinc/02/69/84/341026984.db2.gz NHJNTPFIXDRFAN-UKRRQHHQSA-N 0 3 323.362 4.300 20 0 DIADHN CO[C@@H](C)CN[C@@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000542978095 341027630 /nfs/dbraw/zinc/02/76/30/341027630.db2.gz VJDYXQXNGFSMIQ-LKFCYVNXSA-N 0 3 321.770 4.434 20 0 DIADHN CO[C@H](C)CN[C@@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000542978098 341027707 /nfs/dbraw/zinc/02/77/07/341027707.db2.gz VJDYXQXNGFSMIQ-YMTOWFKASA-N 0 3 321.770 4.434 20 0 DIADHN CCc1cnccc1[C@H](C)NCCOc1ccc(SC)cc1 ZINC000543052383 341029443 /nfs/dbraw/zinc/02/94/43/341029443.db2.gz MPGLNILDEBURJL-AWEZNQCLSA-N 0 3 316.470 4.096 20 0 DIADHN FC(F)(F)c1cc([C@H](N[C@H]2CCOC2)C2CC2)ccc1Cl ZINC000543073563 341030647 /nfs/dbraw/zinc/03/06/47/341030647.db2.gz BBBRKXCFYFETNA-SMDDNHRTSA-N 0 3 319.754 4.188 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N(C)C[C@H]1CC=CCC1 ZINC000543175922 341035236 /nfs/dbraw/zinc/03/52/36/341035236.db2.gz LTNVVBRBBGMZHX-GJZGRUSLSA-N 0 3 320.864 4.264 20 0 DIADHN CCOc1cccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC000564420333 341036953 /nfs/dbraw/zinc/03/69/53/341036953.db2.gz YYYPDCDOHAXYQI-UXHICEINSA-N 0 3 311.425 4.095 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2cccc(Cl)c2Cl)CCO1 ZINC000543230680 341037308 /nfs/dbraw/zinc/03/73/08/341037308.db2.gz RHXJCOSADHDZGG-VXGBXAGGSA-N 0 3 302.245 4.288 20 0 DIADHN COCC(C)(C)NCc1ccc(Oc2ccc(OC)cc2)cc1 ZINC000543235399 341037383 /nfs/dbraw/zinc/03/73/83/341037383.db2.gz YJGTVXFEICJRKW-UHFFFAOYSA-N 0 3 315.413 4.002 20 0 DIADHN Cc1ccc(OCCCN(C)C[C@H](C)c2nccs2)cc1C ZINC000543240866 341037520 /nfs/dbraw/zinc/03/75/20/341037520.db2.gz SSHCTQDYMBGLHQ-INIZCTEOSA-N 0 3 318.486 4.264 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@H](C(C)(C)C)C2)n1 ZINC000543247946 341038092 /nfs/dbraw/zinc/03/80/92/341038092.db2.gz KAHKJGDGDBFAHK-GJZGRUSLSA-N 0 3 313.445 4.474 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)CN1Cc1cccc2[nH]ccc21 ZINC000130686471 341039289 /nfs/dbraw/zinc/03/92/89/341039289.db2.gz LYFHMCUUDDOYPA-FOIQADDNSA-N 0 3 306.409 4.130 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccc(-c3ccccc3)o2)cc1 ZINC000543380254 341041341 /nfs/dbraw/zinc/04/13/41/341041341.db2.gz FARNKIQPEODBHK-UHFFFAOYSA-N 0 3 320.392 4.261 20 0 DIADHN CCc1cnc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)s1 ZINC000543395669 341042085 /nfs/dbraw/zinc/04/20/85/341042085.db2.gz PLIQXWVEUHOBPE-GOEBONIOSA-N 0 3 300.471 4.159 20 0 DIADHN CC1(C)CC[C@H](NCc2nccn2CCCc2ccccc2)C1 ZINC000543400240 341042197 /nfs/dbraw/zinc/04/21/97/341042197.db2.gz VXHMNHHADZBOPJ-SFHVURJKSA-N 0 3 311.473 4.184 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCSC2)ccc1OCCC(C)C ZINC000543398151 341042227 /nfs/dbraw/zinc/04/22/27/341042227.db2.gz HJNDJESABWWRTL-ZBFHGGJFSA-N 0 3 323.502 4.276 20 0 DIADHN CC(C)C[C@H](NCCCNc1ccccc1F)c1ccccn1 ZINC000543416057 341043185 /nfs/dbraw/zinc/04/31/85/341043185.db2.gz CQCOXKYJUKOBMR-IBGZPJMESA-N 0 3 315.436 4.400 20 0 DIADHN CC[C@@H](NC[C@H](O)CCc1ccccc1)c1ccc(Cl)s1 ZINC000543416979 341043385 /nfs/dbraw/zinc/04/33/85/341043385.db2.gz IESWSIRSNDQMNK-HUUCEWRRSA-N 0 3 323.889 4.436 20 0 DIADHN Cc1ccccc1CCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000543423808 341043714 /nfs/dbraw/zinc/04/37/14/341043714.db2.gz BKGBNNXQEWJMBL-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN O[C@@H]1CCCC[C@H]1CCCN[C@H](c1ccco1)c1ccccc1 ZINC000543425383 341043915 /nfs/dbraw/zinc/04/39/15/341043915.db2.gz WLUXBDAGNGEDPE-HQRMLTQVSA-N 0 3 313.441 4.290 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2CCO[C@H]2CCCCO2)o1 ZINC000543445029 341044910 /nfs/dbraw/zinc/04/49/10/341044910.db2.gz SJJJRCKNKAAWLD-WMZOPIPTSA-N 0 3 307.434 4.048 20 0 DIADHN Cc1nc(CN[C@@H](Cc2ccc(F)cc2)C(C)C)sc1C ZINC000543479141 341046588 /nfs/dbraw/zinc/04/65/88/341046588.db2.gz QIVICJYAWSHPOP-INIZCTEOSA-N 0 3 306.450 4.256 20 0 DIADHN CC(C)COC[C@@H](NCCCc1ccccc1)c1ccco1 ZINC000543485482 341046982 /nfs/dbraw/zinc/04/69/82/341046982.db2.gz MMERIAFTYIEMFO-GOSISDBHSA-N 0 3 301.430 4.216 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000543484747 341046993 /nfs/dbraw/zinc/04/69/93/341046993.db2.gz IBCFXVBYKOZAFN-ZNMIVQPWSA-N 0 3 310.507 4.118 20 0 DIADHN CC(C)C[C@@H](N[C@H](C)C(=O)N1CCC(C)CC1)c1cccs1 ZINC000543486226 341047063 /nfs/dbraw/zinc/04/70/63/341047063.db2.gz UXPWNSWTRKLPLD-HZPDHXFCSA-N 0 3 322.518 4.072 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000543488007 341047226 /nfs/dbraw/zinc/04/72/26/341047226.db2.gz XJVICICGEKYRSW-ZIAGYGMSSA-N 0 3 310.507 4.118 20 0 DIADHN COCCN(CCSc1ccccc1F)Cc1ccccc1 ZINC000071805299 341048571 /nfs/dbraw/zinc/04/85/71/341048571.db2.gz FFZDTTUQUCKWEY-UHFFFAOYSA-N 0 3 319.445 4.066 20 0 DIADHN CCOC(=O)c1sc([C@@H](C)NCC2CCC(C)CC2)nc1C ZINC000543544119 341048781 /nfs/dbraw/zinc/04/87/81/341048781.db2.gz VDNPASFHOVSMMW-HRDQMINSSA-N 0 3 324.490 4.105 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC2(CCOCC2)[C@H]1C ZINC000543575325 341049426 /nfs/dbraw/zinc/04/94/26/341049426.db2.gz WDKXIXAMZAQYNH-CHWSQXEVSA-N 0 3 311.828 4.431 20 0 DIADHN C[C@@H](CN[C@@H](c1ccccc1)c1cncnc1)Cc1cccs1 ZINC000543592201 341049642 /nfs/dbraw/zinc/04/96/42/341049642.db2.gz UPDZOEYPWBZILI-BEFAXECRSA-N 0 3 323.465 4.096 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1cncnc1)Cc1cccs1 ZINC000543592203 341049644 /nfs/dbraw/zinc/04/96/44/341049644.db2.gz UPDZOEYPWBZILI-DNVCBOLYSA-N 0 3 323.465 4.096 20 0 DIADHN c1ccc([C@H](N[C@@H]2CC[C@H]3CCC[C@@H]3C2)c2cncnc2)cc1 ZINC000543591157 341049659 /nfs/dbraw/zinc/04/96/59/341049659.db2.gz DSEZNGKELNCHGQ-QOJCHSLYSA-N 0 3 307.441 4.124 20 0 DIADHN Cc1cc(C)cc(CCN[C@H](c2ccccc2)c2cncnc2)c1 ZINC000543594698 341050034 /nfs/dbraw/zinc/05/00/34/341050034.db2.gz UQUKDDIAZRRDJS-OAQYLSRUSA-N 0 3 317.436 4.015 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)CCc2ccccc2)C2CCC2)o1 ZINC000543598853 341050427 /nfs/dbraw/zinc/05/04/27/341050427.db2.gz LZWFPMMFVHPIBQ-QUCCMNQESA-N 0 3 313.441 4.013 20 0 DIADHN Cc1ccccc1C1CCN(Cc2ccc3c(c2)ncn3C)CC1 ZINC000543619097 341051212 /nfs/dbraw/zinc/05/12/12/341051212.db2.gz AXHAGXVSVOUJIM-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN CCc1ccc(CN2CCC(Cc3cccnc3)CC2)s1 ZINC000543620910 341051473 /nfs/dbraw/zinc/05/14/73/341051473.db2.gz VUPYIMIQGFSPDN-UHFFFAOYSA-N 0 3 300.471 4.160 20 0 DIADHN COc1ccc(CNCCC2CCC(OC)CC2)c(Cl)c1 ZINC000543624066 341051517 /nfs/dbraw/zinc/05/15/17/341051517.db2.gz PTZMSYGHUPVKFT-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCCOc2ccccc2)o1 ZINC000130992604 341055851 /nfs/dbraw/zinc/05/58/51/341055851.db2.gz LIPSJWNUTSXVDE-SFHVURJKSA-N 0 3 315.413 4.032 20 0 DIADHN Cc1c(CSC(C)C)cccc1NC(=O)[C@@H](C(C)C)N(C)C ZINC000131006486 341056230 /nfs/dbraw/zinc/05/62/30/341056230.db2.gz PVHPMSRMSDOATO-QGZVFWFLSA-N 0 3 322.518 4.161 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H]2CCc3ccc(F)cc32)cc1 ZINC000543775315 341058098 /nfs/dbraw/zinc/05/80/98/341058098.db2.gz BZDOORBEIKUCOZ-BLVKFPJESA-N 0 3 312.388 4.122 20 0 DIADHN CC[C@H](O)CCCN[C@H](c1ccc(Cl)cc1F)C(C)C ZINC000543776346 341058179 /nfs/dbraw/zinc/05/81/79/341058179.db2.gz PAIPTIZDFPAWLB-BBRMVZONSA-N 0 3 301.833 4.317 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)N(C)C[C@H](C)OC)cc1 ZINC000543857288 341061799 /nfs/dbraw/zinc/06/17/99/341061799.db2.gz CTRIYKRDXPYKNO-JKSUJKDBSA-N 0 3 313.441 4.390 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)N(C)C[C@@H](C)OC)cc1 ZINC000543857287 341061849 /nfs/dbraw/zinc/06/18/49/341061849.db2.gz CTRIYKRDXPYKNO-HZPDHXFCSA-N 0 3 313.441 4.390 20 0 DIADHN C[C@@H]1C[C@@H](NC(=O)N(c2ccccc2)c2ccccc2)CCN1C ZINC000543907089 341063045 /nfs/dbraw/zinc/06/30/45/341063045.db2.gz UUMPYJZFZNJRNR-SJORKVTESA-N 0 3 323.440 4.017 20 0 DIADHN CO[C@H](C)CN[C@@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000543909894 341063135 /nfs/dbraw/zinc/06/31/35/341063135.db2.gz DIJVBBQQQOFYKC-CABCVRRESA-N 0 3 317.404 4.090 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc21)N[C@H]1CSc2ccccc21 ZINC000543943522 341063819 /nfs/dbraw/zinc/06/38/19/341063819.db2.gz LGJBSWPMFIETCU-ACJLOTCBSA-N 0 3 323.465 4.321 20 0 DIADHN COC[C@@H](NC1CC(c2ccc(C)cc2)C1)c1ccc(F)cc1 ZINC000543994913 341065656 /nfs/dbraw/zinc/06/56/56/341065656.db2.gz IVBZDLXGEXTQLJ-LYBXBRPPSA-N 0 3 313.416 4.357 20 0 DIADHN CCCCn1cc(CNC2CC(c3ccccc3F)C2)c(C)n1 ZINC000544004412 341065977 /nfs/dbraw/zinc/06/59/77/341065977.db2.gz NFZQSTZZIIGSCU-UHFFFAOYSA-N 0 3 315.436 4.167 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cc(Cl)cc3c2OCC3)CC1 ZINC000544006143 341066048 /nfs/dbraw/zinc/06/60/48/341066048.db2.gz CNGLIARLOOGMIR-QGZVFWFLSA-N 0 3 323.864 4.056 20 0 DIADHN Cc1nc2ccccc2c(N2CCC[C@@H]([C@H]3CCOC3)C2)c1C ZINC000544062076 341068573 /nfs/dbraw/zinc/06/85/73/341068573.db2.gz JVZJOOPDHDHMCZ-SJORKVTESA-N 0 3 310.441 4.105 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)C[C@@H]1CC=CCC1 ZINC000544109345 341070480 /nfs/dbraw/zinc/07/04/80/341070480.db2.gz QXCQINFMXITVBO-MRXNPFEDSA-N 0 3 314.473 4.038 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3C[C@@H](C)CC(C)(C)C3)o2)cc1 ZINC000544118476 341070743 /nfs/dbraw/zinc/07/07/43/341070743.db2.gz ULGNOESUGWDFDH-LSDHHAIUSA-N 0 3 313.445 4.474 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCCCC(=O)OC(C)(C)C)cc1 ZINC000544119470 341071010 /nfs/dbraw/zinc/07/10/10/341071010.db2.gz CQSRHWWQDDCPAE-HNNXBMFYSA-N 0 3 321.461 4.246 20 0 DIADHN Brc1cncc(CN2CCCC23CCCCC3)c1 ZINC000544127169 341071400 /nfs/dbraw/zinc/07/14/00/341071400.db2.gz KWYMEDKFJJHDKD-UHFFFAOYSA-N 0 3 309.251 4.143 20 0 DIADHN Cc1cnn([C@@H]2CCCN([C@@H]3CCc4ccc(Cl)cc43)C2)c1 ZINC000544142714 341071980 /nfs/dbraw/zinc/07/19/80/341071980.db2.gz GRMCLDGOQNIREI-SJLPKXTDSA-N 0 3 315.848 4.169 20 0 DIADHN Fc1ccc(CCNCc2ccc(Cl)s2)c(F)c1F ZINC000544177627 341073053 /nfs/dbraw/zinc/07/30/53/341073053.db2.gz VEHMFWOOSPIEJW-UHFFFAOYSA-N 0 3 305.752 4.151 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@]1(C)CCO[C@H]1C ZINC000544185612 341073393 /nfs/dbraw/zinc/07/33/93/341073393.db2.gz QGCLDGOXGSEYCF-MGPUTAFESA-N 0 3 311.425 4.019 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@@H](C(C)(C)C)C2)c(C)c1 ZINC000544187687 341073463 /nfs/dbraw/zinc/07/34/63/341073463.db2.gz FIOWYSAQOLXZJQ-DLBZAZTESA-N 0 3 316.489 4.307 20 0 DIADHN Cc1cc(CN(C)[C@H](C)c2cccc(O)c2)cc2cccnc12 ZINC000544349710 341077399 /nfs/dbraw/zinc/07/73/99/341077399.db2.gz LJCCLVRHTUPUBZ-OAHLLOKOSA-N 0 3 306.409 4.442 20 0 DIADHN Cc1cc([C@@H](NCCCOC(C)C)c2cccnc2)ccc1F ZINC000544355086 341077832 /nfs/dbraw/zinc/07/78/32/341077832.db2.gz CBXJEFQAQBCOLZ-LJQANCHMSA-N 0 3 316.420 4.023 20 0 DIADHN C[C@]1(CN[C@@H](c2ccc(F)cc2)c2cccnc2)CCCS1 ZINC000544360793 341078103 /nfs/dbraw/zinc/07/81/03/341078103.db2.gz RKCPUADQPVUPOY-ZWKOTPCHSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@]1(CN[C@H](c2ccc(F)cc2)c2cccnc2)CCCS1 ZINC000544360790 341078176 /nfs/dbraw/zinc/07/81/76/341078176.db2.gz RKCPUADQPVUPOY-QZTJIDSGSA-N 0 3 316.445 4.185 20 0 DIADHN CS[C@@H](CNCc1cnc(CC(C)C)s1)C(C)(C)C ZINC000544369038 341078384 /nfs/dbraw/zinc/07/83/84/341078384.db2.gz WQDQWSJWTLCDRK-ZDUSSCGKSA-N 0 3 300.537 4.209 20 0 DIADHN Fc1ccc([C@H](NCC2CC2)c2cnc3ccccc3c2)cc1 ZINC000544368250 341078536 /nfs/dbraw/zinc/07/85/36/341078536.db2.gz OATPPAGERPVKGW-FQEVSTJZSA-N 0 3 306.384 4.463 20 0 DIADHN Cc1nn2c(CN(C)C[C@@H]3CC=CCC3)c(C(C)C)nc2s1 ZINC000544369543 341078693 /nfs/dbraw/zinc/07/86/93/341078693.db2.gz ZHVBVLZDXCTYSE-CQSZACIVSA-N 0 3 318.490 4.011 20 0 DIADHN C[C@@H](NC(c1ccc(F)cc1)c1ccc(F)cc1)[C@@H]1CCCO1 ZINC000544388043 341079849 /nfs/dbraw/zinc/07/98/49/341079849.db2.gz XWPZOVDIUAOZHA-ACJLOTCBSA-N 0 3 317.379 4.211 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)CCc2ccccc2)[C@@H](c2ccco2)C1 ZINC000544397074 341080229 /nfs/dbraw/zinc/08/02/29/341080229.db2.gz YNPFGILGQKTUOC-NZSAHSFTSA-N 0 3 313.441 4.046 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CCCc3ccncc3)nc2c1 ZINC000544407708 341080827 /nfs/dbraw/zinc/08/08/27/341080827.db2.gz KXVGPLDQQKEVGU-QGZVFWFLSA-N 0 3 323.465 4.461 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CNC(C)(C)c1cccs1 ZINC000544470417 341083467 /nfs/dbraw/zinc/08/34/67/341083467.db2.gz FXWBUFMUUZJBHV-UHFFFAOYSA-N 0 3 322.861 4.173 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCSc2ccc(F)cc2)cn1 ZINC000544468488 341083527 /nfs/dbraw/zinc/08/35/27/341083527.db2.gz MLIHVVFGQIICFK-CYBMUJFWSA-N 0 3 307.438 4.046 20 0 DIADHN C[C@H](NCc1nc2ccccc2s1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000544513784 341084574 /nfs/dbraw/zinc/08/45/74/341084574.db2.gz FQMZDDPGRSAYAO-JMERFSKESA-N 0 3 324.449 4.339 20 0 DIADHN CCC1CCC(CN[C@H](c2ccccc2)c2cncnc2)CC1 ZINC000544578114 341086886 /nfs/dbraw/zinc/08/68/86/341086886.db2.gz TZBLNVVKKRKSKY-LBXVMSDZSA-N 0 3 309.457 4.372 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](NCc3ccc(F)cc3F)CCO2)cc1 ZINC000544595591 341087781 /nfs/dbraw/zinc/08/77/81/341087781.db2.gz AVQSWSBERIERCX-AEFFLSMTSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ccc([C@H](NCC2([C@@H](O)C(C)C)CC2)C2CCCC2)o1 ZINC000544601559 341088134 /nfs/dbraw/zinc/08/81/34/341088134.db2.gz BJEVQMUYCZRMCQ-MSOLQXFVSA-N 0 3 305.462 4.206 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](C)c1ncc(C)s1 ZINC000544603462 341088262 /nfs/dbraw/zinc/08/82/62/341088262.db2.gz HHRHEPBKAKKDFV-TZMCWYRMSA-N 0 3 304.459 4.223 20 0 DIADHN Cc1ccc(C(C)(C)NC/C=C\c2ccc(F)cc2F)cn1 ZINC000544710376 341091676 /nfs/dbraw/zinc/09/16/76/341091676.db2.gz WPVOCVBZPKJZRG-PLNGDYQASA-N 0 3 302.368 4.206 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cc(OC)c(OC)cc1F ZINC000544829879 341095146 /nfs/dbraw/zinc/09/51/46/341095146.db2.gz OHEMBKJFJDFOAR-ZJUUUORDSA-N 0 3 323.330 4.225 20 0 DIADHN CC(C)c1ccc(C(=O)N(CCN(C)C)c2ccccc2)s1 ZINC000544852326 341096492 /nfs/dbraw/zinc/09/64/92/341096492.db2.gz VLTBVRGANFBOAK-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1ccccc1C(C)C ZINC000544893679 341097593 /nfs/dbraw/zinc/09/75/93/341097593.db2.gz HLLQPGFNONDWKG-OAHLLOKOSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000544899198 341098460 /nfs/dbraw/zinc/09/84/60/341098460.db2.gz ZJYNPLRQJXUFOG-JKSUJKDBSA-N 0 3 311.425 4.486 20 0 DIADHN CC(C)=C(F)c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000544904945 341098855 /nfs/dbraw/zinc/09/88/55/341098855.db2.gz FDAONKPEPTUQCK-UHFFFAOYSA-N 0 3 301.365 4.053 20 0 DIADHN Cc1nc2c(s1)[C@H](NCCOC1CCCCCC1)CCC2 ZINC000131604578 341101235 /nfs/dbraw/zinc/10/12/35/341101235.db2.gz MNQWGWBVNXPQAM-OAHLLOKOSA-N 0 3 308.491 4.158 20 0 DIADHN CC[C@@](C)(NCCCOc1ccccc1)c1nc(C)cs1 ZINC000072865057 341109467 /nfs/dbraw/zinc/10/94/67/341109467.db2.gz FCDVKICHCSFAHR-QGZVFWFLSA-N 0 3 304.459 4.135 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(SC(C)C)cc2)ccn1 ZINC000131715277 341109580 /nfs/dbraw/zinc/10/95/80/341109580.db2.gz WCLNJNHZAJMAKB-AWEZNQCLSA-N 0 3 316.470 4.442 20 0 DIADHN COc1ccc(CNC2(c3ccccc3)CCCCC2)cc1O ZINC000073007871 341112267 /nfs/dbraw/zinc/11/22/67/341112267.db2.gz PHKCBKUXOLBMII-UHFFFAOYSA-N 0 3 311.425 4.350 20 0 DIADHN C[C@H](NCCC(c1ccccc1)c1ccccc1)c1cnccn1 ZINC000131837701 341112442 /nfs/dbraw/zinc/11/24/42/341112442.db2.gz HDYYBLDPIZFQSU-KRWDZBQOSA-N 0 3 317.436 4.349 20 0 DIADHN COCC[C@H](C)N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000545246338 341117043 /nfs/dbraw/zinc/11/70/43/341117043.db2.gz XNASMJPPPJRVBD-KBXCAEBGSA-N 0 3 303.833 4.444 20 0 DIADHN COCC[C@@H](C)N[C@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000545246442 341117149 /nfs/dbraw/zinc/11/71/49/341117149.db2.gz RRZWGBHOPQYMMI-OXQOHEQNSA-N 0 3 313.441 4.108 20 0 DIADHN Fc1cncc([C@H](NCc2cc3c(s2)CCCC3)C2CC2)c1 ZINC000545276120 341118731 /nfs/dbraw/zinc/11/87/31/341118731.db2.gz DCRTULBPHOXBJS-GOSISDBHSA-N 0 3 316.445 4.402 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1OCc1cccnc1)C1CC1 ZINC000073649770 341119686 /nfs/dbraw/zinc/11/96/86/341119686.db2.gz NGKJCZOGEMNBJT-CYBMUJFWSA-N 0 3 316.832 4.202 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N(C)Cc1cccnc1 ZINC000132328562 341120734 /nfs/dbraw/zinc/12/07/34/341120734.db2.gz PERFKIQFIZESIB-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(OC(F)(F)F)cc1 ZINC000545466549 341126397 /nfs/dbraw/zinc/12/63/97/341126397.db2.gz VJPLTUWALOOKNE-JTQLQIEISA-N 0 3 315.257 4.406 20 0 DIADHN COc1ccc(CNCc2ccc(Br)c(C)c2)cc1 ZINC000073852391 341121950 /nfs/dbraw/zinc/12/19/50/341121950.db2.gz ABMOSWXMKUCNIC-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)N[C@H](C)Cc1ccccc1F ZINC000132397201 341121982 /nfs/dbraw/zinc/12/19/82/341121982.db2.gz VBVUFUDRWBCUHX-ZIAGYGMSSA-N 0 3 317.452 4.367 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000564288924 341122279 /nfs/dbraw/zinc/12/22/79/341122279.db2.gz QCWQMUPNDMJISI-SDDRHHMPSA-N 0 3 307.825 4.475 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(Br)c2)cn1 ZINC000545352599 341122780 /nfs/dbraw/zinc/12/27/80/341122780.db2.gz LXXHXEXIJUYNJR-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CCO[C@@H]1COCC[C@H]1N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000545457547 341125858 /nfs/dbraw/zinc/12/58/58/341125858.db2.gz GETAPZYKOJELRM-ZTFGCOKTSA-N 0 3 323.502 4.032 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc(Cl)cc2c1OCC2 ZINC000545466815 341126493 /nfs/dbraw/zinc/12/64/93/341126493.db2.gz KDNDRWOPMKUJQT-LBPRGKRZSA-N 0 3 307.743 4.096 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000545517540 341128098 /nfs/dbraw/zinc/12/80/98/341128098.db2.gz MDBVEVDLLGOVAL-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1c(C)cccc1C ZINC000545519171 341128289 /nfs/dbraw/zinc/12/82/89/341128289.db2.gz BGPBSFXVHXXCCQ-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)c2c(C)cccc2C)c1C ZINC000545520217 341128343 /nfs/dbraw/zinc/12/83/43/341128343.db2.gz AEPYXLCYOYALBC-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN c1ccc(C2(NCc3ccc(N4CCCC4)nc3)CCCC2)cc1 ZINC000132593010 341129651 /nfs/dbraw/zinc/12/96/51/341129651.db2.gz CCNCTAUUJBSFFD-UHFFFAOYSA-N 0 3 321.468 4.241 20 0 DIADHN CCn1cc([C@@H](C)NCCc2c(Cl)cccc2Cl)cn1 ZINC000132618683 341132127 /nfs/dbraw/zinc/13/21/27/341132127.db2.gz QNTHWEKCWIWTMR-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN C[C@]1(NCc2cccc3c2OCCCO3)CCCc2ccccc21 ZINC000545874494 341143760 /nfs/dbraw/zinc/14/37/60/341143760.db2.gz HLWDYYVQFFNAQL-NRFANRHFSA-N 0 3 323.436 4.189 20 0 DIADHN Cc1ncsc1CCN(Cc1ccco1)Cc1ccccc1 ZINC000074328682 341143996 /nfs/dbraw/zinc/14/39/96/341143996.db2.gz YFDJKGSKVHAQIY-UHFFFAOYSA-N 0 3 312.438 4.289 20 0 DIADHN Cc1ncsc1CCN1CCC[C@H]1c1ccccc1Cl ZINC000074350850 341144578 /nfs/dbraw/zinc/14/45/78/341144578.db2.gz VNGLUNSLHGUNKY-HNNXBMFYSA-N 0 3 306.862 4.485 20 0 DIADHN CCOc1ccccc1[C@H](C)NC1CCN(c2ccccc2)CC1 ZINC000132798120 341145782 /nfs/dbraw/zinc/14/57/82/341145782.db2.gz FYXXKCMJMVRCHN-KRWDZBQOSA-N 0 3 324.468 4.405 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC([C@](C)(O)C(F)(F)F)CC1 ZINC000545932215 341146918 /nfs/dbraw/zinc/14/69/18/341146918.db2.gz QFNOLAOEPUXMRK-HOTGVXAUSA-N 0 3 315.379 4.163 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC([C@](C)(O)C(F)(F)F)CC2)c1 ZINC000545931986 341147026 /nfs/dbraw/zinc/14/70/26/341147026.db2.gz FZMNBASBHWXNHQ-CJNGLKHVSA-N 0 3 315.379 4.081 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2cncs2)CCCC1 ZINC000132920929 341149602 /nfs/dbraw/zinc/14/96/02/341149602.db2.gz MOVQIXFGLCJZMA-CQSZACIVSA-N 0 3 316.470 4.314 20 0 DIADHN C[C@H](CN1CCCC[C@H]1c1ccnn1C)c1cccc(Cl)c1 ZINC000545985013 341149733 /nfs/dbraw/zinc/14/97/33/341149733.db2.gz JIUCIKJUBQSRSE-KDOFPFPSSA-N 0 3 317.864 4.404 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@@H](OCc2ccccc2)C1(C)C ZINC000546017279 341151315 /nfs/dbraw/zinc/15/13/15/341151315.db2.gz CPLWBRCHLNCJAL-PWIZWCRZSA-N 0 3 324.468 4.425 20 0 DIADHN CC(C)(NCc1ccc2nc[nH]c2c1)c1cccc(Cl)c1F ZINC000546019840 341151531 /nfs/dbraw/zinc/15/15/31/341151531.db2.gz WSFMDRRGCVSBMA-UHFFFAOYSA-N 0 3 317.795 4.380 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc(OC)c(OC)c1 ZINC000546165322 341155488 /nfs/dbraw/zinc/15/54/88/341155488.db2.gz DTIRJPIPYCXXEN-CMPLNLGQSA-N 0 3 305.340 4.085 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)[C@H](OC)c1ccccc1F ZINC000546197843 341157010 /nfs/dbraw/zinc/15/70/10/341157010.db2.gz SVWVGIBDAZSZCW-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN C[C@@H](NCCc1ccn(C)n1)c1cc2c(ccc3ccccc23)o1 ZINC000546227041 341157967 /nfs/dbraw/zinc/15/79/67/341157967.db2.gz ORGGKVXMDAXQJY-CQSZACIVSA-N 0 3 319.408 4.213 20 0 DIADHN C[C@H](c1nc(-c2ccccc2CN2CCCC2)no1)C(C)(C)C ZINC000546238637 341158898 /nfs/dbraw/zinc/15/88/98/341158898.db2.gz JHCDAKFGCJEIRP-CQSZACIVSA-N 0 3 313.445 4.482 20 0 DIADHN Fc1cccc(-c2noc(-c3cccc(CN4CCCC4)c3)n2)c1 ZINC000546242005 341158998 /nfs/dbraw/zinc/15/89/98/341158998.db2.gz AXEBTPMGAWLPJS-UHFFFAOYSA-N 0 3 323.371 4.139 20 0 DIADHN C[C@](O)(C1CCN(CCC2CCCCCC2)CC1)C(F)(F)F ZINC000564305347 341175030 /nfs/dbraw/zinc/17/50/30/341175030.db2.gz QLBIWYJOMLRMMF-INIZCTEOSA-N 0 3 321.427 4.372 20 0 DIADHN CCc1cccnc1[C@@H](C)NC1CC(OCc2ccccc2)C1 ZINC000546620713 341175213 /nfs/dbraw/zinc/17/52/13/341175213.db2.gz RFBXTPZHWQUYDO-VNCLNFNDSA-N 0 3 310.441 4.042 20 0 DIADHN Cc1cccn2cc(CN[C@@H]3CCC[C@@H]3c3ccccc3)nc12 ZINC000546655928 341177455 /nfs/dbraw/zinc/17/74/55/341177455.db2.gz GQOFQBONEYKEEI-RTBURBONSA-N 0 3 305.425 4.069 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccnc3ccccc23)o1 ZINC000546657966 341177736 /nfs/dbraw/zinc/17/77/36/341177736.db2.gz SQKOFZUFVSJQOR-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CN(C)Cc4ccccc43)cc2c1C ZINC000546728678 341180668 /nfs/dbraw/zinc/18/06/68/341180668.db2.gz SPZUWJXNCMDFCZ-OAQYLSRUSA-N 0 3 319.452 4.061 20 0 DIADHN COc1ccsc1[C@H](C)NC1CC(OCc2ccccc2)C1 ZINC000546731264 341180933 /nfs/dbraw/zinc/18/09/33/341180933.db2.gz DRMOPVMUPHAFJF-JEYLPNPQSA-N 0 3 317.454 4.155 20 0 DIADHN Fc1cncc(CN[C@H](Cc2ccccc2)c2ccccc2)c1 ZINC000546744021 341181373 /nfs/dbraw/zinc/18/13/73/341181373.db2.gz DQMGOPWVIBMVIR-HXUWFJFHSA-N 0 3 306.384 4.294 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(OC(F)(F)F)cc2)cn1 ZINC000546767822 341181957 /nfs/dbraw/zinc/18/19/57/341181957.db2.gz XQHJNOIZKWEHAZ-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN CC(C)(C)OC1CCN([C@@H]2CCCc3ccc(F)cc32)CC1 ZINC000133694745 341182107 /nfs/dbraw/zinc/18/21/07/341182107.db2.gz AEZGGKVJOUAYOM-GOSISDBHSA-N 0 3 305.437 4.483 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC[C@@H](C(C)(C)C)C2)s1 ZINC000546801533 341182767 /nfs/dbraw/zinc/18/27/67/341182767.db2.gz SCADMINIGBFYQV-SNVBAGLBSA-N 0 3 306.397 4.338 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCOCC2(CCC2)C1 ZINC000546810494 341182882 /nfs/dbraw/zinc/18/28/82/341182882.db2.gz RGDVVHLYVXIFLI-CYBMUJFWSA-N 0 3 313.363 4.269 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](CC)CC(F)(F)F)CCC2 ZINC000546871483 341184392 /nfs/dbraw/zinc/18/43/92/341184392.db2.gz LANCVBZHWQVQFO-UWVGGRQHSA-N 0 3 306.397 4.404 20 0 DIADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1ccc2c(c1)CCCC2 ZINC000546878565 341185012 /nfs/dbraw/zinc/18/50/12/341185012.db2.gz GXBMJCKALGOHLX-CQSZACIVSA-N 0 3 305.425 4.293 20 0 DIADHN C[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc2c(c1)CCCC2 ZINC000546878565 341185013 /nfs/dbraw/zinc/18/50/13/341185013.db2.gz GXBMJCKALGOHLX-CQSZACIVSA-N 0 3 305.425 4.293 20 0 DIADHN COCCOc1cccc(CN[C@@H](C)c2c(C)cccc2C)c1 ZINC000546881029 341185314 /nfs/dbraw/zinc/18/53/14/341185314.db2.gz ZACYDKRQVULLMR-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(Br)cc1 ZINC000546881945 341185336 /nfs/dbraw/zinc/18/53/36/341185336.db2.gz OFJVXXMEBOCUGD-LLVKDONJSA-N 0 3 310.157 4.270 20 0 DIADHN CC(C)[C@H](N[C@H]1CCC[C@@H](C(C)(C)C)CC1)c1nncn1C ZINC000564311360 341186433 /nfs/dbraw/zinc/18/64/33/341186433.db2.gz UGUIYODRALVQPN-PMPSAXMXSA-N 0 3 306.498 4.097 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000546933810 341187370 /nfs/dbraw/zinc/18/73/70/341187370.db2.gz BKAPVUJZXMIPKW-ZMPRRUGASA-N 0 3 315.482 4.453 20 0 DIADHN Cn1cccc1CN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000564312822 341193069 /nfs/dbraw/zinc/19/30/69/341193069.db2.gz RNHXWZPAUUXWOS-GOSISDBHSA-N 0 3 304.384 4.087 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@@H](Nc2ccccc2)C1 ZINC000236667548 341193522 /nfs/dbraw/zinc/19/35/22/341193522.db2.gz GTCCMLAEQDRNDW-LJQANCHMSA-N 0 3 310.441 4.160 20 0 DIADHN C[C@H](c1nc(-c2ccccc2CN2CCCCC2)no1)C1CC1 ZINC000547115809 341196619 /nfs/dbraw/zinc/19/66/19/341196619.db2.gz SZQSACIUJDTHAB-AWEZNQCLSA-N 0 3 311.429 4.236 20 0 DIADHN CC(C)n1cc([C@@H](C)NCC(C)(C)c2ccccc2F)cn1 ZINC000133879235 341196845 /nfs/dbraw/zinc/19/68/45/341196845.db2.gz BZXNPEDPEJHKPL-CQSZACIVSA-N 0 3 303.425 4.232 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](Nc3ccccc3)C2)c1 ZINC000236824346 341202376 /nfs/dbraw/zinc/20/23/76/341202376.db2.gz AWVZTDCIPDRQFK-LJQANCHMSA-N 0 3 310.441 4.160 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H]1CCC[C@H]1c1ccccc1 ZINC000133962664 341203183 /nfs/dbraw/zinc/20/31/83/341203183.db2.gz PTSNUXFZDUGQBZ-AZUAARDMSA-N 0 3 322.452 4.113 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H]2CCC[C@@H]2c2ccccc2)c1 ZINC000133971896 341203574 /nfs/dbraw/zinc/20/35/74/341203574.db2.gz RPLRUQRRTPTUDC-RTBURBONSA-N 0 3 322.452 4.168 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H](C)CCc2ccccc2)o1 ZINC000236964944 341205003 /nfs/dbraw/zinc/20/50/03/341205003.db2.gz OBJNARSSRBKXLK-MAUKXSAKSA-N 0 3 301.430 4.140 20 0 DIADHN CCCOc1ccc(Oc2ncccc2CNCC2CC2)cc1 ZINC000236983034 341205368 /nfs/dbraw/zinc/20/53/68/341205368.db2.gz BDKVXSHLPKVLIC-UHFFFAOYSA-N 0 3 312.413 4.162 20 0 DIADHN CCC[C@H](NC1CC(OCc2ccccc2)C1)c1ccccn1 ZINC000547328207 341206123 /nfs/dbraw/zinc/20/61/23/341206123.db2.gz ZMLQZOKALLBZDR-QLOJAFMTSA-N 0 3 310.441 4.260 20 0 DIADHN CCN(c1ccccc1)c1ccc(CNCc2cccn2C)cc1 ZINC000237064725 341206560 /nfs/dbraw/zinc/20/65/60/341206560.db2.gz VVVMPVFFCIABRB-UHFFFAOYSA-N 0 3 319.452 4.473 20 0 DIADHN COC[C@H](Cc1ccccc1)NCc1cccc(C(F)(F)F)c1 ZINC000237066455 341206600 /nfs/dbraw/zinc/20/66/00/341206600.db2.gz XGYFREKAJKDENP-KRWDZBQOSA-N 0 3 323.358 4.053 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(OC)cc2OC)cc1 ZINC000237153271 341208231 /nfs/dbraw/zinc/20/82/31/341208231.db2.gz WTQNGXXUNGTOBE-UHFFFAOYSA-N 0 3 313.441 4.291 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@@H](C)c2nc3ccccc3n2C)s1 ZINC000134160985 341208248 /nfs/dbraw/zinc/20/82/48/341208248.db2.gz ALBPZMXICINIHN-OCCSQVGLSA-N 0 3 313.470 4.225 20 0 DIADHN C[C@@H](NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2)C1CC1 ZINC000547356427 341208620 /nfs/dbraw/zinc/20/86/20/341208620.db2.gz YGLBNAIBUZEBKD-CJNGLKHVSA-N 0 3 312.482 4.304 20 0 DIADHN COc1cccc([C@@H](NCC2CC2)c2ccccc2Cl)c1 ZINC000237293957 341210975 /nfs/dbraw/zinc/21/09/75/341210975.db2.gz WKGHUGHQKMWFJB-GOSISDBHSA-N 0 3 301.817 4.438 20 0 DIADHN Cn1cnc2cc(CN3CCCC[C@H]3c3ccccc3)ccc21 ZINC000547399190 341211035 /nfs/dbraw/zinc/21/10/35/341211035.db2.gz PBRMSACOEANGMU-IBGZPJMESA-N 0 3 305.425 4.301 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2Cc2sccc2Cl)C1 ZINC000547398506 341211106 /nfs/dbraw/zinc/21/11/06/341211106.db2.gz MEWMWXSRHKIYIP-OSRDXIQISA-N 0 3 313.894 4.163 20 0 DIADHN Cc1csc(NC2CCN([C@@H](C)Cc3ccsc3)CC2)n1 ZINC000547442937 341213921 /nfs/dbraw/zinc/21/39/21/341213921.db2.gz QOIZDVMALPSARE-ZDUSSCGKSA-N 0 3 321.515 4.021 20 0 DIADHN Cc1sc(CCNCc2ccc(C)cn2)nc1-c1ccccc1 ZINC000134411651 341214888 /nfs/dbraw/zinc/21/48/88/341214888.db2.gz DVRBGVHHCBSXOB-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2ccc3c(c2)CCCC3)s1 ZINC000076865391 341215068 /nfs/dbraw/zinc/21/50/68/341215068.db2.gz JJIIOERNLHMQOO-GFCCVEGCSA-N 0 3 300.471 4.490 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N(C)CCCc1ccccc1 ZINC000076988829 341216690 /nfs/dbraw/zinc/21/66/90/341216690.db2.gz UOADSWOENSMRTO-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2nc(C(C)(C)C)cs2)o1 ZINC000237660158 341217696 /nfs/dbraw/zinc/21/76/96/341217696.db2.gz RKPSNYNIAFKTAC-GXTWGEPZSA-N 0 3 318.486 4.489 20 0 DIADHN CCCc1cccc(CNC2(c3ccccc3)CCOCC2)c1 ZINC000547497056 341217822 /nfs/dbraw/zinc/21/78/22/341217822.db2.gz XQHRKXSSKYCXLQ-UHFFFAOYSA-N 0 3 309.453 4.435 20 0 DIADHN C[C@@H](NC1CC(OCc2ccccc2)C1)c1ccc(Cl)cn1 ZINC000547506880 341218710 /nfs/dbraw/zinc/21/87/10/341218710.db2.gz UGVKTHHRZGGGBM-NVPAJSRCSA-N 0 3 316.832 4.133 20 0 DIADHN CCOc1ccc([C@@H](C)NC[C@H](C)C(F)(F)F)cc1OCC ZINC000547506791 341218768 /nfs/dbraw/zinc/21/87/68/341218768.db2.gz GCAJNCHOWMGJRW-NWDGAFQWSA-N 0 3 319.367 4.333 20 0 DIADHN Clc1ccc(OC[C@H]2CCCO2)c(CN[C@H]2CC=CCC2)c1 ZINC000237761989 341219920 /nfs/dbraw/zinc/21/99/20/341219920.db2.gz XXHHJONJVDUSLE-DLBZAZTESA-N 0 3 321.848 4.096 20 0 DIADHN Clc1ccc(OC[C@@H]2CCCO2)c(CN[C@@H]2CC=CCC2)c1 ZINC000237759903 341219922 /nfs/dbraw/zinc/21/99/22/341219922.db2.gz XXHHJONJVDUSLE-SJORKVTESA-N 0 3 321.848 4.096 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)C1=CCCCCC1)c1ccccc1 ZINC000547520856 341220129 /nfs/dbraw/zinc/22/01/29/341220129.db2.gz QWEJOUSCHCXJBE-MRXNPFEDSA-N 0 3 314.473 4.123 20 0 DIADHN Cc1ccc(CNC[C@H]2CCN(c3cc(Cl)ccc3C)C2)o1 ZINC000237777114 341220360 /nfs/dbraw/zinc/22/03/60/341220360.db2.gz NHLNWBLFNGNFRM-OAHLLOKOSA-N 0 3 318.848 4.166 20 0 DIADHN CCC[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Cl)c(Cl)c1 ZINC000134646036 341220784 /nfs/dbraw/zinc/22/07/84/341220784.db2.gz BOEQQPVPFAVTHX-NORZTCDRSA-N 0 3 314.256 4.361 20 0 DIADHN CCCN(Cc1ccc2[nH]cnc2c1)[C@H]1CCCc2cccnc21 ZINC000547531709 341221023 /nfs/dbraw/zinc/22/10/23/341221023.db2.gz IZQLDIMCALGKAV-IBGZPJMESA-N 0 3 320.440 4.248 20 0 DIADHN CCCN(Cc1ccc2nc[nH]c2c1)[C@H]1CCCc2cccnc21 ZINC000547531709 341221024 /nfs/dbraw/zinc/22/10/24/341221024.db2.gz IZQLDIMCALGKAV-IBGZPJMESA-N 0 3 320.440 4.248 20 0 DIADHN Cc1cccc(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)c1 ZINC000237845058 341221720 /nfs/dbraw/zinc/22/17/20/341221720.db2.gz ILTWILBRWQGIFT-FQEVSTJZSA-N 0 3 309.409 4.007 20 0 DIADHN Cc1ccc([C@@H](CNC/C=C/c2ccccc2)N2CCCC2)o1 ZINC000237882044 341222486 /nfs/dbraw/zinc/22/24/86/341222486.db2.gz BJFLBSRXFLBHQR-QPGLYAHGSA-N 0 3 310.441 4.028 20 0 DIADHN CC(C)N(CC(=O)Nc1c(Cl)cccc1Cl)C1CCC1 ZINC000077357819 341223528 /nfs/dbraw/zinc/22/35/28/341223528.db2.gz WAJDHOQNPQBPJM-UHFFFAOYSA-N 0 3 315.244 4.195 20 0 DIADHN COc1ccc(-c2cnc(CN(C(C)C)C3CCC3)o2)cc1 ZINC000077358649 341223847 /nfs/dbraw/zinc/22/38/47/341223847.db2.gz GJNHZLFSXIJRCF-UHFFFAOYSA-N 0 3 300.402 4.113 20 0 DIADHN Fc1ccc(-n2cc(CNC3(c4ccccc4)CCC3)cn2)cc1 ZINC000077403558 341224497 /nfs/dbraw/zinc/22/44/97/341224497.db2.gz QLLUCABVICAHAS-UHFFFAOYSA-N 0 3 321.399 4.180 20 0 DIADHN C[C@H](c1ccc(C(C)(C)C)cc1)N1CCC(O)(C(F)F)CC1 ZINC000547648818 341224970 /nfs/dbraw/zinc/22/49/70/341224970.db2.gz XDEAXGIVWBHGJV-CYBMUJFWSA-N 0 3 311.416 4.137 20 0 DIADHN CSC[C@@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000134869893 341225721 /nfs/dbraw/zinc/22/57/21/341225721.db2.gz IYSDIRCHLIYCLW-LLVKDONJSA-N 0 3 318.467 4.397 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@@H](NCc2noc3c2CCCC3)C1 ZINC000564327470 341225974 /nfs/dbraw/zinc/22/59/74/341225974.db2.gz WKMRYMVZIZEZCQ-OXQOHEQNSA-N 0 3 310.441 4.153 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2NCc2ncccc2C)c1 ZINC000547883199 341238791 /nfs/dbraw/zinc/23/87/91/341238791.db2.gz COUCURHTFLUXQH-AZUAARDMSA-N 0 3 310.441 4.178 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000078539158 341243612 /nfs/dbraw/zinc/24/36/12/341243612.db2.gz FWTSJCZAMCTKFJ-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN CCC(CC)[C@@H](NCc1ccc(OC)nc1)c1cccs1 ZINC000548033041 341243949 /nfs/dbraw/zinc/24/39/49/341243949.db2.gz NLAUBFYCLUOLET-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000548159516 341250339 /nfs/dbraw/zinc/25/03/39/341250339.db2.gz MWIBLJOXOPZCQD-OCCSQVGLSA-N 0 3 305.447 4.247 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nnc(C4CC4)o3)[C@H]3CCCC[C@@H]23)cc1 ZINC000548275696 341254004 /nfs/dbraw/zinc/25/40/04/341254004.db2.gz TUPQMRDCYTYHSI-BZSNNMDCSA-N 0 3 323.440 4.105 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2-c2ccccc2)nc1 ZINC000548324628 341256155 /nfs/dbraw/zinc/25/61/55/341256155.db2.gz SVGWJMGXPVHLKD-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(F)ccc2C)cc1 ZINC000079324294 341256580 /nfs/dbraw/zinc/25/65/80/341256580.db2.gz ICKCTFZZLPVZJD-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@H]1CCCC[C@@H]1C ZINC000548402775 341259009 /nfs/dbraw/zinc/25/90/09/341259009.db2.gz ZLCPGGUBHXSHGI-YJBOKZPZSA-N 0 3 316.489 4.260 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1csc(-c2ncccn2)n1 ZINC000548402917 341259084 /nfs/dbraw/zinc/25/90/84/341259084.db2.gz GZJJKXRSYLYODR-HIFRSBDPSA-N 0 3 316.474 4.001 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC2(CCO)CCC2)o1 ZINC000136541655 341261593 /nfs/dbraw/zinc/26/15/93/341261593.db2.gz RTOUWCBMGSDNQM-UHFFFAOYSA-N 0 3 317.404 4.036 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2ccccc2[nH]1 ZINC000548485102 341263316 /nfs/dbraw/zinc/26/33/16/341263316.db2.gz HMVOXVYGMDWPKC-WBVHZDCISA-N 0 3 305.425 4.016 20 0 DIADHN FC(F)(F)COc1cccc(CN2CCC[C@H]2c2ccc[nH]2)c1 ZINC000079881304 341267060 /nfs/dbraw/zinc/26/70/60/341267060.db2.gz QICGRYBCCMBAJU-INIZCTEOSA-N 0 3 324.346 4.293 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1C(F)(F)F ZINC000548636793 341268258 /nfs/dbraw/zinc/26/82/58/341268258.db2.gz GUDUQEAOBSBTNP-VXGBXAGGSA-N 0 3 301.352 4.314 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@@H]3CCCC[C@H]3C)o2)cc1 ZINC000548729957 341270948 /nfs/dbraw/zinc/27/09/48/341270948.db2.gz MEECVNYOIPBXQH-RHSMWYFYSA-N 0 3 314.429 4.361 20 0 DIADHN CCCCCN(C(=O)c1ccc(CN2CCCC2)cc1)C(C)C ZINC000548739570 341271320 /nfs/dbraw/zinc/27/13/20/341271320.db2.gz ZWLIZKRWFRYBBA-UHFFFAOYSA-N 0 3 316.489 4.323 20 0 DIADHN CCc1nc2ccccc2c(N2CCC(OC(C)C)CC2)c1C ZINC000548781460 341275361 /nfs/dbraw/zinc/27/53/61/341275361.db2.gz JYXLMRHMPNUFKO-UHFFFAOYSA-N 0 3 312.457 4.499 20 0 DIADHN Clc1cccc(Cl)c1CN[C@H]1CCC12CCOCC2 ZINC000548794493 341276056 /nfs/dbraw/zinc/27/60/56/341276056.db2.gz IAZHNJDRWMLGDK-AWEZNQCLSA-N 0 3 300.229 4.042 20 0 DIADHN CC(C)(CNCc1ccc(OC(F)(F)F)cc1)C(F)(F)F ZINC000548858760 341278400 /nfs/dbraw/zinc/27/84/00/341278400.db2.gz RBDOGIMIKLBQQF-UHFFFAOYSA-N 0 3 315.257 4.263 20 0 DIADHN COCC[C@@H](NCC(C)(C)C(F)(F)F)c1ccc(F)cc1 ZINC000548883545 341279762 /nfs/dbraw/zinc/27/97/62/341279762.db2.gz ULYPGHVRKALAGK-CYBMUJFWSA-N 0 3 307.331 4.081 20 0 DIADHN CCc1ccc([C@@H]2CCCCN2CCCO[C@H]2CCCCO2)o1 ZINC000548919221 341280910 /nfs/dbraw/zinc/28/09/10/341280910.db2.gz NSAOPILZABCRTN-HKUYNNGSSA-N 0 3 321.461 4.302 20 0 DIADHN CO[C@H](CN1CC[C@@H]1c1ccccc1)c1ccc(Cl)cc1 ZINC000548918459 341280922 /nfs/dbraw/zinc/28/09/22/341280922.db2.gz SQVIRVYGAVZPBV-QZTJIDSGSA-N 0 3 301.817 4.475 20 0 DIADHN CC1(C)CN(C[C@H]2CCC3(CCCC3)O2)CC[C@H]1C(F)(F)F ZINC000548931498 341281300 /nfs/dbraw/zinc/28/13/00/341281300.db2.gz OJVQYHAAIYVOKQ-ZIAGYGMSSA-N 0 3 319.411 4.389 20 0 DIADHN CC1(C)CN(C[C@H]2CCC3(CCCC3)O2)CC[C@@H]1C(F)(F)F ZINC000548931494 341281398 /nfs/dbraw/zinc/28/13/98/341281398.db2.gz OJVQYHAAIYVOKQ-KGLIPLIRSA-N 0 3 319.411 4.389 20 0 DIADHN CCCCN(C(=O)c1ccc(CN2CCCC2)cc1)[C@H](C)CC ZINC000549035183 341284122 /nfs/dbraw/zinc/28/41/22/341284122.db2.gz UWLXQEQPPAKFMZ-QGZVFWFLSA-N 0 3 316.489 4.323 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC[C@@H]1CCC2(CCCC2)O1 ZINC000549131654 341286588 /nfs/dbraw/zinc/28/65/88/341286588.db2.gz SPWYHUWEDNBZRB-ZBFHGGJFSA-N 0 3 319.493 4.089 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1cncn1Cc1ccccc1 ZINC000549159216 341287510 /nfs/dbraw/zinc/28/75/10/341287510.db2.gz CPURVABQMKYTHT-QGZVFWFLSA-N 0 3 311.473 4.332 20 0 DIADHN CCC(CC)(CCO)NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000549180543 341288060 /nfs/dbraw/zinc/28/80/60/341288060.db2.gz QYORDDBSMDTHEW-UHFFFAOYSA-N 0 3 322.836 4.026 20 0 DIADHN COCC[C@H](C)N1CC[C@@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC000549187418 341288400 /nfs/dbraw/zinc/28/84/00/341288400.db2.gz NOTMDYMKSXAWKN-WFASDCNBSA-N 0 3 319.342 4.001 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H]2CSC2(C)C)cc1OCC ZINC000549227131 341289084 /nfs/dbraw/zinc/28/90/84/341289084.db2.gz BERFHYIYRGQHEU-BLLLJJGKSA-N 0 3 309.475 4.029 20 0 DIADHN CCn1nccc1CCN1CCCC[C@H]1c1cccc(Cl)c1 ZINC000549347461 341291848 /nfs/dbraw/zinc/29/18/48/341291848.db2.gz NDTIBSXBACJMLW-SFHVURJKSA-N 0 3 317.864 4.326 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@H](OC)c2ccccc2)s1 ZINC000549349707 341292170 /nfs/dbraw/zinc/29/21/70/341292170.db2.gz DOUKBFPQCWKDRT-HOCLYGCPSA-N 0 3 318.486 4.394 20 0 DIADHN CCc1ccc(CN(Cc2ccc(OC)cc2)[C@H](C)C2CC2)nc1 ZINC000549366419 341292585 /nfs/dbraw/zinc/29/25/85/341292585.db2.gz OZGIMPLIQTWBHC-MRXNPFEDSA-N 0 3 324.468 4.453 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccc(-c2cnn(C)c2)s1 ZINC000549401519 341293278 /nfs/dbraw/zinc/29/32/78/341293278.db2.gz LAIKTPRAUUHNMX-AWEZNQCLSA-N 0 3 323.465 4.095 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1cnc([C@H]2CCCO2)s1 ZINC000549408200 341293637 /nfs/dbraw/zinc/29/36/37/341293637.db2.gz LBCFOBPUOCDJTD-CZUORRHYSA-N 0 3 308.491 4.395 20 0 DIADHN CC1CN(Cc2cccc(NC(=O)c3ccc(Cl)cc3)c2)C1 ZINC000549406653 341293649 /nfs/dbraw/zinc/29/36/49/341293649.db2.gz JLAFWKCDGFIFEX-UHFFFAOYSA-N 0 3 314.816 4.044 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@H](C)[C@H](C)c1ccccc1 ZINC000245465121 341295648 /nfs/dbraw/zinc/29/56/48/341295648.db2.gz IHVXIGSXPWSETI-BBWFWOEESA-N 0 3 324.468 4.358 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H]1CCCO[C@@H]1CC(C)C ZINC000549553583 341297594 /nfs/dbraw/zinc/29/75/94/341297594.db2.gz DYRHOCGKYSYBOC-RBUKOAKNSA-N 0 3 304.478 4.197 20 0 DIADHN Cc1nc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)nc2ccccc12 ZINC000549625447 341299423 /nfs/dbraw/zinc/29/94/23/341299423.db2.gz MHDBFFNXCLNGIM-JVPBZIDWSA-N 0 3 317.436 4.220 20 0 DIADHN COc1ccc(F)cc1CNCCCO[C@@H](C)c1ccccc1 ZINC000549699547 341300882 /nfs/dbraw/zinc/30/08/82/341300882.db2.gz PGHPNUGMLZXBOO-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc(F)cc1CNCCCO[C@H](C)c1ccccc1 ZINC000549699549 341300965 /nfs/dbraw/zinc/30/09/65/341300965.db2.gz PGHPNUGMLZXBOO-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)C[C@@H](c2ccccc2)O1 ZINC000245551312 341301559 /nfs/dbraw/zinc/30/15/59/341301559.db2.gz BCEXVZINIYQBJY-QRWLVFNGSA-N 0 3 306.409 4.130 20 0 DIADHN CCOc1cc(CNCc2ccc(C3CCC3)cc2)ccc1O ZINC000549750955 341302165 /nfs/dbraw/zinc/30/21/65/341302165.db2.gz OBUZNWILGAQEBM-UHFFFAOYSA-N 0 3 311.425 4.348 20 0 DIADHN CC(C)CSCCCNCc1conc1Cc1ccccc1 ZINC000549780220 341302976 /nfs/dbraw/zinc/30/29/76/341302976.db2.gz CTWUCHGYAUFNOM-UHFFFAOYSA-N 0 3 318.486 4.134 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3cnn(C)c3)s2)[C@H]1c1ccccc1 ZINC000549802101 341303257 /nfs/dbraw/zinc/30/32/57/341303257.db2.gz JCSIYWAFYLITLQ-AUUYWEPGSA-N 0 3 323.465 4.342 20 0 DIADHN CCCCCN(Cc1c(C)nn(-c2ccccn2)c1C)C(C)C ZINC000549801737 341303343 /nfs/dbraw/zinc/30/33/43/341303343.db2.gz VXJJSDOSNROBIF-UHFFFAOYSA-N 0 3 314.477 4.285 20 0 DIADHN CSCc1cnc(CNC[C@@H](c2ccccc2)C2CC2)s1 ZINC000549822568 341304236 /nfs/dbraw/zinc/30/42/36/341304236.db2.gz GICZAVAKOBSLQK-INIZCTEOSA-N 0 3 318.511 4.290 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)cc1 ZINC000549839326 341304697 /nfs/dbraw/zinc/30/46/97/341304697.db2.gz FFKRQXASMKMCDJ-RCCFBDPRSA-N 0 3 314.473 4.293 20 0 DIADHN C[C@@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccc(F)cc1OC(F)F ZINC000245604006 341304898 /nfs/dbraw/zinc/30/48/98/341304898.db2.gz QBOLWOFCNUTHDJ-GMTAPVOTSA-N 0 3 317.351 4.034 20 0 DIADHN CCc1ccc(CN2CC[C@H](CSc3ccccc3)C2)nc1 ZINC000549862379 341305309 /nfs/dbraw/zinc/30/53/09/341305309.db2.gz ONLHZZUBTSSUFA-KRWDZBQOSA-N 0 3 312.482 4.258 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2ccccc2C)cc1OC ZINC000549867896 341305704 /nfs/dbraw/zinc/30/57/04/341305704.db2.gz GYAIYDIHFGLQEP-UHFFFAOYSA-N 0 3 313.441 4.427 20 0 DIADHN Cc1ccc(C2(CNCc3cscn3)CCCC2)c(C)c1 ZINC000549922960 341306782 /nfs/dbraw/zinc/30/67/82/341306782.db2.gz DEHNZFHBZBFELU-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN CCn1ccnc1CNCC1(c2ccc(C)cc2C)CCCC1 ZINC000549924935 341306848 /nfs/dbraw/zinc/30/68/48/341306848.db2.gz VCXUSYBNVOYSPT-UHFFFAOYSA-N 0 3 311.473 4.121 20 0 DIADHN C[C@@H](c1cccc(NC(=O)c2ccccc2)c1)N1C[C@@H](C)[C@H]1C ZINC000549924525 341306966 /nfs/dbraw/zinc/30/69/66/341306966.db2.gz YYWZXQPYXHGXEN-OAGGEKHMSA-N 0 3 308.425 4.340 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H](C)c1ccc2c(c1)OCO2 ZINC000549928474 341307108 /nfs/dbraw/zinc/30/71/08/341307108.db2.gz RJEJAXOGUVIEGP-ZDUSSCGKSA-N 0 3 312.413 4.093 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1cnccn1 ZINC000549989041 341308282 /nfs/dbraw/zinc/30/82/82/341308282.db2.gz YNBNFCUMCNUFDM-RDTXWAMCSA-N 0 3 317.864 4.438 20 0 DIADHN CC[C@@H](CNCc1ncc(CSC)s1)c1ccccc1 ZINC000550075035 341309632 /nfs/dbraw/zinc/30/96/32/341309632.db2.gz KRMNCPRWPWBGOW-ZDUSSCGKSA-N 0 3 306.500 4.290 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@H](CSC)C1 ZINC000550105067 341310131 /nfs/dbraw/zinc/31/01/31/341310131.db2.gz HLUHVLPDHWEEGA-HNNXBMFYSA-N 0 3 306.519 4.342 20 0 DIADHN CCc1nocc1CNCC1(Sc2ccccc2)CCCC1 ZINC000550143007 341310920 /nfs/dbraw/zinc/31/09/20/341310920.db2.gz SFXHPNJHNZDRKR-UHFFFAOYSA-N 0 3 316.470 4.432 20 0 DIADHN Cc1sccc1CN[C@H]1CCN(c2ccc(Cl)cc2)C1 ZINC000550199838 341312357 /nfs/dbraw/zinc/31/23/57/341312357.db2.gz QDTBSSHGNPYHFN-HNNXBMFYSA-N 0 3 306.862 4.078 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000550227156 341313060 /nfs/dbraw/zinc/31/30/60/341313060.db2.gz PDVCSEJBGWFZPS-OAHLLOKOSA-N 0 3 323.415 4.425 20 0 DIADHN CCOCC(C)(C)NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000550255579 341313554 /nfs/dbraw/zinc/31/35/54/341313554.db2.gz YJKNVLDGNRKLBR-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN Cc1cccc(NC(=O)CNC(C)(C)c2ccccc2C)c1C ZINC000550263271 341313825 /nfs/dbraw/zinc/31/38/25/341313825.db2.gz WFCUKYASOFQHFT-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN CC[C@H](NCc1ccc(-c2cccc(F)c2C)o1)C(C)(C)O ZINC000550292089 341314626 /nfs/dbraw/zinc/31/46/26/341314626.db2.gz QOEGJKBNDYWUSD-KRWDZBQOSA-N 0 3 305.393 4.033 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccccc2)cc1OC)C(C)(C)O ZINC000550295895 341314634 /nfs/dbraw/zinc/31/46/34/341314634.db2.gz WGMUTWPODHKGLM-IBGZPJMESA-N 0 3 313.441 4.001 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2cccnc2)C12CCC2 ZINC000245855926 341316258 /nfs/dbraw/zinc/31/62/58/341316258.db2.gz HBEOONKUKIQNSJ-VAMGGRTRSA-N 0 3 322.452 4.108 20 0 DIADHN CC[C@H](NC[C@@H](C[C@H](C)O)c1ccccc1)c1ccccc1F ZINC000245920030 341318165 /nfs/dbraw/zinc/31/81/65/341318165.db2.gz GWUDYZXTAUSBLO-VPWXQRGCSA-N 0 3 315.432 4.421 20 0 DIADHN CCC[C@H](NC[C@H](C[C@H](C)O)c1ccccc1)c1ccccn1 ZINC000245922842 341318377 /nfs/dbraw/zinc/31/83/77/341318377.db2.gz OCCRIHFZCOEOKK-WDSOQIARSA-N 0 3 312.457 4.067 20 0 DIADHN COc1ccc([C@H](C)CN2CCC[C@@H](C(F)(F)F)C2)cc1 ZINC000245943450 341319124 /nfs/dbraw/zinc/31/91/24/341319124.db2.gz VCYOWQQAJUZHQA-TZMCWYRMSA-N 0 3 301.352 4.073 20 0 DIADHN CCc1ccc(CN[C@@H](CC)c2ccc(C(=O)OC)cc2)s1 ZINC000550623079 341319888 /nfs/dbraw/zinc/31/98/88/341319888.db2.gz SYELNPAIBMGTOL-KRWDZBQOSA-N 0 3 317.454 4.338 20 0 DIADHN COc1ccc(F)cc1N[C@@H]1CCN(C)[C@@H](c2ccccc2)C1 ZINC000550760181 341322652 /nfs/dbraw/zinc/32/26/52/341322652.db2.gz UOOCJMYTWITIGQ-SJLPKXTDSA-N 0 3 314.404 4.082 20 0 DIADHN C[C@H](NCCn1ccc2cc(Cl)ccc21)c1ccncc1F ZINC000550819276 341323695 /nfs/dbraw/zinc/32/36/95/341323695.db2.gz VYUIGILLOXPIOP-LBPRGKRZSA-N 0 3 317.795 4.180 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000550830923 341323886 /nfs/dbraw/zinc/32/38/86/341323886.db2.gz MQZQWHNJSHQCHX-UXIGCNINSA-N 0 3 305.418 4.493 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000550830924 341323958 /nfs/dbraw/zinc/32/39/58/341323958.db2.gz MQZQWHNJSHQCHX-WHOFXGATSA-N 0 3 305.418 4.493 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@H](C)c1ccc(SCC)cc1 ZINC000550829177 341323976 /nfs/dbraw/zinc/32/39/76/341323976.db2.gz SYOILJVAPQKOLB-HUUCEWRRSA-N 0 3 322.518 4.002 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000550858207 341324809 /nfs/dbraw/zinc/32/48/09/341324809.db2.gz NJRQQNLZUQUUCX-WDEREUQCSA-N 0 3 319.474 4.261 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000550858204 341324818 /nfs/dbraw/zinc/32/48/18/341324818.db2.gz NJRQQNLZUQUUCX-GHMZBOCLSA-N 0 3 319.474 4.261 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000550858508 341324921 /nfs/dbraw/zinc/32/49/21/341324921.db2.gz YXPBWPHXXAPOKF-BZNIZROVSA-N 0 3 302.805 4.169 20 0 DIADHN C[C@H](N[C@@H]1CC2(CCCC2)Oc2ccccc21)c1cc[nH]c(=O)c1 ZINC000550860969 341324962 /nfs/dbraw/zinc/32/49/62/341324962.db2.gz SPYWATQTEVBZAK-WMLDXEAASA-N 0 3 324.424 4.274 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cccc(OC(C)C)c2)ccn1 ZINC000550892771 341325942 /nfs/dbraw/zinc/32/59/42/341325942.db2.gz SWWNIDXPZSAKLM-HUUCEWRRSA-N 0 3 314.429 4.289 20 0 DIADHN CO[C@H](CN[C@@H]1CCCOc2ccc(C(C)C)cc21)C1CC1 ZINC000550895768 341326002 /nfs/dbraw/zinc/32/60/02/341326002.db2.gz WPMFQFJDVNXWRJ-IEBWSBKVSA-N 0 3 303.446 4.038 20 0 DIADHN C[C@@H](NC[C@H](CO)C1CCCCC1)c1csc(Cl)c1 ZINC000550895687 341326063 /nfs/dbraw/zinc/32/60/63/341326063.db2.gz ROBJEXYMIDGLGC-BXUZGUMPSA-N 0 3 301.883 4.241 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)ccn1 ZINC000550909598 341326569 /nfs/dbraw/zinc/32/65/69/341326569.db2.gz BKQODCKQODTCCF-DOMZBBRYSA-N 0 3 324.346 4.087 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2C[C@H](c3ccccc3Cl)C2)c(C)n1 ZINC000550928157 341327041 /nfs/dbraw/zinc/32/70/41/341327041.db2.gz JOGNYJRGAPMEOI-BPLDGKMQSA-N 0 3 315.848 4.344 20 0 DIADHN COc1ccccc1C[C@H](N[C@H](C)c1ccncc1F)C(C)C ZINC000550939515 341327518 /nfs/dbraw/zinc/32/75/18/341327518.db2.gz BTBFDHKHDRHCHG-KDOFPFPSSA-N 0 3 316.420 4.147 20 0 DIADHN Clc1ccc(C2(NCc3ccc4[nH]cnc4c3)CCC2)cc1 ZINC000550990199 341328482 /nfs/dbraw/zinc/32/84/82/341328482.db2.gz CYQABIHIPWYOGR-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN Clc1ccc(C2(NCc3ccc4nc[nH]c4c3)CCC2)cc1 ZINC000550990199 341328483 /nfs/dbraw/zinc/32/84/83/341328483.db2.gz CYQABIHIPWYOGR-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1C)c1ccc(C(=O)OC)cc1 ZINC000551008802 341329046 /nfs/dbraw/zinc/32/90/46/341329046.db2.gz ZLEWIQBIQUYKQT-IBGZPJMESA-N 0 3 311.425 4.331 20 0 DIADHN C[C@H](Cn1cccn1)N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000138929766 341329448 /nfs/dbraw/zinc/32/94/48/341329448.db2.gz PYVSWRGOKXAGCA-MLGOLLRUSA-N 0 3 323.362 4.031 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2cccc(C3CC3)c2)cc1 ZINC000551030039 341329685 /nfs/dbraw/zinc/32/96/85/341329685.db2.gz DUKSZHDIDBHMIS-MRXNPFEDSA-N 0 3 324.468 4.473 20 0 DIADHN CN(Cc1ccc(Br)s1)C[C@@H]1CCC(F)(F)C1 ZINC000551069074 341330990 /nfs/dbraw/zinc/33/09/90/341330990.db2.gz CYWOBPYWMQKXMD-SECBINFHSA-N 0 3 324.234 4.378 20 0 DIADHN CCOCc1ccc(N[C@H]2CCN(C)[C@@H](c3ccccc3)C2)cc1 ZINC000551136150 341332313 /nfs/dbraw/zinc/33/23/13/341332313.db2.gz KCDFNDVODOYJDE-LEWJYISDSA-N 0 3 324.468 4.471 20 0 DIADHN CCC[C@H](C)[C@@H](CC)N[C@H](C)c1ncc(Br)cn1 ZINC000551211864 341334070 /nfs/dbraw/zinc/33/40/70/341334070.db2.gz YOPTWUZGKKCQBQ-DMDPSCGWSA-N 0 3 314.271 4.105 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CC[C@@H](c4ccc(F)cc4)C3)cn2c1 ZINC000551231003 341334695 /nfs/dbraw/zinc/33/46/95/341334695.db2.gz HKIQANPWAHISLY-AEFFLSMTSA-N 0 3 323.415 4.208 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000551246290 341335410 /nfs/dbraw/zinc/33/54/10/341335410.db2.gz MEDJATAGGIYRTO-QGZVFWFLSA-N 0 3 314.404 4.410 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2COCc3ccccc32)C(C)C)cc1 ZINC000551267937 341336194 /nfs/dbraw/zinc/33/61/94/341336194.db2.gz XXWJELNSQODXLI-UXHICEINSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@@H](NCCCc1c[nH]c2ccccc12)c1cn2ccccc2n1 ZINC000551267657 341336215 /nfs/dbraw/zinc/33/62/15/341336215.db2.gz HPOWPUZJMVQQPG-OAHLLOKOSA-N 0 3 318.424 4.099 20 0 DIADHN C[C@@H](NC[C@@H](CO)C1CCCCC1)c1ccc2ccccc2n1 ZINC000551273899 341336593 /nfs/dbraw/zinc/33/65/93/341336593.db2.gz FJTVRVBTVGHOKU-QAPCUYQASA-N 0 3 312.457 4.074 20 0 DIADHN C[C@H](NCC1(c2c(Cl)cccc2Cl)CCC1)c1cn[nH]c1 ZINC000551281531 341337057 /nfs/dbraw/zinc/33/70/57/341337057.db2.gz XMIOHSJKXZVISO-NSHDSACASA-N 0 3 324.255 4.489 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1ncc[nH]1 ZINC000551296440 341337468 /nfs/dbraw/zinc/33/74/68/341337468.db2.gz KARNTOGWOYUKIF-CXAGYDPISA-N 0 3 305.853 4.371 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1ncc[nH]1 ZINC000551296441 341337495 /nfs/dbraw/zinc/33/74/95/341337495.db2.gz KARNTOGWOYUKIF-DYVFJYSZSA-N 0 3 305.853 4.371 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1N[C@@H](C)c1cn2ccccc2n1 ZINC000551305406 341337843 /nfs/dbraw/zinc/33/78/43/341337843.db2.gz XMMCPLDHFYVNNK-SQWLQELKSA-N 0 3 303.475 4.049 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000551307529 341337939 /nfs/dbraw/zinc/33/79/39/341337939.db2.gz FBWRCZZXVHUJPX-VHSXEESVSA-N 0 3 317.296 4.383 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1ccc(C(F)(F)F)cn1 ZINC000551387022 341340160 /nfs/dbraw/zinc/34/01/60/341340160.db2.gz LVNJQLDHCVNJQJ-DYVFJYSZSA-N 0 3 320.358 4.478 20 0 DIADHN Cc1cnn(CCNC(C)(C)c2ccc(Cl)c(Cl)c2)c1 ZINC000551386973 341340208 /nfs/dbraw/zinc/34/02/08/341340208.db2.gz ITWKACPKNLCJAI-UHFFFAOYSA-N 0 3 312.244 4.023 20 0 DIADHN CCc1noc(CC)c1CN[C@H](Cc1ccccc1C)C1CC1 ZINC000551532965 341344198 /nfs/dbraw/zinc/34/41/98/341344198.db2.gz GUIWMBNPZOJLLN-LJQANCHMSA-N 0 3 312.457 4.219 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H]2CCO[C@H]2C2CC2)cc1Cl ZINC000551532639 341344266 /nfs/dbraw/zinc/34/42/66/341344266.db2.gz GDOJBYWTCGSVPP-IWEFOYFVSA-N 0 3 323.864 4.345 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H]2CCO[C@@H]2C2CC2)cc1Cl ZINC000551532640 341344305 /nfs/dbraw/zinc/34/43/05/341344305.db2.gz GDOJBYWTCGSVPP-ULFGMLNVSA-N 0 3 323.864 4.345 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccc(OC)nc2)cc1 ZINC000551533822 341344342 /nfs/dbraw/zinc/34/43/42/341344342.db2.gz RKIRUBUWPYPNHJ-HNNXBMFYSA-N 0 3 314.429 4.120 20 0 DIADHN CC1(C)CC[C@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000551534948 341344554 /nfs/dbraw/zinc/34/45/54/341344554.db2.gz ZQWQIANIEBXONM-MSOLQXFVSA-N 0 3 308.425 4.145 20 0 DIADHN CC(C)C(CN[C@@H](c1cnn(C)c1)c1ccc(F)cc1)C(C)C ZINC000551552306 341345061 /nfs/dbraw/zinc/34/50/61/341345061.db2.gz KSUAVHAARBDXCQ-LJQANCHMSA-N 0 3 317.452 4.166 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2cnc(C(C)(C)C)nc2)c(C)c1 ZINC000551547319 341345090 /nfs/dbraw/zinc/34/50/90/341345090.db2.gz KZEHLDATNPGOKY-MRXNPFEDSA-N 0 3 311.473 4.112 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(OC)cc1)c1cccs1 ZINC000551557803 341345307 /nfs/dbraw/zinc/34/53/07/341345307.db2.gz KLRKWBXMEDFBRL-IRXDYDNUSA-N 0 3 318.486 4.100 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551557753 341345370 /nfs/dbraw/zinc/34/53/70/341345370.db2.gz ICEXPYOKNYCJOS-MAUKXSAKSA-N 0 3 309.457 4.152 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCC[C@H]3[C@H]3CCCO3)o2)cc1 ZINC000551570478 341345817 /nfs/dbraw/zinc/34/58/17/341345817.db2.gz FIUDMNBZCGHOCU-PKOBYXMFSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccnc(OC(F)F)c1 ZINC000551573452 341346076 /nfs/dbraw/zinc/34/60/76/341346076.db2.gz RQURCSWCRVPHFK-IUODEOHRSA-N 0 3 318.367 4.330 20 0 DIADHN Cn1ccc(CN[C@@H]2CCCCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000551616787 341347313 /nfs/dbraw/zinc/34/73/13/341347313.db2.gz IOEKCKPEGQLRHE-ZWKOTPCHSA-N 0 3 317.864 4.280 20 0 DIADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000246417742 341348098 /nfs/dbraw/zinc/34/80/98/341348098.db2.gz MYSXGGCCLAMWFK-VIKVFOODSA-N 0 3 301.459 4.119 20 0 DIADHN CO[C@@H](CN1CCC(CC(F)(F)F)CC1)c1ccccc1 ZINC000551710067 341349698 /nfs/dbraw/zinc/34/96/98/341349698.db2.gz WNRQGQKFRMJCNG-HNNXBMFYSA-N 0 3 301.352 4.039 20 0 DIADHN COc1ncc([C@@H](C)NC2(c3ccccc3)CCC2)cc1Cl ZINC000551785825 341351292 /nfs/dbraw/zinc/35/12/92/341351292.db2.gz PJQCEORLJVYBFV-CYBMUJFWSA-N 0 3 316.832 4.474 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)on1 ZINC000551787468 341351439 /nfs/dbraw/zinc/35/14/39/341351439.db2.gz HJWIARCNZNMTPB-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000551813869 341352719 /nfs/dbraw/zinc/35/27/19/341352719.db2.gz YDLYOKMXASEHBN-PKOBYXMFSA-N 0 3 303.446 4.009 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCC1(O)CCC1 ZINC000551817576 341352973 /nfs/dbraw/zinc/35/29/73/341352973.db2.gz PMQLNFOKTSNXPG-CQSZACIVSA-N 0 3 301.430 4.259 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccccc2o1)[C@@H](O)Cc1ccccc1 ZINC000246580948 341353945 /nfs/dbraw/zinc/35/39/45/341353945.db2.gz YOTXZFXATRMMFV-VKJFTORMSA-N 0 3 309.409 4.076 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C[C@@H](C)C1 ZINC000246723685 341356350 /nfs/dbraw/zinc/35/63/50/341356350.db2.gz OGSAFZZXLBYHRH-OLZOCXBDSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N([C@H](C)c2nc(C3CC3)no2)C1 ZINC000246904991 341358438 /nfs/dbraw/zinc/35/84/38/341358438.db2.gz CGNMUAFAJMWTSO-SQWLQELKSA-N 0 3 311.429 4.194 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H]1COc2ccc(C)cc21 ZINC000552004058 341359030 /nfs/dbraw/zinc/35/90/30/341359030.db2.gz OVKXKTDIGIFFEZ-QGRTWZNFSA-N 0 3 323.436 4.268 20 0 DIADHN CCC(CC)N(Cc1cnc(-c2ccccn2)s1)C1CC1 ZINC000552015761 341360791 /nfs/dbraw/zinc/36/07/91/341360791.db2.gz MVDKCHNNQABRLT-UHFFFAOYSA-N 0 3 301.459 4.358 20 0 DIADHN CC[C@H](CNCc1ccncc1)Oc1ccccc1C(F)(F)F ZINC000084675105 341361566 /nfs/dbraw/zinc/36/15/66/341361566.db2.gz IEGQUOKQNAJNCL-CQSZACIVSA-N 0 3 324.346 4.048 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000247168226 341361773 /nfs/dbraw/zinc/36/17/73/341361773.db2.gz VEJYSPXXDUOGCI-WIYYLYMNSA-N 0 3 301.455 4.007 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2cc(C)ccn2)cc1 ZINC000552047613 341365020 /nfs/dbraw/zinc/36/50/20/341365020.db2.gz SJUAGWVZZBPBCN-QRFRQXIXSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCSc1ccc(F)cc1 ZINC000552057636 341365678 /nfs/dbraw/zinc/36/56/78/341365678.db2.gz AYQPYBPGEWYNTN-UHFFFAOYSA-N 0 3 308.422 4.048 20 0 DIADHN CO[C@H](CNC(C)(C)c1c(C)noc1C)c1ccc(Cl)cc1 ZINC000552058544 341365717 /nfs/dbraw/zinc/36/57/17/341365717.db2.gz NKRFUGDURVPVQW-OAHLLOKOSA-N 0 3 322.836 4.157 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2cc(C)ccn2)CCC1 ZINC000552065808 341366820 /nfs/dbraw/zinc/36/68/20/341366820.db2.gz OSLGGKDJZBRWKZ-INIZCTEOSA-N 0 3 310.441 4.171 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)c1ccc2c(c1)OCC(=O)N2 ZINC000552067531 341366983 /nfs/dbraw/zinc/36/69/83/341366983.db2.gz NTEMMTIEHYMCJD-GJZGRUSLSA-N 0 3 324.424 4.046 20 0 DIADHN Fc1ccc(OCCCN2CCC[C@H]2c2cccs2)cc1 ZINC000247555541 341367269 /nfs/dbraw/zinc/36/72/69/341367269.db2.gz XDIBJYSSCIBAQQ-INIZCTEOSA-N 0 3 305.418 4.493 20 0 DIADHN CCc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)cn1 ZINC000552084960 341368419 /nfs/dbraw/zinc/36/84/19/341368419.db2.gz HRPCGMCIMWUHBE-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@H](NCCn1ccc2cc(Cl)ccc21)c1ccc(F)cn1 ZINC000552088394 341368810 /nfs/dbraw/zinc/36/88/10/341368810.db2.gz JVHWZIPEOVIVNE-LBPRGKRZSA-N 0 3 317.795 4.180 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)N[C@H](c1nccn1C)C1CC1 ZINC000552090093 341368915 /nfs/dbraw/zinc/36/89/15/341368915.db2.gz ABGUMNFGQMBTDN-YJBOKZPZSA-N 0 3 311.473 4.217 20 0 DIADHN C[C@@H](CCc1ccccc1F)N[C@@H](C)c1c(F)cncc1F ZINC000552093102 341369140 /nfs/dbraw/zinc/36/91/40/341369140.db2.gz WNABFKXGAYBOIC-RYUDHWBXSA-N 0 3 308.347 4.171 20 0 DIADHN COc1cc([C@@H](C)NCC2(C(F)(F)F)CC2)ccc1SC ZINC000552098151 341369628 /nfs/dbraw/zinc/36/96/28/341369628.db2.gz WNLSPNCPPWIJQR-SNVBAGLBSA-N 0 3 319.392 4.410 20 0 DIADHN CCCOc1ccc([C@@H](C)NCC2(C(F)(F)F)CC2)cc1 ZINC000552099945 341369831 /nfs/dbraw/zinc/36/98/31/341369831.db2.gz XSYNNHWOBRRDHJ-GFCCVEGCSA-N 0 3 301.352 4.469 20 0 DIADHN C[C@H](NCc1cc(F)c(F)cc1Br)C1CCC1 ZINC000552099214 341369878 /nfs/dbraw/zinc/36/98/78/341369878.db2.gz AVGLKMKDQOEXIR-QMMMGPOBSA-N 0 3 304.178 4.006 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2ccnn2-c2ccccc2)C1 ZINC000552102677 341370061 /nfs/dbraw/zinc/37/00/61/341370061.db2.gz LYFFJHHCXXGHPP-MRXNPFEDSA-N 0 3 321.399 4.001 20 0 DIADHN CCCCCC(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000247860472 341370759 /nfs/dbraw/zinc/37/07/59/341370759.db2.gz ZZNSXUOQUNDYLJ-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccco3)s2)[C@@H]2CCC[C@H]21 ZINC000552139314 341372712 /nfs/dbraw/zinc/37/27/12/341372712.db2.gz AJZYETVXQKHCKR-ZIAGYGMSSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCO[C@@H](c3ccccc3)C2)o1 ZINC000248389141 341376253 /nfs/dbraw/zinc/37/62/53/341376253.db2.gz GFXMFJCSGJITBY-VKSDOSABSA-N 0 3 311.425 4.413 20 0 DIADHN C[C@H](C[S@@](C)=O)N[C@H](C)c1ccc(C2CCCCC2)cc1 ZINC000248508780 341379039 /nfs/dbraw/zinc/37/90/39/341379039.db2.gz YJNWWBIKMRFXDV-VTJXTGGHSA-N 0 3 307.503 4.152 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@H]1CCCC[C@H]1C ZINC000248527605 341379146 /nfs/dbraw/zinc/37/91/46/341379146.db2.gz HXJBQZXEYAHXQP-PBHICJAKSA-N 0 3 302.462 4.006 20 0 DIADHN COc1ccc(C[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC000552228180 341379600 /nfs/dbraw/zinc/37/96/00/341379600.db2.gz JVXVGYOOPMYOSM-WMZOPIPTSA-N 0 3 310.441 4.106 20 0 DIADHN COc1ccc(C[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC000552228177 341379608 /nfs/dbraw/zinc/37/96/08/341379608.db2.gz JVXVGYOOPMYOSM-AEFFLSMTSA-N 0 3 310.441 4.106 20 0 DIADHN C[C@@H](NCc1cc[nH]c1)c1cccc(NC(=O)c2ccccc2)c1 ZINC000086250909 341379849 /nfs/dbraw/zinc/37/98/49/341379849.db2.gz LIJKNDLJGNZQJU-OAHLLOKOSA-N 0 3 319.408 4.118 20 0 DIADHN c1cc(CNCc2ccccc2COCc2ccccc2)c[nH]1 ZINC000086250902 341379932 /nfs/dbraw/zinc/37/99/32/341379932.db2.gz DCHRTFJTPHDSHO-UHFFFAOYSA-N 0 3 306.409 4.021 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@@H]1c1ccncc1 ZINC000552243253 341380718 /nfs/dbraw/zinc/38/07/18/341380718.db2.gz UHKSBFPEFNLMCZ-RUDRXDDOSA-N 0 3 322.452 4.468 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2ccsc2)no1)C1CC1 ZINC000552254288 341381637 /nfs/dbraw/zinc/38/16/37/341381637.db2.gz WTAMCIGDIZOLBZ-NEPJUHHUSA-N 0 3 305.447 4.197 20 0 DIADHN Fc1ccccc1CCCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000552259423 341381999 /nfs/dbraw/zinc/38/19/99/341381999.db2.gz FEPGMZDBTLLDOE-GOSISDBHSA-N 0 3 315.436 4.393 20 0 DIADHN c1c[nH]c([C@H](N[C@@H]2C[C@H]2Cc2ccccc2)C2CCCCC2)n1 ZINC000552275120 341383205 /nfs/dbraw/zinc/38/32/05/341383205.db2.gz LUYKZPDQLSAEAG-GUDVDZBRSA-N 0 3 309.457 4.252 20 0 DIADHN COC1CCC(CCN[C@H](c2ncc[nH]2)C2CCCCC2)CC1 ZINC000552282638 341383901 /nfs/dbraw/zinc/38/39/01/341383901.db2.gz HJXJNKGRCKRFEH-VJFUWPCTSA-N 0 3 319.493 4.216 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)CCc1ccc(F)cc1F ZINC000552282581 341383970 /nfs/dbraw/zinc/38/39/70/341383970.db2.gz GIYMCJAMKKRKRC-STQMWFEESA-N 0 3 320.383 4.040 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC(CO)(c2ccccc2)CC1 ZINC000552292526 341384746 /nfs/dbraw/zinc/38/47/46/341384746.db2.gz WNTRUSPJKVKQHT-MNDPQUGUSA-N 0 3 321.464 4.116 20 0 DIADHN C[C@@H](CCN(C)Cc1ccc([S@@](C)=O)cc1)c1ccccc1 ZINC000248745682 341385633 /nfs/dbraw/zinc/38/56/33/341385633.db2.gz AXCBHXYDOCPZBV-KSFYIVLOSA-N 0 3 315.482 4.050 20 0 DIADHN C[C@H](CCN(C)Cc1ccc([S@@](C)=O)cc1)c1ccccc1 ZINC000248745686 341385664 /nfs/dbraw/zinc/38/56/64/341385664.db2.gz AXCBHXYDOCPZBV-OPAMFIHVSA-N 0 3 315.482 4.050 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccc(OC(C)(C)C)cc1 ZINC000552319931 341386262 /nfs/dbraw/zinc/38/62/62/341386262.db2.gz UKLNASNKSNOGPO-HNNXBMFYSA-N 0 3 323.440 4.362 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccccc1C(C)(C)C ZINC000552321626 341386294 /nfs/dbraw/zinc/38/62/94/341386294.db2.gz YNNXZMJSPJCOMX-OAHLLOKOSA-N 0 3 307.441 4.483 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@H](C)c2c(F)cncc2F)cc1 ZINC000552329604 341386983 /nfs/dbraw/zinc/38/69/83/341386983.db2.gz WALSRZPPSKRYSN-ZIAGYGMSSA-N 0 3 304.384 4.340 20 0 DIADHN CC[C@@H](C)[C@H](C)NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000142093530 341388215 /nfs/dbraw/zinc/38/82/15/341388215.db2.gz JVTTZHTUQNEBGD-CVEARBPZSA-N 0 3 310.441 4.316 20 0 DIADHN Cc1cc(CN(C)Cc2ccccc2N2CCCC2)cc(C)c1O ZINC000552351747 341388552 /nfs/dbraw/zinc/38/85/52/341388552.db2.gz AVIWLXINWSVCFS-UHFFFAOYSA-N 0 3 324.468 4.241 20 0 DIADHN CC(C)c1ccccc1NC(=O)NC[C@@H](C)N1CCC[C@H](C)C1 ZINC000248859768 341388701 /nfs/dbraw/zinc/38/87/01/341388701.db2.gz SLZPOTFGRPATNX-JKSUJKDBSA-N 0 3 317.477 4.052 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C[C@@H](O)CC(F)(F)F)C1CC1 ZINC000248864330 341388936 /nfs/dbraw/zinc/38/89/36/341388936.db2.gz ASABRNCRSLCENX-PWSUYJOCSA-N 0 3 321.770 4.179 20 0 DIADHN CC1(CNCc2nc3c(s2)C[C@H](c2ccccc2)CC3)CC1 ZINC000552371100 341389746 /nfs/dbraw/zinc/38/97/46/341389746.db2.gz PYONBYPDGLCABR-OAHLLOKOSA-N 0 3 312.482 4.305 20 0 DIADHN CC1(C)CN(Cc2c(C3CC3)nc3sccn23)[C@H]2CCC[C@@H]21 ZINC000552410244 341392089 /nfs/dbraw/zinc/39/20/89/341392089.db2.gz PTFBVNXCIMWZJR-KBPBESRZSA-N 0 3 315.486 4.284 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000552424114 341393024 /nfs/dbraw/zinc/39/30/24/341393024.db2.gz LEIZQJMZPFVOBF-MRXNPFEDSA-N 0 3 313.416 4.087 20 0 DIADHN Cc1ccc(C)c(OCCCN2CCC[C@H]2c2cccn2C)c1 ZINC000552465587 341394911 /nfs/dbraw/zinc/39/49/11/341394911.db2.gz MJQWLOZMWROFQL-IBGZPJMESA-N 0 3 312.457 4.248 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H]2CCC[C@@H](C(F)(F)F)C2)o1 ZINC000249188535 341395112 /nfs/dbraw/zinc/39/51/12/341395112.db2.gz MGJBQOREUKEFAH-BZPMIXESSA-N 0 3 319.367 4.240 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1c2ccccc2CC[C@H]1C(C)C ZINC000249213189 341395458 /nfs/dbraw/zinc/39/54/58/341395458.db2.gz YTJZRHTZPSAHAA-RIFZZMRRSA-N 0 3 309.457 4.395 20 0 DIADHN CC[C@H](CN[C@H](C)c1cnccc1C)Oc1cccc(F)c1 ZINC000552522302 341397242 /nfs/dbraw/zinc/39/72/42/341397242.db2.gz OBUFHGHJNDWKTO-GDBMZVCRSA-N 0 3 302.393 4.037 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2N[C@@H]1CC=CCC1 ZINC000552554973 341398534 /nfs/dbraw/zinc/39/85/34/341398534.db2.gz CYVPLLYVHMAWNW-YGRLFVJLSA-N 0 3 310.210 4.274 20 0 DIADHN Cc1cc(C)c([C@H](C)N[C@H](CO)c2ccc(F)cc2)cc1C ZINC000552569249 341399131 /nfs/dbraw/zinc/39/91/31/341399131.db2.gz JHJPYXSGSDFCDT-HNAYVOBHSA-N 0 3 301.405 4.135 20 0 DIADHN Cc1cc(C)c([C@@H](C)N[C@H](CO)c2ccc(F)cc2)cc1C ZINC000552569248 341399151 /nfs/dbraw/zinc/39/91/51/341399151.db2.gz JHJPYXSGSDFCDT-DNVCBOLYSA-N 0 3 301.405 4.135 20 0 DIADHN C[C@H](NCC1(c2ccccc2Cl)CCOCC1)c1ccco1 ZINC000089552016 341405482 /nfs/dbraw/zinc/40/54/82/341405482.db2.gz IRWBOGIGZCFPKQ-AWEZNQCLSA-N 0 3 319.832 4.332 20 0 DIADHN COc1ncc(CN[C@@H](C)c2ccc(C)c(C)c2)cc1Cl ZINC000552866421 341406976 /nfs/dbraw/zinc/40/69/76/341406976.db2.gz AVBKBZGDVOBJHA-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1cc(C)n(CCNC(C)(c2ccccc2)c2ccccc2)n1 ZINC000552969303 341411060 /nfs/dbraw/zinc/41/10/60/341411060.db2.gz LPBFDSDYIUDXRZ-UHFFFAOYSA-N 0 3 319.452 4.053 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000552969136 341411132 /nfs/dbraw/zinc/41/11/32/341411132.db2.gz ISNRDSCCCCONCS-GOSISDBHSA-N 0 3 317.864 4.321 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)s1)C1CCCCC1 ZINC000090401671 341415204 /nfs/dbraw/zinc/41/52/04/341415204.db2.gz NVSSKXVLGMBMRS-CYBMUJFWSA-N 0 3 301.459 4.264 20 0 DIADHN CCOCCC1(CN[C@H](C)c2ccc(OC)cc2F)CCC1 ZINC000090457613 341415997 /nfs/dbraw/zinc/41/59/97/341415997.db2.gz HLSZHMDUUMSITJ-CQSZACIVSA-N 0 3 309.425 4.082 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN[C@@H](C)C1CCCCC1 ZINC000553102481 341416100 /nfs/dbraw/zinc/41/61/00/341416100.db2.gz RGXXZSJSWABIDQ-ZDUSSCGKSA-N 0 3 308.853 4.145 20 0 DIADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000090588832 341417351 /nfs/dbraw/zinc/41/73/51/341417351.db2.gz REEFUOWMZZGSKA-HIFRSBDPSA-N 0 3 318.486 4.258 20 0 DIADHN CC[C@@H](NCc1cccc(OCCCOC)c1)c1cccs1 ZINC000090718628 341418716 /nfs/dbraw/zinc/41/87/16/341418716.db2.gz VUFBBRPDOAPUON-QGZVFWFLSA-N 0 3 319.470 4.404 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000090916631 341420343 /nfs/dbraw/zinc/42/03/43/341420343.db2.gz IJNBELODEWWZPB-YLQAJVPDSA-N 0 3 304.821 4.029 20 0 DIADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1ccc(F)cc1OC(F)F ZINC000090966726 341420804 /nfs/dbraw/zinc/42/08/04/341420804.db2.gz QBOLWOFCNUTHDJ-MXWKQRLJSA-N 0 3 317.351 4.034 20 0 DIADHN Cc1noc(C)c1CCN[C@H](c1ccccc1Cl)C(C)C ZINC000091335106 341424885 /nfs/dbraw/zinc/42/48/85/341424885.db2.gz SLUCKTMMDUIMBW-KRWDZBQOSA-N 0 3 306.837 4.474 20 0 DIADHN Oc1ccc(C2CCN(Cc3ccc(Cl)cc3)CC2)cc1 ZINC000553734543 341435076 /nfs/dbraw/zinc/43/50/76/341435076.db2.gz JGBNKQQBMICMPY-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cccc(-c2ccncc2)c1 ZINC000553781387 341435857 /nfs/dbraw/zinc/43/58/57/341435857.db2.gz GKBGTPPRAXBALM-HXUWFJFHSA-N 0 3 316.404 4.231 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cccc(-c2ccncc2)c1 ZINC000553781386 341435869 /nfs/dbraw/zinc/43/58/69/341435869.db2.gz GKBGTPPRAXBALM-FQEVSTJZSA-N 0 3 316.404 4.231 20 0 DIADHN Cc1nn(C)c2sc([C@H](C)NCc3cc(F)cc(F)c3)cc12 ZINC000554048726 341441595 /nfs/dbraw/zinc/44/15/95/341441595.db2.gz AVUCCHDCJAHPRA-JTQLQIEISA-N 0 3 321.396 4.072 20 0 DIADHN Fc1cc(C(F)(F)F)c(F)cc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000554092943 341443825 /nfs/dbraw/zinc/44/38/25/341443825.db2.gz RNLZHMPBPOFKFK-IINYFYTJSA-N 0 3 305.290 4.262 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(CCOC2CCC2)CC1 ZINC000554255841 341447865 /nfs/dbraw/zinc/44/78/65/341447865.db2.gz DRMMOQCCXOWKPU-GOSISDBHSA-N 0 3 309.400 4.117 20 0 DIADHN COC[C@@H](NCC1(SC)CCC1)c1cccc(Cl)c1F ZINC000554376870 341452466 /nfs/dbraw/zinc/45/24/66/341452466.db2.gz HGICOKMLNCGUCN-CYBMUJFWSA-N 0 3 317.857 4.042 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H](c1ccc(Br)s1)C1CC1 ZINC000554377148 341452488 /nfs/dbraw/zinc/45/24/88/341452488.db2.gz JIYYTNNJKRDXGE-DKCNOQQISA-N 0 3 322.218 4.205 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N[C@@H]1CCC1(C)C ZINC000554405036 341453639 /nfs/dbraw/zinc/45/36/39/341453639.db2.gz UVDYSWAGXPBIML-GRDNDAEWSA-N 0 3 302.462 4.305 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccs2)c(C)c1OC ZINC000554711937 341461752 /nfs/dbraw/zinc/46/17/52/341461752.db2.gz VNCBDIJGQWNPEJ-UHFFFAOYSA-N 0 3 305.443 4.099 20 0 DIADHN COC1(CNCc2cccnc2C)CCC(c2ccccc2)CC1 ZINC000554718938 341461926 /nfs/dbraw/zinc/46/19/26/341461926.db2.gz DVESAEHWFCDYFL-UHFFFAOYSA-N 0 3 324.468 4.223 20 0 DIADHN c1nc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)sc1-c1ccccc1 ZINC000554880704 341468040 /nfs/dbraw/zinc/46/80/40/341468040.db2.gz PIIJEPARMDHPKI-LSTHTHJFSA-N 0 3 318.445 4.238 20 0 DIADHN Cc1sccc1CNC[C@H](c1ccccc1Cl)N(C)C ZINC000555510821 341483831 /nfs/dbraw/zinc/48/38/31/341483831.db2.gz USRXHKQVICJFGP-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1sccc1CNCc1ccc(Br)c(F)c1 ZINC000555535340 341484430 /nfs/dbraw/zinc/48/44/30/341484430.db2.gz SOFJIURPGFKZJM-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@H](c3ccccc3F)C2)c1 ZINC000555586088 341485853 /nfs/dbraw/zinc/48/58/53/341485853.db2.gz QYPDFGDXCKETDV-MQMHXKEQSA-N 0 3 303.352 4.009 20 0 DIADHN CC[C@@]1(CNCc2ccsc2C)OCCc2ccccc21 ZINC000555587134 341486040 /nfs/dbraw/zinc/48/60/40/341486040.db2.gz ZQYPZJWBDKGGBH-SFHVURJKSA-N 0 3 301.455 4.024 20 0 DIADHN c1cnn(-c2cccc(CNCc3cc4ccccc4s3)c2)c1 ZINC000555592028 341486146 /nfs/dbraw/zinc/48/61/46/341486146.db2.gz IRIUANMSQQYLJV-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN C[C@@H](F)CCNCc1cn(-c2ccccc2)nc1C(C)(C)C ZINC000555616284 341487283 /nfs/dbraw/zinc/48/72/83/341487283.db2.gz REUDGFNTOQAMKC-CQSZACIVSA-N 0 3 303.425 4.008 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)c3ccccc32)cn1 ZINC000556048973 341492797 /nfs/dbraw/zinc/49/27/97/341492797.db2.gz PPKPVELYKGJDOW-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN CC[C@H](NCc1ccc(Cl)s1)c1ccc(C(=O)OC)cc1 ZINC000556063599 341493336 /nfs/dbraw/zinc/49/33/36/341493336.db2.gz NSIHHLUAPQIUMR-AWEZNQCLSA-N 0 3 323.845 4.429 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1ccncc1F)Nc1ccccc1 ZINC000556075926 341493365 /nfs/dbraw/zinc/49/33/65/341493365.db2.gz HWMIOSJBFYNQSF-KDOFPFPSSA-N 0 3 301.409 4.008 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](NCc2cnc(C3CC3)o2)C1 ZINC000556177095 341496284 /nfs/dbraw/zinc/49/62/84/341496284.db2.gz QZGGXDNBPZBCHS-HOTGVXAUSA-N 0 3 311.429 4.071 20 0 DIADHN F[C@@H]1CCC[C@@H]1NC1CC(c2ccc(Br)cc2)C1 ZINC000556258869 341499553 /nfs/dbraw/zinc/49/95/53/341499553.db2.gz AUCHSGINDPBBIK-AXVKAWJUSA-N 0 3 312.226 4.175 20 0 DIADHN Fc1ccccc1-c1csc(CNC2Cc3ccccc3C2)n1 ZINC000556274438 341500324 /nfs/dbraw/zinc/50/03/24/341500324.db2.gz YKPCRUFBRQAYEJ-UHFFFAOYSA-N 0 3 324.424 4.206 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H]1CCc2c1c(F)ccc2F ZINC000564429370 341501648 /nfs/dbraw/zinc/50/16/48/341501648.db2.gz UMJQIMCZJPQVGM-MRXNPFEDSA-N 0 3 316.395 4.223 20 0 DIADHN CC[C@@H](NCC1(c2cccc(OC)c2)CC1)c1ccc(F)cn1 ZINC000564427526 341501705 /nfs/dbraw/zinc/50/17/05/341501705.db2.gz MYBFTUBOBLEIKV-QGZVFWFLSA-N 0 3 314.404 4.002 20 0 DIADHN CC(C)[C@H](NCc1c[nH]c2nccnc12)c1ccccc1Cl ZINC000564618846 341505061 /nfs/dbraw/zinc/50/50/61/341505061.db2.gz LEYNZAWPVDIMCA-HNNXBMFYSA-N 0 3 314.820 4.050 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1cccc(F)c1F ZINC000564615995 341505099 /nfs/dbraw/zinc/50/50/99/341505099.db2.gz MXUBXZKYYOPTKU-DIFFPNOSSA-N 0 3 323.408 4.165 20 0 DIADHN CC[C@@H](COC)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000152935081 341512939 /nfs/dbraw/zinc/51/29/39/341512939.db2.gz RXFQHCOASDVGAP-CJNGLKHVSA-N 0 3 318.486 4.118 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2cc(C)ccc2OC(F)F)c(C)o1 ZINC000565321224 341523603 /nfs/dbraw/zinc/52/36/03/341523603.db2.gz KMAFFLUWOFQLLZ-NSHDSACASA-N 0 3 324.371 4.095 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1ccc(Oc2cccnc2)cc1 ZINC000565445973 341527548 /nfs/dbraw/zinc/52/75/48/341527548.db2.gz ULISOKHGOJKWAL-OAHLLOKOSA-N 0 3 314.429 4.340 20 0 DIADHN CCCOc1cc(C)ccc1CN[C@H](C)c1cccc(CO)c1 ZINC000305634824 341528404 /nfs/dbraw/zinc/52/84/04/341528404.db2.gz HJVAYVKWYAUDFQ-MRXNPFEDSA-N 0 3 313.441 4.127 20 0 DIADHN CC[C@H](N[C@@H]1CCCCC[C@H]1O)c1cc(Cl)ccc1OC ZINC000565498559 341529211 /nfs/dbraw/zinc/52/92/11/341529211.db2.gz IFELHDNERLXMJJ-ARFHVFGLSA-N 0 3 311.853 4.083 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000153384637 341537503 /nfs/dbraw/zinc/53/75/03/341537503.db2.gz SKXYNICSYMOYIR-ADLMAVQZSA-N 0 3 303.352 4.084 20 0 DIADHN Fc1cccc(Oc2ccc(CNCC3=CCCOC3)cc2)c1 ZINC000565796852 341538511 /nfs/dbraw/zinc/53/85/11/341538511.db2.gz GHTLGFRSPUJPAN-UHFFFAOYSA-N 0 3 313.372 4.054 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@H]1c1ccccc1)c1cc2ccccc2o1 ZINC000566649072 341564182 /nfs/dbraw/zinc/56/41/82/341564182.db2.gz HDSNBODGMOXPLW-XERREHJYSA-N 0 3 321.420 4.250 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(CO)c(F)c2)c2ccccc21 ZINC000566718578 341566216 /nfs/dbraw/zinc/56/62/16/341566216.db2.gz SGORSCWDVKCRCU-QUCCMNQESA-N 0 3 313.416 4.292 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2NCCc1nccs1 ZINC000154643983 341593129 /nfs/dbraw/zinc/59/31/29/341593129.db2.gz FVWWBZHKZWLMLR-CYBMUJFWSA-N 0 3 313.253 4.270 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1cccc(-n2cccn2)c1 ZINC000154788356 341595987 /nfs/dbraw/zinc/59/59/87/341595987.db2.gz UGRWPQSXAPOLDE-VBKZILBWSA-N 0 3 321.399 4.350 20 0 DIADHN COC[C@H](NCCCc1ccsc1)c1cccc(Cl)c1 ZINC000567391333 341600732 /nfs/dbraw/zinc/60/07/32/341600732.db2.gz AOGCPPXDJJEYJG-INIZCTEOSA-N 0 3 309.862 4.311 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@H](C)c2cncc(F)c2)cc1 ZINC000567480965 341609489 /nfs/dbraw/zinc/60/94/89/341609489.db2.gz ONCYBCNENSJHCL-LSDHHAIUSA-N 0 3 316.420 4.462 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(SCC(F)(F)F)cc1)OC ZINC000567550098 341614961 /nfs/dbraw/zinc/61/49/61/341614961.db2.gz UQXKHDMOBIHURZ-AAEUAGOBSA-N 0 3 321.408 4.417 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000156057471 341616536 /nfs/dbraw/zinc/61/65/36/341616536.db2.gz YKGAPRKPLLDNEV-HKUYNNGSSA-N 0 3 304.393 4.235 20 0 DIADHN CC(C)C(CN[C@H](c1cnn(C)c1)c1cccc(F)c1)C(C)C ZINC000567611561 341620116 /nfs/dbraw/zinc/62/01/16/341620116.db2.gz SOYXSBIGUSGLQG-IBGZPJMESA-N 0 3 317.452 4.166 20 0 DIADHN C[C@@H](NCCCc1nccs1)c1ccccc1OC(F)F ZINC000271429081 341622421 /nfs/dbraw/zinc/62/24/21/341622421.db2.gz BRJVTWYFOLQXEA-LLVKDONJSA-N 0 3 312.385 4.028 20 0 DIADHN C[C@H](NCCCc1nccs1)c1ccccc1OC(F)F ZINC000271429083 341622437 /nfs/dbraw/zinc/62/24/37/341622437.db2.gz BRJVTWYFOLQXEA-NSHDSACASA-N 0 3 312.385 4.028 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccccc2Cn2ccnc2)c1 ZINC000567654141 341624626 /nfs/dbraw/zinc/62/46/26/341624626.db2.gz WCURRIGERVXZGN-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cc(Cl)sc2Cl)CCO1 ZINC000312771082 341628672 /nfs/dbraw/zinc/62/86/72/341628672.db2.gz RKVRAOGVDDFATL-GHMZBOCLSA-N 0 3 308.274 4.348 20 0 DIADHN CSc1ccc2c(c1)[C@@H](NCc1cccc(CO)c1)CCC2 ZINC000567869739 341637805 /nfs/dbraw/zinc/63/78/05/341637805.db2.gz HAZHOJZOFALFRV-IBGZPJMESA-N 0 3 313.466 4.068 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)CCC2 ZINC000567869668 341637856 /nfs/dbraw/zinc/63/78/56/341637856.db2.gz DWTQTNFDRVFFAB-YVEFUNNKSA-N 0 3 314.454 4.237 20 0 DIADHN CC[C@@H](NCc1ccc2[nH]ccc2c1)c1ccc2c(c1)OCCO2 ZINC000567915144 341640414 /nfs/dbraw/zinc/64/04/14/341640414.db2.gz ISSXUBYKBYUTSC-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN CC(C)(NCc1cc2c(c(Cl)c1)OCO2)c1ccccc1 ZINC000314475296 341647947 /nfs/dbraw/zinc/64/79/47/341647947.db2.gz JPPLPKMOKPUOIW-UHFFFAOYSA-N 0 3 303.789 4.094 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccccc1CC)CCC2 ZINC000157969725 341648481 /nfs/dbraw/zinc/64/84/81/341648481.db2.gz WSPSBOVRKDETIY-HNNXBMFYSA-N 0 3 300.471 4.435 20 0 DIADHN C[C@@H](NC[C@]1(C)C[C@H]1c1ccccc1)c1cn2ccccc2n1 ZINC000568107834 341651696 /nfs/dbraw/zinc/65/16/96/341651696.db2.gz ZRUSVKKUJSSAMS-SYNHAJSKSA-N 0 3 305.425 4.179 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cccc(C(F)F)c2)c1 ZINC000275758931 341652761 /nfs/dbraw/zinc/65/27/61/341652761.db2.gz SPUZUPWYYCGHOT-LBPRGKRZSA-N 0 3 318.367 4.433 20 0 DIADHN C[C@@H](NCCCc1cnn(C)c1)c1cc(Cl)sc1Cl ZINC000158277622 341654541 /nfs/dbraw/zinc/65/45/41/341654541.db2.gz FFNWNLVBPLJKQB-SECBINFHSA-N 0 3 318.273 4.072 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(C2CCC2)cc1 ZINC000568155938 341654673 /nfs/dbraw/zinc/65/46/73/341654673.db2.gz AUPWHOLEPYUPHW-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@H]2CC[C@H]2C2CC2)n1 ZINC000568455347 341671852 /nfs/dbraw/zinc/67/18/52/341671852.db2.gz GSLNVOZOZVGXOC-WFASDCNBSA-N 0 3 302.418 4.227 20 0 DIADHN COc1ccc(CN2CCC(CC(F)(F)F)CC2)cc1C ZINC000568541009 341674362 /nfs/dbraw/zinc/67/43/62/341674362.db2.gz REASZRJVYYFOCA-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN CN(C)c1ccccc1CN[C@H]1CCCc2c3ccccc3[nH]c21 ZINC000568796137 341681910 /nfs/dbraw/zinc/68/19/10/341681910.db2.gz NLPJUKZJXPDNDP-IBGZPJMESA-N 0 3 319.452 4.401 20 0 DIADHN COc1cc([C@H](C)NC[C@H](c2ccccc2)C2CC2)c(F)cn1 ZINC000568891680 341684531 /nfs/dbraw/zinc/68/45/31/341684531.db2.gz WWPDFSRRACBOEM-SUMWQHHRSA-N 0 3 314.404 4.074 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccccc2CO)cc1 ZINC000569073745 341689932 /nfs/dbraw/zinc/68/99/32/341689932.db2.gz HLUIMSVBNNSDRI-INIZCTEOSA-N 0 3 313.441 4.209 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(-n2cccn2)cc1 ZINC000163505684 341699192 /nfs/dbraw/zinc/69/91/92/341699192.db2.gz AHEKJHSPFRZXLU-VLIAUNLRSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1nc(CNCC[C@@H](C)c2ccccc2)nc2ccccc12 ZINC000572690060 341700580 /nfs/dbraw/zinc/70/05/80/341700580.db2.gz FFFOYQQSPMFRTK-OAHLLOKOSA-N 0 3 305.425 4.222 20 0 DIADHN CC[C@@H](COC)N[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000164757607 341707417 /nfs/dbraw/zinc/70/74/17/341707417.db2.gz PASYMVHGUPIHGT-DOMZBBRYSA-N 0 3 304.459 4.194 20 0 DIADHN CCOc1ccccc1[C@H](C)NCC1(CCO)CCCCC1 ZINC000165682154 341714195 /nfs/dbraw/zinc/71/41/95/341714195.db2.gz RBCIOFVIIDWMAB-INIZCTEOSA-N 0 3 305.462 4.069 20 0 DIADHN Cc1nc(CN[C@H](C)C(c2ccccc2)c2ccccc2)[nH]c1C ZINC000574533054 341761380 /nfs/dbraw/zinc/76/13/80/341761380.db2.gz YNXPDKHFDRBNGL-QGZVFWFLSA-N 0 3 319.452 4.337 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H]2CCSc3ccc(F)cc32)C12CCC2 ZINC000336853425 341808114 /nfs/dbraw/zinc/80/81/14/341808114.db2.gz HDXUXTDPYUTBOC-DJIMGWMZSA-N 0 3 321.461 4.300 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(N3CCC(C)CC3)nc2)c1 ZINC000173932394 341821547 /nfs/dbraw/zinc/82/15/47/341821547.db2.gz WGBOVFDAJCBTKG-SFHVURJKSA-N 0 3 323.484 4.477 20 0 DIADHN CCC(CC)[C@@H](NCc1cncc(OC)c1)c1cccs1 ZINC000576260883 341836651 /nfs/dbraw/zinc/83/66/51/341836651.db2.gz QYUASLFTUTYYPD-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN C[C@@H](NCc1ccc2cnn(C)c2c1)c1cc2ccccc2o1 ZINC000576323724 341840364 /nfs/dbraw/zinc/84/03/64/341840364.db2.gz UKQMINMPPQWCRM-CYBMUJFWSA-N 0 3 305.381 4.170 20 0 DIADHN C[C@H](NCCC1(F)CCC1)c1ccc(F)cc1OC(F)F ZINC000576496985 341849326 /nfs/dbraw/zinc/84/93/26/341849326.db2.gz ISEKRUGSDQLUJC-JTQLQIEISA-N 0 3 305.315 4.360 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000576526066 341850884 /nfs/dbraw/zinc/85/08/84/341850884.db2.gz NBCWCGKLCAENHO-HZPDHXFCSA-N 0 3 320.502 4.045 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(OC(F)F)c2)c(C)c1 ZINC000576603718 341854765 /nfs/dbraw/zinc/85/47/65/341854765.db2.gz CZOPHDKBFCQPAD-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc(OC(F)F)c(F)c2)CS1 ZINC000576724098 341860298 /nfs/dbraw/zinc/86/02/98/341860298.db2.gz KFQFHBNOWCQEED-FZMZJTMJSA-N 0 3 319.392 4.047 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1CNCc1csc(C2CC2)n1 ZINC000576735428 341860756 /nfs/dbraw/zinc/86/07/56/341860756.db2.gz FBJUPQIUYXKGRQ-DGCLKSJQSA-N 0 3 318.408 4.479 20 0 DIADHN C[C@H](NC[C@@H](CO)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000576791873 341863465 /nfs/dbraw/zinc/86/34/65/341863465.db2.gz FHWBMNFUECPGSS-WPRPVWTQSA-N 0 3 322.663 4.316 20 0 DIADHN C[C@@H](NC[C@@H](CO)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000576791871 341863533 /nfs/dbraw/zinc/86/35/33/341863533.db2.gz FHWBMNFUECPGSS-SCZZXKLOSA-N 0 3 322.663 4.316 20 0 DIADHN CC(C)c1ccccc1NC(=O)N(CCN(C)C)C1CCCC1 ZINC000176400889 341872478 /nfs/dbraw/zinc/87/24/78/341872478.db2.gz GKRYYNCZRDCEHR-UHFFFAOYSA-N 0 3 317.477 4.148 20 0 DIADHN COc1cccc([C@@H](C)NC[C@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC000576990363 341874050 /nfs/dbraw/zinc/87/40/50/341874050.db2.gz OVDCMFKAXPDDIJ-YSGRDPCXSA-N 0 3 311.425 4.266 20 0 DIADHN Cc1cc(CNCc2ccc(Br)s2)cs1 ZINC000379965993 341877423 /nfs/dbraw/zinc/87/74/23/341877423.db2.gz NYCBWUFGCHZISY-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN c1coc(-c2nc(CNC(C3CCC3)C3CCC3)cs2)c1 ZINC000577052682 341881439 /nfs/dbraw/zinc/88/14/39/341881439.db2.gz PVEGMIYXKVEBKH-UHFFFAOYSA-N 0 3 302.443 4.462 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1ccc(F)c(C(F)(F)F)c1 ZINC000577076850 341883996 /nfs/dbraw/zinc/88/39/96/341883996.db2.gz FALUAHFLMDIFPW-INIZCTEOSA-N 0 3 324.321 4.204 20 0 DIADHN CCOc1cc(CN[C@H](c2cccs2)C2CC2)ccc1O ZINC000580634615 341889610 /nfs/dbraw/zinc/88/96/10/341889610.db2.gz JYICVUIGMYGNEQ-KRWDZBQOSA-N 0 3 303.427 4.093 20 0 DIADHN C[C@@H]1C[C@H](NCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000345344401 341893979 /nfs/dbraw/zinc/89/39/79/341893979.db2.gz AUTCGSUDLYHIGB-YGRLFVJLSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccccc1-n1cccn1 ZINC000177315110 341918520 /nfs/dbraw/zinc/91/85/20/341918520.db2.gz VVAWUTVZIKEJPC-LIRRHRJNSA-N 0 3 321.399 4.350 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)CCCC(C)C)nc1C1CC1 ZINC000577799887 341937436 /nfs/dbraw/zinc/93/74/36/341937436.db2.gz AGXHNUYWIVPOOX-AWEZNQCLSA-N 0 3 318.461 4.050 20 0 DIADHN COCCCCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000178106832 341945619 /nfs/dbraw/zinc/94/56/19/341945619.db2.gz IIKMJQDVEFTJLL-JTQLQIEISA-N 0 3 308.274 4.449 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc3c(c2)OCO3)cc1F ZINC000178118148 341946891 /nfs/dbraw/zinc/94/68/91/341946891.db2.gz ISPWUFGQIGEUBX-LLVKDONJSA-N 0 3 319.401 4.127 20 0 DIADHN Clc1cccc([C@@H](N[C@H]2CCCOC2)c2ccccc2)c1 ZINC000178118559 341946916 /nfs/dbraw/zinc/94/69/16/341946916.db2.gz INZAOBPSEGGPAF-ROUUACIJSA-N 0 3 301.817 4.198 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cncc(C)c1 ZINC000577945408 341953841 /nfs/dbraw/zinc/95/38/41/341953841.db2.gz ZJKNJEXMCODKRW-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN C[C@@H](CN1CCCC1=O)N[C@@H](C)c1cccc(-c2ccccc2)c1 ZINC000178489556 341956045 /nfs/dbraw/zinc/95/60/45/341956045.db2.gz ZWNXIAXZOHKLHE-IRXDYDNUSA-N 0 3 322.452 4.015 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000178559926 341958051 /nfs/dbraw/zinc/95/80/51/341958051.db2.gz OGTNDCFGLFBYTF-AWEZNQCLSA-N 0 3 301.405 4.292 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCCC(C)(C)C2)c(CN(C)C)c1 ZINC000178921615 341971505 /nfs/dbraw/zinc/97/15/05/341971505.db2.gz XYIQJUYJLLIQNU-OAHLLOKOSA-N 0 3 302.462 4.212 20 0 DIADHN CCOCCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000359309017 519898420 /nfs/dbraw/zinc/89/84/20/519898420.db2.gz QQSKLHZKGDVKRB-SNVBAGLBSA-N 0 3 308.274 4.449 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2ccccc2)CC1 ZINC000537853552 517866393 /nfs/dbraw/zinc/86/63/93/517866393.db2.gz ONXDHLQUCBHYGP-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1nccc2ccccc21 ZINC000568936085 518178302 /nfs/dbraw/zinc/17/83/02/518178302.db2.gz CCWIPKRFQYQLCS-DAXOMENPSA-N 0 3 320.383 4.436 20 0 DIADHN Cc1cnc([C@@H](NCCCCOc2ccccc2)C2CC2)s1 ZINC000527361306 518191681 /nfs/dbraw/zinc/19/16/81/518191681.db2.gz OCXYRPABSTYSFY-KRWDZBQOSA-N 0 3 316.470 4.351 20 0 DIADHN FC(F)Oc1ccc(CNC2(c3ccccc3)CCC2)cc1 ZINC000065275680 518196035 /nfs/dbraw/zinc/19/60/35/518196035.db2.gz FCBWDAWPTVODAH-UHFFFAOYSA-N 0 3 303.352 4.457 20 0 DIADHN c1cnn(-c2ccccc2CNCc2ccc3ccccc3c2)c1 ZINC000128902347 518203869 /nfs/dbraw/zinc/20/38/69/518203869.db2.gz AVBVJJPVQLENCY-UHFFFAOYSA-N 0 3 313.404 4.315 20 0 DIADHN c1ccc2c(c1)CCC[C@H]2NCc1ccc2c(c1)OCCCO2 ZINC000126074194 518203888 /nfs/dbraw/zinc/20/38/88/518203888.db2.gz JBSXUCXNRKKCSD-GOSISDBHSA-N 0 3 309.409 4.015 20 0 DIADHN Brc1ccc([C@H](NCc2cccnc2)C2CC2)s1 ZINC000190571232 518215481 /nfs/dbraw/zinc/21/54/81/518215481.db2.gz LMJVMUZCXOGQEQ-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN CC(C)(C)Sc1ccc(NC(=O)C2(N)CCCCC2)cc1 ZINC000157869905 518911808 /nfs/dbraw/zinc/91/18/08/518911808.db2.gz KZXXCOISNBEAKK-UHFFFAOYSA-N 0 3 306.475 4.177 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H]2CCCc3sccc32)cn1 ZINC000191610872 519633550 /nfs/dbraw/zinc/63/35/50/519633550.db2.gz CPXZSTCUSUNPKB-MRXNPFEDSA-N 0 3 315.486 4.155 20 0 DIADHN CC(C)NC(=O)Nc1ccc(CN[C@H](C)c2ccccc2)cc1 ZINC000129405481 519712991 /nfs/dbraw/zinc/71/29/91/519712991.db2.gz PBTNMSABLVAUIB-OAHLLOKOSA-N 0 3 311.429 4.067 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000152698845 519717858 /nfs/dbraw/zinc/71/78/58/519717858.db2.gz DEXSBSGRQMXBLL-AUUYWEPGSA-N 0 3 308.425 4.292 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NC2(c3cccc(F)c3)CC2)cc1 ZINC000182197796 519732794 /nfs/dbraw/zinc/73/27/94/519732794.db2.gz VECFDISWUNSKLZ-CYBMUJFWSA-N 0 3 312.388 4.124 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NC2(c3ccccc3)CCC2)c1 ZINC000180346299 519748419 /nfs/dbraw/zinc/74/84/19/519748419.db2.gz YZHSPJWNDBIHGY-HNNXBMFYSA-N 0 3 308.425 4.375 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1ccco1)C1CCCC1 ZINC000132206301 519750696 /nfs/dbraw/zinc/75/06/96/519750696.db2.gz JOGQJDJAEMASLH-UHFFFAOYSA-N 0 3 312.413 4.183 20 0 DIADHN CC(C)[C@@H](O)CCN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000338224757 519800704 /nfs/dbraw/zinc/80/07/04/519800704.db2.gz GJRBYYOODITJSE-MSOLQXFVSA-N 0 3 317.473 4.210 20 0 DIADHN CC(C)[C@H](O)CCN[C@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000338224771 519823064 /nfs/dbraw/zinc/82/30/64/519823064.db2.gz GJRBYYOODITJSE-ZWKOTPCHSA-N 0 3 317.473 4.210 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H]2CC[C@@H](C)c3ccccc32)cc1 ZINC000156541836 519891675 /nfs/dbraw/zinc/89/16/75/519891675.db2.gz VJMCGHSBPYIPNB-QRWLVFNGSA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)n1nccc1CN(C[C@@H]1CCCO1)C1CCC(C)CC1 ZINC000337183076 520096308 /nfs/dbraw/zinc/09/63/08/520096308.db2.gz NDALHKWTKKZMMC-TVPLGVNVSA-N 0 3 319.493 4.024 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2sc(C)nc2C)cc1 ZINC000265619392 520427481 /nfs/dbraw/zinc/42/74/81/520427481.db2.gz POJYZCHVLXIVGV-LBPRGKRZSA-N 0 3 304.459 4.400 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](C)c2cnn(CC)c2)cc1 ZINC000268868432 520428607 /nfs/dbraw/zinc/42/86/07/520428607.db2.gz CSHQDZNPOOUOBR-LSDHHAIUSA-N 0 3 301.434 4.104 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@H](C)c2ccccc2C)cc1 ZINC000158964670 520552089 /nfs/dbraw/zinc/55/20/89/520552089.db2.gz GZALRAXXSQTDCG-QGZVFWFLSA-N 0 3 324.468 4.328 20 0 DIADHN CC1(NCc2ccc(-c3ccccc3Cl)o2)CCOCC1 ZINC000131123957 520767146 /nfs/dbraw/zinc/76/71/46/520767146.db2.gz XIPFCAWZFSIPNK-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN CCC[C@@H](NCCc1nc2c(cccc2C)o1)c1cccnc1 ZINC000337142338 520774192 /nfs/dbraw/zinc/77/41/92/520774192.db2.gz UBBBXHUTEVOVHK-MRXNPFEDSA-N 0 3 309.413 4.205 20 0 DIADHN CCC[C@@H](NCc1ccc(C(=O)NCC)cc1)c1ccccc1 ZINC000156458272 520779104 /nfs/dbraw/zinc/77/91/04/520779104.db2.gz KHBHUTJOGUMWMR-LJQANCHMSA-N 0 3 310.441 4.067 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)c(OC)c1)c1ccsc1 ZINC000191181271 520780574 /nfs/dbraw/zinc/78/05/74/520780574.db2.gz KYLFRVIQIAUXPR-OAHLLOKOSA-N 0 3 305.443 4.396 20 0 DIADHN CC1=C(c2ccco2)CN(Cc2ccc(-n3cccn3)cc2)CC1 ZINC000338339670 520846507 /nfs/dbraw/zinc/84/65/07/520846507.db2.gz FRCIIYMUKVUMAH-UHFFFAOYSA-N 0 3 319.408 4.145 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2ccc(C)s2)cn1 ZINC000126778297 520908405 /nfs/dbraw/zinc/90/84/05/520908405.db2.gz GPRJZBSFTQJAFG-AWEZNQCLSA-N 0 3 303.475 4.149 20 0 DIADHN CCCC[C@@H](NCc1cccc(C(=O)NC)c1)c1ccccc1 ZINC000266893730 521100482 /nfs/dbraw/zinc/10/04/82/521100482.db2.gz RRQBOJCTUBVTDX-LJQANCHMSA-N 0 3 310.441 4.067 20 0 DIADHN CCCC[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000266924162 521240176 /nfs/dbraw/zinc/24/01/76/521240176.db2.gz YZYXUMBKNMHQAG-FQEVSTJZSA-N 0 3 324.468 4.410 20 0 DIADHN CCN(Cc1cccnc1)Cc1cccc(-c2ccncc2)c1 ZINC000367657247 521394939 /nfs/dbraw/zinc/39/49/39/521394939.db2.gz IBXIYQLPTFWPNX-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN CCC(CC)(CCO)CNCc1ccc(CSC(F)F)o1 ZINC000266603531 521410827 /nfs/dbraw/zinc/41/08/27/521410827.db2.gz FGNZWTKIVYEOLR-UHFFFAOYSA-N 0 3 321.433 4.014 20 0 DIADHN CCCn1ccc2ccc(NC(=O)C[C@@H](N)c3ccccc3)cc21 ZINC000119232547 521657721 /nfs/dbraw/zinc/65/77/21/521657721.db2.gz FETMAFMEYUEWSC-GOSISDBHSA-N 0 3 321.424 4.080 20 0 DIADHN COCC[C@@H]1CCCCN([C@H](C)c2nc3ccccc3o2)C1 ZINC000364151770 521874083 /nfs/dbraw/zinc/87/40/83/521874083.db2.gz VHYWWZBCFMQKRT-CABCVRRESA-N 0 3 302.418 4.027 20 0 DIADHN CN(C)c1ccc(CN[C@H](CC(C)(C)C)c2ccccc2)cn1 ZINC000339514543 522211137 /nfs/dbraw/zinc/21/11/37/522211137.db2.gz XMZWAZQTOTYEEM-GOSISDBHSA-N 0 3 311.473 4.415 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H]1CCc3c1cccc3O)CCC2 ZINC000130450620 522481696 /nfs/dbraw/zinc/48/16/96/522481696.db2.gz HJMZVNOFNVCRAZ-UONOGXRCSA-N 0 3 314.454 4.066 20 0 DIADHN CC[C@H](NCCCOC(F)F)c1cc(Cl)ccc1OC ZINC000338006952 522583936 /nfs/dbraw/zinc/58/39/36/522583936.db2.gz DYRAVQYYLNCAGK-LBPRGKRZSA-N 0 3 307.768 4.019 20 0 DIADHN CC[C@H]([NH2+]Cc1ccc([O-])c2nc(C)ccc12)c1ccccc1 ZINC000338277049 522649686 /nfs/dbraw/zinc/64/96/86/522649686.db2.gz ZFCAUXGWSVMYRS-SFHVURJKSA-N 0 3 306.409 4.490 20 0 DIADHN CC[C@H](N[C@@H]1CCc2c1cccc2O)c1ccc2c(c1)CCO2 ZINC000130347627 522723528 /nfs/dbraw/zinc/72/35/28/522723528.db2.gz FPTRFDGYTITFLU-ZWKOTPCHSA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@H](N[C@@H]1CCSc2ccc(F)cc21)c1ccncc1 ZINC000163613980 522725148 /nfs/dbraw/zinc/72/51/48/522725148.db2.gz PCXMLGRWPAFKHI-JKSUJKDBSA-N 0 3 302.418 4.499 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(F)cc1N(C)C)c1ccncc1 ZINC000163763347 522738565 /nfs/dbraw/zinc/73/85/65/522738565.db2.gz SRSWXINXCCUNDH-DYVFJYSZSA-N 0 3 301.409 4.089 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cccnc2)ccc1SC ZINC000158542198 522908186 /nfs/dbraw/zinc/90/81/86/522908186.db2.gz QRRRJCXMWCVSKV-OLZOCXBDSA-N 0 3 302.443 4.224 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccnc1)c1ccc(OC(F)F)cc1 ZINC000158549717 522914170 /nfs/dbraw/zinc/91/41/70/522914170.db2.gz XCXUHUILQNYMRZ-BLLLJJGKSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(OC(F)F)cc1)c1ccncc1 ZINC000163769518 522936043 /nfs/dbraw/zinc/93/60/43/522936043.db2.gz AZOCEUUVAZFOKW-MLGOLLRUSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@H](c1nnc(SCCN(CC)CC)o1)c1ccccc1 ZINC000131195695 522978285 /nfs/dbraw/zinc/97/82/85/522978285.db2.gz YLDPURMIIMTCEE-HNNXBMFYSA-N 0 3 319.474 4.045 20 0 DIADHN COCCC1(CN[C@@H](c2ccccc2)c2ccccn2)CCCC1 ZINC000180770752 523064621 /nfs/dbraw/zinc/06/46/21/523064621.db2.gz ZAYVBKUDDRSYCP-FQEVSTJZSA-N 0 3 324.468 4.358 20 0 DIADHN CO[C@@H](CN[C@H](C)c1sc(C)nc1C)c1ccc(Cl)cc1 ZINC000338306773 523542190 /nfs/dbraw/zinc/54/21/90/523542190.db2.gz WRSQDXHVODFLKU-BMIGLBTASA-N 0 3 324.877 4.452 20 0 DIADHN CN1CCC(N[C@@H](c2cc3ccccc3o2)c2ccccc2)CC1 ZINC000237073829 523778590 /nfs/dbraw/zinc/77/85/90/523778590.db2.gz PCQYVMYCXRUFLN-OAQYLSRUSA-N 0 3 320.436 4.206 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)COC2 ZINC000268712676 523854058 /nfs/dbraw/zinc/85/40/58/523854058.db2.gz FMBYIHYOPGKTRU-LSDHHAIUSA-N 0 3 311.425 4.446 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000191657670 523899110 /nfs/dbraw/zinc/89/91/10/523899110.db2.gz MCLWJTQRWBIXAN-SGMGOOAPSA-N 0 3 304.459 4.193 20 0 DIADHN COCCOc1ccc([C@H](C)NCc2cccc(Cl)c2)cc1 ZINC000132516126 524052569 /nfs/dbraw/zinc/05/25/69/524052569.db2.gz UIHLSBZSFAKVCV-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN COc1ccc(CNC2CC(c3ccccc3Cl)C2)cc1O ZINC000339587404 524069307 /nfs/dbraw/zinc/06/93/07/524069307.db2.gz GRRCJPXJLDNNTL-UHFFFAOYSA-N 0 3 317.816 4.090 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H](C)Sc3sccc32)cc1 ZINC000130166725 524086903 /nfs/dbraw/zinc/08/69/03/524086903.db2.gz CYQUEIXQVWNSLS-XHDPSFHLSA-N 0 3 305.468 4.472 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)NCCN1CCCCCC1 ZINC000337368818 524236359 /nfs/dbraw/zinc/23/63/59/524236359.db2.gz NXLZJVVWVOGUNL-UHFFFAOYSA-N 0 3 317.477 4.116 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc(C)s1 ZINC000363834127 524237088 /nfs/dbraw/zinc/23/70/88/524237088.db2.gz UPSJBIKEWKRODV-GXTWGEPZSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000163519992 524242020 /nfs/dbraw/zinc/24/20/20/524242020.db2.gz DIGSDCDEGZCLPN-QAPCUYQASA-N 0 3 310.441 4.393 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)c(C)c1 ZINC000107070458 524302213 /nfs/dbraw/zinc/30/22/13/524302213.db2.gz QAAAEWFPIYRBDA-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N[C@H](C)c2ccccc2)c(C)c1 ZINC000107071261 524303497 /nfs/dbraw/zinc/30/34/97/524303497.db2.gz QAAAEWFPIYRBDA-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](c1ccccc1)C1CC1 ZINC000157431914 524385469 /nfs/dbraw/zinc/38/54/69/524385469.db2.gz FGBUDQOQBJGHLY-FQEVSTJZSA-N 0 3 322.452 4.189 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(O)c3nc(C)ccc23)s1 ZINC000338312752 524394111 /nfs/dbraw/zinc/39/41/11/524394111.db2.gz GFPVPMYPQXSKQS-UHFFFAOYSA-N 0 3 312.438 4.251 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(OCc2ccccn2)c1 ZINC000157531699 524411314 /nfs/dbraw/zinc/41/13/14/524411314.db2.gz POQCBZXLUDVLHG-ZDUSSCGKSA-N 0 3 324.346 4.091 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000158796638 524470382 /nfs/dbraw/zinc/47/03/82/524470382.db2.gz RVLUHBXIEAEBFA-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000155902937 524470953 /nfs/dbraw/zinc/47/09/53/524470953.db2.gz ZTOIALUIYMCNDF-PONJGIIJSA-N 0 3 315.482 4.453 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CCC[C@@H]3c3ccc[nH]3)cc2)n1 ZINC000339281049 524483049 /nfs/dbraw/zinc/48/30/49/524483049.db2.gz IYCBIHXFWRDIBT-HXUWFJFHSA-N 0 3 320.440 4.154 20 0 DIADHN C[C@H](CN[C@@H](C)c1cnccn1)c1c(Cl)cccc1Cl ZINC000155946470 524496385 /nfs/dbraw/zinc/49/63/85/524496385.db2.gz GQDAKCHNJMCJNM-MNOVXSKESA-N 0 3 310.228 4.238 20 0 DIADHN C[C@@H](CCN[C@@H](C)c1cnccn1)c1cccc(C(F)(F)F)c1 ZINC000155867694 524501368 /nfs/dbraw/zinc/50/13/68/524501368.db2.gz HTARKEGGWMWWMZ-STQMWFEESA-N 0 3 323.362 4.340 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@H](C)C1 ZINC000119426925 524616313 /nfs/dbraw/zinc/61/63/13/524616313.db2.gz YATWXPCZITXMOC-LALPHHSUSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H]1C[C@@H](NC[C@@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000130194889 524810887 /nfs/dbraw/zinc/81/08/87/524810887.db2.gz AFSLVGJQKIRRCX-YUTCNCBUSA-N 0 3 322.499 4.159 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN[C@@H]2CCO[C@H](c3ccccc3)C2)o1 ZINC000157344160 524863132 /nfs/dbraw/zinc/86/31/32/524863132.db2.gz GFXMFJCSGJITBY-HUOQQOJOSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1cccc2oc(CCN[C@H]3CCCOc4ccccc43)nc21 ZINC000337127145 524997706 /nfs/dbraw/zinc/99/77/06/524997706.db2.gz GLVUHSPTIDALMX-INIZCTEOSA-N 0 3 322.408 4.182 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)C[C@@H](O)c2cccs2)cc1F ZINC000270599915 525329795 /nfs/dbraw/zinc/32/97/95/525329795.db2.gz FIZNDFPSDRDZLN-SLEUVZQESA-N 0 3 323.433 4.059 20 0 DIADHN C[C@H](NCCCCOCc1ccccc1)c1cccnc1Cl ZINC000127462361 525336545 /nfs/dbraw/zinc/33/65/45/525336545.db2.gz LIKMXHQWUXRWOT-HNNXBMFYSA-N 0 3 318.848 4.383 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@H](C)c2ncc(C)s2)cc1 ZINC000162582351 525340100 /nfs/dbraw/zinc/34/01/00/525340100.db2.gz RFISUEIKKFSUDX-MGPQQGTHSA-N 0 3 304.459 4.303 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CCC1)c1ccc([S@@](C)=O)cc1 ZINC000180343607 525395729 /nfs/dbraw/zinc/39/57/29/525395729.db2.gz MPRCBTJTJOEBSE-IVZQSRNASA-N 0 3 313.466 4.154 20 0 DIADHN C[C@@H](NCCCCOc1ccc(Cl)cc1)c1cncs1 ZINC000268809098 525434222 /nfs/dbraw/zinc/43/42/22/525434222.db2.gz IJXFLALVWBJKHG-GFCCVEGCSA-N 0 3 310.850 4.306 20 0 DIADHN C[C@@H](NCc1ccc(F)nc1)c1ccc(-c2cccnc2)cc1 ZINC000189137235 525525609 /nfs/dbraw/zinc/52/56/09/525525609.db2.gz ULRCBZGTWYTPJU-CQSZACIVSA-N 0 3 307.372 4.134 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(OC(C)(C)C)cc1)c1cnn(C)c1 ZINC000157152285 525531343 /nfs/dbraw/zinc/53/13/43/525531343.db2.gz XCJAYGVEEBHZDS-KBPBESRZSA-N 0 3 301.434 4.009 20 0 DIADHN C[C@@H](NCc1cccc(NC(=O)C2CCC2)c1)c1ccccc1 ZINC000129393750 525535327 /nfs/dbraw/zinc/53/53/27/525535327.db2.gz GEUUETAXBAZYQJ-OAHLLOKOSA-N 0 3 308.425 4.276 20 0 DIADHN Cc1cccnc1[C@H](NC[C@H](O)c1ccccc1Cl)C(C)C ZINC000266029417 525554193 /nfs/dbraw/zinc/55/41/93/525554193.db2.gz ZXKSEXPQOFYOTJ-DLBZAZTESA-N 0 3 318.848 4.064 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccccc1Br ZINC000157732353 525574185 /nfs/dbraw/zinc/57/41/85/525574185.db2.gz IJWXCENSMYWNFZ-GHJWDPDVSA-N 0 3 324.262 4.057 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)C1CCC1)c1cnn(C)c1 ZINC000132230656 525597381 /nfs/dbraw/zinc/59/73/81/525597381.db2.gz YAXBYPZQWOWBIB-SJCJKPOMSA-N 0 3 303.837 4.266 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccccc1 ZINC000265476893 525608955 /nfs/dbraw/zinc/60/89/55/525608955.db2.gz NMXWIRRRJCNTJT-CABCVRRESA-N 0 3 308.425 4.447 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OC(C)(C)C)cc1)c1cnn(C)c1 ZINC000157152588 525609969 /nfs/dbraw/zinc/60/99/69/525609969.db2.gz XCJAYGVEEBHZDS-UONOGXRCSA-N 0 3 301.434 4.009 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1cccc(-n2ccnc2)c1 ZINC000190942319 525680672 /nfs/dbraw/zinc/68/06/72/525680672.db2.gz WRCFYWTZFISDKO-KDOFPFPSSA-N 0 3 307.397 4.194 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(N3CCCCC3)c2)cc1 ZINC000162477919 525737042 /nfs/dbraw/zinc/73/70/42/525737042.db2.gz QERHFPDPYMJLLF-QGZVFWFLSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2CCCO[C@@H]2CCCCO2)o1 ZINC000338310037 525803362 /nfs/dbraw/zinc/80/33/62/525803362.db2.gz XQOXKOXZVMKHHL-FUHWJXTLSA-N 0 3 307.434 4.048 20 0 DIADHN C[C@@]1(CNCc2nccn2-c2ccccc2)C[C@H]1c1ccccc1 ZINC000339455924 525850331 /nfs/dbraw/zinc/85/03/31/525850331.db2.gz ULGJZMYSOKDOMR-FPOVZHCZSA-N 0 3 317.436 4.156 20 0 DIADHN C[C@@]1(CNCc2nc(-c3ccccc3)c[nH]2)C[C@@H]1c1ccccc1 ZINC000339452558 525850415 /nfs/dbraw/zinc/85/04/15/525850415.db2.gz ZQRCDEQDSHDFMT-NQIIRXRSSA-N 0 3 317.436 4.360 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2CN[C@@H](C)c2cscn2)cc1 ZINC000271113219 525862683 /nfs/dbraw/zinc/86/26/83/525862683.db2.gz HREQXTXUKTWJFM-LESCRADOSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)c2ccc(CN(C)C)cc2)c(C)c1 ZINC000337989521 525881470 /nfs/dbraw/zinc/88/14/70/525881470.db2.gz AJWMTKKIKWQTAF-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCc3cc(F)ccc32)cnn1C(C)C ZINC000191232841 525905501 /nfs/dbraw/zinc/90/55/01/525905501.db2.gz AFABDVJJDQAAET-XIKOKIGWSA-N 0 3 301.409 4.250 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2cccc(-c3ccncc3)c2)cnn1C ZINC000177964612 525922622 /nfs/dbraw/zinc/92/26/22/525922622.db2.gz GRGVDCQKEUZSLA-GJZGRUSLSA-N 0 3 320.440 4.202 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(SC)c(OC)c2)c1 ZINC000158654227 526031985 /nfs/dbraw/zinc/03/19/85/526031985.db2.gz DTICGRIPFHMUBP-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@@](C)(O)c2cccs2)cc1 ZINC000266822849 526060867 /nfs/dbraw/zinc/06/08/67/526060867.db2.gz ZRWCUTXJYGEFEJ-MLGOLLRUSA-N 0 3 307.484 4.028 20 0 DIADHN Cc1ccc2c(CN3CCC[C@@H](C(F)(F)F)C3)ccc(O)c2n1 ZINC000338251092 526126723 /nfs/dbraw/zinc/12/67/23/526126723.db2.gz CEGPYDOVNWJIOU-CYBMUJFWSA-N 0 3 324.346 4.023 20 0 DIADHN Cc1ccc2c(CN3CC[C@H](CC(F)(F)F)C3)ccc(O)c2n1 ZINC000338383438 526128449 /nfs/dbraw/zinc/12/84/49/526128449.db2.gz YHSIZMKUVJJQCD-GFCCVEGCSA-N 0 3 324.346 4.023 20 0 DIADHN Cc1ccc2c(C[N@@H+]3CC[C@H](CC(F)(F)F)C3)ccc([O-])c2n1 ZINC000338383438 526128458 /nfs/dbraw/zinc/12/84/58/526128458.db2.gz YHSIZMKUVJJQCD-GFCCVEGCSA-N 0 3 324.346 4.023 20 0 DIADHN Cc1ccc2c(C[N@H+]3CC[C@H](CC(F)(F)F)C3)ccc([O-])c2n1 ZINC000338383438 526128462 /nfs/dbraw/zinc/12/84/62/526128462.db2.gz YHSIZMKUVJJQCD-GFCCVEGCSA-N 0 3 324.346 4.023 20 0 DIADHN CSc1ccccc1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000180857365 526154245 /nfs/dbraw/zinc/15/42/45/526154245.db2.gz OMZWNEUUVUJFKZ-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)[C@H](C)C2 ZINC000180537702 526194369 /nfs/dbraw/zinc/19/43/69/526194369.db2.gz DVGKYLMNDIAZFE-TYILLQQXSA-N 0 3 323.440 4.070 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(OCc2ccccn2)c1 ZINC000157531850 526258684 /nfs/dbraw/zinc/25/86/84/526258684.db2.gz POQCBZXLUDVLHG-CYBMUJFWSA-N 0 3 324.346 4.091 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@H](c3cccnc3)C2)c(F)c1 ZINC000337323391 526339390 /nfs/dbraw/zinc/33/93/90/526339390.db2.gz ALHIYKHHFIMEGM-SUMWQHHRSA-N 0 3 302.368 4.176 20 0 DIADHN Fc1ccc(CN[C@H]2CCC[C@@H](c3cccnc3)C2)c(F)c1 ZINC000337323389 526340965 /nfs/dbraw/zinc/34/09/65/526340965.db2.gz ALHIYKHHFIMEGM-DYVFJYSZSA-N 0 3 302.368 4.176 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)c1 ZINC000337348675 526349503 /nfs/dbraw/zinc/34/95/03/526349503.db2.gz ZGKRPLHEJJOGHT-CXAGYDPISA-N 0 3 302.368 4.176 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H](C)c2cnn(C(C)(C)C)c2C)n1 ZINC000191497631 526406000 /nfs/dbraw/zinc/40/60/00/526406000.db2.gz YCTDJABDHNEZHT-AAEUAGOBSA-N 0 3 320.506 4.166 20 0 DIADHN c1cc2c(cccc2CN[C@@H](CN2CCCC2)c2ccccc2)[nH]1 ZINC000236657518 526442121 /nfs/dbraw/zinc/44/21/21/526442121.db2.gz GKBJZUGFUPQNEO-NRFANRHFSA-N 0 3 319.452 4.095 20 0 DIADHN c1cc2cccc(CN[C@@H](C[C@H]3CCOC3)c3ccccc3)c2[nH]1 ZINC000338191732 526449702 /nfs/dbraw/zinc/44/97/02/526449702.db2.gz JGNZCHUOXVOBKV-UZLBHIALSA-N 0 3 320.436 4.425 20 0 DIADHN c1ccc([C@H](N[C@H]2CCOC3(CCCC3)C2)c2ccccn2)cc1 ZINC000180961899 526497492 /nfs/dbraw/zinc/49/74/92/526497492.db2.gz FZZYOPGOSFTYDO-ICSRJNTNSA-N 0 3 322.452 4.252 20 0 DIADHN c1cnc2c(c1)cccc2CNCc1ccc(OCC2CC2)cc1 ZINC000190905011 526543112 /nfs/dbraw/zinc/54/31/12/526543112.db2.gz PPIYRDKOZDGCHX-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN c1cncc([C@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)c1 ZINC000336861491 526550208 /nfs/dbraw/zinc/55/02/08/526550208.db2.gz BJIIVNVPCQYCGS-QZTJIDSGSA-N 0 3 300.446 4.100 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC000237555536 526554449 /nfs/dbraw/zinc/55/44/49/526554449.db2.gz SYJBBSMKWVBZIX-FQEVSTJZSA-N 0 3 305.425 4.182 20 0 DIADHN c1csc([C@@H](NCc2cnc([C@@H]3CCCO3)s2)C2CC2)c1 ZINC000339514611 526583790 /nfs/dbraw/zinc/58/37/90/526583790.db2.gz FAYJHMACEARWAF-ZFWWWQNUSA-N 0 3 320.483 4.297 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CCC([C@@H]2CCOC2)CC1 ZINC000363842478 526595114 /nfs/dbraw/zinc/59/51/14/526595114.db2.gz CLKWLLPIFXKJMO-MRXNPFEDSA-N 0 3 320.502 4.049 20 0 DIADHN Cc1noc(CCN[C@H](C)c2cc3c(ccc4ccccc34)o2)n1 ZINC000181926899 526611520 /nfs/dbraw/zinc/61/15/20/526611520.db2.gz IRFFOETXQBIGTB-GFCCVEGCSA-N 0 3 321.380 4.171 20 0 DIADHN Cc1noc(CCN[C@@H](C)c2cc3c(ccc4ccccc34)o2)n1 ZINC000181926879 526611791 /nfs/dbraw/zinc/61/17/91/526611791.db2.gz IRFFOETXQBIGTB-LBPRGKRZSA-N 0 3 321.380 4.171 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H]1CCN(C)[C@H]1c1ccccc1 ZINC000339177020 526668416 /nfs/dbraw/zinc/66/84/16/526668416.db2.gz YCZGHFBEVPLIGU-SFTDATJTSA-N 0 3 323.484 4.048 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@@H](O)c1ccccc1C ZINC000268892853 526677672 /nfs/dbraw/zinc/67/76/72/526677672.db2.gz JSWCDTHRKMFSPG-CZUORRHYSA-N 0 3 318.486 4.268 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@@H](O)c2cccc(Cl)c2)s1 ZINC000266532991 526742311 /nfs/dbraw/zinc/74/23/11/526742311.db2.gz MSOKFUWMUVJWQT-IAQYHMDHSA-N 0 3 324.877 4.140 20 0 DIADHN Cc1nc(CCN[C@@H](CC(C)C)c2ccccn2)sc1C ZINC000127683424 526828519 /nfs/dbraw/zinc/82/85/19/526828519.db2.gz LOEWMHRWGLBMGU-INIZCTEOSA-N 0 3 303.475 4.074 20 0 DIADHN NC(=O)C[C@H](NCc1ccc(C2CCCC2)cc1)c1ccccc1 ZINC000339534720 526906931 /nfs/dbraw/zinc/90/69/31/526906931.db2.gz MDTWZVNCHXKORD-FQEVSTJZSA-N 0 3 322.452 4.051 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCc3c1cccc3F)CCC2 ZINC000163586975 527113459 /nfs/dbraw/zinc/11/34/59/527113459.db2.gz LLSITWJMJJBLFK-GDBMZVCRSA-N 0 3 302.418 4.245 20 0 DIADHN Cn1cc(CN[C@@H]2CCc3cc(F)ccc32)c(-c2ccccc2)n1 ZINC000180412177 527222170 /nfs/dbraw/zinc/22/21/70/527222170.db2.gz OUZUUSQUYQNWII-LJQANCHMSA-N 0 3 321.399 4.003 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCSc2ccccc21)c1ccccc1 ZINC000268952532 527542705 /nfs/dbraw/zinc/54/27/05/527542705.db2.gz CPPTXUFCPFSSBM-QZTJIDSGSA-N 0 3 313.466 4.327 20 0 DIADHN Cc1nn(C(C)C)cc1CNC1CC(c2ccccc2Cl)C1 ZINC000339587992 527592627 /nfs/dbraw/zinc/59/26/27/527592627.db2.gz XGBNPWMFCXSNNQ-UHFFFAOYSA-N 0 3 317.864 4.462 20 0 DIADHN FC(F)(F)c1ccc(CN(CC2=CCCOC2)C2CC2)cc1 ZINC000129870432 527680989 /nfs/dbraw/zinc/68/09/89/527680989.db2.gz PARMGERNEGCQBI-UHFFFAOYSA-N 0 3 311.347 4.017 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@@H]2C[C@H]2c2ccccc2)s1 ZINC000339506869 527690612 /nfs/dbraw/zinc/69/06/12/527690612.db2.gz FGFATFVLWBYRRO-AAEUAGOBSA-N 0 3 312.360 4.055 20 0 DIADHN FC(F)Sc1ccc(CN2CCC3(C2)CCOCC3)cc1 ZINC000266559416 527697849 /nfs/dbraw/zinc/69/78/49/527697849.db2.gz MTALOBZFFSBMAG-UHFFFAOYSA-N 0 3 313.413 4.004 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2ccc(OC)cc2)ccc1O ZINC000299276171 528281646 /nfs/dbraw/zinc/28/16/46/528281646.db2.gz ZJPHFLRHQRQKME-KRWDZBQOSA-N 0 3 315.413 4.040 20 0 DIADHN CC1(C)OCc2cc(CN[C@@H]3CCc4ccccc43)ccc2O1 ZINC000274703796 535002483 /nfs/dbraw/zinc/00/24/83/535002483.db2.gz YQRWFDLVVXFNAL-GOSISDBHSA-N 0 3 309.409 4.109 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@@H](C)c2ccc(Cl)cn2)CCO1 ZINC000274767579 535124768 /nfs/dbraw/zinc/12/47/68/535124768.db2.gz LVCFRVDYJRGRQY-NUTKFTJISA-N 0 3 310.869 4.369 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2CCCc3c2cnn3C)c2ccccc21 ZINC000278268889 535270804 /nfs/dbraw/zinc/27/08/04/535270804.db2.gz OTYGWCMPSVMKBZ-QXAKKESOSA-N 0 3 309.457 4.272 20 0 DIADHN CC[C@@H](NCc1cccc2[nH]ccc21)c1ccc(OC)c(OC)c1 ZINC000285350294 535806154 /nfs/dbraw/zinc/80/61/54/535806154.db2.gz ATVZDSDNEDZLAG-QGZVFWFLSA-N 0 3 324.424 4.426 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)C1CCC(F)(F)CC1 ZINC000286890961 535861837 /nfs/dbraw/zinc/86/18/37/535861837.db2.gz IJFRVKCLWWALKX-UHFFFAOYSA-N 0 3 324.415 4.006 20 0 DIADHN CC[C@H](NCc1ccc(COCCOC)cc1)c1ccsc1 ZINC000297210008 535916370 /nfs/dbraw/zinc/91/63/70/535916370.db2.gz GPFATJLZMUFIPG-SFHVURJKSA-N 0 3 319.470 4.152 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2nc(Cl)ccc21)c1ccncc1 ZINC000297817913 535935997 /nfs/dbraw/zinc/93/59/97/535935997.db2.gz REGHGBKDPOCPOU-LSDHHAIUSA-N 0 3 301.821 4.248 20 0 DIADHN CC[C@H](N[C@H]1CCCc2nc(Cl)ccc21)c1ccncc1 ZINC000297817904 535945736 /nfs/dbraw/zinc/94/57/36/535945736.db2.gz REGHGBKDPOCPOU-GJZGRUSLSA-N 0 3 301.821 4.248 20 0 DIADHN CC[C@H](N[C@H]1CCCc2occc21)c1ccc(OC)c(OC)c1 ZINC000120786737 535946216 /nfs/dbraw/zinc/94/62/16/535946216.db2.gz PIIINHBNFMZQGP-HOTGVXAUSA-N 0 3 315.413 4.415 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@@H](C(F)(F)F)C1)c1cc(F)ccc1F ZINC000287704010 535946906 /nfs/dbraw/zinc/94/69/06/535946906.db2.gz NSYVGQVICOYVSC-LEWSCRJBSA-N 0 3 323.305 4.115 20 0 DIADHN CN(C)Cc1cccc(CN[C@H](c2ccccc2)C(F)(F)F)c1 ZINC000273335886 536036025 /nfs/dbraw/zinc/03/60/25/536036025.db2.gz LEBBQVDFKFQMTO-QGZVFWFLSA-N 0 3 322.374 4.141 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(C)ccn1)c1cccc(Cl)c1 ZINC000286197798 536643603 /nfs/dbraw/zinc/64/36/03/536643603.db2.gz RDPAENPUARQGBR-SUMWQHHRSA-N 0 3 304.821 4.082 20 0 DIADHN COc1ccc([C@H](C)NCc2sccc2C)c(C)c1OC ZINC000285513893 536900650 /nfs/dbraw/zinc/90/06/50/536900650.db2.gz AUWUHIVWBRIQJW-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3nc(Cl)ccc32)cc1 ZINC000297734012 536905365 /nfs/dbraw/zinc/90/53/65/536905365.db2.gz NQLCXNLEPRYBOC-BLLLJJGKSA-N 0 3 316.832 4.472 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc2[nH]c(C)cc2c1 ZINC000285696603 536947159 /nfs/dbraw/zinc/94/71/59/536947159.db2.gz OQMMJKFHMHBRSA-IBGZPJMESA-N 0 3 306.409 4.262 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1ccc(CO)cc1 ZINC000272569956 537108390 /nfs/dbraw/zinc/10/83/90/537108390.db2.gz VFDKHRYKYWETEG-IBGZPJMESA-N 0 3 313.441 4.065 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCc1ccccc1OC ZINC000013718969 563387426 /nfs/dbraw/zinc/38/74/26/563387426.db2.gz LLTSJHYLNPSYIE-UHFFFAOYSA-N 0 3 319.832 4.080 20 0 DIADHN CCN1CCC[C@H]1CNCc1ccc(-c2ccccc2Cl)o1 ZINC000035805207 563454052 /nfs/dbraw/zinc/45/40/52/563454052.db2.gz PTXNOLNKOVABCU-AWEZNQCLSA-N 0 3 318.848 4.174 20 0 DIADHN COc1ccccc1CCNCc1cccc(Cl)c1Cl ZINC000013719103 563662312 /nfs/dbraw/zinc/66/23/12/563662312.db2.gz LMXQUVNBMGZCNY-UHFFFAOYSA-N 0 3 310.224 4.334 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(Cl)cc(Cl)c1)[C@@H](O)C(F)F ZINC000453265425 1046008739 /nfs/dbraw/zinc/00/87/39/1046008739.db2.gz BYUNPGZJRQLURP-VSYUYLJXSA-N 0 3 312.187 4.049 20 0 DIADHN COCCCCCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856778188 1049105664 /nfs/dbraw/zinc/10/56/64/1049105664.db2.gz IDRWYNRZMSHJIG-SNVBAGLBSA-N 0 3 324.774 4.221 20 0 DIADHN CCC[C@H](NCc1nc(Br)cs1)C(C)(C)C ZINC001181859924 1049532108 /nfs/dbraw/zinc/53/21/08/1049532108.db2.gz KTLMNGWZVWUXHI-VIFPVBQESA-N 0 3 305.285 4.210 20 0 DIADHN CC[C@H]1CC[C@@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001173266603 1051664547 /nfs/dbraw/zinc/66/45/47/1051664547.db2.gz FVSQVHCDSDLBGJ-CMPLNLGQSA-N 0 3 300.229 4.344 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)[C@H]1CCCO1 ZINC000252427393 1053265628 /nfs/dbraw/zinc/26/56/28/1053265628.db2.gz YSWVLBDZCLJADU-ATEUNZGCSA-N 0 3 306.208 4.351 20 0 DIADHN C[C@@H](NC[C@H]1CCCC12CC2)c1ncc(Br)s1 ZINC000624314514 1055721827 /nfs/dbraw/zinc/72/18/27/1055721827.db2.gz JXOPYCJNYSZLIB-NXEZZACHSA-N 0 3 315.280 4.137 20 0 DIADHN CC1=CC[C@@H](NCc2cc(F)c(F)cc2Br)CC1 ZINC001462216615 1061031285 /nfs/dbraw/zinc/03/12/85/1061031285.db2.gz HUYFFIXQSNHSQJ-LLVKDONJSA-N 0 3 316.189 4.316 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@H](c3ccccc3)C2)cs1 ZINC000683077963 1035510339 /nfs/dbraw/zinc/51/03/39/1035510339.db2.gz BBMYIRDYFPVZPC-JKSUJKDBSA-N 0 3 316.470 4.106 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@@H](c3ccccc3)C2)cs1 ZINC000683077960 1035510740 /nfs/dbraw/zinc/51/07/40/1035510740.db2.gz BBMYIRDYFPVZPC-CVEARBPZSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(Cl)cc1)C(C)C ZINC000035054239 1035535354 /nfs/dbraw/zinc/53/53/54/1035535354.db2.gz CQZIPZUPEULHGN-KRWDZBQOSA-N 0 3 305.853 4.177 20 0 DIADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+][C@H](CC)Cc2cccs2)[n-]1 ZINC000683109361 1035543748 /nfs/dbraw/zinc/54/37/48/1035543748.db2.gz IQOBNNLPZLLDOE-JHJVBQTASA-N 0 3 306.479 4.052 20 0 DIADHN C[C@H](NCC[C@H]1CC=CCC1)c1nc(Br)cs1 ZINC000858515550 1035610870 /nfs/dbraw/zinc/61/08/70/1035610870.db2.gz OCDDTDRQBZYVQS-QWRGUYRKSA-N 0 3 315.280 4.303 20 0 DIADHN Cc1cc(C)cc(CNCc2cc3c(ccnc3Cl)o2)c1 ZINC001206761312 1035580585 /nfs/dbraw/zinc/58/05/85/1035580585.db2.gz CQIPXFONBBYRBJ-UHFFFAOYSA-N 0 3 300.789 4.388 20 0 DIADHN C[C@H](NCCc1cscn1)c1cc(F)c(Cl)cc1Cl ZINC000108942268 1035607527 /nfs/dbraw/zinc/60/75/27/1035607527.db2.gz JZAMTXVWYAUSDC-QMMMGPOBSA-N 0 3 319.232 4.482 20 0 DIADHN Cc1nc2ccc(CN3CCC(c4ccccn4)CC3)cc2s1 ZINC000683160819 1035634243 /nfs/dbraw/zinc/63/42/43/1035634243.db2.gz SUEOKYLOGLKCNC-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN COc1cccc2cc(CN3CCC(OC(C)(C)C)CC3)oc21 ZINC000092454133 1035675520 /nfs/dbraw/zinc/67/55/20/1035675520.db2.gz CBUCQRKWCBAFKQ-UHFFFAOYSA-N 0 3 317.429 4.221 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3(CC4CCC4)CC3)n2)cc1 ZINC001211493484 1035691816 /nfs/dbraw/zinc/69/18/16/1035691816.db2.gz ZALCXPLJNOQWRG-UHFFFAOYSA-N 0 3 311.429 4.020 20 0 DIADHN CCC[C@H](CNCc1ccc(C)cc1Br)OCC ZINC000705289416 1035739117 /nfs/dbraw/zinc/73/91/17/1035739117.db2.gz DVUITJUCUYLBLO-CQSZACIVSA-N 0 3 314.267 4.052 20 0 DIADHN Cc1cnccc1CCN1CCC[C@H]1c1ccc(F)cc1F ZINC000579827413 1035769070 /nfs/dbraw/zinc/76/90/70/1035769070.db2.gz IAUBZCOCSGDHRT-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1cccc(Cl)c1F ZINC000683224566 1035781716 /nfs/dbraw/zinc/78/17/16/1035781716.db2.gz LVCSQUNJAQCHMI-UHFFFAOYSA-N 0 3 323.843 4.150 20 0 DIADHN CC(C)CNCc1csc(COc2ccc(Cl)cc2)n1 ZINC000035718889 1035782786 /nfs/dbraw/zinc/78/27/86/1035782786.db2.gz BENIRWWGUBOSLL-UHFFFAOYSA-N 0 3 310.850 4.121 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc3c(c2)OCCCO3)cc1F ZINC000067120499 1035796292 /nfs/dbraw/zinc/79/62/92/1035796292.db2.gz MCQRCDAGGKRBJN-AWEZNQCLSA-N 0 3 315.388 4.146 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc(C(C)C)cc2)o1 ZINC000320353399 1035821102 /nfs/dbraw/zinc/82/11/02/1035821102.db2.gz CWAPPPFFSNKIGR-UHFFFAOYSA-N 0 3 309.413 4.391 20 0 DIADHN CCOCc1cc(CN(C)[C@@H](C)c2cccs2)ccc1OC ZINC000179408546 1035870392 /nfs/dbraw/zinc/87/03/92/1035870392.db2.gz YZUYTBUKGMKGLG-AWEZNQCLSA-N 0 3 319.470 4.486 20 0 DIADHN Cc1ccc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)c(C)n1 ZINC000339657362 1035905020 /nfs/dbraw/zinc/90/50/20/1035905020.db2.gz JYHSVJOAYXGAIB-SFHVURJKSA-N 0 3 316.832 4.005 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCCC2=O)c1)c1cccs1 ZINC000154496296 1035906824 /nfs/dbraw/zinc/90/68/24/1035906824.db2.gz QPPCUFYLGSXLBW-UONOGXRCSA-N 0 3 314.454 4.287 20 0 DIADHN C[C@@H](CN1CC[C@H](Sc2ccc(F)cc2)C1)C(F)(F)F ZINC001208892050 1035909912 /nfs/dbraw/zinc/90/99/12/1035909912.db2.gz NABWESSHIYFJHB-GWCFXTLKSA-N 0 3 307.356 4.191 20 0 DIADHN C[C@H](CN1CCC[C@H](Oc2ccc(Cl)cc2)C1)C(F)(F)F ZINC001208891667 1035911555 /nfs/dbraw/zinc/91/15/55/1035911555.db2.gz KCMPMAJOEIMKAZ-RISCZKNCSA-N 0 3 321.770 4.382 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208893969 1035917174 /nfs/dbraw/zinc/91/71/74/1035917174.db2.gz LXWQOXLRIXYKNF-ZIAGYGMSSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@@H](CN(Cc1ccccn1)C1CCCCC1)C(F)(F)F ZINC001208905821 1035932327 /nfs/dbraw/zinc/93/23/27/1035932327.db2.gz RQSKOQHHLWDNPB-ZDUSSCGKSA-N 0 3 300.368 4.415 20 0 DIADHN Fc1cccc(CN[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)c1F ZINC001203200127 1035933660 /nfs/dbraw/zinc/93/36/60/1035933660.db2.gz NIUWBUQTCMESDK-YSVLISHTSA-N 0 3 305.755 4.046 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@@H]4CCCC[C@@H]43)cnc21 ZINC000339733025 1035945468 /nfs/dbraw/zinc/94/54/68/1035945468.db2.gz BKNZVUJXDYMAJZ-WMZOPIPTSA-N 0 3 312.461 4.167 20 0 DIADHN CC[C@@H](N[C@@H](C)Cn1ccnc1)c1ccc(Cl)cc1Cl ZINC000037158363 1035956461 /nfs/dbraw/zinc/95/64/61/1035956461.db2.gz BXMJOYYECRCERD-XHDPSFHLSA-N 0 3 312.244 4.319 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2ccccc2C)c2ccccc21 ZINC000339756278 1035959040 /nfs/dbraw/zinc/95/90/40/1035959040.db2.gz MPUBAEVYYWKGGQ-KXBFYZLASA-N 0 3 308.425 4.144 20 0 DIADHN CCC[C@H]1CCCN1Cc1cc(OC)ccc1Br ZINC000396830898 1035987475 /nfs/dbraw/zinc/98/74/75/1035987475.db2.gz SMWIARKNLMQOBT-ZDUSSCGKSA-N 0 3 312.251 4.222 20 0 DIADHN Cn1cc([C@H](NCCC2=CCCCC2)c2cccc(F)c2)cn1 ZINC000179952201 1035997837 /nfs/dbraw/zinc/99/78/37/1035997837.db2.gz ZJBHEURSOSQHSL-LJQANCHMSA-N 0 3 313.420 4.129 20 0 DIADHN CCN(CCc1c(Cl)cccc1Cl)Cc1cccnc1 ZINC001209113286 1036019175 /nfs/dbraw/zinc/01/91/75/1036019175.db2.gz VOFRHZDUQHEBEP-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CCc1ccc2c(CN(C)Cc3cccc(C)c3)cc(=O)oc2c1 ZINC001167100735 1036039068 /nfs/dbraw/zinc/03/90/68/1036039068.db2.gz LMIXHICQHZJXIR-UHFFFAOYSA-N 0 3 321.420 4.296 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cccc(OC(F)F)c2)cc1 ZINC000065234589 1036039231 /nfs/dbraw/zinc/03/92/31/1036039231.db2.gz NKYAFQPKGRVLTF-ZDUSSCGKSA-N 0 3 321.367 4.490 20 0 DIADHN Cc1ccc([C@@H](NCC2(C)COC2)c2ccccc2Cl)cc1 ZINC000180532897 1036070754 /nfs/dbraw/zinc/07/07/54/1036070754.db2.gz QDWSPBQMGJFSBY-GOSISDBHSA-N 0 3 315.844 4.364 20 0 DIADHN Cc1c2ccccc2oc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000047452970 1036075306 /nfs/dbraw/zinc/07/53/06/1036075306.db2.gz MSOXZMSDNRTNDD-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CCCCNC(=O)[C@H]1CCCN([C@H](C)c2cccc(Cl)c2)C1 ZINC000065253033 1036076850 /nfs/dbraw/zinc/07/68/50/1036076850.db2.gz ONMIARPULRLEBI-ZBFHGGJFSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](c3nc(C(C)C)no3)C2)c1F ZINC001137678552 1036152897 /nfs/dbraw/zinc/15/28/97/1036152897.db2.gz OIOGUCISDDCHRR-OAHLLOKOSA-N 0 3 317.408 4.020 20 0 DIADHN Fc1cc(CN[C@H](c2cccnc2)C2CC2)ccc1OC(F)F ZINC000340104706 1036200902 /nfs/dbraw/zinc/20/09/02/1036200902.db2.gz JUUHUABAONMHQW-INIZCTEOSA-N 0 3 322.330 4.063 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCC4(CCOC4(C)C)CC3)c2c1 ZINC001209498230 1036216003 /nfs/dbraw/zinc/21/60/03/1036216003.db2.gz CGMNBDHGRKPYSK-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)N(C)c1ccccc1)c1ccc(C)cc1 ZINC000340154580 1036251700 /nfs/dbraw/zinc/25/17/00/1036251700.db2.gz INDJLYGBVCCFSH-VQIMIIECSA-N 0 3 310.441 4.087 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)N(C)Cc1ccccc1)c1ccc(C)cc1 ZINC000340153977 1036251724 /nfs/dbraw/zinc/25/17/24/1036251724.db2.gz DCGLZMSMPYXJEC-FXAWDEMLSA-N 0 3 324.468 4.083 20 0 DIADHN CCC(CC)(CNCc1nc(-c2ccccc2)cs1)OC ZINC000387499229 1036261219 /nfs/dbraw/zinc/26/12/19/1036261219.db2.gz SWNMTFSUVXDLHD-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN CCOc1ccc2c(c1)CN(C[C@H]1CCCCC1(F)F)CC2 ZINC001167206964 1036273914 /nfs/dbraw/zinc/27/39/14/1036273914.db2.gz XGIORDLPQACNMK-MRXNPFEDSA-N 0 3 309.400 4.269 20 0 DIADHN Oc1cc(CN2CC[C@@H](c3ccccc3)C2)cc(C(F)(F)F)c1 ZINC001167205377 1036274041 /nfs/dbraw/zinc/27/40/41/1036274041.db2.gz CXHFRTOQSPNMTM-OAHLLOKOSA-N 0 3 321.342 4.401 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2occc21)c1ccc(C(=O)OC)cc1 ZINC000340175761 1036276461 /nfs/dbraw/zinc/27/64/61/1036276461.db2.gz PBHSRZLWKIHCJT-DLBZAZTESA-N 0 3 313.397 4.185 20 0 DIADHN C[C@@H]1CN(CCCOc2cccc3ccccc32)CC(C)(C)O1 ZINC001167218509 1036283828 /nfs/dbraw/zinc/28/38/28/1036283828.db2.gz OOIXBHZVIGLPIW-MRXNPFEDSA-N 0 3 313.441 4.108 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1CC[C@@H](c2cccnc2)C1 ZINC001204472551 1036302823 /nfs/dbraw/zinc/30/28/23/1036302823.db2.gz MEJFYDWRVXAUNP-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1CC[C@H](c2cccnc2)C1 ZINC001204472552 1036303451 /nfs/dbraw/zinc/30/34/51/1036303451.db2.gz MEJFYDWRVXAUNP-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN CCCN(CC[C@@H](O)c1ccccc1)Cc1ccc(F)cc1F ZINC001167237668 1036304334 /nfs/dbraw/zinc/30/43/34/1036304334.db2.gz OJDWSVQXHMSRJV-LJQANCHMSA-N 0 3 319.395 4.301 20 0 DIADHN Cc1cnc(CN(CCc2ccc(F)cc2)CC(C)C)s1 ZINC001167241164 1036310241 /nfs/dbraw/zinc/31/02/41/1036310241.db2.gz RXHPAUMSAYVBQT-UHFFFAOYSA-N 0 3 306.450 4.291 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCOc1ccc(C(C)(C)C)cc1 ZINC000754157184 1036313277 /nfs/dbraw/zinc/31/32/77/1036313277.db2.gz RSRDESIMRFRMBP-CYBMUJFWSA-N 0 3 316.445 4.319 20 0 DIADHN COc1cccc(OCCN(Cc2ccccc2F)C(C)C)c1 ZINC001167248480 1036319491 /nfs/dbraw/zinc/31/94/91/1036319491.db2.gz SAGBACLOSUWOBW-UHFFFAOYSA-N 0 3 317.404 4.124 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1c(C3CC3)nc3sccn13)CC2 ZINC000651959151 1036324055 /nfs/dbraw/zinc/32/40/55/1036324055.db2.gz ADYVKNFVVCZWSS-INIZCTEOSA-N 0 3 323.465 4.359 20 0 DIADHN COc1ccnc(CN2CCC[C@@H]2CC2CCCCC2)c1OC ZINC001167252111 1036324919 /nfs/dbraw/zinc/32/49/19/1036324919.db2.gz SYIUXHOFBZULQM-MRXNPFEDSA-N 0 3 318.461 4.034 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccccc2)s1)[C@H]1CCCCO1 ZINC000653875072 1036332270 /nfs/dbraw/zinc/33/22/70/1036332270.db2.gz PEAYHMVZZLHDCL-JKSUJKDBSA-N 0 3 316.470 4.247 20 0 DIADHN CCn1cccc(CN[C@@H](C)c2ccc(F)c3ccccc32)c1=O ZINC000340371776 1036379557 /nfs/dbraw/zinc/37/95/57/1036379557.db2.gz IBKQSWJDNUMCSD-AWEZNQCLSA-N 0 3 324.399 4.011 20 0 DIADHN COC[C@H](NC1CC(c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000121072431 1036389573 /nfs/dbraw/zinc/38/95/73/1036389573.db2.gz VMBXWAJHGUHCJN-RJYAGPCLSA-N 0 3 317.379 4.188 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)c2nc(-c3ccc(F)c(C)c3)no2)C1 ZINC000302928003 1036415766 /nfs/dbraw/zinc/41/57/66/1036415766.db2.gz FBWRHYWVRUFQIU-ZIAGYGMSSA-N 0 3 317.408 4.367 20 0 DIADHN CCC1(CC)CN(Cc2ccc(C(F)(F)F)cn2)CCS1 ZINC000683523004 1036437964 /nfs/dbraw/zinc/43/79/64/1036437964.db2.gz OHDWYUVEVHFHOL-UHFFFAOYSA-N 0 3 318.408 4.208 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](c1ccccc1)C(C)C ZINC000008002559 1036446198 /nfs/dbraw/zinc/44/61/98/1036446198.db2.gz ABEJUKWTMATHHP-LJQANCHMSA-N 0 3 310.441 4.229 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](c1ccccc1)C(C)C ZINC000008002812 1036453485 /nfs/dbraw/zinc/45/34/85/1036453485.db2.gz CBVOHXMXBXRBNA-HXUWFJFHSA-N 0 3 324.468 4.483 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCc3cc(C)cc(C)c32)c1 ZINC000655694230 1036482790 /nfs/dbraw/zinc/48/27/90/1036482790.db2.gz DTXFTDNKJUOAGE-IBGZPJMESA-N 0 3 322.452 4.429 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001167294418 1036485992 /nfs/dbraw/zinc/48/59/92/1036485992.db2.gz PYAPNAMOXHWPGK-AYBZRNKSSA-N 0 3 305.437 4.385 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H]2c2cccc(C)c2)oc1C ZINC000340406665 1036491907 /nfs/dbraw/zinc/49/19/07/1036491907.db2.gz INNOTDIEMBDJAH-SFHVURJKSA-N 0 3 313.397 4.020 20 0 DIADHN c1cn2c(n1)[C@@H](NCCc1cccc(-c3ccccc3)c1)CCC2 ZINC000655724458 1036504763 /nfs/dbraw/zinc/50/47/63/1036504763.db2.gz YLAWPHDTUGKXLG-FQEVSTJZSA-N 0 3 317.436 4.217 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1ccnc(C(F)(F)F)c1)CC2 ZINC000655772400 1036531495 /nfs/dbraw/zinc/53/14/95/1036531495.db2.gz GJUHSKXFANPIHQ-HNNXBMFYSA-N 0 3 320.358 4.494 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)[C@@H](C)C(C)C ZINC000118833335 1036571116 /nfs/dbraw/zinc/57/11/16/1036571116.db2.gz CRTVCLYWCZWMNT-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN c1ccc(Sc2ccccc2CNCC2=CCCOC2)cc1 ZINC000127152583 1036576612 /nfs/dbraw/zinc/57/66/12/1036576612.db2.gz KTOQJVYDMVGJSI-UHFFFAOYSA-N 0 3 311.450 4.274 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2coc3ccccc23)cc1 ZINC000340426659 1036592063 /nfs/dbraw/zinc/59/20/63/1036592063.db2.gz NHFZYEDQSMVJNW-ZDUSSCGKSA-N 0 3 308.381 4.242 20 0 DIADHN CC[C@H](N[C@H](C)c1nc2c(s1)CCCC2)[C@@H]1CCCCO1 ZINC000655847626 1036596937 /nfs/dbraw/zinc/59/69/37/1036596937.db2.gz CPUJJHUYPFYVRZ-IPYPFGDCSA-N 0 3 308.491 4.020 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCCC2)O1)c1ccc2ccccc2n1 ZINC000655853153 1036606733 /nfs/dbraw/zinc/60/67/33/1036606733.db2.gz SODMUSDZXLIVOM-RDJZCZTQSA-N 0 3 310.441 4.377 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCC2)O1)c1ccc2ccccc2n1 ZINC000655853154 1036607009 /nfs/dbraw/zinc/60/70/09/1036607009.db2.gz SODMUSDZXLIVOM-WBVHZDCISA-N 0 3 310.441 4.377 20 0 DIADHN COc1cc(C)ccc1CNCCOc1cccc2ccccc21 ZINC001648835450 1036614499 /nfs/dbraw/zinc/61/44/99/1036614499.db2.gz ONYPTGPSPULGME-UHFFFAOYSA-N 0 3 321.420 4.325 20 0 DIADHN C[C@@H](N[C@H]1CCSc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000340447242 1036639598 /nfs/dbraw/zinc/63/95/98/1036639598.db2.gz AXIIUPQCUSTICI-YGRLFVJLSA-N 0 3 320.845 4.328 20 0 DIADHN C[C@@H](N[C@@H]1CCSc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000340447240 1036640945 /nfs/dbraw/zinc/64/09/45/1036640945.db2.gz AXIIUPQCUSTICI-QMTHXVAHSA-N 0 3 320.845 4.328 20 0 DIADHN Cc1ccc(CN2CCC(c3cccnc3)CC2)c(Cl)c1 ZINC000683668106 1036669700 /nfs/dbraw/zinc/66/97/00/1036669700.db2.gz RNAUSHLWIJDXLX-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)c1ccc(OCCNCc2cccc(F)c2F)cc1 ZINC001648878793 1036669820 /nfs/dbraw/zinc/66/98/20/1036669820.db2.gz KVZBCHYWVPCPQB-UHFFFAOYSA-N 0 3 305.368 4.257 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(OCC(F)F)cc2)c1 ZINC001648882454 1036669866 /nfs/dbraw/zinc/66/98/66/1036669866.db2.gz OTUIWOIZEPEWJH-CYBMUJFWSA-N 0 3 321.367 4.190 20 0 DIADHN Cc1ccccc1OCCNCc1cccc(Cl)c1Cl ZINC001648892017 1036677701 /nfs/dbraw/zinc/67/77/01/1036677701.db2.gz WYUKLNNUQSDTIT-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1ccccc1Cl)C1CC1 ZINC000579986505 1036695513 /nfs/dbraw/zinc/69/55/13/1036695513.db2.gz WVHLQHMUJCCKLV-STQMWFEESA-N 0 3 308.853 4.178 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@@H]1CCc2ccc(F)cc21 ZINC000579998284 1036701686 /nfs/dbraw/zinc/70/16/86/1036701686.db2.gz UXOPCSSAOJKMEP-DLBZAZTESA-N 0 3 315.363 4.238 20 0 DIADHN COc1ccc2c(c1)CCCN([C@@H]1CCc3c1cccc3F)C2 ZINC001167325904 1036734891 /nfs/dbraw/zinc/73/48/91/1036734891.db2.gz HMCMMYJBMNGGQG-HXUWFJFHSA-N 0 3 311.400 4.270 20 0 DIADHN Cc1ccc(CN(C)CCCc2c(F)cccc2Cl)nc1 ZINC000683715306 1036759119 /nfs/dbraw/zinc/75/91/19/1036759119.db2.gz OBTOAJJLYXDKIB-UHFFFAOYSA-N 0 3 306.812 4.247 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCCc3c(O)cccc32)c1 ZINC000340507186 1036769446 /nfs/dbraw/zinc/76/94/46/1036769446.db2.gz WLGFYLBYGFFZPT-GOSISDBHSA-N 0 3 313.466 4.422 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2ccncc2F)cc1 ZINC000340518418 1036775776 /nfs/dbraw/zinc/77/57/76/1036775776.db2.gz IYCKIXBKMYSPFQ-JVPBZIDWSA-N 0 3 314.404 4.100 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@H]1CCCN1C1CC1 ZINC001167332808 1036787795 /nfs/dbraw/zinc/78/77/95/1036787795.db2.gz IQZOBFDVOKYHPM-FUHWJXTLSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNc2nc3ccc(F)cc3[nH]2)cc1 ZINC000683751640 1036822767 /nfs/dbraw/zinc/82/27/67/1036822767.db2.gz REBCGADQPAITIT-UHFFFAOYSA-N 0 3 321.359 4.013 20 0 DIADHN CCCn1c(CNc2nc3ccc(F)cc3[nH]2)nc2ccccc21 ZINC000683751854 1036827697 /nfs/dbraw/zinc/82/76/97/1036827697.db2.gz TYLVOAXHRYYXPK-UHFFFAOYSA-N 0 3 323.375 4.074 20 0 DIADHN CCSc1cc(CNCc2ccc3cnccc3c2)ccn1 ZINC000683775488 1036894438 /nfs/dbraw/zinc/89/44/38/1036894438.db2.gz UIVWURRHDIUIPB-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN C[C@H](NCc1cccc(C(F)(F)F)c1)c1ccc2c(c1)OCO2 ZINC000071857761 1036933467 /nfs/dbraw/zinc/93/34/67/1036933467.db2.gz VPUFESUIUCKWRK-NSHDSACASA-N 0 3 323.314 4.285 20 0 DIADHN Cc1cccc2c1CCN(CCOc1ccc(Cl)c(F)c1)C2 ZINC001167353474 1036951264 /nfs/dbraw/zinc/95/12/64/1036951264.db2.gz JTVXMBSXBFBYPN-UHFFFAOYSA-N 0 3 319.807 4.225 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1ccn(-c2ccccc2F)n1)C1CC1 ZINC001167355802 1036959607 /nfs/dbraw/zinc/95/96/07/1036959607.db2.gz AWCCLBBDVOATPM-CABCVRRESA-N 0 3 315.436 4.410 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc3cnccc3c2)o1 ZINC000683837702 1037000158 /nfs/dbraw/zinc/00/01/58/1037000158.db2.gz CLHWRKWMNFWKAC-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN COC(=O)c1csc(CN(C)[C@@H](c2ccccc2)C(C)C)c1 ZINC001167371914 1037013743 /nfs/dbraw/zinc/01/37/43/1037013743.db2.gz LGBUMTLFTDIKHQ-QGZVFWFLSA-N 0 3 317.454 4.364 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CCC[C@H]1C(=O)OCc1ccccc1 ZINC001167381150 1037057626 /nfs/dbraw/zinc/05/76/26/1037057626.db2.gz KHKCVBUZUFXWPD-NEWSRXKRSA-N 0 3 315.457 4.021 20 0 DIADHN CC(C)[C@H]1C[C@@H](NC2(c3c(F)cccc3F)CCC2)CCO1 ZINC000623844511 1037075847 /nfs/dbraw/zinc/07/58/47/1037075847.db2.gz ZHYFBCWDTZONMO-XJKSGUPXSA-N 0 3 309.400 4.137 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2O)c1 ZINC000556881353 1037085301 /nfs/dbraw/zinc/08/53/01/1037085301.db2.gz ONZSDHUEPWOUJD-JHVJFLLYSA-N 0 3 309.453 4.194 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(OC)c(Cl)c2)c1 ZINC000086585549 1037097998 /nfs/dbraw/zinc/09/79/98/1037097998.db2.gz WWFLGWFJOAOFCX-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1sccc1Br ZINC000308912474 1037107960 /nfs/dbraw/zinc/10/79/60/1037107960.db2.gz YHLPEIZJLNISGW-OYNCUSHFSA-N 0 3 306.294 4.055 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cc3ccccc3[nH]2)cn1 ZINC000683929784 1037119389 /nfs/dbraw/zinc/11/93/89/1037119389.db2.gz DVXYPODWLVZUKU-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CCc1onc(C)c1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC001167397719 1037126068 /nfs/dbraw/zinc/12/60/68/1037126068.db2.gz IVIYLWASLRPITC-LBPRGKRZSA-N 0 3 306.837 4.262 20 0 DIADHN COc1ccc(-c2nc(CNC3CC(C)(C)C3)cs2)cc1 ZINC000683939789 1037139868 /nfs/dbraw/zinc/13/98/68/1037139868.db2.gz NFEZLQRSHNJLHI-UHFFFAOYSA-N 0 3 302.443 4.097 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2ccccc2C(C)C)s1 ZINC000089597429 1037161225 /nfs/dbraw/zinc/16/12/25/1037161225.db2.gz YDKYOFXYVOIXQF-CYBMUJFWSA-N 0 3 304.459 4.305 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1cn(C(C)C)nn1 ZINC000580498190 1037169396 /nfs/dbraw/zinc/16/93/96/1037169396.db2.gz CLWCQUUPJDYKGR-MOPGFXCFSA-N 0 3 312.461 4.017 20 0 DIADHN Clc1cc2c(s1)CC[C@H]2NCc1cccc2c[nH]nc21 ZINC000580501160 1037169788 /nfs/dbraw/zinc/16/97/88/1037169788.db2.gz QPNDWIDNBHLABK-GFCCVEGCSA-N 0 3 303.818 4.055 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1cn(C(C)C)nn1 ZINC000580498192 1037170850 /nfs/dbraw/zinc/17/08/50/1037170850.db2.gz CLWCQUUPJDYKGR-RBUKOAKNSA-N 0 3 312.461 4.017 20 0 DIADHN COC1(C)CCN([C@@H](C)c2ccccc2Br)CC1 ZINC000580535556 1037188861 /nfs/dbraw/zinc/18/88/61/1037188861.db2.gz NLWDPUKBGQZHRR-LBPRGKRZSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1c(Br)cccc1CN1CC[C@@H](c2ccco2)C1 ZINC001167420471 1037210495 /nfs/dbraw/zinc/21/04/95/1037210495.db2.gz GBIDTBFRNTWASJ-CQSZACIVSA-N 0 3 320.230 4.340 20 0 DIADHN CCN(CC)c1ncc(CNC2(c3ccccc3)CCC2)s1 ZINC000125966734 1037211013 /nfs/dbraw/zinc/21/10/13/1037211013.db2.gz MXAIYHIKHQWXSD-UHFFFAOYSA-N 0 3 315.486 4.158 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nc(-c3ccccc3F)cs2)CS1 ZINC000656257242 1037217910 /nfs/dbraw/zinc/21/79/10/1037217910.db2.gz GBZGLBIKCXMBDN-VXGBXAGGSA-N 0 3 322.474 4.323 20 0 DIADHN Cc1cc(CN2CCC(F)(F)[C@H](C)C2)cc(C)c1OC(F)F ZINC000580607314 1037221650 /nfs/dbraw/zinc/22/16/50/1037221650.db2.gz LUOXTHUXNNSGPL-GFCCVEGCSA-N 0 3 319.342 4.382 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)CCSC(C)C ZINC000580661204 1037238597 /nfs/dbraw/zinc/23/85/97/1037238597.db2.gz JQTZGUCLGYXXOZ-HNNXBMFYSA-N 0 3 301.883 4.101 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1cc2ccccc2[nH]1)c1ccccc1 ZINC000684020333 1037245594 /nfs/dbraw/zinc/24/55/94/1037245594.db2.gz BKCNFJXOPPQBBM-LJQANCHMSA-N 0 3 318.420 4.405 20 0 DIADHN CCc1ccc(CN2CCC(c3ccc4cccnc4n3)CC2)o1 ZINC000656271147 1037247297 /nfs/dbraw/zinc/24/72/97/1037247297.db2.gz KWTJFBKJCGVEMW-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC001167430022 1037251597 /nfs/dbraw/zinc/25/15/97/1037251597.db2.gz QINGQBNVGGLQQW-STQMWFEESA-N 0 3 305.853 4.237 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2coc(-c3ccccc3F)n2)C1 ZINC001167430173 1037254181 /nfs/dbraw/zinc/25/41/81/1037254181.db2.gz VABNAWBOXGZYAL-KBPBESRZSA-N 0 3 302.393 4.491 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@]2(C1)OCc1ccccc12 ZINC000684025631 1037254927 /nfs/dbraw/zinc/25/49/27/1037254927.db2.gz QBLVZVRIHARKGJ-OAQYLSRUSA-N 0 3 318.420 4.189 20 0 DIADHN Brc1ccsc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000087780343 1037282619 /nfs/dbraw/zinc/28/26/19/1037282619.db2.gz QFTASMAYEVBFOA-PWSUYJOCSA-N 0 3 300.265 4.275 20 0 DIADHN Cc1cnccc1CN[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000580755484 1037292290 /nfs/dbraw/zinc/29/22/90/1037292290.db2.gz FMFNDWBCOLLHBA-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N(C)CC1CCCC1 ZINC000060211374 1037326393 /nfs/dbraw/zinc/32/63/93/1037326393.db2.gz BYFRZLJHFCYTGP-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN c1coc([C@@H]2CCCCCN2C[C@@H]2COC3(CCCCC3)O2)c1 ZINC001167438579 1037355696 /nfs/dbraw/zinc/35/56/96/1037355696.db2.gz PHZNTCQRTNGWDY-SJORKVTESA-N 0 3 319.445 4.273 20 0 DIADHN CC(C)(C)c1cnc(CNCC(C)(C)[C@@H]2CCCCO2)s1 ZINC000684109804 1037356690 /nfs/dbraw/zinc/35/66/90/1037356690.db2.gz JTWCOFJGXKYWDS-ZDUSSCGKSA-N 0 3 310.507 4.126 20 0 DIADHN CC(C)OC1CCC(NCc2ncc(C(C)(C)C)s2)CC1 ZINC000684109952 1037358801 /nfs/dbraw/zinc/35/88/01/1037358801.db2.gz IKDZIEKFNYJPCS-UHFFFAOYSA-N 0 3 310.507 4.266 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(C)OCC1(C)C)c1ccccc1 ZINC001167439229 1037366303 /nfs/dbraw/zinc/36/63/03/1037366303.db2.gz UGQBSGPHDWZZGX-QGZVFWFLSA-N 0 3 305.462 4.042 20 0 DIADHN Cc1ccc([C@H](NCCCCOC(C)C)c2ccccn2)cc1 ZINC000926129315 1037372767 /nfs/dbraw/zinc/37/27/67/1037372767.db2.gz BPAXWTSIMUTFLU-FQEVSTJZSA-N 0 3 312.457 4.274 20 0 DIADHN CCc1ccc(CN2C[C@@H](C)C[C@H]2c2cccc(OC)c2)nc1 ZINC000580940323 1037373963 /nfs/dbraw/zinc/37/39/63/1037373963.db2.gz LTBLCJRGDBKMKS-YWZLYKJASA-N 0 3 310.441 4.236 20 0 DIADHN CC(C)OC1CCC(NCc2coc(-c3ccccc3)n2)CC1 ZINC000130080372 1037375267 /nfs/dbraw/zinc/37/52/67/1037375267.db2.gz GOHMUOFXIZLJAO-UHFFFAOYSA-N 0 3 314.429 4.167 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)[C@@H]1C[C@H](OCC)C1(C)C ZINC001167442945 1037408291 /nfs/dbraw/zinc/40/82/91/1037408291.db2.gz KBQPGCRHQUUHKQ-SJORKVTESA-N 0 3 311.416 4.380 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)[C@H]1C[C@H](OCC)C1(C)C ZINC001167442944 1037408699 /nfs/dbraw/zinc/40/86/99/1037408699.db2.gz KBQPGCRHQUUHKQ-IRXDYDNUSA-N 0 3 311.416 4.380 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000255959095 1037424491 /nfs/dbraw/zinc/42/44/91/1037424491.db2.gz TUPTVVOAOVFOSU-CYZMBNFOSA-N 0 3 305.397 4.269 20 0 DIADHN COc1cc(OC)c([C@@H](C)NCCc2ccc(C)o2)cc1Cl ZINC000581106764 1037435211 /nfs/dbraw/zinc/43/52/11/1037435211.db2.gz ZIQRCPPOJMCDBR-GFCCVEGCSA-N 0 3 323.820 4.152 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H](C)c1ccc(OC(C)C)cc1 ZINC000581285843 1037515863 /nfs/dbraw/zinc/51/58/63/1037515863.db2.gz LVUBRICNKLQVPK-UZLBHIALSA-N 0 3 313.441 4.166 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1sccc1C)CCS2 ZINC000276120653 1037525750 /nfs/dbraw/zinc/52/57/50/1037525750.db2.gz JOVMTPVREAQUOS-AWEZNQCLSA-N 0 3 305.468 4.392 20 0 DIADHN CC(C)(NCc1cccc(C(F)F)c1)C(=O)Nc1ccccc1 ZINC000684204628 1037549517 /nfs/dbraw/zinc/54/95/17/1037549517.db2.gz LLONIMUKMHGEFM-UHFFFAOYSA-N 0 3 318.367 4.131 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C)s1)CCS2 ZINC000276150939 1037559743 /nfs/dbraw/zinc/55/97/43/1037559743.db2.gz PBOWOYWJSUNMJB-OAHLLOKOSA-N 0 3 305.468 4.392 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2sc(C)nc2C)cc1 ZINC000040445868 1037560809 /nfs/dbraw/zinc/56/08/09/1037560809.db2.gz XNRJNRZGRNBKDK-LBPRGKRZSA-N 0 3 304.459 4.400 20 0 DIADHN CC[C@H](NCC[C@H](C)[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000090517187 1037565524 /nfs/dbraw/zinc/56/55/24/1037565524.db2.gz DNAZFSZCPDZDKJ-RBXYMLTMSA-N 0 3 322.301 4.191 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(=O)oc3cc(C)ccc23)s1 ZINC000754669955 1037605228 /nfs/dbraw/zinc/60/52/28/1037605228.db2.gz UHIYHAIPNRSRBH-CYBMUJFWSA-N 0 3 313.422 4.322 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)sc1C)c1ccc(C)cc1 ZINC000341053902 1037663514 /nfs/dbraw/zinc/66/35/14/1037663514.db2.gz GIKKXKMTKIOZBB-INIZCTEOSA-N 0 3 317.454 4.392 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1CCC(C)CC1)c1cccc(Cl)c1 ZINC000341105152 1037678095 /nfs/dbraw/zinc/67/80/95/1037678095.db2.gz UBNMMJKKONGXRA-PBHICJAKSA-N 0 3 322.880 4.028 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2cccc(OC(F)F)c2)cc1 ZINC000622818250 1037692518 /nfs/dbraw/zinc/69/25/18/1037692518.db2.gz CDQLZSNGGBEQDX-KRWDZBQOSA-N 0 3 319.351 4.331 20 0 DIADHN C[C@@]1(CN[C@H]2CCc3c2c(F)ccc3F)CC1(Cl)Cl ZINC000834885453 1037708210 /nfs/dbraw/zinc/70/82/10/1037708210.db2.gz WHZALDQCHXOISI-AAEUAGOBSA-N 0 3 306.183 4.126 20 0 DIADHN CCOC(CCN[C@@H](C)c1cc(Cl)ccc1Cl)OCC ZINC000276331096 1037709737 /nfs/dbraw/zinc/70/97/37/1037709737.db2.gz RHIDMAPGQVUNHD-NSHDSACASA-N 0 3 320.260 4.433 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1cc(Cl)c(N)c(Cl)c1 ZINC000834889769 1037713474 /nfs/dbraw/zinc/71/34/74/1037713474.db2.gz ZOCUZBQNXZKHLE-JTQLQIEISA-N 0 3 310.228 4.130 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(Cl)c(Cl)c1 ZINC000223248631 1037741687 /nfs/dbraw/zinc/74/16/87/1037741687.db2.gz YGSDRJYODUDLHQ-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1ccc2c(c1)ncn2C ZINC000341205316 1037742671 /nfs/dbraw/zinc/74/26/71/1037742671.db2.gz VOEMUJAJGAIJRY-QGZVFWFLSA-N 0 3 323.440 4.278 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)C(C)(C)CO)s1 ZINC000581580053 1037751787 /nfs/dbraw/zinc/75/17/87/1037751787.db2.gz DSJGLGXDGRBCSE-INIZCTEOSA-N 0 3 321.511 4.319 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](CC(F)(F)F)C3)cc2c1 ZINC000581587423 1037759352 /nfs/dbraw/zinc/75/93/52/1037759352.db2.gz FPWGATVJYLWFPN-LLVKDONJSA-N 0 3 313.319 4.216 20 0 DIADHN COc1cccc([C@H](C)NCc2cc(F)cc3cccnc32)c1 ZINC000341227314 1037767068 /nfs/dbraw/zinc/76/70/68/1037767068.db2.gz JEKPDESTHREYIG-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](C)c2ccc3c(c2)CCO3)s1 ZINC000040518321 1037782517 /nfs/dbraw/zinc/78/25/17/1037782517.db2.gz ANPRQDFBBIFTOV-MNOVXSKESA-N 0 3 302.443 4.107 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1ccc(Cl)cc1Cl ZINC000656683306 1037786118 /nfs/dbraw/zinc/78/61/18/1037786118.db2.gz GZPKIJITPJLYPQ-CMPLNLGQSA-N 0 3 300.229 4.356 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccc(Cl)cc1Cl ZINC000656683309 1037787017 /nfs/dbraw/zinc/78/70/17/1037787017.db2.gz GZPKIJITPJLYPQ-ZYHUDNBSSA-N 0 3 300.229 4.356 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Cl)cc1Cl ZINC000656683307 1037787712 /nfs/dbraw/zinc/78/77/12/1037787712.db2.gz GZPKIJITPJLYPQ-JQWIXIFHSA-N 0 3 300.229 4.356 20 0 DIADHN C[C@@H](NCc1c(C2CCC2)cnn1C)c1ccc2c(c1)CCC2 ZINC000656691198 1037800433 /nfs/dbraw/zinc/80/04/33/1037800433.db2.gz DUVXGLGYNWUFHN-CQSZACIVSA-N 0 3 309.457 4.027 20 0 DIADHN COC1CCC(N(C)Cc2ncc(-c3ccc(C)cc3)o2)CC1 ZINC000075745618 1037804819 /nfs/dbraw/zinc/80/48/19/1037804819.db2.gz LORUABHSVOURTD-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN CC[C@H](NC(=O)CN1CCC[C@H](C)[C@@H]1C)c1ccc(Cl)cc1 ZINC001167477725 1037895808 /nfs/dbraw/zinc/89/58/08/1037895808.db2.gz HBHIDZFYUHTBIU-ZQIUZPCESA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccccc2-n2cccn2)c1 ZINC000395100401 1037897225 /nfs/dbraw/zinc/89/72/25/1037897225.db2.gz ZIVCLMUAWXDFJB-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN C[C@H](NCc1csc(N(C)C)n1)[C@@H](C)c1ccc(Cl)cc1 ZINC000684382126 1037905444 /nfs/dbraw/zinc/90/54/44/1037905444.db2.gz PMQGEYVJGAQJRW-NEPJUHHUSA-N 0 3 323.893 4.144 20 0 DIADHN C[C@@H](NCc1csc(N(C)C)n1)[C@H](C)c1ccc(Cl)cc1 ZINC000684382127 1037906571 /nfs/dbraw/zinc/90/65/71/1037906571.db2.gz PMQGEYVJGAQJRW-NWDGAFQWSA-N 0 3 323.893 4.144 20 0 DIADHN Cc1nc(CNCC(c2ccccc2)c2ccccc2)cs1 ZINC000393552904 1037986746 /nfs/dbraw/zinc/98/67/46/1037986746.db2.gz UYGUYFINMZJKNT-UHFFFAOYSA-N 0 3 308.450 4.373 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccnn1CC1CCC1 ZINC000926174353 1037992519 /nfs/dbraw/zinc/99/25/19/1037992519.db2.gz JREBFHSJTIJSEP-WCQYABFASA-N 0 3 303.372 4.065 20 0 DIADHN Clc1cccc(CN2CCCC[C@@H]2CNCc2ccco2)c1 ZINC000598038003 1038003174 /nfs/dbraw/zinc/00/31/74/1038003174.db2.gz MXTJGOAIHPIWDK-QGZVFWFLSA-N 0 3 318.848 4.077 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc2c(c1)CCO2 ZINC000933489197 1038020582 /nfs/dbraw/zinc/02/05/82/1038020582.db2.gz QKFZCMPXMSGHMT-GFCCVEGCSA-N 0 3 303.352 4.410 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCCC(C)C)c2ccccc2)cc1 ZINC000021819386 1038043511 /nfs/dbraw/zinc/04/35/11/1038043511.db2.gz VNYDLDZIPSLVJF-IBGZPJMESA-N 0 3 310.441 4.311 20 0 DIADHN COc1cc(F)c(F)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001143400297 1038053675 /nfs/dbraw/zinc/05/36/75/1038053675.db2.gz SHJVJTSISCQHKJ-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc2C1)c1c(F)cccc1F ZINC001168412972 1038061353 /nfs/dbraw/zinc/06/13/53/1038061353.db2.gz USNPPRCQGKQAQN-NHYWBVRUSA-N 0 3 305.343 4.312 20 0 DIADHN CCN(CCCOc1c(C)cccc1C)CCC(F)(F)F ZINC000602869176 1038099854 /nfs/dbraw/zinc/09/98/54/1038099854.db2.gz MEGVRLPCFVMJHN-UHFFFAOYSA-N 0 3 303.368 4.347 20 0 DIADHN CCN(CC)Cc1cccc(N[C@@H](C)c2ccc(F)cn2)c1F ZINC001167488985 1038128284 /nfs/dbraw/zinc/12/82/84/1038128284.db2.gz BVTRVGVRQPYXMQ-ZDUSSCGKSA-N 0 3 319.399 4.375 20 0 DIADHN CCN(CCCSCc1ccccc1)Cc1ccccn1 ZINC000341565869 1038140062 /nfs/dbraw/zinc/14/00/62/1038140062.db2.gz NUFUNFHIZUEWSC-UHFFFAOYSA-N 0 3 300.471 4.227 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@@H]3CSC3(C)C)c(C)c2c1 ZINC000581931558 1038159345 /nfs/dbraw/zinc/15/93/45/1038159345.db2.gz JRYPAIXOWIQYQA-IAQYHMDHSA-N 0 3 305.443 4.294 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CSC3(C)C)c(C)c2c1 ZINC000581931559 1038159620 /nfs/dbraw/zinc/15/96/20/1038159620.db2.gz JRYPAIXOWIQYQA-NHYWBVRUSA-N 0 3 305.443 4.294 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccsc2)cc1OCC ZINC000061014106 1038163719 /nfs/dbraw/zinc/16/37/19/1038163719.db2.gz BTGUYPIGWLHQAX-CYBMUJFWSA-N 0 3 305.443 4.396 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCC(OCCC(C)C)CC1 ZINC000891725371 1038164811 /nfs/dbraw/zinc/16/48/11/1038164811.db2.gz PVKMYFWSEADTBR-UHFFFAOYSA-N 0 3 321.509 4.108 20 0 DIADHN Clc1cnc(CNC[C@@H]2CCCO[C@H]2c2ccccc2)s1 ZINC000349569610 1038174755 /nfs/dbraw/zinc/17/47/55/1038174755.db2.gz PWABIJYDSBNFER-BBRMVZONSA-N 0 3 322.861 4.054 20 0 DIADHN FC(F)(F)CSCCNCc1csc(C(F)(F)F)c1 ZINC000598975706 1038207359 /nfs/dbraw/zinc/20/73/59/1038207359.db2.gz ZMURKGIEUWLDOT-UHFFFAOYSA-N 0 3 323.327 4.152 20 0 DIADHN CC[C@@]1(C)CCCN(CC(=O)N(C2CC2)C2CCC(C)CC2)C1 ZINC001167492989 1038201079 /nfs/dbraw/zinc/20/10/79/1038201079.db2.gz VHPLUHTZSMELBG-UHYCVJNDSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@](O)(CNCc1c(Cl)oc2ccccc21)c1ccsc1 ZINC000761310632 1038207507 /nfs/dbraw/zinc/20/75/07/1038207507.db2.gz HWOHVPVSXPGBTC-MRXNPFEDSA-N 0 3 321.829 4.145 20 0 DIADHN CC(C)O[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000581979933 1038208680 /nfs/dbraw/zinc/20/86/80/1038208680.db2.gz MOBVBKBCQBSCNR-LPHOPBHVSA-N 0 3 315.461 4.281 20 0 DIADHN CSCCCCCN[C@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000599042205 1038222444 /nfs/dbraw/zinc/22/24/44/1038222444.db2.gz FOXLZFOGAQJHTN-OAHLLOKOSA-N 0 3 317.502 4.271 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2cccs2)cc1OC1CC1 ZINC000628137243 1038228781 /nfs/dbraw/zinc/22/87/81/1038228781.db2.gz BURAOCDWXVSVLJ-ZDUSSCGKSA-N 0 3 317.454 4.491 20 0 DIADHN CCSC1(CN[C@@H](C)c2cc(-c3ccccc3)n(C)n2)CC1 ZINC000599055418 1038231040 /nfs/dbraw/zinc/23/10/40/1038231040.db2.gz QQEVLSMAJYZRBP-AWEZNQCLSA-N 0 3 315.486 4.023 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC[C@H]4CCC[C@H]43)o2)cc1 ZINC000247457338 1038238299 /nfs/dbraw/zinc/23/82/99/1038238299.db2.gz HZCNFNWJIOHYTC-CZUORRHYSA-N 0 3 300.377 4.245 20 0 DIADHN Cc1nn(C)cc1CN1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001204577595 1038242827 /nfs/dbraw/zinc/24/28/27/1038242827.db2.gz BLWAOOFBMDEGDK-CYBMUJFWSA-N 0 3 324.255 4.025 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC000669687704 1038242819 /nfs/dbraw/zinc/24/28/19/1038242819.db2.gz WNSOYURLSMUUEG-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN CN(C)[C@H](CNCc1ccc(F)cc1F)c1ccccc1Cl ZINC000060830209 1038247301 /nfs/dbraw/zinc/24/73/01/1038247301.db2.gz XMUNSYJVPJUHQA-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@H](c1nc(-c2ccc3cc[nH]c3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000276852930 1038255606 /nfs/dbraw/zinc/25/56/06/1038255606.db2.gz BJFVPHIUKRGQQV-FRRDWIJNSA-N 0 3 310.401 4.152 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cccc(C(=O)OC)c1 ZINC000603682861 1038266501 /nfs/dbraw/zinc/26/65/01/1038266501.db2.gz JNOKTRMBAJOOAI-UHFFFAOYSA-N 0 3 317.816 4.149 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cc1ccc([S@](C)=O)cc1 ZINC000349613912 1038267648 /nfs/dbraw/zinc/26/76/48/1038267648.db2.gz MUMPGDMDSXIDBU-AOMKIAJQSA-N 0 3 315.482 4.225 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cc1ccc([S@@](C)=O)cc1 ZINC000349613914 1038268132 /nfs/dbraw/zinc/26/81/32/1038268132.db2.gz MUMPGDMDSXIDBU-OPAMFIHVSA-N 0 3 315.482 4.225 20 0 DIADHN c1ccc(COC2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC000599448068 1038283108 /nfs/dbraw/zinc/28/31/08/1038283108.db2.gz SMWMXZHVHLPBOI-UHFFFAOYSA-N 0 3 318.420 4.420 20 0 DIADHN CCCC(=O)Nc1cccc(CNc2ccnc3ccccc32)c1 ZINC000599510382 1038298253 /nfs/dbraw/zinc/29/82/53/1038298253.db2.gz QLLFTWDSYIFALD-UHFFFAOYSA-N 0 3 319.408 4.007 20 0 DIADHN COc1cc(CN(Cc2ccc(F)cc2)C(C)(C)C)ccn1 ZINC000535668848 1038312550 /nfs/dbraw/zinc/31/25/50/1038312550.db2.gz JHVNPZGGSVTCCH-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CCN(CC)[C@@H](CNc1nccc2sccc21)c1ccco1 ZINC000599577399 1038317606 /nfs/dbraw/zinc/31/76/06/1038317606.db2.gz FARSDFFHMVUXTG-AWEZNQCLSA-N 0 3 315.442 4.384 20 0 DIADHN C[C@@H]1CN(CCCCC(F)(F)F)CC[C@H]1C(=O)OC(C)(C)C ZINC000599590274 1038317721 /nfs/dbraw/zinc/31/77/21/1038317721.db2.gz PSDKIEZLEHAUJF-CHWSQXEVSA-N 0 3 323.399 4.019 20 0 DIADHN C[C@H]1CN(CCCCC(F)(F)F)CC[C@@H]1C(=O)OC(C)(C)C ZINC000599590277 1038317803 /nfs/dbraw/zinc/31/78/03/1038317803.db2.gz PSDKIEZLEHAUJF-STQMWFEESA-N 0 3 323.399 4.019 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1nc2ccccc2nc1C ZINC000603968475 1038333334 /nfs/dbraw/zinc/33/33/34/1038333334.db2.gz WFDSLBDWZOVUHS-NRFANRHFSA-N 0 3 317.436 4.448 20 0 DIADHN Cc1nn(C)c2ncc(CN(C)[C@@H](C)c3ccc(C)cc3C)cc12 ZINC000535785834 1038336757 /nfs/dbraw/zinc/33/67/57/1038336757.db2.gz UUIKSFCMWBLXKA-INIZCTEOSA-N 0 3 322.456 4.087 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1N(C)Cc1cnc(C2CC2)s1 ZINC000535807044 1038343177 /nfs/dbraw/zinc/34/31/77/1038343177.db2.gz SZLCMXIKUTXTOX-GJZGRUSLSA-N 0 3 308.491 4.046 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1ccc(C(F)(F)F)cn1 ZINC000341900506 1038346215 /nfs/dbraw/zinc/34/62/15/1038346215.db2.gz AJUVJCAOVSTTOD-LLVKDONJSA-N 0 3 306.397 4.064 20 0 DIADHN C[C@@H](c1nc(-c2cncc3ccccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276988336 1038346629 /nfs/dbraw/zinc/34/66/29/1038346629.db2.gz WYGBWMSKORKHEP-MJBXVCDLSA-N 0 3 322.412 4.219 20 0 DIADHN C[C@@H](c1nc(-c2ccc3[nH]ccc3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000276997256 1038347904 /nfs/dbraw/zinc/34/79/04/1038347904.db2.gz YSFGYUYCBRZBQA-UPJWGTAASA-N 0 3 310.401 4.152 20 0 DIADHN CC(C)C[C@H](NCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000341907210 1038347989 /nfs/dbraw/zinc/34/79/89/1038347989.db2.gz NCYURMYDGSDLOC-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@@H](C(C)C)CC3)o2)cc1 ZINC000604053829 1038350714 /nfs/dbraw/zinc/35/07/14/1038350714.db2.gz NFULZINAIFNNTH-MRXNPFEDSA-N 0 3 313.445 4.303 20 0 DIADHN COc1ccccc1CN1CCC(OCC2CCCCC2)CC1 ZINC000535817548 1038351082 /nfs/dbraw/zinc/35/10/82/1038351082.db2.gz OBKUJCJGSFWGQU-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN Cc1ncsc1CN1CCC(OCC2CCCCC2)CC1 ZINC000535817552 1038351275 /nfs/dbraw/zinc/35/12/75/1038351275.db2.gz PYAFLHKJHUZKTM-UHFFFAOYSA-N 0 3 308.491 4.013 20 0 DIADHN C[C@H]1CCCC[C@@H]1CCNCc1nccn1Cc1ccccc1 ZINC000604065856 1038353590 /nfs/dbraw/zinc/35/35/90/1038353590.db2.gz NOZHTSSPRSKTKU-PKOBYXMFSA-N 0 3 311.473 4.237 20 0 DIADHN Cc1noc(C[C@H](N[C@H](C)c2ccccc2)c2ccccc2)n1 ZINC000277052668 1038358457 /nfs/dbraw/zinc/35/84/57/1038358457.db2.gz HFCFMVRJNWBZAN-KDOFPFPSSA-N 0 3 307.397 4.013 20 0 DIADHN CCc1cccc2c1OCC[C@H]2Nc1ccnc2ccccc21 ZINC000599833462 1038359061 /nfs/dbraw/zinc/35/90/61/1038359061.db2.gz OSLXSHYZAGCQPL-LJQANCHMSA-N 0 3 304.393 4.155 20 0 DIADHN c1ccc([C@@H]2CN(c3ccnc4ccccc43)CCCO2)cc1 ZINC000599890149 1038364811 /nfs/dbraw/zinc/36/48/11/1038364811.db2.gz NQGGVATVKWEBLB-FQEVSTJZSA-N 0 3 304.393 4.203 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC(OCc2ccccc2)CC1 ZINC000684613282 1038386556 /nfs/dbraw/zinc/38/65/56/1038386556.db2.gz SDWOSHFKEIWGKP-GHXNOFRVSA-N 0 3 307.437 4.381 20 0 DIADHN Cc1noc(C[C@@H](N[C@@H](C)c2ccccc2C)c2ccccc2)n1 ZINC000277145274 1038388436 /nfs/dbraw/zinc/38/84/36/1038388436.db2.gz PQGXEZNBAVJOSJ-HNAYVOBHSA-N 0 3 321.424 4.321 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC(C4CCOCC4)C3)o2)cc1 ZINC000669715592 1038408194 /nfs/dbraw/zinc/40/81/94/1038408194.db2.gz XUCYXYAVGQYUIP-UHFFFAOYSA-N 0 3 311.425 4.113 20 0 DIADHN CCc1ccc(CCN(Cc2ccco2)C[C@@H]2CCCO2)cc1 ZINC000604383672 1038410342 /nfs/dbraw/zinc/41/03/42/1038410342.db2.gz CGCVYPUMXVRREZ-FQEVSTJZSA-N 0 3 313.441 4.066 20 0 DIADHN Clc1cccc(Cl)c1CN1CC[C@H](C2CCOCC2)C1 ZINC000535872534 1038411756 /nfs/dbraw/zinc/41/17/56/1038411756.db2.gz XVXOOTRWRLSHSF-ZDUSSCGKSA-N 0 3 314.256 4.242 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1ccn(-c2ccccc2)n1 ZINC000604394108 1038412071 /nfs/dbraw/zinc/41/20/71/1038412071.db2.gz BFXBHWNMKHFXHR-IEBWSBKVSA-N 0 3 317.436 4.250 20 0 DIADHN CN(C)[C@@H](CNCc1ccccc1Cl)c1c(F)cccc1F ZINC000604417203 1038413223 /nfs/dbraw/zinc/41/32/23/1038413223.db2.gz MRUXCYJJBSYKMH-INIZCTEOSA-N 0 3 324.802 4.011 20 0 DIADHN Clc1ccccc1CN[C@H]1CCCN(c2ccccc2)C1 ZINC000604416790 1038414289 /nfs/dbraw/zinc/41/42/89/1038414289.db2.gz HAKXBPKLSFQVAV-INIZCTEOSA-N 0 3 300.833 4.099 20 0 DIADHN CCCCCOc1ccc(CN2CC(C3CCOCC3)C2)cc1 ZINC000669715693 1038416414 /nfs/dbraw/zinc/41/64/14/1038416414.db2.gz DZWSXNLRBPUYLK-UHFFFAOYSA-N 0 3 317.473 4.114 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H]2c2ccccc2C)c(C)o1 ZINC000604512253 1038423805 /nfs/dbraw/zinc/42/38/05/1038423805.db2.gz VQNFPLNJLKLGHJ-KRWDZBQOSA-N 0 3 313.397 4.020 20 0 DIADHN C[C@H](NC1CC(F)(F)C1)c1ccc(SCC(F)F)cc1 ZINC000277186636 1038434583 /nfs/dbraw/zinc/43/45/83/1038434583.db2.gz ULICQUWJGQPOHE-VIFPVBQESA-N 0 3 307.356 4.492 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H]1CCCCc2ccc(Cl)cc21 ZINC000151157694 1038434741 /nfs/dbraw/zinc/43/47/41/1038434741.db2.gz KPMAUOBESJTQBV-GOSISDBHSA-N 0 3 317.864 4.248 20 0 DIADHN C[C@H](CCC1CCCCC1)N[C@@H](C(=O)N(C)C)c1ccccc1 ZINC000604773462 1038445481 /nfs/dbraw/zinc/44/54/81/1038445481.db2.gz ORBGYVJMWXXYEH-VQIMIIECSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H](c1ccccc1)[C@H](CO)NCc1ccc(Cl)cc1Cl ZINC000604767983 1038445561 /nfs/dbraw/zinc/44/55/61/1038445561.db2.gz IVBZBFYWFAKKJD-PXAZEXFGSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](CO)NCc1ccc(Cl)cc1Cl ZINC000604767984 1038446522 /nfs/dbraw/zinc/44/65/22/1038446522.db2.gz IVBZBFYWFAKKJD-SJCJKPOMSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cccc(CN[C@@](C)(CO)c2cccc(C(F)(F)F)c2)c1 ZINC000604767591 1038451147 /nfs/dbraw/zinc/45/11/47/1038451147.db2.gz QLHCLRLSUOMNQK-KRWDZBQOSA-N 0 3 323.358 4.011 20 0 DIADHN Cc1noc(C[C@@H](NCc2c(C)cccc2C)c2ccccc2)n1 ZINC000277262389 1038473041 /nfs/dbraw/zinc/47/30/41/1038473041.db2.gz RBEYVBFMTRHDHY-LJQANCHMSA-N 0 3 321.424 4.068 20 0 DIADHN CC[C@@H]1CCCN(Cc2coc(-c3cccc(F)c3)n2)CC1 ZINC000604999150 1038476529 /nfs/dbraw/zinc/47/65/29/1038476529.db2.gz QFAXATYQTLEZKZ-CQSZACIVSA-N 0 3 302.393 4.493 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@@H](NCc2ccc(Cl)o2)C1 ZINC000605008906 1038476652 /nfs/dbraw/zinc/47/66/52/1038476652.db2.gz CFJZZSKHQJWRTB-CQSZACIVSA-N 0 3 322.811 4.139 20 0 DIADHN CC(C)(C)C[C@@H](O)CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000605008942 1038477938 /nfs/dbraw/zinc/47/79/38/1038477938.db2.gz FDIFCXAWRYQNEY-GFCCVEGCSA-N 0 3 323.383 4.112 20 0 DIADHN CCCN(C)CC(=O)N[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000605182660 1038492413 /nfs/dbraw/zinc/49/24/13/1038492413.db2.gz PBBZEBSICOKCNI-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN c1ccc(SCCN2CCCO[C@H](c3ccccc3)C2)cc1 ZINC000605150751 1038494699 /nfs/dbraw/zinc/49/46/99/1038494699.db2.gz XCKQOQHWIILBFY-IBGZPJMESA-N 0 3 313.466 4.242 20 0 DIADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(Cc2ccc3c(c2)COC3)C1 ZINC001167506113 1038495953 /nfs/dbraw/zinc/49/59/53/1038495953.db2.gz YPNLTRXBYYJEBX-XOBRGWDASA-N 0 3 311.400 4.439 20 0 DIADHN CCOc1ccc(CNCc2ccc(OC(F)F)cc2)c(C)c1 ZINC000657288741 1038505093 /nfs/dbraw/zinc/50/50/93/1038505093.db2.gz DXOKZDUIMXOHEU-UHFFFAOYSA-N 0 3 321.367 4.285 20 0 DIADHN COc1cccc(Cl)c1CNCC1(c2ccccc2)CC1 ZINC000605322263 1038506833 /nfs/dbraw/zinc/50/68/33/1038506833.db2.gz PWNIWDPGUXEVPF-UHFFFAOYSA-N 0 3 301.817 4.170 20 0 DIADHN COc1cccc(Cl)c1CNC[C@@H](C)c1ccc(F)cc1 ZINC000605322265 1038506933 /nfs/dbraw/zinc/50/69/33/1038506933.db2.gz QGVZQQPSFSVUHR-GFCCVEGCSA-N 0 3 307.796 4.381 20 0 DIADHN OCC[C@H](NCc1cccc2[nH]ccc21)c1ccc(Cl)cc1 ZINC000342273016 1038510375 /nfs/dbraw/zinc/51/03/75/1038510375.db2.gz FQBAGCOEFGZRBQ-KRWDZBQOSA-N 0 3 314.816 4.035 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2nc3ccccc3o2)cc1 ZINC000055908662 1038510733 /nfs/dbraw/zinc/51/07/33/1038510733.db2.gz KFFSAJPYCMEYGQ-INIZCTEOSA-N 0 3 310.372 4.174 20 0 DIADHN CCN(CC)C(=O)C1(NCc2cccc(Cl)c2)CCCCC1 ZINC000605421577 1038513247 /nfs/dbraw/zinc/51/32/47/1038513247.db2.gz QKRIECWTJSRYEP-UHFFFAOYSA-N 0 3 322.880 4.001 20 0 DIADHN O=[N+]([O-])c1ccc(CN[C@H]2CCCc3ccccc32)cc1Cl ZINC000187611491 1038515139 /nfs/dbraw/zinc/51/51/39/1038515139.db2.gz NCQQVVOUFJFRHA-INIZCTEOSA-N 0 3 316.788 4.415 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cc3ccc(C)cc3[nH]2)c1 ZINC000657297442 1038517026 /nfs/dbraw/zinc/51/70/26/1038517026.db2.gz CUAUFGQJASCYAF-CQSZACIVSA-N 0 3 321.424 4.286 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(OCC)cc1C ZINC000657298021 1038517059 /nfs/dbraw/zinc/51/70/59/1038517059.db2.gz NLMZQBXHFGXIRY-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN CCC1(CC)CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000668588201 1038525848 /nfs/dbraw/zinc/52/58/48/1038525848.db2.gz FSISPSHAAXXTCW-OAHLLOKOSA-N 0 3 308.491 4.397 20 0 DIADHN CCc1ccc(CNCc2ccc(O[C@@H](C)COC)cc2)s1 ZINC000657310160 1038539084 /nfs/dbraw/zinc/53/90/84/1038539084.db2.gz MMLMRDHABHMNPS-AWEZNQCLSA-N 0 3 319.470 4.014 20 0 DIADHN Cc1cccn2cc(CN3C[C@@H](c4ccc(F)cc4)C[C@H]3C)nc12 ZINC000605764175 1038551281 /nfs/dbraw/zinc/55/12/81/1038551281.db2.gz HWJZINNDZSFELY-WBVHZDCISA-N 0 3 323.415 4.160 20 0 DIADHN Cc1cnc([C@H](C)N[C@@H](C)CCc2ccc(F)cc2F)s1 ZINC000582018974 1038551585 /nfs/dbraw/zinc/55/15/85/1038551585.db2.gz OHKIAVOXMCZODE-JQWIXIFHSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCCC(C)(C)CC1 ZINC001167529256 1038551831 /nfs/dbraw/zinc/55/18/31/1038551831.db2.gz GAWMPPTWHBAFGV-CQSZACIVSA-N 0 3 322.880 4.488 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(Cc2ccc3c(c2)COC3)C1 ZINC001167533916 1038553583 /nfs/dbraw/zinc/55/35/83/1038553583.db2.gz OCDOGMZIXUEVCR-JLTOFOAXSA-N 0 3 311.400 4.439 20 0 DIADHN Nc1c(Br)cccc1CN1CCCCCCCC1 ZINC001232529829 1038553980 /nfs/dbraw/zinc/55/39/80/1038553980.db2.gz XXGBMBCYCMWZIV-UHFFFAOYSA-N 0 3 311.267 4.188 20 0 DIADHN Cc1ccc2nc(CN3C[C@@H](c4ccc(F)cc4)C[C@@H]3C)cn2c1 ZINC000605902403 1038555266 /nfs/dbraw/zinc/55/52/66/1038555266.db2.gz BKZHLSSBYFTZLU-RDJZCZTQSA-N 0 3 323.415 4.160 20 0 DIADHN COCc1csc(CNCc2cccc(OCC(C)C)c2)c1 ZINC000657328322 1038572034 /nfs/dbraw/zinc/57/20/34/1038572034.db2.gz XFFKBGGJIYLWSZ-UHFFFAOYSA-N 0 3 319.470 4.219 20 0 DIADHN CCOc1ccc(CN2CCCC3(C2)CCCCCC3)nc1 ZINC001167548734 1038583111 /nfs/dbraw/zinc/58/31/11/1038583111.db2.gz GGBGTWYXZAGBIT-UHFFFAOYSA-N 0 3 302.462 4.417 20 0 DIADHN CC(C)c1ccc(C(=O)N2CCCN(C(C)C)CC2)cc1Cl ZINC000342418585 1038583784 /nfs/dbraw/zinc/58/37/84/1038583784.db2.gz GNFGWMXIZMBCSV-UHFFFAOYSA-N 0 3 322.880 4.020 20 0 DIADHN Cc1cccc(-c2cccc(CNCc3cnc4n3CCC4)c2)c1 ZINC000657343970 1038585858 /nfs/dbraw/zinc/58/58/58/1038585858.db2.gz YUUVTBQSTJUVCF-UHFFFAOYSA-N 0 3 317.436 4.095 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3ncccc3C(F)(F)F)C2)c1 ZINC000684766718 1038593515 /nfs/dbraw/zinc/59/35/15/1038593515.db2.gz IVWWFJQOYNCRIC-MQMHXKEQSA-N 0 3 324.321 4.275 20 0 DIADHN COCc1csc(CN[C@@H](c2ccc(F)cc2)C2CC2)c1 ZINC000657355062 1038597618 /nfs/dbraw/zinc/59/76/18/1038597618.db2.gz APKPLRURCZOMIH-QGZVFWFLSA-N 0 3 305.418 4.275 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2C[C@H](c3ccccc3F)C2)c(C)s1 ZINC000684773899 1038597808 /nfs/dbraw/zinc/59/78/08/1038597808.db2.gz LODNHHYZHONRHD-BPNCWPANSA-N 0 3 304.434 4.496 20 0 DIADHN CC(C)n1ccnc1CNCC(C)(C)c1ccc(F)cc1Cl ZINC000657358345 1038598990 /nfs/dbraw/zinc/59/89/90/1038598990.db2.gz KNKZMYJYXRTYMT-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(SC)c1 ZINC000606434158 1038601867 /nfs/dbraw/zinc/60/18/67/1038601867.db2.gz NGHRYGYXVYCCBQ-RHSMWYFYSA-N 0 3 320.502 4.248 20 0 DIADHN CCc1ccccc1NC(=O)CN1CCCC[C@@H]1[C@H](C)CC ZINC000606434393 1038601885 /nfs/dbraw/zinc/60/18/85/1038601885.db2.gz XUSVHDNTYJNUCY-CRAIPNDOSA-N 0 3 302.462 4.088 20 0 DIADHN COc1ccc(-c2cc(CN(C)CCC(C)(C)C)on2)cc1 ZINC000606436256 1038603130 /nfs/dbraw/zinc/60/31/30/1038603130.db2.gz WIJHUHMHUSJSSC-UHFFFAOYSA-N 0 3 302.418 4.218 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc3c1OC(C)(C)C3)CC2 ZINC000606469903 1038606845 /nfs/dbraw/zinc/60/68/45/1038606845.db2.gz FSURPXOZJHOEDN-IBGZPJMESA-N 0 3 307.437 4.486 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cccc2c1OC(C)(C)C2 ZINC000606469629 1038607422 /nfs/dbraw/zinc/60/74/22/1038607422.db2.gz SBCYMCXJJCWLJC-LJQANCHMSA-N 0 3 307.437 4.486 20 0 DIADHN Cc1nccn1CCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC000342445628 1038608497 /nfs/dbraw/zinc/60/84/97/1038608497.db2.gz ITQAAGCNPRRYAY-CYBMUJFWSA-N 0 3 303.837 4.071 20 0 DIADHN CCN(C)c1ccc(CN([C@@H](C)c2ccccc2)C2CC2)cn1 ZINC000582208693 1038611894 /nfs/dbraw/zinc/61/18/94/1038611894.db2.gz JBKLQXWUTCKMFH-INIZCTEOSA-N 0 3 309.457 4.263 20 0 DIADHN CC(C)c1ncc(CNC[C@@H]2CC[C@@H](c3ccccc3)O2)s1 ZINC000342459412 1038617456 /nfs/dbraw/zinc/61/74/56/1038617456.db2.gz YNQQJKVFAMHECE-RDJZCZTQSA-N 0 3 316.470 4.276 20 0 DIADHN Cc1ccc2cc(CN[C@@H](CCCO)c3ccccc3)[nH]c2c1 ZINC000657373405 1038620130 /nfs/dbraw/zinc/62/01/30/1038620130.db2.gz VCQGFKQFJMCVTE-IBGZPJMESA-N 0 3 308.425 4.080 20 0 DIADHN CS[C@@H]1CC[C@@H](NCc2csc(-c3ccc(F)cc3)n2)C1 ZINC000230696183 1038621448 /nfs/dbraw/zinc/62/14/48/1038621448.db2.gz OJBHPXUCXYIXNM-UKRRQHHQSA-N 0 3 322.474 4.323 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccnn1CC1CC1)C(C)(C)C ZINC000657388681 1038629990 /nfs/dbraw/zinc/62/99/90/1038629990.db2.gz OHNRBVGUJWMSAT-IBGZPJMESA-N 0 3 311.473 4.479 20 0 DIADHN Cc1ccc(C)c(C(=O)N(CCN2CCCC2)c2ccccc2)c1 ZINC000606816265 1038631287 /nfs/dbraw/zinc/63/12/87/1038631287.db2.gz WBIKFSPCVVKWLS-UHFFFAOYSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1ccc(OCc2nc(CNC[C@H](C)C(C)C)cs2)cc1 ZINC000606915264 1038639950 /nfs/dbraw/zinc/63/99/50/1038639950.db2.gz XEUFAPZZOWSHNU-HNNXBMFYSA-N 0 3 318.486 4.412 20 0 DIADHN CC[C@H]1CCCCCN1Cc1csc(-c2ccccn2)n1 ZINC000606936250 1038644187 /nfs/dbraw/zinc/64/41/87/1038644187.db2.gz HVMULZHVAYAUQH-HNNXBMFYSA-N 0 3 301.459 4.360 20 0 DIADHN Clc1ccc(CCCN2CCOc3ccccc3C2)cc1 ZINC000607150954 1038659606 /nfs/dbraw/zinc/65/96/06/1038659606.db2.gz STTGEBJXNHXUIQ-UHFFFAOYSA-N 0 3 301.817 4.167 20 0 DIADHN CC1(C)CC[C@@H]2C[C@H](NCc3cnc4n3CCC4)c3cccc1c32 ZINC000657427340 1038663616 /nfs/dbraw/zinc/66/36/16/1038663616.db2.gz CPYKWACKBVMGEO-KDOFPFPSSA-N 0 3 321.468 4.219 20 0 DIADHN Cc1ccc(CNCc2cc(Br)cs2)s1 ZINC000048068652 1038663773 /nfs/dbraw/zinc/66/37/73/1038663773.db2.gz CFTIKXQALNJOFB-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1ccc(CNCc2csc(Br)c2)s1 ZINC000047892509 1038663808 /nfs/dbraw/zinc/66/38/08/1038663808.db2.gz VAOBOCFVNOLHTK-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1Cc1nc2ccccc2c(=O)[nH]1 ZINC000607156987 1038663735 /nfs/dbraw/zinc/66/37/35/1038663735.db2.gz QHUGPBMTFCWFFO-HNNXBMFYSA-N 0 3 313.445 4.126 20 0 DIADHN C[C@@H]1CN(Cc2ccc([Si](C)(C)C)cc2)[C@@H]1c1ccccc1 ZINC001167575613 1038672222 /nfs/dbraw/zinc/67/22/22/1038672222.db2.gz FAUNUAIHWCNHEG-UZLBHIALSA-N 0 3 309.529 4.425 20 0 DIADHN CCCCC1CCC(NC(=O)c2cccc(CN(C)C)c2)CC1 ZINC000607384927 1038673493 /nfs/dbraw/zinc/67/34/93/1038673493.db2.gz PAYQERNALAILQU-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN Cc1cc(C)cc(CN[C@H](Cn2cccn2)c2ccccc2)c1 ZINC000607366352 1038674522 /nfs/dbraw/zinc/67/45/22/1038674522.db2.gz PJSLMPQEKHQWJW-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CSc1cc(Br)ccc1CNC1CC(F)(F)C1 ZINC000277620815 1038675324 /nfs/dbraw/zinc/67/53/24/1038675324.db2.gz JWEVZTBVEGMGKS-UHFFFAOYSA-N 0 3 322.218 4.058 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1ccccc1C(F)(F)F ZINC000607359324 1038675433 /nfs/dbraw/zinc/67/54/33/1038675433.db2.gz RGRPJRUYJBIOBU-AWEZNQCLSA-N 0 3 323.358 4.088 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@H](c2ccncc2)C1 ZINC000563364437 1038677738 /nfs/dbraw/zinc/67/77/38/1038677738.db2.gz QCJMYDKGHJOBGM-DOMZBBRYSA-N 0 3 304.796 4.425 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc3ncsc3c2)c1 ZINC000657439884 1038678700 /nfs/dbraw/zinc/67/87/00/1038678700.db2.gz QDUDURLYINNEKK-LLVKDONJSA-N 0 3 318.467 4.355 20 0 DIADHN CCOc1ccc(CNCc2ccnc(SCC)c2)c(C)c1 ZINC000657441038 1038680310 /nfs/dbraw/zinc/68/03/10/1038680310.db2.gz MUBSZVPRLRCEBS-UHFFFAOYSA-N 0 3 316.470 4.191 20 0 DIADHN CC(C)N(C(=O)c1cccc(CN2CCCCC2)c1)C1CCC1 ZINC000413495735 1038684682 /nfs/dbraw/zinc/68/46/82/1038684682.db2.gz JQUKMJXAYKBJAY-UHFFFAOYSA-N 0 3 314.473 4.076 20 0 DIADHN COc1ncc([C@@H](C)N[C@H]2CCCc3ccccc32)cc1Cl ZINC000342613662 1038689411 /nfs/dbraw/zinc/68/94/11/1038689411.db2.gz IRLSRVMDOXHPFG-PXAZEXFGSA-N 0 3 316.832 4.472 20 0 DIADHN COC[C@@H](C)Oc1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000657462522 1038702035 /nfs/dbraw/zinc/70/20/35/1038702035.db2.gz UOXIBGIBUNUIRM-KGLIPLIRSA-N 0 3 305.443 4.013 20 0 DIADHN Cc1noc(C[C@@H](NCc2ccc(C)cc2C)c2ccccc2)n1 ZINC000277718128 1038714451 /nfs/dbraw/zinc/71/44/51/1038714451.db2.gz SULCUCLOHLTDKC-LJQANCHMSA-N 0 3 321.424 4.068 20 0 DIADHN Cc1cccn2cc(CN3CC[C@@H](c4ccccc4)[C@@H](C)C3)nc12 ZINC000607751776 1038717418 /nfs/dbraw/zinc/71/74/18/1038717418.db2.gz DOSRPMXJJYSBNC-FXAWDEMLSA-N 0 3 319.452 4.268 20 0 DIADHN Cc1cccn2cc(CN3CC[C@H](c4ccccc4)[C@H](C)C3)nc12 ZINC000607751778 1038718859 /nfs/dbraw/zinc/71/88/59/1038718859.db2.gz DOSRPMXJJYSBNC-XLIONFOSSA-N 0 3 319.452 4.268 20 0 DIADHN Cc1ccccc1[C@H]1CN([C@H]2CCCc3ccccc32)CCO1 ZINC000607745991 1038719339 /nfs/dbraw/zinc/71/93/39/1038719339.db2.gz CHWOZKFRDJYLHP-LEWJYISDSA-N 0 3 307.437 4.446 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@@H](C)CCC[C@H]1C ZINC000607742882 1038720315 /nfs/dbraw/zinc/72/03/15/1038720315.db2.gz WQHRTHPNJWWAQQ-GOEBONIOSA-N 0 3 302.462 4.006 20 0 DIADHN c1c(CNC[C@@H]2CCCC[C@@H]2c2ccccc2)nnn1C1CCC1 ZINC000657485886 1038720435 /nfs/dbraw/zinc/72/04/35/1038720435.db2.gz UPEBGROWDMVHDQ-FXAWDEMLSA-N 0 3 324.472 4.067 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@H](C)CCC[C@H]1C ZINC000607742881 1038721163 /nfs/dbraw/zinc/72/11/63/1038721163.db2.gz WQHRTHPNJWWAQQ-GDBMZVCRSA-N 0 3 302.462 4.006 20 0 DIADHN CC1(C)CCCN(Cc2ncc(-c3ccc(F)cc3)o2)CC1 ZINC000607748745 1038722217 /nfs/dbraw/zinc/72/22/17/1038722217.db2.gz BKJXFVIYCAKSPO-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3sccc32)cc1F ZINC000152799529 1038722898 /nfs/dbraw/zinc/72/28/98/1038722898.db2.gz VJLZLAOJNPOZFI-OAHLLOKOSA-N 0 3 305.418 4.453 20 0 DIADHN CC1(C)CCCN(Cc2nnc(-c3cccc(Cl)c3)o2)CC1 ZINC000607748511 1038722979 /nfs/dbraw/zinc/72/29/79/1038722979.db2.gz QMSCLFIFGVFRKG-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccc(OC(F)F)cc1 ZINC000607747454 1038723068 /nfs/dbraw/zinc/72/30/68/1038723068.db2.gz FZCLXOQBKSPWTR-ZDUSSCGKSA-N 0 3 303.352 4.235 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(C(F)(F)F)cc2)ccc1O ZINC001140577700 1038723648 /nfs/dbraw/zinc/72/36/48/1038723648.db2.gz LTLYDOZRBZRMFW-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cn1cc[nH]c1=S ZINC000173366457 1038724041 /nfs/dbraw/zinc/72/40/41/1038724041.db2.gz SITDWDCNUGDNIS-MRXNPFEDSA-N 0 3 303.475 4.155 20 0 DIADHN c1ccc2cc(OCCN[C@@H]3CCCc4cccnc43)ccc2c1 ZINC000684953816 1038738391 /nfs/dbraw/zinc/73/83/91/1038738391.db2.gz MJRJEVLRFNNVHM-HXUWFJFHSA-N 0 3 318.420 4.281 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCCc2cccnc21 ZINC000684954965 1038738782 /nfs/dbraw/zinc/73/87/82/1038738782.db2.gz HWIWIIDUJYGIGT-RTBURBONSA-N 0 3 308.425 4.135 20 0 DIADHN c1ccc2c(c1)cccc2OCCN[C@@H]1CCCc2cccnc21 ZINC000684956155 1038741286 /nfs/dbraw/zinc/74/12/86/1038741286.db2.gz OTZAKJMLRLQNIP-LJQANCHMSA-N 0 3 318.420 4.281 20 0 DIADHN Cc1cccc(CN(C)Cc2cn(C)nc2-c2cccs2)c1 ZINC000342723191 1038742915 /nfs/dbraw/zinc/74/29/15/1038742915.db2.gz OFTYVHOQZKXRCW-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CC(C)c1ccccc1OCCN[C@H]1CCCc2cccnc21 ZINC000684958672 1038743411 /nfs/dbraw/zinc/74/34/11/1038743411.db2.gz SLLRGZWMXUKROD-SFHVURJKSA-N 0 3 310.441 4.251 20 0 DIADHN Cc1csc(CN[C@@H](C)Cc2cccc(C(F)(F)F)c2)n1 ZINC000342724867 1038744484 /nfs/dbraw/zinc/74/44/84/1038744484.db2.gz AHASSLKSEBCPOH-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc(-c3ccncc3)cc2)cnn1C ZINC000153011161 1038756973 /nfs/dbraw/zinc/75/69/73/1038756973.db2.gz WMZCAFDSYIQGFK-CABCVRRESA-N 0 3 320.440 4.202 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)NCc2cc(C)[nH]n2)cc1 ZINC000608062236 1038762947 /nfs/dbraw/zinc/76/29/47/1038762947.db2.gz YFFYFUCYXRCPQP-HNNXBMFYSA-N 0 3 321.424 4.245 20 0 DIADHN Cc1cc(C)cc(CN[C@H](Cc2nccn2C)c2ccccc2)c1 ZINC000608073120 1038765985 /nfs/dbraw/zinc/76/59/85/1038765985.db2.gz NKMDKRWYZSRIKV-HXUWFJFHSA-N 0 3 319.452 4.111 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](C)C2)c(OCc2ccccc2)n1 ZINC001167601853 1038768179 /nfs/dbraw/zinc/76/81/79/1038768179.db2.gz GXHPBQAXLKWEPC-HNNXBMFYSA-N 0 3 310.441 4.119 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CC[C@@H](c2ccccc2)[C@H](CC)C1 ZINC001167602752 1038770032 /nfs/dbraw/zinc/77/00/32/1038770032.db2.gz PFTITWRYFIFYTI-BHIYHBOVSA-N 0 3 317.473 4.234 20 0 DIADHN COc1cc([C@H](C)NCc2ccsc2)ccc1OC(C)C ZINC000075755929 1038785111 /nfs/dbraw/zinc/78/51/11/1038785111.db2.gz OKNLQFDMIONSPY-ZDUSSCGKSA-N 0 3 305.443 4.395 20 0 DIADHN CSc1ccc(OCCN(Cc2ccccn2)C(C)C)cc1 ZINC000075642876 1038811938 /nfs/dbraw/zinc/81/19/38/1038811938.db2.gz MYJDNIIEOMVCSB-UHFFFAOYSA-N 0 3 316.470 4.093 20 0 DIADHN Cc1cc(F)ccc1C[C@@H](C)NCc1csc(C2CC2)n1 ZINC000657559345 1038831165 /nfs/dbraw/zinc/83/11/65/1038831165.db2.gz KHTCEHLMZAGAKN-GFCCVEGCSA-N 0 3 304.434 4.189 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2cccc(Cl)c2C)c1 ZINC000608369933 1038831847 /nfs/dbraw/zinc/83/18/47/1038831847.db2.gz OPHPJDDLYRJOMM-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C)C1 ZINC000076113851 1038831565 /nfs/dbraw/zinc/83/15/65/1038831565.db2.gz SPCJDMWPLFLJRJ-OAGGEKHMSA-N 0 3 302.462 4.033 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC000628144337 1038866349 /nfs/dbraw/zinc/86/63/49/1038866349.db2.gz ZDKHKSDIVGBEPK-INIZCTEOSA-N 0 3 321.424 4.413 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)[C@H](CCO)c1ccccc1 ZINC000608501577 1038868681 /nfs/dbraw/zinc/86/86/81/1038868681.db2.gz LHRUOLYDNHDLBW-QGZVFWFLSA-N 0 3 323.358 4.261 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)[C@@H](CCO)c1ccccc1 ZINC000608501576 1038869004 /nfs/dbraw/zinc/86/90/04/1038869004.db2.gz LHRUOLYDNHDLBW-KRWDZBQOSA-N 0 3 323.358 4.261 20 0 DIADHN OCCC[C@H](NCc1ccccc1C(F)(F)F)c1ccccc1 ZINC000077467704 1038870191 /nfs/dbraw/zinc/87/01/91/1038870191.db2.gz MGGGEDBIQBEOCI-KRWDZBQOSA-N 0 3 323.358 4.309 20 0 DIADHN COc1cc([C@H](C)NCc2ccncc2C)cc2ccccc21 ZINC000934631132 1038892980 /nfs/dbraw/zinc/89/29/80/1038892980.db2.gz RHJKJJNYOBUTLD-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](N(C)CC(=O)Nc1ccc(Cl)cc1Cl)C(C)(C)C ZINC000027132628 1038895281 /nfs/dbraw/zinc/89/52/81/1038895281.db2.gz AFIYQHLQJJOMGA-JTQLQIEISA-N 0 3 317.260 4.298 20 0 DIADHN CCSCCCNCc1csc(-c2ccc(OC)cc2)n1 ZINC000611714464 1038896025 /nfs/dbraw/zinc/89/60/25/1038896025.db2.gz ZNEMLRNCFVYZRH-UHFFFAOYSA-N 0 3 322.499 4.052 20 0 DIADHN COc1cc(CNCc2ccc(C)c(F)c2)ccc1SC ZINC000063903688 1038907184 /nfs/dbraw/zinc/90/71/84/1038907184.db2.gz MHXCYJXCFCCMHJ-UHFFFAOYSA-N 0 3 305.418 4.154 20 0 DIADHN Cc1cc(CN2CC[C@@H]3OCCC[C@H]3C2)cc(C(F)(F)F)c1 ZINC001143447252 1038911040 /nfs/dbraw/zinc/91/10/40/1038911040.db2.gz AQQXFZOPTZUKPI-HOCLYGCPSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)c(C)n1 ZINC000119318557 1038914802 /nfs/dbraw/zinc/91/48/02/1038914802.db2.gz VBPOALDQWVQZGZ-BFUOFWGJSA-N 0 3 313.420 4.425 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC4(CCCC4)C3)co2)cc1 ZINC000174277451 1038922169 /nfs/dbraw/zinc/92/21/69/1038922169.db2.gz PWMZWXMLHQHJGO-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN c1ccc([C@H]2OCC[C@@H]2CNc2ccnc3ccccc32)cc1 ZINC000078357921 1038927481 /nfs/dbraw/zinc/92/74/81/1038927481.db2.gz NQUWLHJFYAUFBJ-OXQOHEQNSA-N 0 3 304.393 4.425 20 0 DIADHN CC(C)(C)OCCCNCc1nc(-c2ccccc2)cs1 ZINC000657638145 1038933640 /nfs/dbraw/zinc/93/36/40/1038933640.db2.gz QQXPCVINMTVIEH-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN CC(C)(C)OCCCNCc1csc(-c2cccs2)n1 ZINC000657638838 1038934411 /nfs/dbraw/zinc/93/44/11/1038934411.db2.gz XVMOJYLALDODCT-UHFFFAOYSA-N 0 3 310.488 4.166 20 0 DIADHN c1cn2c(CN[C@@H]3CCc4ccccc43)c(C3CC3)nc2s1 ZINC000657642865 1038940358 /nfs/dbraw/zinc/94/03/58/1038940358.db2.gz KCSAKBHGDDMYNY-OAHLLOKOSA-N 0 3 309.438 4.050 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3cnc(C4CC4)o3)C2)cc1 ZINC000628160164 1038940501 /nfs/dbraw/zinc/94/05/01/1038940501.db2.gz LPVWWNAJHBLUQG-QGZVFWFLSA-N 0 3 310.441 4.315 20 0 DIADHN C[C@@H](NC1CCN(c2ccc(Cl)cc2)CC1)c1nccs1 ZINC000153357796 1038940775 /nfs/dbraw/zinc/94/07/75/1038940775.db2.gz DKWFZACBLPRHCM-GFCCVEGCSA-N 0 3 321.877 4.116 20 0 DIADHN CCC[C@H](NCc1sc(C)nc1C)c1ccc(OC)cc1 ZINC000119801800 1038948956 /nfs/dbraw/zinc/94/89/56/1038948956.db2.gz BZBSZCNBFSMKOP-INIZCTEOSA-N 0 3 304.459 4.400 20 0 DIADHN C[C@]1(CNCc2cc(Br)ccc2Cl)CC1(F)F ZINC000657663959 1038969856 /nfs/dbraw/zinc/96/98/56/1038969856.db2.gz GVWJPQYHYSEHDP-LLVKDONJSA-N 0 3 324.596 4.237 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2OC(F)F)CC1(F)F ZINC000657670110 1038979287 /nfs/dbraw/zinc/97/92/87/1038979287.db2.gz RRBBUBYGCFJAAX-LBPRGKRZSA-N 0 3 311.706 4.076 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CCC(O)(C(F)(F)F)CC2)c(C)c1 ZINC000534129156 1038988793 /nfs/dbraw/zinc/98/87/93/1038988793.db2.gz XZEJKGYVFIKMGG-CQSZACIVSA-N 0 3 315.379 4.062 20 0 DIADHN c1ccc2nc(N3CCCCC3)c(CN3CC(C4CC4)C3)cc2c1 ZINC000643345090 1039007222 /nfs/dbraw/zinc/00/72/22/1039007222.db2.gz APGANZSZSMJTNS-UHFFFAOYSA-N 0 3 321.468 4.067 20 0 DIADHN Cc1ncc([C@H](C)NCc2cccc(-c3ccccn3)c2)s1 ZINC000090664244 1039008812 /nfs/dbraw/zinc/00/88/12/1039008812.db2.gz OLGYAWUQHYKGIU-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCc3ccc(Cl)cc32)cnn1C ZINC000534237097 1039012431 /nfs/dbraw/zinc/01/24/31/1039012431.db2.gz HKRJSNZJNMSJSG-GTNSWQLSSA-N 0 3 303.837 4.110 20 0 DIADHN c1csc(CN(CCc2ccccc2)Cc2ccncc2)c1 ZINC000078720820 1039013087 /nfs/dbraw/zinc/01/30/87/1039013087.db2.gz KPJIZPCXIWIZPS-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN CC(C)COc1ccc(CCN[C@@H](C)c2cscn2)cc1 ZINC000534329611 1039029786 /nfs/dbraw/zinc/02/97/86/1039029786.db2.gz PUUSLFXPHUYCIL-AWEZNQCLSA-N 0 3 304.459 4.071 20 0 DIADHN CN(Cc1ccc2[nH]cnc2c1)Cc1ccccc1C(F)(F)F ZINC000534333693 1039032185 /nfs/dbraw/zinc/03/21/85/1039032185.db2.gz FMXHQUJJKYETQF-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CN(Cc1ccc2nc[nH]c2c1)Cc1ccccc1C(F)(F)F ZINC000534333693 1039032206 /nfs/dbraw/zinc/03/22/06/1039032206.db2.gz FMXHQUJJKYETQF-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CC(C)(C)c1ccc([C@H]2CCCN2Cc2ccc(CO)o2)cc1 ZINC000534336860 1039037224 /nfs/dbraw/zinc/03/72/24/1039037224.db2.gz PVYRHMYHGOMDEM-LJQANCHMSA-N 0 3 313.441 4.407 20 0 DIADHN C[C@@H](NCc1cccc(COCc2ccco2)c1)c1ccncc1 ZINC000534336091 1039040125 /nfs/dbraw/zinc/04/01/25/1039040125.db2.gz LSJACNQPOJMUDT-MRXNPFEDSA-N 0 3 322.408 4.242 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnc(N2CCCC2)nc1 ZINC000534339584 1039042939 /nfs/dbraw/zinc/04/29/39/1039042939.db2.gz UJOLJAANCWNYAF-LJQANCHMSA-N 0 3 324.472 4.050 20 0 DIADHN Clc1ccc(CN2CC[C@@H](C3CCOCC3)C2)c(Cl)c1 ZINC000534380313 1039049542 /nfs/dbraw/zinc/04/95/42/1039049542.db2.gz GMOMIPOFWZBIRE-CYBMUJFWSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1cc(-c2noc([C@H]3CCCN3C3CCCC3)n2)c(C)o1 ZINC000277994773 1039055917 /nfs/dbraw/zinc/05/59/17/1039055917.db2.gz PGDLTKULHYHLIR-OAHLLOKOSA-N 0 3 301.390 4.026 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2ccc(Cl)cc2Cl)o1 ZINC000161471208 1039058874 /nfs/dbraw/zinc/05/88/74/1039058874.db2.gz HFRDCKCTQMJZCV-QMTHXVAHSA-N 0 3 314.212 4.279 20 0 DIADHN N[C@@H](CC(=O)Nc1cccc(OC2CCCC2)c1)c1ccccc1 ZINC000237910314 1039060871 /nfs/dbraw/zinc/06/08/71/1039060871.db2.gz YEYPJXYIWLLMQR-IBGZPJMESA-N 0 3 324.424 4.037 20 0 DIADHN CCOC1CCN(Cc2ccccc2OC2CCCC2)CC1 ZINC000091676568 1039100072 /nfs/dbraw/zinc/10/00/72/1039100072.db2.gz XNPWQAVZGHGFNX-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN O[C@@H](CN(Cc1ccco1)C1CCCC1)c1c(F)cccc1F ZINC000052077280 1039110451 /nfs/dbraw/zinc/11/04/51/1039110451.db2.gz IUFMSWDSRCPZFN-KRWDZBQOSA-N 0 3 321.367 4.036 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCC(C)(C)CC3)o2)cc1 ZINC000525636094 1039124300 /nfs/dbraw/zinc/12/43/00/1039124300.db2.gz FLOSUBSTOLCHFZ-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1ccc(CN2CCC(c3c[nH]c4cc(F)ccc43)CC2)cn1 ZINC000153807179 1039129017 /nfs/dbraw/zinc/12/90/17/1039129017.db2.gz SIVDOUDDCJPLSO-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cccc(C)c1Oc1ccccc1NC(=O)[C@@H](N)C(C)C ZINC000457384066 1039135971 /nfs/dbraw/zinc/13/59/71/1039135971.db2.gz ZUQWIZNVOIPFBU-KRWDZBQOSA-N 0 3 312.413 4.018 20 0 DIADHN Cn1cc(CN[C@@H]2CCCc3sccc32)c(-c2ccccc2)n1 ZINC000123647076 1039162417 /nfs/dbraw/zinc/16/24/17/1039162417.db2.gz GYZSWSTYTWEQDX-QGZVFWFLSA-N 0 3 323.465 4.316 20 0 DIADHN COc1ccc(CNCc2ccc(C3CC3)cc2)cc1OC1CC1 ZINC000623506526 1039176706 /nfs/dbraw/zinc/17/67/06/1039176706.db2.gz YLCNAGQWDWLWPY-UHFFFAOYSA-N 0 3 323.436 4.404 20 0 DIADHN CC1(C)[C@H](CNCc2ccc(-c3ccncc3)cc2F)C1(F)F ZINC000657736864 1039177490 /nfs/dbraw/zinc/17/74/90/1039177490.db2.gz GHSZXUMGQVIORT-INIZCTEOSA-N 0 3 320.358 4.269 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cccc2cccnc21)c1ccccc1 ZINC000657741216 1039201144 /nfs/dbraw/zinc/20/11/44/1039201144.db2.gz FOGGSKWPQLXNJJ-NHCUHLMSSA-N 0 3 320.436 4.083 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000657743596 1039210754 /nfs/dbraw/zinc/21/07/54/1039210754.db2.gz BQOBCPYCKNWKEE-WOJBJXKFSA-N 0 3 309.409 4.281 20 0 DIADHN COCc1cccc(CN(C)Cc2cccc(OC(C)C)c2)c1 ZINC000535967321 1039215573 /nfs/dbraw/zinc/21/55/73/1039215573.db2.gz NPQXDEAJYDAVND-UHFFFAOYSA-N 0 3 313.441 4.252 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@H]1CCc3ccc(F)cc31)CC2 ZINC000535967697 1039215875 /nfs/dbraw/zinc/21/58/75/1039215875.db2.gz YKZCXBMFVHMFAF-NRFANRHFSA-N 0 3 323.411 4.116 20 0 DIADHN C[C@H](NCc1ncc(C(F)(F)F)s1)C1CCC(F)CC1 ZINC000657744226 1039223015 /nfs/dbraw/zinc/22/30/15/1039223015.db2.gz NGWQXHWRWCUHNW-IDKOKCKLSA-N 0 3 310.360 4.168 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@H](O)C(C)C)cc21 ZINC000657744013 1039225465 /nfs/dbraw/zinc/22/54/65/1039225465.db2.gz CLTSITQJZMGTFH-LEWJYISDSA-N 0 3 322.452 4.324 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cc2ccccc2[nH]1)c1ccccc1 ZINC000657746204 1039239679 /nfs/dbraw/zinc/23/96/79/1039239679.db2.gz KWRMGWSTWYYHMA-UXHICEINSA-N 0 3 308.425 4.016 20 0 DIADHN c1sc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)nc1-c1ccccc1 ZINC000569626082 1039239765 /nfs/dbraw/zinc/23/97/65/1039239765.db2.gz VIDRRHYVOPKVOM-LSTHTHJFSA-N 0 3 318.445 4.238 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cc2ccccc2[nH]1)c1ccccc1 ZINC000657746206 1039240125 /nfs/dbraw/zinc/24/01/25/1039240125.db2.gz KWRMGWSTWYYHMA-WOJBJXKFSA-N 0 3 308.425 4.016 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3ccccc3)[C@H](O)C(C)C)[nH]c2c1 ZINC000657746758 1039241439 /nfs/dbraw/zinc/24/14/39/1039241439.db2.gz NNBHWMHKAZWMIZ-NHCUHLMSSA-N 0 3 322.452 4.324 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCSCc2ccccc2)on1 ZINC000535583667 1039247307 /nfs/dbraw/zinc/24/73/07/1039247307.db2.gz UPWWVZSHNZCFFR-QGZVFWFLSA-N 0 3 316.470 4.443 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1coc2ccccc12)c1ccccc1 ZINC000657749107 1039270307 /nfs/dbraw/zinc/27/03/07/1039270307.db2.gz YDTBFQSIHNIKOH-VQTJNVASSA-N 0 3 309.409 4.281 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCO2)c2ccc(Cl)cc2)cc1 ZINC000491259938 1039277837 /nfs/dbraw/zinc/27/78/37/1039277837.db2.gz MYPLVGCVDVNILW-MSOLQXFVSA-N 0 3 319.807 4.337 20 0 DIADHN CC(C)[C@H](NCc1cccc(CO)c1)c1cc2ccccc2o1 ZINC000539547443 1039287050 /nfs/dbraw/zinc/28/70/50/1039287050.db2.gz PRMNVTGVQCAQHU-FQEVSTJZSA-N 0 3 309.409 4.412 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccccc1)c1cccc(F)c1F ZINC000539558020 1039288231 /nfs/dbraw/zinc/28/82/31/1039288231.db2.gz SNBFFCJKRQKQNN-SOVGHPHASA-N 0 3 316.395 4.061 20 0 DIADHN C[C@@H](NC[C@H](c1cccc(F)c1)N(C)C)c1cccc(F)c1F ZINC000539551956 1039290584 /nfs/dbraw/zinc/29/05/84/1039290584.db2.gz BGMUTRTVOTWEFQ-SJKOYZFVSA-N 0 3 322.374 4.057 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2csc(-c3cccs3)n2)C1(C)C ZINC000539587727 1039296748 /nfs/dbraw/zinc/29/67/48/1039296748.db2.gz FMKCDIFPHRMJAL-ZLKJLUDKSA-N 0 3 322.499 4.021 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000126465370 1039301366 /nfs/dbraw/zinc/30/13/66/1039301366.db2.gz LEANJUUMZZLOSE-UTLUCORTSA-N 0 3 303.269 4.135 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCO[C@@H](CC(C)C)C1 ZINC000539634749 1039303279 /nfs/dbraw/zinc/30/32/79/1039303279.db2.gz XKJSUPINPDHJAQ-KGLIPLIRSA-N 0 3 311.853 4.032 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)CN(C2CC2)C3=O)ccn1 ZINC001213168721 1039303646 /nfs/dbraw/zinc/30/36/46/1039303646.db2.gz QNIALPSIUSRHKX-UHFFFAOYSA-N 0 3 321.424 4.241 20 0 DIADHN CCCCNC(=O)CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462473580 1039308271 /nfs/dbraw/zinc/30/82/71/1039308271.db2.gz KWFWBSFBCYWZDA-ZDUSSCGKSA-N 0 3 318.848 4.060 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CC1)c1ccc(-n2ccnc2)cc1 ZINC000539656597 1039311760 /nfs/dbraw/zinc/31/17/60/1039311760.db2.gz DFUBXTYHVYGKES-HNNXBMFYSA-N 0 3 321.399 4.351 20 0 DIADHN Cc1ccc([C@H](NCc2cccc3c2OCO3)C2CCC2)cc1 ZINC000427617269 1039320249 /nfs/dbraw/zinc/32/02/49/1039320249.db2.gz FETWGQMWYBBNRE-LJQANCHMSA-N 0 3 309.409 4.355 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@@]2(CCCOC2)C1 ZINC000539819991 1039347773 /nfs/dbraw/zinc/34/77/73/1039347773.db2.gz VLUMIKDPDUXEAW-GOSISDBHSA-N 0 3 319.832 4.479 20 0 DIADHN CC1(C)CN(Cc2c[nH]nc2-c2cccc(Cl)c2)CCS1 ZINC000539827780 1039358827 /nfs/dbraw/zinc/35/88/27/1039358827.db2.gz ZTIQXGBIYFBUKH-UHFFFAOYSA-N 0 3 321.877 4.058 20 0 DIADHN CCc1ncc(CN(CCc2ccc(F)cc2)C2CC2)s1 ZINC000539840180 1039365932 /nfs/dbraw/zinc/36/59/32/1039365932.db2.gz AYIDGAFXWBJPKL-UHFFFAOYSA-N 0 3 304.434 4.052 20 0 DIADHN CCOc1ccc2c(c1)CCN(Cc1cccc3[nH]ccc31)C2 ZINC000539849570 1039368778 /nfs/dbraw/zinc/36/87/78/1039368778.db2.gz DYLGTCKMZUMTDT-UHFFFAOYSA-N 0 3 306.409 4.125 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1c(F)c(F)cc(F)c1F ZINC000669848253 1039377890 /nfs/dbraw/zinc/37/78/90/1039377890.db2.gz VGTCKZRHMVPPCG-RNCFNFMXSA-N 0 3 307.306 4.251 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(OC(C)C)cc2)cc1C ZINC000728571076 1039382682 /nfs/dbraw/zinc/38/26/82/1039382682.db2.gz BCXHMFWVPSDHEV-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN COc1cc2c(cc1OC)[C@H](N[C@@H](C)c1ccsc1)CC2 ZINC000669850657 1039383311 /nfs/dbraw/zinc/38/33/11/1039383311.db2.gz DGYUDVDYZTYSAF-XHDPSFHLSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1nccc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)n1 ZINC000661211694 1039394438 /nfs/dbraw/zinc/39/44/38/1039394438.db2.gz ULOGSOICGWOYBJ-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN COCCOc1ccc([C@@H](C)N[C@H](C)c2ccsc2)cc1 ZINC000669851272 1039394656 /nfs/dbraw/zinc/39/46/56/1039394656.db2.gz ZSHMEQQBZSMRNK-ZIAGYGMSSA-N 0 3 305.443 4.185 20 0 DIADHN OC1(CNCc2c(Cl)cccc2Cl)CCC(F)(F)CC1 ZINC000657775723 1039402334 /nfs/dbraw/zinc/40/23/34/1039402334.db2.gz IIRYHJPSDPGFJS-UHFFFAOYSA-N 0 3 324.198 4.023 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2ccc(F)c(F)c2)cc1C ZINC000728572197 1039405575 /nfs/dbraw/zinc/40/55/75/1039405575.db2.gz YENSQIZWAZRJLZ-CYBMUJFWSA-N 0 3 305.368 4.475 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)CN2CCC[C@H]2C2CC2)cc1 ZINC000728572194 1039405751 /nfs/dbraw/zinc/40/57/51/1039405751.db2.gz XWBUDQQOOZNLBX-KSSFIOAISA-N 0 3 300.446 4.013 20 0 DIADHN Cc1cc(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)cc(C(F)(F)F)c1 ZINC001143449627 1039408291 /nfs/dbraw/zinc/40/82/91/1039408291.db2.gz AOZVONZCGNHKHY-DUVNUKRYSA-N 0 3 313.363 4.013 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](C)C3(CCC3)C2)c(C)c1 ZINC000540045734 1039408710 /nfs/dbraw/zinc/40/87/10/1039408710.db2.gz WJJVATSPEFTJQY-IAGOWNOFSA-N 0 3 314.473 4.143 20 0 DIADHN CSc1cc(CNCc2ccc(CC(C)C)cc2)ccn1 ZINC000540066042 1039409836 /nfs/dbraw/zinc/40/98/36/1039409836.db2.gz BQAVJVCNYLULMF-UHFFFAOYSA-N 0 3 300.471 4.292 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@@]3(CCCc4ccccc43)C2)o1 ZINC000540052639 1039410579 /nfs/dbraw/zinc/41/05/79/1039410579.db2.gz DHUYFGAQCVESPP-UZLBHIALSA-N 0 3 310.441 4.414 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccc(Cl)cc3)o2)CCCOC1 ZINC000540068560 1039412050 /nfs/dbraw/zinc/41/20/50/1039412050.db2.gz NTSCGGRDBWPJKV-KRWDZBQOSA-N 0 3 305.805 4.259 20 0 DIADHN CC(C)c1ncc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000540069268 1039412309 /nfs/dbraw/zinc/41/23/09/1039412309.db2.gz RTZSODYFHTVLRD-RDJZCZTQSA-N 0 3 316.470 4.134 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)NCc2ccccc2Cl)cc1 ZINC000540065963 1039412725 /nfs/dbraw/zinc/41/27/25/1039412725.db2.gz ARUKEVXTNIEWOJ-SCLBCKFNSA-N 0 3 319.832 4.214 20 0 DIADHN COc1cccc(C2(NCc3ccc4[nH]ccc4c3)CCC2)c1 ZINC000657778874 1039417387 /nfs/dbraw/zinc/41/73/87/1039417387.db2.gz MEGJNFLKVPNYOR-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](C)c1ccc(OC(C)C)cc1 ZINC000278372016 1039423199 /nfs/dbraw/zinc/42/31/99/1039423199.db2.gz KPJCFQNOSVVALT-CYBMUJFWSA-N 0 3 302.393 4.167 20 0 DIADHN C[C@H](NCc1nc(C2CC2)cs1)C(C)(C)c1ccccc1 ZINC000540158651 1039429120 /nfs/dbraw/zinc/42/91/20/1039429120.db2.gz SCCBMSWFLXEKQX-ZDUSSCGKSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](NCCOc1ccc(C(C)(C)C)cc1)c1cncs1 ZINC000540172546 1039436714 /nfs/dbraw/zinc/43/67/14/1039436714.db2.gz LZGBCYYDSAPNGT-CYBMUJFWSA-N 0 3 304.459 4.170 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3cc(C)ccc32)c(C)c1 ZINC000540164837 1039439471 /nfs/dbraw/zinc/43/94/71/1039439471.db2.gz NYHYWCUHDOYTLR-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN C[C@H](NCCOc1cccc(Cl)c1Cl)c1ccccn1 ZINC000540188174 1039443119 /nfs/dbraw/zinc/44/31/19/1039443119.db2.gz GYXYSRBLPBSTAI-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN C[C@H](N[C@@H](CO)c1cccc(F)c1)c1cccc2ccccc21 ZINC000540183572 1039446950 /nfs/dbraw/zinc/44/69/50/1039446950.db2.gz ITFGPYREDVWZOS-XOBRGWDASA-N 0 3 309.384 4.363 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@@H](OC)c1cccc(Cl)c1 ZINC000540186687 1039447194 /nfs/dbraw/zinc/44/71/94/1039447194.db2.gz IKPMHUYGHCPHPO-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CC(C)(C)c2ccccc21 ZINC000540222240 1039460951 /nfs/dbraw/zinc/46/09/51/1039460951.db2.gz NRIDUXWPCFBNFF-HZPDHXFCSA-N 0 3 301.455 4.102 20 0 DIADHN C[C@H](NCc1cnn(C)c1)c1ccc(-c2ccccc2F)s1 ZINC000151148055 1039462694 /nfs/dbraw/zinc/46/26/94/1039462694.db2.gz PHXMLBOTSBFWLD-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN CC[C@H](NCc1cnn(C)c1)c1ccc(Oc2ccccc2)cc1 ZINC000151150182 1039462726 /nfs/dbraw/zinc/46/27/26/1039462726.db2.gz UKBWMZRQAPEBOD-FQEVSTJZSA-N 0 3 321.424 4.453 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000562059373 1039464116 /nfs/dbraw/zinc/46/41/16/1039464116.db2.gz MOJFCBZHQVBXLI-MOPGFXCFSA-N 0 3 318.411 4.308 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1ccc(N2CCCC2)nc1 ZINC000657796791 1039467600 /nfs/dbraw/zinc/46/76/00/1039467600.db2.gz IOQMCPQLTCXIGW-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN c1cnn(-c2cccc(CN(Cc3cccs3)C3CC3)c2)c1 ZINC000349946204 1039483644 /nfs/dbraw/zinc/48/36/44/1039483644.db2.gz RQRSRXSOIUZLNM-UHFFFAOYSA-N 0 3 309.438 4.098 20 0 DIADHN COc1cccc(CN[C@@H]2CCCc3c(C)cccc32)c1OC ZINC000657799835 1039489247 /nfs/dbraw/zinc/48/92/47/1039489247.db2.gz ZGKFNFTVQONHJT-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN COc1cccc(CNCc2ccc(SC)c(OC)c2)c1C ZINC000657804434 1039499997 /nfs/dbraw/zinc/49/99/97/1039499997.db2.gz JXYSPLJGEAZVNI-UHFFFAOYSA-N 0 3 317.454 4.024 20 0 DIADHN CCCN(Cc1cccc2nccn21)[C@H](C)c1ccccc1OC ZINC000540506798 1039506289 /nfs/dbraw/zinc/50/62/89/1039506289.db2.gz YSJBERIUZKAJTN-MRXNPFEDSA-N 0 3 323.440 4.316 20 0 DIADHN COc1ccc2cc(CN[C@H](c3cccnc3)C3CC3)ccc2c1 ZINC000536164021 1039508585 /nfs/dbraw/zinc/50/85/85/1039508585.db2.gz YVHXPLFAPZKKFC-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN CC(C)COc1ccc(CN[C@H](c2cccnc2)C2CC2)cc1 ZINC000536166181 1039516661 /nfs/dbraw/zinc/51/66/61/1039516661.db2.gz QQJASUBCOKLKKD-FQEVSTJZSA-N 0 3 310.441 4.357 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccccc2C)C2CC2)s1 ZINC000540591554 1039519563 /nfs/dbraw/zinc/51/95/63/1039519563.db2.gz IUGSKQUOYYHMTJ-QGZVFWFLSA-N 0 3 315.438 4.084 20 0 DIADHN CC(C)n1ccc(C[C@H](C)NC2(c3ccccc3Cl)CC2)n1 ZINC000647315430 1039519659 /nfs/dbraw/zinc/51/96/59/1039519659.db2.gz BWZDYNBEDCYONZ-AWEZNQCLSA-N 0 3 317.864 4.327 20 0 DIADHN CC1(C)[C@H](NCc2c(Cl)cccc2Cl)[C@H]2CCCO[C@@H]21 ZINC000540590953 1039520969 /nfs/dbraw/zinc/52/09/69/1039520969.db2.gz AXILAADZGYOIIL-KMUNFCNLSA-N 0 3 314.256 4.287 20 0 DIADHN FC(F)C(F)(F)COCCN1CCCC[C@@H]1c1ccccc1 ZINC000469794957 1039521556 /nfs/dbraw/zinc/52/15/56/1039521556.db2.gz XNYUZYYWYQWGRT-CQSZACIVSA-N 0 3 319.342 4.131 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@@H](C(C)(C)C)CC2)cs1 ZINC000564418424 1039522648 /nfs/dbraw/zinc/52/26/48/1039522648.db2.gz DPDUSVSMOCDLFX-KGLIPLIRSA-N 0 3 310.507 4.374 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2ccccc2)c2ccncc2)C[C@H]1OC ZINC000540597892 1039524010 /nfs/dbraw/zinc/52/40/10/1039524010.db2.gz WAVXXSNDNOSONN-AQNXPRMDSA-N 0 3 324.468 4.354 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@H](OC)c1ccccc1F ZINC000540607671 1039527597 /nfs/dbraw/zinc/52/75/97/1039527597.db2.gz UCKVONFOKYVRCA-KSMMKXTCSA-N 0 3 317.404 4.261 20 0 DIADHN Fc1ccc(CN[C@@H](c2cccnc2)C2CC2)c(C(F)(F)F)c1 ZINC000540619851 1039529439 /nfs/dbraw/zinc/52/94/39/1039529439.db2.gz RYIOPUZZROQJGX-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN Fc1ccc(CN[C@H](c2cccnc2)C2CC2)c(C(F)(F)F)c1 ZINC000540619850 1039530701 /nfs/dbraw/zinc/53/07/01/1039530701.db2.gz RYIOPUZZROQJGX-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cccc(F)c1F)c1ccco1 ZINC000540645722 1039537319 /nfs/dbraw/zinc/53/73/19/1039537319.db2.gz GOCHVUNXZUJGEC-BBRMVZONSA-N 0 3 322.399 4.292 20 0 DIADHN Cc1cscc1NC(=O)c1oc2ccccc2c1CN(C)C ZINC000151282844 1039537674 /nfs/dbraw/zinc/53/76/74/1039537674.db2.gz MXRHCIAJKLOANE-UHFFFAOYSA-N 0 3 314.410 4.117 20 0 DIADHN C[C@@H](O)[C@H](NCc1ccc(C(C)(C)C)s1)c1ccccc1 ZINC000657813996 1039541552 /nfs/dbraw/zinc/54/15/52/1039541552.db2.gz CBRSTMCNPKKVDG-DYVFJYSZSA-N 0 3 303.471 4.257 20 0 DIADHN C[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cn1)CC(F)F ZINC000647318972 1039557924 /nfs/dbraw/zinc/55/79/24/1039557924.db2.gz HFFRPIKRUGALIG-SJCJKPOMSA-N 0 3 308.347 4.191 20 0 DIADHN COC[C@H](NC[C@@H](C)CC(F)F)c1cccc(Cl)c1F ZINC000647319549 1039565939 /nfs/dbraw/zinc/56/59/39/1039565939.db2.gz CFBNUGPIQYHVKQ-CABZTGNLSA-N 0 3 309.759 4.048 20 0 DIADHN CCOC1CCC(N[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000657820826 1039574722 /nfs/dbraw/zinc/57/47/22/1039574722.db2.gz KOVJUZHKXLULKS-QLOJAFMTSA-N 0 3 310.441 4.108 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3COC4(CCC4)C3)oc21 ZINC000657824660 1039587469 /nfs/dbraw/zinc/58/74/69/1039587469.db2.gz SJAPLWXOEFXBQW-ZFWWWQNUSA-N 0 3 315.413 4.194 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000584077218 1039592985 /nfs/dbraw/zinc/59/29/85/1039592985.db2.gz FKPUKAZQODQNJW-LBPRGKRZSA-N 0 3 319.836 4.258 20 0 DIADHN Cl/C=C/CNC1(c2ccc(Br)cc2)CCC1 ZINC000309559867 1039603389 /nfs/dbraw/zinc/60/33/89/1039603389.db2.gz IYSXIFHTXPFXQF-XNWCZRBMSA-N 0 3 300.627 4.170 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1cc(Cl)cc(Cl)c1 ZINC000647322778 1039604689 /nfs/dbraw/zinc/60/46/89/1039604689.db2.gz MOWWMBUGLOENFL-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN OCc1ccc(CN[C@@H]2CCSc3c(Cl)cccc32)cc1 ZINC000647322662 1039605529 /nfs/dbraw/zinc/60/55/29/1039605529.db2.gz FXFCOWMMMRNOKF-MRXNPFEDSA-N 0 3 319.857 4.159 20 0 DIADHN CCCCN(CCCC)Cc1nnc(-c2ccccc2OC)o1 ZINC000158949020 1039608286 /nfs/dbraw/zinc/60/82/86/1039608286.db2.gz HBFAPPNOFNKSEJ-UHFFFAOYSA-N 0 3 317.433 4.147 20 0 DIADHN CCSc1ccccc1[C@H](C)NCc1ccc(CO)cc1 ZINC000647322863 1039609108 /nfs/dbraw/zinc/60/91/08/1039609108.db2.gz RPARJJUMAADNKE-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN OCc1ccc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)cc1 ZINC000647322819 1039609602 /nfs/dbraw/zinc/60/96/02/1039609602.db2.gz ORPXTDGJRSVNIJ-MRXNPFEDSA-N 0 3 322.235 4.263 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@]3(CC[C@@H](C)C3)C2)c1C ZINC000541024298 1039611239 /nfs/dbraw/zinc/61/12/39/1039611239.db2.gz MGOYVHBVUSUVLH-LIVBEALHSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2)no1)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000541020856 1039614122 /nfs/dbraw/zinc/61/41/22/1039614122.db2.gz KQUQFPFNVZKSQQ-ZRGWGRIASA-N 0 3 311.429 4.310 20 0 DIADHN CC1(C)CN(Cc2ccc(C(F)(F)F)cc2)[C@@H]1[C@@H]1CCCO1 ZINC000566884534 1039621206 /nfs/dbraw/zinc/62/12/06/1039621206.db2.gz OBSQMFADEMHKNP-LSDHHAIUSA-N 0 3 313.363 4.095 20 0 DIADHN C[C@@H](NC1CCC(C2CCC2)CC1)c1nnc2n1CCCCC2 ZINC000657830828 1039621704 /nfs/dbraw/zinc/62/17/04/1039621704.db2.gz PBYXMTNGAFNGIL-ODIFPOPNSA-N 0 3 316.493 4.014 20 0 DIADHN C[C@H](NC1CCC(C2CCC2)CC1)c1nnc2n1CCCCC2 ZINC000657830829 1039621785 /nfs/dbraw/zinc/62/17/85/1039621785.db2.gz PBYXMTNGAFNGIL-OOHWJJMZSA-N 0 3 316.493 4.014 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)N[C@H](C)c2ccc(F)cc2F)n1 ZINC000541215358 1039637899 /nfs/dbraw/zinc/63/78/99/1039637899.db2.gz XLUJLMVYJXTLJP-CHWSQXEVSA-N 0 3 307.388 4.024 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@@H](C)C1 ZINC000248558326 1039640194 /nfs/dbraw/zinc/64/01/94/1039640194.db2.gz LVHROFVLXNWHEU-TYNCELHUSA-N 0 3 319.836 4.304 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000541321480 1039665540 /nfs/dbraw/zinc/66/55/40/1039665540.db2.gz XDPSKRRIJKOYEL-DLBZAZTESA-N 0 3 314.473 4.425 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2CO)c2ccccc12 ZINC000151783669 1039666212 /nfs/dbraw/zinc/66/62/12/1039666212.db2.gz CDISVBXBTONHIO-HNNXBMFYSA-N 0 3 321.420 4.192 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN(C)C[C@H]1CC=CCC1 ZINC000541325244 1039666594 /nfs/dbraw/zinc/66/65/94/1039666594.db2.gz PLVUNMZPWCQNSC-AWEZNQCLSA-N 0 3 302.443 4.500 20 0 DIADHN CC[C@@H](NCCSCc1ccccc1F)c1ccc(F)cn1 ZINC000491317524 1039673759 /nfs/dbraw/zinc/67/37/59/1039673759.db2.gz UNVKBRXUYZYJJO-MRXNPFEDSA-N 0 3 322.424 4.334 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4cc(F)ccc4o3)C2)cc1 ZINC000541392021 1039677479 /nfs/dbraw/zinc/67/74/79/1039677479.db2.gz DRIAIVDXMHDFEL-OAHLLOKOSA-N 0 3 310.372 4.265 20 0 DIADHN CSC1(CNCc2coc(-c3ccc(C)cc3)n2)CCC1 ZINC000278729025 1039677670 /nfs/dbraw/zinc/67/76/70/1039677670.db2.gz UDEOXERZHRTBRU-UHFFFAOYSA-N 0 3 302.443 4.025 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N(C)Cc1cccc(O)c1 ZINC000080924010 1039689106 /nfs/dbraw/zinc/68/91/06/1039689106.db2.gz HZUDIMAQFQMYTI-GFCCVEGCSA-N 0 3 307.340 4.187 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000080973119 1039693800 /nfs/dbraw/zinc/69/38/00/1039693800.db2.gz YDMKYCVTSCZJSI-YPMHNXCESA-N 0 3 307.800 4.143 20 0 DIADHN C[C@@H](CN[C@@H](c1ccco1)c1ccccc1)N1CCC[C@@H](C)C1 ZINC000541546834 1039696345 /nfs/dbraw/zinc/69/63/45/1039696345.db2.gz VSFHMJXERHFJSA-FUHIMQAGSA-N 0 3 312.457 4.079 20 0 DIADHN Cc1cc(CN(Cc2ccccn2)C(C)C)cc2cccnc12 ZINC000541536312 1039696748 /nfs/dbraw/zinc/69/67/48/1039696748.db2.gz LRSHGCVCCJCQJB-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657850661 1039700529 /nfs/dbraw/zinc/70/05/29/1039700529.db2.gz JYAXAPKRVFBWGU-GFCCVEGCSA-N 0 3 306.356 4.269 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2)c(C)s1 ZINC000541582551 1039705044 /nfs/dbraw/zinc/70/50/44/1039705044.db2.gz SNKIVSYHBDXEIU-KGLIPLIRSA-N 0 3 316.470 4.351 20 0 DIADHN CCc1ccccc1CNCc1cnc(C)n1-c1ccccc1 ZINC000081292728 1039710087 /nfs/dbraw/zinc/71/00/87/1039710087.db2.gz PKHOLPRJCBDEBJ-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN CC[C@H](NCCCOc1ccc(Cl)cc1)c1nccs1 ZINC000541593772 1039711093 /nfs/dbraw/zinc/71/10/93/1039711093.db2.gz ZVUKNGSTIOMXKN-AWEZNQCLSA-N 0 3 310.850 4.306 20 0 DIADHN COc1ccc(CN[C@@H](Cc2ccccc2)c2cccs2)cn1 ZINC000541596187 1039711205 /nfs/dbraw/zinc/71/12/05/1039711205.db2.gz LDEVMFKOCWOQMK-KRWDZBQOSA-N 0 3 324.449 4.225 20 0 DIADHN COC[C@H](NCCc1ccc2ccccc2c1)c1ccc(C)o1 ZINC000541629975 1039718173 /nfs/dbraw/zinc/71/81/73/1039718173.db2.gz RRTDLGKMVQGVEJ-IBGZPJMESA-N 0 3 309.409 4.261 20 0 DIADHN Clc1cc(CN2CCC[C@H]3CCC[C@@H]32)cc2c1OCCCO2 ZINC000567012282 1039719678 /nfs/dbraw/zinc/71/96/78/1039719678.db2.gz UQMLWZSLVOACLO-ZBFHGGJFSA-N 0 3 321.848 4.266 20 0 DIADHN Cc1c([C@H](C)NCc2c(C)cc(C(C)(C)C)cc2C)cnn1C ZINC000541657600 1039727229 /nfs/dbraw/zinc/72/72/29/1039727229.db2.gz HIJIOQNRHFLZRC-HNNXBMFYSA-N 0 3 313.489 4.494 20 0 DIADHN OC[C@H](N[C@@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000541731881 1039734037 /nfs/dbraw/zinc/73/40/37/1039734037.db2.gz BYQQSMRJBGKZQD-MSOLQXFVSA-N 0 3 301.817 4.041 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(Cl)c(C)c1)CC2 ZINC000541769173 1039744110 /nfs/dbraw/zinc/74/41/10/1039744110.db2.gz YPYUQGMXVWWXLJ-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ccn(-c2ccc(F)cc2)n1 ZINC000464734450 1039748382 /nfs/dbraw/zinc/74/83/82/1039748382.db2.gz ITTVMZWCPOELLG-KRWDZBQOSA-N 0 3 301.409 4.022 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)no1 ZINC000541844558 1039748852 /nfs/dbraw/zinc/74/88/52/1039748852.db2.gz MTNMPGJRHZYNCR-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN CC(C)[C@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(F)cc1 ZINC000085298813 1039755274 /nfs/dbraw/zinc/75/52/74/1039755274.db2.gz NPOBHCYXAQIQAZ-AVRDEDQJSA-N 0 3 319.445 4.050 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2cc[nH]c2)cc1OC ZINC000086251214 1039759148 /nfs/dbraw/zinc/75/91/48/1039759148.db2.gz SLASEHFWGOHFHE-CQSZACIVSA-N 0 3 302.418 4.053 20 0 DIADHN C[C@@H](NCCOc1cccc2ccccc21)c1ccc(F)cn1 ZINC000092741625 1039765054 /nfs/dbraw/zinc/76/50/54/1039765054.db2.gz BILJLLBSWAJZIY-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN Cl/C=C\CNC1(c2cccc(Br)c2)CCC1 ZINC000309598718 1039766531 /nfs/dbraw/zinc/76/65/31/1039766531.db2.gz VPZNMEVPKOBVNV-BAQGIRSFSA-N 0 3 300.627 4.170 20 0 DIADHN C[C@H](O)[C@@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC000136821767 1039773843 /nfs/dbraw/zinc/77/38/43/1039773843.db2.gz WGBIVXAAUREYMJ-DZGCQCFKSA-N 0 3 319.445 4.147 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@H]2C)c(OC)c1 ZINC000089597834 1039776222 /nfs/dbraw/zinc/77/62/22/1039776222.db2.gz XPAQSVIMCBWJGA-LAPQFRIASA-N 0 3 311.425 4.288 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552719 1039785728 /nfs/dbraw/zinc/78/57/28/1039785728.db2.gz OZKMNXVKBPXFHR-LSDHHAIUSA-N 0 3 316.445 4.499 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H]2CCc3ccc(F)cc32)s1 ZINC000089552359 1039786477 /nfs/dbraw/zinc/78/64/77/1039786477.db2.gz KOUDLUDBQFXAKZ-BMIGLBTASA-N 0 3 304.434 4.491 20 0 DIADHN C[C@@H]1CN(Cc2ncc(-c3cccs3)o2)[C@H]2CCCC[C@H]12 ZINC000542050990 1039787631 /nfs/dbraw/zinc/78/76/31/1039787631.db2.gz GKGSYUKBBYGVDZ-MCIONIFRSA-N 0 3 302.443 4.414 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)N[C@H](C)Cc1ccsc1 ZINC000090036991 1039788483 /nfs/dbraw/zinc/78/84/83/1039788483.db2.gz JIISQJIGJYTXNN-KGLIPLIRSA-N 0 3 313.470 4.400 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1nc(-c2ccncc2)cs1 ZINC000090045856 1039788770 /nfs/dbraw/zinc/78/87/70/1039788770.db2.gz DHRUGAZYLOTXFG-UKRRQHHQSA-N 0 3 319.474 4.061 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1ccc(F)cn1 ZINC000090035217 1039789127 /nfs/dbraw/zinc/78/91/27/1039789127.db2.gz RTINOMWSUNTDHP-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@@H]3CCCn4nccc43)ccc2c1 ZINC000657860658 1039790145 /nfs/dbraw/zinc/79/01/45/1039790145.db2.gz BOABLNUDYDVTIY-AUUYWEPGSA-N 0 3 321.424 4.231 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000090218740 1039793100 /nfs/dbraw/zinc/79/31/00/1039793100.db2.gz NIHIYHPFVZSEBF-HOCLYGCPSA-N 0 3 302.393 4.037 20 0 DIADHN CCC[C@@H](NCCNc1ccc2ccccc2n1)c1ccccn1 ZINC000090229650 1039795549 /nfs/dbraw/zinc/79/55/49/1039795549.db2.gz NGEAISCMFZWOFN-GOSISDBHSA-N 0 3 320.440 4.173 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(F)cn1)Oc1ccccc1C ZINC000090218939 1039795844 /nfs/dbraw/zinc/79/58/44/1039795844.db2.gz UYUMMHWYKQSAEF-GDBMZVCRSA-N 0 3 302.393 4.037 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCn3nccc32)C2CCCC2)cc1 ZINC000657859881 1039802850 /nfs/dbraw/zinc/80/28/50/1039802850.db2.gz VGGDARPQMLIOLN-MJGOQNOKSA-N 0 3 313.420 4.378 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](CC)c2ccc(F)cc2)cc1 ZINC000090844429 1039818663 /nfs/dbraw/zinc/81/86/63/1039818663.db2.gz WBMCRKUHDIQKGP-OALUTQOASA-N 0 3 301.405 4.162 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](C)c2ccc(SC)cc2)cc1 ZINC000090844140 1039821117 /nfs/dbraw/zinc/82/11/17/1039821117.db2.gz MWILDIUXRWQBCN-AUUYWEPGSA-N 0 3 315.482 4.355 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCCC2)O1)c1cc(F)c(F)c(F)c1 ZINC000669932626 1039827740 /nfs/dbraw/zinc/82/77/40/1039827740.db2.gz LQENMUMCWTVDHB-AAEUAGOBSA-N 0 3 313.363 4.246 20 0 DIADHN FC(F)O[C@H]1CCCN(C(c2ccccc2)c2ccccc2)C1 ZINC000669932742 1039828289 /nfs/dbraw/zinc/82/82/89/1039828289.db2.gz BBOPTWUIWVDRIV-KRWDZBQOSA-N 0 3 317.379 4.480 20 0 DIADHN CCCOCc1ccccc1CN[C@H](c1cccnc1)C(C)C ZINC000091287840 1039842844 /nfs/dbraw/zinc/84/28/44/1039842844.db2.gz LTAQRJYVDMFRFB-FQEVSTJZSA-N 0 3 312.457 4.495 20 0 DIADHN CCCOCc1ccccc1CN[C@@H](c1cccnc1)C(C)C ZINC000091287839 1039844084 /nfs/dbraw/zinc/84/40/84/1039844084.db2.gz LTAQRJYVDMFRFB-HXUWFJFHSA-N 0 3 312.457 4.495 20 0 DIADHN Clc1ccc(C2(CNCc3cnc(C4CC4)o3)CC2)cc1 ZINC000628211309 1039863217 /nfs/dbraw/zinc/86/32/17/1039863217.db2.gz RWDDTNPPTWRORF-UHFFFAOYSA-N 0 3 302.805 4.027 20 0 DIADHN COCCCCN(Cc1sccc1OC)Cc1ccccc1 ZINC000092507046 1039870261 /nfs/dbraw/zinc/87/02/61/1039870261.db2.gz ITMWNWUYBCMAQT-UHFFFAOYSA-N 0 3 319.470 4.186 20 0 DIADHN CCCC[C@@H](N[C@H]1CCCn2nccc21)c1ccc(OC)cc1 ZINC000657867392 1039876941 /nfs/dbraw/zinc/87/69/41/1039876941.db2.gz LVAKTUKEUCTBCG-MSOLQXFVSA-N 0 3 313.445 4.248 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1ccc(SC(F)F)cc1 ZINC000151808434 1039878483 /nfs/dbraw/zinc/87/84/83/1039878483.db2.gz OCTJXJPOONBYRQ-LBPRGKRZSA-N 0 3 323.408 4.344 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(F)cn1)Oc1ccccc1Cl ZINC000092766577 1039884393 /nfs/dbraw/zinc/88/43/93/1039884393.db2.gz WSVYZMRCRZLSNN-GXTWGEPZSA-N 0 3 322.811 4.382 20 0 DIADHN Clc1cc(CN[C@H]2[C@H]3CCO[C@H]3C23CCC3)c(Cl)s1 ZINC000491855600 1039885251 /nfs/dbraw/zinc/88/52/51/1039885251.db2.gz XBGDYENKDDFTNY-ADEWGFFLSA-N 0 3 318.269 4.102 20 0 DIADHN CCCC[C@H](N[C@@H]1CCCn2nccc21)c1ccc(OC)cc1 ZINC000657867401 1039886479 /nfs/dbraw/zinc/88/64/79/1039886479.db2.gz LVAKTUKEUCTBCG-ZWKOTPCHSA-N 0 3 313.445 4.248 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H]1COc2c1ccc(C)c2C ZINC000491892705 1039889745 /nfs/dbraw/zinc/88/97/45/1039889745.db2.gz NSJRBICJIBMQPR-BPAFIMBUSA-N 0 3 311.425 4.103 20 0 DIADHN CCCn1nc(C)c(CN[C@@H]2CCCc3ccc(F)cc32)c1C ZINC000093115713 1039894754 /nfs/dbraw/zinc/89/47/54/1039894754.db2.gz XJYDJAQSMDROTR-LJQANCHMSA-N 0 3 315.436 4.216 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cc(-c3ccccc3)n[nH]1)CCC2 ZINC000093115959 1039896390 /nfs/dbraw/zinc/89/63/90/1039896390.db2.gz JDYMESCXEDAPTE-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN COc1ccsc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC000093607723 1039901343 /nfs/dbraw/zinc/90/13/43/1039901343.db2.gz BKDZOBZUZUUBIN-UHFFFAOYSA-N 0 3 316.470 4.142 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000094014473 1039908025 /nfs/dbraw/zinc/90/80/25/1039908025.db2.gz RYAOLMIENWOHHN-AWEZNQCLSA-N 0 3 323.415 4.385 20 0 DIADHN Cc1nn(C)cc1CN[C@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000094014474 1039908859 /nfs/dbraw/zinc/90/88/59/1039908859.db2.gz RYAOLMIENWOHHN-CQSZACIVSA-N 0 3 323.415 4.385 20 0 DIADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000093400051 1039912021 /nfs/dbraw/zinc/91/20/21/1039912021.db2.gz OTESZQDBYLOVGU-NEPJUHHUSA-N 0 3 306.500 4.365 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1ccccc1Cl)c1ccco1 ZINC000151939206 1039918618 /nfs/dbraw/zinc/91/86/18/1039918618.db2.gz NVLKGKOGTQUSFC-HOCLYGCPSA-N 0 3 305.805 4.021 20 0 DIADHN CCCOc1ccc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)cn1 ZINC000492122342 1039921102 /nfs/dbraw/zinc/92/11/02/1039921102.db2.gz LVAFGIXJKSMQSW-MGPUTAFESA-N 0 3 310.441 4.202 20 0 DIADHN CC[C@@H](C)[C@@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492123305 1039921125 /nfs/dbraw/zinc/92/11/25/1039921125.db2.gz XVJIRQWDGZCZOQ-ACJLOTCBSA-N 0 3 319.395 4.051 20 0 DIADHN Cc1cccc(C2(C(=O)Nc3cc(C)cc(CN(C)C)c3)CC2)c1 ZINC000536215756 1039924487 /nfs/dbraw/zinc/92/44/87/1039924487.db2.gz RQXRHCDOWLKTQG-UHFFFAOYSA-N 0 3 322.452 4.035 20 0 DIADHN CC(C)c1ncc(CN[C@H](C)[C@@H]2OCCc3sccc32)s1 ZINC000492155521 1039926380 /nfs/dbraw/zinc/92/63/80/1039926380.db2.gz WHRBQXACNBSNEI-ABAIWWIYSA-N 0 3 322.499 4.120 20 0 DIADHN CCC[C@H](O)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000492179222 1039929958 /nfs/dbraw/zinc/92/99/58/1039929958.db2.gz NVBHIMFPNPUWBC-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CCC[C@@H]1C(C)C ZINC000140429979 1039934535 /nfs/dbraw/zinc/93/45/35/1039934535.db2.gz KXMONFFFYPLFPV-QGZVFWFLSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H](NCc1cc2ccccc2o1)[C@@H]1OCCc2sccc21 ZINC000492261763 1039941392 /nfs/dbraw/zinc/94/13/92/1039941392.db2.gz WEBFAFALUITDKI-SGTLLEGYSA-N 0 3 313.422 4.286 20 0 DIADHN CN(CCO)C(c1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000149208170 1039961243 /nfs/dbraw/zinc/96/12/43/1039961243.db2.gz GZDXWWCVVKRXNL-UHFFFAOYSA-N 0 3 310.224 4.007 20 0 DIADHN Cc1csc(CNCc2ccc(OC(F)(F)F)cc2)c1 ZINC000379018123 1039990974 /nfs/dbraw/zinc/99/09/74/1039990974.db2.gz APYWAVKMWIHZHX-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN C[C@@H](N[C@H](CCCO)c1ccccc1)c1ccc(C(F)F)nc1 ZINC000657886102 1039998265 /nfs/dbraw/zinc/99/82/65/1039998265.db2.gz HNRNCWMZLBGQIG-CZUORRHYSA-N 0 3 320.383 4.184 20 0 DIADHN OCC[C@H](NCc1cccc(Cl)c1)c1ccc(Cl)cc1 ZINC000347431031 1040000149 /nfs/dbraw/zinc/00/01/49/1040000149.db2.gz NOOQAFWHDRMYJB-INIZCTEOSA-N 0 3 310.224 4.207 20 0 DIADHN CO[C@@H](C)c1nc(CN(CC2CC2)C2CCCCC2)cs1 ZINC000175168339 1040010969 /nfs/dbraw/zinc/01/09/69/1040010969.db2.gz SXQVECDDRAOCRK-ZDUSSCGKSA-N 0 3 308.491 4.395 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2ccc(C)cc2C)c2ccccc21 ZINC000367570593 1040011652 /nfs/dbraw/zinc/01/16/52/1040011652.db2.gz QVZDXWPLMZMVRB-JXFKEZNVSA-N 0 3 322.452 4.452 20 0 DIADHN Cc1cccnc1CCN[C@H](C)c1ccccc1Br ZINC000404619022 1040016076 /nfs/dbraw/zinc/01/60/76/1040016076.db2.gz MIUNBWRRKWUFGZ-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000492848361 1040027875 /nfs/dbraw/zinc/02/78/75/1040027875.db2.gz VKYPVHIZNUIWOP-DOTOQJQBSA-N 0 3 313.445 4.494 20 0 DIADHN CCC(CC)N(CC(C)C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000175400008 1040031790 /nfs/dbraw/zinc/03/17/90/1040031790.db2.gz YMSVIERWEDATEQ-UHFFFAOYSA-N 0 3 319.493 4.427 20 0 DIADHN CC(C)c1cc(CNC2CC(c3cc(F)cc(F)c3)C2)on1 ZINC000685424191 1040039982 /nfs/dbraw/zinc/03/99/82/1040039982.db2.gz AIEOSOFIKHCUNV-UHFFFAOYSA-N 0 3 306.356 4.112 20 0 DIADHN CCC(C)(C)OCCN[C@H](C)c1ccccc1OC(F)F ZINC000308547472 1040053359 /nfs/dbraw/zinc/05/33/59/1040053359.db2.gz QMKQBYKFQMYCRA-GFCCVEGCSA-N 0 3 301.377 4.144 20 0 DIADHN CC[C@H](O)CCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493009386 1040058451 /nfs/dbraw/zinc/05/84/51/1040058451.db2.gz NQDCBDWDWPTNCX-ZWKOTPCHSA-N 0 3 303.833 4.180 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc2c(c1)CC(C)(C)O2 ZINC000685470004 1040068342 /nfs/dbraw/zinc/06/83/42/1040068342.db2.gz DUTYYAWUAJUVLG-GOSISDBHSA-N 0 3 310.441 4.378 20 0 DIADHN CCOc1cccc(CN2CCC(Oc3ccccc3)CC2)c1 ZINC000350969053 1040096480 /nfs/dbraw/zinc/09/64/80/1040096480.db2.gz CQGDCQUMOGWZTK-UHFFFAOYSA-N 0 3 311.425 4.129 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1ccc(-c2cccnc2)cc1 ZINC000657899900 1040097028 /nfs/dbraw/zinc/09/70/28/1040097028.db2.gz YFKBMKFEEVBPQS-HNAYVOBHSA-N 0 3 318.424 4.131 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3CCCSCC3)co2)cc1 ZINC000657899658 1040098903 /nfs/dbraw/zinc/09/89/03/1040098903.db2.gz KHAIPBZEZCJDIC-HNNXBMFYSA-N 0 3 302.443 4.025 20 0 DIADHN Cc1ncc(CNCC[C@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000628227938 1040106016 /nfs/dbraw/zinc/10/60/16/1040106016.db2.gz YGPGAPBWCAXEHI-NSHDSACASA-N 0 3 312.335 4.285 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(F)cc1Br ZINC000310118166 1040109111 /nfs/dbraw/zinc/10/91/11/1040109111.db2.gz AOPIYAHKAYDGFI-JTQLQIEISA-N 0 3 320.271 4.380 20 0 DIADHN Cc1ccncc1CNCc1cccc(OCc2ccccc2)c1 ZINC000310094220 1040109593 /nfs/dbraw/zinc/10/95/93/1040109593.db2.gz KSPNBPRBCMRSFL-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H](C)c1ccccc1C ZINC000177329061 1040116763 /nfs/dbraw/zinc/11/67/63/1040116763.db2.gz IMVQANUEQBJOMQ-KRWDZBQOSA-N 0 3 324.468 4.497 20 0 DIADHN CCCCC[C@@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccccc1 ZINC001125760621 1040129022 /nfs/dbraw/zinc/12/90/22/1040129022.db2.gz BSWZYWBHXAYWMD-RTBURBONSA-N 0 3 316.489 4.297 20 0 DIADHN CCCCC[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccccc1 ZINC001125760618 1040129811 /nfs/dbraw/zinc/12/98/11/1040129811.db2.gz BSWZYWBHXAYWMD-MOPGFXCFSA-N 0 3 316.489 4.297 20 0 DIADHN CCCCC[C@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccccc1 ZINC001125760619 1040130205 /nfs/dbraw/zinc/13/02/05/1040130205.db2.gz BSWZYWBHXAYWMD-OALUTQOASA-N 0 3 316.489 4.297 20 0 DIADHN Cc1nc(C)c(CN[C@@H](COc2ccccc2F)C(C)(C)C)o1 ZINC000685571712 1040136193 /nfs/dbraw/zinc/13/61/93/1040136193.db2.gz VFCPECGASAZTGW-KRWDZBQOSA-N 0 3 320.408 4.014 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCn1ccc2cc(Cl)ccc21 ZINC000685571314 1040136628 /nfs/dbraw/zinc/13/66/28/1040136628.db2.gz BHERIVWJNAJEET-NSHDSACASA-N 0 3 317.820 4.250 20 0 DIADHN Cc1nc(C)c(CN(Cc2cccc(F)c2)C2CCCC2)o1 ZINC000685567562 1040137004 /nfs/dbraw/zinc/13/70/04/1040137004.db2.gz KCVALRLAMAOUIX-UHFFFAOYSA-N 0 3 302.393 4.375 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccc(Cl)cc3)CC2)o1 ZINC000685573141 1040138120 /nfs/dbraw/zinc/13/81/20/1040138120.db2.gz IHTCOTNDVSNCBA-UHFFFAOYSA-N 0 3 304.821 4.324 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000493230176 1040142199 /nfs/dbraw/zinc/14/21/99/1040142199.db2.gz OYGLSXJCPSNZNZ-MLGOLLRUSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1ccccc1C(F)(F)F ZINC000177849640 1040142981 /nfs/dbraw/zinc/14/29/81/1040142981.db2.gz BMZAYVRHKDZEAI-LBPRGKRZSA-N 0 3 316.367 4.012 20 0 DIADHN CC1(C)CN(Cc2csc3ccccc23)[C@H]1[C@@H]1CCCO1 ZINC000685587382 1040147709 /nfs/dbraw/zinc/14/77/09/1040147709.db2.gz PSADDQPYOZRKHQ-RDJZCZTQSA-N 0 3 301.455 4.291 20 0 DIADHN CCN(Cc1nc(C(C)(C)C)cs1)[C@@H]1CCOC(C)(C)C1 ZINC000685590379 1040150932 /nfs/dbraw/zinc/15/09/32/1040150932.db2.gz QCXBPQLTPHIWEL-CYBMUJFWSA-N 0 3 310.507 4.220 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC3(CCC3)[C@@H]1[C@@H]1CCCO1)CCC2 ZINC000685605517 1040154899 /nfs/dbraw/zinc/15/48/99/1040154899.db2.gz XAPJTPUZUWKRMR-QYZOEREBSA-N 0 3 315.432 4.237 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cc(O)cc(C(F)(F)F)c1 ZINC000685621457 1040159254 /nfs/dbraw/zinc/15/92/54/1040159254.db2.gz XLAAWWNUXKHNMI-UHFFFAOYSA-N 0 3 315.257 4.232 20 0 DIADHN Cc1cn[nH]c1CN[C@H](C)c1ccccc1Oc1ccccc1 ZINC000685614701 1040160022 /nfs/dbraw/zinc/16/00/22/1040160022.db2.gz WIOXRKSVIJSZLY-OAHLLOKOSA-N 0 3 307.397 4.361 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@H]1CCC(F)(F)C1 ZINC000685618320 1040162549 /nfs/dbraw/zinc/16/25/49/1040162549.db2.gz DFWCYLZGUUFJPN-JTQLQIEISA-N 0 3 323.214 4.337 20 0 DIADHN CCCc1ccc(CNCc2cc(C)nc(N3CCCC3)c2)cc1 ZINC000685628565 1040169539 /nfs/dbraw/zinc/16/95/39/1040169539.db2.gz OBCJXOMFLTUSDL-UHFFFAOYSA-N 0 3 323.484 4.233 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657923098 1040175633 /nfs/dbraw/zinc/17/56/33/1040175633.db2.gz VMMPNIRHHABFAG-UOUZQGKXSA-N 0 3 315.848 4.358 20 0 DIADHN Cc1cc([C@@H](N[C@H]2CCOC2)c2cccc(Cl)c2)c(C)s1 ZINC000685650926 1040186102 /nfs/dbraw/zinc/18/61/02/1040186102.db2.gz WPAPOFPFPIHWMF-RDJZCZTQSA-N 0 3 321.873 4.486 20 0 DIADHN C[C@@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cccnc1 ZINC000685660242 1040200423 /nfs/dbraw/zinc/20/04/23/1040200423.db2.gz GIWOUMIWSZUWTE-CZUORRHYSA-N 0 3 308.347 4.153 20 0 DIADHN C[C@@H](N[C@@H]1CC=C(c2ccc(Cl)cc2)CC1)c1nccn1C ZINC000668747110 1040203131 /nfs/dbraw/zinc/20/31/31/1040203131.db2.gz BSKHMNYGRXOLBR-CXAGYDPISA-N 0 3 315.848 4.360 20 0 DIADHN C[C@H](N[C@H]1CC=C(c2ccc(Cl)cc2)CC1)c1nccn1C ZINC000668747112 1040204047 /nfs/dbraw/zinc/20/40/47/1040204047.db2.gz BSKHMNYGRXOLBR-GUYCJALGSA-N 0 3 315.848 4.360 20 0 DIADHN COCCOc1cccc(CNCc2ccccc2C(C)C)c1 ZINC000782540733 1040214267 /nfs/dbraw/zinc/21/42/67/1040214267.db2.gz ZCNDIGDKVRQYPU-UHFFFAOYSA-N 0 3 313.441 4.125 20 0 DIADHN CCn1nc(C)c(CN[C@@H](C)c2ccc(OC(C)C)cc2)c1C ZINC000307965292 1040222886 /nfs/dbraw/zinc/22/28/86/1040222886.db2.gz LMZBDZNAKXXMDG-AWEZNQCLSA-N 0 3 315.461 4.158 20 0 DIADHN C[C@H](N[C@@H](C)c1cncc(F)c1)c1cc2ccncc2s1 ZINC000657969409 1040225980 /nfs/dbraw/zinc/22/59/80/1040225980.db2.gz ORHCVUBXCYZBTB-QWRGUYRKSA-N 0 3 301.390 4.242 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2ccc(Cl)cc2)c1 ZINC000101543347 1040228382 /nfs/dbraw/zinc/22/83/82/1040228382.db2.gz CIXMHGVCAFFBLM-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN Cc1nnc(CN[C@@H]2CCCC[C@@H]2c2ccccc2Cl)s1 ZINC000657972977 1040233469 /nfs/dbraw/zinc/23/34/69/1040233469.db2.gz UITHYCJKTRFZAP-UKRRQHHQSA-N 0 3 321.877 4.316 20 0 DIADHN Cc1cc(CN[C@@H]2CCCn3nccc32)ccc1-c1ccccc1 ZINC000657979257 1040246242 /nfs/dbraw/zinc/24/62/42/1040246242.db2.gz IDZYEYWTVGUWGU-HXUWFJFHSA-N 0 3 317.436 4.483 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657995003 1040255656 /nfs/dbraw/zinc/25/56/56/1040255656.db2.gz XYTOXVCPUJHBLN-DYVFJYSZSA-N 0 3 320.383 4.448 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1COC2(CCC2)C1 ZINC000657993433 1040256451 /nfs/dbraw/zinc/25/64/51/1040256451.db2.gz HUZVAHFKJWQLEB-HIFRSBDPSA-N 0 3 313.363 4.290 20 0 DIADHN C[C@H](NCc1cc(Cl)cc(Cl)c1)c1cccc(CO)c1 ZINC000658055489 1040291519 /nfs/dbraw/zinc/29/15/19/1040291519.db2.gz OWUBDEJFFGYSRC-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2cccnc2N2CCCC2)cc1 ZINC000658104482 1040313520 /nfs/dbraw/zinc/31/35/20/1040313520.db2.gz VQDQHAOGWWUPGX-HXUWFJFHSA-N 0 3 321.468 4.313 20 0 DIADHN Cc1cc([C@@H](Cc2ccccc2Cl)NC/C=C\CO)cs1 ZINC000658135360 1040317475 /nfs/dbraw/zinc/31/74/75/1040317475.db2.gz DJRCDRXVGLDNSH-VGOKWCNXSA-N 0 3 321.873 4.132 20 0 DIADHN CC[C@@H](C)CCN[C@@H](C)c1ncc(Br)cc1F ZINC000658121869 1040319610 /nfs/dbraw/zinc/31/96/10/1040319610.db2.gz RGMHAGPTWDJGTA-ZJUUUORDSA-N 0 3 303.219 4.070 20 0 DIADHN COc1ccc(-c2cc(CN[C@H](C)C(C)(C)C(F)F)on2)cc1 ZINC000658181715 1040351352 /nfs/dbraw/zinc/35/13/52/1040351352.db2.gz GBOGPNYFBZTBOL-LLVKDONJSA-N 0 3 324.371 4.120 20 0 DIADHN COCc1csc(CN[C@H]2CCCOc3cc(C)ccc32)c1 ZINC000658207841 1040356353 /nfs/dbraw/zinc/35/63/53/1040356353.db2.gz CKEZEFIYKPMRLF-KRWDZBQOSA-N 0 3 317.454 4.206 20 0 DIADHN COc1cc(OC)c([C@H](C)NC[C@@]2(C)CC2(F)F)cc1Cl ZINC000658222405 1040363728 /nfs/dbraw/zinc/36/37/28/1040363728.db2.gz WOCLDVDHUCGIRW-LKFCYVNXSA-N 0 3 319.779 4.053 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1sccc1Br ZINC000658222139 1040364460 /nfs/dbraw/zinc/36/44/60/1040364460.db2.gz VHKNMTJZFZZXHG-OIBJUYFYSA-N 0 3 310.207 4.207 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccc(-c2ccccc2)cn1 ZINC000658225599 1040365474 /nfs/dbraw/zinc/36/54/74/1040365474.db2.gz ZXIOSQJEYQYDFR-DYVFJYSZSA-N 0 3 302.368 4.445 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1sccc1Br ZINC000658217240 1040376439 /nfs/dbraw/zinc/37/64/39/1040376439.db2.gz CRCNZUCJOJJFQT-OUAUKWLOSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccc(Br)cc1F ZINC000658216232 1040377003 /nfs/dbraw/zinc/37/70/03/1040377003.db2.gz AOLBVOUXBGLUPS-QPUJVOFHSA-N 0 3 322.168 4.284 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(O)cc21)c1cccc(OC(F)F)c1 ZINC000658239651 1040380586 /nfs/dbraw/zinc/38/05/86/1040380586.db2.gz OCXZMVSYOSZAOF-DIFFPNOSSA-N 0 3 319.351 4.332 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCc2ccc(O)cc21 ZINC000658242199 1040384866 /nfs/dbraw/zinc/38/48/66/1040384866.db2.gz YFLHPBRHYMLXNS-WOJBJXKFSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1c(C2CCC2)cnn1C ZINC000658268208 1040392366 /nfs/dbraw/zinc/39/23/66/1040392366.db2.gz KUXKHJBJIKWQEI-RDTXWAMCSA-N 0 3 309.457 4.334 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1c(C3CCC3)cnn1C)[C@@H](C)C2 ZINC000658267918 1040392498 /nfs/dbraw/zinc/39/24/98/1040392498.db2.gz IHQBCUQWYPFLHE-XOBRGWDASA-N 0 3 309.457 4.019 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c(C3CCC3)cnn2C)c2sccc21 ZINC000658266294 1040392602 /nfs/dbraw/zinc/39/26/02/1040392602.db2.gz HTCFKJZIOLBNLX-BLLLJJGKSA-N 0 3 315.486 4.477 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c(C3CCC3)cnn2C)c2sccc21 ZINC000658266296 1040393388 /nfs/dbraw/zinc/39/33/88/1040393388.db2.gz HTCFKJZIOLBNLX-MLGOLLRUSA-N 0 3 315.486 4.477 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@@H](c1ccc(F)cc1)C1CC1 ZINC000658267944 1040393474 /nfs/dbraw/zinc/39/34/74/1040393474.db2.gz IUZQSOLXJJIAQH-LJQANCHMSA-N 0 3 313.420 4.068 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1c(C3CCC3)cnn1C)CC2 ZINC000658268159 1040393737 /nfs/dbraw/zinc/39/37/37/1040393737.db2.gz ZSEUMAUWADAAQS-GOSISDBHSA-N 0 3 309.457 4.082 20 0 DIADHN CCc1ccc([C@H](CC)NCc2c(C3CCC3)cnn2C)cc1 ZINC000658269582 1040399674 /nfs/dbraw/zinc/39/96/74/1040399674.db2.gz VWSSDVJNGGVHHP-IBGZPJMESA-N 0 3 311.473 4.491 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000658288064 1040405054 /nfs/dbraw/zinc/40/50/54/1040405054.db2.gz MKKVABJUZZLBHF-QGTPRVQTSA-N 0 3 303.471 4.465 20 0 DIADHN CC(C)[C@@H](O)[C@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000658288114 1040405928 /nfs/dbraw/zinc/40/59/28/1040405928.db2.gz NFFCOSUAXSHCKG-GDIGMMSISA-N 0 3 301.405 4.235 20 0 DIADHN COc1cccc([C@H](C)N[C@H](c2ccccc2)[C@H](O)C(C)C)c1 ZINC000658287711 1040406239 /nfs/dbraw/zinc/40/62/39/1040406239.db2.gz JNIWJBQZXBKHMP-CWFSZBLJSA-N 0 3 313.441 4.104 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@H]1CCc2c1cccc2F)c1ccccc1 ZINC000658289252 1040406336 /nfs/dbraw/zinc/40/63/36/1040406336.db2.gz YMSIYVVCRUPDFV-ZCNNSNEGSA-N 0 3 313.416 4.161 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000658288060 1040406735 /nfs/dbraw/zinc/40/67/35/1040406735.db2.gz MKKVABJUZZLBHF-BMGDILEWSA-N 0 3 303.471 4.465 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccc1)c1ccncc1)C1CCCCC1 ZINC000658327387 1040411690 /nfs/dbraw/zinc/41/16/90/1040411690.db2.gz BTQPSNGJFMMXQB-SFTDATJTSA-N 0 3 324.468 4.356 20 0 DIADHN C[C@@H](NCCc1nsc2ccccc12)c1ccncc1Cl ZINC000658316171 1040415934 /nfs/dbraw/zinc/41/59/34/1040415934.db2.gz ZZLKSESQRAHRGH-LLVKDONJSA-N 0 3 317.845 4.238 20 0 DIADHN COc1cccc([C@@H](C)NC2(c3cccc(OC)c3)CCC2)c1 ZINC000658323635 1040420704 /nfs/dbraw/zinc/42/07/04/1040420704.db2.gz OAFLFNZKRZBFHO-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3sc(C)nc3C)CCC2)c1 ZINC000658323480 1040421437 /nfs/dbraw/zinc/42/14/37/1040421437.db2.gz MAASAKSMELUUNO-CYBMUJFWSA-N 0 3 316.470 4.499 20 0 DIADHN COc1ccc([C@H](C)NC2(c3cccc(OC)c3)CCC2)cc1 ZINC000658324027 1040421492 /nfs/dbraw/zinc/42/14/92/1040421492.db2.gz WTXHFVORKBYWFB-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4ccc(O)cc43)CCC2)c1 ZINC000658323978 1040422229 /nfs/dbraw/zinc/42/22/29/1040422229.db2.gz VTFXPGQUOKJVOH-HXUWFJFHSA-N 0 3 323.436 4.447 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(C(F)F)nc2)c1C ZINC000658346024 1040433106 /nfs/dbraw/zinc/43/31/06/1040433106.db2.gz BAVIWGAAROBSKD-GFCCVEGCSA-N 0 3 306.356 4.187 20 0 DIADHN CCC[C@H](N[C@H](c1ccccc1)[C@H](C)O)c1ccc(OC)cc1 ZINC000658364105 1040433699 /nfs/dbraw/zinc/43/36/99/1040433699.db2.gz ZRALHGGFWLSJQN-YSSFQJQWSA-N 0 3 313.441 4.248 20 0 DIADHN COc1cccc(CN[C@H](C)c2cnc3ccsc3c2)c1C ZINC000658354864 1040436432 /nfs/dbraw/zinc/43/64/32/1040436432.db2.gz XPURCLMFZLJGTE-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN COc1cccc(CN[C@@H]2CCc3c2cc(F)cc3F)c1C ZINC000658353420 1040436985 /nfs/dbraw/zinc/43/69/85/1040436985.db2.gz MDCMRFHTRAYKLU-QGZVFWFLSA-N 0 3 303.352 4.059 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CC[C@@H](C)c2ccsc21)c1ccccc1 ZINC000658356887 1040437222 /nfs/dbraw/zinc/43/72/22/1040437222.db2.gz MVDFDKVGULASNM-OQUILHJVSA-N 0 3 301.455 4.398 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000658359143 1040437239 /nfs/dbraw/zinc/43/72/39/1040437239.db2.gz AKCNASHOHFPXSU-RZAIGCCYSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000658363191 1040440393 /nfs/dbraw/zinc/44/03/93/1040440393.db2.gz VFZFPDVXDUEQQU-KPOBHBOGSA-N 0 3 320.436 4.257 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000658363193 1040440815 /nfs/dbraw/zinc/44/08/15/1040440815.db2.gz VFZFPDVXDUEQQU-PNHOKKKMSA-N 0 3 320.436 4.257 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccccc1 ZINC000658362114 1040440935 /nfs/dbraw/zinc/44/09/35/1040440935.db2.gz PDLOXCHUBFSBCX-DCGLDWPTSA-N 0 3 321.367 4.061 20 0 DIADHN C[C@H](N[C@@H]1CCSc2c(Cl)cccc21)c1cc[nH]c(=O)c1 ZINC000340451552 1040508362 /nfs/dbraw/zinc/50/83/62/1040508362.db2.gz YVNGDJRCMVEAAS-IINYFYTJSA-N 0 3 320.845 4.328 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cnc2ccccc2n1 ZINC000628231752 1040516867 /nfs/dbraw/zinc/51/68/67/1040516867.db2.gz ZQCYZTFRDSJRAS-XOBRGWDASA-N 0 3 303.409 4.043 20 0 DIADHN FC(F)(F)c1cc(CN2CCC[C@H]2C2CCOCC2)cs1 ZINC000628232845 1040521843 /nfs/dbraw/zinc/52/18/43/1040521843.db2.gz QJAAGXYTESLUHU-ZDUSSCGKSA-N 0 3 319.392 4.158 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C)cc(C(F)(F)F)c2)[C@@H](C)C1 ZINC001143463427 1040554594 /nfs/dbraw/zinc/55/45/94/1040554594.db2.gz ZMGCPVOGBGCDBV-SWLSCSKDSA-N 0 3 301.352 4.013 20 0 DIADHN COCc1ccc(CN(Cc2ccc(OC)cc2)C(C)(C)C)o1 ZINC000569859112 1040567916 /nfs/dbraw/zinc/56/79/16/1040567916.db2.gz RAGPQUSZOUCPII-UHFFFAOYSA-N 0 3 317.429 4.235 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1cc(F)ccc1F ZINC000570012250 1040607623 /nfs/dbraw/zinc/60/76/23/1040607623.db2.gz KWELEARONGWXFT-GTNSWQLSSA-N 0 3 323.408 4.165 20 0 DIADHN O=C(CCN1CC[C@H]1c1ccccc1)Nc1cccc(Cl)c1 ZINC000531139561 1040613215 /nfs/dbraw/zinc/61/32/15/1040613215.db2.gz JSTASDQRVNJCHU-KRWDZBQOSA-N 0 3 314.816 4.116 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N(C)Cc1cccc(O)c1 ZINC000531139943 1040617409 /nfs/dbraw/zinc/61/74/09/1040617409.db2.gz JDMUZLUVZNWKGP-GFCCVEGCSA-N 0 3 320.230 4.348 20 0 DIADHN Cc1ccccc1OCCN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000780386132 1040630770 /nfs/dbraw/zinc/63/07/70/1040630770.db2.gz UTBSZUWFZQKDQT-KBPBESRZSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000065966853 1040630881 /nfs/dbraw/zinc/63/08/81/1040630881.db2.gz BEGANRCJWKPKGN-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1nnc(CCN[C@H](C)c2cc(Cl)ccc2Cl)s1 ZINC000289695993 1040637284 /nfs/dbraw/zinc/63/72/84/1040637284.db2.gz IKAKIZJBRZEMFF-MRVPVSSYSA-N 0 3 316.257 4.047 20 0 DIADHN CSC[C@H](NCCOC(C)C)c1ccc(C(F)(F)F)cc1 ZINC000612574815 1040644985 /nfs/dbraw/zinc/64/49/85/1040644985.db2.gz HEDSHTDINXNXGS-AWEZNQCLSA-N 0 3 321.408 4.124 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cc(F)cc(F)c1 ZINC000570339584 1040697441 /nfs/dbraw/zinc/69/74/41/1040697441.db2.gz WAMUTIGPDCAXQI-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN CCC[C@@H](NCCCn1nc(C)cc1C)c1ncccc1Cl ZINC000924557191 1040708528 /nfs/dbraw/zinc/70/85/28/1040708528.db2.gz LRJORTQYJNXKFB-MRXNPFEDSA-N 0 3 320.868 4.069 20 0 DIADHN Cc1cc(C)cc([C@@H](NC[C@]2(C)CC2(F)F)c2cccnc2)c1 ZINC000926703165 1040713291 /nfs/dbraw/zinc/71/32/91/1040713291.db2.gz DEHQUPBRXSBBMG-ROUUACIJSA-N 0 3 316.395 4.423 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1ccc(Br)s1 ZINC000309670808 1040717778 /nfs/dbraw/zinc/71/77/78/1040717778.db2.gz JSZQMHGSERLNLD-UHFFFAOYSA-N 0 3 316.186 4.331 20 0 DIADHN CCc1ccc(CN(C)Cc2cc(OC)c(OC)cc2C)cc1 ZINC000612867261 1040723293 /nfs/dbraw/zinc/72/32/93/1040723293.db2.gz PPXPHGUGCPTYQQ-UHFFFAOYSA-N 0 3 313.441 4.207 20 0 DIADHN CN(C/C=C\c1ccccc1)Cc1ccc(OC(F)F)cc1 ZINC000612876628 1040727168 /nfs/dbraw/zinc/72/71/68/1040727168.db2.gz CHRGQEKBUMCMPN-YVMONPNESA-N 0 3 303.352 4.433 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(F)c(F)c1)c1cc2ccccc2o1 ZINC000177753742 1040770734 /nfs/dbraw/zinc/77/07/34/1040770734.db2.gz LBOXOCGNCPGKKJ-MEDUHNTESA-N 0 3 317.335 4.095 20 0 DIADHN CSc1ccc(CN2CCCO[C@@H](c3ccccc3)C2)s1 ZINC000685835873 1040772037 /nfs/dbraw/zinc/77/20/37/1040772037.db2.gz GPORQPKETSCGKW-MRXNPFEDSA-N 0 3 319.495 4.434 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C2CCC2)c1)c1ccc(OC)cc1 ZINC000924566898 1040805108 /nfs/dbraw/zinc/80/51/08/1040805108.db2.gz JIJDPKFPVRKXLB-LIRRHRJNSA-N 0 3 313.445 4.419 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414069710 1040814069 /nfs/dbraw/zinc/81/40/69/1040814069.db2.gz JLTZIMPOSPGDGX-YCPHGPKFSA-N 0 3 316.470 4.418 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc3c(c2)CCO3)cc1F ZINC000177902960 1040821473 /nfs/dbraw/zinc/82/14/73/1040821473.db2.gz JSDUPYNOZUCAFF-CYBMUJFWSA-N 0 3 315.388 4.010 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCSCc1ccc(C)cc1 ZINC000924568371 1040825059 /nfs/dbraw/zinc/82/50/59/1040825059.db2.gz BDGUKFLVBINUMH-CYBMUJFWSA-N 0 3 304.459 4.184 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414085716 1040837093 /nfs/dbraw/zinc/83/70/93/1040837093.db2.gz GXAOFBPDTKPUSA-KBPBESRZSA-N 0 3 318.486 4.258 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414089178 1040840959 /nfs/dbraw/zinc/84/09/59/1040840959.db2.gz CIPGUBWCXNPJGG-CABCVRRESA-N 0 3 318.486 4.259 20 0 DIADHN C[C@H](NCC(C)(C)CCCO)c1csc(-c2ccccc2)n1 ZINC000414100975 1040861426 /nfs/dbraw/zinc/86/14/26/1040861426.db2.gz RTOIUBXHRVEZQJ-AWEZNQCLSA-N 0 3 318.486 4.259 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)cc1OC ZINC000173361656 1040885902 /nfs/dbraw/zinc/88/59/02/1040885902.db2.gz MRISNHFWLFDQLO-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(OC)cc2C)cc1F ZINC000414123477 1040890890 /nfs/dbraw/zinc/89/08/90/1040890890.db2.gz ONOFPCKPICZVRG-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@@H](C)c1cncc(F)c1 ZINC000414150327 1040927751 /nfs/dbraw/zinc/92/77/51/1040927751.db2.gz UVZLKNVJBNIHTI-KPZWWZAWSA-N 0 3 314.404 4.303 20 0 DIADHN Cc1cccnc1CCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414156060 1040928200 /nfs/dbraw/zinc/92/82/00/1040928200.db2.gz VYGADCGDEVGFRR-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2C[C@H]2c2c(F)cccc2Cl)c(C)n1 ZINC000414164619 1040942489 /nfs/dbraw/zinc/94/24/89/1040942489.db2.gz BAEGQGCCCGVGAG-NSODJVPESA-N 0 3 319.811 4.093 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)N[C@H](C)c1ccn(C)n1 ZINC000414178056 1040963003 /nfs/dbraw/zinc/96/30/03/1040963003.db2.gz KBQRQVGGHSDRNW-TZMCWYRMSA-N 0 3 323.893 4.295 20 0 DIADHN CC(C)OCCN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC000613460451 1040967600 /nfs/dbraw/zinc/96/76/00/1040967600.db2.gz IYIVVCZGIUSPEN-UHFFFAOYSA-N 0 3 301.352 4.095 20 0 DIADHN CCc1ccccc1NC(=O)CN(C1CC1)C1CCC(C)CC1 ZINC000613473005 1040978846 /nfs/dbraw/zinc/97/88/46/1040978846.db2.gz ZCSIRMWMZAXKOE-UHFFFAOYSA-N 0 3 314.473 4.231 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H]2CCc2ccccc2)c1 ZINC000613476157 1040986015 /nfs/dbraw/zinc/98/60/15/1040986015.db2.gz IVMJTUPMNKQCCP-HXUWFJFHSA-N 0 3 322.452 4.031 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H]2CCc2ccccc2)c1 ZINC000613476156 1040988586 /nfs/dbraw/zinc/98/85/86/1040988586.db2.gz IVMJTUPMNKQCCP-FQEVSTJZSA-N 0 3 322.452 4.031 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1ccc(N2CCOC2=O)cc1 ZINC000178173793 1040995442 /nfs/dbraw/zinc/99/54/42/1040995442.db2.gz NMNCVNHVBDYOSI-OLZOCXBDSA-N 0 3 316.426 4.117 20 0 DIADHN Clc1ccc(CN(C[C@H]2CCCO2)C2CC2)cc1Cl ZINC000613496689 1041013805 /nfs/dbraw/zinc/01/38/05/1041013805.db2.gz DZQYXRSUWHCLRA-CYBMUJFWSA-N 0 3 300.229 4.137 20 0 DIADHN Oc1cc(Cl)cc(CN2CC[C@@H](c3ccc(F)cc3)C2)c1 ZINC001140797917 1041020951 /nfs/dbraw/zinc/02/09/51/1041020951.db2.gz WLFVNECJVZFVJW-CQSZACIVSA-N 0 3 305.780 4.174 20 0 DIADHN CCC1CCN([C@H](C)C(=O)N[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000613505569 1041024137 /nfs/dbraw/zinc/02/41/37/1041024137.db2.gz BHKPRBGIFVCTIT-ZIAGYGMSSA-N 0 3 322.880 4.028 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cnccc1C(C)(C)C ZINC000414226943 1041026712 /nfs/dbraw/zinc/02/67/12/1041026712.db2.gz KEWFVURJPXUNSE-SFHVURJKSA-N 0 3 310.441 4.165 20 0 DIADHN CCC[C@@H](NCC(=O)N[C@H](C)c1cccs1)c1ccccc1 ZINC000170767733 1041037032 /nfs/dbraw/zinc/03/70/32/1041037032.db2.gz NYYYRDAJMBYUTL-GDBMZVCRSA-N 0 3 316.470 4.056 20 0 DIADHN C[C@H](NCC1(c2c(F)cccc2F)CCC1)c1ccncc1F ZINC000414235999 1041037470 /nfs/dbraw/zinc/03/74/70/1041037470.db2.gz KDSUKDQQDLJDFH-LBPRGKRZSA-N 0 3 320.358 4.271 20 0 DIADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000414237321 1041039797 /nfs/dbraw/zinc/03/97/97/1041039797.db2.gz WOCPJRQBWYXYRK-KFWWJZLASA-N 0 3 314.454 4.419 20 0 DIADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000414237320 1041040706 /nfs/dbraw/zinc/04/07/06/1041040706.db2.gz WOCPJRQBWYXYRK-ILXRZTDVSA-N 0 3 314.454 4.419 20 0 DIADHN COCc1ccccc1CNCc1ccc(C(F)(F)F)c(C)c1 ZINC000414254404 1041051346 /nfs/dbraw/zinc/05/13/46/1041051346.db2.gz CCTLIQOCFJDJQM-UHFFFAOYSA-N 0 3 323.358 4.450 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCC[C@H]2F)ccc1OC(F)F ZINC000414280089 1041078498 /nfs/dbraw/zinc/07/84/98/1041078498.db2.gz BZVBZNLBPQRHLB-CYZMBNFOSA-N 0 3 317.351 4.228 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCC[C@H]2F)ccc1OC(F)F ZINC000414280090 1041078644 /nfs/dbraw/zinc/07/86/44/1041078644.db2.gz BZVBZNLBPQRHLB-RAIGVLPGSA-N 0 3 317.351 4.228 20 0 DIADHN COC1([C@H](C)N[C@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000414292057 1041090103 /nfs/dbraw/zinc/09/01/03/1041090103.db2.gz AGXCQMBPIHSXEA-KGLIPLIRSA-N 0 3 316.470 4.418 20 0 DIADHN CC[C@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@H](O)C(C)C ZINC000414315321 1041117126 /nfs/dbraw/zinc/11/71/26/1041117126.db2.gz MNMYMEOKKCXZOQ-JLJPHGGASA-N 0 3 318.486 4.256 20 0 DIADHN Fc1ccc([C@@H](C[C@H]2CCOC2)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414315289 1041117344 /nfs/dbraw/zinc/11/73/44/1041117344.db2.gz RTINFVSMAMWONR-BNEJOLLZSA-N 0 3 309.400 4.164 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3sccc32)cc1OC ZINC000102196549 1041121287 /nfs/dbraw/zinc/12/12/87/1041121287.db2.gz MTBUGCBUIVLAGN-WFASDCNBSA-N 0 3 317.454 4.494 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3sccc32)cc1OC ZINC000102196761 1041122396 /nfs/dbraw/zinc/12/23/96/1041122396.db2.gz GSDDAXGHXKWGDN-OAHLLOKOSA-N 0 3 317.454 4.323 20 0 DIADHN CCCC[C@H](NCc1cnc(CC)nc1)c1ccc(OC)cc1 ZINC000414320583 1041124495 /nfs/dbraw/zinc/12/44/95/1041124495.db2.gz CIOKTYFWGMSJQZ-SFHVURJKSA-N 0 3 313.445 4.069 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1nnc(C2CC2)s1 ZINC000414324225 1041128084 /nfs/dbraw/zinc/12/80/84/1041128084.db2.gz KHSWQDAREUGWLN-INIZCTEOSA-N 0 3 315.486 4.307 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@H](O)[C@@H](C)CC)o2)cc1 ZINC000465020087 1041139493 /nfs/dbraw/zinc/13/94/93/1041139493.db2.gz YRKBKFASXMCMSD-KSSFIOAISA-N 0 3 301.430 4.006 20 0 DIADHN NCc1nn(-c2cccc3c4ccccc4oc32)c2ccccc12 ZINC001167697638 1041150282 /nfs/dbraw/zinc/15/02/82/1041150282.db2.gz BIARQTVSSKTEPO-UHFFFAOYSA-N 0 3 313.360 4.384 20 0 DIADHN Cc1ccc(-c2ccc(-n3nc(CN)c4ccccc43)cc2)cc1 ZINC001167697971 1041158288 /nfs/dbraw/zinc/15/82/88/1041158288.db2.gz QGBGZKSYACJHEQ-UHFFFAOYSA-N 0 3 313.404 4.460 20 0 DIADHN COc1cccc([C@@H](C)NCc2cc(C)nc3c2CCCC3)c1 ZINC000892634519 1041166510 /nfs/dbraw/zinc/16/65/10/1041166510.db2.gz XIZOBLGJGVVCCJ-OAHLLOKOSA-N 0 3 310.441 4.128 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(Cc2ccc3occc3c2)CC1 ZINC000809542445 1041184716 /nfs/dbraw/zinc/18/47/16/1041184716.db2.gz HDVXAPCXKPOBMK-NRFANRHFSA-N 0 3 321.420 4.378 20 0 DIADHN Cc1nn(C)cc1CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC000162881443 1041186936 /nfs/dbraw/zinc/18/69/36/1041186936.db2.gz XLQUYOORFDEVMD-QGZVFWFLSA-N 0 3 305.853 4.259 20 0 DIADHN CCCN(Cc1c(C)nn(-c2ccccn2)c1Cl)CC(C)C ZINC000809547114 1041190728 /nfs/dbraw/zinc/19/07/28/1041190728.db2.gz KZDYTUIZUDLBGW-UHFFFAOYSA-N 0 3 320.868 4.097 20 0 DIADHN CCc1ncc(CNC2(c3cccc(Cl)c3)CCCC2)cn1 ZINC000414378305 1041197071 /nfs/dbraw/zinc/19/70/71/1041197071.db2.gz KOIDICAYNZGSNJ-UHFFFAOYSA-N 0 3 315.848 4.252 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCSC2)c2ccccn2)cc1 ZINC000926780199 1041211636 /nfs/dbraw/zinc/21/16/36/1041211636.db2.gz XACYQWDMFWHPEZ-APWZRJJASA-N 0 3 312.482 4.212 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)cc(C)c1O ZINC000809664278 1041220701 /nfs/dbraw/zinc/22/07/01/1041220701.db2.gz CKTJMUZDEGVPGB-HIFRSBDPSA-N 0 3 314.256 4.025 20 0 DIADHN Cc1ccccc1[C@@H](O)C1CCN(Cc2ccsc2)CC1 ZINC000809704027 1041228869 /nfs/dbraw/zinc/22/88/69/1041228869.db2.gz XANHGGJPOPJLFS-SFHVURJKSA-N 0 3 301.455 4.002 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)N(Cc2nn(C)c3ccccc23)C1 ZINC000809716304 1041233821 /nfs/dbraw/zinc/23/38/21/1041233821.db2.gz SQAIWOIMEHSNTH-MGPUTAFESA-N 0 3 305.425 4.156 20 0 DIADHN O[C@@H](CCNCc1csc(Cl)c1Cl)c1ccccc1 ZINC000809748755 1041245704 /nfs/dbraw/zinc/24/57/04/1041245704.db2.gz XMVNWISJCLZXRZ-LBPRGKRZSA-N 0 3 316.253 4.268 20 0 DIADHN Cc1ccc(CNCC[C@H](O)c2ccc(Cl)c(Cl)c2)o1 ZINC000809754894 1041247489 /nfs/dbraw/zinc/24/74/89/1041247489.db2.gz FRXGZRAXPFUTMR-HNNXBMFYSA-N 0 3 314.212 4.108 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)CC1CCC1 ZINC000177212067 1041251865 /nfs/dbraw/zinc/25/18/65/1041251865.db2.gz YJAKPZUUNWJGHV-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN Cc1ncccc1CN1CCC[C@@H]1COc1ccc(Cl)cc1 ZINC000809931611 1041274476 /nfs/dbraw/zinc/27/44/76/1041274476.db2.gz IYHRUXWOBMZZPW-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)co2)cc1 ZINC000177573507 1041279122 /nfs/dbraw/zinc/27/91/22/1041279122.db2.gz NRDOUWLTJCBWLF-GASCZTMLSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)C[C@H]1NCc2ccccc21)C(C)C ZINC000659263068 1041282538 /nfs/dbraw/zinc/28/25/38/1041282538.db2.gz JOOIGBNIWKGSQA-CTNGQTDRSA-N 0 3 322.452 4.043 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)C(=O)C[C@H]1NCc2ccccc21 ZINC000659265461 1041283693 /nfs/dbraw/zinc/28/36/93/1041283693.db2.gz JMVJEYUBAPSHML-CTNGQTDRSA-N 0 3 322.452 4.077 20 0 DIADHN C[C@H](NCc1ccc(C2CC2)cc1)c1ccc2c(c1)OCCO2 ZINC000177608307 1041285066 /nfs/dbraw/zinc/28/50/66/1041285066.db2.gz QUGYWVMREKNCNT-AWEZNQCLSA-N 0 3 309.409 4.186 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(CF)cc1)c1ccccc1 ZINC000809992996 1041291589 /nfs/dbraw/zinc/29/15/89/1041291589.db2.gz QPWQADILOWQWMK-SFHVURJKSA-N 0 3 301.405 4.006 20 0 DIADHN COc1ccccc1OCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000613564122 1041316202 /nfs/dbraw/zinc/31/62/02/1041316202.db2.gz RLRUIXXDNWHRFM-QGZVFWFLSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924590343 1041319955 /nfs/dbraw/zinc/31/99/55/1041319955.db2.gz GSKIVQDRKFEZHM-UGSOOPFHSA-N 0 3 324.453 4.131 20 0 DIADHN Cc1ccc([C@H](C)NCc2cncc(Br)c2)s1 ZINC000168691257 1041356543 /nfs/dbraw/zinc/35/65/43/1041356543.db2.gz YFAWZHKTCOHXAH-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN CSc1ccc(CN[C@H]2CCCc3nc(C)sc32)s1 ZINC000810151185 1041326960 /nfs/dbraw/zinc/32/69/60/1041326960.db2.gz DTBBBESGPKMHHG-NSHDSACASA-N 0 3 310.513 4.402 20 0 DIADHN CCCCCC[C@@H](CC)N1CCc2onc(COCC)c2C1 ZINC001170598352 1041327247 /nfs/dbraw/zinc/32/72/47/1041327247.db2.gz HNGPTYIBFHOUIL-OAHLLOKOSA-N 0 3 308.466 4.318 20 0 DIADHN C[C@H](NCC(C)(C)CO)c1ccc(Cl)c(Cl)c1Cl ZINC000135080867 1041334078 /nfs/dbraw/zinc/33/40/78/1041334078.db2.gz JEDOAVIEUPFSOS-QMMMGPOBSA-N 0 3 310.652 4.316 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1cnn(C2CCC2)c1 ZINC000924592947 1041342137 /nfs/dbraw/zinc/34/21/37/1041342137.db2.gz UIXIPKAABQOANR-SJKOYZFVSA-N 0 3 301.459 4.472 20 0 DIADHN FC(F)(F)CCN1CCC(Nc2ccc3cnccc3c2)CC1 ZINC000169162463 1041360847 /nfs/dbraw/zinc/36/08/47/1041360847.db2.gz SVPHFGBEZGRXMN-UHFFFAOYSA-N 0 3 323.362 4.064 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@H](NCc2ncc(Cl)s2)C1 ZINC001207440220 1041365880 /nfs/dbraw/zinc/36/58/80/1041365880.db2.gz XEEZXNYQCJICSA-XJKSGUPXSA-N 0 3 306.862 4.397 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1ccnn1CC1CCC1 ZINC000924597679 1041380683 /nfs/dbraw/zinc/38/06/83/1041380683.db2.gz RJBYTUMLDHWSRM-YWZLYKJASA-N 0 3 309.457 4.485 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1ccnn1CC1CCC1 ZINC000924597678 1041380748 /nfs/dbraw/zinc/38/07/48/1041380748.db2.gz RJBYTUMLDHWSRM-QRWLVFNGSA-N 0 3 309.457 4.485 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(OC(F)(F)F)cc2)c1 ZINC000642983164 1041386395 /nfs/dbraw/zinc/38/63/95/1041386395.db2.gz DXULYMFNUILRJF-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(C)nc3c2CCCC3)cc1 ZINC000892744002 1041394400 /nfs/dbraw/zinc/39/44/00/1041394400.db2.gz YORXDBSVUBRXJM-OAHLLOKOSA-N 0 3 310.441 4.128 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H](C)c1ccc2c(c1)CCO2)C(C)C ZINC000178293665 1041396024 /nfs/dbraw/zinc/39/60/24/1041396024.db2.gz OBUNEFVGQFLCJD-BEFAXECRSA-N 0 3 310.441 4.373 20 0 DIADHN Fc1ccc(-c2nc(CN3CCCCCCC3)co2)cc1F ZINC000728688733 1041402716 /nfs/dbraw/zinc/40/27/16/1041402716.db2.gz RYHFEEAKYFTABT-UHFFFAOYSA-N 0 3 306.356 4.386 20 0 DIADHN C[C@@H](NCc1cnn(C)c1C1CC1)c1cc2ccccc2s1 ZINC000892928532 1041422463 /nfs/dbraw/zinc/42/24/63/1041422463.db2.gz IAJJSTHMWMBUFK-GFCCVEGCSA-N 0 3 311.454 4.363 20 0 DIADHN COCCCC1(CNCc2coc(-c3ccccc3)n2)CCC1 ZINC000892955320 1041438651 /nfs/dbraw/zinc/43/86/51/1041438651.db2.gz DMCGQOLNQPTDLZ-UHFFFAOYSA-N 0 3 314.429 4.028 20 0 DIADHN CCc1nocc1CN(Cc1ccc(OC)cc1)[C@@H](C)C1CC1 ZINC000659851247 1041450604 /nfs/dbraw/zinc/45/06/04/1041450604.db2.gz DVRCZWHOJAZCLL-AWEZNQCLSA-N 0 3 314.429 4.046 20 0 DIADHN CC(C)n1ccn(CNC2(c3ccccc3)CCC2)c1=S ZINC000728706614 1041461203 /nfs/dbraw/zinc/46/12/03/1041461203.db2.gz FVIUKLKNHRCIGN-UHFFFAOYSA-N 0 3 301.459 4.226 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CNCCC(F)(F)F ZINC000893083818 1041480976 /nfs/dbraw/zinc/48/09/76/1041480976.db2.gz NWBSSMRMDNJNED-UHFFFAOYSA-N 0 3 324.368 4.137 20 0 DIADHN CN(Cc1ccccc1F)C[C@H]1CCCO[C@@H]1c1ccccc1 ZINC000051132020 1041489675 /nfs/dbraw/zinc/48/96/75/1041489675.db2.gz RRFJKKHODSQLKS-UYAOXDASSA-N 0 3 313.416 4.425 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000395124613 1041491048 /nfs/dbraw/zinc/49/10/48/1041491048.db2.gz BHYMXCQVDUKCQO-LLVKDONJSA-N 0 3 315.804 4.081 20 0 DIADHN COC[C@H](N[C@@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000651237023 1041510229 /nfs/dbraw/zinc/51/02/29/1041510229.db2.gz HJLGKICYLSBPTH-KXBFYZLASA-N 0 3 314.429 4.196 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)Nc1ccc2c(c1)CNC2 ZINC000415997605 1041513154 /nfs/dbraw/zinc/51/31/54/1041513154.db2.gz PYDJOZYNVMBDKK-IBGZPJMESA-N 0 3 323.440 4.295 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C)c1)c1ccc2cc(OC)ccc2c1 ZINC000163878775 1041517296 /nfs/dbraw/zinc/51/72/96/1041517296.db2.gz ICMRBTLYJCDJJL-JLTOFOAXSA-N 0 3 323.440 4.384 20 0 DIADHN CCC(CC)(CNCc1ccnc(Cl)c1Cl)SC ZINC000811482171 1041521148 /nfs/dbraw/zinc/52/11/48/1041521148.db2.gz GTTLPEGWFUFKNG-UHFFFAOYSA-N 0 3 307.290 4.400 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)s1 ZINC000811467028 1041521935 /nfs/dbraw/zinc/52/19/35/1041521935.db2.gz CUEOSMHGXQZZFU-OCCSQVGLSA-N 0 3 323.483 4.151 20 0 DIADHN CS[C@H](CNCc1ccnc(Cl)c1Cl)C(C)(C)C ZINC000811489127 1041522736 /nfs/dbraw/zinc/52/27/36/1041522736.db2.gz WWSXCTNUIBNDRZ-SNVBAGLBSA-N 0 3 307.290 4.256 20 0 DIADHN Clc1nccc(CNCCc2cc3ccccc3[nH]2)c1Cl ZINC000811484823 1041523692 /nfs/dbraw/zinc/52/36/92/1041523692.db2.gz MDAKYRXHHWHZHW-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2ccnc(Cl)c2Cl)C1(C)C ZINC000811491052 1041524656 /nfs/dbraw/zinc/52/46/56/1041524656.db2.gz YPZIRROTGNBPPI-RYUDHWBXSA-N 0 3 317.260 4.070 20 0 DIADHN Clc1nccc(CNCCCOC2CCCCC2)c1Cl ZINC000811472275 1041527142 /nfs/dbraw/zinc/52/71/42/1041527142.db2.gz CXPSTVZOVRUECH-UHFFFAOYSA-N 0 3 317.260 4.217 20 0 DIADHN c1c2ccccc2[nH]c1CN1CC[C@]2(C1)CCc1ccccc1O2 ZINC000811513065 1041534260 /nfs/dbraw/zinc/53/42/60/1041534260.db2.gz VQTGBIORQWFFDJ-NRFANRHFSA-N 0 3 318.420 4.138 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2ccsc2)c2ccccc2)cc1 ZINC000180593524 1041536145 /nfs/dbraw/zinc/53/61/45/1041536145.db2.gz RJOFEAKMKBXVCJ-VQTJNVASSA-N 0 3 323.461 4.469 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cccc(OC)c2Cl)CC1 ZINC000659912020 1041537261 /nfs/dbraw/zinc/53/72/61/1041537261.db2.gz USKIKDXXGGHBNR-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN CS[C@H]1CC[C@H]1NCc1cc(Br)ccc1Cl ZINC000309917749 1041564205 /nfs/dbraw/zinc/56/42/05/1041564205.db2.gz QIUSWMNYWCRNTQ-NEPJUHHUSA-N 0 3 320.683 4.086 20 0 DIADHN CS[C@H]1CC[C@@H]1NCc1cc(Br)ccc1Cl ZINC000309917751 1041564223 /nfs/dbraw/zinc/56/42/23/1041564223.db2.gz QIUSWMNYWCRNTQ-RYUDHWBXSA-N 0 3 320.683 4.086 20 0 DIADHN C[C@H](NCCc1c[nH]c2cc(Cl)ccc12)c1nccs1 ZINC000093403276 1041565077 /nfs/dbraw/zinc/56/50/77/1041565077.db2.gz MNBVZMWHISOZRY-JTQLQIEISA-N 0 3 305.834 4.171 20 0 DIADHN Cc1cc([C@@H](NCc2cn3ccnc3s2)C2CC2)ccc1F ZINC000893237810 1041571526 /nfs/dbraw/zinc/57/15/26/1041571526.db2.gz LTMMDJOWQWURPX-INIZCTEOSA-N 0 3 315.417 4.084 20 0 DIADHN Cc1nc(C2(N)CCCC2)sc1Cc1ccc(F)cc1F ZINC000416399513 1041573625 /nfs/dbraw/zinc/57/36/25/1041573625.db2.gz HKYZWQQYUHZZAQ-UHFFFAOYSA-N 0 3 308.397 4.049 20 0 DIADHN Cc1nc(CN(CCc2cccc(F)c2)C2CCCC2)c(C)o1 ZINC000659999158 1041577796 /nfs/dbraw/zinc/57/77/96/1041577796.db2.gz XAXJYFNPPODKNO-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN CC[C@@H](CN[C@@H](c1ccccc1)c1ccccn1)CC(F)F ZINC000660020524 1041583746 /nfs/dbraw/zinc/58/37/46/1041583746.db2.gz FIBXJWWUKHXPKM-KDOFPFPSSA-N 0 3 304.384 4.442 20 0 DIADHN CC(C)(NC[C@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccc1F ZINC001170651805 1041587892 /nfs/dbraw/zinc/58/78/92/1041587892.db2.gz YGKSPKJGGKOPEJ-RISCZKNCSA-N 0 3 319.342 4.150 20 0 DIADHN OCC1([C@@H](NCc2ccc3[nH]ccc3c2)c2ccccc2)CCC1 ZINC000628294060 1041598934 /nfs/dbraw/zinc/59/89/34/1041598934.db2.gz DTJGGCFBVPMYDK-FQEVSTJZSA-N 0 3 320.436 4.161 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCC[C@H]1c1nc2ccccc2n1C ZINC000660096495 1041609561 /nfs/dbraw/zinc/60/95/61/1041609561.db2.gz VQWWXJTVQBQEBP-BBRMVZONSA-N 0 3 321.415 4.392 20 0 DIADHN CO[C@H](CN1CCCC[C@@H]1c1nc2ccccc2o1)C1CCC1 ZINC000660102390 1041615722 /nfs/dbraw/zinc/61/57/22/1041615722.db2.gz ZPBZTPDMSLFHFH-SJLPKXTDSA-N 0 3 314.429 4.170 20 0 DIADHN C[C@@H]([NH2+][C@@H]1CCOC2(CCCCC2)C1)c1cc([O-])cc(F)c1 ZINC000925564334 1041618920 /nfs/dbraw/zinc/61/89/20/1041618920.db2.gz YLHARDFJJPFFNZ-CZUORRHYSA-N 0 3 307.409 4.064 20 0 DIADHN CC[C@@H](CC(F)F)CN1CC(c2nc3ccccc3s2)C1 ZINC000660149044 1041632272 /nfs/dbraw/zinc/63/22/72/1041632272.db2.gz ZQOGDBMKGKQRQS-NSHDSACASA-N 0 3 310.413 4.377 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cccc2c1CC=C2 ZINC001170681071 1041632206 /nfs/dbraw/zinc/63/22/06/1041632206.db2.gz FEYMHPWOGHPTNV-AWEZNQCLSA-N 0 3 301.393 4.128 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2c(c1)C=CC2 ZINC001170682649 1041636468 /nfs/dbraw/zinc/63/64/68/1041636468.db2.gz QCSBBGIWKHLWSH-AWEZNQCLSA-N 0 3 301.393 4.128 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H]2CCCc3ccc(O)cc32)c1 ZINC000812718317 1041640340 /nfs/dbraw/zinc/64/03/40/1041640340.db2.gz PNOVTXQJYPMJHB-HXUWFJFHSA-N 0 3 311.425 4.347 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCCc3c(O)cccc31)CCC2 ZINC000812729626 1041641712 /nfs/dbraw/zinc/64/17/12/1041641712.db2.gz QJWHQVLEYRAHJZ-HOCLYGCPSA-N 0 3 314.454 4.202 20 0 DIADHN C[C@H]1CN(Cc2cccc(OC(F)F)c2)Cc2ccccc21 ZINC000747812121 1041642598 /nfs/dbraw/zinc/64/25/98/1041642598.db2.gz UDFXFTYYVBLQRS-ZDUSSCGKSA-N 0 3 303.352 4.407 20 0 DIADHN C=Cc1ccc(CCNCc2c(Cl)n(C)nc2C(C)C)cc1 ZINC000812772894 1041645333 /nfs/dbraw/zinc/64/53/33/1041645333.db2.gz MMICZOMPCRDYRI-UHFFFAOYSA-N 0 3 317.864 4.172 20 0 DIADHN Clc1ccc(C2=CCN([C@@H]3C[C@@H]3c3ccccc3)CC2)cn1 ZINC000812830852 1041654571 /nfs/dbraw/zinc/65/45/71/1041654571.db2.gz ZGMHSZQZFCTLII-QZTJIDSGSA-N 0 3 310.828 4.380 20 0 DIADHN C[C@H](CNCc1cc(-c2ccccc2)on1)c1ccc(F)cc1 ZINC000584737211 1041655733 /nfs/dbraw/zinc/65/57/33/1041655733.db2.gz MNVKDSVYKKLPTG-CQSZACIVSA-N 0 3 310.372 4.374 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)[C@@H]2C[C@H]2c2cccs2)[n-]1 ZINC000417324729 1041657736 /nfs/dbraw/zinc/65/77/36/1041657736.db2.gz DBYVVQPEZYETDR-PDWCTOEPSA-N 0 3 318.490 4.223 20 0 DIADHN CCC(CC)[C@@H](NCc1cc(OC)ns1)c1cccs1 ZINC000660189807 1041658077 /nfs/dbraw/zinc/65/80/77/1041658077.db2.gz QGGDZWMKVOZABS-OAHLLOKOSA-N 0 3 310.488 4.480 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCSc1ccc(F)cc1F ZINC000660194122 1041659989 /nfs/dbraw/zinc/65/99/89/1041659989.db2.gz DJEDSQWNHBWYAA-SECBINFHSA-N 0 3 312.385 4.013 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1ncc(Br)cc1Cl ZINC000660196159 1041660292 /nfs/dbraw/zinc/66/02/92/1041660292.db2.gz GZVLUZTYYYQBCS-DTWKUNHWSA-N 0 3 305.647 4.022 20 0 DIADHN CSc1cccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000660203350 1041668180 /nfs/dbraw/zinc/66/81/80/1041668180.db2.gz SCJYVKXXJGTPNV-INIZCTEOSA-N 0 3 303.475 4.019 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000162430887 1041678152 /nfs/dbraw/zinc/67/81/52/1041678152.db2.gz WUFHRGJGHNFVPR-YPMHNXCESA-N 0 3 319.430 4.032 20 0 DIADHN Cc1csc([C@@H](C)N[C@H](C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000162430764 1041678462 /nfs/dbraw/zinc/67/84/62/1041678462.db2.gz WUFHRGJGHNFVPR-DGCLKSJQSA-N 0 3 319.430 4.032 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCc3cccc(N)c3)oc21 ZINC000660256632 1041694836 /nfs/dbraw/zinc/69/48/36/1041694836.db2.gz KMJXGYUXFQDOLH-CYBMUJFWSA-N 0 3 310.397 4.265 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3ccc(Cl)cn3)C2)cc1 ZINC000584875077 1041697992 /nfs/dbraw/zinc/69/79/92/1041697992.db2.gz ZBCLQMHZZXXKDI-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H]3COC(C)(C)C3)oc21 ZINC000417859194 1041706708 /nfs/dbraw/zinc/70/67/08/1041706708.db2.gz QZVSPXBNKKGMPU-OCCSQVGLSA-N 0 3 303.402 4.050 20 0 DIADHN c1ccc(-c2nnc(CNC[C@@H]3C[C@H]3c3ccccc3)s2)cc1 ZINC000417937641 1041712389 /nfs/dbraw/zinc/71/23/89/1041712389.db2.gz SPUITXDIJKSOJO-IRXDYDNUSA-N 0 3 321.449 4.098 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCCN(C)c3ccccc32)c(C)n1 ZINC000651465720 1041720456 /nfs/dbraw/zinc/72/04/56/1041720456.db2.gz UFGKINROGWYOMK-APWZRJJASA-N 0 3 309.457 4.320 20 0 DIADHN COc1cc(CN[C@H]2CCc3ccc(F)cc32)ccc1Cl ZINC000651467832 1041721962 /nfs/dbraw/zinc/72/19/62/1041721962.db2.gz IACOEOFPQARIGS-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN CCSc1ccccc1CN1CCC(c2ccon2)CC1 ZINC000931060315 1041723994 /nfs/dbraw/zinc/72/39/94/1041723994.db2.gz USINWRXIKDXFQF-UHFFFAOYSA-N 0 3 302.443 4.166 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N1CCC(Cc2cccc(F)c2F)CC1 ZINC000651472552 1041727204 /nfs/dbraw/zinc/72/72/04/1041727204.db2.gz XWTQELDTTSXSGW-ZDUSSCGKSA-N 0 3 319.399 4.012 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](C)c2ccc(C3CC3)cc2)cn1 ZINC000418119361 1041729088 /nfs/dbraw/zinc/72/90/88/1041729088.db2.gz XHROAOTWFPEBBK-HNNXBMFYSA-N 0 3 309.457 4.266 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)CCCCCC(C)C ZINC000747874722 1041730583 /nfs/dbraw/zinc/73/05/83/1041730583.db2.gz PTBAWEDRYOQYQX-UHFFFAOYSA-N 0 3 320.477 4.302 20 0 DIADHN c1ccc(-c2ccc3c(c2)CN(CCOCC2CC2)CC3)cc1 ZINC001170713127 1041733882 /nfs/dbraw/zinc/73/38/82/1041733882.db2.gz YCDDTLHNRFJOBP-UHFFFAOYSA-N 0 3 307.437 4.138 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000814179747 1041780856 /nfs/dbraw/zinc/78/08/56/1041780856.db2.gz YARJHRQPLBSUHG-NSHDSACASA-N 0 3 321.458 4.310 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3ncc(C(F)(F)F)cc3Cl)C[C@@H]21 ZINC001170716162 1041746068 /nfs/dbraw/zinc/74/60/68/1041746068.db2.gz PPVNCJZFIDDYRE-RTCCRHLQSA-N 0 3 318.770 4.278 20 0 DIADHN O[C@H](CNCc1sccc1Cl)c1cc2ccccc2s1 ZINC000193148722 1041763159 /nfs/dbraw/zinc/76/31/59/1041763159.db2.gz RCVBETYXDOEWKX-GFCCVEGCSA-N 0 3 323.870 4.439 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccccc2-n2cccn2)c(C)o1 ZINC000364372233 1041766798 /nfs/dbraw/zinc/76/67/98/1041766798.db2.gz CKYVVWNZQOEPAS-HUUCEWRRSA-N 0 3 309.413 4.494 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3ccsc3Br)C[C@@H]21 ZINC001170725469 1041769373 /nfs/dbraw/zinc/76/93/73/1041769373.db2.gz YJGQXSLEWCXPKP-JGPRNRPPSA-N 0 3 300.265 4.035 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CC[C@]3(CC3(F)F)C2)o1 ZINC000652062654 1041771384 /nfs/dbraw/zinc/77/13/84/1041771384.db2.gz RHUGSSHEZUUVTR-INIZCTEOSA-N 0 3 307.315 4.317 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CC[C@@]2(CC2(F)F)C1 ZINC000652063389 1041771835 /nfs/dbraw/zinc/77/18/35/1041771835.db2.gz KQHSXPNVPFGWKG-CQSZACIVSA-N 0 3 303.755 4.143 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@]2(C1)CCc1ccccc1O2 ZINC001170731753 1041779064 /nfs/dbraw/zinc/77/90/64/1041779064.db2.gz GJOVSPYUMREIDR-QRWLVFNGSA-N 0 3 311.400 4.356 20 0 DIADHN C[C@]12CCN(Cc3ccccc3N3CCCCC3)C[C@H]1C2(F)F ZINC000814169987 1041782302 /nfs/dbraw/zinc/78/23/02/1041782302.db2.gz PIOBZHOPCXYACI-MSOLQXFVSA-N 0 3 320.427 4.154 20 0 DIADHN Cc1ccc(CN(C)C[C@H](O)c2ccc(Cl)c(F)c2)s1 ZINC000759862462 1041783050 /nfs/dbraw/zinc/78/30/50/1041783050.db2.gz JWJZRTVJNRXXLE-HNNXBMFYSA-N 0 3 313.825 4.014 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc(Cl)c(F)c2)c(C)o1 ZINC000759867726 1041784237 /nfs/dbraw/zinc/78/42/37/1041784237.db2.gz LDCOLMAKSWXOAP-HWPZZCPQSA-N 0 3 311.784 4.073 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCO[C@@H]1CC1(F)F ZINC000660422983 1041784436 /nfs/dbraw/zinc/78/44/36/1041784436.db2.gz IMVJFAJVRPTEBE-QWHCGFSZSA-N 0 3 323.305 4.002 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2o1 ZINC000605768471 1041801535 /nfs/dbraw/zinc/80/15/35/1041801535.db2.gz OKVXQKYZZNLGBA-HIFRSBDPSA-N 0 3 310.372 4.345 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3coc(-c4ccc(F)cc4)n3)C[C@@H]21 ZINC001170737509 1041801736 /nfs/dbraw/zinc/80/17/36/1041801736.db2.gz ZHCQDMGOZYEABD-MKZZRHPPSA-N 0 3 300.377 4.005 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N3Cc4ccc(Br)cc4C3)C[C@@H]21 ZINC001170737621 1041802443 /nfs/dbraw/zinc/80/24/43/1041802443.db2.gz AXSUIRWHDSREHH-QKDCVEJESA-N 0 3 306.247 4.199 20 0 DIADHN C[C@H](NC1CCCCCCC1)c1ncc(Br)cn1 ZINC000814302672 1041808665 /nfs/dbraw/zinc/80/86/65/1041808665.db2.gz YQNBEAVNVBNNTI-NSHDSACASA-N 0 3 312.255 4.003 20 0 DIADHN CCOC(=O)C1(N(C)CC[C@H]2CCCC2(F)F)CCCCC1 ZINC001167765559 1041815823 /nfs/dbraw/zinc/81/58/23/1041815823.db2.gz FVEZNOPYYQLCAP-CQSZACIVSA-N 0 3 317.420 4.010 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)CCOCC1CCCC1)CCC2 ZINC001167767571 1041823755 /nfs/dbraw/zinc/82/37/55/1041823755.db2.gz XIXKISNVSRSKNT-INIZCTEOSA-N 0 3 322.518 4.222 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)co1 ZINC000660535424 1041827491 /nfs/dbraw/zinc/82/74/91/1041827491.db2.gz JPYCJBYTEWPAPD-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN OCC1([C@H](NCc2ccc(CF)cc2)c2ccccc2)CCC1 ZINC000814668354 1041851077 /nfs/dbraw/zinc/85/10/77/1041851077.db2.gz PWDJWUZHPODDRL-LJQANCHMSA-N 0 3 313.416 4.150 20 0 DIADHN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CC[C@@H]1CCCCO1 ZINC000660704736 1041853497 /nfs/dbraw/zinc/85/34/97/1041853497.db2.gz CFISMQRFLJVMII-OCCSQVGLSA-N 0 3 321.852 4.168 20 0 DIADHN c1c2cnccc2oc1CN1CCC(OCc2ccccc2)CC1 ZINC000651610366 1041855754 /nfs/dbraw/zinc/85/57/54/1041855754.db2.gz DWKRMMVSJFLZAI-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN CCCC[C@H](CC)CN(C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764372 1041860730 /nfs/dbraw/zinc/86/07/30/1041860730.db2.gz OBKYMFMVARUXDC-DOTOQJQBSA-N 0 3 300.450 4.042 20 0 DIADHN CN(CC1CCC(C)(C)CC1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764632 1041860863 /nfs/dbraw/zinc/86/08/63/1041860863.db2.gz PIYUHEUSOYEHFX-QGZVFWFLSA-N 0 3 312.461 4.042 20 0 DIADHN CC(C)c1nc(CN2CC[C@@H](c3ccco3)C2)c2ccccn21 ZINC000651638276 1041861440 /nfs/dbraw/zinc/86/14/40/1041861440.db2.gz SVYBRJOQRXRIBJ-OAHLLOKOSA-N 0 3 309.413 4.040 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N3Cc4cnc(-c5ccc(F)cc5)nc4C3)C[C@@H]21 ZINC001170765372 1041866319 /nfs/dbraw/zinc/86/63/19/1041866319.db2.gz SQHPLYGLABCPQY-OSYLJGHBSA-N 0 3 323.415 4.033 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1CCC(C)(C)CO1 ZINC001170768607 1041876749 /nfs/dbraw/zinc/87/67/49/1041876749.db2.gz BILOOJRTHHUVGI-DOTOQJQBSA-N 0 3 305.462 4.283 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C(C)(C)C)C[C@H]2C)s1 ZINC001170769338 1041877873 /nfs/dbraw/zinc/87/78/73/1041877873.db2.gz OYPQJCXMSGFIQG-OLZOCXBDSA-N 0 3 309.475 4.181 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C(C)(C)C)C[C@@H]2C)s1 ZINC001170769340 1041877944 /nfs/dbraw/zinc/87/79/44/1041877944.db2.gz OYPQJCXMSGFIQG-STQMWFEESA-N 0 3 309.475 4.181 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C(C)(C)C)C[C@@H]2C)s1 ZINC001170769339 1041878200 /nfs/dbraw/zinc/87/82/00/1041878200.db2.gz OYPQJCXMSGFIQG-QWHCGFSZSA-N 0 3 309.475 4.181 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651703291 1041886910 /nfs/dbraw/zinc/88/69/10/1041886910.db2.gz UKNSZWLHJKHMIK-OAHLLOKOSA-N 0 3 321.424 4.247 20 0 DIADHN COc1ccc(C2(NCc3cc4cnccc4o3)CCCC2)cc1 ZINC000651712808 1041889943 /nfs/dbraw/zinc/88/99/43/1041889943.db2.gz SKRQUMKEXGPAGY-UHFFFAOYSA-N 0 3 322.408 4.396 20 0 DIADHN c1c2cnccc2oc1CN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000651742103 1041900561 /nfs/dbraw/zinc/90/05/61/1041900561.db2.gz DBRWZLMADUNXHN-BEFAXECRSA-N 0 3 322.408 4.085 20 0 DIADHN C[C@@H]1c2ccsc2CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000815011625 1041903469 /nfs/dbraw/zinc/90/34/69/1041903469.db2.gz UJUWEKAOIOYCNU-IUODEOHRSA-N 0 3 313.422 4.147 20 0 DIADHN CCN(Cc1ccnc(Br)c1)Cc1ccccc1C ZINC000815014293 1041904645 /nfs/dbraw/zinc/90/46/45/1041904645.db2.gz JTJPAQHGICNAQK-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cccc2c1CCC2 ZINC000651763914 1041905441 /nfs/dbraw/zinc/90/54/41/1041905441.db2.gz UHAJBCHOYWGXNE-CQSZACIVSA-N 0 3 311.473 4.286 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1ccnc(Br)c1 ZINC000815029264 1041907589 /nfs/dbraw/zinc/90/75/89/1041907589.db2.gz PUYTUEXZVMIEBB-CYBMUJFWSA-N 0 3 311.267 4.245 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000815152419 1041920699 /nfs/dbraw/zinc/92/06/99/1041920699.db2.gz SDLOMHZQGMKPOB-SCZZXKLOSA-N 0 3 311.269 4.315 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651814707 1041921201 /nfs/dbraw/zinc/92/12/01/1041921201.db2.gz FWFMIRHASFJOTI-FOIQADDNSA-N 0 3 310.441 4.214 20 0 DIADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651844710 1041932538 /nfs/dbraw/zinc/93/25/38/1041932538.db2.gz SDZHEGDCUZQGSQ-BXWFABGCSA-N 0 3 312.457 4.196 20 0 DIADHN C[C@@H](NCCCc1ccc(C(F)(F)F)cc1)c1cscn1 ZINC000661418077 1041935328 /nfs/dbraw/zinc/93/53/28/1041935328.db2.gz FJFDAZWCYHUXEB-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN Cc1cccn2c(CNC3(c4ccccc4C)CCC3)cnc12 ZINC000651862604 1041935678 /nfs/dbraw/zinc/93/56/78/1041935678.db2.gz ZGILDRFDWPICNJ-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN Cc1nccn1CCCCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435787 1041937279 /nfs/dbraw/zinc/93/72/79/1041937279.db2.gz HNEHITXNIRYBPN-SFHVURJKSA-N 0 3 313.445 4.182 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(Cc2cc3cnccc3o2)C1 ZINC000651875140 1041940309 /nfs/dbraw/zinc/94/03/09/1041940309.db2.gz VBRJYGILZGOMQC-LJQANCHMSA-N 0 3 310.372 4.131 20 0 DIADHN CC(C)[C@H]1CN(Cc2cc(Cl)nc3ccccc23)CCCO1 ZINC000815318622 1041944128 /nfs/dbraw/zinc/94/41/28/1041944128.db2.gz WIHKJHWGAISGNE-QGZVFWFLSA-N 0 3 318.848 4.135 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cc(Cl)nc3ccccc23)CCCO1 ZINC000815318621 1041944334 /nfs/dbraw/zinc/94/43/34/1041944334.db2.gz WIHKJHWGAISGNE-KRWDZBQOSA-N 0 3 318.848 4.135 20 0 DIADHN CC[C@H](OCCN[C@H]1CCCc2cccnc21)c1ccccc1 ZINC000651908831 1041949576 /nfs/dbraw/zinc/94/95/76/1041949576.db2.gz OQZVHSYIKHSKIU-OALUTQOASA-N 0 3 310.441 4.217 20 0 DIADHN Nc1ccnc2cc(-c3cccc(Br)c3F)ccc12 ZINC001245483677 1041953350 /nfs/dbraw/zinc/95/33/50/1041953350.db2.gz IYRYVCLGZZEOSM-UHFFFAOYSA-N 0 3 317.161 4.386 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2ccc(F)cc2C)c1 ZINC000815560261 1041962558 /nfs/dbraw/zinc/96/25/58/1041962558.db2.gz CAILQXBUCIIYOL-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc(Cn2cccn2)cc1 ZINC000815556165 1041962628 /nfs/dbraw/zinc/96/26/28/1041962628.db2.gz PDAYOBISLURXMZ-MRXNPFEDSA-N 0 3 323.415 4.230 20 0 DIADHN CCCOc1ccc(Nc2ccccc2CN(C)C)cc1F ZINC001211670510 1041966494 /nfs/dbraw/zinc/96/64/94/1041966494.db2.gz SNQSXUDUMFMCON-UHFFFAOYSA-N 0 3 302.393 4.420 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](CCCO)c1ccccc1 ZINC000815582662 1041967538 /nfs/dbraw/zinc/96/75/38/1041967538.db2.gz MHXJYBLSBBINRY-BEFAXECRSA-N 0 3 301.405 4.299 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C(C)C)[C@H](C)c1ccccc1 ZINC001170793601 1041968968 /nfs/dbraw/zinc/96/89/68/1041968968.db2.gz ADFDMOJJXVDEMQ-QZTJIDSGSA-N 0 3 318.505 4.351 20 0 DIADHN C[C@H](N[C@@H]1CCc2sc(Br)cc21)c1ccc[nH]1 ZINC000815599231 1041970299 /nfs/dbraw/zinc/97/02/99/1041970299.db2.gz OBSPBRUKMJHDED-GZMMTYOYSA-N 0 3 311.248 4.177 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCC[C@H]2C)c2ccccn2)cc1 ZINC000652196427 1041976772 /nfs/dbraw/zinc/97/67/72/1041976772.db2.gz SAKNRCUBBHXXBU-SYNHAJSKSA-N 0 3 310.441 4.205 20 0 DIADHN O=C(CN(C1CC1)C1CCCCC1)Nc1ccc(F)cc1Cl ZINC000048008759 1041977940 /nfs/dbraw/zinc/97/79/40/1041977940.db2.gz PKNUFPZCTGJYML-UHFFFAOYSA-N 0 3 324.827 4.215 20 0 DIADHN CC[C@@H](NCCO[C@@H](CC)c1ccccc1)c1ccc(F)cn1 ZINC000652236526 1041980449 /nfs/dbraw/zinc/98/04/49/1041980449.db2.gz QEWHNTDSXXCIMU-MJGOQNOKSA-N 0 3 316.420 4.429 20 0 DIADHN CCc1ccccc1-c1nc(CN[C@H]2CCCOCC2)cs1 ZINC001170803361 1041995505 /nfs/dbraw/zinc/99/55/05/1041995505.db2.gz HKUBQNJATSRQCO-HNNXBMFYSA-N 0 3 316.470 4.031 20 0 DIADHN CCC[C@H](N[C@@H](CO)CCF)c1ccc(Cl)cc1Cl ZINC000652304757 1041997143 /nfs/dbraw/zinc/99/71/43/1041997143.db2.gz QGXFKWUPARFHNC-RISCZKNCSA-N 0 3 308.224 4.145 20 0 DIADHN C[C@H]1C[C@H](NCC2SCCS2)c2ccsc2S1 ZINC000692827996 1041997421 /nfs/dbraw/zinc/99/74/21/1041997421.db2.gz UYCUMMCJJNMEII-WPRPVWTQSA-N 0 3 303.543 4.069 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000661876367 1042014371 /nfs/dbraw/zinc/01/43/71/1042014371.db2.gz RAQMHYARCUHCOM-DDUZABMNSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000661880806 1042014548 /nfs/dbraw/zinc/01/45/48/1042014548.db2.gz RIEHEPGPYRQGMA-LBTNJELSSA-N 0 3 309.400 4.307 20 0 DIADHN CC[C@@H](NCC1CCCCCC1)c1nnc2n1CCCCC2 ZINC000661939964 1042027190 /nfs/dbraw/zinc/02/71/90/1042027190.db2.gz DKXNADMVLYTGDY-MRXNPFEDSA-N 0 3 304.482 4.016 20 0 DIADHN O=C1CCCC12CCN(CCOc1ccc3ccccc3c1)CC2 ZINC000661949676 1042028430 /nfs/dbraw/zinc/02/84/30/1042028430.db2.gz ADALZEMCADZCSK-UHFFFAOYSA-N 0 3 323.436 4.054 20 0 DIADHN O=C1CCCC12CCN(CCSc1ccc(Cl)cc1)CC2 ZINC000661953610 1042028957 /nfs/dbraw/zinc/02/89/57/1042028957.db2.gz QRHGGKWWQPTHJW-UHFFFAOYSA-N 0 3 323.889 4.267 20 0 DIADHN c1coc([C@@H]2CCCCCN2C[C@@H]2COc3ccccc3O2)c1 ZINC000605903229 1042034072 /nfs/dbraw/zinc/03/40/72/1042034072.db2.gz PHUGQVTWXSVEEE-CVEARBPZSA-N 0 3 313.397 4.037 20 0 DIADHN C[C@H](NCc1ncc(-c2ccccc2F)o1)C1CCC(F)CC1 ZINC000662000238 1042038972 /nfs/dbraw/zinc/03/89/72/1042038972.db2.gz CBVOGRKDOGIVJK-HSBZDZAISA-N 0 3 320.383 4.487 20 0 DIADHN C[C@H](NCc1ncc(Cl)cc1Cl)C1CCC(F)CC1 ZINC000662001149 1042039305 /nfs/dbraw/zinc/03/93/05/1042039305.db2.gz LLIPRXZBPMDVQI-BMQDGWLCSA-N 0 3 305.224 4.395 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC(F)CC1)c1nnc(-c2ccccc2)o1 ZINC000662003519 1042039770 /nfs/dbraw/zinc/03/97/70/1042039770.db2.gz RHCXXVOSWYXJQE-RNDNHVFHSA-N 0 3 317.408 4.304 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC(F)CC1)c1nc(-c2ccccc2)no1 ZINC000662002680 1042039937 /nfs/dbraw/zinc/03/99/37/1042039937.db2.gz IQAAXMDAINYYFX-RNDNHVFHSA-N 0 3 317.408 4.304 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2ccccc2)no1)C1CCC(F)CC1 ZINC000662002678 1042040173 /nfs/dbraw/zinc/04/01/73/1042040173.db2.gz IQAAXMDAINYYFX-NNNQGMHWSA-N 0 3 317.408 4.304 20 0 DIADHN C[C@@H](NCc1coc(-c2ccc(F)cc2)n1)C1CCC(F)CC1 ZINC000662002927 1042040385 /nfs/dbraw/zinc/04/03/85/1042040385.db2.gz LRKBRLQKIUJWRJ-DNOWBOINSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@H](NCc1cc(-c2cccs2)on1)C1CCC(F)CC1 ZINC000662003948 1042040443 /nfs/dbraw/zinc/04/04/43/1042040443.db2.gz VOFOIYDOAYJPSD-HIFPTAJRSA-N 0 3 308.422 4.410 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC(F)CC1)c1nc(-c2ccccc2)no1 ZINC000662002679 1042040543 /nfs/dbraw/zinc/04/05/43/1042040543.db2.gz IQAAXMDAINYYFX-NOZYUVCASA-N 0 3 317.408 4.304 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC(F)CC1)c1nc(-c2ccccc2)no1 ZINC000662002677 1042040668 /nfs/dbraw/zinc/04/06/68/1042040668.db2.gz IQAAXMDAINYYFX-ILGZHXLLSA-N 0 3 317.408 4.304 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487372448 1042041507 /nfs/dbraw/zinc/04/15/07/1042041507.db2.gz XJVVZGVYASFHHR-RYUDHWBXSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487372450 1042041517 /nfs/dbraw/zinc/04/15/17/1042041517.db2.gz XJVVZGVYASFHHR-VXGBXAGGSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CCC[C@@H](C2CCC2)C1 ZINC000662040610 1042046997 /nfs/dbraw/zinc/04/69/97/1042046997.db2.gz VELDIPKMFYCYJS-RHSMWYFYSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1ccc(SCCN2CCC(c3ccon3)CC2)cc1C ZINC000662048211 1042048301 /nfs/dbraw/zinc/04/83/01/1042048301.db2.gz USHHXIYAWPLLOY-UHFFFAOYSA-N 0 3 316.470 4.263 20 0 DIADHN CC(C)CC1(NCC(=O)Nc2ccc(Cl)cc2Cl)CC1 ZINC000662070471 1042055138 /nfs/dbraw/zinc/05/51/38/1042055138.db2.gz UBGBVGHFNYDSAT-UHFFFAOYSA-N 0 3 315.244 4.100 20 0 DIADHN CC(C)CC1(NCC(=O)Nc2cc(Cl)ccc2Cl)CC1 ZINC000662071047 1042055816 /nfs/dbraw/zinc/05/58/16/1042055816.db2.gz YHXJQQXCZXQXQL-UHFFFAOYSA-N 0 3 315.244 4.100 20 0 DIADHN CC[C@@H](c1ccccc1)N(Cc1cn2cccnc2n1)C(C)C ZINC000662109083 1042066808 /nfs/dbraw/zinc/06/68/08/1042066808.db2.gz SJFVPNKWSCTCBV-SFHVURJKSA-N 0 3 308.429 4.091 20 0 DIADHN C[C@H](c1ccc2c(c1)CCC2)N1CC[C@H](n2cc(Cl)cn2)C1 ZINC001170834704 1042068635 /nfs/dbraw/zinc/06/86/35/1042068635.db2.gz GRBZSQITBOMJEZ-ACJLOTCBSA-N 0 3 315.848 4.033 20 0 DIADHN C[C@H](Cc1ccccc1)N(Cc1cncn1C)Cc1ccccc1 ZINC000662154809 1042073162 /nfs/dbraw/zinc/07/31/62/1042073162.db2.gz KZVTVHVSCIDDDJ-GOSISDBHSA-N 0 3 319.452 4.054 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001135718466 1042084689 /nfs/dbraw/zinc/08/46/89/1042084689.db2.gz SQCGJDUFFSFNRI-ICSRJNTNSA-N 0 3 316.489 4.156 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1cnn(C)c1)c1ccc(OC)cc1 ZINC000165040250 1042086968 /nfs/dbraw/zinc/08/69/68/1042086968.db2.gz QZMVILSGDHIPLF-KBXCAEBGSA-N 0 3 301.434 4.011 20 0 DIADHN CCCC[C@H](N[C@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000165049293 1042087777 /nfs/dbraw/zinc/08/77/77/1042087777.db2.gz IFBIEXAJALGMMA-BEFAXECRSA-N 0 3 315.461 4.494 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)NC[C@H](C)N(C)Cc1ccccc1 ZINC001126212960 1042090278 /nfs/dbraw/zinc/09/02/78/1042090278.db2.gz YUQIRPCZBIVNDS-SJORKVTESA-N 0 3 318.505 4.086 20 0 DIADHN CCc1ccc([C@H](C)NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)cc1 ZINC000255955406 1042101865 /nfs/dbraw/zinc/10/18/65/1042101865.db2.gz CZMXKUMHQUTLJE-IXDOHACOSA-N 0 3 324.468 4.166 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(N3CCCCC3)nc2)c1 ZINC000663315639 1042111351 /nfs/dbraw/zinc/11/13/51/1042111351.db2.gz ZFAUJELZEVXHPF-UHFFFAOYSA-N 0 3 323.484 4.485 20 0 DIADHN CCC[C@@H](C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C)C(C)C ZINC001135926050 1042112525 /nfs/dbraw/zinc/11/25/25/1042112525.db2.gz BEAXGYJLMYXVCE-MOPGFXCFSA-N 0 3 318.505 4.040 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N(C)C[C@H]1CC1(C)C ZINC000662347519 1042117280 /nfs/dbraw/zinc/11/72/80/1042117280.db2.gz KYWCWTXHVDJHOZ-WCQYABFASA-N 0 3 319.836 4.429 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccncc1Cl)[C@H](O)c1ccccc1 ZINC000817583563 1042117911 /nfs/dbraw/zinc/11/79/11/1042117911.db2.gz DAUSIENETISUTK-DOPJRALCSA-N 0 3 318.848 4.288 20 0 DIADHN CCCCCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000817562280 1042119479 /nfs/dbraw/zinc/11/94/79/1042119479.db2.gz IWMUWRSTLLDKBR-NSHDSACASA-N 0 3 303.269 4.137 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H]2CCC[C@@H]2c2ccccc2)cs1 ZINC000165235277 1042121222 /nfs/dbraw/zinc/12/12/22/1042121222.db2.gz WPHOJSNNPWPATH-XKQJLSEDSA-N 0 3 316.470 4.276 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C\C2CCCCCC2)c1 ZINC001135964056 1042121660 /nfs/dbraw/zinc/12/16/60/1042121660.db2.gz MQCJVFNPKZQNIW-SEYXRHQNSA-N 0 3 300.446 4.213 20 0 DIADHN Cc1ncccc1CN1CCC(c2nc3ccccc3s2)CC1 ZINC000162236333 1042123122 /nfs/dbraw/zinc/12/31/22/1042123122.db2.gz VUGPAJFNTUWNEK-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)sc32)cc1F ZINC000162301050 1042123328 /nfs/dbraw/zinc/12/33/28/1042123328.db2.gz QVUIRONTSSACDT-YGRLFVJLSA-N 0 3 320.433 4.327 20 0 DIADHN COc1ccc(CN[C@@]2(c3ccccc3)CC2(C)C)cc1OC ZINC000663338487 1042124479 /nfs/dbraw/zinc/12/44/79/1042124479.db2.gz RIMLCDDTHGMGQR-HXUWFJFHSA-N 0 3 311.425 4.119 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001204657882 1042124939 /nfs/dbraw/zinc/12/49/39/1042124939.db2.gz MKWLNVKOUHCESF-QGZVFWFLSA-N 0 3 317.864 4.205 20 0 DIADHN c1ccc2c(c1)CCC[C@H]2NCc1ccnc(N2CCCCC2)c1 ZINC000162465334 1042127957 /nfs/dbraw/zinc/12/79/57/1042127957.db2.gz ADVRZXHPLJLMEY-HXUWFJFHSA-N 0 3 321.468 4.239 20 0 DIADHN Clc1ccc(C2(NCc3ccc(-n4ccnc4)cc3)CC2)cc1 ZINC000069878379 1042149914 /nfs/dbraw/zinc/14/99/14/1042149914.db2.gz MTHIOEIJVYUIOD-UHFFFAOYSA-N 0 3 323.827 4.305 20 0 DIADHN C[C@H](NCc1cccs1)c1ccc(OC(F)(F)F)cc1 ZINC000021509660 1042153866 /nfs/dbraw/zinc/15/38/66/1042153866.db2.gz BHPKSNKRBJFYNQ-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN CC/C(=C\C(=O)Nc1ccc2c(c1)CNC2)c1ccc(C)cc1 ZINC000818596701 1042158639 /nfs/dbraw/zinc/15/86/39/1042158639.db2.gz LFWJRLGXSACGQP-RVDMUPIBSA-N 0 3 306.409 4.030 20 0 DIADHN CC1(C)CCC[C@@H](CN2CCC[C@@H]2CC(=O)c2cccs2)O1 ZINC001167810778 1042168196 /nfs/dbraw/zinc/16/81/96/1042168196.db2.gz HCSJHYNTGVUTTL-CABCVRRESA-N 0 3 321.486 4.133 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000658358813 1042169464 /nfs/dbraw/zinc/16/94/64/1042169464.db2.gz ZRLHOLHMNIIQFA-ZJNRKIDTSA-N 0 3 312.438 4.069 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@H](C(C)C)CC3)o2)cc1 ZINC000604053828 1042171678 /nfs/dbraw/zinc/17/16/78/1042171678.db2.gz NFULZINAIFNNTH-INIZCTEOSA-N 0 3 313.445 4.303 20 0 DIADHN FCCOCCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000663461474 1042174059 /nfs/dbraw/zinc/17/40/59/1042174059.db2.gz DWROOFPXARHNQI-KRWDZBQOSA-N 0 3 307.796 4.005 20 0 DIADHN FCCOCCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000663461477 1042174185 /nfs/dbraw/zinc/17/41/85/1042174185.db2.gz DWROOFPXARHNQI-QGZVFWFLSA-N 0 3 307.796 4.005 20 0 DIADHN CC[C@H](NCc1ccnn1CC)c1ccc2cc(OC)ccc2c1 ZINC000663468318 1042180914 /nfs/dbraw/zinc/18/09/14/1042180914.db2.gz SQIWFOKDAJCILA-FQEVSTJZSA-N 0 3 323.440 4.306 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccnc(Cl)c2)C1(CC)CC ZINC001167820223 1042183733 /nfs/dbraw/zinc/18/37/33/1042183733.db2.gz QZKWKCSVQLCUMD-HUUCEWRRSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cnccc1C(F)(F)F ZINC000663474372 1042184864 /nfs/dbraw/zinc/18/48/64/1042184864.db2.gz NRCZEEQUQSWHOD-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1cnccc1C(F)(F)F ZINC000663474801 1042185338 /nfs/dbraw/zinc/18/53/38/1042185338.db2.gz QWPWQEUHTIJDJL-ZBEGNZNMSA-N 0 3 306.331 4.124 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cncc(Cl)c2)C1(CC)CC ZINC001167821015 1042186287 /nfs/dbraw/zinc/18/62/87/1042186287.db2.gz ZPVBEUQPNINQLX-HOTGVXAUSA-N 0 3 310.869 4.151 20 0 DIADHN C[C@H]1C[C@H](NCc2cnccc2C(F)(F)F)c2ccccc21 ZINC000663475976 1042188356 /nfs/dbraw/zinc/18/83/56/1042188356.db2.gz WVTZQJNXSHLDQU-ZBEGNZNMSA-N 0 3 306.331 4.439 20 0 DIADHN FC(F)(F)[C@@H]1CCCN([C@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170933151 1042191364 /nfs/dbraw/zinc/19/13/64/1042191364.db2.gz HUEBGYNZLNSQTG-OLZOCXBDSA-N 0 3 317.782 4.472 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCOc1ccc(F)cc1 ZINC000303198449 1042197916 /nfs/dbraw/zinc/19/79/16/1042197916.db2.gz NSVQVNRQGRVFSG-GOSISDBHSA-N 0 3 315.388 4.050 20 0 DIADHN Cc1cccc(CN2CCC[C@@H]2c2cccc3c2OCCO3)c1 ZINC000303228576 1042198964 /nfs/dbraw/zinc/19/89/64/1042198964.db2.gz XJRYZRURWKQHJL-GOSISDBHSA-N 0 3 309.409 4.103 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCOC2CCCC2)o1 ZINC000604415481 1042211174 /nfs/dbraw/zinc/21/11/74/1042211174.db2.gz RISWDNYLMRDQSH-UHFFFAOYSA-N 0 3 321.367 4.274 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1ncc(Br)cc1F ZINC001170948192 1042218413 /nfs/dbraw/zinc/21/84/13/1042218413.db2.gz FWSVEUQQFPTFCQ-HZMBPMFUSA-N 0 3 315.230 4.042 20 0 DIADHN Fc1cccc(/C=C/CN[C@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC001648933083 1042220901 /nfs/dbraw/zinc/22/09/01/1042220901.db2.gz GVYMSTXRMZYECF-SMYWTMEASA-N 0 3 311.400 4.349 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1ccc(C(F)(F)F)nc1Cl ZINC000820847104 1042231367 /nfs/dbraw/zinc/23/13/67/1042231367.db2.gz RIGXOBUZGXBBIT-DTWKUNHWSA-N 0 3 324.799 4.300 20 0 DIADHN CC(C)CCCCC(=O)N(CCN(C)C)CC1CCCCC1 ZINC001136410691 1042241273 /nfs/dbraw/zinc/24/12/73/1042241273.db2.gz JHVZGLYRKQZMAM-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCCOc1cc(C)cc(C)c1 ZINC000663598646 1042246217 /nfs/dbraw/zinc/24/62/17/1042246217.db2.gz YQIJHFYISPZVMT-AWEZNQCLSA-N 0 3 302.418 4.028 20 0 DIADHN Cc1cnc(C2CCN(CCOC3CCCCCC3)CC2)s1 ZINC000433166374 1042250150 /nfs/dbraw/zinc/25/01/50/1042250150.db2.gz KEDQMMHRURKSGX-UHFFFAOYSA-N 0 3 322.518 4.370 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)CCCCCC(C)C)N(C)C)cc1 ZINC001136448909 1042251027 /nfs/dbraw/zinc/25/10/27/1042251027.db2.gz RILJJAHFFUURSL-LJQANCHMSA-N 0 3 318.505 4.320 20 0 DIADHN CC1(C)OC[C@@H](CN2CC3(CCCCC3)[C@@H]2c2ccccc2)O1 ZINC001170966627 1042252455 /nfs/dbraw/zinc/25/24/55/1042252455.db2.gz CDDQFVIHGACSNC-MSOLQXFVSA-N 0 3 315.457 4.145 20 0 DIADHN CCc1ccc(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)cc1 ZINC001648941305 1042253227 /nfs/dbraw/zinc/25/32/27/1042253227.db2.gz UBDDLFYZUCDZHC-VQTJNVASSA-N 0 3 313.416 4.398 20 0 DIADHN Fc1ccc(Cl)cc1CNCCN1CCCc2ccccc21 ZINC001648941239 1042253437 /nfs/dbraw/zinc/25/34/37/1042253437.db2.gz RBGNFAFEHXMGCI-UHFFFAOYSA-N 0 3 318.823 4.022 20 0 DIADHN CCC[C@@H](CC)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001136479994 1042261012 /nfs/dbraw/zinc/26/10/12/1042261012.db2.gz ZAMWGHRCQDGILD-MJGOQNOKSA-N 0 3 316.489 4.074 20 0 DIADHN COc1ccccc1[C@@H]1CCN(c2ccnc3ccccc32)C1 ZINC000606157722 1042263810 /nfs/dbraw/zinc/26/38/10/1042263810.db2.gz UPAZDGCDYHURJE-OAHLLOKOSA-N 0 3 304.393 4.237 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(F)c(Cl)c2)c1 ZINC000038010649 1042267516 /nfs/dbraw/zinc/26/75/16/1042267516.db2.gz GZNHIDOUPFPTNZ-GFCCVEGCSA-N 0 3 307.796 4.476 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@@H](C)c1ccc(C)s1 ZINC000167618657 1042269212 /nfs/dbraw/zinc/26/92/12/1042269212.db2.gz MKDOGFGJKIOBAR-HNNXBMFYSA-N 0 3 319.470 4.241 20 0 DIADHN CC(C)CC[C@@H](NCc1nc2ccccc2c(=O)[nH]1)C(C)(C)C ZINC000663677120 1042279421 /nfs/dbraw/zinc/27/94/21/1042279421.db2.gz VIZMERJKOWJQGR-MRXNPFEDSA-N 0 3 315.461 4.276 20 0 DIADHN CCOc1cc(CN[C@H](C)c2sccc2C)ccc1OC ZINC000168812533 1042283971 /nfs/dbraw/zinc/28/39/71/1042283971.db2.gz VHCCQZUJOGJDIC-CYBMUJFWSA-N 0 3 305.443 4.315 20 0 DIADHN COc1c(F)cccc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001143529647 1042284652 /nfs/dbraw/zinc/28/46/52/1042284652.db2.gz AANVBVBCUZMJSI-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN CO[C@H]1C[C@@H](NCc2cc(Cl)cc(Cl)c2F)C12CCC2 ZINC001170990187 1042288819 /nfs/dbraw/zinc/28/88/19/1042288819.db2.gz IFHGOCZFAJGVFM-OLZOCXBDSA-N 0 3 318.219 4.180 20 0 DIADHN CC(C)CCOC1CCN(CC[C@@H]2CC2(Cl)Cl)CC1 ZINC000822583457 1042289902 /nfs/dbraw/zinc/28/99/02/1042289902.db2.gz OCEDYNIWGLJSGO-CYBMUJFWSA-N 0 3 308.293 4.097 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCCO[C@H](c2ccccc2)C1 ZINC000822611399 1042293330 /nfs/dbraw/zinc/29/33/30/1042293330.db2.gz MJYCLQSQMLVUQI-CABCVRRESA-N 0 3 314.256 4.034 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(C)cc2)C2CCC2)cc1O ZINC000187134913 1042301890 /nfs/dbraw/zinc/30/18/90/1042301890.db2.gz SYQQJNGHAYAEAR-FQEVSTJZSA-N 0 3 311.425 4.340 20 0 DIADHN CN(C)CCN(C(=O)CCCCC(C)(C)C)C1CCCCC1 ZINC001136626615 1042302099 /nfs/dbraw/zinc/30/20/99/1042302099.db2.gz GUSWMKLIXBJQNX-UHFFFAOYSA-N 0 3 310.526 4.316 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCC[C@@H]1CC1(Cl)Cl ZINC000822822608 1042302268 /nfs/dbraw/zinc/30/22/68/1042302268.db2.gz RPOXCHMLVXURNI-VHSXEESVSA-N 0 3 306.208 4.069 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CC[C@H]1CC1(Cl)Cl ZINC000822833169 1042302824 /nfs/dbraw/zinc/30/28/24/1042302824.db2.gz YQOPSEORNSCXJX-KXUCPTDWSA-N 0 3 304.183 4.233 20 0 DIADHN CCCCc1ccc([C@H](C)N[C@@H]2CCCc3c2cnn3C)cc1 ZINC000320083511 1042305589 /nfs/dbraw/zinc/30/55/89/1042305589.db2.gz TZKTXWDRMSHZGX-HNAYVOBHSA-N 0 3 311.473 4.491 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCC1)c1ncc(Br)cc1F ZINC000187205796 1042308142 /nfs/dbraw/zinc/30/81/42/1042308142.db2.gz DCBBEYDYEKUOEH-NXEZZACHSA-N 0 3 315.230 4.213 20 0 DIADHN Fc1cccc2c1C[C@H](N1Cc3cccc(Cl)c3C1)CC2 ZINC001171005986 1042313854 /nfs/dbraw/zinc/31/38/54/1042313854.db2.gz VCENCMXTSMTZBZ-CQSZACIVSA-N 0 3 301.792 4.352 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccccc1)C1CCC1 ZINC001136689395 1042316443 /nfs/dbraw/zinc/31/64/43/1042316443.db2.gz GCGBMQDCJJATQB-HXUWFJFHSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN1CCCC(F)(F)[C@H](F)C1 ZINC001207635646 1042323304 /nfs/dbraw/zinc/32/33/04/1042323304.db2.gz KRHPCKFZSDFPGX-UONOGXRCSA-N 0 3 309.416 4.023 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN1CCCC(F)(F)[C@H](F)C1 ZINC001207635647 1042323359 /nfs/dbraw/zinc/32/33/59/1042323359.db2.gz KRHPCKFZSDFPGX-ZIAGYGMSSA-N 0 3 309.416 4.023 20 0 DIADHN COc1cccc(F)c1CN[C@@H]1CCc2c(Cl)cccc2C1 ZINC001171016920 1042326040 /nfs/dbraw/zinc/32/60/40/1042326040.db2.gz CERGTMJJMXENPF-CYBMUJFWSA-N 0 3 319.807 4.135 20 0 DIADHN Cc1cc(CN2CCC([C@H](O)c3ccc(F)cc3)CC2)cs1 ZINC000823442548 1042326536 /nfs/dbraw/zinc/32/65/36/1042326536.db2.gz HZWCJPHTLONTDJ-GOSISDBHSA-N 0 3 319.445 4.141 20 0 DIADHN COc1cccc(F)c1CN[C@H]1CCc2c(Cl)cccc2C1 ZINC001171016921 1042326866 /nfs/dbraw/zinc/32/68/66/1042326866.db2.gz CERGTMJJMXENPF-ZDUSSCGKSA-N 0 3 319.807 4.135 20 0 DIADHN CC(=O)[C@H](N[C@H]1CCc2c(Cl)cccc2C1)c1ccccc1 ZINC001171019721 1042327762 /nfs/dbraw/zinc/32/77/62/1042327762.db2.gz ZOUNSNCIWDAPNW-LPHOPBHVSA-N 0 3 313.828 4.117 20 0 DIADHN Fc1c(Cl)nccc1CNCCCSc1ccccc1 ZINC000823578590 1042332708 /nfs/dbraw/zinc/33/27/08/1042332708.db2.gz FDKOGRYZYPHMOX-UHFFFAOYSA-N 0 3 310.825 4.146 20 0 DIADHN CCn1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)cn1 ZINC000112097089 1042338919 /nfs/dbraw/zinc/33/89/19/1042338919.db2.gz DYJOXYCUVGTPHV-NRFANRHFSA-N 0 3 319.452 4.367 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cccnc1 ZINC000112537874 1042340858 /nfs/dbraw/zinc/34/08/58/1042340858.db2.gz FQPFIDFJKDFBTI-YVEFUNNKSA-N 0 3 318.367 4.411 20 0 DIADHN CC[C@@H](NCc1ccc(OCC(F)(F)F)nc1)c1ccccc1 ZINC000112527242 1042341250 /nfs/dbraw/zinc/34/12/50/1042341250.db2.gz WOSOGVFHWNBVGC-OAHLLOKOSA-N 0 3 324.346 4.264 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@H]1CCc2ccc(F)cc21 ZINC000437113517 1042343701 /nfs/dbraw/zinc/34/37/01/1042343701.db2.gz JRHGNFPKVFUAKL-IBGZPJMESA-N 0 3 312.432 4.447 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc2c(c1)OCCO2)c1ccncc1 ZINC000163676115 1042357291 /nfs/dbraw/zinc/35/72/91/1042357291.db2.gz UYZAGBQGDUKABA-IAGOWNOFSA-N 0 3 312.413 4.045 20 0 DIADHN CCCCOCCN[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000320297164 1042362318 /nfs/dbraw/zinc/36/23/18/1042362318.db2.gz ZYZRQJBHXYTRGW-HXUWFJFHSA-N 0 3 313.441 4.191 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNCC(C)(C)c1ccccc1 ZINC000236839378 1042371782 /nfs/dbraw/zinc/37/17/82/1042371782.db2.gz USXHGYGRKGWXGG-UHFFFAOYSA-N 0 3 319.880 4.264 20 0 DIADHN Brc1cc(CNCc2cccc3[nH]ccc32)cs1 ZINC000397360861 1042392079 /nfs/dbraw/zinc/39/20/79/1042392079.db2.gz LSKSASPFYHDIRV-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1ccc2c[nH]nc2c1 ZINC000237001646 1042374111 /nfs/dbraw/zinc/37/41/11/1042374111.db2.gz SFHYHCDANVXICE-KRWDZBQOSA-N 0 3 309.413 4.203 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H](O)c2ccc3ccccc3c2)o1 ZINC000237466496 1042376608 /nfs/dbraw/zinc/37/66/08/1042376608.db2.gz NRUNHYSJACDYPI-JSNMRZPZSA-N 0 3 321.420 4.379 20 0 DIADHN CC1(CNCc2c(F)cc(Br)cc2F)CCCC1 ZINC001649243763 1042387075 /nfs/dbraw/zinc/38/70/75/1042387075.db2.gz BTUDYPIOMAAHKW-UHFFFAOYSA-N 0 3 318.205 4.397 20 0 DIADHN C[C@H](NCCc1ccncc1)c1cc(F)c(Cl)cc1Cl ZINC000047979606 1042394655 /nfs/dbraw/zinc/39/46/55/1042394655.db2.gz XAIDOIMGLWWLFQ-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN C[C@@H](NCCc1nccs1)c1ccc(Cl)cc1Cl ZINC000063133472 1042395830 /nfs/dbraw/zinc/39/58/30/1042395830.db2.gz WDNSZUFJOJEQSF-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@@H](NCCc1nccs1)c1cc(F)c(Cl)cc1Cl ZINC000063133844 1042395869 /nfs/dbraw/zinc/39/58/69/1042395869.db2.gz KEXOYOTUFIMLIA-MRVPVSSYSA-N 0 3 319.232 4.482 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccc2oc(=O)oc2c1)C1CC1 ZINC000893928022 1042396255 /nfs/dbraw/zinc/39/62/55/1042396255.db2.gz MOWBKNDWOMXCJM-ZDUSSCGKSA-N 0 3 309.365 4.112 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1ccc2oc(=O)oc2c1)C1CC1 ZINC000893928021 1042396507 /nfs/dbraw/zinc/39/65/07/1042396507.db2.gz MOWBKNDWOMXCJM-CYBMUJFWSA-N 0 3 309.365 4.112 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C2CC2)C2CCCC2)c(Cl)c1 ZINC000064808254 1042398624 /nfs/dbraw/zinc/39/86/24/1042398624.db2.gz HMECKPMPDUHCQO-UHFFFAOYSA-N 0 3 320.864 4.302 20 0 DIADHN Cc1ncoc1CN1CCC(c2c(C)[nH]c3ccccc32)CC1 ZINC000893968967 1042399863 /nfs/dbraw/zinc/39/98/63/1042399863.db2.gz PAUUKHUSPUUFMY-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccc(-c2ncco2)cc1F)C1CC1 ZINC000411171455 1042428883 /nfs/dbraw/zinc/42/88/83/1042428883.db2.gz DWGGNYYRSHKKPN-GFCCVEGCSA-N 0 3 300.377 4.244 20 0 DIADHN C[C@@H](NCc1ccnn1C)c1ccc(-c2ccccc2F)s1 ZINC000152178654 1042428959 /nfs/dbraw/zinc/42/89/59/1042428959.db2.gz JJKAHZIKKBJACN-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)c1ccccc1C ZINC000120475918 1042437158 /nfs/dbraw/zinc/43/71/58/1042437158.db2.gz TYZSSQCNSTWSLA-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN CCCN(Cc1ccc(-n2cccn2)cc1)Cc1ccccc1F ZINC000614223050 1042439736 /nfs/dbraw/zinc/43/97/36/1042439736.db2.gz JTUWZEOWIIKODU-UHFFFAOYSA-N 0 3 323.415 4.424 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C[C@H]2CCOC2)c2ccccc2)s1 ZINC000246340353 1042443188 /nfs/dbraw/zinc/44/31/88/1042443188.db2.gz GWEVVIXOWZRHMR-LXZKKBNFSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCOc2ccc(Cl)cc2)on1 ZINC000052009539 1042461436 /nfs/dbraw/zinc/46/14/36/1042461436.db2.gz WYZRVRLWXWYRPD-INIZCTEOSA-N 0 3 320.820 4.242 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@H](CC)c1ccccc1 ZINC000411888044 1042462230 /nfs/dbraw/zinc/46/22/30/1042462230.db2.gz VILPJELLEKIDGU-LJQANCHMSA-N 0 3 324.468 4.491 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1csc(-c2ccccc2)n1)C1CC1 ZINC000411938830 1042463159 /nfs/dbraw/zinc/46/31/59/1042463159.db2.gz DZFRFZBISLSKHG-ACJLOTCBSA-N 0 3 316.470 4.103 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1Cl)c1nccs1 ZINC000411880569 1042463556 /nfs/dbraw/zinc/46/35/56/1042463556.db2.gz JGWNYWIUVRBTLP-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc(C2CC2)cc1 ZINC000419330764 1042471235 /nfs/dbraw/zinc/47/12/35/1042471235.db2.gz BWRWLQPAOUXJEO-CQSZACIVSA-N 0 3 305.425 4.371 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2F)s1)N1C[C@@H](C)[C@H](C)[C@@H]1C ZINC000419351350 1042476254 /nfs/dbraw/zinc/47/62/54/1042476254.db2.gz IYPZGXQTKSWAQO-MROQNXINSA-N 0 3 319.449 4.382 20 0 DIADHN N#CC(C(=O)CCc1ccccc1F)c1ccc2ccccc2n1 ZINC000047375192 1042476416 /nfs/dbraw/zinc/47/64/16/1042476416.db2.gz BJWXRMSCSZHYTI-INIZCTEOSA-N 0 3 318.351 4.183 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000171413704 1042477663 /nfs/dbraw/zinc/47/76/63/1042477663.db2.gz SDFMLINBFOXBGN-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN CCCSC[C@H](C)N[C@H](C)c1ccc(Br)cn1 ZINC000894170861 1042494555 /nfs/dbraw/zinc/49/45/55/1042494555.db2.gz IUVHBMNKMJOQMV-WDEREUQCSA-N 0 3 317.296 4.026 20 0 DIADHN COc1ccccc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001203433922 1042501755 /nfs/dbraw/zinc/50/17/55/1042501755.db2.gz DBTYSEHLVZYKHE-OAHLLOKOSA-N 0 3 301.817 4.338 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2sc(C)nc2C)cc1 ZINC000158437589 1042512118 /nfs/dbraw/zinc/51/21/18/1042512118.db2.gz QUPAGZZTAVFFRC-INIZCTEOSA-N 0 3 302.443 4.106 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1Cl)c1cn(C)cn1 ZINC000924895711 1042513555 /nfs/dbraw/zinc/51/35/55/1042513555.db2.gz METZFZGOBGTRGQ-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccccc2OC)cc1 ZINC001203434775 1042515511 /nfs/dbraw/zinc/51/55/11/1042515511.db2.gz OPACOKWUSPTAQH-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)c1nc(CCN[C@@H]2CCc3c2c(F)ccc3F)cs1 ZINC000513359589 1042535706 /nfs/dbraw/zinc/53/57/06/1042535706.db2.gz OBBBWGDGRHXMNQ-OAHLLOKOSA-N 0 3 322.424 4.364 20 0 DIADHN c1ccc(CN(Cc2ccc3c(c2)OCO3)C2CCCCC2)nc1 ZINC000513419224 1042539006 /nfs/dbraw/zinc/53/90/06/1042539006.db2.gz GDWAEKGIHOCCSI-UHFFFAOYSA-N 0 3 324.424 4.145 20 0 DIADHN COCCCOc1cccc(CN(C)Cc2ccc(C)s2)c1 ZINC000513400733 1042539315 /nfs/dbraw/zinc/53/93/15/1042539315.db2.gz GCYHUDOMHLSMLN-UHFFFAOYSA-N 0 3 319.470 4.104 20 0 DIADHN CCCN(Cc1c(C)nn(C(C)(C)C)c1C)C[C@@H]1CCCCO1 ZINC000513462794 1042543817 /nfs/dbraw/zinc/54/38/17/1042543817.db2.gz YEAYXLFITNGNOX-KRWDZBQOSA-N 0 3 321.509 4.036 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1ccc(C(F)F)cc1 ZINC000631619265 1042548183 /nfs/dbraw/zinc/54/81/83/1042548183.db2.gz SCLCOGHMWSZNRS-QGZVFWFLSA-N 0 3 303.352 4.410 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cnc3ccccn23)CC1 ZINC000513497117 1042548291 /nfs/dbraw/zinc/54/82/91/1042548291.db2.gz RADCXSCRRMDBQT-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN C[C@]1(CNCc2cc(C(F)(F)F)ccc2Cl)CCCO1 ZINC000513502285 1042548847 /nfs/dbraw/zinc/54/88/47/1042548847.db2.gz YKAXDHZYEZAJQG-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000026466088 1042553779 /nfs/dbraw/zinc/55/37/79/1042553779.db2.gz YGXMUEABMYZHOJ-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCC[C@H](C)C2)c(Cl)c1 ZINC000026467757 1042553834 /nfs/dbraw/zinc/55/38/34/1042553834.db2.gz WPNFTBFNQSTOHM-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCC[C@H](C)C2)c(Cl)c1 ZINC000026467772 1042554251 /nfs/dbraw/zinc/55/42/51/1042554251.db2.gz WPNFTBFNQSTOHM-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN CCCN(Cc1csc(CC)n1)[C@H]1CCc2ccccc2C1 ZINC000513625124 1042555713 /nfs/dbraw/zinc/55/57/13/1042555713.db2.gz QJXZUJMXAXKGFJ-SFHVURJKSA-N 0 3 314.498 4.475 20 0 DIADHN Cn1cccc1C(=O)CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000513677980 1042558166 /nfs/dbraw/zinc/55/81/66/1042558166.db2.gz GBXONLGCDDEJEI-LJQANCHMSA-N 0 3 318.420 4.198 20 0 DIADHN Cc1ccnc([C@H](C)NCCOc2ccccc2C(F)(F)F)c1 ZINC000513679665 1042558468 /nfs/dbraw/zinc/55/84/68/1042558468.db2.gz YZSVIWSNHVBQAO-ZDUSSCGKSA-N 0 3 324.346 4.138 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1c[nH]nc1-c1ccccc1 ZINC000513672586 1042558487 /nfs/dbraw/zinc/55/84/87/1042558487.db2.gz LTJVDEXEHYPTPA-OAHLLOKOSA-N 0 3 303.409 4.196 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ccc(CSC(F)F)o2)CC1 ZINC000280144307 1042565222 /nfs/dbraw/zinc/56/52/22/1042565222.db2.gz DHNZOZMTQNROMD-OAHLLOKOSA-N 0 3 319.417 4.126 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc2ccccc2c1 ZINC000280208207 1042567199 /nfs/dbraw/zinc/56/71/99/1042567199.db2.gz TZIFSJSDWPAJTN-LIRRHRJNSA-N 0 3 305.425 4.302 20 0 DIADHN FC(F)Oc1ccc(CN[C@H](c2cccnc2)C2CCC2)cc1 ZINC000280361918 1042571922 /nfs/dbraw/zinc/57/19/22/1042571922.db2.gz UAPLTDAXCODTBL-KRWDZBQOSA-N 0 3 318.367 4.314 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)[C@@H](C)[C@H]1C ZINC000419398731 1042579755 /nfs/dbraw/zinc/57/97/55/1042579755.db2.gz XVKJAJNUMHUIMV-ARFHVFGLSA-N 0 3 302.462 4.033 20 0 DIADHN Fc1cc(CN2CC[C@H](c3ccccn3)C2)ccc1C(F)F ZINC000894245352 1042592088 /nfs/dbraw/zinc/59/20/88/1042592088.db2.gz LCUYIOSSBOMUSK-ZDUSSCGKSA-N 0 3 306.331 4.148 20 0 DIADHN CCc1ncc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)cn1 ZINC000414427371 1042592353 /nfs/dbraw/zinc/59/23/53/1042592353.db2.gz FJXYGXYQGPLJOA-FXAWDEMLSA-N 0 3 309.457 4.088 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C(F)(F)F)c(C)c2)ccn1 ZINC000414444058 1042596704 /nfs/dbraw/zinc/59/67/04/1042596704.db2.gz OYTIXFHWLBZWEB-LBPRGKRZSA-N 0 3 324.346 4.268 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2c3ccccc3C[C@H]2C)c1 ZINC000513716951 1042596785 /nfs/dbraw/zinc/59/67/85/1042596785.db2.gz KBQSBOWHWQLLRT-BWACUDIHSA-N 0 3 315.438 4.119 20 0 DIADHN CC[C@H](NCc1cccc(N)c1)c1ccccc1OC(F)F ZINC000420381589 1042600010 /nfs/dbraw/zinc/60/00/10/1042600010.db2.gz YCWHPQRNUHISEN-HNNXBMFYSA-N 0 3 306.356 4.111 20 0 DIADHN CC(C)(C)[C@H](NCc1cccc(N)c1)c1ccc(F)cc1F ZINC000420403157 1042600833 /nfs/dbraw/zinc/60/08/33/1042600833.db2.gz KRUVRJOLODLYON-QGZVFWFLSA-N 0 3 304.384 4.424 20 0 DIADHN C[C@H](c1nc(-c2ccsc2Cl)no1)N1[C@H](C)CC[C@H]1C ZINC000420500264 1042606656 /nfs/dbraw/zinc/60/66/56/1042606656.db2.gz CVJWWYCQCUPBPT-OPRDCNLKSA-N 0 3 311.838 4.385 20 0 DIADHN C[C@H]1CCCC[C@@H]1c1noc(C2CN(C3CCCCC3)C2)n1 ZINC000420506130 1042608405 /nfs/dbraw/zinc/60/84/05/1042608405.db2.gz RIURUZHFVJRTON-BBRMVZONSA-N 0 3 303.450 4.095 20 0 DIADHN CC(C)Cc1ncc(CN2CCSC3(CCC3)CC2)s1 ZINC000414474045 1042612762 /nfs/dbraw/zinc/61/27/62/1042612762.db2.gz CFIVGPAEDVBRQT-UHFFFAOYSA-N 0 3 310.532 4.203 20 0 DIADHN C[C@@]1(Cc2ccc(F)cc2)CCCN1Cc1ncc(C2CC2)o1 ZINC000420927479 1042615470 /nfs/dbraw/zinc/61/54/70/1042615470.db2.gz OLZUOEVMKADWHM-IBGZPJMESA-N 0 3 314.404 4.288 20 0 DIADHN COc1cccc([C@@H](C)N2CCC[C@H](CC(F)(F)F)C2)c1 ZINC000420942522 1042618724 /nfs/dbraw/zinc/61/87/24/1042618724.db2.gz HBTKRXOQLXFISW-CHWSQXEVSA-N 0 3 301.352 4.421 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CCO2)c1ccccc1OC ZINC000319667994 1042624295 /nfs/dbraw/zinc/62/42/95/1042624295.db2.gz CNBGOUOSIXAUSK-KDOFPFPSSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1ccc(Cl)cc1Cl ZINC000421209435 1042627649 /nfs/dbraw/zinc/62/76/49/1042627649.db2.gz YVLNXNTZYHCWJR-NSHDSACASA-N 0 3 302.245 4.339 20 0 DIADHN CCOC(=O)CCCN1CC=C(c2cccc3ccccc32)CC1 ZINC000142149861 1042628710 /nfs/dbraw/zinc/62/87/10/1042628710.db2.gz MJAPTPVDWRCFDD-UHFFFAOYSA-N 0 3 323.436 4.272 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2cc(C)nc(C)c2)cc1 ZINC000421377156 1042634125 /nfs/dbraw/zinc/63/41/25/1042634125.db2.gz FVBBVTUOZKGSBI-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2cccc(C3CC3)c2)CC1 ZINC000421384139 1042634851 /nfs/dbraw/zinc/63/48/51/1042634851.db2.gz OGWFXKPHQKRMTB-UHFFFAOYSA-N 0 3 313.363 4.107 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000421391748 1042636064 /nfs/dbraw/zinc/63/60/64/1042636064.db2.gz PJPQSMOKTSOGOR-ZDUSSCGKSA-N 0 3 323.362 4.241 20 0 DIADHN C[C@H](NC[C@H]1SCCc2ccccc21)c1ccncc1Cl ZINC000421834552 1042655188 /nfs/dbraw/zinc/65/51/88/1042655188.db2.gz PAEBGIYZSYEMQE-YVEFUNNKSA-N 0 3 318.873 4.416 20 0 DIADHN C[C@H](NC[C@@H]1SCCc2ccccc21)c1ccncc1Cl ZINC000421834550 1042655465 /nfs/dbraw/zinc/65/54/65/1042655465.db2.gz PAEBGIYZSYEMQE-SJCJKPOMSA-N 0 3 318.873 4.416 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)NCC1(C(F)(F)F)CC1 ZINC000421860383 1042658246 /nfs/dbraw/zinc/65/82/46/1042658246.db2.gz UOTARYTUAWCFAC-SECBINFHSA-N 0 3 307.743 4.342 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](C)c1ccncc1Cl ZINC000421859676 1042658293 /nfs/dbraw/zinc/65/82/93/1042658293.db2.gz STGIDPUIVCNHHZ-ZDUSSCGKSA-N 0 3 303.837 4.067 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](CC(F)F)c1ccccc1 ZINC000421876738 1042660469 /nfs/dbraw/zinc/66/04/69/1042660469.db2.gz LAPDASSOZYRJFE-JSGCOSHPSA-N 0 3 313.388 4.093 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](C)c2csc(-c3ccccc3)n2)CCO1 ZINC000421849167 1042660519 /nfs/dbraw/zinc/66/05/19/1042660519.db2.gz BJLKQYJFEBXCCF-VNQPRFMTSA-N 0 3 316.470 4.418 20 0 DIADHN C[C@H](N[C@@H](CC(F)F)c1ccccc1)c1cccc(CO)c1 ZINC000421871297 1042661675 /nfs/dbraw/zinc/66/16/75/1042661675.db2.gz FTUFRKLIOAIHQA-GUYCJALGSA-N 0 3 305.368 4.226 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CC23CCSCC3)cs1 ZINC000421895447 1042662230 /nfs/dbraw/zinc/66/22/30/1042662230.db2.gz YNRRLEUJVWQTGQ-STQMWFEESA-N 0 3 310.532 4.450 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(OCc2ccccc2)cc1)c1cn[nH]c1 ZINC000271436300 1042665023 /nfs/dbraw/zinc/66/50/23/1042665023.db2.gz DTIYMSOHXBDERN-HOTGVXAUSA-N 0 3 321.424 4.401 20 0 DIADHN COCc1nc(CN[C@@H]2CCCC[C@@H]2c2ccccc2)cs1 ZINC000271926355 1042672600 /nfs/dbraw/zinc/67/26/00/1042672600.db2.gz SPCNUNJGGDXAOP-IAGOWNOFSA-N 0 3 316.470 4.106 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1ccc(C(F)(F)F)nn1 ZINC001141486179 1042678377 /nfs/dbraw/zinc/67/83/77/1042678377.db2.gz GGNRUQVCIHHQJF-UHFFFAOYSA-N 0 3 323.362 4.154 20 0 DIADHN CC1(C)CC[C@@H](CNCc2csc(C(F)(F)F)c2)OC1 ZINC000711273854 1042696805 /nfs/dbraw/zinc/69/68/05/1042696805.db2.gz KQSWTFPXJZSISF-NSHDSACASA-N 0 3 307.381 4.062 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000423353339 1042707937 /nfs/dbraw/zinc/70/79/37/1042707937.db2.gz LTOCRAHXZPBYSD-BMLIUANNSA-N 0 3 320.358 4.414 20 0 DIADHN CC(C)[C@@H](NCc1cccc2c1CCCN2)c1ccc(F)cn1 ZINC000423414005 1042711349 /nfs/dbraw/zinc/71/13/49/1042711349.db2.gz LWNWUUYBPKSYNS-LJQANCHMSA-N 0 3 313.420 4.066 20 0 DIADHN CC(C)C[C@@H](NCc1cccc2c1CCCN2)c1ccccn1 ZINC000423410880 1042711361 /nfs/dbraw/zinc/71/13/61/1042711361.db2.gz DTQBRSXRYIJJGL-HXUWFJFHSA-N 0 3 309.457 4.317 20 0 DIADHN CC[C@@H](NCc1cccc2c1CCCN2)c1ccccc1OC ZINC000423410864 1042711842 /nfs/dbraw/zinc/71/18/42/1042711842.db2.gz DSUNAXZCDLHEGV-GOSISDBHSA-N 0 3 310.441 4.294 20 0 DIADHN CC[C@@H](N[C@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccncc1 ZINC000423428318 1042712996 /nfs/dbraw/zinc/71/29/96/1042712996.db2.gz GLGJYEVFMPQUKX-SJORKVTESA-N 0 3 311.429 4.007 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1ccc(F)c(F)c1 ZINC000423437692 1042713532 /nfs/dbraw/zinc/71/35/32/1042713532.db2.gz GLXJRARZHAUGED-LBPRGKRZSA-N 0 3 302.368 4.174 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2ccccc2OC(F)F)c1 ZINC000423479124 1042714592 /nfs/dbraw/zinc/71/45/92/1042714592.db2.gz LTHLMNXEBVNHIR-LBPRGKRZSA-N 0 3 306.356 4.029 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423454487 1042715444 /nfs/dbraw/zinc/71/54/44/1042715444.db2.gz ZECCWRQXWUSWGB-HXUWFJFHSA-N 0 3 322.452 4.357 20 0 DIADHN CC[C@H](NCc1cc(C)cc(N)c1)c1cc(Cl)ccc1OC ZINC000423484519 1042715892 /nfs/dbraw/zinc/71/58/92/1042715892.db2.gz UMPQUBZXODCAET-KRWDZBQOSA-N 0 3 318.848 4.480 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)C[C@H](N)c1ccccc1 ZINC000423757597 1042720096 /nfs/dbraw/zinc/72/00/96/1042720096.db2.gz LPQLKCXJBZVZQM-PZJWPPBQSA-N 0 3 324.468 4.241 20 0 DIADHN CC(C)n1cncc1CN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000424149265 1042727236 /nfs/dbraw/zinc/72/72/36/1042727236.db2.gz WCWJVZUEPXLLKB-UGSOOPFHSA-N 0 3 301.409 4.016 20 0 DIADHN COCCCC[C@H](NCc1cncn1C(C)C)c1ccccc1 ZINC000424186292 1042728173 /nfs/dbraw/zinc/72/81/73/1042728173.db2.gz GRKSKARRGJAPTP-IBGZPJMESA-N 0 3 315.461 4.112 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1ccncc1F)C(=O)OC(C)(C)C ZINC000424331925 1042734340 /nfs/dbraw/zinc/73/43/40/1042734340.db2.gz UKNBHMBGLZIJHJ-ZDUSSCGKSA-N 0 3 324.440 4.019 20 0 DIADHN CCc1cccnc1[C@H](C)NCCC(C)(C)C(=O)OC(C)(C)C ZINC000424329733 1042734349 /nfs/dbraw/zinc/73/43/49/1042734349.db2.gz RCJXLDRVUHAHEN-AWEZNQCLSA-N 0 3 320.477 4.053 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2C[C@H]2C2CCC2)c2ccccc2)nc1 ZINC000424303065 1042735369 /nfs/dbraw/zinc/73/53/69/1042735369.db2.gz YXXSDIVCDZNHDE-QRFRQXIXSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)C1CCCC1)c1cnnn1C ZINC000924970461 1042740185 /nfs/dbraw/zinc/74/01/85/1042740185.db2.gz OLVIARKKCHKRIJ-SJCJKPOMSA-N 0 3 318.852 4.051 20 0 DIADHN CCN([C@H](C)c1cnc(C)nc1C)[C@@H](C)c1cccc(OC)c1 ZINC000637925245 1042751517 /nfs/dbraw/zinc/75/15/17/1042751517.db2.gz ANMKUJLLECBSOO-LSDHHAIUSA-N 0 3 313.445 4.246 20 0 DIADHN C[C@H](NC(=O)CCC1CCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001269735312 1042755455 /nfs/dbraw/zinc/75/54/55/1042755455.db2.gz ZPGSDNRBYNADFV-JXFKEZNVSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@@H](c2ccccc2)C1 ZINC000513821385 1042757686 /nfs/dbraw/zinc/75/76/86/1042757686.db2.gz IDNWRUPSAHPJQD-MAUKXSAKSA-N 0 3 319.408 4.287 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1cccc(N(C)C)c1 ZINC000513965339 1042765045 /nfs/dbraw/zinc/76/50/45/1042765045.db2.gz XMIBKESNOGMCHV-ZDUSSCGKSA-N 0 3 304.384 4.224 20 0 DIADHN Cc1ccc(NC(=O)[C@H](c2ccccc2)N2CC[C@@H](C)C2)cc1 ZINC000514004227 1042766478 /nfs/dbraw/zinc/76/64/78/1042766478.db2.gz DXSJDUBPAFRWRF-APWZRJJASA-N 0 3 308.425 4.017 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1cc(-c2ccco2)on1 ZINC000514174087 1042772377 /nfs/dbraw/zinc/77/23/77/1042772377.db2.gz JQVYJHKAFVNFJC-GOSISDBHSA-N 0 3 322.408 4.450 20 0 DIADHN Cc1ccncc1CNCc1c(C)cc(Br)cc1C ZINC000281037162 1042775812 /nfs/dbraw/zinc/77/58/12/1042775812.db2.gz YZLFGNHNDKTZSE-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@H]2CCCOC2)c2cccnc2)cc1 ZINC000924985458 1042782786 /nfs/dbraw/zinc/78/27/86/1042782786.db2.gz HCOMMNSBEPNCLV-VQTJNVASSA-N 0 3 310.441 4.063 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)CN1CCC[C@H]1c1ccccc1 ZINC000514523050 1042784872 /nfs/dbraw/zinc/78/48/72/1042784872.db2.gz XNZZZCUHBSSOHP-XLIONFOSSA-N 0 3 322.452 4.043 20 0 DIADHN Cc1cccc(OCCN(C)Cc2ccccc2N2CCCC2)c1 ZINC000514699422 1042788243 /nfs/dbraw/zinc/78/82/43/1042788243.db2.gz ZPLZGTRFIUHTHP-UHFFFAOYSA-N 0 3 324.468 4.106 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000514787476 1042791507 /nfs/dbraw/zinc/79/15/07/1042791507.db2.gz HAMBKGYGWCFXOT-JKSUJKDBSA-N 0 3 312.457 4.137 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cnn3ccccc23)cc1 ZINC000514828740 1042791747 /nfs/dbraw/zinc/79/17/47/1042791747.db2.gz SRQDTKQNLLBEOK-CQSZACIVSA-N 0 3 311.454 4.297 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](CC(F)(F)F)c1ccccc1C ZINC000425336728 1042793418 /nfs/dbraw/zinc/79/34/18/1042793418.db2.gz LSKVFZGWJBRZNU-MELADBBJSA-N 0 3 303.393 4.472 20 0 DIADHN CC(C)n1cncc1CN(C[C@@H]1CCCO1)C1CCC(C)CC1 ZINC000425337150 1042794119 /nfs/dbraw/zinc/79/41/19/1042794119.db2.gz MWCYUIJWCBDNRJ-TVPLGVNVSA-N 0 3 319.493 4.024 20 0 DIADHN CCOc1cc(CNCc2ccc(C)s2)cc(Cl)c1O ZINC000514868037 1042795902 /nfs/dbraw/zinc/79/59/02/1042795902.db2.gz WEYQSLXASTYHSZ-UHFFFAOYSA-N 0 3 311.834 4.104 20 0 DIADHN COc1cccc(CN[C@H]2CC[C@H]2SC)c1OC1CCCC1 ZINC000425363179 1042796968 /nfs/dbraw/zinc/79/69/68/1042796968.db2.gz WCRQLYMOYHOOTC-DOTOQJQBSA-N 0 3 321.486 4.000 20 0 DIADHN Fc1ccc(OCCN2CCC[C@H]2c2ccc(F)cc2)cc1 ZINC000514939466 1042801083 /nfs/dbraw/zinc/80/10/83/1042801083.db2.gz JSGWGXKTPMQILE-SFHVURJKSA-N 0 3 303.352 4.181 20 0 DIADHN Cc1sc([C@@H](C)N)nc1-c1ccc(NC(=O)C(C)(C)C)cc1 ZINC000435439836 1042802282 /nfs/dbraw/zinc/80/22/82/1042802282.db2.gz XGVYVXIHBPHXDS-SNVBAGLBSA-N 0 3 317.458 4.123 20 0 DIADHN C[C@@H](C1CC1)N(C)CC(=O)N(c1ccccc1)C1CCCCC1 ZINC000515041502 1042806464 /nfs/dbraw/zinc/80/64/64/1042806464.db2.gz JXYXUCCFWQUJIF-INIZCTEOSA-N 0 3 314.473 4.083 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000515168912 1042811608 /nfs/dbraw/zinc/81/16/08/1042811608.db2.gz JHRWJEMBELGQOZ-HNAYVOBHSA-N 0 3 300.421 4.088 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@H](N[C@H](C)CC)c1ccco1 ZINC000515396118 1042818370 /nfs/dbraw/zinc/81/83/70/1042818370.db2.gz ITGJJFDFALWQRL-VKJFTORMSA-N 0 3 308.466 4.041 20 0 DIADHN Fc1ccc(C[C@@H]2CCN(Cc3ccc(F)c(F)c3)C2)cc1 ZINC000515492694 1042823170 /nfs/dbraw/zinc/82/31/70/1042823170.db2.gz VELUUHCOCMCTHZ-HNNXBMFYSA-N 0 3 305.343 4.169 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC[C@@H]1c1cccc(C)c1 ZINC000515510141 1042823327 /nfs/dbraw/zinc/82/33/27/1042823327.db2.gz CDILENMGZQPAKC-HXUWFJFHSA-N 0 3 323.436 4.414 20 0 DIADHN CCN(Cc1ccc(Cl)c(Cl)c1)C[C@H]1CCCCO1 ZINC000515510634 1042823941 /nfs/dbraw/zinc/82/39/41/1042823941.db2.gz UVNVLNKKPRPJJB-CYBMUJFWSA-N 0 3 302.245 4.384 20 0 DIADHN COc1cc(CN(CC=C(C)C)C2CC2)ccc1OC(F)F ZINC000515546159 1042825166 /nfs/dbraw/zinc/82/51/66/1042825166.db2.gz LZAXAWVWFAVCFG-UHFFFAOYSA-N 0 3 311.372 4.227 20 0 DIADHN CCN(CC(=O)N(c1ccccc1)C1CCCCC1)C(C)C ZINC000515551728 1042826826 /nfs/dbraw/zinc/82/68/26/1042826826.db2.gz TZNXIAFWSVWTHT-UHFFFAOYSA-N 0 3 302.462 4.083 20 0 DIADHN CCCCN(Cc1nc(C(F)F)no1)[C@H]1CCC[C@H](C)C1 ZINC000425479441 1042829164 /nfs/dbraw/zinc/82/91/64/1042829164.db2.gz OBWKYFXHROYQMK-RYUDHWBXSA-N 0 3 301.381 4.188 20 0 DIADHN CO[C@H](CN[C@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000425481443 1042829668 /nfs/dbraw/zinc/82/96/68/1042829668.db2.gz PZQIQSULKZPLKL-HUUCEWRRSA-N 0 3 301.352 4.003 20 0 DIADHN Oc1ccc(C2=CCN(CCc3ccccc3Cl)CC2)cc1 ZINC000058906832 1042839488 /nfs/dbraw/zinc/83/94/88/1042839488.db2.gz XJAMDPRZHNAHOV-UHFFFAOYSA-N 0 3 313.828 4.377 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cccc2cccnc21 ZINC000171440210 1042859081 /nfs/dbraw/zinc/85/90/81/1042859081.db2.gz RCMCDXRZWWLHEN-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN C[C@@H]1CN(CCc2cccc(OC(F)(F)F)c2)CCC1(F)F ZINC000516895679 1042859242 /nfs/dbraw/zinc/85/92/42/1042859242.db2.gz ZSFOCZMBBMHPGU-LLVKDONJSA-N 0 3 323.305 4.105 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@H](c3ccncc3)C2)c1 ZINC000516893958 1042859608 /nfs/dbraw/zinc/85/96/08/1042859608.db2.gz IZPUKKBKNFVPET-INIZCTEOSA-N 0 3 320.358 4.480 20 0 DIADHN Cc1noc2ncc(CN[C@H]3CC(C)(C)c4ccccc43)cc12 ZINC000894345469 1042876368 /nfs/dbraw/zinc/87/63/68/1042876368.db2.gz IOAWOZMUUDQZIZ-KRWDZBQOSA-N 0 3 307.397 4.043 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCO[C@H]2CCCC[C@@H]2C)s1 ZINC000517381157 1042877838 /nfs/dbraw/zinc/87/78/38/1042877838.db2.gz WHDPULHUGGNPLV-NOLJZWGESA-N 0 3 310.507 4.348 20 0 DIADHN COCCN(Cc1cnc(-c2ccco2)s1)[C@@H]1CC[C@@H](C)C1 ZINC000425962353 1042887168 /nfs/dbraw/zinc/88/71/68/1042887168.db2.gz HFPMUJWLQAMGEX-ZIAGYGMSSA-N 0 3 320.458 4.040 20 0 DIADHN CCCCCNC(=O)CN1CCC[C@H]1c1cccc2ccccc21 ZINC000517860945 1042901428 /nfs/dbraw/zinc/90/14/28/1042901428.db2.gz ZNQRPSZKMRBINA-FQEVSTJZSA-N 0 3 324.468 4.283 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)ccc1F ZINC000517976935 1042903667 /nfs/dbraw/zinc/90/36/67/1042903667.db2.gz BQBNZYTWPBLEKJ-NWDGAFQWSA-N 0 3 313.376 4.122 20 0 DIADHN CCSc1cccc(CN[C@@H](C)c2cccc(CO)c2)c1 ZINC000293752968 1042904176 /nfs/dbraw/zinc/90/41/76/1042904176.db2.gz RNSHOOUGHMJEMP-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN Cc1cccnc1[C@H](NC[C@@H](O)c1cc2ccccc2o1)C(C)C ZINC000293828059 1042905133 /nfs/dbraw/zinc/90/51/33/1042905133.db2.gz PONMZHDIFDLUNB-VQIMIIECSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1cnccc1CNC(C)(C)c1cccc(C(F)(F)F)c1 ZINC000294997650 1042911555 /nfs/dbraw/zinc/91/15/55/1042911555.db2.gz IAZXVJVIRMFJAQ-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN Cc1ccccc1[C@@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)C1CC1 ZINC000638591225 1042915008 /nfs/dbraw/zinc/91/50/08/1042915008.db2.gz DUWMAYKJGLRAHH-RBUKOAKNSA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccccc1[C@@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000638591225 1042915005 /nfs/dbraw/zinc/91/50/05/1042915005.db2.gz DUWMAYKJGLRAHH-RBUKOAKNSA-N 0 3 324.472 4.475 20 0 DIADHN Fc1ccc(CNCC2(Sc3ccccc3)CC2)c(F)c1 ZINC000518817876 1042921542 /nfs/dbraw/zinc/92/15/42/1042921542.db2.gz LNSMJOJBLHLOSD-UHFFFAOYSA-N 0 3 305.393 4.379 20 0 DIADHN O=C(CN1CC[C@H]2CCC[C@@H]21)Nc1ccccc1-c1ccccc1 ZINC000426476070 1042924731 /nfs/dbraw/zinc/92/47/31/1042924731.db2.gz PAZIODCTNXSLBR-XLIONFOSSA-N 0 3 320.436 4.167 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)C/C=C/c1ccncc1 ZINC000426508798 1042928246 /nfs/dbraw/zinc/92/82/46/1042928246.db2.gz JRVFFOXNBNYHJT-WHLIDYPQSA-N 0 3 316.832 4.068 20 0 DIADHN Fc1cccc(OCCN2CCC[C@H]2c2cccc(F)c2)c1 ZINC000518994965 1042928442 /nfs/dbraw/zinc/92/84/42/1042928442.db2.gz SIASBEXKEVCSAC-SFHVURJKSA-N 0 3 303.352 4.181 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@H]4CCC[C@H]43)nc2c1 ZINC000426525848 1042931743 /nfs/dbraw/zinc/93/17/43/1042931743.db2.gz SGMIISDNNVPSER-ZWNOBZJWSA-N 0 3 310.319 4.221 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1cc(C)ccc1C)C1CC1 ZINC000519190236 1042937201 /nfs/dbraw/zinc/93/72/01/1042937201.db2.gz FKLOZVXSSHCUKI-ZBFHGGJFSA-N 0 3 302.462 4.141 20 0 DIADHN COC(=O)c1ccc(CN2CCCCC[C@@H]2c2ccccc2)o1 ZINC000519219825 1042938998 /nfs/dbraw/zinc/93/89/98/1042938998.db2.gz RGVSEQJSCWFMKC-QGZVFWFLSA-N 0 3 313.397 4.184 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cncc(Cl)c2)C1(C)C ZINC001167967665 1042954026 /nfs/dbraw/zinc/95/40/26/1042954026.db2.gz IXSXOPHKTMPIEE-CVEARBPZSA-N 0 3 310.869 4.151 20 0 DIADHN COCC[C@@H](NCc1cc2c(cccc2O)s1)c1ccco1 ZINC000894432256 1042957484 /nfs/dbraw/zinc/95/74/84/1042957484.db2.gz XRMUSEIIPYGJSS-CQSZACIVSA-N 0 3 317.410 4.067 20 0 DIADHN Fc1cccnc1CN[C@@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000519527638 1042958253 /nfs/dbraw/zinc/95/82/53/1042958253.db2.gz KPKDXFZURSCBIH-DZGCQCFKSA-N 0 3 304.796 4.300 20 0 DIADHN CO[C@H](CN1CC(C)(C)[C@H]1c1cccnc1)C1CCCCC1 ZINC000664819686 1042961975 /nfs/dbraw/zinc/96/19/75/1042961975.db2.gz MTSMRVLUTIPVAQ-QZTJIDSGSA-N 0 3 302.462 4.060 20 0 DIADHN C[C@H](CCN1CC(C)(C)[C@@H]1c1cccnc1)OCc1ccccc1 ZINC000664820882 1042963659 /nfs/dbraw/zinc/96/36/59/1042963659.db2.gz BNZOHJVWHJFVHS-XLIONFOSSA-N 0 3 324.468 4.460 20 0 DIADHN C[C@@H](NCc1cnn(C)c1C1CC1)c1ccc(F)c2ccccc21 ZINC000894521618 1042964704 /nfs/dbraw/zinc/96/47/04/1042964704.db2.gz XYRVCZLGMWLAJS-CYBMUJFWSA-N 0 3 323.415 4.441 20 0 DIADHN CO[C@@H](CN1CC(C)(C)[C@@H]1c1ccncc1)C1CCCCC1 ZINC000664831017 1042968169 /nfs/dbraw/zinc/96/81/69/1042968169.db2.gz ZHAGOFKDCIGUDT-ROUUACIJSA-N 0 3 302.462 4.060 20 0 DIADHN CC[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc(F)cn1 ZINC000519570928 1042974873 /nfs/dbraw/zinc/97/48/73/1042974873.db2.gz NHEXOOCMAKENKA-LMMKCTJWSA-N 0 3 314.404 4.182 20 0 DIADHN Cc1cc2cc(CNCc3cccc4c3NCCC4)ccc2[nH]1 ZINC000894757091 1042976783 /nfs/dbraw/zinc/97/67/83/1042976783.db2.gz KUCYKYKHKKBVNR-UHFFFAOYSA-N 0 3 305.425 4.124 20 0 DIADHN O=[S@@](CCN1CCC(C2CCCCC2)CC1)c1ccccc1 ZINC000729470797 1042978051 /nfs/dbraw/zinc/97/80/51/1042978051.db2.gz QKWQIYRNEAMMLF-QFIPXVFZSA-N 0 3 319.514 4.087 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1CNCc1nc2ccccc2o1 ZINC000638740202 1042978623 /nfs/dbraw/zinc/97/86/23/1042978623.db2.gz RGVPIOFDUORJDY-VXGBXAGGSA-N 0 3 312.335 4.286 20 0 DIADHN COc1cccc(CN[C@@H]2CCSc3ccc(F)cc32)c1C ZINC000658354345 1042979363 /nfs/dbraw/zinc/97/93/63/1042979363.db2.gz VCBWDAMUPITJQP-MRXNPFEDSA-N 0 3 317.429 4.469 20 0 DIADHN C[C@@H]1CC[C@H](CN(Cc2ccc(F)cc2F)C2CCCC2)O1 ZINC001167984321 1042980120 /nfs/dbraw/zinc/98/01/20/1042980120.db2.gz QYDYDXCUUHLSAF-CXAGYDPISA-N 0 3 309.400 4.277 20 0 DIADHN Cc1noc2ncc(CN[C@@H]3CCCc4ccc(C)cc43)cc12 ZINC000894847565 1042986524 /nfs/dbraw/zinc/98/65/24/1042986524.db2.gz LNQPLJNLAYRDFK-GOSISDBHSA-N 0 3 307.397 4.007 20 0 DIADHN Cc1cnc([C@H](C)Nc2cccc3c2CN(CCC(C)C)C3)cn1 ZINC000427443571 1042988334 /nfs/dbraw/zinc/98/83/34/1042988334.db2.gz MDXMWSNDXWPXCP-INIZCTEOSA-N 0 3 324.472 4.320 20 0 DIADHN COc1ccc(CN(Cc2cnc3ccccn23)C(C)(C)C)cc1 ZINC000519816275 1043003477 /nfs/dbraw/zinc/00/34/77/1043003477.db2.gz LKGYUFCOEPZRTF-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cnc(-c2ccco2)s1 ZINC000519798199 1043004201 /nfs/dbraw/zinc/00/42/01/1043004201.db2.gz LAOXLPKDNOXMMD-INIZCTEOSA-N 0 3 310.422 4.489 20 0 DIADHN CCCN(CC(=O)N[C@H](C)C(C)C)[C@@H](CC)c1ccccc1 ZINC000519906708 1043008162 /nfs/dbraw/zinc/00/81/62/1043008162.db2.gz QXWRYKOIVMBBOM-AEFFLSMTSA-N 0 3 304.478 4.010 20 0 DIADHN Clc1ccc(OCCNCc2cc3ccccc3o2)cc1 ZINC000215642595 1043011280 /nfs/dbraw/zinc/01/12/80/1043011280.db2.gz DRNKUYBJIOUWFL-UHFFFAOYSA-N 0 3 301.773 4.255 20 0 DIADHN Cc1cc([C@H](NC[C@@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000579168491 1043019374 /nfs/dbraw/zinc/01/93/74/1043019374.db2.gz MTQZRNNRTZTVTK-QLJPJBMISA-N 0 3 309.862 4.078 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1)[C@@H](CC)c1ccccc1 ZINC000520172520 1043020631 /nfs/dbraw/zinc/02/06/31/1043020631.db2.gz LZFWEZHFTDTFLJ-IBGZPJMESA-N 0 3 310.441 4.488 20 0 DIADHN CCCN(CC(=O)N1CCC[C@@H](C)C1)[C@@H](CC)c1ccccc1 ZINC000520172758 1043021119 /nfs/dbraw/zinc/02/11/19/1043021119.db2.gz YCIWTMIDDYYXSS-MJGOQNOKSA-N 0 3 316.489 4.108 20 0 DIADHN CCCN(CC(=O)N1CCC[C@H](C)C1)[C@@H](CC)c1ccccc1 ZINC000520172756 1043021191 /nfs/dbraw/zinc/02/11/91/1043021191.db2.gz YCIWTMIDDYYXSS-HKUYNNGSSA-N 0 3 316.489 4.108 20 0 DIADHN Cc1ccc(SCCNCc2cc(F)c(F)c(F)c2)cc1 ZINC000520205816 1043023939 /nfs/dbraw/zinc/02/39/39/1043023939.db2.gz FCGGCICMSLEWIQ-UHFFFAOYSA-N 0 3 311.372 4.294 20 0 DIADHN CCOc1ccccc1OCCN(C)[C@H](C)c1cccs1 ZINC000441189084 1043026010 /nfs/dbraw/zinc/02/60/10/1043026010.db2.gz NESMHQLVCSYKGT-CQSZACIVSA-N 0 3 305.443 4.219 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N(C)CCC1CC1 ZINC000441221421 1043027471 /nfs/dbraw/zinc/02/74/71/1043027471.db2.gz GVEFSYSXBYKLQA-LSDHHAIUSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000441222712 1043027985 /nfs/dbraw/zinc/02/79/85/1043027985.db2.gz BSKWJMPGOSIXJV-VHDGCEQUSA-N 0 3 311.828 4.289 20 0 DIADHN COC(=O)c1cc(CN(Cc2ccccc2)CC(C)C)c(C)o1 ZINC000520350485 1043037269 /nfs/dbraw/zinc/03/72/69/1043037269.db2.gz IWEZALCAGYKNBO-UHFFFAOYSA-N 0 3 315.413 4.033 20 0 DIADHN COc1ccc(C)cc1CNCCOc1ccccc1C(C)C ZINC000520389177 1043040784 /nfs/dbraw/zinc/04/07/84/1043040784.db2.gz DULVXSNWKKLNAN-UHFFFAOYSA-N 0 3 313.441 4.296 20 0 DIADHN CCC(C)(C)CCN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000441394605 1043043222 /nfs/dbraw/zinc/04/32/22/1043043222.db2.gz LKRZKUFFDNDODU-GOSISDBHSA-N 0 3 317.452 4.407 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(C)cc1Cl)c1ccccc1 ZINC000112537461 1043049117 /nfs/dbraw/zinc/04/91/17/1043049117.db2.gz AJKAHXTWFKZODS-MRXNPFEDSA-N 0 3 316.832 4.328 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1cccc(OC(F)F)c1 ZINC000112600438 1043051122 /nfs/dbraw/zinc/05/11/22/1043051122.db2.gz PJHOPVSTUJTJCH-LBPRGKRZSA-N 0 3 307.340 4.187 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000112529502 1043051144 /nfs/dbraw/zinc/05/11/44/1043051144.db2.gz OAHOBJASAZTECJ-CYBMUJFWSA-N 0 3 304.821 4.373 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2ccncc2)cc1OCC ZINC000173801889 1043054465 /nfs/dbraw/zinc/05/44/65/1043054465.db2.gz POAQKDCVSYHDCS-GJZGRUSLSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1csc2ccccc12 ZINC000794809998 1043055858 /nfs/dbraw/zinc/05/58/58/1043055858.db2.gz SVHDHICROCOMCK-BLLLJJGKSA-N 0 3 311.454 4.363 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2ccc(CSC(F)F)o2)C1(C)C ZINC000237158379 1043056642 /nfs/dbraw/zinc/05/66/42/1043056642.db2.gz OOIIPJYTOBFWCL-DOMZBBRYSA-N 0 3 319.417 4.029 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C(C2CC2)C2CC2)c(Cl)c1 ZINC000050989500 1043062931 /nfs/dbraw/zinc/06/29/31/1043062931.db2.gz KSZKPGZEPTYFJP-UHFFFAOYSA-N 0 3 320.864 4.016 20 0 DIADHN COC[C@H]1CCCN(Cc2nc3ccccc3c(C)c2Cl)C1 ZINC000112990148 1043063235 /nfs/dbraw/zinc/06/32/35/1043063235.db2.gz BQSDZDUCVPANNW-AWEZNQCLSA-N 0 3 318.848 4.055 20 0 DIADHN Cn1cncc1CN[C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000174446067 1043065234 /nfs/dbraw/zinc/06/52/34/1043065234.db2.gz BKMVWUXEVLTVEH-MRXNPFEDSA-N 0 3 305.853 4.341 20 0 DIADHN Fc1cccc(C2(NCc3ccc(Cl)cc3)CCOCC2)c1 ZINC000174645408 1043069903 /nfs/dbraw/zinc/06/99/03/1043069903.db2.gz HARXVCURNJZGRJ-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(CC)o1)[C@H](O)c1ccccc1 ZINC000794831769 1043070917 /nfs/dbraw/zinc/07/09/17/1043070917.db2.gz QLRMHEAZYKTVGS-DKSSEZFCSA-N 0 3 301.430 4.395 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(CC)o1)[C@@H](O)c1ccccc1 ZINC000794831770 1043071218 /nfs/dbraw/zinc/07/12/18/1043071218.db2.gz QLRMHEAZYKTVGS-FNHZYXHNSA-N 0 3 301.430 4.395 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cccc(F)c1F ZINC000441424822 1043072981 /nfs/dbraw/zinc/07/29/81/1043072981.db2.gz PRFAPPXSBUQFCO-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC(C)(C)c1cccc(Cl)c1F ZINC000188479891 1043085950 /nfs/dbraw/zinc/08/59/50/1043085950.db2.gz FLADZASYQXEPQD-GFCCVEGCSA-N 0 3 321.827 4.206 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@@H](C(C)C)[C@@H](C)C2)cs1 ZINC000536301390 1043091686 /nfs/dbraw/zinc/09/16/86/1043091686.db2.gz AZRLLSSIZZBUMU-NHIYQJMISA-N 0 3 310.507 4.401 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cccc(Cl)c2C)c1 ZINC000865407669 1043094243 /nfs/dbraw/zinc/09/42/43/1043094243.db2.gz KZPMXOFRGGNWCF-CYBMUJFWSA-N 0 3 316.832 4.458 20 0 DIADHN C[C@H](O)c1ccc(CNCc2cccc(OC(C)(C)C)c2)cc1 ZINC000865429421 1043097615 /nfs/dbraw/zinc/09/76/15/1043097615.db2.gz VDRLUAKJMYIHKI-HNNXBMFYSA-N 0 3 313.441 4.207 20 0 DIADHN COc1ccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000176635995 1043098138 /nfs/dbraw/zinc/09/81/38/1043098138.db2.gz UTUUZPOYMKMSRB-SFHVURJKSA-N 0 3 309.409 4.231 20 0 DIADHN CC(C)c1cccc(NC(=O)CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000441437491 1043103656 /nfs/dbraw/zinc/10/36/56/1043103656.db2.gz HTQXHHOBQPASFD-CRAIPNDOSA-N 0 3 300.446 4.013 20 0 DIADHN COCCC1(CNCc2c(Cl)cncc2Cl)CCCC1 ZINC000865491315 1043104306 /nfs/dbraw/zinc/10/43/06/1043104306.db2.gz BQULMGCDXLOQJM-UHFFFAOYSA-N 0 3 317.260 4.075 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCOC3(CCCCC3)C2)n1 ZINC000865495260 1043104382 /nfs/dbraw/zinc/10/43/82/1043104382.db2.gz LEYRTRDWEGKFPH-CQSZACIVSA-N 0 3 322.518 4.412 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC000177267071 1043105944 /nfs/dbraw/zinc/10/59/44/1043105944.db2.gz JMNKDIZHQYWSBX-YSSFQJQWSA-N 0 3 311.425 4.266 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cccc(OC)c2)cc1F ZINC000177313220 1043106023 /nfs/dbraw/zinc/10/60/23/1043106023.db2.gz YSRJKUFKWNYDEO-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC000177267082 1043106696 /nfs/dbraw/zinc/10/66/96/1043106696.db2.gz JMNKDIZHQYWSBX-XPGWFJOJSA-N 0 3 311.425 4.266 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc(Cl)nc2)cc1 ZINC000177573712 1043109511 /nfs/dbraw/zinc/10/95/11/1043109511.db2.gz XWLYDSWMFMRBQJ-CYBMUJFWSA-N 0 3 304.821 4.375 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2nc(C(C)(C)C)cs2)s1 ZINC000865528016 1043109747 /nfs/dbraw/zinc/10/97/47/1043109747.db2.gz MOJIUXNUEOTPEP-JTQLQIEISA-N 0 3 309.504 4.099 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865522687 1043109857 /nfs/dbraw/zinc/10/98/57/1043109857.db2.gz VYVJEYZWUUHDDX-VXGBXAGGSA-N 0 3 317.260 4.072 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(SC(F)F)cc1 ZINC000177842840 1043115428 /nfs/dbraw/zinc/11/54/28/1043115428.db2.gz ZXNHQYZGOVELBZ-GFCCVEGCSA-N 0 3 323.408 4.344 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2cccnc2-n2cccn2)cc1 ZINC000177902007 1043117405 /nfs/dbraw/zinc/11/74/05/1043117405.db2.gz ZEUVQPYUAGRQFO-MRXNPFEDSA-N 0 3 320.440 4.242 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc3c(c2)CCO3)cc1 ZINC000177912453 1043117806 /nfs/dbraw/zinc/11/78/06/1043117806.db2.gz OSOVRNZYQCZVQY-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN O[C@@H]1C[C@@H](NCc2ccccc2CC(F)(F)F)c2ccccc21 ZINC000865626021 1043118374 /nfs/dbraw/zinc/11/83/74/1043118374.db2.gz HIAZNRMMANXFGX-IAGOWNOFSA-N 0 3 321.342 4.059 20 0 DIADHN C[C@@]1(CCNCc2c(Cl)cncc2Cl)C[C@@]1(F)Cl ZINC000865618455 1043118729 /nfs/dbraw/zinc/11/87/29/1043118729.db2.gz YJBMMNATHQBLTP-NEPJUHHUSA-N 0 3 311.615 4.183 20 0 DIADHN O[C@H]1C[C@H](NCc2ccccc2CC(F)(F)F)c2ccccc21 ZINC000865626022 1043119114 /nfs/dbraw/zinc/11/91/14/1043119114.db2.gz HIAZNRMMANXFGX-IRXDYDNUSA-N 0 3 321.342 4.059 20 0 DIADHN C[C@@H](NCCc1cn(C)c2cc(Cl)ccc12)c1nccs1 ZINC000188558849 1043119302 /nfs/dbraw/zinc/11/93/02/1043119302.db2.gz XRBPYEZIZSPJMS-LLVKDONJSA-N 0 3 319.861 4.182 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CC(C)(C)c3ccccc32)c1 ZINC000178030793 1043119394 /nfs/dbraw/zinc/11/93/94/1043119394.db2.gz JDCOBEAJIGMGLR-LJQANCHMSA-N 0 3 308.425 4.157 20 0 DIADHN C[C@@H](O)[C@@H](NCc1cccc(OC(C)(C)C)c1)c1ccccc1 ZINC000865635297 1043120197 /nfs/dbraw/zinc/12/01/97/1043120197.db2.gz LTOFSMGRDIPKCU-DNVCBOLYSA-N 0 3 313.441 4.076 20 0 DIADHN CC(C)(C)c1csc(CNC2CCC3(CC3(F)F)CC2)n1 ZINC000865630333 1043121216 /nfs/dbraw/zinc/12/12/16/1043121216.db2.gz KNFXKLZVLTZCJA-UHFFFAOYSA-N 0 3 314.445 4.498 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC000178124438 1043121842 /nfs/dbraw/zinc/12/18/42/1043121842.db2.gz TZAVSAGLARGBAN-UIAACRFSSA-N 0 3 311.425 4.266 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000178147711 1043122289 /nfs/dbraw/zinc/12/22/89/1043122289.db2.gz INUCXUITHHUCJU-ZSDSOXJFSA-N 0 3 301.455 4.144 20 0 DIADHN c1csc(-c2nc(CNCC[C@@H]3CCSC3)cs2)c1 ZINC000865654248 1043122418 /nfs/dbraw/zinc/12/24/18/1043122418.db2.gz IAEILMUWQQEZTB-LLVKDONJSA-N 0 3 310.513 4.104 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)c2cccs2)cc1OC ZINC000178173742 1043122769 /nfs/dbraw/zinc/12/27/69/1043122769.db2.gz ZUVRMASQQXCSRG-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2c(C)cc(C)cc2C)cc1 ZINC000178135203 1043123055 /nfs/dbraw/zinc/12/30/55/1043123055.db2.gz IRRDWBQVMXUGBX-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Clc1ccc([C@H](NCC2=CCCOC2)c2cccs2)cc1 ZINC000178160192 1043123324 /nfs/dbraw/zinc/12/33/24/1043123324.db2.gz AGMKLPAKCMBIDC-KRWDZBQOSA-N 0 3 319.857 4.427 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@H](C)c3cn(C)nc3C)ccc2c1 ZINC000178175702 1043123877 /nfs/dbraw/zinc/12/38/77/1043123877.db2.gz PZKWDNLSZAOYNS-ZIAGYGMSSA-N 0 3 323.440 4.302 20 0 DIADHN C[C@@H](N[C@@H]1CCCOc2ccccc21)c1ccc2c(c1)CCO2 ZINC000178315719 1043127572 /nfs/dbraw/zinc/12/75/72/1043127572.db2.gz CUKTYMYFKNGAAI-RDTXWAMCSA-N 0 3 309.409 4.186 20 0 DIADHN CCC(CC)(CNCc1cnn(C(C)(C)C)c1)C(F)(F)F ZINC000865757367 1043129653 /nfs/dbraw/zinc/12/96/53/1043129653.db2.gz PBKNGVAYNXBUMV-UHFFFAOYSA-N 0 3 305.388 4.096 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000178479137 1043131470 /nfs/dbraw/zinc/13/14/70/1043131470.db2.gz JILNNWSISMPFDM-ZDUSSCGKSA-N 0 3 302.443 4.193 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H](C)c2cnc3ccsc3c2)cc1 ZINC000178553448 1043133305 /nfs/dbraw/zinc/13/33/05/1043133305.db2.gz VHIWAKKPYGDOLB-QWHCGFSZSA-N 0 3 312.438 4.200 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1ccc([C@@H](C)O)cc1 ZINC000178545910 1043133331 /nfs/dbraw/zinc/13/33/31/1043133331.db2.gz BLHQZTUKMIVEMC-BEFAXECRSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCSc3ccc(F)cc32)cc1 ZINC000178542510 1043133660 /nfs/dbraw/zinc/13/36/60/1043133660.db2.gz MWJUCDGXELOEIF-SJKOYZFVSA-N 0 3 317.429 4.206 20 0 DIADHN CCC[C@H](CNCc1nn(C)cc1C(F)F)c1ccccc1 ZINC000865808139 1043134907 /nfs/dbraw/zinc/13/49/07/1043134907.db2.gz AUYZJVBWBSNNJY-CQSZACIVSA-N 0 3 307.388 4.031 20 0 DIADHN COc1ccccc1[C@H](C)NCc1cc(C)c2ncccc2c1 ZINC000865821637 1043136766 /nfs/dbraw/zinc/13/67/66/1043136766.db2.gz LBVKNAXLAVGZGC-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)s1 ZINC000865832112 1043138303 /nfs/dbraw/zinc/13/83/03/1043138303.db2.gz IMVJIHQOHBRMIV-VXGBXAGGSA-N 0 3 318.408 4.427 20 0 DIADHN Cc1cn[nH]c1CN[C@H](c1nc2ccccc2[nH]1)C1CCCCC1 ZINC000865842951 1043139164 /nfs/dbraw/zinc/13/91/64/1043139164.db2.gz YNODBUYHSDBGSZ-SFHVURJKSA-N 0 3 323.444 4.006 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN[C@@H](C)c1ccnn1C ZINC000865838444 1043139372 /nfs/dbraw/zinc/13/93/72/1043139372.db2.gz IZKIGEZRLFEOQN-NSHDSACASA-N 0 3 319.861 4.447 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(Cl)nc2)cc1 ZINC000179103049 1043140962 /nfs/dbraw/zinc/14/09/62/1043140962.db2.gz ZOEBVXVMUULRMU-ZDUSSCGKSA-N 0 3 304.821 4.122 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(Cl)nc2)cc1 ZINC000179107204 1043141318 /nfs/dbraw/zinc/14/13/18/1043141318.db2.gz GWLCPXNSMYUFQQ-ZDUSSCGKSA-N 0 3 304.821 4.375 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cc3cnccc3o2)c(C)c1 ZINC000865852561 1043141460 /nfs/dbraw/zinc/14/14/60/1043141460.db2.gz BYOYHHVFICQEDI-CQSZACIVSA-N 0 3 310.397 4.386 20 0 DIADHN Cc1cc(CN[C@H](C)[C@H](C)c2ccccc2C(F)(F)F)on1 ZINC000179420228 1043144220 /nfs/dbraw/zinc/14/42/20/1043144220.db2.gz KEQUEAWIOYABLV-NWDGAFQWSA-N 0 3 312.335 4.284 20 0 DIADHN Cc1cc(CN[C@@H](C)[C@@H](C)c2ccccc2C(F)(F)F)on1 ZINC000179420196 1043144466 /nfs/dbraw/zinc/14/44/66/1043144466.db2.gz KEQUEAWIOYABLV-NEPJUHHUSA-N 0 3 312.335 4.284 20 0 DIADHN Cc1cc(-c2nnc(CN(C(C)C)C3CCCC3)o2)c(C)o1 ZINC000161562002 1043149748 /nfs/dbraw/zinc/14/97/48/1043149748.db2.gz PZHSFONTHSOFKM-UHFFFAOYSA-N 0 3 303.406 4.099 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1cccc(F)c1F ZINC000183265199 1043159052 /nfs/dbraw/zinc/15/90/52/1043159052.db2.gz FSAGIHCDZVAEPN-GFCCVEGCSA-N 0 3 322.374 4.016 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1cc2c(ccnc2Cl)o1 ZINC000866249940 1043165506 /nfs/dbraw/zinc/16/55/06/1043165506.db2.gz RBWHCXSQMBZXFP-LLVKDONJSA-N 0 3 308.809 4.091 20 0 DIADHN C[C@H](CCc1cccnc1)NCc1csc(-c2ccccc2)n1 ZINC000866247409 1043165534 /nfs/dbraw/zinc/16/55/34/1043165534.db2.gz UZSFMOSAOQSJGM-OAHLLOKOSA-N 0 3 323.465 4.316 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cnc(CC(C)C)s2)C1 ZINC000353925744 1043165700 /nfs/dbraw/zinc/16/57/00/1043165700.db2.gz OMCVVQQPJFEQHO-UONOGXRCSA-N 0 3 312.548 4.448 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000120973410 1043165779 /nfs/dbraw/zinc/16/57/79/1043165779.db2.gz FEXRPPDUKDSNAG-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN C[C@]1(CN[C@@H](c2ccccc2)c2ccc(F)cn2)CCCS1 ZINC000183714710 1043170235 /nfs/dbraw/zinc/17/02/35/1043170235.db2.gz MSOWWTWXPXVUAR-ZWKOTPCHSA-N 0 3 316.445 4.185 20 0 DIADHN CSc1cc(CN[C@H]2CCCc3c(F)cccc32)ccn1 ZINC000866288828 1043173945 /nfs/dbraw/zinc/17/39/45/1043173945.db2.gz OVJQKUSHBGIYLC-INIZCTEOSA-N 0 3 302.418 4.110 20 0 DIADHN c1[nH]nc2c1CCC[C@@H]2N[C@H]1CCc2cccc3cccc1c32 ZINC000866304910 1043175076 /nfs/dbraw/zinc/17/50/76/1043175076.db2.gz BDSFYJDUHMOEMD-ROUUACIJSA-N 0 3 303.409 4.217 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000163385209 1043175981 /nfs/dbraw/zinc/17/59/81/1043175981.db2.gz TUAVRDYBRQJDDW-SWLSCSKDSA-N 0 3 319.836 4.448 20 0 DIADHN OCCC[C@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000183990766 1043176369 /nfs/dbraw/zinc/17/63/69/1043176369.db2.gz OQBKIOLCEDNYCF-KRWDZBQOSA-N 0 3 305.368 4.228 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2CCc3cccc4cccc2c43)n[nH]1 ZINC000866313019 1043177745 /nfs/dbraw/zinc/17/77/45/1043177745.db2.gz UOBOAFNYWNNOPB-SUMWQHHRSA-N 0 3 320.440 4.419 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487392685 1043183067 /nfs/dbraw/zinc/18/30/67/1043183067.db2.gz HJJHCIWHJOVLDP-XHDPSFHLSA-N 0 3 321.371 4.025 20 0 DIADHN CCOc1ncccc1CN[C@@H](c1ccc(C)cc1)C1CCC1 ZINC000427586256 1043194940 /nfs/dbraw/zinc/19/49/40/1043194940.db2.gz COHUVPWHKJBRLW-LJQANCHMSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2ccc(OC(C)(C)C)cc2)cnn1C ZINC000185197300 1043196608 /nfs/dbraw/zinc/19/66/08/1043196608.db2.gz GGSFKLUNBBXBNQ-KBPBESRZSA-N 0 3 315.461 4.318 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000185219064 1043197521 /nfs/dbraw/zinc/19/75/21/1043197521.db2.gz RVIRBFGZZFXEMC-ZIAGYGMSSA-N 0 3 315.461 4.318 20 0 DIADHN CCC[C@H](NCc1ccc(OCC)c(F)c1)c1cccnc1 ZINC000185382847 1043199987 /nfs/dbraw/zinc/19/99/87/1043199987.db2.gz MSUPOOKTQLFUOC-KRWDZBQOSA-N 0 3 302.393 4.250 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CNC/C=C/C1CC1 ZINC001202521387 1043202334 /nfs/dbraw/zinc/20/23/34/1043202334.db2.gz UONJZJVPBBQCLF-JXMROGBWSA-N 0 3 323.484 4.285 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc(C)s1)c1ccc2c(c1)CCO2 ZINC000866454154 1043204443 /nfs/dbraw/zinc/20/44/43/1043204443.db2.gz GIPLVFWCOICUMB-ABAIWWIYSA-N 0 3 302.443 4.188 20 0 DIADHN CC[C@H](Cc1cn(C)c2ccccc12)N[C@@H](C)c1cc(C)on1 ZINC000866453381 1043205273 /nfs/dbraw/zinc/20/52/73/1043205273.db2.gz WJQAIFYDUQTVBX-GOEBONIOSA-N 0 3 311.429 4.147 20 0 DIADHN C[C@H](c1cnccn1)N1CCC(c2ccc(Cl)cc2)CC1 ZINC000185799664 1043206420 /nfs/dbraw/zinc/20/64/20/1043206420.db2.gz DRSQLKPSIQHOTP-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN CC[C@H](NCc1ccnc(F)c1)c1cccc(Br)c1 ZINC000866475200 1043206956 /nfs/dbraw/zinc/20/69/56/1043206956.db2.gz ZXSOKXGODUUSMF-AWEZNQCLSA-N 0 3 323.209 4.224 20 0 DIADHN COc1ccc([C@@H](CC(C)C)NCc2ccnc(F)c2)cc1 ZINC000866474580 1043207172 /nfs/dbraw/zinc/20/71/72/1043207172.db2.gz NCLLRGLSTVYOKV-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN Fc1ccc([C@H](NCC[C@H]2CCCS2)c2cccnc2)cc1 ZINC000866480047 1043208412 /nfs/dbraw/zinc/20/84/12/1043208412.db2.gz CZZCDEDTKAKQJM-MSOLQXFVSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCS1)c1ncc(-c2ccccc2)n1C ZINC000866479882 1043208951 /nfs/dbraw/zinc/20/89/51/1043208951.db2.gz AFCGTSLGNZKCGK-ZBFHGGJFSA-N 0 3 315.486 4.023 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@H]3CCC[C@H](C)C3)o2)cc1 ZINC000520524652 1043210152 /nfs/dbraw/zinc/21/01/52/1043210152.db2.gz AGIACSWNCVPROI-HOCLYGCPSA-N 0 3 314.429 4.361 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@@H]3CCC[C@@H](C)C3)o2)cc1 ZINC000520524650 1043210467 /nfs/dbraw/zinc/21/04/67/1043210467.db2.gz AGIACSWNCVPROI-GDBMZVCRSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)sc1C ZINC000477764819 1043210917 /nfs/dbraw/zinc/21/09/17/1043210917.db2.gz HJDCVCKGUDQWIR-DYVFJYSZSA-N 0 3 300.471 4.386 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCC23CCOCC3)cs1 ZINC000866529799 1043217143 /nfs/dbraw/zinc/21/71/43/1043217143.db2.gz SIERAOPDCHRMOY-UONOGXRCSA-N 0 3 308.491 4.124 20 0 DIADHN C[C@@H](NCCSCCC(F)(F)F)c1ccncc1Cl ZINC000866543405 1043220391 /nfs/dbraw/zinc/22/03/91/1043220391.db2.gz WBUZHYBXLWBFPI-SECBINFHSA-N 0 3 312.788 4.071 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H](CCO)c2ccccc2)cs1 ZINC000186352912 1043220725 /nfs/dbraw/zinc/22/07/25/1043220725.db2.gz SAXQKDOXFCFHII-ZBFHGGJFSA-N 0 3 318.486 4.083 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)NCc1nn(C)cc1C(F)F ZINC000866565855 1043224070 /nfs/dbraw/zinc/22/40/70/1043224070.db2.gz SSBUDNAXMPMUGR-ZDUSSCGKSA-N 0 3 321.415 4.204 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000866610095 1043234039 /nfs/dbraw/zinc/23/40/39/1043234039.db2.gz QNWBGOLZPYNSEI-HBNTYKKESA-N 0 3 305.365 4.304 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1cc(COC(C)(C)C)on1 ZINC000186831296 1043235590 /nfs/dbraw/zinc/23/55/90/1043235590.db2.gz CMUZKYHLHCFQTM-INIZCTEOSA-N 0 3 316.445 4.101 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2cn3ccccc3n2)c1C ZINC000520621256 1043239196 /nfs/dbraw/zinc/23/91/96/1043239196.db2.gz ZTXNLMRYXJFHEK-KRWDZBQOSA-N 0 3 323.440 4.071 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CCSc2cc(C)c(C)cc21 ZINC000187161854 1043242521 /nfs/dbraw/zinc/24/25/21/1043242521.db2.gz SKLBCOSFMBRHKA-GUYCJALGSA-N 0 3 315.486 4.233 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCC2)nc1)c1cccc(F)c1 ZINC000187751088 1043249258 /nfs/dbraw/zinc/24/92/58/1043249258.db2.gz XTHVHOAAIDACML-GOSISDBHSA-N 0 3 313.420 4.062 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@@H]1CCCc3c1cnn3C)CC2 ZINC000187804634 1043250986 /nfs/dbraw/zinc/25/09/86/1043250986.db2.gz XKTZZZWQHGRPMZ-RTBURBONSA-N 0 3 309.457 4.198 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nnc(-c2ccco2)o1 ZINC000191880717 1043262562 /nfs/dbraw/zinc/26/25/62/1043262562.db2.gz AVZXOJBEPMKRRV-UONOGXRCSA-N 0 3 303.406 4.024 20 0 DIADHN Fc1ccc([C@H]2OCC[C@H]2N[C@@H]2CCCc3occc32)cc1F ZINC000193070745 1043279241 /nfs/dbraw/zinc/27/92/41/1043279241.db2.gz DVASFFITVIVKCZ-JFIYKMOQSA-N 0 3 319.351 4.055 20 0 DIADHN CCCNc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1 ZINC000193150773 1043279408 /nfs/dbraw/zinc/27/94/08/1043279408.db2.gz DAZZHYQNUGMROY-UHFFFAOYSA-N 0 3 321.424 4.052 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000193361159 1043284981 /nfs/dbraw/zinc/28/49/81/1043284981.db2.gz ZQTMAYCXWYBDHA-MRXNPFEDSA-N 0 3 315.486 4.441 20 0 DIADHN Nc1cccc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)c1 ZINC000665233779 1043291611 /nfs/dbraw/zinc/29/16/11/1043291611.db2.gz PCZTWOHVZCDIIX-HNNXBMFYSA-N 0 3 307.224 4.353 20 0 DIADHN Nc1cccc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)c1 ZINC000665233780 1043291669 /nfs/dbraw/zinc/29/16/69/1043291669.db2.gz PCZTWOHVZCDIIX-OAHLLOKOSA-N 0 3 307.224 4.353 20 0 DIADHN CC[C@@H](NCc1cccc(N)c1)c1cc(Cl)ccc1OC ZINC000665233177 1043291741 /nfs/dbraw/zinc/29/17/41/1043291741.db2.gz AVODNOGLZHZUIR-MRXNPFEDSA-N 0 3 304.821 4.172 20 0 DIADHN C=Cn1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)cn1 ZINC000194406084 1043293074 /nfs/dbraw/zinc/29/30/74/1043293074.db2.gz JORAMEWHEJVIRX-MRXNPFEDSA-N 0 3 321.346 4.243 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NC[C@@H]2CCC=CO2)cc1 ZINC000194529295 1043293504 /nfs/dbraw/zinc/29/35/04/1043293504.db2.gz JCQBUFUAMYBARH-UONOGXRCSA-N 0 3 303.299 4.102 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccccc2SC)cc1 ZINC000194840757 1043295984 /nfs/dbraw/zinc/29/59/84/1043295984.db2.gz QNFPMOCLEXGMOL-CQSZACIVSA-N 0 3 301.455 4.406 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc3ncccc3c2)cnn1C(C)(C)C ZINC000195144731 1043297282 /nfs/dbraw/zinc/29/72/82/1043297282.db2.gz OPMRAYZLYIVBQP-CQSZACIVSA-N 0 3 322.456 4.346 20 0 DIADHN C[C@@H]1CCC(C)(C)C[C@H]1NCc1ncccc1Br ZINC000717480711 1043299282 /nfs/dbraw/zinc/29/92/82/1043299282.db2.gz CQXCQELPZKZEKK-DGCLKSJQSA-N 0 3 311.267 4.149 20 0 DIADHN CC(C)OCC(C)(C)NCc1c(Cl)ccc2cccnc21 ZINC000665249846 1043315793 /nfs/dbraw/zinc/31/57/93/1043315793.db2.gz GFXCTFQZMSRUIH-UHFFFAOYSA-N 0 3 306.837 4.181 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccc(F)cc1OC(F)F ZINC000427981505 1043316841 /nfs/dbraw/zinc/31/68/41/1043316841.db2.gz FTQBUDLPOSONGM-JQWIXIFHSA-N 0 3 317.351 4.035 20 0 DIADHN COc1ccccc1OCCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000059374621 1043317613 /nfs/dbraw/zinc/31/76/13/1043317613.db2.gz CACIZLATYSBCNU-QGZVFWFLSA-N 0 3 315.388 4.050 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccnc(Cl)c1 ZINC000122652304 1043319794 /nfs/dbraw/zinc/31/97/94/1043319794.db2.gz TWPJWIRVIMLYNO-ZDUSSCGKSA-N 0 3 304.821 4.122 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc2c(c1)COC2 ZINC000268723805 1043324502 /nfs/dbraw/zinc/32/45/02/1043324502.db2.gz ABEWKPUOQRHAHY-HNNXBMFYSA-N 0 3 311.425 4.104 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccc3c(c2)COC3)cc1 ZINC000268733787 1043325381 /nfs/dbraw/zinc/32/53/81/1043325381.db2.gz AVODZQIBMVPRNI-OAHLLOKOSA-N 0 3 311.425 4.355 20 0 DIADHN Cc1ccnc(CNC[C@H]2CCCC2(C)C)c1Br ZINC001202529101 1043326704 /nfs/dbraw/zinc/32/67/04/1043326704.db2.gz UXEJGLULOVQZHA-GFCCVEGCSA-N 0 3 311.267 4.068 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000268734546 1043327252 /nfs/dbraw/zinc/32/72/52/1043327252.db2.gz CXVNXQCIPVLSMX-JLTOFOAXSA-N 0 3 308.425 4.292 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cccc(C(=O)N(C)C)c2)c2ccccc21 ZINC000268775764 1043328861 /nfs/dbraw/zinc/32/88/61/1043328861.db2.gz VMADFWWSYHGTSK-QRWLVFNGSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2cccc(C(=O)N(C)C)c2)cc1C ZINC000268769183 1043330033 /nfs/dbraw/zinc/33/00/33/1043330033.db2.gz RVAGSZSPOHLVMW-QGZVFWFLSA-N 0 3 324.468 4.164 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccc(C)cc1 ZINC000268795940 1043331042 /nfs/dbraw/zinc/33/10/42/1043331042.db2.gz DNRHIQOUWGDQNC-FQEVSTJZSA-N 0 3 322.452 4.128 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)s1 ZINC000268855196 1043337282 /nfs/dbraw/zinc/33/72/82/1043337282.db2.gz QPCUYJBCYAWYAT-KQHSUYLTSA-N 0 3 307.484 4.206 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@@H](O)c2ccc3ccccc3c2)cn1 ZINC000268860270 1043338609 /nfs/dbraw/zinc/33/86/09/1043338609.db2.gz DMFCUTJNMQXCOZ-FOIQADDNSA-N 0 3 323.440 4.001 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000665268304 1043339923 /nfs/dbraw/zinc/33/99/23/1043339923.db2.gz LXZLBTZVFJYSOU-JDNHERCYSA-N 0 3 306.331 4.024 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](c1ncccc1C)C(C)C ZINC000268898618 1043340365 /nfs/dbraw/zinc/34/03/65/1043340365.db2.gz KZGYPEVHQJRTAH-HKUYNNGSSA-N 0 3 310.441 4.373 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CNC1CC(n2cccn2)C1 ZINC000639201565 1043340375 /nfs/dbraw/zinc/34/03/75/1043340375.db2.gz WPZCPLQLPSIBMV-UHFFFAOYSA-N 0 3 321.399 4.183 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000665268303 1043340625 /nfs/dbraw/zinc/34/06/25/1043340625.db2.gz LXZLBTZVFJYSOU-FVMDXXJSSA-N 0 3 306.331 4.024 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2ccccc2n1)[C@H](O)c1ccc(F)cc1 ZINC000268886667 1043341131 /nfs/dbraw/zinc/34/11/31/1043341131.db2.gz IYPKLUQTIKMGBC-MNVSYLFESA-N 0 3 324.399 4.147 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccc(F)cc1)c1ccc2ccccc2n1 ZINC000268886671 1043341339 /nfs/dbraw/zinc/34/13/39/1043341339.db2.gz IYPKLUQTIKMGBC-YRVVQQKDSA-N 0 3 324.399 4.147 20 0 DIADHN CC[C@@H](N[C@H](CO)c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000268917997 1043341906 /nfs/dbraw/zinc/34/19/06/1043341906.db2.gz OHNHALMPMFKAGE-IAGOWNOFSA-N 0 3 321.367 4.062 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@H]1CCc2cc(N)ccc21 ZINC000665270276 1043344175 /nfs/dbraw/zinc/34/41/75/1043344175.db2.gz VHJNDVZURWLLPH-BZNIZROVSA-N 0 3 315.486 4.495 20 0 DIADHN CC1(C)Cc2occc2[C@@H](N[C@@H](CCCO)c2ccccc2)C1 ZINC000268949085 1043345613 /nfs/dbraw/zinc/34/56/13/1043345613.db2.gz BUWXBXZZJPATKA-ROUUACIJSA-N 0 3 313.441 4.397 20 0 DIADHN OCCC[C@H](N[C@@H]1CCSc2ccccc21)c1ccccc1 ZINC000268952534 1043346470 /nfs/dbraw/zinc/34/64/70/1043346470.db2.gz CPPTXUFCPFSSBM-ZWKOTPCHSA-N 0 3 313.466 4.327 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(N)ccc21)c1nccc2ccccc21 ZINC000665270969 1043346761 /nfs/dbraw/zinc/34/67/61/1043346761.db2.gz DQBOYORLZSFJAM-ORAYPTAESA-N 0 3 303.409 4.155 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1ccc(F)c(Cl)c1 ZINC000268998020 1043348501 /nfs/dbraw/zinc/34/85/01/1043348501.db2.gz OELJXRNMIOGXBZ-SJKOYZFVSA-N 0 3 307.796 4.253 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3cccnc3Cl)nc21 ZINC000269006865 1043351219 /nfs/dbraw/zinc/35/12/19/1043351219.db2.gz WKWCARLGVKFKJJ-LBPRGKRZSA-N 0 3 315.804 4.078 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000269048732 1043354684 /nfs/dbraw/zinc/35/46/84/1043354684.db2.gz VKZPLIQLKAWKAO-SESVDKBCSA-N 0 3 323.436 4.192 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H]2c2ccccc2)s1 ZINC000269097773 1043356107 /nfs/dbraw/zinc/35/61/07/1043356107.db2.gz SGGAZMBSXQXJDX-HUUCEWRRSA-N 0 3 301.459 4.087 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@H](CCO)c1ccccc1 ZINC000269079530 1043356335 /nfs/dbraw/zinc/35/63/35/1043356335.db2.gz ZCSSLQGUWINZDL-CZUORRHYSA-N 0 3 318.486 4.349 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@@H](C)c2csc(C)n2)cc1 ZINC000269418379 1043365061 /nfs/dbraw/zinc/36/50/61/1043365061.db2.gz KYIABNWXSZJOGH-STQMWFEESA-N 0 3 304.459 4.305 20 0 DIADHN COc1ccc([C@H](C)CCN[C@H](C)c2csc(C)n2)cc1 ZINC000269418376 1043365113 /nfs/dbraw/zinc/36/51/13/1043365113.db2.gz KYIABNWXSZJOGH-CHWSQXEVSA-N 0 3 304.459 4.305 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1csc(C)n1)c1ccsc1 ZINC000269422097 1043365245 /nfs/dbraw/zinc/36/52/45/1043365245.db2.gz MAWIEYISNVIVPA-MLGOLLRUSA-N 0 3 323.531 4.247 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCO[C@H]2c2ccccc2)cs1 ZINC000269443535 1043365707 /nfs/dbraw/zinc/36/57/07/1043365707.db2.gz UAZUJFQSBPWKDI-OWQGQXMQSA-N 0 3 316.470 4.270 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1csc(C)n1)c1ccsc1 ZINC000269422095 1043366318 /nfs/dbraw/zinc/36/63/18/1043366318.db2.gz MAWIEYISNVIVPA-LRDDRELGSA-N 0 3 323.531 4.247 20 0 DIADHN CC[C@@H](NC(=O)C[C@@H](N)c1ccccc1)c1ccc(Cl)s1 ZINC000269478692 1043367867 /nfs/dbraw/zinc/36/78/67/1043367867.db2.gz FFCXIGWWBFLHAL-CHWSQXEVSA-N 0 3 322.861 4.059 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1)[C@@H]1CCc2ccccc21 ZINC000521193621 1043369921 /nfs/dbraw/zinc/36/99/21/1043369921.db2.gz LELZKDZFVPANLE-LJQANCHMSA-N 0 3 308.425 4.025 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2cccc(F)c2F)c1 ZINC000521183899 1043372273 /nfs/dbraw/zinc/37/22/73/1043372273.db2.gz WZCDCVUYNCAMKQ-INIZCTEOSA-N 0 3 317.379 4.181 20 0 DIADHN Cc1cc([C@@H](NCc2cnn3ccccc23)C2CC2)ccc1F ZINC000270256086 1043382163 /nfs/dbraw/zinc/38/21/63/1043382163.db2.gz HGAGTJWLZOOYGX-IBGZPJMESA-N 0 3 309.388 4.023 20 0 DIADHN C[C@H](NCc1ccc(C(F)(F)F)cc1)c1cccc(CO)c1 ZINC000270428887 1043386592 /nfs/dbraw/zinc/38/65/92/1043386592.db2.gz HJNHTXYUZALCBU-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN Clc1ccc(-c2nnc(CN3CC[C@@H](C4CCC4)C3)o2)cc1 ZINC000665284561 1043387529 /nfs/dbraw/zinc/38/75/29/1043387529.db2.gz NRRCJDRIGDFHIT-CQSZACIVSA-N 0 3 317.820 4.012 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)C[C@H](O)c2cccs2)c(F)c1 ZINC000270642998 1043392623 /nfs/dbraw/zinc/39/26/23/1043392623.db2.gz OYXQPWUTZAWCLS-WQGACYEGSA-N 0 3 323.433 4.059 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@@H](C)c1ccc(F)cc1F ZINC000270647947 1043392896 /nfs/dbraw/zinc/39/28/96/1043392896.db2.gz PXHJOAHCQDZJIO-JRPNMDOOSA-N 0 3 311.397 4.189 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cccc(C(=O)N(C)C)c1 ZINC000271138547 1043403886 /nfs/dbraw/zinc/40/38/86/1043403886.db2.gz NTYMWVXHJJBDQD-HNAYVOBHSA-N 0 3 322.452 4.035 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@H](C)c1cscn1 ZINC000271124041 1043404544 /nfs/dbraw/zinc/40/45/44/1043404544.db2.gz KFHURIYJCMOPHR-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cccc(C(=O)N(C)C)c1 ZINC000271138548 1043405230 /nfs/dbraw/zinc/40/52/30/1043405230.db2.gz NTYMWVXHJJBDQD-KXBFYZLASA-N 0 3 322.452 4.035 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccc(Cl)cc1Cl ZINC000271430958 1043416159 /nfs/dbraw/zinc/41/61/59/1043416159.db2.gz BBZBJDSPYTUQOC-OTYXRUKQSA-N 0 3 310.228 4.445 20 0 DIADHN CC[C@H](N[C@H]1CCCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000442789325 1043422900 /nfs/dbraw/zinc/42/29/00/1043422900.db2.gz YWGRFHIWEAEFPU-OALUTQOASA-N 0 3 314.473 4.051 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H]1CCCc2cn[nH]c21 ZINC000271472402 1043424070 /nfs/dbraw/zinc/42/40/70/1043424070.db2.gz HPVAUNVLZYQPSI-NHYWBVRUSA-N 0 3 319.836 4.190 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000271478247 1043424231 /nfs/dbraw/zinc/42/42/31/1043424231.db2.gz SENGNJBYFUIKQT-GJZGRUSLSA-N 0 3 323.440 4.150 20 0 DIADHN C[C@H](NCCc1nc2cc(Cl)ccc2s1)c1ccns1 ZINC000925517844 1043424444 /nfs/dbraw/zinc/42/44/44/1043424444.db2.gz HFGLONXOBPNLHS-VIFPVBQESA-N 0 3 323.874 4.300 20 0 DIADHN CC[C@H](N[C@H](C)c1cn[nH]c1)c1ccc2cc(OC)ccc2c1 ZINC000271478358 1043424807 /nfs/dbraw/zinc/42/48/07/1043424807.db2.gz JILHDOHJKISQKE-YJYMSZOUSA-N 0 3 309.413 4.373 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)c2c1cccc2Br)c1cn[nH]c1 ZINC000271499292 1043426236 /nfs/dbraw/zinc/42/62/36/1043426236.db2.gz LUOJZUWJWHORKE-RULNRJAQSA-N 0 3 320.234 4.071 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000271492070 1043426539 /nfs/dbraw/zinc/42/65/39/1043426539.db2.gz ICYIPWFUAOOJJH-FZMZJTMJSA-N 0 3 305.809 4.153 20 0 DIADHN CC[C@H](CCC(F)(F)F)NCc1nc(C)c2ccccc2n1 ZINC000872030905 1043426988 /nfs/dbraw/zinc/42/69/88/1043426988.db2.gz KOYDTOOYVJVMEE-GFCCVEGCSA-N 0 3 311.351 4.149 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)c2c1cccc2Br)c1cn[nH]c1 ZINC000271499290 1043427082 /nfs/dbraw/zinc/42/70/82/1043427082.db2.gz LUOJZUWJWHORKE-ISTVAULSSA-N 0 3 320.234 4.071 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000271505184 1043427160 /nfs/dbraw/zinc/42/71/60/1043427160.db2.gz MPGJELIGSYLNJL-PMUMKWKESA-N 0 3 318.848 4.032 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2nc(-c3ccccc3)cs2)CCO1 ZINC000271500256 1043427435 /nfs/dbraw/zinc/42/74/35/1043427435.db2.gz KKNRQRFCXQCSKF-RDJZCZTQSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H]1CC(C)(C)Sc2ccccc21 ZINC000872031272 1043427856 /nfs/dbraw/zinc/42/78/56/1043427856.db2.gz NTINBVCGZPFWOC-INIZCTEOSA-N 0 3 315.486 4.142 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H](C)c2cccc3ccccc32)n[nH]1 ZINC000271523363 1043428343 /nfs/dbraw/zinc/42/83/43/1043428343.db2.gz OTOPBWKLHKABFV-UONOGXRCSA-N 0 3 308.429 4.493 20 0 DIADHN CCc1cc(CN[C@H]2CC(C)(C)Sc3ccccc32)n[nH]1 ZINC000872036616 1043428750 /nfs/dbraw/zinc/42/87/50/1043428750.db2.gz XPVBLXXKXHABEG-HNNXBMFYSA-N 0 3 301.459 4.077 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nc(-c3ccccc3)sc21)c1cn[nH]c1 ZINC000271509697 1043430164 /nfs/dbraw/zinc/43/01/64/1043430164.db2.gz NARXSJONAVTHGM-DOMZBBRYSA-N 0 3 324.453 4.261 20 0 DIADHN C[C@H]([NH2+]C[C@H]1CCCO[C@H]1C(C)(C)C)c1cccc([O-])c1F ZINC000872039253 1043431536 /nfs/dbraw/zinc/43/15/36/1043431536.db2.gz RKISJBQMRSGWCM-OGHNNQOOSA-N 0 3 309.425 4.023 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cccc(N3CCC3=O)c2)c2ccccc21 ZINC000442818233 1043431502 /nfs/dbraw/zinc/43/15/02/1043431502.db2.gz ZQFPTNNZRATWBC-QRWLVFNGSA-N 0 3 320.436 4.152 20 0 DIADHN CC[C@@H](CCC(F)(F)F)NCc1csc([C@H](C)OC)n1 ZINC000872041863 1043432751 /nfs/dbraw/zinc/43/27/51/1043432751.db2.gz LKOXSOMKOPOIMC-UWVGGRQHSA-N 0 3 310.385 4.061 20 0 DIADHN COc1cccc(C(C)(C)CN[C@H](C)c2csc(C)n2)c1 ZINC000271560150 1043435887 /nfs/dbraw/zinc/43/58/87/1043435887.db2.gz WPNAVUGVUQJNEM-GFCCVEGCSA-N 0 3 304.459 4.089 20 0 DIADHN C[C@@H]([NH2+][C@@H]1CCOC2(CCCCC2)C1)c1cccc([O-])c1F ZINC000872049017 1043436101 /nfs/dbraw/zinc/43/61/01/1043436101.db2.gz ODERCVDKNMSWDS-ZIAGYGMSSA-N 0 3 307.409 4.064 20 0 DIADHN CCOCCC1(CN[C@@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000925597567 1043436264 /nfs/dbraw/zinc/43/62/64/1043436264.db2.gz HPHOAQVLWVIPHF-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN Fc1ccccc1[C@H](Cc1ccccc1)NCCOC1CCC1 ZINC000558093141 1043437936 /nfs/dbraw/zinc/43/79/36/1043437936.db2.gz SULCIZRPVVFRIL-FQEVSTJZSA-N 0 3 313.416 4.268 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2ccc(F)c(C)c2)C2CC2)cn1 ZINC000271576657 1043439541 /nfs/dbraw/zinc/43/95/41/1043439541.db2.gz WLMNSYAOIORSRB-SCLBCKFNSA-N 0 3 301.409 4.152 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCO[C@@H](C(C)(C)C)C3)CCC2)n1 ZINC000872057675 1043439870 /nfs/dbraw/zinc/43/98/70/1043439870.db2.gz ZWLWHIKWHQXJDG-ZIAGYGMSSA-N 0 3 308.491 4.014 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnccc1C)c1ccc(OC)c(OC)c1 ZINC000271606837 1043441990 /nfs/dbraw/zinc/44/19/90/1043441990.db2.gz VGLRTZIVLDRJNL-WMLDXEAASA-N 0 3 314.429 4.209 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cccc(Br)c1 ZINC000271587102 1043442384 /nfs/dbraw/zinc/44/23/84/1043442384.db2.gz ZGUMGMWTJHAABB-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COc1cc([C@H](C)NCc2cncc(C)c2)cc2ccccc21 ZINC000925643584 1043443358 /nfs/dbraw/zinc/44/33/58/1043443358.db2.gz GAAOCWZAWVBUMY-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H]([NH2+][C@H]1CCO[C@H](c2ccccc2)C1)c1cccc([O-])c1F ZINC000872061934 1043444234 /nfs/dbraw/zinc/44/42/34/1043444234.db2.gz HUASLTZYTNEDKL-YEWWUXTCSA-N 0 3 315.388 4.102 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](C)c1cccc(N2CCCC2)c1 ZINC000271624635 1043444361 /nfs/dbraw/zinc/44/43/61/1043444361.db2.gz ZBMFYBNITCKOCU-IAGOWNOFSA-N 0 3 309.457 4.402 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN(CCC(F)(F)F)C3)nc2c1 ZINC000271680181 1043447095 /nfs/dbraw/zinc/44/70/95/1043447095.db2.gz JSAHBRDSPFYMRL-GFCCVEGCSA-N 0 3 311.351 4.003 20 0 DIADHN Cc1ccc2nc([C@@H]3CCCN(CCC(F)(F)F)C3)[nH]c2c1 ZINC000271680181 1043447102 /nfs/dbraw/zinc/44/71/02/1043447102.db2.gz JSAHBRDSPFYMRL-GFCCVEGCSA-N 0 3 311.351 4.003 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(F)ccc21)c1ccnn1C1CCC1 ZINC000925672528 1043449562 /nfs/dbraw/zinc/44/95/62/1043449562.db2.gz VIAYNEOZQXXHJG-UGSOOPFHSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCCOc2ccc(F)cc2)s1 ZINC000521656945 1043451502 /nfs/dbraw/zinc/45/15/02/1043451502.db2.gz DYECUFIIPVYGIF-ZDUSSCGKSA-N 0 3 322.449 4.361 20 0 DIADHN Fc1cccc2c(CN3CC[C@H](OCc4ccccc4)C3)c[nH]c21 ZINC001137055664 1043458349 /nfs/dbraw/zinc/45/83/49/1043458349.db2.gz AGDJFVDOFNDIQR-KRWDZBQOSA-N 0 3 324.399 4.098 20 0 DIADHN CN(CCCO)Cc1ccccc1Oc1ccc(F)cc1Cl ZINC000442957844 1043458845 /nfs/dbraw/zinc/45/88/45/1043458845.db2.gz ACDAHIWNOLBDTB-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1ccncc1)c1ccc(Cl)cc1 ZINC000283088626 1043458678 /nfs/dbraw/zinc/45/86/78/1043458678.db2.gz LCXXCXGMUBYTBV-CXAGYDPISA-N 0 3 304.821 4.116 20 0 DIADHN CN(CCCO)Cc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000442970016 1043461962 /nfs/dbraw/zinc/46/19/62/1043461962.db2.gz ZYIHDIKIGMPIQQ-UHFFFAOYSA-N 0 3 314.212 4.068 20 0 DIADHN Cc1cccc(C[C@@H](C)NCc2nc(-c3ccncc3)cs2)c1 ZINC000872120829 1043467118 /nfs/dbraw/zinc/46/71/18/1043467118.db2.gz HCHXRGWWMLYPBS-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3oc(=O)[nH]c3c2)cc(C)c1F ZINC000872123963 1043468412 /nfs/dbraw/zinc/46/84/12/1043468412.db2.gz YNKSUHQJCKNMFT-GFCCVEGCSA-N 0 3 314.360 4.140 20 0 DIADHN Fc1cncc([C@@H](NCc2cccc3ncccc23)C2CC2)c1 ZINC000872123961 1043469231 /nfs/dbraw/zinc/46/92/31/1043469231.db2.gz YNKRFKAKUGIKTM-IBGZPJMESA-N 0 3 307.372 4.010 20 0 DIADHN C[C@H](NCc1cccc2ncccc12)c1ccc(F)cc1N(C)C ZINC000872124127 1043469484 /nfs/dbraw/zinc/46/94/84/1043469484.db2.gz DSHOYEWUFFELFK-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN COC1(CN[C@H](C)c2ccccn2)CCC(c2ccccc2)CC1 ZINC000558253373 1043473574 /nfs/dbraw/zinc/47/35/74/1043473574.db2.gz AKDKGIYRBDREMH-FQVISZRSSA-N 0 3 324.468 4.475 20 0 DIADHN CCN(Cc1ccncc1)Cc1cccc(-c2ccncc2)c1 ZINC000348897503 1043477297 /nfs/dbraw/zinc/47/72/97/1043477297.db2.gz VKPACSSQVBPPRD-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN c1cncc(-c2cccc(CN3CCC[C@@H]3c3cccnc3)c2)c1 ZINC000348923614 1043479496 /nfs/dbraw/zinc/47/94/96/1043479496.db2.gz UOXRPXYAZZWOTC-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1[C@H](C)CC[C@H]1C ZINC000443084662 1043479810 /nfs/dbraw/zinc/47/98/10/1043479810.db2.gz HJUMTCXIUPQMSY-IJLUTSLNSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@H](NCc1n[nH]c(-c2ccccc2)n1)c1ccc(Cl)s1 ZINC000777577112 1043480480 /nfs/dbraw/zinc/48/04/80/1043480480.db2.gz BSNXXPPTSHEPIG-JTQLQIEISA-N 0 3 318.833 4.037 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2)n[nH]1)c1ccc(Cl)s1 ZINC000777577112 1043480486 /nfs/dbraw/zinc/48/04/86/1043480486.db2.gz BSNXXPPTSHEPIG-JTQLQIEISA-N 0 3 318.833 4.037 20 0 DIADHN c1ccc(-c2cccc(CN3CCC[C@H]3c3cccnc3)c2)nc1 ZINC000348920487 1043481087 /nfs/dbraw/zinc/48/10/87/1043481087.db2.gz KGUGBDBSLWLLLJ-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2cccnc2)cc1 ZINC000348918054 1043481105 /nfs/dbraw/zinc/48/11/05/1043481105.db2.gz BBOVRIYUCMNHBR-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN C1=CC[C@H](CN2CCCC[C@@H]2c2nnc(C3CCC3)o2)CC1 ZINC000348904002 1043481314 /nfs/dbraw/zinc/48/13/14/1043481314.db2.gz JHUKBAZURGUPJQ-GOEBONIOSA-N 0 3 301.434 4.220 20 0 DIADHN O[C@@H](CNCc1ccc(-c2ccccc2F)o1)C1CCCCC1 ZINC000521832509 1043481596 /nfs/dbraw/zinc/48/15/96/1043481596.db2.gz FUUFVPGPFCBILB-SFHVURJKSA-N 0 3 317.404 4.117 20 0 DIADHN CCCN(CC(=O)N(C(C)C)C(C)C)[C@@H]1CCc2ccccc21 ZINC000521819065 1043485529 /nfs/dbraw/zinc/48/55/29/1043485529.db2.gz SBCIENXDEBGDCX-LJQANCHMSA-N 0 3 316.489 4.031 20 0 DIADHN CCOC(=O)[C@H]1CC[C@H](NCc2ccsc2)c2ccccc21 ZINC000283439904 1043485845 /nfs/dbraw/zinc/48/58/45/1043485845.db2.gz TUDJEJSIIIFOJE-IRXDYDNUSA-N 0 3 315.438 4.020 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@H](OC2CCC2)CC1 ZINC000872261477 1043487674 /nfs/dbraw/zinc/48/76/74/1043487674.db2.gz FUVBVPMSUVYEKW-INIZCTEOSA-N 0 3 320.502 4.334 20 0 DIADHN CCCN(CC(=O)NC(C)(C)CC)[C@@H](CC)c1ccccc1 ZINC000521819900 1043487897 /nfs/dbraw/zinc/48/78/97/1043487897.db2.gz MJAFHEDAGPYKKV-KRWDZBQOSA-N 0 3 304.478 4.155 20 0 DIADHN c1ccc(-c2nnc(CN[C@H](CC3CC3)c3ccccc3)[nH]2)cc1 ZINC000777578862 1043488527 /nfs/dbraw/zinc/48/85/27/1043488527.db2.gz OICAVJGJTKDAGQ-GOSISDBHSA-N 0 3 318.424 4.103 20 0 DIADHN CC[C@@H](N[C@@H]1CCCN(C2CCCCC2)C1=O)c1cccs1 ZINC000443093182 1043490382 /nfs/dbraw/zinc/49/03/82/1043490382.db2.gz LTLWAJHTQGPBFQ-HZPDHXFCSA-N 0 3 320.502 4.113 20 0 DIADHN c1cc(-c2ccccc2)oc1CN[C@H]1COc2ccccc2C1 ZINC000521848627 1043490657 /nfs/dbraw/zinc/49/06/57/1043490657.db2.gz PKISHSRILCBSHG-QGZVFWFLSA-N 0 3 305.377 4.040 20 0 DIADHN C[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cc2ccccc2[nH]1 ZINC000925919266 1043493292 /nfs/dbraw/zinc/49/32/92/1043493292.db2.gz ZZBWOCKOXBXKPN-ZDUSSCGKSA-N 0 3 320.392 4.237 20 0 DIADHN CC(C)=CCN(CCCO)Cc1ccc(Cl)c(Cl)c1 ZINC000521878588 1043497263 /nfs/dbraw/zinc/49/72/63/1043497263.db2.gz CGXDDLLJASAHOA-UHFFFAOYSA-N 0 3 302.245 4.144 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)[NH2+]Cc1ncccc1[O-] ZINC000558404205 1043501304 /nfs/dbraw/zinc/50/13/04/1043501304.db2.gz CMHBLLKRVOEEQR-MRXNPFEDSA-N 0 3 324.449 4.231 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc(C)c(Cl)c1 ZINC000349293896 1043501306 /nfs/dbraw/zinc/50/13/06/1043501306.db2.gz GTFMAHHWCPPCON-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)[NH2+]Cc1ncccc1[O-] ZINC000558404204 1043501338 /nfs/dbraw/zinc/50/13/38/1043501338.db2.gz CMHBLLKRVOEEQR-INIZCTEOSA-N 0 3 324.449 4.231 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc(Cl)c(C)c1 ZINC000349300811 1043502993 /nfs/dbraw/zinc/50/29/93/1043502993.db2.gz UJZQEJSXKSVGKN-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN CCN(Cc1cccc(-c2ccncc2)c1)Cc1cnccc1C ZINC000349317179 1043503122 /nfs/dbraw/zinc/50/31/22/1043503122.db2.gz PUJYWGBEMBLSQK-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@H](CO)c1cccc(F)c1 ZINC000349376294 1043506183 /nfs/dbraw/zinc/50/61/83/1043506183.db2.gz FOMUJQDTJWVNLE-RTBURBONSA-N 0 3 313.416 4.143 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1cc(Cl)cc2cccnc21 ZINC000349412218 1043508251 /nfs/dbraw/zinc/50/82/51/1043508251.db2.gz YAZGVTRSRXHQKI-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN CO[C@H](C)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000443213661 1043509645 /nfs/dbraw/zinc/50/96/45/1043509645.db2.gz RNDWPLXQTQVNRW-PXAZEXFGSA-N 0 3 307.796 4.193 20 0 DIADHN c1ccc([C@@H](NCc2cccc3ncccc23)[C@H]2CCCO2)cc1 ZINC000873028400 1043512631 /nfs/dbraw/zinc/51/26/31/1043512631.db2.gz LRIPWYUZTUDQDM-NHCUHLMSSA-N 0 3 318.420 4.245 20 0 DIADHN c1csc(C2CCN(Cc3ccc(N4CCCC4)o3)CC2)c1 ZINC001137067575 1043512676 /nfs/dbraw/zinc/51/26/76/1043512676.db2.gz AFUVAFWHOSEPIF-UHFFFAOYSA-N 0 3 316.470 4.321 20 0 DIADHN c1ccc(OC2CCC2)c(CNCc2cccc3ncccc23)c1 ZINC000873053641 1043512705 /nfs/dbraw/zinc/51/27/05/1043512705.db2.gz DZTLHCBVHBRJFE-UHFFFAOYSA-N 0 3 318.420 4.456 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3ncccc23)cn1 ZINC000873103306 1043516835 /nfs/dbraw/zinc/51/68/35/1043516835.db2.gz UAYQQFZCOCRBFW-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN Cc1ccc([C@H](NCc2ccccc2-n2cccn2)C2CCC2)o1 ZINC000349780932 1043520750 /nfs/dbraw/zinc/52/07/50/1043520750.db2.gz XMWSHBYTVBINAS-HXUWFJFHSA-N 0 3 321.424 4.405 20 0 DIADHN COC1CCN(Cc2ccc(-c3ccccc3Cl)o2)CC1 ZINC000349765265 1043521411 /nfs/dbraw/zinc/52/14/11/1043521411.db2.gz RDINCGYPIDMRLC-UHFFFAOYSA-N 0 3 305.805 4.211 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2csc(-c3ccccn3)n2)CC1 ZINC000191113037 1043521739 /nfs/dbraw/zinc/52/17/39/1043521739.db2.gz IIGYSSPNIAZVFY-ZIAGYGMSSA-N 0 3 301.459 4.264 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c(Cl)cccc21)[C@H](O)C(F)(F)F ZINC000349780439 1043521743 /nfs/dbraw/zinc/52/17/43/1043521743.db2.gz WQBYNHMNZXSPHD-MJBXVCDLSA-N 0 3 321.770 4.009 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cc(Cl)ccc1OC(F)F)OC ZINC000558503859 1043523039 /nfs/dbraw/zinc/52/30/39/1043523039.db2.gz ZQYUDOASLCSJBR-KOLCDFICSA-N 0 3 307.768 4.017 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)C[C@H](O)C(F)(F)F ZINC000522016477 1043524139 /nfs/dbraw/zinc/52/41/39/1043524139.db2.gz JWZVIWYZAWCLFY-LBPRGKRZSA-N 0 3 309.397 4.052 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)c1 ZINC000873184745 1043524637 /nfs/dbraw/zinc/52/46/37/1043524637.db2.gz IAWXZDAQZZGGET-GFCCVEGCSA-N 0 3 324.346 4.098 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(C)c2C)cc(N2CCCC2)n1 ZINC000558508555 1043526521 /nfs/dbraw/zinc/52/65/21/1043526521.db2.gz WQNUYCQHINLDTC-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1cc(CNC2(C)CC(F)(F)C2)ccc1Oc1cccnc1 ZINC000873198003 1043527405 /nfs/dbraw/zinc/52/74/05/1043527405.db2.gz LXZOMTMVPDQGKF-UHFFFAOYSA-N 0 3 318.367 4.460 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)Nc1ccc(F)c(C(F)F)c1 ZINC000873249192 1043529999 /nfs/dbraw/zinc/52/99/99/1043529999.db2.gz LTDPFAPLCGXQQB-SECBINFHSA-N 0 3 309.335 4.099 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cc(N)cc(F)c2)cc1 ZINC000873289691 1043533003 /nfs/dbraw/zinc/53/30/03/1043533003.db2.gz WSQQRCVIIKFJCS-GFCCVEGCSA-N 0 3 304.434 4.371 20 0 DIADHN CN(Cc1cnc(-c2ccncc2)s1)[C@@H]1CCc2ccccc21 ZINC000349975472 1043533262 /nfs/dbraw/zinc/53/32/62/1043533262.db2.gz SOSMWPRHBBWTNU-GOSISDBHSA-N 0 3 321.449 4.324 20 0 DIADHN CCc1ccc(CN[C@@H]2C[C@@H](OCc3ccccc3)C2(C)C)nc1 ZINC000717975846 1043533409 /nfs/dbraw/zinc/53/34/09/1043533409.db2.gz SCTISINBEDZIMU-WOJBJXKFSA-N 0 3 324.468 4.118 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCc1cc(N)cc(F)c1)CCCO2 ZINC000873288772 1043534164 /nfs/dbraw/zinc/53/41/64/1043534164.db2.gz LHKRHQHWYZYKKL-SFHVURJKSA-N 0 3 314.404 4.028 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCc1cc(N)cc(F)c1)CCCO2 ZINC000873288771 1043534525 /nfs/dbraw/zinc/53/45/25/1043534525.db2.gz LHKRHQHWYZYKKL-GOSISDBHSA-N 0 3 314.404 4.028 20 0 DIADHN CCC[C@H](NCC[C@@H]1CCO[C@H](C)C1)c1ncccc1Cl ZINC000926138234 1043534791 /nfs/dbraw/zinc/53/47/91/1043534791.db2.gz LSQIVFWAVJMPQR-FMKPAKJESA-N 0 3 310.869 4.371 20 0 DIADHN CCC[C@H](NCC[C@H]1CCO[C@@H](C)C1)c1ncccc1Cl ZINC000926138233 1043534904 /nfs/dbraw/zinc/53/49/04/1043534904.db2.gz LSQIVFWAVJMPQR-DZKIICNBSA-N 0 3 310.869 4.371 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1ccns1 ZINC000926161022 1043536603 /nfs/dbraw/zinc/53/66/03/1043536603.db2.gz IIEOASZHPKCUJG-HFAKWTLXSA-N 0 3 310.850 4.223 20 0 DIADHN COCCC(C)(C)CN[C@H](c1ccc(C)cc1)c1ccccn1 ZINC000926165044 1043538532 /nfs/dbraw/zinc/53/85/32/1043538532.db2.gz AWNPDBAUCUFIML-LJQANCHMSA-N 0 3 312.457 4.132 20 0 DIADHN C[C@H](NCCc1ccccc1F)c1nc2ccccc2s1 ZINC000019912784 1043538521 /nfs/dbraw/zinc/53/85/21/1043538521.db2.gz BRMGHHCSACWTGE-LBPRGKRZSA-N 0 3 300.402 4.329 20 0 DIADHN CC[C@@H](O)CN(Cc1ccc(SC)s1)Cc1ccccc1 ZINC000558567349 1043542572 /nfs/dbraw/zinc/54/25/72/1043542572.db2.gz UXEHBYNHHUGTHU-OAHLLOKOSA-N 0 3 321.511 4.243 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1ccnn1C1CCC1 ZINC000926187426 1043542728 /nfs/dbraw/zinc/54/27/28/1043542728.db2.gz CMWQXAKXBHRMGV-IUODEOHRSA-N 0 3 301.459 4.398 20 0 DIADHN C[C@@H](c1cccnc1)N(C)Cc1cccc(-c2ccccn2)c1 ZINC000350351475 1043544201 /nfs/dbraw/zinc/54/42/01/1043544201.db2.gz IHYCZSLGMGKIEP-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1cccc(-c2ccccn2)c1 ZINC000350364029 1043545122 /nfs/dbraw/zinc/54/51/22/1043545122.db2.gz ZYOSSOVJARGJMJ-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN C[C@H](c1cccnc1)N(C)Cc1cccc(-c2ccncc2)c1 ZINC000350349172 1043545496 /nfs/dbraw/zinc/54/54/96/1043545496.db2.gz BXSXCONVEOFPQZ-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1cccc(-c2ccncc2)c1 ZINC000350355419 1043545787 /nfs/dbraw/zinc/54/57/87/1043545787.db2.gz NMSMUUMBLAFQPO-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN COc1ccc2c(c1)C1(CC1)CN(Cc1cccc3cc[nH]c31)C2 ZINC000558576543 1043546056 /nfs/dbraw/zinc/54/60/56/1043546056.db2.gz IMQFXFHZCMVPQN-UHFFFAOYSA-N 0 3 318.420 4.224 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccncc2)ccc1OC(C)C ZINC000350515653 1043548305 /nfs/dbraw/zinc/54/83/05/1043548305.db2.gz OJLBBVXZJMDBAJ-LSDHHAIUSA-N 0 3 314.429 4.289 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H]1CCc2c1nccc2Cl ZINC000926234528 1043550740 /nfs/dbraw/zinc/55/07/40/1043550740.db2.gz ATYMKVGPPAYMLS-NWDGAFQWSA-N 0 3 306.759 4.289 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(C2CCC2)c1 ZINC000926236848 1043553131 /nfs/dbraw/zinc/55/31/31/1043553131.db2.gz QATXDYIRCXTWPV-FZMZJTMJSA-N 0 3 303.372 4.236 20 0 DIADHN CN(Cc1ccccc1Br)CC1CCSCC1 ZINC000718337784 1043563009 /nfs/dbraw/zinc/56/30/09/1043563009.db2.gz PHRQCUOYDFXUOR-UHFFFAOYSA-N 0 3 314.292 4.024 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000926331140 1043564224 /nfs/dbraw/zinc/56/42/24/1043564224.db2.gz FMJLXGSBPQPKTK-PVAVHDDUSA-N 0 3 314.404 4.425 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCCOC(F)(F)F)CCCO2 ZINC000474075010 1043570168 /nfs/dbraw/zinc/57/01/68/1043570168.db2.gz UBPSEWMSNCWDFF-CQSZACIVSA-N 0 3 317.351 4.150 20 0 DIADHN CCC(CC)CN1CCCC[C@]12CC(=O)N(CC1CCCC1)C2 ZINC001275073467 1043570370 /nfs/dbraw/zinc/57/03/70/1043570370.db2.gz DUFPDEFCQCIULQ-HXUWFJFHSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1csc2ccccc12 ZINC000926377863 1043571574 /nfs/dbraw/zinc/57/15/74/1043571574.db2.gz DTXSHOWBABOLMH-CYBMUJFWSA-N 0 3 311.454 4.285 20 0 DIADHN CCC[C@H](C)CN1CCCC[C@@]12CC(=O)N(CC1CCCC1)C2 ZINC001275081941 1043572782 /nfs/dbraw/zinc/57/27/82/1043572782.db2.gz JRIYFKRNRJVHGY-PXNSSMCTSA-N 0 3 320.521 4.070 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CCCc3c(Cl)ccnc32)CC1 ZINC000926408549 1043574894 /nfs/dbraw/zinc/57/48/94/1043574894.db2.gz LNKQWPWVDXZBTN-LLVKDONJSA-N 0 3 304.743 4.045 20 0 DIADHN CC(C)(C)OC(=O)C[C@@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC000777598891 1043578528 /nfs/dbraw/zinc/57/85/28/1043578528.db2.gz HMXFJXAXNQFDMB-KRWDZBQOSA-N 0 3 321.436 4.100 20 0 DIADHN Cc1cccc([C@@H](NCC2CC(F)(F)C2)c2ccccn2)c1 ZINC000926466007 1043579851 /nfs/dbraw/zinc/57/98/51/1043579851.db2.gz SSUHBQVVCWJAGR-QGZVFWFLSA-N 0 3 302.368 4.114 20 0 DIADHN CC(C)n1cc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)cn1 ZINC001137080360 1043581361 /nfs/dbraw/zinc/58/13/61/1043581361.db2.gz DYJZLPSCQARKMC-KRWDZBQOSA-N 0 3 315.436 4.058 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CN1C[C@@H]2CCCC[C@@H]21 ZINC000895239619 1043581975 /nfs/dbraw/zinc/58/19/75/1043581975.db2.gz XIHAJOONFOILDK-JSGCOSHPSA-N 0 3 322.474 4.470 20 0 DIADHN COC1(CN[C@@H](c2ccc(C)cc2)c2cccnc2)CCCC1 ZINC000926494599 1043586888 /nfs/dbraw/zinc/58/68/88/1043586888.db2.gz JDAWZSFFJVEPFF-IBGZPJMESA-N 0 3 310.441 4.028 20 0 DIADHN FC1(F)CCCC[C@@H]1CN[C@@H]1CCc2c1nccc2Cl ZINC000926500532 1043589604 /nfs/dbraw/zinc/58/96/04/1043589604.db2.gz DPPCULMLLJYBQV-ZWNOBZJWSA-N 0 3 300.780 4.137 20 0 DIADHN CCSc1ccccc1C[C@H](C)NCc1cnc(CC)o1 ZINC000926539923 1043596177 /nfs/dbraw/zinc/59/61/77/1043596177.db2.gz XZJZZEVQLAYORM-ZDUSSCGKSA-N 0 3 304.459 4.070 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2cc3ccccc3c(OC)c2)cn1 ZINC000926544016 1043597231 /nfs/dbraw/zinc/59/72/31/1043597231.db2.gz UBQFWYJQRCYELZ-HUUCEWRRSA-N 0 3 323.440 4.477 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1cnn(C2CCC2)c1 ZINC000926540703 1043597675 /nfs/dbraw/zinc/59/76/75/1043597675.db2.gz ODBNFXKJOLQATB-KXBFYZLASA-N 0 3 324.472 4.230 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3cccc(C)n23)s1 ZINC000353332911 1043600392 /nfs/dbraw/zinc/60/03/92/1043600392.db2.gz RACQOUFKTDDMRZ-MRXNPFEDSA-N 0 3 311.454 4.350 20 0 DIADHN Clc1cccc2c1[C@@H](NC[C@@H]1CC13CCSCC3)COC2 ZINC000926602309 1043606037 /nfs/dbraw/zinc/60/60/37/1043606037.db2.gz TUVKMEXNZCKUSA-ZFWWWQNUSA-N 0 3 323.889 4.034 20 0 DIADHN CCc1ccc([C@@H](NCCCC2(O)CCC2)c2cccnc2)cc1 ZINC000926603120 1043606595 /nfs/dbraw/zinc/60/65/95/1043606595.db2.gz AKWCBHLVWWKDBI-HXUWFJFHSA-N 0 3 324.468 4.018 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ncc(C(F)(F)F)cc1Cl ZINC000926617892 1043607561 /nfs/dbraw/zinc/60/75/61/1043607561.db2.gz WKJOARYJPGSTGM-SDNRWEOFSA-N 0 3 304.743 4.203 20 0 DIADHN Cc1cccc([C@H](NC[C@@H](C)CC(F)F)c2ccccn2)c1 ZINC000926626656 1043609256 /nfs/dbraw/zinc/60/92/56/1043609256.db2.gz IRHFMWHPWQPGFR-KSSFIOAISA-N 0 3 304.384 4.360 20 0 DIADHN Cc1cccc([C@@H](NC[C@@H](C)CC(F)F)c2ccccn2)c1 ZINC000926626653 1043609332 /nfs/dbraw/zinc/60/93/32/1043609332.db2.gz IRHFMWHPWQPGFR-KBXCAEBGSA-N 0 3 304.384 4.360 20 0 DIADHN C[C@@H](NC1(c2ccccc2Cl)CCC1)c1cc[nH]c(=O)c1 ZINC000926643121 1043611305 /nfs/dbraw/zinc/61/13/05/1043611305.db2.gz QBCDDGJRYREIRM-GFCCVEGCSA-N 0 3 302.805 4.171 20 0 DIADHN CC(C)CC[C@H](NCCNC(=O)CC1CCCC1)c1ccoc1 ZINC000353525801 1043612956 /nfs/dbraw/zinc/61/29/56/1043612956.db2.gz ZMRBVGXVLFODGR-SFHVURJKSA-N 0 3 320.477 4.043 20 0 DIADHN CC[C@H](CN[C@H]1CCCOc2c(Cl)c(Cl)ccc21)OC ZINC000353536798 1043615342 /nfs/dbraw/zinc/61/53/42/1043615342.db2.gz CFUPSWYNVXTRFW-MFKMUULPSA-N 0 3 318.244 4.222 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2N1CC[C@@]2(CCOC2)C1 ZINC000443616372 1043617946 /nfs/dbraw/zinc/61/79/46/1043617946.db2.gz RETDJKHRUVSIJO-HZPDHXFCSA-N 0 3 312.240 4.093 20 0 DIADHN CC[C@@H](NCc1cccc2c1OCCCO2)c1cccc(C)c1 ZINC000353614288 1043625334 /nfs/dbraw/zinc/62/53/34/1043625334.db2.gz RXKYDNJDXAOQQP-GOSISDBHSA-N 0 3 311.425 4.397 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@H](C)c2coc3ccccc32)cc1 ZINC000926756520 1043627048 /nfs/dbraw/zinc/62/70/48/1043627048.db2.gz WHYLARFIIGFRJD-CQSZACIVSA-N 0 3 322.408 4.266 20 0 DIADHN CC[C@H](N[C@@H](C)c1cn[nH]c1)c1ccc(OC(F)(F)F)cc1 ZINC000353643803 1043627562 /nfs/dbraw/zinc/62/75/62/1043627562.db2.gz KYKOVSJTHJSACR-HZMBPMFUSA-N 0 3 313.323 4.110 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NC(C)(C)CC(C)(C)C)c1 ZINC000522338755 1043627755 /nfs/dbraw/zinc/62/77/55/1043627755.db2.gz TZPWECHBORADHT-UHFFFAOYSA-N 0 3 305.466 4.085 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1c[nH]nc1-c1ccccc1 ZINC000353665086 1043629534 /nfs/dbraw/zinc/62/95/34/1043629534.db2.gz IQYCTIPLHKKLIH-OAHLLOKOSA-N 0 3 303.409 4.024 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1CCC12CCC2 ZINC000353677721 1043632230 /nfs/dbraw/zinc/63/22/30/1043632230.db2.gz ZITYXBFMQIPYLM-UHFFFAOYSA-N 0 3 315.848 4.271 20 0 DIADHN COC(C)(C)CCN[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926793735 1043633860 /nfs/dbraw/zinc/63/38/60/1043633860.db2.gz BMEAUNZGANLEOC-SECBINFHSA-N 0 3 324.774 4.220 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCc2ccc(C3CC3)cc2)c1 ZINC000353694600 1043634737 /nfs/dbraw/zinc/63/47/37/1043634737.db2.gz ZCNGIRLSOMSODS-GFCCVEGCSA-N 0 3 315.438 4.263 20 0 DIADHN C[C@@H](N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1ccns1 ZINC000926807842 1043635533 /nfs/dbraw/zinc/63/55/33/1043635533.db2.gz FZSUCYUHIAYWIK-XFJVYGCCSA-N 0 3 322.861 4.367 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@@H](C)C[C@H](C)C1 ZINC000443638944 1043635610 /nfs/dbraw/zinc/63/56/10/1043635610.db2.gz FEGOVXPWSVTGMN-CVEARBPZSA-N 0 3 316.489 4.425 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](O)c2ccc(OC(C)C)cc2)o1 ZINC000125558124 1043635835 /nfs/dbraw/zinc/63/58/35/1043635835.db2.gz VNRKIMFPHHHIAG-KDOFPFPSSA-N 0 3 317.429 4.013 20 0 DIADHN Cc1nc(C)c(CN2C[C@@H](C)C[C@@H]2c2cccc(F)c2)s1 ZINC000353718410 1043636509 /nfs/dbraw/zinc/63/65/09/1043636509.db2.gz WGEKCIDNEDRQFO-MEDUHNTESA-N 0 3 304.434 4.482 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCn3nccc32)cc2ccccc21 ZINC000926815601 1043638649 /nfs/dbraw/zinc/63/86/49/1043638649.db2.gz GPZJRTMPKCJBJY-RDTXWAMCSA-N 0 3 321.424 4.231 20 0 DIADHN C[C@@H](NCc1cccc2ncccc12)c1ccnn1C1CCC1 ZINC000926835514 1043639800 /nfs/dbraw/zinc/63/98/00/1043639800.db2.gz WEXJUPIIISQSOW-CQSZACIVSA-N 0 3 306.413 4.007 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN[C@@H](c1nc(C2CC2)no1)C(C)C ZINC000443643477 1043639908 /nfs/dbraw/zinc/63/99/08/1043639908.db2.gz VRKPJLUIKVCQLL-CWRNSKLLSA-N 0 3 303.450 4.226 20 0 DIADHN Cc1cc(C)cc([C@@H](NCCc2ccns2)c2cccnc2)c1 ZINC000926837406 1043640269 /nfs/dbraw/zinc/64/02/69/1043640269.db2.gz NXZBLQIBJVIGAX-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN C[C@H](NCC1(C)COC1)c1ccc(Cl)c(Cl)c1Cl ZINC000094721646 1043641896 /nfs/dbraw/zinc/64/18/96/1043641896.db2.gz LSMDOIATRKDZLO-QMMMGPOBSA-N 0 3 308.636 4.334 20 0 DIADHN C[C@@H](NCc1ccc(C[S@](C)=O)cc1)c1cccc(Cl)c1 ZINC000353812490 1043646250 /nfs/dbraw/zinc/64/62/50/1043646250.db2.gz GRMFWAOHGYAIER-ASSNKEHSSA-N 0 3 321.873 4.069 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000443652074 1043646960 /nfs/dbraw/zinc/64/69/60/1043646960.db2.gz KXXYTBNRYFERKF-CHWSQXEVSA-N 0 3 319.836 4.258 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000443651573 1043647278 /nfs/dbraw/zinc/64/72/78/1043647278.db2.gz JLSYKYASQOYYDB-JHJVBQTASA-N 0 3 305.447 4.227 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1csc2ccccc21 ZINC000926881473 1043648696 /nfs/dbraw/zinc/64/86/96/1043648696.db2.gz AYFNRBCOIOZTMK-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@@H](C)c1cccnc1Cl ZINC000125698774 1043649999 /nfs/dbraw/zinc/64/99/99/1043649999.db2.gz OCNHRFRFRLJRID-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000926894716 1043650396 /nfs/dbraw/zinc/65/03/96/1043650396.db2.gz PHSAINGVNKWYKJ-LIRRHRJNSA-N 0 3 310.441 4.111 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N1CC[C@@H](C)C[C@H](C)C1 ZINC000443662682 1043651144 /nfs/dbraw/zinc/65/11/44/1043651144.db2.gz VOKFGBIGMKOILH-FRRDWIJNSA-N 0 3 305.447 4.227 20 0 DIADHN C[C@H](NCc1cccc2c1NCCC2)c1ccc2c(c1)CCCO2 ZINC000895360798 1043654228 /nfs/dbraw/zinc/65/42/28/1043654228.db2.gz UXYJITIEGVNGJM-HNNXBMFYSA-N 0 3 322.452 4.221 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC000353904204 1043654218 /nfs/dbraw/zinc/65/42/18/1043654218.db2.gz XZQWUZBZSXMYSZ-IMJJTQAJSA-N 0 3 306.475 4.149 20 0 DIADHN Cc1cccc([C@@H](NC[C@]23CCC[C@H]2OCC3)c2ccccn2)c1 ZINC000926915748 1043654451 /nfs/dbraw/zinc/65/44/51/1043654451.db2.gz IWEIKUPKFLKWKM-NJDAHSKKSA-N 0 3 322.452 4.028 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc(C(F)F)nc1 ZINC000926921032 1043656240 /nfs/dbraw/zinc/65/62/40/1043656240.db2.gz UJJMTSXUGWMEQT-VXGBXAGGSA-N 0 3 318.367 4.193 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NCc1nc(C(C)(C)C)cs1 ZINC000094965941 1043659071 /nfs/dbraw/zinc/65/90/71/1043659071.db2.gz JXGHUAXTOVIFKI-GFCCVEGCSA-N 0 3 306.450 4.301 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@@H](C)c1cncnc1C ZINC000926932459 1043659635 /nfs/dbraw/zinc/65/96/35/1043659635.db2.gz LVBAXKPXIGHQOT-AWEZNQCLSA-N 0 3 305.425 4.351 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(-c2ccncc2)s1 ZINC000353926043 1043660243 /nfs/dbraw/zinc/66/02/43/1043660243.db2.gz PFRGWLMTTHGUKB-CYBMUJFWSA-N 0 3 321.515 4.169 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2CCc3ccccc3C2)n1 ZINC000094965860 1043660253 /nfs/dbraw/zinc/66/02/53/1043660253.db2.gz SNXUUTMWWXZMPS-HNNXBMFYSA-N 0 3 300.471 4.088 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccc2)nc1 ZINC000926951673 1043660683 /nfs/dbraw/zinc/66/06/83/1043660683.db2.gz UNIUHYDCGJUWTA-JGGQBBKZSA-N 0 3 324.321 4.098 20 0 DIADHN CCOC(=O)c1coc(CN2CCC[C@@H]2c2ccccc2C)c1 ZINC000474148817 1043661735 /nfs/dbraw/zinc/66/17/35/1043661735.db2.gz FBUONPKOCYYLBR-GOSISDBHSA-N 0 3 313.397 4.102 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](c2cccnc2)C1)c1c(F)cncc1F ZINC000353933257 1043663104 /nfs/dbraw/zinc/66/31/04/1043663104.db2.gz MUPCRZMJKPQFRI-YDHLFZDLSA-N 0 3 317.383 4.132 20 0 DIADHN COCc1csc(CNCc2ccc(C(F)F)c(F)c2)c1 ZINC000895378305 1043664591 /nfs/dbraw/zinc/66/45/91/1043664591.db2.gz RWWKGNSPRIDIAZ-UHFFFAOYSA-N 0 3 315.360 4.261 20 0 DIADHN C[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cccnc1Cl ZINC000926977418 1043665759 /nfs/dbraw/zinc/66/57/59/1043665759.db2.gz VAWNELUIEORXDM-NILFDRSVSA-N 0 3 322.786 4.435 20 0 DIADHN CC(C)C[C@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000353971471 1043666465 /nfs/dbraw/zinc/66/64/65/1043666465.db2.gz KIAHLORAGAFNBY-GDBMZVCRSA-N 0 3 308.491 4.253 20 0 DIADHN Cc1ccc(CCCN(C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000474153062 1043667125 /nfs/dbraw/zinc/66/71/25/1043667125.db2.gz WYAKGPISRYAGCL-AWEZNQCLSA-N 0 3 304.384 4.294 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CC3(CCC3)Oc3ccccc32)CC1 ZINC000353957818 1043667671 /nfs/dbraw/zinc/66/76/71/1043667671.db2.gz RRLVBHBELARETB-CYBMUJFWSA-N 0 3 311.347 4.365 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)cnn1C(C)C ZINC000353983498 1043669000 /nfs/dbraw/zinc/66/90/00/1043669000.db2.gz YFDKLKDNMLPDHW-STQMWFEESA-N 0 3 311.433 4.061 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2ccc(F)cc2)c2ccccn2)CCS1 ZINC000927028898 1043669060 /nfs/dbraw/zinc/66/90/60/1043669060.db2.gz BKIJPMCBXGPJNI-QBIMZIAESA-N 0 3 316.445 4.184 20 0 DIADHN Cc1ccc([C@H](NC[C@@]2(C)CCCCO2)c2cccnc2)cc1 ZINC000927017846 1043669114 /nfs/dbraw/zinc/66/91/14/1043669114.db2.gz ZYQGMKHYIWCHKW-VQTJNVASSA-N 0 3 310.441 4.028 20 0 DIADHN CCCCOC1CCN(Cc2cc(F)cc3cccnc32)CC1 ZINC000353986157 1043669691 /nfs/dbraw/zinc/66/96/91/1043669691.db2.gz HEBYGKBZDZKBKM-UHFFFAOYSA-N 0 3 316.420 4.155 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2cc3ccccc3o2)c2cccnc2)CCO1 ZINC000354021791 1043672680 /nfs/dbraw/zinc/67/26/80/1043672680.db2.gz ZOAATGMTKPZBJE-CFLQYTFWSA-N 0 3 322.408 4.074 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2cccnc21)c1ccc2c(c1)CCO2 ZINC000927059294 1043675774 /nfs/dbraw/zinc/67/57/74/1043675774.db2.gz CZHNEPDVKLMMFY-QZTJIDSGSA-N 0 3 308.425 4.135 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1N(C)Cc1cnc(C2CC2)s1 ZINC000535807046 1043678282 /nfs/dbraw/zinc/67/82/82/1043678282.db2.gz SZLCMXIKUTXTOX-LSDHHAIUSA-N 0 3 308.491 4.046 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCc3cccnc32)C2CCC2)cc1 ZINC000927068114 1043680340 /nfs/dbraw/zinc/68/03/40/1043680340.db2.gz TWRPXXQYAAQGPJ-MOPGFXCFSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCc3cccnc32)C2CCC2)cc1 ZINC000927068117 1043680760 /nfs/dbraw/zinc/68/07/60/1043680760.db2.gz TWRPXXQYAAQGPJ-RTBURBONSA-N 0 3 308.425 4.209 20 0 DIADHN Fc1cc2cccnc2c(CN2CCS[C@H]3CCCC[C@H]32)c1 ZINC000354121147 1043683431 /nfs/dbraw/zinc/68/34/31/1043683431.db2.gz QUVOMMOZOSLVKA-SJORKVTESA-N 0 3 316.445 4.234 20 0 DIADHN CCC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000777614870 1043690680 /nfs/dbraw/zinc/69/06/80/1043690680.db2.gz MALVUBYWCJHJAQ-OAQYLSRUSA-N 0 3 323.484 4.392 20 0 DIADHN Cc1ccc(C)c([C@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000777614507 1043692595 /nfs/dbraw/zinc/69/25/95/1043692595.db2.gz GVXAGPPUOGGHHT-SFHVURJKSA-N 0 3 323.484 4.228 20 0 DIADHN C[C@@H](NCC[C@@H]1OCCc2ccccc21)c1cccnc1Cl ZINC000927117830 1043692702 /nfs/dbraw/zinc/69/27/02/1043692702.db2.gz XLVXELARBUIDCH-DYVFJYSZSA-N 0 3 316.832 4.090 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cc2c(cc[nH]c2=O)o1)Cc1ccccc1 ZINC000876529668 1043693225 /nfs/dbraw/zinc/69/32/25/1043693225.db2.gz JRCCBVSCMJJMAM-AWEZNQCLSA-N 0 3 322.408 4.334 20 0 DIADHN C[C@@H](NCc1cnc2ccccc2c1)c1ccccc1N(C)C ZINC000354307117 1043693602 /nfs/dbraw/zinc/69/36/02/1043693602.db2.gz XVARBYAJQGXBLV-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN CC(F)(F)CCNCc1cc(Cl)ccc1Br ZINC000379160132 1043693800 /nfs/dbraw/zinc/69/38/00/1043693800.db2.gz GSZPDBBPWMKCCH-UHFFFAOYSA-N 0 3 312.585 4.237 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876580733 1043697261 /nfs/dbraw/zinc/69/72/61/1043697261.db2.gz YRXSOUDLFWUUSO-QGZVFWFLSA-N 0 3 308.381 4.179 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc3c(cc[nH]c3=O)o2)c1 ZINC000876579573 1043697291 /nfs/dbraw/zinc/69/72/91/1043697291.db2.gz IALQSTQEMKUIRK-KRWDZBQOSA-N 0 3 308.381 4.179 20 0 DIADHN CC(C)CN(Cc1nc(-c2ccsc2)no1)CC(C)(C)C ZINC000474214366 1043699609 /nfs/dbraw/zinc/69/96/09/1043699609.db2.gz SCNHNUYYNTVRCI-UHFFFAOYSA-N 0 3 307.463 4.302 20 0 DIADHN C[C@H](NC1([C@@H]2CCCCO2)CC1)c1cnc2ccsc2c1 ZINC000927160535 1043702473 /nfs/dbraw/zinc/70/24/73/1043702473.db2.gz LZXVEXOBKQLOTH-LRDDRELGSA-N 0 3 302.443 4.049 20 0 DIADHN Fc1cccc2cc(CN3CCC(c4ccccn4)CC3)cnc12 ZINC000876636318 1043704139 /nfs/dbraw/zinc/70/41/39/1043704139.db2.gz GEDZUUNDGCSFFX-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN C[C@@H](NCc1ccc(F)cn1)[C@H](C)c1nc2ccccc2s1 ZINC000354507044 1043705981 /nfs/dbraw/zinc/70/59/81/1043705981.db2.gz RLYUULYUKRZDHD-NWDGAFQWSA-N 0 3 315.417 4.112 20 0 DIADHN CC1(C)CN(Cc2cnc([C@@H]3CCCO3)s2)[C@H]2CCC[C@@H]21 ZINC000354579398 1043709834 /nfs/dbraw/zinc/70/98/34/1043709834.db2.gz MWJUYVZTCASVLG-KKUMJFAQSA-N 0 3 306.475 4.005 20 0 DIADHN CN(C)[C@H](CNc1nccc2ccc(F)cc21)c1ccsc1 ZINC000354575981 1043710165 /nfs/dbraw/zinc/71/01/65/1043710165.db2.gz XWQNDEYLAYEIBZ-MRXNPFEDSA-N 0 3 315.417 4.150 20 0 DIADHN COCc1ccc(CN2CC[C@@H](C(F)(F)F)C(C)(C)C2)cc1 ZINC000559187488 1043710997 /nfs/dbraw/zinc/71/09/97/1043710997.db2.gz UZOARVARLVLPHQ-OAHLLOKOSA-N 0 3 315.379 4.243 20 0 DIADHN C[C@@H]1CN(Cc2cc3c(cc[nH]c3=O)o2)CC[C@@H]1c1ccccc1 ZINC000876768498 1043711101 /nfs/dbraw/zinc/71/11/01/1043711101.db2.gz WKWWQQCEGJXJFV-PBHICJAKSA-N 0 3 322.408 4.159 20 0 DIADHN COCc1ccc(CN2CC[C@H](C(F)(F)F)C(C)(C)C2)cc1 ZINC000559187487 1043711817 /nfs/dbraw/zinc/71/18/17/1043711817.db2.gz UZOARVARLVLPHQ-HNNXBMFYSA-N 0 3 315.379 4.243 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cnc(-c2ccncc2)s1 ZINC000354642829 1043712898 /nfs/dbraw/zinc/71/28/98/1043712898.db2.gz OOXFYQPZNGQVGR-LLVKDONJSA-N 0 3 315.364 4.026 20 0 DIADHN CCc1cccc(F)c1CN(CCc1nccs1)C(C)C ZINC000474263087 1043715300 /nfs/dbraw/zinc/71/53/00/1043715300.db2.gz CPMRROJOPSVHNN-UHFFFAOYSA-N 0 3 306.450 4.298 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(C)c(F)c1 ZINC000093113507 1043716701 /nfs/dbraw/zinc/71/67/01/1043716701.db2.gz OGNCCEOCAFALTM-GOSISDBHSA-N 0 3 324.399 4.217 20 0 DIADHN C[C@@H](CCN(C)Cc1cc(Cl)cn1C)OCc1ccccc1 ZINC000354817953 1043721844 /nfs/dbraw/zinc/72/18/44/1043721844.db2.gz KITRDSBGUBUFRA-HNNXBMFYSA-N 0 3 320.864 4.106 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NC[C@@H]1CC1(Cl)Cl ZINC000876912627 1043724285 /nfs/dbraw/zinc/72/42/85/1043724285.db2.gz WTQBHQYYLGTRPC-KWQFWETISA-N 0 3 306.233 4.146 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N(CCN(C)C)Cc1ccsc1 ZINC001275375431 1043727173 /nfs/dbraw/zinc/72/71/73/1043727173.db2.gz XDRONUYHMMFEKT-KRWDZBQOSA-N 0 3 324.534 4.101 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1ccccn1 ZINC000354964568 1043732045 /nfs/dbraw/zinc/73/20/45/1043732045.db2.gz YSCBERWZHQOTIH-XNJGSVPQSA-N 0 3 304.821 4.162 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cn3ccnc3s1)CCC2 ZINC000895672777 1043732399 /nfs/dbraw/zinc/73/23/99/1043732399.db2.gz XNPLSDQVTIYOBD-OAHLLOKOSA-N 0 3 317.845 4.216 20 0 DIADHN CN(CCOCc1ccccc1)Cc1cc(F)cc2cccnc21 ZINC000354991752 1043735236 /nfs/dbraw/zinc/73/52/36/1043735236.db2.gz IFCNLEJRDIGZPA-UHFFFAOYSA-N 0 3 324.399 4.023 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)N[C@@H](C)C2CCC(F)(F)CC2)[nH]1 ZINC000355125227 1043744603 /nfs/dbraw/zinc/74/46/03/1043744603.db2.gz PYAQMJHQHQZDRX-SDDRHHMPSA-N 0 3 314.424 4.183 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)NCc1nc(C(C)C)cs1 ZINC000877107994 1043745723 /nfs/dbraw/zinc/74/57/23/1043745723.db2.gz NUTHQALCMROAAN-BLVKFPJESA-N 0 3 318.423 4.079 20 0 DIADHN CC(C)CN(Cc1cncc(F)c1)[C@H]1CCCc2cccnc21 ZINC000521014754 1043747328 /nfs/dbraw/zinc/74/73/28/1043747328.db2.gz WLGBJTWGYUTZGW-SFHVURJKSA-N 0 3 313.420 4.151 20 0 DIADHN CC(C)CC[C@H]1CCCCN1Cc1ccc([S@@](C)=O)cc1 ZINC000355173900 1043748320 /nfs/dbraw/zinc/74/83/20/1043748320.db2.gz PUIAIQDSRNHIKM-DYESRHJHSA-N 0 3 307.503 4.215 20 0 DIADHN COCc1csc(CN2C[C@@H]3CCC[C@]3(C(F)(F)F)C2)c1 ZINC000895788394 1043751922 /nfs/dbraw/zinc/75/19/22/1043751922.db2.gz SRALEJIHWOTYLO-JSGCOSHPSA-N 0 3 319.392 4.059 20 0 DIADHN CC[C@H](C)N(CC[S@@](=O)c1ccccc1)Cc1ccccc1 ZINC000355274882 1043754553 /nfs/dbraw/zinc/75/45/53/1043754553.db2.gz FMGJADDKLQGSLU-HTAPYJJXSA-N 0 3 315.482 4.095 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cnc3c(F)cccc3c2)C1 ZINC000877342173 1043756794 /nfs/dbraw/zinc/75/67/94/1043756794.db2.gz KDZSYHPOGAGRDQ-AUUYWEPGSA-N 0 3 321.399 4.352 20 0 DIADHN CC[C@@H](NCC[C@@H](C)OCc1ccccc1)c1nccs1 ZINC000355297497 1043758857 /nfs/dbraw/zinc/75/88/57/1043758857.db2.gz USLKYFZEQOIUOI-GDBMZVCRSA-N 0 3 304.459 4.179 20 0 DIADHN CCN(Cc1cc2c(cc[nH]c2=O)o1)[C@H](C)Cc1ccsc1 ZINC000877440149 1043761553 /nfs/dbraw/zinc/76/15/53/1043761553.db2.gz MWOHKMPONCQILU-GFCCVEGCSA-N 0 3 316.426 4.048 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1cnc2c(F)cccc2c1 ZINC000877530990 1043770246 /nfs/dbraw/zinc/77/02/46/1043770246.db2.gz HGIVUVBVDAWMSY-KRWDZBQOSA-N 0 3 324.403 4.051 20 0 DIADHN CC(C)(C)C1CCC(NCc2cc(Br)no2)CC1 ZINC000718887590 1043772438 /nfs/dbraw/zinc/77/24/38/1043772438.db2.gz SAZIKZFIPRGEEW-UHFFFAOYSA-N 0 3 315.255 4.132 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCOCc2ccccc2)o1 ZINC000877547951 1043773096 /nfs/dbraw/zinc/77/30/96/1043773096.db2.gz OZTXPYBOWOPRJB-HNNXBMFYSA-N 0 3 316.445 4.021 20 0 DIADHN Clc1ccc(CNc2cccc(CN3CCSCC3)c2)o1 ZINC000355564491 1043776235 /nfs/dbraw/zinc/77/62/35/1043776235.db2.gz HACXBKDYSADIQC-UHFFFAOYSA-N 0 3 322.861 4.094 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ncc(-c3ccccc3)s2)CC1 ZINC000355584928 1043778441 /nfs/dbraw/zinc/77/84/41/1043778441.db2.gz QJBXHEDKKSHDOA-SFHVURJKSA-N 0 3 316.470 4.201 20 0 DIADHN FC1(F)CC12CCN(Cc1c(Cl)cncc1Cl)CC2 ZINC000877613176 1043781422 /nfs/dbraw/zinc/78/14/22/1043781422.db2.gz YTWMJYBZCOOAFD-UHFFFAOYSA-N 0 3 307.171 4.010 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777732535 1043784856 /nfs/dbraw/zinc/78/48/56/1043784856.db2.gz YYHAWPKVJNJTGN-SCLBCKFNSA-N 0 3 319.807 4.134 20 0 DIADHN CC(C)n1nccc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000895934923 1043786313 /nfs/dbraw/zinc/78/63/13/1043786313.db2.gz LOLREYXWWSPYPK-LJQANCHMSA-N 0 3 305.425 4.394 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000895937581 1043787665 /nfs/dbraw/zinc/78/76/65/1043787665.db2.gz ZAXUXSAXAOZMSP-MRXNPFEDSA-N 0 3 311.816 4.004 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H]1CCc2cccc3cccc1c32 ZINC000895937580 1043788577 /nfs/dbraw/zinc/78/85/77/1043788577.db2.gz ZAXUXSAXAOZMSP-INIZCTEOSA-N 0 3 311.816 4.004 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@H]4CSC[C@H]4C3)s2)c1 ZINC000877742274 1043793203 /nfs/dbraw/zinc/79/32/03/1043793203.db2.gz YDXVAIDVWYRRJX-NWDGAFQWSA-N 0 3 322.524 4.057 20 0 DIADHN CC[C@@](C)(O)CNCc1c(Cl)cccc1SC(C)C ZINC000474476821 1043795941 /nfs/dbraw/zinc/79/59/41/1043795941.db2.gz QJSINSCORQXXMH-OAHLLOKOSA-N 0 3 301.883 4.091 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1noc2c1CC(C)(C)CC2 ZINC000877810177 1043798857 /nfs/dbraw/zinc/79/88/57/1043798857.db2.gz QCMKITOWNGJABF-NSHDSACASA-N 0 3 318.383 4.400 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3ccccc3OC(F)F)C2)n1 ZINC000877814144 1043802576 /nfs/dbraw/zinc/80/25/76/1043802576.db2.gz UKLIEBUCQNBPBL-ZDUSSCGKSA-N 0 3 324.396 4.042 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3cnc(C(C)(C)C)s3)C2)n1 ZINC000877813797 1043803739 /nfs/dbraw/zinc/80/37/39/1043803739.db2.gz PGKHCPMUFFFJML-GFCCVEGCSA-N 0 3 321.515 4.195 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3cnc(CC(C)C)s3)C2)n1 ZINC000877813690 1043804883 /nfs/dbraw/zinc/80/48/83/1043804883.db2.gz NSLYBDQCPIZPRP-CYBMUJFWSA-N 0 3 321.515 4.096 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3cnc(C4CCC4)s3)C2)n1 ZINC000877814001 1043806156 /nfs/dbraw/zinc/80/61/56/1043806156.db2.gz RMYQOZQAAFBGQN-ZDUSSCGKSA-N 0 3 319.499 4.165 20 0 DIADHN C[C@H](NCc1c[nH]c2nccnc12)c1ccc(Cl)c(Cl)c1 ZINC000356137262 1043812792 /nfs/dbraw/zinc/81/27/92/1043812792.db2.gz PQHVDMGDHJJMCY-VIFPVBQESA-N 0 3 321.211 4.067 20 0 DIADHN c1sc(CNCc2ccc3c(c2)OCO3)cc1-c1ccccc1 ZINC000054040732 1043817535 /nfs/dbraw/zinc/81/75/35/1043817535.db2.gz PXIJHZRFUHWSLP-UHFFFAOYSA-N 0 3 323.417 4.434 20 0 DIADHN CCOC(CN[C@H](Cc1ccccc1)c1cccs1)OCC ZINC000126827479 1043831496 /nfs/dbraw/zinc/83/14/96/1043831496.db2.gz FVIPULZTTVRWEB-MRXNPFEDSA-N 0 3 319.470 4.021 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCSc2ccncc2)s1 ZINC000929702188 1043835292 /nfs/dbraw/zinc/83/52/92/1043835292.db2.gz IMIOPYAUPXNGMV-CYBMUJFWSA-N 0 3 321.515 4.194 20 0 DIADHN CSC1(CNCc2nc(-c3ccccc3F)cs2)CCC1 ZINC000356399219 1043836149 /nfs/dbraw/zinc/83/61/49/1043836149.db2.gz BOIOEBRUJVKQPI-UHFFFAOYSA-N 0 3 322.474 4.325 20 0 DIADHN Cc1ccc(CN2CCC(c3noc4cc(F)ccc43)CC2)o1 ZINC000356370995 1043836497 /nfs/dbraw/zinc/83/64/97/1043836497.db2.gz RRNKSPHDMKKZNY-UHFFFAOYSA-N 0 3 314.360 4.248 20 0 DIADHN C=C/C=C/CCN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000877989855 1043836951 /nfs/dbraw/zinc/83/69/51/1043836951.db2.gz GPOOGPXPVUFXIN-VMDCKITASA-N 0 3 301.274 4.181 20 0 DIADHN CO[C@]1(C)CCCN(Cc2cscc2C(F)(F)F)CC1 ZINC000356400079 1043837404 /nfs/dbraw/zinc/83/74/04/1043837404.db2.gz DAFPQAREHUBVEB-CYBMUJFWSA-N 0 3 307.381 4.158 20 0 DIADHN Cc1cccc(C)c1OCCN1CCC[C@@H](c2nccs2)C1 ZINC000356486546 1043842190 /nfs/dbraw/zinc/84/21/90/1043842190.db2.gz YRIVUGVUWCIHCE-MRXNPFEDSA-N 0 3 316.470 4.018 20 0 DIADHN CCc1nnc(CNCC2CCC(c3ccccc3)CC2)s1 ZINC000356526280 1043845498 /nfs/dbraw/zinc/84/54/98/1043845498.db2.gz MUXIZSOHUBWCAN-UHFFFAOYSA-N 0 3 315.486 4.164 20 0 DIADHN COc1ccccc1-c1nc(CN2CCCCC[C@@H]2C)co1 ZINC000878105328 1043847576 /nfs/dbraw/zinc/84/75/76/1043847576.db2.gz AYENOMRTXRGIPN-AWEZNQCLSA-N 0 3 300.402 4.115 20 0 DIADHN CC1(C)C[C@@H](CN[C@@H](c2ccccc2)c2ccccn2)C(C)(C)O1 ZINC000878204667 1043857221 /nfs/dbraw/zinc/85/72/21/1043857221.db2.gz VZPZRZOADQOJET-HKUYNNGSSA-N 0 3 324.468 4.354 20 0 DIADHN COCCSc1ccc([C@H](C)N[C@H](C)c2cccnc2)cc1 ZINC000356669154 1043859325 /nfs/dbraw/zinc/85/93/25/1043859325.db2.gz CKCMSMYTAUQPPG-LSDHHAIUSA-N 0 3 316.470 4.232 20 0 DIADHN Brc1cncc(CN[C@H]2CCCc3sccc32)c1 ZINC000166893476 1043860837 /nfs/dbraw/zinc/86/08/37/1043860837.db2.gz IGIIEYOTSUJEME-ZDUSSCGKSA-N 0 3 323.259 4.073 20 0 DIADHN COc1ccccc1-c1nc(CN(C(C)C)C2CCC2)co1 ZINC000878255063 1043863161 /nfs/dbraw/zinc/86/31/61/1043863161.db2.gz LNBPGEWRTPEOAD-UHFFFAOYSA-N 0 3 300.402 4.113 20 0 DIADHN CCN(Cc1coc(-c2cccs2)n1)[C@H]1CCOC(C)(C)C1 ZINC000289735880 1043868047 /nfs/dbraw/zinc/86/80/47/1043868047.db2.gz JGGPYBUDIDVKQB-AWEZNQCLSA-N 0 3 320.458 4.183 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cc(C)sc2C)cc1O ZINC000289727088 1043868186 /nfs/dbraw/zinc/86/81/86/1043868186.db2.gz LNMJBVFYZIQAAR-NEPJUHHUSA-N 0 3 305.443 4.491 20 0 DIADHN FC(F)(F)[C@@H]1CCN(C[C@@H]2CCC[C@H](c3ccccc3)O2)C1 ZINC000878315109 1043868338 /nfs/dbraw/zinc/86/83/38/1043868338.db2.gz GHCVJKNKLQMOGT-OWCLPIDISA-N 0 3 313.363 4.181 20 0 DIADHN Cc1cc(CN[C@H]2C[C@@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC000289763478 1043874732 /nfs/dbraw/zinc/87/47/32/1043874732.db2.gz LTCBDBVFQKCPNE-QRWLVFNGSA-N 0 3 321.468 4.328 20 0 DIADHN COc1ccc([C@H](C)NCCOC2CCCCCC2)c(OC)c1 ZINC000561081726 1043880205 /nfs/dbraw/zinc/88/02/05/1043880205.db2.gz JGGHZMXQYVRLCT-HNNXBMFYSA-N 0 3 321.461 4.094 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1c(C)noc1C ZINC000878415604 1043888289 /nfs/dbraw/zinc/88/82/89/1043888289.db2.gz ZTPZYOINIIFRNX-FGTMMUONSA-N 0 3 314.429 4.252 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccccc2C)cc(N2CCCC2)n1 ZINC000289870999 1043888348 /nfs/dbraw/zinc/88/83/48/1043888348.db2.gz RNFWGUNVEUGWAA-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCC2)O1)c1nc2ccccc2s1 ZINC000878416486 1043888585 /nfs/dbraw/zinc/88/85/85/1043888585.db2.gz AZBDQOUPMQKZEK-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN Cc1csc(C2(NCC[C@@H]3CCCC3(F)F)CCC2)n1 ZINC000878418202 1043888662 /nfs/dbraw/zinc/88/86/62/1043888662.db2.gz WELMMGRCSDFALA-LBPRGKRZSA-N 0 3 300.418 4.246 20 0 DIADHN CC1(C)CC[C@H](NCc2cccc3c2OCCO3)c2ccccc21 ZINC000356892783 1043890662 /nfs/dbraw/zinc/89/06/62/1043890662.db2.gz JVARGJUHGJMVCA-SFHVURJKSA-N 0 3 323.436 4.360 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccncc2)cc1 ZINC000289877399 1043891050 /nfs/dbraw/zinc/89/10/50/1043891050.db2.gz RVGBITDJHYAURX-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NCCc2ccns2)cc1 ZINC000930207377 1043894756 /nfs/dbraw/zinc/89/47/56/1043894756.db2.gz LSGLASNOTGHXGQ-ZDUSSCGKSA-N 0 3 318.339 4.108 20 0 DIADHN COc1ccccc1-c1nc(CN(C)C2(C)CCCC2)co1 ZINC000878525789 1043897952 /nfs/dbraw/zinc/89/79/52/1043897952.db2.gz BIOULOCPBLYKDK-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccncc2)c1 ZINC000289940776 1043898707 /nfs/dbraw/zinc/89/87/07/1043898707.db2.gz XDHQMCMBUCMSSH-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1coc(-c2ccccc2OC)n1 ZINC000878506796 1043898745 /nfs/dbraw/zinc/89/87/45/1043898745.db2.gz APMKGUYPQIDCHA-CZUORRHYSA-N 0 3 300.402 4.019 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(OC)c(O)c1)c1ccc(OC)cc1 ZINC000289939685 1043900252 /nfs/dbraw/zinc/90/02/52/1043900252.db2.gz WATRATJYCOQYBS-SUMWQHHRSA-N 0 3 315.413 4.211 20 0 DIADHN CC(C)C[C@H]1CCC[C@H](NCc2nccn2CC(F)(F)F)C1 ZINC000474716717 1043900275 /nfs/dbraw/zinc/90/02/75/1043900275.db2.gz DCFHMXHWPWZWNO-KGLIPLIRSA-N 0 3 317.399 4.140 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)c(C)nn1 ZINC000930237487 1043903237 /nfs/dbraw/zinc/90/32/37/1043903237.db2.gz IHZFYNIKNALHDN-CNOZUTPLSA-N 0 3 315.848 4.234 20 0 DIADHN Cn1cncc1CCN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000290009380 1043910290 /nfs/dbraw/zinc/91/02/90/1043910290.db2.gz LTVARDUFGDUTEK-MRXNPFEDSA-N 0 3 324.255 4.107 20 0 DIADHN CO[C@H](C)c1nc(CNC[C@H](c2ccccc2)C(C)C)cs1 ZINC000474797047 1043919081 /nfs/dbraw/zinc/91/90/81/1043919081.db2.gz QBEDYVIYHBUGSV-PBHICJAKSA-N 0 3 318.486 4.380 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2nc(C(F)(F)F)cs2)C1 ZINC000474809456 1043922933 /nfs/dbraw/zinc/92/29/33/1043922933.db2.gz DRYIOXLXYATIKV-QWRGUYRKSA-N 0 3 306.397 4.466 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1coc(-c2ccccc2OC)n1 ZINC000878890036 1043926789 /nfs/dbraw/zinc/92/67/89/1043926789.db2.gz QRRBYQITKOHVAO-ZDUSSCGKSA-N 0 3 302.418 4.265 20 0 DIADHN c1csc(C[C@H]2CCCN(Cc3cc4ccccc4[nH]3)C2)n1 ZINC000896538222 1043936707 /nfs/dbraw/zinc/93/67/07/1043936707.db2.gz XSRKRSFOSBHAPD-CQSZACIVSA-N 0 3 311.454 4.079 20 0 DIADHN CSc1cc(CNCc2cccc(-c3ccccn3)c2)ccn1 ZINC000357351851 1043942672 /nfs/dbraw/zinc/94/26/72/1043942672.db2.gz KSQNMTVFVJEKCM-UHFFFAOYSA-N 0 3 321.449 4.155 20 0 DIADHN CC(C)Oc1ccccc1CN(C)CCc1cccc(F)c1 ZINC001137140485 1043942927 /nfs/dbraw/zinc/94/29/27/1043942927.db2.gz BWNMQEGBGOSYOH-UHFFFAOYSA-N 0 3 301.405 4.287 20 0 DIADHN CSC1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC000879100366 1043944193 /nfs/dbraw/zinc/94/41/93/1043944193.db2.gz LCOCRKHZPANFFY-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1cc(CO)cc(C)c1CNCc1ccc(C(F)F)c(F)c1 ZINC000896556921 1043944099 /nfs/dbraw/zinc/94/40/99/1043944099.db2.gz AEWDBLRYRIKRMT-UHFFFAOYSA-N 0 3 323.358 4.162 20 0 DIADHN CCC(CC)CN(CC)[C@H](C)C(=O)Nc1c(F)cccc1F ZINC000179245664 1043951096 /nfs/dbraw/zinc/95/10/96/1043951096.db2.gz RGZWYHJHKXAHRM-GFCCVEGCSA-N 0 3 312.404 4.050 20 0 DIADHN O[C@H](CN(Cc1cccs1)Cc1ccccc1)c1ccccc1 ZINC000035718609 1043955714 /nfs/dbraw/zinc/95/57/14/1043955714.db2.gz IJYRLMPTQLOFTG-HXUWFJFHSA-N 0 3 323.461 4.484 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cnccc3C)s2)cc1 ZINC000474870904 1043963327 /nfs/dbraw/zinc/96/33/27/1043963327.db2.gz VKQYUIWWMLDNFI-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN C[C@H](N[C@@H](c1nc2ccccc2n1C)c1ccccc1)C1CCC1 ZINC000474891378 1043972842 /nfs/dbraw/zinc/97/28/42/1043972842.db2.gz DKKODFGLFZNMQD-MGPUTAFESA-N 0 3 319.452 4.441 20 0 DIADHN Cc1nc(CN(C[C@@H]2CCCO2)C2CCC(C)CC2)sc1C ZINC000357706441 1043978438 /nfs/dbraw/zinc/97/84/38/1043978438.db2.gz KRKBEJFBPDLTCY-IMRCBTMISA-N 0 3 322.518 4.320 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3sccc32)cc1O ZINC000290734892 1043980964 /nfs/dbraw/zinc/98/09/64/1043980964.db2.gz PPNZOHROCAVVAL-FZMZJTMJSA-N 0 3 303.427 4.191 20 0 DIADHN CCC(O)(CC)C(C)(C)NCc1nc(-c2ccsc2)oc1C ZINC000879698245 1043981837 /nfs/dbraw/zinc/98/18/37/1043981837.db2.gz MVOVQFFMGSCCGK-UHFFFAOYSA-N 0 3 322.474 4.131 20 0 DIADHN Cc1cncc([C@@H]2CCCN2Cc2ccc3ncccc3c2)c1 ZINC000879748938 1043987792 /nfs/dbraw/zinc/98/77/92/1043987792.db2.gz BCYVKUYNXJEBTH-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2[nH]nc3c2cccc3C)cc1 ZINC001137146653 1043991378 /nfs/dbraw/zinc/99/13/78/1043991378.db2.gz SBURSXXYADSJDI-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN C[C@@H](N[C@H](CCCO)c1ccccc1)c1nccc2ccccc21 ZINC000290846503 1043992117 /nfs/dbraw/zinc/99/21/17/1043992117.db2.gz ZBPWVQNVKXGTDU-OXQOHEQNSA-N 0 3 320.436 4.399 20 0 DIADHN CCC[C@@H](NCc1ccnc(SC)c1)c1ccc(OC)cc1 ZINC000357846550 1043994101 /nfs/dbraw/zinc/99/41/01/1043994101.db2.gz DIDUGOSKRLMLRK-QGZVFWFLSA-N 0 3 316.470 4.443 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290909448 1044000282 /nfs/dbraw/zinc/00/02/82/1044000282.db2.gz PARIYINKJBPXQK-OLZOCXBDSA-N 0 3 317.260 4.072 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCCO1)c1ccccc1Br ZINC000358017801 1044005855 /nfs/dbraw/zinc/00/58/55/1044005855.db2.gz IQGQNKCPDYTKPV-SWLSCSKDSA-N 0 3 312.251 4.059 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnc3ccccc3c1)CC2 ZINC000358039762 1044012260 /nfs/dbraw/zinc/01/22/60/1044012260.db2.gz RGAXKJKANXMMJC-HXUWFJFHSA-N 0 3 304.393 4.021 20 0 DIADHN CC(C)Sc1ccc(CN2CCC(c3ncc[nH]3)CC2)cc1 ZINC000358044225 1044013196 /nfs/dbraw/zinc/01/31/96/1044013196.db2.gz FBBYJZRFOVFZRS-UHFFFAOYSA-N 0 3 315.486 4.290 20 0 DIADHN CC(C)c1nnc(CN(C)C2(Cc3ccccc3)CCCC2)o1 ZINC000880123523 1044014941 /nfs/dbraw/zinc/01/49/41/1044014941.db2.gz RAJJTKKFLMNMKX-UHFFFAOYSA-N 0 3 313.445 4.180 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCOc1ccccc1F)CCS2 ZINC000358087602 1044017656 /nfs/dbraw/zinc/01/76/56/1044017656.db2.gz OVIBQGBUVKCRGC-HNNXBMFYSA-N 0 3 321.392 4.170 20 0 DIADHN CCOc1ccccc1CN[C@@H]1CCCc2ccc(OC)cc21 ZINC000358093438 1044019689 /nfs/dbraw/zinc/01/96/89/1044019689.db2.gz ZRYRDUMYUOZYNU-LJQANCHMSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)COc1cccc(CN2CCC(c3ncco3)CC2)c1 ZINC000931444569 1044026901 /nfs/dbraw/zinc/02/69/01/1044026901.db2.gz CQEZUTPGDOOOIB-UHFFFAOYSA-N 0 3 314.429 4.089 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2C[C@H]3CCC[C@@H]3C2)n1 ZINC000561930774 1044028652 /nfs/dbraw/zinc/02/86/52/1044028652.db2.gz YMDWSHLPASLJOG-VXGBXAGGSA-N 0 3 320.408 4.320 20 0 DIADHN CC[C@@H](CCO)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291141756 1044028996 /nfs/dbraw/zinc/02/89/96/1044028996.db2.gz HRQPKTNPJARMLI-STQMWFEESA-N 0 3 322.449 4.008 20 0 DIADHN CC[C@H](CCO)CN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291141749 1044029407 /nfs/dbraw/zinc/02/94/07/1044029407.db2.gz HRQPKTNPJARMLI-CHWSQXEVSA-N 0 3 322.449 4.008 20 0 DIADHN COc1cccc(Cl)c1CN(C)C1CCC(F)(F)CC1 ZINC000561959127 1044035392 /nfs/dbraw/zinc/03/53/92/1044035392.db2.gz ADGYHVWBRHRSKD-UHFFFAOYSA-N 0 3 303.780 4.358 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)C1CCC(F)(F)CC1 ZINC000561959270 1044035593 /nfs/dbraw/zinc/03/55/93/1044035593.db2.gz FXBWKUUORCWVSP-UHFFFAOYSA-N 0 3 324.415 4.258 20 0 DIADHN COc1cc(CN[C@@H]2CCCOc3cc(C)ccc32)ccc1C ZINC000358230590 1044036901 /nfs/dbraw/zinc/03/69/01/1044036901.db2.gz YHXBJYPBEHNPOO-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN CC1(C)CN(CCCO[C@@H]2CCCCO2)[C@H]1c1cccs1 ZINC000561964447 1044038528 /nfs/dbraw/zinc/03/85/28/1044038528.db2.gz SYJJJLWNGDQEGR-CVEARBPZSA-N 0 3 309.475 4.064 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H]1CCCc2ccc(O)cc21)C(C)C ZINC000291214698 1044041772 /nfs/dbraw/zinc/04/17/72/1044041772.db2.gz NAEQJGJVTDRUBO-RTBURBONSA-N 0 3 310.441 4.460 20 0 DIADHN CC[C@@H](NCc1csc(C2CCCC2)n1)[C@@H]1CCCOC1 ZINC000880466548 1044042700 /nfs/dbraw/zinc/04/27/00/1044042700.db2.gz OXYOUBZLDJNSEZ-GDBMZVCRSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(OCC2CC2)cc1 ZINC000561986735 1044043295 /nfs/dbraw/zinc/04/32/95/1044043295.db2.gz WWWYDLIMLXNPLH-HXUWFJFHSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1cccnc1[C@@H](N[C@@H]1CCCc2c(O)cccc21)C(C)C ZINC000291248220 1044045861 /nfs/dbraw/zinc/04/58/61/1044045861.db2.gz PEMQCSIOOSBYJQ-MJGOQNOKSA-N 0 3 310.441 4.460 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(CN2CC[C@@H](O)C2)cc1 ZINC000522552434 1044046562 /nfs/dbraw/zinc/04/65/62/1044046562.db2.gz ILELLQFQEDFGKZ-MRXNPFEDSA-N 0 3 317.816 4.007 20 0 DIADHN c1sc(C2CC2)nc1CN1CCCC[C@H](C2CCOCC2)C1 ZINC000880577842 1044048320 /nfs/dbraw/zinc/04/83/20/1044048320.db2.gz LZXUBQDXGMATPS-INIZCTEOSA-N 0 3 320.502 4.049 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](Cn2ccnc2)c2ccccc2)c1 ZINC000880572127 1044048896 /nfs/dbraw/zinc/04/88/96/1044048896.db2.gz XAAFOMOEACDZAS-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN COC[C@H](NCc1cc2c(s1)CCCC2)c1ccccc1 ZINC000562023766 1044049568 /nfs/dbraw/zinc/04/95/68/1044049568.db2.gz UNOQTEDNDCKSTC-KRWDZBQOSA-N 0 3 301.455 4.104 20 0 DIADHN CC[C@@H](NCc1cc(F)cc(OC)c1)c1cccc(OC)c1 ZINC000358296845 1044050223 /nfs/dbraw/zinc/05/02/23/1044050223.db2.gz FMHZYRZFFQDQAJ-GOSISDBHSA-N 0 3 303.377 4.084 20 0 DIADHN CC(C)c1cc([C@@H]2CCCN([C@H](C)c3ccc(F)nc3)C2)[nH]n1 ZINC000931608700 1044050351 /nfs/dbraw/zinc/05/03/51/1044050351.db2.gz RVQVMMQNCYYZNO-UKRRQHHQSA-N 0 3 316.424 4.008 20 0 DIADHN CC(C)c1cc([C@@H]2CCCN([C@H](C)c3ccc(F)nc3)C2)n[nH]1 ZINC000931608700 1044050361 /nfs/dbraw/zinc/05/03/61/1044050361.db2.gz RVQVMMQNCYYZNO-UKRRQHHQSA-N 0 3 316.424 4.008 20 0 DIADHN COCCCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291264747 1044051028 /nfs/dbraw/zinc/05/10/28/1044051028.db2.gz QFWRROHASZTELE-GFCCVEGCSA-N 0 3 308.422 4.026 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cc(C)cs2)cc1OC ZINC001137157276 1044052029 /nfs/dbraw/zinc/05/20/29/1044052029.db2.gz ROQQZIJOPRXLPR-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN CC(C)[C@H](CCO)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291281445 1044052312 /nfs/dbraw/zinc/05/23/12/1044052312.db2.gz RGRBSFRTBBFQHX-DOMZBBRYSA-N 0 3 322.449 4.007 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)c1C ZINC000931618418 1044052368 /nfs/dbraw/zinc/05/23/68/1044052368.db2.gz XPMAUTXHHYJBAY-GFCCVEGCSA-N 0 3 324.346 4.268 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H](C)c2ccc(C)o2)cc1 ZINC000358310269 1044052486 /nfs/dbraw/zinc/05/24/86/1044052486.db2.gz WVXKCWSPPFFOHD-SUNYJGFJSA-N 0 3 303.402 4.023 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000562059375 1044057561 /nfs/dbraw/zinc/05/75/61/1044057561.db2.gz MOJFCBZHQVBXLI-RBUKOAKNSA-N 0 3 318.411 4.308 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000562063669 1044059347 /nfs/dbraw/zinc/05/93/47/1044059347.db2.gz DVVPXSMLUZFXSW-LSJOCFKGSA-N 0 3 322.182 4.450 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@H](c1cccnc1)C1CC1)CCS2 ZINC000358371778 1044061240 /nfs/dbraw/zinc/06/12/40/1044061240.db2.gz ACZGBORLXTWGNH-WMZOPIPTSA-N 0 3 314.429 4.499 20 0 DIADHN COC[C@H](NC[C@@H](OC(C)C)c1ccccc1)c1ccccc1 ZINC000428313069 1044061319 /nfs/dbraw/zinc/06/13/19/1044061319.db2.gz HEZZVOAEYDVGKB-VQTJNVASSA-N 0 3 313.441 4.130 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3[nH]c(C)c(C)c3c2)cc1O ZINC000562096847 1044065339 /nfs/dbraw/zinc/06/53/39/1044065339.db2.gz WOQAUCHOUUVHPS-CQSZACIVSA-N 0 3 324.424 4.350 20 0 DIADHN Cc1csc(CN2CCC(Oc3cccc(C)c3)CC2)c1 ZINC001137158022 1044065886 /nfs/dbraw/zinc/06/58/86/1044065886.db2.gz AOIPRVQLHQCKIK-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)c2)[C@H]1[C@H]1CCCO1 ZINC000562204474 1044082155 /nfs/dbraw/zinc/08/21/55/1044082155.db2.gz CVMQTWIXFAVYCX-UXHICEINSA-N 0 3 322.452 4.138 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)c2)[C@@H]1[C@H]1CCCO1 ZINC000562204476 1044082633 /nfs/dbraw/zinc/08/26/33/1044082633.db2.gz CVMQTWIXFAVYCX-WOJBJXKFSA-N 0 3 322.452 4.138 20 0 DIADHN FC1(F)CC[C@@H](CN[C@H](c2ccccc2)c2ccccn2)C1 ZINC000281038498 1044089807 /nfs/dbraw/zinc/08/98/07/1044089807.db2.gz GPIUQDQBRWUCRL-RHSMWYFYSA-N 0 3 302.368 4.196 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3N[C@H](CO)c1ccccc1 ZINC000281077452 1044091393 /nfs/dbraw/zinc/09/13/93/1044091393.db2.gz UFWUYMUAEDSETQ-WOJBJXKFSA-N 0 3 320.436 4.177 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2cnn(C(C)C)c2)c1 ZINC000346334103 1044093659 /nfs/dbraw/zinc/09/36/59/1044093659.db2.gz NPUVRSZWYMAQFS-HNAYVOBHSA-N 0 3 313.445 4.056 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1ccc(Cl)cn1 ZINC000562263642 1044094469 /nfs/dbraw/zinc/09/44/69/1044094469.db2.gz GPGLQBOITQMWLV-GOSISDBHSA-N 0 3 300.833 4.251 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2N[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000562340374 1044103833 /nfs/dbraw/zinc/10/38/33/1044103833.db2.gz FIUYKRZNCRZMME-GOEBONIOSA-N 0 3 316.474 4.155 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)[C@@H]1OCCc2sccc21 ZINC000291813560 1044105575 /nfs/dbraw/zinc/10/55/75/1044105575.db2.gz CVNCMUIENHHVCZ-KHIZAZIESA-N 0 3 303.427 4.011 20 0 DIADHN C[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(-c2ccco2)o1 ZINC000932279239 1044114887 /nfs/dbraw/zinc/11/48/87/1044114887.db2.gz MODZSKKGGKFWIL-GOEBONIOSA-N 0 3 323.396 4.098 20 0 DIADHN C[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(-c2ccco2)o1 ZINC000932279238 1044115162 /nfs/dbraw/zinc/11/51/62/1044115162.db2.gz MODZSKKGGKFWIL-GDBMZVCRSA-N 0 3 323.396 4.098 20 0 DIADHN CSCCCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000346566620 1044115239 /nfs/dbraw/zinc/11/52/39/1044115239.db2.gz UHSZERNFRZHGDB-KRWDZBQOSA-N 0 3 304.434 4.043 20 0 DIADHN Cc1c([C@@H](C)NCCC2(C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000358980385 1044116906 /nfs/dbraw/zinc/11/69/06/1044116906.db2.gz HZGOTZADJFCIES-GFCCVEGCSA-N 0 3 318.852 4.070 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000281299243 1044118283 /nfs/dbraw/zinc/11/82/83/1044118283.db2.gz JBHOVSMEZDTTOM-LJQANCHMSA-N 0 3 315.436 4.461 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@@H]1CCCc3nn(C)cc31)CC2 ZINC000359002770 1044119475 /nfs/dbraw/zinc/11/94/75/1044119475.db2.gz MUEBJTDLJBXFQN-RTBURBONSA-N 0 3 309.457 4.198 20 0 DIADHN Cc1cscc1CN[C@@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000358995905 1044119638 /nfs/dbraw/zinc/11/96/38/1044119638.db2.gz BTIDPLUMZGAWAJ-GOSISDBHSA-N 0 3 323.465 4.409 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccn2)C2CC2)c2ccccc2n1 ZINC000932380841 1044122464 /nfs/dbraw/zinc/12/24/64/1044122464.db2.gz WZUZEGHFJDEUCS-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN O[C@@H](CN[C@H](c1ccccn1)C1CC1)c1ccc2ccccc2c1 ZINC000932380382 1044122786 /nfs/dbraw/zinc/12/27/86/1044122786.db2.gz SFSDYJIDFFJYDM-SFTDATJTSA-N 0 3 318.420 4.009 20 0 DIADHN CO[C@H](CN[C@H](c1ccccn1)C1CC1)c1ccc(Cl)cc1 ZINC000932385180 1044124225 /nfs/dbraw/zinc/12/42/25/1044124225.db2.gz IJVLJDGVKOOSMP-MSOLQXFVSA-N 0 3 316.832 4.163 20 0 DIADHN COc1ccccc1C[C@@H](C)NC1(c2nc(C)cs2)CCC1 ZINC000346673417 1044130807 /nfs/dbraw/zinc/13/08/07/1044130807.db2.gz NKFRACWYMBRBQJ-CYBMUJFWSA-N 0 3 316.470 4.060 20 0 DIADHN Cc1ccc([C@H]2CCCN2CC[S@@](=O)c2ccccc2)s1 ZINC000359098380 1044131291 /nfs/dbraw/zinc/13/12/91/1044131291.db2.gz SLTUYRFTIKUNLC-IIBYNOLFSA-N 0 3 319.495 4.001 20 0 DIADHN CCc1cnc(CNCCCc2c(F)cccc2Cl)s1 ZINC000562613447 1044137733 /nfs/dbraw/zinc/13/77/33/1044137733.db2.gz FEHCCNUVIBFQPJ-UHFFFAOYSA-N 0 3 312.841 4.220 20 0 DIADHN Fc1cncc([C@@H](N[C@H]2CCCc3sccc32)C2CC2)c1 ZINC000281507262 1044140364 /nfs/dbraw/zinc/14/03/64/1044140364.db2.gz HBTRLBHSAAFWRV-RDJZCZTQSA-N 0 3 302.418 4.401 20 0 DIADHN Cn1ccc(CNCc2ccc(Oc3cccc(F)c3)cc2)c1 ZINC000443993014 1044147744 /nfs/dbraw/zinc/14/77/44/1044147744.db2.gz ZIWHXMMKRBJNIM-UHFFFAOYSA-N 0 3 310.372 4.246 20 0 DIADHN CCCCn1cc(CN[C@H]2CCCc3sccc32)c(C)n1 ZINC000359335045 1044155999 /nfs/dbraw/zinc/15/59/99/1044155999.db2.gz TYQUYLPALYEPLG-INIZCTEOSA-N 0 3 303.475 4.220 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1nc2ccccc2n1C(F)F ZINC000444041214 1044156634 /nfs/dbraw/zinc/15/66/34/1044156634.db2.gz XXWYQSATIWPTAI-GXTWGEPZSA-N 0 3 307.388 4.442 20 0 DIADHN Cc1ccc([C@@H](NCc2n[nH]c(C3CC3)n2)C2CCCCC2)cc1 ZINC000562785232 1044157252 /nfs/dbraw/zinc/15/72/52/1044157252.db2.gz ZVSRJCCSSPJVNB-IBGZPJMESA-N 0 3 324.472 4.402 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccncc2CC)s1 ZINC000359350916 1044158413 /nfs/dbraw/zinc/15/84/13/1044158413.db2.gz XORLCQVGCZLELL-NWDGAFQWSA-N 0 3 303.475 4.383 20 0 DIADHN FC(F)(F)CC1C[C@H]2CC[C@@H](C1)N2CCCOC(F)(F)F ZINC000932801761 1044160153 /nfs/dbraw/zinc/16/01/53/1044160153.db2.gz HBTQZRZLOOKWJY-FGWVZKOKSA-N 0 3 319.289 4.108 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2cccc3cccnc32)cc1 ZINC001137175570 1044162677 /nfs/dbraw/zinc/16/26/77/1044162677.db2.gz CWIHIZIFMVTKPP-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CCc2cc(Cl)cs2)c1 ZINC000346863015 1044162862 /nfs/dbraw/zinc/16/28/62/1044162862.db2.gz LSJRIFMNZJRRLX-UHFFFAOYSA-N 0 3 322.861 4.034 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc3cccnc32)cn1 ZINC001137178001 1044165889 /nfs/dbraw/zinc/16/58/89/1044165889.db2.gz MGCBACAKWPTANI-IBGZPJMESA-N 0 3 303.409 4.275 20 0 DIADHN O[C@H](CNC(c1ccccc1)c1ccccc1)c1ccc(F)cc1 ZINC000025217701 1044166959 /nfs/dbraw/zinc/16/69/59/1044166959.db2.gz QJVIHJWXYBUZQO-HXUWFJFHSA-N 0 3 321.395 4.238 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(=O)oc2cc(C)c(C)cc12 ZINC000007437511 1044168866 /nfs/dbraw/zinc/16/88/66/1044168866.db2.gz MEJYHHHOZCEOBZ-UHFFFAOYSA-N 0 3 321.420 4.432 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000359564020 1044176372 /nfs/dbraw/zinc/17/63/72/1044176372.db2.gz SWXFEWISJVESQG-ZRGWGRIASA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](CCCO)c1ccccc1 ZINC000129827064 1044183973 /nfs/dbraw/zinc/18/39/73/1044183973.db2.gz QAWMIEHAQTVKTE-GOSISDBHSA-N 0 3 303.833 4.252 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1cc(F)ccc1F ZINC000359671339 1044188131 /nfs/dbraw/zinc/18/81/31/1044188131.db2.gz AQVKUFJXFONWAC-SJORKVTESA-N 0 3 324.440 4.370 20 0 DIADHN Fc1cncc([C@@H](NCc2ccc(C(F)F)cc2)C2CC2)c1 ZINC000281799424 1044193813 /nfs/dbraw/zinc/19/38/13/1044193813.db2.gz YGYWYEZXAPZIQY-INIZCTEOSA-N 0 3 306.331 4.399 20 0 DIADHN C[C@]1(NCc2cccc(C(F)F)c2)CCOc2ccccc21 ZINC000933372991 1044196613 /nfs/dbraw/zinc/19/66/13/1044196613.db2.gz ZGJSWAQIBDOFBB-SFHVURJKSA-N 0 3 303.352 4.412 20 0 DIADHN Cc1ccc([C@H](CNCc2ccsc2Cl)N2CCCC2)o1 ZINC000359749795 1044196957 /nfs/dbraw/zinc/19/69/57/1044196957.db2.gz WURSLKZIPPGIHF-AWEZNQCLSA-N 0 3 324.877 4.230 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@H](O)c1ccccc1F ZINC000130328303 1044199336 /nfs/dbraw/zinc/19/93/36/1044199336.db2.gz TVAUGDXNVUMCAF-GUYCJALGSA-N 0 3 313.372 4.265 20 0 DIADHN c1ccc2c(c1)CCC[C@@H]2NC1(c2ccc3c(c2)OCO3)CC1 ZINC000347143447 1044200648 /nfs/dbraw/zinc/20/06/48/1044200648.db2.gz JSOLUTFSKQYOON-KRWDZBQOSA-N 0 3 307.393 4.072 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@H]2CCCC(F)(F)C2)s1 ZINC000281855221 1044201534 /nfs/dbraw/zinc/20/15/34/1044201534.db2.gz BOFDZURZAHPFKF-QMMMGPOBSA-N 0 3 314.323 4.077 20 0 DIADHN C[C@@H](C[C@H](O)c1ccco1)NCc1ccc(Cl)cc1Cl ZINC000130391381 1044201537 /nfs/dbraw/zinc/20/15/37/1044201537.db2.gz CHEZUUMWVYUHQT-HZMBPMFUSA-N 0 3 314.212 4.188 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1F)c1ccc(F)c(Cl)c1 ZINC000130374128 1044202165 /nfs/dbraw/zinc/20/21/65/1044202165.db2.gz RBJHIKICCZELAN-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2ccc(Cl)nc2)c1C ZINC000882904016 1044202650 /nfs/dbraw/zinc/20/26/50/1044202650.db2.gz OLZRDCNGKUUBNB-UHFFFAOYSA-N 0 3 318.848 4.096 20 0 DIADHN COCCC[C@@H](NCc1ccc(F)cc1)c1ccc(F)cc1 ZINC000281862726 1044204958 /nfs/dbraw/zinc/20/49/58/1044204958.db2.gz DNRHQYHBLLNPQR-GOSISDBHSA-N 0 3 305.368 4.222 20 0 DIADHN C[C@@H]1COc2ccccc2CN1Cc1cccc2cccnc21 ZINC000359847187 1044206409 /nfs/dbraw/zinc/20/64/09/1044206409.db2.gz OTMUOOJLKJTPEP-OAHLLOKOSA-N 0 3 304.393 4.018 20 0 DIADHN CCC(CC)c1cc(CN[C@H]2Cc3[nH]c4ccccc4c3C2)on1 ZINC000562937617 1044208608 /nfs/dbraw/zinc/20/86/08/1044208608.db2.gz RNDVVEPJRYQPNF-CQSZACIVSA-N 0 3 323.440 4.317 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@@H]2CCCc3oc(C)nc32)cc1 ZINC000925138092 1044209943 /nfs/dbraw/zinc/20/99/43/1044209943.db2.gz FVFYCGWIQLYMJZ-SUMWQHHRSA-N 0 3 314.429 4.152 20 0 DIADHN O=c1oc2ccc(CN[C@H](CC3CC3)c3ccccc3)cc2o1 ZINC000883018821 1044214719 /nfs/dbraw/zinc/21/47/19/1044214719.db2.gz PVUDMFRBFQDLFD-MRXNPFEDSA-N 0 3 309.365 4.017 20 0 DIADHN C[C@H]1C[C@H](C)N(Cc2nnc(-c3cccc4ccccc43)o2)C1 ZINC000639495677 1044215412 /nfs/dbraw/zinc/21/54/12/1044215412.db2.gz SQVFUHLCKXKRAO-KBPBESRZSA-N 0 3 307.397 4.120 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3oc(=O)oc3c1)CC2 ZINC000883093453 1044221730 /nfs/dbraw/zinc/22/17/30/1044221730.db2.gz WIGBVCYYTJYPJW-QGZVFWFLSA-N 0 3 323.392 4.287 20 0 DIADHN C[C@@H](NCc1ccc2oc(=O)oc2c1)c1cccc(C2CC2)c1 ZINC000883131599 1044225563 /nfs/dbraw/zinc/22/55/63/1044225563.db2.gz JVFBZIMXVVQHPZ-GFCCVEGCSA-N 0 3 309.365 4.114 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCOc3cc(C)ccc32)c1 ZINC000360020861 1044227859 /nfs/dbraw/zinc/22/78/59/1044227859.db2.gz CLXRLKAHIPTUQY-LJQANCHMSA-N 0 3 311.425 4.397 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(C2CCCCC2)CC1 ZINC001137184616 1044228624 /nfs/dbraw/zinc/22/86/24/1044228624.db2.gz FQVMZHUIXMQSIP-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN O[C@@H]1CCCC[C@@H]1N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360041822 1044228944 /nfs/dbraw/zinc/22/89/44/1044228944.db2.gz ZTPUXWKCCZCPDQ-HBNTYKKESA-N 0 3 320.285 4.325 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(C)o1)c1ccsc1 ZINC000360052159 1044229771 /nfs/dbraw/zinc/22/97/71/1044229771.db2.gz GZPLZZYXCJQROY-GOEBONIOSA-N 0 3 306.475 4.383 20 0 DIADHN C[C@@H](Cc1cccnc1)NCc1nc(C2CCCCC2)cs1 ZINC000934231451 1044233347 /nfs/dbraw/zinc/23/33/47/1044233347.db2.gz SHYUZWXTZUGZIP-AWEZNQCLSA-N 0 3 315.486 4.307 20 0 DIADHN C[C@H](NCC1(C)CC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000883257296 1044247919 /nfs/dbraw/zinc/24/79/19/1044247919.db2.gz XRKXVGJSAODTQO-ZDUSSCGKSA-N 0 3 319.399 4.173 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cccc2ncccc12 ZINC000883256631 1044248472 /nfs/dbraw/zinc/24/84/72/1044248472.db2.gz DFOSELGIQOWUKN-UHFFFAOYSA-N 0 3 318.420 4.411 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cccc2cnccc21 ZINC000883257650 1044248616 /nfs/dbraw/zinc/24/86/16/1044248616.db2.gz HLAKGEDMJXIZPA-UHFFFAOYSA-N 0 3 318.420 4.411 20 0 DIADHN COCC[C@H](NC[C@@H](OC(C)C)c1ccccc1)c1ccco1 ZINC000293134572 1044251739 /nfs/dbraw/zinc/25/17/39/1044251739.db2.gz LLPIYWLJIIDMLA-PKOBYXMFSA-N 0 3 317.429 4.113 20 0 DIADHN CCCN(Cc1csc(-c2ccc(OC)cc2)n1)CC1CC1 ZINC000248036429 1044255852 /nfs/dbraw/zinc/25/58/52/1044255852.db2.gz ZHZFXXIWNUGJCK-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN C=C/C=C/CCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000883268395 1044255947 /nfs/dbraw/zinc/25/59/47/1044255947.db2.gz KDKOHCXHMRYUIV-AAOUONPWSA-N 0 3 313.264 4.079 20 0 DIADHN CC[C@H](NCc1ccnc(-c2ccccn2)n1)c1ccc(C)cc1 ZINC000883292186 1044261147 /nfs/dbraw/zinc/26/11/47/1044261147.db2.gz GHMIVDIXWMGLCN-SFHVURJKSA-N 0 3 318.424 4.088 20 0 DIADHN Cc1sc2[n-]c([C@@H](C)[NH2+][C@@H]3CC=CC[C@H]3C)nc(=O)c2c1C ZINC000934624314 1044263928 /nfs/dbraw/zinc/26/39/28/1044263928.db2.gz CJGHTGHSZWXPKB-IRUJWGPZSA-N 0 3 317.458 4.019 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CC=CC[C@H]2C)cs1 ZINC000934626091 1044264996 /nfs/dbraw/zinc/26/49/96/1044264996.db2.gz SJGITNYUKFEHRK-CZUORRHYSA-N 0 3 314.454 4.263 20 0 DIADHN c1nc(-c2ccccc2)sc1CN[C@H](c1ccncc1)C1CC1 ZINC000934686506 1044267880 /nfs/dbraw/zinc/26/78/80/1044267880.db2.gz LIGNFUHTVKFNHC-SFHVURJKSA-N 0 3 321.449 4.446 20 0 DIADHN FC(F)(F)c1cccc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934686013 1044270046 /nfs/dbraw/zinc/27/00/46/1044270046.db2.gz IGYYYZMAOQPQBE-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1nc(CCN2CCC(c3cccc(F)c3F)CC2)cs1 ZINC000563492087 1044271292 /nfs/dbraw/zinc/27/12/92/1044271292.db2.gz XVKMLCRAVHHVLP-UHFFFAOYSA-N 0 3 322.424 4.152 20 0 DIADHN COC[C@H]1C[C@@H](N[C@@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000883319525 1044272392 /nfs/dbraw/zinc/27/23/92/1044272392.db2.gz FPXNVXJLILRHNX-RWMBFGLXSA-N 0 3 320.433 4.025 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1ccc2[nH]ccc2c1 ZINC000883330033 1044275957 /nfs/dbraw/zinc/27/59/57/1044275957.db2.gz WNQIGWJTWYSXRW-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cccc(CN(CC(C)C)[C@@H]2CCCc3cccnc32)n1 ZINC000360499047 1044278063 /nfs/dbraw/zinc/27/80/63/1044278063.db2.gz FFPXTEWJSKQTJO-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1ccc(Cl)s1 ZINC000563577657 1044282406 /nfs/dbraw/zinc/28/24/06/1044282406.db2.gz ATLOJMIANZURBS-JTQLQIEISA-N 0 3 305.834 4.139 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1csc(Cc2ccccc2)n1 ZINC000475149826 1044282784 /nfs/dbraw/zinc/28/27/84/1044282784.db2.gz IYABOXSCPMYYFN-PBHICJAKSA-N 0 3 300.471 4.402 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000475149518 1044283265 /nfs/dbraw/zinc/28/32/65/1044283265.db2.gz PEISVXBJYUGOCW-MCIONIFRSA-N 0 3 301.459 4.072 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC000934838706 1044286727 /nfs/dbraw/zinc/28/67/27/1044286727.db2.gz NPRRMSXWRVKIDP-OAGGEKHMSA-N 0 3 320.477 4.172 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)cc1C ZINC000360602189 1044291079 /nfs/dbraw/zinc/29/10/79/1044291079.db2.gz ZHICXSNJLNNLIE-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN CCCCn1cc(CN[C@@H](c2cccnc2)C2CCC2)c(C)n1 ZINC000360662500 1044296661 /nfs/dbraw/zinc/29/66/61/1044296661.db2.gz GHPWMYSSWHIFRW-LJQANCHMSA-N 0 3 312.461 4.018 20 0 DIADHN Cc1cnc(CN[C@@H](C)Cc2ccccc2C(F)(F)F)s1 ZINC000360659082 1044297259 /nfs/dbraw/zinc/29/72/59/1044297259.db2.gz WSAYSZLEQJYXDY-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387561 1044299424 /nfs/dbraw/zinc/29/94/24/1044299424.db2.gz ZQHVKWJLBROTFP-VQTJNVASSA-N 0 3 321.399 4.041 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)cc1 ZINC000883386277 1044300607 /nfs/dbraw/zinc/30/06/07/1044300607.db2.gz CSAHYHFJBLPUMC-PXNSSMCTSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)s1 ZINC000883386355 1044300662 /nfs/dbraw/zinc/30/06/62/1044300662.db2.gz DQOAZZZRAHKSAF-WBVHZDCISA-N 0 3 311.454 4.345 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)c1 ZINC000883386914 1044300719 /nfs/dbraw/zinc/30/07/19/1044300719.db2.gz OPDYEOKTOWHRAK-PXNSSMCTSA-N 0 3 305.425 4.284 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cccc(-c2ccoc2)c1 ZINC000563670346 1044300782 /nfs/dbraw/zinc/30/07/82/1044300782.db2.gz QEBRFJOGHYEQQY-UHFFFAOYSA-N 0 3 320.392 4.261 20 0 DIADHN CC[C@H](N[C@@H](Cn1ccnc1)c1ccccc1)c1ccccc1 ZINC000883386160 1044300743 /nfs/dbraw/zinc/30/07/43/1044300743.db2.gz ASIKYTDOEXNHQN-PMACEKPBSA-N 0 3 305.425 4.365 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](Cn2ccnc2)c2ccccc2)s1 ZINC000883386353 1044300846 /nfs/dbraw/zinc/30/08/46/1044300846.db2.gz DQOAZZZRAHKSAF-NVXWUHKLSA-N 0 3 311.454 4.345 20 0 DIADHN c1cn(C[C@H](N[C@@H]2CCCc3ccccc32)c2ccccc2)cn1 ZINC000883386567 1044301010 /nfs/dbraw/zinc/30/10/10/1044301010.db2.gz IKAAHFZTIPXYHE-RTWAWAEBSA-N 0 3 317.436 4.292 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(F)c(C)c2)C2CCC2)cc1 ZINC000897079097 1044301174 /nfs/dbraw/zinc/30/11/74/1044301174.db2.gz DHJUZTDLNWGRPT-SFHVURJKSA-N 0 3 314.404 4.169 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387562 1044301197 /nfs/dbraw/zinc/30/11/97/1044301197.db2.gz ZQHVKWJLBROTFP-WOJBJXKFSA-N 0 3 321.399 4.041 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](Cn2ccnc2)c2ccccc2)c2ccccc21 ZINC000883387620 1044302096 /nfs/dbraw/zinc/30/20/96/1044302096.db2.gz ALUUTXPBXMOMAF-MAODMQOUSA-N 0 3 317.436 4.463 20 0 DIADHN Cc1cccc(CN[C@H]2CC3(CCOCC3)Oc3ccccc32)c1 ZINC000883395039 1044302351 /nfs/dbraw/zinc/30/23/51/1044302351.db2.gz MFDMLOBHMDPNKM-IBGZPJMESA-N 0 3 323.436 4.158 20 0 DIADHN COc1ccc([C@H]([NH2+]Cc2ccc([O-])c(F)c2)C2CCC2)cc1 ZINC000897079864 1044302091 /nfs/dbraw/zinc/30/20/91/1044302091.db2.gz MVVLSWQMSDQWCK-LJQANCHMSA-N 0 3 315.388 4.171 20 0 DIADHN COc1ccc([C@@H](NCc2ccnc(F)c2C)C2CCC2)cc1 ZINC000897079520 1044302177 /nfs/dbraw/zinc/30/21/77/1044302177.db2.gz JXWZDBCCXFBIKV-SFHVURJKSA-N 0 3 314.404 4.169 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1cccc(CN(C)C)c1 ZINC000360705857 1044303052 /nfs/dbraw/zinc/30/30/52/1044303052.db2.gz SZQZCNNUFJRCLG-QAPCUYQASA-N 0 3 316.489 4.035 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc(-n2ccnc2)cc1 ZINC000360689242 1044303147 /nfs/dbraw/zinc/30/31/47/1044303147.db2.gz KSMKBACOYMHBBH-CZUORRHYSA-N 0 3 323.362 4.035 20 0 DIADHN CC[C@H](N[C@H](Cn1ccnc1)c1ccccc1)c1ccsc1 ZINC000883387899 1044303735 /nfs/dbraw/zinc/30/37/35/1044303735.db2.gz HSUUFJWEZGUPKN-ZWKOTPCHSA-N 0 3 311.454 4.427 20 0 DIADHN Cc1ccccc1CN[C@H]1CC2(CCOCC2)Oc2ccccc21 ZINC000883395265 1044303798 /nfs/dbraw/zinc/30/37/98/1044303798.db2.gz QEFUFOZDVGUKQU-IBGZPJMESA-N 0 3 323.436 4.158 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ncc[nH]1 ZINC000563693839 1044304144 /nfs/dbraw/zinc/30/41/44/1044304144.db2.gz RTTUSJFOZRDJGL-ZWNOBZJWSA-N 0 3 312.244 4.388 20 0 DIADHN Cc1scc(CN[C@@H](Cn2ccnc2)c2ccccc2)c1C ZINC000883397588 1044304184 /nfs/dbraw/zinc/30/41/84/1044304184.db2.gz QCACDXSZPSOWAV-SFHVURJKSA-N 0 3 311.454 4.093 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1cc2ccccc2[nH]c1=O ZINC000360718945 1044304722 /nfs/dbraw/zinc/30/47/22/1044304722.db2.gz DIZATLYNMIBQCQ-BXUZGUMPSA-N 0 3 324.346 4.103 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000293605800 1044305307 /nfs/dbraw/zinc/30/53/07/1044305307.db2.gz DTEXMUFALYRODO-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1cccc(O)c1 ZINC000192720776 1044306210 /nfs/dbraw/zinc/30/62/10/1044306210.db2.gz RBYBUWYAUYVLPL-NEPJUHHUSA-N 0 3 307.340 4.405 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1cncc2ccccc21 ZINC000780793941 1044308407 /nfs/dbraw/zinc/30/84/07/1044308407.db2.gz YXLVYWUMCBIWSC-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@@H](c3ccccc3F)C2)s1 ZINC000360773966 1044310207 /nfs/dbraw/zinc/31/02/07/1044310207.db2.gz VXHHAGCSMQBHNC-OLZOCXBDSA-N 0 3 304.434 4.271 20 0 DIADHN CC(C)N(CCCO)Cc1sccc1Oc1ccccc1 ZINC001141999393 1044312484 /nfs/dbraw/zinc/31/24/84/1044312484.db2.gz HBDLOVWEKSLAAU-UHFFFAOYSA-N 0 3 305.443 4.133 20 0 DIADHN CN(C)c1ncccc1CN[C@H]1CCC(C)(C)c2ccccc21 ZINC000360837242 1044314868 /nfs/dbraw/zinc/31/48/68/1044314868.db2.gz PCRXYBWWDJCYMQ-SFHVURJKSA-N 0 3 309.457 4.050 20 0 DIADHN COCCN(Cc1cncs1)C[C@@H](c1ccccc1)C(C)C ZINC000475196236 1044317438 /nfs/dbraw/zinc/31/74/38/1044317438.db2.gz JXMKRJHPFANMMX-GOSISDBHSA-N 0 3 318.486 4.031 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1cc2cc(F)ccc2o1 ZINC000360849080 1044319032 /nfs/dbraw/zinc/31/90/32/1044319032.db2.gz WWRXXIKLOXLHQC-GUYCJALGSA-N 0 3 305.393 4.257 20 0 DIADHN CC(C)CCOCCN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000360855683 1044320288 /nfs/dbraw/zinc/32/02/88/1044320288.db2.gz FRRURHMLWYWPHO-QGZVFWFLSA-N 0 3 303.446 4.085 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1nccn1CC(F)(F)F ZINC001257476964 1044321356 /nfs/dbraw/zinc/32/13/56/1044321356.db2.gz RKNRGXQLQVOKFP-OLZOCXBDSA-N 0 3 305.388 4.140 20 0 DIADHN COc1ccc(CNCc2ccc3ncccc3c2)cc1Cl ZINC000132370069 1044321482 /nfs/dbraw/zinc/32/14/82/1044321482.db2.gz ZNVUKZXJNYGXGV-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN Cc1cccc(C(C)(C)NCc2ccnc(Br)c2)c1 ZINC000293779602 1044326507 /nfs/dbraw/zinc/32/65/07/1044326507.db2.gz LPZMTNBNCBVWPL-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CCC[C@H](NCc1ccnc(Br)c1)c1ccccc1 ZINC000293773550 1044327029 /nfs/dbraw/zinc/32/70/29/1044327029.db2.gz LCWXRHYWLIYUTO-HNNXBMFYSA-N 0 3 319.246 4.475 20 0 DIADHN Fc1cccc(F)c1C1(NCCCCCn2cccn2)CCC1 ZINC000626178358 1044327656 /nfs/dbraw/zinc/32/76/56/1044327656.db2.gz QZNLHPJDARTRBH-UHFFFAOYSA-N 0 3 319.399 4.001 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)c(C)s1 ZINC000192847008 1044331885 /nfs/dbraw/zinc/33/18/85/1044331885.db2.gz JALXSQZKJOSBGY-NEPJUHHUSA-N 0 3 317.458 4.267 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](C(F)(F)F)[C@H]3C)cc2c1 ZINC000475278539 1044338196 /nfs/dbraw/zinc/33/81/96/1044338196.db2.gz KQCZAEDYWGPBGA-QMTHXVAHSA-N 0 3 313.319 4.214 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc(C(F)(F)F)cc2)cnn1C ZINC000192851499 1044340685 /nfs/dbraw/zinc/34/06/85/1044340685.db2.gz MFHLOKRJJQBBKC-GHMZBOCLSA-N 0 3 311.351 4.159 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000475272882 1044341564 /nfs/dbraw/zinc/34/15/64/1044341564.db2.gz CHQOPJSPAWQAMK-HUUCEWRRSA-N 0 3 322.518 4.074 20 0 DIADHN CSCc1ccc(CN[C@H]2CCCc3ccc(O)cc32)cc1 ZINC000361002782 1044343198 /nfs/dbraw/zinc/34/31/98/1044343198.db2.gz LMLSHTGYCQEAAM-IBGZPJMESA-N 0 3 313.466 4.422 20 0 DIADHN CCc1ccc(CN(C)[C@H]2CCCc3cc(OC)ccc32)cn1 ZINC000564128671 1044343170 /nfs/dbraw/zinc/34/31/70/1044343170.db2.gz CSVGTZHVXMQTJW-FQEVSTJZSA-N 0 3 310.441 4.162 20 0 DIADHN Cc1ccc(COc2ccc(CN[C@H]3C[C@@H]3C(F)F)cc2)cc1 ZINC000564128347 1044343571 /nfs/dbraw/zinc/34/35/71/1044343571.db2.gz CSLDBYUDSKMOSL-ROUUACIJSA-N 0 3 317.379 4.317 20 0 DIADHN CC(C)[C@@H](CNCc1cn(C(C)C)nn1)c1ccc(Cl)cc1 ZINC000564124232 1044343806 /nfs/dbraw/zinc/34/38/06/1044343806.db2.gz WQFOSIPUWCOIDF-QGZVFWFLSA-N 0 3 320.868 4.042 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCC[C@H]3C3CCC3)o2)cc1 ZINC000102926804 1044346538 /nfs/dbraw/zinc/34/65/38/1044346538.db2.gz KVSISQOKVXMYLV-HNNXBMFYSA-N 0 3 317.820 4.155 20 0 DIADHN OCC[C@@H](N[C@H]1CCCc2sccc21)c1ccc(Cl)cc1 ZINC000348245580 1044347981 /nfs/dbraw/zinc/34/79/81/1044347981.db2.gz POMZDGHGWZOLIH-CVEARBPZSA-N 0 3 321.873 4.492 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](CN(C)C)c2ccc(F)cc2)c2ccccc21 ZINC000564153551 1044348306 /nfs/dbraw/zinc/34/83/06/1044348306.db2.gz VVSLQBKJCQEMFN-JSNMRZPZSA-N 0 3 312.432 4.267 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H](CCCO)c1ccccc1 ZINC000293894482 1044348946 /nfs/dbraw/zinc/34/89/46/1044348946.db2.gz VNOFCQSHRMZOAW-HXUWFJFHSA-N 0 3 312.457 4.117 20 0 DIADHN C[C@H]1CC[C@@H](CN2CCC(=Cc3ccc(F)c(F)c3)CC2)O1 ZINC000475316147 1044359056 /nfs/dbraw/zinc/35/90/56/1044359056.db2.gz LOOVGQBRPHKMBF-BBRMVZONSA-N 0 3 307.384 4.012 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3ccc(F)cc3)CCC2)cs1 ZINC000361112656 1044359900 /nfs/dbraw/zinc/35/99/00/1044359900.db2.gz VHTZSKZWAQZQLN-GFCCVEGCSA-N 0 3 304.434 4.363 20 0 DIADHN CC(C)(C)C1CC(NCc2cscc2Br)C1 ZINC001202579732 1044361738 /nfs/dbraw/zinc/36/17/38/1044361738.db2.gz OQPXUQALUACFGQ-UHFFFAOYSA-N 0 3 302.281 4.425 20 0 DIADHN Cc1ccc([C@@H](N[C@@H](C)CC2CCOCC2)c2ccccn2)cc1 ZINC000475329670 1044362946 /nfs/dbraw/zinc/36/29/46/1044362946.db2.gz ACUQKZXNNXDZHG-LAUBAEHRSA-N 0 3 324.468 4.274 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@H](c3ccc(F)cc3)C2)cs1 ZINC000475331926 1044365321 /nfs/dbraw/zinc/36/53/21/1044365321.db2.gz QJHUZNDVGRZHMR-DZGCQCFKSA-N 0 3 304.434 4.271 20 0 DIADHN C[C@@H](CCc1ccsc1)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361187054 1044372875 /nfs/dbraw/zinc/37/28/75/1044372875.db2.gz NSYVNCIPUFRPPB-NHYWBVRUSA-N 0 3 323.408 4.461 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361191648 1044374118 /nfs/dbraw/zinc/37/41/18/1044374118.db2.gz TZWHEFBSRIPNCK-BZNIZROVSA-N 0 3 321.342 4.148 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](N[C@H]1C[C@H]1C(F)F)CCCO2 ZINC000348266115 1044380243 /nfs/dbraw/zinc/38/02/43/1044380243.db2.gz BAGLXUBHAWQKTJ-RTXFEEFZSA-N 0 3 301.764 4.105 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](CC(F)(F)F)c1ccccc1 ZINC000361305872 1044387781 /nfs/dbraw/zinc/38/77/81/1044387781.db2.gz PTPVEAZAQYMQOE-HUUCEWRRSA-N 0 3 303.368 4.067 20 0 DIADHN COc1nc2ccccc2cc1CN1CC[C@@H](C)C(F)(F)CC1 ZINC001137201101 1044395802 /nfs/dbraw/zinc/39/58/02/1044395802.db2.gz CVZNDTBGQRGXQS-CYBMUJFWSA-N 0 3 320.383 4.111 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccc(OCC3CC3)cc1)CC2 ZINC000564791375 1044404279 /nfs/dbraw/zinc/40/42/79/1044404279.db2.gz YHZTXFAPZDIZFP-HXUWFJFHSA-N 0 3 311.400 4.392 20 0 DIADHN CC(C)(NCc1cccc2c1CCOC2)c1ccc(F)c(F)c1 ZINC000639567752 1044404884 /nfs/dbraw/zinc/40/48/84/1044404884.db2.gz WIANLMMDDFAZNG-UHFFFAOYSA-N 0 3 317.379 4.062 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000192946737 1044406223 /nfs/dbraw/zinc/40/62/23/1044406223.db2.gz ZIGGJPYHPSTRMF-ZIAGYGMSSA-N 0 3 312.413 4.065 20 0 DIADHN CCN(CC1CCC1)[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000361480695 1044407740 /nfs/dbraw/zinc/40/77/40/1044407740.db2.gz DYDWGAAWNKGOHN-LLVKDONJSA-N 0 3 318.490 4.073 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCC[C@@H](F)C2)c2ccccc2)nc1 ZINC000564964616 1044408474 /nfs/dbraw/zinc/40/84/74/1044408474.db2.gz PHLAUPVDVHAQIB-QGPMSJSTSA-N 0 3 302.368 4.180 20 0 DIADHN CC(C)N(C)c1ccc(CN(C)[C@@H]2CCc3ccccc32)cn1 ZINC000361502278 1044409659 /nfs/dbraw/zinc/40/96/59/1044409659.db2.gz WAULAYOURPAHBV-LJQANCHMSA-N 0 3 309.457 4.046 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@@H](C(C)(C)C)C3)o2)c(C)o1 ZINC000361543139 1044416766 /nfs/dbraw/zinc/41/67/66/1044416766.db2.gz KWALVABKEVATGS-CQSZACIVSA-N 0 3 317.433 4.205 20 0 DIADHN COc1cc(CN(Cc2ccc(C)c(F)c2)C2CC2)ccc1O ZINC000361600714 1044422832 /nfs/dbraw/zinc/42/28/32/1044422832.db2.gz HPLOJWHSRGSJOZ-UHFFFAOYSA-N 0 3 315.388 4.013 20 0 DIADHN COc1cc(CN(Cc2coc3ccccc23)C2CC2)ccc1O ZINC000361610230 1044425143 /nfs/dbraw/zinc/42/51/43/1044425143.db2.gz LQQUTCYAELOQRE-UHFFFAOYSA-N 0 3 323.392 4.312 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000111398315 1044433414 /nfs/dbraw/zinc/43/34/14/1044433414.db2.gz JXJNTPPPDOLIFR-RDJZCZTQSA-N 0 3 321.449 4.098 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2cccnc2)cc1OCC ZINC000112515785 1044441373 /nfs/dbraw/zinc/44/13/73/1044441373.db2.gz FBBWMDSYZPXCPF-GJZGRUSLSA-N 0 3 314.429 4.291 20 0 DIADHN CC[C@H](NCc1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000112527574 1044442271 /nfs/dbraw/zinc/44/22/71/1044442271.db2.gz FWVREYYDXJZZGC-IBGZPJMESA-N 0 3 308.425 4.054 20 0 DIADHN Cc1cccc2c1OC[C@@H](NCc1cc(Cl)ccc1Cl)C2 ZINC001202654985 1044445052 /nfs/dbraw/zinc/44/50/52/1044445052.db2.gz JABMSOOURKOMFC-HNNXBMFYSA-N 0 3 322.235 4.395 20 0 DIADHN Clc1ccc([C@H](NC[C@H]2CCCO2)c2ccccc2)cc1 ZINC000565670767 1044448574 /nfs/dbraw/zinc/44/85/74/1044448574.db2.gz LZQQKUDBXDYWJW-QZTJIDSGSA-N 0 3 301.817 4.198 20 0 DIADHN Fc1ccc(C[C@H]2CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000121355901 1044450300 /nfs/dbraw/zinc/45/03/00/1044450300.db2.gz NURFJOHPBYXBBN-OAHLLOKOSA-N 0 3 310.372 4.032 20 0 DIADHN CC(C)CNC(=O)[C@@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361843261 1044450557 /nfs/dbraw/zinc/45/05/57/1044450557.db2.gz LUXUEGSWQVCTCL-RHSMWYFYSA-N 0 3 322.468 4.053 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](CCO)c2ccccc2)cc1 ZINC000361879046 1044455550 /nfs/dbraw/zinc/45/55/50/1044455550.db2.gz FFQZASPTNFGBHF-OXQOHEQNSA-N 0 3 313.441 4.250 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](O)C1)c1nc(-c2ccccc2)cs1 ZINC000361892067 1044456893 /nfs/dbraw/zinc/45/68/93/1044456893.db2.gz YXEHHZREZWHMTR-SQWLQELKSA-N 0 3 316.470 4.012 20 0 DIADHN FC(F)[C@H]1C[C@@H]1NCc1ccc(OCc2ccccc2)cc1 ZINC000565855901 1044459076 /nfs/dbraw/zinc/45/90/76/1044459076.db2.gz WDUMNWMVJUGQBY-IRXDYDNUSA-N 0 3 303.352 4.009 20 0 DIADHN COCC[C@H](N[C@@H]1CCCc2c(O)cccc21)c1ccccc1 ZINC000361930873 1044461390 /nfs/dbraw/zinc/46/13/90/1044461390.db2.gz RXGWPIKOFRQAEU-RBUKOAKNSA-N 0 3 311.425 4.137 20 0 DIADHN CC[C@@H](NC[C@H](O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000361966296 1044465562 /nfs/dbraw/zinc/46/55/62/1044465562.db2.gz LGZKLBSLNCAKRR-SJORKVTESA-N 0 3 321.367 4.146 20 0 DIADHN C[C@@H]1C[C@H](NCCOCc2ccccc2)c2ccsc2S1 ZINC000361973992 1044465933 /nfs/dbraw/zinc/46/59/33/1044465933.db2.gz ZUADLOPEOXPAQC-CJNGLKHVSA-N 0 3 319.495 4.480 20 0 DIADHN CC(C)Oc1ccc(-c2csc(C3(N)CCCC3)n2)cc1 ZINC000041164172 1044471371 /nfs/dbraw/zinc/47/13/71/1044471371.db2.gz PZQBYZPBQWFTPB-UHFFFAOYSA-N 0 3 302.443 4.325 20 0 DIADHN COc1ccsc1CN1C[C@@H](C)C[C@@H]1c1cccc(OC)c1 ZINC000566034973 1044471707 /nfs/dbraw/zinc/47/17/07/1044471707.db2.gz MGOMQAMCCXKKEG-XJKSGUPXSA-N 0 3 317.454 4.348 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2ccc(C)cc2)c2ccccc21 ZINC000362034450 1044472188 /nfs/dbraw/zinc/47/21/88/1044472188.db2.gz XVSMPIXTWMYABG-DNVCBOLYSA-N 0 3 308.425 4.144 20 0 DIADHN Cc1cccc(CC2(O)CCN(C/C=C/c3ccccc3)CC2)c1 ZINC000475509186 1044472591 /nfs/dbraw/zinc/47/25/91/1044472591.db2.gz LAUPRVMVPWXFCX-IZZDOVSWSA-N 0 3 321.464 4.078 20 0 DIADHN Cc1nc2ccccc2n1C1CCN(Cc2cccc(F)c2)CC1 ZINC000475509259 1044473457 /nfs/dbraw/zinc/47/34/57/1044473457.db2.gz BWPAJJNKPXYRMS-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000294961568 1044476868 /nfs/dbraw/zinc/47/68/68/1044476868.db2.gz CNXSWAQALJZCGD-AWEZNQCLSA-N 0 3 314.404 4.169 20 0 DIADHN Cc1cnccc1CN[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000294961573 1044476905 /nfs/dbraw/zinc/47/69/05/1044476905.db2.gz CNXSWAQALJZCGD-CQSZACIVSA-N 0 3 314.404 4.169 20 0 DIADHN CC[C@@H](N[C@@H](C)c1csc(-c2ccccc2F)n1)C(C)(C)O ZINC000294996743 1044478620 /nfs/dbraw/zinc/47/86/20/1044478620.db2.gz LSXZHHOKLGGSSD-XHDPSFHLSA-N 0 3 322.449 4.149 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cccc(Br)n1 ZINC001205976432 1044478942 /nfs/dbraw/zinc/47/89/42/1044478942.db2.gz RDGMDVMTMHRBMG-HNNXBMFYSA-N 0 3 319.246 4.427 20 0 DIADHN Fc1ccc2nc([C@@H]3CCCN(CCC(F)(F)F)C3)oc2c1 ZINC000362138955 1044481643 /nfs/dbraw/zinc/48/16/43/1044481643.db2.gz SONFFGNXRPTGIL-SNVBAGLBSA-N 0 3 316.298 4.099 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1ccnc(Cl)c1Cl ZINC000295043462 1044484116 /nfs/dbraw/zinc/48/41/16/1044484116.db2.gz FAPRXQRRUNPSCM-SNVBAGLBSA-N 0 3 309.187 4.304 20 0 DIADHN Fc1cccc(-c2cnc(CN3CC[C@@H](c4ccccc4)C3)o2)c1 ZINC000475524647 1044489081 /nfs/dbraw/zinc/48/90/81/1044489081.db2.gz AYKNLOQBQCCNDD-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN CCc1nc(C)c(CN2C[C@H](C)C[C@@H]2c2cccc(OC)c2)o1 ZINC000428357832 1044489278 /nfs/dbraw/zinc/48/92/78/1044489278.db2.gz FVRIQTDGBHYPJT-CXAGYDPISA-N 0 3 314.429 4.137 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000119352335 1044493816 /nfs/dbraw/zinc/49/38/16/1044493816.db2.gz JSYXMISFPGOKPF-IEBWSBKVSA-N 0 3 313.420 4.068 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000295151782 1044494769 /nfs/dbraw/zinc/49/47/69/1044494769.db2.gz CRTMNGLDUFTLLY-JMSVASOKSA-N 0 3 323.362 4.129 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000362276243 1044496713 /nfs/dbraw/zinc/49/67/13/1044496713.db2.gz BUOJOFUDZSBTFJ-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN COCCCC[C@@H](NCc1sc(C)nc1C)c1ccccc1 ZINC000348317142 1044501519 /nfs/dbraw/zinc/50/15/19/1044501519.db2.gz ADNXTCCTFQGEJH-QGZVFWFLSA-N 0 3 318.486 4.408 20 0 DIADHN C[C@H]1CC[C@H](CNCc2csc(COc3ccccc3)n2)C1 ZINC000475560168 1044501488 /nfs/dbraw/zinc/50/14/88/1044501488.db2.gz HGKRXVIBIUFPDF-GJZGRUSLSA-N 0 3 316.470 4.248 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000120236060 1044502121 /nfs/dbraw/zinc/50/21/21/1044502121.db2.gz VVFRRWYCTONZIE-UGSOOPFHSA-N 0 3 319.832 4.123 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2cccc(C)c2C)cc1 ZINC000120259555 1044505131 /nfs/dbraw/zinc/50/51/31/1044505131.db2.gz OMCQETKXHAQSNH-OXJNMPFZSA-N 0 3 313.441 4.086 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(-c2ccccc2)o1 ZINC000566644259 1044505384 /nfs/dbraw/zinc/50/53/84/1044505384.db2.gz OWBDXNHBZMKWTF-UHFFFAOYSA-N 0 3 320.392 4.261 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2cc(C)sc2C)cc1 ZINC000120369814 1044507100 /nfs/dbraw/zinc/50/71/00/1044507100.db2.gz SHJMXOBLIYTBCO-SCLBCKFNSA-N 0 3 319.470 4.148 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cccc3cccnc32)s1 ZINC000120485724 1044508760 /nfs/dbraw/zinc/50/87/60/1044508760.db2.gz ZULTXERJZMQBCG-GFCCVEGCSA-N 0 3 311.454 4.413 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1cccc3cccnc31)CCC2 ZINC000120517909 1044510640 /nfs/dbraw/zinc/51/06/40/1044510640.db2.gz LFBLOFHRKYNNLW-HNNXBMFYSA-N 0 3 323.465 4.421 20 0 DIADHN CCCN(Cc1ccc(CC)nc1)[C@@H]1CCCc2cccnc21 ZINC000566764730 1044513636 /nfs/dbraw/zinc/51/36/36/1044513636.db2.gz OLGGRBBHECYSQM-LJQANCHMSA-N 0 3 309.457 4.329 20 0 DIADHN C[C@@H]1C[C@H](NC2(c3ccc4c(c3)OCO4)CC2)c2ccccc21 ZINC000566821020 1044517596 /nfs/dbraw/zinc/51/75/96/1044517596.db2.gz VMQDPFGFHIRVMF-DYVFJYSZSA-N 0 3 307.393 4.243 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(C)cc3C)C2)c(C)s1 ZINC000348324386 1044520196 /nfs/dbraw/zinc/52/01/96/1044520196.db2.gz PVVLNHBHWKJQJW-INIZCTEOSA-N 0 3 300.471 4.366 20 0 DIADHN C[C@@H]1CCC[C@H](CCN(C)Cc2ccc([S@@](C)=O)cc2)C1 ZINC000362488652 1044520519 /nfs/dbraw/zinc/52/05/19/1044520519.db2.gz ZQQJANBEGQBXEG-WHSLLNHNSA-N 0 3 307.503 4.072 20 0 DIADHN CCCC[C@@H](CC)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000643427980 1044534425 /nfs/dbraw/zinc/53/44/25/1044534425.db2.gz VVJHDNRRNOAVQD-QGZVFWFLSA-N 0 3 316.489 4.330 20 0 DIADHN CCCC[C@H](CC)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000643427979 1044535303 /nfs/dbraw/zinc/53/53/03/1044535303.db2.gz VVJHDNRRNOAVQD-KRWDZBQOSA-N 0 3 316.489 4.330 20 0 DIADHN CN(C)C[C@H](N[C@H]1CCCc2ccccc21)c1ccc(F)cc1 ZINC000348327533 1044539565 /nfs/dbraw/zinc/53/95/65/1044539565.db2.gz OJYWPZXEKYEATP-PMACEKPBSA-N 0 3 312.432 4.096 20 0 DIADHN CSc1ccccc1CN(C)Cc1cccc(-n2cccn2)c1 ZINC000348328514 1044540454 /nfs/dbraw/zinc/54/04/54/1044540454.db2.gz LDOSFBSMHCUXEK-UHFFFAOYSA-N 0 3 323.465 4.226 20 0 DIADHN CCC(CC)N(CC)Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000567080413 1044542604 /nfs/dbraw/zinc/54/26/04/1044542604.db2.gz LKOHVJXSENLPQL-UHFFFAOYSA-N 0 3 309.360 4.025 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccnc(N3CCCCC3)c2)c2ccccc21 ZINC000567154882 1044547487 /nfs/dbraw/zinc/54/74/87/1044547487.db2.gz BTWUNXHMWQWFEF-OXJNMPFZSA-N 0 3 321.468 4.410 20 0 DIADHN CSC(C)(C)CNCc1csc(-c2ccc(F)cc2)n1 ZINC000135381855 1044549044 /nfs/dbraw/zinc/54/90/44/1044549044.db2.gz QQPPDJZGRPKATD-UHFFFAOYSA-N 0 3 310.463 4.180 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3ccc(C(F)(F)F)cn3)C2)cc1 ZINC000567188001 1044549282 /nfs/dbraw/zinc/54/92/82/1044549282.db2.gz GBTFACNOUHKZNH-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N(C)C[C@H]1CC=CCC1 ZINC000567196902 1044549853 /nfs/dbraw/zinc/54/98/53/1044549853.db2.gz AXPSRCYONZDTAQ-STQMWFEESA-N 0 3 303.431 4.147 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1ccc(Br)cn1 ZINC000567208342 1044551715 /nfs/dbraw/zinc/55/17/15/1044551715.db2.gz OEEVSXGCJFDFFV-ONGXEEELSA-N 0 3 319.193 4.073 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@H](C)NC1(CF)CCC1 ZINC000567271830 1044553173 /nfs/dbraw/zinc/55/31/73/1044553173.db2.gz ZRXRYKOKLBURTR-AWEZNQCLSA-N 0 3 306.425 4.009 20 0 DIADHN CC[C@H](NCc1ccc(OCCOC)cc1)c1ccsc1 ZINC000295771508 1044556832 /nfs/dbraw/zinc/55/68/32/1044556832.db2.gz AJIOAOONMSCKCG-KRWDZBQOSA-N 0 3 305.443 4.014 20 0 DIADHN CC[C@@H](NCc1ccc(OCCOC)cc1)c1ccsc1 ZINC000295771510 1044557208 /nfs/dbraw/zinc/55/72/08/1044557208.db2.gz AJIOAOONMSCKCG-QGZVFWFLSA-N 0 3 305.443 4.014 20 0 DIADHN CC(F)(F)c1cccc(NC(=O)[C@H]2CCCN2C2CCCC2)c1 ZINC000444488136 1044562174 /nfs/dbraw/zinc/56/21/74/1044562174.db2.gz RIZOAMSPHSZIKT-MRXNPFEDSA-N 0 3 322.399 4.144 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2CCCc3c4cc(C)ccc4[nH]c32)cn1 ZINC000295830005 1044563007 /nfs/dbraw/zinc/56/30/07/1044563007.db2.gz CLRSLNGRHLYERW-LIRRHRJNSA-N 0 3 322.456 4.421 20 0 DIADHN C[C@@H]1CSC[C@@H]1N[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000295826852 1044563672 /nfs/dbraw/zinc/56/36/72/1044563672.db2.gz UZOGTPWKVIXAKS-WQAKAFBOSA-N 0 3 307.356 4.160 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C)c3ccccc23)ccn1 ZINC000567486928 1044568998 /nfs/dbraw/zinc/56/89/98/1044568998.db2.gz YJXDGYJALUGZHW-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cc(CNCc2ccc(Br)cc2)c(C)s1 ZINC000049685025 1044571053 /nfs/dbraw/zinc/57/10/53/1044571053.db2.gz XQDCRDGFOFGKSX-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2ccc3occc3c2)CC1 ZINC000348349144 1044572399 /nfs/dbraw/zinc/57/23/99/1044572399.db2.gz JINGNMVBTKVELX-UHFFFAOYSA-N 0 3 310.372 4.289 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccnc(N3CCCCC3)c2)cs1 ZINC000295895174 1044573263 /nfs/dbraw/zinc/57/32/63/1044573263.db2.gz IJXNMSUVYDMQJO-OAHLLOKOSA-N 0 3 315.486 4.293 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nc(-c2ccccc2F)no1 ZINC000444599789 1044576818 /nfs/dbraw/zinc/57/68/18/1044576818.db2.gz SDCGFPCLZYQYEO-CYBMUJFWSA-N 0 3 303.381 4.030 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2CCCc3c(Cl)cccc32)cn1 ZINC000296101299 1044587926 /nfs/dbraw/zinc/58/79/26/1044587926.db2.gz YUPAWMGMGHQNCW-SJKOYZFVSA-N 0 3 303.837 4.285 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1csc(Cl)n1 ZINC000296144945 1044594333 /nfs/dbraw/zinc/59/43/33/1044594333.db2.gz GMAZGJLCKPUFEA-WPRPVWTQSA-N 0 3 312.788 4.397 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nnc(-c2ccc(C)cc2)o1 ZINC000444664821 1044595151 /nfs/dbraw/zinc/59/51/51/1044595151.db2.gz NERYAIFNIKYCFP-NVXWUHKLSA-N 0 3 313.445 4.446 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)CC(=O)Nc1c(C)cccc1Cl ZINC000444664221 1044595329 /nfs/dbraw/zinc/59/53/29/1044595329.db2.gz QEPXOJKOCSECES-ZBFHGGJFSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1n[nH]c(CN[C@H](CCc2ccccc2)c2ccccc2)c1C ZINC000885928611 1044595274 /nfs/dbraw/zinc/59/52/74/1044595274.db2.gz CGKZCSDKLFZRFQ-HXUWFJFHSA-N 0 3 319.452 4.490 20 0 DIADHN Cc1[nH]nc(CN[C@@H](C)c2ccc(SC(C)C)cc2)c1C ZINC000885967323 1044597513 /nfs/dbraw/zinc/59/75/13/1044597513.db2.gz MBMDRGZEBGVRGG-AWEZNQCLSA-N 0 3 303.475 4.378 20 0 DIADHN CO[C@@H]1CCC[C@H](NCc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000886005484 1044598877 /nfs/dbraw/zinc/59/88/77/1044598877.db2.gz BZESJJBAPZCNFZ-FUHWJXTLSA-N 0 3 314.429 4.087 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1cccn1)c1ccsc1 ZINC000296207734 1044599234 /nfs/dbraw/zinc/59/92/34/1044599234.db2.gz ZGLIBLLTGWQEGE-GOSISDBHSA-N 0 3 311.454 4.234 20 0 DIADHN Cc1n[nH]c(CNC2(c3cccc(Cl)c3)CCCC2)c1C ZINC000886022525 1044599504 /nfs/dbraw/zinc/59/95/04/1044599504.db2.gz DYUDGTLOGJJREH-UHFFFAOYSA-N 0 3 303.837 4.239 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)NCc1n[nH]c(C)c1C ZINC000886005421 1044599960 /nfs/dbraw/zinc/59/99/60/1044599960.db2.gz ALSZGIIRYYPJKB-QGZVFWFLSA-N 0 3 315.461 4.302 20 0 DIADHN Cc1coc(CNCc2ccccc2CN(C)Cc2ccco2)c1 ZINC000885999813 1044600133 /nfs/dbraw/zinc/60/01/33/1044600133.db2.gz NUPMFBILOSQVLP-UHFFFAOYSA-N 0 3 324.424 4.103 20 0 DIADHN CCN(Cc1nccn1Cc1ccccc1)[C@H]1CCCC[C@H]1C ZINC000444679488 1044600550 /nfs/dbraw/zinc/60/05/50/1044600550.db2.gz VCQRXYYXRIUVRL-MJGOQNOKSA-N 0 3 311.473 4.332 20 0 DIADHN CO[C@H]1CCC[C@@H](NCc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000886005483 1044600557 /nfs/dbraw/zinc/60/05/57/1044600557.db2.gz BZESJJBAPZCNFZ-AEFFLSMTSA-N 0 3 314.429 4.087 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3c(O)cccc32)cc1F ZINC000568075588 1044600837 /nfs/dbraw/zinc/60/08/37/1044600837.db2.gz ONIFIWIPVYPEPH-YVEFUNNKSA-N 0 3 315.388 4.268 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000568070597 1044602197 /nfs/dbraw/zinc/60/21/97/1044602197.db2.gz WGKSRKUPEPFLHZ-SNVBAGLBSA-N 0 3 303.456 4.197 20 0 DIADHN CSc1ccc(OCCN2CC[C@H](CC(F)(F)F)C2)cc1 ZINC000444702537 1044608900 /nfs/dbraw/zinc/60/89/00/1044608900.db2.gz RPXOQUXWOPNCEA-GFCCVEGCSA-N 0 3 319.392 4.062 20 0 DIADHN Cc1cc(CN2CCC[C@@H](CCCc3ccccc3)C2)ncn1 ZINC000428375607 1044613525 /nfs/dbraw/zinc/61/35/25/1044613525.db2.gz PDVQPNGTOSQSNV-LJQANCHMSA-N 0 3 309.457 4.020 20 0 DIADHN COc1ccc(CN(Cc2c(C)noc2C)[C@@H](C)C2CC2)cc1 ZINC000053097913 1044615426 /nfs/dbraw/zinc/61/54/26/1044615426.db2.gz LQHMZIJLAFYZKA-AWEZNQCLSA-N 0 3 314.429 4.101 20 0 DIADHN Cc1n[nH]c(CN[C@@](C)(Cc2ccccc2)c2ccccc2)c1C ZINC000886146798 1044619652 /nfs/dbraw/zinc/61/96/52/1044619652.db2.gz CAPYMBUONOQCAK-NRFANRHFSA-N 0 3 319.452 4.274 20 0 DIADHN C[C@H]1C[C@H](N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000296508055 1044622955 /nfs/dbraw/zinc/62/29/55/1044622955.db2.gz QKOXXAAGHWLFSW-MZGVZZPPSA-N 0 3 301.821 4.078 20 0 DIADHN FC[C@H](N[C@@H]1CCOC2(CCCCC2)C1)c1ccc(F)cc1 ZINC000886178643 1044623906 /nfs/dbraw/zinc/62/39/06/1044623906.db2.gz AZSVIUWQPJDZIL-SJORKVTESA-N 0 3 309.400 4.308 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H]1CC[C@@H](CC)CC1)c1ccco1 ZINC000568431374 1044623968 /nfs/dbraw/zinc/62/39/68/1044623968.db2.gz KYTMGBBTUOTCSI-LUKYLMHMSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000296563071 1044627469 /nfs/dbraw/zinc/62/74/69/1044627469.db2.gz SKEHGQQPJIZXPH-MEDUHNTESA-N 0 3 306.331 4.124 20 0 DIADHN CSCc1cnc(CNCC2(SC)CCCCC2)s1 ZINC000886322044 1044631229 /nfs/dbraw/zinc/63/12/29/1044631229.db2.gz JXPQNHCQEJMFDU-UHFFFAOYSA-N 0 3 316.561 4.162 20 0 DIADHN CC[C@@H](NCC(=O)N(C(C)C)C(C)C)c1cccc(Cl)c1 ZINC000195673503 1044632964 /nfs/dbraw/zinc/63/29/64/1044632964.db2.gz ANAKKFMSKYUCOI-MRXNPFEDSA-N 0 3 310.869 4.026 20 0 DIADHN Cc1ccc([C@H]2[C@H](C)CCCN2CCOCC(F)(F)F)cc1 ZINC000523452721 1044636468 /nfs/dbraw/zinc/63/64/68/1044636468.db2.gz YRMLGMIUFVEGNR-GDBMZVCRSA-N 0 3 315.379 4.347 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](CC)c1ccccc1 ZINC000066109862 1044639948 /nfs/dbraw/zinc/63/99/48/1044639948.db2.gz CJVHPIIZAOZODU-GOSISDBHSA-N 0 3 310.441 4.237 20 0 DIADHN CC(C)(C)c1ncc(CN[C@H](c2cccs2)C2CC2)cn1 ZINC000568657832 1044639961 /nfs/dbraw/zinc/63/99/61/1044639961.db2.gz HAUIIKFIQXDHLK-HNNXBMFYSA-N 0 3 301.459 4.077 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)Oc2ccccc21)c1ccc2c(c1)COC2 ZINC000296656957 1044640694 /nfs/dbraw/zinc/64/06/94/1044640694.db2.gz ZLBBLPLKYJBTBF-BIENJYKASA-N 0 3 309.409 4.280 20 0 DIADHN O=C1CCC(CN2CCC(=Cc3ccccc3F)CC2)CC1 ZINC000296851626 1044648636 /nfs/dbraw/zinc/64/86/36/1044648636.db2.gz UJSVAWPKOBDXPZ-UHFFFAOYSA-N 0 3 301.405 4.064 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@H](OCC4CC4)C3)cc2c1C ZINC000428382200 1044650191 /nfs/dbraw/zinc/65/01/91/1044650191.db2.gz IWFAUWPPVFJYGG-SFHVURJKSA-N 0 3 312.457 4.176 20 0 DIADHN COC1CCC(N(C)Cc2ccc(Cl)cc2Cl)CC1 ZINC000523789732 1044684976 /nfs/dbraw/zinc/68/49/76/1044684976.db2.gz BEMFWBJXCSGHSK-UHFFFAOYSA-N 0 3 302.245 4.383 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1ccccc1C(C)C ZINC000523558024 1044659295 /nfs/dbraw/zinc/65/92/95/1044659295.db2.gz MFTFTNPTQWNVSP-INIZCTEOSA-N 0 3 302.462 4.403 20 0 DIADHN COC(=O)c1cncc([C@H](C)N[C@@H](CC2CC2)c2ccccc2)c1 ZINC000886574246 1044662009 /nfs/dbraw/zinc/66/20/09/1044662009.db2.gz WTBLGSMOOPUCBF-LIRRHRJNSA-N 0 3 324.424 4.060 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(C)(C)C)cc1)c1cnn(C)c1 ZINC000886568429 1044662198 /nfs/dbraw/zinc/66/21/98/1044662198.db2.gz JZMVYWDJZXMMNL-SFHVURJKSA-N 0 3 315.461 4.084 20 0 DIADHN COC(=O)c1cncc([C@@H](C)N[C@H](CC2CC2)c2ccccc2)c1 ZINC000886574243 1044663163 /nfs/dbraw/zinc/66/31/63/1044663163.db2.gz WTBLGSMOOPUCBF-AUUYWEPGSA-N 0 3 324.424 4.060 20 0 DIADHN FC(F)(F)[C@H](CN[C@H]1CCc2cccnc21)c1ccccc1 ZINC000886594170 1044665683 /nfs/dbraw/zinc/66/56/83/1044665683.db2.gz HBSFKLOOZJTSOA-CABCVRRESA-N 0 3 306.331 4.005 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H]1CCc2cccnc21 ZINC000886590410 1044667079 /nfs/dbraw/zinc/66/70/79/1044667079.db2.gz UWJUYAWEPMHICQ-PUECVXPYSA-N 0 3 316.832 4.088 20 0 DIADHN CC[C@@H]1CCCN1Cc1csc(-c2ccc(OC)cc2)n1 ZINC000523609367 1044667346 /nfs/dbraw/zinc/66/73/46/1044667346.db2.gz KTGBIWGWFSOXPT-OAHLLOKOSA-N 0 3 302.443 4.193 20 0 DIADHN Cc1ccncc1CN[C@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC000886621009 1044669817 /nfs/dbraw/zinc/66/98/17/1044669817.db2.gz YPOKRJGAWQQASK-SFHVURJKSA-N 0 3 309.388 4.178 20 0 DIADHN CCCNC(=O)[C@H](C)N[C@@H](CC1CCCCC1)c1ccccc1 ZINC000523624506 1044671106 /nfs/dbraw/zinc/67/11/06/1044671106.db2.gz MREWOFHUORYMNZ-LPHOPBHVSA-N 0 3 316.489 4.202 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4cc(F)ccc4[nH]c32)ccn1 ZINC000886617049 1044671328 /nfs/dbraw/zinc/67/13/28/1044671328.db2.gz CEPNZNRXFUTGIZ-SFHVURJKSA-N 0 3 309.388 4.178 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC[C@@H](c2ncccn2)C1 ZINC000886678716 1044676600 /nfs/dbraw/zinc/67/66/00/1044676600.db2.gz JLHJHOIDEFKDPE-UKRRQHHQSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(Cl)cc3)CC2)[nH]c1C ZINC000886661414 1044677549 /nfs/dbraw/zinc/67/75/49/1044677549.db2.gz URZUTDANVNPTQJ-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1cc(C2CC2)no1 ZINC000886685025 1044680212 /nfs/dbraw/zinc/68/02/12/1044680212.db2.gz YPHAFVNOAOUPMG-SNVBAGLBSA-N 0 3 308.784 4.065 20 0 DIADHN C[C@@H]1C[C@H](NC2(c3ccc(Cl)cc3Cl)CC2)CCO1 ZINC001168083075 1044681618 /nfs/dbraw/zinc/68/16/18/1044681618.db2.gz HCNDDDKMQCFMEO-ZYHUDNBSSA-N 0 3 300.229 4.140 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000569259292 1044687075 /nfs/dbraw/zinc/68/70/75/1044687075.db2.gz YEKIWVGOLQRFAX-SJKOYZFVSA-N 0 3 301.821 4.240 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCC[C@@H]3CCC[C@@H]32)o1 ZINC000075769215 1044690545 /nfs/dbraw/zinc/69/05/45/1044690545.db2.gz BJQXYUVACAADKS-WFASDCNBSA-N 0 3 317.820 4.155 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1Cl)N1CC[C@H](COC)C1 ZINC000445257672 1044694962 /nfs/dbraw/zinc/69/49/62/1044694962.db2.gz KNXLKSKOIXEUAF-NHYWBVRUSA-N 0 3 302.245 4.413 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H](COC)C1 ZINC000445257673 1044695411 /nfs/dbraw/zinc/69/54/11/1044695411.db2.gz KNXLKSKOIXEUAF-XHDPSFHLSA-N 0 3 302.245 4.413 20 0 DIADHN Cc1nc([C@H](C)NC[C@@]2(C)CCOC3(CCC3)C2)c(C)s1 ZINC000886774612 1044697517 /nfs/dbraw/zinc/69/75/17/1044697517.db2.gz RBDMEEBNVAFZHD-LRDDRELGSA-N 0 3 308.491 4.150 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(=O)[nH]n1)c1cccc2ccccc21 ZINC000886790355 1044699641 /nfs/dbraw/zinc/69/96/41/1044699641.db2.gz ALKRMMMGLIUCJR-CXAGYDPISA-N 0 3 307.397 4.137 20 0 DIADHN Cc1ccccc1[C@@H](C)CC(=O)Nc1ccccc1CN(C)C ZINC000569644042 1044701253 /nfs/dbraw/zinc/70/12/53/1044701253.db2.gz UNTYARQDLPRLMG-INIZCTEOSA-N 0 3 310.441 4.189 20 0 DIADHN COc1cncc(CN[C@@H](C)c2ccc(F)c3ccccc32)c1 ZINC000569646995 1044704327 /nfs/dbraw/zinc/70/43/27/1044704327.db2.gz HXHLXKMZSCMKAE-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN CC(C)(NCc1cc(Cl)c2c(c1)OCCO2)c1cccs1 ZINC000523940013 1044706902 /nfs/dbraw/zinc/70/69/02/1044706902.db2.gz QCXDEJSXZOQCSL-UHFFFAOYSA-N 0 3 323.845 4.198 20 0 DIADHN C[C@H](c1nc(-c2cccc(Cl)c2F)no1)N1[C@H](C)CC[C@@H]1C ZINC000445386221 1044707430 /nfs/dbraw/zinc/70/74/30/1044707430.db2.gz SLWKOWRKPDYSJG-OUAUKWLOSA-N 0 3 323.799 4.463 20 0 DIADHN C[C@@H](c1nc(-c2cccc(Cl)c2F)no1)N1[C@H](C)CC[C@H]1C ZINC000445386220 1044707890 /nfs/dbraw/zinc/70/78/90/1044707890.db2.gz SLWKOWRKPDYSJG-MXWKQRLJSA-N 0 3 323.799 4.463 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2CCOC3(CCCCC3)C2)s1 ZINC000366074310 1044711204 /nfs/dbraw/zinc/71/12/04/1044711204.db2.gz RHZQOMHWMJIRTE-CQSZACIVSA-N 0 3 322.518 4.412 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2CCOC3(CCCC3)C2)s1 ZINC000366073088 1044711404 /nfs/dbraw/zinc/71/14/04/1044711404.db2.gz MYBBZRBQQKCUAW-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CO[C@@H]1CCCN(Cc2nc(-c3ccc(C)cc3)cs2)CC1 ZINC000367212717 1044711386 /nfs/dbraw/zinc/71/13/86/1044711386.db2.gz SDORCFWYUMUSGR-MRXNPFEDSA-N 0 3 316.470 4.119 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccc(F)c1 ZINC000569837863 1044715324 /nfs/dbraw/zinc/71/53/24/1044715324.db2.gz HNGQDSQDEFFLPA-OALUTQOASA-N 0 3 318.411 4.308 20 0 DIADHN C[C@H](CC1CCC1)N[C@@H](C)c1ncc(Br)s1 ZINC000631738260 1044717405 /nfs/dbraw/zinc/71/74/05/1044717405.db2.gz IXGSIJITRKOWIO-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccncc1CN(C)CCCc1cc(-c2ccccc2)no1 ZINC000366581026 1044725072 /nfs/dbraw/zinc/72/50/72/1044725072.db2.gz WERMATCGKWOCGP-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1nccn1C ZINC000367091903 1044732973 /nfs/dbraw/zinc/73/29/73/1044732973.db2.gz CCVLFMJNMZJRHX-WHOFXGATSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1nccn1C ZINC000367091902 1044733022 /nfs/dbraw/zinc/73/30/22/1044733022.db2.gz CCVLFMJNMZJRHX-UXIGCNINSA-N 0 3 323.362 4.036 20 0 DIADHN c1sc(C2CCCC2)nc1CN[C@@H]1CCCC12CCOCC2 ZINC000367109266 1044733148 /nfs/dbraw/zinc/73/31/48/1044733148.db2.gz SYWUHTOVQJNPON-MRXNPFEDSA-N 0 3 320.502 4.240 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000089552927 1044741709 /nfs/dbraw/zinc/74/17/09/1044741709.db2.gz KYCKDMZFLWZWSG-HOTGVXAUSA-N 0 3 308.425 4.225 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(OCC(F)F)c2)cc1 ZINC000089543650 1044742344 /nfs/dbraw/zinc/74/23/44/1044742344.db2.gz VLVPIBVVRCHGED-CYBMUJFWSA-N 0 3 321.367 4.190 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2cc(C)cc(C)c2)c2ccccc21 ZINC000367572103 1044748446 /nfs/dbraw/zinc/74/84/46/1044748446.db2.gz ZHVVYLYQAXDADS-JXFKEZNVSA-N 0 3 322.452 4.452 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2ccsc2)c1 ZINC000090719156 1044748597 /nfs/dbraw/zinc/74/85/97/1044748597.db2.gz HWOXDIXHOXUAPR-CQSZACIVSA-N 0 3 305.443 4.014 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(Cl)cc1)c1ccccc1 ZINC000570318972 1044749154 /nfs/dbraw/zinc/74/91/54/1044749154.db2.gz LCTXCLYBTDUZHL-KRWDZBQOSA-N 0 3 303.833 4.189 20 0 DIADHN c1ccc2c(c1)cccc2-c1noc(C2CCN(C3CC3)CC2)n1 ZINC000570363664 1044753999 /nfs/dbraw/zinc/75/39/99/1044753999.db2.gz OTHDDRWWFJRCLX-UHFFFAOYSA-N 0 3 319.408 4.232 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC000368158659 1044768789 /nfs/dbraw/zinc/76/87/89/1044768789.db2.gz INOUJRYVLNBNIN-RTBURBONSA-N 0 3 309.457 4.427 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C/C=C\c1ccc(F)c(F)c1 ZINC000570603777 1044770188 /nfs/dbraw/zinc/77/01/88/1044770188.db2.gz HJMRGVOBTXXUQE-ZFDPJTLLSA-N 0 3 303.352 4.377 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCCc2nc(C)sc21 ZINC000122661813 1044775772 /nfs/dbraw/zinc/77/57/72/1044775772.db2.gz VMQMFENMNRPUQS-MRXNPFEDSA-N 0 3 316.470 4.155 20 0 DIADHN CCOC1CC(CN2CCCC[C@@H]2c2nc3ccccc3o2)C1 ZINC000368506434 1044780830 /nfs/dbraw/zinc/78/08/30/1044780830.db2.gz DCPYALWSWMBJBU-VMBOVVBDSA-N 0 3 314.429 4.170 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000123245823 1044782329 /nfs/dbraw/zinc/78/23/29/1044782329.db2.gz RQZKDYHMAZIYLK-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000524321520 1044799691 /nfs/dbraw/zinc/79/96/91/1044799691.db2.gz UTDSNNASAOOTDT-CVEARBPZSA-N 0 3 322.518 4.074 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1 ZINC000125531603 1044803765 /nfs/dbraw/zinc/80/37/65/1044803765.db2.gz CRXWVMDOSGWUII-MRXNPFEDSA-N 0 3 304.340 4.020 20 0 DIADHN CN(CCSc1ccccc1)C[C@H]1OCCc2ccccc21 ZINC000571322365 1044807371 /nfs/dbraw/zinc/80/73/71/1044807371.db2.gz AMXORYYPKKRJDJ-LJQANCHMSA-N 0 3 313.466 4.025 20 0 DIADHN CC(C)n1ccc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)n1 ZINC000571347028 1044807430 /nfs/dbraw/zinc/80/74/30/1044807430.db2.gz CFLCFZJXCPHYQW-FPMFFAJLSA-N 0 3 323.893 4.461 20 0 DIADHN CCc1cccc(C)c1NC(=O)CNC(C)(C)c1ccccc1 ZINC000263650779 1044813474 /nfs/dbraw/zinc/81/34/74/1044813474.db2.gz BQPWBDMXRSNLNA-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cnccn1 ZINC000369704814 1044813659 /nfs/dbraw/zinc/81/36/59/1044813659.db2.gz RUGXPQZGIVCFOR-WHOFXGATSA-N 0 3 321.346 4.092 20 0 DIADHN COc1cncc(CNC(C)(C)c2ccc(C(C)(C)C)cc2)c1 ZINC000571597075 1044820393 /nfs/dbraw/zinc/82/03/93/1044820393.db2.gz CZTPCCJUBWEMOW-UHFFFAOYSA-N 0 3 312.457 4.413 20 0 DIADHN Cc1oncc1CN1CCc2ccccc2[C@@H]1Cc1ccccc1 ZINC000445808302 1044823989 /nfs/dbraw/zinc/82/39/89/1044823989.db2.gz RKWKWGCPPZOAOG-NRFANRHFSA-N 0 3 318.420 4.325 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN[C@@H](c1ccccc1)C1CC1 ZINC000445807007 1044824152 /nfs/dbraw/zinc/82/41/52/1044824152.db2.gz CWVFRWWXHWHIRF-NRFANRHFSA-N 0 3 317.436 4.172 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nnc(-c3ccccc3)o2)C1 ZINC000263751185 1044824663 /nfs/dbraw/zinc/82/46/63/1044824663.db2.gz SOLUUAYLJHJJKC-KRWDZBQOSA-N 0 3 319.408 4.035 20 0 DIADHN CCC[C@H](N[C@H]1CCN(C(C)=O)c2ccccc21)c1cccnc1 ZINC000370372499 1044836659 /nfs/dbraw/zinc/83/66/59/1044836659.db2.gz AFSGSGCDJFARBX-OALUTQOASA-N 0 3 323.440 4.010 20 0 DIADHN CCC[C@@H](N[C@H]1CCN(C(C)=O)c2ccccc21)c1cccnc1 ZINC000370372498 1044837015 /nfs/dbraw/zinc/83/70/15/1044837015.db2.gz AFSGSGCDJFARBX-MOPGFXCFSA-N 0 3 323.440 4.010 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000572345484 1044853792 /nfs/dbraw/zinc/85/37/92/1044853792.db2.gz MWBAKAHTKDOJEE-MRXNPFEDSA-N 0 3 305.853 4.259 20 0 DIADHN CC(C)Oc1cccc(CN2CC=C(c3ccc(O)cc3)CC2)c1 ZINC000524539914 1044863048 /nfs/dbraw/zinc/86/30/48/1044863048.db2.gz FTSVYKIHEIRIJZ-UHFFFAOYSA-N 0 3 323.436 4.469 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@H]2c2ccc(F)cc2)s1 ZINC000371288693 1044865142 /nfs/dbraw/zinc/86/51/42/1044865142.db2.gz DHIJZZUGQFKCPR-GJZGRUSLSA-N 0 3 319.449 4.227 20 0 DIADHN C[C@H](c1nc(-c2cccnc2)no1)N1CCC[C@@]2(CC=CCC2)C1 ZINC000114495009 1044865437 /nfs/dbraw/zinc/86/54/37/1044865437.db2.gz BWPZBDIWUGTOFQ-BEFAXECRSA-N 0 3 324.428 4.015 20 0 DIADHN Cc1nc2ccc(Nc3ccc4ncnc(Cl)c4c3)cc2[nH]1 ZINC001213076145 1044869645 /nfs/dbraw/zinc/86/96/45/1044869645.db2.gz HRNHXVCDDIWNBR-UHFFFAOYSA-N 0 3 309.760 4.212 20 0 DIADHN Cc1nc2ccc(Nc3cccc(C(F)(F)F)c3O)cc2[nH]1 ZINC001213076181 1044875244 /nfs/dbraw/zinc/87/52/44/1044875244.db2.gz JTJVQOLZPXTUQA-UHFFFAOYSA-N 0 3 307.275 4.339 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cn3c(cccc3C)n2)cc1 ZINC000524594693 1044881836 /nfs/dbraw/zinc/88/18/36/1044881836.db2.gz UCMJZUSWBIWZRZ-QGZVFWFLSA-N 0 3 307.441 4.014 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@@H](O)c2ccccc2Cl)s1 ZINC000264467341 1044882012 /nfs/dbraw/zinc/88/20/12/1044882012.db2.gz WIADJMNECQLORK-IAQYHMDHSA-N 0 3 324.877 4.140 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1cncnc1C)c1ccc(OC)cc1 ZINC000572984589 1044888551 /nfs/dbraw/zinc/88/85/51/1044888551.db2.gz IHVYNHBUPAJDRM-KXBFYZLASA-N 0 3 313.445 4.376 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2ccc(Cl)cn2)C1 ZINC000572981429 1044888877 /nfs/dbraw/zinc/88/88/77/1044888877.db2.gz JAMVZEYITXTMKC-LSDHHAIUSA-N 0 3 300.833 4.421 20 0 DIADHN Clc1cccc(CNC[C@@H]2COc3ccccc32)c1Cl ZINC000573132308 1044892014 /nfs/dbraw/zinc/89/20/14/1044892014.db2.gz RFWVEGNTUPBPPW-GFCCVEGCSA-N 0 3 308.208 4.259 20 0 DIADHN COc1ccccc1CCN(C)[C@@H](C)c1ccc(F)cc1F ZINC000524665834 1044900560 /nfs/dbraw/zinc/90/05/60/1044900560.db2.gz DLYSGILLWNJGFZ-ZDUSSCGKSA-N 0 3 305.368 4.209 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1cc(C)oc1C ZINC000573289335 1044902348 /nfs/dbraw/zinc/90/23/48/1044902348.db2.gz BDUWLKPWHFAPCR-VFVRVIDISA-N 0 3 305.393 4.462 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000573289332 1044903099 /nfs/dbraw/zinc/90/30/99/1044903099.db2.gz BDUWLKPWHFAPCR-JCGVRSQUSA-N 0 3 305.393 4.462 20 0 DIADHN CC(C)Oc1ccccc1CN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000573350467 1044904474 /nfs/dbraw/zinc/90/44/74/1044904474.db2.gz ZYWMNIYGMJIWTQ-VQIMIIECSA-N 0 3 310.441 4.452 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000573468852 1044908184 /nfs/dbraw/zinc/90/81/84/1044908184.db2.gz ALQFCRIZZNGQAZ-WMLDXEAASA-N 0 3 319.470 4.228 20 0 DIADHN Cc1cc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)ccc1F ZINC000573462266 1044908583 /nfs/dbraw/zinc/90/85/83/1044908583.db2.gz ZOIXWZWVVTZWEH-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1cccc([C@H](C)N2CCC[C@@H](OCC(F)(F)F)C2)c1 ZINC000524755821 1044915769 /nfs/dbraw/zinc/91/57/69/1044915769.db2.gz GYHBXHPFZOBVOL-DZGCQCFKSA-N 0 3 301.352 4.099 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCC[C@@H]1C(C)(C)C ZINC000524756345 1044918017 /nfs/dbraw/zinc/91/80/17/1044918017.db2.gz HEUIAIQFPACLBS-QGZVFWFLSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@H](C)[C@H]1Oc2ccccc2[C@H]1C ZINC000428435658 1044919786 /nfs/dbraw/zinc/91/97/86/1044919786.db2.gz FTEXYFUDUDLBKH-DFHBCGBQSA-N 0 3 314.429 4.149 20 0 DIADHN C/C(=C/c1ccc(C)cc1)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000778856908 1044922401 /nfs/dbraw/zinc/92/24/01/1044922401.db2.gz AMVQYOTWCAQBPF-ATVHPVEESA-N 0 3 322.452 4.407 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000524791907 1044922595 /nfs/dbraw/zinc/92/25/95/1044922595.db2.gz TUPWECYFKSIUOJ-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN Cc1cccc(CN2CCC[C@H](c3nc4ccccc4s3)C2)n1 ZINC000573762546 1044922798 /nfs/dbraw/zinc/92/27/98/1044922798.db2.gz AWLIZWNUXFWUDE-HNNXBMFYSA-N 0 3 323.465 4.379 20 0 DIADHN Clc1cnc(CN(Cc2ccccc2)C2CCCCC2)cn1 ZINC000799116282 1044926094 /nfs/dbraw/zinc/92/60/94/1044926094.db2.gz HJJTVAFKDWRLKX-UHFFFAOYSA-N 0 3 315.848 4.465 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1ccc(C)cc1C ZINC000524812211 1044927203 /nfs/dbraw/zinc/92/72/03/1044927203.db2.gz ZGBIRGRIZZZZJH-CRAIPNDOSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)c1ccc(F)c(F)c1)CCC2 ZINC000133291802 1044933551 /nfs/dbraw/zinc/93/35/51/1044933551.db2.gz WBOKONTXMZQPQZ-LKFCYVNXSA-N 0 3 308.397 4.458 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000574240346 1044945636 /nfs/dbraw/zinc/94/56/36/1044945636.db2.gz NOIVUDDMKXANKT-GOSISDBHSA-N 0 3 316.832 4.462 20 0 DIADHN CC[N@H+](Cc1ncccc1[O-])[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000574556531 1044960006 /nfs/dbraw/zinc/96/00/06/1044960006.db2.gz AWYOJTIFXQEDSU-GFCCVEGCSA-N 0 3 324.346 4.389 20 0 DIADHN CC[N@@H+](Cc1ncccc1[O-])[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000574556531 1044960021 /nfs/dbraw/zinc/96/00/21/1044960021.db2.gz AWYOJTIFXQEDSU-GFCCVEGCSA-N 0 3 324.346 4.389 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cnc2ccccc2c1 ZINC000337879167 1044961202 /nfs/dbraw/zinc/96/12/02/1044961202.db2.gz WUQHAMGXIIMIPS-LJQANCHMSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1cc(CNCc2ccc(-c3ccc(C)cc3)s2)n(C)n1 ZINC000574710256 1044966849 /nfs/dbraw/zinc/96/68/49/1044966849.db2.gz WYQRVMSBOBVRPL-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)CCc2ccc(OC(C)C)cc2)no1 ZINC000574745998 1044969307 /nfs/dbraw/zinc/96/93/07/1044969307.db2.gz DCNZHECDTYLJJO-GDBMZVCRSA-N 0 3 316.445 4.442 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000574738605 1044969340 /nfs/dbraw/zinc/96/93/40/1044969340.db2.gz TURRSWHMOYRFHP-FQLMCAECSA-N 0 3 316.470 4.417 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N(C)CCC1CC1 ZINC000135307353 1044976909 /nfs/dbraw/zinc/97/69/09/1044976909.db2.gz QGMUANYAKRUDDO-OAHLLOKOSA-N 0 3 302.462 4.177 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC(OC3CCCC3)CC2)c1 ZINC000411213252 1044985849 /nfs/dbraw/zinc/98/58/49/1044985849.db2.gz WUEREAHNARUXKD-OALUTQOASA-N 0 3 303.421 4.105 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ncc(-c3ccccc3F)o2)C1 ZINC000525119788 1044988554 /nfs/dbraw/zinc/98/85/54/1044988554.db2.gz OJCFMENOOWDQFZ-KGLIPLIRSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C)[C@@H]1C)c1cccc2ccccc21 ZINC000525120727 1044989700 /nfs/dbraw/zinc/98/97/00/1044989700.db2.gz QLRGZPDPAVYYEZ-ULQDDVLXSA-N 0 3 324.468 4.137 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CCC3(C2)CCCCC3)c1C ZINC000525122908 1044990081 /nfs/dbraw/zinc/99/00/81/1044990081.db2.gz QFMSREGQTOFAMR-QGZVFWFLSA-N 0 3 314.473 4.287 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2ncc(-c3ccccc3F)o2)C1 ZINC000525123793 1044993442 /nfs/dbraw/zinc/99/34/42/1044993442.db2.gz ANOAEVPJRWYKPS-KGLIPLIRSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1cc(-c2ccco2)on1 ZINC000525126786 1044993579 /nfs/dbraw/zinc/99/35/79/1044993579.db2.gz OXXFGJYZICOICN-QGZVFWFLSA-N 0 3 310.397 4.388 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](C(C)C)c3ccccc32)cc1O ZINC000575310698 1044994499 /nfs/dbraw/zinc/99/44/99/1044994499.db2.gz LWVAAMJLQYOUGI-MSOLQXFVSA-N 0 3 311.425 4.375 20 0 DIADHN Cc1ccccc1CN[C@@H](C)c1cncc(Br)c1 ZINC000576053067 1045030355 /nfs/dbraw/zinc/03/03/55/1045030355.db2.gz APJYMTVFBHXXND-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C2CCC2)cs1 ZINC000411361961 1045000712 /nfs/dbraw/zinc/00/07/12/1045000712.db2.gz IBCQTKRPPHRFEI-KRWDZBQOSA-N 0 3 315.438 4.166 20 0 DIADHN c1cc(CN[C@@H](CCc2ccccc2)Cc2ccccc2)no1 ZINC000158342380 1045003566 /nfs/dbraw/zinc/00/35/66/1045003566.db2.gz CKWVMMRDOZEFMO-IBGZPJMESA-N 0 3 306.409 4.008 20 0 DIADHN Cc1ccc([C@H]2CCCN2CC[S@@](=O)c2ccccc2)s1 ZINC000114734429 1045004570 /nfs/dbraw/zinc/00/45/70/1045004570.db2.gz SLTUYRFTIKUNLC-IERDGZPVSA-N 0 3 319.495 4.001 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1c2ccc(F)cc2O[C@@H]1C ZINC000575772816 1045005573 /nfs/dbraw/zinc/00/55/73/1045005573.db2.gz HWARXFGARXPYDQ-ATNYCFDYSA-N 0 3 315.388 4.014 20 0 DIADHN COc1ccc(CN2CCC[C@@H](c3ccncc3)C2)cc1Cl ZINC000575787337 1045008099 /nfs/dbraw/zinc/00/80/99/1045008099.db2.gz VAHUSUFDYXNNIY-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN CC(C)(C)OC(=O)CCN[C@H](CC(F)(F)F)c1ccccc1 ZINC000411455448 1045008721 /nfs/dbraw/zinc/00/87/21/1045008721.db2.gz LSRKNDIUTILTGX-CYBMUJFWSA-N 0 3 317.351 4.002 20 0 DIADHN CC(C)C[C@H](NCc1ccnc(N)c1)c1ccc(Cl)cc1 ZINC000411471283 1045011320 /nfs/dbraw/zinc/01/13/20/1045011320.db2.gz KRDDMNGYLDYKDB-INIZCTEOSA-N 0 3 303.837 4.194 20 0 DIADHN CC[C@@H](NCCOc1cccc(Cl)c1)c1c(C)noc1C ZINC000411518820 1045015694 /nfs/dbraw/zinc/01/56/94/1045015694.db2.gz QJGPXDIMQLMYCE-OAHLLOKOSA-N 0 3 308.809 4.065 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@H]1CNCc2ccccc21 ZINC000575923560 1045018782 /nfs/dbraw/zinc/01/87/82/1045018782.db2.gz WSPJTFFDXTWNPC-IBGZPJMESA-N 0 3 322.452 4.101 20 0 DIADHN FC(F)(F)CCN(CCc1cncs1)Cc1ccccc1 ZINC000411623296 1045020606 /nfs/dbraw/zinc/02/06/06/1045020606.db2.gz HIHLWACBLITKIK-UHFFFAOYSA-N 0 3 314.376 4.140 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)C1CCC(C)(C)CC1 ZINC000446734739 1045022082 /nfs/dbraw/zinc/02/20/82/1045022082.db2.gz MGVWIRITZBSRNM-UHFFFAOYSA-N 0 3 316.489 4.397 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CC[C@H](c2ccco2)C1 ZINC000374009193 1045024862 /nfs/dbraw/zinc/02/48/62/1045024862.db2.gz WCZVHDNPYQKNQX-INIZCTEOSA-N 0 3 308.381 4.233 20 0 DIADHN Cc1ccnc([C@@H](C)NCCSc2ccc(Cl)cc2)c1 ZINC000575998636 1045025446 /nfs/dbraw/zinc/02/54/46/1045025446.db2.gz SJPFFPJKKXPVBU-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](CC)C1 ZINC000446724380 1045025875 /nfs/dbraw/zinc/02/58/75/1045025875.db2.gz CQIUUQLPVIJQSY-OWCLPIDISA-N 0 3 302.462 4.259 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CC[C@H](C(C)C)C1 ZINC000446726650 1045026875 /nfs/dbraw/zinc/02/68/75/1045026875.db2.gz PTXXVLHGMQZRSH-YJBOKZPZSA-N 0 3 316.489 4.116 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(c2ccncn2)CC1 ZINC000411822670 1045029889 /nfs/dbraw/zinc/02/98/89/1045029889.db2.gz BUIOQVFFRLZHAV-SFHVURJKSA-N 0 3 315.848 4.461 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2cccc(-n3ccnc3)c2)cn1 ZINC000151715079 1045030225 /nfs/dbraw/zinc/03/02/25/1045030225.db2.gz QCWYCIBZOIUOQU-JKSUJKDBSA-N 0 3 323.444 4.062 20 0 DIADHN COC[C@H](NCc1nc2cc(Cl)ccc2s1)C1CCCC1 ZINC000446755588 1045030330 /nfs/dbraw/zinc/03/03/30/1045030330.db2.gz WXXDTCOTIJRVGH-AWEZNQCLSA-N 0 3 324.877 4.245 20 0 DIADHN CCC[C@H](NCc1nc2ccc(Cl)cc2c(=O)[nH]1)C(C)(C)C ZINC000411799594 1045031346 /nfs/dbraw/zinc/03/13/46/1045031346.db2.gz MLZSPGFJSZSEEU-AWEZNQCLSA-N 0 3 321.852 4.293 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCC[C@H]1C(C)(C)C ZINC000525274777 1045031677 /nfs/dbraw/zinc/03/16/77/1045031677.db2.gz GSBHWHGXUNRCIS-KRWDZBQOSA-N 0 3 316.489 4.260 20 0 DIADHN c1c(CN2CCSC3(CCC3)CC2)onc1-c1ccccc1 ZINC000411869970 1045032783 /nfs/dbraw/zinc/03/27/83/1045032783.db2.gz NWHKNTPXLKTYJM-UHFFFAOYSA-N 0 3 314.454 4.203 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(C)c1C ZINC000525328251 1045038389 /nfs/dbraw/zinc/03/83/89/1045038389.db2.gz HQUHIZXVIFWCAQ-KSSFIOAISA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccsc2)no1 ZINC000525328819 1045038493 /nfs/dbraw/zinc/03/84/93/1045038493.db2.gz NKVHGCKUNSZLTF-OCCSQVGLSA-N 0 3 305.447 4.199 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1C[C@@H](O)C[C@H]1c1ccccc1 ZINC000411924949 1045038629 /nfs/dbraw/zinc/03/86/29/1045038629.db2.gz MUWNJGUKXDQPBX-RXVVDRJESA-N 0 3 324.468 4.075 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccsc2)no1 ZINC000525328818 1045039047 /nfs/dbraw/zinc/03/90/47/1045039047.db2.gz NKVHGCKUNSZLTF-JSGCOSHPSA-N 0 3 305.447 4.199 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1scnc1C ZINC000576147724 1045039301 /nfs/dbraw/zinc/03/93/01/1045039301.db2.gz JRBJEQHXVUIQFN-XJKSGUPXSA-N 0 3 316.470 4.433 20 0 DIADHN CSC(C)(C)CN[C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000925186887 1045039852 /nfs/dbraw/zinc/03/98/52/1045039852.db2.gz IOEDJZBOUXIYFG-KRWDZBQOSA-N 0 3 300.471 4.211 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc([S@@](C)=O)cc1 ZINC000152453379 1045040976 /nfs/dbraw/zinc/04/09/76/1045040976.db2.gz YYOFQFAUWXJAQG-BHVCSQLQSA-N 0 3 321.873 4.489 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC1CCC1)C(C)C ZINC000193214631 1045045888 /nfs/dbraw/zinc/04/58/88/1045045888.db2.gz OUQRWZDOROYBPS-UHFFFAOYSA-N 0 3 316.489 4.260 20 0 DIADHN CC[C@H](N[C@@H](CC)c1cccc(F)c1)c1c(C)nn(C)c1C ZINC000446837698 1045046429 /nfs/dbraw/zinc/04/64/29/1045046429.db2.gz ZMPRLERPSGOGFV-IRXDYDNUSA-N 0 3 303.425 4.368 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)nn(C)c1C)c1cccc(F)c1 ZINC000446837699 1045046443 /nfs/dbraw/zinc/04/64/43/1045046443.db2.gz ZMPRLERPSGOGFV-SJORKVTESA-N 0 3 303.425 4.368 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@@H]1CCC[C@@H](c2cccnc2)C1 ZINC000374300044 1045047116 /nfs/dbraw/zinc/04/71/16/1045047116.db2.gz BTUNPZBVLQDNQZ-SJLPKXTDSA-N 0 3 324.472 4.209 20 0 DIADHN COC1([C@H](C)NCc2nc(-c3ccc(C)cc3)cs2)CCC1 ZINC000412020367 1045047829 /nfs/dbraw/zinc/04/78/29/1045047829.db2.gz ILSSFISSMLKUQU-AWEZNQCLSA-N 0 3 316.470 4.166 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1F ZINC000152801224 1045049167 /nfs/dbraw/zinc/04/91/67/1045049167.db2.gz QHSZZAXTBKGYFF-CQSZACIVSA-N 0 3 320.433 4.157 20 0 DIADHN CCN1CCCN(C(=O)c2ccc(C(C)C)c(Cl)c2)[C@@H](C)C1 ZINC001625951263 1045051607 /nfs/dbraw/zinc/05/16/07/1045051607.db2.gz WHLOZZBSLLGKMS-AWEZNQCLSA-N 0 3 322.880 4.020 20 0 DIADHN CCN(CCC(=O)Nc1ccccc1Cl)Cc1occc1C ZINC000412030416 1045052549 /nfs/dbraw/zinc/05/25/49/1045052549.db2.gz WHGTWFPKSQTEQS-UHFFFAOYSA-N 0 3 320.820 4.092 20 0 DIADHN CC[C@@H](NCc1ccc2nc[nH]c2c1)c1cc(F)ccc1F ZINC000525402072 1045060547 /nfs/dbraw/zinc/06/05/47/1045060547.db2.gz SXOACQJQJLJTIN-OAHLLOKOSA-N 0 3 301.340 4.082 20 0 DIADHN CCO[C@@H](CNCc1ccc(OC)cc1Cl)c1ccccc1 ZINC000446854981 1045061301 /nfs/dbraw/zinc/06/13/01/1045061301.db2.gz FDZCPAIXQTWCSV-SFHVURJKSA-N 0 3 319.832 4.216 20 0 DIADHN Fc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)cc1Cl ZINC000374467121 1045061437 /nfs/dbraw/zinc/06/14/37/1045061437.db2.gz AIQYLWDGBPHPAP-CRAIPNDOSA-N 0 3 319.807 4.347 20 0 DIADHN Clc1cccc(Cl)c1CN[C@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000576501834 1045062274 /nfs/dbraw/zinc/06/22/74/1045062274.db2.gz NAKLWEIMSCGALH-WKPIXPDZSA-N 0 3 312.240 4.041 20 0 DIADHN Cc1nc(CCN2CC[C@H](C(F)(F)F)C3(CCC3)C2)cs1 ZINC000374539808 1045067955 /nfs/dbraw/zinc/06/79/55/1045067955.db2.gz ZTNLXZXFQLROTA-ZDUSSCGKSA-N 0 3 318.408 4.049 20 0 DIADHN Cc1nc(CCN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)cs1 ZINC000374539807 1045068278 /nfs/dbraw/zinc/06/82/78/1045068278.db2.gz ZTNLXZXFQLROTA-CYBMUJFWSA-N 0 3 318.408 4.049 20 0 DIADHN CN(Cc1cc(C(F)(F)F)ccc1Cl)[C@@H]1CCCC[C@H]1O ZINC000428458293 1045070886 /nfs/dbraw/zinc/07/08/86/1045070886.db2.gz LBCKTZDEOYLCCI-ZIAGYGMSSA-N 0 3 321.770 4.094 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCC(F)(F)F)CCCS2 ZINC000446927312 1045071633 /nfs/dbraw/zinc/07/16/33/1045071633.db2.gz GEPXISFQBNLCSF-LBPRGKRZSA-N 0 3 305.365 4.164 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1cncs1 ZINC000576672703 1045072006 /nfs/dbraw/zinc/07/20/06/1045072006.db2.gz AVDQTBBNQOQQJV-AAEUAGOBSA-N 0 3 314.376 4.215 20 0 DIADHN Cc1ccc([C@H](NCc2cccc(N(C)C)n2)C2CCCC2)cc1 ZINC000446940899 1045075545 /nfs/dbraw/zinc/07/55/45/1045075545.db2.gz WVGDAPNINPTCQY-OAQYLSRUSA-N 0 3 323.484 4.477 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(SC(F)F)cc1 ZINC000778958702 1045078673 /nfs/dbraw/zinc/07/86/73/1045078673.db2.gz QBSIIZGHLXCWOR-GFCCVEGCSA-N 0 3 323.408 4.344 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@H]1/C=C/c1ccccc1 ZINC000374716289 1045085699 /nfs/dbraw/zinc/08/56/99/1045085699.db2.gz LGZPJYMMDFYLTN-AIGDTVQASA-N 0 3 320.436 4.323 20 0 DIADHN CCOc1cccc(CN2CC[C@@H]3CCC[C@H]32)c1OC(F)F ZINC000428465687 1045087625 /nfs/dbraw/zinc/08/76/25/1045087625.db2.gz XMZWQCZHTBTDBT-GXTWGEPZSA-N 0 3 311.372 4.061 20 0 DIADHN Clc1cccc(Cl)c1C1(NC[C@@H]2CCCOC2)CCC1 ZINC000447060015 1045089462 /nfs/dbraw/zinc/08/94/62/1045089462.db2.gz ZXLCWGLGWKNRDS-LBPRGKRZSA-N 0 3 314.256 4.389 20 0 DIADHN CC(C)c1ccc(C(=O)Nc2ccc(CN(C)C)cc2F)s1 ZINC000412561689 1045090711 /nfs/dbraw/zinc/09/07/11/1045090711.db2.gz UONDJPQNHIHEMA-UHFFFAOYSA-N 0 3 320.433 4.325 20 0 DIADHN C[C@H](CC(=O)Nc1ccc(CN(C)C)cc1F)c1ccccc1 ZINC000412552154 1045090746 /nfs/dbraw/zinc/09/07/46/1045090746.db2.gz TUVUUZUZHVMABZ-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN Cc1cnccc1CN[C@H](c1ccccc1)C1(CO)CCCCC1 ZINC000577043939 1045096456 /nfs/dbraw/zinc/09/64/56/1045096456.db2.gz IYOBTRLNDBYDSH-HXUWFJFHSA-N 0 3 324.468 4.164 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1nc2ccc(F)cc2s1 ZINC000447102242 1045096875 /nfs/dbraw/zinc/09/68/75/1045096875.db2.gz ZWIJKJQMSPVWHF-KRWDZBQOSA-N 0 3 322.449 4.217 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ncc(-c2ccccc2F)o1 ZINC000525634532 1045102193 /nfs/dbraw/zinc/10/21/93/1045102193.db2.gz ZVRYMVQBILHJBP-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000525639025 1045103827 /nfs/dbraw/zinc/10/38/27/1045103827.db2.gz PEMGONHBYGAIDB-ZIAGYGMSSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1ccc3[nH]cnc3c1)CCC2 ZINC000577184605 1045107946 /nfs/dbraw/zinc/10/79/46/1045107946.db2.gz WSWNFQZHKWYFLI-INIZCTEOSA-N 0 3 313.351 4.008 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1ccc3nc[nH]c3c1)CCC2 ZINC000577184605 1045107953 /nfs/dbraw/zinc/10/79/53/1045107953.db2.gz WSWNFQZHKWYFLI-INIZCTEOSA-N 0 3 313.351 4.008 20 0 DIADHN CCN(CCC1CC1)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000577251711 1045111254 /nfs/dbraw/zinc/11/12/54/1045111254.db2.gz WQLUELVLIMGEPJ-UHFFFAOYSA-N 0 3 312.335 4.469 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cnn(CC)c1)c1ccc(Cl)cc1 ZINC000525709281 1045113354 /nfs/dbraw/zinc/11/33/54/1045113354.db2.gz MLBDICZJGGJDMB-DYVFJYSZSA-N 0 3 305.853 4.433 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H](OC)c1ccc(Cl)cc1 ZINC000525695634 1045113433 /nfs/dbraw/zinc/11/34/33/1045113433.db2.gz YYWFZARMXWRMQZ-GOSISDBHSA-N 0 3 319.832 4.134 20 0 DIADHN COC(=O)c1coc([C@@H](C)NCCc2ccc3ccccc3c2)c1 ZINC000577338479 1045117752 /nfs/dbraw/zinc/11/77/52/1045117752.db2.gz KZOAFZGTDYKVJX-CQSZACIVSA-N 0 3 323.392 4.113 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1ccc(F)c2ccccc21 ZINC000577371546 1045119217 /nfs/dbraw/zinc/11/92/17/1045119217.db2.gz QIMTWVKTBMHGRM-AWEZNQCLSA-N 0 3 309.384 4.322 20 0 DIADHN CCCCCCN[C@H](C)c1ncc(Br)cc1F ZINC000779006121 1045121288 /nfs/dbraw/zinc/12/12/88/1045121288.db2.gz NAALGKNDZVSXCR-SNVBAGLBSA-N 0 3 303.219 4.214 20 0 DIADHN CCc1ccccc1CN1CCC(C(=O)c2ccccc2)CC1 ZINC000525833877 1045127306 /nfs/dbraw/zinc/12/73/06/1045127306.db2.gz PINZKAYSKCLYAL-UHFFFAOYSA-N 0 3 307.437 4.344 20 0 DIADHN Cc1ccc(CN[C@@H](C)Cc2ccco2)c(Br)c1 ZINC000159282866 1045128717 /nfs/dbraw/zinc/12/87/17/1045128717.db2.gz LFUMALOXVUMZQF-LBPRGKRZSA-N 0 3 308.219 4.071 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CC[C@H](c2ccco2)C1 ZINC000600688232 1045129183 /nfs/dbraw/zinc/12/91/83/1045129183.db2.gz PBVBTHXERIHMNH-ZDUSSCGKSA-N 0 3 314.410 4.294 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(F)cc1N(C)C ZINC000544833141 1045134900 /nfs/dbraw/zinc/13/49/00/1045134900.db2.gz PYRFBBUHXZFHBX-ZYHUDNBSSA-N 0 3 306.347 4.273 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@H]2C[C@@H](C)c3ccccc32)cc1 ZINC000577557419 1045136625 /nfs/dbraw/zinc/13/66/25/1045136625.db2.gz DQJIORCLZOYAEQ-NQERJWCQSA-N 0 3 324.468 4.136 20 0 DIADHN c1ccc([C@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)nc1 ZINC000525972137 1045139658 /nfs/dbraw/zinc/13/96/58/1045139658.db2.gz KTZRKMCRHULKIV-SJLPKXTDSA-N 0 3 300.446 4.100 20 0 DIADHN CC1(C)CN(Cc2ccnc3ccccc23)CC2(CCCC2)O1 ZINC000525963696 1045143531 /nfs/dbraw/zinc/14/35/31/1045143531.db2.gz KOEDBKQHHLNBGS-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1[C@H](C)C[C@@H]1C ZINC000525997763 1045147246 /nfs/dbraw/zinc/14/72/46/1045147246.db2.gz IBQYTGWCCYPTFU-BETUJISGSA-N 0 3 317.864 4.184 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccc(C(F)F)cc2)c1 ZINC000525981705 1045149860 /nfs/dbraw/zinc/14/98/60/1045149860.db2.gz XLOFISOYRIXOGI-UHFFFAOYSA-N 0 3 318.367 4.263 20 0 DIADHN C[C@@H](C1CC1)N(C)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000557217585 1045156765 /nfs/dbraw/zinc/15/67/65/1045156765.db2.gz QCFUNGKJDWYSFY-HNNXBMFYSA-N 0 3 308.425 4.022 20 0 DIADHN C[C@H](C1CC1)N(C)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000557217586 1045157853 /nfs/dbraw/zinc/15/78/53/1045157853.db2.gz QCFUNGKJDWYSFY-OAHLLOKOSA-N 0 3 308.425 4.022 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCc2occc21)c1cccc(Cl)c1 ZINC000447579974 1045158874 /nfs/dbraw/zinc/15/88/74/1045158874.db2.gz MSEHBKSKXQMQOM-WBVHZDCISA-N 0 3 305.805 4.288 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)nc2ccccc12 ZINC000526084272 1045166372 /nfs/dbraw/zinc/16/63/72/1045166372.db2.gz RCGPSBRRFMNTRU-OLZOCXBDSA-N 0 3 323.362 4.149 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nccs3)C2)cc1Cl ZINC000447747328 1045172247 /nfs/dbraw/zinc/17/22/47/1045172247.db2.gz SCAZOMUKRQWKOL-CQSZACIVSA-N 0 3 306.862 4.485 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC(c2c[nH]cn2)CC1 ZINC000447749465 1045175011 /nfs/dbraw/zinc/17/50/11/1045175011.db2.gz ZAHYKCCSIBYKRP-UHFFFAOYSA-N 0 3 321.827 4.014 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)O[C@]3(CCO[C@H]3C)C2)cc1 ZINC000447823360 1045178213 /nfs/dbraw/zinc/17/82/13/1045178213.db2.gz UFYNJRFSCJZUEH-PDOICOKGSA-N 0 3 317.473 4.139 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924597643 1045181822 /nfs/dbraw/zinc/18/18/22/1045181822.db2.gz QSURRJMTKYMELI-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924597645 1045181961 /nfs/dbraw/zinc/18/19/61/1045181961.db2.gz QSURRJMTKYMELI-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN CC[C@H](N[C@@H](c1cccs1)C1CC1)c1cccc(OC)n1 ZINC000924592120 1045182181 /nfs/dbraw/zinc/18/21/81/1045182181.db2.gz QJYQROMJNATVAW-SUMWQHHRSA-N 0 3 302.443 4.344 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3ccccc3c(OC)c2)cn1 ZINC000924616822 1045183183 /nfs/dbraw/zinc/18/31/83/1045183183.db2.gz WHRDQWNELCUIRD-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000447852344 1045183840 /nfs/dbraw/zinc/18/38/40/1045183840.db2.gz ZYHSFMDBVYJMQI-JKSUJKDBSA-N 0 3 315.486 4.231 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2nc(-c3ccccc3)c[nH]2)o1 ZINC000447850596 1045184459 /nfs/dbraw/zinc/18/44/59/1045184459.db2.gz WEKNUOCZCPPCJM-AWEZNQCLSA-N 0 3 309.413 4.089 20 0 DIADHN CCC[C@@H](NCCc1csc(C)n1)c1ncccc1Cl ZINC000924628812 1045184960 /nfs/dbraw/zinc/18/49/60/1045184960.db2.gz MBJLBUMIPBBKEM-CQSZACIVSA-N 0 3 309.866 4.173 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2ncc(C(C)(C)C)s2)o1 ZINC000447946614 1045189797 /nfs/dbraw/zinc/18/97/97/1045189797.db2.gz WMNHWJQSDSJKIT-GFCCVEGCSA-N 0 3 306.475 4.453 20 0 DIADHN Cc1cccc2c1[C@H](NCc1ccnc(N3CCCCC3)c1)CC2 ZINC000924701598 1045190934 /nfs/dbraw/zinc/19/09/34/1045190934.db2.gz KMLSCWGHWFVVAM-LJQANCHMSA-N 0 3 321.468 4.157 20 0 DIADHN C[C@@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnnc1 ZINC000924694073 1045191019 /nfs/dbraw/zinc/19/10/19/1045191019.db2.gz AZAHDWLOVTYQRM-DYVFJYSZSA-N 0 3 301.409 4.444 20 0 DIADHN COc1cc(CCNCc2ncc(C(C)(C)C)s2)ccc1C ZINC000447932155 1045191905 /nfs/dbraw/zinc/19/19/05/1045191905.db2.gz RFIVQMJCUMYNID-UHFFFAOYSA-N 0 3 318.486 4.090 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1F)c1ccc(Cl)cc1 ZINC000048331005 1045192596 /nfs/dbraw/zinc/19/25/96/1045192596.db2.gz YRVNAVFVYHLEHE-BONVTDFDSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)NC[C@H]3CC=CCC3)n2)ccc1F ZINC000557513048 1045193568 /nfs/dbraw/zinc/19/35/68/1045193568.db2.gz YASZKEWCXQAWLS-KGLIPLIRSA-N 0 3 315.392 4.191 20 0 DIADHN CO[C@H](CN(C)Cc1ccccc1N1CCCC1)c1ccccc1 ZINC000577996299 1045197287 /nfs/dbraw/zinc/19/72/87/1045197287.db2.gz TYBSYXWWYUYJOH-OAQYLSRUSA-N 0 3 324.468 4.106 20 0 DIADHN COC[C@@H](C)CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C ZINC000527116342 1045197970 /nfs/dbraw/zinc/19/79/70/1045197970.db2.gz PEITZLFAOCAJDU-MAUKXSAKSA-N 0 3 315.461 4.037 20 0 DIADHN Cc1cncc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)c1 ZINC000527191097 1045203336 /nfs/dbraw/zinc/20/33/36/1045203336.db2.gz KGDKYXLIJRIJRC-MRXNPFEDSA-N 0 3 323.465 4.379 20 0 DIADHN CCOc1cc(CN2[C@H](C)C[C@@H]2C)ccc1OCC(F)(F)F ZINC000527238812 1045205725 /nfs/dbraw/zinc/20/57/25/1045205725.db2.gz SZLURKMGOXKFIU-TXEJJXNPSA-N 0 3 317.351 4.009 20 0 DIADHN CSCc1cc(F)ccc1CN[C@@H]1CCCc2ncccc21 ZINC000601266719 1045208864 /nfs/dbraw/zinc/20/88/64/1045208864.db2.gz FHBSQRVGVBJNMG-GOSISDBHSA-N 0 3 316.445 4.251 20 0 DIADHN Cc1nc2ccc(CN3CC[C@H](CC(F)(F)F)C3)cc2s1 ZINC000578143796 1045213959 /nfs/dbraw/zinc/21/39/59/1045213959.db2.gz QPZAJCGAFHJLNZ-GFCCVEGCSA-N 0 3 314.376 4.379 20 0 DIADHN CC(C)c1ccc([C@@H](NC[C@](C)(O)C2CC2)c2cccnc2)cc1 ZINC000925036466 1045221471 /nfs/dbraw/zinc/22/14/71/1045221471.db2.gz DBVMNQSIFXNQDB-RTWAWAEBSA-N 0 3 324.468 4.045 20 0 DIADHN CCCN(C(=O)C[C@@H](N)c1ccccc1)[C@H]1CCc2ccccc21 ZINC000448243689 1045225134 /nfs/dbraw/zinc/22/51/34/1045225134.db2.gz SXIMBDXTYVFZAR-UXHICEINSA-N 0 3 322.452 4.003 20 0 DIADHN CC[C@@H](CCO)N[C@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925120585 1045229513 /nfs/dbraw/zinc/22/95/13/1045229513.db2.gz KRMCQYUHOHWJNF-VQTJNVASSA-N 0 3 312.457 4.045 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1cc2ccccc2[nH]1 ZINC000925144920 1045231502 /nfs/dbraw/zinc/23/15/02/1045231502.db2.gz QDWQZTCYDIFLJC-FZKQIMNGSA-N 0 3 322.408 4.351 20 0 DIADHN Cc1nc(-c2ccc(CNCc3cnccc3C)cc2)cs1 ZINC000527520549 1045233217 /nfs/dbraw/zinc/23/32/17/1045233217.db2.gz LKTNRACRSGSGCG-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H]2[C@@H]3CCCC[C@@H]3C2(C)C)cs1 ZINC000925234793 1045236775 /nfs/dbraw/zinc/23/67/75/1045236775.db2.gz BWGOUEYBXRPUSF-ATGSNQNLSA-N 0 3 308.491 4.155 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1CN(C)C)c1cccc(Cl)c1 ZINC000578382089 1045237578 /nfs/dbraw/zinc/23/75/78/1045237578.db2.gz XDBHUKVSFNNVJF-ZDUSSCGKSA-N 0 3 316.832 4.144 20 0 DIADHN CCOc1ccc(CN(C)[C@H](CC)c2ccncc2)cc1OC ZINC000578401739 1045241111 /nfs/dbraw/zinc/24/11/11/1045241111.db2.gz YDVFLPFFOPLIOF-QGZVFWFLSA-N 0 3 314.429 4.072 20 0 DIADHN CC1(C)CCCN(Cc2nc(-c3ccc(Cl)cc3)no2)CC1 ZINC000578487928 1045248252 /nfs/dbraw/zinc/24/82/52/1045248252.db2.gz LGGGIEWNDBXXCI-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@@H](c3ccccc3)CC2)s1 ZINC000527691501 1045249274 /nfs/dbraw/zinc/24/92/74/1045249274.db2.gz NDOCNXHZNCCJPN-CVEARBPZSA-N 0 3 301.459 4.053 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1ccc2cc[nH]c2c1 ZINC000527691086 1045250488 /nfs/dbraw/zinc/25/04/88/1045250488.db2.gz MGKXXEAROPOHHE-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@@H](c3ccccc3)CC2)s1 ZINC000527691505 1045250697 /nfs/dbraw/zinc/25/06/97/1045250697.db2.gz NDOCNXHZNCCJPN-HZPDHXFCSA-N 0 3 301.459 4.053 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(OC)c(F)c2)cc1F ZINC000527782461 1045259563 /nfs/dbraw/zinc/25/95/63/1045259563.db2.gz WEZAYNBTFQJDEY-UHFFFAOYSA-N 0 3 321.367 4.007 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc3cc[nH]c3c2)cc1F ZINC000527782450 1045259920 /nfs/dbraw/zinc/25/99/20/1045259920.db2.gz VUJVYSJVJPDQGJ-UHFFFAOYSA-N 0 3 312.388 4.341 20 0 DIADHN COc1ccc(C(C)(C)NCc2cnc3ccccc3c2)cc1F ZINC000527781785 1045261484 /nfs/dbraw/zinc/26/14/84/1045261484.db2.gz PYQUVCYTLXDYTG-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN Cc1cccc2ncc(CN[C@H]3CCc4cc(Cl)ccc43)n21 ZINC000527794558 1045264357 /nfs/dbraw/zinc/26/43/57/1045264357.db2.gz DDYYCUSZCZAFRX-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN COc1ccc([C@H](NCc2ccc(Cl)c(C)c2)C2CC2)cn1 ZINC000527840038 1045267311 /nfs/dbraw/zinc/26/73/11/1045267311.db2.gz BMZKLTVOROYHFD-GOSISDBHSA-N 0 3 316.832 4.293 20 0 DIADHN CCCc1ccc(CN[C@H](c2ccc(OC)nc2)C2CC2)s1 ZINC000527840766 1045267459 /nfs/dbraw/zinc/26/74/59/1045267459.db2.gz CTVRKONCOUZRTD-SFHVURJKSA-N 0 3 316.470 4.345 20 0 DIADHN C[C@@H](NCc1csc(CCc2ccccc2)n1)[C@H]1CC12CC2 ZINC000527867433 1045269612 /nfs/dbraw/zinc/26/96/12/1045269612.db2.gz JVXJIBZHBZFMMX-RHSMWYFYSA-N 0 3 312.482 4.207 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCc3cc(F)c(Cl)cc32)s1 ZINC000527865727 1045270370 /nfs/dbraw/zinc/27/03/70/1045270370.db2.gz LBZOAWCLJRIZAE-CQSZACIVSA-N 0 3 310.825 4.330 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2ccc(CO)c(F)c2)c1 ZINC000527847907 1045271483 /nfs/dbraw/zinc/27/14/83/1045271483.db2.gz GHCXLGNHLKUXBW-AWEZNQCLSA-N 0 3 301.405 4.292 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527849526 1045272645 /nfs/dbraw/zinc/27/26/45/1045272645.db2.gz UUQDGXWCDFPEIC-HZPDHXFCSA-N 0 3 323.440 4.352 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC[C@@H](c2ccncc2)C1 ZINC000578800932 1045273297 /nfs/dbraw/zinc/27/32/97/1045273297.db2.gz GHVFMTHXNKOXSR-OAHLLOKOSA-N 0 3 318.823 4.296 20 0 DIADHN Fc1cc2c(cc1Cl)[C@H](NCc1ccc3c(c1)COC3)CC2 ZINC000527874856 1045275193 /nfs/dbraw/zinc/27/51/93/1045275193.db2.gz ZHPDAAWVPXHXNY-GOSISDBHSA-N 0 3 317.791 4.286 20 0 DIADHN COc1cccc([C@H](CN[C@H](C)c2cccc(F)c2F)OC)c1 ZINC000527927173 1045278539 /nfs/dbraw/zinc/27/85/39/1045278539.db2.gz MZKHPBQSOUVIIU-PXAZEXFGSA-N 0 3 321.367 4.012 20 0 DIADHN C[C@@H](NCCC1(F)CCC1)c1cc(-c2ccccc2)n(C)n1 ZINC000527902331 1045279646 /nfs/dbraw/zinc/27/96/46/1045279646.db2.gz UFJKQQQDHBYTDO-CQSZACIVSA-N 0 3 301.409 4.020 20 0 DIADHN CCc1ccc([C@H](CC(C)C)NCc2n[nH]c(C(C)C)n2)cc1 ZINC000527905797 1045279942 /nfs/dbraw/zinc/27/99/42/1045279942.db2.gz VPFGADWWFDCNOX-KRWDZBQOSA-N 0 3 314.477 4.368 20 0 DIADHN C[C@H](NCCC1(F)CCC1)c1cc(-c2ccccc2)n(C)n1 ZINC000527902329 1045280106 /nfs/dbraw/zinc/28/01/06/1045280106.db2.gz UFJKQQQDHBYTDO-AWEZNQCLSA-N 0 3 301.409 4.020 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2cccs2)no1)C1CCCCC1 ZINC000578918539 1045280417 /nfs/dbraw/zinc/28/04/17/1045280417.db2.gz JDTUXBOICCRHBB-NEPJUHHUSA-N 0 3 305.447 4.418 20 0 DIADHN Cc1cc(CN[C@H]2CCc3cc(F)c(Cl)cc32)cc(C)n1 ZINC000527907622 1045280586 /nfs/dbraw/zinc/28/05/86/1045280586.db2.gz BLROPAVAONNYMT-KRWDZBQOSA-N 0 3 304.796 4.268 20 0 DIADHN C[C@@H](N[C@@H](C)c1nnc(-c2cccs2)o1)C1CCCCC1 ZINC000578938110 1045283050 /nfs/dbraw/zinc/28/30/50/1045283050.db2.gz ZLHQHLXBCPQRSM-NEPJUHHUSA-N 0 3 305.447 4.418 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1ccncc1Br ZINC001258067897 1045284332 /nfs/dbraw/zinc/28/43/32/1045284332.db2.gz TXFBUUBVUWJKMC-STQMWFEESA-N 0 3 313.283 4.395 20 0 DIADHN C1=CCC(CN[C@H](c2cc3ccccc3o2)c2cccnc2)C1 ZINC000527945796 1045284359 /nfs/dbraw/zinc/28/43/59/1045284359.db2.gz GPZZTRILHXXBSO-FQEVSTJZSA-N 0 3 304.393 4.473 20 0 DIADHN Clc1ccc([C@H](NCC23CC(C2)CO3)c2ccccc2)cc1 ZINC000527981077 1045287968 /nfs/dbraw/zinc/28/79/68/1045287968.db2.gz NUVYLKMHTTYANJ-PJOPYLDSSA-N 0 3 313.828 4.198 20 0 DIADHN COc1cccc(Cl)c1CNCCC1C[C@@H](C)O[C@H](C)C1 ZINC000579006650 1045288336 /nfs/dbraw/zinc/28/83/36/1045288336.db2.gz VPMLMPBLPSVRBD-CHWSQXEVSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@@H](NCc1ccc2cn(C)nc2c1)c1ccc(Cl)s1 ZINC000527960254 1045288488 /nfs/dbraw/zinc/28/84/88/1045288488.db2.gz XIDPUCGGUJMXEF-SNVBAGLBSA-N 0 3 305.834 4.139 20 0 DIADHN Cc1ncccc1CN[C@@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000527988926 1045290720 /nfs/dbraw/zinc/29/07/20/1045290720.db2.gz WHXLVOXWRBZRCO-MRXNPFEDSA-N 0 3 322.330 4.066 20 0 DIADHN Cn1cc2ccc(CN[C@@H]3CCc4cc(Cl)ccc43)cc2n1 ZINC000527968332 1045290932 /nfs/dbraw/zinc/29/09/32/1045290932.db2.gz ZRZRBRYWHKNWRH-QGZVFWFLSA-N 0 3 311.816 4.004 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccccc1Cl)c1ccccc1F ZINC000779116787 1045291313 /nfs/dbraw/zinc/29/13/13/1045291313.db2.gz NOQLSDFHVSQZRN-SJORKVTESA-N 0 3 307.796 4.253 20 0 DIADHN Cc1nc([C@H](C)NCCc2c(C)[nH]c3ccc(F)cc32)cs1 ZINC000527997345 1045292417 /nfs/dbraw/zinc/29/24/17/1045292417.db2.gz UZVMDILVUJJOLR-NSHDSACASA-N 0 3 317.433 4.274 20 0 DIADHN Clc1cccc(-c2nnc(CN[C@@H]3CC4CCC3CC4)o2)c1 ZINC000579071796 1045292517 /nfs/dbraw/zinc/29/25/17/1045292517.db2.gz JRMAHZHGWOEIHV-KOHJWAIASA-N 0 3 317.820 4.058 20 0 DIADHN Cc1cc([C@H](NCC2COC2)c2ccccc2Cl)ccc1F ZINC000528029615 1045294171 /nfs/dbraw/zinc/29/41/71/1045294171.db2.gz ZTDKIOQJAFXODB-SFHVURJKSA-N 0 3 319.807 4.113 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1cccc(F)c1)c1ccccc1F ZINC000779124765 1045294324 /nfs/dbraw/zinc/29/43/24/1045294324.db2.gz RHOXWUDTGFLEQK-IGNZVWTISA-N 0 3 305.368 4.128 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cccnc2C)cc1Cl ZINC000527985758 1045294310 /nfs/dbraw/zinc/29/43/10/1045294310.db2.gz IMUQIBBSPYRSOI-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1cc([C@@H](NCC2COC2)c2ccccc2Cl)ccc1F ZINC000528029613 1045294827 /nfs/dbraw/zinc/29/48/27/1045294827.db2.gz ZTDKIOQJAFXODB-GOSISDBHSA-N 0 3 319.807 4.113 20 0 DIADHN CC[C@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1cccc(F)c1 ZINC000528042463 1045296792 /nfs/dbraw/zinc/29/67/92/1045296792.db2.gz FJHVJENCEGDKJT-PKOBYXMFSA-N 0 3 314.404 4.421 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCCc3sccc32)C2CC2)cn1 ZINC000528042931 1045297359 /nfs/dbraw/zinc/29/73/59/1045297359.db2.gz JDIVFEIRYOTQEA-QAPCUYQASA-N 0 3 314.454 4.270 20 0 DIADHN Cc1csc(CNCCCO[C@@H]2CCCc3ccccc32)n1 ZINC000117386324 1045301646 /nfs/dbraw/zinc/30/16/46/1045301646.db2.gz KPSYFQJYTSIRPG-QGZVFWFLSA-N 0 3 316.470 4.025 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(F)(c3ccccn3)CC1)CC2 ZINC000579286528 1045304534 /nfs/dbraw/zinc/30/45/34/1045304534.db2.gz LBZMQWPKXYGBNY-QGZVFWFLSA-N 0 3 314.379 4.169 20 0 DIADHN CCc1ccc([C@H](C)NC[C@]2(C)OCCc3sccc32)o1 ZINC000579412999 1045314037 /nfs/dbraw/zinc/31/40/37/1045314037.db2.gz DXTSMRDRYHJASE-SJCJKPOMSA-N 0 3 305.443 4.042 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@]2(C)OCCc3sccc32)o1 ZINC000579413001 1045314087 /nfs/dbraw/zinc/31/40/87/1045314087.db2.gz DXTSMRDRYHJASE-YVEFUNNKSA-N 0 3 305.443 4.042 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)n1C ZINC000579496771 1045319140 /nfs/dbraw/zinc/31/91/40/1045319140.db2.gz QXWYHLFDWQXCLM-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN c1cc(CNCc2cc3c(s2)CCCC3)n(-c2ccccc2)n1 ZINC000579552895 1045322152 /nfs/dbraw/zinc/32/21/52/1045322152.db2.gz SPYVMIRCGDHAQW-UHFFFAOYSA-N 0 3 323.465 4.102 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)s1 ZINC000579554178 1045323161 /nfs/dbraw/zinc/32/31/61/1045323161.db2.gz XXSXFJZIOBCESF-UKRRQHHQSA-N 0 3 321.877 4.001 20 0 DIADHN COc1ncc([C@@H](C)NC/C=C\c2ccccc2)cc1Cl ZINC000579620937 1045326474 /nfs/dbraw/zinc/32/64/74/1045326474.db2.gz JUDMXMSIQPVGGQ-OYVUYXNMSA-N 0 3 302.805 4.108 20 0 DIADHN Cc1cc(Cl)cc(C[NH2+][C@@H]2CCOc3c(C)cccc32)c1[O-] ZINC000188010383 1045333362 /nfs/dbraw/zinc/33/33/62/1045333362.db2.gz DSQOYFBLNVTOKY-MRXNPFEDSA-N 0 3 317.816 4.276 20 0 DIADHN CO[C@H](C)CN(Cc1cnc(-c2ccccc2F)s1)C(C)C ZINC000449027078 1045334442 /nfs/dbraw/zinc/33/44/42/1045334442.db2.gz HBYZTAVAVDYOAY-CYBMUJFWSA-N 0 3 322.449 4.195 20 0 DIADHN O[C@@H](CN(Cc1cccs1)C1CC1)c1cccc(Cl)c1 ZINC000075597128 1045336952 /nfs/dbraw/zinc/33/69/52/1045336952.db2.gz NDPXQATUEUQNGJ-INIZCTEOSA-N 0 3 307.846 4.100 20 0 DIADHN Fc1ccc(CN2CCC[C@@]3(CCCc4ccccc43)C2)cn1 ZINC000779162413 1045339411 /nfs/dbraw/zinc/33/94/11/1045339411.db2.gz ZDOLSPMGBZEIDT-FQEVSTJZSA-N 0 3 310.416 4.091 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cccc3ccoc32)cc1 ZINC000449083992 1045342402 /nfs/dbraw/zinc/34/24/02/1045342402.db2.gz WAQJWYCDBOJOQD-UHFFFAOYSA-N 0 3 322.408 4.461 20 0 DIADHN Fc1ccc(CNC2(c3ccc(Cl)cc3)CCCC2)cn1 ZINC000189112399 1045342485 /nfs/dbraw/zinc/34/24/85/1045342485.db2.gz OFDDWZCVBCSDSS-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN Fc1ccc(CN[C@H](Cc2ccccc2)c2cccs2)cn1 ZINC000189117249 1045342500 /nfs/dbraw/zinc/34/25/00/1045342500.db2.gz PRWROFWXSHHUHD-MRXNPFEDSA-N 0 3 312.413 4.356 20 0 DIADHN CC(C)COc1ccc(C(C)(C)NCc2ccc(F)nc2)cc1 ZINC000189077438 1045342546 /nfs/dbraw/zinc/34/25/46/1045342546.db2.gz LTUPCNNPEUAIEM-UHFFFAOYSA-N 0 3 316.420 4.280 20 0 DIADHN COc1ccc(-n2cc(CN[C@@H](C)c3ccc(C)cc3)cn2)cc1 ZINC000449087320 1045343136 /nfs/dbraw/zinc/34/31/36/1045343136.db2.gz FXCRELJMUIPPEG-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN c1cc2cccc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)c2o1 ZINC000449097440 1045346470 /nfs/dbraw/zinc/34/64/70/1045346470.db2.gz DJANWJCDMSOSAI-MOPGFXCFSA-N 0 3 307.393 4.443 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3ccoc32)cc1OC ZINC000449087603 1045347720 /nfs/dbraw/zinc/34/77/20/1045347720.db2.gz GNOXRRNEFSQTFW-CYBMUJFWSA-N 0 3 311.381 4.301 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3ccc(F)nc3)CC2)cc1 ZINC000779165689 1045348041 /nfs/dbraw/zinc/34/80/41/1045348041.db2.gz FIVSNUUFOJKNGN-OAHLLOKOSA-N 0 3 302.368 4.130 20 0 DIADHN FC(F)(F)c1ncccc1CNC1(c2ccccc2)CCC1 ZINC000528403040 1045351247 /nfs/dbraw/zinc/35/12/47/1045351247.db2.gz JVCMBGSDVSYXJE-UHFFFAOYSA-N 0 3 306.331 4.269 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc(OC(C)(C)C)nc1 ZINC000779183276 1045354194 /nfs/dbraw/zinc/35/41/94/1045354194.db2.gz UMRZOBORZURFIS-GOSISDBHSA-N 0 3 310.441 4.344 20 0 DIADHN C/C(Cl)=C\CN[C@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000528430400 1045354359 /nfs/dbraw/zinc/35/43/59/1045354359.db2.gz CBKBFGGYZRVBBD-AAWPKVBNSA-N 0 3 316.832 4.454 20 0 DIADHN CC(C)C[C@H](NCc1cc(CO)ccc1F)c1ccccc1 ZINC000528433720 1045356191 /nfs/dbraw/zinc/35/61/91/1045356191.db2.gz RXYLQZGAWUPWCQ-IBGZPJMESA-N 0 3 301.405 4.195 20 0 DIADHN Cc1ccc2sc(CN[C@H](C)[C@@H]3COc4ccccc43)nc2c1 ZINC000528448176 1045357865 /nfs/dbraw/zinc/35/78/65/1045357865.db2.gz XOZGJMHKPOIIDT-HIFRSBDPSA-N 0 3 324.449 4.259 20 0 DIADHN Cc1ccccc1[C@H](NCc1cc(CO)ccc1F)C(C)C ZINC000528436984 1045359358 /nfs/dbraw/zinc/35/93/58/1045359358.db2.gz KUELFLXRORQYTF-LJQANCHMSA-N 0 3 301.405 4.113 20 0 DIADHN CC(C)C[C@@H](NCc1cc(CO)ccc1F)c1cccs1 ZINC000528442033 1045360539 /nfs/dbraw/zinc/36/05/39/1045360539.db2.gz PJGJGBLOEVONPV-MRXNPFEDSA-N 0 3 307.434 4.257 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccnn1C1CCCC1 ZINC000449209573 1045361183 /nfs/dbraw/zinc/36/11/83/1045361183.db2.gz UYOQMRYDPINFPI-YWZLYKJASA-N 0 3 309.457 4.411 20 0 DIADHN COc1cc(CN[C@@H](c2cccnc2)C2CC2)cc2ccccc21 ZINC000449200559 1045362313 /nfs/dbraw/zinc/36/23/13/1045362313.db2.gz CEAUVHIOCZSHBD-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN CCN(C(=O)Nc1ccc(Cl)cc1C(C)C)[C@H]1CCN(C)C1 ZINC000626832190 1045366569 /nfs/dbraw/zinc/36/65/69/1045366569.db2.gz ISULXBNWBMNBTG-AWEZNQCLSA-N 0 3 323.868 4.021 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H]([NH2+][C@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000449239979 1045368853 /nfs/dbraw/zinc/36/88/53/1045368853.db2.gz XGWXLRIQNSIQDP-NJAFHUGGSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(-c3ccccc3F)s2)CCS1 ZINC000449282691 1045370637 /nfs/dbraw/zinc/37/06/37/1045370637.db2.gz HYYVGHFHFKBHAR-GFCCVEGCSA-N 0 3 322.474 4.277 20 0 DIADHN CCN(Cc1nc2cc(C)ccc2s1)[C@@H]1CCOC(C)(C)C1 ZINC000528506167 1045371991 /nfs/dbraw/zinc/37/19/91/1045371991.db2.gz SGZNLSXLDUZYIU-CQSZACIVSA-N 0 3 318.486 4.384 20 0 DIADHN COc1cccc2c(CN(C)Cc3cnccc3C)cccc12 ZINC000449299801 1045373549 /nfs/dbraw/zinc/37/35/49/1045373549.db2.gz NPTYGDGWBMPSCO-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN COc1cccc2c(CNC(C)(C)c3c(C)noc3C)cccc12 ZINC000449318338 1045376499 /nfs/dbraw/zinc/37/64/99/1045376499.db2.gz GEOSFXGDHOOTGK-UHFFFAOYSA-N 0 3 324.424 4.478 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1cc(-c2ccccc2)n[nH]1 ZINC000449351701 1045379807 /nfs/dbraw/zinc/37/98/07/1045379807.db2.gz QRMRUTMWWZVQSQ-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN Cc1ccn2c(CN[C@@H](C)c3cccc(C4CC4)c3)cnc2c1 ZINC000449347893 1045380064 /nfs/dbraw/zinc/38/00/64/1045380064.db2.gz FDTGNIVOIOVSNM-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN COc1cc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)cc2ccccc21 ZINC000449335480 1045381118 /nfs/dbraw/zinc/38/11/18/1045381118.db2.gz ISRRDMJEQHPASK-ZWKOTPCHSA-N 0 3 317.379 4.326 20 0 DIADHN COCCC1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000528572304 1045381396 /nfs/dbraw/zinc/38/13/96/1045381396.db2.gz AGOZVOKMITVLGE-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN Cn1cc(CN[C@H]2CCCc3c(F)cccc32)c(C(C)(C)C)n1 ZINC000449352030 1045381421 /nfs/dbraw/zinc/38/14/21/1045381421.db2.gz RVSQGMMPAONMLU-KRWDZBQOSA-N 0 3 315.436 4.024 20 0 DIADHN C[C@@H]1[C@H](CC(F)(F)F)C[C@H](C)N1Cc1cnn(C(C)(C)C)c1 ZINC000449366363 1045382124 /nfs/dbraw/zinc/38/21/24/1045382124.db2.gz VYUPEWXEJHYFPN-SCRDCRAPSA-N 0 3 317.399 4.189 20 0 DIADHN CC(C)Cn1cc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)cn1 ZINC000449366901 1045383626 /nfs/dbraw/zinc/38/36/26/1045383626.db2.gz YCAUGSZKHCKODG-GUTXKFCHSA-N 0 3 317.399 4.090 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN[C@H](c1ccccn1)C1CCC1 ZINC000528652693 1045391853 /nfs/dbraw/zinc/39/18/53/1045391853.db2.gz VYIUTBDWTHKAAH-MNEFBYGVSA-N 0 3 302.462 4.108 20 0 DIADHN CC[C@H]1CCC[C@H]1CNCc1csc(-c2ccccn2)n1 ZINC000449434753 1045392476 /nfs/dbraw/zinc/39/24/76/1045392476.db2.gz SLKSHUYZSCCJJN-KBPBESRZSA-N 0 3 301.459 4.121 20 0 DIADHN CC[C@H](NCc1ccc(C)c(F)c1)c1ccc(OC)c(OC)c1 ZINC000119081519 1045393543 /nfs/dbraw/zinc/39/35/43/1045393543.db2.gz IPBBQGZTMIEGPU-KRWDZBQOSA-N 0 3 317.404 4.392 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc3ccc(F)cc3[nH]2)c2ccccc2O1 ZINC000449421480 1045393886 /nfs/dbraw/zinc/39/38/86/1045393886.db2.gz ALOFGPSXZAXHNK-KZULUSFZSA-N 0 3 310.372 4.309 20 0 DIADHN Cc1ccccc1CN[C@@H](CCO)c1cccc(C(F)(F)F)c1 ZINC000449435309 1045393979 /nfs/dbraw/zinc/39/39/79/1045393979.db2.gz ASRSGOHCALLSKC-KRWDZBQOSA-N 0 3 323.358 4.227 20 0 DIADHN Cc1ncccc1CN[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000449452312 1045394515 /nfs/dbraw/zinc/39/45/15/1045394515.db2.gz UIELLCKHYMRXEJ-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN CCO[C@H]1CCN(Cc2cc3c(cc(C)cc3C)[nH]2)C[C@H]1C ZINC000449493876 1045400663 /nfs/dbraw/zinc/40/06/63/1045400663.db2.gz FQSFYFVRFMZETN-BEFAXECRSA-N 0 3 300.446 4.032 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(C3CCCC3)s2)C[C@H]1C ZINC000449490883 1045402333 /nfs/dbraw/zinc/40/23/33/1045402333.db2.gz DJVIFDDLWCUPQU-CZUORRHYSA-N 0 3 308.491 4.048 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3ccccc3)s2)C[C@@H]1C ZINC000449502205 1045404612 /nfs/dbraw/zinc/40/46/12/1045404612.db2.gz WLAHVKFUVFITLU-WMLDXEAASA-N 0 3 316.470 4.057 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@]2(CCc3ccccc3O2)C1 ZINC000528733462 1045406044 /nfs/dbraw/zinc/40/60/44/1045406044.db2.gz IKLTWYHZMDLWRJ-UZLBHIALSA-N 0 3 308.425 4.002 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(F)cc3)C2(C)C)oc1C ZINC000528741064 1045406552 /nfs/dbraw/zinc/40/65/52/1045406552.db2.gz DZVQNWHAOYQLRT-MRXNPFEDSA-N 0 3 302.393 4.199 20 0 DIADHN C[C@@H]1C[C@H](NC2CC(c3cccc(Cl)c3)C2)c2nccn21 ZINC000449568926 1045414477 /nfs/dbraw/zinc/41/44/77/1045414477.db2.gz FAEIKNVOFUGJIP-SNBTVBLJSA-N 0 3 301.821 4.078 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449564430 1045414702 /nfs/dbraw/zinc/41/47/02/1045414702.db2.gz ARHHPBHWYUIZBR-QNWHQSFQSA-N 0 3 323.893 4.461 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H](C)CCc1ccc(Cl)s1 ZINC000449563088 1045414854 /nfs/dbraw/zinc/41/48/54/1045414854.db2.gz QZPHKOZAZACHBA-NWDGAFQWSA-N 0 3 311.882 4.290 20 0 DIADHN C[C@H]1C[C@@H](NCC(c2ccccc2)c2ccccc2)c2nccn21 ZINC000449570154 1045415635 /nfs/dbraw/zinc/41/56/35/1045415635.db2.gz JCHOXTIOOXOKOW-OXJNMPFZSA-N 0 3 317.436 4.311 20 0 DIADHN C[C@@H]1C[C@@H](NCC(c2ccccc2)c2ccccc2)c2nccn21 ZINC000449570155 1045415971 /nfs/dbraw/zinc/41/59/71/1045415971.db2.gz JCHOXTIOOXOKOW-OXQOHEQNSA-N 0 3 317.436 4.311 20 0 DIADHN C[C@H]1C[C@H](NC2CC(c3ccccc3Cl)C2)c2nccn21 ZINC000449572710 1045416019 /nfs/dbraw/zinc/41/60/19/1045416019.db2.gz OEFVLAHKQQOGQT-ITDKRANNSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@H](CN[C@@H]1C[C@H](C)n2ccnc21)c1ccc(C(F)(F)F)cc1 ZINC000449574480 1045416055 /nfs/dbraw/zinc/41/60/55/1045416055.db2.gz UUZIQFAGJRTWDD-TYNCELHUSA-N 0 3 323.362 4.301 20 0 DIADHN C[C@H](CC1CCOCC1)CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000449639983 1045422574 /nfs/dbraw/zinc/42/25/74/1045422574.db2.gz RNKWVPRPXSRMKB-CRAIPNDOSA-N 0 3 303.446 4.259 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2nc3ccc(F)cc3o2)C1 ZINC000119498905 1045428907 /nfs/dbraw/zinc/42/89/07/1045428907.db2.gz QBTPDQDKUPTIRR-CHWSQXEVSA-N 0 3 308.422 4.073 20 0 DIADHN c1coc(-c2ncc(CN3CCC[C@]4(CCSC4)C3)s2)c1 ZINC000449732069 1045431231 /nfs/dbraw/zinc/43/12/31/1045431231.db2.gz KUHXTGNEGGBBJQ-INIZCTEOSA-N 0 3 320.483 4.122 20 0 DIADHN Fc1cccc2[nH]c(CN3CCC[C@@]4(CCSC4)C3)cc21 ZINC000449737201 1045433085 /nfs/dbraw/zinc/43/30/85/1045433085.db2.gz YKZUIIWDCOCAOY-QGZVFWFLSA-N 0 3 304.434 4.026 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1nc(-c2ccccc2)cs1 ZINC000449758934 1045433824 /nfs/dbraw/zinc/43/38/24/1045433824.db2.gz IAUMUMKDEWWILG-NVXWUHKLSA-N 0 3 316.470 4.247 20 0 DIADHN Cc1cccc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1 ZINC000449765068 1045434348 /nfs/dbraw/zinc/43/43/48/1045434348.db2.gz GDXZHIDFLKORPZ-KRWDZBQOSA-N 0 3 301.455 4.050 20 0 DIADHN COc1cccnc1CN[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000449747590 1045434800 /nfs/dbraw/zinc/43/48/00/1045434800.db2.gz JNEHEXCGHWWCSK-OLZOCXBDSA-N 0 3 304.821 4.025 20 0 DIADHN COc1cccnc1CN[C@@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449749833 1045434816 /nfs/dbraw/zinc/43/48/16/1045434816.db2.gz QXSGLFATLYYWKX-SDDRHHMPSA-N 0 3 322.861 4.087 20 0 DIADHN Cc1cc(CN[C@@H](c2cccs2)C2(CO)CCC2)ccc1F ZINC000449767507 1045435425 /nfs/dbraw/zinc/43/54/25/1045435425.db2.gz QOPWNOQDVCNOMZ-KRWDZBQOSA-N 0 3 319.445 4.189 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000449771561 1045435475 /nfs/dbraw/zinc/43/54/75/1045435475.db2.gz FOEXPVSBIKXMGY-DZGCQCFKSA-N 0 3 308.491 4.253 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000449860957 1045445128 /nfs/dbraw/zinc/44/51/28/1045445128.db2.gz MMHOECCRWYMPNL-WBVHZDCISA-N 0 3 302.462 4.212 20 0 DIADHN CSc1cccc(C(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000450101098 1045469216 /nfs/dbraw/zinc/46/92/16/1045469216.db2.gz BYWRQZONYRFZQH-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000450106301 1045469319 /nfs/dbraw/zinc/46/93/19/1045469319.db2.gz SKGSENWHHRXPIU-JLJPHGGASA-N 0 3 302.462 4.067 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CCCC(=O)c1ccccc1 ZINC000389828884 1045471025 /nfs/dbraw/zinc/47/10/25/1045471025.db2.gz UMABVWACXFXMHG-OAHLLOKOSA-N 0 3 313.363 4.312 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1CCc1cscn1 ZINC000450269432 1045482278 /nfs/dbraw/zinc/48/22/78/1045482278.db2.gz INMZZNZZZNUYLX-ZDUSSCGKSA-N 0 3 324.852 4.185 20 0 DIADHN CC(=O)c1ccc(CN2CCC(COc3ccccc3)CC2)cc1 ZINC000450282353 1045484202 /nfs/dbraw/zinc/48/42/02/1045484202.db2.gz HFGUZEXHORDJEJ-UHFFFAOYSA-N 0 3 323.436 4.180 20 0 DIADHN COc1cccc(C2CCN(Cc3ccc(C(C)=O)cc3)CC2)c1 ZINC000450325001 1045490355 /nfs/dbraw/zinc/49/03/55/1045490355.db2.gz RTOQKSMPDCTHCG-UHFFFAOYSA-N 0 3 323.436 4.277 20 0 DIADHN COc1ccc2ccccc2c1CNCCOC1CCCCC1 ZINC000389935953 1045502261 /nfs/dbraw/zinc/50/22/61/1045502261.db2.gz KKABANCJPVJPJQ-UHFFFAOYSA-N 0 3 313.441 4.287 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)Nc1cccc(Cl)c1 ZINC000007378937 1045504958 /nfs/dbraw/zinc/50/49/58/1045504958.db2.gz VANRQOSREAYLFQ-STQMWFEESA-N 0 3 302.805 4.018 20 0 DIADHN CCC[C@H](CN1CCc2nc(C3CC3)ncc2C1)c1ccccc1 ZINC000529666176 1045510139 /nfs/dbraw/zinc/51/01/39/1045510139.db2.gz RCOXYWSSPQBDIG-GOSISDBHSA-N 0 3 321.468 4.296 20 0 DIADHN C[C@@H](O)CCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000450554519 1045518857 /nfs/dbraw/zinc/51/88/57/1045518857.db2.gz SCFHEMIPVNIDOZ-DOMZBBRYSA-N 0 3 301.352 4.003 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)C[C@@H]1c1ccccc1)c1ccccc1 ZINC000077433985 1045520354 /nfs/dbraw/zinc/52/03/54/1045520354.db2.gz AYKULSSEJAPJMQ-UYAOXDASSA-N 0 3 322.452 4.295 20 0 DIADHN C[C@]1(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)CCCS1 ZINC000628180375 1045536404 /nfs/dbraw/zinc/53/64/04/1045536404.db2.gz MSEADIMTZPBBGR-SECBINFHSA-N 0 3 321.330 4.089 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(Cl)c(OC)c2)cc1 ZINC000450667955 1045541524 /nfs/dbraw/zinc/54/15/24/1045541524.db2.gz MGDGOBJZEZZVLI-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(Cl)c(OC)c2)c1 ZINC000450671782 1045544045 /nfs/dbraw/zinc/54/40/45/1045544045.db2.gz XAUWOTLKHGQSBJ-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN Cc1csc([C@@H](NC[C@H]2CCCCC2(F)F)C2CC2)n1 ZINC000450687826 1045544677 /nfs/dbraw/zinc/54/46/77/1045544677.db2.gz BGRZQPCENSRLMK-OLZOCXBDSA-N 0 3 300.418 4.318 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)o1)c1ccccc1Cl ZINC000628211276 1045545136 /nfs/dbraw/zinc/54/51/36/1045545136.db2.gz PCCPTZSRGIMNNW-UHFFFAOYSA-N 0 3 304.821 4.273 20 0 DIADHN Cc1ccc2nccc(N3CCCC[C@@H]3[C@@H]3CCC[C@@H]3O)c2c1 ZINC000450687744 1045545737 /nfs/dbraw/zinc/54/57/37/1045545737.db2.gz VRQUVHCSRCCKBV-CVAIRZPRSA-N 0 3 310.441 4.063 20 0 DIADHN Cc1ccc2nccc(N3CCCC[C@@H]3[C@H]3CCC[C@H]3O)c2c1 ZINC000450687746 1045545761 /nfs/dbraw/zinc/54/57/61/1045545761.db2.gz VRQUVHCSRCCKBV-XFQXTVEOSA-N 0 3 310.441 4.063 20 0 DIADHN Cc1nc([C@H](C)NCCc2cccc(C(F)(F)F)c2)cs1 ZINC000628213219 1045547053 /nfs/dbraw/zinc/54/70/53/1045547053.db2.gz CERVYNDRHXIXAJ-JTQLQIEISA-N 0 3 314.376 4.364 20 0 DIADHN CC[C@@H](NCCc1cccc(C(F)(F)F)c1)c1nccs1 ZINC000628218016 1045547295 /nfs/dbraw/zinc/54/72/95/1045547295.db2.gz QFGALCUETICTHQ-CYBMUJFWSA-N 0 3 314.376 4.445 20 0 DIADHN Cc1ccc([C@H](C)CNCc2nnc(-c3ccccc3)s2)cc1 ZINC000628229545 1045548687 /nfs/dbraw/zinc/54/86/87/1045548687.db2.gz YYGNWGVREPOTGH-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)c(Cl)c1)c1cccs1 ZINC000450711687 1045551694 /nfs/dbraw/zinc/55/16/94/1045551694.db2.gz DDSBPEBUSYMFCW-CQSZACIVSA-N 0 3 323.845 4.429 20 0 DIADHN Cc1nc(C)c(CNCC2(c3ccc(C(F)(F)F)cc3)CC2)o1 ZINC000628243945 1045553434 /nfs/dbraw/zinc/55/34/34/1045553434.db2.gz NDMANPYHFZPEMT-UHFFFAOYSA-N 0 3 324.346 4.132 20 0 DIADHN Cc1cnc([C@H](NC[C@@H]2CCCCC2(F)F)C2CC2)s1 ZINC000450731041 1045554907 /nfs/dbraw/zinc/55/49/07/1045554907.db2.gz YLWPZFWJLIYOEG-QWHCGFSZSA-N 0 3 300.418 4.318 20 0 DIADHN C[C@H]1CC(NCC(=O)c2ccc(Br)cc2)C[C@H](C)C1 ZINC001258152780 1045555414 /nfs/dbraw/zinc/55/54/14/1045555414.db2.gz WCGMUXROTMPARO-VXGBXAGGSA-N 0 3 324.262 4.046 20 0 DIADHN Cc1ccc(C2(CNCc3cnc(C4CC4)o3)CCC2)cc1C ZINC000628254209 1045556554 /nfs/dbraw/zinc/55/65/54/1045556554.db2.gz RWKSJBCZJGTXIW-UHFFFAOYSA-N 0 3 310.441 4.380 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3cnc(C4CC4)o3)C2)cc1 ZINC000628256954 1045557898 /nfs/dbraw/zinc/55/78/98/1045557898.db2.gz STCWLTHDWNRDII-CTYIDZIISA-N 0 3 302.805 4.241 20 0 DIADHN C[C@@H](O)CCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000450765629 1045558472 /nfs/dbraw/zinc/55/84/72/1045558472.db2.gz XLSXGYIIAKFLKW-DOMZBBRYSA-N 0 3 301.352 4.003 20 0 DIADHN CC[C@H](C)[C@H](C(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001122221286 1045563114 /nfs/dbraw/zinc/56/31/14/1045563114.db2.gz WKJIQIDXQGPIDU-LIRRHRJNSA-N 0 3 308.425 4.058 20 0 DIADHN FC(F)(F)c1cc(CN2CCC[C@@H]2[C@@H]2CCCOC2)cs1 ZINC000628321193 1045568358 /nfs/dbraw/zinc/56/83/58/1045568358.db2.gz QNIFQGOFHGQTBD-CHWSQXEVSA-N 0 3 319.392 4.158 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC2(CCc3ccccc3C2)CC1 ZINC000628323448 1045568691 /nfs/dbraw/zinc/56/86/91/1045568691.db2.gz UYUAKZBVZISYDX-UHFFFAOYSA-N 0 3 322.452 4.323 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc(F)cc1)c1c(C)nn(C)c1C ZINC000121323758 1045569469 /nfs/dbraw/zinc/56/94/69/1045569469.db2.gz ZRIMOUMSEXTTPV-IAGOWNOFSA-N 0 3 303.425 4.368 20 0 DIADHN c1cn2c(CN3CCCC4(CC4)CC3)c(C3CC3)nc2s1 ZINC000628480236 1045588350 /nfs/dbraw/zinc/58/83/50/1045588350.db2.gz GXZMJOGICRIWSU-UHFFFAOYSA-N 0 3 301.459 4.039 20 0 DIADHN CC[C@@H](NCC1(C)OCCCO1)c1ccc(Cl)c(Cl)c1 ZINC000190660756 1045596656 /nfs/dbraw/zinc/59/66/56/1045596656.db2.gz OKSOXOIXLCEDJM-CQSZACIVSA-N 0 3 318.244 4.187 20 0 DIADHN Cc1cc(C)c(CC(=O)C(C#N)c2nc3ccccc3o2)c(C)c1 ZINC000190668968 1045597401 /nfs/dbraw/zinc/59/74/01/1045597401.db2.gz XYGUSUNYHAPOMT-MRXNPFEDSA-N 0 3 318.376 4.172 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC[C@H](c2ccncc2)C1 ZINC000450998689 1045607061 /nfs/dbraw/zinc/60/70/61/1045607061.db2.gz LSNZXBGCKOKUGZ-HNNXBMFYSA-N 0 3 318.367 4.063 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN3C[C@@H]3CCC(F)(F)C3)nc2c1 ZINC000451011444 1045610312 /nfs/dbraw/zinc/61/03/12/1045610312.db2.gz CTKKBSCLGVONIM-CZUORRHYSA-N 0 3 319.399 4.444 20 0 DIADHN Cc1ccc2nc([C@H]3CCCN3C[C@@H]3CCC(F)(F)C3)[nH]c2c1 ZINC000451011444 1045610320 /nfs/dbraw/zinc/61/03/20/1045610320.db2.gz CTKKBSCLGVONIM-CZUORRHYSA-N 0 3 319.399 4.444 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@@H](O)c1ccc(F)cc1F ZINC000800395152 1045615222 /nfs/dbraw/zinc/61/52/22/1045615222.db2.gz PVDYZLFFHRIWMX-BDJLRTHQSA-N 0 3 323.408 4.071 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C[C@H](O)c2ccc(F)cc2)oc1C ZINC000800478806 1045625007 /nfs/dbraw/zinc/62/50/07/1045625007.db2.gz AADGBIYAGBIUFA-DCGLDWPTSA-N 0 3 305.393 4.198 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc3ccccc3c2)oc1C ZINC000800479255 1045625113 /nfs/dbraw/zinc/62/51/13/1045625113.db2.gz YFUFLNHOQREGPL-KUHUBIRLSA-N 0 3 309.409 4.434 20 0 DIADHN CC[C@H](NC(=O)[C@@H](C)N1CCCCCC1)c1cccc(Cl)c1 ZINC000303608514 1045626311 /nfs/dbraw/zinc/62/63/11/1045626311.db2.gz YMLGXOXVYGTJSW-PBHICJAKSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2COC3(CCCCCC3)O2)oc1C ZINC000800488156 1045629293 /nfs/dbraw/zinc/62/92/93/1045629293.db2.gz OUERXBTWRFRRJV-HOCLYGCPSA-N 0 3 307.434 4.013 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc(-n2cccn2)cc1 ZINC000121614362 1045635391 /nfs/dbraw/zinc/63/53/91/1045635391.db2.gz DSLCNYWYDJELKC-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1cc(F)c(Cl)cc1Cl ZINC001258171422 1045653753 /nfs/dbraw/zinc/65/37/53/1045653753.db2.gz YKLIIDYANOOKEM-VIFPVBQESA-N 0 3 302.176 4.446 20 0 DIADHN Cc1sc(C(C)(C)NCCCF)nc1-c1ccccc1F ZINC000451166189 1045638476 /nfs/dbraw/zinc/63/84/76/1045638476.db2.gz MYGHGKZMZZAPIK-UHFFFAOYSA-N 0 3 310.413 4.442 20 0 DIADHN Fc1ccc(C2CCN(C[C@@H]3CC3(Cl)Cl)CC2)cc1 ZINC000752093972 1045658228 /nfs/dbraw/zinc/65/82/28/1045658228.db2.gz ODVJBVNZHQWTAA-ZDUSSCGKSA-N 0 3 302.220 4.199 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1csc(Cl)c1 ZINC000191140738 1045661463 /nfs/dbraw/zinc/66/14/63/1045661463.db2.gz YQJMQVVBKOAUKX-RNCFNFMXSA-N 0 3 316.253 4.439 20 0 DIADHN Cc1cc(C)cc([C@H](O)CNCc2cc3cc(F)ccc3o2)c1 ZINC000800896606 1045664276 /nfs/dbraw/zinc/66/42/76/1045664276.db2.gz NTSZDXUXJWWURW-GOSISDBHSA-N 0 3 313.372 4.012 20 0 DIADHN Cc1ccccc1[C@@H](O)CNC1c2ccccc2-c2ccccc21 ZINC000779406027 1045671013 /nfs/dbraw/zinc/67/10/13/1045671013.db2.gz STQJRKJHMSBTBV-NRFANRHFSA-N 0 3 315.416 4.388 20 0 DIADHN Cc1sc([C@H](C)N[C@@H](C)CCO)nc1-c1ccc(Cl)cc1 ZINC000779406900 1045672378 /nfs/dbraw/zinc/67/23/78/1045672378.db2.gz NFNMYJOIEFDCAZ-QWRGUYRKSA-N 0 3 324.877 4.193 20 0 DIADHN Cc1ccc(OCCN(CCC(F)(F)F)CC2CC2)cc1 ZINC000752356068 1045674680 /nfs/dbraw/zinc/67/46/80/1045674680.db2.gz SJDAUCKCYURAJT-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN C[C@@H](c1ncc(C(C)(C)C)o1)N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000451375437 1045675052 /nfs/dbraw/zinc/67/50/52/1045675052.db2.gz UUEBRTOVLMWVNN-JRWXATFMSA-N 0 3 300.446 4.177 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000451412307 1045679011 /nfs/dbraw/zinc/67/90/11/1045679011.db2.gz ADPJWMXILDWNBJ-WXYCVUISSA-N 0 3 311.828 4.166 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@@H](C)c2ccc3ccccc3n2)c1 ZINC000800994966 1045679357 /nfs/dbraw/zinc/67/93/57/1045679357.db2.gz YTUPHBZUQMGKQY-HRAATJIYSA-N 0 3 320.436 4.236 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@H]1CCC3(CCCC3)O1)CCC2 ZINC000530516452 1045682618 /nfs/dbraw/zinc/68/26/18/1045682618.db2.gz NMEREIJJYWGGBP-GDBMZVCRSA-N 0 3 320.502 4.253 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCCc2nc(C)sc21 ZINC000121946171 1045690350 /nfs/dbraw/zinc/69/03/50/1045690350.db2.gz CBXYOKZNXWJACU-HOCLYGCPSA-N 0 3 314.454 4.115 20 0 DIADHN CCCN(Cc1ccc(C)cc1)C(=O)c1cccc(CN(C)C)c1 ZINC000530565575 1045692313 /nfs/dbraw/zinc/69/23/13/1045692313.db2.gz CASRYIKMKVWMGX-UHFFFAOYSA-N 0 3 324.468 4.109 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cccc3c1NCC3)CCC2 ZINC000629669304 1045693498 /nfs/dbraw/zinc/69/34/98/1045693498.db2.gz MFTZZECBEUDMTJ-SFHVURJKSA-N 0 3 312.844 4.475 20 0 DIADHN Cc1c(Cl)ccc(CNCC(C)(C)[C@H](O)C(C)C)c1Cl ZINC001461416912 1045710448 /nfs/dbraw/zinc/71/04/48/1045710448.db2.gz PHEABQLEBOHEPY-OAHLLOKOSA-N 0 3 318.288 4.435 20 0 DIADHN COC/C(C)=C/CN[C@H](c1cccs1)c1ccc(F)cc1 ZINC000901353841 1045707020 /nfs/dbraw/zinc/70/70/20/1045707020.db2.gz UAMKAPYKCMLQGT-VEMDQMBVSA-N 0 3 305.418 4.159 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(OC(F)F)cc2)c(C)c1 ZINC000752853542 1045709488 /nfs/dbraw/zinc/70/94/88/1045709488.db2.gz AKLMDKRLUWZTBX-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000451707170 1045716354 /nfs/dbraw/zinc/71/63/54/1045716354.db2.gz MQTOAQSVIICCOK-NMFUWQPSSA-N 0 3 311.828 4.023 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3C[C@@H]4CCC[C@@H]4C3)o2)cc1C ZINC000122192670 1045721699 /nfs/dbraw/zinc/72/16/99/1045721699.db2.gz VAPCERLSTCAPHW-OIISXLGYSA-N 0 3 311.429 4.146 20 0 DIADHN Cc1nccn1CCN1CCCC[C@@H]1c1ccc(Cl)cc1 ZINC000451738075 1045725129 /nfs/dbraw/zinc/72/51/29/1045725129.db2.gz RNMNVBWKKLGIAD-QGZVFWFLSA-N 0 3 303.837 4.072 20 0 DIADHN Cc1cccc([C@H](NCCc2ccc(F)cc2)c2ccccn2)c1 ZINC000779438580 1045729349 /nfs/dbraw/zinc/72/93/49/1045729349.db2.gz HOEQEZYKLCHKEQ-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC000530816500 1045732950 /nfs/dbraw/zinc/73/29/50/1045732950.db2.gz RKZCSQQQZMKNLA-IRXDYDNUSA-N 0 3 322.452 4.189 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCC2)O1)c1nc2ccccc2s1 ZINC000801539949 1045733296 /nfs/dbraw/zinc/73/32/96/1045733296.db2.gz RGOWUSRVUWYDTP-KGLIPLIRSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2cccc(C(F)F)c2F)cc1 ZINC000630054091 1045734628 /nfs/dbraw/zinc/73/46/28/1045734628.db2.gz FNXZECWUWDWJNK-APPDUMDISA-N 0 3 321.342 4.480 20 0 DIADHN Cc1ccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)c(C)c1 ZINC000530872524 1045743820 /nfs/dbraw/zinc/74/38/20/1045743820.db2.gz YBOPEQKTSLBQEN-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CCCN(CC(=O)Nc1c(C)cc(C)cc1Cl)CC(C)C ZINC000530867675 1045743995 /nfs/dbraw/zinc/74/39/95/1045743995.db2.gz XFMJXGFLGXYIMO-UHFFFAOYSA-N 0 3 310.869 4.263 20 0 DIADHN COc1cc(Cl)cc(C[NH2+]Cc2ccc(C3CC3)cc2)c1[O-] ZINC000191681793 1045747923 /nfs/dbraw/zinc/74/79/23/1045747923.db2.gz NNSSMSJORBDRPR-UHFFFAOYSA-N 0 3 317.816 4.221 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCOCC(F)(F)F)c(F)c1 ZINC000451965798 1045752035 /nfs/dbraw/zinc/75/20/35/1045752035.db2.gz LCJHNEJGQYDXPK-AWEZNQCLSA-N 0 3 323.305 4.071 20 0 DIADHN FC(F)(F)c1ccc(-c2csc([C@H]3CCCCN3)n2)nc1 ZINC000630381448 1045756519 /nfs/dbraw/zinc/75/65/19/1045756519.db2.gz QSURQFRTTUZOSU-LLVKDONJSA-N 0 3 313.348 4.039 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1ccccc1Cl ZINC000753539595 1045759215 /nfs/dbraw/zinc/75/92/15/1045759215.db2.gz RQPZWUXMTUOXNH-ZIAGYGMSSA-N 0 3 308.853 4.037 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCC[C@@H](C)C1)c1nc2ccccc2c(=O)[nH]1 ZINC000452064660 1045766478 /nfs/dbraw/zinc/76/64/78/1045766478.db2.gz GRZWVZSDALCIBC-QPSCCSFWSA-N 0 3 313.445 4.201 20 0 DIADHN CCc1ccccc1CN[C@H](c1cccs1)C1(CO)CCC1 ZINC000452055053 1045769349 /nfs/dbraw/zinc/76/93/49/1045769349.db2.gz BFEMKGWAIZRNTF-GOSISDBHSA-N 0 3 315.482 4.304 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C(C)C)C1CC(C)(C)C1 ZINC000452109638 1045774516 /nfs/dbraw/zinc/77/45/16/1045774516.db2.gz YUVWBMOFPDMAGE-UHFFFAOYSA-N 0 3 316.489 4.395 20 0 DIADHN COCc1ccc(CNCc2ccc(CC(F)(F)F)cc2)cc1 ZINC000631037416 1045799301 /nfs/dbraw/zinc/79/93/01/1045799301.db2.gz JCMFGXHRPWNXJR-UHFFFAOYSA-N 0 3 323.358 4.228 20 0 DIADHN COCCc1ncc(CN[C@H](CC(C)C)c2cccs2)s1 ZINC000631049077 1045806169 /nfs/dbraw/zinc/80/61/69/1045806169.db2.gz SZPVTLKJYNKZAJ-CQSZACIVSA-N 0 3 324.515 4.271 20 0 DIADHN C[C@@](O)(CNCc1cc(Cl)ccc1Cl)c1cccs1 ZINC000753854716 1045811656 /nfs/dbraw/zinc/81/16/56/1045811656.db2.gz WISITPVFMDFOPL-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN CC(C)C1CN(Cc2c(-c3ccccc3)nc3sccn23)C1 ZINC000753859505 1045813045 /nfs/dbraw/zinc/81/30/45/1045813045.db2.gz OHKHBADKOASFDF-UHFFFAOYSA-N 0 3 311.454 4.151 20 0 DIADHN C[C@H](COc1ccccc1)N[C@H]1CCc2c1c(F)ccc2F ZINC000753861358 1045813814 /nfs/dbraw/zinc/81/38/14/1045813814.db2.gz ZMJZYBFMEQTCRU-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN CC(=O)Oc1ccc(CN(C)Cc2ccc3ccccc3c2)cc1 ZINC000753905011 1045814534 /nfs/dbraw/zinc/81/45/34/1045814534.db2.gz UGXNQKINOPPNJB-UHFFFAOYSA-N 0 3 319.404 4.397 20 0 DIADHN Clc1c2ccccc2oc1CN[C@H]1CCOC2(CCC2)C1 ZINC000631091504 1045815006 /nfs/dbraw/zinc/81/50/06/1045815006.db2.gz NBNVZPHKRGMLPH-LBPRGKRZSA-N 0 3 305.805 4.278 20 0 DIADHN Clc1c2ccccc2oc1CN[C@H]1CCO[C@@H](C2CC2)C1 ZINC000631111713 1045817019 /nfs/dbraw/zinc/81/70/19/1045817019.db2.gz BLEPZJFIBDIQPI-SWLSCSKDSA-N 0 3 305.805 4.133 20 0 DIADHN CC(=O)Oc1ccc(CN(C)[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000753910929 1045818548 /nfs/dbraw/zinc/81/85/48/1045818548.db2.gz CXSRJIRNARMBQL-ZDUSSCGKSA-N 0 3 317.816 4.458 20 0 DIADHN COCCCOc1cccc(CNCc2csc(C)c2C)c1 ZINC000631100279 1045819316 /nfs/dbraw/zinc/81/93/16/1045819316.db2.gz WFCZBQAQGPGYJR-UHFFFAOYSA-N 0 3 319.470 4.070 20 0 DIADHN CCCNc1ccc(CNCc2ccc(C(F)F)nc2)cc1 ZINC000631122599 1045821194 /nfs/dbraw/zinc/82/11/94/1045821194.db2.gz UGQMUGABIPPRMG-UHFFFAOYSA-N 0 3 305.372 4.131 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(CC(F)(F)F)cc2)cn1 ZINC000631153668 1045823857 /nfs/dbraw/zinc/82/38/57/1045823857.db2.gz DXVYJNDLSLVJIW-UHFFFAOYSA-N 0 3 308.347 4.093 20 0 DIADHN COCCc1ncc(CN[C@@H](c2cccc(C)c2)C(C)C)s1 ZINC000631181977 1045828603 /nfs/dbraw/zinc/82/86/03/1045828603.db2.gz KLULQWXLAVLCDP-GOSISDBHSA-N 0 3 318.486 4.127 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCCc3c(C)cccc32)c1 ZINC000631176382 1045829083 /nfs/dbraw/zinc/82/90/83/1045829083.db2.gz BSDYARIFQDOSJP-FQEVSTJZSA-N 0 3 308.425 4.121 20 0 DIADHN CC[C@](C)(NCc1cccc(NC(C)=O)c1)c1ccc(F)cc1 ZINC000631192934 1045831656 /nfs/dbraw/zinc/83/16/56/1045831656.db2.gz BKLNPZVHKGLUBJ-IBGZPJMESA-N 0 3 314.404 4.199 20 0 DIADHN CC[C@](C)(NCc1cnn(C(C)(C)C)c1)c1ccc(F)cc1 ZINC000631195378 1045831806 /nfs/dbraw/zinc/83/18/06/1045831806.db2.gz WGWYCXFUNAYYIW-SFHVURJKSA-N 0 3 303.425 4.192 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](CCc1ccccc1)c1ccccn1 ZINC000631199098 1045832205 /nfs/dbraw/zinc/83/22/05/1045832205.db2.gz ICNQBHMFMZWOQC-PXNSSMCTSA-N 0 3 312.457 4.159 20 0 DIADHN Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)c(F)c2)s1 ZINC000631191746 1045832471 /nfs/dbraw/zinc/83/24/71/1045832471.db2.gz PDFAQTNCEXJVFR-HNNXBMFYSA-N 0 3 313.825 4.062 20 0 DIADHN C[C@@H](NCc1cccc(C2CC2)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000631248763 1045842976 /nfs/dbraw/zinc/84/29/76/1045842976.db2.gz XJJKKDLKGHYXSQ-GFCCVEGCSA-N 0 3 308.381 4.262 20 0 DIADHN Cc1cc(Cl)cc(CNCc2cccc3c2CCOC3)c1 ZINC000631243438 1045843860 /nfs/dbraw/zinc/84/38/60/1045843860.db2.gz HZSXGKWRDMDHBQ-UHFFFAOYSA-N 0 3 301.817 4.011 20 0 DIADHN COc1cccc(CNCc2cccc3[nH]ccc32)c1OC(C)C ZINC000631305365 1045848668 /nfs/dbraw/zinc/84/86/68/1045848668.db2.gz CYAMAEQBZUMBTA-UHFFFAOYSA-N 0 3 324.424 4.254 20 0 DIADHN Cc1ccc2c(CN[C@H](c3ccccc3)C3CC3)cc(=O)oc2c1 ZINC000192502343 1045853663 /nfs/dbraw/zinc/85/36/63/1045853663.db2.gz SKMAIFYXYGAKHW-OAQYLSRUSA-N 0 3 319.404 4.342 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](C)c1ccc(OC)c(F)c1 ZINC000631564526 1045868087 /nfs/dbraw/zinc/86/80/87/1045868087.db2.gz SJESYJHQPFHHBS-XIKOKIGWSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1[nH]c2ccc(CN[C@H]3CCCc4ncccc43)cc2c1C ZINC000631573569 1045875402 /nfs/dbraw/zinc/87/54/02/1045875402.db2.gz NIPDMEZAHQINSX-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN CCC[C@@H](NCc1ccccc1-n1cccn1)c1cc(C)ccn1 ZINC000631599470 1045882337 /nfs/dbraw/zinc/88/23/37/1045882337.db2.gz KGNPCRAYCFHBMH-GOSISDBHSA-N 0 3 320.440 4.207 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC000531647858 1045885949 /nfs/dbraw/zinc/88/59/49/1045885949.db2.gz YMXVTXYWSAUEDE-XJKSGUPXSA-N 0 3 310.507 4.072 20 0 DIADHN CC1CCN(CC(=O)N2CCC[C@H]2CCC2CCCCC2)CC1 ZINC001137526785 1045886254 /nfs/dbraw/zinc/88/62/54/1045886254.db2.gz GSAWXLVVWPZNRQ-IBGZPJMESA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@H](N[C@@H](C)CC(F)(F)F)c1cccc(OC)c1OC ZINC000631619664 1045890466 /nfs/dbraw/zinc/89/04/66/1045890466.db2.gz WMESFVPKPHYBOA-JQWIXIFHSA-N 0 3 305.340 4.085 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H](C)CCc1c[nH]c2ccccc12 ZINC000631631209 1045892700 /nfs/dbraw/zinc/89/27/00/1045892700.db2.gz GMGRBEAFBXJXGN-HUUCEWRRSA-N 0 3 310.445 4.056 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)[C@H]1CCO[C@@H](C)C1 ZINC001168141419 1045894552 /nfs/dbraw/zinc/89/45/52/1045894552.db2.gz JGMXKGIJXLPOII-FZMZJTMJSA-N 0 3 302.245 4.383 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1cnccc1C(C)(C)C ZINC000631636383 1045895534 /nfs/dbraw/zinc/89/55/34/1045895534.db2.gz GGPWTONTVFAHCO-LJQANCHMSA-N 0 3 310.441 4.165 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000902051358 1045897318 /nfs/dbraw/zinc/89/73/18/1045897318.db2.gz MQNMZKXATFBDCA-ZDUSSCGKSA-N 0 3 320.383 4.489 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)s1 ZINC000631654507 1045897625 /nfs/dbraw/zinc/89/76/25/1045897625.db2.gz MNSGSMXRFYIYOV-HQQWDMGQSA-N 0 3 322.861 4.286 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1cc2ccccc2o1 ZINC000754582749 1045898730 /nfs/dbraw/zinc/89/87/30/1045898730.db2.gz JBTPJZAMMLYPMN-LRDDRELGSA-N 0 3 315.800 4.470 20 0 DIADHN Cc1ccsc1[C@@H](C)NCC(=O)Nc1ccc(C)cc1Cl ZINC000631647826 1045899113 /nfs/dbraw/zinc/89/91/13/1045899113.db2.gz IPFHOSVNKNTYHK-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN CCC[C@@H](CCO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000631668595 1045900786 /nfs/dbraw/zinc/90/07/86/1045900786.db2.gz ZSSGITVWWVKXCI-ZFWWWQNUSA-N 0 3 304.459 4.012 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000531713928 1045903081 /nfs/dbraw/zinc/90/30/81/1045903081.db2.gz JDAAXVGJUJUCJD-ZIAGYGMSSA-N 0 3 301.352 4.099 20 0 DIADHN CNc1ccccc1CN[C@H](C)c1cccc(OC(F)F)c1 ZINC000902065899 1045903522 /nfs/dbraw/zinc/90/35/22/1045903522.db2.gz ALGDBHWLRJSCTN-GFCCVEGCSA-N 0 3 306.356 4.181 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc3cccnc3c1)CCC2 ZINC000631685047 1045904328 /nfs/dbraw/zinc/90/43/28/1045904328.db2.gz WALKUDNSFPPVCJ-IBGZPJMESA-N 0 3 304.393 4.108 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000531713921 1045904744 /nfs/dbraw/zinc/90/47/44/1045904744.db2.gz JDAAXVGJUJUCJD-KBPBESRZSA-N 0 3 301.352 4.099 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc3cccnc3c2)cnn1C(C)(C)C ZINC000631685421 1045905084 /nfs/dbraw/zinc/90/50/84/1045905084.db2.gz ZUDLUAJMXWERJA-CQSZACIVSA-N 0 3 322.456 4.346 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(C)s2)cc1 ZINC000754659430 1045905218 /nfs/dbraw/zinc/90/52/18/1045905218.db2.gz OQCYOTVHXIDACH-ZIAGYGMSSA-N 0 3 316.470 4.297 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc3cccnc3c1)CC2 ZINC000631685297 1045905178 /nfs/dbraw/zinc/90/51/78/1045905178.db2.gz XWDPDLAVURCMMG-LJQANCHMSA-N 0 3 304.393 4.021 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(F)ccc2F)s1 ZINC000754668411 1045906921 /nfs/dbraw/zinc/90/69/21/1045906921.db2.gz DLGPEGIGNHGFGQ-GHMZBOCLSA-N 0 3 324.396 4.013 20 0 DIADHN Cc1cc(CN(C)Cc2cccs2)ccc1Br ZINC001137615702 1045907497 /nfs/dbraw/zinc/90/74/97/1045907497.db2.gz SMEGYJHZOLBHHR-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(F)ccc2C)s1 ZINC000754665777 1045907599 /nfs/dbraw/zinc/90/75/99/1045907599.db2.gz XTPSYZVAAZRKCH-STQMWFEESA-N 0 3 320.433 4.182 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(F)c2)s1 ZINC000754670456 1045907678 /nfs/dbraw/zinc/90/76/78/1045907678.db2.gz WDLHIFPQHOEODS-CHWSQXEVSA-N 0 3 320.433 4.182 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cccc3c1CCOC3)CC2 ZINC000631729689 1045909181 /nfs/dbraw/zinc/90/91/81/1045909181.db2.gz RAOIOMLUFVWZII-IBGZPJMESA-N 0 3 313.828 4.190 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(F)c(F)c2)s1 ZINC000754677898 1045909451 /nfs/dbraw/zinc/90/94/51/1045909451.db2.gz XPDCDZYEJZKESV-WDEREUQCSA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc3c1CCOC3)CCCO2 ZINC000631728954 1045910001 /nfs/dbraw/zinc/91/00/01/1045910001.db2.gz BUQOSZPXPZBHNA-FQEVSTJZSA-N 0 3 323.436 4.071 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(F)c(F)c2)s1 ZINC000754677893 1045910371 /nfs/dbraw/zinc/91/03/71/1045910371.db2.gz XPDCDZYEJZKESV-MNOVXSKESA-N 0 3 324.396 4.013 20 0 DIADHN CC(C)CC(=O)CCN1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000828469366 1045910693 /nfs/dbraw/zinc/91/06/93/1045910693.db2.gz HRYOQMDQNFZHNO-CQSZACIVSA-N 0 3 305.384 4.046 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C(C)C)c1)c1cccc(OC)c1 ZINC000531717843 1045910881 /nfs/dbraw/zinc/91/08/81/1045910881.db2.gz CGTYFGJSKMPHCI-KDOFPFPSSA-N 0 3 301.434 4.275 20 0 DIADHN CC(C)C[C@@H](NCc1cccc2c1CCOC2)c1ccccn1 ZINC000631729894 1045911045 /nfs/dbraw/zinc/91/10/45/1045911045.db2.gz TUOUBFGJIUTWMG-HXUWFJFHSA-N 0 3 310.441 4.031 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@H]1CCCn3nccc31)CCC2 ZINC000631809662 1045923025 /nfs/dbraw/zinc/92/30/25/1045923025.db2.gz LUYBHDXFJZDBPN-SJORKVTESA-N 0 3 313.470 4.107 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H]2CCCn3nccc32)cc1 ZINC000631810510 1045923954 /nfs/dbraw/zinc/92/39/54/1045923954.db2.gz URVBRSVKESRTCZ-CRAIPNDOSA-N 0 3 313.445 4.248 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2N[C@@H]1CCCn2nccc21 ZINC000631810376 1045923989 /nfs/dbraw/zinc/92/39/89/1045923989.db2.gz SITDZHKZWYEECW-JKSUJKDBSA-N 0 3 301.821 4.039 20 0 DIADHN Cc1cccc(CN2CCC3(CC(OCC4CC4)C3)CC2)c1F ZINC001137676132 1045930224 /nfs/dbraw/zinc/93/02/24/1045930224.db2.gz KMPFABBUBLQBCD-UHFFFAOYSA-N 0 3 317.448 4.305 20 0 DIADHN CC[C@H](NCc1ccc(OC)cc1C(F)(F)F)c1ccncc1 ZINC000453083557 1045930345 /nfs/dbraw/zinc/93/03/45/1045930345.db2.gz DNHZTHOOEPLMFA-INIZCTEOSA-N 0 3 324.346 4.350 20 0 DIADHN Cc1cccc(CN2CCC(n3ncc4ccccc43)CC2)c1F ZINC001137677323 1045930382 /nfs/dbraw/zinc/93/03/82/1045930382.db2.gz LWIUQGGIQSIHHF-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Cc1cc([C@H](C)NCc2cccc(Cn3ccnc3)c2)cs1 ZINC000453095995 1045934148 /nfs/dbraw/zinc/93/41/48/1045934148.db2.gz VOEANPOCFKTRSZ-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1ccccc1CN[C@H](C)c1cc(-c2ccccc2)nn1C ZINC000453094356 1045934241 /nfs/dbraw/zinc/93/42/41/1045934241.db2.gz RRAHOEYAQYQZGH-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@H]1CCCCO1 ZINC000531789190 1045940695 /nfs/dbraw/zinc/94/06/95/1045940695.db2.gz ZBVXKDRBHWPXCG-LJQANCHMSA-N 0 3 311.425 4.021 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H](C)CCCc1cccnc1 ZINC000453125914 1045946150 /nfs/dbraw/zinc/94/61/50/1045946150.db2.gz XIPFRDNBYIZGNQ-GJZGRUSLSA-N 0 3 316.420 4.291 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](CO)c2ccc(F)cc2)c2sccc21 ZINC000453153150 1045952094 /nfs/dbraw/zinc/95/20/94/1045952094.db2.gz YWMTVIVCLOVNST-RLCCDNCMSA-N 0 3 305.418 4.149 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(-c2ccccc2)nn1C)c1ccncc1 ZINC000453127619 1045953853 /nfs/dbraw/zinc/95/38/53/1045953853.db2.gz PTFLZJGEQSSFFX-QAPCUYQASA-N 0 3 320.440 4.284 20 0 DIADHN CC(C)c1ncc(CNC2(c3ccccc3)CCOCC2)s1 ZINC000531818375 1045959802 /nfs/dbraw/zinc/95/98/02/1045959802.db2.gz WNUVHXNNDULCFP-UHFFFAOYSA-N 0 3 316.470 4.062 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@H](O)c1ccc(F)cc1F ZINC000755195036 1045962227 /nfs/dbraw/zinc/96/22/27/1045962227.db2.gz MYYQOXHTHYRXKJ-CPPNXCSESA-N 0 3 317.379 4.034 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(SC(F)F)cc2)CCS1 ZINC000755199345 1045963192 /nfs/dbraw/zinc/96/31/92/1045963192.db2.gz MAUAIFNGOYOJFV-LLVKDONJSA-N 0 3 303.443 4.329 20 0 DIADHN C/C(=C/C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1)C(C)(C)C ZINC000802377466 1045964216 /nfs/dbraw/zinc/96/42/16/1045964216.db2.gz JBJZYFINLTWSNJ-KVNDZABWSA-N 0 3 322.880 4.051 20 0 DIADHN Cc1cnc(C2CCN(C[C@]3(C)CC3(Cl)Cl)CC2)s1 ZINC000829243280 1045964508 /nfs/dbraw/zinc/96/45/08/1045964508.db2.gz AHEASIQFBJEPBL-ZDUSSCGKSA-N 0 3 319.301 4.215 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453171839 1045964873 /nfs/dbraw/zinc/96/48/73/1045964873.db2.gz XIGDIMCELNCUIM-DZGCQCFKSA-N 0 3 319.399 4.316 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1ccc(Oc2ccccc2)cn1 ZINC000453170449 1045965716 /nfs/dbraw/zinc/96/57/16/1045965716.db2.gz GNEUZUFTXDQFHQ-VHKYSDTDSA-N 0 3 324.424 4.092 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H]2CCC(C)(C)c3ccccc32)c2nccn21 ZINC000453170283 1045965816 /nfs/dbraw/zinc/96/58/16/1045965816.db2.gz VCBPHRKQTJJMKW-RKVPGOIHSA-N 0 3 309.457 4.334 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H]1CC[C@@H](C)c2ccsc21 ZINC000453174280 1045967535 /nfs/dbraw/zinc/96/75/35/1045967535.db2.gz KFASRHNJXXAGDH-MLGOLLRUSA-N 0 3 317.502 4.335 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)cs1 ZINC000453182846 1045969294 /nfs/dbraw/zinc/96/92/94/1045969294.db2.gz RZRQGLLEXOXMOR-KBPBESRZSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(O)(c2ccccc2Cl)CC1 ZINC000193143844 1045970301 /nfs/dbraw/zinc/97/03/01/1045970301.db2.gz UIGBJYOXZLRKKG-OAHLLOKOSA-N 0 3 315.844 4.385 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H](C2CC2)C2CCCC2)no1 ZINC000453194189 1045976059 /nfs/dbraw/zinc/97/60/59/1045976059.db2.gz ARCNVTQUMIEJKL-QGZVFWFLSA-N 0 3 306.450 4.048 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2cccc(Cl)c2F)c2nccn21 ZINC000453194909 1045977113 /nfs/dbraw/zinc/97/71/13/1045977113.db2.gz JSWSVMCNLQBQEE-BXUZGUMPSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H]2CCCc3nn(C)cc32)c2sccc21 ZINC000453204468 1045981170 /nfs/dbraw/zinc/98/11/70/1045981170.db2.gz BUSQNAUMYNDBEZ-WPGHFRTFSA-N 0 3 301.459 4.087 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H]2CCCc3nn(C)cc32)c2sccc21 ZINC000453204460 1045982234 /nfs/dbraw/zinc/98/22/34/1045982234.db2.gz BUSQNAUMYNDBEZ-DIOULYMOSA-N 0 3 301.459 4.087 20 0 DIADHN Clc1nc(CN2CC[C@H](c3cccnc3)C2)cc2ccccc21 ZINC000829523255 1045982827 /nfs/dbraw/zinc/98/28/27/1045982827.db2.gz USGZGMREMDQLHL-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN CCn1ccnc1[C@H](C)NC1CC(c2ccc(F)c(Cl)c2)C1 ZINC000453210490 1045985795 /nfs/dbraw/zinc/98/57/95/1045985795.db2.gz JZUATCLZBTXPJW-XGNXJENSSA-N 0 3 321.827 4.292 20 0 DIADHN Cc1cscc1CN[C@H](C)c1cc(-c2ccccc2)nn1C ZINC000453220836 1045990674 /nfs/dbraw/zinc/99/06/74/1045990674.db2.gz PGZSUGMPECSAKT-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3c(Cl)[nH]c4ccccc43)C[C@@H]21 ZINC001138686834 1045997241 /nfs/dbraw/zinc/99/72/41/1045997241.db2.gz SZPMADYCTUXVNR-MFKMUULPSA-N 0 3 310.775 4.298 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccsc1 ZINC000453239460 1045999243 /nfs/dbraw/zinc/99/92/43/1045999243.db2.gz WYHGWTMQQLKNKC-IRXDYDNUSA-N 0 3 306.450 4.231 20 0 DIADHN C[C@@H]1C[C@@H](NC2(Cc3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453234936 1045999745 /nfs/dbraw/zinc/99/97/45/1045999745.db2.gz IWKUBXPRMVDLLX-CZUORRHYSA-N 0 3 315.848 4.297 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccccc1C(C)C ZINC000829678250 1045999907 /nfs/dbraw/zinc/99/99/07/1045999907.db2.gz BPUXFRXXHHRNIB-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccnc(C(F)(F)F)c2)c2ccccc21 ZINC000453289420 1046016223 /nfs/dbraw/zinc/01/62/23/1046016223.db2.gz QIZOHGUGYPKVRJ-IAQYHMDHSA-N 0 3 306.331 4.439 20 0 DIADHN CC[C@@](C)(CN[C@@H](c1cccnc1)c1ccc(F)c(C)c1)OC ZINC000453294299 1046018828 /nfs/dbraw/zinc/01/88/28/1046018828.db2.gz FBAXCPSWBNCSKX-MOPGFXCFSA-N 0 3 316.420 4.023 20 0 DIADHN COc1ncc(CN[C@@H]2C[C@H](C)c3c2cccc3C)cc1Cl ZINC000453299167 1046020252 /nfs/dbraw/zinc/02/02/52/1046020252.db2.gz UENGJJQKKWLDBF-BLLLJJGKSA-N 0 3 316.832 4.390 20 0 DIADHN C[C@H](NCc1ccc2c(c1)COC(C)(C)O2)c1ccsc1 ZINC000802655382 1046024357 /nfs/dbraw/zinc/02/43/57/1046024357.db2.gz WHYANYCBHAHEHM-LBPRGKRZSA-N 0 3 303.427 4.244 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cnccc1C ZINC000453332714 1046024790 /nfs/dbraw/zinc/02/47/90/1046024790.db2.gz VNJUSQYBJDLJPJ-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN CC[C@@H]1CC(=O)N(CN2CCC[C@@H]2c2cccc3ccccc32)C1 ZINC000755915346 1046028669 /nfs/dbraw/zinc/02/86/69/1046028669.db2.gz YRWJRLYAUYYSMN-OXQOHEQNSA-N 0 3 322.452 4.193 20 0 DIADHN CNc1ccc(C)cc1CN[C@H]1CCCN(C)c2ccccc21 ZINC000902584760 1046029088 /nfs/dbraw/zinc/02/90/88/1046029088.db2.gz PUPDJSPFFPZUCD-IBGZPJMESA-N 0 3 309.457 4.098 20 0 DIADHN CNc1ccccc1CN[C@H]1CCCc2c(F)cc(F)cc21 ZINC000902575837 1046031041 /nfs/dbraw/zinc/03/10/41/1046031041.db2.gz PVUDKASXORVWMT-SFHVURJKSA-N 0 3 302.368 4.174 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1ccc(SC(F)(F)F)cc1 ZINC001137954986 1046031379 /nfs/dbraw/zinc/03/13/79/1046031379.db2.gz ACZYDKLXPMYXSW-GMXVVIOVSA-N 0 3 305.340 4.231 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453318315 1046034624 /nfs/dbraw/zinc/03/46/24/1046034624.db2.gz AYNBXMIWWCDJDA-GDIGMMSISA-N 0 3 312.413 4.092 20 0 DIADHN COc1ccc(CCN(C)Cc2cccc(Cl)c2F)cc1 ZINC001137961348 1046036406 /nfs/dbraw/zinc/03/64/06/1046036406.db2.gz DTMILAFSDVJRTN-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1cnccc1C ZINC000453320360 1046036561 /nfs/dbraw/zinc/03/65/61/1046036561.db2.gz FLSAGFPXERULJB-CYBMUJFWSA-N 0 3 324.453 4.068 20 0 DIADHN C[C@H](N[C@H](c1ccc(F)c(Cl)c1)C1CC1)c1cnn(C)c1 ZINC000453321732 1046036593 /nfs/dbraw/zinc/03/65/93/1046036593.db2.gz OPVIRBOEGDRWLH-QFYYESIMSA-N 0 3 307.800 4.015 20 0 DIADHN Cc1ccncc1CN[C@@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000453327359 1046039121 /nfs/dbraw/zinc/03/91/21/1046039121.db2.gz OVAKXWJYKWOJHZ-MRXNPFEDSA-N 0 3 322.330 4.066 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](O)c3ccc(F)cc3)oc2c1 ZINC000756033079 1046039771 /nfs/dbraw/zinc/03/97/71/1046039771.db2.gz TZIFHCHXXSXNNO-DYVFJYSZSA-N 0 3 313.372 4.265 20 0 DIADHN C[C@@H](c1cnn(C2CCCC2)c1)N1CCC[C@]2(CCSC2)C1 ZINC000453346758 1046048782 /nfs/dbraw/zinc/04/87/82/1046048782.db2.gz GBCKGPSVWPODAY-YJBOKZPZSA-N 0 3 319.518 4.278 20 0 DIADHN C[C@@H](c1cccc(C(F)(F)F)c1)N1CCC[C@]2(CCOC2)C1 ZINC000453343947 1046048869 /nfs/dbraw/zinc/04/88/69/1046048869.db2.gz DPZTZEUZJHWUIC-BBRMVZONSA-N 0 3 313.363 4.269 20 0 DIADHN COc1ccc(F)c(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001137992153 1046050233 /nfs/dbraw/zinc/05/02/33/1046050233.db2.gz KJZDMLVIGDXVQC-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN C[C@@H](NC1(Cc2ccc(F)cc2)CC1)c1nc2ccccc2n1C ZINC000453348783 1046050627 /nfs/dbraw/zinc/05/06/27/1046050627.db2.gz LJNQRZINGUHROY-CQSZACIVSA-N 0 3 323.415 4.138 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H]1CCCN(C)c2ccccc21 ZINC000453355343 1046054659 /nfs/dbraw/zinc/05/46/59/1046054659.db2.gz IKISSMLBGRKDRX-UKRRQHHQSA-N 0 3 316.470 4.379 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1c(F)cccc1-n1cccn1 ZINC000453360739 1046058521 /nfs/dbraw/zinc/05/85/21/1046058521.db2.gz PQWWMZXUNXNEQX-VLIAUNLRSA-N 0 3 321.399 4.035 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2cc3c(s2)CCCC3)cn1 ZINC000453385773 1046059792 /nfs/dbraw/zinc/05/97/92/1046059792.db2.gz UMRZZEYWAVRCKA-OLZOCXBDSA-N 0 3 303.475 4.255 20 0 DIADHN CSCc1ccc(CNCc2ccc(C(C)(C)O)cc2)cc1 ZINC000830395587 1046065962 /nfs/dbraw/zinc/06/59/62/1046065962.db2.gz SBCCKJKYNMACKP-UHFFFAOYSA-N 0 3 315.482 4.067 20 0 DIADHN CCC[C@@H](N[C@H](C)c1cccc(-n2ccnc2)c1)c1ccccn1 ZINC000902791919 1046070719 /nfs/dbraw/zinc/07/07/19/1046070719.db2.gz ZULRUYZULPAHLS-OXQOHEQNSA-N 0 3 320.440 4.459 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)NCc1cnc(C2CC2)o1 ZINC000453377521 1046071888 /nfs/dbraw/zinc/07/18/88/1046071888.db2.gz XUUZIWJRVQDLRA-QGZVFWFLSA-N 0 3 316.470 4.459 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)NCc1cnc(C2CC2)o1 ZINC000453377520 1046073989 /nfs/dbraw/zinc/07/39/89/1046073989.db2.gz XUUZIWJRVQDLRA-KRWDZBQOSA-N 0 3 316.470 4.459 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccc(C(F)(F)F)s2)o1 ZINC000902816392 1046076000 /nfs/dbraw/zinc/07/60/00/1046076000.db2.gz PIMZNLXCQJSUOW-ZJUUUORDSA-N 0 3 319.348 4.053 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000453378487 1046076341 /nfs/dbraw/zinc/07/63/41/1046076341.db2.gz QSYJTHURQNQXGW-XNJGSVPQSA-N 0 3 316.470 4.274 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccc(C(F)(F)F)s2)o1 ZINC000902816388 1046076968 /nfs/dbraw/zinc/07/69/68/1046076968.db2.gz PIMZNLXCQJSUOW-UWVGGRQHSA-N 0 3 319.348 4.053 20 0 DIADHN Fc1cccc(Br)c1CNCCC1CC(F)(F)C1 ZINC000453588938 1046095088 /nfs/dbraw/zinc/09/50/88/1046095088.db2.gz ZTONFNUPTKBOHJ-UHFFFAOYSA-N 0 3 322.168 4.113 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccc(C(F)(F)F)s2)o1 ZINC000902816390 1046077806 /nfs/dbraw/zinc/07/78/06/1046077806.db2.gz PIMZNLXCQJSUOW-VHSXEESVSA-N 0 3 319.348 4.053 20 0 DIADHN C[C@@H]1C(=O)CCN(Cc2ccc(O)c3ccccc23)C12CCCC2 ZINC001138064019 1046081633 /nfs/dbraw/zinc/08/16/33/1046081633.db2.gz QZIAQZQYQZPOEC-OAHLLOKOSA-N 0 3 323.436 4.269 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2CCOC(C)(C)C2)c2ccccn2)cc1 ZINC000634682783 1046085848 /nfs/dbraw/zinc/08/58/48/1046085848.db2.gz AZEWVPOTEBICOH-IEBWSBKVSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cccc2ncccc12 ZINC000634687579 1046086617 /nfs/dbraw/zinc/08/66/17/1046086617.db2.gz QILGUUNBCALXRO-AWEZNQCLSA-N 0 3 322.456 4.346 20 0 DIADHN CC(C)[C@H](NCc1ccc2cncn2c1)c1ccc(F)cc1F ZINC000634684531 1046087339 /nfs/dbraw/zinc/08/73/39/1046087339.db2.gz SEDINHZAUYUOAB-SFHVURJKSA-N 0 3 315.367 4.099 20 0 DIADHN CC(C)(C)[C@@H](NCn1cccnc1=S)c1ccc(F)cc1 ZINC000193998622 1046088302 /nfs/dbraw/zinc/08/83/02/1046088302.db2.gz TXWQRRXVLPONQE-AWEZNQCLSA-N 0 3 305.422 4.086 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1ccc(C(F)(F)F)cn1 ZINC000902947220 1046123257 /nfs/dbraw/zinc/12/32/57/1046123257.db2.gz FIKPPOUOWWXDHC-CMPLNLGQSA-N 0 3 304.381 4.037 20 0 DIADHN c1csc(-c2ncc(CNCCOCC3CCCC3)s2)c1 ZINC000453587181 1046096326 /nfs/dbraw/zinc/09/63/26/1046096326.db2.gz RIGFDCWQPOPODA-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)c2ccsc2)C2CCCC2)o1 ZINC000756595506 1046106711 /nfs/dbraw/zinc/10/67/11/1046106711.db2.gz WFNPBDNTPZZDAQ-NVXWUHKLSA-N 0 3 305.443 4.204 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]nc2-c2cccs2)CCC1(F)F ZINC001138151147 1046108569 /nfs/dbraw/zinc/10/85/69/1046108569.db2.gz IJKVFDNQWFVVQX-LLVKDONJSA-N 0 3 311.401 4.005 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCO1)c1cc(Cl)ccc1OC(F)F ZINC000532205307 1046108818 /nfs/dbraw/zinc/10/88/18/1046108818.db2.gz DQDSSNDBAWJNCY-PWSUYJOCSA-N 0 3 319.779 4.161 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2c3ccccc3C[C@H]2C)c1 ZINC000532192574 1046110868 /nfs/dbraw/zinc/11/08/68/1046110868.db2.gz ARFZJBSJXWNZOG-VLIAUNLRSA-N 0 3 308.425 4.058 20 0 DIADHN CC(C)C[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1ccccn1 ZINC000532193758 1046110910 /nfs/dbraw/zinc/11/09/10/1046110910.db2.gz RRKXDVPDBGRRGP-WDSOQIARSA-N 0 3 304.478 4.354 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](C)c2ccc3c(c2)CCC3)c1 ZINC000532192631 1046110972 /nfs/dbraw/zinc/11/09/72/1046110972.db2.gz NXJGHBKEYPIJDN-HNNXBMFYSA-N 0 3 322.452 4.375 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(N3CCCCC3)o2)CCC1(F)F ZINC001138172573 1046111070 /nfs/dbraw/zinc/11/10/70/1046111070.db2.gz UVWYOEXVSJISBF-CQSZACIVSA-N 0 3 312.404 4.137 20 0 DIADHN COCc1ccc(CNCCc2cc(Cl)cc(Cl)c2)o1 ZINC000532213296 1046117117 /nfs/dbraw/zinc/11/71/17/1046117117.db2.gz CQTSMIHWDKXACW-UHFFFAOYSA-N 0 3 314.212 4.065 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)OC1(C)C)c1ccc(C(F)(F)F)cn1 ZINC000902941657 1046118229 /nfs/dbraw/zinc/11/82/29/1046118229.db2.gz DZJYKYBUBKKAET-MFKMUULPSA-N 0 3 316.367 4.097 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N(C)C2CCCCCCC2)c1 ZINC000195425045 1046119006 /nfs/dbraw/zinc/11/90/06/1046119006.db2.gz XMTLIQYSECTLBQ-UHFFFAOYSA-N 0 3 317.477 4.325 20 0 DIADHN COC[C@H](N[C@H](C)CCc1cccc(F)c1)c1ccc(C)o1 ZINC000532248367 1046120739 /nfs/dbraw/zinc/12/07/39/1046120739.db2.gz ZYWGCCLDALZUNF-DYVFJYSZSA-N 0 3 305.393 4.026 20 0 DIADHN CCC[C@H](NCc1nnc(-c2cccc(F)c2)o1)C(C)(C)C ZINC000831170399 1046122685 /nfs/dbraw/zinc/12/26/85/1046122685.db2.gz RTYAFWMPENYPFJ-AWEZNQCLSA-N 0 3 305.397 4.180 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCO[C@H]2CCCC[C@@H]2C)n1 ZINC000532244152 1046125699 /nfs/dbraw/zinc/12/56/99/1046125699.db2.gz TVNUNEQNVLPZCO-SNRMKQJTSA-N 0 3 302.462 4.122 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)c2c(F)cccc2F)C2CCC2)o1 ZINC000756741229 1046126674 /nfs/dbraw/zinc/12/66/74/1046126674.db2.gz WHOQGWIXGUTWDJ-QAPCUYQASA-N 0 3 321.367 4.031 20 0 DIADHN CC[C@@H]1CN(Cc2nc(C)cs2)CC[C@H]1c1ccccc1 ZINC000532288173 1046128237 /nfs/dbraw/zinc/12/82/37/1046128237.db2.gz FZZJJRGNVZPWCN-NVXWUHKLSA-N 0 3 300.471 4.467 20 0 DIADHN Fc1ccccc1CN(Cc1ccccc1)C[C@@H]1CCCOC1 ZINC000532276179 1046128379 /nfs/dbraw/zinc/12/83/79/1046128379.db2.gz FKMHZGSIZHMADG-SFHVURJKSA-N 0 3 313.416 4.255 20 0 DIADHN CCOCc1ccccc1CN[C@@H](CC)c1ccccc1OC ZINC000193892272 1046133604 /nfs/dbraw/zinc/13/36/04/1046133604.db2.gz OSEJFBLDJQUNLJ-IBGZPJMESA-N 0 3 313.441 4.473 20 0 DIADHN Cc1cccc(CN(Cc2ccccc2)C[C@@H](O)c2ccco2)c1 ZINC000195736814 1046135172 /nfs/dbraw/zinc/13/51/72/1046135172.db2.gz MHAAVFQNTFGXKA-HXUWFJFHSA-N 0 3 321.420 4.324 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000925257194 1046136313 /nfs/dbraw/zinc/13/63/13/1046136313.db2.gz BMLFONMABKTDKN-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN COc1cc(C)c(CNC(C)(C)c2ccc(F)cc2)cc1OC ZINC000083455196 1046138391 /nfs/dbraw/zinc/13/83/91/1046138391.db2.gz QJGALPUYYMLOPB-UHFFFAOYSA-N 0 3 317.404 4.176 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1Cc1cccc2nccnc12 ZINC001138304290 1046142298 /nfs/dbraw/zinc/14/22/98/1046142298.db2.gz IUNQGHGGPKXUFG-GOSISDBHSA-N 0 3 307.372 4.106 20 0 DIADHN C[C@@H]1CN(Cc2c3ccccc3[nH]c2C(C)(C)C)CCCC1=O ZINC001138311024 1046146517 /nfs/dbraw/zinc/14/65/17/1046146517.db2.gz RHZFSKAZLZXCEN-CQSZACIVSA-N 0 3 312.457 4.266 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](OC(C)(C)C)C1)c1ccc(C(F)(F)F)cn1 ZINC000903044362 1046146970 /nfs/dbraw/zinc/14/69/70/1046146970.db2.gz MVJVWEPNFVSMSL-RAIGVLPGSA-N 0 3 316.367 4.097 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C(F)(F)F)cn1)[C@H]1CCCCO1 ZINC000903045055 1046147430 /nfs/dbraw/zinc/14/74/30/1046147430.db2.gz PNAUXJZTIZRTAI-CORIIIEPSA-N 0 3 316.367 4.099 20 0 DIADHN CC[C@@H](Nc1ccnc2cc(OC)ccc21)c1c(C)noc1C ZINC000756957368 1046154542 /nfs/dbraw/zinc/15/45/42/1046154542.db2.gz OEIRHURFYYYKAM-OAHLLOKOSA-N 0 3 311.385 4.411 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(CC3CCC3)c2)cc1 ZINC000903083978 1046158414 /nfs/dbraw/zinc/15/84/14/1046158414.db2.gz SBYSHYRONXGNIW-GJZGRUSLSA-N 0 3 313.445 4.104 20 0 DIADHN Cc1[nH]c2ccc(CN[C@@H](c3ccccn3)C3CC3)cc2c1C ZINC000903080706 1046158720 /nfs/dbraw/zinc/15/87/20/1046158720.db2.gz RWCOOGPWRROLPW-HXUWFJFHSA-N 0 3 305.425 4.421 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1N[C@@H](CCc1ccccc1)c1cccnc1 ZINC000903079633 1046158897 /nfs/dbraw/zinc/15/88/97/1046158897.db2.gz GJZVQWRBAWDPPZ-IXDOHACOSA-N 0 3 320.358 4.296 20 0 DIADHN C[N@H+](Cc1ncccc1[O-])C(c1ccccc1)c1ccccc1 ZINC001138390653 1046161746 /nfs/dbraw/zinc/16/17/46/1046161746.db2.gz DSXZUYOQHRJQGG-UHFFFAOYSA-N 0 3 304.393 4.009 20 0 DIADHN C[N@@H+](Cc1ncccc1[O-])C(c1ccccc1)c1ccccc1 ZINC001138390653 1046161751 /nfs/dbraw/zinc/16/17/51/1046161751.db2.gz DSXZUYOQHRJQGG-UHFFFAOYSA-N 0 3 304.393 4.009 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(OCC2CC2)CC1 ZINC000475706609 1046163091 /nfs/dbraw/zinc/16/30/91/1046163091.db2.gz ZALPZLQIHBWDIF-UHFFFAOYSA-N 0 3 307.865 4.293 20 0 DIADHN Cc1c(CN2CC[C@@H](Oc3cccc(F)c3)C2)[nH]c2ccccc12 ZINC001138401811 1046164067 /nfs/dbraw/zinc/16/40/67/1046164067.db2.gz VWWUQMHRKZEJQL-QGZVFWFLSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1c(CN2CC[C@H](Oc3cccc(F)c3)C2)[nH]c2ccccc12 ZINC001138401810 1046164644 /nfs/dbraw/zinc/16/46/44/1046164644.db2.gz VWWUQMHRKZEJQL-KRWDZBQOSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCc3cccc4cccc2c43)n(C)n1 ZINC000903107987 1046165093 /nfs/dbraw/zinc/16/50/93/1046165093.db2.gz JPKUWBBBZLZAFP-KDOFPFPSSA-N 0 3 305.425 4.220 20 0 DIADHN C[C@H]1CCCN(Cc2ncccc2NC(=O)OC(C)(C)C)CC1 ZINC001138402147 1046165380 /nfs/dbraw/zinc/16/53/80/1046165380.db2.gz IIPVLEIADBQWBT-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3nccnc3c2)cc1 ZINC000634956750 1046167418 /nfs/dbraw/zinc/16/74/18/1046167418.db2.gz RCZVUULOXRWCIW-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN CCOCCC1(CNCc2nc3cc(F)ccc3o2)CCCC1 ZINC000634968865 1046167821 /nfs/dbraw/zinc/16/78/21/1046167821.db2.gz ZAZXPQFDDWQOFQ-UHFFFAOYSA-N 0 3 320.408 4.044 20 0 DIADHN O[C@@H](CN[C@H](c1ccccn1)C1CC1)c1cc2ccccc2s1 ZINC000903110526 1046168539 /nfs/dbraw/zinc/16/85/39/1046168539.db2.gz OYZOCABMEUNVBQ-LPHOPBHVSA-N 0 3 324.449 4.071 20 0 DIADHN CC(C)[C@H](NCc1ccc2nccnc2c1)c1ccccc1F ZINC000634985939 1046168987 /nfs/dbraw/zinc/16/89/87/1046168987.db2.gz HQHXDTZRTBNHIO-IBGZPJMESA-N 0 3 309.388 4.256 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CCC(OC2CCC2)CC1 ZINC001138409732 1046169153 /nfs/dbraw/zinc/16/91/53/1046169153.db2.gz FISIFNAQOUKEEM-UHFFFAOYSA-N 0 3 316.420 4.149 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1nc2cc(F)ccc2o1 ZINC000634977888 1046169741 /nfs/dbraw/zinc/16/97/41/1046169741.db2.gz NSRWMVKLCBGVIF-VIFPVBQESA-N 0 3 304.287 4.178 20 0 DIADHN CC[C@H](CNCc1nc2cc(F)ccc2o1)CC(F)(F)F ZINC000634984337 1046169953 /nfs/dbraw/zinc/16/99/53/1046169953.db2.gz PAFPTCNHOAIURL-VIFPVBQESA-N 0 3 304.287 4.035 20 0 DIADHN Cc1c(CN(C)C[C@@H]2Cc3ccccc3O2)[nH]c2ccc(F)cc12 ZINC001138414813 1046172026 /nfs/dbraw/zinc/17/20/26/1046172026.db2.gz OSUQYSPMWMSOCH-INIZCTEOSA-N 0 3 324.399 4.051 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(c4ccccn4)CC3)cc2c1 ZINC001138415298 1046172587 /nfs/dbraw/zinc/17/25/87/1046172587.db2.gz AXKVRMOHAAHJPF-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC1CCN(CCSC2=Nc3ccccc3CS2)CC1 ZINC000757393356 1046175134 /nfs/dbraw/zinc/17/51/34/1046175134.db2.gz WQIUHZJEGDDPLK-UHFFFAOYSA-N 0 3 306.500 4.386 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1cnn(CC2CCC2)c1 ZINC000903152658 1046176348 /nfs/dbraw/zinc/17/63/48/1046176348.db2.gz OOPFSDRIWPWBAQ-GUYCJALGSA-N 0 3 315.486 4.473 20 0 DIADHN C[C@H](NCc1ccsc1)c1cc(Cl)c(N)c(Cl)c1 ZINC000760156704 1046178065 /nfs/dbraw/zinc/17/80/65/1046178065.db2.gz MBDKTAAZBZITSY-QMMMGPOBSA-N 0 3 301.242 4.488 20 0 DIADHN COc1ccc2ccc([O-])c(C[N@H+](C)Cc3ccc(C)cc3)c2c1 ZINC000016351598 1046184041 /nfs/dbraw/zinc/18/40/41/1046184041.db2.gz NRKDHPILUWSFIF-UHFFFAOYSA-N 0 3 321.420 4.494 20 0 DIADHN COc1ccc2ccc([O-])c(C[N@@H+](C)Cc3ccc(C)cc3)c2c1 ZINC000016351598 1046184051 /nfs/dbraw/zinc/18/40/51/1046184051.db2.gz NRKDHPILUWSFIF-UHFFFAOYSA-N 0 3 321.420 4.494 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCCC[C@@](C)(F)C2)cc1 ZINC001138446407 1046184745 /nfs/dbraw/zinc/18/47/45/1046184745.db2.gz GXLXPSYGIYYBIU-GOSISDBHSA-N 0 3 301.409 4.099 20 0 DIADHN C[C@H](C[C@@H]1CCOC1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000666097668 1046187477 /nfs/dbraw/zinc/18/74/77/1046187477.db2.gz KJKTXWUAKGJTAX-ILXRZTDVSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@H](C[C@H]1CCOC1)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000666097671 1046188106 /nfs/dbraw/zinc/18/81/06/1046188106.db2.gz KJKTXWUAKGJTAX-RBSFLKMASA-N 0 3 316.470 4.276 20 0 DIADHN CCC[C@H]1CCCCN1Cc1ccncc1NC(=O)C(C)(C)C ZINC001138456846 1046189411 /nfs/dbraw/zinc/18/94/11/1046189411.db2.gz GOPMJLUMTGQQIJ-INIZCTEOSA-N 0 3 317.477 4.221 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)C[C@@H]1NCc2ccccc21 ZINC000659275002 1046190173 /nfs/dbraw/zinc/19/01/73/1046190173.db2.gz SOLSSQFATRXMBA-VQTJNVASSA-N 0 3 322.452 4.221 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1ccc2ccccc2c1 ZINC000903202608 1046191562 /nfs/dbraw/zinc/19/15/62/1046191562.db2.gz GFDFQEMALNJANU-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN CN(C)c1ccnc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000903201945 1046192529 /nfs/dbraw/zinc/19/25/29/1046192529.db2.gz RWOABYMUDOUGPT-SFHVURJKSA-N 0 3 315.848 4.042 20 0 DIADHN CCOc1cc(C)ccc1CN[C@@H](c1ccccn1)C1CCC1 ZINC000925261888 1046194966 /nfs/dbraw/zinc/19/49/66/1046194966.db2.gz JZXZQZDZFIXAPL-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001138482690 1046196871 /nfs/dbraw/zinc/19/68/71/1046196871.db2.gz LEVRSFFZONASLD-HNNXBMFYSA-N 0 3 311.828 4.453 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000903230590 1046198475 /nfs/dbraw/zinc/19/84/75/1046198475.db2.gz AYQJQKBUVPKIPV-CQSZACIVSA-N 0 3 322.408 4.190 20 0 DIADHN CN(C)c1ccc(CN2Cc3ccccc3C(C)(C)C2)s1 ZINC001138493821 1046199878 /nfs/dbraw/zinc/19/98/78/1046199878.db2.gz ZNQBFWPETLVOSY-UHFFFAOYSA-N 0 3 300.471 4.108 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C3CCC3)c2)cc1C ZINC000903235303 1046203149 /nfs/dbraw/zinc/20/31/49/1046203149.db2.gz XREKQMXSLCKXSO-CABCVRRESA-N 0 3 313.445 4.337 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cnn(CC3CCC3)c2)c2sccc21 ZINC000903239200 1046203807 /nfs/dbraw/zinc/20/38/07/1046203807.db2.gz ARIQHLCIYMEYBY-SUMWQHHRSA-N 0 3 315.486 4.473 20 0 DIADHN COc1ccc2[nH]cc(CN3Cc4ccccc4[C@@H](C)C3)c2c1 ZINC001138504113 1046204351 /nfs/dbraw/zinc/20/43/51/1046204351.db2.gz SWNUUBSUCPFNQW-AWEZNQCLSA-N 0 3 306.409 4.296 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccc2ncccc2c1 ZINC000795217616 1046206179 /nfs/dbraw/zinc/20/61/79/1046206179.db2.gz UQMVIVJOCMBLBX-UHFFFAOYSA-N 0 3 315.376 4.404 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc3ccccc3c2)c(C)o1 ZINC000321123381 1046208081 /nfs/dbraw/zinc/20/80/81/1046208081.db2.gz LNWQULRTZGLVAM-JLTOFOAXSA-N 0 3 309.409 4.434 20 0 DIADHN COc1ccc(-c2ccc(CN3CCC(C(C)=O)CC3)cc2)cc1 ZINC001138516296 1046209491 /nfs/dbraw/zinc/20/94/91/1046209491.db2.gz KLBDFLBQPORNFC-UHFFFAOYSA-N 0 3 323.436 4.163 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3ccncc3)CC2)s1 ZINC001138523554 1046211160 /nfs/dbraw/zinc/21/11/60/1046211160.db2.gz IFZFUJAATVDWED-UHFFFAOYSA-N 0 3 316.470 4.139 20 0 DIADHN CCCc1ccc(CN2CCC[C@@H](Oc3cccnc3)C2)s1 ZINC001138525651 1046212415 /nfs/dbraw/zinc/21/24/15/1046212415.db2.gz IAGKWSOJSBGIGQ-MRXNPFEDSA-N 0 3 316.470 4.139 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2cnn(CCF)c2)cc1 ZINC000903273660 1046218025 /nfs/dbraw/zinc/21/80/25/1046218025.db2.gz DKXHKCIJIVOAKU-OAHLLOKOSA-N 0 3 303.425 4.046 20 0 DIADHN C[C@H](N[C@H]1CCCC2(CC2)C1)c1ncc(Br)s1 ZINC000903281033 1046220390 /nfs/dbraw/zinc/22/03/90/1046220390.db2.gz YCMAOBLQEPQECQ-UWVGGRQHSA-N 0 3 315.280 4.279 20 0 DIADHN CCC[C@@H](NC1CC2(C1)CO[C@@H](C)C2)c1ncccc1Cl ZINC000903279300 1046220638 /nfs/dbraw/zinc/22/06/38/1046220638.db2.gz MFEYWEYZJFMWAA-KKVZTGDRSA-N 0 3 308.853 4.123 20 0 DIADHN Cc1nccn1-c1ccc(CN2CC[C@@H]2c2ccccc2)cc1 ZINC001138548469 1046223362 /nfs/dbraw/zinc/22/33/62/1046223362.db2.gz DUXYMGARWWCZES-HXUWFJFHSA-N 0 3 303.409 4.128 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1cn(CC2CCC2)cn1 ZINC001168165502 1046225049 /nfs/dbraw/zinc/22/50/49/1046225049.db2.gz DLOGWFWYCFDZIS-CQSZACIVSA-N 0 3 317.864 4.057 20 0 DIADHN Fc1ccc([C@H]2C[C@H](N[C@H]3CCCc4scnc43)C2)c(F)c1 ZINC000903296200 1046228258 /nfs/dbraw/zinc/22/82/58/1046228258.db2.gz HRQJSPAGELUXGE-WBIUFABUSA-N 0 3 320.408 4.335 20 0 DIADHN COc1ccccc1O[C@H]1CCN(Cc2cc(C)sc2C)C1 ZINC001138568033 1046228464 /nfs/dbraw/zinc/22/84/64/1046228464.db2.gz QVDSTUZHCLOFSK-INIZCTEOSA-N 0 3 317.454 4.027 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cncs1 ZINC000903305552 1046229920 /nfs/dbraw/zinc/22/99/20/1046229920.db2.gz MRQKZENYKYIBHU-MEBBXXQBSA-N 0 3 315.417 4.492 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1csc(Cl)c1 ZINC000903302670 1046230717 /nfs/dbraw/zinc/23/07/17/1046230717.db2.gz DFMHOKHPSQBXHN-LBPRGKRZSA-N 0 3 309.862 4.361 20 0 DIADHN CCC[C@H](NCc1c(C)cc(CO)cc1C)c1cc(C)ccn1 ZINC000903304175 1046230903 /nfs/dbraw/zinc/23/09/03/1046230903.db2.gz ZMRSVYMXXSAECA-IBGZPJMESA-N 0 3 312.457 4.130 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1csc(Cl)c1 ZINC000903302669 1046231155 /nfs/dbraw/zinc/23/11/55/1046231155.db2.gz DFMHOKHPSQBXHN-GFCCVEGCSA-N 0 3 309.862 4.361 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000903308145 1046232922 /nfs/dbraw/zinc/23/29/22/1046232922.db2.gz OBKWIVDTLXARRU-LBPRGKRZSA-N 0 3 323.358 4.064 20 0 DIADHN COc1ccc2c(CN(C)Cc3cccc(Cl)c3)c[nH]c2c1 ZINC001138586116 1046233366 /nfs/dbraw/zinc/23/33/66/1046233366.db2.gz CWIIFCIELYHXEK-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001138578209 1046234486 /nfs/dbraw/zinc/23/44/86/1046234486.db2.gz DLWBNBDLJAAKHH-CYBMUJFWSA-N 0 3 317.864 4.350 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](c1ncccn1)C1CC1 ZINC000903324807 1046239269 /nfs/dbraw/zinc/23/92/69/1046239269.db2.gz RTLIDUPZIIKNGN-IAGOWNOFSA-N 0 3 315.848 4.192 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(Cc2ccccc2N2CCCC2)C1 ZINC001138611627 1046240775 /nfs/dbraw/zinc/24/07/75/1046240775.db2.gz CGAABANXBVUXTC-OAHLLOKOSA-N 0 3 312.379 4.061 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccccc1N1CCCC1 ZINC001138613139 1046242099 /nfs/dbraw/zinc/24/20/99/1046242099.db2.gz HFDDPLGCKIRFTP-QGZVFWFLSA-N 0 3 306.453 4.234 20 0 DIADHN Cc1ccccc1CN(C)Cc1cccc(Oc2ncccn2)c1 ZINC001138622146 1046243563 /nfs/dbraw/zinc/24/35/63/1046243563.db2.gz GPPDISXVYKMDIJ-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN c1ccc(C2CCC(N[C@H](c3ncccn3)C3CC3)CC2)cc1 ZINC000903334354 1046246191 /nfs/dbraw/zinc/24/61/91/1046246191.db2.gz XYKURSXAXNHGDR-KVZIAJEVSA-N 0 3 307.441 4.244 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](c1ccccn1)C1CC1)CCC2 ZINC000903337301 1046248325 /nfs/dbraw/zinc/24/83/25/1046248325.db2.gz CJTZUCAXGZVMGO-AZUAARDMSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](c1ccccn1)C1CC1)CCC2 ZINC000903337302 1046248921 /nfs/dbraw/zinc/24/89/21/1046248921.db2.gz CJTZUCAXGZVMGO-ICSRJNTNSA-N 0 3 308.425 4.209 20 0 DIADHN FC1(F)CCC(CCN[C@H]2CCCc3scnc32)CC1 ZINC000903345012 1046252590 /nfs/dbraw/zinc/25/25/90/1046252590.db2.gz KIFYQKKXUDBXTN-LBPRGKRZSA-N 0 3 300.418 4.326 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000245654792 1046253335 /nfs/dbraw/zinc/25/33/35/1046253335.db2.gz FQCNQJXPSDBQCC-OSLDLMBLSA-N 0 3 317.404 4.144 20 0 DIADHN CC[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCO2 ZINC000903340408 1046253736 /nfs/dbraw/zinc/25/37/36/1046253736.db2.gz QXLCOLBDOPVZDJ-FXAWDEMLSA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCO2 ZINC000903340409 1046254017 /nfs/dbraw/zinc/25/40/17/1046254017.db2.gz QXLCOLBDOPVZDJ-PXNSSMCTSA-N 0 3 308.425 4.209 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC[C@H]4CCCC[C@@H]43)cn2)cc1 ZINC001138653277 1046257709 /nfs/dbraw/zinc/25/77/09/1046257709.db2.gz XUHJYZWJRCRBDO-APWZRJJASA-N 0 3 313.420 4.166 20 0 DIADHN Cc1cnc(=S)n(CNC2(c3ccc(F)cc3)CCCC2)c1 ZINC000758303824 1046261362 /nfs/dbraw/zinc/26/13/62/1046261362.db2.gz CFAXCINTEOQQRD-UHFFFAOYSA-N 0 3 317.433 4.077 20 0 DIADHN Cc1cnc(=S)n(CN2CCC[C@@H]2c2cccc(C)c2C)c1 ZINC000758339533 1046262252 /nfs/dbraw/zinc/26/22/52/1046262252.db2.gz RVZGVFFZWXILLZ-QGZVFWFLSA-N 0 3 313.470 4.332 20 0 DIADHN CC[C@@H](NCc1ccc(CCO)cc1)c1ccc(Cl)s1 ZINC000903396586 1046264724 /nfs/dbraw/zinc/26/47/24/1046264724.db2.gz MLLJEJAYLSQFSJ-CQSZACIVSA-N 0 3 309.862 4.177 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(CCO)cc2)C2CCCC2)o1 ZINC000903403934 1046267341 /nfs/dbraw/zinc/26/73/41/1046267341.db2.gz WDZRGWLQVZQQPA-FQEVSTJZSA-N 0 3 313.441 4.144 20 0 DIADHN CC(=O)C1CCN(Cc2ccc(-c3ccc(F)cc3)cc2)CC1 ZINC001138682959 1046268201 /nfs/dbraw/zinc/26/82/01/1046268201.db2.gz IAWJNBXBYCZPBU-UHFFFAOYSA-N 0 3 311.400 4.294 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCc3n[nH]cc32)cc2ccccc21 ZINC000903413301 1046269589 /nfs/dbraw/zinc/26/95/89/1046269589.db2.gz KGFVAWNKDYIYFG-FZKQIMNGSA-N 0 3 321.424 4.300 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2c[nH]nc2C)cc2ccccc21 ZINC000903414741 1046271665 /nfs/dbraw/zinc/27/16/65/1046271665.db2.gz XLLMOBIFPHXVPW-STQMWFEESA-N 0 3 309.413 4.292 20 0 DIADHN COc1cccc([C@@H]2C[C@H]2CN[C@@H](C)c2nc(C)sc2C)c1 ZINC000666252341 1046275002 /nfs/dbraw/zinc/27/50/02/1046275002.db2.gz XKXQNCFKQGMVSD-KCTSRDHCSA-N 0 3 316.470 4.223 20 0 DIADHN CC(C)n1ncc2cc(CN[C@H]3CC34CCCC4)c(Cl)nc21 ZINC000758522982 1046275685 /nfs/dbraw/zinc/27/56/85/1046275685.db2.gz AAXAQZQHDGSTFA-AWEZNQCLSA-N 0 3 318.852 4.088 20 0 DIADHN Cc1c([C@@H](C)N[C@H](c2ccncc2)C2CC2)cnn1C(C)(C)C ZINC000903427497 1046277601 /nfs/dbraw/zinc/27/76/01/1046277601.db2.gz GYZWWSAYXRGVNN-ACJLOTCBSA-N 0 3 312.461 4.143 20 0 DIADHN CC[C@H](N[C@H](c1ccncc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903429183 1046278330 /nfs/dbraw/zinc/27/83/30/1046278330.db2.gz SLMVDOAYXXHRGO-OALUTQOASA-N 0 3 324.424 4.060 20 0 DIADHN COc1cc(C)c(CN2CCc3sccc3C2)c(C)c1C ZINC001138726117 1046278566 /nfs/dbraw/zinc/27/85/66/1046278566.db2.gz XANYYIKTSDQMJG-UHFFFAOYSA-N 0 3 301.455 4.240 20 0 DIADHN CC[C@H](C)N(Cc1cnc(CCOC)s1)Cc1ccccc1 ZINC000637866622 1046281606 /nfs/dbraw/zinc/28/16/06/1046281606.db2.gz BEWYTZMOZWXSMC-HNNXBMFYSA-N 0 3 318.486 4.133 20 0 DIADHN CC1(C)Cc2cc(CN[C@H](c3cccnc3)C3CC3)ccc2O1 ZINC000758633205 1046283664 /nfs/dbraw/zinc/28/36/64/1046283664.db2.gz UUYHKBDSTVJABV-IBGZPJMESA-N 0 3 308.425 4.036 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cnc3[nH]ccc3c2)CC1 ZINC001138739478 1046283672 /nfs/dbraw/zinc/28/36/72/1046283672.db2.gz UEAKKGIHNGQYNI-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN c1cc2cc(CN3CCC4(CCc5ccccc54)CC3)cnc2[nH]1 ZINC001138738181 1046284205 /nfs/dbraw/zinc/28/42/05/1046284205.db2.gz ZIHITABAJUKGQM-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN Brc1cc(CN2CCC[C@H]2C2CCCCC2)ccn1 ZINC001138734623 1046284224 /nfs/dbraw/zinc/28/42/24/1046284224.db2.gz GCHAYPVCNYNHGZ-HNNXBMFYSA-N 0 3 323.278 4.389 20 0 DIADHN c1cc2cc(CN3CCC4(C=Cc5ccccc54)CC3)cnc2[nH]1 ZINC001138736919 1046284421 /nfs/dbraw/zinc/28/44/21/1046284421.db2.gz JKRWUQLSUBTXDG-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN Oc1ccc(C2CCN(Cc3ccc(C(F)F)nc3)CC2)cc1 ZINC000637886537 1046284602 /nfs/dbraw/zinc/28/46/02/1046284602.db2.gz QXHMKXMYHHQPGL-UHFFFAOYSA-N 0 3 318.367 4.104 20 0 DIADHN CN(CCCOc1ccc(F)cc1)Cc1ccc(C(F)F)nc1 ZINC000637946463 1046286356 /nfs/dbraw/zinc/28/63/56/1046286356.db2.gz HHELKVUNODRYRD-UHFFFAOYSA-N 0 3 324.346 4.059 20 0 DIADHN CCCCc1ccc(CN2CC[C@@H](Oc3ccncc3)C2)s1 ZINC001138754260 1046288248 /nfs/dbraw/zinc/28/82/48/1046288248.db2.gz DBICBGVTEOKBPR-MRXNPFEDSA-N 0 3 316.470 4.139 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2ccc(C(F)F)nc2)CC1 ZINC000637991696 1046289542 /nfs/dbraw/zinc/28/95/42/1046289542.db2.gz OPDRDYBBBAYXST-UHFFFAOYSA-N 0 3 319.399 4.275 20 0 DIADHN CCCCc1ccc(CN(C)CCc2noc(C(C)C)n2)s1 ZINC001138753257 1046290015 /nfs/dbraw/zinc/29/00/15/1046290015.db2.gz LZMOZKPMUAIBES-UHFFFAOYSA-N 0 3 321.490 4.272 20 0 DIADHN CCOc1ccccc1CCN[C@@H](C)c1cccnc1Cl ZINC000089551064 1046290216 /nfs/dbraw/zinc/29/02/16/1046290216.db2.gz LXNREQUYWLLITQ-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN Cc1cc(CN(C)C[C@H]2CCCO[C@@H]2c2ccccc2)sn1 ZINC000637990527 1046290275 /nfs/dbraw/zinc/29/02/75/1046290275.db2.gz DOAXWWSDZMJGQW-SJLPKXTDSA-N 0 3 316.470 4.051 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@H](C)c1cccc(F)c1F ZINC000245962059 1046290460 /nfs/dbraw/zinc/29/04/60/1046290460.db2.gz YITUDYWVFIJUFQ-PBFPGSCMSA-N 0 3 305.368 4.128 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001138766724 1046292388 /nfs/dbraw/zinc/29/23/88/1046292388.db2.gz YPAKXKABXWQPOG-VQTJNVASSA-N 0 3 309.457 4.086 20 0 DIADHN COC(=O)c1ccc(CN2CC=C(c3ccc(C)cc3)CC2)cc1 ZINC000795373900 1046298553 /nfs/dbraw/zinc/29/85/53/1046298553.db2.gz QPQNSVNQNPUPES-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN Cc1ccnc(O[C@@H]2CCCN(Cc3ccc4occc4c3)C2)c1 ZINC001138825573 1046300627 /nfs/dbraw/zinc/30/06/27/1046300627.db2.gz AAPVJCFCJTUAOH-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN CC(C)OCCN(CCOC(C)C)Cc1ccc2occc2c1 ZINC001138824993 1046301810 /nfs/dbraw/zinc/30/18/10/1046301810.db2.gz OPXJQDZXIDZWRC-UHFFFAOYSA-N 0 3 319.445 4.085 20 0 DIADHN Cc1cccnc1OC1CCN(Cc2ccc3occc3c2)CC1 ZINC001138826448 1046305015 /nfs/dbraw/zinc/30/50/15/1046305015.db2.gz YIXYLUAQGBNLNV-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccc2cc(CN(C)CC3CCOCC3)c(Cl)nc2c1 ZINC001138858373 1046311222 /nfs/dbraw/zinc/31/12/22/1046311222.db2.gz BUOQURBZABMZPX-UHFFFAOYSA-N 0 3 318.848 4.055 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cnc2ccccc2n1 ZINC001138865299 1046312091 /nfs/dbraw/zinc/31/20/91/1046312091.db2.gz CDIPQOWIKGTKFM-HXUWFJFHSA-N 0 3 303.409 4.139 20 0 DIADHN CN(Cc1ccsc1)C[C@@H](O)c1ccc(Cl)cc1Cl ZINC000759062800 1046314680 /nfs/dbraw/zinc/31/46/80/1046314680.db2.gz AIOPJGVPCPWMKS-CQSZACIVSA-N 0 3 316.253 4.220 20 0 DIADHN c1ccc(CN(Cc2cnc3ccccc3n2)C2CCC2)cc1 ZINC001138867954 1046315285 /nfs/dbraw/zinc/31/52/85/1046315285.db2.gz ZEOPLFWDUWJESS-UHFFFAOYSA-N 0 3 303.409 4.185 20 0 DIADHN CCOCc1ccc(CNCc2cc3ccccc3n2C)cc1 ZINC000638354439 1046316738 /nfs/dbraw/zinc/31/67/38/1046316738.db2.gz MSJHFZIEMBJYQG-UHFFFAOYSA-N 0 3 308.425 4.005 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@@H]3C2)cc1 ZINC001138885157 1046318098 /nfs/dbraw/zinc/31/80/98/1046318098.db2.gz ZRXROZADRHLPPO-GDBMZVCRSA-N 0 3 313.420 4.228 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CCC[C@@H]3CCCC[C@@H]32)cc1 ZINC001138882688 1046318191 /nfs/dbraw/zinc/31/81/91/1046318191.db2.gz QSFGVUKQDUUJDZ-KSSFIOAISA-N 0 3 313.420 4.370 20 0 DIADHN c1nnc([C@H](NC2(c3ccccc3)CCC2)C2CCCCC2)[nH]1 ZINC000638457498 1046325126 /nfs/dbraw/zinc/32/51/26/1046325126.db2.gz SDLDEDFEQGITLM-QGZVFWFLSA-N 0 3 310.445 4.095 20 0 DIADHN Cc1cc(-c2nnc(CN(C)C3CCCCCCC3)o2)c(C)o1 ZINC000089891372 1046325389 /nfs/dbraw/zinc/32/53/89/1046325389.db2.gz VURWSAHSBYSNGI-UHFFFAOYSA-N 0 3 317.433 4.491 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](C)c2ccc(C)cc2)s1 ZINC000037770307 1046335646 /nfs/dbraw/zinc/33/56/46/1046335646.db2.gz YIUIRSLOZVIMAQ-CQSZACIVSA-N 0 3 303.475 4.149 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1Cl)c1cc(F)cc(F)c1 ZINC000090077704 1046336793 /nfs/dbraw/zinc/33/67/93/1046336793.db2.gz XMAQIOLHAJMOEY-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1cnc(-c2ccccc2)[nH]1 ZINC000903949012 1046342306 /nfs/dbraw/zinc/34/23/06/1046342306.db2.gz YDEMFRFSFFNFQH-GOSISDBHSA-N 0 3 318.424 4.112 20 0 DIADHN CCC(=O)Oc1ccc(CN(C)Cc2ccc(C)c(C)c2)cc1 ZINC001138967599 1046344191 /nfs/dbraw/zinc/34/41/91/1046344191.db2.gz QRJINBYCFBJPIE-UHFFFAOYSA-N 0 3 311.425 4.251 20 0 DIADHN CN(CCF)CCCCCc1cc(-c2cccc(F)c2)no1 ZINC000759332759 1046346240 /nfs/dbraw/zinc/34/62/40/1046346240.db2.gz OPUUROMBNWGHTP-UHFFFAOYSA-N 0 3 308.372 4.095 20 0 DIADHN CC(C)Oc1cccc(CN2CCC3(CC(F)(F)C3)CC2)c1 ZINC001138983541 1046349859 /nfs/dbraw/zinc/34/98/59/1046349859.db2.gz WDWFOTPXOBLBTJ-UHFFFAOYSA-N 0 3 309.400 4.485 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3cccc(Cl)n3)C2)c1 ZINC000832574800 1046351739 /nfs/dbraw/zinc/35/17/39/1046351739.db2.gz QHXFSRIJWIAVHH-KOMQPUFPSA-N 0 3 316.832 4.122 20 0 DIADHN CCOc1ccc(Br)cc1CN(C)CCC1CC1 ZINC001138992588 1046352901 /nfs/dbraw/zinc/35/29/01/1046352901.db2.gz KOPXYFHRSDZXSZ-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN CCCN([C@H](C)c1cnc(C)nc1C)[C@H]1CCCc2cccnc21 ZINC000639067728 1046356785 /nfs/dbraw/zinc/35/67/85/1046356785.db2.gz YFBHNZFXLWGQHN-BEFAXECRSA-N 0 3 324.472 4.339 20 0 DIADHN O[C@H](C[C@H]1CCCCCN1Cc1ccccc1F)c1ccco1 ZINC000759449625 1046357027 /nfs/dbraw/zinc/35/70/27/1046357027.db2.gz PYORFUFZAMARJC-SJLPKXTDSA-N 0 3 317.404 4.287 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1ccc2ccc(F)c(F)c2n1 ZINC000639084924 1046357109 /nfs/dbraw/zinc/35/71/09/1046357109.db2.gz CRNGLCMTVWMRCJ-UHFFFAOYSA-N 0 3 318.289 4.334 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)[C@@H](C)c1cnc(C)nc1C ZINC000639091086 1046358335 /nfs/dbraw/zinc/35/83/35/1046358335.db2.gz QPHQBMRHCHHNCA-AWEZNQCLSA-N 0 3 308.429 4.158 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCO[C@@]2(CCc3ccccc32)C1 ZINC001168191512 1046358561 /nfs/dbraw/zinc/35/85/61/1046358561.db2.gz DLEMIICXNKVHIT-SFTDATJTSA-N 0 3 307.437 4.312 20 0 DIADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1cccc(SC(F)(F)F)c1 ZINC000759490320 1046358763 /nfs/dbraw/zinc/35/87/63/1046358763.db2.gz MMWLLHLWSWFIQE-PTEHBNRSSA-N 0 3 319.392 4.032 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@]4(CCCO4)C3)s2)c1 ZINC001139017149 1046359232 /nfs/dbraw/zinc/35/92/32/1046359232.db2.gz JLHPWGSHQFQBPS-MRXNPFEDSA-N 0 3 305.468 4.232 20 0 DIADHN CC1(C)CN(Cc2ccc3nccnc3c2)CC[C@@H]1C(F)(F)F ZINC000639100116 1046359724 /nfs/dbraw/zinc/35/97/24/1046359724.db2.gz SRQPKXOOCWRGBG-HNNXBMFYSA-N 0 3 323.362 4.040 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3cccs3)s2)CC1 ZINC001139021900 1046361028 /nfs/dbraw/zinc/36/10/28/1046361028.db2.gz AODCYDPPHOZGOJ-UHFFFAOYSA-N 0 3 307.484 4.214 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3cccs3)s2)CCCO1 ZINC001139023778 1046361435 /nfs/dbraw/zinc/36/14/35/1046361435.db2.gz YNPCSLSSZVFMGG-UHFFFAOYSA-N 0 3 307.484 4.478 20 0 DIADHN COCCCC[C@H](NCc1cccc(F)c1N)c1ccccc1 ZINC000639121637 1046361629 /nfs/dbraw/zinc/36/16/29/1046361629.db2.gz UBLUZFWBNWCRSN-SFHVURJKSA-N 0 3 316.420 4.056 20 0 DIADHN Cc1ncc([C@H](C)NC[C@@H](O)c2ccc(C(C)(C)C)cc2)s1 ZINC000090417331 1046366075 /nfs/dbraw/zinc/36/60/75/1046366075.db2.gz VTFACLMTJJLBFN-BLLLJJGKSA-N 0 3 318.486 4.133 20 0 DIADHN COCCc1ncc(CN(C(C)C)[C@H](C)c2ccccc2)s1 ZINC000639200226 1046368956 /nfs/dbraw/zinc/36/89/56/1046368956.db2.gz WXFWVQUSHHBMSL-OAHLLOKOSA-N 0 3 318.486 4.304 20 0 DIADHN c1ccc(Oc2ccccc2CN2CC[C@]3(CCCO3)C2)cc1 ZINC001139053031 1046370813 /nfs/dbraw/zinc/37/08/13/1046370813.db2.gz ISACIJQADSCIFT-HXUWFJFHSA-N 0 3 309.409 4.234 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2cc(C3CC3)no2)C1 ZINC000639212529 1046371350 /nfs/dbraw/zinc/37/13/50/1046371350.db2.gz FRUNVHXIXWPBDW-JOCQHMNTSA-N 0 3 302.805 4.241 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001139063981 1046372140 /nfs/dbraw/zinc/37/21/40/1046372140.db2.gz HMMIPXONTDMRCR-QGZVFWFLSA-N 0 3 305.377 4.138 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2cc3ccccc3o2)C1 ZINC001139063980 1046372730 /nfs/dbraw/zinc/37/27/30/1046372730.db2.gz HMMIPXONTDMRCR-KRWDZBQOSA-N 0 3 305.377 4.138 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cc3ccccc3o1)CCC2 ZINC001139063882 1046372885 /nfs/dbraw/zinc/37/28/85/1046372885.db2.gz BZXWVKYCZFLVHN-UHFFFAOYSA-N 0 3 307.393 4.390 20 0 DIADHN Cc1cccc(O[C@@H]2CCCN(Cc3cc4ccccc4o3)C2)n1 ZINC001139064557 1046373414 /nfs/dbraw/zinc/37/34/14/1046373414.db2.gz BRQMBWWRTZJCJH-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN c1c2ccccc2oc1CN(Cc1cccnc1)C1CCOCC1 ZINC001139065227 1046374895 /nfs/dbraw/zinc/37/48/95/1046374895.db2.gz FBMLAZZWYJFUCF-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN Fc1ccc(-c2cccc(CN3CC(c4cccnc4)C3)c2)cc1 ZINC001139069767 1046379695 /nfs/dbraw/zinc/37/96/95/1046379695.db2.gz YCAGGUAQCUSJHN-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN(C)CCSC ZINC001139071807 1046381740 /nfs/dbraw/zinc/38/17/40/1046381740.db2.gz PTIHDZBFNDUYFV-UHFFFAOYSA-N 0 3 301.455 4.157 20 0 DIADHN COCCN(Cc1cc(-c2ccccc2)ccc1OC)C(C)C ZINC001139070676 1046382238 /nfs/dbraw/zinc/38/22/38/1046382238.db2.gz RWDPJCZXSNVZTD-UHFFFAOYSA-N 0 3 313.441 4.219 20 0 DIADHN O[C@H](CN1CCC[C@H]1/C=C\c1ccccc1)c1cccc(F)c1 ZINC000780400786 1046385093 /nfs/dbraw/zinc/38/50/93/1046385093.db2.gz MECCFESYYCFWQG-BKXKBDSGSA-N 0 3 311.400 4.037 20 0 DIADHN COc1cc(O)ccc1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001139142872 1046392094 /nfs/dbraw/zinc/39/20/94/1046392094.db2.gz YOKJTKYVWKJBJB-ZDUSSCGKSA-N 0 3 317.816 4.044 20 0 DIADHN COc1ccc2c(c1)c(CN1CC[C@@H]1c1ccccc1)cn2C ZINC001139147995 1046395100 /nfs/dbraw/zinc/39/51/00/1046395100.db2.gz SYZRVZIQHWYBTQ-LJQANCHMSA-N 0 3 306.409 4.134 20 0 DIADHN CC1CCC(N[C@H](CO)c2ccc(Cl)cc2Cl)CC1 ZINC001168198892 1046395561 /nfs/dbraw/zinc/39/55/61/1046395561.db2.gz ACVGBNLUKABLDB-MBRGGSNBSA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)(C)c1cccc(OCCCN2CCOC3(CCC3)C2)c1 ZINC001168200604 1046396161 /nfs/dbraw/zinc/39/61/61/1046396161.db2.gz HEDFTWIRZCTSBX-UHFFFAOYSA-N 0 3 317.473 4.008 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2cccnc2c1 ZINC001139168745 1046399701 /nfs/dbraw/zinc/39/97/01/1046399701.db2.gz VWGLSOTWGBFYAC-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3cccnc3c1)CCS2 ZINC001139170664 1046402048 /nfs/dbraw/zinc/40/20/48/1046402048.db2.gz HUNPSQGDWBNQGG-UHFFFAOYSA-N 0 3 306.434 4.343 20 0 DIADHN C[C@H](c1ccc(C(C)(C)C)cc1)N(C)Cc1cccc(C(N)=O)c1 ZINC000748806601 1046404135 /nfs/dbraw/zinc/40/41/35/1046404135.db2.gz KUYXRFFSHYKAFJ-OAHLLOKOSA-N 0 3 324.468 4.276 20 0 DIADHN CN(Cc1nc(-c2ccc(F)cc2)no1)C1CCCCCCC1 ZINC000748804985 1046405026 /nfs/dbraw/zinc/40/50/26/1046405026.db2.gz IXDFSALRVZXXDO-UHFFFAOYSA-N 0 3 317.408 4.420 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3cccc(C)c13)CC2 ZINC001139192408 1046406911 /nfs/dbraw/zinc/40/69/11/1046406911.db2.gz PXBVDQYLHLHAKP-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1cccc(N2CCN(Cc3c[nH]c4cccc(C)c34)CC2)c1 ZINC001139192544 1046407283 /nfs/dbraw/zinc/40/72/83/1046407283.db2.gz TVYLSBSSADOFIA-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H](C)c2ccc(N(C)C)cc2)s1 ZINC000090668345 1046408595 /nfs/dbraw/zinc/40/85/95/1046408595.db2.gz PHBMYWSRSUVDPC-AWEZNQCLSA-N 0 3 317.502 4.258 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC[C@H]1c1cccn1C ZINC000639518799 1046409021 /nfs/dbraw/zinc/40/90/21/1046409021.db2.gz BRLSIZHERVHYFN-SFHVURJKSA-N 0 3 321.424 4.326 20 0 DIADHN Cn1ccc2ccc(CN3CCC(Oc4ccccc4)CC3)cc21 ZINC001139201431 1046410647 /nfs/dbraw/zinc/41/06/47/1046410647.db2.gz NGGKXCPWDBZIEB-UHFFFAOYSA-N 0 3 320.436 4.222 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CC[C@H](C3CC3)C2)o1 ZINC000639553080 1046410899 /nfs/dbraw/zinc/41/08/99/1046410899.db2.gz KHFCSAWWTJVGJX-INIZCTEOSA-N 0 3 319.408 4.122 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cccc(OC(F)F)c2)c(C)n1 ZINC000639561213 1046411232 /nfs/dbraw/zinc/41/12/32/1046411232.db2.gz GXXSPUVYGHMCBE-CYBMUJFWSA-N 0 3 320.383 4.459 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc(OC)c3ccccc23)cc1 ZINC000639563172 1046411417 /nfs/dbraw/zinc/41/14/17/1046411417.db2.gz QXPIRAPIDIFEMU-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN C[C@@H]1CN(Cc2c3ccccc3[nH]c2-c2ccccc2)[C@@H](C)CO1 ZINC001139204607 1046412526 /nfs/dbraw/zinc/41/25/26/1046412526.db2.gz OHJUCCVXUMZSAD-JKSUJKDBSA-N 0 3 320.436 4.444 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc3nsnc3c2)c1 ZINC001139208224 1046412864 /nfs/dbraw/zinc/41/28/64/1046412864.db2.gz QNWHTUNWLVSNCB-GOSISDBHSA-N 0 3 309.438 4.337 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C(C)C)o1 ZINC000171146956 1046414258 /nfs/dbraw/zinc/41/42/58/1046414258.db2.gz LGUYMLCXWXKUSQ-DLBZAZTESA-N 0 3 313.445 4.351 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3nsnc3c2)cc1 ZINC001139213023 1046414404 /nfs/dbraw/zinc/41/44/04/1046414404.db2.gz MXDWPLRKVGJIOC-GOSISDBHSA-N 0 3 309.438 4.337 20 0 DIADHN C[C@H]1CCC[C@H]1NCc1nnc(-c2cccc3ccccc32)o1 ZINC000639612059 1046417254 /nfs/dbraw/zinc/41/72/54/1046417254.db2.gz DWLLJCIOYIAVGB-SUMWQHHRSA-N 0 3 307.397 4.168 20 0 DIADHN Clc1cccc(Cl)c1[C@H](NCCc1cnccn1)C1CC1 ZINC000639647636 1046419776 /nfs/dbraw/zinc/41/97/76/1046419776.db2.gz KUJOLXFSXYCHFE-MRXNPFEDSA-N 0 3 322.239 4.067 20 0 DIADHN COc1cccc(-c2nc(CNC3CCC(C)CC3)co2)c1 ZINC001168209620 1046420301 /nfs/dbraw/zinc/42/03/01/1046420301.db2.gz YYHZWYVAKIBFCR-UHFFFAOYSA-N 0 3 300.402 4.019 20 0 DIADHN O[C@@H]1CCCN(Cc2ccccc2Oc2cccc(Cl)c2)C1 ZINC001139260584 1046420377 /nfs/dbraw/zinc/42/03/77/1046420377.db2.gz VOMXUHOONHETQM-MRXNPFEDSA-N 0 3 317.816 4.089 20 0 DIADHN O[C@H]1CCCN(Cc2ccccc2Oc2ccc(Cl)cc2)C1 ZINC001139262502 1046420638 /nfs/dbraw/zinc/42/06/38/1046420638.db2.gz REMKJDHDJKIOQW-INIZCTEOSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@@]1(O)CCN(Cc2ccccc2Oc2cccc(Cl)c2)C1 ZINC001139259478 1046421093 /nfs/dbraw/zinc/42/10/93/1046421093.db2.gz COKFZBXNZNCIHS-GOSISDBHSA-N 0 3 317.816 4.089 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=S)Nc1ccccc1F ZINC000749011871 1046421611 /nfs/dbraw/zinc/42/16/11/1046421611.db2.gz GXFKAHGDCQUJKE-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN Cc1cccc(NC(=S)Nc2cc(CN(C)C)ccc2C)c1 ZINC000749013264 1046422083 /nfs/dbraw/zinc/42/20/83/1046422083.db2.gz NYZOCSDPCSBMNJ-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@H]3N(C)C)cc(C)c1O ZINC001211918561 1046422094 /nfs/dbraw/zinc/42/20/94/1046422094.db2.gz IGBFBALQEFOKRI-QGZVFWFLSA-N 0 3 312.413 4.138 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](c2cccnc2)C1 ZINC000639697128 1046423391 /nfs/dbraw/zinc/42/33/91/1046423391.db2.gz XDDPCITVWCJCBY-ZBFHGGJFSA-N 0 3 307.397 4.163 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@@H](c2cccnc2)C1 ZINC000639697126 1046423793 /nfs/dbraw/zinc/42/37/93/1046423793.db2.gz XDDPCITVWCJCBY-GOEBONIOSA-N 0 3 307.397 4.163 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)c(F)c1)c1ccccc1F ZINC000090921096 1046430213 /nfs/dbraw/zinc/43/02/13/1046430213.db2.gz RTYZJMICSNEDOX-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000781188165 1046432409 /nfs/dbraw/zinc/43/24/09/1046432409.db2.gz GEUFPAGGWVEEOY-VQIMIIECSA-N 0 3 308.510 4.140 20 0 DIADHN COc1ccc(C(C)(C)NCc2c(C)cc(C)nc2C)cc1F ZINC000639893776 1046435586 /nfs/dbraw/zinc/43/55/86/1046435586.db2.gz XMQJJTNSORIYOI-UHFFFAOYSA-N 0 3 316.420 4.179 20 0 DIADHN Cc1cnn(CCCCCN2CC(C)(C)[C@@H]2c2ccco2)c1 ZINC000639925715 1046436628 /nfs/dbraw/zinc/43/66/28/1046436628.db2.gz CQSRYLQVRBEAKL-KRWDZBQOSA-N 0 3 301.434 4.038 20 0 DIADHN CC(C)C[C@H](C)NCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000676763897 1046436858 /nfs/dbraw/zinc/43/68/58/1046436858.db2.gz WZPZPPJWYSTGEW-LBPRGKRZSA-N 0 3 318.442 4.063 20 0 DIADHN C[C@@H]1CN(Cc2cccn2Cc2cnc(Cl)s2)C2(CC2)C1 ZINC001139368176 1046438558 /nfs/dbraw/zinc/43/85/58/1046438558.db2.gz FXWKWGBSOXFHRU-LBPRGKRZSA-N 0 3 321.877 4.021 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3cnccn3)cc2)cc1 ZINC001139389507 1046440430 /nfs/dbraw/zinc/44/04/30/1046440430.db2.gz VKECZPIYMGMSDX-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1ccc(COC)o1 ZINC000676908034 1046446089 /nfs/dbraw/zinc/44/60/89/1046446089.db2.gz QLJOUMDERWXCQC-AWEZNQCLSA-N 0 3 305.443 4.087 20 0 DIADHN COCCC1CCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139499433 1046456433 /nfs/dbraw/zinc/45/64/33/1046456433.db2.gz MNDYNFFKCCZXFS-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677043088 1046456611 /nfs/dbraw/zinc/45/66/11/1046456611.db2.gz NWHIQEPFUXLKML-BBATYDOGSA-N 0 3 303.352 4.312 20 0 DIADHN CCCCC[C@@H](CC)NCc1nc(Br)cs1 ZINC001258435186 1046456665 /nfs/dbraw/zinc/45/66/65/1046456665.db2.gz UYDCJCUINDCVKN-SNVBAGLBSA-N 0 3 305.285 4.354 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC[C@H](C4CC4)C3)o2)cc1 ZINC000677051658 1046458447 /nfs/dbraw/zinc/45/84/47/1046458447.db2.gz TZWFMRNIFMJFQG-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN COC[C@H](C)NCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000781596953 1046458527 /nfs/dbraw/zinc/45/85/27/1046458527.db2.gz KZHJOLPHIWSCMN-NSHDSACASA-N 0 3 313.319 4.090 20 0 DIADHN CCn1nccc1CN1CCC(c2c(C)[nH]c3ccccc32)CC1 ZINC000124735507 1046459209 /nfs/dbraw/zinc/45/92/09/1046459209.db2.gz XAZZIJCASUXRRL-UHFFFAOYSA-N 0 3 322.456 4.072 20 0 DIADHN c1ccc(-c2ccc(CN3CCc4ccccc4CC3)cn2)cc1 ZINC001139518007 1046460172 /nfs/dbraw/zinc/46/01/72/1046460172.db2.gz KDJBPZRZWLPWHM-UHFFFAOYSA-N 0 3 314.432 4.349 20 0 DIADHN c1ccc(-c2ccc(CN3CCC4(CCOCC4)CC3)cn2)cc1 ZINC001139519115 1046460291 /nfs/dbraw/zinc/46/02/91/1046460291.db2.gz NCYGNPNTGVYWLM-UHFFFAOYSA-N 0 3 322.452 4.141 20 0 DIADHN FC(F)Sc1ccc(CNCc2ccc3c(c2)COC3)cc1 ZINC000781634818 1046464023 /nfs/dbraw/zinc/46/40/23/1046464023.db2.gz HFPOXRZXWPVLIK-UHFFFAOYSA-N 0 3 321.392 4.321 20 0 DIADHN Oc1cccc2c1C[C@@H](NCc1ccc(Oc3ccccc3)o1)C2 ZINC000428565598 1046465702 /nfs/dbraw/zinc/46/57/02/1046465702.db2.gz ZMNJEELAOFTQCY-HNNXBMFYSA-N 0 3 321.376 4.035 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@@H]2c2cccs2)cc1 ZINC000125532332 1046469472 /nfs/dbraw/zinc/46/94/72/1046469472.db2.gz SWLZPSVKRVYPAU-MRXNPFEDSA-N 0 3 301.411 4.011 20 0 DIADHN Cc1ccc(CN(C)Cc2cnc(-c3ccccc3)[nH]2)cc1C ZINC001139556478 1046470552 /nfs/dbraw/zinc/47/05/52/1046470552.db2.gz GKWRNWZUXNABOK-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccccc3)[nH]2)Cc2ccccc21 ZINC001139556548 1046470738 /nfs/dbraw/zinc/47/07/38/1046470738.db2.gz JUURDTIXNIOZAU-UHFFFAOYSA-N 0 3 317.436 4.370 20 0 DIADHN Clc1cccc(Cl)c1C1CCN(C[C@@H]2CCOC2)CC1 ZINC000677200443 1046472604 /nfs/dbraw/zinc/47/26/04/1046472604.db2.gz XXJHXPZYCYWILE-LBPRGKRZSA-N 0 3 314.256 4.209 20 0 DIADHN Cc1cccc(C2(NCc3cccc(Cl)c3N)CCC2)c1 ZINC001202947750 1046473057 /nfs/dbraw/zinc/47/30/57/1046473057.db2.gz OUUMUASDDKYXRI-UHFFFAOYSA-N 0 3 300.833 4.400 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(-c3ccncc3)c2)cn1 ZINC000126488177 1046476037 /nfs/dbraw/zinc/47/60/37/1046476037.db2.gz JQFSKANXEKWJQU-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC[C@H](Cc3ccccc3)C2)c1 ZINC001139589410 1046478339 /nfs/dbraw/zinc/47/83/39/1046478339.db2.gz CTRSSBSVOCBSPV-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN CC(C)(C)C1CCC(OC(=O)C2CCN(C3CC3)CC2)CC1 ZINC000781824317 1046479014 /nfs/dbraw/zinc/47/90/14/1046479014.db2.gz VXZRNXFBNHYHSF-UHFFFAOYSA-N 0 3 307.478 4.009 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccccn3)C2)cc1Cl ZINC001139595288 1046481059 /nfs/dbraw/zinc/48/10/59/1046481059.db2.gz HHVNMICZUMGIEK-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc2c1CCCC2 ZINC000826865224 1046482016 /nfs/dbraw/zinc/48/20/16/1046482016.db2.gz JKHBMAKJYIXLTG-UHFFFAOYSA-N 0 3 322.452 4.188 20 0 DIADHN CCOc1ccc(C)cc1CN1CC[C@H](Oc2ccccc2)C1 ZINC001139603208 1046483274 /nfs/dbraw/zinc/48/32/74/1046483274.db2.gz AZJFEZCNKZGDJF-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC2(CCOC2(C)C)CC1 ZINC001139607228 1046484447 /nfs/dbraw/zinc/48/44/47/1046484447.db2.gz LGOHGXFZMIUWDQ-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN CC[C@@H](N)c1cn([C@@H](C)c2ccc(Oc3ccccc3)cc2)nn1 ZINC000641118575 1046486683 /nfs/dbraw/zinc/48/66/83/1046486683.db2.gz OQHCVKYUBCJYBX-KBXCAEBGSA-N 0 3 322.412 4.090 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccc3c(c1)COC3)[C@@H]2C ZINC000781928170 1046487291 /nfs/dbraw/zinc/48/72/91/1046487291.db2.gz TZHXBTNFPVMENE-LSDHHAIUSA-N 0 3 323.436 4.233 20 0 DIADHN CC[C@H](N)c1cn([C@H](C)c2ccc(Oc3ccccc3)cc2)nn1 ZINC000641118576 1046487441 /nfs/dbraw/zinc/48/74/41/1046487441.db2.gz OQHCVKYUBCJYBX-KDOFPFPSSA-N 0 3 322.412 4.090 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2cccnc2)cc1CC ZINC001139618913 1046487933 /nfs/dbraw/zinc/48/79/33/1046487933.db2.gz QKFBYNCKVNROHN-LJQANCHMSA-N 0 3 310.441 4.380 20 0 DIADHN Cc1csc(CN2CCC[C@@H](c3ccccn3)C2)c1Cl ZINC001137291182 1046489625 /nfs/dbraw/zinc/48/96/25/1046489625.db2.gz HPCLYRSBDDEBHL-CYBMUJFWSA-N 0 3 306.862 4.485 20 0 DIADHN COC(=O)CCN(Cc1scc(C)c1Cl)C1CCCC1 ZINC001137291477 1046489932 /nfs/dbraw/zinc/48/99/32/1046489932.db2.gz UAQREQFBTBRWCW-UHFFFAOYSA-N 0 3 315.866 4.018 20 0 DIADHN Cc1csc(CN2CCC(c3cccnc3)CC2)c1Cl ZINC001137292660 1046491282 /nfs/dbraw/zinc/49/12/82/1046491282.db2.gz KDPKHOZEEFQLST-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc(-c3cccnc3)cc2)C1 ZINC001139647862 1046495197 /nfs/dbraw/zinc/49/51/97/1046495197.db2.gz OFFPGKGRNCBJKF-INIZCTEOSA-N 0 3 306.331 4.133 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN(C)[C@@H]1CCc2ccccc21 ZINC001139678969 1046496976 /nfs/dbraw/zinc/49/69/76/1046496976.db2.gz HGJAXKGYWZERAE-GOSISDBHSA-N 0 3 305.425 4.070 20 0 DIADHN CCN(Cc1ccnc(N(C)C)c1)[C@@H](C)c1cc2ccccc2o1 ZINC000782175269 1046498087 /nfs/dbraw/zinc/49/80/87/1046498087.db2.gz QXEVWTCPUTWFOP-HNNXBMFYSA-N 0 3 323.440 4.477 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CCC[C@H]1c1cccs1 ZINC001139681326 1046499202 /nfs/dbraw/zinc/49/92/02/1046499202.db2.gz VDUMGTFCHUZGMP-HNNXBMFYSA-N 0 3 311.454 4.350 20 0 DIADHN Cc1cc(O)cc(C)c1CN1CCSC[C@H]1c1ccccc1 ZINC001139714595 1046504123 /nfs/dbraw/zinc/50/41/23/1046504123.db2.gz FPPDGOLPQVTNHT-IBGZPJMESA-N 0 3 313.466 4.299 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000247881109 1046504442 /nfs/dbraw/zinc/50/44/42/1046504442.db2.gz VOESVEBPEYXROC-CLNPQZTCSA-N 0 3 307.437 4.145 20 0 DIADHN CCC1(CN2CC[C@H](c3cccc(Cl)c3Cl)C2)COC1 ZINC001202962653 1046504802 /nfs/dbraw/zinc/50/48/02/1046504802.db2.gz LZEZRIVIOXPTDC-LBPRGKRZSA-N 0 3 314.256 4.209 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cnc4cccnc4c3)C2)c1 ZINC001139743037 1046508104 /nfs/dbraw/zinc/50/81/04/1046508104.db2.gz SKEZEPNXXYLLNP-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN CN(Cc1cnc2cccnc2c1)Cc1cccc2ccccc21 ZINC001139742396 1046508394 /nfs/dbraw/zinc/50/83/94/1046508394.db2.gz ZAKHKXTZSKNZKG-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cnc3cccnc3c2)C1 ZINC001139741995 1046508601 /nfs/dbraw/zinc/50/86/01/1046508601.db2.gz PWOUQIDXTVDERI-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN c1ccc(CC2CCN(Cc3cnc4cccnc4c3)CC2)cc1 ZINC001139741419 1046509306 /nfs/dbraw/zinc/50/93/06/1046509306.db2.gz TUXOOBKRBYNQBX-UHFFFAOYSA-N 0 3 317.436 4.085 20 0 DIADHN Cn1c(=O)cc(CN2CCC3(CCCCC3)CC2)c2ccccc21 ZINC001139752329 1046511537 /nfs/dbraw/zinc/51/15/37/1046511537.db2.gz FDXXBNZUWVFPHR-UHFFFAOYSA-N 0 3 324.468 4.085 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1cc(F)cc2cccnc21 ZINC000130532075 1046511710 /nfs/dbraw/zinc/51/17/10/1046511710.db2.gz AGYKAFZVCHJGTR-KRWDZBQOSA-N 0 3 324.403 4.051 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3ccc(SC)o3)C2)c1 ZINC000641757483 1046512438 /nfs/dbraw/zinc/51/24/38/1046512438.db2.gz AHQQQGHVAGXRJJ-HDJSIYSDSA-N 0 3 303.427 4.046 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@H](c1ccccc1)C1CCC1 ZINC000641782111 1046514190 /nfs/dbraw/zinc/51/41/90/1046514190.db2.gz HZFCVIIVLKOYHA-HXUWFJFHSA-N 0 3 309.457 4.319 20 0 DIADHN FC(F)c1ccccc1CN1CCC[C@H](Oc2ccncc2)C1 ZINC001139802547 1046521369 /nfs/dbraw/zinc/52/13/69/1046521369.db2.gz QZQQTGGUIZVBLS-INIZCTEOSA-N 0 3 318.367 4.063 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2C(F)F)cc1OC ZINC001139801192 1046521770 /nfs/dbraw/zinc/52/17/70/1046521770.db2.gz LFGUAGHIYZPTST-UHFFFAOYSA-N 0 3 321.367 4.273 20 0 DIADHN FC(F)c1ccccc1CN1CCC(c2ccccn2)CC1 ZINC001139800903 1046522403 /nfs/dbraw/zinc/52/24/03/1046522403.db2.gz GGXJTXIOHHTQFJ-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1ncc(Br)s1 ZINC000782357544 1046523907 /nfs/dbraw/zinc/52/39/07/1046523907.db2.gz HNIVRGZZQJZQGO-WDEREUQCSA-N 0 3 317.296 4.354 20 0 DIADHN CC[C@H](O)CCN[C@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925281595 1046524726 /nfs/dbraw/zinc/52/47/26/1046524726.db2.gz LNZDATCOENEXLC-VQTJNVASSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccnc(F)c2)CC1 ZINC001139833069 1046528385 /nfs/dbraw/zinc/52/83/85/1046528385.db2.gz YGXBVKRAMDCBEB-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCc3nc(C)sc31)CC2 ZINC000359905911 1046530271 /nfs/dbraw/zinc/53/02/71/1046530271.db2.gz XNJLBRHROBEHFH-WBVHZDCISA-N 0 3 314.454 4.115 20 0 DIADHN c1ccc2c(c1)sc1c2cccc1CN1CCCC12COC2 ZINC001139843579 1046532099 /nfs/dbraw/zinc/53/20/99/1046532099.db2.gz BCAUNALILBSGOC-UHFFFAOYSA-N 0 3 309.434 4.419 20 0 DIADHN CSCc1cccc(CNCc2cnc(Cl)c(C)c2)c1 ZINC000782535665 1046533900 /nfs/dbraw/zinc/53/39/00/1046533900.db2.gz SXJSGUVLZMQLBD-UHFFFAOYSA-N 0 3 306.862 4.196 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc2nc(C)ccc2c1 ZINC000782532689 1046534972 /nfs/dbraw/zinc/53/49/72/1046534972.db2.gz ZFVJJUGGCQJJPP-UHFFFAOYSA-N 0 3 319.452 4.289 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(-n2ccnc2)c(F)c1 ZINC000782540563 1046536343 /nfs/dbraw/zinc/53/63/43/1046536343.db2.gz WIFUCJUBUALQQQ-UHFFFAOYSA-N 0 3 323.415 4.425 20 0 DIADHN CCC(CC)[C@H](CCNCc1ncccc1C(F)(F)F)OC ZINC000677964668 1046538737 /nfs/dbraw/zinc/53/87/37/1046538737.db2.gz CXESANCGBRZFQR-HNNXBMFYSA-N 0 3 318.383 4.031 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC2(CC(OCC3CC3)C2)CC1 ZINC001137317613 1046539515 /nfs/dbraw/zinc/53/95/15/1046539515.db2.gz MJKHPUGEIRBLRR-UHFFFAOYSA-N 0 3 324.468 4.339 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(COc2ccccc2)CC1 ZINC001137319199 1046540506 /nfs/dbraw/zinc/54/05/06/1046540506.db2.gz OEARKKUXGXEHCY-UHFFFAOYSA-N 0 3 320.436 4.459 20 0 DIADHN C[C@@H](NCCCc1cccc(C(F)(F)F)c1)c1cscn1 ZINC000536626638 1046540601 /nfs/dbraw/zinc/54/06/01/1046540601.db2.gz RLZILBHQEJZSMN-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2cc3ccccc3[nH]2)C1 ZINC001137319284 1046541164 /nfs/dbraw/zinc/54/11/64/1046541164.db2.gz UVNZYCIWARLAEP-SFHVURJKSA-N 0 3 306.409 4.130 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC001139883882 1046541701 /nfs/dbraw/zinc/54/17/01/1046541701.db2.gz MIIYPTOXBGAMEE-BBRMVZONSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CCC[C@@]2(CCOC2)C1 ZINC001139888477 1046544147 /nfs/dbraw/zinc/54/41/47/1046544147.db2.gz RRLKSOVKHDMSHI-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN C[C@H](CO)CN[C@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000678027488 1046544229 /nfs/dbraw/zinc/54/42/29/1046544229.db2.gz ARYXPBAWMIYZMK-YVEFUNNKSA-N 0 3 324.251 4.301 20 0 DIADHN C[C@@H](CO)CN[C@@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000678027398 1046544466 /nfs/dbraw/zinc/54/44/66/1046544466.db2.gz ARYXPBAWMIYZMK-PXAZEXFGSA-N 0 3 324.251 4.301 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001139888502 1046545752 /nfs/dbraw/zinc/54/57/52/1046545752.db2.gz SNXCSWDXUXOHLX-RISCZKNCSA-N 0 3 319.317 4.491 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cn3cc(C)cnc3=S)C2)cc1 ZINC000782951068 1046547278 /nfs/dbraw/zinc/54/72/78/1046547278.db2.gz BLPGIODYNNSRBB-NVXWUHKLSA-N 0 3 313.470 4.065 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1c[nH]c2nccc(Br)c12 ZINC001139943632 1046554518 /nfs/dbraw/zinc/55/45/18/1046554518.db2.gz GKCISOUOLSUDDY-GHMZBOCLSA-N 0 3 322.250 4.088 20 0 DIADHN C[C@H](NC[C@H](C)C(F)(F)F)c1sccc1Br ZINC000309541637 1046555803 /nfs/dbraw/zinc/55/58/03/1046555803.db2.gz GAJCMPCIZQDCID-BQBZGAKWSA-N 0 3 316.186 4.360 20 0 DIADHN c1cncc(-c2cccc(CN(Cc3ccncc3)C3CC3)c2)c1 ZINC000136108723 1046557135 /nfs/dbraw/zinc/55/71/35/1046557135.db2.gz HPWDNNKTNVGUKZ-UHFFFAOYSA-N 0 3 315.420 4.308 20 0 DIADHN CC(C)COC1CCN(Cc2ccc(F)c3cccnc23)CC1 ZINC000054327603 1046557934 /nfs/dbraw/zinc/55/79/34/1046557934.db2.gz SSAYROFFZKTJTD-UHFFFAOYSA-N 0 3 316.420 4.011 20 0 DIADHN CCOc1ccc(CN(C)Cc2nccc3ccccc32)cc1 ZINC000678121329 1046558339 /nfs/dbraw/zinc/55/83/39/1046558339.db2.gz FMSMXIJNYDDCPG-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1n[nH]c2ccccc21 ZINC000678140280 1046564250 /nfs/dbraw/zinc/56/42/50/1046564250.db2.gz CRZBTNLKKXMWEW-FQEVSTJZSA-N 0 3 321.424 4.081 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1[nH]nc2ccccc21 ZINC000678140280 1046564267 /nfs/dbraw/zinc/56/42/67/1046564267.db2.gz CRZBTNLKKXMWEW-FQEVSTJZSA-N 0 3 321.424 4.081 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3cc4ccccc4cn3)C2)c1 ZINC001140003432 1046566007 /nfs/dbraw/zinc/56/60/07/1046566007.db2.gz RLWFOTMPMUTMQS-FQEVSTJZSA-N 0 3 322.383 4.027 20 0 DIADHN CCCN(Cc1cnc2cccc(C)n12)Cc1ccc(OC)cc1 ZINC000678145392 1046568784 /nfs/dbraw/zinc/56/87/84/1046568784.db2.gz MMAVEVUYWAXRLG-UHFFFAOYSA-N 0 3 323.440 4.064 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@H]1CCC[C@H](C(F)(F)F)C1)CO2 ZINC000783290827 1046575417 /nfs/dbraw/zinc/57/54/17/1046575417.db2.gz HEMNYCVRXWIEIL-PEXQALLHSA-N 0 3 321.289 4.109 20 0 DIADHN CCCCN(CC(=O)N[C@@H](C)c1cccc2ccccc21)C1CC1 ZINC000642189504 1046577794 /nfs/dbraw/zinc/57/77/94/1046577794.db2.gz HKKKLBGGNJQBTG-INIZCTEOSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C)cc2)cc1OC(F)F ZINC000642189519 1046577824 /nfs/dbraw/zinc/57/78/24/1046577824.db2.gz IWOZELNVWJUOGZ-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000642189960 1046578029 /nfs/dbraw/zinc/57/80/29/1046578029.db2.gz QFTIUGMLBKOBAG-CZUORRHYSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000642189968 1046578409 /nfs/dbraw/zinc/57/84/09/1046578409.db2.gz RVIGWQBGAJBYGV-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN COc1ccc2[nH]nc(CN3CCCC[C@H]3c3ccccc3)c2c1 ZINC001140065136 1046580354 /nfs/dbraw/zinc/58/03/54/1046580354.db2.gz AKQDIMYQSFDVRU-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc2n[nH]c(CN3CCCC[C@H]3c3ccccc3)c2c1 ZINC001140065136 1046580369 /nfs/dbraw/zinc/58/03/69/1046580369.db2.gz AKQDIMYQSFDVRU-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC[C@H]3c3ccccc3C)c2c1 ZINC001140064990 1046580953 /nfs/dbraw/zinc/58/09/53/1046580953.db2.gz VSNXEGUYRILBQB-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1c(Cl)cccc1CN(C)[C@@H]1CCc2ccccc21 ZINC001140065603 1046581219 /nfs/dbraw/zinc/58/12/19/1046581219.db2.gz IJFZZXWWCYLYJI-QGZVFWFLSA-N 0 3 301.817 4.468 20 0 DIADHN COc1cccc(CCN(C)Cc2cccc(Cl)c2OC)c1 ZINC001140067695 1046582230 /nfs/dbraw/zinc/58/22/30/1046582230.db2.gz PKANEIQUQARDLJ-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1cccc(CCCN(C)Cc2ccc(O)cc2Cl)c1 ZINC001140084398 1046584358 /nfs/dbraw/zinc/58/43/58/1046584358.db2.gz ZTZSSCGMZZSRKM-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(O)cc2Cl)C1 ZINC001140081182 1046586075 /nfs/dbraw/zinc/58/60/75/1046586075.db2.gz GYCAQLRWPYQQGN-ZDUSSCGKSA-N 0 3 317.816 4.044 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ccc(O)cc3Cl)C2)c1 ZINC001140086784 1046586405 /nfs/dbraw/zinc/58/64/05/1046586405.db2.gz DUPUNBIBVZLTAU-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN Fc1ccc(-c2ncc(CN3CCC[C@@H](F)CC3)s2)cc1 ZINC001140093490 1046589555 /nfs/dbraw/zinc/58/95/55/1046589555.db2.gz GQNSOUQYYIEDJT-CYBMUJFWSA-N 0 3 308.397 4.273 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccc(O)cc2)o1 ZINC000678213123 1046590381 /nfs/dbraw/zinc/59/03/81/1046590381.db2.gz WFAWLPAKCAYTDY-KRWDZBQOSA-N 0 3 323.396 4.021 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCOc3ccccc32)C[C@H](c2ccccc2)O1 ZINC000678214253 1046591204 /nfs/dbraw/zinc/59/12/04/1046591204.db2.gz ORKPXCARRAUFSH-PLMTUMEDSA-N 0 3 323.436 4.015 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@]3(C[C@@H](c4ccccc4)CO3)C2)[nH]1 ZINC001137323855 1046592223 /nfs/dbraw/zinc/59/22/23/1046592223.db2.gz ASAIMFKYJBFWQI-CTNGQTDRSA-N 0 3 324.468 4.170 20 0 DIADHN Cc1cc2cc(CN3CCC[C@H](n4ccnc4)C3)oc2cc1C ZINC000678235098 1046592923 /nfs/dbraw/zinc/59/29/23/1046592923.db2.gz OEVGUBMUNOEPPE-KRWDZBQOSA-N 0 3 309.413 4.083 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@]3(C[C@H](c4ccccc4)CO3)C2)[nH]1 ZINC001137323856 1046592956 /nfs/dbraw/zinc/59/29/56/1046592956.db2.gz ASAIMFKYJBFWQI-FPOVZHCZSA-N 0 3 324.468 4.170 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cscc3Cl)C2)cc1 ZINC000678226030 1046593229 /nfs/dbraw/zinc/59/32/29/1046593229.db2.gz WTWHSJODOXVEEM-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN Fc1cccc(CN(CCc2ccccc2)Cc2ccccc2)n1 ZINC001140134259 1046597715 /nfs/dbraw/zinc/59/77/15/1046597715.db2.gz OTIBFGTVLHJVKQ-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN CC[C@](C)(COc1ncccc1Cl)NCC=C(Cl)Cl ZINC001168266004 1046598594 /nfs/dbraw/zinc/59/85/94/1046598594.db2.gz ZQNKSQDZPMBKPG-CYBMUJFWSA-N 0 3 323.651 4.191 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2C2CC2)cc(Cl)c1OC ZINC000678240212 1046599242 /nfs/dbraw/zinc/59/92/42/1046599242.db2.gz VBJMRBSILLXORH-OAHLLOKOSA-N 0 3 309.837 4.122 20 0 DIADHN Clc1cccc2c1OCC[C@H]2NCc1cccc2[nH]ccc21 ZINC000237896280 1046599672 /nfs/dbraw/zinc/59/96/72/1046599672.db2.gz FBAUIHUPNPPMMD-QGZVFWFLSA-N 0 3 312.800 4.435 20 0 DIADHN Fc1cc2cccnc2c(CN2CC[C@H](Nc3ccccc3)C2)c1 ZINC000237805119 1046600463 /nfs/dbraw/zinc/60/04/63/1046600463.db2.gz SHYAVHPHLGUTLE-IBGZPJMESA-N 0 3 321.399 4.060 20 0 DIADHN CCOCOc1ccc(CN2C[C@H](C)[C@@H]2c2ccccc2)cc1 ZINC000783493473 1046600682 /nfs/dbraw/zinc/60/06/82/1046600682.db2.gz HFLDUCHOBZRIOT-OXJNMPFZSA-N 0 3 311.425 4.252 20 0 DIADHN COc1c(Br)cccc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001140153358 1046601941 /nfs/dbraw/zinc/60/19/41/1046601941.db2.gz TVKXEZFUETWDSR-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN COc1c(Br)cccc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001140153356 1046602270 /nfs/dbraw/zinc/60/22/70/1046602270.db2.gz TVKXEZFUETWDSR-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN COc1c(Br)cccc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001140153357 1046602350 /nfs/dbraw/zinc/60/23/50/1046602350.db2.gz TVKXEZFUETWDSR-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN CN(CCCCCOc1ccccc1)Cc1ccc(Cl)nc1 ZINC000783521836 1046603527 /nfs/dbraw/zinc/60/35/27/1046603527.db2.gz CIDCKXWYYDEGFO-UHFFFAOYSA-N 0 3 318.848 4.416 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN2CCCOC[C@@H]2C)o1 ZINC000678275170 1046607607 /nfs/dbraw/zinc/60/76/07/1046607607.db2.gz UTANAPAETPETDA-ZDUSSCGKSA-N 0 3 303.377 4.005 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccncc3)cc2)CC(C)(C)O1 ZINC001140173831 1046607808 /nfs/dbraw/zinc/60/78/08/1046607808.db2.gz IFYBKZIORRGELO-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cscc2Cl)C1 ZINC000678276610 1046608446 /nfs/dbraw/zinc/60/84/46/1046608446.db2.gz KPWFXIJJOHRZPE-GFCCVEGCSA-N 0 3 307.846 4.400 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cscc2Cl)C1 ZINC000678276611 1046608835 /nfs/dbraw/zinc/60/88/35/1046608835.db2.gz KPWFXIJJOHRZPE-LBPRGKRZSA-N 0 3 307.846 4.400 20 0 DIADHN CCCN(Cc1cscc1Cl)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000678276296 1046609346 /nfs/dbraw/zinc/60/93/46/1046609346.db2.gz DSDDHJFNWKVTGZ-CFVMTHIKSA-N 0 3 313.894 4.427 20 0 DIADHN Cc1ccc(C2CC(NCc3nc4cc(F)ccc4n3C)C2)cc1 ZINC000783574840 1046610864 /nfs/dbraw/zinc/61/08/64/1046610864.db2.gz LWADVGCGULONCH-UHFFFAOYSA-N 0 3 323.415 4.057 20 0 DIADHN Fc1ccc(/C=C/CN2CCC3(CC2)OCc2ccccc23)cc1 ZINC000678284382 1046610973 /nfs/dbraw/zinc/61/09/73/1046610973.db2.gz COICKMAHDKEQMR-ONEGZZNKSA-N 0 3 323.411 4.360 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC3(CC2)OCc2ccccc23)o1 ZINC000678285101 1046612711 /nfs/dbraw/zinc/61/27/11/1046612711.db2.gz OYHNINUSHBJBFQ-CRAIPNDOSA-N 0 3 323.436 4.425 20 0 DIADHN Cc1ccc(F)c(CN2CCC3(CC2)OCc2ccccc23)c1 ZINC000678285383 1046613951 /nfs/dbraw/zinc/61/39/51/1046613951.db2.gz ZAKWAACCQGEHHD-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN CCc1oc2ccccc2c1CN(C)[C@H]1CCCc2c1cnn2C ZINC000678296263 1046615204 /nfs/dbraw/zinc/61/52/04/1046615204.db2.gz ZLCHZFIVSAATIE-KRWDZBQOSA-N 0 3 323.440 4.238 20 0 DIADHN Cc1cc(C)c(CN2CCC(Sc3ccccc3)CC2)[nH]1 ZINC001137331104 1046616122 /nfs/dbraw/zinc/61/61/22/1046616122.db2.gz PPIGTAUVOURYKY-UHFFFAOYSA-N 0 3 300.471 4.388 20 0 DIADHN c1ccc(C2(CNCc3nc4ccccc4o3)CCCC2)cc1 ZINC000642516407 1046616718 /nfs/dbraw/zinc/61/67/18/1046616718.db2.gz ZFJLDBIPFXBDAL-UHFFFAOYSA-N 0 3 306.409 4.429 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CN[C@@H](CO)CCF)o2)cc1 ZINC001203012937 1046617116 /nfs/dbraw/zinc/61/71/16/1046617116.db2.gz XMIPOSHRVRKANM-MRXNPFEDSA-N 0 3 319.420 4.054 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1cc(F)c(F)c(F)c1 ZINC000828687131 1046617744 /nfs/dbraw/zinc/61/77/44/1046617744.db2.gz DUIYLGGPNPMPQW-MADCSZMMSA-N 0 3 312.162 4.338 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(-c2ccccc2)o1 ZINC000678339486 1046621983 /nfs/dbraw/zinc/62/19/83/1046621983.db2.gz OYGIVDRUTYMOCW-LJQANCHMSA-N 0 3 321.424 4.326 20 0 DIADHN C[C@H](NCCC1CCCC1)c1nc(Br)cs1 ZINC000834496862 1046622486 /nfs/dbraw/zinc/62/24/86/1046622486.db2.gz SRCJGCVGKWFCGP-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccc(-n3ccnc3)nc2)c2sccc21 ZINC000834501482 1046624954 /nfs/dbraw/zinc/62/49/54/1046624954.db2.gz BRADPICOOODXTQ-XJKSGUPXSA-N 0 3 324.453 4.057 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cnccc2C)cc1OCC ZINC000678362751 1046627186 /nfs/dbraw/zinc/62/71/86/1046627186.db2.gz NKECKXQIPXGDAX-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN CCCOCc1ccccc1CN[C@@H](C)c1ccc(C)nc1C ZINC000678415064 1046631560 /nfs/dbraw/zinc/63/15/60/1046631560.db2.gz XXYJOZNAXLXZRY-INIZCTEOSA-N 0 3 312.457 4.476 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(Br)cs1)C1CCCC1 ZINC000834585278 1046633606 /nfs/dbraw/zinc/63/36/06/1046633606.db2.gz LMLCZNJSZUNPOO-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(Br)c2)c(C)n1 ZINC000678418978 1046633586 /nfs/dbraw/zinc/63/35/86/1046633586.db2.gz SXESHOMPMAVULW-LBPRGKRZSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](C(=O)Nc1cc(Cl)ccc1Cl)N(C)CC(C)(C)C ZINC000532957157 1046633691 /nfs/dbraw/zinc/63/36/91/1046633691.db2.gz MRCBAKISFDVMJM-SNVBAGLBSA-N 0 3 317.260 4.298 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC[C@H](C(F)F)C3)c2c1 ZINC001140270365 1046636112 /nfs/dbraw/zinc/63/61/12/1046636112.db2.gz ILBPUUYMCWJYSI-LBPRGKRZSA-N 0 3 308.372 4.044 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2n1C ZINC000532980079 1046636648 /nfs/dbraw/zinc/63/66/48/1046636648.db2.gz GWMHTBXEWIPQIJ-GOEBONIOSA-N 0 3 323.415 4.090 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](C)c2ncc(-c3ccccc3)n2C)C1 ZINC000834602760 1046637101 /nfs/dbraw/zinc/63/71/01/1046637101.db2.gz UVMNHBMHNFLBQE-NUEKZKHPSA-N 0 3 315.486 4.022 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](C)c2ncc(-c3ccccc3)n2C)C1 ZINC000834602762 1046638294 /nfs/dbraw/zinc/63/82/94/1046638294.db2.gz UVMNHBMHNFLBQE-VNQPRFMTSA-N 0 3 315.486 4.022 20 0 DIADHN C[N@H+](CCc1nccs1)Cc1cc(-c2ccccc2)ccc1[O-] ZINC000093843853 1046638507 /nfs/dbraw/zinc/63/85/07/1046638507.db2.gz XADOMRIJIRTEBQ-UHFFFAOYSA-N 0 3 324.449 4.190 20 0 DIADHN C[N@@H+](CCc1nccs1)Cc1cc(-c2ccccc2)ccc1[O-] ZINC000093843853 1046638515 /nfs/dbraw/zinc/63/85/15/1046638515.db2.gz XADOMRIJIRTEBQ-UHFFFAOYSA-N 0 3 324.449 4.190 20 0 DIADHN COC[C@H]1CCCN1Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC001140295341 1046642971 /nfs/dbraw/zinc/64/29/71/1046642971.db2.gz GTCUWLFMRMUCNC-CQSZACIVSA-N 0 3 322.861 4.074 20 0 DIADHN Clc1ccc(-c2c[nH]c([C@@H]3CCCN3CC3CC3)n2)cc1 ZINC000533056556 1046646728 /nfs/dbraw/zinc/64/67/28/1046646728.db2.gz ZFCATYLQTCLEOT-INIZCTEOSA-N 0 3 301.821 4.277 20 0 DIADHN CCC1(O)CCC(NCc2csc(-c3cccs3)n2)CC1 ZINC000678498657 1046648422 /nfs/dbraw/zinc/64/84/22/1046648422.db2.gz KWTJMWUWEUXLLE-UHFFFAOYSA-N 0 3 322.499 4.045 20 0 DIADHN CCC[C@H](C)N[C@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000643202992 1046648494 /nfs/dbraw/zinc/64/84/94/1046648494.db2.gz WOANJUIMGFDWPC-LPHOPBHVSA-N 0 3 310.441 4.453 20 0 DIADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1nc(Br)cs1 ZINC000834697359 1046650022 /nfs/dbraw/zinc/65/00/22/1046650022.db2.gz JKSHVEYWOBNGBS-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccc2[nH]nc(CN3CCC(c4cccs4)CC3)c2c1 ZINC001140330855 1046658220 /nfs/dbraw/zinc/65/82/20/1046658220.db2.gz NGKDNCIFOYTJNG-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN Cc1ccc2n[nH]c(CN3CCC(c4cccs4)CC3)c2c1 ZINC001140330855 1046658226 /nfs/dbraw/zinc/65/82/26/1046658226.db2.gz NGKDNCIFOYTJNG-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccccc3)[nH]2)c2sccc21 ZINC000834741207 1046660341 /nfs/dbraw/zinc/66/03/41/1046660341.db2.gz KTGDCWVJKZOERK-DOMZBBRYSA-N 0 3 324.453 4.261 20 0 DIADHN C[C@H]1CC[C@H](NCc2nnc(-c3ccccc3)[nH]2)c2sccc21 ZINC000834741210 1046660377 /nfs/dbraw/zinc/66/03/77/1046660377.db2.gz KTGDCWVJKZOERK-WFASDCNBSA-N 0 3 324.453 4.261 20 0 DIADHN Cc1ccc2n[nH]c(CN(Cc3ccccc3)C3CCC3)c2c1 ZINC001140331400 1046661176 /nfs/dbraw/zinc/66/11/76/1046661176.db2.gz HVJKUMCJVDIAHP-UHFFFAOYSA-N 0 3 305.425 4.426 20 0 DIADHN Cc1ccc2[nH]nc(CN(Cc3ccccc3)C3CCC3)c2c1 ZINC001140331400 1046661179 /nfs/dbraw/zinc/66/11/79/1046661179.db2.gz HVJKUMCJVDIAHP-UHFFFAOYSA-N 0 3 305.425 4.426 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)c1cc2c(s1)CCC2 ZINC000678581875 1046661379 /nfs/dbraw/zinc/66/13/79/1046661379.db2.gz ZSMANTLAMIJEDZ-ZDUSSCGKSA-N 0 3 301.455 4.405 20 0 DIADHN FC1(F)CCCN(Cc2cccc(-c3nccs3)c2)CC1 ZINC001140336285 1046662234 /nfs/dbraw/zinc/66/22/34/1046662234.db2.gz SIYIOLVPMJMAQB-UHFFFAOYSA-N 0 3 308.397 4.431 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@@H]1CCc3c1cccc3C)CCO2 ZINC000678582419 1046662385 /nfs/dbraw/zinc/66/23/85/1046662385.db2.gz IIXDZPRQAGNGKC-UXHICEINSA-N 0 3 323.436 4.494 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H]1CCc2ccc(C)cc21 ZINC000678583877 1046663224 /nfs/dbraw/zinc/66/32/24/1046663224.db2.gz BQGMIILDWGSJJW-ZWKOTPCHSA-N 0 3 309.409 4.104 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@@H]1OCCc2ccccc21 ZINC000678585794 1046664096 /nfs/dbraw/zinc/66/40/96/1046664096.db2.gz LTMHULLFEGGWAJ-YWZLYKJASA-N 0 3 311.425 4.050 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCOc3c(OC)cccc32)s1 ZINC000678590582 1046666199 /nfs/dbraw/zinc/66/61/99/1046666199.db2.gz YHTBYMPUOXWMSJ-DOMZBBRYSA-N 0 3 317.454 4.494 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCOc3c(OC)cccc32)s1 ZINC000678590585 1046666431 /nfs/dbraw/zinc/66/64/31/1046666431.db2.gz YHTBYMPUOXWMSJ-WFASDCNBSA-N 0 3 317.454 4.494 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC000678594519 1046667832 /nfs/dbraw/zinc/66/78/32/1046667832.db2.gz HZGNWYKBWAVBOC-KUHUBIRLSA-N 0 3 317.404 4.181 20 0 DIADHN CCC[C@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000678601468 1046674618 /nfs/dbraw/zinc/67/46/18/1046674618.db2.gz XLJLYRROBUWOQP-OALUTQOASA-N 0 3 314.473 4.051 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H]2CCc3cc(Cl)ccc32)C1 ZINC000678606905 1046676974 /nfs/dbraw/zinc/67/69/74/1046676974.db2.gz XTZBNVOHDYFYRR-RBUKOAKNSA-N 0 3 312.844 4.104 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccccc1)c1c(F)cccc1F ZINC000678608247 1046677167 /nfs/dbraw/zinc/67/71/67/1046677167.db2.gz JYLVGULXJQAYNF-SOVGHPHASA-N 0 3 316.395 4.061 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H]2CCc3cc(C)ccc32)c2ccccc21 ZINC000678608566 1046677422 /nfs/dbraw/zinc/67/74/22/1046677422.db2.gz QWLDGXSMYCYQAV-UXHICEINSA-N 0 3 320.436 4.070 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2cccc(F)c2)cc(OC)c1 ZINC000533252507 1046677526 /nfs/dbraw/zinc/67/75/26/1046677526.db2.gz WVDOVEYPNMPEEE-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1c2ccccc2CC[C@H]1C ZINC000678609180 1046678341 /nfs/dbraw/zinc/67/83/41/1046678341.db2.gz HEYYPJPLIDWICW-WNYOCNMUSA-N 0 3 323.436 4.432 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2cccc(F)c2)cc(OC)c1 ZINC000533252506 1046679229 /nfs/dbraw/zinc/67/92/29/1046679229.db2.gz WVDOVEYPNMPEEE-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](c2cccnc2)C2CCC2)c1 ZINC000795777523 1046679208 /nfs/dbraw/zinc/67/92/08/1046679208.db2.gz HPVUEJZTFLXMNI-FQEVSTJZSA-N 0 3 310.441 4.500 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](NCc1ccc(F)nc1)c1ccc(Cl)cc1 ZINC000249463317 1046680977 /nfs/dbraw/zinc/68/09/77/1046680977.db2.gz PMYDPNSCAJOZKD-PJQXDXOGSA-N 0 3 304.796 4.361 20 0 DIADHN CCn1c2ccc(F)cc2nc1CN(C1CC1)C1CCCC1 ZINC000533282469 1046684119 /nfs/dbraw/zinc/68/41/19/1046684119.db2.gz WTXCZDAQIXNRLA-UHFFFAOYSA-N 0 3 301.409 4.102 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000678641949 1046684255 /nfs/dbraw/zinc/68/42/55/1046684255.db2.gz XCOBDPWAWIJPEH-RBSFLKMASA-N 0 3 309.400 4.164 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N(C)C[C@@H](C)c2ccccc2)c1C ZINC000533263297 1046685271 /nfs/dbraw/zinc/68/52/71/1046685271.db2.gz UWYMZYAOSBJTOJ-AEFFLSMTSA-N 0 3 324.468 4.366 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137342634 1046686031 /nfs/dbraw/zinc/68/60/31/1046686031.db2.gz GFMBKRLMVMEBGX-OAHLLOKOSA-N 0 3 322.383 4.012 20 0 DIADHN CCC/C=C/C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001140391464 1046686298 /nfs/dbraw/zinc/68/62/98/1046686298.db2.gz SFUOMABPWVBWHS-OZSKJFCKSA-N 0 3 322.880 4.195 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc3[nH]ccc3c2)o1 ZINC000643890296 1046688594 /nfs/dbraw/zinc/68/85/94/1046688594.db2.gz DBDUYABTKGTFOX-SFHVURJKSA-N 0 3 310.397 4.244 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N(C)C[C@H]3CC=CCC3)o2)c1 ZINC000784390878 1046688834 /nfs/dbraw/zinc/68/88/34/1046688834.db2.gz LIUOKOYNSPGMGF-CVEARBPZSA-N 0 3 311.429 4.394 20 0 DIADHN CCCC1CCN(Cc2cnc(-c3ccccc3F)nc2)CC1 ZINC001140398635 1046688904 /nfs/dbraw/zinc/68/89/04/1046688904.db2.gz IVEGZWOREPGJAS-UHFFFAOYSA-N 0 3 313.420 4.295 20 0 DIADHN C[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000533294589 1046689081 /nfs/dbraw/zinc/68/90/81/1046689081.db2.gz AJSBGCWINLMYSQ-JKSUJKDBSA-N 0 3 308.425 4.022 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2ccnc(C)c2)cc1Cl ZINC000834860542 1046689115 /nfs/dbraw/zinc/68/91/15/1046689115.db2.gz SIEURILILKPTQB-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN C1=CC2(CCN(Cc3[nH]nc4ccccc43)CC2)c2ccccc21 ZINC001137344928 1046689468 /nfs/dbraw/zinc/68/94/68/1046689468.db2.gz JQUPHMOFBXCEBV-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN CC(C)N(Cc1csc(-c2ccc3c(c2)OCO3)n1)C(C)C ZINC000678714321 1046691578 /nfs/dbraw/zinc/69/15/78/1046691578.db2.gz FVFLCOFUCFDMFC-UHFFFAOYSA-N 0 3 318.442 4.158 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N1CCC[C@H](CC)CC1 ZINC000533299410 1046691760 /nfs/dbraw/zinc/69/17/60/1046691760.db2.gz KSRDXGAWCHJYCM-CVEARBPZSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000834876559 1046693507 /nfs/dbraw/zinc/69/35/07/1046693507.db2.gz COQVRULDWXPLNT-HNNXBMFYSA-N 0 3 306.331 4.186 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccc(OCC2CC2)nc1 ZINC000678768994 1046696560 /nfs/dbraw/zinc/69/65/60/1046696560.db2.gz YCMPJNQSHMSACI-QVKFZJNVSA-N 0 3 322.452 4.284 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H]1c2ccccc2CC[C@@H]1C ZINC000678767837 1046697271 /nfs/dbraw/zinc/69/72/71/1046697271.db2.gz DUBGYJRPEBPOOB-ORAYPTAESA-N 0 3 310.441 4.120 20 0 DIADHN CC(C)[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1ccc(F)cn1 ZINC000834885086 1046698601 /nfs/dbraw/zinc/69/86/01/1046698601.db2.gz SWTUMWBGJCJMMJ-OLZOCXBDSA-N 0 3 305.224 4.091 20 0 DIADHN CCCCn1nc(C)c(CNC[C@H]2CCCCS2)c1Cl ZINC000784615445 1046699495 /nfs/dbraw/zinc/69/94/95/1046699495.db2.gz IDLHFYNFWPXMCV-CYBMUJFWSA-N 0 3 315.914 4.020 20 0 DIADHN c1ccc2sc([C@@H]3CCCN(Cc4ccncc4)C3)nc2c1 ZINC000533334305 1046699749 /nfs/dbraw/zinc/69/97/49/1046699749.db2.gz UEGYLYLKYSNLCU-OAHLLOKOSA-N 0 3 309.438 4.071 20 0 DIADHN Fc1cccc(-c2ncc(CN3C[C@H]4[C@H](CC4(F)F)C3)s2)c1 ZINC001140414448 1046699781 /nfs/dbraw/zinc/69/97/81/1046699781.db2.gz IUXIUUNQFJNSJQ-RISCZKNCSA-N 0 3 324.371 4.036 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccc2ccccc2n1 ZINC000834885127 1046700084 /nfs/dbraw/zinc/70/00/84/1046700084.db2.gz TUHHHQICJUXQBO-XHDPSFHLSA-N 0 3 309.240 4.469 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000678775941 1046701287 /nfs/dbraw/zinc/70/12/87/1046701287.db2.gz HIWOYTAYHOLSJC-IBGZPJMESA-N 0 3 308.425 4.065 20 0 DIADHN Fc1cccc(-c2ncc(CN3CCC[C@@H](F)CC3)s2)c1 ZINC001140416477 1046705610 /nfs/dbraw/zinc/70/56/10/1046705610.db2.gz FVWFCXSJKWSFCP-CYBMUJFWSA-N 0 3 308.397 4.273 20 0 DIADHN CCc1nn(C)cc1CN(Cc1cccc(F)c1)C1CCCC1 ZINC000678815306 1046706798 /nfs/dbraw/zinc/70/67/98/1046706798.db2.gz CTASIZCBVZINNS-UHFFFAOYSA-N 0 3 315.436 4.066 20 0 DIADHN c1ccc(SC2CCN(Cc3[nH]nc4ccccc43)CC2)cc1 ZINC001137350851 1046708656 /nfs/dbraw/zinc/70/86/56/1046708656.db2.gz ICHBGYRPCBRFDO-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1csc(-c2ncccn2)n1 ZINC000533390140 1046712763 /nfs/dbraw/zinc/71/27/63/1046712763.db2.gz RQWMZKBAAYTICO-HIFRSBDPSA-N 0 3 316.474 4.001 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3nc(C)sc32)ccc1Cl ZINC000834903672 1046714097 /nfs/dbraw/zinc/71/40/97/1046714097.db2.gz LJXAHIDAKHBUEI-CYBMUJFWSA-N 0 3 322.861 4.281 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1csc(-c2ncccn2)n1 ZINC000533390142 1046714040 /nfs/dbraw/zinc/71/40/40/1046714040.db2.gz RQWMZKBAAYTICO-ZFWWWQNUSA-N 0 3 316.474 4.001 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3nc4c(s3)CCC4)C2)cc1 ZINC000678829814 1046715030 /nfs/dbraw/zinc/71/50/30/1046715030.db2.gz PFNABCJGUADMSR-ZDUSSCGKSA-N 0 3 318.873 4.275 20 0 DIADHN COc1c(C)cc([C@@H](C)N[C@H](C)c2cccnc2)cc1Cl ZINC000796670337 1046716407 /nfs/dbraw/zinc/71/64/07/1046716407.db2.gz CNSZMLYMZHPNGG-CHWSQXEVSA-N 0 3 304.821 4.464 20 0 DIADHN CCCN(Cc1cnc2ccc(Cl)cn12)Cc1ccccc1 ZINC001140431185 1046720963 /nfs/dbraw/zinc/72/09/63/1046720963.db2.gz IJDHBCDHYXOAHQ-UHFFFAOYSA-N 0 3 313.832 4.400 20 0 DIADHN CC(C)[C@H](NCc1ccc(N(C)C)nc1)c1ccc(Cl)cc1 ZINC000678871669 1046723393 /nfs/dbraw/zinc/72/33/93/1046723393.db2.gz HOMVEQNJLMAURY-SFHVURJKSA-N 0 3 317.864 4.288 20 0 DIADHN Cc1ccc(C2(C(=O)Nc3cccc(CN(C)C)c3)CCC2)cc1 ZINC000644572642 1046725599 /nfs/dbraw/zinc/72/55/99/1046725599.db2.gz YFLKTGZLZOWGIN-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C)nc1)c1ccc(Cl)cc1 ZINC000678881300 1046726422 /nfs/dbraw/zinc/72/64/22/1046726422.db2.gz DOADXYAZYKNXID-MRXNPFEDSA-N 0 3 303.837 4.042 20 0 DIADHN CC[C@H](OCCNCc1cnc(Cl)c(C)c1)c1ccccc1 ZINC001203037314 1046728492 /nfs/dbraw/zinc/72/84/92/1046728492.db2.gz GFLZTDMWGYGWRW-KRWDZBQOSA-N 0 3 318.848 4.301 20 0 DIADHN c1ccc(CN2CCC[C@H](c3nc4ccccc4s3)C2)nc1 ZINC000533470996 1046729748 /nfs/dbraw/zinc/72/97/48/1046729748.db2.gz CPNHZGPNDBRGFF-AWEZNQCLSA-N 0 3 309.438 4.071 20 0 DIADHN CN(Cc1ccc(SC(F)(F)F)cc1)CC1=CCCOC1 ZINC000827185125 1046731498 /nfs/dbraw/zinc/73/14/98/1046731498.db2.gz MMYHYAMUHXCDQY-UHFFFAOYSA-N 0 3 317.376 4.077 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccccc2-c2nccs2)C1 ZINC001140454192 1046732701 /nfs/dbraw/zinc/73/27/01/1046732701.db2.gz YMFOTMIMEGYQEJ-LBPRGKRZSA-N 0 3 312.360 4.194 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](c1ccccc1)C1CCC1 ZINC000678930628 1046736433 /nfs/dbraw/zinc/73/64/33/1046736433.db2.gz KRCUGGPTWHEFFQ-FQEVSTJZSA-N 0 3 311.473 4.176 20 0 DIADHN C[C@@H]1CN(Cc2cccc3cnccc32)Cc2ccccc2O1 ZINC000644843105 1046742585 /nfs/dbraw/zinc/74/25/85/1046742585.db2.gz UHMKYPXLPYHVLB-OAHLLOKOSA-N 0 3 304.393 4.018 20 0 DIADHN CC(C)N(CC(=O)Nc1ccccc1C(C)(C)C)C1CCC1 ZINC000533531163 1046744081 /nfs/dbraw/zinc/74/40/81/1046744081.db2.gz PXPMJMSOHBUUFA-UHFFFAOYSA-N 0 3 302.462 4.186 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cncc(C)c2)cc1Cl ZINC000796848619 1046744465 /nfs/dbraw/zinc/74/44/65/1046744465.db2.gz YMZAOBWGPJZDJD-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CC[NH+](CC)Cc1ccccc1Nc1cc([O-])c(F)cc1F ZINC001211993994 1046745329 /nfs/dbraw/zinc/74/53/29/1046745329.db2.gz ISHSNXHBLLJHFU-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN CCc1nn(C)cc1CN[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000678971341 1046749470 /nfs/dbraw/zinc/74/94/70/1046749470.db2.gz TYUKOOBOZDAICL-LIRRHRJNSA-N 0 3 323.484 4.372 20 0 DIADHN CC(C)Oc1ccc([C@@H]2CCC[C@@H]2NCc2cscn2)cc1 ZINC000679000217 1046753632 /nfs/dbraw/zinc/75/36/32/1046753632.db2.gz YHAMQHHIWIVUKI-ROUUACIJSA-N 0 3 316.470 4.356 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c(Cl)sc(Cl)c21)C(C)(C)O ZINC000679016399 1046757988 /nfs/dbraw/zinc/75/79/88/1046757988.db2.gz NERUYYITZPUIRA-APPZFPTMSA-N 0 3 308.274 4.181 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H](C)[C@H]2CCCCO2)o1 ZINC000679028960 1046761363 /nfs/dbraw/zinc/76/13/63/1046761363.db2.gz DJCIMWLLRBFTQT-RDTXWAMCSA-N 0 3 317.404 4.441 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1nc2ccc(Cl)cc2n1C ZINC000679074622 1046768257 /nfs/dbraw/zinc/76/82/57/1046768257.db2.gz UDQUPPLETYDZMO-MWLCHTKSSA-N 0 3 313.779 4.065 20 0 DIADHN COC[C@@H](N[C@@H]1CCC(F)(F)C1)c1cccc(C(F)(F)F)c1 ZINC000679072469 1046768903 /nfs/dbraw/zinc/76/89/03/1046768903.db2.gz WOJMAJIASZKMIU-CHWSQXEVSA-N 0 3 323.305 4.170 20 0 DIADHN Cc1sccc1CNCc1ccc(Br)cc1C ZINC000645154633 1046770187 /nfs/dbraw/zinc/77/01/87/1046770187.db2.gz GWEMRBRQQWSKPV-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN C[C@@H]1CN(Cc2ccccn2)CC[C@@H]1Nc1ccc(C2CC2)cc1 ZINC000679089102 1046773164 /nfs/dbraw/zinc/77/31/64/1046773164.db2.gz GECQGDIVHZDBKV-IERDGZPVSA-N 0 3 321.468 4.282 20 0 DIADHN c1cc(CN2CCC(Nc3ccc(C4CC4)cc3)CC2)ccn1 ZINC000679090959 1046773565 /nfs/dbraw/zinc/77/35/65/1046773565.db2.gz WYVQNXNSYPSNJX-UHFFFAOYSA-N 0 3 307.441 4.036 20 0 DIADHN CC[C@@H](Nc1cc(CN2CCSCC2)ccc1C)C1CC1 ZINC000679099947 1046776195 /nfs/dbraw/zinc/77/61/95/1046776195.db2.gz XCLGIPYMWZWASJ-QGZVFWFLSA-N 0 3 304.503 4.144 20 0 DIADHN Cn1c(CNC2CC(C(C)(C)C)C2)nc2ccc(Cl)cc21 ZINC000645192146 1046778009 /nfs/dbraw/zinc/77/80/09/1046778009.db2.gz OFZJYYZMGCFCJY-UHFFFAOYSA-N 0 3 305.853 4.141 20 0 DIADHN Cn1nc(CNCCc2cc3ccccc3s2)c2ccccc21 ZINC000645197157 1046778232 /nfs/dbraw/zinc/77/82/32/1046778232.db2.gz HGUFBZKONVPRKX-UHFFFAOYSA-N 0 3 321.449 4.120 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1ccncc1F ZINC001258675337 1046779792 /nfs/dbraw/zinc/77/97/92/1046779792.db2.gz PWFJDIYLXCDODN-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccc(C2(CNCc3nccs3)CCCC2)c(C)c1 ZINC000645217099 1046780588 /nfs/dbraw/zinc/78/05/88/1046780588.db2.gz QTXGEFPUSFPGJK-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN Cc1ccccc1-c1noc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)n1 ZINC000645249270 1046781572 /nfs/dbraw/zinc/78/15/72/1046781572.db2.gz NNWYIMGHFHLKSV-HZPDHXFCSA-N 0 3 311.429 4.056 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCCO[C@@H](C(C)C)C1 ZINC000645276094 1046782274 /nfs/dbraw/zinc/78/22/74/1046782274.db2.gz FMFAQJXKWAHOEY-LJQANCHMSA-N 0 3 304.478 4.014 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@@H](C)c2ccc(F)cc2F)cc1 ZINC000797061761 1046783559 /nfs/dbraw/zinc/78/35/59/1046783559.db2.gz ORRBJKVWYNWARP-VEVIJQCQSA-N 0 3 305.368 4.046 20 0 DIADHN CCC[C@H](N[C@H]1CCO[C@@H](C(C)C)C1)c1ncccc1Cl ZINC000925293489 1046788308 /nfs/dbraw/zinc/78/83/08/1046788308.db2.gz BLKQQZFLWVBGRV-CWRNSKLLSA-N 0 3 310.869 4.369 20 0 DIADHN CC[C@@H](NCc1cnccc1OC)c1cccc2ccccc21 ZINC000645467323 1046792710 /nfs/dbraw/zinc/79/27/10/1046792710.db2.gz RPUTVSZOTRYGMT-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN COc1cccc(CN(Cc2ccc(Cl)cn2)CC(C)C)c1 ZINC000679214894 1046794069 /nfs/dbraw/zinc/79/40/69/1046794069.db2.gz UMONHDKLXBXNGP-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)cc(Cl)c21)c1cc[nH]c(=O)c1 ZINC000645485603 1046795262 /nfs/dbraw/zinc/79/52/62/1046795262.db2.gz YMBODSPSGCCZAP-XPTSAGLGSA-N 0 3 323.223 4.432 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@H](c2nc(C(C)C)no2)C1 ZINC001140594774 1046799083 /nfs/dbraw/zinc/79/90/83/1046799083.db2.gz NSHYYCMOFVXPAV-AWEZNQCLSA-N 0 3 317.408 4.020 20 0 DIADHN C[C@H]1C[C@H](CN(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC000679325717 1046811065 /nfs/dbraw/zinc/81/10/65/1046811065.db2.gz OTBINDYQGVPZCP-NWDGAFQWSA-N 0 3 302.245 4.240 20 0 DIADHN Cc1csc([C@H](NCCc2c(F)cccc2F)C2CC2)n1 ZINC000645847574 1046821966 /nfs/dbraw/zinc/82/19/66/1046821966.db2.gz HAZVVWMRCQQWCB-OAHLLOKOSA-N 0 3 308.397 4.013 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccccc1Cl)c1ccc(F)cn1 ZINC000679355234 1046824476 /nfs/dbraw/zinc/82/44/76/1046824476.db2.gz QZKYISLKDDLSJG-RDJZCZTQSA-N 0 3 322.811 4.303 20 0 DIADHN C[C@H](CCCCO)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000679361287 1046826126 /nfs/dbraw/zinc/82/61/26/1046826126.db2.gz ZCKYUKSQFYCTQK-LLVKDONJSA-N 0 3 302.245 4.123 20 0 DIADHN FC(F)(F)Oc1cccc([C@@H](NC/C=C\Cl)C2CC2)c1 ZINC000796291833 1046832684 /nfs/dbraw/zinc/83/26/84/1046832684.db2.gz VNBGTOFNUXNHFP-MDVHNNDNSA-N 0 3 305.727 4.378 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(-n3ccnc3)cc2C)CC1 ZINC000645980677 1046836600 /nfs/dbraw/zinc/83/66/00/1046836600.db2.gz BBYMEINNIAKAAI-UHFFFAOYSA-N 0 3 317.436 4.268 20 0 DIADHN Oc1ccc(CNCc2ccccc2Oc2ccccc2)cc1 ZINC000679393094 1046837867 /nfs/dbraw/zinc/83/78/67/1046837867.db2.gz FQDCFNZYEBFXCZ-UHFFFAOYSA-N 0 3 305.377 4.474 20 0 DIADHN COc1ccc(C2=CCN(Cc3cc(C)c(O)c(C)c3)CC2)cc1 ZINC001140659893 1046840089 /nfs/dbraw/zinc/84/00/89/1046840089.db2.gz XPIAEVLQFHBWIM-UHFFFAOYSA-N 0 3 323.436 4.307 20 0 DIADHN CCc1ccc(CN2CCC(OCc3ccccc3F)CC2)o1 ZINC000679423055 1046840132 /nfs/dbraw/zinc/84/01/32/1046840132.db2.gz GDKSEHDIAMCFJR-UHFFFAOYSA-N 0 3 317.404 4.162 20 0 DIADHN Fc1cccc(C2(NCc3cccc(-n4cccn4)c3)CCC2)c1 ZINC000646001936 1046841102 /nfs/dbraw/zinc/84/11/02/1046841102.db2.gz ANXODFGDNIKQRM-UHFFFAOYSA-N 0 3 321.399 4.180 20 0 DIADHN Fc1ccc2ccc(CN[C@@H]3CCc4ccccc43)nc2c1F ZINC001203055819 1046841350 /nfs/dbraw/zinc/84/13/50/1046841350.db2.gz SNBGGHNXMWZILV-QGZVFWFLSA-N 0 3 310.347 4.290 20 0 DIADHN C[C@H](CN(C)[C@H](C)c1nc2ccccc2o1)c1nccs1 ZINC000248477197 1046846382 /nfs/dbraw/zinc/84/63/82/1046846382.db2.gz YOWOTNZLWCATJV-VXGBXAGGSA-N 0 3 301.415 4.081 20 0 DIADHN Cc1cc(F)c(CN(C)CCC2CC2)cc1Br ZINC001140698260 1046859441 /nfs/dbraw/zinc/85/94/41/1046859441.db2.gz ALBCMVFVJGIMAQ-UHFFFAOYSA-N 0 3 300.215 4.129 20 0 DIADHN Cc1cc(CN2CC[C@H](Cc3ccccc3)C2)cc(Cl)n1 ZINC000797589583 1046860654 /nfs/dbraw/zinc/86/06/54/1046860654.db2.gz AOUJZXPYEKYMJW-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(C)nc(Cl)c3)C2)cc1 ZINC000797590339 1046861164 /nfs/dbraw/zinc/86/11/64/1046861164.db2.gz VIAORKSZIJNMOA-INIZCTEOSA-N 0 3 316.832 4.042 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CCSc1ccccc1 ZINC000679544153 1046862680 /nfs/dbraw/zinc/86/26/80/1046862680.db2.gz GQIQNAKAFAYBRG-OLZOCXBDSA-N 0 3 303.393 4.442 20 0 DIADHN Cc1nc(CCN2CC3(CC=CC3)[C@@H]2c2ccccc2)cs1 ZINC000646118033 1046862510 /nfs/dbraw/zinc/86/25/10/1046862510.db2.gz CHGSBVKKFOVIPM-SFHVURJKSA-N 0 3 310.466 4.387 20 0 DIADHN Cc1cc(-c2nnc(CN3CCCC[C@H]3C3CCC3)o2)c(C)o1 ZINC000646137675 1046863183 /nfs/dbraw/zinc/86/31/83/1046863183.db2.gz RSZQIFSNKKKPRA-INIZCTEOSA-N 0 3 315.417 4.101 20 0 DIADHN Nc1ccc(Cl)cc1CN1CCC(c2cccc(O)c2)CC1 ZINC001140719951 1046870416 /nfs/dbraw/zinc/87/04/16/1046870416.db2.gz XKAFYFVMFNQUCZ-UHFFFAOYSA-N 0 3 316.832 4.007 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@H](c3cccc(F)c3)C2)[nH]1 ZINC001137377538 1046870727 /nfs/dbraw/zinc/87/07/27/1046870727.db2.gz CDXMUKFQUFXFJE-HNNXBMFYSA-N 0 3 300.421 4.441 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2cc(Cl)ccc2N)CC1 ZINC001140718668 1046870797 /nfs/dbraw/zinc/87/07/97/1046870797.db2.gz JWLQUDRIBAOWHY-UHFFFAOYSA-N 0 3 314.860 4.476 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@@H](c3cccc(F)c3)C2)[nH]1 ZINC001137377539 1046871229 /nfs/dbraw/zinc/87/12/29/1046871229.db2.gz CDXMUKFQUFXFJE-OAHLLOKOSA-N 0 3 300.421 4.441 20 0 DIADHN Clc1ncc2ccccc2c1CN1CC[C@H](c2ccccn2)C1 ZINC001140721889 1046873733 /nfs/dbraw/zinc/87/37/33/1046873733.db2.gz CYTJKKHLTJFIMG-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN CCOCC1CCN(Cc2c(Cl)ncc3ccccc32)CC1 ZINC001140724512 1046874838 /nfs/dbraw/zinc/87/48/38/1046874838.db2.gz NMMKIGSMSTZDES-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1ccnc2ccccc12 ZINC000679584536 1046881096 /nfs/dbraw/zinc/88/10/96/1046881096.db2.gz SPMSOJSMGJQYEO-JSGCOSHPSA-N 0 3 308.347 4.398 20 0 DIADHN OCC[C@@H](NCc1ccc(Cl)c(Cl)c1)c1ccccc1 ZINC000077468407 1046883758 /nfs/dbraw/zinc/88/37/58/1046883758.db2.gz COVCROSPBVGMBJ-MRXNPFEDSA-N 0 3 310.224 4.207 20 0 DIADHN c1cc2cc(CNCc3c[nH]nc3-c3ccccc3)ccc2o1 ZINC001203063348 1046885416 /nfs/dbraw/zinc/88/54/16/1046885416.db2.gz YFRFASJNTUYKSX-UHFFFAOYSA-N 0 3 303.365 4.113 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@H](C)NC1CSC1 ZINC000679626093 1046886415 /nfs/dbraw/zinc/88/64/15/1046886415.db2.gz RGKKTQNXPRHJRP-NSHDSACASA-N 0 3 304.484 4.193 20 0 DIADHN COc1ccc(CN2CC3CCC(CC3)C2)c(Br)c1 ZINC001140760571 1046888475 /nfs/dbraw/zinc/88/84/75/1046888475.db2.gz NFTGAUFWTWNRKQ-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1ccc(Br)c(CN(C)CC2CC(F)(F)C2)c1 ZINC001137385851 1046892484 /nfs/dbraw/zinc/89/24/84/1046892484.db2.gz GAAOVUDKAUGSOS-UHFFFAOYSA-N 0 3 318.205 4.235 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(Oc2ccc(F)cc2)CC1 ZINC001140780253 1046893026 /nfs/dbraw/zinc/89/30/26/1046893026.db2.gz SZKSIEQGIIXUJZ-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(C)c(C)c2)c(N2CCCC2)n1 ZINC000679723393 1046894023 /nfs/dbraw/zinc/89/40/23/1046894023.db2.gz MWIKYKLJXNKVKM-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(C)c2C)c(N2CCCC2)n1 ZINC000679723903 1046894165 /nfs/dbraw/zinc/89/41/65/1046894165.db2.gz TWVPZYGEGMWMGB-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@@H](c2nc(C(C)C)no2)C1 ZINC001140780981 1046895063 /nfs/dbraw/zinc/89/50/63/1046895063.db2.gz MINHJGKRKXCTDS-OAHLLOKOSA-N 0 3 317.408 4.020 20 0 DIADHN CC[C@@H](NCc1ccc(C)nc1N1CCCC1)c1cccs1 ZINC000679723405 1046895956 /nfs/dbraw/zinc/89/59/56/1046895956.db2.gz KTNSFTJJFNRYAH-MRXNPFEDSA-N 0 3 315.486 4.293 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(O)cc(Cl)c2)C1 ZINC001140785898 1046897342 /nfs/dbraw/zinc/89/73/42/1046897342.db2.gz AWJWTVHMJBQOGZ-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN C[C@H](NCc1ccc(N(C)c2ccccc2)nc1)c1ccc[nH]1 ZINC000797875193 1046898271 /nfs/dbraw/zinc/89/82/71/1046898271.db2.gz SZHCYPARDSLEPO-HNNXBMFYSA-N 0 3 306.413 4.028 20 0 DIADHN Cc1ccnc(O[C@@H]2CCCN(Cc3coc4ccccc34)C2)c1 ZINC001140785946 1046898501 /nfs/dbraw/zinc/89/85/01/1046898501.db2.gz BQWSIBHEHRKSIP-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(O)cc(Cl)c3)C2)cc1 ZINC001140790611 1046902007 /nfs/dbraw/zinc/90/20/07/1046902007.db2.gz CVEDHAYAPOLQGI-HNNXBMFYSA-N 0 3 317.816 4.044 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC000161648227 1046909999 /nfs/dbraw/zinc/90/99/99/1046909999.db2.gz JCRVHQMMQCSIIR-FQEVSTJZSA-N 0 3 311.473 4.168 20 0 DIADHN CO[C@@H]1CCCN([C@@H](C)c2ccc(Br)cc2)CC1 ZINC000679953290 1046915692 /nfs/dbraw/zinc/91/56/92/1046915692.db2.gz NWJUELKDDRTTRT-SWLSCSKDSA-N 0 3 312.251 4.011 20 0 DIADHN CO[C@H]1CCCN([C@H](C)c2ccc(Br)cc2)CC1 ZINC000679953286 1046915826 /nfs/dbraw/zinc/91/58/26/1046915826.db2.gz NWJUELKDDRTTRT-DOMZBBRYSA-N 0 3 312.251 4.011 20 0 DIADHN CO[C@@H]1CCCN(Cc2nc3ccccc3c(C)c2Cl)CC1 ZINC000679954219 1046918547 /nfs/dbraw/zinc/91/85/47/1046918547.db2.gz TUBKXXYGOJWINY-CQSZACIVSA-N 0 3 318.848 4.198 20 0 DIADHN Cc1n[nH]cc1CN1CCCCC[C@@H]1/C=C\c1cccs1 ZINC000680003020 1046925520 /nfs/dbraw/zinc/92/55/20/1046925520.db2.gz KRSQLMXWSQQNSC-HLRDLLSLSA-N 0 3 301.459 4.238 20 0 DIADHN Cc1cc([C@@H]2CCCN2Cc2cc(C(C)C)c(C)cc2O)on1 ZINC000054514733 1046931431 /nfs/dbraw/zinc/93/14/31/1046931431.db2.gz YFIRRQBHBYNUNC-KRWDZBQOSA-N 0 3 314.429 4.458 20 0 DIADHN Cc1cc([C@@H]2CCC[N@@H+]2Cc2c([O-])ccc3ccccc32)on1 ZINC000054514738 1046931523 /nfs/dbraw/zinc/93/15/23/1046931523.db2.gz GOOSMYJRFCTMJG-KRWDZBQOSA-N 0 3 308.381 4.179 20 0 DIADHN Cc1cc([C@@H]2CCC[N@H+]2Cc2c([O-])ccc3ccccc32)on1 ZINC000054514738 1046931529 /nfs/dbraw/zinc/93/15/29/1046931529.db2.gz GOOSMYJRFCTMJG-KRWDZBQOSA-N 0 3 308.381 4.179 20 0 DIADHN C[C@@H](CN[C@@H]1COCc2cccc(Cl)c21)Cc1cccs1 ZINC000798183655 1046933830 /nfs/dbraw/zinc/93/38/30/1046933830.db2.gz OTTKMYDGKSUCHZ-MLGOLLRUSA-N 0 3 321.873 4.441 20 0 DIADHN Cc1c[nH]c(CN2CCC[C@H](Oc3ccc(Cl)cc3)C2)c1C ZINC001140861385 1046934486 /nfs/dbraw/zinc/93/44/86/1046934486.db2.gz FHYGLZVHMZLNAG-KRWDZBQOSA-N 0 3 318.848 4.328 20 0 DIADHN CCN(CCc1cccs1)Cc1nc2ccccc2s1 ZINC000532939094 1046936188 /nfs/dbraw/zinc/93/61/88/1046936188.db2.gz FXNFOIDQCLUJJQ-UHFFFAOYSA-N 0 3 302.468 4.422 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)(F)F)c(F)c2)C2(CC2)C1 ZINC001140889153 1046942551 /nfs/dbraw/zinc/94/25/51/1046942551.db2.gz ZTKNZOJBWSSTAQ-JTQLQIEISA-N 0 3 303.299 4.099 20 0 DIADHN [O-]c1ccc(CN2CCC(c3ccccc3)CC2)c(F)c1F ZINC001140888361 1046942615 /nfs/dbraw/zinc/94/26/15/1046942615.db2.gz QKHREPUEOFZLLZ-UHFFFAOYSA-N 0 3 303.352 4.050 20 0 DIADHN Oc1ccc(CN2CCC(c3ccccc3)CC2)c(F)c1F ZINC001140888361 1046942624 /nfs/dbraw/zinc/94/26/24/1046942624.db2.gz QKHREPUEOFZLLZ-UHFFFAOYSA-N 0 3 303.352 4.050 20 0 DIADHN Oc1ccc([C@@H]2CCCN2CCc2cccc(F)c2F)cc1 ZINC000680062598 1046944127 /nfs/dbraw/zinc/94/41/27/1046944127.db2.gz QAPNAWCYULTGQP-KRWDZBQOSA-N 0 3 303.352 4.050 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc([O-])c(F)c2F)c1 ZINC001140889817 1046944466 /nfs/dbraw/zinc/94/44/66/1046944466.db2.gz LRHKAKBQCXPWPI-HNNXBMFYSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc(O)c(F)c2F)c1 ZINC001140889817 1046944469 /nfs/dbraw/zinc/94/44/69/1046944469.db2.gz LRHKAKBQCXPWPI-HNNXBMFYSA-N 0 3 303.352 4.316 20 0 DIADHN CC(C)c1cc(-c2nc([C@H]3CCCN3)no2)c(O)c(C(C)C)c1 ZINC000647147503 1046946058 /nfs/dbraw/zinc/94/60/58/1046946058.db2.gz SNCHKTCJLALHHU-OAHLLOKOSA-N 0 3 315.417 4.114 20 0 DIADHN Cc1cccn2c(CNC3(c4ccc(F)cc4)CCCC3)cnc12 ZINC000237843498 1046946208 /nfs/dbraw/zinc/94/62/08/1046946208.db2.gz GQSWXVBDBJBMLX-UHFFFAOYSA-N 0 3 323.415 4.341 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@@H](Oc3cccc(F)c3)C2)c1 ZINC000680112885 1046948984 /nfs/dbraw/zinc/94/89/84/1046948984.db2.gz IFGFUSWGOLLVQL-IFXJQAMLSA-N 0 3 315.388 4.049 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnccc1C(F)(F)F ZINC001140918249 1046949321 /nfs/dbraw/zinc/94/93/21/1046949321.db2.gz OTSRYZFHFACPTC-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140940588 1046955818 /nfs/dbraw/zinc/95/58/18/1046955818.db2.gz HAXIPLMFPJYPRV-KRWDZBQOSA-N 0 3 306.812 4.312 20 0 DIADHN C[C@]1(CF)CCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140940589 1046955935 /nfs/dbraw/zinc/95/59/35/1046955935.db2.gz HAXIPLMFPJYPRV-QGZVFWFLSA-N 0 3 306.812 4.312 20 0 DIADHN COc1cc(C)c(CNC2(c3ccccc3C)CC2)cc1OC ZINC000647224761 1046956011 /nfs/dbraw/zinc/95/60/11/1046956011.db2.gz XYAGZVUDFYNIRG-UHFFFAOYSA-N 0 3 311.425 4.100 20 0 DIADHN C[C@H](CNCc1csc(-c2cccs2)n1)CC(F)F ZINC000647225803 1046956774 /nfs/dbraw/zinc/95/67/74/1046956774.db2.gz BKPPALNXROKFSS-VIFPVBQESA-N 0 3 302.415 4.253 20 0 DIADHN COc1ccc(-c2cccc(CN3CCC(C)(F)CC3)n2)cc1 ZINC001140955033 1046963136 /nfs/dbraw/zinc/96/31/36/1046963136.db2.gz PRNDIERGQACUTD-UHFFFAOYSA-N 0 3 314.404 4.081 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2n[nH]c3ccccc32)c1 ZINC000680332797 1046963520 /nfs/dbraw/zinc/96/35/20/1046963520.db2.gz CTLIFISIRRZENS-JLTOFOAXSA-N 0 3 321.424 4.155 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2n[nH]c3ccccc32)c1 ZINC000680332798 1046964569 /nfs/dbraw/zinc/96/45/69/1046964569.db2.gz CTLIFISIRRZENS-VBKZILBWSA-N 0 3 321.424 4.155 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(OC(F)(F)F)cc1C ZINC001140962742 1046964936 /nfs/dbraw/zinc/96/49/36/1046964936.db2.gz JELPCIOXVWNXRU-UHFFFAOYSA-N 0 3 324.346 4.311 20 0 DIADHN CC(C)Oc1ccccc1CN1CCCN(c2ccccc2)CC1 ZINC000615097583 1046966647 /nfs/dbraw/zinc/96/66/47/1046966647.db2.gz GLOYSYHLJJUJKK-UHFFFAOYSA-N 0 3 324.468 4.186 20 0 DIADHN CC(C)COC[C@@H](NC1CCC(F)(F)CC1)c1ccco1 ZINC000647287221 1046968595 /nfs/dbraw/zinc/96/85/95/1046968595.db2.gz UJOPSWWXMNQPCW-CQSZACIVSA-N 0 3 301.377 4.161 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1cccc(-c3ncccn3)c1)C2 ZINC001140984028 1046968742 /nfs/dbraw/zinc/96/87/42/1046968742.db2.gz YTROSBIWMCXPSI-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN CC(C)C[C@@H](NCc1ccnc(C(F)(F)F)c1)c1ccncc1 ZINC000647305608 1046972917 /nfs/dbraw/zinc/97/29/17/1046972917.db2.gz BLTWYXOZTJCXNP-OAHLLOKOSA-N 0 3 323.362 4.372 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(-c3ccccn3)s2)c1 ZINC001141001005 1046975470 /nfs/dbraw/zinc/97/54/70/1046975470.db2.gz SYVDBNKEDRDDOR-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN C[C@H](NCc1nc(-c2ccc(F)c(F)c2)no1)C1CCCCC1 ZINC000680378290 1046975953 /nfs/dbraw/zinc/97/59/53/1046975953.db2.gz NBSBSGWYBWJUEB-NSHDSACASA-N 0 3 321.371 4.073 20 0 DIADHN CC[C@H](C)C[C@@H](CO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000647336634 1046981974 /nfs/dbraw/zinc/98/19/74/1046981974.db2.gz DIQRFDSZNYIRLK-DZKIICNBSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1sc([C@@H](C)NC[C@H](C)CO)nc1-c1ccc(Cl)cc1 ZINC000680425616 1046982641 /nfs/dbraw/zinc/98/26/41/1046982641.db2.gz NFZWMYONQLXIGS-WDEREUQCSA-N 0 3 324.877 4.051 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000680460232 1046985207 /nfs/dbraw/zinc/98/52/07/1046985207.db2.gz MODBXFWNSNPWAG-FQEVSTJZSA-N 0 3 317.436 4.133 20 0 DIADHN Cc1cc([C@H](N[C@H]2CCS[C@H]2C)c2cccnc2)ccc1F ZINC000647359889 1046992384 /nfs/dbraw/zinc/99/23/84/1046992384.db2.gz MOEKBJNPMCAHKH-KKXDTOCCSA-N 0 3 316.445 4.102 20 0 DIADHN O[C@@H](CN1CCC(C(F)(F)F)CC1)c1cccc2ccccc21 ZINC000322108712 1046992334 /nfs/dbraw/zinc/99/23/34/1046992334.db2.gz ZYDSSEONYHBOBV-KRWDZBQOSA-N 0 3 323.358 4.148 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@@H](c2ccccn2)C1 ZINC000798964587 1046994355 /nfs/dbraw/zinc/99/43/55/1046994355.db2.gz SCGXHJCVNNKRPM-OAHLLOKOSA-N 0 3 313.470 4.185 20 0 DIADHN CCCCc1ncc(CN2CCC[C@H]2c2ccc(OC)cc2)[nH]1 ZINC001141069874 1046995994 /nfs/dbraw/zinc/99/59/94/1046995994.db2.gz HIIHQQXIDLOEGC-SFHVURJKSA-N 0 3 313.445 4.098 20 0 DIADHN CCCCc1ncc(CN2CCC[C@H]2c2cccc(OC)c2)[nH]1 ZINC001141071677 1046996241 /nfs/dbraw/zinc/99/62/41/1046996241.db2.gz ABFMZVRSEXCVMA-SFHVURJKSA-N 0 3 313.445 4.098 20 0 DIADHN COc1ccnc(CN2CCC[C@H](CCCc3ccccc3)C2)c1 ZINC001168276094 1046996747 /nfs/dbraw/zinc/99/67/47/1046996747.db2.gz QQURPLWUTPSEQF-IBGZPJMESA-N 0 3 324.468 4.325 20 0 DIADHN COc1ccc2nc(Cl)c(CN3C[C@H]4CCC[C@@H]4C3)cc2c1 ZINC001141062713 1046997162 /nfs/dbraw/zinc/99/71/62/1046997162.db2.gz PVOPMFGNCUEBGC-CHWSQXEVSA-N 0 3 316.832 4.129 20 0 DIADHN CCCCc1ncc(CN2CCC[C@@H]2c2ccc(OC)cc2)[nH]1 ZINC001141069873 1046997225 /nfs/dbraw/zinc/99/72/25/1046997225.db2.gz HIIHQQXIDLOEGC-GOSISDBHSA-N 0 3 313.445 4.098 20 0 DIADHN CCCCc1nc(CN2CCC[C@@H]2Cc2ccc(F)cc2)c[nH]1 ZINC001141075071 1046998966 /nfs/dbraw/zinc/99/89/66/1046998966.db2.gz XPZQLWZYKAUJOU-GOSISDBHSA-N 0 3 315.436 4.099 20 0 DIADHN CCN(Cc1ccc(N)nc1)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000647538351 1047005917 /nfs/dbraw/zinc/00/59/17/1047005917.db2.gz NWDBVNBCGLMNLB-LBPRGKRZSA-N 0 3 323.362 4.096 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N(Cc1ccc(N)nc1)C1CC1 ZINC000647537846 1047006131 /nfs/dbraw/zinc/00/61/31/1047006131.db2.gz PMQVIERVPLLFKU-OAHLLOKOSA-N 0 3 317.436 4.373 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000647754056 1047016458 /nfs/dbraw/zinc/01/64/58/1047016458.db2.gz GCHWQBFUMZCIBK-CEXWTWQISA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccc2nccc(N3CCC=C(Br)C3)c2c1 ZINC000799324350 1047017098 /nfs/dbraw/zinc/01/70/98/1047017098.db2.gz BCQNJBDGBKCMOX-UHFFFAOYSA-N 0 3 303.203 4.032 20 0 DIADHN COc1cccc(CN2CCC[C@H]2c2cccs2)c1OC ZINC000162700065 1047023460 /nfs/dbraw/zinc/02/34/60/1047023460.db2.gz JQVLXUORNQLFST-AWEZNQCLSA-N 0 3 303.427 4.102 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@@H](c3ccccn3)C2)c1 ZINC000647950112 1047023591 /nfs/dbraw/zinc/02/35/91/1047023591.db2.gz OQGRMKCBDISFHQ-OAHLLOKOSA-N 0 3 320.358 4.480 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@@H](c2ccccn2)C1 ZINC000647950324 1047024046 /nfs/dbraw/zinc/02/40/46/1047024046.db2.gz STDVGYYNORFUBH-LSDHHAIUSA-N 0 3 307.397 4.163 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2cccs2)o1 ZINC000162686043 1047026160 /nfs/dbraw/zinc/02/61/60/1047026160.db2.gz CVDXDLFJYPVGSP-AWEZNQCLSA-N 0 3 313.426 4.377 20 0 DIADHN Cc1nsc(C)c1CN[C@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000647972225 1047026926 /nfs/dbraw/zinc/02/69/26/1047026926.db2.gz QUZZDKADLCWBKI-HOTGVXAUSA-N 0 3 322.861 4.033 20 0 DIADHN COc1ccc2nccc(CN3CC[C@H]4CCCC[C@H]4C3)c2c1 ZINC001141150810 1047026824 /nfs/dbraw/zinc/02/68/24/1047026824.db2.gz PGCTXPJLJSGLOM-CVEARBPZSA-N 0 3 310.441 4.256 20 0 DIADHN COc1ccc2nccc(CN3CC[C@H]4CCCC[C@@H]4C3)c2c1 ZINC001141152668 1047028563 /nfs/dbraw/zinc/02/85/63/1047028563.db2.gz PGCTXPJLJSGLOM-HZPDHXFCSA-N 0 3 310.441 4.256 20 0 DIADHN CCCCc1oc2ccccc2c1CNC[C@H](O)c1ccco1 ZINC000097287541 1047029686 /nfs/dbraw/zinc/02/96/86/1047029686.db2.gz IUQVQAYOHRFRFN-INIZCTEOSA-N 0 3 313.397 4.192 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCCC[C@@H]1C1CCC1 ZINC000648077650 1047035413 /nfs/dbraw/zinc/03/54/13/1047035413.db2.gz ARHVNAKGUQFVML-CVEARBPZSA-N 0 3 306.475 4.149 20 0 DIADHN CCOc1cc(CNC2(c3ccc(C)cc3)CCC2)ccc1O ZINC000648076837 1047036142 /nfs/dbraw/zinc/03/61/42/1047036142.db2.gz LWPCNPCGFHMIRW-UHFFFAOYSA-N 0 3 311.425 4.268 20 0 DIADHN CC[C@H](C)[C@H](N)C(=O)Nc1ccc(Oc2ccccc2)cc1C ZINC000648076230 1047037214 /nfs/dbraw/zinc/03/72/14/1047037214.db2.gz FIVGIGWUJXTYJB-UGSOOPFHSA-N 0 3 312.413 4.099 20 0 DIADHN Fc1cccc(C[C@@H]2CCCN2Cc2cnc(C3CC3)s2)c1 ZINC000536654033 1047045224 /nfs/dbraw/zinc/04/52/24/1047045224.db2.gz DEPZWYVVJOCQBN-INIZCTEOSA-N 0 3 316.445 4.367 20 0 DIADHN CN(CCSc1ccc(N)cc1Cl)Cc1ccc(F)cc1 ZINC000680809593 1047047103 /nfs/dbraw/zinc/04/71/03/1047047103.db2.gz WALCIWYWSBQABQ-UHFFFAOYSA-N 0 3 324.852 4.285 20 0 DIADHN CO[C@@H](C)CN(Cc1cnc(-c2cccs2)s1)C(C)C ZINC000536658869 1047048459 /nfs/dbraw/zinc/04/84/59/1047048459.db2.gz QJHMOHZFKKCVSO-LBPRGKRZSA-N 0 3 310.488 4.117 20 0 DIADHN Fc1cnccc1CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC000648360212 1047048874 /nfs/dbraw/zinc/04/88/74/1047048874.db2.gz GLYBWQZTHKGELQ-MRXNPFEDSA-N 0 3 309.388 4.082 20 0 DIADHN COc1cccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1F ZINC001141222535 1047050570 /nfs/dbraw/zinc/05/05/70/1047050570.db2.gz GPSQRXIEFRRIJA-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc3c[nH]nc3c2)[C@H]1c1ccccc1 ZINC000536660434 1047051684 /nfs/dbraw/zinc/05/16/84/1047051684.db2.gz IXHFURJFIVOKKY-IFXJQAMLSA-N 0 3 323.465 4.242 20 0 DIADHN OCC1CN(Cc2ccc(-c3cc(Cl)cc(Cl)c3)cc2)C1 ZINC001141226222 1047052996 /nfs/dbraw/zinc/05/29/96/1047052996.db2.gz YVYRSRABFRMETD-UHFFFAOYSA-N 0 3 322.235 4.085 20 0 DIADHN Cc1cnccc1O[C@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC001141234046 1047057059 /nfs/dbraw/zinc/05/70/59/1047057059.db2.gz FKHVVZRBDGIGDC-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccncc3)CC2)ccc1Cl ZINC001141236504 1047057975 /nfs/dbraw/zinc/05/79/75/1047057975.db2.gz TUKVIAFQROOCPT-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN OCCCN(Cc1ccc(Cl)o1)[C@H]1CCCc2ccccc21 ZINC000536665616 1047058611 /nfs/dbraw/zinc/05/86/11/1047058611.db2.gz UKTROOIJIQVYQM-KRWDZBQOSA-N 0 3 319.832 4.195 20 0 DIADHN Cc1cc(CN(C)Cc2ccc3c(c2)OCCO3)ccc1Cl ZINC001141234000 1047059163 /nfs/dbraw/zinc/05/91/63/1047059163.db2.gz CALKGNWWYPLNGJ-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(Cc2ccncc2)CC1 ZINC001141233914 1047059811 /nfs/dbraw/zinc/05/98/11/1047059811.db2.gz WLNFZYWZEWKQPE-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Clc1cnc(NC[C@@H](c2cccs2)N2CCCC2)s1 ZINC000680888054 1047060372 /nfs/dbraw/zinc/06/03/72/1047060372.db2.gz VHLKHJDWSNORDI-JTQLQIEISA-N 0 3 313.879 4.107 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1nn(C)c2ccccc12 ZINC000680885698 1047061326 /nfs/dbraw/zinc/06/13/26/1047061326.db2.gz XUCGGRRQQVTIJG-BTYIYWSLSA-N 0 3 319.452 4.377 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000536670978 1047063695 /nfs/dbraw/zinc/06/36/95/1047063695.db2.gz FQSWLACUBQDVSR-DLBZAZTESA-N 0 3 315.486 4.183 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000536672605 1047064495 /nfs/dbraw/zinc/06/44/95/1047064495.db2.gz WQALYEWHZXJWLU-HNNXBMFYSA-N 0 3 322.518 4.439 20 0 DIADHN C[C@@H]1C[C@H](Nc2ncc(Cl)s2)CCN1Cc1ccccc1 ZINC000680895299 1047065823 /nfs/dbraw/zinc/06/58/23/1047065823.db2.gz JVGHMORRDPYXTA-TZMCWYRMSA-N 0 3 321.877 4.262 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000648563119 1047068623 /nfs/dbraw/zinc/06/86/23/1047068623.db2.gz SDHQWOUGHRTZJQ-NLWGTHIKSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc(F)c(F)c3)CC2)cn1 ZINC000680934394 1047068903 /nfs/dbraw/zinc/06/89/03/1047068903.db2.gz OCKGVBMQKXTJNX-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN COc1ccsc1CN1CCC[C@H]1c1cccc(N(C)C)c1 ZINC000536680228 1047070585 /nfs/dbraw/zinc/07/05/85/1047070585.db2.gz ISABXEDBHYNQTK-INIZCTEOSA-N 0 3 316.470 4.160 20 0 DIADHN Cc1cc(CN2CCSC[C@@H]2C)ccc1Oc1cccnc1 ZINC000536685663 1047070825 /nfs/dbraw/zinc/07/08/25/1047070825.db2.gz FBLUFURTADNCPM-HNNXBMFYSA-N 0 3 314.454 4.120 20 0 DIADHN Clc1cnc2oc(CN3CC4(CCC4)[C@@H]3C3CC3)cc2c1 ZINC000648591591 1047072184 /nfs/dbraw/zinc/07/21/84/1047072184.db2.gz RNOGDBAVPNLXHM-HNNXBMFYSA-N 0 3 302.805 4.246 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1c(Cl)ccc2cccnc21 ZINC000680973704 1047075973 /nfs/dbraw/zinc/07/59/73/1047075973.db2.gz MIALHVBHTJKJCV-VIFPVBQESA-N 0 3 302.727 4.319 20 0 DIADHN FC(F)(F)c1cccnc1CNC[C@H]1CCCCC1(F)F ZINC000648634258 1047076795 /nfs/dbraw/zinc/07/67/95/1047076795.db2.gz ZJPJWYVSAARZDN-SNVBAGLBSA-N 0 3 308.294 4.016 20 0 DIADHN CCC(C)(CC)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC000680996958 1047077087 /nfs/dbraw/zinc/07/70/87/1047077087.db2.gz GMORITFZNFEWGE-SFHVURJKSA-N 0 3 316.489 4.074 20 0 DIADHN COc1cccc([C@@H]2CCCN2C[C@H]2CC2(Cl)Cl)c1 ZINC001115823616 1047084126 /nfs/dbraw/zinc/08/41/26/1047084126.db2.gz KZKSDLAPTITHCA-OCCSQVGLSA-N 0 3 300.229 4.026 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141314783 1047085214 /nfs/dbraw/zinc/08/52/14/1047085214.db2.gz CUODZJFUIDHJHF-LJQANCHMSA-N 0 3 315.388 4.229 20 0 DIADHN CCOc1ccccc1CN1CCC(OCC2CCCC2)CC1 ZINC000536708680 1047086119 /nfs/dbraw/zinc/08/61/19/1047086119.db2.gz NJXDJAKOVUAQDL-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@@H](C)c3nc(C)sc3C)C2)c1 ZINC000648793445 1047089593 /nfs/dbraw/zinc/08/95/93/1047089593.db2.gz PSYYDTQOLFHLSH-UVBJJODRSA-N 0 3 316.470 4.365 20 0 DIADHN Cc1ncc([C@H](C)NCc2cccc(-c3cccnc3)c2)s1 ZINC000681056156 1047089655 /nfs/dbraw/zinc/08/96/55/1047089655.db2.gz VNGSVTFRKKZWBQ-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1[C@H](C)CC[C@H]1CC ZINC000536745338 1047089894 /nfs/dbraw/zinc/08/98/94/1047089894.db2.gz OXTOOJIGCZVDEE-RHSMWYFYSA-N 0 3 302.462 4.013 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1[C@@H](C)CC[C@@H]1CC ZINC000536745340 1047090798 /nfs/dbraw/zinc/09/07/98/1047090798.db2.gz OXTOOJIGCZVDEE-YOEHRIQHSA-N 0 3 302.462 4.013 20 0 DIADHN COc1ccsc1CN1CCC(c2ccccc2OC)CC1 ZINC001141327454 1047092543 /nfs/dbraw/zinc/09/25/43/1047092543.db2.gz VAVWQOAHFZXTED-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2sccc2OC)cc1 ZINC001141326787 1047092929 /nfs/dbraw/zinc/09/29/29/1047092929.db2.gz OEDHKEHFULSZFO-MRXNPFEDSA-N 0 3 317.454 4.493 20 0 DIADHN COc1ccsc1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001141326947 1047093198 /nfs/dbraw/zinc/09/31/98/1047093198.db2.gz YANIFYNBJJZUHO-LBPRGKRZSA-N 0 3 307.846 4.400 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ncc(-c3ccccc3)s2)CCO1 ZINC000536757163 1047096301 /nfs/dbraw/zinc/09/63/01/1047096301.db2.gz TWVGNYFOXMMUDH-JKSUJKDBSA-N 0 3 316.470 4.103 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ncc(-c3ccccc3)s2)CCO1 ZINC000536757160 1047096823 /nfs/dbraw/zinc/09/68/23/1047096823.db2.gz TWVGNYFOXMMUDH-HOTGVXAUSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(OCC(F)(F)F)cc1 ZINC000536772454 1047100066 /nfs/dbraw/zinc/10/00/66/1047100066.db2.gz ORMGBJHMWHXVFH-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCOc1ccc2ccccc2c1 ZINC000536790329 1047100918 /nfs/dbraw/zinc/10/09/18/1047100918.db2.gz NGWBRLCPTGCMSN-INIZCTEOSA-N 0 3 306.409 4.307 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000536829357 1047102709 /nfs/dbraw/zinc/10/27/09/1047102709.db2.gz YECYBTYBEZILAW-FZKQIMNGSA-N 0 3 303.833 4.287 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H]2CCc3cc(C)ccc32)c1 ZINC000536827163 1047102893 /nfs/dbraw/zinc/10/28/93/1047102893.db2.gz LAHZRVKUXPIYHO-KUHUBIRLSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000536855490 1047113388 /nfs/dbraw/zinc/11/33/88/1047113388.db2.gz AITTUOGFZJYDCI-VQTJNVASSA-N 0 3 311.400 4.489 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](C)c2cc(C)c(F)c(C)c2)cc1 ZINC000536831765 1047113452 /nfs/dbraw/zinc/11/34/52/1047113452.db2.gz HZMWANCGJFDNHB-DNVCBOLYSA-N 0 3 315.432 4.389 20 0 DIADHN C[C@H](CC1CC1)N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000536861578 1047114848 /nfs/dbraw/zinc/11/48/48/1047114848.db2.gz DJUASAYWDZIHFW-DNVCBOLYSA-N 0 3 308.425 4.145 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NCc1cccc3cc[nH]c31)CCO2 ZINC000681368455 1047118952 /nfs/dbraw/zinc/11/89/52/1047118952.db2.gz ICFXYTFVSPPOPU-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN Oc1ccc(C2=CCN([C@@H]3CCc4c3cccc4F)CC2)cc1 ZINC001116196488 1047118912 /nfs/dbraw/zinc/11/89/12/1047118912.db2.gz YIRJOOBYVORJLU-HXUWFJFHSA-N 0 3 309.384 4.308 20 0 DIADHN C[C@@H]1CC[C@H](C)N(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001459539186 1047120208 /nfs/dbraw/zinc/12/02/08/1047120208.db2.gz GXOMVSMDGZNFNF-ZETOZRRWSA-N 0 3 302.245 4.147 20 0 DIADHN CCc1ccc2nccc(N3CCC[C@H]3c3cn(C)nc3C)c2c1 ZINC000649299864 1047121289 /nfs/dbraw/zinc/12/12/89/1047121289.db2.gz BXIGAVLUYBDKQD-IBGZPJMESA-N 0 3 320.440 4.181 20 0 DIADHN C[C@H](CNC(C)(C)c1ccccc1Br)C(F)(F)F ZINC000537125054 1047137857 /nfs/dbraw/zinc/13/78/57/1047137857.db2.gz SWNXWMLHBORLOQ-SECBINFHSA-N 0 3 324.184 4.472 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(C(F)(F)F)nc1C ZINC001141421343 1047126852 /nfs/dbraw/zinc/12/68/52/1047126852.db2.gz GKRUVZNAOOMDHX-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1CCCC(=O)c1ccccc1 ZINC000649418870 1047127181 /nfs/dbraw/zinc/12/71/81/1047127181.db2.gz GDRFMSOGGGIVFT-ZFWWWQNUSA-N 0 3 313.363 4.312 20 0 DIADHN c1ccc2c(c1)ccnc2CN1Cc2ccccc2C2(CC2)C1 ZINC000681499041 1047128448 /nfs/dbraw/zinc/12/84/48/1047128448.db2.gz MLBBBAICHLUSEC-UHFFFAOYSA-N 0 3 300.405 4.282 20 0 DIADHN COC[C@@H](N[C@H](C)Cc1ccc(Cl)cc1)c1ccc(C)o1 ZINC000537013811 1047130442 /nfs/dbraw/zinc/13/04/42/1047130442.db2.gz RQRZSMAZKAIPIM-MLGOLLRUSA-N 0 3 307.821 4.150 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](CC)c1ccc(Cl)cc1 ZINC000537029787 1047133085 /nfs/dbraw/zinc/13/30/85/1047133085.db2.gz MRHKCWGVNIAAHE-HEHGZKQESA-N 0 3 310.869 4.074 20 0 DIADHN COC(=O)c1oc([C@H](C)N(C)CCCc2ccccc2)cc1C ZINC000537035770 1047133828 /nfs/dbraw/zinc/13/38/28/1047133828.db2.gz VLAYFFODWCAMGA-HNNXBMFYSA-N 0 3 315.413 4.000 20 0 DIADHN CC[C@@H](C)CN(CC)[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000537047373 1047135479 /nfs/dbraw/zinc/13/54/79/1047135479.db2.gz HLHUQRBDKMHYPD-PWSUYJOCSA-N 0 3 320.506 4.319 20 0 DIADHN C[C@@H](NCc1cnc(-c2ccccc2)s1)c1cccc(CO)c1 ZINC001116393445 1047136051 /nfs/dbraw/zinc/13/60/51/1047136051.db2.gz IAEZPFXHEZSCQC-CQSZACIVSA-N 0 3 324.449 4.153 20 0 DIADHN FC(F)(F)c1ncc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001141453659 1047136279 /nfs/dbraw/zinc/13/62/79/1047136279.db2.gz YWXYUTZDBLJEMZ-UHFFFAOYSA-N 0 3 313.367 4.042 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1nccn1C ZINC000537094095 1047138012 /nfs/dbraw/zinc/13/80/12/1047138012.db2.gz NKZQETPKJQKFMP-HZPDHXFCSA-N 0 3 317.502 4.258 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000537118205 1047138688 /nfs/dbraw/zinc/13/86/88/1047138688.db2.gz YIDVHDKBWJGKDA-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN C[C@@H](NC[C@@H](O)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000537114061 1047140073 /nfs/dbraw/zinc/14/00/73/1047140073.db2.gz XOMVUWNHNQPQGJ-OCCSQVGLSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2)c1 ZINC000537115791 1047140209 /nfs/dbraw/zinc/14/02/09/1047140209.db2.gz RJVFSJHAZPJNNT-ZWKOTPCHSA-N 0 3 324.468 4.001 20 0 DIADHN C[C@H]([C@@H](C)c1ccc(Cl)cc1)N(C)Cc1cc2n(n1)CCC2 ZINC000649547111 1047140595 /nfs/dbraw/zinc/14/05/95/1047140595.db2.gz LOFLJERXEUVYKO-ZIAGYGMSSA-N 0 3 317.864 4.107 20 0 DIADHN COCCN(Cc1ccc(F)c(OC)c1)[C@H](C)c1ccccc1 ZINC001116441512 1047142303 /nfs/dbraw/zinc/14/23/03/1047142303.db2.gz INTGMDPVFSXTFH-OAHLLOKOSA-N 0 3 317.404 4.044 20 0 DIADHN COCc1ccc(CN2C[C@H]3CCCC[C@@]32c2ccccc2)o1 ZINC001116449074 1047143715 /nfs/dbraw/zinc/14/37/15/1047143715.db2.gz JQINYOSJFCZWQX-YLJYHZDGSA-N 0 3 311.425 4.327 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2cccs2)C2CC2)cc1C ZINC000537162688 1047144852 /nfs/dbraw/zinc/14/48/52/1047144852.db2.gz RPHLUJIOODFLQX-GOSISDBHSA-N 0 3 314.454 4.044 20 0 DIADHN CC(C)C[C@H](NCc1cnc(C(F)(F)F)nc1)c1ccccc1 ZINC000649573438 1047145503 /nfs/dbraw/zinc/14/55/03/1047145503.db2.gz DNWUJEFHQONGEO-HNNXBMFYSA-N 0 3 323.362 4.372 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1ccc(Cl)c(F)c1 ZINC001116489779 1047148878 /nfs/dbraw/zinc/14/88/78/1047148878.db2.gz MVMJHAFMHYECJM-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)CC(=O)Nc2cccc(C)c2)c(C)o1 ZINC001116540706 1047154200 /nfs/dbraw/zinc/15/42/00/1047154200.db2.gz QLDXIIFJHCISAF-UKRRQHHQSA-N 0 3 314.429 4.273 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)CC(=O)Nc2cccc(C)c2)c(C)o1 ZINC001116540707 1047154502 /nfs/dbraw/zinc/15/45/02/1047154502.db2.gz QLDXIIFJHCISAF-ZFWWWQNUSA-N 0 3 314.429 4.273 20 0 DIADHN Cc1nnsc1CN(C)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000649629951 1047155087 /nfs/dbraw/zinc/15/50/87/1047155087.db2.gz YMHBUHUVXAUEEA-HZPDHXFCSA-N 0 3 301.459 4.005 20 0 DIADHN CC(=O)Nc1cccc(CNC2(c3ccccc3C)CCC2)c1 ZINC000649645130 1047157208 /nfs/dbraw/zinc/15/72/08/1047157208.db2.gz FWZCRCPKARQVOE-UHFFFAOYSA-N 0 3 308.425 4.122 20 0 DIADHN CCC[C@@H](NCc1nc(-c2ccoc2)no1)C1CCCCC1 ZINC000537292495 1047159410 /nfs/dbraw/zinc/15/94/10/1047159410.db2.gz YUWGUGFPMSGPJK-OAHLLOKOSA-N 0 3 303.406 4.168 20 0 DIADHN COC[C@H](N[C@H](C)Cc1ccccc1Cl)c1ccc(C)o1 ZINC001116613981 1047163650 /nfs/dbraw/zinc/16/36/50/1047163650.db2.gz CXJRPDBKQJKWSH-WBMJQRKESA-N 0 3 307.821 4.150 20 0 DIADHN CCCN(Cc1ccc(C)nc1Cl)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000838856646 1047165266 /nfs/dbraw/zinc/16/52/66/1047165266.db2.gz ZTYAZEIJYKBFHM-XHSDSOJGSA-N 0 3 322.880 4.069 20 0 DIADHN CSc1ccc(F)cc1CNCc1cccc2[nH]ccc21 ZINC000537310143 1047165430 /nfs/dbraw/zinc/16/54/30/1047165430.db2.gz BSKCOULLBOYKIY-UHFFFAOYSA-N 0 3 300.402 4.319 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOCc2ccccc2)s1 ZINC000682078031 1047170137 /nfs/dbraw/zinc/17/01/37/1047170137.db2.gz UNZZIKNJHMNMAT-HNNXBMFYSA-N 0 3 318.486 4.224 20 0 DIADHN Cc1nc(C)c(CN2CCc3ccc(Cl)cc3[C@H](C)C2)o1 ZINC000649747240 1047170583 /nfs/dbraw/zinc/17/05/83/1047170583.db2.gz UDJFPLQWVVGBPC-LLVKDONJSA-N 0 3 304.821 4.107 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2)C1)c1cccc(F)c1F ZINC000537345441 1047172848 /nfs/dbraw/zinc/17/28/48/1047172848.db2.gz WPNXQSBHHWVSGJ-GJZGRUSLSA-N 0 3 316.395 4.284 20 0 DIADHN Fc1ccccc1SCCN1CCC2(CC2(F)F)CC1 ZINC000649776756 1047173920 /nfs/dbraw/zinc/17/39/20/1047173920.db2.gz WATIEPWSVPUNBC-UHFFFAOYSA-N 0 3 301.377 4.039 20 0 DIADHN CC[C@@H](NCc1cccnc1OC)c1cccc2ccccc21 ZINC000682131290 1047174051 /nfs/dbraw/zinc/17/40/51/1047174051.db2.gz XYNFZPNYWGZDAI-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cc(CN2CCC3(CC3(F)F)CC2)c2ccccc2n1 ZINC000649776411 1047174588 /nfs/dbraw/zinc/17/45/88/1047174588.db2.gz NGPYLYJOFLGNCX-UHFFFAOYSA-N 0 3 302.368 4.164 20 0 DIADHN CN1CC[C@@H](NCc2ccsc2Cl)[C@H]1c1ccc(F)cc1 ZINC001116765748 1047174925 /nfs/dbraw/zinc/17/49/25/1047174925.db2.gz LMEFNSZPLVOTPX-HUUCEWRRSA-N 0 3 324.852 4.076 20 0 DIADHN CN1CC[C@H](NCc2ccsc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001116765746 1047175660 /nfs/dbraw/zinc/17/56/60/1047175660.db2.gz LMEFNSZPLVOTPX-GJZGRUSLSA-N 0 3 324.852 4.076 20 0 DIADHN CC(C)CCCN1C[C@@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000682152016 1047177073 /nfs/dbraw/zinc/17/70/73/1047177073.db2.gz MXWXTYVTXYXPPJ-QWRGUYRKSA-N 0 3 305.306 4.485 20 0 DIADHN COc1ccc2oc([C@H](C)NCCOC(C)(C)C)c(C)c2c1 ZINC000537422140 1047179415 /nfs/dbraw/zinc/17/94/15/1047179415.db2.gz SSSVSQNXJHGOFX-ZDUSSCGKSA-N 0 3 305.418 4.216 20 0 DIADHN Cc1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)c(Cl)n1 ZINC000838982762 1047179344 /nfs/dbraw/zinc/17/93/44/1047179344.db2.gz GRTWCMGXNNZNSS-YPMHNXCESA-N 0 3 319.663 4.059 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2cnc(-c3ccco3)s2)C1 ZINC001116839116 1047182705 /nfs/dbraw/zinc/18/27/05/1047182705.db2.gz GVVLEGIEUXSJIH-VXGBXAGGSA-N 0 3 308.472 4.119 20 0 DIADHN Cc1cncc(CN(Cc2ccc3c(c2)OCO3)C2CCCC2)c1 ZINC000682366877 1047186294 /nfs/dbraw/zinc/18/62/94/1047186294.db2.gz VYGTXSHRLGEOQE-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN CC[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1ccc(C)cc1 ZINC000537448195 1047187256 /nfs/dbraw/zinc/18/72/56/1047187256.db2.gz LKOVHPSUGKTDQD-KRWDZBQOSA-N 0 3 309.409 4.454 20 0 DIADHN Oc1ccc(CN2CC=C(c3c[nH]c4ccccc34)CC2)cc1 ZINC000682407143 1047192729 /nfs/dbraw/zinc/19/27/29/1047192729.db2.gz PIGLNTAECGWUTR-UHFFFAOYSA-N 0 3 304.393 4.163 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1ccc(Cl)nc1Cl ZINC000839142050 1047197117 /nfs/dbraw/zinc/19/71/17/1047197117.db2.gz AAUXHDHCVIHPSP-GFCCVEGCSA-N 0 3 324.255 4.284 20 0 DIADHN COc1cc(CN2CCC(C(C)(C)C)CC2)cc(F)c1OC ZINC001137501496 1047207893 /nfs/dbraw/zinc/20/78/93/1047207893.db2.gz CDABKVDVDIDDNU-UHFFFAOYSA-N 0 3 309.425 4.101 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(Br)c(C)c2)cn1 ZINC000397067042 1047212116 /nfs/dbraw/zinc/21/21/16/1047212116.db2.gz JBXQPXMVCCDPIF-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1nc(CN[C@@H](C)C2CCC(F)(F)CC2)nc2ccccc12 ZINC000682732481 1047218655 /nfs/dbraw/zinc/21/86/55/1047218655.db2.gz NQQZWAWLVWZXMQ-LBPRGKRZSA-N 0 3 319.399 4.242 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(OC(F)(F)F)cc2)c1 ZINC000682777687 1047223834 /nfs/dbraw/zinc/22/38/34/1047223834.db2.gz GEWOMLVTGLAFTI-UHFFFAOYSA-N 0 3 312.335 4.039 20 0 DIADHN Cc1ccccc1[C@H](O)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000796418693 1047225722 /nfs/dbraw/zinc/22/57/22/1047225722.db2.gz PATAGDIJOKXNDO-WMAYMBLKSA-N 0 3 321.464 4.454 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3c(F)cccc3F)CC2)o1 ZINC000650256635 1047228043 /nfs/dbraw/zinc/22/80/43/1047228043.db2.gz PLIZMJPFJVZWAA-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN CC(C)CC(=O)CCN1CCC(OC2CCC(C)CC2)CC1 ZINC000827914152 1047228919 /nfs/dbraw/zinc/22/89/19/1047228919.db2.gz YWBUMCVRNMCAQH-UHFFFAOYSA-N 0 3 309.494 4.051 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001117645186 1047232718 /nfs/dbraw/zinc/23/27/18/1047232718.db2.gz ZLFJVVYLCQBGOP-FQEVSTJZSA-N 0 3 320.440 4.065 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC2(CC(F)C2)CC1 ZINC001141623926 1047234261 /nfs/dbraw/zinc/23/42/61/1047234261.db2.gz YASXIKDSEWUGIH-UHFFFAOYSA-N 0 3 302.368 4.088 20 0 DIADHN Cc1cnccc1CCN1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000827920150 1047236922 /nfs/dbraw/zinc/23/69/22/1047236922.db2.gz UWXJITDCYRVRKB-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1cnccc1CN1CCC(Nc2ccccc2Cl)CC1 ZINC001117743732 1047239228 /nfs/dbraw/zinc/23/92/28/1047239228.db2.gz KJDPVJAMHNQEEM-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN CC(C)c1nc(CNCC[C@@]2(C)CC2(Cl)Cl)cs1 ZINC001117747458 1047240464 /nfs/dbraw/zinc/24/04/64/1047240464.db2.gz HJKXWNVWHRTMDJ-LBPRGKRZSA-N 0 3 307.290 4.330 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@](C)(CO)C1 ZINC001117759968 1047242140 /nfs/dbraw/zinc/24/21/40/1047242140.db2.gz IBJSHKHFHSTBNC-KRWDZBQOSA-N 0 3 323.889 4.458 20 0 DIADHN C[C@H]1CCN(Cc2ccc3cc(F)ccc3n2)CCC1(F)F ZINC001141639903 1047242898 /nfs/dbraw/zinc/24/28/98/1047242898.db2.gz GLZFPUCJWHBKIB-LBPRGKRZSA-N 0 3 308.347 4.241 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839521381 1047243512 /nfs/dbraw/zinc/24/35/12/1047243512.db2.gz RTPFQKKKQWSXHC-LLVKDONJSA-N 0 3 319.392 4.005 20 0 DIADHN Fc1ccc2nc(CN3CCC(c4cccnc4)CC3)ccc2c1 ZINC001141650709 1047248990 /nfs/dbraw/zinc/24/89/90/1047248990.db2.gz DMYOTCGCXVHKAO-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC[C@@H](C)Oc1cc(CN2CCSCC[C@H]2C)ccc1OC ZINC000683000975 1047249440 /nfs/dbraw/zinc/24/94/40/1047249440.db2.gz KLWLLMMWFOCXKU-HUUCEWRRSA-N 0 3 323.502 4.200 20 0 DIADHN CCn1ccc(CN(C)Cc2cccc(-c3cccnc3)c2)c1 ZINC000683000390 1047251014 /nfs/dbraw/zinc/25/10/14/1047251014.db2.gz YEECVMWJZIMJBV-UHFFFAOYSA-N 0 3 305.425 4.202 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2ccc(-c3ncco3)cc2F)C1 ZINC001117993422 1047254362 /nfs/dbraw/zinc/25/43/62/1047254362.db2.gz VQBFKWHOIIZBAN-HUUCEWRRSA-N 0 3 320.433 4.197 20 0 DIADHN COc1ccccc1C[C@@H]1CCCN1Cc1cccc(F)c1F ZINC000476254074 1047262912 /nfs/dbraw/zinc/26/29/12/1047262912.db2.gz GLGQYSVBIKTFDZ-INIZCTEOSA-N 0 3 317.379 4.181 20 0 DIADHN Brc1ccc2ccccc2c1CN1C[C@@H]2C[C@@H]2C1 ZINC001141714761 1047273053 /nfs/dbraw/zinc/27/30/53/1047273053.db2.gz HDMFAIMUBQEMCF-BETUJISGSA-N 0 3 302.215 4.054 20 0 DIADHN CSCC1CCC(NCc2occc2Br)CC1 ZINC000385309927 1047274974 /nfs/dbraw/zinc/27/49/74/1047274974.db2.gz NAQCFWWGJMTSIM-UHFFFAOYSA-N 0 3 318.280 4.054 20 0 DIADHN CC1(C)C[C@@H](NCc2cccc3c2CCC3)c2cc(N)ccc2O1 ZINC000650704104 1047276334 /nfs/dbraw/zinc/27/63/34/1047276334.db2.gz HTYCWSANFKOGRT-LJQANCHMSA-N 0 3 322.452 4.150 20 0 DIADHN CC1(CNCc2ccsc2Br)CC(F)(F)C1 ZINC000720929090 1047276403 /nfs/dbraw/zinc/27/64/03/1047276403.db2.gz UETZJCLUWHSMSI-UHFFFAOYSA-N 0 3 310.207 4.036 20 0 DIADHN CC1(C)C[C@H](NCc2coc(-c3ccccc3)n2)CCS1 ZINC000897800508 1047290948 /nfs/dbraw/zinc/29/09/48/1047290948.db2.gz RAUCZAWQSZFVIQ-CQSZACIVSA-N 0 3 302.443 4.105 20 0 DIADHN CC(C)n1ncnc1CN[C@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000897833595 1047292669 /nfs/dbraw/zinc/29/26/69/1047292669.db2.gz QHJKMIXNRFXOSM-MSOLQXFVSA-N 0 3 312.461 4.065 20 0 DIADHN COc1cccc(C2(NCc3ccc(F)c(OC)c3)CCC2)c1 ZINC001118424640 1047292774 /nfs/dbraw/zinc/29/27/74/1047292774.db2.gz JCKCYZDWCLBWQK-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2ccc(OC)cc21)c1ccccc1OC ZINC000390028289 1047292919 /nfs/dbraw/zinc/29/29/19/1047292919.db2.gz CEIIHRNIKBRLPY-RTBURBONSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1sc(CNC2(C)CC(F)(F)C2)cc1Br ZINC000720967704 1047298707 /nfs/dbraw/zinc/29/87/07/1047298707.db2.gz RRTCLNIOUVNZMY-UHFFFAOYSA-N 0 3 310.207 4.096 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccccc1)C(C)(C)C ZINC001141875584 1047304134 /nfs/dbraw/zinc/30/41/34/1047304134.db2.gz AAISQYGSKKRMSK-LJQANCHMSA-N 0 3 318.505 4.402 20 0 DIADHN CC(C)c1nc(CNCCc2ccnc3ccccc23)cs1 ZINC001118629855 1047305688 /nfs/dbraw/zinc/30/56/88/1047305688.db2.gz XCLJAPGAZWQZIF-UHFFFAOYSA-N 0 3 311.454 4.147 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1F ZINC000651377130 1047312068 /nfs/dbraw/zinc/31/20/68/1047312068.db2.gz OZFIWSMJZGFOSY-NEPJUHHUSA-N 0 3 314.360 4.393 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2nc3cc(C)ccc3s2)C1 ZINC001118817008 1047312563 /nfs/dbraw/zinc/31/25/63/1047312563.db2.gz VMZCDPKCSMKGNK-CHWSQXEVSA-N 0 3 306.500 4.321 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cn1nccc1-c1cccnc1 ZINC000725767982 1047313167 /nfs/dbraw/zinc/31/31/67/1047313167.db2.gz IHFJVMXCXWRGFZ-KRWDZBQOSA-N 0 3 320.440 4.203 20 0 DIADHN COc1ccc(Cl)cc1CCN[C@H]1CCCc2scnc21 ZINC001118863125 1047313659 /nfs/dbraw/zinc/31/36/59/1047313659.db2.gz HWXHGGUKYHHHRO-ZDUSSCGKSA-N 0 3 322.861 4.015 20 0 DIADHN CCC[C@H](NC(=O)CNC(C)(C)c1ccccc1)c1ccccc1 ZINC000725800459 1047316037 /nfs/dbraw/zinc/31/60/37/1047316037.db2.gz LLBDIGITXYCUCO-IBGZPJMESA-N 0 3 324.468 4.169 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3[nH]ccc3c2)cc1OC ZINC001118880581 1047316063 /nfs/dbraw/zinc/31/60/63/1047316063.db2.gz NIMJPFNZUWGDDI-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCOc1ccc(C[C@H](C)CN[C@@H](C)c2csc(C)n2)cc1 ZINC000651489916 1047317980 /nfs/dbraw/zinc/31/79/80/1047317980.db2.gz PPERADKJFJZJFD-KBPBESRZSA-N 0 3 318.486 4.380 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cc3cnccc3o2)cc1 ZINC000651603783 1047320892 /nfs/dbraw/zinc/32/08/92/1047320892.db2.gz FMVYASUNHFXRPD-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN C[C@@H](NCc1ccnc2ccccc12)c1cnn(CC2CCC2)c1 ZINC000898177538 1047325744 /nfs/dbraw/zinc/32/57/44/1047325744.db2.gz QLXGQLQXWAWNTI-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1ccc(-c2ccccc2)cn1 ZINC000651763113 1047326721 /nfs/dbraw/zinc/32/67/21/1047326721.db2.gz GKKGCFUAUYXFBN-MRXNPFEDSA-N 0 3 310.441 4.216 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1C[C@H]2CC[C@@H](C1)C2(F)F ZINC001141980744 1047329300 /nfs/dbraw/zinc/32/93/00/1047329300.db2.gz DLMVNTRTTKXZFR-GASCZTMLSA-N 0 3 318.367 4.127 20 0 DIADHN FC1(F)CC12CCN(Cc1ccccc1OCC1CC1)CC2 ZINC000651953763 1047334498 /nfs/dbraw/zinc/33/44/98/1047334498.db2.gz VUWCTNLPGVLODW-UHFFFAOYSA-N 0 3 307.384 4.097 20 0 DIADHN CCCOc1ccc(CN[C@H](c2ccncc2)C2CC2)c(C)c1 ZINC000898319525 1047334491 /nfs/dbraw/zinc/33/44/91/1047334491.db2.gz HBQDOQATTALLLM-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN C[C@@H]1CCN(Cc2sccc2Oc2ccccc2)C[C@@]1(C)O ZINC001141994510 1047335320 /nfs/dbraw/zinc/33/53/20/1047335320.db2.gz OCGREEOWYPFQED-RDTXWAMCSA-N 0 3 317.454 4.133 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cc(F)cc3cccnc31)CC2 ZINC000651959713 1047335539 /nfs/dbraw/zinc/33/55/39/1047335539.db2.gz ZYAAGCMKTIGYJO-LJQANCHMSA-N 0 3 306.384 4.459 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](c2ccncc2)C2CC2)ccc1F ZINC000898321807 1047335962 /nfs/dbraw/zinc/33/59/62/1047335962.db2.gz VWRYYSHQMVINPE-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@H](CN1CCC2(CC2(F)F)CC1)c1cc(F)cc(F)c1 ZINC000651953181 1047336297 /nfs/dbraw/zinc/33/62/97/1047336297.db2.gz VIMYGNRPBXQILR-LLVKDONJSA-N 0 3 301.327 4.190 20 0 DIADHN Fc1cc(CN[C@H](c2ccncc2)C2CC2)cc(C(F)(F)F)c1 ZINC000898325981 1047336347 /nfs/dbraw/zinc/33/63/47/1047336347.db2.gz SJYRHJIWZDPVMW-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN COc1cccc2cc(CN[C@H](c3ccncc3)C3CC3)oc21 ZINC000898326993 1047337522 /nfs/dbraw/zinc/33/75/22/1047337522.db2.gz YTFMTAHZKCTLAX-SFHVURJKSA-N 0 3 308.381 4.077 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H](C)COCC3CC3)s2)cc1 ZINC000651981969 1047338523 /nfs/dbraw/zinc/33/85/23/1047338523.db2.gz ITHBWVXKJJILEN-AWEZNQCLSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@H](C)COCC3CC3)s2)cc1 ZINC000651981970 1047338801 /nfs/dbraw/zinc/33/88/01/1047338801.db2.gz ITHBWVXKJJILEN-CQSZACIVSA-N 0 3 316.470 4.023 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CCC[C@@]23CCOC3)s1 ZINC001142001312 1047339083 /nfs/dbraw/zinc/33/90/83/1047339083.db2.gz KTUJDLNECPNJLQ-SFHVURJKSA-N 0 3 315.438 4.295 20 0 DIADHN CC[C@@H](NCc1ccsc1)c1cc(OC)c(OC)c(OC)c1 ZINC000652139856 1047343673 /nfs/dbraw/zinc/34/36/73/1047343673.db2.gz XIOYJGIMSUYCLQ-CQSZACIVSA-N 0 3 321.442 4.015 20 0 DIADHN COc1ccc([C@@H](NCC2(C(C)C)CC2)c2ccccn2)cc1 ZINC000652168329 1047343700 /nfs/dbraw/zinc/34/37/00/1047343700.db2.gz XUCHJSVDOQUCEW-LJQANCHMSA-N 0 3 310.441 4.205 20 0 DIADHN CC(C)[C@H](O)C1(CN[C@H](C)c2ccc(-c3ccccc3)cn2)CC1 ZINC000652173336 1047344038 /nfs/dbraw/zinc/34/40/38/1047344038.db2.gz FVOISMIBJCVYDS-UZLBHIALSA-N 0 3 324.468 4.196 20 0 DIADHN COc1ccc([C@H](NCCCC(F)(F)F)c2ccccn2)cc1 ZINC000652156440 1047344617 /nfs/dbraw/zinc/34/46/17/1047344617.db2.gz DBLSOLLOZNGMGV-INIZCTEOSA-N 0 3 324.346 4.112 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCC(C)(C)C2)c2ccccn2)cc1 ZINC000652172778 1047344724 /nfs/dbraw/zinc/34/47/24/1047344724.db2.gz LAQCURDUQKJBDP-LPHOPBHVSA-N 0 3 310.441 4.348 20 0 DIADHN CC[C@H](OCCN[C@@H](C)c1cnc(C)s1)c1ccccc1 ZINC000652236634 1047348512 /nfs/dbraw/zinc/34/85/12/1047348512.db2.gz URRXGGVEIWDWBE-BBRMVZONSA-N 0 3 304.459 4.270 20 0 DIADHN C[C@H](N[C@H]1CCOc2c(Cl)c(Cl)ccc21)[C@@H]1CC1(F)F ZINC000840879599 1047350429 /nfs/dbraw/zinc/35/04/29/1047350429.db2.gz QLUXGTZENVSEEB-ARENWVFISA-N 0 3 322.182 4.450 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](c3ccccn3)C2)s1 ZINC001142027921 1047351864 /nfs/dbraw/zinc/35/18/64/1047351864.db2.gz VJDPQLRNNSNHAG-CYBMUJFWSA-N 0 3 304.484 4.245 20 0 DIADHN Cc1cc(CNCc2ccc([C@H](C)O)cc2)ccc1C(F)(F)F ZINC000840885181 1047352766 /nfs/dbraw/zinc/35/27/66/1047352766.db2.gz VFWWANHEDAVHPS-ZDUSSCGKSA-N 0 3 323.358 4.357 20 0 DIADHN Cc1cc(CN2CCC[C@H]2CC(=O)c2ccc(F)cc2)cs1 ZINC001168295633 1047352953 /nfs/dbraw/zinc/35/29/53/1047352953.db2.gz PUCISDKKISSAMV-KRWDZBQOSA-N 0 3 317.429 4.433 20 0 DIADHN C[C@H](NCCC1(CO)CCC1)c1cc(Cl)sc1Cl ZINC000652310654 1047353747 /nfs/dbraw/zinc/35/37/47/1047353747.db2.gz OAGNCINUQOAQLJ-VIFPVBQESA-N 0 3 308.274 4.258 20 0 DIADHN CCN(C(=O)CN[C@@H](c1ccccc1)C(C)C)c1ccccc1 ZINC000057627523 1047360831 /nfs/dbraw/zinc/36/08/31/1047360831.db2.gz YOMHIYSVSXCQOQ-HXUWFJFHSA-N 0 3 310.441 4.026 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc1F ZINC000057627949 1047361054 /nfs/dbraw/zinc/36/10/54/1047361054.db2.gz MVFDFVXCOTYAFL-GOSISDBHSA-N 0 3 305.368 4.460 20 0 DIADHN CCC[C@@H](C)N1Cc2ccc(NC(=S)NC(C)(C)C)cc2C1 ZINC000726496407 1047362935 /nfs/dbraw/zinc/36/29/35/1047362935.db2.gz WYDKNSXIGKALHX-CYBMUJFWSA-N 0 3 319.518 4.276 20 0 DIADHN C[C@H](NCc1cc(Br)ccc1Cl)[C@H]1CC1(F)F ZINC000840969176 1047365639 /nfs/dbraw/zinc/36/56/39/1047365639.db2.gz YVKCGJYEQVVBCL-OIBJUYFYSA-N 0 3 324.596 4.236 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@H]1COc2ccccc2C1 ZINC000726696471 1047374303 /nfs/dbraw/zinc/37/43/03/1047374303.db2.gz WQENSRMGWMPRKZ-LBPRGKRZSA-N 0 3 322.235 4.334 20 0 DIADHN Cc1cccc(CN[C@H](CO)c2ccc(C(F)(F)F)cc2)c1C ZINC000533920571 1047374831 /nfs/dbraw/zinc/37/48/31/1047374831.db2.gz BSIXOOVLRMUIKZ-QGZVFWFLSA-N 0 3 323.358 4.145 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1CCCc2cc(N)ccc21 ZINC000841058796 1047377565 /nfs/dbraw/zinc/37/75/65/1047377565.db2.gz UZNZOTJLXKZBQN-QGZVFWFLSA-N 0 3 316.832 4.098 20 0 DIADHN COc1cccc2cc(CNCc3ccc4c(c3)CCCN4)oc21 ZINC000841069970 1047378589 /nfs/dbraw/zinc/37/85/89/1047378589.db2.gz IBOHNNNZYYXMAC-UHFFFAOYSA-N 0 3 322.408 4.089 20 0 DIADHN CCN(CCc1ccccn1)Cc1ccccc1OCC(C)C ZINC000726788537 1047380851 /nfs/dbraw/zinc/38/08/51/1047380851.db2.gz FDZDKFYJISVTDA-UHFFFAOYSA-N 0 3 312.457 4.181 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N(C)CC1CCCCC1 ZINC000192555287 1047391709 /nfs/dbraw/zinc/39/17/09/1047391709.db2.gz ZSRCQLXBWIFLJZ-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N(C)CC1CCCCC1 ZINC000192555302 1047392971 /nfs/dbraw/zinc/39/29/71/1047392971.db2.gz ZSRCQLXBWIFLJZ-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2nnc(-c3cccc(F)c3)o2)C1 ZINC000796573694 1047398369 /nfs/dbraw/zinc/39/83/69/1047398369.db2.gz AYHXQXCCMAGWDU-CQSZACIVSA-N 0 3 317.408 4.134 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC(OC(C)C)CC1 ZINC000653554607 1047399591 /nfs/dbraw/zinc/39/95/91/1047399591.db2.gz MJRZXULYGRRNHY-UHFFFAOYSA-N 0 3 305.462 4.208 20 0 DIADHN CC[C@@](C)(NCCc1noc2ccccc12)c1nc(C)cs1 ZINC000653582864 1047403588 /nfs/dbraw/zinc/40/35/88/1047403588.db2.gz AISOMLIYQCYDQM-QGZVFWFLSA-N 0 3 315.442 4.050 20 0 DIADHN COCC1(NCc2cnc(-c3ccc(C)c(C)c3)s2)CCC1 ZINC000655771117 1047404344 /nfs/dbraw/zinc/40/43/44/1047404344.db2.gz AOXOWZXGYBSTEB-UHFFFAOYSA-N 0 3 316.470 4.086 20 0 DIADHN CCCN(C(=O)[C@H](CC)N(C)C)[C@@H](C)c1cc2ccccc2o1 ZINC001142229579 1047408844 /nfs/dbraw/zinc/40/88/44/1047408844.db2.gz NRKPBRDODKLTPH-HOCLYGCPSA-N 0 3 316.445 4.073 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccc2c(c1)OCCCO2 ZINC001142271258 1047420296 /nfs/dbraw/zinc/42/02/96/1047420296.db2.gz GJOFKUUMFHJXRD-UHFFFAOYSA-N 0 3 315.388 4.009 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(CCc2noc3ccccc32)C1 ZINC000653768585 1047420879 /nfs/dbraw/zinc/42/08/79/1047420879.db2.gz RVTAFQBPGGNUCO-LBPRGKRZSA-N 0 3 312.335 4.035 20 0 DIADHN Fc1ccccc1CN1CCC(c2ccc3cccnc3n2)CC1 ZINC000653807374 1047423740 /nfs/dbraw/zinc/42/37/40/1047423740.db2.gz WHDFVBRQZWFRKG-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CCCc1nc(CN[C@@H](C)C2CCC(F)(F)CC2)cs1 ZINC000653867795 1047428668 /nfs/dbraw/zinc/42/86/68/1047428668.db2.gz GZXDWDIRUYOJPL-NSHDSACASA-N 0 3 302.434 4.399 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(C)ccc2C)cc1 ZINC000056201586 1047429186 /nfs/dbraw/zinc/42/91/86/1047429186.db2.gz ADUZWWWJNGKBHW-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN OCCN(Cc1cccs1)Cc1ccccc1-c1ccccc1 ZINC001142339359 1047434578 /nfs/dbraw/zinc/43/45/78/1047434578.db2.gz QBEXUGZJQWRTBG-UHFFFAOYSA-N 0 3 323.461 4.410 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(N(C)CC(F)F)CC1 ZINC000749844104 1047439859 /nfs/dbraw/zinc/43/98/59/1047439859.db2.gz JRLJAKQUGWCVQE-LBPRGKRZSA-N 0 3 316.823 4.062 20 0 DIADHN Brc1ccc2c(CN3CC4CCC3CC4)c[nH]c2c1 ZINC001142401413 1047441250 /nfs/dbraw/zinc/44/12/50/1047441250.db2.gz DWLADSVTMAQWGE-UHFFFAOYSA-N 0 3 319.246 4.305 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(C)c(F)c1)CCC2 ZINC000119088261 1047442554 /nfs/dbraw/zinc/44/25/54/1047442554.db2.gz UBYDJJCLSQUEGD-AWEZNQCLSA-N 0 3 304.434 4.320 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)[C@@H]2CCCCC[C@@H]21)c1ccccc1 ZINC000749942498 1047450173 /nfs/dbraw/zinc/45/01/73/1047450173.db2.gz WDHLNAPTIQPBFV-FGTMMUONSA-N 0 3 314.473 4.112 20 0 DIADHN COc1c(C)cc(CN2CCC[C@@H]2c2cccc(C)n2)cc1C ZINC000537622524 1047467886 /nfs/dbraw/zinc/46/78/86/1047467886.db2.gz AFOLIRWMWUJWDW-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN CCOC(=O)c1ccc(CN(C)C/C=C/c2ccccc2)cc1 ZINC001142599814 1047472484 /nfs/dbraw/zinc/47/24/84/1047472484.db2.gz VLKXLGXOVKBBET-JXMROGBWSA-N 0 3 309.409 4.009 20 0 DIADHN Cc1nc2ccccn2c1CN(Cc1ccc(F)cc1)CC1CC1 ZINC000537623206 1047473430 /nfs/dbraw/zinc/47/34/30/1047473430.db2.gz YPQGKHROZBEZEJ-UHFFFAOYSA-N 0 3 323.415 4.194 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc(N(C)C)c2)cc1F ZINC000119864232 1047476995 /nfs/dbraw/zinc/47/69/95/1047476995.db2.gz RFSJEIZSKYSJAI-ZDUSSCGKSA-N 0 3 318.461 4.464 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1Cc1cccc2nc[nH]c21 ZINC001142692446 1047482290 /nfs/dbraw/zinc/48/22/90/1047482290.db2.gz CZZORZAVCKSNFP-MRXNPFEDSA-N 0 3 313.351 4.178 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@H](c2ccccc2)C1 ZINC000120148671 1047484343 /nfs/dbraw/zinc/48/43/43/1047484343.db2.gz GTEIULWZVSPUMR-QAPCUYQASA-N 0 3 319.408 4.287 20 0 DIADHN CCCC[C@@H](C)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001142709456 1047484553 /nfs/dbraw/zinc/48/45/53/1047484553.db2.gz VIEBVGCJLXDGJK-MJGOQNOKSA-N 0 3 316.489 4.074 20 0 DIADHN CC[C@H](C(=O)N(CC)c1ccc2ccccc2c1)N(CC)CC ZINC001142757071 1047490142 /nfs/dbraw/zinc/49/01/42/1047490142.db2.gz FUCXPYMCAJWJSD-LJQANCHMSA-N 0 3 312.457 4.313 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(F)cc1F ZINC000120304915 1047490495 /nfs/dbraw/zinc/49/04/95/1047490495.db2.gz ZNKWDKIHQFBOGF-DYVFJYSZSA-N 0 3 320.383 4.046 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@H]3CC(C)(C)C)o2)c(C)o1 ZINC000089891160 1047494027 /nfs/dbraw/zinc/49/40/27/1047494027.db2.gz UGZGKXKNYYNDPO-AWEZNQCLSA-N 0 3 317.433 4.347 20 0 DIADHN c1ccc2c(c1)CCSC[C@@H]2NCc1cccc2cccnc21 ZINC000120532597 1047495662 /nfs/dbraw/zinc/49/56/62/1047495662.db2.gz OEYRGRZAGBMUEJ-IBGZPJMESA-N 0 3 320.461 4.355 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H]2CCc3ccc(OC)cc32)c1 ZINC000120568888 1047498084 /nfs/dbraw/zinc/49/80/84/1047498084.db2.gz KOUMPYVZLDIQMG-VLIAUNLRSA-N 0 3 311.425 4.432 20 0 DIADHN CC1(C)CCN(Cc2ccc(OC(F)F)c(OC(F)F)c2)C1 ZINC001142818207 1047499839 /nfs/dbraw/zinc/49/98/39/1047499839.db2.gz AKUPXOHETUPJTK-UHFFFAOYSA-N 0 3 321.314 4.121 20 0 DIADHN COc1ccc(CNC2(c3ccccc3C)CC2)c(C)c1OC ZINC000842731863 1047500605 /nfs/dbraw/zinc/50/06/05/1047500605.db2.gz AWLYPPZCQRZVPN-UHFFFAOYSA-N 0 3 311.425 4.100 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@@H](C)c1cc2n(n1)CCCC2 ZINC000842833102 1047506763 /nfs/dbraw/zinc/50/67/63/1047506763.db2.gz YGUIDCMCIFOCBI-KBPBESRZSA-N 0 3 317.864 4.155 20 0 DIADHN COc1ccc(CN(C)[C@H](C)Cc2ccc(O)cc2)c(Cl)c1 ZINC000537632664 1047506924 /nfs/dbraw/zinc/50/69/24/1047506924.db2.gz JHIVNZUIFLZVHJ-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN CCn1nc(C)c(CN2C[C@H](C)C[C@H]2c2ccccc2F)c1C ZINC000537633488 1047508283 /nfs/dbraw/zinc/50/82/83/1047508283.db2.gz OYPFHPAQOOMZLA-YJYMSZOUSA-N 0 3 315.436 4.242 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc(OC)cc1)c1c(C)noc1C ZINC000090886217 1047511509 /nfs/dbraw/zinc/51/15/09/1047511509.db2.gz FIIZEXOLONDHKR-DLBZAZTESA-N 0 3 302.418 4.492 20 0 DIADHN COC[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)C(C)C ZINC000090969036 1047513158 /nfs/dbraw/zinc/51/31/58/1047513158.db2.gz WLKGOPVVVLLFIY-KGLIPLIRSA-N 0 3 307.331 4.080 20 0 DIADHN O=C1CCN(C2CCC(c3ccc(Cl)cc3)CC2)CC[C@H]1F ZINC001168344241 1047518377 /nfs/dbraw/zinc/51/83/77/1047518377.db2.gz FMZOZIPECRQTJT-BDVYOWHSSA-N 0 3 323.839 4.369 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2ccc(C)nc2)c(C)c1 ZINC001142941866 1047519186 /nfs/dbraw/zinc/51/91/86/1047519186.db2.gz LCEFJNXPUDMLTR-FQEVSTJZSA-N 0 3 310.441 4.353 20 0 DIADHN F[C@H]1CCCN(Cc2nccnc2-c2ccc(Cl)cc2)CC1 ZINC001142965370 1047520448 /nfs/dbraw/zinc/52/04/48/1047520448.db2.gz AQJXVXZKIRBHJA-HNNXBMFYSA-N 0 3 319.811 4.121 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2nc3c(s2)CCCC3)C12CCC2 ZINC000091728441 1047521844 /nfs/dbraw/zinc/52/18/44/1047521844.db2.gz TZHDUGHUNKPAPR-MAZHCROVSA-N 0 3 320.502 4.020 20 0 DIADHN c1cn2cc(CN(Cc3ccccn3)C3CCCCC3)ccc2n1 ZINC001143027171 1047525766 /nfs/dbraw/zinc/52/57/66/1047525766.db2.gz LVIJEDOIOMQMDE-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN CN(Cc1ccc2nccn2c1)Cc1cccc2ccccc21 ZINC001143028735 1047525933 /nfs/dbraw/zinc/52/59/33/1047525933.db2.gz ITFMAOUDOABNPJ-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN Oc1ccc(CNCc2ccc(Oc3cccnc3)c(F)c2)cc1 ZINC000237970394 1047529382 /nfs/dbraw/zinc/52/93/82/1047529382.db2.gz UVTPUOPZCATZHR-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN C[C@H](c1ccco1)N(Cc1cccc(-n2cccn2)c1)C1CC1 ZINC000092483336 1047534182 /nfs/dbraw/zinc/53/41/82/1047534182.db2.gz KFZNWMHYKUDULV-OAHLLOKOSA-N 0 3 307.397 4.191 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc3cccnc3[nH]2)cc1 ZINC001143223249 1047542084 /nfs/dbraw/zinc/54/20/84/1047542084.db2.gz GAZUZKUOZDJNDZ-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN CN1CCN(Cc2ccc(C(F)F)cc2)C[C@H]1c1ccccc1 ZINC001143251029 1047544837 /nfs/dbraw/zinc/54/48/37/1047544837.db2.gz JTSWRWCGWMRYDQ-SFHVURJKSA-N 0 3 316.395 4.113 20 0 DIADHN FC(F)c1ccc(CN2CC[C@@H](OCc3ccccc3)C2)cc1 ZINC001143251395 1047544969 /nfs/dbraw/zinc/54/49/69/1047544969.db2.gz QUSKYYCCHFHOFL-GOSISDBHSA-N 0 3 317.379 4.415 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000093361691 1047545465 /nfs/dbraw/zinc/54/54/65/1047545465.db2.gz HXVVMIFYWXCZBV-DENIHFKCSA-N 0 3 315.482 4.253 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000093419022 1047546206 /nfs/dbraw/zinc/54/62/06/1047546206.db2.gz DEVSBGXYAQPCRE-AWEZNQCLSA-N 0 3 308.491 4.049 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2c[nH]nc2-c2ccccc2)n1 ZINC000093445054 1047548599 /nfs/dbraw/zinc/54/85/99/1047548599.db2.gz FLLHUULEQAZDLE-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cnc(C(C)C)s2)C1 ZINC000093593035 1047549113 /nfs/dbraw/zinc/54/91/13/1047549113.db2.gz MTOCEQUUWJAQAJ-AWEZNQCLSA-N 0 3 316.470 4.265 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cnc(C(C)C)s2)C1 ZINC000093593038 1047549627 /nfs/dbraw/zinc/54/96/27/1047549627.db2.gz MTOCEQUUWJAQAJ-CQSZACIVSA-N 0 3 316.470 4.265 20 0 DIADHN CC(C)c1ncc(CN(C[C@H]2CCCO2)C2CCCC2)s1 ZINC000093665764 1047549686 /nfs/dbraw/zinc/54/96/86/1047549686.db2.gz JQNWBBLURGUTGA-OAHLLOKOSA-N 0 3 308.491 4.190 20 0 DIADHN c1c(CN(Cc2ccccc2)C2CCCCC2)nc2ncccn12 ZINC000122543472 1047551187 /nfs/dbraw/zinc/55/11/87/1047551187.db2.gz WTZLGTXSTIRAMT-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc(F)c2)cc1OCC ZINC000060829857 1047552828 /nfs/dbraw/zinc/55/28/28/1047552828.db2.gz ASYFATYOBZZSLN-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](N[C@@H]1CCCOc2ccccc21)c1ccccc1N(C)C ZINC000093943982 1047554187 /nfs/dbraw/zinc/55/41/87/1047554187.db2.gz CTEQDGLQCMHGJS-MAUKXSAKSA-N 0 3 310.441 4.317 20 0 DIADHN Cc1ccc(CNC[C@@H](c2cccs2)N2CCC(C)CC2)o1 ZINC000061015162 1047555264 /nfs/dbraw/zinc/55/52/64/1047555264.db2.gz WRJJUGNXSHOWFI-KRWDZBQOSA-N 0 3 318.486 4.212 20 0 DIADHN Clc1ccc(CN2CC[C@@H](COCc3ccccc3)C2)s1 ZINC000537649455 1047562097 /nfs/dbraw/zinc/56/20/97/1047562097.db2.gz WKMLUGPGDQFXCV-OAHLLOKOSA-N 0 3 321.873 4.440 20 0 DIADHN Cc1n[nH]cc1CN1CC[C@H](C)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000537654485 1047569616 /nfs/dbraw/zinc/56/96/16/1047569616.db2.gz AOINWNHZMSMLEK-ZBEGNZNMSA-N 0 3 323.362 4.320 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000678608498 1047574569 /nfs/dbraw/zinc/57/45/69/1047574569.db2.gz XBURNVUCILAMCG-PSASIEDQSA-N 0 3 322.663 4.458 20 0 DIADHN CC(=O)c1ccccc1OCCN(Cc1cccs1)C(C)C ZINC000315042100 1047595843 /nfs/dbraw/zinc/59/58/43/1047595843.db2.gz PYVHHQYRNCPYRR-UHFFFAOYSA-N 0 3 317.454 4.240 20 0 DIADHN Cc1nc(CCN[C@@H](c2ccc(C)cc2)c2cccnc2)cs1 ZINC000924629796 1047603947 /nfs/dbraw/zinc/60/39/47/1047603947.db2.gz ZBADYHFAYQKILW-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN c1nc(CN2CC[C@H](c3ccccc3)C2)oc1-c1ccccc1 ZINC000477289705 1047609843 /nfs/dbraw/zinc/60/98/43/1047609843.db2.gz LUKOWFQCMYVIQZ-SFHVURJKSA-N 0 3 304.393 4.331 20 0 DIADHN CC(C)[C@H](C(=O)NC(C1CCCCC1)C1CCCCC1)N(C)C ZINC001153245343 1047621448 /nfs/dbraw/zinc/62/14/48/1047621448.db2.gz AOAZVVHGXCIUID-LJQANCHMSA-N 0 3 322.537 4.218 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc2c(c1)ncn2C ZINC000282082808 1047625516 /nfs/dbraw/zinc/62/55/16/1047625516.db2.gz RILORSABMABQNA-CYBMUJFWSA-N 0 3 311.454 4.146 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cccc(C(=O)N(C)C)c2)c1 ZINC000060479688 1047625641 /nfs/dbraw/zinc/62/56/41/1047625641.db2.gz BUGQOFGBJLFFEN-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN1CCC[C@H]1C1CCC1 ZINC000477571919 1047626461 /nfs/dbraw/zinc/62/64/61/1047626461.db2.gz UTPYTGCNNKQNBG-SFHVURJKSA-N 0 3 314.473 4.187 20 0 DIADHN CCc1ccc(-c2noc(CN3CCC[C@@H]3C3CCC3)n2)cc1 ZINC000477604490 1047627626 /nfs/dbraw/zinc/62/76/26/1047627626.db2.gz URIJXJPCFYEHKL-QGZVFWFLSA-N 0 3 311.429 4.064 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2csc(-c3cccs3)n2)CCO1 ZINC000537866307 1047641030 /nfs/dbraw/zinc/64/10/30/1047641030.db2.gz YJJFYDUMELFCIC-TZMCWYRMSA-N 0 3 322.499 4.165 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)NC(C)(C)CC1CCCCCC1 ZINC001154436961 1047647769 /nfs/dbraw/zinc/64/77/69/1047647769.db2.gz UAYJBUKJDSSUJS-IYBDPMFKSA-N 0 3 308.510 4.115 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cc(-c2ccc(C)cc2)no1 ZINC000537995865 1047653415 /nfs/dbraw/zinc/65/34/15/1047653415.db2.gz UBHSZDJCVNCOJL-HNNXBMFYSA-N 0 3 304.459 4.223 20 0 DIADHN Cc1ccc(CCN2CC(c3nc4ccccc4s3)C2)cc1 ZINC000538036568 1047654422 /nfs/dbraw/zinc/65/44/22/1047654422.db2.gz AQOMBMCGAWMZNK-UHFFFAOYSA-N 0 3 308.450 4.247 20 0 DIADHN CC(C)[C@@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ccccc1 ZINC000538117849 1047657548 /nfs/dbraw/zinc/65/75/48/1047657548.db2.gz YRLQELSELVLZJU-GOSISDBHSA-N 0 3 324.403 4.098 20 0 DIADHN CC(C)C[C@@H](NCC(=O)Nc1ccccc1F)c1ccccc1 ZINC000538141900 1047659639 /nfs/dbraw/zinc/65/96/39/1047659639.db2.gz XQKDFUIMHBXLDL-GOSISDBHSA-N 0 3 314.404 4.141 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C(=O)OC(C)C)cc2)cc1 ZINC000538129482 1047660777 /nfs/dbraw/zinc/66/07/77/1047660777.db2.gz ZQJAGQCENLADEF-MRXNPFEDSA-N 0 3 311.425 4.411 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2)c1C ZINC000538186471 1047661369 /nfs/dbraw/zinc/66/13/69/1047661369.db2.gz OJRLBBGHVKVORK-ZWKOTPCHSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C)c2C)c(C)c1 ZINC000538187832 1047661871 /nfs/dbraw/zinc/66/18/71/1047661871.db2.gz LGCZDELEWFXECT-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1cnccn1 ZINC000538308703 1047669470 /nfs/dbraw/zinc/66/94/70/1047669470.db2.gz SYMHLNMYYQVHDZ-INIZCTEOSA-N 0 3 311.473 4.085 20 0 DIADHN CC(C)c1nnc(CN[C@H](CC2CCCCC2)C(C)(C)C)n1C ZINC000538391543 1047673390 /nfs/dbraw/zinc/67/33/90/1047673390.db2.gz IEVXKVFADDNGDY-MRXNPFEDSA-N 0 3 320.525 4.413 20 0 DIADHN CCC1(CC)[C@H](NCc2ncoc2-c2ccccc2)C[C@@H]1OC ZINC000538393841 1047674280 /nfs/dbraw/zinc/67/42/80/1047674280.db2.gz HGOQVHBWBVPYLZ-SJORKVTESA-N 0 3 314.429 4.025 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1nccc2cccc(Cl)c21 ZINC001155256021 1047674390 /nfs/dbraw/zinc/67/43/90/1047674390.db2.gz ZZFQLNCQVFZGPS-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1cc(-c3ccccc3)ccn1)CNC2 ZINC001155560127 1047684205 /nfs/dbraw/zinc/68/42/05/1047684205.db2.gz XQYZNEDOIRXEKV-INIZCTEOSA-N 0 3 307.422 4.067 20 0 DIADHN Clc1cccc2ccnc(N[C@@H]3CNCc4ccsc43)c12 ZINC001155560460 1047684295 /nfs/dbraw/zinc/68/42/95/1047684295.db2.gz DYHYNJCTOHUAJJ-CYBMUJFWSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cc2ccccc2nc1N[C@H]1CNCc2ccsc21 ZINC001155562379 1047684903 /nfs/dbraw/zinc/68/49/03/1047684903.db2.gz RYVWVOMJEKKAMQ-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cccc2ccc(N[C@@H]3CNCc4ccsc43)nc12 ZINC001155562970 1047685017 /nfs/dbraw/zinc/68/50/17/1047685017.db2.gz YXYYEBRWYFBBJT-CYBMUJFWSA-N 0 3 315.829 4.206 20 0 DIADHN CN(C)C[C@@H](NC(=O)CC1(C)CCCC1)c1ccc(Cl)cc1 ZINC001155643673 1047685904 /nfs/dbraw/zinc/68/59/04/1047685904.db2.gz SAWHYZYIYHZZDY-MRXNPFEDSA-N 0 3 322.880 4.029 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1(c3ccc(F)cc3)CC1)CCC2 ZINC000538554750 1047687943 /nfs/dbraw/zinc/68/79/43/1047687943.db2.gz YDGBGUSFITVMST-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)NCCN1CCCC(C)(C)C1 ZINC001155877510 1047690701 /nfs/dbraw/zinc/69/07/01/1047690701.db2.gz MLTOAWNSWWRSBF-QGZVFWFLSA-N 0 3 310.526 4.221 20 0 DIADHN COc1cc(C)nc(CN(C2CC2)[C@@H]2CCc3ccccc32)c1 ZINC000538615015 1047691030 /nfs/dbraw/zinc/69/10/30/1047691030.db2.gz ZIEWFWHNCQRQAC-HXUWFJFHSA-N 0 3 308.425 4.051 20 0 DIADHN CCc1cc(CN[C@H](CSc2ccccc2)C(C)C)on1 ZINC000538712735 1047698054 /nfs/dbraw/zinc/69/80/54/1047698054.db2.gz WRLDWRGQAVPSML-QGZVFWFLSA-N 0 3 304.459 4.144 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccc(F)cc2)[C@H]1c1ccccc1 ZINC000538724373 1047700501 /nfs/dbraw/zinc/70/05/01/1047700501.db2.gz GUMBWIOBQCDXHQ-JLTOFOAXSA-N 0 3 321.399 4.409 20 0 DIADHN CCCCOC1CCN(Cc2ccc3c(c2)CC(C)(C)O3)CC1 ZINC000538723662 1047700551 /nfs/dbraw/zinc/70/05/51/1047700551.db2.gz RSBRQYYEZXPOES-UHFFFAOYSA-N 0 3 317.473 4.181 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccc(F)cc2)[C@@H]1c1ccccc1 ZINC000538724376 1047700747 /nfs/dbraw/zinc/70/07/47/1047700747.db2.gz GUMBWIOBQCDXHQ-XOBRGWDASA-N 0 3 321.399 4.409 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccc(F)cc2)[C@@H]1c1ccccc1 ZINC000538724375 1047700883 /nfs/dbraw/zinc/70/08/83/1047700883.db2.gz GUMBWIOBQCDXHQ-VLIAUNLRSA-N 0 3 321.399 4.409 20 0 DIADHN COc1ccsc1CN(CCc1cccc(F)c1)C1CC1 ZINC000538726596 1047706507 /nfs/dbraw/zinc/70/65/07/1047706507.db2.gz HTRWYWZDAGQJBD-UHFFFAOYSA-N 0 3 305.418 4.103 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(OCC2CC2)CC1 ZINC000538751679 1047707730 /nfs/dbraw/zinc/70/77/30/1047707730.db2.gz GZDGPLKMDHTKHP-UHFFFAOYSA-N 0 3 307.865 4.348 20 0 DIADHN Cc1cccc2c1ccnc2NCC1CN(Cc2ccccc2)C1 ZINC001156399439 1047709893 /nfs/dbraw/zinc/70/98/93/1047709893.db2.gz WZXXVVLMRBDMGP-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN CN(CCOCc1ccccc1)Cc1ccc(F)c2cccnc12 ZINC000538808490 1047713686 /nfs/dbraw/zinc/71/36/86/1047713686.db2.gz YEJDFOIXRSIKNZ-UHFFFAOYSA-N 0 3 324.399 4.023 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1ncc(-c2ccccc2)s1 ZINC000538850388 1047715621 /nfs/dbraw/zinc/71/56/21/1047715621.db2.gz DSKUVQGXSNHSIW-GOSISDBHSA-N 0 3 318.486 4.087 20 0 DIADHN CN(c1ncccc1CN1CCCC1)C(C)(C)Cc1ccccc1 ZINC001156515313 1047715722 /nfs/dbraw/zinc/71/57/22/1047715722.db2.gz FCBAOXPFWFFORR-UHFFFAOYSA-N 0 3 323.484 4.135 20 0 DIADHN Cc1ccc(Cl)c(NC[C@H](c2ccccc2)N2CCCC2)n1 ZINC001156529239 1047716343 /nfs/dbraw/zinc/71/63/43/1047716343.db2.gz DZKQLVCALOXCCN-QGZVFWFLSA-N 0 3 315.848 4.292 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(Cc2cccnc2)CC1 ZINC000538942372 1047720277 /nfs/dbraw/zinc/72/02/77/1047720277.db2.gz DNQDEPSMXYPFFM-UHFFFAOYSA-N 0 3 324.468 4.324 20 0 DIADHN Cc1nc(CCN[C@H](C)c2ccc(Cl)cc2Cl)c(C)o1 ZINC000538987167 1047723659 /nfs/dbraw/zinc/72/36/59/1047723659.db2.gz PYRDXAXTQUQNRL-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(F)cc1N(C)C ZINC000539040269 1047726157 /nfs/dbraw/zinc/72/61/57/1047726157.db2.gz VKHRUHHNRFWKSU-XIKOKIGWSA-N 0 3 316.395 4.369 20 0 DIADHN CSc1cc(CN[C@H](C)c2cc3c(s2)CCC3)ccn1 ZINC000539036964 1047726318 /nfs/dbraw/zinc/72/63/18/1047726318.db2.gz FWTUUMRUNJLDFG-LLVKDONJSA-N 0 3 304.484 4.205 20 0 DIADHN Cc1nc2cc(CNC(C)(C)c3ccnc(Cl)c3)ccc2o1 ZINC001156933764 1047728637 /nfs/dbraw/zinc/72/86/37/1047728637.db2.gz KCGPFHIMIUDVCI-UHFFFAOYSA-N 0 3 315.804 4.210 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H]2CCc3c2cccc3Cl)C1 ZINC000539055348 1047728983 /nfs/dbraw/zinc/72/89/83/1047728983.db2.gz YDZHSVYTMOBSSS-OALUTQOASA-N 0 3 312.844 4.104 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1C[C@H](C)c2c1cccc2C ZINC000539056086 1047729073 /nfs/dbraw/zinc/72/90/73/1047729073.db2.gz VEWZDOGJWZJORZ-BPUTZDHNSA-N 0 3 301.455 4.236 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H]2CCc3c2cccc3Cl)C1 ZINC000539055350 1047729193 /nfs/dbraw/zinc/72/91/93/1047729193.db2.gz YDZHSVYTMOBSSS-RTBURBONSA-N 0 3 312.844 4.104 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000539067049 1047734017 /nfs/dbraw/zinc/73/40/17/1047734017.db2.gz RTTXODWEPOPUCT-XJKSGUPXSA-N 0 3 301.455 4.275 20 0 DIADHN Cc1ccccc1CCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000539066008 1047734297 /nfs/dbraw/zinc/73/42/97/1047734297.db2.gz QUUGASYGPQUQQS-AWEZNQCLSA-N 0 3 300.471 4.224 20 0 DIADHN CCCCn1cc(CNCc2cc3ccccc3s2)c(C)n1 ZINC000539106519 1047735333 /nfs/dbraw/zinc/73/53/33/1047735333.db2.gz ZVTZRXVQBCUPIS-UHFFFAOYSA-N 0 3 313.470 4.496 20 0 DIADHN CC[C@@H](N[C@H](CO)c1sccc1C)c1ccc(Cl)cc1 ZINC000539119262 1047737141 /nfs/dbraw/zinc/73/71/41/1047737141.db2.gz AAZRBVXNERZTAP-HUUCEWRRSA-N 0 3 309.862 4.484 20 0 DIADHN CC[C@@H](N[C@H](CO)c1sccc1C)c1cccc(Cl)c1 ZINC000539121285 1047738215 /nfs/dbraw/zinc/73/82/15/1047738215.db2.gz RZDYLYYPDDVVLZ-HUUCEWRRSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1sc2nc([C@H](C)N(C)CC3CCCC3)nc(N)c2c1C ZINC000539240905 1047743797 /nfs/dbraw/zinc/74/37/97/1047743797.db2.gz NWGVSMILVDVBRH-NSHDSACASA-N 0 3 318.490 4.073 20 0 DIADHN Cc1sc2nc([C@@H](C)N(C)CC3CCCC3)nc(N)c2c1C ZINC000539240904 1047744047 /nfs/dbraw/zinc/74/40/47/1047744047.db2.gz NWGVSMILVDVBRH-LLVKDONJSA-N 0 3 318.490 4.073 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](CC(C)C)c1ccccc1 ZINC000539242668 1047744309 /nfs/dbraw/zinc/74/43/09/1047744309.db2.gz PIURKWICIHESGH-IBGZPJMESA-N 0 3 310.441 4.311 20 0 DIADHN CC(C)[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477846057 1047753688 /nfs/dbraw/zinc/75/36/88/1047753688.db2.gz JZOURIZWFZGWDB-AEFFLSMTSA-N 0 3 320.452 4.389 20 0 DIADHN Cc1ccc(C(C)(C)Nc2cc(Cl)nc3c2CCNC3)cc1 ZINC001157980035 1047765979 /nfs/dbraw/zinc/76/59/79/1047765979.db2.gz VCSAZARJVJYMTO-UHFFFAOYSA-N 0 3 315.848 4.036 20 0 DIADHN CC(C)=CCC/C(C)=C/CNc1cccc(N2CCOCC2)n1 ZINC001158260287 1047772276 /nfs/dbraw/zinc/77/22/76/1047772276.db2.gz XTJCJTSNEQISMQ-LICLKQGHSA-N 0 3 315.461 4.023 20 0 DIADHN CC[C@H](C)CC(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000478284596 1047779314 /nfs/dbraw/zinc/77/93/14/1047779314.db2.gz PLHADZHYQCYOPJ-GOEBONIOSA-N 0 3 322.518 4.074 20 0 DIADHN CCC1CCC(N[C@@H](C)c2nc(-c3cccc(F)c3)no2)CC1 ZINC000478438080 1047786709 /nfs/dbraw/zinc/78/67/09/1047786709.db2.gz WOACYLOPSBEEQM-FUJMWEONSA-N 0 3 317.408 4.495 20 0 DIADHN CCC1CCC(N[C@H](C)c2nc(-c3cccc(F)c3)no2)CC1 ZINC000478438081 1047786803 /nfs/dbraw/zinc/78/68/03/1047786803.db2.gz WOACYLOPSBEEQM-VEAWUBTESA-N 0 3 317.408 4.495 20 0 DIADHN C[C@@H]1CN(c2cccc(C3CC3)n2)[C@H](C)CN1Cc1ccccc1 ZINC001158522322 1047788347 /nfs/dbraw/zinc/78/83/47/1047788347.db2.gz YKGFOSPKBOBGFE-IAGOWNOFSA-N 0 3 321.468 4.058 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2ccc(OC)cc2)C2CCC2)cn1 ZINC000927065718 1047789071 /nfs/dbraw/zinc/78/90/71/1047789071.db2.gz HLXVLNVMBXUFRT-KUHUBIRLSA-N 0 3 313.445 4.104 20 0 DIADHN CCCN(Cc1nnc(-c2cccc(Cl)c2)o1)CC(C)C ZINC000552716395 1047789949 /nfs/dbraw/zinc/78/99/49/1047789949.db2.gz NCDGHWYQFPHAJI-UHFFFAOYSA-N 0 3 307.825 4.258 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccc(F)c(Cl)c1)c1cnn(C)c1 ZINC000927067026 1047791514 /nfs/dbraw/zinc/79/15/14/1047791514.db2.gz NLBJWAHMGUSTPE-BZNIZROVSA-N 0 3 309.816 4.261 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccc(F)c(Cl)c1)c1cnn(C)c1 ZINC000927067028 1047792101 /nfs/dbraw/zinc/79/21/01/1047792101.db2.gz NLBJWAHMGUSTPE-ZBEGNZNMSA-N 0 3 309.816 4.261 20 0 DIADHN CC[C@@H](N[C@@H](c1cnn(C)c1)C(C)C)c1cc(C)ccc1OC ZINC000927067875 1047792864 /nfs/dbraw/zinc/79/28/64/1047792864.db2.gz IHRVOFDGQKRBPA-IEBWSBKVSA-N 0 3 315.461 4.175 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)cc1 ZINC000078303162 1047805382 /nfs/dbraw/zinc/80/53/82/1047805382.db2.gz NDXLTQDGMBGBPQ-CQSZACIVSA-N 0 3 314.385 4.153 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(C3(C(F)(F)F)N=N3)cc2)c1 ZINC001159253197 1047823918 /nfs/dbraw/zinc/82/39/18/1047823918.db2.gz MFLRRICAULDMGB-UHFFFAOYSA-N 0 3 320.318 4.491 20 0 DIADHN CC(C)CCN(Cc1c(C2CC2)nc2sccn12)C1CC1 ZINC000553133532 1047824685 /nfs/dbraw/zinc/82/46/85/1047824685.db2.gz NNOHHFDIQDFOAB-UHFFFAOYSA-N 0 3 303.475 4.284 20 0 DIADHN NCc1cc(Nc2cc(Cl)c(Cl)cc2Cl)ccn1 ZINC001159296475 1047826520 /nfs/dbraw/zinc/82/65/20/1047826520.db2.gz OWUJGXRLZASTJM-UHFFFAOYSA-N 0 3 302.592 4.244 20 0 DIADHN CCCCOc1ccc(Nc2ccnc(CN)c2)cc1Cl ZINC001159296448 1047826857 /nfs/dbraw/zinc/82/68/57/1047826857.db2.gz NZZROVBZUWKEPC-UHFFFAOYSA-N 0 3 305.809 4.116 20 0 DIADHN NCc1cc(Nc2cc(F)cc(OCc3ccccc3)c2)ccn1 ZINC001159296037 1047827159 /nfs/dbraw/zinc/82/71/59/1047827159.db2.gz CMFWEWNTDIKCKW-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccc2c(c1)OCO2 ZINC000479162374 1047842898 /nfs/dbraw/zinc/84/28/98/1047842898.db2.gz CYXPSLLCYGEUMJ-ZBEGNZNMSA-N 0 3 315.800 4.407 20 0 DIADHN Cc1ccc2c(c1)CN(C[C@H](C)c1cc(F)cc(F)c1)CC2 ZINC001182780872 1047844613 /nfs/dbraw/zinc/84/46/13/1047844613.db2.gz FDULKCPDIVKWPO-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN COC1(C)CCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000553384441 1047845445 /nfs/dbraw/zinc/84/54/45/1047845445.db2.gz RZIHEKONVPNIKL-UHFFFAOYSA-N 0 3 311.425 4.480 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1F ZINC000479243639 1047851270 /nfs/dbraw/zinc/85/12/70/1047851270.db2.gz KGPVNLWTKDLDPB-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H](CN(C)Cc1nc2cc(Cl)ccc2n1C)C(C)(C)C ZINC001197601313 1047853616 /nfs/dbraw/zinc/85/36/16/1047853616.db2.gz GYTALZFDXCEBLE-LBPRGKRZSA-N 0 3 307.869 4.341 20 0 DIADHN Cc1ccnc(OC2CCN(Cc3ccc(C)c(C)c3)CC2)c1 ZINC001204356990 1047854224 /nfs/dbraw/zinc/85/42/24/1047854224.db2.gz LLEJGRLDUPHVET-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN COCc1ccccc1CN[C@H]1CCc2ccc(Cl)cc21 ZINC000479252343 1047854871 /nfs/dbraw/zinc/85/48/71/1047854871.db2.gz TWELXMNBSJRJKM-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN CC(C)(NCc1cnc(-c2ccncc2)s1)c1cccs1 ZINC000553457339 1047856175 /nfs/dbraw/zinc/85/61/75/1047856175.db2.gz PCGYNTVSSAJANP-UHFFFAOYSA-N 0 3 315.467 4.292 20 0 DIADHN CCC1(CC)CCCN(Cc2cc(OC)ccc2OCOC)C1 ZINC001182881974 1047856391 /nfs/dbraw/zinc/85/63/91/1047856391.db2.gz ONZRTTFSRSQLLV-UHFFFAOYSA-N 0 3 321.461 4.080 20 0 DIADHN Brc1ccc([C@@H](NC2CC2)c2ccccc2)cc1 ZINC000479262345 1047856485 /nfs/dbraw/zinc/85/64/85/1047856485.db2.gz YUEVELBHNZYCPE-INIZCTEOSA-N 0 3 302.215 4.291 20 0 DIADHN COCc1csc(CN2CCC[C@H]2c2ccc(OC)cc2)c1 ZINC000667462210 1047858043 /nfs/dbraw/zinc/85/80/43/1047858043.db2.gz AQTHACTYWCGBSR-SFHVURJKSA-N 0 3 317.454 4.240 20 0 DIADHN C[C@H]1CC[C@H](NCc2cc(C3CC3)nn2C)c2sccc21 ZINC000927100390 1047861823 /nfs/dbraw/zinc/86/18/23/1047861823.db2.gz MLFMWHPXYGSKRN-NHYWBVRUSA-N 0 3 301.459 4.087 20 0 DIADHN Cc1cnccc1Nc1cc(C(F)(F)F)cc2ncccc21 ZINC001174538260 1047864763 /nfs/dbraw/zinc/86/47/63/1047864763.db2.gz CGNCAHJXWTXCMX-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Fc1cccc(/C=C\CN2CCC([C@@H]3CCCCO3)CC2)c1 ZINC001182918636 1047865473 /nfs/dbraw/zinc/86/54/73/1047865473.db2.gz PQPVBKVYZQCRIR-UTSMFGRCSA-N 0 3 303.421 4.120 20 0 DIADHN OC1(C[C@@H]2CCCCN2Cc2ccnc(Cl)c2)CCCCC1 ZINC001197693207 1047871358 /nfs/dbraw/zinc/87/13/58/1047871358.db2.gz SQKTVETWJNTPEZ-INIZCTEOSA-N 0 3 322.880 4.175 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@@H](c1ccccc1)C1CC1 ZINC000553548538 1047873181 /nfs/dbraw/zinc/87/31/81/1047873181.db2.gz QYESRKBMMCZCKY-SFHVURJKSA-N 0 3 321.449 4.446 20 0 DIADHN Cc1cc2c(ccnc2-n2c(-c3ccccc3)cnc2[C@H](C)N)o1 ZINC001159598262 1047878599 /nfs/dbraw/zinc/87/85/99/1047878599.db2.gz YSCJCCAUSHWCTC-ZDUSSCGKSA-N 0 3 318.380 4.009 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4ccn(C)c4c3)cccc21 ZINC001174517713 1047879381 /nfs/dbraw/zinc/87/93/81/1047879381.db2.gz SXDHGRALLUZPPQ-SFHVURJKSA-N 0 3 321.424 4.307 20 0 DIADHN c1cc(Nc2ccc(N3CCCCC3)nc2)cc(C2CCC2)n1 ZINC001174522693 1047885353 /nfs/dbraw/zinc/88/53/53/1047885353.db2.gz USGUIESFMXEMQR-UHFFFAOYSA-N 0 3 308.429 4.478 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000924721338 1047887840 /nfs/dbraw/zinc/88/78/40/1047887840.db2.gz AIMPOCUCCBHWCR-WFASDCNBSA-N 0 3 300.402 4.053 20 0 DIADHN Cc1cc2[nH]c(CN[C@H](c3cccnc3)C3CC3)cc2c(C)c1 ZINC000479432801 1047888481 /nfs/dbraw/zinc/88/84/81/1047888481.db2.gz UBQKWHDKBRZIHF-FQEVSTJZSA-N 0 3 305.425 4.421 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@H]2O)cc1 ZINC000479442246 1047893384 /nfs/dbraw/zinc/89/33/84/1047893384.db2.gz XBKXJTNIVIVDRS-RVHYNSKXSA-N 0 3 309.453 4.194 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2O)cc1 ZINC000479442240 1047893653 /nfs/dbraw/zinc/89/36/53/1047893653.db2.gz XBKXJTNIVIVDRS-IWMITWMQSA-N 0 3 309.453 4.194 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1ccc(F)cc1OC(F)F ZINC000479450876 1047895100 /nfs/dbraw/zinc/89/51/00/1047895100.db2.gz JRTWOOIQSLBOCZ-QMMMGPOBSA-N 0 3 309.278 4.123 20 0 DIADHN COc1cc(CN2CCCC3(CC3)CC2)cc(C(F)(F)F)c1 ZINC001183155157 1047899281 /nfs/dbraw/zinc/89/92/81/1047899281.db2.gz CEQZKAVRBMZKMB-UHFFFAOYSA-N 0 3 313.363 4.480 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC[C@@H](Cc2nccs2)C1 ZINC001197921349 1047899916 /nfs/dbraw/zinc/89/99/16/1047899916.db2.gz QTDHPVCSGVEIRN-KGLIPLIRSA-N 0 3 304.434 4.298 20 0 DIADHN CCCc1cccc(CNCc2cn(C)nc2-c2ccccc2)c1 ZINC000479461969 1047900436 /nfs/dbraw/zinc/90/04/36/1047900436.db2.gz BGDOZOUSWZFLSF-UHFFFAOYSA-N 0 3 319.452 4.329 20 0 DIADHN C[C@@H](COCC1CCCCC1)NCc1sccc1Cl ZINC001197999646 1047911934 /nfs/dbraw/zinc/91/19/34/1047911934.db2.gz ACJRTIFUZDBCIM-LBPRGKRZSA-N 0 3 301.883 4.477 20 0 DIADHN C[C@H](COCC1CCCCC1)NCc1sccc1Cl ZINC001197999643 1047912455 /nfs/dbraw/zinc/91/24/55/1047912455.db2.gz ACJRTIFUZDBCIM-GFCCVEGCSA-N 0 3 301.883 4.477 20 0 DIADHN OCc1ccc(F)c(CN[C@@H]2CCc3cccc4cccc2c43)c1 ZINC001197990973 1047916538 /nfs/dbraw/zinc/91/65/38/1047916538.db2.gz QGTXFJCRMYWUJO-HXUWFJFHSA-N 0 3 321.395 4.248 20 0 DIADHN COc1cc(Cl)c(CN2C[C@@H]3CC=CC[C@@H]3C2)cc1Cl ZINC001183363011 1047919616 /nfs/dbraw/zinc/91/96/16/1047919616.db2.gz GWEQTPHZGZFXPH-TXEJJXNPSA-N 0 3 312.240 4.400 20 0 DIADHN COc1ccc(CNCc2cc3c(cc(C)cc3C)[nH]2)cc1F ZINC000479540384 1047920879 /nfs/dbraw/zinc/92/08/79/1047920879.db2.gz FTDDMTXDYGPQMC-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN COCc1ccccc1CNCc1cc2c(cc(C)cc2C)[nH]1 ZINC000479536456 1047921744 /nfs/dbraw/zinc/92/17/44/1047921744.db2.gz DXJZDPWZKGBPSI-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN COc1cc(CNCc2cc3c(cc(C)cc3C)[nH]2)ccc1C ZINC000479543013 1047924338 /nfs/dbraw/zinc/92/43/38/1047924338.db2.gz PORCEYCTTNWLRJ-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccc(O[C@@H]2CCOC2)cc1 ZINC000667510889 1047925954 /nfs/dbraw/zinc/92/59/54/1047925954.db2.gz XBTZECBADZYXHO-RHSMWYFYSA-N 0 3 317.454 4.109 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CCC1)c1cc(Cl)ccc1Cl ZINC000479550326 1047926033 /nfs/dbraw/zinc/92/60/33/1047926033.db2.gz BXLQEOMMVGGOGU-GXFFZTMASA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000553717672 1047926819 /nfs/dbraw/zinc/92/68/19/1047926819.db2.gz HZKWDNPNJUDFCN-YOEHRIQHSA-N 0 3 322.880 4.028 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC001174614270 1047927236 /nfs/dbraw/zinc/92/72/36/1047927236.db2.gz CZDASXZGLUWGQU-DZGCQCFKSA-N 0 3 319.342 4.148 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3cc[nH]c3c2)c(C)c1OC ZINC001183470885 1047931488 /nfs/dbraw/zinc/93/14/88/1047931488.db2.gz FDDCHROEVGJMDU-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN Cc1cc2[nH]c(CN[C@H]3CCOc4ccccc43)cc2c(C)c1 ZINC000479563273 1047932506 /nfs/dbraw/zinc/93/25/06/1047932506.db2.gz MYAKEEFUSUKLBW-SFHVURJKSA-N 0 3 306.409 4.398 20 0 DIADHN COCc1ccc(CN(Cc2ccccc2F)CC2CC2)cc1 ZINC001174680882 1047932431 /nfs/dbraw/zinc/93/24/31/1047932431.db2.gz PRKDZJUQIWYQFX-UHFFFAOYSA-N 0 3 313.416 4.384 20 0 DIADHN Fc1ccc2c(CNCCc3ccc(F)cc3Cl)noc2c1 ZINC001183483298 1047933266 /nfs/dbraw/zinc/93/32/66/1047933266.db2.gz VHIMTVCUZRUPNL-UHFFFAOYSA-N 0 3 322.742 4.092 20 0 DIADHN COc1cc(C)ccc1OC1CCN(Cc2ccccc2)CC1 ZINC001203314903 1047933785 /nfs/dbraw/zinc/93/37/85/1047933785.db2.gz LUBSNEVDABDBEG-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1cc(Nc2cc(-c3ccc(C(F)(F)F)cc3)no2)ccn1 ZINC001174652867 1047938128 /nfs/dbraw/zinc/93/81/28/1047938128.db2.gz HRLRUQBTURKJFS-UHFFFAOYSA-N 0 3 319.286 4.229 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@@H]1CCC[C@@H](C)C1 ZINC001159891590 1047941630 /nfs/dbraw/zinc/94/16/30/1047941630.db2.gz NVFFJCPETWKETR-ZJPYXAASSA-N 0 3 308.510 4.067 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@@H](O)c1ccc(Cl)cc1 ZINC000774649340 1047942041 /nfs/dbraw/zinc/94/20/41/1047942041.db2.gz MMRHBFHOWRWTKT-QGZVFWFLSA-N 0 3 315.800 4.252 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCN([C@@H](C)c3ccccc3)CC2)cc1 ZINC001183532592 1047942730 /nfs/dbraw/zinc/94/27/30/1047942730.db2.gz FDDWMQGSLISRLQ-PMACEKPBSA-N 0 3 322.496 4.477 20 0 DIADHN C[C@@H](CNCc1ccc(-c2ccccc2F)o1)C(F)(F)F ZINC000479615193 1047944506 /nfs/dbraw/zinc/94/45/06/1047944506.db2.gz RCQHVIHKLBKMKG-JTQLQIEISA-N 0 3 301.283 4.374 20 0 DIADHN CCC[C@H](C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1)C(C)C ZINC000479636159 1047946892 /nfs/dbraw/zinc/94/68/92/1047946892.db2.gz ICVIAAYOLKZBON-NEWSRXKRSA-N 0 3 316.489 4.010 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@H](C3CCC3)C2)c1Cl ZINC001174705125 1047947119 /nfs/dbraw/zinc/94/71/19/1047947119.db2.gz RCSDIWWZJPCMFM-AWEZNQCLSA-N 0 3 321.848 4.139 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C1 ZINC000775848062 1047955378 /nfs/dbraw/zinc/95/53/78/1047955378.db2.gz HSXDHKKQQFNAMK-CHWSQXEVSA-N 0 3 305.384 4.046 20 0 DIADHN CCC(CC)[C@H](NCc1cnccc1OC)c1cccs1 ZINC000553878825 1047956074 /nfs/dbraw/zinc/95/60/74/1047956074.db2.gz KIPQASOVHITEIH-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN CCC(CC)[C@@H](NCc1cnccc1OC)c1cccs1 ZINC000553878827 1047956116 /nfs/dbraw/zinc/95/61/16/1047956116.db2.gz KIPQASOVHITEIH-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN COc1cncc(CN2CCC(c3cc(C)ccc3C)CC2)c1 ZINC001198467894 1047961072 /nfs/dbraw/zinc/96/10/72/1047961072.db2.gz PDHNBEAOONGFIY-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)(C)C)s2)cc1F ZINC000775852694 1047966754 /nfs/dbraw/zinc/96/67/54/1047966754.db2.gz ANTTYEPMMRBCIP-UHFFFAOYSA-N 0 3 307.434 4.483 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1cncc2ccccc21 ZINC000775869149 1047966868 /nfs/dbraw/zinc/96/68/68/1047966868.db2.gz WJCDWTFWVSAXNN-WBMJQRKESA-N 0 3 308.347 4.398 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1cncc2ccccc21 ZINC000775869146 1047967671 /nfs/dbraw/zinc/96/76/71/1047967671.db2.gz WJCDWTFWVSAXNN-BLLLJJGKSA-N 0 3 308.347 4.398 20 0 DIADHN Clc1cccc(CN[C@H]2CCOC23CCCC3)c1Cl ZINC001198506693 1047968613 /nfs/dbraw/zinc/96/86/13/1047968613.db2.gz DPNFIXXAOZMXCN-ZDUSSCGKSA-N 0 3 300.229 4.185 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccc(CC2CC[NH2+]CC2)cc1 ZINC001160014658 1047969550 /nfs/dbraw/zinc/96/95/50/1047969550.db2.gz GOPNMIZLMGRETA-UHFFFAOYSA-N 0 3 316.832 4.331 20 0 DIADHN C[C@@H](NC[C@@]1(c2ccccc2)CCCO1)c1ccncc1Cl ZINC000927120000 1047971705 /nfs/dbraw/zinc/97/17/05/1047971705.db2.gz NINQUBLTSWOYCV-RDTXWAMCSA-N 0 3 316.832 4.092 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(C[C@@H]1CCCCC1(C)C)C2 ZINC001174788931 1047972552 /nfs/dbraw/zinc/97/25/52/1047972552.db2.gz FHNLPTDASROYQA-INIZCTEOSA-N 0 3 301.478 4.175 20 0 DIADHN FC(F)(F)[C@]12CCC[C@H]1CN(Cc1cc3ccccc3[nH]1)C2 ZINC001183853989 1047972644 /nfs/dbraw/zinc/97/26/44/1047972644.db2.gz FFVSSGCAOLISQO-BBRMVZONSA-N 0 3 308.347 4.332 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CNC(C)(C)/C=C\Cl)cc1 ZINC001183898334 1047978188 /nfs/dbraw/zinc/97/81/88/1047978188.db2.gz PYZRRKQRYVBVPG-KHPPLWFESA-N 0 3 309.837 4.263 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cnn(CC3CCC3)c2)c(C)c1 ZINC001198551009 1047978388 /nfs/dbraw/zinc/97/83/88/1047978388.db2.gz BACIAVXUPKXBRL-KRWDZBQOSA-N 0 3 311.473 4.459 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)C1CCC(F)(F)CC1 ZINC000553988007 1047983409 /nfs/dbraw/zinc/98/34/09/1047983409.db2.gz VOVKHKLMCQQZIZ-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN C[C@@H](COCC1CCCCC1)N[C@@H](C)c1cc2cnccc2o1 ZINC001183919464 1047984745 /nfs/dbraw/zinc/98/47/45/1047984745.db2.gz LGKLPLHCMRPIOT-GJZGRUSLSA-N 0 3 316.445 4.464 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)CCC(C)C)nnn1-c1ccccc1 ZINC000479848003 1047987249 /nfs/dbraw/zinc/98/72/49/1047987249.db2.gz CVYQMNYXMUEUGC-GJZGRUSLSA-N 0 3 300.450 4.051 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N1CCC(C)CC1)c1ccco1 ZINC000479873368 1047989372 /nfs/dbraw/zinc/98/93/72/1047989372.db2.gz MNAXPOAHZKLTQI-HZPDHXFCSA-N 0 3 318.486 4.465 20 0 DIADHN CC[C@@H]1CC[C@@H]1NCc1csc(CCc2ccccc2)n1 ZINC000554047850 1047990969 /nfs/dbraw/zinc/99/09/69/1047990969.db2.gz JJKIUVJTPATQNE-WBVHZDCISA-N 0 3 300.471 4.207 20 0 DIADHN CC[C@H]1CC[C@H]1NCc1csc(CCc2ccccc2)n1 ZINC000554047847 1047990992 /nfs/dbraw/zinc/99/09/92/1047990992.db2.gz JJKIUVJTPATQNE-DOTOQJQBSA-N 0 3 300.471 4.207 20 0 DIADHN COc1cc(CN(C)[C@H]2CC[C@](C)(c3ccccc3)C2)sn1 ZINC001183991588 1047992340 /nfs/dbraw/zinc/99/23/40/1047992340.db2.gz LUUSIIOQZWGWGT-YJBOKZPZSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2ccc3cc[nH]c3c2)c2ccccc21 ZINC001198697128 1047993680 /nfs/dbraw/zinc/99/36/80/1047993680.db2.gz ZYHHFRSHMPQNPJ-VFNWGFHPSA-N 0 3 320.436 4.043 20 0 DIADHN Cc1ccc2cc(CN3CCC(OC4CCCC4)CC3)[nH]c2c1 ZINC000667568935 1047995109 /nfs/dbraw/zinc/99/51/09/1047995109.db2.gz WNXUFMPXOXIYMB-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN Nc1ccc(Nc2cccc(CN3CCCCC3)c2F)cc1F ZINC001160208449 1047998236 /nfs/dbraw/zinc/99/82/36/1047998236.db2.gz JRMLSGRUCXGOFX-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Clc1ccc2ccc(CNC3([C@@H]4CCCO4)CCC3)nc2c1 ZINC001198718621 1048002702 /nfs/dbraw/zinc/00/27/02/1048002702.db2.gz KKROTNAHRHJTCZ-KRWDZBQOSA-N 0 3 316.832 4.080 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccc(C)c1 ZINC000554096486 1048007478 /nfs/dbraw/zinc/00/74/78/1048007478.db2.gz YWFJPTXHULIBPN-WOJBJXKFSA-N 0 3 314.448 4.478 20 0 DIADHN CC(C)P(CCNC(=O)C1CC2(C1)CC(F)(F)C2)C(C)C ZINC001184205192 1048007823 /nfs/dbraw/zinc/00/78/23/1048007823.db2.gz UNOBPEBGTNNIQS-UHFFFAOYSA-N 0 3 319.376 4.227 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC001184238473 1048016602 /nfs/dbraw/zinc/01/66/02/1048016602.db2.gz CWADOOIMPQCMKP-AZUAARDMSA-N 0 3 317.436 4.312 20 0 DIADHN CC(C)CCCCCCNCc1csc(-c2ccn(C)n2)n1 ZINC001198926480 1048017793 /nfs/dbraw/zinc/01/77/93/1048017793.db2.gz AESIMJQOYWLPQP-UHFFFAOYSA-N 0 3 320.506 4.240 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC[C@@H]2CCC3(CCC3)O2)cs1 ZINC000927129459 1048021096 /nfs/dbraw/zinc/02/10/96/1048021096.db2.gz PCLHJDQWFGOHOB-KGLIPLIRSA-N 0 3 308.491 4.409 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC(O)(C(C)C)CC2)cc1C ZINC001184314370 1048021760 /nfs/dbraw/zinc/02/17/60/1048021760.db2.gz NENWQISXQUZNOL-VOTSOKGWSA-N 0 3 301.474 4.108 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc(C)co1)c1ccccc1Cl ZINC001199001245 1048026001 /nfs/dbraw/zinc/02/60/01/1048026001.db2.gz MIDKQWKIMPKBQS-SFHVURJKSA-N 0 3 320.864 4.414 20 0 DIADHN CCC[C@](C)(NCc1cnc2ccccc2n1)c1ccccc1 ZINC001184291158 1048026831 /nfs/dbraw/zinc/02/68/31/1048026831.db2.gz PSIHYCUCMJTFTG-FQEVSTJZSA-N 0 3 305.425 4.435 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@H]2OCCC[C@H]2C1 ZINC000248408213 1048027141 /nfs/dbraw/zinc/02/71/41/1048027141.db2.gz DXTRLKHTTFFHSL-MAUKXSAKSA-N 0 3 313.397 4.073 20 0 DIADHN COc1ccsc1CN[C@@H](c1ccc(OC)cc1)C(C)C ZINC001199045763 1048030121 /nfs/dbraw/zinc/03/01/21/1048030121.db2.gz RYWBQSVEPISRLU-QGZVFWFLSA-N 0 3 305.443 4.252 20 0 DIADHN Cc1cc(C)cc(N(C)C(=O)c2cccc(CN3CCCC3)c2)c1 ZINC000064026935 1048030796 /nfs/dbraw/zinc/03/07/96/1048030796.db2.gz VOKPMYSOGUNZOP-UHFFFAOYSA-N 0 3 322.452 4.176 20 0 DIADHN CCCCCC[C@@H](C)NCc1cn(-c2ccccc2C)nn1 ZINC001199059719 1048031949 /nfs/dbraw/zinc/03/19/49/1048031949.db2.gz GVFBIEGDCZQNOQ-MRXNPFEDSA-N 0 3 300.450 4.024 20 0 DIADHN CO[C@@H](CNC(C)(C)c1nc2ccccc2s1)CC(C)C ZINC001174933324 1048036873 /nfs/dbraw/zinc/03/68/73/1048036873.db2.gz JSJHVPZMMSMUAO-CYBMUJFWSA-N 0 3 306.475 4.182 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H](c1nc(C)no1)C(C)C ZINC001184437989 1048037217 /nfs/dbraw/zinc/03/72/17/1048037217.db2.gz DKOJXWQYJZTIPD-QZTJIDSGSA-N 0 3 315.461 4.466 20 0 DIADHN O=c1ccoc2ccc(Nc3ccccc3CN3CCCC3)cc12 ZINC001174944952 1048040532 /nfs/dbraw/zinc/04/05/32/1048040532.db2.gz DEAXLIXNIFGNCU-UHFFFAOYSA-N 0 3 320.392 4.132 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1nc2ccccc2n1C(F)F ZINC001184450885 1048041167 /nfs/dbraw/zinc/04/11/67/1048041167.db2.gz LFINHYUWTXTUNZ-SLEUVZQESA-N 0 3 323.387 4.040 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2ccco2)N2CCCCC2)o1 ZINC000480078791 1048044348 /nfs/dbraw/zinc/04/43/48/1048044348.db2.gz APFRCGHQYVNRDM-WBVHZDCISA-N 0 3 316.445 4.313 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOc1ccc(F)cc1 ZINC001199228350 1048044968 /nfs/dbraw/zinc/04/49/68/1048044968.db2.gz SLRTZMRECFSMQW-UHFFFAOYSA-N 0 3 305.393 4.193 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(SC)o1)c1ccsc1 ZINC001199248905 1048046775 /nfs/dbraw/zinc/04/67/75/1048046775.db2.gz YRXBVJNTTUVGBO-HNNXBMFYSA-N 0 3 324.515 4.236 20 0 DIADHN NCc1ccc(Nc2ccc3c(Cl)ccc(Cl)c3n2)cn1 ZINC001160406878 1048048944 /nfs/dbraw/zinc/04/89/44/1048048944.db2.gz XKZZCPMVLLLBBR-UHFFFAOYSA-N 0 3 319.195 4.139 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCCC1)c1ccccc1F ZINC000480092155 1048052641 /nfs/dbraw/zinc/05/26/41/1048052641.db2.gz WQBNRARQVADQAV-MAUKXSAKSA-N 0 3 316.420 4.297 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2ccccc2CC)c1 ZINC001199320683 1048055662 /nfs/dbraw/zinc/05/56/62/1048055662.db2.gz POFIPEOPCHVGOA-UHFFFAOYSA-N 0 3 310.441 4.277 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2cc(-c3ccccc3)no2)cc1 ZINC000924734109 1048058504 /nfs/dbraw/zinc/05/85/04/1048058504.db2.gz KYMSMJCMTWBPBK-DNVCBOLYSA-N 0 3 322.408 4.034 20 0 DIADHN CN(C)Cc1ccnc(N[C@H]2[C@@H]3CC[C@@H](C3)[C@@H]2c2ccccc2)c1 ZINC001160508565 1048061537 /nfs/dbraw/zinc/06/15/37/1048061537.db2.gz HZUNRXFDSOPDQU-YHELAOLJSA-N 0 3 321.468 4.137 20 0 DIADHN CCCOCc1ccccc1CNCc1ccc(OC)cc1C ZINC001199376544 1048063473 /nfs/dbraw/zinc/06/34/73/1048063473.db2.gz KJXHTRSZTRMLQM-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001160519125 1048063810 /nfs/dbraw/zinc/06/38/10/1048063810.db2.gz JJHJJEDJEAYEQO-CRAIPNDOSA-N 0 3 322.468 4.151 20 0 DIADHN CCc1ccc([C@H](CNC(=O)O[C@@H](C)CC(C)C)N(C)C)cc1 ZINC001184639368 1048071345 /nfs/dbraw/zinc/07/13/45/1048071345.db2.gz GFFAYUIXGLGLSI-YJBOKZPZSA-N 0 3 320.477 4.013 20 0 DIADHN Cc1ccc(SCCN[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000480164567 1048074618 /nfs/dbraw/zinc/07/46/18/1048074618.db2.gz OGYMQJQTRSELSM-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN Cc1ccc(F)c(CNCCOc2ccc(C(C)C)cc2)c1 ZINC001199571151 1048075525 /nfs/dbraw/zinc/07/55/25/1048075525.db2.gz IUTFJBAPTSOXIY-UHFFFAOYSA-N 0 3 301.405 4.426 20 0 DIADHN C[C@H](NCCOc1ccccc1Cl)c1ccc(Cl)cn1 ZINC000480169183 1048075948 /nfs/dbraw/zinc/07/59/48/1048075948.db2.gz ORYVTSAJWXPQKS-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(Cl)cn1)Oc1ccccc1F ZINC000480166014 1048076471 /nfs/dbraw/zinc/07/64/71/1048076471.db2.gz QGSLTRUBOSZNLS-TZMCWYRMSA-N 0 3 322.811 4.382 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)CCCC(F)(F)F)o1 ZINC000480171251 1048077300 /nfs/dbraw/zinc/07/73/00/1048077300.db2.gz PZQIXHDJIGZBQO-AAEUAGOBSA-N 0 3 307.356 4.240 20 0 DIADHN Fc1cccc(OCCNCc2csc(C(F)(F)F)c2)c1 ZINC001199564193 1048077377 /nfs/dbraw/zinc/07/73/77/1048077377.db2.gz POZOJKNDPYYDMQ-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN C[C@H](COCc1ccccc1)CN1CC(C)(C)[C@H]1c1cccnc1 ZINC001174983369 1048081902 /nfs/dbraw/zinc/08/19/02/1048081902.db2.gz IDBVXEJYWGPSJO-FXAWDEMLSA-N 0 3 324.468 4.317 20 0 DIADHN COC(=O)c1sc2cccc(F)c2c1CN(C)CC1CCC1 ZINC000554366394 1048082045 /nfs/dbraw/zinc/08/20/45/1048082045.db2.gz ANCNYGMGZOLUQR-UHFFFAOYSA-N 0 3 321.417 4.059 20 0 DIADHN C[C@@H](N[C@@H](C)CO)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480182903 1048082797 /nfs/dbraw/zinc/08/27/97/1048082797.db2.gz QXUQHTMYEBZEHQ-NWDGAFQWSA-N 0 3 323.795 4.303 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2ccc(C(C)(C)C)cc2)o1 ZINC001199659076 1048084625 /nfs/dbraw/zinc/08/46/25/1048084625.db2.gz SRKXAIZOMJPISU-AWEZNQCLSA-N 0 3 317.429 4.143 20 0 DIADHN COC[C@H](NC[C@H]1CCC(F)(F)C1)c1cccc(Cl)c1F ZINC000554375518 1048086082 /nfs/dbraw/zinc/08/60/82/1048086082.db2.gz QTMIZTPAOKFQMG-GWCFXTLKSA-N 0 3 321.770 4.192 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(Cl)cn1)Oc1cccc(F)c1 ZINC000480189809 1048089962 /nfs/dbraw/zinc/08/99/62/1048089962.db2.gz KINSWUCZVQTKRP-SWLSCSKDSA-N 0 3 322.811 4.382 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000480201807 1048091120 /nfs/dbraw/zinc/09/11/20/1048091120.db2.gz WLAFDRXIDJDCJJ-LSDHHAIUSA-N 0 3 318.848 4.470 20 0 DIADHN COCc1csc(CN2CCS[C@H](c3ccccc3)C2)c1 ZINC000667642925 1048100922 /nfs/dbraw/zinc/10/09/22/1048100922.db2.gz OIKNERXRBMCSJJ-KRWDZBQOSA-N 0 3 319.495 4.185 20 0 DIADHN CCc1cccc(Cl)c1CNCCn1cnc2ccccc21 ZINC001199784100 1048101821 /nfs/dbraw/zinc/10/18/21/1048101821.db2.gz PHEAGCPCXQQKEF-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN Clc1ccc(Cl)c(CNCCN2Cc3ccccc3C2)c1 ZINC001199792152 1048103059 /nfs/dbraw/zinc/10/30/59/1048103059.db2.gz GMMCQDBCMOBMTJ-UHFFFAOYSA-N 0 3 321.251 4.099 20 0 DIADHN CCOc1ccc(F)c(Nc2ccccc2CN(C)C)c1F ZINC001175041637 1048105420 /nfs/dbraw/zinc/10/54/20/1048105420.db2.gz LCEWODAUCZYTFF-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN c1cncc([C@H](NCc2ccc(OCC3CC3)cc2)C2CC2)c1 ZINC000480289025 1048111396 /nfs/dbraw/zinc/11/13/96/1048111396.db2.gz ZUGSGVLEAIGFMW-HXUWFJFHSA-N 0 3 308.425 4.111 20 0 DIADHN Cn1c(CNCc2ccccc2C(F)(F)F)cc2ccccc21 ZINC001199865061 1048113200 /nfs/dbraw/zinc/11/32/00/1048113200.db2.gz NCUMOSACBWOCLE-UHFFFAOYSA-N 0 3 318.342 4.487 20 0 DIADHN [O-]c1cc(F)c(Nc2ccc(CC[NH+]3CCCC3)cc2)c(F)c1 ZINC001175099923 1048115932 /nfs/dbraw/zinc/11/59/32/1048115932.db2.gz HJXKLWNGWWKEAO-UHFFFAOYSA-N 0 3 318.367 4.052 20 0 DIADHN [O-]c1cc(F)c(Nc2cccc(C[NH+]3CCCCC3)c2)c(F)c1 ZINC001175099698 1048116029 /nfs/dbraw/zinc/11/60/29/1048116029.db2.gz GCSKNURHVDGMSU-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN [O-]c1cc(F)c(Nc2ccccc2C[NH+]2CCCCC2)c(F)c1 ZINC001175100218 1048116367 /nfs/dbraw/zinc/11/63/67/1048116367.db2.gz NXYBEBKRSHNLCI-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Fc1ccc(CCN(Cc2ccc(Cl)cn2)C2CC2)cc1 ZINC000554497416 1048116762 /nfs/dbraw/zinc/11/67/62/1048116762.db2.gz CCUAQMFSQNUCOF-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN CC(C)[C@@H]1N(C(=O)[C@H](C)N2CCCCCC2)CC12CCCCC2 ZINC001161238331 1048117517 /nfs/dbraw/zinc/11/75/17/1048117517.db2.gz SOJPOXAAOZQISQ-ROUUACIJSA-N 0 3 320.521 4.068 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2ccc3c(c2)CCCC3)cn1 ZINC000480301162 1048117774 /nfs/dbraw/zinc/11/77/74/1048117774.db2.gz NAJGECYTJQYVHO-MRXNPFEDSA-N 0 3 323.484 4.267 20 0 DIADHN CC(C)(CNCc1nc(-c2ccncc2)cs1)c1ccccc1 ZINC000774813288 1048121610 /nfs/dbraw/zinc/12/16/10/1048121610.db2.gz AETANMBQSDLUHV-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN Cc1ccc([C@@H](NCCCC(F)(F)F)c2ccccn2)cc1 ZINC000842157559 1048125139 /nfs/dbraw/zinc/12/51/39/1048125139.db2.gz GUMZDIBAVHHHRU-MRXNPFEDSA-N 0 3 308.347 4.412 20 0 DIADHN Clc1sccc1CN[C@@H]1CCCN(c2ccccc2)C1 ZINC000480341176 1048126756 /nfs/dbraw/zinc/12/67/56/1048126756.db2.gz BOIUHDIZUKWFLD-CQSZACIVSA-N 0 3 306.862 4.160 20 0 DIADHN Brc1cccc(CN(C[C@H]2CCC=CO2)C2CC2)c1 ZINC000774835471 1048133352 /nfs/dbraw/zinc/13/33/52/1048133352.db2.gz NSPZTZFIZPOBIU-MRXNPFEDSA-N 0 3 322.246 4.106 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NCc2ccsc2Cl)C1 ZINC000480397300 1048137100 /nfs/dbraw/zinc/13/71/00/1048137100.db2.gz QOAHQMSPKPOLHN-BBRMVZONSA-N 0 3 320.889 4.327 20 0 DIADHN C[C@@H](NC(C)(C)c1ccnc(Cl)c1)c1ccc2c(c1)OCO2 ZINC001161517981 1048137468 /nfs/dbraw/zinc/13/74/68/1048137468.db2.gz SXBYDZNSLWKTRD-LLVKDONJSA-N 0 3 318.804 4.050 20 0 DIADHN Cc1cc(Br)c(CNCC2(C)CC2)cc1Cl ZINC001200879772 1048153561 /nfs/dbraw/zinc/15/35/61/1048153561.db2.gz MHSLAJXRBXVIFR-UHFFFAOYSA-N 0 3 302.643 4.301 20 0 DIADHN CC(C)P(CCNC(=O)c1cc(C2CCC2)ccn1)C(C)C ZINC001185314871 1048163292 /nfs/dbraw/zinc/16/32/92/1048163292.db2.gz BYMLNTXVGLSPCS-UHFFFAOYSA-N 0 3 320.417 4.378 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(C2CC2)cc1 ZINC000554741153 1048170570 /nfs/dbraw/zinc/17/05/70/1048170570.db2.gz LNXAXDAYCNOCJJ-SFHVURJKSA-N 0 3 311.400 4.489 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(C2CC2)cc1 ZINC000554741152 1048170929 /nfs/dbraw/zinc/17/09/29/1048170929.db2.gz LNXAXDAYCNOCJJ-GOSISDBHSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccc(Cl)cn1 ZINC000554740253 1048170965 /nfs/dbraw/zinc/17/09/65/1048170965.db2.gz DLDPXNPWFHJVTG-FDQGKXFDSA-N 0 3 316.832 4.306 20 0 DIADHN CC(C)c1csc(CNC2CCC3(COC(C)(C)C3)CC2)n1 ZINC001200990369 1048175355 /nfs/dbraw/zinc/17/53/55/1048175355.db2.gz VFQORDVOSMVCHF-UHFFFAOYSA-N 0 3 322.518 4.484 20 0 DIADHN COc1ccc(CNCc2ccccc2CC(F)(F)F)c(C)c1 ZINC001200997916 1048176811 /nfs/dbraw/zinc/17/68/11/1048176811.db2.gz GVKNYEPVTXXHOZ-UHFFFAOYSA-N 0 3 323.358 4.398 20 0 DIADHN Cc1nc(CN(C)[C@H]2CC[C@@H](C(F)(F)F)CC2)sc1C ZINC000554749247 1048177399 /nfs/dbraw/zinc/17/73/99/1048177399.db2.gz KDEUWJCIWQQZOV-TXEJJXNPSA-N 0 3 306.397 4.313 20 0 DIADHN CCCC[C@H](CCC)CNCc1cn(-c2ccc(F)cc2)nn1 ZINC001201055709 1048180179 /nfs/dbraw/zinc/18/01/79/1048180179.db2.gz UVOWQEQHIZOZQZ-HNNXBMFYSA-N 0 3 318.440 4.103 20 0 DIADHN C[C@H](NC[C@H]1CC1(Cl)Cl)c1ccccc1OC(F)F ZINC000774883260 1048182579 /nfs/dbraw/zinc/18/25/79/1048182579.db2.gz LSYAXKLMIDGHNT-DTWKUNHWSA-N 0 3 310.171 4.132 20 0 DIADHN CC(C)C1CCC(NCc2c(Cl)nc(Cl)n2C)CC1 ZINC001201036559 1048183307 /nfs/dbraw/zinc/18/33/07/1048183307.db2.gz ZEZWZISXSCGXHR-UHFFFAOYSA-N 0 3 304.265 4.031 20 0 DIADHN CC(C)n1ccnc1CN1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC000554845643 1048201318 /nfs/dbraw/zinc/20/13/18/1048201318.db2.gz DIDACWJDWZDVHD-REPLKXPHSA-N 0 3 309.457 4.375 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@H](C)C1CCCCC1 ZINC000554839785 1048205218 /nfs/dbraw/zinc/20/52/18/1048205218.db2.gz QAJHTSXIAAOLLS-MRXNPFEDSA-N 0 3 316.489 4.397 20 0 DIADHN CC(=O)c1ccc(Nc2ccccc2CN2CCCC2)cc1F ZINC001175231277 1048207564 /nfs/dbraw/zinc/20/75/64/1048207564.db2.gz WJFMKZJZFZKCLX-UHFFFAOYSA-N 0 3 312.388 4.368 20 0 DIADHN FC(F)(F)c1ccccc1C1=CCN(C[C@@H]2CCC=CO2)CC1 ZINC000774911878 1048210298 /nfs/dbraw/zinc/21/02/98/1048210298.db2.gz LJNMLOXLEQPIBX-HNNXBMFYSA-N 0 3 323.358 4.487 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NC[C@H](C)C(F)(F)F)c1 ZINC000480674114 1048215894 /nfs/dbraw/zinc/21/58/94/1048215894.db2.gz UBYQUPWRRUEISO-UWVGGRQHSA-N 0 3 311.294 4.445 20 0 DIADHN Cc1ccc2sc(NCCN3CCc4ccccc4C3)nc2c1 ZINC001162098672 1048224677 /nfs/dbraw/zinc/22/46/77/1048224677.db2.gz GJBLSVWEGVMFKX-UHFFFAOYSA-N 0 3 323.465 4.075 20 0 DIADHN CCOc1ccc(F)c(F)c1Nc1ccccc1CN(C)C ZINC001175283358 1048230114 /nfs/dbraw/zinc/23/01/14/1048230114.db2.gz PVVIXRFCHPRWPR-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCO[C@@H]2CC(C)C)c(F)c1 ZINC000480772349 1048242361 /nfs/dbraw/zinc/24/23/61/1048242361.db2.gz WSHYPQLQGFUIKH-DOPJRALCSA-N 0 3 309.425 4.079 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCO[C@H]2CC(C)C)c(F)c1 ZINC000480772351 1048242646 /nfs/dbraw/zinc/24/26/46/1048242646.db2.gz WSHYPQLQGFUIKH-KKXDTOCCSA-N 0 3 309.425 4.079 20 0 DIADHN Cc1nc2cc(Nc3ccc4c(c3)CN(C)CC4)ccc2s1 ZINC001213039196 1048249433 /nfs/dbraw/zinc/24/94/33/1048249433.db2.gz PLKODYMWLKLPDY-UHFFFAOYSA-N 0 3 309.438 4.336 20 0 DIADHN CC(C)c1cc(OCc2ccccc2)ccc1-n1cnc(CN)c1 ZINC001162304611 1048259499 /nfs/dbraw/zinc/25/94/99/1048259499.db2.gz OVAHTCMUHDMPMT-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CCN(CC)[C@@H](CNc1nc2cccc(F)c2o1)c1ccco1 ZINC001162308421 1048259523 /nfs/dbraw/zinc/25/95/23/1048259523.db2.gz VITMEIWFSHSMNC-AWEZNQCLSA-N 0 3 317.364 4.055 20 0 DIADHN Cc1cccc(Cl)c1CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC001175312292 1048259493 /nfs/dbraw/zinc/25/94/93/1048259493.db2.gz MZHYQNACDVXCJS-MSOLQXFVSA-N 0 3 301.817 4.268 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CO[C@H](C3CC3)C2)c2cccs2)cc1 ZINC000667768588 1048262945 /nfs/dbraw/zinc/26/29/45/1048262945.db2.gz VDBPDCCNTQNVFU-RYRKJORJSA-N 0 3 317.429 4.134 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccccc2OC(C)C)cc1 ZINC000774973950 1048264315 /nfs/dbraw/zinc/26/43/15/1048264315.db2.gz YYIIQXHTZCPCLN-LPHOPBHVSA-N 0 3 313.441 4.166 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)[C@H](C)c1ccc(F)nc1 ZINC001175323149 1048264749 /nfs/dbraw/zinc/26/47/49/1048264749.db2.gz JAWPCAZTAOVNSW-CQSZACIVSA-N 0 3 302.393 4.203 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)[C@@H](C)c1ccc(F)nc1 ZINC001175323148 1048265126 /nfs/dbraw/zinc/26/51/26/1048265126.db2.gz JAWPCAZTAOVNSW-AWEZNQCLSA-N 0 3 302.393 4.203 20 0 DIADHN CCCC[C@H](COC)N[C@@H](CC(F)(F)F)c1ccccc1 ZINC000480902661 1048267358 /nfs/dbraw/zinc/26/73/58/1048267358.db2.gz SQKNILAEHHKYCR-CABCVRRESA-N 0 3 303.368 4.475 20 0 DIADHN CC(C)P(CCNC(F)(F)c1cc(Cl)ncn1)C(C)C ZINC001162358313 1048267487 /nfs/dbraw/zinc/26/74/87/1048267487.db2.gz RUULFHRITFRKRA-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NC[C@H]1CC1(Cl)Cl ZINC000774983289 1048268764 /nfs/dbraw/zinc/26/87/64/1048268764.db2.gz RAACVXHGLDGWHK-NWDGAFQWSA-N 0 3 302.245 4.318 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(F)cc2N(C)C)c1 ZINC000480906539 1048270500 /nfs/dbraw/zinc/27/05/00/1048270500.db2.gz DVGWRXVZCHNBLC-UONOGXRCSA-N 0 3 316.420 4.312 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCC=CO2)c2ccc(C)cc2)cc1 ZINC000774987350 1048271941 /nfs/dbraw/zinc/27/19/41/1048271941.db2.gz UHYQWCPRXHNLLQ-LEWJYISDSA-N 0 3 323.436 4.375 20 0 DIADHN CCc1cccnc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201470266 1048278777 /nfs/dbraw/zinc/27/87/77/1048278777.db2.gz PULYTNYVZHPCMC-UXHICEINSA-N 0 3 319.452 4.377 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccccc2)cc1OC(F)F ZINC000065275144 1048282015 /nfs/dbraw/zinc/28/20/15/1048282015.db2.gz ZELYSIBNTVKKMT-UHFFFAOYSA-N 0 3 321.367 4.322 20 0 DIADHN CCC(CC)[C@H](CCNCc1nc(C(C)(C)C)cs1)OC ZINC001201557448 1048315237 /nfs/dbraw/zinc/31/52/37/1048315237.db2.gz ITIXATDWIDKOSA-AWEZNQCLSA-N 0 3 312.523 4.372 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4ncccc4c3)cccc21 ZINC001175378059 1048288409 /nfs/dbraw/zinc/28/84/09/1048288409.db2.gz KUBWGGJHUFEIAE-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(O)c1 ZINC001201474575 1048294710 /nfs/dbraw/zinc/29/47/10/1048294710.db2.gz GFEVAQGMNVAETD-MOPGFXCFSA-N 0 3 320.436 4.433 20 0 DIADHN Oc1ccc(F)cc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201474633 1048294994 /nfs/dbraw/zinc/29/49/94/1048294994.db2.gz IGBWYRICKUTXJC-MSOLQXFVSA-N 0 3 324.399 4.264 20 0 DIADHN CN(c1ccccc1N)C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477897 1048295709 /nfs/dbraw/zinc/29/57/09/1048295709.db2.gz OUPYOTMLPNVZFV-MSOLQXFVSA-N 0 3 319.452 4.026 20 0 DIADHN Cc1ccc(O)c(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201478142 1048296169 /nfs/dbraw/zinc/29/61/69/1048296169.db2.gz XSHUVUVFEDTKMO-MOPGFXCFSA-N 0 3 320.436 4.433 20 0 DIADHN Cc1cc(C(=O)NCCP(C(C)C)C(C)C)c(F)cc1F ZINC001186406875 1048296619 /nfs/dbraw/zinc/29/66/19/1048296619.db2.gz UZQRBBRPQQUIHW-UHFFFAOYSA-N 0 3 315.344 4.302 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1O ZINC001201478153 1048296755 /nfs/dbraw/zinc/29/67/55/1048296755.db2.gz YLRPDFSSFJDLIA-UXHICEINSA-N 0 3 320.436 4.433 20 0 DIADHN CCc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001201475844 1048297971 /nfs/dbraw/zinc/29/79/71/1048297971.db2.gz ZILDVAYFUQQJOE-UXHICEINSA-N 0 3 319.452 4.377 20 0 DIADHN Cc1ccc([C@H](O)CNCc2cc(Cl)ccc2Cl)cc1 ZINC000775014070 1048299418 /nfs/dbraw/zinc/29/94/18/1048299418.db2.gz HWRCXWAKADULEZ-MRXNPFEDSA-N 0 3 310.224 4.125 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2ccc(Cl)c(F)c2)on1 ZINC001175419054 1048300356 /nfs/dbraw/zinc/30/03/56/1048300356.db2.gz RMPNMYZZLBOVBU-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2c(Cl)oc3ccccc32)cc1 ZINC000775021407 1048307728 /nfs/dbraw/zinc/30/77/28/1048307728.db2.gz MBYIQTZHSVIBQX-INIZCTEOSA-N 0 3 315.800 4.218 20 0 DIADHN CCCC[C@@H](CC)CN1CCCn2cnc(COC(C)C)c2C1 ZINC001201566067 1048319869 /nfs/dbraw/zinc/31/98/69/1048319869.db2.gz PGCKBDQZMLXGNL-QGZVFWFLSA-N 0 3 321.509 4.230 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nc(-c2cccs2)no1 ZINC000481175855 1048321155 /nfs/dbraw/zinc/32/11/55/1048321155.db2.gz NEQHECGDVXCCRD-XQHKEYJVSA-N 0 3 305.447 4.273 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccc(-c3cnn(C)c3)s1)C2 ZINC000555340835 1048321768 /nfs/dbraw/zinc/32/17/68/1048321768.db2.gz TVNPISKXCLXVHQ-UHFFFAOYSA-N 0 3 323.465 4.015 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(NC[C@@H]2CCC(F)(F)C2)nc1 ZINC001162648874 1048326601 /nfs/dbraw/zinc/32/66/01/1048326601.db2.gz VNYYENQUSVOOMV-UKRRQHHQSA-N 0 3 309.404 4.086 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC3(CC(F)(F)C3)CC2)cn1 ZINC001205363304 1048328404 /nfs/dbraw/zinc/32/84/04/1048328404.db2.gz ABPGZWNVYDNVEC-UHFFFAOYSA-N 0 3 320.305 4.112 20 0 DIADHN COc1cc(C)cc(CNCc2ccccc2N2CCCCC2)c1 ZINC001201600990 1048329578 /nfs/dbraw/zinc/32/95/78/1048329578.db2.gz ROSAOGHKOFDFKZ-UHFFFAOYSA-N 0 3 324.468 4.284 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000481200344 1048331462 /nfs/dbraw/zinc/33/14/62/1048331462.db2.gz QFIAIFDLRKEMHD-XHDPSFHLSA-N 0 3 321.371 4.073 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1 ZINC001201618159 1048331785 /nfs/dbraw/zinc/33/17/85/1048331785.db2.gz MYQYNIGLXYOWIH-INIZCTEOSA-N 0 3 316.470 4.408 20 0 DIADHN CCOC(=O)c1cc(C(F)(F)F)ccc1NC(=N)C(C)(C)C ZINC001162665544 1048333823 /nfs/dbraw/zinc/33/38/23/1048333823.db2.gz IEYWIFMJFUSYHZ-UHFFFAOYSA-N 0 3 316.323 4.317 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@H](OCC(C)C)C1 ZINC000555380545 1048335851 /nfs/dbraw/zinc/33/58/51/1048335851.db2.gz WHNSSSGBGSIJTN-KRWDZBQOSA-N 0 3 304.478 4.014 20 0 DIADHN Cc1cc(NC2=CCN(Cc3ccccc3)CC2)n(C(C)(C)C)n1 ZINC001175504522 1048337414 /nfs/dbraw/zinc/33/74/14/1048337414.db2.gz AEBFEVLEPMJXPU-UHFFFAOYSA-N 0 3 324.472 4.148 20 0 DIADHN CC[C@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(F)cc1 ZINC001201627492 1048338738 /nfs/dbraw/zinc/33/87/38/1048338738.db2.gz YRAGMQRVLIOUQV-KRWDZBQOSA-N 0 3 310.372 4.320 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc4cnccc4c3)CC2)cc1 ZINC001175505745 1048340277 /nfs/dbraw/zinc/34/02/77/1048340277.db2.gz QWGIEWADXVAEBC-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN Cc1cc(NC2=CCN(Cc3ccccc3)CC2)n(C2CCC2)n1 ZINC001175505740 1048343404 /nfs/dbraw/zinc/34/34/04/1048343404.db2.gz QSMONENQSWAAEX-UHFFFAOYSA-N 0 3 322.456 4.118 20 0 DIADHN CCc1ccc(O)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506425 1048344799 /nfs/dbraw/zinc/34/47/99/1048344799.db2.gz ZBMCPOXXMQFQNJ-UHFFFAOYSA-N 0 3 308.425 4.156 20 0 DIADHN Oc1ccc(Cl)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506544 1048345397 /nfs/dbraw/zinc/34/53/97/1048345397.db2.gz FRFCYJOCKFXSAU-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(-c2ccccc2)cn1 ZINC001162759717 1048350570 /nfs/dbraw/zinc/35/05/70/1048350570.db2.gz UFYIIWQFOUZIGP-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN CCOc1c(C)cc(C)cc1-n1c2ccccc2nc1[C@@H](C)N ZINC001162779330 1048356472 /nfs/dbraw/zinc/35/64/72/1048356472.db2.gz XUDNIBMDYBLNME-CQSZACIVSA-N 0 3 309.413 4.061 20 0 DIADHN c1c[nH]c([C@@H]2CCCN2CCCc2cccc3ccccc32)n1 ZINC000481290062 1048357353 /nfs/dbraw/zinc/35/73/53/1048357353.db2.gz WAWDEHOIHXKMSD-IBGZPJMESA-N 0 3 305.425 4.333 20 0 DIADHN COc1ccc(-n2c3ccccc3nc2[C@H](C)N)c2ccccc12 ZINC001162776778 1048357604 /nfs/dbraw/zinc/35/76/04/1048357604.db2.gz CILAVAIJSUXTSA-ZDUSSCGKSA-N 0 3 317.392 4.207 20 0 DIADHN COc1cc(C(C)C)cc(-n2c3ccccc3nc2[C@H](C)N)c1 ZINC001162778533 1048357665 /nfs/dbraw/zinc/35/76/65/1048357665.db2.gz LZOAZALNXQKDJG-ZDUSSCGKSA-N 0 3 309.413 4.177 20 0 DIADHN Cc1cc(OC(C)C)c(-n2c3ccccc3nc2[C@H](C)N)cc1C ZINC001162778924 1048357797 /nfs/dbraw/zinc/35/77/97/1048357797.db2.gz QYYOUDHBUJTDJJ-HNNXBMFYSA-N 0 3 323.440 4.449 20 0 DIADHN CCCOc1ccc(C)c(-n2c3ccccc3nc2[C@@H](C)N)c1 ZINC001162777376 1048358003 /nfs/dbraw/zinc/35/80/03/1048358003.db2.gz AIILEBFDVSRIAR-CQSZACIVSA-N 0 3 309.413 4.142 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cccnc1-c1ccccc1 ZINC001162776880 1048358048 /nfs/dbraw/zinc/35/80/48/1048358048.db2.gz FQQATUNCUQZABK-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN Fc1ccc(C=C2CCN(C[C@H]3CCC=CO3)CC2)cc1F ZINC000775069549 1048365828 /nfs/dbraw/zinc/36/58/28/1048365828.db2.gz FENNPYHQQJGSLO-MRXNPFEDSA-N 0 3 305.368 4.137 20 0 DIADHN CCCCCCN1CCC2(CC1)CC(=O)c1cc(F)ccc1O2 ZINC001201756293 1048367483 /nfs/dbraw/zinc/36/74/83/1048367483.db2.gz HSCKPOQSAJRWHP-UHFFFAOYSA-N 0 3 319.420 4.206 20 0 DIADHN Cn1cc(CNc2ccncc2-c2ccccc2)c2ccccc21 ZINC001162835002 1048370968 /nfs/dbraw/zinc/37/09/68/1048370968.db2.gz MUNWMUDOAOELBO-UHFFFAOYSA-N 0 3 313.404 4.274 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CNc2c[nH]cc3ccnc2-3)cc1 ZINC001162840038 1048371450 /nfs/dbraw/zinc/37/14/50/1048371450.db2.gz UFQWEOXHAAGGME-UHFFFAOYSA-N 0 3 323.396 4.130 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CNc2cncc3cc[nH]c32)cc1 ZINC001162840038 1048371466 /nfs/dbraw/zinc/37/14/66/1048371466.db2.gz UFQWEOXHAAGGME-UHFFFAOYSA-N 0 3 323.396 4.130 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc3cnccc3o1)CCC2 ZINC001201777410 1048376562 /nfs/dbraw/zinc/37/65/62/1048376562.db2.gz GAHBFSATZPGEFF-GOSISDBHSA-N 0 3 308.381 4.004 20 0 DIADHN COc1ccccc1[C@H](Nc1cc(C)cc(C)n1)C(F)(F)F ZINC001162896979 1048379022 /nfs/dbraw/zinc/37/90/22/1048379022.db2.gz LMBULHOFFXPTDD-HNNXBMFYSA-N 0 3 310.319 4.423 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000481357233 1048381586 /nfs/dbraw/zinc/38/15/86/1048381586.db2.gz CZFVMUSFZOPIHN-JXFKEZNVSA-N 0 3 322.452 4.413 20 0 DIADHN CC(C)CSCCNCc1c(Cl)ccc2cccnc21 ZINC001201865120 1048382750 /nfs/dbraw/zinc/38/27/50/1048382750.db2.gz MUROMQOZJKZCSZ-UHFFFAOYSA-N 0 3 308.878 4.367 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@H]2CCC3(CCC3)CO2)c1 ZINC000716535388 1048384291 /nfs/dbraw/zinc/38/42/91/1048384291.db2.gz XLYPBDVTQDOABN-CQSZACIVSA-N 0 3 314.256 4.432 20 0 DIADHN c1csc(C(N[C@@H]2CO[C@H](C3CC3)C2)c2cccs2)c1 ZINC000667876861 1048384807 /nfs/dbraw/zinc/38/48/07/1048384807.db2.gz WPGLBJULFWYZKU-STQMWFEESA-N 0 3 305.468 4.056 20 0 DIADHN c1nc(CNC2Cc3ccccc3C2)sc1-c1ccccc1 ZINC001201900072 1048392041 /nfs/dbraw/zinc/39/20/41/1048392041.db2.gz DHCWLKPAVLNLQS-UHFFFAOYSA-N 0 3 306.434 4.067 20 0 DIADHN Brc1ccc(CN[C@@H]2CCCc3sccc32)cn1 ZINC001201881122 1048394502 /nfs/dbraw/zinc/39/45/02/1048394502.db2.gz IINBBFAJUWPJAR-GFCCVEGCSA-N 0 3 323.259 4.073 20 0 DIADHN c1cc(-c2ccc(CNCc3cc4ccccc4s3)o2)n[nH]1 ZINC000555593093 1048397066 /nfs/dbraw/zinc/39/70/66/1048397066.db2.gz QTTPDGIOLLHYMC-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN Clc1cccc(Cl)c1CNCCC[C@H]1CCCCO1 ZINC001201910140 1048399629 /nfs/dbraw/zinc/39/96/29/1048399629.db2.gz FCKMRKXEMRKBPY-GFCCVEGCSA-N 0 3 302.245 4.432 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@H](C)c3cc[nH]c(=O)c3)o2)cc1 ZINC000555608282 1048405055 /nfs/dbraw/zinc/40/50/55/1048405055.db2.gz CONDDJUFPWPKAL-CQSZACIVSA-N 0 3 322.408 4.460 20 0 DIADHN Clc1ccccc1C[C@H]1CCCCCN1Cc1c[nH]cn1 ZINC000555611423 1048406489 /nfs/dbraw/zinc/40/64/89/1048406489.db2.gz FVHILBBFBBQMNR-MRXNPFEDSA-N 0 3 303.837 4.050 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1C[C@@H](C)[C@H]1C ZINC000555636115 1048411854 /nfs/dbraw/zinc/41/18/54/1048411854.db2.gz OEHYMLFOHDNXRK-TZMCWYRMSA-N 0 3 317.864 4.042 20 0 DIADHN CC(C)[C@H](NC(C)(C)c1ccnc(Cl)c1)c1cccnc1 ZINC001163063376 1048411889 /nfs/dbraw/zinc/41/18/89/1048411889.db2.gz LAEKSISRGNENRR-INIZCTEOSA-N 0 3 303.837 4.352 20 0 DIADHN COC(=O)Nc1ccc(CNCc2c(C)oc3ccccc32)cc1 ZINC000155608932 1048414739 /nfs/dbraw/zinc/41/47/39/1048414739.db2.gz XZNIKJUGOGDRFF-UHFFFAOYSA-N 0 3 324.380 4.209 20 0 DIADHN CCOc1cccc(F)c1CNCCC1Cc2ccccc2C1 ZINC001202010429 1048416662 /nfs/dbraw/zinc/41/66/62/1048416662.db2.gz MKFNRBZIHDDWGB-UHFFFAOYSA-N 0 3 313.416 4.119 20 0 DIADHN CCc1ccccc1NC(=O)Nc1ccccc1CN1CCCC1 ZINC001187636875 1048417735 /nfs/dbraw/zinc/41/77/35/1048417735.db2.gz UGLAESHSXUBLBM-UHFFFAOYSA-N 0 3 323.440 4.489 20 0 DIADHN CCCCN(Cc1nc([C@H](C)OCC)no1)[C@H]1CCC[C@H](C)C1 ZINC000555679081 1048417962 /nfs/dbraw/zinc/41/79/62/1048417962.db2.gz JETMCSUBWAMCJF-JYJNAYRXSA-N 0 3 323.481 4.348 20 0 DIADHN CCCCN(Cc1nc([C@H](C)OCC)no1)[C@H]1CCC[C@@H](C)C1 ZINC000555679083 1048418160 /nfs/dbraw/zinc/41/81/60/1048418160.db2.gz JETMCSUBWAMCJF-PMPSAXMXSA-N 0 3 323.481 4.348 20 0 DIADHN Cc1cc(CN(C)Cc2cccc3cccnc32)cc(F)c1F ZINC001143607242 1048420514 /nfs/dbraw/zinc/42/05/14/1048420514.db2.gz NSHHVSRIDIOXLT-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN Cc1ccc(-c2noc(CN3CC(C)(C)[C@@H]4CCC[C@H]43)n2)cc1 ZINC000555712656 1048425329 /nfs/dbraw/zinc/42/53/29/1048425329.db2.gz QIJJSASXPWHBLF-HZPDHXFCSA-N 0 3 311.429 4.056 20 0 DIADHN CC1(C)CN(Cc2ccc(Oc3ccccc3)nn2)[C@@H]2CCC[C@@H]21 ZINC000555721852 1048427411 /nfs/dbraw/zinc/42/74/11/1048427411.db2.gz XHQSPZWMCNAOFC-ZWKOTPCHSA-N 0 3 323.440 4.279 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccc(-n2ccnc2)cc1 ZINC000155703365 1048429656 /nfs/dbraw/zinc/42/96/56/1048429656.db2.gz HRWRZNDDIHFPTR-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN Cc1ccc(CCCN2CCN([C@H](C)c3ccccc3)CC2)cc1 ZINC001175750668 1048434299 /nfs/dbraw/zinc/43/42/99/1048434299.db2.gz YNWVPIUSBSBTNK-HXUWFJFHSA-N 0 3 322.496 4.306 20 0 DIADHN COc1ccccc1-c1nc(CN2CCCC[C@H](C)C2)cs1 ZINC000481584622 1048435395 /nfs/dbraw/zinc/43/53/95/1048435395.db2.gz FRQRWQRPBLLGBQ-AWEZNQCLSA-N 0 3 316.470 4.441 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CO[C@@H](C3CC3)C2)c2ccccc2)c1 ZINC000667909577 1048436848 /nfs/dbraw/zinc/43/68/48/1048436848.db2.gz XKQLEOOGHMRGPM-VAMGGRTRSA-N 0 3 311.400 4.072 20 0 DIADHN Brc1ccsc1CNC1(Cc2ccccc2)CC1 ZINC001202065984 1048437163 /nfs/dbraw/zinc/43/71/63/1048437163.db2.gz GGIMEZMDORZXKP-UHFFFAOYSA-N 0 3 322.271 4.376 20 0 DIADHN c1csc(-c2ccnc(N[C@@H]3CNCc4ccsc43)c2)c1 ZINC001163221233 1048439567 /nfs/dbraw/zinc/43/95/67/1048439567.db2.gz OXTIXTAKYRJGAS-CYBMUJFWSA-N 0 3 313.451 4.128 20 0 DIADHN Cc1sc2nc([C@@H](C)N3CCCC[C@H](C)C3)nc(N)c2c1C ZINC000481612389 1048439759 /nfs/dbraw/zinc/43/97/59/1048439759.db2.gz UEZPESALJPEJJX-CMPLNLGQSA-N 0 3 318.490 4.073 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCc1ccc(F)c(F)c1 ZINC001202032205 1048440385 /nfs/dbraw/zinc/44/03/85/1048440385.db2.gz YTQRFHWMBWAEMJ-UHFFFAOYSA-N 0 3 324.802 4.017 20 0 DIADHN CC(C)P(CCNC(=O)Nc1ccc(F)cc1F)C(C)C ZINC001202031574 1048440535 /nfs/dbraw/zinc/44/05/35/1048440535.db2.gz PPCPETSAPFGNTK-UHFFFAOYSA-N 0 3 316.332 4.385 20 0 DIADHN Clc1cccc2cnc(N[C@H]3CNCc4ccsc43)cc12 ZINC001163228195 1048440797 /nfs/dbraw/zinc/44/07/97/1048440797.db2.gz JIVHRLSOTSZHJZ-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN CC(C)c1cc(N[C@@H]2CNCc3ccsc32)nc(C(C)C)n1 ZINC001163226560 1048441190 /nfs/dbraw/zinc/44/11/90/1048441190.db2.gz JEKWUJZYTHRJIG-CQSZACIVSA-N 0 3 316.474 4.041 20 0 DIADHN CCCCOc1cccc(CNCc2cnc3ccc(C)cn23)c1 ZINC000155869099 1048449643 /nfs/dbraw/zinc/44/96/43/1048449643.db2.gz ZHSFSQBSINYLSY-UHFFFAOYSA-N 0 3 323.440 4.111 20 0 DIADHN CN(C)Cc1ccnc(N[C@H]2CCC[C@H](c3ccccc3)C2)c1 ZINC001163330717 1048451933 /nfs/dbraw/zinc/45/19/33/1048451933.db2.gz DANAJXGCBXXTSR-OALUTQOASA-N 0 3 309.457 4.282 20 0 DIADHN Cc1cccc(CNCC(C)(C)C(F)(F)F)c1Br ZINC001202119831 1048455949 /nfs/dbraw/zinc/45/59/49/1048455949.db2.gz WZMPDEVSCRHVOO-UHFFFAOYSA-N 0 3 324.184 4.436 20 0 DIADHN CO[C@H](CN1CCc2cc(F)ccc2[C@@H]1C)c1ccc(F)cc1 ZINC001175882149 1048470537 /nfs/dbraw/zinc/47/05/37/1048470537.db2.gz DLGKVIOBFARUFN-ORAYPTAESA-N 0 3 317.379 4.272 20 0 DIADHN Cc1noc(C)c1CCN1CC=C(c2cccc(Cl)c2)CC1 ZINC000481765105 1048479591 /nfs/dbraw/zinc/47/95/91/1048479591.db2.gz FGZXHMLUBSLDMJ-UHFFFAOYSA-N 0 3 316.832 4.277 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CCO[C@@H](C(F)(F)F)CC2)c(C)c1 ZINC001175874607 1048489001 /nfs/dbraw/zinc/48/90/01/1048489001.db2.gz RSMUEZMBRBQXDN-LSDHHAIUSA-N 0 3 315.379 4.326 20 0 DIADHN CCn1cnc(Cl)c1CN[C@@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC001202259897 1048494332 /nfs/dbraw/zinc/49/43/32/1048494332.db2.gz ZRLILPPSPJQNIS-DZGCQCFKSA-N 0 3 321.827 4.121 20 0 DIADHN COCC1=CCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000481822450 1048496990 /nfs/dbraw/zinc/49/69/90/1048496990.db2.gz HDIKJRDMSYLLPY-UHFFFAOYSA-N 0 3 309.409 4.257 20 0 DIADHN COC(=O)C1(c2ccc(Nc3ccnc(C4CCC4)c3)cc2)CC1 ZINC001175920020 1048500234 /nfs/dbraw/zinc/50/02/34/1048500234.db2.gz XOKGEQHHCLDUMM-UHFFFAOYSA-N 0 3 322.408 4.297 20 0 DIADHN Cc1cccc(CN2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC000481839203 1048501366 /nfs/dbraw/zinc/50/13/66/1048501366.db2.gz MJMWILCJDYIBSQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000600630422 1048517662 /nfs/dbraw/zinc/51/76/62/1048517662.db2.gz QZFPQZNFDYJJMG-SJORKVTESA-N 0 3 321.468 4.058 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2cc(Cl)ccc2OC)cs1 ZINC000481930081 1048530041 /nfs/dbraw/zinc/53/00/41/1048530041.db2.gz YRWADIXUAADDNT-NSHDSACASA-N 0 3 324.877 4.261 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000481947685 1048535708 /nfs/dbraw/zinc/53/57/08/1048535708.db2.gz NKCGNQDWZGXSEK-CXAGYDPISA-N 0 3 322.399 4.292 20 0 DIADHN C[C@@H](Cc1ccc(Cl)c(C(F)(F)F)c1)NCc1ccno1 ZINC000667978673 1048535855 /nfs/dbraw/zinc/53/58/55/1048535855.db2.gz KGCJNDZLMZNSRV-VIFPVBQESA-N 0 3 318.726 4.068 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](c2ccco2)N(CC)CC)o1 ZINC000481975820 1048536774 /nfs/dbraw/zinc/53/67/74/1048536774.db2.gz UAIFXLWJLNCTRW-GDBMZVCRSA-N 0 3 304.434 4.169 20 0 DIADHN N=C(Nc1ccnc2ncccc12)C12CC3CC(CC(C3)C1)C2 ZINC001163847956 1048538841 /nfs/dbraw/zinc/53/88/41/1048538841.db2.gz GIXWFFMOEISVKU-UHFFFAOYSA-N 0 3 306.413 4.235 20 0 DIADHN CC(C)(C)OC(=O)Nc1c(Cl)cccc1Nc1ncc[nH]1 ZINC001176090923 1048549013 /nfs/dbraw/zinc/54/90/13/1048549013.db2.gz RMEFXVFCYBOBLZ-UHFFFAOYSA-N 0 3 308.769 4.154 20 0 DIADHN Cc1ccc([C@H](CN[C@@H](C)c2ccccc2F)N2CCCC2)o1 ZINC000482051071 1048549467 /nfs/dbraw/zinc/54/94/67/1048549467.db2.gz UGPUMPSYMIQZGC-YJBOKZPZSA-N 0 3 316.420 4.215 20 0 DIADHN CC(C)(C)OC(=O)Nc1cc(Cl)ccc1Nc1ncc[nH]1 ZINC001176091228 1048549890 /nfs/dbraw/zinc/54/98/90/1048549890.db2.gz VYYGXHALBGJVQR-UHFFFAOYSA-N 0 3 308.769 4.154 20 0 DIADHN Fc1cccc2c1CC[C@@]21CCCN(CCCC(F)(F)F)C1 ZINC001202444719 1048554450 /nfs/dbraw/zinc/55/44/50/1048554450.db2.gz MRVWDPHUWLLPKD-MRXNPFEDSA-N 0 3 315.354 4.448 20 0 DIADHN Cc1ccc2cc(CN3C[C@@H](C)C[C@H]3c3cccnc3)[nH]c2c1 ZINC000667986236 1048555757 /nfs/dbraw/zinc/55/57/57/1048555757.db2.gz VEPJUMNNVYQDBP-YWZLYKJASA-N 0 3 305.425 4.454 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccc2ncccc2c1 ZINC000066236460 1048556235 /nfs/dbraw/zinc/55/62/35/1048556235.db2.gz RIHUECWJBBYWNJ-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H](NC1CC(F)(c2cccc(Cl)c2)C1)c1ccccn1 ZINC000482075527 1048556455 /nfs/dbraw/zinc/55/64/55/1048556455.db2.gz BPRFJZHQYOGFCR-OLJMKKDRSA-N 0 3 304.796 4.413 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccccc1Cl ZINC000042337664 1048562846 /nfs/dbraw/zinc/56/28/46/1048562846.db2.gz POEMOLKDGZEAAV-ZBEGNZNMSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc(F)c(Cl)c1 ZINC000775204240 1048563886 /nfs/dbraw/zinc/56/38/86/1048563886.db2.gz CYOCIAKRLFHUJS-LLVKDONJSA-N 0 3 317.795 4.286 20 0 DIADHN COC[C@@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000482152744 1048565622 /nfs/dbraw/zinc/56/56/22/1048565622.db2.gz AWSDCSJIXSNBFY-CYBMUJFWSA-N 0 3 316.272 4.284 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc3c(c2)OCCCO3)c1 ZINC000482263937 1048578998 /nfs/dbraw/zinc/57/89/98/1048578998.db2.gz MOQLXBVYVAIOGF-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN CCCN(Cc1ccccc1OC)[C@H]1CCCc2cccnc21 ZINC000482280033 1048580868 /nfs/dbraw/zinc/58/08/68/1048580868.db2.gz YASFDDYNCUACNF-SFHVURJKSA-N 0 3 310.441 4.380 20 0 DIADHN CCCN(Cc1cc(O)cc(F)c1)[C@H]1CCCc2cccnc21 ZINC000482278550 1048581885 /nfs/dbraw/zinc/58/18/85/1048581885.db2.gz XVGWFXHUXCRVHB-SFHVURJKSA-N 0 3 314.404 4.216 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNC(C)(C)c1ccc(F)cc1F ZINC001176178534 1048582824 /nfs/dbraw/zinc/58/28/24/1048582824.db2.gz YROKDSVVTOQMBQ-CXAGYDPISA-N 0 3 311.416 4.385 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNC(C)(C)c1ccc(F)cc1F ZINC001176178537 1048583600 /nfs/dbraw/zinc/58/36/00/1048583600.db2.gz YROKDSVVTOQMBQ-GUYCJALGSA-N 0 3 311.416 4.385 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)C[C@@H]2CCCO2)o1 ZINC000482292378 1048583763 /nfs/dbraw/zinc/58/37/63/1048583763.db2.gz QJZQUBNMMGFHIO-HNNXBMFYSA-N 0 3 303.377 4.005 20 0 DIADHN Cc1cccc2[nH]c(CN3CC[C@@]4(C3)OCc3ccccc34)cc21 ZINC000667997586 1048585177 /nfs/dbraw/zinc/58/51/77/1048585177.db2.gz UMPJNWUKJFOABL-NRFANRHFSA-N 0 3 318.420 4.108 20 0 DIADHN Cc1cccc2[nH]c(CN3CC[C@]4(C3)OCc3ccccc34)cc21 ZINC000667997587 1048586508 /nfs/dbraw/zinc/58/65/08/1048586508.db2.gz UMPJNWUKJFOABL-OAQYLSRUSA-N 0 3 318.420 4.108 20 0 DIADHN COCc1csc(CN2CCC(c3ccsc3)CC2)c1 ZINC000668009226 1048593062 /nfs/dbraw/zinc/59/30/62/1048593062.db2.gz QKGAPPZSFUGPCQ-UHFFFAOYSA-N 0 3 307.484 4.336 20 0 DIADHN CNc1ccc(CNc2cccc(N3CCCC[C@H]3C)n2)cc1 ZINC001164152877 1048595835 /nfs/dbraw/zinc/59/58/35/1048595835.db2.gz UQFNLHVTDRZXKD-OAHLLOKOSA-N 0 3 310.445 4.114 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668042089 1048604632 /nfs/dbraw/zinc/60/46/32/1048604632.db2.gz IZISMCMYOIDNHQ-CYBMUJFWSA-N 0 3 314.376 4.459 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ncccn2)C1 ZINC001176218237 1048607334 /nfs/dbraw/zinc/60/73/34/1048607334.db2.gz OCFBFJONODASPR-RDJZCZTQSA-N 0 3 315.848 4.461 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC=CCc3ccccc3)cccc21 ZINC001176220623 1048607583 /nfs/dbraw/zinc/60/75/83/1048607583.db2.gz VSOWHAPFQPUAHL-NNJXQSOLSA-N 0 3 308.425 4.240 20 0 DIADHN CC1=C(C)CN(Cc2ccccc2OCc2cccnc2)CC1 ZINC000482462168 1048612472 /nfs/dbraw/zinc/61/24/72/1048612472.db2.gz VANIRHUNYKGTAE-UHFFFAOYSA-N 0 3 308.425 4.203 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1cc2c(s1)CCCC2 ZINC000482482895 1048616494 /nfs/dbraw/zinc/61/64/94/1048616494.db2.gz HISPUBYISJPLJR-DGCLKSJQSA-N 0 3 303.475 4.081 20 0 DIADHN CCOc1cccc(CN2CCC[C@H](C(F)(F)F)[C@@H]2C)c1 ZINC000482489602 1048619348 /nfs/dbraw/zinc/61/93/48/1048619348.db2.gz VDEBAWBFZUQITA-WFASDCNBSA-N 0 3 301.352 4.248 20 0 DIADHN CC(C)(C)[S@](=O)CCNCc1ccc(-c2cccs2)cc1 ZINC000850139138 1048620367 /nfs/dbraw/zinc/62/03/67/1048620367.db2.gz NQCOOHOXYSXSFN-OAQYLSRUSA-N 0 3 321.511 4.052 20 0 DIADHN CC(C)(C)[S@@](=O)CCNCc1ccc(-c2cccs2)cc1 ZINC000850139136 1048620934 /nfs/dbraw/zinc/62/09/34/1048620934.db2.gz NQCOOHOXYSXSFN-NRFANRHFSA-N 0 3 321.511 4.052 20 0 DIADHN C[C@@H](C[NH2+][C@H](c1nnc[n-]1)c1ccccc1)CC1CCCCC1 ZINC000482502369 1048621548 /nfs/dbraw/zinc/62/15/48/1048621548.db2.gz SZHAILHFRJZJOS-QAPCUYQASA-N 0 3 312.461 4.090 20 0 DIADHN C[C@H](C[NH2+][C@H](c1nnc[n-]1)c1ccccc1)CC1CCCCC1 ZINC000482502371 1048621595 /nfs/dbraw/zinc/62/15/95/1048621595.db2.gz SZHAILHFRJZJOS-YJBOKZPZSA-N 0 3 312.461 4.090 20 0 DIADHN CC(C)Oc1ccc(CN2CCC(OC3CCCC3)CC2)cc1 ZINC000171036944 1048623108 /nfs/dbraw/zinc/62/31/08/1048623108.db2.gz SHVXWOZVMRUNMM-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN Cc1nc(C)c(CN2CCCCC[C@@H]2c2ccncc2)s1 ZINC000159237564 1048625577 /nfs/dbraw/zinc/62/55/77/1048625577.db2.gz IRDHHRAQAAQQGM-MRXNPFEDSA-N 0 3 301.459 4.272 20 0 DIADHN CCCC1CCC(C[NH2+][C@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000482542136 1048627603 /nfs/dbraw/zinc/62/76/03/1048627603.db2.gz XSBYJXXQSXYPHK-HTWSVDAQSA-N 0 3 312.461 4.090 20 0 DIADHN Cc1c[nH]c(CNCCCCCCOc2ccc(Cl)cc2)n1 ZINC000850250468 1048628787 /nfs/dbraw/zinc/62/87/87/1048628787.db2.gz VNFFVZFRJQPMPT-UHFFFAOYSA-N 0 3 321.852 4.101 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1CO[C@H](C2CC2)C1 ZINC000668071674 1048631725 /nfs/dbraw/zinc/63/17/25/1048631725.db2.gz QTUKFJJVYCJDCW-BPUTZDHNSA-N 0 3 313.363 4.146 20 0 DIADHN Cc1ccccc1Oc1ccccc1CNCc1ccn(C)c1 ZINC000482545740 1048632321 /nfs/dbraw/zinc/63/23/21/1048632321.db2.gz YLNAJMVQGAEZNP-UHFFFAOYSA-N 0 3 306.409 4.416 20 0 DIADHN COc1ccc(CNCc2ccc3occc3c2)c(C)c1OC ZINC000850355601 1048635693 /nfs/dbraw/zinc/63/56/93/1048635693.db2.gz JSGRQHUSKYIJRP-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccc(F)nc1 ZINC000930198253 1048641651 /nfs/dbraw/zinc/64/16/51/1048641651.db2.gz ZFQWANJFQVTYML-WDEREUQCSA-N 0 3 310.319 4.234 20 0 DIADHN CCCOc1ccc(CN[C@H]2C[C@@H](O)c3ccccc32)c(C)c1 ZINC000850374620 1048641698 /nfs/dbraw/zinc/64/16/98/1048641698.db2.gz NFLPOCADMXJKEE-VQTJNVASSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)nc1)c1ccc(OC(F)F)cc1 ZINC000930198251 1048642196 /nfs/dbraw/zinc/64/21/96/1048642196.db2.gz ZFQWANJFQVTYML-MNOVXSKESA-N 0 3 310.319 4.234 20 0 DIADHN CC(C)(C)c1ccc(CN[C@@H]2C[C@@H](O)c3ccccc32)s1 ZINC000850372309 1048642389 /nfs/dbraw/zinc/64/23/89/1048642389.db2.gz SLQJQWVYCPHOTJ-HZPDHXFCSA-N 0 3 301.455 4.314 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(C(F)F)cc1 ZINC000482597727 1048643231 /nfs/dbraw/zinc/64/32/31/1048643231.db2.gz ODWZDZIDBFFLNL-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@H]2CCCC23CC3)n1 ZINC000668081825 1048643443 /nfs/dbraw/zinc/64/34/43/1048643443.db2.gz BOPRPTFGXYQDDO-HNNXBMFYSA-N 0 3 302.418 4.372 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850375989 1048644721 /nfs/dbraw/zinc/64/47/21/1048644721.db2.gz VGDBNNAFLYRAFY-HVSHDEBDSA-N 0 3 323.436 4.004 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850375990 1048645020 /nfs/dbraw/zinc/64/50/20/1048645020.db2.gz VGDBNNAFLYRAFY-SWMRXPCPSA-N 0 3 323.436 4.004 20 0 DIADHN CCCCn1nc(C)c(CNCC2(SCC)CC2)c1Cl ZINC000850394218 1048646676 /nfs/dbraw/zinc/64/66/76/1048646676.db2.gz NDYAJMKFCITUQC-UHFFFAOYSA-N 0 3 315.914 4.020 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC1CCC(C)CC1 ZINC000482630928 1048651490 /nfs/dbraw/zinc/65/14/90/1048651490.db2.gz NNEOUTFKEFVCPS-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850426725 1048652268 /nfs/dbraw/zinc/65/22/68/1048652268.db2.gz YQWJYMBLJGFCMV-GJZGRUSLSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850421458 1048652339 /nfs/dbraw/zinc/65/23/39/1048652339.db2.gz VRHIHXUFNOIDIH-CABCVRRESA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1ccc2c(c1)CCCO2 ZINC000850430719 1048654471 /nfs/dbraw/zinc/65/44/71/1048654471.db2.gz OUIYXMPRZYZOHW-ORAYPTAESA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](NC1CC(C)C1)c1cc(F)c(Br)cc1F ZINC001206697037 1048655094 /nfs/dbraw/zinc/65/50/94/1048655094.db2.gz MQXYBOTUMUVLIC-MGURRDGZSA-N 0 3 304.178 4.176 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCCO2 ZINC000850443947 1048658132 /nfs/dbraw/zinc/65/81/32/1048658132.db2.gz ULVLQCMUOBZGGW-YJYMSZOUSA-N 0 3 311.400 4.489 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc2cnccc2o1)c1ccc(F)cc1 ZINC000850444723 1048658484 /nfs/dbraw/zinc/65/84/84/1048658484.db2.gz KJYYHSCWNLJCBD-SGTLLEGYSA-N 0 3 314.360 4.005 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850448156 1048659882 /nfs/dbraw/zinc/65/98/82/1048659882.db2.gz QNUPILBODBNMNJ-HNNXBMFYSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1cncc(Br)c1 ZINC000850446380 1048660337 /nfs/dbraw/zinc/66/03/37/1048660337.db2.gz UQKJDHVFWVGKHH-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN c1cnc(N2CC[C@H](C3CCCCC3)C2)c(CN2CCCC2)c1 ZINC001165143204 1048660878 /nfs/dbraw/zinc/66/08/78/1048660878.db2.gz CHSXLGZJSXUITG-SFHVURJKSA-N 0 3 313.489 4.084 20 0 DIADHN CN(Cc1ccc(N2CC[C@H](C3CCCCC3)C2)nc1)C1CC1 ZINC001165144490 1048661341 /nfs/dbraw/zinc/66/13/41/1048661341.db2.gz LZPQOHSJVSGIPV-SFHVURJKSA-N 0 3 313.489 4.082 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)c1ccc(CN2CCCC2)cn1 ZINC001165159722 1048662631 /nfs/dbraw/zinc/66/26/31/1048662631.db2.gz JAXZZJXWNHCUNI-IBGZPJMESA-N 0 3 309.457 4.265 20 0 DIADHN CC[C@H](c1ccccc1)N(C)c1ncccc1CN1CCCC1 ZINC001165159974 1048662825 /nfs/dbraw/zinc/66/28/25/1048662825.db2.gz PWDDCCKYGTXGKZ-LJQANCHMSA-N 0 3 309.457 4.265 20 0 DIADHN C[C@H]1CN(c2cccc(C3CC3)n2)[C@@H](C)CN1Cc1ccccc1 ZINC001165203555 1048664417 /nfs/dbraw/zinc/66/44/17/1048664417.db2.gz YKGFOSPKBOBGFE-IRXDYDNUSA-N 0 3 321.468 4.058 20 0 DIADHN COc1c(C)cccc1CN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850476141 1048669318 /nfs/dbraw/zinc/66/93/18/1048669318.db2.gz IBNPLBVDBFDHDC-OAHLLOKOSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1Br ZINC000160326016 1048669612 /nfs/dbraw/zinc/66/96/12/1048669612.db2.gz BDNBOVOSSOSENH-NEPJUHHUSA-N 0 3 312.251 4.057 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)o1 ZINC001204397066 1048674376 /nfs/dbraw/zinc/67/43/76/1048674376.db2.gz QMXTVVSWMXLVEW-OAHLLOKOSA-N 0 3 311.425 4.425 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](O)c1cccc2ccccc21 ZINC000850517604 1048674956 /nfs/dbraw/zinc/67/49/56/1048674956.db2.gz XOFCOOZVADCROZ-MGPUTAFESA-N 0 3 320.436 4.181 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](O)c1cccc2ccccc21 ZINC000850517603 1048675161 /nfs/dbraw/zinc/67/51/61/1048675161.db2.gz XOFCOOZVADCROZ-FOIQADDNSA-N 0 3 320.436 4.181 20 0 DIADHN Cc1nc([C@@H]2CCCN(Cc3ccc(C(C)(C)C)cc3)C2)no1 ZINC001204397460 1048677244 /nfs/dbraw/zinc/67/72/44/1048677244.db2.gz WHROPBFVDORLTI-MRXNPFEDSA-N 0 3 313.445 4.055 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000850524098 1048677561 /nfs/dbraw/zinc/67/75/61/1048677561.db2.gz UQUOYHCUIGQDOU-HZPDHXFCSA-N 0 3 324.424 4.236 20 0 DIADHN CCc1cccc(C)c1NC(=O)Nc1cccc(CN(C)C)c1 ZINC001189975892 1048678301 /nfs/dbraw/zinc/67/83/01/1048678301.db2.gz OLVKCWHAQGQMBK-UHFFFAOYSA-N 0 3 311.429 4.263 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850528503 1048680058 /nfs/dbraw/zinc/68/00/58/1048680058.db2.gz IKLBYGQDFQBZFI-KPOBHBOGSA-N 0 3 311.425 4.303 20 0 DIADHN CC[C@H](N[C@@H]1COC2(CCC2)C1)c1cc(Cl)ccc1OC ZINC000850526553 1048680525 /nfs/dbraw/zinc/68/05/25/1048680525.db2.gz VZMPFKNWXRVQBS-ZFWWWQNUSA-N 0 3 309.837 4.101 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@H]1C[C@@H](O)c2ccccc21 ZINC000850528187 1048680643 /nfs/dbraw/zinc/68/06/43/1048680643.db2.gz JGZWBSXPOYIOBY-KFTCGEBBSA-N 0 3 311.400 4.217 20 0 DIADHN CCC[C@H](N[C@@H]1C[C@H](O)c2ccccc21)c1ccc(OC)cc1 ZINC000850528643 1048680818 /nfs/dbraw/zinc/68/08/18/1048680818.db2.gz JJJDUTSHPYARKT-ZCNNSNEGSA-N 0 3 311.425 4.304 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2C[C@@H](O)c3ccccc32)cc1C ZINC000850527010 1048680901 /nfs/dbraw/zinc/68/09/01/1048680901.db2.gz DSUUUXRWCTVLJC-ZOCIIQOWSA-N 0 3 311.425 4.141 20 0 DIADHN Cc1cc([C@H](N[C@@H]2C[C@@H](O)c3ccccc32)C2CC2)ccc1F ZINC000850527063 1048681312 /nfs/dbraw/zinc/68/13/12/1048681312.db2.gz FEJQYIWLMVDGSN-VAMGGRTRSA-N 0 3 311.400 4.353 20 0 DIADHN COCc1csc(CN[C@@H](C)c2cc(C)c(OC)cc2C)c1 ZINC000850533449 1048684333 /nfs/dbraw/zinc/68/43/33/1048684333.db2.gz YYRMOSJTMZOSDP-AWEZNQCLSA-N 0 3 319.470 4.371 20 0 DIADHN C[C@H](NC[C@]12OCCC[C@H]1C2(F)F)c1cccc(C2CC2)c1 ZINC000850532562 1048685026 /nfs/dbraw/zinc/68/50/26/1048685026.db2.gz MQTWGMZXMRPHCC-VUCTXSBTSA-N 0 3 307.384 4.029 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@]34OCCC[C@H]3C4(F)F)oc2c1 ZINC000850531821 1048685192 /nfs/dbraw/zinc/68/51/92/1048685192.db2.gz HXUSMSUXOSMPMI-JLZZUVOBSA-N 0 3 321.367 4.206 20 0 DIADHN C[C@@H]1CCN([C@H](C(=O)Nc2ccccc2)c2ccccc2)[C@H]1C ZINC000482847943 1048686756 /nfs/dbraw/zinc/68/67/56/1048686756.db2.gz ZFZQSKFYZWWIDC-GJYPPUQNSA-N 0 3 308.425 4.097 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCO2 ZINC000850543371 1048686990 /nfs/dbraw/zinc/68/69/90/1048686990.db2.gz IGBDRUVJWTXXGZ-OALUTQOASA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCO2 ZINC000850543373 1048687450 /nfs/dbraw/zinc/68/74/50/1048687450.db2.gz IGBDRUVJWTXXGZ-RTBURBONSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000850542127 1048687464 /nfs/dbraw/zinc/68/74/64/1048687464.db2.gz IDKFJSRSRKFWOD-ORAYPTAESA-N 0 3 309.409 4.055 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](OC(C)(C)C)C12CCC2 ZINC000850547870 1048688655 /nfs/dbraw/zinc/68/86/55/1048688655.db2.gz WCMXUHDBDLQFRS-MAZHCROVSA-N 0 3 320.477 4.322 20 0 DIADHN Cc1c[nH]c2cccc(NCC3CN(Cc4ccccc4)C3)c12 ZINC001165455492 1048689753 /nfs/dbraw/zinc/68/97/53/1048689753.db2.gz YKCYGZRUCSWJFH-UHFFFAOYSA-N 0 3 305.425 4.020 20 0 DIADHN CCN(C)C(=O)c1cccc(Nc2ccnc(C3CCC3)c2)c1 ZINC001176464824 1048691186 /nfs/dbraw/zinc/69/11/86/1048691186.db2.gz XAGZLVDYTYQGHP-UHFFFAOYSA-N 0 3 309.413 4.185 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@@H](C)[C@@H]1C ZINC000482863062 1048691314 /nfs/dbraw/zinc/69/13/14/1048691314.db2.gz FKUYSRDWUCVFGZ-WOPDTQHZSA-N 0 3 305.809 4.181 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2ccc(Cl)c(Cl)c2)o1 ZINC000850556472 1048691588 /nfs/dbraw/zinc/69/15/88/1048691588.db2.gz KCBWXVVJSSABTL-QMTHXVAHSA-N 0 3 314.212 4.279 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000482855497 1048691968 /nfs/dbraw/zinc/69/19/68/1048691968.db2.gz IRSUVIHHAKYJQV-CQSZACIVSA-N 0 3 302.418 4.218 20 0 DIADHN COc1cccc(CN[C@H](C)c2cn(C(C)(C)C)nc2C)c1C ZINC000850577409 1048699481 /nfs/dbraw/zinc/69/94/81/1048699481.db2.gz CPYUMISYNXAQHG-CQSZACIVSA-N 0 3 315.461 4.114 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)CC2CC2)nnn1-c1cccc(Cl)c1 ZINC000482952600 1048711488 /nfs/dbraw/zinc/71/14/88/1048711488.db2.gz UGUSKZXTOWVWMU-NEPJUHHUSA-N 0 3 318.852 4.068 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1cc(COC)cs1 ZINC000668174200 1048714278 /nfs/dbraw/zinc/71/42/78/1048714278.db2.gz GXHQGWDJMRXWOV-GOSISDBHSA-N 0 3 319.470 4.486 20 0 DIADHN CN(CCOC1CCSCC1)Cc1csc2ccccc12 ZINC001190318366 1048714689 /nfs/dbraw/zinc/71/46/89/1048714689.db2.gz MZIVKHPCTMZSKB-UHFFFAOYSA-N 0 3 321.511 4.245 20 0 DIADHN CCOC(=O)N[C@@H]1CCCN([C@H](C)c2ccc(C(C)C)cc2)C1 ZINC001190332080 1048719759 /nfs/dbraw/zinc/71/97/59/1048719759.db2.gz OZGJCLUOEIETLU-CRAIPNDOSA-N 0 3 318.461 4.082 20 0 DIADHN CC[C@@H]1CO[C@@H](C)CN1CCCc1ccc(C(F)(F)F)cc1 ZINC001190340204 1048720697 /nfs/dbraw/zinc/72/06/97/1048720697.db2.gz PLRKDTYBMMHNNL-XJKSGUPXSA-N 0 3 315.379 4.137 20 0 DIADHN CCCCN(CC(=O)N(C)[C@H](C)c1ccc(Cl)cc1)C1CC1 ZINC001190349341 1048722722 /nfs/dbraw/zinc/72/27/22/1048722722.db2.gz VKSWTYCENZQMJU-CQSZACIVSA-N 0 3 322.880 4.124 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cnc(Cl)c(C)c1 ZINC000776499396 1048724078 /nfs/dbraw/zinc/72/40/78/1048724078.db2.gz VGDGJYZMPHGZRM-MLGOLLRUSA-N 0 3 322.811 4.048 20 0 DIADHN CCO[C@@H](CN(C)Cc1cc(Cl)cc(Cl)c1)C1CC1 ZINC001190383989 1048723997 /nfs/dbraw/zinc/72/39/97/1048723997.db2.gz VKOQPLTZCLTTEH-HNNXBMFYSA-N 0 3 302.245 4.240 20 0 DIADHN CCCCN(CC(=O)N(C)[C@@H](C)c1ccc(Cl)cc1)C1CC1 ZINC001190349338 1048724264 /nfs/dbraw/zinc/72/42/64/1048724264.db2.gz VKSWTYCENZQMJU-AWEZNQCLSA-N 0 3 322.880 4.124 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccccc3Cl)cccc21 ZINC001176553807 1048724352 /nfs/dbraw/zinc/72/43/52/1048724352.db2.gz IHYYXWYHVUGXDA-MRXNPFEDSA-N 0 3 302.805 4.469 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccccc3Cl)cccc21 ZINC001176553797 1048724891 /nfs/dbraw/zinc/72/48/91/1048724891.db2.gz IHYYXWYHVUGXDA-INIZCTEOSA-N 0 3 302.805 4.469 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCCC3)O2)on1 ZINC001190366669 1048730285 /nfs/dbraw/zinc/73/02/85/1048730285.db2.gz SKQYXLTUSQWOBH-IRXDYDNUSA-N 0 3 318.461 4.392 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](c2c(F)cccc2F)N(C)C)o1 ZINC000483122521 1048736921 /nfs/dbraw/zinc/73/69/21/1048736921.db2.gz SIOSHTDPWGGUMT-LRDDRELGSA-N 0 3 322.399 4.074 20 0 DIADHN CN(Cc1ccc(-c2ccsc2)cc1)C[C@H]1CCCCO1 ZINC001190484992 1048738866 /nfs/dbraw/zinc/73/88/66/1048738866.db2.gz FHGKSSYUOZTDEN-GOSISDBHSA-N 0 3 301.455 4.416 20 0 DIADHN CC[C@@](C)(NCc1cncc(Br)c1)c1ccccc1 ZINC000775390449 1048744236 /nfs/dbraw/zinc/74/42/36/1048744236.db2.gz NHRJOQOBMKGFHX-MRXNPFEDSA-N 0 3 319.246 4.259 20 0 DIADHN CC(C)(C)n1cc(CN(Cc2ccccc2)CC2CCC2)cn1 ZINC001190502765 1048744561 /nfs/dbraw/zinc/74/45/61/1048744561.db2.gz DIEBWHBRJJVTPG-UHFFFAOYSA-N 0 3 311.473 4.440 20 0 DIADHN CC(C)c1ccc([C@H](C)N2C[C@@]3(CCOC3)OC(C)(C)C2)cc1 ZINC000483180343 1048748984 /nfs/dbraw/zinc/74/89/84/1048748984.db2.gz DHAWQDPGIBRZAA-OXJNMPFZSA-N 0 3 317.473 4.141 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@@H]1C ZINC000483201548 1048753779 /nfs/dbraw/zinc/75/37/79/1048753779.db2.gz RMMHNFHBKSYHQW-GHMZBOCLSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1ccc(CN(CCO)Cc2c(C)ccc3ccccc32)o1 ZINC000483199643 1048755001 /nfs/dbraw/zinc/75/50/01/1048755001.db2.gz NZVQGXXLQBJTAU-UHFFFAOYSA-N 0 3 309.409 4.044 20 0 DIADHN Cc1ccccc1[C@H](C)N(C)Cc1cc(Br)cn1C ZINC000483200147 1048755529 /nfs/dbraw/zinc/75/55/29/1048755529.db2.gz NJLFUCHESNBESS-ZDUSSCGKSA-N 0 3 321.262 4.289 20 0 DIADHN CCN(CCc1cccs1)Cc1nsc2ccccc21 ZINC001190561546 1048757137 /nfs/dbraw/zinc/75/71/37/1048757137.db2.gz TYPAKTYIIUKMJP-UHFFFAOYSA-N 0 3 302.468 4.422 20 0 DIADHN C[C@H]1CN(Cc2nc3cc(C(F)(F)F)ccc3o2)C(C)(C)C1 ZINC000483214890 1048759517 /nfs/dbraw/zinc/75/95/17/1048759517.db2.gz OYPYFWHVPRVDFR-SNVBAGLBSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccn(CCc3ccccc3)n2)C1 ZINC001190589838 1048762624 /nfs/dbraw/zinc/76/26/24/1048762624.db2.gz UDLRKESVDCTQHE-FXAWDEMLSA-N 0 3 311.473 4.136 20 0 DIADHN COc1ccccc1C[C@H]1CCCN1Cc1c(F)cccc1F ZINC001190597832 1048763285 /nfs/dbraw/zinc/76/32/85/1048763285.db2.gz XBYTYMCHNOUKCP-OAHLLOKOSA-N 0 3 317.379 4.181 20 0 DIADHN OC[C@H](N[C@H]1C=CCCC1)c1ccc(Cl)cc1C(F)(F)F ZINC000483262614 1048768114 /nfs/dbraw/zinc/76/81/14/1048768114.db2.gz WONPGQBAEFOHES-FZMZJTMJSA-N 0 3 319.754 4.091 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@H]1COC2(CCCCC2)O1 ZINC001190627561 1048768994 /nfs/dbraw/zinc/76/89/94/1048768994.db2.gz IPYOSLMNWXHEME-KRWDZBQOSA-N 0 3 323.864 4.238 20 0 DIADHN CO[C@@H](CN1Cc2ccccc2-c2ccccc2C1)C1CCC1 ZINC001190713144 1048775886 /nfs/dbraw/zinc/77/58/86/1048775886.db2.gz HIULNMZOGJJXIE-NRFANRHFSA-N 0 3 307.437 4.484 20 0 DIADHN CC1CC(CN(C)Cc2cc(Br)ccc2F)C1 ZINC001190760487 1048779559 /nfs/dbraw/zinc/77/95/59/1048779559.db2.gz KWMMAIPUNGWXJQ-UHFFFAOYSA-N 0 3 300.215 4.066 20 0 DIADHN COc1cc(CN(C/C=C/c2ccccc2)C2CC2)ccc1O ZINC000483362122 1048780390 /nfs/dbraw/zinc/78/03/90/1048780390.db2.gz ZROMIUFYLKXPAT-VMPITWQZSA-N 0 3 309.409 4.079 20 0 DIADHN CC1(C)CCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)CC1 ZINC001190743696 1048781310 /nfs/dbraw/zinc/78/13/10/1048781310.db2.gz QMJBYUXXUQHJIX-AWEZNQCLSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H](NC1CCCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000483360992 1048783871 /nfs/dbraw/zinc/78/38/71/1048783871.db2.gz SGRIAJFYJJYJMZ-LBPRGKRZSA-N 0 3 303.381 4.249 20 0 DIADHN CC[C@@H]1CCC[C@@H](N[C@@H](C)c2nc(-c3cccc(F)c3)no2)C1 ZINC000483358486 1048784572 /nfs/dbraw/zinc/78/45/72/1048784572.db2.gz REZMQNXQRIZSJX-WOSRLPQWSA-N 0 3 317.408 4.495 20 0 DIADHN COc1cc(CN(Cc2ccc(C)cc2C)C2CC2)ccc1O ZINC000483372719 1048785460 /nfs/dbraw/zinc/78/54/60/1048785460.db2.gz WMJTXVQOCBNEHG-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)O[C@]3(CCCOC3)C2)cc1 ZINC000483369664 1048785575 /nfs/dbraw/zinc/78/55/75/1048785575.db2.gz DUCITUAPGLCVBJ-MBOZVWFJSA-N 0 3 317.473 4.141 20 0 DIADHN COCCN(Cc1cnc(C(C)(C)C)s1)[C@@H]1CC[C@H](C)C1 ZINC000483406791 1048787501 /nfs/dbraw/zinc/78/75/01/1048787501.db2.gz MJPVIXKYAKVMCM-UONOGXRCSA-N 0 3 310.507 4.078 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)C[C@@H]1NCc2ccccc21 ZINC000851628719 1048791731 /nfs/dbraw/zinc/79/17/31/1048791731.db2.gz JLTKXWUJYVCOFI-SFHVURJKSA-N 0 3 308.425 4.157 20 0 DIADHN Cc1c(Cl)cccc1N1CCN(CCc2ccccc2)CC1 ZINC001176842815 1048795241 /nfs/dbraw/zinc/79/52/41/1048795241.db2.gz YBCAHWKFZRNSRD-UHFFFAOYSA-N 0 3 314.860 4.013 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1[C@H](C)CCC[C@@H]1C ZINC001190863799 1048796238 /nfs/dbraw/zinc/79/62/38/1048796238.db2.gz ARCVABGPRRRFFG-OKILXGFUSA-N 0 3 315.413 4.372 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1[C@H](C)CCC[C@H]1C ZINC001190863800 1048796520 /nfs/dbraw/zinc/79/65/20/1048796520.db2.gz ARCVABGPRRRFFG-ZIAGYGMSSA-N 0 3 315.413 4.372 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)C(=O)CN1CCCC2(CCCCC2)C1 ZINC001190874015 1048798903 /nfs/dbraw/zinc/79/89/03/1048798903.db2.gz ZLFONURLURRZJG-ROUUACIJSA-N 0 3 320.521 4.070 20 0 DIADHN CCS[C@H]1CCCCN(Cc2cccc(OC)c2Cl)C1 ZINC001190936266 1048800589 /nfs/dbraw/zinc/80/05/89/1048800589.db2.gz WLVKSYYRTACCII-AWEZNQCLSA-N 0 3 313.894 4.456 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](C3CCCC3)C2)c1C ZINC001176933394 1048802782 /nfs/dbraw/zinc/80/27/82/1048802782.db2.gz WSHAKVULSQWPDT-SFHVURJKSA-N 0 3 314.473 4.144 20 0 DIADHN CC[C@@H]1CCCN(Cc2coc(-c3ccccc3F)n2)CC1 ZINC001190965534 1048812188 /nfs/dbraw/zinc/81/21/88/1048812188.db2.gz IQCWKBZXRVUTMR-CQSZACIVSA-N 0 3 302.393 4.493 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000851931050 1048812906 /nfs/dbraw/zinc/81/29/06/1048812906.db2.gz JQDMUEFMUGPYAI-VTPLQMEGSA-N 0 3 317.820 4.087 20 0 DIADHN Cc1ccccc1[C@@H](C)N(C)[C@H]1CCCN(c2ccccc2)C1=O ZINC001190985868 1048813403 /nfs/dbraw/zinc/81/34/03/1048813403.db2.gz UNDHPGGNPATADS-XLIONFOSSA-N 0 3 322.452 4.183 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2c(F)cccc2F)cc1 ZINC001190985835 1048813624 /nfs/dbraw/zinc/81/36/24/1048813624.db2.gz UICSLQAYUNBIGF-INIZCTEOSA-N 0 3 301.380 4.480 20 0 DIADHN Fc1cccc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)n1 ZINC000851925685 1048814088 /nfs/dbraw/zinc/81/40/88/1048814088.db2.gz YZMRBEZNLDIAKX-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](c3ccccc3)C[C@H]2C)cc1C ZINC001191003102 1048817775 /nfs/dbraw/zinc/81/77/75/1048817775.db2.gz ATLUPWRWKOSRQM-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001191022173 1048823046 /nfs/dbraw/zinc/82/30/46/1048823046.db2.gz PZTFMNLFQFNLPN-QGZVFWFLSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CC1CC(F)(F)C1 ZINC000852126438 1048827547 /nfs/dbraw/zinc/82/75/47/1048827547.db2.gz BMRGCARHJDNRAQ-VIFPVBQESA-N 0 3 313.779 4.254 20 0 DIADHN Cc1ccc(C)c([C@H](NCC2=CCCOC2)c2ccccc2)c1 ZINC000379737448 1048827397 /nfs/dbraw/zinc/82/73/97/1048827397.db2.gz RPFQJCTUUQUPCC-OAQYLSRUSA-N 0 3 307.437 4.329 20 0 DIADHN Clc1ccc(CCNCc2cccnc2Cl)c(Cl)c1 ZINC001177092563 1048829449 /nfs/dbraw/zinc/82/94/49/1048829449.db2.gz HMPRZWJOKMELOF-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN CC(C)CCC[C@@H](C)NCc1ncc(Br)cc1F ZINC001177098198 1048830422 /nfs/dbraw/zinc/83/04/22/1048830422.db2.gz HWURDLWFYYIBQL-LLVKDONJSA-N 0 3 317.246 4.288 20 0 DIADHN CCN(CCc1ccccc1)Cc1cccc(OC)c1Cl ZINC001167032390 1048833054 /nfs/dbraw/zinc/83/30/54/1048833054.db2.gz WMKJRYYBLIJQGG-UHFFFAOYSA-N 0 3 303.833 4.413 20 0 DIADHN CCCC[C@H](CC)N[C@@H](C)c1nc(-c2ccccc2OC)no1 ZINC000483651794 1048834510 /nfs/dbraw/zinc/83/45/10/1048834510.db2.gz KKZBQCQYZJXHMS-KBPBESRZSA-N 0 3 317.433 4.365 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2cncs2)cc1 ZINC000483678906 1048838348 /nfs/dbraw/zinc/83/83/48/1048838348.db2.gz FCZWUQWPDIUVDH-KMFMINBZSA-N 0 3 302.443 4.022 20 0 DIADHN Cn1c(CNCc2ccc(C(F)(F)F)cc2)cc2ccccc21 ZINC001177153246 1048840607 /nfs/dbraw/zinc/84/06/07/1048840607.db2.gz KMGGMMRMZOMDDM-UHFFFAOYSA-N 0 3 318.342 4.487 20 0 DIADHN O[C@@H](CCN1CC2(CCCC2)[C@H]1c1ccco1)c1ccccc1 ZINC000852427451 1048840792 /nfs/dbraw/zinc/84/07/92/1048840792.db2.gz YSUXTQVERSWDOH-PKOBYXMFSA-N 0 3 311.425 4.320 20 0 DIADHN COc1cccc(CNCc2ccc(CSC)cc2)c1C ZINC001203199966 1048844393 /nfs/dbraw/zinc/84/43/93/1048844393.db2.gz MHCPQLMGERNPSV-UHFFFAOYSA-N 0 3 301.455 4.156 20 0 DIADHN Cc1cc(Br)ccc1CN1CC[C@H](c2ccco2)C1 ZINC001191048033 1048846809 /nfs/dbraw/zinc/84/68/09/1048846809.db2.gz LJILUELIEMTYMX-AWEZNQCLSA-N 0 3 320.230 4.340 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(Cl)c(C)c1 ZINC001177186254 1048847245 /nfs/dbraw/zinc/84/72/45/1048847245.db2.gz JYEHHZVGYDKVNQ-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN c1nc(CNCC2(c3ccccc3)CCCCC2)cn1C1CC1 ZINC001177226910 1048848082 /nfs/dbraw/zinc/84/80/82/1048848082.db2.gz XBHZIEYFAXIQGO-UHFFFAOYSA-N 0 3 309.457 4.210 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cnn(CC2CCC2)c1 ZINC001203235535 1048849464 /nfs/dbraw/zinc/84/94/64/1048849464.db2.gz RWQKAYGMYSSDMJ-HXUWFJFHSA-N 0 3 309.457 4.159 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2cnc(Cl)s2)C12CCC2 ZINC000852587340 1048850976 /nfs/dbraw/zinc/85/09/76/1048850976.db2.gz XDCBBXZHURTIST-RYUDHWBXSA-N 0 3 314.882 4.012 20 0 DIADHN COc1cccc(C2(NCc3cc(C)nc(Cl)c3)CCC2)c1 ZINC000852692399 1048855101 /nfs/dbraw/zinc/85/51/01/1048855101.db2.gz SJMCPNJAUJTSJU-UHFFFAOYSA-N 0 3 316.832 4.221 20 0 DIADHN Fc1cccc(Cl)c1CNCC1([C@H]2CCCCO2)CCC1 ZINC001203276310 1048855674 /nfs/dbraw/zinc/85/56/74/1048855674.db2.gz MMHAHWGVFZBMLE-MRXNPFEDSA-N 0 3 311.828 4.308 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001191123515 1048857045 /nfs/dbraw/zinc/85/70/45/1048857045.db2.gz WRHXAOSXJZKCMY-HNNXBMFYSA-N 0 3 306.475 4.002 20 0 DIADHN Cc1nc(CN[C@H](C)Cc2ccc(C(F)(F)F)cc2)cs1 ZINC000067505329 1048858111 /nfs/dbraw/zinc/85/81/11/1048858111.db2.gz INDHOZYJHXBWER-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN Cc1ccc(CN2CCC3(C[C@H](c4ccccc4)CO3)CC2)o1 ZINC001203296390 1048860282 /nfs/dbraw/zinc/86/02/82/1048860282.db2.gz OLKQPSOHFNYCFM-SFHVURJKSA-N 0 3 311.425 4.127 20 0 DIADHN O=C(Nc1ccc2c(c1)oc1ccccc12)C1(NC2CC2)CCC1 ZINC000852816958 1048860771 /nfs/dbraw/zinc/86/07/71/1048860771.db2.gz LCHIPEULZAOPPF-UHFFFAOYSA-N 0 3 320.392 4.199 20 0 DIADHN C[C@@H](CNCc1cc(Cl)ccc1F)N(C)c1ccccc1 ZINC001177262543 1048863043 /nfs/dbraw/zinc/86/30/43/1048863043.db2.gz VLWNLNIXSQPUHV-ZDUSSCGKSA-N 0 3 306.812 4.094 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccco1)Oc1cccc(Br)c1 ZINC000483814870 1048864221 /nfs/dbraw/zinc/86/42/21/1048864221.db2.gz GLTGKZCOWLDSTM-RYUDHWBXSA-N 0 3 324.218 4.160 20 0 DIADHN COc1c(C)cc(C(=O)NCCP(C(C)C)C(C)C)cc1C ZINC001191088924 1048864854 /nfs/dbraw/zinc/86/48/54/1048864854.db2.gz PCZUIJPQDXUZQG-UHFFFAOYSA-N 0 3 323.417 4.341 20 0 DIADHN COC(=O)Nc1ccc(CNCc2ccc(Cl)c(C)c2)cc1 ZINC001177281957 1048866051 /nfs/dbraw/zinc/86/60/51/1048866051.db2.gz DUDRFXLDIZTHMR-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)OCCCCO3)c(C)c1 ZINC001177323150 1048868272 /nfs/dbraw/zinc/86/82/72/1048868272.db2.gz DEINCEKALARXIC-UHFFFAOYSA-N 0 3 311.425 4.145 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(F)cc1OC(F)F)C(C)(C)C ZINC000483859984 1048871639 /nfs/dbraw/zinc/87/16/39/1048871639.db2.gz NVHXLAOGEIWTKK-HZMBPMFUSA-N 0 3 319.367 4.139 20 0 DIADHN Cc1cc(CNCc2ccc(OCc3ccccn3)cc2)cs1 ZINC001177341340 1048872895 /nfs/dbraw/zinc/87/28/95/1048872895.db2.gz IWUSDPYSRVWRJP-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN Clc1c(CN[C@@H]2CCCC23CC3)cnn1Cc1ccccc1 ZINC001203331711 1048873441 /nfs/dbraw/zinc/87/34/41/1048873441.db2.gz FZUYJHQELXKESA-MRXNPFEDSA-N 0 3 315.848 4.007 20 0 DIADHN Cc1scc(CNCc2ccc(OCC3CC3)cc2)c1C ZINC001203351973 1048878380 /nfs/dbraw/zinc/87/83/80/1048878380.db2.gz KZJFCDDXBXGKMU-UHFFFAOYSA-N 0 3 301.455 4.444 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@]2(c3ccccc3)CC2(C)C)cc1 ZINC000853091510 1048879341 /nfs/dbraw/zinc/87/93/41/1048879341.db2.gz LDLCNRCKHSTDMZ-OAQYLSRUSA-N 0 3 309.453 4.329 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3ccccc3C(F)(F)F)n2)C[C@@H]1C ZINC001191194115 1048879845 /nfs/dbraw/zinc/87/98/45/1048879845.db2.gz QPPKOTSWQZHUMQ-RYUDHWBXSA-N 0 3 324.346 4.448 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3c(c2)CCCO3)cnc1Cl ZINC000853094622 1048881221 /nfs/dbraw/zinc/88/12/21/1048881221.db2.gz HUOWBDGNKQNTBG-ZDUSSCGKSA-N 0 3 316.832 4.219 20 0 DIADHN Cc1ccc(CNCCOc2cccc(Cl)c2)c(Cl)c1 ZINC001177411498 1048882681 /nfs/dbraw/zinc/88/26/81/1048882681.db2.gz CUVOOQZTHRUDML-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN C[C@@H](NCc1scnc1C1CC1)c1ccc2c(c1)CCCO2 ZINC000853095516 1048883221 /nfs/dbraw/zinc/88/32/21/1048883221.db2.gz ZLSDLVZSEUWZEG-GFCCVEGCSA-N 0 3 314.454 4.196 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001191201717 1048883432 /nfs/dbraw/zinc/88/34/32/1048883432.db2.gz CDXVZGRVTAHNCN-MJGOQNOKSA-N 0 3 305.462 4.109 20 0 DIADHN Cc1cc(CN[C@@]23C[C@@H]2CCCC3)c(Br)cc1F ZINC001203369604 1048884492 /nfs/dbraw/zinc/88/44/92/1048884492.db2.gz SVTJPKLGYSIWGG-WFASDCNBSA-N 0 3 312.226 4.319 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000853239475 1048888184 /nfs/dbraw/zinc/88/81/84/1048888184.db2.gz BRYWHFMWEVBQQX-XOBRGWDASA-N 0 3 323.436 4.445 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@H](C)[C@@H]3C)co2)cc1 ZINC001191182057 1048891546 /nfs/dbraw/zinc/89/15/46/1048891546.db2.gz OXNZQNFCKWNIHI-GJZGRUSLSA-N 0 3 314.429 4.361 20 0 DIADHN COc1ccc(CN[C@H](C)COc2cccc(C)c2)c(Cl)c1 ZINC001177437289 1048891996 /nfs/dbraw/zinc/89/19/96/1048891996.db2.gz OPGKTVSWEYQDAM-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN CCc1cccc2cc(CNCCOc3ccccc3C)oc21 ZINC001177438098 1048895430 /nfs/dbraw/zinc/89/54/30/1048895430.db2.gz XZHFTVKYELUZJW-UHFFFAOYSA-N 0 3 309.409 4.472 20 0 DIADHN C[C@H](COc1cccc(F)c1)NCc1cnc(C(C)(C)C)s1 ZINC001177446457 1048895465 /nfs/dbraw/zinc/89/54/65/1048895465.db2.gz OUMMBTGOUFRSCW-GFCCVEGCSA-N 0 3 322.449 4.137 20 0 DIADHN Cc1coc(CN[C@@H](C)COc2ccccc2C(F)(F)F)c1 ZINC001177497026 1048901815 /nfs/dbraw/zinc/90/18/15/1048901815.db2.gz WXAKXOQHJXGHDT-LBPRGKRZSA-N 0 3 313.319 4.164 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](CF)c2ccc(F)cc2)cc1 ZINC001203426982 1048901706 /nfs/dbraw/zinc/90/17/06/1048901706.db2.gz CGIZUPCKCXHKBE-AUUYWEPGSA-N 0 3 319.395 4.456 20 0 DIADHN Cc1ccoc1CN[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1 ZINC001203447465 1048903178 /nfs/dbraw/zinc/90/31/78/1048903178.db2.gz OOXFUYDANQYHNC-WBVHZDCISA-N 0 3 305.805 4.251 20 0 DIADHN COc1cccc(CN2CCCC[C@H]2c2ccccc2)c1OC ZINC001203439647 1048904459 /nfs/dbraw/zinc/90/44/59/1048904459.db2.gz QSDLHLSFAORBKK-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cnc(-c3ccccc3)[nH]2)c1 ZINC001177554466 1048907203 /nfs/dbraw/zinc/90/72/03/1048907203.db2.gz ZYORSJMYJVMTMZ-OAHLLOKOSA-N 0 3 321.424 4.326 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H]2CCC3(CCC3)O2)c1Cl ZINC001203470663 1048908497 /nfs/dbraw/zinc/90/84/97/1048908497.db2.gz HZCBUDKLDBCRGV-CYBMUJFWSA-N 0 3 314.256 4.493 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1N[C@@H]1CCOC2(CCCCC2)C1 ZINC001203464062 1048909017 /nfs/dbraw/zinc/90/90/17/1048909017.db2.gz KCMUSAAFFJDYOC-QRQLOZEOSA-N 0 3 317.448 4.484 20 0 DIADHN COc1ccc(CN(C)CCc2ccc(Cl)cc2)c(OC)c1 ZINC001203480684 1048911751 /nfs/dbraw/zinc/91/17/51/1048911751.db2.gz DERKNUXZCNRMRV-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CC1(C)C[C@@]1(C)CNCc1c(F)cc(Br)cc1F ZINC001203510918 1048914196 /nfs/dbraw/zinc/91/41/96/1048914196.db2.gz DOEJSTDXWIPUIG-AWEZNQCLSA-N 0 3 318.205 4.253 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001177576247 1048918776 /nfs/dbraw/zinc/91/87/76/1048918776.db2.gz UGSACDMQVDGRQE-DZGCQCFKSA-N 0 3 314.498 4.297 20 0 DIADHN Fc1ccc(CNCc2ccc3scnc3c2)cc1Cl ZINC001177590618 1048920282 /nfs/dbraw/zinc/92/02/82/1048920282.db2.gz UQRTZFOQGFOPKU-UHFFFAOYSA-N 0 3 306.793 4.379 20 0 DIADHN CCOc1cccc(F)c1CNCC1(c2ccccc2C)CC1 ZINC001177603792 1048922161 /nfs/dbraw/zinc/92/21/61/1048922161.db2.gz CRFHJLHUNPDASM-UHFFFAOYSA-N 0 3 313.416 4.354 20 0 DIADHN O[C@H](CNCc1cc(Cl)ccc1Cl)C1CCCCC1 ZINC001177624320 1048925454 /nfs/dbraw/zinc/92/54/54/1048925454.db2.gz JDCUKSVATOIDGW-OAHLLOKOSA-N 0 3 302.245 4.024 20 0 DIADHN COc1cccc2cc(CNC/C=C\c3ccccc3OC)oc21 ZINC001177631604 1048926592 /nfs/dbraw/zinc/92/65/92/1048926592.db2.gz QTAWGRFVVYLEJU-TWGQIWQCSA-N 0 3 323.392 4.253 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1cn(-c2ccccc2C)nn1 ZINC001177656332 1048931069 /nfs/dbraw/zinc/93/10/69/1048931069.db2.gz JMDDMZZOCOPHCM-WMZOPIPTSA-N 0 3 312.461 4.024 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OC(F)F)c2)[C@@H]1c1ccccc1 ZINC001191399727 1048933832 /nfs/dbraw/zinc/93/38/32/1048933832.db2.gz LJQQCBHDMSDWTI-DYVFJYSZSA-N 0 3 303.352 4.481 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(F)cc1C)c1cc(F)ccc1F ZINC000775453986 1048933985 /nfs/dbraw/zinc/93/39/85/1048933985.db2.gz JNLDXUXPAMKFFJ-MSOLQXFVSA-N 0 3 323.358 4.187 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000194851965 1048934529 /nfs/dbraw/zinc/93/45/29/1048934529.db2.gz ZEBCNIKNFINPAT-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN O[C@@H](CNC1(c2cccc(Cl)c2)CC1)c1ccc(Cl)cc1 ZINC000775455194 1048938404 /nfs/dbraw/zinc/93/84/04/1048938404.db2.gz QAYSMGMTZIUCLI-INIZCTEOSA-N 0 3 322.235 4.306 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCCc1ccc(C(C)C)cc1 ZINC001177816510 1048942394 /nfs/dbraw/zinc/94/23/94/1048942394.db2.gz PAVVHKQWJHHUOR-UHFFFAOYSA-N 0 3 319.880 4.471 20 0 DIADHN COC/C(C)=C\CN(Cc1cc(F)ccc1F)C1CCCC1 ZINC001191443605 1048946657 /nfs/dbraw/zinc/94/66/57/1048946657.db2.gz CSGUNMXWEMQLAY-ZROIWOOFSA-N 0 3 309.400 4.302 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1csc2ccccc12 ZINC001177803702 1048947864 /nfs/dbraw/zinc/94/78/64/1048947864.db2.gz DKGGKLFHPWSKLX-MRXNPFEDSA-N 0 3 309.438 4.167 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1cccc2ccccc21 ZINC001177805090 1048948283 /nfs/dbraw/zinc/94/82/83/1048948283.db2.gz YWZITZZWTGWYAZ-IBGZPJMESA-N 0 3 303.409 4.105 20 0 DIADHN C[C@H](CN[C@H](C)c1ccco1)Oc1ccccc1Br ZINC000484481545 1048949430 /nfs/dbraw/zinc/94/94/30/1048949430.db2.gz FKXZKZDEAHVLSN-VXGBXAGGSA-N 0 3 324.218 4.160 20 0 DIADHN CCNc1nc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)cs1 ZINC001191475964 1048950424 /nfs/dbraw/zinc/95/04/24/1048950424.db2.gz QKCFYIQLPYEERP-HOCLYGCPSA-N 0 3 315.486 4.028 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CC)c2nc(C)cs2)C1(CC)CC ZINC000776724243 1048956462 /nfs/dbraw/zinc/95/64/62/1048956462.db2.gz ZVOXSCVKDRGAQV-RBSFLKMASA-N 0 3 310.507 4.476 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2F)s1)c1cnn(C)c1 ZINC001177913350 1048959467 /nfs/dbraw/zinc/95/94/67/1048959467.db2.gz WQRFIULCXZGQNL-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN Cc1cc(CNCc2ccc(-c3ccccc3)c(Cl)c2)n[nH]1 ZINC001177918860 1048960962 /nfs/dbraw/zinc/96/09/62/1048960962.db2.gz DYDDROKXQUQYEZ-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN C[C@H](NCc1ccc(-c2cccc(F)c2)s1)c1cnn(C)c1 ZINC001177910911 1048961127 /nfs/dbraw/zinc/96/11/27/1048961127.db2.gz FGCFKMZYYPJBEN-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC001203683184 1048961631 /nfs/dbraw/zinc/96/16/31/1048961631.db2.gz ROYNRHWZVUQWJJ-CABNGKKXSA-N 0 3 302.443 4.093 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1CCC[C@H](SCC)C1 ZINC001177951094 1048970863 /nfs/dbraw/zinc/97/08/63/1048970863.db2.gz ZSQLWLOBMLAGRQ-KBPBESRZSA-N 0 3 311.466 4.378 20 0 DIADHN Cc1ccccc1CN1CC2(CC(OCc3ccccc3)C2)C1 ZINC001203709621 1048973839 /nfs/dbraw/zinc/97/38/39/1048973839.db2.gz LJNGMTAQLLZGDJ-UHFFFAOYSA-N 0 3 307.437 4.176 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1cn2cc(Cl)ccc2n1 ZINC001177972366 1048974006 /nfs/dbraw/zinc/97/40/06/1048974006.db2.gz IRDPKFCZCFXOJZ-LSDHHAIUSA-N 0 3 323.893 4.142 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1cn2cc(Cl)ccc2n1 ZINC001177972363 1048974682 /nfs/dbraw/zinc/97/46/82/1048974682.db2.gz IRDPKFCZCFXOJZ-CABCVRRESA-N 0 3 323.893 4.142 20 0 DIADHN COc1ccc(CN2CC[C@@](CF)(C(F)(F)F)C2)c(C)c1C ZINC001203716910 1048977036 /nfs/dbraw/zinc/97/70/36/1048977036.db2.gz PCRXZXGPGOBSLJ-HNNXBMFYSA-N 0 3 319.342 4.036 20 0 DIADHN CN1CCc2ccc(Nc3ccc(N4CCCCC4)cc3)cc2C1 ZINC001203724205 1048980025 /nfs/dbraw/zinc/98/00/25/1048980025.db2.gz IROMRDDRFWDXOG-UHFFFAOYSA-N 0 3 321.468 4.408 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(=O)c3ccccc3)C2)c(C)c1C ZINC001203726710 1048982963 /nfs/dbraw/zinc/98/29/63/1048982963.db2.gz JSJGXBIUHAHNPR-LJQANCHMSA-N 0 3 323.436 4.017 20 0 DIADHN Cc1sc(CNC(C)(C)/C=C/Cl)cc1Br ZINC001203733039 1048984371 /nfs/dbraw/zinc/98/43/71/1048984371.db2.gz FJJLSMDNRWYYSN-SNAWJCMRSA-N 0 3 308.672 4.440 20 0 DIADHN CC1(C)C[C@@H](NCc2cc3ccccc3[nH]c2=O)c2ccccc21 ZINC001178081061 1048988360 /nfs/dbraw/zinc/98/83/60/1048988360.db2.gz BRMJZLQPGUVTAO-LJQANCHMSA-N 0 3 318.420 4.453 20 0 DIADHN Cc1cc(NC(=O)Nc2ccccc2CN(C)C)ccc1Cl ZINC001191771462 1048990424 /nfs/dbraw/zinc/99/04/24/1048990424.db2.gz GZSPOWXCFFMCEV-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCc1onc(C)c1CNCCCOc1ccc2ccccc2c1 ZINC001178157296 1048992175 /nfs/dbraw/zinc/99/21/75/1048992175.db2.gz UBEPQNXKSXEUTG-UHFFFAOYSA-N 0 3 324.424 4.257 20 0 DIADHN c1ccc([C@@H](NCc2cccc(-c3cccnc3)c2)C2CC2)nc1 ZINC001203770349 1048993840 /nfs/dbraw/zinc/99/38/40/1048993840.db2.gz DNBOHKLLHWEPKB-NRFANRHFSA-N 0 3 315.420 4.385 20 0 DIADHN Clc1ccc(CN2CCC[C@H](Nc3ccccc3)C2)cc1 ZINC001191741643 1048994361 /nfs/dbraw/zinc/99/43/61/1048994361.db2.gz JNMBGAUKVUSOJE-SFHVURJKSA-N 0 3 300.833 4.417 20 0 DIADHN CC(C)(C)c1nc(CNCC2(C)Cc3ccccc3C2)cs1 ZINC001178188416 1048995571 /nfs/dbraw/zinc/99/55/71/1048995571.db2.gz ZXXDDCVQXPECFN-UHFFFAOYSA-N 0 3 314.498 4.335 20 0 DIADHN Fc1ccc(S[C@H]2CCN(Cc3cccc(F)c3)C2)cc1 ZINC001203790234 1048998149 /nfs/dbraw/zinc/99/81/49/1048998149.db2.gz OZIPHPCHQQTESY-KRWDZBQOSA-N 0 3 305.393 4.331 20 0 DIADHN c1coc(-c2nnc(CN[C@H]3CCCC[C@@H]3c3ccccc3)o2)c1 ZINC000484646214 1048998886 /nfs/dbraw/zinc/99/88/86/1048998886.db2.gz ICEXWDCRVHVIJG-CVEARBPZSA-N 0 3 323.396 4.146 20 0 DIADHN c1cc(-c2noc(CN[C@@H]3CCCC[C@@H]3c3ccccc3)n2)co1 ZINC000484634441 1048999543 /nfs/dbraw/zinc/99/95/43/1048999543.db2.gz CATXXTAOXPARBN-IAGOWNOFSA-N 0 3 323.396 4.146 20 0 DIADHN [O-]c1ccc(Nc2ccc(C[NH+]3CCCC3)cc2)c(F)c1F ZINC001203807194 1049001590 /nfs/dbraw/zinc/00/15/90/1049001590.db2.gz MFYTXUTVXNPFBZ-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN Fc1ccc(N2CCCN(Cc3cccc(Cl)c3)CC2)cc1 ZINC001203802109 1049002204 /nfs/dbraw/zinc/00/22/04/1049002204.db2.gz HAJFIZBZDUGWOE-UHFFFAOYSA-N 0 3 318.823 4.191 20 0 DIADHN C[C@@H](NCCSCc1ccccc1)c1ccc(Cl)cn1 ZINC000775484504 1049002526 /nfs/dbraw/zinc/00/25/26/1049002526.db2.gz CYWGETLXXWJPRJ-CYBMUJFWSA-N 0 3 306.862 4.319 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@]4(CCCO4)C3)c2)cc1 ZINC001203810715 1049004797 /nfs/dbraw/zinc/00/47/97/1049004797.db2.gz JCMLQTOVJIBVJJ-HXUWFJFHSA-N 0 3 309.409 4.234 20 0 DIADHN Cn1cc(-c2ccc(C3CCN(Cc4ccco4)CC3)cc2)cn1 ZINC001203289977 1049006222 /nfs/dbraw/zinc/00/62/22/1049006222.db2.gz QDJIRACQHTUTFK-UHFFFAOYSA-N 0 3 321.424 4.060 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(C)cc(C)n2)C1(CC)CC ZINC001191821882 1049007704 /nfs/dbraw/zinc/00/77/04/1049007704.db2.gz RGJOPUXGVPIRPL-ZWKOTPCHSA-N 0 3 304.478 4.114 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@H]1CCCC(C)(C)O1)CCC2 ZINC001191862569 1049007878 /nfs/dbraw/zinc/00/78/78/1049007878.db2.gz XRAJWCQQPCFKQU-HIFRSBDPSA-N 0 3 308.491 4.108 20 0 DIADHN COc1cccc(CN2CCC[C@@H](Oc3ccc(C)cc3)C2)c1 ZINC001203822678 1049008699 /nfs/dbraw/zinc/00/86/99/1049008699.db2.gz YORRQMDMYPSPPF-HXUWFJFHSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC1(C2CC2)CCC1 ZINC001178272358 1049009744 /nfs/dbraw/zinc/00/97/44/1049009744.db2.gz QOGYWFMUBNPJPP-UHFFFAOYSA-N 0 3 302.443 4.381 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000194984414 1049011863 /nfs/dbraw/zinc/01/18/63/1049011863.db2.gz TZZLDIWMBYAIIT-CXAGYDPISA-N 0 3 303.425 4.480 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)C[C@H]1C[C@@H](C)O[C@@H](C)C1 ZINC001191929195 1049017985 /nfs/dbraw/zinc/01/79/85/1049017985.db2.gz PFWDZWFNJCOEPV-BARDWOONSA-N 0 3 318.486 4.493 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)cc1O ZINC001203869634 1049019561 /nfs/dbraw/zinc/01/95/61/1049019561.db2.gz IXNLDJLYKXSDMI-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(CC(C)C)nc2)cc1C ZINC001203873116 1049021008 /nfs/dbraw/zinc/02/10/08/1049021008.db2.gz XRWIOMBUWVTDOX-MRXNPFEDSA-N 0 3 312.457 4.448 20 0 DIADHN Fc1ccc(CNC/C=C\c2ccccc2)cc1Br ZINC001178321805 1049021680 /nfs/dbraw/zinc/02/16/80/1049021680.db2.gz MUTTZVYFVFYSTP-DAXSKMNVSA-N 0 3 320.205 4.391 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cccc(Cl)n2)C1(C)C ZINC001191988045 1049023556 /nfs/dbraw/zinc/02/35/56/1049023556.db2.gz VLIOLUIGLXSHSJ-LSDHHAIUSA-N 0 3 310.869 4.151 20 0 DIADHN COc1cccc(C(=O)C2CCN(Cc3cccc(C)c3)CC2)c1 ZINC001203887801 1049023758 /nfs/dbraw/zinc/02/37/58/1049023758.db2.gz JYIDGOFUHDHRKU-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN CC[C@](C)(NC[C@H]1CCCC2(CCC2)O1)c1nc(C)cs1 ZINC001191969336 1049025349 /nfs/dbraw/zinc/02/53/49/1049025349.db2.gz NCWFBJNVEKPVHD-ZBFHGGJFSA-N 0 3 308.491 4.158 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3cccs3)cc2)CC1 ZINC000776803903 1049026817 /nfs/dbraw/zinc/02/68/17/1049026817.db2.gz APOILRHHWLZASW-OAHLLOKOSA-N 0 3 315.486 4.063 20 0 DIADHN CCCc1ccc(N[C@H]2CCN(Cc3ccccn3)C[C@@H]2C)cc1 ZINC000776803751 1049026940 /nfs/dbraw/zinc/02/69/40/1049026940.db2.gz UUUPPSWLDGPQRN-UWJYYQICSA-N 0 3 323.484 4.357 20 0 DIADHN C=C/C=C\CCN(CCC)CC(=O)Nc1ccc(Cl)cc1 ZINC001192013344 1049032032 /nfs/dbraw/zinc/03/20/32/1049032032.db2.gz MHGJIRVKYRVJQA-WAYWQWQTSA-N 0 3 306.837 4.123 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc(C)c(C)cc1C)CCO2 ZINC001178441077 1049032271 /nfs/dbraw/zinc/03/22/71/1049032271.db2.gz UYQACBGFKYMYMM-LJQANCHMSA-N 0 3 311.425 4.234 20 0 DIADHN Clc1ccc(CN2CCC3(Cc4ccccc4O3)CC2)cc1 ZINC001203923911 1049033223 /nfs/dbraw/zinc/03/32/23/1049033223.db2.gz SNOIZIPEJIKXIN-UHFFFAOYSA-N 0 3 313.828 4.310 20 0 DIADHN Cc1cccnc1[C@@H](NCc1c[nH]c(=O)c2ccccc12)C(C)C ZINC001192032567 1049033780 /nfs/dbraw/zinc/03/37/80/1049033780.db2.gz TWDBUNVFLFBTAQ-SFHVURJKSA-N 0 3 321.424 4.131 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001178493443 1049039719 /nfs/dbraw/zinc/03/97/19/1049039719.db2.gz ZFQRRRCSIKDRCY-QWRGUYRKSA-N 0 3 320.260 4.294 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)C3CCC3)cs2)cc1 ZINC001178426063 1049037147 /nfs/dbraw/zinc/03/71/47/1049037147.db2.gz QWGMTDRFUKWJEV-GFCCVEGCSA-N 0 3 302.443 4.097 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2cccc(OC(C)(C)C)c2)C1 ZINC001178426056 1049037682 /nfs/dbraw/zinc/03/76/82/1049037682.db2.gz QQOREAQWMQPRHU-FQEVSTJZSA-N 0 3 324.468 4.140 20 0 DIADHN CCOc1cc(F)cc(CN[C@H]2CCCc3sccc32)c1 ZINC001178426067 1049037768 /nfs/dbraw/zinc/03/77/68/1049037768.db2.gz RDJBATAMBRJINF-INIZCTEOSA-N 0 3 305.418 4.453 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCOc3ccc(F)cc32)cc1 ZINC001178493225 1049038896 /nfs/dbraw/zinc/03/88/96/1049038896.db2.gz UFYAXLQEIFJNJU-NLVOMGJBSA-N 0 3 301.336 4.091 20 0 DIADHN FC(F)COc1ccccc1CNCc1cccc2cc[nH]c21 ZINC001178497070 1049039890 /nfs/dbraw/zinc/03/98/90/1049039890.db2.gz WNXKMNQIFSGKOH-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN Fc1cc(CNCc2ccc3c(c2)CCCN3)ccc1C(F)F ZINC000904355366 1049041739 /nfs/dbraw/zinc/04/17/39/1049041739.db2.gz QUHZOYHFOBAKEX-UHFFFAOYSA-N 0 3 320.358 4.411 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@]3(C)CCO[C@@H]3C3CC3)o2)cc1 ZINC001178463033 1049043268 /nfs/dbraw/zinc/04/32/68/1049043268.db2.gz LKNUDENVXCSMHD-WOJBJXKFSA-N 0 3 311.425 4.302 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@@H]1CCO[C@@H](C)C1 ZINC001178473284 1049043304 /nfs/dbraw/zinc/04/33/04/1049043304.db2.gz OYVROVKYWSREKM-GXTWGEPZSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC001192159191 1049044329 /nfs/dbraw/zinc/04/43/29/1049044329.db2.gz OWPQYIAZGDNRJB-NRFANRHFSA-N 0 3 322.452 4.373 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001192157228 1049044446 /nfs/dbraw/zinc/04/44/46/1049044446.db2.gz GHPUGHXVHMHSBO-QGZVFWFLSA-N 0 3 315.436 4.412 20 0 DIADHN C[C@@](O)(CNCc1cc(Cl)ccc1Cl)C1CCCCC1 ZINC001178483001 1049044598 /nfs/dbraw/zinc/04/45/98/1049044598.db2.gz ORDIVJUOMUYUID-MRXNPFEDSA-N 0 3 316.272 4.414 20 0 DIADHN CC1(C)CCC[C@@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001203954412 1049044966 /nfs/dbraw/zinc/04/49/66/1049044966.db2.gz RZXVCNFVMNNJGP-SFHVURJKSA-N 0 3 317.473 4.445 20 0 DIADHN Fc1ccccc1COc1cccc(CNC/C=C/Cl)c1 ZINC001203960721 1049044993 /nfs/dbraw/zinc/04/49/93/1049044993.db2.gz IJBDRRYYOCLPBH-RUDMXATFSA-N 0 3 305.780 4.247 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2ccccc2OC)cc1 ZINC001203967348 1049046176 /nfs/dbraw/zinc/04/61/76/1049046176.db2.gz ITWSAPPDJRXSNJ-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001192173220 1049048321 /nfs/dbraw/zinc/04/83/21/1049048321.db2.gz HGGWFDAJUYWUTH-WMLDXEAASA-N 0 3 320.502 4.248 20 0 DIADHN C[C@@](O)(CCNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC001178542389 1049054120 /nfs/dbraw/zinc/05/41/20/1049054120.db2.gz JMVRPUVVQUMSGO-QGZVFWFLSA-N 0 3 324.251 4.381 20 0 DIADHN Cc1n[nH]c(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)c1C ZINC001178536794 1049054333 /nfs/dbraw/zinc/05/43/33/1049054333.db2.gz CNPKQLNUCUEQJI-HXUWFJFHSA-N 0 3 317.436 4.471 20 0 DIADHN CC1(C)SC[C@H]1NCc1ccccc1OCc1ccccc1 ZINC001178549518 1049054846 /nfs/dbraw/zinc/05/48/46/1049054846.db2.gz KZWHCIPYOQQSTI-GOSISDBHSA-N 0 3 313.466 4.249 20 0 DIADHN C[C@@]1(CNCc2ccccc2OCC(F)F)CC1(Cl)Cl ZINC001178748016 1049081717 /nfs/dbraw/zinc/08/17/17/1049081717.db2.gz RCNRFVYDDFQEOT-ZDUSSCGKSA-N 0 3 324.198 4.004 20 0 DIADHN FC1(F)CCC(CCNCc2nc(-c3ccccc3)c[nH]2)CC1 ZINC001204002032 1049057490 /nfs/dbraw/zinc/05/74/90/1049057490.db2.gz ITVPYHVPOICODD-UHFFFAOYSA-N 0 3 319.399 4.382 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H]3C[C@H]4CCC[C@@H]43)n2)c1 ZINC001204002988 1049058917 /nfs/dbraw/zinc/05/89/17/1049058917.db2.gz ZPCLIRZNHRBEHL-UHOFOFEASA-N 0 3 314.454 4.097 20 0 DIADHN Cn1nc2c(c1CN[C@H]1CC(C)(C)CCc3ccccc31)CCC2 ZINC001178553013 1049059134 /nfs/dbraw/zinc/05/91/34/1049059134.db2.gz KBDJRKQGWLFDCI-IBGZPJMESA-N 0 3 323.484 4.102 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CC2CC(c3ccccc3)C2)c1 ZINC001178553706 1049059326 /nfs/dbraw/zinc/05/93/26/1049059326.db2.gz SCKNDZCYLLTLKR-UHFFFAOYSA-N 0 3 301.817 4.329 20 0 DIADHN CC1(C)SC[C@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC001178553393 1049059404 /nfs/dbraw/zinc/05/94/04/1049059404.db2.gz PFKGXQNUADZBJH-CQSZACIVSA-N 0 3 309.381 4.208 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H]3C[C@@H]4CCC[C@@H]34)n2)c1 ZINC001204002984 1049059500 /nfs/dbraw/zinc/05/95/00/1049059500.db2.gz ZPCLIRZNHRBEHL-APHBMKBZSA-N 0 3 314.454 4.097 20 0 DIADHN CC[C@H](CSC)NCc1csc(-c2ccc(F)cc2)n1 ZINC000163864390 1049061570 /nfs/dbraw/zinc/06/15/70/1049061570.db2.gz PUTOBIRCCHLZES-CYBMUJFWSA-N 0 3 310.463 4.180 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)ccc1Cl ZINC000780759229 1049061915 /nfs/dbraw/zinc/06/19/15/1049061915.db2.gz DMZXCVQBDSCXIP-WBVHZDCISA-N 0 3 319.832 4.330 20 0 DIADHN OC[C@H](CC1CCCC1)NCc1ccc(Oc2ccccc2)o1 ZINC001178571161 1049063524 /nfs/dbraw/zinc/06/35/24/1049063524.db2.gz JPDJUBYSECBBBA-INIZCTEOSA-N 0 3 315.413 4.103 20 0 DIADHN Cc1ccsc1CN1CCC(Oc2cccc(Cl)n2)CC1 ZINC001204023481 1049067052 /nfs/dbraw/zinc/06/70/52/1049067052.db2.gz KVOKUBFBIWJXBC-UHFFFAOYSA-N 0 3 322.861 4.148 20 0 DIADHN c1cc(CN2CCC[C@@]3(C[C@@H](c4ccccc4)CO3)C2)cs1 ZINC001204036171 1049069813 /nfs/dbraw/zinc/06/98/13/1049069813.db2.gz OUIPCOJHGOBKMX-RTBURBONSA-N 0 3 313.466 4.287 20 0 DIADHN C[C@@H]1CN(C)[C@@H](C)CN1Cc1ccc(Cl)c2ccccc12 ZINC001192314928 1049075856 /nfs/dbraw/zinc/07/58/56/1049075856.db2.gz MARCKTCEPBDONM-UONOGXRCSA-N 0 3 302.849 4.018 20 0 DIADHN Cc1cccc(CN(C)CCc2ccc(C(F)(F)F)cc2)n1 ZINC001204068633 1049076598 /nfs/dbraw/zinc/07/65/98/1049076598.db2.gz CZADZIWRBAUMPK-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCC[C@]1(NCc2ccc(Cl)c(C)c2Cl)CCOC1 ZINC001178700658 1049076879 /nfs/dbraw/zinc/07/68/79/1049076879.db2.gz WXOOPPSOLWYYST-HNNXBMFYSA-N 0 3 302.245 4.351 20 0 DIADHN CC[C@H](NCc1cc(Cl)c(OC(C)C)cc1Cl)[C@@H](C)O ZINC001178709239 1049078598 /nfs/dbraw/zinc/07/85/98/1049078598.db2.gz DNJGWARCODCWPV-YGRLFVJLSA-N 0 3 320.260 4.030 20 0 DIADHN c1ccc2ncc(CC3CCN(Cc4ccncc4)CC3)cc2c1 ZINC001204081891 1049078841 /nfs/dbraw/zinc/07/88/41/1049078841.db2.gz LGXJVCYBHCFCBX-UHFFFAOYSA-N 0 3 317.436 4.085 20 0 DIADHN FC(F)(F)c1cccc(C2CCN(Cc3ccncc3)CC2)c1 ZINC001204082669 1049079978 /nfs/dbraw/zinc/07/99/78/1049079978.db2.gz JUEFVEVXOYMKLX-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN c1ccc2c(CN3CCOC[C@@H]3C3CCCCC3)ccnc2c1 ZINC001204090716 1049083584 /nfs/dbraw/zinc/08/35/84/1049083584.db2.gz QZAIZCJEOBICJL-HXUWFJFHSA-N 0 3 310.441 4.016 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H]1CC[C@@H](C2CC2)O1 ZINC001192305665 1049083981 /nfs/dbraw/zinc/08/39/81/1049083981.db2.gz ZKORHKOPRJHIKT-BXSJSEAGSA-N 0 3 303.421 4.091 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H]1CCCC(C)(C)O1 ZINC001192305664 1049084242 /nfs/dbraw/zinc/08/42/42/1049084242.db2.gz ZJKOVCMBUKKNMR-KEYYUXOJSA-N 0 3 305.437 4.481 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H](O)c1ccc(Cl)cc1 ZINC001178806984 1049092231 /nfs/dbraw/zinc/09/22/31/1049092231.db2.gz VJASWSOAPODSDZ-MRXNPFEDSA-N 0 3 321.848 4.362 20 0 DIADHN CSc1ccc(CN2CCC3(CCC(C)(C)O3)CC2)cc1 ZINC001204141044 1049094331 /nfs/dbraw/zinc/09/43/31/1049094331.db2.gz FOSTUPHRAJXFJC-UHFFFAOYSA-N 0 3 305.487 4.332 20 0 DIADHN CO[C@@H](C)[C@@H](C)NCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001178831667 1049097896 /nfs/dbraw/zinc/09/78/96/1049097896.db2.gz ZFQRRRCSIKDRCY-MNOVXSKESA-N 0 3 320.260 4.294 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc(SC)cc1)CCC2 ZINC001204147155 1049098841 /nfs/dbraw/zinc/09/88/41/1049098841.db2.gz XIEGMNUWLFHLPE-UHFFFAOYSA-N 0 3 313.466 4.366 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnn(CC3CCC3)c1)CCC2 ZINC001178845398 1049099021 /nfs/dbraw/zinc/09/90/21/1049099021.db2.gz ZDZIKQYHJXPLAJ-FQEVSTJZSA-N 0 3 309.457 4.159 20 0 DIADHN Cc1ccnn1C1CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC001204165545 1049102378 /nfs/dbraw/zinc/10/23/78/1049102378.db2.gz DZCGONSXNWRICV-UHFFFAOYSA-N 0 3 323.362 4.047 20 0 DIADHN Cc1ccnc(CN[C@@]2(C)CCCC[C@@H]2C)c1Br ZINC001178868894 1049102968 /nfs/dbraw/zinc/10/29/68/1049102968.db2.gz YLJDWWQCUSGDRB-WFASDCNBSA-N 0 3 311.267 4.211 20 0 DIADHN CC(C)c1ccc(CN2CCC(C(=O)OC(C)(C)C)CC2)cc1 ZINC001204165371 1049103062 /nfs/dbraw/zinc/10/30/62/1049103062.db2.gz CMQUUVASAAAMED-UHFFFAOYSA-N 0 3 317.473 4.364 20 0 DIADHN COC(=O)c1cccc([C@H]2CCCN2Cc2ccc(C)cc2)c1 ZINC001204174867 1049104995 /nfs/dbraw/zinc/10/49/95/1049104995.db2.gz GFFMBLIACTYYAF-LJQANCHMSA-N 0 3 309.409 4.119 20 0 DIADHN CCSCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856778636 1049105799 /nfs/dbraw/zinc/10/57/99/1049105799.db2.gz OQLZMFRJCILFIU-QMMMGPOBSA-N 0 3 312.788 4.158 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@@H]2CC2(Cl)Cl)s1 ZINC000856804193 1049105882 /nfs/dbraw/zinc/10/58/82/1049105882.db2.gz AIGGENWQKWKJIH-SECBINFHSA-N 0 3 307.290 4.114 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@@H]2CC2(Cl)Cl)cs1 ZINC000856806803 1049107281 /nfs/dbraw/zinc/10/72/81/1049107281.db2.gz ISLCZOSBFBXUKA-SECBINFHSA-N 0 3 307.290 4.114 20 0 DIADHN ClC1(Cl)C[C@H]1CCNCc1coc(-c2ccccc2)n1 ZINC000856806209 1049108062 /nfs/dbraw/zinc/10/80/62/1049108062.db2.gz BYQWPJQVKUSJDS-GFCCVEGCSA-N 0 3 311.212 4.015 20 0 DIADHN CCC[C@H]1CCC[C@@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622694 1049108584 /nfs/dbraw/zinc/10/85/84/1049108584.db2.gz LIPIXRSDATXGSB-RDJZCZTQSA-N 0 3 313.445 4.482 20 0 DIADHN C[C@H]1C[C@H](c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@@H](C)C1 ZINC000904624617 1049108618 /nfs/dbraw/zinc/10/86/18/1049108618.db2.gz TUFRWYCVGSXXGC-HALDLXJZSA-N 0 3 313.445 4.338 20 0 DIADHN CC[C@H]1CCCC[C@@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623218 1049108685 /nfs/dbraw/zinc/10/86/85/1049108685.db2.gz NGQMXTYMFQCQJV-RDJZCZTQSA-N 0 3 313.445 4.482 20 0 DIADHN CCN(CCc1ccc(OC)cc1)Cc1cccc(F)c1F ZINC001204201703 1049109689 /nfs/dbraw/zinc/10/96/89/1049109689.db2.gz BAJNRNZPMDPDQA-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC(C)(C)C1 ZINC001192499702 1049111566 /nfs/dbraw/zinc/11/15/66/1049111566.db2.gz DICCTFBMEPPDTK-XHDPSFHLSA-N 0 3 316.272 4.395 20 0 DIADHN C[C@@H]1CN(Cc2cnn(C3CCC3)c2)CC[C@H]1c1ccccc1 ZINC001204206022 1049111648 /nfs/dbraw/zinc/11/16/48/1049111648.db2.gz YFGFOSXBSAFIGG-OXQOHEQNSA-N 0 3 309.457 4.234 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N2CCCCCCC2)cc1 ZINC000856883458 1049111678 /nfs/dbraw/zinc/11/16/78/1049111678.db2.gz INVWWBWADSTRDW-AWEZNQCLSA-N 0 3 306.475 4.002 20 0 DIADHN C=Cn1cc(CN[C@@](C)(Cc2ccccc2)c2ccccc2)cn1 ZINC001178950305 1049113086 /nfs/dbraw/zinc/11/30/86/1049113086.db2.gz MHPSZQCDDFPNEM-NRFANRHFSA-N 0 3 317.436 4.231 20 0 DIADHN COCC1(CNCc2c(Cl)cccc2Cl)CCCC1 ZINC001178959120 1049114045 /nfs/dbraw/zinc/11/40/45/1049114045.db2.gz VPLSUJNAWNPCDV-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN CC[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H](O)C(C)C ZINC001178959512 1049114129 /nfs/dbraw/zinc/11/41/29/1049114129.db2.gz YZBIPOHJDLGWLK-FZMZJTMJSA-N 0 3 308.224 4.018 20 0 DIADHN COC1(CNCc2ccc(-c3ccc(Cl)cc3)o2)CCC1 ZINC001178954447 1049114614 /nfs/dbraw/zinc/11/46/14/1049114614.db2.gz NXHDGVDNADLHSE-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1ccc(CNCc2cc(C(F)(F)F)n[nH]2)c2ccccc12 ZINC001178958436 1049114948 /nfs/dbraw/zinc/11/49/48/1049114948.db2.gz LPDZDKKYQSVSRP-UHFFFAOYSA-N 0 3 319.330 4.180 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2c(F)cccc2F)o1)CC(C)C ZINC001178972418 1049115553 /nfs/dbraw/zinc/11/55/53/1049115553.db2.gz TZTVVAPDFXBESD-CQSZACIVSA-N 0 3 323.383 4.376 20 0 DIADHN CC1=C(C)C[C@@H](c2nc(-c3ccc(CN(C)C)cc3)no2)CC1 ZINC000904627280 1049116053 /nfs/dbraw/zinc/11/60/53/1049116053.db2.gz UUOOOUBLTUHGSS-KRWDZBQOSA-N 0 3 311.429 4.402 20 0 DIADHN CC(C)C[C@@H](NCC1=NOCC1)c1cccc(C(F)(F)F)c1 ZINC001192545827 1049118342 /nfs/dbraw/zinc/11/83/42/1049118342.db2.gz IUHFGRQPGCDKKC-OAHLLOKOSA-N 0 3 314.351 4.159 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@H](C)c2cc(Cl)ccc2F)c1 ZINC001192575860 1049118426 /nfs/dbraw/zinc/11/84/26/1049118426.db2.gz WXJSLWUXTWUAQE-ACJLOTCBSA-N 0 3 321.823 4.480 20 0 DIADHN COc1cccc(C[C@H](NC/C=C\Cl)c2ccccc2)c1 ZINC000775538638 1049121491 /nfs/dbraw/zinc/12/14/91/1049121491.db2.gz MGTWZJHVDUHORA-SNMSSPIJSA-N 0 3 301.817 4.321 20 0 DIADHN CC(C)N(Cc1ccoc1)C[C@@H](O)c1ccc2ccccc2c1 ZINC001204244192 1049121608 /nfs/dbraw/zinc/12/16/08/1049121608.db2.gz TVBGODMUCFWREA-HXUWFJFHSA-N 0 3 309.409 4.377 20 0 DIADHN CCN(Cc1ccc(Br)nc1)Cc1ccccc1C ZINC001204261768 1049123394 /nfs/dbraw/zinc/12/33/94/1049123394.db2.gz PGOZNVXRDJHBPC-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN COc1ccc(CN2CCCC3(CC(F)C3)CC2)c(OC)c1C ZINC001204263143 1049123664 /nfs/dbraw/zinc/12/36/64/1049123664.db2.gz LIQTZLJPQMBVTP-UHFFFAOYSA-N 0 3 321.436 4.116 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@@H]1C[C@]1(C)Br ZINC001204271008 1049125711 /nfs/dbraw/zinc/12/57/11/1049125711.db2.gz UZPPAMWOZKIZOP-JSGCOSHPSA-N 0 3 316.670 4.335 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001204288119 1049127663 /nfs/dbraw/zinc/12/76/63/1049127663.db2.gz RPHOMSONKFYYSN-CYBMUJFWSA-N 0 3 319.392 4.145 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1csc(CCc2ccccc2)n1 ZINC001192689790 1049130498 /nfs/dbraw/zinc/13/04/98/1049130498.db2.gz LGNZGPDNCYKCIZ-LSDHHAIUSA-N 0 3 302.487 4.453 20 0 DIADHN COC[C@H](NC/C(C)=C/c1ccccc1)c1cccc(OC)c1 ZINC001179096494 1049130640 /nfs/dbraw/zinc/13/06/40/1049130640.db2.gz ZCXPEHQBLMENCK-GRFAERAESA-N 0 3 311.425 4.076 20 0 DIADHN CCc1ccccc1CNC[C@@H](OC1CCCC1)C(F)(F)F ZINC001179111233 1049133125 /nfs/dbraw/zinc/13/31/25/1049133125.db2.gz VZTPNYBYLZKNEW-MRXNPFEDSA-N 0 3 315.379 4.229 20 0 DIADHN O[C@@H](CNCc1cscc1Cl)c1cccc2ccccc21 ZINC001179123331 1049133360 /nfs/dbraw/zinc/13/33/60/1049133360.db2.gz QXPOQCSWSQACOL-KRWDZBQOSA-N 0 3 317.841 4.378 20 0 DIADHN CCOc1cccc(F)c1CNCCc1ccnc2ccccc12 ZINC001179117101 1049133689 /nfs/dbraw/zinc/13/36/89/1049133689.db2.gz XBCASDHSFIWDBP-UHFFFAOYSA-N 0 3 324.399 4.105 20 0 DIADHN C[C@@H]1[C@H](C)N(C)CCN1Cc1cc(Cl)cc2ccccc21 ZINC001192745707 1049134042 /nfs/dbraw/zinc/13/40/42/1049134042.db2.gz OSXOPCAVPVZVFF-UONOGXRCSA-N 0 3 302.849 4.018 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775543813 1049134924 /nfs/dbraw/zinc/13/49/24/1049134924.db2.gz YQIMLXYRFRGMTE-ROUUACIJSA-N 0 3 305.368 4.129 20 0 DIADHN Cc1ccc(N2CC[C@H](N[C@H](C)c3cc(C)cc(C)c3)C2=O)cc1 ZINC001192780271 1049136572 /nfs/dbraw/zinc/13/65/72/1049136572.db2.gz BSXZICNUHTYARU-XLIONFOSSA-N 0 3 322.452 4.068 20 0 DIADHN CCCOc1ccc(CN(C)CCC(=O)c2ccccc2)cc1 ZINC001204306937 1049136835 /nfs/dbraw/zinc/13/68/35/1049136835.db2.gz CNDWCDWFBRDKRG-UHFFFAOYSA-N 0 3 311.425 4.180 20 0 DIADHN C[C@H](NCc1ccc2scnc2c1)c1ccc2c(c1)CCCO2 ZINC001179205723 1049141450 /nfs/dbraw/zinc/14/14/50/1049141450.db2.gz WJSANVGESSYBHV-ZDUSSCGKSA-N 0 3 324.449 4.472 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C)c2C)cc1C ZINC001192835745 1049142561 /nfs/dbraw/zinc/14/25/61/1049142561.db2.gz ZRKZJZQFQYLUEZ-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Fc1ccc2c(c1)OC[C@H](NCc1ccc(-c3ccccc3)o1)C2 ZINC001179194434 1049144035 /nfs/dbraw/zinc/14/40/35/1049144035.db2.gz UNWUXAAKZHUCBL-QGZVFWFLSA-N 0 3 323.367 4.179 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cnn(C3CCC3)c2)C1(C)C ZINC001204359417 1049148636 /nfs/dbraw/zinc/14/86/36/1049148636.db2.gz POPLUZPIWMJCGD-ZWKOTPCHSA-N 0 3 319.493 4.024 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccc(C)cc1 ZINC001192910677 1049149065 /nfs/dbraw/zinc/14/90/65/1049149065.db2.gz WIFJGNHNENVUBV-KBXCAEBGSA-N 0 3 314.404 4.202 20 0 DIADHN COc1ccc2nc(CN[C@H]3CC34CCCCC4)sc2c1 ZINC001179259872 1049149104 /nfs/dbraw/zinc/14/91/04/1049149104.db2.gz YIDACDXQZGHARL-HNNXBMFYSA-N 0 3 302.443 4.117 20 0 DIADHN COc1ccc(OC(F)F)c(CN[C@@H]2CC23CCCCC3)c1 ZINC001179258632 1049149186 /nfs/dbraw/zinc/14/91/86/1049149186.db2.gz OKSPALJXTDFLNB-OAHLLOKOSA-N 0 3 311.372 4.109 20 0 DIADHN CCOc1ccc(CN2CCCc3ccccc3C2)cc1OC ZINC001204360098 1049149680 /nfs/dbraw/zinc/14/96/80/1049149680.db2.gz KFEAQHBGYFYTTM-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN CC(C)(C)[C@@H]1CCN([C@H]2CCc3cc(Cl)ccc32)C[C@@H]1O ZINC001192945549 1049151072 /nfs/dbraw/zinc/15/10/72/1049151072.db2.gz CPKYMALKDJZCEN-IKGGRYGDSA-N 0 3 307.865 4.056 20 0 DIADHN CCCCCCOc1ccc(CN2CC[C@@H]3CCO[C@H]3C2)cc1 ZINC001204364835 1049151906 /nfs/dbraw/zinc/15/19/06/1049151906.db2.gz JKVAKSJDRXWUEF-QUCCMNQESA-N 0 3 317.473 4.257 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-c3ccc(Cl)cc3)o2)[C@@H](C)O1 ZINC001179347700 1049152878 /nfs/dbraw/zinc/15/28/78/1049152878.db2.gz AXVWQCGOQPKKFE-HSMVNMDESA-N 0 3 305.805 4.256 20 0 DIADHN CCn1cncc1CN(Cc1ccccc1)[C@H]1CCCC1(C)C ZINC001204399648 1049155433 /nfs/dbraw/zinc/15/54/33/1049155433.db2.gz CFVGFDUPFCYWBN-IBGZPJMESA-N 0 3 311.473 4.484 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](c2cccc(C)c2)C(C)C)c1 ZINC001179325013 1049155957 /nfs/dbraw/zinc/15/59/57/1049155957.db2.gz IUBFRLBEUXBGMU-HXUWFJFHSA-N 0 3 313.441 4.499 20 0 DIADHN CC(=O)n1cc(CN(C)CCc2ccccc2F)c2ccccc21 ZINC001204412758 1049156742 /nfs/dbraw/zinc/15/67/42/1049156742.db2.gz SRIFMHJGLBWSBE-UHFFFAOYSA-N 0 3 324.399 4.115 20 0 DIADHN Cc1scc(CNCc2cc(C)nc3c2CCCC3)c1C ZINC001179333429 1049157595 /nfs/dbraw/zinc/15/75/95/1049157595.db2.gz WPZQEJJQQVCXAV-UHFFFAOYSA-N 0 3 300.471 4.237 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3c(c2)C[C@@H](C)O3)CC1 ZINC001204422809 1049159321 /nfs/dbraw/zinc/15/93/21/1049159321.db2.gz RLUDVYHFYLVRJE-MRXNPFEDSA-N 0 3 317.473 4.037 20 0 DIADHN CO[C@H](Cc1ccccc1)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001193003249 1049160497 /nfs/dbraw/zinc/16/04/97/1049160497.db2.gz QVUSUZATGXXOLE-MRXNPFEDSA-N 0 3 321.823 4.169 20 0 DIADHN Cc1ccc(CN2CCN(c3ccc(C)c(C)c3)CC2)cc1C ZINC001193002637 1049160880 /nfs/dbraw/zinc/16/08/80/1049160880.db2.gz LNLBDOBCECDXBO-UHFFFAOYSA-N 0 3 308.469 4.242 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC(c2ccccc2)C1 ZINC001204452367 1049163359 /nfs/dbraw/zinc/16/33/59/1049163359.db2.gz ZGNNKGYVWIMNKD-UHFFFAOYSA-N 0 3 317.436 4.089 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H]2c3ccccc3CC[C@@H]2F)CCO1 ZINC001179433721 1049163749 /nfs/dbraw/zinc/16/37/49/1049163749.db2.gz RLXVRZNNSPQVHD-LAVFITLUSA-N 0 3 305.437 4.195 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC[C@H]2CCC[C@H]2C1 ZINC001204446400 1049163727 /nfs/dbraw/zinc/16/37/27/1049163727.db2.gz JUSWRKNCPNCTOG-MSOLQXFVSA-N 0 3 309.457 4.111 20 0 DIADHN Cc1ccc(N2CC[C@@H](N[C@H](C)c3cc(C)ccc3C)C2=O)cc1 ZINC001193012395 1049165647 /nfs/dbraw/zinc/16/56/47/1049165647.db2.gz QLCRBWMDENTCKG-YLJYHZDGSA-N 0 3 322.452 4.068 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCC(=O)Nc2ccc(C)c(F)c2)c1 ZINC001193012410 1049166564 /nfs/dbraw/zinc/16/65/64/1049166564.db2.gz QZVAFMZHBODHFV-HNNXBMFYSA-N 0 3 314.404 4.040 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCC(=O)c2ccccc2)cc1 ZINC001204455278 1049166703 /nfs/dbraw/zinc/16/67/03/1049166703.db2.gz TYHXLLUDFPGQOD-UHFFFAOYSA-N 0 3 311.425 4.179 20 0 DIADHN CC1(C)C[C@@]1(C)CNCc1c(F)cccc1OC(F)(F)F ZINC001179469034 1049169146 /nfs/dbraw/zinc/16/91/46/1049169146.db2.gz YVIHHRYGMGQYGF-AWEZNQCLSA-N 0 3 305.315 4.250 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2Cc2cn3ccnc3s2)o1 ZINC001204480006 1049172434 /nfs/dbraw/zinc/17/24/34/1049172434.db2.gz NQRFREMMNLJOJV-HNNXBMFYSA-N 0 3 315.442 4.415 20 0 DIADHN CCCCC[C@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000775569335 1049172983 /nfs/dbraw/zinc/17/29/83/1049172983.db2.gz UFPDDYGQQXGZBS-KBXCAEBGSA-N 0 3 303.425 4.207 20 0 DIADHN CCCCC[C@@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000775569336 1049173371 /nfs/dbraw/zinc/17/33/71/1049173371.db2.gz UFPDDYGQQXGZBS-KDOFPFPSSA-N 0 3 303.425 4.207 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)CCO3)cc1 ZINC001204491114 1049174280 /nfs/dbraw/zinc/17/42/80/1049174280.db2.gz GVZFGGIHAYGSTJ-FQEVSTJZSA-N 0 3 323.436 4.357 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3c(c2)C[C@@H](C)O3)cc1 ZINC001179537413 1049174327 /nfs/dbraw/zinc/17/43/27/1049174327.db2.gz JYAXSBVAAQNTIQ-MRXNPFEDSA-N 0 3 309.453 4.498 20 0 DIADHN CN(Cc1ccc2c(c1)CCO2)Cc1ccccc1C(F)(F)F ZINC001204492588 1049174752 /nfs/dbraw/zinc/17/47/52/1049174752.db2.gz OOMAEFFMCGBGGR-UHFFFAOYSA-N 0 3 321.342 4.272 20 0 DIADHN CN(Cc1ccc2ccccc2n1)Cc1cccc2cccnc21 ZINC001204502322 1049175940 /nfs/dbraw/zinc/17/59/40/1049175940.db2.gz BVRQXAOVNQWOJG-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2cc(-c3ccc(F)cc3F)on2)C1 ZINC001179501663 1049176282 /nfs/dbraw/zinc/17/62/82/1049176282.db2.gz BGLMTPDYLULXKR-YPMHNXCESA-N 0 3 306.356 4.288 20 0 DIADHN Fc1ccccc1C1CCN(Cc2ccc3c(c2)CCO3)CC1 ZINC001204493638 1049176624 /nfs/dbraw/zinc/17/66/24/1049176624.db2.gz STPIMWWSSVWIBT-UHFFFAOYSA-N 0 3 311.400 4.140 20 0 DIADHN COc1ccc2cc(CN3CCc4ccsc4C3)ccc2c1 ZINC001204514644 1049178328 /nfs/dbraw/zinc/17/83/28/1049178328.db2.gz OKYUGERPKLQQNP-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CCOc1cccc(F)c1CNCCc1cnc2ccccc2c1 ZINC001179538085 1049178738 /nfs/dbraw/zinc/17/87/38/1049178738.db2.gz XNVJOQHQXOKZTC-UHFFFAOYSA-N 0 3 324.399 4.105 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)[C@H](C)Cc1ccsc1 ZINC001204549445 1049187950 /nfs/dbraw/zinc/18/79/50/1049187950.db2.gz BJINIGPHHZCADT-CYBMUJFWSA-N 0 3 319.518 4.379 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cccc3c2OCC3)cc1C ZINC001179658440 1049188183 /nfs/dbraw/zinc/18/81/83/1049188183.db2.gz ITNUGPXEKLDFKF-VMPITWQZSA-N 0 3 307.437 4.350 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cccc3c2OCC3)cc1C ZINC001179658441 1049188490 /nfs/dbraw/zinc/18/84/90/1049188490.db2.gz ITNUGPXEKLDFKF-YVMONPNESA-N 0 3 307.437 4.350 20 0 DIADHN C[C@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)CC1(C)C ZINC001193210756 1049188487 /nfs/dbraw/zinc/18/84/87/1049188487.db2.gz OXVHAUWCLPAGGW-NHYWBVRUSA-N 0 3 316.272 4.395 20 0 DIADHN CC[C@H](CSC)N(C)Cc1ccc(Sc2ccccn2)o1 ZINC000568789122 1049188687 /nfs/dbraw/zinc/18/86/87/1049188687.db2.gz REXYQSCIXJPRSX-CYBMUJFWSA-N 0 3 322.499 4.399 20 0 DIADHN COc1cc(CN2CC[C@H](c3ccccc3Cl)C2)ccc1F ZINC001204558020 1049189394 /nfs/dbraw/zinc/18/93/94/1049189394.db2.gz FIZABSDRQZZTSF-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc(CN2CC[C@@H]2Cc2ccccc2)cc1OC1CC1 ZINC001204579053 1049191728 /nfs/dbraw/zinc/19/17/28/1049191728.db2.gz FHLNZVAAWOHKHR-GOSISDBHSA-N 0 3 323.436 4.053 20 0 DIADHN Cc1nc[nH]c1CN(C)CCC(c1ccccc1)c1ccccc1 ZINC001204593861 1049196383 /nfs/dbraw/zinc/19/63/83/1049196383.db2.gz ZICQYWXOJYTUAE-UHFFFAOYSA-N 0 3 319.452 4.372 20 0 DIADHN Cc1cc(C)cc(CCN2C[C@@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001204615263 1049199848 /nfs/dbraw/zinc/19/98/48/1049199848.db2.gz GXGBBNZURTVGLQ-WIYYLYMNSA-N 0 3 309.453 4.308 20 0 DIADHN Cc1c[nH]c(=O)c(CNCc2ccc(-c3ccccc3)cc2)c1 ZINC001179730316 1049200367 /nfs/dbraw/zinc/20/03/67/1049200367.db2.gz VTEVBDJNVKGALI-UHFFFAOYSA-N 0 3 304.393 4.052 20 0 DIADHN C[C@@H](CN1C[C@@H](C)OC[C@H]1c1ccccc1)c1ccc(F)cc1 ZINC001204615751 1049200891 /nfs/dbraw/zinc/20/08/91/1049200891.db2.gz KWZZVWOODSCMJE-YRNRMSPPSA-N 0 3 313.416 4.391 20 0 DIADHN Fc1cccc([C@@H](N[C@H]2CCCc3cn[nH]c32)c2ccccc2)c1 ZINC000857857432 1049201697 /nfs/dbraw/zinc/20/16/97/1049201697.db2.gz UFWXMVFHASTSHC-OALUTQOASA-N 0 3 321.399 4.305 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCc3cn[nH]c32)c2ccccc2)cc1 ZINC000857850491 1049202035 /nfs/dbraw/zinc/20/20/35/1049202035.db2.gz STRCXJWGMDOQES-RTBURBONSA-N 0 3 321.399 4.305 20 0 DIADHN Cc1ccc(COc2ccc(CNC/C=C\Cl)cc2)cc1 ZINC001179747897 1049203938 /nfs/dbraw/zinc/20/39/38/1049203938.db2.gz QRIJFHMRYQLULF-FUQNDXKWSA-N 0 3 301.817 4.416 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000857864665 1049205388 /nfs/dbraw/zinc/20/53/88/1049205388.db2.gz INQVUJZBJIXPQM-ILZDJORESA-N 0 3 309.457 4.336 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccc(F)c(F)c1)c1ccccc1 ZINC000485209755 1049205485 /nfs/dbraw/zinc/20/54/85/1049205485.db2.gz XYPINMTVYWUVPX-QGZVFWFLSA-N 0 3 318.367 4.034 20 0 DIADHN CC[C@H]1CCC[C@H](CNCc2ncccc2Br)C1 ZINC001179793206 1049207117 /nfs/dbraw/zinc/20/71/17/1049207117.db2.gz HESZRLXOMHULCQ-STQMWFEESA-N 0 3 311.267 4.150 20 0 DIADHN CC(C)CO[C@@H]1CCN(Cc2cc3nc(Cl)ccc3s2)C1 ZINC001204631797 1049207827 /nfs/dbraw/zinc/20/78/27/1049207827.db2.gz CPYODSUXEINCDS-GFCCVEGCSA-N 0 3 324.877 4.197 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1ccn(-c2c(F)cccc2F)n1 ZINC001193412588 1049209240 /nfs/dbraw/zinc/20/92/40/1049209240.db2.gz FREYSXKFUWHEEH-SUMWQHHRSA-N 0 3 319.399 4.161 20 0 DIADHN CCC[C@H](NCC(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000485230256 1049209752 /nfs/dbraw/zinc/20/97/52/1049209752.db2.gz NEZLPXZCJJDTST-INIZCTEOSA-N 0 3 318.367 4.034 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001193413468 1049210274 /nfs/dbraw/zinc/21/02/74/1049210274.db2.gz NVGVMKJWZZJUAZ-CXAGYDPISA-N 0 3 319.399 4.161 20 0 DIADHN c1csc(CN2CCC[C@H](Oc3ccc(C4CC4)cn3)C2)c1 ZINC000858010925 1049212482 /nfs/dbraw/zinc/21/24/82/1049212482.db2.gz OHLHUEZCYXHGDC-INIZCTEOSA-N 0 3 314.454 4.064 20 0 DIADHN c1cc2cc(CN3CCC(Oc4ccccc4)CC3)ccc2o1 ZINC000347660673 1049213339 /nfs/dbraw/zinc/21/33/39/1049213339.db2.gz XUYKXGSAKVDCRQ-UHFFFAOYSA-N 0 3 307.393 4.476 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000485253926 1049213551 /nfs/dbraw/zinc/21/35/51/1049213551.db2.gz JRFDNKXXEFXSDM-LJQANCHMSA-N 0 3 310.441 4.373 20 0 DIADHN c1ccc(C[C@H]2CCC[C@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001193495797 1049215504 /nfs/dbraw/zinc/21/55/04/1049215504.db2.gz CVQMFAWUNFYXMF-IAGOWNOFSA-N 0 3 310.441 4.054 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN(Cc2ccnn2C2CCC2)C1 ZINC001204664039 1049215777 /nfs/dbraw/zinc/21/57/77/1049215777.db2.gz DTUIPAHIXDPYJZ-HXUWFJFHSA-N 0 3 309.457 4.162 20 0 DIADHN Cc1cc(CN2CCc3ccccc3[C@H]2C)c2c(n1)CCCC2 ZINC001179855242 1049216579 /nfs/dbraw/zinc/21/65/79/1049216579.db2.gz PJESUFSKCQLUDF-MRXNPFEDSA-N 0 3 306.453 4.388 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3cnc(F)c(C)c3)C2)cc1 ZINC001179859546 1049220676 /nfs/dbraw/zinc/22/06/76/1049220676.db2.gz RFJGYNCULKJGCV-GOSISDBHSA-N 0 3 312.432 4.292 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@@H](C)C[C@@H]3C)n2)c1 ZINC001179898451 1049221289 /nfs/dbraw/zinc/22/12/89/1049221289.db2.gz NZJOEMJQJIQZGJ-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN CC1(C)CN(Cc2ccc(Cl)c3ccccc23)CC[C@@H]1O ZINC001193516598 1049221631 /nfs/dbraw/zinc/22/16/31/1049221631.db2.gz FFJKTWIHDSEPBI-KRWDZBQOSA-N 0 3 303.833 4.086 20 0 DIADHN C[C@@H]1CN(Cc2cc3ccc(F)cc3[nH]c2=O)[C@H]1c1ccccc1 ZINC001204680498 1049221786 /nfs/dbraw/zinc/22/17/86/1049221786.db2.gz DJLDJETZMZTJAQ-BFUOFWGJSA-N 0 3 322.383 4.273 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@H](C)C[C@H]3C)n2)c1 ZINC001179898448 1049221760 /nfs/dbraw/zinc/22/17/60/1049221760.db2.gz NZJOEMJQJIQZGJ-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN CC[C@@H](NCc1ccnc(F)c1)c1ccc(OC(F)F)cc1 ZINC000858150930 1049222251 /nfs/dbraw/zinc/22/22/51/1049222251.db2.gz NQQZKVSKXCKCOY-CQSZACIVSA-N 0 3 310.319 4.063 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(Cl)c(OC)c1 ZINC000858131305 1049222482 /nfs/dbraw/zinc/22/24/82/1049222482.db2.gz RCCHFFUGLTWQDK-UHFFFAOYSA-N 0 3 318.848 4.095 20 0 DIADHN Cn1cc(CN2CCC(c3ccco3)CC2)c(-c2ccccc2)n1 ZINC000668463066 1049223755 /nfs/dbraw/zinc/22/37/55/1049223755.db2.gz VBLYVSMZTIEXAK-UHFFFAOYSA-N 0 3 321.424 4.060 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCOCC2(CCCC2)C1 ZINC001193564833 1049224606 /nfs/dbraw/zinc/22/46/06/1049224606.db2.gz VCXODUKGMAHSQO-KRWDZBQOSA-N 0 3 305.849 4.220 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cc(OC)c(OC)cc1F ZINC001204689831 1049225396 /nfs/dbraw/zinc/22/53/96/1049225396.db2.gz IGYQZFWPZOUSIB-CZUORRHYSA-N 0 3 309.425 4.244 20 0 DIADHN Clc1ccc(/C=C/CN2CCOCC3(CCCC3)C2)cc1 ZINC001193563660 1049225425 /nfs/dbraw/zinc/22/54/25/1049225425.db2.gz OBCZXYBSVJTLNP-ONEGZZNKSA-N 0 3 305.849 4.246 20 0 DIADHN Cc1cc(CNC[C@@]2(C)CC2(F)F)c(Br)cc1F ZINC000858191567 1049225847 /nfs/dbraw/zinc/22/58/47/1049225847.db2.gz AJHKAFMXIIQNIK-GFCCVEGCSA-N 0 3 322.168 4.032 20 0 DIADHN COCc1cc(CNCc2ccc(C)c(Cl)c2)ccc1F ZINC000195442400 1049231238 /nfs/dbraw/zinc/23/12/38/1049231238.db2.gz IHXRXQQQXTWABH-UHFFFAOYSA-N 0 3 307.796 4.224 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2cccc(C(C)C)c2)cc1 ZINC000858252042 1049231614 /nfs/dbraw/zinc/23/16/14/1049231614.db2.gz ZCQNQJPZJKDANZ-UHFFFAOYSA-N 0 3 310.441 4.083 20 0 DIADHN Cc1ccc(CC(=O)NCCP(C(C)C)C(C)C)cc1F ZINC001179941927 1049234435 /nfs/dbraw/zinc/23/44/35/1049234435.db2.gz ZNNBUFDUOLCPCR-UHFFFAOYSA-N 0 3 311.381 4.092 20 0 DIADHN CC(C)n1nccc1CNCc1cn(C(F)F)c2ccccc12 ZINC000858291743 1049235743 /nfs/dbraw/zinc/23/57/43/1049235743.db2.gz JDEPULOOLHBJDV-UHFFFAOYSA-N 0 3 318.371 4.104 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1c(F)c(F)c(F)c(F)c1F ZINC001193637411 1049237238 /nfs/dbraw/zinc/23/72/38/1049237238.db2.gz WLTZNJYNKVCTPL-WCBMZHEXSA-N 0 3 307.306 4.441 20 0 DIADHN C[C@@H]([C@H](OCC(=O)c1ccccc1)c1ccccc1)N1CCCC1 ZINC001204716586 1049237775 /nfs/dbraw/zinc/23/77/75/1049237775.db2.gz QJAXHOQXZARRIE-UWJYYQICSA-N 0 3 323.436 4.112 20 0 DIADHN C[C@H](CCN1CCO[C@@]2(CCc3ccccc32)C1)c1ccccc1 ZINC001179905534 1049238054 /nfs/dbraw/zinc/23/80/54/1049238054.db2.gz KOBKFWJIGPTVBF-GCJKJVERSA-N 0 3 321.464 4.354 20 0 DIADHN Clc1csc(NC[C@@H](c2cccs2)N2CCCC2)n1 ZINC000858316197 1049239064 /nfs/dbraw/zinc/23/90/64/1049239064.db2.gz NDYTVMFVTFGAHM-JTQLQIEISA-N 0 3 313.879 4.107 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204717422 1049239043 /nfs/dbraw/zinc/23/90/43/1049239043.db2.gz JXDSFZDUDZULKN-OAHLLOKOSA-N 0 3 305.805 4.211 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)[C@H]1C ZINC001193605781 1049239585 /nfs/dbraw/zinc/23/95/85/1049239585.db2.gz KLSHBNSSTCNEEE-BFHYXJOUSA-N 0 3 308.853 4.096 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](C)c1cc(Cl)ccc1Cl ZINC001193604198 1049239836 /nfs/dbraw/zinc/23/98/36/1049239836.db2.gz DJCPMKPHOGMXNH-DGCLKSJQSA-N 0 3 304.261 4.441 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1c(C(F)(F)F)nc2n1CCCC2 ZINC001179978923 1049243409 /nfs/dbraw/zinc/24/34/09/1049243409.db2.gz BAKXEKFXBIPZQE-GFCCVEGCSA-N 0 3 317.399 4.106 20 0 DIADHN CN(Cc1ccc(-c2cccc(F)c2F)o1)C[C@H]1CCCCO1 ZINC001204736220 1049246252 /nfs/dbraw/zinc/24/62/52/1049246252.db2.gz KFVSXXIRJJMUME-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(F)ccc1Br ZINC001193676577 1049246613 /nfs/dbraw/zinc/24/66/13/1049246613.db2.gz YZAKLHKHLGVSBU-HZMBPMFUSA-N 0 3 300.215 4.209 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCSc2ccccc2)c1 ZINC000195678282 1049246688 /nfs/dbraw/zinc/24/66/88/1049246688.db2.gz WBQREWSPCXRRHI-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204738647 1049247352 /nfs/dbraw/zinc/24/73/52/1049247352.db2.gz LRFXSMNTYWNMQD-CQSZACIVSA-N 0 3 317.816 4.401 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1c(C2CC2)cnn1C ZINC001179988814 1049247634 /nfs/dbraw/zinc/24/76/34/1049247634.db2.gz HTAYRFKRBAAMHT-UHFFFAOYSA-N 0 3 319.452 4.125 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC001179957705 1049248559 /nfs/dbraw/zinc/24/85/59/1049248559.db2.gz PXECSFZWMOKRKC-INIZCTEOSA-N 0 3 301.352 4.439 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCc3ccccc3[C@@H]2CO)cc1C ZINC001179956962 1049248720 /nfs/dbraw/zinc/24/87/20/1049248720.db2.gz IZICAYQHITZCPH-IMAOXBOFSA-N 0 3 321.464 4.217 20 0 DIADHN CC[C@]1(C)CCCN(Cc2cnn(Cc3ccc(F)cc3)c2)C1 ZINC001179958175 1049248953 /nfs/dbraw/zinc/24/89/53/1049248953.db2.gz WNHTXTXUVTYHFH-LJQANCHMSA-N 0 3 315.436 4.083 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](C)c2cc(-c3ccccc3)n(C)n2)C1 ZINC000858367109 1049249700 /nfs/dbraw/zinc/24/97/00/1049249700.db2.gz PKVQONPJVMEJGI-FVQBIDKESA-N 0 3 315.486 4.022 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1cc(F)cc(F)c1 ZINC001204749637 1049251461 /nfs/dbraw/zinc/25/14/61/1049251461.db2.gz DIMGJZJYBCJRJR-ZIAGYGMSSA-N 0 3 324.415 4.052 20 0 DIADHN C[C@@H](NCCOC1CCC1)c1ccc(F)cc1C(F)(F)F ZINC000858391412 1049251998 /nfs/dbraw/zinc/25/19/98/1049251998.db2.gz GNYKXFRHWUQVPF-SNVBAGLBSA-N 0 3 305.315 4.064 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2cnn(C3CCC3)c2)CC1 ZINC001180006672 1049254359 /nfs/dbraw/zinc/25/43/59/1049254359.db2.gz IROCDLFWPVMCHD-UHFFFAOYSA-N 0 3 309.457 4.162 20 0 DIADHN CCN(CCc1ccccc1)Cc1cccc(OC2CC2)c1F ZINC001180018381 1049256971 /nfs/dbraw/zinc/25/69/71/1049256971.db2.gz OIPVFLKKQPBIDZ-UHFFFAOYSA-N 0 3 313.416 4.432 20 0 DIADHN CCOc1ccc(C[N@H+](Cc2ccc([O-])c(F)c2)C(C)C)cc1 ZINC001180010914 1049257424 /nfs/dbraw/zinc/25/74/24/1049257424.db2.gz AREZEIRJSBFDMR-UHFFFAOYSA-N 0 3 317.404 4.341 20 0 DIADHN CCOc1ccc(C[N@@H+](Cc2ccc([O-])c(F)c2)C(C)C)cc1 ZINC001180010914 1049257432 /nfs/dbraw/zinc/25/74/32/1049257432.db2.gz AREZEIRJSBFDMR-UHFFFAOYSA-N 0 3 317.404 4.341 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(C(F)(F)F)nc1Cl ZINC001204775805 1049261658 /nfs/dbraw/zinc/26/16/58/1049261658.db2.gz GPCUEYMKTBJFQJ-GXSJLCMTSA-N 0 3 306.759 4.374 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1cn(C)nc1C1CCCC1 ZINC001180057126 1049265693 /nfs/dbraw/zinc/26/56/93/1049265693.db2.gz BEMUNBRGRQMZTI-AWEZNQCLSA-N 0 3 317.502 4.202 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C(C)=O)cc1)c1cccc(F)c1 ZINC000858496715 1049266258 /nfs/dbraw/zinc/26/62/58/1049266258.db2.gz BEDJPVHYSYKXFR-LJQANCHMSA-N 0 3 314.404 4.049 20 0 DIADHN Cc1coc(CN2CCC[C@@H](c3nc4c(s3)CCCC4)C2)c1 ZINC001204795887 1049266730 /nfs/dbraw/zinc/26/67/30/1049266730.db2.gz DVVAKOOJYKCQCJ-CQSZACIVSA-N 0 3 316.470 4.303 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C)[C@H](C)C2CCCCC2)c1 ZINC001193806823 1049267925 /nfs/dbraw/zinc/26/79/25/1049267925.db2.gz CZFHHCYFKKEBAM-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](C)c1ccc(Cl)cc1Cl ZINC001193859530 1049277235 /nfs/dbraw/zinc/27/72/35/1049277235.db2.gz KYWGFCCPPSOKDI-DGCLKSJQSA-N 0 3 304.261 4.441 20 0 DIADHN Cn1c(CN2CCC[C@@H]2c2ccc(O)cc2)cc2ccccc21 ZINC001180064427 1049272440 /nfs/dbraw/zinc/27/24/40/1049272440.db2.gz IOUDUTQHERMYSR-HXUWFJFHSA-N 0 3 306.409 4.221 20 0 DIADHN CC(C)N1CC[C@H](OCC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001204816129 1049273338 /nfs/dbraw/zinc/27/33/38/1049273338.db2.gz OYOMPNWGGZHIFB-FQEVSTJZSA-N 0 3 323.436 4.036 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1nc3ccccc3s1)C2 ZINC000158839969 1049273819 /nfs/dbraw/zinc/27/38/19/1049273819.db2.gz DFWXEXNPRQTPMR-CQSZACIVSA-N 0 3 314.841 4.207 20 0 DIADHN CC1(C)CN(Cc2cccc(OC(F)F)c2)CCC1(F)F ZINC001204817852 1049274307 /nfs/dbraw/zinc/27/43/07/1049274307.db2.gz ORPHQVWAHJODRU-UHFFFAOYSA-N 0 3 305.315 4.155 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCC1(C(F)(F)F)CCCC1 ZINC000858567267 1049276040 /nfs/dbraw/zinc/27/60/40/1049276040.db2.gz AHLSNJUTMXCSPW-JTQLQIEISA-N 0 3 304.356 4.319 20 0 DIADHN COCC1(C2CCC2)CN(Cc2cc3ccccc3s2)C1 ZINC000668514067 1049277734 /nfs/dbraw/zinc/27/77/34/1049277734.db2.gz VGQPAKKXIHNAAN-UHFFFAOYSA-N 0 3 301.455 4.150 20 0 DIADHN CCN(Cc1cc(F)cc(OC)c1)[C@H](C)c1cccc(OC)c1 ZINC001204826950 1049278901 /nfs/dbraw/zinc/27/89/01/1049278901.db2.gz OSQNNOMFBHADKF-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN CC(C)N(Cc1nc2cc(Cl)ccc2n1C)CC1CCC1 ZINC001193905891 1049279793 /nfs/dbraw/zinc/27/97/93/1049279793.db2.gz JQKQVRDXKWKZKD-UHFFFAOYSA-N 0 3 305.853 4.237 20 0 DIADHN CCC(CC)CN1CCN(C(=O)c2cccc3ccccc32)CC1 ZINC001204833566 1049280208 /nfs/dbraw/zinc/28/02/08/1049280208.db2.gz PCAAZGPAQCMGIY-UHFFFAOYSA-N 0 3 324.468 4.034 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3ccc(Cl)cc32)cc1O ZINC000858581397 1049281213 /nfs/dbraw/zinc/28/12/13/1049281213.db2.gz DBZJHGFPQLPTBP-MEDUHNTESA-N 0 3 317.816 4.392 20 0 DIADHN COc1ccc(F)c(CN2CCC[C@](C)(c3ccccc3)C2)c1 ZINC001180133388 1049281389 /nfs/dbraw/zinc/28/13/89/1049281389.db2.gz VGMULVBQQPHPIT-FQEVSTJZSA-N 0 3 313.416 4.388 20 0 DIADHN Fc1cccc([C@@H]2CCN(CC[C@@H]3CC3(Cl)Cl)C2)c1 ZINC001193914900 1049281482 /nfs/dbraw/zinc/28/14/82/1049281482.db2.gz YXIHZNXXCQHUOK-CHWSQXEVSA-N 0 3 302.220 4.199 20 0 DIADHN Fc1cccc([C@H]2CCN(CC[C@@H]3CC3(Cl)Cl)C2)c1 ZINC001193914902 1049281760 /nfs/dbraw/zinc/28/17/60/1049281760.db2.gz YXIHZNXXCQHUOK-QWHCGFSZSA-N 0 3 302.220 4.199 20 0 DIADHN CC[C@H](C)CN(Cc1cnn(C)c1)Cc1ccc(Cl)cc1 ZINC001193956187 1049283696 /nfs/dbraw/zinc/28/36/96/1049283696.db2.gz RADIRFMVSBPULC-AWEZNQCLSA-N 0 3 305.853 4.122 20 0 DIADHN CN(CC1=NOCC1)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC001193920188 1049283809 /nfs/dbraw/zinc/28/38/09/1049283809.db2.gz KESOPJASYFNHQD-QGZVFWFLSA-N 0 3 306.837 4.279 20 0 DIADHN CCO[C@H]1C[C@H]([N@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098627 1049285654 /nfs/dbraw/zinc/28/56/54/1049285654.db2.gz NNYNMZMGRZEHEC-ROUUACIJSA-N 0 3 321.436 4.091 20 0 DIADHN CCO[C@H]1C[C@H]([N@@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098627 1049285664 /nfs/dbraw/zinc/28/56/64/1049285664.db2.gz NNYNMZMGRZEHEC-ROUUACIJSA-N 0 3 321.436 4.091 20 0 DIADHN Cc1ccc(CCN2CCN(c3cccc(Cl)c3)CC2)cc1 ZINC001180103860 1049285693 /nfs/dbraw/zinc/28/56/93/1049285693.db2.gz YUDRGJVOFQHXLB-UHFFFAOYSA-N 0 3 314.860 4.013 20 0 DIADHN CCO[C@@H]1C[C@H]([N@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098628 1049285736 /nfs/dbraw/zinc/28/57/36/1049285736.db2.gz NNYNMZMGRZEHEC-ZWKOTPCHSA-N 0 3 321.436 4.091 20 0 DIADHN CCO[C@@H]1C[C@H]([N@@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098628 1049285750 /nfs/dbraw/zinc/28/57/50/1049285750.db2.gz NNYNMZMGRZEHEC-ZWKOTPCHSA-N 0 3 321.436 4.091 20 0 DIADHN CCc1cccc(CN2CCN(c3cccc(C)c3C)CC2)c1 ZINC001180103025 1049286625 /nfs/dbraw/zinc/28/66/25/1049286625.db2.gz ALKIYOSBIRLGEB-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN Fc1cc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)ccc1C(F)(F)F ZINC001204862282 1049288153 /nfs/dbraw/zinc/28/81/53/1049288153.db2.gz FBWXVWZZXWOLQU-PHIMTYICSA-N 0 3 323.280 4.322 20 0 DIADHN CNc1ccc(C)cc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC001180175964 1049293780 /nfs/dbraw/zinc/29/37/80/1049293780.db2.gz ZUDPOHMJDZNQNU-FQEVSTJZSA-N 0 3 324.468 4.218 20 0 DIADHN CCCN(CCC1C2CC3CC(C2)CC1C3)[C@H](C)C(=O)OCC ZINC001194030361 1049301999 /nfs/dbraw/zinc/30/19/99/1049301999.db2.gz NPBPAISUHYCCQW-RLHPCVIISA-N 0 3 321.505 4.112 20 0 DIADHN CCn1cnc(Cl)c1CN1CCC[C@H]1CC1CCCCC1 ZINC001180169797 1049304851 /nfs/dbraw/zinc/30/48/51/1049304851.db2.gz JTCBDIXYPVYONU-HNNXBMFYSA-N 0 3 309.885 4.491 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(OCC3CC3)cc2)s1 ZINC001180207940 1049308352 /nfs/dbraw/zinc/30/83/52/1049308352.db2.gz AEOREMCFNANMIX-UHFFFAOYSA-N 0 3 301.455 4.477 20 0 DIADHN C[C@@H](NC[C@H](O)Cc1cccc(Cl)c1)c1ccccc1Cl ZINC001194058398 1049309992 /nfs/dbraw/zinc/30/99/92/1049309992.db2.gz ONDSFXUDBKUOCN-IUODEOHRSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](NCCN1CCCc2ccccc21)c1ccccc1Cl ZINC001194058023 1049310514 /nfs/dbraw/zinc/31/05/14/1049310514.db2.gz HRBDMZRGGXLRPZ-OAHLLOKOSA-N 0 3 314.860 4.443 20 0 DIADHN COc1ccc(CN(Cc2ccnc(F)c2)[C@@H](C)C2CC2)cc1 ZINC000859089560 1049311946 /nfs/dbraw/zinc/31/19/46/1049311946.db2.gz HOTNNJJONKTHJN-AWEZNQCLSA-N 0 3 314.404 4.030 20 0 DIADHN CCN(Cc1nc(-c2cccc(OC)c2)cs1)CC1CC1 ZINC001204924595 1049312096 /nfs/dbraw/zinc/31/20/96/1049312096.db2.gz XQKDXTARKWWTNQ-UHFFFAOYSA-N 0 3 302.443 4.051 20 0 DIADHN C[C@H](CCN1CCO[C@](C)(c2ccccc2)C1)c1ccccc1 ZINC001180230162 1049312812 /nfs/dbraw/zinc/31/28/12/1049312812.db2.gz ZFWAVMURGHGPNA-NQIIRXRSSA-N 0 3 309.453 4.428 20 0 DIADHN C[C@@]1(c2ccccc2)CN(Cc2cccc3ccoc32)CCO1 ZINC001180229166 1049313831 /nfs/dbraw/zinc/31/38/31/1049313831.db2.gz IRPVOJQUGUGEHV-FQEVSTJZSA-N 0 3 307.393 4.180 20 0 DIADHN Cc1c(Cl)ccc(CN(C)CC2(O)CCCC2)c1Cl ZINC001180198946 1049315572 /nfs/dbraw/zinc/31/55/72/1049315572.db2.gz MHEJBQKDAPTQHG-UHFFFAOYSA-N 0 3 302.245 4.039 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000485708563 1049318545 /nfs/dbraw/zinc/31/85/45/1049318545.db2.gz ASFRQFGDRHMPJQ-RHSMWYFYSA-N 0 3 308.466 4.438 20 0 DIADHN CCOCc1ccc(CNCc2cc(F)cc(Cl)c2)cc1 ZINC000485697952 1049320497 /nfs/dbraw/zinc/32/04/97/1049320497.db2.gz RAOVHSPUTLUYFG-UHFFFAOYSA-N 0 3 307.796 4.305 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)F)c(F)c1 ZINC001180249365 1049322863 /nfs/dbraw/zinc/32/28/63/1049322863.db2.gz JSVYFNNISGSHFO-ZDUSSCGKSA-N 0 3 323.358 4.146 20 0 DIADHN CC(C)CN(Cc1ccnc(F)c1)[C@@H]1CCCc2cccnc21 ZINC000859258535 1049325686 /nfs/dbraw/zinc/32/56/86/1049325686.db2.gz OZFAHJJYUZDWMY-QGZVFWFLSA-N 0 3 313.420 4.151 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(C)c(Cl)n2)C12CCCCC2 ZINC001194091583 1049326414 /nfs/dbraw/zinc/32/64/14/1049326414.db2.gz NCURMENAECSZJI-HZPDHXFCSA-N 0 3 322.880 4.261 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(O)ccc2Cl)C12CCCCC2 ZINC001194092919 1049326839 /nfs/dbraw/zinc/32/68/39/1049326839.db2.gz RXLCJMMHXYTQPY-DLBZAZTESA-N 0 3 323.864 4.263 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(C)c(Cl)n2)C12CCCCC2 ZINC001194091572 1049326949 /nfs/dbraw/zinc/32/69/49/1049326949.db2.gz NCURMENAECSZJI-CVEARBPZSA-N 0 3 322.880 4.261 20 0 DIADHN CCC1(CC)[C@H](NCc2nc3cc(C)ccc3s2)C[C@@H]1OC ZINC001194093594 1049326974 /nfs/dbraw/zinc/32/69/74/1049326974.db2.gz VRPIYQPMRLYSOO-CVEARBPZSA-N 0 3 318.486 4.288 20 0 DIADHN Cc1ccnc(CN2CC[C@H](C(C)(C)C)C2)c1Br ZINC001180317340 1049330261 /nfs/dbraw/zinc/33/02/61/1049330261.db2.gz DNXYRBXTVLGIDE-LBPRGKRZSA-N 0 3 311.267 4.021 20 0 DIADHN OCc1ccc(CN2CCC[C@H]2c2ccccc2Cl)cc1 ZINC000485745316 1049334994 /nfs/dbraw/zinc/33/49/94/1049334994.db2.gz QCUXRRMDJNYLTA-SFHVURJKSA-N 0 3 301.817 4.169 20 0 DIADHN COc1ccc(CN(C)C/C=C/c2ccc(F)c(F)c2)cc1C ZINC001180284830 1049336381 /nfs/dbraw/zinc/33/63/81/1049336381.db2.gz NPHJWLUOHKWGDD-SNAWJCMRSA-N 0 3 317.379 4.427 20 0 DIADHN c1ccc([C@@H](NCc2cncc3ccccc32)C2CCC2)nc1 ZINC000859564963 1049340156 /nfs/dbraw/zinc/34/01/56/1049340156.db2.gz TXGGJSCPJHZVBJ-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN CCOC[C@H](NCc1cc(-c2ccc(Cl)cc2)no1)C(C)C ZINC001194193520 1049341517 /nfs/dbraw/zinc/34/15/17/1049341517.db2.gz URKGSXCODWEJPJ-KRWDZBQOSA-N 0 3 322.836 4.146 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1nc2c(s1)C(C)(C)CC(C)(C)C2 ZINC001194213300 1049343836 /nfs/dbraw/zinc/34/38/36/1049343836.db2.gz OOSVIAUZHYFZPZ-WCQYABFASA-N 0 3 324.559 4.234 20 0 DIADHN c1cc2ccc(CNCc3ccc(-c4cnco4)cc3)cc2[nH]1 ZINC000906485715 1049349099 /nfs/dbraw/zinc/34/90/99/1049349099.db2.gz LWUZZARTSMSPEX-UHFFFAOYSA-N 0 3 303.365 4.113 20 0 DIADHN CCCOc1ccc(CNCc2ccc(-c3cnco3)cc2)cc1 ZINC000906520342 1049350115 /nfs/dbraw/zinc/35/01/15/1049350115.db2.gz NWSHTSVNCMXPQJ-UHFFFAOYSA-N 0 3 322.408 4.420 20 0 DIADHN Fc1cncc([C@@H](NCc2ccc(-c3cnco3)cc2)C2CC2)c1 ZINC000906525340 1049350623 /nfs/dbraw/zinc/35/06/23/1049350623.db2.gz LVKYTSKQDNFYJQ-IBGZPJMESA-N 0 3 323.371 4.117 20 0 DIADHN CN(Cc1ccc(F)c(F)c1)Cc1ccc2c(c1)CCCC2 ZINC001180394879 1049352598 /nfs/dbraw/zinc/35/25/98/1049352598.db2.gz YUUNPUPWXAQGLL-UHFFFAOYSA-N 0 3 301.380 4.476 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)c(C2CCCCC2)n1 ZINC001180402933 1049352704 /nfs/dbraw/zinc/35/27/04/1049352704.db2.gz ISVAOYLQROOLRX-FUHWJXTLSA-N 0 3 315.505 4.480 20 0 DIADHN COc1ccc(SCCN(C)Cc2ccc(F)c(F)c2)cc1 ZINC001205070133 1049361778 /nfs/dbraw/zinc/36/17/78/1049361778.db2.gz LMHIPCHKYRJBJC-UHFFFAOYSA-N 0 3 323.408 4.198 20 0 DIADHN c1coc(-c2cc(CN3C[C@@H]4C[C@@H](c5ccccc5)[C@@H]4C3)no2)c1 ZINC000859930733 1049362407 /nfs/dbraw/zinc/36/24/07/1049362407.db2.gz BVANKOMERGITQK-RYQLBKOJSA-N 0 3 320.392 4.170 20 0 DIADHN Fc1ccc2nc(CN3CC[C@H]4CCCC[C@H]4C3)sc2c1 ZINC001205080916 1049365714 /nfs/dbraw/zinc/36/57/14/1049365714.db2.gz BFPJUGCJMLGOEP-OLZOCXBDSA-N 0 3 304.434 4.448 20 0 DIADHN CN(Cc1ccc(-c2ccccc2F)s1)CC1=CCCOC1 ZINC000668568422 1049366453 /nfs/dbraw/zinc/36/64/53/1049366453.db2.gz GKLKGVPMWBXLEY-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN COC(=O)c1cc(CN(C)CCCC2CCCCC2)oc1C ZINC001180463143 1049369842 /nfs/dbraw/zinc/36/98/42/1049369842.db2.gz ZZGKJSFERSRHKO-UHFFFAOYSA-N 0 3 307.434 4.167 20 0 DIADHN CCC[C@H](NCc1sncc1Br)C(C)(C)C ZINC001194426080 1049372350 /nfs/dbraw/zinc/37/23/50/1049372350.db2.gz IWQNFCKEHSABGU-NSHDSACASA-N 0 3 305.285 4.210 20 0 DIADHN COc1cccc(CN[C@H]2C[C@H](OC(C)C)C2(C)C)c1Cl ZINC001194456096 1049374337 /nfs/dbraw/zinc/37/43/37/1049374337.db2.gz CKFLSUUWNZJKST-GJZGRUSLSA-N 0 3 311.853 4.030 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2c(C3CCC3)cnn2C)cc1 ZINC001180540755 1049378076 /nfs/dbraw/zinc/37/80/76/1049378076.db2.gz QHXFYVAHBJNWJR-LJQANCHMSA-N 0 3 309.457 4.333 20 0 DIADHN C[C@@H]1C[C@@H]1CN(Cc1cc(Br)ccc1F)C1CC1 ZINC001180558497 1049381578 /nfs/dbraw/zinc/38/15/78/1049381578.db2.gz ONLRAHXTQDYVTC-GHMZBOCLSA-N 0 3 312.226 4.209 20 0 DIADHN COc1cc(Cl)cc(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001205123763 1049387064 /nfs/dbraw/zinc/38/70/64/1049387064.db2.gz YJIOTWBEAYEWFG-UHFFFAOYSA-N 0 3 302.805 4.005 20 0 DIADHN C[C@H]1C[C@@H]1CN(C)Cc1cc(Br)ccc1Cl ZINC001205667772 1049387482 /nfs/dbraw/zinc/38/74/82/1049387482.db2.gz PFSQUIYGRYEGME-VHSXEESVSA-N 0 3 302.643 4.190 20 0 DIADHN CCN(Cc1cn2cc(Cl)cc(Cl)c2n1)C(C)(C)C ZINC001194654765 1049405033 /nfs/dbraw/zinc/40/50/33/1049405033.db2.gz FKOVALIAKSSDEY-UHFFFAOYSA-N 0 3 300.233 4.262 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001180697599 1049398663 /nfs/dbraw/zinc/39/86/63/1049398663.db2.gz JEECGKGTKMOWAF-KEYYUXOJSA-N 0 3 324.896 4.307 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)Cc2ccc3c(c2)OCO3)cc1C ZINC001205161866 1049399106 /nfs/dbraw/zinc/39/91/06/1049399106.db2.gz JCKZTYVQJCJTBT-WAYWQWQTSA-N 0 3 323.436 4.486 20 0 DIADHN C[C@]1(Br)C[C@@H]1CN1CCC[C@@H]1c1ccc(F)cc1 ZINC001205169467 1049400253 /nfs/dbraw/zinc/40/02/53/1049400253.db2.gz GIOOOEVGNSXIEW-YUELXQCFSA-N 0 3 312.226 4.136 20 0 DIADHN Cc1ccccc1CCN(C)Cc1ncccc1C(F)(F)F ZINC001194632653 1049401537 /nfs/dbraw/zinc/40/15/37/1049401537.db2.gz CBFMICRUGYWUHM-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3C[C@@H]3c3ccccc3)n2)cc1 ZINC001205176085 1049401757 /nfs/dbraw/zinc/40/17/57/1049401757.db2.gz NZVNBJFQYNEHIL-QZTJIDSGSA-N 0 3 319.408 4.069 20 0 DIADHN Cc1ccc(CN(C)CCCOc2cccc3ccccc32)nc1 ZINC001194648410 1049402726 /nfs/dbraw/zinc/40/27/26/1049402726.db2.gz KRBOCTSZMASLIP-UHFFFAOYSA-N 0 3 320.436 4.444 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CC(C)(C)O[C@](C)(C(F)(F)F)C1 ZINC001194647600 1049403333 /nfs/dbraw/zinc/40/33/33/1049403333.db2.gz APWBUFMCCVIJJJ-IPYPFGDCSA-N 0 3 307.400 4.245 20 0 DIADHN COc1c(C)cnc(CN(C)[C@@H](C)c2ccc3c(c2)CCC3)c1C ZINC001194640853 1049403606 /nfs/dbraw/zinc/40/36/06/1049403606.db2.gz JARDNSJGAWJIJQ-INIZCTEOSA-N 0 3 324.468 4.389 20 0 DIADHN Fc1cc(F)c(-c2cncc(CN3CCCCC3)c2)c(F)c1F ZINC001205185742 1049405371 /nfs/dbraw/zinc/40/53/71/1049405371.db2.gz OTPLHLVDHVOARO-UHFFFAOYSA-N 0 3 324.321 4.291 20 0 DIADHN CC[C@H](NC(=O)CN(CC)CC(C)(C)C)c1ccc(Cl)cc1 ZINC001194624187 1049406384 /nfs/dbraw/zinc/40/63/84/1049406384.db2.gz BBZSBNQCQJDKEC-INIZCTEOSA-N 0 3 324.896 4.275 20 0 DIADHN CC(C)(NC[C@H]1CC2(CO1)CCCCC2)c1ccccc1F ZINC001194682669 1049411066 /nfs/dbraw/zinc/41/10/66/1049411066.db2.gz DXYZKHOGFKNWIN-OAHLLOKOSA-N 0 3 305.437 4.390 20 0 DIADHN CN(Cc1ccc(Cl)c(Cl)c1)C[C@@]1(C)CCCCO1 ZINC001205216786 1049411510 /nfs/dbraw/zinc/41/15/10/1049411510.db2.gz XQMHCBSKXOJBSG-OAHLLOKOSA-N 0 3 302.245 4.384 20 0 DIADHN Clc1ccccc1CN1CCC[C@H]1COc1ccccc1 ZINC001194684930 1049412622 /nfs/dbraw/zinc/41/26/22/1049412622.db2.gz YTWTYTLTWVDCHP-INIZCTEOSA-N 0 3 301.817 4.383 20 0 DIADHN CCCOc1ccc(CN(C)Cc2ccn(CC)c2)c(C)c1 ZINC001180873163 1049413236 /nfs/dbraw/zinc/41/32/36/1049413236.db2.gz MYVUBCNQAQUKCM-UHFFFAOYSA-N 0 3 300.446 4.237 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3nc(C(C)C)no3)C2)cc1F ZINC001205243383 1049414522 /nfs/dbraw/zinc/41/45/22/1049414522.db2.gz FDHOOFYJLOKCKI-OAHLLOKOSA-N 0 3 317.408 4.020 20 0 DIADHN Cc1ccc(CN2CCC(CC(=O)OC(C)(C)C)CC2)cc1F ZINC001205243368 1049414672 /nfs/dbraw/zinc/41/46/72/1049414672.db2.gz DOQFWGYMUNIQFG-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN CCC(CC)COC(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001194713092 1049418948 /nfs/dbraw/zinc/41/89/48/1049418948.db2.gz LUKHCJNBQRUKKV-INIZCTEOSA-N 0 3 320.433 4.057 20 0 DIADHN CC1(C)CN(Cc2cccc(CC3CCC3)c2)CC(C)(C)O1 ZINC001180936512 1049420378 /nfs/dbraw/zinc/42/03/78/1049420378.db2.gz WYUITXHKESVKKJ-UHFFFAOYSA-N 0 3 301.474 4.419 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1C[C@H](O)c1c(F)cccc1F ZINC001194791106 1049423075 /nfs/dbraw/zinc/42/30/75/1049423075.db2.gz GFVMAWLHWAQERP-IOASZLSFSA-N 0 3 311.416 4.145 20 0 DIADHN Cc1ccc(CN2CCN(c3cccc(F)c3)C[C@@H]2C)cc1C ZINC001194755660 1049423973 /nfs/dbraw/zinc/42/39/73/1049423973.db2.gz WHMXRFCOVYQNKB-KRWDZBQOSA-N 0 3 312.432 4.153 20 0 DIADHN CC[C@H]1CCN(Cc2cc(Br)c(C)s2)[C@@H]1C ZINC001181041699 1049429404 /nfs/dbraw/zinc/42/94/04/1049429404.db2.gz BCKQJIRHKADVEB-KOLCDFICSA-N 0 3 302.281 4.439 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cncc3ccccc32)C1 ZINC001205291408 1049429477 /nfs/dbraw/zinc/42/94/77/1049429477.db2.gz KFZKQQAZKHGQJD-MGPUTAFESA-N 0 3 303.409 4.213 20 0 DIADHN CC[C@@H](CCc1ccccc1)NCc1nc(-c2ccccc2)no1 ZINC001205299909 1049432563 /nfs/dbraw/zinc/43/25/63/1049432563.db2.gz SBLBIIZAEHEBGA-SFHVURJKSA-N 0 3 321.424 4.238 20 0 DIADHN CCCSC[C@@H](C)N[C@H](COC)c1ccc(Cl)cc1 ZINC001181275338 1049458049 /nfs/dbraw/zinc/45/80/49/1049458049.db2.gz BGNXFEYJSBIKSJ-IUODEOHRSA-N 0 3 301.883 4.149 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000668632536 1049434597 /nfs/dbraw/zinc/43/45/97/1049434597.db2.gz YVNZPUQPLBSFCO-DOTOQJQBSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC[C@@H](OCC2CC2)C1 ZINC001181085881 1049434623 /nfs/dbraw/zinc/43/46/23/1049434623.db2.gz HIFZTFDLFYXTPD-QGZVFWFLSA-N 0 3 307.865 4.348 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]([C@H]3CCCCO3)C2)cc1F ZINC000668631168 1049435323 /nfs/dbraw/zinc/43/53/23/1049435323.db2.gz OGSBCRXESAJFDE-FUHWJXTLSA-N 0 3 321.436 4.006 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000668632539 1049436764 /nfs/dbraw/zinc/43/67/64/1049436764.db2.gz YVNZPUQPLBSFCO-RDJZCZTQSA-N 0 3 320.502 4.192 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CC[C@@H](C)[C@@H](C)C2)cc(Cl)c1[O-] ZINC001205321132 1049438274 /nfs/dbraw/zinc/43/82/74/1049438274.db2.gz XWYCANOHMNANQL-MBNYWOFBSA-N 0 3 311.853 4.359 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CNC1CC2(C1)CCCC2 ZINC001181102187 1049438339 /nfs/dbraw/zinc/43/83/39/1049438339.db2.gz JOMACJYBXIIOES-UHFFFAOYSA-N 0 3 303.837 4.039 20 0 DIADHN C[C@H]1CN(c2ccc(Cl)c(F)c2)CCN1[C@@H]1C=CCCC1 ZINC001194874771 1049440519 /nfs/dbraw/zinc/44/05/19/1049440519.db2.gz UVWRKOZZKWBHFW-UONOGXRCSA-N 0 3 308.828 4.098 20 0 DIADHN CC(C)CCC1CCN(C(=O)c2ccccc2CN(C)C)CC1 ZINC000486600508 1049443345 /nfs/dbraw/zinc/44/33/45/1049443345.db2.gz HYLSTYVCQPESLG-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN CCOc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1F ZINC000486672161 1049453551 /nfs/dbraw/zinc/45/35/51/1049453551.db2.gz BIJBLWYDQYPVSZ-UHFFFAOYSA-N 0 3 316.351 4.135 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cc2ccccc2[nH]1 ZINC000775626279 1049454452 /nfs/dbraw/zinc/45/44/52/1049454452.db2.gz ITLXKMYJTLPHBJ-STQMWFEESA-N 0 3 308.381 4.308 20 0 DIADHN Fc1ccc2c(c1)CCN(CCCc1ccc(F)c(F)c1)C2 ZINC001205380770 1049455325 /nfs/dbraw/zinc/45/53/25/1049455325.db2.gz YMTGSJCJAZEWPW-UHFFFAOYSA-N 0 3 305.343 4.095 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(Cc4cccnc4)CC3)cc21 ZINC001205380769 1049455793 /nfs/dbraw/zinc/45/57/93/1049455793.db2.gz YIVIPONVMWCFGP-UHFFFAOYSA-N 0 3 319.452 4.326 20 0 DIADHN CN(Cc1ccc(Cl)nc1C(F)(F)F)C1CC(C)(C)C1 ZINC001194992890 1049458734 /nfs/dbraw/zinc/45/87/34/1049458734.db2.gz IKVYILXIGMTAEK-UHFFFAOYSA-N 0 3 306.759 4.374 20 0 DIADHN CCc1ccc([C@H]2CN(C[C@@H]3CCc4ccccc43)CCO2)cc1 ZINC001205411597 1049463652 /nfs/dbraw/zinc/46/36/52/1049463652.db2.gz IRAMAAXNFMGQTE-RBBKRZOGSA-N 0 3 321.464 4.352 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2c(F)cccc2OC(F)F)cc1 ZINC001181382202 1049468431 /nfs/dbraw/zinc/46/84/31/1049468431.db2.gz CZBKDBOEMDPILS-CYBMUJFWSA-N 0 3 323.358 4.456 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](c2ccc(C)o2)C2CCCC2)o1 ZINC000775629820 1049469094 /nfs/dbraw/zinc/46/90/94/1049469094.db2.gz ADQSCLSBPNXPMV-YJBOKZPZSA-N 0 3 303.402 4.044 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2c2ccccc2)cn1 ZINC001205429538 1049469195 /nfs/dbraw/zinc/46/91/95/1049469195.db2.gz DVKBKIFOASRJSC-HNNXBMFYSA-N 0 3 306.331 4.438 20 0 DIADHN CC(C)c1ccc(CCN2CCOC3(CCCCC3)C2)cc1 ZINC001181418629 1049471444 /nfs/dbraw/zinc/47/14/44/1049471444.db2.gz OIWJVGHQKLFWEX-UHFFFAOYSA-N 0 3 301.474 4.388 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1nc2cc(Br)ccc2[nH]1 ZINC001195075871 1049472344 /nfs/dbraw/zinc/47/23/44/1049472344.db2.gz YETJZYYPHVUXRK-JTQLQIEISA-N 0 3 322.250 4.088 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cc2ccccc2[nH]1 ZINC000775635070 1049472753 /nfs/dbraw/zinc/47/27/53/1049472753.db2.gz SZQUOLLWLVNMJM-VXGBXAGGSA-N 0 3 320.396 4.162 20 0 DIADHN CCN(Cc1cc(F)ccc1OC(F)F)C1CC(C)(C)C1 ZINC001195084755 1049473546 /nfs/dbraw/zinc/47/35/46/1049473546.db2.gz YOTFOPCPNILNGC-UHFFFAOYSA-N 0 3 301.352 4.438 20 0 DIADHN CCN(Cc1cccc(C(=O)OC)c1Cl)C1CC(C)(C)C1 ZINC001195083464 1049473653 /nfs/dbraw/zinc/47/36/53/1049473653.db2.gz BXUYKMSRKPNGRT-UHFFFAOYSA-N 0 3 309.837 4.137 20 0 DIADHN CCN(Cc1cc2c(cc1Cl)OCCO2)C1CC(C)(C)C1 ZINC001195084709 1049474407 /nfs/dbraw/zinc/47/44/07/1049474407.db2.gz WVUMWSSMOVCDLF-UHFFFAOYSA-N 0 3 309.837 4.122 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000486796894 1049474980 /nfs/dbraw/zinc/47/49/80/1049474980.db2.gz VRIMEJYNYVKPTG-OCCSQVGLSA-N 0 3 305.447 4.199 20 0 DIADHN CC(C)C[C@@]1(CO)CCCN1Cc1csc(C(F)(F)F)c1 ZINC001181391095 1049475467 /nfs/dbraw/zinc/47/54/67/1049475467.db2.gz SONZMPXRZKCRLO-CQSZACIVSA-N 0 3 321.408 4.140 20 0 DIADHN Fc1ccc(F)c(CC2CCN(CCC(F)(F)F)CC2)c1 ZINC001195092467 1049476109 /nfs/dbraw/zinc/47/61/09/1049476109.db2.gz CZUPHMIGQKXBRW-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN CC[C@H]1CCN(Cc2nc(-c3cccc(OC)c3)cs2)[C@@H]1C ZINC001205471611 1049479386 /nfs/dbraw/zinc/47/93/86/1049479386.db2.gz OQBZEVMIUVJAPD-KGLIPLIRSA-N 0 3 316.470 4.439 20 0 DIADHN CCCCC[C@@H](C)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001205477864 1049480441 /nfs/dbraw/zinc/48/04/41/1049480441.db2.gz LZFNRTYFAISBGM-QGZVFWFLSA-N 0 3 303.494 4.209 20 0 DIADHN COC(=O)[C@H]1C[C@H](C)CCN1CC1CCC2(CCCCC2)CC1 ZINC001205488982 1049484718 /nfs/dbraw/zinc/48/47/18/1049484718.db2.gz GLSUEYAALQJZMA-SJLPKXTDSA-N 0 3 321.505 4.401 20 0 DIADHN Clc1ccc2[nH]cc(CCNCc3cnc(C4CC4)o3)c2c1 ZINC000862285482 1049484842 /nfs/dbraw/zinc/48/48/42/1049484842.db2.gz MDCVUXAJJOWCER-UHFFFAOYSA-N 0 3 315.804 4.019 20 0 DIADHN Cc1c(CN[C@@H]2c3cccc(F)c3CC[C@H]2C)ccnc1F ZINC000862283639 1049485008 /nfs/dbraw/zinc/48/50/08/1049485008.db2.gz MNJVJSPOWUYDNA-DIFFPNOSSA-N 0 3 302.368 4.081 20 0 DIADHN CC[C@H](CSC)N(C)Cc1c(C)nc2scc(C3CC3)n12 ZINC001181534607 1049488373 /nfs/dbraw/zinc/48/83/73/1049488373.db2.gz NOEJPYPBYQXHKH-CYBMUJFWSA-N 0 3 323.531 4.155 20 0 DIADHN COCC(C)(C)CN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000862380144 1049491896 /nfs/dbraw/zinc/49/18/96/1049491896.db2.gz RQSSOXADKOENDF-VIFPVBQESA-N 0 3 324.774 4.077 20 0 DIADHN COC(=O)c1ccc(CNCc2cccc(CC3CCC3)c2)cc1 ZINC001181564033 1049493097 /nfs/dbraw/zinc/49/30/97/1049493097.db2.gz KPFCWQBCGNZOMN-UHFFFAOYSA-N 0 3 323.436 4.106 20 0 DIADHN c1cc(C2CCN(Cc3cccc(-c4ccccn4)c3)CC2)no1 ZINC000668714544 1049494459 /nfs/dbraw/zinc/49/44/59/1049494459.db2.gz YULMRPGRDACKHF-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1cc2cc(CN3CCC(c4ccon4)CC3)oc2cc1C ZINC000668714318 1049494842 /nfs/dbraw/zinc/49/48/42/1049494842.db2.gz RKIXCMOAYPGQFY-UHFFFAOYSA-N 0 3 310.397 4.417 20 0 DIADHN CCN(CC)[C@H](CNCc1sccc1Cl)c1ccco1 ZINC000486937003 1049496770 /nfs/dbraw/zinc/49/67/70/1049496770.db2.gz KUQIYAJPMSMMQI-CYBMUJFWSA-N 0 3 312.866 4.167 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cccc2[nH]c(=O)oc21)C1CC1 ZINC001181657235 1049501506 /nfs/dbraw/zinc/50/15/06/1049501506.db2.gz XLPDRUZVYZMMMV-CYBMUJFWSA-N 0 3 308.381 4.259 20 0 DIADHN Fc1ccc(F)c(C[C@H]2CCCN2C[C@@H]2CCC3(CCC3)O2)c1 ZINC001195258112 1049502087 /nfs/dbraw/zinc/50/20/87/1049502087.db2.gz MHEIVTVJKXHPTO-SJORKVTESA-N 0 3 321.411 4.073 20 0 DIADHN C[C@@H]1CN(Cc2cc(F)ccc2Br)[C@@H](C)[C@H]1C ZINC001195278122 1049507523 /nfs/dbraw/zinc/50/75/23/1049507523.db2.gz JKMRURARXPZHAH-VWYCJHECSA-N 0 3 300.215 4.065 20 0 DIADHN OCC[C@H]1CCCCCN1Cc1ccc(Oc2ccccc2)o1 ZINC001181728440 1049507537 /nfs/dbraw/zinc/50/75/37/1049507537.db2.gz DGAYNQUKTDSVSI-MRXNPFEDSA-N 0 3 315.413 4.199 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC001205599132 1049507425 /nfs/dbraw/zinc/50/74/25/1049507425.db2.gz MDNPRLZKSPXWHX-KRWDZBQOSA-N 0 3 319.452 4.047 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001205609595 1049510914 /nfs/dbraw/zinc/51/09/14/1049510914.db2.gz WOGYPGPXRMNECD-HXUWFJFHSA-N 0 3 309.453 4.413 20 0 DIADHN CC[C@H](NC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000339043233 1049511324 /nfs/dbraw/zinc/51/13/24/1049511324.db2.gz WHKKMICRFJJVBV-SFHVURJKSA-N 0 3 311.429 4.021 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1CCc2ccccc21)C(C)C ZINC001181764563 1049515299 /nfs/dbraw/zinc/51/52/99/1049515299.db2.gz MSKPGNDZZMLDBS-QGZVFWFLSA-N 0 3 305.402 4.131 20 0 DIADHN C[C@]1(Cc2cccc(F)c2)CCCN1Cc1cccc(Cl)n1 ZINC001195405011 1049523158 /nfs/dbraw/zinc/52/31/58/1049523158.db2.gz SCYSYSGJZRHJBD-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN Cc1ccc(F)c(CN2CCC(CC(=O)OC(C)(C)C)CC2)c1 ZINC001205661517 1049526542 /nfs/dbraw/zinc/52/65/42/1049526542.db2.gz AQYQZXYHHJLVJL-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN Cc1cscc1CNCc1ccc(OC(F)F)c(F)c1 ZINC000487202800 1049528203 /nfs/dbraw/zinc/52/82/03/1049528203.db2.gz LJMDOJIJHWKPDB-UHFFFAOYSA-N 0 3 301.333 4.087 20 0 DIADHN Cc1cc(CN(Cc2ccc(C)nc2)CC2CC2)c(C)s1 ZINC001195463959 1049530753 /nfs/dbraw/zinc/53/07/53/1049530753.db2.gz PWZBQZNAVMYOBK-UHFFFAOYSA-N 0 3 300.471 4.481 20 0 DIADHN C[C@H](CC1CCCCC1)NCc1nccn1Cc1ccccc1 ZINC000487220190 1049533676 /nfs/dbraw/zinc/53/36/76/1049533676.db2.gz ZFOOMUODJKJZRQ-QGZVFWFLSA-N 0 3 311.473 4.380 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000487257202 1049538115 /nfs/dbraw/zinc/53/81/15/1049538115.db2.gz HJUZDQRIIPGQFY-GHMZBOCLSA-N 0 3 317.260 4.298 20 0 DIADHN Cc1ccc(CNC/C=C/c2ccncc2)c(OC(C)(C)C)c1 ZINC000487294956 1049543536 /nfs/dbraw/zinc/54/35/36/1049543536.db2.gz FPYVNXKJRDDXQS-AATRIKPKSA-N 0 3 310.441 4.370 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CNC/C=C\c1ccncc1 ZINC000487294419 1049543833 /nfs/dbraw/zinc/54/38/33/1049543833.db2.gz AXJUZXKDFVYNKF-KEGWNNHHSA-N 0 3 310.441 4.370 20 0 DIADHN CC1(C)C[C@H](NC/C=C/c2ccncc2)c2ccc(F)cc2O1 ZINC000487296330 1049544338 /nfs/dbraw/zinc/54/43/38/1049544338.db2.gz IQMHLVILKOEIEU-IDOMTICXSA-N 0 3 312.388 4.126 20 0 DIADHN CCOc1cccc(CN2CC[C@@H](C(F)(F)F)C[C@H]2C)c1 ZINC001181912723 1049548049 /nfs/dbraw/zinc/54/80/49/1049548049.db2.gz HEONCZFNFBYERN-TZMCWYRMSA-N 0 3 301.352 4.248 20 0 DIADHN CC(C)(NC/C=C\c1ccncc1)c1cccc(Cl)c1F ZINC000487557080 1049555391 /nfs/dbraw/zinc/55/53/91/1049555391.db2.gz WGEVCGCTZWXLTL-PLNGDYQASA-N 0 3 304.796 4.412 20 0 DIADHN COCc1cc([C@@H](C)NCc2ccc(F)c(C)c2)ccc1OC ZINC000119409560 1049557177 /nfs/dbraw/zinc/55/71/77/1049557177.db2.gz PADFOPPICYQUMS-CQSZACIVSA-N 0 3 317.404 4.140 20 0 DIADHN C[C@@H]1CN(Cc2cncc(Cl)c2)CCc2ccc(F)cc21 ZINC001195705069 1049558085 /nfs/dbraw/zinc/55/80/85/1049558085.db2.gz UCAJEVOZUTWEEH-GFCCVEGCSA-N 0 3 304.796 4.036 20 0 DIADHN C[C@H]1CN(Cc2cccnc2Cl)CCc2ccc(F)cc21 ZINC001195694389 1049558289 /nfs/dbraw/zinc/55/82/89/1049558289.db2.gz KVAPWOPDWICBFW-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN C[C@@H]1CN(Cc2cccnc2Cl)CCc2ccc(F)cc21 ZINC001195694388 1049558616 /nfs/dbraw/zinc/55/86/16/1049558616.db2.gz KVAPWOPDWICBFW-GFCCVEGCSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1ccc(OCc2ccccc2)cc1-c1ccnc(CN)c1 ZINC001205768528 1049559520 /nfs/dbraw/zinc/55/95/20/1049559520.db2.gz JUJPGUMWLFUSCO-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN Clc1ccc2c(c1)OCCC[C@H]2NC/C=C/c1ccncc1 ZINC000487573520 1049561017 /nfs/dbraw/zinc/56/10/17/1049561017.db2.gz LPQAPKPXIDZHME-XKKXFUJGSA-N 0 3 314.816 4.252 20 0 DIADHN CCc1oc2ccccc2c1CN[C@H](c1ccccc1)[C@@H](C)O ZINC000668786664 1049564502 /nfs/dbraw/zinc/56/45/02/1049564502.db2.gz FMHZHXYHANMPAH-VLIAUNLRSA-N 0 3 309.409 4.207 20 0 DIADHN COc1ccc(CN2CC3(CCC3)[C@H]2C(C)C)cc1OC1CC1 ZINC001182086959 1049564841 /nfs/dbraw/zinc/56/48/41/1049564841.db2.gz NNLUMLTVNLVSKP-LJQANCHMSA-N 0 3 315.457 4.247 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc(C)c2C)cc1OC ZINC001182008456 1049565008 /nfs/dbraw/zinc/56/50/08/1049565008.db2.gz SACAGJOHTPTFFU-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN CCc1oc2ccccc2c1CN[C@@H](c1ccccc1)[C@@H](C)O ZINC000668786662 1049565378 /nfs/dbraw/zinc/56/53/78/1049565378.db2.gz FMHZHXYHANMPAH-JLTOFOAXSA-N 0 3 309.409 4.207 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H](c1ccccc1)[C@@H](C)O ZINC000668788260 1049567000 /nfs/dbraw/zinc/56/70/00/1049567000.db2.gz YAOSEEJFRZVFOM-RDTXWAMCSA-N 0 3 303.833 4.169 20 0 DIADHN C[C@@H]1CN(C[C@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)[C@@H]1C ZINC001182062063 1049567977 /nfs/dbraw/zinc/56/79/77/1049567977.db2.gz KDSMRJUWVLMOSP-KFWWJZLASA-N 0 3 312.498 4.046 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)[C@@H]1C[C@@H](OC)C12CCC2 ZINC001205821512 1049574256 /nfs/dbraw/zinc/57/42/56/1049574256.db2.gz BTYIRLGVIOBMGL-HZPDHXFCSA-N 0 3 323.864 4.128 20 0 DIADHN Cc1cccnc1CN1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001205821636 1049575055 /nfs/dbraw/zinc/57/50/55/1049575055.db2.gz YRMAEXGTQVJBNY-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN1CCCC[C@@H]1C1CCC1 ZINC001195825800 1049577847 /nfs/dbraw/zinc/57/78/47/1049577847.db2.gz RQBRYZQDRVZZCE-OAQYLSRUSA-N 0 3 323.484 4.280 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccc(F)cc1)c1ccccc1Cl ZINC000487938148 1049584751 /nfs/dbraw/zinc/58/47/51/1049584751.db2.gz GJPHNXAPCHQMDM-GFCCVEGCSA-N 0 3 320.795 4.159 20 0 DIADHN Cc1cnccc1CN1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001205862554 1049584857 /nfs/dbraw/zinc/58/48/57/1049584857.db2.gz HDCCCBXAFKPLDP-SFHVURJKSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1ncc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)cn1 ZINC001205875326 1049589049 /nfs/dbraw/zinc/58/90/49/1049589049.db2.gz JIKUOKRBUUWTIS-UHFFFAOYSA-N 0 3 321.346 4.067 20 0 DIADHN CC(C)c1ccc(CN[C@@H](c2ccncc2)C2(CO)CCC2)cc1 ZINC000668811792 1049589459 /nfs/dbraw/zinc/58/94/59/1049589459.db2.gz CEDIBZJLKRTEOW-FQEVSTJZSA-N 0 3 324.468 4.199 20 0 DIADHN COCCN(Cc1cc(-c2cccs2)on1)[C@@H]1CCC[C@H]1C ZINC000488007901 1049591894 /nfs/dbraw/zinc/59/18/94/1049591894.db2.gz KABRVDXURJNKGD-UKRRQHHQSA-N 0 3 320.458 4.040 20 0 DIADHN CCCCN(CC)[C@H](C(=O)N[C@H]1CC=CCC1)c1ccccc1 ZINC001182238165 1049594455 /nfs/dbraw/zinc/59/44/55/1049594455.db2.gz UZIJYQSMUNUBOZ-OALUTQOASA-N 0 3 314.473 4.075 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1cncc(Cl)c1Cl ZINC001182262118 1049600738 /nfs/dbraw/zinc/60/07/38/1049600738.db2.gz QSUFLWNIYRUBSX-LBPRGKRZSA-N 0 3 324.255 4.284 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000775680265 1049602406 /nfs/dbraw/zinc/60/24/06/1049602406.db2.gz BAIBLGIFCLFWDM-BBRMVZONSA-N 0 3 317.408 4.324 20 0 DIADHN C[C@]1(Cc2ccccc2Cl)CCCN1Cc1cncc(F)c1 ZINC001196021301 1049604327 /nfs/dbraw/zinc/60/43/27/1049604327.db2.gz FXMODUGQIJGKGO-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2ccccc2Cl)on1 ZINC001196023368 1049604818 /nfs/dbraw/zinc/60/48/18/1049604818.db2.gz UADPVTHNSDPWJU-QGZVFWFLSA-N 0 3 304.821 4.234 20 0 DIADHN CCOC(=O)[C@@H]1C2CCC(CC2)N1C[C@H]1CCCC(C)(C)C1 ZINC001205943425 1049608025 /nfs/dbraw/zinc/60/80/25/1049608025.db2.gz RFXPQNWOLLIMFQ-OTBWCIGPSA-N 0 3 307.478 4.009 20 0 DIADHN CC[C@H](C)N(CC)Cc1ccc(OC)cc1Br ZINC001196047651 1049609438 /nfs/dbraw/zinc/60/94/38/1049609438.db2.gz ASWPNUDHZWQSFK-NSHDSACASA-N 0 3 300.240 4.078 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@H]3COCC[C@@H]32)c1 ZINC000488241417 1049617903 /nfs/dbraw/zinc/61/79/03/1049617903.db2.gz DZMGOFNOSKKKPC-BBRMVZONSA-N 0 3 314.256 4.037 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](Cc1ccccc1)OC ZINC001196157892 1049625222 /nfs/dbraw/zinc/62/52/22/1049625222.db2.gz CLOBZFULCAQPGT-IBGZPJMESA-N 0 3 315.432 4.295 20 0 DIADHN Cc1ccc2nc(-c3ccc(F)cc3)c(CN3C[C@@H](C)[C@H]3C)n2c1 ZINC001206018300 1049630298 /nfs/dbraw/zinc/63/02/98/1049630298.db2.gz YYQNRWZNJONTHP-HUUCEWRRSA-N 0 3 323.415 4.289 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2cc3ccccc3s2)c(C)o1 ZINC000774222014 1049631914 /nfs/dbraw/zinc/63/19/14/1049631914.db2.gz AZJLUBRJJAJPHW-MLGOLLRUSA-N 0 3 315.438 4.495 20 0 DIADHN CCN(Cc1cc2ccc(F)cc2[nH]1)Cc1ccc(OC)cc1 ZINC000488303631 1049631972 /nfs/dbraw/zinc/63/19/72/1049631972.db2.gz WPQBUBLKUWSQGF-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2cc3ccc(F)cc3[nH]2)c1 ZINC000488288752 1049632521 /nfs/dbraw/zinc/63/25/21/1049632521.db2.gz DKLZCABLNBVXCJ-UHFFFAOYSA-N 0 3 311.404 4.005 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CN1CC(C2CC2)C1 ZINC001206023788 1049632795 /nfs/dbraw/zinc/63/27/95/1049632795.db2.gz UZFMGDUHXODGNZ-UHFFFAOYSA-N 0 3 323.484 4.071 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@H](C3CCC3)C2)co1 ZINC001196254259 1049633090 /nfs/dbraw/zinc/63/30/90/1049633090.db2.gz LNKBMBVXJOJAEF-HNNXBMFYSA-N 0 3 314.404 4.493 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2c(F)cccc2Cl)c(C)o1 ZINC000774251378 1049635423 /nfs/dbraw/zinc/63/54/23/1049635423.db2.gz JDJCTGVHICFXDO-BONVTDFDSA-N 0 3 311.784 4.073 20 0 DIADHN CC1(C)CCC(C)(C)N(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001196275363 1049636513 /nfs/dbraw/zinc/63/65/13/1049636513.db2.gz NFMVJSKMRUVXQP-UHFFFAOYSA-N 0 3 315.436 4.412 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc3c(c2)OCCCCO3)CC1(C)C ZINC001206041109 1049637307 /nfs/dbraw/zinc/63/73/07/1049637307.db2.gz RVPMBJDWJVPFTB-SFHVURJKSA-N 0 3 303.446 4.104 20 0 DIADHN COc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)cc1 ZINC001196284293 1049638580 /nfs/dbraw/zinc/63/85/80/1049638580.db2.gz AFFBBQORPHSGGB-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C)oc1C)[C@@H](O)c1c(F)cccc1F ZINC000774257758 1049638451 /nfs/dbraw/zinc/63/84/51/1049638451.db2.gz KWGNGLGNSCLZRN-SDDDUWNISA-N 0 3 323.383 4.337 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1OC)c1ccc2c(c1)CCO2 ZINC000119824042 1049638676 /nfs/dbraw/zinc/63/86/76/1049638676.db2.gz PYGDKMVLPYAPMA-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)C[C@@H]1C[C@H]1C ZINC000488359454 1049640272 /nfs/dbraw/zinc/64/02/72/1049640272.db2.gz ZYLUVDLYTMDEGN-OLZOCXBDSA-N 0 3 319.836 4.258 20 0 DIADHN OCC[C@@H]1CCCCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001205773306 1049647501 /nfs/dbraw/zinc/64/75/01/1049647501.db2.gz KZYYRHBWQVLFLE-ZDUSSCGKSA-N 0 3 324.877 4.077 20 0 DIADHN C[C@@]12CCN(Cc3cc(Cl)nc4ccccc34)C[C@@H]1C2(F)F ZINC000794623459 1049648111 /nfs/dbraw/zinc/64/81/11/1049648111.db2.gz MGLMDLRUEOSURK-GOEBONIOSA-N 0 3 322.786 4.365 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3C[C@H]3c3ccccc3)n2)cc1 ZINC000794668494 1049649332 /nfs/dbraw/zinc/64/93/32/1049649332.db2.gz NZVNBJFQYNEHIL-ZWKOTPCHSA-N 0 3 319.408 4.069 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccccc2Br)CS1 ZINC000488414269 1049651107 /nfs/dbraw/zinc/65/11/07/1049651107.db2.gz NOTRCTXSHIBCIM-TZMCWYRMSA-N 0 3 314.292 4.069 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cccnc2OC2CCCC2)CS1 ZINC000488413822 1049652109 /nfs/dbraw/zinc/65/21/09/1049652109.db2.gz PTFCUAPQOQZKNU-NVXWUHKLSA-N 0 3 320.502 4.023 20 0 DIADHN Cc1cc(CN(C)C2CC(OC(C)(C)C)C2)c2c(n1)CCCC2 ZINC001182442580 1049652698 /nfs/dbraw/zinc/65/26/98/1049652698.db2.gz GHSXUIFZEQNFIR-UHFFFAOYSA-N 0 3 316.489 4.047 20 0 DIADHN CC[C@H](CO)N(Cc1cscn1)C1CCC(C(C)(C)C)CC1 ZINC001196419130 1049655504 /nfs/dbraw/zinc/65/55/04/1049655504.db2.gz KZQKTXBAPWIEDY-ARWYELJZSA-N 0 3 324.534 4.321 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H]2CCOc3ccc(F)cc32)CS1 ZINC000488452757 1049658099 /nfs/dbraw/zinc/65/80/99/1049658099.db2.gz BGZDELRTSUWOAX-BJJXKVORSA-N 0 3 309.450 4.159 20 0 DIADHN CC1(C)CN(Cc2ccc(F)c3ccccc23)[C@@H]1[C@@H]1CCCO1 ZINC001206121057 1049659286 /nfs/dbraw/zinc/65/92/86/1049659286.db2.gz GVLKCLLHWAMEMI-RBUKOAKNSA-N 0 3 313.416 4.368 20 0 DIADHN CCc1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C2CC2)n[nH]1 ZINC000774417945 1049659582 /nfs/dbraw/zinc/65/95/82/1049659582.db2.gz GBNXDHAHCCPHSP-INIZCTEOSA-N 0 3 323.362 4.232 20 0 DIADHN CC(C)(NC[C@@H]1CCCC(C)(C)O1)c1nc2ccccc2s1 ZINC001196489119 1049660530 /nfs/dbraw/zinc/66/05/30/1049660530.db2.gz LITAZFXNAGCMMT-ZDUSSCGKSA-N 0 3 318.486 4.469 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@@H](c3ccccc3)C2)[n-]1 ZINC000488505064 1049662991 /nfs/dbraw/zinc/66/29/91/1049662991.db2.gz XESGJVHSWGKVDN-XJNFMUPTSA-N 0 3 312.461 4.305 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN1CC[C@@H](C2CCCC2)C1 ZINC001182461694 1049664491 /nfs/dbraw/zinc/66/44/91/1049664491.db2.gz KWPSUHPJHHNXER-CQSZACIVSA-N 0 3 317.864 4.239 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2cc(Cl)c(N)c(Cl)c2)c1 ZINC000774458608 1049668508 /nfs/dbraw/zinc/66/85/08/1049668508.db2.gz OQMSONROHNZRAQ-JTQLQIEISA-N 0 3 310.228 4.130 20 0 DIADHN CCCOc1ccc(-c2cncc(CN3CCCC3)c2)c(F)c1 ZINC001206149438 1049669138 /nfs/dbraw/zinc/66/91/38/1049669138.db2.gz NJAOGHHWEXCBPM-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C[C@@H](CC)c2ccccc2)[n-]1 ZINC000488532084 1049669785 /nfs/dbraw/zinc/66/97/85/1049669785.db2.gz ZLGOQHQJTOLGFE-RRFJBIMHSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@@H](C[NH2+][C@H](C)c1nnc([C@@H](C)CC)[n-]1)c1ccccc1 ZINC000488532104 1049670071 /nfs/dbraw/zinc/67/00/71/1049670071.db2.gz ZLGOQHQJTOLGFE-ZNMIVQPWSA-N 0 3 300.450 4.163 20 0 DIADHN Cc1ccc(/C=C/c2cc(OC3CCN(C)CC3)ccn2)cc1 ZINC001206153583 1049671177 /nfs/dbraw/zinc/67/11/77/1049671177.db2.gz QXTSYSXDYSAQOZ-BQYQJAHWSA-N 0 3 308.425 4.033 20 0 DIADHN Brc1ccc([C@H]2CCCN2C[C@H]2CC=CCC2)nc1 ZINC001206160317 1049671377 /nfs/dbraw/zinc/67/13/77/1049671377.db2.gz YNZQTYXBNDDOKF-XJKSGUPXSA-N 0 3 321.262 4.337 20 0 DIADHN Fc1ccc2cc(CN3CCC(Cc4cccnc4)CC3)[nH]c2c1 ZINC000488522034 1049672378 /nfs/dbraw/zinc/67/23/78/1049672378.db2.gz YUUVMDZKLPCZLC-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Brc1ccc([C@@H]2CCCN2C[C@H]2CC=CCC2)nc1 ZINC001206160314 1049672450 /nfs/dbraw/zinc/67/24/50/1049672450.db2.gz YNZQTYXBNDDOKF-BBRMVZONSA-N 0 3 321.262 4.337 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H]1COC2(CCCC2)O1 ZINC000774469682 1049672583 /nfs/dbraw/zinc/67/25/83/1049672583.db2.gz HRNIVVVCDGGNDT-LSDHHAIUSA-N 0 3 315.413 4.078 20 0 DIADHN C[C@@H]1C[C@H]1CN1CCC[C@H]1c1ccc(Br)s1 ZINC000488544379 1049673849 /nfs/dbraw/zinc/67/38/49/1049673849.db2.gz LYYLQUSPJGKHOP-VWYCJHECSA-N 0 3 300.265 4.304 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N(CC)CCc1ccccc1 ZINC000488555669 1049674194 /nfs/dbraw/zinc/67/41/94/1049674194.db2.gz PMVZYRFIYIMHBT-QGZVFWFLSA-N 0 3 324.468 4.141 20 0 DIADHN COc1ccccc1C1CCN(Cc2sc(C)nc2C)CC1 ZINC001206179257 1049678268 /nfs/dbraw/zinc/67/82/68/1049678268.db2.gz LETCQVKIJLGELN-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccc(F)cc3F)CC2)s1 ZINC001206199102 1049680881 /nfs/dbraw/zinc/68/08/81/1049680881.db2.gz PBDAQYKQTNPECH-UHFFFAOYSA-N 0 3 322.424 4.418 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)[C@@H]2CCCN2CC2CC2)cc1 ZINC001182553456 1049682136 /nfs/dbraw/zinc/68/21/36/1049682136.db2.gz AXLSLXVWQVYTIF-KDOFPFPSSA-N 0 3 300.446 4.013 20 0 DIADHN CCC1(c2ccccc2)CN(Cc2ccc3c(c2)C[C@@H](C)O3)C1 ZINC001206224232 1049685507 /nfs/dbraw/zinc/68/55/07/1049685507.db2.gz OSKXWAQFZVAIRV-MRXNPFEDSA-N 0 3 307.437 4.174 20 0 DIADHN CCCn1nccc1CN1CCC[C@@]1(C)Cc1ccccc1F ZINC001182542893 1049685712 /nfs/dbraw/zinc/68/57/12/1049685712.db2.gz HRPICBBZDNZMBD-IBGZPJMESA-N 0 3 315.436 4.029 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001196758323 1049686985 /nfs/dbraw/zinc/68/69/85/1049686985.db2.gz GGOGYNJISQTTCT-ZACQAIPSSA-N 0 3 324.509 4.287 20 0 DIADHN CN(CCC(C)(C)C)Cc1c(Cl)cccc1N1CCOCC1 ZINC001182566459 1049687299 /nfs/dbraw/zinc/68/72/99/1049687299.db2.gz PIJULFBAKLQBJB-UHFFFAOYSA-N 0 3 324.896 4.045 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)CC(=O)N1CCCCCC1 ZINC000488676614 1049687529 /nfs/dbraw/zinc/68/75/29/1049687529.db2.gz ZIKKPGDODMJNPW-HXUWFJFHSA-N 0 3 316.489 4.027 20 0 DIADHN C[C@H](CN1CCc2ccccc2[C@@H]1CO)c1cccc(Cl)c1 ZINC001204348018 1049688771 /nfs/dbraw/zinc/68/87/71/1049688771.db2.gz NJLNBRLMAMEVSR-KUHUBIRLSA-N 0 3 315.844 4.035 20 0 DIADHN CCc1cccc2cc(CN3C[C@@H](O)C[C@@H]3c3ccccc3)oc21 ZINC001206248247 1049689412 /nfs/dbraw/zinc/68/94/12/1049689412.db2.gz STJLCBKNXWPISL-AZUAARDMSA-N 0 3 321.420 4.303 20 0 DIADHN CCCN(CC)[C@@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000488732136 1049690448 /nfs/dbraw/zinc/69/04/48/1049690448.db2.gz ZWRPIBFZKPOYDQ-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN CC(C)CCO[C@@H]1CCN(Cc2cc3cc(Cl)cnc3o2)C1 ZINC000668873123 1049694331 /nfs/dbraw/zinc/69/43/31/1049694331.db2.gz CTTIVDWVHVODAP-OAHLLOKOSA-N 0 3 322.836 4.118 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000794797148 1049696754 /nfs/dbraw/zinc/69/67/54/1049696754.db2.gz ZUHVTHQDCJBWIS-ZWKOTPCHSA-N 0 3 323.358 4.124 20 0 DIADHN COc1ccc(F)c(CN2CCc3ccc(F)cc3[C@@H](C)C2)c1 ZINC001182653206 1049698698 /nfs/dbraw/zinc/69/86/98/1049698698.db2.gz GZJWCLUXJVNOSP-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN C[C@H]1CN(Cc2nc3cc(F)ccc3o2)CCc2ccccc21 ZINC000911595609 1049702484 /nfs/dbraw/zinc/70/24/84/1049702484.db2.gz KLMJAJWELRDPTQ-ZDUSSCGKSA-N 0 3 310.372 4.129 20 0 DIADHN CCN(Cc1ccc(-c2ccc(F)cc2)o1)[C@H]1CCCOC1 ZINC001182725102 1049706070 /nfs/dbraw/zinc/70/60/70/1049706070.db2.gz GQPUCFXZPLGXQQ-INIZCTEOSA-N 0 3 303.377 4.087 20 0 DIADHN COc1cccc(-c2csc(CN3CC(C)(C)C[C@@H]3C)n2)c1 ZINC001206308517 1049708463 /nfs/dbraw/zinc/70/84/63/1049708463.db2.gz SXBCDNSLPJTGFS-ZDUSSCGKSA-N 0 3 316.470 4.439 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CC[C@H](CC(C)(C)C)C2)s1 ZINC001206314222 1049708520 /nfs/dbraw/zinc/70/85/20/1049708520.db2.gz OQNMQEYMASFAOS-LLVKDONJSA-N 0 3 315.914 4.121 20 0 DIADHN CCOC(=O)CCCCCN1CCC[C@](F)(c2ccccc2)C1 ZINC001197076789 1049719416 /nfs/dbraw/zinc/71/94/16/1049719416.db2.gz HSZXCIKDLQGSCP-LJQANCHMSA-N 0 3 321.436 4.071 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(Cc2cccc(OC)c2)CC1 ZINC001197078178 1049720783 /nfs/dbraw/zinc/72/07/83/1049720783.db2.gz SFPVKMHXDJZRMN-GOSISDBHSA-N 0 3 318.505 4.029 20 0 DIADHN CC(C)[C@H]1CCN1Cc1c(-c2ccccc2)nc2sccn12 ZINC000775757730 1049721321 /nfs/dbraw/zinc/72/13/21/1049721321.db2.gz PRVANNABCZUMPG-OAHLLOKOSA-N 0 3 311.454 4.293 20 0 DIADHN C[C@@]1(C2CCCCC2)CCN1CC(=O)NCCC1CCCCC1 ZINC001197238020 1049729711 /nfs/dbraw/zinc/72/97/11/1049729711.db2.gz CQBRRMNOLPTNGU-FQEVSTJZSA-N 0 3 320.521 4.118 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(F)cc(F)c3F)cccc21 ZINC001206417378 1049731090 /nfs/dbraw/zinc/73/10/90/1049731090.db2.gz TXTIOPFWRHPFTL-OAHLLOKOSA-N 0 3 322.330 4.233 20 0 DIADHN COc1c(C)cccc1CNC[C@@H](OC(C)C)c1ccccc1 ZINC001206471699 1049739475 /nfs/dbraw/zinc/73/94/75/1049739475.db2.gz OHHZCYFROMZYFA-LJQANCHMSA-N 0 3 313.441 4.260 20 0 DIADHN CC(C)(C)[C@@H]1CSCCN1Cc1cnc2ccccc2c1 ZINC001197295057 1049740203 /nfs/dbraw/zinc/74/02/03/1049740203.db2.gz CTTPTPFEFAIEJG-KRWDZBQOSA-N 0 3 300.471 4.198 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC001197350905 1049741891 /nfs/dbraw/zinc/74/18/91/1049741891.db2.gz TYDKKPCWVNZRJZ-XMSQKQJNSA-N 0 3 320.480 4.443 20 0 DIADHN Cc1noc(C(C)C)c1C(=O)NCCP(C(C)C)C(C)C ZINC001197362662 1049743025 /nfs/dbraw/zinc/74/30/25/1049743025.db2.gz NUPLPZLERQZNFP-UHFFFAOYSA-N 0 3 312.394 4.135 20 0 DIADHN CSCc1ccc(CN2C[C@@H](C)OC3(CCCC3)C2)cc1 ZINC001206544822 1049753026 /nfs/dbraw/zinc/75/30/26/1049753026.db2.gz OHZQCHACTOPFDA-OAHLLOKOSA-N 0 3 305.487 4.083 20 0 DIADHN CCN(Cc1ccc(-c2cccc(F)c2F)o1)[C@H]1CCCOC1 ZINC001206544873 1049753358 /nfs/dbraw/zinc/75/33/58/1049753358.db2.gz PIHVTMAHWGZFJA-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN COc1cccnc1CN(Cc1ccccc1)C1CCCCC1 ZINC001206620367 1049771030 /nfs/dbraw/zinc/77/10/30/1049771030.db2.gz OKWLHYVMBVIKAW-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN CC(C)CCN(Cc1nccn1CC(F)(F)F)C1CCCC1 ZINC000489658490 1049773951 /nfs/dbraw/zinc/77/39/51/1049773951.db2.gz JVLBFKCGXJTGNA-UHFFFAOYSA-N 0 3 317.399 4.236 20 0 DIADHN CC[C@H](COCC1CC1)NCc1c(Cl)oc2ccccc21 ZINC001206648430 1049776016 /nfs/dbraw/zinc/77/60/16/1049776016.db2.gz YSKSABBOCVMKES-CYBMUJFWSA-N 0 3 307.821 4.381 20 0 DIADHN COc1cccc([C@]2(C)CCN(Cc3ccc(SC)o3)C2)c1 ZINC001206671537 1049778056 /nfs/dbraw/zinc/77/80/56/1049778056.db2.gz AUTQFHCEWFIYHF-GOSISDBHSA-N 0 3 317.454 4.174 20 0 DIADHN CC(C)C[C@H](CN[C@H](C)c1ccccn1)CC(=O)OC(C)(C)C ZINC001206735697 1049786731 /nfs/dbraw/zinc/78/67/31/1049786731.db2.gz ZTBNMXBSHBVPJU-CVEARBPZSA-N 0 3 320.477 4.126 20 0 DIADHN CC(C)OC1CCC(NCc2c(Cl)ccnc2Cl)CC1 ZINC001206743811 1049788869 /nfs/dbraw/zinc/78/88/69/1049788869.db2.gz UNUUBOKBKFTDEJ-UHFFFAOYSA-N 0 3 317.260 4.214 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)cc(C)n1 ZINC001206777915 1049797662 /nfs/dbraw/zinc/79/76/62/1049797662.db2.gz WWTOFZBRHIWCPE-KRWDZBQOSA-N 0 3 316.395 4.356 20 0 DIADHN Cc1ncc(CN2CCC(c3ccc4ccccc4c3)CC2)n1C ZINC001206794540 1049800091 /nfs/dbraw/zinc/80/00/91/1049800091.db2.gz TWGPVQGDTRHJEP-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3cn4ccsc4n3)C2)cc1 ZINC000490085195 1049801534 /nfs/dbraw/zinc/80/15/34/1049801534.db2.gz FFBOSPDNHCKTGS-GDBMZVCRSA-N 0 3 311.454 4.082 20 0 DIADHN CCCCN(CCC)Cc1c(C)nn(C(=O)OC(C)(C)C)c1C ZINC001206809459 1049804529 /nfs/dbraw/zinc/80/45/29/1049804529.db2.gz IYCJFTQIQXMKRC-UHFFFAOYSA-N 0 3 323.481 4.295 20 0 DIADHN Fc1ccc(CCN2CCC(OC3CCCCC3)CC2)cc1F ZINC000490133089 1049805282 /nfs/dbraw/zinc/80/52/82/1049805282.db2.gz OHZISVQOCLHLMH-UHFFFAOYSA-N 0 3 323.427 4.321 20 0 DIADHN c1csc(-c2ccc(CN3CCCc4n[nH]cc4C3)cc2)c1 ZINC001206826814 1049807327 /nfs/dbraw/zinc/80/73/27/1049807327.db2.gz FAWACOPIYJDYKQ-UHFFFAOYSA-N 0 3 309.438 4.087 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@@H](C)[C@@H](C)C3)no2)cc1 ZINC001206825162 1049807724 /nfs/dbraw/zinc/80/77/24/1049807724.db2.gz LLJZWJGAXUKJDL-CABCVRRESA-N 0 3 314.429 4.218 20 0 DIADHN COc1ccc(-c2cc(CN3[C@@H](C)CCC[C@@H]3C)no2)cc1 ZINC001206823483 1049807838 /nfs/dbraw/zinc/80/78/38/1049807838.db2.gz MUJCYWLQPXKLCF-KBPBESRZSA-N 0 3 300.402 4.113 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@@H](c4ccccc4)C3)no2)cc1 ZINC001206831350 1049808018 /nfs/dbraw/zinc/80/80/18/1049808018.db2.gz MFGDNFMLKBRWSC-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN COc1ccc(-c2cc(CN3[C@H](C)CCC[C@@H]3C)no2)cc1 ZINC001206823484 1049808690 /nfs/dbraw/zinc/80/86/90/1049808690.db2.gz MUJCYWLQPXKLCF-OKILXGFUSA-N 0 3 300.402 4.113 20 0 DIADHN CCn1nccc1CN1CCC[C@]1(C)Cc1ccccc1Cl ZINC001206837445 1049810255 /nfs/dbraw/zinc/81/02/55/1049810255.db2.gz FMMZMZFHMGFLCP-GOSISDBHSA-N 0 3 317.864 4.154 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1Cl)C1(C)CCCC1 ZINC000490200875 1049812089 /nfs/dbraw/zinc/81/20/89/1049812089.db2.gz IHALDMKKGMCZJI-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN O=c1[nH]cccc1CN1CC[C@H](c2cccc(Cl)c2Cl)C1 ZINC001207005959 1049818396 /nfs/dbraw/zinc/81/83/96/1049818396.db2.gz XPLDRRATOJBLQP-NSHDSACASA-N 0 3 323.223 4.084 20 0 DIADHN C[C@@H](Cc1ccccc1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000490285091 1049819737 /nfs/dbraw/zinc/81/97/37/1049819737.db2.gz IAUMQAGXVSSGOZ-HNNXBMFYSA-N 0 3 321.424 4.144 20 0 DIADHN C[C@H]1CN(Cc2cncc(F)c2)CCc2ccc(Cl)cc21 ZINC001207024653 1049820819 /nfs/dbraw/zinc/82/08/19/1049820819.db2.gz CWQRDWLEPSLDFG-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cncc(F)c2)CC1 ZINC001207023043 1049822069 /nfs/dbraw/zinc/82/20/69/1049822069.db2.gz NCHIETSVFNVTKF-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN CC[C@H]1CCN(Cc2ccc(Br)c3cccnc23)C1 ZINC000490355945 1049824101 /nfs/dbraw/zinc/82/41/01/1049824101.db2.gz RUKCDYZYTFCVFK-LBPRGKRZSA-N 0 3 319.246 4.229 20 0 DIADHN O=c1cccc(CN2CCC(c3ccc4ccccc4c3)CC2)[nH]1 ZINC001207071752 1049829960 /nfs/dbraw/zinc/82/99/60/1049829960.db2.gz USEPBFONEKZNKX-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN Cc1ncc(CN2CCC(n3ccc4ccccc43)CC2)s1 ZINC001207061693 1049830219 /nfs/dbraw/zinc/83/02/19/1049830219.db2.gz HLWUVSLWGZWXRS-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN Cc1ncc(CN2CCC(Cc3ccc(F)cc3F)CC2)s1 ZINC001207061762 1049830756 /nfs/dbraw/zinc/83/07/56/1049830756.db2.gz ITHPELKLBMJRIH-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN C[C@@]1(F)CCCN(CCCc2ccc(Br)cc2)C1 ZINC001207317184 1049879346 /nfs/dbraw/zinc/87/93/46/1049879346.db2.gz GTLPXUUUGDRXDP-OAHLLOKOSA-N 0 3 314.242 4.206 20 0 DIADHN CCC(C)(C)CCNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001207093170 1049834704 /nfs/dbraw/zinc/83/47/04/1049834704.db2.gz KIHZLUKDOMDVMF-UHFFFAOYSA-N 0 3 312.461 4.061 20 0 DIADHN FC1(F)CCC[C@@H](NCc2cscc2Br)C1 ZINC000381599728 1049834731 /nfs/dbraw/zinc/83/47/31/1049834731.db2.gz NDNPKPUTSQBKOE-SECBINFHSA-N 0 3 310.207 4.178 20 0 DIADHN FC1(F)CCC[C@H](NCc2cscc2Br)C1 ZINC000381599729 1049835306 /nfs/dbraw/zinc/83/53/06/1049835306.db2.gz NDNPKPUTSQBKOE-VIFPVBQESA-N 0 3 310.207 4.178 20 0 DIADHN COc1c(O)cccc1CN1CC[C@H](c2ccccc2)[C@@H](C)C1 ZINC000490545118 1049837975 /nfs/dbraw/zinc/83/79/75/1049837975.db2.gz MGHIIFSFKOUDPW-YJBOKZPZSA-N 0 3 311.425 4.026 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2ccc(F)cc2F)CC1 ZINC001207139685 1049843761 /nfs/dbraw/zinc/84/37/61/1049843761.db2.gz IZCPCARLOLKBJP-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN C[C@@H]1[C@@H](C)CCN1Cc1ccc(Br)c2cccnc12 ZINC000490600000 1049844796 /nfs/dbraw/zinc/84/47/96/1049844796.db2.gz YCPZHIVTZZUXIV-NWDGAFQWSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1cncc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)c1 ZINC001207160348 1049848033 /nfs/dbraw/zinc/84/80/33/1049848033.db2.gz ASLPRQBMLWUNRY-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@H](CCc2ccccc2)C1 ZINC001207176525 1049849387 /nfs/dbraw/zinc/84/93/87/1049849387.db2.gz JOFAUUSLKDSFAI-LJQANCHMSA-N 0 3 311.473 4.309 20 0 DIADHN FC[C@H](N[C@H]1CCC[C@H](C2CCOCC2)C1)c1ccc(F)cc1 ZINC001207225739 1049865658 /nfs/dbraw/zinc/86/56/58/1049865658.db2.gz OTNXYTZTPHGQRJ-WDSOQIARSA-N 0 3 323.427 4.411 20 0 DIADHN Clc1cccc([C@@H]2CCN(CCOCc3ccccc3)C2)c1 ZINC001207235852 1049866658 /nfs/dbraw/zinc/86/66/58/1049866658.db2.gz POALDDKILXRHMU-GOSISDBHSA-N 0 3 315.844 4.346 20 0 DIADHN CC(C)(CN1CC[C@@]12CCOC2)Sc1ccc(Cl)cc1 ZINC001207300403 1049877202 /nfs/dbraw/zinc/87/72/02/1049877202.db2.gz XXEQNXNDRULXAZ-INIZCTEOSA-N 0 3 311.878 4.076 20 0 DIADHN Oc1ccc(Cl)c(CNC2CC(c3ccc(F)cc3)C2)c1 ZINC001207334957 1049880889 /nfs/dbraw/zinc/88/08/89/1049880889.db2.gz WAFXOEZNIPEPBK-UHFFFAOYSA-N 0 3 305.780 4.221 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H]3C3CCC3)co2)cc1F ZINC000491073659 1049881183 /nfs/dbraw/zinc/88/11/83/1049881183.db2.gz FMJKMOBZVVURIO-KRWDZBQOSA-N 0 3 318.367 4.384 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCOC2)c2ccc(Cl)cc2)cc1 ZINC000491046768 1049882137 /nfs/dbraw/zinc/88/21/37/1049882137.db2.gz ATFWGQFYLGMZHN-MSOLQXFVSA-N 0 3 319.807 4.337 20 0 DIADHN C[C@H]1CCCN(Cc2coc(-c3ccc(F)c(F)c3)n2)CC1 ZINC000491059282 1049882784 /nfs/dbraw/zinc/88/27/84/1049882784.db2.gz IIUVUTAXPYISKM-LBPRGKRZSA-N 0 3 306.356 4.242 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@H](C3CC3)C2)cs1 ZINC000491140250 1049886520 /nfs/dbraw/zinc/88/65/20/1049886520.db2.gz OCRSKYCRFYHOFT-ZDUSSCGKSA-N 0 3 302.418 4.181 20 0 DIADHN CCCCCCN1CCN(CCc2cccc(Cl)c2)CC1 ZINC001207423329 1049890400 /nfs/dbraw/zinc/89/04/00/1049890400.db2.gz ZSOCGXPKDAMUHS-UHFFFAOYSA-N 0 3 308.897 4.080 20 0 DIADHN COc1cccc(CCN2CCC[C@@H]2c2cc(F)cc(F)c2)c1 ZINC001207434239 1049894173 /nfs/dbraw/zinc/89/41/73/1049894173.db2.gz FRPPYZPEVQVHGM-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1cccc(OC2CCN(CCc3ccccc3F)CC2)c1 ZINC001207502156 1049900290 /nfs/dbraw/zinc/90/02/90/1049900290.db2.gz OQNHEGWQIPKIRT-UHFFFAOYSA-N 0 3 317.379 4.051 20 0 DIADHN CC(C)c1ccc(CCN(C)Cc2cnc3ccccn23)cc1 ZINC001207519527 1049901985 /nfs/dbraw/zinc/90/19/85/1049901985.db2.gz QKTHLGMSWNAHNR-UHFFFAOYSA-N 0 3 307.441 4.132 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc2cccc(OC)c2o1 ZINC000760651808 1049905226 /nfs/dbraw/zinc/90/52/26/1049905226.db2.gz FAJAYNXVQRULEP-NSHDSACASA-N 0 3 301.308 4.262 20 0 DIADHN Brc1ccccc1CCN(Cc1ccco1)C1CC1 ZINC001207533403 1049906255 /nfs/dbraw/zinc/90/62/55/1049906255.db2.gz DIQWTFINUHXAKL-UHFFFAOYSA-N 0 3 320.230 4.249 20 0 DIADHN c1csc(CCN2CCN(CCCC3CCCCC3)CC2)c1 ZINC001207607802 1049916402 /nfs/dbraw/zinc/91/64/02/1049916402.db2.gz CRKRUVKXKLKDFC-UHFFFAOYSA-N 0 3 320.546 4.269 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN(C)Cc1ccc(F)cc1F ZINC001207682999 1049926454 /nfs/dbraw/zinc/92/64/54/1049926454.db2.gz IMDVMAYJWNUQOG-AWEZNQCLSA-N 0 3 313.432 4.364 20 0 DIADHN CSCC1CCN(CCc2ccc(F)cc2Cl)CC1 ZINC001207707814 1049930111 /nfs/dbraw/zinc/93/01/11/1049930111.db2.gz HCNDPURZFFCZED-UHFFFAOYSA-N 0 3 301.858 4.097 20 0 DIADHN Cn1c(CNC2(C)C(C)(C)C2(C)C)nc2cc(Cl)ccc21 ZINC001207735689 1049931882 /nfs/dbraw/zinc/93/18/82/1049931882.db2.gz NYBLNSNUUSMNNS-UHFFFAOYSA-N 0 3 305.853 4.141 20 0 DIADHN Fc1ccc([C@@H]2CSCCN2CC2CCSCC2)cc1 ZINC001207751298 1049935335 /nfs/dbraw/zinc/93/53/35/1049935335.db2.gz COCFOYBIPOJJTA-INIZCTEOSA-N 0 3 311.491 4.059 20 0 DIADHN CCC[C@@H](NCc1ncccc1Br)[C@@H]1CC1(C)C ZINC001207799254 1049939954 /nfs/dbraw/zinc/93/99/54/1049939954.db2.gz YNAFNBAOWHKETF-WCQYABFASA-N 0 3 311.267 4.149 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC[C@H](C2CCCC2)C1 ZINC001207805557 1049940507 /nfs/dbraw/zinc/94/05/07/1049940507.db2.gz GLNAFEMLRFMGGE-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN C[C@H](CO)N(C)Cc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000571686696 1049943410 /nfs/dbraw/zinc/94/34/10/1049943410.db2.gz DWUXOELFXUIDIK-SNVBAGLBSA-N 0 3 314.212 4.066 20 0 DIADHN CCOc1ccc(Cl)cc1CN(C)C[C@]12CCC[C@H]1OCC2 ZINC001207825192 1049944232 /nfs/dbraw/zinc/94/42/32/1049944232.db2.gz VWBONOVYQXMKQV-QZTJIDSGSA-N 0 3 323.864 4.130 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CCc3cnccc3C2)c1 ZINC001207842359 1049944853 /nfs/dbraw/zinc/94/48/53/1049944853.db2.gz WVSNTCCZUDHFDE-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN C[C@H](CN1CCO[C@@](C)(c2ccc(F)cc2)C1)c1ccccc1 ZINC001207912635 1049954375 /nfs/dbraw/zinc/95/43/75/1049954375.db2.gz WZEDVNXWGNTAAH-OXQOHEQNSA-N 0 3 313.416 4.177 20 0 DIADHN Cc1cc(CN(C)C[C@@H](C)Cc2ccc(C(C)C)cc2)n(C)n1 ZINC001207937215 1049959030 /nfs/dbraw/zinc/95/90/30/1049959030.db2.gz OSQOXOIVGXYFNY-INIZCTEOSA-N 0 3 313.489 4.163 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCC(=O)[C@H](F)CC2)CC1 ZINC001207941774 1049963131 /nfs/dbraw/zinc/96/31/31/1049963131.db2.gz SVAAUKDDFLGKTE-ZWKOTPCHSA-N 0 3 307.453 4.462 20 0 DIADHN Cc1ccc(CCCN2CCSC[C@@H]2c2ccccc2)o1 ZINC001207948100 1049964578 /nfs/dbraw/zinc/96/45/78/1049964578.db2.gz NPNZAYUNFCTNOC-GOSISDBHSA-N 0 3 301.455 4.311 20 0 DIADHN CSc1ccc2c(c1)[C@H](NCc1scnc1C)CCC2 ZINC000571800663 1049968495 /nfs/dbraw/zinc/96/84/95/1049968495.db2.gz IFCGXPKCBKYYQZ-OAHLLOKOSA-N 0 3 304.484 4.341 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCc3c2ccc(OC)c3F)c1 ZINC000571920433 1049970543 /nfs/dbraw/zinc/97/05/43/1049970543.db2.gz UCFBIFMQPCZNNO-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN c1cnc2cc3c(cc2n1)[C@H]1C[C@@H]3CN(CCCC2CCCC2)C1 ZINC001208094678 1049972647 /nfs/dbraw/zinc/97/26/47/1049972647.db2.gz PAAKEPGBACDRQY-CALCHBBNSA-N 0 3 321.468 4.487 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCC(=O)NC(C)(C)C ZINC000588177421 1049973563 /nfs/dbraw/zinc/97/35/63/1049973563.db2.gz ONBMWFHXHCPBIE-JXAWBTAJSA-N 0 3 316.489 4.155 20 0 DIADHN FC(F)(F)c1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000588177452 1049973692 /nfs/dbraw/zinc/97/36/92/1049973692.db2.gz RQFWGDVQKFFARY-UHFFFAOYSA-N 0 3 319.326 4.271 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccc(F)c(Cl)c1 ZINC000588179067 1049974189 /nfs/dbraw/zinc/97/41/89/1049974189.db2.gz ZLRNTDJURXBYSX-XQRVVYSFSA-N 0 3 305.780 4.291 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccc(F)c(Cl)c1 ZINC000588179066 1049974929 /nfs/dbraw/zinc/97/49/29/1049974929.db2.gz ZLRNTDJURXBYSX-GQCTYLIASA-N 0 3 305.780 4.291 20 0 DIADHN CC/C=C\CCCN1CCC[C@@H](c2noc(C(F)(F)F)n2)C1 ZINC001208136307 1049977184 /nfs/dbraw/zinc/97/71/84/1049977184.db2.gz UQKVQWJXWJSCPT-VSQXVHSFSA-N 0 3 317.355 4.014 20 0 DIADHN COc1cc2c(cc1OC)CN(C[C@@H]1CCC(C)=C[C@@H]1C)C2 ZINC001208170033 1049981420 /nfs/dbraw/zinc/98/14/20/1049981420.db2.gz RLWQETRBBZBHDK-GJZGRUSLSA-N 0 3 301.430 4.012 20 0 DIADHN CC1=C[C@@H](C)[C@H](CN2CCc3ncc(C(F)(F)F)cc3C2)CC1 ZINC001208181642 1049982106 /nfs/dbraw/zinc/98/21/06/1049982106.db2.gz OTORUZFSMJUWHB-KGLIPLIRSA-N 0 3 324.390 4.451 20 0 DIADHN Cc1ccccc1OC1CCN(CCc2cccc(F)c2)CC1 ZINC001208196264 1049983170 /nfs/dbraw/zinc/98/31/70/1049983170.db2.gz MQWSIVNCWULEPU-UHFFFAOYSA-N 0 3 313.416 4.220 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCc2nc(C)sc2C1 ZINC001208205603 1049985138 /nfs/dbraw/zinc/98/51/38/1049985138.db2.gz JRFMPXOSTZKMJR-AWEZNQCLSA-N 0 3 324.534 4.431 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCc2nc(C)sc2C1 ZINC001208205604 1049985219 /nfs/dbraw/zinc/98/52/19/1049985219.db2.gz JRFMPXOSTZKMJR-CQSZACIVSA-N 0 3 324.534 4.431 20 0 DIADHN CC(C)C[C@@H](NCc1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000588688009 1049992201 /nfs/dbraw/zinc/99/22/01/1049992201.db2.gz CXDZXCYSRZHJKB-OAHLLOKOSA-N 0 3 311.351 4.306 20 0 DIADHN C[C@H](NCc1cccc(OCC#N)c1)c1cnc2ccsc2c1 ZINC000089630623 1049994559 /nfs/dbraw/zinc/99/45/59/1049994559.db2.gz KPTSCWKAPDFPGF-ZDUSSCGKSA-N 0 3 323.421 4.049 20 0 DIADHN C[C@@H](c1nc(-c2ccc3c(c2)CCC3)no1)N1[C@H](C)CC[C@@H]1C ZINC000572293219 1049998677 /nfs/dbraw/zinc/99/86/77/1049998677.db2.gz MCVZVYCYCJJQFM-MJBXVCDLSA-N 0 3 311.429 4.159 20 0 DIADHN Cn1cc(CCN[C@@H](c2c(Cl)cccc2Cl)C2CC2)cn1 ZINC000572326188 1050000015 /nfs/dbraw/zinc/00/00/15/1050000015.db2.gz GCPNPPJTDMQZAO-MRXNPFEDSA-N 0 3 324.255 4.010 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[N@H+](Cc1ncccc1[O-])C1CC1 ZINC000572323592 1050000137 /nfs/dbraw/zinc/00/01/37/1050000137.db2.gz POQVJZAHIZUGAU-LBPRGKRZSA-N 0 3 302.805 4.166 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[N@@H+](Cc1ncccc1[O-])C1CC1 ZINC000572323592 1050000141 /nfs/dbraw/zinc/00/01/41/1050000141.db2.gz POQVJZAHIZUGAU-LBPRGKRZSA-N 0 3 302.805 4.166 20 0 DIADHN C[C@@H](CN1CCc2cnccc2C1)c1cccc2ccccc21 ZINC001208702432 1050003126 /nfs/dbraw/zinc/00/31/26/1050003126.db2.gz ARZSCUYTFQMOOX-INIZCTEOSA-N 0 3 302.421 4.397 20 0 DIADHN C[C@H](CN1CCc2c(cc[nH]c2=O)C1)c1cccc2ccccc21 ZINC001208712154 1050005806 /nfs/dbraw/zinc/00/58/06/1050005806.db2.gz ZYSQIXXIZQXJAB-OAHLLOKOSA-N 0 3 318.420 4.102 20 0 DIADHN C[C@H]1CCCCN1C[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 ZINC001208762428 1050008074 /nfs/dbraw/zinc/00/80/74/1050008074.db2.gz PWYWJSQTPMVFJA-DOTOQJQBSA-N 0 3 318.461 4.127 20 0 DIADHN CC(C)Oc1ncccc1CCN1CC=C(c2ccccc2)CC1 ZINC001208800721 1050012257 /nfs/dbraw/zinc/01/22/57/1050012257.db2.gz WJCXPWXPROBEDI-UHFFFAOYSA-N 0 3 322.452 4.201 20 0 DIADHN C[C@@]1(F)CCCN(CCCc2ccccc2C(F)(F)F)C1 ZINC001208829832 1050013968 /nfs/dbraw/zinc/01/39/68/1050013968.db2.gz UMCLUBFGNIKDOC-OAHLLOKOSA-N 0 3 303.343 4.462 20 0 DIADHN Clc1ccc(CCCN2CCc3ccncc3C2)cc1Cl ZINC001208836612 1050015226 /nfs/dbraw/zinc/01/52/26/1050015226.db2.gz ITTMNKZAEMPMMD-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN CN1CC=C(Nc2ccc(/C=C/c3ccc(N)cc3)cc2)CC1 ZINC001208845479 1050015866 /nfs/dbraw/zinc/01/58/66/1050015866.db2.gz XOSJKOPGWDBAOC-NSCUHMNNSA-N 0 3 305.425 4.071 20 0 DIADHN F[C@H]1CCCN(CCCc2ccccc2Br)CC1 ZINC001208846514 1050016746 /nfs/dbraw/zinc/01/67/46/1050016746.db2.gz GYBJAHRXLMFXIY-AWEZNQCLSA-N 0 3 314.242 4.206 20 0 DIADHN F[C@@H]1CCCN(CCCc2ccccc2Br)CC1 ZINC001208846523 1050016887 /nfs/dbraw/zinc/01/68/87/1050016887.db2.gz GYBJAHRXLMFXIY-CQSZACIVSA-N 0 3 314.242 4.206 20 0 DIADHN Clc1ccc2c(n1)CCN(CCCc1ccccc1Cl)C2 ZINC001208871779 1050018185 /nfs/dbraw/zinc/01/81/85/1050018185.db2.gz OCQMYYDMIOGSLP-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN FC[C@@H]1CN(CCCc2ccccc2Cl)C[C@H]1C(F)(F)F ZINC001208872665 1050019310 /nfs/dbraw/zinc/01/93/10/1050019310.db2.gz VAZORNXVPQNQGR-CHWSQXEVSA-N 0 3 323.761 4.352 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(C[C@H](C)C(F)(F)F)C1 ZINC001208892052 1050020481 /nfs/dbraw/zinc/02/04/81/1050020481.db2.gz NBBOYKPAJYKTIL-NWDGAFQWSA-N 0 3 319.392 4.060 20 0 DIADHN C[C@@H](CN1CCC2(CCc3ccccc3O2)CC1)C(F)(F)F ZINC001208892073 1050021203 /nfs/dbraw/zinc/02/12/03/1050021203.db2.gz NQZCTKHWIIECQN-ZDUSSCGKSA-N 0 3 313.363 4.045 20 0 DIADHN CN1CC=C(Nc2ccc(OC3CCCCC3)c(F)c2)CC1 ZINC001208909574 1050022160 /nfs/dbraw/zinc/02/21/60/1050022160.db2.gz CGSSAWFTSHPTKE-UHFFFAOYSA-N 0 3 304.409 4.169 20 0 DIADHN CCC(=O)C(C)(C)CN1CCc2cc(C(F)(F)F)ccc2C1 ZINC001208921958 1050023570 /nfs/dbraw/zinc/02/35/70/1050023570.db2.gz UGPQWKOLMYFVJG-UHFFFAOYSA-N 0 3 313.363 4.069 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000056491699 1050026194 /nfs/dbraw/zinc/02/61/94/1050026194.db2.gz OBKDXSAUCQGAOJ-MGPUTAFESA-N 0 3 313.416 4.332 20 0 DIADHN CN1CC=C(Nc2cc(Br)cc3ccccc32)CC1 ZINC001208979602 1050030634 /nfs/dbraw/zinc/03/06/34/1050030634.db2.gz LILGHPUZPYDCEP-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN Cc1ccc(NC2=CCN(C)CC2)c(Br)c1Cl ZINC001208979778 1050030746 /nfs/dbraw/zinc/03/07/46/1050030746.db2.gz NDWSVKKLJPVHIU-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN COCCCN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001209047941 1050032132 /nfs/dbraw/zinc/03/21/32/1050032132.db2.gz MLYNUFIHYTWCFN-UHFFFAOYSA-N 0 3 302.245 4.209 20 0 DIADHN CCO[C@@H]1CCCN(CCc2ccc(Cl)cc2Cl)C1 ZINC001209082597 1050033668 /nfs/dbraw/zinc/03/36/68/1050033668.db2.gz XPFWHAFGDPNPNI-CQSZACIVSA-N 0 3 302.245 4.037 20 0 DIADHN COC(=O)CCCCCCCCCN1Cc2ccccc2C1 ZINC001209094636 1050035522 /nfs/dbraw/zinc/03/55/22/1050035522.db2.gz VIGXSKYTLZHPSQ-UHFFFAOYSA-N 0 3 303.446 4.296 20 0 DIADHN Fc1ccc(CCN2CCC[C@H](c3ccccn3)C2)c(Cl)c1 ZINC001209112767 1050036035 /nfs/dbraw/zinc/03/60/35/1050036035.db2.gz MMXOHDMWPKFDAR-HNNXBMFYSA-N 0 3 318.823 4.296 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2CCCc2cccnc2)c1 ZINC001209181311 1050042893 /nfs/dbraw/zinc/04/28/93/1050042893.db2.gz UTWQUZALPBTDDF-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN c1ccc(CN(CCCc2ccncc2)Cc2ccccn2)cc1 ZINC001209184435 1050043143 /nfs/dbraw/zinc/04/31/43/1050043143.db2.gz KXCGSDAUFNYZEZ-UHFFFAOYSA-N 0 3 317.436 4.112 20 0 DIADHN Cn1cc(CN2CC(C)(C)[C@@H]2C2CC2)c(C2CCCCC2)n1 ZINC000572807675 1050043669 /nfs/dbraw/zinc/04/36/69/1050043669.db2.gz DFDNYCHGIYYSLT-SFHVURJKSA-N 0 3 301.478 4.088 20 0 DIADHN C[C@]1(F)CCN([C@@H](c2ccc(Cl)cc2)C2CCOCC2)C1 ZINC000572896509 1050046209 /nfs/dbraw/zinc/04/62/09/1050046209.db2.gz UIQGVYRMFUHEMB-IRXDYDNUSA-N 0 3 311.828 4.242 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H]1CCc2cc(N)ccc21 ZINC000572900475 1050046635 /nfs/dbraw/zinc/04/66/35/1050046635.db2.gz GNNRYOOAELBIPB-GOSISDBHSA-N 0 3 300.833 4.262 20 0 DIADHN Fc1ccc(CCN2CCC(c3cccs3)CC2)cc1F ZINC001209243588 1050046758 /nfs/dbraw/zinc/04/67/58/1050046758.db2.gz JYXXPNKUFJIQBN-UHFFFAOYSA-N 0 3 307.409 4.448 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](c3ccccc3)CC2)c1 ZINC000589611267 1050049370 /nfs/dbraw/zinc/04/93/70/1050049370.db2.gz PFQRNCSAGJWNFP-IBGZPJMESA-N 0 3 322.452 4.203 20 0 DIADHN CCCOC1CCN(CCc2ccccc2C(F)(F)F)CC1 ZINC001209284487 1050050576 /nfs/dbraw/zinc/05/05/76/1050050576.db2.gz HRLDQPACUZZCRE-UHFFFAOYSA-N 0 3 315.379 4.139 20 0 DIADHN c1nc2cc(CNC(c3ccccc3)c3ccccc3)ccc2[nH]1 ZINC000589633612 1050051748 /nfs/dbraw/zinc/05/17/48/1050051748.db2.gz QTBPVSRCOKDJBQ-UHFFFAOYSA-N 0 3 313.404 4.442 20 0 DIADHN c1nc2ccc(CNC(c3ccccc3)c3ccccc3)cc2[nH]1 ZINC000589633612 1050051752 /nfs/dbraw/zinc/05/17/52/1050051752.db2.gz QTBPVSRCOKDJBQ-UHFFFAOYSA-N 0 3 313.404 4.442 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2n[nH]c3ccccc23)c1 ZINC000589641912 1050052495 /nfs/dbraw/zinc/05/24/95/1050052495.db2.gz UVDIRTUEUZLCHG-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2[nH]nc3ccccc32)c1 ZINC000589641912 1050052500 /nfs/dbraw/zinc/05/25/00/1050052500.db2.gz UVDIRTUEUZLCHG-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN Cc1c2cc(F)ccc2oc1CNCc1ccc2[nH]cnc2c1 ZINC000589645566 1050053387 /nfs/dbraw/zinc/05/33/87/1050053387.db2.gz SSLMYPHZIHLEPX-UHFFFAOYSA-N 0 3 309.344 4.046 20 0 DIADHN Cc1c2cc(F)ccc2oc1CNCc1ccc2nc[nH]c2c1 ZINC000589645566 1050053391 /nfs/dbraw/zinc/05/33/91/1050053391.db2.gz SSLMYPHZIHLEPX-UHFFFAOYSA-N 0 3 309.344 4.046 20 0 DIADHN Cc1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)[nH]n1 ZINC000589646546 1050053500 /nfs/dbraw/zinc/05/35/00/1050053500.db2.gz WYCLMPJPDQEXDH-FQEVSTJZSA-N 0 3 305.425 4.182 20 0 DIADHN CCCOc1cccc(CNCc2ccc3cccnc3c2)c1 ZINC000589646082 1050053694 /nfs/dbraw/zinc/05/36/94/1050053694.db2.gz QROSMXVHCNRCNF-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN COc1c(C)cnc(CN2CCC[C@@H]2c2ccc(C)cc2)c1C ZINC000589705751 1050056183 /nfs/dbraw/zinc/05/61/83/1050056183.db2.gz DDVAVRMUYNYYSP-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN c1c(CN2CCC[C@@H](c3ccccc3)CC2)nc2ccccn12 ZINC000589705637 1050056387 /nfs/dbraw/zinc/05/63/87/1050056387.db2.gz OTAYCOBXETVNGF-GOSISDBHSA-N 0 3 305.425 4.104 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@H]1c1cccc2c1OCCO2 ZINC000589705099 1050056452 /nfs/dbraw/zinc/05/64/52/1050056452.db2.gz AKOJLKHENPSTPZ-STISFXCESA-N 0 3 321.420 4.308 20 0 DIADHN Cc1ccc(N2CCN(Cc3c[nH]c4ccc(C)cc34)CC2)cc1 ZINC001209490201 1050061950 /nfs/dbraw/zinc/06/19/50/1050061950.db2.gz RXKNYNSQKQGPGH-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1cc2c(cn1)OCCC2 ZINC001209504438 1050065459 /nfs/dbraw/zinc/06/54/59/1050065459.db2.gz MBUVZWXANAOBNI-UHFFFAOYSA-N 0 3 310.441 4.065 20 0 DIADHN COc1cc(CN2CCC[C@H]2c2ccccc2C)cc(F)c1O ZINC001209527315 1050067297 /nfs/dbraw/zinc/06/72/97/1050067297.db2.gz LOVBEGBGDCKCQA-KRWDZBQOSA-N 0 3 315.388 4.185 20 0 DIADHN c1ncc(CN2CCC(CCCc3ccccc3)CC2)s1 ZINC001209546133 1050067458 /nfs/dbraw/zinc/06/74/58/1050067458.db2.gz NUVQXALSOZNSRB-UHFFFAOYSA-N 0 3 300.471 4.378 20 0 DIADHN CC(C)(C)Oc1ccc([C@H]2CCN(Cc3cncs3)C2)cc1 ZINC001209552308 1050068555 /nfs/dbraw/zinc/06/85/55/1050068555.db2.gz HZPZIWNWFNIYQD-HNNXBMFYSA-N 0 3 316.470 4.310 20 0 DIADHN Cc1ccc(CN2CCc3ccccc3[C@@H]2c2ccccc2)[nH]1 ZINC001209574567 1050069948 /nfs/dbraw/zinc/06/99/48/1050069948.db2.gz QKFQEDSAWJTOOD-NRFANRHFSA-N 0 3 302.421 4.471 20 0 DIADHN Clc1cnc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c2c1 ZINC001209601521 1050071846 /nfs/dbraw/zinc/07/18/46/1050071846.db2.gz DZTWWQXGMOSCDA-BETUJISGSA-N 0 3 323.827 4.303 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC[C@H](c2ccccc2)C1 ZINC001209634933 1050072544 /nfs/dbraw/zinc/07/25/44/1050072544.db2.gz YVDTYAZHNXOHCH-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cnc(Cl)cc3C)C2)cc1 ZINC001209634752 1050072567 /nfs/dbraw/zinc/07/25/67/1050072567.db2.gz UZSPHUBAJODLIQ-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1cc(Cl)ncc1CN1CC[C@H](Cc2ccccc2)C1 ZINC001209635073 1050072770 /nfs/dbraw/zinc/07/27/70/1050072770.db2.gz CJLRYLXTXNKCSY-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN CC(C)OC1CCN(Cc2cccc3[nH]cc(Cl)c32)CC1 ZINC001209654661 1050073395 /nfs/dbraw/zinc/07/33/95/1050073395.db2.gz KMVHZYHVEWIMAZ-UHFFFAOYSA-N 0 3 306.837 4.211 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2cccc3[nH]cc(Cl)c32)C1 ZINC001209655351 1050073716 /nfs/dbraw/zinc/07/37/16/1050073716.db2.gz VJGVLDUMZJEEBH-JTQLQIEISA-N 0 3 302.727 4.206 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1c(C)nc2ccccn21 ZINC001209649543 1050074174 /nfs/dbraw/zinc/07/41/74/1050074174.db2.gz KMQCCHXNELRLQW-SFHVURJKSA-N 0 3 305.425 4.152 20 0 DIADHN F[C@H]1CCN(Cc2cccc3[nH]cc(Cl)c32)CCC1(F)F ZINC001209658929 1050075160 /nfs/dbraw/zinc/07/51/60/1050075160.db2.gz WJAFEGOJIPXSTJ-ZDUSSCGKSA-N 0 3 316.754 4.391 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1c[nH]c2cc(F)ccc12 ZINC001209692677 1050076690 /nfs/dbraw/zinc/07/66/90/1050076690.db2.gz SMQIRCLOJRFQBO-UHFFFAOYSA-N 0 3 310.372 4.012 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1c[nH]c2cc(F)ccc12 ZINC001209694812 1050077128 /nfs/dbraw/zinc/07/71/28/1050077128.db2.gz XKVDGLOGZKGDMS-UHFFFAOYSA-N 0 3 316.401 4.073 20 0 DIADHN Cn1cc(CN2CCC[C@H]2c2cccc(F)c2)c2cccnc21 ZINC001209748873 1050080906 /nfs/dbraw/zinc/08/09/06/1050080906.db2.gz NHABNZMYTMELJK-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN CC1(C)CN(Cc2c[nH]nc2-c2ccccc2)Cc2ccccc21 ZINC001209765620 1050081701 /nfs/dbraw/zinc/08/17/01/1050081701.db2.gz SPKBXRAMTRUUAW-UHFFFAOYSA-N 0 3 317.436 4.370 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2c[nH]nc2-c2ccccc2)cn1 ZINC001209763029 1050081978 /nfs/dbraw/zinc/08/19/78/1050081978.db2.gz LNRDMHINRNVAAS-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cnccn1 ZINC001209789724 1050084677 /nfs/dbraw/zinc/08/46/77/1050084677.db2.gz WSPZLIYZPOYVEP-UHFFFAOYSA-N 0 3 317.436 4.131 20 0 DIADHN C=Cc1cccc(Nc2ccc(N3CCN(C)CC3)cc2C)c1 ZINC001209918917 1050105908 /nfs/dbraw/zinc/10/59/08/1050105908.db2.gz DUAYNUACVSXIBW-UHFFFAOYSA-N 0 3 307.441 4.133 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(SC)cc2)cc1C ZINC000590787340 1050106924 /nfs/dbraw/zinc/10/69/24/1050106924.db2.gz YFNOEPIOCHTSPA-UHFFFAOYSA-N 0 3 301.455 4.358 20 0 DIADHN Clc1ccc(-c2cnc(CN3CCC[C@@H]3C3CC3)o2)cc1 ZINC000590789878 1050107391 /nfs/dbraw/zinc/10/73/91/1050107391.db2.gz YSCDRUXWGJHNMI-OAHLLOKOSA-N 0 3 302.805 4.369 20 0 DIADHN COc1ccc(-c2cnc(CN3[C@@H](C)CCC[C@@H]3C)o2)cc1 ZINC000591082730 1050122153 /nfs/dbraw/zinc/12/21/53/1050122153.db2.gz BBVJWQAOBWSULB-KBPBESRZSA-N 0 3 300.402 4.113 20 0 DIADHN CC[C@H](CC(=O)NC[C@@H](c1cccs1)N1CCCC1)C(C)C ZINC000591108781 1050124457 /nfs/dbraw/zinc/12/44/57/1050124457.db2.gz DOOGYCDBWGNKLM-CVEARBPZSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)CC[C@@H](N[C@@H](c1nccn1C)c1ccccc1)C1CC1 ZINC000573187812 1050139552 /nfs/dbraw/zinc/13/95/52/1050139552.db2.gz RBMFCELZBMFNAB-RTBURBONSA-N 0 3 311.473 4.314 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H]2C[C@H](O)c2cccs2)c1 ZINC000770290727 1050148180 /nfs/dbraw/zinc/14/81/80/1050148180.db2.gz JJGDHCOJJMYEMD-WBVHZDCISA-N 0 3 319.445 4.284 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2cc3cnn(C(C)C)c3nc2Cl)C1 ZINC000770307258 1050150892 /nfs/dbraw/zinc/15/08/92/1050150892.db2.gz QYLDCQDSEJKIPB-CYBMUJFWSA-N 0 3 320.868 4.144 20 0 DIADHN FC[C@@H](N[C@@H]1CCc2c(Cl)cccc2C1)c1ccccc1 ZINC001171028120 1050151758 /nfs/dbraw/zinc/15/17/58/1050151758.db2.gz VODDPDCLRUSXOI-CRAIPNDOSA-N 0 3 303.808 4.498 20 0 DIADHN FC[C@@H](N[C@H]1CCc2c(Cl)cccc2C1)c1ccccc1 ZINC001171028121 1050151813 /nfs/dbraw/zinc/15/18/13/1050151813.db2.gz VODDPDCLRUSXOI-MAUKXSAKSA-N 0 3 303.808 4.498 20 0 DIADHN Cc1cccc(NC(=S)Nc2ccc(C)cc2CN(C)C)c1 ZINC000733751623 1050153274 /nfs/dbraw/zinc/15/32/74/1050153274.db2.gz KLJNSCPXYXGGFL-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)C(C)(C)CO)cc1 ZINC000573253363 1050154454 /nfs/dbraw/zinc/15/44/54/1050154454.db2.gz KAMUKVKFBHVVPT-SFHVURJKSA-N 0 3 315.482 4.258 20 0 DIADHN Fc1cccc(CCN(Cc2ccc(Cl)nc2)C2CC2)c1 ZINC000733841391 1050158100 /nfs/dbraw/zinc/15/81/00/1050158100.db2.gz CPWSGEKTEDNYJP-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)cc1C)C1CC1 ZINC000591475273 1050158373 /nfs/dbraw/zinc/15/83/73/1050158373.db2.gz MYLYXEDIGOJDEY-ZBFHGGJFSA-N 0 3 302.462 4.141 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1cccc(SC)c1)C1CC1 ZINC000591475639 1050158704 /nfs/dbraw/zinc/15/87/04/1050158704.db2.gz RYZGKBYDXRILOH-KBPBESRZSA-N 0 3 320.502 4.246 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc4cc[nH]c43)cccc21 ZINC001210214646 1050161489 /nfs/dbraw/zinc/16/14/89/1050161489.db2.gz PQVZJSWLRVTRAY-KRWDZBQOSA-N 0 3 307.397 4.297 20 0 DIADHN CC(C)CC[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)C(C)(C)C ZINC001136794789 1050163363 /nfs/dbraw/zinc/16/33/63/1050163363.db2.gz UXLYJBWSXASQFO-IAGOWNOFSA-N 0 3 310.526 4.218 20 0 DIADHN Cc1cccc(CN[C@H](c2ccccc2)C2CCOCC2)c1F ZINC000823876619 1050164672 /nfs/dbraw/zinc/16/46/72/1050164672.db2.gz PXJRLURHRBFEFB-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN CC(C)(C)[C@@H]1CCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001168400857 1050169781 /nfs/dbraw/zinc/16/97/81/1050169781.db2.gz DNUQVMBJGBNOKH-MRXNPFEDSA-N 0 3 310.441 4.146 20 0 DIADHN C[C@H](N[C@H]1CCc2sc(Cl)cc21)c1cccc(CO)c1 ZINC000573449312 1050174767 /nfs/dbraw/zinc/17/47/67/1050174767.db2.gz NVLKCFDHKNGPCR-HZMBPMFUSA-N 0 3 307.846 4.232 20 0 DIADHN CC1(C)CN(Cc2cc3ccccc3s2)[C@H]1[C@H]1CCCO1 ZINC000573409500 1050176211 /nfs/dbraw/zinc/17/62/11/1050176211.db2.gz VJEGIHSREUETEA-WBVHZDCISA-N 0 3 301.455 4.291 20 0 DIADHN CCCC(=CC(=O)Nc1cc(CN(C)C)ccc1OC)CCC ZINC000770644961 1050182058 /nfs/dbraw/zinc/18/20/58/1050182058.db2.gz LUCDIGINUQGYHQ-UHFFFAOYSA-N 0 3 318.461 4.222 20 0 DIADHN Cc1ncc(Nc2ccc(C)c(OCc3ccccc3)c2)n1C ZINC001210255979 1050183857 /nfs/dbraw/zinc/18/38/57/1050183857.db2.gz BQLRZOPSWJSVEI-UHFFFAOYSA-N 0 3 307.397 4.360 20 0 DIADHN O[C@@H]1C[C@@H](c2ccccc2)N(C/C=C/c2ccc(Cl)cc2)C1 ZINC001171049551 1050187813 /nfs/dbraw/zinc/18/78/13/1050187813.db2.gz ONDZDINRJLSWDT-HKVCONOFSA-N 0 3 313.828 4.161 20 0 DIADHN CCCCCN(Cc1ccc(Cl)cc1)C(=O)CN(C)CCC ZINC001136860098 1050201117 /nfs/dbraw/zinc/20/11/17/1050201117.db2.gz JKSIEPWBIUXDRE-UHFFFAOYSA-N 0 3 324.896 4.201 20 0 DIADHN Cc1cc(CN2CCC([C@H](O)c3ccccc3C)CC2)cs1 ZINC000824370661 1050205987 /nfs/dbraw/zinc/20/59/87/1050205987.db2.gz NKCVYQBEWFARPD-IBGZPJMESA-N 0 3 315.482 4.311 20 0 DIADHN CC(C)N(CCC(=O)c1ccc2ccccc2c1)Cc1cnc[nH]1 ZINC001136873531 1050209080 /nfs/dbraw/zinc/20/90/80/1050209080.db2.gz RNAYGVUOVHRMKL-UHFFFAOYSA-N 0 3 321.424 4.046 20 0 DIADHN CC[C@H]1c2ccccc2CCN1C[C@@H](O)c1ccc(F)c(F)c1 ZINC000734003294 1050211735 /nfs/dbraw/zinc/21/17/35/1050211735.db2.gz ZUMAPQILAYVGAB-RBUKOAKNSA-N 0 3 317.379 4.008 20 0 DIADHN COc1cccc2c1CN([C@@H]1CCc3c(Cl)cccc3C1)C2 ZINC001171057715 1050214394 /nfs/dbraw/zinc/21/43/94/1050214394.db2.gz ZUNLUAVXLFMAPQ-OAHLLOKOSA-N 0 3 313.828 4.222 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c(F)cccc32)cc(Cl)n1 ZINC000824468160 1050216426 /nfs/dbraw/zinc/21/64/26/1050216426.db2.gz DLDVRCSXNZAVFT-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN Clc1ccc(C2=CC[C@@H](N[C@H]3CCn4ccnc43)CC2)cc1 ZINC000824533333 1050220354 /nfs/dbraw/zinc/22/03/54/1050220354.db2.gz DRPOYKCZRBDLSM-SJORKVTESA-N 0 3 313.832 4.207 20 0 DIADHN Cc1ccn2c(CN3CCCC[C@H]3c3ccccc3)cnc2c1 ZINC001136885315 1050221731 /nfs/dbraw/zinc/22/17/31/1050221731.db2.gz PJLLSMRDOFMIBA-IBGZPJMESA-N 0 3 305.425 4.370 20 0 DIADHN CCN(Cc1cnc2cc(C)ccn12)Cc1ccc(C)c(C)c1 ZINC001136892190 1050221911 /nfs/dbraw/zinc/22/19/11/1050221911.db2.gz BHSNKLLSCGSBPC-UHFFFAOYSA-N 0 3 307.441 4.282 20 0 DIADHN Clc1cc(Cl)c2c(c1)CC[C@H](NCc1nccs1)C2 ZINC001171059339 1050223782 /nfs/dbraw/zinc/22/37/82/1050223782.db2.gz OJCLGOXUNYBXEX-NSHDSACASA-N 0 3 313.253 4.097 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC000824544084 1050225420 /nfs/dbraw/zinc/22/54/20/1050225420.db2.gz TWKOASKCOHEMDZ-NWDGAFQWSA-N 0 3 322.811 4.165 20 0 DIADHN Fc1cnc(Cl)c(CNCCCCCOc2ccccc2)c1 ZINC000824544367 1050226741 /nfs/dbraw/zinc/22/67/41/1050226741.db2.gz VSPRXECKKCVLKQ-UHFFFAOYSA-N 0 3 322.811 4.213 20 0 DIADHN c1cn(Cc2ccccc2)c(CNCC2CCCCCCC2)n1 ZINC000734158741 1050233027 /nfs/dbraw/zinc/23/30/27/1050233027.db2.gz SWPGHLNHIKBJFE-UHFFFAOYSA-N 0 3 311.473 4.382 20 0 DIADHN C[C@@]1(CNCc2cnc(C3CCC3)s2)CC1(Cl)Cl ZINC000824765259 1050241102 /nfs/dbraw/zinc/24/11/02/1050241102.db2.gz IULAOPFLDDLIOS-LBPRGKRZSA-N 0 3 305.274 4.084 20 0 DIADHN C[C@@]1(CNCc2cc3cc(F)ccc3o2)CC1(Cl)Cl ZINC000824766524 1050241514 /nfs/dbraw/zinc/24/15/14/1050241514.db2.gz VJAUVJZDSGWADV-ZDUSSCGKSA-N 0 3 302.176 4.245 20 0 DIADHN C[C@@]1(CN[C@H]2CCc3cc(F)c(F)c(F)c32)CC1(Cl)Cl ZINC000824780507 1050244785 /nfs/dbraw/zinc/24/47/85/1050244785.db2.gz QUNJTOALRJIJDV-ZANVPECISA-N 0 3 324.173 4.265 20 0 DIADHN Cc1cccn2c(CN3CCCC[C@@H]3c3ccccc3)cnc12 ZINC001136958633 1050255475 /nfs/dbraw/zinc/25/54/75/1050255475.db2.gz XWQRJMCIUWOAHP-LJQANCHMSA-N 0 3 305.425 4.370 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCC4(CC(F)(F)C4)CC3)c21 ZINC001136979876 1050266774 /nfs/dbraw/zinc/26/67/74/1050266774.db2.gz UUSOZKPTQXEUMI-UHFFFAOYSA-N 0 3 308.347 4.318 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)co1 ZINC000825061107 1050269799 /nfs/dbraw/zinc/26/97/99/1050269799.db2.gz ACTQHNFSNAYECV-ZFWWWQNUSA-N 0 3 304.821 4.316 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@H]2c2ccccc2Cl)co1 ZINC000825057473 1050271790 /nfs/dbraw/zinc/27/17/90/1050271790.db2.gz PBZACHDMUTUINW-HOCLYGCPSA-N 0 3 304.821 4.316 20 0 DIADHN CCN(Cc1c[nH]c2cccc(F)c12)Cc1cccc(F)c1 ZINC001136985595 1050272129 /nfs/dbraw/zinc/27/21/29/1050272129.db2.gz NFRYMSOBWIGWDX-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN Fc1cccc2[nH]cc(CN3CC[C@H](OCc4ccccc4)C3)c21 ZINC001136985076 1050273852 /nfs/dbraw/zinc/27/38/52/1050273852.db2.gz YQKUSVMCMFFNLM-KRWDZBQOSA-N 0 3 324.399 4.098 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136985051 1050274828 /nfs/dbraw/zinc/27/48/28/1050274828.db2.gz YBLMCGAMYPZXNG-MRXNPFEDSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1cc(CN[C@@H]2CCCN(C)c3ccccc32)cnc1Cl ZINC000825113110 1050277497 /nfs/dbraw/zinc/27/74/97/1050277497.db2.gz ZBMHQIMMADEUHP-MRXNPFEDSA-N 0 3 315.848 4.104 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@@H]1C1CCC1 ZINC000646138798 1050278999 /nfs/dbraw/zinc/27/89/99/1050278999.db2.gz WIMLVSNEPJHFBJ-GOSISDBHSA-N 0 3 314.473 4.151 20 0 DIADHN Fc1cccc(CN[C@@H](c2ccccc2)C2CCOCC2)c1F ZINC000734509696 1050279384 /nfs/dbraw/zinc/27/93/84/1050279384.db2.gz PDUVUDZPHDYFEN-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)cc(Cl)c2C1)c1ccno1 ZINC001171074948 1050282056 /nfs/dbraw/zinc/28/20/56/1050282056.db2.gz WEJOCKKDLSCCDR-JOYOIKCWSA-N 0 3 311.212 4.190 20 0 DIADHN O[C@@H](CNc1c[nH]cc2ccnc1-2)c1c(Cl)cccc1Cl ZINC001170032743 1050282154 /nfs/dbraw/zinc/28/21/54/1050282154.db2.gz MTHAUHWWTDLVBJ-ZDUSSCGKSA-N 0 3 322.195 4.015 20 0 DIADHN O[C@@H](CNc1cncc2cc[nH]c21)c1c(Cl)cccc1Cl ZINC001170032743 1050282161 /nfs/dbraw/zinc/28/21/61/1050282161.db2.gz MTHAUHWWTDLVBJ-ZDUSSCGKSA-N 0 3 322.195 4.015 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@H](Cc4ccccc4)C3)cn2c1 ZINC000592324515 1050287717 /nfs/dbraw/zinc/28/77/17/1050287717.db2.gz XHEXKHUNAPZWFD-LJQANCHMSA-N 0 3 319.452 4.097 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(Cc2cccc(F)c2F)CC1 ZINC001171078874 1050288889 /nfs/dbraw/zinc/28/88/89/1050288889.db2.gz UOCMMNISKUGROO-AWEZNQCLSA-N 0 3 316.395 4.376 20 0 DIADHN Cn1c(Cl)cnc1CN[C@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000825327117 1050292552 /nfs/dbraw/zinc/29/25/52/1050292552.db2.gz RDVXYWZHRKUMRI-JSGCOSHPSA-N 0 3 324.255 4.153 20 0 DIADHN Cn1c(Cl)cnc1CN[C@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000825327121 1050293092 /nfs/dbraw/zinc/29/30/92/1050293092.db2.gz RDVXYWZHRKUMRI-OCCSQVGLSA-N 0 3 324.255 4.153 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc3c(c2)COC3)c1 ZINC001137027262 1050298401 /nfs/dbraw/zinc/29/84/01/1050298401.db2.gz PHWWMABBTZTKKD-NRFANRHFSA-N 0 3 323.436 4.453 20 0 DIADHN CCN(CC)[C@H](CNC(=O)/C(C)=C\C(C)(C)C)c1ccsc1 ZINC000771913841 1050300450 /nfs/dbraw/zinc/30/04/50/1050300450.db2.gz ANYVOTSLULDHMX-SYCZXOQXSA-N 0 3 322.518 4.240 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)COC3)c1 ZINC001137028351 1050301592 /nfs/dbraw/zinc/30/15/92/1050301592.db2.gz JHUWYYGKDKSJCM-FQEVSTJZSA-N 0 3 309.409 4.063 20 0 DIADHN Fc1ccccc1CNCCOc1ccccc1C(F)(F)F ZINC000592456315 1050307651 /nfs/dbraw/zinc/30/76/51/1050307651.db2.gz PVUAQWDEWFMVFF-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN CCN(CCSC)Cc1cnc(-c2ccccc2F)s1 ZINC000592532102 1050316042 /nfs/dbraw/zinc/31/60/42/1050316042.db2.gz ZHAZWBUDUFFPFJ-UHFFFAOYSA-N 0 3 310.463 4.134 20 0 DIADHN CC(C)(C)C1CCN(Cn2cnc3cc(F)c(F)cc32)CC1 ZINC000734829761 1050321424 /nfs/dbraw/zinc/32/14/24/1050321424.db2.gz YWAJTVLKEHCAPS-UHFFFAOYSA-N 0 3 307.388 4.030 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2)cc1C(F)(F)F ZINC001143627943 1050321749 /nfs/dbraw/zinc/32/17/49/1050321749.db2.gz BGUJKFPTWBZKOA-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(C[C@H](O)c2cc(F)ccc2F)CC1 ZINC000734836932 1050323681 /nfs/dbraw/zinc/32/36/81/1050323681.db2.gz MAASZDCGSPBPJM-DYVFJYSZSA-N 0 3 311.416 4.146 20 0 DIADHN CCc1nn(C)c(Cl)c1CNCC(C)(C)c1cccc(C)c1 ZINC000734841604 1050325498 /nfs/dbraw/zinc/32/54/98/1050325498.db2.gz VGHGDBFDXZPTIE-UHFFFAOYSA-N 0 3 319.880 4.012 20 0 DIADHN CC(C)(C)c1ccc([C@H](O)CNCc2ccc(F)cc2F)cc1 ZINC000734848430 1050325622 /nfs/dbraw/zinc/32/56/22/1050325622.db2.gz HQRBATSXUDDQML-GOSISDBHSA-N 0 3 319.395 4.086 20 0 DIADHN Fc1ccccc1N1CCCN(Cc2cc3ccccc3o2)CC1 ZINC001170039145 1050328758 /nfs/dbraw/zinc/32/87/58/1050328758.db2.gz MNXGKPGXCYDFSS-UHFFFAOYSA-N 0 3 324.399 4.284 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@H]3OCCC[C@H]3C2)c1 ZINC001143671065 1050331984 /nfs/dbraw/zinc/33/19/84/1050331984.db2.gz WGYDDYPAIGYBEM-XJKSGUPXSA-N 0 3 313.363 4.015 20 0 DIADHN COC[C@H]1CCCCN1Cc1cc(C)ccc1C(F)(F)F ZINC001143672878 1050332213 /nfs/dbraw/zinc/33/22/13/1050332213.db2.gz TZKJTIKQRIJNJT-CQSZACIVSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CCC3(CCOC3)CC2)c1 ZINC001143672649 1050332872 /nfs/dbraw/zinc/33/28/72/1050332872.db2.gz OHUWGIVDTCVNKD-UHFFFAOYSA-N 0 3 313.363 4.016 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)c1 ZINC001143672565 1050333208 /nfs/dbraw/zinc/33/32/08/1050333208.db2.gz LDXNBRXMGIGWIQ-DUVNUKRYSA-N 0 3 313.363 4.013 20 0 DIADHN CCOCC1CCN(Cc2cc(C)ccc2C(F)(F)F)CC1 ZINC001143680668 1050336157 /nfs/dbraw/zinc/33/61/57/1050336157.db2.gz HTTUPNSSSSCCNO-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2cc(C)cc(C)c2)s1 ZINC000592818463 1050342479 /nfs/dbraw/zinc/34/24/79/1050342479.db2.gz XHFGMSHQKPRMLB-OAHLLOKOSA-N 0 3 318.486 4.449 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(C(F)(F)F)cc2Cl)CC1 ZINC001143712304 1050343134 /nfs/dbraw/zinc/34/31/34/1050343134.db2.gz TYESKGFCMYEORI-CYBMUJFWSA-N 0 3 321.770 4.360 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(F)cc(F)c3C)C2)c1 ZINC001143769040 1050353982 /nfs/dbraw/zinc/35/39/82/1050353982.db2.gz FQRYXVZWLKPBMO-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN Fc1cc(OC(F)(F)F)ccc1CN(CC1CC1)C1CC1 ZINC001143793262 1050361882 /nfs/dbraw/zinc/36/18/82/1050361882.db2.gz HYQMVLNDQQZDHW-UHFFFAOYSA-N 0 3 303.299 4.099 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1ccnc(Cl)c1 ZINC000772458218 1050362308 /nfs/dbraw/zinc/36/23/08/1050362308.db2.gz WSRSOGQJXQAQMG-XCRHUMRWSA-N 0 3 316.832 4.163 20 0 DIADHN c1c[nH]c([C@@H]2CCCN2Cc2ccccc2N2CCCCC2)c1 ZINC000772598486 1050376203 /nfs/dbraw/zinc/37/62/03/1050376203.db2.gz LSZQRKYPBCOVQA-FQEVSTJZSA-N 0 3 309.457 4.342 20 0 DIADHN Clc1cnc(CN[C@@H]2CCc3cc(Cl)ccc3C2)s1 ZINC001171112306 1050377906 /nfs/dbraw/zinc/37/79/06/1050377906.db2.gz VFMRLTREZMOMAK-GFCCVEGCSA-N 0 3 313.253 4.097 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1ccc3cnccc3c1)CCC2 ZINC000785513275 1050383921 /nfs/dbraw/zinc/38/39/21/1050383921.db2.gz GHOPUVQCJNFNBB-INIZCTEOSA-N 0 3 309.438 4.167 20 0 DIADHN C[C@@](O)(CNCc1sccc1Cl)c1cccc(Cl)c1 ZINC000785512497 1050384743 /nfs/dbraw/zinc/38/47/43/1050384743.db2.gz AWUGFGXZIWYTHJ-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@H]2CCc3cc(Cl)ccc3C2)no1 ZINC001171117845 1050398834 /nfs/dbraw/zinc/39/88/34/1050398834.db2.gz ZNHMGUYZUSVUAI-WMZOPIPTSA-N 0 3 316.832 4.331 20 0 DIADHN CO[C@H]1CCN(Cc2cccc(C(F)(F)F)c2C)[C@@H](C)C1 ZINC001143929417 1050400775 /nfs/dbraw/zinc/40/07/75/1050400775.db2.gz KFVGYDQMNGAZCP-FZMZJTMJSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1c(CN2CC[C@@]3(C2)CCCOC3)cccc1C(F)(F)F ZINC001143929877 1050403383 /nfs/dbraw/zinc/40/33/83/1050403383.db2.gz DPFKXRWUDLHDQO-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN Cc1ccc(OCCN2CCS[C@@H](c3ccccc3)C2)cc1 ZINC000735495288 1050404169 /nfs/dbraw/zinc/40/41/69/1050404169.db2.gz TWGLXZGJZXIOIP-LJQANCHMSA-N 0 3 313.466 4.164 20 0 DIADHN Cc1c(CN2CC[C@@H](c3cccnc3)C2)cccc1C(F)(F)F ZINC001143924754 1050409602 /nfs/dbraw/zinc/40/96/02/1050409602.db2.gz LVAOSWOXWYQFAS-MRXNPFEDSA-N 0 3 320.358 4.398 20 0 DIADHN CC[C@H](NCc1cccc2nsnc21)c1ccccc1F ZINC000844396053 1050410324 /nfs/dbraw/zinc/41/03/24/1050410324.db2.gz KNPQMYWOQVGDGR-AWEZNQCLSA-N 0 3 301.390 4.071 20 0 DIADHN CN(C)CCN(C(=O)/C(F)=C\C1CCCCC1)C1CCCCC1 ZINC001143965925 1050418633 /nfs/dbraw/zinc/41/86/33/1050418633.db2.gz WGZJWLNMMZVZEK-OBGWFSINSA-N 0 3 324.484 4.143 20 0 DIADHN CO[C@H](CN1CCC[C@]2(CC2(F)F)C1)c1ccc(Cl)cc1 ZINC000844441623 1050426942 /nfs/dbraw/zinc/42/69/42/1050426942.db2.gz PQRVIQFQYJMDMV-CABCVRRESA-N 0 3 315.791 4.149 20 0 DIADHN CC(C)O[C@H](CN1CCC[C@@]2(CC2(F)F)C1)c1ccccc1 ZINC000844440136 1050427442 /nfs/dbraw/zinc/42/74/42/1050427442.db2.gz BVVCRYOJYVCUKW-IAGOWNOFSA-N 0 3 309.400 4.274 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC000844444740 1050428649 /nfs/dbraw/zinc/42/86/49/1050428649.db2.gz TWKUXPNWGFZTRV-QGZVFWFLSA-N 0 3 308.416 4.389 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cc(Cl)nc3ccccc13)C2 ZINC000844468461 1050434731 /nfs/dbraw/zinc/43/47/31/1050434731.db2.gz OESCFRUNSNALDI-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN FC(F)Sc1ccc(CN2CCC(c3ncc[nH]3)CC2)cc1 ZINC000735870625 1050435210 /nfs/dbraw/zinc/43/52/10/1050435210.db2.gz NANQXBGYKWJUPV-UHFFFAOYSA-N 0 3 323.412 4.104 20 0 DIADHN CS[C@@H]1CCCC[C@H]1N[C@H](C)c1nc2c(s1)CCCC2 ZINC000593715744 1050436673 /nfs/dbraw/zinc/43/66/73/1050436673.db2.gz NJFFTMTYEVCAGK-YRGRVCCFSA-N 0 3 310.532 4.347 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C[C@@H]2CN(c3ccccc3)C(=O)O2)cc1 ZINC000735919590 1050438112 /nfs/dbraw/zinc/43/81/12/1050438112.db2.gz HMXMFMCWDATDGY-VQIMIIECSA-N 0 3 324.424 4.013 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C[C@H]2CN(c3ccccc3)C(=O)O2)cc1 ZINC000735919587 1050439249 /nfs/dbraw/zinc/43/92/49/1050439249.db2.gz HMXMFMCWDATDGY-APWZRJJASA-N 0 3 324.424 4.013 20 0 DIADHN Cc1cccc([C@@H](O)CN2CCC[C@H]2c2ccc(F)cc2F)c1 ZINC001171132063 1050448635 /nfs/dbraw/zinc/44/86/35/1050448635.db2.gz CBUDOQKPSXOPMS-OALUTQOASA-N 0 3 317.379 4.144 20 0 DIADHN Cc1cccc([C@@H](O)CN2CCC[C@@H]2c2ccc(F)cc2F)c1 ZINC001171132062 1050449278 /nfs/dbraw/zinc/44/92/78/1050449278.db2.gz CBUDOQKPSXOPMS-MOPGFXCFSA-N 0 3 317.379 4.144 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cccc2cc[nH]c21 ZINC000786090883 1050449467 /nfs/dbraw/zinc/44/94/67/1050449467.db2.gz ZBAFNLYUANKNAQ-UHFFFAOYSA-N 0 3 303.365 4.337 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1ccc(F)cc1F)C1CCCC1 ZINC001171133407 1050453014 /nfs/dbraw/zinc/45/30/14/1050453014.db2.gz KLQGIPMFIUVZAT-ZWKOTPCHSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3cccc(Cl)n3)C2)cc1 ZINC000786124204 1050456204 /nfs/dbraw/zinc/45/62/04/1050456204.db2.gz DGDCGZNDCHHXLR-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3ccc(Cl)nc3)C2)cc1 ZINC000786127468 1050456638 /nfs/dbraw/zinc/45/66/38/1050456638.db2.gz ANLVFEQKBGGKNX-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000574395312 1050457701 /nfs/dbraw/zinc/45/77/01/1050457701.db2.gz WDBWEFURPSQIMD-HIFRSBDPSA-N 0 3 318.852 4.007 20 0 DIADHN Cc1ccc(Oc2cccc(CN3C[C@@H]4CCO[C@@H]4C3)c2)cc1 ZINC001144090389 1050458018 /nfs/dbraw/zinc/45/80/18/1050458018.db2.gz HCSBFMDUZKVSIX-FXAWDEMLSA-N 0 3 309.409 4.008 20 0 DIADHN CO[C@@H](C)C1CN(Cc2cccc(Oc3ccc(C)cc3)c2)C1 ZINC001144090512 1050458449 /nfs/dbraw/zinc/45/84/49/1050458449.db2.gz LNOOZVIYXVTMIB-INIZCTEOSA-N 0 3 311.425 4.254 20 0 DIADHN Clc1cc2c(cc1Cl)C[C@H](NCc1cscn1)CC2 ZINC001171137990 1050462954 /nfs/dbraw/zinc/46/29/54/1050462954.db2.gz AGPWBLAHKKGAIU-LLVKDONJSA-N 0 3 313.253 4.097 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(Cc2cccc(O)c2C)C1 ZINC001144118964 1050470449 /nfs/dbraw/zinc/47/04/49/1050470449.db2.gz NEBQEOGHUKPCIT-GOSISDBHSA-N 0 3 311.425 4.052 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)CC12CCCC2)c1ccc(F)cc1 ZINC000844690701 1050481049 /nfs/dbraw/zinc/48/10/49/1050481049.db2.gz ZTYAMRNLYSATMQ-QGZVFWFLSA-N 0 3 318.436 4.005 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCCC[C@H]1c1ccco1 ZINC000736581316 1050483217 /nfs/dbraw/zinc/48/32/17/1050483217.db2.gz NCMJRZTWUNQTAB-KRWDZBQOSA-N 0 3 320.477 4.235 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccnc(Cl)c1 ZINC000736626741 1050485052 /nfs/dbraw/zinc/48/50/52/1050485052.db2.gz BIUZSRORKJYAOB-DOMZBBRYSA-N 0 3 304.796 4.252 20 0 DIADHN O[C@@H](CN1CCCCC[C@H]1c1ccco1)c1cccc(F)c1 ZINC000736623017 1050485305 /nfs/dbraw/zinc/48/53/05/1050485305.db2.gz GJIMSHBEMHRXTG-IRXDYDNUSA-N 0 3 303.377 4.069 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)C[C@@H]1CC1(Cl)Cl ZINC000736946584 1050497733 /nfs/dbraw/zinc/49/77/33/1050497733.db2.gz QPRLJUUVSPHTGV-PWSUYJOCSA-N 0 3 306.664 4.397 20 0 DIADHN Fc1ccccc1C1CCN(C[C@H]2CC2(Cl)Cl)CC1 ZINC000736946955 1050498216 /nfs/dbraw/zinc/49/82/16/1050498216.db2.gz YQAAMKLMMCGULX-GFCCVEGCSA-N 0 3 302.220 4.199 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCC(=O)N(C)c2ccccc2)cc1 ZINC000594396198 1050505379 /nfs/dbraw/zinc/50/53/79/1050505379.db2.gz LZRKXWRRKATLTA-UHFFFAOYSA-N 0 3 324.468 4.298 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@H](NCc1cscc1C)CCC2 ZINC000594499454 1050519074 /nfs/dbraw/zinc/51/90/74/1050519074.db2.gz HBZLPECSHNRYLA-QGZVFWFLSA-N 0 3 315.438 4.010 20 0 DIADHN COC[C@H](N[C@H](C)COc1ccccc1)c1ccc(Cl)cc1 ZINC000786815448 1050522946 /nfs/dbraw/zinc/52/29/46/1050522946.db2.gz RQONIKSCMWGRRS-KDOFPFPSSA-N 0 3 319.832 4.085 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@@H](NC1(c3ccccc3)CC1)CCC2 ZINC000594513245 1050525560 /nfs/dbraw/zinc/52/55/60/1050525560.db2.gz LQTORBQOXLNTAF-IBGZPJMESA-N 0 3 321.420 4.130 20 0 DIADHN CCc1cc(CNCc2ccc(N(C)c3ccccc3)cc2)n[nH]1 ZINC000738430059 1050532986 /nfs/dbraw/zinc/53/29/86/1050532986.db2.gz FWWKMNGDTWLGGJ-UHFFFAOYSA-N 0 3 320.440 4.030 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C\C1CCCCCC1 ZINC000845187012 1050552829 /nfs/dbraw/zinc/55/28/29/1050552829.db2.gz QJLZWSHFHIXTAT-YPKPFQOOSA-N 0 3 300.446 4.213 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4cnccc4c3)CC2)cc1 ZINC000787099014 1050553987 /nfs/dbraw/zinc/55/39/87/1050553987.db2.gz LYLCUELBJIAWRV-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN CC(C)CCC[C@H](C)NCc1cn(Cc2ccccc2F)cn1 ZINC001170070069 1050560213 /nfs/dbraw/zinc/56/02/13/1050560213.db2.gz BTMUMFUDYHZZKG-INIZCTEOSA-N 0 3 317.452 4.375 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000746654584 1050561313 /nfs/dbraw/zinc/56/13/13/1050561313.db2.gz JPAKVRKWJDHPIJ-SGTLLEGYSA-N 0 3 323.358 4.139 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)C[C@@H]1CC1(Cl)Cl ZINC000746650586 1050568548 /nfs/dbraw/zinc/56/85/48/1050568548.db2.gz BERHMSFZGUSRJL-WFASDCNBSA-N 0 3 314.256 4.198 20 0 DIADHN COc1cc2cccnc2c(Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213074269 1050576731 /nfs/dbraw/zinc/57/67/31/1050576731.db2.gz MOHCCCFPYUPZRJ-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN COc1ncc(Nc2ccc3nc(C)[nH]c3c2)cc1C(F)(F)F ZINC001213072316 1050578774 /nfs/dbraw/zinc/57/87/74/1050578774.db2.gz NCWDQHDTOIMTOX-UHFFFAOYSA-N 0 3 322.290 4.037 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)NCc1ncc(CSC)s1 ZINC000594948884 1050579178 /nfs/dbraw/zinc/57/91/78/1050579178.db2.gz AWQOLBFIJWMMAT-AWEZNQCLSA-N 0 3 324.490 4.256 20 0 DIADHN CC(C)CCC[C@H](C)NCc1nc(Br)cs1 ZINC001170071166 1050581082 /nfs/dbraw/zinc/58/10/82/1050581082.db2.gz KVVDBHYORYKJKL-JTQLQIEISA-N 0 3 305.285 4.210 20 0 DIADHN CC(C)CCC[C@@H](C)NCc1cn(Cc2ccc(F)cc2)cn1 ZINC001170071272 1050583630 /nfs/dbraw/zinc/58/36/30/1050583630.db2.gz MKTIGNTUQPEGLQ-MRXNPFEDSA-N 0 3 317.452 4.375 20 0 DIADHN Cc1cc(Nc2ccc(-c3ncc[nH]3)cc2)c2ccccc2n1 ZINC001213085679 1050583842 /nfs/dbraw/zinc/58/38/42/1050583842.db2.gz QYXODWUROIFVAT-UHFFFAOYSA-N 0 3 300.365 4.099 20 0 DIADHN CCSC1(CNCc2csc(-c3ccc(F)cc3)n2)CC1 ZINC000594983725 1050588266 /nfs/dbraw/zinc/58/82/66/1050588266.db2.gz DBTIDIBKYYKXPX-UHFFFAOYSA-N 0 3 322.474 4.325 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1cccnc1Cl ZINC000746675945 1050588383 /nfs/dbraw/zinc/58/83/83/1050588383.db2.gz HGLXQEDPSJFQII-HOCLYGCPSA-N 0 3 300.833 4.188 20 0 DIADHN CCSC1(CNCc2nc(-c3ccccc3)cs2)CC1 ZINC000594986537 1050589168 /nfs/dbraw/zinc/58/91/68/1050589168.db2.gz FOPRDJHHAQRQFJ-UHFFFAOYSA-N 0 3 304.484 4.185 20 0 DIADHN CCSC1(CNCc2ccc(Sc3ccccn3)o2)CC1 ZINC000594986917 1050590355 /nfs/dbraw/zinc/59/03/55/1050590355.db2.gz NFRSSNNHQDNMCJ-UHFFFAOYSA-N 0 3 320.483 4.201 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1ccc(Cl)nc1 ZINC000746678501 1050592386 /nfs/dbraw/zinc/59/23/86/1050592386.db2.gz YORXTFXRRKXHIN-YOEHRIQHSA-N 0 3 300.833 4.188 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccnc(Cl)c1 ZINC000746677647 1050594170 /nfs/dbraw/zinc/59/41/70/1050594170.db2.gz RWJMCHLIUDPIQZ-WMLDXEAASA-N 0 3 300.833 4.188 20 0 DIADHN CCOC(=O)c1cnc(C)c(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001213162749 1050605156 /nfs/dbraw/zinc/60/51/56/1050605156.db2.gz KWQZFFFXDAFRCH-UHFFFAOYSA-N 0 3 313.401 4.003 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc(F)c(Br)c2)ccn1 ZINC001213161013 1050605599 /nfs/dbraw/zinc/60/55/99/1050605599.db2.gz YEOHYRWZTPLAPZ-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN Cc1ccc(C(=O)NC2CC2)cc1Nc1ccnc(C(C)(C)C)c1 ZINC001213168978 1050606756 /nfs/dbraw/zinc/60/67/56/1050606756.db2.gz ZSBYMNVTAUDFGG-UHFFFAOYSA-N 0 3 323.440 4.323 20 0 DIADHN FC(F)(F)c1ncccc1CN1CC[C@H](Cc2ccccc2)C1 ZINC001144531432 1050608437 /nfs/dbraw/zinc/60/84/37/1050608437.db2.gz DKCSVCNSZJVBJV-OAHLLOKOSA-N 0 3 320.358 4.165 20 0 DIADHN CCN(CC)Cc1cc(-c2cncc(SC)c2)ccc1F ZINC001242951489 1050612058 /nfs/dbraw/zinc/61/20/58/1050612058.db2.gz IKYCGUPRENVSEW-UHFFFAOYSA-N 0 3 304.434 4.451 20 0 DIADHN Clc1ccc2c(n1)[C@@H](NCCOC1CCCCCC1)CC2 ZINC000787763303 1050617493 /nfs/dbraw/zinc/61/74/93/1050617493.db2.gz KSNDMXQVSXPUEJ-HNNXBMFYSA-N 0 3 308.853 4.051 20 0 DIADHN CN(c1cc(N)ccn1)c1cc(OCc2ccccc2)ccc1F ZINC001213275192 1050620519 /nfs/dbraw/zinc/62/05/19/1050620519.db2.gz WDPPKIZGENTDJW-UHFFFAOYSA-N 0 3 323.371 4.150 20 0 DIADHN Cc1cc(CN(C)C2CC(C)C2)c(F)cc1Br ZINC001144584320 1050624504 /nfs/dbraw/zinc/62/45/04/1050624504.db2.gz VGDBGHPJWLVHIJ-UHFFFAOYSA-N 0 3 300.215 4.127 20 0 DIADHN c1ccc([C@@H]2CN(CCOC3CCCCCC3)CCCO2)cc1 ZINC000740876847 1050637717 /nfs/dbraw/zinc/63/77/17/1050637717.db2.gz JVDJDFWWWGFMFC-FQEVSTJZSA-N 0 3 317.473 4.189 20 0 DIADHN Clc1cnc2oc(CN3CCSC[C@@H]3C3CCC3)cc2c1 ZINC000669576930 1050663042 /nfs/dbraw/zinc/66/30/42/1050663042.db2.gz WVCPQRSAILDPKG-OAHLLOKOSA-N 0 3 322.861 4.199 20 0 DIADHN CC(C)(C)OC(=O)CCCCN1CC[C@H]1c1ccc(F)cc1 ZINC000595699827 1050663120 /nfs/dbraw/zinc/66/31/20/1050663120.db2.gz AVOHRSXQQPFQJE-INIZCTEOSA-N 0 3 307.409 4.085 20 0 DIADHN C[C@H](CCNCc1occc1Br)c1ccccc1 ZINC000227369475 1050663443 /nfs/dbraw/zinc/66/34/43/1050663443.db2.gz JEJGMAPKNFIOOC-GFCCVEGCSA-N 0 3 308.219 4.326 20 0 DIADHN CC1(O)CCN(Cc2ccc(Sc3ccccc3)cc2)CC1 ZINC000179724617 1050670353 /nfs/dbraw/zinc/67/03/53/1050670353.db2.gz CZWXZEHXJUXNIK-UHFFFAOYSA-N 0 3 313.466 4.185 20 0 DIADHN CCCCCCOC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000741333168 1050673659 /nfs/dbraw/zinc/67/36/59/1050673659.db2.gz WLOJZAXYIRHXTP-UHFFFAOYSA-N 0 3 303.446 4.022 20 0 DIADHN O=C(OCC1CCCCC1)C1CCN(Cc2ccccc2)CC1 ZINC000741332593 1050673903 /nfs/dbraw/zinc/67/39/03/1050673903.db2.gz USYRIIBCAFLMPO-UHFFFAOYSA-N 0 3 315.457 4.022 20 0 DIADHN CSCc1cnc(CNCC(C)(C)CC(F)(F)F)s1 ZINC000596068094 1050686153 /nfs/dbraw/zinc/68/61/53/1050686153.db2.gz VHCHETKSKCESID-UHFFFAOYSA-N 0 3 312.426 4.074 20 0 DIADHN CCCCCCN[C@@H](C)c1nc(Br)ccc1F ZINC000788499088 1050691918 /nfs/dbraw/zinc/69/19/18/1050691918.db2.gz SODYXDMLGRPLKT-JTQLQIEISA-N 0 3 303.219 4.214 20 0 DIADHN CC(C)[C@H](NCc1cscc1Cl)[C@@H](O)c1ccccc1 ZINC000788536166 1050698993 /nfs/dbraw/zinc/69/89/93/1050698993.db2.gz FDQGJLNCXRQIIE-HOTGVXAUSA-N 0 3 309.862 4.249 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)[C@@H](C)Cc1ccsc1 ZINC000176437771 1050699895 /nfs/dbraw/zinc/69/98/95/1050699895.db2.gz NKZKSLAZTGMKIU-ZDUSSCGKSA-N 0 3 311.454 4.063 20 0 DIADHN COC(=O)c1ccc(CN2C[C@@H](C)C[C@@H]2c2ccccc2)s1 ZINC001170085687 1050705053 /nfs/dbraw/zinc/70/50/53/1050705053.db2.gz LQZFILBOKVRZPF-XJKSGUPXSA-N 0 3 315.438 4.118 20 0 DIADHN C[C@@H](CN[C@H]1COCc2cccc(Cl)c21)c1ccc(F)cc1 ZINC000788595691 1050711280 /nfs/dbraw/zinc/71/12/80/1050711280.db2.gz UWABQWAAFZRKFH-SJCJKPOMSA-N 0 3 319.807 4.444 20 0 DIADHN CC(C)CCCN[C@H](C)c1nc(Br)ccc1F ZINC000788644852 1050715806 /nfs/dbraw/zinc/71/58/06/1050715806.db2.gz UHVRFDAHOSPCLX-SNVBAGLBSA-N 0 3 303.219 4.070 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@@H]1CCc2ccc(Cl)nc21 ZINC000788616187 1050716620 /nfs/dbraw/zinc/71/66/20/1050716620.db2.gz XZUUNGXCOFZIEM-UKRRQHHQSA-N 0 3 316.832 4.088 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C(C)C)[C@H](O)c2ccccc2)c(C)s1 ZINC000788620808 1050718816 /nfs/dbraw/zinc/71/88/16/1050718816.db2.gz KKFYBCGGMAGULG-BJOOFOGMSA-N 0 3 318.486 4.169 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@H](O)c1ccccc1 ZINC000788620806 1050718849 /nfs/dbraw/zinc/71/88/49/1050718849.db2.gz KKCXHVXBMILNQE-MJXNMMHHSA-N 0 3 319.395 4.374 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cccnc1Cl)[C@@H](O)c1ccccc1 ZINC000788622662 1050720798 /nfs/dbraw/zinc/72/07/98/1050720798.db2.gz TZYLKZKGUSYOQA-XKQJLSEDSA-N 0 3 318.848 4.144 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788652576 1050721992 /nfs/dbraw/zinc/72/19/92/1050721992.db2.gz RPZWXSPPRQZCRC-VWYCJHECSA-N 0 3 315.230 4.213 20 0 DIADHN CCC[C@@H](CC)N[C@H](C)c1nc(Br)ccc1F ZINC000788642816 1050722870 /nfs/dbraw/zinc/72/28/70/1050722870.db2.gz DRDVDRTVUMLWKJ-NXEZZACHSA-N 0 3 303.219 4.213 20 0 DIADHN C[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccccc1SC(C)(C)C ZINC000788630387 1050723479 /nfs/dbraw/zinc/72/34/79/1050723479.db2.gz GXGTWYHFEZDWQO-SOUVJXGZSA-N 0 3 305.487 4.165 20 0 DIADHN Clc1cccc2c1[C@H](NCCCc1cccs1)COC2 ZINC000788688613 1050725282 /nfs/dbraw/zinc/72/52/82/1050725282.db2.gz FSUXNZABCPNVDW-OAHLLOKOSA-N 0 3 307.846 4.195 20 0 DIADHN CC(C)C1(CN[C@H](C)c2nc(Br)ccc2F)CC1 ZINC000788666520 1050727004 /nfs/dbraw/zinc/72/70/04/1050727004.db2.gz COIKJTBQMLQQAS-SNVBAGLBSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CC[C@H](CC(C)(C)C)C2)c1 ZINC001171229939 1050727217 /nfs/dbraw/zinc/72/72/17/1050727217.db2.gz KLLMLWPLGUCYNS-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN Cc1ccc([C@H](CNC(=O)C2CCCCCCC2)N(C)C)cc1 ZINC000741678378 1050728843 /nfs/dbraw/zinc/72/88/43/1050728843.db2.gz QKMPCRIJLDYDNW-IBGZPJMESA-N 0 3 316.489 4.074 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788713481 1050730972 /nfs/dbraw/zinc/73/09/72/1050730972.db2.gz WFSRFSRLVSDZER-INMHGKMJSA-N 0 3 323.864 4.095 20 0 DIADHN COc1cc(F)c([C@H](C)NCCSC(C)(C)C)cc1OC ZINC000772949430 1050741420 /nfs/dbraw/zinc/74/14/20/1050741420.db2.gz AGOTUEFUGJHMJU-NSHDSACASA-N 0 3 315.454 4.025 20 0 DIADHN Cc1sc(CNCc2ccsc2)cc1Br ZINC000227830311 1050743369 /nfs/dbraw/zinc/74/33/69/1050743369.db2.gz WDBKINXXBVNOTD-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1cc(C)c(N2CC[C@@H](N[C@H](C)c3ccccc3)C2=O)c(C)c1 ZINC000788801808 1050748241 /nfs/dbraw/zinc/74/82/41/1050748241.db2.gz LOYYDNHDYYIYBV-IEBWSBKVSA-N 0 3 322.452 4.068 20 0 DIADHN Cc1cc(C)c(N2CC[C@H](N[C@@H](C)c3ccccc3)C2=O)c(C)c1 ZINC000788801804 1050748804 /nfs/dbraw/zinc/74/88/04/1050748804.db2.gz LOYYDNHDYYIYBV-HKUYNNGSSA-N 0 3 322.452 4.068 20 0 DIADHN Clc1ccc2c(n1)[C@@H](NC[C@@H]1CCC3(CCCCC3)O1)CC2 ZINC000788778119 1050752890 /nfs/dbraw/zinc/75/28/90/1050752890.db2.gz RCVVYGZASCWZPD-GJZGRUSLSA-N 0 3 320.864 4.194 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000788776920 1050753250 /nfs/dbraw/zinc/75/32/50/1050753250.db2.gz QJSFYFTVMLJODO-DOMZBBRYSA-N 0 3 324.346 4.440 20 0 DIADHN CCC(C)(C)CN[C@@H](C)c1nc(Br)ccc1F ZINC000788784103 1050754327 /nfs/dbraw/zinc/75/43/27/1050754327.db2.gz OTIJJJZILJDTEM-VIFPVBQESA-N 0 3 303.219 4.070 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2cccnc2Cl)c1 ZINC000772953261 1050754605 /nfs/dbraw/zinc/75/46/05/1050754605.db2.gz SZEUWLXVNWAXPP-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN C[C@]1(NCc2cnc(Cl)c(F)c2)CCCc2ccccc21 ZINC000846824740 1050755727 /nfs/dbraw/zinc/75/57/27/1050755727.db2.gz OQBBUNJVMKGAIU-KRWDZBQOSA-N 0 3 304.796 4.215 20 0 DIADHN Cc1ncc(C(F)(F)F)cc1Nc1ccccc1CN(C)C ZINC001213832980 1050757453 /nfs/dbraw/zinc/75/74/53/1050757453.db2.gz OYFGMOUTDZSHJF-UHFFFAOYSA-N 0 3 309.335 4.214 20 0 DIADHN FC1(F)CCC[C@H](CN[C@@H]2COCc3cccc(Cl)c32)C1 ZINC000788822039 1050758155 /nfs/dbraw/zinc/75/81/55/1050758155.db2.gz IPDCFHIOSSWENT-SMDDNHRTSA-N 0 3 315.791 4.326 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(F)c(F)cc(Br)c2F)C1 ZINC001170091493 1050761067 /nfs/dbraw/zinc/76/10/67/1050761067.db2.gz GUIHDLAOQPPDHI-YUMQZZPRSA-N 0 3 322.168 4.145 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H](C)C[C@H](O)c1ccco1 ZINC000788968194 1050768011 /nfs/dbraw/zinc/76/80/11/1050768011.db2.gz WJGCCXWXLDIIJK-LRDDRELGSA-N 0 3 307.821 4.097 20 0 DIADHN C[C@@H](NCc1ccc2nonc2c1)c1ccccc1C(F)(F)F ZINC000846846684 1050768931 /nfs/dbraw/zinc/76/89/31/1050768931.db2.gz VZOCWEXUYCTRAG-SNVBAGLBSA-N 0 3 321.302 4.092 20 0 DIADHN C[C@@H](NCC1(Br)CC1)c1cccc(C(F)(F)F)c1 ZINC000846839216 1050769626 /nfs/dbraw/zinc/76/96/26/1050769626.db2.gz GGSWTQHCHBGESD-SECBINFHSA-N 0 3 322.168 4.284 20 0 DIADHN CC[C@H](NCc1ccc2nonc2c1)c1ccc(Cl)cc1 ZINC000846843005 1050771005 /nfs/dbraw/zinc/77/10/05/1050771005.db2.gz LHMYDOCITLUTOQ-AWEZNQCLSA-N 0 3 301.777 4.117 20 0 DIADHN C[C@@H]1CCC[C@@H](C)C1NCc1c(F)c(F)c(F)c(F)c1F ZINC001170095999 1050782536 /nfs/dbraw/zinc/78/25/36/1050782536.db2.gz SWGZQNABYHEDAA-HTQZYQBOSA-N 0 3 307.306 4.296 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2nc(C)no2)C1 ZINC000250212807 1050784708 /nfs/dbraw/zinc/78/47/08/1050784708.db2.gz PZQAOGBFQWDNJT-ZBFHGGJFSA-N 0 3 319.836 4.362 20 0 DIADHN CC(=O)C1CCN(Cc2ccc([C@@H](C)C(F)(F)F)cc2)CC1 ZINC000846943715 1050786253 /nfs/dbraw/zinc/78/62/53/1050786253.db2.gz LSQPOQPJPZNTNP-GFCCVEGCSA-N 0 3 313.363 4.153 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000847011970 1050799655 /nfs/dbraw/zinc/79/96/55/1050799655.db2.gz FRBFZODETSKQDG-OAHLLOKOSA-N 0 3 301.327 4.019 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)NCCOc1ccccc1-c1ccccc1 ZINC000180294243 1050801912 /nfs/dbraw/zinc/80/19/12/1050801912.db2.gz JENTYQLLLWCTPM-OAHLLOKOSA-N 0 3 321.424 4.115 20 0 DIADHN Cc1ccc(NC(=O)Nc2cccc3c2CCN(C(C)C)C3)cc1 ZINC000597081364 1050806099 /nfs/dbraw/zinc/80/60/99/1050806099.db2.gz JFLJFQCKRLOHQG-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)C(C)(C)C1(C)C)c1ccc(F)cc1 ZINC000742255479 1050811745 /nfs/dbraw/zinc/81/17/45/1050811745.db2.gz VXZWPWNDYAETHQ-OAHLLOKOSA-N 0 3 320.452 4.107 20 0 DIADHN CSCCCN(C)Cc1ccc(Oc2ccc(F)cc2)nc1 ZINC000597126134 1050814558 /nfs/dbraw/zinc/81/45/58/1050814558.db2.gz NOGCKVKXSAOTGW-UHFFFAOYSA-N 0 3 320.433 4.198 20 0 DIADHN O[C@H](CC1CCCC1)CN1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000789325944 1050815963 /nfs/dbraw/zinc/81/59/63/1050815963.db2.gz QLUFMNROKROPMG-CRAIPNDOSA-N 0 3 309.400 4.043 20 0 DIADHN O[C@H](CC1CCCC1)CN1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000789325951 1050816066 /nfs/dbraw/zinc/81/60/66/1050816066.db2.gz QLUFMNROKROPMG-QAPCUYQASA-N 0 3 309.400 4.043 20 0 DIADHN COc1cc([C@@H](C)NC2(c3cccc(Cl)c3)CCC2)ccn1 ZINC000655779847 1050816321 /nfs/dbraw/zinc/81/63/21/1050816321.db2.gz SJEHSMHYIUSJIT-CYBMUJFWSA-N 0 3 316.832 4.474 20 0 DIADHN C[C@H](CCN(C)Cc1ccc(Cl)nc1)OCc1ccccc1 ZINC000789327237 1050816507 /nfs/dbraw/zinc/81/65/07/1050816507.db2.gz MBSDBSSDZAYBSH-OAHLLOKOSA-N 0 3 318.848 4.162 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3ccccc32)cc1Cl ZINC000742369215 1050822836 /nfs/dbraw/zinc/82/28/36/1050822836.db2.gz YZFVECWSBQHELV-INIZCTEOSA-N 0 3 317.816 4.352 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(F)cc32)cc1Cl ZINC000742370095 1050825541 /nfs/dbraw/zinc/82/55/41/1050825541.db2.gz GFUQSXRMSGDIHC-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N[C@H](CC(C)C)c1ccccc1C ZINC001146489151 1050826726 /nfs/dbraw/zinc/82/67/26/1050826726.db2.gz RDODSPYDQAKHEU-RTBURBONSA-N 0 3 316.489 4.073 20 0 DIADHN CSC1(CN2CCc3nc(-c4ccccc4)sc3C2)CC1 ZINC000597202071 1050826826 /nfs/dbraw/zinc/82/68/26/1050826826.db2.gz QKYKBMRPRSPHIH-UHFFFAOYSA-N 0 3 316.495 4.064 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)c1cccc(-n2ccnc2)c1 ZINC000742392804 1050829480 /nfs/dbraw/zinc/82/94/80/1050829480.db2.gz SJBCSWNLEUVJSY-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@H](NCc1ccc(F)c(F)c1)c1cccc(-n2ccnc2)c1 ZINC000742392566 1050830062 /nfs/dbraw/zinc/83/00/62/1050830062.db2.gz QVFMFJAGIZQYIC-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)CCC(C)(C)O2)c1ccncc1 ZINC000742372442 1050835786 /nfs/dbraw/zinc/83/57/86/1050835786.db2.gz MCKNGCFESHFBTE-GOSISDBHSA-N 0 3 310.441 4.426 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000742375433 1050838432 /nfs/dbraw/zinc/83/84/32/1050838432.db2.gz NHRQFNKOIJNUDK-UKRRQHHQSA-N 0 3 309.885 4.255 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H](C)Oc1ccc(F)cc1 ZINC000742380151 1050839005 /nfs/dbraw/zinc/83/90/05/1050839005.db2.gz ALFZKLQLUUANAE-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc(Cl)c2c1C[C@@H](N[C@@H](C)c1cscn1)CC2 ZINC001171290765 1050849386 /nfs/dbraw/zinc/84/93/86/1050849386.db2.gz BAVSUOUZYYVDLZ-QWRGUYRKSA-N 0 3 322.861 4.013 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cl)[C@H]1CCC[C@H]1C(C)(C)C ZINC001171298216 1050865714 /nfs/dbraw/zinc/86/57/14/1050865714.db2.gz RIHPPMDTTFHDMF-CJNGLKHVSA-N 0 3 322.880 4.425 20 0 DIADHN COCOc1cccc(Cl)c1Nc1ccccc1CN(C)C ZINC001214314415 1050867626 /nfs/dbraw/zinc/86/76/26/1050867626.db2.gz WCPSCHDVIGQKMA-UHFFFAOYSA-N 0 3 320.820 4.128 20 0 DIADHN CCCCC[C@H](C)CC(=O)Nc1cc(CN(C)C)ccc1OC ZINC000742533551 1050879058 /nfs/dbraw/zinc/87/90/58/1050879058.db2.gz PMRVFIJGSNEALW-HNNXBMFYSA-N 0 3 320.477 4.302 20 0 DIADHN CN1CCC(N(C(=O)CC2=CCCCC2)c2ccccc2)CC1 ZINC000601955503 1050881336 /nfs/dbraw/zinc/88/13/36/1050881336.db2.gz IMAWOCJQMOGHQQ-UHFFFAOYSA-N 0 3 312.457 4.004 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cccc3c2OCCO3)c(C)c1 ZINC000746850817 1050884453 /nfs/dbraw/zinc/88/44/53/1050884453.db2.gz SUXRUKOJJGUKFW-MRXNPFEDSA-N 0 3 311.425 4.268 20 0 DIADHN Cc1ccc(CN2C[C@@H](C(=O)OC(C)(C)C)CC[C@H]2C)cc1F ZINC001171308380 1050887869 /nfs/dbraw/zinc/88/78/69/1050887869.db2.gz QWSXJECQCJPXFQ-ZBFHGGJFSA-N 0 3 321.436 4.076 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cccc2c1OCCO2 ZINC000772983094 1050901308 /nfs/dbraw/zinc/90/13/08/1050901308.db2.gz JVEPWAFJLUAYNJ-PBHICJAKSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CC[C@H](C)C3)cs2)c1 ZINC001170122888 1050901526 /nfs/dbraw/zinc/90/15/26/1050901526.db2.gz AOSXNNANXQKTBW-JSGCOSHPSA-N 0 3 302.443 4.097 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC[C@H](CC(F)(F)F)C1)CC2 ZINC001171319025 1050915903 /nfs/dbraw/zinc/91/59/03/1050915903.db2.gz FJQPIRBKVJJCRX-IAQYHMDHSA-N 0 3 301.327 4.477 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNCCOC2CCC2)c1 ZINC000742709791 1050918106 /nfs/dbraw/zinc/91/81/06/1050918106.db2.gz QIBCVWDCGKHOIM-UHFFFAOYSA-N 0 3 323.864 4.320 20 0 DIADHN Cc1ccc2nc(CN[C@@H](C)Cc3ccccc3Cl)cn2c1 ZINC000790516477 1050968148 /nfs/dbraw/zinc/96/81/48/1050968148.db2.gz DKZFXLSAPPVFOV-AWEZNQCLSA-N 0 3 313.832 4.017 20 0 DIADHN CC(C)=CCCNCc1cnn(Cc2ccccc2)c1Cl ZINC000790590585 1050974854 /nfs/dbraw/zinc/97/48/54/1050974854.db2.gz MASBEXSOCMSAMJ-UHFFFAOYSA-N 0 3 303.837 4.031 20 0 DIADHN Cc1nc(CCN2CCS[C@@H](C)[C@H]2c2ccccc2)cs1 ZINC000348159576 1050995124 /nfs/dbraw/zinc/99/51/24/1050995124.db2.gz KDNWRHDAAASXOA-GUYCJALGSA-N 0 3 318.511 4.173 20 0 DIADHN C[C@@H](NCCCc1c[nH]c2ccc(F)cc12)c1nccs1 ZINC000282750031 1050996190 /nfs/dbraw/zinc/99/61/90/1050996190.db2.gz NRJWKIQPNQYVSU-LLVKDONJSA-N 0 3 303.406 4.047 20 0 DIADHN CC(=O)c1cc(Nc2ccccc2CN2CCCC2)cs1 ZINC001214782682 1051000694 /nfs/dbraw/zinc/00/06/94/1051000694.db2.gz CFGWXISXCNVARH-UHFFFAOYSA-N 0 3 300.427 4.290 20 0 DIADHN O[C@H](CN1CCC(c2ccccc2)CC1)c1cccc(Cl)c1 ZINC000743256831 1051001052 /nfs/dbraw/zinc/00/10/52/1051001052.db2.gz GCSRZTVKGVYWEA-LJQANCHMSA-N 0 3 315.844 4.253 20 0 DIADHN Cc1nc(CCN2CCSC[C@@H]2c2ccc(C)cc2)cs1 ZINC000348187989 1051002902 /nfs/dbraw/zinc/00/29/02/1051002902.db2.gz QVDPWKUMWGAQSV-QGZVFWFLSA-N 0 3 318.511 4.093 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](O)c1cccc(Cl)c1 ZINC000743250962 1051004381 /nfs/dbraw/zinc/00/43/81/1051004381.db2.gz JYEBMZZWVDHSRG-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc(Cl)cc1 ZINC000348204687 1051005916 /nfs/dbraw/zinc/00/59/16/1051005916.db2.gz HSQSBIYUWLRYAE-QZTJIDSGSA-N 0 3 319.807 4.180 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](CCO)c1ccc(Cl)cc1 ZINC000348207602 1051006533 /nfs/dbraw/zinc/00/65/33/1051006533.db2.gz PUVVRQDMAWMYMR-KBXCAEBGSA-N 0 3 303.833 4.423 20 0 DIADHN Fc1cccc(-c2ccc(CNC[C@@H]3CCC=CO3)o2)c1F ZINC000790990931 1051013593 /nfs/dbraw/zinc/01/35/93/1051013593.db2.gz HWCOEAHAKWDOBS-LBPRGKRZSA-N 0 3 305.324 4.007 20 0 DIADHN CC(C)C[C@H]1CCCN1CN1C(=O)C[C@@H]1c1ccc(Cl)cc1 ZINC000743360793 1051017631 /nfs/dbraw/zinc/01/76/31/1051017631.db2.gz ZRXRNZPIADOWBV-IAGOWNOFSA-N 0 3 320.864 4.081 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1ccsc1Cl ZINC000790980380 1051019093 /nfs/dbraw/zinc/01/90/93/1051019093.db2.gz MYWMRPCFPVSPJR-KOLCDFICSA-N 0 3 301.864 4.065 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1Cl)c1ccc(F)cc1 ZINC000773010852 1051020376 /nfs/dbraw/zinc/02/03/76/1051020376.db2.gz GRODEJAUWCXPDH-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cn2cc(-c3ccccc3)cn2)C1 ZINC000743398420 1051024376 /nfs/dbraw/zinc/02/43/76/1051024376.db2.gz HRRQDOKLJCXDFI-ZWKOTPCHSA-N 0 3 315.486 4.114 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1c(F)cccc1Cl)c1ccccn1 ZINC000773015087 1051025619 /nfs/dbraw/zinc/02/56/19/1051025619.db2.gz SAZLFQAPCVXTQE-ZBFHGGJFSA-N 0 3 322.811 4.039 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1F)[C@H](O)c1c(F)cccc1F ZINC000773030219 1051029771 /nfs/dbraw/zinc/02/97/71/1051029771.db2.gz MAHCGDOIQQJWRR-VOZBZGINSA-N 0 3 323.358 4.267 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000773045104 1051037971 /nfs/dbraw/zinc/03/79/71/1051037971.db2.gz XSNGCVNHDYTHML-NBHSMZAVSA-N 0 3 323.358 4.267 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccoc1)[C@H](O)c1c(Cl)cccc1Cl ZINC000773047456 1051039899 /nfs/dbraw/zinc/03/98/99/1051039899.db2.gz VBNKNXSBHPDKBR-WMFXKJRFSA-N 0 3 314.212 4.359 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1cnn(-c2ccccc2)c1 ZINC000773066158 1051045927 /nfs/dbraw/zinc/04/59/27/1051045927.db2.gz BTBDRBDDQQBBDR-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C)nc2C)cc1Cl ZINC000773069244 1051051009 /nfs/dbraw/zinc/05/10/09/1051051009.db2.gz RJYKWDYPXMBMSU-GFCCVEGCSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1cccc([C@H](O)CN[C@@H](C)c2ccc(F)cc2Cl)c1 ZINC000773073598 1051053068 /nfs/dbraw/zinc/05/30/68/1051053068.db2.gz ZLKXQDILNBBEED-YVEFUNNKSA-N 0 3 307.796 4.172 20 0 DIADHN Cc1ncc(Nc2c(Cl)cccc2NC(=O)C(C)(C)C)n1C ZINC001215051330 1051062061 /nfs/dbraw/zinc/06/20/61/1051062061.db2.gz XLWDGPKGJWQMTL-UHFFFAOYSA-N 0 3 320.824 4.110 20 0 DIADHN CSc1ccccc1CN(C)C[C@@H](O)c1ccc(F)cc1C ZINC000791600468 1051066300 /nfs/dbraw/zinc/06/63/00/1051066300.db2.gz ZMVMPRMWYJARAI-QGZVFWFLSA-N 0 3 319.445 4.021 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@@H](C)c1ccc[nH]1 ZINC000773116312 1051066685 /nfs/dbraw/zinc/06/66/85/1051066685.db2.gz JEBUQGOLCDXODP-NWDGAFQWSA-N 0 3 312.442 4.253 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Br)ccc21)c1ccc[nH]1 ZINC000773116287 1051067200 /nfs/dbraw/zinc/06/72/00/1051067200.db2.gz IRCRERRFLGBYDO-MEBBXXQBSA-N 0 3 305.219 4.115 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(F)c(Br)c1)c1ccc[nH]1 ZINC000773117854 1051067719 /nfs/dbraw/zinc/06/77/19/1051067719.db2.gz LXAKOSLTHYOVIY-NXEZZACHSA-N 0 3 311.198 4.328 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H](O)c1cccc(Cl)c1 ZINC000743842090 1051068788 /nfs/dbraw/zinc/06/87/88/1051068788.db2.gz BRMVFLYWNYICQS-GOSISDBHSA-N 0 3 321.823 4.423 20 0 DIADHN CC[C@H]1c2ccccc2CCN1C[C@H](O)c1cccc(Cl)c1 ZINC000743850433 1051070865 /nfs/dbraw/zinc/07/08/65/1051070865.db2.gz WUSKSYPFFUGHEP-OALUTQOASA-N 0 3 315.844 4.383 20 0 DIADHN CC[C@H](NC[C@@](C)(O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000773122983 1051071151 /nfs/dbraw/zinc/07/11/51/1051071151.db2.gz XNHLQSUUASAEDQ-ZWKOTPCHSA-N 0 3 323.358 4.052 20 0 DIADHN Clc1cccc(CN2CCCC[C@H]2Cc2ccccc2)n1 ZINC000743846031 1051071430 /nfs/dbraw/zinc/07/14/30/1051071430.db2.gz YBZDZHFFROSGCF-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN Fc1cccc(CCN(Cc2cccc(Cl)n2)C2CC2)c1 ZINC000743847387 1051072103 /nfs/dbraw/zinc/07/21/03/1051072103.db2.gz AJVMXBHTCKXGOF-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(C[C@@H](O)c2ccccc2F)C1 ZINC000743854783 1051076920 /nfs/dbraw/zinc/07/69/20/1051076920.db2.gz MGQWABWTABHORL-ZNZDAUKMSA-N 0 3 317.379 4.081 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)Cc1cccnc1Cl ZINC000743856668 1051077901 /nfs/dbraw/zinc/07/79/01/1051077901.db2.gz GOERSSORLMIZCH-QGZVFWFLSA-N 0 3 302.849 4.497 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCc3c(F)cccc3C2)c1F ZINC001171395734 1051082633 /nfs/dbraw/zinc/08/26/33/1051082633.db2.gz HYVGAFHEYCRKEW-CYBMUJFWSA-N 0 3 305.343 4.059 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](O)c1cccc(Cl)c1 ZINC000743843101 1051082686 /nfs/dbraw/zinc/08/26/86/1051082686.db2.gz QARSHQNQRPOJIL-GOSISDBHSA-N 0 3 321.823 4.425 20 0 DIADHN CCn1ccc(CN(C)Cc2cc(Cl)nc3ccccc23)c1 ZINC000792314635 1051132112 /nfs/dbraw/zinc/13/21/12/1051132112.db2.gz XQBJJVKSLWHVRV-UHFFFAOYSA-N 0 3 313.832 4.342 20 0 DIADHN CC1(C)CN(C[C@@H]2CC2(Cl)Cl)CC[C@H]1C(F)(F)F ZINC000792361758 1051134752 /nfs/dbraw/zinc/13/47/52/1051134752.db2.gz OGLRKQIKCBXOFO-DTWKUNHWSA-N 0 3 304.183 4.091 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NCc2cccc3ncoc32)n1 ZINC001171455641 1051138447 /nfs/dbraw/zinc/13/84/47/1051138447.db2.gz FIQYVKVCHBICNW-CQSZACIVSA-N 0 3 322.412 4.214 20 0 DIADHN Cc1nc(CN2CCC[C@@]2(C)Cc2ccccc2F)sc1C ZINC001171491508 1051143092 /nfs/dbraw/zinc/14/30/92/1051143092.db2.gz VNEFLLNYXQSHHP-SFHVURJKSA-N 0 3 318.461 4.496 20 0 DIADHN COc1cccc(C2(NCc3ccc(F)c4cccnc34)CC2)c1 ZINC001170173795 1051143902 /nfs/dbraw/zinc/14/39/02/1051143902.db2.gz XYOVQPPEKGUGHJ-UHFFFAOYSA-N 0 3 322.383 4.161 20 0 DIADHN COc1cccc(SCCN2CCC=C(c3ccco3)C2)c1 ZINC001170182900 1051145806 /nfs/dbraw/zinc/14/58/06/1051145806.db2.gz BAKKVQJZYJEIPC-UHFFFAOYSA-N 0 3 315.438 4.170 20 0 DIADHN C[C@@H]1CC2(CN1Cc1cccc(Cl)c1Cl)CCOCC2 ZINC001171480350 1051151951 /nfs/dbraw/zinc/15/19/51/1051151951.db2.gz QPALRAUZEWIFFV-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN O[C@@H](CNC1(c2ccccc2F)CCC1)c1ccc(Cl)cc1 ZINC001171523406 1051159199 /nfs/dbraw/zinc/15/91/99/1051159199.db2.gz NTQHOCVBTALEPC-KRWDZBQOSA-N 0 3 319.807 4.182 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1cc(F)cnc1Cl ZINC001170261843 1051166462 /nfs/dbraw/zinc/16/64/62/1051166462.db2.gz SJHWVUXOOPTDJH-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1CCc2c(O)cccc2C1 ZINC001170265245 1051167477 /nfs/dbraw/zinc/16/74/77/1051167477.db2.gz GMUMYXVOADTEFI-ZDUSSCGKSA-N 0 3 301.817 4.035 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1nc2ccccc2o1 ZINC001170248779 1051171387 /nfs/dbraw/zinc/17/13/87/1051171387.db2.gz XRQRVBGCYSTMCL-GFCCVEGCSA-N 0 3 300.789 4.202 20 0 DIADHN Cc1cccn2cc(CN[C@@H](C)Cc3ccccc3Cl)nc12 ZINC001170253559 1051173450 /nfs/dbraw/zinc/17/34/50/1051173450.db2.gz ADEORIRNKCMYRU-AWEZNQCLSA-N 0 3 313.832 4.017 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1cc(F)cc(F)c1F ZINC001170251438 1051173482 /nfs/dbraw/zinc/17/34/82/1051173482.db2.gz ZTKKVDULKZULFF-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN CCCCCN1CCN([C@H](C)Cc2ccccc2Cl)CC1 ZINC001170284336 1051181315 /nfs/dbraw/zinc/18/13/15/1051181315.db2.gz MIORKEBPCNUQNL-MRXNPFEDSA-N 0 3 308.897 4.079 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@@H]2CCc3ccccc3C2=O)cc1 ZINC001171664804 1051188358 /nfs/dbraw/zinc/18/83/58/1051188358.db2.gz FMMGOCBFGHBOIR-OXQOHEQNSA-N 0 3 307.437 4.103 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@H](CO)c2ccccc2Cl)cc1 ZINC001171667071 1051189249 /nfs/dbraw/zinc/18/92/49/1051189249.db2.gz TVVJUUZMOCKKLH-DNVCBOLYSA-N 0 3 317.860 4.293 20 0 DIADHN COc1ccc2c(c1)CN(CCC1CCCCCC1)CCO2 ZINC001170358360 1051189331 /nfs/dbraw/zinc/18/93/31/1051189331.db2.gz SSBWIVNTZASHPJ-UHFFFAOYSA-N 0 3 303.446 4.250 20 0 DIADHN C=C/C=C\CCN1CC[C@@H](c2cccc(Br)c2)C1 ZINC001170413671 1051204696 /nfs/dbraw/zinc/20/46/96/1051204696.db2.gz ZEIHSCVIBUCFRJ-ABCZVMIZSA-N 0 3 306.247 4.371 20 0 DIADHN CO[C@@H](CN1CCCc2ccccc2CC1)c1ccc(F)cc1 ZINC001170342738 1051194013 /nfs/dbraw/zinc/19/40/13/1051194013.db2.gz QEZMNNWAZYFIGK-FQEVSTJZSA-N 0 3 313.416 4.004 20 0 DIADHN CCOCCCN(C)[C@@H]1CCCc2c(OC)ccc(Cl)c21 ZINC001171692570 1051204321 /nfs/dbraw/zinc/20/43/21/1051204321.db2.gz FQMFBQTTWJVGSN-OAHLLOKOSA-N 0 3 311.853 4.084 20 0 DIADHN Cc1cncc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)c1 ZINC000181841250 1051212376 /nfs/dbraw/zinc/21/23/76/1051212376.db2.gz ZQXZFEKOOKXSKS-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN CCCCCC[C@H](O)CN1CCS[C@H](c2ccccc2)C1 ZINC001171778604 1051212771 /nfs/dbraw/zinc/21/27/71/1051212771.db2.gz OWQSSHXGFDODHD-ROUUACIJSA-N 0 3 307.503 4.108 20 0 DIADHN Cc1ccc(CCCN2CCC[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000792822823 1051215316 /nfs/dbraw/zinc/21/53/16/1051215316.db2.gz NTCJQGPTJRYKIU-IBGZPJMESA-N 0 3 316.395 4.438 20 0 DIADHN Fc1ccccc1CCN1CCS[C@@H](c2ccccc2)C1 ZINC001171785882 1051220972 /nfs/dbraw/zinc/22/09/72/1051220972.db2.gz LJRQBHQVQSKFIK-GOSISDBHSA-N 0 3 301.430 4.158 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2[C@@H]2Cc3cccc(F)c3C2)c1 ZINC001171804722 1051223717 /nfs/dbraw/zinc/22/37/17/1051223717.db2.gz HTKCODPUPYCALX-KUHUBIRLSA-N 0 3 317.354 4.408 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](C)CCc2ccc(O)cc2)c(C)s1 ZINC000037140125 1051223961 /nfs/dbraw/zinc/22/39/61/1051223961.db2.gz BTGGNIUQJLPVDT-NWDGAFQWSA-N 0 3 304.459 4.137 20 0 DIADHN CN(C[C@@H]1C[C@H]2C[C@H]2C1)[C@H](CO)c1cccc(Cl)c1Cl ZINC001171811556 1051228407 /nfs/dbraw/zinc/22/84/07/1051228407.db2.gz XRHZSQLFGDBZEC-NWJSVONSSA-N 0 3 314.256 4.005 20 0 DIADHN OCC[C@@H]1CCCCCN1Cc1cc2ccccc2nc1Cl ZINC000792928388 1051230844 /nfs/dbraw/zinc/23/08/44/1051230844.db2.gz SLZHOTSQQRJMFP-INIZCTEOSA-N 0 3 318.848 4.015 20 0 DIADHN Clc1cc(CN2CCC[C@H]2[C@H]2CCCO2)c2ccccc2n1 ZINC000792927341 1051231369 /nfs/dbraw/zinc/23/13/69/1051231369.db2.gz QIMGGKBEAHXURT-DLBZAZTESA-N 0 3 316.832 4.032 20 0 DIADHN Clc1cc(CN2CCC[C@H]2[C@@H]2CCCO2)c2ccccc2n1 ZINC000792927345 1051232081 /nfs/dbraw/zinc/23/20/81/1051232081.db2.gz QIMGGKBEAHXURT-IRXDYDNUSA-N 0 3 316.832 4.032 20 0 DIADHN Clc1ccc(CN2CC[C@@H](c3ccc4cccnc4n3)C2)cc1 ZINC001171867934 1051233986 /nfs/dbraw/zinc/23/39/86/1051233986.db2.gz RTSMXELZVCXEPY-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN CC[C@@H](NCCc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182177689 1051236326 /nfs/dbraw/zinc/23/63/26/1051236326.db2.gz DOXNVNVFNFWADG-CQSZACIVSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001171917562 1051241094 /nfs/dbraw/zinc/24/10/94/1051241094.db2.gz ZTMZAJXCHATEEF-MRXNPFEDSA-N 0 3 310.441 4.413 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](CCF)C2)c1Br ZINC001171954469 1051241561 /nfs/dbraw/zinc/24/15/61/1051241561.db2.gz IYWTWVDDWGXUTC-ZDUSSCGKSA-N 0 3 314.242 4.329 20 0 DIADHN Cc1ccccc1-n1nccc1CNCc1c(C)cccc1C ZINC000793020942 1051242418 /nfs/dbraw/zinc/24/24/18/1051242418.db2.gz FXLLUYMZOIJFNK-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)NCC(=O)c1ccccc1 ZINC001171958963 1051244458 /nfs/dbraw/zinc/24/44/58/1051244458.db2.gz MJMCPKVUKFCJBI-ZDUSSCGKSA-N 0 3 321.342 4.109 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(C(F)(F)F)cc1)c1nccs1 ZINC001171959933 1051247046 /nfs/dbraw/zinc/24/70/46/1051247046.db2.gz JSOZSZSDGJSGGH-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN CCC[C@H](NCc1ccc([C@@H]2C[C@H]2C)o1)[C@@H](O)c1ccccc1 ZINC000793236640 1051267675 /nfs/dbraw/zinc/26/76/75/1051267675.db2.gz JJPUGBHJOFIGAC-QKUWSGOFSA-N 0 3 313.441 4.395 20 0 DIADHN Fc1cc(Nc2cccc(CN3CCCCC3)c2F)cnc1F ZINC001215676582 1051293060 /nfs/dbraw/zinc/29/30/60/1051293060.db2.gz FOKFGQONVPPANI-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cnc2ccccn12 ZINC001215675453 1051293727 /nfs/dbraw/zinc/29/37/27/1051293727.db2.gz HXMFKIUKBNYQEK-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1ccnc(F)c1Nc1cccc(CN2CCCCC2)c1F ZINC001215675476 1051294498 /nfs/dbraw/zinc/29/44/98/1051294498.db2.gz JCVQGCXILGJLOI-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2ccc(F)nc2)cc1 ZINC000793382078 1051294476 /nfs/dbraw/zinc/29/44/76/1051294476.db2.gz HNPYESBADLDEGS-UHFFFAOYSA-N 0 3 302.393 4.034 20 0 DIADHN CN(C)[C@@H](C/N=C/c1c(O)ccc2ccccc21)c1ccccc1 ZINC000005288936 1051294928 /nfs/dbraw/zinc/29/49/28/1051294928.db2.gz DWRXXJWQUDZSMB-ISXGRJCASA-N 0 3 318.420 4.267 20 0 DIADHN Cc1ccc(N)cc1Nc1cccc(CN2CCCCC2)c1F ZINC001215678906 1051296663 /nfs/dbraw/zinc/29/66/63/1051296663.db2.gz VWYFKLOPIBYEHG-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C2CCCC2)n(C)n1 ZINC000793526192 1051309192 /nfs/dbraw/zinc/30/91/92/1051309192.db2.gz KQSNGJAPIASLTP-KUHUBIRLSA-N 0 3 315.436 4.450 20 0 DIADHN CCCC[C@H](NCc1cccc2c1OCCO2)c1ccccc1 ZINC000746047884 1051311438 /nfs/dbraw/zinc/31/14/38/1051311438.db2.gz SEIHDIUFZNUBKV-SFHVURJKSA-N 0 3 311.425 4.479 20 0 DIADHN CCc1cc(CN[C@H](C)c2ccc(OC(C)C)c(Cl)c2)n[nH]1 ZINC000793542036 1051313471 /nfs/dbraw/zinc/31/34/71/1051313471.db2.gz OVYCLBRCAKLUMP-GFCCVEGCSA-N 0 3 321.852 4.264 20 0 DIADHN COc1cc(Nc2ccc3nc(C)[nH]c3c2)c(OC)cc1Cl ZINC001213073750 1051313863 /nfs/dbraw/zinc/31/38/63/1051313863.db2.gz VXLQCAJVAKGMSD-UHFFFAOYSA-N 0 3 317.776 4.286 20 0 DIADHN CC(C)CCN(CN1C(=O)C[C@H]1c1ccccc1)C1CCCC1 ZINC000746064189 1051316719 /nfs/dbraw/zinc/31/67/19/1051316719.db2.gz HORNPDVEZDIDLZ-IBGZPJMESA-N 0 3 314.473 4.208 20 0 DIADHN Oc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)cc1 ZINC001215712568 1051318663 /nfs/dbraw/zinc/31/86/63/1051318663.db2.gz UWTFDMINXFNZSZ-UHFFFAOYSA-N 0 3 300.377 4.261 20 0 DIADHN Nc1ccc2ccn(-c3ccc(CN4CCCCC4)c(F)c3)c2c1 ZINC001215712064 1051318727 /nfs/dbraw/zinc/31/87/27/1051318727.db2.gz OEWFJGLVKAAUIF-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Oc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)ccc1F ZINC001215712599 1051318984 /nfs/dbraw/zinc/31/89/84/1051318984.db2.gz VHVYSPKNBSIVOW-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CC(C)n1cnc(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215711423 1051319338 /nfs/dbraw/zinc/31/93/38/1051319338.db2.gz RZXCFABSJNJBON-UHFFFAOYSA-N 0 3 316.424 4.333 20 0 DIADHN Nc1ccc(F)c(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215712631 1051319519 /nfs/dbraw/zinc/31/95/19/1051319519.db2.gz WMGCZQXMRDEYCF-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Clc1cccc([C@H]2CSCCN2C2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001172231682 1051322041 /nfs/dbraw/zinc/32/20/41/1051322041.db2.gz UUTRMXCMIVXHFW-NPYXIHBLSA-N 0 3 323.889 4.140 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1CSc3ccccc3C1)CO2 ZINC001172199743 1051325392 /nfs/dbraw/zinc/32/53/92/1051325392.db2.gz XMTNKGJTNFZCDC-ZFWWWQNUSA-N 0 3 317.841 4.080 20 0 DIADHN C[C@@]12CCN(Cc3coc4ccccc34)C[C@@H]1C2(Cl)Cl ZINC000793614902 1051327185 /nfs/dbraw/zinc/32/71/85/1051327185.db2.gz QNVMFNOTOQKUGN-LSDHHAIUSA-N 0 3 310.224 4.449 20 0 DIADHN C[C@H](Cc1ccsc1)N1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC000793613360 1051327792 /nfs/dbraw/zinc/32/77/92/1051327792.db2.gz MFBWLLRJFAFTDX-KGYLQXTDSA-N 0 3 304.286 4.195 20 0 DIADHN CC(C)c1ccc([C@H](C)N(C)Cc2cccc(C(N)=O)c2)cc1 ZINC000746140658 1051327886 /nfs/dbraw/zinc/32/78/86/1051327886.db2.gz OYNZNAOAWSMUDF-HNNXBMFYSA-N 0 3 310.441 4.102 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1cc(-c2ccccc2)on1 ZINC001172327679 1051338227 /nfs/dbraw/zinc/33/82/27/1051338227.db2.gz NTXWOQOULYEVPK-HUUCEWRRSA-N 0 3 302.418 4.025 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1cc(Cl)c(F)cc1F ZINC001172325181 1051343779 /nfs/dbraw/zinc/34/37/79/1051343779.db2.gz RRGDLMGBZAAYHM-GHMZBOCLSA-N 0 3 305.796 4.302 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NCc1cccc3[nH]ccc31)CCO2 ZINC000187545459 1051351842 /nfs/dbraw/zinc/35/18/42/1051351842.db2.gz LPTMNUWUAQCVBR-IBGZPJMESA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cn2c(CNCc3ccc(C4CC4)cc3)c(C)nc2s1 ZINC000191682305 1051365815 /nfs/dbraw/zinc/36/58/15/1051365815.db2.gz BXSZOLXLGJGPBX-UHFFFAOYSA-N 0 3 311.454 4.180 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1cc(-c2ccccc2F)no1 ZINC001172353414 1051370369 /nfs/dbraw/zinc/37/03/69/1051370369.db2.gz WPFYBYRIXUUCAR-ZIAGYGMSSA-N 0 3 320.408 4.164 20 0 DIADHN C[C@@H]1CC[C@H](NCc2coc(-c3ccc(F)cc3)n2)C[C@H]1C ZINC001172367735 1051378683 /nfs/dbraw/zinc/37/86/83/1051378683.db2.gz MBWKCSXYICNNEH-IOASZLSFSA-N 0 3 302.393 4.395 20 0 DIADHN Brc1cccc2[nH]cc(CN3CCCCCC3)c21 ZINC000258455312 1051380363 /nfs/dbraw/zinc/38/03/63/1051380363.db2.gz DFSRWLFMVWPLPC-UHFFFAOYSA-N 0 3 307.235 4.306 20 0 DIADHN CCOCC[C@@H](C)NCc1csc(-c2cccc(Cl)c2)n1 ZINC001172419746 1051384552 /nfs/dbraw/zinc/38/45/52/1051384552.db2.gz JPFUUJAVNZWWSG-GFCCVEGCSA-N 0 3 324.877 4.368 20 0 DIADHN CC[C@@H](CC(C)C)NCc1coc(-c2ccc(OC)cc2)n1 ZINC001172392965 1051394690 /nfs/dbraw/zinc/39/46/90/1051394690.db2.gz HJRIQFLTCDKWHN-HNNXBMFYSA-N 0 3 302.418 4.265 20 0 DIADHN CC(C)Oc1ccc(-c2cccc(CN(C)C)n2)c(Cl)c1 ZINC001242087577 1051399440 /nfs/dbraw/zinc/39/94/40/1051399440.db2.gz WYDMRGYMTATJOD-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN CCCC[C@H](C(=O)N[C@H](C)CN(C)Cc1ccccc1)C(C)C ZINC001129508188 1051408691 /nfs/dbraw/zinc/40/86/91/1051408691.db2.gz QLWWTJYATCYWJS-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN CC(C)OCC[C@H](C)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001172456815 1051411851 /nfs/dbraw/zinc/41/18/51/1051411851.db2.gz PNVMXDYYZZAAQK-RDJZCZTQSA-N 0 3 315.461 4.227 20 0 DIADHN CC(C)COCC[C@H](C)NCc1c(F)cc(Cl)cc1F ZINC001172461867 1051413066 /nfs/dbraw/zinc/41/30/66/1051413066.db2.gz JVAZSIQIELGUOD-NSHDSACASA-N 0 3 305.796 4.159 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C)CCOCC(C)C)c1Cl ZINC001172458317 1051413426 /nfs/dbraw/zinc/41/34/26/1051413426.db2.gz YBSKRDTTXQMSPY-CYBMUJFWSA-N 0 3 301.833 4.328 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](C)c1ccccc1)c1cn(-c2ccccc2)nn1 ZINC000766409824 1051417354 /nfs/dbraw/zinc/41/73/54/1051417354.db2.gz SPZUIWLRQLXCGX-IKGGRYGDSA-N 0 3 320.440 4.110 20 0 DIADHN CSc1ccc(CCN[C@H](C)c2cccnc2Cl)cc1 ZINC000797998873 1051419097 /nfs/dbraw/zinc/41/90/97/1051419097.db2.gz LXJUCZWXIXUQFK-GFCCVEGCSA-N 0 3 306.862 4.350 20 0 DIADHN Cc1ccc(CNC[C@@H](O)c2ccc(-c3ccccc3)cc2)o1 ZINC000766470481 1051428068 /nfs/dbraw/zinc/42/80/68/1051428068.db2.gz HTVMUAMXLYQYGH-HXUWFJFHSA-N 0 3 307.393 4.078 20 0 DIADHN COc1cc(F)c(CN[C@H]2CC[C@@H](c3ccccc3)C2)c(F)c1 ZINC001172550035 1051433461 /nfs/dbraw/zinc/43/34/61/1051433461.db2.gz AYPCLGFBUYNEQW-CABCVRRESA-N 0 3 317.379 4.399 20 0 DIADHN Cc1ccccc1C1(N[C@@H]2CC[C@@H](c3ccccc3)C2)COC1 ZINC001172552755 1051435419 /nfs/dbraw/zinc/43/54/19/1051435419.db2.gz BLKIWPXDVJNQQS-RTBURBONSA-N 0 3 307.437 4.146 20 0 DIADHN O=C(CN[C@H]1CC[C@@H](c2ccccc2)C1)c1cc(F)cc(F)c1 ZINC001172557932 1051438625 /nfs/dbraw/zinc/43/86/25/1051438625.db2.gz SIOZLRMKHLOADA-KDOFPFPSSA-N 0 3 315.363 4.073 20 0 DIADHN c1ccc(C[C@H]2CN([C@H]3CC[C@@H](c4ccccc4)C3)CCO2)cc1 ZINC001172569356 1051446707 /nfs/dbraw/zinc/44/67/07/1051446707.db2.gz SPEDNQWHQWJZDE-FSSWDIPSSA-N 0 3 321.464 4.266 20 0 DIADHN C[C@H](N)c1nccn1[C@@H](C)CCc1c(F)cc(Cl)cc1F ZINC001172575987 1051448832 /nfs/dbraw/zinc/44/88/32/1051448832.db2.gz KVCQVIFTMKCHHN-UWVGGRQHSA-N 0 3 313.779 4.028 20 0 DIADHN Cc1ccccc1OCCCN1CCc2ccc(Cl)cc2C1 ZINC001168839079 1051450289 /nfs/dbraw/zinc/45/02/89/1051450289.db2.gz SAZDPAQVZIVZKS-UHFFFAOYSA-N 0 3 315.844 4.476 20 0 DIADHN NCc1nn([C@@H]2CCC[C@@H](c3ccccc3)C2)c2ccccc12 ZINC001172542477 1051455925 /nfs/dbraw/zinc/45/59/25/1051455925.db2.gz HMHDARCLIHXMSZ-IAGOWNOFSA-N 0 3 305.425 4.394 20 0 DIADHN COC(=O)[C@H]1CCC[C@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001172604098 1051460854 /nfs/dbraw/zinc/46/08/54/1051460854.db2.gz LVBVGRNEWNNFDC-KBPBESRZSA-N 0 3 321.848 4.041 20 0 DIADHN C[C@@H](c1ccc(Oc2ccccc2)cc1)N1CCN(C)[C@@H](C)C1 ZINC001168911276 1051466841 /nfs/dbraw/zinc/46/68/41/1051466841.db2.gz XETWRZZUGXIERB-IRXDYDNUSA-N 0 3 310.441 4.176 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2cc(F)ccc2C)c(C)o1 ZINC001168984074 1051479170 /nfs/dbraw/zinc/47/91/70/1051479170.db2.gz ZRQZHAXHLRUXJZ-ZDUSSCGKSA-N 0 3 318.392 4.023 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3cncc(F)c3)C2)cc1 ZINC001168968272 1051480781 /nfs/dbraw/zinc/48/07/81/1051480781.db2.gz GELQEIHJPYWDDO-KRWDZBQOSA-N 0 3 312.432 4.409 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)(F)F)c1ccc(C)cc1)C1CCC1 ZINC001168968025 1051480936 /nfs/dbraw/zinc/48/09/36/1051480936.db2.gz DQTVHNWJUDSZNF-CVEARBPZSA-N 0 3 315.379 4.393 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172721601 1051481908 /nfs/dbraw/zinc/48/19/08/1051481908.db2.gz HPXSMORYTIANLU-HNNXBMFYSA-N 0 3 312.800 4.080 20 0 DIADHN FC(F)(F)Cn1ccc(CNC2CCC3(CCCC3)CC2)n1 ZINC001172729288 1051487488 /nfs/dbraw/zinc/48/74/88/1051487488.db2.gz VCZRVWLZSFVITN-UHFFFAOYSA-N 0 3 315.383 4.038 20 0 DIADHN CCOCc1noc2c1CN(C1CCC3(CCCC3)CC1)CC2 ZINC001172728892 1051488648 /nfs/dbraw/zinc/48/86/48/1051488648.db2.gz LSQVLDITELGVDG-UHFFFAOYSA-N 0 3 318.461 4.072 20 0 DIADHN c1nc(C2CC2)nc2c1CCN(C1CCC3(CCCC3)CC1)C2 ZINC001172730516 1051490497 /nfs/dbraw/zinc/49/04/97/1051490497.db2.gz HYXXCAVWNPROII-UHFFFAOYSA-N 0 3 311.473 4.215 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169049693 1051494775 /nfs/dbraw/zinc/49/47/75/1051494775.db2.gz JCGFEKNYBJMTSD-YLJYHZDGSA-N 0 3 322.452 4.235 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC(c2ccccc2F)CC1 ZINC001172761397 1051498091 /nfs/dbraw/zinc/49/80/91/1051498091.db2.gz FEGYIGCPQRQCNY-HNNXBMFYSA-N 0 3 321.436 4.125 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccccc1F ZINC001172759890 1051498568 /nfs/dbraw/zinc/49/85/68/1051498568.db2.gz SZFXNFVYAFPIRV-RHSMWYFYSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)NCc1cccc(Cl)c1Cl ZINC001172757704 1051498766 /nfs/dbraw/zinc/49/87/66/1051498766.db2.gz ZIHSYFNXSGPEGX-NSHDSACASA-N 0 3 318.244 4.203 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@H]1c1cccc(F)c1 ZINC001172758955 1051499025 /nfs/dbraw/zinc/49/90/25/1051499025.db2.gz LEIGGSXNECJZLR-YOEHRIQHSA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCCC[C@@H]1c1ccccc1F ZINC001172758608 1051499224 /nfs/dbraw/zinc/49/92/24/1051499224.db2.gz BAFWXQCWPJQVGW-MAUKXSAKSA-N 0 3 321.436 4.473 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)NCc1ncccc1Cl ZINC001169059544 1051500375 /nfs/dbraw/zinc/50/03/75/1051500375.db2.gz RNAIVFWLESFGAE-MRXNPFEDSA-N 0 3 302.849 4.482 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)N1CCO[C@@H](c2ccncc2)C1 ZINC001169083828 1051515415 /nfs/dbraw/zinc/51/54/15/1051515415.db2.gz GUHAGAUMXOPSRK-NHCUHLMSSA-N 0 3 324.468 4.112 20 0 DIADHN Cc1ncsc1CCCN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC001169174587 1051547134 /nfs/dbraw/zinc/54/71/34/1051547134.db2.gz ALJGTVNSLHGOPG-PWSUYJOCSA-N 0 3 306.397 4.047 20 0 DIADHN CCCOC1CCN([C@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172851577 1051537229 /nfs/dbraw/zinc/53/72/29/1051537229.db2.gz AYSTWKRAZPXKQH-CYBMUJFWSA-N 0 3 313.844 4.301 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1ccncc1Cl ZINC001172853234 1051540059 /nfs/dbraw/zinc/54/00/59/1051540059.db2.gz YMMLKINTJBZIPB-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN CCOCC1CCN([C@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172855091 1051541033 /nfs/dbraw/zinc/54/10/33/1051541033.db2.gz BZRYHSQNQUNHDC-CYBMUJFWSA-N 0 3 313.844 4.159 20 0 DIADHN CSC1CCN([C@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172857991 1051542878 /nfs/dbraw/zinc/54/28/78/1051542878.db2.gz SFWVGVOOESYKCF-LLVKDONJSA-N 0 3 301.858 4.238 20 0 DIADHN Cc1ccc(C(=O)CN[C@H](C)Cc2ccc(F)cc2Cl)cc1 ZINC001172856931 1051543006 /nfs/dbraw/zinc/54/30/06/1051543006.db2.gz AWEWRTWWUIJJHP-CYBMUJFWSA-N 0 3 319.807 4.191 20 0 DIADHN Cc1ccccc1OCCCN1Cc2ccccc2OC[C@H]1C ZINC001169187020 1051543080 /nfs/dbraw/zinc/54/30/80/1051543080.db2.gz BFMQQQSELKRIAO-QGZVFWFLSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)Cc2c(F)cccc2Cl)cn1 ZINC001172861830 1051546668 /nfs/dbraw/zinc/54/66/68/1051546668.db2.gz NIVKQCAFMRSNAU-ZDUSSCGKSA-N 0 3 306.812 4.246 20 0 DIADHN Cc1ncsc1CCCN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC001169174585 1051546521 /nfs/dbraw/zinc/54/65/21/1051546521.db2.gz ALJGTVNSLHGOPG-CMPLNLGQSA-N 0 3 306.397 4.047 20 0 DIADHN Cc1ncsc1CCCN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC001169174586 1051547480 /nfs/dbraw/zinc/54/74/80/1051547480.db2.gz ALJGTVNSLHGOPG-JQWIXIFHSA-N 0 3 306.397 4.047 20 0 DIADHN Cc1ncccc1CN(C)[C@@H](C)Cc1c(F)cccc1Cl ZINC001172861657 1051547591 /nfs/dbraw/zinc/54/75/91/1051547591.db2.gz MSPCUJJPCSSCJJ-LBPRGKRZSA-N 0 3 306.812 4.246 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1C[C@H]2CC[C@@H](C1)C2(F)F ZINC001172863976 1051548992 /nfs/dbraw/zinc/54/89/92/1051548992.db2.gz NBTKPGGYCKYJKL-RTXFEEFZSA-N 0 3 317.782 4.387 20 0 DIADHN C[C@@H](N[C@@H]1CCCOC1)c1ccccc1OCc1ccccc1 ZINC000177929047 1051554724 /nfs/dbraw/zinc/55/47/24/1051554724.db2.gz KRYAKYSMPOQUCR-SJLPKXTDSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1ccnc(CN[C@H](C)CSc2ccc(Cl)cc2)c1 ZINC001172871895 1051555411 /nfs/dbraw/zinc/55/54/11/1051555411.db2.gz RDMTUOGGYOZZHX-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1cccc2c1CN(Cc1ccc([Si](C)(C)C)cc1)CC2 ZINC001169225609 1051556273 /nfs/dbraw/zinc/55/62/73/1051556273.db2.gz OBORZZPBJRKBQG-UHFFFAOYSA-N 0 3 309.529 4.099 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001172874800 1051557493 /nfs/dbraw/zinc/55/74/93/1051557493.db2.gz FARHYIKWPDAISQ-FPMFFAJLSA-N 0 3 309.862 4.017 20 0 DIADHN Cc1ccc(/C=C/c2ccc(-n3ccc([C@H](C)N)n3)cc2)cc1 ZINC001169233206 1051559281 /nfs/dbraw/zinc/55/92/81/1051559281.db2.gz OCSHSYQUPPUGGF-WAVCKPEOSA-N 0 3 303.409 4.371 20 0 DIADHN COc1c(Cl)ccc(Nc2ccc3c(c2)CN(C)CC3)c1F ZINC001212587035 1051560568 /nfs/dbraw/zinc/56/05/68/1051560568.db2.gz IRAPSLZINNSMCR-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN CCCc1cc(CN)nn1[C@H](C)CSc1ccc(Cl)cc1 ZINC001172879149 1051561834 /nfs/dbraw/zinc/56/18/34/1051561834.db2.gz MGJTYNGATIDBKN-GFCCVEGCSA-N 0 3 323.893 4.301 20 0 DIADHN CC(=O)C1CCN([C@H](C)CSc2ccc(Cl)cc2)CC1 ZINC001172882132 1051567409 /nfs/dbraw/zinc/56/74/09/1051567409.db2.gz NDJGRZSUMLRZNX-GFCCVEGCSA-N 0 3 311.878 4.122 20 0 DIADHN Cc1cccc(-c2noc(-c3ccc(CN(C)C)cc3)n2)c1C ZINC001216083976 1051569696 /nfs/dbraw/zinc/56/96/96/1051569696.db2.gz GQIFAXISVWWHCW-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CN(Cc1ccc([S@@](C)=O)cc1)Cc1cccc2ccccc21 ZINC000178423232 1051570133 /nfs/dbraw/zinc/57/01/33/1051570133.db2.gz KDLBKHMREHKYBX-HSZRJFAPSA-N 0 3 323.461 4.209 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](C)c2ccc(F)nc2)cc1 ZINC000930195759 1051570283 /nfs/dbraw/zinc/57/02/83/1051570283.db2.gz VCRIEWAPGHTFKP-UONOGXRCSA-N 0 3 302.393 4.420 20 0 DIADHN CO[C@H]1CCC[C@@H](NC2c3ccccc3Oc3ccccc32)C1 ZINC001172922106 1051571945 /nfs/dbraw/zinc/57/19/45/1051571945.db2.gz FUZAJJVJTYYMMW-CABCVRRESA-N 0 3 309.409 4.429 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1cccc(F)c1)C1CCCC1 ZINC000178446338 1051572365 /nfs/dbraw/zinc/57/23/65/1051572365.db2.gz ROLHNEAEUHTRFA-BFUOFWGJSA-N 0 3 315.436 4.450 20 0 DIADHN CC(C)[C@](C)(O)CN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000311893368 1051575227 /nfs/dbraw/zinc/57/52/27/1051575227.db2.gz BCACNTQKWBJYAT-YMTOWFKASA-N 0 3 308.224 4.190 20 0 DIADHN CO[C@H]1CCC[C@H](N(C)Cc2ccc(Cl)cc2Cl)C1 ZINC001172935261 1051576640 /nfs/dbraw/zinc/57/66/40/1051576640.db2.gz UBWZKURAYBLAIB-KBPBESRZSA-N 0 3 302.245 4.383 20 0 DIADHN CCC1(CC)CCCN(CC(=O)Nc2ccc(C)c(F)c2)CC1 ZINC001169300018 1051580184 /nfs/dbraw/zinc/58/01/84/1051580184.db2.gz IWVURRIDTRKXDE-UHFFFAOYSA-N 0 3 320.452 4.365 20 0 DIADHN CN(CCCSC(C)(C)C)Cc1cc(F)c(F)c(F)c1 ZINC001168458132 1051593745 /nfs/dbraw/zinc/59/37/45/1051593745.db2.gz IPPXDAZLXJJPCI-UHFFFAOYSA-N 0 3 305.409 4.458 20 0 DIADHN COC(C)(C)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493245510 1051605248 /nfs/dbraw/zinc/60/52/48/1051605248.db2.gz COBVQXBYSJNGIA-KRWDZBQOSA-N 0 3 303.833 4.444 20 0 DIADHN COCc1noc2c1CN(C1CCC3(CCCCC3)CC1)CC2 ZINC001173116146 1051613677 /nfs/dbraw/zinc/61/36/77/1051613677.db2.gz KEMUTWCETWUGEG-UHFFFAOYSA-N 0 3 318.461 4.072 20 0 DIADHN CCN(CC)C(=O)C[C@H](C)N[C@H](CC(C)C)c1ccccc1F ZINC001169443417 1051614794 /nfs/dbraw/zinc/61/47/94/1051614794.db2.gz GPJMAIONLRTQEY-MAUKXSAKSA-N 0 3 322.468 4.150 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1[C@@H]1CCc2ncsc2C1 ZINC001173139955 1051620991 /nfs/dbraw/zinc/62/09/91/1051620991.db2.gz DFAYZNLNIDONFB-ABAIWWIYSA-N 0 3 320.408 4.116 20 0 DIADHN Fc1ccc([C@H]2CCCN2[C@H]2CCc3ncsc3C2)c(F)c1 ZINC001173141181 1051623504 /nfs/dbraw/zinc/62/35/04/1051623504.db2.gz NCOCUKJIBHJOIH-BLLLJJGKSA-N 0 3 320.408 4.116 20 0 DIADHN C[C@H](N[C@H]1CCc2ncsc2C1)c1c(F)cccc1Cl ZINC001173141090 1051623548 /nfs/dbraw/zinc/62/35/48/1051623548.db2.gz NZTOCEGUKGIQSZ-UWVGGRQHSA-N 0 3 310.825 4.144 20 0 DIADHN Cc1cc2c(cn1)CN(C1CCC(Oc3ccccc3)CC1)C2 ZINC001173203188 1051633453 /nfs/dbraw/zinc/63/34/53/1051633453.db2.gz JTIKZQJQEYPHKH-UHFFFAOYSA-N 0 3 308.425 4.096 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1nc(Cc2ccccc2)no1 ZINC001170480169 1051636032 /nfs/dbraw/zinc/63/60/32/1051636032.db2.gz MMPHWLCUAQRZPH-IBGZPJMESA-N 0 3 313.445 4.061 20 0 DIADHN Cc1cc(CN)nn1[C@H](C)CC(c1ccccc1)c1ccccc1 ZINC001173206872 1051645364 /nfs/dbraw/zinc/64/53/64/1051645364.db2.gz XVVRKZBISHKHNG-QGZVFWFLSA-N 0 3 319.452 4.433 20 0 DIADHN Cc1cnc2c(c1)CN(C1CCC(Oc3ccccc3)CC1)CC2 ZINC001173206520 1051645601 /nfs/dbraw/zinc/64/56/01/1051645601.db2.gz SCAPSDRCAQUPQI-UHFFFAOYSA-N 0 3 322.452 4.138 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1ncc(Br)cc1F ZINC001169570837 1051646153 /nfs/dbraw/zinc/64/61/53/1051646153.db2.gz YZRBDTOZQWWWRO-CYBMUJFWSA-N 0 3 315.230 4.042 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)N1CCO[C@H](C)C1 ZINC001173207881 1051647076 /nfs/dbraw/zinc/64/70/76/1051647076.db2.gz XZJHWMNEEHBNOW-ZWKOTPCHSA-N 0 3 309.453 4.318 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)n1cnc(CN)c1 ZINC001173208882 1051647301 /nfs/dbraw/zinc/64/73/01/1051647301.db2.gz ULXWNWUJCAHKLI-MRXNPFEDSA-N 0 3 305.425 4.125 20 0 DIADHN Cc1sc(CN[C@@H]2CCc3ccccc3OC2)nc1C(C)C ZINC000493875545 1051661766 /nfs/dbraw/zinc/66/17/66/1051661766.db2.gz QKHPTJBYWSXHBZ-OAHLLOKOSA-N 0 3 316.470 4.058 20 0 DIADHN CCCC[C@H](CC(C)C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001173261523 1051662586 /nfs/dbraw/zinc/66/25/86/1051662586.db2.gz ZJENMKAPRGSVPF-GFCCVEGCSA-N 0 3 317.399 4.325 20 0 DIADHN CC[C@@H]1CC[C@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001173266609 1051665965 /nfs/dbraw/zinc/66/59/65/1051665965.db2.gz FVSQVHCDSDLBGJ-PWSUYJOCSA-N 0 3 300.229 4.344 20 0 DIADHN CC[C@@H]1CC[C@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001173269549 1051667500 /nfs/dbraw/zinc/66/75/00/1051667500.db2.gz XCFPXMHWLBETDY-PWSUYJOCSA-N 0 3 317.326 4.196 20 0 DIADHN CC[C@@H]1CN(Cc2cccc(SC(F)(F)F)c2)CCCO1 ZINC001169677082 1051670451 /nfs/dbraw/zinc/67/04/51/1051670451.db2.gz XBDOQGUEPBBXEA-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN CC[C@@H]1CC[C@@H](NCc2coc(-c3cccc(OC)c3)n2)C1 ZINC001173274365 1051671245 /nfs/dbraw/zinc/67/12/45/1051671245.db2.gz IQCOURXFKRDBAI-UKRRQHHQSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1ccc(C[C@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC001173237346 1051671508 /nfs/dbraw/zinc/67/15/08/1051671508.db2.gz BCPMXEZHWAKNGQ-LBPRGKRZSA-N 0 3 306.812 4.157 20 0 DIADHN CCc1ccc(C[C@@H](C)N(C)Cc2nc3ccccc3o2)cc1 ZINC001173242525 1051675349 /nfs/dbraw/zinc/67/53/49/1051675349.db2.gz GTCWJOZLMGPDNW-OAHLLOKOSA-N 0 3 308.425 4.453 20 0 DIADHN CC[C@H]1CN(Cc2ccc(Cl)c3ccccc23)CCCO1 ZINC001169674578 1051676999 /nfs/dbraw/zinc/67/69/99/1051676999.db2.gz CAXHXAFABHYWLF-HNNXBMFYSA-N 0 3 303.833 4.494 20 0 DIADHN CCSC[C@@H](C)N[C@@H](C)c1cc(Cl)cc(OC)c1OC ZINC001173294826 1051677090 /nfs/dbraw/zinc/67/70/90/1051677090.db2.gz FDWSYFUFIOEOJQ-MNOVXSKESA-N 0 3 317.882 4.149 20 0 DIADHN CC[C@@H]1CC[C@@H](N2Cc3cnc(-c4ccc(F)cc4)nc3C2)C1 ZINC001173296800 1051677647 /nfs/dbraw/zinc/67/76/47/1051677647.db2.gz WBFYDEQCRFXAIS-CXAGYDPISA-N 0 3 311.404 4.177 20 0 DIADHN CC(C)Oc1ccc(CN2C[C@H](C)C[C@@H]2c2cccnc2)cc1 ZINC000805748153 1051678553 /nfs/dbraw/zinc/67/85/53/1051678553.db2.gz AFZDAPACKWWSGH-OXQOHEQNSA-N 0 3 310.441 4.452 20 0 DIADHN CCSC[C@H](C)N[C@H](c1ncccc1C(F)(F)F)C1CC1 ZINC001173296249 1051678544 /nfs/dbraw/zinc/67/85/44/1051678544.db2.gz QRTLAVVTVQNELG-GWCFXTLKSA-N 0 3 318.408 4.283 20 0 DIADHN CCSC[C@H](C)N(Cc1ccccc1)Cc1cccnc1 ZINC001173305701 1051681957 /nfs/dbraw/zinc/68/19/57/1051681957.db2.gz KQLMXQJKLRKJBO-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@H](C(C)(C)C)C2)c(C)c1 ZINC001169724705 1051684034 /nfs/dbraw/zinc/68/40/34/1051684034.db2.gz UBSLPCGXUNIWMT-SJORKVTESA-N 0 3 316.489 4.389 20 0 DIADHN CC[C@@H](NC[C@H](CC)Oc1cccc(C)c1)c1ccc(F)cn1 ZINC000494037537 1051685468 /nfs/dbraw/zinc/68/54/68/1051685468.db2.gz HFEVQSYGFVWIOE-FUHWJXTLSA-N 0 3 316.420 4.427 20 0 DIADHN CN1CCc2ccc(Nc3c(Cl)ccnc3Cl)cc2C1 ZINC001216304889 1051686204 /nfs/dbraw/zinc/68/62/04/1051686204.db2.gz UPYGTWLTUAVNDZ-UHFFFAOYSA-N 0 3 308.212 4.120 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCc3ccc(Cl)cc32)ccn1 ZINC000805833648 1051687169 /nfs/dbraw/zinc/68/71/69/1051687169.db2.gz DCJJZPPNNWVKBC-BZNIZROVSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1ccccc1[C@@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000805840062 1051688183 /nfs/dbraw/zinc/68/81/83/1051688183.db2.gz WPYCOVCZBXUJLT-DOTOQJQBSA-N 0 3 301.455 4.173 20 0 DIADHN CCSC[C@H](C)N[C@@H](c1ccncc1)c1ccccc1C ZINC001173285210 1051688972 /nfs/dbraw/zinc/68/89/72/1051688972.db2.gz QRBDYCXXXMQECA-YJBOKZPZSA-N 0 3 300.471 4.211 20 0 DIADHN CCCc1csc(CNCC[C@@H](OCC)C2CCCC2)n1 ZINC000494116105 1051690786 /nfs/dbraw/zinc/69/07/86/1051690786.db2.gz KDDSAQDUHYUDQF-MRXNPFEDSA-N 0 3 310.507 4.171 20 0 DIADHN CCCCCc1ccc(OC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000805849264 1051693426 /nfs/dbraw/zinc/69/34/26/1051693426.db2.gz FFWLWAWKJQLUBY-UHFFFAOYSA-N 0 3 315.457 4.199 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)cc1OC ZINC000152534776 1051694034 /nfs/dbraw/zinc/69/40/34/1051694034.db2.gz NLHWIWVHCQVHNY-PBHICJAKSA-N 0 3 311.425 4.350 20 0 DIADHN CCCC[C@H](C)OC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000805897135 1051696448 /nfs/dbraw/zinc/69/64/48/1051696448.db2.gz INDRKXXHWYJDRQ-INIZCTEOSA-N 0 3 303.446 4.021 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173338822 1051698851 /nfs/dbraw/zinc/69/88/51/1051698851.db2.gz KJPBJJKYUNPMCN-MAUKXSAKSA-N 0 3 312.457 4.471 20 0 DIADHN CCCc1csc(CN[C@@H]2CCO[C@@H](C(CC)CC)C2)n1 ZINC000494192152 1051701933 /nfs/dbraw/zinc/70/19/33/1051701933.db2.gz OQXKOMLPTWJIMJ-GDBMZVCRSA-N 0 3 310.507 4.169 20 0 DIADHN c1cc([C@@H]2CN([C@@H]3CCCC4(CCCCC4)C3)CCO2)ccn1 ZINC001173348940 1051707228 /nfs/dbraw/zinc/70/72/28/1051707228.db2.gz MCYZLYSXCVTZFO-MOPGFXCFSA-N 0 3 314.473 4.348 20 0 DIADHN O=C1CC[C@]2(CCCN([C@H]3CCCC4(CCCCC4)C3)C2)O1 ZINC001173348349 1051707463 /nfs/dbraw/zinc/70/74/63/1051707463.db2.gz HGUCLGNGFVIEAH-LPHOPBHVSA-N 0 3 305.462 4.051 20 0 DIADHN OCc1ccc(CN[C@H](c2ccccc2F)C2CCCC2)cc1 ZINC000183111863 1051707586 /nfs/dbraw/zinc/70/75/86/1051707586.db2.gz CUTMHIKFGZMXGQ-FQEVSTJZSA-N 0 3 313.416 4.339 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3scnc3Cl)C2)cc1 ZINC001169853250 1051713355 /nfs/dbraw/zinc/71/33/55/1051713355.db2.gz DEXNHHGSNHQNGW-JSGCOSHPSA-N 0 3 306.862 4.483 20 0 DIADHN C[C@H](NC[C@@H](O)Cc1ccccc1)c1ccc(Cl)cc1Cl ZINC001169854047 1051713882 /nfs/dbraw/zinc/71/38/82/1051713882.db2.gz JPLPYMGYYBUQCF-WFASDCNBSA-N 0 3 324.251 4.248 20 0 DIADHN CCN1CC[C@H](N(C)Cc2sc3ccccc3c2Cl)C1 ZINC001173373178 1051714770 /nfs/dbraw/zinc/71/47/70/1051714770.db2.gz UJAFAVRGUZVZDP-LBPRGKRZSA-N 0 3 308.878 4.081 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3scnc3Cl)C2)cc1 ZINC001169853251 1051714760 /nfs/dbraw/zinc/71/47/60/1051714760.db2.gz DEXNHHGSNHQNGW-OCCSQVGLSA-N 0 3 306.862 4.483 20 0 DIADHN Cc1nocc1Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001212723749 1051715226 /nfs/dbraw/zinc/71/52/26/1051715226.db2.gz GDVWWHQAZWTWAA-KRWDZBQOSA-N 0 3 319.408 4.304 20 0 DIADHN COC(=O)CCCC[C@@H](C)NCc1c(Cl)cccc1Cl ZINC001173436781 1051717813 /nfs/dbraw/zinc/71/78/13/1051717813.db2.gz WVHFYLHKHGWRQT-LLVKDONJSA-N 0 3 318.244 4.205 20 0 DIADHN Brc1ccc2[nH]c(CNCc3ccsc3)cc2c1 ZINC000183824844 1051720782 /nfs/dbraw/zinc/72/07/82/1051720782.db2.gz UCNOIXHKCJWECT-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN Fc1cccc(CNC2Cc3cc(F)c(F)cc3C2)c1Cl ZINC001173474269 1051723757 /nfs/dbraw/zinc/72/37/57/1051723757.db2.gz SXLUFUSZXHKTNV-UHFFFAOYSA-N 0 3 311.734 4.014 20 0 DIADHN CC1(C)CO[C@H](CN2CC=C(c3cccc(Cl)c3)CC2)C1 ZINC001169901622 1051725770 /nfs/dbraw/zinc/72/57/70/1051725770.db2.gz XIDMCTISEMOCFP-KRWDZBQOSA-N 0 3 305.849 4.244 20 0 DIADHN Fc1cccc([C@H]2CCCN2C2Cc3cc(F)c(F)cc3C2)c1 ZINC001173482403 1051725730 /nfs/dbraw/zinc/72/57/30/1051725730.db2.gz FRWRTCNSCVQVMA-LJQANCHMSA-N 0 3 317.354 4.408 20 0 DIADHN Cc1cccc([C@@H](C)N2CCN(c3ccccc3F)C[C@H]2C)c1 ZINC001169928266 1051729128 /nfs/dbraw/zinc/72/91/28/1051729128.db2.gz LAFJEZNYBBFZMT-IAGOWNOFSA-N 0 3 312.432 4.406 20 0 DIADHN Cc1cccc([C@H](C)N2CCN(c3ccccc3F)C[C@@H]2C)c1 ZINC001169928267 1051730278 /nfs/dbraw/zinc/73/02/78/1051730278.db2.gz LAFJEZNYBBFZMT-IRXDYDNUSA-N 0 3 312.432 4.406 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC000806132776 1051733632 /nfs/dbraw/zinc/73/36/32/1051733632.db2.gz ZYGDCDGFNVBOCP-IAQYHMDHSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1cc(Nc2nccn2C)c(OCc2ccccc2)cc1C ZINC001216379732 1051734542 /nfs/dbraw/zinc/73/45/42/1051734542.db2.gz ZWLKNMWRWRPJAD-UHFFFAOYSA-N 0 3 307.397 4.360 20 0 DIADHN Clc1ccc2c(n1)CC[C@@H]2NCc1cc2c(s1)CCCC2 ZINC000806134863 1051735243 /nfs/dbraw/zinc/73/52/43/1051735243.db2.gz OSGPIESPXSYLDJ-AWEZNQCLSA-N 0 3 318.873 4.452 20 0 DIADHN C[C@H](N)c1ccn([C@@H](C)CCc2ccc(Cl)c(Cl)c2)n1 ZINC001173540592 1051736027 /nfs/dbraw/zinc/73/60/27/1051736027.db2.gz ZJMLICDEPBGDRD-QWRGUYRKSA-N 0 3 312.244 4.403 20 0 DIADHN FC(F)Oc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806128245 1051743376 /nfs/dbraw/zinc/74/33/76/1051743376.db2.gz PMCQMBBXSJOFJU-ZDUSSCGKSA-N 0 3 324.758 4.114 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806130587 1051744725 /nfs/dbraw/zinc/74/47/25/1051744725.db2.gz BDYPSPJVEPBSII-MRXNPFEDSA-N 0 3 316.832 4.301 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2nc(Cl)ccc21)c1ccc(OC)cc1 ZINC000806128765 1051744791 /nfs/dbraw/zinc/74/47/91/1051744791.db2.gz WXONVKGOJWSCJS-HZPDHXFCSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1cncnc1 ZINC001173550166 1051751992 /nfs/dbraw/zinc/75/19/92/1051751992.db2.gz LEWBGACOORLLMJ-LBPRGKRZSA-N 0 3 324.255 4.237 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806143278 1051752621 /nfs/dbraw/zinc/75/26/21/1051752621.db2.gz IRBYRQRPFVCXEP-HUUCEWRRSA-N 0 3 314.816 4.008 20 0 DIADHN COCCC1(CNCc2ncc(C(C)C)s2)CCCCC1 ZINC000185229671 1051757510 /nfs/dbraw/zinc/75/75/10/1051757510.db2.gz AWKNKGURFULIRZ-UHFFFAOYSA-N 0 3 310.507 4.343 20 0 DIADHN CCOC(=O)/C=C/c1ccc(Nc2ccccc2CN(C)C)cc1 ZINC001216477787 1051781612 /nfs/dbraw/zinc/78/16/12/1051781612.db2.gz YJAKTMUVWOKYMX-SDNWHVSQSA-N 0 3 324.424 4.068 20 0 DIADHN CN(CCF)[C@H]1CCc2ccc(OCc3ccccc3)cc2C1 ZINC001173706850 1051785531 /nfs/dbraw/zinc/78/55/31/1051785531.db2.gz UHZTXCCJBZUZLS-IBGZPJMESA-N 0 3 313.416 4.024 20 0 DIADHN CN(CCF)[C@@H]1CCc2ccc(OCc3ccccc3)cc2C1 ZINC001173706851 1051786147 /nfs/dbraw/zinc/78/61/47/1051786147.db2.gz UHZTXCCJBZUZLS-LJQANCHMSA-N 0 3 313.416 4.024 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccccc3C)c2C1 ZINC001173815595 1051793127 /nfs/dbraw/zinc/79/31/27/1051793127.db2.gz WWDLFSRLHGJMGM-UHFFFAOYSA-N 0 3 301.459 4.150 20 0 DIADHN CCCC(CCC)C(=O)N1c2ccccc2CC[C@@H]1CN(C)C ZINC001129365192 1051799884 /nfs/dbraw/zinc/79/98/84/1051799884.db2.gz YQKBJIOTOTXLNB-GOSISDBHSA-N 0 3 316.489 4.112 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc(Cl)c3N)cccc21 ZINC001216529590 1051807451 /nfs/dbraw/zinc/80/74/51/1051807451.db2.gz XNZCYTFKLYFVEZ-HNNXBMFYSA-N 0 3 317.820 4.051 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc(Cl)c3N)cccc21 ZINC001216529591 1051807870 /nfs/dbraw/zinc/80/78/70/1051807870.db2.gz XNZCYTFKLYFVEZ-OAHLLOKOSA-N 0 3 317.820 4.051 20 0 DIADHN CCN(Cc1nnc(-c2ccc(Cl)cc2)n1C)[C@@H](C)C(C)C ZINC000186292541 1051808229 /nfs/dbraw/zinc/80/82/29/1051808229.db2.gz HPVVSSWRMMRRCZ-ZDUSSCGKSA-N 0 3 320.868 4.002 20 0 DIADHN COc1ccc(CCN[C@H](C)c2csc(C(C)C)n2)cc1F ZINC000186314930 1051809117 /nfs/dbraw/zinc/80/91/17/1051809117.db2.gz BETUXQSOHVRICX-GFCCVEGCSA-N 0 3 322.449 4.308 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)COC(C)(C)O3)s1 ZINC000186335447 1051809852 /nfs/dbraw/zinc/80/98/52/1051809852.db2.gz FQQSZCUANHMUAH-UHFFFAOYSA-N 0 3 317.454 4.334 20 0 DIADHN COc1ccccc1[C@H](CN[C@H](C)c1ccc(C)o1)OC(C)C ZINC000186383111 1051813426 /nfs/dbraw/zinc/81/34/26/1051813426.db2.gz OCWYEPULDMKABC-BEFAXECRSA-N 0 3 317.429 4.414 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1cc(COC(C)(C)C)on1 ZINC000186420650 1051815945 /nfs/dbraw/zinc/81/59/45/1051815945.db2.gz FOJRYTCZTJLRFD-YOEHRIQHSA-N 0 3 306.450 4.072 20 0 DIADHN Cn1ccnc1Nc1cccc(OCc2cccc(Cl)c2)c1 ZINC001216572180 1051820180 /nfs/dbraw/zinc/82/01/80/1051820180.db2.gz FSYDSONBDUMPJH-UHFFFAOYSA-N 0 3 313.788 4.396 20 0 DIADHN COC1(CN[C@@H](C)c2nc3c(s2)CCCC3)CCCCC1 ZINC000186517671 1051820987 /nfs/dbraw/zinc/82/09/87/1051820987.db2.gz OGEJKPQANFVJHJ-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)N[C@@H](CCO)c1ccco1 ZINC000186522502 1051821281 /nfs/dbraw/zinc/82/12/81/1051821281.db2.gz KJNMIKGADSOPDE-IRXDYDNUSA-N 0 3 319.470 4.110 20 0 DIADHN CC(C)CC[C@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000186648611 1051825858 /nfs/dbraw/zinc/82/58/58/1051825858.db2.gz YARHOJSAUGOFOR-KSSFIOAISA-N 0 3 303.425 4.063 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000187108878 1051836589 /nfs/dbraw/zinc/83/65/89/1051836589.db2.gz UFXHXMORZCRZPN-OAHLLOKOSA-N 0 3 310.441 4.448 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(F)c(F)c3)cccc21 ZINC001173868576 1051839214 /nfs/dbraw/zinc/83/92/14/1051839214.db2.gz AWXZYVSBNUUQGS-MRXNPFEDSA-N 0 3 304.340 4.094 20 0 DIADHN Cc1cccc(C)c1Nc1ccc(N2CCN(C)CC2)cc1C ZINC001173874904 1051842656 /nfs/dbraw/zinc/84/26/56/1051842656.db2.gz DHJGOSLWYPZHKA-UHFFFAOYSA-N 0 3 309.457 4.107 20 0 DIADHN CCOC(CN(C)[C@@H](C)c1ccc(C(F)(F)F)cc1)OCC ZINC000767770790 1051847675 /nfs/dbraw/zinc/84/76/75/1051847675.db2.gz BBEFSHKKLOSGQC-LBPRGKRZSA-N 0 3 319.367 4.097 20 0 DIADHN CCOC(CN[C@H](c1ccc(Cl)cc1)C1CCC1)OCC ZINC000767816153 1051854773 /nfs/dbraw/zinc/85/47/73/1051854773.db2.gz CQWKRKSNHQNCRS-KRWDZBQOSA-N 0 3 311.853 4.170 20 0 DIADHN Cc1cccc([C@@H](O)CNCc2ccc(-c3ccccc3)o2)c1 ZINC000767927128 1051866916 /nfs/dbraw/zinc/86/69/16/1051866916.db2.gz OATSKUAOPHCBAV-IBGZPJMESA-N 0 3 307.393 4.078 20 0 DIADHN CCCc1csc(CNCCc2c[nH]c3cccc(C)c23)n1 ZINC000494340731 1051873633 /nfs/dbraw/zinc/87/36/33/1051873633.db2.gz VBOYTUFISPEWBO-UHFFFAOYSA-N 0 3 313.470 4.218 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C[C@H](O)CC(F)(F)F)C1CC1 ZINC000189064903 1051873676 /nfs/dbraw/zinc/87/36/76/1051873676.db2.gz ASABRNCRSLCENX-ZYHUDNBSSA-N 0 3 321.770 4.179 20 0 DIADHN COc1ccc(CNCc2ccc3nc(C)sc3c2)cc1F ZINC000494321934 1051876918 /nfs/dbraw/zinc/87/69/18/1051876918.db2.gz CPADKFQQZCQSPL-UHFFFAOYSA-N 0 3 316.401 4.042 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1F)c1ccc(Cl)c(F)c1 ZINC000768098111 1051880072 /nfs/dbraw/zinc/88/00/72/1051880072.db2.gz RXWFPMWCOPKVGR-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN COc1cccc(CN(C)Cc2cc3cccc(OC)c3o2)c1 ZINC000768162887 1051889289 /nfs/dbraw/zinc/88/92/89/1051889289.db2.gz LDVNJWRIDKASFR-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)COC3)cc1 ZINC000768199746 1051894425 /nfs/dbraw/zinc/89/44/25/1051894425.db2.gz HIHPSRIPQYPWLH-NRFANRHFSA-N 0 3 323.436 4.453 20 0 DIADHN Cc1ccc(-c2cc(Nc3ccccc3CN(C)C)n(C)n2)cc1 ZINC001173928928 1051899662 /nfs/dbraw/zinc/89/96/62/1051899662.db2.gz PYJHWKGEYBPYBR-UHFFFAOYSA-N 0 3 320.440 4.201 20 0 DIADHN CN(C)Cc1ccccc1Nc1cnnc2ccc(Cl)cc21 ZINC001173929076 1051899892 /nfs/dbraw/zinc/89/98/92/1051899892.db2.gz UHHOFEZCYDZCKG-UHFFFAOYSA-N 0 3 312.804 4.088 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(Cc2ccccc2)no1 ZINC001173927887 1051899940 /nfs/dbraw/zinc/89/99/40/1051899940.db2.gz RTYFQGPAKUFQSR-UHFFFAOYSA-N 0 3 307.397 4.071 20 0 DIADHN Cc1ccccc1-c1cc(Nc2ccccc2CN(C)C)n(C)n1 ZINC001173928599 1051900619 /nfs/dbraw/zinc/90/06/19/1051900619.db2.gz FSZNVBQSUFRTEK-UHFFFAOYSA-N 0 3 320.440 4.201 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1Nc1ccccc1CN(C)C ZINC001173929024 1051901097 /nfs/dbraw/zinc/90/10/97/1051901097.db2.gz JHIYHZVHGVWLAS-UHFFFAOYSA-N 0 3 309.335 4.214 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@H]1CCOc2c(F)cccc21 ZINC000494479708 1051901390 /nfs/dbraw/zinc/90/13/90/1051901390.db2.gz YOGCURHGOYPPQZ-DOPJRALCSA-N 0 3 315.388 4.015 20 0 DIADHN CC(=O)Nc1ccc(Nc2ccccc2CN(C)C)cc1Cl ZINC001173931041 1051901928 /nfs/dbraw/zinc/90/19/28/1051901928.db2.gz DZQLMNFRIUVAQU-UHFFFAOYSA-N 0 3 317.820 4.104 20 0 DIADHN CN(C)Cc1ccccc1Nc1c(F)c(F)c(F)c(F)c1F ZINC001173929494 1051902243 /nfs/dbraw/zinc/90/22/43/1051902243.db2.gz GKIPCRAIIFRICS-UHFFFAOYSA-N 0 3 316.273 4.187 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(C2=NC(C)(C)CO2)c1 ZINC001173930889 1051902574 /nfs/dbraw/zinc/90/25/74/1051902574.db2.gz RRAKEJVQQCYDBD-UHFFFAOYSA-N 0 3 323.440 4.047 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(C(F)(F)F)ccc1N ZINC001173930442 1051902668 /nfs/dbraw/zinc/90/26/68/1051902668.db2.gz XWLULLMOQYTWHA-UHFFFAOYSA-N 0 3 309.335 4.093 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(F)ccc1OCC(F)F ZINC001173931529 1051902844 /nfs/dbraw/zinc/90/28/44/1051902844.db2.gz GYRHWDUQGSRRGT-UHFFFAOYSA-N 0 3 324.346 4.275 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(OC(F)(F)F)c1 ZINC001173929528 1051903606 /nfs/dbraw/zinc/90/36/06/1051903606.db2.gz GUEGTRBKDCSREN-UHFFFAOYSA-N 0 3 310.319 4.390 20 0 DIADHN COc1ccc(Nc2ccccc2CN(C)C)c2ncccc12 ZINC001173932943 1051905284 /nfs/dbraw/zinc/90/52/84/1051905284.db2.gz VPSQUIAFPXLSNR-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN COc1ccc2ccc(Nc3ccccc3CN(C)C)cc2n1 ZINC001173932044 1051905690 /nfs/dbraw/zinc/90/56/90/1051905690.db2.gz QKOZVQQHAITZFY-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1cncs1 ZINC000768253664 1051908709 /nfs/dbraw/zinc/90/87/09/1051908709.db2.gz CBCVSEKBOTYPDI-KBXCAEBGSA-N 0 3 324.449 4.194 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2C[C@H](O)CC(F)(F)F)o1 ZINC000189372268 1051909246 /nfs/dbraw/zinc/90/92/46/1051909246.db2.gz ZDQRTSYYKKXEMF-TZMCWYRMSA-N 0 3 319.367 4.072 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(F)ccc3F)cccc21 ZINC001173952297 1051916036 /nfs/dbraw/zinc/91/60/36/1051916036.db2.gz GKFKAOUWIYOGMP-INIZCTEOSA-N 0 3 304.340 4.094 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccnn2-c2ccccc2)c(C)c1 ZINC000467366335 1051919341 /nfs/dbraw/zinc/91/93/41/1051919341.db2.gz VBZZLMSCRRNWQQ-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Fc1cncc(CNCCOc2ccccc2-c2ccccc2)c1 ZINC000494567433 1051920539 /nfs/dbraw/zinc/92/05/39/1051920539.db2.gz STRRGTMMPPTBOT-UHFFFAOYSA-N 0 3 322.383 4.056 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(Cl)c(Cl)c1 ZINC000223248686 1051940625 /nfs/dbraw/zinc/94/06/25/1051940625.db2.gz YGSDRJYODUDLHQ-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN COc1ccc(-c2noc([C@@H](C)N3CCCCCCC3)n2)cc1 ZINC000768543991 1051945841 /nfs/dbraw/zinc/94/58/41/1051945841.db2.gz ZHLUEFVYNWDSLT-CQSZACIVSA-N 0 3 315.417 4.072 20 0 DIADHN CC(C)(C)C1CCC(CC(=O)NC[C@H]2CCN2C(C)(C)C)CC1 ZINC001131096806 1051950452 /nfs/dbraw/zinc/95/04/52/1051950452.db2.gz YLAIUILJQZUOFK-OFLPRAFFSA-N 0 3 322.537 4.218 20 0 DIADHN c1ccc2c(c1)ccnc2CNCc1ccc(OCC2CC2)cc1 ZINC000190891783 1051955785 /nfs/dbraw/zinc/95/57/85/1051955785.db2.gz RXTGWZYWCSLSMN-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](OC)c1ccccc1)c1c(C)noc1C ZINC000494598509 1051984212 /nfs/dbraw/zinc/98/42/12/1051984212.db2.gz XWOZPOFFFUDUSG-RPVQJOFSSA-N 0 3 302.418 4.108 20 0 DIADHN O=C(c1ccc(Nc2ccnc(C3CC3)c2)cc1)N1CCCCC1 ZINC001174072141 1051993323 /nfs/dbraw/zinc/99/33/23/1051993323.db2.gz ABDKKSQYJKXFJT-UHFFFAOYSA-N 0 3 321.424 4.329 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1ccc(SC(C)C)cc1 ZINC000494684484 1052000446 /nfs/dbraw/zinc/00/04/46/1052000446.db2.gz FHTGDORELOOCPU-MRXNPFEDSA-N 0 3 321.511 4.380 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Cl)c3cccnc23)CCS1 ZINC000192169507 1052003107 /nfs/dbraw/zinc/00/31/07/1052003107.db2.gz VQMVKKTZRFJPTN-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN C[C@H]1CCN(Cc2ccc(Cl)c3cccnc23)CCS1 ZINC000192169512 1052003163 /nfs/dbraw/zinc/00/31/63/1052003163.db2.gz VQMVKKTZRFJPTN-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN CN(CCCc1cc(-c2ccc(F)cc2)no1)Cc1ccoc1 ZINC000769305722 1052020335 /nfs/dbraw/zinc/02/03/35/1052020335.db2.gz LSFCCYJFMZUNLG-UHFFFAOYSA-N 0 3 314.360 4.138 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CCC(C)(C)CC2)cn1 ZINC000769308249 1052021169 /nfs/dbraw/zinc/02/11/69/1052021169.db2.gz NMBSCDSFQIQVBW-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN C[C@@H](NCc1cnc(C2CCCC2)s1)[C@H](O)c1ccccc1 ZINC000808445357 1052023080 /nfs/dbraw/zinc/02/30/80/1052023080.db2.gz OQGTTZRDZPBIJE-DYVFJYSZSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccsc1CN1CCC(c2cccc(F)c2)CC1 ZINC000769388928 1052037910 /nfs/dbraw/zinc/03/79/10/1052037910.db2.gz JLCXEGAXILRIIL-UHFFFAOYSA-N 0 3 305.418 4.275 20 0 DIADHN CCCCn1cc(CN[C@@H]2c3cc(C)ccc3C[C@H]2C)c(C)n1 ZINC000494748762 1052038966 /nfs/dbraw/zinc/03/89/66/1052038966.db2.gz WODFYSLKNGXXSL-QRWLVFNGSA-N 0 3 311.473 4.323 20 0 DIADHN CCCCn1cc(CN[C@@H]2c3cc(C)ccc3C[C@@H]2C)c(C)n1 ZINC000494748763 1052039097 /nfs/dbraw/zinc/03/90/97/1052039097.db2.gz WODFYSLKNGXXSL-YWZLYKJASA-N 0 3 311.473 4.323 20 0 DIADHN COc1cc(CN2CCC3(CCCC3)C2)cc(Cl)c1OC ZINC000769403183 1052039715 /nfs/dbraw/zinc/03/97/15/1052039715.db2.gz PJCNCWZHODWIST-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cncc3ccccc32)n1 ZINC000769425528 1052045518 /nfs/dbraw/zinc/04/55/18/1052045518.db2.gz IESFBIQEJICUBY-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN COCC[C@H](N[C@H](C)[C@@H](OC)c1ccccc1)c1ccc(C)o1 ZINC000494765573 1052058022 /nfs/dbraw/zinc/05/80/22/1052058022.db2.gz RLRYCFASQLPITL-HHXXYDBFSA-N 0 3 317.429 4.031 20 0 DIADHN Cc1cc(CN2CC[C@](C)(c3ccccc3)C2)cnc1Cl ZINC000769503832 1052068708 /nfs/dbraw/zinc/06/87/08/1052068708.db2.gz NQDKVMTWYGVBBO-SFHVURJKSA-N 0 3 300.833 4.207 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)N(C)Cc1coc(C2CC2)n1 ZINC000769515809 1052071663 /nfs/dbraw/zinc/07/16/63/1052071663.db2.gz HTYSZZXXMCTOCH-SFHVURJKSA-N 0 3 316.420 4.390 20 0 DIADHN CCOCCNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000195658428 1052075689 /nfs/dbraw/zinc/07/56/89/1052075689.db2.gz SKIQCKAINDXZIM-UHFFFAOYSA-N 0 3 314.212 4.380 20 0 DIADHN Cc1cccc([C@@H](NCCc2ccccc2)c2ccccn2)c1 ZINC000769538703 1052076907 /nfs/dbraw/zinc/07/69/07/1052076907.db2.gz QYDZJRAVPYIESF-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN Cc1cc(CN2CCC(C(=O)c3ccccc3)CC2)c(C)s1 ZINC000195673933 1052077454 /nfs/dbraw/zinc/07/74/54/1052077454.db2.gz AHQVCFFVVHYHRU-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000808720989 1052080626 /nfs/dbraw/zinc/08/06/26/1052080626.db2.gz FXXYZXZXTQWVTL-UUWFMWQGSA-N 0 3 305.368 4.128 20 0 DIADHN O[C@@H](CCN1CCC[C@@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000808726657 1052081931 /nfs/dbraw/zinc/08/19/31/1052081931.db2.gz KDPBCPQGQKBTFJ-MOPGFXCFSA-N 0 3 317.379 4.225 20 0 DIADHN CCCCNCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000769693563 1052093360 /nfs/dbraw/zinc/09/33/60/1052093360.db2.gz QCGVVTXVRLKWRJ-OAHLLOKOSA-N 0 3 300.471 4.305 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(Cl)c(Cl)c1 ZINC000226559959 1052094564 /nfs/dbraw/zinc/09/45/64/1052094564.db2.gz VTIVXLDQWLGRKL-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCCCC2(C)C)c(CN(C)C)c1 ZINC000194123990 1052096035 /nfs/dbraw/zinc/09/60/35/1052096035.db2.gz QUWODBXFRUOITG-INIZCTEOSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](C)c2nc3ccccc3s2)CS1 ZINC000754122682 1052104173 /nfs/dbraw/zinc/10/41/73/1052104173.db2.gz OXWWQLDZEQQSNR-HUBLWGQQSA-N 0 3 306.500 4.477 20 0 DIADHN CCCN(Cc1cnc(-c2ccco2)s1)C[C@H]1CCCCO1 ZINC000194381379 1052110145 /nfs/dbraw/zinc/11/01/45/1052110145.db2.gz MKNHYBJIEWICIF-CQSZACIVSA-N 0 3 320.458 4.184 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(-c2ccco2)s1 ZINC000194429149 1052112820 /nfs/dbraw/zinc/11/28/20/1052112820.db2.gz QTPOOUBBXKFMQO-LBPRGKRZSA-N 0 3 310.488 4.367 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCSCc1ccccc1F ZINC000769868107 1052114984 /nfs/dbraw/zinc/11/49/84/1052114984.db2.gz OBYSJWPNMJLSJW-LBPRGKRZSA-N 0 3 322.449 4.269 20 0 DIADHN CC(C)c1ccc(-c2nnc(C[C@@H](N)c3cccs3)o2)cc1 ZINC001217692710 1052117702 /nfs/dbraw/zinc/11/77/02/1052117702.db2.gz KZQJABBWTUHZFB-CQSZACIVSA-N 0 3 313.426 4.164 20 0 DIADHN FC(F)c1ccc(NC2=CCCN(Cc3ccccc3)C2)cn1 ZINC001174394813 1052118001 /nfs/dbraw/zinc/11/80/01/1052118001.db2.gz NFFCQWRWQMWIPU-UHFFFAOYSA-N 0 3 315.367 4.221 20 0 DIADHN Cc1cccc(C[C@@H](NCc2ncc(Cl)s2)C2CC2)c1 ZINC000769913997 1052120723 /nfs/dbraw/zinc/12/07/23/1052120723.db2.gz ICWDRNOCWZMUEM-CQSZACIVSA-N 0 3 306.862 4.216 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](O)c1ccc2ccccc2c1 ZINC000769873463 1052124568 /nfs/dbraw/zinc/12/45/68/1052124568.db2.gz IFZULCDVCHKYMU-YJYMSZOUSA-N 0 3 324.424 4.083 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](C)c2c(CC)noc2C)C1(C)C ZINC000769872469 1052124702 /nfs/dbraw/zinc/12/47/02/1052124702.db2.gz GJQHSNKYVOKGRK-DAXOMENPSA-N 0 3 308.466 4.180 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](CC)Oc1cccc(F)c1 ZINC000769873134 1052124925 /nfs/dbraw/zinc/12/49/25/1052124925.db2.gz OZZUHAHHAFXULS-IUODEOHRSA-N 0 3 320.408 4.193 20 0 DIADHN COC[C@@H](Nc1ccnc2cc(OC)ccc21)c1ccccc1 ZINC000769928244 1052131520 /nfs/dbraw/zinc/13/15/20/1052131520.db2.gz KKLWUUSKUMGUHZ-LJQANCHMSA-N 0 3 308.381 4.043 20 0 DIADHN FC(F)O[C@H]1CCN(Cc2ccc(-c3cccs3)cc2)C1 ZINC000670107954 1052132752 /nfs/dbraw/zinc/13/27/52/1052132752.db2.gz YTHGJUJYYJHQED-AWEZNQCLSA-N 0 3 309.381 4.229 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@H]1CCCc2nc(C)ncc21 ZINC000769972159 1052133640 /nfs/dbraw/zinc/13/36/40/1052133640.db2.gz ZNUWSDAMPMDNNC-PMACEKPBSA-N 0 3 321.468 4.446 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@H](O)c1ccccc1 ZINC000769990303 1052137462 /nfs/dbraw/zinc/13/74/62/1052137462.db2.gz LJUIACBVTLXCCT-IRXDYDNUSA-N 0 3 323.358 4.312 20 0 DIADHN CCCc1csc(CN[C@@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC000494837452 1052146422 /nfs/dbraw/zinc/14/64/22/1052146422.db2.gz LRLBTLONBSVWFY-WBVHZDCISA-N 0 3 316.470 4.106 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc4occc4c3)cc2)CC1 ZINC001174417520 1052147309 /nfs/dbraw/zinc/14/73/09/1052147309.db2.gz WBLRKOKLORLLHU-UHFFFAOYSA-N 0 3 321.424 4.318 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1nc2c(s1)C[C@H](C)CC2 ZINC000494845558 1052149820 /nfs/dbraw/zinc/14/98/20/1052149820.db2.gz NOWSCFAOYXWWEE-MNOVXSKESA-N 0 3 320.424 4.479 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1nc2c(s1)C[C@H](C)CC2 ZINC000494845556 1052150618 /nfs/dbraw/zinc/15/06/18/1052150618.db2.gz NOWSCFAOYXWWEE-GHMZBOCLSA-N 0 3 320.424 4.479 20 0 DIADHN Cc1cc(Nc2ccc(N3CCN(C)CC3)cc2C)c(C)s1 ZINC001174421222 1052151479 /nfs/dbraw/zinc/15/14/79/1052151479.db2.gz ANJMHQSINLYWOQ-UHFFFAOYSA-N 0 3 315.486 4.169 20 0 DIADHN OCCCCCCCN1CCCC[C@@H]1c1ccc(F)cc1F ZINC000770236572 1052157509 /nfs/dbraw/zinc/15/75/09/1052157509.db2.gz LJHJELLNTOATNG-GOSISDBHSA-N 0 3 311.416 4.435 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N[C@H](c1ncccc1F)C1CC1 ZINC001168472904 1052157762 /nfs/dbraw/zinc/15/77/62/1052157762.db2.gz ICYHUNLCMKZSHB-SJCJKPOMSA-N 0 3 302.368 4.032 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N[C@@H](c1ncccc1F)C1CC1 ZINC001168472905 1052159202 /nfs/dbraw/zinc/15/92/02/1052159202.db2.gz ICYHUNLCMKZSHB-SJKOYZFVSA-N 0 3 302.368 4.032 20 0 DIADHN COc1cc(Nc2cnc(C)n2C)ccc1OCc1ccccc1 ZINC001174443498 1052159230 /nfs/dbraw/zinc/15/92/30/1052159230.db2.gz ULJWWVOBMBXIKA-UHFFFAOYSA-N 0 3 323.396 4.060 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccc(Cl)cc1)c1ccccc1F ZINC000914573861 1052173518 /nfs/dbraw/zinc/17/35/18/1052173518.db2.gz ZNVOVSSBDCXCDM-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN Clc1csc(CN2CC[C@H](COCc3ccccc3)C2)c1 ZINC000494961042 1052183477 /nfs/dbraw/zinc/18/34/77/1052183477.db2.gz PUUWXRPVVPHAEJ-HNNXBMFYSA-N 0 3 321.873 4.440 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1c(Cl)cc(Cl)c2ccccc21 ZINC001218065550 1052192109 /nfs/dbraw/zinc/19/21/09/1052192109.db2.gz FXMOJHDWEGWJFU-KGLIPLIRSA-N 0 3 314.187 4.225 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1cccc2ccc(Cl)c(Cl)c21 ZINC001218064012 1052192326 /nfs/dbraw/zinc/19/23/26/1052192326.db2.gz FOGRJNIIGPCIPU-NWDGAFQWSA-N 0 3 314.187 4.225 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1ccc(Oc2ccc(Cl)cc2)cc1 ZINC001218065389 1052192365 /nfs/dbraw/zinc/19/23/65/1052192365.db2.gz FKKPKWKJEUNFHR-IRXDYDNUSA-N 0 3 321.779 4.211 20 0 DIADHN CC(C)(C)c1cc(O)c(O[C@H]2CCNC[C@@H]2F)c(C(C)(C)C)c1 ZINC001218065595 1052192580 /nfs/dbraw/zinc/19/25/80/1052192580.db2.gz GDYGJIPWZGIMEX-HOCLYGCPSA-N 0 3 323.452 4.066 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1c(Cl)cc(Cl)c2ccccc21 ZINC001218065554 1052192940 /nfs/dbraw/zinc/19/29/40/1052192940.db2.gz FXMOJHDWEGWJFU-ZIAGYGMSSA-N 0 3 314.187 4.225 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1ccc(-c2ccccc2)cc1Cl ZINC001218067029 1052193011 /nfs/dbraw/zinc/19/30/11/1052193011.db2.gz PUHBZJJUFMFJSQ-WBVHZDCISA-N 0 3 305.780 4.086 20 0 DIADHN CN(C/C=C\c1ccncc1)Cc1ccccc1C(F)(F)F ZINC000495068839 1052209744 /nfs/dbraw/zinc/20/97/44/1052209744.db2.gz QZIDFOVBRDTGCE-PLNGDYQASA-N 0 3 306.331 4.246 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2nc3ccccc3n2C)c2ccccc2)[C@@H]1C ZINC000495091680 1052214316 /nfs/dbraw/zinc/21/43/16/1052214316.db2.gz KLHXTAXOTHQIFK-DZJHOTPTSA-N 0 3 319.452 4.297 20 0 DIADHN O[C@H](CN1CCC[C@@H]1/C=C\c1ccccc1)c1ccccc1F ZINC000780399859 1052215650 /nfs/dbraw/zinc/21/56/50/1052215650.db2.gz CXHCWCPQPABTPE-CGNRZSRCSA-N 0 3 311.400 4.037 20 0 DIADHN Fc1ccccc1CN1CCC[C@H](Oc2cc3ccccc3[nH]2)C1 ZINC001218390510 1052233698 /nfs/dbraw/zinc/23/36/98/1052233698.db2.gz KYDZOERMNDJRLS-KRWDZBQOSA-N 0 3 324.399 4.350 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@H]1CCC(C)(C)O1 ZINC000495147134 1052234971 /nfs/dbraw/zinc/23/49/71/1052234971.db2.gz NZKSBAVZJQLQFN-GFCCVEGCSA-N 0 3 318.244 4.049 20 0 DIADHN CC(C)Cn1cc(CN[C@@H]2CCc3ccc(C(C)C)cc32)cn1 ZINC000495151645 1052237665 /nfs/dbraw/zinc/23/76/65/1052237665.db2.gz QPVVEFFWVTUILO-HXUWFJFHSA-N 0 3 311.473 4.440 20 0 DIADHN FC1(F)CCNC[C@@H]1Oc1c(Cl)ccc(Cl)c1Cl ZINC001218453118 1052241048 /nfs/dbraw/zinc/24/10/48/1052241048.db2.gz RLTATFKBXBUIGB-QMMMGPOBSA-N 0 3 316.562 4.023 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CC[C@H]2Oc1cccc2c1CNC2 ZINC001218458545 1052242013 /nfs/dbraw/zinc/24/20/13/1052242013.db2.gz ICKPMLQSHLRKFT-QGZVFWFLSA-N 0 3 319.326 4.375 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2)o1 ZINC000495165740 1052242241 /nfs/dbraw/zinc/24/22/41/1052242241.db2.gz LACYLOFXEASJAY-CHWSQXEVSA-N 0 3 322.811 4.310 20 0 DIADHN Clc1cc2cccnc2c(O[C@H]2CNCc3ccccc32)c1 ZINC001218484171 1052246544 /nfs/dbraw/zinc/24/65/44/1052246544.db2.gz JBJHEERQXNWLSI-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc2cc(O[C@@H]3CNCc4ccccc43)ccc2c1 ZINC001218487692 1052247366 /nfs/dbraw/zinc/24/73/66/1052247366.db2.gz OXQFTVIAHPRQPX-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN Oc1ccc(-c2ccccc2)c(O[C@@H]2CNCc3ccccc32)c1 ZINC001218486329 1052247917 /nfs/dbraw/zinc/24/79/17/1052247917.db2.gz DJCUOWOTGIHUJU-OAQYLSRUSA-N 0 3 317.388 4.283 20 0 DIADHN Clc1nccc2ccc(O[C@H]3CNCc4ccccc43)cc21 ZINC001218489547 1052248350 /nfs/dbraw/zinc/24/83/50/1052248350.db2.gz PQWDLZAZEFXKPT-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN O=Nc1ccc2ccccc2c1O[C@H]1CNCc2ccccc21 ZINC001218487202 1052248421 /nfs/dbraw/zinc/24/84/21/1052248421.db2.gz NFBDQZNGYLFCAQ-SFHVURJKSA-N 0 3 304.349 4.461 20 0 DIADHN Clc1cccc2ncc(O[C@@H]3CNCc4ccccc43)cc12 ZINC001218492359 1052249116 /nfs/dbraw/zinc/24/91/16/1052249116.db2.gz YENZYTCSYZJYBT-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN C=Cc1ccc(NC(=O)[C@@H]2CCC[C@H](c3ccccc3)N2)cc1 ZINC001218842144 1052259087 /nfs/dbraw/zinc/25/90/87/1052259087.db2.gz VLZHIWKRQFZQEE-MOPGFXCFSA-N 0 3 306.409 4.152 20 0 DIADHN CCCCCCOc1ccc(NC(=O)[C@@H](N)CC2CCC2)cc1 ZINC001218853281 1052260164 /nfs/dbraw/zinc/26/01/64/1052260164.db2.gz SXHDNLNRRQPOJX-SFHVURJKSA-N 0 3 318.461 4.102 20 0 DIADHN CC(C)(C)c1cccc(NC(=O)[C@@H](N)CCCc2ccccc2)c1 ZINC001218900151 1052261446 /nfs/dbraw/zinc/26/14/46/1052261446.db2.gz CBTNEMZHYVHCMV-IBGZPJMESA-N 0 3 324.468 4.273 20 0 DIADHN N[C@H](C(=O)Nc1ccccc1Cc1ccccc1)C1CCCCC1 ZINC001218946836 1052264070 /nfs/dbraw/zinc/26/40/70/1052264070.db2.gz BYVSPXCYDGDDRH-FQEVSTJZSA-N 0 3 322.452 4.124 20 0 DIADHN CC(C)c1ccc(Cl)c(C(=O)Nc2cccc3c2CNC3)c1 ZINC001218993346 1052266531 /nfs/dbraw/zinc/26/65/31/1052266531.db2.gz JSOKONBJJSHPEC-UHFFFAOYSA-N 0 3 314.816 4.319 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H]2CCC[C@@H](c3ccccc3)N2)cc1C ZINC001219007079 1052266731 /nfs/dbraw/zinc/26/67/31/1052266731.db2.gz GELJOYCOLTWOKZ-OALUTQOASA-N 0 3 322.452 4.434 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C/c2ccccc2Cl)c1 ZINC000914843934 1052266840 /nfs/dbraw/zinc/26/68/40/1052266840.db2.gz GIIZGEIJIUFDPC-ZHACJKMWSA-N 0 3 314.816 4.054 20 0 DIADHN C[C@@]1(O)CCCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000230375932 1052267788 /nfs/dbraw/zinc/26/77/88/1052267788.db2.gz NKWZDGXZMFUYBX-MRXNPFEDSA-N 0 3 309.862 4.292 20 0 DIADHN c1cc(-c2nc3ccccc3s2)oc1CN[C@@H]1CCSC1 ZINC000230704042 1052272239 /nfs/dbraw/zinc/27/22/39/1052272239.db2.gz RWDCOBQMLHIHOP-LLVKDONJSA-N 0 3 316.451 4.151 20 0 DIADHN COc1cc(F)cc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000312286598 1052287963 /nfs/dbraw/zinc/28/79/63/1052287963.db2.gz RIQWFNPAJGWLHT-GOSISDBHSA-N 0 3 305.368 4.460 20 0 DIADHN Cc1ccc(CN[C@H](C)CC(F)(F)F)cc1Br ZINC000231751242 1052288986 /nfs/dbraw/zinc/28/89/86/1052288986.db2.gz SNQVUPQDYMJHGA-SECBINFHSA-N 0 3 310.157 4.188 20 0 DIADHN C[C@H](NCCCN(C)c1ccccc1)c1ccc(F)cc1F ZINC000314266791 1052314118 /nfs/dbraw/zinc/31/41/18/1052314118.db2.gz ZMHVHXAJXSFDIQ-AWEZNQCLSA-N 0 3 304.384 4.142 20 0 DIADHN CC(C)(C)CC(C)(C)NC(=O)[C@@H]1CCC[C@@H](c2ccccc2)N1 ZINC001220288920 1052327311 /nfs/dbraw/zinc/32/73/11/1052327311.db2.gz NDBYIKZHSBJAAG-IRXDYDNUSA-N 0 3 316.489 4.201 20 0 DIADHN CN(Cc1cc(-c2cccs2)on1)[C@H]1CCc2ccccc2C1 ZINC000303578645 1052333257 /nfs/dbraw/zinc/33/32/57/1052333257.db2.gz OGZYKAGFXVQSSY-KRWDZBQOSA-N 0 3 324.449 4.392 20 0 DIADHN Clc1ccc2nc(CN3CCC[C@]4(CC=CCC4)C3)cn2c1 ZINC000303618981 1052334845 /nfs/dbraw/zinc/33/48/45/1052334845.db2.gz WTUQSCGJXLGSAL-GOSISDBHSA-N 0 3 315.848 4.310 20 0 DIADHN C[C@H]1CN(Cc2ccc(F)c3cccnc23)Cc2ccccc21 ZINC000915215629 1052367329 /nfs/dbraw/zinc/36/73/29/1052367329.db2.gz BLCJJWXRJGHPFZ-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN C[C@@H]1CN(Cc2ccc(F)c3cccnc23)Cc2ccccc21 ZINC000915215630 1052367521 /nfs/dbraw/zinc/36/75/21/1052367521.db2.gz BLCJJWXRJGHPFZ-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN Clc1scc(CNCCc2ccc(Cl)nc2)c1Cl ZINC000762449036 1052384103 /nfs/dbraw/zinc/38/41/03/1052384103.db2.gz SNQOQOCFGOXPSH-UHFFFAOYSA-N 0 3 321.660 4.436 20 0 DIADHN Cc1ncc(CCNCc2ccc(F)c(Cl)c2Cl)s1 ZINC000762455203 1052386537 /nfs/dbraw/zinc/38/65/37/1052386537.db2.gz PXRWHUWIOZSUGF-UHFFFAOYSA-N 0 3 319.232 4.230 20 0 DIADHN C=CCc1cc(CN[C@@H]2CCc3ccccc32)cc(OC)c1OC ZINC000089520482 1052393050 /nfs/dbraw/zinc/39/30/50/1052393050.db2.gz HIWZAOXAIZNDFR-LJQANCHMSA-N 0 3 323.436 4.209 20 0 DIADHN C=CCc1cc(CN[C@H]2CCc3ccccc32)cc(OC)c1OC ZINC000089520483 1052393248 /nfs/dbraw/zinc/39/32/48/1052393248.db2.gz HIWZAOXAIZNDFR-IBGZPJMESA-N 0 3 323.436 4.209 20 0 DIADHN COc1cccc(C(F)(F)F)c1CNc1c[nH]cc2ccnc1-2 ZINC001168491451 1052397268 /nfs/dbraw/zinc/39/72/68/1052397268.db2.gz XSFVRAMJOGMBMI-UHFFFAOYSA-N 0 3 321.302 4.202 20 0 DIADHN COc1cccc(C(F)(F)F)c1CNc1cncc2cc[nH]c21 ZINC001168491451 1052397273 /nfs/dbraw/zinc/39/72/73/1052397273.db2.gz XSFVRAMJOGMBMI-UHFFFAOYSA-N 0 3 321.302 4.202 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H]2CCCc3sccc32)c1 ZINC000495692002 1052401171 /nfs/dbraw/zinc/40/11/71/1052401171.db2.gz UMRHFQBQPYMSJR-HNNXBMFYSA-N 0 3 316.426 4.094 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000308691690 1052403810 /nfs/dbraw/zinc/40/38/10/1052403810.db2.gz GZTCKOFGOYSREL-PIGZYNQJSA-N 0 3 319.836 4.047 20 0 DIADHN C[C@H](NCCc1ccc2ccccc2n1)c1ccc(F)cc1F ZINC000495702510 1052404723 /nfs/dbraw/zinc/40/47/23/1052404723.db2.gz NTZNHDALGJBHJL-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(F)=C1CCCC1)c1ccsc1 ZINC000762596434 1052406233 /nfs/dbraw/zinc/40/62/33/1052406233.db2.gz ILSTUZOIPIATAE-OAHLLOKOSA-N 0 3 324.465 4.045 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N1CC[C@H]1COCc1ccccc1 ZINC001168492359 1052408753 /nfs/dbraw/zinc/40/87/53/1052408753.db2.gz QYNPBPGYTGJMOX-JXFKEZNVSA-N 0 3 313.416 4.048 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc3ccccc3c2)c1 ZINC000495745781 1052411425 /nfs/dbraw/zinc/41/14/25/1052411425.db2.gz BRTVRQAZUQCCHL-UHFFFAOYSA-N 0 3 320.392 4.308 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)C[C@H](C)C(=O)OC(C)(C)C ZINC000319841340 1052434045 /nfs/dbraw/zinc/43/40/45/1052434045.db2.gz OSIZEMBVMWYHFG-QWHCGFSZSA-N 0 3 311.853 4.311 20 0 DIADHN CC[C@@H](CO)NCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000237005936 1052434643 /nfs/dbraw/zinc/43/46/43/1052434643.db2.gz AZVQNBVUDSFVAL-JTQLQIEISA-N 0 3 314.212 4.114 20 0 DIADHN CC[C@@H](NCc1ccnc2ccccc12)c1ccccc1OC ZINC000393374211 1052434656 /nfs/dbraw/zinc/43/46/56/1052434656.db2.gz RYRKOPHGSRQFFO-GOSISDBHSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)C(=O)C[C@H](N)c1ccccc1 ZINC000237597678 1052443199 /nfs/dbraw/zinc/44/31/99/1052443199.db2.gz UJRCFQHOKRMXQV-YOEHRIQHSA-N 0 3 322.408 4.042 20 0 DIADHN Cc1ccc([C@@H](CNCc2cc3ccccc3o2)N2CCCC2)o1 ZINC000237973846 1052446989 /nfs/dbraw/zinc/44/69/89/1052446989.db2.gz UJDVRNFMKFUWRM-GOSISDBHSA-N 0 3 324.424 4.261 20 0 DIADHN CCc1cnc(CNCC2(c3ccc(F)cc3)CCC2)s1 ZINC001649015702 1052449841 /nfs/dbraw/zinc/44/98/41/1052449841.db2.gz WNHGEVGCBFSPJF-UHFFFAOYSA-N 0 3 304.434 4.056 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc3ccccc3s2)C12CCCC2 ZINC000315919821 1052454874 /nfs/dbraw/zinc/45/48/74/1052454874.db2.gz QYZYMTWINBJARR-HZPDHXFCSA-N 0 3 316.470 4.124 20 0 DIADHN CCc1ccccc1CNCc1ccc(OC(F)(F)F)cc1 ZINC000316281322 1052459562 /nfs/dbraw/zinc/45/95/62/1052459562.db2.gz TYVMSXAODPWUAA-UHFFFAOYSA-N 0 3 309.331 4.437 20 0 DIADHN CC(C)Oc1c(Cl)cc(-c2ccnc(CN)c2)cc1Cl ZINC001222258392 1052470149 /nfs/dbraw/zinc/47/01/49/1052470149.db2.gz CIJCUOKOVWKECT-UHFFFAOYSA-N 0 3 311.212 4.301 20 0 DIADHN CO[C@H](C)CN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626112856 1052478044 /nfs/dbraw/zinc/47/80/44/1052478044.db2.gz SPXSHXGKRQIZDF-CQSZACIVSA-N 0 3 314.454 4.267 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cccc(F)c1)c1cc(Cl)ccc1F ZINC001168499340 1052484201 /nfs/dbraw/zinc/48/42/01/1052484201.db2.gz WCHZBQYTTIQZHO-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001235641069 1052490022 /nfs/dbraw/zinc/49/00/22/1052490022.db2.gz ABCSNOSSXWKAGB-CJNGLKHVSA-N 0 3 323.278 4.307 20 0 DIADHN CC[C@@H](O)CN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626112914 1052490038 /nfs/dbraw/zinc/49/00/38/1052490038.db2.gz VOSJOQNEAKPOCJ-MRXNPFEDSA-N 0 3 314.454 4.003 20 0 DIADHN C[C@H](NCC(=O)N1CCCCC1)c1ccc(-c2ccccc2)cc1 ZINC000325948043 1052504269 /nfs/dbraw/zinc/50/42/69/1052504269.db2.gz COEDEXHOFFFIIA-KRWDZBQOSA-N 0 3 322.452 4.017 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@@H]1CCc2c1cc(F)cc2F ZINC000154628405 1052509844 /nfs/dbraw/zinc/50/98/44/1052509844.db2.gz IDMAQJGFZBVILT-QZTJIDSGSA-N 0 3 303.327 4.368 20 0 DIADHN C[C@H]1CCCCN1Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC000054152612 1052514221 /nfs/dbraw/zinc/51/42/21/1052514221.db2.gz DOJIHMOJJYXWHJ-INIZCTEOSA-N 0 3 308.425 4.313 20 0 DIADHN Cc1ccccc1C(C)(C)C[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001649025033 1052529708 /nfs/dbraw/zinc/52/97/08/1052529708.db2.gz GOKCFUHBOJRWFA-UHFFFAOYSA-N 0 3 303.833 4.421 20 0 DIADHN Cc1cccc(C)c1CO[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001222828915 1052538162 /nfs/dbraw/zinc/53/81/62/1052538162.db2.gz SXDSPBHKTBZBDU-QZTJIDSGSA-N 0 3 310.441 4.051 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H](C)C(C)(C)C)c(C)c1 ZINC000670262353 1052538327 /nfs/dbraw/zinc/53/83/27/1052538327.db2.gz HTEJMPZBYJZNHH-INIZCTEOSA-N 0 3 304.478 4.164 20 0 DIADHN CCN(Cc1ccoc1)Cc1ccc(C)cc1-c1ccnn1C ZINC000670263516 1052538878 /nfs/dbraw/zinc/53/88/78/1052538878.db2.gz UWVPMLJWZSURFJ-UHFFFAOYSA-N 0 3 309.413 4.011 20 0 DIADHN CCN(Cc1ccoc1)Cc1ccc(C)cc1-c1cnn(C)c1 ZINC000670263456 1052539263 /nfs/dbraw/zinc/53/92/63/1052539263.db2.gz OBPSNISMPSBECO-UHFFFAOYSA-N 0 3 309.413 4.011 20 0 DIADHN COc1ccccc1[C@H](NC[C@@H](C)C(C)(C)C)c1ccccn1 ZINC000670268159 1052543699 /nfs/dbraw/zinc/54/36/99/1052543699.db2.gz LXXMBAFDSZIFLV-BEFAXECRSA-N 0 3 312.457 4.451 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)C(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000670268627 1052544071 /nfs/dbraw/zinc/54/40/71/1052544071.db2.gz VRIUSJUFGMSIFU-DZGCQCFKSA-N 0 3 316.449 4.101 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000107070675 1052544156 /nfs/dbraw/zinc/54/41/56/1052544156.db2.gz SZDKMGJACPHSLT-JKSUJKDBSA-N 0 3 310.441 4.488 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C)c1 ZINC000107072402 1052544247 /nfs/dbraw/zinc/54/42/47/1052544247.db2.gz BZNUQAPMQMEQGJ-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CSc1ccccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000107070250 1052544362 /nfs/dbraw/zinc/54/43/62/1052544362.db2.gz WTAUCETXERQSLE-UONOGXRCSA-N 0 3 314.454 4.086 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)c1 ZINC000107070378 1052544466 /nfs/dbraw/zinc/54/44/66/1052544466.db2.gz UXCDRXVMNVNPQG-UONOGXRCSA-N 0 3 314.454 4.086 20 0 DIADHN Cc1cccc(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1C ZINC001223033130 1052559047 /nfs/dbraw/zinc/55/90/47/1052559047.db2.gz HHDDSOJODHBUCO-PKOBYXMFSA-N 0 3 310.441 4.051 20 0 DIADHN C[C@H](O[C@@H]1CCCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223446307 1052602650 /nfs/dbraw/zinc/60/26/50/1052602650.db2.gz IYYMSHLHUKZQBJ-JENIJYKNSA-N 0 3 310.441 4.385 20 0 DIADHN c1c2ccccc2oc1CO[C@H]1CCN(Cc2ccccc2)C1 ZINC001223648190 1052623947 /nfs/dbraw/zinc/62/39/47/1052623947.db2.gz NHODURNZLZWCLJ-SFHVURJKSA-N 0 3 307.393 4.224 20 0 DIADHN C[C@H](NCCc1cccc(-c2ccccc2)c1)c1cnccn1 ZINC000123594628 1052633406 /nfs/dbraw/zinc/63/34/06/1052633406.db2.gz YJQYWLCDRIBFMP-INIZCTEOSA-N 0 3 303.409 4.037 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@@H](C)c1cccc(C)c1 ZINC000125255917 1052646882 /nfs/dbraw/zinc/64/68/82/1052646882.db2.gz LOIZOKQEUWSIQV-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN Fc1ccc(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)cc1Cl ZINC001224118229 1052670100 /nfs/dbraw/zinc/67/01/00/1052670100.db2.gz GNSCPTJAVXRPEF-ZFWWWQNUSA-N 0 3 316.807 4.120 20 0 DIADHN CC[C@H](N[C@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000126520915 1052671512 /nfs/dbraw/zinc/67/15/12/1052671512.db2.gz KAKUQPGOHQFMHU-BDAKNGLRSA-N 0 3 305.285 4.381 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccccc1Cl)c1ccc(F)cc1 ZINC000917012729 1052680572 /nfs/dbraw/zinc/68/05/72/1052680572.db2.gz LEKLAAKIOHNJPH-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2N2CCCCC2)cc1 ZINC000917021419 1052681094 /nfs/dbraw/zinc/68/10/94/1052681094.db2.gz LJLANKDLTUVYEU-UHFFFAOYSA-N 0 3 324.468 4.318 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C)(C)C1CCCC1 ZINC000126887880 1052683274 /nfs/dbraw/zinc/68/32/74/1052683274.db2.gz NGMGLXDCKPUJHF-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN Clc1cc(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)cs1 ZINC001224263688 1052684181 /nfs/dbraw/zinc/68/41/81/1052684181.db2.gz XMGXAYQCGHFLOP-JSGCOSHPSA-N 0 3 304.846 4.043 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCO[C@H]1CCCC[C@@H]1C ZINC000127115813 1052687464 /nfs/dbraw/zinc/68/74/64/1052687464.db2.gz LKPFFCMICPQTOZ-MPGHIAIKSA-N 0 3 321.509 4.192 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1ccns1 ZINC000925434010 1052702720 /nfs/dbraw/zinc/70/27/20/1052702720.db2.gz DMVSRBBJRBSJBN-PGUXBMHVSA-N 0 3 310.850 4.223 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](OC/C=C/c2ccccc2)C1 ZINC001224680271 1052715972 /nfs/dbraw/zinc/71/59/72/1052715972.db2.gz XICCFKITRCUPMN-QYLKWSRPSA-N 0 3 322.452 4.337 20 0 DIADHN C[C@H](NC1CC2(C1)COC(C)(C)C2)c1nc2c(s1)CCCC2 ZINC000925437346 1052721146 /nfs/dbraw/zinc/72/11/46/1052721146.db2.gz BOAKFQFVOGMCQQ-ZVLZOBCMSA-N 0 3 320.502 4.020 20 0 DIADHN COc1ccc(CNCc2ccccc2-c2ccccc2)cn1 ZINC000917393421 1052723489 /nfs/dbraw/zinc/72/34/89/1052723489.db2.gz FKDHIMBUQWFCRR-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN Cc1cccc(CCO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001224872441 1052732496 /nfs/dbraw/zinc/73/24/96/1052732496.db2.gz IQGSWYWOOLTXJT-ICSRJNTNSA-N 0 3 324.468 4.175 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)CC(=O)Nc1c(C)cccc1C ZINC000128671367 1052741967 /nfs/dbraw/zinc/74/19/67/1052741967.db2.gz BTUBSTHUZJUHFI-IBGZPJMESA-N 0 3 324.468 4.195 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@@H](O)c2c(F)cccc2F)c1 ZINC000917641086 1052747124 /nfs/dbraw/zinc/74/71/24/1052747124.db2.gz WBZYSQSVKDRJQK-ZWKOTPCHSA-N 0 3 317.379 4.144 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(OC)c(Cl)c2)ccc1F ZINC000154486795 1052751480 /nfs/dbraw/zinc/75/14/80/1052751480.db2.gz FTVXJTXSPZMMMU-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccsc1)c1ccc(Cl)cc1Cl ZINC000154505230 1052753892 /nfs/dbraw/zinc/75/38/92/1052753892.db2.gz MFHHDRDGSOHEQP-LKFCYVNXSA-N 0 3 316.253 4.439 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001225223368 1052758273 /nfs/dbraw/zinc/75/82/73/1052758273.db2.gz TWVJYMPVHBBORF-QZTJIDSGSA-N 0 3 310.441 4.303 20 0 DIADHN Fc1cc(F)cc(O[C@H]2CCCN(Cc3ccccc3)C2)c1 ZINC001225225713 1052758531 /nfs/dbraw/zinc/75/85/31/1052758531.db2.gz BJVCTOGYPMDDNF-KRWDZBQOSA-N 0 3 303.352 4.008 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(=O)oc3cc(C)c(C)cc23)c1 ZINC000917842480 1052760316 /nfs/dbraw/zinc/76/03/16/1052760316.db2.gz VYXALVULMKHYKN-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cccc3ccccc32)C1 ZINC001225270441 1052766688 /nfs/dbraw/zinc/76/66/88/1052766688.db2.gz XMQPUUMCKRJZIB-FUHWJXTLSA-N 0 3 318.420 4.449 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2ccc3[nH]ccc3c2)C1 ZINC001225272595 1052767065 /nfs/dbraw/zinc/76/70/65/1052767065.db2.gz BURQKZWAXSRVMR-YJBOKZPZSA-N 0 3 321.424 4.167 20 0 DIADHN CC[C@H](CNCc1ccc(COC)o1)Oc1ccccc1Cl ZINC000129074159 1052774467 /nfs/dbraw/zinc/77/44/67/1052774467.db2.gz IKBXVSVTMDVBHO-CYBMUJFWSA-N 0 3 323.820 4.027 20 0 DIADHN Cc1cc(F)ccc1O[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001225345515 1052777470 /nfs/dbraw/zinc/77/74/70/1052777470.db2.gz DFJCMNNWNNYXHW-DOTOQJQBSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)c3ccccc3)o2)cc1 ZINC000918198829 1052787949 /nfs/dbraw/zinc/78/79/49/1052787949.db2.gz PTRFUJFCRICTBO-IBGZPJMESA-N 0 3 307.393 4.078 20 0 DIADHN CCc1ccc([C@H](C)NCc2cnn(-c3ccccc3)c2)s1 ZINC000129517927 1052793596 /nfs/dbraw/zinc/79/35/96/1052793596.db2.gz LAQXIBKFHAQMCR-AWEZNQCLSA-N 0 3 311.454 4.347 20 0 DIADHN CC(C)c1ncccc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001225521785 1052798687 /nfs/dbraw/zinc/79/86/87/1052798687.db2.gz KJWRDAFYXLGMCC-GOSISDBHSA-N 0 3 310.441 4.248 20 0 DIADHN CC(=O)c1ccccc1O[C@@H]1CCN(Cc2ccccc2)C[C@@H]1C ZINC001225573735 1052804182 /nfs/dbraw/zinc/80/41/82/1052804182.db2.gz IVTFVDFJMJYQBQ-OXJNMPFZSA-N 0 3 323.436 4.179 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(OCC3CC3)nc2)C2CC2)cc1 ZINC000556145154 1052808704 /nfs/dbraw/zinc/80/87/04/1052808704.db2.gz FKUQXWGXMZITRO-OAQYLSRUSA-N 0 3 322.452 4.420 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3cc[nH]c3c2)C1 ZINC001225654245 1052813390 /nfs/dbraw/zinc/81/33/90/1052813390.db2.gz UIOOYAHWUUVFON-CRAIPNDOSA-N 0 3 321.424 4.167 20 0 DIADHN COCc1ccc(CNCC2(c3ccc(F)cc3Cl)CC2)o1 ZINC000498360232 1052822840 /nfs/dbraw/zinc/82/28/40/1052822840.db2.gz YLQSGACJHWHJOA-UHFFFAOYSA-N 0 3 323.795 4.040 20 0 DIADHN CCN(CCCc1ccccc1)CC(=O)Nc1c(C)cccc1C ZINC000130093459 1052823428 /nfs/dbraw/zinc/82/34/28/1052823428.db2.gz FEKZTOLAAYVSGV-UHFFFAOYSA-N 0 3 324.468 4.197 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000556221677 1052823810 /nfs/dbraw/zinc/82/38/10/1052823810.db2.gz FBFOURHYBQADDD-OPQQBVKSSA-N 0 3 306.456 4.125 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)COc1cccc(F)c1 ZINC000918749291 1052829556 /nfs/dbraw/zinc/82/95/56/1052829556.db2.gz RLRCMSIHLRGLIA-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1cc(C)cc(OCCN[C@@H](c2ccc(F)cn2)C(C)C)c1 ZINC000498411712 1052831965 /nfs/dbraw/zinc/83/19/65/1052831965.db2.gz FSDQENUEBNVNPH-LJQANCHMSA-N 0 3 316.420 4.203 20 0 DIADHN Cc1ccc(C)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1C ZINC001225803715 1052832989 /nfs/dbraw/zinc/83/29/89/1052832989.db2.gz KBZYDJQHIOINQT-QZTJIDSGSA-N 0 3 310.441 4.221 20 0 DIADHN COc1ccc2c(c1)CN(CCSC)[C@@H](c1ccccc1)C2 ZINC000556321226 1052836447 /nfs/dbraw/zinc/83/64/47/1052836447.db2.gz GXGGJMDDJDSWNG-LJQANCHMSA-N 0 3 313.466 4.158 20 0 DIADHN Cc1ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc1Cl ZINC001225863863 1052839943 /nfs/dbraw/zinc/83/99/43/1052839943.db2.gz VGIKSHBXZJJRGE-GDBMZVCRSA-N 0 3 316.832 4.258 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2cccs2)c(F)c1 ZINC001225873393 1052840644 /nfs/dbraw/zinc/84/06/44/1052840644.db2.gz PXYNXVGQUCFIOK-INIZCTEOSA-N 0 3 321.417 4.162 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCc3c2cccc3F)ccc1F ZINC000498479993 1052840759 /nfs/dbraw/zinc/84/07/59/1052840759.db2.gz DUWVCRLFYVHNLM-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN C[C@H](NCCc1nc2c(s1)CCCC2)c1cc(F)ccc1F ZINC000498497556 1052843462 /nfs/dbraw/zinc/84/34/62/1052843462.db2.gz YVVPOXHQDGOIJJ-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN Fc1ccc(F)c(O[C@@H]2CCCN(Cc3ccccc3)C2)c1 ZINC001225901756 1052845101 /nfs/dbraw/zinc/84/51/01/1052845101.db2.gz SCPMLJRFVPQVIL-MRXNPFEDSA-N 0 3 303.352 4.008 20 0 DIADHN Fc1cc(O[C@H]2CCCN(Cc3ccccc3)C2)cc(F)c1F ZINC001225914869 1052846980 /nfs/dbraw/zinc/84/69/80/1052846980.db2.gz PCJBBXSTAWCYAB-AWEZNQCLSA-N 0 3 321.342 4.147 20 0 DIADHN CC1(C)SC[C@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556410085 1052849042 /nfs/dbraw/zinc/84/90/42/1052849042.db2.gz PHJGDRUGZHABHB-CYBMUJFWSA-N 0 3 324.902 4.447 20 0 DIADHN CCN(CCn1cc(C)cn1)Cc1ccc(Cl)c(Cl)c1 ZINC000556495398 1052855895 /nfs/dbraw/zinc/85/58/95/1052855895.db2.gz PHYLMTCEKXERHF-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN C[C@H]1CN(Cc2cnc(C(C)(C)C)nc2)CC[C@H]1c1ccccc1 ZINC000556601339 1052870097 /nfs/dbraw/zinc/87/00/97/1052870097.db2.gz JXZWOGHSQJVTBR-QFBILLFUSA-N 0 3 323.484 4.400 20 0 DIADHN CCc1ccc(CN2CCC(F)(c3cccnc3)CC2)s1 ZINC000556615621 1052871036 /nfs/dbraw/zinc/87/10/36/1052871036.db2.gz KOJOEUFUYQNFEL-UHFFFAOYSA-N 0 3 304.434 4.166 20 0 DIADHN CSc1cccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001226237153 1052880226 /nfs/dbraw/zinc/88/02/26/1052880226.db2.gz FMNRCKNPVYYCQN-GOEBONIOSA-N 0 3 314.454 4.018 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H](c3ccccc3)C2)cn1 ZINC000556883637 1052891774 /nfs/dbraw/zinc/89/17/74/1052891774.db2.gz GKEUXOMPLNPYHI-GOSISDBHSA-N 0 3 309.457 4.154 20 0 DIADHN C[C@H](CCc1ccc(F)cc1F)NCc1nc(C2CC2)cs1 ZINC000556886749 1052892640 /nfs/dbraw/zinc/89/26/40/1052892640.db2.gz OKFLUAILHJGPHI-LLVKDONJSA-N 0 3 322.424 4.410 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1cc(C)ccn1 ZINC000556886482 1052893052 /nfs/dbraw/zinc/89/30/52/1052893052.db2.gz VILRJMWVQSWKMS-DEYYWGMASA-N 0 3 318.848 4.470 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CCCC1)c1ncc[nH]1 ZINC000556881225 1052893858 /nfs/dbraw/zinc/89/38/58/1052893858.db2.gz IXHAKOLWMGSEMU-AWEZNQCLSA-N 0 3 301.459 4.165 20 0 DIADHN c1ccc(CN2CCC[C@@H](Oc3nsc4ccccc43)C2)cc1 ZINC001226432931 1052895354 /nfs/dbraw/zinc/89/53/54/1052895354.db2.gz LFKBOTGFMKECLS-MRXNPFEDSA-N 0 3 324.449 4.340 20 0 DIADHN CN1CCc2ccc(Nc3ccnc(Cl)c3Cl)cc2C1 ZINC001212245273 1052901771 /nfs/dbraw/zinc/90/17/71/1052901771.db2.gz JTSCTBMDNZHUFV-UHFFFAOYSA-N 0 3 308.212 4.120 20 0 DIADHN C[C@@H]([C@H](C)NC(=O)Nc1ccc2c(c1)CNC2)C1CCCCC1 ZINC000557051635 1052917895 /nfs/dbraw/zinc/91/78/95/1052917895.db2.gz KOYBPABYZHZJAC-KBPBESRZSA-N 0 3 315.461 4.016 20 0 DIADHN C[C@@H](Oc1ccnc(N)c1)c1cc2cccc(F)c2nc1Cl ZINC001226655449 1052919041 /nfs/dbraw/zinc/91/90/41/1052919041.db2.gz GWWRKBQLZAXJQX-SECBINFHSA-N 0 3 317.751 4.145 20 0 DIADHN C[C@@H](c1ccncc1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930117999 1052919595 /nfs/dbraw/zinc/91/95/95/1052919595.db2.gz AHHXRSHXAJDTIM-IAOVAPTHSA-N 0 3 302.368 4.123 20 0 DIADHN C[NH+](C)CC[C@H](Oc1cccc([O-])c1Cl)c1ccccc1 ZINC001226708489 1052923739 /nfs/dbraw/zinc/92/37/39/1052923739.db2.gz GGZSJZINJFSTQA-HNNXBMFYSA-N 0 3 305.805 4.117 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccccc2N2CCCCC2)cc1 ZINC000920182239 1052925150 /nfs/dbraw/zinc/92/51/50/1052925150.db2.gz KQFWHPCXNBDCSW-KRWDZBQOSA-N 0 3 324.468 4.020 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNC[C@@H]1C[C@@H]1C ZINC000920179418 1052925220 /nfs/dbraw/zinc/92/52/20/1052925220.db2.gz GHSARMIGJTWQQD-JQWIXIFHSA-N 0 3 324.255 4.233 20 0 DIADHN Cc1cc(Cl)ccc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001226881735 1052942074 /nfs/dbraw/zinc/94/20/74/1052942074.db2.gz ZCUHJCVJBQYJQM-GDBMZVCRSA-N 0 3 316.832 4.258 20 0 DIADHN O=C(c1ccco1)C(Oc1nc2ccccc2o1)c1ccco1 ZINC001226906242 1052943794 /nfs/dbraw/zinc/94/37/94/1052943794.db2.gz PVQZQVCYZMSPHQ-INIZCTEOSA-N 0 3 309.277 4.017 20 0 DIADHN COc1cc(Cl)ccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001227365829 1052985434 /nfs/dbraw/zinc/98/54/34/1052985434.db2.gz HQCSNRHNIGLWRS-MRXNPFEDSA-N 0 3 317.816 4.002 20 0 DIADHN CC(C)CCN(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000609798407 1052992706 /nfs/dbraw/zinc/99/27/06/1052992706.db2.gz ALZBOTVZJKDTNV-LJQANCHMSA-N 0 3 322.301 4.079 20 0 DIADHN CCOC(=O)CCCCCN(C)[C@@H](C)c1cc(F)ccc1F ZINC000609798184 1052992869 /nfs/dbraw/zinc/99/28/69/1052992869.db2.gz GPUIXBKNTKARAT-ZDUSSCGKSA-N 0 3 313.388 4.081 20 0 DIADHN COC(=O)c1cccc(CN2CCCCC[C@@H]2c2ccco2)c1 ZINC000609916203 1052999286 /nfs/dbraw/zinc/99/92/86/1052999286.db2.gz HNLNIEKAJNMUCX-QGZVFWFLSA-N 0 3 313.397 4.184 20 0 DIADHN CCOC(=O)c1ccc(CN[C@H](CC)c2ccc(F)cc2)cc1 ZINC000610008204 1053002987 /nfs/dbraw/zinc/00/29/87/1053002987.db2.gz IVIWIVZXTUFRAQ-GOSISDBHSA-N 0 3 315.388 4.243 20 0 DIADHN CCOC(=O)c1ccc(CN[C@@H](CC)c2ccc(F)cc2)cc1 ZINC000610008205 1053002993 /nfs/dbraw/zinc/00/29/93/1053002993.db2.gz IVIWIVZXTUFRAQ-SFHVURJKSA-N 0 3 315.388 4.243 20 0 DIADHN CCCCc1ccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)cc1 ZINC001227623007 1053005342 /nfs/dbraw/zinc/00/53/42/1053005342.db2.gz PBSDSHOGMLVTAG-KESTWPANSA-N 0 3 317.473 4.051 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2nc(-c3ccccc3)cs2)C1 ZINC001227691505 1053008267 /nfs/dbraw/zinc/00/82/67/1053008267.db2.gz XTBYHJSBGZSLJF-OAHLLOKOSA-N 0 3 302.443 4.062 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(C)c2)cc1 ZINC000615328925 1053013237 /nfs/dbraw/zinc/01/32/37/1053013237.db2.gz GDRAQOYYJPYRQJ-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@H](C)c1ccccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001227759090 1053014024 /nfs/dbraw/zinc/01/40/24/1053014024.db2.gz GAONXFSTIMHTIO-OKZBNKHCSA-N 0 3 317.473 4.222 20 0 DIADHN CC[C@H](NCc1cc(F)c(F)c(F)c1)c1ccc(OC)cc1 ZINC000067946163 1053015336 /nfs/dbraw/zinc/01/53/36/1053015336.db2.gz XTFOBYUILXSEDO-INIZCTEOSA-N 0 3 309.331 4.353 20 0 DIADHN Cc1cc(C)cc(CCNCc2coc(-c3ccccc3)n2)c1 ZINC000237634736 1053016509 /nfs/dbraw/zinc/01/65/09/1053016509.db2.gz SXIAKAKFEJQEND-UHFFFAOYSA-N 0 3 306.409 4.291 20 0 DIADHN Cc1cc(F)cc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001227847053 1053020855 /nfs/dbraw/zinc/02/08/55/1053020855.db2.gz CTUBSTHKSKYHNT-DOTOQJQBSA-N 0 3 314.404 4.134 20 0 DIADHN O[C@H](CNC(c1ccccc1)c1ccccc1)c1ccccc1 ZINC000068049846 1053021818 /nfs/dbraw/zinc/02/18/18/1053021818.db2.gz DLSRQEBYXIBJIP-HXUWFJFHSA-N 0 3 303.405 4.099 20 0 DIADHN Cc1ccc(CN[C@@H]2CCc3c2cccc3Cl)cc1[N+](=O)[O-] ZINC000237798805 1053029342 /nfs/dbraw/zinc/02/93/42/1053029342.db2.gz VRKBDZWDDSOABU-MRXNPFEDSA-N 0 3 316.788 4.334 20 0 DIADHN c1cn2c(CN3CCC4(CCCC4)C3)c(C3CC3)nc2s1 ZINC000180196665 1053049245 /nfs/dbraw/zinc/04/92/45/1053049245.db2.gz XJCXWBQTSASLMO-UHFFFAOYSA-N 0 3 301.459 4.039 20 0 DIADHN CCc1cccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001228240512 1053056142 /nfs/dbraw/zinc/05/61/42/1053056142.db2.gz NTGDACNEEGILKA-VQIMIIECSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(N3CCOC3=O)c2)cc1 ZINC000068707674 1053060442 /nfs/dbraw/zinc/06/04/42/1053060442.db2.gz DGIWVJHTEQMJTI-OAHLLOKOSA-N 0 3 324.424 4.056 20 0 DIADHN CCc1ccc(OC(=O)[C@@H]2CCCN(Cc3ccccc3)C2)cc1 ZINC001350459130 1053064881 /nfs/dbraw/zinc/06/48/81/1053064881.db2.gz YOLZOELBVFAWDE-LJQANCHMSA-N 0 3 323.436 4.067 20 0 DIADHN CCc1ccc(OC(=O)[C@H]2CCCN(Cc3ccccc3)C2)cc1 ZINC001350459129 1053064918 /nfs/dbraw/zinc/06/49/18/1053064918.db2.gz YOLZOELBVFAWDE-IBGZPJMESA-N 0 3 323.436 4.067 20 0 DIADHN Cc1cc(Cl)cc(C[N@@H+](CCc2nccs2)C(C)C)c1[O-] ZINC000180539056 1053065631 /nfs/dbraw/zinc/06/56/31/1053065631.db2.gz SWZPTUJNWBYJRH-UHFFFAOYSA-N 0 3 324.877 4.264 20 0 DIADHN Cc1cc(Cl)cc(C[N@H+](CCc2nccs2)C(C)C)c1[O-] ZINC000180539056 1053065640 /nfs/dbraw/zinc/06/56/40/1053065640.db2.gz SWZPTUJNWBYJRH-UHFFFAOYSA-N 0 3 324.877 4.264 20 0 DIADHN CCCCOC[C@@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228440980 1053104528 /nfs/dbraw/zinc/10/45/28/1053104528.db2.gz KEDGIGQLZBUMAW-MRXNPFEDSA-N 0 3 315.457 4.485 20 0 DIADHN C[C@H](Oc1ccc2ccccc2c1CN(C)C)C1CCOCC1 ZINC001228439449 1053104684 /nfs/dbraw/zinc/10/46/84/1053104684.db2.gz KSOLFMILFDGJME-HNNXBMFYSA-N 0 3 313.441 4.095 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1ncccc1Br ZINC001559553892 1053136226 /nfs/dbraw/zinc/13/62/26/1053136226.db2.gz NTSKWRSJSIUFNG-WFASDCNBSA-N 0 3 311.267 4.245 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N(C)Cc1cccc(C(N)=O)c1 ZINC000069070536 1053108434 /nfs/dbraw/zinc/10/84/34/1053108434.db2.gz SJKLSALUIWZTSH-QGZVFWFLSA-N 0 3 316.832 4.022 20 0 DIADHN Cc1cc(NC[C@@H](c2cccc(F)c2)N(C)C)nc2ccccc12 ZINC001317705690 1053128471 /nfs/dbraw/zinc/12/84/71/1053128471.db2.gz MUTLRQRDIHUQRX-IBGZPJMESA-N 0 3 323.415 4.397 20 0 DIADHN C[C@H]1C[C@H](Nc2nc(-c3ccccc3Cl)cs2)CCN1C ZINC000543209996 1053129492 /nfs/dbraw/zinc/12/94/92/1053129492.db2.gz HNLTXZZTPXPQLV-NWDGAFQWSA-N 0 3 321.877 4.358 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1cccc(Cl)c1)c1cccc(F)c1 ZINC001317892354 1053138433 /nfs/dbraw/zinc/13/84/33/1053138433.db2.gz RBHZNDZAOANAAS-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@H]3CCCC[C@H]3C2)c(C)c1 ZINC001317889377 1053139175 /nfs/dbraw/zinc/13/91/75/1053139175.db2.gz JZTHTQGGINFVBJ-BZSNNMDCSA-N 0 3 314.473 4.143 20 0 DIADHN Fc1ccc(CN2CCC(COc3ccc(F)cc3)CC2)cc1 ZINC000000014949 1053139381 /nfs/dbraw/zinc/13/93/81/1053139381.db2.gz PYTWTUIMYMLYEF-UHFFFAOYSA-N 0 3 317.379 4.256 20 0 DIADHN C[C@@]1(CNCc2ccc(F)c(Cl)c2Cl)CCCC[C@@H]1O ZINC001560368761 1053143070 /nfs/dbraw/zinc/14/30/70/1053143070.db2.gz CWRBXVWNOMLVJI-WFASDCNBSA-N 0 3 320.235 4.163 20 0 DIADHN CC(C)(C)CCCCNCc1cc(O)ccc1Br ZINC001560325015 1053143629 /nfs/dbraw/zinc/14/36/29/1053143629.db2.gz PPCVBSZTFSGXCU-UHFFFAOYSA-N 0 3 314.267 4.461 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCCC2)c1)c1ccc(F)nc1 ZINC001351177282 1053145997 /nfs/dbraw/zinc/14/59/97/1053145997.db2.gz PCRUZRQWHJPELC-LSDHHAIUSA-N 0 3 313.420 4.233 20 0 DIADHN c1[nH]nc2c1[C@H](NCc1cccc(Oc3ccccc3)c1)CCC2 ZINC000353462159 1053148845 /nfs/dbraw/zinc/14/88/45/1053148845.db2.gz NQVGIEGGYIQNCR-LJQANCHMSA-N 0 3 319.408 4.369 20 0 DIADHN Cn1cc(C(C)(C)NCc2cc(Cl)cc3ccccc32)cn1 ZINC001351292894 1053162483 /nfs/dbraw/zinc/16/24/83/1053162483.db2.gz AFARKOQZNCUVQB-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN Cc1nc(Cl)ccc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001228701607 1053163270 /nfs/dbraw/zinc/16/32/70/1053163270.db2.gz BFZVSLODTPNGQP-MRXNPFEDSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1cc(Br)ccc1C[NH2+][C@H](C)c1ccccc1[O-] ZINC000181227232 1053165133 /nfs/dbraw/zinc/16/51/33/1053165133.db2.gz FJYYHZBLWYPLBG-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2ccc3ccoc3c2)C1 ZINC001228725774 1053167419 /nfs/dbraw/zinc/16/74/19/1053167419.db2.gz BTAZLZCEZLLOLO-QAPCUYQASA-N 0 3 322.408 4.432 20 0 DIADHN COc1cccc(Br)c1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001236670832 1053182519 /nfs/dbraw/zinc/18/25/19/1053182519.db2.gz WEQIOEKGBHNJSO-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000249963990 1053196445 /nfs/dbraw/zinc/19/64/45/1053196445.db2.gz JWBQMWQPNVSBMD-FOGDFJRCSA-N 0 3 315.230 4.213 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1cc(C)cc(C)c1 ZINC000089508935 1053205326 /nfs/dbraw/zinc/20/53/26/1053205326.db2.gz KEAUJCNDRDWENB-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN CN1CCc2cc(O[C@H]3CCc4cc(Cl)ccc43)ccc2C1 ZINC001228936447 1053208487 /nfs/dbraw/zinc/20/84/87/1053208487.db2.gz HMTJXSKATAWIMI-IBGZPJMESA-N 0 3 313.828 4.394 20 0 DIADHN CN1CCc2cc(O[C@H]3CC[C@H](C(F)(F)F)CC3)ccc2C1 ZINC001228936885 1053208505 /nfs/dbraw/zinc/20/85/05/1053208505.db2.gz PFOAPQWWCSRDSJ-SHTZXODSSA-N 0 3 313.363 4.174 20 0 DIADHN CN1CCc2cc(O[C@H](c3ccccc3)C(F)(F)F)ccc2C1 ZINC001228936645 1053208526 /nfs/dbraw/zinc/20/85/26/1053208526.db2.gz KTNSRECNAHUINP-QGZVFWFLSA-N 0 3 321.342 4.357 20 0 DIADHN C[C@H](Oc1ccc2c(c1)CCN(C)C2)c1cccc(F)c1F ZINC001228943308 1053209669 /nfs/dbraw/zinc/20/96/69/1053209669.db2.gz FKKDESOFEKTGLY-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001360294616 1053211952 /nfs/dbraw/zinc/21/19/52/1053211952.db2.gz MOUJBYKYASEWNS-XBMUEBEBSA-N 0 3 322.537 4.172 20 0 DIADHN CCN(c1ccccc1)c1ccc(CNCc2cc(C)[nH]n2)cc1 ZINC001319108739 1053217935 /nfs/dbraw/zinc/21/79/35/1053217935.db2.gz YZVWDJUSYGVKSE-UHFFFAOYSA-N 0 3 320.440 4.166 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NC(=O)[C@@H]1CCCN1C(C)C ZINC001360378592 1053219054 /nfs/dbraw/zinc/21/90/54/1053219054.db2.gz UYKWZEUNIFZYOS-OALUTQOASA-N 0 3 316.489 4.071 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NC(=O)[C@H]1CCCN1C(C)C ZINC001360378593 1053219201 /nfs/dbraw/zinc/21/92/01/1053219201.db2.gz UYKWZEUNIFZYOS-RBUKOAKNSA-N 0 3 316.489 4.071 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(C[C@@H](O)c2cccc(F)c2)C1 ZINC001319181686 1053224102 /nfs/dbraw/zinc/22/41/02/1053224102.db2.gz PEVORRSWRYAHTM-UPRAQXHNSA-N 0 3 317.379 4.081 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(C[C@@H](O)c2cccc(F)c2)C1 ZINC001319181689 1053224265 /nfs/dbraw/zinc/22/42/65/1053224265.db2.gz PEVORRSWRYAHTM-ZNZDAUKMSA-N 0 3 317.379 4.081 20 0 DIADHN CC(C)[C@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccccc1Cl ZINC001360457277 1053229392 /nfs/dbraw/zinc/22/93/92/1053229392.db2.gz HLBYVKIJJHCFDX-IRXDYDNUSA-N 0 3 322.880 4.026 20 0 DIADHN CC[C@H](NCc1cc2ccccc2c(Cl)n1)[C@@H]1CCCCO1 ZINC000847079096 1053236776 /nfs/dbraw/zinc/23/67/76/1053236776.db2.gz KTNMVMYEZAVZOV-IRXDYDNUSA-N 0 3 318.848 4.326 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001360532309 1053241303 /nfs/dbraw/zinc/24/13/03/1053241303.db2.gz VEAGIKDEXCAESX-LXPRWKDFSA-N 0 3 322.537 4.172 20 0 DIADHN CCc1ccc([C@H](C)NCc2c(CC)nn(C)c2CC)s1 ZINC000090846312 1053246563 /nfs/dbraw/zinc/24/65/63/1053246563.db2.gz DKGIIZXLCXSAKF-LBPRGKRZSA-N 0 3 305.491 4.020 20 0 DIADHN CC(C)N1CCC[C@H](Oc2ccc(C(F)(F)F)cc2F)C1 ZINC001229105161 1053247968 /nfs/dbraw/zinc/24/79/68/1053247968.db2.gz OXISGXUUSFTRCT-LBPRGKRZSA-N 0 3 305.315 4.096 20 0 DIADHN CO[C@@H]1CCC[C@@H]1N[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000251791692 1053248580 /nfs/dbraw/zinc/24/85/80/1053248580.db2.gz WCPZCEKDVKOQQS-NFMODRRSSA-N 0 3 306.208 4.351 20 0 DIADHN Cc1cnc(CNCCc2c(Cl)cccc2Cl)s1 ZINC000449088298 1053248742 /nfs/dbraw/zinc/24/87/42/1053248742.db2.gz BDUAMQGENHDWRB-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cc1ccc(NC(=O)c2ccccc2C(F)F)c(CN(C)C)c1 ZINC001360631200 1053252605 /nfs/dbraw/zinc/25/26/05/1053252605.db2.gz LHLKEZUWFMKAGB-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN Fc1cnccc1CNCCc1nc(C2CCCCC2)cs1 ZINC000449228204 1053261339 /nfs/dbraw/zinc/26/13/39/1053261339.db2.gz XXSUYMSRSDTUPY-UHFFFAOYSA-N 0 3 319.449 4.057 20 0 DIADHN C[C@@H](NCCc1cc2ccccc2o1)c1nc2ccccc2n1C ZINC000091375818 1053262934 /nfs/dbraw/zinc/26/29/34/1053262934.db2.gz GMMBJTOWRZAZHX-CQSZACIVSA-N 0 3 319.408 4.213 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C)cc2OC)C2CC2)cc1 ZINC000091370246 1053262993 /nfs/dbraw/zinc/26/29/93/1053262993.db2.gz XKSSSPHAWMOLSK-FQEVSTJZSA-N 0 3 311.425 4.253 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCOc1ccccc1F ZINC000252370666 1053264178 /nfs/dbraw/zinc/26/41/78/1053264178.db2.gz YPFFGQVTFICSCN-LBPRGKRZSA-N 0 3 309.331 4.176 20 0 DIADHN C[C@]1(CO)CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001319713193 1053266857 /nfs/dbraw/zinc/26/68/57/1053266857.db2.gz UEBVPPRCHZGVKZ-SFHVURJKSA-N 0 3 319.832 4.194 20 0 DIADHN CCC[C@H](C(=O)N(CCC)CCN(C)C)c1ccc(Cl)cc1 ZINC001360768869 1053269918 /nfs/dbraw/zinc/26/99/18/1053269918.db2.gz YOPGFJKNIDAFCY-KRWDZBQOSA-N 0 3 324.896 4.024 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](C)c3cccc(C)c3C)c(C)n2n1 ZINC000091725376 1053270788 /nfs/dbraw/zinc/27/07/88/1053270788.db2.gz YLSQMLCQUYFZEG-CVEARBPZSA-N 0 3 322.456 4.375 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCC3)CCCO2 ZINC001319767663 1053272458 /nfs/dbraw/zinc/27/24/58/1053272458.db2.gz QWEQPUGBQFRDMW-HXUWFJFHSA-N 0 3 323.436 4.187 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC001319783652 1053273701 /nfs/dbraw/zinc/27/37/01/1053273701.db2.gz TZMNIOPHJPSOSD-IRXDYDNUSA-N 0 3 310.441 4.186 20 0 DIADHN C[C@H]1CN(Cc2ccccc2)C[C@@H]1Oc1cc(F)c(F)cc1F ZINC001229216904 1053275992 /nfs/dbraw/zinc/27/59/92/1053275992.db2.gz WPUUABBVFPXYNU-SGTLLEGYSA-N 0 3 321.342 4.003 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1ncc(Br)s1 ZINC000252729283 1053277224 /nfs/dbraw/zinc/27/72/24/1053277224.db2.gz FZZMLTOKGNTONQ-IVZWLZJFSA-N 0 3 305.285 4.381 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1ccc2ncccc2c1 ZINC001319948769 1053288967 /nfs/dbraw/zinc/28/89/67/1053288967.db2.gz SQBGQGHUIAAFNJ-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN COc1cc(CN2CCC(n3c(C)ccc3C)CC2)ccc1F ZINC001319947845 1053289386 /nfs/dbraw/zinc/28/93/86/1053289386.db2.gz NVIPEHILFAFRIH-UHFFFAOYSA-N 0 3 316.420 4.090 20 0 DIADHN C[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1ccccc1F ZINC001229301743 1053295574 /nfs/dbraw/zinc/29/55/74/1053295574.db2.gz BUQCQBKAQUDRRR-CQSZACIVSA-N 0 3 315.388 4.100 20 0 DIADHN Cc1nccc([C@@H]2CCCN([C@H](C)c3cccc(Cl)c3)C2)n1 ZINC000618442927 1053309664 /nfs/dbraw/zinc/30/96/64/1053309664.db2.gz QBYKVOJAJFZCMH-CZUORRHYSA-N 0 3 315.848 4.379 20 0 DIADHN C[C@@H](NCCc1ccc(OC(C)(C)C)cc1)c1cscn1 ZINC001320576157 1053345027 /nfs/dbraw/zinc/34/50/27/1053345027.db2.gz AKVMTFQXJHXVKX-CYBMUJFWSA-N 0 3 304.459 4.214 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)C2)C[C@@H](CC(F)(F)F)O1 ZINC001560941503 1053354935 /nfs/dbraw/zinc/35/49/35/1053354935.db2.gz QITYBNSQTMHSRT-MGPQQGTHSA-N 0 3 307.400 4.245 20 0 DIADHN Cn1c2ccccc2nc1[C@H]1CCCN1CCc1ccc(F)cc1 ZINC001320754407 1053359985 /nfs/dbraw/zinc/35/99/85/1053359985.db2.gz RHLYSKTZEAHYGE-LJQANCHMSA-N 0 3 323.415 4.092 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CC[C@@H](C)[C@@H](C)C2)c1 ZINC001545808799 1053365840 /nfs/dbraw/zinc/36/58/40/1053365840.db2.gz KBZLIKIEYHBTLV-HLLBOEOZSA-N 0 3 302.462 4.067 20 0 DIADHN CC(C)N(C)c1ccc(CNCc2cccc(C(F)F)c2)cn1 ZINC001320837435 1053366976 /nfs/dbraw/zinc/36/69/76/1053366976.db2.gz SNZGGBDIEHWPKJ-UHFFFAOYSA-N 0 3 319.399 4.154 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)NCCN(C)Cc2ccccc2)cc1 ZINC001434875976 1053373398 /nfs/dbraw/zinc/37/33/98/1053373398.db2.gz HEIRAPYKBZVYMS-KRWDZBQOSA-N 0 3 324.468 4.062 20 0 DIADHN O=C(c1cccs1)C1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001320919059 1053373933 /nfs/dbraw/zinc/37/39/33/1053373933.db2.gz WEAVLQKVKCXFFA-QGZVFWFLSA-N 0 3 311.450 4.330 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)NCCN(C)Cc2ccccc2)cc1 ZINC001434875977 1053374698 /nfs/dbraw/zinc/37/46/98/1053374698.db2.gz HEIRAPYKBZVYMS-QGZVFWFLSA-N 0 3 324.468 4.062 20 0 DIADHN CCCCN(CCOC)Cc1cnc(-c2ccsc2)s1 ZINC000093323034 1053386281 /nfs/dbraw/zinc/38/62/81/1053386281.db2.gz PPHXNXQLKQYPFE-UHFFFAOYSA-N 0 3 310.488 4.120 20 0 DIADHN COc1ccc([C@@H](C)Oc2ccccc2CN(C)C)c(F)c1 ZINC001229703324 1053386976 /nfs/dbraw/zinc/38/69/76/1053386976.db2.gz YUMMVUGYBHFLCO-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN O=C(CC1CCCCCC1)N1CCN(CC2CCCCC2)CC1 ZINC001434916013 1053387189 /nfs/dbraw/zinc/38/71/89/1053387189.db2.gz PZCYSFAAPGISCO-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN CN(C)Cc1ccccc1O[C@@H]1CCCc2c(Cl)ccnc21 ZINC001229701622 1053388440 /nfs/dbraw/zinc/38/84/40/1053388440.db2.gz KQGYFXHQBLWEHX-QGZVFWFLSA-N 0 3 316.832 4.253 20 0 DIADHN CCOc1cccc(F)c1CNCCc1cccc2cccnc21 ZINC001200113805 1053394052 /nfs/dbraw/zinc/39/40/52/1053394052.db2.gz CCGFAPWLCQLBTO-UHFFFAOYSA-N 0 3 324.399 4.105 20 0 DIADHN CCC(=O)C1(c2cccc(O[C@H](C)C(C)C)c2)CCN(C)CC1 ZINC001229736200 1053394966 /nfs/dbraw/zinc/39/49/66/1053394966.db2.gz UZAGRLOMGIVPMH-MRXNPFEDSA-N 0 3 317.473 4.052 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1nc(-c2ccccc2)n[nH]1 ZINC001321219733 1053401697 /nfs/dbraw/zinc/40/16/97/1053401697.db2.gz FIWQWCPUZIAFKU-ZDUSSCGKSA-N 0 3 324.453 4.044 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000093419196 1053402865 /nfs/dbraw/zinc/40/28/65/1053402865.db2.gz MKCDWKNNNXBXRX-ZDUSSCGKSA-N 0 3 322.499 4.120 20 0 DIADHN Cc1ccc(-c2nnc(CNC(C)(C)C3CCCCC3)o2)cc1 ZINC001321334320 1053409053 /nfs/dbraw/zinc/40/90/53/1053409053.db2.gz BPHZIKPCVFINBW-UHFFFAOYSA-N 0 3 313.445 4.494 20 0 DIADHN Cc1cc(Cl)cc(C[NH2+]C2(c3cccc(F)c3)CC2)c1[O-] ZINC000182359580 1053417882 /nfs/dbraw/zinc/41/78/82/1053417882.db2.gz OMTKQPRQRRSFHI-UHFFFAOYSA-N 0 3 305.780 4.272 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001435045902 1053426792 /nfs/dbraw/zinc/42/67/92/1053426792.db2.gz RYZQUDNHIFRDLF-WMZOPIPTSA-N 0 3 316.489 4.112 20 0 DIADHN CCCn1cncc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC001200160925 1053427951 /nfs/dbraw/zinc/42/79/51/1053427951.db2.gz ARVHWZYILPIAOA-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1cccc(Cl)c1)c1ccccc1F ZINC001321752025 1053432393 /nfs/dbraw/zinc/43/23/93/1053432393.db2.gz OMPVKYRUDRCPHU-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2Cc2cnc3cnccn23)c1C ZINC000093684302 1053438776 /nfs/dbraw/zinc/43/87/76/1053438776.db2.gz BJSREPZHTVIZDI-IBGZPJMESA-N 0 3 320.440 4.073 20 0 DIADHN CCCOc1ccc(O[C@@H]2CCCN(C(C)C)C2)c(F)c1F ZINC001229973511 1053444796 /nfs/dbraw/zinc/44/47/96/1053444796.db2.gz GARKXQCNZFWUHM-CYBMUJFWSA-N 0 3 313.388 4.005 20 0 DIADHN CCCOc1ccc(O[C@H]2CCCN(C(C)C)C2)c(F)c1F ZINC001229973512 1053444924 /nfs/dbraw/zinc/44/49/24/1053444924.db2.gz GARKXQCNZFWUHM-ZDUSSCGKSA-N 0 3 313.388 4.005 20 0 DIADHN CSC[C@H]1CCCN1Cc1cnc(-c2cccs2)s1 ZINC000093761283 1053447449 /nfs/dbraw/zinc/44/74/49/1053447449.db2.gz JSNPCCRDKCVMAA-LLVKDONJSA-N 0 3 310.513 4.199 20 0 DIADHN CN1CC[C@@H](NCc2c(F)cccc2Cl)[C@@H]1c1ccccc1 ZINC000670988726 1053453179 /nfs/dbraw/zinc/45/31/79/1053453179.db2.gz FOGWDBGPKBAPEV-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN c1cc(CNCc2ccc(OC3CCCC3)cc2)n(C2CC2)c1 ZINC001353003416 1053453958 /nfs/dbraw/zinc/45/39/58/1053453958.db2.gz MORGSAODAQKGNZ-UHFFFAOYSA-N 0 3 310.441 4.434 20 0 DIADHN Fc1ccc(F)c(CN(CC2=CCCOC2)C2CCCC2)c1 ZINC000093864541 1053457414 /nfs/dbraw/zinc/45/74/14/1053457414.db2.gz GNDLTTCOXLOWPF-UHFFFAOYSA-N 0 3 307.384 4.056 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1cc(-c2ccco2)on1 ZINC001322122025 1053461271 /nfs/dbraw/zinc/46/12/71/1053461271.db2.gz VDUVWDCLIYOFBF-UHFFFAOYSA-N 0 3 310.397 4.388 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001435170894 1053462585 /nfs/dbraw/zinc/46/25/85/1053462585.db2.gz SHDFWYHUBWTBEO-JLAWEPINSA-N 0 3 322.537 4.316 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)[C@H](C)C(C)(C)C)c(CN(C)C)c1 ZINC001435187778 1053467218 /nfs/dbraw/zinc/46/72/18/1053467218.db2.gz ZZGGKKNPTXEHRV-GJZGRUSLSA-N 0 3 304.478 4.313 20 0 DIADHN COc1nsc(CN[C@@H]2CCC[C@@H](C(C)C)C2)c1Cl ZINC001200212892 1053470884 /nfs/dbraw/zinc/47/08/84/1053470884.db2.gz DYOOJLLQEUWQDB-GHMZBOCLSA-N 0 3 302.871 4.110 20 0 DIADHN CC(=O)c1cc2ccccc2cc1OC1CCN(C(C)C)CC1 ZINC001230065637 1053470954 /nfs/dbraw/zinc/47/09/54/1053470954.db2.gz IOLUGJHUYPKTIK-UHFFFAOYSA-N 0 3 311.425 4.294 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@@H]1C[C@H]2CC[C@@H](C1)N2C ZINC001230065522 1053471066 /nfs/dbraw/zinc/47/10/66/1053471066.db2.gz GPGJTVQZVKCEPW-BCDXTJNWSA-N 0 3 309.409 4.046 20 0 DIADHN CC(C)n1ncc2cc(CN3CC[C@H]4CCCC[C@H]4C3)cnc21 ZINC001322235094 1053471990 /nfs/dbraw/zinc/47/19/90/1053471990.db2.gz DPOXEGWZGYCEBR-SJORKVTESA-N 0 3 312.461 4.024 20 0 DIADHN C[C@@H](NC[C@H](O)c1cc(F)ccc1F)c1cc2ccccc2o1 ZINC000182625382 1053488020 /nfs/dbraw/zinc/48/80/20/1053488020.db2.gz MEROJDJNYBKQEO-BZNIZROVSA-N 0 3 317.335 4.095 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1cccs1 ZINC000182625541 1053488865 /nfs/dbraw/zinc/48/88/65/1053488865.db2.gz SNGIOUFPUIOBIC-QGZVFWFLSA-N 0 3 315.486 4.063 20 0 DIADHN CCC1CCC(N(C)Cn2nc(C)nc2-c2ccccc2)CC1 ZINC001322367674 1053493858 /nfs/dbraw/zinc/49/38/58/1053493858.db2.gz PHPAEFPQLHQFGM-UHFFFAOYSA-N 0 3 312.461 4.112 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001322404005 1053498636 /nfs/dbraw/zinc/49/86/36/1053498636.db2.gz KOALBGVYJZKJFS-UHFFFAOYSA-N 0 3 311.454 4.171 20 0 DIADHN CCc1cccc(CNCc2cccc(OCC(F)F)c2)c1 ZINC001200281494 1053520217 /nfs/dbraw/zinc/52/02/17/1053520217.db2.gz LLWSTPRDHQPRLU-UHFFFAOYSA-N 0 3 305.368 4.183 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@H]4CCC[C@@H]4C3)cs2)cc1 ZINC000152850813 1053539129 /nfs/dbraw/zinc/53/91/29/1053539129.db2.gz MQKLLAOXHYMCMC-HUUCEWRRSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1occc1CN[C@@H](C)CC(=O)Nc1ccc(C)c(Cl)c1 ZINC000619766550 1053551732 /nfs/dbraw/zinc/55/17/32/1053551732.db2.gz UUNBYNRUYGTWTH-LBPRGKRZSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1occc1CN[C@H](C)CC(=O)Nc1ccc(C)c(Cl)c1 ZINC000619766549 1053552173 /nfs/dbraw/zinc/55/21/73/1053552173.db2.gz UUNBYNRUYGTWTH-GFCCVEGCSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1cc(Br)c(CN2CCC[C@H]2C(C)C)cc1O ZINC001237306499 1053570465 /nfs/dbraw/zinc/57/04/65/1053570465.db2.gz FUQQVFVWFBYYIK-AWEZNQCLSA-N 0 3 312.251 4.084 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@H]2CCCC[C@H]2C1 ZINC001323317176 1053572440 /nfs/dbraw/zinc/57/24/40/1053572440.db2.gz LFLQRYUOZIQEAM-KSZLIROESA-N 0 3 314.473 4.143 20 0 DIADHN COc1cccc(CN[C@H]2C[C@H](C)c3c2cccc3C)c1OC ZINC000153053421 1053572901 /nfs/dbraw/zinc/57/29/01/1053572901.db2.gz TZOTZRINEJYPII-YOEHRIQHSA-N 0 3 311.425 4.350 20 0 DIADHN Clc1cccc(-c2cnc(CN3C[C@@H]4CCC[C@H]4C3)o2)c1 ZINC000153156587 1053590530 /nfs/dbraw/zinc/59/05/30/1053590530.db2.gz PDXGJMLZQPBHJB-KBPBESRZSA-N 0 3 302.805 4.227 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)no1 ZINC000153186415 1053591935 /nfs/dbraw/zinc/59/19/35/1053591935.db2.gz FVFZIXAOMHCGIJ-GDBMZVCRSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H](O)c1ccccc1C ZINC001200366332 1053596364 /nfs/dbraw/zinc/59/63/64/1053596364.db2.gz VBIBQNIYPVKCRG-QGZVFWFLSA-N 0 3 301.430 4.017 20 0 DIADHN Cc1cccnc1CN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC001323700637 1053597484 /nfs/dbraw/zinc/59/74/84/1053597484.db2.gz HZBDZTLOASSGMY-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN O=C(CN1C[C@@H]2CCC[C@@H]2C1)Nc1ccccc1-c1ccccc1 ZINC000153312950 1053602434 /nfs/dbraw/zinc/60/24/34/1053602434.db2.gz SAQHWVCALXJBIV-HDICACEKSA-N 0 3 320.436 4.024 20 0 DIADHN C[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)c1c[nH]c2cc(F)ccc21 ZINC000153380446 1053607263 /nfs/dbraw/zinc/60/72/63/1053607263.db2.gz AINGKXCBXQWIGY-SNVBAGLBSA-N 0 3 302.324 4.003 20 0 DIADHN CC(C)N1CC[C@@H](Oc2cc(OC(F)(F)F)ccc2Cl)C1 ZINC001230831233 1053610590 /nfs/dbraw/zinc/61/05/90/1053610590.db2.gz LTXSUEXFWNAYGZ-LLVKDONJSA-N 0 3 323.742 4.100 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc3cccc(OC)c3o1)CC2 ZINC001323988457 1053615383 /nfs/dbraw/zinc/61/53/83/1053615383.db2.gz AQNVBRZIACGOSR-SFHVURJKSA-N 0 3 323.392 4.227 20 0 DIADHN Cc1cnc2ccccc2c1N1CC[C@@]2(C1)OCc1ccccc12 ZINC001324056304 1053621327 /nfs/dbraw/zinc/62/13/27/1053621327.db2.gz JQWMLYLJWCVTQJ-NRFANRHFSA-N 0 3 316.404 4.179 20 0 DIADHN CC(=O)c1cc(O[C@H](CCN(C)C)c2ccccc2)ccc1F ZINC001230957052 1053634053 /nfs/dbraw/zinc/63/40/53/1053634053.db2.gz KNUGQJAVTLLRMM-LJQANCHMSA-N 0 3 315.388 4.100 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2F)no1)N1[C@H](C)CC[C@@H]1C ZINC001324245611 1053638169 /nfs/dbraw/zinc/63/81/69/1053638169.db2.gz OERHMLRVSVIKAB-AXFHLTTASA-N 0 3 323.799 4.463 20 0 DIADHN CC(C)CCc1noc(CN2CCC[C@@H]2C2CCCCC2)n1 ZINC000620278319 1053639327 /nfs/dbraw/zinc/63/93/27/1053639327.db2.gz IHBFXGXAMHUUQQ-MRXNPFEDSA-N 0 3 305.466 4.203 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc2c(c1)CCO2 ZINC001324336256 1053646736 /nfs/dbraw/zinc/64/67/36/1053646736.db2.gz QKFZCMPXMSGHMT-LBPRGKRZSA-N 0 3 303.352 4.410 20 0 DIADHN CCn1cc(CNC2(c3ccc(Cl)cc3)CCCC2)c(C)n1 ZINC001200423471 1053646787 /nfs/dbraw/zinc/64/67/87/1053646787.db2.gz KIXKIBUVJPPZKB-UHFFFAOYSA-N 0 3 317.864 4.424 20 0 DIADHN OCC1([C@@H](NCc2cccn2C2CC2)c2ccccc2)CCCC1 ZINC001324610984 1053666904 /nfs/dbraw/zinc/66/69/04/1053666904.db2.gz USGWBOIUASPCCQ-FQEVSTJZSA-N 0 3 324.468 4.207 20 0 DIADHN O=C(Nc1ccc(CNCc2cc(Cl)cs2)cc1)C1CC1 ZINC001324791890 1053680268 /nfs/dbraw/zinc/68/02/68/1053680268.db2.gz WZYIKOBOSQSEKL-UHFFFAOYSA-N 0 3 320.845 4.040 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)[NH2+][C@H](C)c1ccccc1[O-] ZINC000183608341 1053692774 /nfs/dbraw/zinc/69/27/74/1053692774.db2.gz KTUWVAAOEVQDQH-VBHSOAQHSA-N 0 3 319.832 4.472 20 0 DIADHN Cc1cccc2nc(OC(=C([O-])c3ccco3)c3ccco3)oc21 ZINC001231164554 1053692842 /nfs/dbraw/zinc/69/28/42/1053692842.db2.gz RNTKIYVFGWMBPU-KRWDZBQOSA-N 0 3 323.304 4.325 20 0 DIADHN Cc1cccc2nc(OC(C(=O)c3ccco3)c3ccco3)oc21 ZINC001231164554 1053692859 /nfs/dbraw/zinc/69/28/59/1053692859.db2.gz RNTKIYVFGWMBPU-KRWDZBQOSA-N 0 3 323.304 4.325 20 0 DIADHN CC(C)OC1CC(N[C@@H](C)c2nc3ccccc3n2C(F)F)C1 ZINC001324994650 1053693426 /nfs/dbraw/zinc/69/34/26/1053693426.db2.gz YBJZXDIZOHSHJK-HIFPTAJRSA-N 0 3 323.387 4.038 20 0 DIADHN COC(=O)c1cccc(CN[C@H]2CCc3ccc(C(C)C)cc32)c1 ZINC001325035050 1053695714 /nfs/dbraw/zinc/69/57/14/1053695714.db2.gz GPBKPIPKXYEWAX-FQEVSTJZSA-N 0 3 323.436 4.374 20 0 DIADHN COCCOc1cccc(CN2CCC[C@H]2c2ccsc2)c1 ZINC000153534639 1053697069 /nfs/dbraw/zinc/69/70/69/1053697069.db2.gz RJKUJQOALDJUGD-SFHVURJKSA-N 0 3 317.454 4.110 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN1CC=C(c2ccccc2)CC1 ZINC000505770583 1053707295 /nfs/dbraw/zinc/70/72/95/1053707295.db2.gz GXYVRHXZCIGWQE-UHFFFAOYSA-N 0 3 315.420 4.366 20 0 DIADHN CCOc1ccc(CN(C)CCSC(C)(C)C)cc1OC ZINC001325251927 1053709149 /nfs/dbraw/zinc/70/91/49/1053709149.db2.gz RAHKUHMLUJCTSS-UHFFFAOYSA-N 0 3 311.491 4.057 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1ccc(C(F)(F)F)cc1 ZINC000264538555 1053713781 /nfs/dbraw/zinc/71/37/81/1053713781.db2.gz OTHCLBRAPJDXCW-HNNXBMFYSA-N 0 3 307.315 4.188 20 0 DIADHN CCn1nc(C)c(CN[C@@H](C)c2ccc3c(c2)CCCC3)c1C ZINC000307965287 1053719678 /nfs/dbraw/zinc/71/96/78/1053719678.db2.gz LMBGHJKOOGVBTA-AWEZNQCLSA-N 0 3 311.473 4.249 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H]1CCc3c1cccc3F)CCC2 ZINC001325539063 1053722497 /nfs/dbraw/zinc/72/24/97/1053722497.db2.gz JKAYTYWXLPMKNG-ZWKOTPCHSA-N 0 3 313.420 4.258 20 0 DIADHN CN(C)c1ncccc1CN[C@@H](c1cccs1)C1CCCC1 ZINC000154048632 1053723884 /nfs/dbraw/zinc/72/38/84/1053723884.db2.gz NKLPXXRKVQZRDX-QGZVFWFLSA-N 0 3 315.486 4.230 20 0 DIADHN COc1ccc([C@@H](NCCOC(C)(C)C)c2ccccc2)cc1 ZINC000308715942 1053732611 /nfs/dbraw/zinc/73/26/11/1053732611.db2.gz BGXVJZMIQUKIKL-IBGZPJMESA-N 0 3 313.441 4.189 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@](C)(O)c2ccccc2)c1Cl ZINC001200525676 1053733320 /nfs/dbraw/zinc/73/33/20/1053733320.db2.gz IIBYLAXTFNGBKS-QGZVFWFLSA-N 0 3 324.251 4.299 20 0 DIADHN C[C@@H](COCC(F)(F)F)NCc1ccc(-c2ccccc2)o1 ZINC000620759395 1053733934 /nfs/dbraw/zinc/73/39/34/1053733934.db2.gz WYGZYOVLXXIOCK-LBPRGKRZSA-N 0 3 313.319 4.004 20 0 DIADHN CN1CC[C@H](NCc2ccccc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001325857429 1053737039 /nfs/dbraw/zinc/73/70/39/1053737039.db2.gz IENFWRYQPHVGMZ-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN CN1CC[C@@H](NCc2ccccc2Cl)[C@H]1c1ccc(F)cc1 ZINC001325857428 1053738225 /nfs/dbraw/zinc/73/82/25/1053738225.db2.gz IENFWRYQPHVGMZ-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2cccc(C(F)F)c2)cc1 ZINC001326030669 1053744567 /nfs/dbraw/zinc/74/45/67/1053744567.db2.gz KEZSNXMUYHGMBA-LBPRGKRZSA-N 0 3 318.367 4.433 20 0 DIADHN COc1c(F)ccc(F)c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001231414250 1053745645 /nfs/dbraw/zinc/74/56/45/1053745645.db2.gz LOCIABIZDFMDTA-LBPRGKRZSA-N 0 3 313.363 4.078 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3ccccn3)C2)cc1Cl ZINC001231421637 1053745818 /nfs/dbraw/zinc/74/58/18/1053745818.db2.gz DIMHMGITMXMINH-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C)c(Cl)c2)cc1OC ZINC001231421934 1053746180 /nfs/dbraw/zinc/74/61/80/1053746180.db2.gz HMLWHVIWNXQYDT-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C)c(Cl)c2)C[C@H]1C ZINC001231425235 1053748199 /nfs/dbraw/zinc/74/81/99/1053748199.db2.gz OAXRAPITOQMAGQ-ZBFHGGJFSA-N 0 3 323.864 4.060 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2ccc(C)c(Cl)c2)C[C@@H]1C ZINC001231425234 1053749349 /nfs/dbraw/zinc/74/93/49/1053749349.db2.gz OAXRAPITOQMAGQ-HOCLYGCPSA-N 0 3 323.864 4.060 20 0 DIADHN CCOC[C@@H]1CCN([C@@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000154874824 1053750094 /nfs/dbraw/zinc/75/00/94/1053750094.db2.gz WECOVUMRCDLTMY-BDJLRTHQSA-N 0 3 314.256 4.339 20 0 DIADHN FC(F)(F)c1cccnc1CN1CC[C@H](c2ccccc2)C1 ZINC000155055543 1053760485 /nfs/dbraw/zinc/76/04/85/1053760485.db2.gz WRYITKNEUSLNSZ-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ccc(F)c(C)c3F)C2)c1 ZINC001231478688 1053762139 /nfs/dbraw/zinc/76/21/39/1053762139.db2.gz MJVKLMZIEAFVHS-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(-n3ccnc3)cc2)s1 ZINC001231502953 1053771770 /nfs/dbraw/zinc/77/17/70/1053771770.db2.gz GNDAWGYYCVBVPQ-UHFFFAOYSA-N 0 3 311.454 4.128 20 0 DIADHN COc1cc(Br)ccc1CN1CCC2(CCC2)CC1 ZINC001231511694 1053774900 /nfs/dbraw/zinc/77/49/00/1053774900.db2.gz AJRXTHIWTCUROA-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN Clc1cccc(Cl)c1CNCCc1ccc2c(c1)OCO2 ZINC000620879201 1053775681 /nfs/dbraw/zinc/77/56/81/1053775681.db2.gz HSWPGAZTTITOBV-UHFFFAOYSA-N 0 3 324.207 4.054 20 0 DIADHN CN(Cc1c[nH]c2cnccc12)Cc1ccccc1C(F)(F)F ZINC001231520556 1053776330 /nfs/dbraw/zinc/77/63/30/1053776330.db2.gz ACACSMVJNWMLDU-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCCc3ccccc3C2)cs1 ZINC001200572519 1053777498 /nfs/dbraw/zinc/77/74/98/1053777498.db2.gz MLVFNCVIQXJBGU-XJKSGUPXSA-N 0 3 300.471 4.304 20 0 DIADHN COc1cc(Br)ccc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001231512852 1053777656 /nfs/dbraw/zinc/77/76/56/1053777656.db2.gz PIJFUPPIBPVTSK-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cc(Br)ccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001231515779 1053778012 /nfs/dbraw/zinc/77/80/12/1053778012.db2.gz XXWGSQKJYIYTMY-SWLSCSKDSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cc(Br)ccc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001231512858 1053778041 /nfs/dbraw/zinc/77/80/41/1053778041.db2.gz PIJFUPPIBPVTSK-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN COc1cc(Br)ccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001231512644 1053778370 /nfs/dbraw/zinc/77/83/70/1053778370.db2.gz URYRMBAYUKFQBJ-BETUJISGSA-N 0 3 324.262 4.080 20 0 DIADHN CC(C)Oc1ccc(CN(Cc2ccccc2)C2CCC2)cn1 ZINC001231535894 1053780801 /nfs/dbraw/zinc/78/08/01/1053780801.db2.gz CHAYOCHIYGBJEC-UHFFFAOYSA-N 0 3 310.441 4.424 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cccc3c1CCC3)CCC2 ZINC001326471094 1053785713 /nfs/dbraw/zinc/78/57/13/1053785713.db2.gz ONMOXEQAAXUPKE-IBGZPJMESA-N 0 3 309.457 4.120 20 0 DIADHN FC1CC2(C1)CCCN(Cc1nc3c(s1)CCCC3)CC2 ZINC001231583214 1053788164 /nfs/dbraw/zinc/78/81/64/1053788164.db2.gz IHUISOCTHBJECV-UHFFFAOYSA-N 0 3 308.466 4.126 20 0 DIADHN c1ccc([C@@H]2CCCN(Cc3nc4c(s3)CCCC4)C2)cc1 ZINC001231581788 1053788672 /nfs/dbraw/zinc/78/86/72/1053788672.db2.gz FCVUYNTXTGMQJJ-MRXNPFEDSA-N 0 3 312.482 4.402 20 0 DIADHN C[C@@](O)(CNC1c2ccccc2-c2ccccc21)c1ccsc1 ZINC000183822328 1053791581 /nfs/dbraw/zinc/79/15/81/1053791581.db2.gz KSBQKQCKHFTKIX-HXUWFJFHSA-N 0 3 321.445 4.315 20 0 DIADHN CCc1oc2ccccc2c1C(=O)Nc1ccccc1CN(C)C ZINC001326729404 1053799836 /nfs/dbraw/zinc/79/98/36/1053799836.db2.gz NABSVZUJIIDLQX-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000156437273 1053807234 /nfs/dbraw/zinc/80/72/34/1053807234.db2.gz OHXMYNFDZMSQTO-KEYYUXOJSA-N 0 3 303.837 4.181 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)nc1 ZINC001231647457 1053817205 /nfs/dbraw/zinc/81/72/05/1053817205.db2.gz XCIKNPDNSZVNSP-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN CCc1ccc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)nc1 ZINC001231646667 1053819500 /nfs/dbraw/zinc/81/95/00/1053819500.db2.gz MCJBHLWOICKQJX-OAQYLSRUSA-N 0 3 324.443 4.263 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000156884303 1053828108 /nfs/dbraw/zinc/82/81/08/1053828108.db2.gz NNMAUXJRJDFFKE-CYBMUJFWSA-N 0 3 315.461 4.065 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cc4cc[nH]c4cn3)C2)c1 ZINC001231670244 1053830372 /nfs/dbraw/zinc/83/03/72/1053830372.db2.gz XOGRCRXPCAIGBU-OAHLLOKOSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(-c3cnn(C)c3)cc2)CC1 ZINC001327105095 1053833579 /nfs/dbraw/zinc/83/35/79/1053833579.db2.gz NYSWFUNTKPOOGL-UHFFFAOYSA-N 0 3 317.436 4.174 20 0 DIADHN CCCN(Cc1nc(-c2ccccc2)cs1)C1CCOCC1 ZINC001231684735 1053834373 /nfs/dbraw/zinc/83/43/73/1053834373.db2.gz OLGQNGHLOYVTFB-UHFFFAOYSA-N 0 3 316.470 4.201 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCCCC[C@H]1c1ccncc1 ZINC000157023574 1053835359 /nfs/dbraw/zinc/83/53/59/1053835359.db2.gz NCMLANIHFLYKSP-KRWDZBQOSA-N 0 3 312.461 4.220 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCCCC[C@@H]1c1ccncc1 ZINC000157023423 1053836980 /nfs/dbraw/zinc/83/69/80/1053836980.db2.gz NCMLANIHFLYKSP-QGZVFWFLSA-N 0 3 312.461 4.220 20 0 DIADHN C[C@@H](NCc1ccc2ccccc2c1)c1ccc([S@](C)=O)cc1 ZINC000157313871 1053851084 /nfs/dbraw/zinc/85/10/84/1053851084.db2.gz DNVPIXMUIQBSDT-IQMFZBJNSA-N 0 3 323.461 4.428 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001231799424 1053859364 /nfs/dbraw/zinc/85/93/64/1053859364.db2.gz RPINKWUOXAAXEC-QFBILLFUSA-N 0 3 307.441 4.217 20 0 DIADHN COc1cc(CNCc2ccc3sccc3c2)ccc1F ZINC001327532932 1053861225 /nfs/dbraw/zinc/86/12/25/1053861225.db2.gz NGFUVZAJDKAWLW-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN(C)CCc1ccccc1 ZINC001231795622 1053861397 /nfs/dbraw/zinc/86/13/97/1053861397.db2.gz VWFBSMHLTCDHFO-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN Clc1cccc2c1[C@H](NC[C@H]1CCc3ccccc31)COC2 ZINC001327605529 1053865462 /nfs/dbraw/zinc/86/54/62/1053865462.db2.gz URHCSFBDOBAZGU-RDTXWAMCSA-N 0 3 313.828 4.231 20 0 DIADHN CO[C@H](CN[C@@H](c1nc(C)cs1)C1CC1)C1CCCCC1 ZINC000660215939 1053867107 /nfs/dbraw/zinc/86/71/07/1053867107.db2.gz YCYGQNUXBFCENH-HZPDHXFCSA-N 0 3 308.491 4.088 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCCc3sccc32)cc1 ZINC000274797381 1053869170 /nfs/dbraw/zinc/86/91/70/1053869170.db2.gz UBNCAQSNLIOKKV-INIZCTEOSA-N 0 3 301.455 4.143 20 0 DIADHN F[C@@H]1COC2(C1)CCN(Cc1ccc(-c3ccccc3)o1)CC2 ZINC001231813500 1053871617 /nfs/dbraw/zinc/87/16/17/1053871617.db2.gz IPEBFPCTKUAAQF-INIZCTEOSA-N 0 3 315.388 4.040 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(Oc3ccc(Cl)cc3)cc2)C1 ZINC001231813883 1053872056 /nfs/dbraw/zinc/87/20/56/1053872056.db2.gz DEYRVHZWNCROPD-GOSISDBHSA-N 0 3 317.816 4.353 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CCCC(=O)[C@H](C)C1 ZINC001231812077 1053872521 /nfs/dbraw/zinc/87/25/21/1053872521.db2.gz JFWAVZSHMKKQPL-QGZVFWFLSA-N 0 3 307.437 4.463 20 0 DIADHN Cn1ccc(C2CCN(Cc3ccc(-c4ccccc4)o3)CC2)n1 ZINC001231813368 1053873522 /nfs/dbraw/zinc/87/35/22/1053873522.db2.gz CBKZMUPTOWPVIX-UHFFFAOYSA-N 0 3 321.424 4.060 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000157976915 1053876527 /nfs/dbraw/zinc/87/65/27/1053876527.db2.gz GMTKQGJLFLJDLM-CYBMUJFWSA-N 0 3 308.853 4.016 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)c2ccc3ccccc3c2)c1 ZINC000080988150 1053880206 /nfs/dbraw/zinc/88/02/06/1053880206.db2.gz FWXSXYCILXGGQC-UHFFFAOYSA-N 0 3 318.420 4.178 20 0 DIADHN CC(C)(C)c1csc(C2CCN(CCOCC3CC3)CC2)n1 ZINC001354501412 1053887394 /nfs/dbraw/zinc/88/73/94/1053887394.db2.gz ZGLBQONCIUHJKH-UHFFFAOYSA-N 0 3 322.518 4.047 20 0 DIADHN CCN(CC(C)(C)O)[C@H](C)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000158186314 1053893060 /nfs/dbraw/zinc/89/30/60/1053893060.db2.gz URGBOSSYQZSLEO-GFCCVEGCSA-N 0 3 322.836 4.149 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3ccnc(C(F)F)c3)C2)cc1 ZINC001231892520 1053904728 /nfs/dbraw/zinc/90/47/28/1053904728.db2.gz DJTSPMKXPJPDNC-AWEZNQCLSA-N 0 3 306.331 4.148 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccc(F)cc1 ZINC000158548046 1053908003 /nfs/dbraw/zinc/90/80/03/1053908003.db2.gz GAOYXERDWVLIOC-SFHVURJKSA-N 0 3 314.404 4.020 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001231929993 1053918535 /nfs/dbraw/zinc/91/85/35/1053918535.db2.gz KXTHTPOAXSMSGW-MNOVXSKESA-N 0 3 318.770 4.376 20 0 DIADHN Cc1cc(CN2CCC[C@@H](CCc3ccccc3)C2)cnc1F ZINC001231929684 1053918785 /nfs/dbraw/zinc/91/87/85/1053918785.db2.gz IFXPKOPAUUENEA-SFHVURJKSA-N 0 3 312.432 4.374 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001231929996 1053918824 /nfs/dbraw/zinc/91/88/24/1053918824.db2.gz KXTHTPOAXSMSGW-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN COc1ccnc(CN(C)[C@H](C)c2cccc3ccccc32)c1 ZINC001231969634 1053924612 /nfs/dbraw/zinc/92/46/12/1053924612.db2.gz NOLJMUHPFJKIEA-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CCC[C@@H](NC[C@@H](c1ccco1)N(CC)CC)c1ccccn1 ZINC000158866052 1053926060 /nfs/dbraw/zinc/92/60/60/1053926060.db2.gz HSLXDQMPKJBALQ-AEFFLSMTSA-N 0 3 315.461 4.189 20 0 DIADHN Clc1nccc2ccc(CN3CC[C@@]4(C3)CCCCO4)cc21 ZINC001231976045 1053927139 /nfs/dbraw/zinc/92/71/39/1053927139.db2.gz HZFPPCPEGJTNBO-GOSISDBHSA-N 0 3 316.832 4.033 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cnc(F)cc2C)CC1 ZINC001231982367 1053928197 /nfs/dbraw/zinc/92/81/97/1053928197.db2.gz ACUVBZUFWVNAAM-UHFFFAOYSA-N 0 3 324.443 4.318 20 0 DIADHN COc1cc(Cl)c(CNC[C@@H](OC)C(C)(C)C)cc1Cl ZINC001200733219 1053928272 /nfs/dbraw/zinc/92/82/72/1053928272.db2.gz IWEBTZICHDOZHM-CQSZACIVSA-N 0 3 320.260 4.153 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@H](C)c2ccccc2C)c1 ZINC000159021599 1053930430 /nfs/dbraw/zinc/93/04/30/1053930430.db2.gz KSCSGHSIWPBERS-DLBZAZTESA-N 0 3 324.468 4.374 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3cc(C)nc(C)c3)C2)cc1 ZINC001232000803 1053932485 /nfs/dbraw/zinc/93/24/85/1053932485.db2.gz YOIXECXABSSACH-FQEVSTJZSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cc(CN2CCC3(C=Cc4ccccc43)CC2)cc(C)n1 ZINC001231997439 1053933141 /nfs/dbraw/zinc/93/31/41/1053933141.db2.gz OFOZISFPUJUEDB-UHFFFAOYSA-N 0 3 304.437 4.259 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc(C)nc(C)c2)cc1 ZINC001232000364 1053933569 /nfs/dbraw/zinc/93/35/69/1053933569.db2.gz JPLFIVZVFDCOOJ-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cc(CN(Cc2ccccn2)C2CCCCC2)cc(C)n1 ZINC001232000859 1053933805 /nfs/dbraw/zinc/93/38/05/1053933805.db2.gz DPIRVTDGTWDENV-UHFFFAOYSA-N 0 3 309.457 4.428 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cc(C)nc(C)c2)CC1 ZINC001231999024 1053934305 /nfs/dbraw/zinc/93/43/05/1053934305.db2.gz KBMDVDSVSRPOLX-UHFFFAOYSA-N 0 3 320.480 4.487 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3cccc(Cl)c3)C2)cc(C)n1 ZINC001232002049 1053936309 /nfs/dbraw/zinc/93/63/09/1053936309.db2.gz WOBZYNBTOGFGAT-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC[C@@H](C(F)(F)F)C1 ZINC001232006630 1053940465 /nfs/dbraw/zinc/94/04/65/1053940465.db2.gz LAKRWPMEPCYPIH-GFCCVEGCSA-N 0 3 311.269 4.398 20 0 DIADHN Cc1cnc2ccccc2c1N1C[C@@H](C)O[C@@H](CC(F)(F)F)C1 ZINC001328601061 1053940623 /nfs/dbraw/zinc/94/06/23/1053940623.db2.gz IVUBENRFPLUAFT-OLZOCXBDSA-N 0 3 324.346 4.089 20 0 DIADHN Cc1cnc2ccccc2c1N1C[C@@H](CC(F)(F)F)O[C@@H](C)C1 ZINC001328601062 1053940931 /nfs/dbraw/zinc/94/09/31/1053940931.db2.gz IVUBENRFPLUAFT-QWHCGFSZSA-N 0 3 324.346 4.089 20 0 DIADHN COc1cccc2[nH]cc(CN3CCc4ccccc4[C@H]3C)c21 ZINC001232034564 1053943049 /nfs/dbraw/zinc/94/30/49/1053943049.db2.gz LECGADZOKHBLRZ-CQSZACIVSA-N 0 3 306.409 4.296 20 0 DIADHN CCCc1ccc(CNCc2ccc(OC)c(OC)c2)s1 ZINC000621325630 1053945016 /nfs/dbraw/zinc/94/50/16/1053945016.db2.gz DYHXFAOYRGYDBQ-UHFFFAOYSA-N 0 3 305.443 4.008 20 0 DIADHN CC[C@H]1CCCN1Cc1c[nH]c2c1cccc2Br ZINC001232018790 1053944974 /nfs/dbraw/zinc/94/49/74/1053944974.db2.gz BSZDFSHZOPMAFV-LBPRGKRZSA-N 0 3 307.235 4.305 20 0 DIADHN COc1cccc2[nH]cc(CN3CCCC4(CC(F)C4)CC3)c21 ZINC001232037935 1053946641 /nfs/dbraw/zinc/94/66/41/1053946641.db2.gz GETMKINHUFIXJW-UHFFFAOYSA-N 0 3 316.420 4.281 20 0 DIADHN CCCc1ccc(CNCc2ccc(OCCOC)cc2)s1 ZINC000621329020 1053948043 /nfs/dbraw/zinc/94/80/43/1053948043.db2.gz LZYDDPADLCXPAB-UHFFFAOYSA-N 0 3 319.470 4.016 20 0 DIADHN CCOc1cncc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c1 ZINC000621331702 1053949322 /nfs/dbraw/zinc/94/93/22/1053949322.db2.gz KPWRYBPSBABCBO-GOSISDBHSA-N 0 3 316.420 4.496 20 0 DIADHN Fc1ccc(C2CCN(Cc3cc4ncccc4[nH]3)CC2)cc1 ZINC001232069413 1053950973 /nfs/dbraw/zinc/95/09/73/1053950973.db2.gz GKOHRJPEGPBCLH-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CCOc1cncc(CN[C@@H]2c3cccc(F)c3CC[C@@H]2C)c1 ZINC000621339614 1053951199 /nfs/dbraw/zinc/95/11/99/1053951199.db2.gz FZEUNTAFOIGRAC-DJJJIMSYSA-N 0 3 314.404 4.033 20 0 DIADHN Cc1cccc(CN(Cc2cc3ncccc3[nH]2)C2CC2)c1C ZINC001232065912 1053951358 /nfs/dbraw/zinc/95/13/58/1053951358.db2.gz DHJPITYZEGGOJG-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cc3ccncc3[nH]2)C1 ZINC001232093382 1053957772 /nfs/dbraw/zinc/95/77/72/1053957772.db2.gz ANAXHHGRGLFUTC-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@@H]3CC3(F)F)cs2)cc1 ZINC000621377707 1053959634 /nfs/dbraw/zinc/95/96/34/1053959634.db2.gz DXMOJNBLVVCBEK-CYBMUJFWSA-N 0 3 308.397 4.253 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cc3ccncc3[nH]2)C1 ZINC001232093380 1053960112 /nfs/dbraw/zinc/96/01/12/1053960112.db2.gz ANAXHHGRGLFUTC-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN CCC(C)(C)[C@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001300714663 1053967232 /nfs/dbraw/zinc/96/72/32/1053967232.db2.gz JCZIXBVEGYLEAE-INIZCTEOSA-N 0 3 316.489 4.227 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cnc(-c2cccnc2)o1 ZINC001232134201 1053967832 /nfs/dbraw/zinc/96/78/32/1053967832.db2.gz XYRCUCMGJCEYML-SFHVURJKSA-N 0 3 307.397 4.320 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cnc(-c2cccnc2)o1 ZINC001232134200 1053969806 /nfs/dbraw/zinc/96/98/06/1053969806.db2.gz XYRCUCMGJCEYML-GOSISDBHSA-N 0 3 307.397 4.320 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1CCC12CCCC2 ZINC001232142206 1053970892 /nfs/dbraw/zinc/97/08/92/1053970892.db2.gz ZAWUPSFWCLAJCM-UHFFFAOYSA-N 0 3 319.246 4.449 20 0 DIADHN Clc1ccc(-c2ccccc2CN2CCCC23COC3)cc1 ZINC001232195542 1053985341 /nfs/dbraw/zinc/98/53/41/1053985341.db2.gz UNHLOINZTBQBII-UHFFFAOYSA-N 0 3 313.828 4.372 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC(F)C4)CC3)ccnc12 ZINC001232209633 1053988348 /nfs/dbraw/zinc/98/83/48/1053988348.db2.gz ITGLDSBXNZTEQZ-UHFFFAOYSA-N 0 3 302.368 4.088 20 0 DIADHN CCCCn1nc(C)c(CN[C@@H]2CCC[C@H](F)C2)c1Cl ZINC001329415998 1053988613 /nfs/dbraw/zinc/98/86/13/1053988613.db2.gz ZKAFSOQZCPYHRW-QWHCGFSZSA-N 0 3 301.837 4.015 20 0 DIADHN C[C@@H]1CCN(Cc2ccnc3c(F)cccc23)CCC1(F)F ZINC001232210630 1053990424 /nfs/dbraw/zinc/99/04/24/1053990424.db2.gz RNZYPHCIQMRYEP-GFCCVEGCSA-N 0 3 308.347 4.241 20 0 DIADHN COc1ccc(CN(C)Cc2ccnc3c(F)cccc23)cc1 ZINC001232208817 1053990536 /nfs/dbraw/zinc/99/05/36/1053990536.db2.gz AVTVWDTUCCFJGM-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCN(Cc3cnsc3)C2)c1 ZINC001232227291 1053994818 /nfs/dbraw/zinc/99/48/18/1053994818.db2.gz JYQNGNASVGMUFY-ZDUSSCGKSA-N 0 3 312.360 4.151 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3C[C@@H]4[C@H](C3)C4(F)F)cs2)cc1 ZINC001329558844 1053995499 /nfs/dbraw/zinc/99/54/99/1053995499.db2.gz WUGAEIOIGARMBG-UBSAEIQESA-N 0 3 324.371 4.083 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(c3cccc(O)c3)CC2)ccn1 ZINC001232220448 1053997028 /nfs/dbraw/zinc/99/70/28/1053997028.db2.gz LFCRMXPLDBSMTQ-UHFFFAOYSA-N 0 3 324.468 4.464 20 0 DIADHN FC1(F)[C@@H]2C[C@@H](NCc3csc(-c4ccsc4)n3)C[C@@H]21 ZINC001329559257 1053997465 /nfs/dbraw/zinc/99/74/65/1053997465.db2.gz YHRIGIWPMKUTJY-WLLOZRIZSA-N 0 3 312.410 4.005 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2cccn2Cc2ccccc2)C1 ZINC001232233478 1054000760 /nfs/dbraw/zinc/00/07/60/1054000760.db2.gz IORGGWALMPINKX-INIZCTEOSA-N 0 3 304.384 4.014 20 0 DIADHN CN(Cc1ccnc(C(F)(F)F)c1)[C@@H]1CCc2ccccc21 ZINC001232242691 1054002017 /nfs/dbraw/zinc/00/20/17/1054002017.db2.gz FKZGXWOPOQFPLF-OAHLLOKOSA-N 0 3 306.331 4.220 20 0 DIADHN CSc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)cn1 ZINC001232327789 1054019099 /nfs/dbraw/zinc/01/90/99/1054019099.db2.gz TWPPAJRSDGDBGW-UHFFFAOYSA-N 0 3 322.477 4.364 20 0 DIADHN CSc1ccc(CN2CCC3(CCc4ccccc43)CC2)cn1 ZINC001232326004 1054020440 /nfs/dbraw/zinc/02/04/40/1054020440.db2.gz DGYACEFNWWEUTG-UHFFFAOYSA-N 0 3 324.493 4.284 20 0 DIADHN CSc1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)cn1 ZINC001232333600 1054023456 /nfs/dbraw/zinc/02/34/56/1054023456.db2.gz UVNZXWZUMVZTCT-OAHLLOKOSA-N 0 3 318.873 4.446 20 0 DIADHN CSc1cc(C)c(CN2CCC3(CC[C@@H](F)C3)CC2)cn1 ZINC001232350934 1054026609 /nfs/dbraw/zinc/02/66/09/1054026609.db2.gz ZVANCNAOACTYBJ-OAHLLOKOSA-N 0 3 308.466 4.216 20 0 DIADHN Cc1cc(Br)cc(C)c1CNCc1cccc(F)c1 ZINC000621813943 1054027092 /nfs/dbraw/zinc/02/70/92/1054027092.db2.gz ZPQICEUPIREDDE-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN c1ccc(COc2ccc(CN3CCC34CCCC4)cn2)cc1 ZINC001232356216 1054029477 /nfs/dbraw/zinc/02/94/77/1054029477.db2.gz LZLMUZHGLVPLKB-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN Cc1scc(CNCc2ccc(-c3cnn(C)c3)cc2)c1C ZINC001330342979 1054034224 /nfs/dbraw/zinc/03/42/24/1054034224.db2.gz NGUSXEJIIQLUTP-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001232385612 1054034597 /nfs/dbraw/zinc/03/45/97/1054034597.db2.gz DBEQTDGAJJMKKM-HZPDHXFCSA-N 0 3 303.446 4.104 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CCC[C@](C)(F)CC2)c1 ZINC001232387202 1054035078 /nfs/dbraw/zinc/03/50/78/1054035078.db2.gz FJMWAVSIJKBWFF-SFHVURJKSA-N 0 3 309.425 4.197 20 0 DIADHN CCN(CCOC)Cc1cccc(C)c1OCc1ccccc1 ZINC001232399639 1054040212 /nfs/dbraw/zinc/04/02/12/1054040212.db2.gz WXIPCAUREXZTCV-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(F)c(C)c(F)c3)C2)c1 ZINC001232425286 1054042428 /nfs/dbraw/zinc/04/24/28/1054042428.db2.gz FQKDUKTYASMBMO-MRXNPFEDSA-N 0 3 317.379 4.271 20 0 DIADHN c1ccc(CN(Cc2[nH]nc3c2CCC3)C2CCCCC2)cc1 ZINC001232424364 1054044591 /nfs/dbraw/zinc/04/45/91/1054044591.db2.gz HNUKOENUVSTOON-UHFFFAOYSA-N 0 3 309.457 4.233 20 0 DIADHN c1c(CN2CCC[C@@H]3CCC[C@@H]32)onc1OCc1ccccc1 ZINC001232451164 1054046040 /nfs/dbraw/zinc/04/60/40/1054046040.db2.gz DOXRUUBAIICOAR-WMZOPIPTSA-N 0 3 312.413 4.018 20 0 DIADHN C[C@H]1CN(Cc2cc(OCc3ccccc3)no2)CCC[C@@H]1C ZINC001232444449 1054047260 /nfs/dbraw/zinc/04/72/60/1054047260.db2.gz GZIIWGBJAYOEDO-HOTGVXAUSA-N 0 3 314.429 4.122 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]c3nccc(Cl)c13)CC2 ZINC001232463063 1054048374 /nfs/dbraw/zinc/04/83/74/1054048374.db2.gz FKAFPVUXUVDJIL-ZDUSSCGKSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1nc(CN(C)CCC(c2ccccc2)c2ccccc2)c[nH]1 ZINC001232465166 1054050991 /nfs/dbraw/zinc/05/09/91/1054050991.db2.gz XCZIBHHCCBXEPR-UHFFFAOYSA-N 0 3 319.452 4.372 20 0 DIADHN CCN(Cc1c[nH]c2nccc(Cl)c12)Cc1cccc(F)c1 ZINC001232463654 1054051855 /nfs/dbraw/zinc/05/18/55/1054051855.db2.gz OMQFQTVZYUGQCI-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN CCc1csc(CN(CC)[C@@H](C)Cc2ccc(OC)cc2)n1 ZINC001232470738 1054053492 /nfs/dbraw/zinc/05/34/92/1054053492.db2.gz VEWDNBGQVRRMNN-AWEZNQCLSA-N 0 3 318.486 4.167 20 0 DIADHN COc1cc(Cl)ccc1CN(C)[C@@H]1CCc2ccccc21 ZINC001232500132 1054059579 /nfs/dbraw/zinc/05/95/79/1054059579.db2.gz FRBAHLPVXFIVLM-QGZVFWFLSA-N 0 3 301.817 4.468 20 0 DIADHN C[C@@H](NCc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccc[nH]1 ZINC001331059495 1054060033 /nfs/dbraw/zinc/06/00/33/1054060033.db2.gz SSXGKDWOMSLNGX-CYBMUJFWSA-N 0 3 315.417 4.213 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC001232509852 1054060762 /nfs/dbraw/zinc/06/07/62/1054060762.db2.gz VKTYGKXDONOURP-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN CCC[C@H]1CCCCN1Cc1cccc(Br)c1N ZINC001232525439 1054063921 /nfs/dbraw/zinc/06/39/21/1054063921.db2.gz LNURHCKONPLWDH-ZDUSSCGKSA-N 0 3 311.267 4.186 20 0 DIADHN Nc1c(Br)cccc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001232525456 1054064196 /nfs/dbraw/zinc/06/41/96/1054064196.db2.gz MHBZALQSVIQHPU-IUODEOHRSA-N 0 3 323.278 4.186 20 0 DIADHN c1ccc2c(c1)CCC21CCN(Cc2nc3ccccc3o2)CC1 ZINC001232556852 1054070930 /nfs/dbraw/zinc/07/09/30/1054070930.db2.gz URWBFHFUDAMSCW-UHFFFAOYSA-N 0 3 318.420 4.308 20 0 DIADHN CCCCN(CCc1c(C)noc1C)Cc1ccccc1F ZINC000165171357 1054071150 /nfs/dbraw/zinc/07/11/50/1054071150.db2.gz FPBHWYZUMJEKLR-UHFFFAOYSA-N 0 3 304.409 4.275 20 0 DIADHN c1ccc(COc2ccnc(CN3CC[C@@H]4CCC[C@H]4C3)c2)cc1 ZINC001232586845 1054075862 /nfs/dbraw/zinc/07/58/62/1054075862.db2.gz GRWZTMNRSCHJJM-OALUTQOASA-N 0 3 322.452 4.283 20 0 DIADHN c1ccc(COc2ccnc(CN3CC[C@@H]4CCC[C@@H]4C3)c2)cc1 ZINC001232586846 1054075948 /nfs/dbraw/zinc/07/59/48/1054075948.db2.gz GRWZTMNRSCHJJM-RBUKOAKNSA-N 0 3 322.452 4.283 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H]2CCCc3c2cnn3C)cc1 ZINC000165417050 1054076106 /nfs/dbraw/zinc/07/61/06/1054076106.db2.gz YCXVSDMXNOHJFD-DYVFJYSZSA-N 0 3 315.486 4.260 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1cc(OCc2ccccc2)ccn1 ZINC001232585703 1054076046 /nfs/dbraw/zinc/07/60/46/1054076046.db2.gz GPRYLQCEHKWADD-IRXDYDNUSA-N 0 3 310.441 4.424 20 0 DIADHN Cc1cc(CN[C@@H]2CCC(F)(F)C2)c(Br)cc1F ZINC001331498229 1054080106 /nfs/dbraw/zinc/08/01/06/1054080106.db2.gz LMDWCESRAREYMW-SNVBAGLBSA-N 0 3 322.168 4.174 20 0 DIADHN COc1ccccc1C1(NCc2ccc3cc[nH]c3c2)CCC1 ZINC001331499941 1054080170 /nfs/dbraw/zinc/08/01/70/1054080170.db2.gz XZFLTGPVQPKGCX-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN Cc1cc(CN[C@H]2CCC(F)(F)C2)c(Br)cc1F ZINC001331498204 1054080306 /nfs/dbraw/zinc/08/03/06/1054080306.db2.gz LMDWCESRAREYMW-JTQLQIEISA-N 0 3 322.168 4.174 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CN2CCC(C)(C)CC2)n1 ZINC001232604059 1054080848 /nfs/dbraw/zinc/08/08/48/1054080848.db2.gz UWNYKIVXXVEJBJ-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN Clc1cc(-n2cccn2)ccc1CN1CCCCC12CC2 ZINC001232596700 1054080911 /nfs/dbraw/zinc/08/09/11/1054080911.db2.gz RIZDHCDDPPNABE-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN CN(Cc1ccc2c(c1)OCO2)Cc1ccc2ccsc2c1 ZINC001232616212 1054084691 /nfs/dbraw/zinc/08/46/91/1054084691.db2.gz NGBBNLJEYNOQPV-UHFFFAOYSA-N 0 3 311.406 4.262 20 0 DIADHN COc1cccc(C)c1CN(C)Cc1cccc2cccnc21 ZINC001232628904 1054087689 /nfs/dbraw/zinc/08/76/89/1054087689.db2.gz ZXUWEHDFTUKPIC-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCCOC1CCN(Cc2cc3ccc(Cl)cc3[nH]2)CC1 ZINC001232730865 1054106747 /nfs/dbraw/zinc/10/67/47/1054106747.db2.gz YTQAIPSMMLIWQN-UHFFFAOYSA-N 0 3 306.837 4.212 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cccc2ncsc21 ZINC001232735948 1054110640 /nfs/dbraw/zinc/11/06/40/1054110640.db2.gz FNVISSSHAONKQV-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN FC(F)(F)c1ccc(CN2CC3CCC2CC3)c(Cl)n1 ZINC001232791929 1054122321 /nfs/dbraw/zinc/12/23/21/1054122321.db2.gz AIGZJMPYVZJNRD-UHFFFAOYSA-N 0 3 304.743 4.128 20 0 DIADHN CC[N@H+](Cc1cccs1)Cc1cc(Cl)cc(OC)c1[O-] ZINC000184675183 1054122570 /nfs/dbraw/zinc/12/25/70/1054122570.db2.gz KYRHBOJSUWEQHN-UHFFFAOYSA-N 0 3 311.834 4.138 20 0 DIADHN CC[N@@H+](Cc1cccs1)Cc1cc(Cl)cc(OC)c1[O-] ZINC000184675183 1054122574 /nfs/dbraw/zinc/12/25/74/1054122574.db2.gz KYRHBOJSUWEQHN-UHFFFAOYSA-N 0 3 311.834 4.138 20 0 DIADHN Cc1cccc2c1CCN(Cc1c[nH]c3cc(Cl)ncc13)C2 ZINC001232819781 1054125386 /nfs/dbraw/zinc/12/53/86/1054125386.db2.gz ZENQFYPJVRQSPW-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN Clc1cc2[nH]cc(CN3CCC[C@@H]4CCCC[C@@H]43)c2cn1 ZINC001232822332 1054126062 /nfs/dbraw/zinc/12/60/62/1054126062.db2.gz OIIKRKVTBLABIP-LRDDRELGSA-N 0 3 303.837 4.371 20 0 DIADHN CCN(Cc1c[nH]c2cc(Cl)ncc12)Cc1cccc(F)c1 ZINC001232824224 1054126995 /nfs/dbraw/zinc/12/69/95/1054126995.db2.gz RRRNMRRTKUYCIJ-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]c2cc(Cl)ncc12 ZINC001232823535 1054127990 /nfs/dbraw/zinc/12/79/90/1054127990.db2.gz DJLOTVYDZKKRBP-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1c[nH]c3cc(Cl)ncc13)C2 ZINC001232823599 1054128059 /nfs/dbraw/zinc/12/80/59/1054128059.db2.gz BHVAJXCOLZPAPQ-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN Clc1cc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c2cn1 ZINC001232824321 1054128663 /nfs/dbraw/zinc/12/86/63/1054128663.db2.gz ULACCWUITJKGPS-BETUJISGSA-N 0 3 323.827 4.303 20 0 DIADHN CCCCC[C@H](NCc1cnc(Cl)n1C)c1ccccc1 ZINC000848533332 1054129048 /nfs/dbraw/zinc/12/90/48/1054129048.db2.gz GRRXQHCTNNKNOC-INIZCTEOSA-N 0 3 305.853 4.485 20 0 DIADHN Cn1c(CN[C@@H](c2ccc(F)cc2)C2CCCC2)cnc1Cl ZINC000848531226 1054129235 /nfs/dbraw/zinc/12/92/35/1054129235.db2.gz LWRWBPHJSGJLCS-MRXNPFEDSA-N 0 3 321.827 4.234 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnc(Cl)n2C)cc1 ZINC000848532751 1054130182 /nfs/dbraw/zinc/13/01/82/1054130182.db2.gz DUMCZAXEVSLJOP-UHFFFAOYSA-N 0 3 305.853 4.222 20 0 DIADHN Oc1ccc2[nH]cc(CN3CCC[C@@H]3c3ccccc3F)c2c1 ZINC001232866263 1054133253 /nfs/dbraw/zinc/13/32/53/1054133253.db2.gz UBGVSHJVOJVKDL-LJQANCHMSA-N 0 3 310.372 4.350 20 0 DIADHN Cc1cc(O)cc(CN2CCC[C@@H](Oc3ccccc3C)C2)c1 ZINC001232884558 1054137384 /nfs/dbraw/zinc/13/73/84/1054137384.db2.gz PFZMESXVJOKQSJ-LJQANCHMSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1cc(O)cc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)c1 ZINC001232882861 1054138690 /nfs/dbraw/zinc/13/86/90/1054138690.db2.gz CQBSTOCLYSAEAV-MRXNPFEDSA-N 0 3 323.436 4.283 20 0 DIADHN Cc1cc(O)cc(CN2CCC(c3nc4ccccc4o3)CC2)c1 ZINC001232880501 1054138871 /nfs/dbraw/zinc/13/88/71/1054138871.db2.gz OSQFRZLVEYRDOM-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN Fc1cccc(-c2ccc(CN3CCC[C@@H](C(F)F)C3)cn2)c1 ZINC001232887273 1054141066 /nfs/dbraw/zinc/14/10/66/1054141066.db2.gz BSIZSILFXFBRDM-OAHLLOKOSA-N 0 3 320.358 4.365 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](CC1CCC1)c1ccccc1 ZINC000848547442 1054141343 /nfs/dbraw/zinc/14/13/43/1054141343.db2.gz OFNVNACMXSSMAH-INIZCTEOSA-N 0 3 303.837 4.095 20 0 DIADHN c1ccc(-c2cncc(CN3CCC4(CCCOC4)CC3)c2)cc1 ZINC001232903208 1054146014 /nfs/dbraw/zinc/14/60/14/1054146014.db2.gz IHJVCLYGHMPYBA-UHFFFAOYSA-N 0 3 322.452 4.141 20 0 DIADHN COc1ccccc1CN(C)Cc1ccccc1-n1cccc1 ZINC001232910173 1054149390 /nfs/dbraw/zinc/14/93/90/1054149390.db2.gz NWJAUONDQGESQM-UHFFFAOYSA-N 0 3 306.409 4.118 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3ccc4ncoc4c3)C2)cc1 ZINC001232941174 1054152150 /nfs/dbraw/zinc/15/21/50/1054152150.db2.gz KLCGPZPEGHBINR-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc3ncoc3c2)cc1 ZINC001232941808 1054153067 /nfs/dbraw/zinc/15/30/67/1054153067.db2.gz NHFLTOHOMOZRDP-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN c1nc2ccc(CN3CCC[C@@H](OCc4ccccc4)C3)cc2o1 ZINC001232945351 1054153309 /nfs/dbraw/zinc/15/33/09/1054153309.db2.gz PVMZOFLQSFTMRS-GOSISDBHSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@]1(CF)CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232944484 1054153565 /nfs/dbraw/zinc/15/35/65/1054153565.db2.gz OSWJPPGFAKZHAU-CYBMUJFWSA-N 0 3 307.356 4.480 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccc(CSC(F)F)o2)C1 ZINC000848567405 1054153620 /nfs/dbraw/zinc/15/36/20/1054153620.db2.gz UJNMGQYWGCLCFS-MJHDQNEOSA-N 0 3 319.417 4.171 20 0 DIADHN CCO[C@H]1CCCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232947922 1054155523 /nfs/dbraw/zinc/15/55/23/1054155523.db2.gz LFSMGJUHSYMSNP-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CCC[C@]23CCOC3)c1 ZINC001232947450 1054156151 /nfs/dbraw/zinc/15/61/51/1054156151.db2.gz DSUHENBMGIKDHF-CQSZACIVSA-N 0 3 317.376 4.053 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1cccc(SC(F)(F)F)c1 ZINC001232948168 1054156363 /nfs/dbraw/zinc/15/63/63/1054156363.db2.gz OKRRCFQMTFRKHF-GMXVVIOVSA-N 0 3 305.340 4.231 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(OC(F)F)nc1 ZINC001232956984 1054159014 /nfs/dbraw/zinc/15/90/14/1054159014.db2.gz NZIMGMOEAQNCHW-UHFFFAOYSA-N 0 3 306.356 4.014 20 0 DIADHN CN(C)c1ccc(CN2C[C@H]3CCCC[C@@]32c2ccccc2)cn1 ZINC001333572060 1054159514 /nfs/dbraw/zinc/15/95/14/1054159514.db2.gz QTELBVDOAMHQHN-TZIWHRDSSA-N 0 3 321.468 4.049 20 0 DIADHN FC(F)Oc1ccc(CN(Cc2ccccc2)C2CCC2)cn1 ZINC001232957216 1054160172 /nfs/dbraw/zinc/16/01/72/1054160172.db2.gz WRLGBTCDRAKTEH-UHFFFAOYSA-N 0 3 318.367 4.238 20 0 DIADHN CN(C)c1ccc(CN2C[C@@H]3CCCC[C@@]32c2ccccc2)cn1 ZINC001333572059 1054160493 /nfs/dbraw/zinc/16/04/93/1054160493.db2.gz QTELBVDOAMHQHN-PZJWPPBQSA-N 0 3 321.468 4.049 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232982780 1054166049 /nfs/dbraw/zinc/16/60/49/1054166049.db2.gz INUUOVYIZKWVOJ-HNNXBMFYSA-N 0 3 301.327 4.288 20 0 DIADHN Cc1ncc(F)cc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001232986942 1054166125 /nfs/dbraw/zinc/16/61/25/1054166125.db2.gz VXTJQYHAFMHCPQ-UHFFFAOYSA-N 0 3 324.443 4.399 20 0 DIADHN c1cncc(O[C@@H]2CCCN(Cc3ccc(C4CC4)cc3)C2)c1 ZINC001232983020 1054166873 /nfs/dbraw/zinc/16/68/73/1054166873.db2.gz ICRIXUVPKBTSTQ-HXUWFJFHSA-N 0 3 308.425 4.002 20 0 DIADHN C[C@H](NCc1cnc(N(C)C)s1)c1csc2ccccc12 ZINC001333717729 1054168907 /nfs/dbraw/zinc/16/89/07/1054168907.db2.gz CDTFHOBELBDNKZ-NSHDSACASA-N 0 3 317.483 4.275 20 0 DIADHN Cc1ncc(F)cc1CN1CCC[C@@H](CCc2ccccc2)C1 ZINC001232992103 1054169274 /nfs/dbraw/zinc/16/92/74/1054169274.db2.gz IDOCOGBZGFRWBV-SFHVURJKSA-N 0 3 312.432 4.374 20 0 DIADHN CCOC(=O)c1ccsc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001233020933 1054174293 /nfs/dbraw/zinc/17/42/93/1054174293.db2.gz WNLBNNIGNHCFQB-DZGCQCFKSA-N 0 3 307.459 4.079 20 0 DIADHN CCOC(=O)c1ccsc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001233020934 1054174307 /nfs/dbraw/zinc/17/43/07/1054174307.db2.gz WNLBNNIGNHCFQB-HIFRSBDPSA-N 0 3 307.459 4.079 20 0 DIADHN FC(F)(F)c1cccc(-n2cc(CN3CCCC34CC4)cn2)c1 ZINC001233041052 1054177822 /nfs/dbraw/zinc/17/78/22/1054177822.db2.gz QHVNZIPVDPIALW-UHFFFAOYSA-N 0 3 321.346 4.020 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](C)c2cccc(F)c2)cc1OC ZINC001334125393 1054182626 /nfs/dbraw/zinc/18/26/26/1054182626.db2.gz MJTGSUWMWNYSLN-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3cccc(Cl)c3)C2)cnc1C ZINC001233162015 1054192900 /nfs/dbraw/zinc/19/29/00/1054192900.db2.gz ODVNAJMKLXJVEP-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3Cl)C2)cnc1C ZINC001233162116 1054192959 /nfs/dbraw/zinc/19/29/59/1054192959.db2.gz SAGHIEUNLYZDPA-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3cnc(C)c(C)c3)C2)cc1 ZINC001233164667 1054193300 /nfs/dbraw/zinc/19/33/00/1054193300.db2.gz YPFWBCZRCFCANO-HXUWFJFHSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccc(F)cc3)CC2)cnc1C ZINC001233162238 1054193561 /nfs/dbraw/zinc/19/35/61/1054193561.db2.gz YJMXUSMJUCRUDE-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN COc1ccccc1C1CCN(Cc2cnc(C)c(C)c2)CC1 ZINC001233162211 1054194040 /nfs/dbraw/zinc/19/40/40/1054194040.db2.gz XJFGTWRSPZJQPD-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN Cc1c[nH]c(=O)c(CN(C)Cc2cc(Cl)cc(Cl)c2)c1 ZINC001233193909 1054199193 /nfs/dbraw/zinc/19/91/93/1054199193.db2.gz HJQKKVKEQOXOSK-UHFFFAOYSA-N 0 3 311.212 4.034 20 0 DIADHN CC[C@@H](C(=O)N[C@H](CC(C)(C)C)c1ccc(Cl)cc1)N(C)C ZINC000622389770 1054200352 /nfs/dbraw/zinc/20/03/52/1054200352.db2.gz ZUJPNZTZFXWPKL-CVEARBPZSA-N 0 3 324.896 4.274 20 0 DIADHN COCC1CN(Cc2ccc(-c3ccc(Cl)cc3)cc2)C1 ZINC001233217320 1054203405 /nfs/dbraw/zinc/20/34/05/1054203405.db2.gz QMIJIPUZKCFOBX-UHFFFAOYSA-N 0 3 301.817 4.085 20 0 DIADHN CC(C)c1cc(CNC2CC(c3ccc(F)cc3F)C2)on1 ZINC001334955406 1054206392 /nfs/dbraw/zinc/20/63/92/1054206392.db2.gz CWBCTZUBIAVDQH-UHFFFAOYSA-N 0 3 306.356 4.112 20 0 DIADHN Cc1ccc(CN(Cc2ccccn2)C2CCCCC2)cc1O ZINC001233234296 1054212172 /nfs/dbraw/zinc/21/21/72/1054212172.db2.gz RDHPOBRUVANEQE-UHFFFAOYSA-N 0 3 310.441 4.431 20 0 DIADHN c1nn2ccccc2c1CN1CCC(CCc2ccccc2)CC1 ZINC001233238367 1054213768 /nfs/dbraw/zinc/21/37/68/1054213768.db2.gz HEMOMLSDOWQZKM-UHFFFAOYSA-N 0 3 319.452 4.179 20 0 DIADHN CN(Cc1ccc([S-])cc1)C1CC[NH+](C2CCCCC2)CC1 ZINC001233262671 1054220041 /nfs/dbraw/zinc/22/00/41/1054220041.db2.gz PYQWHDQHPQWQMR-UHFFFAOYSA-N 0 3 318.530 4.204 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1cccc2c1CCO2 ZINC001233277275 1054220138 /nfs/dbraw/zinc/22/01/38/1054220138.db2.gz VHBXNXGSJMPBNV-UHFFFAOYSA-N 0 3 321.342 4.272 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cccc(OCOC)c1 ZINC001233282956 1054221093 /nfs/dbraw/zinc/22/10/93/1054221093.db2.gz LNSMTGDGPAMOKB-UHFFFAOYSA-N 0 3 319.832 4.345 20 0 DIADHN COC(=O)/C=C/c1ccc(CN(C)C/C=C/c2ccccc2)cc1 ZINC001233299530 1054226536 /nfs/dbraw/zinc/22/65/36/1054226536.db2.gz CYWBAGYWDYYDMU-NUFNZXCZSA-N 0 3 321.420 4.018 20 0 DIADHN Cc1cc(CN(C)CCc2ccc(C(F)(F)F)cc2)sn1 ZINC001233313724 1054229697 /nfs/dbraw/zinc/22/96/97/1054229697.db2.gz JZRJWFNSPZGZFG-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)sn1 ZINC001233312975 1054229882 /nfs/dbraw/zinc/22/98/82/1054229882.db2.gz XMDUGNMOJBUELT-HNNXBMFYSA-N 0 3 304.434 4.045 20 0 DIADHN Cc1cnsc1CN(CCCCCCO)Cc1ccccc1 ZINC001233322721 1054231423 /nfs/dbraw/zinc/23/14/23/1054231423.db2.gz QOURMMJMAHOIPM-UHFFFAOYSA-N 0 3 318.486 4.006 20 0 DIADHN Cc1cnsc1CN1CCC2(CC1)O[C@@H](C)c1ccccc12 ZINC001233322794 1054231533 /nfs/dbraw/zinc/23/15/33/1054231533.db2.gz UIPGOGLJGQBVCU-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1cnsc1CN(C)CCc1ccc(C(F)(F)F)cc1 ZINC001233323286 1054233105 /nfs/dbraw/zinc/23/31/05/1054233105.db2.gz OMGOSAJUFCHWJL-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN c1c2cccnc2sc1CN1CC[C@@H](OCc2ccccc2)C1 ZINC001233348171 1054242214 /nfs/dbraw/zinc/24/22/14/1054242214.db2.gz QKHOGVZPCPFMFU-QGZVFWFLSA-N 0 3 324.449 4.087 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001233350395 1054244339 /nfs/dbraw/zinc/24/43/39/1054244339.db2.gz GAXPAOPLGVKTMC-DOMZBBRYSA-N 0 3 311.878 4.063 20 0 DIADHN COCC[C@H]1CCCCN1Cc1c(Cl)cccc1SC ZINC001233352418 1054245246 /nfs/dbraw/zinc/24/52/46/1054245246.db2.gz DJEFPDWMHQLQSY-CYBMUJFWSA-N 0 3 313.894 4.453 20 0 DIADHN CCc1cnc(CNC2CC(c3ccc(F)cc3F)C2)s1 ZINC001336735740 1054245700 /nfs/dbraw/zinc/24/57/00/1054245700.db2.gz OHCZUKHZWFMHIX-UHFFFAOYSA-N 0 3 308.397 4.020 20 0 DIADHN Cc1c([C@@H](C)NCc2c(C)ccc3cc(C)ccc32)cnn1C ZINC001336775669 1054247315 /nfs/dbraw/zinc/24/73/15/1054247315.db2.gz NWHWQLYSYQTDDH-OAHLLOKOSA-N 0 3 307.441 4.349 20 0 DIADHN CN(C)Cc1cccc(C(=O)NC2CC(C)(C)CC(C)(C)C2)c1 ZINC000622488961 1054256578 /nfs/dbraw/zinc/25/65/78/1054256578.db2.gz LXNDGMVYQIWAIJ-UHFFFAOYSA-N 0 3 316.489 4.083 20 0 DIADHN Fc1cc(F)cc(-c2n[nH]cc2CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC001233405981 1054262745 /nfs/dbraw/zinc/26/27/45/1054262745.db2.gz VPHOJDHSCNAJKO-PXAZEXFGSA-N 0 3 317.383 4.119 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC001233432280 1054270521 /nfs/dbraw/zinc/27/05/21/1054270521.db2.gz DRUHFVMGSSQZDM-HZPDHXFCSA-N 0 3 304.434 4.036 20 0 DIADHN CC(=O)c1ccc2c(c1O[C@@H]1CCCN(C(C)C)C1)CCCC2 ZINC001233547241 1054293049 /nfs/dbraw/zinc/29/30/49/1054293049.db2.gz PXWLGAFAXZVGHM-QGZVFWFLSA-N 0 3 315.457 4.020 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2ccccc2C(C)C)CC1 ZINC000848918349 1054298306 /nfs/dbraw/zinc/29/83/06/1054298306.db2.gz WXDDFISJRNGHDI-UHFFFAOYSA-N 0 3 315.379 4.353 20 0 DIADHN Cc1cc(CN2CC[C@@H](Oc3cc(F)ccc3F)C2)sc1C ZINC001233684344 1054331399 /nfs/dbraw/zinc/33/13/99/1054331399.db2.gz IHQYKNADTGKKSH-CQSZACIVSA-N 0 3 323.408 4.296 20 0 DIADHN Cc1cc(CN2CCC(Oc3ncccc3C)CC2)sc1C ZINC001233700775 1054336831 /nfs/dbraw/zinc/33/68/31/1054336831.db2.gz SHACZDFAURKJNU-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cccc(C(F)F)c2)c(F)c1 ZINC000622704311 1054338522 /nfs/dbraw/zinc/33/85/22/1054338522.db2.gz XJBKPTZJIIRPTF-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN CC(C)CC1(C(=O)Nc2ccc(CN(C)C)cc2F)CCCC1 ZINC000622703867 1054338750 /nfs/dbraw/zinc/33/87/50/1054338750.db2.gz CQMIFZWZROYOOW-UHFFFAOYSA-N 0 3 320.452 4.432 20 0 DIADHN Cc1cc(C(=O)Nc2ccc(CN(C)C)cc2F)ccc1Cl ZINC000622703985 1054339459 /nfs/dbraw/zinc/33/94/59/1054339459.db2.gz IPRVLVRJHALCNX-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cn2cc(-c3ccc(C)cc3)cn2)C1 ZINC001339605039 1054342860 /nfs/dbraw/zinc/34/28/60/1054342860.db2.gz OOADPTHYYNSYLX-ZWKOTPCHSA-N 0 3 315.486 4.032 20 0 DIADHN CCCOc1ccccc1[C@@H](CC(C)C)NCc1cc(C)[nH]n1 ZINC001339761556 1054346807 /nfs/dbraw/zinc/34/68/07/1054346807.db2.gz RDCMCEDUTDQSJC-GOSISDBHSA-N 0 3 315.461 4.384 20 0 DIADHN CC1(C)CC(=O)N1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC001339941835 1054351489 /nfs/dbraw/zinc/35/14/89/1054351489.db2.gz MOUQIWLBUMJROZ-UHFFFAOYSA-N 0 3 320.864 4.057 20 0 DIADHN CC(C)c1ccc(C(=O)N(C)CCN2CCCCC2)cc1Cl ZINC000622738367 1054353471 /nfs/dbraw/zinc/35/34/71/1054353471.db2.gz NXCCXNNYEGOUJL-UHFFFAOYSA-N 0 3 322.880 4.021 20 0 DIADHN C[C@@H](c1ccccc1)c1ccccc1O[C@@H]1CN2CCC1CC2 ZINC001233782898 1054357151 /nfs/dbraw/zinc/35/71/51/1054357151.db2.gz PYLFRDMEUMJHFA-HRAATJIYSA-N 0 3 307.437 4.311 20 0 DIADHN Cc1cccc([C@H](N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c2ccccn2)c1 ZINC001340117956 1054358548 /nfs/dbraw/zinc/35/85/48/1054358548.db2.gz NLGCBRXAKRWHSD-DFGXFYAUSA-N 0 3 314.379 4.113 20 0 DIADHN C[C@H](NCCc1coc(-c2ccccc2)n1)c1ccccc1F ZINC001340516978 1054362364 /nfs/dbraw/zinc/36/23/64/1054362364.db2.gz SKYIYYJSPILWMX-AWEZNQCLSA-N 0 3 310.372 4.374 20 0 DIADHN Cc1cc(CN[C@H](c2cccc(Cl)c2)C2CCCC2)[nH]n1 ZINC001340733901 1054366617 /nfs/dbraw/zinc/36/66/17/1054366617.db2.gz DQYLMADBPSQNOG-KRWDZBQOSA-N 0 3 303.837 4.393 20 0 DIADHN COCCCCCN(C)[C@H](C)c1ccccc1Br ZINC000171500452 1054371941 /nfs/dbraw/zinc/37/19/41/1054371941.db2.gz DOUKUYPRMOUOEF-CYBMUJFWSA-N 0 3 314.267 4.259 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@H](C)CN1CCCCC1 ZINC001441177706 1054384560 /nfs/dbraw/zinc/38/45/60/1054384560.db2.gz PPZQDXZMNJHYCU-MJGOQNOKSA-N 0 3 310.526 4.364 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001441321419 1054388937 /nfs/dbraw/zinc/38/89/37/1054388937.db2.gz VHJFEAXJWSKCNB-PBHICJAKSA-N 0 3 324.534 4.320 20 0 DIADHN CC(C)n1cc(CNC2(c3ccc(F)cc3)CCCC2)cn1 ZINC000171841257 1054392246 /nfs/dbraw/zinc/39/22/46/1054392246.db2.gz JDVREDWLLLHRQJ-UHFFFAOYSA-N 0 3 301.409 4.162 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2csc(-c3ccccn3)n2)C1 ZINC000171883276 1054393486 /nfs/dbraw/zinc/39/34/86/1054393486.db2.gz BMAPKZZKINDZSZ-HIFRSBDPSA-N 0 3 301.459 4.216 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000171949818 1054397987 /nfs/dbraw/zinc/39/79/87/1054397987.db2.gz DELJGNZJZNXGQQ-ZBFHGGJFSA-N 0 3 304.478 4.423 20 0 DIADHN CC(C)n1cc(CN2C[C@@H]3CCCC[C@@]32c2ccccc2)cn1 ZINC001341603891 1054400499 /nfs/dbraw/zinc/40/04/99/1054400499.db2.gz BWAQIELRDQVOHS-VQTJNVASSA-N 0 3 309.457 4.365 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@H]2c2cccs2)c2ccccc12 ZINC001233989502 1054407755 /nfs/dbraw/zinc/40/77/55/1054407755.db2.gz WJTVEIGZPWGRNV-INIZCTEOSA-N 0 3 310.422 4.339 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2cccc(OC(F)F)c2)cc1 ZINC000622818251 1054409443 /nfs/dbraw/zinc/40/94/43/1054409443.db2.gz CDQLZSNGGBEQDX-QGZVFWFLSA-N 0 3 319.351 4.331 20 0 DIADHN c1csc(CN(CCc2cccnc2)Cc2cccs2)c1 ZINC000172153118 1054414094 /nfs/dbraw/zinc/41/40/94/1054414094.db2.gz GRLMNCHIVWGBES-UHFFFAOYSA-N 0 3 314.479 4.450 20 0 DIADHN CN(Cc1ccccc1F)C[C@@H]1CCCO[C@H]1c1ccccc1 ZINC000622836842 1054428267 /nfs/dbraw/zinc/42/82/67/1054428267.db2.gz RRFJKKHODSQLKS-ICSRJNTNSA-N 0 3 313.416 4.425 20 0 DIADHN CC(C)(C)CCCCC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001357200278 1054436918 /nfs/dbraw/zinc/43/69/18/1054436918.db2.gz JSXXGDDZKTVIFY-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CCCC(=CC(=O)N1CCN(CC2CCCCC2)CC1)CCC ZINC001357201470 1054437638 /nfs/dbraw/zinc/43/76/38/1054437638.db2.gz ZYCKPSSGEKLUQL-UHFFFAOYSA-N 0 3 320.521 4.238 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H]3OCCC[C@H]3C2)c1 ZINC001343899215 1054455053 /nfs/dbraw/zinc/45/50/53/1054455053.db2.gz XLMDQVYCMBGYOB-XJKSGUPXSA-N 0 3 314.256 4.037 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)C(C)(C)c1ccccc1 ZINC000671247072 1054459623 /nfs/dbraw/zinc/45/96/23/1054459623.db2.gz XTHYLQRIGULFGM-UHFFFAOYSA-N 0 3 311.425 4.135 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CC[C@@]2(C1)CCCOC2 ZINC000671253993 1054464212 /nfs/dbraw/zinc/46/42/12/1054464212.db2.gz PQWVMYISZPUUQJ-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN CC(C)COc1ccccc1CN1CCC2(CCOCC2)CC1 ZINC000671252393 1054464763 /nfs/dbraw/zinc/46/47/63/1054464763.db2.gz SFTHLYMKQHKLNT-UHFFFAOYSA-N 0 3 317.473 4.114 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)c1cccs1 ZINC001234239685 1054470196 /nfs/dbraw/zinc/47/01/96/1054470196.db2.gz WYCOAKJSKZMJPG-LLVKDONJSA-N 0 3 309.406 4.097 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)c1cc(C)ccc1O ZINC000185205807 1054470281 /nfs/dbraw/zinc/47/02/81/1054470281.db2.gz KGLZBOFDURTIRL-GFCCVEGCSA-N 0 3 305.805 4.213 20 0 DIADHN CC[C@@H]1CCCC[C@H]1Oc1c(F)cc(CN(C)C)cc1OC ZINC001234245418 1054471887 /nfs/dbraw/zinc/47/18/87/1054471887.db2.gz YJQFTIUZDCKBKP-GDBMZVCRSA-N 0 3 309.425 4.244 20 0 DIADHN CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)CC(C)C ZINC000671280874 1054481303 /nfs/dbraw/zinc/48/13/03/1054481303.db2.gz YOMDRZOVCJALOT-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN Cc1csc([C@H](N[C@H]2C[C@H](OC(C)C)C2(C)C)C2CC2)n1 ZINC000185231021 1054482258 /nfs/dbraw/zinc/48/22/58/1054482258.db2.gz JNHOATWGBMXDOA-SOUVJXGZSA-N 0 3 308.491 4.084 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC001445292642 1054501294 /nfs/dbraw/zinc/50/12/94/1054501294.db2.gz ODYQAIKXEWVWJD-KRWDZBQOSA-N 0 3 304.478 4.458 20 0 DIADHN CC[C@H]1CCC[C@]1(C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC001445286693 1054501606 /nfs/dbraw/zinc/50/16/06/1054501606.db2.gz BUFBQJHFNUYHQU-LPHOPBHVSA-N 0 3 302.462 4.212 20 0 DIADHN CCC(CC)CC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001445273609 1054501844 /nfs/dbraw/zinc/50/18/44/1054501844.db2.gz RSGLVZJJCJIMHF-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)Cc1ccc([C@@H](NCc2ccc(CO)o2)C(C)C)cc1 ZINC000174248305 1054505834 /nfs/dbraw/zinc/50/58/34/1054505834.db2.gz UFOBHHRFLCQCFK-FQEVSTJZSA-N 0 3 315.457 4.457 20 0 DIADHN CCCCCC(C)(C)CNC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC001445527242 1054509204 /nfs/dbraw/zinc/50/92/04/1054509204.db2.gz JBIVOQYEAFFRFE-QGZVFWFLSA-N 0 3 310.526 4.220 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1 ZINC001445606329 1054511170 /nfs/dbraw/zinc/51/11/70/1054511170.db2.gz NVMNBWZWJLAAAJ-OAGGEKHMSA-N 0 3 302.462 4.034 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC001445781600 1054517905 /nfs/dbraw/zinc/51/79/05/1054517905.db2.gz UXOOIPXUZGRQMA-MRXNPFEDSA-N 0 3 302.462 4.088 20 0 DIADHN CN1CCc2c(cccc2O[C@H](c2ccccc2)C(F)(F)F)C1 ZINC001234419736 1054518747 /nfs/dbraw/zinc/51/87/47/1054518747.db2.gz FKOGJWWOTUOOAU-QGZVFWFLSA-N 0 3 321.342 4.357 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2[C@H]3CCCCCC[C@H]23)c1 ZINC001446183239 1054529527 /nfs/dbraw/zinc/52/95/27/1054529527.db2.gz OYZDMSLMOLWPFQ-ROUUACIJSA-N 0 3 314.473 4.212 20 0 DIADHN COc1cc(CNCc2cc(C)cs2)c(SC)cc1OC ZINC000344612571 1054555499 /nfs/dbraw/zinc/55/54/99/1054555499.db2.gz NLICZGPSAWWTLY-UHFFFAOYSA-N 0 3 323.483 4.085 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(O)(c2ccccc2)CC1 ZINC000185503612 1054557040 /nfs/dbraw/zinc/55/70/40/1054557040.db2.gz RZYLVYTUEJKORY-HNNXBMFYSA-N 0 3 315.844 4.385 20 0 DIADHN CC(C)[C@H](NC[C@H](C)C(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000670268489 1054580005 /nfs/dbraw/zinc/58/00/05/1054580005.db2.gz KJORCFODRXVZGJ-ZFWWWQNUSA-N 0 3 316.449 4.101 20 0 DIADHN Cc1cccc(NC[C@H]2CCCN2Cc2ccc(Cl)cc2)n1 ZINC001347792904 1054584019 /nfs/dbraw/zinc/58/40/19/1054584019.db2.gz FGLHEYNOWSDHNM-QGZVFWFLSA-N 0 3 315.848 4.120 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@H]32)c(OC(C)C)c1 ZINC001234720683 1054584646 /nfs/dbraw/zinc/58/46/46/1054584646.db2.gz PMILOURYMZIULU-CRAIPNDOSA-N 0 3 303.446 4.247 20 0 DIADHN COc1ccc(CN2CC3CCC(CC3)C2)c(OC(C)C)c1 ZINC001234726875 1054584761 /nfs/dbraw/zinc/58/47/61/1054584761.db2.gz HSFPWCFVQOCHJK-UHFFFAOYSA-N 0 3 303.446 4.104 20 0 DIADHN Cc1ccc(CNCc2cccc3n[nH]cc32)c(OC(C)(C)C)c1 ZINC000623443048 1054597236 /nfs/dbraw/zinc/59/72/36/1054597236.db2.gz NMYPIWNMDTXCBB-UHFFFAOYSA-N 0 3 323.440 4.338 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)NCCN1CCCC(C)(C)C1 ZINC001448510596 1054599160 /nfs/dbraw/zinc/59/91/60/1054599160.db2.gz SOCIRBZCLBCLIU-SFHVURJKSA-N 0 3 322.537 4.221 20 0 DIADHN C[C@H](NCCN1C[C@H](C)C[C@H](C)C1)c1nc(C(C)(C)C)cs1 ZINC001473356036 1054616171 /nfs/dbraw/zinc/61/61/71/1054616171.db2.gz PTBRBLMXVAKPGI-ZNMIVQPWSA-N 0 3 323.550 4.069 20 0 DIADHN CCSCc1ccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001449732963 1054626198 /nfs/dbraw/zinc/62/61/98/1054626198.db2.gz OWGPWLVNQNPMPZ-QLFBSQMISA-N 0 3 320.502 4.140 20 0 DIADHN CCSCc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1 ZINC001449732953 1054626548 /nfs/dbraw/zinc/62/65/48/1054626548.db2.gz OWGPWLVNQNPMPZ-KFWWJZLASA-N 0 3 320.502 4.140 20 0 DIADHN CC(C)=CCC[C@@H](C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC001449851487 1054631249 /nfs/dbraw/zinc/63/12/49/1054631249.db2.gz WQNLXRDURYINFD-QGZVFWFLSA-N 0 3 314.473 4.147 20 0 DIADHN Cc1ccc(Br)cc1CNCc1cccn1C1CC1 ZINC001348382632 1054633147 /nfs/dbraw/zinc/63/31/47/1054633147.db2.gz CFRXWQOBGAZFQK-UHFFFAOYSA-N 0 3 319.246 4.184 20 0 DIADHN C[C@@H](NC(=O)C(C)(C)N1CCCCC1)c1cccc(C2CC2)c1 ZINC001450253529 1054644233 /nfs/dbraw/zinc/64/42/33/1054644233.db2.gz YNFPBVCHKBNNKN-OAHLLOKOSA-N 0 3 314.473 4.006 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1CCN(C2CC2)C[C@@H](C)C1 ZINC001450406116 1054647849 /nfs/dbraw/zinc/64/78/49/1054647849.db2.gz FKFNHXKVDGNEJF-XLIONFOSSA-N 0 3 322.537 4.316 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1CCN(C2CC2)C[C@H](C)C1 ZINC001450406106 1054647976 /nfs/dbraw/zinc/64/79/76/1054647976.db2.gz FKFNHXKVDGNEJF-FXAWDEMLSA-N 0 3 322.537 4.316 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(-c3nnc(C)o3)cc2)cc1 ZINC001235060611 1054649897 /nfs/dbraw/zinc/64/98/97/1054649897.db2.gz MXTGCTOGLUNBIY-UHFFFAOYSA-N 0 3 321.424 4.239 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC000178291839 1054649867 /nfs/dbraw/zinc/64/98/67/1054649867.db2.gz RMOWDRYCLXNJOM-YVEFUNNKSA-N 0 3 303.837 4.358 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000178459199 1054651137 /nfs/dbraw/zinc/65/11/37/1054651137.db2.gz GKEZOFPSBYSYNK-QRWLVFNGSA-N 0 3 306.409 4.273 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2nnc(C)o2)cc1 ZINC001235067308 1054651357 /nfs/dbraw/zinc/65/13/57/1054651357.db2.gz ZAJFCQCQFBFRRF-UHFFFAOYSA-N 0 3 313.426 4.129 20 0 DIADHN Cc1nnc(-c2ccc(CN(C)Cc3ccc(C)c(C)c3)cc2)o1 ZINC001235064413 1054651598 /nfs/dbraw/zinc/65/15/98/1054651598.db2.gz FXCQWTFSBRLZHB-UHFFFAOYSA-N 0 3 321.424 4.294 20 0 DIADHN c1cncc([C@@H]2CCCCN2Cc2cccc(N3CCCC3)c2)c1 ZINC001235091246 1054654026 /nfs/dbraw/zinc/65/40/26/1054654026.db2.gz CRIVLDSJPZWOBC-NRFANRHFSA-N 0 3 321.468 4.409 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc(CO)c(F)c2)cc1C ZINC000178567968 1054654572 /nfs/dbraw/zinc/65/45/72/1054654572.db2.gz MQYGOEBEFYIDDV-HNNXBMFYSA-N 0 3 301.405 4.094 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1conc1Cc1ccccc1 ZINC000623698105 1054656221 /nfs/dbraw/zinc/65/62/21/1054656221.db2.gz MHWBJXOHTBWEJN-UHFFFAOYSA-N 0 3 322.399 4.427 20 0 DIADHN CCCCCC[C@H](C)C(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001450694446 1054658987 /nfs/dbraw/zinc/65/89/87/1054658987.db2.gz AXSZKPCIIDZDNK-MAUKXSAKSA-N 0 3 322.468 4.151 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001450694448 1054659481 /nfs/dbraw/zinc/65/94/81/1054659481.db2.gz AXSZKPCIIDZDNK-QAPCUYQASA-N 0 3 322.468 4.151 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC001450737956 1054659743 /nfs/dbraw/zinc/65/97/43/1054659743.db2.gz CZXDEFWECIFTKE-OAGGEKHMSA-N 0 3 302.462 4.034 20 0 DIADHN FC(F)C1CCN(Cc2ccc(Oc3cccnc3)cc2)CC1 ZINC001235119930 1054662808 /nfs/dbraw/zinc/66/28/08/1054662808.db2.gz JYCPHUSSOMEKQV-UHFFFAOYSA-N 0 3 318.367 4.351 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccc(Oc3cccnc3)cc2)C1 ZINC001235124004 1054662994 /nfs/dbraw/zinc/66/29/94/1054662994.db2.gz ZADBCVOWUNIGLE-OAHLLOKOSA-N 0 3 318.367 4.351 20 0 DIADHN c1cc(-c2csc(CN3CC[C@H]4CCCO[C@@H]4C3)c2)cs1 ZINC001235174845 1054672063 /nfs/dbraw/zinc/67/20/63/1054672063.db2.gz CJLFXCJRKDIGBU-CXAGYDPISA-N 0 3 319.495 4.478 20 0 DIADHN c1cc(-c2csc(CN3CCN(CC4CC4)CC3)c2)cs1 ZINC001235187730 1054679135 /nfs/dbraw/zinc/67/91/35/1054679135.db2.gz ZLPZRVVAYGBQDX-UHFFFAOYSA-N 0 3 318.511 4.004 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)c1ccccc1N(C)C ZINC000179406053 1054680465 /nfs/dbraw/zinc/68/04/65/1054680465.db2.gz ZLGRIIBXOPXBPH-NDXORKPFSA-N 0 3 324.468 4.324 20 0 DIADHN Cn1cc(CN2CCC[C@H]2c2cccs2)c(C(C)(C)C)n1 ZINC000179592021 1054689176 /nfs/dbraw/zinc/68/91/76/1054689176.db2.gz YTHJKFWTPLAHFW-AWEZNQCLSA-N 0 3 303.475 4.116 20 0 DIADHN CCN(Cc1cccs1)Cc1cn(C)nc1-c1ccccc1 ZINC000179604391 1054690233 /nfs/dbraw/zinc/69/02/33/1054690233.db2.gz NWMIDWQLMSUUQE-UHFFFAOYSA-N 0 3 311.454 4.171 20 0 DIADHN C[C@H](Nc1cccc(CN2CCSCC2)c1)c1cccs1 ZINC000179619188 1054692468 /nfs/dbraw/zinc/69/24/68/1054692468.db2.gz LYPGYVJXLWHGDP-AWEZNQCLSA-N 0 3 318.511 4.470 20 0 DIADHN Fc1cccc2cc(CN3CCCC4(CC(F)C4)CC3)[nH]c21 ZINC001235249150 1054692309 /nfs/dbraw/zinc/69/23/09/1054692309.db2.gz PNBTZKUWHISRPV-UHFFFAOYSA-N 0 3 304.384 4.411 20 0 DIADHN CCc1cccc(CN2CCC(Cc3cccc(OC)c3)CC2)n1 ZINC001235256734 1054694144 /nfs/dbraw/zinc/69/41/44/1054694144.db2.gz BDUKXENXNKJHBE-UHFFFAOYSA-N 0 3 324.468 4.107 20 0 DIADHN CCc1cccc(CN2CC[C@H](c3cccc(Cl)c3)C2)n1 ZINC001235261252 1054695537 /nfs/dbraw/zinc/69/55/37/1054695537.db2.gz QQSFUQJBNAWRAF-HNNXBMFYSA-N 0 3 300.833 4.287 20 0 DIADHN CCc1cccc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)n1 ZINC001235270401 1054695616 /nfs/dbraw/zinc/69/56/16/1054695616.db2.gz JDCKTTUDQLBZBA-OAQYLSRUSA-N 0 3 324.443 4.263 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1CC[C@H](C)C(F)(F)CC1 ZINC001235419132 1054715320 /nfs/dbraw/zinc/71/53/20/1054715320.db2.gz XGWSAICQJWVLPR-AWEZNQCLSA-N 0 3 312.404 4.044 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCOc3cc(F)ccc32)cc1 ZINC000179967126 1054720737 /nfs/dbraw/zinc/72/07/37/1054720737.db2.gz PBJYVNXISOJWHZ-SCLBCKFNSA-N 0 3 315.388 4.399 20 0 DIADHN CCOc1cc(CN2C[C@@H]3CCC[C@@H]3C2)ccc1Br ZINC001235441327 1054721197 /nfs/dbraw/zinc/72/11/97/1054721197.db2.gz ZVOQIRNOENOXNF-OKILXGFUSA-N 0 3 324.262 4.080 20 0 DIADHN CC[C@H](C(=O)Nc1cc(C)cc(C(F)(F)F)c1)N(CC)CC ZINC001452985936 1054721586 /nfs/dbraw/zinc/72/15/86/1054721586.db2.gz WRBJIOFTSJTFBA-CQSZACIVSA-N 0 3 316.367 4.073 20 0 DIADHN CN(C)c1ncccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000180016085 1054725307 /nfs/dbraw/zinc/72/53/07/1054725307.db2.gz IIPZSMIVKGURRG-QGZVFWFLSA-N 0 3 315.848 4.042 20 0 DIADHN Clc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1ccncc1 ZINC000623754682 1054725340 /nfs/dbraw/zinc/72/53/40/1054725340.db2.gz RFIASHPJPIENTL-QGZVFWFLSA-N 0 3 311.816 4.384 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CO[C@H](C3CC3)C2)o1 ZINC000623752992 1054726141 /nfs/dbraw/zinc/72/61/41/1054726141.db2.gz PHWYOLBWYOWIEB-BEFAXECRSA-N 0 3 315.388 4.051 20 0 DIADHN COc1cncc(CN2CCC[C@H]2c2cc(C)cc(C)c2)c1C ZINC001235473401 1054730790 /nfs/dbraw/zinc/73/07/90/1054730790.db2.gz JFPDFYGSEOPHKR-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN Clc1ccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)o1 ZINC000350909902 1054731367 /nfs/dbraw/zinc/73/13/67/1054731367.db2.gz ROTNDOFOPXHICC-NSHDSACASA-N 0 3 307.781 4.063 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]c3cnc(Cl)cc23)CCC1(F)F ZINC001235508985 1054732388 /nfs/dbraw/zinc/73/23/88/1054732388.db2.gz TXLWFUBWEGWPKA-SNVBAGLBSA-N 0 3 313.779 4.084 20 0 DIADHN Clc1cc2c(CN3CC[C@H]4CCCC[C@@H]4C3)c[nH]c2cn1 ZINC001235513466 1054734070 /nfs/dbraw/zinc/73/40/70/1054734070.db2.gz YOMOFSHUZBFWGD-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(Cc2cccc(F)c2F)CC1 ZINC001473544132 1054737733 /nfs/dbraw/zinc/73/77/33/1054737733.db2.gz XKAVVRJYBJZGLG-CQSZACIVSA-N 0 3 316.395 4.376 20 0 DIADHN Cc1ncn(C)c1CN1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001235555081 1054741549 /nfs/dbraw/zinc/74/15/49/1054741549.db2.gz IGPKAKJEKDKXKO-OAHLLOKOSA-N 0 3 323.362 4.084 20 0 DIADHN C[C@H](NCc1ncccc1Br)[C@@H]1CCC[C@@H](C)C1 ZINC001473553452 1054742730 /nfs/dbraw/zinc/74/27/30/1054742730.db2.gz INRZSOWKGGLASO-FRRDWIJNSA-N 0 3 311.267 4.149 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CC[C@H](C2CCC2)C1 ZINC001473550313 1054743250 /nfs/dbraw/zinc/74/32/50/1054743250.db2.gz LAELSOIJIFZKND-KXBFYZLASA-N 0 3 322.452 4.289 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N1CC[C@@H](C2CCC2)C1 ZINC001473550309 1054744295 /nfs/dbraw/zinc/74/42/95/1054744295.db2.gz LAELSOIJIFZKND-DNVCBOLYSA-N 0 3 322.452 4.289 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000180228564 1054744302 /nfs/dbraw/zinc/74/43/02/1054744302.db2.gz YYEDIBXZBWKBBH-AMKSKSKJSA-N 0 3 309.768 4.253 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001235627671 1054757748 /nfs/dbraw/zinc/75/77/48/1054757748.db2.gz AJJBTXMCSUXSOA-GOEBONIOSA-N 0 3 304.434 4.036 20 0 DIADHN Cc1cncc(Br)c1CN1CCC2(C1)CCCCC2 ZINC001235650234 1054760998 /nfs/dbraw/zinc/76/09/98/1054760998.db2.gz OOKIVJYERDOVPB-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001235633621 1054759221 /nfs/dbraw/zinc/75/92/21/1054759221.db2.gz ZPIDVQUVBOAZFO-NVXWUHKLSA-N 0 3 318.461 4.426 20 0 DIADHN Cc1ccccc1CN(C)Cc1cc(OC(F)(F)F)ccc1N ZINC001235661035 1054765465 /nfs/dbraw/zinc/76/54/65/1054765465.db2.gz KPNYDWAVNPCDFC-UHFFFAOYSA-N 0 3 324.346 4.108 20 0 DIADHN Nc1ccc(F)c(CN2CCC[C@@H](Cc3ccccc3)C2)c1F ZINC001235673237 1054766224 /nfs/dbraw/zinc/76/62/24/1054766224.db2.gz MZIDOPDLDWNSCS-HNNXBMFYSA-N 0 3 316.395 4.002 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NCc1cccc(OCC(F)F)c1 ZINC000180528961 1054766357 /nfs/dbraw/zinc/76/63/57/1054766357.db2.gz GHLDHMINYUDTDK-KRWDZBQOSA-N 0 3 321.342 4.247 20 0 DIADHN Fc1ccc(CNCc2ccccc2CC(F)(F)F)cc1F ZINC000623820232 1054779720 /nfs/dbraw/zinc/77/97/20/1054779720.db2.gz OVHORCMNFVDASK-UHFFFAOYSA-N 0 3 315.285 4.359 20 0 DIADHN CCC(F)(F)c1ccccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC001454989008 1054787034 /nfs/dbraw/zinc/78/70/34/1054787034.db2.gz BONIZKSMJBQBGW-MCIONIFRSA-N 0 3 324.415 4.388 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc(N)c(F)c2)c1 ZINC001235725996 1054789603 /nfs/dbraw/zinc/78/96/03/1054789603.db2.gz LHQYPLNUWZPGDW-IBGZPJMESA-N 0 3 314.404 4.144 20 0 DIADHN COc1ccc([C@H](NCc2coc3ccccc23)C2CC2)cn1 ZINC000623845602 1054790301 /nfs/dbraw/zinc/79/03/01/1054790301.db2.gz OQBXBVFIRFIFFP-LJQANCHMSA-N 0 3 308.381 4.077 20 0 DIADHN CCC[C@@H](NCc1cccnc1N(C)C)c1cc(C)sc1C ZINC000623862452 1054791560 /nfs/dbraw/zinc/79/15/60/1054791560.db2.gz ULEATZVSFSDTSN-QGZVFWFLSA-N 0 3 317.502 4.457 20 0 DIADHN CC(C)Oc1cc(C(F)(F)F)ccc1-c1ccc(CN)nc1 ZINC001235739228 1054794914 /nfs/dbraw/zinc/79/49/14/1054794914.db2.gz ZKZWMHBYPZDECU-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN CCN(CCCN[C@@H](C)c1nc(C)cs1)c1ccccc1 ZINC000623854296 1054796471 /nfs/dbraw/zinc/79/64/71/1054796471.db2.gz YHGLEQZXYBYANZ-HNNXBMFYSA-N 0 3 303.475 4.019 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1sc(N(C)C)nc1Cl ZINC001474322243 1054799304 /nfs/dbraw/zinc/79/93/04/1054799304.db2.gz CUKUHIIXZBTILH-GFCCVEGCSA-N 0 3 315.914 4.407 20 0 DIADHN Cc1csc([C@@H](C)NCCCCN(C)c2ccccc2)n1 ZINC000623871017 1054804845 /nfs/dbraw/zinc/80/48/45/1054804845.db2.gz RYVAKLTYKPJRQV-OAHLLOKOSA-N 0 3 303.475 4.019 20 0 DIADHN Fc1ccc(S[C@@H]2CCCC[C@@H]2NCc2nccs2)cc1 ZINC000623869025 1054804969 /nfs/dbraw/zinc/80/49/69/1054804969.db2.gz NAQSBWPDZOVOAS-LSDHHAIUSA-N 0 3 322.474 4.475 20 0 DIADHN Clc1ccc([C@H]2CN(Cc3cccc(Cl)c3)CCN2)cc1 ZINC001235790693 1054805654 /nfs/dbraw/zinc/80/56/54/1054805654.db2.gz QHSDDLZAGYZXIS-QGZVFWFLSA-N 0 3 321.251 4.140 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@@H](C)c2nc(C)cs2)cc1 ZINC000623899016 1054807774 /nfs/dbraw/zinc/80/77/74/1054807774.db2.gz XUHMAFRETWBDSE-JSGCOSHPSA-N 0 3 304.459 4.305 20 0 DIADHN Cc1nc(F)ccc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001235806358 1054808768 /nfs/dbraw/zinc/80/87/68/1054808768.db2.gz VWPCADCXBFWTDF-UHFFFAOYSA-N 0 3 324.443 4.399 20 0 DIADHN Cc1csc([C@H](C)NCC2(c3ccc(Cl)cc3)CC2)n1 ZINC000623885061 1054809170 /nfs/dbraw/zinc/80/91/70/1054809170.db2.gz PKFWPKIBUTULLT-LBPRGKRZSA-N 0 3 306.862 4.487 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@H]3CCCc4scnc43)c21 ZINC000623886173 1054810105 /nfs/dbraw/zinc/81/01/05/1054810105.db2.gz VFQCJKXBZOGGRF-HNNXBMFYSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@@H](Cc2ccc(F)cc2)C1 ZINC001235817889 1054812721 /nfs/dbraw/zinc/81/27/21/1054812721.db2.gz SYGYBDNAGBAGQU-INIZCTEOSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1nc(F)ccc1CN1CCC(n2ccc3ccccc32)CC1 ZINC001235815965 1054813256 /nfs/dbraw/zinc/81/32/56/1054813256.db2.gz BIDQORKQUIZYGF-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN c1ccc([C@@H]2CSCCN2Cc2ccc3c(c2)NCCC3)cc1 ZINC001235813825 1054813388 /nfs/dbraw/zinc/81/33/88/1054813388.db2.gz ZOYLSQCZPILHJE-FQEVSTJZSA-N 0 3 324.493 4.335 20 0 DIADHN Cc1csc([C@H](C)NCC2(c3ccccc3Cl)CC2)n1 ZINC000623926621 1054817841 /nfs/dbraw/zinc/81/78/41/1054817841.db2.gz LELLYNIRGPFHBP-LBPRGKRZSA-N 0 3 306.862 4.487 20 0 DIADHN C[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)[C@H]1CCCCO1 ZINC000623927312 1054819548 /nfs/dbraw/zinc/81/95/48/1054819548.db2.gz CTUBZCQIUQFSBI-NILFDRSVSA-N 0 3 319.342 4.366 20 0 DIADHN Cc1nc2cc(CN3CCC(OC4CCC4)CC3)ccc2s1 ZINC001235850791 1054821445 /nfs/dbraw/zinc/82/14/45/1054821445.db2.gz NYUGNHRSQKDTMU-UHFFFAOYSA-N 0 3 316.470 4.138 20 0 DIADHN COc1cccc(C(C)(C)CN[C@H](C)c2nc(C)cs2)c1 ZINC000623923673 1054824426 /nfs/dbraw/zinc/82/44/26/1054824426.db2.gz IBYINOHJEURYKY-CYBMUJFWSA-N 0 3 304.459 4.089 20 0 DIADHN Clc1cc(Cl)cc(CN2CCN[C@@H](c3ccccc3)C2)c1 ZINC001235858175 1054825893 /nfs/dbraw/zinc/82/58/93/1054825893.db2.gz FAQYXMRFOASBPR-QGZVFWFLSA-N 0 3 321.251 4.140 20 0 DIADHN Cc1nc2cc(CN3CCC(c4ccncc4)CC3)ccc2s1 ZINC001235865476 1054826254 /nfs/dbraw/zinc/82/62/54/1054826254.db2.gz MGRMMFQAPBJEAD-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CCN(Cc1c(C(C)C)nc2sc(C)nn12)C1CC(C)(C)C1 ZINC001474576298 1054827277 /nfs/dbraw/zinc/82/72/77/1054827277.db2.gz LDFSUIJVCMSOQG-UHFFFAOYSA-N 0 3 320.506 4.233 20 0 DIADHN c1nc2c(s1)CCC[C@H]2N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000623960618 1054836614 /nfs/dbraw/zinc/83/66/14/1054836614.db2.gz BMDUOGYZKBPPIH-XHSDSOJGSA-N 0 3 314.454 4.030 20 0 DIADHN Cc1csc([C@H](C)NCCc2c(C)oc3ccccc32)n1 ZINC000623961503 1054837239 /nfs/dbraw/zinc/83/72/39/1054837239.db2.gz GOWBUMWZRBTLSO-LBPRGKRZSA-N 0 3 300.427 4.399 20 0 DIADHN c1nc2c(s1)CCC[C@@H]2N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000623960617 1054837474 /nfs/dbraw/zinc/83/74/74/1054837474.db2.gz BMDUOGYZKBPPIH-PMPSAXMXSA-N 0 3 314.454 4.030 20 0 DIADHN CC(C)(C)OC1CC(CCN[C@H]2CCCc3scnc32)C1 ZINC000623970814 1054842090 /nfs/dbraw/zinc/84/20/90/1054842090.db2.gz ALDANLRCBFVSND-RUXDESIVSA-N 0 3 308.491 4.094 20 0 DIADHN CC[C@H](NCc1c2c(nn1C)CCCC2)c1cc(C)ccc1C ZINC000623987179 1054842647 /nfs/dbraw/zinc/84/26/47/1054842647.db2.gz RRHZSNKCZWJXMW-SFHVURJKSA-N 0 3 311.473 4.157 20 0 DIADHN CC[C@@H](N[C@@H](CC(=O)NC)c1ccccc1)c1cc(C)ccc1C ZINC000623976733 1054844430 /nfs/dbraw/zinc/84/44/30/1054844430.db2.gz SYVIJFHTIVKJAP-UXHICEINSA-N 0 3 324.468 4.222 20 0 DIADHN CSc1ccc(CCN[C@H]2CCCc3scnc32)cc1 ZINC000623977557 1054844808 /nfs/dbraw/zinc/84/48/08/1054844808.db2.gz VGFAERKLIMEICR-AWEZNQCLSA-N 0 3 304.484 4.075 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc(Br)ccc2C)ccn1 ZINC000623991531 1054849380 /nfs/dbraw/zinc/84/93/80/1054849380.db2.gz JVVATXKXXQLXQZ-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1csc([C@@H](C)NCC[C@H]2CSc3ccccc3O2)n1 ZINC000624005475 1054852649 /nfs/dbraw/zinc/85/26/49/1054852649.db2.gz RTTFSXKFHBRFBU-OLZOCXBDSA-N 0 3 320.483 4.046 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)n1 ZINC000624013754 1054857248 /nfs/dbraw/zinc/85/72/48/1054857248.db2.gz IIQQJPMOGMWSJP-MJBXVCDLSA-N 0 3 308.491 4.228 20 0 DIADHN C[C@H](NCC[C@@]1(C)CC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000624013601 1054857772 /nfs/dbraw/zinc/85/77/72/1054857772.db2.gz DTWQLQNJLGXAOQ-GUYCJALGSA-N 0 3 319.399 4.173 20 0 DIADHN CCC[C@@H](NC[C@H](n1cccn1)C(F)(F)F)c1ccccc1 ZINC000624041829 1054864668 /nfs/dbraw/zinc/86/46/68/1054864668.db2.gz JZFZMKYKYARDJQ-CABCVRRESA-N 0 3 311.351 4.118 20 0 DIADHN CC(C)CC[C@@H](CO)N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000624110838 1054878816 /nfs/dbraw/zinc/87/88/16/1054878816.db2.gz HLFNPQQPZPLTJD-APWZRJJASA-N 0 3 312.457 4.196 20 0 DIADHN Cc1nc([C@H](C)NCC(C)(C)c2ccc(C)cn2)c(C)s1 ZINC000624112811 1054882219 /nfs/dbraw/zinc/88/22/19/1054882219.db2.gz MGCSQFWBTGWBOW-LBPRGKRZSA-N 0 3 303.475 4.092 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2ccccc2n1 ZINC001236001791 1054887729 /nfs/dbraw/zinc/88/77/29/1054887729.db2.gz CQIFPVYQFIUODO-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1c[nH]c2ncnc(-c3cccc(CN4CCCCC4)c3F)c12 ZINC001236002765 1054889458 /nfs/dbraw/zinc/88/94/58/1054889458.db2.gz LSVBYWWXBFFHBS-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cc2[nH]ccc2cn1 ZINC001236001738 1054889524 /nfs/dbraw/zinc/88/95/24/1054889524.db2.gz AJIKIQSXCXIGNC-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2CC[C@H](OCCC(C)C)CC2)cs1 ZINC000624147308 1054889972 /nfs/dbraw/zinc/88/99/72/1054889972.db2.gz ZETAWDLACXVAIV-FVQBIDKESA-N 0 3 310.507 4.476 20 0 DIADHN COCc1ccc(-c2cccc(CN3CCCCC3)c2F)nc1 ZINC001236005331 1054891067 /nfs/dbraw/zinc/89/10/67/1054891067.db2.gz NHONJWOTLHZHLK-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN CC(C)c1nccnc1-c1cccc(CN2CCCCC2)c1F ZINC001236003629 1054891971 /nfs/dbraw/zinc/89/19/71/1054891971.db2.gz AWUBCLLBNZUWKT-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc(C2CC2)nc1 ZINC001236011509 1054894106 /nfs/dbraw/zinc/89/41/06/1054894106.db2.gz IPUXWUKVMADQIE-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN COc1cnc(F)c(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236012954 1054897625 /nfs/dbraw/zinc/89/76/25/1054897625.db2.gz VKKWOXODABOSRE-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cncc2[nH]ccc21 ZINC001236014904 1054898174 /nfs/dbraw/zinc/89/81/74/1054898174.db2.gz JZQMWAGTPSDPKP-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1c(-c2cccc(CN3CCCCC3)c2F)ccc2nccn21 ZINC001236019878 1054901854 /nfs/dbraw/zinc/90/18/54/1054901854.db2.gz VUBVSJPRLOPRIC-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN CC[C@@H](NCc1cnn(Cc2ccccc2)c1)c1cccc(C)c1 ZINC000353465270 1054903787 /nfs/dbraw/zinc/90/37/87/1054903787.db2.gz WWLNSSJBLRBDBG-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccoc1 ZINC000181248216 1054907631 /nfs/dbraw/zinc/90/76/31/1054907631.db2.gz OVVDBVUMMHOMFK-YPMHNXCESA-N 0 3 308.784 4.002 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCOc3ccccc32)cs1 ZINC000181346319 1054910815 /nfs/dbraw/zinc/91/08/15/1054910815.db2.gz DCUXEHKBHMWPMX-KBPBESRZSA-N 0 3 316.470 4.483 20 0 DIADHN COCCc1nc(C)c([C@H](C)NC/C=C/c2ccccc2)s1 ZINC000181418313 1054913591 /nfs/dbraw/zinc/91/35/91/1054913591.db2.gz URMFCRBOMQHEAT-RNVIBTMRSA-N 0 3 316.470 4.004 20 0 DIADHN CCCNC(=O)CCN[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000181548317 1054922582 /nfs/dbraw/zinc/92/25/82/1054922582.db2.gz XVVALIHATSRDRL-CQSZACIVSA-N 0 3 324.424 4.153 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccncc2)C12CCC2 ZINC000181587093 1054923282 /nfs/dbraw/zinc/92/32/82/1054923282.db2.gz JKWQCCKOMOPKLU-AQNXPRMDSA-N 0 3 322.452 4.108 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(-c2ccc3c(n2)CNCC3)c1 ZINC001236091354 1054938854 /nfs/dbraw/zinc/93/88/54/1054938854.db2.gz FEPUAMLAFHFSLO-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN CO[C@H](C)CN[C@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000182250469 1054974697 /nfs/dbraw/zinc/97/46/97/1054974697.db2.gz HVCKHDNSCXVJIJ-CXAGYDPISA-N 0 3 321.367 4.002 20 0 DIADHN CO[C@H](C)CN[C@@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000182250434 1054976633 /nfs/dbraw/zinc/97/66/33/1054976633.db2.gz HVCKHDNSCXVJIJ-DYVFJYSZSA-N 0 3 321.367 4.002 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cccc2c1NCC2 ZINC001236183778 1054984456 /nfs/dbraw/zinc/98/44/56/1054984456.db2.gz IEZXDGSHRMTDLY-UHFFFAOYSA-N 0 3 302.421 4.440 20 0 DIADHN Oc1ccc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c(F)c1 ZINC001236192270 1054990882 /nfs/dbraw/zinc/99/08/82/1054990882.db2.gz OUGJETVTKYFWER-LJQANCHMSA-N 0 3 310.372 4.350 20 0 DIADHN Oc1ccc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c(F)c1 ZINC001236192267 1054991112 /nfs/dbraw/zinc/99/11/12/1054991112.db2.gz OUGJETVTKYFWER-IBGZPJMESA-N 0 3 310.372 4.350 20 0 DIADHN Oc1cc(F)cc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c1 ZINC001236193753 1054994167 /nfs/dbraw/zinc/99/41/67/1054994167.db2.gz LVDBANVDMNAMSK-LJQANCHMSA-N 0 3 310.372 4.350 20 0 DIADHN CNc1ncc(CN2CCC[C@H]2c2c[nH]c3ccccc23)s1 ZINC001236195477 1054996347 /nfs/dbraw/zinc/99/63/47/1054996347.db2.gz SXDHHXJRIXVDKQ-INIZCTEOSA-N 0 3 312.442 4.003 20 0 DIADHN CC[C@H](NCCc1c(Cl)cccc1Cl)c1nccn1C ZINC000182551233 1054996688 /nfs/dbraw/zinc/99/66/88/1054996688.db2.gz UWAPLZXPENDLJM-AWEZNQCLSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)s1)c1cccc(Cl)c1 ZINC000182598745 1054998452 /nfs/dbraw/zinc/99/84/52/1054998452.db2.gz FLEWTZUXLHQCCK-AWEZNQCLSA-N 0 3 323.845 4.429 20 0 DIADHN CC[C@@H](NCC(C)(C)c1cccc(Cl)c1)c1nccn1C ZINC000182682877 1055004051 /nfs/dbraw/zinc/00/40/51/1055004051.db2.gz KXFKOVCZLSFUEV-OAHLLOKOSA-N 0 3 305.853 4.092 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1nccn1C ZINC000182736187 1055005492 /nfs/dbraw/zinc/00/54/92/1055005492.db2.gz SVMXGJCJWMFWFH-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@@H](NCC(C)(C)c1ccccc1Cl)c1nccn1C ZINC000182798001 1055010352 /nfs/dbraw/zinc/01/03/52/1055010352.db2.gz RNYUEUBNUHOSSO-OAHLLOKOSA-N 0 3 305.853 4.092 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccccc1Cl)c1nccn1C ZINC000182797980 1055010678 /nfs/dbraw/zinc/01/06/78/1055010678.db2.gz RNYUEUBNUHOSSO-HNNXBMFYSA-N 0 3 305.853 4.092 20 0 DIADHN C[C@H](Nc1cccc(CN2CCSCC2)c1)c1ccsc1 ZINC000182804982 1055011093 /nfs/dbraw/zinc/01/10/93/1055011093.db2.gz WQFQSLOMXRATIT-AWEZNQCLSA-N 0 3 318.511 4.470 20 0 DIADHN C[C@@H]1CN(Cc2ccccn2)CC[C@@H]1Nc1ccc(Cl)cc1 ZINC000182913433 1055016181 /nfs/dbraw/zinc/01/61/81/1055016181.db2.gz UUYQOFAPEZEFBV-KDOFPFPSSA-N 0 3 315.848 4.058 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CCCC1)c1nccn1C ZINC000182931718 1055018737 /nfs/dbraw/zinc/01/87/37/1055018737.db2.gz PGDGAYSDBYNYJU-HNNXBMFYSA-N 0 3 315.486 4.176 20 0 DIADHN CC(C)(C)Oc1ccc(CN2CCc3ccc(N)cc3C2)cc1 ZINC001236232549 1055022398 /nfs/dbraw/zinc/02/23/98/1055022398.db2.gz OKWVJIVFPSWGON-UHFFFAOYSA-N 0 3 310.441 4.004 20 0 DIADHN Cc1ccc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236233716 1055024432 /nfs/dbraw/zinc/02/44/32/1055024432.db2.gz OMIZYDHPBKOJLC-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCc1cc(C)nc(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236244363 1055028762 /nfs/dbraw/zinc/02/87/62/1055028762.db2.gz KJLLVWDWIPSIHY-UHFFFAOYSA-N 0 3 313.420 4.139 20 0 DIADHN Cn1cnc2c1cccc2-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236248583 1055031915 /nfs/dbraw/zinc/03/19/15/1055031915.db2.gz IJTBGIAICOTHSK-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN COc1nc(C)ccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236250911 1055033294 /nfs/dbraw/zinc/03/32/94/1055033294.db2.gz JCNBWXSRVHSDKL-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cnc1F ZINC001236250242 1055034403 /nfs/dbraw/zinc/03/44/03/1055034403.db2.gz FSCOSPZPOBHQOD-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cn1cnc2cccc(-c3ccc(CN4CCCCC4)c(F)c3)c21 ZINC001236257346 1055038919 /nfs/dbraw/zinc/03/89/19/1055038919.db2.gz BQZNXJUFMRYBOW-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Fc1cc(-c2cnc3c(c2)CN=N3)ccc1CN1CCCCC1 ZINC001236257262 1055039740 /nfs/dbraw/zinc/03/97/40/1055039740.db2.gz ABWDCRPSKCCCSI-UHFFFAOYSA-N 0 3 310.376 4.471 20 0 DIADHN COc1ccc(F)nc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236257953 1055040545 /nfs/dbraw/zinc/04/05/45/1055040545.db2.gz HQQSSCLIMKYNRO-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Nc1cc(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)ccn1 ZINC001236304395 1055052093 /nfs/dbraw/zinc/05/20/93/1055052093.db2.gz YOISWLNKPBYHSJ-OAHLLOKOSA-N 0 3 321.346 4.020 20 0 DIADHN OCC[C@H](CN[C@H](c1ccco1)c1ccccc1)c1ccccc1 ZINC000183633577 1055052813 /nfs/dbraw/zinc/05/28/13/1055052813.db2.gz VNFYYARKDYQFGY-CTNGQTDRSA-N 0 3 321.420 4.125 20 0 DIADHN COc1ccc(Cl)cc1CN(C)C[C@H](C)c1nccs1 ZINC000183922893 1055061332 /nfs/dbraw/zinc/06/13/32/1055061332.db2.gz RNSNOAJHTZMCNF-NSHDSACASA-N 0 3 310.850 4.041 20 0 DIADHN Nc1ccc(C2CCN(Cc3ccc(Cl)cc3)CC2)cc1 ZINC001236336555 1055064793 /nfs/dbraw/zinc/06/47/93/1055064793.db2.gz RCXLCURXGISABU-UHFFFAOYSA-N 0 3 300.833 4.302 20 0 DIADHN COc1cc(C)c(CN2CCc3c(N)cccc3C2)cc1C(C)C ZINC001236365747 1055075154 /nfs/dbraw/zinc/07/51/54/1055075154.db2.gz VAJXPZRHUUNGFF-UHFFFAOYSA-N 0 3 324.468 4.268 20 0 DIADHN C[C@@H](NCCCCCn1cccn1)c1nc(C(C)(C)C)cs1 ZINC000184484270 1055077696 /nfs/dbraw/zinc/07/76/96/1055077696.db2.gz IBEBFWSVMQDDGU-CQSZACIVSA-N 0 3 320.506 4.158 20 0 DIADHN C[C@@H](NCC1(O)CCC(C)CC1)c1nc(C(C)(C)C)cs1 ZINC000184467091 1055078269 /nfs/dbraw/zinc/07/82/69/1055078269.db2.gz CIKXFFUFSSDRKZ-UIORMTCPSA-N 0 3 310.507 4.032 20 0 DIADHN CCCC[C@H](N[C@H](C)c1nc(-c2cccnc2)no1)C1CCC1 ZINC000184889639 1055083291 /nfs/dbraw/zinc/08/32/91/1055083291.db2.gz XJJDNSUQBQSQJU-CJNGLKHVSA-N 0 3 314.433 4.141 20 0 DIADHN CCN(CC)Cc1cccc(-c2cncc(OC)c2C)c1F ZINC001236391386 1055089204 /nfs/dbraw/zinc/08/92/04/1055089204.db2.gz HTYSROQLDXEGBS-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1c(-c2cccc(C3=NCCC3)c2)cnn1-c1ccccc1 ZINC001236404194 1055096197 /nfs/dbraw/zinc/09/61/97/1055096197.db2.gz JDYFKHZZUODODW-UHFFFAOYSA-N 0 3 301.393 4.431 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2ccccc2Cl)o1 ZINC000194374639 1055123077 /nfs/dbraw/zinc/12/30/77/1055123077.db2.gz CNUUOCIKGPJYTI-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@H](NC[C@H](OC)C1CC1)c1ccc(Cl)cc1Cl ZINC000381240035 1055155580 /nfs/dbraw/zinc/15/55/80/1055155580.db2.gz RTTKCOURODNQJL-CABCVRRESA-N 0 3 302.245 4.459 20 0 DIADHN FC(F)n1ccnc1CN(CCc1ccccc1)C1CCCC1 ZINC000195004904 1055142721 /nfs/dbraw/zinc/14/27/21/1055142721.db2.gz FFBOLAQDYXRJPN-UHFFFAOYSA-N 0 3 319.399 4.266 20 0 DIADHN CC[C@H](C)CN(CC)[C@@H](C)c1nnc(-c2cccc(C)c2)o1 ZINC000764283277 1055146701 /nfs/dbraw/zinc/14/67/01/1055146701.db2.gz PMTDWUNSAOYAEQ-ZFWWWQNUSA-N 0 3 301.434 4.474 20 0 DIADHN CC(C)Oc1cncc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001236522989 1055159771 /nfs/dbraw/zinc/15/97/71/1055159771.db2.gz HXADZNYURMKLQV-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN COc1cccc(CN(Cc2cccc(C)c2C)C2CC2)c1N ZINC001236534116 1055165547 /nfs/dbraw/zinc/16/55/47/1055165547.db2.gz HPUOJPYXYRECJZ-UHFFFAOYSA-N 0 3 310.441 4.059 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@H](C)c1sccc1Br)OC ZINC000381442220 1055166646 /nfs/dbraw/zinc/16/66/46/1055166646.db2.gz YQSSNVZOGLFPGQ-HOSYDEDBSA-N 0 3 320.296 4.222 20 0 DIADHN CS[C@@H]1CC[C@@H]1NCc1ccc(Br)cc1Cl ZINC000381415717 1055166835 /nfs/dbraw/zinc/16/68/35/1055166835.db2.gz VYVQBWQZEXWKOE-NWDGAFQWSA-N 0 3 320.683 4.086 20 0 DIADHN COC(=O)c1cccc(CN(C)C2CCC(C)CC2)c1Cl ZINC000381581106 1055172940 /nfs/dbraw/zinc/17/29/40/1055172940.db2.gz BRRRNNJAHLEATQ-UHFFFAOYSA-N 0 3 309.837 4.137 20 0 DIADHN Fc1ccc(Br)cc1CNC[C@@H]1CCCC1(F)F ZINC000381743692 1055179008 /nfs/dbraw/zinc/17/90/08/1055179008.db2.gz UXQOYAAPUOIUIZ-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN CCC[C@H]1CCCCN1Cc1cccc(C(=O)OC)c1Cl ZINC000381779348 1055180915 /nfs/dbraw/zinc/18/09/15/1055180915.db2.gz ZGUIGQOXTOCGNS-AWEZNQCLSA-N 0 3 309.837 4.281 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1ccsc1Br ZINC000381778821 1055181490 /nfs/dbraw/zinc/18/14/90/1055181490.db2.gz XMXAYWDMDVFWPV-VIFPVBQESA-N 0 3 316.289 4.293 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C(F)(F)F)[C@H](C)CC ZINC000125906015 1055197744 /nfs/dbraw/zinc/19/77/44/1055197744.db2.gz TWJUYBBHCKGEOR-GFCCVEGCSA-N 0 3 316.367 4.154 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H](Cc3ccccc3)C2)n1 ZINC001236638154 1055207880 /nfs/dbraw/zinc/20/78/80/1055207880.db2.gz HAHLZFUDWQGQKD-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN COC(=O)c1cccc(CN2CC(C)(C)[C@H]3CCC[C@H]32)c1Cl ZINC000382288504 1055207780 /nfs/dbraw/zinc/20/77/80/1055207780.db2.gz ZZLXFYOIQJAECP-LSDHHAIUSA-N 0 3 321.848 4.137 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NC[C@@H](O)c1ccccc1 ZINC000764559526 1055207903 /nfs/dbraw/zinc/20/79/03/1055207903.db2.gz TXOGPBLRYAONBE-SUMWQHHRSA-N 0 3 319.832 4.123 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@H]3CCC[C@@H]3C2)cnc1Cl ZINC001236630607 1055208172 /nfs/dbraw/zinc/20/81/72/1055208172.db2.gz ZIDLYSSLLSBXKL-VXGBXAGGSA-N 0 3 318.770 4.376 20 0 DIADHN COc1ccc2sc(CN3CCC(F)(F)[C@@H](C)C3)cc2c1 ZINC001236656035 1055214423 /nfs/dbraw/zinc/21/44/23/1055214423.db2.gz MPAXOUIOHIPOQU-NSHDSACASA-N 0 3 311.397 4.387 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC[C@H](C(F)F)C1 ZINC001236675112 1055224099 /nfs/dbraw/zinc/22/40/99/1055224099.db2.gz FZIGZWPGCUGDDI-HNNXBMFYSA-N 0 3 305.368 4.326 20 0 DIADHN c1cc2c(cccc2CN2CC[C@H]2COCc2ccccc2)o1 ZINC001236742553 1055247997 /nfs/dbraw/zinc/24/79/97/1055247997.db2.gz FMHGOQWGVDUJSK-SFHVURJKSA-N 0 3 307.393 4.224 20 0 DIADHN C[C@H](NC[C@H](O)c1ccco1)c1ccc(Oc2ccccc2)cc1 ZINC000764768486 1055248038 /nfs/dbraw/zinc/24/80/38/1055248038.db2.gz JYJUJSDJJUFUGD-KXBFYZLASA-N 0 3 323.392 4.456 20 0 DIADHN Cc1cccc(CN2CCC(c3ccncc3)CC2)c1Cl ZINC001236853915 1055292136 /nfs/dbraw/zinc/29/21/36/1055292136.db2.gz PFVIIVGUPKMFEQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2cccc(C)c2Cl)C[C@@H]1C ZINC001236856555 1055292819 /nfs/dbraw/zinc/29/28/19/1055292819.db2.gz RFZLNNIOMCOBHC-LSDHHAIUSA-N 0 3 323.864 4.060 20 0 DIADHN COc1ccc([C@@H](C)NCCCOc2ccccc2F)c(F)c1 ZINC000764926262 1055296660 /nfs/dbraw/zinc/29/66/60/1055296660.db2.gz JKUWRUGRPHBOEY-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN CC(C)N(Cc1nc2ccccc2cc1Cl)C1CCOCC1 ZINC001236869856 1055298415 /nfs/dbraw/zinc/29/84/15/1055298415.db2.gz HCDWSEPQROVGKL-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1nc2ccccc2cc1Cl ZINC001236881904 1055301027 /nfs/dbraw/zinc/30/10/27/1055301027.db2.gz QHQRDPAOVYSQAF-KRWDZBQOSA-N 0 3 318.848 4.278 20 0 DIADHN Clc1cc2ccccc2nc1CN1CCc2ccccc2CC1 ZINC001236874920 1055304017 /nfs/dbraw/zinc/30/40/17/1055304017.db2.gz MNICTZGEDZBKTO-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCc2ccncc2)cc1F ZINC000764961964 1055305206 /nfs/dbraw/zinc/30/52/06/1055305206.db2.gz XBRDVTPAIZZNFU-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc(F)c2ccoc21 ZINC001236898067 1055306410 /nfs/dbraw/zinc/30/64/10/1055306410.db2.gz KDAPRXCTCBZTMI-UHFFFAOYSA-N 0 3 311.356 4.277 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@](C)(O)c2cccc(Cl)c2)o1 ZINC000764981259 1055309459 /nfs/dbraw/zinc/30/94/59/1055309459.db2.gz BGBORDHMPZEDFH-PUECVXPYSA-N 0 3 319.832 4.054 20 0 DIADHN Cc1ccc(-c2cncc(CN3C[C@H]4[C@H](CC4(F)F)C3)c2)cc1 ZINC001236989786 1055324968 /nfs/dbraw/zinc/32/49/68/1055324968.db2.gz AFGOKEMZBRWZKW-MSOLQXFVSA-N 0 3 314.379 4.144 20 0 DIADHN Cc1cccc(-c2ccc(CN3CCC[C@@]4(CCO4)C3)cn2)c1C ZINC001236988255 1055326284 /nfs/dbraw/zinc/32/62/84/1055326284.db2.gz ITIWAEWGIUYWNC-OAQYLSRUSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(-c2cncc(CN3CCC4(CCOC4)CC3)c2)cc1 ZINC001236991475 1055327210 /nfs/dbraw/zinc/32/72/10/1055327210.db2.gz OKEJPXLFOJYKJE-UHFFFAOYSA-N 0 3 322.452 4.060 20 0 DIADHN CCCOC1CCN(Cc2cncc(-c3ccc(C)cc3)c2)CC1 ZINC001236993163 1055328552 /nfs/dbraw/zinc/32/85/52/1055328552.db2.gz KIXUJMKPUFHEHZ-UHFFFAOYSA-N 0 3 324.468 4.448 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1F)c1nc2ccccc2s1 ZINC000765103941 1055330247 /nfs/dbraw/zinc/33/02/47/1055330247.db2.gz DJFWYZAACAKICB-LLVKDONJSA-N 0 3 318.392 4.468 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3cccc(C)c3C)nc2)CC1 ZINC001236993498 1055330741 /nfs/dbraw/zinc/33/07/41/1055330741.db2.gz NAAMJBSSSAKGBR-UHFFFAOYSA-N 0 3 324.468 4.366 20 0 DIADHN Fc1ccc(-c2cncc(CN3CCC(C(F)F)CC3)c2)cc1 ZINC001237000631 1055333539 /nfs/dbraw/zinc/33/35/39/1055333539.db2.gz FGHWCNFBHNZMJT-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccc(-c2cncc(CN3CCC[C@@H](C(F)F)C3)c2)cc1 ZINC001237001826 1055333792 /nfs/dbraw/zinc/33/37/92/1055333792.db2.gz LPSXFCLOTBINTF-OAHLLOKOSA-N 0 3 320.358 4.365 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(-c3ccccc3)c2)cc1 ZINC000325429297 1055336329 /nfs/dbraw/zinc/33/63/29/1055336329.db2.gz ZBUSUBFJTJRSFH-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN FCC1CCN(Cc2cncc(-c3ccc(F)cc3)c2)CC1 ZINC001237003875 1055336552 /nfs/dbraw/zinc/33/65/52/1055336552.db2.gz JHJAKHDRLHCZCO-UHFFFAOYSA-N 0 3 302.368 4.069 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3Cl)nc2)CCCO1 ZINC001237016151 1055340801 /nfs/dbraw/zinc/34/08/01/1055340801.db2.gz SJTZHMNYWNRYRQ-CQSZACIVSA-N 0 3 316.832 4.013 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(-c3ccc(Cl)cc3)nc2)C1 ZINC001237018653 1055342443 /nfs/dbraw/zinc/34/24/43/1055342443.db2.gz DLGJDTFTTOTAGC-QGZVFWFLSA-N 0 3 316.832 4.013 20 0 DIADHN COc1ccc(CNCc2cccc(Br)c2)c(C)c1 ZINC000765259535 1055347693 /nfs/dbraw/zinc/34/76/93/1055347693.db2.gz GEWFZSIXMOZFHR-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CC3CC(C3)C2)cc1F ZINC001237044651 1055348842 /nfs/dbraw/zinc/34/88/42/1055348842.db2.gz KMLWULJRJBLUCZ-UHFFFAOYSA-N 0 3 320.408 4.015 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccc(F)cc2Cl)cc1 ZINC000765271899 1055351623 /nfs/dbraw/zinc/35/16/23/1055351623.db2.gz PXTPRYWBGBIAHG-YVEFUNNKSA-N 0 3 307.796 4.172 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N(C)Cc1ccccc1N ZINC001237057958 1055356385 /nfs/dbraw/zinc/35/63/85/1055356385.db2.gz OSAYSXOZZWJKOA-LBPRGKRZSA-N 0 3 319.246 4.224 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@H]2c2ccncc2)c1 ZINC001237069023 1055357996 /nfs/dbraw/zinc/35/79/96/1055357996.db2.gz PDHRFYCRULJKLC-FQEVSTJZSA-N 0 3 304.393 4.278 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccnc2c(OC)cccc12 ZINC001237111852 1055374403 /nfs/dbraw/zinc/37/44/03/1055374403.db2.gz KCDACKCBKFVCOB-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN COc1cccc2c(CN3Cc4ccccc4C[C@H]3C)ccnc12 ZINC001237111874 1055375099 /nfs/dbraw/zinc/37/50/99/1055375099.db2.gz KPMJJGKQZSBPHX-OAHLLOKOSA-N 0 3 318.420 4.190 20 0 DIADHN COc1ccc([C@H](NCc2ccnn2C2CCC2)C(C)C)cc1 ZINC000921512668 1055386013 /nfs/dbraw/zinc/38/60/13/1055386013.db2.gz MHAUKLSXEBZHTL-LJQANCHMSA-N 0 3 313.445 4.104 20 0 DIADHN COc1cccc(CC2CC[NH+](Cc3occc3[S-])CC2)c1 ZINC001237180640 1055393490 /nfs/dbraw/zinc/39/34/90/1055393490.db2.gz AHTRYTSHKUUZPF-UHFFFAOYSA-N 0 3 317.454 4.032 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnccn1)c1cc(Cl)sc1Cl ZINC000765441593 1055393929 /nfs/dbraw/zinc/39/39/29/1055393929.db2.gz RDVAIBKJCVNPRJ-DTWKUNHWSA-N 0 3 316.257 4.127 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cccc(OC)c1Cl ZINC001237193308 1055399366 /nfs/dbraw/zinc/39/93/66/1055399366.db2.gz IZFDNTIKVKDGNR-UHFFFAOYSA-N 0 3 318.848 4.198 20 0 DIADHN CC(C)[C@H](NCc1ccnn1C1CCC1)c1ccc(F)cc1 ZINC000921513212 1055400479 /nfs/dbraw/zinc/40/04/79/1055400479.db2.gz PUMRLAUZPHYKTN-SFHVURJKSA-N 0 3 301.409 4.234 20 0 DIADHN COc1cccc(CN2CC[C@H](c3ccc(F)cc3)C2)c1Cl ZINC001237194713 1055401254 /nfs/dbraw/zinc/40/12/54/1055401254.db2.gz WOOGRHNBAJERGU-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc3[nH]c(=O)oc32)C2CC2)cc1 ZINC000921518698 1055405753 /nfs/dbraw/zinc/40/57/53/1055405753.db2.gz WMVBTOGGGTTXEU-QGZVFWFLSA-N 0 3 308.381 4.083 20 0 DIADHN CCCO[C@@H]1CCCN(c2ccnc3cc(OC)ccc32)CC1 ZINC000765498924 1055408739 /nfs/dbraw/zinc/40/87/39/1055408739.db2.gz FOBADDKIAAFYBO-OAHLLOKOSA-N 0 3 314.429 4.029 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccc3nccc(Cl)c3c1)C2 ZINC001237271290 1055412234 /nfs/dbraw/zinc/41/22/34/1055412234.db2.gz ZQVUOGKHUBYEFE-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H](C)c1cnn(C(C)C)c1 ZINC000765504753 1055412993 /nfs/dbraw/zinc/41/29/93/1055412993.db2.gz RDGWCRQGTMGEOL-CYBMUJFWSA-N 0 3 310.401 4.194 20 0 DIADHN CC(C)(C)[C@H](NCc1cccc2[nH]c(=O)oc21)c1ccccc1 ZINC000921526050 1055413111 /nfs/dbraw/zinc/41/31/11/1055413111.db2.gz YYWWDFPBDHAJOY-QGZVFWFLSA-N 0 3 310.397 4.410 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C(F)F)c(OC)c2)cc1 ZINC001237270393 1055413229 /nfs/dbraw/zinc/41/32/29/1055413229.db2.gz QYLSYNZCCQUTFL-UHFFFAOYSA-N 0 3 321.367 4.273 20 0 DIADHN CC(C)CC(=O)OCc1cocc2c(CN3CC[C@H]3C)ccc1-2 ZINC001237283261 1055416025 /nfs/dbraw/zinc/41/60/25/1055416025.db2.gz SJFKNEYTUKFBON-CQSZACIVSA-N 0 3 315.413 4.068 20 0 DIADHN Cc1ccc(CCN(C)Cc2ccncc2C(F)(F)F)cc1 ZINC001237290670 1055418878 /nfs/dbraw/zinc/41/88/78/1055418878.db2.gz DZOXSDJBEDDHSI-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCN(CC)CC(=O)N[C@H](c1ccc(CC(C)C)cc1)C(C)C ZINC001588066923 1055424218 /nfs/dbraw/zinc/42/42/18/1055424218.db2.gz HAPDARBAFVKJLY-FQEVSTJZSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1cc(F)cc(C)c1CN1CC[C@](CF)(C(F)(F)F)C1 ZINC001237316056 1055426416 /nfs/dbraw/zinc/42/64/16/1055426416.db2.gz BFHJPUCAUXSAKL-CQSZACIVSA-N 0 3 307.306 4.166 20 0 DIADHN COc1cc(C)ccc1CN1CCC2(Cc3ccccc3N2)CC1 ZINC001237332725 1055429815 /nfs/dbraw/zinc/42/98/15/1055429815.db2.gz FIPBCIMVERNZNJ-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC[C@@H](F)CC1 ZINC001237338092 1055431032 /nfs/dbraw/zinc/43/10/32/1055431032.db2.gz JOGJYHDPNXVAGY-OAHLLOKOSA-N 0 3 322.424 4.358 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC2(Cc3ccccc3N2)CC1 ZINC001237332825 1055431307 /nfs/dbraw/zinc/43/13/07/1055431307.db2.gz IECNVQSMAJJUPJ-UHFFFAOYSA-N 0 3 317.436 4.171 20 0 DIADHN CCC(CC)N(C)Cc1csc(-c2ccc(OC)cc2)n1 ZINC001237339759 1055434554 /nfs/dbraw/zinc/43/45/54/1055434554.db2.gz OGQRWFNZPTUFDZ-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN Cc1ccc(CN2CCC3(Cc4ccccc4N3)CC2)c(F)c1 ZINC001237342966 1055435016 /nfs/dbraw/zinc/43/50/16/1055435016.db2.gz LDWYETQZKKVBLV-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@H](Oc2ccccn2)C1 ZINC001237350640 1055436081 /nfs/dbraw/zinc/43/60/81/1055436081.db2.gz QZBBBOHYUUBOFB-INIZCTEOSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(OC(F)F)c(F)c2)c1 ZINC001237354140 1055437617 /nfs/dbraw/zinc/43/76/17/1055437617.db2.gz WAVWQPOCRLYCDI-UHFFFAOYSA-N 0 3 309.331 4.368 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCCC[C@H]1c1ccccc1 ZINC001237405244 1055447408 /nfs/dbraw/zinc/44/74/08/1055447408.db2.gz VBBXNKPQVAHHDT-FQEVSTJZSA-N 0 3 308.425 4.372 20 0 DIADHN FC(F)(F)c1cc(CN2CCC3(CC3)CC2)cc(Cl)n1 ZINC001237496704 1055461801 /nfs/dbraw/zinc/46/18/01/1055461801.db2.gz UCVMMODADTUYLN-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN FC(F)(F)c1cc(CN(CC2CC2)C2CC2)cc(Cl)n1 ZINC001237494812 1055461864 /nfs/dbraw/zinc/46/18/64/1055461864.db2.gz RNSQMSXJGGEOPD-UHFFFAOYSA-N 0 3 304.743 4.128 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cccc(C)c2OC)cc1 ZINC001237509562 1055464718 /nfs/dbraw/zinc/46/47/18/1055464718.db2.gz AMJAUFCMSXJBFR-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN COc1c(C)cccc1CN1CCC2(CC1)OCc1ccccc12 ZINC001237509835 1055464791 /nfs/dbraw/zinc/46/47/91/1055464791.db2.gz CXMSVUDTWDTPCE-UHFFFAOYSA-N 0 3 323.436 4.025 20 0 DIADHN CN(Cc1ccn2nccc2c1)Cc1ccc2ccccc2c1 ZINC001237544936 1055472371 /nfs/dbraw/zinc/47/23/71/1055472371.db2.gz PRLIJJSXTZENGX-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)cc1CN[C@H]1C=CCCC1 ZINC001620844397 1055472958 /nfs/dbraw/zinc/47/29/58/1055472958.db2.gz QWWYSGGUFRBEOG-INIZCTEOSA-N 0 3 301.821 4.032 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]3CCCC[C@H]32)cc1Br ZINC001237554155 1055475110 /nfs/dbraw/zinc/47/51/10/1055475110.db2.gz CVSDQLQNRAZMCJ-GOEBONIOSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1c(CN2CCCC(=O)[C@H](C)C2)cccc1-c1ccccc1 ZINC001237580830 1055477634 /nfs/dbraw/zinc/47/76/34/1055477634.db2.gz JPZWNBGAWLAGDL-MRXNPFEDSA-N 0 3 307.437 4.463 20 0 DIADHN Clc1ncnc2ccc(CN3CCCC4(CCC4)C3)cc12 ZINC001237587572 1055480003 /nfs/dbraw/zinc/48/00/03/1055480003.db2.gz GXKUIHWKRCQNGW-UHFFFAOYSA-N 0 3 301.821 4.049 20 0 DIADHN FC(F)Oc1cc(CN(Cc2ccccc2)C2CCC2)ccn1 ZINC001237618721 1055486065 /nfs/dbraw/zinc/48/60/65/1055486065.db2.gz FDIOSIGHVIWDEW-UHFFFAOYSA-N 0 3 318.367 4.238 20 0 DIADHN Cc1ccnc2cccc(CN3CCC(=O)[C@@H](C)C34CCCC4)c12 ZINC001237629284 1055487949 /nfs/dbraw/zinc/48/79/49/1055487949.db2.gz XAURMTGEFRPCGJ-MRXNPFEDSA-N 0 3 322.452 4.267 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)NCc2nc3c(s2)CCC3)cc1 ZINC001620861983 1055489553 /nfs/dbraw/zinc/48/95/53/1055489553.db2.gz AIRAXLZIPBFKJR-CQSZACIVSA-N 0 3 314.498 4.476 20 0 DIADHN CC(C)c1ccc(C[C@H](C)NCc2nc3c(s2)CCC3)cc1 ZINC001620861982 1055490103 /nfs/dbraw/zinc/49/01/03/1055490103.db2.gz AIRAXLZIPBFKJR-AWEZNQCLSA-N 0 3 314.498 4.476 20 0 DIADHN COc1ccc(SCCN2CC[C@@](C)(C(F)(F)F)C2)cc1 ZINC001621511320 1055492459 /nfs/dbraw/zinc/49/24/59/1055492459.db2.gz XWRLZSOHMVBPBZ-CQSZACIVSA-N 0 3 319.392 4.062 20 0 DIADHN Oc1ccc(-c2ccc(CN3CCC(F)(F)CC3)cc2)cc1 ZINC001237661478 1055496550 /nfs/dbraw/zinc/49/65/50/1055496550.db2.gz JEJDMDVRPOIPER-UHFFFAOYSA-N 0 3 303.352 4.290 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(-c2ccc(O)cc2)cc1 ZINC001237659125 1055496945 /nfs/dbraw/zinc/49/69/45/1055496945.db2.gz GHRPAJHNZSTISF-UHFFFAOYSA-N 0 3 318.420 4.476 20 0 DIADHN Fc1ccc(Oc2ccc(Cl)cc2)c(CN[C@@H]2CCOC2)c1 ZINC001620872131 1055499840 /nfs/dbraw/zinc/49/98/40/1055499840.db2.gz HRPVDBQQZMXRJH-OAHLLOKOSA-N 0 3 321.779 4.150 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC4(CSC4)C3)cc2)c(F)c1 ZINC001237665637 1055499890 /nfs/dbraw/zinc/49/98/90/1055499890.db2.gz GSGHIGJMEROHEA-UHFFFAOYSA-N 0 3 317.404 4.181 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccc(C)cc1F ZINC001620877146 1055504602 /nfs/dbraw/zinc/50/46/02/1055504602.db2.gz SJVIXMBUFUICKC-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H]1COC2(CCCCC2)O1 ZINC001620878095 1055505024 /nfs/dbraw/zinc/50/50/24/1055505024.db2.gz YXKIRDIIXYYPAL-OAHLLOKOSA-N 0 3 323.864 4.068 20 0 DIADHN CCN(Cc1cccc(Br)c1)Cc1ccccc1N ZINC000035364565 1055506819 /nfs/dbraw/zinc/50/68/19/1055506819.db2.gz IZJTUHASNIDZNA-UHFFFAOYSA-N 0 3 319.246 4.053 20 0 DIADHN Cc1cc(C)c(Cl)c(CN2CCC(c3ncccn3)CC2)c1 ZINC001237703137 1055509828 /nfs/dbraw/zinc/50/98/28/1055509828.db2.gz ZKBYCDWTLATLNC-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCCOc3cc(F)ccc32)cc1 ZINC001620883228 1055509869 /nfs/dbraw/zinc/50/98/69/1055509869.db2.gz RNMSJACTPKLCKW-FVIAUOKHSA-N 0 3 315.363 4.482 20 0 DIADHN CCN(Cc1cc(C)c(Cl)cc1Cl)C1CCOCC1 ZINC001237703467 1055510321 /nfs/dbraw/zinc/51/03/21/1055510321.db2.gz PGXOQKXHKFZASQ-UHFFFAOYSA-N 0 3 302.245 4.303 20 0 DIADHN CC[C@H](NCc1ccc(C2CCCC2)cc1)[C@H](O)C(F)(F)F ZINC001620885956 1055512146 /nfs/dbraw/zinc/51/21/46/1055512146.db2.gz SHGROFPPSMGRAV-HOTGVXAUSA-N 0 3 315.379 4.136 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)C2)ccc1OC(C)C ZINC001237711140 1055512722 /nfs/dbraw/zinc/51/27/22/1055512722.db2.gz JAVJDLCIZPYCMV-CQSZACIVSA-N 0 3 301.352 4.166 20 0 DIADHN COc1cc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)sn1 ZINC001620894664 1055518842 /nfs/dbraw/zinc/51/88/42/1055518842.db2.gz ALRAJSGCYDIKBK-CRAIPNDOSA-N 0 3 316.470 4.201 20 0 DIADHN CCc1cc(CN2CC[C@@H](COCC3CC3)C2)ccc1Cl ZINC001237740877 1055518796 /nfs/dbraw/zinc/51/87/96/1055518796.db2.gz YKZSQPHVHHFGTC-MRXNPFEDSA-N 0 3 307.865 4.151 20 0 DIADHN CC[C@@H](C)[C@H](C(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000386653125 1055521312 /nfs/dbraw/zinc/52/13/12/1055521312.db2.gz QVEYUSDRIOQFCB-KUHUBIRLSA-N 0 3 308.425 4.058 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](C)c3ccc4c(c3)CCCC4)n2c1 ZINC001620895397 1055521802 /nfs/dbraw/zinc/52/18/02/1055521802.db2.gz ZHSYIIVXZNVTRE-INIZCTEOSA-N 0 3 319.452 4.372 20 0 DIADHN CC[C@@H](NCC1(C(F)(F)F)CCCC1)c1ccc(F)cn1 ZINC000624273223 1055522017 /nfs/dbraw/zinc/52/20/17/1055522017.db2.gz YGPKOAMLVAXVKQ-GFCCVEGCSA-N 0 3 304.331 4.384 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Cc1cccc2ccccc21 ZINC000024812018 1055522192 /nfs/dbraw/zinc/52/21/92/1055522192.db2.gz IAOQKBXKQPNELE-UHFFFAOYSA-N 0 3 318.420 4.083 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN[C@@H](C)c1cccs1 ZINC001620903066 1055526563 /nfs/dbraw/zinc/52/65/63/1055526563.db2.gz CSTLHYFGVUSKBP-ZDUSSCGKSA-N 0 3 315.417 4.232 20 0 DIADHN CC(C)(C)c1csc(CNCCc2ccc(F)c(F)c2)n1 ZINC001620904834 1055528937 /nfs/dbraw/zinc/52/89/37/1055528937.db2.gz SIKGIVPBQPEJOI-UHFFFAOYSA-N 0 3 310.413 4.051 20 0 DIADHN COc1cccc(CN2CCC[C@@H]3CCC[C@H]32)c1Br ZINC001237865960 1055544734 /nfs/dbraw/zinc/54/47/34/1055544734.db2.gz QWCFXGOKTCIRBE-GXTWGEPZSA-N 0 3 324.262 4.222 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CC[C@@H](Cc4ccccc4)C3)nc2c1 ZINC001620906589 1055532064 /nfs/dbraw/zinc/53/20/64/1055532064.db2.gz JZECQALJPOSAES-DOTOQJQBSA-N 0 3 324.399 4.468 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000386901492 1055536063 /nfs/dbraw/zinc/53/60/63/1055536063.db2.gz IORQIHMTDKGBFO-BXUZGUMPSA-N 0 3 319.836 4.047 20 0 DIADHN CCc1ncc(CN2CCC3(CCc4ccccc43)CC2)s1 ZINC001237824725 1055536748 /nfs/dbraw/zinc/53/67/48/1055536748.db2.gz UWHYVNKWEGBMSJ-UHFFFAOYSA-N 0 3 312.482 4.186 20 0 DIADHN COc1nsc(CNCC[C@H](C)c2ccccc2)c1Cl ZINC001620917270 1055540214 /nfs/dbraw/zinc/54/02/14/1055540214.db2.gz XUPXEIVGYLRDPC-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN CC[C@H](NC[C@@](O)(c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000765684636 1055541042 /nfs/dbraw/zinc/54/10/42/1055541042.db2.gz PSOJJJVYLMJYHI-DLBZAZTESA-N 0 3 323.358 4.177 20 0 DIADHN C[C@@H]1CCN(Cc2cccc(-c3ccccn3)n2)CCC1(F)F ZINC001237827955 1055542332 /nfs/dbraw/zinc/54/23/32/1055542332.db2.gz FXFXCRMPJNLJJO-CQSZACIVSA-N 0 3 317.383 4.011 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CCCC1)c1cn2ccccc2n1 ZINC000624272454 1055542237 /nfs/dbraw/zinc/54/22/37/1055542237.db2.gz GYRNOFBSHOSRLI-GFCCVEGCSA-N 0 3 311.351 4.108 20 0 DIADHN COc1cccc(CN2CCC[C@H]3CCC[C@H]32)c1Br ZINC001237865963 1055545363 /nfs/dbraw/zinc/54/53/63/1055545363.db2.gz QWCFXGOKTCIRBE-TZMCWYRMSA-N 0 3 324.262 4.222 20 0 DIADHN CCCN(Cc1cccc(OC)c1Br)CC1CC1 ZINC001237860605 1055545519 /nfs/dbraw/zinc/54/55/19/1055545519.db2.gz BHNSWQOGIZBSML-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN CCCNc1ccc(CNCc2ccc(CC(C)C)nc2)cc1 ZINC001620926031 1055545457 /nfs/dbraw/zinc/54/54/57/1055545457.db2.gz DKWNARSCYZMWNM-UHFFFAOYSA-N 0 3 311.473 4.392 20 0 DIADHN Cn1c(Cl)cnc1CNC[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001620937829 1055551293 /nfs/dbraw/zinc/55/12/93/1055551293.db2.gz BNYWSAXUDUSZFZ-JKSUJKDBSA-N 0 3 317.864 4.137 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccccc1C1CCOCC1 ZINC001237895330 1055551719 /nfs/dbraw/zinc/55/17/19/1055551719.db2.gz AHYXHIWXNJIHHW-UHFFFAOYSA-N 0 3 313.416 4.352 20 0 DIADHN Cn1c(Cl)cnc1CNC[C@H]1CCCC[C@H]1c1ccccc1 ZINC001620937826 1055552341 /nfs/dbraw/zinc/55/23/41/1055552341.db2.gz BNYWSAXUDUSZFZ-CVEARBPZSA-N 0 3 317.864 4.137 20 0 DIADHN Cc1csc(CNCc2c[nH]nc2-c2ccc(Cl)cc2)c1 ZINC001620942105 1055555330 /nfs/dbraw/zinc/55/53/30/1055555330.db2.gz UNFBYCIGCDBRIM-UHFFFAOYSA-N 0 3 317.845 4.390 20 0 DIADHN FC(F)(F)c1ccc(-c2n[nH]cc2CN2CCC[C@H]3C[C@H]32)cc1 ZINC001237901423 1055555567 /nfs/dbraw/zinc/55/55/67/1055555567.db2.gz VLIQGEOQYHUXKT-SWLSCSKDSA-N 0 3 321.346 4.080 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1ccc(-c2ccccc2F)o1)OC ZINC001620944123 1055559450 /nfs/dbraw/zinc/55/94/50/1055559450.db2.gz INSSIRJVINQOIF-ACJLOTCBSA-N 0 3 305.393 4.236 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)cc1-c1ccsc1 ZINC001594662453 1055568291 /nfs/dbraw/zinc/56/82/91/1055568291.db2.gz GJWZRVJWSJAHMJ-QGZVFWFLSA-N 0 3 316.470 4.248 20 0 DIADHN C[C@@H]1CCCN(Cc2csc(-c3ccc(O)cc3)n2)C[C@H]1C ZINC001237977523 1055569927 /nfs/dbraw/zinc/56/99/27/1055569927.db2.gz JMBZOUUUHFAVTI-ZIAGYGMSSA-N 0 3 316.470 4.384 20 0 DIADHN CC(F)(F)c1ccc(CNCc2cccc3nsnc32)cc1 ZINC001620952043 1055570698 /nfs/dbraw/zinc/57/06/98/1055570698.db2.gz FSKOKNKORDQLDB-UHFFFAOYSA-N 0 3 319.380 4.093 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CNCC1=CCCCC1 ZINC001620953591 1055573560 /nfs/dbraw/zinc/57/35/60/1055573560.db2.gz POFOKBVZQDCXBE-UHFFFAOYSA-N 0 3 313.420 4.218 20 0 DIADHN Oc1ccc(-c2nc(CN3CCCCCCC3)cs2)cc1 ZINC001237979526 1055573813 /nfs/dbraw/zinc/57/38/13/1055573813.db2.gz IMHLQJKZGZKKIX-UHFFFAOYSA-N 0 3 302.443 4.282 20 0 DIADHN Cc1cccc2c1CCN(Cc1n[nH]c3cc(Cl)ccc31)C2 ZINC001237992802 1055578234 /nfs/dbraw/zinc/57/82/34/1055578234.db2.gz LATCLVIBMBGDCB-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2coc(-c3cccs3)n2)C1 ZINC000921595357 1055580811 /nfs/dbraw/zinc/58/08/11/1055580811.db2.gz LFTXDAQYGAMHGL-DGCLKSJQSA-N 0 3 308.472 4.167 20 0 DIADHN Clc1ccc2c(c1)n[nH]c2CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001238003476 1055580928 /nfs/dbraw/zinc/58/09/28/1055580928.db2.gz ICKCQFZJPNOFNG-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cccc(N2CCCCC2)n1 ZINC001238000298 1055582001 /nfs/dbraw/zinc/58/20/01/1055582001.db2.gz UXMRMOBNJPZJBY-UHFFFAOYSA-N 0 3 323.484 4.484 20 0 DIADHN c1ccc(Oc2cnccn2)c(CN2CCCC3(CCC3)C2)c1 ZINC001238022722 1055588868 /nfs/dbraw/zinc/58/88/68/1055588868.db2.gz HUEWUKOZXCLGLP-UHFFFAOYSA-N 0 3 309.413 4.035 20 0 DIADHN COc1ccc(C(F)(F)F)c(CN2CCC[C@](C)(F)CC2)c1 ZINC001238049267 1055595010 /nfs/dbraw/zinc/59/50/10/1055595010.db2.gz YTHMTVPKYKAOKX-HNNXBMFYSA-N 0 3 319.342 4.428 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1CN1CC2(C1)CCCOC2 ZINC001238061332 1055596709 /nfs/dbraw/zinc/59/67/09/1055596709.db2.gz IMDLAKOAUFGDNN-UHFFFAOYSA-N 0 3 323.436 4.400 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@H](C)C(C)(C)C ZINC000027132580 1055597498 /nfs/dbraw/zinc/59/74/98/1055597498.db2.gz IHKVVHBHXLUROG-OAHLLOKOSA-N 0 3 304.478 4.423 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H](C)C(C)(C)C)c(Cl)c1 ZINC000027133580 1055598515 /nfs/dbraw/zinc/59/85/15/1055598515.db2.gz OPUIYYMKUJCXCM-CYBMUJFWSA-N 0 3 310.869 4.262 20 0 DIADHN CCOc1cc(CN(C)CCC(=O)c2ccccc2)ccc1C ZINC001238071506 1055600922 /nfs/dbraw/zinc/60/09/22/1055600922.db2.gz LSTFAQPMRKXVRF-UHFFFAOYSA-N 0 3 311.425 4.099 20 0 DIADHN C[C@H]1COCCN(Cc2cccc(OCC3CCCCC3)c2)C1 ZINC001238068582 1055601093 /nfs/dbraw/zinc/60/10/93/1055601093.db2.gz ZWFVCDPDFXUTDP-QGZVFWFLSA-N 0 3 317.473 4.114 20 0 DIADHN Cc1ccc(O)cc1CN1CCC[C@H](Oc2ccccc2C)C1 ZINC001238093490 1055605695 /nfs/dbraw/zinc/60/56/95/1055605695.db2.gz FSVYPZRGTVZHFF-IBGZPJMESA-N 0 3 311.425 4.052 20 0 DIADHN c1ncn2c1cccc2CN1CCC(Sc2ccccc2)CC1 ZINC001238111915 1055608228 /nfs/dbraw/zinc/60/82/28/1055608228.db2.gz YUBUPNTVBDPFRQ-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)Cc1cccc2cncn21 ZINC001238115582 1055609559 /nfs/dbraw/zinc/60/95/59/1055609559.db2.gz JKIGCEBSGYIDIQ-UHFFFAOYSA-N 0 3 320.223 4.273 20 0 DIADHN Clc1ccc([C@@H]2CCCN2Cc2cccc3cncn32)s1 ZINC001238117832 1055610254 /nfs/dbraw/zinc/61/02/54/1055610254.db2.gz DDYBHJCXEUVHHQ-AWEZNQCLSA-N 0 3 317.845 4.386 20 0 DIADHN C[C@@H](NCCc1c[nH]c2cc(F)c(Cl)cc12)c1cscn1 ZINC000624291364 1055611235 /nfs/dbraw/zinc/61/12/35/1055611235.db2.gz QOGXPJDNENEWBL-SECBINFHSA-N 0 3 323.824 4.310 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](c1ccccc1)C(C)C ZINC000029197401 1055614523 /nfs/dbraw/zinc/61/45/23/1055614523.db2.gz WBSJKMPTXURJCG-FQEVSTJZSA-N 0 3 310.441 4.174 20 0 DIADHN c1ccc(OCC2CCN(Cc3cncc(C4CC4)c3)CC2)cc1 ZINC001238183528 1055615189 /nfs/dbraw/zinc/61/51/89/1055615189.db2.gz DLLORJRMKUJDHC-UHFFFAOYSA-N 0 3 322.452 4.250 20 0 DIADHN COc1c(C)cnc(CN2CCC(c3cccs3)CC2)c1C ZINC001238176398 1055615624 /nfs/dbraw/zinc/61/56/24/1055615624.db2.gz RNMHEFOAEPAEAG-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN COc1cccc(C2CCN(Cc3cncc(C4CC4)c3)CC2)c1 ZINC001238196525 1055618224 /nfs/dbraw/zinc/61/82/24/1055618224.db2.gz ZFXGWWVYPHZRQK-UHFFFAOYSA-N 0 3 322.452 4.347 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@@H]1CCC[C@@H](C)C1 ZINC001595694372 1055621335 /nfs/dbraw/zinc/62/13/35/1055621335.db2.gz HZHBNBGIDGWROF-KURKYZTESA-N 0 3 308.510 4.067 20 0 DIADHN CC(C)c1cc(CN2CC[C@H]3OCCC[C@@H]3C2)ccc1Cl ZINC001238216893 1055622431 /nfs/dbraw/zinc/62/24/31/1055622431.db2.gz GLVZIUNWFHTPSG-CRAIPNDOSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1c(Cl)ccc(CN(C)CCc2noc(C(C)C)n2)c1C ZINC001238215019 1055622523 /nfs/dbraw/zinc/62/25/23/1055622523.db2.gz KSFBHCBNNMXMIC-UHFFFAOYSA-N 0 3 321.852 4.138 20 0 DIADHN c1csc(-c2cccc(CN3CC[C@@H](c4ccccn4)C3)n2)c1 ZINC001238220140 1055622876 /nfs/dbraw/zinc/62/28/76/1055622876.db2.gz OFEPTBNGPRJKSE-OAHLLOKOSA-N 0 3 321.449 4.195 20 0 DIADHN CC(C)c1cc(CN2CCC[C@](F)(CO)CC2)ccc1Cl ZINC001238217765 1055622900 /nfs/dbraw/zinc/62/29/00/1055622900.db2.gz KSXGARXPRHIOPX-QGZVFWFLSA-N 0 3 313.844 4.150 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001595720009 1055624029 /nfs/dbraw/zinc/62/40/29/1055624029.db2.gz LKHATRIILKSVDP-FUHWJXTLSA-N 0 3 320.521 4.068 20 0 DIADHN CSC1CCN(Cc2cccc(-c3cccs3)n2)CC1 ZINC001238220867 1055625374 /nfs/dbraw/zinc/62/53/74/1055625374.db2.gz RWDSZENWWZPRFG-UHFFFAOYSA-N 0 3 304.484 4.138 20 0 DIADHN CC[C@@H]1CCCN(CC(=O)N[C@@H](C)c2cccc3ccccc32)C1 ZINC000030143487 1055626199 /nfs/dbraw/zinc/62/61/99/1055626199.db2.gz IATGODOJKIYJQO-DLBZAZTESA-N 0 3 324.468 4.139 20 0 DIADHN CC(C)c1cc(CN2CCC3(CCC(=O)O3)CC2)ccc1Cl ZINC001238229812 1055627211 /nfs/dbraw/zinc/62/72/11/1055627211.db2.gz UCZAOXMFLZJBSZ-UHFFFAOYSA-N 0 3 321.848 4.135 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3cc(C)c(Cl)cc3F)C[C@@H]21 ZINC001238307229 1055638956 /nfs/dbraw/zinc/63/89/56/1055638956.db2.gz IUJWYGLTDKDLRV-HACGYAERSA-N 0 3 311.828 4.034 20 0 DIADHN Fc1cccc(CN2CC3CC(C3)C2)c1OCc1ccccc1 ZINC001238336120 1055640805 /nfs/dbraw/zinc/64/08/05/1055640805.db2.gz DEDUQUZDLKWRNR-UHFFFAOYSA-N 0 3 311.400 4.247 20 0 DIADHN CSCCN(C)Cc1ccc(F)c(OCc2ccccc2)c1 ZINC001238348080 1055643344 /nfs/dbraw/zinc/64/33/44/1055643344.db2.gz QLKZBYOGXOLWBH-UHFFFAOYSA-N 0 3 319.445 4.200 20 0 DIADHN c1ccc(COc2cncc(CN3CCC[C@H]4CCC[C@H]43)c2)cc1 ZINC001238369640 1055645304 /nfs/dbraw/zinc/64/53/04/1055645304.db2.gz PAFCUWKOTWZDIJ-TZIWHRDSSA-N 0 3 322.452 4.425 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1cncc(OCc2ccccc2)c1 ZINC001238370440 1055646026 /nfs/dbraw/zinc/64/60/26/1055646026.db2.gz KCFKAISRCWVDJD-IRXDYDNUSA-N 0 3 310.441 4.424 20 0 DIADHN Cc1cc(CN2CCC3(CC(F)(F)C3)CC2)cnc1OC(C)C ZINC001238374367 1055648708 /nfs/dbraw/zinc/64/87/08/1055648708.db2.gz BFDKDQNESJTEII-UHFFFAOYSA-N 0 3 324.415 4.189 20 0 DIADHN CC[C@@H](NCc1cnn(CC2CCC2)c1)c1ccc(F)cc1 ZINC000921620490 1055652404 /nfs/dbraw/zinc/65/24/04/1055652404.db2.gz KZRYEJUQDKYNDT-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Cc3ccccc3)C2)ncc1Cl ZINC001238421697 1055656104 /nfs/dbraw/zinc/65/61/04/1055656104.db2.gz YPCHMNNZGZCCPY-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN CC(C)N(CC(=O)Nc1cc(Cl)ccc1Cl)C1CCC1 ZINC000077358829 1055657104 /nfs/dbraw/zinc/65/71/04/1055657104.db2.gz UWMYCQUQRZSTLI-UHFFFAOYSA-N 0 3 315.244 4.195 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ncc(C)cc2C)c1 ZINC001238433406 1055657620 /nfs/dbraw/zinc/65/76/20/1055657620.db2.gz MTOQWILLXJDQHO-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cccc(OC(C)C)c1F ZINC001238426952 1055658340 /nfs/dbraw/zinc/65/83/40/1055658340.db2.gz LOFVTTFEVUMWMC-INIZCTEOSA-N 0 3 309.425 4.002 20 0 DIADHN CNc1ccccc1CNCc1ccc(Br)c(C)c1 ZINC001620964133 1055659013 /nfs/dbraw/zinc/65/90/13/1055659013.db2.gz CHUFYBLCMMIIFO-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN CC(C)Oc1ccc(CN2CCC3(CCOCC3)CC2)c(F)c1 ZINC001238449679 1055661175 /nfs/dbraw/zinc/66/11/75/1055661175.db2.gz LOMMEEHTCDGQLT-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN CC(C)Oc1cc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)ccc1F ZINC001238454268 1055663037 /nfs/dbraw/zinc/66/30/37/1055663037.db2.gz MEEQZPCIYXHUBZ-OKILXGFUSA-N 0 3 313.363 4.090 20 0 DIADHN CC(C)Oc1cncc(CN2CCC(C)(c3ccccc3)CC2)c1 ZINC001238464414 1055664792 /nfs/dbraw/zinc/66/47/92/1055664792.db2.gz GJGCNQKYEIAEIO-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN COc1cc(CN(C(C)C)[C@@H](C)c2ccccc2)cnc1F ZINC001238464292 1055665299 /nfs/dbraw/zinc/66/52/99/1055665299.db2.gz MIZJELDPFYCUCD-AWEZNQCLSA-N 0 3 302.393 4.201 20 0 DIADHN CC(C)Oc1cncc(CN2CCC(Cc3ccccc3)CC2)c1 ZINC001238465992 1055665920 /nfs/dbraw/zinc/66/59/20/1055665920.db2.gz XWLIWMZETWFKJK-UHFFFAOYSA-N 0 3 324.468 4.324 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1nnc(-c2ccccc2)o1 ZINC000172307579 1055671833 /nfs/dbraw/zinc/67/18/33/1055671833.db2.gz XIQNOKXCLPMYOK-YJBOKZPZSA-N 0 3 319.408 4.115 20 0 DIADHN C[C@@H]1CCN1Cc1ccc(-c2cccc(C(F)(F)F)c2)nc1 ZINC001238509205 1055675692 /nfs/dbraw/zinc/67/56/92/1055675692.db2.gz PUNLHUDENSJHRI-GFCCVEGCSA-N 0 3 306.331 4.362 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(Oc3ccccc3)c(C)c2)C1 ZINC001238523526 1055678369 /nfs/dbraw/zinc/67/83/69/1055678369.db2.gz MDGSFZYZGDKLGW-INIZCTEOSA-N 0 3 311.425 4.254 20 0 DIADHN Cc1cc(CN(C)[C@H]2CCOC2)ccc1Oc1ccc(F)cc1 ZINC001238524780 1055678650 /nfs/dbraw/zinc/67/86/50/1055678650.db2.gz AOYGCKRYKIXSTH-KRWDZBQOSA-N 0 3 315.388 4.147 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001238604271 1055693810 /nfs/dbraw/zinc/69/38/10/1055693810.db2.gz QBGFEZLWUJZLFU-APWZRJJASA-N 0 3 322.452 4.379 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@@H](C3CCCCC3)C2)cnc1F ZINC001238602917 1055694176 /nfs/dbraw/zinc/69/41/76/1055694176.db2.gz KSOGLEPVWSZFKK-QGZVFWFLSA-N 0 3 320.452 4.410 20 0 DIADHN COc1cc(C)cc(F)c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001238596601 1055694591 /nfs/dbraw/zinc/69/45/91/1055694591.db2.gz VZTUNXSXIXFQFU-CQSZACIVSA-N 0 3 309.400 4.247 20 0 DIADHN CCCOC1CCN(Cc2cnc(-c3ccccc3)c(C)c2)CC1 ZINC001238609430 1055696020 /nfs/dbraw/zinc/69/60/20/1055696020.db2.gz FXIZNZOWWQMOCL-UHFFFAOYSA-N 0 3 324.468 4.448 20 0 DIADHN Cc1cc(CN2CCC(c3ccccn3)CC2)cnc1C1CC1 ZINC001238616822 1055697883 /nfs/dbraw/zinc/69/78/83/1055697883.db2.gz ICKXOQBHPHHRHY-UHFFFAOYSA-N 0 3 307.441 4.042 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@H]3OCCC[C@@H]3C2)c1 ZINC001238615613 1055698227 /nfs/dbraw/zinc/69/82/27/1055698227.db2.gz FPZGQXGISFLXED-UYAOXDASSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccccn3)C2)cnc1C1CC1 ZINC001238618345 1055699140 /nfs/dbraw/zinc/69/91/40/1055699140.db2.gz QQSYNSHIBQOFNO-SFHVURJKSA-N 0 3 307.441 4.042 20 0 DIADHN COc1cc(F)ccc1-c1cccc(CN2CCC[C@@H]2CF)c1 ZINC001238635915 1055707983 /nfs/dbraw/zinc/70/79/83/1055707983.db2.gz YIBWWLPGFWLRSW-QGZVFWFLSA-N 0 3 317.379 4.435 20 0 DIADHN COc1ccc(-c2ccncc2)c(CN(C)Cc2cccs2)c1 ZINC001238653921 1055709097 /nfs/dbraw/zinc/70/90/97/1055709097.db2.gz QDZFCMMGIXTPKO-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN CC(C)OCCN(Cc1ccc(C(F)(F)F)cc1)C1CC1 ZINC000044893651 1055715673 /nfs/dbraw/zinc/71/56/73/1055715673.db2.gz FDJMWKNYRCPLAS-UHFFFAOYSA-N 0 3 301.352 4.095 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H](c2ccccn2)C1 ZINC001238678712 1055718046 /nfs/dbraw/zinc/71/80/46/1055718046.db2.gz XFWSFSVJMZNIKL-ZDUSSCGKSA-N 0 3 318.873 4.446 20 0 DIADHN CCOc1cccc(CN2C[C@@H]3CCC[C@H]3C2)c1Br ZINC001238694731 1055721995 /nfs/dbraw/zinc/72/19/95/1055721995.db2.gz YSOCKXAFFYSQJG-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)[C@H]1CCCCN1C1CCCC1 ZINC001538816762 1055726873 /nfs/dbraw/zinc/72/68/73/1055726873.db2.gz KIIFTZZIZSYQOA-MRXNPFEDSA-N 0 3 306.837 4.076 20 0 DIADHN CCCCCCc1ccc(CN2CC3(C2)CCOCC3)s1 ZINC001238705194 1055728284 /nfs/dbraw/zinc/72/82/84/1055728284.db2.gz KVMCGKBCLYVZMF-UHFFFAOYSA-N 0 3 307.503 4.483 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3C[C@H](C)C[C@H](C)C3)n2)cc1F ZINC000045840364 1055729698 /nfs/dbraw/zinc/72/96/98/1055729698.db2.gz WQFPSFXPTIEZRV-SCRDCRAPSA-N 0 3 317.408 4.223 20 0 DIADHN CSc1ncc(C)cc1CN1CC[C@H](c2ccccc2F)C1 ZINC001238717802 1055731578 /nfs/dbraw/zinc/73/15/78/1055731578.db2.gz YEXLEPBXPOVYCZ-AWEZNQCLSA-N 0 3 316.445 4.241 20 0 DIADHN CCOc1ccc(C)c(CN2CCC(C(F)(F)F)CC2)c1 ZINC001238712075 1055732680 /nfs/dbraw/zinc/73/26/80/1055732680.db2.gz MXAXRZJWMHGUIK-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN CCOc1ccc(C)c(CN2CC[C@H](C(=O)c3ccccc3)C2)c1 ZINC001238715407 1055732840 /nfs/dbraw/zinc/73/28/40/1055732840.db2.gz YPWATUQXSYRFOZ-SFHVURJKSA-N 0 3 323.436 4.099 20 0 DIADHN CSc1ncc(C)cc1CN(C)CC/C=C/c1cccnc1 ZINC001238721025 1055735571 /nfs/dbraw/zinc/73/55/71/1055735571.db2.gz REBOEVINABFYKG-QPJJXVBHSA-N 0 3 313.470 4.042 20 0 DIADHN Cc1cc(CN2CC(c3cccnc3)C2)cc(C)c1OC(C)C ZINC001238750480 1055739420 /nfs/dbraw/zinc/73/94/20/1055739420.db2.gz AAQHHUVLKVIEDB-UHFFFAOYSA-N 0 3 310.441 4.085 20 0 DIADHN COc1cc(C)c(C)cc1CN1CC[C@H](C(=O)c2ccccc2)C1 ZINC001238770120 1055745800 /nfs/dbraw/zinc/74/58/00/1055745800.db2.gz MCWBHBQANWTPSM-SFHVURJKSA-N 0 3 323.436 4.017 20 0 DIADHN C[C@@H](NCc1ccn(-c2ccccc2)n1)C(C)(C)c1ccccc1 ZINC001649047169 1055751449 /nfs/dbraw/zinc/75/14/49/1055751449.db2.gz GARHJESHOYEZFP-QGZVFWFLSA-N 0 3 319.452 4.328 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@H](c2ccncc2)C1 ZINC001238785439 1055752050 /nfs/dbraw/zinc/75/20/50/1055752050.db2.gz QGMHARJOWPNNBA-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN c1c([C@@H]2CNCCO2)cn2c1cccc2-c1ccc(C2CC2)cc1 ZINC001238830660 1055758755 /nfs/dbraw/zinc/75/87/55/1055758755.db2.gz COPWJQMPZQNZBV-NRFANRHFSA-N 0 3 318.420 4.145 20 0 DIADHN COCC1(CNCc2cc(Cl)sc2Cl)CCCC1 ZINC001620972008 1055775691 /nfs/dbraw/zinc/77/56/91/1055775691.db2.gz HRABZXLMZOQQFM-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN Cc1ccc(CNCc2cccc3[nH]c(=O)oc32)c2ccccc12 ZINC001620972159 1055776618 /nfs/dbraw/zinc/77/66/18/1055776618.db2.gz NWGLMAXVTDGVOK-UHFFFAOYSA-N 0 3 318.376 4.285 20 0 DIADHN O=c1[nH]c2ccccc2cc1-c1cccc(CN2CCCC2)c1F ZINC001238883561 1055778516 /nfs/dbraw/zinc/77/85/16/1055778516.db2.gz HUQHMGJQHYEIGO-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN CN(Cc1ccc(-n2ccnc2)cc1)Cc1cccc(Cl)c1 ZINC000057592973 1055785628 /nfs/dbraw/zinc/78/56/28/1055785628.db2.gz VFEVRVZCHITYMW-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN Brc1ccc(CNCc2cc3ccccc3[nH]2)s1 ZINC000058473921 1055799337 /nfs/dbraw/zinc/79/93/37/1055799337.db2.gz BUKMPGRXCVXPNB-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN C[C@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC001567385370 1055800863 /nfs/dbraw/zinc/80/08/63/1055800863.db2.gz HMQRQAJHJWIECG-WCXIOVBPSA-N 0 3 314.473 4.178 20 0 DIADHN COc1cc(CNCc2cc3ccccc3[nH]2)ccc1OC(C)C ZINC000058474217 1055801531 /nfs/dbraw/zinc/80/15/31/1055801531.db2.gz ZJAGDYAFTVYIHP-UHFFFAOYSA-N 0 3 324.424 4.254 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000060040084 1055828178 /nfs/dbraw/zinc/82/81/78/1055828178.db2.gz UTMNQEQSYRWXRX-LJQANCHMSA-N 0 3 324.468 4.282 20 0 DIADHN Cc1ccc([C@H](CNC(=O)c2ccc(C(C)C)cc2)N(C)C)cc1 ZINC000060156160 1055829151 /nfs/dbraw/zinc/82/91/51/1055829151.db2.gz GANRXNBVGQVFAW-FQEVSTJZSA-N 0 3 324.468 4.151 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc(C(C)(C)CC)cc1 ZINC001568621573 1055839856 /nfs/dbraw/zinc/83/98/56/1055839856.db2.gz YSJHMPYLEIVYQU-KRWDZBQOSA-N 0 3 302.462 4.187 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H]2COCc3ccccc32)c(C)c1 ZINC001620976317 1055840428 /nfs/dbraw/zinc/84/04/28/1055840428.db2.gz WPQDGEQTFDQUHF-VHTFYLNKSA-N 0 3 307.437 4.486 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC001239187624 1055843199 /nfs/dbraw/zinc/84/31/99/1055843199.db2.gz JGLZYXRUGIGELQ-UHFFFAOYSA-N 0 3 309.413 4.231 20 0 DIADHN CC1CCN([C@H](CNC(=O)CC(C)(C)C)c2cccs2)CC1 ZINC000060634860 1055846148 /nfs/dbraw/zinc/84/61/48/1055846148.db2.gz NTEISYJNLWZZJZ-OAHLLOKOSA-N 0 3 322.518 4.074 20 0 DIADHN O=C(Nc1ccc2sccc2c1)Nc1cccc2c1CNC2 ZINC001239191689 1055846324 /nfs/dbraw/zinc/84/63/24/1055846324.db2.gz RJRDFXQNHKDWOV-UHFFFAOYSA-N 0 3 309.394 4.149 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2Cc3[nH]c4ccccc4c3C2)cs1 ZINC000282229592 1055848906 /nfs/dbraw/zinc/84/89/06/1055848906.db2.gz IMANHNZEFLBKHQ-RYUDHWBXSA-N 0 3 311.454 4.005 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)[C@@H](C)CCC(C)(C)C ZINC001568921814 1055849510 /nfs/dbraw/zinc/84/95/10/1055849510.db2.gz XGRLXFMHNOIDOT-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN Fc1ccc(-c2ccc(CNCCOc3ccccc3)o2)cc1 ZINC000061013786 1055858323 /nfs/dbraw/zinc/85/83/23/1055858323.db2.gz MZPZPXBGAFWHFT-UHFFFAOYSA-N 0 3 311.356 4.254 20 0 DIADHN COC(=O)c1cnc([C@@H](C)NCC2CCC(C(C)C)CC2)s1 ZINC001620978015 1055867637 /nfs/dbraw/zinc/86/76/37/1055867637.db2.gz PLVVVNYWXHEUFN-IYXRBSQSSA-N 0 3 324.490 4.043 20 0 DIADHN COc1ccc(OC)c([C@H]2CCCN2Cc2ccc(C)cc2)c1 ZINC000061799006 1055873154 /nfs/dbraw/zinc/87/31/54/1055873154.db2.gz DQKNTSMFQAWWHE-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc3c(c2)ncn3C)cc1 ZINC000282252758 1055873173 /nfs/dbraw/zinc/87/31/73/1055873173.db2.gz ORDNVVFRMAJDAA-CYBMUJFWSA-N 0 3 311.454 4.146 20 0 DIADHN COCCC[C@H](CNCc1nccs1)c1ccc(Cl)cc1 ZINC000671723701 1055877716 /nfs/dbraw/zinc/87/77/16/1055877716.db2.gz PTWLKWRORUXRJY-CQSZACIVSA-N 0 3 324.877 4.097 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(Cl)c(F)c1)c1ccco1 ZINC000062423624 1055878422 /nfs/dbraw/zinc/87/84/22/1055878422.db2.gz AYDPEBTULNNYHO-MRXNPFEDSA-N 0 3 324.827 4.245 20 0 DIADHN CC/C=C(/C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001539702285 1055887260 /nfs/dbraw/zinc/88/72/60/1055887260.db2.gz ZIEAPIKSMSQLPG-ROOCWEIGSA-N 0 3 322.880 4.195 20 0 DIADHN Cn1nc(-c2ccccc2)c(CN[C@@H]2C[C@H]2C2CCC2)c1Cl ZINC001620979208 1055888409 /nfs/dbraw/zinc/88/84/09/1055888409.db2.gz PDMKDDIMMHEKAU-GOEBONIOSA-N 0 3 315.848 4.019 20 0 DIADHN Cc1cc(C)cc(OCCNCc2cnc(C(C)(C)C)s2)c1 ZINC000063523424 1055894146 /nfs/dbraw/zinc/89/41/46/1055894146.db2.gz QCAJNZHLJZIEEM-UHFFFAOYSA-N 0 3 318.486 4.226 20 0 DIADHN CCc1nc(NC2CCN(Cc3ccccc3)CC2)sc1C ZINC000063630488 1055897645 /nfs/dbraw/zinc/89/76/45/1055897645.db2.gz LVPNRTAQWODQTA-UHFFFAOYSA-N 0 3 315.486 4.090 20 0 DIADHN CCCN(C(=O)CN(CC)CC)[C@H](C)c1cc2ccccc2o1 ZINC000063803979 1055904288 /nfs/dbraw/zinc/90/42/88/1055904288.db2.gz PDKCXAHAJPQLAG-OAHLLOKOSA-N 0 3 316.445 4.074 20 0 DIADHN CN(C)CCN(C(=O)c1cc2ccccc2s1)c1ccccc1 ZINC000063829406 1055905429 /nfs/dbraw/zinc/90/54/29/1055905429.db2.gz NZFOCACMDJYCOT-UHFFFAOYSA-N 0 3 324.449 4.110 20 0 DIADHN c1ccc(-c2ccc(-c3ccc4c(c3)COC43CNC3)cc2)cc1 ZINC001239493964 1055909763 /nfs/dbraw/zinc/90/97/63/1055909763.db2.gz UYMDRQULIHRXMP-UHFFFAOYSA-N 0 3 313.400 4.349 20 0 DIADHN CC[C@@H](C)C[C@@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001620981410 1055918085 /nfs/dbraw/zinc/91/80/85/1055918085.db2.gz OPLZMXZZNBKSMA-WBMJQRKESA-N 0 3 320.864 4.083 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1ccccc1F ZINC000064620956 1055920248 /nfs/dbraw/zinc/92/02/48/1055920248.db2.gz AJQADBFEXBYKGE-UHFFFAOYSA-N 0 3 301.405 4.255 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)cc1Cl)C(=O)OC(C)(C)C ZINC001620986409 1055959365 /nfs/dbraw/zinc/95/93/65/1055959365.db2.gz NKEFENRVGHYRKR-JTQLQIEISA-N 0 3 318.244 4.061 20 0 DIADHN CCCN(Cc1csc(CC)n1)[C@@H]1CCc2ccccc2C1 ZINC000064632520 1055922312 /nfs/dbraw/zinc/92/23/12/1055922312.db2.gz QJXZUJMXAXKGFJ-GOSISDBHSA-N 0 3 314.498 4.475 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1ccccn1 ZINC000671742297 1055930954 /nfs/dbraw/zinc/93/09/54/1055930954.db2.gz NIDOFLRRCVTSEY-RARDXLECSA-N 0 3 310.441 4.084 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c1C ZINC001620759226 1055931925 /nfs/dbraw/zinc/93/19/25/1055931925.db2.gz OFZLXZWKZYUCCB-GOSISDBHSA-N 0 3 316.420 4.415 20 0 DIADHN CC(=O)Nc1cccc(-c2ccc3c(c2)C(C(C)C)=NCC3)c1 ZINC001239531388 1055932797 /nfs/dbraw/zinc/93/27/97/1055932797.db2.gz QLRVANQGHULIFU-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742724 1055933345 /nfs/dbraw/zinc/93/33/45/1055933345.db2.gz DYFKQLGRWGLQMT-WDEREUQCSA-N 0 3 302.399 4.315 20 0 DIADHN CC(=O)Nc1cccc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001239533189 1055934599 /nfs/dbraw/zinc/93/45/99/1055934599.db2.gz SECSSIREJVXUAR-UHFFFAOYSA-N 0 3 312.388 4.047 20 0 DIADHN Clc1ccc(C2(CNCc3ccncc3Cl)CC2)cc1 ZINC001620765523 1055935315 /nfs/dbraw/zinc/93/53/15/1055935315.db2.gz JFEVJCWSLJPEEO-UHFFFAOYSA-N 0 3 307.224 4.210 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(NC(C)=O)c2)c1F ZINC001239533117 1055935363 /nfs/dbraw/zinc/93/53/63/1055935363.db2.gz QDAGQATUZJKTGO-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN CC(=O)Nc1cccc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001239533253 1055935619 /nfs/dbraw/zinc/93/56/19/1055935619.db2.gz UQMVYSCICLLGPE-UHFFFAOYSA-N 0 3 312.388 4.047 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccs1 ZINC000671743071 1055935698 /nfs/dbraw/zinc/93/56/98/1055935698.db2.gz XAFWZFFARCKEGT-JQWIXIFHSA-N 0 3 302.399 4.397 20 0 DIADHN Fc1cccc(-c2ccc(CNCCOCC3CCC3)o2)c1F ZINC001620982693 1055937955 /nfs/dbraw/zinc/93/79/55/1055937955.db2.gz AIZXLZODIPWIHZ-UHFFFAOYSA-N 0 3 321.367 4.131 20 0 DIADHN C[C@@H](N[C@@H]1CCCOc2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671745102 1055940955 /nfs/dbraw/zinc/94/09/55/1055940955.db2.gz LUZYTVHVIZGLGY-IUODEOHRSA-N 0 3 324.380 4.098 20 0 DIADHN CCN(Cc1nc(-c2ccc(Cl)cc2)no1)[C@H](C)C(C)C ZINC000065298809 1055943153 /nfs/dbraw/zinc/94/31/53/1055943153.db2.gz AVGODJREUQZGFB-GFCCVEGCSA-N 0 3 307.825 4.256 20 0 DIADHN C[C@H](CO)N(Cc1cc(Cl)ccc1Cl)C1CCCC1 ZINC001617217642 1055944072 /nfs/dbraw/zinc/94/40/72/1055944072.db2.gz UHBIGAFSKDGPDG-LLVKDONJSA-N 0 3 302.245 4.119 20 0 DIADHN Cc1cc(C)c(-c2ccc(N3CCN(C(C)C)CC3)nc2)c(C)c1 ZINC001239550253 1055948375 /nfs/dbraw/zinc/94/83/75/1055948375.db2.gz NAPBYXMMNUMJJG-UHFFFAOYSA-N 0 3 323.484 4.204 20 0 DIADHN C/C=C/C[C@@H]1CCCN([C@@H](C)c2cc(C)c(C(=O)OC)o2)C1 ZINC001620468539 1055955690 /nfs/dbraw/zinc/95/56/90/1055955690.db2.gz GBIXZHSTSRXPQA-ICWYTKJFSA-N 0 3 305.418 4.114 20 0 DIADHN C/C=C\C[C@@H]1CCCN([C@H](C)c2cc(C)c(C(=O)OC)o2)C1 ZINC001620468540 1055956244 /nfs/dbraw/zinc/95/62/44/1055956244.db2.gz GBIXZHSTSRXPQA-JBZXTQMFSA-N 0 3 305.418 4.114 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](C(=O)OC(C)(C)C)C(C)C ZINC001620986766 1055957781 /nfs/dbraw/zinc/95/77/81/1055957781.db2.gz YBIPKJGJHPAGBA-HNNXBMFYSA-N 0 3 323.452 4.092 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cnc3c(F)cccc3c1)CC2 ZINC001620784613 1055958335 /nfs/dbraw/zinc/95/83/35/1055958335.db2.gz OJNGVUKYMWAMRS-GOSISDBHSA-N 0 3 310.347 4.290 20 0 DIADHN COc1ccc([C@@H](C)CN2CCc3cc(F)c(F)cc3C2)cc1 ZINC001620539472 1055965359 /nfs/dbraw/zinc/96/53/59/1055965359.db2.gz JAVYKHAMKWQFSY-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C)[C@H]2c2ccccc2)s1 ZINC001620569732 1055966686 /nfs/dbraw/zinc/96/66/86/1055966686.db2.gz NZKOZUBGJPBYNJ-GUYCJALGSA-N 0 3 315.438 4.118 20 0 DIADHN COc1cccc(SCCN2CC[C@@](C)(C(F)(F)F)C2)c1 ZINC001620559640 1055967980 /nfs/dbraw/zinc/96/79/80/1055967980.db2.gz YHRIYBLMJJQZSN-CQSZACIVSA-N 0 3 319.392 4.062 20 0 DIADHN Brc1cc2c(s1)CC[C@@H]2NCc1ccccc1 ZINC000306764955 1055976248 /nfs/dbraw/zinc/97/62/48/1055976248.db2.gz LFSQARQFICFIOM-LBPRGKRZSA-N 0 3 308.244 4.288 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1COc2cccc(C)c2C1 ZINC001621013986 1055979775 /nfs/dbraw/zinc/97/97/75/1055979775.db2.gz AVFNEFGYKDAZKR-OAHLLOKOSA-N 0 3 315.844 4.304 20 0 DIADHN Fc1cc(Br)cnc1CNC1CCCCCCC1 ZINC001620697194 1055981429 /nfs/dbraw/zinc/98/14/29/1055981429.db2.gz FYMSNPCEXQUPGI-UHFFFAOYSA-N 0 3 315.230 4.186 20 0 DIADHN CCSC1(CNCc2cccc(F)c2OC(F)(F)F)CC1 ZINC001621014885 1055981276 /nfs/dbraw/zinc/98/12/76/1055981276.db2.gz FLZXYVVYDDPVCJ-UHFFFAOYSA-N 0 3 323.355 4.100 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cc(C)sc2C)cc1 ZINC001620724990 1055987647 /nfs/dbraw/zinc/98/76/47/1055987647.db2.gz RGKJTXXIMSWCFA-UHFFFAOYSA-N 0 3 316.470 4.393 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC001621023400 1055988603 /nfs/dbraw/zinc/98/86/03/1055988603.db2.gz IVMWSUGRLSFTES-GOSISDBHSA-N 0 3 300.833 4.467 20 0 DIADHN Cc1[nH]c2ccc(F)cc2c1CCNCc1nc2ccccc2o1 ZINC001621028288 1055993478 /nfs/dbraw/zinc/99/34/78/1055993478.db2.gz VUATWFDBTBZBQW-UHFFFAOYSA-N 0 3 323.371 4.089 20 0 DIADHN c1cc(CN[C@@H](CC2CC2)c2ccccc2)n(CC2CCC2)n1 ZINC001620801386 1055996271 /nfs/dbraw/zinc/99/62/71/1055996271.db2.gz ASJWMWVIQPDDOO-FQEVSTJZSA-N 0 3 309.457 4.314 20 0 DIADHN COc1cc(F)cc(OC)c1CNC[C@@H](C)c1ccc(C)cc1 ZINC001620804193 1055997132 /nfs/dbraw/zinc/99/71/32/1055997132.db2.gz WQDHBOJAHQICQO-CQSZACIVSA-N 0 3 317.404 4.045 20 0 DIADHN Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)c(F)c1 ZINC001621033560 1055997143 /nfs/dbraw/zinc/99/71/43/1055997143.db2.gz PTVLNMONJLZGCL-MSOLQXFVSA-N 0 3 321.342 4.032 20 0 DIADHN CCCCOc1cc(CNC(C)(C)/C=C\Cl)ccc1OC ZINC001621076164 1055997423 /nfs/dbraw/zinc/99/74/23/1055997423.db2.gz OAAJKUPHFDKZDS-KTKRTIGZSA-N 0 3 311.853 4.495 20 0 DIADHN Cc1cc(C)cc(CCNCc2nc3c(s2)C[C@@H](C)CC3)c1 ZINC001620804272 1055998500 /nfs/dbraw/zinc/99/85/00/1055998500.db2.gz ZRBAMKCIAYQALY-ZDUSSCGKSA-N 0 3 314.498 4.217 20 0 DIADHN COc1ccccc1[C@H](C)NC/C=C\c1ccc(F)cc1F ZINC001621040009 1055999766 /nfs/dbraw/zinc/99/97/66/1055999766.db2.gz HOLKRVCABXNUGO-SZZPACECSA-N 0 3 303.352 4.337 20 0 DIADHN Clc1cccc(C2(CNCc3nc4ccccc4o3)CC2)c1 ZINC001620807305 1056002226 /nfs/dbraw/zinc/00/22/26/1056002226.db2.gz SWDRSODPKAERGS-UHFFFAOYSA-N 0 3 312.800 4.303 20 0 DIADHN c1ccc(-c2cccc(-c3ccc4c(c3)COC43CNC3)c2)cc1 ZINC001239652738 1056002328 /nfs/dbraw/zinc/00/23/28/1056002328.db2.gz YPRSAPWBAPPCGX-UHFFFAOYSA-N 0 3 313.400 4.349 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2ccncc2)cc1OCC ZINC001620810748 1056006081 /nfs/dbraw/zinc/00/60/81/1056006081.db2.gz ZOQNQBGUOCQWPH-KRWDZBQOSA-N 0 3 314.429 4.120 20 0 DIADHN CC[C@H](C)C[C@@H](C)NCc1ncc(Br)cc1Cl ZINC001620812858 1056007120 /nfs/dbraw/zinc/00/71/20/1056007120.db2.gz UGKUSFORWAYYMP-VHSXEESVSA-N 0 3 319.674 4.412 20 0 DIADHN COc1ccc(C(C)C)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001239660346 1056007579 /nfs/dbraw/zinc/00/75/79/1056007579.db2.gz PAMFFSILGIOVJH-UHFFFAOYSA-N 0 3 310.441 4.475 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCc1ccns1 ZINC001621047705 1056008043 /nfs/dbraw/zinc/00/80/43/1056008043.db2.gz ATELRFQWRHYMFT-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN Cc1nc(CNC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)cs1 ZINC001620816109 1056009442 /nfs/dbraw/zinc/00/94/42/1056009442.db2.gz RVBVMAJLDPGZMH-WMZOPIPTSA-N 0 3 316.470 4.017 20 0 DIADHN C=C/C=C/CCNCc1csc(-c2ccc(OC)cc2)n1 ZINC001621049897 1056011576 /nfs/dbraw/zinc/01/15/76/1056011576.db2.gz UWIRFYQTLSCVLY-SNAWJCMRSA-N 0 3 300.427 4.041 20 0 DIADHN COc1cc(C)c(CNCc2ccc(C(C)(C)C)s2)c(C)n1 ZINC001621057812 1056013905 /nfs/dbraw/zinc/01/39/05/1056013905.db2.gz UBMFJVMLACBVIK-UHFFFAOYSA-N 0 3 318.486 4.356 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cc(C(F)(F)F)cc(Cl)n2)CS1 ZINC001621056345 1056013963 /nfs/dbraw/zinc/01/39/63/1056013963.db2.gz VTKUFEKBQRVHFG-SCZZXKLOSA-N 0 3 324.799 4.128 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(OC)ccc2OC)c1F ZINC001239668937 1056014514 /nfs/dbraw/zinc/01/45/14/1056014514.db2.gz XUNCYVWUKSPUDX-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC(F)(F)CC1)c1c(F)cncc1F ZINC000671770710 1056015160 /nfs/dbraw/zinc/01/51/60/1056015160.db2.gz IITYMMXSMZLQLO-VHSXEESVSA-N 0 3 304.331 4.224 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N(C)c1ccc(C(C)(C)C)cc1 ZINC001583099912 1056020179 /nfs/dbraw/zinc/02/01/79/1056020179.db2.gz BWWUMDZVRCUDDF-SFHVURJKSA-N 0 3 316.489 4.211 20 0 DIADHN COc1ccc2c(c1)nccc2-c1ccc2c(N)ccnc2c1 ZINC001239683178 1056024227 /nfs/dbraw/zinc/02/42/27/1056024227.db2.gz KHQSMRKJEIZWFW-UHFFFAOYSA-N 0 3 301.349 4.041 20 0 DIADHN COc1ccc2c(c1)nccc2-c1cccc(C2=NCCC2)c1 ZINC001239683599 1056026558 /nfs/dbraw/zinc/02/65/58/1056026558.db2.gz LIOGDBLAUTUYSG-UHFFFAOYSA-N 0 3 302.377 4.493 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)C[C@H]2C[C@H](C)CC(C)(C)C2)CC1 ZINC001583170147 1056032212 /nfs/dbraw/zinc/03/22/12/1056032212.db2.gz QMQIGAGTTXMKJV-DLBZAZTESA-N 0 3 322.537 4.172 20 0 DIADHN CCc1ccc([C@H](CNC(=O)C[C@@H](C)CC(C)C)N(C)C)cc1 ZINC001540450988 1056032332 /nfs/dbraw/zinc/03/23/32/1056032332.db2.gz JDHUWNWWNOJOLL-LPHOPBHVSA-N 0 3 318.505 4.040 20 0 DIADHN CCCc1ncc(CN[C@H](C)Cc2ccccc2SCC)o1 ZINC001621334570 1056037413 /nfs/dbraw/zinc/03/74/13/1056037413.db2.gz PUMJAQAKZGCTQT-CQSZACIVSA-N 0 3 318.486 4.460 20 0 DIADHN Cc1ccc(CN(C(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)C(C)C)s1 ZINC001583197435 1056037603 /nfs/dbraw/zinc/03/76/03/1056037603.db2.gz HFYRDZABHFSBNK-FMKPAKJESA-N 0 3 322.518 4.055 20 0 DIADHN CO[C@@H](CC(C)C)CN1CC(c2cccc(C(F)(F)F)c2)C1 ZINC001621334334 1056037710 /nfs/dbraw/zinc/03/77/10/1056037710.db2.gz KBLAADIHCRTEEQ-INIZCTEOSA-N 0 3 315.379 4.166 20 0 DIADHN CC(C)c1ncc(CNC[C@@H](C)Oc2ccccc2Cl)s1 ZINC001620817304 1056048249 /nfs/dbraw/zinc/04/82/49/1056048249.db2.gz QOOPQOWIMZWEMM-GFCCVEGCSA-N 0 3 324.877 4.477 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CCC[C@@H]2c2ccccc2)c1 ZINC001540627558 1056052795 /nfs/dbraw/zinc/05/27/95/1056052795.db2.gz GVZRPJCAVVHLRX-WOJBJXKFSA-N 0 3 322.452 4.271 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccc2c(c1)OCCCO2 ZINC000074340563 1056054398 /nfs/dbraw/zinc/05/43/98/1056054398.db2.gz IXJYNEONJZWEON-ZDUSSCGKSA-N 0 3 317.816 4.352 20 0 DIADHN COc1cccc(-c2cccc(CN3CCCC3)c2F)c1F ZINC001239751617 1056057542 /nfs/dbraw/zinc/05/75/42/1056057542.db2.gz KWMSVGYBTBUYSL-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCCCCCN(C)CC(=O)N(Cc1cccc(F)c1)C(C)C ZINC001583286483 1056058474 /nfs/dbraw/zinc/05/84/74/1056058474.db2.gz YDIZSXHXEKMERW-UHFFFAOYSA-N 0 3 322.468 4.075 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cn1)c1cc2c(cc1O)CCCC2 ZINC000186230033 1056064001 /nfs/dbraw/zinc/06/40/01/1056064001.db2.gz MUXBPMTXERUYAK-GFCCVEGCSA-N 0 3 316.832 4.170 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCCC2)C(=O)Nc1ccccc1 ZINC000007744431 1056065538 /nfs/dbraw/zinc/06/55/38/1056065538.db2.gz TYNDCHHFSMRERO-JKSUJKDBSA-N 0 3 322.452 4.243 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C(C)(C)C)cc1)N(CC)CC ZINC001542239326 1056112130 /nfs/dbraw/zinc/11/21/30/1056112130.db2.gz YTXAMEBRJKSUQZ-QGZVFWFLSA-N 0 3 304.478 4.067 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)C1CC(C(C)(C)C)C1 ZINC001542329723 1056119688 /nfs/dbraw/zinc/11/96/88/1056119688.db2.gz HXYXZYWQMJNOIG-UHFFFAOYSA-N 0 3 322.537 4.029 20 0 DIADHN CCOc1cc(CNCc2csc(C)c2C)ccc1OC ZINC000399944326 1056120914 /nfs/dbraw/zinc/12/09/14/1056120914.db2.gz VXOIGNZMGYLLPH-UHFFFAOYSA-N 0 3 305.443 4.062 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1CCC(C)C)N(CC)CC ZINC001542414072 1056122440 /nfs/dbraw/zinc/12/24/40/1056122440.db2.gz ZRMDUVSZTUONMT-SFHVURJKSA-N 0 3 304.478 4.334 20 0 DIADHN CCCC[C@@H](CC)CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001542420203 1056123687 /nfs/dbraw/zinc/12/36/87/1056123687.db2.gz WYNNXECEGAAZHY-MJGOQNOKSA-N 0 3 318.505 4.320 20 0 DIADHN CCC(CC)CC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001542487462 1056126614 /nfs/dbraw/zinc/12/66/14/1056126614.db2.gz OYVLVYDDEYQQQA-IBGZPJMESA-N 0 3 316.489 4.074 20 0 DIADHN CCN(CC)C(=O)c1ccc(-c2nc(C)cc3[nH]ccc32)cc1 ZINC001239887293 1056131352 /nfs/dbraw/zinc/13/13/52/1056131352.db2.gz SSKCSXDLMILPLL-UHFFFAOYSA-N 0 3 307.397 4.020 20 0 DIADHN CN(CC(=O)N[C@@H](c1ccc(F)cc1)C(C)(C)C)CC(C)(C)C ZINC001542573689 1056139198 /nfs/dbraw/zinc/13/91/98/1056139198.db2.gz HFCVHCYLCKOKOW-KRWDZBQOSA-N 0 3 322.468 4.007 20 0 DIADHN CCCCN(Cc1ccccc1Cl)C(=O)[C@H](C(C)C)N(C)C ZINC001542731690 1056152279 /nfs/dbraw/zinc/15/22/79/1056152279.db2.gz LPFBDUPIONYZHA-KRWDZBQOSA-N 0 3 324.896 4.055 20 0 DIADHN O=C(NC1CCCCC1)c1ccc(-c2cncc3cc[nH]c32)cc1 ZINC001239916985 1056157261 /nfs/dbraw/zinc/15/72/61/1056157261.db2.gz FWXBJTKIASSNDT-UHFFFAOYSA-N 0 3 319.408 4.292 20 0 DIADHN CC(C)CCCC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001611433575 1056158642 /nfs/dbraw/zinc/15/86/42/1056158642.db2.gz LJYVMOLQYIQYID-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN CC[C@H](C)CCC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001611432863 1056158796 /nfs/dbraw/zinc/15/87/96/1056158796.db2.gz CVYJEQPTNSAYSI-PKOBYXMFSA-N 0 3 316.489 4.156 20 0 DIADHN Fc1ccc(C2(NCc3ccnn3C3CCC3)CCCC2)cc1 ZINC000921652873 1056163921 /nfs/dbraw/zinc/16/39/21/1056163921.db2.gz IMHSTNPQEBBZKL-UHFFFAOYSA-N 0 3 313.420 4.306 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1cccc(Cl)c1)c1ccccc1F ZINC000765814720 1056171613 /nfs/dbraw/zinc/17/16/13/1056171613.db2.gz IAWYWADAKUXIPB-YVEFUNNKSA-N 0 3 307.796 4.037 20 0 DIADHN CCCCCC(=O)NCCNC(c1ccccc1)c1ccccc1 ZINC001611693100 1056180343 /nfs/dbraw/zinc/18/03/43/1056180343.db2.gz JRFRUEJJOKDZIS-UHFFFAOYSA-N 0 3 324.468 4.062 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1CC1CCCCC1 ZINC001583834182 1056180638 /nfs/dbraw/zinc/18/06/38/1056180638.db2.gz IQNDAKYISKPLRE-LJQANCHMSA-N 0 3 322.537 4.460 20 0 DIADHN CCCCC[C@@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921670521 1056181432 /nfs/dbraw/zinc/18/14/32/1056181432.db2.gz NXEHFBGCWNCQAH-GOSISDBHSA-N 0 3 303.425 4.264 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccccc2COC)cc1F ZINC001239955151 1056189798 /nfs/dbraw/zinc/18/97/98/1056189798.db2.gz ORKQCOGGHHADLB-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN CCNc1ccccc1CN(CCC(F)(F)F)CC1CC1 ZINC001621134625 1056192889 /nfs/dbraw/zinc/19/28/89/1056192889.db2.gz OEDGVIDIDUDWCJ-UHFFFAOYSA-N 0 3 300.368 4.283 20 0 DIADHN C[C@H](CN1CCC[C@@H](C)C1)Nc1nc(C2CCCCC2)ns1 ZINC001611860922 1056198813 /nfs/dbraw/zinc/19/88/13/1056198813.db2.gz MNGHBQLSGYPVSQ-ZIAGYGMSSA-N 0 3 322.522 4.118 20 0 DIADHN Cc1cccc(-c2noc(CN(C3CC3)C3CCCCC3)n2)c1 ZINC000061163545 1056202479 /nfs/dbraw/zinc/20/24/79/1056202479.db2.gz YDSKOOXOFDJBGL-UHFFFAOYSA-N 0 3 311.429 4.342 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001583966878 1056221508 /nfs/dbraw/zinc/22/15/08/1056221508.db2.gz VXIVUDXKYLYKHG-KURKYZTESA-N 0 3 322.537 4.076 20 0 DIADHN CCCCNC(=O)c1ccc(-c2cc3[nH]ccc3c(C)n2)cc1 ZINC001239984115 1056222351 /nfs/dbraw/zinc/22/23/51/1056222351.db2.gz BCNSXMWZRYRUAD-UHFFFAOYSA-N 0 3 307.397 4.068 20 0 DIADHN CCc1cnc(CCNCc2cc(Cl)ccc2Cl)s1 ZINC001620821388 1056223722 /nfs/dbraw/zinc/22/37/22/1056223722.db2.gz VIBXBMZBBSSNGL-UHFFFAOYSA-N 0 3 315.269 4.345 20 0 DIADHN Cc1cccc([C@H](NC(=O)CN2[C@H](C)CC[C@@H]2C)C(C)(C)C)c1 ZINC001543467591 1056228574 /nfs/dbraw/zinc/22/85/74/1056228574.db2.gz BVOMBKDBEIPPCV-FCEWJHQRSA-N 0 3 316.489 4.071 20 0 DIADHN Fc1ccc(-c2ccc3cncnc3c2)cc1CN1CCCCC1 ZINC001239999887 1056238317 /nfs/dbraw/zinc/23/83/17/1056238317.db2.gz KXDLALHNGMGBCS-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H](C)c1cccc(Cl)c1 ZINC001543534070 1056246052 /nfs/dbraw/zinc/24/60/52/1056246052.db2.gz AXBOSWWNQBCOFH-HNNXBMFYSA-N 0 3 324.896 4.372 20 0 DIADHN CCc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000347635876 1056251987 /nfs/dbraw/zinc/25/19/87/1056251987.db2.gz YRNDKEKDVCHYOR-GOSISDBHSA-N 0 3 303.833 4.116 20 0 DIADHN CC[C@H](NC[C@H]1CCCC2(CCC2)O1)c1cc(F)ccc1F ZINC001168632925 1056259596 /nfs/dbraw/zinc/25/95/96/1056259596.db2.gz FFZIPVGPJCIYQL-PBHICJAKSA-N 0 3 309.400 4.497 20 0 DIADHN c1nc(C2CCCC2)sc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC000655863504 1056262276 /nfs/dbraw/zinc/26/22/76/1056262276.db2.gz LJAYGCSKSQNZSY-HNNXBMFYSA-N 0 3 320.502 4.382 20 0 DIADHN O=C(c1cccc(-c2ccc(C3=NCCC3)cc2)c1)N1CCCC1 ZINC001240057294 1056291224 /nfs/dbraw/zinc/29/12/24/1056291224.db2.gz PTLGUTGODDLZFF-UHFFFAOYSA-N 0 3 318.420 4.173 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2)C1)c1nc2ccccc2s1 ZINC000765845728 1056307796 /nfs/dbraw/zinc/30/77/96/1056307796.db2.gz TUHGDAYMOGQAPP-HUUCEWRRSA-N 0 3 323.465 4.226 20 0 DIADHN CC(C)CNC(=O)c1cccc(-c2cccc(C3=NCCC3)c2)c1 ZINC001240094176 1056320530 /nfs/dbraw/zinc/32/05/30/1056320530.db2.gz WSYJOETXQYHTTE-UHFFFAOYSA-N 0 3 320.436 4.322 20 0 DIADHN COc1ccc(CNCc2ccccc2)cc1OC1CCCC1 ZINC000032226224 1056350654 /nfs/dbraw/zinc/35/06/54/1056350654.db2.gz OYESOAOLZRHWFA-UHFFFAOYSA-N 0 3 311.425 4.306 20 0 DIADHN Fc1ccc(-c2ccc3nccn3c2)cc1CN1CCCCC1 ZINC001240221563 1056379027 /nfs/dbraw/zinc/37/90/27/1056379027.db2.gz MMVFNQFHJYGEPM-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN Cc1ccc([C@H](CNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)N(C)C)cc1 ZINC001544274075 1056400488 /nfs/dbraw/zinc/40/04/88/1056400488.db2.gz UQUFQFHKVQULGW-JZXOWHBKSA-N 0 3 318.505 4.032 20 0 DIADHN CCN(C)C(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001240282789 1056410108 /nfs/dbraw/zinc/41/01/08/1056410108.db2.gz QPYHALWCGPDKIU-UHFFFAOYSA-N 0 3 306.409 4.028 20 0 DIADHN Fc1ccc(-c2cccc3n[nH]cc32)cc1CN1CCCCC1 ZINC001240317817 1056425791 /nfs/dbraw/zinc/42/57/91/1056425791.db2.gz SDNOUOBJJSTBFY-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cn1cnc2ccc(-c3ccc(CN4CCCCC4)c(F)c3)cc21 ZINC001240319693 1056427504 /nfs/dbraw/zinc/42/75/04/1056427504.db2.gz KORJXPYPZJZWNG-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN C[C@@H](S[C@H]1CCCN(Cc2ccccc2)C1)C(=O)C(C)(C)C ZINC001614553038 1056440662 /nfs/dbraw/zinc/44/06/62/1056440662.db2.gz OKHSNSVTPAYBTO-WBVHZDCISA-N 0 3 319.514 4.388 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCCOc2ccccc2)s1 ZINC000303961705 1056444785 /nfs/dbraw/zinc/44/47/85/1056444785.db2.gz ZVVROJPFSZVQIP-OAHLLOKOSA-N 0 3 318.486 4.476 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCCOc2ccccc2)s1 ZINC000303961703 1056445857 /nfs/dbraw/zinc/44/58/57/1056445857.db2.gz ZVVROJPFSZVQIP-HNNXBMFYSA-N 0 3 318.486 4.476 20 0 DIADHN OCC[C@H](NCc1ccc2c(c1)CCC2)c1ccc(Cl)cc1 ZINC000347663423 1056450062 /nfs/dbraw/zinc/45/00/62/1056450062.db2.gz OTQSCYTUXUACEO-IBGZPJMESA-N 0 3 315.844 4.042 20 0 DIADHN CN(C)CCN(C(=O)CC1CCCCCC1)C1CCCCC1 ZINC001584968051 1056452971 /nfs/dbraw/zinc/45/29/71/1056452971.db2.gz OULGUBRXTILJRY-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CC[C@H](C)CCCCC(=O)N(CCN(C)C)C1CCCCC1 ZINC001614982358 1056461447 /nfs/dbraw/zinc/46/14/47/1056461447.db2.gz INCNAUAQGMQYSF-KRWDZBQOSA-N 0 3 310.526 4.316 20 0 DIADHN Cc1nc2sccc2c(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240384681 1056465389 /nfs/dbraw/zinc/46/53/89/1056465389.db2.gz GDDKFJDONHRSMJ-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN c1ccc(CN2CCC=C(c3ncnc4c3CCCCC4)C2)cc1 ZINC001240386392 1056466551 /nfs/dbraw/zinc/46/65/51/1056466551.db2.gz NSYVWBXBSKGJMD-UHFFFAOYSA-N 0 3 319.452 4.035 20 0 DIADHN CSCc1ccc(CN[C@@H](CCCO)c2ccccc2)cc1 ZINC000921770046 1056478812 /nfs/dbraw/zinc/47/88/12/1056478812.db2.gz AYCONACJQYQLJZ-IBGZPJMESA-N 0 3 315.482 4.153 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCC2CCOCC2)cc1F ZINC000765922002 1056484878 /nfs/dbraw/zinc/48/48/78/1056484878.db2.gz VZQRTJQYDQUTEP-GOSISDBHSA-N 0 3 309.400 4.309 20 0 DIADHN C[C@H]1CN(CCCc2ccc(C(F)(F)F)cc2)CC(C)(C)O1 ZINC001615303608 1056489709 /nfs/dbraw/zinc/48/97/09/1056489709.db2.gz SWWPOHLZXCPNAJ-ZDUSSCGKSA-N 0 3 315.379 4.137 20 0 DIADHN CCCN(CC(=O)NCC(C)(C)C)[C@@H](CC)c1ccccc1 ZINC001615295976 1056489956 /nfs/dbraw/zinc/48/99/56/1056489956.db2.gz DITYBKRBXKRFJM-KRWDZBQOSA-N 0 3 304.478 4.012 20 0 DIADHN CCN(Cc1ccn(-c2ccc(Cl)cc2)n1)[C@H](C)C(C)C ZINC001615318730 1056491424 /nfs/dbraw/zinc/49/14/24/1056491424.db2.gz MNHXIAIEGJMJBJ-CQSZACIVSA-N 0 3 305.853 4.392 20 0 DIADHN CC[C@H](CN(Cc1ccccc1)Cc1ccc(F)cc1)OC ZINC001615307936 1056491874 /nfs/dbraw/zinc/49/18/74/1056491874.db2.gz KZMORPNTVNZKQR-LJQANCHMSA-N 0 3 301.405 4.253 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H](O)[C@@H](C)c1ccccc1 ZINC001615327241 1056493830 /nfs/dbraw/zinc/49/38/30/1056493830.db2.gz WNYDMUGWXDIFQA-JXFKEZNVSA-N 0 3 315.432 4.201 20 0 DIADHN Fc1cccc([C@H]2C[C@H]2N2CCC(OC3CCCC3)CC2)c1 ZINC001615379616 1056502618 /nfs/dbraw/zinc/50/26/18/1056502618.db2.gz WUEREAHNARUXKD-RTBURBONSA-N 0 3 303.421 4.105 20 0 DIADHN CN(C)C1(C(=O)Nc2cccc(Nc3ccccc3)c2)CCCC1 ZINC001585192255 1056504543 /nfs/dbraw/zinc/50/45/43/1056504543.db2.gz QSSIAXVFQGGDHE-UHFFFAOYSA-N 0 3 323.440 4.243 20 0 DIADHN Clc1ccccc1-c1cc(CN2CCC[C@H]2C2CC2)no1 ZINC001615422415 1056507446 /nfs/dbraw/zinc/50/74/46/1056507446.db2.gz GETPHQOUXKBQPG-INIZCTEOSA-N 0 3 302.805 4.369 20 0 DIADHN COc1ccc(F)c(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001240436905 1056508231 /nfs/dbraw/zinc/50/82/31/1056508231.db2.gz MQMBPRRTLADJFX-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1cccc([C@H](NCc2c3c(nn2C)CCC3)C(C)(C)C)c1 ZINC000921818514 1056513817 /nfs/dbraw/zinc/51/38/17/1056513817.db2.gz OVIRANUMCIKATK-IBGZPJMESA-N 0 3 311.473 4.094 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@@H]2CCC3(CCC3)CO2)s1 ZINC001615474671 1056515552 /nfs/dbraw/zinc/51/55/52/1056515552.db2.gz SMMFYYPJGZYEJV-HIFRSBDPSA-N 0 3 308.491 4.102 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@H]2CCC(C)(C)CO2)cc1 ZINC001615486454 1056520589 /nfs/dbraw/zinc/52/05/89/1056520589.db2.gz XITSJZIIZZTTMN-QZTJIDSGSA-N 0 3 319.514 4.290 20 0 DIADHN Cc1nn(C)c2ccc(-c3ccc(CN4CCCC4)c(F)c3)cc12 ZINC001240469259 1056527827 /nfs/dbraw/zinc/52/78/27/1056527827.db2.gz TUJBTSQDJYKCAE-UHFFFAOYSA-N 0 3 323.415 4.284 20 0 DIADHN Cc1cn[nH]c1CN1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC001615520062 1056527803 /nfs/dbraw/zinc/52/78/03/1056527803.db2.gz QFCASCILYOOBNE-KRWDZBQOSA-N 0 3 303.837 4.489 20 0 DIADHN C[C@@H](NCc1cccc2c1OCC2)c1cccc(N2CCCC2)c1 ZINC000921832998 1056528946 /nfs/dbraw/zinc/52/89/46/1056528946.db2.gz RUKYFULTQDRZSF-MRXNPFEDSA-N 0 3 322.452 4.073 20 0 DIADHN C[C@@H](NCc1cnn(C2CCC2)c1)c1cccc(N2CCCC2)c1 ZINC000921833606 1056529014 /nfs/dbraw/zinc/52/90/14/1056529014.db2.gz YIWZEWITYYGPEJ-MRXNPFEDSA-N 0 3 324.472 4.059 20 0 DIADHN O=C(CN1CCC[C@H]2CCC[C@H]21)Nc1cccc2ccccc21 ZINC001615562528 1056536231 /nfs/dbraw/zinc/53/62/31/1056536231.db2.gz JUEHACXETRSUOX-VQIMIIECSA-N 0 3 308.425 4.043 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(Cl)ccc3N)cccc21 ZINC001212441150 1056537624 /nfs/dbraw/zinc/53/76/24/1056537624.db2.gz FKZFFWWFBAKWCL-INIZCTEOSA-N 0 3 317.820 4.051 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC001615562441 1056538063 /nfs/dbraw/zinc/53/80/63/1056538063.db2.gz HXBZTSZEYJYIEP-IJEWVQPXSA-N 0 3 315.436 4.348 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C(C)C)c1)c1ccc2c(c1)CCO2 ZINC000765943389 1056538390 /nfs/dbraw/zinc/53/83/90/1056538390.db2.gz BPCZADGUWCXCLV-KBXCAEBGSA-N 0 3 313.445 4.201 20 0 DIADHN CCc1ccc(CN2CCN(CCCC(C)(C)C)CC2)s1 ZINC001615583291 1056539838 /nfs/dbraw/zinc/53/98/38/1056539838.db2.gz IZCHPTGAMKEDGA-UHFFFAOYSA-N 0 3 308.535 4.254 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2nc3c(o2)CCCC3)C1 ZINC001615622265 1056546211 /nfs/dbraw/zinc/54/62/11/1056546211.db2.gz UCYNDRHXMHMXIX-CVEARBPZSA-N 0 3 310.441 4.240 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1C[C@H](c2ccccc2C)C[C@@H]1C ZINC001615607600 1056546836 /nfs/dbraw/zinc/54/68/36/1056546836.db2.gz LHWDCNOCKMTKRM-SCTDSRPQSA-N 0 3 317.473 4.151 20 0 DIADHN C[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001615636262 1056548589 /nfs/dbraw/zinc/54/85/89/1056548589.db2.gz VWWAMJQFQYGUMQ-JKSUJKDBSA-N 0 3 308.425 4.022 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@@H]2Cc3cccc(Cl)c3C2)c1 ZINC001168684858 1056551580 /nfs/dbraw/zinc/55/15/80/1056551580.db2.gz MFAZGWYTNDOLMZ-GFCCVEGCSA-N 0 3 311.734 4.014 20 0 DIADHN C[C@H]1CCN(Cc2cccc3c2CCOC3)[C@@H](c2ccco2)C1 ZINC001615650428 1056553662 /nfs/dbraw/zinc/55/36/62/1056553662.db2.gz QRDDYNHNZHUQHA-HNAYVOBHSA-N 0 3 311.425 4.326 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@H](C)CC[C@@H]1C)c1ccc(Cl)cc1 ZINC001615644028 1056554313 /nfs/dbraw/zinc/55/43/13/1056554313.db2.gz KSZLTIJMDYKNGQ-KEYYUXOJSA-N 0 3 322.880 4.028 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168686653 1056555679 /nfs/dbraw/zinc/55/56/79/1056555679.db2.gz ZROGDBKCLQJWJG-OAHLLOKOSA-N 0 3 323.827 4.002 20 0 DIADHN CCC[C@@]1(C)CCCN([C@@H]2CCN(c3ccc(C)cc3)C2=O)C1 ZINC001615668819 1056558423 /nfs/dbraw/zinc/55/84/23/1056558423.db2.gz MQNMEUAIQPMPOI-QUCCMNQESA-N 0 3 314.473 4.003 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000765947987 1056560455 /nfs/dbraw/zinc/56/04/55/1056560455.db2.gz WLHAWPJWZOWLNS-PMUMKWKESA-N 0 3 318.486 4.258 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2Cc2ccc(CO)cc2)o1 ZINC001615669798 1056562635 /nfs/dbraw/zinc/56/26/35/1056562635.db2.gz XIAMIANHTWSXLE-BEFAXECRSA-N 0 3 313.441 4.308 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCc2cscn2)o1 ZINC001615669349 1056563157 /nfs/dbraw/zinc/56/31/57/1056563157.db2.gz UHSCRGPFQBGQDR-CJNGLKHVSA-N 0 3 304.459 4.314 20 0 DIADHN Fc1ccc(-c2ccc3c[nH]nc3c2)cc1CN1CCCCC1 ZINC001240559620 1056576256 /nfs/dbraw/zinc/57/62/56/1056576256.db2.gz VAZCXTMBULHFLB-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC(N(C)c2ccccc2)CC1 ZINC001615791598 1056576371 /nfs/dbraw/zinc/57/63/71/1056576371.db2.gz JWUCGTQHKVQESJ-INIZCTEOSA-N 0 3 312.432 4.488 20 0 DIADHN Fc1ccc(F)c(CN(C[C@H]2CCCCO2)C2CCCC2)c1 ZINC001615806035 1056578599 /nfs/dbraw/zinc/57/85/99/1056578599.db2.gz OPPCDCRTADNZLP-QGZVFWFLSA-N 0 3 309.400 4.279 20 0 DIADHN C[C@H](NC(=O)[C@H]1CCCCC1(C)C)[C@H](c1ccccc1)N(C)C ZINC001585552807 1056579313 /nfs/dbraw/zinc/57/93/13/1056579313.db2.gz QQIWPTAYTJYNLR-CGTJXYLNSA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC[C@@H]4CCC[C@@H]43)o2)cc1 ZINC000075769201 1056589102 /nfs/dbraw/zinc/58/91/02/1056589102.db2.gz HZCNFNWJIOHYTC-BBRMVZONSA-N 0 3 300.377 4.245 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C(C)C)nc2C)C12CCC2 ZINC001615871097 1056590918 /nfs/dbraw/zinc/59/09/18/1056590918.db2.gz RJXJDIQKFPJSKX-RBUKOAKNSA-N 0 3 316.489 4.293 20 0 DIADHN COC(=O)c1ccc(CN2CCC(CCC(C)C)CC2)cc1F ZINC001615899117 1056592295 /nfs/dbraw/zinc/59/22/95/1056592295.db2.gz BSXSDPNCCUULCD-UHFFFAOYSA-N 0 3 321.436 4.261 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)C[C@@H]1CCCC(C)(C)O1)CCC2 ZINC001615961249 1056601715 /nfs/dbraw/zinc/60/17/15/1056601715.db2.gz NBPZLGOOXCVYNZ-DZGCQCFKSA-N 0 3 322.518 4.362 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCSc1ccc(F)cc1F ZINC001616014179 1056607795 /nfs/dbraw/zinc/60/77/95/1056607795.db2.gz WMSMVOVGFODCAQ-GFCCVEGCSA-N 0 3 323.408 4.456 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(C)c(Cl)n2)C1(C)C ZINC001616167249 1056616429 /nfs/dbraw/zinc/61/64/29/1056616429.db2.gz IRNNBXOUYICVAD-HZPDHXFCSA-N 0 3 324.896 4.459 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCCC3)c2)ccc1O ZINC001240617747 1056617570 /nfs/dbraw/zinc/61/75/70/1056617570.db2.gz OCUFLNURJZCQDA-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCn1cc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)cn1 ZINC001240653976 1056626793 /nfs/dbraw/zinc/62/67/93/1056626793.db2.gz SYHSRKAGQYVHJK-UHFFFAOYSA-N 0 3 323.362 4.185 20 0 DIADHN Fc1ccccc1CN(C[C@@H]1C[C@H]2CCC[C@@H]2O1)C1CCC1 ZINC001616338515 1056637462 /nfs/dbraw/zinc/63/74/62/1056637462.db2.gz LFDZFZHHNDXFNF-LJHODMEESA-N 0 3 303.421 4.138 20 0 DIADHN CC[C@@H](NC(=O)CN1CC[C@](C)(CC)C1)c1ccc(Cl)cc1 ZINC001616338772 1056637776 /nfs/dbraw/zinc/63/77/76/1056637776.db2.gz PPSCIZYREMWVGJ-AEFFLSMTSA-N 0 3 322.880 4.029 20 0 DIADHN FC(F)(F)c1ccc(C2(NCc3cncnc3)CCCC2)cc1 ZINC000355745617 1056640535 /nfs/dbraw/zinc/64/05/35/1056640535.db2.gz VTPNMDQDVDSEPF-UHFFFAOYSA-N 0 3 321.346 4.055 20 0 DIADHN CCN1CCN([C@H](C)c2cc(Cl)ccc2Cl)C[C@@H]1C ZINC001616381929 1056646769 /nfs/dbraw/zinc/64/67/69/1056646769.db2.gz AMGOTIMGTCRLGV-NWDGAFQWSA-N 0 3 301.261 4.080 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H]3C(C)C)cs2)cc1 ZINC000064517504 1056646941 /nfs/dbraw/zinc/64/69/41/1056646941.db2.gz URSCNMYRPZMHFK-KRWDZBQOSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@H](CC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C)C(C)(C)C ZINC001128188406 1056649293 /nfs/dbraw/zinc/64/92/93/1056649293.db2.gz DPEJRIFKKRBCNN-WDYCEAGBSA-N 0 3 318.505 4.256 20 0 DIADHN Cc1cc([C@@H](NCc2ccnn2C2CCC2)C2CC2)ccc1F ZINC000921936191 1056649330 /nfs/dbraw/zinc/64/93/30/1056649330.db2.gz BROWMXMMFWKKHZ-IBGZPJMESA-N 0 3 313.420 4.297 20 0 DIADHN C[C@@H](CN1CCN(c2ccc(F)cc2Cl)CC1)C(C)(C)C ZINC001616410204 1056652170 /nfs/dbraw/zinc/65/21/70/1056652170.db2.gz DMELBCKLYIOXMF-ZDUSSCGKSA-N 0 3 312.860 4.283 20 0 DIADHN CCn1nccc1-c1ccc(C(F)(F)F)cc1CN1CCCC1 ZINC001240712903 1056657434 /nfs/dbraw/zinc/65/74/34/1056657434.db2.gz QARDKJNQQFXWTE-UHFFFAOYSA-N 0 3 323.362 4.185 20 0 DIADHN Clc1ccccc1O[C@@H]1CCN([C@@H]2CCc3ccccc32)C1 ZINC001616515026 1056668534 /nfs/dbraw/zinc/66/85/34/1056668534.db2.gz RHFVNBTZPBAIBK-CRAIPNDOSA-N 0 3 313.828 4.481 20 0 DIADHN CCc1c(C)cc(-c2cc(OC3CCN(C)CC3)ccn2)cc1C ZINC001240739335 1056670200 /nfs/dbraw/zinc/67/02/00/1056670200.db2.gz GTWQIVBVUALJTQ-UHFFFAOYSA-N 0 3 324.468 4.401 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H](CO)c1ccccc1 ZINC001616540439 1056674423 /nfs/dbraw/zinc/67/44/23/1056674423.db2.gz ZXRNGUJUDANLIS-OAQYLSRUSA-N 0 3 305.421 4.225 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@@H](C(C)(C)C)C3)co2)c1 ZINC001616549685 1056675766 /nfs/dbraw/zinc/67/57/66/1056675766.db2.gz UPALCISTWZUPTF-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN CCOCCN(C)Cc1cccc(SCc2ccccc2)c1 ZINC001616593918 1056682652 /nfs/dbraw/zinc/68/26/52/1056682652.db2.gz ZVMBUHLZYGMDSJ-UHFFFAOYSA-N 0 3 315.482 4.447 20 0 DIADHN CCO[C@H](C)n1cc(-c2cccc(CN(CC)CC)c2F)cn1 ZINC001240760667 1056685796 /nfs/dbraw/zinc/68/57/96/1056685796.db2.gz VTPGLQLPLQUZRE-CQSZACIVSA-N 0 3 319.424 4.086 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2cc(Cl)ccc2F)c(C)c1 ZINC001616623854 1056689049 /nfs/dbraw/zinc/68/90/49/1056689049.db2.gz VWPGZVNGGQDENS-CYBMUJFWSA-N 0 3 306.812 4.384 20 0 DIADHN Fc1ccc([C@@H](NCCSc2ccncc2)C2CC2)c(F)c1 ZINC001616653387 1056695038 /nfs/dbraw/zinc/69/50/38/1056695038.db2.gz HDLDXKSDJFUZDP-KRWDZBQOSA-N 0 3 320.408 4.193 20 0 DIADHN [O-]c1ccc(-c2ccc3[nH]cc(CC[NH+]4CCCC4)c3c2)cc1F ZINC001240787199 1056698242 /nfs/dbraw/zinc/69/82/42/1056698242.db2.gz QRUTWQKLXICCHM-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCO[C@@H]1CCCC[C@@H]1C ZINC001616691936 1056703058 /nfs/dbraw/zinc/70/30/58/1056703058.db2.gz RVBUIKOZEURGOC-GRDNDAEWSA-N 0 3 309.425 4.080 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCN(c3ccc(C)cc3)C2=O)cc1 ZINC001616721085 1056707194 /nfs/dbraw/zinc/70/71/94/1056707194.db2.gz WDKUWIIXOCQAGQ-OXQOHEQNSA-N 0 3 322.452 4.014 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N(C)CCC(C)C ZINC000077211683 1056711296 /nfs/dbraw/zinc/71/12/96/1056711296.db2.gz CCILNJFXQFUUEV-MRXNPFEDSA-N 0 3 304.478 4.423 20 0 DIADHN Cc1ccccc1[C@H](NCC1=NOCC1)[C@@H]1CCC[C@H](C)C1 ZINC001616786458 1056716590 /nfs/dbraw/zinc/71/65/90/1056716590.db2.gz IIGPKKBVWFIXEX-ZSZQSSIHSA-N 0 3 300.446 4.228 20 0 DIADHN CSCc1ccc(CNC(C)(C)c2ccc(C)nc2)cc1 ZINC000921995955 1056721166 /nfs/dbraw/zinc/72/11/66/1056721166.db2.gz OXYVKWFZBIWTQN-UHFFFAOYSA-N 0 3 300.471 4.278 20 0 DIADHN COc1ccc(C(F)(F)F)cc1-c1cnccc1/C=C/N(C)C ZINC001240827549 1056721145 /nfs/dbraw/zinc/72/11/45/1056721145.db2.gz XRPGTRPOZUMLQL-VQHVLOKHSA-N 0 3 322.330 4.308 20 0 DIADHN CC(C)[C@](O)(CN[C@H](C)c1ccc(F)cc1F)c1ccccc1 ZINC001616807949 1056721315 /nfs/dbraw/zinc/72/13/15/1056721315.db2.gz NNFOKJHADXJFQM-AUUYWEPGSA-N 0 3 319.395 4.159 20 0 DIADHN COCc1ccc(CNCc2cc(Cl)cc(Cl)c2)cc1 ZINC000077326101 1056723783 /nfs/dbraw/zinc/72/37/83/1056723783.db2.gz UNSZGQPVEKDLMM-UHFFFAOYSA-N 0 3 310.224 4.430 20 0 DIADHN C[C@H](NCC1(CO)CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000157302380 1056725844 /nfs/dbraw/zinc/72/58/44/1056725844.db2.gz OKEISTDGOYUVIW-QMMMGPOBSA-N 0 3 308.636 4.070 20 0 DIADHN CC[C@H](C)[C@H](C)N1CCO[C@H](c2ccc(OC(F)F)cc2)C1 ZINC001616921661 1056739769 /nfs/dbraw/zinc/73/97/69/1056739769.db2.gz RKSQVIYFIDCIQE-XEZPLFJOSA-N 0 3 313.388 4.096 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cc(C)c(Cl)c(C)c2)c1 ZINC001205044339 1056744542 /nfs/dbraw/zinc/74/45/42/1056744542.db2.gz BUIXZUCKHVCMFL-UHFFFAOYSA-N 0 3 318.848 4.097 20 0 DIADHN FC(F)(F)[C@@H](CNCc1ccnn1C1CCC1)c1ccccc1 ZINC000922018842 1056746220 /nfs/dbraw/zinc/74/62/20/1056746220.db2.gz VRLYMIZHDRUTAH-INIZCTEOSA-N 0 3 323.362 4.044 20 0 DIADHN FC(F)(F)[C@H](CNCc1cnn(C2CCC2)c1)c1ccccc1 ZINC000922018901 1056746411 /nfs/dbraw/zinc/74/64/11/1056746411.db2.gz XVMXFFHNQQJYKI-MRXNPFEDSA-N 0 3 323.362 4.044 20 0 DIADHN CC[C@H](N[C@H]1CSC1(C)C)c1ccccc1OC(F)F ZINC000338790749 1056755812 /nfs/dbraw/zinc/75/58/12/1056755812.db2.gz OUARKTCIXNGMDD-AAEUAGOBSA-N 0 3 301.402 4.223 20 0 DIADHN Cc1ncsc1CCCN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC001616999667 1056757714 /nfs/dbraw/zinc/75/77/14/1056757714.db2.gz YULXMMLKIGWTHZ-LBPRGKRZSA-N 0 3 323.531 4.489 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](CO)NCc1cccc(Cl)c1Cl ZINC001616998818 1056757921 /nfs/dbraw/zinc/75/79/21/1056757921.db2.gz SQIWEQSDFMXQEO-MLGOLLRUSA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)c1ccc(C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)cc1 ZINC001128299775 1056761813 /nfs/dbraw/zinc/76/18/13/1056761813.db2.gz PAQLILCOCIFTKP-JXFKEZNVSA-N 0 3 324.468 4.231 20 0 DIADHN CC(C)(C)CCCC(=O)N(CCN1CCCC1)c1ccccc1 ZINC001586584138 1056764197 /nfs/dbraw/zinc/76/41/97/1056764197.db2.gz YHTSUCNBYGFUTK-UHFFFAOYSA-N 0 3 316.489 4.332 20 0 DIADHN Fc1cc(CN[C@H](Cc2ccccc2)c2cccs2)ccn1 ZINC001617095626 1056774928 /nfs/dbraw/zinc/77/49/28/1056774928.db2.gz IOTMJLIFRLSKNG-MRXNPFEDSA-N 0 3 312.413 4.356 20 0 DIADHN C[C@@H](NCc1cscn1)[C@H](C)c1ccccc1C(F)(F)F ZINC001617104158 1056777082 /nfs/dbraw/zinc/77/70/82/1056777082.db2.gz QKWBOTVFBJQGDF-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(COC)cc2)c1F ZINC001240954248 1056780727 /nfs/dbraw/zinc/78/07/27/1056780727.db2.gz BPOSOBZXLQEFQV-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN CCCCN(C(=O)CN1CCC(C)CC1)[C@H](C)c1ccccc1 ZINC001586703564 1056786625 /nfs/dbraw/zinc/78/66/25/1056786625.db2.gz OOUTZRUSEPODPA-GOSISDBHSA-N 0 3 316.489 4.108 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1c[nH]c(=O)c2ccccc12 ZINC001617183041 1056788707 /nfs/dbraw/zinc/78/87/07/1056788707.db2.gz QBZBTCXNIHWJRG-VBKFSLOCSA-N 0 3 318.420 4.476 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN(C)Cc2ccc(F)c(Cl)c2)c1 ZINC001617198160 1056791874 /nfs/dbraw/zinc/79/18/74/1056791874.db2.gz IZVHWCPNKKJKSA-SFHVURJKSA-N 0 3 321.823 4.261 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN(Cc2ccccc2C2CC2)C1 ZINC001617252597 1056802413 /nfs/dbraw/zinc/80/24/13/1056802413.db2.gz RICZVESJEBIYMG-INIZCTEOSA-N 0 3 313.363 4.107 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2ccn(-c3ccc(Cl)cc3)n2)C1 ZINC001617274625 1056805002 /nfs/dbraw/zinc/80/50/02/1056805002.db2.gz RYBKRYNQUUUNGS-OAHLLOKOSA-N 0 3 317.864 4.394 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC001617282428 1056807021 /nfs/dbraw/zinc/80/70/21/1056807021.db2.gz CBQFJTUKCGGJFM-KRWDZBQOSA-N 0 3 323.484 4.229 20 0 DIADHN CC[C@H](NC(=O)CN1CCCC[C@H](C)C1)c1ccc(Cl)cc1 ZINC001617291438 1056808800 /nfs/dbraw/zinc/80/88/00/1056808800.db2.gz KXCXGMSSXUQVRF-YOEHRIQHSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1cc(C)c2c(c1)CN(CCO[C@@H]1CCCC[C@@H]1C)CC2 ZINC001617301795 1056809756 /nfs/dbraw/zinc/80/97/56/1056809756.db2.gz IEEDAEQAODYBNB-OXJNMPFZSA-N 0 3 301.474 4.257 20 0 DIADHN C[C@H](NCc1c2c(nn1C)CCC2)c1ccc(F)c2ccccc21 ZINC000922132177 1056832399 /nfs/dbraw/zinc/83/23/99/1056832399.db2.gz TYAZPHBFNYKAAT-ZDUSSCGKSA-N 0 3 323.415 4.052 20 0 DIADHN CC(C)(C)OC(=O)CCNC(C)(C)c1cccc(Cl)c1F ZINC001617430199 1056832482 /nfs/dbraw/zinc/83/24/82/1056832482.db2.gz SQEBBZXBAIFUOD-UHFFFAOYSA-N 0 3 315.816 4.036 20 0 DIADHN CO[C@@H](CNCc1cc(C)ccc1F)c1ccccc1Cl ZINC001620834806 1056835537 /nfs/dbraw/zinc/83/55/37/1056835537.db2.gz CQZIUBWTLXPUOV-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1nccnc1C ZINC001617456950 1056836003 /nfs/dbraw/zinc/83/60/03/1056836003.db2.gz LAHFEIJXRHLFQE-UHFFFAOYSA-N 0 3 303.475 4.427 20 0 DIADHN CC(C)CCc1noc(CN(CC2CC2)C2CCCCC2)n1 ZINC000078460027 1056839979 /nfs/dbraw/zinc/83/99/79/1056839979.db2.gz BFHWGKDSDCPWAB-UHFFFAOYSA-N 0 3 305.466 4.203 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCC3)c2F)c(F)c1F ZINC001241044356 1056840993 /nfs/dbraw/zinc/84/09/93/1056840993.db2.gz KAOSVKZAANHJLQ-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Fc1ccc(F)c(-c2cc(CN3CCCCC3)ccn2)c1F ZINC001241046918 1056843421 /nfs/dbraw/zinc/84/34/21/1056843421.db2.gz KQGLUKMPGPEKIU-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CN(C)C1(C(=O)Nc2ccc(Oc3ccccc3)cc2)CCCC1 ZINC001586985633 1056844064 /nfs/dbraw/zinc/84/40/64/1056844064.db2.gz SCZOQXQOXIMGCR-UHFFFAOYSA-N 0 3 324.424 4.292 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@@H](C)CC(C)C ZINC001617597558 1056853969 /nfs/dbraw/zinc/85/39/69/1056853969.db2.gz JKGXDTSHETWSQV-SZMVWBNQSA-N 0 3 303.446 4.047 20 0 DIADHN Cn1cc(CN(Cc2ccc(Cl)cc2)CC2(C)CCC2)cn1 ZINC001617627417 1056859356 /nfs/dbraw/zinc/85/93/56/1056859356.db2.gz CNXQHSVVWNSHKG-UHFFFAOYSA-N 0 3 317.864 4.266 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCOc1cccc2ccccc21 ZINC001617637512 1056862426 /nfs/dbraw/zinc/86/24/26/1056862426.db2.gz SDABMPYQVCPIJG-BBRMVZONSA-N 0 3 323.358 4.491 20 0 DIADHN CC(C)C[C@@]1(CO)CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC001617675010 1056866253 /nfs/dbraw/zinc/86/62/53/1056866253.db2.gz WLOUGWQOBSHUGY-MRXNPFEDSA-N 0 3 316.272 4.366 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@@]2(CO)CC(C)C)cs1 ZINC001617674479 1056866286 /nfs/dbraw/zinc/86/62/86/1056866286.db2.gz JOUVVGDPYRFFJA-SFHVURJKSA-N 0 3 324.534 4.105 20 0 DIADHN CCOc1cc(F)c(-c2cncc(CN3CCCC3)c2)cc1F ZINC001241075226 1056867418 /nfs/dbraw/zinc/86/74/18/1056867418.db2.gz UQOCOCUXDDAXAK-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@H](N[C@H](CO)[C@@H](C)c1ccccc1)c1cccc(Cl)c1F ZINC000681412496 1056871357 /nfs/dbraw/zinc/87/13/57/1056871357.db2.gz OOLRXVZPTDMPCZ-GDZNZVCISA-N 0 3 321.823 4.294 20 0 DIADHN CCC1(CC)[C@H](NCc2nc(C(C)(C)C)cs2)[C@H](C)[C@H]1OC ZINC001617736107 1056875905 /nfs/dbraw/zinc/87/59/05/1056875905.db2.gz YAQYDLCABAXNDK-APHBMKBZSA-N 0 3 324.534 4.370 20 0 DIADHN Cc1cc(-c2noc(CNC3[C@H](C)CCC[C@H]3C)n2)ccc1F ZINC001617799384 1056884549 /nfs/dbraw/zinc/88/45/49/1056884549.db2.gz KMJZZDSHGYFWON-VXGBXAGGSA-N 0 3 317.408 4.098 20 0 DIADHN COc1cc(C)c([C@@H](C)N2CCOC3(CCCC3)C2)cc1C ZINC000682075174 1056887270 /nfs/dbraw/zinc/88/72/70/1056887270.db2.gz XXQZXBAUCBPGTC-MRXNPFEDSA-N 0 3 303.446 4.018 20 0 DIADHN CCCN(CCN1CCCC1)Cc1nc(C)c(C(C)(C)C)s1 ZINC001617829291 1056889270 /nfs/dbraw/zinc/88/92/70/1056889270.db2.gz RRCQIUSZHAWHJO-UHFFFAOYSA-N 0 3 323.550 4.057 20 0 DIADHN COc1cc(C)c([C@H](C)N2CCC[C@H](OC(F)F)C2)cc1C ZINC000682078596 1056889436 /nfs/dbraw/zinc/88/94/36/1056889436.db2.gz ZKZHJJZFLMGRMB-KBPBESRZSA-N 0 3 313.388 4.077 20 0 DIADHN CN(C)C[C@@H](NC(=O)CCC1CCCC1)c1ccc(Cl)cc1 ZINC001617818070 1056889878 /nfs/dbraw/zinc/88/98/78/1056889878.db2.gz LVYYAKHMLJXRSM-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1Cc3cccc(Cl)c3C1)CO2 ZINC001168704517 1056893418 /nfs/dbraw/zinc/89/34/18/1056893418.db2.gz HCHPHVINOGWZHW-BLLLJJGKSA-N 0 3 320.219 4.184 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2C[C@H](C)CC[C@@H]2C(C)C)cs1 ZINC000682377084 1056894492 /nfs/dbraw/zinc/89/44/92/1056894492.db2.gz VOBIIRZREVMJJT-XOUADPBQSA-N 0 3 310.507 4.401 20 0 DIADHN Cc1ccccc1[C@@H](C)N(CC(=O)NCC(C)(C)C)CC(C)C ZINC001617887280 1056897002 /nfs/dbraw/zinc/89/70/02/1056897002.db2.gz DSJLYDLHPUBPGB-QGZVFWFLSA-N 0 3 318.505 4.176 20 0 DIADHN Brc1ccc2c(c1)CN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)CC2 ZINC001617903549 1056898753 /nfs/dbraw/zinc/89/87/53/1056898753.db2.gz WDCLLBFLZLXCDD-YUELXQCFSA-N 0 3 320.274 4.243 20 0 DIADHN Fc1cc(F)c(-c2cnccc2CN2CCCCC2)cc1F ZINC001241112212 1056902075 /nfs/dbraw/zinc/90/20/75/1056902075.db2.gz RSECKIKJICPVNC-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCCCCCCC2)c1 ZINC001617968799 1056907175 /nfs/dbraw/zinc/90/71/75/1056907175.db2.gz JUJOGNOONDCICK-UHFFFAOYSA-N 0 3 309.837 4.283 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@@H](C)c1cnccc1C ZINC000682733178 1056914037 /nfs/dbraw/zinc/91/40/37/1056914037.db2.gz OYECQDFGZKOMCT-IFXJQAMLSA-N 0 3 310.441 4.472 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCN(C)C2(CCCCC2)C1 ZINC001618026653 1056915156 /nfs/dbraw/zinc/91/51/56/1056915156.db2.gz FWBUZWWOIJBXAU-GOSISDBHSA-N 0 3 322.443 4.366 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC[C@H]2c2ccccc2Br)O1 ZINC000682760679 1056917450 /nfs/dbraw/zinc/91/74/50/1056917450.db2.gz LPKQPKRAJNTZLW-IOASZLSFSA-N 0 3 324.262 4.154 20 0 DIADHN COc1c(C)cnc(CN(C)CC/C=C\c2ccccc2)c1C ZINC001618170943 1056928319 /nfs/dbraw/zinc/92/83/19/1056928319.db2.gz FUBMRNKENXBRTI-WQLSENKSSA-N 0 3 310.441 4.242 20 0 DIADHN C[C@H](O)[C@@](C)(CNCc1cscc1Cl)Cc1ccccc1 ZINC000682952227 1056929012 /nfs/dbraw/zinc/92/90/12/1056929012.db2.gz RKZXXRDHAJSBCZ-SUMWQHHRSA-N 0 3 323.889 4.121 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(C)cc1 ZINC000079324341 1056929042 /nfs/dbraw/zinc/92/90/42/1056929042.db2.gz QACJKJRFHMUPSS-HOTGVXAUSA-N 0 3 310.441 4.235 20 0 DIADHN C[C@@H](O)[C@@](C)(CNCc1cscc1Cl)Cc1ccccc1 ZINC000682952222 1056929222 /nfs/dbraw/zinc/92/92/22/1056929222.db2.gz RKZXXRDHAJSBCZ-CXAGYDPISA-N 0 3 323.889 4.121 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cncc(Br)c2C)cc1 ZINC000657315753 1056931534 /nfs/dbraw/zinc/93/15/34/1056931534.db2.gz AJXAYCQTKWTZDF-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Clc1cc2ccccc2c(CN2CC[C@H](n3cccn3)C2)c1 ZINC001618227272 1056937481 /nfs/dbraw/zinc/93/74/81/1056937481.db2.gz DIEABHPAKLXXKN-KRWDZBQOSA-N 0 3 311.816 4.137 20 0 DIADHN CC(C)Oc1cc(F)cc(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001241165459 1056939205 /nfs/dbraw/zinc/93/92/05/1056939205.db2.gz PEAWCWNPAFTIMN-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@H](C)CCC(C)(C)C)c1ccco1 ZINC000673290348 1056939810 /nfs/dbraw/zinc/93/98/10/1056939810.db2.gz QWZHKDYQNCXIKP-PMPSAXMXSA-N 0 3 322.493 4.287 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@@H](C)CCC(C)(C)C)c1ccco1 ZINC000673290346 1056940072 /nfs/dbraw/zinc/94/00/72/1056940072.db2.gz QWZHKDYQNCXIKP-JYJNAYRXSA-N 0 3 322.493 4.287 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C(CC)(CC)CC)c1ccco1 ZINC000673290982 1056941128 /nfs/dbraw/zinc/94/11/28/1056941128.db2.gz SRDYBQGPDRESAZ-LSDHHAIUSA-N 0 3 308.466 4.041 20 0 DIADHN Cc1cc(C(C)(C)NC/C=C/Cl)ccc1Br ZINC001618286770 1056945415 /nfs/dbraw/zinc/94/54/15/1056945415.db2.gz FLSKXIXFZMMYKX-QPJJXVBHSA-N 0 3 302.643 4.335 20 0 DIADHN CCOc1ccc(-c2ccc(OC)c(CN(C)C)c2)c(F)c1F ZINC001241179207 1056948111 /nfs/dbraw/zinc/94/81/11/1056948111.db2.gz BRZHCUOTLLUZST-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN Cc1nn(C)c2ncc(CN3CCCC[C@@H]3c3ccccc3)cc12 ZINC000358035864 1056970852 /nfs/dbraw/zinc/97/08/52/1056970852.db2.gz JRHCGGDPGNWVEY-LJQANCHMSA-N 0 3 320.440 4.004 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nnc(-c2ccccc2)o1 ZINC000172929739 1056980028 /nfs/dbraw/zinc/98/00/28/1056980028.db2.gz WUPDNHLYQOFNHH-GOSISDBHSA-N 0 3 321.424 4.190 20 0 DIADHN CC[N@H+]1CC=C(c2cnc(-c3cc([O-])cc(F)c3)c(C)c2)CC1 ZINC001241261845 1056985000 /nfs/dbraw/zinc/98/50/00/1056985000.db2.gz FMEJTHCQARQSBM-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN CC[N@@H+]1CC=C(c2cnc(-c3cc([O-])cc(F)c3)c(C)c2)CC1 ZINC001241261845 1056985007 /nfs/dbraw/zinc/98/50/07/1056985007.db2.gz FMEJTHCQARQSBM-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN [O-]c1cc(F)cc(-c2ccc3[nH]cc(CC[NH+]4CCCC4)c3c2)c1 ZINC001241272763 1056991031 /nfs/dbraw/zinc/99/10/31/1056991031.db2.gz YOZCDDQTJCFFTK-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN CCOc1ccc(-c2cncc(CN3CCCCC3)c2)c(F)c1 ZINC001241277079 1056994070 /nfs/dbraw/zinc/99/40/70/1056994070.db2.gz VQUYKYPFURFVPJ-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN [O-]c1cc(F)cc(-c2ccc(SC3CC[NH2+]CC3)cc2)c1 ZINC001241282448 1056994337 /nfs/dbraw/zinc/99/43/37/1056994337.db2.gz KGLCEJGAWIDXDA-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN([C@H]2Cc3cccc(Cl)c3C2)C1 ZINC001168721847 1057000768 /nfs/dbraw/zinc/00/07/68/1057000768.db2.gz RXSSIDPSUFFAHV-FZMZJTMJSA-N 0 3 321.745 4.031 20 0 DIADHN CC[C@@](C)(NCc1ccnn1C1CCC1)c1ccc(F)cc1 ZINC000922300330 1057001672 /nfs/dbraw/zinc/00/16/72/1057001672.db2.gz XMEHBOOKMUWXHY-GOSISDBHSA-N 0 3 301.409 4.162 20 0 DIADHN [O-]c1ccc(F)c(-c2cccc(C[NH+]3CCCC3)c2F)c1F ZINC001241295709 1057001921 /nfs/dbraw/zinc/00/19/21/1057001921.db2.gz YFDZRGPGFKZBNM-UHFFFAOYSA-N 0 3 307.315 4.072 20 0 DIADHN CN1CC=C(c2cc(-c3ccc(C(F)(F)F)cc3)ccn2)CC1 ZINC001241308990 1057007289 /nfs/dbraw/zinc/00/72/89/1057007289.db2.gz OUMLCPKNJZNWOE-UHFFFAOYSA-N 0 3 318.342 4.486 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)c(C)c1 ZINC000922328512 1057008931 /nfs/dbraw/zinc/00/89/31/1057008931.db2.gz PCJQNLULDGZVDH-GFCCVEGCSA-N 0 3 324.346 4.268 20 0 DIADHN Cc1ccc(-c2nc3ccccc3c(C3=CCN(C)CC3)n2)s1 ZINC001241313039 1057009910 /nfs/dbraw/zinc/00/99/10/1057009910.db2.gz ULFUYHVDYAVNQG-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN CN1CC=C(c2cc(F)c(F)c(OCc3ccccc3)c2)CC1 ZINC001241332384 1057017826 /nfs/dbraw/zinc/01/78/26/1057017826.db2.gz GQSUPVWCDOQYQQ-UHFFFAOYSA-N 0 3 315.363 4.263 20 0 DIADHN CC(C)c1cnc(CNCCc2ccc3ncccc3c2)s1 ZINC000922476375 1057027375 /nfs/dbraw/zinc/02/73/75/1057027375.db2.gz XKGFSYATIGQSIU-UHFFFAOYSA-N 0 3 311.454 4.147 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(C)cnc2OC)cc1F ZINC001241367019 1057028062 /nfs/dbraw/zinc/02/80/62/1057028062.db2.gz DOECNLFUCZPAHV-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN COc1ncc(C)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001241367624 1057029077 /nfs/dbraw/zinc/02/90/77/1057029077.db2.gz QSXRFKFVOXNUEZ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2F)c1ccc(C(F)F)nc1 ZINC000657856965 1057030051 /nfs/dbraw/zinc/03/00/51/1057030051.db2.gz AJMRONFJPNHUSB-BMIGLBTASA-N 0 3 306.331 4.496 20 0 DIADHN CCN(CC)Cc1cc(-c2cnc3cccnc3c2)ccc1F ZINC001241385938 1057033572 /nfs/dbraw/zinc/03/35/72/1057033572.db2.gz PICGDVRARVEXKM-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnc3cccnc3c2)c1F ZINC001241385680 1057033608 /nfs/dbraw/zinc/03/36/08/1057033608.db2.gz CISGFVRTODGWPV-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN Fc1ccc(-c2ccc(-n3cccn3)cc2)cc1CN1CCCC1 ZINC001241395200 1057038104 /nfs/dbraw/zinc/03/81/04/1057038104.db2.gz JCRUWVIMFAAKDM-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN c1cc2cccc(-c3cncc([C@@H]4CN5CCC4CC5)n3)c2s1 ZINC001241402774 1057041064 /nfs/dbraw/zinc/04/10/64/1057041064.db2.gz RHRVQZQAWUSIKT-MRXNPFEDSA-N 0 3 321.449 4.168 20 0 DIADHN c1cc2cccc(-c3cncc([C@H]4CN5CCC4CC5)n3)c2s1 ZINC001241402773 1057041339 /nfs/dbraw/zinc/04/13/39/1057041339.db2.gz RHRVQZQAWUSIKT-INIZCTEOSA-N 0 3 321.449 4.168 20 0 DIADHN CCOCOc1ccc(CN[C@H](C)c2ccc(F)cc2C)cc1 ZINC000922667122 1057046356 /nfs/dbraw/zinc/04/63/56/1057046356.db2.gz ILABODNAOSBAGQ-OAHLLOKOSA-N 0 3 317.404 4.358 20 0 DIADHN COc1ccc2ccccc2c1CCNCc1cnc(C2CC2)o1 ZINC000922683451 1057048128 /nfs/dbraw/zinc/04/81/28/1057048128.db2.gz WAXANYVHUZAYNU-UHFFFAOYSA-N 0 3 322.408 4.046 20 0 DIADHN Cn1ncc2cc(-c3ccc(F)c(CN4CCCCC4)c3)ccc21 ZINC001241439678 1057049369 /nfs/dbraw/zinc/04/93/69/1057049369.db2.gz DFXQZGCKRGNCMG-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cn1cc2cccc(-c3ccc(CN4CCCCC4)c(F)c3)c2n1 ZINC001241470747 1057058374 /nfs/dbraw/zinc/05/83/74/1057058374.db2.gz BJWWRJQQQSRCBM-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Clc1cnc2oc(CNC[C@@H]3CCCC4(CCC4)O3)cc2c1 ZINC000922804799 1057062595 /nfs/dbraw/zinc/06/25/95/1057062595.db2.gz GHEUCMUBZLNTBI-AWEZNQCLSA-N 0 3 320.820 4.063 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CCSc3c(F)cccc32)c1 ZINC000361108433 1057062716 /nfs/dbraw/zinc/06/27/16/1057062716.db2.gz CHCSFBSMOPXTMB-KRWDZBQOSA-N 0 3 316.445 4.218 20 0 DIADHN C[C@H]1C[C@H](NCc2ncc(-c3ccccc3)s2)CCS1 ZINC000922835846 1057065129 /nfs/dbraw/zinc/06/51/29/1057065129.db2.gz GKPMYGQGFRIFAD-GXTWGEPZSA-N 0 3 304.484 4.184 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc([S@](C)=O)cc2)cc1F ZINC001241491582 1057066255 /nfs/dbraw/zinc/06/62/55/1057066255.db2.gz ZKSHHBVVQFPMML-QFIPXVFZSA-N 0 3 319.445 4.072 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCSC3(CCC3)C2)n1 ZINC000922842432 1057066763 /nfs/dbraw/zinc/06/67/63/1057066763.db2.gz JNJJNPQHGIPXOW-GFCCVEGCSA-N 0 3 310.532 4.349 20 0 DIADHN FC(F)(F)c1cnc(CN[C@H]2CCSC3(CCC3)C2)s1 ZINC000922838900 1057068539 /nfs/dbraw/zinc/06/85/39/1057068539.db2.gz BHJCZISZRNIEGP-VIFPVBQESA-N 0 3 322.421 4.070 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2cccc3nsnc32)c1 ZINC000766003501 1057070081 /nfs/dbraw/zinc/07/00/81/1057070081.db2.gz VPKWVSRUJJQHKY-ZDUSSCGKSA-N 0 3 301.440 4.090 20 0 DIADHN FC(F)(F)c1ccnc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241501654 1057071367 /nfs/dbraw/zinc/07/13/67/1057071367.db2.gz QZFBXPYHRMLWOC-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C)s2)c(C)c1OC ZINC000347766045 1057073843 /nfs/dbraw/zinc/07/38/43/1057073843.db2.gz DMBMTDZLLHWEAU-UHFFFAOYSA-N 0 3 305.443 4.014 20 0 DIADHN c1nnc(-c2ccc(C3=CCN(Cc4ccccc4)CC3)cc2)o1 ZINC001241504605 1057074867 /nfs/dbraw/zinc/07/48/67/1057074867.db2.gz WMAITTPOFCIPSA-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN COc1ccc2[nH]ccc2c1C1=CCN(Cc2ccccc2)CC1 ZINC001241505144 1057075760 /nfs/dbraw/zinc/07/57/60/1057075760.db2.gz OJTJEWFCFWGLBO-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN COc1c(F)ccc(C)c1C1=CCN(Cc2ccccc2)CC1 ZINC001241506695 1057077601 /nfs/dbraw/zinc/07/76/01/1057077601.db2.gz JYNPGHRWOKRDMI-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN CCO[C@H](CN1CCC[C@H]1c1nc2ccccc2s1)C1CC1 ZINC000625060721 1057082666 /nfs/dbraw/zinc/08/26/66/1057082666.db2.gz MRXNLWZUTQBVPU-JKSUJKDBSA-N 0 3 316.470 4.248 20 0 DIADHN COc1c(F)ccc(-c2ccc3c(c2)CN(C)CC3)c1Cl ZINC001241521088 1057084007 /nfs/dbraw/zinc/08/40/07/1057084007.db2.gz JCWGENQJQDLOTQ-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CC1CCC(=O)CC1 ZINC000347774276 1057086220 /nfs/dbraw/zinc/08/62/20/1057086220.db2.gz KYTFYJVGULIPDB-CYBMUJFWSA-N 0 3 308.491 4.327 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)ns2)C2CCC2)cc1 ZINC000922887616 1057088464 /nfs/dbraw/zinc/08/84/64/1057088464.db2.gz ORDKNTTYYWMLTN-QGZVFWFLSA-N 0 3 302.443 4.091 20 0 DIADHN COc1ccc([C@H](NCc2ccc3c(n2)CCC3)C2CCC2)cc1 ZINC000922888525 1057090580 /nfs/dbraw/zinc/09/05/80/1057090580.db2.gz XXVDXIVSGHAELF-OAQYLSRUSA-N 0 3 322.452 4.210 20 0 DIADHN OCc1ccc(-c2cccc3c2CN(Cc2ccccc2)C3)cc1 ZINC001241558200 1057091572 /nfs/dbraw/zinc/09/15/72/1057091572.db2.gz KTUCLNZQDDLISS-UHFFFAOYSA-N 0 3 315.416 4.362 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000126217139 1057091980 /nfs/dbraw/zinc/09/19/80/1057091980.db2.gz XGLHOVAAOKNTJR-LLVKDONJSA-N 0 3 317.351 4.072 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc3nn(C)cc32)ccc1F ZINC001241585050 1057098603 /nfs/dbraw/zinc/09/86/03/1057098603.db2.gz HYXAYOSOJOGPJI-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cn1cc2ccc(-c3ccc(F)c(CN4CCCCC4)c3)cc2n1 ZINC001241605166 1057106429 /nfs/dbraw/zinc/10/64/29/1057106429.db2.gz RNJUFRVREDWQII-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN c1cnn(C[C@@H](N[C@@H]2CCCc3ccccc32)c2ccccc2)c1 ZINC000673713148 1057119947 /nfs/dbraw/zinc/11/99/47/1057119947.db2.gz JHXAYOBLHGEHDP-NHCUHLMSSA-N 0 3 317.436 4.292 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cccnc1C(F)(F)F ZINC000625362205 1057130611 /nfs/dbraw/zinc/13/06/11/1057130611.db2.gz SBHAPFCKYVHQMR-JTQLQIEISA-N 0 3 314.273 4.167 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](O)c2ccc(C(C)(C)C)cc2)o1 ZINC000766037866 1057141526 /nfs/dbraw/zinc/14/15/26/1057141526.db2.gz KNLYWRBPCAVVCQ-YOEHRIQHSA-N 0 3 301.430 4.270 20 0 DIADHN Fc1cc(-c2ccc3[nH]cnc3c2)ccc1CN1CCCCC1 ZINC001241704934 1057143046 /nfs/dbraw/zinc/14/30/46/1057143046.db2.gz IJOZVBHVSICKOE-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnn(C4CCC4)c3)c(C)c2)CC1 ZINC001241721997 1057146814 /nfs/dbraw/zinc/14/68/14/1057146814.db2.gz WJNMMAKEYMHGSC-UHFFFAOYSA-N 0 3 322.456 4.088 20 0 DIADHN CCc1ccc(-c2ccc(-c3ncc4c(n3)CCNC4)cc2)cc1 ZINC001241725219 1057147468 /nfs/dbraw/zinc/14/74/68/1057147468.db2.gz CEUWBPZJDVPJJA-UHFFFAOYSA-N 0 3 315.420 4.019 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H]1CC(C)(C)c2ccccc21 ZINC000766041693 1057148878 /nfs/dbraw/zinc/14/88/78/1057148878.db2.gz AOVSZDZPMGTJQK-OALUTQOASA-N 0 3 307.441 4.175 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(N)ccc21)c1ccccc1OC(F)F ZINC000391368429 1057149473 /nfs/dbraw/zinc/14/94/73/1057149473.db2.gz RTAVKOBVONSLEP-BZNIZROVSA-N 0 3 318.367 4.208 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCCc3nn(C)cc32)c2ccccc21 ZINC000282468146 1057153748 /nfs/dbraw/zinc/15/37/48/1057153748.db2.gz PECYTTVGRUYFBR-XKGZKEIXSA-N 0 3 309.457 4.272 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc(C(F)F)cc3)cc2)CC1 ZINC001241742827 1057153792 /nfs/dbraw/zinc/15/37/92/1057153792.db2.gz HXABWKMTCVIQBX-UHFFFAOYSA-N 0 3 316.395 4.433 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4cnccc4c3)cc2)CC1 ZINC001241743169 1057153827 /nfs/dbraw/zinc/15/38/27/1057153827.db2.gz IEXMTJIVRHIHPG-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCN1CCN(c2ccc(-c3c4cc[nH]c4ccc3C)cc2)CC1 ZINC001241743468 1057153851 /nfs/dbraw/zinc/15/38/51/1057153851.db2.gz LXVXHSNUTOTWFL-UHFFFAOYSA-N 0 3 319.452 4.285 20 0 DIADHN CCN1CCN(c2ccc(-c3c(C)cc(OC)cc3C)cc2)CC1 ZINC001241743957 1057154009 /nfs/dbraw/zinc/15/40/09/1057154009.db2.gz WTOKWKDZLRSXLU-UHFFFAOYSA-N 0 3 324.468 4.121 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc(C(F)F)c3)cc2)CC1 ZINC001241743173 1057154126 /nfs/dbraw/zinc/15/41/26/1057154126.db2.gz IHVWLLWDJBYNII-UHFFFAOYSA-N 0 3 316.395 4.433 20 0 DIADHN CCN1CCN(c2ccc(-c3ccccc3C(F)F)cc2)CC1 ZINC001241742755 1057154311 /nfs/dbraw/zinc/15/43/11/1057154311.db2.gz FZAZQGZJFKRQQQ-UHFFFAOYSA-N 0 3 316.395 4.433 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4[nH]cc(F)c43)cc2)CC1 ZINC001241744185 1057154347 /nfs/dbraw/zinc/15/43/47/1057154347.db2.gz OPGLFZHZTDYHPF-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4ccncc4c3)cc2)CC1 ZINC001241743830 1057154362 /nfs/dbraw/zinc/15/43/62/1057154362.db2.gz QRYVVUANYNSZEL-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN Clc1ccc([C@@H](NC2CCSCC2)c2ccccn2)cc1 ZINC000925364613 1057154413 /nfs/dbraw/zinc/15/44/13/1057154413.db2.gz UDVKJWUYNBRZCD-QGZVFWFLSA-N 0 3 318.873 4.310 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H]1CCc2cc(Cl)ccc21 ZINC000766043522 1057154430 /nfs/dbraw/zinc/15/44/30/1057154430.db2.gz IOBQLBWRBBKOIY-MSOLQXFVSA-N 0 3 313.832 4.093 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc(/C=C/OC)cc3)cc2)CC1 ZINC001241745538 1057155122 /nfs/dbraw/zinc/15/51/22/1057155122.db2.gz HKMWLKWVUZKTOS-SFQUDFHCSA-N 0 3 322.452 4.113 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1coc(-c2ccccc2F)n1 ZINC000625561738 1057163461 /nfs/dbraw/zinc/16/34/61/1057163461.db2.gz VJQIVQYJIZRUAC-LQDVMPOASA-N 0 3 300.377 4.244 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000766045074 1057164570 /nfs/dbraw/zinc/16/45/70/1057164570.db2.gz RGVZFFGKAFFTTK-QUCCMNQESA-N 0 3 309.457 4.466 20 0 DIADHN c1ccc(Oc2ccccc2-c2ccc3c(n2)OCCNC3)cc1 ZINC001241794731 1057167789 /nfs/dbraw/zinc/16/77/89/1057167789.db2.gz WLOMSVMOOZOYCU-UHFFFAOYSA-N 0 3 318.376 4.023 20 0 DIADHN CCc1ccc(CN(CCCCOC)Cc2ccccc2)cn1 ZINC000347865044 1057171078 /nfs/dbraw/zinc/17/10/78/1057171078.db2.gz MECSPKLPRBDLKU-UHFFFAOYSA-N 0 3 312.457 4.073 20 0 DIADHN C[C@H]1CN(Cc2cc(F)cc3cccnc32)C[C@H](C)C1(F)F ZINC000625645715 1057181711 /nfs/dbraw/zinc/18/17/11/1057181711.db2.gz HRDPQIBYXBNBKV-RYUDHWBXSA-N 0 3 308.347 4.097 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OC(F)F)c2)C[C@H](C)C1(F)F ZINC000625651993 1057183627 /nfs/dbraw/zinc/18/36/27/1057183627.db2.gz ZNDHNJPYAHCRCJ-PHIMTYICSA-N 0 3 305.315 4.011 20 0 DIADHN CN(Cc1ccc(-c2cnn(C3CCCCC3)c2)nc1)C1CC1 ZINC001241837780 1057183827 /nfs/dbraw/zinc/18/38/27/1057183827.db2.gz HZWYIEUEYRBOGV-UHFFFAOYSA-N 0 3 310.445 4.045 20 0 DIADHN CCOc1ccc(-c2cnccc2/C=C/N(C)C)c(Cl)c1 ZINC001241855037 1057192385 /nfs/dbraw/zinc/19/23/85/1057192385.db2.gz ATZIZFHMWWYPJM-CSKARUKUSA-N 0 3 302.805 4.333 20 0 DIADHN COCCN(C)[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000134201328 1057192580 /nfs/dbraw/zinc/19/25/80/1057192580.db2.gz MVTFXWMMRJJRFK-CQSZACIVSA-N 0 3 304.459 4.066 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccsc2)n1)c1ccncc1 ZINC000674070641 1057198619 /nfs/dbraw/zinc/19/86/19/1057198619.db2.gz RFDRHUJUAHXYSQ-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN COC(=O)C1(c2ccc(-c3cccc(C4=NCCC4)c3)cc2)CC1 ZINC001241876396 1057198987 /nfs/dbraw/zinc/19/89/87/1057198987.db2.gz WFBKVXBJCVZUDH-UHFFFAOYSA-N 0 3 319.404 4.141 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(F)c2ccccc21 ZINC000658014489 1057222926 /nfs/dbraw/zinc/22/29/26/1057222926.db2.gz VQUFHDMQFRFRIQ-FZKQIMNGSA-N 0 3 309.388 4.361 20 0 DIADHN CC(C)(C)c1cc(-c2ncc3c(n2)CCNC3)cc(C(C)(C)C)c1 ZINC001241971465 1057225781 /nfs/dbraw/zinc/22/57/81/1057225781.db2.gz QMBKGDJSYHFMMI-UHFFFAOYSA-N 0 3 323.484 4.384 20 0 DIADHN FC(F)(F)c1ccc(-c2nc(CNCC3CC3)cs2)cc1 ZINC000172113275 1057248579 /nfs/dbraw/zinc/24/85/79/1057248579.db2.gz ZUPSEPDGUWNELE-UHFFFAOYSA-N 0 3 312.360 4.329 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCC3)c2)c(F)cc1F ZINC001242049311 1057249502 /nfs/dbraw/zinc/24/95/02/1057249502.db2.gz MPCKAKJBZYYAMI-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CC(C)P(CCN[C@@H](F)Oc1ccnc(Cl)c1)C(C)C ZINC001162359053 1057256009 /nfs/dbraw/zinc/25/60/09/1057256009.db2.gz VIWYQRKIXJTJQH-CQSZACIVSA-N 0 3 320.776 4.255 20 0 DIADHN CC(C)Oc1ccc(-c2cncc(CN(C)C)c2)c(Cl)c1 ZINC001242087250 1057259204 /nfs/dbraw/zinc/25/92/04/1057259204.db2.gz BYIGGVKIIVXZHI-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(-c3ccncc3)s1)CC2 ZINC000674675422 1057273080 /nfs/dbraw/zinc/27/30/80/1057273080.db2.gz CCPUXCNOLLFRGJ-GOSISDBHSA-N 0 3 321.449 4.291 20 0 DIADHN C[C@H](NC/C=C/CO)c1ccc(O[C@@H](C)c2ccccc2)cc1 ZINC000658136288 1057279066 /nfs/dbraw/zinc/27/90/66/1057279066.db2.gz HNWSSTVKBSJMBG-SGUAIBKKSA-N 0 3 311.425 4.026 20 0 DIADHN C[C@@H](Oc1ccc([C@H](C)NC/C=C\CO)cc1)c1ccccc1 ZINC000658136286 1057279210 /nfs/dbraw/zinc/27/92/10/1057279210.db2.gz HNWSSTVKBSJMBG-QVOWHETESA-N 0 3 311.425 4.026 20 0 DIADHN CC(C)Oc1ccc(-c2cnccc2/C=C/N(C)C)cc1F ZINC001242201291 1057291704 /nfs/dbraw/zinc/29/17/04/1057291704.db2.gz HFVPJFMPQXBLBD-CSKARUKUSA-N 0 3 300.377 4.207 20 0 DIADHN COc1ccc(-c2ccc(OC(C)C)c(F)c2)cc1CN(C)C ZINC001242200589 1057291784 /nfs/dbraw/zinc/29/17/84/1057291784.db2.gz HILXAMMTUOMQFE-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](c1ccccn1)C(C)C)CCC2 ZINC000766080248 1057294923 /nfs/dbraw/zinc/29/49/23/1057294923.db2.gz BYKQMXPNVIOXCI-JKSUJKDBSA-N 0 3 301.459 4.211 20 0 DIADHN CN(CCCOC(C)(C)C)Cc1cc(Br)cs1 ZINC000674904251 1057297832 /nfs/dbraw/zinc/29/78/32/1057297832.db2.gz LWNBXSZCNDTSAO-UHFFFAOYSA-N 0 3 320.296 4.148 20 0 DIADHN [O-]c1cc(F)ccc1-c1ccc(C[NH+]2CCCCC2)c(F)c1 ZINC001242219864 1057297945 /nfs/dbraw/zinc/29/79/45/1057297945.db2.gz UZOVNPQJIOULJD-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN [O-]c1cc(F)ccc1-c1ccc(-c2c[nH]c([C@@H]3CCC[NH2+]3)n2)cc1 ZINC001242218988 1057298037 /nfs/dbraw/zinc/29/80/37/1057298037.db2.gz UOBCCIBJKNJQJC-INIZCTEOSA-N 0 3 323.371 4.013 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C(C)=C(C)C)c1ccc(Cl)cc1 ZINC001128871176 1057298532 /nfs/dbraw/zinc/29/85/32/1057298532.db2.gz RSXUOFYYUIPZNF-QGZVFWFLSA-N 0 3 322.880 4.195 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC2(CCCCC2)[C@@H]1C(C)C ZINC001626106676 1057312316 /nfs/dbraw/zinc/31/23/16/1057312316.db2.gz QFZZFSGWAPFECB-IBGZPJMESA-N 0 3 322.537 4.316 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C)O1)c1ccc(-c2ccccc2)cn1 ZINC000658216555 1057324652 /nfs/dbraw/zinc/32/46/52/1057324652.db2.gz RVHQQUYKZLSGBL-JTDSTZFVSA-N 0 3 310.441 4.357 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccc(F)cc1Br ZINC000658220553 1057326212 /nfs/dbraw/zinc/32/62/12/1057326212.db2.gz QNDMDSSTKIUFQY-PELKAZGASA-N 0 3 322.168 4.284 20 0 DIADHN COc1ccnc(CN[C@@H](C)CC(C)(C)c2ccccc2)c1F ZINC000658222065 1057326473 /nfs/dbraw/zinc/32/64/73/1057326473.db2.gz GHOIKFQZZMJMNI-AWEZNQCLSA-N 0 3 316.420 4.075 20 0 DIADHN Cc1nc(-c2ccc(CNCc3ccc(O)cc3)cc2)cs1 ZINC000675207540 1057327396 /nfs/dbraw/zinc/32/73/96/1057327396.db2.gz OWUGTAIVULOSSD-UHFFFAOYSA-N 0 3 310.422 4.114 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H]1CCc3ccc(O)cc31)CCC2 ZINC000658240480 1057337307 /nfs/dbraw/zinc/33/73/07/1057337307.db2.gz YOAIBEXNTAEYBE-LSDHHAIUSA-N 0 3 314.454 4.066 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC2(CC1)OCc1ccccc12 ZINC000675324353 1057340228 /nfs/dbraw/zinc/34/02/28/1057340228.db2.gz BTHTXWGISOBVCM-OAHLLOKOSA-N 0 3 311.400 4.408 20 0 DIADHN FC(F)(F)c1ccccc1-c1ccc([C@@H]2CNCCO2)cc1 ZINC000041538797 1057352083 /nfs/dbraw/zinc/35/20/83/1057352083.db2.gz XHSXBTCWQBQAIS-INIZCTEOSA-N 0 3 307.315 4.033 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccccc1 ZINC000658288429 1057355503 /nfs/dbraw/zinc/35/55/03/1057355503.db2.gz OYQJJDYKXJJEQX-ZCNNSNEGSA-N 0 3 313.416 4.161 20 0 DIADHN CC(=O)c1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001242403863 1057359048 /nfs/dbraw/zinc/35/90/48/1057359048.db2.gz KHTOBQJDDSJUKF-UHFFFAOYSA-N 0 3 312.388 4.076 20 0 DIADHN Fc1ccc(-c2ccc(OC(F)F)nc2)cc1CN1CCCC1 ZINC001242421156 1057362565 /nfs/dbraw/zinc/36/25/65/1057362565.db2.gz DTXLEWIEJNTEBC-UHFFFAOYSA-N 0 3 322.330 4.085 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000675443034 1057369494 /nfs/dbraw/zinc/36/94/94/1057369494.db2.gz MDTWESGSVVWBST-SJLPKXTDSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ccc([C@H](C)CN[C@@H](C)c2cn(-c3ccccc3)nn2)cc1 ZINC000675446336 1057369754 /nfs/dbraw/zinc/36/97/54/1057369754.db2.gz QQMSECFQOUAQAY-SJORKVTESA-N 0 3 320.440 4.030 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2ccc(Cl)c(Cl)c2)o1 ZINC000766136253 1057373191 /nfs/dbraw/zinc/37/31/91/1057373191.db2.gz CJQPVDBCITUUKE-HZMBPMFUSA-N 0 3 314.212 4.279 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1cccs1 ZINC000766136459 1057373651 /nfs/dbraw/zinc/37/36/51/1057373651.db2.gz OXIHHFIWBFKMIQ-LSDHHAIUSA-N 0 3 307.846 4.102 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(F)c2OC)ccc1F ZINC001242485751 1057380994 /nfs/dbraw/zinc/38/09/94/1057380994.db2.gz YXNUCBOQWZUFFL-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(C)o1)c1cc(Cl)ccc1OC ZINC000766144829 1057380962 /nfs/dbraw/zinc/38/09/62/1057380962.db2.gz XUKKCFMRGRDBOA-CABCVRRESA-N 0 3 323.820 4.024 20 0 DIADHN CCSc1ccccc1[C@H](C)NC[C@@H](O)c1ccc(C)o1 ZINC000766144186 1057381463 /nfs/dbraw/zinc/38/14/63/1057381463.db2.gz VVJNYLDWJVSHRA-DZGCQCFKSA-N 0 3 305.443 4.084 20 0 DIADHN Cn1ccnc1-c1ccc(-c2cc(N3CCCCC3)ccn2)cc1 ZINC001242495187 1057384179 /nfs/dbraw/zinc/38/41/79/1057384179.db2.gz YJNDDEUEGFOHLW-UHFFFAOYSA-N 0 3 318.424 4.139 20 0 DIADHN COc1ccc(OCCNCc2ccc(-c3ccccc3)o2)cc1 ZINC000675509637 1057384775 /nfs/dbraw/zinc/38/47/75/1057384775.db2.gz XMNDVMGVBRJFMI-UHFFFAOYSA-N 0 3 323.392 4.124 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(C)c(F)c1 ZINC000675638146 1057394311 /nfs/dbraw/zinc/39/43/11/1057394311.db2.gz RHADSOWRJGTRDZ-INIZCTEOSA-N 0 3 303.352 4.059 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](Cn1cccn1)c1ccccc1 ZINC000675722347 1057404004 /nfs/dbraw/zinc/40/40/04/1057404004.db2.gz GLESFPBSXZMWSS-XLIONFOSSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](Cn1cccn1)c1ccccc1 ZINC000675722346 1057404187 /nfs/dbraw/zinc/40/41/87/1057404187.db2.gz GLESFPBSXZMWSS-PXNSSMCTSA-N 0 3 305.425 4.284 20 0 DIADHN CCC[C@H](C)N[C@H](C)c1nnn(-c2cccc(Cl)c2)c1C ZINC000675723127 1057404255 /nfs/dbraw/zinc/40/42/55/1057404255.db2.gz XHSQJDSGHHIAIJ-NWDGAFQWSA-N 0 3 306.841 4.068 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)ccc1F ZINC000675738201 1057404413 /nfs/dbraw/zinc/40/44/13/1057404413.db2.gz UASLQPYUPKSYRM-STQMWFEESA-N 0 3 301.361 4.275 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CCCc2ccccc21 ZINC000675724600 1057405136 /nfs/dbraw/zinc/40/51/36/1057405136.db2.gz DSVCXWKPCZRVJG-ROUUACIJSA-N 0 3 309.409 4.186 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCc3c2cccc3C)c1 ZINC000675752222 1057406959 /nfs/dbraw/zinc/40/69/59/1057406959.db2.gz MECGKXADOKVAHH-LJQANCHMSA-N 0 3 308.425 4.121 20 0 DIADHN C[C@@H](NCc1ccc(N(C)C)nc1)c1cccc(C(F)(F)F)c1 ZINC000675743658 1057408793 /nfs/dbraw/zinc/40/87/93/1057408793.db2.gz LXHSRVGJTZNFDB-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C)nc1)c1cccc(Cl)c1 ZINC000675744044 1057408866 /nfs/dbraw/zinc/40/88/66/1057408866.db2.gz YPRGSTFPQWGNHJ-INIZCTEOSA-N 0 3 303.837 4.042 20 0 DIADHN C[C@H](NCc1ccc(OC[C@@H]2CCCO2)cc1)c1ccsc1 ZINC000675747682 1057408971 /nfs/dbraw/zinc/40/89/71/1057408971.db2.gz FSZAUDLZXXPJHA-KSSFIOAISA-N 0 3 317.454 4.157 20 0 DIADHN Cc1occc1CN[C@@H](C)CC(=O)Nc1ccc(C(C)C)cc1 ZINC000675780415 1057410480 /nfs/dbraw/zinc/41/04/80/1057410480.db2.gz XWWOWMHJWQBVSS-AWEZNQCLSA-N 0 3 314.429 4.218 20 0 DIADHN COC[C@H](NC(c1ccc(F)cc1)c1ccc(F)cc1)C1CC1 ZINC000675770391 1057411813 /nfs/dbraw/zinc/41/18/13/1057411813.db2.gz DJBLABUBVOXPHH-SFHVURJKSA-N 0 3 317.379 4.069 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCC2 ZINC000675772589 1057411851 /nfs/dbraw/zinc/41/18/51/1057411851.db2.gz RFWQYCDJBQUMGP-IFXJQAMLSA-N 0 3 323.436 4.358 20 0 DIADHN COc1cc(F)c(F)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001242578484 1057412330 /nfs/dbraw/zinc/41/23/30/1057412330.db2.gz BFHIJSCWQJGHCD-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CC(C)COC[C@H](N[C@H](C)Cc1cccs1)c1ccco1 ZINC000675800616 1057413386 /nfs/dbraw/zinc/41/33/86/1057413386.db2.gz SDHGSJVKPFCPOY-ZBFHGGJFSA-N 0 3 307.459 4.276 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000675784179 1057414530 /nfs/dbraw/zinc/41/45/30/1057414530.db2.gz HAVWEIXOBONKNM-HBMCJLEFSA-N 0 3 307.437 4.492 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc4ocnc4c3)c(C)c2)CC1 ZINC001242595778 1057418646 /nfs/dbraw/zinc/41/86/46/1057418646.db2.gz SMDXVDCVZSMNPO-UHFFFAOYSA-N 0 3 319.408 4.307 20 0 DIADHN CCCN1CCCC[C@H]1c1ccc(-c2ccnc(C(C)=O)c2)nc1 ZINC001242609552 1057422897 /nfs/dbraw/zinc/42/28/97/1057422897.db2.gz OWNHVNNWNVZRNH-FQEVSTJZSA-N 0 3 323.440 4.283 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cncc(N4CCCC4)c3)cc21 ZINC001242622654 1057425895 /nfs/dbraw/zinc/42/58/95/1057425895.db2.gz YHHPHYIAZSADRF-UHFFFAOYSA-N 0 3 319.452 4.350 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](Cn1cccn1)c1ccccc1 ZINC000675899219 1057427890 /nfs/dbraw/zinc/42/78/90/1057427890.db2.gz DEOJNLHZDYBKPR-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](Cn1cccn1)c1ccccc1)CC2 ZINC000675899698 1057428172 /nfs/dbraw/zinc/42/81/72/1057428172.db2.gz KAVZPMYVDGXKEC-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H](Cn1cccn1)c1ccccc1 ZINC000675899037 1057428237 /nfs/dbraw/zinc/42/82/37/1057428237.db2.gz BMHLSUYJIULALK-NVXWUHKLSA-N 0 3 311.454 4.345 20 0 DIADHN C[C@@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1cccs1 ZINC000675918253 1057430756 /nfs/dbraw/zinc/43/07/56/1057430756.db2.gz FSSQGLNRQFWZHC-ZBFHGGJFSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1cccc(F)c1 ZINC000675918300 1057431213 /nfs/dbraw/zinc/43/12/13/1057431213.db2.gz GCRYKJPCGQAOLN-KXBFYZLASA-N 0 3 323.415 4.194 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1cc(C)ccc1C ZINC000675902776 1057433352 /nfs/dbraw/zinc/43/33/52/1057433352.db2.gz CSMIMALTLIKAGS-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCOc3cc(OC)ccc32)s1 ZINC000675904215 1057433366 /nfs/dbraw/zinc/43/33/66/1057433366.db2.gz UCFWRTAONKZTNL-BLLLJJGKSA-N 0 3 317.454 4.494 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1cc(C)cc(C)c1 ZINC000675902823 1057433634 /nfs/dbraw/zinc/43/36/34/1057433634.db2.gz HAAVXMDDAHPUON-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1c2ccccc2C[C@H]1C ZINC000675902874 1057434000 /nfs/dbraw/zinc/43/40/00/1057434000.db2.gz JYLONKMOKQWBLU-ORPRQENYSA-N 0 3 309.409 4.042 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1ccc(C)c(C)c1 ZINC000675903230 1057434077 /nfs/dbraw/zinc/43/40/77/1057434077.db2.gz AXPRDODCYNXMJZ-DNVCBOLYSA-N 0 3 311.425 4.486 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc([C@H](C)O)cc2)ccc1F ZINC001242650582 1057436390 /nfs/dbraw/zinc/43/63/90/1057436390.db2.gz WXRYBDVSFQYKQD-AWEZNQCLSA-N 0 3 301.405 4.388 20 0 DIADHN Cc1cc(C)c(CNC(C)(C)C(=O)Nc2ccccc2)c(C)c1 ZINC000675971452 1057438822 /nfs/dbraw/zinc/43/88/22/1057438822.db2.gz WOSDJFAHUJYWIY-UHFFFAOYSA-N 0 3 310.441 4.119 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc(-c3ccccc3)n[nH]1)CC2 ZINC000676045270 1057447008 /nfs/dbraw/zinc/44/70/08/1057447008.db2.gz VGYWGVCDQKFLFS-IBGZPJMESA-N 0 3 303.409 4.162 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(C)nc(OC)c2)ccc1F ZINC001242749641 1057466314 /nfs/dbraw/zinc/46/63/14/1057466314.db2.gz KGUDSXCPUPKLHV-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Oc1ccc(F)c(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001242764682 1057468009 /nfs/dbraw/zinc/46/80/09/1057468009.db2.gz MBURPPGXZCXXCG-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN Cc1nc([C@H](C)NC2CCN(c3ccccc3)CC2)c(C)s1 ZINC000676491200 1057475881 /nfs/dbraw/zinc/47/58/81/1057475881.db2.gz XWTIJVOLRBGWGE-ZDUSSCGKSA-N 0 3 315.486 4.080 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3cc(Cl)ccc23)cs1 ZINC000676490037 1057479042 /nfs/dbraw/zinc/47/90/42/1057479042.db2.gz YRWOHEHWPFROPG-JTQLQIEISA-N 0 3 319.861 4.480 20 0 DIADHN Cc1nc([C@@H](C)NCCCOCCc2ccccc2)c(C)s1 ZINC000676488461 1057479548 /nfs/dbraw/zinc/47/95/48/1057479548.db2.gz GUGZQFXFKHPMFJ-CQSZACIVSA-N 0 3 318.486 4.060 20 0 DIADHN c1oc2ccccc2c1CNC1(c2ccccc2)CCOCC1 ZINC000676568739 1057484561 /nfs/dbraw/zinc/48/45/61/1057484561.db2.gz NNSGSKLLUZSDTH-UHFFFAOYSA-N 0 3 307.393 4.228 20 0 DIADHN CCc1cccc(C)c1-c1ccc(N2CCN(C(C)C)CC2)nc1 ZINC001242815496 1057489543 /nfs/dbraw/zinc/48/95/43/1057489543.db2.gz MRDQRWGFRPFJSX-UHFFFAOYSA-N 0 3 323.484 4.150 20 0 DIADHN Nc1c(F)cc(-c2ccc(F)c(CN3CCCCC3)c2)cc1F ZINC001242842420 1057494889 /nfs/dbraw/zinc/49/48/89/1057494889.db2.gz UFCLYTMDXVCDQH-UHFFFAOYSA-N 0 3 320.358 4.339 20 0 DIADHN COCc1cccc(CN2CCS[C@@H](c3ccccc3)C2)c1 ZINC000676715227 1057498282 /nfs/dbraw/zinc/49/82/82/1057498282.db2.gz KASYHONETHWTFV-LJQANCHMSA-N 0 3 313.466 4.123 20 0 DIADHN CCN(CC)Cc1cncc(-c2ccc3c(c2)C(=O)CCC3)c1 ZINC001242857905 1057502277 /nfs/dbraw/zinc/50/22/77/1057502277.db2.gz OAXLUNBUCYXVSR-UHFFFAOYSA-N 0 3 308.425 4.109 20 0 DIADHN CCCOc1cc(CN[C@@H]2CCc3ccccc32)ccc1OC ZINC000073052603 1057506853 /nfs/dbraw/zinc/50/68/53/1057506853.db2.gz ZXSDKLXQVKPNMG-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCCC(F)(F)CC2)c1C ZINC000625767509 1057512942 /nfs/dbraw/zinc/51/29/42/1057512942.db2.gz SVVCSSJRENVQBG-UHFFFAOYSA-N 0 3 309.400 4.436 20 0 DIADHN CN(Cc1ccc(-c2cncc(-c3ccccc3)c2)nc1)C1CC1 ZINC001242912553 1057517361 /nfs/dbraw/zinc/51/73/61/1057517361.db2.gz JJCVWOOQFILOEA-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN CC(C)c1cnc(CNCC[C@@H]2OCCc3ccccc32)s1 ZINC000922964251 1057517790 /nfs/dbraw/zinc/51/77/90/1057517790.db2.gz QSDRECRRSYIZFJ-INIZCTEOSA-N 0 3 316.470 4.060 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnc(OC)c(Cl)c2)cc1F ZINC001242933922 1057521967 /nfs/dbraw/zinc/52/19/67/1057521967.db2.gz HIMMLLGULNWGIA-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN C[C@@]1(NCc2ccc(OC(F)F)cc2)CCOc2ccccc21 ZINC000923023738 1057522048 /nfs/dbraw/zinc/52/20/48/1057522048.db2.gz AGUBHVCGAOBEJW-GOSISDBHSA-N 0 3 319.351 4.076 20 0 DIADHN CSc1cncc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001242951927 1057528037 /nfs/dbraw/zinc/52/80/37/1057528037.db2.gz LIAMWXTXGVCPJD-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN COC[C@H](N[C@H]1CCOc2c(Cl)c(Cl)ccc21)C(C)C ZINC000766162436 1057528814 /nfs/dbraw/zinc/52/88/14/1057528814.db2.gz LIXZACOFJVPACW-STQMWFEESA-N 0 3 318.244 4.078 20 0 DIADHN CN(C)Cc1cnc(CN2CCC[C@H]2CCC2CCCC2)s1 ZINC000347912639 1057529897 /nfs/dbraw/zinc/52/98/97/1057529897.db2.gz MEMQDMXLDKRGLD-INIZCTEOSA-N 0 3 321.534 4.140 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2nc3ccccc3s2)C12CCC2 ZINC000766165601 1057531181 /nfs/dbraw/zinc/53/11/81/1057531181.db2.gz BSPIRHPESYHMJE-UHOFOFEASA-N 0 3 316.470 4.295 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@@H]1Cc2ccccc2O1 ZINC001649133778 1057542375 /nfs/dbraw/zinc/54/23/75/1057542375.db2.gz KRSAHERDXSIXLP-SFHVURJKSA-N 0 3 321.376 4.165 20 0 DIADHN Cc1cc(C2OCCO2)c(C)cc1CNC1(c2ccccc2)CC1 ZINC001649133824 1057545128 /nfs/dbraw/zinc/54/51/28/1057545128.db2.gz LZSHFCNIRSSTFO-UHFFFAOYSA-N 0 3 323.436 4.128 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnccc3Cl)c(C)c2)CC1 ZINC001243044297 1057556032 /nfs/dbraw/zinc/55/60/32/1057556032.db2.gz IDAPCTVETOQQCD-UHFFFAOYSA-N 0 3 313.832 4.214 20 0 DIADHN [O-]c1cc(-c2ccc(SC3CC[NH2+]CC3)cc2)ccc1F ZINC001243050065 1057558349 /nfs/dbraw/zinc/55/83/49/1057558349.db2.gz JUTQQNHVDXDOJO-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2ccc(F)c([O-])c2)nc1 ZINC001243049722 1057558893 /nfs/dbraw/zinc/55/88/93/1057558893.db2.gz PGCAPKAFAWIOCS-QGZVFWFLSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2ccc(F)c([O-])c2)nc1 ZINC001243049722 1057558899 /nfs/dbraw/zinc/55/88/99/1057558899.db2.gz PGCAPKAFAWIOCS-QGZVFWFLSA-N 0 3 300.377 4.140 20 0 DIADHN NCc1cc(-c2cc(Cl)cc(C(F)(F)F)c2F)ccn1 ZINC001243067440 1057562403 /nfs/dbraw/zinc/56/24/03/1057562403.db2.gz IEWYLFUCICOPQP-UHFFFAOYSA-N 0 3 304.674 4.019 20 0 DIADHN Clc1ccc(-c2cc(CN3CCCCC3)ccn2)c(Cl)n1 ZINC001243084066 1057565624 /nfs/dbraw/zinc/56/56/24/1057565624.db2.gz JEJZHLBSBCRORF-UHFFFAOYSA-N 0 3 322.239 4.436 20 0 DIADHN C[C@H](NCc1ccccc1C1CC1)c1ccc([S@@](C)=O)cc1 ZINC000611120126 1057583300 /nfs/dbraw/zinc/58/33/00/1057583300.db2.gz IUSIAGVMRNQOJB-RCDICMHDSA-N 0 3 313.466 4.152 20 0 DIADHN CC(C)(C)[C@H](NCc1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000611278606 1057588715 /nfs/dbraw/zinc/58/87/15/1057588715.db2.gz WPKRTNFMBQRDMU-CQSZACIVSA-N 0 3 311.351 4.306 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN([C@H](C)c2ccccc2Cl)C1 ZINC000611320095 1057590876 /nfs/dbraw/zinc/59/08/76/1057590876.db2.gz URRRPBKIKQQAET-ZIAGYGMSSA-N 0 3 323.864 4.312 20 0 DIADHN COCOc1ccccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001243186370 1057597106 /nfs/dbraw/zinc/59/71/06/1057597106.db2.gz AGFQFEWHKZUBCL-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN CCCCOc1ccc(-c2cncc(CN(C)C)c2)cc1F ZINC001243198739 1057601055 /nfs/dbraw/zinc/60/10/55/1057601055.db2.gz FMFJBEDLTWTMHP-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC000162200615 1057610946 /nfs/dbraw/zinc/61/09/46/1057610946.db2.gz PUKMWEWZHALEIM-GUYCJALGSA-N 0 3 303.425 4.480 20 0 DIADHN Cn1cc([C@H](NC2CC(c3ccccc3)C2)c2ccccc2)cn1 ZINC000273232012 1057618156 /nfs/dbraw/zinc/61/81/56/1057618156.db2.gz XEFYZCUZINXUPO-DQVLVPHSSA-N 0 3 317.436 4.045 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1N(C)Cc1scnc1C1CC1 ZINC000494987179 1057619215 /nfs/dbraw/zinc/61/92/15/1057619215.db2.gz SNHBRNBEFCUPHH-LSDHHAIUSA-N 0 3 308.491 4.046 20 0 DIADHN Fc1ccc(CCN(Cc2scnc2C2CC2)C2CC2)cc1 ZINC000494973916 1057620475 /nfs/dbraw/zinc/62/04/75/1057620475.db2.gz MPBLNZKPWOZISA-UHFFFAOYSA-N 0 3 316.445 4.367 20 0 DIADHN Clc1ncccc1CN1CCCC[C@H]1Cc1ccccc1 ZINC000727131297 1057634520 /nfs/dbraw/zinc/63/45/20/1057634520.db2.gz CCSPVKQCTSKERG-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN Nc1ccc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001243285272 1057635790 /nfs/dbraw/zinc/63/57/90/1057635790.db2.gz BOWMKJJYBQZRTE-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN Cc1cccc(OC(=O)C2CCN(Cc3ccccc3)CC2)c1C ZINC000727297682 1057645229 /nfs/dbraw/zinc/64/52/29/1057645229.db2.gz WYRLRIFLGJSVHR-UHFFFAOYSA-N 0 3 323.436 4.121 20 0 DIADHN CCc1ccc(OC(=O)C2CCN(Cc3ccccc3)CC2)cc1 ZINC000727293420 1057648272 /nfs/dbraw/zinc/64/82/72/1057648272.db2.gz XFVBXHIIGGLNIY-UHFFFAOYSA-N 0 3 323.436 4.067 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1ccc(Cl)cc1)CC2 ZINC000279964141 1057650855 /nfs/dbraw/zinc/65/08/55/1057650855.db2.gz CNUXCQJMHOZNNA-INIZCTEOSA-N 0 3 317.816 4.134 20 0 DIADHN COc1cc2c(cc1OC)[C@H](N[C@H](C)c1cccs1)CC2 ZINC000280287843 1057653564 /nfs/dbraw/zinc/65/35/64/1057653564.db2.gz YZGHBPOBFPFFGL-BXUZGUMPSA-N 0 3 303.427 4.103 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc3cccnc3c2)c1 ZINC000727467706 1057655561 /nfs/dbraw/zinc/65/55/61/1057655561.db2.gz BAOYAOGKEMCEOY-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN COc1ccc(-c2cccc(OC(F)F)c2)cc1CN(C)C ZINC001243359011 1057658277 /nfs/dbraw/zinc/65/82/77/1057658277.db2.gz DJSRONUBZQXVTH-UHFFFAOYSA-N 0 3 307.340 4.025 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(OCC(F)(F)F)c1 ZINC001243390969 1057668495 /nfs/dbraw/zinc/66/84/95/1057668495.db2.gz GZNYMUIMWYSZMW-VQHVLOKHSA-N 0 3 322.330 4.222 20 0 DIADHN Nc1ccnc2cc(-c3cccc(OCC(F)(F)F)c3)ccc12 ZINC001243391736 1057668855 /nfs/dbraw/zinc/66/88/55/1057668855.db2.gz MEBKTBLSNIRJQK-UHFFFAOYSA-N 0 3 318.298 4.425 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H]2CCc3ccc(Cl)cc32)C1 ZINC000536847054 1057674637 /nfs/dbraw/zinc/67/46/37/1057674637.db2.gz RFVJHSXOZNRFTO-RTBURBONSA-N 0 3 312.844 4.104 20 0 DIADHN C[C@@H](NCC(C)(C)c1cccc(C(F)(F)F)c1)c1ncc[nH]1 ZINC000286121587 1057687069 /nfs/dbraw/zinc/68/70/69/1057687069.db2.gz ODSKMIGBKJKDGL-LLVKDONJSA-N 0 3 311.351 4.057 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc[nH]1)[C@@H](C)c1ccccc1C(F)(F)F ZINC000285942258 1057687771 /nfs/dbraw/zinc/68/77/71/1057687771.db2.gz DLGDJDVMJYNRRY-UTUOFQBUSA-N 0 3 311.351 4.271 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ncc[nH]1 ZINC000287342395 1057688244 /nfs/dbraw/zinc/68/82/44/1057688244.db2.gz GKNUKRRBNUOCCK-JKOKRWQUSA-N 0 3 309.335 4.025 20 0 DIADHN COc1cncc(CN[C@H](c2cccc(F)c2)C(C)(C)C)c1 ZINC000289731727 1057689883 /nfs/dbraw/zinc/68/98/83/1057689883.db2.gz GRNHWUZDTNLJSK-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000766189952 1057694390 /nfs/dbraw/zinc/69/43/90/1057694390.db2.gz VIBHKWOJQKKQAX-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2cc(F)cc(F)c2[O-])ccc1OC ZINC001243507109 1057698721 /nfs/dbraw/zinc/69/87/21/1057698721.db2.gz SPWNIJXOUWHZMO-UHFFFAOYSA-N 0 3 321.367 4.188 20 0 DIADHN COc1cc(CN[C@H]2CCc3ccc(F)cc32)c2ccccc2n1 ZINC000175016162 1057701475 /nfs/dbraw/zinc/70/14/75/1057701475.db2.gz DBZHUWFMPMFDPS-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN CC1(C)Cc2cc(CN[C@H]3CCc4ccc(F)cc43)ccc2O1 ZINC000730109153 1057702873 /nfs/dbraw/zinc/70/28/73/1057702873.db2.gz NPXVCGMEUHQVFU-SFHVURJKSA-N 0 3 311.400 4.316 20 0 DIADHN Cc1ccc2c(c1)[C@H]1CN(C)CC[C@@H]1N2C(=O)CC1=CCCCC1 ZINC000730165839 1057706499 /nfs/dbraw/zinc/70/64/99/1057706499.db2.gz OGFOJVTWUOIYPW-QUCCMNQESA-N 0 3 324.468 4.020 20 0 DIADHN Oc1cccc(-c2ccc(F)c(CN3CCCCC3)c2)c1F ZINC001243545474 1057709029 /nfs/dbraw/zinc/70/90/29/1057709029.db2.gz BNPRAZBWGUEPRR-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CSc1cc(F)cc(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001243553052 1057711650 /nfs/dbraw/zinc/71/16/50/1057711650.db2.gz TZNCIMZWXKVKIF-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN COc1c(Cl)ccc(-c2cncc(CN3CCCC3)c2)c1F ZINC001243576283 1057720920 /nfs/dbraw/zinc/72/09/20/1057720920.db2.gz BOADKJHRXLTTKA-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CN(Cc1ccc(-c2ccc(C3CCOCC3)cc2)nc1)C1CC1 ZINC001243629125 1057740096 /nfs/dbraw/zinc/74/00/96/1057740096.db2.gz VSCWIMPBFNQGDY-UHFFFAOYSA-N 0 3 322.452 4.237 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(C2CCOCC2)cc1 ZINC001243631829 1057740278 /nfs/dbraw/zinc/74/02/78/1057740278.db2.gz YMLJBCYRXQMYDO-XYOKQWHBSA-N 0 3 308.425 4.175 20 0 DIADHN CCN(CCc1cccs1)Cn1ccc(-c2cccs2)n1 ZINC000731661562 1057750967 /nfs/dbraw/zinc/75/09/67/1057750967.db2.gz ORYODVAJJFIIRS-UHFFFAOYSA-N 0 3 317.483 4.195 20 0 DIADHN Cc1ccc(-c2cc(CN(C)CCc3ccccc3F)on2)cc1 ZINC000731670451 1057751130 /nfs/dbraw/zinc/75/11/30/1057751130.db2.gz MWRVYCAVPQHNAF-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN COc1ccc(C2(NCc3cc(C)c(OC)c(C)c3)CC2)cc1 ZINC000731678900 1057752114 /nfs/dbraw/zinc/75/21/14/1057752114.db2.gz RMHUPHJQFYFGKS-UHFFFAOYSA-N 0 3 311.425 4.100 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ccc(Cl)nc2)cc1 ZINC000731751884 1057753486 /nfs/dbraw/zinc/75/34/86/1057753486.db2.gz IFBKYXSMLOYRMF-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(CO)c2Cl)cc1F ZINC001243705615 1057757566 /nfs/dbraw/zinc/75/75/66/1057757566.db2.gz GTDHNSVKAMRTDL-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCc2sccc2[C@@H]1CC ZINC000731895118 1057758278 /nfs/dbraw/zinc/75/82/78/1057758278.db2.gz KSEZNKWZHRJEKJ-INIZCTEOSA-N 0 3 322.518 4.096 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN(C)Cc2ccco2)c(C)c1 ZINC000176013714 1057758986 /nfs/dbraw/zinc/75/89/86/1057758986.db2.gz GHBLNCXIUCHWPV-UHFFFAOYSA-N 0 3 314.429 4.056 20 0 DIADHN OCc1cccc(Cl)c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001243717278 1057760537 /nfs/dbraw/zinc/76/05/37/1057760537.db2.gz QWXFJCWHKPFZKZ-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN OCc1cccc(Cl)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001243716286 1057760545 /nfs/dbraw/zinc/76/05/45/1057760545.db2.gz HFRUGRYVIBARMG-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN O[C@@H](CN1CCCC2(CCCCC2)C1)c1c(F)cccc1F ZINC000732214629 1057770119 /nfs/dbraw/zinc/77/01/19/1057770119.db2.gz MXBGPEZPSDQXOV-INIZCTEOSA-N 0 3 309.400 4.045 20 0 DIADHN COc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1SC ZINC001243751108 1057770218 /nfs/dbraw/zinc/77/02/18/1057770218.db2.gz YHTAMVXDJXPFGF-UHFFFAOYSA-N 0 3 314.454 4.073 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC(C)(C)[C@@H]1c1ccccc1 ZINC000732275999 1057771515 /nfs/dbraw/zinc/77/15/15/1057771515.db2.gz NBPJVMXRFJICDL-IBGZPJMESA-N 0 3 316.489 4.108 20 0 DIADHN CN(C)Cc1ccnc(-c2c(F)c(Cl)cc(Cl)c2F)c1 ZINC001243764411 1057773469 /nfs/dbraw/zinc/77/34/69/1057773469.db2.gz XQGOLLPLWUZUGJ-UHFFFAOYSA-N 0 3 317.166 4.395 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000732413604 1057774998 /nfs/dbraw/zinc/77/49/98/1057774998.db2.gz VXFVKYBCPCBPAF-ZDUSSCGKSA-N 0 3 324.255 4.025 20 0 DIADHN Cc1c(F)nccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001243781101 1057777780 /nfs/dbraw/zinc/77/77/80/1057777780.db2.gz IQBZDLAAPJZVEP-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Nc1ccnc2cc(-c3ccc(Br)cc3F)ccc12 ZINC001243809011 1057784958 /nfs/dbraw/zinc/78/49/58/1057784958.db2.gz OCXCUVPEXAFLEI-UHFFFAOYSA-N 0 3 317.161 4.386 20 0 DIADHN CO[C@H]1CC=C(c2ccc(F)c(CN3CCCCC3)c2)CC1 ZINC001243815957 1057786420 /nfs/dbraw/zinc/78/64/20/1057786420.db2.gz MRYIDRQOJYXWQE-SFHVURJKSA-N 0 3 303.421 4.394 20 0 DIADHN Fc1ncc(C2CC2)cc1-c1cncc(CN2CCCCC2)c1 ZINC001243868295 1057796077 /nfs/dbraw/zinc/79/60/77/1057796077.db2.gz ZDELALUVDILHAT-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN CN(C)Cc1cccc(-c2cnc(F)c(-c3ccccc3)c2)n1 ZINC001243888321 1057800050 /nfs/dbraw/zinc/80/00/50/1057800050.db2.gz YPAJZRHUDKHDPC-UHFFFAOYSA-N 0 3 307.372 4.011 20 0 DIADHN COC(=O)Cc1ccccc1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001243957274 1057819264 /nfs/dbraw/zinc/81/92/64/1057819264.db2.gz QERTZUPFXWZIDQ-UHFFFAOYSA-N 0 3 321.420 4.070 20 0 DIADHN c1c[nH]c(-c2ccc(-c3cc(N4CCCCC4)ccn3)cc2)n1 ZINC001243977179 1057826475 /nfs/dbraw/zinc/82/64/75/1057826475.db2.gz YQJXPWLTGPLSPI-UHFFFAOYSA-N 0 3 304.397 4.129 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(O)cc2OC)c1F ZINC001243985291 1057829700 /nfs/dbraw/zinc/82/97/00/1057829700.db2.gz OGTFUDAHLIFOKZ-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN COc1ccc(-c2ccc(F)c(Cl)c2F)cc1CN(C)C ZINC001244038346 1057846221 /nfs/dbraw/zinc/84/62/21/1057846221.db2.gz XERZBQDFLUNXJS-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN FC(F)COc1ccc(-c2cc(N3CCCCC3)ccn2)cc1 ZINC001244071016 1057858055 /nfs/dbraw/zinc/85/80/55/1057858055.db2.gz DHMFRPISOGPERS-UHFFFAOYSA-N 0 3 318.367 4.383 20 0 DIADHN COc1cc(-c2ccc3c(n2)CNCC3)ccc1-c1ccccc1 ZINC001244144628 1057885433 /nfs/dbraw/zinc/88/54/33/1057885433.db2.gz UQMRUHNNIOCRLX-UHFFFAOYSA-N 0 3 316.404 4.070 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cc(OC(F)(F)F)ccc2N)n1 ZINC001244181303 1057893098 /nfs/dbraw/zinc/89/30/98/1057893098.db2.gz FOAHAFVPWHKACY-UHFFFAOYSA-N 0 3 307.275 4.019 20 0 DIADHN Cc1cc(-c2ccc3c(c2)COC32CNC2)cc(C)c1OC(C)C ZINC001244211688 1057901801 /nfs/dbraw/zinc/90/18/01/1057901801.db2.gz NDOROMGGGVANSJ-UHFFFAOYSA-N 0 3 323.436 4.086 20 0 DIADHN Cc1nn(C)c2cc(-c3ccc(CN4CCCC4)c(F)c3)ccc12 ZINC001244234426 1057905401 /nfs/dbraw/zinc/90/54/01/1057905401.db2.gz FMWGQJDMGQBPRQ-UHFFFAOYSA-N 0 3 323.415 4.284 20 0 DIADHN COc1ccc(-c2c(OC)cccc2C2CC2)cc1CN(C)C ZINC001244256444 1057913133 /nfs/dbraw/zinc/91/31/33/1057913133.db2.gz KEMQARZQAXCCAF-UHFFFAOYSA-N 0 3 311.425 4.310 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc3sccc3c2)c1 ZINC001244301896 1057927723 /nfs/dbraw/zinc/92/77/23/1057927723.db2.gz RDHMSIGXTCZYBS-UHFFFAOYSA-N 0 3 312.438 4.042 20 0 DIADHN Cc1cc(F)cc(-c2ncccc2CN2CCCC2)c1Cl ZINC001244356270 1057941878 /nfs/dbraw/zinc/94/18/78/1057941878.db2.gz UNJJNTBDFIFONM-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN Nc1ccnc2cc(-c3cc(OC(F)(F)F)ccc3O)ccc12 ZINC001244462013 1057965520 /nfs/dbraw/zinc/96/55/20/1057965520.db2.gz RFROBRZMPXQATH-UHFFFAOYSA-N 0 3 320.270 4.088 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1cnccc1C[NH+]1CCCCC1 ZINC001244470908 1057968740 /nfs/dbraw/zinc/96/87/40/1057968740.db2.gz BRYNZJPGINCACM-UHFFFAOYSA-N 0 3 302.805 4.094 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2cc(C)c(F)c([O-])c2)nc1 ZINC001244508124 1057980615 /nfs/dbraw/zinc/98/06/15/1057980615.db2.gz OFTKMCGLBJDSMW-KRWDZBQOSA-N 0 3 314.404 4.449 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2cc(C)c(F)c([O-])c2)nc1 ZINC001244508124 1057980618 /nfs/dbraw/zinc/98/06/18/1057980618.db2.gz OFTKMCGLBJDSMW-KRWDZBQOSA-N 0 3 314.404 4.449 20 0 DIADHN COc1ccc(-c2cc(C)c(F)c([O-])c2)cc1C[NH+]1CCCC1 ZINC001244525804 1057986158 /nfs/dbraw/zinc/98/61/58/1057986158.db2.gz SQNLBGPDUSTOCB-UHFFFAOYSA-N 0 3 315.388 4.111 20 0 DIADHN Cc1cc(-c2cncc(C[NH+]3CCCC3)c2)cc(Cl)c1[O-] ZINC001244550602 1057993619 /nfs/dbraw/zinc/99/36/19/1057993619.db2.gz GGZGYQCADSLZEP-UHFFFAOYSA-N 0 3 302.805 4.012 20 0 DIADHN Cc1cc(-c2ccc([C@@H]3CCC[NH2+]C3)cc2)cc(Cl)c1[O-] ZINC001244550316 1057993629 /nfs/dbraw/zinc/99/36/29/1057993629.db2.gz AESVKFJNMKAPEB-OAHLLOKOSA-N 0 3 301.817 4.488 20 0 DIADHN CC[NH+](CC)Cc1ccncc1-c1cc(C)c([O-])c(Cl)c1 ZINC001244553829 1057994366 /nfs/dbraw/zinc/99/43/66/1057994366.db2.gz JXLRFRCYSARDHC-UHFFFAOYSA-N 0 3 304.821 4.258 20 0 DIADHN COc1ccc(-c2cc(C)c([O-])c(Cl)c2)c(C[NH+](C)C)c1 ZINC001244556599 1057994933 /nfs/dbraw/zinc/99/49/33/1057994933.db2.gz WZDNIZJZDWDUAC-UHFFFAOYSA-N 0 3 305.805 4.091 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(CO)cc2C)cc1F ZINC001244583840 1058001659 /nfs/dbraw/zinc/00/16/59/1058001659.db2.gz GVAAORGPFONYEJ-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN Nc1nc2cc(-c3ccc(NCc4ccccc4)cc3)ccc2[nH]1 ZINC001244643014 1058018262 /nfs/dbraw/zinc/01/82/62/1058018262.db2.gz KATXPPHWBACISZ-UHFFFAOYSA-N 0 3 314.392 4.424 20 0 DIADHN Nc1nc2ccc(-c3ccc(NCc4ccccc4)cc3)cc2[nH]1 ZINC001244643014 1058018265 /nfs/dbraw/zinc/01/82/65/1058018265.db2.gz KATXPPHWBACISZ-UHFFFAOYSA-N 0 3 314.392 4.424 20 0 DIADHN CCc1cc(-c2ccc3c(c2)C(C(C)C)=NCC3)ccc1CO ZINC001244646828 1058018976 /nfs/dbraw/zinc/01/89/76/1058018976.db2.gz IPCHDNAPPRCHKF-UHFFFAOYSA-N 0 3 307.437 4.410 20 0 DIADHN COc1cc(Oc2ccccc2)ccc1-c1ccc(CN)nc1 ZINC001244876288 1058062748 /nfs/dbraw/zinc/06/27/48/1058062748.db2.gz CFBCHFKCVZYPPR-UHFFFAOYSA-N 0 3 306.365 4.008 20 0 DIADHN COc1cc(Oc2ccccc2)ccc1-c1ccnc(CN)c1 ZINC001244877516 1058062991 /nfs/dbraw/zinc/06/29/91/1058062991.db2.gz QDWKDKWHOAOWSK-UHFFFAOYSA-N 0 3 306.365 4.008 20 0 DIADHN COc1cc(Oc2ccccc2)ccc1-c1nccc(N)c1C ZINC001244876804 1058063078 /nfs/dbraw/zinc/06/30/78/1058063078.db2.gz YCOXSFQHHCIKFT-UHFFFAOYSA-N 0 3 306.365 4.440 20 0 DIADHN Clc1ccnc(Cl)c1-c1cncc(CN2CCCC2)c1 ZINC001244939682 1058076082 /nfs/dbraw/zinc/07/60/82/1058076082.db2.gz DGCLZGMJCDFPIC-UHFFFAOYSA-N 0 3 308.212 4.046 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cccc(C3CCC3)c2)c1 ZINC001244979818 1058085208 /nfs/dbraw/zinc/08/52/08/1058085208.db2.gz KIZDYQIPMUHHJL-UHFFFAOYSA-N 0 3 310.441 4.094 20 0 DIADHN Cc1c(F)ccc(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001244983074 1058086350 /nfs/dbraw/zinc/08/63/50/1058086350.db2.gz ZVNDQWZFLMSGNS-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Oc1ccc(-c2cnccc2CN2CCCCC2)c(Cl)c1 ZINC001245083593 1058109163 /nfs/dbraw/zinc/10/91/63/1058109163.db2.gz MWDLIFOHDVULLP-UHFFFAOYSA-N 0 3 302.805 4.094 20 0 DIADHN CC(C)c1ccc(-c2cnccc2/C=C/N(C)C)c(Cl)n1 ZINC001245103779 1058114778 /nfs/dbraw/zinc/11/47/78/1058114778.db2.gz MSXUFPWBTCHEQW-CSKARUKUSA-N 0 3 301.821 4.453 20 0 DIADHN Cc1ccc(Oc2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001245383260 1058157788 /nfs/dbraw/zinc/15/77/88/1058157788.db2.gz OTEYRMAZOFXSNA-UHFFFAOYSA-N 0 3 316.404 4.495 20 0 DIADHN CN(C)c1ccnc(-c2cccc(SCC(F)(F)F)c2)c1 ZINC001245411318 1058161884 /nfs/dbraw/zinc/16/18/84/1058161884.db2.gz PCVSWYQMKGVTAO-UHFFFAOYSA-N 0 3 312.360 4.469 20 0 DIADHN Nc1nc2cc(-c3cccc(SCC(F)(F)F)c3)ccc2[nH]1 ZINC001245409929 1058161943 /nfs/dbraw/zinc/16/19/43/1058161943.db2.gz XTHLUMPMEMDGDI-UHFFFAOYSA-N 0 3 323.343 4.467 20 0 DIADHN Nc1nc2ccc(-c3cccc(SCC(F)(F)F)c3)cc2[nH]1 ZINC001245409929 1058161944 /nfs/dbraw/zinc/16/19/44/1058161944.db2.gz XTHLUMPMEMDGDI-UHFFFAOYSA-N 0 3 323.343 4.467 20 0 DIADHN Cc1cc2nccc(C3=CC[C@@H](N4CCOCC4)CC3)c2cc1C ZINC001245426228 1058164542 /nfs/dbraw/zinc/16/45/42/1058164542.db2.gz BKCREUKOFNXRIX-GOSISDBHSA-N 0 3 322.452 4.120 20 0 DIADHN CCSc1ccc(C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001245424850 1058164701 /nfs/dbraw/zinc/16/47/01/1058164701.db2.gz OHYNLFGQJSNOIO-KRWDZBQOSA-N 0 3 303.471 4.067 20 0 DIADHN c1cc(-c2ccncc2)cc(C2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001245428844 1058164763 /nfs/dbraw/zinc/16/47/63/1058164763.db2.gz RSUJGUJWTLNBKI-OAQYLSRUSA-N 0 3 320.436 4.017 20 0 DIADHN Cc1cc(OC(C)C)ccc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245426144 1058164856 /nfs/dbraw/zinc/16/48/56/1058164856.db2.gz HQUOXVXTSVTRBY-GOSISDBHSA-N 0 3 315.457 4.050 20 0 DIADHN FC(F)(F)c1cnccc1-c1cncc(CN2CCCCC2)c1 ZINC001245446624 1058168188 /nfs/dbraw/zinc/16/81/88/1058168188.db2.gz TUVMLDWSZBVPMX-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN COC(=O)c1cc(-c2cccc(C3=NCCC3)c2)c(C)cc1C ZINC001245556263 1058230823 /nfs/dbraw/zinc/23/08/23/1058230823.db2.gz RFXVQOLWEDOFRK-UHFFFAOYSA-N 0 3 307.393 4.340 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CCC[C@@H](C)[C@@H]2C)o1 ZINC001527769282 1058234692 /nfs/dbraw/zinc/23/46/92/1058234692.db2.gz POZWHIAWWUORJQ-IJEWVQPXSA-N 0 3 307.434 4.103 20 0 DIADHN Cc1ccc(CN(CCN(C)C)C(=O)CCCCC(C)(C)C)o1 ZINC001517372363 1058250214 /nfs/dbraw/zinc/25/02/14/1058250214.db2.gz HJJGQGYNLIRXMS-UHFFFAOYSA-N 0 3 322.493 4.085 20 0 DIADHN c1cc2cccc(-c3cccc4cc([C@H]5CNCCO5)cn43)c2o1 ZINC001245617490 1058288738 /nfs/dbraw/zinc/28/87/38/1058288738.db2.gz XCXOBVGZEKMNCN-LJQANCHMSA-N 0 3 318.376 4.013 20 0 DIADHN C[C@H](N[C@H]1CCCO[C@@H]1c1ccc(Cl)cc1)c1ccccn1 ZINC001549775803 1058304320 /nfs/dbraw/zinc/30/43/20/1058304320.db2.gz TXTOFJYTUXKRLH-DOPJRALCSA-N 0 3 316.832 4.306 20 0 DIADHN Cc1nnc(CN2[C@H](C)C[C@@H](c3ccccc3)C2(C)C)s1 ZINC001550156186 1058318617 /nfs/dbraw/zinc/31/86/17/1058318617.db2.gz FKSHAUYXJWXQQV-DOMZBBRYSA-N 0 3 301.459 4.003 20 0 DIADHN Oc1ccc2c(c1)[C@H](N[C@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243259 1058326850 /nfs/dbraw/zinc/32/68/50/1058326850.db2.gz JKBVHEQLGHBYRD-UXHICEINSA-N 0 3 308.425 4.296 20 0 DIADHN Cc1nocc1[C@H](C)N[C@H]1CCCO[C@H]1c1ccc(Cl)cc1 ZINC001550520673 1058345216 /nfs/dbraw/zinc/34/52/16/1058345216.db2.gz CSKZVMIHWAGEOT-GOPGUHFVSA-N 0 3 320.820 4.207 20 0 DIADHN CCOc1cc(F)c(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001245703780 1058371562 /nfs/dbraw/zinc/37/15/62/1058371562.db2.gz IGSWOHXFXAPCKF-UHFFFAOYSA-N 0 3 308.784 4.001 20 0 DIADHN CC(C)Oc1cc(F)c(-c2cccc(CN(C)C)n2)cc1Cl ZINC001245707429 1058379880 /nfs/dbraw/zinc/37/98/80/1058379880.db2.gz PDSCPDIGIHJQKA-UHFFFAOYSA-N 0 3 322.811 4.390 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@@H](C)c1cncc(C)c1 ZINC001551394490 1058389826 /nfs/dbraw/zinc/38/98/26/1058389826.db2.gz UXOJNYRNCGUJCB-ZQGRQUNCSA-N 0 3 310.441 4.373 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001528334175 1058396369 /nfs/dbraw/zinc/39/63/69/1058396369.db2.gz BUWLPEDPFFKPDM-WMZOPIPTSA-N 0 3 316.489 4.112 20 0 DIADHN COc1c(Cl)ccc(F)c1-c1ccc2c(c1)CN(C)CC2 ZINC001245734767 1058414864 /nfs/dbraw/zinc/41/48/64/1058414864.db2.gz YPMUWZJQJFBVGI-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN Fc1c(Cl)ccc(-c2ncccc2CN2CCCC2)c1F ZINC001245738161 1058418391 /nfs/dbraw/zinc/41/83/91/1058418391.db2.gz BHNWTCHDERQVEY-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001528502894 1058440725 /nfs/dbraw/zinc/44/07/25/1058440725.db2.gz FTUHTCGRBXUZOP-LPHOPBHVSA-N 0 3 310.526 4.362 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NC1(c2ccccn2)CCC1 ZINC001552972619 1058460721 /nfs/dbraw/zinc/46/07/21/1058460721.db2.gz LEOSVRZBQQSZBM-KXBFYZLASA-N 0 3 322.452 4.383 20 0 DIADHN COc1c(F)c(Cl)ccc1-c1cnccc1/C=C/N(C)C ZINC001245801302 1058483815 /nfs/dbraw/zinc/48/38/15/1058483815.db2.gz FRAVKWGONCDXGA-VQHVLOKHSA-N 0 3 306.768 4.082 20 0 DIADHN COc1c(F)c(Cl)ccc1-c1cncc(CN2CCCC2)c1 ZINC001245804408 1058487709 /nfs/dbraw/zinc/48/77/09/1058487709.db2.gz JOUCODVXRWGHBE-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CC1(C)CCC(c2ccc(-c3ncc4c(n3)CCNC4)cc2)CC1 ZINC001245820115 1058510622 /nfs/dbraw/zinc/51/06/22/1058510622.db2.gz YERMLTSXKAORTL-UHFFFAOYSA-N 0 3 321.468 4.473 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NCc1cc2cnccc2o1 ZINC001553969118 1058512920 /nfs/dbraw/zinc/51/29/20/1058512920.db2.gz RQIQUAYQUSPBBW-YJYMSZOUSA-N 0 3 322.408 4.250 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NCc1cc2cnccc2o1 ZINC001553969116 1058513562 /nfs/dbraw/zinc/51/35/62/1058513562.db2.gz RQIQUAYQUSPBBW-DJJJIMSYSA-N 0 3 322.408 4.250 20 0 DIADHN CC(C)c1ccc(/C=C\CC(=O)N(CCN(C)C)C(C)C)cc1 ZINC001520059408 1058530192 /nfs/dbraw/zinc/53/01/92/1058530192.db2.gz CTNJITKNFSZGDI-FPLPWBNLSA-N 0 3 316.489 4.012 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001520125489 1058544251 /nfs/dbraw/zinc/54/42/51/1058544251.db2.gz CQQMAOVRXXDTSK-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001520125490 1058546221 /nfs/dbraw/zinc/54/62/21/1058546221.db2.gz CQQMAOVRXXDTSK-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN COc1cc(-c2ccc3c(N)ccnc3c2)c(F)c(F)c1Cl ZINC001245854404 1058560090 /nfs/dbraw/zinc/56/00/90/1058560090.db2.gz CRLRBAXOEHDFOC-UHFFFAOYSA-N 0 3 320.726 4.424 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@H](C)N3CCCCCC3)cc2c1C ZINC001556265409 1058568318 /nfs/dbraw/zinc/56/83/18/1058568318.db2.gz YLAQPOUMZFRHII-AWEZNQCLSA-N 0 3 314.429 4.253 20 0 DIADHN CCc1ccc(N(CC(C)C)C(=O)[C@H](CC)N(CC)CC)cc1 ZINC001556483612 1058598770 /nfs/dbraw/zinc/59/87/70/1058598770.db2.gz XQQPMXWNURGEBN-IBGZPJMESA-N 0 3 318.505 4.358 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)c1cccc(C(C)C)c1 ZINC001556566208 1058614096 /nfs/dbraw/zinc/61/40/96/1058614096.db2.gz KHLRNDJGVFUCFX-UHFFFAOYSA-N 0 3 316.489 4.148 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC001557081963 1058695814 /nfs/dbraw/zinc/69/58/14/1058695814.db2.gz LKGSNJSYOWISSN-DLBZAZTESA-N 0 3 310.526 4.172 20 0 DIADHN CCC[C@H](C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979264 1058724653 /nfs/dbraw/zinc/72/46/53/1058724653.db2.gz JUUAFKCRFPESCN-YOEHRIQHSA-N 0 3 324.896 4.275 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)C[C@@H](C)CCC=C(C)C)CC1 ZINC001557293366 1058728140 /nfs/dbraw/zinc/72/81/40/1058728140.db2.gz NXLHZNYWNDTTKX-KRWDZBQOSA-N 0 3 308.510 4.092 20 0 DIADHN O=C(Nc1ccc2c(c1)C=CCCC2)[C@H]1CCCN1C1CCCC1 ZINC001557518974 1058762081 /nfs/dbraw/zinc/76/20/81/1058762081.db2.gz ZPJNQNKRWWLQIF-HXUWFJFHSA-N 0 3 324.468 4.382 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@H]1CCN1C1CCCC1 ZINC001557559614 1058767619 /nfs/dbraw/zinc/76/76/19/1058767619.db2.gz AGENWLNQBZWZMP-PKOBYXMFSA-N 0 3 322.537 4.458 20 0 DIADHN CCCCCC[C@@H](CC)CN(C)C(=O)CN1CCC(C)CC1 ZINC001557647988 1058780858 /nfs/dbraw/zinc/78/08/58/1058780858.db2.gz DQFCPZPFDDNYLA-GOSISDBHSA-N 0 3 310.526 4.173 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2cccc(C)c2Cl)o1 ZINC001530683503 1059116612 /nfs/dbraw/zinc/11/66/12/1059116612.db2.gz YYUNZWKUJSUSFF-UHFFFAOYSA-N 0 3 321.804 4.302 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCc2cc(N)ccc2C1 ZINC001246887227 1059155579 /nfs/dbraw/zinc/15/55/79/1059155579.db2.gz KPPPUNCMIHKMAK-LBPRGKRZSA-N 0 3 318.823 4.051 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC001530839147 1059161657 /nfs/dbraw/zinc/16/16/57/1059161657.db2.gz IVYNEBSHQOZMON-AEFFLSMTSA-N 0 3 314.473 4.036 20 0 DIADHN Cc1cccc(OC(=O)[C@@H]2CCCN(Cc3ccccc3)C2)c1C ZINC001530847814 1059164061 /nfs/dbraw/zinc/16/40/61/1059164061.db2.gz RLQFBQOWBAXFDW-LJQANCHMSA-N 0 3 323.436 4.121 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCc2c(N)cccc2C1 ZINC001246934716 1059184912 /nfs/dbraw/zinc/18/49/12/1059184912.db2.gz PCUJSCGZECLZGG-GFCCVEGCSA-N 0 3 318.823 4.051 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523468808 1059249835 /nfs/dbraw/zinc/24/98/35/1059249835.db2.gz MTZPTQFQRUSQLP-NBMJBFSESA-N 0 3 316.489 4.010 20 0 DIADHN CCC(CC)CN(CC)Cc1cc(C(=O)OC)ccc1Cl ZINC001559481841 1059256708 /nfs/dbraw/zinc/25/67/08/1059256708.db2.gz GMKINWHGEPXGRN-UHFFFAOYSA-N 0 3 311.853 4.385 20 0 DIADHN CC(C)CCOCCN(C)Cc1cc(Cl)cc(Cl)c1 ZINC001559487243 1059259867 /nfs/dbraw/zinc/25/98/67/1059259867.db2.gz WVOGYGCQWNSDFH-UHFFFAOYSA-N 0 3 304.261 4.488 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N(C)CCN(C)Cc1ccccc1 ZINC001559487966 1059260434 /nfs/dbraw/zinc/26/04/34/1059260434.db2.gz SQGMLENGCTZROO-HNNXBMFYSA-N 0 3 318.411 4.090 20 0 DIADHN CCNCc1nc2ccc(OCc3ccccc3)cc2n1C1CC1 ZINC001247043456 1059262346 /nfs/dbraw/zinc/26/23/46/1059262346.db2.gz YVTRJYXNRRABPF-UHFFFAOYSA-N 0 3 321.424 4.060 20 0 DIADHN CC[C@@H](N)c1nc2ccc(OCc3ccccc3)cc2n1CC ZINC001247042596 1059262988 /nfs/dbraw/zinc/26/29/88/1059262988.db2.gz LPDNLTFNGYTADH-MRXNPFEDSA-N 0 3 309.413 4.045 20 0 DIADHN CC(C)N(Cc1cccs1)Cc1c[nH]c(=O)c2ccccc12 ZINC001559500431 1059283844 /nfs/dbraw/zinc/28/38/44/1059283844.db2.gz AAQCIXXWJURACU-UHFFFAOYSA-N 0 3 312.438 4.413 20 0 DIADHN COCCN(Cc1ccc(C)cc1C)Cc1ccc(F)cc1C ZINC001559503410 1059286979 /nfs/dbraw/zinc/28/69/79/1059286979.db2.gz BEGQVANKDNCLJT-UHFFFAOYSA-N 0 3 315.432 4.400 20 0 DIADHN CCOC(=O)c1ncc(CN(CCC(C)C)C2CCCC2)s1 ZINC001559504031 1059288696 /nfs/dbraw/zinc/28/86/96/1059288696.db2.gz HOFAUIUVLDHZAW-UHFFFAOYSA-N 0 3 324.490 4.111 20 0 DIADHN CCOc1ccc2c(c1)CN(CCc1ccccc1Cl)CC2 ZINC001559502953 1059289252 /nfs/dbraw/zinc/28/92/52/1059289252.db2.gz SEYOTCOTMLZZKY-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)C[C@H](O)c1cccc(C)c1 ZINC001559505231 1059291070 /nfs/dbraw/zinc/29/10/70/1059291070.db2.gz DXVPRWSDBXKNFT-IBGZPJMESA-N 0 3 319.395 4.219 20 0 DIADHN CCCN(Cc1ccccc1Br)[C@H](C)CCOC ZINC001559505564 1059291645 /nfs/dbraw/zinc/29/16/45/1059291645.db2.gz KHBOXPPYQZKJKJ-CYBMUJFWSA-N 0 3 314.267 4.086 20 0 DIADHN CCCN(Cc1ccccc1Br)[C@@H](C)CCOC ZINC001559505566 1059293414 /nfs/dbraw/zinc/29/34/14/1059293414.db2.gz KHBOXPPYQZKJKJ-ZDUSSCGKSA-N 0 3 314.267 4.086 20 0 DIADHN Cc1cccc([C@H](O)CN(Cc2ccccc2F)C(C)C)c1 ZINC001559507380 1059297920 /nfs/dbraw/zinc/29/79/20/1059297920.db2.gz PTBQBJQFYYRHHY-LJQANCHMSA-N 0 3 301.405 4.078 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3ccccc3C)C2)c(Cl)n1 ZINC001559511945 1059305065 /nfs/dbraw/zinc/30/50/65/1059305065.db2.gz KDLZPZZWEGDIKD-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN COc1cccc(SCCN2CCc3c(C)cccc3C2)c1 ZINC001559530425 1059330338 /nfs/dbraw/zinc/33/03/38/1059330338.db2.gz YNRDSAAFBPHDQM-UHFFFAOYSA-N 0 3 313.466 4.154 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CC1CCC(C)(C)CC1 ZINC001559528906 1059330880 /nfs/dbraw/zinc/33/08/80/1059330880.db2.gz WWSDUNCGMKZEDP-OAHLLOKOSA-N 0 3 324.509 4.144 20 0 DIADHN CCCN(CCc1cccc(F)c1)[C@H](C)c1snnc1C ZINC001559534912 1059333647 /nfs/dbraw/zinc/33/36/47/1059333647.db2.gz ZXLUZVLNCBUPQX-CYBMUJFWSA-N 0 3 307.438 4.001 20 0 DIADHN CN(CCC1=CCCCC1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC001559537886 1059341068 /nfs/dbraw/zinc/34/10/68/1059341068.db2.gz IZJTZGSVSQGCMY-LJQANCHMSA-N 0 3 312.457 4.004 20 0 DIADHN Cc1cc(-c2noc(CN3C[C@@H](C)CCC[C@H]3C)n2)ccc1F ZINC001559546874 1059353030 /nfs/dbraw/zinc/35/30/30/1059353030.db2.gz HLTFQKXOOJINBA-GXTWGEPZSA-N 0 3 317.408 4.195 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H](C)CCC[C@H]2C)s1 ZINC001559547404 1059353840 /nfs/dbraw/zinc/35/38/40/1059353840.db2.gz VDPWNJRIJWOVPB-NXEZZACHSA-N 0 3 306.397 4.481 20 0 DIADHN Cc1cc(-c2noc(CN3C[C@H](C)CCC[C@@H]3C)n2)ccc1F ZINC001559546876 1059356655 /nfs/dbraw/zinc/35/66/55/1059356655.db2.gz HLTFQKXOOJINBA-OCCSQVGLSA-N 0 3 317.408 4.195 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2CCC(=O)OC(C)(C)C)o1 ZINC001559551284 1059365392 /nfs/dbraw/zinc/36/53/92/1059365392.db2.gz BNMXGZFQTXKWQE-INIZCTEOSA-N 0 3 321.461 4.491 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)nc1 ZINC001559563859 1059386423 /nfs/dbraw/zinc/38/64/23/1059386423.db2.gz DUJQOKNWTYZYCS-UZLBHIALSA-N 0 3 310.441 4.106 20 0 DIADHN Cc1cccc(CCCN2C[C@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001559565482 1059391251 /nfs/dbraw/zinc/39/12/51/1059391251.db2.gz LHYVMLJXAAMMKG-GHTZIAJQSA-N 0 3 309.453 4.390 20 0 DIADHN CCc1ccc(CCN2C[C@@H](C)OC[C@H]2c2ccccc2)cc1 ZINC001559565560 1059391660 /nfs/dbraw/zinc/39/16/60/1059391660.db2.gz NDXGVYQIJOBSEG-UTKZUKDTSA-N 0 3 309.453 4.254 20 0 DIADHN CC[C@@H](C(=O)NC[C@@H]1N(C)CCCC1(C)C)C1CCC(C)CC1 ZINC001531717337 1059393133 /nfs/dbraw/zinc/39/31/33/1059393133.db2.gz QCWUCMRTIHYOTR-HIEASXQVSA-N 0 3 322.537 4.076 20 0 DIADHN Cc1cccc(CCCN2C[C@@H](C)OC[C@H]2c2ccccc2)c1 ZINC001559565483 1059393600 /nfs/dbraw/zinc/39/36/00/1059393600.db2.gz LHYVMLJXAAMMKG-NQIIRXRSSA-N 0 3 309.453 4.390 20 0 DIADHN Cc1cccc(CCCN2C[C@H](C)OC[C@H]2c2ccccc2)c1 ZINC001559565484 1059393823 /nfs/dbraw/zinc/39/38/23/1059393823.db2.gz LHYVMLJXAAMMKG-RXVVDRJESA-N 0 3 309.453 4.390 20 0 DIADHN CCC[C@]1(C)CCCN(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC001559567860 1059399142 /nfs/dbraw/zinc/39/91/42/1059399142.db2.gz LOFZRBCJVLXOFL-DLBZAZTESA-N 0 3 313.844 4.415 20 0 DIADHN CCCCN(CCCC)Cc1ccn(-c2ccccc2F)n1 ZINC001559572143 1059401229 /nfs/dbraw/zinc/40/12/29/1059401229.db2.gz OBJNCXOCQKGSBY-UHFFFAOYSA-N 0 3 303.425 4.414 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001559575319 1059407423 /nfs/dbraw/zinc/40/74/23/1059407423.db2.gz BCQXNONJIGTALE-MRXNPFEDSA-N 0 3 319.399 4.161 20 0 DIADHN CC(C)C[C@@H]1CCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001559575553 1059407689 /nfs/dbraw/zinc/40/76/89/1059407689.db2.gz HCPAYWASYBPESJ-INIZCTEOSA-N 0 3 310.441 4.146 20 0 DIADHN CC(C)C[C@H]1CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559575663 1059408164 /nfs/dbraw/zinc/40/81/64/1059408164.db2.gz JGSXMGWLMULPRY-UONOGXRCSA-N 0 3 308.853 4.035 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCC[C@@H]1C(C)(C)C ZINC001559576257 1059408866 /nfs/dbraw/zinc/40/88/66/1059408866.db2.gz WEKMHWKHQAWZKF-OAHLLOKOSA-N 0 3 308.853 4.097 20 0 DIADHN c1nnn(-c2ccccc2)c1CN1CCCC2(C1)CCCCCC2 ZINC001559576534 1059409509 /nfs/dbraw/zinc/40/95/09/1059409509.db2.gz CWWSJMNMFNINCC-UHFFFAOYSA-N 0 3 324.472 4.204 20 0 DIADHN CC(C)N1CCC[C@H]1c1nc(-c2cccc3ccccc32)no1 ZINC001247367834 1059410591 /nfs/dbraw/zinc/41/05/91/1059410591.db2.gz DKTKNXPHDYYPFY-KRWDZBQOSA-N 0 3 307.397 4.435 20 0 DIADHN Fc1cccc([C@H]2CCN2CC2([C@@H]3CCCCO3)CCC2)c1 ZINC001559581877 1059414534 /nfs/dbraw/zinc/41/45/34/1059414534.db2.gz SKYVWBHHQFPNEV-MSOLQXFVSA-N 0 3 303.421 4.312 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1ccc(-c2ccccn2)nc1 ZINC001559584096 1059415574 /nfs/dbraw/zinc/41/55/74/1059415574.db2.gz ZXYAXBAETTWCLJ-HNNXBMFYSA-N 0 3 323.465 4.268 20 0 DIADHN C[C@@H](N(C)Cc1cc(Br)ccc1F)C1(C)CC1 ZINC001559587891 1059421367 /nfs/dbraw/zinc/42/13/67/1059421367.db2.gz LWXYYNZRICKTQJ-SNVBAGLBSA-N 0 3 300.215 4.209 20 0 DIADHN COc1ncccc1CN(C)[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC001559590757 1059426038 /nfs/dbraw/zinc/42/60/38/1059426038.db2.gz PSEFZXLCKXBLRF-KGLIPLIRSA-N 0 3 318.848 4.368 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C)C2CCC(C)(C)CC2)c1 ZINC001559595226 1059429382 /nfs/dbraw/zinc/42/93/82/1059429382.db2.gz BGCTZNGEHJHFQI-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCOC1CCCCC1)CCC2 ZINC001559622890 1059452472 /nfs/dbraw/zinc/45/24/72/1059452472.db2.gz ZRWWDBZCLHFVIS-MRXNPFEDSA-N 0 3 308.491 4.110 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC[C@H]1CCC[C@H](C)C1 ZINC001559625220 1059453662 /nfs/dbraw/zinc/45/36/62/1059453662.db2.gz LKCQBVNLSFCJDZ-DZGCQCFKSA-N 0 3 305.491 4.352 20 0 DIADHN CO[C@H](CN([C@@H](C)c1ccco1)C1CC1)c1ccc(F)cc1 ZINC001559624362 1059453866 /nfs/dbraw/zinc/45/38/66/1059453866.db2.gz HIBWTBBHLMBOHP-SCLBCKFNSA-N 0 3 303.377 4.332 20 0 DIADHN CC(C)COCCN(Cc1ccc(F)cc1F)C1CCCC1 ZINC001559635334 1059463614 /nfs/dbraw/zinc/46/36/14/1059463614.db2.gz SUZVVENQRMXRLO-UHFFFAOYSA-N 0 3 311.416 4.382 20 0 DIADHN COc1ccccc1C(C)(C)NCCO[C@H]1CCCC[C@@H]1C ZINC001559645632 1059470773 /nfs/dbraw/zinc/47/07/73/1059470773.db2.gz NTQYNHZOCHNKHS-RDJZCZTQSA-N 0 3 305.462 4.115 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(F)cc2F)no1 ZINC001247417829 1059471117 /nfs/dbraw/zinc/47/11/17/1059471117.db2.gz AEVOFUPMLFWTCV-NSHDSACASA-N 0 3 309.360 4.198 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(CC)c2)no1 ZINC001247418144 1059471282 /nfs/dbraw/zinc/47/12/82/1059471282.db2.gz XGZROYVQXHNYMY-AWEZNQCLSA-N 0 3 301.434 4.482 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cccc(Br)n1 ZINC001559647255 1059471840 /nfs/dbraw/zinc/47/18/40/1059471840.db2.gz CQPRLAZCRGCZJJ-JSGCOSHPSA-N 0 3 311.267 4.245 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1ccc(OCC)cc1 ZINC001559649556 1059476253 /nfs/dbraw/zinc/47/62/53/1059476253.db2.gz JENHUBZKICQQHU-QGZVFWFLSA-N 0 3 318.461 4.069 20 0 DIADHN Brc1cccnc1CN1CCCC12CCCCC2 ZINC001559657493 1059485852 /nfs/dbraw/zinc/48/58/52/1059485852.db2.gz JBRRSWMHEKGUPM-UHFFFAOYSA-N 0 3 309.251 4.143 20 0 DIADHN C[C@H]1CCCC[C@H]1CN1CCN(c2ccc(F)cc2Cl)CC1 ZINC001559659726 1059488349 /nfs/dbraw/zinc/48/83/49/1059488349.db2.gz YDAUTTKOHWALBJ-GJZGRUSLSA-N 0 3 324.871 4.427 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCOC1CCSCC1 ZINC001559658461 1059489882 /nfs/dbraw/zinc/48/98/82/1059489882.db2.gz JSRWXROICUTDBK-ZIAGYGMSSA-N 0 3 323.477 4.046 20 0 DIADHN Clc1ccccc1C1=CCN(CCC[C@@H]2CCOC2)CC1 ZINC001559659579 1059490173 /nfs/dbraw/zinc/49/01/73/1059490173.db2.gz RWUQNNLBYFYEHF-OAHLLOKOSA-N 0 3 305.849 4.246 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCOCc1ccccc1 ZINC001559659072 1059490205 /nfs/dbraw/zinc/49/02/05/1059490205.db2.gz ZKEWMTCSKVXYQB-HZPDHXFCSA-N 0 3 313.416 4.350 20 0 DIADHN CCSc1ccccc1CN(C)Cc1cccc(N(C)C)c1 ZINC001559663347 1059494033 /nfs/dbraw/zinc/49/40/33/1059494033.db2.gz VMOSZQNUGNOJHW-UHFFFAOYSA-N 0 3 314.498 4.497 20 0 DIADHN Cc1ccc(-c2ccccc2-c2noc([C@H]3CCCN3)n2)cc1 ZINC001247498786 1059502486 /nfs/dbraw/zinc/50/24/86/1059502486.db2.gz UAXNHPGYSDOTMZ-QGZVFWFLSA-N 0 3 305.381 4.137 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3CCC4(CCC4)CO3)CC2)c1 ZINC001559678695 1059508171 /nfs/dbraw/zinc/50/81/71/1059508171.db2.gz ARURNPZIRVVDOJ-FQEVSTJZSA-N 0 3 311.469 4.433 20 0 DIADHN CC[C@@H]1C[C@H]1CN1CCc2cc(Br)cc(C)c2C1 ZINC001559687961 1059517625 /nfs/dbraw/zinc/51/76/25/1059517625.db2.gz CFTQEGSSKNUXSA-OCCSQVGLSA-N 0 3 308.263 4.162 20 0 DIADHN CCOC(=O)/C=C\CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001559689947 1059520489 /nfs/dbraw/zinc/52/04/89/1059520489.db2.gz AXYTZQMSCGGPNT-WLMCBFPDSA-N 0 3 307.409 4.012 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1csc(Cc2ccccc2)n1 ZINC001559688931 1059521432 /nfs/dbraw/zinc/52/14/32/1059521432.db2.gz XLHOOVYRJYFQRH-WMLDXEAASA-N 0 3 300.471 4.497 20 0 DIADHN CC1(C)CC[C@H](CNC2(c3ccc(F)cc3F)CCC2)OC1 ZINC001559694595 1059523727 /nfs/dbraw/zinc/52/37/27/1059523727.db2.gz BSMVHSANDHPKNX-CQSZACIVSA-N 0 3 309.400 4.139 20 0 DIADHN CCOC(=O)CCN[C@@H](C)c1ccccc1Oc1ccccc1 ZINC001559710239 1059537500 /nfs/dbraw/zinc/53/75/00/1059537500.db2.gz DHKRDTJUGNTQGX-HNNXBMFYSA-N 0 3 313.397 4.083 20 0 DIADHN c1cc([C@H]2CCCN2C[C@H]2CCC3(CCCCCC3)O2)ccn1 ZINC001559715075 1059541864 /nfs/dbraw/zinc/54/18/64/1059541864.db2.gz QGHNUFGEQZKREB-RTBURBONSA-N 0 3 314.473 4.491 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N1CC(C)(C)CC(C)(C)C1)c1ccccc1 ZINC001559727018 1059559175 /nfs/dbraw/zinc/55/91/75/1059559175.db2.gz HQSRTIBNJMWNHS-HZPDHXFCSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1ccc2c(c1)CCCC2 ZINC001559729693 1059563978 /nfs/dbraw/zinc/56/39/78/1059563978.db2.gz HBXWLLOWOMHWCD-INIZCTEOSA-N 0 3 308.469 4.482 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N(CCN(C)C)C1CCCC1 ZINC001532256262 1059570417 /nfs/dbraw/zinc/57/04/17/1059570417.db2.gz MGVGHIHUCAUJTF-QGZVFWFLSA-N 0 3 308.510 4.092 20 0 DIADHN Cc1ccc(-c2ccccc2)c(-c2noc([C@@H]3C[C@H]4C[C@H]4N3)n2)c1 ZINC001247621705 1059574039 /nfs/dbraw/zinc/57/40/39/1059574039.db2.gz YIJHXVQJIAIZOQ-OLMNPRSZSA-N 0 3 317.392 4.135 20 0 DIADHN Cc1cnc(C2CCN([C@H]3C[C@@H]3c3cccc(F)c3)CC2)s1 ZINC001559749269 1059583487 /nfs/dbraw/zinc/58/34/87/1059583487.db2.gz KPXQVMAYESPKLO-SJORKVTESA-N 0 3 316.445 4.326 20 0 DIADHN Cc1c(Br)cccc1CN1CCS[C@H](C)CC1 ZINC001559748773 1059583983 /nfs/dbraw/zinc/58/39/83/1059583983.db2.gz VUBUPKXQINMNRB-LLVKDONJSA-N 0 3 314.292 4.085 20 0 DIADHN CC(C)c1nsc(CN[C@H]2CCC[C@H]2Cc2ccccc2)n1 ZINC001559758848 1059593803 /nfs/dbraw/zinc/59/38/03/1059593803.db2.gz KDFBIHQOYZKPMN-HOTGVXAUSA-N 0 3 315.486 4.163 20 0 DIADHN O=C(CN[C@@H]1CCC[C@@H]1Cc1ccccc1)c1ccccc1F ZINC001559758539 1059594095 /nfs/dbraw/zinc/59/40/95/1059594095.db2.gz FCTXJIKFBSTKJD-VQIMIIECSA-N 0 3 311.400 4.009 20 0 DIADHN CC[C@](C)(O)CN(Cc1ccccc1)Cc1cc(F)cc(F)c1 ZINC001559760991 1059598170 /nfs/dbraw/zinc/59/81/70/1059598170.db2.gz GHWGPRCQEHBAAS-IBGZPJMESA-N 0 3 319.395 4.128 20 0 DIADHN CC[C@@H]1CCN(Cc2nc3ccc(Br)cc3o2)[C@H]1C ZINC001559768867 1059603114 /nfs/dbraw/zinc/60/31/14/1059603114.db2.gz JNVGMTGDONADQL-WDEREUQCSA-N 0 3 323.234 4.211 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(Cl)cc2Cl)C(C)(C)O1 ZINC001559773870 1059613308 /nfs/dbraw/zinc/61/33/08/1059613308.db2.gz VGQYKLDNYQAVJI-ZDUSSCGKSA-N 0 3 302.245 4.429 20 0 DIADHN CCN(CC1CCC1)[C@H](C)C(=O)Nc1c(C)cccc1Cl ZINC001559783838 1059617867 /nfs/dbraw/zinc/61/78/67/1059617867.db2.gz BVEODHUJGOFGCP-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)CN(C)CCC1=CCCCC1 ZINC001559781850 1059618389 /nfs/dbraw/zinc/61/83/89/1059618389.db2.gz UIJNLBJLZKIZOK-KRWDZBQOSA-N 0 3 314.473 4.028 20 0 DIADHN CC(C)N(Cc1ccn(-c2cccc(F)c2)n1)CC1CCC1 ZINC001559795570 1059628067 /nfs/dbraw/zinc/62/80/67/1059628067.db2.gz IRQQWOKEKAXSRK-UHFFFAOYSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@H](C)N(CC(=O)OC)CC1CCC(c2ccccc2)CC1 ZINC001559795642 1059628616 /nfs/dbraw/zinc/62/86/16/1059628616.db2.gz KRRGOFRUFSOLJN-MUYFXNHWSA-N 0 3 317.473 4.234 20 0 DIADHN CCC(CC)CN1CCCC[C@H]1C(=O)OCc1ccccc1 ZINC001559804198 1059641228 /nfs/dbraw/zinc/64/12/28/1059641228.db2.gz DBQHIURYJXCZET-SFHVURJKSA-N 0 3 303.446 4.021 20 0 DIADHN Cn1nccc1CN1CCCCC[C@H]1Cc1ccccc1Cl ZINC001559870300 1059689492 /nfs/dbraw/zinc/68/94/92/1059689492.db2.gz SZRKZKNASWNGNR-INIZCTEOSA-N 0 3 317.864 4.061 20 0 DIADHN COC/C(C)=C/CN1CCc2ccc(-c3ccccc3)cc2C1 ZINC001559875019 1059693351 /nfs/dbraw/zinc/69/33/51/1059693351.db2.gz IWESWKVVRDQGIA-LICLKQGHSA-N 0 3 307.437 4.304 20 0 DIADHN Cc1cc(Cl)ccc1CN(Cc1cnn(C)c1)CC(C)C ZINC001559890124 1059706703 /nfs/dbraw/zinc/70/67/03/1059706703.db2.gz DQDGAJHCAZRRPE-UHFFFAOYSA-N 0 3 305.853 4.040 20 0 DIADHN CCSCCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC001559891592 1059711009 /nfs/dbraw/zinc/71/10/09/1059711009.db2.gz MZCKMVJGTAQBJQ-HNNXBMFYSA-N 0 3 323.893 4.496 20 0 DIADHN Clc1cccc2c1CN(CC1([C@@H]3CCCCO3)CCC1)CC2 ZINC001559898311 1059712886 /nfs/dbraw/zinc/71/28/86/1059712886.db2.gz RZHIYOFUWJYPGO-SFHVURJKSA-N 0 3 319.876 4.438 20 0 DIADHN Cc1csc(CN2CCC[C@]2(C)Cc2ccc(F)cc2)n1 ZINC001559922315 1059737819 /nfs/dbraw/zinc/73/78/19/1059737819.db2.gz DTDYBJLMKRPLEY-QGZVFWFLSA-N 0 3 304.434 4.188 20 0 DIADHN Cc1cccc(C)c1CN(Cc1cnc(Cl)cn1)CC(C)C ZINC001559935463 1059749889 /nfs/dbraw/zinc/74/98/89/1059749889.db2.gz KBTWIJUDZBGFHD-UHFFFAOYSA-N 0 3 317.864 4.405 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@@H](C)[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559940931 1059755602 /nfs/dbraw/zinc/75/56/02/1059755602.db2.gz GDBYMDASDNVCQN-YCPHGPKFSA-N 0 3 321.436 4.186 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@H](c2cccnc2)C1 ZINC001559944436 1059757715 /nfs/dbraw/zinc/75/77/15/1059757715.db2.gz ONFGCNHRQLYPCX-INIZCTEOSA-N 0 3 316.395 4.172 20 0 DIADHN CC(C)O[C@@H]1CCCN(Cc2ccc3ccc(Cl)cc3n2)C1 ZINC001559968712 1059777103 /nfs/dbraw/zinc/77/71/03/1059777103.db2.gz JCJTYKASXXYJOW-QGZVFWFLSA-N 0 3 318.848 4.278 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(CCOCc2ccccc2)C1 ZINC001559971899 1059779042 /nfs/dbraw/zinc/77/90/42/1059779042.db2.gz DGOJWZCICWIKLY-HXUWFJFHSA-N 0 3 313.416 4.006 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN1CCC(C2CCCCC2)CC1 ZINC001559974572 1059779759 /nfs/dbraw/zinc/77/97/59/1059779759.db2.gz SXEOTWOPHDYFRW-KRWDZBQOSA-N 0 3 322.537 4.172 20 0 DIADHN CC1(C)CCC[C@H](CN2CCS[C@@H](c3ccccc3)C2)O1 ZINC001559974206 1059781449 /nfs/dbraw/zinc/78/14/49/1059781449.db2.gz TUCAOFCOBIMLFV-IAGOWNOFSA-N 0 3 305.487 4.124 20 0 DIADHN CCN(CCSC)Cc1cc(-c2ccc(Cl)cc2)no1 ZINC001559971965 1059782270 /nfs/dbraw/zinc/78/22/70/1059782270.db2.gz YRXVFBZIRRMEDM-UHFFFAOYSA-N 0 3 310.850 4.180 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(C(=O)OC(C)C)cc1)CC2 ZINC001559980264 1059784141 /nfs/dbraw/zinc/78/41/41/1059784141.db2.gz VRMBLOWHLNWHGY-UHFFFAOYSA-N 0 3 323.436 4.119 20 0 DIADHN FCCCCCN1CCN(c2ccc(Cl)c(Cl)c2)CC1 ZINC001559982970 1059790197 /nfs/dbraw/zinc/79/01/97/1059790197.db2.gz BWIQNQZFDHVVCW-UHFFFAOYSA-N 0 3 319.251 4.255 20 0 DIADHN Clc1ccccc1CN1CC[C@@H](c2ccc3cccnc3n2)C1 ZINC001559985122 1059791565 /nfs/dbraw/zinc/79/15/65/1059791565.db2.gz FSIUHPHDOUNQKH-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN COc1ncc(CN2CCC(C)(C)CC(C)(C)C2)cc1Cl ZINC001559987389 1059792813 /nfs/dbraw/zinc/79/28/13/1059792813.db2.gz LJOMPNIMOJIKFU-UHFFFAOYSA-N 0 3 310.869 4.392 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3C[C@H]3c3ccccc3)n2)cc1 ZINC001248366731 1059800283 /nfs/dbraw/zinc/80/02/83/1059800283.db2.gz NZVNBJFQYNEHIL-ROUUACIJSA-N 0 3 319.408 4.069 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2cc(F)ccc2F)C12CCC2 ZINC001560000815 1059808781 /nfs/dbraw/zinc/80/87/81/1059808781.db2.gz YBKXVEYGAMHXSC-HZPDHXFCSA-N 0 3 309.400 4.181 20 0 DIADHN Cc1nc(CN[C@@]2(CO)CCCC(C)(C)C2)sc1C(C)(C)C ZINC001560009352 1059819667 /nfs/dbraw/zinc/81/96/67/1059819667.db2.gz WSOANFOHKLFTFH-SFHVURJKSA-N 0 3 324.534 4.170 20 0 DIADHN COC(=O)c1cc(CN2CCCC[C@H]2CC2CCC2)cs1 ZINC001560017342 1059820565 /nfs/dbraw/zinc/82/05/65/1059820565.db2.gz HCUBRFQOHGMFQG-HNNXBMFYSA-N 0 3 307.459 4.079 20 0 DIADHN Cc1ccc(N2CCN(CC[C@H]3CCCC3(F)F)CC2)c(C)c1 ZINC001560019387 1059831022 /nfs/dbraw/zinc/83/10/22/1059831022.db2.gz YWETXBHSINFRJQ-QGZVFWFLSA-N 0 3 322.443 4.251 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@H]4CCCC[C@H]4C3)o2)cc1 ZINC001248442224 1059836788 /nfs/dbraw/zinc/83/67/88/1059836788.db2.gz KXBAJIRSZGPQOT-ZBFHGGJFSA-N 0 3 314.404 4.493 20 0 DIADHN CC(C)(C)c1cccc(CN2Cc3c[nH]nc3CC(C)(C)C2)c1 ZINC001560035385 1059847549 /nfs/dbraw/zinc/84/75/49/1059847549.db2.gz UTOGEXPFXKSQPK-UHFFFAOYSA-N 0 3 311.473 4.292 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1Cc2c[nH]nc2CC(C)(C)C1 ZINC001560035107 1059847610 /nfs/dbraw/zinc/84/76/10/1059847610.db2.gz MOFCQYMTQZTBAF-KRWDZBQOSA-N 0 3 301.409 4.084 20 0 DIADHN CN(Cc1cccc(-c2ccc(Cl)cc2)n1)[C@H]1CCCOC1 ZINC001248524235 1059866845 /nfs/dbraw/zinc/86/68/45/1059866845.db2.gz TZZQZTUHENPLFY-KRWDZBQOSA-N 0 3 316.832 4.013 20 0 DIADHN CC1(C)[C@@H](c2cccc(F)c2)CCN1Cc1ccc(F)cn1 ZINC001560057475 1059867785 /nfs/dbraw/zinc/86/77/85/1059867785.db2.gz SQNJZKRYRXCGJE-QGZVFWFLSA-N 0 3 302.368 4.128 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@H]3CCCC[C@H]3C2)c1C ZINC001248532584 1059868594 /nfs/dbraw/zinc/86/85/94/1059868594.db2.gz BWWSMHSBASLWLQ-KSZLIROESA-N 0 3 314.473 4.143 20 0 DIADHN C[C@H]1C[C@H](CCN2CCc3nc(C4CC4)ncc3C2)C[C@@H](C)C1 ZINC001560067674 1059884255 /nfs/dbraw/zinc/88/42/55/1059884255.db2.gz RGWIBAQCXOYQFO-ZSHCYNCHSA-N 0 3 313.489 4.175 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001525443983 1059892125 /nfs/dbraw/zinc/89/21/25/1059892125.db2.gz XURGRNMERVQDJR-MSOLQXFVSA-N 0 3 322.537 4.220 20 0 DIADHN Cc1ccc2c(CN3CCOC[C@H]3C3CCCCC3)cccc2n1 ZINC001248578086 1059897210 /nfs/dbraw/zinc/89/72/10/1059897210.db2.gz XFSFCGBOTKWCCY-NRFANRHFSA-N 0 3 324.468 4.324 20 0 DIADHN Cc1c(Cl)ccc2c1CN(CCCC1CCOCC1)CC2 ZINC001560089098 1059897531 /nfs/dbraw/zinc/89/75/31/1059897531.db2.gz BIIINALUEFCTSB-UHFFFAOYSA-N 0 3 307.865 4.213 20 0 DIADHN Brc1nc2ccc(CN3CCCCC3)cc2s1 ZINC001248577926 1059898593 /nfs/dbraw/zinc/89/85/93/1059898593.db2.gz VFLYWYMWWCBJKF-UHFFFAOYSA-N 0 3 311.248 4.045 20 0 DIADHN CC(C)Oc1cc(CN2C[C@@H]3C[C@H]2C[C@H]3F)cc(OC(C)C)c1 ZINC001248658689 1059929372 /nfs/dbraw/zinc/92/93/72/1059929372.db2.gz RERBOZHQIPWSSD-TXPKVOOTSA-N 0 3 321.436 4.193 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@@H]3C[C@@H]32)cc(OC(C)C)c1 ZINC001248660533 1059929532 /nfs/dbraw/zinc/92/95/32/1059929532.db2.gz UKBKCLBUKBFNIP-APWZRJJASA-N 0 3 303.446 4.245 20 0 DIADHN COc1ccccc1-c1nc(CN(C)C[C@@H](C)C(C)(C)C)co1 ZINC001560128604 1059932783 /nfs/dbraw/zinc/93/27/83/1059932783.db2.gz HPMBLWXGTTXKAB-CQSZACIVSA-N 0 3 316.445 4.464 20 0 DIADHN CN(C)c1cccc(CN2CC[C@@H](c3c(F)cccc3F)C2)c1 ZINC001560131200 1059937242 /nfs/dbraw/zinc/93/72/42/1059937242.db2.gz XMWDWKYCCGRLEZ-OAHLLOKOSA-N 0 3 316.395 4.020 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2Cc1ccc(C)o1 ZINC001278508869 1059942907 /nfs/dbraw/zinc/94/29/07/1059942907.db2.gz GMIBQHJYQFZHGM-OKZBNKHCSA-N 0 3 304.478 4.063 20 0 DIADHN Cc1ccc(CN(CCCC(C)C)CCO[C@H]2CCCCO2)o1 ZINC001560142875 1059945807 /nfs/dbraw/zinc/94/58/07/1059945807.db2.gz HADCWKKZYJWKSE-IBGZPJMESA-N 0 3 323.477 4.369 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1cccc(Cl)c1)c1ccc(F)cc1 ZINC001248724692 1059955566 /nfs/dbraw/zinc/95/55/66/1059955566.db2.gz CHSOASFEJFOYNY-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN COC(C)(C)[C@@H](C)NCc1cc(Cl)ccc1OCC1CC1 ZINC001560163387 1059958737 /nfs/dbraw/zinc/95/87/37/1059958737.db2.gz PMJRMMNRGGNAAB-GFCCVEGCSA-N 0 3 311.853 4.032 20 0 DIADHN Fc1cc(C(F)(F)F)cnc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001248789853 1059972628 /nfs/dbraw/zinc/97/26/28/1059972628.db2.gz PTCWOWCMNQNGAM-IAQYHMDHSA-N 0 3 316.342 4.394 20 0 DIADHN Fc1cc(C(F)(F)F)cnc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001248789854 1059974774 /nfs/dbraw/zinc/97/47/74/1059974774.db2.gz PTCWOWCMNQNGAM-NHYWBVRUSA-N 0 3 316.342 4.394 20 0 DIADHN c1ccc2c(c1)snc2CN1CCCSC[C@H]1C1CC1 ZINC001560188359 1059975391 /nfs/dbraw/zinc/97/53/91/1059975391.db2.gz KSSJIKQZEGCZTA-HNNXBMFYSA-N 0 3 304.484 4.014 20 0 DIADHN Cn1ccc(-c2ccc(CN3CC[C@@H]3c3ccccc3)cc2)n1 ZINC001248766693 1059975725 /nfs/dbraw/zinc/97/57/25/1059975725.db2.gz BZRXYWZYJBYMEH-HXUWFJFHSA-N 0 3 303.409 4.034 20 0 DIADHN CC(C)N(Cc1ccc(Br)n1C)Cc1ccccc1 ZINC001248773088 1059976251 /nfs/dbraw/zinc/97/62/51/1059976251.db2.gz YGPZNELYZJBMDY-UHFFFAOYSA-N 0 3 321.262 4.198 20 0 DIADHN c1ccc2c(c1)snc2CN1CCCSC[C@@H]1C1CC1 ZINC001560188363 1059977893 /nfs/dbraw/zinc/97/78/93/1059977893.db2.gz KSSJIKQZEGCZTA-OAHLLOKOSA-N 0 3 304.484 4.014 20 0 DIADHN CCCCC1(NCC(=O)Nc2ccc(Cl)c(Cl)c2)CC1 ZINC001560211286 1059988991 /nfs/dbraw/zinc/98/89/91/1059988991.db2.gz CORSBBCZTGVDRM-UHFFFAOYSA-N 0 3 315.244 4.244 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3)cc2Cl)CC[C@@H]1O ZINC001248819369 1059998441 /nfs/dbraw/zinc/99/84/41/1059998441.db2.gz XKABCSMWBHSIGU-KUHUBIRLSA-N 0 3 315.844 4.210 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3)cc2Cl)CC[C@H]1O ZINC001248819368 1059998380 /nfs/dbraw/zinc/99/83/80/1059998380.db2.gz XKABCSMWBHSIGU-IFXJQAMLSA-N 0 3 315.844 4.210 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc(F)c2cc[nH]c21 ZINC001248818034 1059998996 /nfs/dbraw/zinc/99/89/96/1059998996.db2.gz VFGUCMQNECNJCT-UHFFFAOYSA-N 0 3 310.372 4.012 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc3n2CCC3)c1 ZINC001248823559 1060000536 /nfs/dbraw/zinc/00/05/36/1060000536.db2.gz BLSMPKJNMOQZNE-FQEVSTJZSA-N 0 3 310.441 4.170 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@@H](C3CCCC3)C2)c1 ZINC001560226100 1060001902 /nfs/dbraw/zinc/00/19/02/1060001902.db2.gz KGKDFDDHOHWDCG-GOSISDBHSA-N 0 3 314.473 4.144 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccc2n1CCC2 ZINC001248824387 1060005312 /nfs/dbraw/zinc/00/53/12/1060005312.db2.gz LACLWPQROKYAIO-GOSISDBHSA-N 0 3 312.482 4.493 20 0 DIADHN CCCC1CCN(Cc2cn(C)c3ccnc(Cl)c23)CC1 ZINC001248837295 1060006796 /nfs/dbraw/zinc/00/67/96/1060006796.db2.gz XISPPAMHVSFGKJ-UHFFFAOYSA-N 0 3 305.853 4.239 20 0 DIADHN COc1ccc2c(OC)ccc(CN3C[C@@H](C)C[C@H]3CF)c2c1 ZINC001248826550 1060008642 /nfs/dbraw/zinc/00/86/42/1060008642.db2.gz SZRQLYMWQNHRRA-ZFWWWQNUSA-N 0 3 317.404 4.037 20 0 DIADHN CC(C)c1ccc2c(c1)CCN(CCOCc1ccccc1)C2 ZINC001560241955 1060009351 /nfs/dbraw/zinc/00/93/51/1060009351.db2.gz UXQDWQKVLLVXDE-UHFFFAOYSA-N 0 3 309.453 4.385 20 0 DIADHN COc1ccc(F)c(CNCCSCc2ccc(C)cc2)c1 ZINC001560245691 1060009902 /nfs/dbraw/zinc/00/99/02/1060009902.db2.gz NDRDGZDWGJGJCX-UHFFFAOYSA-N 0 3 319.445 4.166 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CC[C@@H]2CCC[C@H]2C1 ZINC001248845071 1060013657 /nfs/dbraw/zinc/01/36/57/1060013657.db2.gz YCOFZDQWQSDHMO-RYUDHWBXSA-N 0 3 323.362 4.204 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(F)cc1CNC[C@@H](C)O ZINC001560247805 1060013479 /nfs/dbraw/zinc/01/34/79/1060013479.db2.gz AUFWOANKPNVXOD-GFCCVEGCSA-N 0 3 323.795 4.050 20 0 DIADHN C[C@H]1CCc2nc(CNCCc3cccc(Cl)c3)sc2C1 ZINC001560249887 1060016342 /nfs/dbraw/zinc/01/63/42/1060016342.db2.gz OKXSNYPBYSRDQN-LBPRGKRZSA-N 0 3 320.889 4.254 20 0 DIADHN Fc1ccc(-c2nc(CN3CC4CCC(CC4)C3)co2)cc1 ZINC001248855855 1060022839 /nfs/dbraw/zinc/02/28/39/1060022839.db2.gz RRXJQOCYZVBEIY-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@H](CNC(=O)c1ccc(C(C)(C)C)s1)N1CCCC[C@H]1C ZINC001533798163 1060023631 /nfs/dbraw/zinc/02/36/31/1060023631.db2.gz XPKXEVSKCSKLNB-ZIAGYGMSSA-N 0 3 322.518 4.038 20 0 DIADHN C[C@H](CNC(=O)c1ccc(C(C)(C)C)s1)N1CCCC[C@@H]1C ZINC001533798162 1060023914 /nfs/dbraw/zinc/02/39/14/1060023914.db2.gz XPKXEVSKCSKLNB-UONOGXRCSA-N 0 3 322.518 4.038 20 0 DIADHN CNc1ccc(C)cc1CNCc1c(OC)ccc2ccccc21 ZINC001560257445 1060024329 /nfs/dbraw/zinc/02/43/29/1060024329.db2.gz SGETXWKIZMZOKM-UHFFFAOYSA-N 0 3 320.436 4.488 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccsc2)N(C)C)c(Cl)c1 ZINC001560256138 1060024584 /nfs/dbraw/zinc/02/45/84/1060024584.db2.gz BQLHLQPHNXRFAD-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN COc1ccc([C@H](NCc2ccc(F)c(OC)c2)C2CC2)cc1 ZINC001560256678 1060025749 /nfs/dbraw/zinc/02/57/49/1060025749.db2.gz CBIZJSNQEOPHGG-LJQANCHMSA-N 0 3 315.388 4.084 20 0 DIADHN c1ccc(COc2ccc(CN3CC4CCC3CC4)nc2)cc1 ZINC001248863440 1060027910 /nfs/dbraw/zinc/02/79/10/1060027910.db2.gz NUCVFXSFGUYTQL-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN CN(C)c1ccc(CNCc2ccc(-c3cccs3)cc2)cn1 ZINC001560261674 1060031999 /nfs/dbraw/zinc/03/19/99/1060031999.db2.gz SGXGOCOJTHBPAP-UHFFFAOYSA-N 0 3 323.465 4.166 20 0 DIADHN Cc1nc(CN2CC[C@@H](Cc3ccccc3)C2)ccc1Cl ZINC001248877633 1060033260 /nfs/dbraw/zinc/03/32/60/1060033260.db2.gz KEDCAKMZNTVZHZ-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN C[C@H]1CCC[C@@H](NCc2ncc(Br)cc2Cl)C1 ZINC001560264043 1060034746 /nfs/dbraw/zinc/03/47/46/1060034746.db2.gz RBVKQUYLYQZMNJ-GXSJLCMTSA-N 0 3 317.658 4.166 20 0 DIADHN CC[C@@H](CNCc1ccc(F)c(F)c1F)Oc1cccc(C)c1 ZINC001560273000 1060051134 /nfs/dbraw/zinc/05/11/34/1060051134.db2.gz ZFLWITTVMBPMBK-AWEZNQCLSA-N 0 3 323.358 4.360 20 0 DIADHN Cc1cc(N2CCN(C)CC2)ccc1NCC1CCCCCC1 ZINC001560283232 1060060526 /nfs/dbraw/zinc/06/05/26/1060060526.db2.gz FPWICFJCDINPBH-UHFFFAOYSA-N 0 3 315.505 4.129 20 0 DIADHN Fc1ccc(CNCCOc2cccc(Cl)c2)c(Cl)c1 ZINC001560282655 1060060644 /nfs/dbraw/zinc/06/06/44/1060060644.db2.gz YQJZGGGDJKGGPW-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Cc1cccc(C)c1OCCNCc1ccc(F)cc1Cl ZINC001560282608 1060061966 /nfs/dbraw/zinc/06/19/66/1060061966.db2.gz WELFAGGVOPOICY-UHFFFAOYSA-N 0 3 307.796 4.265 20 0 DIADHN Fc1ccc(Cl)cc1CNCCOc1ccc2c(c1)CCC2 ZINC001560284358 1060065091 /nfs/dbraw/zinc/06/50/91/1060065091.db2.gz QGEIZLMJQPBIJL-UHFFFAOYSA-N 0 3 319.807 4.136 20 0 DIADHN CC(C)c1ccc(CNCc2cnc3ccc(Cl)cn23)cc1 ZINC001560288420 1060065987 /nfs/dbraw/zinc/06/59/87/1060065987.db2.gz NFEGOHVPIXIEKA-UHFFFAOYSA-N 0 3 313.832 4.401 20 0 DIADHN COCc1ccc(CNCc2cccc(OCC(C)C)c2)cc1 ZINC001560288057 1060068847 /nfs/dbraw/zinc/06/88/47/1060068847.db2.gz BCJMXNCKQORDOO-UHFFFAOYSA-N 0 3 313.441 4.158 20 0 DIADHN C[C@H](COc1ccccc1F)NCc1cc(Cl)ccc1F ZINC001560289200 1060072163 /nfs/dbraw/zinc/07/21/63/1060072163.db2.gz YOPQKRHWVLKLES-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Cn1c(CN[C@H](c2ccccc2)[C@H]2CCCO2)cc2ccccc21 ZINC001560294172 1060076278 /nfs/dbraw/zinc/07/62/78/1060076278.db2.gz PLJNNSHMMGPIJU-NHCUHLMSSA-N 0 3 320.436 4.188 20 0 DIADHN CC(C)CCOCCNCc1cnc(-c2cccs2)s1 ZINC001560298175 1060083864 /nfs/dbraw/zinc/08/38/64/1060083864.db2.gz VDAMUFHQUPSYQQ-UHFFFAOYSA-N 0 3 310.488 4.024 20 0 DIADHN Fc1ccc(C2(CNCc3c(F)cccc3F)CCC2)cc1 ZINC001560303926 1060089082 /nfs/dbraw/zinc/08/90/82/1060089082.db2.gz LBBLGICFEMIBBA-UHFFFAOYSA-N 0 3 305.343 4.315 20 0 DIADHN CCCC[C@H](NCc1cnn2ccccc12)c1ccc(OC)cc1 ZINC001560313316 1060096770 /nfs/dbraw/zinc/09/67/70/1060096770.db2.gz RQZGRLQFVYBOKQ-IBGZPJMESA-N 0 3 323.440 4.364 20 0 DIADHN CCC[C@@H](CC)NCc1nc2cc(Br)ccc2o1 ZINC001560320822 1060098308 /nfs/dbraw/zinc/09/83/08/1060098308.db2.gz PCDYXKAQVCVDTN-LLVKDONJSA-N 0 3 311.223 4.259 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H]3CCc4c3cccc4Cl)ccc2O1 ZINC001560310063 1060098531 /nfs/dbraw/zinc/09/85/31/1060098531.db2.gz HQSNSNYBYKARGF-KZULUSFZSA-N 0 3 313.828 4.441 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc3ccccc3c2)cc1C ZINC001560314973 1060101881 /nfs/dbraw/zinc/10/18/81/1060101881.db2.gz OUJLVZDWANAHCW-UHFFFAOYSA-N 0 3 319.404 4.225 20 0 DIADHN c1ccc2oc(CNCCc3ccc4ccccc4c3)nc2c1 ZINC001560316422 1060102525 /nfs/dbraw/zinc/10/25/25/1060102525.db2.gz UADBZWOYIIYUTA-UHFFFAOYSA-N 0 3 302.377 4.313 20 0 DIADHN COc1cc(F)cc(CNCc2cc3c(s2)CCCC3)c1 ZINC001560318762 1060104027 /nfs/dbraw/zinc/10/40/27/1060104027.db2.gz BDSKDOAPEQHGRN-UHFFFAOYSA-N 0 3 305.418 4.064 20 0 DIADHN Cc1cc2cc(CN[C@@H]3COc4ccccc4C3)oc2cc1C ZINC001560325243 1060112406 /nfs/dbraw/zinc/11/24/06/1060112406.db2.gz ZSUGFNIIDYOTPW-KRWDZBQOSA-N 0 3 307.393 4.143 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CCCC(C)(C)C1)c1nc(C2CC2)no1 ZINC001560328813 1060114302 /nfs/dbraw/zinc/11/43/02/1060114302.db2.gz IVMXBCPFXNTHBG-HIFRSBDPSA-N 0 3 305.466 4.450 20 0 DIADHN C[C@@H](CCC1CCCCC1)NCc1cn(-c2ccccc2)nn1 ZINC001560333108 1060117612 /nfs/dbraw/zinc/11/76/12/1060117612.db2.gz JFZQXQKTNGOELT-INIZCTEOSA-N 0 3 312.461 4.106 20 0 DIADHN Cc1cc(CN2CCC3(CC[C@H](F)C3)CC2)c(Cl)nc1C ZINC001249028079 1060119916 /nfs/dbraw/zinc/11/99/16/1060119916.db2.gz HJXLUQVGGJQDHS-HNNXBMFYSA-N 0 3 310.844 4.456 20 0 DIADHN C[C@H]1CCCN(Cc2sc(Cl)c3ccccc32)[C@H]1CO ZINC001249043056 1060127693 /nfs/dbraw/zinc/12/76/93/1060127693.db2.gz IMUBYBKATDYUSZ-FZMZJTMJSA-N 0 3 309.862 4.148 20 0 DIADHN CC[C@H]1CCC[C@@H](NCc2nccc(C)c2Br)C1 ZINC001560344532 1060129984 /nfs/dbraw/zinc/12/99/84/1060129984.db2.gz BLQQRMFYCHOUKR-QWHCGFSZSA-N 0 3 311.267 4.211 20 0 DIADHN COC(C)(C)CNCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001560350740 1060135164 /nfs/dbraw/zinc/13/51/64/1060135164.db2.gz FESZVUWHJDCMEH-UHFFFAOYSA-N 0 3 320.260 4.295 20 0 DIADHN Fc1ccc(OC(F)F)c(CNCCCNc2ccccc2)c1 ZINC001560347962 1060135839 /nfs/dbraw/zinc/13/58/39/1060135839.db2.gz GAHMAAXLXSCYRU-UHFFFAOYSA-N 0 3 324.346 4.019 20 0 DIADHN CC[C@@H](NCc1ccc(SC)c(OC)c1)c1ccncc1 ZINC001560349175 1060136028 /nfs/dbraw/zinc/13/60/28/1060136028.db2.gz ZIUHEOIIOVZAQW-OAHLLOKOSA-N 0 3 302.443 4.053 20 0 DIADHN C[C@H](CNCc1nc2c(s1)CCCC2)c1ccc(F)cc1F ZINC001560353685 1060140342 /nfs/dbraw/zinc/14/03/42/1060140342.db2.gz SMVPHNMLVOAKGU-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN Cc1csc(CNC[C@H]2CCCO[C@H]2c2ccc(C)cc2)n1 ZINC001560354846 1060142364 /nfs/dbraw/zinc/14/23/64/1060142364.db2.gz FEXUTHIQEDSMAI-AEFFLSMTSA-N 0 3 316.470 4.017 20 0 DIADHN c1cc2c(cccc2-c2noc([C@@H]3CCCN3CC3CC3)n2)o1 ZINC001249073566 1060145722 /nfs/dbraw/zinc/14/57/22/1060145722.db2.gz RHRZXBFIOQXXGN-HNNXBMFYSA-N 0 3 309.369 4.030 20 0 DIADHN Cc1cc(-c2noc([C@@H]3CCCN3CC3CC3)n2)cc(C)c1F ZINC001249073869 1060146655 /nfs/dbraw/zinc/14/66/55/1060146655.db2.gz WVRTZDUCTUKPNB-HNNXBMFYSA-N 0 3 315.392 4.039 20 0 DIADHN Fc1cc(-c2noc([C@@H]3CCCN3CC3CC3)n2)ccc1Cl ZINC001249072567 1060146831 /nfs/dbraw/zinc/14/68/31/1060146831.db2.gz GGGKVCPTOHVAFG-AWEZNQCLSA-N 0 3 321.783 4.076 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCc1cc(F)ccc1F ZINC001560362686 1060147831 /nfs/dbraw/zinc/14/78/31/1060147831.db2.gz VURSIIPSSPODGQ-UHFFFAOYSA-N 0 3 324.802 4.017 20 0 DIADHN Cc1cccc(CN[C@@H]2CCN(c3cccc(Cl)c3)C2)c1F ZINC001560363403 1060151408 /nfs/dbraw/zinc/15/14/08/1060151408.db2.gz VLBIZVADDTUGBR-MRXNPFEDSA-N 0 3 318.823 4.156 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccn(-c2ccccc2)n1 ZINC001560370421 1060157380 /nfs/dbraw/zinc/15/73/80/1060157380.db2.gz IJBLPQSDIDFXFQ-MSOLQXFVSA-N 0 3 315.486 4.026 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ccn(-c2ccccc2)n1 ZINC001560370422 1060157470 /nfs/dbraw/zinc/15/74/70/1060157470.db2.gz IJBLPQSDIDFXFQ-QZTJIDSGSA-N 0 3 315.486 4.026 20 0 DIADHN COc1cccc2c(CN[C@H]3COc4ccc(C)cc43)cccc12 ZINC001560374468 1060160031 /nfs/dbraw/zinc/16/00/31/1060160031.db2.gz DUNHRJOMLOTLOU-IBGZPJMESA-N 0 3 319.404 4.380 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C2CCC(C)(c3ccccc3)CC2)n1 ZINC001560394388 1060180539 /nfs/dbraw/zinc/18/05/39/1060180539.db2.gz UUBIZRPQHKXJTE-UHFFFAOYSA-N 0 3 310.441 4.086 20 0 DIADHN c1ccc(-c2ccc(-c3noc([C@H]4N[C@@H]5CC[C@H]4C5)n3)cc2)cc1 ZINC001249210661 1060189898 /nfs/dbraw/zinc/18/98/98/1060189898.db2.gz IUMFTBCJPGLAIA-KSZLIROESA-N 0 3 317.392 4.217 20 0 DIADHN COc1ccc(CNc2cc(C)cc(C)n2)cc1Br ZINC001560405481 1060190176 /nfs/dbraw/zinc/19/01/76/1060190176.db2.gz DHVFNPWSBHEACP-UHFFFAOYSA-N 0 3 321.218 4.082 20 0 DIADHN NC(=O)c1cccc(CN[C@@H](CC2CCCC2)c2ccccc2)c1 ZINC001560409024 1060192623 /nfs/dbraw/zinc/19/26/23/1060192623.db2.gz HKTJTGVJBAJZAK-FQEVSTJZSA-N 0 3 322.452 4.197 20 0 DIADHN NC(=O)c1cccc(CN[C@H](CC2CCCC2)c2ccccc2)c1 ZINC001560409025 1060192660 /nfs/dbraw/zinc/19/26/60/1060192660.db2.gz HKTJTGVJBAJZAK-HXUWFJFHSA-N 0 3 322.452 4.197 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cccnc1Cl ZINC001560409610 1060195448 /nfs/dbraw/zinc/19/54/48/1060195448.db2.gz UBTGNVKVOJNEPB-AWEZNQCLSA-N 0 3 306.862 4.396 20 0 DIADHN COc1ccc(CNC/C=C\c2ccccc2)c(OC(F)F)c1 ZINC001560417281 1060201395 /nfs/dbraw/zinc/20/13/95/1060201395.db2.gz XOWQEPMHLUBWGW-YVMONPNESA-N 0 3 319.351 4.100 20 0 DIADHN CCCCN(C(=O)[C@H]1CCCN1C(C)C)[C@@H](C)c1ccc(C)o1 ZINC001526376011 1060202367 /nfs/dbraw/zinc/20/23/67/1060202367.db2.gz HEDCJVHCRUWOJT-DLBZAZTESA-N 0 3 320.477 4.151 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@H](C)c1ccc(C)o1 ZINC001526376014 1060202675 /nfs/dbraw/zinc/20/26/75/1060202675.db2.gz HEDCJVHCRUWOJT-SJORKVTESA-N 0 3 320.477 4.151 20 0 DIADHN COC(=O)c1ccc(CN[C@@H]2CCCc3sccc32)cc1C ZINC001560431264 1060218451 /nfs/dbraw/zinc/21/84/51/1060218451.db2.gz OYPHQVOREKNKKN-MRXNPFEDSA-N 0 3 315.438 4.010 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CC[C@@H](c2ccccc2F)C1 ZINC001249408006 1060238574 /nfs/dbraw/zinc/23/85/74/1060238574.db2.gz UYLHSPYFQLCFTL-LSDHHAIUSA-N 0 3 315.436 4.062 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC001249408565 1060238822 /nfs/dbraw/zinc/23/88/22/1060238822.db2.gz GTAXXKVLSUGFBJ-ZBFHGGJFSA-N 0 3 315.436 4.062 20 0 DIADHN COc1ccc2[nH]c(CN3CCC[C@H]3C3CCCCC3)nc2c1 ZINC001249414880 1060241993 /nfs/dbraw/zinc/24/19/93/1060241993.db2.gz AGHIYOSGJWEUBJ-SFHVURJKSA-N 0 3 313.445 4.116 20 0 DIADHN CCSc1ccccc1CN1C[C@@H](CF)[C@H](C(F)(F)F)C1 ZINC001249437762 1060249224 /nfs/dbraw/zinc/24/92/24/1060249224.db2.gz CFQXPOPOAGDYHM-CHWSQXEVSA-N 0 3 321.383 4.378 20 0 DIADHN Fc1cc(F)c(CNC2CCc3ccccc3CC2)c(F)c1F ZINC001560463145 1060254646 /nfs/dbraw/zinc/25/46/46/1060254646.db2.gz HSPIDLHOMOIWFH-UHFFFAOYSA-N 0 3 323.333 4.280 20 0 DIADHN CCc1onc(C)c1CNC[C@H](C)Oc1ccc(C(C)C)cc1 ZINC001560469143 1060257502 /nfs/dbraw/zinc/25/75/02/1060257502.db2.gz XULIILXXZRXORA-AWEZNQCLSA-N 0 3 316.445 4.226 20 0 DIADHN Cc1ccc(CN(C)CCC2CC2)c(F)c1Br ZINC001249461777 1060258344 /nfs/dbraw/zinc/25/83/44/1060258344.db2.gz HUBLGFYXJHVXCC-UHFFFAOYSA-N 0 3 300.215 4.129 20 0 DIADHN Cc1cccc2cc(CN[C@H]3CSC[C@H]3C)c(Cl)nc12 ZINC001560468613 1060258325 /nfs/dbraw/zinc/25/83/25/1060258325.db2.gz FUXUEONRAONULP-RISCZKNCSA-N 0 3 306.862 4.038 20 0 DIADHN CCc1ccc([C@H](O)CNCc2cccc(Cl)c2Cl)cc1 ZINC001560469762 1060259630 /nfs/dbraw/zinc/25/96/30/1060259630.db2.gz KKRLVKOJBNDSMS-MRXNPFEDSA-N 0 3 324.251 4.379 20 0 DIADHN CC[C@@H]1C[C@H]1CNCc1c(C)nn(-c2ccc(F)cc2)c1Cl ZINC001560471129 1060262182 /nfs/dbraw/zinc/26/21/82/1060262182.db2.gz HETJVDAWMWPMAU-OLZOCXBDSA-N 0 3 321.827 4.109 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CC[C@@H](c3ccccc3F)C2)c1 ZINC001249479155 1060263799 /nfs/dbraw/zinc/26/37/99/1060263799.db2.gz QOXIXKOOBRWMJP-CQSZACIVSA-N 0 3 300.421 4.441 20 0 DIADHN CCSc1ncc2cccc(CN3CCC[C@@](C)(F)CC3)n21 ZINC001249498586 1060268225 /nfs/dbraw/zinc/26/82/25/1060268225.db2.gz MATPLAOCIAOGJV-QGZVFWFLSA-N 0 3 321.465 4.160 20 0 DIADHN CC[C@H](C)[C@H](CNCc1ccc(-c2cccc(F)c2F)o1)OC ZINC001560479374 1060270314 /nfs/dbraw/zinc/27/03/14/1060270314.db2.gz IWJSMYZVOUCAGM-SJCJKPOMSA-N 0 3 323.383 4.376 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H](CO)c2ccc(F)cc2)cc1C ZINC001560483564 1060275075 /nfs/dbraw/zinc/27/50/75/1060275075.db2.gz CLIIMQPTHCCBSL-BBIZLLLXSA-N 0 3 313.416 4.087 20 0 DIADHN CC/C=C/CNCc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC001560486094 1060276456 /nfs/dbraw/zinc/27/64/56/1060276456.db2.gz RKFWSQYMFSOLOM-AATRIKPKSA-N 0 3 317.864 4.257 20 0 DIADHN CCCn1cncc1CN[C@@H](C)c1ccc(F)c2ccccc21 ZINC001560491071 1060285675 /nfs/dbraw/zinc/28/56/75/1060285675.db2.gz VVFTUFKRYFRVGW-AWEZNQCLSA-N 0 3 311.404 4.436 20 0 DIADHN CCC(C)(C)OCCNCc1sc(C)cc1Br ZINC001560496463 1060289966 /nfs/dbraw/zinc/28/99/66/1060289966.db2.gz UJQLNFOOYAXSQM-UHFFFAOYSA-N 0 3 320.296 4.114 20 0 DIADHN Cc1ccc(C(C)C)cc1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249559109 1060290291 /nfs/dbraw/zinc/29/02/91/1060290291.db2.gz HAJQMFLTZUUFLP-IBGZPJMESA-N 0 3 314.473 4.299 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@@H]1CC[C@H](C)O1 ZINC001560496237 1060290591 /nfs/dbraw/zinc/29/05/91/1060290591.db2.gz JFCAKKAVMRYRFK-KXBFYZLASA-N 0 3 311.425 4.019 20 0 DIADHN Cc1cccc(C2CC2)c1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249561602 1060291615 /nfs/dbraw/zinc/29/16/15/1060291615.db2.gz OCICAUZKAIGLJC-SFHVURJKSA-N 0 3 312.457 4.053 20 0 DIADHN c1cc2cc(NC3=CC[C@H](N4CCOCC4)CC3)ccc2s1 ZINC001249567426 1060294306 /nfs/dbraw/zinc/29/43/06/1060294306.db2.gz KJVHCEFJFYUGHS-KRWDZBQOSA-N 0 3 314.454 4.082 20 0 DIADHN Cc1ccc(CNCc2cccc3c2OCC3)c2ccccc12 ZINC001560506946 1060303311 /nfs/dbraw/zinc/30/33/11/1060303311.db2.gz WMSRJWVUBSPZTN-UHFFFAOYSA-N 0 3 303.405 4.373 20 0 DIADHN Cc1ccc(CNC[C@H](OC2CCCC2)C(F)(F)F)c(C)c1 ZINC001560526823 1060326585 /nfs/dbraw/zinc/32/65/85/1060326585.db2.gz IXFRCQQQESKHRG-INIZCTEOSA-N 0 3 315.379 4.283 20 0 DIADHN CO[C@H](C)CNCc1cc(F)ccc1Oc1cccc(Cl)c1 ZINC001560527548 1060328307 /nfs/dbraw/zinc/32/83/07/1060328307.db2.gz MNXNKKGOPLCRTG-GFCCVEGCSA-N 0 3 323.795 4.396 20 0 DIADHN C[C@@H](COc1c(F)cccc1F)NCc1ccccc1Cl ZINC001560529313 1060329186 /nfs/dbraw/zinc/32/91/86/1060329186.db2.gz ZSEWOQCCMNKVEI-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN Cc1ccc(CCNCc2nc3c(s2)C[C@@H](C)CC3)cc1C ZINC001560527839 1060330536 /nfs/dbraw/zinc/33/05/36/1060330536.db2.gz YQPOHSZIXQDCLF-ZDUSSCGKSA-N 0 3 314.498 4.217 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2cc(F)ccc2F)cc1 ZINC001560534621 1060337679 /nfs/dbraw/zinc/33/76/79/1060337679.db2.gz JKXUUNHBDGGNTA-FUHWJXTLSA-N 0 3 303.352 4.009 20 0 DIADHN CCC(NCc1csc(C(F)(F)F)n1)(C1CC1)C1CC1 ZINC001560533491 1060338460 /nfs/dbraw/zinc/33/84/60/1060338460.db2.gz SOUALFWHUMHLKL-UHFFFAOYSA-N 0 3 304.381 4.220 20 0 DIADHN FC(F)(F)c1ccc(-n2cc(CNCC3=CCCC3)cn2)cc1 ZINC001560538664 1060343312 /nfs/dbraw/zinc/34/33/12/1060343312.db2.gz YVWQNCJOWQKCHX-UHFFFAOYSA-N 0 3 321.346 4.091 20 0 DIADHN CCn1nccc1CNCc1ccc(-c2ccc(F)cc2)s1 ZINC001560538312 1060343978 /nfs/dbraw/zinc/34/39/78/1060343978.db2.gz IYJWMCPVTGYLNK-UHFFFAOYSA-N 0 3 315.417 4.060 20 0 DIADHN C[C@H](CN[C@@H](CO)c1ccc(F)cc1F)CC1CCCCC1 ZINC001560541304 1060347404 /nfs/dbraw/zinc/34/74/04/1060347404.db2.gz RMQGBPUTMDASGM-UGSOOPFHSA-N 0 3 311.416 4.194 20 0 DIADHN COc1cccc(C2(NCc3ccc(CC(C)C)nc3)CCC2)c1 ZINC001560542663 1060350547 /nfs/dbraw/zinc/35/05/47/1060350547.db2.gz NQQYEFQEXURPNX-UHFFFAOYSA-N 0 3 324.468 4.458 20 0 DIADHN CCC[C@@H](CNCc1cc(Cl)c(OC)cc1Cl)OCC ZINC001560544640 1060351087 /nfs/dbraw/zinc/35/10/87/1060351087.db2.gz CUWNDLCQDVSUAH-LBPRGKRZSA-N 0 3 320.260 4.297 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cccc(Cl)c1N ZINC001560543955 1060351591 /nfs/dbraw/zinc/35/15/91/1060351591.db2.gz LFXHHHTZNGPYIY-QGZVFWFLSA-N 0 3 300.833 4.398 20 0 DIADHN CCCc1ccc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)cc1 ZINC001560544528 1060351979 /nfs/dbraw/zinc/35/19/79/1060351979.db2.gz ZHIIRLUXJIUSID-SFTDATJTSA-N 0 3 311.469 4.487 20 0 DIADHN Clc1cccc(CNC[C@@H]2Cc3ccccc3CO2)c1Cl ZINC001560545746 1060354786 /nfs/dbraw/zinc/35/47/86/1060354786.db2.gz VTWUPRZLWLVALK-HNNXBMFYSA-N 0 3 322.235 4.225 20 0 DIADHN CNc1ccccc1CNCc1ccnc(-c2ccccc2)c1 ZINC001560551623 1060360332 /nfs/dbraw/zinc/36/03/32/1060360332.db2.gz XARJOOOBKMTBCZ-UHFFFAOYSA-N 0 3 303.409 4.080 20 0 DIADHN CO[C@H]1C[C@H](NCc2c(Cl)cccc2Oc2ccccc2)C1 ZINC001560550422 1060360970 /nfs/dbraw/zinc/36/09/70/1060360970.db2.gz QHSQFNWTAXDWAT-CTYIDZIISA-N 0 3 317.816 4.399 20 0 DIADHN CC1(C)CCC[C@@](O)(CNCc2cc(Cl)ccc2F)CC1 ZINC001560556962 1060366506 /nfs/dbraw/zinc/36/65/06/1060366506.db2.gz YMYQPMXKTFBDMF-KRWDZBQOSA-N 0 3 313.844 4.290 20 0 DIADHN CC1(C)CCC[C@](O)(CNCc2cc(Cl)ccc2F)CC1 ZINC001560556963 1060366725 /nfs/dbraw/zinc/36/67/25/1060366725.db2.gz YMYQPMXKTFBDMF-QGZVFWFLSA-N 0 3 313.844 4.290 20 0 DIADHN Cc1nc(CNC[C@@]2(Cc3ccccc3)C=CCCC2)[nH]c1C ZINC001560556168 1060366850 /nfs/dbraw/zinc/36/68/50/1060366850.db2.gz PZEHLGLERKSMPA-FQEVSTJZSA-N 0 3 309.457 4.085 20 0 DIADHN Cc1cc(F)c(CNC[C@@]2(O)CCCC(C)(C)CC2)c(F)c1 ZINC001560557380 1060367152 /nfs/dbraw/zinc/36/71/52/1060367152.db2.gz LLPVSBUXXQDCPG-GOSISDBHSA-N 0 3 311.416 4.084 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@H]2CCC[C@@H](C(C)(C)C)CC2)n1 ZINC001560562618 1060373160 /nfs/dbraw/zinc/37/31/60/1060373160.db2.gz BWMCCQKZORBYTJ-OLZOCXBDSA-N 0 3 313.436 4.442 20 0 DIADHN Fc1cccc(Br)c1CNCCC[C@H]1C=CCC1 ZINC001560576804 1060391225 /nfs/dbraw/zinc/39/12/25/1060391225.db2.gz RGQSQZDXCGAALQ-LBPRGKRZSA-N 0 3 312.226 4.424 20 0 DIADHN Cc1cccc2[nH]c(CNCc3ccc4c(c3)C[C@@H](C)O4)cc21 ZINC001560582150 1060398148 /nfs/dbraw/zinc/39/81/48/1060398148.db2.gz VPVSOCVVVVZHHE-CQSZACIVSA-N 0 3 306.409 4.090 20 0 DIADHN CCOc1cc(F)cc(CNCc2cccc3ncccc23)c1 ZINC001560581415 1060398701 /nfs/dbraw/zinc/39/87/01/1060398701.db2.gz ZGLOYVXXRDJITR-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1c(F)cccc1OC(F)(F)F ZINC001560590387 1060403023 /nfs/dbraw/zinc/40/30/23/1060403023.db2.gz UISIJIVEQUIOCD-WYUUTHIRSA-N 0 3 317.326 4.106 20 0 DIADHN Fc1cccc2c1CC[C@@H]2NCc1ccc(OCC(F)F)cc1 ZINC001560592684 1060404793 /nfs/dbraw/zinc/40/47/93/1060404793.db2.gz WDSGGBRVUAZDGU-KRWDZBQOSA-N 0 3 321.342 4.247 20 0 DIADHN Cc1cccc2[nH]c(CNCc3c[nH]c(=O)c4ccccc34)cc21 ZINC001560593555 1060407475 /nfs/dbraw/zinc/40/74/75/1060407475.db2.gz HIMQLYSFEYVDEM-UHFFFAOYSA-N 0 3 317.392 4.020 20 0 DIADHN Cc1cc(CO)cc(C)c1CNCc1ccc(Cl)c(Cl)c1 ZINC001560596045 1060410883 /nfs/dbraw/zinc/41/08/83/1060410883.db2.gz UUIVYBNCIZSQJZ-UHFFFAOYSA-N 0 3 324.251 4.392 20 0 DIADHN COc1c(Cl)cccc1CNC/C=C\c1ccc(F)c(F)c1 ZINC001560605629 1060419275 /nfs/dbraw/zinc/41/92/75/1060419275.db2.gz STFLLEUYZRXBJS-ARJAWSKDSA-N 0 3 323.770 4.430 20 0 DIADHN C[C@@H](NCc1cn2ccnc2s1)c1csc2ccccc12 ZINC001560614736 1060427303 /nfs/dbraw/zinc/42/73/03/1060427303.db2.gz VOEMOIBUSOLPBQ-LLVKDONJSA-N 0 3 313.451 4.461 20 0 DIADHN CC(C)CC[C@H]1CC[C@@H](NCc2cc(C(F)(F)F)n(C)n2)C1 ZINC001560614102 1060427862 /nfs/dbraw/zinc/42/78/62/1060427862.db2.gz YFSZEQUBPFOORE-QWHCGFSZSA-N 0 3 317.399 4.133 20 0 DIADHN C[C@@H]1CCc2nc(CNCC[C@H]3CCC(F)(F)C3)sc2C1 ZINC001560614476 1060427956 /nfs/dbraw/zinc/42/79/56/1060427956.db2.gz MERPXBQXEBMKCM-VXGBXAGGSA-N 0 3 314.445 4.183 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC001560615196 1060430513 /nfs/dbraw/zinc/43/05/13/1060430513.db2.gz JKPSQFJTOZGQLJ-OUJBWJOFSA-N 0 3 303.299 4.003 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3COC[C@H]3C3CC3)o2)cc1 ZINC001560615488 1060430627 /nfs/dbraw/zinc/43/06/27/1060430627.db2.gz RKQFUUXNXYADRE-IRXDYDNUSA-N 0 3 317.816 4.115 20 0 DIADHN C[C@@H](CN[C@@H](CO)c1cc(F)ccc1F)CC1CCCCC1 ZINC001560618482 1060433137 /nfs/dbraw/zinc/43/31/37/1060433137.db2.gz SKJRIFAJNHPLNP-ACJLOTCBSA-N 0 3 311.416 4.194 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cc(OC)c(OC)cc1F ZINC001560627442 1060438429 /nfs/dbraw/zinc/43/84/29/1060438429.db2.gz AVPFHYFZVXQDOE-XJKSGUPXSA-N 0 3 309.425 4.244 20 0 DIADHN CC(C)O[C@H](CN(C)CCOc1ccccc1)c1ccccc1 ZINC001560628550 1060441272 /nfs/dbraw/zinc/44/12/72/1060441272.db2.gz LOGDBIZBWSQAKB-HXUWFJFHSA-N 0 3 313.441 4.164 20 0 DIADHN CC(C)O[C@@H](CN(C)CCOc1ccccc1)c1ccccc1 ZINC001560628549 1060441462 /nfs/dbraw/zinc/44/14/62/1060441462.db2.gz LOGDBIZBWSQAKB-FQEVSTJZSA-N 0 3 313.441 4.164 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2ccnn2CC2CC2)cc1 ZINC001560631947 1060444808 /nfs/dbraw/zinc/44/48/08/1060444808.db2.gz MLHXPVIERBBPKA-UHFFFAOYSA-N 0 3 311.473 4.011 20 0 DIADHN CCc1cnc(CN(C)[C@H](CC)Cc2ccc(C)cc2)s1 ZINC001560634520 1060448179 /nfs/dbraw/zinc/44/81/79/1060448179.db2.gz ZMUZKBGQLWKOIN-MRXNPFEDSA-N 0 3 302.487 4.467 20 0 DIADHN COc1ccccc1C(=O)Cc1cccc(CN2CCCCC2)c1 ZINC001249888046 1060450490 /nfs/dbraw/zinc/45/04/90/1060450490.db2.gz CEGNXIRODUKBKH-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(N(C)C(C)C)nc1 ZINC001560637556 1060453107 /nfs/dbraw/zinc/45/31/07/1060453107.db2.gz HGFZMDBORABWAN-UHFFFAOYSA-N 0 3 311.473 4.257 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@]2(CC=CCC2)C1 ZINC001560640472 1060455583 /nfs/dbraw/zinc/45/55/83/1060455583.db2.gz QYMCQHUNJWKMOB-QGZVFWFLSA-N 0 3 305.853 4.263 20 0 DIADHN COCCN(Cc1ccco1)C[C@@H](C)c1cccc(Cl)c1 ZINC001560641767 1060458768 /nfs/dbraw/zinc/45/87/68/1060458768.db2.gz MSINWASJHVEUNT-CQSZACIVSA-N 0 3 307.821 4.185 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3ccccc32)nc1C ZINC001249901525 1060465846 /nfs/dbraw/zinc/46/58/46/1060465846.db2.gz FGHRYROWSXWWAD-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCOc1cc(C[N@@H+]2CCCC[C@@H]2C(C)C)cc(Cl)c1[O-] ZINC001560645509 1060468172 /nfs/dbraw/zinc/46/81/72/1060468172.db2.gz WAMMVQLQJJSNCH-OAHLLOKOSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@H+]2CCCC[C@@H]2C(C)C)cc(Cl)c1[O-] ZINC001560645509 1060468180 /nfs/dbraw/zinc/46/81/80/1060468180.db2.gz WAMMVQLQJJSNCH-OAHLLOKOSA-N 0 3 311.853 4.455 20 0 DIADHN CC(C)CN(Cc1cccc(F)c1OC(F)(F)F)C1CC1 ZINC001560650494 1060474014 /nfs/dbraw/zinc/47/40/14/1060474014.db2.gz NGCFIZGXLHLZNE-UHFFFAOYSA-N 0 3 305.315 4.345 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CCC[C@@H](C)CC1 ZINC001560657464 1060480548 /nfs/dbraw/zinc/48/05/48/1060480548.db2.gz NKJXFSLUEOTHGW-GFCCVEGCSA-N 0 3 303.475 4.204 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cccn1C(F)F ZINC001560656118 1060480838 /nfs/dbraw/zinc/48/08/38/1060480838.db2.gz SUICTSGELRGLBG-TZMCWYRMSA-N 0 3 308.347 4.400 20 0 DIADHN Fc1ccc(CCN2CCS[C@@H]3CCCC[C@H]32)c(Cl)c1 ZINC001560661303 1060487509 /nfs/dbraw/zinc/48/75/09/1060487509.db2.gz AIRHJZDZTPEZNB-HZPDHXFCSA-N 0 3 313.869 4.382 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cnc(Cl)c(OC)c1 ZINC001249931023 1060489631 /nfs/dbraw/zinc/48/96/31/1060489631.db2.gz DJCWGOTZBWLWES-OAHLLOKOSA-N 0 3 304.821 4.327 20 0 DIADHN Cc1cc(C)cc(CCN2CCOC3(C2)CCCCCC3)c1 ZINC001560665064 1060494039 /nfs/dbraw/zinc/49/40/39/1060494039.db2.gz KTROADAOJFBVBX-UHFFFAOYSA-N 0 3 301.474 4.271 20 0 DIADHN CCOc1ccc(CN2CCC(CC(C)C)CC2)cc1OC ZINC001560671751 1060500617 /nfs/dbraw/zinc/50/06/17/1060500617.db2.gz NMQDJTUXOATRFX-UHFFFAOYSA-N 0 3 305.462 4.352 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)c[nH]1 ZINC001560673424 1060507002 /nfs/dbraw/zinc/50/70/02/1060507002.db2.gz PGJKALLCXMYOEI-APWZRJJASA-N 0 3 317.436 4.455 20 0 DIADHN CC(C)C1CCC(CN2CCN(CC(F)(F)F)[C@@H](C)C2)CC1 ZINC001560674552 1060508181 /nfs/dbraw/zinc/50/81/81/1060508181.db2.gz DSFZHUFNQUTOJD-FHERZECASA-N 0 3 320.443 4.017 20 0 DIADHN CCN1C[C@H](C)N(Cc2ccc(Cl)c(C)c2Cl)C[C@@H]1C ZINC001560676582 1060510685 /nfs/dbraw/zinc/51/06/85/1060510685.db2.gz GWJBIZOGUSJPBS-RYUDHWBXSA-N 0 3 315.288 4.216 20 0 DIADHN COc1cc(CN2CCC[C@H]2CCC(C)C)c(Cl)cc1O ZINC001560676246 1060510925 /nfs/dbraw/zinc/51/09/25/1060510925.db2.gz WHFVCOZYERQVQH-AWEZNQCLSA-N 0 3 311.853 4.455 20 0 DIADHN C[C@@H]1CCN(Cc2ccnc(OCc3ccccc3)c2)[C@H](C)C1 ZINC001249976023 1060516991 /nfs/dbraw/zinc/51/69/91/1060516991.db2.gz MTYUDFWCRVDUHZ-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc3oc(=O)oc3c2)c(C)c1 ZINC001560681798 1060519929 /nfs/dbraw/zinc/51/99/29/1060519929.db2.gz VMPGJKHQTXOTPT-CQSZACIVSA-N 0 3 311.381 4.196 20 0 DIADHN Cc1cc(CN(C)CCCOc2cccc(Cl)c2)cnc1F ZINC001560680592 1060522264 /nfs/dbraw/zinc/52/22/64/1060522264.db2.gz HOBQDGWJQSYEAN-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2cccc(C)c2F)CC1 ZINC001560685424 1060534005 /nfs/dbraw/zinc/53/40/05/1060534005.db2.gz BNBAYOFTGFUBQM-UHFFFAOYSA-N 0 3 300.421 4.390 20 0 DIADHN Cc1ccccc1CN1CC[C@H](Oc2ccccc2Cl)C1 ZINC001560689750 1060537329 /nfs/dbraw/zinc/53/73/29/1060537329.db2.gz XUNPFOOIALMQER-INIZCTEOSA-N 0 3 301.817 4.302 20 0 DIADHN C[C@]1(CN2CCO[C@](C)(c3ccccc3)C2)C[C@@H]1c1ccccc1 ZINC001560691246 1060541469 /nfs/dbraw/zinc/54/14/69/1060541469.db2.gz WLECTHKTIMJAFA-VSKRKVRLSA-N 0 3 321.464 4.428 20 0 DIADHN O=C(CCC1=CCN(Cc2ccccc2)CC1)c1ccccc1 ZINC001250022961 1060549032 /nfs/dbraw/zinc/54/90/32/1060549032.db2.gz IZMZAUUNBTVWBB-UHFFFAOYSA-N 0 3 305.421 4.482 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCN(c3ccccc3F)CC2)C1 ZINC001560715851 1060572667 /nfs/dbraw/zinc/57/26/67/1060572667.db2.gz ZMODFCCCKABWJM-SJORKVTESA-N 0 3 304.453 4.164 20 0 DIADHN C[C@H]1CCCN(Cc2cnc(-c3c(F)cccc3F)s2)C1 ZINC001560714740 1060573224 /nfs/dbraw/zinc/57/32/24/1060573224.db2.gz YRSIUBPUYMZHLQ-NSHDSACASA-N 0 3 308.397 4.320 20 0 DIADHN Cc1c(Cl)ccc(CN2CCN(CC(C)C)CC2)c1Cl ZINC001560717969 1060579198 /nfs/dbraw/zinc/57/91/98/1060579198.db2.gz DLBVFGFQTAUMSU-UHFFFAOYSA-N 0 3 315.288 4.075 20 0 DIADHN CCOc1cc(OC)ccc1CN(C)[C@H]1CCc2ccccc21 ZINC001250071062 1060584616 /nfs/dbraw/zinc/58/46/16/1060584616.db2.gz FWSTVMGVZKJCMM-IBGZPJMESA-N 0 3 311.425 4.213 20 0 DIADHN COCCN(Cc1cccs1)Cc1c(C)oc2ccccc21 ZINC001560725672 1060587343 /nfs/dbraw/zinc/58/73/43/1060587343.db2.gz MAGOMNKWSAFWTP-UHFFFAOYSA-N 0 3 315.438 4.451 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCN(C(=O)C2CC2)CC1(C)C ZINC001560733891 1060600861 /nfs/dbraw/zinc/60/08/61/1060600861.db2.gz DIAYOJFNSBVNJS-QGZVFWFLSA-N 0 3 320.521 4.092 20 0 DIADHN COc1cc(F)c(C)cc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001250094233 1060601998 /nfs/dbraw/zinc/60/19/98/1060601998.db2.gz UJHZXNJBOOGIKS-UHFFFAOYSA-N 0 3 313.363 4.154 20 0 DIADHN C[C@H](NCc1nc(Br)cs1)C1CCCCCC1 ZINC001560741700 1060609879 /nfs/dbraw/zinc/60/98/79/1060609879.db2.gz GZPBMZBMDVMIQL-JTQLQIEISA-N 0 3 317.296 4.354 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCCSCC3)s2)cc1C ZINC001560744397 1060610656 /nfs/dbraw/zinc/61/06/56/1060610656.db2.gz LPDRGVQIUKSWRE-UHFFFAOYSA-N 0 3 318.511 4.366 20 0 DIADHN Cc1n[nH]c(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)c1C ZINC001560751271 1060617632 /nfs/dbraw/zinc/61/76/32/1060617632.db2.gz KPPLILMEEWMEKT-CQSZACIVSA-N 0 3 323.362 4.035 20 0 DIADHN CCCN(CC[C@@H](C)c1ccccc1)[C@H](CC)C(=O)OCC ZINC001560754780 1060620868 /nfs/dbraw/zinc/62/08/68/1060620868.db2.gz RDVZVCVLVNIWPE-SJLPKXTDSA-N 0 3 305.462 4.234 20 0 DIADHN CC[C@H](C)C[C@H](CC)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001560774735 1060638555 /nfs/dbraw/zinc/63/85/55/1060638555.db2.gz QWJWHWYLULWLIQ-ROUUACIJSA-N 0 3 317.521 4.455 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001560776586 1060640706 /nfs/dbraw/zinc/64/07/06/1060640706.db2.gz NFYWRSRRZMJLFA-NHYWBVRUSA-N 0 3 301.352 4.334 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H](C)N1CCC1CCCCC1 ZINC001560776466 1060641155 /nfs/dbraw/zinc/64/11/55/1060641155.db2.gz KUHOWQKEEHJXLC-IYBDPMFKSA-N 0 3 324.509 4.287 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2ncc(C(F)(F)F)s2)[C@@H]1C ZINC001560786963 1060649393 /nfs/dbraw/zinc/64/93/93/1060649393.db2.gz HEKQFBHLAXMNOC-MXWKQRLJSA-N 0 3 306.397 4.324 20 0 DIADHN C[C@H](CN1CC[C@@H](C(F)(F)F)[C@H]1C)c1cc(F)cc(F)c1 ZINC001560797589 1060657763 /nfs/dbraw/zinc/65/77/63/1060657763.db2.gz IPIDPEALGBGZJG-GPCCPHFNSA-N 0 3 307.306 4.341 20 0 DIADHN C[C@H](CN1CC[C@H](C(F)(F)F)[C@H]1C)c1cc(F)cc(F)c1 ZINC001560797591 1060659269 /nfs/dbraw/zinc/65/92/69/1060659269.db2.gz IPIDPEALGBGZJG-RULNRJAQSA-N 0 3 307.306 4.341 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCCC[C@H]2C2CC2)c(F)c1F ZINC001560801581 1060661156 /nfs/dbraw/zinc/66/11/56/1060661156.db2.gz STQJNMISGGUURQ-IINYFYTJSA-N 0 3 301.327 4.301 20 0 DIADHN CCCCN(CCCO)Cc1cc(C(F)(F)F)ccc1Cl ZINC001560811633 1060671908 /nfs/dbraw/zinc/67/19/08/1060671908.db2.gz RQXSOGKRYHJLND-UHFFFAOYSA-N 0 3 323.786 4.343 20 0 DIADHN Cc1cc(CN2Cc3ccccc3C3(CCC3)C2)cc(C)c1O ZINC001560823368 1060682160 /nfs/dbraw/zinc/68/21/60/1060682160.db2.gz DRMHULAMEVVNOE-UHFFFAOYSA-N 0 3 307.437 4.447 20 0 DIADHN C[C@@H](Cc1cccc(O)c1)NCc1c(F)ccc(F)c1Cl ZINC001560831284 1060688893 /nfs/dbraw/zinc/68/88/93/1060688893.db2.gz HWVGUAFETDKMHI-JTQLQIEISA-N 0 3 311.759 4.045 20 0 DIADHN CCCOc1ccc(CN2CCOCC23CCCCC3)c(C)c1 ZINC001560832710 1060691150 /nfs/dbraw/zinc/69/11/50/1060691150.db2.gz LWIDWUIADYQLQE-UHFFFAOYSA-N 0 3 317.473 4.319 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)C[C@H]2C)cc(C)c1O ZINC001560845032 1060699740 /nfs/dbraw/zinc/69/97/40/1060699740.db2.gz ONIPEFHEKORWDK-OCCSQVGLSA-N 0 3 301.352 4.172 20 0 DIADHN Cc1cc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)ccc1Cl ZINC001560847944 1060705605 /nfs/dbraw/zinc/70/56/05/1060705605.db2.gz ZKZLIRMINFSKBM-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN CC[C@H]1CN(C)CCN1Cc1sc2c(cccc2Cl)c1C ZINC001560849627 1060708151 /nfs/dbraw/zinc/70/81/51/1060708151.db2.gz YOMYOFVWTUDGQR-ZDUSSCGKSA-N 0 3 322.905 4.389 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cnc(CC(C)C)s1 ZINC001560853323 1060711151 /nfs/dbraw/zinc/71/11/51/1060711151.db2.gz VQCBPPMTEWGHRV-UHFFFAOYSA-N 0 3 302.487 4.325 20 0 DIADHN CCOc1ccc(CN2CC3(CCC3)[C@H]2C(C)C)cc1OC ZINC001560858461 1060718061 /nfs/dbraw/zinc/71/80/61/1060718061.db2.gz PESMAJQLDOXTGN-GOSISDBHSA-N 0 3 303.446 4.104 20 0 DIADHN C[C@@H](CN(Cc1cscc1Cl)[C@@H](C)CO)c1ccccc1 ZINC001560861850 1060720076 /nfs/dbraw/zinc/72/00/76/1060720076.db2.gz YYWVTPPLINXEKW-KBPBESRZSA-N 0 3 323.889 4.388 20 0 DIADHN CN(C)Cc1cccc(CC(=O)c2ccc(C(F)(F)F)cc2)c1 ZINC001250238039 1060721747 /nfs/dbraw/zinc/72/17/47/1060721747.db2.gz UGCIZBKEGGNOFG-UHFFFAOYSA-N 0 3 321.342 4.192 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CN2CC(C3CCCC3)C2)c1 ZINC001560876403 1060736523 /nfs/dbraw/zinc/73/65/23/1060736523.db2.gz JCQQYEUMNIYFKF-UHFFFAOYSA-N 0 3 315.457 4.264 20 0 DIADHN Cc1noc2ncc(CN3CC4(CCCCC4)[C@@H]3C(C)C)cc12 ZINC001560877821 1060737069 /nfs/dbraw/zinc/73/70/69/1060737069.db2.gz DNNICAIBYXJEGX-KRWDZBQOSA-N 0 3 313.445 4.322 20 0 DIADHN Fc1cc(CNCC2(Cc3cccc(Cl)c3)CC2)c(F)cn1 ZINC001560878634 1060738192 /nfs/dbraw/zinc/73/81/92/1060738192.db2.gz ATTVEPNTFZTFAE-UHFFFAOYSA-N 0 3 322.786 4.126 20 0 DIADHN Cc1csc2nc(C)c(CN3CC4(CCCC4)[C@@H]3C(C)C)n12 ZINC001560884549 1060747239 /nfs/dbraw/zinc/74/72/39/1060747239.db2.gz ZJGGSJHQZHPAMT-INIZCTEOSA-N 0 3 317.502 4.413 20 0 DIADHN C[C@H]1CN(C/C=C\c2cccc(F)c2)CCN1Cc1ccccc1 ZINC001560884825 1060747313 /nfs/dbraw/zinc/74/73/13/1060747313.db2.gz IIKKUDXVSOHICK-TWVNLXSWSA-N 0 3 324.443 4.045 20 0 DIADHN OCc1ccc(Nc2ccnc(C3CCC3)c2)c(Cl)c1F ZINC001250296283 1060762163 /nfs/dbraw/zinc/76/21/63/1060762163.db2.gz DMNRGDRBKILYGQ-UHFFFAOYSA-N 0 3 306.768 4.378 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2C[C@H]2CC(C)(C)C)cc(Cl)c1[O-] ZINC001560919858 1060782396 /nfs/dbraw/zinc/78/23/96/1060782396.db2.gz PDKHXCORWQGWFM-GXTWGEPZSA-N 0 3 311.853 4.359 20 0 DIADHN CC[C@H]1CN(CCc2ccc(C(C)(C)C)cc2)[C@@H](CC)CO1 ZINC001560919743 1060783887 /nfs/dbraw/zinc/78/38/87/1060783887.db2.gz MBGKRILMRCXABE-OALUTQOASA-N 0 3 303.490 4.416 20 0 DIADHN COCC1(C2CCC2)CN(C/C=C/c2c(C)cc(C)cc2C)C1 ZINC001560922490 1060786781 /nfs/dbraw/zinc/78/67/81/1060786781.db2.gz XNSRBVLVVLFSIK-RMKNXTFCSA-N 0 3 313.485 4.374 20 0 DIADHN c1coc(-c2ncc(CN3CCC(C4CCC4)CC3)s2)c1 ZINC001560922863 1060788020 /nfs/dbraw/zinc/78/80/20/1060788020.db2.gz JYJPAJKPXHCYOI-UHFFFAOYSA-N 0 3 302.443 4.415 20 0 DIADHN CCOc1nccc(CN2CCC[C@@H]3CCCC[C@H]32)c1Cl ZINC001250383475 1060793089 /nfs/dbraw/zinc/79/30/89/1060793089.db2.gz ZCSIZDCRMTWOBL-DZGCQCFKSA-N 0 3 308.853 4.288 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@](O)(c3ccccc3)C2)c1 ZINC001560933202 1060796405 /nfs/dbraw/zinc/79/64/05/1060796405.db2.gz HIJBZSHXCAJYQD-IBGZPJMESA-N 0 3 315.844 4.132 20 0 DIADHN COc1ccnc(CN[C@H]2CCCC[C@H]2C2CCCCC2)c1F ZINC001560936947 1060799864 /nfs/dbraw/zinc/79/98/64/1060799864.db2.gz OIIXJAICXYHJLT-HOTGVXAUSA-N 0 3 320.452 4.458 20 0 DIADHN C[C@@H]1CN([C@@H]2CC[C@@](C)(c3ccccc3)C2)C[C@@](C)(C(F)F)O1 ZINC001560938894 1060802277 /nfs/dbraw/zinc/80/22/77/1060802277.db2.gz QXQMJLIJUYPHLX-OPNNQBFTSA-N 0 3 323.427 4.241 20 0 DIADHN C[C@@H]1CN([C@@H]2CC[C@](C)(c3ccccc3)C2)C[C@@](C)(C(F)F)O1 ZINC001560938893 1060802904 /nfs/dbraw/zinc/80/29/04/1060802904.db2.gz QXQMJLIJUYPHLX-JZGRTCEGSA-N 0 3 323.427 4.241 20 0 DIADHN C[C@@H](CN1Cc2c[nH]nc2CC(C)(C)C1)c1cccc(Cl)c1 ZINC001560950870 1060817415 /nfs/dbraw/zinc/81/74/15/1060817415.db2.gz QBVAZVUYZSTBLL-ZDUSSCGKSA-N 0 3 317.864 4.251 20 0 DIADHN Cc1ccc(CCCNC2(c3c(F)cccc3F)CCC2)cn1 ZINC001560957753 1060821458 /nfs/dbraw/zinc/82/14/58/1060821458.db2.gz LLGWIINWKRENFI-UHFFFAOYSA-N 0 3 316.395 4.270 20 0 DIADHN C[C@@H](NCc1c(Cl)cccc1OC(F)F)[C@@H]1C[C@H]1C1CC1 ZINC001560971718 1060834812 /nfs/dbraw/zinc/83/48/12/1060834812.db2.gz OOJQHERJRIDDPG-USWWRNFRSA-N 0 3 315.791 4.466 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@H](OC3CCC3)CC2)c(F)c1 ZINC001560974561 1060836918 /nfs/dbraw/zinc/83/69/18/1060836918.db2.gz MJPGVBFCYQDBKS-BWDYHRDRSA-N 0 3 321.411 4.402 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@H]2CSC[C@H]2C1 ZINC001560972271 1060838649 /nfs/dbraw/zinc/83/86/49/1060838649.db2.gz IEIDBFNTKATCPN-FUHWJXTLSA-N 0 3 313.466 4.033 20 0 DIADHN COc1cc(CN(C)Cc2cccc(C)c2)cc2c1OCC=C2 ZINC001250497097 1060851277 /nfs/dbraw/zinc/85/12/77/1060851277.db2.gz IPKIVCAQDDQHOH-UHFFFAOYSA-N 0 3 309.409 4.041 20 0 DIADHN CCCC[C@@H](COC)NCc1cc(C(F)(F)F)cc(Cl)n1 ZINC001560996840 1060856920 /nfs/dbraw/zinc/85/69/20/1060856920.db2.gz DANJFMADHDCZAX-NSHDSACASA-N 0 3 324.774 4.049 20 0 DIADHN Fc1cc(-c2ccnc3ccncc32)ccc1CN1CCCCC1 ZINC001250506200 1060859431 /nfs/dbraw/zinc/85/94/31/1060859431.db2.gz HEOUYFITPIODOM-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Clc1cc(Cl)cc(CCNCc2cc(C3CC3)no2)c1 ZINC001561003753 1060862636 /nfs/dbraw/zinc/86/26/36/1060862636.db2.gz KGPQJWQWAVQILE-UHFFFAOYSA-N 0 3 311.212 4.191 20 0 DIADHN Clc1ccnc(Cl)c1CNCC1C[C@H]2CC[C@@H](C1)S2 ZINC001561005619 1060865902 /nfs/dbraw/zinc/86/59/02/1060865902.db2.gz FLRXMJKUMJFZAJ-FGWVZKOKSA-N 0 3 317.285 4.152 20 0 DIADHN COc1cccc(CN2CC[C@@H]3CCC[C@@H]3C2)c1OCC1CC1 ZINC001250525713 1060871492 /nfs/dbraw/zinc/87/14/92/1060871492.db2.gz VTJVFIYRDNZAGS-DLBZAZTESA-N 0 3 315.457 4.106 20 0 DIADHN Cn1c(Cl)ncc1CN1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001561025693 1060879508 /nfs/dbraw/zinc/87/95/08/1060879508.db2.gz GUQRAYMAQYOWEQ-MRXNPFEDSA-N 0 3 317.864 4.089 20 0 DIADHN CCOC(=O)[C@@H](CC)N(CC1CCC(C(C)C)CC1)C1CC1 ZINC001462122985 1060944037 /nfs/dbraw/zinc/94/40/37/1060944037.db2.gz XEQFJKDNKMLLGJ-LEOMRAHMSA-N 0 3 309.494 4.255 20 0 DIADHN CCN1CCN(c2cccc(NC3CCCCCCC3)c2)CC1 ZINC001462125014 1060945242 /nfs/dbraw/zinc/94/52/42/1060945242.db2.gz HSYLDUYUMDHFLZ-UHFFFAOYSA-N 0 3 315.505 4.353 20 0 DIADHN CC1(C)CCCN(CC(=O)Nc2ccc3ccccc3c2)CC1 ZINC001473196689 1060954062 /nfs/dbraw/zinc/95/40/62/1060954062.db2.gz JAOUKFDNXTZYBW-UHFFFAOYSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccc([Si](C)(C)C)cc1 ZINC001473200579 1060956478 /nfs/dbraw/zinc/95/64/78/1060956478.db2.gz HDMUPZRUUNKRPN-INIZCTEOSA-N 0 3 309.529 4.179 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(C)cc(C)n2)C12CCCCC2 ZINC001473199518 1060960213 /nfs/dbraw/zinc/96/02/13/1060960213.db2.gz MTRAATJXZIQAMZ-OALUTQOASA-N 0 3 316.489 4.258 20 0 DIADHN CCc1ccc(N2CCN(Cc3ccc4c(c3)CCC4)CC2)cc1 ZINC001473202777 1060963642 /nfs/dbraw/zinc/96/36/42/1060963642.db2.gz YILOXKWTYVDYMS-UHFFFAOYSA-N 0 3 320.480 4.060 20 0 DIADHN Cc1cc(C)c(CN(CCC(F)(F)F)CC2CC2)c(C)n1 ZINC001473210342 1060981065 /nfs/dbraw/zinc/98/10/65/1060981065.db2.gz HLPSCVFTZIUURS-UHFFFAOYSA-N 0 3 300.368 4.171 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC001473218552 1060991672 /nfs/dbraw/zinc/99/16/72/1060991672.db2.gz SAVOFURADPQYMZ-GJZGRUSLSA-N 0 3 321.436 4.331 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1C[C@@H](C)c1ccc(F)cc1 ZINC001462173990 1060994401 /nfs/dbraw/zinc/99/44/01/1060994401.db2.gz WYFGLPGTYOGSNI-BEFAXECRSA-N 0 3 321.436 4.127 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(Cl)ccc2OC)C12CCC2 ZINC001473221789 1061001371 /nfs/dbraw/zinc/00/13/71/1061001371.db2.gz LNLKAOWLSNJVGI-SJORKVTESA-N 0 3 323.864 4.128 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C1CC1 ZINC001462183264 1061005584 /nfs/dbraw/zinc/00/55/84/1061005584.db2.gz HMKABQJZVPLPFS-ABAIWWIYSA-N 0 3 303.381 4.023 20 0 DIADHN CCC(CC)(NCc1cnn(CCF)c1)c1ccc(Cl)cc1 ZINC001473224622 1061006202 /nfs/dbraw/zinc/00/62/02/1061006202.db2.gz CUWIHJHZBMMCPE-UHFFFAOYSA-N 0 3 323.843 4.311 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)NCC3CCCCC3)n2)ccc1F ZINC001462186012 1061006821 /nfs/dbraw/zinc/00/68/21/1061006821.db2.gz YGYSOFSWLJQCFJ-CYBMUJFWSA-N 0 3 317.408 4.415 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccc(C)cc3)C[C@H]2C)s1 ZINC001462184732 1061006910 /nfs/dbraw/zinc/00/69/10/1061006910.db2.gz PZCBVGFEHJSYQL-GDBMZVCRSA-N 0 3 300.471 4.392 20 0 DIADHN C[C@@H](NC[C@H]1C[C@]1(C)Br)c1ccccc1Cl ZINC001462190389 1061011221 /nfs/dbraw/zinc/01/12/21/1061011221.db2.gz MIPFCEGRZQJOPY-BREBYQMCSA-N 0 3 302.643 4.164 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cccc(Cl)n2)C1(CC)CC ZINC001473244378 1061021016 /nfs/dbraw/zinc/02/10/16/1061021016.db2.gz RGNMJKXGFANEBT-GJZGRUSLSA-N 0 3 310.869 4.151 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(O)cc2)cc1C(C)(C)C ZINC001462200522 1061021696 /nfs/dbraw/zinc/02/16/96/1061021696.db2.gz OURCDONMBLNIOZ-UHFFFAOYSA-N 0 3 313.441 4.330 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(C)nc(Cl)c2)C1(CC)CC ZINC001473244400 1061022475 /nfs/dbraw/zinc/02/24/75/1061022475.db2.gz RZWSZTLAASEBSY-JKSUJKDBSA-N 0 3 324.896 4.459 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@H]1CCCC3(CCC3)O1)CCC2 ZINC001473249337 1061026186 /nfs/dbraw/zinc/02/61/86/1061026186.db2.gz ZTXLBQDAHMRRQP-ZBFHGGJFSA-N 0 3 320.502 4.253 20 0 DIADHN COC(=O)c1cccc(CNCc2cccc(CC3CCC3)c2)c1 ZINC001462209891 1061030039 /nfs/dbraw/zinc/03/00/39/1061030039.db2.gz IYQQNOVZQUMHAZ-UHFFFAOYSA-N 0 3 323.436 4.106 20 0 DIADHN Cn1cccc1[C@H]1CCCN1CCCc1c(F)cccc1F ZINC001473255728 1061031003 /nfs/dbraw/zinc/03/10/03/1061031003.db2.gz JAQGYGVQKXHETH-GOSISDBHSA-N 0 3 304.384 4.073 20 0 DIADHN CCC[C@H]1CCCN(Cc2ncc(-c3ccc(OC)cc3)o2)C1 ZINC001473259917 1061033507 /nfs/dbraw/zinc/03/35/07/1061033507.db2.gz PBQKCVWJIRJOPL-HNNXBMFYSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@@H](CC(C)C)C2)c(C)c1 ZINC001473278434 1061047946 /nfs/dbraw/zinc/04/79/46/1061047946.db2.gz UQQAXQWTHJZHMJ-ROUUACIJSA-N 0 3 316.489 4.389 20 0 DIADHN CCOc1ccc(Cl)cc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC001462246443 1061050272 /nfs/dbraw/zinc/05/02/72/1061050272.db2.gz BBSUMYQEMPKMJM-SJLPKXTDSA-N 0 3 305.849 4.359 20 0 DIADHN CC[C@H](CN1CCc2ccccc2[C@@H](c2ccccc2)[C@@H]1C)OC ZINC001473286414 1061053924 /nfs/dbraw/zinc/05/39/24/1061053924.db2.gz ITTNBXWPFDJNKR-UCNVEGJOSA-N 0 3 323.480 4.490 20 0 DIADHN Cc1cc(Br)cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1 ZINC001462251742 1061054262 /nfs/dbraw/zinc/05/42/62/1061054262.db2.gz RXOBGQBYWHPRGB-DLBZAZTESA-N 0 3 320.274 4.378 20 0 DIADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CCCCC1(C)OCCO1 ZINC001473287409 1061058112 /nfs/dbraw/zinc/05/81/12/1061058112.db2.gz MEJPTNAQQNIUIT-LSDHHAIUSA-N 0 3 321.436 4.067 20 0 DIADHN Cc1cc(CN2CCCC[C@@H]2CCCc2ccccc2)nn1C ZINC001473300381 1061064753 /nfs/dbraw/zinc/06/47/53/1061064753.db2.gz ZGZUBLJWBWVIDA-HXUWFJFHSA-N 0 3 311.473 4.106 20 0 DIADHN CC[C@@H](NCc1cccnc1C(F)(F)F)c1ccc(F)cc1 ZINC001473300922 1061068005 /nfs/dbraw/zinc/06/80/05/1061068005.db2.gz DACPYUJIYQIBCQ-CQSZACIVSA-N 0 3 312.310 4.480 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccccc3C(C)C)CC2)cc1 ZINC001473301229 1061069234 /nfs/dbraw/zinc/06/92/34/1061069234.db2.gz JMHMKWWVHLNCNM-UHFFFAOYSA-N 0 3 308.469 4.441 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2cc(F)ncc2F)c(C)c1 ZINC001462277010 1061071052 /nfs/dbraw/zinc/07/10/52/1061071052.db2.gz ITJMAAHIKMDIQD-UHFFFAOYSA-N 0 3 304.384 4.044 20 0 DIADHN C[C@H](NC[C@H]1C[C@]1(C)Br)c1ccc(F)cc1Cl ZINC001462279557 1061073532 /nfs/dbraw/zinc/07/35/32/1061073532.db2.gz YWWKQOZFVZZGGW-RWEMILLDSA-N 0 3 320.633 4.303 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccccc2CC(F)(F)F)CCCO1 ZINC001462279845 1061073753 /nfs/dbraw/zinc/07/37/53/1061073753.db2.gz CEVYQDNZTDDZRU-MRXNPFEDSA-N 0 3 315.379 4.038 20 0 DIADHN COc1ccc(Oc2ccc(CN3CC(C4CC4)C3)cc2)cc1 ZINC001462283005 1061076100 /nfs/dbraw/zinc/07/61/00/1061076100.db2.gz KHLFYXODQNIEHM-UHFFFAOYSA-N 0 3 309.409 4.329 20 0 DIADHN C[C@@H](NCc1ccn(-c2ccccc2F)n1)[C@@H](C)c1ccccc1 ZINC001473312969 1061077990 /nfs/dbraw/zinc/07/79/90/1061077990.db2.gz CMJJWSZMQJLFGV-HZPDHXFCSA-N 0 3 323.415 4.293 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1cc(C)cc(C)n1 ZINC001473327190 1061087671 /nfs/dbraw/zinc/08/76/71/1061087671.db2.gz QUFAZDLEEQSJPP-KBXCAEBGSA-N 0 3 318.848 4.218 20 0 DIADHN O[C@H](CCNC1(c2ccc(F)cc2F)CCC1)c1ccccc1 ZINC001473324270 1061088037 /nfs/dbraw/zinc/08/80/37/1061088037.db2.gz AEZGGHHCJBKMDE-GOSISDBHSA-N 0 3 317.379 4.057 20 0 DIADHN CCNc1nc(CN[C@@H](C)C(C)(C)c2ccccc2)cs1 ZINC001473340864 1061099931 /nfs/dbraw/zinc/09/99/31/1061099931.db2.gz NARFOQKSEFSUKK-ZDUSSCGKSA-N 0 3 303.475 4.031 20 0 DIADHN Cc1cc(CN(C)[C@@H]2C[C@H](Oc3ccccc3)C2(C)C)cc(C)n1 ZINC001462313530 1061101079 /nfs/dbraw/zinc/10/10/79/1061101079.db2.gz ACSJAHVTTQGGRZ-UXHICEINSA-N 0 3 324.468 4.376 20 0 DIADHN CCC[C@H](C)CCCN1CCN(c2nc(C)c(C)s2)CC1 ZINC001473344582 1061101972 /nfs/dbraw/zinc/10/19/72/1061101972.db2.gz VVTYWVBMHZXURC-AWEZNQCLSA-N 0 3 309.523 4.098 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC001473346562 1061102544 /nfs/dbraw/zinc/10/25/44/1061102544.db2.gz NLMQVRIBVZSIGN-ZWKOTPCHSA-N 0 3 319.489 4.048 20 0 DIADHN Fc1cccc(F)c1CC1CN(C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC001462321250 1061104390 /nfs/dbraw/zinc/10/43/90/1061104390.db2.gz LQLBURUNBKOXRC-DLBZAZTESA-N 0 3 313.391 4.243 20 0 DIADHN Cc1cc(C)c(CN2CCN(C)C[C@H]2c2ccccc2)c(C)c1 ZINC001473350326 1061105657 /nfs/dbraw/zinc/10/56/57/1061105657.db2.gz FPVIEQAFUCHDQE-NRFANRHFSA-N 0 3 308.469 4.101 20 0 DIADHN CC(C)[C@H]1N(Cc2ccnn2C2CCC2)CC12CCCCC2 ZINC001462328551 1061106751 /nfs/dbraw/zinc/10/67/51/1061106751.db2.gz CMZULDDFRFHVOW-GOSISDBHSA-N 0 3 301.478 4.399 20 0 DIADHN Cc1nnsc1CN1CC[C@@H](Cc2ccc(C(C)C)cc2)C1 ZINC001473354634 1061107938 /nfs/dbraw/zinc/10/79/38/1061107938.db2.gz LYURXEBWYCFNER-INIZCTEOSA-N 0 3 315.486 4.035 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CCC[C@@H]2COC[C@@H]21 ZINC001462334355 1061110005 /nfs/dbraw/zinc/11/00/05/1061110005.db2.gz NQSSZUCINCDDHP-WBMJQRKESA-N 0 3 314.256 4.037 20 0 DIADHN COC(=O)c1ccc(CN2CC(C)(C)CC(C)(C)C2)cc1Cl ZINC001473356608 1061111293 /nfs/dbraw/zinc/11/12/93/1061111293.db2.gz CHSVRXWBJHUOLA-UHFFFAOYSA-N 0 3 323.864 4.385 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)Cc2cccc(F)c2)c1F ZINC001462336113 1061113095 /nfs/dbraw/zinc/11/30/95/1061113095.db2.gz TVFNEXUWBYMAMV-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN C[C@H]1[C@@H](CO)CCCN1CCCc1c(Cl)cccc1Cl ZINC001462337347 1061113614 /nfs/dbraw/zinc/11/36/14/1061113614.db2.gz UEJHCQDELOJSTF-QWHCGFSZSA-N 0 3 316.272 4.019 20 0 DIADHN OCC[C@@H](NC/C=C/c1ccc(Cl)cc1)c1cccs1 ZINC001473368971 1061116351 /nfs/dbraw/zinc/11/63/51/1061116351.db2.gz VYBNIIATDRBGDL-BQTXGFLSSA-N 0 3 307.846 4.128 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCCCC1)c1cn(-c2ccccc2)nn1 ZINC001473370831 1061118253 /nfs/dbraw/zinc/11/82/53/1061118253.db2.gz RXDSTSWDSWZULO-HOTGVXAUSA-N 0 3 312.461 4.277 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCCCC1)c1cn(-c2ccccc2)nn1 ZINC001473370832 1061118424 /nfs/dbraw/zinc/11/84/24/1061118424.db2.gz RXDSTSWDSWZULO-JKSUJKDBSA-N 0 3 312.461 4.277 20 0 DIADHN CO[C@H](CN1C[C@@H](C(F)(F)F)CC[C@@H]1C)c1ccc(F)cc1 ZINC001473373599 1061119806 /nfs/dbraw/zinc/11/98/06/1061119806.db2.gz FBSNYNSAWHXYRN-CORIIIEPSA-N 0 3 319.342 4.176 20 0 DIADHN COc1cccc2c1CCN(Cc1cccc3ccccc31)C2 ZINC001473375452 1061119967 /nfs/dbraw/zinc/11/99/67/1061119967.db2.gz RBNHQIVXADSTOK-UHFFFAOYSA-N 0 3 303.405 4.407 20 0 DIADHN Cn1cc([C@@H](NCC/C=C\c2ccccc2)c2ccccc2)cn1 ZINC001473377445 1061121251 /nfs/dbraw/zinc/12/12/51/1061121251.db2.gz VNULGGRUPYWOMK-UOMAQRANSA-N 0 3 317.436 4.203 20 0 DIADHN COC(=O)c1cc(CN(C)C/C(C)=C\c2ccccc2)ccc1C ZINC001473378674 1061121542 /nfs/dbraw/zinc/12/15/42/1061121542.db2.gz MBWBGTMNIVYSAO-VBKFSLOCSA-N 0 3 323.436 4.317 20 0 DIADHN CCn1ccc(CN[C@@H]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC001462347289 1061122116 /nfs/dbraw/zinc/12/21/16/1061122116.db2.gz JUMMJIWORYPOSX-QGZVFWFLSA-N 0 3 315.848 4.282 20 0 DIADHN CO[C@@H](CN1CCc2cc(Cl)ccc2C1)C1CCCCC1 ZINC001473377527 1061122894 /nfs/dbraw/zinc/12/28/94/1061122894.db2.gz XWRNGXWXPNSLSR-SFHVURJKSA-N 0 3 307.865 4.293 20 0 DIADHN Cc1cccc2c1CN(CCCOc1cccc(Cl)c1)CC2 ZINC001473381804 1061124760 /nfs/dbraw/zinc/12/47/60/1061124760.db2.gz QUICIXVBTPZZLE-UHFFFAOYSA-N 0 3 315.844 4.476 20 0 DIADHN CCC1(CC)CCCN(Cc2nc(N)c3ccccc3n2)CC1 ZINC001473385713 1061127261 /nfs/dbraw/zinc/12/72/61/1061127261.db2.gz NMVMUJJHSRRFKT-UHFFFAOYSA-N 0 3 312.461 4.004 20 0 DIADHN CO[C@@H](CN1CC[C@H](C(F)(F)F)C1(C)C)c1ccc(F)cc1 ZINC001473386617 1061129455 /nfs/dbraw/zinc/12/94/55/1061129455.db2.gz XQDUAXFTTKHZBE-KBPBESRZSA-N 0 3 319.342 4.176 20 0 DIADHN CO[C@H](CN1CC[C@H](C(F)(F)F)C1(C)C)c1ccc(F)cc1 ZINC001473386618 1061130734 /nfs/dbraw/zinc/13/07/34/1061130734.db2.gz XQDUAXFTTKHZBE-KGLIPLIRSA-N 0 3 319.342 4.176 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(-c3cccc(F)c3F)o2)C1 ZINC001462368275 1061132448 /nfs/dbraw/zinc/13/24/48/1061132448.db2.gz SEVSHORTUSEDMH-CQSZACIVSA-N 0 3 321.367 4.224 20 0 DIADHN COC(=O)c1cccc2c1CN(C[C@H]1CCCC(C)(C)C1)CC2 ZINC001462366986 1061132464 /nfs/dbraw/zinc/13/24/64/1061132464.db2.gz BUTRRVPLLXPTJT-HNNXBMFYSA-N 0 3 315.457 4.048 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCCC[C@@H](C)C1 ZINC001473394684 1061134719 /nfs/dbraw/zinc/13/47/19/1061134719.db2.gz CLMBKYDMHXXUCC-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1ccc(/C=C\CN2CCSC[C@H]2C2CCC2)cc1F ZINC001462382480 1061139886 /nfs/dbraw/zinc/13/98/86/1061139886.db2.gz GCJVPGWXCSQLAP-KYVUIXNKSA-N 0 3 309.425 4.196 20 0 DIADHN CCn1cc(CN(Cc2ccc(CSC)cc2)C(C)C)cn1 ZINC001462392095 1061145947 /nfs/dbraw/zinc/14/59/47/1061145947.db2.gz CCFMKDMAILFFSG-UHFFFAOYSA-N 0 3 317.502 4.177 20 0 DIADHN CC(C)CC[C@H](O)CNC(C)(C)c1cccc(Cl)c1F ZINC001473413027 1061147685 /nfs/dbraw/zinc/14/76/85/1061147685.db2.gz RLCRJLMBNQKNMP-LBPRGKRZSA-N 0 3 301.833 4.101 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCc2ccc(F)cc2[C@@H](C)C1 ZINC001462397046 1061147991 /nfs/dbraw/zinc/14/79/91/1061147991.db2.gz OFQPBWCMHSCFPU-AWEZNQCLSA-N 0 3 315.436 4.073 20 0 DIADHN CC(C)O[C@@H]1CCCN(Cc2ccc(SC(F)F)cc2)C1 ZINC001462400636 1061149699 /nfs/dbraw/zinc/14/96/99/1061149699.db2.gz ARGIFWPHSNBSIK-CQSZACIVSA-N 0 3 315.429 4.391 20 0 DIADHN Cc1csc2nc(C)c(CN(C)C(C)(C)c3ccccc3)n12 ZINC001462408791 1061154299 /nfs/dbraw/zinc/15/42/99/1061154299.db2.gz OSNJSARGZMXXSG-UHFFFAOYSA-N 0 3 313.470 4.380 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@H]1CC(C)(C)CO1 ZINC001473429005 1061156718 /nfs/dbraw/zinc/15/67/18/1061156718.db2.gz LPKVJPZOXHKJOZ-HIFRSBDPSA-N 0 3 315.379 4.393 20 0 DIADHN CCC1(CC)[C@@H](NCc2cnc(-c3ccccc3)o2)C[C@@H]1OC ZINC001473446334 1061162812 /nfs/dbraw/zinc/16/28/12/1061162812.db2.gz CJGOWLJHFPFRCD-IRXDYDNUSA-N 0 3 314.429 4.025 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@H]1CC1(Cl)Cl ZINC001462425776 1061166674 /nfs/dbraw/zinc/16/66/74/1061166674.db2.gz IBGJMBXGMCDMCE-MRVPVSSYSA-N 0 3 304.604 4.370 20 0 DIADHN CC(C)C1(NCc2oc3ccccc3c2Br)CC1 ZINC001473494677 1061190337 /nfs/dbraw/zinc/19/03/37/1061190337.db2.gz VOJYUQRJKGROQX-UHFFFAOYSA-N 0 3 308.219 4.474 20 0 DIADHN CC1CCC(N[C@@H](CO)c2cccc(Cl)c2Cl)CC1 ZINC001462456532 1061186748 /nfs/dbraw/zinc/18/67/48/1061186748.db2.gz YLFXKXJYXRIUFT-MGULZYLOSA-N 0 3 302.245 4.195 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCSC[C@@H]1C1CC1 ZINC001473489909 1061187802 /nfs/dbraw/zinc/18/78/02/1061187802.db2.gz JCYSXSHRHHDHSU-MRXNPFEDSA-N 0 3 318.873 4.216 20 0 DIADHN COc1ccc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)cc1 ZINC001473502536 1061197501 /nfs/dbraw/zinc/19/75/01/1061197501.db2.gz XPQWZOCSEHHQTG-SFHVURJKSA-N 0 3 317.816 4.002 20 0 DIADHN Oc1ccc([C@@H]2CCN(Cc3cc(F)cc(Cl)c3)C2)cc1 ZINC001462479463 1061200087 /nfs/dbraw/zinc/20/00/87/1061200087.db2.gz GMJDCKQBPMICOC-CQSZACIVSA-N 0 3 305.780 4.174 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](Oc2ccccc2)C1(C)C)c1ccns1 ZINC001462483543 1061205723 /nfs/dbraw/zinc/20/57/23/1061205723.db2.gz HKHHYTGDQXWSLI-MAZHCROVSA-N 0 3 302.443 4.040 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)CCOCc1ccccc1 ZINC001473519835 1061215116 /nfs/dbraw/zinc/21/51/16/1061215116.db2.gz OYXOQDZCBPOAHG-LJQANCHMSA-N 0 3 313.441 4.295 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1CC(=O)N(C1CC1)C1CCCC1 ZINC001473520310 1061215781 /nfs/dbraw/zinc/21/57/81/1061215781.db2.gz VENGKMJRSKLJCZ-CVEARBPZSA-N 0 3 320.521 4.067 20 0 DIADHN C[C@H]1C[C@H](C(C)(C)C)CCN1CC(=O)N(C1CC1)C1CCCC1 ZINC001473520313 1061217502 /nfs/dbraw/zinc/21/75/02/1061217502.db2.gz VENGKMJRSKLJCZ-JKSUJKDBSA-N 0 3 320.521 4.067 20 0 DIADHN CC(C)n1c2ccccc2nc1-c1cc(F)cc(CN(C)C)c1 ZINC001251070320 1061218905 /nfs/dbraw/zinc/21/89/05/1061218905.db2.gz NLPMJDLTSUIJNI-UHFFFAOYSA-N 0 3 311.404 4.485 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1c(F)cccc1Cl ZINC001473537118 1061230244 /nfs/dbraw/zinc/23/02/44/1061230244.db2.gz PZUOELRHAJXHEY-CYBMUJFWSA-N 0 3 306.812 4.375 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccn2)nc1)c1ccccc1 ZINC001473556342 1061243085 /nfs/dbraw/zinc/24/30/85/1061243085.db2.gz OSDFIIPCUHMSNI-GOSISDBHSA-N 0 3 303.409 4.385 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001473556497 1061243904 /nfs/dbraw/zinc/24/39/04/1061243904.db2.gz PAHNUQJQLLKFQB-OAHLLOKOSA-N 0 3 315.436 4.270 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N1CCC[C@H](CC(F)(F)F)C1 ZINC001473563956 1061249313 /nfs/dbraw/zinc/24/93/13/1061249313.db2.gz HFHJPDQCXCLDDJ-ABAIWWIYSA-N 0 3 301.327 4.477 20 0 DIADHN CC(C)n1ccc(CN2CCC[C@@]2(C)Cc2ccc(F)cc2)n1 ZINC001473564286 1061249387 /nfs/dbraw/zinc/24/93/87/1061249387.db2.gz FVBIMYLWKHIJHV-IBGZPJMESA-N 0 3 315.436 4.200 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2csc(CCc3ccccc3)n2)C[C@H]1C ZINC001473564703 1061250895 /nfs/dbraw/zinc/25/08/95/1061250895.db2.gz HXIODYGTEQGJEF-BZUAXINKSA-N 0 3 314.498 4.405 20 0 DIADHN Fc1ccc(-c2cc(CNC[C@@H]3CC[C@H]4C[C@H]4C3)no2)c(F)c1 ZINC001462555267 1061256150 /nfs/dbraw/zinc/25/61/50/1061256150.db2.gz CAYZROLXNCVBBK-FRRDWIJNSA-N 0 3 318.367 4.146 20 0 DIADHN CC1(C)CCc2onc(CN[C@H]3CCC[C@@H](C4CC4)C3)c2C1 ZINC001462562090 1061264413 /nfs/dbraw/zinc/26/44/13/1061264413.db2.gz XBMZLNYREQBGEG-CABCVRRESA-N 0 3 302.462 4.248 20 0 DIADHN Clc1cccc(CN2CCC[C@@H]2[C@@H]2CCCOC2)c1Cl ZINC001473582949 1061269631 /nfs/dbraw/zinc/26/96/31/1061269631.db2.gz WAPOHBRAQKEWQO-UKRRQHHQSA-N 0 3 314.256 4.384 20 0 DIADHN Cc1ccc(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)cc1C ZINC001473580529 1061273240 /nfs/dbraw/zinc/27/32/40/1061273240.db2.gz RIOWSAAKSSTZNX-UHFFFAOYSA-N 0 3 317.473 4.247 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@H]1CC1CCCC1 ZINC001473596787 1061279211 /nfs/dbraw/zinc/27/92/11/1061279211.db2.gz ZVBKJOTYDIWDNZ-SFHVURJKSA-N 0 3 314.473 4.287 20 0 DIADHN CCN1[C@H](C)CN([C@H](C)c2ccc(Cl)cc2Cl)C[C@@H]1C ZINC001473599094 1061281738 /nfs/dbraw/zinc/28/17/38/1061281738.db2.gz DPVOAOCVCCZNIL-FRRDWIJNSA-N 0 3 315.288 4.469 20 0 DIADHN CCc1onc(C)c1CN1CCc2ccc(Cl)cc2[C@H](C)C1 ZINC001473608523 1061283220 /nfs/dbraw/zinc/28/32/20/1061283220.db2.gz WXNASYDHGMWMNG-GFCCVEGCSA-N 0 3 318.848 4.361 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)Cc1ccc(Cl)nc1 ZINC001473615412 1061290599 /nfs/dbraw/zinc/29/05/99/1061290599.db2.gz BFYRSNOZJPGMLK-ZDUSSCGKSA-N 0 3 306.862 4.348 20 0 DIADHN CC[C@@H]1CN(CCn2ccc3cc(Cl)ccc32)[C@H](CC)CO1 ZINC001473616902 1061291257 /nfs/dbraw/zinc/29/12/57/1061291257.db2.gz CHHCFJDPZWDDSA-IAGOWNOFSA-N 0 3 320.864 4.184 20 0 DIADHN Cc1ncsc1CCN1CCc2oc(-c3ccccc3)cc2C1 ZINC001473619581 1061296577 /nfs/dbraw/zinc/29/65/77/1061296577.db2.gz HJAGQJZTZJRZNC-UHFFFAOYSA-N 0 3 324.449 4.312 20 0 DIADHN C[C@@H]1C(=O)Nc2ccccc2CN1C[C@@H]1CCCCC1(C)C ZINC001473633794 1061303505 /nfs/dbraw/zinc/30/35/05/1061303505.db2.gz ZAJDCPRGAIWPBS-ZBFHGGJFSA-N 0 3 300.446 4.046 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1ccc(Cl)cc1Cl ZINC001473637742 1061306399 /nfs/dbraw/zinc/30/63/99/1061306399.db2.gz UVHKFJNHPJOINN-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CN(CCCC2CC2)Cc2ccccc21 ZINC001473635530 1061306663 /nfs/dbraw/zinc/30/66/63/1061306663.db2.gz DKQDUMSSXRZHNG-GOSISDBHSA-N 0 3 315.457 4.118 20 0 DIADHN CC[C@H](C)N(CC)Cc1csc(-c2ccc3c(c2)OCO3)n1 ZINC001473637298 1061307523 /nfs/dbraw/zinc/30/75/23/1061307523.db2.gz QJKCFXVKSIFBMX-LBPRGKRZSA-N 0 3 318.442 4.159 20 0 DIADHN Cc1ccc(C)c(C2CCN(Cc3cncn3C(C)C)CC2)c1 ZINC001462638094 1061309266 /nfs/dbraw/zinc/30/92/66/1061309266.db2.gz LQNSDDGWQPERPJ-UHFFFAOYSA-N 0 3 311.473 4.460 20 0 DIADHN CN(CCCC(=O)OC(C)(C)C)Cc1cc(F)cc(Cl)c1 ZINC001462637476 1061310196 /nfs/dbraw/zinc/31/01/96/1061310196.db2.gz NCIYBFNQESOVMS-UHFFFAOYSA-N 0 3 315.816 4.033 20 0 DIADHN FC(F)[C@@H](NC[C@H]1CCCC12CCOCC2)C1CCCCC1 ZINC001473657549 1061321192 /nfs/dbraw/zinc/32/11/92/1061321192.db2.gz IVSXDWLJKAHTGK-CVEARBPZSA-N 0 3 315.448 4.387 20 0 DIADHN FC1(F)Oc2cccc(CN3CCC[C@H](C4CCC4)C3)c2O1 ZINC001473656879 1061321535 /nfs/dbraw/zinc/32/15/35/1061321535.db2.gz AFTZRJPSDNTKJM-ZDUSSCGKSA-N 0 3 309.356 4.020 20 0 DIADHN C[C@]1(CN(Cc2cccs2)Cc2ccncc2)CCCS1 ZINC001462656458 1061322783 /nfs/dbraw/zinc/32/27/83/1061322783.db2.gz XPQIBPJGOUNDNJ-QGZVFWFLSA-N 0 3 318.511 4.431 20 0 DIADHN Brc1cccnc1CNC1CCC(=C2CCC2)CC1 ZINC001462661493 1061325122 /nfs/dbraw/zinc/32/51/22/1061325122.db2.gz MEKDDDNIZVOZTJ-UHFFFAOYSA-N 0 3 321.262 4.357 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCCCC2(C)C)C[C@@H](CC(F)(F)F)O1 ZINC001473661266 1061326808 /nfs/dbraw/zinc/32/68/08/1061326808.db2.gz HCCUEXUHLOGHMJ-MGPQQGTHSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@H](c1nc2ccccc2n1C(F)F)N(C)C[C@@H]1CC1(C)C ZINC001473675783 1061337613 /nfs/dbraw/zinc/33/76/13/1061337613.db2.gz ZGFVHQMXTTZECP-NEPJUHHUSA-N 0 3 307.388 4.470 20 0 DIADHN C[C@]12CCCC[C@H]1CN2CCc1ccccc1Br ZINC001473693954 1061350897 /nfs/dbraw/zinc/35/08/97/1061350897.db2.gz XNGVXGIVYKALFE-HOCLYGCPSA-N 0 3 308.263 4.256 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C)C[C@H](C)C2CCCCC2)c1 ZINC001473706077 1061359791 /nfs/dbraw/zinc/35/97/91/1061359791.db2.gz IWWMZOCDKOVJNE-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN CCc1ccc(CN2CCN(c3cccc4[nH]ccc43)CC2)cc1 ZINC001473715578 1061365336 /nfs/dbraw/zinc/36/53/36/1061365336.db2.gz QKCUNXPXSQCITF-UHFFFAOYSA-N 0 3 319.452 4.053 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3F)C2(C)C)o1 ZINC001473726800 1061377809 /nfs/dbraw/zinc/37/78/09/1061377809.db2.gz OUFHAAPPARJWHZ-HNNXBMFYSA-N 0 3 302.393 4.144 20 0 DIADHN COc1ccc([C@@H](C)CN[C@H](CF)c2ccc(F)cc2)cc1 ZINC001473733409 1061378571 /nfs/dbraw/zinc/37/85/71/1061378571.db2.gz AIYCMIIWYXZIIX-SCLBCKFNSA-N 0 3 305.368 4.238 20 0 DIADHN Fc1cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)ccc1Cl ZINC001473738205 1061380071 /nfs/dbraw/zinc/38/00/71/1061380071.db2.gz KYYNRRQTDOOWRT-ZWNOBZJWSA-N 0 3 307.718 4.466 20 0 DIADHN COc1cc(CN(C)Cc2ccc3cc[nH]c3c2)ccc1Cl ZINC001473742369 1061383370 /nfs/dbraw/zinc/38/33/70/1061383370.db2.gz WMMZICQHDYHYFI-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN C[C@@H](NC(=O)CN(C)CC(C)(C)C)c1csc2ccccc21 ZINC001456954321 1061392182 /nfs/dbraw/zinc/39/21/82/1061392182.db2.gz VDBQTZXJSNOULC-CYBMUJFWSA-N 0 3 318.486 4.056 20 0 DIADHN CSc1ccc(OCCN2CC[C@](C)(C(F)(F)F)C2)cc1 ZINC001473773043 1061403230 /nfs/dbraw/zinc/40/32/30/1061403230.db2.gz OQHMUUFBMQOGHZ-AWEZNQCLSA-N 0 3 319.392 4.062 20 0 DIADHN CC(C)c1nsc(CN[C@@H](Cc2ccccc2)C(C)C)n1 ZINC001473792269 1061410925 /nfs/dbraw/zinc/41/09/25/1061410925.db2.gz OKXWLDWGJMDHRO-HNNXBMFYSA-N 0 3 303.475 4.018 20 0 DIADHN CC[C@H](NCc1cc(OC)ccn1)c1cccc2ccccc21 ZINC001473804937 1061413767 /nfs/dbraw/zinc/41/37/67/1061413767.db2.gz OIRHEBVNJNOUNN-FQEVSTJZSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@H](NC[C@@H]1CCCC(C)(C)O1)c1cc(F)ccc1OC ZINC001473813459 1061420662 /nfs/dbraw/zinc/42/06/62/1061420662.db2.gz KOVPBELPYLDMSI-HOCLYGCPSA-N 0 3 309.425 4.223 20 0 DIADHN COc1cccc(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)c1 ZINC001473842534 1061432445 /nfs/dbraw/zinc/43/24/45/1061432445.db2.gz ZDKFYUAJAQJNOS-NRFANRHFSA-N 0 3 321.420 4.136 20 0 DIADHN CCC[C@@H]1CCCN1Cc1ccc(OC)cc1Br ZINC001473859896 1061437278 /nfs/dbraw/zinc/43/72/78/1061437278.db2.gz ZKQNOSJFYRLSQR-CYBMUJFWSA-N 0 3 312.251 4.222 20 0 DIADHN FCCC1C[C@H]2CC[C@@H](C1)N2Cc1nc2ccccc2s1 ZINC001473864406 1061440467 /nfs/dbraw/zinc/44/04/67/1061440467.db2.gz VHYSOKZLLMTKEQ-AGUYFDCRSA-N 0 3 304.434 4.399 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCC[C@H](C3CCCC3)C2)c1 ZINC001473887892 1061450772 /nfs/dbraw/zinc/45/07/72/1061450772.db2.gz SCKZUFYDDHKEHO-INIZCTEOSA-N 0 3 319.420 4.015 20 0 DIADHN CC(C)(C)[C@@H]1CCN(CCCc2c(F)cccc2F)CCO1 ZINC001473897894 1061452579 /nfs/dbraw/zinc/45/25/79/1061452579.db2.gz RSUVSHKLDCSOJU-KRWDZBQOSA-N 0 3 311.416 4.034 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1ccc3ccccc3n1)C2 ZINC001473905099 1061455173 /nfs/dbraw/zinc/45/51/73/1061455173.db2.gz NZHUQCBZNBTWBN-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1cnc3ccccc3c1)C2 ZINC001473905375 1061455410 /nfs/dbraw/zinc/45/54/10/1061455410.db2.gz VQLJXGNRELOMRO-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN COc1ccc(F)cc1CNCCSCc1ccc(C)cc1 ZINC001473909144 1061456038 /nfs/dbraw/zinc/45/60/38/1061456038.db2.gz KDOBBONHFZOESL-UHFFFAOYSA-N 0 3 319.445 4.166 20 0 DIADHN CSc1ccc(CNC[C@H](c2ccccc2Cl)N(C)C)o1 ZINC001473911282 1061457825 /nfs/dbraw/zinc/45/78/25/1061457825.db2.gz GOHMWBNMTGSZAA-OAHLLOKOSA-N 0 3 324.877 4.047 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCCc1cccs1 ZINC001473911471 1061458938 /nfs/dbraw/zinc/45/89/38/1061458938.db2.gz CIHDLTWFZLPXEX-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN C[C@H](OCCCNCc1ccc(F)c(F)c1F)c1ccccc1 ZINC001473916560 1061462693 /nfs/dbraw/zinc/46/26/93/1061462693.db2.gz CEQHXTVMNXZNFH-ZDUSSCGKSA-N 0 3 323.358 4.361 20 0 DIADHN CCCn1cc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c(C)n1 ZINC001473915334 1061463641 /nfs/dbraw/zinc/46/36/41/1061463641.db2.gz SOXSJNXUJZHSGN-SFHVURJKSA-N 0 3 317.864 4.496 20 0 DIADHN CCCCOc1ccccc1CNCCOc1ccccc1F ZINC001473920286 1061467875 /nfs/dbraw/zinc/46/78/75/1061467875.db2.gz OKFHORTVRKLLCV-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN Fc1cccc2cc(CNCc3ccc(Cl)cc3)cnc12 ZINC001473918687 1061468153 /nfs/dbraw/zinc/46/81/53/1061468153.db2.gz JCVWWVYBONMVJX-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN COc1cccc2cc(CNCc3ccc4c(c3)CCC4)oc21 ZINC001473934754 1061479727 /nfs/dbraw/zinc/47/97/27/1061479727.db2.gz FWSVRNLMBZUUPN-UHFFFAOYSA-N 0 3 307.393 4.220 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1nc2c(s1)C[C@H](C)CC2 ZINC001473952259 1061494160 /nfs/dbraw/zinc/49/41/60/1061494160.db2.gz VUIOESRTGMCQPD-CHWSQXEVSA-N 0 3 318.461 4.128 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1nc2c(s1)C[C@H](C)CC2 ZINC001473952260 1061494205 /nfs/dbraw/zinc/49/42/05/1061494205.db2.gz VUIOESRTGMCQPD-OLZOCXBDSA-N 0 3 318.461 4.128 20 0 DIADHN CCn1cnc(CNCC2(c3ccc(F)cc3)CCCCC2)c1 ZINC001473959033 1061498862 /nfs/dbraw/zinc/49/88/62/1061498862.db2.gz SGEIHBCYCBGZGI-UHFFFAOYSA-N 0 3 315.436 4.034 20 0 DIADHN COc1ccc(Cl)cc1CNC/C=C/c1cccc(F)c1 ZINC001473962256 1061503747 /nfs/dbraw/zinc/50/37/47/1061503747.db2.gz AZGKPDLHBNDQPG-HWKANZROSA-N 0 3 305.780 4.291 20 0 DIADHN Cc1ncccc1CN[C@@H]1CCCc2cc(OC(F)F)ccc21 ZINC001473963437 1061504579 /nfs/dbraw/zinc/50/45/79/1061504579.db2.gz BMMWSURKKBTIEX-QGZVFWFLSA-N 0 3 318.367 4.159 20 0 DIADHN c1nc2cc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)ccc2s1 ZINC001473961114 1061505179 /nfs/dbraw/zinc/50/51/79/1061505179.db2.gz UTUUBHRNEOPFCV-PKOBYXMFSA-N 0 3 324.449 4.306 20 0 DIADHN CCc1cccc(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)c1 ZINC001473962292 1061505495 /nfs/dbraw/zinc/50/54/95/1061505495.db2.gz CFFGXFIYFGSXFB-WOJBJXKFSA-N 0 3 313.416 4.398 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccc4c(c3)C[C@H](C)O4)cc2c1C ZINC001473964611 1061506443 /nfs/dbraw/zinc/50/64/43/1061506443.db2.gz GIPONZGFPDYJMN-ZDUSSCGKSA-N 0 3 320.436 4.398 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2ncc(C(C)C)s2)cc1 ZINC001473966536 1061509376 /nfs/dbraw/zinc/50/93/76/1061509376.db2.gz MAUGXOAQHYIFIK-CQSZACIVSA-N 0 3 318.486 4.386 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)NC[C@@H](c2ccccc2)N(C)C)cc1 ZINC001463696516 1061513950 /nfs/dbraw/zinc/51/39/50/1061513950.db2.gz YXJARSDJSXPDMX-UZLBHIALSA-N 0 3 324.468 4.233 20 0 DIADHN Cc1ccccc1C1(C[NH2+]Cc2cc([O-])cc(Cl)c2)CC1 ZINC001473972372 1061516770 /nfs/dbraw/zinc/51/67/70/1061516770.db2.gz NDSNYMMQXSEOAD-UHFFFAOYSA-N 0 3 301.817 4.175 20 0 DIADHN COc1cccc2cc(CNC/C=C/c3cccc(F)c3)oc21 ZINC001473975667 1061518793 /nfs/dbraw/zinc/51/87/93/1061518793.db2.gz JPTVUVHDSLOXSH-GQCTYLIASA-N 0 3 311.356 4.384 20 0 DIADHN CC(C)CCCCC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC001463776443 1061520463 /nfs/dbraw/zinc/52/04/63/1061520463.db2.gz FZLVGVIQEIWRJD-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN CC(C)CCCCC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC001463776447 1061521838 /nfs/dbraw/zinc/52/18/38/1061521838.db2.gz FZLVGVIQEIWRJD-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cccc(COC)c1 ZINC001473989812 1061532604 /nfs/dbraw/zinc/53/26/04/1061532604.db2.gz GLZDXJDPIZVBJB-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN Cc1[nH]nc(CNCc2ccccc2Sc2ccccc2)c1C ZINC001473992758 1061537141 /nfs/dbraw/zinc/53/71/41/1061537141.db2.gz LJENUWOWHYOQFU-UHFFFAOYSA-N 0 3 323.465 4.468 20 0 DIADHN Fc1cccc(/C=C/CN[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC001474017144 1061559965 /nfs/dbraw/zinc/55/99/65/1061559965.db2.gz LCMWIHAAUWUPNT-FKIZTMCRSA-N 0 3 321.399 4.067 20 0 DIADHN CC(C)(CNc1nc(Cl)cs1)N1CCc2ccccc2C1 ZINC001458084523 1061564248 /nfs/dbraw/zinc/56/42/48/1061564248.db2.gz RVBNFZRDPPJKCV-UHFFFAOYSA-N 0 3 321.877 4.045 20 0 DIADHN CC[C@H](Cc1ccccc1C(F)(F)F)NCc1cnc(C)o1 ZINC001474024114 1061564483 /nfs/dbraw/zinc/56/44/83/1061564483.db2.gz GTSAYVHUHNAPBF-CYBMUJFWSA-N 0 3 312.335 4.113 20 0 DIADHN Cc1ccccc1O[C@H](C)CNCc1cc(Cl)ccc1F ZINC001474025598 1061566382 /nfs/dbraw/zinc/56/63/82/1061566382.db2.gz UZTOLLAKHMKHAK-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NCc1cccc2ccccc21 ZINC001474025673 1061566835 /nfs/dbraw/zinc/56/68/35/1061566835.db2.gz WPLAFQIMGAFHRY-FQEVSTJZSA-N 0 3 319.404 4.462 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cscc2Cl)C1(CC)CC ZINC001474027846 1061566925 /nfs/dbraw/zinc/56/69/25/1061566925.db2.gz IYWWCFJWIGORFL-UONOGXRCSA-N 0 3 301.883 4.475 20 0 DIADHN CCCC[C@H](O)CN1[C@@H]2Cc3ccccc3[C@@]1(C)c1ccccc12 ZINC001252095410 1061571561 /nfs/dbraw/zinc/57/15/61/1061571561.db2.gz WZMPWVAHBSZSHJ-WTOYTKOKSA-N 0 3 321.464 4.414 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ncc(C(C)C)s2)C1(CC)CC ZINC001474029693 1061571589 /nfs/dbraw/zinc/57/15/89/1061571589.db2.gz XCFTXIMKSGCPPY-GJZGRUSLSA-N 0 3 310.507 4.340 20 0 DIADHN C[C@]1(CNCc2c(Cl)cccc2Cl)CCCC[C@H]1O ZINC001474037975 1061582745 /nfs/dbraw/zinc/58/27/45/1061582745.db2.gz PZFMWAIOAVKNHM-HUUCEWRRSA-N 0 3 302.245 4.024 20 0 DIADHN C[C@H](NCc1cccc(F)c1N)c1ccc(-c2ccncc2)cc1 ZINC001474039220 1061583145 /nfs/dbraw/zinc/58/31/45/1061583145.db2.gz OYKGDWRXPKKASC-AWEZNQCLSA-N 0 3 321.399 4.321 20 0 DIADHN CO[C@H](CNCc1cc(F)cc(F)c1)c1ccccc1Cl ZINC001474044948 1061591749 /nfs/dbraw/zinc/59/17/49/1061591749.db2.gz IQEQLUBMSAZFOS-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN CC[C@@H](C(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1)N(C)C ZINC001464509052 1061599360 /nfs/dbraw/zinc/59/93/60/1061599360.db2.gz XPYVSYMINLRTOW-YWZLYKJASA-N 0 3 324.468 4.232 20 0 DIADHN CC(C)CCc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC001464591761 1061610732 /nfs/dbraw/zinc/61/07/32/1061610732.db2.gz SIRSNEMZTIAMFR-QGZVFWFLSA-N 0 3 316.489 4.478 20 0 DIADHN Cc1n[nH]c(CNCc2cccc(-c3ccc(C)cc3)c2)c1C ZINC001474069594 1061616481 /nfs/dbraw/zinc/61/64/81/1061616481.db2.gz ZPXMPCAZWXVVHX-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCC1(C)OCCO1 ZINC001474072404 1061618838 /nfs/dbraw/zinc/61/88/38/1061618838.db2.gz WGPBXAUMVLBJQU-JXAWBTAJSA-N 0 3 303.446 4.003 20 0 DIADHN CCCCC/C(=C\c1ccccc1)CNCC1(C)OCCO1 ZINC001474072405 1061619549 /nfs/dbraw/zinc/61/95/49/1061619549.db2.gz WGPBXAUMVLBJQU-NBVRZTHBSA-N 0 3 303.446 4.003 20 0 DIADHN CCCCC[C@@H](NC(=O)CN(C)CC(C)(C)C)c1ccccc1 ZINC001464795617 1061634492 /nfs/dbraw/zinc/63/44/92/1061634492.db2.gz ZSPDLORTPZFTJA-GOSISDBHSA-N 0 3 318.505 4.402 20 0 DIADHN CN(C)CCN(C(=O)C[C@H]1CCCCC1(C)C)C1CCCCC1 ZINC001464831908 1061640285 /nfs/dbraw/zinc/64/02/85/1061640285.db2.gz AHRCLQIAALOKSS-QGZVFWFLSA-N 0 3 322.537 4.316 20 0 DIADHN COc1ccc(C[C@H](C)CNCc2ncc(C(C)C)s2)cc1 ZINC001474097060 1061641802 /nfs/dbraw/zinc/64/18/02/1061641802.db2.gz SGNPSLYWTNDETQ-AWEZNQCLSA-N 0 3 318.486 4.244 20 0 DIADHN CCOC1CC(CCNCc2nc(-c3cccs3)oc2C)C1 ZINC001474116687 1061666345 /nfs/dbraw/zinc/66/63/45/1061666345.db2.gz CHMROIKTENNZDV-UHFFFAOYSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@H](CO)CC2CCCC2)c1Cl ZINC001474126487 1061674349 /nfs/dbraw/zinc/67/43/49/1061674349.db2.gz FRCJEZUFQXOUNE-CQSZACIVSA-N 0 3 316.272 4.333 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H](C(C)(C)C)CC1 ZINC001465143667 1061689458 /nfs/dbraw/zinc/68/94/58/1061689458.db2.gz RJZGNXKJZXWIIL-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CO[C@@](C)(CNCc1ccccc1OC(C)C)c1ccccc1 ZINC001474153385 1061695429 /nfs/dbraw/zinc/69/54/29/1061695429.db2.gz HOMFQZFNGANXTJ-FQEVSTJZSA-N 0 3 313.441 4.125 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3ccc(C(C)(F)F)cc3)ccc2O1 ZINC001474161695 1061703279 /nfs/dbraw/zinc/70/32/79/1061703279.db2.gz CGCJWVUBDCTKEP-CYBMUJFWSA-N 0 3 317.379 4.412 20 0 DIADHN COc1cc(F)cc(CNCc2ccc3sccc3c2)c1 ZINC001474167596 1061711750 /nfs/dbraw/zinc/71/17/50/1061711750.db2.gz HLFCNCAYSHHQNI-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001465204728 1061711902 /nfs/dbraw/zinc/71/19/02/1061711902.db2.gz YUSDDNYBWZTQSC-UHFFFAOYSA-N 0 3 319.493 4.036 20 0 DIADHN CCC[C@@H](O)CN1[C@@H]2Cc3ccccc3[C@@]1(C)c1ccccc12 ZINC001252789142 1061717177 /nfs/dbraw/zinc/71/71/77/1061717177.db2.gz MUROEGMWPARWTH-HBGVWJBISA-N 0 3 307.437 4.024 20 0 DIADHN CCC[C@H](O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC001252787281 1061718701 /nfs/dbraw/zinc/71/87/01/1061718701.db2.gz MHTFTYDYOIENQH-BBRMVZONSA-N 0 3 315.379 4.393 20 0 DIADHN CCOc1ccc(C[C@@H](C)CNCc2c(F)cccc2F)cc1 ZINC001474170199 1061719102 /nfs/dbraw/zinc/71/91/02/1061719102.db2.gz LQOYOXQGMZVSRZ-CQSZACIVSA-N 0 3 319.395 4.332 20 0 DIADHN CC[C@H](C)N[C@H](CNc1nc2ccc(F)cc2o1)c1ccco1 ZINC001458369211 1061727392 /nfs/dbraw/zinc/72/73/92/1061727392.db2.gz DWEDFJDYTNEFBT-SMDDNHRTSA-N 0 3 317.364 4.101 20 0 DIADHN CC(C)(NC[C@H](O)c1ccc(F)cc1)c1ccccc1Cl ZINC001252865196 1061748635 /nfs/dbraw/zinc/74/86/35/1061748635.db2.gz WMYDGUOVUINFCJ-INIZCTEOSA-N 0 3 307.796 4.037 20 0 DIADHN CC[C@H](COCC1CC1)NCc1cc(Cl)ccc1Cl ZINC001474207614 1061763474 /nfs/dbraw/zinc/76/34/74/1061763474.db2.gz ZANUDDKXFFXUBB-CQSZACIVSA-N 0 3 302.245 4.288 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)c1 ZINC001474216613 1061773370 /nfs/dbraw/zinc/77/33/70/1061773370.db2.gz ZJFGTTWHKDBTBO-USXIJHARSA-N 0 3 305.755 4.046 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(-c2ccccc2)cc1)N(CC)CC ZINC001465541400 1061774060 /nfs/dbraw/zinc/77/40/60/1061774060.db2.gz COVBSKUNNUSUDJ-FQEVSTJZSA-N 0 3 324.468 4.437 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](O)CNCc1ccc(Cl)cc1Cl ZINC001474220794 1061779930 /nfs/dbraw/zinc/77/99/30/1061779930.db2.gz QKRWCEZQRHPKGS-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](O)CNCc1ccc(Cl)cc1Cl ZINC001474220792 1061781353 /nfs/dbraw/zinc/78/13/53/1061781353.db2.gz QKRWCEZQRHPKGS-SJCJKPOMSA-N 0 3 324.251 4.248 20 0 DIADHN COc1cccc2cc(CN[C@@H]3c4ccccc4C[C@H]3C)oc21 ZINC001253095871 1061802249 /nfs/dbraw/zinc/80/22/49/1061802249.db2.gz ORCGKZDJBHVCPU-YJYMSZOUSA-N 0 3 307.393 4.465 20 0 DIADHN C[C@](O)(CN1CCC[C@@H]1c1cc(F)ccc1F)c1ccccc1 ZINC001253102843 1061804548 /nfs/dbraw/zinc/80/45/48/1061804548.db2.gz VGORLHWDZUMGKI-MOPGFXCFSA-N 0 3 317.379 4.009 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(CSC(F)F)o2)OC1 ZINC001474249792 1061814983 /nfs/dbraw/zinc/81/49/83/1061814983.db2.gz TULMYMNMPANLGZ-NSHDSACASA-N 0 3 319.417 4.030 20 0 DIADHN CC[C@@H](CNCc1noc2c1CC(C)(C)CC2)c1ccccc1 ZINC001474254664 1061822748 /nfs/dbraw/zinc/82/27/48/1061822748.db2.gz FWDKSXSLLUHMTF-HNNXBMFYSA-N 0 3 312.457 4.473 20 0 DIADHN CC1(C)CCc2onc(CNC[C@H]3CCCC(C)(C)C3)c2C1 ZINC001474254979 1061823807 /nfs/dbraw/zinc/82/38/07/1061823807.db2.gz UKIKGKJBIJZIGD-AWEZNQCLSA-N 0 3 304.478 4.496 20 0 DIADHN O=c1[nH]c2cc(CNCc3csc4ccccc34)ccc2o1 ZINC001474258361 1061825245 /nfs/dbraw/zinc/82/52/45/1061825245.db2.gz WAVVKFBAHBSZBI-UHFFFAOYSA-N 0 3 310.378 4.038 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3ccc(Cl)c(F)c3)ccc2O1 ZINC001474259985 1061830015 /nfs/dbraw/zinc/83/00/15/1061830015.db2.gz OTFBNLLMHMYXEW-LLVKDONJSA-N 0 3 305.780 4.092 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1c(Cl)cc(Cl)cc1N(C)C ZINC001474272157 1061837694 /nfs/dbraw/zinc/83/76/94/1061837694.db2.gz RQUKVKYHWUZGOY-ADGXKJENSA-N 0 3 313.272 4.194 20 0 DIADHN CC[C@H](CNCc1ccc(C)cc1Cl)C(=O)OC(C)(C)C ZINC001474274771 1061841765 /nfs/dbraw/zinc/84/17/65/1061841765.db2.gz IPISUCAPHYCCQN-CYBMUJFWSA-N 0 3 311.853 4.106 20 0 DIADHN C[C@@]1(NC/C=C\c2ccc(F)c(F)c2)CCOc2ccccc21 ZINC001474278194 1061843588 /nfs/dbraw/zinc/84/35/88/1061843588.db2.gz JTBKJVFZFHQWIL-PHWHVTFISA-N 0 3 315.363 4.266 20 0 DIADHN Cc1ccc(Cl)cc1CNCc1cccc2c1CCOC2 ZINC001474287947 1061858314 /nfs/dbraw/zinc/85/83/14/1061858314.db2.gz HPZNUOIVVSKZMV-UHFFFAOYSA-N 0 3 301.817 4.011 20 0 DIADHN Fc1cccc(COc2ccc(CNC/C=C\Cl)cc2)c1 ZINC001474290567 1061859618 /nfs/dbraw/zinc/85/96/18/1061859618.db2.gz ONFFVJNFXMMBTQ-MBXJOHMKSA-N 0 3 305.780 4.247 20 0 DIADHN C[C@@H](NC/C=C/c1ccc(F)cc1)c1cnn(CC2CCC2)c1 ZINC001474295255 1061864951 /nfs/dbraw/zinc/86/49/51/1061864951.db2.gz NVMJPNQUZWBYLU-YFCVBGDOSA-N 0 3 313.420 4.186 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2C[C@H]2Cc2ccccc2)n1 ZINC001474299665 1061868170 /nfs/dbraw/zinc/86/81/70/1061868170.db2.gz IUOZZEIGJSPZKS-HZPDHXFCSA-N 0 3 314.498 4.409 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)c1cc(F)ccc1N(C)C ZINC001474304420 1061873961 /nfs/dbraw/zinc/87/39/61/1061873961.db2.gz RODJMLDRUZPTPF-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN CC[C@H]1CCC[C@@]1(C)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001466095251 1061876129 /nfs/dbraw/zinc/87/61/29/1061876129.db2.gz AROSBCFOFXMXRF-QFBILLFUSA-N 0 3 302.462 4.212 20 0 DIADHN COc1ncc(CN(C)[C@H]2CCC[C@H]2c2ccc(C)cc2)s1 ZINC001474313020 1061883597 /nfs/dbraw/zinc/88/35/97/1061883597.db2.gz SGQCHFNQIUNUCZ-IRXDYDNUSA-N 0 3 316.470 4.228 20 0 DIADHN CCCCCCN(C)CC(=O)NCC(C)(C)C1CCCCC1 ZINC001466151801 1061886456 /nfs/dbraw/zinc/88/64/56/1061886456.db2.gz SVGHZCJGLHGSPI-UHFFFAOYSA-N 0 3 310.526 4.221 20 0 DIADHN CC[C@H](C(=O)N[C@H](c1cccc(Cl)c1)C1CCCC1)N(C)C ZINC001466190599 1061892738 /nfs/dbraw/zinc/89/27/38/1061892738.db2.gz DDHIPWSCLOOPLN-SJORKVTESA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](C(=O)N[C@@H](c1cccc(Cl)c1)C1CCCC1)N(C)C ZINC001466190595 1061893807 /nfs/dbraw/zinc/89/38/07/1061893807.db2.gz DDHIPWSCLOOPLN-IAGOWNOFSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)[C@H](CO)C(C)C)c(F)c1 ZINC001474321683 1061903025 /nfs/dbraw/zinc/90/30/25/1061903025.db2.gz QEVYODYIJVVKPG-HXUWFJFHSA-N 0 3 315.432 4.153 20 0 DIADHN CSc1ccc(CN(Cc2ccccc2)[C@@H](CO)C(C)C)o1 ZINC001474321737 1061904451 /nfs/dbraw/zinc/90/44/51/1061904451.db2.gz RKHFILANLAAEDB-KRWDZBQOSA-N 0 3 319.470 4.021 20 0 DIADHN CCCCC[C@H](O)CN1CCC[C@H]1c1ccc(Cl)s1 ZINC001253536651 1061916331 /nfs/dbraw/zinc/91/63/31/1061916331.db2.gz TVQIUHXQVCGDQN-STQMWFEESA-N 0 3 301.883 4.480 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nc2ccc(Cl)cc2n1C ZINC001474328454 1061915077 /nfs/dbraw/zinc/91/50/77/1061915077.db2.gz NWCQVTOZXYHDDL-OAHLLOKOSA-N 0 3 305.853 4.237 20 0 DIADHN Fc1ccc(F)c(S[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC001458862551 1061922535 /nfs/dbraw/zinc/92/25/35/1061922535.db2.gz QINXZHBKVXBMOZ-HNNXBMFYSA-N 0 3 305.393 4.331 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@@H](C)[C@@H]3C)n2)c1 ZINC001474332779 1061923275 /nfs/dbraw/zinc/92/32/75/1061923275.db2.gz OWLABHJIBYAVMX-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN CN(CCCOc1ccc(F)cc1)Cc1cc(Cl)cs1 ZINC001474331930 1061923962 /nfs/dbraw/zinc/92/39/62/1061923962.db2.gz QHNJZJVZCXINCT-UHFFFAOYSA-N 0 3 313.825 4.442 20 0 DIADHN CCCCC[C@@H](O)CN[C@@H](c1ccccc1)c1ccc(O)cc1 ZINC001253558248 1061928471 /nfs/dbraw/zinc/92/84/71/1061928471.db2.gz UMVFLCXGPMHIRS-UXHICEINSA-N 0 3 313.441 4.012 20 0 DIADHN Cn1cc(CN(Cc2ccccc2)CC(C)(C)C)c(C2CC2)n1 ZINC001474334866 1061931294 /nfs/dbraw/zinc/93/12/94/1061931294.db2.gz CMQUKCNPUQLDCG-UHFFFAOYSA-N 0 3 311.473 4.346 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@H](c2cccc(OC)c2)C1 ZINC001474334991 1061931643 /nfs/dbraw/zinc/93/16/43/1061931643.db2.gz GVLRVNHMZFDGOM-KPFFTGBYSA-N 0 3 317.473 4.285 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1nccc2c1CCCC2 ZINC001474343361 1061939199 /nfs/dbraw/zinc/93/91/99/1061939199.db2.gz NWZJROGQILVGEE-HXUWFJFHSA-N 0 3 322.452 4.306 20 0 DIADHN CCC[C@@H]1CCCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001474344110 1061944656 /nfs/dbraw/zinc/94/46/56/1061944656.db2.gz CIKQDQVVWFVENM-GFCCVEGCSA-N 0 3 301.352 4.439 20 0 DIADHN CC[C@H]1CCC[C@@H](CN(C)CC(=O)Nc2cccc(C)c2C)C1 ZINC001474349041 1061954897 /nfs/dbraw/zinc/95/48/97/1061954897.db2.gz SRKSMISIYUNPLI-ZWKOTPCHSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@H](CN1CCOC2(C1)CCCCCC2)c1ccc(F)cc1 ZINC001474348979 1061955221 /nfs/dbraw/zinc/95/52/21/1061955221.db2.gz NNJKZPZBZDAXFQ-MRXNPFEDSA-N 0 3 305.437 4.354 20 0 DIADHN CC(C)(C)[C@@H](O)CN1CC[C@@H](c2cccc(Cl)c2Cl)C1 ZINC001253727582 1061961337 /nfs/dbraw/zinc/96/13/37/1061961337.db2.gz FPADIPGVOBRBSR-RISCZKNCSA-N 0 3 316.272 4.190 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2cc(C)cc(Cl)c2)cc1 ZINC001474355039 1061967108 /nfs/dbraw/zinc/96/71/08/1061967108.db2.gz XIGOGBTUMDZTDC-GOSISDBHSA-N 0 3 315.844 4.474 20 0 DIADHN CCc1ncc(CN2CCCC[C@H]2CCCc2ccccc2)cn1 ZINC001474356218 1061975412 /nfs/dbraw/zinc/97/54/12/1061975412.db2.gz XBFPADAAEMORTP-FQEVSTJZSA-N 0 3 323.484 4.416 20 0 DIADHN CC(C)[C@H](O)CN(Cc1ccc(Cl)cc1Cl)C(C)C ZINC001253769275 1061979112 /nfs/dbraw/zinc/97/91/12/1061979112.db2.gz YMXJLGXJLCOVJN-OAHLLOKOSA-N 0 3 304.261 4.221 20 0 DIADHN CCc1cnc(CN2C[C@@H](c3ccccc3C)C[C@@H]2C)s1 ZINC001474360357 1061983319 /nfs/dbraw/zinc/98/33/19/1061983319.db2.gz OAANTZCWTPKVMU-GJZGRUSLSA-N 0 3 300.471 4.392 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(C)c(C(=O)OC)[nH]1 ZINC001474361699 1061983891 /nfs/dbraw/zinc/98/38/91/1061983891.db2.gz AAZSZELNHCKPDR-KRWDZBQOSA-N 0 3 314.429 4.083 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccccc3C)C[C@@H]2C)s1 ZINC001474360359 1061984611 /nfs/dbraw/zinc/98/46/11/1061984611.db2.gz OAANTZCWTPKVMU-LSDHHAIUSA-N 0 3 300.471 4.392 20 0 DIADHN Clc1ccc(-c2cccc(CN3CCc4cn[nH]c4C3)c2)cc1 ZINC001474360837 1061984793 /nfs/dbraw/zinc/98/47/93/1061984793.db2.gz GLHQGVNIPDSAEB-UHFFFAOYSA-N 0 3 323.827 4.288 20 0 DIADHN C[C@H]1c2ccccc2OCCN1CCCc1ccc(F)c(F)c1 ZINC001474364105 1061989769 /nfs/dbraw/zinc/98/97/69/1061989769.db2.gz UDNNMGWFZMDHMB-AWEZNQCLSA-N 0 3 317.379 4.353 20 0 DIADHN O=c1ccc(CN(Cc2ccc(Cl)c(Cl)c2)C2CC2)c[nH]1 ZINC001474364358 1061992041 /nfs/dbraw/zinc/99/20/41/1061992041.db2.gz WIOUCAXZGKDVIC-UHFFFAOYSA-N 0 3 323.223 4.259 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@@H](C)[C@H](C)C3)n2)c1 ZINC001474369229 1062000549 /nfs/dbraw/zinc/00/05/49/1062000549.db2.gz DLHOAIMHDZATHC-ZIAGYGMSSA-N 0 3 316.470 4.297 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Sc3ccccn3)o2)C[C@H]1C ZINC001474370031 1062006447 /nfs/dbraw/zinc/00/64/47/1062006447.db2.gz NYIPLZZZHBKWDM-ZIAGYGMSSA-N 0 3 302.443 4.304 20 0 DIADHN C[C@H]1CCN(Cc2cccc(F)c2OCC(F)(F)F)C[C@H]1C ZINC001474370294 1062006805 /nfs/dbraw/zinc/00/68/05/1062006805.db2.gz RSEVHYPGEWCUCS-NWDGAFQWSA-N 0 3 319.342 4.245 20 0 DIADHN C[C@H]1CN(Cc2ccc(Sc3ccccn3)o2)CC[C@@H]1C ZINC001474370026 1062007232 /nfs/dbraw/zinc/00/72/32/1062007232.db2.gz NYIPLZZZHBKWDM-KBPBESRZSA-N 0 3 302.443 4.304 20 0 DIADHN C[C@@H]1[C@H](C)SCCN1Cc1ccc(-c2ccc(F)cc2)o1 ZINC001474369813 1062008028 /nfs/dbraw/zinc/00/80/28/1062008028.db2.gz PEXBHBFMKZYGST-OLZOCXBDSA-N 0 3 305.418 4.412 20 0 DIADHN C[C@@H]1CCN(Cc2cccc(F)c2OCC(F)(F)F)C[C@@H]1C ZINC001474370293 1062011089 /nfs/dbraw/zinc/01/10/89/1062011089.db2.gz RSEVHYPGEWCUCS-NEPJUHHUSA-N 0 3 319.342 4.245 20 0 DIADHN CC[C@H]1COCCN1CCCCc1cccc(C(F)(F)F)c1 ZINC001474376789 1062019844 /nfs/dbraw/zinc/01/98/44/1062019844.db2.gz TUHDHFQMYPUVKB-INIZCTEOSA-N 0 3 315.379 4.139 20 0 DIADHN CC[C@@H](CN1CCO[C@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC001474377690 1062019918 /nfs/dbraw/zinc/01/99/18/1062019918.db2.gz QFVIWBCILARQGT-JXFKEZNVSA-N 0 3 313.416 4.393 20 0 DIADHN CN(CCCCc1ccccc1)Cc1cnc2ccccc2n1 ZINC001474375631 1062021263 /nfs/dbraw/zinc/02/12/63/1062021263.db2.gz BPGXIJUYWGUAFL-UHFFFAOYSA-N 0 3 305.425 4.085 20 0 DIADHN CC[C@H](CN1CCO[C@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC001474377693 1062021654 /nfs/dbraw/zinc/02/16/54/1062021654.db2.gz QFVIWBCILARQGT-UZLBHIALSA-N 0 3 313.416 4.393 20 0 DIADHN CCOC(=O)CCN(Cc1c(Cl)cccc1CC)CC1CC1 ZINC001474379575 1062023007 /nfs/dbraw/zinc/02/30/07/1062023007.db2.gz XVBSSODESAHORD-UHFFFAOYSA-N 0 3 323.864 4.068 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC001474383630 1062029067 /nfs/dbraw/zinc/02/90/67/1062029067.db2.gz VGNFTXVXIBEMKB-FNHZYXHNSA-N 0 3 303.494 4.481 20 0 DIADHN Cc1ccc(CN(CCO)Cc2c(C)cc(Cl)cc2C)cc1 ZINC001474392305 1062044236 /nfs/dbraw/zinc/04/42/36/1062044236.db2.gz QZDHGUVUEHJKPS-UHFFFAOYSA-N 0 3 317.860 4.260 20 0 DIADHN CC(C)[C@H]1CCN1Cc1ccc(Oc2ccc(F)cc2)nc1 ZINC001474392919 1062045907 /nfs/dbraw/zinc/04/59/07/1062045907.db2.gz CSCRHRKMBMXNNU-QGZVFWFLSA-N 0 3 300.377 4.243 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@H](C)c1cccc(F)c1F ZINC001254206393 1062047044 /nfs/dbraw/zinc/04/70/44/1062047044.db2.gz MJKGQXNEIYZZNC-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1C1CCC(F)(F)CC1 ZINC001254211897 1062050019 /nfs/dbraw/zinc/05/00/19/1062050019.db2.gz MDIJVAPGJFSYHW-LBPRGKRZSA-N 0 3 305.372 4.320 20 0 DIADHN CC[C@@H]1CCCCN1Cc1c(C)nc2scc(C3CC3)n12 ZINC001474399756 1062051921 /nfs/dbraw/zinc/05/19/21/1062051921.db2.gz LYFQPGNOVHQEAW-CQSZACIVSA-N 0 3 303.475 4.346 20 0 DIADHN CCC1CCC(N(C)Cc2cc(OC)c(O)cc2Cl)CC1 ZINC001474401422 1062055548 /nfs/dbraw/zinc/05/55/48/1062055548.db2.gz NISZVJNNPXMHKN-UHFFFAOYSA-N 0 3 311.853 4.455 20 0 DIADHN Cc1cccc(N2CCN(C3CCC(F)(F)CC3)CC2)c1C ZINC001254223455 1062056847 /nfs/dbraw/zinc/05/68/47/1062056847.db2.gz LWQANIKNJMUZMB-UHFFFAOYSA-N 0 3 308.416 4.003 20 0 DIADHN Cc1cccc(C)c1N1CCN(C2CCC(F)(F)CC2)CC1 ZINC001254225999 1062057387 /nfs/dbraw/zinc/05/73/87/1062057387.db2.gz OHHWCEPOHITRQZ-UHFFFAOYSA-N 0 3 308.416 4.003 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCCC[C@H]3C2)c(C2CCCC2)n1 ZINC001474403818 1062063998 /nfs/dbraw/zinc/06/39/98/1062063998.db2.gz GWARSZGTDZBSHI-RDJZCZTQSA-N 0 3 301.478 4.090 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CCN(C(C)(C)C)CC1 ZINC001459350365 1062103415 /nfs/dbraw/zinc/10/34/15/1062103415.db2.gz XPTLBCSXDWGOQV-GFCCVEGCSA-N 0 3 315.288 4.471 20 0 DIADHN C[C@@H](CCN1CCN(Cc2cccs2)CC1)c1ccccc1 ZINC001474411949 1062078357 /nfs/dbraw/zinc/07/83/57/1062078357.db2.gz VFDHRKHEHNAOGZ-KRWDZBQOSA-N 0 3 314.498 4.060 20 0 DIADHN CCSc1cccc(CN2CCC[C@H]2c2cccn2C)c1 ZINC001474411511 1062078987 /nfs/dbraw/zinc/07/89/87/1062078987.db2.gz LMEKVSSPARYLTA-SFHVURJKSA-N 0 3 300.471 4.474 20 0 DIADHN COc1ccc(CN(C)CCSC2CCCCC2)cc1F ZINC001459309840 1062080609 /nfs/dbraw/zinc/08/06/09/1062080609.db2.gz KWFJUUDHAZIMOV-UHFFFAOYSA-N 0 3 311.466 4.332 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1ccc(Cl)c(F)c1 ZINC001459312286 1062080876 /nfs/dbraw/zinc/08/08/76/1062080876.db2.gz ZYSOJOFYMVLVKA-UHFFFAOYSA-N 0 3 314.832 4.176 20 0 DIADHN CCC(C)(C)CC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001459327445 1062094734 /nfs/dbraw/zinc/09/47/34/1062094734.db2.gz NRMGNEPNYGADIV-SFHVURJKSA-N 0 3 318.505 4.086 20 0 DIADHN COc1cncc(/C=C\CCN(C)[C@@H](C)c2ccccc2F)c1 ZINC001459338196 1062096437 /nfs/dbraw/zinc/09/64/37/1062096437.db2.gz NJIQKXDZXJAQCB-LYASGUKUSA-N 0 3 314.404 4.326 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)C[C@H](O)CCc1ccccc1 ZINC001459340614 1062097145 /nfs/dbraw/zinc/09/71/45/1062097145.db2.gz VATYURQFPUFEKG-DOTOQJQBSA-N 0 3 317.860 4.327 20 0 DIADHN CO[C@H](Cc1ccccc1)CN(C)[C@H](C)c1ccccc1F ZINC001459345366 1062101056 /nfs/dbraw/zinc/10/10/56/1062101056.db2.gz YZCLUMZHAUATMU-NVXWUHKLSA-N 0 3 301.405 4.076 20 0 DIADHN Brc1ccc2c(c1)CN(C1CCC3(CC3)CC1)C2 ZINC001254441216 1062103839 /nfs/dbraw/zinc/10/38/39/1062103839.db2.gz KJKKEHKYZGCQLS-UHFFFAOYSA-N 0 3 306.247 4.488 20 0 DIADHN Brc1cccc2c1CN(C1CCC3(CC3)CC1)C2 ZINC001254446652 1062105560 /nfs/dbraw/zinc/10/55/60/1062105560.db2.gz BMXQQOGQECTCKS-UHFFFAOYSA-N 0 3 306.247 4.488 20 0 DIADHN CCc1ccc(C2=CCN(Cc3cccc4c3OCC4)CC2)cc1 ZINC001474433687 1062110656 /nfs/dbraw/zinc/11/06/56/1062110656.db2.gz VMVJRERQBWTFJO-UHFFFAOYSA-N 0 3 319.448 4.473 20 0 DIADHN c1ccc([C@H]2COCCN2C2CCc3ccccc3CC2)cc1 ZINC001254456433 1062115432 /nfs/dbraw/zinc/11/54/32/1062115432.db2.gz NAMPVEURUFTCNJ-OAQYLSRUSA-N 0 3 307.437 4.008 20 0 DIADHN C[C@@H]1CCSCCN1Cc1ccc(Oc2ccccc2)o1 ZINC001474442696 1062121450 /nfs/dbraw/zinc/12/14/50/1062121450.db2.gz OUGPJUOQOKXAES-CQSZACIVSA-N 0 3 303.427 4.399 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC[C@H](C)CC1 ZINC001459385878 1062121547 /nfs/dbraw/zinc/12/15/47/1062121547.db2.gz LMXLODBZWPJWHD-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN CCCn1cc(CN2CCC(c3ccsc3)CC2)c(C)n1 ZINC001474454933 1062134995 /nfs/dbraw/zinc/13/49/95/1062134995.db2.gz OAGZZJUETZLBDZ-UHFFFAOYSA-N 0 3 303.475 4.043 20 0 DIADHN CC[C@H](CN(CC)Cc1ccnc(OC)c1F)c1ccccc1 ZINC001474459454 1062138039 /nfs/dbraw/zinc/13/80/39/1062138039.db2.gz CGSBIONMRDGPBL-OAHLLOKOSA-N 0 3 316.420 4.245 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3C[C@@H](C)CC[C@H]3C)c2)CC1 ZINC001474462060 1062139989 /nfs/dbraw/zinc/13/99/89/1062139989.db2.gz ZQZHPJCZRGRZFF-SQGPQFPESA-N 0 3 315.505 4.065 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@H](C)C[C@H]3C)c2)CC1 ZINC001474462016 1062140102 /nfs/dbraw/zinc/14/01/02/1062140102.db2.gz YECMFDQIFZGFBS-SQGPQFPESA-N 0 3 315.505 4.065 20 0 DIADHN CN1CCN(C/C=C\c2ccc(Cl)cc2)Cc2ccccc21 ZINC001459423844 1062141160 /nfs/dbraw/zinc/14/11/60/1062141160.db2.gz GOXZBAZCHOGZDM-PLNGDYQASA-N 0 3 312.844 4.305 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCOCC1CCCC1 ZINC001459425303 1062142403 /nfs/dbraw/zinc/14/24/03/1062142403.db2.gz JVRUEJHBSOUXMF-GOSISDBHSA-N 0 3 303.446 4.039 20 0 DIADHN CC[C@@]1(C)COCCN1C[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC001474463702 1062144500 /nfs/dbraw/zinc/14/45/00/1062144500.db2.gz VWOWLSOMSPZLAY-CJNGLKHVSA-N 0 3 315.379 4.310 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@H](OCC2CC2)C1 ZINC001474466589 1062146027 /nfs/dbraw/zinc/14/60/27/1062146027.db2.gz MCDQETKSLXETSZ-IBGZPJMESA-N 0 3 317.473 4.112 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1CCOCC1CCC1 ZINC001459451328 1062147708 /nfs/dbraw/zinc/14/77/08/1062147708.db2.gz RDFNYWAYTZSIJR-GOSISDBHSA-N 0 3 303.446 4.039 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc(O)c(F)c1F ZINC001474471312 1062152639 /nfs/dbraw/zinc/15/26/39/1062152639.db2.gz KTXJPOKHALUSTD-SNVBAGLBSA-N 0 3 309.381 4.243 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1cc2c(ccnc2Cl)o1 ZINC001474479683 1062157535 /nfs/dbraw/zinc/15/75/35/1062157535.db2.gz QEXHPAJCOIHNAM-KRWDZBQOSA-N 0 3 322.836 4.262 20 0 DIADHN Cc1ncsc1CN(C)CCc1c(Cl)cccc1Cl ZINC001459468979 1062157995 /nfs/dbraw/zinc/15/79/95/1062157995.db2.gz UODRRHMITOZVPP-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1coc(-c2ccccc2F)n1 ZINC001459494530 1062168577 /nfs/dbraw/zinc/16/85/77/1062168577.db2.gz WTQJGWZZKFRHIZ-KRWDZBQOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1cc(O)cc(C(F)(F)F)c1 ZINC001459493153 1062169990 /nfs/dbraw/zinc/16/99/90/1062169990.db2.gz UZZGCGJAOIHXHB-HNNXBMFYSA-N 0 3 301.352 4.422 20 0 DIADHN CCOC(=O)C1(C)CC(N[C@@H](C)c2oc3ccccc3c2C)C1 ZINC001474496544 1062176534 /nfs/dbraw/zinc/17/65/34/1062176534.db2.gz OLRKPOFEDWVCCC-CDJWAVJASA-N 0 3 315.413 4.124 20 0 DIADHN CC[C@@](C)(CN[C@H]1CCOc2c(Cl)c(Cl)ccc21)OC ZINC001474495620 1062176725 /nfs/dbraw/zinc/17/67/25/1062176725.db2.gz JBUKRTBFUGGVMN-WFASDCNBSA-N 0 3 318.244 4.222 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)[C@H](C)N2CCCCCC2)c2ccccc21 ZINC001467703655 1062184817 /nfs/dbraw/zinc/18/48/17/1062184817.db2.gz ZKXMIHPPPFGOQC-WMZOPIPTSA-N 0 3 314.473 4.037 20 0 DIADHN CCc1cccc(F)c1CNCCc1nc2ccccc2s1 ZINC001474505843 1062188297 /nfs/dbraw/zinc/18/82/97/1062188297.db2.gz GECOAIFRVJKMEV-UHFFFAOYSA-N 0 3 314.429 4.330 20 0 DIADHN C[C@H]1CC[C@@H](c2ccccc2)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC001474514726 1062197052 /nfs/dbraw/zinc/19/70/52/1062197052.db2.gz FUUVMEKZRZIHSE-YOEHRIQHSA-N 0 3 323.392 4.359 20 0 DIADHN CC/C=C/CCN1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC001459558210 1062207631 /nfs/dbraw/zinc/20/76/31/1062207631.db2.gz HPZVTJAVOQJZBR-DWTRPJMMSA-N 0 3 306.881 4.375 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1CCCC(C)(C)CC1 ZINC001459562130 1062212453 /nfs/dbraw/zinc/21/24/53/1062212453.db2.gz SUOFBQLOUAVMQO-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN COc1ccc2nc(CN3CCCCCCCC3)sc2c1 ZINC001474526917 1062212953 /nfs/dbraw/zinc/21/29/53/1062212953.db2.gz HZBCKMYMYVTKOL-UHFFFAOYSA-N 0 3 304.459 4.461 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2c(C)nsc2C)C12CCCCC2 ZINC001459572985 1062217585 /nfs/dbraw/zinc/21/75/85/1062217585.db2.gz CFPKBRQZGNUEBV-IRXDYDNUSA-N 0 3 322.518 4.320 20 0 DIADHN CCC[C@]1(C)CCCN([C@H](C)C(=O)N[C@H](C)c2ccccc2)C1 ZINC001459575689 1062219947 /nfs/dbraw/zinc/21/99/47/1062219947.db2.gz IPPQPTUBVGADKT-MBOZVWFJSA-N 0 3 316.489 4.155 20 0 DIADHN CCC[C@]1(C)CCCN([C@@H](C)C(=O)N[C@H](C)c2ccccc2)C1 ZINC001459575679 1062221002 /nfs/dbraw/zinc/22/10/02/1062221002.db2.gz IPPQPTUBVGADKT-FUHIMQAGSA-N 0 3 316.489 4.155 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1)[C@H](CO)c1ccc(Cl)cc1 ZINC001474536501 1062222408 /nfs/dbraw/zinc/22/24/08/1062222408.db2.gz BAZZNDHFWZXPDM-ZMUQKWFASA-N 0 3 319.807 4.158 20 0 DIADHN Cc1cccc2c1CC(C)(C)N(Cc1cccc3c1OCC3)C2 ZINC001474538291 1062225593 /nfs/dbraw/zinc/22/55/93/1062225593.db2.gz SAIZIRWQSSHAOD-UHFFFAOYSA-N 0 3 307.437 4.267 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCS[C@@H]2CCCC[C@H]21 ZINC001459601319 1062234358 /nfs/dbraw/zinc/23/43/58/1062234358.db2.gz DPZULSKCQJCSEE-IAGOWNOFSA-N 0 3 314.454 4.202 20 0 DIADHN CS[C@@H]1CCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001474548640 1062241938 /nfs/dbraw/zinc/24/19/38/1062241938.db2.gz DNBSFCUGCFYPLQ-LLVKDONJSA-N 0 3 304.286 4.363 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2sc(C)nc2C(F)(F)F)C1 ZINC001254776117 1062248766 /nfs/dbraw/zinc/24/87/66/1062248766.db2.gz ZSXYBUWBVDURMP-VHSXEESVSA-N 0 3 324.437 4.186 20 0 DIADHN COc1ncc(CN2CC[C@@H](c3ccccc3)[C@@H]2C)cc1Cl ZINC001459618059 1062255344 /nfs/dbraw/zinc/25/53/44/1062255344.db2.gz AQLGLUNIUKVXDX-XJKSGUPXSA-N 0 3 316.832 4.122 20 0 DIADHN Fc1ccc(F)c(CN(CCc2ccns2)C2CCCC2)c1 ZINC001459622451 1062258335 /nfs/dbraw/zinc/25/83/35/1062258335.db2.gz JHOLTELVRPNMAW-UHFFFAOYSA-N 0 3 322.424 4.409 20 0 DIADHN C[C@H]1CCN(Cc2cc(Br)c3ccccc3c2)C1 ZINC001459627284 1062261828 /nfs/dbraw/zinc/26/18/28/1062261828.db2.gz JZVXAPBNTQUYGR-LBPRGKRZSA-N 0 3 304.231 4.444 20 0 DIADHN COC(=O)c1cccc(CN2CCc3ccccc3[C@H]2C(C)C)c1 ZINC001459647179 1062273577 /nfs/dbraw/zinc/27/35/77/1062273577.db2.gz HXLAUIQEFYFLHB-HXUWFJFHSA-N 0 3 323.436 4.229 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnn(C3CCC3)c2)CC12CCCCC2 ZINC001474572494 1062279519 /nfs/dbraw/zinc/27/95/19/1062279519.db2.gz OEGSBBBOVWYIHS-SFHVURJKSA-N 0 3 301.478 4.399 20 0 DIADHN C[C@@H](NC1C[C@H]2CC(=O)C[C@@H]2C1)c1c(Cl)cccc1Cl ZINC001254928712 1062279938 /nfs/dbraw/zinc/27/99/38/1062279938.db2.gz OEEVWYGHCIDSSP-VWYCJHECSA-N 0 3 312.240 4.402 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC001459656742 1062280789 /nfs/dbraw/zinc/28/07/89/1062280789.db2.gz SRZGNZTZVDKYML-OAHLLOKOSA-N 0 3 321.436 4.078 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001459652444 1062281087 /nfs/dbraw/zinc/28/10/87/1062281087.db2.gz MQOOCPZGKYWXRC-JJRVBVJISA-N 0 3 322.518 4.072 20 0 DIADHN Fc1ccc(/C=C/CN2CCSC3(CCC3)CC2)c(F)c1 ZINC001474580923 1062288403 /nfs/dbraw/zinc/28/84/03/1062288403.db2.gz NCPJZMPDLRTWAL-HNQUOIGGSA-N 0 3 309.425 4.340 20 0 DIADHN COc1cc(C)nc(CN(C2CC2)[C@@H]2CCCc3ccccc32)c1 ZINC001459678834 1062299599 /nfs/dbraw/zinc/29/95/99/1062299599.db2.gz ZQAHQCVMSGZHOH-OAQYLSRUSA-N 0 3 322.452 4.441 20 0 DIADHN CC[C@](O)(CN[C@@H](c1ccc(F)cc1)C(C)(C)C)C(F)(F)F ZINC001459678389 1062300024 /nfs/dbraw/zinc/30/00/24/1062300024.db2.gz VHSAHAZPOCBVIK-ZFWWWQNUSA-N 0 3 321.358 4.206 20 0 DIADHN c1csc([C@H](Cc2ccccc2)NC2Cc3ccccc3C2)n1 ZINC001255016390 1062302157 /nfs/dbraw/zinc/30/21/57/1062302157.db2.gz RNENZADNYXRDQT-IBGZPJMESA-N 0 3 320.461 4.184 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCc2ccc(N(C)C)cc2)cs1 ZINC001255018961 1062302227 /nfs/dbraw/zinc/30/22/27/1062302227.db2.gz MKCZGVUIUPTJNR-CQSZACIVSA-N 0 3 317.502 4.226 20 0 DIADHN C[C@H](CCc1ccccc1F)N[C@@H]1C[C@]1(F)c1ccccc1 ZINC001474592357 1062303586 /nfs/dbraw/zinc/30/35/86/1062303586.db2.gz XPGZNNCYOYTCEE-ZMYBRWDISA-N 0 3 301.380 4.374 20 0 DIADHN Cc1cc(C)nc(CN[C@H]2C[C@H](OCc3ccccc3)C2(C)C)c1 ZINC000723664418 1062304828 /nfs/dbraw/zinc/30/48/28/1062304828.db2.gz HUJHTLKIANNSSK-PMACEKPBSA-N 0 3 324.468 4.172 20 0 DIADHN Clc1cccc([C@@H]2CSCCN2C2CCSCC2)c1 ZINC001255093929 1062309193 /nfs/dbraw/zinc/30/91/93/1062309193.db2.gz IYIOUVVQWQEWAS-HNNXBMFYSA-N 0 3 313.919 4.326 20 0 DIADHN CCc1ccccc1-c1nc(CNC2CCSCC2)cs1 ZINC001255088637 1062311753 /nfs/dbraw/zinc/31/17/53/1062311753.db2.gz WYALTYAIDRVLEL-UHFFFAOYSA-N 0 3 318.511 4.358 20 0 DIADHN C[C@@H](CSCCF)NCc1c(Cl)oc2ccccc21 ZINC001474627180 1062348250 /nfs/dbraw/zinc/34/82/50/1062348250.db2.gz DNPDJVGIGLGZTK-JTQLQIEISA-N 0 3 301.814 4.267 20 0 DIADHN Fc1ccc(CN2CCC(c3ccon3)CC2)c2ccccc12 ZINC001474635249 1062360157 /nfs/dbraw/zinc/36/01/57/1062360157.db2.gz RDQIZUOMTBWXMP-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCc3nc(C(C)C)ncc3C2)cc1 ZINC001474639056 1062365042 /nfs/dbraw/zinc/36/50/42/1062365042.db2.gz VDQSONBNFZIFBH-INIZCTEOSA-N 0 3 309.457 4.070 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@H](N2CCOc3ccc(O)cc3C2)C1 ZINC001474642486 1062366806 /nfs/dbraw/zinc/36/68/06/1062366806.db2.gz YHCGENFSTXUHMY-GHTZIAJQSA-N 0 3 323.436 4.097 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc3ncsc3c2)ccc1F ZINC001255249510 1062367102 /nfs/dbraw/zinc/36/71/02/1062367102.db2.gz HDRFAXHIYWRWDH-NSHDSACASA-N 0 3 316.401 4.295 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(Cl)nc2)C1(CC)CC ZINC001459756384 1062367940 /nfs/dbraw/zinc/36/79/40/1062367940.db2.gz VYLWYTYFWGQTFL-HUUCEWRRSA-N 0 3 310.869 4.151 20 0 DIADHN Fc1ccccc1C=C1CCN(C[C@H]2CC3(CCC3)CO2)CC1 ZINC001459762213 1062370039 /nfs/dbraw/zinc/37/00/39/1062370039.db2.gz ITXNCINPOXJWQP-GOSISDBHSA-N 0 3 315.432 4.264 20 0 DIADHN CC(C)N(CCC(C)(C)C1CC1)CC(=O)Nc1ccc(F)cc1 ZINC001459760736 1062372757 /nfs/dbraw/zinc/37/27/57/1062372757.db2.gz PEGOEZGKVLURQO-UHFFFAOYSA-N 0 3 320.452 4.301 20 0 DIADHN Brc1ccccc1[C@@H]1CCCN1[C@@H]1CCSC1 ZINC001255281698 1062377646 /nfs/dbraw/zinc/37/76/46/1062377646.db2.gz BKUKUDUFBUOESX-RISCZKNCSA-N 0 3 312.276 4.092 20 0 DIADHN Clc1cccc([C@@H]2CCN([C@@H]3CCSC3)C2)c1Cl ZINC001255290078 1062381264 /nfs/dbraw/zinc/38/12/64/1062381264.db2.gz WLXRMJLPHCLHSS-GHMZBOCLSA-N 0 3 302.270 4.288 20 0 DIADHN CC(C)c1nc(CN2CCC[C@H]2CCC2CCCCC2)n[nH]1 ZINC001459771199 1062384607 /nfs/dbraw/zinc/38/46/07/1062384607.db2.gz VUKZYOFSRFXPAW-INIZCTEOSA-N 0 3 304.482 4.253 20 0 DIADHN O[C@@H]1CCN(C/C=C\c2cccc(F)c2)[C@@H](c2ccccc2)C1 ZINC001474656595 1062390415 /nfs/dbraw/zinc/39/04/15/1062390415.db2.gz LANCHOXGOGTFEW-JZOYJOIISA-N 0 3 311.400 4.037 20 0 DIADHN Cc1nccnc1CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001459778124 1062392095 /nfs/dbraw/zinc/39/20/95/1062392095.db2.gz XJCAFGFXRXNASV-YAINUAMHSA-N 0 3 307.441 4.243 20 0 DIADHN COc1cc(F)cc(OC)c1CN(C)C[C@H](C)C1CCCCC1 ZINC001474664266 1062396353 /nfs/dbraw/zinc/39/63/53/1062396353.db2.gz RPIAWYXFBWKZOD-AWEZNQCLSA-N 0 3 323.452 4.491 20 0 DIADHN CCCC[C@H](C)NCc1cn(Cc2ccccc2Cl)cn1 ZINC001255319937 1062396495 /nfs/dbraw/zinc/39/64/95/1062396495.db2.gz MUOWSZQVNLWPBX-AWEZNQCLSA-N 0 3 305.853 4.253 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@H](Oc3cccc(F)c3)C2)cc1 ZINC001474670411 1062399750 /nfs/dbraw/zinc/39/97/50/1062399750.db2.gz YYDSKELYXIBUEW-AXMVSILFSA-N 0 3 315.363 4.131 20 0 DIADHN Cc1ncoc1CN1CCC(Cc2ccccc2Cl)CC1 ZINC001474670012 1062399792 /nfs/dbraw/zinc/39/97/92/1062399792.db2.gz VBJMIXVECRRUHJ-UHFFFAOYSA-N 0 3 304.821 4.091 20 0 DIADHN O[C@@H](CN1CCC(=Cc2cccc(F)c2)CC1)c1ccccc1 ZINC001459790388 1062402606 /nfs/dbraw/zinc/40/26/06/1062402606.db2.gz FPPIZCIJLCTTBW-FQEVSTJZSA-N 0 3 311.400 4.039 20 0 DIADHN Cc1ccc(CNC2CC(c3ccccc3C)C2)c(Cl)n1 ZINC001474685765 1062415894 /nfs/dbraw/zinc/41/58/94/1062415894.db2.gz ASUKWCVSUQBJPC-UHFFFAOYSA-N 0 3 300.833 4.388 20 0 DIADHN Oc1ccc(Cl)c(CNCCCCCOc2ccccc2)c1 ZINC001474686135 1062417211 /nfs/dbraw/zinc/41/72/11/1062417211.db2.gz MLRJNFOAYDNMBI-UHFFFAOYSA-N 0 3 319.832 4.385 20 0 DIADHN COc1cc(CN(C)Cc2cccc(N(C)C)c2)ccc1Cl ZINC001459826047 1062431101 /nfs/dbraw/zinc/43/11/01/1062431101.db2.gz AEGBVMGFYPMHEX-UHFFFAOYSA-N 0 3 318.848 4.047 20 0 DIADHN Fc1ccc([C@H](NCCSC(F)(F)F)C2CC2)c(F)c1 ZINC001459831770 1062432510 /nfs/dbraw/zinc/43/25/10/1062432510.db2.gz ANVBYZFHBJACMT-GFCCVEGCSA-N 0 3 311.319 4.259 20 0 DIADHN CC[C@@H](CC1CCCCC1)NCc1nc(CN(C)C)cs1 ZINC001474711588 1062437352 /nfs/dbraw/zinc/43/73/52/1062437352.db2.gz VDRZJIMJKIXNOX-HNNXBMFYSA-N 0 3 309.523 4.043 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)CO1)c1ccccc1OC(F)F ZINC001459840711 1062440272 /nfs/dbraw/zinc/44/02/72/1062440272.db2.gz PHSZHDDDPSWPSJ-OLZOCXBDSA-N 0 3 313.388 4.144 20 0 DIADHN CC[C@@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1cccc(Cl)c1 ZINC001459845908 1062444987 /nfs/dbraw/zinc/44/49/87/1062444987.db2.gz CZVCSJGLNQIQDT-RHSMWYFYSA-N 0 3 324.896 4.274 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)N(C)[C@@H](C)CC(C)C)C(C)C ZINC001459848917 1062447970 /nfs/dbraw/zinc/44/79/70/1062447970.db2.gz KBVHNSVJLHJMDT-FXAWDEMLSA-N 0 3 318.505 4.175 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)CCc1ccc(F)cc1 ZINC001474727411 1062450051 /nfs/dbraw/zinc/45/00/51/1062450051.db2.gz BZHQYNKRKRXMQJ-CYBMUJFWSA-N 0 3 305.368 4.084 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)Nc2ccc(C)c(F)c2)c1 ZINC001459852110 1062450662 /nfs/dbraw/zinc/45/06/62/1062450662.db2.gz VGZNTWRRASICDI-OAHLLOKOSA-N 0 3 314.404 4.040 20 0 DIADHN CCO[C@H]1CCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001474732679 1062452097 /nfs/dbraw/zinc/45/20/97/1062452097.db2.gz DNPIFEANEUEGOX-IBGZPJMESA-N 0 3 315.388 4.229 20 0 DIADHN C[C@H](NCc1cc2ccccc2o1)c1ccc(-n2cccn2)cc1 ZINC001459855082 1062452214 /nfs/dbraw/zinc/45/22/14/1062452214.db2.gz YPOSDPWQXHUKPX-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccc3ccccc3c2)c(F)c1 ZINC001468970908 1062454345 /nfs/dbraw/zinc/45/43/45/1062454345.db2.gz UJSPOBCOYJSTLD-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN CC[C@@H](CC(=O)Nc1ccc(CN(C)C)cc1F)C(C)(C)C ZINC001468971071 1062454675 /nfs/dbraw/zinc/45/46/75/1062454675.db2.gz CFPZDKADQYCBSW-AWEZNQCLSA-N 0 3 308.441 4.288 20 0 DIADHN COC(=O)c1csc(CN[C@@H](C)c2cccc(C)c2C)c1 ZINC001459861245 1062455454 /nfs/dbraw/zinc/45/54/54/1062455454.db2.gz RGRDUCDWNIBLSB-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN CCC[C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC001459862034 1062456021 /nfs/dbraw/zinc/45/60/21/1062456021.db2.gz BIZQFGLLEIJZCX-FUHWJXTLSA-N 0 3 304.478 4.010 20 0 DIADHN CCCn1cncc1CN1CCC(c2cc(C)cc(C)c2)CC1 ZINC001474740313 1062457306 /nfs/dbraw/zinc/45/73/06/1062457306.db2.gz LWLPOVXDYBMXBU-UHFFFAOYSA-N 0 3 311.473 4.290 20 0 DIADHN C[C@H](NCc1cc(CO)ccc1F)c1cccc2ccccc21 ZINC001459864094 1062457577 /nfs/dbraw/zinc/45/75/77/1062457577.db2.gz LPTIXFMEFFGPAW-AWEZNQCLSA-N 0 3 309.384 4.322 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@H]1CCCC[C@@H]1C)c1ccccc1 ZINC001459863211 1062458158 /nfs/dbraw/zinc/45/81/58/1062458158.db2.gz HVKGEZDLLQVMHM-WDSOQIARSA-N 0 3 316.489 4.155 20 0 DIADHN CC1(C)CN(CCc2c(F)cccc2F)Cc2ccccc21 ZINC001459874192 1062463327 /nfs/dbraw/zinc/46/33/27/1062463327.db2.gz FKZCBFSEYKDXBG-UHFFFAOYSA-N 0 3 301.380 4.301 20 0 DIADHN CCc1ccc(C2=CCN(CCc3cccc(C)n3)CC2)cc1 ZINC001459872429 1062463434 /nfs/dbraw/zinc/46/34/34/1062463434.db2.gz GWQRFXFMBJBHKI-UHFFFAOYSA-N 0 3 306.453 4.284 20 0 DIADHN CCC[C@H](NCCN(C#N)CC)c1ccc(Cl)c(Cl)c1 ZINC001459877561 1062465570 /nfs/dbraw/zinc/46/55/70/1062465570.db2.gz NTIQJHBDWXNAMR-HNNXBMFYSA-N 0 3 314.260 4.227 20 0 DIADHN CC[C@@]1(C)COCCN1Cc1ccc(-c2ccsc2)cc1 ZINC001459878631 1062466304 /nfs/dbraw/zinc/46/63/04/1062466304.db2.gz AZEVHVHFVIHILV-SFHVURJKSA-N 0 3 301.455 4.416 20 0 DIADHN COCC1(N(C)Cc2ccc(Oc3ccccc3)c(F)c2)CC1 ZINC001459878578 1062467365 /nfs/dbraw/zinc/46/73/65/1062467365.db2.gz AUXQGTOWHCEYLN-UHFFFAOYSA-N 0 3 315.388 4.229 20 0 DIADHN COC(=O)/C(C)=C\CN[C@H](CC1CCCCC1)c1ccccc1 ZINC001459877580 1062467423 /nfs/dbraw/zinc/46/74/23/1062467423.db2.gz MVKBMQCGFKVQBR-XCTGESOMSA-N 0 3 315.457 4.407 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC001459888492 1062471991 /nfs/dbraw/zinc/47/19/91/1062471991.db2.gz ASIRBSGZHSDYHO-AQNXPRMDSA-N 0 3 310.441 4.385 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cccc(OC)c2C)o1 ZINC001459899664 1062484300 /nfs/dbraw/zinc/48/43/00/1062484300.db2.gz HOHGLJKSSCQKQQ-QGZVFWFLSA-N 0 3 315.413 4.080 20 0 DIADHN COC[C@@H](C)N(Cc1ccc(F)cc1)Cc1ccc(F)cc1 ZINC001255577770 1062484878 /nfs/dbraw/zinc/48/48/78/1062484878.db2.gz CKHHQKVEUMNGPT-CQSZACIVSA-N 0 3 305.368 4.002 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C)s1)C(=O)Nc1ccc(C)cc1 ZINC001459905086 1062487740 /nfs/dbraw/zinc/48/77/40/1062487740.db2.gz IQNGZBSBBNSXML-HOCLYGCPSA-N 0 3 316.470 4.433 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H](c1ccccc1F)C1CCOCC1 ZINC001255636303 1062491947 /nfs/dbraw/zinc/49/19/47/1062491947.db2.gz ALGSHKRJWWETEH-ABAIWWIYSA-N 0 3 319.342 4.224 20 0 DIADHN C[C@@H]1CCN(Cc2cccc(C(=O)C(F)(F)F)c2)CC1(C)C ZINC001459920100 1062495120 /nfs/dbraw/zinc/49/51/20/1062495120.db2.gz CDVKHGHKDWMABJ-GFCCVEGCSA-N 0 3 313.363 4.300 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CC[C@H](C)C(C)(C)C1 ZINC001459925108 1062497471 /nfs/dbraw/zinc/49/74/71/1062497471.db2.gz SZBFOKASSRQGOK-RDJZCZTQSA-N 0 3 302.462 4.080 20 0 DIADHN COC[C@H](NC[C@@H](C)CSc1ccccc1C)c1ccco1 ZINC001459923109 1062497932 /nfs/dbraw/zinc/49/79/32/1062497932.db2.gz GYPKPTCZNALOBV-ZBFHGGJFSA-N 0 3 319.470 4.294 20 0 DIADHN COc1ccc([C@H]2CCCN2[C@@H](C)CC(F)(F)F)cc1OC ZINC001255657418 1062503771 /nfs/dbraw/zinc/50/37/71/1062503771.db2.gz GZKILHJLWGNNNH-WCQYABFASA-N 0 3 317.351 4.182 20 0 DIADHN OC[C@H](NCc1cc(Cl)cc(Cl)c1F)C1CCCCC1 ZINC001459929309 1062504874 /nfs/dbraw/zinc/50/48/74/1062504874.db2.gz DGUHMIVVLFLXST-AWEZNQCLSA-N 0 3 320.235 4.163 20 0 DIADHN CC(C)Cc1ccccc1CN[C@H](CCO)c1cccs1 ZINC001459930881 1062505494 /nfs/dbraw/zinc/50/54/94/1062505494.db2.gz HLZIEAFDCUCWFN-QGZVFWFLSA-N 0 3 303.471 4.160 20 0 DIADHN C[C@H]1COc2ccccc2CN1CCc1nsc2ccccc21 ZINC001459935012 1062506410 /nfs/dbraw/zinc/50/64/10/1062506410.db2.gz MISVOYFJTDQIIN-AWEZNQCLSA-N 0 3 324.449 4.122 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3cc(O)ccc3Cl)C2)c1 ZINC001459936882 1062508864 /nfs/dbraw/zinc/50/88/64/1062508864.db2.gz ZKVYKBYEXLWNSR-HNNXBMFYSA-N 0 3 301.817 4.344 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@H]1CCCC[C@@H]1C ZINC001459948376 1062516119 /nfs/dbraw/zinc/51/61/19/1062516119.db2.gz GNLASBJIMMMKLT-LRDDRELGSA-N 0 3 308.853 4.097 20 0 DIADHN COc1cc(F)c(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC001255689253 1062517266 /nfs/dbraw/zinc/51/72/66/1062517266.db2.gz LBBBYOWSKFYSGR-NXEZZACHSA-N 0 3 323.305 4.184 20 0 DIADHN CC[C@@H](C)[C@H](C)N(C)Cc1cc(OC)ccc1Br ZINC001459952925 1062520864 /nfs/dbraw/zinc/52/08/64/1062520864.db2.gz QYHHCALPXFNMCY-NEPJUHHUSA-N 0 3 314.267 4.324 20 0 DIADHN C[C@@H](CN1CCN(C(=O)OC(C)(C)C)[C@H](C)C1)C1CCCCC1 ZINC001459952986 1062521398 /nfs/dbraw/zinc/52/13/98/1062521398.db2.gz NNSSFCYKDFEHGN-JKSUJKDBSA-N 0 3 324.509 4.144 20 0 DIADHN CC(C)(C)n1cc(CNC(C)(C)CC2CCCCCC2)nn1 ZINC001459955447 1062524265 /nfs/dbraw/zinc/52/42/65/1062524265.db2.gz CSTKBEBCYXLGHW-UHFFFAOYSA-N 0 3 306.498 4.262 20 0 DIADHN CN(Cc1ccc(F)c(Cl)c1)C[C@H]1CCC2(CCC2)CO1 ZINC001459955917 1062526480 /nfs/dbraw/zinc/52/64/80/1062526480.db2.gz TZJNEJIRGQEKGP-CQSZACIVSA-N 0 3 311.828 4.260 20 0 DIADHN COc1ccc2c(c1)CN([C@H]1CCC[C@H](C(F)(F)F)C1)CC2 ZINC001255704388 1062528759 /nfs/dbraw/zinc/52/87/59/1062528759.db2.gz FHINAIDIYQOHJZ-GJZGRUSLSA-N 0 3 313.363 4.174 20 0 DIADHN CN(Cc1cnc(Cl)s1)[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255704554 1062529416 /nfs/dbraw/zinc/52/94/16/1062529416.db2.gz FYLGDCDNRSKVRS-BDAKNGLRSA-N 0 3 312.788 4.349 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3CCCC[C@@H](C)C3)n2)cc1F ZINC001459963000 1062529994 /nfs/dbraw/zinc/52/99/94/1062529994.db2.gz SPCFJTVGEUBSGQ-TZMCWYRMSA-N 0 3 317.408 4.367 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccc(C)cc1Cl ZINC001459970746 1062536764 /nfs/dbraw/zinc/53/67/64/1062536764.db2.gz RDKLZFLJENCBNZ-RHSMWYFYSA-N 0 3 322.880 4.488 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1nc(-c2ccccc2F)no1 ZINC001459984612 1062547019 /nfs/dbraw/zinc/54/70/19/1062547019.db2.gz DRDCANLOKRBWBZ-IUODEOHRSA-N 0 3 317.408 4.180 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)CSc2ccc(F)cc2)nc1 ZINC001255728185 1062549110 /nfs/dbraw/zinc/54/91/10/1062549110.db2.gz OHLWKELIAVARGO-ZIAGYGMSSA-N 0 3 304.434 4.361 20 0 DIADHN CC(C)C1(C)CCN(Cc2cnn(Cc3ccccc3)c2)CC1 ZINC001459989880 1062550376 /nfs/dbraw/zinc/55/03/76/1062550376.db2.gz XOFJYDPTDXQZFT-UHFFFAOYSA-N 0 3 311.473 4.190 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cccnc1Cl ZINC001255728579 1062551412 /nfs/dbraw/zinc/55/14/12/1062551412.db2.gz WCKZJDYKASBGIR-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@@H](C)CSc2ccc(F)cc2)c1 ZINC001255732597 1062552470 /nfs/dbraw/zinc/55/24/70/1062552470.db2.gz OIICZSJKQIZRNB-UONOGXRCSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cc(F)ccc1F ZINC001255732632 1062553696 /nfs/dbraw/zinc/55/36/96/1062553696.db2.gz OLTMPUFCMHAKBT-NSHDSACASA-N 0 3 311.372 4.374 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@H]1COCc2ccccc21 ZINC001255735753 1062556988 /nfs/dbraw/zinc/55/69/88/1062556988.db2.gz MVSYSGKMNJXNIG-UGSOOPFHSA-N 0 3 317.429 4.167 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(Cl)cc3)CC2)c(C)nn1 ZINC001459997151 1062558444 /nfs/dbraw/zinc/55/84/44/1062558444.db2.gz XZKHVMCVXOOOBQ-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN COc1cccc(CN[C@@H](C)CSc2ccc(F)cc2)c1F ZINC001255736402 1062559296 /nfs/dbraw/zinc/55/92/96/1062559296.db2.gz XWZMJBXZDQOFCT-LBPRGKRZSA-N 0 3 323.408 4.244 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CC[C@@H](C(F)(F)F)C1 ZINC001255745495 1062569164 /nfs/dbraw/zinc/56/91/64/1062569164.db2.gz VCTOAIBCZNBNDV-WDEREUQCSA-N 0 3 307.356 4.191 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)CSc2ccc(F)cc2)cn1 ZINC001255747369 1062570054 /nfs/dbraw/zinc/57/00/54/1062570054.db2.gz JZQYDYMLQCLRIV-AWEZNQCLSA-N 0 3 304.434 4.142 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CC[C@H](C(F)(F)F)C1 ZINC001255745494 1062570996 /nfs/dbraw/zinc/57/09/96/1062570996.db2.gz VCTOAIBCZNBNDV-QWRGUYRKSA-N 0 3 307.356 4.191 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cc(Cl)ccn2)cc1 ZINC001255751747 1062573260 /nfs/dbraw/zinc/57/32/60/1062573260.db2.gz ROKLYJFVHPDDHD-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN COC/C(C)=C\CN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC001460020666 1062573916 /nfs/dbraw/zinc/57/39/16/1062573916.db2.gz SKXSCIJDEAEVTN-AUWJEWJLSA-N 0 3 311.828 4.193 20 0 DIADHN COC/C(C)=C/CN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC001460020667 1062575798 /nfs/dbraw/zinc/57/57/98/1062575798.db2.gz SKXSCIJDEAEVTN-VGOFMYFVSA-N 0 3 311.828 4.193 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2ccc(O)cc2F)cc1 ZINC001255754439 1062575873 /nfs/dbraw/zinc/57/58/73/1062575873.db2.gz DZKNZKQIWJQPRB-CYBMUJFWSA-N 0 3 305.418 4.110 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@H]2COCc3ccccc32)cc1 ZINC001255756319 1062580733 /nfs/dbraw/zinc/58/07/33/1062580733.db2.gz RBWJYVRHXFPFHO-BEFAXECRSA-N 0 3 313.466 4.337 20 0 DIADHN COCCN(Cc1nc2cc(C)ccc2s1)[C@@H]1CC[C@@H](C)C1 ZINC001460041080 1062592171 /nfs/dbraw/zinc/59/21/71/1062592171.db2.gz SJKYPLSWUGIUIL-UKRRQHHQSA-N 0 3 318.486 4.242 20 0 DIADHN CC1CC(CN(Cc2cnn(C)c2)Cc2ccc(Cl)cc2)C1 ZINC001460040450 1062593368 /nfs/dbraw/zinc/59/33/68/1062593368.db2.gz NZLWCPLHELVTRI-UHFFFAOYSA-N 0 3 317.864 4.122 20 0 DIADHN Cc1ccc(C[C@@H](C)n2nc(-c3ccccc3)cc2CN)cc1 ZINC001255774628 1062595302 /nfs/dbraw/zinc/59/53/02/1062595302.db2.gz KAVJJYCZSVMWLK-MRXNPFEDSA-N 0 3 305.425 4.121 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001460048107 1062598733 /nfs/dbraw/zinc/59/87/33/1062598733.db2.gz MQJUDUUYVKUNGV-JSGCOSHPSA-N 0 3 316.272 4.161 20 0 DIADHN Cc1nc(CN2CCC[C@@]2(CO)CC(C)C)sc1C(C)(C)C ZINC001460047023 1062600913 /nfs/dbraw/zinc/60/09/13/1062600913.db2.gz JLNUUCZQMPPAPN-SFHVURJKSA-N 0 3 324.534 4.122 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@@H]2CCOc3cc(F)cc(F)c32)cc1 ZINC001255779745 1062603052 /nfs/dbraw/zinc/60/30/52/1062603052.db2.gz IGTBHQZPKSEDSJ-CXAGYDPISA-N 0 3 317.379 4.318 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@H](c2ccccc2)c2cccnn2)cc1 ZINC001255786849 1062608025 /nfs/dbraw/zinc/60/80/25/1062608025.db2.gz ZVVSCRCWUNTSCG-LAUBAEHRSA-N 0 3 317.436 4.095 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cn3ccc(C)cc3n2)cc1 ZINC001255795502 1062613114 /nfs/dbraw/zinc/61/31/14/1062613114.db2.gz GMKRHYCAAMADLA-QGZVFWFLSA-N 0 3 307.441 4.014 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1cc(-c2ccccc2)no1 ZINC001255831956 1062618753 /nfs/dbraw/zinc/61/87/53/1062618753.db2.gz XYJSMZCEKGZDOO-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H](C)Cc1ccccc1F ZINC001255834962 1062621760 /nfs/dbraw/zinc/62/17/60/1062621760.db2.gz QLGDFDRUIPTNBM-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1COc2cc(Cl)ccc21 ZINC001255837693 1062622012 /nfs/dbraw/zinc/62/20/12/1062622012.db2.gz HAGMYMXKOMPYMC-MEDUHNTESA-N 0 3 305.780 4.133 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCOc2cc(O)ccc2C1 ZINC001460078434 1062622405 /nfs/dbraw/zinc/62/24/05/1062622405.db2.gz HELHFSUEZYOGNM-UHFFFAOYSA-N 0 3 319.404 4.248 20 0 DIADHN C[C@H](Cc1ccccc1F)N1CCC(Oc2ccccc2)CC1 ZINC001255841410 1062628700 /nfs/dbraw/zinc/62/87/00/1062628700.db2.gz GPQXAEONRCRIGP-MRXNPFEDSA-N 0 3 313.416 4.300 20 0 DIADHN C[C@H](Cc1ccccc1F)NC1(c2ccc(F)cc2F)CC1 ZINC001255841123 1062628806 /nfs/dbraw/zinc/62/88/06/1062628806.db2.gz PRVTVONUFAPRNY-GFCCVEGCSA-N 0 3 305.343 4.314 20 0 DIADHN CC[C@@H](C)N1CCN(Cc2cc(Cl)cc(Cl)c2F)CC1 ZINC001460100755 1062636559 /nfs/dbraw/zinc/63/65/59/1062636559.db2.gz DMZMKYUQGHTFAN-LLVKDONJSA-N 0 3 319.251 4.049 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CCSC1CCCCC1)C2 ZINC001460142073 1062660179 /nfs/dbraw/zinc/66/01/79/1062660179.db2.gz KOECPDDHECBMIT-UHFFFAOYSA-N 0 3 317.498 4.305 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cccc(F)c1OC(F)F ZINC001460150064 1062667227 /nfs/dbraw/zinc/66/72/27/1062667227.db2.gz KNCUJDHLTNDLLM-UHFFFAOYSA-N 0 3 323.358 4.410 20 0 DIADHN CC(C)[C@@H]1CN(Cc2c(Cl)oc3ccccc32)CCCO1 ZINC001460153735 1062667659 /nfs/dbraw/zinc/66/76/59/1062667659.db2.gz VFAVTGVMFDIHPI-INIZCTEOSA-N 0 3 307.821 4.333 20 0 DIADHN Cc1ccccc1CN(CCc1ccncc1)Cc1ccccn1 ZINC001460156603 1062669589 /nfs/dbraw/zinc/66/95/89/1062669589.db2.gz GIQZNOVXMPRXNB-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN C[C@@H](CN1CC(C)(C)O[C@](C)(C(F)(F)F)C1)CC(C)(C)C ZINC001460158421 1062669868 /nfs/dbraw/zinc/66/98/68/1062669868.db2.gz RBZANEIYFKSDQI-DOMZBBRYSA-N 0 3 309.416 4.491 20 0 DIADHN CCCCN(Cc1nnc(C(C)(C)C)[nH]1)[C@@H]1CCC[C@@H](C)C1 ZINC001460171473 1062674878 /nfs/dbraw/zinc/67/48/78/1062674878.db2.gz BWVAYGIDDJWCJX-HUUCEWRRSA-N 0 3 306.498 4.283 20 0 DIADHN COc1cc2c(cc1Cl)CN(CC1CC3(CCC3)C1)CC2 ZINC001460182520 1062685607 /nfs/dbraw/zinc/68/56/07/1062685607.db2.gz RNAYMSLTLATEJY-UHFFFAOYSA-N 0 3 305.849 4.287 20 0 DIADHN c1ccc2cc(-c3nnc(C4CCN(C5CC5)CC4)o3)ccc2c1 ZINC001256029832 1062686137 /nfs/dbraw/zinc/68/61/37/1062686137.db2.gz MHHNQKPHXOTOHE-UHFFFAOYSA-N 0 3 319.408 4.232 20 0 DIADHN Clc1cccc(SCCN2CCCc3occc3C2)c1 ZINC001460194148 1062694229 /nfs/dbraw/zinc/69/42/29/1062694229.db2.gz UFJCUPGFAIYKSP-UHFFFAOYSA-N 0 3 307.846 4.474 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC001460199954 1062696491 /nfs/dbraw/zinc/69/64/91/1062696491.db2.gz ZRRQDXIWDHHVHY-IEBWSBKVSA-N 0 3 318.505 4.053 20 0 DIADHN Cc1cccc(CN2CCC(Cc3c(F)cccc3F)CC2)n1 ZINC001460232944 1062713422 /nfs/dbraw/zinc/71/34/22/1062713422.db2.gz XABBFJHVACPREM-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@H]2CCC[C@H](c3ccccc3)C2)n1 ZINC001256121347 1062721916 /nfs/dbraw/zinc/72/19/16/1062721916.db2.gz QEUWVAFIVFMUKG-BZSNNMDCSA-N 0 3 311.429 4.241 20 0 DIADHN COc1cccc(C[C@H](C)N2CCc3cc(Cl)ccc3C2)c1 ZINC001256168405 1062738413 /nfs/dbraw/zinc/73/84/13/1062738413.db2.gz SGCNXHHHTLBEEJ-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN COc1ccc(CN(C)CCSC(C)(C)C)cc1Cl ZINC001460260444 1062738193 /nfs/dbraw/zinc/73/81/93/1062738193.db2.gz VQVQERJZTVYIQX-UHFFFAOYSA-N 0 3 301.883 4.312 20 0 DIADHN CC(C)Oc1ccccc1CN1CCSC[C@H]1C1CCC1 ZINC001460271216 1062746512 /nfs/dbraw/zinc/74/65/12/1062746512.db2.gz NTSOOIHYIWVDCH-KRWDZBQOSA-N 0 3 305.487 4.191 20 0 DIADHN Cc1cccc(C2(NCC(=O)Nc3ccc(C)cc3C)CCC2)c1 ZINC001460290412 1062760301 /nfs/dbraw/zinc/76/03/01/1062760301.db2.gz DOHBGPHEYQXSGI-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN CN(CCC(C)(C)C)CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001460295583 1062764917 /nfs/dbraw/zinc/76/49/17/1062764917.db2.gz AMGIISVOQRFLFO-MRXNPFEDSA-N 0 3 324.534 4.320 20 0 DIADHN CO[C@@H](CNC1(c2ccccc2F)CCC1)Cc1ccccc1 ZINC001460295145 1062766678 /nfs/dbraw/zinc/76/66/78/1062766678.db2.gz MONDWPGKFSXORN-QGZVFWFLSA-N 0 3 313.416 4.052 20 0 DIADHN CCCOc1cccc(CN2CC[C@H](Oc3ccccc3)C2)c1 ZINC001460300456 1062767952 /nfs/dbraw/zinc/76/79/52/1062767952.db2.gz TXGSAMPYLGMXHP-FQEVSTJZSA-N 0 3 311.425 4.129 20 0 DIADHN C[C@@H](NCc1c(F)cc(Br)cc1F)C1CCC1 ZINC000475096008 1062770401 /nfs/dbraw/zinc/77/04/01/1062770401.db2.gz UWJYRPZDKPAIDD-MRVPVSSYSA-N 0 3 304.178 4.006 20 0 DIADHN CC(C)CC[C@H](C)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001256356038 1062783114 /nfs/dbraw/zinc/78/31/14/1062783114.db2.gz HFLXIPPYPZYZJC-LBPRGKRZSA-N 0 3 301.352 4.302 20 0 DIADHN CC(C)CC[C@@H](C)NCc1cn(Cc2cccc(Cl)c2)cn1 ZINC001256359957 1062784939 /nfs/dbraw/zinc/78/49/39/1062784939.db2.gz ASZJMYGJGLIDTL-OAHLLOKOSA-N 0 3 319.880 4.499 20 0 DIADHN CCc1nocc1CN1CCC2(CCc3ccccc3C2)CC1 ZINC001460334278 1062786139 /nfs/dbraw/zinc/78/61/39/1062786139.db2.gz DNQRSRNHNADXRM-UHFFFAOYSA-N 0 3 310.441 4.008 20 0 DIADHN CC(C)CC[C@H](C)NCc1cn(Cc2ccccc2Cl)cn1 ZINC001256361513 1062788579 /nfs/dbraw/zinc/78/85/79/1062788579.db2.gz SISCDNRBYYTGDD-HNNXBMFYSA-N 0 3 319.880 4.499 20 0 DIADHN CC[C@@H](CN(C)[C@@H]1CCCc2c(OC)ccc(Cl)c21)OC ZINC001460342230 1062794238 /nfs/dbraw/zinc/79/42/38/1062794238.db2.gz JCENZTGRZAOESD-SWLSCSKDSA-N 0 3 311.853 4.083 20 0 DIADHN CCc1nocc1CN1CCc2ccc(Cl)cc2[C@H](C)C1 ZINC001460343524 1062794823 /nfs/dbraw/zinc/79/48/23/1062794823.db2.gz ZJXCQOWZTOTKSQ-GFCCVEGCSA-N 0 3 304.821 4.052 20 0 DIADHN C[C@@H](Cc1ccccn1)NCc1cc(F)c(Cl)cc1Cl ZINC001256395022 1062800159 /nfs/dbraw/zinc/80/01/59/1062800159.db2.gz CMVFOHWQDYUERH-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@H]2CCC(C)(C)CO2)cc1F ZINC001460361424 1062808142 /nfs/dbraw/zinc/80/81/42/1062808142.db2.gz HVYAQNRUHGYAGG-NVXWUHKLSA-N 0 3 321.436 4.176 20 0 DIADHN C[C@@H](Cc1ccccn1)N(Cc1ccccc1)Cc1ccccn1 ZINC001256409869 1062812924 /nfs/dbraw/zinc/81/29/24/1062812924.db2.gz GLHWMZPICFLSDM-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC001256423354 1062816818 /nfs/dbraw/zinc/81/68/18/1062816818.db2.gz CDGWATUBJWYRFU-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN CCOC1CCC(N2CCSC[C@H]2c2ccc(F)cc2)CC1 ZINC001256443719 1062825782 /nfs/dbraw/zinc/82/57/82/1062825782.db2.gz CZQMCBGNWHHTJG-ABHNRTSZSA-N 0 3 323.477 4.263 20 0 DIADHN Fc1cncc([C@@H](NCc2cccc(C(F)F)c2)C2CC2)c1 ZINC001256522361 1062841187 /nfs/dbraw/zinc/84/11/87/1062841187.db2.gz DHPFCVHYUWWPDV-INIZCTEOSA-N 0 3 306.331 4.399 20 0 DIADHN C=C/C=C\CCN1Cc2ccccc2[C@@H](C(=O)OC(C)(C)C)C1 ZINC001460417221 1062850357 /nfs/dbraw/zinc/85/03/57/1062850357.db2.gz LFSZXALWZRBAIM-MJRGOJFPSA-N 0 3 313.441 4.060 20 0 DIADHN CCc1cccc(CN2CCN(c3ccc(C)cc3C)CC2)c1 ZINC001460489218 1062879494 /nfs/dbraw/zinc/87/94/94/1062879494.db2.gz VWKMTMQHCNTZKZ-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN Cc1nsc(C)c1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC001460508855 1062886793 /nfs/dbraw/zinc/88/67/93/1062886793.db2.gz HLLJEBVOFIUZOD-AWEZNQCLSA-N 0 3 322.424 4.418 20 0 DIADHN CC(C)c1cccc(CN2Cc3cnn(C)c3CC(C)(C)C2)c1 ZINC001460530131 1062899340 /nfs/dbraw/zinc/89/93/40/1062899340.db2.gz FLDGALTVTFMKTQ-UHFFFAOYSA-N 0 3 311.473 4.128 20 0 DIADHN CN(c1ccccc1)[C@@H]1CCN(Cc2cccc(C(F)F)c2)C1 ZINC001460526997 1062900970 /nfs/dbraw/zinc/90/09/70/1062900970.db2.gz HBHJCMSXCHJYGT-GOSISDBHSA-N 0 3 316.395 4.335 20 0 DIADHN Cc1ccc(C[C@]2(C)CCCN2Cc2ncc(C3CC3)o2)cc1 ZINC001460558954 1062910117 /nfs/dbraw/zinc/91/01/17/1062910117.db2.gz OGEMTYDIYRWQIA-FQEVSTJZSA-N 0 3 310.441 4.458 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCCC2(CC2)CC1 ZINC001460563086 1062913802 /nfs/dbraw/zinc/91/38/02/1062913802.db2.gz XMVIBMAITSLKPR-CQSZACIVSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1ccc(CN2C[C@H]3[C@H](C[C@@H]3c3ccccc3)C2)c(Cl)n1 ZINC001460619282 1062935617 /nfs/dbraw/zinc/93/56/17/1062935617.db2.gz SXXVLRTYNOEJJW-KURKYZTESA-N 0 3 312.844 4.279 20 0 DIADHN CC[C@@H]1C[C@H]1CN1CCc2cc(C)cc(Br)c2C1 ZINC001460622618 1062942176 /nfs/dbraw/zinc/94/21/76/1062942176.db2.gz UQTRITSTTNGZIG-OCCSQVGLSA-N 0 3 308.263 4.162 20 0 DIADHN Cc1ccc(CN[C@H](CCO)c2ccc(Cl)c(F)c2)cc1C ZINC001460628154 1062945643 /nfs/dbraw/zinc/94/56/43/1062945643.db2.gz ZLPMNQQYXIMTQK-GOSISDBHSA-N 0 3 321.823 4.309 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1ccc(F)cc1)C1CCCCC1 ZINC001460625346 1062946022 /nfs/dbraw/zinc/94/60/22/1062946022.db2.gz GJKCKIPXKLDVKZ-LJQANCHMSA-N 0 3 315.436 4.279 20 0 DIADHN CC1(C)[C@H](c2ccccc2F)CCN1Cc1ccnc(F)c1 ZINC001460636441 1062952937 /nfs/dbraw/zinc/95/29/37/1062952937.db2.gz BCFAOGNSHBUTGN-HNNXBMFYSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@@H]1c1cc(F)ccc1F ZINC001256744195 1062961471 /nfs/dbraw/zinc/96/14/71/1062961471.db2.gz ZGAPNCPFFXYROL-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@@H]1c1c(F)cccc1F ZINC001256746735 1062963653 /nfs/dbraw/zinc/96/36/53/1062963653.db2.gz AHWGARMITHAYCB-CXAGYDPISA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@]1(Cc2ccc(F)c(F)c2)CCCN1Cc1ccnc(F)c1 ZINC001460654295 1062965444 /nfs/dbraw/zinc/96/54/44/1062965444.db2.gz PLHUPFPWTNVZCH-SFHVURJKSA-N 0 3 320.358 4.096 20 0 DIADHN COc1ccccc1CCCN[C@@H](CF)c1ccc(F)cc1 ZINC001460660431 1062968272 /nfs/dbraw/zinc/96/82/72/1062968272.db2.gz WHYJTFGTAPGXGJ-KRWDZBQOSA-N 0 3 305.368 4.067 20 0 DIADHN C[C@@H](NCc1ccc(F)c2cccnc12)c1cc2cnccc2o1 ZINC001460677662 1062979131 /nfs/dbraw/zinc/97/91/31/1062979131.db2.gz OQIQPNKNGFVFHO-GFCCVEGCSA-N 0 3 321.355 4.366 20 0 DIADHN CC(C)(C)OC(=O)N1c2ccccc2[C@@H](NCCCF)C1(C)C ZINC001460696196 1062991510 /nfs/dbraw/zinc/99/15/10/1062991510.db2.gz SNJMFHSKSOQNBE-OAHLLOKOSA-N 0 3 322.424 4.211 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1CCO[C@H](c2ccccc2)C1 ZINC001256822373 1063001559 /nfs/dbraw/zinc/00/15/59/1063001559.db2.gz QVNHOOGEDCXZFX-HKUYNNGSSA-N 0 3 317.379 4.317 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC001256832484 1063006424 /nfs/dbraw/zinc/00/64/24/1063006424.db2.gz ZRIPEAJIJQYPEC-AEFFLSMTSA-N 0 3 317.379 4.317 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC[C@H](CF)C1 ZINC001256845046 1063014066 /nfs/dbraw/zinc/01/40/66/1063014066.db2.gz UDIMFIJTBKZNKN-CHWSQXEVSA-N 0 3 314.242 4.062 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1CC[C@H]2[C@H]1CCCN2C ZINC001471687600 1063015914 /nfs/dbraw/zinc/01/59/14/1063015914.db2.gz UGMPLZOTKMHJCR-CEXWTWQISA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H]1CN(CC/C=C\c2ccccc2)CC[C@H](C(F)(F)F)O1 ZINC001460725803 1063016987 /nfs/dbraw/zinc/01/69/87/1063016987.db2.gz JISIUBGNYOFOOP-WWWALOEZSA-N 0 3 313.363 4.132 20 0 DIADHN CN(C)Cc1ccc(-c2nnc(CCC3CCCCC3)o2)cc1 ZINC001256849873 1063017625 /nfs/dbraw/zinc/01/76/25/1063017625.db2.gz MLNVLKNDCXVQPA-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN CCC1CCC(NCc2coc(-c3ccc(OC)cc3)n2)CC1 ZINC001256868294 1063023617 /nfs/dbraw/zinc/02/36/17/1063023617.db2.gz PQXKOHDDNXFQOY-UHFFFAOYSA-N 0 3 314.429 4.409 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001460732662 1063027527 /nfs/dbraw/zinc/02/75/27/1063027527.db2.gz DWJWDKZNBIVFBE-SFHVURJKSA-N 0 3 319.807 4.441 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC001460734923 1063028167 /nfs/dbraw/zinc/02/81/67/1063028167.db2.gz XCKIFIMRJBXFDL-CZUORRHYSA-N 0 3 315.379 4.352 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N[C@@H](CC)c1cccc2ccccc21 ZINC001471916123 1063031220 /nfs/dbraw/zinc/03/12/20/1063031220.db2.gz BKQIMROZRLVVTQ-VQTJNVASSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(Cl)c(CN2CC[C@H](c3nc(C)cs3)C2)c1 ZINC001460743605 1063031785 /nfs/dbraw/zinc/03/17/85/1063031785.db2.gz LORHBGHUTOXENB-LBPRGKRZSA-N 0 3 322.861 4.103 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(C[C@H]2CCCC3(CCC3)O2)C1 ZINC001460765960 1063048646 /nfs/dbraw/zinc/04/86/46/1063048646.db2.gz OUTMUDMLGMHFCY-LSDHHAIUSA-N 0 3 321.411 4.246 20 0 DIADHN CC[C@@H](NCC(=O)NCC(C)(C)C)c1cccc2ccccc21 ZINC001460788793 1063059525 /nfs/dbraw/zinc/05/95/25/1063059525.db2.gz CLFTWTKFIUSMCG-GOSISDBHSA-N 0 3 312.457 4.043 20 0 DIADHN CCCCCCN(Cc1ccc(C)o1)C[C@H](O)c1ccccn1 ZINC001460807919 1063070734 /nfs/dbraw/zinc/07/07/34/1063070734.db2.gz CUJQKAQNRJZDCT-IBGZPJMESA-N 0 3 316.445 4.099 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@H]2c2cccc(Cl)c2)C1 ZINC001257072860 1063101267 /nfs/dbraw/zinc/10/12/67/1063101267.db2.gz XOAPNNXGZVRQDO-PVAVHDDUSA-N 0 3 321.848 4.209 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001460848703 1063101408 /nfs/dbraw/zinc/10/14/08/1063101408.db2.gz JPMSXHYWCSZXRW-MSOLQXFVSA-N 0 3 317.473 4.234 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C1(C)CCC(C)CC1)c1ccco1 ZINC001472561569 1063103148 /nfs/dbraw/zinc/10/31/48/1063103148.db2.gz VXEQKTMXBITSGJ-BWRBCVJASA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C1(C)CCC(C)CC1)c1ccco1 ZINC001472561570 1063103485 /nfs/dbraw/zinc/10/34/85/1063103485.db2.gz VXEQKTMXBITSGJ-IOSXPMGOSA-N 0 3 320.477 4.041 20 0 DIADHN Cc1cccc2nc(NC3CCN(Cc4ccccc4)CC3)oc21 ZINC001472641043 1063118113 /nfs/dbraw/zinc/11/81/13/1063118113.db2.gz POXWEJOIUUJXNP-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC1(C2CCCCC2)CC1 ZINC001460916346 1063135116 /nfs/dbraw/zinc/13/51/16/1063135116.db2.gz SEKRNXGFKURHSJ-UHFFFAOYSA-N 0 3 313.470 4.408 20 0 DIADHN Cc1nc(CCN2CC=C(c3cccc(C)c3C)CC2)cs1 ZINC001460926836 1063139975 /nfs/dbraw/zinc/13/99/75/1063139975.db2.gz VHERSZFOGHAXIO-UHFFFAOYSA-N 0 3 312.482 4.400 20 0 DIADHN CCC[C@@](C)(NCc1cc(C(=O)OC)cs1)c1ccccc1 ZINC001460931493 1063142278 /nfs/dbraw/zinc/14/22/78/1063142278.db2.gz RIBFCZVDEKVEGP-GOSISDBHSA-N 0 3 317.454 4.340 20 0 DIADHN Cc1ccc(CN[C@]2(CO)CC[C@H](C)c3ccccc32)cc1F ZINC001460946253 1063152094 /nfs/dbraw/zinc/15/20/94/1063152094.db2.gz IZFVVEWJANPHEE-XOBRGWDASA-N 0 3 313.416 4.009 20 0 DIADHN CCN(CCNCc1cc(Cl)ccc1F)c1cccc(C)c1 ZINC001461002988 1063177099 /nfs/dbraw/zinc/17/70/99/1063177099.db2.gz CQQDTEYDSDFGST-UHFFFAOYSA-N 0 3 320.839 4.404 20 0 DIADHN CC(C)Cc1ncc(CNCCOc2ccc(Cl)cc2)s1 ZINC001461001875 1063178117 /nfs/dbraw/zinc/17/81/17/1063178117.db2.gz PSXMAJCNVLUXHW-UHFFFAOYSA-N 0 3 324.877 4.164 20 0 DIADHN COc1ccc2cc(CC[C@H](C)N(C)Cc3ccno3)ccc2c1 ZINC001257283919 1063180913 /nfs/dbraw/zinc/18/09/13/1063180913.db2.gz BJVDVWOJFSLITN-HNNXBMFYSA-N 0 3 324.424 4.290 20 0 DIADHN CCC(CC)N1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001257293886 1063184301 /nfs/dbraw/zinc/18/43/01/1063184301.db2.gz DXKPYGZFPLRUKK-QGZVFWFLSA-N 0 3 302.462 4.285 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCCOC1CCCCC1 ZINC001461017523 1063191522 /nfs/dbraw/zinc/19/15/22/1063191522.db2.gz SLYNQCVBMKPIQM-UHFFFAOYSA-N 0 3 324.896 4.235 20 0 DIADHN CCC[C@H](C)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001257314988 1063198913 /nfs/dbraw/zinc/19/89/13/1063198913.db2.gz RJDREWYOXHYKPP-HNAYVOBHSA-N 0 3 307.441 4.441 20 0 DIADHN CCC[C@@H](C)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001257314987 1063199576 /nfs/dbraw/zinc/19/95/76/1063199576.db2.gz RJDREWYOXHYKPP-DNVCBOLYSA-N 0 3 307.441 4.441 20 0 DIADHN CC[C@H](C)NCc1c(Br)cccc1Br ZINC001257432119 1063275062 /nfs/dbraw/zinc/27/50/62/1063275062.db2.gz QXLBMHWIBXARDW-QMMMGPOBSA-N 0 3 321.056 4.100 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(Br)nc2)cc1 ZINC001461061310 1063223371 /nfs/dbraw/zinc/22/33/71/1063223371.db2.gz PFNHPIXULLWJKX-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNC[C@H]1C[C@@H]1C ZINC001461051160 1063216159 /nfs/dbraw/zinc/21/61/59/1063216159.db2.gz GYRDKXAOVMNNAJ-DZGCQCFKSA-N 0 3 317.864 4.197 20 0 DIADHN CC[C@H](CNCc1cc(C)ccc1F)Oc1cccc(C)c1 ZINC001461051850 1063218013 /nfs/dbraw/zinc/21/80/13/1063218013.db2.gz AFPFJZCARAQLAR-QGZVFWFLSA-N 0 3 301.405 4.390 20 0 DIADHN Brc1cccc(-c2nc(CNC3CCCC3)co2)c1 ZINC001257349754 1063223427 /nfs/dbraw/zinc/22/34/27/1063223427.db2.gz YUTACQXOATWWTJ-UHFFFAOYSA-N 0 3 321.218 4.136 20 0 DIADHN CC(C)Oc1ccc(CC[C@@H](C)NCc2nccs2)cc1 ZINC001461063772 1063227977 /nfs/dbraw/zinc/22/79/77/1063227977.db2.gz DJPBUXBMWOWXFU-CQSZACIVSA-N 0 3 304.459 4.041 20 0 DIADHN Cc1ccc(F)cc1CNCc1cccc(OCC(C)C)c1 ZINC001461095467 1063251932 /nfs/dbraw/zinc/25/19/32/1063251932.db2.gz DRQFNERGCHTTGJ-UHFFFAOYSA-N 0 3 301.405 4.459 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCCC[C@H]1c1cccnc1 ZINC001257399168 1063252595 /nfs/dbraw/zinc/25/25/95/1063252595.db2.gz XLZKWJXQBXWWIJ-AEFFLSMTSA-N 0 3 318.461 4.121 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@H]1c1ccccc1F ZINC001257396957 1063252649 /nfs/dbraw/zinc/25/26/49/1063252649.db2.gz IRBSQPHCQIVYHW-YJBOKZPZSA-N 0 3 321.436 4.475 20 0 DIADHN Clc1cccc(CNCCn2cnc3ccccc32)c1Cl ZINC001461114852 1063264159 /nfs/dbraw/zinc/26/41/59/1063264159.db2.gz XKGQLLQPJDMEBS-UHFFFAOYSA-N 0 3 320.223 4.133 20 0 DIADHN CCCC[C@@H](CC)NCc1coc(-c2cccc(OC)c2)n1 ZINC001257416550 1063266436 /nfs/dbraw/zinc/26/64/36/1063266436.db2.gz MJKLLIWRHWMNAF-OAHLLOKOSA-N 0 3 302.418 4.409 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1nccn1C1CC1 ZINC001461129012 1063280288 /nfs/dbraw/zinc/28/02/88/1063280288.db2.gz CRYJYFGMMJBZKL-LLVKDONJSA-N 0 3 324.255 4.246 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1cc(Br)no1 ZINC001257465514 1063286454 /nfs/dbraw/zinc/28/64/54/1063286454.db2.gz BDDRDJHCOVFSTP-QWRGUYRKSA-N 0 3 303.244 4.132 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1cc(Br)no1 ZINC001257465516 1063288135 /nfs/dbraw/zinc/28/81/35/1063288135.db2.gz BDDRDJHCOVFSTP-WDEREUQCSA-N 0 3 303.244 4.132 20 0 DIADHN CCOc1cccc(F)c1CNCCCCc1nc(C)cs1 ZINC001461148254 1063294387 /nfs/dbraw/zinc/29/43/87/1063294387.db2.gz ICFYHPZKPWIRCK-UHFFFAOYSA-N 0 3 322.449 4.102 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001257479816 1063299018 /nfs/dbraw/zinc/29/90/18/1063299018.db2.gz AKHYZAGWBPDJJE-OLZOCXBDSA-N 0 3 307.400 4.435 20 0 DIADHN Cc1ccc2nc(CNCCc3ccc4ccccc4c3)cn2c1 ZINC001461162865 1063301301 /nfs/dbraw/zinc/30/13/01/1063301301.db2.gz XYTMAGHHIWBXIJ-UHFFFAOYSA-N 0 3 315.420 4.128 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257481510 1063305282 /nfs/dbraw/zinc/30/52/82/1063305282.db2.gz LGBDCPRRFYZIFR-LSDHHAIUSA-N 0 3 302.453 4.007 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257485504 1063307767 /nfs/dbraw/zinc/30/77/67/1063307767.db2.gz NFJQWGJZVCSAEA-VXGBXAGGSA-N 0 3 317.399 4.325 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257485340 1063308691 /nfs/dbraw/zinc/30/86/91/1063308691.db2.gz KUXIHWKPAVDRTI-NEPJUHHUSA-N 0 3 317.399 4.325 20 0 DIADHN CC(C)c1ccc(CCCNCc2nc3c(s2)CCC3)cc1 ZINC001461195860 1063322597 /nfs/dbraw/zinc/32/25/97/1063322597.db2.gz WJNJLZSHXIICTG-UHFFFAOYSA-N 0 3 314.498 4.478 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(Cl)c(C)c1Cl ZINC001461196874 1063324068 /nfs/dbraw/zinc/32/40/68/1063324068.db2.gz JLBXQWDEBGAVTQ-BONVTDFDSA-N 0 3 304.261 4.189 20 0 DIADHN CC(C)CC[C@H](NCc1nc(Br)c[nH]1)C(C)(C)C ZINC001461203006 1063329814 /nfs/dbraw/zinc/32/98/14/1063329814.db2.gz BJGKERPOZGAFFB-NSHDSACASA-N 0 3 316.287 4.113 20 0 DIADHN CCCCCC(CCCCC)n1cnc(CN)c1C(=O)OCC ZINC001257550099 1063330232 /nfs/dbraw/zinc/33/02/32/1063330232.db2.gz ICVWPSRKRNJZHV-UHFFFAOYSA-N 0 3 323.481 4.220 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@H]2CCc3ccccc32)cs1 ZINC001461210161 1063335489 /nfs/dbraw/zinc/33/54/89/1063335489.db2.gz QLPZPOSNNURLHU-AWEZNQCLSA-N 0 3 300.471 4.260 20 0 DIADHN CC[C@H](CCO)NCc1c(Cl)cccc1Oc1ccccc1 ZINC001461220584 1063342523 /nfs/dbraw/zinc/34/25/23/1063342523.db2.gz WWINNWKIUKSOHY-CQSZACIVSA-N 0 3 319.832 4.383 20 0 DIADHN CCOc1cccc(F)c1CNCCc1ccc(CC)cc1 ZINC001461228439 1063348298 /nfs/dbraw/zinc/34/82/98/1063348298.db2.gz UFVXBDOKWQMUOQ-UHFFFAOYSA-N 0 3 301.405 4.119 20 0 DIADHN COc1nsc(CNC[C@H](C)c2cccc(C)c2)c1Cl ZINC001461231408 1063351913 /nfs/dbraw/zinc/35/19/13/1063351913.db2.gz LVMYCLILMMVVBS-NSHDSACASA-N 0 3 310.850 4.007 20 0 DIADHN CC1(C)CCC(NCc2ncc(Br)cc2F)CC1 ZINC001461250796 1063367148 /nfs/dbraw/zinc/36/71/48/1063367148.db2.gz ZZZRZMNXOAIVCU-UHFFFAOYSA-N 0 3 315.230 4.042 20 0 DIADHN COc1ccc(O[C@@H](C)CNCc2ccc(F)cc2Cl)cc1 ZINC001461260632 1063372464 /nfs/dbraw/zinc/37/24/64/1063372464.db2.gz DMZQWIMYPBCSQR-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1cnc(CS[C@H]2CCCN(Cc3ccccc3)C2)s1 ZINC001473048850 1063372829 /nfs/dbraw/zinc/37/28/29/1063372829.db2.gz DCLKHKFJIUFCIO-INIZCTEOSA-N 0 3 318.511 4.349 20 0 DIADHN CCC[C@H](C)CCCN1CCc2c(cnn2-c2ccccc2)C1 ZINC001473103728 1063401238 /nfs/dbraw/zinc/40/12/38/1063401238.db2.gz LYXISQSCOKWMLQ-KRWDZBQOSA-N 0 3 311.473 4.447 20 0 DIADHN C[C@H]1CC[C@@H](CN(Cc2ccccc2C(F)(F)F)C2CC2)O1 ZINC001473110025 1063409234 /nfs/dbraw/zinc/40/92/34/1063409234.db2.gz JTJBFYIBHLYQJM-WFASDCNBSA-N 0 3 313.363 4.237 20 0 DIADHN CC1(C)C[C@@H](NCc2ccnn2CC2CCC2)c2ccccc21 ZINC001461315025 1063411479 /nfs/dbraw/zinc/41/14/79/1063411479.db2.gz WQKSTPYEUJSRNA-LJQANCHMSA-N 0 3 309.457 4.195 20 0 DIADHN c1ccc(CC[C@@H]2CCCN2Cc2nc3c(o2)CCCC3)cc1 ZINC001473112698 1063413139 /nfs/dbraw/zinc/41/31/39/1063413139.db2.gz SRCARCFMKICAJC-KRWDZBQOSA-N 0 3 310.441 4.151 20 0 DIADHN CCC1CCC(N(Cc2cc3n(n2)CCCC3)C2CC2)CC1 ZINC001473111252 1063413508 /nfs/dbraw/zinc/41/35/08/1063413508.db2.gz GTDUIWCXLFEVNM-UHFFFAOYSA-N 0 3 301.478 4.153 20 0 DIADHN CC(C)OC1CCC(N(C)Cc2nc3ccccc3s2)CC1 ZINC001257880291 1063422753 /nfs/dbraw/zinc/42/27/53/1063422753.db2.gz XVFIYWKMFSIXNZ-UHFFFAOYSA-N 0 3 318.486 4.464 20 0 DIADHN CCN(Cc1ccc2ccccc2c1)[C@H]1CCCN(C(C)C)C1=O ZINC001473121376 1063425760 /nfs/dbraw/zinc/42/57/60/1063425760.db2.gz PQAVJBQEMKDEDN-FQEVSTJZSA-N 0 3 324.468 4.061 20 0 DIADHN Brc1sccc1CNCCCc1cccs1 ZINC001461348113 1063432255 /nfs/dbraw/zinc/43/22/55/1063432255.db2.gz PZXAZQAXMSSHMA-UHFFFAOYSA-N 0 3 316.289 4.295 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C[C@@H]1COC(C)(C)O1 ZINC001473127255 1063432713 /nfs/dbraw/zinc/43/27/13/1063432713.db2.gz CSOIPHKXVUMMNA-CMPLNLGQSA-N 0 3 318.244 4.138 20 0 DIADHN Cc1c(Cl)cccc1CNCc1ccccc1-n1cccn1 ZINC001461355556 1063439342 /nfs/dbraw/zinc/43/93/42/1063439342.db2.gz DFROBLIBELNBDC-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CC[C@@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1ncccc1C ZINC001257948300 1063443444 /nfs/dbraw/zinc/44/34/44/1063443444.db2.gz OKDFVMMQLKQABU-JKSUJKDBSA-N 0 3 316.832 4.088 20 0 DIADHN COC(=O)c1cc(CN(C)CCC2CCCCC2)c(C)s1 ZINC001473137826 1063443787 /nfs/dbraw/zinc/44/37/87/1063443787.db2.gz ZDKYZXBVFSOLHU-UHFFFAOYSA-N 0 3 309.475 4.245 20 0 DIADHN CC(C)CCOCCN1CCc2cc(C(C)(C)C)ccc2C1 ZINC001473135312 1063444062 /nfs/dbraw/zinc/44/40/62/1063444062.db2.gz GRRKPCXTIAWSEM-UHFFFAOYSA-N 0 3 303.490 4.405 20 0 DIADHN CCN(Cc1ccc(OC(C)C)c(Cl)c1)C[C@@H]1CCCO1 ZINC001473140290 1063446584 /nfs/dbraw/zinc/44/65/84/1063446584.db2.gz OMOLWLDXUJPGOE-HNNXBMFYSA-N 0 3 311.853 4.128 20 0 DIADHN CC(C)c1ncc(CN[C@H]2COc3ccc(Cl)cc3C2)s1 ZINC001257952680 1063447146 /nfs/dbraw/zinc/44/71/46/1063447146.db2.gz JWLSVKBTJHQWIJ-CYBMUJFWSA-N 0 3 322.861 4.013 20 0 DIADHN Fc1ccc(C2(N[C@H]3COc4ccc(Cl)cc4C3)CC2)cc1 ZINC001257953563 1063447508 /nfs/dbraw/zinc/44/75/08/1063447508.db2.gz QXAXGEFVBSKWLB-MRXNPFEDSA-N 0 3 317.791 4.062 20 0 DIADHN Clc1ccc2c(c1)C[C@H](N1CCC[C@@H]1c1ccccc1)CO2 ZINC001257965081 1063449246 /nfs/dbraw/zinc/44/92/46/1063449246.db2.gz UTGSKVQTOQPPJC-ZWKOTPCHSA-N 0 3 313.828 4.481 20 0 DIADHN F[C@H]1CCC2(C1)CCN([C@@H]1COc3ccc(Cl)cc3C1)CC2 ZINC001257967607 1063450168 /nfs/dbraw/zinc/45/01/68/1063450168.db2.gz DBOKGKQKQMAVHL-HOTGVXAUSA-N 0 3 323.839 4.248 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC1CCC(c3ccccc3)CC1)C(=O)N2 ZINC001257987250 1063450807 /nfs/dbraw/zinc/45/08/07/1063450807.db2.gz LCEXDXOKTIWYTH-UHYCVJNDSA-N 0 3 320.436 4.304 20 0 DIADHN c1ccc(-c2noc([C@@H]3CCCCN3CCC3CCC3)n2)cc1 ZINC001473151454 1063455300 /nfs/dbraw/zinc/45/53/00/1063455300.db2.gz MWKJUKJHSAFORW-KRWDZBQOSA-N 0 3 311.429 4.454 20 0 DIADHN c1ccc(C2CCC(N3CCC[C@@H]3c3ncccn3)CC2)cc1 ZINC001257999327 1063458059 /nfs/dbraw/zinc/45/80/59/1063458059.db2.gz FTTLOJFLXJKWOS-CTWPCTMYSA-N 0 3 307.441 4.340 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCN(C)c1ccccc1 ZINC001473153868 1063459674 /nfs/dbraw/zinc/45/96/74/1063459674.db2.gz JIEXKWREFGOHFZ-AWEZNQCLSA-N 0 3 304.384 4.094 20 0 DIADHN CCCOc1cccc(CN2CCCc3sccc3C2)c1 ZINC001473154446 1063460128 /nfs/dbraw/zinc/46/01/28/1063460128.db2.gz UEHNTLIBRLSXAY-UHFFFAOYSA-N 0 3 301.455 4.485 20 0 DIADHN Cc1cccc2c1CCN(CCCOc1cccc(Cl)c1)C2 ZINC001473155039 1063460507 /nfs/dbraw/zinc/46/05/07/1063460507.db2.gz LJLWGOPZPZTTSX-UHFFFAOYSA-N 0 3 315.844 4.476 20 0 DIADHN CC[C@@H]1CCCN(Cc2cc(C(=O)OC)ccc2Cl)CC1 ZINC001473159976 1063463523 /nfs/dbraw/zinc/46/35/23/1063463523.db2.gz CBYUOLYGAKGYTG-CYBMUJFWSA-N 0 3 309.837 4.139 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)CC2)c1 ZINC001473165933 1063468609 /nfs/dbraw/zinc/46/86/09/1063468609.db2.gz IUGYDTANDFKRBZ-MRXNPFEDSA-N 0 3 302.462 4.082 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CCN(C2CCC2)CC1 ZINC001473164371 1063469693 /nfs/dbraw/zinc/46/96/93/1063469693.db2.gz VNFUJOKDLNELKD-GFCCVEGCSA-N 0 3 313.272 4.225 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC(C(C)C)CC1 ZINC001473165208 1063469980 /nfs/dbraw/zinc/46/99/80/1063469980.db2.gz JXDFUDUIGFYTCU-IBGZPJMESA-N 0 3 316.489 4.104 20 0 DIADHN CCC1(CC)[C@H](NCc2ncc(C(C)(C)C)s2)[C@@H](C)[C@H]1OC ZINC001461392207 1063470552 /nfs/dbraw/zinc/47/05/52/1063470552.db2.gz KLNNDJBJZNCXDY-DAXOMENPSA-N 0 3 324.534 4.370 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2nc3c(s2)CCCC3)CCO1 ZINC001461393004 1063470585 /nfs/dbraw/zinc/47/05/85/1063470585.db2.gz PHHIZOYMOKIOGE-GDBMZVCRSA-N 0 3 322.518 4.095 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2cc(O)cc(C(F)(F)F)c2)C1 ZINC001473171986 1063474910 /nfs/dbraw/zinc/47/49/10/1063474910.db2.gz BEWJKNOOGHFMHI-RYUDHWBXSA-N 0 3 301.352 4.422 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNC(C)(C)[C@@H](C)O)cc1Cl ZINC001461405395 1063476820 /nfs/dbraw/zinc/47/68/20/1063476820.db2.gz LPCXXBOREVDDOU-SNVBAGLBSA-N 0 3 320.260 4.030 20 0 DIADHN CN(C)c1ncc(CN2CCC[C@H]3CCC[C@H]32)c2ccccc21 ZINC001473173407 1063480582 /nfs/dbraw/zinc/48/05/82/1063480582.db2.gz YOYHKNIKCMFTLN-DNVCBOLYSA-N 0 3 309.457 4.065 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1ccnn1C1CCC1 ZINC001461415688 1063483866 /nfs/dbraw/zinc/48/38/66/1063483866.db2.gz COYGPMBKEWUDON-YWZLYKJASA-N 0 3 309.457 4.411 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc[nH]2)cc1OCCC(C)C ZINC001461416150 1063483884 /nfs/dbraw/zinc/48/38/84/1063483884.db2.gz XEATYUZZAYQGFR-OAHLLOKOSA-N 0 3 316.445 4.299 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cc(C(=O)OC)ccc1F ZINC001473178345 1063484712 /nfs/dbraw/zinc/48/47/12/1063484712.db2.gz BVWFMIDRKNPJDV-GUYCJALGSA-N 0 3 307.409 4.013 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001473178636 1063485272 /nfs/dbraw/zinc/48/52/72/1063485272.db2.gz JTQUCEYUVXTNDL-CZUORRHYSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001473178637 1063486362 /nfs/dbraw/zinc/48/63/62/1063486362.db2.gz JTQUCEYUVXTNDL-XJKSGUPXSA-N 0 3 308.853 4.179 20 0 DIADHN C[C@@H](NCc1ccc(-n2cnc3ccccc32)cc1)c1ccc[nH]1 ZINC001461417899 1063487422 /nfs/dbraw/zinc/48/74/22/1063487422.db2.gz VYUACKLZGHQFNT-OAHLLOKOSA-N 0 3 316.408 4.204 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@@H]2CCC3(CCC3)O2)s1 ZINC001473180698 1063488529 /nfs/dbraw/zinc/48/85/29/1063488529.db2.gz QDBZHZPYPJIKOL-KBPBESRZSA-N 0 3 308.491 4.108 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(C(C)C)ccc2OC)C1(C)C ZINC001473182212 1063491141 /nfs/dbraw/zinc/49/11/41/1063491141.db2.gz XVPKEXHGJWHPIQ-RBUKOAKNSA-N 0 3 319.489 4.454 20 0 DIADHN O[C@@H](CN1CCC2(CCCC2)C1)c1ccc(Cl)c(Cl)c1 ZINC001473186774 1063492772 /nfs/dbraw/zinc/49/27/72/1063492772.db2.gz VXCIUJZSLJBLRB-HNNXBMFYSA-N 0 3 314.256 4.293 20 0 DIADHN O=C(CN1CCC2(C1)CCCCC2)Nc1cccc2ccccc21 ZINC001473186361 1063493017 /nfs/dbraw/zinc/49/30/17/1063493017.db2.gz TVYPCKBNTUEUJR-UHFFFAOYSA-N 0 3 322.452 4.435 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@H](C)C[C@@H](C)CC(C)C ZINC001258064878 1063493748 /nfs/dbraw/zinc/49/37/48/1063493748.db2.gz WYPVFRLNWWBGLW-UONOGXRCSA-N 0 3 303.450 4.137 20 0 DIADHN CC(C)[C@H]1CCCN1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001473186480 1063493982 /nfs/dbraw/zinc/49/39/82/1063493982.db2.gz VCRIZHNCHVGGIO-RHSMWYFYSA-N 0 3 322.518 4.072 20 0 DIADHN CCOCc1noc2c1CN([C@@H](C)C[C@@H](C)CC(C)C)CC2 ZINC001258070626 1063496522 /nfs/dbraw/zinc/49/65/22/1063496522.db2.gz QMLGIZUDKQFMHE-GJZGRUSLSA-N 0 3 308.466 4.030 20 0 DIADHN COc1ccnc(CN2CCCC[C@@H]2c2cccc(C)c2C)c1 ZINC001473187216 1063496582 /nfs/dbraw/zinc/49/65/82/1063496582.db2.gz OCJYANNKZAVWGN-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1c(Cl)ccc(CN[C@]2(C)CCO[C@@H]2C2CC2)c1Cl ZINC001461432675 1063498854 /nfs/dbraw/zinc/49/88/54/1063498854.db2.gz RSEJZYHSCUYUMQ-HZPDHXFCSA-N 0 3 314.256 4.349 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258074843 1063499569 /nfs/dbraw/zinc/49/95/69/1063499569.db2.gz OCMBBKZTZUZVED-RYUDHWBXSA-N 0 3 317.399 4.181 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1nc(Br)cs1 ZINC001258088445 1063511435 /nfs/dbraw/zinc/51/14/35/1063511435.db2.gz TVYTXLUIADRWOX-SNVBAGLBSA-N 0 3 303.269 4.130 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1cn(Cc2ccccc2F)cn1 ZINC001258088638 1063515965 /nfs/dbraw/zinc/51/59/65/1063515965.db2.gz UKTDMNHUACDNAM-INIZCTEOSA-N 0 3 315.436 4.295 20 0 DIADHN CCC1(CC)CCC(NCc2nc(Br)c[nH]2)CC1 ZINC001461461917 1063523407 /nfs/dbraw/zinc/52/34/07/1063523407.db2.gz QOJVVJWNVDDJFE-UHFFFAOYSA-N 0 3 314.271 4.011 20 0 DIADHN CCCNc1ccc(CNCc2cc(F)cc(OCC)c2)cc1 ZINC001461486427 1063533377 /nfs/dbraw/zinc/53/33/77/1063533377.db2.gz GGGSUDRUSALFJG-UHFFFAOYSA-N 0 3 316.420 4.336 20 0 DIADHN CC[C@]1(NCc2ccc(-c3ccc(Cl)cc3)o2)CCOC1 ZINC001461487808 1063533730 /nfs/dbraw/zinc/53/37/30/1063533730.db2.gz JQTROZFKADXIFX-KRWDZBQOSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2CCSC2)cc1Cl ZINC001461486551 1063534715 /nfs/dbraw/zinc/53/47/15/1063534715.db2.gz RSFDNTIPXZSSNU-SNVBAGLBSA-N 0 3 320.683 4.006 20 0 DIADHN Cc1ccnc(CNC(C2CCC2)C2CCC2)c1Br ZINC001461496760 1063535664 /nfs/dbraw/zinc/53/56/64/1063535664.db2.gz ZDQAFRBDRMZHLG-UHFFFAOYSA-N 0 3 323.278 4.211 20 0 DIADHN CCC[C@]1(NCc2ccc(-c3ccc(F)cc3C)o2)CCOC1 ZINC001461499990 1063540281 /nfs/dbraw/zinc/54/02/81/1063540281.db2.gz UASRWMVRQDTYAI-IBGZPJMESA-N 0 3 317.404 4.443 20 0 DIADHN Cc1nc(CNCCCc2c[nH]c3ccc(F)cc23)sc1C ZINC001461507445 1063543942 /nfs/dbraw/zinc/54/39/42/1063543942.db2.gz RVMZCYFIMKHTEC-UHFFFAOYSA-N 0 3 317.433 4.103 20 0 DIADHN CC[C@@](C)(CNCc1ccc(-c2c(F)cccc2F)o1)OC ZINC001461514002 1063548052 /nfs/dbraw/zinc/54/80/52/1063548052.db2.gz ORMHRPNDVGCLEJ-KRWDZBQOSA-N 0 3 309.356 4.130 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccnc(C(F)(F)F)c2)cc1 ZINC001461515309 1063548278 /nfs/dbraw/zinc/54/82/78/1063548278.db2.gz VBRCHZWHVHVUBK-OWOJBTEDSA-N 0 3 310.294 4.043 20 0 DIADHN C[C@H](Cc1ccco1)N(Cc1ccccc1)Cc1ccccn1 ZINC001258186746 1063552027 /nfs/dbraw/zinc/55/20/27/1063552027.db2.gz SBKDRSOCAJFIPV-QGZVFWFLSA-N 0 3 306.409 4.308 20 0 DIADHN C[C@@H](Cc1ccco1)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001258194543 1063555612 /nfs/dbraw/zinc/55/56/12/1063555612.db2.gz IZGSVDPZCNVRGQ-LBPRGKRZSA-N 0 3 309.331 4.288 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H](C(C)(C)C)CC2)[n-]1 ZINC001461564711 1063582671 /nfs/dbraw/zinc/58/26/71/1063582671.db2.gz JSPRLHIGYDQBBO-ZIAGYGMSSA-N 0 3 306.498 4.187 20 0 DIADHN CC1(C)CCC[C@@H](NCC(=O)c2cccc(Br)c2)C1 ZINC001258275941 1063582922 /nfs/dbraw/zinc/58/29/22/1063582922.db2.gz WSIRTTJAHAGBLF-CQSZACIVSA-N 0 3 324.262 4.190 20 0 DIADHN Clc1ccc([C@@H]2CC[C@@H](NCc3nccn3C3CC3)C2)cc1 ZINC001461575056 1063588183 /nfs/dbraw/zinc/58/81/83/1063588183.db2.gz IHOWGGBASMTWHO-GDBMZVCRSA-N 0 3 315.848 4.297 20 0 DIADHN CC1(C)CCC[C@@H](N(Cc2cccnc2)Cc2ccccn2)C1 ZINC001258291462 1063590838 /nfs/dbraw/zinc/59/08/38/1063590838.db2.gz LBTRIQXJGNZZFB-LJQANCHMSA-N 0 3 309.457 4.448 20 0 DIADHN Cc1cc(CN[C@@H](C)CC(C)(C)SCc2ccco2)on1 ZINC001258296650 1063592602 /nfs/dbraw/zinc/59/26/02/1063592602.db2.gz YEQYGGHFNOLKRR-ZDUSSCGKSA-N 0 3 308.447 4.156 20 0 DIADHN CC[C@@H](NCc1cc(OC)cc2c1O[C@@H](C)C2)c1ccccc1 ZINC001461582642 1063593636 /nfs/dbraw/zinc/59/36/36/1063593636.db2.gz MXKKQQUKDMUMCY-IFXJQAMLSA-N 0 3 311.425 4.260 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)NCc1cscn1 ZINC001258298463 1063595016 /nfs/dbraw/zinc/59/50/16/1063595016.db2.gz NTHSZPZAPSBREO-LBPRGKRZSA-N 0 3 310.488 4.316 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2ccncc2Cl)CCC1 ZINC001461584825 1063596355 /nfs/dbraw/zinc/59/63/55/1063596355.db2.gz VTYVRLLJJNYLBV-UHFFFAOYSA-N 0 3 322.786 4.225 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)NCc1cscn1 ZINC001258298462 1063596681 /nfs/dbraw/zinc/59/66/81/1063596681.db2.gz NTHSZPZAPSBREO-GFCCVEGCSA-N 0 3 310.488 4.316 20 0 DIADHN C[C@@H](N)c1nccn1[C@H](C)CC(C)(C)SCc1ccco1 ZINC001258299045 1063596766 /nfs/dbraw/zinc/59/67/66/1063596766.db2.gz XIBIHELTVKMPBD-CHWSQXEVSA-N 0 3 307.463 4.159 20 0 DIADHN CCn1ccc(CN[C@@H](C)CC(C)(C)SCc2ccco2)n1 ZINC001258303305 1063598601 /nfs/dbraw/zinc/59/86/01/1063598601.db2.gz TVFBHAQGTNKAQR-AWEZNQCLSA-N 0 3 321.490 4.076 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001258303518 1063598751 /nfs/dbraw/zinc/59/87/51/1063598751.db2.gz BMRHZHZGFDLTNV-VRKREXBASA-N 0 3 311.466 4.360 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001258303516 1063598772 /nfs/dbraw/zinc/59/87/72/1063598772.db2.gz BMRHZHZGFDLTNV-DARAHFNDSA-N 0 3 311.466 4.360 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H]2COCc3ccccc32)cc1C ZINC001461590420 1063599540 /nfs/dbraw/zinc/59/95/40/1063599540.db2.gz VRSCSHYWYWYFBT-LHFJYWTJSA-N 0 3 307.437 4.486 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@@H]1C[C@H]1C1CCC1 ZINC001461595654 1063603806 /nfs/dbraw/zinc/60/38/06/1063603806.db2.gz UCCXXYKKJGHTRX-DOTOQJQBSA-N 0 3 315.848 4.112 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC1CCC(F)CC1 ZINC001461614544 1063612621 /nfs/dbraw/zinc/61/26/21/1063612621.db2.gz CLZQMTNBQPVULQ-UHFFFAOYSA-N 0 3 308.422 4.329 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1csc(C(C)(C)C)n1 ZINC001461622167 1063619779 /nfs/dbraw/zinc/61/97/79/1063619779.db2.gz WQEOMMRALKOLAX-BLLLJJGKSA-N 0 3 300.471 4.259 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNC[C@]1(C)CC1(F)F ZINC001461640594 1063629590 /nfs/dbraw/zinc/62/95/90/1063629590.db2.gz HVSAXGBLLOMSSN-ZDUSSCGKSA-N 0 3 323.214 4.194 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2c(F)cccc2F)cc1 ZINC001258388958 1063633458 /nfs/dbraw/zinc/63/34/58/1063633458.db2.gz MCDFXJOWXFYTOG-CYBMUJFWSA-N 0 3 305.368 4.084 20 0 DIADHN COc1nsc(CNC2CCC(C3CCC3)CC2)c1Cl ZINC001461648427 1063634177 /nfs/dbraw/zinc/63/41/77/1063634177.db2.gz LHNCCCZXDQDNDW-UHFFFAOYSA-N 0 3 314.882 4.254 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc2c(c1)CCCC2)c1ccccc1 ZINC001461650773 1063635829 /nfs/dbraw/zinc/63/58/29/1063635829.db2.gz CJKOKGDAZQOSON-FGZHOGPDSA-N 0 3 323.480 4.413 20 0 DIADHN COc1ccc(CC[C@H](C)N2CCC[C@@H]2c2cc(C)on2)cc1 ZINC001258398441 1063637516 /nfs/dbraw/zinc/63/75/16/1063637516.db2.gz UWRRNUPHJBGDIH-IFXJQAMLSA-N 0 3 314.429 4.150 20 0 DIADHN c1cc(-c2nc(CNC[C@@H]3CC[C@H]4C[C@H]4C3)cs2)cs1 ZINC001461654774 1063639035 /nfs/dbraw/zinc/63/90/35/1063639035.db2.gz BLNBFDRXQFVDEL-MBNYWOFBSA-N 0 3 304.484 4.397 20 0 DIADHN COc1ccc(CC[C@H](C)N(C)Cc2cccc(F)c2F)cc1 ZINC001258403599 1063640448 /nfs/dbraw/zinc/64/04/48/1063640448.db2.gz BDCVHERUWQKYPT-AWEZNQCLSA-N 0 3 319.395 4.427 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2)[C@@H](C)O)cc2ccccc21 ZINC001461673505 1063651708 /nfs/dbraw/zinc/65/17/08/1063651708.db2.gz ZMQDLIMMSGNGEL-QVKFZJNVSA-N 0 3 321.420 4.060 20 0 DIADHN CC1(C)CCC(C)(NCc2ncc(Br)s2)CC1 ZINC001461691710 1063654315 /nfs/dbraw/zinc/65/43/15/1063654315.db2.gz ISQXROAPJQKURM-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@H](C)O[C@H]1C ZINC001461684565 1063656319 /nfs/dbraw/zinc/65/63/19/1063656319.db2.gz OIDZHQKCGANJRM-YZVOILCLSA-N 0 3 311.425 4.018 20 0 DIADHN CCCCC[C@@H](CC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258447360 1063658388 /nfs/dbraw/zinc/65/83/88/1063658388.db2.gz ZFOCYFBVCOPHEQ-GOSISDBHSA-N 0 3 317.477 4.195 20 0 DIADHN C[C@@H](CC1CC1)NCc1c(F)c(F)cc(Br)c1F ZINC001258448050 1063659241 /nfs/dbraw/zinc/65/92/41/1063659241.db2.gz NWOWBWOCMJJVHO-ZETCQYMHSA-N 0 3 322.168 4.145 20 0 DIADHN FC[C@@H](N[C@@H]1CCCc2ccccc2C1)c1ccc(F)cc1 ZINC001461700948 1063666749 /nfs/dbraw/zinc/66/67/49/1063666749.db2.gz ZXHBLWBDFOQGLL-RTBURBONSA-N 0 3 301.380 4.374 20 0 DIADHN CCOc1cccc(CNCc2ccc(SCC)cc2)c1 ZINC001461708915 1063671576 /nfs/dbraw/zinc/67/15/76/1063671576.db2.gz UMSOZNWEMXMLHI-UHFFFAOYSA-N 0 3 301.455 4.487 20 0 DIADHN CCSc1ccc(CNCc2ccc(OC)c(F)c2)cc1 ZINC001461709367 1063672158 /nfs/dbraw/zinc/67/21/58/1063672158.db2.gz XXEWAKRMFIBPGO-UHFFFAOYSA-N 0 3 305.418 4.236 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC(c2ccccc2Cl)CC1 ZINC001258503628 1063675800 /nfs/dbraw/zinc/67/58/00/1063675800.db2.gz YPNWERDGBDDTPP-AWEZNQCLSA-N 0 3 323.864 4.251 20 0 DIADHN Clc1cccc2c1CN(C1CCN(c3ccccc3)CC1)C2 ZINC001258515434 1063681009 /nfs/dbraw/zinc/68/10/09/1063681009.db2.gz SDGXFTMKYRYZSM-UHFFFAOYSA-N 0 3 312.844 4.325 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1ccc(C)cc1C ZINC001461727278 1063681061 /nfs/dbraw/zinc/68/10/61/1063681061.db2.gz NKILAYFVHHCXBL-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1Cl ZINC001461726998 1063681261 /nfs/dbraw/zinc/68/12/61/1063681261.db2.gz FGUIHJQMOUOZIC-WHOFXGATSA-N 0 3 314.256 4.349 20 0 DIADHN C[C@@H](NCc1cc2ccccc2[nH]1)c1ccc(C(F)(F)F)nc1 ZINC001461732113 1063683858 /nfs/dbraw/zinc/68/38/58/1063683858.db2.gz TXLXVGUOHQBRLE-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2CC(C)(C)CO2)c1Cl ZINC001461732567 1063686799 /nfs/dbraw/zinc/68/67/99/1063686799.db2.gz SJEPVRCCVCSZPP-LBPRGKRZSA-N 0 3 302.245 4.207 20 0 DIADHN CCSc1ccccc1CCNCc1c(Cl)ncn1CC ZINC001461738960 1063688412 /nfs/dbraw/zinc/68/84/12/1063688412.db2.gz GXJHYBXXQAXVGV-UHFFFAOYSA-N 0 3 323.893 4.001 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC(C)(C)C3)cs2)cc1 ZINC001258594292 1063697674 /nfs/dbraw/zinc/69/76/74/1063697674.db2.gz FUXOBIOYRAJRNB-AWEZNQCLSA-N 0 3 316.470 4.487 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CCCO[C@@H](C)C1 ZINC001258678987 1063746944 /nfs/dbraw/zinc/74/69/44/1063746944.db2.gz XPBVPISPKWZVLX-RYUDHWBXSA-N 0 3 302.245 4.035 20 0 DIADHN Nc1c(F)cccc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC001461776829 1063710344 /nfs/dbraw/zinc/71/03/44/1063710344.db2.gz HXNXVEVNVKPYQX-GOSISDBHSA-N 0 3 306.384 4.338 20 0 DIADHN C[C@@H](Cc1cccnc1)NC1c2ccccc2Oc2ccccc21 ZINC001258616095 1063713503 /nfs/dbraw/zinc/71/35/03/1063713503.db2.gz XSJMTXSUQIVTSV-HNNXBMFYSA-N 0 3 316.404 4.498 20 0 DIADHN C[C@@H](Cc1cccnc1)N(Cc1ccccc1)Cc1cccnc1 ZINC001258628974 1063716741 /nfs/dbraw/zinc/71/67/41/1063716741.db2.gz WWKXCINWQGZNAE-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@@H]1c1cc(F)ccc1F ZINC001258628550 1063717143 /nfs/dbraw/zinc/71/71/43/1063717143.db2.gz QXKFXTIKVVCVQR-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1nnc(-c2ccccc2)o1 ZINC001258649949 1063721913 /nfs/dbraw/zinc/72/19/13/1063721913.db2.gz VKRRTRLJRJQWNC-SFHVURJKSA-N 0 3 321.424 4.238 20 0 DIADHN C[C@]1(CNCc2ccc(-c3c(F)cccc3F)o2)CCCCO1 ZINC001461799038 1063724674 /nfs/dbraw/zinc/72/46/74/1063724674.db2.gz UCAFRLZIVRNFPK-GOSISDBHSA-N 0 3 321.367 4.274 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCC(=O)c1cc(F)ccc1F ZINC001258652896 1063726398 /nfs/dbraw/zinc/72/63/98/1063726398.db2.gz DLLDPKVQTYAEGN-MRXNPFEDSA-N 0 3 317.379 4.149 20 0 DIADHN CSCC(C)(C)NCc1ccc(Sc2ccccn2)o1 ZINC001461805873 1063727422 /nfs/dbraw/zinc/72/74/22/1063727422.db2.gz NDXJBMAGQQIZCP-UHFFFAOYSA-N 0 3 308.472 4.057 20 0 DIADHN CCC[C@H](Cc1ccccc1)n1nc(CN)c2cc(OC)ccc21 ZINC001258654067 1063728976 /nfs/dbraw/zinc/72/89/76/1063728976.db2.gz HGRRVDOIOVSWOR-MRXNPFEDSA-N 0 3 323.440 4.088 20 0 DIADHN C[C@@H](NCc1cccc2c1CCC2)c1cnn(CC2CCC2)c1 ZINC001461808729 1063729181 /nfs/dbraw/zinc/72/91/81/1063729181.db2.gz AIUWBHWXFORGAH-OAHLLOKOSA-N 0 3 309.457 4.023 20 0 DIADHN CC1(C)CCC[C@@H](CNCc2ccc(Cl)cc2Cl)O1 ZINC001461807601 1063729531 /nfs/dbraw/zinc/72/95/31/1063729531.db2.gz XIESQJAVRUSVHV-ZDUSSCGKSA-N 0 3 302.245 4.431 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2ccc3occc3c2)c2ccccc21 ZINC001461818349 1063734563 /nfs/dbraw/zinc/73/45/63/1063734563.db2.gz HEGYLYHQLXRYGE-YCRPNKLZSA-N 0 3 321.420 4.308 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@]3(CCOC3)Oc3ccccc32)cc1C ZINC001461834522 1063743207 /nfs/dbraw/zinc/74/32/07/1063743207.db2.gz FDUXAXZRCWKRQX-FPOVZHCZSA-N 0 3 323.436 4.076 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CC[C@@H]2CCO[C@H]2C1 ZINC001258677613 1063743742 /nfs/dbraw/zinc/74/37/42/1063743742.db2.gz HUMPSHDXOPEAJX-OZVIIMIRSA-N 0 3 314.256 4.035 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC(C4CCCC4)CC3)n12 ZINC001461839631 1063743923 /nfs/dbraw/zinc/74/39/23/1063743923.db2.gz ASJXSOWZXVGGIH-UHFFFAOYSA-N 0 3 317.502 4.415 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1cc(F)ccn1 ZINC001258678419 1063746754 /nfs/dbraw/zinc/74/67/54/1063746754.db2.gz GUBXEKMITMQLTO-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN CCOC1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)CC1 ZINC001258681426 1063748751 /nfs/dbraw/zinc/74/87/51/1063748751.db2.gz DUVLCBWPKDUQGW-LBPRGKRZSA-N 0 3 316.272 4.425 20 0 DIADHN COCCOc1ccc(CN(C)[C@@H](c2ccccc2)C(C)C)o1 ZINC001461855784 1063751097 /nfs/dbraw/zinc/75/10/97/1063751097.db2.gz WNXFVOJROFHZOB-LJQANCHMSA-N 0 3 317.429 4.134 20 0 DIADHN COc1cc(C[NH2+][C@H]2C[C@H](C)CC(C)(C)C2)cc(Cl)c1[O-] ZINC001258690104 1063753381 /nfs/dbraw/zinc/75/33/81/1063753381.db2.gz OCGRJCIFRHHQEA-AAEUAGOBSA-N 0 3 311.853 4.359 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cc(C)nc(C)c3)CC2)c1 ZINC001461860951 1063754175 /nfs/dbraw/zinc/75/41/75/1063754175.db2.gz QMGRIJHSZJHTHB-UHFFFAOYSA-N 0 3 309.457 4.083 20 0 DIADHN COc1cc(F)c(CN2CCC[C@H](C(C)C)CC2)cc1OC ZINC001461863062 1063755789 /nfs/dbraw/zinc/75/57/89/1063755789.db2.gz AJQCYIPZNHEUDN-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN CCc1cc(OC)ccc1CN1CC=C(c2ccc(O)cc2)CC1 ZINC001461862076 1063755827 /nfs/dbraw/zinc/75/58/27/1063755827.db2.gz BPGJJKKYVJLRMQ-UHFFFAOYSA-N 0 3 323.436 4.253 20 0 DIADHN CCCCC(=O)N1CC(C)(C)N(Cc2ccccc2)CC1(C)C ZINC001461871892 1063761995 /nfs/dbraw/zinc/76/19/95/1063761995.db2.gz PVZMGLFFELICCL-UHFFFAOYSA-N 0 3 316.489 4.078 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N[C@@H]1c2cc(C)ccc2NC1=O ZINC001258702256 1063762591 /nfs/dbraw/zinc/76/25/91/1063762591.db2.gz SBYZVJZLOKBSDQ-YLJYHZDGSA-N 0 3 322.452 4.379 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cccc3c2OCC3)C1(C)C ZINC001461871203 1063764111 /nfs/dbraw/zinc/76/41/11/1063764111.db2.gz IALDJZQEHAVSJY-ROUUACIJSA-N 0 3 317.473 4.037 20 0 DIADHN CCc1ccc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)cc1 ZINC001461872650 1063764478 /nfs/dbraw/zinc/76/44/78/1063764478.db2.gz WXSVUGUZHCMPGU-UHFFFAOYSA-N 0 3 319.452 4.053 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(SC)o2)C1(CC)CC ZINC001461877740 1063769058 /nfs/dbraw/zinc/76/90/58/1063769058.db2.gz VFHRGLISLKXMSV-CABCVRRESA-N 0 3 311.491 4.417 20 0 DIADHN Cc1coc(CN(C)CCCc2cc(-c3ccccc3)no2)c1 ZINC001461879745 1063769269 /nfs/dbraw/zinc/76/92/69/1063769269.db2.gz CTJBYOHATCXYKV-UHFFFAOYSA-N 0 3 310.397 4.308 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cccn2C2CC2)C1(C)C ZINC001461878958 1063770783 /nfs/dbraw/zinc/77/07/83/1063770783.db2.gz BLIWQMXZKVXWEN-ROUUACIJSA-N 0 3 304.478 4.239 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N1CCO[C@@H](c2ccncc2)C1 ZINC001258715245 1063772254 /nfs/dbraw/zinc/77/22/54/1063772254.db2.gz YTQOZAMJDNEBPI-NHCUHLMSSA-N 0 3 324.468 4.256 20 0 DIADHN CCn1cncc1CN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC001461888959 1063777247 /nfs/dbraw/zinc/77/72/47/1063777247.db2.gz ZCSPHOLBXOEIIK-HSNDNRACSA-N 0 3 309.457 4.361 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001461894801 1063783090 /nfs/dbraw/zinc/78/30/90/1063783090.db2.gz FTYCBFYPCRPMGL-WFASDCNBSA-N 0 3 315.848 4.319 20 0 DIADHN COCCN(CCCc1ccccc1)Cc1ccc(SC)o1 ZINC001461893802 1063783184 /nfs/dbraw/zinc/78/31/84/1063783184.db2.gz BCSYCQAXRYHILO-UHFFFAOYSA-N 0 3 319.470 4.083 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCN(C(=O)OC(C)(C)C)C[C@H]2C)C1 ZINC001461902049 1063784927 /nfs/dbraw/zinc/78/49/27/1063784927.db2.gz UOHXYUWFJBZRKM-ZACQAIPSSA-N 0 3 324.509 4.144 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCc1ccc(F)c(F)c1F ZINC001258737017 1063786719 /nfs/dbraw/zinc/78/67/19/1063786719.db2.gz AINFFYCQPXFZOT-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2Cc2ccc(=O)[nH]c2)o1 ZINC001461905179 1063787825 /nfs/dbraw/zinc/78/78/25/1063787825.db2.gz QXFCNBMMPKYHGQ-MRXNPFEDSA-N 0 3 300.402 4.060 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N[C@H](CO)c1ccccc1Cl ZINC001258741851 1063790270 /nfs/dbraw/zinc/79/02/70/1063790270.db2.gz OZMAQCIZEPLOQQ-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N[C@@H]1CCc2ccccc2C1=O ZINC001258743922 1063792410 /nfs/dbraw/zinc/79/24/10/1063792410.db2.gz MIGROFSRLOWMTR-SCLBCKFNSA-N 0 3 313.828 4.058 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N1CC[C@@H](F)C(F)(F)CC1 ZINC001258745814 1063794489 /nfs/dbraw/zinc/79/44/89/1063794489.db2.gz WKLVUKDPGNCNEG-BXUZGUMPSA-N 0 3 305.771 4.340 20 0 DIADHN CC(C)CO[C@@H]1CCN([C@H]2C[C@H](C)Sc3sccc32)C1 ZINC001461929270 1063814845 /nfs/dbraw/zinc/81/48/45/1063814845.db2.gz RXCHMXWVXLPVDO-GUTXKFCHSA-N 0 3 311.516 4.420 20 0 DIADHN CCc1cccc(Cl)c1CN1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC001461928860 1063815047 /nfs/dbraw/zinc/81/50/47/1063815047.db2.gz NMOIMWXEDIJYSY-RDTXWAMCSA-N 0 3 317.864 4.182 20 0 DIADHN COc1cc(C)ccc1CN(C)CCCOc1ccccc1C ZINC001461932872 1063816402 /nfs/dbraw/zinc/81/64/02/1063816402.db2.gz FIAMYXNLCSAYMJ-UHFFFAOYSA-N 0 3 313.441 4.213 20 0 DIADHN Cc1ccc(CCN2CCN(c3cccc(C)c3C)CC2)cc1C ZINC001461934664 1063820438 /nfs/dbraw/zinc/82/04/38/1063820438.db2.gz OUUNTTRSTJLQRP-UHFFFAOYSA-N 0 3 322.496 4.285 20 0 DIADHN Cc1nn2c(CN3CCC[C@H]3CC(C)C)c(C(C)C)nc2s1 ZINC001461943916 1063830737 /nfs/dbraw/zinc/83/07/37/1063830737.db2.gz NNJAVVQNNRMNCM-AWEZNQCLSA-N 0 3 320.506 4.233 20 0 DIADHN CC[C@H]1CCCN(Cc2c(C)nc3scc(C4CC4)n23)C1 ZINC001461955642 1063836483 /nfs/dbraw/zinc/83/64/83/1063836483.db2.gz QCAGSRVQICVYAZ-ZDUSSCGKSA-N 0 3 303.475 4.204 20 0 DIADHN C[C@@H]1CCC[C@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001258811104 1063841152 /nfs/dbraw/zinc/84/11/52/1063841152.db2.gz VUIWSYMZVUDKPM-PWSUYJOCSA-N 0 3 300.229 4.344 20 0 DIADHN COc1ccc(CN2CCC[C@H](CCCc3ccccc3)C2)nc1 ZINC001461960083 1063841851 /nfs/dbraw/zinc/84/18/51/1063841851.db2.gz OQOAKRZDBLLQBG-IBGZPJMESA-N 0 3 324.468 4.325 20 0 DIADHN CCN(Cc1ccc(SC)o1)[C@H](COC)Cc1ccccc1 ZINC001461959340 1063842092 /nfs/dbraw/zinc/84/20/92/1063842092.db2.gz NEBUIBZKQVWYRB-INIZCTEOSA-N 0 3 319.470 4.081 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCc2ccccc2CC1 ZINC001461966923 1063844174 /nfs/dbraw/zinc/84/41/74/1063844174.db2.gz XEQLMRDDOFLDRZ-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCc2ccc(F)c(F)c2)on1 ZINC001461972037 1063850899 /nfs/dbraw/zinc/85/08/99/1063850899.db2.gz UJYGUXRULOGHDF-MRXNPFEDSA-N 0 3 306.356 4.031 20 0 DIADHN c1nn(CC2CCC2)cc1CN(Cc1ccccc1)CC1CCC1 ZINC001461984155 1063857986 /nfs/dbraw/zinc/85/79/86/1063857986.db2.gz XNHVVXKMOBCEAG-UHFFFAOYSA-N 0 3 323.484 4.486 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1c(F)c(F)ccc1OC ZINC001258838954 1063859813 /nfs/dbraw/zinc/85/98/13/1063859813.db2.gz OODQWQLSWLDEBM-CQSZACIVSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@H](Cc1ccccc1)n1nc(-c2ccccc2)cc1CN ZINC001258838103 1063861080 /nfs/dbraw/zinc/86/10/80/1063861080.db2.gz NGQGGJZIDDJBMX-GOSISDBHSA-N 0 3 305.425 4.203 20 0 DIADHN CC(C)[C@H]1CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)CCO1 ZINC001461995555 1063867549 /nfs/dbraw/zinc/86/75/49/1063867549.db2.gz KPEUSPOHKADIOL-CZUORRHYSA-N 0 3 315.379 4.166 20 0 DIADHN COc1ccccc1C[C@H](C)N1CCc2cc(Cl)ccc2C1 ZINC001258892434 1063873239 /nfs/dbraw/zinc/87/32/39/1063873239.db2.gz FAUWOUIOLMYVNG-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN CC(C)N(CCC1CCCCC1)CC(=O)Nc1ccc(F)cc1 ZINC001462004661 1063874556 /nfs/dbraw/zinc/87/45/56/1063874556.db2.gz GXFSQXHWILMPNZ-UHFFFAOYSA-N 0 3 320.452 4.445 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001462008794 1063874928 /nfs/dbraw/zinc/87/49/28/1063874928.db2.gz UNRHYDFZDNCSQJ-BETUJISGSA-N 0 3 309.475 4.181 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1cc(C(F)(F)F)nn1C ZINC001462007759 1063876419 /nfs/dbraw/zinc/87/64/19/1063876419.db2.gz PUWJCZJTCLCAIG-UHFFFAOYSA-N 0 3 319.415 4.333 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001462021015 1063882629 /nfs/dbraw/zinc/88/26/29/1063882629.db2.gz UAWDWUYWFDVSDZ-GXTWGEPZSA-N 0 3 305.853 4.237 20 0 DIADHN CCCN(Cc1ccc(OCCOC)o1)Cc1ccc(C)cc1 ZINC001462024439 1063885689 /nfs/dbraw/zinc/88/56/89/1063885689.db2.gz GTKGNMYLTHGDLL-UHFFFAOYSA-N 0 3 317.429 4.025 20 0 DIADHN CCCOc1ccc(CN(C)Cc2cccc(OC)c2)c(C)c1 ZINC001462025239 1063887499 /nfs/dbraw/zinc/88/74/99/1063887499.db2.gz UNCRFGOCVJQEEW-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN Cc1ccccc1OCCCN1CCC[C@@H](C(F)(F)F)C1 ZINC000553615600 1063890085 /nfs/dbraw/zinc/89/00/85/1063890085.db2.gz MQDRZTHTGQBONM-CQSZACIVSA-N 0 3 301.352 4.038 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001462030638 1063892466 /nfs/dbraw/zinc/89/24/66/1063892466.db2.gz LVSTXSCXWMCSTK-FOIQADDNSA-N 0 3 323.436 4.356 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCCC2(CCCCC2)CC1 ZINC001462092497 1063924215 /nfs/dbraw/zinc/92/42/15/1063924215.db2.gz IIZZNIKEYDYBTK-UHFFFAOYSA-N 0 3 317.521 4.481 20 0 DIADHN CC(C)n1cncc1CN1C[C@H]2CCCC[C@@]21c1ccccc1 ZINC001261441816 1063927663 /nfs/dbraw/zinc/92/76/63/1063927663.db2.gz WMFCHSRRNOWLET-UYAOXDASSA-N 0 3 309.457 4.365 20 0 DIADHN CO[C@@H]1CN(Cc2ccc(-c3cccc(F)c3F)o2)CC[C@@H]1C ZINC001462101478 1063930057 /nfs/dbraw/zinc/93/00/57/1063930057.db2.gz DANBYBPVEYQPSS-YVEFUNNKSA-N 0 3 321.367 4.082 20 0 DIADHN Cc1cc(C)c2c(c1)CN(Cc1cc3ccncc3s1)CC2 ZINC001462114813 1063935770 /nfs/dbraw/zinc/93/57/70/1063935770.db2.gz XHBVUENGUIJYNH-UHFFFAOYSA-N 0 3 308.450 4.471 20 0 DIADHN Cc1cccc(CN2CCC[C@@]3(C2)OCc2ccccc23)c1F ZINC001462119289 1063937493 /nfs/dbraw/zinc/93/74/93/1063937493.db2.gz HOUYRBSONSUYKS-FQEVSTJZSA-N 0 3 311.400 4.156 20 0 DIADHN Cc1cccc(Nc2cccc(NC(=O)[C@@H](N)C3CCC3)c2C)c1 ZINC001262051359 1063954538 /nfs/dbraw/zinc/95/45/38/1063954538.db2.gz NBFJIBDIXQUGTR-IBGZPJMESA-N 0 3 323.440 4.113 20 0 DIADHN Cc1cc([C@H](C)NCc2cnc(N(C)C)c3ccccc23)c(C)o1 ZINC001262257888 1063962875 /nfs/dbraw/zinc/96/28/75/1063962875.db2.gz VDPGYUNYXWCKPM-AWEZNQCLSA-N 0 3 323.440 4.361 20 0 DIADHN Cc1c([C@H](C)NCc2ccc3oc4ccccc4c3c2)cnn1C ZINC001262353502 1063964120 /nfs/dbraw/zinc/96/41/20/1063964120.db2.gz ZMBXKKIOBAYNDH-ZDUSSCGKSA-N 0 3 319.408 4.479 20 0 DIADHN Fc1ccccc1-c1csc(CNCCCC(F)(F)F)n1 ZINC001262369442 1063964886 /nfs/dbraw/zinc/96/48/86/1063964886.db2.gz FHVOATYFYHJEKE-UHFFFAOYSA-N 0 3 318.339 4.381 20 0 DIADHN C[C@@H](N[C@H]1CCc2nc(Cl)ccc21)c1ccc2c(c1)CCO2 ZINC001262484574 1063965121 /nfs/dbraw/zinc/96/51/21/1063965121.db2.gz HHGBCIUVIOFEAI-ABAIWWIYSA-N 0 3 314.816 4.008 20 0 DIADHN CC(C)C1(NCc2cc(Cl)ccc2Br)CC1 ZINC000379735965 1063986735 /nfs/dbraw/zinc/98/67/35/1063986735.db2.gz LBUXMYTZGUAGFK-UHFFFAOYSA-N 0 3 302.643 4.381 20 0 DIADHN COc1ccccc1C[C@@H](C)N[C@@H](C)c1c(F)cccc1OC ZINC000378871967 1063977783 /nfs/dbraw/zinc/97/77/83/1063977783.db2.gz PXJCNJXDJBSEGU-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(Cl)c(F)c1 ZINC000378872946 1063978565 /nfs/dbraw/zinc/97/85/65/1063978565.db2.gz SJYDUCBSALVPSZ-NSHDSACASA-N 0 3 321.779 4.101 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N(CCN(C)C)Cc1ccccc1 ZINC001264259564 1064059560 /nfs/dbraw/zinc/05/95/60/1064059560.db2.gz WEGJKPZPYJXAMC-LJQANCHMSA-N 0 3 318.505 4.039 20 0 DIADHN CCC(CC)CN(CC)Cc1nc2sc(C)c(C)c2c(N)n1 ZINC000387574617 1064079004 /nfs/dbraw/zinc/07/90/04/1064079004.db2.gz XTYUCPHRACKCEG-UHFFFAOYSA-N 0 3 320.506 4.148 20 0 DIADHN CCCc1cc(C(=O)NC[C@H](C)N2CCCC[C@@H]2C)sc1C ZINC001264556391 1064111211 /nfs/dbraw/zinc/11/12/11/1064111211.db2.gz VJZGSZXQFIWQOY-KBPBESRZSA-N 0 3 322.518 4.002 20 0 DIADHN COc1ccc(Br)cc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000389406414 1064127688 /nfs/dbraw/zinc/12/76/88/1064127688.db2.gz JXUAUTFJJFEIQC-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN C[C@@H]1SCC[C@@H]1NCc1cc(Br)ccc1Cl ZINC000390801709 1064170322 /nfs/dbraw/zinc/17/03/22/1064170322.db2.gz ZUQKGPJWBZNLBV-UFBFGSQYSA-N 0 3 320.683 4.086 20 0 DIADHN C[C@@H]1SCC[C@H]1NCc1cc(Br)ccc1Cl ZINC000390801708 1064170378 /nfs/dbraw/zinc/17/03/78/1064170378.db2.gz ZUQKGPJWBZNLBV-QPUJVOFHSA-N 0 3 320.683 4.086 20 0 DIADHN CC1(C)C[C@@H](NC2c3ccccc3Oc3ccccc32)CCO1 ZINC000391196449 1064181503 /nfs/dbraw/zinc/18/15/03/1064181503.db2.gz LTLICTVILAYCRR-AWEZNQCLSA-N 0 3 309.409 4.429 20 0 DIADHN CCC1(C(=O)N2CCN(C3CCC(C)CC3)CC2)CCCCC1 ZINC001265200208 1064204418 /nfs/dbraw/zinc/20/44/18/1064204418.db2.gz OZJFWTIZQUNRHW-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1nnc([C@@H](C)N[C@@H](C)CC(C)(C)c2ccccc2)s1 ZINC000391845997 1064206007 /nfs/dbraw/zinc/20/60/07/1064206007.db2.gz HGBWZVWYQANRDO-QWHCGFSZSA-N 0 3 303.475 4.254 20 0 DIADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccc(Cl)cc1Cl ZINC000701011184 1064234601 /nfs/dbraw/zinc/23/46/01/1064234601.db2.gz FNJPIZNUCDTQTA-AOVQSLKQSA-N 0 3 302.245 4.456 20 0 DIADHN COc1cc(CN2CCC(Cc3ccccc3)CC2)ccc1C#N ZINC000392489546 1064234640 /nfs/dbraw/zinc/23/46/40/1064234640.db2.gz MZEWSUPQMKYBDP-UHFFFAOYSA-N 0 3 320.436 4.022 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2)c(C)c1 ZINC000615335034 1064250126 /nfs/dbraw/zinc/25/01/26/1064250126.db2.gz JIMTVUULYUKPMC-QZTJIDSGSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2)c(C)c1 ZINC000615335038 1064250264 /nfs/dbraw/zinc/25/02/64/1064250264.db2.gz JIMTVUULYUKPMC-ZWKOTPCHSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2)c(C)c1 ZINC000615335036 1064250343 /nfs/dbraw/zinc/25/03/43/1064250343.db2.gz JIMTVUULYUKPMC-ROUUACIJSA-N 0 3 324.468 4.001 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](NCc2ncc(Cl)n2C)C1 ZINC000393310688 1064270194 /nfs/dbraw/zinc/27/01/94/1064270194.db2.gz QYQSWYPJCNNSIZ-IMJJTQAJSA-N 0 3 317.864 4.136 20 0 DIADHN Cc1ccccc1[C@H](C)N(C)Cc1ccnc(Br)c1 ZINC000815022163 1064371270 /nfs/dbraw/zinc/37/12/70/1064371270.db2.gz TVBJQSCYMCOPTC-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN CC/C=C\CCCCCN1CC2(C[C@H]2C(=O)N(CC)C(C)C)C1 ZINC001280238059 1064386829 /nfs/dbraw/zinc/38/68/29/1064386829.db2.gz VDFSJRPJJZGTSX-ZEVQVBBLSA-N 0 3 320.521 4.092 20 0 DIADHN CN(C)[C@H](CNC(=O)C[C@@H]1CCCCC1(C)C)c1ccccc1 ZINC001290199523 1064683868 /nfs/dbraw/zinc/68/38/68/1064683868.db2.gz PVOHTUAMTXMVNI-ZWKOTPCHSA-N 0 3 316.489 4.012 20 0 DIADHN CCC(C)(C)C1CCC(NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001290642952 1064703448 /nfs/dbraw/zinc/70/34/48/1064703448.db2.gz JOMCIMBBMPLKOV-UHFFFAOYSA-N 0 3 322.537 4.362 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](CCC(C)C)c1ccc(Cl)cc1)N(C)C ZINC001291711647 1064741974 /nfs/dbraw/zinc/74/19/74/1064741974.db2.gz RPHVXNNXDZMJSW-IRXDYDNUSA-N 0 3 324.896 4.274 20 0 DIADHN CCCCC1CCC(CNC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001292064184 1064757581 /nfs/dbraw/zinc/75/75/81/1064757581.db2.gz IPDJKQUESYFKND-UHFFFAOYSA-N 0 3 322.537 4.364 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)CC1CCCCCC1 ZINC001292111994 1064761048 /nfs/dbraw/zinc/76/10/48/1064761048.db2.gz BQKZAGXFJZBDMN-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CCCN(C(=O)CN1[C@H](C)CC[C@H]1C)[C@@H](CC)c1ccccc1 ZINC001292226869 1064764642 /nfs/dbraw/zinc/76/46/42/1064764642.db2.gz XNIDLAZFLSCGIO-LMMKCTJWSA-N 0 3 316.489 4.249 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N[C@@H](C)CCC1CCCCC1 ZINC001293400324 1064811928 /nfs/dbraw/zinc/81/19/28/1064811928.db2.gz SLGNTGDPPAPGBQ-WMZOPIPTSA-N 0 3 308.510 4.116 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)NCCN1CCCC(C)(C)C1 ZINC001297064998 1064967416 /nfs/dbraw/zinc/96/74/16/1064967416.db2.gz AMXSDDLLYFZALX-GOSISDBHSA-N 0 3 322.537 4.221 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(-c2ccccc2)c1)N(CC)CC ZINC001297528083 1064982698 /nfs/dbraw/zinc/98/26/98/1064982698.db2.gz KQFQCWWHUIDBJY-IBGZPJMESA-N 0 3 310.441 4.413 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C(C)(C)C1CCCCC1 ZINC001297733801 1064988372 /nfs/dbraw/zinc/98/83/72/1064988372.db2.gz ONLUUEBMFMNQEF-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CC[C@@H](C(=O)N(CC(C)C)c1cccc(Cl)c1)N(CC)CC ZINC001297892783 1064993858 /nfs/dbraw/zinc/99/38/58/1064993858.db2.gz LVGIFDGTBZCNDT-KRWDZBQOSA-N 0 3 324.896 4.449 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2CCc3cccc(Cl)c3C2)cs1 ZINC000666088736 1065078684 /nfs/dbraw/zinc/07/86/84/1065078684.db2.gz PSDJOUVVOGFTOM-ZWNOBZJWSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1cccc2nc(NC[C@@H](c3cccs3)N(C)C)sc21 ZINC001306911056 1065200719 /nfs/dbraw/zinc/20/07/19/1065200719.db2.gz OMASZPWZDZYEPK-ZDUSSCGKSA-N 0 3 317.483 4.381 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(CCSc2c(F)cc(F)cc2F)C1 ZINC001307294011 1065330415 /nfs/dbraw/zinc/33/04/15/1065330415.db2.gz FCBYSLDZZMDYCB-GHMZBOCLSA-N 0 3 303.393 4.174 20 0 DIADHN CC(=O)c1cccc(CSCCN(C)Cc2ccccc2)c1 ZINC001307336655 1065346014 /nfs/dbraw/zinc/34/60/14/1065346014.db2.gz OFTBZBLMLJMHLC-UHFFFAOYSA-N 0 3 313.466 4.254 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2CCO[C@@H]2C)s1 ZINC001307436999 1065397186 /nfs/dbraw/zinc/39/71/86/1065397186.db2.gz RCMVRUQMTXJXCA-CABCVRRESA-N 0 3 305.443 4.077 20 0 DIADHN CCOC(=O)N1CCCN([C@@H](C)c2ccc(C(C)C)cc2)CC1 ZINC001307440642 1065401657 /nfs/dbraw/zinc/40/16/57/1065401657.db2.gz PSIREGPZIFAWMH-INIZCTEOSA-N 0 3 318.461 4.035 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3CCC[C@@H](C)CC3)n2)cc1F ZINC001307440931 1065401738 /nfs/dbraw/zinc/40/17/38/1065401738.db2.gz WAWLKTUJWHDPPN-OCCSQVGLSA-N 0 3 317.408 4.367 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC(C)(C)CC1 ZINC001307448452 1065407255 /nfs/dbraw/zinc/40/72/55/1065407255.db2.gz XDVQDKSNYAFCIC-UHFFFAOYSA-N 0 3 315.413 4.232 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C[C@H]1CCCC[C@@H]1O)C1CC1 ZINC001307455270 1065411416 /nfs/dbraw/zinc/41/14/16/1065411416.db2.gz IZVPZTXRUSEXIM-LBTNJELSSA-N 0 3 307.865 4.417 20 0 DIADHN CCC1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC1 ZINC001307458969 1065412710 /nfs/dbraw/zinc/41/27/10/1065412710.db2.gz MRYWDKXSGCSNEQ-OAHLLOKOSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2ncccc2Cl)cc1 ZINC001307469558 1065418842 /nfs/dbraw/zinc/41/88/42/1065418842.db2.gz LEZUAUHMNSYBND-MRXNPFEDSA-N 0 3 300.833 4.251 20 0 DIADHN CCC[C@H](C)N1CCN([C@@H](CC)c2ccc(F)cc2F)CC1 ZINC001307473660 1065421669 /nfs/dbraw/zinc/42/16/69/1065421669.db2.gz ZAACNGXUXMYXSE-KSSFIOAISA-N 0 3 310.432 4.222 20 0 DIADHN c1ccc([C@H]2CSCCN2CCOC2CCCCC2)cc1 ZINC001307476340 1065422969 /nfs/dbraw/zinc/42/29/69/1065422969.db2.gz WJBAQROQFHXBIK-GOSISDBHSA-N 0 3 305.487 4.126 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@@H](C)CCC[C@H]2C)co1 ZINC001307476901 1065423511 /nfs/dbraw/zinc/42/35/11/1065423511.db2.gz AVHBYRVRPDDMEG-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1cccc(CCN2CCC[C@@H]2c2ccc(F)c(F)c2)c1 ZINC001307476107 1065423700 /nfs/dbraw/zinc/42/37/00/1065423700.db2.gz PQIIAQFCMUFKLJ-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001307480003 1065425270 /nfs/dbraw/zinc/42/52/70/1065425270.db2.gz SQIZMERKWVPTRM-GJZGRUSLSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001307482395 1065427600 /nfs/dbraw/zinc/42/76/00/1065427600.db2.gz FIYCFXPFENITQX-MRXNPFEDSA-N 0 3 301.409 4.166 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1CCSC(F)(F)F ZINC001307480871 1065428306 /nfs/dbraw/zinc/42/83/06/1065428306.db2.gz UMYHWAGIXLKWQQ-PWSUYJOCSA-N 0 3 307.356 4.257 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2cc3n(n2)CCCC3)cc1 ZINC001307495606 1065438852 /nfs/dbraw/zinc/43/88/52/1065438852.db2.gz PAIXXJXTHMDVRC-LEWJYISDSA-N 0 3 323.484 4.296 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@@H]2C(C)(C)C)co1 ZINC001307507763 1065450584 /nfs/dbraw/zinc/45/05/84/1065450584.db2.gz YWBDDSXSKYIUJE-QGZVFWFLSA-N 0 3 314.429 4.361 20 0 DIADHN CCc1ccc(N2CCN(CC3CCC(F)(F)CC3)CC2)cc1 ZINC001307508600 1065451317 /nfs/dbraw/zinc/45/13/17/1065451317.db2.gz NKVWMJYZEGDQOP-UHFFFAOYSA-N 0 3 322.443 4.197 20 0 DIADHN Fc1ccc(SCCN2CC[C@@H]2Cc2ccccc2)c(F)c1 ZINC001307516734 1065455269 /nfs/dbraw/zinc/45/52/69/1065455269.db2.gz JSWPXOUOZPQSPR-MRXNPFEDSA-N 0 3 319.420 4.374 20 0 DIADHN Fc1cc(CN2CCC[C@]3(CCCc4ccccc43)C2)ccn1 ZINC001307515916 1065455924 /nfs/dbraw/zinc/45/59/24/1065455924.db2.gz XUTPIHZHGFBFQI-HXUWFJFHSA-N 0 3 310.416 4.091 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3ccccc3)[C@H]2C)nc1Cl ZINC001307518732 1065457087 /nfs/dbraw/zinc/45/70/87/1065457087.db2.gz VXDFESIZXPGGNP-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1c(F)cccc1F ZINC001307520257 1065459566 /nfs/dbraw/zinc/45/95/66/1065459566.db2.gz VXXRBBPAULPAQE-HOCLYGCPSA-N 0 3 301.380 4.418 20 0 DIADHN CCC1(CC)CCN(Cc2coc(-c3ccccc3OC)n2)C1 ZINC001307527123 1065464031 /nfs/dbraw/zinc/46/40/31/1065464031.db2.gz WQKLTXZDDAMHSH-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN CC[C@@H](O)CN1CCC(=Cc2cc(Cl)ccc2Cl)CC1 ZINC001307525906 1065464801 /nfs/dbraw/zinc/46/48/01/1065464801.db2.gz BPDDCONBBCKWEH-OAHLLOKOSA-N 0 3 314.256 4.244 20 0 DIADHN CC(C)CCC1CCN([C@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC001307533110 1065469411 /nfs/dbraw/zinc/46/94/11/1065469411.db2.gz BQHAWFQMONIZHN-IBGZPJMESA-N 0 3 320.521 4.068 20 0 DIADHN CC(C)Cc1ccc([C@@H](NC[C@@H](O)C(F)(F)F)C(C)C)cc1 ZINC001307539943 1065472667 /nfs/dbraw/zinc/47/26/67/1065472667.db2.gz VTRZFBUCHYEJKS-CVEARBPZSA-N 0 3 317.395 4.095 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001307552146 1065478233 /nfs/dbraw/zinc/47/82/33/1065478233.db2.gz SDUQMRPHIKXSGS-JKSUJKDBSA-N 0 3 324.896 4.459 20 0 DIADHN CCC(CC)(CC(=O)OC)NCc1cccc(Cl)c1Cl ZINC001307556954 1065480109 /nfs/dbraw/zinc/48/01/09/1065480109.db2.gz TZUDPQCFWLFRHT-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN CSc1ccc(NC(=O)CN2CCCC[C@H]2CC(C)C)cc1 ZINC001307558840 1065481736 /nfs/dbraw/zinc/48/17/36/1065481736.db2.gz SXLQVROLYWGMAM-INIZCTEOSA-N 0 3 320.502 4.248 20 0 DIADHN CCC[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001307562824 1065484359 /nfs/dbraw/zinc/48/43/59/1065484359.db2.gz GTWCSLLPZQEZPE-ZIAGYGMSSA-N 0 3 308.853 4.179 20 0 DIADHN Fc1cccc(CCN(Cc2ccncc2F)C2CCCC2)c1 ZINC001307582859 1065496588 /nfs/dbraw/zinc/49/65/88/1065496588.db2.gz JOVPPKXIILKXJV-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN CC(C)(C)CCNC(=O)CN1CCC[C@@H]1CCC1CCCCC1 ZINC001307583513 1065496649 /nfs/dbraw/zinc/49/66/49/1065496649.db2.gz OXYJWONNBPFCJG-GOSISDBHSA-N 0 3 322.537 4.364 20 0 DIADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CC[C@@H](O)c1ccccc1 ZINC001307588476 1065501081 /nfs/dbraw/zinc/50/10/81/1065501081.db2.gz KJXQUGLMDXVAAQ-AUSJPIAWSA-N 0 3 313.416 4.257 20 0 DIADHN Cl/C=C/CN1CCN(C2c3ccccc3-c3ccccc32)CC1 ZINC001307602461 1065509412 /nfs/dbraw/zinc/50/94/12/1065509412.db2.gz CSESXWQWPKJDIV-BJMVGYQFSA-N 0 3 324.855 4.127 20 0 DIADHN CCC[C@H](NC[C@@H]1CC2(CCC2)CO1)c1ccc(F)cc1F ZINC001307613386 1065516158 /nfs/dbraw/zinc/51/61/58/1065516158.db2.gz LUAUWYFYFNTNTQ-YOEHRIQHSA-N 0 3 309.400 4.355 20 0 DIADHN Cc1cccc(C2=CCN(C[C@H](O)c3cc(C)cc(C)c3)CC2)c1 ZINC001307613753 1065516759 /nfs/dbraw/zinc/51/67/59/1065516759.db2.gz XNFSHOXODBCVGP-QFIPXVFZSA-N 0 3 321.464 4.435 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cccc(F)c1OC(F)F ZINC001307617654 1065521085 /nfs/dbraw/zinc/52/10/85/1065521085.db2.gz GZCYAEFELWUBCH-NSHDSACASA-N 0 3 307.381 4.001 20 0 DIADHN CC[C@@H](NC[C@H]1CCCC2(CCC2)O1)c1ccc(F)cc1F ZINC001307625509 1065524364 /nfs/dbraw/zinc/52/43/64/1065524364.db2.gz QDJIQKKDGKQGGH-RHSMWYFYSA-N 0 3 309.400 4.497 20 0 DIADHN CCc1ccc([C@H](C)NCC(=O)Nc2cc(C)cc(C)c2)cc1 ZINC001307625860 1065525292 /nfs/dbraw/zinc/52/52/92/1065525292.db2.gz CUUCMBJAQXSEGP-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(C)cc2Cl)C1 ZINC001307632124 1065528125 /nfs/dbraw/zinc/52/81/25/1065528125.db2.gz ZIDMSTWVZHIGOP-HNNXBMFYSA-N 0 3 323.864 4.060 20 0 DIADHN CCc1ccc(C2=CCN(Cc3ccc4c[nH]nc4c3)CC2)cc1 ZINC001307637669 1065535082 /nfs/dbraw/zinc/53/50/82/1065535082.db2.gz DWIQVJFCGHNIGD-UHFFFAOYSA-N 0 3 317.436 4.415 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(c2nc(C)c(C)s2)CC1 ZINC001307649118 1065541290 /nfs/dbraw/zinc/54/12/90/1065541290.db2.gz WEDLMVPOCVTPLN-KRWDZBQOSA-N 0 3 315.486 4.033 20 0 DIADHN Cc1nccc(CN2CCC(Cc3ccc(C(C)C)cc3)CC2)n1 ZINC001307655265 1065543520 /nfs/dbraw/zinc/54/35/20/1065543520.db2.gz WNWIJCGXNVFTDR-UHFFFAOYSA-N 0 3 323.484 4.363 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NC[C@H]2CC(C)(C)CO2)cc1 ZINC001307654841 1065544918 /nfs/dbraw/zinc/54/49/18/1065544918.db2.gz IWNBLPZHXGZHLX-CABCVRRESA-N 0 3 315.379 4.393 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](CO)NCc1cc(Cl)ccc1Cl ZINC001307659962 1065549908 /nfs/dbraw/zinc/54/99/08/1065549908.db2.gz WLLWZODBANWPKP-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN c1ccc(CCCCN2CCO[C@@H](CCc3ccccc3)C2)cc1 ZINC001307667045 1065551008 /nfs/dbraw/zinc/55/10/08/1065551008.db2.gz QUULBIXLXAAOEU-QFIPXVFZSA-N 0 3 323.480 4.343 20 0 DIADHN CC(C)COC[C@H](NC[C@@H]1CC2CCC1CC2)c1ccco1 ZINC001307668092 1065551774 /nfs/dbraw/zinc/55/17/74/1065551774.db2.gz AGNAIYNDAQEPHR-FOIPXRHGSA-N 0 3 305.462 4.409 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cccc(C(=O)C(F)(F)F)c2)C1 ZINC001307692032 1065565480 /nfs/dbraw/zinc/56/54/80/1065565480.db2.gz JVGNOMJWGPWLLN-OAHLLOKOSA-N 0 3 313.363 4.300 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1ncccc1Br ZINC001307704216 1065572963 /nfs/dbraw/zinc/57/29/63/1065572963.db2.gz ADFADLPXLREIGR-YPMHNXCESA-N 0 3 311.267 4.149 20 0 DIADHN Cc1nc(CN[C@H]2CC(C)(C)OC2(C)C)sc1C(C)(C)C ZINC001307706433 1065574512 /nfs/dbraw/zinc/57/45/12/1065574512.db2.gz MARCNIVWZFSJIC-LBPRGKRZSA-N 0 3 310.507 4.185 20 0 DIADHN CC(C)(NC[C@@H]1CCC2(CCC2)O1)c1cccc(Cl)c1F ZINC001307708335 1065576365 /nfs/dbraw/zinc/57/63/65/1065576365.db2.gz DOKKUUWMOXYCEH-LBPRGKRZSA-N 0 3 311.828 4.406 20 0 DIADHN C[C@@H](CO)N(Cc1c(Cl)oc2ccccc21)CC1CCC1 ZINC001307714640 1065581571 /nfs/dbraw/zinc/58/15/71/1065581571.db2.gz AELXLQHYYPXTAM-LBPRGKRZSA-N 0 3 307.821 4.069 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CCSC(C)(C)CC2)c1 ZINC001307731064 1065591939 /nfs/dbraw/zinc/59/19/39/1065591939.db2.gz FMHROQASROAAJE-UHFFFAOYSA-N 0 3 315.429 4.314 20 0 DIADHN CC(C)COc1cccc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)c1 ZINC001307735847 1065594740 /nfs/dbraw/zinc/59/47/40/1065594740.db2.gz YRNXRCGTWKCPSI-BBRMVZONSA-N 0 3 315.379 4.494 20 0 DIADHN CC(C)(C)[C@H]1CN(CCn2ccc3cc(Cl)ccc32)CCO1 ZINC001307737168 1065596727 /nfs/dbraw/zinc/59/67/27/1065596727.db2.gz UIDQUFZOPCUXJU-QGZVFWFLSA-N 0 3 320.864 4.042 20 0 DIADHN CCOC(=O)[C@@H](CC(C)C)N1CC[C@H](c2ccc(C)cc2C)C1 ZINC001307742819 1065599779 /nfs/dbraw/zinc/59/97/79/1065599779.db2.gz WFBCQDKSHBERDE-PKOBYXMFSA-N 0 3 317.473 4.071 20 0 DIADHN CCC1(CC)[C@@H](NCc2cc(-c3ccccc3)no2)C[C@@H]1OC ZINC001307744855 1065600711 /nfs/dbraw/zinc/60/07/11/1065600711.db2.gz UJDMCAQNLYAHQS-ROUUACIJSA-N 0 3 314.429 4.025 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2c(F)cccc2F)[C@H](C)[C@@H]1OC ZINC001307744586 1065601101 /nfs/dbraw/zinc/60/11/01/1065601101.db2.gz LQPAYUHYRVFKOR-SONRMIBWSA-N 0 3 311.416 4.455 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(C(F)(F)F)co2)[C@@H](C)[C@@H]1OC ZINC001307744617 1065601297 /nfs/dbraw/zinc/60/12/97/1065601297.db2.gz LYBCXOHVZCRNFW-HONMWMINSA-N 0 3 319.367 4.228 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@H](C)C[C@H]2c2ccccc2)c1 ZINC001307750511 1065604903 /nfs/dbraw/zinc/60/49/03/1065604903.db2.gz IPVTXKFOZFVZHI-APWZRJJASA-N 0 3 308.425 4.017 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2ccc(C)c(Cl)n2)C1(C)C ZINC001307757296 1065607939 /nfs/dbraw/zinc/60/79/39/1065607939.db2.gz MEKJOEDRHHJRDD-GJZGRUSLSA-N 0 3 310.869 4.117 20 0 DIADHN Cc1ncc(CN2CCC(Cc3cc(F)cc(F)c3)CC2)s1 ZINC001307771138 1065613391 /nfs/dbraw/zinc/61/33/91/1065613391.db2.gz KNPVNJHCJQYFPY-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN CC[C@@H](C)N1CCN([C@H](C)c2ccc(Cl)c(Cl)c2)CC1 ZINC001307771539 1065614385 /nfs/dbraw/zinc/61/43/85/1065614385.db2.gz FHCBTOHQGSDQCO-CHWSQXEVSA-N 0 3 315.288 4.471 20 0 DIADHN C[C@@H]1CN(c2cccc(F)c2)CCN1CC/C=C/c1ccccc1 ZINC001307809544 1065631573 /nfs/dbraw/zinc/63/15/73/1065631573.db2.gz WADZJXCTODVKIY-YPHWWSSASA-N 0 3 324.443 4.440 20 0 DIADHN C[C@H]1CN(C[C@H]2CCC3(CCCCCC3)O2)CCC1(F)F ZINC001307818922 1065637746 /nfs/dbraw/zinc/63/77/46/1065637746.db2.gz YXJDNAHPSPXTIC-LSDHHAIUSA-N 0 3 301.421 4.236 20 0 DIADHN Clc1cccc2c1CN(CCCCC1CCOCC1)CC2 ZINC001307831567 1065642000 /nfs/dbraw/zinc/64/20/00/1065642000.db2.gz CPVLYCBEVFYCBQ-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN Cc1ncc(CN[C@](C)(Cc2ccccc2)c2ccccc2)cn1 ZINC001307842288 1065648368 /nfs/dbraw/zinc/64/83/68/1065648368.db2.gz AWYPYVGDEUUANN-OAQYLSRUSA-N 0 3 317.436 4.033 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@@H](CC(C)(C)C)C2)c1C ZINC001307847842 1065649504 /nfs/dbraw/zinc/64/95/04/1065649504.db2.gz NUCVFYLXVZDIHB-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2noc3c2CC(C)(C)CC3)C1 ZINC001307847883 1065650169 /nfs/dbraw/zinc/65/01/69/1065650169.db2.gz PPPGZLKYRFRONR-AWEZNQCLSA-N 0 3 304.478 4.448 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccc(Cl)cc1)c1ccccc1 ZINC001307848171 1065650194 /nfs/dbraw/zinc/65/01/94/1065650194.db2.gz DRJKKCFVTQUCKN-CXAGYDPISA-N 0 3 316.832 4.408 20 0 DIADHN Cc1nsc(C)c1CN1CCC[C@@H]1Cc1cc(F)ccc1F ZINC001307852062 1065651984 /nfs/dbraw/zinc/65/19/84/1065651984.db2.gz YJSXIHPFQHQMCP-OAHLLOKOSA-N 0 3 322.424 4.245 20 0 DIADHN COC(=O)c1sccc1CN(C)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC001307853297 1065653447 /nfs/dbraw/zinc/65/34/47/1065653447.db2.gz LSPHATKVMBUECI-UONOGXRCSA-N 0 3 309.475 4.181 20 0 DIADHN Fc1cccc(CN2CC[C@@H](C3CCCC3)C2)c1OC(F)F ZINC001307858182 1065656171 /nfs/dbraw/zinc/65/61/71/1065656171.db2.gz HRGASLLIMRJXLD-CYBMUJFWSA-N 0 3 313.363 4.439 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](C3CCCC3)C2)c(C)c1 ZINC001307856715 1065656201 /nfs/dbraw/zinc/65/62/01/1065656201.db2.gz OAGLVHLRICEBGF-SJLPKXTDSA-N 0 3 314.473 4.143 20 0 DIADHN CCCCCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@@H](C)[C@@H]1C ZINC001307870595 1065662720 /nfs/dbraw/zinc/66/27/20/1065662720.db2.gz UMWPIRPVGYXQRV-PMPSAXMXSA-N 0 3 312.498 4.285 20 0 DIADHN CC(C)CCOCCN1CCC(c2cccc(F)c2F)CC1 ZINC001307876162 1065664906 /nfs/dbraw/zinc/66/49/06/1065664906.db2.gz ZOIXSQVAINSWEP-UHFFFAOYSA-N 0 3 311.416 4.207 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc3c(c2)OC(F)(F)O3)cc1 ZINC001307884484 1065666630 /nfs/dbraw/zinc/66/66/30/1065666630.db2.gz OFZQTVVRXRMMJA-UHFFFAOYSA-N 0 3 319.351 4.203 20 0 DIADHN C[C@@H]1CN(Cc2ccncc2Cl)CCc2ccc(F)cc21 ZINC001307889029 1065669409 /nfs/dbraw/zinc/66/94/09/1065669409.db2.gz DBSJLVAVFBEGSA-GFCCVEGCSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1cnccc1CCN1CCc2oc(-c3ccccc3)cc2C1 ZINC001307904463 1065675471 /nfs/dbraw/zinc/67/54/71/1065675471.db2.gz BATXGWPHGJEERG-UHFFFAOYSA-N 0 3 318.420 4.251 20 0 DIADHN c1ccc([C@H]2CN(CCOC3CCCCC3)CCS2)cc1 ZINC001307903979 1065675669 /nfs/dbraw/zinc/67/56/69/1065675669.db2.gz ILJRYVGIPZCRTN-GOSISDBHSA-N 0 3 305.487 4.126 20 0 DIADHN CCc1onc(C)c1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001307904748 1065676114 /nfs/dbraw/zinc/67/61/14/1065676114.db2.gz FCBYNRVRAYYUEJ-MRXNPFEDSA-N 0 3 316.420 4.282 20 0 DIADHN CCc1nnc(CN2CCC[C@]2(CC)Cc2ccccc2)s1 ZINC001307918492 1065682937 /nfs/dbraw/zinc/68/29/37/1065682937.db2.gz JNBXLUUQDPSAAM-GOSISDBHSA-N 0 3 315.486 4.088 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CCC[C@H](CCF)C2)c1 ZINC001307932110 1065686563 /nfs/dbraw/zinc/68/65/63/1065686563.db2.gz TZAUHAQXYIFOFI-CYBMUJFWSA-N 0 3 301.352 4.168 20 0 DIADHN CC(C)N1CCCC[C@@H]1CN[C@@H](C(F)F)C1CCCCC1 ZINC001307945504 1065692569 /nfs/dbraw/zinc/69/25/69/1065692569.db2.gz PZVBFPWWRXQQDR-HZPDHXFCSA-N 0 3 302.453 4.053 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(C[C@@H]1CCCCC1(C)C)C2 ZINC001307951892 1065696904 /nfs/dbraw/zinc/69/69/04/1065696904.db2.gz WRGNUTOMWZEQMD-INIZCTEOSA-N 0 3 315.505 4.349 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(C[C@H]1CCCCC1(C)C)C2 ZINC001307951893 1065697277 /nfs/dbraw/zinc/69/72/77/1065697277.db2.gz WRGNUTOMWZEQMD-MRXNPFEDSA-N 0 3 315.505 4.349 20 0 DIADHN CC[C@H](CO)N(Cc1nocc1C)C1CCC(C(C)(C)C)CC1 ZINC001307959266 1065702053 /nfs/dbraw/zinc/70/20/53/1065702053.db2.gz XGIOHSDOYRDKIF-AQFXKWCLSA-N 0 3 322.493 4.161 20 0 DIADHN C[C@@H]1CC(F)(F)CCN1CCC1(C(=O)OC(C)(C)C)CCC1 ZINC001307983345 1065713096 /nfs/dbraw/zinc/71/30/96/1065713096.db2.gz XNEZUWZVGFTRGN-CYBMUJFWSA-N 0 3 317.420 4.008 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](CCO)c2ccc(Cl)c(F)c2)c1 ZINC001308000511 1065719381 /nfs/dbraw/zinc/71/93/81/1065719381.db2.gz TXYPVBPTVSXZIQ-SFHVURJKSA-N 0 3 321.823 4.309 20 0 DIADHN Cc1cncc([C@@H]2CCCN2Cc2ccc3cnccc3c2)c1 ZINC001308018610 1065725282 /nfs/dbraw/zinc/72/52/82/1065725282.db2.gz BUWODEWGMQLPDY-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@H](C3CCC3)CC2)c1 ZINC001308023154 1065728912 /nfs/dbraw/zinc/72/89/12/1065728912.db2.gz GEYMUZRLQDDKJU-KRWDZBQOSA-N 0 3 302.462 4.271 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3ccccc3Cl)C2(C)C)cn1 ZINC001308033300 1065732535 /nfs/dbraw/zinc/73/25/35/1065732535.db2.gz AYKQSBTVTPZIJC-MRXNPFEDSA-N 0 3 315.848 4.207 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](c3ccccc3Cl)C2(C)C)[nH]1 ZINC001308037104 1065735093 /nfs/dbraw/zinc/73/50/93/1065735093.db2.gz YWJPBYGPHJVHHG-HNNXBMFYSA-N 0 3 317.864 4.448 20 0 DIADHN Cc1nccnc1CN1CC[C@@H](c2cccc(Cl)c2)C1(C)C ZINC001308052089 1065740812 /nfs/dbraw/zinc/74/08/12/1065740812.db2.gz HNNPXQSPQBDEPV-INIZCTEOSA-N 0 3 315.848 4.207 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC001308058790 1065743211 /nfs/dbraw/zinc/74/32/11/1065743211.db2.gz IOKMBWPOPUIPNK-IQGASKDCSA-N 0 3 317.432 4.288 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN(CC/C=C\c1ccccc1)CC2 ZINC001308061538 1065743793 /nfs/dbraw/zinc/74/37/93/1065743793.db2.gz KAEQJHPJYZIPOR-UITAMQMPSA-N 0 3 320.436 4.107 20 0 DIADHN CC/C=C\CCN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001308059050 1065744433 /nfs/dbraw/zinc/74/44/33/1065744433.db2.gz VMXMNVORCKRCLH-VOFKWLDRSA-N 0 3 304.453 4.251 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)C[C@@H](C)C(C)(C)C)cc1F ZINC001308062258 1065747600 /nfs/dbraw/zinc/74/76/00/1065747600.db2.gz MRLPRXVQPYOIIQ-ZIAGYGMSSA-N 0 3 308.441 4.075 20 0 DIADHN Cc1nc([C@H]2CCN(Cc3ccc(C4CCCC4)cc3)C2)no1 ZINC001308071706 1065749635 /nfs/dbraw/zinc/74/96/35/1065749635.db2.gz ASPVRGAGXVTIIB-SFHVURJKSA-N 0 3 311.429 4.025 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCc4c3cccc4F)cc2c1 ZINC001308086398 1065754470 /nfs/dbraw/zinc/75/44/70/1065754470.db2.gz MFCRVENITBBKKF-HXUWFJFHSA-N 0 3 306.384 4.459 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(Cl)c(F)c3)CC2)[nH]c1C ZINC001308083037 1065754657 /nfs/dbraw/zinc/75/46/57/1065754657.db2.gz PKSCQKAFYGUVHB-UHFFFAOYSA-N 0 3 321.827 4.199 20 0 DIADHN CCSc1ccccc1CN(C)[C@@H](CO)c1ccccc1C ZINC001308081152 1065755986 /nfs/dbraw/zinc/75/59/86/1065755986.db2.gz XURPIVZUJULOQN-SFHVURJKSA-N 0 3 315.482 4.272 20 0 DIADHN C[C@H](CN1CCc2nc[nH]c2[C@@H]1c1ccccc1)CC(C)(C)C ZINC001308095141 1065759331 /nfs/dbraw/zinc/75/93/31/1065759331.db2.gz WWBMPLLRQNUOCG-KXBFYZLASA-N 0 3 311.473 4.430 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@]1(C)CCOc2ccccc21 ZINC001308101375 1065763198 /nfs/dbraw/zinc/76/31/98/1065763198.db2.gz XBZYBWROANKTLZ-SFHVURJKSA-N 0 3 301.817 4.436 20 0 DIADHN Brc1cnccc1CNC1(C2CCCCC2)CC1 ZINC001308141907 1065777629 /nfs/dbraw/zinc/77/76/29/1065777629.db2.gz DWXVQQSKQFVJMR-UHFFFAOYSA-N 0 3 309.251 4.047 20 0 DIADHN Fc1ccc(Cl)cc1CN1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC001308144555 1065780128 /nfs/dbraw/zinc/78/01/28/1065780128.db2.gz AOSWJUXPZGGEGA-ZIAGYGMSSA-N 0 3 311.828 4.118 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2ccc(Cl)cc2)c2ccccc21 ZINC001308158472 1065784719 /nfs/dbraw/zinc/78/47/19/1065784719.db2.gz QTDGNOPSDRKHJM-LIRRHRJNSA-N 0 3 315.844 4.215 20 0 DIADHN CCSc1ccccc1CN1CCO[C@H](C(C)(C)C)CC1 ZINC001308172389 1065787744 /nfs/dbraw/zinc/78/77/44/1065787744.db2.gz VLJZFNSCOOEYJP-KRWDZBQOSA-N 0 3 307.503 4.436 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(F)cc1CNCCCO ZINC001308180142 1065792324 /nfs/dbraw/zinc/79/23/24/1065792324.db2.gz ZHPPNNZKRDILRF-UHFFFAOYSA-N 0 3 323.795 4.052 20 0 DIADHN CC(C)c1ccc(CCNCc2c(Cl)n[nH]c2C2CC2)cc1 ZINC001308183034 1065794044 /nfs/dbraw/zinc/79/40/44/1065794044.db2.gz BDKKCAUWBKBPQS-UHFFFAOYSA-N 0 3 317.864 4.396 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nc(Cl)ccc1Br ZINC001308182105 1065794382 /nfs/dbraw/zinc/79/43/82/1065794382.db2.gz NAQAZWHXWWKPPW-KOLCDFICSA-N 0 3 317.658 4.166 20 0 DIADHN COCc1ccc(CNCc2cccc(OC(C)(C)C)c2)cc1 ZINC001308189798 1065798750 /nfs/dbraw/zinc/79/87/50/1065798750.db2.gz BORJLHVCPUVSJI-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN CCn1cc(CNCc2cccc(C)c2)c(-c2ccccc2)n1 ZINC001308190321 1065801231 /nfs/dbraw/zinc/80/12/31/1065801231.db2.gz NPRYOVVYWSULNS-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN CCOCc1ccc(CNCc2cc(F)cc(OCC)c2)cc1 ZINC001308195113 1065803804 /nfs/dbraw/zinc/80/38/04/1065803804.db2.gz ZFFBNQCABBSDOL-UHFFFAOYSA-N 0 3 317.404 4.051 20 0 DIADHN Cc1cccc(CN[C@H](C)Cc2ccco2)c1Br ZINC001308196535 1065804160 /nfs/dbraw/zinc/80/41/60/1065804160.db2.gz AHTZZUXQJYUMJR-GFCCVEGCSA-N 0 3 308.219 4.071 20 0 DIADHN CC[C@@H](CNCc1ccc(OC)cc1F)Oc1cccc(C)c1 ZINC001308206483 1065814724 /nfs/dbraw/zinc/81/47/24/1065814724.db2.gz GUFNVABTOZTYME-INIZCTEOSA-N 0 3 317.404 4.090 20 0 DIADHN CCCOCc1ccccc1CNCc1ccc(COC)cc1 ZINC001308205744 1065815563 /nfs/dbraw/zinc/81/55/63/1065815563.db2.gz FFDLXZROPZGLHQ-UHFFFAOYSA-N 0 3 313.441 4.049 20 0 DIADHN Cc1cc(F)c(CNCCCOCCc2ccccc2)c(F)c1 ZINC001308210111 1065818459 /nfs/dbraw/zinc/81/84/59/1065818459.db2.gz WVZBCKZKAAWFGZ-UHFFFAOYSA-N 0 3 319.395 4.012 20 0 DIADHN Cc1cccc(OC[C@@H](C)NCc2cnc(CC(C)C)s2)c1 ZINC001308218838 1065826880 /nfs/dbraw/zinc/82/68/80/1065826880.db2.gz OXUPTXSUTYDHTC-OAHLLOKOSA-N 0 3 318.486 4.207 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)COc1c(C)cccc1C ZINC001308222609 1065829472 /nfs/dbraw/zinc/82/94/72/1065829472.db2.gz WUQPRYBKGYAOPW-QGZVFWFLSA-N 0 3 313.441 4.178 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)NCc1nccc2c1CCCC2 ZINC001308222644 1065829618 /nfs/dbraw/zinc/82/96/18/1065829618.db2.gz XXSDYLDHNFBJAV-QGZVFWFLSA-N 0 3 324.468 4.134 20 0 DIADHN Brc1ccc(CNCc2cccc3ccoc32)cc1 ZINC001308227956 1065833291 /nfs/dbraw/zinc/83/32/91/1065833291.db2.gz ZAWUWCIKTXQIIX-UHFFFAOYSA-N 0 3 316.198 4.485 20 0 DIADHN CCc1onc(C)c1CNCCCOc1ccc(Cl)cc1C ZINC001308226743 1065834080 /nfs/dbraw/zinc/83/40/80/1065834080.db2.gz SOPSMYBCUMKTAI-UHFFFAOYSA-N 0 3 322.836 4.066 20 0 DIADHN c1ccc([C@@H](NCc2cccc3cccnc32)[C@@H]2CCCO2)cc1 ZINC001308228114 1065834338 /nfs/dbraw/zinc/83/43/38/1065834338.db2.gz DBYYESJUBHXDIM-PZJWPPBQSA-N 0 3 318.420 4.245 20 0 DIADHN Cc1ccc(OC[C@H](C)NCc2cnc(CC(C)C)s2)cc1 ZINC001308232587 1065839167 /nfs/dbraw/zinc/83/91/67/1065839167.db2.gz VHLLSEYMCOWTIV-HNNXBMFYSA-N 0 3 318.486 4.207 20 0 DIADHN Cc1nc(-c2ccc(CNCc3ccncc3C)cc2)cs1 ZINC001308234521 1065841846 /nfs/dbraw/zinc/84/18/46/1065841846.db2.gz VTOPHHLZBIPHQC-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cc2ccc(F)cc2[nH]c1=O ZINC001308244088 1065849099 /nfs/dbraw/zinc/84/90/99/1065849099.db2.gz XUCIVBFLBALSQC-GOSISDBHSA-N 0 3 322.383 4.165 20 0 DIADHN CC[C@@H](NCc1ccc(Br)nc1)c1ccc(C)cc1 ZINC001308244123 1065849537 /nfs/dbraw/zinc/84/95/37/1065849537.db2.gz YHRYSZAZQSAUSM-OAHLLOKOSA-N 0 3 319.246 4.393 20 0 DIADHN COc1cc(CNCc2ccc(C)c(C)c2)cc(Cl)c1OC ZINC001308248965 1065854754 /nfs/dbraw/zinc/85/47/54/1065854754.db2.gz VHBLCVKWAKQILG-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC[C@H](C)c1cccc(F)c1 ZINC001308251348 1065854797 /nfs/dbraw/zinc/85/47/97/1065854797.db2.gz JJOYUNLTLAJIMY-LBPRGKRZSA-N 0 3 323.843 4.229 20 0 DIADHN COc1ccc(CN[C@H](C)COc2ccc(F)cc2)c(Cl)c1 ZINC001308255448 1065859335 /nfs/dbraw/zinc/85/93/35/1065859335.db2.gz AVNKEHAOIAJTKF-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc(N(C)C)s1)C(C)C ZINC001308262378 1065863047 /nfs/dbraw/zinc/86/30/47/1065863047.db2.gz TVWMNTMTKDPGQB-INIZCTEOSA-N 0 3 303.475 4.004 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)cn1 ZINC001308267532 1065867230 /nfs/dbraw/zinc/86/72/30/1065867230.db2.gz ZKRTYNYYRBVFPM-JCURWCKSSA-N 0 3 303.837 4.043 20 0 DIADHN CSCCCNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001308276136 1065874168 /nfs/dbraw/zinc/87/41/68/1065874168.db2.gz MSRHDQJIGNMYMW-UHFFFAOYSA-N 0 3 312.891 4.306 20 0 DIADHN Cc1ccnc(CNC2C[C@H](C)C[C@@H](C)C2)c1Br ZINC001308278770 1065878395 /nfs/dbraw/zinc/87/83/95/1065878395.db2.gz HHIZEDQRNBCTMD-GHMZBOCLSA-N 0 3 311.267 4.067 20 0 DIADHN CC[C@@H](CNCc1ccc(F)cc1F)Oc1ccccc1F ZINC001308301335 1065896268 /nfs/dbraw/zinc/89/62/68/1065896268.db2.gz VTFVUKHURNHZLV-AWEZNQCLSA-N 0 3 309.331 4.051 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)NCc1ccc(=O)[nH]c1 ZINC001308302045 1065896671 /nfs/dbraw/zinc/89/66/71/1065896671.db2.gz QZTFFJUUHSKXEO-QGZVFWFLSA-N 0 3 314.429 4.063 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cccnc3)s2)cc1 ZINC001308303118 1065899014 /nfs/dbraw/zinc/89/90/14/1065899014.db2.gz LWDOINJEJXMKMJ-UHFFFAOYSA-N 0 3 310.422 4.109 20 0 DIADHN Clc1cc(Br)ccc1CN[C@@H]1C=CCCC1 ZINC001308315474 1065908241 /nfs/dbraw/zinc/90/82/41/1065908241.db2.gz ZOKIKMCRZKFLHN-GFCCVEGCSA-N 0 3 300.627 4.301 20 0 DIADHN CC(C)n1ccnc1CNC1CCC(C)(c2ccccc2)CC1 ZINC001308326295 1065914395 /nfs/dbraw/zinc/91/43/95/1065914395.db2.gz BLBVCZGDCTXVQT-UHFFFAOYSA-N 0 3 311.473 4.454 20 0 DIADHN CCCc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)s1 ZINC001308325197 1065914688 /nfs/dbraw/zinc/91/46/88/1065914688.db2.gz XMMSBUDWDDCTKK-UHFFFAOYSA-N 0 3 315.438 4.208 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccccc3)s2)C[C@H]1C ZINC001308326541 1065915260 /nfs/dbraw/zinc/91/52/60/1065915260.db2.gz KRVHXAIYLUINKP-NFAWXSAZSA-N 0 3 301.459 4.119 20 0 DIADHN CCOc1ccc(CNCCc2cc(Cl)ccc2OCC)o1 ZINC001308335227 1065922047 /nfs/dbraw/zinc/92/20/47/1065922047.db2.gz RCHWEJLEIVYNNR-UHFFFAOYSA-N 0 3 323.820 4.063 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(Br)c(F)c2)c1 ZINC001308340375 1065924908 /nfs/dbraw/zinc/92/49/08/1065924908.db2.gz ZMAWTQJPBDPQCL-UHFFFAOYSA-N 0 3 309.182 4.212 20 0 DIADHN Cc1ccc(CNC[C@@](C)(O)c2ccccc2Cl)c(Cl)c1 ZINC001308361814 1065940116 /nfs/dbraw/zinc/94/01/16/1065940116.db2.gz CYSZBAPRVSSSST-QGZVFWFLSA-N 0 3 324.251 4.299 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cccc2c1OCCO2 ZINC001308364075 1065942155 /nfs/dbraw/zinc/94/21/55/1065942155.db2.gz QOQPLCNRTZFPPR-MGPUTAFESA-N 0 3 323.436 4.261 20 0 DIADHN C[C@@H](NCc1cccc(F)c1N)c1cc2ccccc2s1 ZINC001308373642 1065948021 /nfs/dbraw/zinc/94/80/21/1065948021.db2.gz OGHPDGUJCRJTPO-LLVKDONJSA-N 0 3 300.402 4.473 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CSC2(C)C)o1 ZINC001308381689 1065954110 /nfs/dbraw/zinc/95/41/10/1065954110.db2.gz OQBWIBAHRYQHJN-MRXNPFEDSA-N 0 3 305.418 4.378 20 0 DIADHN COc1cc(C)ccc1CNC(C)(C)COCc1ccccc1 ZINC001308383419 1065954674 /nfs/dbraw/zinc/95/46/74/1065954674.db2.gz KDQSOWBEEMWXMZ-UHFFFAOYSA-N 0 3 313.441 4.089 20 0 DIADHN CCn1ccc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)n1 ZINC001308384291 1065955490 /nfs/dbraw/zinc/95/54/90/1065955490.db2.gz CKJYRYYGXANWEW-MQMHXKEQSA-N 0 3 324.255 4.246 20 0 DIADHN OC[C@H](CC1CCCC1)NCc1ccc(Cl)cc1Cl ZINC001308386507 1065956418 /nfs/dbraw/zinc/95/64/18/1065956418.db2.gz ZPBBBMPAWPXAAO-AWEZNQCLSA-N 0 3 302.245 4.024 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2c(F)cccc2OC(F)F)C1 ZINC001308391516 1065957732 /nfs/dbraw/zinc/95/77/32/1065957732.db2.gz YQWXZQAYPMFMLU-GHMZBOCLSA-N 0 3 319.392 4.191 20 0 DIADHN CCOC[C@H](NCc1ccc(Cl)c(C)c1Cl)C(C)C ZINC001308389529 1065958059 /nfs/dbraw/zinc/95/80/59/1065958059.db2.gz CVVNEHYFBUIRTH-AWEZNQCLSA-N 0 3 304.261 4.453 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H]3CCCc4ccc(F)cc43)ccc2O1 ZINC001308394643 1065959940 /nfs/dbraw/zinc/95/99/40/1065959940.db2.gz RBBLAOQRYDIGKW-YJYMSZOUSA-N 0 3 311.400 4.316 20 0 DIADHN CCC[C@]1(NCc2cc(C(F)(F)F)ccc2Cl)CCOC1 ZINC001308400820 1065964079 /nfs/dbraw/zinc/96/40/79/1065964079.db2.gz GFQSDBVFOIBZBG-AWEZNQCLSA-N 0 3 321.770 4.408 20 0 DIADHN COc1ccc(CNC2(C(C)(C)c3ccc(F)cc3)CC2)o1 ZINC001308404653 1065966684 /nfs/dbraw/zinc/96/66/84/1065966684.db2.gz CQZLUUSYQVQLLS-UHFFFAOYSA-N 0 3 303.377 4.027 20 0 DIADHN CC(C)[C@@H]1C[C@H]1CNCc1c(Cl)cccc1OC(F)F ZINC001308407814 1065968086 /nfs/dbraw/zinc/96/80/86/1065968086.db2.gz QAXILHVOGJYLPO-QWRGUYRKSA-N 0 3 303.780 4.323 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H]3CCCc4c(F)cccc43)ccc2O1 ZINC001308420705 1065976292 /nfs/dbraw/zinc/97/62/92/1065976292.db2.gz KHGZLDVUGBEDCJ-DJJJIMSYSA-N 0 3 311.400 4.316 20 0 DIADHN COc1cccc2c(CN[C@@H](C)c3cncc(F)c3)cccc12 ZINC001308432242 1065983513 /nfs/dbraw/zinc/98/35/13/1065983513.db2.gz GVDLBUWBEZTTAB-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN COCC[C@@H](C)CNCc1c(Cl)ccc(Cl)c1Cl ZINC001308436268 1065985911 /nfs/dbraw/zinc/98/59/11/1065985911.db2.gz IPXUPVPISKQDHL-SECBINFHSA-N 0 3 310.652 4.409 20 0 DIADHN Fc1cccc(CN[C@@H]2C[C@H]2C2CCC2)c1OC(F)(F)F ZINC001308443610 1065989985 /nfs/dbraw/zinc/98/99/85/1065989985.db2.gz MYVUBJRITHXTQA-WCQYABFASA-N 0 3 303.299 4.003 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@@H]1CCC[C@@H](F)C1 ZINC001308446868 1065993681 /nfs/dbraw/zinc/99/36/81/1065993681.db2.gz HYAMGPJYYJMQOF-NXEZZACHSA-N 0 3 309.278 4.095 20 0 DIADHN Cc1ccc(C2(NCc3cccc(Cl)c3N)CCC2)cc1 ZINC001308456258 1065999788 /nfs/dbraw/zinc/99/97/88/1065999788.db2.gz DRUMUUCDYMJZQK-UHFFFAOYSA-N 0 3 300.833 4.400 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1cccc(OC2CC2)c1F ZINC001308462326 1066004137 /nfs/dbraw/zinc/00/41/37/1066004137.db2.gz XIMRSTDJRIRXIS-UHFFFAOYSA-N 0 3 323.452 4.034 20 0 DIADHN COc1nsc(CN[C@@H]2CCCC23CCCCC3)c1Cl ZINC001308463221 1066004478 /nfs/dbraw/zinc/00/44/78/1066004478.db2.gz AMXSZGJYQLAAND-GFCCVEGCSA-N 0 3 314.882 4.398 20 0 DIADHN CCC(CC)(CNCc1cn(C)nc1Cl)c1ccc(F)cc1 ZINC001308470113 1066010201 /nfs/dbraw/zinc/01/02/01/1066010201.db2.gz GRTYTJLRUGNCQP-UHFFFAOYSA-N 0 3 323.843 4.060 20 0 DIADHN CCSC1(CNCc2cccc(C)c2Br)CC1 ZINC001308470671 1066010564 /nfs/dbraw/zinc/01/05/64/1066010564.db2.gz KXFDUKLMCJJAOQ-UHFFFAOYSA-N 0 3 314.292 4.133 20 0 DIADHN CCCCn1nc(C)c(CN[C@@H]2CC23CCCCC3)c1Cl ZINC001308477629 1066016669 /nfs/dbraw/zinc/01/66/69/1066016669.db2.gz KHRODWJMSVKACU-OAHLLOKOSA-N 0 3 309.885 4.457 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cccc2c1OC(F)(F)O2 ZINC001308477292 1066017559 /nfs/dbraw/zinc/01/75/59/1066017559.db2.gz HQBIXIXLFGOBIH-RAXLEYEMSA-N 0 3 317.335 4.201 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@H]3CCCS3)cs2)cc1 ZINC001308480178 1066018117 /nfs/dbraw/zinc/01/81/17/1066018117.db2.gz SUWSWZIDEQRWPS-OAHLLOKOSA-N 0 3 322.474 4.325 20 0 DIADHN C[C@H](CC1CCC1)NCc1c(F)cccc1OC(F)(F)F ZINC001308481501 1066020103 /nfs/dbraw/zinc/02/01/03/1066020103.db2.gz VLVDGCVXFDNFPJ-SNVBAGLBSA-N 0 3 305.315 4.393 20 0 DIADHN Cc1cc(CNCc2cn(C(F)F)c3ccccc23)cc(C)n1 ZINC001308485970 1066022190 /nfs/dbraw/zinc/02/21/90/1066022190.db2.gz FGJMDYVUBAVEOJ-UHFFFAOYSA-N 0 3 315.367 4.338 20 0 DIADHN CCSc1ccccc1CCNCc1c(Cl)n[nH]c1CC ZINC001308513901 1066039175 /nfs/dbraw/zinc/03/91/75/1066039175.db2.gz SJHOSABIIGZAQP-UHFFFAOYSA-N 0 3 323.893 4.070 20 0 DIADHN C[C@H]1Cc2cc(CNCc3ccc(OCC4CC4)cc3)ccc2O1 ZINC001308514709 1066040510 /nfs/dbraw/zinc/04/05/10/1066040510.db2.gz QGXHKTQXJCVZIE-HNNXBMFYSA-N 0 3 323.436 4.089 20 0 DIADHN Fc1cccc(C2(CNCc3cccnc3Cl)CCC2)c1 ZINC001308515223 1066041022 /nfs/dbraw/zinc/04/10/22/1066041022.db2.gz VEIYPKHXWAQXQM-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1csc(-c2cccs2)n1 ZINC001308522082 1066044123 /nfs/dbraw/zinc/04/41/23/1066044123.db2.gz OTHJUXVFBGZIMC-MHDGFBEUSA-N 0 3 304.484 4.253 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1csc(-c2cccs2)n1 ZINC001308522084 1066044234 /nfs/dbraw/zinc/04/42/34/1066044234.db2.gz OTHJUXVFBGZIMC-UVLXDEKHSA-N 0 3 304.484 4.253 20 0 DIADHN CCc1cccc(F)c1CNC[C@]1(c2ccccc2)CCCO1 ZINC001308530774 1066050216 /nfs/dbraw/zinc/05/02/16/1066050216.db2.gz UZYNOWIVZSVISO-FQEVSTJZSA-N 0 3 313.416 4.184 20 0 DIADHN COC(=O)c1ccc(CNCc2cc(Cl)ccc2C)cc1C ZINC001308541570 1066057479 /nfs/dbraw/zinc/05/74/79/1066057479.db2.gz ZZGBSHJHOQEGTL-UHFFFAOYSA-N 0 3 317.816 4.033 20 0 DIADHN CCc1nc(CNCC[C@@H]2C[C@H]2Cc2ccccc2)cs1 ZINC001308541098 1066057660 /nfs/dbraw/zinc/05/76/60/1066057660.db2.gz GOOSMHRPBFFPOT-HZPDHXFCSA-N 0 3 300.471 4.064 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCC[C@@H]1NCc1sccc1Cl ZINC001308542839 1066058376 /nfs/dbraw/zinc/05/83/76/1066058376.db2.gz HCSHXGILMRDEMW-PWSUYJOCSA-N 0 3 315.866 4.002 20 0 DIADHN CSCC(C)(C)NCc1cc(C)c(F)cc1Br ZINC001308545001 1066058470 /nfs/dbraw/zinc/05/84/70/1066058470.db2.gz YNZNAXDFFVOMPD-UHFFFAOYSA-N 0 3 320.271 4.128 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc(CC(C)C)cc1 ZINC001308546862 1066061304 /nfs/dbraw/zinc/06/13/04/1066061304.db2.gz SOEBALJWSSVEJF-UHFFFAOYSA-N 0 3 307.441 4.131 20 0 DIADHN CSC(C)(C)CCN(C)Cc1csc(Br)c1 ZINC001308577625 1066091550 /nfs/dbraw/zinc/09/15/50/1066091550.db2.gz ICLMLPRSJCCCJX-UHFFFAOYSA-N 0 3 322.337 4.474 20 0 DIADHN CCN(C)c1ccc(CN2CCc3ccccc3[C@H]2C(C)C)cn1 ZINC001308567359 1066077156 /nfs/dbraw/zinc/07/71/56/1066077156.db2.gz FDUWBCISUAJVOV-OAQYLSRUSA-N 0 3 323.484 4.293 20 0 DIADHN COc1nccc(CN2CCC[C@H]2CCC2CCCC2)c1F ZINC001308569622 1066077806 /nfs/dbraw/zinc/07/78/06/1066077806.db2.gz HTKWSIVRMKVZAM-INIZCTEOSA-N 0 3 306.425 4.164 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nccc(C)c1Br ZINC001308572794 1066085053 /nfs/dbraw/zinc/08/50/53/1066085053.db2.gz JSURGXOXPGUGOG-ZDUSSCGKSA-N 0 3 311.267 4.307 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001308576963 1066091508 /nfs/dbraw/zinc/09/15/08/1066091508.db2.gz HBAPZQKUVSOQQH-RISCZKNCSA-N 0 3 321.408 4.402 20 0 DIADHN Nc1ncccc1CN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC001308581245 1066097402 /nfs/dbraw/zinc/09/74/02/1066097402.db2.gz AKYUUQHENOJWFW-HSNDNRACSA-N 0 3 307.441 4.122 20 0 DIADHN Cc1cccc2[nH]c(CN(CCO)[C@@H](C)c3ccccc3)cc21 ZINC001308586753 1066101321 /nfs/dbraw/zinc/10/13/21/1066101321.db2.gz RLLDSMIBKQRWPF-INIZCTEOSA-N 0 3 308.425 4.032 20 0 DIADHN COc1ccccc1C[C@H]1CCCN1Cc1cccn1C(F)F ZINC001308585416 1066101415 /nfs/dbraw/zinc/10/14/15/1066101415.db2.gz ZRVQWZMEYLFRRF-OAHLLOKOSA-N 0 3 320.383 4.099 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)cn1 ZINC001308588550 1066101901 /nfs/dbraw/zinc/10/19/01/1066101901.db2.gz RSBPTOYGWMLNRC-OXQOHEQNSA-N 0 3 309.457 4.321 20 0 DIADHN COc1cc(F)cc(CN2CCC(C)(c3ccccc3)CC2)c1 ZINC001308586801 1066102004 /nfs/dbraw/zinc/10/20/04/1066102004.db2.gz SUGWDDHJUALJMT-UHFFFAOYSA-N 0 3 313.416 4.388 20 0 DIADHN COc1nc(CN2CC[C@](C)(CCc3ccccc3)C2)ccc1C ZINC001308598577 1066108679 /nfs/dbraw/zinc/10/86/79/1066108679.db2.gz LNNQJCDKXODRLZ-NRFANRHFSA-N 0 3 324.468 4.243 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@@H]([C@@H]3CCOC3)C2)c1Cl ZINC001308600165 1066109870 /nfs/dbraw/zinc/10/98/70/1066109870.db2.gz XNEPFGPPRPETMD-TZMCWYRMSA-N 0 3 314.256 4.160 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@H]([C@H]3CCOC3)C2)c1Cl ZINC001308600163 1066110482 /nfs/dbraw/zinc/11/04/82/1066110482.db2.gz XNEPFGPPRPETMD-JSGCOSHPSA-N 0 3 314.256 4.160 20 0 DIADHN COc1cccc(-c2csc(CN3[C@@H](C)CC[C@@H]3C)n2)c1 ZINC001308602906 1066112223 /nfs/dbraw/zinc/11/22/23/1066112223.db2.gz HFFWPXIJLMXRRA-STQMWFEESA-N 0 3 302.443 4.192 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2c(C3CCC3)cnn2C)c1 ZINC001308606224 1066113623 /nfs/dbraw/zinc/11/36/23/1066113623.db2.gz AXSVBJDSYQXRFR-IBGZPJMESA-N 0 3 309.457 4.333 20 0 DIADHN CCC(CC)N(Cc1snc(OC)c1Cl)CC(C)C ZINC001308608435 1066114230 /nfs/dbraw/zinc/11/42/30/1066114230.db2.gz YGOMFUFSPPOPFY-UHFFFAOYSA-N 0 3 304.887 4.452 20 0 DIADHN CC(C)(C)c1ccc([C@H]2CCCN2Cc2cccnc2N)cc1 ZINC001308608443 1066114757 /nfs/dbraw/zinc/11/47/57/1066114757.db2.gz TWVPEESKASCTMK-GOSISDBHSA-N 0 3 309.457 4.298 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccnn1CC1CCC1 ZINC001308608143 1066115019 /nfs/dbraw/zinc/11/50/19/1066115019.db2.gz RXFJNFQTECSXEW-FQEVSTJZSA-N 0 3 309.457 4.329 20 0 DIADHN CCc1cccc(F)c1CN(C)CCCc1ccc(OC)cc1 ZINC001308611699 1066117106 /nfs/dbraw/zinc/11/71/06/1066117106.db2.gz JPTRTVDGGGJDID-UHFFFAOYSA-N 0 3 315.432 4.461 20 0 DIADHN CCCCN(Cc1cnc(Cl)n1C)[C@H](C)c1ccccc1 ZINC001308612571 1066117329 /nfs/dbraw/zinc/11/73/29/1066117329.db2.gz YVXWGSICOFDVTO-CQSZACIVSA-N 0 3 305.853 4.437 20 0 DIADHN CCc1cccc(F)c1CN1CCC(Nc2ccccc2)CC1 ZINC001308614777 1066118735 /nfs/dbraw/zinc/11/87/35/1066118735.db2.gz DHLIYPYDOCOPSL-UHFFFAOYSA-N 0 3 312.432 4.465 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1sc(N2CCCC2)nc1Cl ZINC001308618798 1066121952 /nfs/dbraw/zinc/12/19/52/1066121952.db2.gz HVOAJJZERYUNAE-RYUDHWBXSA-N 0 3 313.898 4.017 20 0 DIADHN C[C@H]1SCCN(Cc2cccc(Oc3ccccn3)c2)[C@H]1C ZINC001308618594 1066122319 /nfs/dbraw/zinc/12/23/19/1066122319.db2.gz IRENHBNGMMXGKN-LSDHHAIUSA-N 0 3 314.454 4.200 20 0 DIADHN CCCN(Cc1cnc(Cl)n1C)[C@@H](CC)c1ccccc1 ZINC001308622106 1066123855 /nfs/dbraw/zinc/12/38/55/1066123855.db2.gz IKKCYQUQMFSJBI-INIZCTEOSA-N 0 3 305.853 4.437 20 0 DIADHN CN(CCN(C)c1ccccc1)Cc1ccc(C(F)F)c(F)c1 ZINC001308628939 1066126492 /nfs/dbraw/zinc/12/64/92/1066126492.db2.gz WTWNKGMGBPZGNM-UHFFFAOYSA-N 0 3 322.374 4.332 20 0 DIADHN C[C@@H]1CCN(Cc2cccnc2Br)[C@@H]2CCCC[C@@H]12 ZINC001308630847 1066128187 /nfs/dbraw/zinc/12/81/87/1066128187.db2.gz NDAASSXKLSINID-VHDGCEQUSA-N 0 3 323.278 4.245 20 0 DIADHN Cc1ccc([C@@H](C)CN(C)Cc2nc3ccccc3n2C)cc1 ZINC001308633330 1066129339 /nfs/dbraw/zinc/12/93/39/1066129339.db2.gz JXJBRYGRMWQBTI-INIZCTEOSA-N 0 3 307.441 4.117 20 0 DIADHN CCCN(Cc1cccc(F)c1OCC(F)(F)F)C1CC1 ZINC001308639330 1066133026 /nfs/dbraw/zinc/13/30/26/1066133026.db2.gz QDDQBBWBZYEJGE-UHFFFAOYSA-N 0 3 305.315 4.141 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCN(c3ccccc3F)CC2)cc1 ZINC001308647771 1066135081 /nfs/dbraw/zinc/13/50/81/1066135081.db2.gz UWDMSFXPYLLOPW-KRWDZBQOSA-N 0 3 312.432 4.060 20 0 DIADHN CCN(CC)Cc1cc(Br)cc(C)c1OC(F)F ZINC001308647007 1066135394 /nfs/dbraw/zinc/13/53/94/1066135394.db2.gz BAVGLVMMKBWAOZ-UHFFFAOYSA-N 0 3 322.193 4.201 20 0 DIADHN COC(=O)c1cc(CN(Cc2ccccc2)C(C)(C)C)oc1C ZINC001308647866 1066135698 /nfs/dbraw/zinc/13/56/98/1066135698.db2.gz UJDKPJMLVMYLQV-UHFFFAOYSA-N 0 3 315.413 4.175 20 0 DIADHN Cc1ccc(CCN(C)Cc2ccc(Br)o2)cc1C ZINC001308649421 1066136328 /nfs/dbraw/zinc/13/63/28/1066136328.db2.gz KTZWGGNUAWQWTB-UHFFFAOYSA-N 0 3 322.246 4.333 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001308660197 1066142371 /nfs/dbraw/zinc/14/23/71/1066142371.db2.gz HYSIVAPSLRHHJB-GFCCVEGCSA-N 0 3 321.380 4.208 20 0 DIADHN CN(Cc1ccccc1Cl)C[C@@H]1CCN(c2ccccc2)C1 ZINC001308659795 1066142594 /nfs/dbraw/zinc/14/25/94/1066142594.db2.gz FCWUBDFICKCARZ-INIZCTEOSA-N 0 3 314.860 4.298 20 0 DIADHN COc1ccccc1CN(Cc1cccc2c1CCC2)C1CC1 ZINC001308663298 1066143525 /nfs/dbraw/zinc/14/35/25/1066143525.db2.gz PKBYXTLPPQFHKN-UHFFFAOYSA-N 0 3 307.437 4.349 20 0 DIADHN COc1ccc(CN(Cc2cc3ccccc3n2C)C2CC2)cc1 ZINC001308662860 1066144082 /nfs/dbraw/zinc/14/40/82/1066144082.db2.gz NCSFMHYETJWUMB-UHFFFAOYSA-N 0 3 320.436 4.352 20 0 DIADHN COc1cc(CN(C)CCOc2ccc(Cl)cc2)ccc1C ZINC001308664269 1066144534 /nfs/dbraw/zinc/14/45/34/1066144534.db2.gz WJSVQSJARHQFIX-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCN([C@H](C)c2nccs2)CC1 ZINC001308668544 1066146683 /nfs/dbraw/zinc/14/66/83/1066146683.db2.gz OXUZRHLSYUTBCO-ZACQAIPSSA-N 0 3 321.534 4.038 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCN([C@@H](C)c2nccs2)CC1 ZINC001308668538 1066146982 /nfs/dbraw/zinc/14/69/82/1066146982.db2.gz OXUZRHLSYUTBCO-BBWFWOEESA-N 0 3 321.534 4.038 20 0 DIADHN CCOc1cc(CN2CCC[C@H](C(C)C)C2)c(F)cc1OC ZINC001308693263 1066183919 /nfs/dbraw/zinc/18/39/19/1066183919.db2.gz AJNGJGULSWODBB-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cccn1C(F)F ZINC001308697803 1066186379 /nfs/dbraw/zinc/18/63/79/1066186379.db2.gz VVJVCEGNUJMIFE-UHFFFAOYSA-N 0 3 303.356 4.387 20 0 DIADHN Cc1ccc(F)c(CN(C)C[C@H]2CCN(c3ccccc3)C2)c1 ZINC001308725473 1066200775 /nfs/dbraw/zinc/20/07/75/1066200775.db2.gz PWHDMMFNYBJCQM-QGZVFWFLSA-N 0 3 312.432 4.092 20 0 DIADHN COc1ccc(SCCN2CC[C@H](C(F)(F)F)[C@H]2C)cc1 ZINC001308729793 1066204276 /nfs/dbraw/zinc/20/42/76/1066204276.db2.gz LNBPOMNMFBFASX-RISCZKNCSA-N 0 3 319.392 4.060 20 0 DIADHN CC(C)C[C@@]1(CO)CCCN1Cc1cscc1C(F)(F)F ZINC001308732378 1066207709 /nfs/dbraw/zinc/20/77/09/1066207709.db2.gz HZNBGYKCUACRAB-CQSZACIVSA-N 0 3 321.408 4.140 20 0 DIADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+]C2CCC3(CCCC3)CC2)[n-]1 ZINC001308736512 1066211689 /nfs/dbraw/zinc/21/16/89/1066211689.db2.gz NGSMEPHDEFJEAY-ZIAGYGMSSA-N 0 3 304.482 4.472 20 0 DIADHN CC(C)[C@H](CNCc1ncccc1F)c1ccc(Cl)cc1 ZINC001308750304 1066224235 /nfs/dbraw/zinc/22/42/35/1066224235.db2.gz KINFKBIEUHZHLN-HNNXBMFYSA-N 0 3 306.812 4.404 20 0 DIADHN Cc1ccc([C@@H](C)CNCc2ccn(Cc3ccccc3)n2)cc1 ZINC001308754292 1066228897 /nfs/dbraw/zinc/22/88/97/1066228897.db2.gz DTQZOKFTLUCSFF-SFHVURJKSA-N 0 3 319.452 4.133 20 0 DIADHN COc1cc(CN2C[C@H](C(C)C)[C@H]2C(C)C)c(Cl)cc1O ZINC001308762540 1066235670 /nfs/dbraw/zinc/23/56/70/1066235670.db2.gz DZRXPCUZRQDMLB-CXAGYDPISA-N 0 3 311.853 4.167 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@H]2c2ccc(Cl)cc2)co1 ZINC001308769109 1066240367 /nfs/dbraw/zinc/24/03/67/1066240367.db2.gz FLCLAQURORINHO-JKSUJKDBSA-N 0 3 304.821 4.316 20 0 DIADHN CO[C@H]1C[C@H](N(C)Cc2ccccc2OCC(C)C)C12CCC2 ZINC001308766562 1066240977 /nfs/dbraw/zinc/24/09/77/1066240977.db2.gz LXKZIVSVCZKMSS-OALUTQOASA-N 0 3 317.473 4.111 20 0 DIADHN Cc1cccc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1Br ZINC001308771631 1066245390 /nfs/dbraw/zinc/24/53/90/1066245390.db2.gz KMBAQOAEGMCOLE-RDJZCZTQSA-N 0 3 320.274 4.378 20 0 DIADHN Cc1cccc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1Br ZINC001308771629 1066245962 /nfs/dbraw/zinc/24/59/62/1066245962.db2.gz KMBAQOAEGMCOLE-DOTOQJQBSA-N 0 3 320.274 4.378 20 0 DIADHN CN(Cc1cccc(CC2CCC2)c1)[C@H](CO)c1ccccc1 ZINC001308779507 1066253288 /nfs/dbraw/zinc/25/32/88/1066253288.db2.gz BEKWYMGQBQOYGQ-OAQYLSRUSA-N 0 3 309.453 4.195 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2nc(-c3ccccc3)cs2)CS1 ZINC001308796268 1066274185 /nfs/dbraw/zinc/27/41/85/1066274185.db2.gz DNLFGAFLDAPAJP-ZBFHGGJFSA-N 0 3 318.511 4.430 20 0 DIADHN Cc1cc(Br)c(CN2CCC[C@@H](C3CC3)C2)s1 ZINC001308798578 1066274927 /nfs/dbraw/zinc/27/49/27/1066274927.db2.gz HFEKHDUWCBRMHH-GFCCVEGCSA-N 0 3 314.292 4.441 20 0 DIADHN Cc1cc(CN2CC(Cc3ccc(Cl)cc3)C2)cc(C)c1O ZINC001308807921 1066284691 /nfs/dbraw/zinc/28/46/91/1066284691.db2.gz CXAHYIDRDQTRQI-UHFFFAOYSA-N 0 3 315.844 4.337 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccnn2CC2CCC2)CC12CCCCC2 ZINC001308811954 1066285769 /nfs/dbraw/zinc/28/57/69/1066285769.db2.gz QVJGFINOUBSMGE-IBGZPJMESA-N 0 3 315.505 4.474 20 0 DIADHN C[C@@H]1CN(C[C@H]2CC2(Cl)Cl)CCc2ccc(Cl)cc21 ZINC001308847360 1066324859 /nfs/dbraw/zinc/32/48/59/1066324859.db2.gz IIUASMBTEAVLSR-ZYHUDNBSSA-N 0 3 318.675 4.495 20 0 DIADHN c1nn(C2CCC2)cc1CN1CCC(C2CCCCC2)CC1 ZINC001308850479 1066330450 /nfs/dbraw/zinc/33/04/50/1066330450.db2.gz GFTHGNPZHRBWSH-UHFFFAOYSA-N 0 3 301.478 4.400 20 0 DIADHN C[C@H]1CN(Cc2cn(C)nc2C2CCCC2)CCc2ccccc21 ZINC001308852470 1066332195 /nfs/dbraw/zinc/33/21/95/1066332195.db2.gz ZWNFIMQGCAXRFG-INIZCTEOSA-N 0 3 323.484 4.240 20 0 DIADHN COc1ccc2oc(CN3CCC(c4ccco4)CC3)cc2c1 ZINC001308854556 1066337282 /nfs/dbraw/zinc/33/72/82/1066337282.db2.gz HGCVMAKSWXTLFV-UHFFFAOYSA-N 0 3 311.381 4.414 20 0 DIADHN Cc1ccc(CC2CN(Cc3c[nH]nc3-c3ccsc3)C2)cc1 ZINC001308867588 1066349096 /nfs/dbraw/zinc/34/90/96/1066349096.db2.gz SKTQGGCEQAVJBR-UHFFFAOYSA-N 0 3 323.465 4.121 20 0 DIADHN Clc1nc(N2CCCC2)sc1CNCCCC1CCC1 ZINC001308868986 1066349622 /nfs/dbraw/zinc/34/96/22/1066349622.db2.gz TZMJKDILXFDDCM-UHFFFAOYSA-N 0 3 313.898 4.067 20 0 DIADHN CCCCOc1ccccc1CN1CCC(CCOC)CC1 ZINC001308874388 1066354111 /nfs/dbraw/zinc/35/41/11/1066354111.db2.gz NJZKLRVBSUIPFU-UHFFFAOYSA-N 0 3 305.462 4.114 20 0 DIADHN COC(=O)c1cc(CN[C@@H]2CCCc3c(C)cccc32)cs1 ZINC001308879287 1066357403 /nfs/dbraw/zinc/35/74/03/1066357403.db2.gz YAOUSRSWCOIHLJ-MRXNPFEDSA-N 0 3 315.438 4.010 20 0 DIADHN CC(C)CCO[C@@H]1CCN(Cc2cnc3c(F)cccc3c2)C1 ZINC001308883041 1066364381 /nfs/dbraw/zinc/36/43/81/1066364381.db2.gz PGXJGNMONOYOSP-QGZVFWFLSA-N 0 3 316.420 4.011 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2ccc(OC)c(OC)c2F)C1 ZINC001308886914 1066368002 /nfs/dbraw/zinc/36/80/02/1066368002.db2.gz SLIKRPZFUHHQFJ-WSNITJDQSA-N 0 3 307.409 4.021 20 0 DIADHN COc1cc(Cl)c(CN2CCCC3(CC3)CC2)cc1OC ZINC001308887047 1066369168 /nfs/dbraw/zinc/36/91/68/1066369168.db2.gz DPWSXCIQXHRFIN-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccc(C(C)(C)C)o1 ZINC001308901491 1066381381 /nfs/dbraw/zinc/38/13/81/1066381381.db2.gz ILUZCWBTFOGFER-KDOFPFPSSA-N 0 3 301.430 4.443 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC001308904738 1066383122 /nfs/dbraw/zinc/38/31/22/1066383122.db2.gz RKBICRBVSHBJKR-CABCVRRESA-N 0 3 315.438 4.257 20 0 DIADHN C[C@H](CCc1ccccc1F)NCc1noc2ccc(F)cc12 ZINC001308906725 1066386511 /nfs/dbraw/zinc/38/65/11/1066386511.db2.gz QRCFCRNLUYNGNS-GFCCVEGCSA-N 0 3 316.351 4.217 20 0 DIADHN C[C@H]1CCC[C@H](C2CN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC001308911093 1066390406 /nfs/dbraw/zinc/39/04/06/1066390406.db2.gz DIMTXYAMCYYMQN-KBPBESRZSA-N 0 3 315.486 4.396 20 0 DIADHN F[C@H]1CCc2ccccc2[C@H]1NCCc1ccc(Cl)cc1 ZINC001308916042 1066394622 /nfs/dbraw/zinc/39/46/22/1066394622.db2.gz SCARUKGALQCDFU-ZWKOTPCHSA-N 0 3 303.808 4.498 20 0 DIADHN FC[C@@H]1CCCN(Cc2cnc(-c3ccccc3F)s2)C1 ZINC001308918573 1066396124 /nfs/dbraw/zinc/39/61/24/1066396124.db2.gz RWTNIHBOWSVCPP-LBPRGKRZSA-N 0 3 308.397 4.131 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CNC1CC(OC(C)C)C1 ZINC001308920746 1066399233 /nfs/dbraw/zinc/39/92/33/1066399233.db2.gz BNFVZTNPUNHLRW-UHFFFAOYSA-N 0 3 311.853 4.173 20 0 DIADHN FC(F)(F)c1cc(Cl)nc(CN[C@@H]2CCC23CCC3)c1 ZINC001308928384 1066403412 /nfs/dbraw/zinc/40/34/12/1066403412.db2.gz OKDTUVKYIHZGAV-LLVKDONJSA-N 0 3 304.743 4.176 20 0 DIADHN Cc1csc2nc(C)c(CN[C@H](C)c3ccc(F)cc3C)n12 ZINC001308932038 1066405226 /nfs/dbraw/zinc/40/52/26/1066405226.db2.gz URGSWXOYEBSFOG-GFCCVEGCSA-N 0 3 317.433 4.311 20 0 DIADHN Fc1ccc(CCN2CC[C@@H](c3c(F)cccc3F)C2)c(F)c1 ZINC001308936977 1066408418 /nfs/dbraw/zinc/40/84/18/1066408418.db2.gz RJOOVCWDVUBWQS-CYBMUJFWSA-N 0 3 323.333 4.275 20 0 DIADHN Fc1ccc(CCN2CC[C@H](c3c(F)cccc3F)C2)c(F)c1 ZINC001308936978 1066408838 /nfs/dbraw/zinc/40/88/38/1066408838.db2.gz RJOOVCWDVUBWQS-ZDUSSCGKSA-N 0 3 323.333 4.275 20 0 DIADHN CC(C)CNc1ccc(CN2CCCC2)c(C(F)(F)F)c1 ZINC001308937206 1066409103 /nfs/dbraw/zinc/40/91/03/1066409103.db2.gz MNLCOAXJHDUYGW-UHFFFAOYSA-N 0 3 300.368 4.369 20 0 DIADHN CCOc1cncc(CN2CCC(CCc3ccccc3)CC2)c1 ZINC001308944290 1066411069 /nfs/dbraw/zinc/41/10/69/1066411069.db2.gz UZOYTAXXEYZEED-UHFFFAOYSA-N 0 3 324.468 4.325 20 0 DIADHN C[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1ccc(-c2ccccc2)cn1 ZINC001308944378 1066411318 /nfs/dbraw/zinc/41/13/18/1066411318.db2.gz RCEYOELUIWVREF-APWZRJJASA-N 0 3 322.452 4.358 20 0 DIADHN Cn1ncc(CN2CCC(C)(C)[C@@H](c3ccccc3)C2)c1Cl ZINC001308959128 1066420363 /nfs/dbraw/zinc/42/03/63/1066420363.db2.gz RQPFQWPWSMSRHS-MRXNPFEDSA-N 0 3 317.864 4.089 20 0 DIADHN CC(C)(C)c1cnc(CN2C[C@H]3[C@H]4CC[C@H](C4)[C@@]3(C)C2)s1 ZINC001308960413 1066421151 /nfs/dbraw/zinc/42/11/51/1066421151.db2.gz IYQJMTNHFBXAQY-MOROJQBDSA-N 0 3 304.503 4.309 20 0 DIADHN C[C@]12CN(Cc3sccc3Br)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC001308960343 1066422132 /nfs/dbraw/zinc/42/21/32/1066422132.db2.gz GQHNANOZSSPIFN-OZTPJHRESA-N 0 3 324.287 4.155 20 0 DIADHN ClC1(Cl)C[C@H]1CN[C@H](Cc1ccccc1)c1ccccn1 ZINC001308967839 1066424819 /nfs/dbraw/zinc/42/48/19/1066424819.db2.gz KQAWZLJVRMFISK-GOEBONIOSA-N 0 3 321.251 4.149 20 0 DIADHN CCC(CC)CNCc1csc(NC(=O)OC(C)(C)C)n1 ZINC001308972691 1066426671 /nfs/dbraw/zinc/42/66/71/1066426671.db2.gz RAVFCYJZNJUPRD-UHFFFAOYSA-N 0 3 313.467 4.016 20 0 DIADHN CCC1(CC)CCC(N[C@H](CO)c2cc(F)ccc2F)CC1 ZINC001308978928 1066429385 /nfs/dbraw/zinc/42/93/85/1066429385.db2.gz LGFRZUUYPJSCGJ-QGZVFWFLSA-N 0 3 311.416 4.337 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@H](COC)c2ccc(F)cc2)C1(C)C ZINC001308976797 1066429745 /nfs/dbraw/zinc/42/97/45/1066429745.db2.gz IVZLDWACRIPASG-FGTMMUONSA-N 0 3 323.452 4.087 20 0 DIADHN CC[C@H](NCc1cnc(C(C)C)s1)[C@H](O)c1ccccc1F ZINC000133164765 1126076711 /nfs/dbraw/zinc/07/67/11/1126076711.db2.gz UYTVGUXSWCRZLM-JKSUJKDBSA-N 0 3 322.449 4.007 20 0 DIADHN CC(C)CCOCCNCc1ccc(Cl)c2cccnc12 ZINC000157417275 334927881 /nfs/dbraw/zinc/92/78/81/334927881.db2.gz IBGKNOVLLFVPCX-UHFFFAOYSA-N 0 3 306.837 4.041 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000078971188 185137132 /nfs/dbraw/zinc/13/71/32/185137132.db2.gz STIFDZKPHOMWAO-WDEREUQCSA-N 0 3 305.324 4.105 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc(-c2ccco2)cc1F ZINC000428083838 334934197 /nfs/dbraw/zinc/93/41/97/334934197.db2.gz LMVQKOACJRUTOA-LBPRGKRZSA-N 0 3 318.392 4.191 20 0 DIADHN C[C@H](NCCc1nc(C2CCCCC2)cs1)c1ccncc1 ZINC000090046518 185279778 /nfs/dbraw/zinc/27/97/78/185279778.db2.gz YHQHHZANLUONSK-AWEZNQCLSA-N 0 3 315.486 4.479 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@H](C)c2c(C)noc2C)s1 ZINC000090727634 185311347 /nfs/dbraw/zinc/31/13/47/185311347.db2.gz GJASYOGDNSAOON-GXSJLCMTSA-N 0 3 307.463 4.073 20 0 DIADHN c1cc2c(s1)CCC[C@H]2NCc1c[nH]nc1-c1ccccc1 ZINC000236587301 201928839 /nfs/dbraw/zinc/92/88/39/201928839.db2.gz UQAQQNGKJSEMRW-MRXNPFEDSA-N 0 3 309.438 4.305 20 0 DIADHN CC[C@H](NCCc1cnn(C)c1)c1ccc(Cl)cc1Cl ZINC000148807266 186046390 /nfs/dbraw/zinc/04/63/90/186046390.db2.gz BYKFXPNJMIHWSP-HNNXBMFYSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@@](C)(NCCCCCn1cc(C)cn1)c1nc(C)cs1 ZINC000072864324 191245302 /nfs/dbraw/zinc/24/53/02/191245302.db2.gz GMIFOVQDRNYJMY-QGZVFWFLSA-N 0 3 320.506 4.042 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccc(F)cc32)cc1OC ZINC000081181393 192234281 /nfs/dbraw/zinc/23/42/81/192234281.db2.gz LEBTUJDPXLXORE-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN CCCOc1ccc(Oc2ncccc2CNC(C)C)cc1 ZINC000236858751 202040058 /nfs/dbraw/zinc/04/00/58/202040058.db2.gz AWBYKFOMZQPPMT-UHFFFAOYSA-N 0 3 300.402 4.161 20 0 DIADHN CCC[C@@H](N)C(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000236897421 202060407 /nfs/dbraw/zinc/06/04/07/202060407.db2.gz MKDUPDAGLLNALZ-IFXJQAMLSA-N 0 3 310.441 4.019 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H](C)c3nccs3)oc21 ZINC000186743223 200002035 /nfs/dbraw/zinc/00/20/35/200002035.db2.gz FKAZSTSKSSGDRD-NWDGAFQWSA-N 0 3 316.426 4.352 20 0 DIADHN CC(C)(O)c1ccc(CNCc2ccccc2C(F)(F)F)cc1 ZINC000187499809 200120903 /nfs/dbraw/zinc/12/09/03/200120903.db2.gz YCDCWNLLNXOLDK-UHFFFAOYSA-N 0 3 323.358 4.223 20 0 DIADHN C[C@@H](NCCc1cccc2ccccc21)c1cn2ccccc2n1 ZINC000575766728 370707352 /nfs/dbraw/zinc/70/73/52/370707352.db2.gz CAQSDQBILANJRF-MRXNPFEDSA-N 0 3 315.420 4.381 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccncc1 ZINC000091412702 193053395 /nfs/dbraw/zinc/05/33/95/193053395.db2.gz MGFSQPBNUXAWJZ-SSKCKEOISA-N 0 3 320.795 4.055 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2CN[C@@H](C)c2nccs2)cc1 ZINC000091731306 193098052 /nfs/dbraw/zinc/09/80/52/193098052.db2.gz BISGUOQQGULURU-UAGQMJEPSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)[C@@H](C)c3ccncc3)cc2)n1 ZINC000091989279 193139018 /nfs/dbraw/zinc/13/90/18/193139018.db2.gz RUQVRJMVPKORPT-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN C[C@H](NCc1cc(Cl)cs1)c1ccc2c(c1)OCCO2 ZINC000093094057 193203234 /nfs/dbraw/zinc/20/32/34/193203234.db2.gz NZJRENUTFOTCKP-JTQLQIEISA-N 0 3 309.818 4.024 20 0 DIADHN COCc1ccc(CN(C)[C@@H](C)c2sc(C)nc2C)cc1 ZINC000093275116 193223463 /nfs/dbraw/zinc/22/34/63/193223463.db2.gz RHDHCICBYNPYQC-ZDUSSCGKSA-N 0 3 304.459 4.099 20 0 DIADHN Cc1cc2ncc([C@H](C)NCc3c(C)cc(C)cc3C)c(C)n2n1 ZINC000093403301 193244386 /nfs/dbraw/zinc/24/43/86/193244386.db2.gz JAHVNEWTEZJEKX-INIZCTEOSA-N 0 3 322.456 4.122 20 0 DIADHN Cc1ccc(C)c([C@@H](NCC(C)(C)CCO)c2ccccc2)c1 ZINC000391596159 335015709 /nfs/dbraw/zinc/01/57/09/335015709.db2.gz RDVVEGVANIPERF-FQEVSTJZSA-N 0 3 311.469 4.391 20 0 DIADHN CC[C@@]1(CN[C@@H](C)c2ccsc2)OCCc2ccccc21 ZINC000563802093 335020310 /nfs/dbraw/zinc/02/03/10/335020310.db2.gz MHFGVDAIHAQVSN-KSSFIOAISA-N 0 3 301.455 4.277 20 0 DIADHN C[C@H](NCc1ccc(F)c(Br)c1)c1ccccc1 ZINC000019878692 182159898 /nfs/dbraw/zinc/15/98/98/182159898.db2.gz OFHDMNMMHILHPJ-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN C[C@H](NCc1ccc(F)cc1)c1cccc(Br)c1 ZINC000019943554 182168357 /nfs/dbraw/zinc/16/83/57/182168357.db2.gz IKZDZDUNMICGAH-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN Clc1ccc(CCNCc2ccc(Br)o2)cc1 ZINC000020122546 182188869 /nfs/dbraw/zinc/18/88/69/182188869.db2.gz JBNXMFSQUUMBID-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(Br)cc1)c1ccncc1 ZINC000020121176 182189288 /nfs/dbraw/zinc/18/92/88/182189288.db2.gz JXHNWRLZCNSNNH-RYUDHWBXSA-N 0 3 305.219 4.256 20 0 DIADHN CC[C@H](NCc1cccnc1)c1ccc(Br)cc1 ZINC000020141013 182191644 /nfs/dbraw/zinc/19/16/44/182191644.db2.gz SDFSIZCETSBMES-HNNXBMFYSA-N 0 3 305.219 4.085 20 0 DIADHN Clc1ccc(CNCc2cccc(Br)c2)cc1 ZINC000020209557 182197600 /nfs/dbraw/zinc/19/76/00/182197600.db2.gz PBVCYYPFZPWURL-UHFFFAOYSA-N 0 3 310.622 4.392 20 0 DIADHN C[C@H](NCc1cccc(F)c1)c1cccc(Br)c1 ZINC000020369967 182212546 /nfs/dbraw/zinc/21/25/46/182212546.db2.gz NFSDHIPTJLCIMC-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN Cc1cccc(CCN(C)Cc2cccc(C(F)(F)F)c2)n1 ZINC000563807713 335023361 /nfs/dbraw/zinc/02/33/61/335023361.db2.gz DRTKSUUSBYOQOB-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1cc(F)ccc1Br ZINC000020555922 182231863 /nfs/dbraw/zinc/23/18/63/182231863.db2.gz HPMSSVUVPVVHJL-HZMBPMFUSA-N 0 3 300.215 4.257 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccc(F)cc3)CC2)s1 ZINC000020539343 182228732 /nfs/dbraw/zinc/22/87/32/182228732.db2.gz JCVJVJKZRIAARK-UHFFFAOYSA-N 0 3 318.461 4.354 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000190675391 335036873 /nfs/dbraw/zinc/03/68/73/335036873.db2.gz OKGPDNUGLWMGEZ-ZDUSSCGKSA-N 0 3 303.837 4.042 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Br)ccc21)c1ccncc1 ZINC000040226405 183047763 /nfs/dbraw/zinc/04/77/63/183047763.db2.gz DWQXTXSFFQGFKY-MEDUHNTESA-N 0 3 317.230 4.182 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)cc2)cc1C ZINC000042306756 183252501 /nfs/dbraw/zinc/25/25/01/183252501.db2.gz QEMPWRSZTHLKLV-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C[C@@H](N[C@H]1CCN(C)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000042545794 183283511 /nfs/dbraw/zinc/28/35/11/183283511.db2.gz AURYHMSXOKUYNT-BDAKNGLRSA-N 0 3 307.652 4.002 20 0 DIADHN F[C@H]1CC[C@@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000563835649 335038527 /nfs/dbraw/zinc/03/85/27/335038527.db2.gz LWNADDPVDDLLIQ-QWHCGFSZSA-N 0 3 310.825 4.444 20 0 DIADHN Cn1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)cn1 ZINC000105493942 194107622 /nfs/dbraw/zinc/10/76/22/194107622.db2.gz OQMJNSXUBRZLBK-QGZVFWFLSA-N 0 3 303.837 4.095 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@H]3CCN(c4ccccn4)C3)oc2c1 ZINC000426037025 335042971 /nfs/dbraw/zinc/04/29/71/335042971.db2.gz SNNHKMUDYPVODA-RDJZCZTQSA-N 0 3 321.424 4.066 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2c(C)cccc2C)cc1 ZINC000056201496 184007144 /nfs/dbraw/zinc/00/71/44/184007144.db2.gz YJVNHIVDIBNPNG-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN C[C@@H](NCCc1nccs1)c1cccc(C(F)(F)F)c1 ZINC000063133470 184272755 /nfs/dbraw/zinc/27/27/55/184272755.db2.gz HIQNUXJZNVGXFF-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN C[C@@H](NCCc1nccs1)c1ccccc1C(F)(F)F ZINC000063133321 184272858 /nfs/dbraw/zinc/27/28/58/184272858.db2.gz CDZKFPKALRLFTD-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN c1ccc(CN2CCC[C@@H](Oc3ccc4cnccc4c3)C2)cc1 ZINC000066099962 184389026 /nfs/dbraw/zinc/38/90/26/184389026.db2.gz AKUKFSSRYRAGER-OAQYLSRUSA-N 0 3 318.420 4.278 20 0 DIADHN COCCC[C@@H](NCc1cccc(F)c1)c1ccc(F)cc1 ZINC000442721744 335052193 /nfs/dbraw/zinc/05/21/93/335052193.db2.gz UJOZDXBZYCWQTE-GOSISDBHSA-N 0 3 305.368 4.222 20 0 DIADHN COc1cccc(CN(C)[C@H](C)CCSC)c1OC(C)C ZINC000353802760 335052648 /nfs/dbraw/zinc/05/26/48/335052648.db2.gz IRBYMCGMOBCPTQ-CQSZACIVSA-N 0 3 311.491 4.056 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc(C)cc2)cc1OC ZINC000071854581 184869751 /nfs/dbraw/zinc/86/97/51/184869751.db2.gz OWJWDVGJJSFQSN-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@H](C)c1cccnc1 ZINC000289699822 533413007 /nfs/dbraw/zinc/41/30/07/533413007.db2.gz BSBIBKGWTHOYLX-JWAFFJSPSA-N 0 3 318.367 4.437 20 0 DIADHN CC(C)c1ccc(NC(=O)N(C)[C@H](C)C2CCN(C)CC2)cc1 ZINC000272298440 130017278 /nfs/dbraw/zinc/01/72/78/130017278.db2.gz COJCLEOSEWUVFO-OAHLLOKOSA-N 0 3 317.477 4.004 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1cccc2cc[nH]c21 ZINC000272372482 130023710 /nfs/dbraw/zinc/02/37/10/130023710.db2.gz XMXVPSOQFSYTPG-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN CC(C)c1ccccc1NC(=O)N(C)[C@H](C)C1CCN(C)CC1 ZINC000272416068 130028647 /nfs/dbraw/zinc/02/86/47/130028647.db2.gz ZOLJSDDQXPZRGQ-OAHLLOKOSA-N 0 3 317.477 4.004 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1CCO[C@H](C)C1 ZINC000272698636 130053854 /nfs/dbraw/zinc/05/38/54/130053854.db2.gz RHZCHFGNGOYMOH-BEFAXECRSA-N 0 3 311.425 4.019 20 0 DIADHN OCC[C@@H](NCc1ccc(SC(F)F)cc1)c1ccccc1 ZINC000272689172 130054765 /nfs/dbraw/zinc/05/47/65/130054765.db2.gz CYUAPBJUOOKPHR-MRXNPFEDSA-N 0 3 323.408 4.215 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC000272714292 130057416 /nfs/dbraw/zinc/05/74/16/130057416.db2.gz AITNBSVIKMJTDE-UONOGXRCSA-N 0 3 312.413 4.065 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC000272714286 130057505 /nfs/dbraw/zinc/05/75/05/130057505.db2.gz AITNBSVIKMJTDE-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN CC(C)OCCN[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000272863927 130070049 /nfs/dbraw/zinc/07/00/49/130070049.db2.gz QYTSKPXUJKDKEE-GOSISDBHSA-N 0 3 318.848 4.033 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2nc(-c3ccccc3)cs2)CCO1 ZINC000273007776 130081342 /nfs/dbraw/zinc/08/13/42/130081342.db2.gz BKCVRUIYCNCBIP-GJZGRUSLSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@H]1C[C@H](CCNCc2csc(-c3ccsc3)n2)CCO1 ZINC000273078427 130088157 /nfs/dbraw/zinc/08/81/57/130088157.db2.gz QCGAGHCSSYUPGB-QWHCGFSZSA-N 0 3 322.499 4.166 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1cccnc1 ZINC000273485587 130127428 /nfs/dbraw/zinc/12/74/28/130127428.db2.gz NHDCVKPQQUNMAR-SDYXESRWSA-N 0 3 316.470 4.011 20 0 DIADHN CCc1ncc(CN[C@@H](C)[C@H](OC)c2ccccc2Cl)s1 ZINC000353176800 130158709 /nfs/dbraw/zinc/15/87/09/130158709.db2.gz WCOGJRGSUNRJCI-ZBEGNZNMSA-N 0 3 324.877 4.225 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cccc2cc[nH]c21 ZINC000274283569 130223710 /nfs/dbraw/zinc/22/37/10/130223710.db2.gz INZGIRXUBHRANB-ZDUSSCGKSA-N 0 3 310.445 4.279 20 0 DIADHN Cc1cc([C@@H](NCc2cn(C)nc2C(F)F)C2CC2)ccc1F ZINC000274438471 130235136 /nfs/dbraw/zinc/23/51/36/130235136.db2.gz BDXPCVJKUOLWQH-HNNXBMFYSA-N 0 3 323.362 4.046 20 0 DIADHN Cc1cc([C@H](NCc2cn(C)nc2C(F)F)C2CC2)ccc1F ZINC000274438475 130235834 /nfs/dbraw/zinc/23/58/34/130235834.db2.gz BDXPCVJKUOLWQH-OAHLLOKOSA-N 0 3 323.362 4.046 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1sccc1C)CCO2 ZINC000274438816 130236520 /nfs/dbraw/zinc/23/65/20/130236520.db2.gz XBHZXLRJQGIXCF-WFASDCNBSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000274501052 130240807 /nfs/dbraw/zinc/24/08/07/130240807.db2.gz RUIVLGKVBSFFBI-KGLIPLIRSA-N 0 3 319.399 4.044 20 0 DIADHN OCC[C@@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000274491267 130241823 /nfs/dbraw/zinc/24/18/23/130241823.db2.gz SPROVDNEOMJKBJ-ZWKOTPCHSA-N 0 3 315.388 4.068 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000274501051 130241884 /nfs/dbraw/zinc/24/18/84/130241884.db2.gz RUIVLGKVBSFFBI-KBPBESRZSA-N 0 3 319.399 4.044 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)[C@@H](c1ccccc1)N(C)C ZINC000274750941 130258777 /nfs/dbraw/zinc/25/87/77/130258777.db2.gz HMKDDOFLZJQHGZ-IYOUNJFTSA-N 0 3 317.864 4.077 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2ccc3c(c2)CC(=O)N3C)c1 ZINC000274756552 130259099 /nfs/dbraw/zinc/25/90/99/130259099.db2.gz GCUFJEZWOWHWQM-HNNXBMFYSA-N 0 3 322.452 4.009 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000274810834 130264169 /nfs/dbraw/zinc/26/41/69/130264169.db2.gz XVGUEQBDMYEHDM-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccc(Cl)cn1)c1cccnc1 ZINC000274858848 130268412 /nfs/dbraw/zinc/26/84/12/130268412.db2.gz CMWSGPYWJYBIEL-BBRMVZONSA-N 0 3 303.837 4.220 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)c1cccnc1 ZINC000274858858 130268875 /nfs/dbraw/zinc/26/88/75/130268875.db2.gz CMWSGPYWJYBIEL-XJKSGUPXSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)C(F)(F)F)c1cncs1 ZINC000275028132 130284514 /nfs/dbraw/zinc/28/45/14/130284514.db2.gz VMKKLOIRESBDLN-ZYHUDNBSSA-N 0 3 300.349 4.140 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](NCc1cncc(F)c1)c1ccc(Cl)cc1 ZINC000275040682 130286269 /nfs/dbraw/zinc/28/62/69/130286269.db2.gz GVPGTNFGJSSEGS-ZTFRIQLXSA-N 0 3 304.796 4.361 20 0 DIADHN C[C@H](CCC(=O)Nc1ccccc1CCN(C)C)c1ccccc1 ZINC000275552165 130336581 /nfs/dbraw/zinc/33/65/81/130336581.db2.gz CJSWVEZCLXOHEV-QGZVFWFLSA-N 0 3 324.468 4.313 20 0 DIADHN c1ccc(-c2nnc(CN[C@H]3CCC[C@@H]3c3ccccc3)o2)cc1 ZINC000275588660 130343846 /nfs/dbraw/zinc/34/38/46/130343846.db2.gz IVPWLOSWKQVUQG-MSOLQXFVSA-N 0 3 319.408 4.163 20 0 DIADHN CCCCN(CCCC)C(=O)Nc1ccccc1CCN(C)C ZINC000275696234 130367173 /nfs/dbraw/zinc/36/71/73/130367173.db2.gz SBFNIMXJPJTMBP-UHFFFAOYSA-N 0 3 319.493 4.225 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC[C@@](C)(c3ccccc3)C2)s1 ZINC000275708015 130369380 /nfs/dbraw/zinc/36/93/80/130369380.db2.gz LRMOPVOGHNOZAN-XGWLTEMNSA-N 0 3 301.459 4.008 20 0 DIADHN Cc1cc(Cl)cc(C(=O)Nc2ccccc2CCN(C)C)c1 ZINC000275839520 130397348 /nfs/dbraw/zinc/39/73/48/130397348.db2.gz MJEPVWOUUMZYRD-UHFFFAOYSA-N 0 3 316.832 4.005 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)c1cccc(C)c1C ZINC000340178399 131000286 /nfs/dbraw/zinc/00/02/86/131000286.db2.gz IIQFHVKHTMPVMQ-UHFFFAOYSA-N 0 3 324.468 4.027 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NCCc2cscn2)cc1 ZINC000283682546 131013050 /nfs/dbraw/zinc/01/30/50/131013050.db2.gz GDHLMQYKLJIKEK-ZDUSSCGKSA-N 0 3 318.339 4.108 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccnc(OC(F)F)c2)c(C)s1 ZINC000284190968 131057672 /nfs/dbraw/zinc/05/76/72/131057672.db2.gz BDRHGYGGVRLJFZ-SNVBAGLBSA-N 0 3 312.385 4.212 20 0 DIADHN COc1ccc([C@H](CCC(C)C)NCc2cc(C)nn2C)cc1 ZINC000284254485 131063960 /nfs/dbraw/zinc/06/39/60/131063960.db2.gz IQKWLYIRIXFZAG-IBGZPJMESA-N 0 3 315.461 4.004 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](C)c2cn(C)nc2C)cc1 ZINC000284400502 131076866 /nfs/dbraw/zinc/07/68/66/131076866.db2.gz PRIFYKDUIQWHMM-LSDHHAIUSA-N 0 3 315.461 4.319 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@H](C)c2ccc(C)s2)cc1 ZINC000284448210 131081784 /nfs/dbraw/zinc/08/17/84/131081784.db2.gz UBDUADLRONAWQR-PBHICJAKSA-N 0 3 318.486 4.019 20 0 DIADHN Cc1ccc([C@@H](NCc2cnc(C(C)(C)C)nc2)C2CC2)cc1 ZINC000284492092 131085786 /nfs/dbraw/zinc/08/57/86/131085786.db2.gz XBHAPAJOQMXLSE-GOSISDBHSA-N 0 3 309.457 4.323 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnc(OC)c(Cl)c2)cc1 ZINC000284550624 131091818 /nfs/dbraw/zinc/09/18/18/131091818.db2.gz XWFVQWJLESPDSZ-NEPJUHHUSA-N 0 3 320.820 4.164 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2ccc(Cl)s2)c1 ZINC000284989352 131128896 /nfs/dbraw/zinc/12/88/96/131128896.db2.gz FBBSNZYROXWMNQ-SNVBAGLBSA-N 0 3 324.833 4.431 20 0 DIADHN c1nc(CN2C[C@@H](c3ccccc3)[C@H]3CCC[C@@H]32)oc1C1CC1 ZINC000285339429 131158946 /nfs/dbraw/zinc/15/89/46/131158946.db2.gz CPWGWQDGLNMPKQ-SQNIBIBYSA-N 0 3 308.425 4.320 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1cccc(C)c1 ZINC000285468674 131171399 /nfs/dbraw/zinc/17/13/99/131171399.db2.gz AQRMTRPQEKLTAE-OXQOHEQNSA-N 0 3 320.440 4.378 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnccc2C)c(C)c1OC ZINC000285502049 131175153 /nfs/dbraw/zinc/17/51/53/131175153.db2.gz AGAAPIOMJPPSTJ-HUUCEWRRSA-N 0 3 314.429 4.127 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCC2)nc1)c1cccc(C)c1 ZINC000285519376 131176776 /nfs/dbraw/zinc/17/67/76/131176776.db2.gz DWRIGYYDQVEDKO-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccc2c(c1)OCC(=O)N2)c1ccccc1 ZINC000285539213 131178246 /nfs/dbraw/zinc/17/82/46/131178246.db2.gz FWVMAWKQPKEFSN-XOBRGWDASA-N 0 3 324.424 4.065 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C(F)(F)F)C1)c1c(F)cncc1F ZINC000285533467 131178301 /nfs/dbraw/zinc/17/83/01/131178301.db2.gz CRVFVJJQMAXICR-LPEHRKFASA-N 0 3 308.294 4.132 20 0 DIADHN FC(F)(F)Oc1cccc([C@H](NCc2cc[nH]c2)C2CC2)c1 ZINC000285568024 131181140 /nfs/dbraw/zinc/18/11/40/131181140.db2.gz SECSMTWOSSCMAT-OAHLLOKOSA-N 0 3 310.319 4.154 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1 ZINC000285648722 131189579 /nfs/dbraw/zinc/18/95/79/131189579.db2.gz LKVISEBTRCGUSJ-AWEZNQCLSA-N 0 3 321.424 4.286 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCC2)c1)c1cccc(C)c1 ZINC000285654040 131190704 /nfs/dbraw/zinc/19/07/04/131190704.db2.gz MLJYBDVDCQVIBC-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN OCC[C@H](NCc1cccs1)c1ccc(Cl)c(Cl)c1 ZINC000285670820 131191538 /nfs/dbraw/zinc/19/15/38/131191538.db2.gz UEWANDXFKHZODB-AWEZNQCLSA-N 0 3 316.253 4.268 20 0 DIADHN Cc1cnn(C)c1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000285777947 131201460 /nfs/dbraw/zinc/20/14/60/131201460.db2.gz DSGODPQTACXATE-HXUWFJFHSA-N 0 3 317.436 4.173 20 0 DIADHN C[C@@H](NCCC(=O)N1CCCCCC1)c1cccc(C2CC2)c1 ZINC000340215059 131206591 /nfs/dbraw/zinc/20/65/91/131206591.db2.gz OXJRBIRZLPRCQL-MRXNPFEDSA-N 0 3 314.473 4.007 20 0 DIADHN CCS[C@@H]1CCC[C@@H]1NCc1csc(-c2ccoc2)n1 ZINC000285958660 131212078 /nfs/dbraw/zinc/21/20/78/131212078.db2.gz DEQXOZBZQDAXCJ-UONOGXRCSA-N 0 3 308.472 4.167 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(OC)c(OC)c1C)c1ccncc1 ZINC000286019661 131216532 /nfs/dbraw/zinc/21/65/32/131216532.db2.gz JQKPZBLDZZOHAY-YOEHRIQHSA-N 0 3 314.429 4.209 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2csc(C(C)(C)C)n2)c1 ZINC000286030778 131216796 /nfs/dbraw/zinc/21/67/96/131216796.db2.gz JDQOMDXDMSQVEE-CYBMUJFWSA-N 0 3 303.475 4.037 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCC(=O)N3)c1C ZINC000286043944 131217376 /nfs/dbraw/zinc/21/73/76/131217376.db2.gz KSEIHJMRYPXTAP-HUUCEWRRSA-N 0 3 324.424 4.046 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000286329770 131230626 /nfs/dbraw/zinc/23/06/26/131230626.db2.gz YJOFZXISQNTQTB-PXAZEXFGSA-N 0 3 316.449 4.029 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)c(F)c2)c(C)c1OC ZINC000286326093 131230822 /nfs/dbraw/zinc/23/08/22/131230822.db2.gz XTTXTRSRTGCCOK-LBPRGKRZSA-N 0 3 321.367 4.141 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2cc(C)sc2C)c1 ZINC000286369443 131232745 /nfs/dbraw/zinc/23/27/45/131232745.db2.gz ADFACCUDWLPFDI-GFCCVEGCSA-N 0 3 318.442 4.394 20 0 DIADHN C[C@H](NCC[S@](=O)c1ccccc1)c1cccc(C2CC2)c1 ZINC000340239428 131246692 /nfs/dbraw/zinc/24/66/92/131246692.db2.gz NXKQSDYXMRBHSN-NYHFZMIOSA-N 0 3 313.466 4.022 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1ccccc1CCN(C)C ZINC000286815178 131251260 /nfs/dbraw/zinc/25/12/60/131251260.db2.gz PZVGZQWZWBMVKU-UHFFFAOYSA-N 0 3 314.429 4.068 20 0 DIADHN Fc1ccc2[nH]cc(C3CCN(Cc4ccncc4)CC3)c2c1 ZINC000286891497 131254268 /nfs/dbraw/zinc/25/42/68/131254268.db2.gz IKPUQTGJOAVMFZ-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN C[C@@H](NCC1=CCCOC1)c1ccc(SCC(F)F)cc1 ZINC000287183052 131267732 /nfs/dbraw/zinc/26/77/32/131267732.db2.gz VIDKNLXPRRYYKR-GFCCVEGCSA-N 0 3 313.413 4.041 20 0 DIADHN C[C@@H](NC[C@H]1CCCSC1)c1nc2ccc(Cl)cc2n1C ZINC000287243926 131271251 /nfs/dbraw/zinc/27/12/51/131271251.db2.gz ZAOURLZXRAASAX-VXGBXAGGSA-N 0 3 323.893 4.021 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2cc(C)cc(C)c2)c1 ZINC000287381386 131278460 /nfs/dbraw/zinc/27/84/60/131278460.db2.gz IEXFUUDGIUUWBQ-HNNXBMFYSA-N 0 3 312.413 4.333 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccsc2)c(C)c1OC ZINC000287582615 131288194 /nfs/dbraw/zinc/28/81/94/131288194.db2.gz RBLQOTAUYYZXJB-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1cccc(-n2ccnn2)c1 ZINC000287687753 131294089 /nfs/dbraw/zinc/29/40/89/131294089.db2.gz MZJUBOGEAUTWPF-CXAGYDPISA-N 0 3 324.453 4.057 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1cccc(-n2ccnn2)c1 ZINC000287687764 131294686 /nfs/dbraw/zinc/29/46/86/131294686.db2.gz MZJUBOGEAUTWPF-GUYCJALGSA-N 0 3 324.453 4.057 20 0 DIADHN c1cc(-c2nc(CNC[C@H]3CCCSC3)cs2)cs1 ZINC000288329465 131320193 /nfs/dbraw/zinc/32/01/93/131320193.db2.gz ALKREOJEJXGFJM-LLVKDONJSA-N 0 3 310.513 4.104 20 0 DIADHN CCC(CC)N(CC)Cc1nnc(-c2ccccc2Cl)o1 ZINC000288777924 131338442 /nfs/dbraw/zinc/33/84/42/131338442.db2.gz BMVDMQWXBKGYLY-UHFFFAOYSA-N 0 3 307.825 4.401 20 0 DIADHN Clc1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000289087586 131357268 /nfs/dbraw/zinc/35/72/68/131357268.db2.gz BHAFDCXHRZPDMY-INIZCTEOSA-N 0 3 311.816 4.384 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H]1CCCc2[nH]c(=O)ccc21 ZINC000289141782 131361806 /nfs/dbraw/zinc/36/18/06/131361806.db2.gz AIEODXJGIJOCJZ-INIZCTEOSA-N 0 3 308.381 4.009 20 0 DIADHN C[C@H](O)c1ncc(CN[C@H](CC(C)(C)C)c2ccccc2)s1 ZINC000289164815 131363201 /nfs/dbraw/zinc/36/32/01/131363201.db2.gz DWUHXBSHAAIBMP-XJKSGUPXSA-N 0 3 318.486 4.464 20 0 DIADHN Cc1cccc([C@H](NCc2cnc([C@@H](C)O)s2)C(C)(C)C)c1 ZINC000289271903 131370183 /nfs/dbraw/zinc/37/01/83/131370183.db2.gz NQGYWGLTYOAYGJ-CJNGLKHVSA-N 0 3 318.486 4.382 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1ccc(C)nc1 ZINC000289270724 131370298 /nfs/dbraw/zinc/37/02/98/131370298.db2.gz PESRVJAXIKMPSF-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccccc2Cn2ccnc2)c2ccccc21 ZINC000289291137 131371649 /nfs/dbraw/zinc/37/16/49/131371649.db2.gz RBWJKIFSSXYAAX-IIBYNOLFSA-N 0 3 317.436 4.270 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc(Cl)c1)c1cccs1 ZINC000289303894 131372707 /nfs/dbraw/zinc/37/27/07/131372707.db2.gz INWDWOLKONHHRR-OAHLLOKOSA-N 0 3 309.862 4.251 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cc1O ZINC000289327614 131373994 /nfs/dbraw/zinc/37/39/94/131373994.db2.gz VGSMVYZZEAVSBZ-KRWDZBQOSA-N 0 3 322.408 4.049 20 0 DIADHN CCN(Cc1ncc(-c2ccccc2)o1)[C@@H]1CCOC(C)(C)C1 ZINC000289363728 131378930 /nfs/dbraw/zinc/37/89/30/131378930.db2.gz AMSLQEJKJANAMF-MRXNPFEDSA-N 0 3 314.429 4.121 20 0 DIADHN C[C@@H](N[C@@H](C)c1nccc2ccccc21)[C@@H](O)c1ccccc1 ZINC000290762013 131515076 /nfs/dbraw/zinc/51/50/76/131515076.db2.gz RNBPTLWTZZNAGM-BXTJHSDWSA-N 0 3 306.409 4.008 20 0 DIADHN Brc1cc(CNC2(c3ccccc3)CCC2)ccn1 ZINC000292859942 131683744 /nfs/dbraw/zinc/68/37/44/131683744.db2.gz RKHULKWQARMMKE-UHFFFAOYSA-N 0 3 317.230 4.013 20 0 DIADHN CC(C)c1ccc([C@H](C)N2CCC3(CC2)OCCCO3)cc1 ZINC000292887972 131685693 /nfs/dbraw/zinc/68/56/93/131685693.db2.gz CRVQUULPGIHYKU-INIZCTEOSA-N 0 3 303.446 4.100 20 0 DIADHN C[C@H]1C[C@@H](NCCCCCc2ccc(F)cc2)c2nccn21 ZINC000296565114 131972214 /nfs/dbraw/zinc/97/22/14/131972214.db2.gz UQNLVXBYFSDIQP-WMLDXEAASA-N 0 3 301.409 4.031 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCCc3nc(Cl)ccc32)c1 ZINC000297115490 132000775 /nfs/dbraw/zinc/00/07/75/132000775.db2.gz YHKNWLAZWDXFOQ-MRXNPFEDSA-N 0 3 316.832 4.219 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CCO)c2ccc(Cl)cc2)cs1 ZINC000297227298 132005168 /nfs/dbraw/zinc/00/51/68/132005168.db2.gz HPKUOWVBBHBFEP-MLGOLLRUSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCCC(C)(F)F ZINC000297386699 132013697 /nfs/dbraw/zinc/01/36/97/132013697.db2.gz RNTKFQMCFQPVML-SNVBAGLBSA-N 0 3 311.401 4.209 20 0 DIADHN CN(Cc1nnc(-c2ccco2)o1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000297467368 132017634 /nfs/dbraw/zinc/01/76/34/132017634.db2.gz IOIXVORJHNFDLI-CVEARBPZSA-N 0 3 323.396 4.098 20 0 DIADHN CC(C)(CN[C@H]1CCn2ccnc21)c1ccc(Cl)c(Cl)c1 ZINC000297648960 132026878 /nfs/dbraw/zinc/02/68/78/132026878.db2.gz KAIFNABWOGURJQ-AWEZNQCLSA-N 0 3 324.255 4.202 20 0 DIADHN Cc1ccc(NC2CCN(c3ccncc3)CC2)c2cccnc12 ZINC000297802013 132034931 /nfs/dbraw/zinc/03/49/31/132034931.db2.gz XNBYYUJKHHNNRR-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000297815184 132035248 /nfs/dbraw/zinc/03/52/48/132035248.db2.gz QZQLSIPMWZUQTR-NHYWBVRUSA-N 0 3 311.351 4.476 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(c2ccncn2)CC1 ZINC000298041857 132044770 /nfs/dbraw/zinc/04/47/70/132044770.db2.gz DILHVHXLOXUTRL-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@@H](C)c1ccccc1 ZINC000298469491 132064152 /nfs/dbraw/zinc/06/41/52/132064152.db2.gz OUEHRPIHXXKAMS-LBPRGKRZSA-N 0 3 311.454 4.432 20 0 DIADHN Nc1cc(CN[C@@H](c2cccc(Cl)c2)C2CCCC2)ccn1 ZINC000299071953 132087941 /nfs/dbraw/zinc/08/79/41/132087941.db2.gz SXSRUCMHKUDHRE-GOSISDBHSA-N 0 3 315.848 4.338 20 0 DIADHN O=c1ccc2c([nH]1)CCC[C@H]2NCc1ccc(-c2ccccc2)o1 ZINC000299335391 132099643 /nfs/dbraw/zinc/09/96/43/132099643.db2.gz MGXJNKINYPGKLC-QGZVFWFLSA-N 0 3 320.392 4.214 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2ccc(N3CCOC3=O)cc2)c1 ZINC000299364573 132101135 /nfs/dbraw/zinc/10/11/35/132101135.db2.gz WKWXTXQGDDCXJK-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN CC[C@H](NCc1cncc(Br)c1)c1ccccc1 ZINC000163893297 132126746 /nfs/dbraw/zinc/12/67/46/132126746.db2.gz XSPGZEHTADLFET-HNNXBMFYSA-N 0 3 305.219 4.085 20 0 DIADHN CC[C@@H](NCc1cncc(Br)c1)c1ccccc1 ZINC000163893414 132126965 /nfs/dbraw/zinc/12/69/65/132126965.db2.gz XSPGZEHTADLFET-OAHLLOKOSA-N 0 3 305.219 4.085 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000119917973 132138359 /nfs/dbraw/zinc/13/83/59/132138359.db2.gz FYPBFAHOXZKJHZ-AWEZNQCLSA-N 0 3 319.836 4.448 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2cc(C)oc2C)o1 ZINC000120861407 132146778 /nfs/dbraw/zinc/14/67/78/132146778.db2.gz LAOFLMVHNPYQGL-ZBFHGGJFSA-N 0 3 317.433 4.347 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](c3ccccc3)C(C)C)c(C)n2n1 ZINC000121632741 132156833 /nfs/dbraw/zinc/15/68/33/132156833.db2.gz LBAHEDAOUKFVNS-YWZLYKJASA-N 0 3 322.456 4.394 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc2c(c1)CCCC2 ZINC000122107911 132162095 /nfs/dbraw/zinc/16/20/95/132162095.db2.gz MOZPJVVJCCGHCW-KUHUBIRLSA-N 0 3 309.457 4.027 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000122213002 132162713 /nfs/dbraw/zinc/16/27/13/132162713.db2.gz MZVGLLRQAWQPOR-VLIAUNLRSA-N 0 3 308.425 4.447 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1cccc(C(F)(F)F)c1 ZINC000122168945 132162833 /nfs/dbraw/zinc/16/28/33/132162833.db2.gz IAVPCQGPDZZWNX-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN CC[C@@H](C)[C@H](C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000391678122 335073042 /nfs/dbraw/zinc/07/30/42/335073042.db2.gz ASOIRTKMQXGKJG-QRWLVFNGSA-N 0 3 322.452 4.101 20 0 DIADHN CC[C@H](C)[C@H](C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000391678123 335073080 /nfs/dbraw/zinc/07/30/80/335073080.db2.gz ASOIRTKMQXGKJG-YWZLYKJASA-N 0 3 322.452 4.101 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1ccccc1C(=O)N(C)C ZINC000417739753 335081453 /nfs/dbraw/zinc/08/14/53/335081453.db2.gz XKBZQSHKKZMNLC-UHFFFAOYSA-N 0 3 324.468 4.047 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC[C@@H]1O ZINC000525871956 335082540 /nfs/dbraw/zinc/08/25/40/335082540.db2.gz VWIGAEREZXKRLD-KRWDZBQOSA-N 0 3 319.832 4.193 20 0 DIADHN Cc1ccc(C)c([C@@H](NC[C@](C)(O)C2CC2)c2ccccc2)c1 ZINC000391731393 335085350 /nfs/dbraw/zinc/08/53/50/335085350.db2.gz DUYPGSHHMOHHON-SFTDATJTSA-N 0 3 309.453 4.143 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1cnoc1C ZINC000425553085 335086512 /nfs/dbraw/zinc/08/65/12/335086512.db2.gz RYCUCESPFAGQCV-UHFFFAOYSA-N 0 3 312.335 4.066 20 0 DIADHN Fc1ccc(CN(CCCn2ccnc2)Cc2ccccc2)cc1 ZINC000343324793 335087165 /nfs/dbraw/zinc/08/71/65/335087165.db2.gz XSWUDZPHCWJYIK-UHFFFAOYSA-N 0 3 323.415 4.115 20 0 DIADHN CCCCC1CCC(C(=O)N(C)[C@H](C)C2CCN(C)CC2)CC1 ZINC000498078570 335090148 /nfs/dbraw/zinc/09/01/48/335090148.db2.gz WUEOYAIEQZBRPZ-LRYGQEGESA-N 0 3 322.537 4.172 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1Br ZINC000160326111 332784121 /nfs/dbraw/zinc/78/41/21/332784121.db2.gz BDNBOVOSSOSENH-VXGBXAGGSA-N 0 3 312.251 4.057 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccccc3s2)cc1O ZINC000570702496 332803659 /nfs/dbraw/zinc/80/36/59/332803659.db2.gz ONTAESCVVLGTHX-LBPRGKRZSA-N 0 3 313.422 4.466 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc(C)cc(C)c2)c(C)c1OC ZINC000570718585 332806639 /nfs/dbraw/zinc/80/66/39/332806639.db2.gz GXNRHKBJXVDZFM-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1cccc2ncc(CNC3(c4ccc(F)cc4)CCCC3)n21 ZINC000531142691 332807389 /nfs/dbraw/zinc/80/73/89/332807389.db2.gz VYXPIQZWNLJGLL-UHFFFAOYSA-N 0 3 323.415 4.341 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)cc1)c1ccc2c(c1)CCC(=O)N2 ZINC000570858037 332830825 /nfs/dbraw/zinc/83/08/25/332830825.db2.gz VFEXAFJIWFQXSH-SFAKSCPVSA-N 0 3 324.399 4.074 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H]2CCC3(CCCCC3)O2)cc1 ZINC000318451588 332868772 /nfs/dbraw/zinc/86/87/72/332868772.db2.gz HHNUIIDAPWHRKT-CRAIPNDOSA-N 0 3 303.446 4.228 20 0 DIADHN COCCCCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000318457387 332872131 /nfs/dbraw/zinc/87/21/31/332872131.db2.gz RSQSLEYNQNDKJC-IBGZPJMESA-N 0 3 301.405 4.321 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1OC ZINC000062257539 331462178 /nfs/dbraw/zinc/46/21/78/331462178.db2.gz AWCQWTUIVUCBFW-MRXNPFEDSA-N 0 3 317.816 4.134 20 0 DIADHN CC(C)(C)C[C@@H](CO)N[C@H]1CC2(CCCC2)Oc2ccccc21 ZINC000537947089 331521312 /nfs/dbraw/zinc/52/13/12/331521312.db2.gz HQQLQZINROIBSG-RDJZCZTQSA-N 0 3 317.473 4.210 20 0 DIADHN Cc1ccc(CN2CCC(OCc3ccccc3)CC2)s1 ZINC000179800083 331542909 /nfs/dbraw/zinc/54/29/09/331542909.db2.gz SDRJJJNIUIYBCT-UHFFFAOYSA-N 0 3 301.455 4.238 20 0 DIADHN C[C@@H](Cn1ccnc1)N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000037320308 331555078 /nfs/dbraw/zinc/55/50/78/331555078.db2.gz LNJUVBUALIYZMF-UWVGGRQHSA-N 0 3 316.207 4.068 20 0 DIADHN CC[C@@H](NC1CC(F)(F)C1)c1ccc(OC(F)(F)F)cc1 ZINC000584009770 331559180 /nfs/dbraw/zinc/55/91/80/331559180.db2.gz BFYFWNICOWPQAS-GFCCVEGCSA-N 0 3 309.278 4.424 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cncc(F)c1)Oc1cccc(Cl)c1 ZINC000538019168 331563496 /nfs/dbraw/zinc/56/34/96/331563496.db2.gz ANZAAHHRSINGPB-LRDDRELGSA-N 0 3 322.811 4.382 20 0 DIADHN CC1(CNCc2c(Cl)cccc2Oc2ccccc2)COC1 ZINC000180205451 331563787 /nfs/dbraw/zinc/56/37/87/331563787.db2.gz ZKWMXCVHKXMDAE-UHFFFAOYSA-N 0 3 317.816 4.258 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCC[C@H]2CCCCO2)c1 ZINC000180266124 331565364 /nfs/dbraw/zinc/56/53/64/331565364.db2.gz WOJUIRYCXWZWII-ZIAGYGMSSA-N 0 3 313.388 4.206 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccc2ncccc2c1 ZINC000530529294 331571990 /nfs/dbraw/zinc/57/19/90/331571990.db2.gz ROGRKQOFLXLJQW-ZUOKHONESA-N 0 3 306.384 4.397 20 0 DIADHN CC(C)(CCO)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000180684755 331575786 /nfs/dbraw/zinc/57/57/86/331575786.db2.gz CBEVWNHNTZBJBY-GOSISDBHSA-N 0 3 317.860 4.428 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1ccc2c(c1)OCCO2 ZINC000102196388 332917876 /nfs/dbraw/zinc/91/78/76/332917876.db2.gz CXFMVKNDCMCXMB-IUODEOHRSA-N 0 3 315.438 4.248 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Br)ccc21)c1cccnc1 ZINC000040226493 331618540 /nfs/dbraw/zinc/61/85/40/331618540.db2.gz LKXIUGILAVHZGR-BDJLRTHQSA-N 0 3 317.230 4.182 20 0 DIADHN Cc1cccc([C@H](C)CN[C@@H](C)c2nc3ccccc3n2C)c1 ZINC000538115279 331643237 /nfs/dbraw/zinc/64/32/37/331643237.db2.gz PIIKMQCFKJHGOB-CVEARBPZSA-N 0 3 307.441 4.336 20 0 DIADHN F[C@@H]1CC[C@H](NCc2cc(Br)ccc2Cl)C1 ZINC000309509415 331678919 /nfs/dbraw/zinc/67/89/19/331678919.db2.gz OPEPAMQBNAVBKO-MNOVXSKESA-N 0 3 306.606 4.083 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)c(F)c1 ZINC000537955664 331688356 /nfs/dbraw/zinc/68/83/56/331688356.db2.gz GGWSPVBEKDBJEW-BJVKYNJZSA-N 0 3 311.400 4.071 20 0 DIADHN C[C@H](NCC1CCC(C)CC1)c1ncc(Br)s1 ZINC000533783313 331689005 /nfs/dbraw/zinc/68/90/05/331689005.db2.gz KWMKLJARCGIKEE-YVNMAJEFSA-N 0 3 317.296 4.383 20 0 DIADHN CC[C@H](N[C@H](CO)c1sccc1C)c1ccc(Cl)cc1 ZINC000539119268 331692457 /nfs/dbraw/zinc/69/24/57/331692457.db2.gz AAZRBVXNERZTAP-LSDHHAIUSA-N 0 3 309.862 4.484 20 0 DIADHN CC[C@H](N[C@@H](CO)c1sccc1C)c1ccc(Cl)cc1 ZINC000539119259 331692742 /nfs/dbraw/zinc/69/27/42/331692742.db2.gz AAZRBVXNERZTAP-GJZGRUSLSA-N 0 3 309.862 4.484 20 0 DIADHN CC(C)[C@@H](NCCOc1cccc(Cl)c1)c1cccnc1 ZINC000539214276 331693229 /nfs/dbraw/zinc/69/32/29/331693229.db2.gz GDIYVVPZPIXNOQ-QGZVFWFLSA-N 0 3 304.821 4.101 20 0 DIADHN CC(C)OC[C@H](C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556406788 331700780 /nfs/dbraw/zinc/70/07/80/331700780.db2.gz FMISFKNNKIJOKK-LBPRGKRZSA-N 0 3 324.877 4.367 20 0 DIADHN CC1(C)SC[C@@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556410088 331701239 /nfs/dbraw/zinc/70/12/39/331701239.db2.gz PHJGDRUGZHABHB-ZDUSSCGKSA-N 0 3 324.902 4.447 20 0 DIADHN CN(C)[C@H](CNCc1c(Cl)oc2ccccc21)c1ccco1 ZINC000317348711 331706442 /nfs/dbraw/zinc/70/64/42/331706442.db2.gz HFBBATBSGSOFPO-CQSZACIVSA-N 0 3 318.804 4.072 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cnccc2C)cc1Cl ZINC000556611734 331720282 /nfs/dbraw/zinc/72/02/82/331720282.db2.gz VIDIWRTVLCXKTH-ZDUSSCGKSA-N 0 3 304.821 4.293 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1cc(C)ccn1 ZINC000556890550 331749370 /nfs/dbraw/zinc/74/93/70/331749370.db2.gz RNEMGKAOCYJLQC-DEYYWGMASA-N 0 3 318.848 4.470 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](N[C@H](C)c1ncc[nH]1)C(C)(C)C ZINC000556893536 331749648 /nfs/dbraw/zinc/74/96/48/331749648.db2.gz VVLWOPXYMRBFTP-HZPDHXFCSA-N 0 3 315.461 4.171 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cnn3ccccc13)CCC2 ZINC000556911956 331751866 /nfs/dbraw/zinc/75/18/66/331751866.db2.gz NLUSRQKZJKTTGJ-KRWDZBQOSA-N 0 3 311.816 4.155 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]1C)c1ccc(OC(F)F)cc1OC(F)F ZINC000556914839 331753274 /nfs/dbraw/zinc/75/32/74/331753274.db2.gz DELDTORKKAJMAP-HOTUBEGUSA-N 0 3 321.314 4.339 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnn(C2CCCC2)c1)c1ccc2n[nH]cc2c1 ZINC000556922527 331754059 /nfs/dbraw/zinc/75/40/59/331754059.db2.gz VELDCGCRNURINN-KGLIPLIRSA-N 0 3 323.444 4.286 20 0 DIADHN CCC[C@@H](NCC1(C(F)(F)F)CC1)c1ccc(OC)cc1 ZINC000556925456 331754962 /nfs/dbraw/zinc/75/49/62/331754962.db2.gz SAQOWOXHPGYCCT-CQSZACIVSA-N 0 3 301.352 4.469 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)NCc1cc(Cl)sc1Cl ZINC000312876403 331770585 /nfs/dbraw/zinc/77/05/85/331770585.db2.gz LURGHMVMJSJROX-SECBINFHSA-N 0 3 316.253 4.481 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCc1nc(C(C)(C)C)cs1 ZINC000557237886 331778148 /nfs/dbraw/zinc/77/81/48/331778148.db2.gz DIYJBUYUNKKPPQ-CYBMUJFWSA-N 0 3 303.475 4.037 20 0 DIADHN COc1cc(CN[C@H]2CCc3sc(Cl)cc32)ccc1C ZINC000557273586 331779995 /nfs/dbraw/zinc/77/99/95/331779995.db2.gz QPIBRYNEMJITJT-ZDUSSCGKSA-N 0 3 307.846 4.496 20 0 DIADHN Fc1ccccc1CN[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000557464491 331792139 /nfs/dbraw/zinc/79/21/39/331792139.db2.gz VCXJDVRGPMGBFY-SJORKVTESA-N 0 3 305.780 4.099 20 0 DIADHN FC(F)(F)c1cc(CN[C@H]2CCCSC2)ccc1Cl ZINC000557831759 331819933 /nfs/dbraw/zinc/81/99/33/331819933.db2.gz GTZUPGCVZCNHKA-JTQLQIEISA-N 0 3 309.784 4.344 20 0 DIADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1cccc(Cl)c1Cl ZINC000090086895 331821834 /nfs/dbraw/zinc/82/18/34/331821834.db2.gz ZVQVZAIWRJJWTP-WDEREUQCSA-N 0 3 304.261 4.441 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](NCc2noc3ccccc23)C1 ZINC000584182641 331858841 /nfs/dbraw/zinc/85/88/41/331858841.db2.gz HILRBGJPZNBDKU-CVEARBPZSA-N 0 3 321.424 4.347 20 0 DIADHN COc1ccc([C@@H](C)NC2(C3CC3)CC2)c(Br)c1 ZINC000558088984 331868239 /nfs/dbraw/zinc/86/82/39/331868239.db2.gz ZGSDRSAGHSNDAY-SNVBAGLBSA-N 0 3 310.235 4.051 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H](c1ccccc1)C1CCC1 ZINC000558103379 331876256 /nfs/dbraw/zinc/87/62/56/331876256.db2.gz MCYFRGUTNSJKGZ-LJQANCHMSA-N 0 3 310.441 4.338 20 0 DIADHN Fc1cc(C(F)(F)F)c(F)cc1CN[C@H]1CCC12CCC2 ZINC000558255433 331888236 /nfs/dbraw/zinc/88/82/36/331888236.db2.gz FCYXTMMJMHZXOK-ZDUSSCGKSA-N 0 3 305.290 4.406 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2N[C@H]1C[C@@H]1C(F)F ZINC000558256472 331889588 /nfs/dbraw/zinc/88/95/88/331889588.db2.gz IYJBFXSKHJTOSY-XQQFMLRXSA-N 0 3 301.764 4.105 20 0 DIADHN C[C@@H](NC1CC(C(F)(F)F)C1)c1ccccc1Br ZINC000558294735 331894111 /nfs/dbraw/zinc/89/41/11/331894111.db2.gz PZXKYZLHBOEUEA-XNWIYYODSA-N 0 3 322.168 4.441 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1ccccc1C(F)(F)F ZINC000558406948 331902811 /nfs/dbraw/zinc/90/28/11/331902811.db2.gz WLFROSPMNBIXCY-LBPRGKRZSA-N 0 3 301.352 4.173 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@@H](C)c1nccc2ccccc21 ZINC000558493282 331912870 /nfs/dbraw/zinc/91/28/70/331912870.db2.gz NCOSIGHFCBJYAM-KXBFYZLASA-N 0 3 300.446 4.073 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1CN(C)C)C1CCCCC1 ZINC000558700124 331936945 /nfs/dbraw/zinc/93/69/45/331936945.db2.gz PQXPFWIQNCUXNW-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1ccc(C2CCN(C[C@H]3CCC4(CCCC4)O3)CC2)cn1 ZINC000558723323 331938646 /nfs/dbraw/zinc/93/86/46/331938646.db2.gz VZORWCIXRAHSHB-LJQANCHMSA-N 0 3 314.473 4.061 20 0 DIADHN Fc1c(Br)cccc1CN[C@H]1CCCC(F)(F)C1 ZINC000449186220 331940360 /nfs/dbraw/zinc/94/03/60/331940360.db2.gz JZOQNWYBTDPRSO-JTQLQIEISA-N 0 3 322.168 4.256 20 0 DIADHN COC[C@H](NC[C@@H]1CCC2(CCCC2)O1)c1ccc(Cl)cc1 ZINC000558743267 331940882 /nfs/dbraw/zinc/94/08/82/331940882.db2.gz SUZCZVBVGMUDGZ-IRXDYDNUSA-N 0 3 323.864 4.109 20 0 DIADHN c1ccc(-c2cnc(CNC(C3CCC3)C3CCC3)nc2)cc1 ZINC000558976065 331963326 /nfs/dbraw/zinc/96/33/26/331963326.db2.gz JVEHVNGJUWNBQV-UHFFFAOYSA-N 0 3 307.441 4.202 20 0 DIADHN CCCC(=O)Nc1cccc(CN[C@@H]2CS[C@H](C(C)C)C2)c1 ZINC000558989790 331964743 /nfs/dbraw/zinc/96/47/43/331964743.db2.gz UIDVITTZCBUBND-IRXDYDNUSA-N 0 3 320.502 4.045 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(CSC)cc2)cc1 ZINC000517766750 331968333 /nfs/dbraw/zinc/96/83/33/331968333.db2.gz YSWBHBDFQAHDQO-CQSZACIVSA-N 0 3 301.455 4.409 20 0 DIADHN CC(C)(NCc1cnc(-c2ccccn2)s1)c1ccccc1 ZINC000531001618 331990566 /nfs/dbraw/zinc/99/05/66/331990566.db2.gz ROBQSAFTASRFPV-UHFFFAOYSA-N 0 3 309.438 4.230 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1CSC[C@H]1C ZINC000449285377 331999336 /nfs/dbraw/zinc/99/93/36/331999336.db2.gz FAKHXKXSEQTTBT-KWCYVHTRSA-N 0 3 303.393 4.330 20 0 DIADHN Cc1ccc(CNCc2cc(F)cc(Br)c2)cc1C ZINC000518267624 332003300 /nfs/dbraw/zinc/00/33/00/332003300.db2.gz PKLWKIJLHVNATH-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cn1c(CNCCc2ccc(C(C)(C)C)cc2)nc2ccccc21 ZINC000518269479 332003559 /nfs/dbraw/zinc/00/35/59/332003559.db2.gz CIFWANSBBRYEEB-UHFFFAOYSA-N 0 3 321.468 4.203 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC000518274466 332004224 /nfs/dbraw/zinc/00/42/24/332004224.db2.gz JEFADODKLINGAW-CRAIPNDOSA-N 0 3 314.860 4.265 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NC/C=C/c1ccccc1OC ZINC000518275717 332005032 /nfs/dbraw/zinc/00/50/32/332005032.db2.gz NCASFJRAJFNLHK-VQFGERMISA-N 0 3 323.436 4.384 20 0 DIADHN Fc1ccccc1CNCCCCOc1ccc(Cl)cc1 ZINC000518274689 332005076 /nfs/dbraw/zinc/00/50/76/332005076.db2.gz VHDSJAMQLQFYGQ-UHFFFAOYSA-N 0 3 307.796 4.428 20 0 DIADHN COCCC1(CN[C@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC000090536181 332005378 /nfs/dbraw/zinc/00/53/78/332005378.db2.gz DOGFVQZDSKOGRK-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN CCOc1cccc([C@H](C)NC/C=C/c2ccccc2OC)c1 ZINC000518280376 332006067 /nfs/dbraw/zinc/00/60/67/332006067.db2.gz IPXUVHCKMZTHIM-KXKDPZRNSA-N 0 3 311.425 4.458 20 0 DIADHN CC(C)c1ccc(C2(CNCc3ccn(C)n3)CCCC2)cc1 ZINC000518284906 332006549 /nfs/dbraw/zinc/00/65/49/332006549.db2.gz ASKLIMMYDLPQCO-UHFFFAOYSA-N 0 3 311.473 4.145 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H]2CCO[C@H]2c2ccccc2)c1 ZINC000559273393 332011973 /nfs/dbraw/zinc/01/19/73/332011973.db2.gz MOVVVINLLCVCSB-ROUUACIJSA-N 0 3 301.817 4.268 20 0 DIADHN CCc1nocc1CNCC(C)(C)c1c(F)cccc1Cl ZINC000559273286 332012005 /nfs/dbraw/zinc/01/20/05/332012005.db2.gz MTOJZPCQVFLXGM-UHFFFAOYSA-N 0 3 310.800 4.097 20 0 DIADHN COc1cccc([C@@H](C)N(C)C[C@H]2OCCc3ccccc32)c1 ZINC000584252435 332013532 /nfs/dbraw/zinc/01/35/32/332013532.db2.gz HNCJTFQDNOZGES-FOIQADDNSA-N 0 3 311.425 4.002 20 0 DIADHN OCC[C@@H](NC/C=C\c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000584254905 332014422 /nfs/dbraw/zinc/01/44/22/332014422.db2.gz KSOQCGINVKUMQY-QFRLHKFZSA-N 0 3 319.807 4.206 20 0 DIADHN CCc1nocc1CNC[C@@H](Cc1ccccc1)c1ccccc1 ZINC000559281921 332014482 /nfs/dbraw/zinc/01/44/82/332014482.db2.gz XVZVQTSFAUKTBF-LJQANCHMSA-N 0 3 320.436 4.353 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1cccn1)c1ccc(F)cc1 ZINC000128911513 332015830 /nfs/dbraw/zinc/01/58/30/332015830.db2.gz RLRSJZKALPOAEG-GOSISDBHSA-N 0 3 309.388 4.252 20 0 DIADHN COC1(CCNCc2cnc(C3CCCCC3)s2)CCC1 ZINC000559312691 332023599 /nfs/dbraw/zinc/02/35/99/332023599.db2.gz JNYLLICAXVZTDZ-UHFFFAOYSA-N 0 3 308.491 4.240 20 0 DIADHN C[C@H]1C[C@@H](NCC2(O)CCCCCC2)c2ccsc2S1 ZINC000130589979 332024737 /nfs/dbraw/zinc/02/47/37/332024737.db2.gz SZMGRNMJHURLCN-GXTWGEPZSA-N 0 3 311.516 4.348 20 0 DIADHN Cn1c(CNC2CC(C(C)(C)C)C2)nc2cc(Cl)ccc21 ZINC000559323019 332025706 /nfs/dbraw/zinc/02/57/06/332025706.db2.gz FBLRUUACIKDMRV-UHFFFAOYSA-N 0 3 305.853 4.141 20 0 DIADHN Cc1ccc2nc(CNCCc3cc4ccccc4s3)cn2c1 ZINC000559332192 332027682 /nfs/dbraw/zinc/02/76/82/332027682.db2.gz FVKVUABBURXJQN-UHFFFAOYSA-N 0 3 321.449 4.190 20 0 DIADHN COc1ccc2nc(CNC[C@@H]3C[C@H]3c3ccccc3)sc2c1 ZINC000559334650 332028586 /nfs/dbraw/zinc/02/85/86/332028586.db2.gz BDXPWDWKJSBACZ-HOCLYGCPSA-N 0 3 324.449 4.198 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccc(F)cc2C)o1)C(C)(C)O ZINC000559342485 332030294 /nfs/dbraw/zinc/03/02/94/332030294.db2.gz ACDFALCRSZRJAA-KRWDZBQOSA-N 0 3 305.393 4.033 20 0 DIADHN CC(C)CCOCCN(C)Cc1cccc(C(F)(F)F)c1 ZINC000520042267 332030960 /nfs/dbraw/zinc/03/09/60/332030960.db2.gz UWHRNNPSHPDCMB-UHFFFAOYSA-N 0 3 303.368 4.200 20 0 DIADHN Clc1ccc(Br)c(CNC[C@H]2CCCS2)c1 ZINC000087473169 332032926 /nfs/dbraw/zinc/03/29/26/332032926.db2.gz SZCNNTGRHXFXAT-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CCC3CCOCC3)nc2c1 ZINC000409448036 332033560 /nfs/dbraw/zinc/03/35/60/332033560.db2.gz XQYAGHCOGBWESO-KRWDZBQOSA-N 0 3 314.429 4.172 20 0 DIADHN COc1ccc(Br)c(CN[C@H]2CCC[C@H](C)C2)c1 ZINC000087787445 332037755 /nfs/dbraw/zinc/03/77/55/332037755.db2.gz LSOSLCJJDYLPAI-AAEUAGOBSA-N 0 3 312.251 4.126 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccc2ccccc2c1 ZINC000409602658 332039977 /nfs/dbraw/zinc/03/99/77/332039977.db2.gz GUSZEXVUKQZVOF-HNNXBMFYSA-N 0 3 301.393 4.338 20 0 DIADHN CCN(CC(=O)N[C@@H](c1ccc(F)cc1)C(C)C)[C@@H](C)C(C)C ZINC000520059786 332053101 /nfs/dbraw/zinc/05/31/01/332053101.db2.gz SKQAEFHFPOXATK-HNAYVOBHSA-N 0 3 322.468 4.005 20 0 DIADHN CCN(CC(=O)N[C@H](c1ccc(F)cc1)C(C)C)[C@H](C)C(C)C ZINC000520059784 332053207 /nfs/dbraw/zinc/05/32/07/332053207.db2.gz SKQAEFHFPOXATK-BEFAXECRSA-N 0 3 322.468 4.005 20 0 DIADHN C[C@@H]1CCC[C@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000409848870 332058682 /nfs/dbraw/zinc/05/86/82/332058682.db2.gz FPZZEBJNGHAUGE-LZQZEXGQSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@@H]1CCC[C@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000409848867 332058755 /nfs/dbraw/zinc/05/87/55/332058755.db2.gz FPZZEBJNGHAUGE-ATZDWAIDSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCC[C@H]3C)n2)ccc1F ZINC000409872747 332062276 /nfs/dbraw/zinc/06/22/76/332062276.db2.gz CJLWDLUBAPPJTG-IFUGULHKSA-N 0 3 303.381 4.023 20 0 DIADHN Cc1ccc([C@H](CNc2ncccc2C(F)(F)F)N(C)C)cc1 ZINC000520064767 332063158 /nfs/dbraw/zinc/06/31/58/332063158.db2.gz DBXQGGNVJXRXQG-HNNXBMFYSA-N 0 3 323.362 4.124 20 0 DIADHN c1cc(-c2noc(CN3CCC[C@@H]3CC3CCCCC3)n2)co1 ZINC000518482075 332063384 /nfs/dbraw/zinc/06/33/84/332063384.db2.gz WQCJKJLXNXMOLC-MRXNPFEDSA-N 0 3 315.417 4.264 20 0 DIADHN CC[C@H](N[C@@H](C(=O)N1CCCCC1)c1ccccc1)C(C)(C)C ZINC000518484263 332064154 /nfs/dbraw/zinc/06/41/54/332064154.db2.gz PAZNUGPDYLDZDH-ZWKOTPCHSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)Nc1ccccc1CN(C)C ZINC000569366346 332064767 /nfs/dbraw/zinc/06/47/67/332064767.db2.gz WORISYWUULWKHJ-INIZCTEOSA-N 0 3 302.462 4.459 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2ccccc2F)o1 ZINC000426346947 332066429 /nfs/dbraw/zinc/06/64/29/332066429.db2.gz JHPHSJDKDNITFY-ZDUSSCGKSA-N 0 3 304.409 4.186 20 0 DIADHN c1cc(-c2nc(CNCCCC3CCOCC3)cs2)cs1 ZINC000449354953 332066434 /nfs/dbraw/zinc/06/64/34/332066434.db2.gz TWGYHXRQSFUYPU-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN CCCC[C@H](C)C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000409963723 332068834 /nfs/dbraw/zinc/06/88/34/332068834.db2.gz CDJNPBIMBPSYKN-INIZCTEOSA-N 0 3 302.462 4.356 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H]1CCc2c1cccc2Br ZINC000089551401 332072410 /nfs/dbraw/zinc/07/24/10/332072410.db2.gz LPBHNUJVWGSULZ-PELKAZGASA-N 0 3 322.168 4.367 20 0 DIADHN C[C@@H](O)[C@H]1CCCCN1Cc1sc2ccccc2c1Cl ZINC000518582227 332085013 /nfs/dbraw/zinc/08/50/13/332085013.db2.gz JWZDUGZXWBWZBQ-DGCLKSJQSA-N 0 3 309.862 4.290 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3cnc(-c4ccco4)s3)C2)C1 ZINC000426155353 332087162 /nfs/dbraw/zinc/08/71/62/332087162.db2.gz WTVVGXVDADTEHC-SUMWQHHRSA-N 0 3 302.443 4.415 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3cnc(-c4ccco4)s3)C2)C1 ZINC000426155352 332087167 /nfs/dbraw/zinc/08/71/67/332087167.db2.gz WTVVGXVDADTEHC-GUYCJALGSA-N 0 3 302.443 4.415 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)NCc2nc(C3CC3)cs2)cc1 ZINC000090458732 332088821 /nfs/dbraw/zinc/08/88/21/332088821.db2.gz QFGXENYRNURXBN-CHWSQXEVSA-N 0 3 316.470 4.311 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1ncc(C(C)C)s1 ZINC000518623505 332099666 /nfs/dbraw/zinc/09/96/66/332099666.db2.gz MENMAQOOISSUIN-UHFFFAOYSA-N 0 3 303.475 4.037 20 0 DIADHN Brc1ccc([C@H](NCc2cccnc2)C2CC2)cc1 ZINC000091261876 332102239 /nfs/dbraw/zinc/10/22/39/332102239.db2.gz NLONMNLMDTUJBX-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000410230253 332103264 /nfs/dbraw/zinc/10/32/64/332103264.db2.gz NCDSRSIXCZIHMF-ULQDDVLXSA-N 0 3 322.452 4.094 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cnc3ccccc3c2)cc1 ZINC000410299438 332109640 /nfs/dbraw/zinc/10/96/40/332109640.db2.gz URBKEEZCBQAOEO-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cccc2oc(CCNCc3cccc(Cl)c3F)nc21 ZINC000410318878 332111533 /nfs/dbraw/zinc/11/15/33/332111533.db2.gz RLPOMTNKGNKRAP-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN Cc1cccc2oc(CCNCc3cc(F)cc(Cl)c3)nc21 ZINC000410317942 332111546 /nfs/dbraw/zinc/11/15/46/332111546.db2.gz MLQOFFYKRYYTGU-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN COCCN(Cc1cnc2ccccc2c1)[C@@H](C)c1ccccc1 ZINC000410338976 332112759 /nfs/dbraw/zinc/11/27/59/332112759.db2.gz QHJPPOWOCHWTSO-KRWDZBQOSA-N 0 3 320.436 4.444 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H](C)CC(C)C)c(C)c1 ZINC000410342385 332113137 /nfs/dbraw/zinc/11/31/37/332113137.db2.gz IILOYCNTTMDKAN-HNNXBMFYSA-N 0 3 304.478 4.164 20 0 DIADHN CN(Cc1ccccc1-c1ccccc1)C[C@](C)(O)C(F)(F)F ZINC000410343751 332113480 /nfs/dbraw/zinc/11/34/80/332113480.db2.gz IBQWYWYBUHHOAV-KRWDZBQOSA-N 0 3 323.358 4.099 20 0 DIADHN CN(Cc1ccccc1-c1ccccc1)C[C@@](C)(O)C(F)(F)F ZINC000410343752 332113485 /nfs/dbraw/zinc/11/34/85/332113485.db2.gz IBQWYWYBUHHOAV-QGZVFWFLSA-N 0 3 323.358 4.099 20 0 DIADHN CC(C)n1nccc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC000410345696 332114525 /nfs/dbraw/zinc/11/45/25/332114525.db2.gz SBQILUYRMHONKH-UHFFFAOYSA-N 0 3 315.436 4.058 20 0 DIADHN Cc1cccc2oc(CCNC/C=C/c3ccc(F)cc3)nc21 ZINC000410357033 332116933 /nfs/dbraw/zinc/11/69/33/332116933.db2.gz YXXAFAWQWJRHDS-HWKANZROSA-N 0 3 310.372 4.121 20 0 DIADHN CCC[C@@H](NCCc1nc2c(cccc2C)o1)c1ccccn1 ZINC000410360533 332117372 /nfs/dbraw/zinc/11/73/72/332117372.db2.gz RJVSXVCBOWHYTH-OAHLLOKOSA-N 0 3 309.413 4.205 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000102888220 332944446 /nfs/dbraw/zinc/94/44/46/332944446.db2.gz TVIZYNVIVHMOLM-WBMJQRKESA-N 0 3 309.331 4.090 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2cnc3ccccc3c2)C1 ZINC000410372806 332118933 /nfs/dbraw/zinc/11/89/33/332118933.db2.gz VZFWSLLTGVSCHR-QGZVFWFLSA-N 0 3 306.384 4.363 20 0 DIADHN c1ccc(O[C@H]2CCCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000410375255 332119481 /nfs/dbraw/zinc/11/94/81/332119481.db2.gz WVRPQEUSHSWLMQ-FQEVSTJZSA-N 0 3 318.420 4.278 20 0 DIADHN c1ccc2ncc(CN(C[C@@H]3CCCO3)C3CCCC3)cc2c1 ZINC000410386036 332120018 /nfs/dbraw/zinc/12/00/18/332120018.db2.gz YIMBZBMLAZPEBU-IBGZPJMESA-N 0 3 310.441 4.158 20 0 DIADHN CCSCC[C@@H](C)N[C@@H](C)c1ccccc1OC(F)F ZINC000132252086 332123877 /nfs/dbraw/zinc/12/38/77/332123877.db2.gz JJFRHRSGSIYHKB-NEPJUHHUSA-N 0 3 303.418 4.470 20 0 DIADHN CC(C(=O)Nc1ccc2ccc(Cl)cc2n1)C(F)(F)F ZINC000410424382 332125226 /nfs/dbraw/zinc/12/52/26/332125226.db2.gz RVSVNVLKSPTAHJ-ZETCQYMHSA-N 0 3 302.683 4.025 20 0 DIADHN C[C@@H](CCc1ccccc1)NCc1cccc(C(F)(F)F)n1 ZINC000449399982 332129404 /nfs/dbraw/zinc/12/94/04/332129404.db2.gz GIABSFGCTIXOPG-ZDUSSCGKSA-N 0 3 308.347 4.211 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1cc(Br)ccc1F ZINC000132461951 332130188 /nfs/dbraw/zinc/13/01/88/332130188.db2.gz NMZVASTUCDXCFC-ONGXEEELSA-N 0 3 318.255 4.134 20 0 DIADHN Cc1n[nH]cc1CCCN[C@@H](C)c1cc(Cl)sc1Cl ZINC000133055334 332136345 /nfs/dbraw/zinc/13/63/45/332136345.db2.gz JXHSBHBCPPREAQ-VIFPVBQESA-N 0 3 318.273 4.370 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000133091049 332136607 /nfs/dbraw/zinc/13/66/07/332136607.db2.gz OSQGVMYZAVIULW-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN Cc1ccc2c(c1)nc(CNCC[C@@H](C)c1ccccc1)n2C ZINC000569553050 332136730 /nfs/dbraw/zinc/13/67/30/332136730.db2.gz TWGKFUBWFVGDOK-MRXNPFEDSA-N 0 3 307.441 4.165 20 0 DIADHN COCCOCCCCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000133139398 332137126 /nfs/dbraw/zinc/13/71/26/332137126.db2.gz QKFUFQHOWQWKLW-LBPRGKRZSA-N 0 3 320.260 4.087 20 0 DIADHN CC(C)Cn1cc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)cn1 ZINC000518800300 332141180 /nfs/dbraw/zinc/14/11/80/332141180.db2.gz PPRRVZHITVJSKM-WKILWMFISA-N 0 3 317.864 4.228 20 0 DIADHN C[C@@]12CCN(Cc3ccc(C(F)(F)F)cc3)C[C@@H]1C2(F)F ZINC000428706195 332155414 /nfs/dbraw/zinc/15/54/14/332155414.db2.gz DZEWMZBVMFSUPV-QWHCGFSZSA-N 0 3 305.290 4.183 20 0 DIADHN C[C@H]1C[C@H](N[C@H]2C[C@@H]2C(F)F)c2cccc(Br)c21 ZINC000554094986 332163733 /nfs/dbraw/zinc/16/37/33/332163733.db2.gz AFVWJLMHUNQLTA-QZDOIJKTSA-N 0 3 316.189 4.241 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1cccnc1C ZINC000584259626 332171870 /nfs/dbraw/zinc/17/18/70/332171870.db2.gz VYBYWJDJHKTRIV-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccc([C@H]2CCN([C@H](C)c3nc4ccccc4o3)C2)cc1 ZINC000181042952 332173313 /nfs/dbraw/zinc/17/33/13/332173313.db2.gz RXDVZXXXZXDNOR-ZBFHGGJFSA-N 0 3 322.408 4.387 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000449436336 332189176 /nfs/dbraw/zinc/18/91/76/332189176.db2.gz XJAHPCDQXVYVKI-NVXWUHKLSA-N 0 3 308.491 4.395 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCc2c(C)cc(C)cc2C)c1 ZINC000215644251 332193083 /nfs/dbraw/zinc/19/30/83/332193083.db2.gz SMAVFJCAMNSIKA-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)[C@@H](C)c2ccccc2)c(C)c1 ZINC000181524845 332197094 /nfs/dbraw/zinc/19/70/94/332197094.db2.gz PAPYWQQEILKNKK-IAGOWNOFSA-N 0 3 310.441 4.024 20 0 DIADHN CS[C@@H]1CCN(Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000449445417 332200484 /nfs/dbraw/zinc/20/04/84/332200484.db2.gz JHCTUNNSKSWAEW-CQSZACIVSA-N 0 3 304.484 4.056 20 0 DIADHN COc1cccc(CCCN[C@H](c2nc(C)cs2)C2CC2)c1 ZINC000181609635 332205165 /nfs/dbraw/zinc/20/51/65/332205165.db2.gz DYIURXSOJSUJPS-KRWDZBQOSA-N 0 3 316.470 4.134 20 0 DIADHN C[C@@H]1C[C@@H](CCN[C@@H](c2ccccc2)c2ccccn2)C[C@H](C)O1 ZINC000569612367 332205441 /nfs/dbraw/zinc/20/54/41/332205441.db2.gz YOZCASVERSMAIK-NYUBLWNDSA-N 0 3 324.468 4.354 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](C)CC(C)(C)O)o1 ZINC000449453599 332206750 /nfs/dbraw/zinc/20/67/50/332206750.db2.gz XFOPWNVHFSMUPP-CYBMUJFWSA-N 0 3 305.393 4.033 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CCCc3cc(F)ccc32)cc1 ZINC000538196234 332209796 /nfs/dbraw/zinc/20/97/96/332209796.db2.gz YIFBQXIUIDHWDD-PMACEKPBSA-N 0 3 313.416 4.089 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CCCc3cc(F)ccc32)cc1 ZINC000538196245 332209860 /nfs/dbraw/zinc/20/98/60/332209860.db2.gz YIFBQXIUIDHWDD-WOJBJXKFSA-N 0 3 313.416 4.089 20 0 DIADHN C[C@]1(CNCc2cc3ccccc3o2)OCCc2sccc21 ZINC000584284280 332211053 /nfs/dbraw/zinc/21/10/53/332211053.db2.gz AVHWHIDQSOHNEZ-GOSISDBHSA-N 0 3 313.422 4.072 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccccc1C(F)(F)F ZINC000367518894 332233883 /nfs/dbraw/zinc/23/38/83/332233883.db2.gz KUCANGCIKXDNEW-QMTHXVAHSA-N 0 3 309.335 4.157 20 0 DIADHN Cc1cc(CNCc2cccc(-c3cccnc3)c2)cc(C)c1O ZINC000363729189 332233986 /nfs/dbraw/zinc/23/39/86/332233986.db2.gz NCAJIHFLHXKOAU-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN Cc1ccccc1[C@@H]([C@@H](C)Nc1ncnc2ccccc21)N(C)C ZINC000584309305 332236135 /nfs/dbraw/zinc/23/61/35/332236135.db2.gz ZPTHTYGVKTVQSL-DNVCBOLYSA-N 0 3 320.440 4.042 20 0 DIADHN CC[C@@H](N[C@H](C)COCC(F)(F)F)c1ccc(Cl)cc1 ZINC000537970762 332251134 /nfs/dbraw/zinc/25/11/34/332251134.db2.gz KIQBGVAYICZAAW-ZWNOBZJWSA-N 0 3 309.759 4.348 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2cccc(O)c2)cc1 ZINC000442771433 335097078 /nfs/dbraw/zinc/09/70/78/335097078.db2.gz TUTWORCMGZYNNK-YSGRDPCXSA-N 0 3 311.425 4.272 20 0 DIADHN CC[C@H](NCC(C)(C)CCO)c1ccc(Cl)cc1Cl ZINC000224390960 332257001 /nfs/dbraw/zinc/25/70/01/332257001.db2.gz GLKGDSAHBMKJOH-AWEZNQCLSA-N 0 3 304.261 4.443 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccccn3)n2)[C@H]2CCC[C@@H]21 ZINC000559425727 332257537 /nfs/dbraw/zinc/25/75/37/332257537.db2.gz SHQVAWQEHPZWFQ-HOCLYGCPSA-N 0 3 313.470 4.216 20 0 DIADHN Cc1cccc(N(C)C(=O)c2ccc(CN3CCCCC3)cc2)c1 ZINC000559419475 332257547 /nfs/dbraw/zinc/25/75/47/332257547.db2.gz DVYQYWPDRZJILQ-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN CC(C)[C@]1(CO)CCCN(C/C=C\c2ccc(Cl)cc2)C1 ZINC000559425679 332257609 /nfs/dbraw/zinc/25/76/09/332257609.db2.gz OWPOJVCDOQFUBF-LKIHTYOGSA-N 0 3 307.865 4.084 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC(C)(C)[C@@H]4CCC[C@@H]43)o2)cc1 ZINC000559426986 332257998 /nfs/dbraw/zinc/25/79/98/332257998.db2.gz MEPXVXZTUDPDSS-CVEARBPZSA-N 0 3 311.429 4.056 20 0 DIADHN Cc1cccc(-c2noc(CN3CC(C)(C)[C@H]4CCC[C@@H]43)n2)c1 ZINC000559432938 332258294 /nfs/dbraw/zinc/25/82/94/332258294.db2.gz ROUFAADWTHFCPG-HOTGVXAUSA-N 0 3 311.429 4.056 20 0 DIADHN CC[C@@H](NCCSCC(F)(F)F)c1cc(F)ccc1F ZINC000358298132 332259410 /nfs/dbraw/zinc/25/94/10/332259410.db2.gz HESMXQLFDTVGQI-GFCCVEGCSA-N 0 3 313.335 4.301 20 0 DIADHN CC(C)[C@H]1CN(Cc2cc(Cl)cc3cccnc32)CCCO1 ZINC000559497003 332265298 /nfs/dbraw/zinc/26/52/98/332265298.db2.gz FYYHFHAYGGBGFJ-QGZVFWFLSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1ccncc1CN1CCC(c2nc3ccccc3s2)CC1 ZINC000370842311 332267822 /nfs/dbraw/zinc/26/78/22/332267822.db2.gz GGKNHPLSKZUYAX-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CCC[C@@H](N[C@H](CO)CC(F)(F)F)c1ccc(Cl)cc1 ZINC000358349499 332268352 /nfs/dbraw/zinc/26/83/52/332268352.db2.gz HSGVQZMAPWZTAU-QWHCGFSZSA-N 0 3 309.759 4.084 20 0 DIADHN Cc1nc(CN[C@@H](C)Cc2c(Cl)cccc2Cl)[nH]c1C ZINC000573743032 332274193 /nfs/dbraw/zinc/27/41/93/332274193.db2.gz DFQHLKVJLAWAMP-VIFPVBQESA-N 0 3 312.244 4.054 20 0 DIADHN CN1CC[C@H](NCc2cccc(Cl)c2F)[C@H]1c1ccccc1 ZINC000559795052 332282551 /nfs/dbraw/zinc/28/25/51/332282551.db2.gz HUPYHVKJGDUIRI-FUHWJXTLSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@@H](C)CC(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000109762362 332283534 /nfs/dbraw/zinc/28/35/34/332283534.db2.gz JQDBWXNOJIQPQY-ZBFHGGJFSA-N 0 3 310.507 4.074 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccncc1F ZINC000559855792 332285730 /nfs/dbraw/zinc/28/57/30/332285730.db2.gz QJLNHUBLDWDDNV-JEBQAFNWSA-N 0 3 314.404 4.117 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2ccc(Cl)cc2)C(C)C)nn1C ZINC000559858018 332285978 /nfs/dbraw/zinc/28/59/78/332285978.db2.gz RVVUVWNDJKLXMP-PBHICJAKSA-N 0 3 319.880 4.472 20 0 DIADHN CC[C@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@@H]1CCOC1 ZINC000538589605 332286410 /nfs/dbraw/zinc/28/64/10/332286410.db2.gz NNOYLSXWNFEDJK-RISCZKNCSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H](F)CCN[C@@H](c1ccc(Br)cc1F)C1CC1 ZINC000559892539 332290074 /nfs/dbraw/zinc/29/00/74/332290074.db2.gz XXVZXNYUIMSTOZ-LKFCYVNXSA-N 0 3 318.205 4.377 20 0 DIADHN Cc1c([C@@H](C)NCC[C@@H](C)F)cnn1-c1cccc(Cl)c1 ZINC000559892338 332290141 /nfs/dbraw/zinc/29/01/41/332290141.db2.gz IJFSNMHFFPXJPF-VXGBXAGGSA-N 0 3 309.816 4.233 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccc1F)c1cn2ccccc2n1 ZINC000559894323 332290504 /nfs/dbraw/zinc/29/05/04/332290504.db2.gz KYZZEXNQIAADQU-CQSZACIVSA-N 0 3 311.404 4.102 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1c1ccccc1)c1cn2ccccc2n1 ZINC000559894472 332290778 /nfs/dbraw/zinc/29/07/78/332290778.db2.gz RPSCHEWGWHYYDQ-SZMVWBNQSA-N 0 3 305.425 4.321 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccc(F)cn1 ZINC000559913170 332292485 /nfs/dbraw/zinc/29/24/85/332292485.db2.gz FYFITHBLWTZWNB-YRGRVCCFSA-N 0 3 304.796 4.471 20 0 DIADHN F[C@H]1CCC[C@H]1NC1CC(c2ccc(C(F)(F)F)cc2)C1 ZINC000559916423 332292634 /nfs/dbraw/zinc/29/26/34/332292634.db2.gz PMDNBPVITRXTFS-RIXNLZLZSA-N 0 3 301.327 4.432 20 0 DIADHN CCOc1ccc([C@@H](CC)N[C@@H]2CCC[C@@H]2F)cc1OCC ZINC000559918169 332292710 /nfs/dbraw/zinc/29/27/10/332292710.db2.gz CURHARVOYKDWJT-ARFHVFGLSA-N 0 3 309.425 4.415 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc(OC(C)C)cc2)ccn1 ZINC000559918784 332293065 /nfs/dbraw/zinc/29/30/65/332293065.db2.gz VROBCWZQDZPBGC-HUUCEWRRSA-N 0 3 314.429 4.289 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc(OC(C)C)cc2)ccn1 ZINC000559918778 332293144 /nfs/dbraw/zinc/29/31/44/332293144.db2.gz VROBCWZQDZPBGC-CABCVRRESA-N 0 3 314.429 4.289 20 0 DIADHN C[C@H](CC(=O)N1CCCCCC1)N[C@@H](C)c1ccccc1Cl ZINC000559912276 332293570 /nfs/dbraw/zinc/29/35/70/332293570.db2.gz VLHMERLEJQZEAK-CABCVRRESA-N 0 3 322.880 4.172 20 0 DIADHN C[C@H](NCC1(c2ccccc2Cl)CC1)c1ccncc1F ZINC000559921932 332294003 /nfs/dbraw/zinc/29/40/03/332294003.db2.gz OISXEBHFOSLCGN-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN CCOc1cc(CN[C@H](CC)c2cccs2)ccc1OC ZINC000048416934 332303656 /nfs/dbraw/zinc/30/36/56/332303656.db2.gz WLHQMTBXKPLLHK-CQSZACIVSA-N 0 3 305.443 4.396 20 0 DIADHN Cn1ccnc1[C@@H](NCCc1ccc(Cl)cc1Cl)C1CC1 ZINC000187759257 332307638 /nfs/dbraw/zinc/30/76/38/332307638.db2.gz IKPHJRADNZHHEY-HNNXBMFYSA-N 0 3 324.255 4.010 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2)c(C)s1 ZINC000049507515 332313204 /nfs/dbraw/zinc/31/32/04/332313204.db2.gz KYPMHKVJPFNLAI-QWHCGFSZSA-N 0 3 302.443 4.043 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN(C)CCCCCF ZINC000560154856 332314986 /nfs/dbraw/zinc/31/49/86/332314986.db2.gz SWJJAXNDOFHHIX-UHFFFAOYSA-N 0 3 317.452 4.369 20 0 DIADHN CCN(CCc1ccccc1)Cc1ccc(C(F)(F)F)cn1 ZINC000560156907 332315223 /nfs/dbraw/zinc/31/52/23/332315223.db2.gz HQEKIUVAEJQUCZ-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](NCCCCCF)c1ccccc1 ZINC000560159486 332316256 /nfs/dbraw/zinc/31/62/56/332316256.db2.gz PTBKIWOTUNVHEC-SFHVURJKSA-N 0 3 314.404 4.096 20 0 DIADHN FC1(c2cccnc2)CCN(CCSc2ccccc2)CC1 ZINC000560205424 332318939 /nfs/dbraw/zinc/31/89/39/332318939.db2.gz GJCCXHGZOYSPJG-UHFFFAOYSA-N 0 3 316.445 4.135 20 0 DIADHN Cc1nnsc1CN(C)[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000577955724 332319522 /nfs/dbraw/zinc/31/95/22/332319522.db2.gz FKQCAZWLDFJAAX-ZYHUDNBSSA-N 0 3 309.866 4.124 20 0 DIADHN CC1(C)CC[C@H]1N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000560236360 332321439 /nfs/dbraw/zinc/32/14/39/332321439.db2.gz UACCDCSUSUZJSD-CHWSQXEVSA-N 0 3 321.770 4.170 20 0 DIADHN C[C@H](CCC(C)(C)C)NCc1ccncc1OCC(F)(F)F ZINC000560239185 332321571 /nfs/dbraw/zinc/32/15/71/332321571.db2.gz ZHLZMARDYAKLRQ-GFCCVEGCSA-N 0 3 318.383 4.327 20 0 DIADHN C[C@@H](CCC(C)(C)C)NCc1ccncc1OCC(F)(F)F ZINC000560239186 332321716 /nfs/dbraw/zinc/32/17/16/332321716.db2.gz ZHLZMARDYAKLRQ-LBPRGKRZSA-N 0 3 318.383 4.327 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)NCc2cc(F)ccc2F)cc1 ZINC000560244945 332323014 /nfs/dbraw/zinc/32/30/14/332323014.db2.gz GEOMUFVBHBQPQO-JBBXEZCESA-N 0 3 317.379 4.255 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccnc(OC(F)F)c2)c1 ZINC000560268438 332325836 /nfs/dbraw/zinc/32/58/36/332325836.db2.gz BAFIPRRSMFCSLT-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN FC1(CN(Cc2cccs2)C2Cc3ccccc3C2)CC1 ZINC000560276885 332326970 /nfs/dbraw/zinc/32/69/70/332326970.db2.gz SOAPTBHONMDXJF-UHFFFAOYSA-N 0 3 301.430 4.220 20 0 DIADHN CSc1ccc(C)c(C(=O)Nc2ccccc2CN(C)C)c1 ZINC000560317344 332330196 /nfs/dbraw/zinc/33/01/96/332330196.db2.gz VASBAJZJAUEJNG-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nccn1Cc1ccccc1 ZINC000564686788 332344149 /nfs/dbraw/zinc/34/41/49/332344149.db2.gz HWAOCDGWGAEOCZ-OALUTQOASA-N 0 3 311.473 4.332 20 0 DIADHN Cc1ccccc1[C@H](CO)NC1CC(c2ccccc2Cl)C1 ZINC000560483583 332345067 /nfs/dbraw/zinc/34/50/67/332345067.db2.gz KRNFASUDVCYTKD-ZOJXTTQQSA-N 0 3 315.844 4.218 20 0 DIADHN Cc1ccccc1[C@@H](CO)NC1CC(c2ccccc2Cl)C1 ZINC000560483577 332345256 /nfs/dbraw/zinc/34/52/56/332345256.db2.gz KRNFASUDVCYTKD-JFIBYHEFSA-N 0 3 315.844 4.218 20 0 DIADHN CC(C)n1cc([C@H](C)NC/C=C/c2ccc(F)c(F)c2)cn1 ZINC000560494473 332346201 /nfs/dbraw/zinc/34/62/01/332346201.db2.gz MDBXFIPOQCXVCB-IHVVCDCBSA-N 0 3 305.372 4.106 20 0 DIADHN Cc1ncsc1CN1CCCC[C@@H]1Cc1ccc(F)cc1 ZINC000560513566 332348721 /nfs/dbraw/zinc/34/87/21/332348721.db2.gz WCHPFKXTYDJSHM-MRXNPFEDSA-N 0 3 304.434 4.188 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C/c2ccccc2)c(C)c1OC ZINC000560525728 332350709 /nfs/dbraw/zinc/35/07/09/332350709.db2.gz WIPNCFAZVSCOOO-YCABEKBOSA-N 0 3 311.425 4.376 20 0 DIADHN C[C@H](NC[C@@H](Cc1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000560530139 332352561 /nfs/dbraw/zinc/35/25/61/332352561.db2.gz ZWPVDWPTXGWGHE-QFBILLFUSA-N 0 3 305.425 4.087 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3cn(C(C)C)nc3C)C2)cc1 ZINC000560542422 332355642 /nfs/dbraw/zinc/35/56/42/332355642.db2.gz QESVVTMYESUOTQ-IBGZPJMESA-N 0 3 311.473 4.324 20 0 DIADHN COc1ccc(C2(CN[C@H](C)c3cc(C)ccn3)CCC2)cc1 ZINC000560549555 332358272 /nfs/dbraw/zinc/35/82/72/332358272.db2.gz NKKMZXBZFZJOPU-MRXNPFEDSA-N 0 3 310.441 4.171 20 0 DIADHN CC(C)[C@@H]1CC[C@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000560553472 332359185 /nfs/dbraw/zinc/35/91/85/332359185.db2.gz UGSNIQMZWHYJEX-ZWKOTPCHSA-N 0 3 304.503 4.082 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4cc(OC)ccc43)CC2)c1 ZINC000560574930 332363453 /nfs/dbraw/zinc/36/34/53/332363453.db2.gz WRIHBHBLFWFPIQ-FQEVSTJZSA-N 0 3 323.436 4.360 20 0 DIADHN Clc1ccc([C@@H](NCc2cnn3ccccc23)C2CC2)cc1 ZINC000560575062 332363680 /nfs/dbraw/zinc/36/36/80/332363680.db2.gz LNVORKXQZXAHQJ-SFHVURJKSA-N 0 3 311.816 4.229 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000560581253 332364210 /nfs/dbraw/zinc/36/42/10/332364210.db2.gz GPVWWTGANMKVCO-ZDUSSCGKSA-N 0 3 315.438 4.129 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc21)c1cccc(N2CCCC2=O)c1 ZINC000119129573 332364665 /nfs/dbraw/zinc/36/46/65/332364665.db2.gz ALNMMPGVPLLYFI-QRWLVFNGSA-N 0 3 320.436 4.152 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCc3cc(C)no3)oc21 ZINC000560586554 332365662 /nfs/dbraw/zinc/36/56/62/332365662.db2.gz SNSHDMZDOUUMNG-ZDUSSCGKSA-N 0 3 314.385 4.021 20 0 DIADHN CC(C)Oc1ccc(CN2CCC(C3CCOCC3)CC2)cc1 ZINC000560586685 332365741 /nfs/dbraw/zinc/36/57/41/332365741.db2.gz KAHVGGOQDJVGOE-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@@H](C)c1ccccc1-n1ccnn1 ZINC000583373104 332367865 /nfs/dbraw/zinc/36/78/65/332367865.db2.gz YWQYRZWJYASKOQ-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN CC(C)[C@H]1CN(Cc2cnc(-c3ccsc3)s2)CCCO1 ZINC000560638048 332371942 /nfs/dbraw/zinc/37/19/42/332371942.db2.gz DQSKQDWDUQHIMH-OAHLLOKOSA-N 0 3 322.499 4.119 20 0 DIADHN Cc1n[nH]cc1CN[C@H](C)c1ccc(-c2ccc(F)cc2)s1 ZINC000560900464 332392927 /nfs/dbraw/zinc/39/29/27/332392927.db2.gz HJYKFKHSNZMODW-GFCCVEGCSA-N 0 3 315.417 4.437 20 0 DIADHN CCC(CC)(CO)CN[C@H]1CC2(CCCC2)Oc2ccccc21 ZINC000560909466 332393201 /nfs/dbraw/zinc/39/32/01/332393201.db2.gz OFDILWZLIBDEPN-KRWDZBQOSA-N 0 3 317.473 4.211 20 0 DIADHN CCC(CC)(CO)CN[C@@H]1CC2(CCCC2)Oc2ccccc21 ZINC000560909471 332393304 /nfs/dbraw/zinc/39/33/04/332393304.db2.gz OFDILWZLIBDEPN-QGZVFWFLSA-N 0 3 317.473 4.211 20 0 DIADHN Clc1cccc(C2(NC[C@@H]3CCC4(CCCC4)O3)CC2)c1 ZINC000560966954 332397633 /nfs/dbraw/zinc/39/76/33/332397633.db2.gz ZLKYRSSTVYUGLO-INIZCTEOSA-N 0 3 305.849 4.411 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000323210694 332400111 /nfs/dbraw/zinc/40/01/11/332400111.db2.gz NJKZEOYSPFPGBB-OXJNMPFZSA-N 0 3 315.432 4.303 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccccc3F)CC2)s1 ZINC000525637604 332420392 /nfs/dbraw/zinc/42/03/92/332420392.db2.gz UHIXZZXKCBIGHO-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccccc1Br)OC ZINC000394450208 332452317 /nfs/dbraw/zinc/45/23/17/332452317.db2.gz OKMUVRVAJBDICZ-ZOWXZIJZSA-N 0 3 314.267 4.161 20 0 DIADHN Cc1ncc(CNCc2sc3ccc(Cl)cc3c2C)n1C ZINC000566496098 332456919 /nfs/dbraw/zinc/45/69/19/332456919.db2.gz AASFJSCORKZEFF-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccccc2-n2cccn2)c(C)c1 ZINC000561542452 332461568 /nfs/dbraw/zinc/46/15/68/332461568.db2.gz KGIZGVXBFGPYIA-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3ccccc3Cl)o2)[C@H]1C ZINC000245384300 332486500 /nfs/dbraw/zinc/48/65/00/332486500.db2.gz VDKTZRYSUPTIGZ-XUJVJEKNSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc2c(c1)OCO2 ZINC000190806148 335111886 /nfs/dbraw/zinc/11/18/86/335111886.db2.gz SSHBTZHDXWZAGY-UHFFFAOYSA-N 0 3 323.314 4.032 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@H]1Cc2ccccc2O1 ZINC000520956835 332518845 /nfs/dbraw/zinc/51/88/45/332518845.db2.gz DSARYWFZIFJHIV-GOSISDBHSA-N 0 3 305.377 4.040 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)Cc2ccccc2F)c(OC)c1 ZINC000323283553 332519897 /nfs/dbraw/zinc/51/98/97/332519897.db2.gz LZCCOCKZMUKYPH-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN CC(C)(CO)[C@H](NCc1cnc2ccccc2c1)c1ccccc1 ZINC000561959645 332521670 /nfs/dbraw/zinc/52/16/70/332521670.db2.gz FOFVSHQOFNVAPY-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN CC[C@H](N[C@H]1CC[C@@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000562041401 332535796 /nfs/dbraw/zinc/53/57/96/332535796.db2.gz LQPWHPKXVQGIAH-VWYCJHECSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@@H](NCc1cnn(C)c1)c1ccc(Oc2ccccc2)cc1 ZINC000151150240 332538832 /nfs/dbraw/zinc/53/88/32/332538832.db2.gz UKBWMZRQAPEBOD-HXUWFJFHSA-N 0 3 321.424 4.453 20 0 DIADHN Cn1c(CN[C@@H]2CC[C@H]2C(C)(C)C)nc2cccc(Cl)c21 ZINC000562063252 332539219 /nfs/dbraw/zinc/53/92/19/332539219.db2.gz CSMXSBHWXGLKOM-DGCLKSJQSA-N 0 3 305.853 4.141 20 0 DIADHN COCc1nc(CN[C@H](C)[C@H](C)c2ccc(Cl)cc2)cs1 ZINC000562100323 332546526 /nfs/dbraw/zinc/54/65/26/332546526.db2.gz QULJVFVQWDREHA-NWDGAFQWSA-N 0 3 324.877 4.225 20 0 DIADHN COc1cc([C@H](C)NC2CC(C)(c3ccccc3)C2)c(F)cn1 ZINC000565074535 332550393 /nfs/dbraw/zinc/55/03/93/332550393.db2.gz WZPWTUDSTAFRLO-XIWWETDGSA-N 0 3 314.404 4.000 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@H](C(C)(C)C)CC2)cs1 ZINC000564418421 332564539 /nfs/dbraw/zinc/56/45/39/332564539.db2.gz DPDUSVSMOCDLFX-KBPBESRZSA-N 0 3 310.507 4.374 20 0 DIADHN Cc1ccc(CC[C@@H](C)NC2(C(=O)Nc3ccccc3)CC2)cc1 ZINC000427960545 332573474 /nfs/dbraw/zinc/57/34/74/332573474.db2.gz UZYWKARWTWIULH-QGZVFWFLSA-N 0 3 322.452 4.077 20 0 DIADHN COc1ccc2oc([C@H](C)NC[C@@H]3CCC(C)(C)O3)c(C)c2c1 ZINC000427981658 332580649 /nfs/dbraw/zinc/58/06/49/332580649.db2.gz WPJCSCJUFXWAMC-ZFWWWQNUSA-N 0 3 317.429 4.358 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NC[C@H]1CCC(C)(C)O1 ZINC000427987112 332581059 /nfs/dbraw/zinc/58/10/59/332581059.db2.gz JHOZPWZNGMMIGU-WCQYABFASA-N 0 3 310.507 4.357 20 0 DIADHN CC(C)O[C@H](CN1CCC(C(F)(F)F)CC1)c1ccccc1 ZINC000428229187 332585007 /nfs/dbraw/zinc/58/50/07/332585007.db2.gz NOXXKPLRRXHYOV-MRXNPFEDSA-N 0 3 315.379 4.427 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@@H](C)Oc2ccc(Cl)cc2)cn1 ZINC000151573915 332590135 /nfs/dbraw/zinc/59/01/35/332590135.db2.gz RNMGJKFGRKYYQD-KGLIPLIRSA-N 0 3 321.852 4.236 20 0 DIADHN C[C@H](NCCc1cn(C)c2cc(Cl)ccc12)c1cscn1 ZINC000562477557 332597207 /nfs/dbraw/zinc/59/72/07/332597207.db2.gz NMIINFTYFQVLKW-NSHDSACASA-N 0 3 319.861 4.182 20 0 DIADHN CCOCc1ccc(CN[C@@H]2c3cc(F)ccc3C[C@H]2C)cc1 ZINC000151652827 332599641 /nfs/dbraw/zinc/59/96/41/332599641.db2.gz RYFYHHYHSXMQSP-VLIAUNLRSA-N 0 3 313.416 4.385 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1F)c1cccc(-n2ccnc2)c1 ZINC000151658649 332599993 /nfs/dbraw/zinc/59/99/93/332599993.db2.gz UKFKZBILURTGQF-HUUCEWRRSA-N 0 3 309.388 4.423 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1cccc(-c2ccncc2)c1 ZINC000151813391 332620304 /nfs/dbraw/zinc/62/03/04/332620304.db2.gz KAGKHMPADIZAAD-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN CCCC[C@@H](CC)C(=O)Nc1ccc(C)cc1OCCN(C)C ZINC000464059448 332636076 /nfs/dbraw/zinc/63/60/76/332636076.db2.gz YBNSRHNBLXCKPQ-MRXNPFEDSA-N 0 3 320.477 4.090 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@@H]4CCC[C@H]4C3)cs2)cc1 ZINC000152850910 332644062 /nfs/dbraw/zinc/64/40/62/332644062.db2.gz MQKLLAOXHYMCMC-GJZGRUSLSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)cc1Cl ZINC000443989301 332647712 /nfs/dbraw/zinc/64/77/12/332647712.db2.gz OSMXHBDPAPFXBC-ZSEKCTLFSA-N 0 3 321.873 4.237 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2Cc3[nH]c4ccccc4c3C2)on1 ZINC000562937616 332647804 /nfs/dbraw/zinc/64/78/04/332647804.db2.gz RNDVVEPJRYQPNF-AWEZNQCLSA-N 0 3 323.440 4.317 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cncc(Br)c1 ZINC000565243360 332673310 /nfs/dbraw/zinc/67/33/10/332673310.db2.gz MGNUMTFQRNHRPK-JOYOIKCWSA-N 0 3 319.193 4.073 20 0 DIADHN CCCC[C@H](N[C@H](C)c1cncnc1C)c1ccc(OC)cc1 ZINC000572984586 332675029 /nfs/dbraw/zinc/67/50/29/332675029.db2.gz IHVYNHBUPAJDRM-BEFAXECRSA-N 0 3 313.445 4.376 20 0 DIADHN CCCN(C(=O)C[C@H](N)c1ccccc1)[C@@H]1CCc2ccccc21 ZINC000448243690 332690602 /nfs/dbraw/zinc/69/06/02/332690602.db2.gz SXIMBDXTYVFZAR-VQTJNVASSA-N 0 3 322.452 4.003 20 0 DIADHN C[C@]1(NCc2cnc(-c3ccc(Cl)cc3)s2)CCCOC1 ZINC000569948724 332695976 /nfs/dbraw/zinc/69/59/76/332695976.db2.gz ZRQYERUXGFSAQD-INIZCTEOSA-N 0 3 322.861 4.122 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)c1 ZINC000570076707 332709689 /nfs/dbraw/zinc/70/96/89/332709689.db2.gz FQKSCCTYZAPUGS-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN Cc1sccc1CN[C@]1(Cc2ccc(Cl)cc2)CCOC1 ZINC000570348164 332743052 /nfs/dbraw/zinc/74/30/52/332743052.db2.gz LBZPVLXFIRISSX-KRWDZBQOSA-N 0 3 321.873 4.201 20 0 DIADHN Cn1cc([C@H](N[C@@H]2CC[C@H]3CCCC[C@H]3C2)c2ccccc2)cn1 ZINC000580125922 332949963 /nfs/dbraw/zinc/94/99/63/332949963.db2.gz GKGBMNXCRXQNGL-XRULBBBTSA-N 0 3 323.484 4.458 20 0 DIADHN CN(CCCNCc1ccc(Cl)s1)c1ccccc1F ZINC000518763383 333006398 /nfs/dbraw/zinc/00/63/98/333006398.db2.gz GUADQVHQRAPHQE-UHFFFAOYSA-N 0 3 312.841 4.157 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)sc2Cl)[C@@H]2CCCO[C@H]21 ZINC000312784810 333006820 /nfs/dbraw/zinc/00/68/20/333006820.db2.gz UGLWAKKLHDHOFX-MVWJERBFSA-N 0 3 320.285 4.348 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1cc(C)ccc1OC ZINC000178408565 333010499 /nfs/dbraw/zinc/01/04/99/333010499.db2.gz OCEKSOPHZBHCOH-KBXCAEBGSA-N 0 3 311.425 4.350 20 0 DIADHN CCOCCOc1cc(C)ccc1CN[C@@H](C)c1cccs1 ZINC000167141515 333015662 /nfs/dbraw/zinc/01/56/62/333015662.db2.gz IGLSKILBEJLIJT-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc2c(c1)OCCO2 ZINC000178664960 333018327 /nfs/dbraw/zinc/01/83/27/333018327.db2.gz YVMGTEZPFORAGD-LBPRGKRZSA-N 0 3 319.351 4.246 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC1(c2cccs2)CCCCC1 ZINC000188049491 333019259 /nfs/dbraw/zinc/01/92/59/333019259.db2.gz KKWMLSDXOKWYJD-OAHLLOKOSA-N 0 3 315.486 4.399 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCC1)c1ncc(Br)s1 ZINC000179230336 333024543 /nfs/dbraw/zinc/02/45/43/333024543.db2.gz BSJXBOLZDKBEOY-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccccc1F ZINC000180537328 333028178 /nfs/dbraw/zinc/02/81/78/333028178.db2.gz ASFMVRXCANPKKB-PXAZEXFGSA-N 0 3 315.392 4.118 20 0 DIADHN Cc1c(Br)cccc1CN[C@@H](C)c1cccc(O)c1 ZINC000181560286 333030592 /nfs/dbraw/zinc/03/05/92/333030592.db2.gz DCPDKFMTPZRTNH-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCO2)c1cccc(C(F)(F)F)c1 ZINC000181548128 333031100 /nfs/dbraw/zinc/03/11/00/333031100.db2.gz BFJPRBFRVWKFSJ-NSHDSACASA-N 0 3 323.314 4.285 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCCCC1CCCCC1 ZINC000518766281 333032376 /nfs/dbraw/zinc/03/23/76/333032376.db2.gz SAEYLSRTWGOEHZ-UHFFFAOYSA-N 0 3 321.461 4.163 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000183073322 333052460 /nfs/dbraw/zinc/05/24/60/333052460.db2.gz BQISIWMTUXPKPK-NEPJUHHUSA-N 0 3 320.458 4.049 20 0 DIADHN CCC[C@H](CN[C@@H](C)c1ccc(F)c(Br)c1)OC ZINC000378820094 333055629 /nfs/dbraw/zinc/05/56/29/333055629.db2.gz MILAVUDJMXLRLW-CMPLNLGQSA-N 0 3 318.230 4.054 20 0 DIADHN CCc1ccc([C@H](NCc2cnn3ccccc23)C(C)C)cc1 ZINC000378913907 333063383 /nfs/dbraw/zinc/06/33/83/333063383.db2.gz KRFQLEXUGDULHD-HXUWFJFHSA-N 0 3 307.441 4.384 20 0 DIADHN CCC[C@H](C)N(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000192717784 333065493 /nfs/dbraw/zinc/06/54/93/333065493.db2.gz RSDIJRIOPSSXJR-NSHDSACASA-N 0 3 317.260 4.442 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000460347208 333067015 /nfs/dbraw/zinc/06/70/15/333067015.db2.gz IYPPFRVTBGIXNQ-OLZOCXBDSA-N 0 3 305.447 4.247 20 0 DIADHN CCc1nc(CNCC2(c3ccccc3)CCCC2)cs1 ZINC000518770205 333069842 /nfs/dbraw/zinc/06/98/42/333069842.db2.gz BVVWIPNJWFKDMD-UHFFFAOYSA-N 0 3 300.471 4.307 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCCC[C@@H]1CC(C)C ZINC000466694956 333070909 /nfs/dbraw/zinc/07/09/09/333070909.db2.gz GTCQFDLXKNDRGH-QGZVFWFLSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2ccc(OC(F)F)cc2)C1 ZINC000460362862 333071341 /nfs/dbraw/zinc/07/13/41/333071341.db2.gz GFBLJWODPNBNRR-DYVFJYSZSA-N 0 3 318.367 4.266 20 0 DIADHN COCC1(CN[C@H](CC(F)(F)F)c2ccccc2)CCC1 ZINC000313871548 333074107 /nfs/dbraw/zinc/07/41/07/333074107.db2.gz LREXRMKDTNOTKN-CQSZACIVSA-N 0 3 301.352 4.086 20 0 DIADHN C[C@H](CCC(C)(C)C)NCc1ccncc1OCC(F)F ZINC000580302800 333081119 /nfs/dbraw/zinc/08/11/19/333081119.db2.gz XGDBGNVQVLDWNF-GFCCVEGCSA-N 0 3 300.393 4.030 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2Br)c1cccnc1 ZINC000112542406 333093696 /nfs/dbraw/zinc/09/36/96/333093696.db2.gz XAFFQKLJNZWPCM-BDJLRTHQSA-N 0 3 317.230 4.182 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2Br)c1cccnc1 ZINC000112542408 333093799 /nfs/dbraw/zinc/09/37/99/333093799.db2.gz XAFFQKLJNZWPCM-BZNIZROVSA-N 0 3 317.230 4.182 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2cccc(C3CCC3)c2)cc1 ZINC000462726159 333096212 /nfs/dbraw/zinc/09/62/12/333096212.db2.gz GGUWHQAQAYCGMT-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1csc(-c2cccc(OC)c2)n1 ZINC000466915343 333098455 /nfs/dbraw/zinc/09/84/55/333098455.db2.gz JFUAGVHGOSDPRE-XJKSGUPXSA-N 0 3 316.470 4.439 20 0 DIADHN CCOc1ccc(CNC[C@@H](C)Oc2ccccc2Cl)cc1 ZINC000462733134 333099362 /nfs/dbraw/zinc/09/93/62/333099362.db2.gz BZUSWVAAVSPWIH-CQSZACIVSA-N 0 3 319.832 4.296 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000215931504 333100001 /nfs/dbraw/zinc/10/00/01/333100001.db2.gz ZKCWPKFPZOSNTJ-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN CC(C)Oc1cccc(CNC[C@H](C)Oc2ccc(F)cc2)c1 ZINC000462739825 333102846 /nfs/dbraw/zinc/10/28/46/333102846.db2.gz PAMIQKAFBYEKFV-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN Cc1cc([C@@H](C)NCCn2c(C)nc3ccccc32)c(C)s1 ZINC000314387213 333107497 /nfs/dbraw/zinc/10/74/97/333107497.db2.gz RPYQYGRMZYPXNJ-CYBMUJFWSA-N 0 3 313.470 4.374 20 0 DIADHN CCN(C/C=C\c1ccc(Cl)cc1)CCc1nccs1 ZINC000526056813 333107913 /nfs/dbraw/zinc/10/79/13/333107913.db2.gz IQLFMVONGLDWOX-ARJAWSKDSA-N 0 3 306.862 4.374 20 0 DIADHN Cc1cnc(CCN(C)C/C=C/c2ccc(Cl)cc2)s1 ZINC000526057004 333108076 /nfs/dbraw/zinc/10/80/76/333108076.db2.gz QSGXFTSMXOIMAN-ONEGZZNKSA-N 0 3 306.862 4.293 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](F)C1)c1nc2ccc(Cl)cc2n1C ZINC000516650508 333109453 /nfs/dbraw/zinc/10/94/53/333109453.db2.gz OJFNGMQPMCXIMY-WCFLWFBJSA-N 0 3 309.816 4.158 20 0 DIADHN COc1ccc(CN(C)CCSc2ccccc2F)cc1 ZINC000514320490 333113262 /nfs/dbraw/zinc/11/32/62/333113262.db2.gz OSOSDUWXBJRAPS-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN COc1ccccc1C[C@@H](N[C@H](C)c1csc(C)n1)C(C)C ZINC000462818542 333119776 /nfs/dbraw/zinc/11/97/76/333119776.db2.gz RDOAILPWFAXAQR-CZUORRHYSA-N 0 3 318.486 4.378 20 0 DIADHN CCc1ccc(/C=C\c2nc(-c3ccc(CN(C)C)cc3)no2)o1 ZINC000460730585 333130441 /nfs/dbraw/zinc/13/04/41/333130441.db2.gz VRKWKSCSXHDILC-QXMHVHEDSA-N 0 3 323.396 4.124 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(CN(C)C)cc1)c1cccc(Cl)c1 ZINC000460763314 333137417 /nfs/dbraw/zinc/13/74/17/333137417.db2.gz OQQASDCSOASBEI-CYBMUJFWSA-N 0 3 316.832 4.144 20 0 DIADHN CC[N@H+](CCCCC(=O)OC(C)(C)C)[C@@H](C)c1cccc([O-])c1 ZINC000181958137 333147395 /nfs/dbraw/zinc/14/73/95/333147395.db2.gz MHUVQKWXXGQVTO-HNNXBMFYSA-N 0 3 321.461 4.287 20 0 DIADHN CC[N@@H+](CCCCC(=O)OC(C)(C)C)[C@@H](C)c1cccc([O-])c1 ZINC000181958137 333147396 /nfs/dbraw/zinc/14/73/96/333147396.db2.gz MHUVQKWXXGQVTO-HNNXBMFYSA-N 0 3 321.461 4.287 20 0 DIADHN CC[C@@H](NCCCCCn1cccn1)c1cc(F)ccc1F ZINC000179790702 333155493 /nfs/dbraw/zinc/15/54/93/333155493.db2.gz OVCKZPHXVDBTSQ-QGZVFWFLSA-N 0 3 307.388 4.073 20 0 DIADHN CCCC[C@@H](CC)CC(=O)NC[C@H](c1ccccc1)N(C)C ZINC000522672827 333161179 /nfs/dbraw/zinc/16/11/79/333161179.db2.gz CPSUGCSYQWDXSO-SJLPKXTDSA-N 0 3 304.478 4.012 20 0 DIADHN COc1ccc(OCCCNCc2cc3ccccc3o2)cc1 ZINC000518779253 333168054 /nfs/dbraw/zinc/16/80/54/333168054.db2.gz KCQPTRQSTMLWNX-UHFFFAOYSA-N 0 3 311.381 4.000 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N(CC)CC ZINC000460855893 333169568 /nfs/dbraw/zinc/16/95/68/333169568.db2.gz FMUDBAINJORXMG-IBGZPJMESA-N 0 3 310.441 4.413 20 0 DIADHN CCC[C@@]1(CO)CCN(c2c3ccccc3nc(C)c2CC)C1 ZINC000582025088 333171248 /nfs/dbraw/zinc/17/12/48/333171248.db2.gz ZUJCBZBXUMKEJJ-HXUWFJFHSA-N 0 3 312.457 4.095 20 0 DIADHN CCc1ccc(CN2CCC(OCCc3ccccc3)CC2)cc1 ZINC000522711207 333172437 /nfs/dbraw/zinc/17/24/37/333172437.db2.gz QGRHUOPPNCGUNP-UHFFFAOYSA-N 0 3 323.480 4.473 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1-c1cccs1)N(CC)CC ZINC000460861524 333172858 /nfs/dbraw/zinc/17/28/58/333172858.db2.gz TVFILAKEOMZKIF-MRXNPFEDSA-N 0 3 316.470 4.474 20 0 DIADHN CCc1ccc(CN[C@@H]2CCO[C@@H]2c2ccc(Cl)cc2)o1 ZINC000182110120 333175953 /nfs/dbraw/zinc/17/59/53/333175953.db2.gz QBVCUXGFOZKWOX-IAGOWNOFSA-N 0 3 305.805 4.115 20 0 DIADHN CCn1c(CNCC(C)(C)Cc2ccccc2)nc2ccccc21 ZINC000522716968 333176348 /nfs/dbraw/zinc/17/63/48/333176348.db2.gz AZGHJIANBDUFOB-UHFFFAOYSA-N 0 3 321.468 4.415 20 0 DIADHN CC(F)(F)CCNCc1ccc(Br)c(Cl)c1 ZINC000382055809 333182140 /nfs/dbraw/zinc/18/21/40/333182140.db2.gz BKONIVWGUFFFDQ-UHFFFAOYSA-N 0 3 312.585 4.237 20 0 DIADHN CC(F)(F)CCNCc1ccc(Cl)cc1Br ZINC000382100195 333197957 /nfs/dbraw/zinc/19/79/57/333197957.db2.gz OEDQOWSABYVCBT-UHFFFAOYSA-N 0 3 312.585 4.237 20 0 DIADHN CCc1ccccc1CNCc1cccc(OC(F)(F)F)c1 ZINC000318650484 333198296 /nfs/dbraw/zinc/19/82/96/333198296.db2.gz HYOIMFNGLUJZBT-UHFFFAOYSA-N 0 3 309.331 4.437 20 0 DIADHN Cc1ccc(CNC[C@H](c2ccco2)N2CCCC2)cc1Cl ZINC000318898791 333221849 /nfs/dbraw/zinc/22/18/49/333221849.db2.gz PYNFWQXXCWREFI-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc2c(c1)CCCC2 ZINC000017317290 333224157 /nfs/dbraw/zinc/22/41/57/333224157.db2.gz XCKNSYVGWAGMTQ-OAHLLOKOSA-N 0 3 323.436 4.187 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNC[C@@H]2CCSC2)o1 ZINC000582102338 333225513 /nfs/dbraw/zinc/22/55/13/333225513.db2.gz GWJDOTLNFOZXHB-NSHDSACASA-N 0 3 309.381 4.068 20 0 DIADHN Cc1cnccc1CCN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000563062196 333227026 /nfs/dbraw/zinc/22/70/26/333227026.db2.gz WTHPSEHPMFDWJI-GOSISDBHSA-N 0 3 321.424 4.301 20 0 DIADHN COc1cncc(/C=C\CCN2Cc3ccccc3C3(CC3)C2)c1 ZINC000563061406 333227129 /nfs/dbraw/zinc/22/71/29/333227129.db2.gz HYKGJUJYDKWKNB-XQRVVYSFSA-N 0 3 320.436 4.041 20 0 DIADHN COc1ccc(CN[C@H](C)[C@@H](OC)c2ccccc2Cl)cc1 ZINC000497261785 333229372 /nfs/dbraw/zinc/22/93/72/333229372.db2.gz NNSWIIOJUFDGHC-FZKQIMNGSA-N 0 3 319.832 4.214 20 0 DIADHN Fc1ccc([C@H](NCc2cccc3[nH]ccc32)[C@H]2CCCO2)cc1 ZINC000518786009 333235631 /nfs/dbraw/zinc/23/56/31/333235631.db2.gz KMCCPDSCJKSQCQ-UXHICEINSA-N 0 3 324.399 4.317 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cc2ccccc2s1 ZINC000187826240 333238472 /nfs/dbraw/zinc/23/84/72/333238472.db2.gz BWQNMVSLEQNHAT-RNRVQEDPSA-N 0 3 309.434 4.210 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000525759692 333239260 /nfs/dbraw/zinc/23/92/60/333239260.db2.gz KSMMHTYXIDJSQK-STQMWFEESA-N 0 3 323.383 4.112 20 0 DIADHN Cc1cccc2nc(CN(C)[C@@H](c3ccccc3)C(C)C)cn21 ZINC000497369649 333241048 /nfs/dbraw/zinc/24/10/48/333241048.db2.gz RQAZQKVUWPBSQQ-HXUWFJFHSA-N 0 3 307.441 4.472 20 0 DIADHN CCCCNC(=O)[C@H]1CCCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC000497390362 333244849 /nfs/dbraw/zinc/24/48/49/333244849.db2.gz QPZWKTGAPOZUMY-HOCLYGCPSA-N 0 3 322.880 4.029 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H](c2cccs2)C2CC2)c1 ZINC000514085457 333249525 /nfs/dbraw/zinc/24/95/25/333249525.db2.gz WFUGUGJXRYGLHU-ZUZCIYMTSA-N 0 3 321.467 4.398 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCc3ccc(OC)cc32)c1 ZINC000390223285 333250151 /nfs/dbraw/zinc/25/01/51/333250151.db2.gz FELCFKHSXCNNIY-XOBRGWDASA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCCOCc2ccccc2)cc1 ZINC000390233832 333251664 /nfs/dbraw/zinc/25/16/64/333251664.db2.gz JKGYMOQUGIQNML-QGZVFWFLSA-N 0 3 313.441 4.341 20 0 DIADHN c1cc(-c2noc(CN3CCCC34CCCCC4)n2)cs1 ZINC000533935936 333252989 /nfs/dbraw/zinc/25/29/89/333252989.db2.gz WMWNSKVMVRQCRW-UHFFFAOYSA-N 0 3 303.431 4.097 20 0 DIADHN COCC1(C)CCN(Cc2cccc(OC3CCCC3)c2)CC1 ZINC000500769905 333254421 /nfs/dbraw/zinc/25/44/21/333254421.db2.gz LRQMGXLUVBEFFX-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](c1ccc(F)cc1)C(C)C ZINC000390293767 333260087 /nfs/dbraw/zinc/26/00/87/333260087.db2.gz IOYLCFFNZQGZJP-LJQANCHMSA-N 0 3 311.404 4.269 20 0 DIADHN CC(C)[C@H](NCCc1ccc(Cl)s1)c1ccc(F)cn1 ZINC000497531002 333260222 /nfs/dbraw/zinc/26/02/22/333260222.db2.gz BZOJWAIQKUUJEU-HNNXBMFYSA-N 0 3 312.841 4.465 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccs2)cc1OC(F)F ZINC000019231385 333260689 /nfs/dbraw/zinc/26/06/89/333260689.db2.gz DDTLGPZZBOGMGC-JTQLQIEISA-N 0 3 313.369 4.209 20 0 DIADHN CCOC(=O)CC1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC000497615397 333270016 /nfs/dbraw/zinc/27/00/16/333270016.db2.gz OAYKJFQPFVAERO-CYBMUJFWSA-N 0 3 309.837 4.066 20 0 DIADHN CN(C)Cc1ccc(NC(=O)Cc2csc3ccccc23)cc1 ZINC000514088741 333275124 /nfs/dbraw/zinc/27/51/24/333275124.db2.gz IUGZLMKWDLAAFQ-UHFFFAOYSA-N 0 3 324.449 4.144 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000497696832 333279852 /nfs/dbraw/zinc/27/98/52/333279852.db2.gz QWSLJPVJLULXQV-ZFWWWQNUSA-N 0 3 300.471 4.304 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000388362278 333282263 /nfs/dbraw/zinc/28/22/63/333282263.db2.gz TWSQQGUAXCLYIY-SUNKGSAMSA-N 0 3 310.507 4.213 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000388362276 333282290 /nfs/dbraw/zinc/28/22/90/333282290.db2.gz TWSQQGUAXCLYIY-GYSYKLTISA-N 0 3 310.507 4.213 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc3ccccc3c2)cc1F ZINC000499600137 333286850 /nfs/dbraw/zinc/28/68/50/333286850.db2.gz KPJAATREDLUGOR-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN COc1ccccc1-c1noc([C@@H](C)NC[C@H](C)CC(C)C)n1 ZINC000499622934 333289449 /nfs/dbraw/zinc/28/94/49/333289449.db2.gz AICYODJJZKKUAG-ZIAGYGMSSA-N 0 3 317.433 4.078 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000390537485 333292819 /nfs/dbraw/zinc/29/28/19/333292819.db2.gz LNXWWSIZPZCYEW-CVEARBPZSA-N 0 3 311.425 4.486 20 0 DIADHN CC(C)c1nc(CCN[C@H](c2ccc(F)cn2)C(C)C)cs1 ZINC000497809702 333295239 /nfs/dbraw/zinc/29/52/39/333295239.db2.gz VYPUPYUVILWENV-INIZCTEOSA-N 0 3 321.465 4.330 20 0 DIADHN COc1ccc(C)cc1CCN[C@@H](c1ccc(F)cn1)C(C)C ZINC000497842466 333299999 /nfs/dbraw/zinc/29/99/99/333299999.db2.gz VRMKGUZLHRPMKS-LJQANCHMSA-N 0 3 316.420 4.067 20 0 DIADHN CC(C)(C)[C@@H](NCCSCCCO)c1cc2ccccc2o1 ZINC000497844272 333300515 /nfs/dbraw/zinc/30/05/15/333300515.db2.gz AKNIPEAEVVIILL-KRWDZBQOSA-N 0 3 321.486 4.225 20 0 DIADHN COc1cc([C@H](C)NC[C@@H]2CCCCC2(F)F)ccc1F ZINC000390562530 333300568 /nfs/dbraw/zinc/30/05/68/333300568.db2.gz AVTVSWBGEXXMTD-AAEUAGOBSA-N 0 3 301.352 4.310 20 0 DIADHN C[C@@H](CN(C)Cc1ncccc1C(F)(F)F)c1ccccc1 ZINC000514089690 333301523 /nfs/dbraw/zinc/30/15/23/333301523.db2.gz QXIXHQULOCAYNB-ZDUSSCGKSA-N 0 3 308.347 4.336 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1nc(-c2ccccc2)cs1 ZINC000390590494 333304912 /nfs/dbraw/zinc/30/49/12/333304912.db2.gz GKEKKJYVRSJOQV-CYBMUJFWSA-N 0 3 308.397 4.335 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2ccccc2OC(F)F)C1 ZINC000525781406 333306352 /nfs/dbraw/zinc/30/63/52/333306352.db2.gz WSTIVQFOILLOHI-KBPBESRZSA-N 0 3 315.429 4.394 20 0 DIADHN CCOC(=O)CCN(CC)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000525784456 333309928 /nfs/dbraw/zinc/30/99/28/333309928.db2.gz PHUGAURVMLTITA-LBPRGKRZSA-N 0 3 317.351 4.042 20 0 DIADHN C[C@H](c1ccc(OC(F)(F)F)cc1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000525785335 333311088 /nfs/dbraw/zinc/31/10/88/333311088.db2.gz LCXFPMAENOWFNK-GRYCIOLGSA-N 0 3 319.392 4.472 20 0 DIADHN FC1(F)CC[C@@H](CNCc2ccsc2Br)C1 ZINC000388474731 333313017 /nfs/dbraw/zinc/31/30/17/333313017.db2.gz PZKHJZDMZDDEJL-MRVPVSSYSA-N 0 3 310.207 4.036 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000503237582 333317142 /nfs/dbraw/zinc/31/71/42/333317142.db2.gz BDMKJPBAQUCZBS-PWSUYJOCSA-N 0 3 312.335 4.456 20 0 DIADHN CCOc1cc(CNC[C@@H]2CCCCC2(F)F)ccc1OC ZINC000390713919 333318014 /nfs/dbraw/zinc/31/80/14/333318014.db2.gz XNCTYQBOAIKJCO-AWEZNQCLSA-N 0 3 313.388 4.009 20 0 DIADHN C[C@@H](NCCc1csc(C(C)(C)C)n1)c1cccc(O)c1 ZINC000194940770 333318027 /nfs/dbraw/zinc/31/80/27/333318027.db2.gz MHTCDYZWFWMQBL-GFCCVEGCSA-N 0 3 304.459 4.040 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N(C)C)c1ccc(F)c(Cl)c1 ZINC000020553638 333323075 /nfs/dbraw/zinc/32/30/75/333323075.db2.gz JFAUUHGOKVVELZ-XHDPSFHLSA-N 0 3 310.800 4.026 20 0 DIADHN Cc1ccc2nc(CN3CC[C@H](c4ccccc4)[C@H]3C)cn2c1 ZINC000531202539 333330635 /nfs/dbraw/zinc/33/06/35/333330635.db2.gz VWDAVQJZCSRZKZ-APWZRJJASA-N 0 3 305.425 4.021 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CC2CCOCC2)cc1 ZINC000531211483 333335023 /nfs/dbraw/zinc/33/50/23/333335023.db2.gz DMLSOMFWMNBJPP-INIZCTEOSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@H](NCC1=Cc2ccccc2OC1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000531213898 333335417 /nfs/dbraw/zinc/33/54/17/333335417.db2.gz QNLRBLNCWPKDBU-LRAJWGHMSA-N 0 3 321.420 4.005 20 0 DIADHN COC(=O)CCC1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000531241114 333337491 /nfs/dbraw/zinc/33/74/91/333337491.db2.gz BRKMGHRIDSRSDE-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN COc1ccc(CNCc2ccccc2N(C)C)c2ccccc12 ZINC000531251132 333337890 /nfs/dbraw/zinc/33/78/90/333337890.db2.gz RCZNKVPMBIGXEV-UHFFFAOYSA-N 0 3 320.436 4.204 20 0 DIADHN Clc1cccc2c1CC[C@H]2NCc1ccccc1-n1cccn1 ZINC000537939127 333339806 /nfs/dbraw/zinc/33/98/06/333339806.db2.gz DSMPMXHPSONFSS-GOSISDBHSA-N 0 3 323.827 4.303 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCc1cscn1 ZINC000183060900 333352924 /nfs/dbraw/zinc/35/29/24/333352924.db2.gz WABHUVFGNHGMJP-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1sccc1Br ZINC000394671474 333358938 /nfs/dbraw/zinc/35/89/38/333358938.db2.gz SQPMYXGUICDOBU-SECBINFHSA-N 0 3 324.234 4.426 20 0 DIADHN CC(C)[C@H](NCc1c[nH]c2nccnc12)c1ccc(Cl)cc1 ZINC000583472265 333360888 /nfs/dbraw/zinc/36/08/88/333360888.db2.gz ZLIDGRDYOHLVBO-HNNXBMFYSA-N 0 3 314.820 4.050 20 0 DIADHN C[C@H](NCC(C)(C)CCCO)c1cccc(Cl)c1Cl ZINC000221586432 333361391 /nfs/dbraw/zinc/36/13/91/333361391.db2.gz DWVPRZDVLHASRU-NSHDSACASA-N 0 3 304.261 4.443 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1cccc(Cl)c1 ZINC000184675657 333363638 /nfs/dbraw/zinc/36/36/38/333363638.db2.gz KXOXDNYVWLGLBU-CYBMUJFWSA-N 0 3 303.833 4.418 20 0 DIADHN c1coc(CN(CCCC2CCOCC2)Cc2ccccc2)c1 ZINC000463297586 333371672 /nfs/dbraw/zinc/37/16/72/333371672.db2.gz NSLOREPAVGKAHX-UHFFFAOYSA-N 0 3 313.441 4.489 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3ccc(Cl)cn3)C2)c(C)c1 ZINC000565338518 333372120 /nfs/dbraw/zinc/37/21/20/333372120.db2.gz YEVFSSDTCQNFMM-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN CCc1nc(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000531452462 333372272 /nfs/dbraw/zinc/37/22/72/333372272.db2.gz YFPHYXUKIIYVNZ-QWRGUYRKSA-N 0 3 306.397 4.164 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2ccccc2OC(F)F)CS1 ZINC000488411610 333374354 /nfs/dbraw/zinc/37/43/54/333374354.db2.gz NCZODWWZDVAFIW-ZOWXZIJZSA-N 0 3 315.429 4.469 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccccc2OC(F)F)CS1 ZINC000488426522 333376118 /nfs/dbraw/zinc/37/61/18/333376118.db2.gz TUFQYLWSANTJOP-JSGCOSHPSA-N 0 3 315.429 4.298 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1ncc(Br)cc1F ZINC000186904225 333380681 /nfs/dbraw/zinc/38/06/81/333380681.db2.gz OKVZIRXCFZGLKO-NRUUGDAUSA-N 0 3 315.230 4.213 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCCCO2)c2ccccc2)cc1 ZINC000391938261 335137269 /nfs/dbraw/zinc/13/72/69/335137269.db2.gz MADLOFHLBGXEOU-PMACEKPBSA-N 0 3 313.416 4.464 20 0 DIADHN Fc1ccc([C@@H](NCC[C@H]2CCCCO2)c2ccccc2)cc1 ZINC000391938264 335137281 /nfs/dbraw/zinc/13/72/81/335137281.db2.gz MADLOFHLBGXEOU-UXHICEINSA-N 0 3 313.416 4.464 20 0 DIADHN COc1cccc(-c2nc(CN(C)[C@H](C)C(C)C)cs2)c1 ZINC000521429901 333382773 /nfs/dbraw/zinc/38/27/73/333382773.db2.gz GWWSRLYNFIUXLA-CYBMUJFWSA-N 0 3 304.459 4.295 20 0 DIADHN Fc1ccc([C@H](NCC[C@H]2CCCCO2)c2ccccc2)cc1 ZINC000391938269 335137335 /nfs/dbraw/zinc/13/73/35/335137335.db2.gz MADLOFHLBGXEOU-WOJBJXKFSA-N 0 3 313.416 4.464 20 0 DIADHN Cc1nc(CCN[C@H](C)c2sc(C(C)(C)C)nc2C)cs1 ZINC000221962183 333384792 /nfs/dbraw/zinc/38/47/92/333384792.db2.gz GLIJTBWBCUFVLM-SNVBAGLBSA-N 0 3 323.531 4.407 20 0 DIADHN c1cc(CNCCCCCOC2CCCCC2)nc2c1CCC2 ZINC000563100756 333390767 /nfs/dbraw/zinc/39/07/67/333390767.db2.gz OFYUOVWSGBJWTN-UHFFFAOYSA-N 0 3 316.489 4.180 20 0 DIADHN FC1(F)CC(CNCc2ccc(Br)cc2Cl)C1 ZINC000394724297 333394970 /nfs/dbraw/zinc/39/49/70/333394970.db2.gz DIBMVGXRVWPBDC-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN Cc1cnc([C@H](C)S[C@@H]2CCCN(Cc3ccccc3)C2)o1 ZINC000563128716 333395072 /nfs/dbraw/zinc/39/50/72/333395072.db2.gz VNOYDFHZLJCICE-DOTOQJQBSA-N 0 3 316.470 4.442 20 0 DIADHN CC[C@@H](CNCc1ccc(-c2nc3ccccc3s2)o1)OC ZINC000319709705 333398952 /nfs/dbraw/zinc/39/89/52/333398952.db2.gz JETZWRQKHZBZCU-LBPRGKRZSA-N 0 3 316.426 4.071 20 0 DIADHN C[C@@H](NCCc1cccc2cccnc21)c1cc(F)ccc1F ZINC000390849707 333399276 /nfs/dbraw/zinc/39/92/76/333399276.db2.gz IUTNCQJPRKXZKR-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN COc1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)ccn1 ZINC000538323058 333411331 /nfs/dbraw/zinc/41/13/31/333411331.db2.gz GEHQWXCTJARYJP-ULFGMLNVSA-N 0 3 316.832 4.231 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000063099091 333418518 /nfs/dbraw/zinc/41/85/18/333418518.db2.gz SCKPOTLPZMLQDJ-QMMMGPOBSA-N 0 3 301.230 4.188 20 0 DIADHN Cc1ccc2cc(CN3CCC(OC4CCCC4)CC3)ccc2n1 ZINC000187102156 333418876 /nfs/dbraw/zinc/41/88/76/333418876.db2.gz XXDZDBVDKMGTNU-UHFFFAOYSA-N 0 3 324.468 4.467 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccc2c(c1)OCO2 ZINC000061326606 333427303 /nfs/dbraw/zinc/42/73/03/333427303.db2.gz WTCIOPAXNKGVLU-SMDDNHRTSA-N 0 3 301.411 4.205 20 0 DIADHN CC(C)C[C@@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000187391334 333431688 /nfs/dbraw/zinc/43/16/88/333431688.db2.gz SHJBUFYLEUIIBF-ZJUUUORDSA-N 0 3 303.219 4.068 20 0 DIADHN Cc1cc([C@H](C)NCc2cc3ccccc3[nH]c2=O)c(C)s1 ZINC000122556495 333447991 /nfs/dbraw/zinc/44/79/91/333447991.db2.gz CRGHKVWMRWKWQT-LBPRGKRZSA-N 0 3 312.438 4.470 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(OC)c(Cl)c1 ZINC000122916351 333464659 /nfs/dbraw/zinc/46/46/59/333464659.db2.gz MDQFUPSFDOMYTH-UHFFFAOYSA-N 0 3 319.832 4.175 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccccc1F ZINC000563264133 333515914 /nfs/dbraw/zinc/51/59/14/333515914.db2.gz NUTVUHRJWWAZDC-HZWIQKDOSA-N 0 3 319.445 4.091 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H]1C[C@@H](OCC)C12CCC2 ZINC000531724333 333544203 /nfs/dbraw/zinc/54/42/03/333544203.db2.gz ZDAVRHKBSRSPQW-JCGIZDLHSA-N 0 3 303.446 4.084 20 0 DIADHN CC[C@H](NCCOC1CCCC1)c1ccccc1OC(F)F ZINC000531693583 333546085 /nfs/dbraw/zinc/54/60/85/333546085.db2.gz RYGWOZAZSXWNRT-HNNXBMFYSA-N 0 3 313.388 4.288 20 0 DIADHN COCCOCCN[C@H](C)c1ccc(C2CCCCC2)cc1 ZINC000531695375 333546710 /nfs/dbraw/zinc/54/67/10/333546710.db2.gz OSQVTZDQHFSFOS-MRXNPFEDSA-N 0 3 305.462 4.048 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1ccc(-c2ccncc2)cc1 ZINC000531696924 333546973 /nfs/dbraw/zinc/54/69/73/333546973.db2.gz MLAWPQSLYSGXEW-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN CO[C@@H](CN[C@@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000531701958 333547954 /nfs/dbraw/zinc/54/79/54/333547954.db2.gz SADMWRJLRSKWKC-MSOLQXFVSA-N 0 3 301.817 4.305 20 0 DIADHN CCn1nc(C)c(CN[C@H]2c3cccc(F)c3CC[C@@H]2C)c1C ZINC000531710300 333548992 /nfs/dbraw/zinc/54/89/92/333548992.db2.gz QCUPXGMNGKXYTB-HXPMCKFVSA-N 0 3 315.436 4.072 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000531712635 333549526 /nfs/dbraw/zinc/54/95/26/333549526.db2.gz UWEZCUHVZQRWJF-HOCLYGCPSA-N 0 3 316.395 4.284 20 0 DIADHN COc1ccc(CN[C@@H]2CCCC(F)(F)C2)c(Cl)c1OC ZINC000531758722 333553880 /nfs/dbraw/zinc/55/38/80/333553880.db2.gz DTXACHSNGLSBHV-LLVKDONJSA-N 0 3 319.779 4.025 20 0 DIADHN c1sc(-c2ccccc2)nc1CN[C@H]1CCOC2(CCC2)C1 ZINC000531759466 333554162 /nfs/dbraw/zinc/55/41/62/333554162.db2.gz VXGHVRYNCUJZPO-HNNXBMFYSA-N 0 3 314.454 4.001 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@H](CC)CCO)o2)cc1 ZINC000531785600 333558806 /nfs/dbraw/zinc/55/88/06/333558806.db2.gz SXBACDOUJJKNJY-MRXNPFEDSA-N 0 3 301.430 4.007 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCCc2ccc(Cl)cc21)c1ccco1 ZINC000534235679 333568307 /nfs/dbraw/zinc/56/83/07/333568307.db2.gz MVVZWGZPKQDIJV-IAGOWNOFSA-N 0 3 318.848 4.203 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)Cc2c(C)noc2C)cc1Cl ZINC000152106553 333610707 /nfs/dbraw/zinc/61/07/07/333610707.db2.gz ITKWBIJCZQFNLM-GHMZBOCLSA-N 0 3 322.836 4.235 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC(c3nccs3)CC2)c1 ZINC000411210358 333642412 /nfs/dbraw/zinc/64/24/12/333642412.db2.gz PTJCBBSBRVMUOT-HOTGVXAUSA-N 0 3 302.418 4.018 20 0 DIADHN OC1(CN[C@@H]2CCSc3ccc(F)cc32)CCCCCC1 ZINC000343354100 335152712 /nfs/dbraw/zinc/15/27/12/335152712.db2.gz URAYBTQCVOGYJD-OAHLLOKOSA-N 0 3 309.450 4.038 20 0 DIADHN Clc1ccc(C2(NCCc3cncs3)CC2)cc1Cl ZINC000411344082 333658718 /nfs/dbraw/zinc/65/87/18/333658718.db2.gz DCSQOTJURQOESG-UHFFFAOYSA-N 0 3 313.253 4.271 20 0 DIADHN C[C@@H](NCCc1cncs1)c1ccc(Cl)c(Cl)c1 ZINC000411435446 333671581 /nfs/dbraw/zinc/67/15/81/333671581.db2.gz CYSKZZZRPFUCML-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc([C@H](C)NCCC(=O)Nc2cccc(F)c2C)cc1 ZINC000411466436 333677108 /nfs/dbraw/zinc/67/71/08/333677108.db2.gz ZOTFMHOKOPOVNV-HNNXBMFYSA-N 0 3 314.404 4.122 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](c3cnn(C)c3)C(C)(C)C)cc2c1 ZINC000411471419 333678210 /nfs/dbraw/zinc/67/82/10/333678210.db2.gz FECCWLMUWVAILU-IBGZPJMESA-N 0 3 322.456 4.154 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2cccc(F)c2C)c(C)o1 ZINC000411519575 333687477 /nfs/dbraw/zinc/68/74/77/333687477.db2.gz NDYPWVFTRAVMFK-ZDUSSCGKSA-N 0 3 318.392 4.023 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3CCCC[C@H]3n3cccn3)cc2c1 ZINC000411557313 333693344 /nfs/dbraw/zinc/69/33/44/333693344.db2.gz JUDXQZQNQZTCOE-VQTJNVASSA-N 0 3 320.440 4.013 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCCc4c(O)cccc43)cc2c1 ZINC000411625377 333698802 /nfs/dbraw/zinc/69/88/02/333698802.db2.gz WAKBVDWBMYQCKY-HXUWFJFHSA-N 0 3 318.420 4.416 20 0 DIADHN CCCn1nccc1C1CCN([C@H]2C[C@@H]2c2ccccc2)CC1 ZINC000411692183 333701938 /nfs/dbraw/zinc/70/19/38/333701938.db2.gz PDYJWDMSYGOYTE-QUCCMNQESA-N 0 3 309.457 4.029 20 0 DIADHN Clc1ccc(CN[C@H]2CCO[C@H]2c2cccnc2)cc1Cl ZINC000343411499 335162871 /nfs/dbraw/zinc/16/28/71/335162871.db2.gz VMIRXNZYMUWYNZ-HOTGVXAUSA-N 0 3 323.223 4.008 20 0 DIADHN COC[C@H](NCCc1ccccc1C(F)(F)F)c1ccccc1 ZINC000584343111 333805381 /nfs/dbraw/zinc/80/53/81/333805381.db2.gz YTAJJFAOVXHUFJ-KRWDZBQOSA-N 0 3 323.358 4.225 20 0 DIADHN Clc1cc(Cl)cc(CN[C@@H]2CCO[C@@H]2c2cccnc2)c1 ZINC000343396924 335161784 /nfs/dbraw/zinc/16/17/84/335161784.db2.gz IAJFCOCCQAPFSI-HZPDHXFCSA-N 0 3 323.223 4.008 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000343437431 335164414 /nfs/dbraw/zinc/16/44/14/335164414.db2.gz DNHDLKQVPWDBHU-HBNTYKKESA-N 0 3 310.488 4.254 20 0 DIADHN Cc1cc(C)c(C(=O)Nc2ccc(CN(C)C)cc2F)c(C)c1 ZINC000413940629 333883005 /nfs/dbraw/zinc/88/30/05/333883005.db2.gz SLJBXOFVMXFEIE-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN C[C@H](NCCC(c1ccccc1)c1ccccc1)c1ccn(C)n1 ZINC000414048975 333887656 /nfs/dbraw/zinc/88/76/56/333887656.db2.gz FFTWRUNHPXBUQV-KRWDZBQOSA-N 0 3 319.452 4.293 20 0 DIADHN C[C@H](NC1CCC(c2ccccc2)CC1)c1cc2n(n1)CCC2 ZINC000414074079 333891692 /nfs/dbraw/zinc/89/16/92/333891692.db2.gz WQFZTJGZXMYHOE-ZLPCBKJTSA-N 0 3 309.457 4.206 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414077285 333892281 /nfs/dbraw/zinc/89/22/81/333892281.db2.gz RCROTKCLAYBLPS-CVEARBPZSA-N 0 3 311.473 4.236 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1ccc(-c2ccccc2F)o1 ZINC000343452576 335165882 /nfs/dbraw/zinc/16/58/82/335165882.db2.gz ZYPCTEZKEAWTON-AWEZNQCLSA-N 0 3 303.377 4.087 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H](c3cccc(Cl)c3)C2)on1 ZINC000414124137 333898617 /nfs/dbraw/zinc/89/86/17/333898617.db2.gz PAJWPVVQDYEVLQ-HOCLYGCPSA-N 0 3 304.821 4.452 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccc(Oc3ccccc3)cn2)C1 ZINC000414132963 333900126 /nfs/dbraw/zinc/90/01/26/333900126.db2.gz BGJFLABRAMBOPU-RLFYNMQTSA-N 0 3 312.413 4.092 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)s1 ZINC000414145447 333902547 /nfs/dbraw/zinc/90/25/47/333902547.db2.gz UOWLFEWDQCYADX-PSOPSSQASA-N 0 3 321.877 4.487 20 0 DIADHN CC[C@@H](N[C@H](C)c1csc(-c2ccccc2)n1)C(C)(C)O ZINC000414147616 333902984 /nfs/dbraw/zinc/90/29/84/333902984.db2.gz RPQFIZPMIJCMPO-IUODEOHRSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1cc2n(n1)CCC2 ZINC000414148839 333903177 /nfs/dbraw/zinc/90/31/77/333903177.db2.gz OGEMNAJFZVGSHS-NOLJZWGESA-N 0 3 315.848 4.080 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2cccc(Cl)c2)C1)c1ccn(C)n1 ZINC000414152072 333903929 /nfs/dbraw/zinc/90/39/29/333903929.db2.gz QOFLWZIXSLVINP-BJJXKVORSA-N 0 3 303.837 4.060 20 0 DIADHN COc1ccc([C@H](C)NCc2ccnc(C(F)(F)F)c2)c(C)c1 ZINC000414133008 333906421 /nfs/dbraw/zinc/90/64/21/333906421.db2.gz ASEPFRSASOYDHT-LBPRGKRZSA-N 0 3 324.346 4.268 20 0 DIADHN Cc1nnsc1CN[C@@H]1CCC[C@@H](c2ccc(Cl)cc2)C1 ZINC000414119867 333906484 /nfs/dbraw/zinc/90/64/84/333906484.db2.gz DVRSSAQCGZQLMV-UKRRQHHQSA-N 0 3 321.877 4.316 20 0 DIADHN CC1(C)CC[C@@H](NCc2ccc3cncn3c2)c2ccccc21 ZINC000414176766 333908875 /nfs/dbraw/zinc/90/88/75/333908875.db2.gz HUFXDKQOWJRBPH-LJQANCHMSA-N 0 3 305.425 4.237 20 0 DIADHN CC1(C)CC[C@H](NCc2ccc3cncn3c2)c2ccccc21 ZINC000414176762 333908881 /nfs/dbraw/zinc/90/88/81/333908881.db2.gz HUFXDKQOWJRBPH-IBGZPJMESA-N 0 3 305.425 4.237 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2NCc1ccc2cncn2c1 ZINC000414189676 333911373 /nfs/dbraw/zinc/91/13/73/333911373.db2.gz RFLLXLVRQDGXAN-SFHVURJKSA-N 0 3 311.816 4.155 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc2ccc(Cl)cc2n1C ZINC000414199908 333913189 /nfs/dbraw/zinc/91/31/89/333913189.db2.gz DDFCQSZNGSBSOL-XCCSTKFXSA-N 0 3 303.837 4.066 20 0 DIADHN COCC[C@H](C)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414204750 333913889 /nfs/dbraw/zinc/91/38/89/333913889.db2.gz GRFRUVYOWQIUAW-UONOGXRCSA-N 0 3 304.459 4.133 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2C[C@H]2c2ccccc2F)cnn1C(C)(C)C ZINC000414167683 333916864 /nfs/dbraw/zinc/91/68/64/333916864.db2.gz GZBXFYFVAONLQQ-HNJNHCNJSA-N 0 3 315.436 4.292 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnccc1C(C)(C)C)CC2 ZINC000414240477 333918686 /nfs/dbraw/zinc/91/86/86/333918686.db2.gz ZXSKWDRAYARHGN-LJQANCHMSA-N 0 3 310.441 4.165 20 0 DIADHN CO[C@@](C)([C@@H](C)N[C@@H]1CC2(CCC2)Oc2ccccc21)C1CC1 ZINC000414230823 333926653 /nfs/dbraw/zinc/92/66/53/333926653.db2.gz GSSXTGBUOLTDJQ-BJZITVGISA-N 0 3 315.457 4.226 20 0 DIADHN C[C@@H](NCC(C)(C)OCC1CC1)c1cnc2ccsc2c1 ZINC000414271046 333931223 /nfs/dbraw/zinc/93/12/23/333931223.db2.gz PKFLXADWQSISKO-GFCCVEGCSA-N 0 3 304.459 4.152 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)CC(F)(F)F ZINC000414284597 333933550 /nfs/dbraw/zinc/93/35/50/333933550.db2.gz QTLKJSDXTCUKPH-BQBZGAKWSA-N 0 3 320.302 4.401 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@H](C)C[C@H](c2ccccc2)C1 ZINC000414297516 333935353 /nfs/dbraw/zinc/93/53/53/333935353.db2.gz YMZKCPRLGHGCHA-TWKWOARYSA-N 0 3 309.457 4.408 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@H](C)C3(OC)CCC3)oc21 ZINC000414300378 333936166 /nfs/dbraw/zinc/93/61/66/333936166.db2.gz ITXUBBOPVYRYTP-QWHCGFSZSA-N 0 3 303.402 4.050 20 0 DIADHN Fc1ccc([C@@H](C[C@H]2CCCO2)N[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414351790 333945991 /nfs/dbraw/zinc/94/59/91/333945991.db2.gz SEQCXUDEUVKACK-BRSBDYLESA-N 0 3 315.388 4.175 20 0 DIADHN Cc1occc1CNCCc1nc(-c2ccccc2)c(C)s1 ZINC000414380870 333954945 /nfs/dbraw/zinc/95/49/45/333954945.db2.gz PGHDETFDOSPCTC-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)CC(F)(F)F)CCS2 ZINC000343472565 335167775 /nfs/dbraw/zinc/16/77/75/335167775.db2.gz UYLZJGNSZDLNLX-BXKDBHETSA-N 0 3 305.365 4.163 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1ccccc1OC1CCCC1 ZINC000343490915 335169122 /nfs/dbraw/zinc/16/91/22/335169122.db2.gz MTCVWVNQLHVSKO-KRWDZBQOSA-N 0 3 303.446 4.009 20 0 DIADHN CN(C)Cc1ccc(NCc2ccccc2OC(F)F)c(F)c1 ZINC000414491190 333995959 /nfs/dbraw/zinc/99/59/59/333995959.db2.gz SQLKSJBYOXJQAJ-UHFFFAOYSA-N 0 3 324.346 4.101 20 0 DIADHN CC[C@@H](NCc1cnn(-c2ccc(OC)cc2)c1)c1ccccc1 ZINC000414494727 333996142 /nfs/dbraw/zinc/99/61/42/333996142.db2.gz LMFHDQDXRITBDY-HXUWFJFHSA-N 0 3 321.424 4.122 20 0 DIADHN Clc1cccc(Cl)c1CN[C@H]1CCOC2(CCC2)C1 ZINC000268969368 333996611 /nfs/dbraw/zinc/99/66/11/333996611.db2.gz MLDXHCWSBUEUFG-NSHDSACASA-N 0 3 300.229 4.185 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cc2ccc(F)cc2[nH]1 ZINC000414493937 333996930 /nfs/dbraw/zinc/99/69/30/333996930.db2.gz CUYMFLMUOVWHSH-UHFFFAOYSA-N 0 3 311.404 4.289 20 0 DIADHN CCc1nocc1CN[C@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000414497483 333998275 /nfs/dbraw/zinc/99/82/75/333998275.db2.gz FYKIPDIDPARBEZ-BBRMVZONSA-N 0 3 304.821 4.316 20 0 DIADHN C[C@@H](CCCC(F)(F)F)Nc1ccc(CN(C)C)cc1F ZINC000414502086 333999416 /nfs/dbraw/zinc/99/94/16/333999416.db2.gz TZHBYUHKDIYBOC-NSHDSACASA-N 0 3 306.347 4.420 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cscc1C(F)(F)F)C1CC1 ZINC000414505793 334000764 /nfs/dbraw/zinc/00/07/64/334000764.db2.gz VITGOXLRLKSVIO-ZANVPECISA-N 0 3 307.381 4.060 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cnc(-c2ccc(C)o2)s1)C1CC1 ZINC000414507883 334001827 /nfs/dbraw/zinc/00/18/27/334001827.db2.gz ZPTFQGNQMKRFPB-SJCJKPOMSA-N 0 3 320.458 4.005 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(-c3c(F)cccc3F)o2)[C@H](C)O1 ZINC000414508425 334002025 /nfs/dbraw/zinc/00/20/25/334002025.db2.gz BERPTKOJRLDKSG-FRRDWIJNSA-N 0 3 321.367 4.128 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(F)c(Cl)c2Cl)[C@H](C)O1 ZINC000414509348 334002048 /nfs/dbraw/zinc/00/20/48/334002048.db2.gz CGBMZWMKPGKSHU-WCABBAIRSA-N 0 3 306.208 4.036 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C[C@@H](NCc2ccn(C(F)F)n2)C1 ZINC000414509891 334002160 /nfs/dbraw/zinc/00/21/60/334002160.db2.gz GQBZTWWDFMGOBR-LXZKKBNFSA-N 0 3 319.399 4.340 20 0 DIADHN CC[C@@H](CNCc1cnc([C@H]2CCCO2)s1)CC(F)(F)F ZINC000414516330 334004308 /nfs/dbraw/zinc/00/43/08/334004308.db2.gz OEYVSWJWGKPKHA-ZYHUDNBSSA-N 0 3 322.396 4.063 20 0 DIADHN CC[C@H](CNCc1cnc([C@@H]2CCCO2)s1)CC(F)(F)F ZINC000414516324 334004384 /nfs/dbraw/zinc/00/43/84/334004384.db2.gz OEYVSWJWGKPKHA-JQWIXIFHSA-N 0 3 322.396 4.063 20 0 DIADHN CC(C)(CNCc1cnc(C2CCCC2)s1)OCC1CC1 ZINC000414515295 334004661 /nfs/dbraw/zinc/00/46/61/334004661.db2.gz LSUUCIIZLMSRID-UHFFFAOYSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@@H](NCc1csc(-c2ccc(C)cc2)n1)[C@@H](O)C(C)C ZINC000414519979 334005694 /nfs/dbraw/zinc/00/56/94/334005694.db2.gz CSSLENBJEQTNBE-SJORKVTESA-N 0 3 318.486 4.004 20 0 DIADHN CC(C)[C@@H]1N(C/C=C\c2ccc(F)c(F)c2)CC12CCOCC2 ZINC000414521641 334006456 /nfs/dbraw/zinc/00/64/56/334006456.db2.gz AHTLNEPRGIBXLZ-JXCVUKRWSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)Oc1ccccc1CN1CC2(CCOCC2)[C@@H]1C(C)C ZINC000414528397 334007843 /nfs/dbraw/zinc/00/78/43/334007843.db2.gz ODQKUPMPVDWFLI-IBGZPJMESA-N 0 3 317.473 4.111 20 0 DIADHN Cc1nn(C(C)C)cc1CN(CCc1cccc(F)c1)C1CC1 ZINC000343538222 335170365 /nfs/dbraw/zinc/17/03/65/335170365.db2.gz UNYYLEZEQXKPEV-UHFFFAOYSA-N 0 3 315.436 4.119 20 0 DIADHN CC(C)Oc1cccc(CN2CC3(CCOCC3)[C@@H]2C(C)C)c1 ZINC000414532239 334008815 /nfs/dbraw/zinc/00/88/15/334008815.db2.gz SPYQGPQWMPZYNU-IBGZPJMESA-N 0 3 317.473 4.111 20 0 DIADHN CC(C)[C@H]1N(C/C=C/c2ccc(F)cc2F)CC12CCOCC2 ZINC000414538717 334012595 /nfs/dbraw/zinc/01/25/95/334012595.db2.gz MLSXHLSTYCOJGM-OAJJDEHYSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)[C@H]1N(C[C@@H](C)c2cc(F)cc(F)c2)CC12CCOCC2 ZINC000414541195 334013680 /nfs/dbraw/zinc/01/36/80/334013680.db2.gz QCDDVDVTGNRVGU-RDTXWAMCSA-N 0 3 323.427 4.205 20 0 DIADHN CC(C)OCC(C)(C)NCc1cnc(-c2ccsc2)s1 ZINC000414546798 334014395 /nfs/dbraw/zinc/01/43/95/334014395.db2.gz HVXMGKDROWGGIO-UHFFFAOYSA-N 0 3 310.488 4.165 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCC(C)(C)c2cccnc2)c1 ZINC000414558686 334017655 /nfs/dbraw/zinc/01/76/55/334017655.db2.gz NQYADJNGJCKYHD-UHFFFAOYSA-N 0 3 320.383 4.059 20 0 DIADHN CC(=O)Nc1ccc(CN(Cc2cccs2)C(C)C)cc1 ZINC000271091291 334018417 /nfs/dbraw/zinc/01/84/17/334018417.db2.gz WJQRRMIGZBBVGI-UHFFFAOYSA-N 0 3 302.443 4.117 20 0 DIADHN CC(C)(CNCc1cnc(C2CCCC2)s1)c1cccnc1 ZINC000414561936 334019835 /nfs/dbraw/zinc/01/98/35/334019835.db2.gz TWEIEDHOKRWJOW-UHFFFAOYSA-N 0 3 315.486 4.263 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCF)ccc1Br ZINC000430239677 334021365 /nfs/dbraw/zinc/02/13/65/334021365.db2.gz LALCVJWUXCZTHB-AWEZNQCLSA-N 0 3 300.215 4.254 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2cnccc2C)cc1OC ZINC000271482771 334023793 /nfs/dbraw/zinc/02/37/93/334023793.db2.gz DOJIUQHVKOPNBL-CABCVRRESA-N 0 3 314.429 4.127 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1 ZINC000437109751 334028244 /nfs/dbraw/zinc/02/82/44/334028244.db2.gz YMTJPCUZISEZTQ-XHDPSFHLSA-N 0 3 316.367 4.273 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@H](C)C(F)(F)F)CCS2 ZINC000343516280 335173681 /nfs/dbraw/zinc/17/36/81/335173681.db2.gz XTCFYANDQDVFBH-CABZTGNLSA-N 0 3 305.365 4.020 20 0 DIADHN COc1ccccc1[C@@H]1CCN([C@@H](C)c2nc3ccccc3o2)C1 ZINC000272504423 334035855 /nfs/dbraw/zinc/03/58/55/334035855.db2.gz JEZRZBIEHVBQTE-LSDHHAIUSA-N 0 3 322.408 4.387 20 0 DIADHN Cc1ccoc1CN(C)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000273466280 334047416 /nfs/dbraw/zinc/04/74/16/334047416.db2.gz IMEOTFFXPDCIRJ-IAGOWNOFSA-N 0 3 303.377 4.079 20 0 DIADHN Cc1ccoc1CN(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000273466282 334047560 /nfs/dbraw/zinc/04/75/60/334047560.db2.gz IMEOTFFXPDCIRJ-SJORKVTESA-N 0 3 303.377 4.079 20 0 DIADHN CCCN(Cc1nc(C2CC2)cs1)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000343529209 335175314 /nfs/dbraw/zinc/17/53/14/335175314.db2.gz MKJOVAUQJVERRZ-XYPHTWIQSA-N 0 3 320.502 4.046 20 0 DIADHN Fc1ccc([C@@H](NCc2cncc(F)c2)C2CCCC2)cc1 ZINC000275212930 334070033 /nfs/dbraw/zinc/07/00/33/334070033.db2.gz SOQMEDHPJRQHCI-SFHVURJKSA-N 0 3 302.368 4.381 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)C1(C)CCCCCC1 ZINC000275635982 334072516 /nfs/dbraw/zinc/07/25/16/334072516.db2.gz LFPOJIZNTZFFQC-UHFFFAOYSA-N 0 3 302.462 4.090 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2cnn(C(C)(C)C)c2C)c1 ZINC000276369506 334076310 /nfs/dbraw/zinc/07/63/10/334076310.db2.gz OECDMWTZUPLSHV-KGLIPLIRSA-N 0 3 315.461 4.367 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H](C)c1csc(Cl)c1 ZINC000276415053 334077651 /nfs/dbraw/zinc/07/76/51/334077651.db2.gz VDHYIHIMFFCQHI-SFYZADRCSA-N 0 3 301.761 4.020 20 0 DIADHN COC1(C[C@H](C)NCc2coc(-c3ccc(C)cc3)n2)CCC1 ZINC000277040654 334086225 /nfs/dbraw/zinc/08/62/25/334086225.db2.gz GAJQODZVJACTNK-HNNXBMFYSA-N 0 3 314.429 4.087 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NC[C@@H]2CCSC2)cc1 ZINC000278800829 334101449 /nfs/dbraw/zinc/10/14/49/334101449.db2.gz RJPGWHDHWMJODW-GXFFZTMASA-N 0 3 307.356 4.162 20 0 DIADHN CCCC[C@H](NCc1ccc(NC(C)=O)nc1)c1ccccc1 ZINC000278981408 334104365 /nfs/dbraw/zinc/10/43/65/334104365.db2.gz DAYLXMXMEZXDQJ-SFHVURJKSA-N 0 3 311.429 4.061 20 0 DIADHN CCCc1csc(CNC[C@H](N)c2ccc(C(C)C)cc2)n1 ZINC000414849066 334121112 /nfs/dbraw/zinc/12/11/12/334121112.db2.gz QOJYXJIMKFSYPH-KRWDZBQOSA-N 0 3 317.502 4.009 20 0 DIADHN C[C@@H](NC[C@@H]1COc2ccccc21)c1ccc2ccccc2n1 ZINC000290224376 334171812 /nfs/dbraw/zinc/17/18/12/334171812.db2.gz IDUQAHQXXVIABD-GDBMZVCRSA-N 0 3 304.393 4.062 20 0 DIADHN Cc1cnc([C@H](C)CN[C@H](C)c2nccc3ccccc32)s1 ZINC000290710498 334174248 /nfs/dbraw/zinc/17/42/48/334174248.db2.gz NWKIWBJQADRCFK-TZMCWYRMSA-N 0 3 311.454 4.454 20 0 DIADHN CC(C)Oc1cccc(CN[C@H]2CCCc3cc(N)ccc32)c1 ZINC000414913546 334175259 /nfs/dbraw/zinc/17/52/59/334175259.db2.gz JAYVIRUCFRHLSK-FQEVSTJZSA-N 0 3 310.441 4.223 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(OC(F)F)cc1 ZINC000414919672 334180424 /nfs/dbraw/zinc/18/04/24/334180424.db2.gz VOOLDEIINQDHRV-KRWDZBQOSA-N 0 3 318.367 4.037 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccccc1OC(F)F ZINC000414921004 334181639 /nfs/dbraw/zinc/18/16/39/334181639.db2.gz ZXUQBYCRZVJTTF-INIZCTEOSA-N 0 3 318.367 4.037 20 0 DIADHN c1coc(CN(CCC2CCOCC2)Cc2cccs2)c1 ZINC000292755585 334191976 /nfs/dbraw/zinc/19/19/76/334191976.db2.gz XDAXNYCJXVUOTL-UHFFFAOYSA-N 0 3 305.443 4.160 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cc(F)cc2cccnc21 ZINC000414934270 334196893 /nfs/dbraw/zinc/19/68/93/334196893.db2.gz SSKGQMNTIGUZGJ-LJQANCHMSA-N 0 3 321.399 4.123 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCC[C@@H](c2ncccc2C)C1 ZINC000293598791 334199559 /nfs/dbraw/zinc/19/95/59/334199559.db2.gz DECJAOAGZUVQAE-HZPDHXFCSA-N 0 3 313.445 4.065 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cccc(Cl)c1F ZINC000340450661 334205115 /nfs/dbraw/zinc/20/51/15/334205115.db2.gz WKGQDLGPMZXFTR-QMTHXVAHSA-N 0 3 308.784 4.382 20 0 DIADHN Cc1cc(N)cc(CNCc2ccc(C(F)(F)F)c(C)c2)c1 ZINC000414958003 334210223 /nfs/dbraw/zinc/21/02/23/334210223.db2.gz CNEITHRBVSRGMD-UHFFFAOYSA-N 0 3 308.347 4.194 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N1C[C@@H]2CCCN2Cc2ccccc21 ZINC000340491928 334218531 /nfs/dbraw/zinc/21/85/31/334218531.db2.gz UNNORJFKMLPDMM-AEFFLSMTSA-N 0 3 314.473 4.070 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc(Cl)cc1 ZINC000022154008 334218656 /nfs/dbraw/zinc/21/86/56/334218656.db2.gz WCGXHASDTQNMMP-NEPJUHHUSA-N 0 3 303.789 4.481 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)C(C)(C)O ZINC000340502222 334222959 /nfs/dbraw/zinc/22/29/59/334222959.db2.gz LHZWXAMFYYNURW-WFASDCNBSA-N 0 3 304.459 4.010 20 0 DIADHN FC(F)(F)c1cccc(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414981173 334225663 /nfs/dbraw/zinc/22/56/63/334225663.db2.gz XIBMRLFPTKEHBM-UHFFFAOYSA-N 0 3 320.358 4.353 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4c(c3)CCCN4)ccc2n1 ZINC000414981627 334226427 /nfs/dbraw/zinc/22/64/27/334226427.db2.gz QSXQPJHVEWXYFI-UHFFFAOYSA-N 0 3 317.436 4.191 20 0 DIADHN CC1(C)Cc2cccc(CNCc3ccc4c(c3)CCCN4)c2O1 ZINC000414987816 334229273 /nfs/dbraw/zinc/22/92/73/334229273.db2.gz ZDAUCQWXORECNJ-UHFFFAOYSA-N 0 3 322.452 4.048 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000296343389 334232273 /nfs/dbraw/zinc/23/22/73/334232273.db2.gz HXQQVUYIXYYXNB-JEBQAFNWSA-N 0 3 309.409 4.184 20 0 DIADHN C[C@@H](NCCC(C)(C)CO)c1csc(-c2ccccc2F)n1 ZINC000296388359 334233330 /nfs/dbraw/zinc/23/33/30/334233330.db2.gz JYTJOBJMORYKDJ-GFCCVEGCSA-N 0 3 322.449 4.008 20 0 DIADHN CC[C@@H](COCC(F)(F)F)N[C@H](C)c1ccc(Cl)s1 ZINC000453266678 334245937 /nfs/dbraw/zinc/24/59/37/334245937.db2.gz ZQAIAXPVRIWQCX-BDAKNGLRSA-N 0 3 315.788 4.410 20 0 DIADHN CCCOc1cccc(CNCc2cccc3c2CCCN3)c1 ZINC000415029313 334259980 /nfs/dbraw/zinc/25/99/80/334259980.db2.gz DTAYVDGFCIXIHE-UHFFFAOYSA-N 0 3 310.441 4.123 20 0 DIADHN FC(F)(F)c1ccccc1CNCc1cccc2c1CCCN2 ZINC000415031401 334260292 /nfs/dbraw/zinc/26/02/92/334260292.db2.gz HEYNOTOGJHJFCK-UHFFFAOYSA-N 0 3 320.358 4.353 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@@H]1C)c1ncc(Br)s1 ZINC000534495545 334277476 /nfs/dbraw/zinc/27/74/76/334277476.db2.gz AWSSCUUEHKDKHI-DCAQKATOSA-N 0 3 317.296 4.383 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc3c(c2)OCO3)cc1 ZINC000534496819 334277817 /nfs/dbraw/zinc/27/78/17/334277817.db2.gz HPYPBCFJUUNFMF-CYBMUJFWSA-N 0 3 315.438 4.378 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](CO)c2ccccc2C)cc1 ZINC000534496532 334277890 /nfs/dbraw/zinc/27/78/90/334277890.db2.gz UDAGWRPQYFVIFS-HNAYVOBHSA-N 0 3 315.482 4.491 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc3c(c2)OCO3)cc1 ZINC000534496820 334277906 /nfs/dbraw/zinc/27/79/06/334277906.db2.gz HPYPBCFJUUNFMF-ZDUSSCGKSA-N 0 3 315.438 4.378 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H](CO)CC(F)(F)F)c1 ZINC000534515315 334278389 /nfs/dbraw/zinc/27/83/89/334278389.db2.gz VEOZJVZLTQAXCS-MRXNPFEDSA-N 0 3 323.358 4.065 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H](CO)CC(F)(F)F)c1 ZINC000534515309 334278432 /nfs/dbraw/zinc/27/84/32/334278432.db2.gz VEOZJVZLTQAXCS-INIZCTEOSA-N 0 3 323.358 4.065 20 0 DIADHN CN(C)Cc1ccccc1CNC(=O)CCCC1CCCCC1 ZINC000302669409 334289841 /nfs/dbraw/zinc/28/98/41/334289841.db2.gz UWCZMQRQWIAOPD-UHFFFAOYSA-N 0 3 316.489 4.115 20 0 DIADHN COc1ccc(CNCCC2CCCCC2)c(Cl)c1OC ZINC000110821746 334291148 /nfs/dbraw/zinc/29/11/48/334291148.db2.gz QASPILLRSYEDBL-UHFFFAOYSA-N 0 3 311.853 4.417 20 0 DIADHN COc1ccc(CN[C@H](C)C2CCCCC2)c(Cl)c1OC ZINC000110823070 334291188 /nfs/dbraw/zinc/29/11/88/334291188.db2.gz XICNCSRWEGJOFA-GFCCVEGCSA-N 0 3 311.853 4.416 20 0 DIADHN COc1ccc(CNC[C@H]2CCCC[C@@H]2C)c(Cl)c1OC ZINC000110822607 334291210 /nfs/dbraw/zinc/29/12/10/334291210.db2.gz CUQWENSUFSBDJB-QWHCGFSZSA-N 0 3 311.853 4.273 20 0 DIADHN Fc1c(Br)cccc1CNCCCC(F)(F)F ZINC000394908528 334297863 /nfs/dbraw/zinc/29/78/63/334297863.db2.gz CUMWDPXQSYEQRH-UHFFFAOYSA-N 0 3 314.120 4.020 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC[C@@H]2c2ccc[nH]2)cc1 ZINC000110982573 334298998 /nfs/dbraw/zinc/29/89/98/334298998.db2.gz WCGZJHHKDDWLIO-OAHLLOKOSA-N 0 3 310.319 4.250 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(Br)c2)cc1 ZINC000048817687 334299109 /nfs/dbraw/zinc/29/91/09/334299109.db2.gz UJJYTCPGLMNJCG-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN C[C@@H](NCCCOCCc1ccccc1)c1ccccc1F ZINC000531938449 334299462 /nfs/dbraw/zinc/29/94/62/334299462.db2.gz HHRFSGSDUUNCRG-MRXNPFEDSA-N 0 3 301.405 4.126 20 0 DIADHN Cc1cc(CN[C@@H]2CSCCc3ccccc32)ccc1F ZINC000531943474 334299959 /nfs/dbraw/zinc/29/99/59/334299959.db2.gz OYRILMPUFBKUFO-GOSISDBHSA-N 0 3 301.430 4.254 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000531944271 334300265 /nfs/dbraw/zinc/30/02/65/334300265.db2.gz USKDDKMLQLDYPK-SPLOXXLWSA-N 0 3 320.436 4.373 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCCc1ccc2ccccc2n1 ZINC000531949881 334303952 /nfs/dbraw/zinc/30/39/52/334303952.db2.gz NFZHPFQLBMNWSM-MRXNPFEDSA-N 0 3 320.436 4.445 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000531950355 334304404 /nfs/dbraw/zinc/30/44/04/334304404.db2.gz KRAVDOWOLIASGT-IAGOWNOFSA-N 0 3 315.461 4.199 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccc(OC(F)F)cc1 ZINC000531947439 334305331 /nfs/dbraw/zinc/30/53/31/334305331.db2.gz ISIFABOACBLLBA-PIGZYNQJSA-N 0 3 321.342 4.450 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](C)N2CCc3sccc3C2)o1 ZINC000531954304 334305634 /nfs/dbraw/zinc/30/56/34/334305634.db2.gz XXLWGTGUOMBYKR-ZIAGYGMSSA-N 0 3 318.486 4.001 20 0 DIADHN C[C@@H](NC[C@H]1CCCCO1)c1ccc(SCC(F)F)cc1 ZINC000531956242 334307801 /nfs/dbraw/zinc/30/78/01/334307801.db2.gz MLOABCSQBIRALN-TZMCWYRMSA-N 0 3 315.429 4.264 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531956705 334308577 /nfs/dbraw/zinc/30/85/77/334308577.db2.gz AOJACWVQBABQMK-ROUUACIJSA-N 0 3 301.817 4.305 20 0 DIADHN C[C@@H](NCCn1cnc2ccccc21)c1ccc(F)cc1Cl ZINC000531952579 334310214 /nfs/dbraw/zinc/31/02/14/334310214.db2.gz VJGOWNBFQPLIBC-GFCCVEGCSA-N 0 3 317.795 4.180 20 0 DIADHN COCc1ccc(CNCCc2cccc(Cl)c2Cl)o1 ZINC000531957007 334313090 /nfs/dbraw/zinc/31/30/90/334313090.db2.gz PCYYPNKTWRHJFZ-UHFFFAOYSA-N 0 3 314.212 4.065 20 0 DIADHN C[C@H](CN[C@H]1CCOc2c(F)cccc21)c1ccc(F)cc1 ZINC000443014018 338442096 /nfs/dbraw/zinc/44/20/96/338442096.db2.gz NKJCYIRDBPUQNJ-PXAZEXFGSA-N 0 3 303.352 4.182 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)CC(=O)N(CC)CC)cc1 ZINC000531960301 334316462 /nfs/dbraw/zinc/31/64/62/334316462.db2.gz XIFDDDNRNNAQGX-LSDHHAIUSA-N 0 3 322.518 4.096 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)CC(=O)N(CC)CC)cc1 ZINC000531960299 334316526 /nfs/dbraw/zinc/31/65/26/334316526.db2.gz XIFDDDNRNNAQGX-GJZGRUSLSA-N 0 3 322.518 4.096 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CC1CCC1 ZINC000111298099 334318415 /nfs/dbraw/zinc/31/84/15/334318415.db2.gz MNUOYQAHGCFWSQ-MRXNPFEDSA-N 0 3 322.452 4.413 20 0 DIADHN Cc1noc(CCCN2CCC[C@@H]2c2ccc(C(C)C)cc2)n1 ZINC000534665274 334318535 /nfs/dbraw/zinc/31/85/35/334318535.db2.gz WEPYQBCWKGPENN-GOSISDBHSA-N 0 3 313.445 4.271 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@H]1CCCO1)CC2 ZINC000531962567 334320636 /nfs/dbraw/zinc/32/06/36/334320636.db2.gz YGXDHYXVKDBNTH-NJYVYQBISA-N 0 3 307.437 4.492 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(Cl)c3c(c2)OCCO3)s1 ZINC000049159483 334323054 /nfs/dbraw/zinc/32/30/54/334323054.db2.gz RLWWHAKVSBSDTG-LLVKDONJSA-N 0 3 323.845 4.332 20 0 DIADHN C[C@@H](CCNCc1ccncc1OCC(F)F)c1ccccc1 ZINC000443063383 338442658 /nfs/dbraw/zinc/44/26/58/338442658.db2.gz ALJZNPRYUOHYPH-AWEZNQCLSA-N 0 3 320.383 4.009 20 0 DIADHN COCc1nc(CNCCC(C)(C)C)c(-c2ccccc2)s1 ZINC000443061792 338442665 /nfs/dbraw/zinc/44/26/65/338442665.db2.gz KCBWHLBIEXHCJR-UHFFFAOYSA-N 0 3 318.486 4.482 20 0 DIADHN Fc1ccc(OC2CCN(C/C=C/c3ccccc3)CC2)cc1 ZINC000303647352 334326373 /nfs/dbraw/zinc/32/63/73/334326373.db2.gz IXHKUISAUSJDEQ-QPJJXVBHSA-N 0 3 311.400 4.382 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)c1 ZINC000101544136 334326708 /nfs/dbraw/zinc/32/67/08/334326708.db2.gz UGTVKBMFBVHROQ-ZDUSSCGKSA-N 0 3 316.832 4.491 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CC/C=C/c2ccccc2)c1 ZINC000534700662 334328226 /nfs/dbraw/zinc/32/82/26/334328226.db2.gz OWJRBLVXTUYNEB-YRNVUSSQSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CC/C=C\c2ccccc2)c1 ZINC000534700661 334328428 /nfs/dbraw/zinc/32/84/28/334328428.db2.gz OWJRBLVXTUYNEB-XFFZJAGNSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@H](C)c2ccccc2)c1 ZINC000534704024 334328491 /nfs/dbraw/zinc/32/84/91/334328491.db2.gz DFUCEZBDBUOQIR-INIZCTEOSA-N 0 3 310.441 4.189 20 0 DIADHN CC(C)N(C(=O)CN1[C@H](C)C[C@@H]2CCCC[C@@H]21)c1ccccc1 ZINC000303682001 334329348 /nfs/dbraw/zinc/32/93/48/334329348.db2.gz JIQXUNMGSIBKEK-AOIWGVFYSA-N 0 3 314.473 4.081 20 0 DIADHN C[C@@H](NCCOCC1CC1)c1cc(Cl)ccc1OC(F)F ZINC000531971091 334331771 /nfs/dbraw/zinc/33/17/71/334331771.db2.gz VSZFXUOFVHWSEM-SNVBAGLBSA-N 0 3 319.779 4.019 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCCOC2CCCCCC2)c1 ZINC000531969627 334332283 /nfs/dbraw/zinc/33/22/83/334332283.db2.gz OUUHCGQGCFUOKR-HNNXBMFYSA-N 0 3 321.461 4.094 20 0 DIADHN CSc1ccc([C@@H](C)NCCC(=O)Nc2ccccc2)cc1 ZINC000101586802 334333488 /nfs/dbraw/zinc/33/34/88/334333488.db2.gz NQLYMQKVALLBMW-CQSZACIVSA-N 0 3 314.454 4.088 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@H]2CCCC[C@H]2C(C)(C)C)c1 ZINC000303871462 334337979 /nfs/dbraw/zinc/33/79/79/334337979.db2.gz NPJAJJWMGLIHII-MSOLQXFVSA-N 0 3 316.489 4.083 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC(C)(C)CC1 ZINC000303895167 334339711 /nfs/dbraw/zinc/33/97/11/334339711.db2.gz KRKLCAKTEPTSRN-UHFFFAOYSA-N 0 3 302.462 4.008 20 0 DIADHN Fc1cccc(Br)c1CNC1CCC(F)(F)CC1 ZINC000394912777 334341037 /nfs/dbraw/zinc/34/10/37/334341037.db2.gz HZANUACKUPSDIN-UHFFFAOYSA-N 0 3 322.168 4.256 20 0 DIADHN CCOc1ccccc1CN(C)CCCc1cccc(OC)c1 ZINC000303915336 334343027 /nfs/dbraw/zinc/34/30/27/334343027.db2.gz NPUMLMPILFFLBO-UHFFFAOYSA-N 0 3 313.441 4.159 20 0 DIADHN CCCn1c(CNC2CCC(F)(F)CC2)nc2ccccc21 ZINC000534906571 334345362 /nfs/dbraw/zinc/34/53/62/334345362.db2.gz YGEBNHLPQHDITL-UHFFFAOYSA-N 0 3 307.388 4.114 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1[C@H](C)CC[C@H]1C ZINC000443084666 338443734 /nfs/dbraw/zinc/44/37/34/338443734.db2.gz HJUMTCXIUPQMSY-UTUOFQBUSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1cccc(Cl)c1Cl ZINC000531976743 334347362 /nfs/dbraw/zinc/34/73/62/334347362.db2.gz COYNVINHRXHQDA-PVRXDPTQSA-N 0 3 314.256 4.458 20 0 DIADHN C[C@H](NCCOCC(F)(F)F)c1ccc(C(C)(C)C)cc1 ZINC000111636817 334348478 /nfs/dbraw/zinc/34/84/78/334348478.db2.gz WCXVDZBDVUNDRF-LBPRGKRZSA-N 0 3 303.368 4.214 20 0 DIADHN C[C@H](NCCc1cscn1)c1ccccc1C(F)(F)F ZINC000111626893 334349605 /nfs/dbraw/zinc/34/96/05/334349605.db2.gz CJWRZBTWHHVPRW-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN OCCC[C@H](N[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531979387 334350773 /nfs/dbraw/zinc/35/07/73/334350773.db2.gz HKJNFOSRUAUSFV-OALUTQOASA-N 0 3 315.844 4.431 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CCCC[C@H]1n1cccn1 ZINC000531979742 334351227 /nfs/dbraw/zinc/35/12/27/334351227.db2.gz NQAJIDOWUVHNGN-RYQLBKOJSA-N 0 3 313.445 4.035 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)OCO3)CCC2 ZINC000534973976 334351409 /nfs/dbraw/zinc/35/14/09/334351409.db2.gz CJFRRCPXSVOMQJ-INIZCTEOSA-N 0 3 315.800 4.236 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000534976877 334351566 /nfs/dbraw/zinc/35/15/66/334351566.db2.gz QXNAESCDHOQNLH-RBUKOAKNSA-N 0 3 315.844 4.349 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCc3ccc(Cl)cc32)cn1 ZINC000534996925 334353175 /nfs/dbraw/zinc/35/31/75/334353175.db2.gz IEMIVHDJTRJWCJ-SJKOYZFVSA-N 0 3 303.837 4.456 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCc3ccc(Cl)cc32)cn1 ZINC000534996923 334353227 /nfs/dbraw/zinc/35/32/27/334353227.db2.gz IEMIVHDJTRJWCJ-PXAZEXFGSA-N 0 3 303.837 4.456 20 0 DIADHN C[C@H](NCCN1CC[C@@H](C)C1)c1cc(Cl)sc1Cl ZINC000531983773 334358674 /nfs/dbraw/zinc/35/86/74/334358674.db2.gz NYWOJGBADHRQNG-ZJUUUORDSA-N 0 3 307.290 4.047 20 0 DIADHN Cc1nc(CCNCc2cnc(C3CCCCC3)s2)c(C)o1 ZINC000535035395 334359001 /nfs/dbraw/zinc/35/90/01/334359001.db2.gz CVBAWONEGBXBNQ-UHFFFAOYSA-N 0 3 319.474 4.128 20 0 DIADHN CCC[C@@H](NCCOc1ncccc1Cl)c1ccsc1 ZINC000443095025 338444318 /nfs/dbraw/zinc/44/43/18/338444318.db2.gz FKAIDKBHFSIRLG-CQSZACIVSA-N 0 3 310.850 4.306 20 0 DIADHN Cc1ccc(C)c(OCCN2CCC[C@H]2c2cccc(C)n2)c1 ZINC000531988555 334362139 /nfs/dbraw/zinc/36/21/39/334362139.db2.gz YLNICSYDXSBMIO-IBGZPJMESA-N 0 3 310.441 4.223 20 0 DIADHN CCCCOc1ccc(CCN[C@H](C)c2cscn2)cc1 ZINC000535064517 334362404 /nfs/dbraw/zinc/36/24/04/334362404.db2.gz WMTKOYUCGHTURH-CQSZACIVSA-N 0 3 304.459 4.215 20 0 DIADHN FC(F)COc1ccc(CN2CCC[C@H]2c2ccccn2)cc1 ZINC000535067574 334363292 /nfs/dbraw/zinc/36/32/92/334363292.db2.gz NMWLHMFEOTWRRJ-KRWDZBQOSA-N 0 3 318.367 4.063 20 0 DIADHN CN(Cc1ccc2[nH]cnc2c1)Cc1ccc2ccccc2c1 ZINC000535068542 334363709 /nfs/dbraw/zinc/36/37/09/334363709.db2.gz QPLKMBIDHTZNNS-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CN(Cc1ccc2nc[nH]c2c1)Cc1ccc2ccccc2c1 ZINC000535068542 334363710 /nfs/dbraw/zinc/36/37/10/334363710.db2.gz QPLKMBIDHTZNNS-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NCCCOc1ccc2ccccc2c1 ZINC000531991887 334366078 /nfs/dbraw/zinc/36/60/78/334366078.db2.gz JCTSBAVIYHMKGB-OAHLLOKOSA-N 0 3 323.440 4.001 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)o1)C[C@@H]1CCCOC1 ZINC000535086290 334368968 /nfs/dbraw/zinc/36/89/68/334368968.db2.gz LFUVCWDUSULPQF-AWEZNQCLSA-N 0 3 319.832 4.458 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)C[C@@H]1CCC[C@H]1O ZINC000535116514 334370446 /nfs/dbraw/zinc/37/04/46/334370446.db2.gz KNMCYHGZHFZYLP-JRPNMDOOSA-N 0 3 302.245 4.147 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000532013643 334374317 /nfs/dbraw/zinc/37/43/17/334374317.db2.gz FIQDTSPUVKHKOD-ZFWWWQNUSA-N 0 3 316.474 4.001 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1ccccc1C(F)(F)F ZINC000305140205 334375602 /nfs/dbraw/zinc/37/56/02/334375602.db2.gz PTGZSJKOHCKWMS-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN CC[C@@H](NCc1ccccc1CO)c1ccc(OC(F)F)cc1 ZINC000305104796 334376464 /nfs/dbraw/zinc/37/64/64/334376464.db2.gz CMBPVFZCRKQICU-QGZVFWFLSA-N 0 3 321.367 4.021 20 0 DIADHN CC[C@H](NCc1ccccc1CO)c1ccc(OC(F)F)cc1 ZINC000305104795 334376645 /nfs/dbraw/zinc/37/66/45/334376645.db2.gz CMBPVFZCRKQICU-KRWDZBQOSA-N 0 3 321.367 4.021 20 0 DIADHN Cc1nc2ccccc2n1C[C@@H]1CCCN1Cc1cccc(F)c1 ZINC000535204317 334380338 /nfs/dbraw/zinc/38/03/38/334380338.db2.gz BBTBJRBVCZAZPO-SFHVURJKSA-N 0 3 323.415 4.148 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccccc1N(C)C ZINC000535251570 334382727 /nfs/dbraw/zinc/38/27/27/334382727.db2.gz PWHRQQHAKBVYFX-UHFFFAOYSA-N 0 3 302.849 4.313 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000340836999 334388978 /nfs/dbraw/zinc/38/89/78/334388978.db2.gz DXYRQZNJSRMWAT-LRDDRELGSA-N 0 3 317.433 4.347 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)c1c[nH]c2ncccc12)c1ccc(C)cc1 ZINC000341048423 334405103 /nfs/dbraw/zinc/40/51/03/334405103.db2.gz WJLMYOFBXMQKMD-KBXCAEBGSA-N 0 3 321.424 4.183 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1CCC(C)CC1)c1cccc(Cl)c1 ZINC000341105174 334409380 /nfs/dbraw/zinc/40/93/80/334409380.db2.gz UBNMMJKKONGXRA-YOEHRIQHSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cc(F)ccc1C)c1ccccc1 ZINC000341212948 334417784 /nfs/dbraw/zinc/41/77/84/334417784.db2.gz QWDQDSLPAQZTMK-PBHICJAKSA-N 0 3 314.404 4.202 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@H](CCO)c1ccccc1 ZINC000341217987 334418735 /nfs/dbraw/zinc/41/87/35/334418735.db2.gz IKOMATJQLNMHRB-OAQYLSRUSA-N 0 3 305.421 4.362 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000341220230 334418888 /nfs/dbraw/zinc/41/88/88/334418888.db2.gz PHMKVIOHENNLIM-WMLDXEAASA-N 0 3 314.404 4.202 20 0 DIADHN Cn1cnc2cc(CNCc3csc4ccccc34)ccc21 ZINC000341191145 334422189 /nfs/dbraw/zinc/42/21/89/334422189.db2.gz NMVMECZCFDDYCA-UHFFFAOYSA-N 0 3 307.422 4.078 20 0 DIADHN C[C@H](CCc1ccsc1)N[C@H]1CCOc2c(F)cccc21 ZINC000443209091 338447434 /nfs/dbraw/zinc/44/74/34/338447434.db2.gz QWLDIZKUGHNGQD-WBMJQRKESA-N 0 3 305.418 4.322 20 0 DIADHN Cc1ccc(F)cc1-c1noc([C@H](C)N2[C@@H](C)CC[C@@H]2C)n1 ZINC000443209621 338447470 /nfs/dbraw/zinc/44/74/70/338447470.db2.gz GDTJPZNSEPBNMI-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CNCCC1(F)CCC1 ZINC000342203134 334521253 /nfs/dbraw/zinc/52/12/53/334521253.db2.gz HNNPZJHKUPZVTD-UHFFFAOYSA-N 0 3 319.399 4.000 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCCc1c(C)noc1C ZINC000342318552 334532110 /nfs/dbraw/zinc/53/21/10/334532110.db2.gz DNFOLFUDDPJAOU-GOSISDBHSA-N 0 3 316.445 4.220 20 0 DIADHN c1nc(C2CCCC2)sc1CNC[C@@H]1COc2ccccc21 ZINC000342413485 334534296 /nfs/dbraw/zinc/53/42/96/334534296.db2.gz FVQCPSJPHKQQEQ-CQSZACIVSA-N 0 3 314.454 4.067 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CNCc3ccc4ncccc4c3)O2)cc1 ZINC000342436600 334540965 /nfs/dbraw/zinc/54/09/65/334540965.db2.gz YUZNQCWUFSPJEO-CTNGQTDRSA-N 0 3 318.420 4.245 20 0 DIADHN CC[C@H](NC[C@]1(C)CCO[C@H]1C1CC1)c1ccc(F)cc1F ZINC000342449074 334542705 /nfs/dbraw/zinc/54/27/05/334542705.db2.gz HLQUODYZZJBKTN-BZSNNMDCSA-N 0 3 309.400 4.211 20 0 DIADHN CC[C@@H](COC)N[C@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000342807058 334578662 /nfs/dbraw/zinc/57/86/62/334578662.db2.gz VTPPEEQRRGKAMX-SWLSCSKDSA-N 0 3 320.260 4.086 20 0 DIADHN OC1(CCN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CCC1 ZINC000342815007 334580125 /nfs/dbraw/zinc/58/01/25/334580125.db2.gz OHBSCJLQFGYYKO-SNVBAGLBSA-N 0 3 320.285 4.327 20 0 DIADHN Cc1nc(CNC2CCCCCC2)sc1Br ZINC000449404137 338621309 /nfs/dbraw/zinc/62/13/09/338621309.db2.gz YWERYYKKOCOKAG-UHFFFAOYSA-N 0 3 303.269 4.026 20 0 DIADHN CNCc1cccc(NC(=O)N2CCC[C@H]2c2ccccc2C)c1 ZINC000415917320 334628430 /nfs/dbraw/zinc/62/84/30/334628430.db2.gz IWPCRDHQAIDJJM-IBGZPJMESA-N 0 3 323.440 4.083 20 0 DIADHN Cc1csc([C@@H](N[C@@H](C)Cc2ccccc2F)C2CC2)n1 ZINC000188075508 334632756 /nfs/dbraw/zinc/63/27/56/334632756.db2.gz FLPIMONXZLGRHA-ZBEGNZNMSA-N 0 3 304.434 4.263 20 0 DIADHN CNCc1cccc(NC(=O)N2CCCC[C@H]2CCC(C)C)c1 ZINC000415944072 334634611 /nfs/dbraw/zinc/63/46/11/334634611.db2.gz NUKDGCHIMKFOAE-SFHVURJKSA-N 0 3 317.477 4.229 20 0 DIADHN Cc1cc2cc[nH]c2cc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000416213980 334654909 /nfs/dbraw/zinc/65/49/09/334654909.db2.gz JTRDWXZBYDASDU-IBGZPJMESA-N 0 3 321.424 4.108 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC(c2c[nH]cn2)CC1 ZINC000443645419 338458854 /nfs/dbraw/zinc/45/88/54/338458854.db2.gz UVLOPEAYKJFMIA-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1c(F)cncc1F ZINC000417326959 334708786 /nfs/dbraw/zinc/70/87/86/334708786.db2.gz GQSIHBCBPMRINN-WHOHXGKFSA-N 0 3 308.397 4.264 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(F)c(F)c2)cc1 ZINC000112523375 334717207 /nfs/dbraw/zinc/71/72/07/334717207.db2.gz QPEFZLYQMSUFAL-CYBMUJFWSA-N 0 3 305.368 4.352 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cccc(CO)c3)ccc2c1 ZINC000305626670 334718881 /nfs/dbraw/zinc/71/88/81/334718881.db2.gz CJXIKRRMNUESPZ-OAHLLOKOSA-N 0 3 321.420 4.192 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CCCCC1)c1nccc2ccccc21 ZINC000417366339 334744915 /nfs/dbraw/zinc/74/49/15/334744915.db2.gz NJJOOCSKHPYKNP-MAUKXSAKSA-N 0 3 312.457 4.217 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@H]1COCc2ccccc21 ZINC000417372466 334764899 /nfs/dbraw/zinc/76/48/99/334764899.db2.gz XENZCGXJRICYOL-KDOFPFPSSA-N 0 3 313.466 4.201 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1cnc(C(F)(F)F)s1 ZINC000444001195 338466572 /nfs/dbraw/zinc/46/65/72/338466572.db2.gz KFVMYVOPJZPNJV-MNOVXSKESA-N 0 3 324.437 4.316 20 0 DIADHN CCc1cc(NCc2ccc([S@@](C)=O)cc2)c2ccccc2n1 ZINC000125909733 334820409 /nfs/dbraw/zinc/82/04/09/334820409.db2.gz FBPLYCBFEDGJLQ-QHCPKHFHSA-N 0 3 324.449 4.147 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2cnc(C(F)(F)F)s2)o1 ZINC000444004058 338466746 /nfs/dbraw/zinc/46/67/46/338466746.db2.gz WDQKNTKKASJXHJ-SECBINFHSA-N 0 3 318.364 4.174 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1CCO[C@@H](C)C1 ZINC000444013536 338467072 /nfs/dbraw/zinc/46/70/72/338467072.db2.gz YNCBBIYNJRCPQW-YDHLFZDLSA-N 0 3 301.352 4.146 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CC[C@@H]2SC)ccc1OC(C)C ZINC000425359809 334841016 /nfs/dbraw/zinc/84/10/16/334841016.db2.gz QLNGKDRCPKMSEI-JDFRZJQESA-N 0 3 309.475 4.027 20 0 DIADHN Cc1cc([C@H](NCC2CC(F)(F)C2)c2cccnc2)ccc1F ZINC000425960360 334865334 /nfs/dbraw/zinc/86/53/34/334865334.db2.gz OAUNHMBFSVILNO-KRWDZBQOSA-N 0 3 320.358 4.253 20 0 DIADHN C[C@@H](NCC1(c2ccc(C(F)(F)F)cc2)CC1)c1ccccn1 ZINC000188657058 334870689 /nfs/dbraw/zinc/87/06/89/334870689.db2.gz TXWGPMVNFMHZBV-CYBMUJFWSA-N 0 3 320.358 4.483 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@@H](CO)c2ccc(F)cc2)cc1 ZINC000563701868 334890798 /nfs/dbraw/zinc/89/07/98/334890798.db2.gz FSNOQGQKFVGZDY-MOPGFXCFSA-N 0 3 301.405 4.162 20 0 DIADHN CSCCCN(C)Cc1cn(-c2ccc(Cl)cc2)nc1C ZINC000343686451 335188243 /nfs/dbraw/zinc/18/82/43/335188243.db2.gz WLGSMGNMUSSQHZ-UHFFFAOYSA-N 0 3 323.893 4.019 20 0 DIADHN C[C@H]1C[C@H](NCc2ccnc(OC3CCC3)c2)c2ccccc21 ZINC000343705645 335189341 /nfs/dbraw/zinc/18/93/41/335189341.db2.gz JDRQZOLWKRKHOP-LIRRHRJNSA-N 0 3 308.425 4.351 20 0 DIADHN C[C@@H](NCC[C@@H]1CCO[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343751933 335190810 /nfs/dbraw/zinc/19/08/10/335190810.db2.gz STIZWDGPJMGHQF-GMTAPVOTSA-N 0 3 322.396 4.018 20 0 DIADHN C[C@H](NCCCC(F)(F)F)c1nc(C(F)(F)F)cs1 ZINC000343840251 335196369 /nfs/dbraw/zinc/19/63/69/335196369.db2.gz OBTLFLAYQPUKDA-LURJTMIESA-N 0 3 306.275 4.155 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H](C)c1cncc(C)c1 ZINC000343835937 335200782 /nfs/dbraw/zinc/20/07/82/335200782.db2.gz NJZSJKXMRJPDKE-CYBMUJFWSA-N 0 3 304.434 4.243 20 0 DIADHN c1ccc2c(c1)OC[C@@H]2CN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000343926445 335202360 /nfs/dbraw/zinc/20/23/60/335202360.db2.gz IZRUWDMVUNVSCA-YJBOKZPZSA-N 0 3 321.420 4.199 20 0 DIADHN c1ccc2c(c1)OC[C@@H]2CN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000343926440 335202401 /nfs/dbraw/zinc/20/24/01/335202401.db2.gz IZRUWDMVUNVSCA-MAUKXSAKSA-N 0 3 321.420 4.199 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1ccc2ccccc2n1 ZINC000343887694 335203711 /nfs/dbraw/zinc/20/37/11/335203711.db2.gz CYMCSNQQKKLXNA-NRFANRHFSA-N 0 3 318.420 4.411 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2N[C@@H]1CCCOC1 ZINC000343974269 335204707 /nfs/dbraw/zinc/20/47/07/335204707.db2.gz FWNLJQIECQKLMK-SCZZXKLOSA-N 0 3 306.258 4.201 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc(-n3ccnc3)c2)cc1 ZINC000343988194 335205786 /nfs/dbraw/zinc/20/57/86/335205786.db2.gz YSMHFJBBBKAITH-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN CC[C@@H](NC[C@@H]1COc2ccccc21)c1ccc(F)cc1F ZINC000344002472 335207114 /nfs/dbraw/zinc/20/71/14/335207114.db2.gz XRFVWEMQWUPCEL-SJKOYZFVSA-N 0 3 303.352 4.182 20 0 DIADHN Cc1ccc2nccc(N3CCC=C(c4ccncc4)C3)c2c1 ZINC000343954617 335207663 /nfs/dbraw/zinc/20/76/63/335207663.db2.gz PKSKUXXYDSYGAN-UHFFFAOYSA-N 0 3 301.393 4.232 20 0 DIADHN CCC[C@H](NC[C@@H]1CC[C@@H](c2ccccc2)O1)c1cccnc1 ZINC000343969157 335208796 /nfs/dbraw/zinc/20/87/96/335208796.db2.gz BSAWWAGDDPUODY-UFYCRDLUSA-N 0 3 310.441 4.433 20 0 DIADHN OCc1ccc(CN[C@@H](CC2CCC2)c2ccccc2)cc1F ZINC000344022693 335209853 /nfs/dbraw/zinc/20/98/53/335209853.db2.gz OGMKHAJNSZFNOJ-FQEVSTJZSA-N 0 3 313.416 4.339 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H]1CCCc2ccc(F)cc21 ZINC000344033048 335210888 /nfs/dbraw/zinc/21/08/88/335210888.db2.gz KMHIQXPERUPTPN-GOSISDBHSA-N 0 3 323.415 4.151 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(-c3csc(C)n3)o2)C1(C)C ZINC000344069455 335214998 /nfs/dbraw/zinc/21/49/98/335214998.db2.gz CHBSXIWFBJMQDY-HZPDHXFCSA-N 0 3 320.458 4.005 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(-c3csc(C)n3)o2)C1(C)C ZINC000344069456 335215108 /nfs/dbraw/zinc/21/51/08/335215108.db2.gz CHBSXIWFBJMQDY-JKSUJKDBSA-N 0 3 320.458 4.005 20 0 DIADHN COCc1cc(CN[C@H]2CCCc3ccc(F)cc32)ccc1F ZINC000344077828 335215628 /nfs/dbraw/zinc/21/56/28/335215628.db2.gz QUHBYCRGSGJEHD-IBGZPJMESA-N 0 3 317.379 4.278 20 0 DIADHN CCOC[C@@H](C)N[C@H](CC)c1cc(F)cc(Br)c1 ZINC000344079105 335215980 /nfs/dbraw/zinc/21/59/80/335215980.db2.gz SLCPOSFHXJXCSR-QMTHXVAHSA-N 0 3 318.230 4.054 20 0 DIADHN CC[C@H](NC[C@@]1(C)CCO[C@H]1C1CC1)c1cc(F)ccc1F ZINC000344121037 335219057 /nfs/dbraw/zinc/21/90/57/335219057.db2.gz WLXOHMUOFZLYCO-OKZBNKHCSA-N 0 3 309.400 4.211 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc(C(C)C)c(C)s2)C12CCC2 ZINC000344103906 335223438 /nfs/dbraw/zinc/22/34/38/335223438.db2.gz OYJCCWXXEXHTAW-ZIAGYGMSSA-N 0 3 308.491 4.012 20 0 DIADHN CC(C)c1cnc(CNCCc2c[nH]c3ccc(F)cc23)s1 ZINC000344206101 335225121 /nfs/dbraw/zinc/22/51/21/335225121.db2.gz DXQREMPHCZTUQA-UHFFFAOYSA-N 0 3 317.433 4.219 20 0 DIADHN Clc1ccc(CNCCCOc2cccc3cccnc32)o1 ZINC000344214428 335226012 /nfs/dbraw/zinc/22/60/12/335226012.db2.gz GBAPICYBZCYXHN-UHFFFAOYSA-N 0 3 316.788 4.040 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cnn(C(C)C)c2)C1(CC)CC ZINC000344161541 335226177 /nfs/dbraw/zinc/22/61/77/335226177.db2.gz GKPJJIPRJNYSNL-DJIMGWMZSA-N 0 3 307.482 4.098 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C(C)C)c2)C1(CC)CC ZINC000344161543 335226312 /nfs/dbraw/zinc/22/63/12/335226312.db2.gz GKPJJIPRJNYSNL-USXIJHARSA-N 0 3 307.482 4.098 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@H](CC)c2c(C)noc2C)C1(C)C ZINC000344292003 335237184 /nfs/dbraw/zinc/23/71/84/335237184.db2.gz NNLNTAVBUQIXLB-OWCLPIDISA-N 0 3 308.466 4.316 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@@H](CC)c2c(C)noc2C)C1(C)C ZINC000344292000 335237413 /nfs/dbraw/zinc/23/74/13/335237413.db2.gz NNLNTAVBUQIXLB-ARFHVFGLSA-N 0 3 308.466 4.316 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCCc3ccc(F)cc32)c1 ZINC000344295917 335237522 /nfs/dbraw/zinc/23/75/22/335237522.db2.gz KNXAOZPKLUSCER-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1ccc2[nH]cc(CCNCc3cc(F)c(F)cc3F)c2c1 ZINC000344395873 335249392 /nfs/dbraw/zinc/24/93/92/335249392.db2.gz WLPMOMZSYCPDQF-UHFFFAOYSA-N 0 3 322.305 4.057 20 0 DIADHN OCC[C@H](NCc1ccc(Cl)c(Cl)c1)c1ccccc1 ZINC000344435281 335253761 /nfs/dbraw/zinc/25/37/61/335253761.db2.gz COVCROSPBVGMBJ-INIZCTEOSA-N 0 3 310.224 4.207 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@@H](c2nccs2)C1 ZINC000344618173 335264486 /nfs/dbraw/zinc/26/44/86/335264486.db2.gz NQKWHQMNNRNPSV-CQSZACIVSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1ccc(-c2ccncc2)cc1F ZINC000344760968 335275787 /nfs/dbraw/zinc/27/57/87/335275787.db2.gz OKCYFPAZSMUXBN-OAHLLOKOSA-N 0 3 321.399 4.004 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN[C@@H]1CCCC(F)(F)C1 ZINC000344787842 335277310 /nfs/dbraw/zinc/27/73/10/335277310.db2.gz FUTSKJQAFSJANN-OAHLLOKOSA-N 0 3 319.399 4.157 20 0 DIADHN OCc1cccc(CN[C@@H](CC2CC2)c2ccc(Cl)cc2)c1 ZINC000345270325 335308906 /nfs/dbraw/zinc/30/89/06/335308906.db2.gz HNSRSJTWHWSBCH-IBGZPJMESA-N 0 3 315.844 4.463 20 0 DIADHN Cc1c([C@@H](C)NCCc2ccc3ccccc3n2)cnn1C(C)C ZINC000345307087 335315797 /nfs/dbraw/zinc/31/57/97/335315797.db2.gz FOMSVSJMCBVFED-OAHLLOKOSA-N 0 3 322.456 4.214 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000345318403 335316960 /nfs/dbraw/zinc/31/69/60/335316960.db2.gz VSNWKJXCNDCWKV-ZDUSSCGKSA-N 0 3 303.475 4.345 20 0 DIADHN CSc1ccc(CN[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000345318401 335317028 /nfs/dbraw/zinc/31/70/28/335317028.db2.gz VSNWKJXCNDCWKV-CYBMUJFWSA-N 0 3 303.475 4.345 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1csc(C2CC2)n1 ZINC000113337649 335324878 /nfs/dbraw/zinc/32/48/78/335324878.db2.gz KXGXMTAICOVPEZ-UHFFFAOYSA-N 0 3 316.470 4.140 20 0 DIADHN COCc1cnc(CNC2CCC3(CCCCC3)CC2)s1 ZINC000345437658 335329882 /nfs/dbraw/zinc/32/98/82/335329882.db2.gz NWFRUGHLHCSUAU-UHFFFAOYSA-N 0 3 308.491 4.272 20 0 DIADHN CO[C@H](CCN[C@H](C)c1csc(Cl)c1)C(F)(F)F ZINC000345534883 335331734 /nfs/dbraw/zinc/33/17/34/335331734.db2.gz XWKRPCYSSBFFBU-VXNVDRBHSA-N 0 3 301.761 4.020 20 0 DIADHN c1ccc(CN2CCC(c3nc(C4CCCC4)no3)CC2)cc1 ZINC000345562753 335334965 /nfs/dbraw/zinc/33/49/65/335334965.db2.gz IJPDHLHWGZTHKD-UHFFFAOYSA-N 0 3 311.429 4.107 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cccc2cccnc21 ZINC000345710459 335349576 /nfs/dbraw/zinc/34/95/76/335349576.db2.gz RKWJFCJEYRFTLH-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1cc(-n2ccnc2)cs1 ZINC000346118035 335375952 /nfs/dbraw/zinc/37/59/52/335375952.db2.gz CDFAXKNSNRNUFE-LBPRGKRZSA-N 0 3 303.456 4.188 20 0 DIADHN C[C@H](c1ccco1)N(Cc1cc(-n2ccnc2)cs1)C1CC1 ZINC000346130411 335379335 /nfs/dbraw/zinc/37/93/35/335379335.db2.gz IFWUOBGNYDHEPH-CYBMUJFWSA-N 0 3 313.426 4.252 20 0 DIADHN Cc1cc([C@H]2CCCN2Cc2cc(C)c3ncccc3c2)on1 ZINC000346166984 335384371 /nfs/dbraw/zinc/38/43/71/335384371.db2.gz JODLNXGPONLSLY-QGZVFWFLSA-N 0 3 307.397 4.177 20 0 DIADHN Fc1ccccc1[C@@H](NCCO[C@@H]1CCCCO1)C1CCCC1 ZINC000444677114 338481417 /nfs/dbraw/zinc/48/14/17/338481417.db2.gz VNVUWNMHLRIXMK-MOPGFXCFSA-N 0 3 321.436 4.190 20 0 DIADHN CCN(CCn1ccnc1C)Cc1ccc(Cl)c(Cl)c1 ZINC000346315465 335399230 /nfs/dbraw/zinc/39/92/30/335399230.db2.gz SHHLBAXIQKGLTF-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN CSCCCCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000346571502 335417393 /nfs/dbraw/zinc/41/73/93/335417393.db2.gz ZDEMETSQTQKTGB-KRWDZBQOSA-N 0 3 304.434 4.043 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(O)cc1)c1nc2c(s1)CCCC2 ZINC000093405284 335434264 /nfs/dbraw/zinc/43/42/64/335434264.db2.gz YSFLKNCCLLWFLA-QWHCGFSZSA-N 0 3 316.470 4.009 20 0 DIADHN Fc1ccc(CCN[C@@H]2CCCOc3ccccc32)c(F)c1F ZINC000346806367 335437700 /nfs/dbraw/zinc/43/77/00/335437700.db2.gz QQHLPCSVXVRXIC-OAHLLOKOSA-N 0 3 321.342 4.150 20 0 DIADHN CC[C@@H](N[C@@H](CCOC)Cc1ccco1)c1ccc(F)cc1F ZINC000346838212 335445088 /nfs/dbraw/zinc/44/50/88/335445088.db2.gz RCFLTSKTQBWEEX-KBXCAEBGSA-N 0 3 323.383 4.246 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCc1csc(C)n1 ZINC000347118336 335467345 /nfs/dbraw/zinc/46/73/45/335467345.db2.gz CVEXXMAQUAWSIB-JTQLQIEISA-N 0 3 310.850 4.007 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCc1ccccc1F)CCS2 ZINC000347222390 335481263 /nfs/dbraw/zinc/48/12/63/335481263.db2.gz JLGYPVKVIVJNML-INIZCTEOSA-N 0 3 305.393 4.334 20 0 DIADHN CC[C@H](NCC(=O)Nc1c(C)cccc1C)c1cccs1 ZINC000347606365 335509944 /nfs/dbraw/zinc/50/99/44/335509944.db2.gz HSAZLPGCSPLSIA-AWEZNQCLSA-N 0 3 302.443 4.044 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2cccc(Cl)c2)c(C)o1 ZINC000348094479 335552503 /nfs/dbraw/zinc/55/25/03/335552503.db2.gz GKNATGYDDVIFRX-LBPRGKRZSA-N 0 3 320.820 4.229 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@H]1CC(C)(C)Oc2cc(F)ccc21 ZINC000348097738 335553185 /nfs/dbraw/zinc/55/31/85/335553185.db2.gz RCGQFOCPWXUGQA-WBMJQRKESA-N 0 3 303.377 4.242 20 0 DIADHN CC[C@H](NCCCOc1c(C)cccc1C)c1c(C)noc1C ZINC000348138489 335556238 /nfs/dbraw/zinc/55/62/38/335556238.db2.gz ULEGOILVEBGBEV-KRWDZBQOSA-N 0 3 316.445 4.418 20 0 DIADHN OCC[C@H](N[C@H]1CCCc2sccc21)c1ccc(Cl)cc1 ZINC000348245584 335566989 /nfs/dbraw/zinc/56/69/89/335566989.db2.gz POMZDGHGWZOLIH-HOTGVXAUSA-N 0 3 321.873 4.492 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@H]1CCOc2c(Br)cccc21 ZINC000348255306 335568188 /nfs/dbraw/zinc/56/81/88/335568188.db2.gz JNCKIOLDSDCYOG-HONMWMINSA-N 0 3 310.235 4.051 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](N[C@H]1C[C@@H]1C(F)F)CCCO2 ZINC000348266116 335569471 /nfs/dbraw/zinc/56/94/71/335569471.db2.gz BAGLXUBHAWQKTJ-UHTWSYAYSA-N 0 3 301.764 4.105 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@H]3C[C@@H]3C(F)F)cs2)cs1 ZINC000348268867 335569685 /nfs/dbraw/zinc/56/96/85/335569685.db2.gz FELAGDVDICTRTB-SWPVVBRQSA-N 0 3 314.426 4.484 20 0 DIADHN FC(F)[C@@H]1C[C@H]1N[C@H]1CCCc2c(Br)cccc21 ZINC000348300283 335574116 /nfs/dbraw/zinc/57/41/16/335574116.db2.gz ITSKUZUSQBQKAP-KGYLQXTDSA-N 0 3 316.189 4.070 20 0 DIADHN CSc1ccc([C@@H](C)NCC2(CO)CC3(CCC3)C2)cc1F ZINC000348389450 335583081 /nfs/dbraw/zinc/58/30/81/335583081.db2.gz OECFAYIVUKSXNF-CYBMUJFWSA-N 0 3 323.477 4.141 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1cnc(C(C)(C)C)s1 ZINC000479573793 335589281 /nfs/dbraw/zinc/58/92/81/335589281.db2.gz DLLRSQGFRUJZEO-HUUCEWRRSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1CCCc2[nH]c(=O)ccc21 ZINC000348903982 335617759 /nfs/dbraw/zinc/61/77/59/335617759.db2.gz IZNWFQNBZZFTTQ-INIZCTEOSA-N 0 3 316.832 4.225 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H]3CCCc4[nH]c(=O)ccc43)cc2c(C)c1 ZINC000348921883 335620977 /nfs/dbraw/zinc/62/09/77/335620977.db2.gz PDLOBVAREUFNRA-QGZVFWFLSA-N 0 3 321.424 4.053 20 0 DIADHN C[C@H]1CCC[C@H](C)N1C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000348950241 335624224 /nfs/dbraw/zinc/62/42/24/335624224.db2.gz PHPSSTGOTFEBLY-IRXDYDNUSA-N 0 3 314.473 4.076 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cnc3ccsc3c2)C12CCC2 ZINC000166238922 335626648 /nfs/dbraw/zinc/62/66/48/335626648.db2.gz HAADILGEDSGRQP-AFAVFJNCSA-N 0 3 316.470 4.295 20 0 DIADHN C[C@H](NC1CCN(c2ccccn2)CC1)c1csc(Cl)c1 ZINC000191175905 335629722 /nfs/dbraw/zinc/62/97/22/335629722.db2.gz FGSQUBQVOHWPTL-LBPRGKRZSA-N 0 3 321.877 4.116 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2ccc(C(=O)NC)cc2)cc1 ZINC000323888143 335645880 /nfs/dbraw/zinc/64/58/80/335645880.db2.gz MQPKVCYEHJCQAC-MRXNPFEDSA-N 0 3 324.468 4.240 20 0 DIADHN Cc1cc(CNC2(c3ccc(Cl)cc3)CCCCC2)n(C)n1 ZINC000417878595 335652789 /nfs/dbraw/zinc/65/27/89/335652789.db2.gz AKMBARXCLITJOH-UHFFFAOYSA-N 0 3 317.864 4.331 20 0 DIADHN C/C=C/C[C@H](CO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000349357126 335665983 /nfs/dbraw/zinc/66/59/83/335665983.db2.gz SUTYCHOVTNJOSA-ONKIFHLLSA-N 0 3 320.285 4.349 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@H](CO)c1cccc(F)c1 ZINC000349376292 335667535 /nfs/dbraw/zinc/66/75/35/335667535.db2.gz FOMUJQDTJWVNLE-RBUKOAKNSA-N 0 3 313.416 4.143 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(OC)c(O)c2)C1(C)C ZINC000583534921 335684477 /nfs/dbraw/zinc/68/44/77/335684477.db2.gz LSJKVCCJCQRHCZ-FSPWUOQZSA-N 0 3 321.461 4.035 20 0 DIADHN CC[C@@H](NCCOC(C)C)c1cc(F)cc(Br)c1 ZINC000349725838 335694443 /nfs/dbraw/zinc/69/44/43/335694443.db2.gz YEKOTAPFICHKSR-CQSZACIVSA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc(CN[C@@H]2CCSc3c(F)cccc32)c(C)c1 ZINC000537964529 335701322 /nfs/dbraw/zinc/70/13/22/335701322.db2.gz UEEDLFFZJWDUOT-QGZVFWFLSA-N 0 3 317.429 4.469 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H](C)c1ncc(C)s1 ZINC000349833466 335704716 /nfs/dbraw/zinc/70/47/16/335704716.db2.gz LNDFBTMZVDIXHK-GXTWGEPZSA-N 0 3 304.459 4.305 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC(OC(C)C)CC1 ZINC000535796747 335776598 /nfs/dbraw/zinc/77/65/98/335776598.db2.gz GXLHQYOUYRNYAK-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN Cc1ccccc1NC(=O)c1oc2ccccc2c1CN(C)C ZINC000114111422 335790220 /nfs/dbraw/zinc/79/02/20/335790220.db2.gz AZHLKLZWCKRROT-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CC[C@H](NCC1(C(F)(F)F)CC1)c1ccc(OC)c(OC)c1 ZINC000353995677 335795032 /nfs/dbraw/zinc/79/50/32/335795032.db2.gz KUOJFBIKKPFEKJ-LBPRGKRZSA-N 0 3 317.351 4.087 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@@H](C)c1ccc(F)cn1 ZINC000536180308 335828474 /nfs/dbraw/zinc/82/84/74/335828474.db2.gz VVGNMHNEBCRBFH-ZDUSSCGKSA-N 0 3 322.811 4.302 20 0 DIADHN COC[C@H](N[C@H](C)Cc1ccccc1F)c1ccc(F)c(F)c1 ZINC000527354686 335838074 /nfs/dbraw/zinc/83/80/74/335838074.db2.gz ZBBAZEYWWGLCMX-XIKOKIGWSA-N 0 3 323.358 4.012 20 0 DIADHN C[C@H]1CCC[C@@H](CCNCc2ccc(Br)cn2)C1 ZINC000070537585 335838084 /nfs/dbraw/zinc/83/80/84/335838084.db2.gz ZIGYIFGPJHJRET-STQMWFEESA-N 0 3 311.267 4.150 20 0 DIADHN COc1ccc2cc(CN3CCC(OC(C)C)CC3)ccc2c1 ZINC000536647421 335852166 /nfs/dbraw/zinc/85/21/66/335852166.db2.gz ZRSUPYDIKIPTII-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN COc1ccc(CCCN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480276874 335855638 /nfs/dbraw/zinc/85/56/38/335855638.db2.gz VMXDPRBGQYUDNO-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CC(C)(C)[C@H](NC(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000519588510 335879226 /nfs/dbraw/zinc/87/92/26/335879226.db2.gz INJJDXJGTJIAFF-GOSISDBHSA-N 0 3 323.440 4.199 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cccc(Cl)c2Cl)CCO1 ZINC000536758041 335884860 /nfs/dbraw/zinc/88/48/60/335884860.db2.gz XBFNDCCNXYNVAA-GXTWGEPZSA-N 0 3 302.245 4.287 20 0 DIADHN CCNCc1c(Cl)cccc1OCc1cccc(OC)c1 ZINC000034825506 335887892 /nfs/dbraw/zinc/88/78/92/335887892.db2.gz ONCAOFJPWHVVSM-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN C[C@@H](NCc1cccc2cc[nH]c21)c1ccccc1-n1cccn1 ZINC000532105256 335902698 /nfs/dbraw/zinc/90/26/98/335902698.db2.gz VDLXPYSHEZXWPC-OAHLLOKOSA-N 0 3 316.408 4.204 20 0 DIADHN Clc1ccc(CCNCC2=Cc3ccccc3OC2)s1 ZINC000102425214 335902995 /nfs/dbraw/zinc/90/29/95/335902995.db2.gz ZYOZQAHVGJSVOG-UHFFFAOYSA-N 0 3 305.830 4.010 20 0 DIADHN CC[C@@H](N[C@@H](c1nccn1C)c1ccccc1)C1CCCCC1 ZINC000532197743 335914568 /nfs/dbraw/zinc/91/45/68/335914568.db2.gz QHPFQZUJBDNIPI-RTBURBONSA-N 0 3 311.473 4.458 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)COC3)cc1F ZINC000532200362 335915124 /nfs/dbraw/zinc/91/51/24/335915124.db2.gz JPXAUVPKTZLLBX-STQMWFEESA-N 0 3 315.388 4.276 20 0 DIADHN COC[C@@H](CC(C)C)N[C@@H](C)c1ccc(OC(C)C)c(OC)c1 ZINC000532200306 335915142 /nfs/dbraw/zinc/91/51/42/335915142.db2.gz FTGWSBNXVDPHFN-DOTOQJQBSA-N 0 3 323.477 4.194 20 0 DIADHN COCc1cc(CN[C@@H]2CC(C)(C)c3ccccc32)ccc1F ZINC000532225576 335920460 /nfs/dbraw/zinc/92/04/60/335920460.db2.gz IWGVLBRQAXPBEV-LJQANCHMSA-N 0 3 313.416 4.484 20 0 DIADHN C[C@@H](N[C@H](CC1CC1)c1ccccc1)c1ccc(NC(N)=O)cc1 ZINC000532228388 335920743 /nfs/dbraw/zinc/92/07/43/335920743.db2.gz QRBRXLZREHUDLZ-AUUYWEPGSA-N 0 3 323.440 4.369 20 0 DIADHN CN(C)CCN(C(=O)c1csc2ccccc12)c1ccccc1 ZINC000520166630 335924152 /nfs/dbraw/zinc/92/41/52/335924152.db2.gz GIUDYSZIDIRZOT-UHFFFAOYSA-N 0 3 324.449 4.110 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NC[C@@H]1CCCCO1)CCCO2 ZINC000532270419 335925501 /nfs/dbraw/zinc/92/55/01/335925501.db2.gz UWNKXMIIGOAYDL-FUHWJXTLSA-N 0 3 303.446 4.182 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000532248411 335926243 /nfs/dbraw/zinc/92/62/43/335926243.db2.gz ILAHWPSYUURXPL-SUMWQHHRSA-N 0 3 323.358 4.398 20 0 DIADHN CC[C@H](N[C@@H](CO)c1ccccc1C)c1ccc(Cl)s1 ZINC000532249335 335926509 /nfs/dbraw/zinc/92/65/09/335926509.db2.gz RGQURJXSUJIQIR-KBPBESRZSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000532249804 335926612 /nfs/dbraw/zinc/92/66/12/335926612.db2.gz KOOJYGFIZVCWSM-IERDGZPVSA-N 0 3 309.453 4.258 20 0 DIADHN COc1cc(CN(CC(C)C)C2CC2)c(SC)cc1OC ZINC000351002761 336003310 /nfs/dbraw/zinc/00/33/10/336003310.db2.gz OXOSKHDTELWGGS-UHFFFAOYSA-N 0 3 309.475 4.046 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1nc(-c2ccoc2)no1 ZINC000520667614 336004619 /nfs/dbraw/zinc/00/46/19/336004619.db2.gz NKLZGUIELSUPBM-UHFFFAOYSA-N 0 3 305.422 4.224 20 0 DIADHN CC[C@@H](NC[C@H]1CCCn2cc(C)nc21)c1ccc(F)cc1F ZINC000351292995 336026329 /nfs/dbraw/zinc/02/63/29/336026329.db2.gz WSPVVSIPKOVDNQ-CXAGYDPISA-N 0 3 319.399 4.088 20 0 DIADHN Cc1ccc(CN(C)Cc2ccccc2-c2ccnn2C)s1 ZINC000351353064 336029965 /nfs/dbraw/zinc/02/99/65/336029965.db2.gz QZVHVYCEBLVYCC-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCO[C@H]2c2ccccc2)o1 ZINC000192377665 336030372 /nfs/dbraw/zinc/03/03/72/336030372.db2.gz YHAJHNYHMJNLFD-QQDKQVRDSA-N 0 3 311.425 4.270 20 0 DIADHN CC[C@@H](NCCc1nc(C)c(C)s1)c1ccc(F)cc1F ZINC000351385144 336031538 /nfs/dbraw/zinc/03/15/38/336031538.db2.gz MXVYQOFOPMUHKW-OAHLLOKOSA-N 0 3 310.413 4.322 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000192463714 336032184 /nfs/dbraw/zinc/03/21/84/336032184.db2.gz WIBKESSQVINIOV-OAHLLOKOSA-N 0 3 311.400 4.482 20 0 DIADHN C[C@H](NCCc1ccncc1)c1nc(-c2ccccc2)cs1 ZINC000351612972 336050295 /nfs/dbraw/zinc/05/02/95/336050295.db2.gz YWHMMWNBZCLOJU-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN Cc1nc(-c2cc3c(s2)CCN(CC[C@H](C)F)C3)cs1 ZINC000351755850 336065358 /nfs/dbraw/zinc/06/53/58/336065358.db2.gz JHEAOAORKKKVLT-JTQLQIEISA-N 0 3 310.463 4.286 20 0 DIADHN CC[C@H](C)[C@H](NCc1cnc(C)nc1)c1ccc(Cl)cc1 ZINC000351761954 336065906 /nfs/dbraw/zinc/06/59/06/336065906.db2.gz YSBUUQQLHZTLNO-SJCJKPOMSA-N 0 3 303.837 4.315 20 0 DIADHN C[C@H]1CCN(Cc2ccc(C3CCC3)cc2)C[C@@H]1n1ccnc1 ZINC000351776189 336068405 /nfs/dbraw/zinc/06/84/05/336068405.db2.gz ZAQXXFZNINANJI-JXFKEZNVSA-N 0 3 309.457 4.234 20 0 DIADHN CC[C@H](NCCn1c(C)nc2ccccc21)c1ccccc1OC ZINC000324155740 336097222 /nfs/dbraw/zinc/09/72/22/336097222.db2.gz BGAYXTXJLSMSOU-KRWDZBQOSA-N 0 3 323.440 4.094 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccccc2-n2cccn2)c2ccccc21 ZINC000324266149 336125946 /nfs/dbraw/zinc/12/59/46/336125946.db2.gz MPUOAOCNJZXVKX-DNVCBOLYSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000332148387 336160374 /nfs/dbraw/zinc/16/03/74/336160374.db2.gz GQSMSTUKEKYTNR-FZMZJTMJSA-N 0 3 303.381 4.105 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC[C@@H](C(C)(C)C)CC2)c1 ZINC000332379033 336170393 /nfs/dbraw/zinc/17/03/93/336170393.db2.gz XJAFPLIYURWZEE-MRXNPFEDSA-N 0 3 317.477 4.134 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1cc(Br)ccc1F ZINC000164078682 338880677 /nfs/dbraw/zinc/88/06/77/338880677.db2.gz BLAFMSAZQOMMTB-ONGXEEELSA-N 0 3 320.271 4.380 20 0 DIADHN COC[C@H](NC[C@H](C)c1cccc(Cl)c1)c1ccc(C)o1 ZINC000526119275 336204730 /nfs/dbraw/zinc/20/47/30/336204730.db2.gz FLKZELDCDMSHPC-LRDDRELGSA-N 0 3 307.821 4.322 20 0 DIADHN COC[C@@H](NC[C@@H](C)c1cccc(Cl)c1)c1ccc(C)o1 ZINC000526119276 336204738 /nfs/dbraw/zinc/20/47/38/336204738.db2.gz FLKZELDCDMSHPC-MLGOLLRUSA-N 0 3 307.821 4.322 20 0 DIADHN C[C@@H]1C[C@@H](Nc2cccc(OCCN(C)C)c2)c2ccccc21 ZINC000324397716 336210100 /nfs/dbraw/zinc/21/01/00/336210100.db2.gz OFVJKZZNWAWQHP-FOIQADDNSA-N 0 3 310.441 4.287 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCC(Oc2ccncc2C)CC1 ZINC000352365758 336214029 /nfs/dbraw/zinc/21/40/29/336214029.db2.gz WMHQBCPTULZNGN-QGZVFWFLSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1cccc(C)c1CN1CCC(Oc2ccncc2C)CC1 ZINC000352365409 336214358 /nfs/dbraw/zinc/21/43/58/336214358.db2.gz RFKDTYSZTCARTJ-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN CC(C)(C)OC1CC(N[C@@H]2CCOc3c(Cl)cccc32)C1 ZINC000352568170 336224959 /nfs/dbraw/zinc/22/49/59/336224959.db2.gz VZPQWBWLSPADRW-KOHJWAIASA-N 0 3 309.837 4.099 20 0 DIADHN CSCCCCNCc1ccc(Sc2ccccn2)o1 ZINC000352588725 336225895 /nfs/dbraw/zinc/22/58/95/336225895.db2.gz DIQPACQQGYRDMW-UHFFFAOYSA-N 0 3 308.472 4.059 20 0 DIADHN CC[C@@H](NC/C(C)=C\c1ccccc1COC)c1ccncc1 ZINC000352619832 336227352 /nfs/dbraw/zinc/22/73/52/336227352.db2.gz XCYKKYLOTFHILW-QTXHJTIISA-N 0 3 310.441 4.372 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1sc(C)nc1C ZINC000352638337 336228142 /nfs/dbraw/zinc/22/81/42/336228142.db2.gz JNFDTBHYQGKUNY-UKTHLTGXSA-N 0 3 316.470 4.099 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1ccccc1F ZINC000352701674 336231246 /nfs/dbraw/zinc/23/12/46/336231246.db2.gz MIGVMNRZNWIPPM-SJORKVTESA-N 0 3 306.450 4.231 20 0 DIADHN COc1cc(CN2CCC[C@H]3CCC[C@@H]32)c(SC)cc1OC ZINC000352718842 336232141 /nfs/dbraw/zinc/23/21/41/336232141.db2.gz JBHWOJLNASDGIH-HIFRSBDPSA-N 0 3 321.486 4.190 20 0 DIADHN Cn1cc(CNCc2ccc3occc3c2)c(-c2ccccc2)n1 ZINC000352738492 336233153 /nfs/dbraw/zinc/23/31/53/336233153.db2.gz UBDNVTRBCJNNJE-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc2occc2c1)c1ccco1 ZINC000352767105 336233981 /nfs/dbraw/zinc/23/39/81/336233981.db2.gz BTNYZGBZMPFRHV-KRWDZBQOSA-N 0 3 312.413 4.199 20 0 DIADHN CC[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1c(C)nn(C)c1C ZINC000352749331 336234619 /nfs/dbraw/zinc/23/46/19/336234619.db2.gz MLIHZRHBPZGILM-JTGQJZMRSA-N 0 3 319.399 4.069 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1cccc(Br)c1 ZINC000042205656 336237276 /nfs/dbraw/zinc/23/72/76/336237276.db2.gz OTGOEFJZTPOYIH-UHFFFAOYSA-N 0 3 308.194 4.220 20 0 DIADHN COc1cccc(CN(C)Cc2cccc(Br)c2)c1 ZINC000042268054 336238210 /nfs/dbraw/zinc/23/82/10/336238210.db2.gz AECFXOAQFODISR-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2)cc1Br ZINC000042298894 336238770 /nfs/dbraw/zinc/23/87/70/336238770.db2.gz CPCNLLZQAPLESW-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN COCCCCCN(Cc1ccco1)Cc1ccc(C)s1 ZINC000103227041 336238945 /nfs/dbraw/zinc/23/89/45/336238945.db2.gz PSIKRODBXQKWCG-UHFFFAOYSA-N 0 3 307.459 4.468 20 0 DIADHN CO[C@@H](CNCc1cnc(C(C)C)s1)c1ccc(Cl)cc1 ZINC000532328841 336240571 /nfs/dbraw/zinc/24/05/71/336240571.db2.gz VNXCGWLERLKBFH-HNNXBMFYSA-N 0 3 324.877 4.397 20 0 DIADHN CCC[C@H](NCc1ccc2[nH]cnc2c1)c1ccc(F)cc1F ZINC000532328707 336240629 /nfs/dbraw/zinc/24/06/29/336240629.db2.gz KSWZNNSKQCARIF-INIZCTEOSA-N 0 3 315.367 4.472 20 0 DIADHN CCC[C@H](NCc1ccc2nc[nH]c2c1)c1ccc(F)cc1F ZINC000532328707 336240630 /nfs/dbraw/zinc/24/06/30/336240630.db2.gz KSWZNNSKQCARIF-INIZCTEOSA-N 0 3 315.367 4.472 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc(OC(C)C)cc1 ZINC000324656611 336240847 /nfs/dbraw/zinc/24/08/47/336240847.db2.gz YZUFCESKBPEKSS-OAHLLOKOSA-N 0 3 323.440 4.281 20 0 DIADHN Cc1cnn(CCCCCN[C@H](C)c2ccccc2Cl)c1 ZINC000104095909 336245526 /nfs/dbraw/zinc/24/55/26/336245526.db2.gz SJDOAXGLWWJEBV-OAHLLOKOSA-N 0 3 305.853 4.366 20 0 DIADHN CC(C)c1ccccc1NC(=O)NC[C@H](C)N1CCC[C@@H](C)C1 ZINC000043229892 336246640 /nfs/dbraw/zinc/24/66/40/336246640.db2.gz SLZPOTFGRPATNX-CVEARBPZSA-N 0 3 317.477 4.052 20 0 DIADHN CC[C@H](CO)N[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532441865 336247435 /nfs/dbraw/zinc/24/74/35/336247435.db2.gz ASIQPIKMEOWDOM-UKRRQHHQSA-N 0 3 303.377 4.040 20 0 DIADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532442558 336247443 /nfs/dbraw/zinc/24/74/43/336247443.db2.gz HLYXHOLPEXHUJM-RHSMWYFYSA-N 0 3 315.388 4.448 20 0 DIADHN CC[C@H](N[C@@H](C)CC(=O)N(CC)CC)c1cccc(Cl)c1 ZINC000532450623 336247878 /nfs/dbraw/zinc/24/78/78/336247878.db2.gz HRJPWQLWTBRRGG-BBRMVZONSA-N 0 3 310.869 4.028 20 0 DIADHN c1coc([C@H](CN[C@@H]2CCc3ccccc32)N2CCCCC2)c1 ZINC000532461076 336248802 /nfs/dbraw/zinc/24/88/02/336248802.db2.gz UVWTXHQMJLCBSM-MOPGFXCFSA-N 0 3 310.441 4.084 20 0 DIADHN C[C@H](NCCOc1ccccc1)c1ccc(-c2ccncc2)cc1 ZINC000532461312 336248816 /nfs/dbraw/zinc/24/88/16/336248816.db2.gz WNYHRTSLAIFPFR-KRWDZBQOSA-N 0 3 318.420 4.478 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000532471128 336249536 /nfs/dbraw/zinc/24/95/36/336249536.db2.gz ZYBXESFCYGIUAB-VDZJLULYSA-N 0 3 314.473 4.224 20 0 DIADHN Cc1ncc(CN[C@H]2CCCC[C@H]2Oc2cccc(C)c2)s1 ZINC000532496118 336250899 /nfs/dbraw/zinc/25/08/99/336250899.db2.gz KXCOKUNPRJQTTE-ZWKOTPCHSA-N 0 3 316.470 4.240 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H](C)c1cccc(-c2ccccc2)c1 ZINC000532475495 336251122 /nfs/dbraw/zinc/25/11/22/336251122.db2.gz HSGHROKSZKEEAX-DLBZAZTESA-N 0 3 324.468 4.309 20 0 DIADHN COc1ccsc1CN[C@@H]1CCCO[C@@H]1c1ccc(C)cc1 ZINC000532479168 336251307 /nfs/dbraw/zinc/25/13/07/336251307.db2.gz QAKCZQDSFVWHJX-CRAIPNDOSA-N 0 3 317.454 4.075 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccccc1OC(F)F)c1ccccc1 ZINC000532479068 336251345 /nfs/dbraw/zinc/25/13/45/336251345.db2.gz RLNZJDDRXSLBAW-GUYCJALGSA-N 0 3 321.367 4.326 20 0 DIADHN COCC1(NCc2ccc(-c3ccc(Cl)cc3)s2)CC1 ZINC000532479809 336251549 /nfs/dbraw/zinc/25/15/49/336251549.db2.gz SIZXPXMBTZISJF-UHFFFAOYSA-N 0 3 307.846 4.337 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nccn1CCCc1ccccc1 ZINC000532521487 336252059 /nfs/dbraw/zinc/25/20/59/336252059.db2.gz AESXFHJCTYPOTG-OALUTQOASA-N 0 3 311.473 4.184 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2ccc(-n3ccnc3)cc2)cn1 ZINC000532511430 336253038 /nfs/dbraw/zinc/25/30/38/336253038.db2.gz CADIHJXHQILKAL-HOTGVXAUSA-N 0 3 323.444 4.062 20 0 DIADHN C[C@H](NCC1(c2ccccc2Br)CC1)c1ccoc1 ZINC000532517546 336253515 /nfs/dbraw/zinc/25/35/15/336253515.db2.gz NPSQLFHMVHEBOS-LBPRGKRZSA-N 0 3 320.230 4.425 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1cccc(Br)c1 ZINC000104443863 336253946 /nfs/dbraw/zinc/25/39/46/336253946.db2.gz BXPJHQIKAVLMJX-LBPRGKRZSA-N 0 3 312.251 4.059 20 0 DIADHN C[C@@H](NCc1cccn1C)c1ccc(OCc2ccccc2)cc1 ZINC000532531116 336253830 /nfs/dbraw/zinc/25/38/30/336253830.db2.gz MOWYUKIPWCYYNL-QGZVFWFLSA-N 0 3 320.436 4.455 20 0 DIADHN C[C@@H](NCCc1cscn1)c1ccc(Cl)c(Cl)c1 ZINC000104432432 336253910 /nfs/dbraw/zinc/25/39/10/336253910.db2.gz VPTZAIIAIWMSTI-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](NCCc1cscn1)c1ccc(Cl)c(Cl)c1 ZINC000104432427 336253997 /nfs/dbraw/zinc/25/39/97/336253997.db2.gz VPTZAIIAIWMSTI-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CCC[C@H](N[C@H](CO)c1ccccc1C)c1ccc(OC)cc1 ZINC000532548274 336254934 /nfs/dbraw/zinc/25/49/34/336254934.db2.gz NALUPPYOIUMIRQ-VQTJNVASSA-N 0 3 313.441 4.168 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCCOc2ccccc2C)n1 ZINC000532538515 336255341 /nfs/dbraw/zinc/25/53/41/336255341.db2.gz RXEKQNNHLWWTJJ-LJQANCHMSA-N 0 3 310.441 4.304 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N(C)CC(C)(C)C ZINC000532550382 336255950 /nfs/dbraw/zinc/25/59/50/336255950.db2.gz CXXCWTJALHRIRC-HNNXBMFYSA-N 0 3 304.478 4.423 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@@H](C)CCC[C@H]2C)c(C)c1 ZINC000532578027 336256168 /nfs/dbraw/zinc/25/61/68/336256168.db2.gz KJSXUTCDWGAGOI-SUMWQHHRSA-N 0 3 302.462 4.061 20 0 DIADHN CC(C)C[C@@H](NCCOc1ccc(F)cc1F)c1ccccn1 ZINC000532551046 336256238 /nfs/dbraw/zinc/25/62/38/336256238.db2.gz RINZRBASEKDTCZ-QGZVFWFLSA-N 0 3 320.383 4.116 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@H]2C(C)(C)C)c(C)c1 ZINC000532580073 336256394 /nfs/dbraw/zinc/25/63/94/336256394.db2.gz XKSFOJBSIZLLEO-INIZCTEOSA-N 0 3 302.462 4.061 20 0 DIADHN c1ccc(-c2ncc(CN3CCC4(C3)CCCCC4)cn2)cc1 ZINC000532573414 336256859 /nfs/dbraw/zinc/25/68/59/336256859.db2.gz ZTUWVQCYSUAQKU-UHFFFAOYSA-N 0 3 307.441 4.300 20 0 DIADHN CCC(CC)[C@@H](CCNCc1cc(-c2ccccc2)n[nH]1)OC ZINC000532615768 336257759 /nfs/dbraw/zinc/25/77/59/336257759.db2.gz CRBNRBRKCBTSCV-LJQANCHMSA-N 0 3 315.461 4.008 20 0 DIADHN CCC(CC)[C@@H](CCNCc1coc(-c2ccccc2)n1)OC ZINC000532617696 336257853 /nfs/dbraw/zinc/25/78/53/336257853.db2.gz KQSBWNHBBVXLTB-GOSISDBHSA-N 0 3 316.445 4.273 20 0 DIADHN Fc1cc(CN[C@@H]2CCCC(F)(F)C2)ccc1Br ZINC000532627672 336258018 /nfs/dbraw/zinc/25/80/18/336258018.db2.gz JVGCACCNZZOGSV-SNVBAGLBSA-N 0 3 322.168 4.256 20 0 DIADHN CSc1ccc(CNCc2cccc3cccnc32)s1 ZINC000352823220 336258026 /nfs/dbraw/zinc/25/80/26/336258026.db2.gz NBGALRRSTQDVIP-UHFFFAOYSA-N 0 3 300.452 4.308 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000480889409 336258492 /nfs/dbraw/zinc/25/84/92/336258492.db2.gz NMOBXLADHGAPKX-RDBSUJKOSA-N 0 3 311.828 4.289 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]3CCC(C)(C)c4cccc2c43)n(C)n1 ZINC000417969978 336259401 /nfs/dbraw/zinc/25/94/01/336259401.db2.gz QOGFWCBEANITGG-RDTXWAMCSA-N 0 3 309.457 4.118 20 0 DIADHN CC(C)COc1cccc(CN2CCC[C@H]2c2ccncc2)c1 ZINC000580363583 336260320 /nfs/dbraw/zinc/26/03/20/336260320.db2.gz FHZWMRVXIUTVHL-FQEVSTJZSA-N 0 3 310.441 4.454 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000192750235 336260486 /nfs/dbraw/zinc/26/04/86/336260486.db2.gz NDHMOZGTLBUZFB-KGLIPLIRSA-N 0 3 313.397 4.226 20 0 DIADHN CC(C)c1ccc([C@@H](C)NC(=O)C[C@@H](N)c2ccccc2)cc1 ZINC000192772712 336261428 /nfs/dbraw/zinc/26/14/28/336261428.db2.gz ZVGQXDCIBJJKGA-DNVCBOLYSA-N 0 3 310.441 4.077 20 0 DIADHN CCn1c(CNC[C@H]2CCCCC(F)(F)C2)nc2ccccc21 ZINC000580387738 336263096 /nfs/dbraw/zinc/26/30/96/336263096.db2.gz DDTXCTOJXXRXMC-AWEZNQCLSA-N 0 3 321.415 4.361 20 0 DIADHN Brc1ccc([C@@H]2CCCN2C[C@H]2CCCOC2)cc1 ZINC000532640143 336264060 /nfs/dbraw/zinc/26/40/60/336264060.db2.gz WJPRQIGUTAVZSO-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN Brc1cccc([C@@H]2CCCN2C[C@H]2CCCOC2)c1 ZINC000532640545 336264270 /nfs/dbraw/zinc/26/42/70/336264270.db2.gz SQYSRWHQCSEKBI-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN Clc1cccc(OCCCN2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000532655191 336265883 /nfs/dbraw/zinc/26/58/83/336265883.db2.gz IVDVBKWBWYTOHY-QGZVFWFLSA-N 0 3 304.821 4.274 20 0 DIADHN CN(C)c1ccccc1CNCc1cccc(-c2cccnc2)c1 ZINC000532675289 336266330 /nfs/dbraw/zinc/26/63/30/336266330.db2.gz CXIWLDOWGBLSHY-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN CCOCCN1CCCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000532687469 336267419 /nfs/dbraw/zinc/26/74/19/336267419.db2.gz RUCUHZQZSGSBOS-OAHLLOKOSA-N 0 3 301.352 4.269 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@H](C)SC ZINC000532783285 336271088 /nfs/dbraw/zinc/27/10/88/336271088.db2.gz WIEVPIBDUIJRHA-AWEZNQCLSA-N 0 3 301.455 4.203 20 0 DIADHN CCCc1ccccc1NC1CCN(Cc2ccncc2)CC1 ZINC000182567393 336271420 /nfs/dbraw/zinc/27/14/20/336271420.db2.gz BUQQFPARAHXDRS-UHFFFAOYSA-N 0 3 309.457 4.111 20 0 DIADHN CCN(Cc1ccccn1)[C@@H](C)c1cccc(Br)c1 ZINC000104949082 336271887 /nfs/dbraw/zinc/27/18/87/336271887.db2.gz UGNYIEABGULABF-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN CCN(Cc1ccccn1)[C@@H](C)c1ccc(Br)cc1 ZINC000104949061 336271965 /nfs/dbraw/zinc/27/19/65/336271965.db2.gz XDWCMIKENZKGIX-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN c1c2ccccc2sc1CNC1(c2ccc3c(c2)OCO3)CC1 ZINC000532880005 336274236 /nfs/dbraw/zinc/27/42/36/336274236.db2.gz GCIDODDYUXZPDP-UHFFFAOYSA-N 0 3 323.417 4.409 20 0 DIADHN C[C@@H](NCC(=O)NCCC(C)(C)C)c1cc2ccccc2s1 ZINC000526944807 336275968 /nfs/dbraw/zinc/27/59/68/336275968.db2.gz BNVSOSSWCHJRGX-CYBMUJFWSA-N 0 3 318.486 4.104 20 0 DIADHN CO[C@H](C)c1nc(CNC2CCC3(CCCC3)CC2)cs1 ZINC000352844358 336276161 /nfs/dbraw/zinc/27/61/61/336276161.db2.gz XTMVEGGYDJPVTN-CYBMUJFWSA-N 0 3 308.491 4.443 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccc(COC)cc1 ZINC000526958115 336276213 /nfs/dbraw/zinc/27/62/13/336276213.db2.gz CFOSKDQAMBCXOJ-UHFFFAOYSA-N 0 3 308.425 4.336 20 0 DIADHN C[C@H](c1nc(-c2cncc3ccccc32)no1)N1CCCCCC1 ZINC000526954066 336276437 /nfs/dbraw/zinc/27/64/37/336276437.db2.gz BRCFSTSKEZCTKI-CQSZACIVSA-N 0 3 322.412 4.222 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC(C1CCC1)C1CCC1 ZINC000325012313 336276670 /nfs/dbraw/zinc/27/66/70/336276670.db2.gz CWYNNECYHHCBCI-UHFFFAOYSA-N 0 3 313.470 4.264 20 0 DIADHN CCn1cncc1CN[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000417974112 336277734 /nfs/dbraw/zinc/27/77/34/336277734.db2.gz VLTWPWRRMVVETP-KBXCAEBGSA-N 0 3 309.457 4.293 20 0 DIADHN C[C@@H](N[C@H](C(=O)Nc1ccccc1)c1ccccc1)C1CCC1 ZINC000526983162 336277941 /nfs/dbraw/zinc/27/79/41/336277941.db2.gz YDPWVUPRXQXDIJ-BEFAXECRSA-N 0 3 308.425 4.145 20 0 DIADHN CCn1cncc1CN[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000417974129 336278010 /nfs/dbraw/zinc/27/80/10/336278010.db2.gz VLTWPWRRMVVETP-KSSFIOAISA-N 0 3 309.457 4.293 20 0 DIADHN COc1ccc2oc(CNCCc3ccc(F)c(F)c3)cc2c1 ZINC000527037217 336280151 /nfs/dbraw/zinc/28/01/51/336280151.db2.gz XHGZCENMHVOUFJ-UHFFFAOYSA-N 0 3 317.335 4.052 20 0 DIADHN CC(C)C[C@@H](NCCc1ccc(F)c(F)c1)c1ccccn1 ZINC000527042677 336280814 /nfs/dbraw/zinc/28/08/14/336280814.db2.gz XHUVUSRPTIBUEE-GOSISDBHSA-N 0 3 304.384 4.279 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc3c(c2)OCO3)cc1 ZINC000192918457 336284369 /nfs/dbraw/zinc/28/43/69/336284369.db2.gz MNRFOAUGFJNKCW-CQSZACIVSA-N 0 3 313.397 4.055 20 0 DIADHN c1ccc(CN2CCC(Nc3ccc4ccccc4n3)CC2)cc1 ZINC000301060651 336287839 /nfs/dbraw/zinc/28/78/39/336287839.db2.gz RKAKIVGARKXUKX-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN CCCN1CCC(Nc2nc(-c3ccccc3)cs2)CC1 ZINC000301126601 336291290 /nfs/dbraw/zinc/29/12/90/336291290.db2.gz ZNHWJMMXCIQYHK-UHFFFAOYSA-N 0 3 301.459 4.096 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2ccsc21)c1cccs1 ZINC000301377430 336303388 /nfs/dbraw/zinc/30/33/88/336303388.db2.gz WRAWXSYGVMGOSK-ZDUSSCGKSA-N 0 3 303.456 4.073 20 0 DIADHN CC(C)(C)c1nccc(N[C@@H](CN2CCCC2)c2ccccc2)n1 ZINC000301426570 336305969 /nfs/dbraw/zinc/30/59/69/336305969.db2.gz CRKIATAZSNPKMA-KRWDZBQOSA-N 0 3 324.472 4.023 20 0 DIADHN Clc1ccc(NCC2CCN(Cc3cccs3)CC2)nc1 ZINC000301444892 336307155 /nfs/dbraw/zinc/30/71/55/336307155.db2.gz NQJWLOSHOPDKCK-UHFFFAOYSA-N 0 3 321.877 4.121 20 0 DIADHN CN(C)[C@H](CNc1nc2ccccc2o1)c1ccc(Cl)cc1 ZINC000301479295 336309448 /nfs/dbraw/zinc/30/94/48/336309448.db2.gz QYTMHLOYSQYHJB-OAHLLOKOSA-N 0 3 315.804 4.196 20 0 DIADHN Clc1cnccc1NCC1CCN(Cc2cccs2)CC1 ZINC000301497740 336310420 /nfs/dbraw/zinc/31/04/20/336310420.db2.gz DDCBANQZCPCVLK-UHFFFAOYSA-N 0 3 321.877 4.121 20 0 DIADHN Cc1ccccc1CN1CCC(Nc2ccncc2Cl)CC1 ZINC000301511774 336311666 /nfs/dbraw/zinc/31/16/66/336311666.db2.gz KQOPSYOWJMEQSW-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN Clc1ccc(NC[C@@H](c2cccs2)N2CCCC2)nc1 ZINC000301565096 336315265 /nfs/dbraw/zinc/31/52/65/336315265.db2.gz ZABWXBQVDDJFHQ-ZDUSSCGKSA-N 0 3 307.850 4.046 20 0 DIADHN CCN(CC)[C@H](CNc1ncnc2cccc(C)c21)c1ccco1 ZINC000301574486 336315848 /nfs/dbraw/zinc/31/58/48/336315848.db2.gz YDANQIJWYYPAOY-MRXNPFEDSA-N 0 3 324.428 4.026 20 0 DIADHN CN1CCC[C@@H](CNc2ncccc2Cl)[C@H]1c1cccs1 ZINC000301574332 336315887 /nfs/dbraw/zinc/31/58/87/336315887.db2.gz PWGAPAMIKKDDGY-WFASDCNBSA-N 0 3 321.877 4.292 20 0 DIADHN Clc1cnccc1NC[C@@H](c1cccs1)N1CCCC1 ZINC000301602335 336317688 /nfs/dbraw/zinc/31/76/88/336317688.db2.gz WFMGZCALFGFNQX-AWEZNQCLSA-N 0 3 307.850 4.046 20 0 DIADHN CCN(CC)[C@@H](CNc1ncnc2c(C)cccc12)c1ccco1 ZINC000301715135 336325126 /nfs/dbraw/zinc/32/51/26/336325126.db2.gz WXURXQAADSWEFR-INIZCTEOSA-N 0 3 324.428 4.026 20 0 DIADHN CCc1cc(NC[C@@](C)(O)c2cccs2)c2ccccc2n1 ZINC000301774464 336328853 /nfs/dbraw/zinc/32/88/53/336328853.db2.gz FYMKKPQOTPVYHJ-GOSISDBHSA-N 0 3 312.438 4.178 20 0 DIADHN CCc1cc(N2CC[C@@H](C)[C@H](n3ccnc3)C2)c2ccccc2n1 ZINC000301786008 336329768 /nfs/dbraw/zinc/32/97/68/336329768.db2.gz LCSJZULLTIWXCP-FOIQADDNSA-N 0 3 320.440 4.081 20 0 DIADHN CCCc1cc(NC[C@@](C)(O)c2ccco2)c2ccccc2n1 ZINC000301786081 336329848 /nfs/dbraw/zinc/32/98/48/336329848.db2.gz LHDBFMRYYUJEAB-LJQANCHMSA-N 0 3 310.397 4.100 20 0 DIADHN CCCc1cc(NCCc2c(C)noc2C)c2ccccc2n1 ZINC000301798999 336330771 /nfs/dbraw/zinc/33/07/71/336330771.db2.gz RJDJHMSTFBNLBH-UHFFFAOYSA-N 0 3 309.413 4.447 20 0 DIADHN CCCc1cc(NCc2c(C)nn(C)c2C)c2ccccc2n1 ZINC000301801119 336330969 /nfs/dbraw/zinc/33/09/69/336330969.db2.gz SJQJTENJNBAVQF-UHFFFAOYSA-N 0 3 308.429 4.150 20 0 DIADHN CCc1cc(NCCCc2nc(C(C)C)no2)c2ccccc2n1 ZINC000301814812 336331991 /nfs/dbraw/zinc/33/19/91/336331991.db2.gz ZJGJFFWAJOVZPM-UHFFFAOYSA-N 0 3 324.428 4.348 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1ccc(Br)o1 ZINC000386097294 336338684 /nfs/dbraw/zinc/33/86/84/336338684.db2.gz QKZOTDVLCPJWBE-ZDUSSCGKSA-N 0 3 308.219 4.153 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC(n2ccnc2)CC1 ZINC000301983200 336341359 /nfs/dbraw/zinc/34/13/59/336341359.db2.gz ASMKBUGUVNNIAG-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN Cc1cc(NCc2cn3cccc(C)c3n2)c2ccccc2n1 ZINC000301989412 336341721 /nfs/dbraw/zinc/34/17/21/336341721.db2.gz LZRAAWYGTYHSRX-UHFFFAOYSA-N 0 3 302.381 4.111 20 0 DIADHN CO[C@H](CN(C)[C@H](C)CCSC)c1ccc(Cl)cc1 ZINC000514101892 336341902 /nfs/dbraw/zinc/34/19/02/336341902.db2.gz IDIMAKDJXGQOHK-IUODEOHRSA-N 0 3 301.883 4.101 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@H](c4ccccc4F)C3)c2c1 ZINC000302136348 336350140 /nfs/dbraw/zinc/35/01/40/336350140.db2.gz BHEKZZDCOCRADL-FQEVSTJZSA-N 0 3 322.383 4.260 20 0 DIADHN COc1ccc2c(c1)CN(c1ccnc3ccc(C)cc31)CC2 ZINC000302139304 336350368 /nfs/dbraw/zinc/35/03/68/336350368.db2.gz CXZNEDWPRPFZBJ-UHFFFAOYSA-N 0 3 304.393 4.115 20 0 DIADHN Cc1ccc2nccc(N(C)[C@@H](CCO)c3ccccc3)c2c1 ZINC000302169134 336351975 /nfs/dbraw/zinc/35/19/75/336351975.db2.gz TVHYTRQGOUVSGR-IBGZPJMESA-N 0 3 306.409 4.103 20 0 DIADHN Cc1ccc2nccc(N[C@@H]3CCCn4nc(C(C)C)nc43)c2c1 ZINC000302174919 336352404 /nfs/dbraw/zinc/35/24/04/336352404.db2.gz WOEYRNLTPVNKHI-QGZVFWFLSA-N 0 3 321.428 4.205 20 0 DIADHN Cc1ccc2nccc(N[C@H]3CCO[C@]4(CCSC4)C3)c2c1 ZINC000302223804 336354864 /nfs/dbraw/zinc/35/48/64/336354864.db2.gz NUHZQXBUBUCZMN-KBXCAEBGSA-N 0 3 314.454 4.010 20 0 DIADHN Cc1ccc2nccc(N3CCC(O)(c4ccccc4)CC3)c2c1 ZINC000302233318 336355355 /nfs/dbraw/zinc/35/53/55/336355355.db2.gz ZKUXFXBPLUVHIU-UHFFFAOYSA-N 0 3 318.420 4.031 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@](CC)(CO)C1 ZINC000302383797 336358004 /nfs/dbraw/zinc/35/80/04/336358004.db2.gz HDLXTXYPDIDSMY-FQEVSTJZSA-N 0 3 312.457 4.095 20 0 DIADHN COc1ccc(CNc2c(C)cnc3c(C)cccc32)cc1O ZINC000302639138 336363201 /nfs/dbraw/zinc/36/32/01/336363201.db2.gz KWPLERIEEOGULJ-UHFFFAOYSA-N 0 3 308.381 4.178 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@@H]1COc2ccccc21 ZINC000352893426 336365465 /nfs/dbraw/zinc/36/54/65/336365465.db2.gz LZQDFHPGNJWXGM-SNVBAGLBSA-N 0 3 313.344 4.033 20 0 DIADHN CC[C@](C)(NC[C@H]1CCCC(F)(F)C1)c1nc(C)cs1 ZINC000514115515 336367194 /nfs/dbraw/zinc/36/71/94/336367194.db2.gz STYLKRFWPAQXHO-JSGCOSHPSA-N 0 3 302.434 4.492 20 0 DIADHN CN1CC[C@@H](Nc2ccc3ccccc3n2)[C@@H]1c1ccccc1 ZINC000302801043 336369941 /nfs/dbraw/zinc/36/99/41/336369941.db2.gz CILJKTLUSIFJTP-QUCCMNQESA-N 0 3 303.409 4.092 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](OC)c2ccc(Cl)cc2)cc1 ZINC000514121868 336371735 /nfs/dbraw/zinc/37/17/35/336371735.db2.gz AXSZLGQIZLXAJY-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000514134585 336374573 /nfs/dbraw/zinc/37/45/73/336374573.db2.gz OCCXZMFLOLXWPS-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN Cc1cccc(C2=CCN(CCCO[C@H]3CCCCO3)CC2)c1 ZINC000514129793 336374947 /nfs/dbraw/zinc/37/49/47/336374947.db2.gz BOJWOUHRCMRZBR-FQEVSTJZSA-N 0 3 315.457 4.017 20 0 DIADHN Fc1cccc(F)c1-c1n[nH]cc1CN1CCC[C@H]1C1CCC1 ZINC000352923330 336377267 /nfs/dbraw/zinc/37/72/67/336377267.db2.gz AWRPCLHNUGELPQ-INIZCTEOSA-N 0 3 317.383 4.119 20 0 DIADHN Cn1nccc1-c1ccccc1CN[C@H]1CCCc2sccc21 ZINC000352925970 336377695 /nfs/dbraw/zinc/37/76/95/336377695.db2.gz NOAYGYWCKAXQOU-KRWDZBQOSA-N 0 3 323.465 4.316 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(C)(C)C)nc1)c1cccnc1 ZINC000353030371 336382981 /nfs/dbraw/zinc/38/29/81/336382981.db2.gz DDDKXIBEHCPDET-GOSISDBHSA-N 0 3 313.445 4.141 20 0 DIADHN CCCCN(CCCO)Cc1cnc(C2CCCCC2)s1 ZINC000563915427 336383443 /nfs/dbraw/zinc/38/34/43/336383443.db2.gz NKESYJZZXDMZFA-UHFFFAOYSA-N 0 3 310.507 4.175 20 0 DIADHN CCN(C(=O)[C@@H](C)N1CCC2(CCCC2)CC1)c1ccccc1 ZINC000563916277 336383659 /nfs/dbraw/zinc/38/36/59/336383659.db2.gz SNXZYCDRQBIHMK-QGZVFWFLSA-N 0 3 314.473 4.084 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1CCC(OC2CCCCC2)CC1 ZINC000261384756 336384729 /nfs/dbraw/zinc/38/47/29/336384729.db2.gz UGPXQOLGFILKDF-PXNSSMCTSA-N 0 3 323.521 4.395 20 0 DIADHN C[C@@H](NCCc1ccccn1)c1cc(F)c(Cl)cc1Cl ZINC000034981135 336384968 /nfs/dbraw/zinc/38/49/68/336384968.db2.gz MLZPMCOHVYFQKB-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN c1sc(-c2ccccn2)nc1CNCC1CCCCCC1 ZINC000389424162 336386825 /nfs/dbraw/zinc/38/68/25/336386825.db2.gz CAQHXTHQWNAOEY-UHFFFAOYSA-N 0 3 301.459 4.265 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@H]1CCc2ccccc2C1 ZINC000392103840 336387203 /nfs/dbraw/zinc/38/72/03/336387203.db2.gz BVUJACKIYKTIBH-SFHVURJKSA-N 0 3 319.452 4.093 20 0 DIADHN Brc1cccc2c1CC[C@H]2NCc1ccccc1 ZINC000105491916 336387624 /nfs/dbraw/zinc/38/76/24/336387624.db2.gz HTINJWUPWZXHJN-MRXNPFEDSA-N 0 3 302.215 4.226 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(C)c2C)c(C)c1OC ZINC000563948844 336388048 /nfs/dbraw/zinc/38/80/48/336388048.db2.gz IDOVMTYPFVUICW-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN c1ccc2c(c1)CCC[C@H]2NCc1ccc(N2CCCCC2)nc1 ZINC000353052013 336391713 /nfs/dbraw/zinc/39/17/13/336391713.db2.gz YQPGGEVJPBFDSK-HXUWFJFHSA-N 0 3 321.468 4.239 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@H](C)c1cccc(C)c1 ZINC000125255693 336398123 /nfs/dbraw/zinc/39/81/23/336398123.db2.gz LOIZOKQEUWSIQV-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN Clc1ccc(/C=C\CN2CCC(OC3CCC3)CC2)cc1 ZINC000418089726 336398838 /nfs/dbraw/zinc/39/88/38/336398838.db2.gz JRDZLBRXYHNWBI-IHWYPQMZSA-N 0 3 305.849 4.387 20 0 DIADHN c1c2ccccc2sc1CN1CCC(OC2CCC2)CC1 ZINC000418087362 336398866 /nfs/dbraw/zinc/39/88/66/336398866.db2.gz BYCFGQRBGAAXOV-UHFFFAOYSA-N 0 3 301.455 4.435 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2c(C)cc(C)cc2C)CC1 ZINC000418088210 336398936 /nfs/dbraw/zinc/39/89/36/336398936.db2.gz GCTZASRSFDNCOQ-UHFFFAOYSA-N 0 3 315.379 4.155 20 0 DIADHN CO[C@@H](CNCc1cnc(-c2cccs2)s1)CC(C)C ZINC000418094363 336399256 /nfs/dbraw/zinc/39/92/56/336399256.db2.gz HYMUUGWIIJAIFW-GFCCVEGCSA-N 0 3 310.488 4.022 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC(OC3CCC3)CC2)cc1 ZINC000418097233 336399651 /nfs/dbraw/zinc/39/96/51/336399651.db2.gz WRAXQEWSTKDFRX-UHFFFAOYSA-N 0 3 313.363 4.239 20 0 DIADHN COC1(C(F)(F)F)CCN(CC[C@H](C)c2ccccc2)CC1 ZINC000418103764 336402204 /nfs/dbraw/zinc/40/22/04/336402204.db2.gz WRMXEKZKZKYGQT-AWEZNQCLSA-N 0 3 315.379 4.224 20 0 DIADHN Cc1cc(CN2CCC(c3noc(C(C)C)n3)CC2)c(C)s1 ZINC000353107985 336402769 /nfs/dbraw/zinc/40/27/69/336402769.db2.gz GJZNURFLOQUSPY-UHFFFAOYSA-N 0 3 319.474 4.251 20 0 DIADHN Cc1nc2ccc(CN[C@H](C)c3ccc4n[nH]cc4c3)cc2s1 ZINC000353113062 336402826 /nfs/dbraw/zinc/40/28/26/336402826.db2.gz SOPUPBMBOFPVFX-LLVKDONJSA-N 0 3 322.437 4.332 20 0 DIADHN CCCn1nccc1C1CCN(Cc2ccsc2C)CC1 ZINC000353350257 336413133 /nfs/dbraw/zinc/41/31/33/336413133.db2.gz KWAASYKJUWNNCR-UHFFFAOYSA-N 0 3 303.475 4.043 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NC1CC(c2ccccc2F)C1 ZINC000353360129 336413338 /nfs/dbraw/zinc/41/33/38/336413338.db2.gz XUBZMPJOFOHYBN-JFIBYHEFSA-N 0 3 311.400 4.494 20 0 DIADHN CC[C@@H](NCc1cccnc1)c1ccc(OC(F)(F)F)cc1 ZINC000353437140 336417852 /nfs/dbraw/zinc/41/78/52/336417852.db2.gz DFWPINPEQUSOIJ-OAHLLOKOSA-N 0 3 310.319 4.221 20 0 DIADHN CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NCc1ccc(C)nc1C ZINC000353546570 336424156 /nfs/dbraw/zinc/42/41/56/336424156.db2.gz WLMBOMBBSVSAHP-SESVDKBCSA-N 0 3 324.468 4.139 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1ccncc1 ZINC000353553191 336424672 /nfs/dbraw/zinc/42/46/72/336424672.db2.gz BPEKOBAETCHGJE-GEALJGNFSA-N 0 3 310.441 4.084 20 0 DIADHN CCC[C@@H](NC[C@@]1(CC)OCCc2ccccc21)c1cccnc1 ZINC000353574799 336426221 /nfs/dbraw/zinc/42/62/21/336426221.db2.gz KCKNVRKAPYCLHK-NHCUHLMSSA-N 0 3 324.468 4.391 20 0 DIADHN CC[C@H](NCc1ccc(C[S@@](C)=O)cc1)c1cccc(C)c1 ZINC000353810483 336441732 /nfs/dbraw/zinc/44/17/32/336441732.db2.gz WAECNHXVNDMACM-SIKLNZKXSA-N 0 3 315.482 4.114 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1cnn2ccccc12 ZINC000353874108 336445154 /nfs/dbraw/zinc/44/51/54/336445154.db2.gz XRPUZFICGBZFBO-GOSISDBHSA-N 0 3 305.425 4.118 20 0 DIADHN CSCc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1 ZINC000353995031 336453219 /nfs/dbraw/zinc/45/32/19/336453219.db2.gz FUPHQXZLFRQZSD-HNNXBMFYSA-N 0 3 318.511 4.482 20 0 DIADHN Cc1cc(CCN[C@@H]2CCCSc3ccc(Cl)cc32)on1 ZINC000353998439 336453541 /nfs/dbraw/zinc/45/35/41/336453541.db2.gz VGDIFXNCKLTIND-OAHLLOKOSA-N 0 3 322.861 4.396 20 0 DIADHN CC[C@H](N[C@H]1CSC[C@@H]1C)c1ccccc1OC(F)F ZINC000354019146 336454451 /nfs/dbraw/zinc/45/44/51/336454451.db2.gz UWQPIXOGMHBVOG-DRZSPHRISA-N 0 3 301.402 4.080 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC(OCC2CC2)CC1 ZINC000354108145 336459660 /nfs/dbraw/zinc/45/96/60/336459660.db2.gz DBSIBRSBZIIDOV-UHFFFAOYSA-N 0 3 311.425 4.338 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC(OCC3CC3)CC2)cc1 ZINC000354107820 336459673 /nfs/dbraw/zinc/45/96/73/336459673.db2.gz AOHXOVCIVFAZGQ-UHFFFAOYSA-N 0 3 313.363 4.096 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1ccncc1 ZINC000354972166 336489592 /nfs/dbraw/zinc/48/95/92/336489592.db2.gz UPEQJZBUQMMGLC-DCGLDWPTSA-N 0 3 304.821 4.162 20 0 DIADHN CO[C@H](CCN[C@@H](C)c1ccc(F)cc1Cl)C(F)(F)F ZINC000469299942 339285473 /nfs/dbraw/zinc/28/54/73/339285473.db2.gz HHCOTEUCTNGARW-QPUJVOFHSA-N 0 3 313.722 4.097 20 0 DIADHN FC(F)O[C@H]1CCC[C@H]1NCc1cscc1C(F)(F)F ZINC000356320258 336546246 /nfs/dbraw/zinc/54/62/46/336546246.db2.gz OHJPOTFQHUULBI-ZJUUUORDSA-N 0 3 315.307 4.017 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cccc2cc[nH]c21 ZINC000356866358 336563960 /nfs/dbraw/zinc/56/39/60/336563960.db2.gz IQTTYZNMXBGQAS-SFHVURJKSA-N 0 3 306.409 4.344 20 0 DIADHN c1sc(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)nc1C1CC1 ZINC000367254381 336574019 /nfs/dbraw/zinc/57/40/19/336574019.db2.gz VWCVURNLNGYHIV-GDBMZVCRSA-N 0 3 313.470 4.232 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2cnccc2C)cc1OC ZINC000357778269 336597834 /nfs/dbraw/zinc/59/78/34/336597834.db2.gz NBHFFVLKQUOWOX-CABCVRRESA-N 0 3 314.429 4.209 20 0 DIADHN CCC[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1cccnc1 ZINC000357801398 336599401 /nfs/dbraw/zinc/59/94/01/336599401.db2.gz UYYBBJUKUGCNEC-ZWKOTPCHSA-N 0 3 302.462 4.394 20 0 DIADHN C[C@H]1C[C@H](NCCOc2ccc(F)cc2)c2ccsc2S1 ZINC000358011379 336605967 /nfs/dbraw/zinc/60/59/67/336605967.db2.gz ZTYHOPLBFOFXNN-NHYWBVRUSA-N 0 3 323.458 4.481 20 0 DIADHN C[C@H]1C[C@@H](NCCOc2cccc(F)c2)c2ccsc2S1 ZINC000358018941 336606510 /nfs/dbraw/zinc/60/65/10/336606510.db2.gz JKPXKPDWDGKHIT-XHDPSFHLSA-N 0 3 323.458 4.481 20 0 DIADHN CC[C@@H](N[C@H]1CCc2c1cccc2C)c1ccc2c(c1)OCCO2 ZINC000358019925 336606604 /nfs/dbraw/zinc/60/66/04/336606604.db2.gz KZBGBUANVOFWQD-MOPGFXCFSA-N 0 3 323.436 4.494 20 0 DIADHN Brc1ccc2c(c1)[C@@H](NCc1cccs1)CC2 ZINC000193157774 336606740 /nfs/dbraw/zinc/60/67/40/336606740.db2.gz XBXFCLOOTMEQOW-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCCCOc1ccccc1)CCO2 ZINC000358025517 336607205 /nfs/dbraw/zinc/60/72/05/336607205.db2.gz OXWOESHIZTZVRH-SFHVURJKSA-N 0 3 315.388 4.098 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1ccccc1N(C)C ZINC000358045904 336608490 /nfs/dbraw/zinc/60/84/90/336608490.db2.gz KPIAWOPAJFXYEQ-GOSISDBHSA-N 0 3 310.441 4.065 20 0 DIADHN CC[C@H](N[C@H](C)CC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC000358078185 336610243 /nfs/dbraw/zinc/61/02/43/336610243.db2.gz BJCSSGCZOYEAFM-ABAIWWIYSA-N 0 3 313.388 4.126 20 0 DIADHN CC[C@H](NCCSc1ccc(F)cc1)c1c(C)nn(C)c1C ZINC000358144015 336613010 /nfs/dbraw/zinc/61/30/10/336613010.db2.gz UYQOIFIVCVAODK-INIZCTEOSA-N 0 3 321.465 4.009 20 0 DIADHN CC[C@@H](NCCSc1ccc(F)cc1)c1c(C)nn(C)c1C ZINC000358144016 336613098 /nfs/dbraw/zinc/61/30/98/336613098.db2.gz UYQOIFIVCVAODK-MRXNPFEDSA-N 0 3 321.465 4.009 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)Cc2occc21)[C@@H]1COc2ccccc21 ZINC000358224086 336617865 /nfs/dbraw/zinc/61/78/65/336617865.db2.gz KDNFNKKZRQACJL-JQFCIGGWSA-N 0 3 311.425 4.447 20 0 DIADHN CC1=CCN(CCN[C@@H]2CCSc3ccc(Cl)cc32)CC1 ZINC000358234876 336618371 /nfs/dbraw/zinc/61/83/71/336618371.db2.gz XCXMWBWBAVPXPH-MRXNPFEDSA-N 0 3 322.905 4.119 20 0 DIADHN CC[C@H](N[C@H]1CCSc2ccc(Cl)cc21)[C@@H]1CCCO1 ZINC000358255574 336619776 /nfs/dbraw/zinc/61/97/76/336619776.db2.gz RQYLJFYFVAEXLE-KKUMJFAQSA-N 0 3 311.878 4.424 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000358304533 336622946 /nfs/dbraw/zinc/62/29/46/336622946.db2.gz XWUTXURHSGISQG-GLJUWKHASA-N 0 3 319.424 4.030 20 0 DIADHN C[C@](O)(CN[C@@H]1CCCCc2ccc(Cl)cc21)C(F)(F)F ZINC000358351627 336625557 /nfs/dbraw/zinc/62/55/57/336625557.db2.gz HXZBGOMYVXDLTF-KGLIPLIRSA-N 0 3 321.770 4.010 20 0 DIADHN CC(C)C[C@H](NCc1ccc(CO)c(F)c1)c1ccccc1 ZINC000358364047 336626593 /nfs/dbraw/zinc/62/65/93/336626593.db2.gz FZPWZOMJFONEBN-IBGZPJMESA-N 0 3 301.405 4.195 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](c2cccnc2)C2CC2)ccc1F ZINC000358366941 336626657 /nfs/dbraw/zinc/62/66/57/336626657.db2.gz LIUAIEHNKPBGLF-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN COc1cc([C@H](C)N[C@@H](c2cccnc2)C2CC2)ccc1F ZINC000358366940 336626667 /nfs/dbraw/zinc/62/66/67/336626667.db2.gz LIUAIEHNKPBGLF-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H]2CCc3c2cccc3C)c2ccccc21 ZINC000358386752 336627633 /nfs/dbraw/zinc/62/76/33/336627633.db2.gz SMBCNORNOYSJME-VQTJNVASSA-N 0 3 320.436 4.070 20 0 DIADHN C[C@H](NCc1cccc(-c2ccncc2)c1)c1cncc(F)c1 ZINC000358995529 336651863 /nfs/dbraw/zinc/65/18/63/336651863.db2.gz SNFISPPIVBENCK-AWEZNQCLSA-N 0 3 307.372 4.134 20 0 DIADHN CC[C@@H](NCC1(C(F)F)CC1)c1cc(Cl)ccc1OC ZINC000358999487 336652275 /nfs/dbraw/zinc/65/22/75/336652275.db2.gz IIQWEKOIHCJYKI-GFCCVEGCSA-N 0 3 303.780 4.435 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC000359210094 336663051 /nfs/dbraw/zinc/66/30/51/336663051.db2.gz ITDUSZHEMVAVSF-KBPBESRZSA-N 0 3 311.429 4.014 20 0 DIADHN C[C@@H](CN[C@H]1CCCOc2cc(F)ccc21)Oc1ccccc1 ZINC000359249014 336664416 /nfs/dbraw/zinc/66/44/16/336664416.db2.gz UXHWFNJOYQSSOP-KSSFIOAISA-N 0 3 315.388 4.097 20 0 DIADHN CCCC[C@H](C)N[C@H](CCO)c1ccccc1Br ZINC000359249457 336664438 /nfs/dbraw/zinc/66/44/38/336664438.db2.gz VALUEOQKVUDIMF-SWLSCSKDSA-N 0 3 314.267 4.041 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCCc2ccc(OC)cc21 ZINC000359301567 336666627 /nfs/dbraw/zinc/66/66/27/336666627.db2.gz KFKUURCPCLIINH-XOBRGWDASA-N 0 3 310.441 4.381 20 0 DIADHN CCCCn1cc(CN[C@H]2CCCc3ccc(C)cc32)c(C)n1 ZINC000359346023 336668778 /nfs/dbraw/zinc/66/87/78/336668778.db2.gz RIMXFMUUUMHRAH-FQEVSTJZSA-N 0 3 311.473 4.467 20 0 DIADHN CC[C@H](NCCSCc1ccccc1)c1c(C)nn(C)c1C ZINC000359522163 336675913 /nfs/dbraw/zinc/67/59/13/336675913.db2.gz ILXSQEFBGRPMLA-KRWDZBQOSA-N 0 3 317.502 4.011 20 0 DIADHN FC(F)c1ccc(CN2CCC(Oc3ccccn3)CC2)cc1 ZINC000359568653 336678317 /nfs/dbraw/zinc/67/83/17/336678317.db2.gz CPRDWOAQYOPMOF-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@@H]1CCOc2ccc(F)cc21 ZINC000359627841 336680747 /nfs/dbraw/zinc/68/07/47/336680747.db2.gz CKRBNGAQJHOBFY-GXFFZTMASA-N 0 3 305.315 4.360 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CC(C)(C)Cc2occc21)c1ccsc1 ZINC000359686860 336683605 /nfs/dbraw/zinc/68/36/05/336683605.db2.gz NVPBXOQMMMGZNO-HOTGVXAUSA-N 0 3 318.486 4.247 20 0 DIADHN Cc1ccc(CN2CCC(OC3CCC(C)CC3)CC2)c(C)n1 ZINC000360063990 336699931 /nfs/dbraw/zinc/69/99/31/336699931.db2.gz QEOPWRJVOWAOJE-UHFFFAOYSA-N 0 3 316.489 4.258 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1CCOc2ccc(F)cc21 ZINC000360247547 336706150 /nfs/dbraw/zinc/70/61/50/336706150.db2.gz CGUBQJJFIAFKAF-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)N(C)c2ccccc2)c(C)s1 ZINC000360481384 336712564 /nfs/dbraw/zinc/71/25/64/336712564.db2.gz FVWIBJOODIMZHX-AWEZNQCLSA-N 0 3 316.470 4.069 20 0 DIADHN CC1(C)CC[C@@H](N[C@H](CO)c2cccc(F)c2)c2ccccc21 ZINC000360662056 336718073 /nfs/dbraw/zinc/71/80/73/336718073.db2.gz DMBITSIMVSDODF-RTBURBONSA-N 0 3 313.416 4.261 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](c3ccccc3F)C2)cs1 ZINC000360762115 336721498 /nfs/dbraw/zinc/72/14/98/336721498.db2.gz DXAQPHAPKIPGHA-STQMWFEESA-N 0 3 304.434 4.271 20 0 DIADHN Fc1ccccc1[C@H]1CC[C@H](NCc2ncc(Cl)s2)C1 ZINC000360771764 336721712 /nfs/dbraw/zinc/72/17/12/336721712.db2.gz JXXOVWMYFYCEMV-QWRGUYRKSA-N 0 3 310.825 4.362 20 0 DIADHN CNC(=O)C[C@H](N[C@H]1C[C@@H](C)c2c1cccc2C)c1ccccc1 ZINC000360920942 336727476 /nfs/dbraw/zinc/72/74/76/336727476.db2.gz SDJSMOLKQXWOOL-MNEFBYGVSA-N 0 3 322.452 4.010 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NC[C@@H]1CC[C@H](c2ccccc2)O1 ZINC000360993900 336731445 /nfs/dbraw/zinc/73/14/45/336731445.db2.gz CPRFOEMZLHYAMY-NBHGPNQESA-N 0 3 323.436 4.280 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@@H]1CCN(C3CC3)C1)CCCS2 ZINC000361059090 336735801 /nfs/dbraw/zinc/73/58/01/336735801.db2.gz LLPULCSNCQVFET-CZUORRHYSA-N 0 3 322.905 4.093 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCCc2sccc21 ZINC000361240314 336746337 /nfs/dbraw/zinc/74/63/37/336746337.db2.gz IIWQICIBUGLDEY-CVEARBPZSA-N 0 3 315.438 4.248 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1cccc(-n2ccnc2)c1 ZINC000361483504 336758689 /nfs/dbraw/zinc/75/86/89/336758689.db2.gz DXTGIPQINLQBAX-JLTOFOAXSA-N 0 3 321.399 4.035 20 0 DIADHN c1ccc(N2CCC[C@H](N[C@@H]3CCCOc4ccccc43)C2)cc1 ZINC000361485783 336758965 /nfs/dbraw/zinc/75/89/65/336758965.db2.gz PBLCVTYLTPDQGA-FXAWDEMLSA-N 0 3 322.452 4.159 20 0 DIADHN CC(C)[C@H](O)CCN[C@@H]1CCCSc2ccc(Cl)cc21 ZINC000361765435 336772125 /nfs/dbraw/zinc/77/21/25/336772125.db2.gz PEKTUCDHPIRYRH-HUUCEWRRSA-N 0 3 313.894 4.264 20 0 DIADHN CC(C)CC[C@@](C)(O)CN[C@H]1CCSc2ccc(F)cc21 ZINC000361877265 336776232 /nfs/dbraw/zinc/77/62/32/336776232.db2.gz LJYWVDOXKWGLKZ-DOTOQJQBSA-N 0 3 311.466 4.139 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000361878229 336776373 /nfs/dbraw/zinc/77/63/73/336776373.db2.gz ZADLFBSVTFYCCL-GUYCJALGSA-N 0 3 321.367 4.062 20 0 DIADHN CC[C@@H](NC[C@H]1CCCS1)c1ccccc1OC(F)F ZINC000362018762 336782128 /nfs/dbraw/zinc/78/21/28/336782128.db2.gz TXBSEAHRZKWEBZ-DGCLKSJQSA-N 0 3 301.402 4.224 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](O)C1)c1nc(-c2ccccc2)cs1 ZINC000361892066 336776980 /nfs/dbraw/zinc/77/69/80/336776980.db2.gz YXEHHZREZWHMTR-OFQRWUPVSA-N 0 3 316.470 4.012 20 0 DIADHN CC(C)[C@@H](CO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361920266 336778057 /nfs/dbraw/zinc/77/80/57/336778057.db2.gz YGMDPYSOGXQXLX-VHSXEESVSA-N 0 3 308.274 4.039 20 0 DIADHN CC[C@@H](NC[C@@H](O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000361966294 336780102 /nfs/dbraw/zinc/78/01/02/336780102.db2.gz LGZKLBSLNCAKRR-IAGOWNOFSA-N 0 3 321.367 4.146 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(c2ccsc2)CC1 ZINC000362652655 336808132 /nfs/dbraw/zinc/80/81/32/336808132.db2.gz ALAAHIZKUCGRBD-UHFFFAOYSA-N 0 3 311.454 4.084 20 0 DIADHN CN(C)Cc1ccccc1C(=O)N1CCC[C@@H](C(C)(C)C)CC1 ZINC000362831676 336812936 /nfs/dbraw/zinc/81/29/36/336812936.db2.gz RTRGNFHZVFECTD-QGZVFWFLSA-N 0 3 316.489 4.037 20 0 DIADHN CC[C@@H]1C[C@@H](CN[C@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000362955123 336815997 /nfs/dbraw/zinc/81/59/97/336815997.db2.gz PFBQYRRPRIENAL-OUAUKWLOSA-N 0 3 322.396 4.018 20 0 DIADHN O=C(CCC1CCC1)Nc1cccc(CN2CCCCC2)c1 ZINC000362969073 336816733 /nfs/dbraw/zinc/81/67/33/336816733.db2.gz HLFKCGOEBLCTHF-UHFFFAOYSA-N 0 3 300.446 4.191 20 0 DIADHN CC[C@@H](N[C@H]1CCN(C(C)=O)c2ccccc21)c1cccs1 ZINC000367570713 336825526 /nfs/dbraw/zinc/82/55/26/336825526.db2.gz RWQSMHWUBSUNIE-CVEARBPZSA-N 0 3 314.454 4.287 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1ccc2ccccc2n1 ZINC000367711303 336828519 /nfs/dbraw/zinc/82/85/19/336828519.db2.gz BKHFZCSSQQAPIR-MRXNPFEDSA-N 0 3 317.436 4.402 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1sc(C(C)(C)C)nc1C ZINC000482943373 339647574 /nfs/dbraw/zinc/64/75/74/339647574.db2.gz KHOGWLGAAGTAQC-JKDFXYPNSA-N 0 3 312.523 4.197 20 0 DIADHN Cc1cccc2ncc(CN[C@@H](C)c3ccc(C4CC4)cc3)n21 ZINC000418118647 336869704 /nfs/dbraw/zinc/86/97/04/336869704.db2.gz WIZXSYOLPOBAFQ-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2C[C@H](c3cccc(Cl)c3)C2)cn1 ZINC000369707750 336869907 /nfs/dbraw/zinc/86/99/07/336869907.db2.gz WCYBNMMNDJQPMP-JDFRZJQESA-N 0 3 303.837 4.153 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000119120790 336880549 /nfs/dbraw/zinc/88/05/49/336880549.db2.gz VKLIVEDERADWKV-QZTJIDSGSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](c2ccccc2)C2CCOCC2)c1 ZINC000370421649 336884754 /nfs/dbraw/zinc/88/47/54/336884754.db2.gz PEKSPTZMVLVOFS-OXJNMPFZSA-N 0 3 310.441 4.209 20 0 DIADHN CC1(C)[C@H](NCc2cnc(C3CCCC3)s2)[C@@H]2CCCO[C@H]21 ZINC000370715298 336891969 /nfs/dbraw/zinc/89/19/69/336891969.db2.gz RYJUHXBBJFNVNJ-ARFHVFGLSA-N 0 3 320.502 4.094 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CCO)c2ccc(OC)cc2)cc1 ZINC000120278054 336905185 /nfs/dbraw/zinc/90/51/85/336905185.db2.gz DJFLDUWOPPMSAN-QRWLVFNGSA-N 0 3 313.441 4.032 20 0 DIADHN CC[C@@H](NC[C@H](C)COCc1ccccc1)c1ccc(F)cn1 ZINC000389929059 336925754 /nfs/dbraw/zinc/92/57/54/336925754.db2.gz YMXQONKRJCCWBX-MAUKXSAKSA-N 0 3 316.420 4.114 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1ccc2ccccc2n1 ZINC000389939669 336926970 /nfs/dbraw/zinc/92/69/70/336926970.db2.gz BPJLXADGQUSHEM-UHFFFAOYSA-N 0 3 320.436 4.320 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1sccc1Br ZINC000390679462 336947515 /nfs/dbraw/zinc/94/75/15/336947515.db2.gz ZDSMIGYHZXNNQC-SSDOTTSWSA-N 0 3 310.207 4.207 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)cs1 ZINC000373244169 336954326 /nfs/dbraw/zinc/95/43/26/336954326.db2.gz PWPATBPXMXPCFN-VIRABCJISA-N 0 3 308.491 4.121 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)cs1 ZINC000373244166 336954364 /nfs/dbraw/zinc/95/43/64/336954364.db2.gz PWPATBPXMXPCFN-MXYBEHONSA-N 0 3 308.491 4.121 20 0 DIADHN Cc1nnc(CCN[C@H](C)c2ccc(-c3ccccc3)cc2)s1 ZINC000391857683 336963133 /nfs/dbraw/zinc/96/31/33/336963133.db2.gz NCTMBNUBBLWURM-CQSZACIVSA-N 0 3 323.465 4.407 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3ncccc3c2)CC1 ZINC000121613497 336968979 /nfs/dbraw/zinc/96/89/79/336968979.db2.gz FTUSSFOQSXGCCC-UHFFFAOYSA-N 0 3 312.457 4.262 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCc3c2cccc3C)c1 ZINC000121615000 336969111 /nfs/dbraw/zinc/96/91/11/336969111.db2.gz WYTKPFGTHPXMHV-VLIAUNLRSA-N 0 3 308.425 4.292 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000373707591 336969936 /nfs/dbraw/zinc/96/99/36/336969936.db2.gz LBPWYIMUCVUBNY-ZWKOTPCHSA-N 0 3 309.409 4.104 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC(c2c[nH]cn2)CC1 ZINC000374199458 336983650 /nfs/dbraw/zinc/98/36/50/336983650.db2.gz IZBFDAYPABWYNA-LBPRGKRZSA-N 0 3 323.362 4.369 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@H]1CCC[C@@H](c2cccnc2)C1 ZINC000374300036 336986487 /nfs/dbraw/zinc/98/64/87/336986487.db2.gz BTUNPZBVLQDNQZ-AEFFLSMTSA-N 0 3 324.472 4.209 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(F)cc1F ZINC000374490564 336990483 /nfs/dbraw/zinc/99/04/83/336990483.db2.gz XQEYUQGCNMUUFN-ZBQZNYHESA-N 0 3 317.379 4.393 20 0 DIADHN C[C@@H](c1cnccn1)N1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000375117426 337003677 /nfs/dbraw/zinc/00/36/77/337003677.db2.gz ZXIUGHJHSWVGBT-JSGCOSHPSA-N 0 3 321.346 4.046 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCc3cnn(C(C)C)c32)c1 ZINC000375171266 337005250 /nfs/dbraw/zinc/00/52/50/337005250.db2.gz NUQRIQIRVZLBTK-KBXCAEBGSA-N 0 3 313.445 4.201 20 0 DIADHN Clc1ccc([C@H](NCc2cnc3n2CCCC3)C2CC2)cc1 ZINC000375266051 337006811 /nfs/dbraw/zinc/00/68/11/337006811.db2.gz CZYXHMOIMVFCCA-GOSISDBHSA-N 0 3 315.848 4.114 20 0 DIADHN FC(F)(F)C1CCC(CN2CCCC[C@H]2c2cc[nH]n2)CC1 ZINC000375629530 337015135 /nfs/dbraw/zinc/01/51/35/337015135.db2.gz IODIVGKWAZGPTQ-PIMMBPRGSA-N 0 3 315.383 4.305 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 ZINC000375638059 337015417 /nfs/dbraw/zinc/01/54/17/337015417.db2.gz DUCMTNOAEPZEPR-PPUGGXLSSA-N 0 3 319.399 4.086 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCC[C@H]2NCc2ccno2)c1 ZINC000375690859 337016766 /nfs/dbraw/zinc/01/67/66/337016766.db2.gz RIBOXAGWSFKSML-LSDHHAIUSA-N 0 3 310.319 4.119 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NCCc1cscn1 ZINC000122630262 337017099 /nfs/dbraw/zinc/01/70/99/337017099.db2.gz ACVWBBUIDLOKQX-KRWDZBQOSA-N 0 3 316.470 4.313 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2-c2cnn(C)c2)c(C)o1 ZINC000375722310 337017697 /nfs/dbraw/zinc/01/76/97/337017697.db2.gz ZGRKASYJFWFMRO-CQSZACIVSA-N 0 3 309.413 4.148 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375808471 337020015 /nfs/dbraw/zinc/02/00/15/337020015.db2.gz RXOHCFQOVGAWEO-IKGGRYGDSA-N 0 3 319.493 4.214 20 0 DIADHN Cc1cc(CCN[C@H]2CCCOc3c(C(C)C)cccc32)on1 ZINC000376074093 337026450 /nfs/dbraw/zinc/02/64/50/337026450.db2.gz JYJVEOFUDXEYKH-SFHVURJKSA-N 0 3 314.429 4.152 20 0 DIADHN CC(C)C[C@@H](NCC1(c2ccccn2)CCC1)c1ccccn1 ZINC000376135540 337028068 /nfs/dbraw/zinc/02/80/68/337028068.db2.gz YSGNWWVWCTXAKR-GOSISDBHSA-N 0 3 309.457 4.275 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](CCCO)c1ccccc1)[C@@H](C)C2 ZINC000305819413 337038258 /nfs/dbraw/zinc/03/82/58/337038258.db2.gz KSRMQZZJADZQET-ORYQWCPZSA-N 0 3 309.453 4.332 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(-c2ccncc2)cc1 ZINC000305835826 337039129 /nfs/dbraw/zinc/03/91/29/337039129.db2.gz PLMNBGTWQVELBI-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN Cn1ccc(CNCc2ccc(COCc3ccccc3)cc2)c1 ZINC000123235998 337041142 /nfs/dbraw/zinc/04/11/42/337041142.db2.gz RNPZCPCKZQJPLT-UHFFFAOYSA-N 0 3 320.436 4.032 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(C/C=C\c2ccc(F)c(F)c2)C1 ZINC000582453570 337053608 /nfs/dbraw/zinc/05/36/08/337053608.db2.gz QGGOWXUJEJPICZ-DRPUOCJCSA-N 0 3 314.379 4.456 20 0 DIADHN CCC[C@@H](NC[C@]1(C)OCCc2sccc21)c1cccnc1 ZINC000377743094 337059918 /nfs/dbraw/zinc/05/99/18/337059918.db2.gz LNJPPOVCZVFEDE-AEFFLSMTSA-N 0 3 316.470 4.062 20 0 DIADHN CC[C@H](NC[C@@H]1CCCn2cc(C)nc21)c1cc(F)ccc1F ZINC000378185691 337067873 /nfs/dbraw/zinc/06/78/73/337067873.db2.gz UJGFNRVPOXGGRP-GUYCJALGSA-N 0 3 319.399 4.088 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@H](C3CC3)C2)cs1 ZINC000378320860 337069827 /nfs/dbraw/zinc/06/98/27/337069827.db2.gz RBUMWUHUCLWLOD-AWEZNQCLSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000378391413 337071644 /nfs/dbraw/zinc/07/16/44/337071644.db2.gz DDEKUNMJCVLFKK-XKQJLSEDSA-N 0 3 316.470 4.188 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1-c1cnn(C)c1)CC2 ZINC000378396698 337071749 /nfs/dbraw/zinc/07/17/49/337071749.db2.gz BOCYETNAXQWJES-OAQYLSRUSA-N 0 3 317.436 4.173 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000378400773 337071910 /nfs/dbraw/zinc/07/19/10/337071910.db2.gz UAFCBNOSURRXBQ-VDGAXYAQSA-N 0 3 311.400 4.180 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)CC2 ZINC000378403251 337071916 /nfs/dbraw/zinc/07/19/16/337071916.db2.gz XDNJRBBQOCSDLP-HMXCVIKNSA-N 0 3 307.437 4.350 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccccc2-c2cnn(C)c2)cc1 ZINC000378407509 337072131 /nfs/dbraw/zinc/07/21/31/337072131.db2.gz XGVOMSLJKHNFQL-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN c1csc(C2(NC[C@H]3CCOc4ccccc43)CCCC2)n1 ZINC000378458147 337073428 /nfs/dbraw/zinc/07/34/28/337073428.db2.gz XAHVBUBVJPRQOC-CQSZACIVSA-N 0 3 314.454 4.068 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000378464008 337073567 /nfs/dbraw/zinc/07/35/67/337073567.db2.gz BFJKRDRBCFISPI-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN COCC[C@@H](NC1CC(c2ccccc2F)C1)c1ccco1 ZINC000378637226 337077361 /nfs/dbraw/zinc/07/73/61/337077361.db2.gz ZETOEOCGMLMCKC-MQBCKMQZSA-N 0 3 303.377 4.032 20 0 DIADHN CSC1(CNCc2csc(-c3ccc(C)cc3)n2)CC1 ZINC000193660027 337078818 /nfs/dbraw/zinc/07/88/18/337078818.db2.gz VMZTZLGMVAFPGR-UHFFFAOYSA-N 0 3 304.484 4.104 20 0 DIADHN CSC1(CN[C@@H](C)c2nc3ccc(Cl)cc3n2C)CCC1 ZINC000193808414 337080210 /nfs/dbraw/zinc/08/02/10/337080210.db2.gz NCNXYYXXXIWZPF-NSHDSACASA-N 0 3 323.893 4.163 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCCc1nccs1)CCCO2 ZINC000126624769 337082347 /nfs/dbraw/zinc/08/23/47/337082347.db2.gz OMEIBJVZJMLPPL-CQSZACIVSA-N 0 3 322.861 4.151 20 0 DIADHN CC(C)OCCCN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000360034569 337084043 /nfs/dbraw/zinc/08/40/43/337084043.db2.gz DJJUXGAIJJHWRB-MRXNPFEDSA-N 0 3 302.418 4.170 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1cnc2ccsc2c1 ZINC000360061039 337084709 /nfs/dbraw/zinc/08/47/09/337084709.db2.gz RYGVEJDAPQDCJY-FZKQIMNGSA-N 0 3 324.449 4.261 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1ccc2ccccc2n1 ZINC000360063091 337084852 /nfs/dbraw/zinc/08/48/52/337084852.db2.gz XRTSSHDFJSVHTK-BTYIYWSLSA-N 0 3 318.420 4.199 20 0 DIADHN Fc1ccccc1CN1CCC(c2c[nH]c3ncccc23)CC1 ZINC000172349409 337091153 /nfs/dbraw/zinc/09/11/53/337091153.db2.gz DGUSKIROMKUKES-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)ccc1C ZINC000194270156 337091637 /nfs/dbraw/zinc/09/16/37/337091637.db2.gz VFXQKHJLZLAEBJ-ICSRJNTNSA-N 0 3 311.425 4.014 20 0 DIADHN COc1cc(CNCc2cc(C)cs2)ccc1OC(C)C ZINC000393294086 337091849 /nfs/dbraw/zinc/09/18/49/337091849.db2.gz BLTIWBFWYZQLKC-UHFFFAOYSA-N 0 3 305.443 4.142 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](N[C@H](C)c2cscn2)C1 ZINC000583705040 337092519 /nfs/dbraw/zinc/09/25/19/337092519.db2.gz ZEPAODDXGNOIGL-RBSFLKMASA-N 0 3 301.459 4.224 20 0 DIADHN Cc1ccc2c(CN3CC[C@@H](C(F)(F)F)[C@@H]3C)ccc(O)c2n1 ZINC000583705680 337092734 /nfs/dbraw/zinc/09/27/34/337092734.db2.gz NWJAULKKCMZFDM-SMDDNHRTSA-N 0 3 324.346 4.022 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@@H](CO)CC(C)(C)C ZINC000393534093 337092939 /nfs/dbraw/zinc/09/29/39/337092939.db2.gz ZITAUYMWZVDKMK-WCQYABFASA-N 0 3 312.523 4.197 20 0 DIADHN COc1ccc(C[C@H](C)N[C@H](C)c2ccc(F)cc2F)cc1 ZINC000393457878 337094577 /nfs/dbraw/zinc/09/45/77/337094577.db2.gz QWWRMFJKRNEIPJ-QWHCGFSZSA-N 0 3 305.368 4.255 20 0 DIADHN CCn1c(CNCC[C@@H](C)c2ccccc2)nc2ccccc21 ZINC000393459894 337094710 /nfs/dbraw/zinc/09/47/10/337094710.db2.gz SJVQXQXTTCRAMX-MRXNPFEDSA-N 0 3 307.441 4.340 20 0 DIADHN CCn1c(CNCC[C@H](C)c2ccccc2)nc2ccccc21 ZINC000393459893 337094713 /nfs/dbraw/zinc/09/47/13/337094713.db2.gz SJVQXQXTTCRAMX-INIZCTEOSA-N 0 3 307.441 4.340 20 0 DIADHN CCc1ccc(CN2CCC(CCc3ccc(O)cc3)CC2)cn1 ZINC000582513499 337099798 /nfs/dbraw/zinc/09/97/98/337099798.db2.gz IAWNUUAGHLLLSF-UHFFFAOYSA-N 0 3 324.468 4.194 20 0 DIADHN CSc1ccccc1C[C@@H](C)NCc1noc2ccccc12 ZINC000582496376 337100761 /nfs/dbraw/zinc/10/07/61/337100761.db2.gz CQTGROQEGHVLCI-CYBMUJFWSA-N 0 3 312.438 4.271 20 0 DIADHN C[C@@H](NCc1cc(Cl)cs1)c1ccc2c(c1)CCC(=O)N2 ZINC000582573662 337107645 /nfs/dbraw/zinc/10/76/45/337107645.db2.gz REIAHOFBSYXBEO-SNVBAGLBSA-N 0 3 320.845 4.137 20 0 DIADHN CSc1ccc(CN[C@H](C)COc2cccc(F)c2)s1 ZINC000582574956 337107834 /nfs/dbraw/zinc/10/78/34/337107834.db2.gz NKLJEAQVGVZDIC-LLVKDONJSA-N 0 3 311.447 4.166 20 0 DIADHN COc1ccc(CN(Cc2ccncc2C)[C@H](C)C2CC2)cc1 ZINC000582586578 337110028 /nfs/dbraw/zinc/11/00/28/337110028.db2.gz PGGWLZVXHIQMQV-MRXNPFEDSA-N 0 3 310.441 4.199 20 0 DIADHN COc1ccc(CN(Cc2ccncc2C)[C@@H](C)C2CC2)cc1 ZINC000582586577 337110060 /nfs/dbraw/zinc/11/00/60/337110060.db2.gz PGGWLZVXHIQMQV-INIZCTEOSA-N 0 3 310.441 4.199 20 0 DIADHN CCN(Cc1sc(C)nc1C)[C@@H](C)c1cccc(OC)c1 ZINC000172752090 337130742 /nfs/dbraw/zinc/13/07/42/337130742.db2.gz BFACKBMSUPSCHU-ZDUSSCGKSA-N 0 3 304.459 4.352 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2nc3ccccc3s2)C1 ZINC000173868918 337138717 /nfs/dbraw/zinc/13/87/17/337138717.db2.gz UJIIMRNTCIXMMJ-CHWSQXEVSA-N 0 3 306.500 4.402 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cccc2cccnc21 ZINC000175144076 337144748 /nfs/dbraw/zinc/14/47/48/337144748.db2.gz LXEVYXXTVBAMRU-LJQANCHMSA-N 0 3 305.425 4.301 20 0 DIADHN COc1cccc([C@H](C)N2CCC[C@H](c3nccs3)C2)c1 ZINC000175615987 337148445 /nfs/dbraw/zinc/14/84/45/337148445.db2.gz GIXPOHLFDOMMNO-ZFWWWQNUSA-N 0 3 302.443 4.092 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000177449274 337156045 /nfs/dbraw/zinc/15/60/45/337156045.db2.gz BSJMYYZLUATWOC-HOCLYGCPSA-N 0 3 323.864 4.061 20 0 DIADHN c1cnc2c(c1)cccc2CN1CCC(OCC2CCCC2)CC1 ZINC000177494552 337156248 /nfs/dbraw/zinc/15/62/48/337156248.db2.gz LSWWWIWPMCCTHO-UHFFFAOYSA-N 0 3 324.468 4.406 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C)c1C)c1ccc(OC(F)F)cc1 ZINC000177962871 337159492 /nfs/dbraw/zinc/15/94/92/337159492.db2.gz YOFABRXYVXPZNT-BDJLRTHQSA-N 0 3 323.387 4.132 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@@H](C)Oc2ccc(F)cc2)s1 ZINC000178037780 337159937 /nfs/dbraw/zinc/15/99/37/337159937.db2.gz KDTJPXADTNZQHC-GHMZBOCLSA-N 0 3 308.422 4.017 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2ccc3ccccc3n2)s1 ZINC000178072844 337160352 /nfs/dbraw/zinc/16/03/52/337160352.db2.gz ZTJNLBDODISJRO-ZDUSSCGKSA-N 0 3 311.454 4.147 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccc3ccccc3n2)s1 ZINC000178072855 337160416 /nfs/dbraw/zinc/16/04/16/337160416.db2.gz ZTJNLBDODISJRO-CYBMUJFWSA-N 0 3 311.454 4.147 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1sc(C)nc1C)c1ccco1 ZINC000178139380 337162598 /nfs/dbraw/zinc/16/25/98/337162598.db2.gz GILZKVKOEFSDON-DOMZBBRYSA-N 0 3 321.490 4.087 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc([C@H](C)O)cc1)CCCO2 ZINC000178550539 337163701 /nfs/dbraw/zinc/16/37/01/337163701.db2.gz FTUPNQWEQFRJNU-HNAYVOBHSA-N 0 3 311.425 4.052 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1cccs1 ZINC000178322646 337164035 /nfs/dbraw/zinc/16/40/35/337164035.db2.gz WZYIRKNFSPEJFN-BBRMVZONSA-N 0 3 312.442 4.131 20 0 DIADHN C[C@H](NC[C@]1(C)CCCO1)c1nc(-c2ccccc2)cs1 ZINC000178403443 337164461 /nfs/dbraw/zinc/16/44/61/337164461.db2.gz AEKZHQITZSLMOK-GUYCJALGSA-N 0 3 302.443 4.030 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1cccs1 ZINC000178709715 337166210 /nfs/dbraw/zinc/16/62/10/337166210.db2.gz AYIXMURMAGTNSD-VHPWJVAPSA-N 0 3 307.484 4.287 20 0 DIADHN c1nc(CN[C@@H](c2ccccc2)[C@@H]2CCCc3ccccc32)c[nH]1 ZINC000178957220 337166654 /nfs/dbraw/zinc/16/66/54/337166654.db2.gz HUVTYOVBNPCROP-RTWAWAEBSA-N 0 3 317.436 4.361 20 0 DIADHN c1ncc(CN[C@@H](c2ccccc2)[C@@H]2CCCc3ccccc32)[nH]1 ZINC000178957220 337166655 /nfs/dbraw/zinc/16/66/55/337166655.db2.gz HUVTYOVBNPCROP-RTWAWAEBSA-N 0 3 317.436 4.361 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1cccc2nccn21 ZINC000179418934 337168263 /nfs/dbraw/zinc/16/82/63/337168263.db2.gz LJDZRKWQAYVZQO-INIZCTEOSA-N 0 3 309.413 4.039 20 0 DIADHN CC[C@H](NCc1ccc(Cn2ccnc2)cc1)c1ccccc1F ZINC000180092355 337173661 /nfs/dbraw/zinc/17/36/61/337173661.db2.gz NTMYURAJQMAQTN-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000582802039 337175555 /nfs/dbraw/zinc/17/55/55/337175555.db2.gz VKJMBSVOESTNMD-IMJJTQAJSA-N 0 3 308.491 4.395 20 0 DIADHN CC[C@H](NC[C@@H](CCO)c1ccccc1)c1ccccc1F ZINC000180607166 337176616 /nfs/dbraw/zinc/17/66/16/337176616.db2.gz QQLCQOPDSCAPSS-APWZRJJASA-N 0 3 301.405 4.033 20 0 DIADHN CC[C@H](N[C@H](CCC(=O)OC)c1ccccc1)c1cccs1 ZINC000180665895 337177097 /nfs/dbraw/zinc/17/70/97/337177097.db2.gz CFSLKTBIGLVHQE-JKSUJKDBSA-N 0 3 317.454 4.483 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1cccs1)c1ccccc1 ZINC000180673023 337177121 /nfs/dbraw/zinc/17/71/21/337177121.db2.gz FPNCYOPCOGEKNK-UKRRQHHQSA-N 0 3 303.427 4.093 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000180670620 337177133 /nfs/dbraw/zinc/17/71/33/337177133.db2.gz YKDBXVKLJFLBGB-RDTXWAMCSA-N 0 3 315.388 4.171 20 0 DIADHN C[C@H](N[C@@H]1CCCOCC1)c1nc(-c2ccccc2)cs1 ZINC000180687186 337177307 /nfs/dbraw/zinc/17/73/07/337177307.db2.gz BLTIJFDRXBESNX-DZGCQCFKSA-N 0 3 302.443 4.030 20 0 DIADHN CO[C@H](CN[C@H]1CCCOc2ccccc21)c1ccc(F)cc1 ZINC000181199682 337180125 /nfs/dbraw/zinc/18/01/25/337180125.db2.gz JAJBODYPMJNBQE-PKOBYXMFSA-N 0 3 315.388 4.017 20 0 DIADHN CO[C@@H](CN[C@H](C)c1sc(C)nc1C)c1cccc(Cl)c1 ZINC000181195570 337180240 /nfs/dbraw/zinc/18/02/40/337180240.db2.gz KDBDMNMLJBWJCY-BMIGLBTASA-N 0 3 324.877 4.452 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCOc2ccccc21)c1ccc(F)cc1 ZINC000181199633 337180261 /nfs/dbraw/zinc/18/02/61/337180261.db2.gz JAJBODYPMJNBQE-MJGOQNOKSA-N 0 3 315.388 4.017 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc2ccccc2s1 ZINC000469796045 337191352 /nfs/dbraw/zinc/19/13/52/337191352.db2.gz IJVUKJZGRSCVHI-TZMCWYRMSA-N 0 3 306.500 4.450 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1cccc(-c2cccnc2)c1 ZINC000183711294 337193158 /nfs/dbraw/zinc/19/31/58/337193158.db2.gz MJMLIEBGGIVATD-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc(OC(C)C)cc2)cc1 ZINC000321080151 337194704 /nfs/dbraw/zinc/19/47/04/337194704.db2.gz LRMDAYUTIKFNHY-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cc(C)cc(Cl)c2)c1 ZINC000395179494 337209045 /nfs/dbraw/zinc/20/90/45/337209045.db2.gz DJGGKVDKFWLMAK-ZDUSSCGKSA-N 0 3 316.832 4.458 20 0 DIADHN CCc1ccc(CNCc2ccc(OC(F)F)c(F)c2)cc1 ZINC000582977761 337222371 /nfs/dbraw/zinc/22/23/71/337222371.db2.gz LAUZXCLJHUVYSL-UHFFFAOYSA-N 0 3 309.331 4.279 20 0 DIADHN CC(C)OCCCN(C)Cc1ccc(F)cc1C(F)(F)F ZINC000582980596 337222568 /nfs/dbraw/zinc/22/25/68/337222568.db2.gz SVFOEJLXBRGKKR-UHFFFAOYSA-N 0 3 307.331 4.091 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1cccc(-n2ccnc2)c1 ZINC000582970244 337224451 /nfs/dbraw/zinc/22/44/51/337224451.db2.gz MIUHCJVYMQTNJI-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1cccc(-n2ccnc2)c1 ZINC000582970243 337224505 /nfs/dbraw/zinc/22/45/05/337224505.db2.gz MIUHCJVYMQTNJI-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccc(F)cc1)c1cc2c(s1)CCCC2 ZINC000583040935 337233116 /nfs/dbraw/zinc/23/31/16/337233116.db2.gz MCBOEARCPOBFIA-WBMJQRKESA-N 0 3 319.445 4.150 20 0 DIADHN COC[C@@H](NCCCc1cccs1)c1cccc(Cl)c1 ZINC000584380037 337233181 /nfs/dbraw/zinc/23/31/81/337233181.db2.gz GYVFCRGBIPYNHJ-MRXNPFEDSA-N 0 3 309.862 4.311 20 0 DIADHN C[C@H](NCc1nc(C(C)(C)C)cs1)[C@@H]1COc2ccccc21 ZINC000185548992 337248961 /nfs/dbraw/zinc/24/89/61/337248961.db2.gz RALCIYCBAPKKGI-JSGCOSHPSA-N 0 3 316.470 4.095 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H]2CCOC3(CCCC3)C2)cs1 ZINC000186423442 337255972 /nfs/dbraw/zinc/25/59/72/337255972.db2.gz IMDXKISHRVVTEW-KBPBESRZSA-N 0 3 308.491 4.409 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@]3(C2)OCc2ccccc23)o1 ZINC000186437935 337256116 /nfs/dbraw/zinc/25/61/16/337256116.db2.gz BEWGNASWTGMTCH-CFLQYTFWSA-N 0 3 309.409 4.034 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)cs1 ZINC000186469026 337256538 /nfs/dbraw/zinc/25/65/38/337256538.db2.gz LLWBEYMEBYNVRQ-UGQVUOCMSA-N 0 3 320.502 4.265 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3cc(OC)ccc32)cc1 ZINC000321338403 337257966 /nfs/dbraw/zinc/25/79/66/337257966.db2.gz AGJWGPNYEGUFKY-XOBRGWDASA-N 0 3 311.425 4.432 20 0 DIADHN CC(C(=O)Nc1ccccc1C(=O)c1ccccc1)C(F)(F)F ZINC000499132513 337276429 /nfs/dbraw/zinc/27/64/29/337276429.db2.gz VUSYMDDLOWVKNF-NSHDSACASA-N 0 3 321.298 4.055 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@H]1CCC[C@@H](C)C1 ZINC000321568006 337277505 /nfs/dbraw/zinc/27/75/05/337277505.db2.gz HGKRUWXGCLBJGD-HUUCEWRRSA-N 0 3 321.461 4.018 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2C[C@@H](C)c3ccccc32)cn1 ZINC000583227164 337280023 /nfs/dbraw/zinc/28/00/23/337280023.db2.gz WVGZBSCFJJVAJV-BEFAXECRSA-N 0 3 309.457 4.266 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3ccc(OC)cc32)cc1 ZINC000321638729 337280683 /nfs/dbraw/zinc/28/06/83/337280683.db2.gz OTYNFRSXWXNVTB-XOBRGWDASA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc(CNCC[C@@H]2CCC[C@H](C)C2)c(OC)c1OC ZINC000321639976 337280709 /nfs/dbraw/zinc/28/07/09/337280709.db2.gz QHPQQCOZIXDJTR-GJZGRUSLSA-N 0 3 321.461 4.018 20 0 DIADHN Cc1noc(C2CCN(C[C@@H](C)c3cccc(Cl)c3)CC2)n1 ZINC000189725945 337282364 /nfs/dbraw/zinc/28/23/64/337282364.db2.gz NAKDGCVGEUVUQS-GFCCVEGCSA-N 0 3 319.836 4.015 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@H]4CCCC[C@H]43)nn2)cc1 ZINC000498956635 337286584 /nfs/dbraw/zinc/28/65/84/337286584.db2.gz OZJNOTWUOAHHRU-VQIMIIECSA-N 0 3 323.440 4.424 20 0 DIADHN CC[C@H](NCc1ccc(N(C)CC)nc1)c1cccc(Cl)c1 ZINC000190759897 337290626 /nfs/dbraw/zinc/29/06/26/337290626.db2.gz LSCLRVJCIXNZAI-KRWDZBQOSA-N 0 3 317.864 4.432 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000321793516 337292652 /nfs/dbraw/zinc/29/26/52/337292652.db2.gz VSVVBWFINPAVEO-CVEARBPZSA-N 0 3 311.425 4.486 20 0 DIADHN CCN(C)c1ccc(CN2CCCCC[C@@H]2c2ccncc2)cn1 ZINC000191157597 337292678 /nfs/dbraw/zinc/29/26/78/337292678.db2.gz QYYWPDSWWQMQMO-LJQANCHMSA-N 0 3 324.472 4.050 20 0 DIADHN CC[C@@H](NCCCn1cnc2ccccc21)c1ccccc1F ZINC000321801989 337292946 /nfs/dbraw/zinc/29/29/46/337292946.db2.gz XHZJYSUJZDVZJV-QGZVFWFLSA-N 0 3 311.404 4.306 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2NCC[C@@H](C)c1ccccc1 ZINC000191109023 337294169 /nfs/dbraw/zinc/29/41/69/337294169.db2.gz ILSROHNAAMEGHC-NVXWUHKLSA-N 0 3 312.461 4.020 20 0 DIADHN C[C@@H](NCc1ccccc1-n1ccnc1)c1csc(Cl)c1 ZINC000191205303 337294964 /nfs/dbraw/zinc/29/49/64/337294964.db2.gz JHGSBPIABYWQSA-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CNCc1ccc(C2CC2)cc1 ZINC000191682558 337300430 /nfs/dbraw/zinc/30/04/30/337300430.db2.gz NDCPJDHDBZRUEO-UHFFFAOYSA-N 0 3 303.409 4.244 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)N(C(C)C)C(C)C)c(C)s1 ZINC000193134897 337309760 /nfs/dbraw/zinc/30/97/60/337309760.db2.gz ZWPQEWALCLSXDE-ZIAGYGMSSA-N 0 3 310.507 4.049 20 0 DIADHN CN1CCC(N[C@H](c2c(Cl)cccc2Cl)C2CC2)CC1 ZINC000583722740 337318303 /nfs/dbraw/zinc/31/83/03/337318303.db2.gz KWNJNGQNXXVDLS-INIZCTEOSA-N 0 3 313.272 4.128 20 0 DIADHN Cc1cnc(CCN(C)[C@H]2CCCC[C@H]2C(F)(F)F)c(C)c1 ZINC000584408041 337319565 /nfs/dbraw/zinc/31/95/65/337319565.db2.gz SPXMDDWGUOYKOQ-ZBFHGGJFSA-N 0 3 314.395 4.294 20 0 DIADHN CCc1ccc(CN2CCC[C@H]2c2cccc(N(C)C)c2)nc1 ZINC000584423295 337324331 /nfs/dbraw/zinc/32/43/31/337324331.db2.gz CKFRTFJSYFXEKE-FQEVSTJZSA-N 0 3 309.457 4.047 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1coc2ccccc12 ZINC000583743479 337328268 /nfs/dbraw/zinc/32/82/68/337328268.db2.gz RPLDNKJXGFYHPV-INIZCTEOSA-N 0 3 311.356 4.358 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](CC2CC2)C1 ZINC000583766268 337331829 /nfs/dbraw/zinc/33/18/29/337331829.db2.gz AFJRGQCSIXJNEG-RDJZCZTQSA-N 0 3 314.473 4.259 20 0 DIADHN c1nc(C2CC2)sc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000583781821 337332608 /nfs/dbraw/zinc/33/26/08/337332608.db2.gz DHSXCZREPFIYEC-WBVHZDCISA-N 0 3 314.454 4.030 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N[C@H](c2ccccc2)C2CC2)cc1C ZINC000218867300 337340333 /nfs/dbraw/zinc/34/03/33/337340333.db2.gz DXAHITCRHFVBMQ-OXJNMPFZSA-N 0 3 322.452 4.371 20 0 DIADHN CCc1ccc([C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)cc1 ZINC000218797205 337341274 /nfs/dbraw/zinc/34/12/74/337341274.db2.gz CZMXKUMHQUTLJE-YESZJQIVSA-N 0 3 324.468 4.166 20 0 DIADHN Cc1cc(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)cc(C)c1O ZINC000035258100 337342312 /nfs/dbraw/zinc/34/23/12/337342312.db2.gz DKSWVDWHYZSXAN-UONOGXRCSA-N 0 3 301.352 4.220 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](c2ccc(F)cc2F)C(C)(C)C)n1 ZINC000584486370 337349721 /nfs/dbraw/zinc/34/97/21/337349721.db2.gz ASRCSUWRXYUOJS-KRWDZBQOSA-N 0 3 321.415 4.155 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1cc(OC)c(OC)cc1SC ZINC000584497430 337350299 /nfs/dbraw/zinc/35/02/99/337350299.db2.gz XNABKPQEFQFXKE-JSGCOSHPSA-N 0 3 309.475 4.189 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1cc(OC)c(OC)cc1SC ZINC000584497429 337350355 /nfs/dbraw/zinc/35/03/55/337350355.db2.gz XNABKPQEFQFXKE-GXTWGEPZSA-N 0 3 309.475 4.189 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1coc(-c2ccc(F)cc2)n1 ZINC000219703824 337351297 /nfs/dbraw/zinc/35/12/97/337351297.db2.gz SUJLFWFACJZSHN-DYVFJYSZSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3c(c(Cl)c2)OCO3)cc1 ZINC000037770774 337366603 /nfs/dbraw/zinc/36/66/03/337366603.db2.gz KRDUBIUQEJVCAT-GFCCVEGCSA-N 0 3 303.789 4.228 20 0 DIADHN C[C@@H](CN1CCC(c2cccc(F)c2F)CC1)C(F)(F)F ZINC000583906413 337383915 /nfs/dbraw/zinc/38/39/15/337383915.db2.gz MTRYNOMTZHWFSI-JTQLQIEISA-N 0 3 307.306 4.343 20 0 DIADHN C[C@@H](NCCSc1ccc(Cl)cc1)c1cccc(O)c1 ZINC000429305461 337386125 /nfs/dbraw/zinc/38/61/25/337386125.db2.gz GEACSLGGFZVKRK-GFCCVEGCSA-N 0 3 307.846 4.489 20 0 DIADHN C[C@@H](CCc1ccco1)NCc1cscc1Br ZINC000310088239 337388457 /nfs/dbraw/zinc/38/84/57/337388457.db2.gz CABBHMCDTCLKTE-JTQLQIEISA-N 0 3 314.248 4.215 20 0 DIADHN Clc1ccc(-c2ccc(CNCC3=CCCOC3)o2)cc1 ZINC000514684672 337389592 /nfs/dbraw/zinc/38/95/92/337389592.db2.gz ZMVZQFKWWKLFDS-UHFFFAOYSA-N 0 3 303.789 4.036 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)NCCN1[C@H](C)CCC[C@@H]1C ZINC000520783603 337390429 /nfs/dbraw/zinc/39/04/29/337390429.db2.gz JEKPVZSHOXRJSI-BETUJISGSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)CCOC1CCN(CCO[C@H]2CCCC[C@@H]2C)CC1 ZINC000520787483 337391118 /nfs/dbraw/zinc/39/11/18/337391118.db2.gz LLISBLGHKJZYEJ-HKUYNNGSSA-N 0 3 311.510 4.109 20 0 DIADHN Cc1ccc(CCNCc2ccc(F)cc2C(F)(F)F)o1 ZINC000532934594 337393233 /nfs/dbraw/zinc/39/32/33/337393233.db2.gz PANXAFQMEQZHPP-UHFFFAOYSA-N 0 3 301.283 4.078 20 0 DIADHN Cc1cc(CN2CCC(OC3CCCC3)CC2)c2ccccc2n1 ZINC000124275589 337404513 /nfs/dbraw/zinc/40/45/13/337404513.db2.gz GYFYCJKFHHSBGR-UHFFFAOYSA-N 0 3 324.468 4.467 20 0 DIADHN Fc1cccc(CN2CCC(OCCc3ccccc3)CC2)c1 ZINC000125746057 337413702 /nfs/dbraw/zinc/41/37/02/337413702.db2.gz XNMSAEQTPURAGE-UHFFFAOYSA-N 0 3 313.416 4.049 20 0 DIADHN CC[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000126807425 337417831 /nfs/dbraw/zinc/41/78/31/337417831.db2.gz XBISOIWFUKPCSH-KKZNHRDASA-N 0 3 322.396 4.159 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@H]1C=CCCC1 ZINC000188716704 337420156 /nfs/dbraw/zinc/42/01/56/337420156.db2.gz KGOHMCULUWRHTL-KRWDZBQOSA-N 0 3 312.457 4.276 20 0 DIADHN C[C@H](NC[C@H]1CCCSC1)c1sccc1Br ZINC000309599697 337454949 /nfs/dbraw/zinc/45/49/49/337454949.db2.gz XIKZEVMZFHVNBN-VHSXEESVSA-N 0 3 320.321 4.304 20 0 DIADHN CCc1cnc(CCN[C@@H](CC(C)C)c2ccccn2)s1 ZINC000130206349 337432413 /nfs/dbraw/zinc/43/24/13/337432413.db2.gz IIHWPVXWLPZMHP-INIZCTEOSA-N 0 3 303.475 4.020 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCc3nc(C)sc31)CC2 ZINC000359905909 337440621 /nfs/dbraw/zinc/44/06/21/337440621.db2.gz XNJLBRHROBEHFH-RDJZCZTQSA-N 0 3 314.454 4.115 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000051628101 337445531 /nfs/dbraw/zinc/44/55/31/337445531.db2.gz LTAXXWJBSFYGNH-HNNXBMFYSA-N 0 3 302.805 4.019 20 0 DIADHN CC[C@@H](N[C@@H]1CC(C)(C)Cc2occc21)c1c(C)nn(C)c1C ZINC000133726974 337446403 /nfs/dbraw/zinc/44/64/03/337446403.db2.gz VCEYAJBLCHZNHS-HZPDHXFCSA-N 0 3 315.461 4.384 20 0 DIADHN Cc1ccc(CNCCc2ccc(-c3csc(C)n3)s2)o1 ZINC000237769539 337469424 /nfs/dbraw/zinc/46/94/24/337469424.db2.gz UINUCESWSCFRSE-UHFFFAOYSA-N 0 3 318.467 4.414 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(Cl)cc2)o1)[C@@H]1CC1(C)C ZINC000419327223 337479477 /nfs/dbraw/zinc/47/94/77/337479477.db2.gz ZCSRFSYWEGWCIW-UONOGXRCSA-N 0 3 319.836 4.304 20 0 DIADHN COC1(C(F)(F)F)CCN([C@H](C)c2ccccc2C)CC1 ZINC000419358510 337480812 /nfs/dbraw/zinc/48/08/12/337480812.db2.gz VFBGUPKKTKXPFN-CYBMUJFWSA-N 0 3 301.352 4.099 20 0 DIADHN c1cc(CSCc2cccc(CN3CCCCC3)c2)no1 ZINC000419425859 337484028 /nfs/dbraw/zinc/48/40/28/337484028.db2.gz PYFRORUBSDLVMV-UHFFFAOYSA-N 0 3 302.443 4.094 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000429459672 337494547 /nfs/dbraw/zinc/49/45/47/337494547.db2.gz YTKFNVYIKBWJLV-ZFWWWQNUSA-N 0 3 321.367 4.146 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)c(F)c1 ZINC000445386947 337523506 /nfs/dbraw/zinc/52/35/06/337523506.db2.gz UHLDPKFGFKILCU-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN c1cn(Cc2ccccc2CNCc2cccc(C3CC3)c2)cn1 ZINC000421331372 337526840 /nfs/dbraw/zinc/52/68/40/337526840.db2.gz JOSZUKXUJDLRIP-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN CN(C)Cc1cc(CNCc2cccc(C3CC3)c2)ccc1F ZINC000421337984 337527251 /nfs/dbraw/zinc/52/72/51/337527251.db2.gz BNCJXKPAMNLPJD-UHFFFAOYSA-N 0 3 312.432 4.055 20 0 DIADHN OC1(CCCNCc2ccc(-c3cccc(F)c3F)o2)CCC1 ZINC000421369402 337532849 /nfs/dbraw/zinc/53/28/49/337532849.db2.gz BBKHXKQNQWTJCQ-UHFFFAOYSA-N 0 3 321.367 4.010 20 0 DIADHN C[C@H](C[S@](C)=O)N[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000248492157 337565656 /nfs/dbraw/zinc/56/56/56/337565656.db2.gz OKCVVRDGDQLECK-VJBOLBCHSA-N 0 3 315.438 4.004 20 0 DIADHN O[C@@H]1CC[C@H](CN[C@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000249052963 337569437 /nfs/dbraw/zinc/56/94/37/337569437.db2.gz IIFOKSKRTDNUFC-NGZCFLSTSA-N 0 3 320.285 4.183 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2c3ccccc3C[C@H]2C)cc1Cl ZINC000571783898 337576838 /nfs/dbraw/zinc/57/68/38/337576838.db2.gz LXMUWUSWNKSFEV-BWACUDIHSA-N 0 3 316.832 4.328 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H]1CCc2c1ccc(OC)c2F ZINC000571956694 337583294 /nfs/dbraw/zinc/58/32/94/337583294.db2.gz LZOZYOVEDGGEGJ-BXKDBHETSA-N 0 3 305.315 4.142 20 0 DIADHN C[C@H](NCC1(O)CCC(C)(C)CC1)c1nccc2ccccc21 ZINC000572016376 337586188 /nfs/dbraw/zinc/58/61/88/337586188.db2.gz HMKPOUMLUINMJQ-HNNXBMFYSA-N 0 3 312.457 4.217 20 0 DIADHN Cn1cccc1CNCc1ccc(OCc2cccc(F)c2)cc1 ZINC000161577666 337593450 /nfs/dbraw/zinc/59/34/50/337593450.db2.gz NBIWOOCTCMRWTG-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccc(OC(F)F)c2)ccc1C ZINC000161788046 337597260 /nfs/dbraw/zinc/59/72/60/337597260.db2.gz XPWQVNQXBITKLK-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CC[C@](C)(O)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000087012856 337599293 /nfs/dbraw/zinc/59/92/93/337599293.db2.gz VIVYIABDMWGUHE-SDBXPKJASA-N 0 3 310.652 4.458 20 0 DIADHN Cc1c(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)cnn1C ZINC000162017733 337603390 /nfs/dbraw/zinc/60/33/90/337603390.db2.gz UAAKQOZPMKUHCD-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000536826827 337604329 /nfs/dbraw/zinc/60/43/29/337604329.db2.gz LAHZRVKUXPIYHO-AUUYWEPGSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@H](C)c2ccncc2F)c(C)c1 ZINC000572348515 337613182 /nfs/dbraw/zinc/61/31/82/337613182.db2.gz UGWCGZGDUJMPKC-OAHLLOKOSA-N 0 3 300.421 4.466 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCc4ccc(F)cc43)ccc2n1 ZINC000527111297 337631745 /nfs/dbraw/zinc/63/17/45/337631745.db2.gz GXLVLZPIJYEDOM-HXUWFJFHSA-N 0 3 306.384 4.459 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccncc1Cl)COCc1ccccc1 ZINC000421823917 337646165 /nfs/dbraw/zinc/64/61/65/337646165.db2.gz FZELBLFUUYTWMB-CABCVRRESA-N 0 3 318.848 4.239 20 0 DIADHN CCO[C@@H](CCN[C@@H](C)c1ccncc1Cl)C1CCCC1 ZINC000421827984 337646918 /nfs/dbraw/zinc/64/69/18/337646918.db2.gz AKQHCHQKKNLUMI-GUYCJALGSA-N 0 3 310.869 4.371 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2ccncc2Cl)cc1 ZINC000421827630 337646926 /nfs/dbraw/zinc/64/69/26/337646926.db2.gz YRJPQRYCKJIFHJ-KGLIPLIRSA-N 0 3 318.848 4.416 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccncc1Cl ZINC000421828385 337646971 /nfs/dbraw/zinc/64/69/71/337646971.db2.gz CMQIMHVLIBYWHK-GJZGRUSLSA-N 0 3 315.848 4.055 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)Cc2ccc(F)cc2Cl)o1 ZINC000421856579 337650514 /nfs/dbraw/zinc/65/05/14/337650514.db2.gz OKEAHLCOPVVYTH-LLVKDONJSA-N 0 3 324.827 4.449 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccc(F)cc2OC(F)F)CCO1 ZINC000421859245 337651109 /nfs/dbraw/zinc/65/11/09/337651109.db2.gz QMXMCBSKOBJLIE-WCFLWFBJSA-N 0 3 317.351 4.035 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H]1CCO[C@H](CC)C1 ZINC000421859602 337651168 /nfs/dbraw/zinc/65/11/68/337651168.db2.gz QSZKYZDFMHOMBU-AEGPPILISA-N 0 3 311.853 4.347 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)NC[C@H](C)c1nccs1 ZINC000421834879 337651971 /nfs/dbraw/zinc/65/19/71/337651971.db2.gz CZXLIRQNALQVIC-WDEREUQCSA-N 0 3 310.850 4.259 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H](C)Cc1ccncc1 ZINC000421838873 337652820 /nfs/dbraw/zinc/65/28/20/337652820.db2.gz VZZFNCYINFZBNX-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)N[C@H](C)Cc1ccncc1 ZINC000421838870 337652849 /nfs/dbraw/zinc/65/28/49/337652849.db2.gz VZZFNCYINFZBNX-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1ccncc1Cl ZINC000421841745 337653544 /nfs/dbraw/zinc/65/35/44/337653544.db2.gz LNBKSRSQOJFUJW-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000421846569 337654369 /nfs/dbraw/zinc/65/43/69/337654369.db2.gz TTZXEQLQMOHHLJ-BJJXKVORSA-N 0 3 311.853 4.203 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@H]1CCO[C@H](C(C)C)C1 ZINC000421846572 337654446 /nfs/dbraw/zinc/65/44/46/337654446.db2.gz TTZXEQLQMOHHLJ-NOLJZWGESA-N 0 3 311.853 4.203 20 0 DIADHN c1c[nH]c([C@@H](NC[C@@H]2CC23CCSCC3)C2CCCCC2)n1 ZINC000421867109 337656741 /nfs/dbraw/zinc/65/67/41/337656741.db2.gz BTIAYTWLDMCSQZ-HOTGVXAUSA-N 0 3 319.518 4.154 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)cc(C)n1 ZINC000421880780 337658499 /nfs/dbraw/zinc/65/84/99/337658499.db2.gz OPMMHOJHSXFXCB-ZDUSSCGKSA-N 0 3 324.346 4.448 20 0 DIADHN CON1CCC(N[C@@H](C)c2cc3c(ccc4ccccc34)o2)CC1 ZINC000421880934 337658547 /nfs/dbraw/zinc/65/85/47/337658547.db2.gz QVMBLHPOQJIIOF-AWEZNQCLSA-N 0 3 324.424 4.262 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2Cc3[nH]c4ccccc4c3C2)o1 ZINC000574989929 337669388 /nfs/dbraw/zinc/66/93/88/337669388.db2.gz XEXGWJZRTXHJCD-DVOMOZLQSA-N 0 3 306.409 4.141 20 0 DIADHN CNCc1ccccc1NC(=O)[C@H](C)c1cccc(CC(C)C)c1 ZINC000422731027 337684491 /nfs/dbraw/zinc/68/44/91/337684491.db2.gz GGRTWPRQVCKFOH-MRXNPFEDSA-N 0 3 324.468 4.347 20 0 DIADHN CNCc1ccccc1NC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC000422741646 337684801 /nfs/dbraw/zinc/68/48/01/337684801.db2.gz PZHMVLYGDHALBI-UHFFFAOYSA-N 0 3 316.832 4.435 20 0 DIADHN C[C@@H](NC[C@H](N)c1ccccc1)c1cc(Cl)sc1Cl ZINC000423241892 337706842 /nfs/dbraw/zinc/70/68/42/337706842.db2.gz LSCYWYJBJSTALX-SKDRFNHKSA-N 0 3 315.269 4.406 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1cc(F)cc(F)c1 ZINC000423339950 337712867 /nfs/dbraw/zinc/71/28/67/337712867.db2.gz BRQVOMIAHWNRLV-BBATYDOGSA-N 0 3 302.368 4.275 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccccc1N(C)C ZINC000423357739 337714148 /nfs/dbraw/zinc/71/41/48/337714148.db2.gz STFMQFVFEMCRFL-IFXJQAMLSA-N 0 3 309.457 4.063 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3cc(N)ccc32)cc1F ZINC000423361540 337714368 /nfs/dbraw/zinc/71/43/68/337714368.db2.gz XBDBVYPBKKKQAP-KPZWWZAWSA-N 0 3 314.404 4.145 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)cc1F ZINC000423361541 337714543 /nfs/dbraw/zinc/71/45/43/337714543.db2.gz XBDBVYPBKKKQAP-KZULUSFZSA-N 0 3 314.404 4.145 20 0 DIADHN C[C@@H](NCc1cccc2c1CCCN2)c1nccc2ccccc21 ZINC000423414735 337716401 /nfs/dbraw/zinc/71/64/01/337716401.db2.gz NTNHUEREGAWRFO-OAHLLOKOSA-N 0 3 317.436 4.444 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc3c2CCCN3)ccc1F ZINC000423419304 337716735 /nfs/dbraw/zinc/71/67/35/337716735.db2.gz VQSVGFZFJVHGOK-CYBMUJFWSA-N 0 3 314.404 4.043 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc3c2CCCN3)cc1 ZINC000423420491 337716751 /nfs/dbraw/zinc/71/67/51/337716751.db2.gz XFQVFMTUYPSOFY-OAHLLOKOSA-N 0 3 310.441 4.294 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cccc2c1CCCN2 ZINC000423421663 337716874 /nfs/dbraw/zinc/71/68/74/337716874.db2.gz YJPRJJYQJFDMJN-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCCN3)CC2 ZINC000423431785 337718694 /nfs/dbraw/zinc/71/86/94/337718694.db2.gz AXOLSZUWGOPLKX-LJQANCHMSA-N 0 3 312.844 4.475 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc3c(c2)CCCN3)s1 ZINC000423432351 337718929 /nfs/dbraw/zinc/71/89/29/337718929.db2.gz BFMUQCDCCJOSGU-GFCCVEGCSA-N 0 3 315.486 4.223 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423434648 337719071 /nfs/dbraw/zinc/71/90/71/337719071.db2.gz DEBRAJAPWSMLFF-IBGZPJMESA-N 0 3 312.844 4.475 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1ccc2ccccc2n1 ZINC000423447083 337719829 /nfs/dbraw/zinc/71/98/29/337719829.db2.gz OVNGLMMIOMSXMH-HNNXBMFYSA-N 0 3 317.436 4.444 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)CCCN3)CCC2 ZINC000423454707 337720273 /nfs/dbraw/zinc/72/02/73/337720273.db2.gz ZKWPJEWULLMUJC-FQEVSTJZSA-N 0 3 310.416 4.351 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423454484 337720341 /nfs/dbraw/zinc/72/03/41/337720341.db2.gz ZECCWRQXWUSWGB-FQEVSTJZSA-N 0 3 322.452 4.357 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2ccc(Br)cc2)c1 ZINC000423473004 337721237 /nfs/dbraw/zinc/72/12/37/337721237.db2.gz GIZAGVONUHOWLK-LBPRGKRZSA-N 0 3 319.246 4.191 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2ccc(OCC(C)C)cc2)c1 ZINC000423478323 337721734 /nfs/dbraw/zinc/72/17/34/337721734.db2.gz LBOZPIMKEDKYNS-MRXNPFEDSA-N 0 3 312.457 4.463 20 0 DIADHN C[C@@H]1CCCN(C(=O)C[C@H](N)c2ccccc2)c2ccccc21 ZINC000423783032 337727805 /nfs/dbraw/zinc/72/78/05/337727805.db2.gz NAKRVQMSMGDCPU-QAPCUYQASA-N 0 3 308.425 4.007 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)N[C@H](C)[C@H](O)Cc1ccccc1 ZINC000536841915 337738058 /nfs/dbraw/zinc/73/80/58/337738058.db2.gz YFNXNFOSMHOJTG-JTDSTZFVSA-N 0 3 323.436 4.384 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(C)c(Br)c2)cn1 ZINC000397035430 337738160 /nfs/dbraw/zinc/73/81/60/337738160.db2.gz ZYOFYWNPYBCAGM-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@@H](C)C1CCC1 ZINC000527221364 337738557 /nfs/dbraw/zinc/73/85/57/337738557.db2.gz OKOPDZBRDDOJSS-HNNXBMFYSA-N 0 3 304.503 4.144 20 0 DIADHN CCOc1cc(CN2[C@@H](C)C[C@@H]2C)ccc1OCC(F)(F)F ZINC000527238805 337740030 /nfs/dbraw/zinc/74/00/30/337740030.db2.gz SZLURKMGOXKFIU-RYUDHWBXSA-N 0 3 317.351 4.009 20 0 DIADHN CC[C@H](N[C@@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000536843724 337743306 /nfs/dbraw/zinc/74/33/06/337743306.db2.gz FWYSPUKSAGXLNF-IRXDYDNUSA-N 0 3 323.358 4.480 20 0 DIADHN CC(C)N(C(=O)CN[C@@H](C)c1cc2ccccc2s1)C(C)C ZINC000527485339 337744412 /nfs/dbraw/zinc/74/44/12/337744412.db2.gz JIFMSDOTTWRAQJ-AWEZNQCLSA-N 0 3 318.486 4.197 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2cc(C)c(F)c(C)c2)c1 ZINC000536850762 337745284 /nfs/dbraw/zinc/74/52/84/337745284.db2.gz JWIDREOBWOJBBT-CYBMUJFWSA-N 0 3 305.368 4.441 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)cc1)c1ccc2c(c1)COC2 ZINC000536858921 337746386 /nfs/dbraw/zinc/74/63/86/337746386.db2.gz QFIKENOXUVJXTP-ZDUSSCGKSA-N 0 3 317.816 4.100 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNC2CCOCC2)cc1Cl ZINC000527640425 337753776 /nfs/dbraw/zinc/75/37/76/337753776.db2.gz DGCDYBGCOCHJCY-UHFFFAOYSA-N 0 3 318.244 4.049 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3cc(OC(F)F)ccc32)ccn1 ZINC000527647983 337753906 /nfs/dbraw/zinc/75/39/06/337753906.db2.gz SLSBZIQRQOIBBP-KRWDZBQOSA-N 0 3 318.367 4.159 20 0 DIADHN c1cc2ccc(CN[C@H](c3ccccc3)[C@@H]3CCOC3)cc2[nH]1 ZINC000527682279 337755454 /nfs/dbraw/zinc/75/54/54/337755454.db2.gz DOWFIHVLFLUTQJ-UYAOXDASSA-N 0 3 306.409 4.035 20 0 DIADHN Clc1cnccc1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000527714556 337758389 /nfs/dbraw/zinc/75/83/89/337758389.db2.gz WCWZXRGRXZFUIX-JOCQHMNTSA-N 0 3 307.224 4.424 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc2cc[nH]c2c1 ZINC000527689358 337759959 /nfs/dbraw/zinc/75/99/59/337759959.db2.gz ZQLNZBHTRLTLDO-YJYMSZOUSA-N 0 3 312.388 4.173 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2ccsc2C(F)(F)F)CCO1 ZINC000527693310 337760275 /nfs/dbraw/zinc/76/02/75/337760275.db2.gz WVWZMDHBRXUXNN-STQMWFEESA-N 0 3 321.408 4.450 20 0 DIADHN CC1(C)Cc2cc(CN[C@H](c3ccccn3)C3CCC3)ccc2O1 ZINC000527772655 337762597 /nfs/dbraw/zinc/76/25/97/337762597.db2.gz NZCWMQAEVMPIRM-FQEVSTJZSA-N 0 3 322.452 4.426 20 0 DIADHN CC[C@@H](NCc1cnc2c(c1)c(C)nn2C)c1cc(C)ccc1C ZINC000527818532 337763462 /nfs/dbraw/zinc/76/34/62/337763462.db2.gz GEJAKSMPAPPXMG-LJQANCHMSA-N 0 3 322.456 4.134 20 0 DIADHN Cc1cccc([C@H](NCc2cc(-c3cccnc3)n[nH]2)C(C)C)c1 ZINC000527814296 337765828 /nfs/dbraw/zinc/76/58/28/337765828.db2.gz QMFZHYFKJQNLPN-HXUWFJFHSA-N 0 3 320.440 4.267 20 0 DIADHN C[C@@H](NCc1csc(CCc2ccccc2)n1)[C@@H]1CC12CC2 ZINC000527867431 337766560 /nfs/dbraw/zinc/76/65/60/337766560.db2.gz JVXJIBZHBZFMMX-PBHICJAKSA-N 0 3 312.482 4.207 20 0 DIADHN CC[C@@H](NCc1cn(C)nc1C(F)F)c1cc(C)ccc1C ZINC000527820334 337766892 /nfs/dbraw/zinc/76/68/92/337766892.db2.gz KPQMISAQUPZODZ-OAHLLOKOSA-N 0 3 307.388 4.215 20 0 DIADHN CC(C)n1cncc1CNCC(C)(C)c1c(F)cccc1Cl ZINC000424142960 337766897 /nfs/dbraw/zinc/76/68/97/337766897.db2.gz JCXDKCIMKJUFKE-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)cc(Cl)c2)C2CC2)cn1 ZINC000527842549 337768149 /nfs/dbraw/zinc/76/81/49/337768149.db2.gz PQHRVRHAXKOLEK-SFHVURJKSA-N 0 3 316.832 4.293 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(C3CC3)c2)C2CC2)cn1 ZINC000527842940 337768250 /nfs/dbraw/zinc/76/82/50/337768250.db2.gz QFXIIUMXEKTQSL-FQEVSTJZSA-N 0 3 308.425 4.209 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2cccc(C(C)C)c2)c1 ZINC000527853639 337769216 /nfs/dbraw/zinc/76/92/16/337769216.db2.gz QCQAAWOVGHBINT-HNNXBMFYSA-N 0 3 310.441 4.020 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2cccc(C(=O)NC)c2)cc1 ZINC000527855670 337769492 /nfs/dbraw/zinc/76/94/92/337769492.db2.gz VQUWSCKURJZNHS-HOTGVXAUSA-N 0 3 324.468 4.411 20 0 DIADHN COc1cccc([C@@H](CN[C@H]2CCCc3sccc32)OC)c1 ZINC000527919838 337774915 /nfs/dbraw/zinc/77/49/15/337774915.db2.gz LOOZUDFUZCNQNV-DLBZAZTESA-N 0 3 317.454 4.111 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccc2)s1)c1cnn(C)c1 ZINC000527923891 337775527 /nfs/dbraw/zinc/77/55/27/337775527.db2.gz CIYBMYGHXAVWMA-QGZVFWFLSA-N 0 3 311.454 4.390 20 0 DIADHN COCCC1CCN([C@H](C)c2ccc(OC(F)F)cc2)CC1 ZINC000527933968 337776752 /nfs/dbraw/zinc/77/67/52/337776752.db2.gz JQOKAOIVAVANST-CYBMUJFWSA-N 0 3 313.388 4.098 20 0 DIADHN CCC[C@H](NCc1ccc2cn(C)nc2c1)c1ccc(OC)cc1 ZINC000527960372 337780043 /nfs/dbraw/zinc/78/00/43/337780043.db2.gz BSPQCRQOGGOPHJ-IBGZPJMESA-N 0 3 323.440 4.213 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1F)N[C@@H](C)c1ncccc1F ZINC000528037079 337782726 /nfs/dbraw/zinc/78/27/26/337782726.db2.gz BVZYPUGWTVZKOG-RYUDHWBXSA-N 0 3 308.347 4.171 20 0 DIADHN CCCC[C@H](NCc1cncn1C(C)C)c1ccc(OC)cc1 ZINC000424159034 337785265 /nfs/dbraw/zinc/78/52/65/337785265.db2.gz SDVUNEYGXTWXMP-IBGZPJMESA-N 0 3 315.461 4.494 20 0 DIADHN c1ccc(C[C@H](N[C@H]2C[C@@H]2C2CCCCC2)c2cccnc2)nc1 ZINC000536873994 337786510 /nfs/dbraw/zinc/78/65/10/337786510.db2.gz AUKQASWYMPHNJV-HKBOAZHASA-N 0 3 321.468 4.319 20 0 DIADHN CC(C)n1cncc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000424161349 337786682 /nfs/dbraw/zinc/78/66/82/337786682.db2.gz VSQBRPSBBIZAAT-UHFFFAOYSA-N 0 3 301.409 4.162 20 0 DIADHN C[C@H](CN[C@@H](C)c1cc(F)c(F)c(F)c1)Oc1ccccc1 ZINC000536878130 337794407 /nfs/dbraw/zinc/79/44/07/337794407.db2.gz RRILVVYYMVEYPN-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN Cc1ccc(-c2nn(-c3ccccc3)cc2CN[C@H]2CC23CC3)o1 ZINC000424185455 337801922 /nfs/dbraw/zinc/80/19/22/337801922.db2.gz PORYULNALWPRNI-SFHVURJKSA-N 0 3 319.408 4.083 20 0 DIADHN CC(C)[C@H](NCc1cc(CO)ccc1F)c1ccc(Cl)cc1 ZINC000528346316 337802280 /nfs/dbraw/zinc/80/22/80/337802280.db2.gz WJDGMFYPOQNKAJ-SFHVURJKSA-N 0 3 321.823 4.458 20 0 DIADHN Cc1csc(C2(NCCOc3ccc(F)cc3)CCCC2)n1 ZINC000533065051 337807145 /nfs/dbraw/zinc/80/71/45/337807145.db2.gz AUOJVIAAIWTPJY-UHFFFAOYSA-N 0 3 320.433 4.029 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](N[C@H](c3ccco3)c3ccccc3)C[C@H]2C1 ZINC000424262061 337813236 /nfs/dbraw/zinc/81/32/36/337813236.db2.gz PXYUQYIJHGJNCJ-ZIVNCQHPSA-N 0 3 311.425 4.162 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2C[C@H]2C2CCC2)c2ccccn2)cc1 ZINC000424303494 337818285 /nfs/dbraw/zinc/81/82/85/337818285.db2.gz ZXBBBXSMCDTRKF-XKGZKEIXSA-N 0 3 310.416 4.336 20 0 DIADHN Fc1cc(Br)ccc1[C@@H](N[C@@H]1CC12CC2)C1CC1 ZINC000424318829 337820075 /nfs/dbraw/zinc/82/00/75/337820075.db2.gz HWHHIAUQRSZNDV-KGLIPLIRSA-N 0 3 310.210 4.181 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)N[C@H]1CC12CC2 ZINC000424325563 337821304 /nfs/dbraw/zinc/82/13/04/337821304.db2.gz NWVAQYTVDMODAP-HZMBPMFUSA-N 0 3 302.418 4.461 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1ccc(F)cn1)C(=O)OC(C)(C)C ZINC000424332221 337822350 /nfs/dbraw/zinc/82/23/50/337822350.db2.gz UTHCISUIEUOMET-ZDUSSCGKSA-N 0 3 324.440 4.019 20 0 DIADHN Cc1cc([C@H](C)NC(=O)Nc2cc(C)cc(CN)c2)c(C)s1 ZINC000424418173 337831281 /nfs/dbraw/zinc/83/12/81/337831281.db2.gz BEBKOUZOEMRKNA-LBPRGKRZSA-N 0 3 317.458 4.015 20 0 DIADHN C[C@H](NCc1cccc2c1OC(C)(C)C2)[C@@H]1COc2ccccc21 ZINC000533178376 337833203 /nfs/dbraw/zinc/83/32/03/337833203.db2.gz HLYIXHRSQWHACY-KSSFIOAISA-N 0 3 323.436 4.054 20 0 DIADHN CC[C@@H](NC(=O)Nc1cc(C)cc(CN)c1)c1ccc(C)cc1 ZINC000424443041 337833508 /nfs/dbraw/zinc/83/35/08/337833508.db2.gz ZFXOFWARKGSNNU-GOSISDBHSA-N 0 3 311.429 4.035 20 0 DIADHN C[C@H](NCc1ccc(CN(C)C)cc1)c1cc(F)c(F)c(F)c1 ZINC000108426226 337837106 /nfs/dbraw/zinc/83/71/06/337837106.db2.gz WGGYXHBHBXTWEN-LBPRGKRZSA-N 0 3 322.374 4.016 20 0 DIADHN CCN(CC)[C@H](CNC/C=C\c1ccc(F)cc1)c1ccco1 ZINC000533361125 337843382 /nfs/dbraw/zinc/84/33/82/337843382.db2.gz LFVXLYHFTBUMBC-LZCIBIBXSA-N 0 3 316.420 4.105 20 0 DIADHN CC[C@H](N[C@@H](C(=O)Nc1ccccc1)c1ccccc1)C1CC1 ZINC000536883196 337844355 /nfs/dbraw/zinc/84/43/55/337844355.db2.gz PYABRNJKHDPEEN-RBUKOAKNSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2ccc(C)cc2)cc1 ZINC000086279406 337853167 /nfs/dbraw/zinc/85/31/67/337853167.db2.gz OPEMPHSYAUUVCU-MRXNPFEDSA-N 0 3 305.425 4.340 20 0 DIADHN CC(C)[C@H](NCc1cccc(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000536961845 337858482 /nfs/dbraw/zinc/85/84/82/337858482.db2.gz PXHKMPLASNBQOA-KRWDZBQOSA-N 0 3 314.360 4.159 20 0 DIADHN C[C@H](NCC(C)(C)Oc1ccccc1)c1nc2ccccc2n1C ZINC000536998015 337860631 /nfs/dbraw/zinc/86/06/31/337860631.db2.gz AOWPJZTZORLNTL-HNNXBMFYSA-N 0 3 323.440 4.082 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(Cl)sc(Cl)c21)[C@H]1CCOC1 ZINC000119588767 337862177 /nfs/dbraw/zinc/86/21/77/337862177.db2.gz KNRVFYYJLNRLFJ-ATZCPNFKSA-N 0 3 320.285 4.447 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(-n3cccn3)cc2)c(C)c1 ZINC000119656230 337863749 /nfs/dbraw/zinc/86/37/49/337863749.db2.gz PJLVNISLORXOCS-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccc(C2CC2)c(C(=O)Nc2cccc(CN(C)C)c2)c1 ZINC000424578104 337872326 /nfs/dbraw/zinc/87/23/26/337872326.db2.gz AQFKGCMTUJCYKH-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](CO)CC1)c1cc(Cl)ccc1Cl ZINC000120248275 337872435 /nfs/dbraw/zinc/87/24/35/337872435.db2.gz AYRAYYQUBTVGNT-GVXVVHGQSA-N 0 3 302.245 4.195 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](OC)c2ccc(F)cc2)s1 ZINC000090176504 337878552 /nfs/dbraw/zinc/87/85/52/337878552.db2.gz QJXOUJIRLROBPY-XHDPSFHLSA-N 0 3 322.449 4.191 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1cc(F)cc(F)c1 ZINC000120782028 337879248 /nfs/dbraw/zinc/87/92/48/337879248.db2.gz NRKKWXUTABJQBR-DYVFJYSZSA-N 0 3 320.383 4.046 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1cccc(Cl)c1 ZINC000120918906 337881739 /nfs/dbraw/zinc/88/17/39/337881739.db2.gz KRUDENKVNNOIBA-ZDUSSCGKSA-N 0 3 320.839 4.392 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1cc(F)cc(F)c1 ZINC000120924806 337881782 /nfs/dbraw/zinc/88/17/82/337881782.db2.gz TVQMCDBHOVYCRL-GFCCVEGCSA-N 0 3 322.374 4.016 20 0 DIADHN CCc1ccc([C@@H](N[C@@H]2CCCc3c2cnn3C)C(C)C)cc1 ZINC000121058167 337883115 /nfs/dbraw/zinc/88/31/15/337883115.db2.gz MAVJSJASYDXIMA-QUCCMNQESA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2cnc(C(C)(C)C)s2)CCO1 ZINC000538517169 337883157 /nfs/dbraw/zinc/88/31/57/337883157.db2.gz LGTNRUVDHJVUMY-KGLIPLIRSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@H](C)N[C@@H]1CCO[C@@H]1C ZINC000121079809 337885674 /nfs/dbraw/zinc/88/56/74/337885674.db2.gz QHZKXMXKLYDCCW-JGGQBBKZSA-N 0 3 316.470 4.255 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000537096277 337889858 /nfs/dbraw/zinc/88/98/58/337889858.db2.gz OKIPLHWXCGNPRP-GXSJLCMTSA-N 0 3 307.381 4.219 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000537096280 337889924 /nfs/dbraw/zinc/88/99/24/337889924.db2.gz OKIPLHWXCGNPRP-ONGXEEELSA-N 0 3 307.381 4.219 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)Nc2c(C)cccc2C)c1 ZINC000537105330 337891834 /nfs/dbraw/zinc/89/18/34/337891834.db2.gz ZLHCAXTWNUQQQE-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2nc3c(s2)CCCC3)C12CCC2 ZINC000091728435 337895001 /nfs/dbraw/zinc/89/50/01/337895001.db2.gz TZHDUGHUNKPAPR-VBNZEHGJSA-N 0 3 320.502 4.020 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H](C)c1cccc(Br)c1 ZINC000121945646 337902497 /nfs/dbraw/zinc/90/24/97/337902497.db2.gz OIXFZJRSGQQMHG-RKDXNWHRSA-N 0 3 310.157 4.441 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)on1 ZINC000121973319 337904106 /nfs/dbraw/zinc/90/41/06/337904106.db2.gz RQHKZYFMHQHVSJ-NXHRZFHOSA-N 0 3 318.461 4.016 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)cc1F ZINC000121979483 337904794 /nfs/dbraw/zinc/90/47/94/337904794.db2.gz UABWLBRCCLIZAM-CHWSQXEVSA-N 0 3 301.361 4.275 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCS1)c1ccccc1OC(F)F ZINC000122121318 337907813 /nfs/dbraw/zinc/90/78/13/337907813.db2.gz UJRUHJKLJZVSBH-XHDPSFHLSA-N 0 3 301.402 4.224 20 0 DIADHN C[C@H](N[C@@H]1c2cccc(F)c2CC[C@H]1C)c1cccc(CO)c1 ZINC000122139607 337908556 /nfs/dbraw/zinc/90/85/56/337908556.db2.gz YRFHLQINRYWAFQ-CKNLXJGOSA-N 0 3 313.416 4.292 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H](OC)c2ccccc2F)o1 ZINC000537305095 337929871 /nfs/dbraw/zinc/92/98/71/337929871.db2.gz BTNNJBZLZWNVIZ-LXIYXOSZSA-N 0 3 305.393 4.408 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H](OC)c2ccccc2F)o1 ZINC000537305097 337929926 /nfs/dbraw/zinc/92/99/26/337929926.db2.gz BTNNJBZLZWNVIZ-ZJNRKIDTSA-N 0 3 305.393 4.408 20 0 DIADHN C[C@@H](NCC[C@@H]1CCOC1)c1cc(Cl)ccc1OC(F)F ZINC000541556894 337932862 /nfs/dbraw/zinc/93/28/62/337932862.db2.gz ACMHYCQRVWYSNA-GHMZBOCLSA-N 0 3 319.779 4.019 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2cnc(-c3ccsc3)s2)C1(C)C ZINC000537386263 337934468 /nfs/dbraw/zinc/93/44/68/337934468.db2.gz IYCFIZPJBXOEOY-DDTOSNHZSA-N 0 3 322.499 4.021 20 0 DIADHN CCC1(O)CCN(Cc2cc(-c3ccccc3)cs2)CC1 ZINC000537615939 337946406 /nfs/dbraw/zinc/94/64/06/337946406.db2.gz GMBWMZIPNDOMEB-UHFFFAOYSA-N 0 3 301.455 4.152 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@]2(C)CCCc3ccccc32)c1 ZINC000537604976 337947392 /nfs/dbraw/zinc/94/73/92/337947392.db2.gz GVZOMNQZVDTJJB-OAQYLSRUSA-N 0 3 322.452 4.083 20 0 DIADHN FC1(F)CCC(NCc2ncc(-c3ccccc3)s2)CC1 ZINC000537777104 337962081 /nfs/dbraw/zinc/96/20/81/337962081.db2.gz CDQLHZZELJKCDJ-UHFFFAOYSA-N 0 3 308.397 4.478 20 0 DIADHN Cc1csc([C@@H](NC[C@@H]2CCCC(F)(F)C2)C2CC2)n1 ZINC000514228334 337966799 /nfs/dbraw/zinc/96/67/99/337966799.db2.gz COYDTRFPDUMDBS-YPMHNXCESA-N 0 3 300.418 4.318 20 0 DIADHN COCc1ccc(CNC2CCC(c3ccc(F)cc3)CC2)o1 ZINC000514245999 337967840 /nfs/dbraw/zinc/96/78/40/337967840.db2.gz GEAILYIFLMDBKY-UHFFFAOYSA-N 0 3 317.404 4.381 20 0 DIADHN CSc1ccc([C@H](C)NCc2c(C)nc3ccccn32)cc1 ZINC000315124740 337981062 /nfs/dbraw/zinc/98/10/62/337981062.db2.gz AZPSCZIOISCBQE-ZDUSSCGKSA-N 0 3 311.454 4.215 20 0 DIADHN CC(C)[C@](C)(CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000515493450 337990773 /nfs/dbraw/zinc/99/07/73/337990773.db2.gz YWJBVHDRAWIBLK-KRWDZBQOSA-N 0 3 307.821 4.097 20 0 DIADHN Cc1cc(Br)ccc1CN(C)Cc1ccc(O)cc1 ZINC000515919236 338001278 /nfs/dbraw/zinc/00/12/78/338001278.db2.gz FOINEXFONYBXPU-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N[C@H](C)c1c(F)cncc1F ZINC000516100612 338004569 /nfs/dbraw/zinc/00/45/69/338004569.db2.gz XYVSLXGUGIHAKB-UKRRQHHQSA-N 0 3 304.384 4.340 20 0 DIADHN C[C@@H]1CC[C@H](NCc2csc(-c3ccccn3)n2)C[C@@H]1C ZINC000085039603 338006060 /nfs/dbraw/zinc/00/60/60/338006060.db2.gz NXSUQKIERZKFKR-RDBSUJKOSA-N 0 3 301.459 4.119 20 0 DIADHN O[C@@H](Cc1cccc(Cl)c1)CN1CCC[C@H]1c1ccsc1 ZINC000516170311 338007028 /nfs/dbraw/zinc/00/70/28/338007028.db2.gz KDKPEEHXNVIYRK-IRXDYDNUSA-N 0 3 321.873 4.142 20 0 DIADHN CCC(CC)N(CC)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000516210227 338007499 /nfs/dbraw/zinc/00/74/99/338007499.db2.gz GBKTZVTYVGARFL-UHFFFAOYSA-N 0 3 307.825 4.401 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccc(F)cc2)C[C@H](C)O1 ZINC000516241965 338008459 /nfs/dbraw/zinc/00/84/59/338008459.db2.gz DLQKWUHTARBYEH-HRYATBACSA-N 0 3 313.416 4.461 20 0 DIADHN CN1CC[C@H](NCc2ccccc2F)[C@@H]1c1ccc(Cl)cc1 ZINC000516264980 338009143 /nfs/dbraw/zinc/00/91/43/338009143.db2.gz YTHAGKZIKLNBHC-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN Clc1ccc(-c2nc(CN[C@@H]3[C@@H]4CCC[C@@H]43)cs2)cc1 ZINC000516315931 338009549 /nfs/dbraw/zinc/00/95/49/338009549.db2.gz VNFFZGGEDGSNRT-QDMKHBRRSA-N 0 3 304.846 4.352 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(Cl)cc2)C(C)C)cn1 ZINC000085784311 338011302 /nfs/dbraw/zinc/01/13/02/338011302.db2.gz YSAHRVOKQQYUOV-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN CCc1noc(C)c1CN[C@H](CSc1ccccc1)C(C)C ZINC000516497684 338013950 /nfs/dbraw/zinc/01/39/50/338013950.db2.gz WNZUEKMTAOBLLS-GOSISDBHSA-N 0 3 318.486 4.452 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NC/C=C\c1ccncc1 ZINC000426160315 338021743 /nfs/dbraw/zinc/02/17/43/338021743.db2.gz KEUCMQHGPVZFQY-ZRHOUOSFSA-N 0 3 316.832 4.114 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000426288920 338024699 /nfs/dbraw/zinc/02/46/99/338024699.db2.gz KYRNKIJZXJLAMY-RZQQEMMASA-N 0 3 311.425 4.094 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1ccc(F)cc1F ZINC000426289993 338024869 /nfs/dbraw/zinc/02/48/69/338024869.db2.gz NZRGAXKVWDLSGU-JSGCOSHPSA-N 0 3 320.358 4.033 20 0 DIADHN CSc1ccccc1[C@H](C)NCCc1nnc(C(C)C)s1 ZINC000426292438 338024891 /nfs/dbraw/zinc/02/48/91/338024891.db2.gz UYOIFZFEKKPQTA-LBPRGKRZSA-N 0 3 321.515 4.277 20 0 DIADHN CC[C@H](NCc1cc2ccccc2n(C)c1=O)c1cccc(C)c1 ZINC000426295162 338025076 /nfs/dbraw/zinc/02/50/76/338025076.db2.gz XNSBEZSZSUVCLC-IBGZPJMESA-N 0 3 320.436 4.088 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2CCC[C@@H]2Cc2ccccc2)o1 ZINC000426295082 338025115 /nfs/dbraw/zinc/02/51/15/338025115.db2.gz MCLWRKQMRPOVPA-MSOLQXFVSA-N 0 3 312.457 4.437 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000426301975 338025622 /nfs/dbraw/zinc/02/56/22/338025622.db2.gz GHDOIOIXGPLBQA-MISYRCLQSA-N 0 3 311.400 4.150 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)cc1 ZINC000426304035 338025682 /nfs/dbraw/zinc/02/56/82/338025682.db2.gz AWABPFXRHWWKQT-IYOUNJFTSA-N 0 3 301.455 4.410 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CC(=O)Nc1ccc(C)c(Cl)c1 ZINC000426304703 338025851 /nfs/dbraw/zinc/02/58/51/338025851.db2.gz TWUCUXGKXNHGKK-ZDUSSCGKSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)c(C)o1 ZINC000426314701 338026440 /nfs/dbraw/zinc/02/64/40/338026440.db2.gz QSQFSDLCZBLSEZ-XWCIJXRUSA-N 0 3 305.443 4.311 20 0 DIADHN Cc1nnsc1CN[C@@H](c1ccccc1C)C1CCCC1 ZINC000426319804 338026815 /nfs/dbraw/zinc/02/68/15/338026815.db2.gz RLBIMGDXFIUJEY-QGZVFWFLSA-N 0 3 301.459 4.176 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@H](c2nccn2C)C2CC2)c(C)c1 ZINC000426322871 338026963 /nfs/dbraw/zinc/02/69/63/338026963.db2.gz AGISYJXGLSNCSC-SFHVURJKSA-N 0 3 311.473 4.055 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@@H](C)c2ccc(F)cn2)c(C)c1 ZINC000426327055 338027239 /nfs/dbraw/zinc/02/72/39/338027239.db2.gz PEQGAVAAJQAOJF-HNNXBMFYSA-N 0 3 300.421 4.466 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2cccc(C)c2)o1 ZINC000426330984 338027419 /nfs/dbraw/zinc/02/74/19/338027419.db2.gz PIELBUAJINDURK-HNNXBMFYSA-N 0 3 300.446 4.355 20 0 DIADHN C[C@H](NC1(Cc2ccccc2Br)CC1)c1ccco1 ZINC000426340610 338027903 /nfs/dbraw/zinc/02/79/03/338027903.db2.gz SVYWTDSTLSXKLW-LBPRGKRZSA-N 0 3 320.230 4.468 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@H]1OCCc2sccc21 ZINC000426343187 338028023 /nfs/dbraw/zinc/02/80/23/338028023.db2.gz CGJMSSBMXHTLDO-WVQJBOLRSA-N 0 3 323.408 4.379 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1ccc(F)c(F)c1 ZINC000426343185 338028070 /nfs/dbraw/zinc/02/80/70/338028070.db2.gz CGJMSSBMXHTLDO-PSVSLLHZSA-N 0 3 323.408 4.379 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)[C@H]1OCCc2sccc21 ZINC000426347446 338028320 /nfs/dbraw/zinc/02/83/20/338028320.db2.gz URRMLOIZXMEQIW-FABXCBLPSA-N 0 3 319.495 4.479 20 0 DIADHN CCCc1nc(C)c(CN[C@H](CC)Cc2ccc(C)cc2)o1 ZINC000426348879 338028344 /nfs/dbraw/zinc/02/83/44/338028344.db2.gz SSEGJTXOLIWJDJ-QGZVFWFLSA-N 0 3 300.446 4.355 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1cccc(F)c1 ZINC000426353283 338028605 /nfs/dbraw/zinc/02/86/05/338028605.db2.gz PDWSHNWCJIRMSL-PRXAMGSTSA-N 0 3 305.418 4.240 20 0 DIADHN C[C@H]1CCN(Cc2cnc(-c3ccsc3)s2)CCS1 ZINC000426375341 338029359 /nfs/dbraw/zinc/02/93/59/338029359.db2.gz BZVJDWBRKGFQNZ-NSHDSACASA-N 0 3 310.513 4.199 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCS[C@@H](C)CC3)s2)cc1 ZINC000426389018 338030015 /nfs/dbraw/zinc/03/00/15/338030015.db2.gz JTYWJIAGLKRLGT-AWEZNQCLSA-N 0 3 318.511 4.446 20 0 DIADHN CCOc1cc(CN(C)Cc2cccc3[nH]ccc32)ccc1OC ZINC000426395812 338030488 /nfs/dbraw/zinc/03/04/88/338030488.db2.gz NNMKTYXMFKOKAD-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H]4CCC[C@H]43)cs2)cc1 ZINC000426458580 338032716 /nfs/dbraw/zinc/03/27/16/338032716.db2.gz IGVAJJVZPYDLHO-CXAGYDPISA-N 0 3 314.454 4.193 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2CC[C@H]3CCC[C@@H]32)n1 ZINC000426473250 338033133 /nfs/dbraw/zinc/03/31/33/338033133.db2.gz DOYXGPRYXJMNKJ-ABAIWWIYSA-N 0 3 320.408 4.463 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@H]43)co2)cc1 ZINC000426471193 338033194 /nfs/dbraw/zinc/03/31/94/338033194.db2.gz MDAOZJPEILSJKV-KBXCAEBGSA-N 0 3 312.413 4.115 20 0 DIADHN Cc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)cs2)o1 ZINC000426765300 338041443 /nfs/dbraw/zinc/04/14/43/338041443.db2.gz MCCYUPZNSUWDGV-KBPBESRZSA-N 0 3 302.443 4.334 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCC[C@H]1Cc1ccc(F)cc1 ZINC000428215761 338042455 /nfs/dbraw/zinc/04/24/55/338042455.db2.gz ARUFUESHKZKTFP-KRWDZBQOSA-N 0 3 316.420 4.453 20 0 DIADHN CC(C)O[C@@H](CN1CCC(OCC2CC2)CC1)c1ccccc1 ZINC000428212214 338042532 /nfs/dbraw/zinc/04/25/32/338042532.db2.gz ZONRUZSGAFNIFC-FQEVSTJZSA-N 0 3 317.473 4.044 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@H]2Cc2ccc(F)cc2)o1 ZINC000428217263 338042710 /nfs/dbraw/zinc/04/27/10/338042710.db2.gz GRLKGUVATQHBTI-KRWDZBQOSA-N 0 3 316.420 4.282 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC000428224714 338043208 /nfs/dbraw/zinc/04/32/08/338043208.db2.gz ANTKNXMOWNTACC-OAHLLOKOSA-N 0 3 302.393 4.235 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC000428224707 338043245 /nfs/dbraw/zinc/04/32/45/338043245.db2.gz ANTKNXMOWNTACC-HNNXBMFYSA-N 0 3 302.393 4.235 20 0 DIADHN CCc1nc(C)c(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)o1 ZINC000428250269 338044257 /nfs/dbraw/zinc/04/42/57/338044257.db2.gz ZEHABYNEPUZBPZ-SWLSCSKDSA-N 0 3 302.393 4.063 20 0 DIADHN CCc1nc(C)c(CN2CC[C@@H](c3ccc(Cl)cc3)C2)o1 ZINC000428247832 338044280 /nfs/dbraw/zinc/04/42/80/338044280.db2.gz OKZJRYBELXBDKD-CQSZACIVSA-N 0 3 304.821 4.188 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CC[C@]2(CCOC2)C1 ZINC000428267654 338044895 /nfs/dbraw/zinc/04/48/95/338044895.db2.gz JNERIFCVTZIAGO-INIZCTEOSA-N 0 3 314.256 4.038 20 0 DIADHN CO[C@@H]1CC[C@@H]2OCCN(CC[C@H](C)CCC=C(C)C)[C@@H]2C1 ZINC000428284783 338045527 /nfs/dbraw/zinc/04/55/27/338045527.db2.gz CYLIRSGFJIGCKU-MKXGPGLRSA-N 0 3 309.494 4.027 20 0 DIADHN CC(C)c1nc(CN[C@H](CC2CCCC2)c2ccccc2)n[nH]1 ZINC000428342115 338047944 /nfs/dbraw/zinc/04/79/44/338047944.db2.gz SLCBEVKRGQLGRQ-QGZVFWFLSA-N 0 3 312.461 4.339 20 0 DIADHN CC(C)c1nc(CN[C@@H](CC2CCCC2)c2ccccc2)n[nH]1 ZINC000428342114 338047979 /nfs/dbraw/zinc/04/79/79/338047979.db2.gz SLCBEVKRGQLGRQ-KRWDZBQOSA-N 0 3 312.461 4.339 20 0 DIADHN CCCc1nc(C)c(CN2CCC(c3ccc(C)nc3)CC2)o1 ZINC000428359396 338048628 /nfs/dbraw/zinc/04/86/28/338048628.db2.gz JAZBUTVVHFRMKB-UHFFFAOYSA-N 0 3 313.445 4.019 20 0 DIADHN CCC1(CC)[C@H](N(C)Cc2oc(C(C)C)nc2C)C[C@@H]1OC ZINC000428383246 338049714 /nfs/dbraw/zinc/04/97/14/338049714.db2.gz UTOFMQDUNBGDLK-CVEARBPZSA-N 0 3 308.466 4.132 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2oc(C(C)C)nc2C)C12CCCC2 ZINC000428386523 338049744 /nfs/dbraw/zinc/04/97/44/338049744.db2.gz XGTRTVSDGFBKQS-DLBZAZTESA-N 0 3 320.477 4.276 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NCc2n[nH]c(C)n2)C(C)C)cc1 ZINC000428387525 338049750 /nfs/dbraw/zinc/04/97/50/338049750.db2.gz GPKSDPYGHZSZDP-ACJLOTCBSA-N 0 3 300.450 4.114 20 0 DIADHN CC(C)O[C@H](CN1CCC[C@H](OCC2CC2)C1)c1ccccc1 ZINC000428433856 338051251 /nfs/dbraw/zinc/05/12/51/338051251.db2.gz FAAXOIUUBLGKAT-VQTJNVASSA-N 0 3 317.473 4.044 20 0 DIADHN Cc1cc(CN(Cc2ccc(F)cc2)C2CCCCC2)ncn1 ZINC000428455808 338052632 /nfs/dbraw/zinc/05/26/32/338052632.db2.gz UDNWHFRUQKOMCB-UHFFFAOYSA-N 0 3 313.420 4.259 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@H]3CCC[C@@H]32)cc1Cl ZINC000428456331 338052791 /nfs/dbraw/zinc/05/27/91/338052791.db2.gz UPLHXGSGXFIDCB-YPMHNXCESA-N 0 3 301.764 4.316 20 0 DIADHN CCOc1c(Cl)cc(CN2CC[C@@H]3CCC[C@@H]32)cc1OC ZINC000428458441 338052955 /nfs/dbraw/zinc/05/29/55/338052955.db2.gz NVJRHEUQYHHORD-ZFWWWQNUSA-N 0 3 309.837 4.122 20 0 DIADHN Fc1cc(CN2CC[C@@H]3CCC[C@H]32)ccc1OCC(F)(F)F ZINC000428458862 338052968 /nfs/dbraw/zinc/05/29/68/338052968.db2.gz LMXBGLWXWYVKIQ-GXTWGEPZSA-N 0 3 317.326 4.141 20 0 DIADHN COC(=O)c1ccc(CN[C@H]2CCc3ccc(C(C)C)cc32)cc1 ZINC000428458404 338052998 /nfs/dbraw/zinc/05/29/98/338052998.db2.gz KXNGFAYJBWOYMD-FQEVSTJZSA-N 0 3 323.436 4.374 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCC[C@H]32)c(-c2ccccc2Cl)n1 ZINC000428466098 338053671 /nfs/dbraw/zinc/05/36/71/338053671.db2.gz VYBMRUPHMQVWNO-SUMWQHHRSA-N 0 3 315.848 4.115 20 0 DIADHN CC(C)O[C@@H](CN1CCC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC000428471754 338054121 /nfs/dbraw/zinc/05/41/21/338054121.db2.gz YVGXUWATNYCGEU-CVEARBPZSA-N 0 3 315.379 4.427 20 0 DIADHN CC(C)C1(C)CCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000428472845 338054268 /nfs/dbraw/zinc/05/42/68/338054268.db2.gz CHCSXMNHKRBRJE-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN CN(Cc1ccc(Cl)c(C(F)(F)F)c1)[C@@H]1CCCC[C@H]1O ZINC000428474448 338054508 /nfs/dbraw/zinc/05/45/08/338054508.db2.gz SAZIDZLTYXDDSD-ZIAGYGMSSA-N 0 3 321.770 4.094 20 0 DIADHN Fc1cc(CN[C@@H]2CC23CCCC3)ccc1OCC(F)(F)F ZINC000428534491 338055635 /nfs/dbraw/zinc/05/56/35/338055635.db2.gz INLVOFNCYXPCHN-CQSZACIVSA-N 0 3 317.326 4.189 20 0 DIADHN CCOc1c(Cl)cc(CN[C@H]2CC23CCCC3)cc1OC ZINC000428541104 338055820 /nfs/dbraw/zinc/05/58/20/338055820.db2.gz OYUCKHZPKAOUMN-HNNXBMFYSA-N 0 3 309.837 4.170 20 0 DIADHN COc1ccc(Oc2ccc(CNC3CC(F)(F)C3)cc2)cc1 ZINC000428636033 338057354 /nfs/dbraw/zinc/05/73/54/338057354.db2.gz INHGHFYRSKBLHJ-UHFFFAOYSA-N 0 3 319.351 4.375 20 0 DIADHN CCCn1ccnc1CCCN(C)c1cc(C)nc2ccccc21 ZINC000428651666 338057759 /nfs/dbraw/zinc/05/77/59/338057759.db2.gz MEAXHKLZLIZHGQ-UHFFFAOYSA-N 0 3 322.456 4.219 20 0 DIADHN COc1ccccc1-c1ccc(CNC2CC(F)(F)C2)cc1 ZINC000428650093 338057793 /nfs/dbraw/zinc/05/77/93/338057793.db2.gz UGSPEZOYEUKTDY-UHFFFAOYSA-N 0 3 303.352 4.250 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428708533 338058930 /nfs/dbraw/zinc/05/89/30/338058930.db2.gz IDEFQEJFYWBKFX-RIEGTJTDSA-N 0 3 317.326 4.326 20 0 DIADHN Clc1ccc(C2CCN(C[C@@H]3CCCO3)CC2)c(Cl)c1 ZINC000428711331 338059071 /nfs/dbraw/zinc/05/90/71/338059071.db2.gz OJBGBHIBHLJVKA-AWEZNQCLSA-N 0 3 314.256 4.352 20 0 DIADHN C[C@]12CCN(Cc3ccc(OC(F)(F)F)cc3)C[C@H]1C2(F)F ZINC000428708930 338059157 /nfs/dbraw/zinc/05/91/57/338059157.db2.gz IZFXRSGITWYEAV-OLZOCXBDSA-N 0 3 321.289 4.062 20 0 DIADHN Clc1ccc(C2CCN(CCn3cccn3)CC2)c(Cl)c1 ZINC000428718527 338059394 /nfs/dbraw/zinc/05/93/94/338059394.db2.gz NAEHCYBTUVTHNG-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN Cc1ccc(C)c(OCCCN2CC[C@]3(C)[C@H](C2)C3(F)F)c1 ZINC000428726219 338059671 /nfs/dbraw/zinc/05/96/71/338059671.db2.gz UGKYOFLKJRCBGL-DLBZAZTESA-N 0 3 309.400 4.049 20 0 DIADHN Cc1ccc(C)c(OCCCN2CC[C@@]3(C)[C@@H](C2)C3(F)F)c1 ZINC000428726225 338059763 /nfs/dbraw/zinc/05/97/63/338059763.db2.gz UGKYOFLKJRCBGL-SJORKVTESA-N 0 3 309.400 4.049 20 0 DIADHN CC(C)O[C@H](CN1CC[C@@]2(C)[C@@H](C1)C2(F)F)c1ccccc1 ZINC000428736417 338060069 /nfs/dbraw/zinc/06/00/69/338060069.db2.gz HIHWWFFKSYCAFR-ZACQAIPSSA-N 0 3 309.400 4.130 20 0 DIADHN Cc1cccc(C)c1OCCCN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428737164 338060079 /nfs/dbraw/zinc/06/00/79/338060079.db2.gz WXHGUGMEXKVILZ-DOTOQJQBSA-N 0 3 309.400 4.049 20 0 DIADHN CO[C@H](CN1CC[C@@]2(C)[C@@H](C1)C2(F)F)c1ccc(Cl)cc1 ZINC000428739349 338060154 /nfs/dbraw/zinc/06/01/54/338060154.db2.gz HTUPRUXEKRLUCA-KFWWJZLASA-N 0 3 315.791 4.005 20 0 DIADHN Clc1ccc(C2CCN(CCn3ccnc3)CC2)c(Cl)c1 ZINC000428746113 338060296 /nfs/dbraw/zinc/06/02/96/338060296.db2.gz RXXHLDVZDOPDOC-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN Cc1cccc(CN(C)Cc2cc3ccccc3[nH]c2=O)c1C ZINC000428744723 338060337 /nfs/dbraw/zinc/06/03/37/338060337.db2.gz BYWVUGPFDUOONH-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN Cc1ncsc1CN1CCC(c2ccc(F)cc2C)CC1 ZINC000428750151 338060477 /nfs/dbraw/zinc/06/04/77/338060477.db2.gz RKOGHVFDZKUVBW-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN CC(C)COc1ccccc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000428752427 338060500 /nfs/dbraw/zinc/06/05/00/338060500.db2.gz UQCNSLDLVNUKAJ-DLBZAZTESA-N 0 3 309.400 4.199 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2nc3ccc(F)cc3s2)C1(C)C ZINC000428846705 338062000 /nfs/dbraw/zinc/06/20/00/338062000.db2.gz CTSCJXINOWKHAJ-HUUCEWRRSA-N 0 3 322.449 4.117 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1nc2ccc(F)cc2s1 ZINC000428850666 338062199 /nfs/dbraw/zinc/06/21/99/338062199.db2.gz OFOLTHPIPXBSPF-DZGCQCFKSA-N 0 3 322.449 4.119 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1nc2ccc(F)cc2s1 ZINC000428855961 338062520 /nfs/dbraw/zinc/06/25/20/338062520.db2.gz KLWRQWVGQWDLPC-CYBMUJFWSA-N 0 3 314.429 4.465 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1ccc(C(F)(F)F)s1 ZINC000428857084 338062686 /nfs/dbraw/zinc/06/26/86/338062686.db2.gz PUADXWRBKZNCFQ-ZDUSSCGKSA-N 0 3 309.397 4.044 20 0 DIADHN CC(C)N(CC[S@](=O)c1ccccc1)[C@@H](C)c1ccccc1 ZINC000428874058 338064004 /nfs/dbraw/zinc/06/40/04/338064004.db2.gz YGRUZUDLXSPOKZ-JTSKRJEESA-N 0 3 315.482 4.266 20 0 DIADHN CC(C)N(CC[S@@](=O)c1ccccc1)[C@@H](C)c1ccccc1 ZINC000428874056 338064045 /nfs/dbraw/zinc/06/40/45/338064045.db2.gz YGRUZUDLXSPOKZ-HTAPYJJXSA-N 0 3 315.482 4.266 20 0 DIADHN CC(C)O[C@@H](CN[C@@H](C)c1ccc2n[nH]cc2c1)c1ccccc1 ZINC000428975842 338067451 /nfs/dbraw/zinc/06/74/51/338067451.db2.gz IGNSMENYAALHFB-YWZLYKJASA-N 0 3 323.440 4.380 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCOC[C@H](C3CCC3)C1)CC2 ZINC000429031492 338069353 /nfs/dbraw/zinc/06/93/53/338069353.db2.gz ULKBNVRZFQIFEC-CRAIPNDOSA-N 0 3 305.849 4.076 20 0 DIADHN CC(C)CCCN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000429042338 338069638 /nfs/dbraw/zinc/06/96/38/338069638.db2.gz BLLXKIWOUHKJOZ-GOSISDBHSA-N 0 3 303.425 4.017 20 0 DIADHN C[C@H](c1cccs1)N(C)CCC(=O)Nc1ccc(Cl)cc1 ZINC000429044416 338069690 /nfs/dbraw/zinc/06/96/90/338069690.db2.gz HSZMZLQEDGFDQU-GFCCVEGCSA-N 0 3 322.861 4.423 20 0 DIADHN Clc1cccc(S[C@H]2CCN(CCc3ccccn3)C2)c1 ZINC000429134603 338071536 /nfs/dbraw/zinc/07/15/36/338071536.db2.gz KZQWTHQLPVSIDN-KRWDZBQOSA-N 0 3 318.873 4.144 20 0 DIADHN CSc1ccccc1CN[C@H](C)[C@H]1OCCc2sccc21 ZINC000429136453 338071582 /nfs/dbraw/zinc/07/15/82/338071582.db2.gz WXEJOWHFTRTEPK-SJKOYZFVSA-N 0 3 319.495 4.262 20 0 DIADHN Fc1ccc(-c2nc(CN(C3CCC3)C3CCC3)co2)cc1 ZINC000429141163 338071719 /nfs/dbraw/zinc/07/17/19/338071719.db2.gz KSGZOPJORJCRKZ-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN Fc1ccc(-c2cc(CN(C3CCC3)C3CCC3)on2)cc1 ZINC000429143069 338071745 /nfs/dbraw/zinc/07/17/45/338071745.db2.gz ORVSZWGYKURWLX-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN COc1ccc2nc(CN(C3CCC3)C3CCC3)sc2c1 ZINC000429139439 338071787 /nfs/dbraw/zinc/07/17/87/338071787.db2.gz HFPMCBSEMAGEOJ-UHFFFAOYSA-N 0 3 302.443 4.212 20 0 DIADHN C[C@@H](N[C@@H]1Cc2cccc(O)c2C1)c1cccc(Cl)c1F ZINC000429248309 338074711 /nfs/dbraw/zinc/07/47/11/338074711.db2.gz YVYQRWASRVYNLR-ZYHUDNBSSA-N 0 3 305.780 4.003 20 0 DIADHN COc1cc(OC)c([C@@H](C)N[C@H]2CC23CCCC3)cc1Cl ZINC000429419417 338080316 /nfs/dbraw/zinc/08/03/16/338080316.db2.gz QUNCOYSSVJBBLQ-BZNIZROVSA-N 0 3 309.837 4.341 20 0 DIADHN COC1(C[C@H](C)N[C@@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000429429869 338080629 /nfs/dbraw/zinc/08/06/29/338080629.db2.gz LJYQTZCFVXQFGZ-PXNSSMCTSA-N 0 3 324.468 4.417 20 0 DIADHN FC1(F)CC(N[C@H](c2ccc(Br)s2)C2CC2)C1 ZINC000429457157 338081632 /nfs/dbraw/zinc/08/16/32/338081632.db2.gz SUZDWWXXEMCZFR-NSHDSACASA-N 0 3 322.218 4.349 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1ccc(C2CCCCC2)cc1 ZINC000429463551 338081896 /nfs/dbraw/zinc/08/18/96/338081896.db2.gz UIIYHJSYVXVJHF-CXAGYDPISA-N 0 3 311.416 4.401 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1ccc(-c2ccccc2)cc1 ZINC000429465726 338081935 /nfs/dbraw/zinc/08/19/35/338081935.db2.gz LESQEFWRYQVNNV-DYVFJYSZSA-N 0 3 305.368 4.020 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1cc2c(ccc3ccccc23)o1 ZINC000429462242 338081986 /nfs/dbraw/zinc/08/19/86/338081986.db2.gz PUWHQOJMOUOGMM-DGCLKSJQSA-N 0 3 319.351 4.253 20 0 DIADHN COC1(C[C@@H](C)N[C@@H](C)c2nc(C(C)(C)C)cs2)CCC1 ZINC000429526027 338083007 /nfs/dbraw/zinc/08/30/07/338083007.db2.gz HVMQVVUOWMSRQL-OLZOCXBDSA-N 0 3 310.507 4.439 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(c3ccncc3)CC1)CCC2 ZINC000429551781 338083791 /nfs/dbraw/zinc/08/37/91/338083791.db2.gz ZHJJYBNMRXSKHV-HXUWFJFHSA-N 0 3 310.416 4.478 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CC[C@@H](C)C2)c(Cl)c1 ZINC000429558717 338084015 /nfs/dbraw/zinc/08/40/15/338084015.db2.gz JZOBYWWHMNYWDN-BXUZGUMPSA-N 0 3 308.853 4.016 20 0 DIADHN C[C@H]1CC[C@@H](N(C)CC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000429568064 338084371 /nfs/dbraw/zinc/08/43/71/338084371.db2.gz NNEJRXXSYUOZCE-CMPLNLGQSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000429580574 338084978 /nfs/dbraw/zinc/08/49/78/338084978.db2.gz PQDPLPFUMVBABI-AEFFLSMTSA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000429717665 338088294 /nfs/dbraw/zinc/08/82/94/338088294.db2.gz HZICLLQGAKXJAL-AHRSYUTCSA-N 0 3 324.468 4.322 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000429774772 338089502 /nfs/dbraw/zinc/08/95/02/338089502.db2.gz UVGXGPLFSDSOKO-IOASZLSFSA-N 0 3 317.408 4.413 20 0 DIADHN COc1cc(CN(Cc2ccc(F)c(C)c2)C2CC2)ccc1O ZINC000429981385 338092960 /nfs/dbraw/zinc/09/29/60/338092960.db2.gz GWWMKTUYFRPBER-UHFFFAOYSA-N 0 3 315.388 4.013 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](Nc2ncc(Cl)cc2F)C1 ZINC000429998945 338093155 /nfs/dbraw/zinc/09/31/55/338093155.db2.gz GWYHAYHPPCZGDW-WFASDCNBSA-N 0 3 319.811 4.122 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1CNC[C@@H]1CCC(F)(F)C1 ZINC000430040999 338094314 /nfs/dbraw/zinc/09/43/14/338094314.db2.gz LAHJJVMJMGASGA-SECBINFHSA-N 0 3 311.269 4.370 20 0 DIADHN C[C@H](C[C@H]1CCCO1)NCc1cc(Cl)ccc1OCC1CC1 ZINC000430041437 338094328 /nfs/dbraw/zinc/09/43/28/338094328.db2.gz VLTXRBMADIMNMQ-CXAGYDPISA-N 0 3 323.864 4.176 20 0 DIADHN c1ccc2c(c1)CO[C@]21CCN(Cc2ccc3c(c2)CCCC3)C1 ZINC000430061180 338094588 /nfs/dbraw/zinc/09/45/88/338094588.db2.gz PAZYHIBECJESAM-QFIPXVFZSA-N 0 3 319.448 4.197 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1ccc2c(c1)CCCC2 ZINC000430067165 338094788 /nfs/dbraw/zinc/09/47/88/338094788.db2.gz BNQWZTMFGWTMDX-LJQANCHMSA-N 0 3 309.457 4.242 20 0 DIADHN Cc1nc2ccc(CN3CC[C@@]4(C)[C@@H](C3)C4(F)F)cc2s1 ZINC000430083888 338095221 /nfs/dbraw/zinc/09/52/21/338095221.db2.gz JBHDFSGMVIYMAM-CABCVRRESA-N 0 3 308.397 4.082 20 0 DIADHN Cc1nc2ccc(CN3CC[C@]4(C)[C@H](C3)C4(F)F)cc2s1 ZINC000430083892 338095230 /nfs/dbraw/zinc/09/52/30/338095230.db2.gz JBHDFSGMVIYMAM-LSDHHAIUSA-N 0 3 308.397 4.082 20 0 DIADHN CC(C)COc1cccc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)c1 ZINC000430086219 338095339 /nfs/dbraw/zinc/09/53/39/338095339.db2.gz AYFCQQLTTZAGLJ-DLBZAZTESA-N 0 3 309.400 4.199 20 0 DIADHN C[C@]12CCN(Cc3ccc4c(c3)CCC(C)(C)O4)C[C@H]1C2(F)F ZINC000430088786 338095646 /nfs/dbraw/zinc/09/56/46/338095646.db2.gz WQMDEDAXPSVBCU-AEFFLSMTSA-N 0 3 321.411 4.267 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC2(CCC2)[C@H]1C(C)C ZINC000430091421 338095779 /nfs/dbraw/zinc/09/57/79/338095779.db2.gz XAELGGFSCQCVKS-QGZVFWFLSA-N 0 3 303.494 4.265 20 0 DIADHN CCCCOc1ccc(CN2CCC(C)(OCC)CC2)cc1 ZINC000430091276 338095864 /nfs/dbraw/zinc/09/58/64/338095864.db2.gz WKUTZQMLRLATRS-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000430095665 338096106 /nfs/dbraw/zinc/09/61/06/338096106.db2.gz NHWWPYNGBPJMSU-UONOGXRCSA-N 0 3 319.317 4.491 20 0 DIADHN COc1ccc2ccccc2c1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000430109513 338097238 /nfs/dbraw/zinc/09/72/38/338097238.db2.gz YLHWMZREAJQAGN-ZWKOTPCHSA-N 0 3 317.379 4.326 20 0 DIADHN Cc1ccc2[nH]c(CN3CCOC[C@@H](C4CCC4)C3)cc2c1C ZINC000430139123 338098685 /nfs/dbraw/zinc/09/86/85/338098685.db2.gz PABZNBFSLNQQPL-KRWDZBQOSA-N 0 3 312.457 4.033 20 0 DIADHN CCc1nc(C)c(CNC[C@H](CC(C)C)c2ccccc2)o1 ZINC000430187200 338100247 /nfs/dbraw/zinc/10/02/47/338100247.db2.gz BQLNVXYQFGMHEZ-KRWDZBQOSA-N 0 3 300.446 4.465 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000430192174 338100465 /nfs/dbraw/zinc/10/04/65/338100465.db2.gz ASFAZHBSIMATMH-HNNXBMFYSA-N 0 3 321.877 4.047 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000430192570 338100468 /nfs/dbraw/zinc/10/04/68/338100468.db2.gz OYLUJCFKTDNFHU-GFCCVEGCSA-N 0 3 324.540 4.447 20 0 DIADHN CSC[C@H]1CCCN(Cc2c(F)cccc2N2CCCC2)C1 ZINC000430199425 338101042 /nfs/dbraw/zinc/10/10/42/338101042.db2.gz USBLENZLAONBKX-HNNXBMFYSA-N 0 3 322.493 4.001 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000430268880 338103902 /nfs/dbraw/zinc/10/39/02/338103902.db2.gz ORGBZKWYKSZADM-MRXNPFEDSA-N 0 3 320.436 4.337 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccc(OCc3cccnc3)cc2)C1 ZINC000430277398 338103989 /nfs/dbraw/zinc/10/39/89/338103989.db2.gz NFKIDEBRZXOECV-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN CC(C(=O)N1c2ccccc2S[C@@H](C)[C@@H]1C)C(F)(F)F ZINC000430656870 338110660 /nfs/dbraw/zinc/11/06/60/338110660.db2.gz UERGJFOUIQEVEE-UTLUCORTSA-N 0 3 303.349 4.101 20 0 DIADHN Cc1cc([C@H]2CCCN2CCSCc2ccccc2)on1 ZINC000430742890 338113074 /nfs/dbraw/zinc/11/30/74/338113074.db2.gz PTQCNZZRIUXYQE-MRXNPFEDSA-N 0 3 302.443 4.053 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCC(F)(F)C2)c2ccccn2)cc1 ZINC000430748082 338113411 /nfs/dbraw/zinc/11/34/11/338113411.db2.gz BOJNMLJOMAWGFP-CXAGYDPISA-N 0 3 320.358 4.335 20 0 DIADHN COc1ccc(C2(NCCSCc3ccccc3)CC2)cc1 ZINC000430757187 338113954 /nfs/dbraw/zinc/11/39/54/338113954.db2.gz NYIGIJPAOKCWAO-UHFFFAOYSA-N 0 3 313.466 4.207 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCS2)c2ccccc2)cc1 ZINC000149490939 338115110 /nfs/dbraw/zinc/11/51/10/338115110.db2.gz PBALTEFXXPMZDI-MSOLQXFVSA-N 0 3 301.430 4.400 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@H]1C[C@H]1c1ccccc1 ZINC000430867369 338117383 /nfs/dbraw/zinc/11/73/83/338117383.db2.gz KABYHVDMYDMBSQ-QYZOEREBSA-N 0 3 309.457 4.234 20 0 DIADHN CC(C)OCCCNCc1ccc(Cl)cc1Br ZINC000149701737 338119746 /nfs/dbraw/zinc/11/97/46/338119746.db2.gz KSHYDLGLBQASIN-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CCC[C@@](C)(O)CN[C@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000431326135 338128041 /nfs/dbraw/zinc/12/80/41/338128041.db2.gz AKZSQHCGCZSYED-DOTOQJQBSA-N 0 3 311.853 4.003 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NCC[C@H](O)C(C)C ZINC000431330210 338128064 /nfs/dbraw/zinc/12/80/64/338128064.db2.gz AZFDYSUMPBSIQM-MSOLQXFVSA-N 0 3 305.462 4.020 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCOc3cc4c(cc32)CCC4)cc1 ZINC000431353830 338129021 /nfs/dbraw/zinc/12/90/21/338129021.db2.gz WZAVNWSNJUJGMB-LJQANCHMSA-N 0 3 311.400 4.318 20 0 DIADHN COc1cccc(CN[C@H]2CCCOc3cc4c(cc32)CCC4)c1 ZINC000431354210 338129031 /nfs/dbraw/zinc/12/90/31/338129031.db2.gz XXCFRADNKWMSMW-FQEVSTJZSA-N 0 3 323.436 4.187 20 0 DIADHN CCN(C)C(=O)CCN(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000431397059 338129825 /nfs/dbraw/zinc/12/98/25/338129825.db2.gz AGTJWYYSJORERA-GOSISDBHSA-N 0 3 324.468 4.118 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431506186 338132733 /nfs/dbraw/zinc/13/27/33/338132733.db2.gz GKTAIYTVDUNPBH-KRWDZBQOSA-N 0 3 316.832 4.280 20 0 DIADHN CCCN(Cc1ccccc1)C(=O)C[C@@H](c1ccccc1)N(C)C ZINC000431516797 338132984 /nfs/dbraw/zinc/13/29/84/338132984.db2.gz GLCJEXDXNBASFD-FQEVSTJZSA-N 0 3 324.468 4.118 20 0 DIADHN c1[nH]c(CNC[C@@H](c2ccccc2)C2CC2)nc1-c1ccccc1 ZINC000431655845 338135638 /nfs/dbraw/zinc/13/56/38/338135638.db2.gz QLIWWUZOTCAPGH-IBGZPJMESA-N 0 3 317.436 4.360 20 0 DIADHN CCc1ccc([C@H](C)NC(=O)C[C@@H](c2ccccc2)N(C)C)cc1 ZINC000431660102 338135798 /nfs/dbraw/zinc/13/57/98/338135798.db2.gz LZEVHPSALIYLCL-JXFKEZNVSA-N 0 3 324.468 4.119 20 0 DIADHN CCc1ccc([C@@H](C)NC(=O)C[C@H](c2ccccc2)N(C)C)cc1 ZINC000431660104 338135819 /nfs/dbraw/zinc/13/58/19/338135819.db2.gz LZEVHPSALIYLCL-OXQOHEQNSA-N 0 3 324.468 4.119 20 0 DIADHN Clc1scc(CN[C@@H]2CCN(C3CCCC3)C2)c1Cl ZINC000432241925 338150142 /nfs/dbraw/zinc/15/01/42/338150142.db2.gz UMQLQFHENFSGBN-LLVKDONJSA-N 0 3 319.301 4.161 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCC2CC(OC(C)(C)C)C2)o1 ZINC000432249187 338150694 /nfs/dbraw/zinc/15/06/94/338150694.db2.gz DFJNIAABLSMPJN-DXCHVLGVSA-N 0 3 305.462 4.476 20 0 DIADHN CC(C)C[C@H](CCO)CNCc1csc(Cl)c1Cl ZINC000432250822 338150911 /nfs/dbraw/zinc/15/09/11/338150911.db2.gz NGSBVIQBAISQHW-JTQLQIEISA-N 0 3 310.290 4.189 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2csc(Cl)c2Cl)CCO1 ZINC000432253762 338151177 /nfs/dbraw/zinc/15/11/77/338151177.db2.gz LGPBPUPFDSEUPF-NXEZZACHSA-N 0 3 308.274 4.350 20 0 DIADHN OCCC1(NCc2csc(Cl)c2Cl)CCCCC1 ZINC000432253387 338151227 /nfs/dbraw/zinc/15/12/27/338151227.db2.gz MVYUOQGSLYHLSX-UHFFFAOYSA-N 0 3 308.274 4.230 20 0 DIADHN CC(C)[C@H](NCc1ccc(F)c(Cl)c1Cl)C(C)(C)O ZINC000432256403 338151334 /nfs/dbraw/zinc/15/13/34/338151334.db2.gz CINVYSGPYNXBKG-ZDUSSCGKSA-N 0 3 308.224 4.018 20 0 DIADHN Cc1nc(CCNCc2csc(Cl)c2Cl)sc1C ZINC000432254709 338151455 /nfs/dbraw/zinc/15/14/55/338151455.db2.gz VENHYKYKBONPOH-UHFFFAOYSA-N 0 3 321.298 4.461 20 0 DIADHN CCOCCC1(CNCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000432255978 338151496 /nfs/dbraw/zinc/15/14/96/338151496.db2.gz FGESIDKCXYACPE-UHFFFAOYSA-N 0 3 320.235 4.429 20 0 DIADHN C[C@@]1(NCc2ccc(F)c(Cl)c2Cl)CCO[C@@H]1C1CC1 ZINC000432258262 338151565 /nfs/dbraw/zinc/15/15/65/338151565.db2.gz YZBYTUKXKGYCFD-HUUCEWRRSA-N 0 3 318.219 4.180 20 0 DIADHN Fc1ccc(CNCC[C@H]2CCCCO2)c(Cl)c1Cl ZINC000432257619 338151578 /nfs/dbraw/zinc/15/15/78/338151578.db2.gz KTWANVADWNXJPS-LLVKDONJSA-N 0 3 306.208 4.181 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]([C@H]3CCOC3)C2)s1 ZINC000432722317 338164168 /nfs/dbraw/zinc/16/41/68/338164168.db2.gz KPCQPCHWFLVGSG-NEPJUHHUSA-N 0 3 319.392 4.015 20 0 DIADHN Fc1ccc([C@H]2CCCCN2CCC2CCOCC2)c(F)c1 ZINC000432775899 338167258 /nfs/dbraw/zinc/16/72/58/338167258.db2.gz XSCBZDDKRHNYFB-GOSISDBHSA-N 0 3 309.400 4.309 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H](c2cccs2)C2CCCC2)n[nH]1 ZINC000432783922 338167390 /nfs/dbraw/zinc/16/73/90/338167390.db2.gz LWGKCFSNKGDSRP-OAHLLOKOSA-N 0 3 318.490 4.185 20 0 DIADHN CC1(CN2CCCC[C@@H]2c2nc(-c3ccccc3)no2)CCC1 ZINC000432817724 338168941 /nfs/dbraw/zinc/16/89/41/338168941.db2.gz BORASUULXGCCDD-MRXNPFEDSA-N 0 3 311.429 4.454 20 0 DIADHN CC(C)[C@H](O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000432833521 338170003 /nfs/dbraw/zinc/17/00/03/338170003.db2.gz WWXDRLSPNDOCJQ-HZPDHXFCSA-N 0 3 315.379 4.249 20 0 DIADHN Cc1cccc([C@H](NCc2n[nH]c(C(C)(C)C)n2)C(C)(C)C)c1 ZINC000432850758 338170767 /nfs/dbraw/zinc/17/07/67/338170767.db2.gz LFAQNPBOPFNUPA-INIZCTEOSA-N 0 3 314.477 4.288 20 0 DIADHN COC(=O)c1cc([C@@H](C)N(C)C[C@@H](C)c2ccccc2)oc1C ZINC000432944856 338174539 /nfs/dbraw/zinc/17/45/39/338174539.db2.gz VLZDOYGBGFDRAR-ZIAGYGMSSA-N 0 3 315.413 4.171 20 0 DIADHN FC(F)n1c2ccccc2nc1CN[C@H]1CC2CCC1CC2 ZINC000432997074 338175627 /nfs/dbraw/zinc/17/56/27/338175627.db2.gz JZCGHFVMNAAHDO-YIZWMMSDSA-N 0 3 305.372 4.100 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@H](C)c2cc3cc(C)c(C)cc3o2)C1 ZINC000433008536 338176056 /nfs/dbraw/zinc/17/60/56/338176056.db2.gz YVZWCCJFXMQANA-IJEWVQPXSA-N 0 3 315.413 4.042 20 0 DIADHN CSC1(CN[C@H]2CCCOc3ccc(Cl)cc32)CCC1 ZINC000433063557 338179613 /nfs/dbraw/zinc/17/96/13/338179613.db2.gz SYXWTJVOFCSBFX-AWEZNQCLSA-N 0 3 311.878 4.429 20 0 DIADHN CCn1cccc(CN[C@H](c2ccccc2)C2CCCCC2)c1=O ZINC000433070118 338180051 /nfs/dbraw/zinc/18/00/51/338180051.db2.gz WZQZTXYTGFMSGR-HXUWFJFHSA-N 0 3 324.468 4.279 20 0 DIADHN CCC[C@H](NCc1cccn(CC)c1=O)c1ccc(Cl)cc1 ZINC000433076497 338180405 /nfs/dbraw/zinc/18/04/05/338180405.db2.gz OIYODDJHYPCOMX-KRWDZBQOSA-N 0 3 318.848 4.153 20 0 DIADHN C[C@H](O)CCCN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000433076625 338180596 /nfs/dbraw/zinc/18/05/96/338180596.db2.gz KZODIXHCABVXBG-UGSOOPFHSA-N 0 3 321.823 4.319 20 0 DIADHN CC[C@H](NCC1(SC)CC1)c1ccccc1Br ZINC000433081323 338180752 /nfs/dbraw/zinc/18/07/52/338180752.db2.gz GTDYVOIAKBJGSU-ZDUSSCGKSA-N 0 3 314.292 4.385 20 0 DIADHN Cc1ccc(C2(CN[C@H](c3nccn3C)C3CC3)CCC2)cc1C ZINC000433082010 338180793 /nfs/dbraw/zinc/18/07/93/338180793.db2.gz IKBXJQHYBANCIF-IBGZPJMESA-N 0 3 323.484 4.200 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433089955 338181168 /nfs/dbraw/zinc/18/11/68/338181168.db2.gz GWEBRSYXMRVABF-MSOLQXFVSA-N 0 3 323.484 4.211 20 0 DIADHN CCn1cccc(CN[C@@H](c2ccc(C)cc2)C2CCCC2)c1=O ZINC000433100797 338181712 /nfs/dbraw/zinc/18/17/12/338181712.db2.gz NHHUQHAYFUNXTI-HXUWFJFHSA-N 0 3 324.468 4.198 20 0 DIADHN CN(C)[C@@H](CC(=O)Nc1ccc(Cl)cc1F)c1ccccc1 ZINC000433103971 338181882 /nfs/dbraw/zinc/18/18/82/338181882.db2.gz KQLNLHJYHXXEFY-INIZCTEOSA-N 0 3 320.795 4.111 20 0 DIADHN Cc1ccc(NC(=O)C[C@H](c2ccccc2)N(C)C)c(Cl)c1 ZINC000433102025 338181981 /nfs/dbraw/zinc/18/19/81/338181981.db2.gz FZFYGZIQTQPWOO-QGZVFWFLSA-N 0 3 316.832 4.280 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)c(C)c1 ZINC000433114218 338182238 /nfs/dbraw/zinc/18/22/38/338182238.db2.gz GMASTJKSQOPBAD-SFHVURJKSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H]1CCCCN1C1CCCC1 ZINC000433121948 338182339 /nfs/dbraw/zinc/18/23/39/338182339.db2.gz TYQMNVQXMSPOIC-KRWDZBQOSA-N 0 3 320.864 4.384 20 0 DIADHN Cc1cnc(C2CCN(CCc3ccc(C)cc3)CC2)s1 ZINC000433151850 338183196 /nfs/dbraw/zinc/18/31/96/338183196.db2.gz PFOVHANRNXOIAB-UHFFFAOYSA-N 0 3 300.471 4.182 20 0 DIADHN c1c2ccccc2oc1CNC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433172950 338184037 /nfs/dbraw/zinc/18/40/37/338184037.db2.gz GUYQOCLZLFPUIG-KRWDZBQOSA-N 0 3 320.436 4.439 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3ccsc3Cl)cccc21 ZINC000433180763 338184364 /nfs/dbraw/zinc/18/43/64/338184364.db2.gz IJWXEKCDTMJIDR-CQSZACIVSA-N 0 3 322.861 4.399 20 0 DIADHN Cc1cnc(C2CCN(CCCc3ccsc3)CC2)s1 ZINC000433179808 338184435 /nfs/dbraw/zinc/18/44/35/338184435.db2.gz IFTCLVWFNYAMOP-UHFFFAOYSA-N 0 3 306.500 4.325 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3ccc(Cl)s3)cccc21 ZINC000433187008 338184780 /nfs/dbraw/zinc/18/47/80/338184780.db2.gz MLGULZHXGNZFNT-CQSZACIVSA-N 0 3 322.861 4.399 20 0 DIADHN OCC1(CNCc2c(Cl)oc3ccccc32)CC2(CCC2)C1 ZINC000433189312 338184851 /nfs/dbraw/zinc/18/48/51/338184851.db2.gz CHLPILUJXSYRIZ-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN Cc1ccccc1C[C@H](C)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000433193825 338185101 /nfs/dbraw/zinc/18/51/01/338185101.db2.gz SLWPUCBWOAEPDJ-OXJNMPFZSA-N 0 3 324.468 4.423 20 0 DIADHN C[C@H](CNCc1cc(F)c(Cl)cc1F)CC1CCOCC1 ZINC000433196065 338185210 /nfs/dbraw/zinc/18/52/10/338185210.db2.gz FWBGMYDKAOGARO-NSHDSACASA-N 0 3 317.807 4.161 20 0 DIADHN Clc1ccccc1CNC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433197434 338185338 /nfs/dbraw/zinc/18/53/38/338185338.db2.gz AURUAUCMURNARF-INIZCTEOSA-N 0 3 314.860 4.346 20 0 DIADHN Cc1ccc(F)c(CNC[C@H]2CCCN(c3ccccc3)C2)c1 ZINC000433199698 338185507 /nfs/dbraw/zinc/18/55/07/338185507.db2.gz MSKGZSFRDIEBIY-QGZVFWFLSA-N 0 3 312.432 4.140 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCCN(c3ccccc3)C2)o1 ZINC000433202107 338185521 /nfs/dbraw/zinc/18/55/21/338185521.db2.gz OOFXRCPFSKFKQA-FUHIMQAGSA-N 0 3 324.468 4.409 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@H]3N(C)C)s1 ZINC000433203143 338185668 /nfs/dbraw/zinc/18/56/68/338185668.db2.gz AOLCBHBDGFEKHN-MRXNPFEDSA-N 0 3 302.443 4.054 20 0 DIADHN OCC1(CNCc2cc(Cl)cs2)CC(c2ccccc2)C1 ZINC000433207436 338185846 /nfs/dbraw/zinc/18/58/46/338185846.db2.gz QPMLHGVFHMUAGK-UHFFFAOYSA-N 0 3 321.873 4.047 20 0 DIADHN CC(C)[C@H](NC(=O)C[C@@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000433217020 338186173 /nfs/dbraw/zinc/18/61/73/338186173.db2.gz AZLDPJUJAVQTDZ-FPOVZHCZSA-N 0 3 324.468 4.193 20 0 DIADHN CN(C)[C@@H](CC(=O)Nc1cccc2ccccc21)c1ccccc1 ZINC000433219581 338186347 /nfs/dbraw/zinc/18/63/47/338186347.db2.gz JXXZJOTYIIPEHB-FQEVSTJZSA-N 0 3 318.420 4.471 20 0 DIADHN Cc1nc(CCN2CC[C@H](c3cccc(Cl)c3)C2)cs1 ZINC000433297564 338190103 /nfs/dbraw/zinc/19/01/03/338190103.db2.gz LPHXZEVNMJKPAD-AWEZNQCLSA-N 0 3 306.862 4.137 20 0 DIADHN CN(C)[C@H](CC(=O)Nc1ccc(Cl)c(F)c1)c1ccccc1 ZINC000433301440 338190459 /nfs/dbraw/zinc/19/04/59/338190459.db2.gz GCAFXHTWDMAXEX-MRXNPFEDSA-N 0 3 320.795 4.111 20 0 DIADHN c1cc(-c2ccc(CNCCc3ccc4ccccc4c3)o2)n[nH]1 ZINC000433304970 338190614 /nfs/dbraw/zinc/19/06/14/338190614.db2.gz OMBXERAALDLGIY-UHFFFAOYSA-N 0 3 317.392 4.155 20 0 DIADHN CC(C)(CNCc1ncc(C(F)(F)F)s1)C1CCCC1 ZINC000433305061 338190796 /nfs/dbraw/zinc/19/07/96/338190796.db2.gz MCIJJHZGXLQRBI-UHFFFAOYSA-N 0 3 306.397 4.468 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2ncc(C(F)(F)F)s2)C1 ZINC000433312434 338191534 /nfs/dbraw/zinc/19/15/34/338191534.db2.gz QRZBUZKIRQBJJL-MNOVXSKESA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433324819 338192502 /nfs/dbraw/zinc/19/25/02/338192502.db2.gz FUINGFITILKGQS-WDEREUQCSA-N 0 3 306.397 4.466 20 0 DIADHN CC[C@H](C)[C@H](C)N(C(=O)C[C@@H](c1ccccc1)N(C)C)C1CC1 ZINC000433363025 338193825 /nfs/dbraw/zinc/19/38/25/338193825.db2.gz QKQIXRHKVZOQDM-BXWFABGCSA-N 0 3 316.489 4.105 20 0 DIADHN CC(C)CC1(CNC(=O)c2ccccc2CN(C)C)CCCC1 ZINC000433370654 338193991 /nfs/dbraw/zinc/19/39/91/338193991.db2.gz IIZZGMPGOQICFN-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(F)c(OC)c2)cc1F ZINC000152658539 338209574 /nfs/dbraw/zinc/20/95/74/338209574.db2.gz RYQOZTVDSOEASO-NWDGAFQWSA-N 0 3 321.367 4.394 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(F)c(OC)c2)cc1F ZINC000152658453 338209647 /nfs/dbraw/zinc/20/96/47/338209647.db2.gz RYQOZTVDSOEASO-RYUDHWBXSA-N 0 3 321.367 4.394 20 0 DIADHN Cc1cccc(CN(C)CCc2cccc(Cl)c2Cl)n1 ZINC000434475487 338216945 /nfs/dbraw/zinc/21/69/45/338216945.db2.gz ZMNAVRCKKJVOIO-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COC[C@H]1CCCCN(CCc2cccc(Cl)c2Cl)C1 ZINC000434485388 338217748 /nfs/dbraw/zinc/21/77/48/338217748.db2.gz UPVUCHZJQDXZAC-ZDUSSCGKSA-N 0 3 316.272 4.284 20 0 DIADHN Cc1ccn(C2CCN(Cc3csc4ccccc34)CC2)n1 ZINC000434503144 338218886 /nfs/dbraw/zinc/21/88/86/338218886.db2.gz NIFMZKLEPPATCP-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN Cc1nn(C)c(C)c1CN(C)[C@H](c1ccc(F)cc1)C(C)C ZINC000434624744 338221755 /nfs/dbraw/zinc/22/17/55/338221755.db2.gz RPWQXGAOLDEFAV-SFHVURJKSA-N 0 3 303.425 4.005 20 0 DIADHN CCc1ccc(CN2CCC(c3ncc4n3CCCC4)CC2)cc1 ZINC000434688494 338223584 /nfs/dbraw/zinc/22/35/84/338223584.db2.gz MVKMDUQAGGKTMC-UHFFFAOYSA-N 0 3 323.484 4.161 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(F)c(OC)c2)cc1 ZINC000153380744 338223717 /nfs/dbraw/zinc/22/37/17/338223717.db2.gz GOOPDKLTSFISEA-QWHCGFSZSA-N 0 3 303.377 4.255 20 0 DIADHN Cc1ccc([C@@]2(C)CCN(Cc3ccncc3Cl)C2)cc1 ZINC000434737221 338224264 /nfs/dbraw/zinc/22/42/64/338224264.db2.gz OSRKTYLSXCWZIE-SFHVURJKSA-N 0 3 300.833 4.207 20 0 DIADHN COc1ccc2nc(CNC3CCC4(CC4)CC3)sc2c1 ZINC000434780617 338225533 /nfs/dbraw/zinc/22/55/33/338225533.db2.gz YZONTFUBVBAHRR-UHFFFAOYSA-N 0 3 302.443 4.117 20 0 DIADHN CCn1nccc1C1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC000434789713 338225611 /nfs/dbraw/zinc/22/56/11/338225611.db2.gz NMKHRUJYQDMLFY-CQSZACIVSA-N 0 3 317.864 4.497 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1nc(-c2ccccc2)no1 ZINC000434900213 338227334 /nfs/dbraw/zinc/22/73/34/338227334.db2.gz CKXJRDQSYWEDEE-QAPCUYQASA-N 0 3 319.408 4.115 20 0 DIADHN CN(CCOc1ccccc1)Cc1cccc(-c2cccnc2)c1 ZINC000434990110 338229158 /nfs/dbraw/zinc/22/91/58/338229158.db2.gz FOPVTOUOBSXABJ-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCc4ccccc4C3)cccc21 ZINC000435023567 338229710 /nfs/dbraw/zinc/22/97/10/338229710.db2.gz LNOPJMJEQBPKAT-YLJYHZDGSA-N 0 3 322.452 4.041 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCc4ccccc4C3)cccc21 ZINC000435023564 338229761 /nfs/dbraw/zinc/22/97/61/338229761.db2.gz LNOPJMJEQBPKAT-FXAWDEMLSA-N 0 3 322.452 4.041 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCC[C@H](C4CC4)C3)cccc21 ZINC000435025693 338229933 /nfs/dbraw/zinc/22/99/33/338229933.db2.gz JVHGIUHIPHUKAJ-TXPKVOOTSA-N 0 3 314.473 4.453 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H]1Nc1cccc2c1OCC[C@H]2N(C)C ZINC000435028486 338230057 /nfs/dbraw/zinc/23/00/57/338230057.db2.gz QNJUZJQOIKLBGI-QILLFSRXSA-N 0 3 302.462 4.308 20 0 DIADHN C[C@@H](CCC(F)(F)F)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000435029248 338230080 /nfs/dbraw/zinc/23/00/80/338230080.db2.gz UAZDFGVDBAVRNW-FZMZJTMJSA-N 0 3 316.367 4.215 20 0 DIADHN CC(=O)Nc1ccccc1CN1CC[C@@](C)(c2ccc(C)cc2)C1 ZINC000435033482 338230139 /nfs/dbraw/zinc/23/01/39/338230139.db2.gz ASRQYVCWMDCJAD-OAQYLSRUSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1nc2ccc(CN3CCSC(C)(C)CC3)cc2s1 ZINC000435042493 338230631 /nfs/dbraw/zinc/23/06/31/338230631.db2.gz UYPLYYKEIQHFAD-UHFFFAOYSA-N 0 3 306.500 4.322 20 0 DIADHN CCN(Cc1ccc(-c2cc[nH]n2)o1)[C@@H](C)c1ccc(F)cc1 ZINC000435124542 338232514 /nfs/dbraw/zinc/23/25/14/338232514.db2.gz QJBIGZKITHIQKC-ZDUSSCGKSA-N 0 3 313.376 4.392 20 0 DIADHN c1ccc2c(c1)CCN(Cc1cccc(-c3ccncc3)c1)C2 ZINC000435238316 338234971 /nfs/dbraw/zinc/23/49/71/338234971.db2.gz QMOHSDYOJXQBCF-UHFFFAOYSA-N 0 3 300.405 4.307 20 0 DIADHN COc1ccc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)cc1O ZINC000435275086 338236167 /nfs/dbraw/zinc/23/61/67/338236167.db2.gz OOMXOVFPQRHDHR-LJQANCHMSA-N 0 3 317.404 4.369 20 0 DIADHN CSc1ccc(CCNCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC000435734098 338246790 /nfs/dbraw/zinc/24/67/90/338246790.db2.gz FCTUXGPDSZNVKB-UHFFFAOYSA-N 0 3 323.465 4.131 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3cnn4ccccc34)C2)c1 ZINC000435736568 338246905 /nfs/dbraw/zinc/24/69/05/338246905.db2.gz XYMQCWWMRDVZML-CZIWCDLHSA-N 0 3 311.816 4.024 20 0 DIADHN CSc1ccc(CCNCc2cnc(C(C)(C)C)s2)cc1 ZINC000435741270 338247203 /nfs/dbraw/zinc/24/72/03/338247203.db2.gz IKXWOOWNMIGTNA-UHFFFAOYSA-N 0 3 320.527 4.495 20 0 DIADHN Fc1cc(F)cc([C@H]2C[C@H](NCc3ccc(F)c(F)c3)C2)c1 ZINC000435749102 338247848 /nfs/dbraw/zinc/24/78/48/338247848.db2.gz REXLUVLFAOGOFL-NNUKFRKNSA-N 0 3 309.306 4.279 20 0 DIADHN CSc1ccc(CCNCc2csc(C3CC3)n2)cc1 ZINC000435747738 338247850 /nfs/dbraw/zinc/24/78/50/338247850.db2.gz KJGGFRIAIMWVKQ-UHFFFAOYSA-N 0 3 304.484 4.075 20 0 DIADHN CC(C)Cn1cc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)cn1 ZINC000435781498 338249000 /nfs/dbraw/zinc/24/90/00/338249000.db2.gz KGCSXZYYIROHMH-SAABIXHNSA-N 0 3 317.864 4.228 20 0 DIADHN CC(C)(C)c1ncc(CN[C@H]2C[C@H](c3ccccc3)C2)s1 ZINC000435787367 338249160 /nfs/dbraw/zinc/24/91/60/338249160.db2.gz MKIRQZGUYGIELQ-SHTZXODSSA-N 0 3 300.471 4.476 20 0 DIADHN CCn1nc(CN[C@H]2C[C@H](c3ccccc3F)C2)c2ccccc21 ZINC000435786099 338249176 /nfs/dbraw/zinc/24/91/76/338249176.db2.gz RUGPUYYJCVKKCY-SHTZXODSSA-N 0 3 323.415 4.231 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2cc(F)c(F)c(F)c2)C1 ZINC000435790227 338249460 /nfs/dbraw/zinc/24/94/60/338249460.db2.gz KOSOEKAJZHFSKQ-HAQNSBGRSA-N 0 3 309.306 4.279 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2c[nH]nc2C(C)(C)C)cc1 ZINC000155144246 338253517 /nfs/dbraw/zinc/25/35/17/338253517.db2.gz LRSOXEWRFQMMKL-KRWDZBQOSA-N 0 3 313.445 4.053 20 0 DIADHN CC(C(=O)N(C)c1nc2cc(Cl)ccc2s1)C(F)(F)F ZINC000435977856 338254853 /nfs/dbraw/zinc/25/48/53/338254853.db2.gz HDRBBFYZFHWQHN-LURJTMIESA-N 0 3 322.739 4.111 20 0 DIADHN CCCCSc1nnc(SCCCN2CCCC2)s1 ZINC000436129966 338259161 /nfs/dbraw/zinc/25/91/61/338259161.db2.gz JYDVTJCBUVNURE-UHFFFAOYSA-N 0 3 317.549 4.008 20 0 DIADHN Oc1ccc(CNCc2cc(Cl)cc(C(F)(F)F)c2)cc1 ZINC000436235572 338262868 /nfs/dbraw/zinc/26/28/68/338262868.db2.gz AFLFANKZGMBCPY-UHFFFAOYSA-N 0 3 315.722 4.354 20 0 DIADHN Fc1cccc2[nH]c(CNCc3nccc4ccccc43)cc21 ZINC000436241635 338263111 /nfs/dbraw/zinc/26/31/11/338263111.db2.gz RFBICYUGKYPPDM-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CC(C)(NCc1cc2c(cccc2F)[nH]1)c1cccc(F)c1 ZINC000436244567 338263236 /nfs/dbraw/zinc/26/32/36/338263236.db2.gz KFITWCJIVJNQRV-UHFFFAOYSA-N 0 3 300.352 4.471 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CC[C@H](c4ccccc4)C3)nc2c1 ZINC000436253647 338263705 /nfs/dbraw/zinc/26/37/05/338263705.db2.gz PVSZCNKZXQBUDD-DLBZAZTESA-N 0 3 305.425 4.297 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CC[C@H](c4ccccc4)C3)[nH]c2c1 ZINC000436253647 338263706 /nfs/dbraw/zinc/26/37/06/338263706.db2.gz PVSZCNKZXQBUDD-DLBZAZTESA-N 0 3 305.425 4.297 20 0 DIADHN Cc1cccn2cc(CN[C@H]3CC[C@H](c4ccccc4)C3)nc12 ZINC000436253923 338263880 /nfs/dbraw/zinc/26/38/80/338263880.db2.gz RIUQPCFXIDPXHG-ROUUACIJSA-N 0 3 305.425 4.069 20 0 DIADHN Fc1ccc(Cn2cc(CNCCC3=CCCCC3)cn2)cc1 ZINC000436268660 338264677 /nfs/dbraw/zinc/26/46/77/338264677.db2.gz HSSGCLQGFVSAMM-UHFFFAOYSA-N 0 3 313.420 4.051 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1ccc(F)cn1 ZINC000436279409 338265296 /nfs/dbraw/zinc/26/52/96/338265296.db2.gz BCKIOWHFMVRBHE-JDFRZJQESA-N 0 3 302.368 4.347 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1ccc(Cl)cn1 ZINC000436280399 338265468 /nfs/dbraw/zinc/26/54/68/338265468.db2.gz DAZFMNBVWICHCQ-OBJOEFQTSA-N 0 3 304.796 4.471 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000436282553 338265694 /nfs/dbraw/zinc/26/56/94/338265694.db2.gz RNKHYPYTYJVHBR-LJQANCHMSA-N 0 3 324.399 4.483 20 0 DIADHN Cc1ccc(NCc2cc3c(cccc3F)[nH]2)c(CN(C)C)c1 ZINC000436285716 338266047 /nfs/dbraw/zinc/26/60/47/338266047.db2.gz PNWMTQXATAXQGF-UHFFFAOYSA-N 0 3 311.404 4.289 20 0 DIADHN CC1(C)CCc2ccccc2[C@H](NCc2cccc(CO)c2)C1 ZINC000436287018 338266241 /nfs/dbraw/zinc/26/62/41/338266241.db2.gz RVIZYEIHKNJYMN-HXUWFJFHSA-N 0 3 309.453 4.372 20 0 DIADHN CC[C@H](NCCc1ccc(SC)cc1)c1ccc(F)cn1 ZINC000436296051 338267103 /nfs/dbraw/zinc/26/71/03/338267103.db2.gz QUDMTBUJIHBVNT-INIZCTEOSA-N 0 3 304.434 4.226 20 0 DIADHN CCn1cccc(CN[C@@H]2CC(C)(C)CCc3ccccc32)c1=O ZINC000436296074 338267162 /nfs/dbraw/zinc/26/71/62/338267162.db2.gz QXXQNDFKUQIFSL-LJQANCHMSA-N 0 3 324.468 4.062 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1C[C@H](c3ccccc3)C1)CCCO2 ZINC000436297896 338267250 /nfs/dbraw/zinc/26/72/50/338267250.db2.gz XFVMQUJSQFWHIF-ZWOKBUDYSA-N 0 3 323.436 4.445 20 0 DIADHN C[C@]1(CNCc2cc(Cl)cc(C(F)(F)F)c2)CCCO1 ZINC000436299128 338267444 /nfs/dbraw/zinc/26/74/44/338267444.db2.gz IYSIYZQNWDEWCP-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000436300400 338267575 /nfs/dbraw/zinc/26/75/75/338267575.db2.gz MBADEJRNQMRFDU-IRXDYDNUSA-N 0 3 312.457 4.300 20 0 DIADHN CC(C)[C@@H](NCC1([S@@](C)=O)CCC1)c1cc2ccccc2o1 ZINC000436313797 338268682 /nfs/dbraw/zinc/26/86/82/338268682.db2.gz XQOZXGHTXVKDRQ-VGOFRKELSA-N 0 3 319.470 4.021 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1nc2ccccc2n1C ZINC000436313506 338268716 /nfs/dbraw/zinc/26/87/16/338268716.db2.gz WTDPQINEDWREKC-QRTARXTBSA-N 0 3 323.415 4.309 20 0 DIADHN C[C@@]1(NCc2cc(Cl)cc(C(F)(F)F)c2)CCCOC1 ZINC000436400442 338270814 /nfs/dbraw/zinc/27/08/14/338270814.db2.gz KCFFMDJJGXIGRT-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN Cc1ccoc1CNCCc1cn(C)c2cc(Cl)ccc12 ZINC000436402280 338270903 /nfs/dbraw/zinc/27/09/03/338270903.db2.gz VFPQVRLTQYZXAZ-UHFFFAOYSA-N 0 3 302.805 4.065 20 0 DIADHN C[C@H](NCc1cc(Cl)cc(C(F)(F)F)c1)[C@H]1CCCCO1 ZINC000436403406 338270939 /nfs/dbraw/zinc/27/09/39/338270939.db2.gz ANVYZIUIYFWJCY-IINYFYTJSA-N 0 3 321.770 4.406 20 0 DIADHN Clc1ccc(CN[C@@H]2CC[C@@H](Cc3ccccc3)C2)nc1 ZINC000436404866 338271083 /nfs/dbraw/zinc/27/10/83/338271083.db2.gz ATGAMZGZLLYGGS-DOTOQJQBSA-N 0 3 300.833 4.236 20 0 DIADHN CCOC(C)(C)CNCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000436407027 338271256 /nfs/dbraw/zinc/27/12/56/338271256.db2.gz DONXTYQHKBFTHQ-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CC[C@H](c4ccccc4)C3)nc21 ZINC000436412127 338271565 /nfs/dbraw/zinc/27/15/65/338271565.db2.gz MYHLIQOPQLMKMN-DLBZAZTESA-N 0 3 305.425 4.297 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CN[C@H]2CCO[C@@H]2C2CC2)c1 ZINC000436412652 338271702 /nfs/dbraw/zinc/27/17/02/338271702.db2.gz OCZILOYHGBGBOI-UONOGXRCSA-N 0 3 319.754 4.016 20 0 DIADHN CC[C@@H](CO)NCc1ccccc1Oc1ccc(F)cc1Cl ZINC000436419690 338272108 /nfs/dbraw/zinc/27/21/08/338272108.db2.gz ZMRYVWBIKKNOIU-AWEZNQCLSA-N 0 3 323.795 4.132 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@@H](C)C(F)(F)F)CCCS2 ZINC000437009992 338297631 /nfs/dbraw/zinc/29/76/31/338297631.db2.gz AKXASJZMCFPOLF-ZWNOBZJWSA-N 0 3 319.392 4.410 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccsc1)c1cc2ccccc2s1 ZINC000437031005 338298543 /nfs/dbraw/zinc/29/85/43/338298543.db2.gz VIMGBIDKSMENMD-BXUZGUMPSA-N 0 3 303.452 4.347 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC[C@H](C)C2)nnn1-c1ccc(Cl)cc1 ZINC000437047831 338298770 /nfs/dbraw/zinc/29/87/70/338298770.db2.gz XPRPLIAPHUSOAG-HUBLWGQQSA-N 0 3 318.852 4.068 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCOc2c(F)cccc21 ZINC000437080276 338299696 /nfs/dbraw/zinc/29/96/96/338299696.db2.gz DQZMEZDKTZXYFF-YVEFUNNKSA-N 0 3 303.352 4.009 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC(C)(C)OCC)oc21 ZINC000437091270 338300281 /nfs/dbraw/zinc/30/02/81/338300281.db2.gz CDIIRXINIKHELF-CYBMUJFWSA-N 0 3 305.418 4.297 20 0 DIADHN CC(C)C(C)(C)CN[C@@H](C)c1ncc(Br)cc1F ZINC000437093019 338300460 /nfs/dbraw/zinc/30/04/60/338300460.db2.gz FNVXRQSAIQNCIA-JTQLQIEISA-N 0 3 317.246 4.316 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](CC(F)(F)F)C(C)C)CCC2 ZINC000437094179 338300598 /nfs/dbraw/zinc/30/05/98/338300598.db2.gz HTGXARVCRQPPQL-VXGBXAGGSA-N 0 3 306.397 4.396 20 0 DIADHN Fc1cccc2c1OCC[C@H]2N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000437096163 338300613 /nfs/dbraw/zinc/30/06/13/338300613.db2.gz KUMNFSJPPCAYAU-RHSMWYFYSA-N 0 3 317.791 4.060 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)c(OC)c1 ZINC000437100653 338300889 /nfs/dbraw/zinc/30/08/89/338300889.db2.gz BOBPTYRJYUDVHA-SMDDNHRTSA-N 0 3 319.367 4.331 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](CC(F)(F)F)C(C)C ZINC000437101536 338301045 /nfs/dbraw/zinc/30/10/45/338301045.db2.gz WCYMDIHIMMHYDF-UONOGXRCSA-N 0 3 301.352 4.249 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000437105272 338301245 /nfs/dbraw/zinc/30/12/45/338301245.db2.gz RQXRYKTZJLYOFG-YLTSQODRSA-N 0 3 321.408 4.052 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H](C)CC(C)(C)c1ccccc1 ZINC000437106330 338301285 /nfs/dbraw/zinc/30/12/85/338301285.db2.gz GYLVDUCBVWBBPK-CVEARBPZSA-N 0 3 312.457 4.497 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H](CC(F)(F)F)C(C)C)c1 ZINC000437109985 338301466 /nfs/dbraw/zinc/30/14/66/338301466.db2.gz KNYZPWNPRGNFOL-SMDDNHRTSA-N 0 3 319.367 4.331 20 0 DIADHN c1c(CN2CCS[C@H]3CCCC[C@H]32)onc1-c1ccccc1 ZINC000437523960 338312528 /nfs/dbraw/zinc/31/25/28/338312528.db2.gz IXIBRCMXTJESTE-MSOLQXFVSA-N 0 3 314.454 4.202 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCCCOc2ccccc2)on1 ZINC000437584381 338314909 /nfs/dbraw/zinc/31/49/09/338314909.db2.gz VWMBBVTZXXVAOT-GOSISDBHSA-N 0 3 314.429 4.369 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1c[nH]nc1-c1ccsc1 ZINC000437593204 338315602 /nfs/dbraw/zinc/31/56/02/338315602.db2.gz UALIXYCMQRSSMY-LLVKDONJSA-N 0 3 303.456 4.393 20 0 DIADHN c1cc(-c2n[nH]cc2CN(Cc2ccccc2)C2CC2)cs1 ZINC000437600830 338315866 /nfs/dbraw/zinc/31/58/66/338315866.db2.gz KHHCMSZXSXKEES-UHFFFAOYSA-N 0 3 309.438 4.303 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CC1(O)CCC(C)CC1 ZINC000437640384 338316923 /nfs/dbraw/zinc/31/69/23/338316923.db2.gz LVYVJKROHSUNPH-TXKKKEFDSA-N 0 3 318.486 4.230 20 0 DIADHN C[C@H](CN(Cc1ccco1)C[C@H]1CCCO1)c1ccc(F)cc1 ZINC000437687262 338318324 /nfs/dbraw/zinc/31/83/24/338318324.db2.gz KBOYMSFXCKDHOJ-DNVCBOLYSA-N 0 3 317.404 4.203 20 0 DIADHN COCC[C@H](N[C@H](C)Cc1ccccc1F)c1ccc(C)o1 ZINC000437784967 338321427 /nfs/dbraw/zinc/32/14/27/338321427.db2.gz DRVPFHUXYWVKHN-DYVFJYSZSA-N 0 3 305.393 4.026 20 0 DIADHN COCC[C@@H](N[C@H](C)Cc1ccccc1F)c1ccc(C)o1 ZINC000437784964 338321448 /nfs/dbraw/zinc/32/14/48/338321448.db2.gz DRVPFHUXYWVKHN-CXAGYDPISA-N 0 3 305.393 4.026 20 0 DIADHN COCC[C@H](NC[C@H](C)c1ccc(F)cc1)c1ccc(C)o1 ZINC000437787538 338321520 /nfs/dbraw/zinc/32/15/20/338321520.db2.gz LKLPINWLBKYTSX-GUYCJALGSA-N 0 3 305.393 4.198 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](NCc2ccc(Cl)cn2)C1 ZINC000437790903 338321686 /nfs/dbraw/zinc/32/16/86/338321686.db2.gz ZIUFGGULOVWKLE-WMZOPIPTSA-N 0 3 300.833 4.335 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@@H]3Cc3ccccc3)on2)cc1 ZINC000437828276 338322709 /nfs/dbraw/zinc/32/27/09/338322709.db2.gz LLGCHMVQNCODDL-GOSISDBHSA-N 0 3 322.383 4.298 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc3nc(C)ccc3c2)cn1 ZINC000437972944 338326945 /nfs/dbraw/zinc/32/69/45/338326945.db2.gz ILBPHCOMDGRAPP-UHFFFAOYSA-N 0 3 305.425 4.272 20 0 DIADHN Cc1ncsc1CN(C)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000438101275 338331516 /nfs/dbraw/zinc/33/15/16/338331516.db2.gz FSWMEHAUODRNNO-AEFFLSMTSA-N 0 3 300.471 4.394 20 0 DIADHN Fc1ccc(F)c(CN(CC[C@H]2CCOC2)C2CCCC2)c1 ZINC000438104371 338331737 /nfs/dbraw/zinc/33/17/37/338331737.db2.gz XEBYPXJZQPOTRO-AWEZNQCLSA-N 0 3 309.400 4.136 20 0 DIADHN Cc1ccc2cc(CN(C)[C@H](CCO)c3ccccc3)ccc2n1 ZINC000438117406 338332946 /nfs/dbraw/zinc/33/29/46/338332946.db2.gz GAILHGDLJWSNHW-OAQYLSRUSA-N 0 3 320.436 4.099 20 0 DIADHN COc1ccccc1O[C@H](C)CNCc1ccc(Cl)cc1F ZINC000438176164 338334991 /nfs/dbraw/zinc/33/49/91/338334991.db2.gz KBVJCGXJEAMZSR-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3cnn(C)c3)C(C)(C)C)ccc2n1 ZINC000438180812 338335227 /nfs/dbraw/zinc/33/52/27/338335227.db2.gz STPBBWSWLYGJQG-LJQANCHMSA-N 0 3 322.456 4.154 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CCOC2(CCCCC2)C1 ZINC000438187521 338335573 /nfs/dbraw/zinc/33/55/73/338335573.db2.gz ACWQNMACIPMADL-OAHLLOKOSA-N 0 3 311.828 4.451 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCc4c3cccc4F)ccc2n1 ZINC000438199869 338336321 /nfs/dbraw/zinc/33/63/21/338336321.db2.gz JDZQGMXGYROXOS-HXUWFJFHSA-N 0 3 306.384 4.459 20 0 DIADHN CCc1nc(CCNCc2ccc(Cl)cc2F)sc1C ZINC000438211089 338336675 /nfs/dbraw/zinc/33/66/75/338336675.db2.gz QMEZXJQMUVEYHO-UHFFFAOYSA-N 0 3 312.841 4.139 20 0 DIADHN CC(C)(C)c1csc(CNCCCCOc2ccccc2)n1 ZINC000438209029 338336760 /nfs/dbraw/zinc/33/67/60/338336760.db2.gz CCRJCVZYMOUYBY-UHFFFAOYSA-N 0 3 318.486 4.389 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3F)s2)CC[C@@H]1O ZINC000438326909 338339733 /nfs/dbraw/zinc/33/97/33/338339733.db2.gz QAEXARXUZONGDN-KRWDZBQOSA-N 0 3 319.445 4.147 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1cccc2[nH]ccc21 ZINC000438333784 338339866 /nfs/dbraw/zinc/33/98/66/338339866.db2.gz JAEWQHJESBMNNI-UHFFFAOYSA-N 0 3 307.441 4.302 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1cccc2cc[nH]c21 ZINC000438338261 338340233 /nfs/dbraw/zinc/34/02/33/338340233.db2.gz DANSZELOXCZZNY-UHFFFAOYSA-N 0 3 307.441 4.302 20 0 DIADHN Clc1cnc(CN[C@@H]2CC[C@H](Cc3ccccc3)C2)s1 ZINC000438367434 338341690 /nfs/dbraw/zinc/34/16/90/338341690.db2.gz NJUVVUFDAKGAGO-ZIAGYGMSSA-N 0 3 306.862 4.298 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc([C@H]2CCCO2)s1 ZINC000438468196 338344776 /nfs/dbraw/zinc/34/47/76/338344776.db2.gz CSGQTYUCPYVWIE-VXGBXAGGSA-N 0 3 322.396 4.061 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc([C@H]2CCCO2)s1 ZINC000438468193 338344788 /nfs/dbraw/zinc/34/47/88/338344788.db2.gz CSGQTYUCPYVWIE-NWDGAFQWSA-N 0 3 322.396 4.061 20 0 DIADHN COc1ccc(CN[C@@H](CC(F)(F)F)C(C)C)c(Cl)c1 ZINC000438481664 338345413 /nfs/dbraw/zinc/34/54/13/338345413.db2.gz IZXBMATYGMFULT-ZDUSSCGKSA-N 0 3 309.759 4.415 20 0 DIADHN CC[C@H]1CCN([C@@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000438482295 338345634 /nfs/dbraw/zinc/34/56/34/338345634.db2.gz XVQBHUVVWVXCTN-QFBILLFUSA-N 0 3 308.425 4.098 20 0 DIADHN COc1ccnc(CN(C2CC2)[C@H]2CCCc3ccccc32)c1 ZINC000438730998 338351328 /nfs/dbraw/zinc/35/13/28/338351328.db2.gz JOBURFNVXUMMEW-FQEVSTJZSA-N 0 3 308.425 4.132 20 0 DIADHN c1ccc2c(c1)CCc1ccccc1C2N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000439057536 338359831 /nfs/dbraw/zinc/35/98/31/338359831.db2.gz LIJXTNIWLKBOHE-NJDAHSKKSA-N 0 3 319.448 4.032 20 0 DIADHN c1ccc2c(c1)CCc1ccccc1C2N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000439057538 338359844 /nfs/dbraw/zinc/35/98/44/338359844.db2.gz LIJXTNIWLKBOHE-PWRODBHTSA-N 0 3 319.448 4.032 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)[C@H]1CC[C@H](O)CC1 ZINC000439299385 338366422 /nfs/dbraw/zinc/36/64/22/338366422.db2.gz QDRYLIMIPNLDHE-HAQNSBGRSA-N 0 3 309.862 4.290 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CCC[C@H](C(F)(F)F)C1 ZINC000439322070 338367209 /nfs/dbraw/zinc/36/72/09/338367209.db2.gz GHDQWKWYQIYZEK-GJZGRUSLSA-N 0 3 301.352 4.039 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1ccc(-n2cccn2)cc1 ZINC000439474191 338370675 /nfs/dbraw/zinc/37/06/75/338370675.db2.gz XAXYFOBPFDJASM-VWTAQGJOSA-N 0 3 303.409 4.236 20 0 DIADHN CCCCCNCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000439477424 338370907 /nfs/dbraw/zinc/37/09/07/338370907.db2.gz KIOHNDOYFARHFN-MRXNPFEDSA-N 0 3 311.853 4.178 20 0 DIADHN CC(C)CCNCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000439479346 338371022 /nfs/dbraw/zinc/37/10/22/338371022.db2.gz TZWXVWDTEZMHSK-INIZCTEOSA-N 0 3 311.853 4.034 20 0 DIADHN C[C@@H](CCO)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439478455 338371028 /nfs/dbraw/zinc/37/10/28/338371028.db2.gz MZZZLMHBJJUNFC-HNNXBMFYSA-N 0 3 315.457 4.352 20 0 DIADHN CC(C)(O)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439479188 338371114 /nfs/dbraw/zinc/37/11/14/338371114.db2.gz QJAWJNHWBBXLCK-UHFFFAOYSA-N 0 3 301.430 4.105 20 0 DIADHN CN(Cc1cc(C(F)(F)F)ccc1Cl)[C@H]1CC[C@H](O)CC1 ZINC000439782405 338377840 /nfs/dbraw/zinc/37/78/40/338377840.db2.gz QWPCEICHUMOEJG-JOCQHMNTSA-N 0 3 321.770 4.094 20 0 DIADHN CCSCc1cccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC000439813209 338378962 /nfs/dbraw/zinc/37/89/62/338378962.db2.gz YRGHBISAIAFTQL-ZNMIVQPWSA-N 0 3 320.502 4.140 20 0 DIADHN CCSCc1cccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)c1 ZINC000439813206 338379029 /nfs/dbraw/zinc/37/90/29/338379029.db2.gz YRGHBISAIAFTQL-KFWWJZLASA-N 0 3 320.502 4.140 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(OC(C)(C)C)cc1)N1[C@H](C)CC[C@H]1C ZINC000439852950 338379666 /nfs/dbraw/zinc/37/96/66/338379666.db2.gz CTLVYHFMQBABOL-KFWWJZLASA-N 0 3 318.461 4.064 20 0 DIADHN CCSc1cccc(NC(=O)[C@@H]2CCCN2C2CCCC2)c1 ZINC000439964849 338381887 /nfs/dbraw/zinc/38/18/87/338381887.db2.gz DOSJXTQAPOUXGT-KRWDZBQOSA-N 0 3 318.486 4.144 20 0 DIADHN C[C@@H](NCc1cccc(F)c1Br)C1CCCC1 ZINC000156762019 338383218 /nfs/dbraw/zinc/38/32/18/338383218.db2.gz RUEHJXYCCRKAEU-SNVBAGLBSA-N 0 3 300.215 4.257 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCSc1ccc(F)cc1 ZINC000440409868 338387004 /nfs/dbraw/zinc/38/70/04/338387004.db2.gz BLJCSQUTPKNIPH-CYBMUJFWSA-N 0 3 305.418 4.316 20 0 DIADHN COc1cccc(OCCN[C@@H](C)c2ccccc2SC)c1 ZINC000440632437 338393259 /nfs/dbraw/zinc/39/32/59/338393259.db2.gz CENSHNQDDGXXHT-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN CC(C(=O)N(CC(F)F)c1cccc(Cl)c1)C(F)(F)F ZINC000440680668 338395101 /nfs/dbraw/zinc/39/51/01/338395101.db2.gz RTDXAXFMIBXSHJ-ZETCQYMHSA-N 0 3 315.669 4.137 20 0 DIADHN CC[C@@](C)(NCCCOc1c(C)cccc1C)c1nccs1 ZINC000440699753 338395333 /nfs/dbraw/zinc/39/53/33/338395333.db2.gz CZDBCTWLUIYXEA-GOSISDBHSA-N 0 3 318.486 4.444 20 0 DIADHN CC(C(=O)Nc1cccc(CCC(F)(F)F)c1)C(F)(F)F ZINC000440702302 338395526 /nfs/dbraw/zinc/39/55/26/338395526.db2.gz DDIFWULCLBOFQB-QMMMGPOBSA-N 0 3 313.241 4.318 20 0 DIADHN COCc1nc(CN[C@@H]2CC[C@H](C)C2)c(-c2ccccc2)s1 ZINC000441369820 338410968 /nfs/dbraw/zinc/41/09/68/338410968.db2.gz FKEYKCAROCBGJY-DZGCQCFKSA-N 0 3 316.470 4.235 20 0 DIADHN CCCCC/C(=C\c1ccccc1)CNCC1([S@@](C)=O)CC1 ZINC000441383236 338411205 /nfs/dbraw/zinc/41/12/05/338411205.db2.gz WLPDDAQVHOPODG-OVWUPXBPSA-N 0 3 319.514 4.151 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2cccc(N3CCC3=O)c2)cc1 ZINC000442126975 338421713 /nfs/dbraw/zinc/42/17/13/338421713.db2.gz JDVBMFNLYJDQIV-MRXNPFEDSA-N 0 3 322.452 4.398 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@H](c1cccs1)N(C)C ZINC000442633224 338426368 /nfs/dbraw/zinc/42/63/68/338426368.db2.gz IFYAFNAIQBWWDH-DZGCQCFKSA-N 0 3 320.527 4.424 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442715289 338428849 /nfs/dbraw/zinc/42/88/49/338428849.db2.gz ZXXJCLRWXGHCEI-JSGCOSHPSA-N 0 3 324.399 4.134 20 0 DIADHN C[C@H](N[C@H]1Cc2[nH]c3ccccc3c2C1)c1c(F)cccc1F ZINC000442717196 338428885 /nfs/dbraw/zinc/42/88/85/338428885.db2.gz OYBFXPRZNOVAGO-NWDGAFQWSA-N 0 3 312.363 4.264 20 0 DIADHN FC(F)C1CCC(NCc2ncoc2-c2ccccc2)CC1 ZINC000442797670 338432190 /nfs/dbraw/zinc/43/21/90/338432190.db2.gz VMNDAYCMKVZHBB-UHFFFAOYSA-N 0 3 306.356 4.255 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CCCC[C@@H]3OC)c(C)c2c1 ZINC000442809932 338433041 /nfs/dbraw/zinc/43/30/41/338433041.db2.gz LGEXXJBBPNSDBZ-OWQGQXMQSA-N 0 3 317.429 4.358 20 0 DIADHN C[C@H](NCC1([S@](C)=O)CC1)c1ccc(C2CCCCC2)cc1 ZINC000442819190 338433504 /nfs/dbraw/zinc/43/35/04/338433504.db2.gz IDZYZYWXTBXKQJ-NYHFZMIOSA-N 0 3 319.514 4.296 20 0 DIADHN CC(C)[C@H](NCCc1ccc2[nH]ccc2c1)c1ccc(F)cn1 ZINC000442823340 338433672 /nfs/dbraw/zinc/43/36/72/338433672.db2.gz ZSWGGAQHDHMXCX-IBGZPJMESA-N 0 3 311.404 4.231 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1Cc3[nH]c4ccccc4c3C1)CO2 ZINC000442852461 338434866 /nfs/dbraw/zinc/43/48/66/338434866.db2.gz SHNZVSVTVPIEPF-SGTLLEGYSA-N 0 3 324.811 4.012 20 0 DIADHN COCc1nc(CN[C@H](C)C(C)(C)C)c(-c2ccccc2)s1 ZINC000442863043 338435838 /nfs/dbraw/zinc/43/58/38/338435838.db2.gz BTQOBFIAQXPQPV-CYBMUJFWSA-N 0 3 318.486 4.481 20 0 DIADHN CSc1ccccc1[C@H](C)NCC1(CO)CC2(CCC2)C1 ZINC000442865526 338436088 /nfs/dbraw/zinc/43/60/88/338436088.db2.gz UGEHYPMXMSOLSQ-AWEZNQCLSA-N 0 3 305.487 4.002 20 0 DIADHN CC(C)[C@H](NCc1ccncc1OCC(F)F)c1ccccc1 ZINC000442868131 338436414 /nfs/dbraw/zinc/43/64/14/338436414.db2.gz PUXPONKMEDJKLD-SFHVURJKSA-N 0 3 320.383 4.212 20 0 DIADHN COCC[C@@H](NCC[C@@H](C)c1ccccc1)c1ccc(C)o1 ZINC000446813945 338536543 /nfs/dbraw/zinc/53/65/43/338536543.db2.gz IOWNYLRIAIKCGX-CRAIPNDOSA-N 0 3 301.430 4.449 20 0 DIADHN C[C@H](NCCC(=O)OC(C)(C)C)c1cc2ccccc2s1 ZINC000446835711 338537681 /nfs/dbraw/zinc/53/76/81/338537681.db2.gz MZIBRXNUDQNMCI-LBPRGKRZSA-N 0 3 305.443 4.284 20 0 DIADHN CCO[C@@H](CNCc1ccc2ncccc2c1)c1ccccc1 ZINC000446843632 338538084 /nfs/dbraw/zinc/53/80/84/338538084.db2.gz BBODKKBBZQJMFN-FQEVSTJZSA-N 0 3 306.409 4.102 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCC[C@@H]1CCOC1 ZINC000446854039 338538689 /nfs/dbraw/zinc/53/86/89/338538689.db2.gz ULKGTNNEOBCYIP-UKRRQHHQSA-N 0 3 301.352 4.005 20 0 DIADHN Cc1ccccc1C1CC(NCc2ccc3c(n2)CCCC3)C1 ZINC000446896340 338539937 /nfs/dbraw/zinc/53/99/37/338539937.db2.gz MBSOLTXDFUMVEW-UHFFFAOYSA-N 0 3 306.453 4.305 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000447026294 338543311 /nfs/dbraw/zinc/54/33/11/338543311.db2.gz IDWDCWWNGQTNRK-UGSOOPFHSA-N 0 3 305.368 4.393 20 0 DIADHN Cc1cc([C@@H](C)NCCCn2c(C)nc3ccccc32)c(C)o1 ZINC000447517631 338553612 /nfs/dbraw/zinc/55/36/12/338553612.db2.gz LRNUKXRRSYZVNH-CQSZACIVSA-N 0 3 311.429 4.295 20 0 DIADHN COC(=O)c1coc([C@H](C)NCCCCCc2ccccc2)c1 ZINC000447540055 338555426 /nfs/dbraw/zinc/55/54/26/338555426.db2.gz BWWUQGCQROFSEC-HNNXBMFYSA-N 0 3 315.413 4.130 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCc2c1cccc2OC)c1ccccc1 ZINC000447564362 338557160 /nfs/dbraw/zinc/55/71/60/338557160.db2.gz GPVIOLFHCAOACE-QUCCMNQESA-N 0 3 311.425 4.050 20 0 DIADHN CCO[C@@H](CN[C@H]1CCCc2sccc21)c1ccccc1 ZINC000447568054 338557537 /nfs/dbraw/zinc/55/75/37/338557537.db2.gz HAHZBPSIUIGLBM-IRXDYDNUSA-N 0 3 301.455 4.493 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCCc2sccc21)c1ccccc1 ZINC000447568055 338557602 /nfs/dbraw/zinc/55/76/02/338557602.db2.gz HAHZBPSIUIGLBM-SJORKVTESA-N 0 3 301.455 4.493 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H]1CCCc2occc21 ZINC000447577694 338558380 /nfs/dbraw/zinc/55/83/80/338558380.db2.gz BAKWYNGNASZNLM-XZOAIXRZSA-N 0 3 303.377 4.162 20 0 DIADHN C[C@H](CCN1CCC(C(=O)OC(C)(C)C)CC1)c1ccccc1 ZINC000447738070 338565279 /nfs/dbraw/zinc/56/52/79/338565279.db2.gz BHMFGXDTRCUCTJ-MRXNPFEDSA-N 0 3 317.473 4.234 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2[C@@H]3c4ccccc4C[C@H]23)s1 ZINC000447894134 338570629 /nfs/dbraw/zinc/57/06/29/338570629.db2.gz RMRLYJBSXRUBQY-IIDMSEBBSA-N 0 3 312.482 4.116 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000447896105 338570775 /nfs/dbraw/zinc/57/07/75/338570775.db2.gz GBGMEQVBBZRFMA-QWHCGFSZSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)COC1CCN(C[C@@H](C)c2cc(F)cc(F)c2)CC1 ZINC000449015686 338583556 /nfs/dbraw/zinc/58/35/56/338583556.db2.gz LLZPUZMJNSUUDB-CQSZACIVSA-N 0 3 311.416 4.205 20 0 DIADHN COc1ccc(C2CCN(Cc3cc4cccnc4o3)CC2)cc1 ZINC000449029140 338585393 /nfs/dbraw/zinc/58/53/93/338585393.db2.gz QDQJKWBKKNYFPK-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN Cc1ccc(CSCCNCc2cc3cccnc3o2)cc1 ZINC000449058487 338589053 /nfs/dbraw/zinc/58/90/53/338589053.db2.gz JQHAQWOBUIHHPJ-UHFFFAOYSA-N 0 3 312.438 4.159 20 0 DIADHN CC[C@H](NCc1ccnn1C1CCCC1)c1ccc(OC)cc1 ZINC000449058760 338589090 /nfs/dbraw/zinc/58/90/90/338589090.db2.gz LUTQWQCTTDODCV-IBGZPJMESA-N 0 3 313.445 4.248 20 0 DIADHN Cc1cc(CNC[C@H](c2cccs2)N2CCCC2)cs1 ZINC000449062072 338589605 /nfs/dbraw/zinc/58/96/05/338589605.db2.gz HYESEPSELDROOV-OAHLLOKOSA-N 0 3 306.500 4.045 20 0 DIADHN Cn1cc(CN[C@@H]2CCc3ccccc32)c(-c2ccc(F)cc2)n1 ZINC000449067135 338590035 /nfs/dbraw/zinc/59/00/35/338590035.db2.gz ISZCJNQFHNMVIR-LJQANCHMSA-N 0 3 321.399 4.003 20 0 DIADHN C[C@H](Cc1ccoc1)NCc1nc2cc(Cl)ccc2s1 ZINC000449104452 338593966 /nfs/dbraw/zinc/59/39/66/338593966.db2.gz VYEWBGCWYGDESP-SNVBAGLBSA-N 0 3 306.818 4.264 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)Cc2coc3ccccc23)n1 ZINC000449119296 338594999 /nfs/dbraw/zinc/59/49/99/338594999.db2.gz XYHMAWYWFCDXFQ-YPMHNXCESA-N 0 3 300.427 4.480 20 0 DIADHN c1c2cccnc2oc1CNCC1(Sc2ccccc2)CC1 ZINC000449124860 338595338 /nfs/dbraw/zinc/59/53/38/338595338.db2.gz PUBIEIYNJIXHJA-UHFFFAOYSA-N 0 3 310.422 4.242 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449132501 338595993 /nfs/dbraw/zinc/59/59/93/338595993.db2.gz UROUVGAZIZMXKI-MEBBXXQBSA-N 0 3 321.342 4.018 20 0 DIADHN Cc1ccc(C[C@@H](N[C@@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449151374 338597165 /nfs/dbraw/zinc/59/71/65/338597165.db2.gz XISUHCSCBDBFNO-LAUBAEHRSA-N 0 3 320.436 4.488 20 0 DIADHN CC[C@@H](C)[C@H](NCc1c(C)nnn1CC)c1ccc(Cl)cc1 ZINC000449175173 338599470 /nfs/dbraw/zinc/59/94/70/338599470.db2.gz QQFFHJHLVCKJBH-PXAZEXFGSA-N 0 3 320.868 4.137 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CNCCC(F)(F)F)s1 ZINC000449177319 338599567 /nfs/dbraw/zinc/59/95/67/338599567.db2.gz SBMGCNKVDTVAKA-UHFFFAOYSA-N 0 3 322.302 4.130 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2ccnn2C2CCCC2)o1 ZINC000449178436 338599797 /nfs/dbraw/zinc/59/97/97/338599797.db2.gz UVLJWPCHKNQGDT-CQSZACIVSA-N 0 3 301.434 4.011 20 0 DIADHN Cc1cccc([C@@H](N[C@H](C)Cc2ccoc2)c2ccccn2)c1 ZINC000449201154 338602014 /nfs/dbraw/zinc/60/20/14/338602014.db2.gz DERSSYGGGWTGFC-OXQOHEQNSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1cc(CN[C@H]2CCO[C@H]2c2ccc(Cl)cc2)cs1 ZINC000449206086 338602461 /nfs/dbraw/zinc/60/24/61/338602461.db2.gz PGPCPDVOFMAVAH-HOTGVXAUSA-N 0 3 307.846 4.330 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2nc(-c3ccncc3)cs2)c1 ZINC000449225084 338604174 /nfs/dbraw/zinc/60/41/74/338604174.db2.gz NMBBRRQEIHVFEB-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccccc1SC(C)C ZINC000449289475 338609867 /nfs/dbraw/zinc/60/98/67/338609867.db2.gz STVJOSDTNNXHII-AWEZNQCLSA-N 0 3 308.491 4.150 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCCC34CCC4)cccc21 ZINC000449293595 338610250 /nfs/dbraw/zinc/61/02/50/338610250.db2.gz VYUXZJQBYIGAPD-IAGOWNOFSA-N 0 3 300.446 4.207 20 0 DIADHN CCOc1cc(CNCCC(C)(F)F)ccc1OCC(C)C ZINC000449354446 338617144 /nfs/dbraw/zinc/61/71/44/338617144.db2.gz NOIAQPWVUABTDY-UHFFFAOYSA-N 0 3 315.404 4.255 20 0 DIADHN C[C@H](NCc1cccc(C(F)(F)F)n1)[C@@H](C)c1ccccc1 ZINC000449401330 338621075 /nfs/dbraw/zinc/62/10/75/338621075.db2.gz MHTHCDJMBDSIRY-OLZOCXBDSA-N 0 3 308.347 4.382 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1cnc(-c2ccsc2)s1)OC ZINC000449403756 338621423 /nfs/dbraw/zinc/62/14/23/338621423.db2.gz XIFBIXNGNHOTIF-RISCZKNCSA-N 0 3 310.488 4.022 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1cnc(-c2ccsc2)s1 ZINC000449491285 338630638 /nfs/dbraw/zinc/63/06/38/338630638.db2.gz GFNAETICXFQQCN-LLVKDONJSA-N 0 3 314.426 4.397 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CC=C(c3ccc(F)cc3)CC2)c2nccn21 ZINC000449573771 338639051 /nfs/dbraw/zinc/63/90/51/338639051.db2.gz SAEKDFULQYCCJZ-BVGQSLNGSA-N 0 3 311.404 4.254 20 0 DIADHN CCc1ncc(CN[C@H]2CCC[C@H]2c2ccc(Cl)cc2)o1 ZINC000449609349 338640711 /nfs/dbraw/zinc/64/07/11/338640711.db2.gz NBCMDFNYYJNXLA-HOTGVXAUSA-N 0 3 304.821 4.316 20 0 DIADHN COc1ccc(C)cc1CN[C@@H]1CCO[C@H](c2cccs2)C1 ZINC000449619457 338641885 /nfs/dbraw/zinc/64/18/85/338641885.db2.gz CLNNDVSXBIITQE-WBVHZDCISA-N 0 3 317.454 4.075 20 0 DIADHN Clc1ccsc1CN[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000449621616 338642163 /nfs/dbraw/zinc/64/21/63/338642163.db2.gz IBDZBINZGLTOHD-ZYHUDNBSSA-N 0 3 313.875 4.473 20 0 DIADHN CN1CCC[C@H](NCc2cc3c(cccc3F)[nH]2)c2ccccc21 ZINC000449647489 338644184 /nfs/dbraw/zinc/64/41/84/338644184.db2.gz DNNKRTUVWYOAAT-SFHVURJKSA-N 0 3 323.415 4.368 20 0 DIADHN CN1CCC[C@H](NCc2cc(F)cc(F)c2)c2ccccc21 ZINC000449655465 338644527 /nfs/dbraw/zinc/64/45/27/338644527.db2.gz ZEQNIXBDPZBMQR-KRWDZBQOSA-N 0 3 302.368 4.026 20 0 DIADHN Cc1cnc(CN[C@H]2CCC[C@H](c3ccc(Cl)cc3)C2)n1C ZINC000449733474 338648665 /nfs/dbraw/zinc/64/86/65/338648665.db2.gz OSLVCFGNOUDKRN-RDJZCZTQSA-N 0 3 317.864 4.198 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1cnc(C)cc1C ZINC000449734684 338648842 /nfs/dbraw/zinc/64/88/42/338648842.db2.gz NYBQSKKKXOQZIG-OQLLNIDSSA-N 0 3 310.441 4.038 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1cnc(-c2cccs2)s1 ZINC000449759850 338650553 /nfs/dbraw/zinc/65/05/53/338650553.db2.gz KXONXOLHEYCKNT-ZIAGYGMSSA-N 0 3 322.499 4.309 20 0 DIADHN CCc1ccc2nccc(N3CCC4(CCOCC4)[C@H]3C)c2c1 ZINC000450438438 338684111 /nfs/dbraw/zinc/68/41/11/338684111.db2.gz KIKSRLVNXBGIGD-OAHLLOKOSA-N 0 3 310.441 4.193 20 0 DIADHN COc1ccc(C2CCN(Cc3ccc(C(C)=O)cc3)CC2)cc1 ZINC000450455402 338685303 /nfs/dbraw/zinc/68/53/03/338685303.db2.gz UTAHPKKLXHCYRS-UHFFFAOYSA-N 0 3 323.436 4.277 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(Cl)c(OC)c2)cc1F ZINC000450666019 338697249 /nfs/dbraw/zinc/69/72/49/338697249.db2.gz XABTVBSSWRXJCG-NSHDSACASA-N 0 3 323.795 4.347 20 0 DIADHN CC(C)[C@H](NCC/C=C\c1ccccc1)c1nnc2ccccn21 ZINC000450681550 338698670 /nfs/dbraw/zinc/69/86/70/338698670.db2.gz AVXMOKHOZVHUHU-YLKKANDBSA-N 0 3 320.440 4.120 20 0 DIADHN CC[C@@H](NCc1nnc(C2CC2)s1)C(C)(C)c1ccccc1 ZINC000450683771 338698971 /nfs/dbraw/zinc/69/89/71/338698971.db2.gz HSBNIOBAOLDURM-OAHLLOKOSA-N 0 3 315.486 4.262 20 0 DIADHN CO[C@@H](CN[C@@H](CC(C)C)c1ccccn1)Cc1ccccc1 ZINC000450720435 338701098 /nfs/dbraw/zinc/70/10/98/338701098.db2.gz SZEBYWOQEJYKLV-QUCCMNQESA-N 0 3 312.457 4.016 20 0 DIADHN O=C1CCC(CN2CCC(=Cc3ccc(F)c(F)c3)CC2)CC1 ZINC000450750800 338703469 /nfs/dbraw/zinc/70/34/69/338703469.db2.gz DBWNSYMHWJTHMT-UHFFFAOYSA-N 0 3 319.395 4.203 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450755678 338703845 /nfs/dbraw/zinc/70/38/45/338703845.db2.gz FPFLRWPVYUGKMD-QWRGUYRKSA-N 0 3 300.418 4.108 20 0 DIADHN COCc1cc([C@@H](C)NCc2cccc(Cl)c2)ccc1OC ZINC000194697615 338716832 /nfs/dbraw/zinc/71/68/32/338716832.db2.gz IDOYDTNGKMUASQ-CYBMUJFWSA-N 0 3 319.832 4.346 20 0 DIADHN CC(C)O[C@@H](CN[C@H]1CCc2c1cccc2O)c1ccccc1 ZINC000451180401 338724785 /nfs/dbraw/zinc/72/47/85/338724785.db2.gz GIJVEQGYNIFSCR-ICSRJNTNSA-N 0 3 311.425 4.135 20 0 DIADHN Cc1cc([C@@H](C)NC2CC(C)(F)C2)sc1Br ZINC000451194579 338725442 /nfs/dbraw/zinc/72/54/42/338725442.db2.gz ZGWCULTYSXPHIX-YDNLMGDJSA-N 0 3 306.244 4.360 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccc(C)cc2)o1)C(C)(C)C ZINC000451419611 338733840 /nfs/dbraw/zinc/73/38/40/338733840.db2.gz LTHZJRDHOSTGIT-HNNXBMFYSA-N 0 3 301.434 4.349 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CCC34CCCC4)co2)cc1 ZINC000451466048 338735771 /nfs/dbraw/zinc/73/57/71/338735771.db2.gz HRILYMNPSOOUMA-MRXNPFEDSA-N 0 3 300.377 4.293 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2F)CC1)c1cccc(O)c1 ZINC000194939821 338783598 /nfs/dbraw/zinc/78/35/98/338783598.db2.gz AMCLBCRAPDKSKI-LBPRGKRZSA-N 0 3 303.352 4.053 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2F)CC1)c1cccc(O)c1 ZINC000194939832 338783611 /nfs/dbraw/zinc/78/36/11/338783611.db2.gz AMCLBCRAPDKSKI-GFCCVEGCSA-N 0 3 303.352 4.053 20 0 DIADHN CC[C@@H](NCCOc1ccc(C(F)(F)F)cc1)c1ccncc1 ZINC000453073863 338790503 /nfs/dbraw/zinc/79/05/03/338790503.db2.gz BDJRLYQSNWHHBE-MRXNPFEDSA-N 0 3 324.346 4.220 20 0 DIADHN C[C@@H](NCCSCc1cccc2ccccc21)c1cn[nH]c1 ZINC000453079666 338791247 /nfs/dbraw/zinc/79/12/47/338791247.db2.gz CWCGLSKLBPLNQD-CQSZACIVSA-N 0 3 311.454 4.147 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1ccncn1 ZINC000453082301 338791542 /nfs/dbraw/zinc/79/15/42/338791542.db2.gz FTPVLCQPXMAAKU-AWEZNQCLSA-N 0 3 315.848 4.293 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@H](C)c3ccsc32)cc1O ZINC000453097206 338793351 /nfs/dbraw/zinc/79/33/51/338793351.db2.gz QOVJPJWZLODVMD-SMDDNHRTSA-N 0 3 303.427 4.191 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccncn1 ZINC000453102975 338794111 /nfs/dbraw/zinc/79/41/11/338794111.db2.gz ADEXHVBTGUMRJK-FZMZJTMJSA-N 0 3 324.255 4.455 20 0 DIADHN OCc1cccc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)c1 ZINC000453104572 338794350 /nfs/dbraw/zinc/79/43/50/338794350.db2.gz VAEYNSQATZDOLZ-IBGZPJMESA-N 0 3 315.844 4.463 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(C)(C)c1ccc(F)cc1Cl ZINC000453110236 338795007 /nfs/dbraw/zinc/79/50/07/338795007.db2.gz DDSCFSSFJHMUQA-GFCCVEGCSA-N 0 3 323.843 4.324 20 0 DIADHN C[C@H]1C[C@H](NCC2(c3cccs3)CCCCC2)c2nccn21 ZINC000453112598 338795210 /nfs/dbraw/zinc/79/52/10/338795210.db2.gz QXKNLQJOHMMEOT-GJZGRUSLSA-N 0 3 315.486 4.442 20 0 DIADHN C[C@H](NCCCC(F)(F)F)c1ncc(-c2ccccc2)n1C ZINC000453119195 338796076 /nfs/dbraw/zinc/79/60/76/338796076.db2.gz LXTLXNZPZIUIEF-LBPRGKRZSA-N 0 3 311.351 4.080 20 0 DIADHN C[C@H]1C[C@H](NCCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000453119197 338796120 /nfs/dbraw/zinc/79/61/20/338796120.db2.gz BMEGDZXTIJPYRA-NHYWBVRUSA-N 0 3 324.255 4.418 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@@H]1CCCC[C@H]1C ZINC000453120302 338796163 /nfs/dbraw/zinc/79/61/63/338796163.db2.gz CTNHHLSZAVQHSW-FPCVCCKLSA-N 0 3 304.482 4.041 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](C)c1nccn1CC ZINC000453138911 338798598 /nfs/dbraw/zinc/79/85/98/338798598.db2.gz HRZLFMGAUHQMGO-CZUORRHYSA-N 0 3 305.853 4.228 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](CO)c2cccc(F)c2)c2sccc21 ZINC000453141034 338798886 /nfs/dbraw/zinc/79/88/86/338798886.db2.gz IYSMLVGMMJMKBO-RLCCDNCMSA-N 0 3 305.418 4.149 20 0 DIADHN C[C@H](NC[C@]1(C)CCCS1)c1ncc(-c2ccccc2)n1C ZINC000453152080 338800196 /nfs/dbraw/zinc/80/01/96/338800196.db2.gz ZGIXNQHQLHYYGL-KSSFIOAISA-N 0 3 315.486 4.023 20 0 DIADHN COCC1CCC(NC2(c3ccc(F)cc3F)CCC2)CC1 ZINC000453177144 338803165 /nfs/dbraw/zinc/80/31/65/338803165.db2.gz GCLPPGFPCPGVSW-UHFFFAOYSA-N 0 3 309.400 4.139 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)cs1 ZINC000453182848 338803850 /nfs/dbraw/zinc/80/38/50/338803850.db2.gz RZRQGLLEXOXMOR-KGLIPLIRSA-N 0 3 312.442 4.049 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](OC)c1ccccc1F)c1ccncc1 ZINC000453182138 338803898 /nfs/dbraw/zinc/80/38/98/338803898.db2.gz SENSGZDJJBBILD-DOPJRALCSA-N 0 3 302.393 4.038 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1ccsc1 ZINC000453187351 338804656 /nfs/dbraw/zinc/80/46/56/338804656.db2.gz YBZKSJFASYCJSG-GUYCJALGSA-N 0 3 312.442 4.131 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1cc(-c2ccccc2)nn1C ZINC000453200538 338806871 /nfs/dbraw/zinc/80/68/71/338806871.db2.gz ZERUUMHUTKWKOY-HOCLYGCPSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@H](C)c1ccc(Cl)cc1Cl ZINC000453202151 338807217 /nfs/dbraw/zinc/80/72/17/338807217.db2.gz CZNVFVAZWFHSKI-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN CSC1(CN[C@@H](C)c2ncc(-c3ccccc3)n2C)CCC1 ZINC000453213776 338808882 /nfs/dbraw/zinc/80/88/82/338808882.db2.gz JGYDOXIMRHNEAX-AWEZNQCLSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@@H](NC[C@@H]1CSc2ccccc21)c1nc2ccccc2n1C ZINC000453216683 338809138 /nfs/dbraw/zinc/80/91/38/338809138.db2.gz HXGWNCXMXKABPO-ZIAGYGMSSA-N 0 3 323.465 4.113 20 0 DIADHN Cc1ccc(C2(CN[C@H](C)c3ccncn3)CCCC2)c(C)c1 ZINC000453218928 338809577 /nfs/dbraw/zinc/80/95/77/338809577.db2.gz HHIDIKSLOKFJIJ-QGZVFWFLSA-N 0 3 309.457 4.256 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccncn1 ZINC000453231408 338811397 /nfs/dbraw/zinc/81/13/97/338811397.db2.gz YDGWEJUUOHPNHJ-WHOFXGATSA-N 0 3 321.346 4.092 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@H](C)c2ccsc21 ZINC000453237544 338812300 /nfs/dbraw/zinc/81/23/00/338812300.db2.gz ZMDMOBIIUZMYAE-HUBLWGQQSA-N 0 3 302.443 4.441 20 0 DIADHN C[C@H](NC1CC(C(F)(F)F)C1)c1ncc(-c2ccccc2)n1C ZINC000453238302 338812503 /nfs/dbraw/zinc/81/25/03/338812503.db2.gz WCDMDCJIIIERRN-XGNXJENSSA-N 0 3 323.362 4.079 20 0 DIADHN Cn1ccnc1[C@H](NC[C@H]1CCC[C@@H]1c1ccccc1)C1CC1 ZINC000453266099 338816239 /nfs/dbraw/zinc/81/62/39/338816239.db2.gz KJXLXZIEARTEQI-GUDVDZBRSA-N 0 3 309.457 4.045 20 0 DIADHN CSCC1CCC(N[C@@H](C)c2nc3c(s2)CCC3)CC1 ZINC000453272183 338816952 /nfs/dbraw/zinc/81/69/52/338816952.db2.gz SHCDZYBDNIZTKR-HIFPTAJRSA-N 0 3 310.532 4.204 20 0 DIADHN Cc1cccc([C@H](N[C@@H](C)CCCCO)c2cccc(F)c2)c1 ZINC000453281080 338818012 /nfs/dbraw/zinc/81/80/12/338818012.db2.gz HCMLSQHZQFFDRJ-JXFKEZNVSA-N 0 3 315.432 4.364 20 0 DIADHN Cc1cccc([C@@H](NCCC(C)(C)CO)c2cccc(F)c2)c1 ZINC000453282543 338818365 /nfs/dbraw/zinc/81/83/65/338818365.db2.gz UFMHZPZWVMYZBD-LJQANCHMSA-N 0 3 315.432 4.222 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)NCC2=CCOCC2)cc1 ZINC000453284407 338818553 /nfs/dbraw/zinc/81/85/53/338818553.db2.gz IMYNPNLHPLUWJY-INIZCTEOSA-N 0 3 323.436 4.360 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCC2=CCOCC2)cc1Cl ZINC000453285540 338818765 /nfs/dbraw/zinc/81/87/65/338818765.db2.gz JGDHCPXKCPGXRR-CYBMUJFWSA-N 0 3 309.837 4.125 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1ccnc(C)c1 ZINC000453285565 338818767 /nfs/dbraw/zinc/81/87/67/338818767.db2.gz JKJLLYDWQXPREO-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN COc1ncc(CN[C@@H](C)c2cc3c(s2)CCC3)cc1Cl ZINC000453290234 338819477 /nfs/dbraw/zinc/81/94/77/338819477.db2.gz LJXBNYVHIITAQR-JTQLQIEISA-N 0 3 322.861 4.145 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCC2=CCOCC2)cc1 ZINC000453292935 338819782 /nfs/dbraw/zinc/81/97/82/338819782.db2.gz PCUXQQWKCFHCTC-INIZCTEOSA-N 0 3 303.446 4.253 20 0 DIADHN CC[C@@H]1CCC[C@H]1CN[C@H](c1ccc(F)cc1)c1cnccn1 ZINC000453295531 338820195 /nfs/dbraw/zinc/82/01/95/338820195.db2.gz XHJJAIWHNVCOKG-SIXWZSSISA-N 0 3 313.420 4.121 20 0 DIADHN Cc1cccnc1CCN[C@@H](C)c1ccccc1OC(F)(F)F ZINC000453301675 338820998 /nfs/dbraw/zinc/82/09/98/338820998.db2.gz AGQQTCRASVHQCO-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1ncsc1CCN[C@@H]1CCCOc2cc(Cl)ccc21 ZINC000453303336 338821214 /nfs/dbraw/zinc/82/12/14/338821214.db2.gz FLXHLAYGIYSIOI-CQSZACIVSA-N 0 3 322.861 4.151 20 0 DIADHN C[C@@H](NC[C@H]1CCOC2(CCC2)C1)c1nc2c(s1)CCCC2 ZINC000453305673 338821552 /nfs/dbraw/zinc/82/15/52/338821552.db2.gz ABTLHEIORUVJBT-KGLIPLIRSA-N 0 3 320.502 4.022 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCc3ncccc3C)c(C)c2c1 ZINC000453308446 338821989 /nfs/dbraw/zinc/82/19/89/338821989.db2.gz LXBUINKOWDUENV-OAHLLOKOSA-N 0 3 324.424 4.347 20 0 DIADHN CC(C)[C@H](NCC1(CF)CCOCC1)c1cc(F)ccc1F ZINC000453308988 338822093 /nfs/dbraw/zinc/82/20/93/338822093.db2.gz MXUNPDOPIJOWHL-INIZCTEOSA-N 0 3 315.379 4.018 20 0 DIADHN Cc1csc(CN[C@@H](C)c2cc(-c3ccccc3)nn2C)c1 ZINC000453313110 338823011 /nfs/dbraw/zinc/82/30/11/338823011.db2.gz HKPOKFWBDLQIJX-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN CC[C@H](NCc1cc(C)cs1)c1ccc(C(=O)OC)cc1 ZINC000453325894 338825256 /nfs/dbraw/zinc/82/52/56/338825256.db2.gz VAAZTYSDGZTQEH-INIZCTEOSA-N 0 3 303.427 4.084 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1nccc2ccccc21)c1ccccc1 ZINC000453331212 338826212 /nfs/dbraw/zinc/82/62/12/338826212.db2.gz XUAQYKQJMFLTMB-KKSFZXQISA-N 0 3 320.436 4.447 20 0 DIADHN C[C@H](NC1(Cc2ccc(F)cc2)CC1)c1nc2ccccc2n1C ZINC000453348781 338829152 /nfs/dbraw/zinc/82/91/52/338829152.db2.gz LJNQRZINGUHROY-AWEZNQCLSA-N 0 3 323.415 4.138 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](CSc1ccccc1)C(C)C ZINC000453356105 338830673 /nfs/dbraw/zinc/83/06/73/338830673.db2.gz OYBUFMNQNQTOIT-RDJZCZTQSA-N 0 3 317.502 4.371 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCCN(C)c3ccccc32)cn1 ZINC000453361687 338831633 /nfs/dbraw/zinc/83/16/33/338831633.db2.gz KYSKKMZRGKUJOV-CRAIPNDOSA-N 0 3 312.461 4.086 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCCN(C)c3ccccc32)cn1 ZINC000453361694 338831753 /nfs/dbraw/zinc/83/17/53/338831753.db2.gz KYSKKMZRGKUJOV-MAUKXSAKSA-N 0 3 312.461 4.086 20 0 DIADHN C[C@@H](NCC(C)(C)C1(O)CCCC1)c1nc2c(s1)CCCC2 ZINC000453382930 338835542 /nfs/dbraw/zinc/83/55/42/338835542.db2.gz MAJFHUBUSMZDCY-CYBMUJFWSA-N 0 3 322.518 4.004 20 0 DIADHN C[C@H](NCC(C)(C)C1(O)CCCC1)c1ccc2ccccc2n1 ZINC000453395586 338837624 /nfs/dbraw/zinc/83/76/24/338837624.db2.gz XMZKCIHLSXKVFN-HNNXBMFYSA-N 0 3 312.457 4.217 20 0 DIADHN CCCc1ncc(CN[C@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000453396754 338837748 /nfs/dbraw/zinc/83/77/48/338837748.db2.gz VMFYSMUGFRUDJW-QGZVFWFLSA-N 0 3 304.409 4.123 20 0 DIADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1ncc(Br)s1 ZINC000453402541 338838803 /nfs/dbraw/zinc/83/88/03/338838803.db2.gz AGEKNFVBAQLXPG-PRHODGIISA-N 0 3 315.280 4.135 20 0 DIADHN C[C@@H](N[C@@H](C1CC1)C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000453410741 338840035 /nfs/dbraw/zinc/84/00/35/338840035.db2.gz GUDFPCJUPWRZRT-PRHODGIISA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H](Cc1ccccn1)c1cccnc1 ZINC000453456651 338843452 /nfs/dbraw/zinc/84/34/52/338843452.db2.gz VBZKQMXASLHIQJ-HSALFYBXSA-N 0 3 309.457 4.175 20 0 DIADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H](Cc1ccccn1)c1cccnc1 ZINC000453456654 338843491 /nfs/dbraw/zinc/84/34/91/338843491.db2.gz VBZKQMXASLHIQJ-XUVXKRRUSA-N 0 3 309.457 4.175 20 0 DIADHN CC(C)(CO)[C@@H](NC/C=C\c1ccc(F)cc1)c1cccs1 ZINC000453576811 338848773 /nfs/dbraw/zinc/84/87/73/338848773.db2.gz NQGJNTCNFJFCPA-IVZVJUQRSA-N 0 3 319.445 4.250 20 0 DIADHN CC(C)=CCC[C@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000454283837 338860009 /nfs/dbraw/zinc/86/00/09/338860009.db2.gz BOPGVBFINSUOGH-HNNXBMFYSA-N 0 3 303.450 4.005 20 0 DIADHN CCC[C@H](NC(=O)Nc1ccccc1CCN(C)C)C(C)(C)C ZINC000455228279 338886503 /nfs/dbraw/zinc/88/65/03/338886503.db2.gz MWPGPGNDBWVNBP-KRWDZBQOSA-N 0 3 319.493 4.127 20 0 DIADHN CC(F)(F)c1ccccc1NC(=O)C1CN(C2CCCCC2)C1 ZINC000457839183 338980971 /nfs/dbraw/zinc/98/09/71/338980971.db2.gz RCFXTWDKAVESKY-UHFFFAOYSA-N 0 3 322.399 4.001 20 0 DIADHN CC[C@H](NCC1=CCCOC1)c1ccc(Cl)c(Cl)c1 ZINC000379757159 339053429 /nfs/dbraw/zinc/05/34/29/339053429.db2.gz YABQCNDUANDSSJ-HNNXBMFYSA-N 0 3 300.229 4.381 20 0 DIADHN FC1(F)CCC(CN(Cc2ccco2)C[C@@H]2CCCO2)CC1 ZINC000459291548 339019658 /nfs/dbraw/zinc/01/96/58/339019658.db2.gz CLWTWOLWJAMWPS-INIZCTEOSA-N 0 3 313.388 4.086 20 0 DIADHN CSCC[C@H](C)N(C)Cc1c(C)nc2scc(C3CC3)n12 ZINC000459342865 339023219 /nfs/dbraw/zinc/02/32/19/339023219.db2.gz KTMYBVWLHJIVJF-NSHDSACASA-N 0 3 323.531 4.155 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc(C3CC3)nc2)c1C ZINC000459357698 339024193 /nfs/dbraw/zinc/02/41/93/339024193.db2.gz DBPSGWHBOOOEPK-IBGZPJMESA-N 0 3 307.441 4.308 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccc(C3CC3)cc2)cn1 ZINC000459367197 339024952 /nfs/dbraw/zinc/02/49/52/339024952.db2.gz CZTAFKXNYOZHLP-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN c1ccc(C2(NCc3cnc(C4CC4)nc3)CCCCC2)cc1 ZINC000459403335 339027239 /nfs/dbraw/zinc/02/72/39/339027239.db2.gz BESRDQUMWFUTLW-UHFFFAOYSA-N 0 3 307.441 4.303 20 0 DIADHN Cc1cnc([C@H](NCCc2cccc(Cl)c2)C2CC2)s1 ZINC000459430245 339028875 /nfs/dbraw/zinc/02/88/75/339028875.db2.gz XNVYQPOXSUQXHK-OAHLLOKOSA-N 0 3 306.862 4.388 20 0 DIADHN FC1(F)CCC(CN2CCC(F)(c3cccnc3)CC2)CC1 ZINC000459448388 339029867 /nfs/dbraw/zinc/02/98/67/339029867.db2.gz HMTDQVATAXASTB-UHFFFAOYSA-N 0 3 312.379 4.168 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2ccc(C3CC3)cc2)c2ccccc21 ZINC000459448262 339029904 /nfs/dbraw/zinc/02/99/04/339029904.db2.gz XBLUQFFHLOWLOL-HXUWFJFHSA-N 0 3 320.436 4.152 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNCc1c(F)cc(C)cc1Cl ZINC000459454665 339030093 /nfs/dbraw/zinc/03/00/93/339030093.db2.gz VAHNAUQBRBMQBZ-SNVBAGLBSA-N 0 3 310.800 4.286 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1NCc1cnc(C2CC2)nc1 ZINC000459471516 339031181 /nfs/dbraw/zinc/03/11/81/339031181.db2.gz BAECTIWJCMSCCP-AZUAARDMSA-N 0 3 321.468 4.403 20 0 DIADHN CCC(C)(C)OCc1ccccc1NC1CCN(C2CC2)CC1 ZINC000459480801 339031900 /nfs/dbraw/zinc/03/19/00/339031900.db2.gz KLTAWCGSOBIJCL-UHFFFAOYSA-N 0 3 316.489 4.431 20 0 DIADHN c1cc(NC2CCN(C3CC3)CC2)cc2sc(C3CC3)nc12 ZINC000459487092 339032193 /nfs/dbraw/zinc/03/21/93/339032193.db2.gz VNLMVMQGANUXSS-UHFFFAOYSA-N 0 3 313.470 4.212 20 0 DIADHN FC(F)c1cccc(NC2CCN(C3CC3)CC2)c1Cl ZINC000459488025 339032228 /nfs/dbraw/zinc/03/22/28/339032228.db2.gz ROTRTCPTIJOGDK-UHFFFAOYSA-N 0 3 300.780 4.316 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCCC2)c(C)n1 ZINC000459527210 339034143 /nfs/dbraw/zinc/03/41/43/339034143.db2.gz BIHSDODMMQTDFK-HXUWFJFHSA-N 0 3 324.468 4.082 20 0 DIADHN Cn1cc([C@@H](NC2CC(C(C)(C)C)C2)c2ccc(F)cc2)cn1 ZINC000459527574 339034215 /nfs/dbraw/zinc/03/42/15/339034215.db2.gz KKTQVCDJRJOMFK-VJFUWPCTSA-N 0 3 315.436 4.063 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCc1cccc(Cl)c1 ZINC000459553509 339035338 /nfs/dbraw/zinc/03/53/38/339035338.db2.gz YIDHQHXCMDBYMC-INIZCTEOSA-N 0 3 303.837 4.060 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H](c3ccc(Cl)cc3)C2)no1 ZINC000459558829 339035620 /nfs/dbraw/zinc/03/56/20/339035620.db2.gz GXYCVENRXKGTPA-GOEBONIOSA-N 0 3 304.821 4.452 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H](c3cccc(Cl)c3)C2)no1 ZINC000459565430 339036231 /nfs/dbraw/zinc/03/62/31/339036231.db2.gz UTZJPCSIEQGVBP-HOCLYGCPSA-N 0 3 304.821 4.452 20 0 DIADHN FC(F)(F)c1cccc(CNCCc2cccc(Cl)c2)n1 ZINC000459574611 339036699 /nfs/dbraw/zinc/03/66/99/339036699.db2.gz SZUZIMGDZBWJPO-UHFFFAOYSA-N 0 3 314.738 4.086 20 0 DIADHN Cc1cc(C)n(CCN2CC3(CCCC3)[C@@H]2c2ccccc2)n1 ZINC000459573248 339036705 /nfs/dbraw/zinc/03/67/05/339036705.db2.gz IKJMUZNFRUMYNZ-IBGZPJMESA-N 0 3 309.457 4.117 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccnc(C(F)(F)F)c2)C1 ZINC000459575742 339036770 /nfs/dbraw/zinc/03/67/70/339036770.db2.gz KNUMQPAZVFSPSK-UHFFFAOYSA-N 0 3 320.358 4.310 20 0 DIADHN Cc1noc(C)c1CCN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000459581081 339037138 /nfs/dbraw/zinc/03/71/38/339037138.db2.gz PSBAAYQMSAFXQZ-LJQANCHMSA-N 0 3 310.441 4.451 20 0 DIADHN CCn1nccc1CCN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000459588548 339037427 /nfs/dbraw/zinc/03/74/27/339037427.db2.gz XTRZVUNZOVNPQR-IBGZPJMESA-N 0 3 309.457 4.063 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000471607343 339037471 /nfs/dbraw/zinc/03/74/71/339037471.db2.gz YPZWBUYEBHKMGH-MQIPJXDCSA-N 0 3 323.433 4.323 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CC3(C2)CCOCC3)s1 ZINC000459623954 339038965 /nfs/dbraw/zinc/03/89/65/339038965.db2.gz SAXDTQAXCXYMPN-UHFFFAOYSA-N 0 3 317.429 4.167 20 0 DIADHN CCCCc1nc(CN[C@H](C)CCCc2cccnc2)cs1 ZINC000459642641 339039874 /nfs/dbraw/zinc/03/98/74/339039874.db2.gz NHWZFGKPUIXYOL-OAHLLOKOSA-N 0 3 317.502 4.382 20 0 DIADHN C[C@@H](CCc1ccsc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000459648971 339040338 /nfs/dbraw/zinc/04/03/38/339040338.db2.gz LFGCFLKRSNMMNT-RYUDHWBXSA-N 0 3 306.500 4.365 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1ncc(C(C)(C)C)s1 ZINC000459649806 339040412 /nfs/dbraw/zinc/04/04/12/339040412.db2.gz MMQJYNWFFJIEJL-CQSZACIVSA-N 0 3 317.502 4.337 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC(F)(F)CC1)c1nc2c(s1)CCC2 ZINC000459655242 339040911 /nfs/dbraw/zinc/04/09/11/339040911.db2.gz UQBVGMDCTXRHLL-QWRGUYRKSA-N 0 3 314.445 4.496 20 0 DIADHN CC(C)CCOc1ccc(CNCc2ccc3cncn3c2)cc1 ZINC000459660657 339041316 /nfs/dbraw/zinc/04/13/16/339041316.db2.gz ORMGXQOZQPNMQV-UHFFFAOYSA-N 0 3 323.440 4.049 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)s1 ZINC000459669934 339042110 /nfs/dbraw/zinc/04/21/10/339042110.db2.gz SXZKSDOQEOKJDH-ZWNOBZJWSA-N 0 3 310.825 4.198 20 0 DIADHN C/C=C/COc1ccc(CC[C@H](C)NCc2cnc(C)o2)cc1 ZINC000459673687 339042274 /nfs/dbraw/zinc/04/22/74/339042274.db2.gz JLCKBVHINLSJKS-RGDDUWESSA-N 0 3 314.429 4.049 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@H]2c2ccc(OC(C)C)cc2)o1 ZINC000459675443 339042375 /nfs/dbraw/zinc/04/23/75/339042375.db2.gz MLKIPKUDJMXNJU-OALUTQOASA-N 0 3 314.429 4.196 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@H]2c2ccc(OC(C)C)cc2)o1 ZINC000459675444 339042462 /nfs/dbraw/zinc/04/24/62/339042462.db2.gz MLKIPKUDJMXNJU-RBUKOAKNSA-N 0 3 314.429 4.196 20 0 DIADHN Cc1ncc(CNCCCCc2cccc(C(F)(F)F)c2)o1 ZINC000459676818 339042522 /nfs/dbraw/zinc/04/25/22/339042522.db2.gz OTUVCXMSFNXEIP-UHFFFAOYSA-N 0 3 312.335 4.114 20 0 DIADHN Cc1ncc(CN[C@@H](C)CCc2ccc(C(F)(F)F)cc2)o1 ZINC000459677757 339042574 /nfs/dbraw/zinc/04/25/74/339042574.db2.gz QBPXQUHOJFRVQK-NSHDSACASA-N 0 3 312.335 4.113 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)o1 ZINC000459679338 339042730 /nfs/dbraw/zinc/04/27/30/339042730.db2.gz CBDLSLKDQWXXFM-PBHICJAKSA-N 0 3 304.821 4.137 20 0 DIADHN Cc1csc(CNCC2(Cc3cccc(Cl)c3)CC2)n1 ZINC000459686611 339043125 /nfs/dbraw/zinc/04/31/25/339043125.db2.gz GBJRBSRNTAUSLG-UHFFFAOYSA-N 0 3 306.862 4.217 20 0 DIADHN Fc1ccc2nc(CNC3CCC(C(F)F)CC3)sc2c1 ZINC000459710758 339043831 /nfs/dbraw/zinc/04/38/31/339043831.db2.gz LKWSGRMPPPKPKT-UHFFFAOYSA-N 0 3 314.376 4.349 20 0 DIADHN CC(=O)Nc1ccccc1CN1CC2(CCCCC2)[C@H]1C(C)C ZINC000459726817 339044567 /nfs/dbraw/zinc/04/45/67/339044567.db2.gz BUFVSQDHCIRYKV-LJQANCHMSA-N 0 3 314.473 4.436 20 0 DIADHN Cc1nccn1CCN1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000459749469 339045968 /nfs/dbraw/zinc/04/59/68/339045968.db2.gz PDJJRPKZLQBERB-LJQANCHMSA-N 0 3 309.457 4.199 20 0 DIADHN Fc1cccc(F)c1-c1nc(CNC2(C3CCC3)CCC2)co1 ZINC000459758830 339046629 /nfs/dbraw/zinc/04/66/29/339046629.db2.gz IPFSEQLZQGFKFK-UHFFFAOYSA-N 0 3 318.367 4.432 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)cs2)cc1 ZINC000459777527 339047903 /nfs/dbraw/zinc/04/79/03/339047903.db2.gz WBXDYVZCIALZIW-UHOFOFEASA-N 0 3 302.418 4.227 20 0 DIADHN O=C(CN[C@H]1CCC[C@@H]2C[C@@H]21)Nc1ccccc1-c1ccccc1 ZINC000459780851 339048130 /nfs/dbraw/zinc/04/81/30/339048130.db2.gz NMLOXRVCASNLIY-NEWSRXKRSA-N 0 3 320.436 4.070 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccccc2Cl)o1)C1CCC1 ZINC000459790234 339048707 /nfs/dbraw/zinc/04/87/07/339048707.db2.gz GLXARPJXASNOMC-HNNXBMFYSA-N 0 3 319.836 4.448 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2cccc(Cl)c2)o1)C1CCC1 ZINC000459792213 339048735 /nfs/dbraw/zinc/04/87/35/339048735.db2.gz IMCOOPVXTPNIPW-OAHLLOKOSA-N 0 3 319.836 4.448 20 0 DIADHN COc1ccc([C@H](N[C@H](C)CSC)c2ccccc2)cc1 ZINC000166084573 339053049 /nfs/dbraw/zinc/05/30/49/339053049.db2.gz BDHDSOBFMVMFMF-RDTXWAMCSA-N 0 3 301.455 4.126 20 0 DIADHN Cc1noc(C)c1CN1CC[C@H](Cc2ccc(C(C)C)cc2)C1 ZINC000460990495 339056999 /nfs/dbraw/zinc/05/69/99/339056999.db2.gz ZXUPMFTUQSBBMM-GOSISDBHSA-N 0 3 312.457 4.479 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2c3c(nn2C)CCCC3)cc1 ZINC000461083441 339058754 /nfs/dbraw/zinc/05/87/54/339058754.db2.gz GEZAKBBTKSTLEJ-OAHLLOKOSA-N 0 3 311.473 4.273 20 0 DIADHN C[C@@H](CCO)NCc1ccccc1Oc1ccc(F)cc1Cl ZINC000461421323 339063637 /nfs/dbraw/zinc/06/36/37/339063637.db2.gz HICXESUDIOAOLC-LBPRGKRZSA-N 0 3 323.795 4.132 20 0 DIADHN CCCC[C@@H](C)N(C)C(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000461426507 339063777 /nfs/dbraw/zinc/06/37/77/339063777.db2.gz ORDURNOPJPYIGH-MRXNPFEDSA-N 0 3 316.489 4.322 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H](COC)c1ccco1 ZINC000462121311 339072616 /nfs/dbraw/zinc/07/26/16/339072616.db2.gz NQRVFADFRHSSMZ-QZTJIDSGSA-N 0 3 301.430 4.358 20 0 DIADHN CCC[C@@H](NCCCc1ccncc1)c1ccc(F)cc1F ZINC000462343596 339076297 /nfs/dbraw/zinc/07/62/97/339076297.db2.gz AUHKFSIJJOQWIL-GOSISDBHSA-N 0 3 304.384 4.423 20 0 DIADHN Clc1ccc(C2=CCN(CCCc3ccncc3)CC2)cc1 ZINC000462351931 339076355 /nfs/dbraw/zinc/07/63/55/339076355.db2.gz ZAETYTQHNWZGDL-UHFFFAOYSA-N 0 3 312.844 4.457 20 0 DIADHN C[C@H](CNc1ccnc2ccsc21)N1CCc2ccccc2C1 ZINC000462370742 339077091 /nfs/dbraw/zinc/07/70/91/339077091.db2.gz RFACLSVFSOSCKR-CQSZACIVSA-N 0 3 323.465 4.155 20 0 DIADHN C[C@H](NCCCc1ccncc1)c1ncc(-c2ccccc2)o1 ZINC000462444861 339079040 /nfs/dbraw/zinc/07/90/40/339079040.db2.gz AIFHDXPSMQKZHZ-HNNXBMFYSA-N 0 3 307.397 4.020 20 0 DIADHN CCN(CC)C(=O)CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462452206 339079109 /nfs/dbraw/zinc/07/91/09/339079109.db2.gz AWGUNASGBCAUBE-CYBMUJFWSA-N 0 3 318.848 4.012 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000462505818 339080284 /nfs/dbraw/zinc/08/02/84/339080284.db2.gz VKWICJOFFZFRSW-DLBZAZTESA-N 0 3 310.441 4.105 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000462505820 339080343 /nfs/dbraw/zinc/08/03/43/339080343.db2.gz VKWICJOFFZFRSW-IAGOWNOFSA-N 0 3 310.441 4.105 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000462505823 339080367 /nfs/dbraw/zinc/08/03/67/339080367.db2.gz VKWICJOFFZFRSW-SJORKVTESA-N 0 3 310.441 4.105 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1ccc2c(c1)ncn2C ZINC000462545379 339080979 /nfs/dbraw/zinc/08/09/79/339080979.db2.gz BXDRPXYHFYCWRB-QVKFZJNVSA-N 0 3 319.452 4.377 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2NCc2ccc(C)nc2)c1 ZINC000462543692 339081044 /nfs/dbraw/zinc/08/10/44/339081044.db2.gz UTAXJZNAKAQSTN-WOJBJXKFSA-N 0 3 310.441 4.178 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cnc(N(C)C)s1 ZINC000462558959 339081326 /nfs/dbraw/zinc/08/13/26/339081326.db2.gz YHGOBGBMAPFFKL-DYVFJYSZSA-N 0 3 315.486 4.012 20 0 DIADHN Cc1cc(CNCCc2cccs2)c(OC(F)F)cc1C ZINC000462662019 339083477 /nfs/dbraw/zinc/08/34/77/339083477.db2.gz VVPRKOXTIIOKDR-UHFFFAOYSA-N 0 3 311.397 4.299 20 0 DIADHN CC[C@H]1CCC[C@@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000462671971 339083763 /nfs/dbraw/zinc/08/37/63/339083763.db2.gz DHYLCKIPFNOXAC-GXTWGEPZSA-N 0 3 316.367 4.081 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC2(CCOCC2)[C@H]1C ZINC000462704752 339084445 /nfs/dbraw/zinc/08/44/45/339084445.db2.gz TZKBIZUJOLUFDH-MRXNPFEDSA-N 0 3 310.441 4.247 20 0 DIADHN Cc1ccc(O[C@@H](C)CNCc2ccc(OC(F)F)cc2)cc1 ZINC000462733743 339085390 /nfs/dbraw/zinc/08/53/90/339085390.db2.gz GIQFDQVMQFVEMP-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN COc1ccc(O[C@@H](C)CNCc2ccc(Cl)c(F)c2)cc1 ZINC000462733120 339085512 /nfs/dbraw/zinc/08/55/12/339085512.db2.gz BVSFALRTLFUOJX-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2cccc(F)c2)cc1Cl ZINC000462737125 339085884 /nfs/dbraw/zinc/08/58/84/339085884.db2.gz PGGODIXYACURHY-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@H](CNCc1ccc(Cl)c(F)c1)Oc1ccc(F)cc1 ZINC000462736841 339085905 /nfs/dbraw/zinc/08/59/05/339085905.db2.gz ICYXTMIABPLTGS-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN COc1cc(CNC/C=C/c2ccccc2)c2ccccc2n1 ZINC000462737226 339085930 /nfs/dbraw/zinc/08/59/30/339085930.db2.gz IUICZALOGMEJOZ-JXMROGBWSA-N 0 3 304.393 4.046 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)c(Cl)c1OC ZINC000462738193 339086050 /nfs/dbraw/zinc/08/60/50/339086050.db2.gz YDVMGDZXPVYUOA-UMVBOHGHSA-N 0 3 323.864 4.416 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H](C)Sc1ccccc1 ZINC000462744950 339086430 /nfs/dbraw/zinc/08/64/30/339086430.db2.gz XLIQZRUAOXENMG-OAHLLOKOSA-N 0 3 301.455 4.274 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H](C)c1ccc(F)cc1F ZINC000462752479 339086926 /nfs/dbraw/zinc/08/69/26/339086926.db2.gz AGGZBZISAXCOIY-CYBMUJFWSA-N 0 3 305.368 4.175 20 0 DIADHN Brc1cc(CN[C@H]2C[C@H]2Cc2ccccc2)cs1 ZINC000462760132 339087040 /nfs/dbraw/zinc/08/70/40/339087040.db2.gz FIVICRWHMOQZSH-KGLIPLIRSA-N 0 3 322.271 4.231 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H]2C2CCCCC2)c(Cl)c1OC ZINC000462763094 339087392 /nfs/dbraw/zinc/08/73/92/339087392.db2.gz LBPDCIFFXHQDHR-GJZGRUSLSA-N 0 3 323.864 4.416 20 0 DIADHN Cc1nccn1CCNCc1ccc(-c2cc(C)cc(C)c2)cc1 ZINC000462773790 339087907 /nfs/dbraw/zinc/08/79/07/339087907.db2.gz MLUNGPAKSGIQIK-UHFFFAOYSA-N 0 3 319.452 4.265 20 0 DIADHN CN(C)[C@H](CNc1ccnc2ccsc21)c1ccc(F)cc1 ZINC000462775312 339087971 /nfs/dbraw/zinc/08/79/71/339087971.db2.gz GDNNSLIIEQGNJN-MRXNPFEDSA-N 0 3 315.417 4.150 20 0 DIADHN COc1ccc(F)cc1CNC[C@@H](C)c1ccc(F)cc1F ZINC000462784422 339088402 /nfs/dbraw/zinc/08/84/02/339088402.db2.gz CRTFNPZTDFBMOO-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN COc1ccc(F)cc1CNC[C@@H](C)Sc1ccccc1 ZINC000462790738 339088703 /nfs/dbraw/zinc/08/87/03/339088703.db2.gz MRLCPBNIJCOGKL-CYBMUJFWSA-N 0 3 305.418 4.105 20 0 DIADHN COc1ccc(CNCc2ccc(C3CCCC3)cc2)cc1O ZINC000462794187 339089142 /nfs/dbraw/zinc/08/91/42/339089142.db2.gz YWJLSUNSSHMLJF-UHFFFAOYSA-N 0 3 311.425 4.348 20 0 DIADHN Cc1cc(CN[C@H](C)CC(C)(C)c2c[nH]c3ccccc32)on1 ZINC000462807296 339090467 /nfs/dbraw/zinc/09/04/67/339090467.db2.gz ADGIKLPYAFWKGZ-CQSZACIVSA-N 0 3 311.429 4.310 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000462811751 339091051 /nfs/dbraw/zinc/09/10/51/339091051.db2.gz XRZUNJAVVTVSRN-ZDUSSCGKSA-N 0 3 322.449 4.415 20 0 DIADHN C=Cc1ccc(CCN[C@@H](c2ccccc2)c2cncnc2)cc1 ZINC000462814629 339091330 /nfs/dbraw/zinc/09/13/30/339091330.db2.gz AFKJPKDLLIYIFG-NRFANRHFSA-N 0 3 315.420 4.041 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000462822174 339092171 /nfs/dbraw/zinc/09/21/71/339092171.db2.gz SYTWWAUGYSBMRX-SJCJKPOMSA-N 0 3 313.388 4.062 20 0 DIADHN C[C@H](CN1Cc2ccc(O)cc2C2(CC2)C1)c1ccc(F)cc1 ZINC000462836966 339093410 /nfs/dbraw/zinc/09/34/10/339093410.db2.gz YBLMUCMWCIOFAM-CQSZACIVSA-N 0 3 311.400 4.182 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccncc2)C1(C)C ZINC000462843938 339093937 /nfs/dbraw/zinc/09/39/37/339093937.db2.gz KKRGFZCTQAJYBP-VAMGGRTRSA-N 0 3 324.468 4.353 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1ccc(-c2ccncc2)cc1 ZINC000462846878 339094103 /nfs/dbraw/zinc/09/41/03/339094103.db2.gz VHKFWQVTAGPVBG-MRXNPFEDSA-N 0 3 310.441 4.216 20 0 DIADHN CC(C)N(Cc1ccc(-c2c(F)cccc2F)o1)[C@@H]1CCOC1 ZINC000462869410 339095305 /nfs/dbraw/zinc/09/53/05/339095305.db2.gz ANUCBYXBNNVWPR-CYBMUJFWSA-N 0 3 321.367 4.224 20 0 DIADHN C[C@@H](CCC1CCCC1)Nc1cccc(CN2CC[C@H](O)C2)c1 ZINC000462868793 339095341 /nfs/dbraw/zinc/09/53/41/339095341.db2.gz BRFHZWAEVPCUGE-JXFKEZNVSA-N 0 3 316.489 4.024 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C(C)C)[C@@H]2CCOC2)o1 ZINC000462873378 339095550 /nfs/dbraw/zinc/09/55/50/339095550.db2.gz IEVWZQJXBRWLPS-OAHLLOKOSA-N 0 3 317.404 4.393 20 0 DIADHN CO[C@@H](CNc1ccnc2ccccc21)c1ccc(Cl)cc1 ZINC000462903559 339097023 /nfs/dbraw/zinc/09/70/23/339097023.db2.gz BMIUYWJIWNOTOB-SFHVURJKSA-N 0 3 312.800 4.110 20 0 DIADHN CO[C@](C)(CNCc1cc(C(F)(F)F)ccc1Cl)C1CC1 ZINC000462907272 339097274 /nfs/dbraw/zinc/09/72/74/339097274.db2.gz SRFLNLUBWICYMR-CQSZACIVSA-N 0 3 321.770 4.264 20 0 DIADHN CO[C@](C)(CNCc1ccc(-c2cccc(F)c2F)o1)C1CC1 ZINC000462911870 339097571 /nfs/dbraw/zinc/09/75/71/339097571.db2.gz PGCSTTOFRYLQDA-GOSISDBHSA-N 0 3 321.367 4.130 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2ncoc2-c2ccccc2)C1(C)C ZINC000463023935 339100961 /nfs/dbraw/zinc/10/09/61/339100961.db2.gz JILSYKJPPWYLDV-IAGOWNOFSA-N 0 3 314.429 4.023 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2C[C@H](OC(C)C)C2(C)C)s1 ZINC000463025357 339101162 /nfs/dbraw/zinc/10/11/62/339101162.db2.gz UHFSQWIQOMMCAX-CABCVRRESA-N 0 3 310.507 4.023 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@H](n4cccn4)C3)s2)cc1 ZINC000463030745 339101781 /nfs/dbraw/zinc/10/17/81/339101781.db2.gz DMBOPSMWPLTSLV-KRWDZBQOSA-N 0 3 323.465 4.367 20 0 DIADHN Cc1cc(CN2CC(c3ccccc3F)C2)cc2cccnc12 ZINC000463035361 339102122 /nfs/dbraw/zinc/10/21/22/339102122.db2.gz HBCJZOFIVXGGIB-UHFFFAOYSA-N 0 3 306.384 4.282 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2Cl)o1)[C@@H]1CCOC1 ZINC000463035238 339102129 /nfs/dbraw/zinc/10/21/29/339102129.db2.gz FZHSMJLLBCYTSI-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN COc1cccc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)c1 ZINC000463034886 339102199 /nfs/dbraw/zinc/10/21/99/339102199.db2.gz OZVLVYHBGBPLGH-CABCVRRESA-N 0 3 301.352 4.248 20 0 DIADHN CCN(C/C(C)=C/c1ccccc1C(F)(F)F)[C@H]1CCOC1 ZINC000463043115 339102880 /nfs/dbraw/zinc/10/28/80/339102880.db2.gz MVXGFODLNCHUNI-VOMSXAGXSA-N 0 3 313.363 4.220 20 0 DIADHN CCc1ccc([C@H](CNc2ccc(Cl)cn2)N(C)C)cc1 ZINC000463052377 339103131 /nfs/dbraw/zinc/10/31/31/339103131.db2.gz CFRDZQUKUFMGKA-INIZCTEOSA-N 0 3 303.837 4.012 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463073686 339104419 /nfs/dbraw/zinc/10/44/19/339104419.db2.gz JSDIDOYIZLJVLW-PHIMTYICSA-N 0 3 323.234 4.068 20 0 DIADHN CC(C)CN(Cc1nc2ccc(Br)cc2o1)C1CC1 ZINC000463073735 339104451 /nfs/dbraw/zinc/10/44/51/339104451.db2.gz KNZVKCTVAJHMGH-UHFFFAOYSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463077733 339104667 /nfs/dbraw/zinc/10/46/67/339104667.db2.gz LEQPYCAFSYNSML-GHMZBOCLSA-N 0 3 323.234 4.353 20 0 DIADHN FC(F)(F)c1cncc(CN2CCC[C@H]2Cc2ccccc2)c1 ZINC000463077649 339104673 /nfs/dbraw/zinc/10/46/73/339104673.db2.gz KJBUXEUJWZOGPX-KRWDZBQOSA-N 0 3 320.358 4.308 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1cncc(C(F)(F)F)c1 ZINC000463092647 339105449 /nfs/dbraw/zinc/10/54/49/339105449.db2.gz YGUVFDXHCZBRCA-CZUORRHYSA-N 0 3 320.358 4.478 20 0 DIADHN CCC(C)(C)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463093650 339105477 /nfs/dbraw/zinc/10/54/77/339105477.db2.gz NANSNXYJSQJZLI-UHFFFAOYSA-N 0 3 311.223 4.211 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1nc2ccc(Br)cc2o1 ZINC000463133362 339106716 /nfs/dbraw/zinc/10/67/16/339106716.db2.gz XOJCFLUCUQZIJN-VHSXEESVSA-N 0 3 311.223 4.115 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1nc2ccc(Br)cc2o1 ZINC000463133360 339106757 /nfs/dbraw/zinc/10/67/57/339106757.db2.gz XOJCFLUCUQZIJN-NXEZZACHSA-N 0 3 311.223 4.115 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463202481 339109218 /nfs/dbraw/zinc/10/92/18/339109218.db2.gz NZBOALKBIZRNDE-ZYHUDNBSSA-N 0 3 323.234 4.259 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463202477 339109258 /nfs/dbraw/zinc/10/92/58/339109258.db2.gz NZBOALKBIZRNDE-CMPLNLGQSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2Cc2ccc(F)cc2F)C1 ZINC000463216591 339110027 /nfs/dbraw/zinc/11/00/27/339110027.db2.gz ZHQTYBZGOXMWPC-OLQIXAPSSA-N 0 3 323.427 4.116 20 0 DIADHN Clc1ccc(CNCCCC2CCOCC2)c(Cl)c1 ZINC000463284722 339113358 /nfs/dbraw/zinc/11/33/58/339113358.db2.gz VYIJSXJIDYMIQQ-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN CC(C(=O)Nc1ccccc1N1CCCCCC1)C(F)(F)F ZINC000463350535 339115495 /nfs/dbraw/zinc/11/54/95/339115495.db2.gz VOOPINNZRAEUBA-GFCCVEGCSA-N 0 3 314.351 4.204 20 0 DIADHN Clc1cccc(C2CCN(C[C@H]3CCCO3)CC2)c1Cl ZINC000463371567 339116045 /nfs/dbraw/zinc/11/60/45/339116045.db2.gz VMEDCENVPFSSAT-CYBMUJFWSA-N 0 3 314.256 4.352 20 0 DIADHN Cn1c(CN[C@@H]2CCCCC23CCCC3)nnc1-c1ccccc1 ZINC000463443895 339117976 /nfs/dbraw/zinc/11/79/76/339117976.db2.gz YNBDEKRWDYHUKU-QGZVFWFLSA-N 0 3 324.472 4.075 20 0 DIADHN COc1ccccc1[C@H](C)NC1CC(OCc2ccccc2)C1 ZINC000463575839 339121951 /nfs/dbraw/zinc/12/19/51/339121951.db2.gz RZYYKEHFSVCQEM-ZLPCBKJTSA-N 0 3 311.425 4.094 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](c2ccc(F)cn2)C(C)C)C1(C)C ZINC000463580421 339122081 /nfs/dbraw/zinc/12/20/81/339122081.db2.gz WSMPMFVSYPZOFS-GVDBMIGSSA-N 0 3 308.441 4.100 20 0 DIADHN CC(C(=O)N1CC(C)(C)c2c1cccc2Cl)C(F)(F)F ZINC000463585019 339122272 /nfs/dbraw/zinc/12/22/72/339122272.db2.gz AQLHUPVLHXOGBH-QMMMGPOBSA-N 0 3 305.727 4.163 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)cc1-n1cccc1)C(F)(F)F ZINC000463875813 339127783 /nfs/dbraw/zinc/12/77/83/339127783.db2.gz FCFPYPUQPCESIM-SECBINFHSA-N 0 3 316.710 4.268 20 0 DIADHN COC[C@@H](C)CNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464037848 339131637 /nfs/dbraw/zinc/13/16/37/339131637.db2.gz HSXHOVQTZTTYAI-ZDUSSCGKSA-N 0 3 311.853 4.034 20 0 DIADHN CC(C)N(C)CCNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464056944 339132296 /nfs/dbraw/zinc/13/22/96/339132296.db2.gz WKMMQKGFYZKNRV-UHFFFAOYSA-N 0 3 324.896 4.091 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@@H](c3ccccc3)C2(C)C)s1 ZINC000464060801 339132351 /nfs/dbraw/zinc/13/23/51/339132351.db2.gz FHWDOUITJIZREX-HOTGVXAUSA-N 0 3 300.471 4.377 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCOc1ccccc1C(C)C ZINC000464068341 339132887 /nfs/dbraw/zinc/13/28/87/339132887.db2.gz ROTJWGVBWBABOY-UHFFFAOYSA-N 0 3 315.461 4.064 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H]1C[C@@H](c2ccccc2)C1(C)C ZINC000464079037 339133414 /nfs/dbraw/zinc/13/34/14/339133414.db2.gz SYRRRMJZINOIQO-ZWKOTPCHSA-N 0 3 311.473 4.211 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H]1C[C@H](c2ccccc2)C1(C)C ZINC000464079035 339133500 /nfs/dbraw/zinc/13/35/00/339133500.db2.gz SYRRRMJZINOIQO-QZTJIDSGSA-N 0 3 311.473 4.211 20 0 DIADHN Cc1ccccc1[C@@H]([C@H](C)NC(=O)c1c(C)cccc1C)N(C)C ZINC000464423996 339141649 /nfs/dbraw/zinc/14/16/49/339141649.db2.gz FIMSULZRCQJETL-FXAWDEMLSA-N 0 3 324.468 4.033 20 0 DIADHN CCC1(C(=O)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)CCCC1 ZINC000464430683 339141780 /nfs/dbraw/zinc/14/17/80/339141780.db2.gz SFDJKSVMSXQZCL-WMZOPIPTSA-N 0 3 316.489 4.073 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN[C@H](CO)C(C)C)s2)cc1 ZINC000464481083 339143150 /nfs/dbraw/zinc/14/31/50/339143150.db2.gz OHCCAEZFQNLXFX-QGZVFWFLSA-N 0 3 318.486 4.040 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCO[C@@H]1CCCC[C@H]1C ZINC000464602349 339146959 /nfs/dbraw/zinc/14/69/59/339146959.db2.gz LKPFFCMICPQTOZ-RVKKMQEKSA-N 0 3 321.509 4.192 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCc1ccccc1Cl ZINC000464622471 339147287 /nfs/dbraw/zinc/14/72/87/339147287.db2.gz MJDVGDDYZUXMGN-ZDUSSCGKSA-N 0 3 319.880 4.493 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cccc3ccsc32)cc1 ZINC000464648968 339147765 /nfs/dbraw/zinc/14/77/65/339147765.db2.gz HNRZRGYNVFRWKQ-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN Fc1ccc(CN2CC[C@H](CSc3ccccc3)C2)cc1 ZINC000464762237 339151594 /nfs/dbraw/zinc/15/15/94/339151594.db2.gz UHGAPYKKVNSPOH-INIZCTEOSA-N 0 3 301.430 4.440 20 0 DIADHN C(CN1CCC[C@H](c2noc(C3CC3)n2)C1)C1CCCCC1 ZINC000464781134 339153432 /nfs/dbraw/zinc/15/34/32/339153432.db2.gz ZPZUUEWRSCOKBE-INIZCTEOSA-N 0 3 303.450 4.097 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000464782586 339153566 /nfs/dbraw/zinc/15/35/66/339153566.db2.gz YIZGFVKCVDPUNZ-SFHVURJKSA-N 0 3 302.393 4.493 20 0 DIADHN C[C@@H]1CC[C@H](C)N(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000464808866 339154847 /nfs/dbraw/zinc/15/48/47/339154847.db2.gz FQNTZLJYXOWBLR-SJORKVTESA-N 0 3 322.452 4.413 20 0 DIADHN COc1ccc(OCCN2CC[C@H](C)C[C@@H]2c2ccco2)cc1 ZINC000464863074 339158796 /nfs/dbraw/zinc/15/87/96/339158796.db2.gz ZJUINLALIGTRMO-MAUKXSAKSA-N 0 3 315.413 4.140 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@@H]3Cc3ccccc3)nc2c1 ZINC000464866398 339159121 /nfs/dbraw/zinc/15/91/21/339159121.db2.gz QGFXHQGZANZNEH-MRXNPFEDSA-N 0 3 310.372 4.174 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2ccccc2C)cc1F ZINC000464866805 339159155 /nfs/dbraw/zinc/15/91/55/339159155.db2.gz SFUTZVXPMRZSFN-CQSZACIVSA-N 0 3 315.388 4.114 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nc3cc(F)ccc3o2)C1 ZINC000464870942 339159460 /nfs/dbraw/zinc/15/94/60/339159460.db2.gz SSKRCLIXDHYLJX-CQSZACIVSA-N 0 3 310.372 4.265 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1nc2cc(F)ccc2o1 ZINC000464875544 339159740 /nfs/dbraw/zinc/15/97/40/339159740.db2.gz DIOIURAGWAEOKP-HIFRSBDPSA-N 0 3 310.372 4.345 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](c1ccccc1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000464890749 339160577 /nfs/dbraw/zinc/16/05/77/339160577.db2.gz UHWLNKBCORVKHQ-WSTZPKSXSA-N 0 3 320.436 4.098 20 0 DIADHN Fc1ccc2oc(CN3CC[C@H](Cc4ccccc4)C3)nc2c1 ZINC000464897166 339160908 /nfs/dbraw/zinc/16/09/08/339160908.db2.gz SLDXIIYTISYYOA-OAHLLOKOSA-N 0 3 310.372 4.032 20 0 DIADHN CCCC[C@H](NC(=O)Nc1cccc(CN(C)C)c1)C1CCC1 ZINC000464910609 339161501 /nfs/dbraw/zinc/16/15/01/339161501.db2.gz HZRHNNSYTMXYPQ-SFHVURJKSA-N 0 3 317.477 4.229 20 0 DIADHN CCc1ccc(-c2ccc(CNCCC3CCOCC3)o2)cc1 ZINC000464999350 339165137 /nfs/dbraw/zinc/16/51/37/339165137.db2.gz BQQOAICUUGSETQ-UHFFFAOYSA-N 0 3 313.441 4.415 20 0 DIADHN CO[C@H](CNCc1cc(C)c(C)cc1OC(F)F)C(C)(C)C ZINC000465010977 339165356 /nfs/dbraw/zinc/16/53/56/339165356.db2.gz KKMISWFRAHLGRS-OAHLLOKOSA-N 0 3 315.404 4.056 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@@H](O)[C@@H](C)CC)o2)cc1 ZINC000465020085 339165585 /nfs/dbraw/zinc/16/55/85/339165585.db2.gz YRKBKFASXMCMSD-KBXCAEBGSA-N 0 3 301.430 4.006 20 0 DIADHN CCCCCc1ccc(NC(=O)N[C@@H]2CCN(C)[C@@H](C)C2)cc1 ZINC000466044188 339191698 /nfs/dbraw/zinc/19/16/98/339191698.db2.gz STVWOAQUTLAUMW-MAUKXSAKSA-N 0 3 317.477 4.024 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2cccc3c2OCCCO3)C1 ZINC000466408325 339203031 /nfs/dbraw/zinc/20/30/31/339203031.db2.gz ZQBXYNRPJJRCMK-IYARVYRRSA-N 0 3 323.436 4.192 20 0 DIADHN Fc1ccc2nc(CNC3CC(c4ccccc4)C3)sc2c1 ZINC000466420179 339203879 /nfs/dbraw/zinc/20/38/79/339203879.db2.gz XGSRROWXSWWMQP-UHFFFAOYSA-N 0 3 312.413 4.471 20 0 DIADHN Fc1ccc(-n2cc(CNC3CC(c4ccccc4)C3)cn2)cc1 ZINC000466421181 339203950 /nfs/dbraw/zinc/20/39/50/339203950.db2.gz JHZKZRLAZNLSIV-UHFFFAOYSA-N 0 3 321.399 4.047 20 0 DIADHN Cc1nc(CNC2(Cc3ccccc3)CCCCC2)cs1 ZINC000466458923 339205913 /nfs/dbraw/zinc/20/59/13/339205913.db2.gz XSMZVVFDMXUKCV-UHFFFAOYSA-N 0 3 300.471 4.487 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+]C2CC(c3ccc(F)c(Cl)c3)C2)c1 ZINC000466473642 339206628 /nfs/dbraw/zinc/20/66/28/339206628.db2.gz LQKXSRXKCNUJSK-UHFFFAOYSA-N 0 3 323.770 4.360 20 0 DIADHN Fc1cncc(CNC2CC(c3ccc(F)c(Cl)c3)C2)c1 ZINC000466475599 339206792 /nfs/dbraw/zinc/20/67/92/339206792.db2.gz UUVITVRFQCSKQP-UHFFFAOYSA-N 0 3 308.759 4.049 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1nc(-c2ccsc2)no1 ZINC000466696209 339213268 /nfs/dbraw/zinc/21/32/68/339213268.db2.gz MMRLTFALFHMTRL-AWEZNQCLSA-N 0 3 305.447 4.199 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000466708324 339213800 /nfs/dbraw/zinc/21/38/00/339213800.db2.gz QZXQESGYSCGWDT-CYBMUJFWSA-N 0 3 320.383 4.488 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N2CC[C@H](CC(C)C)C2)c1 ZINC000466718726 339214082 /nfs/dbraw/zinc/21/40/82/339214082.db2.gz ORTWGZMSKDMILL-HUUCEWRRSA-N 0 3 320.502 4.104 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H](C)[C@H](C)C1 ZINC000466739844 339215086 /nfs/dbraw/zinc/21/50/86/339215086.db2.gz RSYZRQONCNKSMJ-GRYCIOLGSA-N 0 3 305.809 4.039 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@H](CC(C)C)C2)c(C)c1 ZINC000466742674 339215312 /nfs/dbraw/zinc/21/53/12/339215312.db2.gz ZKSXPOCGAOUCRV-ZWKOTPCHSA-N 0 3 316.489 4.307 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@@H](CC(C)C)C2)n1 ZINC000466747468 339215600 /nfs/dbraw/zinc/21/56/00/339215600.db2.gz JSFCLFYSBDZDFP-CVEARBPZSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2cccc3cc[nH]c32)cc1 ZINC000466895593 339220376 /nfs/dbraw/zinc/22/03/76/339220376.db2.gz IVBUOBVBFLMDRJ-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1nc2ccc(F)cc2o1 ZINC000466942286 339222334 /nfs/dbraw/zinc/22/23/34/339222334.db2.gz ZVTZZPLASROWEQ-CZUORRHYSA-N 0 3 310.372 4.345 20 0 DIADHN CCc1noc(C)c1CN(C)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000466961778 339222773 /nfs/dbraw/zinc/22/27/73/339222773.db2.gz OYSYHQRLRFRBLA-IBGZPJMESA-N 0 3 318.436 4.384 20 0 DIADHN Cc1ccccc1C1(CN[C@@H](C)c2cnccn2)CCCCC1 ZINC000466994632 339223929 /nfs/dbraw/zinc/22/39/29/339223929.db2.gz JDIZXODHAZLGKF-KRWDZBQOSA-N 0 3 309.457 4.338 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cccc(C3CCC3)c2)cc1 ZINC000467007408 339224434 /nfs/dbraw/zinc/22/44/34/339224434.db2.gz PVEHAZMAHDJKSM-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN C[C@@H](c1ccc(OC(F)(F)F)cc1)N(C)C[C@H]1CCCCO1 ZINC000467186803 339227289 /nfs/dbraw/zinc/22/72/89/339227289.db2.gz NHPORSXXUUVDNI-SWLSCSKDSA-N 0 3 317.351 4.147 20 0 DIADHN Cc1cnc(CNC2(Cc3ccccc3)CCCCC2)s1 ZINC000467186137 339227355 /nfs/dbraw/zinc/22/73/55/339227355.db2.gz LDXZTXIWSBFFFC-UHFFFAOYSA-N 0 3 300.471 4.487 20 0 DIADHN COc1ccc(C2(NC[C@H]3CCC4(CCCCC4)O3)CC2)cc1 ZINC000467192069 339227695 /nfs/dbraw/zinc/22/76/95/339227695.db2.gz RJVACDDBAQLXRG-GOSISDBHSA-N 0 3 315.457 4.156 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2CCC3(CCCCC3)O2)sc1C ZINC000467201418 339227993 /nfs/dbraw/zinc/22/79/93/339227993.db2.gz MPKBRRGIJBAOQU-OAHLLOKOSA-N 0 3 322.518 4.467 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@]1(C)Cc1ccccc1 ZINC000467220184 339228721 /nfs/dbraw/zinc/22/87/21/339228721.db2.gz WKESBRPDSJOTAT-OAQYLSRUSA-N 0 3 322.452 4.031 20 0 DIADHN COCC[C@H]1CCCCN(Cc2ccc(SC)c(OC)c2)C1 ZINC000467272344 339229483 /nfs/dbraw/zinc/22/94/83/339229483.db2.gz BGTLDEYBPKQCTC-OAHLLOKOSA-N 0 3 323.502 4.056 20 0 DIADHN CO[C@@H]1CN([C@@H](C)c2ccc(C(F)(F)F)cc2)CC[C@@H]1C ZINC000467286294 339230017 /nfs/dbraw/zinc/23/00/17/339230017.db2.gz RXYNMHWMLSCZDB-SLEUVZQESA-N 0 3 301.352 4.123 20 0 DIADHN CC(C)(CN[C@H](c1cncc(F)c1)C1CC1)Oc1ccccc1 ZINC000467337953 339232372 /nfs/dbraw/zinc/23/23/72/339232372.db2.gz HGSPAGALHAQYAP-SFHVURJKSA-N 0 3 314.404 4.119 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)N[C@@H](C)c2cc3ccccc3o2)n1 ZINC000467350448 339233179 /nfs/dbraw/zinc/23/31/79/339233179.db2.gz QJBYPDJRLBXMIJ-CABCVRRESA-N 0 3 311.429 4.492 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H](CC(F)(F)F)C(C)C ZINC000467361504 339234026 /nfs/dbraw/zinc/23/40/26/339234026.db2.gz GEEBWYRIJASHJG-ZIAGYGMSSA-N 0 3 319.342 4.388 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@@H](c2cncc(F)c2)C2CC2)C1(C)C ZINC000467361056 339234112 /nfs/dbraw/zinc/23/41/12/339234112.db2.gz YJOOCFKVACCLOF-KZNAEPCWSA-N 0 3 320.452 4.245 20 0 DIADHN OC[C@H]1C=C[C@@H](N[C@@H](c2ccc(Cl)cc2)C2CCCC2)C1 ZINC000467364199 339234323 /nfs/dbraw/zinc/23/43/23/339234323.db2.gz JTAIHOYOWKMXEU-MORSLUCNSA-N 0 3 305.849 4.098 20 0 DIADHN Fc1cncc([C@@H](NCCc2cc3ccccc3o2)C2CC2)c1 ZINC000467374276 339235196 /nfs/dbraw/zinc/23/51/96/339235196.db2.gz VPJPOJGNYADPCG-IBGZPJMESA-N 0 3 310.372 4.250 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000467390207 339236242 /nfs/dbraw/zinc/23/62/42/339236242.db2.gz UUFIRIRONQVPHA-WDMOLILDSA-N 0 3 321.408 4.123 20 0 DIADHN Cc1ccc(C[C@H](CO)N[C@@H](C)c2ccc(F)cc2Cl)cc1 ZINC000467417490 339237468 /nfs/dbraw/zinc/23/74/68/339237468.db2.gz GERCHJDVNXOLTG-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@H]1/C=C/c1ccccc1 ZINC000467484172 339240231 /nfs/dbraw/zinc/24/02/31/339240231.db2.gz RQDMZKOXHDZUIE-SGWGQVFISA-N 0 3 309.457 4.450 20 0 DIADHN C[C@H](CCc1ccccc1)CN1CCCC[C@H]1c1ccnn1C ZINC000467546422 339241824 /nfs/dbraw/zinc/24/18/24/339241824.db2.gz NZMYSCUKVSNDAR-XLIONFOSSA-N 0 3 311.473 4.216 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cn2ccccc2n1 ZINC000467554858 339242235 /nfs/dbraw/zinc/24/22/35/339242235.db2.gz RIMYVGKUNYLEIG-AEFFLSMTSA-N 0 3 305.425 4.102 20 0 DIADHN CN(CCCOCc1ccccc1)CC1=Cc2ccccc2OC1 ZINC000467555647 339242441 /nfs/dbraw/zinc/24/24/41/339242441.db2.gz UJPYWAFLACXXLT-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cnc2ccccn12 ZINC000467562880 339242844 /nfs/dbraw/zinc/24/28/44/339242844.db2.gz DDRZYRZWZDXXSS-WMZOPIPTSA-N 0 3 305.425 4.102 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NC[C@H](C)N1CCCC[C@H]1C ZINC000467650357 339243943 /nfs/dbraw/zinc/24/39/43/339243943.db2.gz MNHCHBVSWPGDMJ-KGLIPLIRSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1ccccc1CCN[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000467730333 339244993 /nfs/dbraw/zinc/24/49/93/339244993.db2.gz ASVYPDALMJEKGN-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN CC1CC(N[C@H](Cn2cnc3ccccc32)c2ccc(F)cc2)C1 ZINC000467742081 339245389 /nfs/dbraw/zinc/24/53/89/339245389.db2.gz FXUMAWKASKFOGR-ITXXBFQVSA-N 0 3 323.415 4.305 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCC[C@@H]2n2cccn2)cc1Cl ZINC000467763474 339246159 /nfs/dbraw/zinc/24/61/59/339246159.db2.gz TZXPVVYGCSRLIN-CVEARBPZSA-N 0 3 324.255 4.463 20 0 DIADHN Clc1cc(Cl)cc(CN[C@H]2CCCC[C@@H]2n2cccn2)c1 ZINC000467764542 339246171 /nfs/dbraw/zinc/24/61/71/339246171.db2.gz RFISEYGUPAOUJA-HOTGVXAUSA-N 0 3 324.255 4.463 20 0 DIADHN Cc1cc(CNCc2ccc(Br)c(C)c2)ccc1F ZINC000467774626 339246459 /nfs/dbraw/zinc/24/64/59/339246459.db2.gz WCGXVYHKSLYRQK-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000467855804 339247844 /nfs/dbraw/zinc/24/78/44/339247844.db2.gz YTDOIXFYEFGKKW-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc(C)c(C)c1)CCO2 ZINC000468019108 339251319 /nfs/dbraw/zinc/25/13/19/339251319.db2.gz FHZQSLQKKBCKLR-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000468043400 339252425 /nfs/dbraw/zinc/25/24/25/339252425.db2.gz VFMDUZYOBFVPNO-UYHISHBKSA-N 0 3 323.864 4.204 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1c(OC(C)C)ccc2ccccc21 ZINC000468042979 339252476 /nfs/dbraw/zinc/25/24/76/339252476.db2.gz PTXIFBQBJPHILN-GJZGRUSLSA-N 0 3 301.430 4.140 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@H](C)C[C@@H](C)C3)o2)cc1C ZINC000468271714 339258453 /nfs/dbraw/zinc/25/84/53/339258453.db2.gz ZDPDAUYLHCYVNK-IOASZLSFSA-N 0 3 313.445 4.392 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1ncoc1-c1ccccc1 ZINC000468506450 339264666 /nfs/dbraw/zinc/26/46/66/339264666.db2.gz KNVIAOYFLZXOKT-INIZCTEOSA-N 0 3 306.409 4.371 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccccc1F)c1ccc(C)o1 ZINC000468551401 339266034 /nfs/dbraw/zinc/26/60/34/339266034.db2.gz DLUFDOAXVWRQQX-CXAGYDPISA-N 0 3 305.393 4.026 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1cccc(C)c1)c1ccc(C)o1 ZINC000468558754 339266496 /nfs/dbraw/zinc/26/64/96/339266496.db2.gz DQCKUJHKHMBMMV-MAUKXSAKSA-N 0 3 301.430 4.195 20 0 DIADHN CO[C@@H](CCNCc1cc2cc(C)c(C)cc2o1)C(F)(F)F ZINC000468616516 339267207 /nfs/dbraw/zinc/26/72/07/339267207.db2.gz BXPDTWXOHHQAEM-HNNXBMFYSA-N 0 3 315.335 4.107 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccncc3)s2)CC(C)(C)C1 ZINC000468678753 339268400 /nfs/dbraw/zinc/26/84/00/339268400.db2.gz COFJSYHSDLCADE-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN CCC(CC)(CN[C@H](C)c1csc(Cl)c1)C(=O)OC ZINC000468824004 339271878 /nfs/dbraw/zinc/27/18/78/339271878.db2.gz FNISGHWRLDRSKV-SNVBAGLBSA-N 0 3 303.855 4.032 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1nc(-c2ccccc2)no1 ZINC000468836747 339272161 /nfs/dbraw/zinc/27/21/61/339272161.db2.gz DOIGBIJKOAOSEC-SFHVURJKSA-N 0 3 321.424 4.238 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc2c(c1)COC(C)(C)O2 ZINC000468845217 339272414 /nfs/dbraw/zinc/27/24/14/339272414.db2.gz FHQMHUCNJCFWPQ-UHFFFAOYSA-N 0 3 311.425 4.272 20 0 DIADHN CCC(CC)CC(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000468869066 339273115 /nfs/dbraw/zinc/27/31/15/339273115.db2.gz HTWGEZLFBVWUIY-OAHLLOKOSA-N 0 3 304.478 4.058 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@@H](C)c1csc(Cl)c1)C2 ZINC000468869812 339273178 /nfs/dbraw/zinc/27/31/78/339273178.db2.gz HKCWJDDBLXPIJE-GWCFXTLKSA-N 0 3 323.845 4.064 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@H](C)c1csc(Cl)c1)C2 ZINC000468870245 339273184 /nfs/dbraw/zinc/27/31/84/339273184.db2.gz HKCWJDDBLXPIJE-MFKMUULPSA-N 0 3 323.845 4.064 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(C(C)(C)C)c1C ZINC000468922643 339274047 /nfs/dbraw/zinc/27/40/47/339274047.db2.gz KLEXNLPYBXTVFD-PWSUYJOCSA-N 0 3 305.388 4.328 20 0 DIADHN CCc1cccc(CN2CCC(C(=O)c3ccccc3)CC2)c1 ZINC000468934313 339275109 /nfs/dbraw/zinc/27/51/09/339275109.db2.gz KSKJNQORGWEAMO-UHFFFAOYSA-N 0 3 307.437 4.344 20 0 DIADHN C[C@H](NC[C@@H](c1ccsc1)N(C)C)c1csc(Cl)c1 ZINC000468952994 339275850 /nfs/dbraw/zinc/27/58/50/339275850.db2.gz NGPCJAJOSIGIQS-GWCFXTLKSA-N 0 3 314.907 4.417 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@H]2Cc2ccccc2)cnn1C(C)(C)C ZINC000469037886 339278321 /nfs/dbraw/zinc/27/83/21/339278321.db2.gz SQWBLPQTJAOREH-POZUXBRTSA-N 0 3 311.473 4.228 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)CC1(C)COC1 ZINC000469158843 339281757 /nfs/dbraw/zinc/28/17/57/339281757.db2.gz ZRWYOLIUEHIHLV-CQSZACIVSA-N 0 3 316.470 4.148 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cnn(C(C)(C)C)c2C)C[C@H]1OC ZINC000469183809 339282305 /nfs/dbraw/zinc/28/23/05/339282305.db2.gz QRKLVBBPTRNYIK-IAOVAPTHSA-N 0 3 321.509 4.191 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cnn(C(C)(C)C)c2C)C[C@@H]1OC ZINC000469183955 339282459 /nfs/dbraw/zinc/28/24/59/339282459.db2.gz QRKLVBBPTRNYIK-XKQJLSEDSA-N 0 3 321.509 4.191 20 0 DIADHN C[C@H](N(Cc1cn2cccnc2n1)Cc1ccccc1)C(C)(C)C ZINC000469444018 339289480 /nfs/dbraw/zinc/28/94/80/339289480.db2.gz FTMQWBDWEHCPMP-INIZCTEOSA-N 0 3 322.456 4.166 20 0 DIADHN COCc1cccc(CN2CC[C@H](c3c(F)cccc3F)C2)c1 ZINC000469450746 339289833 /nfs/dbraw/zinc/28/98/33/339289833.db2.gz TWRPRVDAMIZTFA-INIZCTEOSA-N 0 3 317.379 4.101 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCCN2C[C@@H]2CCOC2)c1 ZINC000469513132 339292207 /nfs/dbraw/zinc/29/22/07/339292207.db2.gz CHXPNSVTRXBWCA-BBRMVZONSA-N 0 3 313.363 4.269 20 0 DIADHN COCCCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000469523471 339292486 /nfs/dbraw/zinc/29/24/86/339292486.db2.gz JUNWUJWZACYWLZ-OAHLLOKOSA-N 0 3 301.352 4.269 20 0 DIADHN Cc1cccc([C@H]2CCCCN2C[C@H](O)CC(F)(F)F)c1C ZINC000469608729 339294447 /nfs/dbraw/zinc/29/44/47/339294447.db2.gz HUUDOXYNPZVGOF-GDBMZVCRSA-N 0 3 315.379 4.144 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000469772456 339298569 /nfs/dbraw/zinc/29/85/69/339298569.db2.gz MKSWEBDQMJXXHB-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000469772455 339298699 /nfs/dbraw/zinc/29/86/99/339298699.db2.gz MKSWEBDQMJXXHB-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN OCC[C@H](N[C@@H]1CCCC[C@H](c2ccccc2)C1)c1ccco1 ZINC000469778723 339299169 /nfs/dbraw/zinc/29/91/69/339299169.db2.gz FFKQPXBOGCLISZ-OTWHNJEPSA-N 0 3 313.441 4.409 20 0 DIADHN Cc1ccccc1O[C@H]1CCCC[C@@H]1NCc1ccc(F)cn1 ZINC000469777941 339299226 /nfs/dbraw/zinc/29/92/26/339299226.db2.gz ZHUOOBSHSSTROC-HKUYNNGSSA-N 0 3 314.404 4.009 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC000469779723 339299351 /nfs/dbraw/zinc/29/93/51/339299351.db2.gz KSLPYXXYRIFWAN-FUHWJXTLSA-N 0 3 316.470 4.496 20 0 DIADHN CC(C)c1nc([C@H](C)NCC(C)(C)N2CCC[C@@H](C)C2)cs1 ZINC000469782273 339299486 /nfs/dbraw/zinc/29/94/86/339299486.db2.gz ZEDAOGXARMPYDI-CABCVRRESA-N 0 3 323.550 4.428 20 0 DIADHN CC1(c2ccccc2)CCC(N[C@H](CCO)c2ccco2)CC1 ZINC000469782364 339299534 /nfs/dbraw/zinc/29/95/34/339299534.db2.gz YZTDJWPFJITVNR-QPIRBTGLSA-N 0 3 313.441 4.193 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H](CCO)c1ccco1 ZINC000469790889 339300408 /nfs/dbraw/zinc/30/04/08/339300408.db2.gz MKEDOWNTPYEIMR-ROUUACIJSA-N 0 3 301.430 4.094 20 0 DIADHN CC[C@@H](NCC(C)(C)c1cccc(Cl)c1F)c1ccn(C)n1 ZINC000469803771 339301208 /nfs/dbraw/zinc/30/12/08/339301208.db2.gz FHZPDLGOVUOBEF-CQSZACIVSA-N 0 3 323.843 4.231 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CCCOc1ccccc1 ZINC000469992447 339307131 /nfs/dbraw/zinc/30/71/31/339307131.db2.gz QEQLIRKUXKQHME-CQSZACIVSA-N 0 3 301.352 4.118 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cccc(OC(F)F)c1 ZINC000469991947 339307320 /nfs/dbraw/zinc/30/73/20/339307320.db2.gz NEYUYFZEHNYMFQ-GFCCVEGCSA-N 0 3 323.305 4.451 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cccc2cccnc21 ZINC000469994465 339307466 /nfs/dbraw/zinc/30/74/66/339307466.db2.gz WZKPLPWBTHTWSX-CQSZACIVSA-N 0 3 308.347 4.398 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccc2ncccc2c1 ZINC000469997189 339307642 /nfs/dbraw/zinc/30/76/42/339307642.db2.gz WQXXZLAHUIZJFZ-OAHLLOKOSA-N 0 3 308.347 4.398 20 0 DIADHN COC1CC(N[C@H](c2ccsc2)c2ccc(Cl)cc2)C1 ZINC000470026264 339309116 /nfs/dbraw/zinc/30/91/16/339309116.db2.gz DGIOGPCELWJQDI-GPANFISMSA-N 0 3 307.846 4.258 20 0 DIADHN CCCn1ccc2ccc(NC(=O)[C@H](CC)N(CC)CC)cc21 ZINC000470100046 339310710 /nfs/dbraw/zinc/31/07/10/339310710.db2.gz KVMZRJWHGIHMDG-KRWDZBQOSA-N 0 3 315.461 4.110 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000470155659 339311975 /nfs/dbraw/zinc/31/19/75/339311975.db2.gz BCTIYORPGINHML-CQSZACIVSA-N 0 3 323.362 4.240 20 0 DIADHN C[C@H](NCCCC1CCOCC1)c1nc(C(C)(C)C)cs1 ZINC000470181802 339313101 /nfs/dbraw/zinc/31/31/01/339313101.db2.gz HBKIZRNFXRLJDC-ZDUSSCGKSA-N 0 3 310.507 4.298 20 0 DIADHN CC[C@@H]1CCCN1Cc1cc(Br)cc2cccnc21 ZINC000470205279 339314140 /nfs/dbraw/zinc/31/41/40/339314140.db2.gz LMHHUAWVSOHWKY-OAHLLOKOSA-N 0 3 319.246 4.372 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1cc(Br)cc2cccnc21 ZINC000470208198 339314305 /nfs/dbraw/zinc/31/43/05/339314305.db2.gz RJUPOQYRWHRUMK-TXEJJXNPSA-N 0 3 319.246 4.370 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1cc(Br)cc2cccnc21 ZINC000470208199 339314324 /nfs/dbraw/zinc/31/43/24/339314324.db2.gz RJUPOQYRWHRUMK-VXGBXAGGSA-N 0 3 319.246 4.370 20 0 DIADHN Cc1csc(C2(NCCCC3CCOCC3)CCCC2)n1 ZINC000470249218 339315175 /nfs/dbraw/zinc/31/51/75/339315175.db2.gz XGPBHGBURZXXTG-UHFFFAOYSA-N 0 3 308.491 4.017 20 0 DIADHN Clc1cc(CNCCCC2CCOCC2)c(Cl)s1 ZINC000470296809 339316594 /nfs/dbraw/zinc/31/65/94/339316594.db2.gz KIOSFYRQVCZEOR-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN Cc1ccccc1C(N[C@@H](C)Cn1cccn1)c1ccccc1C ZINC000470330784 339317353 /nfs/dbraw/zinc/31/73/53/339317353.db2.gz QLYPFQQZPPLKFC-SFHVURJKSA-N 0 3 319.452 4.268 20 0 DIADHN Cc1ccccc1C(N[C@H](C)Cn1cccn1)c1ccccc1C ZINC000470330783 339317374 /nfs/dbraw/zinc/31/73/74/339317374.db2.gz QLYPFQQZPPLKFC-GOSISDBHSA-N 0 3 319.452 4.268 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C[C@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470359923 339318187 /nfs/dbraw/zinc/31/81/87/339318187.db2.gz VONSJLNLALICEU-RWMBFGLXSA-N 0 3 320.868 4.426 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)NC[C@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470359923 339318188 /nfs/dbraw/zinc/31/81/88/339318188.db2.gz VONSJLNLALICEU-RWMBFGLXSA-N 0 3 320.868 4.426 20 0 DIADHN Cn1cc([C@@H](NCc2cccc(C(F)F)c2)C(C)(C)C)cn1 ZINC000470761258 339327072 /nfs/dbraw/zinc/32/70/72/339327072.db2.gz IEZONPRCJLDFSX-OAHLLOKOSA-N 0 3 307.388 4.235 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@H]3[C@@H](CCCN3C3CC3)C2)c1 ZINC000470764490 339327090 /nfs/dbraw/zinc/32/70/90/339327090.db2.gz JKQHUYYYAMZHMM-FUHWJXTLSA-N 0 3 320.427 4.073 20 0 DIADHN CN(CCOCc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470768873 339327186 /nfs/dbraw/zinc/32/71/86/339327186.db2.gz GDAHWVVGXMVJOH-UHFFFAOYSA-N 0 3 305.368 4.273 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2cccc(C(F)F)c2)c1 ZINC000470781822 339327983 /nfs/dbraw/zinc/32/79/83/339327983.db2.gz YXDXDVCJYFTICY-UHFFFAOYSA-N 0 3 304.384 4.322 20 0 DIADHN COC[C@H](Cc1ccccc1)N(C)Cc1cccc(C(F)F)c1 ZINC000470871943 339329799 /nfs/dbraw/zinc/32/97/99/339329799.db2.gz PGUQBHRMZPJQOL-SFHVURJKSA-N 0 3 319.395 4.314 20 0 DIADHN Cc1oncc1CN1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000470874560 339329926 /nfs/dbraw/zinc/32/99/26/339329926.db2.gz SEILCDDPSWZWNW-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(F)c2cccnc12 ZINC000470950528 339332357 /nfs/dbraw/zinc/33/23/57/339332357.db2.gz RKKVQWJZUGBKID-ZWNOBZJWSA-N 0 3 312.310 4.147 20 0 DIADHN CCCC(CCC)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471132600 339337922 /nfs/dbraw/zinc/33/79/22/339337922.db2.gz FRRVDRXZYSMXAH-QAPCUYQASA-N 0 3 304.478 4.010 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CC[C@H]3c3ccccc3)cc2)n1 ZINC000471183393 339339825 /nfs/dbraw/zinc/33/98/25/339339825.db2.gz XNSPXHBPIGFVSH-NRFANRHFSA-N 0 3 317.436 4.436 20 0 DIADHN CCCC1(C(=O)Nc2cccc(CN3CCCCC3)c2)CC1 ZINC000471235517 339340792 /nfs/dbraw/zinc/34/07/92/339340792.db2.gz MWIAQEHPTKDNHC-UHFFFAOYSA-N 0 3 300.446 4.191 20 0 DIADHN C1=C(c2nc(-c3cccc(CN4CCCCC4)c3)no2)CCC1 ZINC000471521603 339346637 /nfs/dbraw/zinc/34/66/37/339346637.db2.gz JNTBRJWAPSHQTE-UHFFFAOYSA-N 0 3 309.413 4.290 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1ccccc1F ZINC000471583526 339348049 /nfs/dbraw/zinc/34/80/49/339348049.db2.gz ACXXQXBLNNJULN-YZVOILCLSA-N 0 3 300.421 4.168 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1cccc(F)c1F ZINC000471581723 339348160 /nfs/dbraw/zinc/34/81/60/339348160.db2.gz JYWQLQNFOVBLHV-CKFHNAJUSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1cc2ccccc2o1 ZINC000471592422 339348544 /nfs/dbraw/zinc/34/85/44/339348544.db2.gz FBWCGUQAUFMSBW-LBPRGKRZSA-N 0 3 301.411 4.396 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1ccc(F)cc1Cl ZINC000471595490 339348642 /nfs/dbraw/zinc/34/86/42/339348642.db2.gz UQNXDRIFOCOPJP-SNVBAGLBSA-N 0 3 313.825 4.443 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC3CC(OC(C)C)C3)oc21 ZINC000471600710 339348975 /nfs/dbraw/zinc/34/89/75/339348975.db2.gz DIIRUDAWFJYDLO-JEYLPNPQSA-N 0 3 317.429 4.438 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@H](C)c2ccc(F)cn2)cc1 ZINC000471600288 339348997 /nfs/dbraw/zinc/34/89/97/339348997.db2.gz BDYSPJJYGZJHOJ-ZIAGYGMSSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1F)[C@@H](c1ccccc1)N(C)C ZINC000471608344 339349327 /nfs/dbraw/zinc/34/93/27/339349327.db2.gz CJUNRTIEPWDBQY-KSMMKXTCSA-N 0 3 318.411 4.307 20 0 DIADHN C[C@H](NCCOCC(F)F)c1cccc(-c2ccccc2)c1 ZINC000471757392 339353193 /nfs/dbraw/zinc/35/31/93/339353193.db2.gz GNQDYMKPHGNKQE-AWEZNQCLSA-N 0 3 305.368 4.286 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000471786360 339353939 /nfs/dbraw/zinc/35/39/39/339353939.db2.gz IBHVTRZDRZVLNN-BZPMIXESSA-N 0 3 300.229 4.138 20 0 DIADHN CCC(O)(CC)CCN[C@@H]1CCCOc2c(Cl)cccc21 ZINC000471786475 339353988 /nfs/dbraw/zinc/35/39/88/339353988.db2.gz JXFDMAXLMQASSQ-OAHLLOKOSA-N 0 3 311.853 4.085 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](c2cc3ccccc3o2)c2cccnc2)C1 ZINC000471789636 339354141 /nfs/dbraw/zinc/35/41/41/339354141.db2.gz SSLIIUYCSFMSBL-SQGPQFPESA-N 0 3 322.408 4.074 20 0 DIADHN CC[C@H](NCCc1ccccc1OC(F)F)c1ccc(F)cn1 ZINC000471790880 339354172 /nfs/dbraw/zinc/35/41/72/339354172.db2.gz UDUXQHDWLWRYDG-AWEZNQCLSA-N 0 3 324.346 4.106 20 0 DIADHN CCC(O)(CC)CCNCc1ccc(-c2ccccc2F)o1 ZINC000471822940 339355388 /nfs/dbraw/zinc/35/53/88/339355388.db2.gz WLHXWGVLSWDHKC-UHFFFAOYSA-N 0 3 305.393 4.117 20 0 DIADHN CO[C@H]1CC[C@@H](NCc2ccc(-c3cccc(F)c3C)o2)C1 ZINC000471826329 339355455 /nfs/dbraw/zinc/35/54/55/339355455.db2.gz YGUXZRYVCFAYFV-KGLIPLIRSA-N 0 3 303.377 4.051 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2ccc(-c3cccc(F)c3C)o2)C1 ZINC000471826330 339355495 /nfs/dbraw/zinc/35/54/95/339355495.db2.gz YGUXZRYVCFAYFV-UONOGXRCSA-N 0 3 303.377 4.051 20 0 DIADHN COCc1ccccc1CNCc1cc2c(ccc(C)c2C)[nH]1 ZINC000472034293 339359835 /nfs/dbraw/zinc/35/98/35/339359835.db2.gz DFMDATIJKIHLEQ-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN CCn1nc(CNC[C@@H](C)c2ccc(F)cc2)c2ccccc21 ZINC000472041466 339360247 /nfs/dbraw/zinc/36/02/47/339360247.db2.gz GFKVZUNTZQDRAZ-CQSZACIVSA-N 0 3 311.404 4.089 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2ncc(Cl)s2)cc1 ZINC000472045446 339360475 /nfs/dbraw/zinc/36/04/75/339360475.db2.gz STPNJNGPJHIPDO-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN COCc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)cc1 ZINC000472047736 339360728 /nfs/dbraw/zinc/36/07/28/339360728.db2.gz IHBFISKJIYSYJL-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN Clc1cnc(CNCC2(c3ccccc3)CCCC2)s1 ZINC000472057273 339361456 /nfs/dbraw/zinc/36/14/56/339361456.db2.gz GKWLFSJSWRWMRU-UHFFFAOYSA-N 0 3 306.862 4.398 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1nn(CC)c2ccccc12 ZINC000472058000 339361494 /nfs/dbraw/zinc/36/14/94/339361494.db2.gz NCMCZPUFYDCSLH-QGZVFWFLSA-N 0 3 307.441 4.167 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](CNCc2ncc(Cl)s2)C1 ZINC000472067222 339362093 /nfs/dbraw/zinc/36/20/93/339362093.db2.gz DUWFWADIYWIJSG-RKDXNWHRSA-N 0 3 312.788 4.255 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](c3cccnc3)C3CC3)cc2c1C ZINC000472072204 339362313 /nfs/dbraw/zinc/36/23/13/339362313.db2.gz CPNMVWMNFZCDFL-FQEVSTJZSA-N 0 3 305.425 4.421 20 0 DIADHN C[C@@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@@H](c1ccccc1)N(C)C ZINC000472072830 339362542 /nfs/dbraw/zinc/36/25/42/339362542.db2.gz HHEHEUCNBXFTLU-OHRYMORCSA-N 0 3 312.457 4.184 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1ncc(Cl)s1 ZINC000472074838 339362594 /nfs/dbraw/zinc/36/25/94/339362594.db2.gz NVYXYSMKQONBHK-ZDUSSCGKSA-N 0 3 312.841 4.293 20 0 DIADHN Clc1cnc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)s1 ZINC000472080331 339363003 /nfs/dbraw/zinc/36/30/03/339363003.db2.gz NPGVPSHZDOEQJO-ZIAGYGMSSA-N 0 3 306.862 4.298 20 0 DIADHN OCC1(CNCc2ccc(Cl)c(C(F)(F)F)c2)CCCC1 ZINC000472127962 339363572 /nfs/dbraw/zinc/36/35/72/339363572.db2.gz KUZYZPWDCZBWRI-UHFFFAOYSA-N 0 3 321.770 4.001 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H](C)COc1cccc(F)c1 ZINC000472128266 339363623 /nfs/dbraw/zinc/36/36/23/339363623.db2.gz NXWUVJWRWQDGGO-NWDGAFQWSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccsc1CN[C@@H](C)CSc1ccc(C)cc1 ZINC000472130387 339363693 /nfs/dbraw/zinc/36/36/93/339363693.db2.gz NHQPIQCFIRRPPZ-ZDUSSCGKSA-N 0 3 307.484 4.336 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H](C)Oc1ccc(C)cc1 ZINC000472129899 339363799 /nfs/dbraw/zinc/36/37/99/339363799.db2.gz HODIIFIHFTYXKJ-ZIAGYGMSSA-N 0 3 305.443 4.183 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cnn(C(C)(C)C)c2)cc1 ZINC000472149578 339364323 /nfs/dbraw/zinc/36/43/23/339364323.db2.gz CSQJIEXCZHMRJG-HNNXBMFYSA-N 0 3 317.502 4.217 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccncc1Cl ZINC000472239189 339367107 /nfs/dbraw/zinc/36/71/07/339367107.db2.gz HXHWRFDMEYLKCE-IUODEOHRSA-N 0 3 304.796 4.252 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)CC(C)(C)O)s2)cc1 ZINC000472244306 339367331 /nfs/dbraw/zinc/36/73/31/339367331.db2.gz UAHKLLOSQCASJM-UHFFFAOYSA-N 0 3 318.486 4.136 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2ccncc2Cl)cc1 ZINC000472251571 339367797 /nfs/dbraw/zinc/36/77/97/339367797.db2.gz NGZSHWWUDGGWND-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CCn1cc(CCN([C@H](C)c2ccccc2Cl)C2CC2)cn1 ZINC000472592530 339374552 /nfs/dbraw/zinc/37/45/52/339374552.db2.gz VRAIPSRKMLECHH-CQSZACIVSA-N 0 3 317.864 4.325 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N[C@H]1CCCC1(C)C ZINC000472598248 339374840 /nfs/dbraw/zinc/37/48/40/339374840.db2.gz DNAARUIARIHEEV-WFASDCNBSA-N 0 3 308.853 4.144 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N[C@@H]1CCCC1(C)C ZINC000472594924 339374863 /nfs/dbraw/zinc/37/48/63/339374863.db2.gz GAVRBAMPWJTFJT-SWLSCSKDSA-N 0 3 308.853 4.144 20 0 DIADHN c1ccc(C[C@H]2CCC[C@H]2NCc2nc3ccccc3o2)cc1 ZINC000472611709 339375283 /nfs/dbraw/zinc/37/52/83/339375283.db2.gz VZGURTHMYNKPKN-IAGOWNOFSA-N 0 3 306.409 4.329 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc4ncccc4c3)C2)cc1F ZINC000473044129 339380557 /nfs/dbraw/zinc/38/05/57/339380557.db2.gz GNMQMOUPRRSAGK-UHFFFAOYSA-N 0 3 324.374 4.188 20 0 DIADHN CC[C@@H]1C[C@H](CN2CC=C(c3c(F)cccc3F)CC2)CCO1 ZINC000473120720 339381367 /nfs/dbraw/zinc/38/13/67/339381367.db2.gz GGZPHDHMLCOODV-GDBMZVCRSA-N 0 3 321.411 4.259 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2nn(CC)c3ccccc23)C1 ZINC000473130996 339381772 /nfs/dbraw/zinc/38/17/72/339381772.db2.gz FDGBYURAIIFPCY-OAHLLOKOSA-N 0 3 317.502 4.164 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cc3c(ccc(C)c3C)[nH]2)C1 ZINC000473138852 339382148 /nfs/dbraw/zinc/38/21/48/339382148.db2.gz HCMXLUPJMJEHDX-INIZCTEOSA-N 0 3 300.446 4.033 20 0 DIADHN CC(C)(NCCCc1ccncc1)c1cccc(Cl)c1F ZINC000473450102 339385664 /nfs/dbraw/zinc/38/56/64/339385664.db2.gz NMJZYAKDIZHESK-UHFFFAOYSA-N 0 3 306.812 4.332 20 0 DIADHN FC(F)(F)OCCN[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000473667628 339389977 /nfs/dbraw/zinc/38/99/77/339389977.db2.gz WPCSQPNFJDVDLV-LLVKDONJSA-N 0 3 314.134 4.107 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@]1(C)CCO[C@H]1C1CC1 ZINC000473986063 339396266 /nfs/dbraw/zinc/39/62/66/339396266.db2.gz VVYOBLYTDQERDN-ROUUACIJSA-N 0 3 307.865 4.197 20 0 DIADHN CCOC[C@H](NCc1cnc(-c2ccc(C)cc2)s1)C(C)C ZINC000473992170 339396497 /nfs/dbraw/zinc/39/64/97/339396497.db2.gz GWHSOYYCQHCWGU-KRWDZBQOSA-N 0 3 318.486 4.269 20 0 DIADHN CCOC1CC(CCNCc2ccc(-c3ccccc3F)o2)C1 ZINC000474002811 339396774 /nfs/dbraw/zinc/39/67/74/339396774.db2.gz YKGZDLVCWJCGBR-UHFFFAOYSA-N 0 3 317.404 4.381 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H](C)c1c(C)noc1C ZINC000474001188 339396814 /nfs/dbraw/zinc/39/68/14/339396814.db2.gz PVSSFMAFZFASCM-LLVKDONJSA-N 0 3 306.837 4.401 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCOC(F)(F)F)o1 ZINC000474015783 339397085 /nfs/dbraw/zinc/39/70/85/339397085.db2.gz GUIDXOXJDVUQAK-UHFFFAOYSA-N 0 3 317.282 4.020 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](C)C3CCC(F)(F)CC3)nc2c1 ZINC000474032374 339397898 /nfs/dbraw/zinc/39/78/98/339397898.db2.gz ISOGFJDTTMYOTO-LBPRGKRZSA-N 0 3 307.388 4.175 20 0 DIADHN Cn1c(CN[C@H]2CCC23CCCC3)nc2cccc(Cl)c21 ZINC000474043355 339398637 /nfs/dbraw/zinc/39/86/37/339398637.db2.gz GARSYTAETZENPP-AWEZNQCLSA-N 0 3 303.837 4.039 20 0 DIADHN c1cc(CN2CCSCC2)cc(N[C@@H]2CCC23CCCC3)c1 ZINC000474047492 339399074 /nfs/dbraw/zinc/39/90/74/339399074.db2.gz PWJLZUCMFMIVMN-GOSISDBHSA-N 0 3 316.514 4.370 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NC[C@H](C)C(F)(F)F ZINC000474060372 339399907 /nfs/dbraw/zinc/39/99/07/339399907.db2.gz ZTYGHIHNJOOMPQ-HZMBPMFUSA-N 0 3 301.352 4.206 20 0 DIADHN COc1c2ccccc2oc1CN[C@H](C)CCC(F)(F)F ZINC000474068429 339400554 /nfs/dbraw/zinc/40/05/54/339400554.db2.gz QHNDJZHSAPXUJL-SNVBAGLBSA-N 0 3 301.308 4.262 20 0 DIADHN COc1ccc2nc(CN[C@H](C)Cc3cccs3)sc2c1 ZINC000474351586 339410622 /nfs/dbraw/zinc/41/06/22/339410622.db2.gz NVDKPVMRTLQDES-LLVKDONJSA-N 0 3 318.467 4.087 20 0 DIADHN CO[C@@H](C)CCNCc1c(Cl)cccc1SC(C)C ZINC000474601407 339419070 /nfs/dbraw/zinc/41/90/70/339419070.db2.gz RRDLCUAWAPHEHL-LBPRGKRZSA-N 0 3 301.883 4.355 20 0 DIADHN CO[C@H](C)c1nc(CNC[C@@H](c2ccccc2)C(C)C)cs1 ZINC000474797049 339426393 /nfs/dbraw/zinc/42/63/93/339426393.db2.gz QBEDYVIYHBUGSV-RHSMWYFYSA-N 0 3 318.486 4.380 20 0 DIADHN C[C@H](NCc1csc(CCc2ccccc2)n1)C1CCC1 ZINC000474871355 339429203 /nfs/dbraw/zinc/42/92/03/339429203.db2.gz GBHBVPXQALQTJF-AWEZNQCLSA-N 0 3 300.471 4.207 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000474894101 339430250 /nfs/dbraw/zinc/43/02/50/339430250.db2.gz QCHQVLKEOLYHBW-GJZGRUSLSA-N 0 3 319.880 4.474 20 0 DIADHN CCOC[C@H]1CCC[C@H]1NCc1cc(Cl)sc1Cl ZINC000474895884 339430390 /nfs/dbraw/zinc/43/03/90/339430390.db2.gz YBHNANAXEKUIKH-MWLCHTKSSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)c1cccc(CNCc2ccnn2-c2ccc(F)cc2)c1 ZINC000474931747 339431809 /nfs/dbraw/zinc/43/18/09/339431809.db2.gz IAGQMLXOILZGMS-UHFFFAOYSA-N 0 3 323.415 4.425 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H](C)C1CCC(F)(F)CC1)n2C ZINC000474948468 339433058 /nfs/dbraw/zinc/43/30/58/339433058.db2.gz YEHKMCCSPFGLDA-CYBMUJFWSA-N 0 3 321.415 4.185 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H]3CCCC[C@H]3C(C)C)o2)cc1 ZINC000475158033 339440292 /nfs/dbraw/zinc/44/02/92/339440292.db2.gz WHQAFJKZZXXUNI-IRXDYDNUSA-N 0 3 313.445 4.349 20 0 DIADHN C[C@@H](N[C@H](C)[C@@H]1CCCOC1)c1ccc(Cl)cc1Cl ZINC000475213372 339441443 /nfs/dbraw/zinc/44/14/43/339441443.db2.gz NCUKTYIPLRJQAH-IJLUTSLNSA-N 0 3 302.245 4.459 20 0 DIADHN FC(F)C1(CNCc2ccc(Cl)c(Br)c2)CC1 ZINC000475217432 339442104 /nfs/dbraw/zinc/44/21/04/339442104.db2.gz RRJZPCFZRKUYTR-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000475296191 339444935 /nfs/dbraw/zinc/44/49/35/339444935.db2.gz LDETYOABGBTAJD-UHFFFAOYSA-N 0 3 317.864 4.234 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F)C1CCC1 ZINC000475638406 339458005 /nfs/dbraw/zinc/45/80/05/339458005.db2.gz OVGVWXCXDIGZGV-OTYXRUKQSA-N 0 3 321.770 4.170 20 0 DIADHN CCOc1cccc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)n1 ZINC000475666091 339458662 /nfs/dbraw/zinc/45/86/62/339458662.db2.gz AZLWCHSKBNQFGK-CZIWCDLHSA-N 0 3 316.832 4.170 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1cnc(-c2ccsc2)s1 ZINC000475677160 339459346 /nfs/dbraw/zinc/45/93/46/339459346.db2.gz FAKWHECMUYOQCW-CYBMUJFWSA-N 0 3 324.515 4.148 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC000477264709 339490901 /nfs/dbraw/zinc/49/09/01/339490901.db2.gz HVRKHHDBTGPMCY-YJBOKZPZSA-N 0 3 301.430 4.277 20 0 DIADHN CCc1ccc([C@H](C)NC[C@](C)(Cc2ccccc2)[C@@H](C)O)o1 ZINC000477849144 339502523 /nfs/dbraw/zinc/50/25/23/339502523.db2.gz OKPQOSBRHYIYMX-YRNRMSPPSA-N 0 3 315.457 4.122 20 0 DIADHN COCC[C@@H](NC/C=C/c1ccc(F)cc1)c1ccc(C)o1 ZINC000478183442 339509760 /nfs/dbraw/zinc/50/97/60/339509760.db2.gz STKWDEBOEDPABE-REMYHPOOSA-N 0 3 303.377 4.108 20 0 DIADHN COCC[C@H](NC/C=C/c1ccc(F)cc1)c1ccc(C)o1 ZINC000478183440 339509772 /nfs/dbraw/zinc/50/97/72/339509772.db2.gz STKWDEBOEDPABE-IDOMTICXSA-N 0 3 303.377 4.108 20 0 DIADHN COCC[C@H](NC/C=C\c1ccc(F)cc1)c1ccc(C)o1 ZINC000478183441 339509785 /nfs/dbraw/zinc/50/97/85/339509785.db2.gz STKWDEBOEDPABE-LIMHQNJXSA-N 0 3 303.377 4.108 20 0 DIADHN CC(C)[C@@H](NC[C@@H](CO)c1ccccc1)c1cc(F)ccc1F ZINC000479072769 339526947 /nfs/dbraw/zinc/52/69/47/339526947.db2.gz UADWUKGBEOFUQO-HNAYVOBHSA-N 0 3 319.395 4.028 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H]1CCCc2nn(C)cc21)C(C)(C)C ZINC000479076814 339527031 /nfs/dbraw/zinc/52/70/31/339527031.db2.gz LCNJUPIDIZHMDL-MJGOQNOKSA-N 0 3 311.473 4.483 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000479401017 339533246 /nfs/dbraw/zinc/53/32/46/339533246.db2.gz CQFXJUDUMIHJLA-OGWOLHLISA-N 0 3 315.436 4.065 20 0 DIADHN COC1(CNCc2ncc(-c3ccccc3)s2)CCCCC1 ZINC000479413784 339533795 /nfs/dbraw/zinc/53/37/95/339533795.db2.gz DSAJDZZWDXROCL-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN[C@@H](C)CC(F)(F)F ZINC000479434798 339534989 /nfs/dbraw/zinc/53/49/89/339534989.db2.gz FNCJAEDCCIGEKY-LBPRGKRZSA-N 0 3 324.346 4.188 20 0 DIADHN C[C@H](N[C@H]1CCC12CCCC2)c1ccc(Br)cn1 ZINC000479448363 339535609 /nfs/dbraw/zinc/53/56/09/339535609.db2.gz CPZMLHQCYNMZIX-FZMZJTMJSA-N 0 3 309.251 4.218 20 0 DIADHN COc1ccc([C@H](NCC2(C(F)F)CC2)c2ccccc2)cc1 ZINC000479454635 339535815 /nfs/dbraw/zinc/53/58/15/339535815.db2.gz QMWMNXJQEMAQRF-QGZVFWFLSA-N 0 3 317.379 4.420 20 0 DIADHN COC1CCC(C)(CN[C@@H]2CCc3c2c(F)ccc3F)CC1 ZINC000479455120 339535867 /nfs/dbraw/zinc/53/58/67/339535867.db2.gz RCEIPZJBTUGZRP-OKZIQPOMSA-N 0 3 309.400 4.137 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H](c3cnn(C)c3)C(C)(C)C)cc2c(C)c1 ZINC000479462458 339536398 /nfs/dbraw/zinc/53/63/98/339536398.db2.gz CMOHVLDFUDAPKQ-IBGZPJMESA-N 0 3 324.472 4.395 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ccc(F)cc21)c1ccc2c(c1)OCO2 ZINC000479490202 339537766 /nfs/dbraw/zinc/53/77/66/339537766.db2.gz OPTLZTHFAGMOCN-YVEFUNNKSA-N 0 3 313.372 4.283 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@@H](CO)CCC(C)(C)C)oc21 ZINC000479516865 339538807 /nfs/dbraw/zinc/53/88/07/339538807.db2.gz NKWRJYBISFAJAQ-DZGCQCFKSA-N 0 3 319.445 4.279 20 0 DIADHN C[C@@H]1CC[C@H](CN[C@H](c2cccnc2)c2ccc(F)cc2F)C1 ZINC000479532927 339539738 /nfs/dbraw/zinc/53/97/38/339539738.db2.gz YFSSPBLSIOCSQM-BIENJYKASA-N 0 3 316.395 4.475 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1ccc(Cl)cc1Cl ZINC000479550101 339539846 /nfs/dbraw/zinc/53/98/46/339539846.db2.gz YZOWYUTTWJCLMK-ZWNOBZJWSA-N 0 3 302.245 4.195 20 0 DIADHN CCOc1ccc(CNCc2cc3c(cc(C)cc3C)[nH]2)cc1 ZINC000479554724 339541018 /nfs/dbraw/zinc/54/10/18/339541018.db2.gz CENIIFAUBCOVPA-UHFFFAOYSA-N 0 3 308.425 4.473 20 0 DIADHN Cc1cc(CNC[C@H](C)C(F)(F)F)ccc1Br ZINC000479574478 339541880 /nfs/dbraw/zinc/54/18/80/339541880.db2.gz ALQRGGNYDWUGIO-VIFPVBQESA-N 0 3 310.157 4.046 20 0 DIADHN Cc1cc(CNC[C@H](C)C(F)(F)F)ccc1Oc1cccnc1 ZINC000479574885 339541894 /nfs/dbraw/zinc/54/18/94/339541894.db2.gz NSHQLJJBRBXLOU-ZDUSSCGKSA-N 0 3 324.346 4.470 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H]2CCCO[C@H]2CC(C)C)s1 ZINC000479576227 339541918 /nfs/dbraw/zinc/54/19/18/339541918.db2.gz GHVAJZXVQOUDQQ-CVEARBPZSA-N 0 3 310.507 4.025 20 0 DIADHN C[C@H](NCc1ccn(C(F)F)n1)C1(c2ccccc2)CCCC1 ZINC000479584699 339542316 /nfs/dbraw/zinc/54/23/16/339542316.db2.gz BTJFJPIFCHTISE-AWEZNQCLSA-N 0 3 319.399 4.268 20 0 DIADHN C[C@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1cc(F)cc(F)c1 ZINC000479849147 339550466 /nfs/dbraw/zinc/55/04/66/339550466.db2.gz HFQXQEOMNXLWCS-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1cccc(OC2CCCC2)c1 ZINC000479866185 339551556 /nfs/dbraw/zinc/55/15/56/339551556.db2.gz RZOLXQYHVIDPPB-GOSISDBHSA-N 0 3 318.461 4.113 20 0 DIADHN COCCC1(CNCc2cc(F)c(F)cc2F)CCCCC1 ZINC000479873594 339552027 /nfs/dbraw/zinc/55/20/27/339552027.db2.gz KTMBNEUENRRERZ-UHFFFAOYSA-N 0 3 315.379 4.181 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccccc2C)c2ccccc2n1 ZINC000480008572 339555253 /nfs/dbraw/zinc/55/52/53/339555253.db2.gz AXYMMOUTXPHGLQ-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCO[C@@H](C(C)(C)C)C1 ZINC000480128680 339559902 /nfs/dbraw/zinc/55/99/02/339559902.db2.gz VSCDKUWULZYRIN-MLGOLLRUSA-N 0 3 311.853 4.032 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(Cl)cn1)Oc1ccccc1F ZINC000480166013 339561464 /nfs/dbraw/zinc/56/14/64/339561464.db2.gz QGSLTRUBOSZNLS-OCCSQVGLSA-N 0 3 322.811 4.382 20 0 DIADHN COc1ccc(C[C@H](C)CN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480166465 339561480 /nfs/dbraw/zinc/56/14/80/339561480.db2.gz JQIDXRHYFHEZQU-KBPBESRZSA-N 0 3 318.848 4.273 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000480184297 339562426 /nfs/dbraw/zinc/56/24/26/339562426.db2.gz RLBVIMNGEFQVCN-JWXAPSBJSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000480192342 339562716 /nfs/dbraw/zinc/56/27/16/339562716.db2.gz HHSXBITYBZAUGO-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(Cl)cn1)Oc1cccc(F)c1 ZINC000480189807 339562798 /nfs/dbraw/zinc/56/27/98/339562798.db2.gz KINSWUCZVQTKRP-DOMZBBRYSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@H]1COc2ccc(Cl)cc21 ZINC000480206042 339562839 /nfs/dbraw/zinc/56/28/39/339562839.db2.gz YKKWUHLCXNGBJA-SKDRFNHKSA-N 0 3 307.743 4.484 20 0 DIADHN Cc1cccc(C)c1OCCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000480197543 339563188 /nfs/dbraw/zinc/56/31/88/339563188.db2.gz LTJFADZTHMDNIY-HNNXBMFYSA-N 0 3 318.848 4.472 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1ccccc1OC(F)F ZINC000480218247 339563903 /nfs/dbraw/zinc/56/39/03/339563903.db2.gz ASTZQVHWXPBBIS-CHWSQXEVSA-N 0 3 301.377 4.144 20 0 DIADHN CCN(CCN[C@H](C)c1ccc(Cl)cn1)c1ccccc1C ZINC000480230763 339564277 /nfs/dbraw/zinc/56/42/77/339564277.db2.gz RNLOHHVHVNEPFV-OAHLLOKOSA-N 0 3 317.864 4.221 20 0 DIADHN C[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1cccc(Cl)c1 ZINC000480234948 339564514 /nfs/dbraw/zinc/56/45/14/339564514.db2.gz SFFMPQXEVLFCAV-WBMJQRKESA-N 0 3 308.878 4.355 20 0 DIADHN Cc1cccc(OC[C@H](C)N[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480252915 339564865 /nfs/dbraw/zinc/56/48/65/339564865.db2.gz KXDGEISPTJFPTA-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN CCOc1ccc([C@H](C)NC[C@H](c2ccsc2)N(C)C)cc1 ZINC000480250928 339565327 /nfs/dbraw/zinc/56/53/27/339565327.db2.gz IIQRMAIMYHRYAU-KBXCAEBGSA-N 0 3 318.486 4.100 20 0 DIADHN CC(C)(C)c1csc(CCNCc2ccsc2Cl)n1 ZINC000480373584 339571144 /nfs/dbraw/zinc/57/11/44/339571144.db2.gz XLFWUWKKGKBTNU-UHFFFAOYSA-N 0 3 314.907 4.488 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccc(Br)o1 ZINC000480391240 339571861 /nfs/dbraw/zinc/57/18/61/339571861.db2.gz VLUOZNYZCZMTPY-NEPJUHHUSA-N 0 3 318.280 4.196 20 0 DIADHN Fc1cc(CNC[C@H]2CCC3(CCCCC3)O2)cc(F)c1F ZINC000480468955 339574052 /nfs/dbraw/zinc/57/40/52/339574052.db2.gz JGTWSRATXZLSOQ-CYBMUJFWSA-N 0 3 313.363 4.075 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NC/C=C\c1ccc(F)cc1F ZINC000480474908 339574167 /nfs/dbraw/zinc/57/41/67/339574167.db2.gz WNJSUKJBNQRCGR-DDKKJVIOSA-N 0 3 315.363 4.351 20 0 DIADHN c1ccc2c(c1)CO[C@]21CCCN(c2ccnc3ccccc32)C1 ZINC000480503755 339575234 /nfs/dbraw/zinc/57/52/34/339575234.db2.gz DBHHHKDPKBUWAQ-NRFANRHFSA-N 0 3 316.404 4.261 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NC[C@@H](C)C(F)(F)F)CCCO2 ZINC000480665659 339581953 /nfs/dbraw/zinc/58/19/53/339581953.db2.gz PAHPNGHAEHQTOU-TZMCWYRMSA-N 0 3 301.352 4.305 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NC[C@H](C)C(F)(F)F)CCCO2 ZINC000480665656 339582081 /nfs/dbraw/zinc/58/20/81/339582081.db2.gz PAHPNGHAEHQTOU-GXTWGEPZSA-N 0 3 301.352 4.305 20 0 DIADHN Cc1ccc([C@@H](NCCc2nn(C)c3ccccc23)C2CCC2)o1 ZINC000480668126 339582342 /nfs/dbraw/zinc/58/23/42/339582342.db2.gz ADHBYFUVIYPRHX-FQEVSTJZSA-N 0 3 323.440 4.148 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](c2ccccc2)C1)c1ccc(Cl)cn1 ZINC000480668316 339582397 /nfs/dbraw/zinc/58/23/97/339582397.db2.gz SQIQCLYWEREHNM-OWQGQXMQSA-N 0 3 316.832 4.306 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)C(F)(F)F)c1ccc2[nH]c(=O)oc2c1 ZINC000480669971 339582485 /nfs/dbraw/zinc/58/24/85/339582485.db2.gz OMHCEJZJRHLJBL-RNCFNFMXSA-N 0 3 316.323 4.019 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NC[C@H](C)C(F)(F)F)c1 ZINC000480674115 339582844 /nfs/dbraw/zinc/58/28/44/339582844.db2.gz UBYQUPWRRUEISO-VHSXEESVSA-N 0 3 311.294 4.445 20 0 DIADHN C[C@H](N[C@@H](CO)Cc1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000480685153 339583251 /nfs/dbraw/zinc/58/32/51/339583251.db2.gz WACLUJWUXXZVFG-QFBILLFUSA-N 0 3 321.420 4.201 20 0 DIADHN C[C@@H](CC1CCCC1)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721406 339584831 /nfs/dbraw/zinc/58/48/31/339584831.db2.gz VPDUJNPVJRKJGU-IFXJQAMLSA-N 0 3 315.436 4.207 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@@H](C)c1ccc2ccccc2n1 ZINC000480729562 339584990 /nfs/dbraw/zinc/58/49/90/339584990.db2.gz NOAVELCELXYGFU-BEVDRBHNSA-N 0 3 312.457 4.479 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@H](C)c1ccc2ccccc2n1 ZINC000480729565 339585055 /nfs/dbraw/zinc/58/50/55/339585055.db2.gz NOAVELCELXYGFU-YSGRDPCXSA-N 0 3 312.457 4.479 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC3CCCC3)c1)CC2 ZINC000480761328 339586277 /nfs/dbraw/zinc/58/62/77/339586277.db2.gz CACGBLIZVBHENX-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN CC[C@@H](N[C@H]1CCN(c2ccccc2)C1)c1ccccc1OC ZINC000480796476 339587607 /nfs/dbraw/zinc/58/76/07/339587607.db2.gz NAIRWNCWXHWZCQ-QFBILLFUSA-N 0 3 310.441 4.015 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1ccc2ccccc2n1 ZINC000480880763 339589550 /nfs/dbraw/zinc/58/95/50/339589550.db2.gz JHEYSDPVJXTLAW-FTRWYGJKSA-N 0 3 312.457 4.481 20 0 DIADHN CCC[C@@H](NCc1ccccc1OCCOC)c1ccccc1 ZINC000481036797 339593821 /nfs/dbraw/zinc/59/38/21/339593821.db2.gz LMRWMGOMLUOHMZ-LJQANCHMSA-N 0 3 313.441 4.343 20 0 DIADHN COCCOc1ccccc1CN[C@H](C)c1ccc(C)c(C)c1 ZINC000481047105 339594370 /nfs/dbraw/zinc/59/43/70/339594370.db2.gz JLFOPKPZOWJMJB-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN CC(C)n1ccc(CN[C@@H]2CCCC[C@@H]2Cc2ccccc2)n1 ZINC000481177150 339597227 /nfs/dbraw/zinc/59/72/27/339597227.db2.gz ZBHVKEDZQGIUNJ-UYAOXDASSA-N 0 3 311.473 4.355 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccc(OC2CCCC2)nc1 ZINC000481303574 339600220 /nfs/dbraw/zinc/60/02/20/339600220.db2.gz BWFPCMGQHWMQRG-QVKFZJNVSA-N 0 3 322.452 4.426 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H]2CCN(c3ccc(F)cc3)C2)o1 ZINC000481557068 339606505 /nfs/dbraw/zinc/60/65/05/339606505.db2.gz LBJPNZSPVRBQQG-HUUCEWRRSA-N 0 3 316.420 4.158 20 0 DIADHN CC[C@@H](NC[C@@H](O)CCC(C)C)c1ccccc1OC(F)F ZINC000481705526 339610336 /nfs/dbraw/zinc/61/03/36/339610336.db2.gz UHFZGANRNIKGJJ-DZGCQCFKSA-N 0 3 315.404 4.126 20 0 DIADHN C[C@H](NC1CC(F)(c2cccc(Cl)c2)C1)c1ccncc1 ZINC000481741690 339612447 /nfs/dbraw/zinc/61/24/47/339612447.db2.gz XKWCRCUWTVPGAN-CDEQTRAXSA-N 0 3 304.796 4.413 20 0 DIADHN Cc1ncsc1CNC1CC(F)(c2cccc(Cl)c2)C1 ZINC000481817556 339614664 /nfs/dbraw/zinc/61/46/64/339614664.db2.gz UPMCCNCLAVPYLQ-UHFFFAOYSA-N 0 3 310.825 4.222 20 0 DIADHN COCc1ccc(CNC2CC(F)(c3cccc(Cl)c3)C2)o1 ZINC000481829861 339614935 /nfs/dbraw/zinc/61/49/35/339614935.db2.gz HTJBYBSZBXPELP-UHFFFAOYSA-N 0 3 323.795 4.196 20 0 DIADHN Cc1cc2[nH]c(CN3CCC(OCC(C)C)CC3)cc2c(C)c1 ZINC000481915062 339616507 /nfs/dbraw/zinc/61/65/07/339616507.db2.gz BYGCPWHYAJMDPQ-UHFFFAOYSA-N 0 3 314.473 4.422 20 0 DIADHN CCN(CC)[C@H](CN[C@H]1CCc2c1cccc2F)c1ccco1 ZINC000481968552 339618185 /nfs/dbraw/zinc/61/81/85/339618185.db2.gz ZZYLCSJERDUULN-ZWKOTPCHSA-N 0 3 316.420 4.079 20 0 DIADHN CC[C@H](N[C@@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000482030001 339620428 /nfs/dbraw/zinc/62/04/28/339620428.db2.gz FELNDHQCXRIMHF-FXAWDEMLSA-N 0 3 319.452 4.441 20 0 DIADHN COC[C@H](CC(C)C)NCc1ccc(Oc2ccccc2)nc1 ZINC000482126074 339623958 /nfs/dbraw/zinc/62/39/58/339623958.db2.gz KZRFFLVCEJFWAC-KRWDZBQOSA-N 0 3 314.429 4.025 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1cc2c(s1)CCCC2 ZINC000482482899 339632767 /nfs/dbraw/zinc/63/27/67/339632767.db2.gz HISPUBYISJPLJR-YPMHNXCESA-N 0 3 303.475 4.081 20 0 DIADHN CCSc1ccccc1[C@@H](C)NC[C@@H](O)Cc1ccccc1 ZINC000482562241 339636161 /nfs/dbraw/zinc/63/61/61/339636161.db2.gz CSAYZAJYUBNVEF-WBVHZDCISA-N 0 3 315.482 4.053 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc3c(c2)CCC3)cn1 ZINC000482638522 339638394 /nfs/dbraw/zinc/63/83/94/339638394.db2.gz QFVOLYZUCCFIIJ-OAHLLOKOSA-N 0 3 310.441 4.210 20 0 DIADHN CC[C@H](NCc1cc(Oc2ccc(F)cc2)ccn1)C1CC1 ZINC000482720949 339640814 /nfs/dbraw/zinc/64/08/14/339640814.db2.gz RHNUJUUGTUBFGA-SFHVURJKSA-N 0 3 300.377 4.291 20 0 DIADHN COc1ccc2oc([C@H](C)NC[C@H](O)CCC(C)C)c(C)c2c1 ZINC000482787795 339642626 /nfs/dbraw/zinc/64/26/26/339642626.db2.gz MUIQSJGPJBIRGF-LSDHHAIUSA-N 0 3 319.445 4.198 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H](C)[C@H]1COc2ccccc21 ZINC000482854673 339644467 /nfs/dbraw/zinc/64/44/67/339644467.db2.gz GLEBKHYHELLDAB-BLLLJJGKSA-N 0 3 317.816 4.003 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000482864455 339644975 /nfs/dbraw/zinc/64/49/75/339644975.db2.gz HQSZUVYLOKBWLC-DOMZBBRYSA-N 0 3 315.404 4.044 20 0 DIADHN C[C@@H](O)CCN[C@@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000483143353 339653926 /nfs/dbraw/zinc/65/39/26/339653926.db2.gz KONLVMKYVQXFRX-ACJLOTCBSA-N 0 3 321.823 4.123 20 0 DIADHN C[C@@H](NC[C@@H](c1c(F)cccc1F)N(C)C)c1ccc(F)cc1 ZINC000483151434 339654221 /nfs/dbraw/zinc/65/42/21/339654221.db2.gz QPEPWBHSOYLNQF-PXAZEXFGSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@@H](NC[C@H](O)CC(C)(C)C)c1ccccc1OC(F)(F)F ZINC000483185552 339655634 /nfs/dbraw/zinc/65/56/34/339655634.db2.gz ZXQAWWGQTYQOLQ-VXGBXAGGSA-N 0 3 319.367 4.033 20 0 DIADHN CSC[C@@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000483213045 339656494 /nfs/dbraw/zinc/65/64/94/339656494.db2.gz LCTCZAHHIXTGKM-BDAKNGLRSA-N 0 3 309.809 4.344 20 0 DIADHN CSC[C@@H](C)N[C@@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000483229301 339656986 /nfs/dbraw/zinc/65/69/86/339656986.db2.gz DIWVHRIWWPBFHT-CABCVRRESA-N 0 3 317.502 4.200 20 0 DIADHN OC[C@H](N[C@@H]1C=CCCC1)c1ccc(Cl)cc1C(F)(F)F ZINC000483262615 339658528 /nfs/dbraw/zinc/65/85/28/339658528.db2.gz WONPGQBAEFOHES-RISCZKNCSA-N 0 3 319.754 4.091 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@H](C)c2ccc(F)cc2F)c1 ZINC000483627930 339669936 /nfs/dbraw/zinc/66/99/36/339669936.db2.gz XIJRQIVNBJEFLP-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)Oc2ccccc2C)s1 ZINC000483631793 339670067 /nfs/dbraw/zinc/67/00/67/339670067.db2.gz BWDZQNKCNNUDQO-KGLIPLIRSA-N 0 3 318.486 4.440 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@H](C)c1cc(F)cc(F)c1 ZINC000483662130 339671054 /nfs/dbraw/zinc/67/10/54/339671054.db2.gz YJXWUPNYEFQSAI-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2cncc(F)c2)cc1 ZINC000483694649 339671842 /nfs/dbraw/zinc/67/18/42/339671842.db2.gz SDPATKZEGZJSOP-ZMYBRWDISA-N 0 3 314.404 4.100 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1n1cccn1)c1ccccc1Cl ZINC000483697972 339671877 /nfs/dbraw/zinc/67/18/77/339671877.db2.gz FTUWGHCTYVVOQB-JQFCIGGWSA-N 0 3 303.837 4.371 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2Cl)C1)c1ccco1 ZINC000483713759 339672492 /nfs/dbraw/zinc/67/24/92/339672492.db2.gz OTTRZSLNDAZNTH-ZIAGYGMSSA-N 0 3 304.821 4.253 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1cc(C)ccc1OC(F)F ZINC000483743466 339673751 /nfs/dbraw/zinc/67/37/51/339673751.db2.gz NGGUWQIYHFXKOO-XNRPHZJLSA-N 0 3 313.388 4.205 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)sc1C ZINC000483846598 339676205 /nfs/dbraw/zinc/67/62/05/339676205.db2.gz CFALFBPJBVLQLB-SJORKVTESA-N 0 3 300.471 4.261 20 0 DIADHN C[C@@H](NCC1(c2ccccc2Br)CC1)c1ccco1 ZINC000484145064 339681847 /nfs/dbraw/zinc/68/18/47/339681847.db2.gz LUYHCWMIYSUWGA-GFCCVEGCSA-N 0 3 320.230 4.425 20 0 DIADHN C[C@@H](NCc1nccn1CCCc1ccccc1)C1CCCC1 ZINC000484294545 339684060 /nfs/dbraw/zinc/68/40/60/339684060.db2.gz BTDOUVXIQVXSSH-QGZVFWFLSA-N 0 3 311.473 4.184 20 0 DIADHN CC[C@@H](O)CCN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000484378256 339685828 /nfs/dbraw/zinc/68/58/28/339685828.db2.gz YBEJDGDSMYEIJU-GDBMZVCRSA-N 0 3 317.404 4.430 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1ccc(F)c(Br)c1 ZINC000484393967 339685969 /nfs/dbraw/zinc/68/59/69/339685969.db2.gz GXFUUGRHIZAREH-BZHVJNSISA-N 0 3 318.255 4.133 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1cc(Cl)ccc1OC(F)F ZINC000484654626 339691786 /nfs/dbraw/zinc/69/17/86/339691786.db2.gz QOXQJDNZOJXLNL-KOLCDFICSA-N 0 3 321.820 4.488 20 0 DIADHN CCOCCCNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000484985890 339696756 /nfs/dbraw/zinc/69/67/56/339696756.db2.gz IQTZQHGCUHPDMT-UHFFFAOYSA-N 0 3 321.770 4.364 20 0 DIADHN Fc1cc(Cl)cc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)c1 ZINC000485697600 339709872 /nfs/dbraw/zinc/70/98/72/339709872.db2.gz SWINJCQMGRNRKS-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN Cc1ncc(CNCC2(c3ccc(F)cc3F)CCCC2)s1 ZINC000485734520 339711676 /nfs/dbraw/zinc/71/16/76/339711676.db2.gz QBBLWSIMAINNKW-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1cccc(F)c1 ZINC000498483866 339721872 /nfs/dbraw/zinc/72/18/72/339721872.db2.gz KLERXINYVWZSQE-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN CC(C)[C@@H]1C[C@H](CN[C@@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000486198526 339723165 /nfs/dbraw/zinc/72/31/65/339723165.db2.gz NAOPEJYPZLMWQA-LZWOXQAQSA-N 0 3 322.518 4.124 20 0 DIADHN C[C@@H](NCC[C@@H]1COc2ccccc2O1)c1ccc(Cl)s1 ZINC000498484615 339723773 /nfs/dbraw/zinc/72/37/73/339723773.db2.gz OCFHCEAHUKYRHV-VXGBXAGGSA-N 0 3 323.845 4.282 20 0 DIADHN Fc1ccc2cc(CN[C@@H](c3ccccc3)[C@H]3CCOC3)[nH]c2c1 ZINC000486678416 339731005 /nfs/dbraw/zinc/73/10/05/339731005.db2.gz AGHKBFAUBQCVSK-JXFKEZNVSA-N 0 3 324.399 4.174 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000486679511 339731136 /nfs/dbraw/zinc/73/11/36/339731136.db2.gz VUXMXTQDDVNFEY-LJQANCHMSA-N 0 3 324.399 4.483 20 0 DIADHN C[C@@H](NCc1cc(-n2ccnc2)cs1)c1cccc(Cl)c1 ZINC000486679460 339731144 /nfs/dbraw/zinc/73/11/44/339731144.db2.gz JECPFGCMTYWRML-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN Fc1ccc2cc(CNCc3cccc(OC(F)F)c3)[nH]c2c1 ZINC000486706368 339731969 /nfs/dbraw/zinc/73/19/69/339731969.db2.gz ZELHCVCQBKYHCK-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN Fc1ccc(CNC/C=C\c2ccncc2)c(C(F)(F)F)c1 ZINC000486957217 339740006 /nfs/dbraw/zinc/74/00/06/339740006.db2.gz OSNZFWXLTNRTJI-UPHRSURJSA-N 0 3 310.294 4.043 20 0 DIADHN CCOc1c(Cl)cccc1CNCCc1sc(C)nc1C ZINC000487271405 339748264 /nfs/dbraw/zinc/74/82/64/339748264.db2.gz QPUHXHOMOCYKEF-UHFFFAOYSA-N 0 3 324.877 4.144 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC/C=C/c1ccncc1 ZINC000487280360 339748824 /nfs/dbraw/zinc/74/88/24/339748824.db2.gz XCLFUVYPYFUEMW-MDEMMKGOSA-N 0 3 316.832 4.114 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CNC/C=C/c1ccncc1 ZINC000487294418 339749679 /nfs/dbraw/zinc/74/96/79/339749679.db2.gz AXJUZXKDFVYNKF-FUTAKVPZSA-N 0 3 310.441 4.370 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nccn1-c1ccccc1)c1ccc(F)cc1 ZINC000488272550 339775233 /nfs/dbraw/zinc/77/52/33/339775233.db2.gz MKGFMZRYPFGEFU-HOTGVXAUSA-N 0 3 323.415 4.466 20 0 DIADHN CCOc1cc(CN[C@H]2CS[C@H](C(C)(C)C)C2)ccc1OC ZINC000488409564 339780208 /nfs/dbraw/zinc/78/02/08/339780208.db2.gz HFXPPOSXARDWPD-PBHICJAKSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2nccc3ccccc32)CS1 ZINC000488424644 339781380 /nfs/dbraw/zinc/78/13/80/339781380.db2.gz YJHTZFFACNBRKP-RHSMWYFYSA-N 0 3 300.471 4.245 20 0 DIADHN CCc1cc(N2CC[C@]3(C)[C@H](C2)C3(F)F)c2ccccc2n1 ZINC000488431273 339781707 /nfs/dbraw/zinc/78/17/07/339781707.db2.gz KRTVEVZRKZYNEN-DLBZAZTESA-N 0 3 302.368 4.279 20 0 DIADHN CC(C)C1CC(N[C@H](C)c2nc(-c3ccncc3)cs2)C1 ZINC000488494390 339785351 /nfs/dbraw/zinc/78/53/51/339785351.db2.gz AOVYNVMAAQKZDD-LRVUVFPRSA-N 0 3 301.459 4.290 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CNC[C@H]2C[C@@H]2C)on1 ZINC000488518057 339786342 /nfs/dbraw/zinc/78/63/42/339786342.db2.gz DOEUJBVFPBVZHK-DZGCQCFKSA-N 0 3 322.408 4.249 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CS[C@@H](C(C)(C)C)C1)CCO2 ZINC000488547227 339787154 /nfs/dbraw/zinc/78/71/54/339787154.db2.gz RBQBREXRNJCMSF-ISTRZQFTSA-N 0 3 321.486 4.029 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CS[C@@H](C(C)(C)C)C1)CCO2 ZINC000488547230 339787237 /nfs/dbraw/zinc/78/72/37/339787237.db2.gz RBQBREXRNJCMSF-YLQAJVPDSA-N 0 3 321.486 4.029 20 0 DIADHN COC[C@@H](NCCSCC(C)C)c1ccc(Cl)cc1 ZINC000488751625 339792437 /nfs/dbraw/zinc/79/24/37/339792437.db2.gz WSIIFOZOCGBSEI-OAHLLOKOSA-N 0 3 301.883 4.006 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)Nc1ccc(C2CCC2)cc1 ZINC000489768578 339818059 /nfs/dbraw/zinc/81/80/59/339818059.db2.gz AIWCUCPGSYSIBX-UHFFFAOYSA-N 0 3 317.477 4.006 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@@H](C)N[C@H]1CCCOC1 ZINC000489931595 339821557 /nfs/dbraw/zinc/82/15/57/339821557.db2.gz FTPXXHVNKWKQTF-CJNGLKHVSA-N 0 3 316.470 4.257 20 0 DIADHN c1cnn(-c2ccc(CNCc3ccc4c(c3)CCCC4)cc2)c1 ZINC000490592665 339835176 /nfs/dbraw/zinc/83/51/76/339835176.db2.gz KVOQROOEICNIFH-UHFFFAOYSA-N 0 3 317.436 4.041 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000491017940 339846114 /nfs/dbraw/zinc/84/61/14/339846114.db2.gz GWAPVSZWMDFRLO-ZWKOTPCHSA-N 0 3 303.833 4.180 20 0 DIADHN CC[C@](C)(O)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000491056019 339847237 /nfs/dbraw/zinc/84/72/37/339847237.db2.gz AZTSPWMHUZODLA-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN COCCCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000491357369 339859118 /nfs/dbraw/zinc/85/91/18/339859118.db2.gz YNUNWXKPAZJQFM-KRWDZBQOSA-N 0 3 307.796 4.195 20 0 DIADHN OCCC[C@@H](NCc1ccc2c(c1)CCCC2)c1ccccc1 ZINC000491402741 339860862 /nfs/dbraw/zinc/86/08/62/339860862.db2.gz VHSCGFRWVXTKDO-OAQYLSRUSA-N 0 3 309.453 4.169 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1F)[C@H]1OCCc2sccc21 ZINC000492148694 339892664 /nfs/dbraw/zinc/89/26/64/339892664.db2.gz BYMKEIKWDXLOKU-XWCIJXRUSA-N 0 3 305.418 4.240 20 0 DIADHN Cc1cc(CN[C@H](C)[C@H]2OCCc3sccc32)c(C)s1 ZINC000492151082 339892834 /nfs/dbraw/zinc/89/28/34/339892834.db2.gz LGNBRBOQHGPLDY-BDJLRTHQSA-N 0 3 307.484 4.219 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)[C@@H]1OCCc2sccc21 ZINC000492223337 339894654 /nfs/dbraw/zinc/89/46/54/339894654.db2.gz HEVSNHKHYHXNTM-DJJJIMSYSA-N 0 3 313.466 4.029 20 0 DIADHN CC[C@@H](CCO)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493011709 339914854 /nfs/dbraw/zinc/91/48/54/339914854.db2.gz VEJMSHYZWMTSSB-ROUUACIJSA-N 0 3 303.833 4.180 20 0 DIADHN C[C@@H](CCO)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493033831 339915631 /nfs/dbraw/zinc/91/56/31/339915631.db2.gz HFVIAOFILXPDCW-KBXCAEBGSA-N 0 3 303.833 4.038 20 0 DIADHN CC(C)[C@@H](O)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493245364 339920872 /nfs/dbraw/zinc/92/08/72/339920872.db2.gz AYODTFUOGAXBNJ-ROUUACIJSA-N 0 3 321.823 4.175 20 0 DIADHN COCc1cc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)ccc1F ZINC000493276353 339921702 /nfs/dbraw/zinc/92/17/02/339921702.db2.gz IMKJBVWNVIQXOR-VBKZILBWSA-N 0 3 313.416 4.304 20 0 DIADHN CCC[C@H](NCc1cccc(N2CCOC2=O)c1)c1ccccc1 ZINC000493579511 339928703 /nfs/dbraw/zinc/92/87/03/339928703.db2.gz JDMQCPFUJJYIAX-IBGZPJMESA-N 0 3 324.424 4.274 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H](c1ncccc1C)C(C)C ZINC000493810450 339934010 /nfs/dbraw/zinc/93/40/10/339934010.db2.gz ADZBZRWPBKBIBQ-POAQFYNOSA-N 0 3 312.457 4.453 20 0 DIADHN Cc1cccnc1[C@H](NC1CC(OCc2ccccc2)C1)C(C)C ZINC000493826241 339934418 /nfs/dbraw/zinc/93/44/18/339934418.db2.gz WYQHUEZXBYLDGX-SOAGJPPSSA-N 0 3 324.468 4.425 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(Br)cs1)C(C)(C)C ZINC000493892458 339935824 /nfs/dbraw/zinc/93/58/24/339935824.db2.gz WHFYEXIPBUHIHQ-JOYOIKCWSA-N 0 3 320.296 4.222 20 0 DIADHN CC[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccc(F)cn1 ZINC000493997713 339938384 /nfs/dbraw/zinc/93/83/84/339938384.db2.gz XYGDDMOIVLRZMX-HOTGVXAUSA-N 0 3 306.425 4.143 20 0 DIADHN C[C@@H]1OCC[C@]1(C)N[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000494251869 339944873 /nfs/dbraw/zinc/94/48/73/339944873.db2.gz TZCBHYXWMNIGFF-LNHVQRHZSA-N 0 3 300.229 4.138 20 0 DIADHN CCC[C@H](C)[C@H](CC)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494345853 339947097 /nfs/dbraw/zinc/94/70/97/339947097.db2.gz FARPGJQEYULWAQ-KKUMJFAQSA-N 0 3 315.465 4.041 20 0 DIADHN CCCc1csc(CNCCCO[C@H](C)c2ccccc2)n1 ZINC000494368202 339947580 /nfs/dbraw/zinc/94/75/80/339947580.db2.gz LXQBAMLEIOEYGZ-OAHLLOKOSA-N 0 3 318.486 4.353 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1CCOc2c(C)cccc21 ZINC000494477835 339950759 /nfs/dbraw/zinc/95/07/59/339950759.db2.gz XUBJNFFDOMQNDB-CVAIRZPRSA-N 0 3 311.425 4.184 20 0 DIADHN CC[C@H](NCCCOc1cccc(C)c1C)c1ccc(F)cn1 ZINC000494495471 339951432 /nfs/dbraw/zinc/95/14/32/339951432.db2.gz RQYJPTUQFAURAI-KRWDZBQOSA-N 0 3 316.420 4.347 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)NCc1cncc(F)c1 ZINC000494607053 339954982 /nfs/dbraw/zinc/95/49/82/339954982.db2.gz DDXIFVVNYZZFSG-KRWDZBQOSA-N 0 3 318.461 4.498 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1cccc(CSC)c1 ZINC000494782177 339959934 /nfs/dbraw/zinc/95/99/34/339959934.db2.gz ZEQCPLHENHBVPQ-BEFAXECRSA-N 0 3 315.482 4.415 20 0 DIADHN Cc1ccc(CNCC2(c3cccc(F)c3F)CCCC2)nc1 ZINC000494842062 339961944 /nfs/dbraw/zinc/96/19/44/339961944.db2.gz KQMKYFKBJYCKHY-UHFFFAOYSA-N 0 3 316.395 4.270 20 0 DIADHN CCOCCN[C@@H](Cc1ccccc1Cl)c1cccs1 ZINC000494918208 339964574 /nfs/dbraw/zinc/96/45/74/339964574.db2.gz NTCAYJGNRGSCEA-HNNXBMFYSA-N 0 3 309.862 4.311 20 0 DIADHN COCc1nc(CN[C@@H]2C[C@@H](C)[C@@H]2C)c(-c2ccccc2)s1 ZINC000494978711 339968323 /nfs/dbraw/zinc/96/83/23/339968323.db2.gz UORMINVZAFVPLW-VNHYZAJKSA-N 0 3 316.470 4.091 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccc(C)n(C)c1=O)C1CCCC1 ZINC000494987136 339969007 /nfs/dbraw/zinc/96/90/07/339969007.db2.gz SFXKWGXCQIXKSV-HXUWFJFHSA-N 0 3 324.468 4.023 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2cccc(Cl)c2)c2ccccn2)[C@H]1C ZINC000495060014 339971810 /nfs/dbraw/zinc/97/18/10/339971810.db2.gz PKLMRYGUQLCVDT-QISBLDNZSA-N 0 3 300.833 4.459 20 0 DIADHN OCC[C@H]1CCC[C@@H]1NCc1ccc(-c2ccccc2)cc1F ZINC000495170198 339977672 /nfs/dbraw/zinc/97/76/72/339977672.db2.gz FTLAUTQPAOBRQU-UZLBHIALSA-N 0 3 313.416 4.133 20 0 DIADHN CC1(c2nc(-c3cccc(CN4CCCCC4)c3)no2)CCC1 ZINC000495246265 339979598 /nfs/dbraw/zinc/97/95/98/339979598.db2.gz DWIQBYGSGWGBOM-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OC(C)(C)C)nc1)CC2 ZINC000495395112 339982573 /nfs/dbraw/zinc/98/25/73/339982573.db2.gz ARYXRWXEUIWCFI-SFHVURJKSA-N 0 3 310.441 4.344 20 0 DIADHN C[C@H](NCC(=O)Nc1ccc(Cl)cc1)[C@@H](C)c1ccccc1 ZINC000495405904 339983204 /nfs/dbraw/zinc/98/32/04/339983204.db2.gz CSJJUFBGRAWHIA-KGLIPLIRSA-N 0 3 316.832 4.060 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@@H](C)c2ccc(OC)cc2F)CCO1 ZINC000495435324 339984489 /nfs/dbraw/zinc/98/44/89/339984489.db2.gz VQSSHGBJGHBACS-RFUYNDQBSA-N 0 3 323.452 4.469 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(Cl)c(C)c2)c1 ZINC000495686705 339990116 /nfs/dbraw/zinc/99/01/16/339990116.db2.gz IGYHJJOGDRNCKT-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2cccc(Cl)c2)c1 ZINC000495807763 339992482 /nfs/dbraw/zinc/99/24/82/339992482.db2.gz BOPYWHLAIPCPRU-LBPRGKRZSA-N 0 3 318.804 4.369 20 0 DIADHN C[C@H](CCN[C@H](c1cc2ccccc2o1)C(C)(C)C)[S@](C)=O ZINC000498804568 340023535 /nfs/dbraw/zinc/02/35/35/340023535.db2.gz BBSSYNVLXHZQQD-OLPMXTGOSA-N 0 3 321.486 4.267 20 0 DIADHN Oc1cccc(CN(Cc2cccc(Cl)c2)CC2CC2)c1 ZINC000498891210 340025127 /nfs/dbraw/zinc/02/51/27/340025127.db2.gz MPYAKRHCOSSVHE-UHFFFAOYSA-N 0 3 301.817 4.458 20 0 DIADHN CC(C)c1cnc(NC2CCN(Cc3ccccc3)CC2)s1 ZINC000498894691 340025296 /nfs/dbraw/zinc/02/52/96/340025296.db2.gz LYTFTQFXBBAMBX-UHFFFAOYSA-N 0 3 315.486 4.343 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(C(C)=O)C2(CCCCC2)C1 ZINC000498914368 340025608 /nfs/dbraw/zinc/02/56/08/340025608.db2.gz CAFYAEZXNJGZET-IBGZPJMESA-N 0 3 314.473 4.005 20 0 DIADHN OCCC1(CNC2c3ccccc3-c3ccccc32)CCCC1 ZINC000498927161 340026124 /nfs/dbraw/zinc/02/61/24/340026124.db2.gz FLYLETRLUNMSNM-UHFFFAOYSA-N 0 3 307.437 4.289 20 0 DIADHN Cc1nccn1CCNC(C)(C)c1ccc(Cl)c(Cl)c1 ZINC000499049037 340028372 /nfs/dbraw/zinc/02/83/72/340028372.db2.gz WAJORRFIPSYJGU-UHFFFAOYSA-N 0 3 312.244 4.023 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499053001 340028644 /nfs/dbraw/zinc/02/86/44/340028644.db2.gz HFISVUXMBOULNX-QGZVFWFLSA-N 0 3 311.429 4.279 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499065473 340029089 /nfs/dbraw/zinc/02/90/89/340029089.db2.gz SDCIRRGQOPBGDM-HOTGVXAUSA-N 0 3 311.429 4.279 20 0 DIADHN COC[C@@H]1CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000499083673 340029267 /nfs/dbraw/zinc/02/92/67/340029267.db2.gz GYNYLNKZMMJIHL-QGZVFWFLSA-N 0 3 311.425 4.337 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccc(F)cc2)c2ccccn2)cc1 ZINC000499163039 340031539 /nfs/dbraw/zinc/03/15/39/340031539.db2.gz DLGZQMNDYRXANV-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)NC1CCN(C2CC2)CC1 ZINC000499306942 340034442 /nfs/dbraw/zinc/03/44/42/340034442.db2.gz LDVBRLJUJLQUFI-KRWDZBQOSA-N 0 3 320.521 4.116 20 0 DIADHN C[C@H]([C@H]1Cc2ccccc2O1)N(C)Cc1cnc2ccccc2c1 ZINC000499550680 340039341 /nfs/dbraw/zinc/03/93/41/340039341.db2.gz VJRKRSWWAYFFPD-QVKFZJNVSA-N 0 3 318.420 4.059 20 0 DIADHN CCC(CC)[C@H](NCc1cnc(OC)nc1C)c1ccccc1 ZINC000499598777 340040253 /nfs/dbraw/zinc/04/02/53/340040253.db2.gz AWVMLJUDMLUYAN-SFHVURJKSA-N 0 3 313.445 4.061 20 0 DIADHN C[C@H](NC[C@@](C)(O)C(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000499601977 340040480 /nfs/dbraw/zinc/04/04/80/340040480.db2.gz HRWCXLJALMVJLZ-SUMWQHHRSA-N 0 3 323.358 4.318 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCCCCC1CCOCC1 ZINC000499606543 340040731 /nfs/dbraw/zinc/04/07/31/340040731.db2.gz OICMJLLSJSZBOU-AWEZNQCLSA-N 0 3 309.425 4.082 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(CCCCC2CCOCC2)C1 ZINC000499630322 340041627 /nfs/dbraw/zinc/04/16/27/340041627.db2.gz IJLZIZUTHXIELY-INIZCTEOSA-N 0 3 323.427 4.351 20 0 DIADHN Cn1cc([C@H](NCCCC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000499632293 340041842 /nfs/dbraw/zinc/04/18/42/340041842.db2.gz FEBXHQJSLAUPNY-QGZVFWFLSA-N 0 3 303.425 4.065 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000499814047 340045188 /nfs/dbraw/zinc/04/51/88/340045188.db2.gz HZQYJUGYGHDWHA-HKUYNNGSSA-N 0 3 320.521 4.068 20 0 DIADHN FCCCN1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499939095 340047294 /nfs/dbraw/zinc/04/72/94/340047294.db2.gz JQBWZDQENIRJPV-UHFFFAOYSA-N 0 3 307.306 4.326 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1CCCN(C(C)C)CC1 ZINC000499976969 340047718 /nfs/dbraw/zinc/04/77/18/340047718.db2.gz JVKFPBMJSZGQGS-LJQANCHMSA-N 0 3 322.537 4.316 20 0 DIADHN CCN(CC)C(=O)C[C@@H](C)N[C@H](C)c1ccc(C(C)C)cc1 ZINC000500004663 340048555 /nfs/dbraw/zinc/04/85/55/340048555.db2.gz OAEGWRRGXPOAOO-HZPDHXFCSA-N 0 3 304.478 4.108 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccccc2N(C)C)cc1 ZINC000500225505 340053433 /nfs/dbraw/zinc/05/34/33/340053433.db2.gz JSUNMBFYSZFFQI-AWEZNQCLSA-N 0 3 300.471 4.325 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2nc3ccccc3o2)cc1 ZINC000500232049 340053599 /nfs/dbraw/zinc/05/35/99/340053599.db2.gz RLXXYDLZPOWFNW-QGZVFWFLSA-N 0 3 306.409 4.343 20 0 DIADHN Cc1nc(CCNCc2ccc(-c3ccc(C)cc3)o2)c(C)o1 ZINC000500243072 340053892 /nfs/dbraw/zinc/05/38/92/340053892.db2.gz TXYDUICFOIVCRW-UHFFFAOYSA-N 0 3 310.397 4.192 20 0 DIADHN CCc1cc(OC)ccc1CNCc1c(C)c2ccccc2n1C ZINC000500369617 340055850 /nfs/dbraw/zinc/05/58/50/340055850.db2.gz HIBABPXEEUPTKU-UHFFFAOYSA-N 0 3 322.452 4.348 20 0 DIADHN Clc1cccc(CN[C@@H]2CCCC23CCOCC3)c1Cl ZINC000500422820 340056451 /nfs/dbraw/zinc/05/64/51/340056451.db2.gz QXUVSOZOZIXAKV-CQSZACIVSA-N 0 3 314.256 4.432 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CNC1CC(F)(F)C1 ZINC000500398888 340056747 /nfs/dbraw/zinc/05/67/47/340056747.db2.gz CYQQTDMDOQNOSB-UXBLZVDNSA-N 0 3 305.290 4.496 20 0 DIADHN Cn1nccc1[C@H]1CCCCN1Cc1cccc2ccccc21 ZINC000500419535 340057760 /nfs/dbraw/zinc/05/77/60/340057760.db2.gz BLPFLIYWQOZFDB-HXUWFJFHSA-N 0 3 305.425 4.301 20 0 DIADHN CCCNc1ccc(CNCc2ccc(OC)cc2CC)cc1 ZINC000500430991 340058409 /nfs/dbraw/zinc/05/84/09/340058409.db2.gz WHVNKVLUYCNVNP-UHFFFAOYSA-N 0 3 312.457 4.369 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000500435161 340058683 /nfs/dbraw/zinc/05/86/83/340058683.db2.gz UWGIOOGHRYEJCM-KBPBESRZSA-N 0 3 309.425 4.079 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000500456566 340059373 /nfs/dbraw/zinc/05/93/73/340059373.db2.gz KYZSDKVOPJHHSC-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN CCC(=O)N[C@H]1CCCN([C@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC000500456179 340059413 /nfs/dbraw/zinc/05/94/13/340059413.db2.gz JOFJGGFDKPKSMM-QAPCUYQASA-N 0 3 316.489 4.036 20 0 DIADHN Fc1ccc(Cl)cc1CNCCc1cccc2[nH]ccc21 ZINC000500464892 340059835 /nfs/dbraw/zinc/05/98/35/340059835.db2.gz YDSWXGGCZQSAIE-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1coc(-c2ccc(F)cc2)n1 ZINC000500467752 340059840 /nfs/dbraw/zinc/05/98/40/340059840.db2.gz UTGWGBAAKIFEKL-QGZVFWFLSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cnc2ccc(C)cn12)c1cccc(C)c1 ZINC000500496959 340060631 /nfs/dbraw/zinc/06/06/31/340060631.db2.gz JLGOWCGSIJEADY-UTKZUKDTSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cnc2ccc(C)cn12)c1cccc(C)c1 ZINC000500496961 340060644 /nfs/dbraw/zinc/06/06/44/340060644.db2.gz JLGOWCGSIJEADY-UWJYYQICSA-N 0 3 319.452 4.366 20 0 DIADHN Cc1cccc2ncc(CN[C@H](c3ccc(F)cc3)C(C)C)n21 ZINC000500504300 340061081 /nfs/dbraw/zinc/06/10/81/340061081.db2.gz RNFWOVNRJPERFK-IBGZPJMESA-N 0 3 311.404 4.269 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccc(Oc2ccccc2)o1 ZINC000500510005 340061124 /nfs/dbraw/zinc/06/11/24/340061124.db2.gz WVPFFAYFQXWJCL-HUUCEWRRSA-N 0 3 323.339 4.322 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1sc(C)nc1C)c1cccc(C)c1 ZINC000500533130 340061639 /nfs/dbraw/zinc/06/16/39/340061639.db2.gz NEXSMLPOVXIRIL-QAPCUYQASA-N 0 3 300.471 4.483 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1ccc2c(c1)ncn2C)c1cccc(C)c1 ZINC000500535178 340061682 /nfs/dbraw/zinc/06/16/82/340061682.db2.gz QIHLPQKKSNGVES-UWJYYQICSA-N 0 3 319.452 4.297 20 0 DIADHN CCOC(=O)C1CCN([C@@H](C)c2ccc(C(C)(C)C)cc2)CC1 ZINC000500543675 340061946 /nfs/dbraw/zinc/06/19/46/340061946.db2.gz GMJQOYWIKKHRCT-HNNXBMFYSA-N 0 3 317.473 4.320 20 0 DIADHN Cc1ccn2c(CN[C@H](c3ccc(F)cc3)C(C)C)cnc2c1 ZINC000500561920 340062268 /nfs/dbraw/zinc/06/22/68/340062268.db2.gz GLRNDXMHEUCSEO-IBGZPJMESA-N 0 3 311.404 4.269 20 0 DIADHN CCC[C@@H](NCC1(c2ccccc2OC)CC1)c1ccccn1 ZINC000500632057 340064179 /nfs/dbraw/zinc/06/41/79/340064179.db2.gz IOBSLAVYSIOXTD-QGZVFWFLSA-N 0 3 310.441 4.253 20 0 DIADHN C[C@H](COc1ccc(C(C)(C)C)cc1)N[C@H](C)c1ccccn1 ZINC000500650845 340064524 /nfs/dbraw/zinc/06/45/24/340064524.db2.gz UXNWGTITDIAMIE-HZPDHXFCSA-N 0 3 312.457 4.497 20 0 DIADHN COCC1(C)CCN(Cc2ccccc2OC2CCCC2)CC1 ZINC000500719899 340065924 /nfs/dbraw/zinc/06/59/24/340065924.db2.gz DXTJRBBKINWVFN-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN COC1(C)CCN(Cc2ccc(OCCC(C)C)cc2)CC1 ZINC000500762618 340066762 /nfs/dbraw/zinc/06/67/62/340066762.db2.gz IGCZCEJOYUHZRY-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CCCC[C@@H](C)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000500822126 340067892 /nfs/dbraw/zinc/06/78/92/340067892.db2.gz JSKMIZZWIWAOBE-IAGOWNOFSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2cn3cc(Cl)ccc3n2)C1 ZINC000500890170 340069205 /nfs/dbraw/zinc/06/92/05/340069205.db2.gz DFMIWOFUNIRBMT-UKRRQHHQSA-N 0 3 305.853 4.292 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(Br)c(C)c1 ZINC000501049658 340073133 /nfs/dbraw/zinc/07/31/33/340073133.db2.gz CBPIPOGHRNDTIX-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(Br)c(C)c1 ZINC000501049657 340073137 /nfs/dbraw/zinc/07/31/37/340073137.db2.gz CBPIPOGHRNDTIX-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](CN1CCO[C@H]2CCC[C@@H]21)c1ccc(C(F)(F)F)cc1 ZINC000501086211 340074073 /nfs/dbraw/zinc/07/40/73/340074073.db2.gz AMBRZOKGVLGSOD-KCXAZCMYSA-N 0 3 313.363 4.062 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1coc(-c2cccc(F)c2)n1 ZINC000501095866 340074275 /nfs/dbraw/zinc/07/42/75/340074275.db2.gz HHLZFFLGFWYBCU-KRWDZBQOSA-N 0 3 302.393 4.491 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc(OC(F)(F)F)cc1 ZINC000501376970 340079471 /nfs/dbraw/zinc/07/94/71/340079471.db2.gz JJQKAYDPVRLEGH-UHFFFAOYSA-N 0 3 313.294 4.356 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000501474518 340081876 /nfs/dbraw/zinc/08/18/76/340081876.db2.gz FBBMSZZUUWJYHV-RYUDHWBXSA-N 0 3 305.340 4.049 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)NC[C@H](C)N1CCCC[C@H]1C ZINC000501504906 340082447 /nfs/dbraw/zinc/08/24/47/340082447.db2.gz OLDYOZFILBYJOR-OLZOCXBDSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@@H](C)N2CCC[C@H](C)C2)cc1 ZINC000501516798 340082550 /nfs/dbraw/zinc/08/25/50/340082550.db2.gz PKHPKHDZICILRX-JKSUJKDBSA-N 0 3 317.477 4.052 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000501520129 340082672 /nfs/dbraw/zinc/08/26/72/340082672.db2.gz LHDTUFJTHXXEGM-QAPCUYQASA-N 0 3 308.425 4.070 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@H](C)C1 ZINC000501816961 340089345 /nfs/dbraw/zinc/08/93/45/340089345.db2.gz KNHZIQQJJRZPLU-JHJVBQTASA-N 0 3 319.836 4.429 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](C)[C@@H](C)C1 ZINC000501821261 340089366 /nfs/dbraw/zinc/08/93/66/340089366.db2.gz WYHFFHVIIWPLRT-JYJNAYRXSA-N 0 3 302.462 4.115 20 0 DIADHN CCCc1nc(CN2CCC[C@@H]2Cc2ccccc2)cs1 ZINC000501851078 340089791 /nfs/dbraw/zinc/08/97/91/340089791.db2.gz MXEZOMJTTJQRAZ-QGZVFWFLSA-N 0 3 300.471 4.303 20 0 DIADHN CCCc1nc(CN2CCC[C@H]2Cc2ccccc2)cs1 ZINC000501851076 340089829 /nfs/dbraw/zinc/08/98/29/340089829.db2.gz MXEZOMJTTJQRAZ-KRWDZBQOSA-N 0 3 300.471 4.303 20 0 DIADHN CCn1nccc1C1CCN(CCSC2CCCCC2)CC1 ZINC000501884819 340090199 /nfs/dbraw/zinc/09/01/99/340090199.db2.gz GAOSSEGSMBTBRH-UHFFFAOYSA-N 0 3 321.534 4.148 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCC[C@@H]3C)cs2)cc1 ZINC000501900414 340090379 /nfs/dbraw/zinc/09/03/79/340090379.db2.gz QGOWVOLMTJDOGW-SUMWQHHRSA-N 0 3 316.470 4.487 20 0 DIADHN C[C@H]1CCC[C@@H]1NCc1csc(COc2ccc(F)cc2)n1 ZINC000501922787 340090827 /nfs/dbraw/zinc/09/08/27/340090827.db2.gz ZPPTVVQYHVYMKY-LRDDRELGSA-N 0 3 320.433 4.139 20 0 DIADHN C[C@H]1CCC[C@@H]1NCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000501931742 340090990 /nfs/dbraw/zinc/09/09/90/340090990.db2.gz XBUULKXCKMDKMS-RDJZCZTQSA-N 0 3 324.424 4.196 20 0 DIADHN C[C@H](NCCc1ccccc1Cl)c1nc2c(s1)CCC2 ZINC000502044619 340093788 /nfs/dbraw/zinc/09/37/88/340093788.db2.gz CPVMTSAQSLYZOR-NSHDSACASA-N 0 3 306.862 4.179 20 0 DIADHN CC(C)CO[C@@H]1C[C@H](N[C@H](C)c2nc3c(s2)CCC3)C1(C)C ZINC000502051000 340094067 /nfs/dbraw/zinc/09/40/67/340094067.db2.gz LIBWXZSFRDAKEI-UHOFOFEASA-N 0 3 322.518 4.122 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](N[C@H]1CCC12CCC2)c1ccccc1 ZINC000502368589 340100894 /nfs/dbraw/zinc/10/08/94/340100894.db2.gz VOCRQCCOKXJNPU-OALUTQOASA-N 0 3 320.436 4.289 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@H]1CCC12CCC2)c1ccccc1 ZINC000502368590 340100946 /nfs/dbraw/zinc/10/09/46/340100946.db2.gz VOCRQCCOKXJNPU-RBUKOAKNSA-N 0 3 320.436 4.289 20 0 DIADHN COCC[C@@H]1CCC[C@H]1NCc1csc(-c2ccccc2)n1 ZINC000502401918 340101871 /nfs/dbraw/zinc/10/18/71/340101871.db2.gz CHYHSFJBBIGJDF-WMLDXEAASA-N 0 3 316.470 4.105 20 0 DIADHN Cc1cc([C@@H](C)NCCCCCC(=O)OC(C)(C)C)oc1C ZINC000502416027 340102668 /nfs/dbraw/zinc/10/26/68/340102668.db2.gz PMAZCQACPOPXCX-CQSZACIVSA-N 0 3 309.450 4.449 20 0 DIADHN CC(C)c1nn(-c2ccccc2)cc1CN[C@@H]1CCC12CCC2 ZINC000502428395 340102966 /nfs/dbraw/zinc/10/29/66/340102966.db2.gz LSQRMLAHPQHMSM-GOSISDBHSA-N 0 3 309.457 4.418 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C3CCCC3)c2)C1(C)C ZINC000502429132 340103131 /nfs/dbraw/zinc/10/31/31/340103131.db2.gz GWXFRAVXNBNGOM-BMGDILEWSA-N 0 3 319.493 4.241 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@@H](c2ccccc2)N(C)C)oc1C ZINC000502433397 340103374 /nfs/dbraw/zinc/10/33/74/340103374.db2.gz WHHXWRWDQARKAW-KHYOSLBOSA-N 0 3 300.446 4.238 20 0 DIADHN C[C@H](CN[C@H](C)c1cnn(C2CCCC2)c1)Oc1ccccc1 ZINC000502446515 340103898 /nfs/dbraw/zinc/10/38/98/340103898.db2.gz QCAUXMATAFPWAC-HZPDHXFCSA-N 0 3 313.445 4.116 20 0 DIADHN C[C@H](N[C@H]1C[C@H]1Cc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502452003 340104205 /nfs/dbraw/zinc/10/42/05/340104205.db2.gz MESBDXMXCYJVCO-VPWXQRGCSA-N 0 3 309.457 4.280 20 0 DIADHN CS[C@@H](CN[C@@H](C)c1cnn(C2CCCC2)c1)C(C)(C)C ZINC000502457602 340104333 /nfs/dbraw/zinc/10/43/33/340104333.db2.gz APMMAQWVSSYEMY-BBRMVZONSA-N 0 3 309.523 4.427 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@H](C)c2cc(C)c(C)o2)c1 ZINC000502457628 340104410 /nfs/dbraw/zinc/10/44/10/340104410.db2.gz XIGBDSQSYRSZRV-ZIAGYGMSSA-N 0 3 303.402 4.023 20 0 DIADHN Cc1cc([C@@H](C)NC2CCC(C)(c3ccccc3)CC2)nn1C ZINC000502460795 340104690 /nfs/dbraw/zinc/10/46/90/340104690.db2.gz IJXXZHXRWAMSNP-PPDQVPDSSA-N 0 3 311.473 4.280 20 0 DIADHN Cc1ccc(OCCN[C@H](C)c2cnn(C3CCCC3)c2)cc1 ZINC000502465474 340104768 /nfs/dbraw/zinc/10/47/68/340104768.db2.gz UKAOLQZYYUTNHN-MRXNPFEDSA-N 0 3 313.445 4.036 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)c2cccc(Cl)c2F)nn1C ZINC000502471120 340104979 /nfs/dbraw/zinc/10/49/79/340104979.db2.gz IEXXBTSUZDWDHP-LBPRGKRZSA-N 0 3 323.843 4.149 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1c(C)nc2ccccn21)c1cccc(C)c1 ZINC000502483546 340105723 /nfs/dbraw/zinc/10/57/23/340105723.db2.gz JDFMXNRFCYTWQC-DYESRHJHSA-N 0 3 319.452 4.366 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2N[C@@H]1CCCC[C@H]1OC(F)F ZINC000502510164 340106490 /nfs/dbraw/zinc/10/64/90/340106490.db2.gz MNWIBRVLSXAJPO-HZSPNIEDSA-N 0 3 317.326 4.092 20 0 DIADHN CCSCCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000502552090 340107575 /nfs/dbraw/zinc/10/75/75/340107575.db2.gz PCUKUTCOTYBFIZ-LLVKDONJSA-N 0 3 311.882 4.021 20 0 DIADHN CCSCCCN[C@H](C)c1ccc(F)cc1OC(F)F ZINC000502567838 340107998 /nfs/dbraw/zinc/10/79/98/340107998.db2.gz WOBDFEVZTITRHR-SNVBAGLBSA-N 0 3 307.381 4.221 20 0 DIADHN Cc1cc([C@H](C)NCCCOCCc2ccccc2)oc1C ZINC000502684798 340110251 /nfs/dbraw/zinc/11/02/51/340110251.db2.gz DAXMHZUHFOMKRJ-INIZCTEOSA-N 0 3 301.430 4.196 20 0 DIADHN Cc1nc(CCN2CCC[C@H]2Cc2ccccc2Cl)cs1 ZINC000502690244 340110682 /nfs/dbraw/zinc/11/06/82/340110682.db2.gz RCVYNYWGVIXZCZ-INIZCTEOSA-N 0 3 320.889 4.355 20 0 DIADHN C[C@@H](NCCc1cc(F)cc(F)c1)c1cnn(C2CCCC2)c1 ZINC000502699035 340111144 /nfs/dbraw/zinc/11/11/44/340111144.db2.gz WUDRHMVWNPMTBG-CYBMUJFWSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3ccc(F)cc3)CCCC2)nn1C ZINC000502700494 340111237 /nfs/dbraw/zinc/11/12/37/340111237.db2.gz WJEHZVSORBCVMU-HNNXBMFYSA-N 0 3 315.436 4.030 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3ccc(F)cc3)CCCC2)nn1C ZINC000502700495 340111325 /nfs/dbraw/zinc/11/13/25/340111325.db2.gz WJEHZVSORBCVMU-OAHLLOKOSA-N 0 3 315.436 4.030 20 0 DIADHN COc1cccc(CN[C@@H]2CCC23CCC3)c1OC1CCCC1 ZINC000502707040 340111549 /nfs/dbraw/zinc/11/15/49/340111549.db2.gz CKAKFXMKOZIXPO-GOSISDBHSA-N 0 3 315.457 4.439 20 0 DIADHN Fc1ccc(Oc2ccnc(CN[C@H]3CCC34CCC4)c2)cc1 ZINC000502709444 340111723 /nfs/dbraw/zinc/11/17/23/340111723.db2.gz QVLIMLIVCOKISL-SFHVURJKSA-N 0 3 312.388 4.435 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@H]2Cc2ccccc2)nn1C ZINC000502713139 340111876 /nfs/dbraw/zinc/11/18/76/340111876.db2.gz UBACTZAWSUODMD-YTQUADARSA-N 0 3 311.473 4.181 20 0 DIADHN Cc1nc(CCN(C)CCCOc2ccc(Cl)cc2)cs1 ZINC000502714160 340111894 /nfs/dbraw/zinc/11/18/94/340111894.db2.gz BWZRNGNAQLYRDC-UHFFFAOYSA-N 0 3 324.877 4.048 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCC[C@@H]2Cc2ccccc2)nn1C ZINC000502713136 340111912 /nfs/dbraw/zinc/11/19/12/340111912.db2.gz UBACTZAWSUODMD-QXAKKESOSA-N 0 3 311.473 4.181 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)c2ccc(Cl)cc2)nn1C ZINC000502720968 340112119 /nfs/dbraw/zinc/11/21/19/340112119.db2.gz JJXGOLUFSDCSJO-ZDUSSCGKSA-N 0 3 305.853 4.010 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1cnn(C2CCCC2)c1 ZINC000502722550 340112274 /nfs/dbraw/zinc/11/22/74/340112274.db2.gz LCWVTJOYALXOSY-BXWFABGCSA-N 0 3 319.493 4.244 20 0 DIADHN CC(C)CN1CCCC[C@@H]1c1nc(-c2cccc(F)c2)c[nH]1 ZINC000502732552 340112657 /nfs/dbraw/zinc/11/26/57/340112657.db2.gz ZMXJOQLCGBMUIY-QGZVFWFLSA-N 0 3 301.409 4.399 20 0 DIADHN Cc1nc(CCN2CCC(Cc3ccccc3F)CC2)cs1 ZINC000502853806 340114721 /nfs/dbraw/zinc/11/47/21/340114721.db2.gz AGQNDFTVGSHJQB-UHFFFAOYSA-N 0 3 318.461 4.088 20 0 DIADHN CCCN(CCC(F)(F)F)[C@H](C)CC(=O)Nc1ccccc1 ZINC000502908329 340116410 /nfs/dbraw/zinc/11/64/10/340116410.db2.gz CPLUGZIISVNUOW-CYBMUJFWSA-N 0 3 316.367 4.068 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000502995946 340118245 /nfs/dbraw/zinc/11/82/45/340118245.db2.gz LGPSPBDLTFOACX-GJZGRUSLSA-N 0 3 300.471 4.334 20 0 DIADHN Cc1sc(CNC[C@H]2C[C@H]2c2ccccc2)nc1C(C)C ZINC000503043092 340119506 /nfs/dbraw/zinc/11/95/06/340119506.db2.gz JPNLKALTSYNTKP-CVEARBPZSA-N 0 3 300.471 4.468 20 0 DIADHN c1c(CNC[C@@H]2C[C@@H]2c2ccccc2)noc1-c1ccccc1 ZINC000503057766 340119898 /nfs/dbraw/zinc/11/98/98/340119898.db2.gz ZAQGAGCUMHMJBA-PKOBYXMFSA-N 0 3 304.393 4.235 20 0 DIADHN Clc1ccc(C2(CNCc3ncc[nH]3)CCCC2)c(Cl)c1 ZINC000503243173 340123699 /nfs/dbraw/zinc/12/36/99/340123699.db2.gz GHAFDQIAWCYBGB-UHFFFAOYSA-N 0 3 324.255 4.318 20 0 DIADHN CCCCCn1cc(CNCc2cccc3[nH]ccc32)c(C)n1 ZINC000503248899 340123869 /nfs/dbraw/zinc/12/38/69/340123869.db2.gz ZMCUUFVUNOPHKN-UHFFFAOYSA-N 0 3 310.445 4.153 20 0 DIADHN Cc1ccc(CN[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)c(C)n1 ZINC000503410769 340127670 /nfs/dbraw/zinc/12/76/70/340127670.db2.gz CKHQWSQPQHPBNW-KPZWWZAWSA-N 0 3 300.833 4.377 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CNC1CCSCC1 ZINC000503553055 340130270 /nfs/dbraw/zinc/13/02/70/340130270.db2.gz WTZKHJFCFNBHQL-UHFFFAOYSA-N 0 3 301.430 4.478 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000503570652 340131119 /nfs/dbraw/zinc/13/11/19/340131119.db2.gz JIMHAKLATNPXNV-LBPRGKRZSA-N 0 3 301.352 4.032 20 0 DIADHN COCCC1(CNCc2ccc(Oc3ccccc3)o2)CCC1 ZINC000503586748 340131669 /nfs/dbraw/zinc/13/16/69/340131669.db2.gz NNLURZOLTSIIHU-UHFFFAOYSA-N 0 3 315.413 4.368 20 0 DIADHN COC1CCC(CNCc2ccc(Oc3ccccc3)o2)CC1 ZINC000503602596 340132307 /nfs/dbraw/zinc/13/23/07/340132307.db2.gz MWSGIBYQIQBNEI-UHFFFAOYSA-N 0 3 315.413 4.367 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCC[C@H]2C)nnn1-c1ccc(Cl)cc1 ZINC000503912564 340136602 /nfs/dbraw/zinc/13/66/02/340136602.db2.gz RUTOZQQQHVKCDG-WQGACYEGSA-N 0 3 318.852 4.068 20 0 DIADHN COC1(CNCc2cc(C(F)(F)F)ccc2Cl)CCC1 ZINC000503922753 340136984 /nfs/dbraw/zinc/13/69/84/340136984.db2.gz YLJPXMZXFJJUNT-UHFFFAOYSA-N 0 3 307.743 4.018 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCC1CCC(F)(F)CC1 ZINC000503921003 340136996 /nfs/dbraw/zinc/13/69/96/340136996.db2.gz QUXANFXRTHYFJV-NSHDSACASA-N 0 3 301.352 4.310 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cccc(C(=O)NC)c1 ZINC000504091003 340140646 /nfs/dbraw/zinc/14/06/46/340140646.db2.gz QWGAREXXTQHQIP-LJQANCHMSA-N 0 3 310.441 4.019 20 0 DIADHN COc1cc([C@H](C)NCCO[C@@H]2CCCC[C@@H]2C)ccc1F ZINC000504893208 340154894 /nfs/dbraw/zinc/15/48/94/340154894.db2.gz CYCFPUJOFSTKAR-GRDNDAEWSA-N 0 3 309.425 4.080 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1ccc2c(c1)OCCO2 ZINC000504899200 340155188 /nfs/dbraw/zinc/15/51/88/340155188.db2.gz CDVPGSQRXXQWOB-YOEHRIQHSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cc([C@H](C)NCCc2c(F)cccc2F)ccc1F ZINC000504900347 340155479 /nfs/dbraw/zinc/15/54/79/340155479.db2.gz WEFQOWQZZGJIPR-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCCC(=O)Nc1ccccc1 ZINC000504925278 340156371 /nfs/dbraw/zinc/15/63/71/340156371.db2.gz AKUFMWPWYMAUPV-YJBOKZPZSA-N 0 3 308.425 4.162 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCCC(=O)Nc1ccccc1 ZINC000504925276 340156416 /nfs/dbraw/zinc/15/64/16/340156416.db2.gz AKUFMWPWYMAUPV-MAUKXSAKSA-N 0 3 308.425 4.162 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](C)N(C)c2ccccc2)ccc1F ZINC000504926048 340156499 /nfs/dbraw/zinc/15/64/99/340156499.db2.gz GPAKHPPSAGFSTI-LSDHHAIUSA-N 0 3 316.420 4.010 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)c(OC(F)F)c1)C(F)(F)F ZINC000505668502 340174819 /nfs/dbraw/zinc/17/48/19/340174819.db2.gz HSQJXRANQMVBQX-YFKPBYRVSA-N 0 3 317.641 4.078 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC[C@H](c2nccs2)C1 ZINC000505251385 340164814 /nfs/dbraw/zinc/16/48/14/340164814.db2.gz NFFIWZDMLXEUIG-LBPRGKRZSA-N 0 3 324.852 4.358 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC000505295728 340165677 /nfs/dbraw/zinc/16/56/77/340165677.db2.gz MAYNLSSFMVXERS-KRWDZBQOSA-N 0 3 313.466 4.042 20 0 DIADHN c1c(CN2CCCC2)c(-c2ccccc2)nn1Cc1ccccc1 ZINC000505312570 340165981 /nfs/dbraw/zinc/16/59/81/340165981.db2.gz ADCGRFODCXIVGE-UHFFFAOYSA-N 0 3 317.436 4.194 20 0 DIADHN CCc1oc2ccccc2c1CN(C)CCOCC(F)(F)F ZINC000505468212 340169175 /nfs/dbraw/zinc/16/91/75/340169175.db2.gz SUCRVYQXMIOOJI-UHFFFAOYSA-N 0 3 315.335 4.006 20 0 DIADHN CC(C)c1ccc(CN(C)Cc2ccc(-n3cncn3)cc2)cc1 ZINC000505565293 340171209 /nfs/dbraw/zinc/17/12/09/340171209.db2.gz UCOYFTWTGWEXEU-UHFFFAOYSA-N 0 3 320.440 4.023 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000505597898 340172286 /nfs/dbraw/zinc/17/22/86/340172286.db2.gz WUZXRXDMQLEKOI-IEZWGBDMSA-N 0 3 304.478 4.010 20 0 DIADHN COc1ccccc1CCCN[C@@H](C)c1cc(F)ccc1OC ZINC000505607844 340172736 /nfs/dbraw/zinc/17/27/36/340172736.db2.gz MWLDIRPJMJWLPB-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc3[nH]ccc32)cc1OC ZINC000505657205 340174414 /nfs/dbraw/zinc/17/44/14/340174414.db2.gz DGUSZEMXIUWFPQ-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1csc(-c2ccccn2)n1 ZINC000308720532 340174854 /nfs/dbraw/zinc/17/48/54/340174854.db2.gz CWNJFRACKBNCKK-GUYCJALGSA-N 0 3 301.459 4.264 20 0 DIADHN CCSc1cccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)c1 ZINC000505737356 340176114 /nfs/dbraw/zinc/17/61/14/340176114.db2.gz XCVZJRPUIGOAQL-QGZVFWFLSA-N 0 3 320.502 4.248 20 0 DIADHN Clc1csc(CNCCc2nc3ccccc3s2)c1 ZINC000505748926 340176580 /nfs/dbraw/zinc/17/65/80/340176580.db2.gz DOTFNHYSVXXGRL-UHFFFAOYSA-N 0 3 308.859 4.344 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(-c3ccncc3)c2)cc1 ZINC000505775508 340177496 /nfs/dbraw/zinc/17/74/96/340177496.db2.gz CAHGRMOMZRBCNH-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN COc1cccc([C@@H](C)N2CC[C@H](COc3ccccc3)C2)c1 ZINC000505840714 340179306 /nfs/dbraw/zinc/17/93/06/340179306.db2.gz QMRKSVLXPLOAAN-SJORKVTESA-N 0 3 311.425 4.157 20 0 DIADHN CCn1cc(CN(CCc2ccccc2)Cc2ccccc2)cn1 ZINC000505881121 340180635 /nfs/dbraw/zinc/18/06/35/340180635.db2.gz FPIVUDZHNSGIPN-UHFFFAOYSA-N 0 3 319.452 4.148 20 0 DIADHN COc1cc(CN2CCCC[C@H]2C)ccc1OCC(F)(F)F ZINC000505891741 340180886 /nfs/dbraw/zinc/18/08/86/340180886.db2.gz OJIYUFQVSNZUER-GFCCVEGCSA-N 0 3 317.351 4.011 20 0 DIADHN Cc1ccsc1CN(C)Cc1c[nH]nc1-c1ccccc1F ZINC000506004966 340182952 /nfs/dbraw/zinc/18/29/52/340182952.db2.gz LVPRIRSYXSRSCU-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN COCCN(Cc1cccs1)C[C@H]1C[C@H]1c1ccccc1 ZINC000506031348 340183773 /nfs/dbraw/zinc/18/37/73/340183773.db2.gz PEPXUFDRFISOPN-AEFFLSMTSA-N 0 3 301.455 4.000 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(C)c(F)c2)cc1OC ZINC000506059489 340184596 /nfs/dbraw/zinc/18/45/96/340184596.db2.gz IIPDFJXNBUFWJX-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN CN(Cc1ccccc1OC(F)F)[C@H]1CCc2ccccc21 ZINC000506104487 340185844 /nfs/dbraw/zinc/18/58/44/340185844.db2.gz DQKUPHJQRVJZCP-INIZCTEOSA-N 0 3 303.352 4.407 20 0 DIADHN CC[C@@H](C)N(Cc1cnc(N(C)C)s1)Cc1ccccc1 ZINC000506111309 340185971 /nfs/dbraw/zinc/18/59/71/340185971.db2.gz ASAOMBILDDMIFB-CQSZACIVSA-N 0 3 303.475 4.010 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000506175712 340187622 /nfs/dbraw/zinc/18/76/22/340187622.db2.gz IBCBXALFBMXWKF-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN CN(Cc1ccc(-c2c(F)cccc2F)o1)[C@@H]1CCSC1 ZINC000506180442 340187803 /nfs/dbraw/zinc/18/78/03/340187803.db2.gz UPMUZSRJLOPLAX-LLVKDONJSA-N 0 3 309.381 4.162 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)ccc1C ZINC000506186206 340187918 /nfs/dbraw/zinc/18/79/18/340187918.db2.gz DSQFPBIJSPELRR-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1cccc(CN(C)Cc2c[nH]nc2-c2ccc(F)cc2)c1 ZINC000506202093 340188456 /nfs/dbraw/zinc/18/84/56/340188456.db2.gz BBAHBKSBOQGVFC-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCSC2)cc1OCCC(C)C ZINC000506202625 340188460 /nfs/dbraw/zinc/18/84/60/340188460.db2.gz JNEKTELXNNPVEN-MRXNPFEDSA-N 0 3 323.502 4.057 20 0 DIADHN Fc1ccc(CNCCc2nc3ccccc3s2)cc1Cl ZINC000506206395 340188679 /nfs/dbraw/zinc/18/86/79/340188679.db2.gz IYMVEBKBYZXPCP-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Cc1cccc(CN(C)Cc2c[nH]nc2-c2ccccc2F)c1 ZINC000506207716 340188820 /nfs/dbraw/zinc/18/88/20/340188820.db2.gz SCDMCZWPUJJPOE-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@H]1CCc2cc(Cl)ccc21 ZINC000506235251 340189506 /nfs/dbraw/zinc/18/95/06/340189506.db2.gz UPHUZXACARGDSR-NHYWBVRUSA-N 0 3 309.837 4.037 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N(C)Cc1cccc(-n2cccn2)c1 ZINC000506245477 340189800 /nfs/dbraw/zinc/18/98/00/340189800.db2.gz BESAQFWQHXBEPN-HNNXBMFYSA-N 0 3 309.388 4.204 20 0 DIADHN CCOC1CC(CN[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000506267819 340190634 /nfs/dbraw/zinc/19/06/34/340190634.db2.gz KCFXMRKHHASJHV-OAAAQFAESA-N 0 3 313.416 4.320 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN1CCC[C@@H]1c1ccccc1 ZINC000506271153 340190672 /nfs/dbraw/zinc/19/06/72/340190672.db2.gz VHOHOHLBEQIVFZ-LJQANCHMSA-N 0 3 303.409 4.414 20 0 DIADHN COCCOc1ccc(CN(C)[C@H](C)c2ccc(F)cc2)cc1 ZINC000506284425 340191231 /nfs/dbraw/zinc/19/12/31/340191231.db2.gz WPOVOGFZTLSEQC-OAHLLOKOSA-N 0 3 317.404 4.044 20 0 DIADHN c1ccc(C2(NCc3cccc4c3OCO4)CCCCC2)cc1 ZINC000506313937 340191980 /nfs/dbraw/zinc/19/19/80/340191980.db2.gz BKGCXQBDUAVNAH-UHFFFAOYSA-N 0 3 309.409 4.365 20 0 DIADHN COCc1cccc(CN(C)Cc2ccccc2C(F)(F)F)c1 ZINC000506322764 340192082 /nfs/dbraw/zinc/19/20/82/340192082.db2.gz AOEKAJPKUDYZGS-UHFFFAOYSA-N 0 3 323.358 4.484 20 0 DIADHN COc1cc(CN(C)C/C=C\c2ccc(Cl)cc2)ccc1O ZINC000506326181 340192310 /nfs/dbraw/zinc/19/23/10/340192310.db2.gz OIIQUISBTGIXDK-ARJAWSKDSA-N 0 3 317.816 4.199 20 0 DIADHN COc1ccc([C@@H](C)N(C)C/C=C/c2ccccc2OC)cc1 ZINC000506338594 340192777 /nfs/dbraw/zinc/19/27/77/340192777.db2.gz CHUDGIXYMZQTJL-MNDMWVCDSA-N 0 3 311.425 4.410 20 0 DIADHN CCN(C)Cc1cnc(-c2ccc(Br)cc2)s1 ZINC000506369329 340193477 /nfs/dbraw/zinc/19/34/77/340193477.db2.gz MFVAAOLYXGEQFT-UHFFFAOYSA-N 0 3 311.248 4.024 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)C[C@H]2CCCO2)o1 ZINC000506477887 340195523 /nfs/dbraw/zinc/19/55/23/340195523.db2.gz QJZQUBNMMGFHIO-OAHLLOKOSA-N 0 3 303.377 4.005 20 0 DIADHN CC(C)=CCN1CCC(C(=O)Nc2ccc(F)c(Cl)c2)CC1 ZINC000506496059 340195828 /nfs/dbraw/zinc/19/58/28/340195828.db2.gz RRNFHHHCTQBQRK-UHFFFAOYSA-N 0 3 324.827 4.096 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccccc1F)[C@H]1CCc2ccccc21 ZINC000506629840 340197971 /nfs/dbraw/zinc/19/79/71/340197971.db2.gz GTTGXHHMIVWYDY-IBGZPJMESA-N 0 3 321.399 4.335 20 0 DIADHN CCOc1ccc(CN(C)[C@H]2CCc3ccccc32)cc1OC ZINC000506629412 340197985 /nfs/dbraw/zinc/19/79/85/340197985.db2.gz ASPUKHNPRVRWKH-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN CCCN(Cc1ccc(C)cc1)[C@@H](C)C(=O)Nc1ccccc1 ZINC000506639976 340198324 /nfs/dbraw/zinc/19/83/24/340198324.db2.gz REFPLDAQTMMFSA-KRWDZBQOSA-N 0 3 310.441 4.234 20 0 DIADHN Oc1ccc(C2CCN(C/C=C\c3ccc(F)cc3)CC2)cc1 ZINC000506675253 340199409 /nfs/dbraw/zinc/19/94/09/340199409.db2.gz OJAUBISAVDUHBA-UPHRSURJSA-N 0 3 311.400 4.424 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c(c1)CCO2 ZINC000506687620 340199441 /nfs/dbraw/zinc/19/94/41/340199441.db2.gz DRQNDGJZPIPHQT-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)[nH]1)[C@H]1CCc2ccccc21 ZINC000506689399 340199595 /nfs/dbraw/zinc/19/95/95/340199595.db2.gz KMJOISDNFULJDT-IBGZPJMESA-N 0 3 303.409 4.196 20 0 DIADHN COc1ccc(CN(CC2=Cc3ccccc3OC2)C2CC2)cc1 ZINC000506711844 340200061 /nfs/dbraw/zinc/20/00/61/340200061.db2.gz VLHFFWHTRLBUIF-UHFFFAOYSA-N 0 3 321.420 4.136 20 0 DIADHN COc1ccc(CN(Cc2cccc3[nH]ccc32)C2CC2)cc1 ZINC000506720157 340200172 /nfs/dbraw/zinc/20/01/72/340200172.db2.gz QEQWZHLCOXZPPC-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN COc1ccc(CN(C)C/C(C)=C\c2ccccc2)cc1OC ZINC000506788129 340201865 /nfs/dbraw/zinc/20/18/65/340201865.db2.gz DGBMCRLRGXNSJR-VBKFSLOCSA-N 0 3 311.425 4.239 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)CCC(=O)Nc1ccccc1 ZINC000506887955 340203199 /nfs/dbraw/zinc/20/31/99/340203199.db2.gz ACTQFPDEGKJKEO-CQSZACIVSA-N 0 3 316.832 4.362 20 0 DIADHN COCCOc1ccc(CN(Cc2cccs2)C(C)C)cc1 ZINC000507022454 340205350 /nfs/dbraw/zinc/20/53/50/340205350.db2.gz RSUMNLLDUMSVFU-UHFFFAOYSA-N 0 3 319.470 4.184 20 0 DIADHN C[C@@H]1c2ccsc2CCN1Cc1ccccc1-c1ccnn1C ZINC000507025430 340205728 /nfs/dbraw/zinc/20/57/28/340205728.db2.gz IBGRYNFZODIAPS-CQSZACIVSA-N 0 3 323.465 4.268 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccsc2C)c(OC)c1 ZINC000507027675 340205794 /nfs/dbraw/zinc/20/57/94/340205794.db2.gz WXUKXKWZEJBTBW-QGZVFWFLSA-N 0 3 317.454 4.411 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CC[C@@H](c2ccccc2)C1 ZINC000507085963 340206937 /nfs/dbraw/zinc/20/69/37/340206937.db2.gz NBCLWNPYFNICTN-GOSISDBHSA-N 0 3 303.409 4.066 20 0 DIADHN OCCN(Cc1ccc(-c2ccccc2Cl)s1)C1CC1 ZINC000507133064 340208627 /nfs/dbraw/zinc/20/86/27/340208627.db2.gz SODOMKTVGGLZGY-UHFFFAOYSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccc(N2CCCC2)nc1 ZINC000507139905 340209263 /nfs/dbraw/zinc/20/92/63/340209263.db2.gz APLKFYGCWSDHPY-FQEVSTJZSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccc(N2CCCC2)nc1 ZINC000507139906 340209305 /nfs/dbraw/zinc/20/93/05/340209305.db2.gz APLKFYGCWSDHPY-HXUWFJFHSA-N 0 3 321.468 4.327 20 0 DIADHN CC(C)N(Cc1ccncc1)Cc1cccc(Br)c1 ZINC000507167425 340210790 /nfs/dbraw/zinc/21/07/90/340210790.db2.gz LKMOKGRGNPQHKZ-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@H](c3ccccc3C)C2)c1 ZINC000507180905 340211494 /nfs/dbraw/zinc/21/14/94/340211494.db2.gz OZPMQUHVBFZYIA-ROUUACIJSA-N 0 3 322.452 4.120 20 0 DIADHN COCCOc1cccc(CN(C)[C@H](C)c2ccc(C)cc2)c1 ZINC000507192243 340212448 /nfs/dbraw/zinc/21/24/48/340212448.db2.gz KFVOTLRUMDJPJT-QGZVFWFLSA-N 0 3 313.441 4.213 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc(-n3cncn3)c(C)c2)cc1 ZINC000507197104 340212647 /nfs/dbraw/zinc/21/26/47/340212647.db2.gz ZXSDDFYMMUREFC-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN CCC1(C)CCN(Cc2cc(-c3ccc(F)cc3)no2)CC1 ZINC000507199773 340212684 /nfs/dbraw/zinc/21/26/84/340212684.db2.gz AGWAZQKPQBEMMU-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)[C@H](C)c3cc(C)ccc3C)cc12 ZINC000507204572 340213291 /nfs/dbraw/zinc/21/32/91/340213291.db2.gz RJUVEFSQOLGJQP-OAHLLOKOSA-N 0 3 308.429 4.076 20 0 DIADHN CCOc1cc(CN(CC)C2CC2)ccc1OCC(F)(F)F ZINC000507229194 340214715 /nfs/dbraw/zinc/21/47/15/340214715.db2.gz IIOAPCLSJBIJSO-UHFFFAOYSA-N 0 3 317.351 4.011 20 0 DIADHN CSc1ccc(CN(C)C[C@@H]2OCCc3ccccc32)cc1 ZINC000507234336 340214935 /nfs/dbraw/zinc/21/49/35/340214935.db2.gz QCIHZUUAFWLVCU-IBGZPJMESA-N 0 3 313.466 4.154 20 0 DIADHN CCN(Cc1cc2ccccc2nc1N1CCCCC1)C1CC1 ZINC000507234296 340214976 /nfs/dbraw/zinc/21/49/76/340214976.db2.gz XBOYAIQFPPBGNS-UHFFFAOYSA-N 0 3 309.457 4.209 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnn(CC(F)F)c1 ZINC000507335031 340217374 /nfs/dbraw/zinc/21/73/74/340217374.db2.gz STNLOOWWSNONQK-INIZCTEOSA-N 0 3 307.388 4.121 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1ccc2c(c1)oc(=O)n2C ZINC000507333099 340217523 /nfs/dbraw/zinc/21/75/23/340217523.db2.gz KUDABZZZAKGXEY-KRWDZBQOSA-N 0 3 324.424 4.105 20 0 DIADHN CCOCCCN(Cc1ccc(F)cc1)Cc1ccc(F)cc1 ZINC000507396453 340218498 /nfs/dbraw/zinc/21/84/98/340218498.db2.gz DMDAYJGMYBQBJH-UHFFFAOYSA-N 0 3 319.395 4.394 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(C)c1CN(C)[C@H](C)C1CC1 ZINC000507432581 340219267 /nfs/dbraw/zinc/21/92/67/340219267.db2.gz IJAUHYZLTQJQKK-MRXNPFEDSA-N 0 3 311.473 4.087 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCO[C@@H](C(CC)CC)C1 ZINC000507447746 340220094 /nfs/dbraw/zinc/22/00/94/340220094.db2.gz XTPJYDNVAICJAL-JCGIZDLHSA-N 0 3 304.478 4.278 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccccc1F ZINC000507459394 340220506 /nfs/dbraw/zinc/22/05/06/340220506.db2.gz FVZSRUYLKLPZNQ-NJSLBKSFSA-N 0 3 316.420 4.210 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@H](OC)c1ccccc1F ZINC000507459393 340220592 /nfs/dbraw/zinc/22/05/92/340220592.db2.gz FVZSRUYLKLPZNQ-KSMMKXTCSA-N 0 3 316.420 4.210 20 0 DIADHN CCCCNC(=O)[C@@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000507464615 340220843 /nfs/dbraw/zinc/22/08/43/340220843.db2.gz NYRPSFYQZQBTEF-HUUCEWRRSA-N 0 3 322.518 4.143 20 0 DIADHN CCN(CC)C(=O)[C@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000507464959 340220875 /nfs/dbraw/zinc/22/08/75/340220875.db2.gz PCJBHSQWJIEWFQ-GJZGRUSLSA-N 0 3 322.518 4.095 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000507469066 340221118 /nfs/dbraw/zinc/22/11/18/340221118.db2.gz JEVKIDZYXQAUPS-HOTGVXAUSA-N 0 3 320.440 4.242 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](c1ccc(OC)cc1)C1CC1 ZINC000507469458 340221123 /nfs/dbraw/zinc/22/11/23/340221123.db2.gz KKFXGLLLPXTPQZ-VLIAUNLRSA-N 0 3 310.441 4.455 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@@H]1Oc2ccccc2[C@H]1C ZINC000507471114 340221407 /nfs/dbraw/zinc/22/14/07/340221407.db2.gz OGICHVAQSRRVNS-IGMJJTELSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000507473572 340221517 /nfs/dbraw/zinc/22/15/17/340221517.db2.gz VHVUJUWCJMMGOB-QKLQHJQFSA-N 0 3 323.484 4.130 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000507480102 340221821 /nfs/dbraw/zinc/22/18/21/340221821.db2.gz ALWOVZBSYPWUBB-PXNSSMCTSA-N 0 3 323.484 4.132 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccc(SCC)cc1 ZINC000507506961 340223219 /nfs/dbraw/zinc/22/32/19/340223219.db2.gz GCIDRNQDDYOQGE-ZNMIVQPWSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1csc(C(C)(C)C)n1 ZINC000507512652 340223451 /nfs/dbraw/zinc/22/34/51/340223451.db2.gz QEJHNKZBEXVBRG-CYBMUJFWSA-N 0 3 317.502 4.291 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1csc(C(C)(C)C)n1 ZINC000507512658 340223502 /nfs/dbraw/zinc/22/35/02/340223502.db2.gz QEJHNKZBEXVBRG-ZDUSSCGKSA-N 0 3 317.502 4.291 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](C)COCc1ccccc1 ZINC000507517193 340223795 /nfs/dbraw/zinc/22/37/95/340223795.db2.gz YRQSVNCHUPUODI-DLBZAZTESA-N 0 3 312.457 4.148 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCC[C@H]1OCc1ccccc1 ZINC000507516327 340223816 /nfs/dbraw/zinc/22/38/16/340223816.db2.gz XEJCVNDCXAHLOM-FFZOFVMBSA-N 0 3 324.468 4.433 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ncccc2CC)C12CCCC2 ZINC000507520294 340224052 /nfs/dbraw/zinc/22/40/52/340224052.db2.gz OXCKQKREXTWWOY-DJIMGWMZSA-N 0 3 302.462 4.032 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ncccc2CC)C12CCCC2 ZINC000507520293 340224085 /nfs/dbraw/zinc/22/40/85/340224085.db2.gz OXCKQKREXTWWOY-BHYGNILZSA-N 0 3 302.462 4.032 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCCOc1ccc(C)cc1 ZINC000507523218 340224468 /nfs/dbraw/zinc/22/44/68/340224468.db2.gz IFOPNDJKBHBYLZ-KRWDZBQOSA-N 0 3 312.457 4.462 20 0 DIADHN CO[C@@H]1C[C@H](N[C@H](C)c2sc(C(C)(C)C)nc2C)C1(C)C ZINC000300412917 340225342 /nfs/dbraw/zinc/22/53/42/340225342.db2.gz WWOLPUKGANZJQS-KGYLQXTDSA-N 0 3 310.507 4.213 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccc(Cl)cc1Cl ZINC000300413431 340225508 /nfs/dbraw/zinc/22/55/08/340225508.db2.gz CGMLLBYFKVAMNV-AMFBXLIHSA-N 0 3 300.229 4.212 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCC[C@H]1CCCCO1 ZINC000507605310 340225944 /nfs/dbraw/zinc/22/59/44/340225944.db2.gz JSPTWBFMOHUOFI-DZGCQCFKSA-N 0 3 311.853 4.349 20 0 DIADHN C[C@H](COc1ccc(C(C)(C)C)cc1)N[C@H](C)c1ccncc1 ZINC000507619463 340226578 /nfs/dbraw/zinc/22/65/78/340226578.db2.gz HEGKQDNFCZBEQS-HZPDHXFCSA-N 0 3 312.457 4.497 20 0 DIADHN C[C@@H](NCC[C@H]1CCCCO1)c1ccccc1OC(F)(F)F ZINC000507625808 340226715 /nfs/dbraw/zinc/22/67/15/340226715.db2.gz QEHYLCVAZBWUIO-CHWSQXEVSA-N 0 3 317.351 4.195 20 0 DIADHN CC[C@@H]1C[C@H](CN(Cc2ccc(F)cc2F)C2CC2)CCO1 ZINC000507622844 340226739 /nfs/dbraw/zinc/22/67/39/340226739.db2.gz TWJMNTMCTIMGPJ-CXAGYDPISA-N 0 3 309.400 4.134 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@H](C)c1ccncc1 ZINC000507654280 340227277 /nfs/dbraw/zinc/22/72/77/340227277.db2.gz SNGQVLJYGQTPDL-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN c1c(CN2CC[C@@H](c3ccccc3)C2)[nH]nc1-c1ccccc1 ZINC000507665514 340227663 /nfs/dbraw/zinc/22/76/63/340227663.db2.gz KPSNLMWVRBPXDN-GOSISDBHSA-N 0 3 303.409 4.066 20 0 DIADHN CC[C@H](C)N(CC(=O)N[C@H](C)c1ccccc1)Cc1ccccc1 ZINC000507676226 340228044 /nfs/dbraw/zinc/22/80/44/340228044.db2.gz FFGDWJXWWACCLW-ZWKOTPCHSA-N 0 3 324.468 4.165 20 0 DIADHN CN(Cc1ccccc1)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@@H]1O ZINC000507686722 340228272 /nfs/dbraw/zinc/22/82/72/340228272.db2.gz HQUPDFHMHCMWJW-DLBZAZTESA-N 0 3 322.235 4.084 20 0 DIADHN CC[C@H](C)N(CC(=O)N1[C@H](C)CCC[C@@H]1C)Cc1ccccc1 ZINC000507691925 340228357 /nfs/dbraw/zinc/22/83/57/340228357.db2.gz GHIYYCQUJLKFCJ-OKZBNKHCSA-N 0 3 316.489 4.077 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(n3cc(Cl)cn3)CC2)cc1 ZINC000507695446 340228543 /nfs/dbraw/zinc/22/85/43/340228543.db2.gz SUGSTFHPPIBQGL-UPHRSURJSA-N 0 3 319.811 4.026 20 0 DIADHN CCN(Cc1ccc(OC)c(F)c1)[C@@H](C)c1cccc(OC)c1 ZINC000507706457 340228951 /nfs/dbraw/zinc/22/89/51/340228951.db2.gz WZICVLIXNSIOAQ-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN c1ccc(CN2CCC(c3nc(-c4ccccc4)no3)CC2)cc1 ZINC000507716591 340229509 /nfs/dbraw/zinc/22/95/09/340229509.db2.gz HKDNKUNVHFWKFP-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN CC(C)(C)c1ncc(CNC2(C)Cc3ccccc3C2)s1 ZINC000507730550 340229698 /nfs/dbraw/zinc/22/96/98/340229698.db2.gz FSOMGSBJLCSXOV-UHFFFAOYSA-N 0 3 300.471 4.088 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CCc3c(F)cc(F)cc3[C@@H]2C)CCO1 ZINC000507791806 340231745 /nfs/dbraw/zinc/23/17/45/340231745.db2.gz FWIWYSXZLZXUEU-KCQAQPDRSA-N 0 3 309.400 4.089 20 0 DIADHN CCc1ccc([C@H](C)NCCC(=O)Nc2ccccc2F)cc1 ZINC000507797014 340231877 /nfs/dbraw/zinc/23/18/77/340231877.db2.gz QFMBRZFRPCPXDL-AWEZNQCLSA-N 0 3 314.404 4.068 20 0 DIADHN C[C@@]1(CN[C@@H]2CCc3c2cc(Cl)cc3Cl)CCCO1 ZINC000507813849 340232931 /nfs/dbraw/zinc/23/29/31/340232931.db2.gz UCSSXOUGASMTBR-CABCVRRESA-N 0 3 300.229 4.139 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2NC[C@H]1CCCCO1 ZINC000507831999 340233572 /nfs/dbraw/zinc/23/35/72/340233572.db2.gz WFNXHUWRHVZTDF-ABAIWWIYSA-N 0 3 300.229 4.139 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1cc(Cl)ccc1Cl ZINC000507842628 340233825 /nfs/dbraw/zinc/23/38/25/340233825.db2.gz BLDPPAMNEOVXRB-NDMCHQMPSA-N 0 3 314.256 4.458 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NC1CCC2(CCOCC2)CC1 ZINC000507876445 340234842 /nfs/dbraw/zinc/23/48/42/340234842.db2.gz BCRYSULONZRDDT-QGZVFWFLSA-N 0 3 321.411 4.281 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1cccc2nccn21)\c1ccccc1 ZINC000507877721 340234888 /nfs/dbraw/zinc/23/48/88/340234888.db2.gz SUIRRNRDBORNJJ-DXRVJIQQSA-N 0 3 303.409 4.012 20 0 DIADHN CC(C)(NC[C@H]1CCCCO1)c1cccc(C(F)(F)F)c1 ZINC000507903903 340235610 /nfs/dbraw/zinc/23/56/10/340235610.db2.gz RNBBAMPELLDTHB-CQSZACIVSA-N 0 3 301.352 4.099 20 0 DIADHN Cc1ccc(OCCCNCc2cnc(C(C)(C)C)s2)cc1 ZINC000507931974 340236006 /nfs/dbraw/zinc/23/60/06/340236006.db2.gz MZZHNGODCVUFTJ-UHFFFAOYSA-N 0 3 318.486 4.308 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000507949394 340236374 /nfs/dbraw/zinc/23/63/74/340236374.db2.gz HASVLVLSQJZXEA-KGLIPLIRSA-N 0 3 301.459 4.121 20 0 DIADHN C[C@H]1CCC[C@@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000507949395 340236422 /nfs/dbraw/zinc/23/64/22/340236422.db2.gz HASVLVLSQJZXEA-UONOGXRCSA-N 0 3 301.459 4.121 20 0 DIADHN COc1ccc(CN([C@@H](C)C2CC2)C2CC2)c(Cl)c1OC ZINC000508039035 340237908 /nfs/dbraw/zinc/23/79/08/340237908.db2.gz FNBSIKBZPGIKJL-NSHDSACASA-N 0 3 309.837 4.120 20 0 DIADHN Cc1ccc(-c2ncc(CN([C@H](C)C3CC3)C3CC3)s2)o1 ZINC000508037205 340237937 /nfs/dbraw/zinc/23/79/37/340237937.db2.gz FUIZBGUGCBOLSC-GFCCVEGCSA-N 0 3 302.443 4.474 20 0 DIADHN CC(C)(C)CN(Cc1cnn(CC(F)F)c1)Cc1ccccc1 ZINC000508208488 340240614 /nfs/dbraw/zinc/24/06/14/340240614.db2.gz UXIHTLMDMVSYNC-UHFFFAOYSA-N 0 3 321.415 4.197 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)CCC(=O)OC(C)(C)C ZINC000508482925 340244514 /nfs/dbraw/zinc/24/45/14/340244514.db2.gz GPSXMJJFAHOTJG-CYBMUJFWSA-N 0 3 303.402 4.157 20 0 DIADHN COC[C@@H]1CCN(Cc2sc3c(cccc3Cl)c2C)C1 ZINC000508516474 340245406 /nfs/dbraw/zinc/24/54/06/340245406.db2.gz NWKZFRJGDJUKSF-GFCCVEGCSA-N 0 3 309.862 4.331 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC(COc2ccccc2)CC1 ZINC000508520178 340245638 /nfs/dbraw/zinc/24/56/38/340245638.db2.gz YPGNWFSVXWLNAZ-YFHOEESVSA-N 0 3 307.437 4.491 20 0 DIADHN CCCN(Cc1cnc(N(C)C)s1)[C@@H]1CCc2ccccc21 ZINC000508603281 340247589 /nfs/dbraw/zinc/24/75/89/340247589.db2.gz INJPNODHENDSKJ-QGZVFWFLSA-N 0 3 315.486 4.109 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CNC(C)(C)c1ccccc1 ZINC000508656762 340249785 /nfs/dbraw/zinc/24/97/85/340249785.db2.gz OBQIMDQWQCFXCR-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@H](C)C1 ZINC000508675481 340250426 /nfs/dbraw/zinc/25/04/26/340250426.db2.gz GPCJKEPVTZNREX-JKSUJKDBSA-N 0 3 308.425 4.022 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H](C)Cn2cccn2)c1 ZINC000508723501 340251421 /nfs/dbraw/zinc/25/14/21/340251421.db2.gz JHTMERWPHYFBSA-KRWDZBQOSA-N 0 3 305.425 4.037 20 0 DIADHN COc1ccc(CNc2cccc(CN(C)C)c2)cc1Cl ZINC000086584589 340252511 /nfs/dbraw/zinc/25/25/11/340252511.db2.gz JYLDXLGNSOYSFD-UHFFFAOYSA-N 0 3 304.821 4.022 20 0 DIADHN CN(CCc1cccs1)Cc1conc1Cc1ccccc1 ZINC000508770703 340252856 /nfs/dbraw/zinc/25/28/56/340252856.db2.gz AHXFIVHKQVGIQA-UHFFFAOYSA-N 0 3 312.438 4.001 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1nc2ccccc2n1C)CC(F)(F)F ZINC000402670784 340253771 /nfs/dbraw/zinc/25/37/71/340253771.db2.gz OAAKBYSQAKTHFM-NWDGAFQWSA-N 0 3 313.367 4.203 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCc1scnc1C)[C@H]2C ZINC000508962479 340256276 /nfs/dbraw/zinc/25/62/76/340256276.db2.gz JWSVIKIPFLTPMS-OCCSQVGLSA-N 0 3 316.470 4.010 20 0 DIADHN C[C@H](C1CCC1)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000508978595 340256915 /nfs/dbraw/zinc/25/69/15/340256915.db2.gz QFBDAWMWEAEXAC-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN CCOC1CC(CN[C@@H](C)c2cc(Cl)ccc2Cl)C1 ZINC000508978687 340256923 /nfs/dbraw/zinc/25/69/23/340256923.db2.gz RBJSGOZGPQAXLQ-ZBOXLXRLSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ncsc1CCN1CCCC[C@@H]1c1ccc(F)cc1F ZINC000508991234 340257405 /nfs/dbraw/zinc/25/74/05/340257405.db2.gz LKTPBBFEGYYVQR-MRXNPFEDSA-N 0 3 322.424 4.499 20 0 DIADHN C[C@@H](N(C)Cc1ccc(Sc2ccccn2)o1)C1(C)CC1 ZINC000509034785 340258861 /nfs/dbraw/zinc/25/88/61/340258861.db2.gz FOEWWLRRFHAWPA-CYBMUJFWSA-N 0 3 302.443 4.446 20 0 DIADHN Cc1cccc(-c2noc(CN(CC(C)C)CC(C)C)n2)c1 ZINC000509049108 340259563 /nfs/dbraw/zinc/25/95/63/340259563.db2.gz IDHZVCRGZOGWDQ-UHFFFAOYSA-N 0 3 301.434 4.159 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nc2cc(Br)ccc2o1 ZINC000509123841 340261791 /nfs/dbraw/zinc/26/17/91/340261791.db2.gz NPELEJRBZPIFAN-ZWNOBZJWSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nc2cc(Br)ccc2o1 ZINC000509123834 340261893 /nfs/dbraw/zinc/26/18/93/340261893.db2.gz NPELEJRBZPIFAN-GWCFXTLKSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)Cn3cccn3)s2)cc1 ZINC000509132616 340261911 /nfs/dbraw/zinc/26/19/11/340261911.db2.gz QJFDLSDLUBZPAS-HNNXBMFYSA-N 0 3 311.454 4.098 20 0 DIADHN CC[NH+](CC)Cc1cccc(NCc2c(F)ccc([O-])c2F)c1 ZINC000509172576 340263016 /nfs/dbraw/zinc/26/30/16/340263016.db2.gz WJAPKVCNLYIKKU-UHFFFAOYSA-N 0 3 320.383 4.124 20 0 DIADHN FCCCCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000509261469 340264726 /nfs/dbraw/zinc/26/47/26/340264726.db2.gz CEESKUYVOHVYEV-CQSZACIVSA-N 0 3 300.215 4.336 20 0 DIADHN Cc1ncsc1CCN(C)CCCOc1ccc(Cl)cc1 ZINC000509260194 340264749 /nfs/dbraw/zinc/26/47/49/340264749.db2.gz LWEZNUPACJZKOW-UHFFFAOYSA-N 0 3 324.877 4.048 20 0 DIADHN COCCCCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000509263265 340264793 /nfs/dbraw/zinc/26/47/93/340264793.db2.gz LAXPPUIWWSZQLP-HNNXBMFYSA-N 0 3 312.251 4.013 20 0 DIADHN C[C@H](F)CCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000509266145 340264877 /nfs/dbraw/zinc/26/48/77/340264877.db2.gz XFPYUXUGDLJLKU-SMDDNHRTSA-N 0 3 300.215 4.334 20 0 DIADHN COCCN(CC[C@@H](C)F)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000509280093 340265333 /nfs/dbraw/zinc/26/53/33/340265333.db2.gz VDHGEXYWPSCGGP-CHWSQXEVSA-N 0 3 321.358 4.463 20 0 DIADHN CC(C)CN(Cc1nc2cc(Br)ccc2o1)C1CC1 ZINC000509295498 340265664 /nfs/dbraw/zinc/26/56/64/340265664.db2.gz VYLUKZRRNCJOHB-UHFFFAOYSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1ncsc1CCN(Cc1ccccc1F)CC(C)C ZINC000509313811 340266042 /nfs/dbraw/zinc/26/60/42/340266042.db2.gz KTJVQNXLYHTSHD-UHFFFAOYSA-N 0 3 306.450 4.291 20 0 DIADHN OCCCN(Cc1ccccc1)Cc1ccccc1C(F)(F)F ZINC000509341227 340266669 /nfs/dbraw/zinc/26/66/69/340266669.db2.gz IPDANGITTMLFJQ-UHFFFAOYSA-N 0 3 323.358 4.090 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(Cl)cc1 ZINC000509413634 340268648 /nfs/dbraw/zinc/26/86/48/340268648.db2.gz HVSRFFRXZIPRFQ-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN Brc1sccc1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000509428378 340269189 /nfs/dbraw/zinc/26/91/89/340269189.db2.gz FFZYHTCZYZAWDH-UONOGXRCSA-N 0 3 322.271 4.404 20 0 DIADHN CCOC(=O)CCCCN1CC=C(c2ccccc2Cl)CC1 ZINC000509439301 340269540 /nfs/dbraw/zinc/26/95/40/340269540.db2.gz UISQNUOKKDSBFK-UHFFFAOYSA-N 0 3 321.848 4.163 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000509516330 340271915 /nfs/dbraw/zinc/27/19/15/340271915.db2.gz KYFIPLRGJPCTFM-ZIFCJYIRSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2OC1)c1ccc(F)c(F)c1 ZINC000509517081 340272031 /nfs/dbraw/zinc/27/20/31/340272031.db2.gz SFPJAKLHQWTYKS-IUODEOHRSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2OC1)c1cc(F)ccc1F ZINC000509526016 340272273 /nfs/dbraw/zinc/27/22/73/340272273.db2.gz POJNKJZOGITFPI-DOMZBBRYSA-N 0 3 303.352 4.009 20 0 DIADHN FCCCCN1CC=C(c2cccc(Br)c2)CC1 ZINC000509627419 340274853 /nfs/dbraw/zinc/27/48/53/340274853.db2.gz YMMSVQVCJSGTTI-UHFFFAOYSA-N 0 3 312.226 4.288 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(F)cc1C)c1ccsc1 ZINC000509623416 340274876 /nfs/dbraw/zinc/27/48/76/340274876.db2.gz YXEUAHVCVYFYJC-GOSISDBHSA-N 0 3 320.477 4.368 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509650944 340275713 /nfs/dbraw/zinc/27/57/13/340275713.db2.gz IUSFKTBDOUVJRP-GHMZBOCLSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CC[C@H](C)N(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509650946 340275794 /nfs/dbraw/zinc/27/57/94/340275794.db2.gz IUSFKTBDOUVJRP-MNOVXSKESA-N 0 3 323.234 4.211 20 0 DIADHN CC(C)CN(C(=O)[C@H]1CC[C@H](C(C)C)CC1)C1CCN(C)CC1 ZINC000509708469 340277719 /nfs/dbraw/zinc/27/77/19/340277719.db2.gz QGXGOSOAPPLJHW-IYARVYRRSA-N 0 3 322.537 4.028 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccccc2C)c(OC)c1 ZINC000302741716 340280691 /nfs/dbraw/zinc/28/06/91/340280691.db2.gz QYIPCYVLCYTNJG-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN CC[C@@H]1CCCCN1CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000302740834 340280779 /nfs/dbraw/zinc/28/07/79/340280779.db2.gz PWJUGOCCZXQTQD-SJLPKXTDSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@H](C)C[C@H](C)C3)cs2)cc1 ZINC000302742755 340280870 /nfs/dbraw/zinc/28/08/70/340280870.db2.gz STRUJQDBDHNJKU-OKILXGFUSA-N 0 3 316.470 4.297 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCOc2ccc(F)cc2)cc1 ZINC000302744790 340280963 /nfs/dbraw/zinc/28/09/63/340280963.db2.gz PBICMMLROALAIJ-IBGZPJMESA-N 0 3 315.388 4.050 20 0 DIADHN COc1ccc(C(=O)CCN2CCC[C@H]2c2ccccc2)cc1 ZINC000509850842 340281591 /nfs/dbraw/zinc/28/15/91/340281591.db2.gz GKANKVDJGXSJPP-IBGZPJMESA-N 0 3 309.409 4.105 20 0 DIADHN CC(=O)c1ccccc1OCCN(Cc1ccccc1)C(C)C ZINC000302834797 340283328 /nfs/dbraw/zinc/28/33/28/340283328.db2.gz XCWDVARQUGWZNU-UHFFFAOYSA-N 0 3 311.425 4.179 20 0 DIADHN COCC[C@H](NCc1ncc(-c2ccccc2)s1)C(C)(C)C ZINC000509945447 340283469 /nfs/dbraw/zinc/28/34/69/340283469.db2.gz TVKLIESUJGCUPY-INIZCTEOSA-N 0 3 318.486 4.351 20 0 DIADHN COCC[C@@H](NCc1csc(-c2ccsc2)n1)C(C)(C)C ZINC000509964728 340284138 /nfs/dbraw/zinc/28/41/38/340284138.db2.gz XKZNSDOHYHSLSA-CQSZACIVSA-N 0 3 324.515 4.412 20 0 DIADHN C[C@H](N[C@@H]1CSC1(C)C)c1cc(Br)cs1 ZINC000308997130 340285308 /nfs/dbraw/zinc/28/53/08/340285308.db2.gz MVVMXCLAPIYEDK-OIBJUYFYSA-N 0 3 306.294 4.055 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN([C@@H](C)c2ccccc2F)CC1 ZINC000510075660 340287513 /nfs/dbraw/zinc/28/75/13/340287513.db2.gz VVDVNEYIRZOUAH-AWEZNQCLSA-N 0 3 321.436 4.188 20 0 DIADHN Cc1cnc(CN2CCC[C@](C)(c3ccccc3)CC2)s1 ZINC000510141189 340290332 /nfs/dbraw/zinc/29/03/32/340290332.db2.gz YRTCRDHLMQHJLI-SFHVURJKSA-N 0 3 300.471 4.395 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1C[C@H]1CCCCO1 ZINC000510171147 340291489 /nfs/dbraw/zinc/29/14/89/340291489.db2.gz SVALNTHCXSGOJV-NUJGCVRESA-N 0 3 303.446 4.037 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1CCC(F)(F)F ZINC000510216338 340292829 /nfs/dbraw/zinc/29/28/29/340292829.db2.gz WNCPPDXEDPHEQM-OCCSQVGLSA-N 0 3 301.352 4.421 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1CCC(F)(F)F ZINC000510216336 340292873 /nfs/dbraw/zinc/29/28/73/340292873.db2.gz WNCPPDXEDPHEQM-GXTWGEPZSA-N 0 3 301.352 4.421 20 0 DIADHN Fc1ccc(NC2CCN(C/C=C\c3ccccc3)CC2)cc1 ZINC000510216662 340292876 /nfs/dbraw/zinc/29/28/76/340292876.db2.gz ZYEXLJGTXCRMCO-DAXSKMNVSA-N 0 3 310.416 4.415 20 0 DIADHN CCOc1ccc(CN(C)CCSc2ccccc2)cc1 ZINC000510225701 340293086 /nfs/dbraw/zinc/29/30/86/340293086.db2.gz YWVXDURBJKAJFM-UHFFFAOYSA-N 0 3 301.455 4.309 20 0 DIADHN Cc1noc(C)c1CCN(Cc1ccccc1Cl)CC1CC1 ZINC000510229858 340293326 /nfs/dbraw/zinc/29/33/26/340293326.db2.gz QXFCPBFWGVDYNX-UHFFFAOYSA-N 0 3 318.848 4.400 20 0 DIADHN COc1ccc([C@H](C)NC/C=C\c2ccc(F)c(F)c2)cc1F ZINC000510231614 340293477 /nfs/dbraw/zinc/29/34/77/340293477.db2.gz YLWVIHVNKSDVDN-MVZIDQBPSA-N 0 3 321.342 4.477 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1cnccc1C ZINC000510237087 340293624 /nfs/dbraw/zinc/29/36/24/340293624.db2.gz FNDDQNYNUBSPTD-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN c1coc([C@@H](CNCc2ccc3occc3c2)N2CCCCC2)c1 ZINC000510267033 340294700 /nfs/dbraw/zinc/29/47/00/340294700.db2.gz DBEHNSXFSMJKES-GOSISDBHSA-N 0 3 324.424 4.343 20 0 DIADHN Fc1ccc(CN(C[C@@H]2CCCO2)C2CCCC2)c(Cl)c1 ZINC000303032791 340297029 /nfs/dbraw/zinc/29/70/29/340297029.db2.gz XTAPDQWFCVNMTI-INIZCTEOSA-N 0 3 311.828 4.403 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@@H](C)CN2CCC[C@H](C)C2)cc1 ZINC000510377508 340297288 /nfs/dbraw/zinc/29/72/88/340297288.db2.gz MPILCYRAXGIXSH-HOTGVXAUSA-N 0 3 317.477 4.052 20 0 DIADHN C[C@@H](N[C@H]1CSC1(C)C)c1ccc(Br)s1 ZINC000309001616 340297698 /nfs/dbraw/zinc/29/76/98/340297698.db2.gz OHVJWRIXBJNDHG-APPZFPTMSA-N 0 3 306.294 4.055 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@H](n2ncc3ccccc32)C1 ZINC000510418510 340298764 /nfs/dbraw/zinc/29/87/64/340298764.db2.gz XBDKAESXAMXHCG-KSSFIOAISA-N 0 3 309.388 4.183 20 0 DIADHN c1ccc(O[C@H]2CCCN(Cc3ccc4ncccc4c3)C2)cc1 ZINC000510493148 340300928 /nfs/dbraw/zinc/30/09/28/340300928.db2.gz UMORFKPEZBAIFH-FQEVSTJZSA-N 0 3 318.420 4.278 20 0 DIADHN c1ccc(O[C@@H]2CCCN(Cc3cccc4cccnc43)C2)cc1 ZINC000510500860 340301298 /nfs/dbraw/zinc/30/12/98/340301298.db2.gz GQJNJJWJEGMYPB-HXUWFJFHSA-N 0 3 318.420 4.278 20 0 DIADHN Cc1ccsc1CN1CCC(Oc2ccc(F)cc2F)CC1 ZINC000510516640 340301579 /nfs/dbraw/zinc/30/15/79/340301579.db2.gz CVWNALFUVWNIKN-UHFFFAOYSA-N 0 3 323.408 4.378 20 0 DIADHN CC(C)COC1CCN([C@@H]2CCCc3ccc(F)cc32)CC1 ZINC000510548401 340302471 /nfs/dbraw/zinc/30/24/71/340302471.db2.gz KEVWQRMZMQBWLC-LJQANCHMSA-N 0 3 305.437 4.340 20 0 DIADHN CC(C)COC1CCN([C@H]2CCCc3ccc(F)cc32)CC1 ZINC000510548400 340302510 /nfs/dbraw/zinc/30/25/10/340302510.db2.gz KEVWQRMZMQBWLC-IBGZPJMESA-N 0 3 305.437 4.340 20 0 DIADHN CCOC[C@H](NCc1nc(-c2ccc(C)cc2)cs1)C(C)C ZINC000510612951 340304002 /nfs/dbraw/zinc/30/40/02/340304002.db2.gz FNGWTWXGCWBLRB-INIZCTEOSA-N 0 3 318.486 4.269 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCO[C@H](C)C2)c2cccc(F)c2)c1 ZINC000510648153 340304956 /nfs/dbraw/zinc/30/49/56/340304956.db2.gz FBEKDUOBLCPNNS-XPGWFJOJSA-N 0 3 313.416 4.381 20 0 DIADHN C[C@@H](CCc1c(F)cccc1F)NCc1nc(C2CC2)cs1 ZINC000510655064 340305361 /nfs/dbraw/zinc/30/53/61/340305361.db2.gz FUCLSEXJBQHIPD-NSHDSACASA-N 0 3 322.424 4.410 20 0 DIADHN Cc1cccc([C@H](NCCC[C@@H](C)CO)c2cccc(F)c2)c1 ZINC000510655746 340305385 /nfs/dbraw/zinc/30/53/85/340305385.db2.gz JFCRVZXOXFILMK-UZLBHIALSA-N 0 3 315.432 4.222 20 0 DIADHN Cc1cccc([C@@H](NCCC[C@H](C)CO)c2cccc(F)c2)c1 ZINC000510655744 340305421 /nfs/dbraw/zinc/30/54/21/340305421.db2.gz JFCRVZXOXFILMK-OXJNMPFZSA-N 0 3 315.432 4.222 20 0 DIADHN CCC1CCN(Cc2ccc(OCc3ccccn3)cc2)CC1 ZINC000510660169 340305539 /nfs/dbraw/zinc/30/55/39/340305539.db2.gz FRSHGCDIGBVKPL-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cnc([C@H](C)N[C@H](C)CCc2c(F)cccc2F)s1 ZINC000510665685 340305668 /nfs/dbraw/zinc/30/56/68/340305668.db2.gz COZCZXZONLVTGI-PWSUYJOCSA-N 0 3 310.413 4.402 20 0 DIADHN CCC1CCN(Cc2ccccc2OCc2ccncc2)CC1 ZINC000510662576 340305673 /nfs/dbraw/zinc/30/56/73/340305673.db2.gz CXQYSFBONSWHQS-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cccc([C@H](NC[C@H](C)C[C@@H](C)O)c2cccc(F)c2)c1 ZINC000510669888 340305755 /nfs/dbraw/zinc/30/57/55/340305755.db2.gz LVAGILCMGSDNSP-QINHECLXSA-N 0 3 315.432 4.220 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](c1ccccc1)c1cccnc1 ZINC000510667487 340305824 /nfs/dbraw/zinc/30/58/24/340305824.db2.gz OYURMGGGYFYSQE-IIBYNOLFSA-N 0 3 318.420 4.097 20 0 DIADHN Cc1cccc([C@H](NC[C@@]2(C)CCOC2)c2cccc(F)c2)c1 ZINC000510684399 340306474 /nfs/dbraw/zinc/30/64/74/340306474.db2.gz PXASJFDGZPFMPD-VQTJNVASSA-N 0 3 313.416 4.240 20 0 DIADHN C[C@H](C(=O)N(C)Cc1cccc2ccccc21)N1[C@H](C)CC[C@H]1C ZINC000510688509 340306863 /nfs/dbraw/zinc/30/68/63/340306863.db2.gz RENTYZOYVHDACY-BRWVUGGUSA-N 0 3 324.468 4.060 20 0 DIADHN CCc1cnc(CN[C@H](C)CCc2c(F)cccc2F)s1 ZINC000510695024 340307116 /nfs/dbraw/zinc/30/71/16/340307116.db2.gz MBTCWLOFRNHYAQ-LLVKDONJSA-N 0 3 310.413 4.095 20 0 DIADHN Cc1cccc([C@H](NCCc2ccncc2)c2cccc(F)c2)c1 ZINC000510705166 340307310 /nfs/dbraw/zinc/30/73/10/340307310.db2.gz RHYVKFARJJVPDT-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN CC[C@H](O)CCCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510711578 340307609 /nfs/dbraw/zinc/30/76/09/340307609.db2.gz DRYXFJBHDBVEMK-VQTJNVASSA-N 0 3 315.432 4.364 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@@H]2CC[C@H]2C2CCC2)cc1OC ZINC000510718228 340308024 /nfs/dbraw/zinc/30/80/24/340308024.db2.gz HQACYIGBFQEIDO-DETPVDSQSA-N 0 3 307.409 4.072 20 0 DIADHN c1cc(CN2CCSCC2)cc(N[C@H]2CC[C@H]2C2CCC2)c1 ZINC000510739811 340309083 /nfs/dbraw/zinc/30/90/83/340309083.db2.gz YHFBBZAGTDVQPO-OALUTQOASA-N 0 3 316.514 4.226 20 0 DIADHN CCc1noc(CC)c1CN[C@@H](C)CCc1c(F)cccc1F ZINC000510741615 340309105 /nfs/dbraw/zinc/30/91/05/340309105.db2.gz ZMAYQAVDTDIYGH-LBPRGKRZSA-N 0 3 322.399 4.189 20 0 DIADHN c1cc(CN2CCSCC2)cc(N[C@@H]2CC[C@H]2C2CCC2)c1 ZINC000510739812 340309129 /nfs/dbraw/zinc/30/91/29/340309129.db2.gz YHFBBZAGTDVQPO-RBUKOAKNSA-N 0 3 316.514 4.226 20 0 DIADHN Cc1ncsc1CCN1CCc2c(cccc2C(C)C)C1 ZINC000510745785 340309369 /nfs/dbraw/zinc/30/93/69/340309369.db2.gz KZNYJXZCLYGPEI-UHFFFAOYSA-N 0 3 300.471 4.176 20 0 DIADHN CCCNC(=O)CN[C@H](c1ccc([C@H](C)CC)cc1)C(C)C ZINC000510789893 340311135 /nfs/dbraw/zinc/31/11/35/340311135.db2.gz CTICVNFBDYGSPL-BEFAXECRSA-N 0 3 304.478 4.013 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000510815878 340311883 /nfs/dbraw/zinc/31/18/83/340311883.db2.gz RWDGOAFZVJNHCK-CHWSQXEVSA-N 0 3 318.486 4.428 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1cccc(N(C)C)c1 ZINC000510841563 340312615 /nfs/dbraw/zinc/31/26/15/340312615.db2.gz LALBCUHMXQPAMK-ATVHPVEESA-N 0 3 324.468 4.092 20 0 DIADHN C[C@@H](NC1CC(c2cccc(Cl)c2)C1)c1ccncc1F ZINC000510927735 340315184 /nfs/dbraw/zinc/31/51/84/340315184.db2.gz HKBZGDUVEKMDIZ-NUYPLMSZSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)[C@@H](C)N2CCCCCC2)c(C)c1 ZINC000510939629 340315557 /nfs/dbraw/zinc/31/55/57/340315557.db2.gz OVAFHJMWXRANJQ-ZWKOTPCHSA-N 0 3 316.489 4.087 20 0 DIADHN C[C@@H](C(=O)N(C)[C@@H](C)c1ccccc1Cl)N1CCCCCC1 ZINC000510938672 340315664 /nfs/dbraw/zinc/31/56/64/340315664.db2.gz LYJCIDQRMRZPRE-GJZGRUSLSA-N 0 3 322.880 4.124 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1c(F)cc(F)c(F)c1F ZINC000510961893 340316061 /nfs/dbraw/zinc/31/60/61/340316061.db2.gz NNYDXIWILQDALB-RNCFNFMXSA-N 0 3 307.306 4.251 20 0 DIADHN Cn1c(CN[C@@H]2CCC[C@H]2CCCF)nc2cccc(Cl)c21 ZINC000510974388 340316699 /nfs/dbraw/zinc/31/66/99/340316699.db2.gz FOBPRHJHGDLPGJ-GXTWGEPZSA-N 0 3 323.843 4.235 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2OC1)c1cc(F)c(F)c(F)c1 ZINC000510981269 340316999 /nfs/dbraw/zinc/31/69/99/340316999.db2.gz BRMFQSQFYLNPID-SMDDNHRTSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2OC1)c1cccc(F)c1F ZINC000511008355 340318020 /nfs/dbraw/zinc/31/80/20/340318020.db2.gz DSQVLXMBXHCZIV-TZMCWYRMSA-N 0 3 303.352 4.009 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCc3cc(F)c(OC)cc32)c1 ZINC000511016720 340318346 /nfs/dbraw/zinc/31/83/46/340318346.db2.gz XKMBELXIKSSLRB-SGTLLEGYSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H]2CCOC2)c2cccc(F)c2)c1 ZINC000511018815 340318443 /nfs/dbraw/zinc/31/84/43/340318443.db2.gz UMLQXWUVVWTKSR-OXQOHEQNSA-N 0 3 313.416 4.240 20 0 DIADHN CSc1ccccc1C[C@H](C)N[C@@H](C)c1ccncc1F ZINC000511019065 340318470 /nfs/dbraw/zinc/31/84/70/340318470.db2.gz SICGMVCKBDAKJL-STQMWFEESA-N 0 3 304.434 4.224 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC([C@@H](F)c3ccccc3F)CC2)O1 ZINC000511073512 340320037 /nfs/dbraw/zinc/32/00/37/340320037.db2.gz KYNHBRCDVDLYMZ-DDUZABMNSA-N 0 3 309.400 4.116 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC([C@H](F)c3ccccc3F)CC2)O1 ZINC000511073515 340320092 /nfs/dbraw/zinc/32/00/92/340320092.db2.gz KYNHBRCDVDLYMZ-XUWXXGDYSA-N 0 3 309.400 4.116 20 0 DIADHN Cc1ncsc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC000511103435 340320545 /nfs/dbraw/zinc/32/05/45/340320545.db2.gz ARBYUGBIFUEQEF-CQSZACIVSA-N 0 3 306.862 4.312 20 0 DIADHN OC[C@H](NC1CC(c2ccccc2Cl)C1)c1ccc(F)cc1 ZINC000511104900 340320847 /nfs/dbraw/zinc/32/08/47/340320847.db2.gz HDSGDXMRCYSMKD-RWKZGTHUSA-N 0 3 319.807 4.048 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(CCc2ccccn2)CC1 ZINC000511108936 340321039 /nfs/dbraw/zinc/32/10/39/340321039.db2.gz RCUZZXZIIWNEEW-LJQANCHMSA-N 0 3 316.395 4.186 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCC[C@H]3c3ccc(C)c(C)c3)cc12 ZINC000511124837 340321706 /nfs/dbraw/zinc/32/17/06/340321706.db2.gz MMQCRDCPKOWUQF-IBGZPJMESA-N 0 3 320.440 4.220 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(CCc2ccncc2)CC1 ZINC000511139172 340322050 /nfs/dbraw/zinc/32/20/50/340322050.db2.gz HEAFOUTVYVTYRY-LJQANCHMSA-N 0 3 316.395 4.186 20 0 DIADHN CC(C)Sc1ccc(CN2CC[C@]3(O)CCCC[C@@H]3C2)cc1 ZINC000511160908 340322884 /nfs/dbraw/zinc/32/28/84/340322884.db2.gz LARJIRJCGJLYIZ-IEBWSBKVSA-N 0 3 319.514 4.314 20 0 DIADHN CSc1ccccc1CN[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000511196269 340323701 /nfs/dbraw/zinc/32/37/01/340323701.db2.gz GDPJVAYAAXVTSZ-INIZCTEOSA-N 0 3 303.475 4.019 20 0 DIADHN CC[C@H](N[C@@H](C)Cc1ccc(O)cc1)c1ccc(F)cc1F ZINC000511191523 340323733 /nfs/dbraw/zinc/32/37/33/340323733.db2.gz IMEOAQIJPSYEKS-SGTLLEGYSA-N 0 3 305.368 4.342 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(Sc2ccccn2)o1 ZINC000511201467 340323833 /nfs/dbraw/zinc/32/38/33/340323833.db2.gz OMHITXOFBGODHE-AWEZNQCLSA-N 0 3 320.458 4.121 20 0 DIADHN CC[C@H](N[C@@H](C)Cc1ccc(O)cc1)c1cc(F)ccc1F ZINC000511235931 340324199 /nfs/dbraw/zinc/32/41/99/340324199.db2.gz PZPHSPAULHYYPN-SGTLLEGYSA-N 0 3 305.368 4.342 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@H]3CCCSC3)c(C)c2c1 ZINC000511363675 340325985 /nfs/dbraw/zinc/32/59/85/340325985.db2.gz AXSTVKOLSFIHJW-OLZOCXBDSA-N 0 3 305.443 4.296 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000303456586 340326530 /nfs/dbraw/zinc/32/65/30/340326530.db2.gz KEKDVSNPYZYBAX-YPMHNXCESA-N 0 3 305.397 4.223 20 0 DIADHN CC(C)OCCN[C@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000511541608 340330074 /nfs/dbraw/zinc/33/00/74/340330074.db2.gz MEWMKILBCWXYLB-HNNXBMFYSA-N 0 3 317.351 4.051 20 0 DIADHN FC1(F)CCC[C@H](NCc2ccc(Sc3ccccn3)o2)C1 ZINC000511603333 340332024 /nfs/dbraw/zinc/33/20/24/340332024.db2.gz AJPJNKIBNVYBKQ-LBPRGKRZSA-N 0 3 324.396 4.493 20 0 DIADHN CN(Cc1ccc(Cl)c(C(F)(F)F)c1)C[C@H]1CCCCO1 ZINC000511622494 340332836 /nfs/dbraw/zinc/33/28/36/340332836.db2.gz DKOHBEVVPJNXJM-GFCCVEGCSA-N 0 3 321.770 4.360 20 0 DIADHN CN(Cc1ccc(Cl)c(C(F)(F)F)c1)C[C@@H]1CCCCO1 ZINC000511622495 340332864 /nfs/dbraw/zinc/33/28/64/340332864.db2.gz DKOHBEVVPJNXJM-LBPRGKRZSA-N 0 3 321.770 4.360 20 0 DIADHN CCCN(Cc1nc(C)cs1)[C@@H]1CCc2ccccc2C1 ZINC000511632954 340333096 /nfs/dbraw/zinc/33/30/96/340333096.db2.gz YQJXOURAVPIKEO-QGZVFWFLSA-N 0 3 300.471 4.221 20 0 DIADHN CCCN(Cc1nccn1C(F)F)[C@@H]1CCc2ccccc2C1 ZINC000511640897 340333658 /nfs/dbraw/zinc/33/36/58/340333658.db2.gz PAULGTMJCXNPIQ-MRXNPFEDSA-N 0 3 319.399 4.048 20 0 DIADHN c1cc2cccc(CN(Cc3ccccc3)C[C@H]3CCCO3)c2[nH]1 ZINC000511662580 340334319 /nfs/dbraw/zinc/33/43/19/340334319.db2.gz IXZANGCBURMMSY-HXUWFJFHSA-N 0 3 320.436 4.349 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NC/C=C/c1ccc(F)c(F)c1 ZINC000511754418 340337291 /nfs/dbraw/zinc/33/72/91/340337291.db2.gz JLBLQIVGGPBJPK-WHLIDYPQSA-N 0 3 315.363 4.351 20 0 DIADHN Cc1oncc1CN[C@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000511814226 340338960 /nfs/dbraw/zinc/33/89/60/340338960.db2.gz MRBYNFPWJAUQGC-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ccc(CN(Cc2cnc3cc(C)ccn23)C(C)C)s1 ZINC000511837626 340339725 /nfs/dbraw/zinc/33/97/25/340339725.db2.gz IBBXMYZMHKRMRJ-UHFFFAOYSA-N 0 3 313.470 4.423 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@H]3CCC[C@H]32)nc1 ZINC000511860701 340340764 /nfs/dbraw/zinc/34/07/64/340340764.db2.gz QYFJOMAHHLILRY-YLJYHZDGSA-N 0 3 322.452 4.425 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)cc1OC(F)F ZINC000511876238 340341493 /nfs/dbraw/zinc/34/14/93/340341493.db2.gz VIHBAWVOQPKWIZ-KBPBESRZSA-N 0 3 311.372 4.061 20 0 DIADHN CCc1ccc(CNCC2(c3ccc(F)cc3Cl)CC2)cn1 ZINC000511908007 340342688 /nfs/dbraw/zinc/34/26/88/340342688.db2.gz NIBUTVGRRJPELV-UHFFFAOYSA-N 0 3 318.823 4.258 20 0 DIADHN Cc1ccc(C(C)(C)NC/C=C\c2ccc(F)c(F)c2)cn1 ZINC000511911811 340342776 /nfs/dbraw/zinc/34/27/76/340342776.db2.gz QSHAPIWSHFPEIA-PLNGDYQASA-N 0 3 302.368 4.206 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc2occc2c1 ZINC000511938624 340343408 /nfs/dbraw/zinc/34/34/08/340343408.db2.gz MDMJQEDAFGRLCA-DJJJIMSYSA-N 0 3 313.372 4.438 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccc2occc2c1 ZINC000511962431 340343933 /nfs/dbraw/zinc/34/39/33/340343933.db2.gz XLAKPLABVMJLCK-DJJJIMSYSA-N 0 3 313.372 4.438 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3occc3c2)cn1 ZINC000511993503 340344743 /nfs/dbraw/zinc/34/47/43/340344743.db2.gz XRSIMROMCZOPKA-UHFFFAOYSA-N 0 3 310.397 4.295 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc4occc4c3)nc21 ZINC000512010188 340345297 /nfs/dbraw/zinc/34/52/97/340345297.db2.gz RVHRJYNQRYXJHA-UHFFFAOYSA-N 0 3 306.365 4.215 20 0 DIADHN CN(C)Cc1cnc(CNCC2CCC(C(C)(C)C)CC2)s1 ZINC000512041879 340346379 /nfs/dbraw/zinc/34/63/79/340346379.db2.gz VBKKVNKKDAXZRO-UHFFFAOYSA-N 0 3 323.550 4.147 20 0 DIADHN Cc1cnn(C)c1CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000512083609 340348071 /nfs/dbraw/zinc/34/80/71/340348071.db2.gz RHKCRPBCFVYEHT-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN Cc1ccc(NCc2ccc3occc3c2)c(OCCN(C)C)c1 ZINC000512083867 340348091 /nfs/dbraw/zinc/34/80/91/340348091.db2.gz SHJNFTANOUZBGP-UHFFFAOYSA-N 0 3 324.424 4.294 20 0 DIADHN Brc1ccc(CNCc2ccc3occc3c2)cc1 ZINC000512098627 340348486 /nfs/dbraw/zinc/34/84/86/340348486.db2.gz IRIMBXDZEDPVPD-UHFFFAOYSA-N 0 3 316.198 4.485 20 0 DIADHN CCc1ccc(CNC2(c3ccc(Cl)cc3)CCC2)cn1 ZINC000512105625 340348713 /nfs/dbraw/zinc/34/87/13/340348713.db2.gz LYFJADLZNWAXNC-UHFFFAOYSA-N 0 3 300.833 4.466 20 0 DIADHN CCc1ccc(-c2nc(CN[C@@H]3CSC[C@H]3C)cs2)cc1 ZINC000512148368 340349813 /nfs/dbraw/zinc/34/98/13/340349813.db2.gz NXNKAXPZQRVAEL-MLGOLLRUSA-N 0 3 318.511 4.214 20 0 DIADHN Cc1c(Cl)c(CN[C@H]2CSC[C@@H]2C)nc2ccccc12 ZINC000512165842 340350540 /nfs/dbraw/zinc/35/05/40/340350540.db2.gz IAKFQIQCORXPCW-BONVTDFDSA-N 0 3 306.862 4.038 20 0 DIADHN COc1ccc(-c2nc(CN3CCCCC[C@H]3C)co2)cc1 ZINC000512180149 340351063 /nfs/dbraw/zinc/35/10/63/340351063.db2.gz DJMHRYUCTZJIOQ-CQSZACIVSA-N 0 3 300.402 4.115 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@H]1CCCC[C@@H]1C ZINC000512228940 340353177 /nfs/dbraw/zinc/35/31/77/340353177.db2.gz MZFNNXFNWVSTHQ-LSDHHAIUSA-N 0 3 321.461 4.018 20 0 DIADHN C[C@H]1CCCC[C@@H]1CCNC(=O)Nc1cccc(CN(C)C)c1 ZINC000512235900 340353383 /nfs/dbraw/zinc/35/33/83/340353383.db2.gz ZHKAWRMTPWWPGO-DOTOQJQBSA-N 0 3 317.477 4.086 20 0 DIADHN C[C@H]1CCCC[C@H]1CCNC(=O)Nc1cccc(CN(C)C)c1 ZINC000512235902 340353435 /nfs/dbraw/zinc/35/34/35/340353435.db2.gz ZHKAWRMTPWWPGO-RDJZCZTQSA-N 0 3 317.477 4.086 20 0 DIADHN CCC[C@@]1(NCc2cc(-c3ccc(Cl)cc3)no2)CCOC1 ZINC000512237327 340353591 /nfs/dbraw/zinc/35/35/91/340353591.db2.gz PLRZYTAZHHGXJB-QGZVFWFLSA-N 0 3 320.820 4.044 20 0 DIADHN CC[C@H](CN1CCO[C@@H](c2cccs2)C1)c1ccccc1 ZINC000512243226 340353657 /nfs/dbraw/zinc/35/36/57/340353657.db2.gz JQYCIHWILRGENI-NVXWUHKLSA-N 0 3 301.455 4.315 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)[C@H](C)c1ccccn1 ZINC000512261150 340354488 /nfs/dbraw/zinc/35/44/88/340354488.db2.gz PMXSZBCWRYJUOF-VZVUQDNOSA-N 0 3 310.441 4.324 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)[C@H](C)c1ccccn1 ZINC000512261147 340354501 /nfs/dbraw/zinc/35/45/01/340354501.db2.gz PMXSZBCWRYJUOF-HJUDDPQBSA-N 0 3 310.441 4.324 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccccc1Cc1ccccc1 ZINC000512265897 340354647 /nfs/dbraw/zinc/35/46/47/340354647.db2.gz ZNPFLURAWRCLEA-UHFFFAOYSA-N 0 3 324.468 4.336 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@H]2CC2CCCCC2)cc1 ZINC000512384234 340359758 /nfs/dbraw/zinc/35/97/58/340359758.db2.gz MHBAANDKJBZIJB-AVRDEDQJSA-N 0 3 319.514 4.359 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCC1(OC)CCC1 ZINC000512396878 340360522 /nfs/dbraw/zinc/36/05/22/340360522.db2.gz VUARDWDWDZDLFR-UHFFFAOYSA-N 0 3 311.425 4.021 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1CCO[C@@H]1C1CC1 ZINC000512396858 340360547 /nfs/dbraw/zinc/36/05/47/340360547.db2.gz VJAMBFOBSOJITD-PZJWPPBQSA-N 0 3 323.436 4.019 20 0 DIADHN CCS[C@H]1CCCCN(CCC(=O)c2ccc(F)cc2)C1 ZINC000512468981 340362933 /nfs/dbraw/zinc/36/29/33/340362933.db2.gz NRZAWPYQLDOXRM-INIZCTEOSA-N 0 3 309.450 4.006 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CCN[C@H](C)c1ccccc1 ZINC000173369905 340363606 /nfs/dbraw/zinc/36/36/06/340363606.db2.gz SMXBXMRLCKCQLR-CQSZACIVSA-N 0 3 316.832 4.328 20 0 DIADHN OCCC1(NCc2cc(C(F)(F)F)ccc2Cl)CCCC1 ZINC000512495720 340364010 /nfs/dbraw/zinc/36/40/10/340364010.db2.gz CYBDYISCXKEUHR-UHFFFAOYSA-N 0 3 321.770 4.144 20 0 DIADHN COCc1ccccc1/C=C(\C)CNC(C)(C)c1ccc(C)nc1 ZINC000512507281 340364655 /nfs/dbraw/zinc/36/46/55/340364655.db2.gz XKRIXEWUPSMRFM-FOWTUZBSSA-N 0 3 324.468 4.465 20 0 DIADHN C[C@H](NCC(C)(C)Oc1cc(F)cc(F)c1)c1cncc(F)c1 ZINC000512511395 340364862 /nfs/dbraw/zinc/36/48/62/340364862.db2.gz GXRKSMKLVGPQSF-NSHDSACASA-N 0 3 324.346 4.007 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000173436957 340365052 /nfs/dbraw/zinc/36/50/52/340365052.db2.gz CZYKKAPAGKVKCV-IBGZPJMESA-N 0 3 310.441 4.127 20 0 DIADHN c1ccc(COc2cnccc2CNC[C@H]2CC=CCC2)cc1 ZINC000512552208 340366543 /nfs/dbraw/zinc/36/65/43/340366543.db2.gz KOQXFZBGUQIVCF-KRWDZBQOSA-N 0 3 308.425 4.107 20 0 DIADHN COCCCOc1ccc(CNCc2cccc(Cl)c2)cc1 ZINC000512565617 340367177 /nfs/dbraw/zinc/36/71/77/340367177.db2.gz RININXVBRVJOOO-UHFFFAOYSA-N 0 3 319.832 4.045 20 0 DIADHN CSc1cccc(F)c1CN[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000512573018 340367451 /nfs/dbraw/zinc/36/74/51/340367451.db2.gz KEPDAUMGECXSMN-QLFBSQMISA-N 0 3 323.477 4.233 20 0 DIADHN Clc1ccc([C@@H](CNCc2cncs2)OCC2CC2)cc1 ZINC000512570643 340367476 /nfs/dbraw/zinc/36/74/76/340367476.db2.gz XNGHNHYSUBDXRU-MRXNPFEDSA-N 0 3 322.861 4.054 20 0 DIADHN COc1ccc(CN2CCC(OC3CCCCC3)CC2)cc1C ZINC000512573950 340367582 /nfs/dbraw/zinc/36/75/82/340367582.db2.gz PDIAYGNQXJAHHP-UHFFFAOYSA-N 0 3 317.473 4.317 20 0 DIADHN CCOc1ccc(CN2CCC(OC3CCCCC3)CC2)cc1 ZINC000512579841 340367778 /nfs/dbraw/zinc/36/77/78/340367778.db2.gz XIPLZPOXKABHIM-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN CCOc1ccc(CN(Cc2cccc(O)c2)CC2CC2)cc1 ZINC000512679224 340372795 /nfs/dbraw/zinc/37/27/95/340372795.db2.gz VLCBLVKKGOSKCE-UHFFFAOYSA-N 0 3 311.425 4.203 20 0 DIADHN CCn1nccc1CN1CCC(c2cccc3ccccc32)CC1 ZINC000512709531 340373792 /nfs/dbraw/zinc/37/37/92/340373792.db2.gz AVOZUSOGUKGMJX-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN Cc1nc(C(C)(C)NCCSc2ccccc2)sc1C ZINC000173959943 340378755 /nfs/dbraw/zinc/37/87/55/340378755.db2.gz ILUQFLCCWRHHPA-UHFFFAOYSA-N 0 3 306.500 4.377 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CSC[C@@H]2C)o1 ZINC000512861997 340381203 /nfs/dbraw/zinc/38/12/03/340381203.db2.gz RLSFYWMWVRYGST-ZBEGNZNMSA-N 0 3 305.418 4.235 20 0 DIADHN FC(F)(F)C1(CNCc2cccc(-c3cccnc3)c2)CC1 ZINC000512863688 340381339 /nfs/dbraw/zinc/38/13/39/340381339.db2.gz FVEQMMOOMKYRTB-UHFFFAOYSA-N 0 3 306.331 4.181 20 0 DIADHN COc1ccc(CNCC2(C(F)(F)F)CC2)c2ccccc12 ZINC000512865013 340381534 /nfs/dbraw/zinc/38/15/34/340381534.db2.gz QPHIDDXQQZCYTJ-UHFFFAOYSA-N 0 3 309.331 4.281 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000512874200 340381903 /nfs/dbraw/zinc/38/19/03/340381903.db2.gz KXUINKQCEUVTLC-NHYWBVRUSA-N 0 3 307.846 4.441 20 0 DIADHN CCC[C@@]1(NCc2cnc(-c3ccc(C)cc3)s2)CCOC1 ZINC000512884983 340382527 /nfs/dbraw/zinc/38/25/27/340382527.db2.gz INZSDLWESQYZSA-GOSISDBHSA-N 0 3 316.470 4.167 20 0 DIADHN C[C@@H]1COCC[C@@H]1CNCc1cc(C(F)(F)F)ccc1Cl ZINC000512888644 340382742 /nfs/dbraw/zinc/38/27/42/340382742.db2.gz BJFVZTPMVMINHO-GHMZBOCLSA-N 0 3 321.770 4.121 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCC2(C(F)(F)F)CC2)c1 ZINC000512908958 340383724 /nfs/dbraw/zinc/38/37/24/340383724.db2.gz OPOFBNIXHDEGQJ-UHFFFAOYSA-N 0 3 309.278 4.029 20 0 DIADHN COc1cc(CN[C@H](C)c2cccc(F)c2)c2ccccc2n1 ZINC000174253593 340384882 /nfs/dbraw/zinc/38/48/82/340384882.db2.gz CCWSANSVNQLMHG-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2nc3ccccc3nc2C)C1 ZINC000174351873 340386607 /nfs/dbraw/zinc/38/66/07/340386607.db2.gz NHSBIUAYMAQKAV-CABCVRRESA-N 0 3 315.486 4.044 20 0 DIADHN C[C@H](NCc1cccc(OC(F)F)c1)c1ccc2n[nH]cc2c1 ZINC000512982355 340386745 /nfs/dbraw/zinc/38/67/45/340386745.db2.gz DGZAIFAAFBORQK-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN FC(F)(F)C1(CNCc2ccccc2OCC2CC2)CCC1 ZINC000513002298 340387807 /nfs/dbraw/zinc/38/78/07/340387807.db2.gz WXFKVLAYWXUZOD-UHFFFAOYSA-N 0 3 313.363 4.298 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)cc1F)c1ccc2n[nH]cc2c1 ZINC000513016811 340388658 /nfs/dbraw/zinc/38/86/58/340388658.db2.gz LVHHDXSOTDFZLX-DLGQBQFBSA-N 0 3 313.351 4.205 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc1 ZINC000513022060 340389038 /nfs/dbraw/zinc/38/90/38/340389038.db2.gz OFBNZNSBDWAYNJ-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN Fc1ccc(/C=C/CNCC2(C(F)(F)F)CCC2)cc1F ZINC000513031714 340389596 /nfs/dbraw/zinc/38/95/96/340389596.db2.gz HFNGIURFKIPTLR-HNQUOIGGSA-N 0 3 305.290 4.300 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1)c1ccc2n[nH]cc2c1 ZINC000513038465 340390038 /nfs/dbraw/zinc/39/00/38/340390038.db2.gz YJAHLGIOZYAABJ-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN Cc1ccc(C)c(CN2CCC(c3noc(C(C)C)n3)CC2)c1 ZINC000513039948 340390333 /nfs/dbraw/zinc/39/03/33/340390333.db2.gz FDSFEQWSUFNQOB-UHFFFAOYSA-N 0 3 313.445 4.189 20 0 DIADHN C[C@@H]1CC[C@H]1NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000513046991 340390640 /nfs/dbraw/zinc/39/06/40/340390640.db2.gz OPFKGFAERWTLHE-CXAGYDPISA-N 0 3 316.832 4.202 20 0 DIADHN C[C@@H](NC[C@H]1CCCSC1)c1ccccc1Br ZINC000306090382 340393052 /nfs/dbraw/zinc/39/30/52/340393052.db2.gz JEQFZWPHBBPMPU-VXGBXAGGSA-N 0 3 314.292 4.243 20 0 DIADHN CN(CCC1CCCCC1)Cc1ccc(N2CCCC2)nc1 ZINC000513106174 340393454 /nfs/dbraw/zinc/39/34/54/340393454.db2.gz IBABXFZBKJGAMA-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN CCCOCc1ccccc1CNCc1cc(C)c(O)c(C)c1 ZINC000513119635 340394159 /nfs/dbraw/zinc/39/41/59/340394159.db2.gz BBUSZGVMNKHXEN-UHFFFAOYSA-N 0 3 313.441 4.225 20 0 DIADHN Cc1n[nH]cc1CN1CCCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000513127042 340394365 /nfs/dbraw/zinc/39/43/65/340394365.db2.gz BTFJNQMFKLVJFB-MRXNPFEDSA-N 0 3 323.362 4.464 20 0 DIADHN c1cnn([C@@H]2CCCN(Cc3ccc(-c4ccccc4)s3)C2)c1 ZINC000513128621 340394384 /nfs/dbraw/zinc/39/43/84/340394384.db2.gz ROYIIKYVCLPGRZ-QGZVFWFLSA-N 0 3 323.465 4.449 20 0 DIADHN c1cnn([C@H]2CCCN(Cc3ccc(-c4ccccc4)s3)C2)c1 ZINC000513128620 340394422 /nfs/dbraw/zinc/39/44/22/340394422.db2.gz ROYIIKYVCLPGRZ-KRWDZBQOSA-N 0 3 323.465 4.449 20 0 DIADHN CN(Cc1nccn1C(F)F)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000513218844 340397859 /nfs/dbraw/zinc/39/78/59/340397859.db2.gz OKUXFWZYPRWOND-HZPDHXFCSA-N 0 3 319.399 4.436 20 0 DIADHN C[C@H](NCCCc1ccccc1Cl)c1c(F)cncc1F ZINC000513241935 340398902 /nfs/dbraw/zinc/39/89/02/340398902.db2.gz NEPVZAMIAFASHZ-NSHDSACASA-N 0 3 310.775 4.297 20 0 DIADHN Fc1cccc(CNCCOc2cccc(C(F)(F)F)c2)c1 ZINC000513244694 340399083 /nfs/dbraw/zinc/39/90/83/340399083.db2.gz AANXJBPUOQEMTR-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)NCc1cc(F)c(Cl)cc1F ZINC000513321699 340402288 /nfs/dbraw/zinc/40/22/88/340402288.db2.gz WMEWTRMTHIYCDC-SNVBAGLBSA-N 0 3 311.759 4.045 20 0 DIADHN C[C@H](COCc1ccccc1)N[C@H]1CCc2c1c(F)ccc2F ZINC000513376491 340404624 /nfs/dbraw/zinc/40/46/24/340404624.db2.gz HYVMHBKFYNQHBA-ACJLOTCBSA-N 0 3 317.379 4.147 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3n[nH]cc3c2)c2ccccc2n1 ZINC000513412163 340406427 /nfs/dbraw/zinc/40/64/27/340406427.db2.gz VWHSDAASVZDWBY-CQSZACIVSA-N 0 3 316.408 4.270 20 0 DIADHN FC1(F)CC[C@H](CNCc2ccc(Sc3ccccn3)o2)C1 ZINC000513414559 340406513 /nfs/dbraw/zinc/40/65/13/340406513.db2.gz FHIQFJAVAZXJML-LBPRGKRZSA-N 0 3 324.396 4.351 20 0 DIADHN CCCCN(C(=O)CN(CC)CC)[C@H](CC)c1ccccc1 ZINC000513565643 340413652 /nfs/dbraw/zinc/41/36/52/340413652.db2.gz JQDFBFMKXCETFB-GOSISDBHSA-N 0 3 304.478 4.108 20 0 DIADHN Cc1ccc(CNC2CCC(O)CC2)c(O[C@H](C)CC(C)C)c1 ZINC000513689322 340419679 /nfs/dbraw/zinc/41/96/79/340419679.db2.gz BMPOWYPJOKAJTL-IPJUCJBFSA-N 0 3 319.489 4.202 20 0 DIADHN Cc1cnc(CN[C@@H](C)Cc2c(Cl)cccc2Cl)s1 ZINC000513820883 340426547 /nfs/dbraw/zinc/42/65/47/340426547.db2.gz XUXAWINQUKGFRT-VIFPVBQESA-N 0 3 315.269 4.479 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccccc1-n1cccn1 ZINC000513867172 340429559 /nfs/dbraw/zinc/42/95/59/340429559.db2.gz BWAOPPUKGYBJFU-AUUYWEPGSA-N 0 3 321.399 4.350 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1cccc(Cl)c1Cl)C1CC1 ZINC000311485288 340447676 /nfs/dbraw/zinc/44/76/76/340447676.db2.gz SIDUJQYKCNSQCA-ZUZCIYMTSA-N 0 3 302.245 4.459 20 0 DIADHN CC[C@@H](NC[C@](C)(O)C1CC1)c1ccc(Cl)cc1Cl ZINC000311551333 340447917 /nfs/dbraw/zinc/44/79/17/340447917.db2.gz PQKHFPSBUCKILI-CABCVRRESA-N 0 3 302.245 4.195 20 0 DIADHN CCC1(CNCc2c(Cl)cccc2Cl)CCOCC1 ZINC000311595435 340448109 /nfs/dbraw/zinc/44/81/09/340448109.db2.gz BKLIYICVYIWBBQ-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN CC(C)C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccs1 ZINC000178571544 340450665 /nfs/dbraw/zinc/45/06/65/340450665.db2.gz MXJHJIVGJKGUKG-SOLBZPMBSA-N 0 3 301.455 4.083 20 0 DIADHN C[C@H](NC[C@@H](CCO)c1ccccc1)c1ccc(F)cc1Cl ZINC000178647326 340451142 /nfs/dbraw/zinc/45/11/42/340451142.db2.gz VXSFQXJJYFATET-DZGCQCFKSA-N 0 3 321.823 4.296 20 0 DIADHN CC[C@H](NC[C@H](CCO)c1ccccc1)c1cc(F)ccc1F ZINC000178647846 340451152 /nfs/dbraw/zinc/45/11/52/340451152.db2.gz MXFXGRXOSKPTPI-KXBFYZLASA-N 0 3 319.395 4.172 20 0 DIADHN C[C@@H](NC[C@@H](CO)C1CC1)c1cc2c(ccc3ccccc23)o1 ZINC000517060957 340462853 /nfs/dbraw/zinc/46/28/53/340462853.db2.gz QOYIJFWYGKQXSN-CJNGLKHVSA-N 0 3 309.409 4.255 20 0 DIADHN CC(C)c1ccc(NC(=O)NCCN2[C@H](C)CCC[C@H]2C)cc1 ZINC000517417750 340469477 /nfs/dbraw/zinc/46/94/77/340469477.db2.gz BMAWANPRILCAQL-HZPDHXFCSA-N 0 3 317.477 4.194 20 0 DIADHN c1csc([C@H](NCc2cnc(-c3ccccc3)nc2)C2CC2)c1 ZINC000517542183 340471653 /nfs/dbraw/zinc/47/16/53/340471653.db2.gz OIAJKZLLSPINOY-GOSISDBHSA-N 0 3 321.449 4.446 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1 ZINC000183115529 340486573 /nfs/dbraw/zinc/48/65/73/340486573.db2.gz ICMPDECFJDKTTL-ZNZDAUKMSA-N 0 3 315.844 4.319 20 0 DIADHN Cc1cncc([C@H](C)NCC2(c3ccc(F)cc3F)CC2)c1 ZINC000184424224 340492953 /nfs/dbraw/zinc/49/29/53/340492953.db2.gz IIMWIXGSWOICGK-ZDUSSCGKSA-N 0 3 302.368 4.051 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2c3ccccc3CCC[C@H]2C)cc1 ZINC000185085217 340498016 /nfs/dbraw/zinc/49/80/16/340498016.db2.gz VFOBHUHFXYNJPX-VFNWGFHPSA-N 0 3 322.452 4.448 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2c3ccccc3CCC[C@@H]2C)cc1 ZINC000185085230 340498020 /nfs/dbraw/zinc/49/80/20/340498020.db2.gz VFOBHUHFXYNJPX-BTYIYWSLSA-N 0 3 322.452 4.448 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000185789638 340505116 /nfs/dbraw/zinc/50/51/16/340505116.db2.gz PJLAUMKVDFAOTJ-UHFFFAOYSA-N 0 3 304.821 4.324 20 0 DIADHN COc1ccccc1[C@@H](CN[C@H](C)c1ccc(C)o1)OC(C)C ZINC000186383145 340512010 /nfs/dbraw/zinc/51/20/10/340512010.db2.gz OCWYEPULDMKABC-DNVCBOLYSA-N 0 3 317.429 4.414 20 0 DIADHN COCc1ccc(CNC[C@H](c2ccccc2)C(F)(F)F)cc1 ZINC000186434197 340512602 /nfs/dbraw/zinc/51/26/02/340512602.db2.gz SWSNCLQUMDPJRN-QGZVFWFLSA-N 0 3 323.358 4.269 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000186804106 340516055 /nfs/dbraw/zinc/51/60/55/340516055.db2.gz DPXKQWBDLOBQRK-CZUORRHYSA-N 0 3 308.466 4.294 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)N[C@@H](C)C1CCSCC1 ZINC000187347667 340519191 /nfs/dbraw/zinc/51/91/91/340519191.db2.gz JARMGDUFRMTWQN-UONOGXRCSA-N 0 3 317.502 4.239 20 0 DIADHN C[C@](O)(CNCc1cscc1C(F)(F)F)C1CCCCC1 ZINC000521602004 340525943 /nfs/dbraw/zinc/52/59/43/340525943.db2.gz LGINPPFADDWJSR-AWEZNQCLSA-N 0 3 321.408 4.188 20 0 DIADHN FC(F)(F)c1ccccc1CNCC1=Cc2ccccc2OC1 ZINC000521834218 340535654 /nfs/dbraw/zinc/53/56/54/340535654.db2.gz UBTIIBMNEAQPQS-UHFFFAOYSA-N 0 3 319.326 4.271 20 0 DIADHN CC[C@H](NCCC[C@@H](C)CO)c1ccc(Cl)cc1Cl ZINC000222583504 340539074 /nfs/dbraw/zinc/53/90/74/340539074.db2.gz STXVAIZNFLRXOK-ABAIWWIYSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@@H](N[C@@H](C)CC(F)(F)F)c1ccc(Br)cc1 ZINC000222904375 340542003 /nfs/dbraw/zinc/54/20/03/340542003.db2.gz FFTBZIOUZUAZLG-DTWKUNHWSA-N 0 3 310.157 4.441 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(F)c(Br)c1 ZINC000222916563 340542044 /nfs/dbraw/zinc/54/20/44/340542044.db2.gz MMVXAZMBGUCRJO-SSDOTTSWSA-N 0 3 314.120 4.019 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1ccc(OCC2CC2)cc1 ZINC000190915854 340548112 /nfs/dbraw/zinc/54/81/12/340548112.db2.gz SJTAAXFBHWIHGF-HXUWFJFHSA-N 0 3 323.436 4.479 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cnn(C(C)(C)C)c2C)s1 ZINC000191176034 340550829 /nfs/dbraw/zinc/55/08/29/340550829.db2.gz FYMWNFNFWZRYNO-GFCCVEGCSA-N 0 3 305.491 4.421 20 0 DIADHN Oc1ccc(C2=CCN(c3ccnc4ccccc43)CC2)cc1 ZINC000521920313 340557273 /nfs/dbraw/zinc/55/72/73/340557273.db2.gz YTBMSECQRHTZNP-UHFFFAOYSA-N 0 3 302.377 4.234 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c(O)cccc32)c2ccccc2n1 ZINC000192353544 340566390 /nfs/dbraw/zinc/56/63/90/340566390.db2.gz CESPDCPEGYYYQZ-IBGZPJMESA-N 0 3 318.420 4.416 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1cnc(-c2ccco2)s1 ZINC000193015142 340571577 /nfs/dbraw/zinc/57/15/77/340571577.db2.gz VCHQHMPYWVXDTK-ZFWWWQNUSA-N 0 3 320.458 4.088 20 0 DIADHN CC(C)(CO)[C@H](CNCc1sccc1Cl)c1ccccc1 ZINC000193175323 340573513 /nfs/dbraw/zinc/57/35/13/340573513.db2.gz IFNDXFPDENGZQS-CQSZACIVSA-N 0 3 323.889 4.293 20 0 DIADHN COC[C@H](NCc1cccc2[nH]ccc21)c1ccc(Cl)cc1 ZINC000193279241 340575516 /nfs/dbraw/zinc/57/55/16/340575516.db2.gz QOBARLCFNSUIDH-SFHVURJKSA-N 0 3 314.816 4.299 20 0 DIADHN Cc1cc(CNCCOC(C)(C)C)ccc1Oc1cccnc1 ZINC000522137569 340576749 /nfs/dbraw/zinc/57/67/49/340576749.db2.gz AZFIWQKOWMSTBE-UHFFFAOYSA-N 0 3 314.429 4.087 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1cc(Br)cs1 ZINC000226397040 340584868 /nfs/dbraw/zinc/58/48/68/340584868.db2.gz AHMDAYVWIRDPEK-ZCFIWIBFSA-N 0 3 302.159 4.114 20 0 DIADHN C[C@H]1CCC[C@@H](CCNCc2nccn2Cc2ccccc2)C1 ZINC000522368365 340600082 /nfs/dbraw/zinc/60/00/82/340600082.db2.gz LEKJNIDOUVOTDS-ROUUACIJSA-N 0 3 311.473 4.237 20 0 DIADHN CSC1(CN[C@H](c2ccccc2)c2ccc(F)cc2)CC1 ZINC000228024022 340605964 /nfs/dbraw/zinc/60/59/64/340605964.db2.gz NSCOXAJDQYEOFU-QGZVFWFLSA-N 0 3 301.430 4.400 20 0 DIADHN CSCCCCCNCc1ccc(F)cc1Br ZINC000229515660 340614088 /nfs/dbraw/zinc/61/40/88/340614088.db2.gz RLJBDWCNWVMBHA-UHFFFAOYSA-N 0 3 320.271 4.211 20 0 DIADHN C[C@@H](CNCc1cccc(Cl)c1Cl)c1nccs1 ZINC000230694893 340620687 /nfs/dbraw/zinc/62/06/87/340620687.db2.gz MVVNOJHXPPGROL-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1cccc2c1OC(C)(C)C2 ZINC000523391430 340623012 /nfs/dbraw/zinc/62/30/12/340623012.db2.gz VXIKEDBIVYWIBD-KUHUBIRLSA-N 0 3 307.437 4.423 20 0 DIADHN C[C@@H](CCC1CCCCC1)NC(=O)c1ccc(CN(C)C)cc1 ZINC000523756194 340638149 /nfs/dbraw/zinc/63/81/49/340638149.db2.gz DAWMRAXHBBXVSK-INIZCTEOSA-N 0 3 316.489 4.227 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000235509101 340660422 /nfs/dbraw/zinc/66/04/22/340660422.db2.gz FXQUJZDLNHRNOD-IVZWLZJFSA-N 0 3 322.663 4.458 20 0 DIADHN CC(C)(CNCc1cccnc1)c1c(Cl)cccc1Cl ZINC000236740547 340664432 /nfs/dbraw/zinc/66/44/32/340664432.db2.gz XFYAFXASNKGGIY-UHFFFAOYSA-N 0 3 309.240 4.456 20 0 DIADHN CCOC[C@@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000236966767 340666909 /nfs/dbraw/zinc/66/69/09/340666909.db2.gz ZPKWRJIKUXPGOT-GFCCVEGCSA-N 0 3 316.426 4.071 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000236981577 340666982 /nfs/dbraw/zinc/66/69/82/340666982.db2.gz KXKGPKBSYSNBIX-HZMBPMFUSA-N 0 3 323.786 4.246 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@H](C)CCc1ccc(O)cc1 ZINC000237186069 340668804 /nfs/dbraw/zinc/66/88/04/340668804.db2.gz XDZDTRPWDQSLON-KGLIPLIRSA-N 0 3 317.404 4.212 20 0 DIADHN FC(F)(F)Oc1ccccc1CN[C@@H]1CCc2ccccc21 ZINC000237721313 340673313 /nfs/dbraw/zinc/67/33/13/340673313.db2.gz ZUCCZLFHENOIAD-OAHLLOKOSA-N 0 3 307.315 4.362 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000237796183 340674041 /nfs/dbraw/zinc/67/40/41/340674041.db2.gz XMUBSCRUYQMRFC-MSOLQXFVSA-N 0 3 324.443 4.319 20 0 DIADHN Cc1cc([C@H](C)Nc2cccc(OCCN(C)C)c2)c(C)o1 ZINC000315629772 340676076 /nfs/dbraw/zinc/67/60/76/340676076.db2.gz XRGDSBPSMWBXBY-AWEZNQCLSA-N 0 3 302.418 4.010 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2c(F)cccc2F)C12CCCC2 ZINC000315763857 340676776 /nfs/dbraw/zinc/67/67/76/340676776.db2.gz CFWGDZBJBOOKLR-APHBMKBZSA-N 0 3 309.400 4.353 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000524757459 340679340 /nfs/dbraw/zinc/67/93/40/340679340.db2.gz UPLUSQRFFDBPOJ-IJLUTSLNSA-N 0 3 301.402 4.175 20 0 DIADHN CCSc1ccc([C@H](C)NC(=O)[C@@H](CC)N(CC)CC)cc1 ZINC000524818409 340681468 /nfs/dbraw/zinc/68/14/68/340681468.db2.gz UBAGQNUZCKFOEJ-WMLDXEAASA-N 0 3 322.518 4.096 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCc3ccccc3CC2)o1 ZINC000525121054 340690560 /nfs/dbraw/zinc/69/05/60/340690560.db2.gz NORLHNUGZZAZHI-UHFFFAOYSA-N 0 3 322.383 4.082 20 0 DIADHN Cc1csc(CNCC(C)(C)c2c(F)cccc2Cl)n1 ZINC000525182189 340693890 /nfs/dbraw/zinc/69/38/90/340693890.db2.gz OSHKAANNDQQTQV-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2csc(C(C)(C)C)n2)o1 ZINC000525181971 340693902 /nfs/dbraw/zinc/69/39/02/340693902.db2.gz LSKMTYXINMBEPE-GFCCVEGCSA-N 0 3 306.475 4.453 20 0 DIADHN C[C@@H](CNCc1cccc(F)c1F)Oc1ccccc1Cl ZINC000525182445 340693955 /nfs/dbraw/zinc/69/39/55/340693955.db2.gz YWEKMEDBMFMRMM-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN Cc1ccoc1CNC[C@@H]1CCN(c2cc(Cl)ccc2C)C1 ZINC000525193406 340694357 /nfs/dbraw/zinc/69/43/57/340694357.db2.gz KOABJYXTXYASNL-HNNXBMFYSA-N 0 3 318.848 4.166 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1[C@@H](C)C[C@@H]1C ZINC000525997765 340708076 /nfs/dbraw/zinc/70/80/76/340708076.db2.gz IBQYTGWCCYPTFU-STQMWFEESA-N 0 3 317.864 4.184 20 0 DIADHN FC(F)C[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1ccccc1 ZINC000564198386 340713083 /nfs/dbraw/zinc/71/30/83/340713083.db2.gz IWDCDQLSSANQHG-HZPDHXFCSA-N 0 3 309.400 4.464 20 0 DIADHN FC(F)(F)c1ncccc1CN[C@H](c1cccs1)C1CC1 ZINC000528435242 340718700 /nfs/dbraw/zinc/71/87/00/340718700.db2.gz ZPUYABHRVXJZPL-ZDUSSCGKSA-N 0 3 312.360 4.403 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cccnc2C(F)(F)F)c1 ZINC000528435668 340719019 /nfs/dbraw/zinc/71/90/19/340719019.db2.gz HTVHEEWYRTZAOA-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN COCCC1CCN(Cc2cc(Cl)cc3cccnc32)CC1 ZINC000528572788 340724460 /nfs/dbraw/zinc/72/44/60/340724460.db2.gz DSLYHJDTSSHAGK-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN Clc1ccccc1OCCN[C@H](c1ccccn1)C1CCC1 ZINC000528646899 340727770 /nfs/dbraw/zinc/72/77/70/340727770.db2.gz BKBKBHZNEOQGMM-SFHVURJKSA-N 0 3 316.832 4.245 20 0 DIADHN C[C@H](CCNCc1ccc(Br)o1)c1ccccc1 ZINC000061909955 340748725 /nfs/dbraw/zinc/74/87/25/340748725.db2.gz QOMXEXXPZKZQOD-GFCCVEGCSA-N 0 3 308.219 4.326 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1Br)C1CC(F)(F)C1 ZINC000529532928 340760166 /nfs/dbraw/zinc/76/01/66/340760166.db2.gz QJMIEBILZPSBRZ-MRVPVSSYSA-N 0 3 322.168 4.112 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2cc[nH]c2c1)c1ccccc1 ZINC000529557252 340763096 /nfs/dbraw/zinc/76/30/96/340763096.db2.gz VNGPYIBCIHBJTF-LJQANCHMSA-N 0 3 308.425 4.017 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccs1 ZINC000037158755 340767057 /nfs/dbraw/zinc/76/70/57/340767057.db2.gz GUWKBSNQCDOWTO-SMDDNHRTSA-N 0 3 303.431 4.041 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(Cl)c(Cl)c1Cl ZINC000037215205 340774807 /nfs/dbraw/zinc/77/48/07/340774807.db2.gz MEJLDIWEWPDKLZ-IUCAKERBSA-N 0 3 308.636 4.476 20 0 DIADHN Cc1ncsc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000530287636 340786592 /nfs/dbraw/zinc/78/65/92/340786592.db2.gz VMEBQLQCTMUHNU-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN COc1ccc([C@H](C)NCCc2ccc(F)c(F)c2)c(F)c1 ZINC000530329869 340787831 /nfs/dbraw/zinc/78/78/31/340787831.db2.gz SMWICKLSBHKOBP-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN COc1ccc([C@@H](C)NCCc2ccc(F)c(F)c2)c(F)c1 ZINC000530329868 340787833 /nfs/dbraw/zinc/78/78/33/340787833.db2.gz SMWICKLSBHKOBP-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@H]2N[C@H]1CCSC1 ZINC000530366256 340789549 /nfs/dbraw/zinc/78/95/49/340789549.db2.gz KOJQFRVYAGMQBM-GOEBONIOSA-N 0 3 303.471 4.308 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)NCc1ncccc1F ZINC000530423737 340791320 /nfs/dbraw/zinc/79/13/20/340791320.db2.gz IVUCMOSXGZJQAK-KRWDZBQOSA-N 0 3 304.434 4.127 20 0 DIADHN CS[C@H](C)CN[C@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000537952441 340793054 /nfs/dbraw/zinc/79/30/54/340793054.db2.gz FOLZZLXFQMDPNP-HUUCEWRRSA-N 0 3 317.502 4.200 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc(OCC(F)F)c1)CC2 ZINC000537976442 340794953 /nfs/dbraw/zinc/79/49/53/340794953.db2.gz XLBFRMAYWNAIKO-SFHVURJKSA-N 0 3 317.379 4.416 20 0 DIADHN COc1cc(F)c([C@H](C)NCCc2ccc(C)cc2)cc1OC ZINC000537983438 340795507 /nfs/dbraw/zinc/79/55/07/340795507.db2.gz LZTOQAMNKLPHPP-AWEZNQCLSA-N 0 3 317.404 4.045 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nc3c(s2)CCCC3)cc1 ZINC000537983296 340795540 /nfs/dbraw/zinc/79/55/40/340795540.db2.gz GLEVYXDQCOXQGC-AWEZNQCLSA-N 0 3 300.471 4.224 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@@H](C)Oc1cccc(F)c1)CCC2 ZINC000124558681 340799780 /nfs/dbraw/zinc/79/97/80/340799780.db2.gz MAENMASXTUTTKX-IAQYHMDHSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@H](C)Oc1cccc(F)c1)CCC2 ZINC000124559126 340799801 /nfs/dbraw/zinc/79/98/01/340799801.db2.gz MAENMASXTUTTKX-XHDPSFHLSA-N 0 3 320.433 4.025 20 0 DIADHN C[C@H]1CN(C(=O)c2cccc(CN3CCCC3)c2)c2ccccc21 ZINC000064026262 340801665 /nfs/dbraw/zinc/80/16/65/340801665.db2.gz JVQBJHWENISFPD-INIZCTEOSA-N 0 3 320.436 4.046 20 0 DIADHN CCc1ccc(-c2nc(CNC(C)(C)C(C)(C)O)cs2)cc1 ZINC000538097082 340809005 /nfs/dbraw/zinc/80/90/05/340809005.db2.gz PUKLUJIPUGZLRP-UHFFFAOYSA-N 0 3 318.486 4.012 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H](c2ccc(F)cc2)C2CC2)C1 ZINC000538113509 340809765 /nfs/dbraw/zinc/80/97/65/340809765.db2.gz UTUWXFVUFHGNLY-UXHICEINSA-N 0 3 310.416 4.053 20 0 DIADHN CC(C)(CNCc1ccc(Br)o1)c1cccs1 ZINC000126116132 340822704 /nfs/dbraw/zinc/82/27/04/340822704.db2.gz LAJUMJVWMRSRJP-UHFFFAOYSA-N 0 3 314.248 4.171 20 0 DIADHN COc1ccc(CN[C@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC000538228473 340814214 /nfs/dbraw/zinc/81/42/14/340814214.db2.gz VZTMKGXTHPBHTA-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](c2ccccc2C)C2CC2)c1 ZINC000538389253 340819936 /nfs/dbraw/zinc/81/99/36/340819936.db2.gz CWMDCRDSHPQUMZ-JXFKEZNVSA-N 0 3 322.452 4.371 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccnc(SC)c2)C12CCCCC2 ZINC000538396447 340820805 /nfs/dbraw/zinc/82/08/05/340820805.db2.gz UBIMELRIQGJOJX-JKSUJKDBSA-N 0 3 320.502 4.021 20 0 DIADHN CCOc1cc(CNC(C)(C)c2cccs2)ccc1OC ZINC000538408141 340821750 /nfs/dbraw/zinc/82/17/50/340821750.db2.gz BIBYAVJZRXOCAT-UHFFFAOYSA-N 0 3 305.443 4.180 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000538425843 340823297 /nfs/dbraw/zinc/82/32/97/340823297.db2.gz FOYPKKQYEQSPGW-SFHVURJKSA-N 0 3 311.429 4.352 20 0 DIADHN CCC(=O)N1CC[C@H](N[C@@H](C)c2cccc(-c3ccccc3)c2)C1 ZINC000126359889 340826349 /nfs/dbraw/zinc/82/63/49/340826349.db2.gz LPOMWXSNKJMYJW-JXFKEZNVSA-N 0 3 322.452 4.015 20 0 DIADHN COCc1cccc(CNCc2ccc(C(C)(C)C)s2)c1 ZINC000126519795 340828024 /nfs/dbraw/zinc/82/80/24/340828024.db2.gz AGWLXLKKJQJEQT-UHFFFAOYSA-N 0 3 303.471 4.482 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2csc(C(C)(C)C)n2)CCO1 ZINC000538533182 340832030 /nfs/dbraw/zinc/83/20/30/340832030.db2.gz YNEBRLJFPBZYPM-HIFRSBDPSA-N 0 3 310.507 4.124 20 0 DIADHN CC[C@@H](Nc1ccccc1N1CCN(CC)CC1)c1ccccc1 ZINC000538544167 340832360 /nfs/dbraw/zinc/83/23/60/340832360.db2.gz GFORABCABRJSLD-LJQANCHMSA-N 0 3 323.484 4.392 20 0 DIADHN C[C@@H](CC1CC1)NCc1ccc(Br)cc1Cl ZINC000126826480 340837532 /nfs/dbraw/zinc/83/75/32/340837532.db2.gz HDUGCJUKWLDXFA-VIFPVBQESA-N 0 3 302.643 4.381 20 0 DIADHN COc1ccc(CN2CCC(C(F)F)CC2)c2ccccc12 ZINC000538735908 340844623 /nfs/dbraw/zinc/84/46/23/340844623.db2.gz LWQORBRUBUJECT-UHFFFAOYSA-N 0 3 305.368 4.326 20 0 DIADHN COc1ccccc1[C@H](C)NCc1ccccc1-n1ccc(C)n1 ZINC000127574094 340853455 /nfs/dbraw/zinc/85/34/55/340853455.db2.gz FCOPECSZKGIGND-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@H](C)Oc1ccc(F)cc1)CCC2 ZINC000128093494 340860650 /nfs/dbraw/zinc/86/06/50/340860650.db2.gz GMRFXZZTFJCDAR-XHDPSFHLSA-N 0 3 320.433 4.025 20 0 DIADHN CC[C@@H](CSC)N[C@H](C)c1cc(Cl)c(OC)cc1OC ZINC000539298089 340879963 /nfs/dbraw/zinc/87/99/63/340879963.db2.gz IZYDIHMWQOZOMC-MNOVXSKESA-N 0 3 317.882 4.149 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1ccccc1-n1cccn1 ZINC000539047185 340867204 /nfs/dbraw/zinc/86/72/04/340867204.db2.gz TVSFYBVDSWTTSQ-XOBRGWDASA-N 0 3 321.399 4.035 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000539051473 340867920 /nfs/dbraw/zinc/86/79/20/340867920.db2.gz JNZALSVMQJRXHN-NBHGPNQESA-N 0 3 324.468 4.181 20 0 DIADHN C[C@H](NCC1(CCO)CCC1)c1cccc(Cl)c1Cl ZINC000539062735 340869436 /nfs/dbraw/zinc/86/94/36/340869436.db2.gz BOIYFLMUAAQHJB-NSHDSACASA-N 0 3 302.245 4.197 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H]1CCc2c1cccc2F ZINC000539065706 340869812 /nfs/dbraw/zinc/86/98/12/340869812.db2.gz KHWFFGUJWJGCKB-NXXSPTCGSA-N 0 3 317.379 4.318 20 0 DIADHN C[C@H](NCCOc1ncccc1Cl)c1ccc(Cl)cc1 ZINC000539066798 340870017 /nfs/dbraw/zinc/87/00/17/340870017.db2.gz QQKGFCLDZZJNBK-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000539068045 340870092 /nfs/dbraw/zinc/87/00/92/340870092.db2.gz ZSDBIYBGXVQPQZ-NJSLBKSFSA-N 0 3 317.404 4.261 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCCC(=O)NC(C)(C)C)cc1 ZINC000539076666 340870961 /nfs/dbraw/zinc/87/09/61/340870961.db2.gz AZLJKNALBHHUEY-AWEZNQCLSA-N 0 3 322.518 4.143 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)[C@@H](C)OC)ccc1OCCC(C)C ZINC000539090680 340872510 /nfs/dbraw/zinc/87/25/10/340872510.db2.gz BBKARIDNMGWTBF-OWCLPIDISA-N 0 3 323.477 4.194 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@@H](CO)c2sccc2C)cc1 ZINC000539121578 340874305 /nfs/dbraw/zinc/87/43/05/340874305.db2.gz IWFQDSBLCJTSGX-SJORKVTESA-N 0 3 303.471 4.393 20 0 DIADHN C[C@@H](NCC(C1CC1)C1CC1)c1nc2ccc(Cl)cc2n1C ZINC000539217869 340876948 /nfs/dbraw/zinc/87/69/48/340876948.db2.gz NDSYEWSHVWVELE-LLVKDONJSA-N 0 3 317.864 4.314 20 0 DIADHN COc1ccc([C@@H](C)NCCOc2ccc(SC)cc2)cc1 ZINC000539228605 340877531 /nfs/dbraw/zinc/87/75/31/340877531.db2.gz BPBZDZYBAFAWPD-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(Cl)cc2C)cc1 ZINC000539234440 340877823 /nfs/dbraw/zinc/87/78/23/340877823.db2.gz XYLSAJVFEJHETG-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN CC[C@H](NC1CCC(C)(c2ccccc2)CC1)c1nccn1C ZINC000539294417 340879666 /nfs/dbraw/zinc/87/96/66/340879666.db2.gz DHJCIHLPKDPKMY-FDYSRKEFSA-N 0 3 311.473 4.361 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1cc(Cl)c(OC)cc1OC ZINC000539298092 340880003 /nfs/dbraw/zinc/88/00/03/340880003.db2.gz IZYDIHMWQOZOMC-WDEREUQCSA-N 0 3 317.882 4.149 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1cc(Cl)c(OC)cc1OC ZINC000539298087 340880046 /nfs/dbraw/zinc/88/00/46/340880046.db2.gz IZYDIHMWQOZOMC-GHMZBOCLSA-N 0 3 317.882 4.149 20 0 DIADHN COc1ccc(OCCCN[C@H](C)c2cccc(F)c2F)cc1 ZINC000539334486 340881440 /nfs/dbraw/zinc/88/14/40/340881440.db2.gz YMOPUQYBOGTHGU-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN CC[C@H](NCc1csc(CCC(C)C)n1)C1CCOCC1 ZINC000539425415 340885083 /nfs/dbraw/zinc/88/50/83/340885083.db2.gz URPMKIJBZFNHAF-INIZCTEOSA-N 0 3 310.507 4.027 20 0 DIADHN C[C@H](NCCOCC(F)(F)F)c1cc2cc(Cl)ccc2o1 ZINC000539497165 340887562 /nfs/dbraw/zinc/88/75/62/340887562.db2.gz ZUUSPXLCWOXDHL-VIFPVBQESA-N 0 3 321.726 4.316 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)N[C@H](C)Cn1ccnc1 ZINC000539500066 340888003 /nfs/dbraw/zinc/88/80/03/340888003.db2.gz PKJBEVXLOUULEZ-HUUCEWRRSA-N 0 3 311.429 4.239 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H]1CCc2cc(F)ccc21 ZINC000539506491 340888238 /nfs/dbraw/zinc/88/82/38/340888238.db2.gz JFSINLBXNSMVNN-DPMMWBKBSA-N 0 3 317.379 4.318 20 0 DIADHN C[C@@H](CCO)C1(CNCc2cccc(Cl)c2Cl)CCC1 ZINC000539546977 340890159 /nfs/dbraw/zinc/89/01/59/340890159.db2.gz NUVFXYQDJPRCGZ-LBPRGKRZSA-N 0 3 316.272 4.272 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1(c3cccc(F)c3)CC1)CCC2 ZINC000539656803 340894996 /nfs/dbraw/zinc/89/49/96/340894996.db2.gz LXSCZGWPNPXVES-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1ccc(N[C@H](C)c2cccc(OCCN(C)C)c2)c(C)c1 ZINC000539720893 340897116 /nfs/dbraw/zinc/89/71/16/340897116.db2.gz ALYCOZQUWMRNOG-QGZVFWFLSA-N 0 3 312.457 4.417 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1CCCCC1 ZINC000539925046 340910033 /nfs/dbraw/zinc/91/00/33/340910033.db2.gz WJYGJFLYKXASQR-UHFFFAOYSA-N 0 3 303.837 4.128 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CCCC(F)(F)F)c1 ZINC000539945537 340910576 /nfs/dbraw/zinc/91/05/76/340910576.db2.gz VLMJACLGTKKDPM-UHFFFAOYSA-N 0 3 316.367 4.200 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cccc2cccnc21 ZINC000539978128 340911697 /nfs/dbraw/zinc/91/16/97/340911697.db2.gz OGUSLRLQMBNSHO-JLTOFOAXSA-N 0 3 324.399 4.240 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NC/C=C\c1ccc(F)cc1 ZINC000539979541 340911707 /nfs/dbraw/zinc/91/17/07/340911707.db2.gz FLBJRWJDMLAREU-DRPUOCJCSA-N 0 3 317.379 4.344 20 0 DIADHN Cc1csc(CN[C@H](C)c2cncc(Br)c2)c1 ZINC000564227132 340913066 /nfs/dbraw/zinc/91/30/66/340913066.db2.gz KNSSWDMQMREWCS-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2c(Cl)cccc2Cl)CCO1 ZINC000540067330 340913965 /nfs/dbraw/zinc/91/39/65/340913965.db2.gz ZEETWBVQFAGALN-ABAIWWIYSA-N 0 3 302.245 4.287 20 0 DIADHN COc1ccc([C@@H](OC)[C@H](C)NCc2cc(C)ccc2F)cc1 ZINC000540069098 340914267 /nfs/dbraw/zinc/91/42/67/340914267.db2.gz ZOMJWXRVYZSVLX-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN C[C@@H](NCCCOC1CCCCC1)c1nc2c(s1)CCCC2 ZINC000540085060 340914568 /nfs/dbraw/zinc/91/45/68/340914568.db2.gz IIDQLVVAVYJUJQ-CQSZACIVSA-N 0 3 322.518 4.412 20 0 DIADHN CC[C@@H]1CCC[C@@H](NCc2csc(-c3ccccn3)n2)C1 ZINC000068589866 340915270 /nfs/dbraw/zinc/91/52/70/340915270.db2.gz AWJFFKVCCLDEKE-ZIAGYGMSSA-N 0 3 301.459 4.264 20 0 DIADHN C[C@H](NCCOc1ncccc1Cl)c1cc2ccccc2o1 ZINC000540155640 340918353 /nfs/dbraw/zinc/91/83/53/340918353.db2.gz GSJPVQKYWWHFQG-LBPRGKRZSA-N 0 3 316.788 4.211 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000540167297 340919755 /nfs/dbraw/zinc/91/97/55/340919755.db2.gz BBFKALCXFDPEEP-DOPJRALCSA-N 0 3 301.817 4.196 20 0 DIADHN C[C@@H](NCCc1csc2ccccc12)c1ccc(F)cn1 ZINC000540166999 340919795 /nfs/dbraw/zinc/91/97/95/340919795.db2.gz IWWRTDOZXVSIFU-GFCCVEGCSA-N 0 3 300.402 4.329 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)NC(C)(C)C)c1cccc(-c2ccccc2)c1 ZINC000540171784 340920222 /nfs/dbraw/zinc/92/02/22/340920222.db2.gz ZYJUKAUURLJLIZ-CVEARBPZSA-N 0 3 324.468 4.307 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](C)c2ccc(F)cc2F)c1 ZINC000540182221 340921359 /nfs/dbraw/zinc/92/13/59/340921359.db2.gz OTHVXJBQLYKAKE-QWHCGFSZSA-N 0 3 305.368 4.255 20 0 DIADHN Cc1cccc(C)c1OCCN[C@@H](C)c1ccc2c(c1)COC2 ZINC000540189423 340922437 /nfs/dbraw/zinc/92/24/37/340922437.db2.gz CHGWSOHDWWTRQB-INIZCTEOSA-N 0 3 311.425 4.063 20 0 DIADHN CCOc1ccc([C@H](N[C@H](C)[C@H](C)OC)c2ccccc2)cc1 ZINC000540189632 340922443 /nfs/dbraw/zinc/92/24/43/340922443.db2.gz BYZFTKYABXIHKE-GQIGUUNPSA-N 0 3 313.441 4.188 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(OC)cc2C)C(C)C)cc1 ZINC000540313864 340926239 /nfs/dbraw/zinc/92/62/39/340926239.db2.gz JLGIFTVSIRNQHT-FQEVSTJZSA-N 0 3 313.441 4.499 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)c(C)c1 ZINC000540386190 340928947 /nfs/dbraw/zinc/92/89/47/340928947.db2.gz PCGTUFUGGNSABX-CTNGQTDRSA-N 0 3 309.453 4.479 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)N(C)[C@@H](C)c2ccccc2)c1C ZINC000540389218 340929117 /nfs/dbraw/zinc/92/91/17/340929117.db2.gz FAHLTUAGBVOVKU-MSOLQXFVSA-N 0 3 324.468 4.174 20 0 DIADHN COCCSc1ccc([C@@H](C)NC[C@@H](C)C(F)(F)F)cc1 ZINC000540404669 340929850 /nfs/dbraw/zinc/92/98/50/340929850.db2.gz RUXJIFIINSOTDC-VXGBXAGGSA-N 0 3 321.408 4.274 20 0 DIADHN COCCSc1ccc([C@@H](C)NC[C@H](C)C(F)(F)F)cc1 ZINC000540404666 340929862 /nfs/dbraw/zinc/92/98/62/340929862.db2.gz RUXJIFIINSOTDC-NWDGAFQWSA-N 0 3 321.408 4.274 20 0 DIADHN Cn1cnc2cc(CN3CCC(c4ccccc4F)CC3)ccc21 ZINC000540482755 340933108 /nfs/dbraw/zinc/93/31/08/340933108.db2.gz XHKPNUPDGYONBG-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN COCCN[C@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000540523719 340934903 /nfs/dbraw/zinc/93/49/03/340934903.db2.gz YOYNIZPDEORMRX-ZDUSSCGKSA-N 0 3 307.743 4.046 20 0 DIADHN Cc1cccc2[nH]cc(CCNCc3cc(F)c(F)cc3F)c21 ZINC000540591929 340936921 /nfs/dbraw/zinc/93/69/21/340936921.db2.gz PJFOJNVJFZQYMK-UHFFFAOYSA-N 0 3 318.342 4.226 20 0 DIADHN CCSc1ccccc1[C@H](C)NCC1([C@H](O)C(C)C)CC1 ZINC000540608746 340938680 /nfs/dbraw/zinc/93/86/80/340938680.db2.gz SFWDMXGJZAYLBG-WMLDXEAASA-N 0 3 307.503 4.246 20 0 DIADHN C[C@H]1CC[C@@H](C(=O)Nc2cccc(CN3CCCCC3)c2)C1 ZINC000540663342 340940834 /nfs/dbraw/zinc/94/08/34/340940834.db2.gz WEUGDNCVXXCNCX-DOTOQJQBSA-N 0 3 300.446 4.047 20 0 DIADHN CCc1ccccc1N[C@H](C)c1ccc(OCCN(C)C)cc1 ZINC000540707404 340942306 /nfs/dbraw/zinc/94/23/06/340942306.db2.gz ZNBPIEAPMKHPLJ-MRXNPFEDSA-N 0 3 312.457 4.363 20 0 DIADHN COCC1(N[C@H](C)c2cc3cc(Br)ccc3o2)CC1 ZINC000540749717 340944250 /nfs/dbraw/zinc/94/42/50/340944250.db2.gz LXSRVKOMOMWQBO-SNVBAGLBSA-N 0 3 324.218 4.025 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC1(c3ccc4c(c3)OCO4)CC1)CCC2 ZINC000540749713 340944252 /nfs/dbraw/zinc/94/42/52/340944252.db2.gz LUCGLPCKHKIDBK-SFHVURJKSA-N 0 3 321.420 4.380 20 0 DIADHN COCC1(N[C@@H](C)c2sc(-c3ccc(F)cc3)nc2C)CC1 ZINC000540752380 340944511 /nfs/dbraw/zinc/94/45/11/340944511.db2.gz ZNGTXZIMQVPHMO-LBPRGKRZSA-N 0 3 320.433 4.087 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000129318141 340953435 /nfs/dbraw/zinc/95/34/35/340953435.db2.gz CRCFEUNCIZJYEY-CQSZACIVSA-N 0 3 313.397 4.053 20 0 DIADHN CC[C@H](NC1CCC(c2ccc(F)cc2)CC1)c1nccn1C ZINC000541013468 340956016 /nfs/dbraw/zinc/95/60/16/340956016.db2.gz MUNBMOLELLQGPN-VJFUWPCTSA-N 0 3 315.436 4.326 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(Cn3cccn3)c2)c(C)c1 ZINC000129460563 340962269 /nfs/dbraw/zinc/96/22/69/340962269.db2.gz MQRGMRTVMKKYED-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)N[C@@H](C)CCC(F)(F)F ZINC000541556517 340974356 /nfs/dbraw/zinc/97/43/56/340974356.db2.gz KUHURYBEDUUGPU-NWDGAFQWSA-N 0 3 313.367 4.438 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@@H](c2cccnc2)C2CC2)c1C ZINC000541590400 340976556 /nfs/dbraw/zinc/97/65/56/340976556.db2.gz FZCUTTZOBHXDTK-OAQYLSRUSA-N 0 3 322.452 4.450 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000541627725 340978340 /nfs/dbraw/zinc/97/83/40/340978340.db2.gz XLIDGAMEQCYSTH-UKRRQHHQSA-N 0 3 310.507 4.118 20 0 DIADHN CC(C)C[C@@H](N[C@H](C)C(=O)N1CCCCCC1)c1cccs1 ZINC000541629594 340978537 /nfs/dbraw/zinc/97/85/37/340978537.db2.gz WDNSEVJJGWQNPB-HZPDHXFCSA-N 0 3 322.518 4.216 20 0 DIADHN Clc1ccc(CN[C@H]2CCCN(Cc3ccccc3)C2)s1 ZINC000070284893 340993035 /nfs/dbraw/zinc/99/30/35/340993035.db2.gz RFWQDILEESREEU-HNNXBMFYSA-N 0 3 320.889 4.156 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2ccccc2Br)CCO1 ZINC000070349574 340994074 /nfs/dbraw/zinc/99/40/74/340994074.db2.gz KZOHYBSTCHMUSO-RWMBFGLXSA-N 0 3 312.251 4.057 20 0 DIADHN c1cc(CCCN[C@@H](c2ccccc2)c2ccccn2)cs1 ZINC000542104896 340995527 /nfs/dbraw/zinc/99/55/27/340995527.db2.gz VXLSYCFPYIVNKC-IBGZPJMESA-N 0 3 308.450 4.455 20 0 DIADHN CN(Cc1coc(-c2ccc(F)c(F)c2)n1)C[C@@H]1CC=CCC1 ZINC000542246261 341001732 /nfs/dbraw/zinc/00/17/32/341001732.db2.gz BSEBXWBTTVGFTO-CYBMUJFWSA-N 0 3 318.367 4.408 20 0 DIADHN C[C@H](C(=O)Nc1ccc(F)cc1Cl)N(C)C[C@H]1CC=CCC1 ZINC000542246641 341001762 /nfs/dbraw/zinc/00/17/62/341001762.db2.gz BWBCORRWUURWLP-OLZOCXBDSA-N 0 3 324.827 4.094 20 0 DIADHN CCC(CC)[C@@H](NCc1cc(OC)ccn1)c1cccs1 ZINC000542255647 341002212 /nfs/dbraw/zinc/00/22/12/341002212.db2.gz KQMGWPGUFDZYGM-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN COc1ccccc1[C@H]1CCN(CCc2cccc(F)c2F)C1 ZINC000542277596 341003101 /nfs/dbraw/zinc/00/31/01/341003101.db2.gz WGVCPBCWTQJHDX-HNNXBMFYSA-N 0 3 317.379 4.005 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1nc(C2CC2)cs1 ZINC000542278723 341003112 /nfs/dbraw/zinc/00/31/12/341003112.db2.gz JPESEGHMQQABNM-YOEHRIQHSA-N 0 3 312.482 4.474 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc(C2CC2)cs1 ZINC000542278722 341003243 /nfs/dbraw/zinc/00/32/43/341003243.db2.gz JPESEGHMQQABNM-WMLDXEAASA-N 0 3 312.482 4.474 20 0 DIADHN Cc1cccc(C)c1OCCCN(C)C[C@@H](C)c1nccs1 ZINC000542310393 341003898 /nfs/dbraw/zinc/00/38/98/341003898.db2.gz SRVSNZZSJXHNSC-MRXNPFEDSA-N 0 3 318.486 4.264 20 0 DIADHN c1cc(-c2nc(CNC[C@@H]3CCCCS3)cs2)cs1 ZINC000542310975 341003951 /nfs/dbraw/zinc/00/39/51/341003951.db2.gz JLCCAEJJPZIHNG-ZDUSSCGKSA-N 0 3 310.513 4.247 20 0 DIADHN C[C@]1(NCc2ccc(Cl)c(Cl)c2)CCO[C@H]1C1CC1 ZINC000542311249 341004112 /nfs/dbraw/zinc/00/41/12/341004112.db2.gz PPVJQIUJQQFMQQ-GJZGRUSLSA-N 0 3 300.229 4.041 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@@]2(C)CCO[C@H]2C)cc1 ZINC000542313958 341004188 /nfs/dbraw/zinc/00/41/88/341004188.db2.gz BVGNRXMCZSHORQ-YWZLYKJASA-N 0 3 311.425 4.019 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000130170319 341004393 /nfs/dbraw/zinc/00/43/93/341004393.db2.gz OHVLKNUEIKHTBI-QWRGUYRKSA-N 0 3 304.356 4.010 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000130170752 341004455 /nfs/dbraw/zinc/00/44/55/341004455.db2.gz OHVLKNUEIKHTBI-MNOVXSKESA-N 0 3 304.356 4.010 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3nc4ccccc4o3)C2)c1 ZINC000542320165 341004886 /nfs/dbraw/zinc/00/48/86/341004886.db2.gz WNXRTRNTGIHDHP-MRXNPFEDSA-N 0 3 306.409 4.434 20 0 DIADHN CC(C)c1csc(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)n1 ZINC000130207290 341006458 /nfs/dbraw/zinc/00/64/58/341006458.db2.gz OAMZKXCRBKTEKL-WDEREUQCSA-N 0 3 306.397 4.477 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](NCc2ccn(C3CCCC3)n2)C1 ZINC000130225464 341007454 /nfs/dbraw/zinc/00/74/54/341007454.db2.gz JAEBMDPNTRWQBH-STQMWFEESA-N 0 3 315.383 4.209 20 0 DIADHN Cn1cc(CN2CCC[C@H]3CCC[C@H]32)c(C2CCCCC2)n1 ZINC000542465243 341008383 /nfs/dbraw/zinc/00/83/83/341008383.db2.gz BPNAOTLDYMMZSP-CRAIPNDOSA-N 0 3 301.478 4.232 20 0 DIADHN Cn1cc(CN2CCC[C@@H]3CCC[C@@H]32)c(C2CCCCC2)n1 ZINC000542465246 341008392 /nfs/dbraw/zinc/00/83/92/341008392.db2.gz BPNAOTLDYMMZSP-YJBOKZPZSA-N 0 3 301.478 4.232 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)C1CCCCCC1 ZINC000542472967 341008851 /nfs/dbraw/zinc/00/88/51/341008851.db2.gz QFTPGYOHZJTJFH-ZBFHGGJFSA-N 0 3 304.482 4.185 20 0 DIADHN COCCCCCN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000542476827 341009144 /nfs/dbraw/zinc/00/91/44/341009144.db2.gz DAJXEQCFDGLOGH-IBGZPJMESA-N 0 3 316.420 4.025 20 0 DIADHN Fc1ccc([C@H](NCCc2cccc(F)c2)c2cccnc2)cc1 ZINC000542481317 341009255 /nfs/dbraw/zinc/00/92/55/341009255.db2.gz SFLCVVYCZYAUOC-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN Fc1ccc([C@@H](NCC2(C3CC3)CCC2)c2cccnc2)cc1 ZINC000542494503 341010329 /nfs/dbraw/zinc/01/03/29/341010329.db2.gz KDNYDSZKGNEZMF-LJQANCHMSA-N 0 3 310.416 4.480 20 0 DIADHN CC(C)OCCCCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000542496881 341010447 /nfs/dbraw/zinc/01/04/47/341010447.db2.gz LUIZWZSETYOYBV-LJQANCHMSA-N 0 3 316.420 4.105 20 0 DIADHN COc1ccc(OC)c([C@H](C)NC[C@H](SC)C(C)(C)C)c1 ZINC000542497104 341010496 /nfs/dbraw/zinc/01/04/96/341010496.db2.gz XKIUPOVNOWTNCE-LRDDRELGSA-N 0 3 311.491 4.132 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H](C)Cc2ccccc2)sc1C ZINC000542516640 341011511 /nfs/dbraw/zinc/01/15/11/341011511.db2.gz VASWJYDMZFUYHA-CYBMUJFWSA-N 0 3 302.487 4.463 20 0 DIADHN Cc1cnc([C@H](NC[C@H]2CCc3ccccc3C2)C2CC2)s1 ZINC000542534482 341012628 /nfs/dbraw/zinc/01/26/28/341012628.db2.gz WVIMVOLGWAEWRL-KBXCAEBGSA-N 0 3 312.482 4.297 20 0 DIADHN COC(=O)c1oc([C@H](C)N[C@@H](C)[C@@H](C)c2ccccc2)cc1C ZINC000542545712 341012942 /nfs/dbraw/zinc/01/29/42/341012942.db2.gz YETARXOTDSWECF-ILXRZTDVSA-N 0 3 315.413 4.217 20 0 DIADHN CC(C)C[C@H](N[C@@H](C)C(=O)NC1CCCCC1)c1cccs1 ZINC000542557489 341013417 /nfs/dbraw/zinc/01/34/17/341013417.db2.gz QDMZKLFRYXIIQK-HOCLYGCPSA-N 0 3 322.518 4.262 20 0 DIADHN Cc1ccc(F)cc1NC(=O)[C@H](C)NC(C)(C)c1cccs1 ZINC000542574419 341014190 /nfs/dbraw/zinc/01/41/90/341014190.db2.gz PMOFOAQGDHFONC-LBPRGKRZSA-N 0 3 320.433 4.048 20 0 DIADHN C[C@@H]1N(Cc2cc(Cl)ccc2Cl)CCC12CCOCC2 ZINC000542646615 341015583 /nfs/dbraw/zinc/01/55/83/341015583.db2.gz KAJXUBWCJPHFHH-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CCN[C@@H](c1ccccc1)c1cncnc1 ZINC000542663706 341015941 /nfs/dbraw/zinc/01/59/41/341015941.db2.gz YVKSEENWVKKFSC-HLIPFELVSA-N 0 3 309.457 4.372 20 0 DIADHN CC[C@H](NCC1(c2ccc(Cl)cc2)CCC1)c1ccn(C)n1 ZINC000542664978 341016119 /nfs/dbraw/zinc/01/61/19/341016119.db2.gz ZAJHEMXPBIWHHB-INIZCTEOSA-N 0 3 317.864 4.236 20 0 DIADHN C[C@@H]1CCC[C@H](CCN[C@@H](c2ccccc2)c2cncnc2)C1 ZINC000542664931 341016130 /nfs/dbraw/zinc/01/61/30/341016130.db2.gz XIIPXMDPMDRNEI-HLIPFELVSA-N 0 3 309.457 4.372 20 0 DIADHN CC[C@H](NCCc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000542665884 341016240 /nfs/dbraw/zinc/01/62/40/341016240.db2.gz SFDJNAKCVUVKTF-AWEZNQCLSA-N 0 3 312.244 4.010 20 0 DIADHN Clc1cccc2c1CCC[C@H]2NCc1ccc2c(c1)OCO2 ZINC000542669929 341016648 /nfs/dbraw/zinc/01/66/48/341016648.db2.gz CWSHFUZDJUBIBU-MRXNPFEDSA-N 0 3 315.800 4.236 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@@H]1Cc1ccccc1)c1ccn(C)n1 ZINC000542677546 341016967 /nfs/dbraw/zinc/01/69/67/341016967.db2.gz KBIYCRKTUSJKRQ-QYZOEREBSA-N 0 3 311.473 4.262 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](NCc3ccccc3Cl)CCO2)cc1 ZINC000542689652 341017234 /nfs/dbraw/zinc/01/72/34/341017234.db2.gz ORYNJMPMGIGZLO-FUHWJXTLSA-N 0 3 319.807 4.489 20 0 DIADHN COC(=O)c1coc(CN2CCC[C@H]2c2cc(C)ccc2C)c1 ZINC000542702140 341017961 /nfs/dbraw/zinc/01/79/61/341017961.db2.gz CWDIRRPILQLBLE-SFHVURJKSA-N 0 3 313.397 4.020 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](c2cnn(C)c2)c2cccc(F)c2)C[C@@H]1C ZINC000542706928 341018381 /nfs/dbraw/zinc/01/83/81/341018381.db2.gz CYQHUTJTFUJNDJ-SPLQCWDRSA-N 0 3 315.436 4.063 20 0 DIADHN C[C@@H]1N(Cc2ccc(Cl)c(Cl)c2)CCC12CCOCC2 ZINC000542709510 341018646 /nfs/dbraw/zinc/01/86/46/341018646.db2.gz VYRKGPZXFSTRAQ-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccccc2)ccc1OC(F)F ZINC000070921123 341019577 /nfs/dbraw/zinc/01/95/77/341019577.db2.gz VGPCNCRTUWFDSV-LBPRGKRZSA-N 0 3 307.340 4.147 20 0 DIADHN Brc1ccc(CNCc2cccc3[nH]ccc32)cc1 ZINC000070939162 341019897 /nfs/dbraw/zinc/01/98/97/341019897.db2.gz OVFOHBKZYVHDNE-UHFFFAOYSA-N 0 3 315.214 4.220 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNC2CCN(Cc3ccccc3)CC2)o1 ZINC000070982410 341020893 /nfs/dbraw/zinc/02/08/93/341020893.db2.gz BGIOFHADFPYSKU-OXJNMPFZSA-N 0 3 324.468 4.157 20 0 DIADHN CC[C@H]1C[C@H](CNCc2c(Cl)cccc2Cl)CCO1 ZINC000542799687 341021010 /nfs/dbraw/zinc/02/10/10/341021010.db2.gz OVUJSELCNRFUHU-NEPJUHHUSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1nnc(CN[C@H](CCc2ccc(Cl)cc2)C(C)C)s1 ZINC000542811090 341021639 /nfs/dbraw/zinc/02/16/39/341021639.db2.gz ZQGCEVLOXPFWKU-OAHLLOKOSA-N 0 3 323.893 4.247 20 0 DIADHN Cc1nn(C)c2ncc(CN(Cc3ccccc3)C(C)(C)C)cc12 ZINC000130475538 341022554 /nfs/dbraw/zinc/02/25/54/341022554.db2.gz FDIWWTQPUCHCFP-UHFFFAOYSA-N 0 3 322.456 4.077 20 0 DIADHN Cc1c2cc(C)ccc2[nH]c1C(=O)Nc1cccc(CN(C)C)c1 ZINC000130478696 341022767 /nfs/dbraw/zinc/02/27/67/341022767.db2.gz LPLQZLIPCRDXPZ-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCN(C2CC2)C1)c1ccc(Cl)cc1F ZINC000542844203 341023127 /nfs/dbraw/zinc/02/31/27/341023127.db2.gz OIGQWAJFKMEYID-DYVFJYSZSA-N 0 3 310.844 4.003 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCN(C2CC2)C1)c1ccc(Cl)cc1F ZINC000542844205 341023196 /nfs/dbraw/zinc/02/31/96/341023196.db2.gz OIGQWAJFKMEYID-SUMWQHHRSA-N 0 3 310.844 4.003 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3ncc(-c4ccc(F)cc4)o3)C2)C1 ZINC000542881625 341025054 /nfs/dbraw/zinc/02/50/54/341025054.db2.gz SMWOBWQEJVSMGZ-KUHUBIRLSA-N 0 3 314.404 4.493 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)N(CCN(C)C)C(C)C ZINC000542900750 341025558 /nfs/dbraw/zinc/02/55/58/341025558.db2.gz AURRJUMXUSZQKV-UHFFFAOYSA-N 0 3 319.493 4.079 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000542946449 341026955 /nfs/dbraw/zinc/02/69/55/341026955.db2.gz NHJNTPFIXDRFAN-HIFRSBDPSA-N 0 3 323.362 4.300 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccoc3)n2)[C@@H]2CCCC[C@H]21 ZINC000542972142 341027596 /nfs/dbraw/zinc/02/75/96/341027596.db2.gz KBJUKLWVDWQAEE-UHOFOFEASA-N 0 3 302.443 4.414 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCCc1cn2ccccc2n1 ZINC000130584808 341029548 /nfs/dbraw/zinc/02/95/48/341029548.db2.gz YEHKAYBKTMVSFD-HNNXBMFYSA-N 0 3 319.408 4.282 20 0 DIADHN Clc1cccc(CN2CCC(CCCn3ccnc3)CC2)c1 ZINC000543061703 341029830 /nfs/dbraw/zinc/02/98/30/341029830.db2.gz NSNBLVNEZKDDAK-UHFFFAOYSA-N 0 3 317.864 4.229 20 0 DIADHN COC[C@@H](N[C@@H](C)Cc1ccccc1SC)c1ccc(C)o1 ZINC000543079198 341030915 /nfs/dbraw/zinc/03/09/15/341030915.db2.gz YGIZYNWRGZVPSM-XJKSGUPXSA-N 0 3 319.470 4.218 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000543088105 341031247 /nfs/dbraw/zinc/03/12/47/341031247.db2.gz BZZQAVKMRAWBGP-GLJUWKHASA-N 0 3 303.833 4.178 20 0 DIADHN CSc1ccccc1NC(=O)[C@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000543175242 341035300 /nfs/dbraw/zinc/03/53/00/341035300.db2.gz QACGTNBDACWMKQ-LSDHHAIUSA-N 0 3 318.486 4.024 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000543175924 341035317 /nfs/dbraw/zinc/03/53/17/341035317.db2.gz LTNVVBRBBGMZHX-LSDHHAIUSA-N 0 3 320.864 4.264 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@@H](C(C)(C)C)C2)n1 ZINC000543247948 341038204 /nfs/dbraw/zinc/03/82/04/341038204.db2.gz KAHKJGDGDBFAHK-LSDHHAIUSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4ccc(F)cc4o3)C2)cc1 ZINC000543248733 341038344 /nfs/dbraw/zinc/03/83/44/341038344.db2.gz IPZOUUPOGSDDNH-HNNXBMFYSA-N 0 3 310.372 4.265 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1CCCC[C@H]1C ZINC000543395141 341041722 /nfs/dbraw/zinc/04/17/22/341041722.db2.gz SQRPTUFCOQDRKB-RHSMWYFYSA-N 0 3 302.462 4.000 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1CCCC[C@H]1C ZINC000543395140 341041803 /nfs/dbraw/zinc/04/18/03/341041803.db2.gz SQRPTUFCOQDRKB-PBHICJAKSA-N 0 3 302.462 4.000 20 0 DIADHN C[C@H](NCC1(O)CCC1)c1ccc(Cl)c(Cl)c1Cl ZINC000130769010 341042224 /nfs/dbraw/zinc/04/22/24/341042224.db2.gz TYVQRVNLRWSRPG-QMMMGPOBSA-N 0 3 308.636 4.212 20 0 DIADHN CSCCCCN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000543400617 341042381 /nfs/dbraw/zinc/04/23/81/341042381.db2.gz HAHGEYXGZGSNML-GOSISDBHSA-N 0 3 318.461 4.351 20 0 DIADHN Cc1cccc(CCN[C@@H](c2ccc(F)cc2)c2cccnc2)c1 ZINC000543406055 341042582 /nfs/dbraw/zinc/04/25/82/341042582.db2.gz ZGMWZYSZSCSJDU-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1cc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc2cccnc12 ZINC000543406398 341042678 /nfs/dbraw/zinc/04/26/78/341042678.db2.gz KQLSYBCJTDQKBV-IBGZPJMESA-N 0 3 322.456 4.154 20 0 DIADHN CCc1ncc(CN2C[C@@H](C)C[C@@H]2c2cccc(OC)c2)s1 ZINC000543412952 341042867 /nfs/dbraw/zinc/04/28/67/341042867.db2.gz VBDHTYBNBLAMDT-SUMWQHHRSA-N 0 3 316.470 4.297 20 0 DIADHN CC(C)C[C@H](NCCCNc1cccc(F)c1)c1ccccn1 ZINC000543419049 341043635 /nfs/dbraw/zinc/04/36/35/341043635.db2.gz NHYLSZBHGLPZCY-IBGZPJMESA-N 0 3 315.436 4.400 20 0 DIADHN CC(C)[C@@H]1OCCC[C@H]1CN[C@@H](c1ccco1)c1ccccc1 ZINC000543424804 341043855 /nfs/dbraw/zinc/04/38/55/341043855.db2.gz ZBZJLUSTRURJGT-SXLOBPIMSA-N 0 3 313.441 4.410 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1ccc(F)cc1OC(F)F ZINC000543425711 341043939 /nfs/dbraw/zinc/04/39/39/341043939.db2.gz DHPIGAOLRPYUGN-JQWIXIFHSA-N 0 3 319.392 4.363 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1cc(F)cc(F)c1 ZINC000543427006 341043985 /nfs/dbraw/zinc/04/39/85/341043985.db2.gz PVHHGHFSUMIKIK-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CN(CCCc1ccncc1)Cc1cc(Cl)cc(Cl)c1 ZINC000543444600 341044876 /nfs/dbraw/zinc/04/48/76/341044876.db2.gz QXEKOCJMMBQIBL-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CCCC[C@@H](NCc1nc(-c2cccs2)no1)C1CCC1 ZINC000543455411 341045624 /nfs/dbraw/zinc/04/56/24/341045624.db2.gz UJRHFOYZZNBQIU-CYBMUJFWSA-N 0 3 305.447 4.247 20 0 DIADHN COCC[C@@H](NCCCOc1ccc(Cl)cc1)c1ccco1 ZINC000543460801 341045985 /nfs/dbraw/zinc/04/59/85/341045985.db2.gz QHIXHIUECYXEJF-MRXNPFEDSA-N 0 3 323.820 4.069 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H](C)[C@@H](C)CC)co2)cc1 ZINC000543470608 341046338 /nfs/dbraw/zinc/04/63/38/341046338.db2.gz XDHDTSXMOKIZPX-KBPBESRZSA-N 0 3 302.418 4.265 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1csc(N(C)C2CC2)n1 ZINC000543472433 341046357 /nfs/dbraw/zinc/04/63/57/341046357.db2.gz WEMDCMQPMMQLIT-KBPBESRZSA-N 0 3 315.486 4.024 20 0 DIADHN CC(C)C[C@H](N[C@H](C)C(=O)N1CCC(C)CC1)c1cccs1 ZINC000543486224 341047024 /nfs/dbraw/zinc/04/70/24/341047024.db2.gz UXPWNSWTRKLPLD-CVEARBPZSA-N 0 3 322.518 4.072 20 0 DIADHN CC(C(=O)N1CCCCc2c(Cl)cccc21)C(F)(F)F ZINC000543498001 341047614 /nfs/dbraw/zinc/04/76/14/341047614.db2.gz YAUCPBMYOIIHPO-VIFPVBQESA-N 0 3 305.727 4.208 20 0 DIADHN Cc1ccc(OCCCN[C@H](C)c2cnn(C(C)C)c2)cc1C ZINC000543502587 341047847 /nfs/dbraw/zinc/04/78/47/341047847.db2.gz DDSFSMDGIAPQPT-QGZVFWFLSA-N 0 3 315.461 4.201 20 0 DIADHN Cc1cnc(C(C)(C)NCCCOc2c(C)cccc2C)s1 ZINC000543509134 341048074 /nfs/dbraw/zinc/04/80/74/341048074.db2.gz HHHDHNRAAZICFI-UHFFFAOYSA-N 0 3 318.486 4.362 20 0 DIADHN COc1ccc(CCN[C@H](C)c2nc(C(C)(C)C)cs2)cc1 ZINC000543516485 341048259 /nfs/dbraw/zinc/04/82/59/341048259.db2.gz WJMYWKBTKBWHFV-CYBMUJFWSA-N 0 3 318.486 4.343 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000543592406 341049852 /nfs/dbraw/zinc/04/98/52/341049852.db2.gz TYLLRLLACOYVCO-RTBURBONSA-N 0 3 315.844 4.349 20 0 DIADHN CC[C@@H](N[C@@H](CC)Cc1ccc(Cl)cc1)c1ccn(C)n1 ZINC000543601939 341050796 /nfs/dbraw/zinc/05/07/96/341050796.db2.gz JILCMRQVCKPCFM-JKSUJKDBSA-N 0 3 305.853 4.136 20 0 DIADHN Cc1ccc([C@H](NC[C@H](c2ccco2)N(C)C)C2CCCC2)o1 ZINC000543604664 341050922 /nfs/dbraw/zinc/05/09/22/341050922.db2.gz CMFUIHLUPOCPDY-VQIMIIECSA-N 0 3 316.445 4.305 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1cccc(C(F)(F)F)c1)c1ccon1 ZINC000543628686 341051844 /nfs/dbraw/zinc/05/18/44/341051844.db2.gz WBGRYJZQHKMKKC-NWDGAFQWSA-N 0 3 312.335 4.365 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1cn(C)nc1C(C)(C)C ZINC000543703599 341054241 /nfs/dbraw/zinc/05/42/41/341054241.db2.gz VDLKHGKJKWSTQA-UHFFFAOYSA-N 0 3 319.880 4.046 20 0 DIADHN CC[C@@H]1C[C@H](CNCc2cccc(Cl)c2Cl)CCO1 ZINC000543728504 341055455 /nfs/dbraw/zinc/05/54/55/341055455.db2.gz AYYPHPDCQXPBHB-DGCLKSJQSA-N 0 3 302.245 4.288 20 0 DIADHN CSC[C@@H](NC[C@@H](C)SC)c1ccc(C(F)(F)F)cc1 ZINC000543773513 341057948 /nfs/dbraw/zinc/05/79/48/341057948.db2.gz ATLQFOGPGJFSEH-ZWNOBZJWSA-N 0 3 323.449 4.451 20 0 DIADHN CSC[C@@H](NC[C@H](C)SC)c1ccc(C(F)(F)F)cc1 ZINC000543773510 341058042 /nfs/dbraw/zinc/05/80/42/341058042.db2.gz ATLQFOGPGJFSEH-GXFFZTMASA-N 0 3 323.449 4.451 20 0 DIADHN CC[C@H](O)CCCN[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC000543776382 341058142 /nfs/dbraw/zinc/05/81/42/341058142.db2.gz PAIPTIZDFPAWLB-XJKSGUPXSA-N 0 3 301.833 4.317 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2CCc3ccc(F)cc32)cc1 ZINC000543775360 341058193 /nfs/dbraw/zinc/05/81/93/341058193.db2.gz BZDOORBEIKUCOZ-BUXKBTBVSA-N 0 3 312.388 4.122 20 0 DIADHN COC(C)(C)CN[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000543775330 341058198 /nfs/dbraw/zinc/05/81/98/341058198.db2.gz KBPLDPHOZGGPOK-CYBMUJFWSA-N 0 3 321.408 4.124 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC2(C)CCOCC2)o1 ZINC000131155033 341063188 /nfs/dbraw/zinc/06/31/88/341063188.db2.gz BTOVSXOPPQJMQC-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cc(C)nc2ccccc12 ZINC000543981697 341064993 /nfs/dbraw/zinc/06/49/93/341064993.db2.gz JFHKMOUHMWETAO-OAHLLOKOSA-N 0 3 305.425 4.351 20 0 DIADHN Clc1ccc(CN2CCC(CCCn3ccnc3)CC2)cc1 ZINC000543988395 341065253 /nfs/dbraw/zinc/06/52/53/341065253.db2.gz NHQCSKWKPRGKGT-UHFFFAOYSA-N 0 3 317.864 4.229 20 0 DIADHN COc1ccccc1[C@H](N[C@H]1CCCSC1)c1ccccc1 ZINC000544035301 341067384 /nfs/dbraw/zinc/06/73/84/341067384.db2.gz DQDSXQAQLTZGKH-QFBILLFUSA-N 0 3 313.466 4.270 20 0 DIADHN CCOC(=O)C1CCN([C@@H](C)c2ccc(C(C)C)cc2)CC1 ZINC000544070902 341069161 /nfs/dbraw/zinc/06/91/61/341069161.db2.gz ODQUHLHGSQDFEW-HNNXBMFYSA-N 0 3 303.446 4.146 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCc2ccc(F)c(F)c2)o1 ZINC000131275929 341072486 /nfs/dbraw/zinc/07/24/86/341072486.db2.gz URZIJKFQNWBFIN-QGZVFWFLSA-N 0 3 321.367 4.084 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(OCC3CC3)nc2)c(C)s1 ZINC000131277324 341072488 /nfs/dbraw/zinc/07/24/88/341072488.db2.gz WWLDYDUIXBXCAG-ZDUSSCGKSA-N 0 3 316.470 4.400 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@]1(C)CCO[C@@H]1C ZINC000544185613 341073270 /nfs/dbraw/zinc/07/32/70/341073270.db2.gz QGCLDGOXGSEYCF-QRWLVFNGSA-N 0 3 311.425 4.019 20 0 DIADHN C[C@@H](c1nc(Cc2ccccc2)no1)N1CC[C@@H](C(C)(C)C)C1 ZINC000544186991 341073585 /nfs/dbraw/zinc/07/35/85/341073585.db2.gz OAYRYELYOAMDLK-GOEBONIOSA-N 0 3 313.445 4.089 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2cccc(O)c2)cc2cccnc12 ZINC000544349709 341077358 /nfs/dbraw/zinc/07/73/58/341077358.db2.gz LJCCLVRHTUPUBZ-HNNXBMFYSA-N 0 3 306.409 4.442 20 0 DIADHN CC(C)c1cnc(CN2CCC[C@@H]2Cc2ccccc2)s1 ZINC000544352593 341077551 /nfs/dbraw/zinc/07/75/51/341077551.db2.gz GSLGOEAENQRMRR-MRXNPFEDSA-N 0 3 300.471 4.474 20 0 DIADHN CC(C)[C@@H](C)CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000544359301 341077979 /nfs/dbraw/zinc/07/79/79/341077979.db2.gz MEZZKXWEHKOHKK-UGSOOPFHSA-N 0 3 304.384 4.331 20 0 DIADHN CC(C)[C@H](C)CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000544359299 341077995 /nfs/dbraw/zinc/07/79/95/341077995.db2.gz MEZZKXWEHKOHKK-FZKQIMNGSA-N 0 3 304.384 4.331 20 0 DIADHN Cc1cccc(CCN[C@H](c2ccc(F)cc2)c2ccccn2)c1 ZINC000544359199 341078043 /nfs/dbraw/zinc/07/80/43/341078043.db2.gz GMGYGACIIIMHIT-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@@H](CCO)C1(CN[C@H](c2ccco2)c2ccccc2)CCC1 ZINC000544379648 341079213 /nfs/dbraw/zinc/07/92/13/341079213.db2.gz JAHSULQOUQWVOD-LPHOPBHVSA-N 0 3 313.441 4.147 20 0 DIADHN Fc1ccc([C@@H](NC2CCC(F)(F)CC2)c2ccccc2)nc1 ZINC000544380155 341079355 /nfs/dbraw/zinc/07/93/55/341079355.db2.gz YTWWCJQLLKHUDY-KRWDZBQOSA-N 0 3 320.358 4.478 20 0 DIADHN Clc1cc(CN[C@@H](c2cccs2)C2CC2)cc2c1OCO2 ZINC000544397757 341080104 /nfs/dbraw/zinc/08/01/04/341080104.db2.gz JVXKXOQCIHUWDR-OAHLLOKOSA-N 0 3 321.829 4.371 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)[C@@H]2CCC[C@@H](C)C2)s1 ZINC000544758771 341092980 /nfs/dbraw/zinc/09/29/80/341092980.db2.gz VZIHBHLFWITKMO-MWLCHTKSSA-N 0 3 306.397 4.481 20 0 DIADHN Cc1csc([C@H](NCCCc2cccc(C)c2)C2CC2)n1 ZINC000544446459 341082469 /nfs/dbraw/zinc/08/24/69/341082469.db2.gz MYQCRVFSYYENNG-QGZVFWFLSA-N 0 3 300.471 4.433 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000544448433 341082551 /nfs/dbraw/zinc/08/25/51/341082551.db2.gz UFUZBHSRNBLGCB-LSDHHAIUSA-N 0 3 310.507 4.120 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](CC(C)C)c1cccs1 ZINC000544451859 341082838 /nfs/dbraw/zinc/08/28/38/341082838.db2.gz HVLNGFSJGAUHAF-INIZCTEOSA-N 0 3 316.470 4.372 20 0 DIADHN COc1ccccc1C[C@@H](NCc1nc2ccccc2o1)C(C)C ZINC000544455119 341083032 /nfs/dbraw/zinc/08/30/32/341083032.db2.gz OMRBIVCJGSAGID-QGZVFWFLSA-N 0 3 324.424 4.193 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)c(F)c1 ZINC000544469780 341083555 /nfs/dbraw/zinc/08/35/55/341083555.db2.gz QVJSWKBDEUESGW-GFCCVEGCSA-N 0 3 320.433 4.048 20 0 DIADHN CC(C)n1cc([C@H](C)NCCSc2ccc(F)cc2)cn1 ZINC000544468492 341083566 /nfs/dbraw/zinc/08/35/66/341083566.db2.gz MLIHVVFGQIICFK-ZDUSSCGKSA-N 0 3 307.438 4.046 20 0 DIADHN Cc1csc([C@@H](C)NCCCOc2c(C)cccc2C)n1 ZINC000544476311 341083929 /nfs/dbraw/zinc/08/39/29/341083929.db2.gz CHGMZDPYWGALPH-OAHLLOKOSA-N 0 3 304.459 4.188 20 0 DIADHN C[C@H](NCc1nc2ccccc2s1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000544513789 341084628 /nfs/dbraw/zinc/08/46/28/341084628.db2.gz FQMZDDPGRSAYAO-WTOJCKNJSA-N 0 3 324.449 4.339 20 0 DIADHN C[C@@H](NCc1c(F)cccc1Cl)[C@@H]1COc2ccccc21 ZINC000544521101 341084764 /nfs/dbraw/zinc/08/47/64/341084764.db2.gz GFZQZYAQNGLBJN-RISCZKNCSA-N 0 3 305.780 4.133 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](c2ccc(C)o2)C2CCC2)cc1 ZINC000544567882 341085961 /nfs/dbraw/zinc/08/59/61/341085961.db2.gz JWNULTHGXWZMIW-LJQANCHMSA-N 0 3 312.413 4.177 20 0 DIADHN OCC[C@@H](N[C@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000544567458 341086035 /nfs/dbraw/zinc/08/60/35/341086035.db2.gz SKMDXGYIFIJPRT-MOPGFXCFSA-N 0 3 315.844 4.431 20 0 DIADHN OCC[C@@H](N[C@@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000544567459 341086079 /nfs/dbraw/zinc/08/60/79/341086079.db2.gz SKMDXGYIFIJPRT-RTBURBONSA-N 0 3 315.844 4.431 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc2c(s1)CCCC2)c1ccccc1 ZINC000544574316 341086555 /nfs/dbraw/zinc/08/65/55/341086555.db2.gz QXROQZHVJUVTFD-KGLIPLIRSA-N 0 3 300.471 4.476 20 0 DIADHN Cc1c(CN[C@H](C)c2ccc(-c3ccccc3)s2)cnn1C ZINC000544602742 341088197 /nfs/dbraw/zinc/08/81/97/341088197.db2.gz CFCQBONTNGJSHJ-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN CCCCn1cc(CNC/C=C/c2ccc(F)cc2F)c(C)n1 ZINC000544610528 341088970 /nfs/dbraw/zinc/08/89/70/341088970.db2.gz QJGQJRFWZMDWPC-AATRIKPKSA-N 0 3 319.399 4.073 20 0 DIADHN CC[C@@H](N[C@@H](CC)CSc1ccccc1)c1ccn(C)n1 ZINC000544614896 341089209 /nfs/dbraw/zinc/08/92/09/341089209.db2.gz IHCSBUVWBUKBBW-GOEBONIOSA-N 0 3 303.475 4.032 20 0 DIADHN C[C@@H]1N(Cc2cc(Cl)cc(Cl)c2)CCC12CCOCC2 ZINC000544617169 341089319 /nfs/dbraw/zinc/08/93/19/341089319.db2.gz SRZYCZHQMSZXTP-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000544616165 341089385 /nfs/dbraw/zinc/08/93/85/341089385.db2.gz AKUNSLQIQPOUAM-ZBFHGGJFSA-N 0 3 323.795 4.424 20 0 DIADHN CCc1ccc(CN(C)[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000544625209 341089796 /nfs/dbraw/zinc/08/97/96/341089796.db2.gz CFKSRYIZTOGFNI-APWZRJJASA-N 0 3 317.404 4.333 20 0 DIADHN COCCC(C)(C)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000544706308 341091539 /nfs/dbraw/zinc/09/15/39/341091539.db2.gz QAPLMIPMXFEIOT-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)[C@H]2CCC[C@H](C)C2)s1 ZINC000544758772 341092995 /nfs/dbraw/zinc/09/29/95/341092995.db2.gz VZIHBHLFWITKMO-ONGXEEELSA-N 0 3 306.397 4.481 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccnc(N3CCCC3)c2)s1 ZINC000131525639 341093797 /nfs/dbraw/zinc/09/37/97/341093797.db2.gz UINGXDUTSCTTKK-AWEZNQCLSA-N 0 3 315.486 4.157 20 0 DIADHN c1ccc(-c2noc(C3CCCC3)n2)c(CN2CCCCC2)c1 ZINC000544814955 341094528 /nfs/dbraw/zinc/09/45/28/341094528.db2.gz LKOQFJMQPXIYPB-UHFFFAOYSA-N 0 3 311.429 4.380 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cc(OC)ccc1OC ZINC000544831066 341095374 /nfs/dbraw/zinc/09/53/74/341095374.db2.gz TWLWZIOZMQLOGF-WDEREUQCSA-N 0 3 305.340 4.085 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc(F)cc1N(C)C ZINC000544833136 341095578 /nfs/dbraw/zinc/09/55/78/341095578.db2.gz PYRFBBUHXZFHBX-CMPLNLGQSA-N 0 3 306.347 4.273 20 0 DIADHN Cc1ccc2ncc(CN[C@H](C)c3ccc4c(c3)CCCC4)n2c1 ZINC000544842053 341095917 /nfs/dbraw/zinc/09/59/17/341095917.db2.gz ZHSYIIVXZNVTRE-MRXNPFEDSA-N 0 3 319.452 4.372 20 0 DIADHN C1=C[C@H](Cc2nc(-c3ccccc3CN3CCCCC3)no2)CC1 ZINC000544883249 341097308 /nfs/dbraw/zinc/09/73/08/341097308.db2.gz YEMMSLHEZLPBHC-INIZCTEOSA-N 0 3 323.440 4.231 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)c1c(C)cccc1C ZINC000544895895 341097832 /nfs/dbraw/zinc/09/78/32/341097832.db2.gz VJXVFIWOVGETFN-KRWDZBQOSA-N 0 3 324.468 4.464 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2c(C)cccc2C)c(F)c1 ZINC000544895930 341097909 /nfs/dbraw/zinc/09/79/09/341097909.db2.gz WZAMGMRAHVCNLZ-HNNXBMFYSA-N 0 3 314.404 4.040 20 0 DIADHN COc1ncc(CN[C@@H](C)c2c(C)cccc2C)cc1Cl ZINC000544895927 341097952 /nfs/dbraw/zinc/09/79/52/341097952.db2.gz WUMMZYMZDREWJH-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN OC[C@H](C1CC1)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC000544939005 341100328 /nfs/dbraw/zinc/10/03/28/341100328.db2.gz IRQCZRSLDOSQMB-MRXNPFEDSA-N 0 3 321.873 4.175 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1c(C)nn(C(C)(C)C)c1C ZINC000544942167 341100466 /nfs/dbraw/zinc/10/04/66/341100466.db2.gz BRPQYDGRADJDFU-GFCCVEGCSA-N 0 3 305.388 4.076 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(C(=O)OC)c(F)c2)cc1 ZINC000131599190 341100735 /nfs/dbraw/zinc/10/07/35/341100735.db2.gz PRDQBZMCOVDMLE-CYBMUJFWSA-N 0 3 315.388 4.026 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000131866824 341112851 /nfs/dbraw/zinc/11/28/51/341112851.db2.gz DBOIERBZBHSWOM-NEPJUHHUSA-N 0 3 309.356 4.127 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nc4ccccc4s3)C2)cn1 ZINC000545199382 341113245 /nfs/dbraw/zinc/11/32/45/341113245.db2.gz ZPWKBQBSONZGNI-INIZCTEOSA-N 0 3 323.465 4.379 20 0 DIADHN CCOC[C@@H](N[C@@H](C)c1sccc1Br)C(C)C ZINC000233748317 341115362 /nfs/dbraw/zinc/11/53/62/341115362.db2.gz AHKQTJZOCVWXKE-CMPLNLGQSA-N 0 3 320.296 4.222 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CCCc2c(C)ccc(C)c21 ZINC000545310461 341120536 /nfs/dbraw/zinc/12/05/36/341120536.db2.gz PTRMNFXMVJWVNR-UXHICEINSA-N 0 3 309.453 4.312 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@@H](NCc1ccccc1)CCC2 ZINC000132371152 341121616 /nfs/dbraw/zinc/12/16/16/341121616.db2.gz VSZUTWONGYINOZ-HNNXBMFYSA-N 0 3 306.331 4.268 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@H](NCc1cccs1)CCC2 ZINC000132386352 341121747 /nfs/dbraw/zinc/12/17/47/341121747.db2.gz AODFEIHCIYBQIK-CQSZACIVSA-N 0 3 312.360 4.329 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)N[C@@H](C)Cc1ccccc1F ZINC000132396804 341121931 /nfs/dbraw/zinc/12/19/31/341121931.db2.gz VBVUFUDRWBCUHX-UONOGXRCSA-N 0 3 317.452 4.367 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000564288923 341122318 /nfs/dbraw/zinc/12/23/18/341122318.db2.gz QCWQMUPNDMJISI-QJPTWQEYSA-N 0 3 307.825 4.475 20 0 DIADHN Cc1ncsc1CN[C@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000132428414 341122782 /nfs/dbraw/zinc/12/27/82/341122782.db2.gz PFPDCOZUFCTIPZ-GHMZBOCLSA-N 0 3 317.483 4.343 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000545457457 341125788 /nfs/dbraw/zinc/12/57/88/341125788.db2.gz OWMXOFSMGWCFQO-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H]1CCCc2ccc(OC)cc21 ZINC000545459117 341126003 /nfs/dbraw/zinc/12/60/03/341126003.db2.gz GZBYDHDFMPAQDA-DOMZBBRYSA-N 0 3 301.352 4.393 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC000545517828 341128093 /nfs/dbraw/zinc/12/80/93/341128093.db2.gz BJWWGRBRLJEWOU-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1c(C)cccc1C ZINC000545519170 341128263 /nfs/dbraw/zinc/12/82/63/341128263.db2.gz BGPBSFXVHXXCCQ-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2ccc(F)cn2)CCC1 ZINC000545519510 341128265 /nfs/dbraw/zinc/12/82/65/341128265.db2.gz GRQWCRUXOWSAJM-CQSZACIVSA-N 0 3 314.404 4.002 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1ccc(C)cc1Cl ZINC000545520872 341128486 /nfs/dbraw/zinc/12/84/86/341128486.db2.gz WVHRVEILIDNBLZ-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](C)c2c(C)cccc2C)s1 ZINC000545557495 341130391 /nfs/dbraw/zinc/13/03/91/341130391.db2.gz ROHWRRBBATVPMS-HNNXBMFYSA-N 0 3 317.502 4.457 20 0 DIADHN Fc1ccccc1-c1noc(-c2cccc(CN3CCCC3)c2)n1 ZINC000545588653 341132147 /nfs/dbraw/zinc/13/21/47/341132147.db2.gz KZJDCGNCIVLJGJ-UHFFFAOYSA-N 0 3 323.371 4.139 20 0 DIADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1ccccc1OC(F)(F)F ZINC000545599217 341132577 /nfs/dbraw/zinc/13/25/77/341132577.db2.gz DNXTZOUULSLBEY-RISCZKNCSA-N 0 3 319.367 4.033 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3ccccc32)c(C)c1OC ZINC000564294741 341143047 /nfs/dbraw/zinc/14/30/47/341143047.db2.gz ITJWBBFGHXARIG-GOSISDBHSA-N 0 3 311.425 4.132 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC([C@](C)(O)C(F)(F)F)CC1 ZINC000545932208 341146987 /nfs/dbraw/zinc/14/69/87/341146987.db2.gz QFNOLAOEPUXMRK-CVEARBPZSA-N 0 3 315.379 4.163 20 0 DIADHN CC(C)(C)c1ncc(CNC2CC(c3ccccc3)C2)s1 ZINC000545961414 341148506 /nfs/dbraw/zinc/14/85/06/341148506.db2.gz MKIRQZGUYGIELQ-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@H](NC1CC(C)(c2ccccc2)C1)c1nc2ccccc2n1C ZINC000545978911 341149285 /nfs/dbraw/zinc/14/92/85/341149285.db2.gz RHEBGKCAMRTRPL-NNDYNSRJSA-N 0 3 319.452 4.344 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2cncs2)CCCC1 ZINC000132920731 341149563 /nfs/dbraw/zinc/14/95/63/341149563.db2.gz MOVQIXFGLCJZMA-AWEZNQCLSA-N 0 3 316.470 4.314 20 0 DIADHN Cc1ncsc1CN1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000546011411 341150853 /nfs/dbraw/zinc/15/08/53/341150853.db2.gz DMHGZYHEHVLGEW-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc(OC)c(OC)c1 ZINC000546165323 341155452 /nfs/dbraw/zinc/15/54/52/341155452.db2.gz DTIRJPIPYCXXEN-JQWIXIFHSA-N 0 3 305.340 4.085 20 0 DIADHN COCCOc1ccc(CN[C@@H](C)c2c(C)cccc2C)cc1 ZINC000546178683 341156203 /nfs/dbraw/zinc/15/62/03/341156203.db2.gz TYYZYBYHANHFJJ-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CCCC[C@@H](CC)CN1CCCN(C(=O)c2ccsc2)CC1 ZINC000546222335 341157697 /nfs/dbraw/zinc/15/76/97/341157697.db2.gz GZNWCGLQEOJSTC-MRXNPFEDSA-N 0 3 322.518 4.112 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC1CC(c3cc(F)cc(F)c3)C1)CO2 ZINC000564301113 341158542 /nfs/dbraw/zinc/15/85/42/341158542.db2.gz DWRQDHFWONSBDK-PVCYVWKFSA-N 0 3 315.363 4.243 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](CC(C)C)C3)o2)cc1 ZINC000074994638 341159264 /nfs/dbraw/zinc/15/92/64/341159264.db2.gz XEOMIBJIJQKZJB-JKSUJKDBSA-N 0 3 313.445 4.474 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cc(C)c(O)c(C)c1 ZINC000564301635 341160917 /nfs/dbraw/zinc/16/09/17/341160917.db2.gz XHMWANQPHOADNO-GOSISDBHSA-N 0 3 311.425 4.185 20 0 DIADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000546309829 341162632 /nfs/dbraw/zinc/16/26/32/341162632.db2.gz IIPZUUIBAMCMSV-KDOFPFPSSA-N 0 3 301.430 4.394 20 0 DIADHN CS[C@@H](C)c1nc(-c2ccccc2CN2CCCCC2)no1 ZINC000546406475 341167063 /nfs/dbraw/zinc/16/70/63/341167063.db2.gz SXFPDNBYOYVONT-ZDUSSCGKSA-N 0 3 317.458 4.147 20 0 DIADHN C[C@@]1(NCc2c[nH]c(-c3ccccc3)n2)CCCc2ccccc21 ZINC000546580155 341173391 /nfs/dbraw/zinc/17/33/91/341173391.db2.gz WYZFNRIEZOQWEU-OAQYLSRUSA-N 0 3 317.436 4.418 20 0 DIADHN C[C@@]1(NCc2cnc(-c3ccccc3)[nH]2)CCCc2ccccc21 ZINC000546580155 341173392 /nfs/dbraw/zinc/17/33/92/341173392.db2.gz WYZFNRIEZOQWEU-OAQYLSRUSA-N 0 3 317.436 4.418 20 0 DIADHN Fc1ccc(CNCC2CCC(C(F)(F)F)CC2)c(F)c1 ZINC000546632834 341176334 /nfs/dbraw/zinc/17/63/34/341176334.db2.gz SQYBYUXAAHLTFE-UHFFFAOYSA-N 0 3 307.306 4.423 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc(C3CCC3)c2)c1 ZINC000546674788 341178386 /nfs/dbraw/zinc/17/83/86/341178386.db2.gz KZAMOEXSDKKBDO-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN OCc1ccc(CNCC2(Cc3ccccc3)CCCCC2)o1 ZINC000546728970 341180842 /nfs/dbraw/zinc/18/08/42/341180842.db2.gz ZDUWLSODEANQJT-UHFFFAOYSA-N 0 3 313.441 4.055 20 0 DIADHN c1ccc(-c2noc(CN[C@H]3CCC[C@@H]3c3ccccc3)n2)cc1 ZINC000133659741 341181009 /nfs/dbraw/zinc/18/10/09/341181009.db2.gz XXWDNBASYVONSD-MSOLQXFVSA-N 0 3 319.408 4.163 20 0 DIADHN COc1ccc(CCN(Cc2ncc(C)o2)CC(C)(C)C)cc1 ZINC000075745536 341181854 /nfs/dbraw/zinc/18/18/54/341181854.db2.gz RZVOMUVZZMKCQN-UHFFFAOYSA-N 0 3 316.445 4.082 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cc(OC)c(OC)cc1C ZINC000546870109 341184306 /nfs/dbraw/zinc/18/43/06/341184306.db2.gz IHKRBNPGZRISME-VXGBXAGGSA-N 0 3 319.367 4.394 20 0 DIADHN CCC(CC)(CN[C@H](C)c1cc2ccccc2o1)C(=O)OC ZINC000546871605 341184537 /nfs/dbraw/zinc/18/45/37/341184537.db2.gz ARVGZWHUJNBRIU-CYBMUJFWSA-N 0 3 303.402 4.063 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cccc(OC(F)(F)F)c1 ZINC000546881904 341185346 /nfs/dbraw/zinc/18/53/46/341185346.db2.gz NPASAGKDGXZTIY-JTQLQIEISA-N 0 3 315.257 4.406 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](C)c3c(C)cccc3C)c(C)n2n1 ZINC000546935000 341187523 /nfs/dbraw/zinc/18/75/23/341187523.db2.gz HXBUTMMVECBNLC-HZPDHXFCSA-N 0 3 322.456 4.375 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](C)c3c(C)cccc3C)c(C)n2n1 ZINC000546934999 341187540 /nfs/dbraw/zinc/18/75/40/341187540.db2.gz HXBUTMMVECBNLC-HOTGVXAUSA-N 0 3 322.456 4.375 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2sccc21)c1c(C)nn(C)c1C ZINC000133790598 341188344 /nfs/dbraw/zinc/18/83/44/341188344.db2.gz ZWPBIRAMPJJHQN-HUUCEWRRSA-N 0 3 303.475 4.217 20 0 DIADHN C[C@@H](NC1Cc2ccccc2C1)c1ccc(F)cc1OC(F)F ZINC000547278815 341203328 /nfs/dbraw/zinc/20/33/28/341203328.db2.gz DRQOBEXWGIYGOE-LLVKDONJSA-N 0 3 321.342 4.245 20 0 DIADHN CC(C)c1nc(CCNCc2ccc([C@@H]3C[C@H]3C)o2)cs1 ZINC000236893470 341204106 /nfs/dbraw/zinc/20/41/06/341204106.db2.gz XEKDOECMBLDJAC-IUODEOHRSA-N 0 3 304.459 4.315 20 0 DIADHN Cc1cc(CN[C@@H](CCc2ccccc2)Cc2ccccc2)no1 ZINC000547313131 341205039 /nfs/dbraw/zinc/20/50/39/341205039.db2.gz AQWDFTZBYQNDKN-FQEVSTJZSA-N 0 3 320.436 4.317 20 0 DIADHN C[C@H](NCC(C1CC1)C1CC1)c1nc(C(F)(F)F)cs1 ZINC000547352899 341208121 /nfs/dbraw/zinc/20/81/21/341208121.db2.gz VSXTZNVFTCHZMX-QMMMGPOBSA-N 0 3 304.381 4.249 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2cnc(-c3ccccc3)s2)C1(C)C ZINC000237163859 341208317 /nfs/dbraw/zinc/20/83/17/341208317.db2.gz JTGOEZVGRZMQEH-QAPCUYQASA-N 0 3 316.470 4.103 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2cnc(-c3ccccc3)s2)C1(C)C ZINC000237165496 341208325 /nfs/dbraw/zinc/20/83/25/341208325.db2.gz JTGOEZVGRZMQEH-YJBOKZPZSA-N 0 3 316.470 4.103 20 0 DIADHN CCCC(C)(C)NCc1csc(COc2ccccc2)n1 ZINC000076496836 341208340 /nfs/dbraw/zinc/20/83/40/341208340.db2.gz FQEBQLYGSMHIQS-UHFFFAOYSA-N 0 3 304.459 4.390 20 0 DIADHN CC1CC(NCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)C1 ZINC000547356172 341208526 /nfs/dbraw/zinc/20/85/26/341208526.db2.gz FOASWPTZVXPQHQ-SIWZUTFBSA-N 0 3 312.482 4.304 20 0 DIADHN Cc1cnc(CN[C@@H](C)Cc2cccc(C(F)(F)F)c2)s1 ZINC000547397626 341210985 /nfs/dbraw/zinc/21/09/85/341210985.db2.gz RJIBMVPCAPRBOW-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCCCOCc2ccccc2)o1 ZINC000237360878 341212500 /nfs/dbraw/zinc/21/25/00/341212500.db2.gz QTACTXDZGUPDDG-APWZRJJASA-N 0 3 313.441 4.490 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H](CN2CCCC2)c2ccccc2)o1 ZINC000237373702 341212729 /nfs/dbraw/zinc/21/27/29/341212729.db2.gz CRXWCVFCNVIBGN-UXPWSPDFSA-N 0 3 324.468 4.330 20 0 DIADHN C[C@@H](Cc1ccsc1)NC(C)(C)c1nc2ccccc2n1C ZINC000547449189 341214387 /nfs/dbraw/zinc/21/43/87/341214387.db2.gz RPRPZVQSLWBEBV-ZDUSSCGKSA-N 0 3 313.470 4.091 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000134386136 341214437 /nfs/dbraw/zinc/21/44/37/341214437.db2.gz SMSQWMAFHNITJQ-MAUKXSAKSA-N 0 3 317.477 4.181 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2nc3c(s2)CCCC3)o1 ZINC000237666402 341217703 /nfs/dbraw/zinc/21/77/03/341217703.db2.gz JYEYSMRAHBEISB-GXTWGEPZSA-N 0 3 316.470 4.071 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCO[C@H]2CC(C)C)ccc1F ZINC000547508259 341218980 /nfs/dbraw/zinc/21/89/80/341218980.db2.gz IQQUUNRQFBWSSD-XCRHUMRWSA-N 0 3 309.425 4.079 20 0 DIADHN C[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Oc2ccccc2)cc1 ZINC000134621164 341220232 /nfs/dbraw/zinc/22/02/32/341220232.db2.gz OBUWERFKARRRIQ-SOLBZPMBSA-N 0 3 309.409 4.067 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCc2cccc3cc[nH]c32)c1 ZINC000237773462 341220367 /nfs/dbraw/zinc/22/03/67/341220367.db2.gz MGJQHUGIAIHVKB-UHFFFAOYSA-N 0 3 316.351 4.368 20 0 DIADHN OCCCCCN[C@@H](c1ccc(F)cc1)c1ccccc1Cl ZINC000134648780 341220781 /nfs/dbraw/zinc/22/07/81/341220781.db2.gz YMAQDSYFURIKTN-SFHVURJKSA-N 0 3 321.823 4.321 20 0 DIADHN OCCCCCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000134661573 341221411 /nfs/dbraw/zinc/22/14/11/341221411.db2.gz SPPFYRSLUIEHKA-SFHVURJKSA-N 0 3 321.823 4.321 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](NC1CCCCC1)c1ccccc1 ZINC000237914678 341222834 /nfs/dbraw/zinc/22/28/34/341222834.db2.gz PFXYOCPXAOFCOU-IBGZPJMESA-N 0 3 308.425 4.289 20 0 DIADHN C[C@@H]1CCCCCN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000547624347 341223607 /nfs/dbraw/zinc/22/36/07/341223607.db2.gz YYCUBVOKZRODAR-CYBMUJFWSA-N 0 3 315.373 4.014 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C(C)C)C1CCC1 ZINC000077358633 341223719 /nfs/dbraw/zinc/22/37/19/341223719.db2.gz IPIAQTXWLOEYJR-UHFFFAOYSA-N 0 3 302.462 4.013 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134880688 341225885 /nfs/dbraw/zinc/22/58/85/341225885.db2.gz PAQMOZSJPWOSKE-OLZOCXBDSA-N 0 3 316.470 4.009 20 0 DIADHN C[C@@H](NC[C@@H](C)C(F)(F)F)c1cccc(Br)c1 ZINC000547830567 341236167 /nfs/dbraw/zinc/23/61/67/341236167.db2.gz PMQMJJPZHPZEAX-RKDXNWHRSA-N 0 3 310.157 4.298 20 0 DIADHN CCOc1ccc([C@H](N[C@H](CC)COC)c2ccccc2)cc1 ZINC000547868262 341238587 /nfs/dbraw/zinc/23/85/87/341238587.db2.gz YLPYCVWIQUJSSJ-UYAOXDASSA-N 0 3 313.441 4.189 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCSC2)c2ccccc2)cc1 ZINC000135762646 341245537 /nfs/dbraw/zinc/24/55/37/341245537.db2.gz VRHLIJDHLRCRGO-QZTJIDSGSA-N 0 3 301.430 4.400 20 0 DIADHN c1ccc(C2CCC(CN3CCN(C4CCC4)CC3)CC2)cc1 ZINC000548076638 341245722 /nfs/dbraw/zinc/24/57/22/341245722.db2.gz IMYYZXBNNUGXQW-UHFFFAOYSA-N 0 3 312.501 4.131 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)cc1F ZINC000135857022 341247408 /nfs/dbraw/zinc/24/74/08/341247408.db2.gz GBCHEFRIMJVSIS-VHSXEESVSA-N 0 3 311.269 4.315 20 0 DIADHN Cc1ccc(OCCN[C@@H](C)c2ccc(Cl)cn2)c(C)c1 ZINC000548155456 341249884 /nfs/dbraw/zinc/24/98/84/341249884.db2.gz GWIMPMGDVNOTBQ-AWEZNQCLSA-N 0 3 304.821 4.081 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000548159515 341250362 /nfs/dbraw/zinc/25/03/62/341250362.db2.gz MWIBLJOXOPZCQD-JSGCOSHPSA-N 0 3 305.447 4.247 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2F)cc1 ZINC000079324312 341256585 /nfs/dbraw/zinc/25/65/85/341256585.db2.gz KVEBKXFSMJMFTC-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(F)ccc2C)cc1 ZINC000079324286 341256610 /nfs/dbraw/zinc/25/66/10/341256610.db2.gz ICKCTFZZLPVZJD-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1csc(-c2ncccn2)n1 ZINC000548402916 341258914 /nfs/dbraw/zinc/25/89/14/341258914.db2.gz GZJJKXRSYLYODR-DZGCQCFKSA-N 0 3 316.474 4.001 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ncc(-c2ccccc2F)o1 ZINC000548402544 341259006 /nfs/dbraw/zinc/25/90/06/341259006.db2.gz FQGADJTUKMVPNZ-CJNGLKHVSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)c1cccc(CNCc2cccc(-n3cccn3)c2)c1 ZINC000548476286 341262766 /nfs/dbraw/zinc/26/27/66/341262766.db2.gz RDIMQUCZJCKTRX-UHFFFAOYSA-N 0 3 305.425 4.286 20 0 DIADHN COC[C@H](NCc1nc(-c2ccccc2Cl)cs1)C(C)C ZINC000548486229 341263426 /nfs/dbraw/zinc/26/34/26/341263426.db2.gz WLVMWVYWWSGRQR-AWEZNQCLSA-N 0 3 324.877 4.224 20 0 DIADHN Cn1ccnc1[C@H](NCC1(c2ccccc2)CCCCC1)C1CC1 ZINC000548586954 341266373 /nfs/dbraw/zinc/26/63/73/341266373.db2.gz MKAZZEAYCBALPL-LJQANCHMSA-N 0 3 323.484 4.363 20 0 DIADHN CCN(Cc1cn2cc(C)ccc2n1)[C@H](C)Cc1ccsc1 ZINC000548627919 341267791 /nfs/dbraw/zinc/26/77/91/341267791.db2.gz AKHBOROGXNDQMP-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN C[C@H](NCCC(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC000243401767 341269183 /nfs/dbraw/zinc/26/91/83/341269183.db2.gz MNMHTZGEOHZAFA-ZDUSSCGKSA-N 0 3 302.805 4.019 20 0 DIADHN OCC[C@@H](NCC1CCC(c2ccccc2)CC1)c1ccco1 ZINC000548728324 341270844 /nfs/dbraw/zinc/27/08/44/341270844.db2.gz CHLSOSTWOFBZHI-NKELODKYSA-N 0 3 313.441 4.267 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2nc(C)cs2)cc1 ZINC000080270971 341271988 /nfs/dbraw/zinc/27/19/88/341271988.db2.gz QRDTVHYBFITDRY-GXTWGEPZSA-N 0 3 304.459 4.132 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2nc(C)cs2)cc1 ZINC000080270977 341272061 /nfs/dbraw/zinc/27/20/61/341272061.db2.gz QRDTVHYBFITDRY-JSGCOSHPSA-N 0 3 304.459 4.132 20 0 DIADHN C[C@@H](CCC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000137267948 341273961 /nfs/dbraw/zinc/27/39/61/341273961.db2.gz JYJJNVQSPGTXGU-INIZCTEOSA-N 0 3 310.441 4.271 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000548785058 341275524 /nfs/dbraw/zinc/27/55/24/341275524.db2.gz YWRUSEVDJDPBHB-GUYCJALGSA-N 0 3 307.434 4.380 20 0 DIADHN CC(C)OC1CCN([C@@H](C)c2ccc(OC(F)F)cc2)CC1 ZINC000548846071 341277742 /nfs/dbraw/zinc/27/77/42/341277742.db2.gz WYDHPBQXFDFCPP-ZDUSSCGKSA-N 0 3 313.388 4.238 20 0 DIADHN Cc1cc([C@H](C)NCCc2ccc(OC(F)F)cc2)oc1C ZINC000548860695 341278595 /nfs/dbraw/zinc/27/85/95/341278595.db2.gz GEDIAGFBGJCLLO-LBPRGKRZSA-N 0 3 309.356 4.391 20 0 DIADHN COc1ccc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)nc1 ZINC000548934523 341281624 /nfs/dbraw/zinc/28/16/24/341281624.db2.gz INTQVPLVQSXMMT-GGPHIMKMSA-N 0 3 308.425 4.001 20 0 DIADHN Cc1ccsc1CN(C)CCC(=O)Nc1ccc(Cl)cc1 ZINC000548950184 341282126 /nfs/dbraw/zinc/28/21/26/341282126.db2.gz NCDXJDYSUBIKJK-UHFFFAOYSA-N 0 3 322.861 4.171 20 0 DIADHN CC(C)(CNCc1cnc(-c2ccco2)s1)C(F)(F)F ZINC000548975887 341282683 /nfs/dbraw/zinc/28/26/83/341282683.db2.gz MRXZKEBJCQGGTQ-UHFFFAOYSA-N 0 3 304.337 4.081 20 0 DIADHN Cc1ccc(CNCc2ccc(C)cc2-c2cnn(C)c2)c(C)c1 ZINC000548983320 341282802 /nfs/dbraw/zinc/28/28/02/341282802.db2.gz AJOZMQGUVKUBSH-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN COc1cccc(C2=CCN(C[C@@H](OC)c3ccccc3)CC2)c1 ZINC000549040992 341284395 /nfs/dbraw/zinc/28/43/95/341284395.db2.gz UJWBYNVICWPDSG-OAQYLSRUSA-N 0 3 323.436 4.172 20 0 DIADHN CCN(Cc1ccc(OC)cc1)C[C@@H]1CCC2(CCCC2)O1 ZINC000549123256 341286489 /nfs/dbraw/zinc/28/64/89/341286489.db2.gz DWSHDZOBCPBFJC-SFHVURJKSA-N 0 3 303.446 4.009 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1cc(F)cc2c1OCOC2 ZINC000549156839 341287513 /nfs/dbraw/zinc/28/75/13/341287513.db2.gz OIMFXLCZBOGUCZ-CYBMUJFWSA-N 0 3 307.409 4.093 20 0 DIADHN COc1cc(C)nc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)c1 ZINC000549168462 341287735 /nfs/dbraw/zinc/28/77/35/341287735.db2.gz KYRNREJNQCXQIK-FNLKRUPLSA-N 0 3 322.452 4.309 20 0 DIADHN CCOc1ccc([C@@H](C)NC/C=C\c2ccccc2)cc1OC ZINC000549172373 341287849 /nfs/dbraw/zinc/28/78/49/341287849.db2.gz ZACUPNCJZOIKLH-JXMNSVBMSA-N 0 3 311.425 4.458 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccsc1 ZINC000549222510 341288957 /nfs/dbraw/zinc/28/89/57/341288957.db2.gz MCJJXVPDBGYZMI-MLGOLLRUSA-N 0 3 308.878 4.355 20 0 DIADHN CC(C)(C)c1ccnc(N[C@@H](CN2CCCC2)c2ccccc2)n1 ZINC000549463305 341295130 /nfs/dbraw/zinc/29/51/30/341295130.db2.gz RLFUMSXUQMOOFR-KRWDZBQOSA-N 0 3 324.472 4.023 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@@H](C)[C@H](C)c1ccccc1 ZINC000245465124 341295631 /nfs/dbraw/zinc/29/56/31/341295631.db2.gz IHVXIGSXPWSETI-ULQDDVLXSA-N 0 3 324.468 4.358 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](CC(C)C)C3)o2)cc1 ZINC000245498932 341297717 /nfs/dbraw/zinc/29/77/17/341297717.db2.gz XEOMIBJIJQKZJB-HOTGVXAUSA-N 0 3 313.445 4.474 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)[C@@H]2C[C@H]2c2ccccc2)[n-]1 ZINC000549610772 341298827 /nfs/dbraw/zinc/29/88/27/341298827.db2.gz KURBXUUAICQUSJ-QZLJAVCYSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)N[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000549610772 341298828 /nfs/dbraw/zinc/29/88/28/341298828.db2.gz KURBXUUAICQUSJ-QZLJAVCYSA-N 0 3 312.461 4.161 20 0 DIADHN CCOCc1ccc(CNCc2cc(C)cc(Cl)c2)cc1 ZINC000549709939 341301002 /nfs/dbraw/zinc/30/10/02/341301002.db2.gz YSIMAQQTJZYICW-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN COc1ccc([C@@H]2CCN([C@@H](C)c3nc4ccccc4o3)C2)cc1 ZINC000245553962 341301603 /nfs/dbraw/zinc/30/16/03/341301603.db2.gz RXDVZXXXZXDNOR-GOEBONIOSA-N 0 3 322.408 4.387 20 0 DIADHN COc1ccc([C@@H]2CCN([C@H](C)c3nc4ccccc4o3)C2)cc1 ZINC000245553960 341301619 /nfs/dbraw/zinc/30/16/19/341301619.db2.gz RXDVZXXXZXDNOR-GDBMZVCRSA-N 0 3 322.408 4.387 20 0 DIADHN CSCc1cnc(CN[C@H](C)[C@@H](C)c2ccccc2)s1 ZINC000549761096 341302364 /nfs/dbraw/zinc/30/23/64/341302364.db2.gz UHWZBHVTRRXKNT-CHWSQXEVSA-N 0 3 306.500 4.288 20 0 DIADHN CSCc1cnc(CN[C@H](C)[C@H](C)c2ccccc2)s1 ZINC000549761098 341302527 /nfs/dbraw/zinc/30/25/27/341302527.db2.gz UHWZBHVTRRXKNT-QWHCGFSZSA-N 0 3 306.500 4.288 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NC1C[C@H](C)O[C@@H](C)C1 ZINC000245574073 341303029 /nfs/dbraw/zinc/30/30/29/341303029.db2.gz DDPNRLMOWALVDJ-AVGNSLFASA-N 0 3 311.853 4.345 20 0 DIADHN CCc1nocc1CNC1CCC(c2ccc(F)cc2)CC1 ZINC000549805083 341303528 /nfs/dbraw/zinc/30/35/28/341303528.db2.gz RGZRJDZQUSGKBQ-UHFFFAOYSA-N 0 3 302.393 4.192 20 0 DIADHN Cn1cc(CNCc2cc3ccccc3s2)c(C(C)(C)C)n1 ZINC000549828910 341304371 /nfs/dbraw/zinc/30/43/71/341304371.db2.gz QVPCYLVVARXTLV-UHFFFAOYSA-N 0 3 313.470 4.222 20 0 DIADHN CCCn1nc(C)c(CNCc2cc3ccccc3s2)c1C ZINC000549833656 341304484 /nfs/dbraw/zinc/30/44/84/341304484.db2.gz VYJSYFGUVBBIMI-UHFFFAOYSA-N 0 3 313.470 4.414 20 0 DIADHN CCOCC(C)(C)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000549859649 341305218 /nfs/dbraw/zinc/30/52/18/341305218.db2.gz XOZHPAAPGNZXQP-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN CCc1ccc(CN2CC[C@@H](CSc3ccccc3)C2)nc1 ZINC000549862380 341305347 /nfs/dbraw/zinc/30/53/47/341305347.db2.gz ONLHZZUBTSSUFA-QGZVFWFLSA-N 0 3 312.482 4.258 20 0 DIADHN CCc1cnc(CNCCc2cc3ccccc3s2)s1 ZINC000549883644 341305766 /nfs/dbraw/zinc/30/57/66/341305766.db2.gz HBUKXUCQBSOVLT-UHFFFAOYSA-N 0 3 302.468 4.253 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2cnn3ccccc23)C1 ZINC000549934540 341307363 /nfs/dbraw/zinc/30/73/63/341307363.db2.gz RVFQDSAZHXCUDS-CTYIDZIISA-N 0 3 311.816 4.024 20 0 DIADHN Cc1cc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)no1 ZINC000549988153 341308302 /nfs/dbraw/zinc/30/83/02/341308302.db2.gz OUWOULGNNJYAPA-QGZVFWFLSA-N 0 3 306.837 4.383 20 0 DIADHN c1ccc(CN2CC[C@H](Sc3nc4ccccc4o3)C2)cc1 ZINC000550003536 341308558 /nfs/dbraw/zinc/30/85/58/341308558.db2.gz OELYCKKOQVWWFD-HNNXBMFYSA-N 0 3 310.422 4.194 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccoc3)n2)[C@H]2CCC[C@@H]21 ZINC000550082667 341309694 /nfs/dbraw/zinc/30/96/94/341309694.db2.gz UKNZTIKWXYXWND-GJZGRUSLSA-N 0 3 302.443 4.414 20 0 DIADHN CSCc1cnc(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)s1 ZINC000550080586 341309724 /nfs/dbraw/zinc/30/97/24/341309724.db2.gz UTUIHIHVFUMLJW-HZSPNIEDSA-N 0 3 310.532 4.455 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc(F)c(CN(C)C)c2)c1 ZINC000550148045 341311035 /nfs/dbraw/zinc/31/10/35/341311035.db2.gz KLDIWQQRFYTOFK-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN CCN(c1ccccc1)c1ccc(CN[C@H](C)c2cn[nH]c2)cc1 ZINC000550153948 341311199 /nfs/dbraw/zinc/31/11/99/341311199.db2.gz CJUREFXESVBLMX-MRXNPFEDSA-N 0 3 320.440 4.419 20 0 DIADHN CCCCN(Cc1nccn1CC(F)(F)F)C1CCCCC1 ZINC000550159488 341311501 /nfs/dbraw/zinc/31/15/01/341311501.db2.gz NFVAHMSRNIOEJS-UHFFFAOYSA-N 0 3 317.399 4.380 20 0 DIADHN CCN(CCNCc1cc(C)cc(Cl)c1)CCC(F)(F)F ZINC000550213071 341312664 /nfs/dbraw/zinc/31/26/64/341312664.db2.gz GODWBEYBQJGOFJ-UHFFFAOYSA-N 0 3 322.802 4.012 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000550225546 341312790 /nfs/dbraw/zinc/31/27/90/341312790.db2.gz KSXLNQRQOPFJJP-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1nc(CNCC[C@H](c2ccccc2)C(F)(F)F)cs1 ZINC000550225853 341312966 /nfs/dbraw/zinc/31/29/66/341312966.db2.gz USZQPFHZMLZMCD-CQSZACIVSA-N 0 3 314.376 4.277 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cccc(F)c1 ZINC000245832892 341315698 /nfs/dbraw/zinc/31/56/98/341315698.db2.gz BYTZIQIYCUAXBN-PDSMFRHLSA-N 0 3 321.342 4.285 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccc(F)c3)o2)[C@H]2CCC[C@@H]21 ZINC000550437696 341317166 /nfs/dbraw/zinc/31/71/66/341317166.db2.gz DYJCJXSKDIDVTG-HOTGVXAUSA-N 0 3 314.404 4.491 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@@H](c1ccsc1)c1ccc(Cl)cc1 ZINC000245945249 341319158 /nfs/dbraw/zinc/31/91/58/341319158.db2.gz NTCAFIQZGBJBOJ-IUIKQTSFSA-N 0 3 307.846 4.258 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@H](c2ccccc2)c2ccccn2)C1 ZINC000245963157 341320098 /nfs/dbraw/zinc/32/00/98/341320098.db2.gz MWPKTDUWPBBVPD-ZHALLVOQSA-N 0 3 312.482 4.435 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@@H](c1cccs1)c1ccc(Cl)cc1 ZINC000245963286 341320115 /nfs/dbraw/zinc/32/01/15/341320115.db2.gz SVDZGULFEBREQH-HZUKXOBISA-N 0 3 307.846 4.258 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(C2CC2)c1)c1ccc(NC(N)=O)cc1 ZINC000550665108 341320887 /nfs/dbraw/zinc/32/08/87/341320887.db2.gz FHAHONQRISFCGL-KBPBESRZSA-N 0 3 323.440 4.466 20 0 DIADHN FC(F)(F)c1csc(CN[C@H]2CCCC23CCCC3)n1 ZINC000550666006 341321035 /nfs/dbraw/zinc/32/10/35/341321035.db2.gz TTYMRGQKAMAPRO-JTQLQIEISA-N 0 3 304.381 4.364 20 0 DIADHN CCCC[C@H](CCC)C[NH2+][C@H](c1cccs1)c1nnc[n-]1 ZINC000550679986 341321258 /nfs/dbraw/zinc/32/12/58/341321258.db2.gz DUYBWRAZONQKTL-DZGCQCFKSA-N 0 3 306.479 4.152 20 0 DIADHN CCCC[C@H](CCC)CN[C@H](c1cccs1)c1nnc[nH]1 ZINC000550679986 341321259 /nfs/dbraw/zinc/32/12/59/341321259.db2.gz DUYBWRAZONQKTL-DZGCQCFKSA-N 0 3 306.479 4.152 20 0 DIADHN Cn1c2ccccc2nc1[C@H]1CCCN1C[C@H]1CCC(F)(F)C1 ZINC000550682315 341321318 /nfs/dbraw/zinc/32/13/18/341321318.db2.gz XWKCNPOUVQKOFM-XJKSGUPXSA-N 0 3 319.399 4.146 20 0 DIADHN CCCC[C@@H](CCC)C[NH2+][C@H](c1cccs1)c1nnc[n-]1 ZINC000550679988 341321373 /nfs/dbraw/zinc/32/13/73/341321373.db2.gz DUYBWRAZONQKTL-UKRRQHHQSA-N 0 3 306.479 4.152 20 0 DIADHN CCCC[C@@H](CCC)CN[C@H](c1cccs1)c1nnc[nH]1 ZINC000550679988 341321374 /nfs/dbraw/zinc/32/13/74/341321374.db2.gz DUYBWRAZONQKTL-UKRRQHHQSA-N 0 3 306.479 4.152 20 0 DIADHN CN1CC[C@H](NCc2cc(Cl)ccc2F)[C@@H]1c1ccccc1 ZINC000550685492 341321465 /nfs/dbraw/zinc/32/14/65/341321465.db2.gz XQNWMZKJNYKGGH-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@@H]1C)c1ccc(C(=O)OC)cc1 ZINC000550700721 341321555 /nfs/dbraw/zinc/32/15/55/341321555.db2.gz MAUIOAKDHCAMKJ-PNHOKKKMSA-N 0 3 323.436 4.447 20 0 DIADHN COc1c(C)cnc(CN(C)[C@@H](C)CCc2ccccc2)c1C ZINC000550751649 341322528 /nfs/dbraw/zinc/32/25/28/341322528.db2.gz WIYACQBKBRBXFA-INIZCTEOSA-N 0 3 312.457 4.160 20 0 DIADHN CN1CC[C@H](Nc2ccc(F)c(F)c2)C[C@H]1c1ccccc1 ZINC000550758408 341322554 /nfs/dbraw/zinc/32/25/54/341322554.db2.gz AGODWKKOGQWZNA-YJBOKZPZSA-N 0 3 302.368 4.212 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccc(F)c2ccccc21 ZINC000550819007 341323698 /nfs/dbraw/zinc/32/36/98/341323698.db2.gz LMNWFMFMZNODAR-AWEZNQCLSA-N 0 3 319.383 4.477 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000246080268 341324339 /nfs/dbraw/zinc/32/43/39/341324339.db2.gz GODCVBWXIINQQT-NILFDRSVSA-N 0 3 301.434 4.439 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000246080273 341324348 /nfs/dbraw/zinc/32/43/48/341324348.db2.gz GODCVBWXIINQQT-TUKIKUTGSA-N 0 3 301.434 4.439 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1F)[C@H]1COc2ccccc21 ZINC000246084382 341324439 /nfs/dbraw/zinc/32/44/39/341324439.db2.gz CDBYWWVAGDWJLY-HWWQOWPSSA-N 0 3 303.352 4.180 20 0 DIADHN C[C@@H](N[C@H]1CC2(CCCC2)Oc2ccccc21)c1cc[nH]c(=O)c1 ZINC000550860967 341324932 /nfs/dbraw/zinc/32/49/32/341324932.db2.gz SPYWATQTEVBZAK-PBHICJAKSA-N 0 3 324.424 4.274 20 0 DIADHN C[C@@H](NCCc1c(Cl)cccc1Cl)c1ccncc1F ZINC000550885763 341325468 /nfs/dbraw/zinc/32/54/68/341325468.db2.gz LDQWXFOZSLSQFF-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN COC[C@H](NC[C@@H]1C[C@H]1c1ccccc1)c1ccc(F)c(F)c1 ZINC000550894098 341325989 /nfs/dbraw/zinc/32/59/89/341325989.db2.gz BEHVWIANVUMMQX-BXWFABGCSA-N 0 3 317.379 4.046 20 0 DIADHN CO[C@@H](CN[C@H]1CCCOc2ccc(C(C)C)cc21)C1CC1 ZINC000550895767 341326028 /nfs/dbraw/zinc/32/60/28/341326028.db2.gz WPMFQFJDVNXWRJ-HKUYNNGSSA-N 0 3 303.446 4.038 20 0 DIADHN C[C@@H](NCCCc1cccc(Cl)c1)c1cn2ccccc2n1 ZINC000550899022 341326327 /nfs/dbraw/zinc/32/63/27/341326327.db2.gz NXDPFJNAUXLENI-CQSZACIVSA-N 0 3 313.832 4.271 20 0 DIADHN CC(C)n1ccc(C[C@H](C)N[C@@H](C)c2ccccc2Cl)n1 ZINC000550920854 341326741 /nfs/dbraw/zinc/32/67/41/341326741.db2.gz KFIIIQXKDIQGJW-KBPBESRZSA-N 0 3 305.853 4.399 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1F)c1ccc(F)c(Br)c1 ZINC000550930668 341327088 /nfs/dbraw/zinc/32/70/88/341327088.db2.gz LCIKQHVJPISLQP-WQHBLYJGSA-N 0 3 304.178 4.129 20 0 DIADHN CCOCc1ccc(N[C@@H]2CCN(C)[C@H](c3ccccc3)C2)cc1 ZINC000551136153 341332295 /nfs/dbraw/zinc/33/22/95/341332295.db2.gz KCDFNDVODOYJDE-RTWAWAEBSA-N 0 3 324.468 4.471 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1C(F)(F)F)c1cc[nH]c(=O)c1 ZINC000551242163 341335149 /nfs/dbraw/zinc/33/51/49/341335149.db2.gz SOVVFHZQRSQVIB-GHMZBOCLSA-N 0 3 310.319 4.218 20 0 DIADHN Cc1nccnc1[C@H](C)NCC[C@@H](c1ccccc1)C(F)(F)F ZINC000551241992 341335239 /nfs/dbraw/zinc/33/52/39/341335239.db2.gz XWVPRPNGAHRMKC-WFASDCNBSA-N 0 3 323.362 4.172 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCC[C@H]1CCc1ccccc1 ZINC000551243881 341335323 /nfs/dbraw/zinc/33/53/23/341335323.db2.gz PEBGCAKETLFLAZ-FXAWDEMLSA-N 0 3 312.457 4.437 20 0 DIADHN COc1cc([C@@H](C)NC[C@]2(C)C[C@H]2c2ccccc2)c(F)cn1 ZINC000551245909 341335499 /nfs/dbraw/zinc/33/54/99/341335499.db2.gz FJZXREQSOCYRIR-AZOIQLNYSA-N 0 3 314.404 4.074 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000246229340 341335868 /nfs/dbraw/zinc/33/58/68/341335868.db2.gz FVWTUIFSDWYKGI-OLMNPRSZSA-N 0 3 301.409 4.056 20 0 DIADHN Cc1cccc(C(C)(C)CN[C@H](C)c2cn3ccccc3n2)c1 ZINC000551267414 341336178 /nfs/dbraw/zinc/33/61/78/341336178.db2.gz OQKDSIRZHJLVAA-MRXNPFEDSA-N 0 3 307.441 4.271 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc(F)c(Cl)c1 ZINC000551267421 341336219 /nfs/dbraw/zinc/33/62/19/341336219.db2.gz OWUHSNFUWGMWCI-INIZCTEOSA-N 0 3 305.780 4.352 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2ccc(F)cc2)C1)c1cn2ccccc2n1 ZINC000551278324 341336843 /nfs/dbraw/zinc/33/68/43/341336843.db2.gz PSXNZAGAOHBBIH-QILLFSRXSA-N 0 3 323.415 4.460 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1N[C@H](C)c1cn2ccccc2n1 ZINC000551305403 341337774 /nfs/dbraw/zinc/33/77/74/341337774.db2.gz XMMCPLDHFYVNNK-IJEWVQPXSA-N 0 3 303.475 4.049 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@H](C)N[C@H]1CCC[C@H]1F ZINC000551309118 341337990 /nfs/dbraw/zinc/33/79/90/341337990.db2.gz JWXZQYODSDETQR-LXZKKBNFSA-N 0 3 306.425 4.007 20 0 DIADHN CC1(C)CCC[C@@H]1CN[C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000551310244 341338212 /nfs/dbraw/zinc/33/82/12/341338212.db2.gz YIDDAUTURXLYBT-QAPCUYQASA-N 0 3 313.420 4.121 20 0 DIADHN CC(C)CN(CCn1ccnc1)[C@@H](C)c1ccccc1Cl ZINC000551421798 341341213 /nfs/dbraw/zinc/34/12/13/341341213.db2.gz KHYDBUIWVYUZMN-HNNXBMFYSA-N 0 3 305.853 4.256 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1CN(C)C)c1ccc(F)cc1 ZINC000551422214 341341268 /nfs/dbraw/zinc/34/12/68/341341268.db2.gz YJXBFGDUWZVVRU-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN CC[C@H](NCCCCc1ccc(Cl)s1)c1nccn1C ZINC000551516220 341343554 /nfs/dbraw/zinc/34/35/54/341343554.db2.gz LFVICMBILFEGNJ-ZDUSSCGKSA-N 0 3 311.882 4.199 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2CCO[C@H]2C2CC2)cc1Cl ZINC000551532641 341344274 /nfs/dbraw/zinc/34/42/74/341344274.db2.gz GDOJBYWTCGSVPP-XZOAIXRZSA-N 0 3 323.864 4.345 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)N[C@H](C)c2nc(C)cs2)cc1 ZINC000551534836 341344565 /nfs/dbraw/zinc/34/45/65/341344565.db2.gz RGVJKUPBDQIESC-NSNWQYSKSA-N 0 3 316.470 4.303 20 0 DIADHN CCC[C@](C)(CO)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551537191 341344649 /nfs/dbraw/zinc/34/46/49/341344649.db2.gz AUEMAJUDBSTKQN-MRXNPFEDSA-N 0 3 324.877 4.104 20 0 DIADHN CC1(C)CC[C@@H]1NCc1cccnc1Oc1cccc(F)c1 ZINC000551541023 341344821 /nfs/dbraw/zinc/34/48/21/341344821.db2.gz KANJLSWOTXVHQC-INIZCTEOSA-N 0 3 300.377 4.291 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2cc3cc(C)c(C)cc3o2)CC1 ZINC000551562961 341345667 /nfs/dbraw/zinc/34/56/67/341345667.db2.gz DCVPJUJCMZQFPT-UHFFFAOYSA-N 0 3 323.440 4.461 20 0 DIADHN COCC1(NCc2cnc(-c3ccc(Cl)cc3)s2)CCC1 ZINC000551587528 341346670 /nfs/dbraw/zinc/34/66/70/341346670.db2.gz BDPZFJDAWBFPCI-UHFFFAOYSA-N 0 3 322.861 4.122 20 0 DIADHN C[C@@H]1OCC[C@@]1(C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551593611 341346908 /nfs/dbraw/zinc/34/69/08/341346908.db2.gz NWZKLXBPZUEZHL-MEDUHNTESA-N 0 3 322.861 4.121 20 0 DIADHN FC(F)(F)c1csc([C@H]2CCCN([C@@H]3C=CCCC3)C2)n1 ZINC000246450326 341349152 /nfs/dbraw/zinc/34/91/52/341349152.db2.gz DUOWZTZXAXIBHS-NWDGAFQWSA-N 0 3 316.392 4.450 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@H]2NCc2ncccn2)cc1 ZINC000551719882 341349921 /nfs/dbraw/zinc/34/99/21/341349921.db2.gz GFGSILLOZOWDCM-IAGOWNOFSA-N 0 3 315.848 4.336 20 0 DIADHN CN(Cc1c(OC(F)F)ccc2ccccc21)[C@@H]1CCCOC1 ZINC000564382348 341350184 /nfs/dbraw/zinc/35/01/84/341350184.db2.gz RYKGJJJDHXLOMT-CQSZACIVSA-N 0 3 321.367 4.052 20 0 DIADHN C[C@H](NCCc1c(F)cccc1F)c1nccc2ccccc21 ZINC000564382806 341350790 /nfs/dbraw/zinc/35/07/90/341350790.db2.gz WGIUXBYLXXLHQO-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccccc2)cs1)[C@@H]1CCCO1 ZINC000246499843 341350903 /nfs/dbraw/zinc/35/09/03/341350903.db2.gz SMSFONQJYSTYCU-KMFMINBZSA-N 0 3 316.470 4.418 20 0 DIADHN Cn1ccnc1[C@@H](NCCCCc1ccc(Cl)s1)C1CC1 ZINC000551778631 341351001 /nfs/dbraw/zinc/35/10/01/341351001.db2.gz FGJDOOYGRGZGQY-HNNXBMFYSA-N 0 3 323.893 4.199 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2CCc3cc(C)ccc32)cc1Cl ZINC000551789155 341351515 /nfs/dbraw/zinc/35/15/15/341351515.db2.gz NPWFJRSFPQKRTG-YVEFUNNKSA-N 0 3 316.832 4.390 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2C[C@@H](Oc3ccccc3)C2(C)C)s1 ZINC000551789748 341351637 /nfs/dbraw/zinc/35/16/37/341351637.db2.gz SNWUKULEPDMQBI-IAGOWNOFSA-N 0 3 316.470 4.096 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551807292 341352370 /nfs/dbraw/zinc/35/23/70/341352370.db2.gz DTUYEWUWYQIXKC-LPHOPBHVSA-N 0 3 323.484 4.227 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCCC1(O)CCC1 ZINC000551817575 341352941 /nfs/dbraw/zinc/35/29/41/341352941.db2.gz PMQLNFOKTSNXPG-AWEZNQCLSA-N 0 3 301.430 4.259 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N1CC[C@@]2(CCOC2)C1 ZINC000246605512 341354264 /nfs/dbraw/zinc/35/42/64/341354264.db2.gz BKXYHAYGBJVUMD-JKSUJKDBSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)N(C)[C@H]1CCN(C)[C@@H](C)C1 ZINC000246799868 341357147 /nfs/dbraw/zinc/35/71/47/341357147.db2.gz KECWLLBGVHRJJK-HOTGVXAUSA-N 0 3 317.477 4.065 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1ccc2ccccc2n1 ZINC000246861704 341357939 /nfs/dbraw/zinc/35/79/39/341357939.db2.gz CNBOLSRKNCPTMC-RVHYNSKXSA-N 0 3 318.420 4.416 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H](C)[C@H]1CCCO1 ZINC000247211085 341362258 /nfs/dbraw/zinc/36/22/58/341362258.db2.gz WYSSFHVJXVMSLO-HEHGZKQESA-N 0 3 316.470 4.337 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCO[C@H]2c2ccccc2)cc1F ZINC000247260276 341362834 /nfs/dbraw/zinc/36/28/34/341362834.db2.gz ZDDYLHFLLGTZIG-IRWQIABSSA-N 0 3 315.388 4.015 20 0 DIADHN c1c[nH]c([C@H](NCCSc2ccccc2)C2CCCCC2)n1 ZINC000552040192 341364049 /nfs/dbraw/zinc/36/40/49/341364049.db2.gz KKRZDVIJTCPLKY-QGZVFWFLSA-N 0 3 315.486 4.413 20 0 DIADHN c1c[nH]c([C@@H](NCCSc2ccccc2)C2CCCCC2)n1 ZINC000552040191 341364055 /nfs/dbraw/zinc/36/40/55/341364055.db2.gz KKRZDVIJTCPLKY-KRWDZBQOSA-N 0 3 315.486 4.413 20 0 DIADHN c1c[nH]c([C@H](NC[C@@H]2CCc3ccccc32)C2CCCCC2)n1 ZINC000552044632 341364532 /nfs/dbraw/zinc/36/45/32/341364532.db2.gz IWWMQESYAOVFRG-PKOBYXMFSA-N 0 3 309.457 4.351 20 0 DIADHN Cc1csc([C@@H](C)N[C@H](C)CCc2ccc(F)cc2F)n1 ZINC000552045928 341364667 /nfs/dbraw/zinc/36/46/67/341364667.db2.gz TUDUZHHQSQSXAT-ZYHUDNBSSA-N 0 3 310.413 4.402 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000552051827 341365176 /nfs/dbraw/zinc/36/51/76/341365176.db2.gz JIJGBOSUGLVYKU-VCCRENGCSA-N 0 3 321.411 4.213 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@H](C)Oc2cccc(Cl)c2)c1 ZINC000552061935 341366243 /nfs/dbraw/zinc/36/62/43/341366243.db2.gz GHPGJHFCMMAIRD-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1ccc2c(c1)OCC(=O)N2 ZINC000552067530 341366972 /nfs/dbraw/zinc/36/69/72/341366972.db2.gz NTEMMTIEHYMCJD-CABCVRRESA-N 0 3 324.424 4.046 20 0 DIADHN CCC(CC)CC(=O)NC[C@@H]1CCCN(C)[C@H]1c1cccs1 ZINC000247553905 341367231 /nfs/dbraw/zinc/36/72/31/341367231.db2.gz KNVOCALPUPZCRQ-MAUKXSAKSA-N 0 3 322.518 4.074 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC[C@@H]4CCC[C@H]43)co2)c1 ZINC000247698506 341369348 /nfs/dbraw/zinc/36/93/48/341369348.db2.gz XEODVLIMIYLMHS-SUMWQHHRSA-N 0 3 300.377 4.245 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCC1(C(F)(F)F)CC1 ZINC000552096771 341369526 /nfs/dbraw/zinc/36/95/26/341369526.db2.gz KHGHONAZIINJNR-CQSZACIVSA-N 0 3 313.363 4.350 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000552097488 341369648 /nfs/dbraw/zinc/36/96/48/341369648.db2.gz DBRHIMKNALXABB-ZIAGYGMSSA-N 0 3 309.413 4.292 20 0 DIADHN COc1cccc2cc([C@@H](C)NCC3(C(F)(F)F)CC3)oc21 ZINC000552100344 341369882 /nfs/dbraw/zinc/36/98/82/341369882.db2.gz GKZYXFKUMUKJQY-SNVBAGLBSA-N 0 3 313.319 4.435 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N(C)C[C@H](C)CC ZINC000247771682 341370198 /nfs/dbraw/zinc/37/01/98/341370198.db2.gz ZUHVBMMJAWHCDC-HUUCEWRRSA-N 0 3 304.478 4.116 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccco3)s2)[C@H]2CCC[C@H]21 ZINC000552139312 341372762 /nfs/dbraw/zinc/37/27/62/341372762.db2.gz AJZYETVXQKHCKR-KGLIPLIRSA-N 0 3 302.443 4.414 20 0 DIADHN COc1ccc(OC)c([C@H]2CCCN2Cc2ccccc2C)c1 ZINC000248129304 341373576 /nfs/dbraw/zinc/37/35/76/341373576.db2.gz AALHVVNPUHSIBI-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc2[nH]c(CN3CCCO[C@@H](C(C)C)C3)cc2c1C ZINC000552159745 341374551 /nfs/dbraw/zinc/37/45/51/341374551.db2.gz FGXXMZIDCWUEDE-LJQANCHMSA-N 0 3 300.446 4.032 20 0 DIADHN Cc1ccccc1NC(=O)CCN1C[C@@H](C)[C@H]1c1ccccc1 ZINC000248328405 341375495 /nfs/dbraw/zinc/37/54/95/341375495.db2.gz CTPBNDUUEXSSSU-UZLBHIALSA-N 0 3 308.425 4.017 20 0 DIADHN C[C@@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@H]1C ZINC000248342318 341375546 /nfs/dbraw/zinc/37/55/46/341375546.db2.gz JMDVESIQNYQDCA-CVEARBPZSA-N 0 3 308.425 4.022 20 0 DIADHN CCC[C@]1(NCc2cnc(C3CCCCC3)s2)CCOC1 ZINC000552189648 341377030 /nfs/dbraw/zinc/37/70/30/341377030.db2.gz ULRDBTJRBGXSHS-KRWDZBQOSA-N 0 3 308.491 4.240 20 0 DIADHN COc1ccccc1CCN(C)Cc1ccccc1C(F)(F)F ZINC000552196014 341377584 /nfs/dbraw/zinc/37/75/84/341377584.db2.gz MGOIFNANRYKJKA-UHFFFAOYSA-N 0 3 323.358 4.389 20 0 DIADHN C[C@H](C[S@](C)=O)N[C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000248448896 341378077 /nfs/dbraw/zinc/37/80/77/341378077.db2.gz DTRMBOLFAZUFRZ-URFZZILJSA-N 0 3 315.910 4.174 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)c(C)c1 ZINC000248454499 341378212 /nfs/dbraw/zinc/37/82/12/341378212.db2.gz ZKVUGEMCGUHILQ-BZSNNMDCSA-N 0 3 314.473 4.061 20 0 DIADHN CC(C)CC[C@H](N[C@H](C)C[S@@](C)=O)c1ccc(Cl)cc1 ZINC000248483148 341378650 /nfs/dbraw/zinc/37/86/50/341378650.db2.gz JHHSXDKHMGTNMD-JOTOCRJQSA-N 0 3 315.910 4.174 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@H]1c1ccncc1 ZINC000552243251 341380706 /nfs/dbraw/zinc/38/07/06/341380706.db2.gz UHKSBFPEFNLMCZ-JIHAQRTOSA-N 0 3 322.452 4.468 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2cc(C)ccn2)N2CCCC2)cc1 ZINC000552246016 341380986 /nfs/dbraw/zinc/38/09/86/341380986.db2.gz FTLRSQLOOKWYJD-GHTZIAJQSA-N 0 3 323.484 4.186 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2ccsc2)no1)C1CC1 ZINC000552254291 341381642 /nfs/dbraw/zinc/38/16/42/341381642.db2.gz WTAMCIGDIZOLBZ-VXGBXAGGSA-N 0 3 305.447 4.197 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@H](C)c2cccc(OC)c2)o1 ZINC000248624091 341381861 /nfs/dbraw/zinc/38/18/61/341381861.db2.gz OLXRSSDBMWZNQC-KDOFPFPSSA-N 0 3 315.413 4.333 20 0 DIADHN c1[nH]c2nccnc2c1CNCc1ccc(C2CCCCC2)cc1 ZINC000552273941 341382831 /nfs/dbraw/zinc/38/28/31/341382831.db2.gz XPRFSQZCVQQJJU-UHFFFAOYSA-N 0 3 320.440 4.247 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1ncc[nH]1 ZINC000552275167 341383108 /nfs/dbraw/zinc/38/31/08/341383108.db2.gz NAQXHWOHWKOWOU-HUUCEWRRSA-N 0 3 303.475 4.248 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2Cl)CCC1)c1ncc[nH]1 ZINC000552275117 341383110 /nfs/dbraw/zinc/38/31/10/341383110.db2.gz LTWPYDCQEKOAME-LLVKDONJSA-N 0 3 324.255 4.489 20 0 DIADHN Clc1ccc(/C=C\CN2CCCC3(CCOCC3)C2)cc1 ZINC000552279344 341383693 /nfs/dbraw/zinc/38/36/93/341383693.db2.gz BBOLBENLLHACRG-IWQZZHSRSA-N 0 3 305.849 4.246 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cnn(C(C)C)c2C)C12CCCC2 ZINC000248713642 341385004 /nfs/dbraw/zinc/38/50/04/341385004.db2.gz QXIYRBKMUKESMT-ZTFGCOKTSA-N 0 3 319.493 4.161 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cnn(C(C)C)c2C)C12CCCC2 ZINC000248713635 341385025 /nfs/dbraw/zinc/38/50/25/341385025.db2.gz QXIYRBKMUKESMT-FHLIZLRMSA-N 0 3 319.493 4.161 20 0 DIADHN Cc1cc2cc(CN[C@@H](c3ccc(F)cn3)C(C)C)ccc2[nH]1 ZINC000552298174 341385142 /nfs/dbraw/zinc/38/51/42/341385142.db2.gz UMUQDAQSGWYXGC-LJQANCHMSA-N 0 3 311.404 4.497 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN(CCc1cccc(F)c1)C1CC1 ZINC000552300476 341385226 /nfs/dbraw/zinc/38/52/26/341385226.db2.gz JNNINQTXXNLXJL-UHFFFAOYSA-N 0 3 315.436 4.053 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@@H](C)c1cncc(C)c1 ZINC000552317402 341385974 /nfs/dbraw/zinc/38/59/74/341385974.db2.gz AGGNHGFHPMDOEY-RCVZYCBYSA-N 0 3 324.468 4.392 20 0 DIADHN CCOc1ccc([C@H](C)NC2(c3cccc(OC)c3)CC2)cc1 ZINC000552326024 341386710 /nfs/dbraw/zinc/38/67/10/341386710.db2.gz FQGZRERSWURZFS-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1)N[C@@H](C)c1c(F)cncc1F ZINC000552327511 341386856 /nfs/dbraw/zinc/38/68/56/341386856.db2.gz VYBFJPLXEPGYEW-RYUDHWBXSA-N 0 3 308.347 4.171 20 0 DIADHN Cc1cc(CCN[C@H](c2cccc(C)c2)c2cccc(F)c2)on1 ZINC000552333636 341387166 /nfs/dbraw/zinc/38/71/66/341387166.db2.gz KNYYUWNNJXLEHG-HXUWFJFHSA-N 0 3 324.399 4.352 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000552335400 341387357 /nfs/dbraw/zinc/38/73/57/341387357.db2.gz QOMLDZYNSBSWGB-MNOVXSKESA-N 0 3 301.415 4.045 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000248821308 341387638 /nfs/dbraw/zinc/38/76/38/341387638.db2.gz LJIWJYCJSBTEDQ-VBNZEHGJSA-N 0 3 311.828 4.477 20 0 DIADHN c1csc([C@@H]2CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)n1 ZINC000248831295 341388076 /nfs/dbraw/zinc/38/80/76/341388076.db2.gz LFQPFSUGXYYOPN-HZPDHXFCSA-N 0 3 320.502 4.204 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(C2CCOCC2)CC1 ZINC000552346296 341388154 /nfs/dbraw/zinc/38/81/54/341388154.db2.gz FSQDQGCZBWZYFX-UHFFFAOYSA-N 0 3 318.505 4.173 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H](C)CN2CCC[C@@H](C)C2)cs1 ZINC000248977436 341391136 /nfs/dbraw/zinc/39/11/36/341391136.db2.gz IAXKVBAMZRYKFO-QLFBSQMISA-N 0 3 309.523 4.038 20 0 DIADHN CC1(C)CN(Cc2c(C3CC3)nc3sccn23)[C@@H]2CCC[C@@H]21 ZINC000552410246 341392222 /nfs/dbraw/zinc/39/22/22/341392222.db2.gz PTFBVNXCIMWZJR-UONOGXRCSA-N 0 3 315.486 4.284 20 0 DIADHN C[C@@H](NCCOCC1CC1)c1ccc(SCC(F)F)cc1 ZINC000552562247 341398857 /nfs/dbraw/zinc/39/88/57/341398857.db2.gz XYYDYKMTERYAFU-GFCCVEGCSA-N 0 3 315.429 4.121 20 0 DIADHN C[C@H](NCCOCC1CC1)c1ccc(SCC(F)F)cc1 ZINC000552562248 341398897 /nfs/dbraw/zinc/39/88/97/341398897.db2.gz XYYDYKMTERYAFU-LBPRGKRZSA-N 0 3 315.429 4.121 20 0 DIADHN Oc1ccccc1CCN[C@H]1CCSc2ccc(Cl)cc21 ZINC000552576404 341399376 /nfs/dbraw/zinc/39/93/76/341399376.db2.gz VMFUWQYETMCIAZ-HNNXBMFYSA-N 0 3 319.857 4.415 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C(C)(C)C)C1)c1cc(F)c(F)c(F)c1 ZINC000552578966 341399609 /nfs/dbraw/zinc/39/96/09/341399609.db2.gz MTIGYEAQTBBYKK-NVBFEUDRSA-N 0 3 315.379 4.348 20 0 DIADHN C[C@H](N[C@H](C[C@H]1CCOC1)c1ccccc1)c1cccc(O)c1 ZINC000249635020 341399974 /nfs/dbraw/zinc/39/99/74/341399974.db2.gz WHEFBDCUBSDMEB-RZQQEMMASA-N 0 3 311.425 4.211 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1CCO)c1ccc(Cl)cc1Cl ZINC000249772474 341400824 /nfs/dbraw/zinc/40/08/24/341400824.db2.gz BUNURBPFJZFWEJ-PGUXBMHVSA-N 0 3 302.245 4.195 20 0 DIADHN CCOc1cc(CN[C@@H](c2ccccc2C)C2CC2)ccc1O ZINC000552756383 341403561 /nfs/dbraw/zinc/40/35/61/341403561.db2.gz HLMQVNQEGWYTAL-HXUWFJFHSA-N 0 3 311.425 4.340 20 0 DIADHN C[C@@H](NCC1(c2ccccc2Cl)CCOCC1)c1ccco1 ZINC000089552017 341405451 /nfs/dbraw/zinc/40/54/51/341405451.db2.gz IRWBOGIGZCFPKQ-CQSZACIVSA-N 0 3 319.832 4.332 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000552969137 341411090 /nfs/dbraw/zinc/41/10/90/341411090.db2.gz ISNRDSCCCCONCS-SFHVURJKSA-N 0 3 317.864 4.321 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@H](C)c1cc(Cl)ccc1Cl ZINC000090086803 341413313 /nfs/dbraw/zinc/41/33/13/341413313.db2.gz GNHJOTYVFDTARK-GHMZBOCLSA-N 0 3 304.261 4.441 20 0 DIADHN C[C@H]1CCC[C@H](C)C1NCc1nnc(-c2ccccc2)s1 ZINC000090401445 341415254 /nfs/dbraw/zinc/41/52/54/341415254.db2.gz MYSXGGCCLAMWFK-STQMWFEESA-N 0 3 301.459 4.119 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1cccc(N2CCCC2=O)c1 ZINC000090468821 341416086 /nfs/dbraw/zinc/41/60/86/341416086.db2.gz VKHNUGBFRCGZPO-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@H]1O)c1ccc(Cl)cc1Cl ZINC000251528699 341416919 /nfs/dbraw/zinc/41/69/19/341416919.db2.gz MIUYPAOHAFKVOR-ZIBATOQPSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C)c1ccc(Cl)cc1Cl ZINC000251554524 341417338 /nfs/dbraw/zinc/41/73/38/341417338.db2.gz RDVIBGMGCCBDNX-FFFUXKDYSA-N 0 3 314.256 4.458 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000251708832 341419439 /nfs/dbraw/zinc/41/94/39/341419439.db2.gz KJAAAHSZHDEUPV-QMCVQRASSA-N 0 3 321.848 4.019 20 0 DIADHN c1cn(Cc2cccc(CN[C@@H](c3ccccc3)C3CC3)c2)cn1 ZINC000091283974 341424037 /nfs/dbraw/zinc/42/40/37/341424037.db2.gz SZBVECXJIBKNJH-NRFANRHFSA-N 0 3 317.436 4.172 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@H](COC(F)F)C1 ZINC000553304653 341424481 /nfs/dbraw/zinc/42/44/81/341424481.db2.gz IHVKBEWDFSBSAF-ZDUSSCGKSA-N 0 3 320.383 4.171 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCO1)c1cc(F)c(Cl)cc1Cl ZINC000252427394 341426511 /nfs/dbraw/zinc/42/65/11/341426511.db2.gz YSWVLBDZCLJADU-UINNMSKDSA-N 0 3 306.208 4.351 20 0 DIADHN CCS[C@@H]1CCC[C@H](N[C@@H](C)c2nc3ccccc3n2C)C1 ZINC000091367325 341425290 /nfs/dbraw/zinc/42/52/90/341425290.db2.gz MOHPZMAPPXTSPR-SOUVJXGZSA-N 0 3 317.502 4.288 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)cc(OC)c2)C2CC2)cc1 ZINC000091403005 341425723 /nfs/dbraw/zinc/42/57/23/341425723.db2.gz KBXUBLUNUBHURJ-HXUWFJFHSA-N 0 3 311.425 4.253 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](C)c3cccc(C)c3C)c(C)n2n1 ZINC000091725382 341427866 /nfs/dbraw/zinc/42/78/66/341427866.db2.gz YLSQMLCQUYFZEG-JKSUJKDBSA-N 0 3 322.456 4.375 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1cnc(-c2ccncc2)s1 ZINC000553781990 341435864 /nfs/dbraw/zinc/43/58/64/341435864.db2.gz PQJAMOCTPGTGFN-UGSOOPFHSA-N 0 3 321.449 4.228 20 0 DIADHN CC(C)c1ccc(OC2CCN(CCOC3CCC3)CC2)cc1 ZINC000553863780 341437328 /nfs/dbraw/zinc/43/73/28/341437328.db2.gz FUYSOIYMNBRDOW-UHFFFAOYSA-N 0 3 317.473 4.222 20 0 DIADHN COC[C@@H](NCCCO[C@H](C)c1ccccc1)c1ccccc1 ZINC000554050469 341441837 /nfs/dbraw/zinc/44/18/37/341441837.db2.gz OKYOEILXIPGLNC-YLJYHZDGSA-N 0 3 313.441 4.132 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H]2CCCC[C@H]2C(F)(F)F)cs1 ZINC000554365206 341451390 /nfs/dbraw/zinc/45/13/90/341451390.db2.gz ASPQKBKNFSCMHN-YUSALJHKSA-N 0 3 306.397 4.471 20 0 DIADHN CC[C@@H](NCCc1ccc(OC(C)C)cc1)c1ccc(F)cn1 ZINC000554538329 341457042 /nfs/dbraw/zinc/45/70/42/341457042.db2.gz IAKUBSYRLUODBQ-GOSISDBHSA-N 0 3 316.420 4.291 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(Cl)c(F)c1 ZINC000554572821 341458549 /nfs/dbraw/zinc/45/85/49/341458549.db2.gz IYSZXVXIFKWJPZ-BZHVJNSISA-N 0 3 307.743 4.290 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000554998028 341472262 /nfs/dbraw/zinc/47/22/62/341472262.db2.gz GZQXVNQOQJXDPZ-BBBLOLIVSA-N 0 3 307.768 4.015 20 0 DIADHN C[C@@H](N[C@H](CO)CCC(C)(C)C)c1nccc2ccccc21 ZINC000555002704 341472500 /nfs/dbraw/zinc/47/25/00/341472500.db2.gz KXSGICYQIRUSGB-ZBFHGGJFSA-N 0 3 300.446 4.073 20 0 DIADHN c1onc(Cc2ccccc2)c1CN[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000555553909 341485174 /nfs/dbraw/zinc/48/51/74/341485174.db2.gz YMJXPRGTGFVLKA-ZIFCJYIRSA-N 0 3 310.441 4.324 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1ccc(N(C)C(C)C)nc1 ZINC000555612642 341486928 /nfs/dbraw/zinc/48/69/28/341486928.db2.gz XFORCDXQUDRIKB-UHFFFAOYSA-N 0 3 311.473 4.260 20 0 DIADHN OC[C@@H](CNCc1ccc(C(F)(F)F)s1)C1CCCCC1 ZINC000555619593 341487310 /nfs/dbraw/zinc/48/73/10/341487310.db2.gz YPWNPINIFBYEGC-GFCCVEGCSA-N 0 3 321.408 4.045 20 0 DIADHN COc1ccc(CN[C@H]2CCC[C@H]2F)cc1OCCC(C)C ZINC000555645648 341487927 /nfs/dbraw/zinc/48/79/27/341487927.db2.gz OZWMXBFVVWUYTR-CVEARBPZSA-N 0 3 309.425 4.100 20 0 DIADHN COCCOc1ccc([C@@H](C)NC/C=C\c2ccccc2)cc1 ZINC000254950216 341494639 /nfs/dbraw/zinc/49/46/39/341494639.db2.gz CVJUEOHCJHRLKA-UXHZXRBQSA-N 0 3 311.425 4.076 20 0 DIADHN CC(C)(C)C1CC(N[C@H](Cc2ccccn2)c2cccnc2)C1 ZINC000556225429 341498172 /nfs/dbraw/zinc/49/81/72/341498172.db2.gz UGUDNGMPXAGTEL-NKELODKYSA-N 0 3 309.457 4.175 20 0 DIADHN CC(C)(C)C1CC(N[C@@H](Cc2ccccn2)c2cccnc2)C1 ZINC000556225427 341498219 /nfs/dbraw/zinc/49/82/19/341498219.db2.gz UGUDNGMPXAGTEL-KVZIAJEVSA-N 0 3 309.457 4.175 20 0 DIADHN CCc1cccc2c(CCN[C@@H](C)c3ccncc3F)c[nH]c21 ZINC000556233296 341498364 /nfs/dbraw/zinc/49/83/64/341498364.db2.gz MLBQHMVOCMHRDB-ZDUSSCGKSA-N 0 3 311.404 4.158 20 0 DIADHN CO[C@H](CN[C@H]1CC2(CCCCC2)Oc2ccccc21)C1CC1 ZINC000556238417 341498621 /nfs/dbraw/zinc/49/86/21/341498621.db2.gz KAAQPANEUIIBID-PKOBYXMFSA-N 0 3 315.457 4.228 20 0 DIADHN CN1CC[C@H](NCc2cccc(F)c2)[C@@H]1c1ccc(Cl)cc1 ZINC000556281005 341500473 /nfs/dbraw/zinc/50/04/73/341500473.db2.gz JQWDTABTRCAUOO-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN COC1(CN[C@H](C)c2ccc(OC(C)C)c(Cl)c2)CCC1 ZINC000564592983 341504612 /nfs/dbraw/zinc/50/46/12/341504612.db2.gz HGIOPZDBGDBJPS-CYBMUJFWSA-N 0 3 311.853 4.347 20 0 DIADHN C[C@@H](CNCc1nnc(-c2ccccc2)s1)Cc1ccccc1 ZINC000152770778 341505379 /nfs/dbraw/zinc/50/53/79/341505379.db2.gz HDNHPMKDEOBEAV-OAHLLOKOSA-N 0 3 323.465 4.174 20 0 DIADHN COCCNc1ccc(CNCc2ccc(C3CCC3)cc2)cc1 ZINC000565317904 341523505 /nfs/dbraw/zinc/52/35/05/341523505.db2.gz ONBFSHMZQIVDIU-UHFFFAOYSA-N 0 3 324.468 4.302 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cnc3n2CCCC3)c2sccc21 ZINC000565404468 341526563 /nfs/dbraw/zinc/52/65/63/341526563.db2.gz CHHRNSXMNRXHTF-DOMZBBRYSA-N 0 3 301.459 4.009 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cc(C)nc(N2CCCC2)c1 ZINC000565568480 341531359 /nfs/dbraw/zinc/53/13/59/341531359.db2.gz DQTKLSXRABIXRO-SFQUDFHCSA-N 0 3 321.468 4.183 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCC[C@H]1O)c1nc2c(s1)CCCC2 ZINC000305767273 341532228 /nfs/dbraw/zinc/53/22/28/341532228.db2.gz HQGNUVWXUAIFJK-OFQRWUPVSA-N 0 3 322.518 4.004 20 0 DIADHN Cc1cc([C@@H](N[C@@H](C)CO)c2cccc(Cl)c2)c(C)s1 ZINC000565625568 341533050 /nfs/dbraw/zinc/53/30/50/341533050.db2.gz IOWWCGVNMMWWQO-QFYYESIMSA-N 0 3 309.862 4.078 20 0 DIADHN CC[C@H](N[C@@H](CCC(C)C)C1CC1)c1nnc2n1CCCCC2 ZINC000565847073 341540017 /nfs/dbraw/zinc/54/00/17/341540017.db2.gz KDJFCGVXRONJTB-IRXDYDNUSA-N 0 3 318.509 4.260 20 0 DIADHN Cc1cnccc1CCN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000566169826 341549650 /nfs/dbraw/zinc/54/96/50/341549650.db2.gz HLNSEGVETMXTDO-ZDUSSCGKSA-N 0 3 303.475 4.037 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNCC1(C(F)F)CC1 ZINC000566532245 341561072 /nfs/dbraw/zinc/56/10/72/341561072.db2.gz PKXIERWDYRFCPD-UHFFFAOYSA-N 0 3 323.214 4.194 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@@H]1c1ccccc1)c1cc2ccccc2o1 ZINC000566649064 341564218 /nfs/dbraw/zinc/56/42/18/341564218.db2.gz HDSNBODGMOXPLW-KLHJMIIUSA-N 0 3 321.420 4.250 20 0 DIADHN COc1ccc([C@@H](NC2Cc3ccccc3C2)c2ccco2)cc1 ZINC000566712217 341565989 /nfs/dbraw/zinc/56/59/89/341565989.db2.gz UWFMNKIQYWCYLX-OAQYLSRUSA-N 0 3 319.404 4.135 20 0 DIADHN Cc1nccn1CCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000566884558 341570820 /nfs/dbraw/zinc/57/08/20/341570820.db2.gz PDMXCRFBZSXMFA-INIZCTEOSA-N 0 3 324.255 4.239 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H]1CCCC[C@@H]1CC)c1ccco1 ZINC000567017383 341574733 /nfs/dbraw/zinc/57/47/33/341574733.db2.gz YTCFXGAUULGZOP-MWDXBVQZSA-N 0 3 320.477 4.041 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc3ccccc3c2)cc1OC ZINC000263849905 341575714 /nfs/dbraw/zinc/57/57/14/341575714.db2.gz NATQBGUWBRFGPV-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN COCCNc1ccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000153614873 341575760 /nfs/dbraw/zinc/57/57/60/341575760.db2.gz BOVYTOMLCQAXMU-UZLBHIALSA-N 0 3 324.468 4.391 20 0 DIADHN CCOc1ccc(C(C)=O)cc1COc1cc(C)nc(C)c1C ZINC000567092079 341579381 /nfs/dbraw/zinc/57/93/81/341579381.db2.gz YVDVQFRDLRXHLU-UHFFFAOYSA-N 0 3 313.397 4.187 20 0 DIADHN COCC1CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC1 ZINC000567131033 341581944 /nfs/dbraw/zinc/58/19/44/341581944.db2.gz SYHXNPSIHMGFLU-UHFFFAOYSA-N 0 3 319.832 4.458 20 0 DIADHN Cn1cc([C@H](NCCC(C)(C)C2CC2)c2cccc(F)c2)cn1 ZINC000567184522 341584952 /nfs/dbraw/zinc/58/49/52/341584952.db2.gz KYWHPSBZWRRMHW-GOSISDBHSA-N 0 3 315.436 4.065 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CCC[C@@H]1C1CC1 ZINC000567252154 341591465 /nfs/dbraw/zinc/59/14/65/341591465.db2.gz FYWLYUFDFUXELQ-CRAIPNDOSA-N 0 3 302.437 4.094 20 0 DIADHN CC(C)(C)[C@H](CCO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000567318493 341594797 /nfs/dbraw/zinc/59/47/97/341594797.db2.gz MIHWJUVFPGOUNX-INIZCTEOSA-N 0 3 323.383 4.112 20 0 DIADHN CC(C)CC(=O)Nc1cccc(CN[C@H]2CCc3ccccc32)c1 ZINC000154784413 341595992 /nfs/dbraw/zinc/59/59/92/341595992.db2.gz CCNBHJVZWHGQHG-FQEVSTJZSA-N 0 3 322.452 4.448 20 0 DIADHN Fc1ccc([C@H](NCCCC(F)(F)F)c2cccnc2)cc1 ZINC000567396695 341601156 /nfs/dbraw/zinc/60/11/56/341601156.db2.gz UQHLMPQINRZZLK-HNNXBMFYSA-N 0 3 312.310 4.242 20 0 DIADHN COc1cncc(CN[C@H](Cc2ccccc2)c2ccccc2)c1 ZINC000567424737 341603515 /nfs/dbraw/zinc/60/35/15/341603515.db2.gz NDZSKXRPNTWKBJ-OAQYLSRUSA-N 0 3 318.420 4.164 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccnc(OCC(F)(F)F)c2)c1 ZINC000155245621 341604829 /nfs/dbraw/zinc/60/48/29/341604829.db2.gz JEHYBSWPZJFDCM-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC3(C2)CCOC3)s1 ZINC000567457621 341607845 /nfs/dbraw/zinc/60/78/45/341607845.db2.gz ZNTHLSUDDPZRFP-UHFFFAOYSA-N 0 3 319.857 4.291 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H]3CCCc4ccccc43)c(C)n2n1 ZINC000269348568 341608838 /nfs/dbraw/zinc/60/88/38/341608838.db2.gz RANBLZYSXLQSDT-LIRRHRJNSA-N 0 3 320.440 4.074 20 0 DIADHN C[C@@H](N[C@@H](C)C(c1ccccc1)c1ccccc1)c1cnccn1 ZINC000155593937 341610059 /nfs/dbraw/zinc/61/00/59/341610059.db2.gz OUELYVOGZKCWTM-SJORKVTESA-N 0 3 317.436 4.348 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H](C)C3CCC3)n2)ccc1F ZINC000157710028 341643898 /nfs/dbraw/zinc/64/38/98/341643898.db2.gz JPCPDANERCKNFV-NEPJUHHUSA-N 0 3 303.381 4.023 20 0 DIADHN CCCCN(C(=O)C1CCN(C2CC2)CC1)[C@H]1CCC[C@H](C)C1 ZINC000568017736 341645793 /nfs/dbraw/zinc/64/57/93/341645793.db2.gz IXIXOISGILJNEW-LPHOPBHVSA-N 0 3 320.521 4.068 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000568075402 341649989 /nfs/dbraw/zinc/64/99/89/341649989.db2.gz SYNCFZFSYUNMSG-FQEVSTJZSA-N 0 3 322.452 4.221 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000314706354 341651032 /nfs/dbraw/zinc/65/10/32/341651032.db2.gz SKYDRTNIZFDZOA-UHFFFAOYSA-N 0 3 321.852 4.293 20 0 DIADHN CCn1cc([C@H](C)NCCc2cc(Cl)cc(Cl)c2)cn1 ZINC000158190437 341653133 /nfs/dbraw/zinc/65/31/33/341653133.db2.gz WTPJQKFVNBBQIX-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@H](C)c2ccccc2C)c1 ZINC000159021442 341667295 /nfs/dbraw/zinc/66/72/95/341667295.db2.gz KSCSGHSIWPBERS-IAGOWNOFSA-N 0 3 324.468 4.374 20 0 DIADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000568401213 341670072 /nfs/dbraw/zinc/67/00/72/341670072.db2.gz HGWFPXJIJSHDGL-OFQRWUPVSA-N 0 3 318.486 4.258 20 0 DIADHN c1csc(CNCC(Cc2ccccc2)Cc2ccccc2)n1 ZINC000568690468 341678706 /nfs/dbraw/zinc/67/87/06/341678706.db2.gz FBLZPGPZTHICSC-UHFFFAOYSA-N 0 3 322.477 4.334 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)o2)cc1C ZINC000568728511 341679829 /nfs/dbraw/zinc/67/98/29/341679829.db2.gz TZGIDHOBZHBOIQ-FSDCSDTHSA-N 0 3 311.429 4.193 20 0 DIADHN CCCOCc1ccccc1CN[C@@H](C)c1ccc(OC)cc1 ZINC000163671192 341700540 /nfs/dbraw/zinc/70/05/40/341700540.db2.gz IYEYTHLPODZYGS-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCSC2)c2ccc(C)cc2)cc1 ZINC000163782218 341701118 /nfs/dbraw/zinc/70/11/18/341701118.db2.gz IXVJJVBWMAJOQV-MJGOQNOKSA-N 0 3 313.466 4.188 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)Oc1cccc(F)c1 ZINC000165543736 341712866 /nfs/dbraw/zinc/71/28/66/341712866.db2.gz NCUYMPREEPDFNG-WMLDXEAASA-N 0 3 319.424 4.111 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)C2CC3(CCC3)C2)c1 ZINC000574159599 341750390 /nfs/dbraw/zinc/75/03/90/341750390.db2.gz FQWPWZZKCTYDGH-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc2[nH]ccc2c1 ZINC000574583942 341763067 /nfs/dbraw/zinc/76/30/67/341763067.db2.gz RQIARIJMBOOWID-ORAYPTAESA-N 0 3 312.388 4.173 20 0 DIADHN CC(C)c1nc([C@H](C)NCc2ccc(CN(C)C)cc2)cs1 ZINC000172341615 341797046 /nfs/dbraw/zinc/79/70/46/341797046.db2.gz DLNUWASDOCNLFQ-AWEZNQCLSA-N 0 3 317.502 4.179 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@H]1CCc2c1cccc2C ZINC000576069526 341824200 /nfs/dbraw/zinc/82/42/00/341824200.db2.gz QQPOCQQMNLSJFY-ROUUACIJSA-N 0 3 311.400 4.407 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCC(=O)N2 ZINC000174995012 341833604 /nfs/dbraw/zinc/83/36/04/341833604.db2.gz SPHBEEGHSPIFDI-BLVKFPJESA-N 0 3 324.399 4.049 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1ccc(F)c(F)c1 ZINC000576812887 341864240 /nfs/dbraw/zinc/86/42/40/341864240.db2.gz ZTXCVGDWIDSDLQ-GTNSWQLSSA-N 0 3 323.408 4.165 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@H](c2ccccc2)C2CC2)c1C ZINC000192597273 341876970 /nfs/dbraw/zinc/87/69/70/341876970.db2.gz MWDBPZXLFQEODX-OXQOHEQNSA-N 0 3 322.452 4.371 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC000577025836 341878011 /nfs/dbraw/zinc/87/80/11/341878011.db2.gz DCODXBYTBPDPEE-WMZOPIPTSA-N 0 3 310.441 4.054 20 0 DIADHN C[C@H](COCC(F)(F)F)NCc1ccc(C2CCC2)cc1 ZINC000577162296 341892571 /nfs/dbraw/zinc/89/25/71/341892571.db2.gz BLVJPRALHOURSR-GFCCVEGCSA-N 0 3 301.352 4.011 20 0 DIADHN Fc1ccccc1N1CC[C@@H](NCc2ccc(C(F)F)cc2)C1 ZINC000577168634 341893079 /nfs/dbraw/zinc/89/30/79/341893079.db2.gz FNDKCLWIDWDSJR-OAHLLOKOSA-N 0 3 320.358 4.132 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cnc(C)n1C)c1ccc(Cl)cc1 ZINC000577231050 341899059 /nfs/dbraw/zinc/89/90/59/341899059.db2.gz CLGYLEGGVXSIAO-PXAZEXFGSA-N 0 3 305.853 4.259 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1ccccc1OC1CCCC1 ZINC000577231930 341899122 /nfs/dbraw/zinc/89/91/22/341899122.db2.gz SXGQGTSGWIGTTH-FQEVSTJZSA-N 0 3 322.452 4.367 20 0 DIADHN C[C@H](Cc1cn(C)c2ccc(F)cc12)N[C@@H](C)c1cscn1 ZINC000577267455 341901209 /nfs/dbraw/zinc/90/12/09/341901209.db2.gz OWSFRKZITUJTOO-NEPJUHHUSA-N 0 3 317.433 4.056 20 0 DIADHN O=C(Nc1ccc(SCCN2CCCCC2)cc1)C1CCC1 ZINC000577377375 341906744 /nfs/dbraw/zinc/90/67/44/341906744.db2.gz YWZLUHYFOSUOOU-UHFFFAOYSA-N 0 3 318.486 4.003 20 0 DIADHN COc1ccc(CCNC2(c3nc(C)cs3)CCCC2)cc1 ZINC000177173162 341917174 /nfs/dbraw/zinc/91/71/74/341917174.db2.gz ZGQBAEFAZDVOJI-UHFFFAOYSA-N 0 3 316.470 4.062 20 0 DIADHN COc1ccc(CC2CCN([C@H](C)c3ccccn3)CC2)cc1 ZINC000177273341 341918459 /nfs/dbraw/zinc/91/84/59/341918459.db2.gz CEFYDVBGWLXRAP-MRXNPFEDSA-N 0 3 310.441 4.106 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cccnc1)Oc1ccccc1Cl ZINC000177545811 341920943 /nfs/dbraw/zinc/92/09/43/341920943.db2.gz JYZNSKMIFRJYOP-HIFRSBDPSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1ncc(CN[C@H](C)c2ccc(-c3ccc(F)cc3)cc2)n1C ZINC000577769616 341936047 /nfs/dbraw/zinc/93/60/47/341936047.db2.gz QZPGYTRKOKJQEF-CQSZACIVSA-N 0 3 323.415 4.385 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](CC(C)C)c1ccccn1 ZINC000577802989 341937563 /nfs/dbraw/zinc/93/75/63/341937563.db2.gz VIXLDMWOSRRFTH-SFHVURJKSA-N 0 3 311.473 4.440 20 0 DIADHN CCOc1ccc([C@@H](N[C@@H]2CCSC2)c2ccccc2)cc1 ZINC000177871410 341939087 /nfs/dbraw/zinc/93/90/87/341939087.db2.gz YUTFKCHFASAZPF-MJGOQNOKSA-N 0 3 313.466 4.270 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H]2CCSC2)cc1OC ZINC000177898753 341939464 /nfs/dbraw/zinc/93/94/64/341939464.db2.gz QZMHZKDCKOUOAZ-UKRRQHHQSA-N 0 3 309.475 4.030 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(F)cc1OC(F)F ZINC000178072332 341943154 /nfs/dbraw/zinc/94/31/54/341943154.db2.gz VGTICCIJNBTECZ-NSHDSACASA-N 0 3 317.351 4.037 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc3c(c2)OCO3)cc1F ZINC000178118136 341946918 /nfs/dbraw/zinc/94/69/18/341946918.db2.gz ISPWUFGQIGEUBX-NSHDSACASA-N 0 3 319.401 4.127 20 0 DIADHN CC(C)=CCC[C@@H](C)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000178132196 341947252 /nfs/dbraw/zinc/94/72/52/341947252.db2.gz HVJBMEBWLXUYCP-QGZVFWFLSA-N 0 3 302.462 4.275 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ncc(CSC)s1 ZINC000339293718 521724436 /nfs/dbraw/zinc/72/44/36/521724436.db2.gz NVDWQKOOWNDZST-CHWSQXEVSA-N 0 3 316.561 4.160 20 0 DIADHN CCN(C)c1ccc(CN[C@H]2CC(C)(C)c3ccccc32)cn1 ZINC000191367723 517633722 /nfs/dbraw/zinc/63/37/22/517633722.db2.gz BWKVYWPWFKONKE-SFHVURJKSA-N 0 3 309.457 4.050 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cncc(C)c1)Oc1ccccc1F ZINC000184380063 517814713 /nfs/dbraw/zinc/81/47/13/517814713.db2.gz MOEWLZIABHLWGA-GOEBONIOSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@H](Nc1cccc(OCCN(C)C)c1)c1ccc(F)cc1 ZINC000320136276 517827463 /nfs/dbraw/zinc/82/74/63/517827463.db2.gz VGTKQGBMOYKAGB-IBGZPJMESA-N 0 3 316.420 4.329 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000540066032 517846362 /nfs/dbraw/zinc/84/63/62/517846362.db2.gz JUBGKKMMKKFBMO-MAUKXSAKSA-N 0 3 301.455 4.178 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3OC)c(C)c1 ZINC000414117815 518160278 /nfs/dbraw/zinc/16/02/78/518160278.db2.gz SRITVKLWPVSJDP-KUHUBIRLSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)N[C@@H]1CCN(c2ccccn2)C1 ZINC000090801998 518186181 /nfs/dbraw/zinc/18/61/81/518186181.db2.gz XTEXSWSJWVTPBU-HZPDHXFCSA-N 0 3 321.424 4.066 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@H](C)C3CCC3)n2)ccc1F ZINC000157709886 518186288 /nfs/dbraw/zinc/18/62/88/518186288.db2.gz JPCPDANERCKNFV-VXGBXAGGSA-N 0 3 303.381 4.023 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCOC2(C)C)sc1Br ZINC000451181842 518187941 /nfs/dbraw/zinc/18/79/41/518187941.db2.gz FZVYZRVWIIHJNO-MWLCHTKSSA-N 0 3 318.280 4.037 20 0 DIADHN Cc1cnccc1CCN[C@H]1CCCOc2ccc(Cl)cc21 ZINC000358228202 518191849 /nfs/dbraw/zinc/19/18/49/518191849.db2.gz OCTVFDRERIPMNQ-KRWDZBQOSA-N 0 3 316.832 4.089 20 0 DIADHN Brc1cccc(C2(NCc3ccncc3)CCC2)c1 ZINC000102426752 518220060 /nfs/dbraw/zinc/22/00/60/518220060.db2.gz PQEMSUBCVJXDGM-UHFFFAOYSA-N 0 3 317.230 4.013 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2ccc3c[nH]nc3c2)c1 ZINC000236575886 518884479 /nfs/dbraw/zinc/88/44/79/518884479.db2.gz IEUAPAPGQSJGBB-UHFFFAOYSA-N 0 3 323.440 4.168 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCCC[C@H]2[C@H]2CCOC2)n1 ZINC000365060166 519083471 /nfs/dbraw/zinc/08/34/71/519083471.db2.gz DUIOJOXMSLTRBV-SOUVJXGZSA-N 0 3 322.518 4.126 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1cnc2c(cnn2C(C)C)c1 ZINC000154774448 519658206 /nfs/dbraw/zinc/65/82/06/519658206.db2.gz SCRFLJKZGOSGDQ-UHFFFAOYSA-N 0 3 322.456 4.423 20 0 DIADHN CC(C)CCOC1CCN(Cc2cnc3ccccc3c2)CC1 ZINC000337142288 519664822 /nfs/dbraw/zinc/66/48/22/519664822.db2.gz TXJGIFMSNNMFRV-UHFFFAOYSA-N 0 3 312.457 4.262 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@@H]2c2cccs2)cc1 ZINC000162695620 519716146 /nfs/dbraw/zinc/71/61/46/519716146.db2.gz DOXBJUFRKDBMKI-MRXNPFEDSA-N 0 3 300.427 4.044 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NC2(c3ccccc3)CCC2)c1 ZINC000180346315 519746774 /nfs/dbraw/zinc/74/67/74/519746774.db2.gz YZHSPJWNDBIHGY-OAHLLOKOSA-N 0 3 308.425 4.375 20 0 DIADHN CC(C)OC(=O)c1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000182544841 519752180 /nfs/dbraw/zinc/75/21/80/519752180.db2.gz AXPGVAGZBPMMRM-ZDUSSCGKSA-N 0 3 303.427 4.164 20 0 DIADHN CC(C)[C@@H](NCc1ccc(CO)c(F)c1)c1ccc(F)c(F)c1 ZINC000181990910 519799095 /nfs/dbraw/zinc/79/90/95/519799095.db2.gz LYTZRJLWXNDVFO-GOSISDBHSA-N 0 3 323.358 4.083 20 0 DIADHN CC(C)c1csc(CNC2CCN(c3ccccc3)CC2)n1 ZINC000128627794 519854538 /nfs/dbraw/zinc/85/45/38/519854538.db2.gz HCCCUMRFCLJHOE-UHFFFAOYSA-N 0 3 315.486 4.025 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1cnn(C(C)C)c1C ZINC000191144122 520213831 /nfs/dbraw/zinc/21/38/31/520213831.db2.gz GDWIFWSFDUNFSK-HNNXBMFYSA-N 0 3 315.461 4.160 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc(F)c3cccnc23)CC1 ZINC000108396961 520274278 /nfs/dbraw/zinc/27/42/78/520274278.db2.gz MBUNRMFCVZSXPE-MRXNPFEDSA-N 0 3 316.420 4.155 20 0 DIADHN CCCOc1ccc(CNCc2ccc3nc(C)ccc3c2)cn1 ZINC000189328600 520369479 /nfs/dbraw/zinc/36/94/79/520369479.db2.gz YQYAWZZGWKVOMJ-UHFFFAOYSA-N 0 3 321.424 4.017 20 0 DIADHN CCCOc1ccc(CNCc2cccc3cc[nH]c32)cc1OC ZINC000236948514 520370323 /nfs/dbraw/zinc/37/03/23/520370323.db2.gz LKAVUPTXSLAAPG-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1 ZINC000127447748 520550801 /nfs/dbraw/zinc/55/08/01/520550801.db2.gz JYOOKMSRSFMFRV-KRWDZBQOSA-N 0 3 324.468 4.328 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@H](C)c2ccc(C)cc2)cc1 ZINC000127448001 520552679 /nfs/dbraw/zinc/55/26/79/520552679.db2.gz JYOOKMSRSFMFRV-QGZVFWFLSA-N 0 3 324.468 4.328 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2ccc(-n3cccn3)cc2)C1 ZINC000157578046 520588762 /nfs/dbraw/zinc/58/87/62/520588762.db2.gz HKLDJKMIFOFFAP-GOSISDBHSA-N 0 3 321.424 4.269 20 0 DIADHN CCCC[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000266924164 521101181 /nfs/dbraw/zinc/10/11/81/521101181.db2.gz YZYXUMBKNMHQAG-HXUWFJFHSA-N 0 3 324.468 4.410 20 0 DIADHN CCCC[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(OC)cc1 ZINC000367743216 521103894 /nfs/dbraw/zinc/10/38/94/521103894.db2.gz ZBAUUWLMNCVTKL-QZTJIDSGSA-N 0 3 313.445 4.317 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccsc2C)cc1OC ZINC000339180088 521224466 /nfs/dbraw/zinc/22/44/66/521224466.db2.gz PDQFMPYVJNMFEO-GFCCVEGCSA-N 0 3 305.443 4.315 20 0 DIADHN CCCC[C@H](NCc1scnc1C)c1ccc(OC)cc1 ZINC000266241969 521241959 /nfs/dbraw/zinc/24/19/59/521241959.db2.gz XIJGWSUSCFZNCV-INIZCTEOSA-N 0 3 304.459 4.481 20 0 DIADHN CCOc1ccc([C@H](CC)NCc2ccn(C)c2)cc1OCC ZINC000158570576 521261815 /nfs/dbraw/zinc/26/18/15/521261815.db2.gz ZZEDBPGJRIWKBL-KRWDZBQOSA-N 0 3 316.445 4.063 20 0 DIADHN CCC(CC)c1cc(CNC2CCC3(CCCO3)CC2)on1 ZINC000364964135 521633404 /nfs/dbraw/zinc/63/34/04/521633404.db2.gz VDMYMXIUMOGHOI-UHFFFAOYSA-N 0 3 306.450 4.160 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCCC[C@H]2[C@@H]2CCOC2)on1 ZINC000365063926 521634104 /nfs/dbraw/zinc/63/41/04/521634104.db2.gz BPBHTKVJNPRGMK-BPQIPLTHSA-N 0 3 320.477 4.263 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cnc3ccccc3c2)C1 ZINC000337200126 521727090 /nfs/dbraw/zinc/72/70/90/521727090.db2.gz ZKJHIGFIGYDSDS-IAGOWNOFSA-N 0 3 300.471 4.341 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ncc(CSC)s1 ZINC000339293720 521730829 /nfs/dbraw/zinc/73/08/29/521730829.db2.gz NVDWQKOOWNDZST-OLZOCXBDSA-N 0 3 316.561 4.160 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cnc3ccccc3c2)C1 ZINC000337200128 521732518 /nfs/dbraw/zinc/73/25/18/521732518.db2.gz ZKJHIGFIGYDSDS-SJORKVTESA-N 0 3 300.471 4.341 20 0 DIADHN COCC[C@H]1CCCCN([C@@H](C)c2nc3ccccc3o2)C1 ZINC000364151773 521985816 /nfs/dbraw/zinc/98/58/16/521985816.db2.gz VHYWWZBCFMQKRT-LSDHHAIUSA-N 0 3 302.418 4.027 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](OC)c2ccccc2)s1 ZINC000131409067 522231099 /nfs/dbraw/zinc/23/10/99/522231099.db2.gz MAVBVEZNDKCCFB-WFASDCNBSA-N 0 3 304.459 4.052 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2CCc3c2cccc3F)s1 ZINC000163566120 522233804 /nfs/dbraw/zinc/23/38/04/522233804.db2.gz MTPYBESWIVRHDI-BONVTDFDSA-N 0 3 304.434 4.491 20 0 DIADHN COc1cc(C)cc(CNCc2ccc3nc(C)ccc3c2)c1 ZINC000189404808 522242464 /nfs/dbraw/zinc/24/24/64/522242464.db2.gz FZZFRNMSJZACLL-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CCc1nc(CCN[C@@H](CC(C)C)c2ccccn2)cs1 ZINC000157053292 522281617 /nfs/dbraw/zinc/28/16/17/522281617.db2.gz IAVLILBOMVEAOL-INIZCTEOSA-N 0 3 303.475 4.020 20 0 DIADHN CCc1nc(CCN[C@H]2CCSc3ccc(F)cc32)cs1 ZINC000157046463 522284634 /nfs/dbraw/zinc/28/46/34/522284634.db2.gz LVPRDHOYTXNSEM-AWEZNQCLSA-N 0 3 322.474 4.214 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](C)c1ccc(OC)cc1)CCC2 ZINC000269063251 522474857 /nfs/dbraw/zinc/47/48/57/522474857.db2.gz YHDYBQVGUKNTSY-DOMZBBRYSA-N 0 3 316.470 4.442 20 0 DIADHN CC[C@H](NCCOCc1cccc(OC)c1)c1ccc(F)cc1 ZINC000338237917 522596894 /nfs/dbraw/zinc/59/68/94/522596894.db2.gz NUJORFSIKUHDNF-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](NCc1cccc(O)c1)c1cccc(Br)c1 ZINC000154445958 522656443 /nfs/dbraw/zinc/65/64/43/522656443.db2.gz AQBOECXOSDGOOL-INIZCTEOSA-N 0 3 320.230 4.396 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccc(F)cc1 ZINC000158875247 522695201 /nfs/dbraw/zinc/69/52/01/522695201.db2.gz CDZDLXZTICIHQH-LIRRHRJNSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccccc1 ZINC000264848243 522738263 /nfs/dbraw/zinc/73/82/63/522738263.db2.gz ILQAFUBASJUJKA-KDOFPFPSSA-N 0 3 308.425 4.007 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C(C)(C)C)c1C)c1ccncc1 ZINC000191307153 522741876 /nfs/dbraw/zinc/74/18/76/522741876.db2.gz DUAZUSDDRICVFT-DYVFJYSZSA-N 0 3 300.450 4.143 20 0 DIADHN CC[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000338025554 522752167 /nfs/dbraw/zinc/75/21/67/522752167.db2.gz XNERCWCZTMSSAK-HOTGVXAUSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1c(C)nn(C)c1C ZINC000163493324 522759304 /nfs/dbraw/zinc/75/93/04/522759304.db2.gz CYDPPPZAKQBOEJ-IRXDYDNUSA-N 0 3 317.864 4.419 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccc(Cl)cc1)c1c(C)noc1C ZINC000338294337 522864819 /nfs/dbraw/zinc/86/48/19/522864819.db2.gz YYIBIDUGKQUOHO-CVEARBPZSA-N 0 3 322.836 4.373 20 0 DIADHN CC[C@@H](NCc1cnc2ccccc2c1)c1ccc(OC)cc1 ZINC000263863786 522889753 /nfs/dbraw/zinc/88/97/53/522889753.db2.gz XHKKXUKJOZCPCM-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@@H](NCc1cn(C)nc1-c1ccccc1)c1ccccc1 ZINC000181029822 522890501 /nfs/dbraw/zinc/89/05/01/522890501.db2.gz LSDMNHSVZBDRGS-LJQANCHMSA-N 0 3 305.425 4.328 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(N2CCCC2=O)cc1)c1ccncc1 ZINC000163777458 522912274 /nfs/dbraw/zinc/91/22/74/522912274.db2.gz HPKQNNFQZHCHSD-HNAYVOBHSA-N 0 3 323.440 4.010 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(C)(c2nc(C)no2)CC1 ZINC000364697544 522928945 /nfs/dbraw/zinc/92/89/45/522928945.db2.gz OOHVGMBNIPRUKF-MRXNPFEDSA-N 0 3 317.408 4.022 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccccc1 ZINC000264848248 522939112 /nfs/dbraw/zinc/93/91/12/522939112.db2.gz ILQAFUBASJUJKA-RDTXWAMCSA-N 0 3 308.425 4.007 20 0 DIADHN CC[C@@H](N[C@H](CCCO)c1ccccc1)c1cccc(F)c1 ZINC000188061439 522942314 /nfs/dbraw/zinc/94/23/14/522942314.db2.gz CNIJPTMQUYWRNM-RTBURBONSA-N 0 3 301.405 4.380 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)[C@@H](C)OC)ccc1SC(F)F ZINC000191652277 522945003 /nfs/dbraw/zinc/94/50/03/522945003.db2.gz BBPBTDVXYQIFIG-GARJFASQSA-N 0 3 319.417 4.084 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337167660 522994031 /nfs/dbraw/zinc/99/40/31/522994031.db2.gz AWWFHAKCGFCQFO-HXUWFJFHSA-N 0 3 320.436 4.008 20 0 DIADHN CO[C@@H](CN(Cc1ccncc1)C1CC1)c1ccc(Cl)cc1 ZINC000338270972 523500504 /nfs/dbraw/zinc/50/05/04/523500504.db2.gz PSYGBNBJVRQWHJ-SFHVURJKSA-N 0 3 316.832 4.087 20 0 DIADHN CO[C@H](CN1CCC(OC2CCCCC2)CC1)c1ccccc1 ZINC000338549202 523933847 /nfs/dbraw/zinc/93/38/47/523933847.db2.gz NRSBGSXAVANOEU-HXUWFJFHSA-N 0 3 317.473 4.188 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)[C@H](C)c1ccccc1 ZINC000181525020 524063250 /nfs/dbraw/zinc/06/32/50/524063250.db2.gz RGAAIWACXDOYHE-IRXDYDNUSA-N 0 3 324.468 4.278 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](c1ncccc1C)C(C)C ZINC000268898627 524222278 /nfs/dbraw/zinc/22/22/78/524222278.db2.gz KZGYPEVHQJRTAH-PKOBYXMFSA-N 0 3 310.441 4.373 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc(C)s1 ZINC000363834145 524236030 /nfs/dbraw/zinc/23/60/30/524236030.db2.gz UPSJBIKEWKRODV-JSGCOSHPSA-N 0 3 303.427 4.240 20 0 DIADHN C[C@H](CN1CCCCC1)N[C@@H]1C[C@@H](C)Sc2sccc21 ZINC000336826075 524333604 /nfs/dbraw/zinc/33/36/04/524333604.db2.gz GWWMDZIWDSFSOQ-UMVBOHGHSA-N 0 3 310.532 4.138 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2cnc([C@@H]3CCCO3)s2)o1 ZINC000339505795 524350420 /nfs/dbraw/zinc/35/04/20/524350420.db2.gz WVPLHJXVWGLWKJ-LRDDRELGSA-N 0 3 320.458 4.007 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000180284887 524398568 /nfs/dbraw/zinc/39/85/68/524398568.db2.gz BBCRWHZIVGSDGT-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccnc(N3CCCC3)c2)c1 ZINC000131355787 524462651 /nfs/dbraw/zinc/46/26/51/524462651.db2.gz YIFHUGAMVBLPDE-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000158796384 524469478 /nfs/dbraw/zinc/46/94/78/524469478.db2.gz RVLUHBXIEAEBFA-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@H](C)c3ccc(C)cc3)cn2)n1 ZINC000162842267 524487127 /nfs/dbraw/zinc/48/71/27/524487127.db2.gz ZUZQNUCPKLNHQO-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@H](CNCc1nc(C(F)(F)F)cs1)c1ccc(F)cc1 ZINC000127966683 524489205 /nfs/dbraw/zinc/48/92/05/524489205.db2.gz UTVQSWZPUXVGBL-SECBINFHSA-N 0 3 318.339 4.194 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@H](C)C1 ZINC000119426636 524703900 /nfs/dbraw/zinc/70/39/00/524703900.db2.gz YATWXPCZITXMOC-JMSVASOKSA-N 0 3 319.836 4.304 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000156960718 524724943 /nfs/dbraw/zinc/72/49/43/524724943.db2.gz RLYBWUBNVJAQEM-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN C[C@H](Cn1ccnc1)NCc1ccc(Sc2ccccc2)cc1 ZINC000338327327 524790863 /nfs/dbraw/zinc/79/08/63/524790863.db2.gz ZJBVILCLOIYYHC-MRXNPFEDSA-N 0 3 323.465 4.213 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccnc(N3CCCCC3)c2)c1 ZINC000162479174 524829659 /nfs/dbraw/zinc/82/96/59/524829659.db2.gz HUBQEMPBHBBKPU-QGZVFWFLSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000157084111 524831587 /nfs/dbraw/zinc/83/15/87/524831587.db2.gz PTCDDUCSRPHVIP-HZPDHXFCSA-N 0 3 324.424 4.363 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(Cl)nc1 ZINC000181436729 524843368 /nfs/dbraw/zinc/84/33/68/524843368.db2.gz KPPZHOZFEQTOQY-MQIPJXDCSA-N 0 3 322.811 4.037 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000339326374 524870412 /nfs/dbraw/zinc/87/04/12/524870412.db2.gz XEUWHZIFBSDAGJ-ZSEKCTLFSA-N 0 3 321.873 4.237 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000339326370 524874167 /nfs/dbraw/zinc/87/41/67/524874167.db2.gz XEUWHZIFBSDAGJ-ASSNKEHSSA-N 0 3 321.873 4.237 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccnc(N2CCCCC2)c1 ZINC000162414106 524996464 /nfs/dbraw/zinc/99/64/64/524996464.db2.gz DPFZWCSIKOJIHY-HRAATJIYSA-N 0 3 321.468 4.095 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](CC(C)C)c3ccccn3)nc21 ZINC000268986496 524996815 /nfs/dbraw/zinc/99/68/15/524996815.db2.gz QILNPYKBGHMUOI-KRWDZBQOSA-N 0 3 323.440 4.451 20 0 DIADHN COc1ncc(CN[C@H](CC(C)(C)C)c2ccccc2)c(C)n1 ZINC000337196057 525015104 /nfs/dbraw/zinc/01/51/04/525015104.db2.gz XBTIYDHJSOLYQU-QGZVFWFLSA-N 0 3 313.445 4.061 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3sccc32)cc1OC ZINC000102196546 525160957 /nfs/dbraw/zinc/16/09/57/525160957.db2.gz MTBUGCBUIVLAGN-IUODEOHRSA-N 0 3 317.454 4.494 20 0 DIADHN Cc1ccc(NC(=O)c2cc3cccc(C)c3o2)c(CN(C)C)c1 ZINC000265010849 525234260 /nfs/dbraw/zinc/23/42/60/525234260.db2.gz FPBMDLAOENNZPI-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000336842055 525268776 /nfs/dbraw/zinc/26/87/76/525268776.db2.gz QSSIVAOFHLRMKN-UXIGCNINSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000338007921 525270859 /nfs/dbraw/zinc/27/08/59/525270859.db2.gz PVDGHIBGZVIQPH-UXIGCNINSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000336897120 525273536 /nfs/dbraw/zinc/27/35/36/525273536.db2.gz OTHXUHICKGLIPQ-UXIGCNINSA-N 0 3 317.479 4.267 20 0 DIADHN Cc1ccc(NCc2ccc(Br)o2)c(CN(C)C)c1 ZINC000191431476 525283970 /nfs/dbraw/zinc/28/39/70/525283970.db2.gz MNFSPSLUZODUEV-UHFFFAOYSA-N 0 3 323.234 4.024 20 0 DIADHN C[C@H](NCC[S@](=O)C(C)(C)C)c1cc2ccccc2s1 ZINC000188028407 525366092 /nfs/dbraw/zinc/36/60/92/525366092.db2.gz ZNYPAHOTLGLWIB-YUNKPMOVSA-N 0 3 309.500 4.099 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H](C)COC(C)C ZINC000337314029 525367100 /nfs/dbraw/zinc/36/71/00/525367100.db2.gz WLQNTLYKPGBFBT-DZGCQCFKSA-N 0 3 303.368 4.392 20 0 DIADHN C[C@@H](NCC[S@@](=O)C(C)(C)C)c1cc2ccccc2s1 ZINC000188028381 525459593 /nfs/dbraw/zinc/45/95/93/525459593.db2.gz ZNYPAHOTLGLWIB-MPBGBICISA-N 0 3 309.500 4.099 20 0 DIADHN Cc1cccnc1[C@@H](NC[C@H](O)c1ccccc1Cl)C(C)C ZINC000266029421 525548968 /nfs/dbraw/zinc/54/89/68/525548968.db2.gz ZXKSEXPQOFYOTJ-IRXDYDNUSA-N 0 3 318.848 4.064 20 0 DIADHN Cc1cccnc1[C@H](NC[C@@H](O)c1ccccc1Cl)C(C)C ZINC000266029419 525554137 /nfs/dbraw/zinc/55/41/37/525554137.db2.gz ZXKSEXPQOFYOTJ-IAGOWNOFSA-N 0 3 318.848 4.064 20 0 DIADHN C[C@H](N[C@@H]1CCOC2(CCCCC2)C1)c1cccnc1Cl ZINC000127496642 525572402 /nfs/dbraw/zinc/57/24/02/525572402.db2.gz KYTXPCRZFUEWNJ-UONOGXRCSA-N 0 3 308.853 4.268 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(OCC2CC2)c(F)c1 ZINC000177572920 525617296 /nfs/dbraw/zinc/61/72/96/525617296.db2.gz JIGKEDAINPCWAM-UONOGXRCSA-N 0 3 314.404 4.421 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1cnn(C)c1 ZINC000132230511 525629975 /nfs/dbraw/zinc/62/99/75/525629975.db2.gz YAXBYPZQWOWBIB-SJKOYZFVSA-N 0 3 303.837 4.266 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000182158992 525645844 /nfs/dbraw/zinc/64/58/44/525645844.db2.gz HKYJBDCWVSEILP-UHNFBRDESA-N 0 3 309.381 4.207 20 0 DIADHN C[C@@H](N[C@H](c1ccc(F)cc1)C1CCCC1)c1ccnn1C ZINC000192771935 525669196 /nfs/dbraw/zinc/66/91/96/525669196.db2.gz XBWJGWOHFNEUAN-ACJLOTCBSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1c(Cl)c(CN[C@H](C)C2CCOCC2)nc2ccccc12 ZINC000179859851 525745402 /nfs/dbraw/zinc/74/54/02/525745402.db2.gz BADMWBNTESEICN-CYBMUJFWSA-N 0 3 318.848 4.101 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccc2c(c1)OCCCO2 ZINC000161873615 525886478 /nfs/dbraw/zinc/88/64/78/525886478.db2.gz OGDCPXQVSKHCKT-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)cnn1C(C)(C)C ZINC000191232878 525903416 /nfs/dbraw/zinc/90/34/16/525903416.db2.gz BJLJNCZXUMCITD-KZULUSFZSA-N 0 3 315.436 4.424 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(Cn3cccn3)c2)s1 ZINC000129369593 525927660 /nfs/dbraw/zinc/92/76/60/525927660.db2.gz JUYLUROKDUNPFN-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000266965678 526054482 /nfs/dbraw/zinc/05/44/82/526054482.db2.gz YDMKYCVTSCZJSI-DGCLKSJQSA-N 0 3 307.800 4.143 20 0 DIADHN Cc1cc(Br)cc(C)c1CN[C@@H](C)c1cccnc1 ZINC000264832401 526154251 /nfs/dbraw/zinc/15/42/51/526154251.db2.gz DIJBGJDUMHIXCW-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(Br)cc(C)c1CN[C@H](C)c1ccncc1 ZINC000266469972 526154510 /nfs/dbraw/zinc/15/45/10/526154510.db2.gz NRZZFIHEHWDRBY-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](CC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1F ZINC000102604047 526248661 /nfs/dbraw/zinc/24/86/61/526248661.db2.gz YGIWAJWNNASMPL-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN O[C@H](C[C@@H]1CCCCCN1Cc1cccnc1)c1cccs1 ZINC000267439856 526295114 /nfs/dbraw/zinc/29/51/14/526295114.db2.gz BOXPGPNEHIPEHY-DLBZAZTESA-N 0 3 316.470 4.011 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)c(F)c1 ZINC000339523467 526304004 /nfs/dbraw/zinc/30/40/04/526304004.db2.gz LTSHOHGSTPJQHQ-IUODEOHRSA-N 0 3 320.358 4.315 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCC[C@H](c3cccnc3)C2)c(F)c1 ZINC000339523469 526304629 /nfs/dbraw/zinc/30/46/29/526304629.db2.gz LTSHOHGSTPJQHQ-SWLSCSKDSA-N 0 3 320.358 4.315 20 0 DIADHN Cc1csc(CCCCN[C@@H]2CCc3c2c(F)ccc3F)n1 ZINC000338220913 526339864 /nfs/dbraw/zinc/33/98/64/526339864.db2.gz UBPJODQJWWMCAG-OAHLLOKOSA-N 0 3 322.424 4.330 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000337348679 526348947 /nfs/dbraw/zinc/34/89/47/526348947.db2.gz ZGKRPLHEJJOGHT-GUYCJALGSA-N 0 3 302.368 4.176 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cccc2cccnc21 ZINC000191656764 526366703 /nfs/dbraw/zinc/36/67/03/526366703.db2.gz ATXSVXDGGUYGGE-GOSISDBHSA-N 0 3 304.393 4.108 20 0 DIADHN Fc1ccc2[nH]cc(CCCNCc3ccccc3F)c2c1 ZINC000337286163 526369207 /nfs/dbraw/zinc/36/92/07/526369207.db2.gz MALLYYHTRUPMLP-UHFFFAOYSA-N 0 3 300.352 4.169 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(OCC3CC3)CC1)CCC2 ZINC000337979727 526372995 /nfs/dbraw/zinc/37/29/95/526372995.db2.gz WEDFZZXWUQJEGD-LJQANCHMSA-N 0 3 303.421 4.094 20 0 DIADHN Fc1cccc(C2(NCc3cccc4cc[nH]c43)CCOCC2)c1 ZINC000237749383 526381742 /nfs/dbraw/zinc/38/17/42/526381742.db2.gz DHFOJPDYHZBWPN-UHFFFAOYSA-N 0 3 324.399 4.103 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H](C)c2cnn(C(C)(C)C)c2C)n1 ZINC000191497659 526407279 /nfs/dbraw/zinc/40/72/79/526407279.db2.gz YCTDJABDHNEZHT-WCQYABFASA-N 0 3 320.506 4.166 20 0 DIADHN c1cc2cccc(CNCc3ccc(OC4CCCC4)nc3)c2[nH]1 ZINC000236639101 526450340 /nfs/dbraw/zinc/45/03/40/526450340.db2.gz UNMPTILKPZKHHP-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN c1ccc2c(c1)NCCC[C@H]2NCc1cccc2cccnc21 ZINC000192777513 526509658 /nfs/dbraw/zinc/50/96/58/526509658.db2.gz IPKZJESKIWEBQN-LJQANCHMSA-N 0 3 303.409 4.271 20 0 DIADHN c1cnn(Cc2ccc(CN[C@H](c3ccccc3)C3CC3)cc2)c1 ZINC000179990854 526555171 /nfs/dbraw/zinc/55/51/71/526555171.db2.gz RYULWWKHQYTHPL-OAQYLSRUSA-N 0 3 317.436 4.172 20 0 DIADHN Cc1n[nH]cc1CN[C@H](c1cccc(C(F)(F)F)c1)C(C)C ZINC000236785179 526556596 /nfs/dbraw/zinc/55/65/96/526556596.db2.gz SYQSPADITGTFMN-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN Cc1n[nH]cc1CN[C@H](CCc1ccccc1)c1ccccc1 ZINC000237556715 526556812 /nfs/dbraw/zinc/55/68/12/526556812.db2.gz SYJBBSMKWVBZIX-HXUWFJFHSA-N 0 3 305.425 4.182 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NC[C@@H]3CCCCO3)cs2)cs1 ZINC000156413013 526561697 /nfs/dbraw/zinc/56/16/97/526561697.db2.gz SULNBDPIOWCGAX-RISCZKNCSA-N 0 3 322.499 4.400 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000177928490 526607795 /nfs/dbraw/zinc/60/77/95/526607795.db2.gz MICMEHJKQQXLEV-DGCLKSJQSA-N 0 3 320.433 4.087 20 0 DIADHN NC(=O)C[C@@H](NCc1ccc(C2CCCC2)cc1)c1ccccc1 ZINC000339534721 526903765 /nfs/dbraw/zinc/90/37/65/526903765.db2.gz MDTWZVNCHXKORD-HXUWFJFHSA-N 0 3 322.452 4.051 20 0 DIADHN Cc1ncc(CNCCC(c2ccccc2)c2ccccc2)cn1 ZINC000339285988 527270508 /nfs/dbraw/zinc/27/05/08/527270508.db2.gz WBAMTFNLRJNJBC-UHFFFAOYSA-N 0 3 317.436 4.097 20 0 DIADHN Cc1ncc(CNCCc2nc3cc(Cl)ccc3s2)s1 ZINC000268027053 527270709 /nfs/dbraw/zinc/27/07/09/527270709.db2.gz JQOPGYFHAXPNDB-UHFFFAOYSA-N 0 3 323.874 4.047 20 0 DIADHN Cn1ccc(CN[C@@H]2CCCc3nc(-c4ccccc4)sc32)c1 ZINC000158470088 527358243 /nfs/dbraw/zinc/35/82/43/527358243.db2.gz JUAMVCCNEJBDSC-MRXNPFEDSA-N 0 3 323.465 4.316 20 0 DIADHN Cn1cccc1CN[C@@H]1CCCc2nc(-c3ccccc3)sc21 ZINC000265657223 527399131 /nfs/dbraw/zinc/39/91/31/527399131.db2.gz PZPWWMGPHOUZMT-MRXNPFEDSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H](C)Cc1ccccc1C(F)(F)F ZINC000188442472 527458061 /nfs/dbraw/zinc/45/80/61/527458061.db2.gz FDMPBRVUVRMKFW-YPMHNXCESA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000188468871 527458397 /nfs/dbraw/zinc/45/83/97/527458397.db2.gz YTJZRHTZPSAHAA-VPWXQRGCSA-N 0 3 309.457 4.395 20 0 DIADHN O=C(Nc1ccsc1Cl)c1cccc(CN2CCCC2)c1 ZINC000189503840 527472735 /nfs/dbraw/zinc/47/27/35/527472735.db2.gz SNBAHMVTUCWOEH-UHFFFAOYSA-N 0 3 320.845 4.250 20 0 DIADHN Cn1cncc1CN1CCCCC[C@H]1Cc1ccccc1Cl ZINC000339500012 527507800 /nfs/dbraw/zinc/50/78/00/527507800.db2.gz KSVATGPCWLIGAQ-INIZCTEOSA-N 0 3 317.864 4.061 20 0 DIADHN OCCC[C@H](N[C@H]1CCCc2sccc21)c1ccccc1 ZINC000269059324 527544998 /nfs/dbraw/zinc/54/49/98/527544998.db2.gz TWOUDIZPVMNJML-IRXDYDNUSA-N 0 3 301.455 4.229 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H](CC1CCC1)c1ccccc1 ZINC000181263845 527579027 /nfs/dbraw/zinc/57/90/27/527579027.db2.gz RVZUPZWQSHSPRD-RBUKOAKNSA-N 0 3 309.457 4.319 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cccc2[nH]ccc21 ZINC000131218813 527584327 /nfs/dbraw/zinc/58/43/27/527584327.db2.gz SVUFJGRXTRDJNR-CYBMUJFWSA-N 0 3 310.445 4.279 20 0 DIADHN OCc1ccc(CN[C@H](c2ccc(Cl)cc2)C2CC2)cc1F ZINC000180047500 527633535 /nfs/dbraw/zinc/63/35/35/527633535.db2.gz OPPTXYHIILZBBB-SFHVURJKSA-N 0 3 319.807 4.212 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(C)ccn1)c1ccc(Cl)cc1 ZINC000285967057 536515159 /nfs/dbraw/zinc/51/51/59/536515159.db2.gz GXNNJTPNLGXDSG-DYVFJYSZSA-N 0 3 304.821 4.082 20 0 DIADHN CC(C)N(Cc1nc2ccc(Cl)cc2c(=O)[nH]1)C1CCCC1 ZINC000298621561 535120219 /nfs/dbraw/zinc/12/02/19/535120219.db2.gz NKHXFXHNRKVDGS-UHFFFAOYSA-N 0 3 319.836 4.142 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@H](C)c2cccnc2Cl)CCO1 ZINC000272544163 535130569 /nfs/dbraw/zinc/13/05/69/535130569.db2.gz CGXHNWYUDUSIAB-XPKDYRNWSA-N 0 3 310.869 4.369 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@H]2C[C@H](C)c3ccccc32)c1 ZINC000289090192 535144310 /nfs/dbraw/zinc/14/43/10/535144310.db2.gz BMWDTFCEGORXIB-YWZLYKJASA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)(O)C(C)(C)NCc1nc(-c2ccccc2Cl)cs1 ZINC000274874529 535273978 /nfs/dbraw/zinc/27/39/78/535273978.db2.gz YDDKHTGJXWZXDJ-UHFFFAOYSA-N 0 3 324.877 4.103 20 0 DIADHN CCOCCC1(CN[C@H](C)c2ccc(Cl)cn2)CCCC1 ZINC000274897754 535488810 /nfs/dbraw/zinc/48/88/10/535488810.db2.gz KQAVNBHEYCAWHE-CQSZACIVSA-N 0 3 310.869 4.373 20 0 DIADHN CCSc1cc(CN[C@H](C)c2ccc3c(c2)COC3)ccn1 ZINC000275125727 535732348 /nfs/dbraw/zinc/73/23/48/535732348.db2.gz MLURLKALWFCVKO-CYBMUJFWSA-N 0 3 314.454 4.075 20 0 DIADHN CCSc1cccc(CN[C@@H]2CCCc3[nH]c(=O)ccc32)c1 ZINC000274447126 535742747 /nfs/dbraw/zinc/74/27/47/535742747.db2.gz QEZVPEDOBDHXDC-MRXNPFEDSA-N 0 3 314.454 4.066 20 0 DIADHN CC[C@@H](NCCOCC1CC1)c1ccc(OC(F)(F)F)cc1 ZINC000353408112 535804020 /nfs/dbraw/zinc/80/40/20/535804020.db2.gz YHNMINAQONRLQO-OAHLLOKOSA-N 0 3 317.351 4.053 20 0 DIADHN CC[C@@H](NCc1ccc(F)cc1)c1ccc(OC)c(OC)c1 ZINC000120161487 535806070 /nfs/dbraw/zinc/80/60/70/535806070.db2.gz LUGOPMVMZSFGEP-MRXNPFEDSA-N 0 3 303.377 4.084 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1F ZINC000274068265 535808345 /nfs/dbraw/zinc/80/83/45/535808345.db2.gz OUUHIXFVVOMGKY-ZIAGYGMSSA-N 0 3 307.800 4.321 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1 ZINC000287884716 535808754 /nfs/dbraw/zinc/80/87/54/535808754.db2.gz YPPMGPNURLLSCL-DNVCBOLYSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)OC)c1)c1ccc(C)cc1 ZINC000287498569 535919176 /nfs/dbraw/zinc/91/91/76/535919176.db2.gz NQOZIRIYOZIFOK-SFHVURJKSA-N 0 3 312.413 4.414 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)C1CCCCCCC1 ZINC000275719072 535928998 /nfs/dbraw/zinc/92/89/98/535928998.db2.gz VUYPERVDBYITGK-UHFFFAOYSA-N 0 3 302.462 4.090 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H](C(F)(F)F)C1)c1cc(F)ccc1F ZINC000287704013 535939475 /nfs/dbraw/zinc/93/94/75/535939475.db2.gz NSYVGQVICOYVSC-SWHYSGLUSA-N 0 3 323.305 4.115 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Oc2ccccc2)cn1)C(C)(C)O ZINC000297442527 535941993 /nfs/dbraw/zinc/94/19/93/535941993.db2.gz WGQWHDJQPIIZAV-KDOFPFPSSA-N 0 3 314.429 4.074 20 0 DIADHN CC[C@H](N[C@H](C)c1cncc(C)c1)c1ccc(OC)c(OC)c1 ZINC000287685196 535942941 /nfs/dbraw/zinc/94/29/41/535942941.db2.gz WKDGBBCCNMJKAC-PBHICJAKSA-N 0 3 314.429 4.209 20 0 DIADHN CN(CCCCCO)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000284476262 536332807 /nfs/dbraw/zinc/33/28/07/536332807.db2.gz WAVGLYVVRCEEHO-UHFFFAOYSA-N 0 3 324.877 4.058 20 0 DIADHN CN1CCC(CC(=O)Nc2ccc(Nc3ccccc3)cc2)CC1 ZINC000273204481 536336797 /nfs/dbraw/zinc/33/67/97/536336797.db2.gz KLTZBDBIEFMXMB-UHFFFAOYSA-N 0 3 323.440 4.101 20 0 DIADHN CN(Cc1coc(-c2cccs2)n1)C1CCC(F)(F)CC1 ZINC000287018383 536485524 /nfs/dbraw/zinc/48/55/24/536485524.db2.gz REOYWFTUXYPMHO-UHFFFAOYSA-N 0 3 312.385 4.413 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](Cc2ccccc2)C(C)C)cs1 ZINC000275275878 536512511 /nfs/dbraw/zinc/51/25/11/536512511.db2.gz CXSBUIMUFIOAOO-PBHICJAKSA-N 0 3 318.486 4.207 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)cs1 ZINC000283002588 536513158 /nfs/dbraw/zinc/51/31/58/536513158.db2.gz GJJXAXBAAFENEO-RMHZUWNSSA-N 0 3 316.470 4.132 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2ccc(C)s2)cc1 ZINC000287570085 536619940 /nfs/dbraw/zinc/61/99/40/536619940.db2.gz QOKFEQXLUNGOAU-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN COCc1cc(CNC[C@@H](c2ccc(Cl)cc2)C(C)C)no1 ZINC000298176839 536714149 /nfs/dbraw/zinc/71/41/49/536714149.db2.gz UZKYXEFQFSOZHE-QGZVFWFLSA-N 0 3 322.836 4.004 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@H](C)c2c(F)cncc2F)cc1 ZINC000285791238 536906196 /nfs/dbraw/zinc/90/61/96/536906196.db2.gz VFJHQTLILAYKEO-JHJVBQTASA-N 0 3 320.383 4.211 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@H](C)c2c(F)cncc2F)cc1 ZINC000285791237 536907935 /nfs/dbraw/zinc/90/79/35/536907935.db2.gz VFJHQTLILAYKEO-FRRDWIJNSA-N 0 3 320.383 4.211 20 0 DIADHN COCCCNCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC000013722517 563387587 /nfs/dbraw/zinc/38/75/87/563387587.db2.gz XALLVIQTNHQFPQ-UHFFFAOYSA-N 0 3 314.212 4.380 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)CCc1ccccc1 ZINC000013726286 563663034 /nfs/dbraw/zinc/66/30/34/563663034.db2.gz IKWFLTWIOGWYAS-CQSZACIVSA-N 0 3 303.833 4.460 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)CCc1ccccc1 ZINC000013726282 563663266 /nfs/dbraw/zinc/66/32/66/563663266.db2.gz IKWFLTWIOGWYAS-AWEZNQCLSA-N 0 3 303.833 4.460 20 0 DIADHN CC(C)OCCCNCc1ccccc1OCc1ccccc1 ZINC000013721559 563663271 /nfs/dbraw/zinc/66/32/71/563663271.db2.gz PPJACWYYOMWQSB-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN CCCN(Cc1cc(Br)ccc1OCC)C1CC1 ZINC001167341878 1036824910 /nfs/dbraw/zinc/82/49/10/1036824910.db2.gz NQIKINXSSPTRAT-UHFFFAOYSA-N 0 3 312.251 4.222 20 0 DIADHN COC1(CNCc2ccnc(Cl)c2Cl)CCC(C)CC1 ZINC000811500133 1041525437 /nfs/dbraw/zinc/52/54/37/1041525437.db2.gz UVEJRJZKRYBKHB-UHFFFAOYSA-N 0 3 317.260 4.073 20 0 DIADHN C[C@@H](NCc1ncc(Br)s1)C1CCCCCC1 ZINC000713720018 1044142440 /nfs/dbraw/zinc/14/24/40/1044142440.db2.gz XTBHXAWYMSXRIY-SNVBAGLBSA-N 0 3 317.296 4.354 20 0 DIADHN Brc1cccc(CN2CC[C@@H](c3ccco3)C2)c1 ZINC000601066011 1045182455 /nfs/dbraw/zinc/18/24/55/1045182455.db2.gz DHOPBDXERBZLBU-CYBMUJFWSA-N 0 3 306.203 4.032 20 0 DIADHN C[C@@H](NCc1ccc(Br)cc1Cl)[C@@H]1CC1(F)F ZINC000666445534 1046347869 /nfs/dbraw/zinc/34/78/69/1046347869.db2.gz UZKVPRWOSSDNDD-XCBNKYQSSA-N 0 3 324.596 4.236 20 0 DIADHN Cc1c(Br)cncc1CN1CCCC[C@H]1C1CCC1 ZINC001462413795 1047275949 /nfs/dbraw/zinc/27/59/49/1047275949.db2.gz ZNTMXMUDQJTPGI-INIZCTEOSA-N 0 3 323.278 4.307 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1csc(C(F)(F)F)n1 ZINC001178930766 1049105775 /nfs/dbraw/zinc/10/57/75/1049105775.db2.gz GRGGELYWBALSPN-WDEREUQCSA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)OC[C@H](C)NCc1ccccc1SC(F)(F)F ZINC001207752899 1049934808 /nfs/dbraw/zinc/93/48/08/1049934808.db2.gz JZOYYFVPVQLFJP-NSHDSACASA-N 0 3 307.381 4.202 20 0 DIADHN CN(Cc1cc(Cl)c(Cl)c(Cl)c1)[C@@H]1CCCOC1 ZINC001235599969 1054754709 /nfs/dbraw/zinc/75/47/09/1054754709.db2.gz ZSPPQKDCGMMADC-SNVBAGLBSA-N 0 3 308.636 4.258 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2cc(Cl)ccc2Br)C1 ZINC001560515755 1060314157 /nfs/dbraw/zinc/31/41/57/1060314157.db2.gz IHQZEGNGLUNKLK-NEPJUHHUSA-N 0 3 320.633 4.473 20 0 DIADHN Cc1ccc(CNCc2cc(Br)ccc2C)cc1F ZINC001474212072 1061767826 /nfs/dbraw/zinc/76/78/26/1061767826.db2.gz KQCNVZNOHFZNCM-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN C[C@@H](CCc1ccc(Br)cc1)N1CCC[C@H](F)C1 ZINC001256227469 1062752435 /nfs/dbraw/zinc/75/24/35/1062752435.db2.gz VRTHYWPRNWQXAC-WFASDCNBSA-N 0 3 314.242 4.204 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccsc2)ccc1OC(C)C ZINC000061361017 1035503684 /nfs/dbraw/zinc/50/36/84/1035503684.db2.gz KNCODKHEJGOKKF-ZDUSSCGKSA-N 0 3 305.443 4.395 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@@H](c3ccccc3)C2)cs1 ZINC000683077962 1035511268 /nfs/dbraw/zinc/51/12/68/1035511268.db2.gz BBMYIRDYFPVZPC-HZPDHXFCSA-N 0 3 316.470 4.106 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3F)cc1F ZINC000317141142 1035517718 /nfs/dbraw/zinc/51/77/18/1035517718.db2.gz ONUJCRMXAKUQJM-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN Cc1cccc(CCN2CCC[C@@H]2c2nc3ccccc3s2)n1 ZINC000579704092 1035522431 /nfs/dbraw/zinc/52/24/31/1035522431.db2.gz AEBLHXXNUWSOKX-QGZVFWFLSA-N 0 3 323.465 4.379 20 0 DIADHN CCCN(CCC)CC(=O)Nc1cccc(Cl)c1Cl ZINC000066216334 1035532212 /nfs/dbraw/zinc/53/22/12/1035532212.db2.gz DKRUCIHPGKJXIR-UHFFFAOYSA-N 0 3 303.233 4.054 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000035054238 1035534802 /nfs/dbraw/zinc/53/48/02/1035534802.db2.gz CQZIPZUPEULHGN-QGZVFWFLSA-N 0 3 305.853 4.177 20 0 DIADHN CC(C)CCC(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000301384529 1035578617 /nfs/dbraw/zinc/57/86/17/1035578617.db2.gz HPIAPEPZTPSHGE-INIZCTEOSA-N 0 3 322.518 4.074 20 0 DIADHN Fc1ccc(-c2nc(CNCCc3cccs3)cs2)cc1 ZINC000035163503 1035589509 /nfs/dbraw/zinc/58/95/09/1035589509.db2.gz ZWAJSUQYNJROEP-UHFFFAOYSA-N 0 3 318.442 4.343 20 0 DIADHN C#CCOc1ccccc1CNCc1cccc2ccccc21 ZINC000063775814 1035592328 /nfs/dbraw/zinc/59/23/28/1035592328.db2.gz DAOYTRWVLILDND-UHFFFAOYSA-N 0 3 301.389 4.142 20 0 DIADHN Brc1cnc2c(c1)CN(CCCC1CCCC1)CC2 ZINC001208091736 1035595411 /nfs/dbraw/zinc/59/54/11/1035595411.db2.gz VQGIIYSZNYKBCB-UHFFFAOYSA-N 0 3 323.278 4.173 20 0 DIADHN CCn1cccc1CN1CCC(OCC2CCCCC2)CC1 ZINC000579796979 1035622263 /nfs/dbraw/zinc/62/22/63/1035622263.db2.gz GKAHBNJAFULNFY-UHFFFAOYSA-N 0 3 304.478 4.069 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(Cl)s1 ZINC000035232491 1035634104 /nfs/dbraw/zinc/63/41/04/1035634104.db2.gz WCGBIJAVFUFEDG-JTQLQIEISA-N 0 3 309.818 4.024 20 0 DIADHN COC1CCC(N(C)Cc2cc(Cl)ccc2Cl)CC1 ZINC000607734488 1035701080 /nfs/dbraw/zinc/70/10/80/1035701080.db2.gz CDEKJJXJFVVTHQ-UHFFFAOYSA-N 0 3 302.245 4.383 20 0 DIADHN Cc1nc(COc2ccc(CN[C@H]3CCC[C@H]3F)cc2)cs1 ZINC000339584956 1035766882 /nfs/dbraw/zinc/76/68/82/1035766882.db2.gz QQZWWQDTRJMQCK-SJORKVTESA-N 0 3 320.433 4.011 20 0 DIADHN Clc1cncc(CN2CCC[C@@H]2CCc2ccccc2)c1 ZINC001167072883 1035771505 /nfs/dbraw/zinc/77/15/05/1035771505.db2.gz VOHHXIPRZQJXPO-GOSISDBHSA-N 0 3 300.833 4.332 20 0 DIADHN CC[C@H](NCc1nnc(-c2cccc(Cl)c2)o1)C(C)(C)C ZINC000538392824 1035801621 /nfs/dbraw/zinc/80/16/21/1035801621.db2.gz PPFMTRLYZJPJMQ-ZDUSSCGKSA-N 0 3 307.825 4.304 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CC(c3cccnc3)C2)cc1 ZINC001208690999 1035813430 /nfs/dbraw/zinc/81/34/30/1035813430.db2.gz WRUGJFMPHNDHAP-UHFFFAOYSA-N 0 3 320.358 4.132 20 0 DIADHN CCN(Cc1ccccc1F)C[C@H](C)OCc1ccccc1 ZINC001208702099 1035816883 /nfs/dbraw/zinc/81/68/83/1035816883.db2.gz HMHYUIFPHLQPIQ-INIZCTEOSA-N 0 3 301.405 4.253 20 0 DIADHN Cc1cc(CN(Cc2ccccc2O)C2CCCCC2)no1 ZINC000070976950 1035864084 /nfs/dbraw/zinc/86/40/84/1035864084.db2.gz KUGQOHSMDCOIFH-UHFFFAOYSA-N 0 3 300.402 4.024 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cnn(-c2ccccc2)c1 ZINC000579864097 1035895605 /nfs/dbraw/zinc/89/56/05/1035895605.db2.gz NHIUKPOOJUTJNC-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1cccc(N2CCCC2=O)c1 ZINC000154496198 1035908280 /nfs/dbraw/zinc/90/82/80/1035908280.db2.gz QPPCUFYLGSXLBW-KGLIPLIRSA-N 0 3 314.454 4.287 20 0 DIADHN CCC[C@@H](NCCn1ccnc1)c1ccc(Cl)cc1Cl ZINC000339681807 1035914203 /nfs/dbraw/zinc/91/42/03/1035914203.db2.gz ZXBFKPIVXWHADT-OAHLLOKOSA-N 0 3 312.244 4.321 20 0 DIADHN CCC[C@H](NCCOCCOC)c1ccc(Cl)cc1Cl ZINC000339684742 1035914887 /nfs/dbraw/zinc/91/48/87/1035914887.db2.gz VQYLCQIIXXVYKT-HNNXBMFYSA-N 0 3 320.260 4.087 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(C[C@H](C)C(F)(F)F)C1 ZINC001208893968 1035918154 /nfs/dbraw/zinc/91/81/54/1035918154.db2.gz LXWQOXLRIXYKNF-UONOGXRCSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(C[C@H](C)C(F)(F)F)C2)cc1 ZINC001208895135 1035919734 /nfs/dbraw/zinc/91/97/34/1035919734.db2.gz YJVDQUPUBKWPTP-ZFWWWQNUSA-N 0 3 301.352 4.037 20 0 DIADHN COc1cccc([C@@H]2CCCCN2C[C@H](C)C(F)(F)F)c1 ZINC001208895187 1035920268 /nfs/dbraw/zinc/92/02/68/1035920268.db2.gz ZVBUIOYKMSULRY-WFASDCNBSA-N 0 3 301.352 4.421 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1N[C@H](C)c1nccs1 ZINC000537948314 1035937149 /nfs/dbraw/zinc/93/71/49/1035937149.db2.gz HMZJJPDQZLWUEA-BFYDXBDKSA-N 0 3 316.470 4.492 20 0 DIADHN C[C@@H](NCc1ccccc1Cl)c1cccc(-n2ccnc2)c1 ZINC000579871326 1035945833 /nfs/dbraw/zinc/94/58/33/1035945833.db2.gz FPKAXXPSWAFDFC-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@H]4CCCC[C@@H]43)cnc21 ZINC000339733023 1035946309 /nfs/dbraw/zinc/94/63/09/1035946309.db2.gz BKNZVUJXDYMAJZ-AEFFLSMTSA-N 0 3 312.461 4.167 20 0 DIADHN C[C@H](NC1CCC(O)CC1)c1cc(F)c(Cl)cc1Cl ZINC000037201891 1035961014 /nfs/dbraw/zinc/96/10/14/1035961014.db2.gz CXCIRQSXUZHBIC-IDKOKCKLSA-N 0 3 306.208 4.087 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000533498938 1035992797 /nfs/dbraw/zinc/99/27/97/1035992797.db2.gz AZTJWRAKTXZXEE-KKXDTOCCSA-N 0 3 301.817 4.196 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N(C)C[C@@H](C)c1ccccc1 ZINC000066853998 1035998675 /nfs/dbraw/zinc/99/86/75/1035998675.db2.gz YEEUPJUWGQVPSZ-MSOLQXFVSA-N 0 3 324.468 4.366 20 0 DIADHN COC[C@@H]1CCCCN1CCc1ccc(Cl)cc1Cl ZINC001209080603 1036006342 /nfs/dbraw/zinc/00/63/42/1036006342.db2.gz FARNBHMAXVSMSO-AWEZNQCLSA-N 0 3 302.245 4.037 20 0 DIADHN c1cc(CN[C@@H](CN2CCCCC2)c2ccccc2)cs1 ZINC000075943914 1036020898 /nfs/dbraw/zinc/02/08/98/1036020898.db2.gz PONNUPVUNNLRIS-SFHVURJKSA-N 0 3 300.471 4.065 20 0 DIADHN O=C(CN(CCc1ccccc1)C1CCCC1)Nc1ccccc1 ZINC000109405578 1036028754 /nfs/dbraw/zinc/02/87/54/1036028754.db2.gz BKAHNLYXLQLNOL-UHFFFAOYSA-N 0 3 322.452 4.112 20 0 DIADHN CCOC(=O)[C@H]1CCCN([C@@H](CC)c2ccc(Cl)cc2)C1 ZINC000060113068 1036033285 /nfs/dbraw/zinc/03/32/85/1036033285.db2.gz RDCNPHZTYDNWOW-HOCLYGCPSA-N 0 3 309.837 4.066 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc3ccccc32)c1 ZINC000047452760 1036076893 /nfs/dbraw/zinc/07/68/93/1036076893.db2.gz YYTJDOHZZVIJIH-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN CCC1(O)CCC(NCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000683344555 1036179168 /nfs/dbraw/zinc/17/91/68/1036179168.db2.gz XNNMGGNYUIYJBT-UHFFFAOYSA-N 0 3 320.235 4.306 20 0 DIADHN c1cncc([C@@H](NCc2cccc(-c3ccncc3)c2)C2CC2)c1 ZINC000340108715 1036204204 /nfs/dbraw/zinc/20/42/04/1036204204.db2.gz MUZVXVOYERZHRE-NRFANRHFSA-N 0 3 315.420 4.385 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N([C@H](C)c2nc(C(C)C)no2)C1 ZINC000247061325 1036221700 /nfs/dbraw/zinc/22/17/00/1036221700.db2.gz MAYKGFQPWRZLFN-BZUAXINKSA-N 0 3 313.445 4.440 20 0 DIADHN CCc1ccc(-c2noc(CN(CC)[C@H](C)C(C)C)n2)cc1 ZINC000065298767 1036227958 /nfs/dbraw/zinc/22/79/58/1036227958.db2.gz WXDACTXYOHHUCY-CQSZACIVSA-N 0 3 301.434 4.165 20 0 DIADHN CC(C)COc1ccc(C(C)(C)NCc2cccn2C)cc1 ZINC000119141713 1036240074 /nfs/dbraw/zinc/24/00/74/1036240074.db2.gz OWUMGMDBZHPTHO-UHFFFAOYSA-N 0 3 300.446 4.085 20 0 DIADHN COc1c(Cl)cccc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001140062015 1036240534 /nfs/dbraw/zinc/24/05/34/1036240534.db2.gz GRUPPNYYZWMVJX-AWEZNQCLSA-N 0 3 311.828 4.453 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C2CC2)C2CCCCC2)c1 ZINC001167178586 1036242270 /nfs/dbraw/zinc/24/22/70/1036242270.db2.gz FVEFAINWTGLUIS-UHFFFAOYSA-N 0 3 321.848 4.424 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000119216662 1036242662 /nfs/dbraw/zinc/24/26/62/1036242662.db2.gz HQPDIMFIQANIHX-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN CCCN(CCC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC000066216348 1036255877 /nfs/dbraw/zinc/25/58/77/1036255877.db2.gz IVORZRIPVHSECG-UHFFFAOYSA-N 0 3 303.233 4.054 20 0 DIADHN Oc1ccc(CN2CC[C@H](c3ccc(F)cc3)C2)c(Cl)c1 ZINC001140084328 1036265811 /nfs/dbraw/zinc/26/58/11/1036265811.db2.gz WWXXKZRCYFKGIZ-ZDUSSCGKSA-N 0 3 305.780 4.174 20 0 DIADHN CCCc1ccc(CN[C@H](CC)c2c(C)nn(C)c2C)s1 ZINC000621338769 1036270955 /nfs/dbraw/zinc/27/09/55/1036270955.db2.gz LFMSULTZHRWAAK-MRXNPFEDSA-N 0 3 305.491 4.292 20 0 DIADHN COCCN(C[C@@]1(C)CC1(Cl)Cl)[C@H](C)c1ccccc1 ZINC001167247864 1036317885 /nfs/dbraw/zinc/31/78/85/1036317885.db2.gz FWRIFESEKGHEPT-UKRRQHHQSA-N 0 3 316.272 4.280 20 0 DIADHN c1c(CN2CCC[C@@H]2CC2CCCCC2)nn2c1CCCC2 ZINC001167251961 1036322615 /nfs/dbraw/zinc/32/26/15/1036322615.db2.gz PCBIUZYWZNTTPA-GOSISDBHSA-N 0 3 301.478 4.154 20 0 DIADHN CCC1(C)CCN([C@@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC001167267985 1036350214 /nfs/dbraw/zinc/35/02/14/1036350214.db2.gz PRIJUDYNOUGAHU-GOSISDBHSA-N 0 3 314.473 4.003 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cccc3ccccc31)CC2 ZINC001167273387 1036352471 /nfs/dbraw/zinc/35/24/71/1036352471.db2.gz DZXOOUYYOPPBPW-UHFFFAOYSA-N 0 3 303.405 4.407 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@H]1CCC(C)(C)O1)C2 ZINC001167270366 1036353628 /nfs/dbraw/zinc/35/36/28/1036353628.db2.gz HZLCHQTYCQFJMR-GOSISDBHSA-N 0 3 301.474 4.300 20 0 DIADHN CCCNc1ccc(CNCc2nccc3ccccc32)cc1 ZINC000683436247 1036382476 /nfs/dbraw/zinc/38/24/76/1036382476.db2.gz DRDDDMMPTFTXQR-UHFFFAOYSA-N 0 3 305.425 4.347 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](c2ccccc2)C(C)C)c1 ZINC000008002640 1036453326 /nfs/dbraw/zinc/45/33/26/1036453326.db2.gz UWWMHBYPGGRHOO-FQEVSTJZSA-N 0 3 310.441 4.229 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](c2ccccc2)C(C)C)c1 ZINC000008002639 1036454089 /nfs/dbraw/zinc/45/40/89/1036454089.db2.gz UWWMHBYPGGRHOO-HXUWFJFHSA-N 0 3 310.441 4.229 20 0 DIADHN OCCC[C@@H](N[C@H]1CCc2c1cc(F)cc2F)c1ccccc1 ZINC000121430587 1036454195 /nfs/dbraw/zinc/45/41/95/1036454195.db2.gz FHSOLARSZFSMTM-MOPGFXCFSA-N 0 3 317.379 4.056 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)/C=C\C1CCCCC1 ZINC000255070642 1036461797 /nfs/dbraw/zinc/46/17/97/1036461797.db2.gz QZAVQAVFQDUKDL-QXMHVHEDSA-N 0 3 300.446 4.132 20 0 DIADHN CCCCN(Cc1ccccc1Cl)CC1(CC(=O)OC)CC1 ZINC001167291707 1036472453 /nfs/dbraw/zinc/47/24/53/1036472453.db2.gz VMOKVILMHZWKQO-UHFFFAOYSA-N 0 3 323.864 4.285 20 0 DIADHN Fc1cccc2cc(CNCc3ccc4c(c3)CCC4)cnc12 ZINC001648808475 1036478089 /nfs/dbraw/zinc/47/80/89/1036478089.db2.gz QTYONUFCUSPSGT-UHFFFAOYSA-N 0 3 306.384 4.152 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1cccc2ncccc12 ZINC000634687378 1036514168 /nfs/dbraw/zinc/51/41/68/1036514168.db2.gz JFESDJYXRPSUQM-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@H]1CCCn2ccnc21 ZINC000655738857 1036514780 /nfs/dbraw/zinc/51/47/80/1036514780.db2.gz ZWMNGIFOTCWURE-NHYWBVRUSA-N 0 3 324.255 4.246 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(OC(C)(C)C)cc2)ccn1 ZINC000655741469 1036514891 /nfs/dbraw/zinc/51/48/91/1036514891.db2.gz IRCTVKUFQQWXBA-AWEZNQCLSA-N 0 3 314.429 4.118 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3cccc(F)c3F)CC2)o1 ZINC000650253356 1036529270 /nfs/dbraw/zinc/52/92/70/1036529270.db2.gz OZXPVPKYSMAWHZ-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN CCC[C@H](N[C@H]1CCc2ccccc2NC1=O)c1ccsc1 ZINC000655792252 1036544403 /nfs/dbraw/zinc/54/44/03/1036544403.db2.gz YGAKHORYQYGEBB-RDJZCZTQSA-N 0 3 314.454 4.132 20 0 DIADHN CCOCCNCc1cc(F)ccc1Oc1ccc(Cl)cc1 ZINC001648821868 1036587782 /nfs/dbraw/zinc/58/77/82/1036587782.db2.gz DFQQNRWHLOFNAK-UHFFFAOYSA-N 0 3 323.795 4.398 20 0 DIADHN C[C@@H](Cc1ccccn1)NCc1csc(Cl)c1Cl ZINC000655843091 1036594990 /nfs/dbraw/zinc/59/49/90/1036594990.db2.gz PTYZJSJOBNJSJM-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN c1c[nH]c([C@@H](NC[C@H]2CCC3(CCCC3)O2)C2CCCCC2)n1 ZINC000655851826 1036604213 /nfs/dbraw/zinc/60/42/13/1036604213.db2.gz HNHXRGXRWWPMLO-SJORKVTESA-N 0 3 317.477 4.113 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@H]2CCC3(CCCC3)O2)s1 ZINC000655860565 1036617920 /nfs/dbraw/zinc/61/79/20/1036617920.db2.gz GKOFGUBHBNPYAV-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(-c3ccccc3C)c2)cc1 ZINC001648839655 1036619581 /nfs/dbraw/zinc/61/95/81/1036619581.db2.gz VVYHIDZVFZYVIS-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H](C)c2sccc2C)c1 ZINC000070091020 1036622441 /nfs/dbraw/zinc/62/24/41/1036622441.db2.gz SRCOFKQONSVKID-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN CC[C@H](NC(=O)[C@@H](C)N1CCCCCC1)c1cc(C)ccc1C ZINC001648850970 1036640487 /nfs/dbraw/zinc/64/04/87/1036640487.db2.gz QHWRLLMGUHIZEP-MJGOQNOKSA-N 0 3 316.489 4.135 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000340453303 1036643105 /nfs/dbraw/zinc/64/31/05/1036643105.db2.gz DTEXMUFALYRODO-GJZGRUSLSA-N 0 3 324.403 4.127 20 0 DIADHN CC(C)[C@@H](NCc1ccc(Br)cn1)c1ccccc1 ZINC000070395915 1036665389 /nfs/dbraw/zinc/66/53/89/1036665389.db2.gz FMYLIBAPNWEYTK-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(OCC(F)F)cc2)c1 ZINC001648882455 1036669997 /nfs/dbraw/zinc/66/99/97/1036669997.db2.gz OTUIWOIZEPEWJH-ZDUSSCGKSA-N 0 3 321.367 4.190 20 0 DIADHN CCCCC1CCC(NCc2nc(Br)c[nH]2)CC1 ZINC001648902933 1036681756 /nfs/dbraw/zinc/68/17/56/1036681756.db2.gz BYIXIPDZFPHHMJ-UHFFFAOYSA-N 0 3 314.271 4.011 20 0 DIADHN N#Cc1cccc(CNCc2ccc(SC(F)(F)F)cc2)c1 ZINC000073870136 1036695353 /nfs/dbraw/zinc/69/53/53/1036695353.db2.gz LGMOPAVPDMUADH-UHFFFAOYSA-N 0 3 322.355 4.460 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CC[C@H](C)c3ccccc32)c1 ZINC000155484027 1036699091 /nfs/dbraw/zinc/69/90/91/1036699091.db2.gz MMJRCBOEQFHKAY-XOBRGWDASA-N 0 3 308.425 4.373 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@H]1CCc2ccc(F)cc21 ZINC000579998286 1036701226 /nfs/dbraw/zinc/70/12/26/1036701226.db2.gz UXOPCSSAOJKMEP-IRXDYDNUSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2ccc(OC(C)C)cc2)s1 ZINC000076866622 1036706082 /nfs/dbraw/zinc/70/60/82/1036706082.db2.gz UAIFXXKXJCPWPV-GFCCVEGCSA-N 0 3 304.459 4.398 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCCNc2ccccc21 ZINC000683690514 1036712109 /nfs/dbraw/zinc/71/21/09/1036712109.db2.gz JTDCSNOJDDWQMW-MOPGFXCFSA-N 0 3 324.424 4.055 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000683691256 1036714913 /nfs/dbraw/zinc/71/49/13/1036714913.db2.gz XDCROQOSRUJYIP-PMACEKPBSA-N 0 3 324.468 4.474 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000121948640 1036717748 /nfs/dbraw/zinc/71/77/48/1036717748.db2.gz AVWJPKYJXWEWBV-VXGBXAGGSA-N 0 3 319.474 4.201 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccc2c(n1)CCCC2 ZINC000683694177 1036719141 /nfs/dbraw/zinc/71/91/41/1036719141.db2.gz LYPJEIWAKJKPNK-BTYIYWSLSA-N 0 3 306.453 4.374 20 0 DIADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1sc(-c2ccccc2F)nc1C ZINC000683693601 1036720229 /nfs/dbraw/zinc/72/02/29/1036720229.db2.gz HNNCNNMZTAJUNT-NZVBXONLSA-N 0 3 320.433 4.086 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@H](c2cc[nH]n2)C1 ZINC000683696985 1036723070 /nfs/dbraw/zinc/72/30/70/1036723070.db2.gz UUIALHHZKYDPJA-ZDUSSCGKSA-N 0 3 321.827 4.014 20 0 DIADHN COc1cc(CN2C[C@H](C(F)(F)F)CC[C@H]2C)ccc1C ZINC000683708036 1036746189 /nfs/dbraw/zinc/74/61/89/1036746189.db2.gz LLJNTNLIWHXOTC-TZMCWYRMSA-N 0 3 301.352 4.166 20 0 DIADHN Fc1ccc(CN2CCC23CCOCC3)cc1-c1cccs1 ZINC000683718372 1036763168 /nfs/dbraw/zinc/76/31/68/1036763168.db2.gz ATFAUVHJUUBIJM-UHFFFAOYSA-N 0 3 317.429 4.309 20 0 DIADHN CSCc1cccc(CN[C@H]2CCCc3c(O)cccc32)c1 ZINC000340507189 1036769773 /nfs/dbraw/zinc/76/97/73/1036769773.db2.gz WLGFYLBYGFFZPT-SFHVURJKSA-N 0 3 313.466 4.422 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@@H]2CCC[C@H]2F)cc1OCC ZINC000340521378 1036778092 /nfs/dbraw/zinc/77/80/92/1036778092.db2.gz CURHARVOYKDWJT-OWCLPIDISA-N 0 3 309.425 4.415 20 0 DIADHN Cc1c(F)cccc1CN1CCC[C@H](c2nc(C(C)C)no2)C1 ZINC001204511738 1036797453 /nfs/dbraw/zinc/79/74/53/1036797453.db2.gz YKRFYXPJKUERIL-HNNXBMFYSA-N 0 3 317.408 4.020 20 0 DIADHN Fc1ccc2nc(NCc3cnc(C4CCCC4)s3)[nH]c2c1 ZINC000683751919 1036825860 /nfs/dbraw/zinc/82/58/60/1036825860.db2.gz UNLOCLHBNZTRFV-UHFFFAOYSA-N 0 3 316.405 4.428 20 0 DIADHN c1ccc([C@@H](NCc2ccc3cnccc3c2)[C@H]2CCCO2)cc1 ZINC000683767486 1036872118 /nfs/dbraw/zinc/87/21/18/1036872118.db2.gz UWNBUUKNIUAZFR-NHCUHLMSSA-N 0 3 318.420 4.245 20 0 DIADHN CO[C@@H](CNCc1scnc1C1CC1)c1ccccc1Cl ZINC000683771654 1036883639 /nfs/dbraw/zinc/88/36/39/1036883639.db2.gz ZASCOPHGWHVRTK-AWEZNQCLSA-N 0 3 322.861 4.151 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1ccc2cnccc2c1 ZINC000683774876 1036892239 /nfs/dbraw/zinc/89/22/39/1036892239.db2.gz MQWJUXRZHLMCLI-CQSZACIVSA-N 0 3 322.456 4.346 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1ccc2cnccc2c1 ZINC000683774731 1036893169 /nfs/dbraw/zinc/89/31/69/1036893169.db2.gz KHKULHUZIWAOQB-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@@H](n3ccnc3)C2)c1 ZINC000683780391 1036905335 /nfs/dbraw/zinc/90/53/35/1036905335.db2.gz GNDBPNYJDKPGLF-OAHLLOKOSA-N 0 3 324.255 4.070 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C\c2ccccc2)cc1NC(C)=O ZINC000255083541 1036918604 /nfs/dbraw/zinc/91/86/04/1036918604.db2.gz DTEGAKRWZCXJQS-YYRKOSNBSA-N 0 3 324.424 4.018 20 0 DIADHN CC(C)Oc1ccccc1CN(C)CCN(C)c1ccccc1 ZINC001167350086 1036936640 /nfs/dbraw/zinc/93/66/40/1036936640.db2.gz BZMGVQGWVAWVOZ-UHFFFAOYSA-N 0 3 312.457 4.042 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3noc4c3CCCC4)C2)cc1 ZINC000683800263 1036942445 /nfs/dbraw/zinc/94/24/45/1036942445.db2.gz JVWNKKWWPLBSOF-NVXWUHKLSA-N 0 3 310.441 4.240 20 0 DIADHN COc1cncc(CN[C@H](c2cccc(F)c2)C2CCCC2)c1 ZINC000580120618 1036959548 /nfs/dbraw/zinc/95/95/48/1036959548.db2.gz FPOJGMMPNJSZCA-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN COCC1(CN[C@H](c2ccccc2)c2ccccn2)CCCCC1 ZINC000564427565 1036987609 /nfs/dbraw/zinc/98/76/09/1036987609.db2.gz LOUJCTPNWAJEMJ-HXUWFJFHSA-N 0 3 324.468 4.358 20 0 DIADHN CC(C)(C)c1ccc(CN[C@@](C)(CO)c2ccccc2)s1 ZINC000122533612 1036995700 /nfs/dbraw/zinc/99/57/00/1036995700.db2.gz HKRGEMHACXAERR-SFHVURJKSA-N 0 3 303.471 4.043 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc2cnccc2c1 ZINC000683840856 1037007619 /nfs/dbraw/zinc/00/76/19/1037007619.db2.gz VTSUITNWALTMJC-MLGOLLRUSA-N 0 3 308.347 4.398 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1csc2ccccc12 ZINC000076866582 1037009894 /nfs/dbraw/zinc/00/98/94/1037009894.db2.gz JUAAYOHANOZGFP-UHFFFAOYSA-N 0 3 320.417 4.033 20 0 DIADHN CC(C)Cc1ccc(CC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000048174179 1037029542 /nfs/dbraw/zinc/02/95/42/1037029542.db2.gz MADZARWMFYQPMA-UHFFFAOYSA-N 0 3 324.468 4.128 20 0 DIADHN CC(C)(CCN1CCC[C@H]1C(=O)OCc1ccccc1)C1CC1 ZINC001167380640 1037055201 /nfs/dbraw/zinc/05/52/01/1037055201.db2.gz HNKUNRCFWUSKDT-SFHVURJKSA-N 0 3 315.457 4.021 20 0 DIADHN CC(C)(CCN1CCC[C@@H]1C(=O)OCc1ccccc1)C1CC1 ZINC001167380638 1037056870 /nfs/dbraw/zinc/05/68/70/1037056870.db2.gz HNKUNRCFWUSKDT-GOSISDBHSA-N 0 3 315.457 4.021 20 0 DIADHN O[C@@H](CN1CCC[C@]2(CC=CCC2)C1)c1ccc(F)cc1Cl ZINC001167382313 1037069052 /nfs/dbraw/zinc/06/90/52/1037069052.db2.gz XTNITZMOBLNXKR-ZWKOTPCHSA-N 0 3 323.839 4.335 20 0 DIADHN O[C@@H](CN1CCC[C@@]2(CC=CCC2)C1)c1ccc(F)cc1Cl ZINC001167382312 1037070721 /nfs/dbraw/zinc/07/07/21/1037070721.db2.gz XTNITZMOBLNXKR-ROUUACIJSA-N 0 3 323.839 4.335 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(OC)c(Cl)c2)c1 ZINC000086585547 1037099102 /nfs/dbraw/zinc/09/91/02/1037099102.db2.gz WWFLGWFJOAOFCX-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC/C=C\c1ccccc1 ZINC000255501592 1037108307 /nfs/dbraw/zinc/10/83/07/1037108307.db2.gz LTPPIZJAZSXDNL-XFFZJAGNSA-N 0 3 322.452 4.489 20 0 DIADHN CC(C)(NCc1cc2ccccc2[nH]1)c1ccc(F)c(F)c1 ZINC000683928610 1037115842 /nfs/dbraw/zinc/11/58/42/1037115842.db2.gz GURRURCYFNTRKZ-UHFFFAOYSA-N 0 3 300.352 4.471 20 0 DIADHN Fc1cccc(C2(NCc3cc4ccccc4[nH]3)CCOCC2)c1 ZINC000683930088 1037119545 /nfs/dbraw/zinc/11/95/45/1037119545.db2.gz VRNRLPFMQOKSJY-UHFFFAOYSA-N 0 3 324.399 4.103 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@@H]1CCCN(C)C1 ZINC000617913806 1037142908 /nfs/dbraw/zinc/14/29/08/1037142908.db2.gz WZUXLFZBMGWKCF-OAHLLOKOSA-N 0 3 317.477 4.149 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001167405447 1037149964 /nfs/dbraw/zinc/14/99/64/1037149964.db2.gz FLVFJOZOTKCEGP-QWRGUYRKSA-N 0 3 317.260 4.298 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(F)cc1Cl ZINC000580483978 1037159148 /nfs/dbraw/zinc/15/91/48/1037159148.db2.gz YLIHVASTGGUQMI-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000255955607 1037164728 /nfs/dbraw/zinc/16/47/28/1037164728.db2.gz UQKNRLIKUTTXHX-ARFHVFGLSA-N 0 3 312.457 4.184 20 0 DIADHN C[C@@H]1SCCN(C[C@H]2CCC(C)(C)CO2)[C@@H]1c1ccccc1 ZINC001167411948 1037166524 /nfs/dbraw/zinc/16/65/24/1037166524.db2.gz FPRQNDWXWICQEP-JQHSSLGASA-N 0 3 319.514 4.370 20 0 DIADHN C[C@@H]1CN(CCCC2CCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001167411235 1037166599 /nfs/dbraw/zinc/16/65/99/1037166599.db2.gz AZAVRTLQCCQMIJ-IYBDPMFKSA-N 0 3 324.509 4.287 20 0 DIADHN C[C@@H]1CN(CCCC2CCCC2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001167411234 1037167738 /nfs/dbraw/zinc/16/77/38/1037167738.db2.gz AZAVRTLQCCQMIJ-HZPDHXFCSA-N 0 3 324.509 4.287 20 0 DIADHN C[C@H]1CN(CCC2=CCCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001167412509 1037170154 /nfs/dbraw/zinc/17/01/54/1037170154.db2.gz MCRYJHOXQARCLK-HOTGVXAUSA-N 0 3 322.493 4.207 20 0 DIADHN CC(C)(C)c1cc(Nc2cnccc2Br)ccn1 ZINC001211223436 1037218738 /nfs/dbraw/zinc/21/87/38/1037218738.db2.gz ZCRSDKCDQKHTOO-UHFFFAOYSA-N 0 3 306.207 4.280 20 0 DIADHN Cc1cccc(CN2CC[C@@H](c3ccco3)C2)c1Br ZINC001167421423 1037218948 /nfs/dbraw/zinc/21/89/48/1037218948.db2.gz TUSAJEMEFHSMTQ-CYBMUJFWSA-N 0 3 320.230 4.340 20 0 DIADHN c1oc(C2CC2)nc1CN[C@@H]1CCCC[C@H]1Cc1ccccc1 ZINC000728302847 1037226583 /nfs/dbraw/zinc/22/65/83/1037226583.db2.gz YYXDKOSSQYNWHC-PKOBYXMFSA-N 0 3 310.441 4.443 20 0 DIADHN CC(C)[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)CC1 ZINC001167424765 1037229579 /nfs/dbraw/zinc/22/95/79/1037229579.db2.gz MXJGSZLAOUGRGQ-GJZGRUSLSA-N 0 3 322.880 4.425 20 0 DIADHN CCn1nccc1C1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC000684007174 1037232973 /nfs/dbraw/zinc/23/29/73/1037232973.db2.gz SGCOZPVULKLRMS-CQSZACIVSA-N 0 3 317.864 4.497 20 0 DIADHN C[C@@H](CN1CC2(CC2(F)F)C1)c1ccc(C(F)(F)F)cc1 ZINC000656265703 1037236307 /nfs/dbraw/zinc/23/63/07/1037236307.db2.gz YCGXEVXGQJYHPG-JTQLQIEISA-N 0 3 305.290 4.150 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@H](Nc2ccccc2)C1 ZINC000684020478 1037248456 /nfs/dbraw/zinc/24/84/56/1037248456.db2.gz CVIHTHGGWNGLNS-SFHVURJKSA-N 0 3 305.425 4.244 20 0 DIADHN Cc1ccc(C2CCN(Cc3cc4ccccc4[nH]3)CC2)cn1 ZINC000684025247 1037253886 /nfs/dbraw/zinc/25/38/86/1037253886.db2.gz NVKOBAONJDXQBV-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN C[C@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000564844311 1037274210 /nfs/dbraw/zinc/27/42/10/1037274210.db2.gz JZXRECXUPVUXML-MEDUHNTESA-N 0 3 310.224 4.053 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000087780332 1037284161 /nfs/dbraw/zinc/28/41/61/1037284161.db2.gz MSJIBJFUFOMSHM-DOMZBBRYSA-N 0 3 324.262 4.222 20 0 DIADHN Cc1cnccc1CN[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000580755485 1037290816 /nfs/dbraw/zinc/29/08/16/1037290816.db2.gz FMFNDWBCOLLHBA-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1cc(F)c(Cl)cc1Cl ZINC000222628607 1037299884 /nfs/dbraw/zinc/29/98/84/1037299884.db2.gz AEGWUZDEIOGFID-PVJOKMEKSA-N 0 3 318.219 4.351 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1cccc(Cl)c1Cl ZINC000222659901 1037315720 /nfs/dbraw/zinc/31/57/20/1037315720.db2.gz QJBPFCOJSIRNGO-JBCWJZNQSA-N 0 3 300.229 4.212 20 0 DIADHN CCc1nn(-c2ccccc2)c(CC)c1CNCc1ccccc1 ZINC000684112656 1037363460 /nfs/dbraw/zinc/36/34/60/1037363460.db2.gz ZDXLCWNIRYZAHW-UHFFFAOYSA-N 0 3 319.452 4.287 20 0 DIADHN c1ccc(COc2ccc(CN3CCC[C@@H]4CCC[C@H]43)cn2)cc1 ZINC001167439202 1037366704 /nfs/dbraw/zinc/36/67/04/1037366704.db2.gz UBUMBELBEHEGHF-VQTJNVASSA-N 0 3 322.452 4.425 20 0 DIADHN COc1cccc([C@H](C)NCc2ccccc2-n2ccc(C)n2)c1 ZINC000127639530 1037375634 /nfs/dbraw/zinc/37/56/34/1037375634.db2.gz VECDPCVWMJXUQH-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1cc(CNCc2cc(Br)ccc2C)cc(C)n1 ZINC001203155828 1037395157 /nfs/dbraw/zinc/39/51/57/1037395157.db2.gz KKNVPCRKMKOBHX-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN CC(C)c1ccc(CNCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC001648910249 1037414922 /nfs/dbraw/zinc/41/49/22/1037414922.db2.gz YLMXOBKBNCAVRE-UHFFFAOYSA-N 0 3 305.425 4.490 20 0 DIADHN CC(C)c1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC001648910249 1037414929 /nfs/dbraw/zinc/41/49/29/1037414929.db2.gz YLMXOBKBNCAVRE-UHFFFAOYSA-N 0 3 305.425 4.490 20 0 DIADHN Cc1cc(C)c(CNC(C)(C)c2ccc(F)c(F)c2)c(C)n1 ZINC000639567511 1037426640 /nfs/dbraw/zinc/42/66/40/1037426640.db2.gz RRJIBBVOELLVEI-UHFFFAOYSA-N 0 3 304.384 4.310 20 0 DIADHN CC(C)OC1CCC(NCc2csc(C(C)(C)C)n2)CC1 ZINC000130180103 1037453265 /nfs/dbraw/zinc/45/32/65/1037453265.db2.gz MFFBMOSWVPQFMN-UHFFFAOYSA-N 0 3 310.507 4.266 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H](CCCO)c2ccccc2)c1 ZINC000160782157 1037454263 /nfs/dbraw/zinc/45/42/63/1037454263.db2.gz FMHJMRJUEWSHAU-OXQOHEQNSA-N 0 3 313.441 4.250 20 0 DIADHN Cc1cncc(CNC(C)(C)c2cccc(C(F)(F)F)c2)c1 ZINC000276058978 1037478076 /nfs/dbraw/zinc/47/80/76/1037478076.db2.gz PVKGSXPVAIQXFR-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN CC(C)(NCc1cnc(-c2ccccc2)[nH]1)c1ccc(F)cc1 ZINC000175988894 1037496260 /nfs/dbraw/zinc/49/62/60/1037496260.db2.gz GOMDLCKKJCAKDD-UHFFFAOYSA-N 0 3 309.388 4.241 20 0 DIADHN C/C=C(\CC)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001625869314 1037500160 /nfs/dbraw/zinc/50/01/60/1037500160.db2.gz BJOOOUXAHWKDKW-VZUCSPMQSA-N 0 3 314.351 4.062 20 0 DIADHN Cc1ccc([C@H](NCc2ncccc2N(C)C)C2CCCC2)cc1 ZINC000581303390 1037524878 /nfs/dbraw/zinc/52/48/78/1037524878.db2.gz WXLOEPWNPMAKKJ-OAQYLSRUSA-N 0 3 323.484 4.477 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCCN1Cc1cscc1C(F)(F)F ZINC000581362818 1037556973 /nfs/dbraw/zinc/55/69/73/1037556973.db2.gz INTJGSSIKWKDRV-YPMHNXCESA-N 0 3 321.408 4.282 20 0 DIADHN CSCC1CCC(NCc2nc(C(F)(F)F)cs2)CC1 ZINC000449160196 1037592520 /nfs/dbraw/zinc/59/25/20/1037592520.db2.gz AHWWJKRYFUPGDJ-UHFFFAOYSA-N 0 3 324.437 4.173 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001125497731 1037601373 /nfs/dbraw/zinc/60/13/73/1037601373.db2.gz BAPCLLIHLDJKHV-UHFFFAOYSA-N 0 3 318.505 4.290 20 0 DIADHN CCCC[C@H](CC)CC(=O)N(CCN(C)C)c1ccccc1 ZINC001125498357 1037607464 /nfs/dbraw/zinc/60/74/64/1037607464.db2.gz GFYUXOBISVNPTG-KRWDZBQOSA-N 0 3 304.478 4.188 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(F)cc2F)s1 ZINC000754676497 1037616280 /nfs/dbraw/zinc/61/62/80/1037616280.db2.gz SBCBXKQGRCQBMU-QWRGUYRKSA-N 0 3 324.396 4.013 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N(CCN(C)C)c1ccccc1 ZINC001125500121 1037621612 /nfs/dbraw/zinc/62/16/12/1037621612.db2.gz RJYIKJOXLIZJJO-QGZVFWFLSA-N 0 3 304.478 4.188 20 0 DIADHN COCC[C@H](N[C@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000341022236 1037622710 /nfs/dbraw/zinc/62/27/10/1037622710.db2.gz VHYKYTHJMJPLOG-APWZRJJASA-N 0 3 313.441 4.432 20 0 DIADHN CCC[C@H](NCc1cc(C(=O)OC)sc1C)c1ccccc1 ZINC000341066493 1037663533 /nfs/dbraw/zinc/66/35/33/1037663533.db2.gz WERQDCLFBRKNLK-INIZCTEOSA-N 0 3 317.454 4.474 20 0 DIADHN COc1cccc(Cl)c1CN[C@H](C)CCc1ccc(O)cc1 ZINC000317562006 1037669192 /nfs/dbraw/zinc/66/91/92/1037669192.db2.gz FJKHANLYWGOJMT-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C(C)(C)C)c2C)cc1 ZINC000276325766 1037704593 /nfs/dbraw/zinc/70/45/93/1037704593.db2.gz HRLMAWITWBSLLF-UONOGXRCSA-N 0 3 315.461 4.367 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C(C)(C)C)c2C)cc1 ZINC000276325762 1037705158 /nfs/dbraw/zinc/70/51/58/1037705158.db2.gz HRLMAWITWBSLLF-KGLIPLIRSA-N 0 3 315.461 4.367 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001167467856 1037712042 /nfs/dbraw/zinc/71/20/42/1037712042.db2.gz NAJPPVVETXLSNT-MSOLQXFVSA-N 0 3 305.462 4.109 20 0 DIADHN CC[C@@H](O)[C@H]1CCCCN1CCc1cc(Cl)cc(Cl)c1 ZINC001167469281 1037723361 /nfs/dbraw/zinc/72/33/61/1037723361.db2.gz XODLYTSFVBCDFR-HZPDHXFCSA-N 0 3 316.272 4.161 20 0 DIADHN CC(C)Cn1cc(CN(C2CC2)[C@H]2CCc3ccccc32)cn1 ZINC000341195087 1037729107 /nfs/dbraw/zinc/72/91/07/1037729107.db2.gz VDQALTMUEHDVHV-FQEVSTJZSA-N 0 3 309.457 4.191 20 0 DIADHN Clc1cnc2oc(CN3CC(Cc4ccccc4)C3)cc2c1 ZINC000656651702 1037743289 /nfs/dbraw/zinc/74/32/89/1037743289.db2.gz DRXOSEBUAGBVGH-UHFFFAOYSA-N 0 3 312.800 4.156 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnc(C)s1)c1cccc(Cl)c1 ZINC000090173388 1037744634 /nfs/dbraw/zinc/74/46/34/1037744634.db2.gz WUXSQBNXAKRHJR-QMTHXVAHSA-N 0 3 310.850 4.143 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(OCC(F)F)cc1 ZINC000581575312 1037748049 /nfs/dbraw/zinc/74/80/49/1037748049.db2.gz KAEWNCQKXUSRIH-QGZVFWFLSA-N 0 3 320.383 4.309 20 0 DIADHN CC[C@H](NCCSCCCO)c1ccc(Cl)cc1Cl ZINC000223273705 1037758498 /nfs/dbraw/zinc/75/84/98/1037758498.db2.gz WPBPYTVZHJQQCN-AWEZNQCLSA-N 0 3 322.301 4.150 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000341220229 1037765104 /nfs/dbraw/zinc/76/51/04/1037765104.db2.gz PHMKVIOHENNLIM-RHSMWYFYSA-N 0 3 314.404 4.202 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3c(c2)CCO3)s1 ZINC000040518320 1037780621 /nfs/dbraw/zinc/78/06/21/1037780621.db2.gz ANPRQDFBBIFTOV-GHMZBOCLSA-N 0 3 302.443 4.107 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H]2CCCCc3ccccc32)cn1 ZINC000656677074 1037784750 /nfs/dbraw/zinc/78/47/50/1037784750.db2.gz LKOVQXBODBOFSI-OAHLLOKOSA-N 0 3 321.346 4.053 20 0 DIADHN CC1(C)CCC(O)(CNCc2cccc(Cl)c2Cl)CC1 ZINC000087047103 1037810446 /nfs/dbraw/zinc/81/04/46/1037810446.db2.gz FILNOAWACRXKLX-UHFFFAOYSA-N 0 3 316.272 4.414 20 0 DIADHN COc1ccccc1-c1ccc(CN2CC3(CC(F)C3)C2)cc1 ZINC000656693833 1037797770 /nfs/dbraw/zinc/79/77/70/1037797770.db2.gz FKWAUOKGQJNCHS-UHFFFAOYSA-N 0 3 311.400 4.296 20 0 DIADHN C[C@H](NCc1c(C2CCC2)cnn1C)c1ccc2c(c1)CCC2 ZINC000656691197 1037800715 /nfs/dbraw/zinc/80/07/15/1037800715.db2.gz DUVXGLGYNWUFHN-AWEZNQCLSA-N 0 3 309.457 4.027 20 0 DIADHN Fc1ccc(C(CN2CC(C(F)F)C2)c2ccc(F)cc2)cc1 ZINC000656691555 1037801802 /nfs/dbraw/zinc/80/18/02/1037801802.db2.gz LIVHKSNARLMULM-UHFFFAOYSA-N 0 3 323.333 4.294 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cnc(C)s2)C2CC2)cc1 ZINC000090401004 1037828299 /nfs/dbraw/zinc/82/82/99/1037828299.db2.gz ZCZXHTMBSYONTA-GTNSWQLSSA-N 0 3 302.443 4.262 20 0 DIADHN O[C@H](CN1CCCCC[C@@H]1c1ccco1)c1cccc(F)c1 ZINC000076803674 1037856438 /nfs/dbraw/zinc/85/64/38/1037856438.db2.gz GJIMSHBEMHRXTG-IAGOWNOFSA-N 0 3 303.377 4.069 20 0 DIADHN c1c2ccccc2sc1CNc1ccc([C@H]2CNCCO2)cc1 ZINC000656793201 1037874047 /nfs/dbraw/zinc/87/40/47/1037874047.db2.gz QOOVVKOTZHMJMU-GOSISDBHSA-N 0 3 324.449 4.174 20 0 DIADHN CC(C)N(CCCCCO)Cc1cc(Cl)ccc1Cl ZINC001204568372 1037888739 /nfs/dbraw/zinc/88/87/39/1037888739.db2.gz ZZSGEEARWWXFND-UHFFFAOYSA-N 0 3 304.261 4.366 20 0 DIADHN C[C@H]1CN(Cc2ccccc2-c2nccs2)CCC1(F)F ZINC001140449706 1037953094 /nfs/dbraw/zinc/95/30/94/1037953094.db2.gz VHPSBLWKPXJHJV-LBPRGKRZSA-N 0 3 308.397 4.287 20 0 DIADHN FC1(c2cccnc2)CCN(CCc2ccccc2Cl)CC1 ZINC000581741194 1037956119 /nfs/dbraw/zinc/95/61/19/1037956119.db2.gz GDYAUYHAMIQWKV-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1CCCc2cc(F)ccc21 ZINC000341388394 1037957587 /nfs/dbraw/zinc/95/75/87/1037957587.db2.gz BYTQDAHRFRWGJV-SFHVURJKSA-N 0 3 301.409 4.079 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC([C@@H]4CCOC4)C3)o2)cc1 ZINC000684415015 1037965142 /nfs/dbraw/zinc/96/51/42/1037965142.db2.gz YURQAEZLZFWWGV-CQSZACIVSA-N 0 3 317.816 4.068 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC([C@H]3CCOC3)C2)o1 ZINC000684415655 1037966969 /nfs/dbraw/zinc/96/69/69/1037966969.db2.gz OEWNEELGMRATKD-ZDUSSCGKSA-N 0 3 317.816 4.068 20 0 DIADHN CC[C@@H](C)[C@@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000602285597 1037977660 /nfs/dbraw/zinc/97/76/60/1037977660.db2.gz GBYVSRJDZPTSEJ-WBMJQRKESA-N 0 3 307.821 4.097 20 0 DIADHN CC(C)N(CCCC(=O)c1ccc(F)cc1)Cc1ccccn1 ZINC000581766960 1037981168 /nfs/dbraw/zinc/98/11/68/1037981168.db2.gz DBAWDUSYDNAYFQ-UHFFFAOYSA-N 0 3 314.404 4.094 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccnn1CC1CCC1 ZINC000926174356 1037991582 /nfs/dbraw/zinc/99/15/82/1037991582.db2.gz JREBFHSJTIJSEP-YPMHNXCESA-N 0 3 303.372 4.065 20 0 DIADHN COc1ccc(C2(NCc3ccc(OC(C)C)cc3)CC2)cc1 ZINC000598038032 1038003380 /nfs/dbraw/zinc/00/33/80/1038003380.db2.gz QGAJZZDRZLCOEY-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)n1ccc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)n1 ZINC000581843060 1038021152 /nfs/dbraw/zinc/02/11/52/1038021152.db2.gz XYJJGGZHXSRCDB-GOSISDBHSA-N 0 3 313.420 4.329 20 0 DIADHN CC[C@H](F)CN[C@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000581862957 1038038247 /nfs/dbraw/zinc/03/82/47/1038038247.db2.gz KGDKUJFFZZPPLF-PBHICJAKSA-N 0 3 302.393 4.059 20 0 DIADHN CC[C@@H](F)CN[C@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000581862958 1038038483 /nfs/dbraw/zinc/03/84/83/1038038483.db2.gz KGDKUJFFZZPPLF-RHSMWYFYSA-N 0 3 302.393 4.059 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc2ncccc2c1 ZINC000276651447 1038066005 /nfs/dbraw/zinc/06/60/05/1038066005.db2.gz TZNGFTXUXYKVOB-IBGZPJMESA-N 0 3 304.393 4.108 20 0 DIADHN O=c1cc(C[N@@H+]2CCC3(C2)CCCCC3)nc(-c2ccccc2)[n-]1 ZINC001167487270 1038074933 /nfs/dbraw/zinc/07/49/33/1038074933.db2.gz IZEXBHHIQUGXEH-UHFFFAOYSA-N 0 3 323.440 4.005 20 0 DIADHN O=c1cc(C[N@H+]2CCC3(C2)CCCCC3)nc(-c2ccccc2)[n-]1 ZINC001167487270 1038074958 /nfs/dbraw/zinc/07/49/58/1038074958.db2.gz IZEXBHHIQUGXEH-UHFFFAOYSA-N 0 3 323.440 4.005 20 0 DIADHN Cc1nc(CNCC(C)(C)Cc2cccc(Cl)c2)[nH]c1C ZINC000581895467 1038099055 /nfs/dbraw/zinc/09/90/55/1038099055.db2.gz GEGUHBPKVFQBJS-UHFFFAOYSA-N 0 3 305.853 4.038 20 0 DIADHN CC(C)COCCCNCc1c(Cl)cccc1OC(F)F ZINC000602901813 1038107534 /nfs/dbraw/zinc/10/75/34/1038107534.db2.gz FNADSVXQVSEASQ-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2cccc(N3CCCC3)c2)s1 ZINC000090419242 1038118063 /nfs/dbraw/zinc/11/80/63/1038118063.db2.gz WKXDCKBPQZAGND-ZIAGYGMSSA-N 0 3 315.486 4.464 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000341560802 1038136733 /nfs/dbraw/zinc/13/67/33/1038136733.db2.gz GOHGSAODRBRDIC-CABCVRRESA-N 0 3 323.465 4.378 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CNCc2cccc(C(C)C)c2)o1 ZINC000647203028 1038150458 /nfs/dbraw/zinc/15/04/58/1038150458.db2.gz GUGPQBHWQMTTBP-UHFFFAOYSA-N 0 3 323.440 4.402 20 0 DIADHN Clc1cnc(CNC[C@H]2CCCO[C@H]2c2ccccc2)s1 ZINC000349569611 1038174712 /nfs/dbraw/zinc/17/47/12/1038174712.db2.gz PWABIJYDSBNFER-CJNGLKHVSA-N 0 3 322.861 4.054 20 0 DIADHN CCCCOc1cccc(CNCc2ccc(OC)c(C)c2)c1 ZINC000061078648 1038196703 /nfs/dbraw/zinc/19/67/03/1038196703.db2.gz GTBDJJZNXKWRFW-UHFFFAOYSA-N 0 3 313.441 4.472 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)COC(C)C)c2ccccc2)cc1 ZINC000669678841 1038197285 /nfs/dbraw/zinc/19/72/85/1038197285.db2.gz RLSVWCIUIZCNQK-OXJNMPFZSA-N 0 3 313.441 4.188 20 0 DIADHN O[C@@H](CNCc1c(Cl)oc2ccccc21)c1cccc(F)c1 ZINC000761301916 1038199699 /nfs/dbraw/zinc/19/96/99/1038199699.db2.gz UXRYCNXIRISSDP-HNNXBMFYSA-N 0 3 319.763 4.049 20 0 DIADHN Cc1ccc(CNCCOc2cc(C)cc(C)c2)c(Cl)c1 ZINC001648913698 1038209414 /nfs/dbraw/zinc/20/94/14/1038209414.db2.gz DWFXFAIPZNXWCD-UHFFFAOYSA-N 0 3 303.833 4.434 20 0 DIADHN CCC[C@@H](NCC[C@H](OC)C(F)(F)F)c1ncccc1Cl ZINC000926248556 1038213465 /nfs/dbraw/zinc/21/34/65/1038213465.db2.gz AVQXIOZPRVLYCX-NEPJUHHUSA-N 0 3 324.774 4.133 20 0 DIADHN COc1ccc(C[C@@H](C)CN(C)Cc2nc(C)c(C)s2)cc1 ZINC000341681189 1038221927 /nfs/dbraw/zinc/22/19/27/1038221927.db2.gz VVGSXMIDXYVBFM-CYBMUJFWSA-N 0 3 318.486 4.079 20 0 DIADHN CSC1(CN[C@@H](C)c2cc(-c3ccccc3)n(C)n2)CCC1 ZINC000599045083 1038222545 /nfs/dbraw/zinc/22/25/45/1038222545.db2.gz ULVVNDBZUCFUFU-AWEZNQCLSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCC(OC2CCCC2)CC1 ZINC000891864279 1038242356 /nfs/dbraw/zinc/24/23/56/1038242356.db2.gz OVBLJRSUHSKFTJ-UHFFFAOYSA-N 0 3 319.493 4.004 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cccc2c1OCCCO2 ZINC000090546876 1038245661 /nfs/dbraw/zinc/24/56/61/1038245661.db2.gz XWFKKRZVZXFYJJ-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN Fc1cccc(F)c1CNCCc1ccc(C(F)(F)F)cc1 ZINC000060829762 1038248205 /nfs/dbraw/zinc/24/82/05/1038248205.db2.gz SCHYWVDBKWKLGC-UHFFFAOYSA-N 0 3 315.285 4.316 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H]2CCCC[C@@H]2C)c(CN(C)C)c1 ZINC000603694875 1038265452 /nfs/dbraw/zinc/26/54/52/1038265452.db2.gz UANXRBJVTFCDEC-HOTGVXAUSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)=C[C@@H]1[C@@H](C(=O)Nc2ccc(C)cc2CN(C)C)C1(C)C ZINC000603694980 1038266670 /nfs/dbraw/zinc/26/66/70/1038266670.db2.gz WIESLMZGLLAIDM-AEFFLSMTSA-N 0 3 314.473 4.234 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cccnc2C(F)(F)F)C1 ZINC000599302240 1038268118 /nfs/dbraw/zinc/26/81/18/1038268118.db2.gz BESCDWNVZFHRIN-QWHCGFSZSA-N 0 3 318.408 4.206 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3ccccc3)CCCCC2)nn1C ZINC000669698533 1038278511 /nfs/dbraw/zinc/27/85/11/1038278511.db2.gz BPMAULPODIGSDO-KRWDZBQOSA-N 0 3 311.473 4.281 20 0 DIADHN C[C@@H](c1nc(-c2cccc3[nH]ccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276932102 1038282497 /nfs/dbraw/zinc/28/24/97/1038282497.db2.gz OJZWBVBBVOUZMC-UPJWGTAASA-N 0 3 310.401 4.152 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN(c3ccc(F)cc3F)C2)oc1C ZINC000669699614 1038284671 /nfs/dbraw/zinc/28/46/71/1038284671.db2.gz BXVDWDIMOVLIKC-SWLSCSKDSA-N 0 3 320.383 4.104 20 0 DIADHN C[C@@H](Nc1ccnc2ccccc21)c1cccc(OC(F)F)c1 ZINC000599503841 1038292842 /nfs/dbraw/zinc/29/28/42/1038292842.db2.gz ZRTDHKGGYXDXOJ-GFCCVEGCSA-N 0 3 314.335 4.431 20 0 DIADHN Cc1cc([C@@H](C)NCCc2ccc(-c3ccccc3)cc2)nn1C ZINC000669701392 1038293308 /nfs/dbraw/zinc/29/33/08/1038293308.db2.gz DXYRRCNISBVRHC-QGZVFWFLSA-N 0 3 319.452 4.289 20 0 DIADHN c1ccc([C@H]2OCCC[C@H]2CNc2ccnc3ccccc32)cc1 ZINC000599556811 1038312893 /nfs/dbraw/zinc/31/28/93/1038312893.db2.gz HWZNFDHCTKJWLM-LAUBAEHRSA-N 0 3 318.420 4.237 20 0 DIADHN C[C@H]1CN(CCCCC(F)(F)F)CC[C@H]1C(=O)OC(C)(C)C ZINC000599590276 1038317952 /nfs/dbraw/zinc/31/79/52/1038317952.db2.gz PSDKIEZLEHAUJF-QWHCGFSZSA-N 0 3 323.399 4.019 20 0 DIADHN COc1cccc(C2(CN[C@@H](C)c3cscn3)CCCC2)c1 ZINC000535776937 1038334565 /nfs/dbraw/zinc/33/45/65/1038334565.db2.gz OSWDLSTVCKVACL-AWEZNQCLSA-N 0 3 316.470 4.314 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H](C)c3ccncc3)cc2)cs1 ZINC000535785294 1038335481 /nfs/dbraw/zinc/33/54/81/1038335481.db2.gz USTXKKDFWQBEOP-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](C)c2ccc(F)cc2)cc1OC ZINC000535782050 1038335617 /nfs/dbraw/zinc/33/56/17/1038335617.db2.gz FUWHTPXMXKIUDI-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN CC(C)N(Cc1ccc2c(c1)CC(C)(C)O2)Cc1ccccn1 ZINC000535812485 1038342469 /nfs/dbraw/zinc/34/24/69/1038342469.db2.gz IHHNTPQJLVQEGX-UHFFFAOYSA-N 0 3 310.441 4.206 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1cccs1)c1ccc(F)cc1 ZINC000535808733 1038345505 /nfs/dbraw/zinc/34/55/05/1038345505.db2.gz TXQAKPJYCHJFPW-OAHLLOKOSA-N 0 3 303.402 4.125 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000341895800 1038346151 /nfs/dbraw/zinc/34/61/51/1038346151.db2.gz XFQKYALLOBEUHV-CQSZACIVSA-N 0 3 318.408 4.208 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN1CCCOC[C@@H]1C ZINC000669708709 1038348369 /nfs/dbraw/zinc/34/83/69/1038348369.db2.gz SJBCCUXHJAUKFZ-UELRPHRMSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1noc2c1CCCC2 ZINC000604052171 1038350996 /nfs/dbraw/zinc/35/09/96/1038350996.db2.gz QDUBZFOKMZDWFP-KRWDZBQOSA-N 0 3 310.441 4.069 20 0 DIADHN Cc1noc(C[C@H](N[C@H](C)c2cccs2)c2ccccc2)n1 ZINC000277085473 1038366066 /nfs/dbraw/zinc/36/60/66/1038366066.db2.gz KEKFZFCZZCXLCZ-DOMZBBRYSA-N 0 3 313.426 4.074 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CCC12CCOCC2 ZINC000684612402 1038382406 /nfs/dbraw/zinc/38/24/06/1038382406.db2.gz FGIGZYLJWWZJLT-UHFFFAOYSA-N 0 3 314.256 4.181 20 0 DIADHN CO[C@@H]1CCCN(Cc2cc(Cl)ccc2OCC2CC2)CC1 ZINC000684619771 1038396119 /nfs/dbraw/zinc/39/61/19/1038396119.db2.gz OKQFNVIWHMEROY-QGZVFWFLSA-N 0 3 323.864 4.130 20 0 DIADHN COC(=O)C(C)(C)[C@H](NCc1ccccc1C)c1ccccc1 ZINC000610908764 1038400566 /nfs/dbraw/zinc/40/05/66/1038400566.db2.gz FGWQIBTWGNSCDX-GOSISDBHSA-N 0 3 311.425 4.025 20 0 DIADHN COc1ccc(F)c(F)c1CN(C)C12CC3CC(CC(C3)C1)C2 ZINC001143419663 1038405533 /nfs/dbraw/zinc/40/55/33/1038405533.db2.gz GGKTVZWDYWOSPO-UHFFFAOYSA-N 0 3 321.411 4.374 20 0 DIADHN CN(C)CCN(C(=O)/C=C/C1CCCCCC1)c1ccccc1 ZINC001125560911 1038406059 /nfs/dbraw/zinc/40/60/59/1038406059.db2.gz XKYGPHKWYDBDME-CCEZHUSRSA-N 0 3 314.473 4.108 20 0 DIADHN Cc1ccccc1-c1noc(CN(CC2CC2)CC2CCC2)n1 ZINC000684628388 1038409746 /nfs/dbraw/zinc/40/97/46/1038409746.db2.gz JYRQQMLWYXBVBG-UHFFFAOYSA-N 0 3 311.429 4.057 20 0 DIADHN Clc1cccc(Cl)c1CN1CC[C@@H](C2CCOCC2)C1 ZINC000535872526 1038411591 /nfs/dbraw/zinc/41/15/91/1038411591.db2.gz XVXOOTRWRLSHSF-CYBMUJFWSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1cccn2cc(CN3C[C@@H](c4ccccc4)C[C@H]3C)nc12 ZINC000604392927 1038411992 /nfs/dbraw/zinc/41/19/92/1038411992.db2.gz LIUMAOPRFMIJBV-AEFFLSMTSA-N 0 3 305.425 4.021 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(-c2ccc(F)cc2C)o1 ZINC000604416691 1038413259 /nfs/dbraw/zinc/41/32/59/1038413259.db2.gz YZKIHCZXDMBYND-GOSISDBHSA-N 0 3 319.420 4.281 20 0 DIADHN Clc1ccccc1CN[C@@H]1CCCN(c2ccccc2)C1 ZINC000604416791 1038413897 /nfs/dbraw/zinc/41/38/97/1038413897.db2.gz HAKXBPKLSFQVAV-MRXNPFEDSA-N 0 3 300.833 4.099 20 0 DIADHN CC[C@H](N[C@@H](C)c1cn(C(C)(C)C)nc1C)c1ccncc1 ZINC000163728654 1038414387 /nfs/dbraw/zinc/41/43/87/1038414387.db2.gz BTWJDPIBIVIQKC-GUYCJALGSA-N 0 3 300.450 4.143 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2cccs2)N2CCCC2)o1 ZINC000150908557 1038424266 /nfs/dbraw/zinc/42/42/66/1038424266.db2.gz NNJOVTGGXFOOQK-GJZGRUSLSA-N 0 3 304.459 4.137 20 0 DIADHN Cc1ccccc1[C@@H](C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC000604703642 1038439400 /nfs/dbraw/zinc/43/94/00/1038439400.db2.gz OBXRTKQJFXUXPN-SFHVURJKSA-N 0 3 324.468 4.083 20 0 DIADHN CCC(CC)(CO)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000604765080 1038448920 /nfs/dbraw/zinc/44/89/20/1038448920.db2.gz DVNCQXYJQCEOQR-UHFFFAOYSA-N 0 3 324.877 4.104 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCc2ccccc2CC1 ZINC000604759297 1038449267 /nfs/dbraw/zinc/44/92/67/1038449267.db2.gz LFYVCZBYEUUUBS-UHFFFAOYSA-N 0 3 324.449 4.312 20 0 DIADHN Cc1ccc(CN[C@](C)(CO)c2cccc(C(F)(F)F)c2)cc1 ZINC000604767726 1038450662 /nfs/dbraw/zinc/45/06/62/1038450662.db2.gz WTWCSZJARNEUOD-QGZVFWFLSA-N 0 3 323.358 4.011 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cccc(-c2cccnc2)c1 ZINC000132257407 1038456062 /nfs/dbraw/zinc/45/60/62/1038456062.db2.gz OMOCYOVSKZZQFD-FQEVSTJZSA-N 0 3 316.404 4.231 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nnc(-c2cccc(F)c2)o1)C1CC1 ZINC000075717330 1038470426 /nfs/dbraw/zinc/47/04/26/1038470426.db2.gz HERDPACTKJQQSV-CHWSQXEVSA-N 0 3 317.408 4.275 20 0 DIADHN CC(C)N(C)CC(=O)N1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000604982739 1038471766 /nfs/dbraw/zinc/47/17/66/1038471766.db2.gz VGKZRYPGHMTJMC-KRWDZBQOSA-N 0 3 322.880 4.124 20 0 DIADHN CC[C@@H]1CCCN(Cc2coc(-c3ccc(F)cc3)n2)CC1 ZINC000604998895 1038474111 /nfs/dbraw/zinc/47/41/11/1038474111.db2.gz ONPOIQBQAWRZRB-CQSZACIVSA-N 0 3 302.393 4.493 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCC[C@@H]3C(C)C)o2)cc1 ZINC000605000483 1038476171 /nfs/dbraw/zinc/47/61/71/1038476171.db2.gz VIYYMSFWPFIKCY-QGZVFWFLSA-N 0 3 314.429 4.361 20 0 DIADHN CC[C@@H]1CCCN(Cc2ncc(-c3ccc(F)cc3)o2)CC1 ZINC000604998877 1038476638 /nfs/dbraw/zinc/47/66/38/1038476638.db2.gz LDVIJKOGNIVTAZ-CQSZACIVSA-N 0 3 302.393 4.493 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@H](O)CC(C)(C)C)o1 ZINC000605009044 1038477514 /nfs/dbraw/zinc/47/75/14/1038477514.db2.gz KWDQHMASVUCMQC-OAHLLOKOSA-N 0 3 319.420 4.281 20 0 DIADHN Cc1csc(CNCC2(c3ccccc3)CCCCC2)n1 ZINC000605008401 1038477554 /nfs/dbraw/zinc/47/75/54/1038477554.db2.gz LHMPUZOSIWTXTP-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2ccc(C(F)F)c(F)c2)C1 ZINC000892047602 1038486957 /nfs/dbraw/zinc/48/69/57/1038486957.db2.gz AMTSGWYTVOATFX-CYBMUJFWSA-N 0 3 301.352 4.012 20 0 DIADHN CCCN(C)CC(=O)N[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000605182661 1038492457 /nfs/dbraw/zinc/49/24/57/1038492457.db2.gz PBBZEBSICOKCNI-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN FC(F)C1CCN(Cc2cccc(Oc3ccccn3)c2)CC1 ZINC000892057953 1038494040 /nfs/dbraw/zinc/49/40/40/1038494040.db2.gz ODHPQGYUJRMCCR-UHFFFAOYSA-N 0 3 318.367 4.351 20 0 DIADHN Cc1c(Br)cncc1CN[C@@H](C)c1ccccc1 ZINC000657288919 1038503256 /nfs/dbraw/zinc/50/32/56/1038503256.db2.gz BTSKDFVOTIAIDN-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Clc1ccc([C@H](NCc2ccnn2CC2CC2)C2CC2)cc1 ZINC000657293063 1038509019 /nfs/dbraw/zinc/50/90/19/1038509019.db2.gz VUTBWOUGIWQAHE-GOSISDBHSA-N 0 3 315.848 4.187 20 0 DIADHN Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)c(C)c1 ZINC000342275174 1038513232 /nfs/dbraw/zinc/51/32/32/1038513232.db2.gz AHMKKTPDNSMCIU-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN CC[C@H](CN1CCCC1)Nc1ncc(C(F)(F)F)cc1Cl ZINC000605398398 1038514216 /nfs/dbraw/zinc/51/42/16/1038514216.db2.gz VYVDJTMDVRAOOA-LLVKDONJSA-N 0 3 321.774 4.040 20 0 DIADHN COCc1csc(CN[C@@H]2CCSc3c(F)cccc32)c1 ZINC000657299545 1038521515 /nfs/dbraw/zinc/52/15/15/1038521515.db2.gz XPWZVRLGCKOCEX-OAHLLOKOSA-N 0 3 323.458 4.360 20 0 DIADHN NC(=O)Nc1ccc(CNCc2cccc(C3CCCC3)c2)cc1 ZINC000657302144 1038524842 /nfs/dbraw/zinc/52/48/42/1038524842.db2.gz MXAILSFBMBLZTF-UHFFFAOYSA-N 0 3 323.440 4.125 20 0 DIADHN CCOc1ccc(CNCc2cc3c(cccc3C)[nH]2)cc1OC ZINC000657307738 1038535868 /nfs/dbraw/zinc/53/58/68/1038535868.db2.gz HVWGMKKMWOVODC-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN CSCCCCCCNCc1csc(C(C)(C)C)n1 ZINC000230149546 1038538218 /nfs/dbraw/zinc/53/82/18/1038538218.db2.gz NWNIJEIBZPRFOW-UHFFFAOYSA-N 0 3 300.537 4.454 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NCc1cccc(C2CCCC2)c1 ZINC000657308780 1038539530 /nfs/dbraw/zinc/53/95/30/1038539530.db2.gz BTFLBKFIAGHNAK-LJQANCHMSA-N 0 3 309.457 4.245 20 0 DIADHN O[C@H](C[C@@H]1CCCN1C/C=C\c1ccc(F)cc1)c1ccco1 ZINC000255477100 1038560629 /nfs/dbraw/zinc/56/06/29/1038560629.db2.gz PHMDTIZMNQCUBD-HVYAUBSOSA-N 0 3 315.388 4.020 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@H]3CCCO3)cc21 ZINC000657335437 1038579890 /nfs/dbraw/zinc/57/98/90/1038579890.db2.gz JIXXFEDXKJAVBG-NHCUHLMSSA-N 0 3 320.436 4.486 20 0 DIADHN CC(C)n1ccnc1CNCC(C)(C)c1cccc(Cl)c1 ZINC000657337057 1038581169 /nfs/dbraw/zinc/58/11/69/1038581169.db2.gz GWLFZLWPJABUEE-UHFFFAOYSA-N 0 3 305.853 4.185 20 0 DIADHN Cc1csc([C@@H](C)NCCCCOc2ccc(F)cc2)n1 ZINC000623861428 1038583797 /nfs/dbraw/zinc/58/37/97/1038583797.db2.gz XMJYNWXWPAGDEU-CYBMUJFWSA-N 0 3 308.422 4.100 20 0 DIADHN CC(C)Cc1ccc([C@@H](NCc2cnn3c2CCC3)C2CC2)cc1 ZINC000657341798 1038583919 /nfs/dbraw/zinc/58/39/19/1038583919.db2.gz LWYYEFHPICFIST-OAQYLSRUSA-N 0 3 323.484 4.269 20 0 DIADHN COCc1csc(CNC2(c3ccc(F)cc3)CCC2)c1 ZINC000657341886 1038583923 /nfs/dbraw/zinc/58/39/23/1038583923.db2.gz AWFVDIPVRQXZGX-UHFFFAOYSA-N 0 3 305.418 4.203 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143436973 1038588161 /nfs/dbraw/zinc/58/81/61/1038588161.db2.gz SQTWFAUFUJJIGN-UHFFFAOYSA-N 0 3 313.294 4.356 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H](c1cccnc1)C1CCCCC1 ZINC000657345892 1038588849 /nfs/dbraw/zinc/58/88/49/1038588849.db2.gz TWSSSPCQQBWMTA-HXUWFJFHSA-N 0 3 324.472 4.104 20 0 DIADHN Clc1ccc([C@@H](NCc2cnn3c2CCC3)C2CCC2)cc1 ZINC000657350211 1038592050 /nfs/dbraw/zinc/59/20/50/1038592050.db2.gz QILINUUYJILBMX-SFHVURJKSA-N 0 3 315.848 4.114 20 0 DIADHN CCOc1ccc(CNCc2cc3ccc(C)cc3[nH]2)cc1F ZINC000657351872 1038593740 /nfs/dbraw/zinc/59/37/40/1038593740.db2.gz AUDZYTIBEGCFMX-UHFFFAOYSA-N 0 3 312.388 4.304 20 0 DIADHN CC(C)(C)CCC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000606364867 1038597717 /nfs/dbraw/zinc/59/77/17/1038597717.db2.gz UDZULBONTVIQQG-SFHVURJKSA-N 0 3 316.489 4.156 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)CCC(C)(C)C)N2CCCC2)cc1 ZINC000606359527 1038597752 /nfs/dbraw/zinc/59/77/52/1038597752.db2.gz XNHAVEPQXSWCIH-GOSISDBHSA-N 0 3 316.489 4.074 20 0 DIADHN CC[C@H](N[C@H]1CCCc2occc21)c1ccc2c(c1)OCCO2 ZINC000152247808 1038598306 /nfs/dbraw/zinc/59/83/06/1038598306.db2.gz LSHXVOZOJVBXFZ-HOTGVXAUSA-N 0 3 313.397 4.169 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1ccccc1-n1ccnc1 ZINC000152260582 1038599408 /nfs/dbraw/zinc/59/94/08/1038599408.db2.gz CDRYVICODDFZHJ-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000606434371 1038601443 /nfs/dbraw/zinc/60/14/43/1038601443.db2.gz WMMBXIYNMNVSHT-RDJZCZTQSA-N 0 3 313.445 4.446 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@H](Cc2nccs2)C1 ZINC000934331201 1038601976 /nfs/dbraw/zinc/60/19/76/1038601976.db2.gz ZBGTWAKUQQPRGM-OAHLLOKOSA-N 0 3 315.486 4.035 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000606450977 1038603028 /nfs/dbraw/zinc/60/30/28/1038603028.db2.gz AIHHRMOIQZYAJH-QAPCUYQASA-N 0 3 311.400 4.482 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000606450978 1038603050 /nfs/dbraw/zinc/60/30/50/1038603050.db2.gz AIHHRMOIQZYAJH-YJBOKZPZSA-N 0 3 311.400 4.482 20 0 DIADHN CC(C)CCn1cccc1CNCc1cnc2ccccc2c1 ZINC000606469652 1038606911 /nfs/dbraw/zinc/60/69/11/1038606911.db2.gz VQXJCAUPVARXTC-UHFFFAOYSA-N 0 3 307.441 4.372 20 0 DIADHN CC(C)c1ncc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)s1 ZINC000342459413 1038617248 /nfs/dbraw/zinc/61/72/48/1038617248.db2.gz YNQQJKVFAMHECE-WBVHZDCISA-N 0 3 316.470 4.276 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000051531793 1038617284 /nfs/dbraw/zinc/61/72/84/1038617284.db2.gz LQRJZXHGJJDBGN-MGPUTAFESA-N 0 3 313.416 4.332 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000657378082 1038621354 /nfs/dbraw/zinc/62/13/54/1038621354.db2.gz XPFYIXWDGYNGKT-MORSLUCNSA-N 0 3 317.864 4.433 20 0 DIADHN CC[C@@H](NCc1cc2ccc(C)cc2[nH]1)c1c(C)nn(C)c1C ZINC000657379198 1038622581 /nfs/dbraw/zinc/62/25/81/1038622581.db2.gz XXJPNEQYXLMMSO-QGZVFWFLSA-N 0 3 310.445 4.068 20 0 DIADHN COc1ccc(CN2CCN(c3ccc(C)c(C)c3)C[C@H]2C)cc1 ZINC001167562078 1038630877 /nfs/dbraw/zinc/63/08/77/1038630877.db2.gz GGFUSWFRTKZLAE-GOSISDBHSA-N 0 3 324.468 4.023 20 0 DIADHN CCOc1ccc(CNC2(c3cccc(OC)c3)CC2)c(C)c1 ZINC000657394514 1038636552 /nfs/dbraw/zinc/63/65/52/1038636552.db2.gz OYKVOMVAZFFPOE-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCCC[C@H]1CC ZINC000606901047 1038638959 /nfs/dbraw/zinc/63/89/59/1038638959.db2.gz WRPCXSAOJRCDGL-QGZVFWFLSA-N 0 3 302.462 4.151 20 0 DIADHN CCOc1cccc(CNCc2ccc(-c3ccncc3)cc2)c1 ZINC000606914705 1038640194 /nfs/dbraw/zinc/64/01/94/1038640194.db2.gz MISAMEAQURDCFF-UHFFFAOYSA-N 0 3 318.420 4.437 20 0 DIADHN Fc1cccc(CN[C@@H]2CCCC(F)(F)C2)c1OC(F)F ZINC000657402632 1038643491 /nfs/dbraw/zinc/64/34/91/1038643491.db2.gz WCHZOUKEQHAXAM-SNVBAGLBSA-N 0 3 309.278 4.095 20 0 DIADHN CC[C@@H]1CN(Cc2ccc3ccccc3c2)[C@@H](CC)CN1C(C)=O ZINC001167567964 1038644789 /nfs/dbraw/zinc/64/47/89/1038644789.db2.gz MMGYVIHISHTNNU-LEWJYISDSA-N 0 3 324.468 4.061 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@H](c2ccncc2)C1 ZINC000582398479 1038657213 /nfs/dbraw/zinc/65/72/13/1038657213.db2.gz DJADPZCLDCWRFE-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN CC(C)OCCCN(C)Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000607154039 1038660171 /nfs/dbraw/zinc/66/01/71/1038660171.db2.gz OZMLMLKFTMRIJO-UHFFFAOYSA-N 0 3 322.836 4.242 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnn3c1CCC3)CCC2 ZINC000657421618 1038660216 /nfs/dbraw/zinc/66/02/16/1038660216.db2.gz OUZHPJDAYMURKT-LJQANCHMSA-N 0 3 309.457 4.120 20 0 DIADHN Cc1c[nH]c(CN[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)n1 ZINC000657423682 1038661645 /nfs/dbraw/zinc/66/16/45/1038661645.db2.gz LJNLTWQNCCUAPZ-ZFWWWQNUSA-N 0 3 323.362 4.163 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000657429065 1038666486 /nfs/dbraw/zinc/66/64/86/1038666486.db2.gz OIJUFJYIXZYMLL-SFHVURJKSA-N 0 3 313.420 4.329 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2ccc(F)c(F)c2F)CCO1 ZINC000657430520 1038670801 /nfs/dbraw/zinc/67/08/01/1038670801.db2.gz ZVLHGSMYDLUCDU-ZFWWWQNUSA-N 0 3 315.379 4.177 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1cccc(CN(C)C)c1 ZINC000607385296 1038673820 /nfs/dbraw/zinc/67/38/20/1038673820.db2.gz ALSJSSUQOPAVPL-MJGOQNOKSA-N 0 3 316.489 4.083 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc(C)s1)CCO2 ZINC000049161372 1038674197 /nfs/dbraw/zinc/67/41/97/1038674197.db2.gz GHEJWXPVKRMNKP-DOMZBBRYSA-N 0 3 303.427 4.240 20 0 DIADHN Clc1cccc(C2(NCc3n[nH]c4ccccc43)CCC2)c1 ZINC000647252170 1038678906 /nfs/dbraw/zinc/67/89/06/1038678906.db2.gz WBDXYIFLOKFADI-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN Clc1cccc(C2(NCc3[nH]nc4ccccc43)CCC2)c1 ZINC000647252170 1038678911 /nfs/dbraw/zinc/67/89/11/1038678911.db2.gz WBDXYIFLOKFADI-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN CC(C)n1ccnc1CNCCCCCc1ccc(F)cc1 ZINC000657441326 1038679995 /nfs/dbraw/zinc/67/99/95/1038679995.db2.gz UYQLSZRBSBXTMZ-UHFFFAOYSA-N 0 3 303.425 4.106 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCCOc3cc(C)c(C)cc32)s1 ZINC000119850391 1038681805 /nfs/dbraw/zinc/68/18/05/1038681805.db2.gz REWFKCVNPQYLHH-INIZCTEOSA-N 0 3 316.470 4.380 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000657457795 1038699205 /nfs/dbraw/zinc/69/92/05/1038699205.db2.gz QDRIXXYQIOXMLQ-NVXWUHKLSA-N 0 3 301.409 4.029 20 0 DIADHN COc1ccc(Cl)cc1CN(C)[C@@H]1CCc2ccccc21 ZINC000247875687 1038699510 /nfs/dbraw/zinc/69/95/10/1038699510.db2.gz GQVRGFXVCBEMDR-QGZVFWFLSA-N 0 3 301.817 4.468 20 0 DIADHN COCc1csc(CNCc2ccc(C(C)(F)F)cc2)c1 ZINC000657473978 1038708416 /nfs/dbraw/zinc/70/84/16/1038708416.db2.gz VZOPHFGSMKGCNR-UHFFFAOYSA-N 0 3 311.397 4.296 20 0 DIADHN Cc1ccc(C2(NCc3ccc(N4CCCC4)nc3)CCC2)cc1 ZINC000647255413 1038711847 /nfs/dbraw/zinc/71/18/47/1038711847.db2.gz ZWMIHTORHGHANZ-UHFFFAOYSA-N 0 3 321.468 4.159 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2ccc(F)cc2)s1 ZINC000607735822 1038717241 /nfs/dbraw/zinc/71/72/41/1038717241.db2.gz AIFDQMZWVOKDPI-CYBMUJFWSA-N 0 3 322.449 4.225 20 0 DIADHN Cc1ccccc1CN(C)Cc1cn(C)nc1-c1cccs1 ZINC000342681525 1038717866 /nfs/dbraw/zinc/71/78/66/1038717866.db2.gz LXXHAZPKNUKQKM-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@@H](C)CCC[C@@H]1C ZINC000607742590 1038719395 /nfs/dbraw/zinc/71/93/95/1038719395.db2.gz RRTPXITXTBJCAR-HOTGVXAUSA-N 0 3 302.462 4.259 20 0 DIADHN CN(C)[C@@H](CNc1nc2ccccc2s1)c1cccs1 ZINC000025370473 1038722407 /nfs/dbraw/zinc/72/24/07/1038722407.db2.gz NUQWBEQHCDMINR-LBPRGKRZSA-N 0 3 303.456 4.073 20 0 DIADHN CCCN(Cc1cc(C)no1)[C@H]1C[C@@H](OCC)C12CCCCC2 ZINC000607749477 1038722715 /nfs/dbraw/zinc/72/27/15/1038722715.db2.gz FGZCRZMDIJRROZ-ZWKOTPCHSA-N 0 3 320.477 4.323 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3sccc32)cc1F ZINC000152799644 1038723016 /nfs/dbraw/zinc/72/30/16/1038723016.db2.gz VJLZLAOJNPOZFI-HNNXBMFYSA-N 0 3 305.418 4.453 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cn(C3CCC3)nn2)C[C@H](c2ccccc2)C1 ZINC000657492486 1038724540 /nfs/dbraw/zinc/72/45/40/1038724540.db2.gz FGKMDEMFMGXLME-CGTJXYLNSA-N 0 3 324.472 4.065 20 0 DIADHN Cc1ncsc1-c1ccc(CNCc2cc(C)nc(C)c2)cc1 ZINC000657494417 1038726228 /nfs/dbraw/zinc/72/62/28/1038726228.db2.gz OCJHCFSUGNVOPC-UHFFFAOYSA-N 0 3 323.465 4.420 20 0 DIADHN Cc1ccc2nc(CN3CC[C@@H](c4ccccc4)[C@@H](C)C3)cn2c1 ZINC000607755681 1038728540 /nfs/dbraw/zinc/72/85/40/1038728540.db2.gz IGOSRQIYXGHCNM-FXAWDEMLSA-N 0 3 319.452 4.268 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@H]([C@H]3CCCCO3)C2)s1 ZINC000668631194 1038736251 /nfs/dbraw/zinc/73/62/51/1038736251.db2.gz ONSCZPDWOMEJHC-DOTOQJQBSA-N 0 3 322.518 4.123 20 0 DIADHN CC(C)c1ccc(OCCN[C@H]2CCCc3cccnc32)cc1 ZINC000684956488 1038739460 /nfs/dbraw/zinc/73/94/60/1038739460.db2.gz RYPGDVJSBGJJQB-IBGZPJMESA-N 0 3 310.441 4.251 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCc2cccnc21)c1ccc(Cl)cc1 ZINC000684957875 1038742946 /nfs/dbraw/zinc/74/29/46/1038742946.db2.gz ZHUCPBWCWYECBR-SJORKVTESA-N 0 3 316.832 4.090 20 0 DIADHN c1cncc(-c2cccc(CN[C@H]3CCCc4cccnc43)c2)c1 ZINC000684961930 1038748018 /nfs/dbraw/zinc/74/80/18/1038748018.db2.gz MPPMVXAMBAINAE-FQEVSTJZSA-N 0 3 315.420 4.311 20 0 DIADHN CCn1nccc1CN[C@@H]1CCCCc2ccc(Cl)cc21 ZINC000663467817 1038753486 /nfs/dbraw/zinc/75/34/86/1038753486.db2.gz ODCZIBZPUSMEDB-QGZVFWFLSA-N 0 3 303.837 4.114 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cnn1C ZINC000153010818 1038756451 /nfs/dbraw/zinc/75/64/51/1038756451.db2.gz WMZCAFDSYIQGFK-LSDHHAIUSA-N 0 3 320.440 4.202 20 0 DIADHN COc1cc(C)nc(CN2CC[C@@](C)(CCc3ccccc3)C2)c1 ZINC001167598962 1038758360 /nfs/dbraw/zinc/75/83/60/1038758360.db2.gz MQMFKNGRQYLAHF-OAQYLSRUSA-N 0 3 324.468 4.243 20 0 DIADHN COc1cc(C)nc(CN2CC[C@](C)(CCc3ccccc3)C2)c1 ZINC001167598959 1038758435 /nfs/dbraw/zinc/75/84/35/1038758435.db2.gz MQMFKNGRQYLAHF-NRFANRHFSA-N 0 3 324.468 4.243 20 0 DIADHN c1c2ccccc2oc1CNC1(c2ccccc2)CCOCC1 ZINC000608059653 1038760494 /nfs/dbraw/zinc/76/04/94/1038760494.db2.gz JFRQJQIATBBDAQ-UHFFFAOYSA-N 0 3 307.393 4.228 20 0 DIADHN COc1ccc(-c2nc(CNC(C)(C)C3CC3)cs2)cc1 ZINC000608059549 1038761889 /nfs/dbraw/zinc/76/18/89/1038761889.db2.gz GSDSHFNVXUYFNV-UHFFFAOYSA-N 0 3 302.443 4.097 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cccc3nccn32)CC1 ZINC000342761894 1038762747 /nfs/dbraw/zinc/76/27/47/1038762747.db2.gz VZSZFFHHFBAXOM-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(-c3cnn(C)c3)c2)c(C)o1 ZINC000342768051 1038765455 /nfs/dbraw/zinc/76/54/55/1038765455.db2.gz FUTFBNIXCDYRTG-CQSZACIVSA-N 0 3 309.413 4.148 20 0 DIADHN c1ccc2ncc(CN3CCC(c4cccnc4)CC3)cc2c1 ZINC000685003311 1038773790 /nfs/dbraw/zinc/77/37/90/1038773790.db2.gz XIZBNZRYLSIRJA-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000075021760 1038783767 /nfs/dbraw/zinc/78/37/67/1038783767.db2.gz WLKVRNLXWANZJG-VXGBXAGGSA-N 0 3 305.809 4.010 20 0 DIADHN Clc1ccc(-c2nc(CN[C@@]34C[C@@H]3CCC4)cs2)cc1 ZINC000657549940 1038801069 /nfs/dbraw/zinc/80/10/69/1038801069.db2.gz GLLRHSRCAHOSAZ-LRDDRELGSA-N 0 3 304.846 4.496 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H](O)c1ccc(F)cc1 ZINC000063755163 1038828734 /nfs/dbraw/zinc/82/87/34/1038828734.db2.gz ACZYHYUDHMXZFJ-LJQANCHMSA-N 0 3 319.395 4.301 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1cccc(F)c1 ZINC000173938755 1038830637 /nfs/dbraw/zinc/83/06/37/1038830637.db2.gz PVZNYLWEVAUEJC-STQMWFEESA-N 0 3 312.388 4.122 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1cccc(F)c1 ZINC000173938794 1038832437 /nfs/dbraw/zinc/83/24/37/1038832437.db2.gz PVZNYLWEVAUEJC-CHWSQXEVSA-N 0 3 312.388 4.122 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1cnc(C2CCCC2)s1 ZINC000657563001 1038844610 /nfs/dbraw/zinc/84/46/10/1038844610.db2.gz ROAXKZMGZVPXNM-HNNXBMFYSA-N 0 3 308.491 4.096 20 0 DIADHN CSc1ccc(OCCN2CCCc3sccc3C2)cc1 ZINC000608497175 1038859620 /nfs/dbraw/zinc/85/96/20/1038859620.db2.gz PGLFFICKCUOISD-UHFFFAOYSA-N 0 3 319.495 4.297 20 0 DIADHN CCN(Cc1cccc(Br)c1)[C@H](C)c1ccncc1 ZINC000608493568 1038861857 /nfs/dbraw/zinc/86/18/57/1038861857.db2.gz AQXBMVLCUVLKQP-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN CCc1cnc(CN(CCc2ccc(F)cc2)CC(C)C)o1 ZINC000608493736 1038862698 /nfs/dbraw/zinc/86/26/98/1038862698.db2.gz PBJPQIKBCKKRLG-UHFFFAOYSA-N 0 3 304.409 4.077 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1nccn1C(F)F ZINC000608501372 1038868467 /nfs/dbraw/zinc/86/84/67/1038868467.db2.gz OGWAEBCCQAGXBO-MRXNPFEDSA-N 0 3 321.415 4.430 20 0 DIADHN CC(C)c1cnc(CNCCc2ccnc3ccccc23)s1 ZINC000657589938 1038890188 /nfs/dbraw/zinc/89/01/88/1038890188.db2.gz LUMQXEQCOVHIRL-UHFFFAOYSA-N 0 3 311.454 4.147 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCC=C(c3ccccc3)C2)c1 ZINC000342838398 1038900055 /nfs/dbraw/zinc/90/00/55/1038900055.db2.gz OBCIQHKRXKEFLX-UHFFFAOYSA-N 0 3 321.449 4.428 20 0 DIADHN CCCn1nc(C)c(CN2CCC=C(c3ccccc3)C2)c1C ZINC000342844105 1038905795 /nfs/dbraw/zinc/90/57/95/1038905795.db2.gz YCZOUMKPPSMNGE-UHFFFAOYSA-N 0 3 309.457 4.199 20 0 DIADHN Clc1ccccc1C[C@H]1CCCN1Cc1cnc(C2CC2)o1 ZINC000628151886 1038906947 /nfs/dbraw/zinc/90/69/47/1038906947.db2.gz FCYVPUZOWOCRBC-OAHLLOKOSA-N 0 3 316.832 4.413 20 0 DIADHN Cc1cccc([C@H]2CCCN2C(=O)C[C@@H](N)c2ccccc2)c1C ZINC000119254020 1038911477 /nfs/dbraw/zinc/91/14/77/1038911477.db2.gz OMTDOQHIFHXAPX-WOJBJXKFSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1nccn1CCCCNc1ccnc2ccc(Cl)cc21 ZINC000078336180 1038920856 /nfs/dbraw/zinc/92/08/56/1038920856.db2.gz SIPYFLMFPDOTMV-UHFFFAOYSA-N 0 3 314.820 4.285 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@H]2CCC[C@@H]2C1 ZINC000153319778 1038928856 /nfs/dbraw/zinc/92/88/56/1038928856.db2.gz PEVZSVHMEDNTRD-MRVWCRGKSA-N 0 3 317.820 4.183 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2Br)CC1(F)F ZINC000657665381 1038969790 /nfs/dbraw/zinc/96/97/90/1038969790.db2.gz OOZNGJMWMKDREF-LLVKDONJSA-N 0 3 324.596 4.237 20 0 DIADHN CC(C)(C)OCCCNCc1csc(-c2ccsc2)n1 ZINC000657637632 1038935302 /nfs/dbraw/zinc/93/53/02/1038935302.db2.gz KZWLWAWMQAJXGM-UHFFFAOYSA-N 0 3 310.488 4.166 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1cnc2ccsc2c1 ZINC000119918000 1038955832 /nfs/dbraw/zinc/95/58/32/1038955832.db2.gz UKLOXWCTWQCKOE-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN Cc1cc([C@@H](C)NC[C@](C)(O)c2ccccc2Cl)oc1C ZINC000800490637 1038961073 /nfs/dbraw/zinc/96/10/73/1038961073.db2.gz MZDGJFSTRUAZAC-PXAZEXFGSA-N 0 3 307.821 4.108 20 0 DIADHN COCc1csc(CNCc2ccc(Cl)c(Cl)c2)c1 ZINC000657658679 1038963955 /nfs/dbraw/zinc/96/39/55/1038963955.db2.gz AAAJDQGHYAEWKG-UHFFFAOYSA-N 0 3 316.253 4.491 20 0 DIADHN Cc1ccc([C@H]2CCCCN2C(=O)C[C@@H](N)c2ccccc2)cc1 ZINC000237654178 1038968636 /nfs/dbraw/zinc/96/86/36/1038968636.db2.gz FOZXSLJFBRFVIC-WOJBJXKFSA-N 0 3 322.452 4.139 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2ccc(C)c(C)c2)cc1 ZINC000120303894 1038978237 /nfs/dbraw/zinc/97/82/37/1038978237.db2.gz WLDBHLHLRZJRSH-JXFKEZNVSA-N 0 3 313.441 4.086 20 0 DIADHN C[C@]1(CCNCc2csc(-c3ccsc3)n2)CC1(F)F ZINC000657669916 1038978352 /nfs/dbraw/zinc/97/83/52/1038978352.db2.gz BCHAYMNCAARKIS-ZDUSSCGKSA-N 0 3 314.426 4.397 20 0 DIADHN C[C@]1(CCNCc2ncc(-c3ccccc3)s2)CC1(F)F ZINC000657670119 1038979646 /nfs/dbraw/zinc/97/96/46/1038979646.db2.gz KFJJCQQHCZYPLY-HNNXBMFYSA-N 0 3 308.397 4.335 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@]1(C)CC1(F)F ZINC000657670637 1038981940 /nfs/dbraw/zinc/98/19/40/1038981940.db2.gz VZLGTKHRYGORFF-LBPRGKRZSA-N 0 3 310.171 4.137 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc(OC3CCCC3)c1)CC2 ZINC000657694230 1039015151 /nfs/dbraw/zinc/01/51/51/1039015151.db2.gz TWISMXXIBNHGSM-NRFANRHFSA-N 0 3 323.436 4.491 20 0 DIADHN COCc1ccc(CN2CC=C(c3ccc(F)cc3)CC2)cc1 ZINC000534332798 1039029959 /nfs/dbraw/zinc/02/99/59/1039029959.db2.gz KWRSHBBKJWGNBQ-UHFFFAOYSA-N 0 3 311.400 4.261 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](O)c2ccc(Cl)cc2Cl)o1 ZINC000161471046 1039047843 /nfs/dbraw/zinc/04/78/43/1039047843.db2.gz HFRDCKCTQMJZCV-YGRLFVJLSA-N 0 3 314.212 4.279 20 0 DIADHN CN(CCC1CCOCC1)Cc1csc(C(F)(F)F)c1 ZINC000628182246 1039051854 /nfs/dbraw/zinc/05/18/54/1039051854.db2.gz LFMGQJFGZVTWLK-UHFFFAOYSA-N 0 3 307.381 4.015 20 0 DIADHN CC(C)[C@@H](NCc1cnn(C(C)(C)C)c1)c1ccc(F)cc1 ZINC000079082954 1039069523 /nfs/dbraw/zinc/06/95/23/1039069523.db2.gz YYPHMXVSJHFSNB-QGZVFWFLSA-N 0 3 303.425 4.264 20 0 DIADHN c1sc(-c2ccccn2)nc1CN[C@@H]1CC[C@@H]1c1ccccc1 ZINC000657713336 1039070184 /nfs/dbraw/zinc/07/01/84/1039070184.db2.gz DTCCEAPWOPSQKG-IAGOWNOFSA-N 0 3 321.449 4.241 20 0 DIADHN CCOC1CCN(Cc2cnc(-c3ccc(C)cc3)s2)CC1 ZINC000091679832 1039100182 /nfs/dbraw/zinc/10/01/82/1039100182.db2.gz HAUPKBUAOLRUDZ-UHFFFAOYSA-N 0 3 316.470 4.119 20 0 DIADHN CCOC1CCN(Cc2cccc(OC3CCCC3)c2)CC1 ZINC000091676892 1039102344 /nfs/dbraw/zinc/10/23/44/1039102344.db2.gz JYFGKQSLLXUVKV-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN C[C@H](CC(C)(C)C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000457240619 1039123342 /nfs/dbraw/zinc/12/33/42/1039123342.db2.gz XAFHERGBWQKBFE-CYBMUJFWSA-N 0 3 316.445 4.049 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1C[C@@H](C)CCC[C@H]1C ZINC000525636695 1039126272 /nfs/dbraw/zinc/12/62/72/1039126272.db2.gz JBKQFISXVHXBFR-JKSUJKDBSA-N 0 3 316.489 4.260 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1ccc(Br)o1 ZINC000623503437 1039130853 /nfs/dbraw/zinc/13/08/53/1039130853.db2.gz ZIFAPCJECZMSGQ-UHFFFAOYSA-N 0 3 310.182 4.203 20 0 DIADHN CCc1ccc([C@H](COC)NC2CCC(C(F)(F)F)CC2)o1 ZINC000123334729 1039145731 /nfs/dbraw/zinc/14/57/31/1039145731.db2.gz RLLQGJGRYJGDFC-YIZWMMSDSA-N 0 3 319.367 4.240 20 0 DIADHN CC1(C)[C@@H](CNCc2ccc(-c3ccncc3)cc2F)C1(F)F ZINC000657736865 1039177319 /nfs/dbraw/zinc/17/73/19/1039177319.db2.gz GHSZXUMGQVIORT-MRXNPFEDSA-N 0 3 320.358 4.269 20 0 DIADHN CCC1(CC)CCN(Cc2nn(-c3ccccc3)c3c2CCC3)C1 ZINC001167657418 1039180426 /nfs/dbraw/zinc/18/04/26/1039180426.db2.gz UXEUWFLUHRDBGP-UHFFFAOYSA-N 0 3 323.484 4.373 20 0 DIADHN CC1(C)[C@H](CNCc2ccc(Sc3ccccn3)o2)C1(F)F ZINC000657738282 1039182679 /nfs/dbraw/zinc/18/26/79/1039182679.db2.gz LHDYRNPFCYWFOV-LBPRGKRZSA-N 0 3 324.396 4.207 20 0 DIADHN Cc1ccccc1CN1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000535316510 1039187207 /nfs/dbraw/zinc/18/72/07/1039187207.db2.gz ITLZZJFGSUYURP-GOSISDBHSA-N 0 3 318.424 4.117 20 0 DIADHN COC(Cc1ccccc1Nc1ccnc(C(C)(C)C)c1)OC ZINC001213166431 1039194463 /nfs/dbraw/zinc/19/44/63/1039194463.db2.gz BJXZVKBXVLJJLB-UHFFFAOYSA-N 0 3 314.429 4.284 20 0 DIADHN Cc1ccc2cc(C(=O)Nc3cc(C)cc(CN(C)C)c3)[nH]c2c1 ZINC000535421793 1039205309 /nfs/dbraw/zinc/20/53/09/1039205309.db2.gz PJVGXTSBMHFJDA-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CC(C)(C)c2ccccc2)c1 ZINC000535417413 1039210784 /nfs/dbraw/zinc/21/07/84/1039210784.db2.gz DOANOWVTLZVYHB-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(N3CCCC3=O)cc2)ccn1 ZINC001213166835 1039219799 /nfs/dbraw/zinc/21/97/99/1039219799.db2.gz UJMQZJDRWXZZHX-UHFFFAOYSA-N 0 3 309.413 4.250 20 0 DIADHN COc1ccc(NC(C)=O)cc1Nc1ccnc(C(C)(C)C)c1 ZINC001213166874 1039220011 /nfs/dbraw/zinc/22/00/11/1039220011.db2.gz WSGMLCHIZBBLGP-UHFFFAOYSA-N 0 3 313.401 4.090 20 0 DIADHN CC[C@@H](N[C@@H]1CCSc2ccccc21)c1c(C)nn(C)c1C ZINC000155412453 1039254730 /nfs/dbraw/zinc/25/47/30/1039254730.db2.gz QOYSMZNPIAGPBT-HZPDHXFCSA-N 0 3 315.486 4.315 20 0 DIADHN CN(Cc1cccc2ccccc21)Cc1cccc2nccn21 ZINC000539407641 1039254707 /nfs/dbraw/zinc/25/47/07/1039254707.db2.gz IDEFMYVDYVDCGQ-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(Cc2ccc3c(c2)ncn3C)C1 ZINC000539406251 1039256033 /nfs/dbraw/zinc/25/60/33/1039256033.db2.gz HUORCRWYLWYUJN-JLTOFOAXSA-N 0 3 323.415 4.296 20 0 DIADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000535987405 1039258177 /nfs/dbraw/zinc/25/81/77/1039258177.db2.gz NGLUXPGSDYXEKB-GMTAPVOTSA-N 0 3 317.296 4.383 20 0 DIADHN CC[C@@H](NCc1cccc(Oc2ccccc2)c1)c1cnn(C)c1 ZINC000155520426 1039261723 /nfs/dbraw/zinc/26/17/23/1039261723.db2.gz UKHFQCMUQCEMSV-HXUWFJFHSA-N 0 3 321.424 4.453 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1coc2ccccc12)c1ccccc1 ZINC000657749108 1039270019 /nfs/dbraw/zinc/27/00/19/1039270019.db2.gz YDTBFQSIHNIKOH-WOJBJXKFSA-N 0 3 309.409 4.281 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1ccccc1F ZINC000539510924 1039276665 /nfs/dbraw/zinc/27/66/65/1039276665.db2.gz OXZFXGLVVWRVIQ-JCGVRSQUSA-N 0 3 305.368 4.392 20 0 DIADHN COC(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)c(F)c1F ZINC001213168350 1039278768 /nfs/dbraw/zinc/27/87/68/1039278768.db2.gz FUDINXGWPAOTEG-UHFFFAOYSA-N 0 3 320.339 4.188 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H]1CN(C)Cc2ccccc21 ZINC000539520703 1039281532 /nfs/dbraw/zinc/28/15/32/1039281532.db2.gz RXFRBGHLQBBFIL-IBGZPJMESA-N 0 3 306.409 4.018 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H]1CN(C)Cc2ccccc21 ZINC000539520706 1039281749 /nfs/dbraw/zinc/28/17/49/1039281749.db2.gz RXFRBGHLQBBFIL-LJQANCHMSA-N 0 3 306.409 4.018 20 0 DIADHN Cc1noc(C)c1CNCC(C)(C)c1c(F)cccc1Cl ZINC000539529927 1039284113 /nfs/dbraw/zinc/28/41/13/1039284113.db2.gz ZRHIMDAGVYOEDD-UHFFFAOYSA-N 0 3 310.800 4.151 20 0 DIADHN Fc1cc(F)c(CNC[C@H]2SCCc3ccccc32)cc1F ZINC000539530632 1039286742 /nfs/dbraw/zinc/28/67/42/1039286742.db2.gz GOXSTEFHQKKUIU-QGZVFWFLSA-N 0 3 323.383 4.224 20 0 DIADHN C[C@H](NC[C@H](c1cccc(F)c1)N(C)C)c1cccc(F)c1F ZINC000539551957 1039291975 /nfs/dbraw/zinc/29/19/75/1039291975.db2.gz BGMUTRTVOTWEFQ-YVEFUNNKSA-N 0 3 322.374 4.057 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccsc2)cc1OCC ZINC000669830904 1039293068 /nfs/dbraw/zinc/29/30/68/1039293068.db2.gz BFXJSOMDSSSJRE-ZDUSSCGKSA-N 0 3 305.443 4.396 20 0 DIADHN C[C@@H](N[C@H](C)C(C)(C)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647287885 1039294865 /nfs/dbraw/zinc/29/48/65/1039294865.db2.gz XANZQAUYVXBCHH-HZPDHXFCSA-N 0 3 311.473 4.236 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1CCO[C@H](CC(C)C)C1 ZINC000539634750 1039302099 /nfs/dbraw/zinc/30/20/99/1039302099.db2.gz XKJSUPINPDHJAQ-UONOGXRCSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@H](NCCOC(C)(C)C)c1ccccc1OC(F)(F)F ZINC000539625221 1039303853 /nfs/dbraw/zinc/30/38/53/1039303853.db2.gz ITVOTJUPONFSKH-NSHDSACASA-N 0 3 305.340 4.051 20 0 DIADHN CC(C)CC[C@@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000427610069 1039313564 /nfs/dbraw/zinc/31/35/64/1039313564.db2.gz SOROVKFAVBNRJR-MNOVXSKESA-N 0 3 317.246 4.459 20 0 DIADHN COc1cccc([C@@H]2CCCN2CCC(=O)c2ccccc2)c1 ZINC000539724022 1039326219 /nfs/dbraw/zinc/32/62/19/1039326219.db2.gz XSJSWKYPTITSMC-IBGZPJMESA-N 0 3 309.409 4.105 20 0 DIADHN CCCCCN(CC(=O)Nc1c(C)cccc1Cl)C(C)C ZINC000536039565 1039336365 /nfs/dbraw/zinc/33/63/65/1039336365.db2.gz LOJJGKHDNRPXQD-UHFFFAOYSA-N 0 3 310.869 4.488 20 0 DIADHN CC(C)Cc1ncc(CN2CC[C@H]2Cc2ccccc2)s1 ZINC000539823363 1039353869 /nfs/dbraw/zinc/35/38/69/1039353869.db2.gz DSTWNWWWKUCSOB-INIZCTEOSA-N 0 3 300.471 4.159 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](OCCC(C)C)C3)cc2c1 ZINC000539835986 1039360095 /nfs/dbraw/zinc/36/00/95/1039360095.db2.gz ZUKJQDWFSBBITC-KRWDZBQOSA-N 0 3 317.429 4.078 20 0 DIADHN CC(C)N(CCOc1ccc(F)cc1Cl)Cc1ccccn1 ZINC000539842179 1039364302 /nfs/dbraw/zinc/36/43/02/1039364302.db2.gz APIQQSIQOVAABA-UHFFFAOYSA-N 0 3 322.811 4.164 20 0 DIADHN Clc1ccccc1C[C@H](NCC1=CCOCC1)c1ccco1 ZINC000926506589 1039365963 /nfs/dbraw/zinc/36/59/63/1039365963.db2.gz RHCGVZDNWNWVBX-KRWDZBQOSA-N 0 3 317.816 4.153 20 0 DIADHN COCC[C@H]1CCCCN(Cc2cscc2C(F)(F)F)C1 ZINC000583537338 1039368857 /nfs/dbraw/zinc/36/88/57/1039368857.db2.gz FRXUKBHZDMODNK-GFCCVEGCSA-N 0 3 321.408 4.406 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2cscc2C(F)(F)F)C1 ZINC000583537339 1039369322 /nfs/dbraw/zinc/36/93/22/1039369322.db2.gz FRXUKBHZDMODNK-LBPRGKRZSA-N 0 3 321.408 4.406 20 0 DIADHN CS[C@H]1CCCCN(Cc2c(F)cccc2N2CCCC2)C1 ZINC000539894675 1039381234 /nfs/dbraw/zinc/38/12/34/1039381234.db2.gz DWEANWIXOHPRSU-HNNXBMFYSA-N 0 3 322.493 4.143 20 0 DIADHN Fc1cccc(-c2ccc(CN3CCCSCC3)o2)c1F ZINC000583592078 1039391327 /nfs/dbraw/zinc/39/13/27/1039391327.db2.gz QXRJAFJJNZACJR-UHFFFAOYSA-N 0 3 309.381 4.164 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccsc1 ZINC000669851200 1039393377 /nfs/dbraw/zinc/39/33/77/1039393377.db2.gz XHXXFIFOTPHFRN-RYUDHWBXSA-N 0 3 314.454 4.390 20 0 DIADHN OC1(CNCc2cc(Cl)ccc2Cl)CCC(F)(F)CC1 ZINC000657776223 1039404159 /nfs/dbraw/zinc/40/41/59/1039404159.db2.gz BALPYPXCUMSJNV-UHFFFAOYSA-N 0 3 324.198 4.023 20 0 DIADHN CSc1cc(CNCc2ccc(OCC(C)C)cc2)ccn1 ZINC000540067104 1039409104 /nfs/dbraw/zinc/40/91/04/1039409104.db2.gz UJKFMBHVHQCIAE-UHFFFAOYSA-N 0 3 316.470 4.128 20 0 DIADHN COCc1csc(CNC2(c3cccc(OC)c3)CCC2)c1 ZINC000657778357 1039414082 /nfs/dbraw/zinc/41/40/82/1039414082.db2.gz FNYZFQSHMGINBX-UHFFFAOYSA-N 0 3 317.454 4.072 20 0 DIADHN COc1cccc(C2(NCc3cc4ccccc4[nH]3)CCC2)c1 ZINC000657778408 1039414519 /nfs/dbraw/zinc/41/45/19/1039414519.db2.gz HDBMUVZHFROVNS-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN Cc1cc([C@H](NCc2ccc(F)nc2C)C2CC2)ccc1F ZINC000278368278 1039419511 /nfs/dbraw/zinc/41/95/11/1039419511.db2.gz JSYZUWCEHGFTHG-GOSISDBHSA-N 0 3 302.368 4.218 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CC(C)(C)c3ccccc32)cc1 ZINC000540144059 1039424149 /nfs/dbraw/zinc/42/41/49/1039424149.db2.gz LMVZHFBVEXRGCS-UXHICEINSA-N 0 3 309.453 4.295 20 0 DIADHN CC[C@H](N[C@@H](C)c1sccc1Br)[C@@H]1CCCO1 ZINC000540145933 1039424966 /nfs/dbraw/zinc/42/49/66/1039424966.db2.gz MOBPQQFWZXSUBV-DLOVCJGASA-N 0 3 318.280 4.119 20 0 DIADHN OC[C@H](N[C@@H]1CCc2ccccc21)c1ccc(C(F)(F)F)cc1 ZINC000540154101 1039431808 /nfs/dbraw/zinc/43/18/08/1039431808.db2.gz VPCNQESKIVDBDO-SJORKVTESA-N 0 3 321.342 4.016 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000540153255 1039432249 /nfs/dbraw/zinc/43/22/49/1039432249.db2.gz BPVOCUWSPASWMU-GUYCJALGSA-N 0 3 323.358 4.318 20 0 DIADHN C[C@@H](NCCOC1CCCCC1)c1cc(F)c(F)c(F)c1 ZINC000540170422 1039436541 /nfs/dbraw/zinc/43/65/41/1039436541.db2.gz SBWFSWZPUWYRFB-LLVKDONJSA-N 0 3 301.352 4.104 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1cnc2ccccc2c1 ZINC000540172054 1039436615 /nfs/dbraw/zinc/43/66/15/1039436615.db2.gz NCNCFNRYFAZYJF-RBZFPXEDSA-N 0 3 306.384 4.397 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1Cl)c1ccccn1 ZINC000540188173 1039441554 /nfs/dbraw/zinc/44/15/54/1039441554.db2.gz GYXYSRBLPBSTAI-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]1Cc1ccccc1)c1nc2c(s1)CCCC2 ZINC000540183532 1039446653 /nfs/dbraw/zinc/44/66/53/1039446653.db2.gz IONDCKULASAGTI-QRTARXTBSA-N 0 3 312.482 4.304 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1cccc(OC(F)(F)F)c1 ZINC000540185468 1039447939 /nfs/dbraw/zinc/44/79/39/1039447939.db2.gz OWQOWGFTIOASPZ-QMMMGPOBSA-N 0 3 301.230 4.188 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(OC)c(Cl)c1 ZINC000540202892 1039449323 /nfs/dbraw/zinc/44/93/23/1039449323.db2.gz OFLACDSPPQJVSY-GFCCVEGCSA-N 0 3 304.821 4.157 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(F)(F)F)cc1)c1cccnc1 ZINC000540263216 1039464827 /nfs/dbraw/zinc/46/48/27/1039464827.db2.gz VHZAZEAGRLHQEW-INIZCTEOSA-N 0 3 324.346 4.467 20 0 DIADHN CC(C)[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000540299981 1039468915 /nfs/dbraw/zinc/46/89/15/1039468915.db2.gz FSOABQZNDBLIEI-GBESFXJTSA-N 0 3 315.844 4.285 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@@H]1CCCc2c(C)cccc21 ZINC000657797760 1039474027 /nfs/dbraw/zinc/47/40/27/1039474027.db2.gz MLLZAFSQUSDTKI-HXUWFJFHSA-N 0 3 308.425 4.121 20 0 DIADHN CCCCn1cc(CN[C@H]2c3cc(F)ccc3C[C@H]2C)c(C)n1 ZINC000540321867 1039479220 /nfs/dbraw/zinc/47/92/20/1039479220.db2.gz ZWTSLMFTITUNBP-BFUOFWGJSA-N 0 3 315.436 4.154 20 0 DIADHN COc1cccc(CN[C@H]2CCCc3c(C)cccc32)c1OC ZINC000657799836 1039487606 /nfs/dbraw/zinc/48/76/06/1039487606.db2.gz ZGKFNFTVQONHJT-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN CCOCc1ccc(CNCc2ccc(OCC)c(F)c2)cc1 ZINC000278470371 1039492205 /nfs/dbraw/zinc/49/22/05/1039492205.db2.gz ZFESHBDLJHTXDH-UHFFFAOYSA-N 0 3 317.404 4.051 20 0 DIADHN Clc1cccc([C@H](NC[C@H]2CCSC2)c2ccccn2)c1 ZINC000278477640 1039495160 /nfs/dbraw/zinc/49/51/60/1039495160.db2.gz KCEXMGUJIRLOGP-DYVFJYSZSA-N 0 3 318.873 4.167 20 0 DIADHN CC(C)COc1cccc(CN2CCC(OC(C)C)CC2)c1 ZINC000540462849 1039497169 /nfs/dbraw/zinc/49/71/69/1039497169.db2.gz IARHNTATQMCCOQ-UHFFFAOYSA-N 0 3 305.462 4.111 20 0 DIADHN Cc1ccc2ncc(CN[C@H](c3ccc(F)c(C)c3)C3CC3)n2c1 ZINC000536163966 1039507412 /nfs/dbraw/zinc/50/74/12/1039507412.db2.gz TZXCKIPAIUOKOF-FQEVSTJZSA-N 0 3 323.415 4.331 20 0 DIADHN Cc1nnsc1[C@@H](C)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000929621434 1039517915 /nfs/dbraw/zinc/51/79/15/1039517915.db2.gz DDMGJMLAGHPPIV-YPMHNXCESA-N 0 3 307.850 4.050 20 0 DIADHN CC(C)CC[C@@H](NCc1nc(-c2ccoc2)no1)C(C)(C)C ZINC000540590227 1039521661 /nfs/dbraw/zinc/52/16/61/1039521661.db2.gz XICBXUVENLOQNM-CQSZACIVSA-N 0 3 305.422 4.270 20 0 DIADHN CC1(C)[C@H](NCc2c(Cl)cccc2Cl)[C@@H]2CCCO[C@@H]21 ZINC000540590955 1039521745 /nfs/dbraw/zinc/52/17/45/1039521745.db2.gz AXILAADZGYOIIL-VQISRLSMSA-N 0 3 314.256 4.287 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cncc(C)c2)cc1Cl ZINC000796848617 1039521859 /nfs/dbraw/zinc/52/18/59/1039521859.db2.gz YMZAOBWGPJZDJD-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3CCO[C@H]3C3CC3)o2)cc1 ZINC000540622215 1039525911 /nfs/dbraw/zinc/52/59/11/1039525911.db2.gz QWHPDHKPFJJRAX-AEFFLSMTSA-N 0 3 317.816 4.257 20 0 DIADHN Cc1ccc(CNCC2=CCCOC2)c(O[C@H](C)CC(C)C)c1 ZINC000540625522 1039532880 /nfs/dbraw/zinc/53/28/80/1039532880.db2.gz PDPIZSLZJDRXOF-QGZVFWFLSA-N 0 3 317.473 4.245 20 0 DIADHN COc1ccc(CNCc2cccc3cc[nH]c32)cc1Cl ZINC000540620997 1039533245 /nfs/dbraw/zinc/53/32/45/1039533245.db2.gz LAXKZANBIKQABK-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CCO[C@H]2C2CC2)o1 ZINC000540620836 1039533782 /nfs/dbraw/zinc/53/37/82/1039533782.db2.gz DFBDZTAFXCDYME-MJGOQNOKSA-N 0 3 315.388 4.051 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc(C)s2)cc1OC ZINC000349967239 1039536759 /nfs/dbraw/zinc/53/67/59/1039536759.db2.gz SKWDRSZYSBSHFL-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN CC[C@@H](NC1(c2ccc(OC)cc2)CC1)c1cccc(OC)c1 ZINC000540723942 1039553165 /nfs/dbraw/zinc/55/31/65/1039553165.db2.gz RFDNXUMBONKIAW-LJQANCHMSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC1(c3ccc4c(c3)OCO4)CC1)CCC2 ZINC000540749712 1039558181 /nfs/dbraw/zinc/55/81/81/1039558181.db2.gz LUCGLPCKHKIDBK-GOSISDBHSA-N 0 3 321.420 4.380 20 0 DIADHN COc1ccc(CN(Cc2scnc2C)C(C)(C)C)cc1 ZINC000584020981 1039562680 /nfs/dbraw/zinc/56/26/80/1039562680.db2.gz AXCHHRQZYYHWNA-UHFFFAOYSA-N 0 3 304.459 4.261 20 0 DIADHN CCc1ccc(CNC[C@H](Cc2ccccc2)C(F)(F)F)o1 ZINC000584023241 1039563632 /nfs/dbraw/zinc/56/36/32/1039563632.db2.gz CGVFNPVKSLVNQN-AWEZNQCLSA-N 0 3 311.347 4.353 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000278587906 1039571921 /nfs/dbraw/zinc/57/19/21/1039571921.db2.gz ZDFHWQZYWBBXDQ-ZMZPIMSZSA-N 0 3 302.368 4.081 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3COC4(CCC4)C3)oc21 ZINC000657824657 1039588366 /nfs/dbraw/zinc/58/83/66/1039588366.db2.gz SJAPLWXOEFXBQW-DZGCQCFKSA-N 0 3 315.413 4.194 20 0 DIADHN CCc1nc2cc(CNCc3cccc(F)c3)ccc2n1C1CC1 ZINC000584065625 1039590174 /nfs/dbraw/zinc/59/01/74/1039590174.db2.gz KOKXJDMTSYEAQG-UHFFFAOYSA-N 0 3 323.415 4.363 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)cc1F ZINC000158823718 1039592802 /nfs/dbraw/zinc/59/28/02/1039592802.db2.gz XWOUAKCQORIUTL-CABCVRRESA-N 0 3 324.403 4.127 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(C(F)F)nc1 ZINC000657826721 1039593859 /nfs/dbraw/zinc/59/38/59/1039593859.db2.gz JZSMOQYHZGCYAK-GFCCVEGCSA-N 0 3 306.356 4.016 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)cc1F ZINC000158823596 1039594482 /nfs/dbraw/zinc/59/44/82/1039594482.db2.gz XWOUAKCQORIUTL-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)C[C@H]1CCCC[C@@H]1O ZINC000540916765 1039594578 /nfs/dbraw/zinc/59/45/78/1039594578.db2.gz OPRULYXGERWLRQ-WBVHZDCISA-N 0 3 322.518 4.174 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@]3(CC[C@@H](C)C3)C2)c(C)c1 ZINC000541024646 1039609763 /nfs/dbraw/zinc/60/97/63/1039609763.db2.gz PLVZVPIOGVQDCF-SYNHAJSKSA-N 0 3 314.473 4.143 20 0 DIADHN Brc1cnc(CN[C@@H]2CCCC23CCCC3)s1 ZINC000714932057 1039613067 /nfs/dbraw/zinc/61/30/67/1039613067.db2.gz HUPOMVLDIKMQRM-SNVBAGLBSA-N 0 3 315.280 4.108 20 0 DIADHN CC[C@H]1CCC[C@H](CNCc2ncc(Br)s2)C1 ZINC000714937208 1039613393 /nfs/dbraw/zinc/61/33/93/1039613393.db2.gz QICHDRRMNCZGLA-QWRGUYRKSA-N 0 3 317.296 4.212 20 0 DIADHN C[C@@H](NCCC(C)(C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000381339415 1039620663 /nfs/dbraw/zinc/62/06/63/1039620663.db2.gz KEWCJQMJURAYDC-MRVPVSSYSA-N 0 3 310.652 4.458 20 0 DIADHN CCCCCN(Cc1nnc(-c2ccccc2OC)o1)C(C)C ZINC000159077297 1039623877 /nfs/dbraw/zinc/62/38/77/1039623877.db2.gz SBXGXKXGTSKRJE-UHFFFAOYSA-N 0 3 317.433 4.146 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2cc3cnccc3o2)cc1 ZINC000657833197 1039630096 /nfs/dbraw/zinc/63/00/96/1039630096.db2.gz PRAKABXQKKQJBS-CQSZACIVSA-N 0 3 310.397 4.215 20 0 DIADHN CCc1ccccc1[C@@H]1C[C@H]1C(=O)Nc1cccc(CN(C)C)c1 ZINC000584184552 1039630909 /nfs/dbraw/zinc/63/09/09/1039630909.db2.gz MALWFWYCNCZIFG-VQTJNVASSA-N 0 3 322.452 4.053 20 0 DIADHN C[C@H](NCc1cccnc1OC1CCCC1)C(C)(C)C(F)F ZINC000657835647 1039638237 /nfs/dbraw/zinc/63/82/37/1039638237.db2.gz VSOVAKSEKHPDIZ-LBPRGKRZSA-N 0 3 312.404 4.172 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccccc3Cl)o2)[C@@H](C)C1 ZINC000248556499 1039639393 /nfs/dbraw/zinc/63/93/93/1039639393.db2.gz KWUFDKLJIUDMKM-XUJVJEKNSA-N 0 3 319.836 4.304 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1cnc(C2CC2)o1 ZINC000628176697 1039642118 /nfs/dbraw/zinc/64/21/18/1039642118.db2.gz UJZTZQMLQBOULF-UHFFFAOYSA-N 0 3 302.393 4.146 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1ccc2ccccc2n1 ZINC000584225437 1039646000 /nfs/dbraw/zinc/64/60/00/1039646000.db2.gz IZWIMPWZYDFNDS-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCc2ccccc2Cl)on1 ZINC000541282115 1039652979 /nfs/dbraw/zinc/65/29/79/1039652979.db2.gz JLIQQKXOSUOMGZ-MRXNPFEDSA-N 0 3 304.821 4.406 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@@H](C)C1 ZINC000248587094 1039654055 /nfs/dbraw/zinc/65/40/55/1039654055.db2.gz YATWXPCZITXMOC-TYNCELHUSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)C[C@H]2CC=CCC2)c(C)c1 ZINC000541312683 1039659733 /nfs/dbraw/zinc/65/97/33/1039659733.db2.gz CHXUNDQMDSQGAR-ROUUACIJSA-N 0 3 314.473 4.227 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)C[C@H]2CC=CCC2)c(C)c1 ZINC000541312680 1039660614 /nfs/dbraw/zinc/66/06/14/1039660614.db2.gz CHXUNDQMDSQGAR-MSOLQXFVSA-N 0 3 314.473 4.227 20 0 DIADHN CC(C)COc1ccc([C@H](C)NCc2ccccc2CO)cc1 ZINC000151794762 1039672385 /nfs/dbraw/zinc/67/23/85/1039672385.db2.gz ZQDJTNCZXWEPCC-INIZCTEOSA-N 0 3 313.441 4.065 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4cc(F)ccc4o3)C2)cc1 ZINC000541392020 1039677722 /nfs/dbraw/zinc/67/77/22/1039677722.db2.gz DRIAIVDXMHDFEL-HNNXBMFYSA-N 0 3 310.372 4.265 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000541388224 1039679058 /nfs/dbraw/zinc/67/90/58/1039679058.db2.gz YKOQLHXCSOHMNM-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN CCOc1ccc(CNCc2ccc(F)c(C)c2)cc1Cl ZINC000584341927 1039681410 /nfs/dbraw/zinc/68/14/10/1039681410.db2.gz VNOVLLULXJUCBT-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@H]1C)c1ccc(C(F)F)nc1 ZINC000657844776 1039686227 /nfs/dbraw/zinc/68/62/27/1039686227.db2.gz SPMUDBIYQJEKGW-WWGRRREGSA-N 0 3 312.404 4.265 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccc(Cl)c2cccnc12 ZINC000080919452 1039688551 /nfs/dbraw/zinc/68/85/51/1039688551.db2.gz MJZIZIQOSVJUKD-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Cc1c2ccccc2oc1CN[C@@H]1CCC(=O)Nc2ccccc21 ZINC000541545496 1039698116 /nfs/dbraw/zinc/69/81/16/1039698116.db2.gz GYOCAPGKLONWCD-MRXNPFEDSA-N 0 3 320.392 4.304 20 0 DIADHN COc1ccc(CN[C@H](Cc2ccccc2)c2cccs2)cn1 ZINC000541596188 1039710746 /nfs/dbraw/zinc/71/07/46/1039710746.db2.gz LDEVMFKOCWOQMK-QGZVFWFLSA-N 0 3 324.449 4.225 20 0 DIADHN Clc1cc(CN2CCC[C@@H]3CCC[C@@H]32)cc2c1OCCCO2 ZINC000567012281 1039720628 /nfs/dbraw/zinc/72/06/28/1039720628.db2.gz UQMLWZSLVOACLO-HOCLYGCPSA-N 0 3 321.848 4.266 20 0 DIADHN CO[C@@H]1CCCN(Cc2cc(C)cc(C(F)(F)F)c2)CC1 ZINC001143454709 1039728308 /nfs/dbraw/zinc/72/83/08/1039728308.db2.gz IMFYZTHZCBHKDT-OAHLLOKOSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3cccnc3)C2)cc(C(F)(F)F)c1 ZINC001143454733 1039730986 /nfs/dbraw/zinc/73/09/86/1039730986.db2.gz IXUUIKBBLJJEEF-MRXNPFEDSA-N 0 3 320.358 4.398 20 0 DIADHN Cc1ccc([C@H](NCc2ccccc2-n2ccnc2)C2CCC2)o1 ZINC000541732989 1039736090 /nfs/dbraw/zinc/73/60/90/1039736090.db2.gz AAUWLSCTZRCRKY-HXUWFJFHSA-N 0 3 321.424 4.405 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(Cl)c(C)c1)CC2 ZINC000541769177 1039743120 /nfs/dbraw/zinc/74/31/20/1039743120.db2.gz YPYUQGMXVWWXLJ-SFHVURJKSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc(C(F)F)nc1 ZINC000657857904 1039754182 /nfs/dbraw/zinc/75/41/82/1039754182.db2.gz XCHWDFHATPHOHG-MEBBXXQBSA-N 0 3 306.331 4.496 20 0 DIADHN CSc1ccccc1CNC1(c2ccccc2)CCOCC1 ZINC000541894592 1039755799 /nfs/dbraw/zinc/75/57/99/1039755799.db2.gz YLUWDHZNJKRIFW-UHFFFAOYSA-N 0 3 313.466 4.204 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2cc[nH]c2)cc1OC ZINC000086251118 1039758689 /nfs/dbraw/zinc/75/86/89/1039758689.db2.gz XVNWISKHWLIUDV-HNNXBMFYSA-N 0 3 316.445 4.443 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2cc[nH]c2)cc1OC ZINC000086251216 1039759030 /nfs/dbraw/zinc/75/90/30/1039759030.db2.gz SLASEHFWGOHFHE-AWEZNQCLSA-N 0 3 302.418 4.053 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc(C(F)F)nc1 ZINC000657856973 1039760957 /nfs/dbraw/zinc/76/09/57/1039760957.db2.gz AJMRONFJPNHUSB-BONVTDFDSA-N 0 3 306.331 4.496 20 0 DIADHN CCC[C@@H](NCCc1ccc(C)cn1)c1ncccc1Cl ZINC000926563257 1039763333 /nfs/dbraw/zinc/76/33/33/1039763333.db2.gz DDEDPTAVYXESJR-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCCc3ccc(F)cc32)cn1 ZINC000089213753 1039770432 /nfs/dbraw/zinc/77/04/32/1039770432.db2.gz RLPVQYVUFYSBBE-ACJLOTCBSA-N 0 3 301.409 4.331 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1ccc(SC(C)C)cc1 ZINC000541984562 1039775427 /nfs/dbraw/zinc/77/54/27/1039775427.db2.gz OZOXDIKZKGMWRB-IBGZPJMESA-N 0 3 315.482 4.319 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1c(C)ccc2ccccc21 ZINC000541980034 1039775965 /nfs/dbraw/zinc/77/59/65/1039775965.db2.gz ASDBOSRESOAOQH-NRFANRHFSA-N 0 3 305.421 4.280 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1c3ccccc3C[C@@H]1C)CCO2 ZINC000089614200 1039777263 /nfs/dbraw/zinc/77/72/63/1039777263.db2.gz XOEZSDDBIJZCFI-CYFNAFFESA-N 0 3 309.409 4.042 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3cccs3)n2)[C@H]2CCCC[C@@H]12 ZINC000542044975 1039784110 /nfs/dbraw/zinc/78/41/10/1039784110.db2.gz DKBOFQADVVHRJB-SNPRPXQTSA-N 0 3 302.443 4.414 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(F)cn1)Oc1ccccc1C ZINC000090218937 1039794157 /nfs/dbraw/zinc/79/41/57/1039794157.db2.gz UYUMMHWYKQSAEF-GOEBONIOSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1c(C)nn(C)c1C ZINC000090964167 1039807657 /nfs/dbraw/zinc/80/76/57/1039807657.db2.gz JYLJLDINNUPUPK-QZTJIDSGSA-N 0 3 315.436 4.294 20 0 DIADHN Cc1ccc(C(=O)Nc2cccc(CN(C)C)c2)c(Cl)c1C ZINC000542175834 1039810347 /nfs/dbraw/zinc/81/03/47/1039810347.db2.gz AVAOUPHXRAGKJG-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](CC)c2ccc(OC)cc2)cc1 ZINC000090843895 1039818268 /nfs/dbraw/zinc/81/82/68/1039818268.db2.gz ONVHQEOBSUESSH-PMACEKPBSA-N 0 3 313.441 4.032 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CO)c2ccc(CC)cc2)s1 ZINC000090844381 1039818772 /nfs/dbraw/zinc/81/87/72/1039818772.db2.gz DZGKEKPIYOWFML-DYVFJYSZSA-N 0 3 303.471 4.257 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](C)c1ccc(F)cn1 ZINC000090778505 1039821287 /nfs/dbraw/zinc/82/12/87/1039821287.db2.gz GIIIIBOIIIGYHW-DZGCQCFKSA-N 0 3 304.434 4.442 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2sc(C)nc2C)c1 ZINC000172742914 1039841814 /nfs/dbraw/zinc/84/18/14/1039841814.db2.gz GPHXLRLIGWQUTL-INIZCTEOSA-N 0 3 302.443 4.106 20 0 DIADHN Cc1csc(CNC2(Cc3ccc(Cl)cc3)CCC2)n1 ZINC000491581076 1039861296 /nfs/dbraw/zinc/86/12/96/1039861296.db2.gz IQNJPPPIRGCMAL-UHFFFAOYSA-N 0 3 306.862 4.360 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1cccc(Cl)c1)c1ccco1 ZINC000151716314 1039864382 /nfs/dbraw/zinc/86/43/82/1039864382.db2.gz IENGMYJGYWJNLF-CVEARBPZSA-N 0 3 305.805 4.021 20 0 DIADHN Cc1noc(C)c1CCN1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000092428361 1039869125 /nfs/dbraw/zinc/86/91/25/1039869125.db2.gz ZPTVKELCWFETDK-UHFFFAOYSA-N 0 3 310.441 4.240 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CC1)c1ccc(F)cn1 ZINC000092748051 1039885003 /nfs/dbraw/zinc/88/50/03/1039885003.db2.gz SYHOXPRHUIUPMV-ZDUSSCGKSA-N 0 3 302.418 4.196 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccc(F)cn1)Nc1ccccc1 ZINC000092747228 1039885383 /nfs/dbraw/zinc/88/53/83/1039885383.db2.gz LLNQXKCPMHTEJX-KSSFIOAISA-N 0 3 301.409 4.008 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)cc1 ZINC000151834105 1039886391 /nfs/dbraw/zinc/88/63/91/1039886391.db2.gz ROSUNAFQVRQYIG-RDJZCZTQSA-N 0 3 317.454 4.090 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1COc2c1ccc(C)c2C ZINC000491892706 1039891727 /nfs/dbraw/zinc/89/17/27/1039891727.db2.gz NSJRBICJIBMQPR-CVAIRZPRSA-N 0 3 311.425 4.103 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCCN2Cc2cnc[nH]2)cc1 ZINC000092965608 1039892823 /nfs/dbraw/zinc/89/28/23/1039892823.db2.gz SKCIMDOASRFDMV-OAHLLOKOSA-N 0 3 309.335 4.156 20 0 DIADHN COc1cc(CN[C@H]2CCCc3ccc(F)cc32)cc(OC)c1 ZINC000093116036 1039895034 /nfs/dbraw/zinc/89/50/34/1039895034.db2.gz HWBSYKLBDKFZSW-IBGZPJMESA-N 0 3 315.388 4.010 20 0 DIADHN CCc1ncc(CN2CC[C@H](CSc3ccccc3)C2)s1 ZINC000093756931 1039900865 /nfs/dbraw/zinc/90/08/65/1039900865.db2.gz FOVHTGPQWBDYGE-AWEZNQCLSA-N 0 3 318.511 4.320 20 0 DIADHN COC1CCC(N(C)Cc2nc(-c3ccccc3)cs2)CC1 ZINC000093414991 1039912723 /nfs/dbraw/zinc/91/27/23/1039912723.db2.gz UTJAFALJDOIZRI-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN COc1cccc2cc([C@H](C)NCCOC3CCCC3)oc21 ZINC000170999458 1039914654 /nfs/dbraw/zinc/91/46/54/1039914654.db2.gz JJXRHZHEXUBMPA-ZDUSSCGKSA-N 0 3 303.402 4.051 20 0 DIADHN Cc1noc(C)c1CN1CCC[C@H]1Cc1ccccc1Cl ZINC000140541528 1039934471 /nfs/dbraw/zinc/93/44/71/1039934471.db2.gz IGAUMWQCIQEDRV-HNNXBMFYSA-N 0 3 304.821 4.152 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)Cc2cccc(Cl)c2)o1 ZINC000492221676 1039936454 /nfs/dbraw/zinc/93/64/54/1039936454.db2.gz BUVDJYHLHZZHOT-LBPRGKRZSA-N 0 3 306.837 4.310 20 0 DIADHN CCc1cccc(Cl)c1CNCCc1nnc(C(C)C)s1 ZINC000492241195 1039939341 /nfs/dbraw/zinc/93/93/41/1039939341.db2.gz OLMYKPJQAWZVLF-UHFFFAOYSA-N 0 3 323.893 4.210 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2cc3ccncc3s2)cn1 ZINC000657877677 1039984051 /nfs/dbraw/zinc/98/40/51/1039984051.db2.gz IBECTEQJDCYXQV-STQMWFEESA-N 0 3 314.458 4.486 20 0 DIADHN COCC[C@@H](C)CN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926577813 1039988941 /nfs/dbraw/zinc/98/89/41/1039988941.db2.gz LCHJMEDEUMMTPE-RDTXWAMCSA-N 0 3 318.848 4.087 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cccc(Br)c1 ZINC000492757570 1040013483 /nfs/dbraw/zinc/01/34/83/1040013483.db2.gz RBPLSMDIRMMNJE-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN C[C@H](NCCOC1CCCCCC1)c1ccc(C(F)F)nc1 ZINC000657888502 1040014843 /nfs/dbraw/zinc/01/48/43/1040014843.db2.gz UKTLEMVORCPIAM-ZDUSSCGKSA-N 0 3 312.404 4.409 20 0 DIADHN C[C@@H](NCCOC1CCCCCC1)c1cc2cnccc2o1 ZINC000657887995 1040015631 /nfs/dbraw/zinc/01/56/31/1040015631.db2.gz SSNJDYLWEPILIV-CQSZACIVSA-N 0 3 302.418 4.218 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1cccc(Cl)c1Cl ZINC000657889514 1040027101 /nfs/dbraw/zinc/02/71/01/1040027101.db2.gz YOYWUHNVTHVAMD-MNOVXSKESA-N 0 3 300.229 4.356 20 0 DIADHN CCCN(CCC)Cc1csc(-c2ccc(OC)cc2)n1 ZINC000302815539 1040041077 /nfs/dbraw/zinc/04/10/77/1040041077.db2.gz VCHMIIMZDGWWCI-UHFFFAOYSA-N 0 3 304.459 4.441 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1csc(Cc2ccccc2)n1 ZINC000492934625 1040043153 /nfs/dbraw/zinc/04/31/53/1040043153.db2.gz AMTRXMDTQJOKKQ-INIZCTEOSA-N 0 3 300.471 4.402 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000685425596 1040043103 /nfs/dbraw/zinc/04/31/03/1040043103.db2.gz MKWSLOXQIOAFSL-GOSISDBHSA-N 0 3 316.832 4.462 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC000685429655 1040046442 /nfs/dbraw/zinc/04/64/42/1040046442.db2.gz ZGRWWMFNIKHVDH-HXUWFJFHSA-N 0 3 309.453 4.413 20 0 DIADHN CCCCN(CC)CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000302831263 1040050140 /nfs/dbraw/zinc/05/01/40/1040050140.db2.gz BFCGGPSZSKZWAX-INIZCTEOSA-N 0 3 312.457 4.139 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC(c4cccnc4)CC3)c2O1 ZINC000685456149 1040057761 /nfs/dbraw/zinc/05/77/61/1040057761.db2.gz IHRYYAWGPYMXMW-UHFFFAOYSA-N 0 3 322.452 4.175 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@H](C[C@H]3CCOC3)C2)c(F)c1 ZINC000685463993 1040062430 /nfs/dbraw/zinc/06/24/30/1040062430.db2.gz KRRTWKHWNCLHBD-DXBXVIHASA-N 0 3 321.411 4.117 20 0 DIADHN CC[C@@H](CCO)N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039057 1040067506 /nfs/dbraw/zinc/06/75/06/1040067506.db2.gz XSRFMBUWJXQFQQ-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN CC[C@H](O)CCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039339 1040068125 /nfs/dbraw/zinc/06/81/25/1040068125.db2.gz ZGJQXBSWDWJVGV-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccccc1C)c1ccc(F)cn1 ZINC000493059115 1040073290 /nfs/dbraw/zinc/07/32/90/1040073290.db2.gz OJXFFEJRVPAAAK-HOCLYGCPSA-N 0 3 302.393 4.037 20 0 DIADHN c1csc(-c2ncc(CN3CCC[C@@H](C4CC4)C3)s2)c1 ZINC000685475957 1040074242 /nfs/dbraw/zinc/07/42/42/1040074242.db2.gz DMASPDDKTQKSBH-CYBMUJFWSA-N 0 3 304.484 4.494 20 0 DIADHN CC1(C)CN(Cc2nccc3ccccc32)Cc2ccccc2O1 ZINC000685476935 1040075582 /nfs/dbraw/zinc/07/55/82/1040075582.db2.gz QLTCYQOAFUQHEX-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1csc(-c2ccccc2F)n1 ZINC000647359494 1040081548 /nfs/dbraw/zinc/08/15/48/1040081548.db2.gz HWUZNJZWOQVZDE-COPLHBTASA-N 0 3 322.474 4.494 20 0 DIADHN CS[C@H]1CC[C@H]1NCc1ccc(Br)cc1Cl ZINC000381415713 1040092490 /nfs/dbraw/zinc/09/24/90/1040092490.db2.gz VYVQBWQZEXWKOE-NEPJUHHUSA-N 0 3 320.683 4.086 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3CCCSCC3)co2)cc1 ZINC000657899660 1040099440 /nfs/dbraw/zinc/09/94/40/1040099440.db2.gz KHAIPBZEZCJDIC-OAHLLOKOSA-N 0 3 302.443 4.025 20 0 DIADHN CO[C@@H](C)c1nc(CNC[C@@H](C)c2ccc(C)cc2)cs1 ZINC000628226017 1040100481 /nfs/dbraw/zinc/10/04/81/1040100481.db2.gz WZBONKRVTYWEED-KGLIPLIRSA-N 0 3 304.459 4.052 20 0 DIADHN COc1ccc2cc(CN(C)[C@@H](C)c3ccccn3)ccc2c1 ZINC000176989313 1040103915 /nfs/dbraw/zinc/10/39/15/1040103915.db2.gz MVHFZKFBVBEQQU-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cnc([C@@H](C)NCCc2cccc(C(F)(F)F)c2)s1 ZINC000628226899 1040104208 /nfs/dbraw/zinc/10/42/08/1040104208.db2.gz JHEXFIBFBPMYNO-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(Br)cc1F ZINC000310203857 1040111269 /nfs/dbraw/zinc/11/12/69/1040111269.db2.gz ICCPGEBQRLYBCA-JTQLQIEISA-N 0 3 320.271 4.380 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1cc2cnccc2o1 ZINC000657908518 1040114180 /nfs/dbraw/zinc/11/41/80/1040114180.db2.gz IOEBPAUJFLQROD-UVFQYZLESA-N 0 3 322.408 4.256 20 0 DIADHN Cc1ccc(CCC(=O)Nc2cc(CN(C)C)ccc2C)c(C)c1 ZINC000177353848 1040117068 /nfs/dbraw/zinc/11/70/68/1040117068.db2.gz ZDPNKVIRFZXUGG-UHFFFAOYSA-N 0 3 324.468 4.245 20 0 DIADHN Cc1ccc([C@H](NCc2nc3ccccc3nc2C)C2CC2)cc1 ZINC000685560554 1040126284 /nfs/dbraw/zinc/12/62/84/1040126284.db2.gz GUCPUGIXYSYVNY-NRFANRHFSA-N 0 3 317.436 4.488 20 0 DIADHN CCCCC[C@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccccc1 ZINC001125760620 1040129759 /nfs/dbraw/zinc/12/97/59/1040129759.db2.gz BSWZYWBHXAYWMD-RBUKOAKNSA-N 0 3 316.489 4.297 20 0 DIADHN CCCC(C)(C)CC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000493211166 1040132717 /nfs/dbraw/zinc/13/27/17/1040132717.db2.gz ORJGBJTWPAQKDK-HNNXBMFYSA-N 0 3 322.518 4.218 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000493230178 1040141700 /nfs/dbraw/zinc/14/17/00/1040141700.db2.gz OYGLSXJCPSNZNZ-WBMJQRKESA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000177851985 1040143026 /nfs/dbraw/zinc/14/30/26/1040143026.db2.gz IHGLKGYVWCJIAT-GFCCVEGCSA-N 0 3 307.825 4.258 20 0 DIADHN CC[C@H](C)CN(CC)Cc1nnc(-c2ccccc2Cl)o1 ZINC000177858703 1040145823 /nfs/dbraw/zinc/14/58/23/1040145823.db2.gz YCNQRBCGURSYBD-LBPRGKRZSA-N 0 3 307.825 4.258 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](NC[C@H]1CCCO1)C1CC1 ZINC000685587685 1040148434 /nfs/dbraw/zinc/14/84/34/1040148434.db2.gz JGRIKUKNKQALFG-ABAIWWIYSA-N 0 3 300.229 4.213 20 0 DIADHN CC1(CN[C@@H](c2c(Cl)cccc2Cl)C2CC2)COC1 ZINC000685587708 1040147227 /nfs/dbraw/zinc/14/72/27/1040147227.db2.gz LPDDBORLBPLGII-CQSZACIVSA-N 0 3 300.229 4.071 20 0 DIADHN CC1(C)CN(Cc2csc3ccccc23)[C@H]1[C@H]1CCCO1 ZINC000685587383 1040148164 /nfs/dbraw/zinc/14/81/64/1040148164.db2.gz PSADDQPYOZRKHQ-WBVHZDCISA-N 0 3 301.455 4.291 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cs1 ZINC000294323443 1040153172 /nfs/dbraw/zinc/15/31/72/1040153172.db2.gz WYDCYJUBKKUTRS-STQMWFEESA-N 0 3 303.427 4.240 20 0 DIADHN Cc1sccc1CNCc1cc(Br)cs1 ZINC000294324061 1040156263 /nfs/dbraw/zinc/15/62/63/1040156263.db2.gz YDRFKMTYHVCPRV-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1cn[nH]c1CNCc1ccccc1Oc1ccc(C)cc1 ZINC000685615367 1040159758 /nfs/dbraw/zinc/15/97/58/1040159758.db2.gz MUNXQSCNRSGLKO-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN CC[C@H](N[C@@H]1CCCn2nccc21)c1ccc(OC(F)F)cc1 ZINC000657929573 1040183609 /nfs/dbraw/zinc/18/36/09/1040183609.db2.gz RXNLFTIJGGPQGL-LSDHHAIUSA-N 0 3 321.371 4.060 20 0 DIADHN CC[C@@H](N[C@H]1CCCn2nccc21)c1ccc(OC(F)F)cc1 ZINC000657929570 1040184184 /nfs/dbraw/zinc/18/41/84/1040184184.db2.gz RXNLFTIJGGPQGL-CABCVRRESA-N 0 3 321.371 4.060 20 0 DIADHN COC[C@@H](NC[C@@H](C)Oc1ccccc1)c1cccc(Cl)c1 ZINC000685650124 1040184328 /nfs/dbraw/zinc/18/43/28/1040184328.db2.gz RMSNKMMQFDDBBF-RDTXWAMCSA-N 0 3 319.832 4.085 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657933374 1040191461 /nfs/dbraw/zinc/19/14/61/1040191461.db2.gz UGHHMUQKMJWVBP-LBPRGKRZSA-N 0 3 306.356 4.187 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1cc2ccncc2s1 ZINC000657932380 1040193626 /nfs/dbraw/zinc/19/36/26/1040193626.db2.gz LHWNIIAIEQGPMU-SUMWQHHRSA-N 0 3 323.465 4.134 20 0 DIADHN COCC[C@H](N[C@H](C)c1cc2ccncc2s1)c1ccco1 ZINC000657970736 1040227743 /nfs/dbraw/zinc/22/77/43/1040227743.db2.gz FWKDFCICYUWLNZ-OCCSQVGLSA-N 0 3 316.426 4.318 20 0 DIADHN COCC[C@@H](N[C@H](C)c1cc2ccncc2s1)c1ccco1 ZINC000657970737 1040227900 /nfs/dbraw/zinc/22/79/00/1040227900.db2.gz FWKDFCICYUWLNZ-TZMCWYRMSA-N 0 3 316.426 4.318 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000187197147 1040243191 /nfs/dbraw/zinc/24/31/91/1040243191.db2.gz HQZQZQGNBQTKHV-DTWKUNHWSA-N 0 3 303.219 4.068 20 0 DIADHN C[C@H](N[C@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657996614 1040258796 /nfs/dbraw/zinc/25/87/96/1040258796.db2.gz WLKFZTVTPCDLSZ-IUCAKERBSA-N 0 3 310.410 4.098 20 0 DIADHN C[C@@H](N[C@@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657996615 1040259188 /nfs/dbraw/zinc/25/91/88/1040259188.db2.gz WLKFZTVTPCDLSZ-RKDXNWHRSA-N 0 3 310.410 4.098 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)Cc1cccc(C2CC2)c1 ZINC000413579506 1040263996 /nfs/dbraw/zinc/26/39/96/1040263996.db2.gz ORLQMOOADHTYKQ-UHFFFAOYSA-N 0 3 322.452 4.115 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1cc2cnccc2o1 ZINC000658032609 1040275511 /nfs/dbraw/zinc/27/55/11/1040275511.db2.gz UWSTVMMWNWASBW-RHSMWYFYSA-N 0 3 321.424 4.450 20 0 DIADHN CCC[C@@H](NCc1ccc(CNC(C)=O)cc1)c1ccsc1 ZINC000658073399 1040299769 /nfs/dbraw/zinc/29/97/69/1040299769.db2.gz OFOIKKHHULQFCN-GOSISDBHSA-N 0 3 316.470 4.015 20 0 DIADHN CC[C@H](NCc1ccc(-c2cccs2)cc1)c1cnn(C)c1 ZINC000658117344 1040308353 /nfs/dbraw/zinc/30/83/53/1040308353.db2.gz UMMFRUJYTCHVGH-KRWDZBQOSA-N 0 3 311.454 4.390 20 0 DIADHN C[C@@H](NC[C@H](OC1CCCC1)C(F)(F)F)c1cccs1 ZINC000658123071 1040321162 /nfs/dbraw/zinc/32/11/62/1040321162.db2.gz JAZKCTMMSJJCAG-MFKMUULPSA-N 0 3 307.381 4.289 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccnc1N1CCCC1)CCC2 ZINC000658103384 1040312071 /nfs/dbraw/zinc/31/20/71/1040312071.db2.gz JFADVNHTFFPDBE-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN CC(C)[C@H](NCc1cccnc1N1CCCC1)c1ccccc1 ZINC000658104461 1040313956 /nfs/dbraw/zinc/31/39/56/1040313956.db2.gz VEFJEXBUJFSOCT-IBGZPJMESA-N 0 3 309.457 4.169 20 0 DIADHN Cc1cc([C@H](Cc2ccccc2Cl)NC/C=C/CO)cs1 ZINC000658135349 1040316677 /nfs/dbraw/zinc/31/66/77/1040316677.db2.gz DJRCDRXVGLDNSH-BDUNBXCCSA-N 0 3 321.873 4.132 20 0 DIADHN Cc1cc([C@H](Cc2ccccc2Cl)NC/C=C\CO)cs1 ZINC000658135356 1040317831 /nfs/dbraw/zinc/31/78/31/1040317831.db2.gz DJRCDRXVGLDNSH-CHYADLBTSA-N 0 3 321.873 4.132 20 0 DIADHN C[C@H]1CC[C@@](C)(C[NH2+][C@H](c2cccs2)c2nnc[n-]2)C1(C)C ZINC000658128411 1040324902 /nfs/dbraw/zinc/32/49/02/1040324902.db2.gz UXDCQTBJKCUMSP-QEORTHHSSA-N 0 3 318.490 4.008 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2ccc(C(C)(C)C)cc2)s1 ZINC000677754216 1040332869 /nfs/dbraw/zinc/33/28/69/1040332869.db2.gz ABMCEPJIGQBKNJ-ZDUSSCGKSA-N 0 3 318.486 4.479 20 0 DIADHN CC[C@H](N[C@H](COC)c1cccc(OC)c1)c1cccc(C)c1 ZINC000658159690 1040333601 /nfs/dbraw/zinc/33/36/01/1040333601.db2.gz CBPCEMVHGOPBSM-VQTJNVASSA-N 0 3 313.441 4.432 20 0 DIADHN CC[C@@H](N[C@@H](COC)c1cccc(OC)c1)c1cccs1 ZINC000658162149 1040336296 /nfs/dbraw/zinc/33/62/96/1040336296.db2.gz BYIVOJXEFAWQKY-CVEARBPZSA-N 0 3 305.443 4.185 20 0 DIADHN COC[C@H](N[C@H](C)c1cc(C)ccc1C)c1cccc(OC)c1 ZINC000658163924 1040339095 /nfs/dbraw/zinc/33/90/95/1040339095.db2.gz LWOSYFWUDMMWGZ-UZLBHIALSA-N 0 3 313.441 4.350 20 0 DIADHN COC[C@H](N[C@@H](C)c1ccc(C)cc1C)c1cccc(OC)c1 ZINC000658165969 1040340199 /nfs/dbraw/zinc/34/01/99/1040340199.db2.gz UAILDEAIIMZIRA-JXFKEZNVSA-N 0 3 313.441 4.350 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NCc2cc(C)cc(C)c2)s1 ZINC000658177502 1040345450 /nfs/dbraw/zinc/34/54/50/1040345450.db2.gz WQTXDJHBFXJMCI-CQSZACIVSA-N 0 3 318.486 4.108 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC[C@H]3C[C@H]32)c2ccccn2)cc1 ZINC000658206383 1040356591 /nfs/dbraw/zinc/35/65/91/1040356591.db2.gz NHPQDUYESXOPLA-YTXYEVPYSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@H](NCc1ccc(Cl)c(Cl)c1)c1cccc(CO)c1 ZINC000658210135 1040358331 /nfs/dbraw/zinc/35/83/31/1040358331.db2.gz VOQXKWOWFOEVCY-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN CC[C@H](NCc1cc(COC)cs1)c1cccc(OC)c1 ZINC000658209313 1040359405 /nfs/dbraw/zinc/35/94/05/1040359405.db2.gz OFKSBWTUASPHDI-KRWDZBQOSA-N 0 3 305.443 4.144 20 0 DIADHN COCc1csc(CN[C@H](CC(C)C)c2ccncc2)c1 ZINC000658209984 1040359720 /nfs/dbraw/zinc/35/97/20/1040359720.db2.gz MEKAOCPGAQOZOG-QGZVFWFLSA-N 0 3 304.459 4.167 20 0 DIADHN C[C@H](NCC[C@]1(C)CC1(F)F)c1nc(C(F)(F)F)cs1 ZINC000658218442 1040361250 /nfs/dbraw/zinc/36/12/50/1040361250.db2.gz DSFLLIWCSRCBIB-OIBJUYFYSA-N 0 3 314.323 4.248 20 0 DIADHN C[C@@]1(CCN[C@@H](c2ccccc2)c2ccccn2)CC1(F)F ZINC000658221690 1040364090 /nfs/dbraw/zinc/36/40/90/1040364090.db2.gz OHMMWVFIKUKEMQ-DLBZAZTESA-N 0 3 302.368 4.196 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H]1CCCc2c(Cl)cccc21 ZINC000658213322 1040371797 /nfs/dbraw/zinc/37/17/97/1040371797.db2.gz PIGDPFCARSEWFJ-KRWDZBQOSA-N 0 3 315.848 4.118 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H]1CCC(C)(C)c2ccccc21 ZINC000658213821 1040372351 /nfs/dbraw/zinc/37/23/51/1040372351.db2.gz UMUYMXVICOEQFK-SFHVURJKSA-N 0 3 309.457 4.200 20 0 DIADHN CC(C)C[C@H](NCc1c(C2CC2)cnn1C)c1cccs1 ZINC000658213769 1040373882 /nfs/dbraw/zinc/37/38/82/1040373882.db2.gz UANOKFAJJVJDBI-HNNXBMFYSA-N 0 3 303.475 4.236 20 0 DIADHN COC[C@H](NC[C@@]1(C)CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000658217516 1040375301 /nfs/dbraw/zinc/37/53/01/1040375301.db2.gz FZXPBVCHWLEBSA-QWHCGFSZSA-N 0 3 323.305 4.028 20 0 DIADHN C[C@@H](NCc1c(C2CCC2)cnn1C)c1cccc(C2CC2)c1 ZINC000658266502 1040389903 /nfs/dbraw/zinc/38/99/03/1040389903.db2.gz JSMGNJKCQBTGJN-CQSZACIVSA-N 0 3 309.457 4.416 20 0 DIADHN C[C@@H](NCc1c(C2CCC2)cnn1C)c1cc2c(s1)CCC2 ZINC000658267431 1040392345 /nfs/dbraw/zinc/39/23/45/1040392345.db2.gz DSOBNUAZVVIAOP-GFCCVEGCSA-N 0 3 315.486 4.089 20 0 DIADHN CCc1ccc([C@H](C)NCc2c(C3CCC3)cnn2C)s1 ZINC000658267672 1040394019 /nfs/dbraw/zinc/39/40/19/1040394019.db2.gz CPFBFCRSPJBPER-LBPRGKRZSA-N 0 3 303.475 4.162 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](O)C(C)C)cc1 ZINC000658286837 1040402251 /nfs/dbraw/zinc/40/22/51/1040402251.db2.gz BZBXJSCMQNQWJA-CWFSZBLJSA-N 0 3 313.441 4.104 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](O)C(C)C)c(C)n1 ZINC000658288304 1040406160 /nfs/dbraw/zinc/40/61/60/1040406160.db2.gz NTUBUXVLHXLFLO-VDGAXYAQSA-N 0 3 312.457 4.107 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000658288115 1040406395 /nfs/dbraw/zinc/40/63/95/1040406395.db2.gz NFFCOSUAXSHCKG-JVPBZIDWSA-N 0 3 301.405 4.235 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccccc1 ZINC000658289249 1040406487 /nfs/dbraw/zinc/40/64/87/1040406487.db2.gz YMSIYVVCRUPDFV-AABGKKOBSA-N 0 3 313.416 4.161 20 0 DIADHN C[C@@H](NC[C@@H]1CCOC1(C)C)c1csc(-c2ccccc2)n1 ZINC000658329885 1040412370 /nfs/dbraw/zinc/41/23/70/1040412370.db2.gz ICBTZRKIBDSYAN-HIFRSBDPSA-N 0 3 316.470 4.276 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc4c(c3)COC4)CCC2)c1 ZINC000658322616 1040421702 /nfs/dbraw/zinc/42/17/02/1040421702.db2.gz AQJJDGPWUMMVKB-HNNXBMFYSA-N 0 3 323.436 4.455 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cnn(C(C)(C)C)c2C)c1C ZINC000658346714 1040434459 /nfs/dbraw/zinc/43/44/59/1040434459.db2.gz MFOGUMIGPGFYEB-AWEZNQCLSA-N 0 3 315.461 4.114 20 0 DIADHN CCC[C@H](N[C@@H](c1ccccc1)[C@@H](C)O)c1ccc(OC)cc1 ZINC000658364103 1040434900 /nfs/dbraw/zinc/43/49/00/1040434900.db2.gz ZRALHGGFWLSJQN-UIAACRFSSA-N 0 3 313.441 4.248 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cccc(OC)c2C)s1 ZINC000658352702 1040438010 /nfs/dbraw/zinc/43/80/10/1040438010.db2.gz JNTCKHHGKKRGAX-LBPRGKRZSA-N 0 3 304.459 4.182 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](C)O)cc1 ZINC000658361503 1040439933 /nfs/dbraw/zinc/43/99/33/1040439933.db2.gz MCRPGXVXHKPMDE-FTRWYGJKSA-N 0 3 313.441 4.248 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000658361519 1040440260 /nfs/dbraw/zinc/44/02/60/1040440260.db2.gz NVPGFEZVMOELBQ-ZJNRKIDTSA-N 0 3 301.455 4.010 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1cc2c(s1)CCCC2)c1ccccc1 ZINC000658361990 1040441492 /nfs/dbraw/zinc/44/14/92/1040441492.db2.gz QFGJWXJDNKYVQE-NJSLBKSFSA-N 0 3 315.482 4.400 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000658361890 1040441789 /nfs/dbraw/zinc/44/17/89/1040441789.db2.gz PGVSACPTCPKXBR-UYHISHBKSA-N 0 3 301.817 4.039 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccccc2)[C@H](C)O)cc(C)c1F ZINC000658362454 1040443575 /nfs/dbraw/zinc/44/35/75/1040443575.db2.gz RKDSYWOKNFEVRF-YZVOILCLSA-N 0 3 301.405 4.215 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)c1ccc(C(C)(C)O)cc1 ZINC000924529588 1040453979 /nfs/dbraw/zinc/45/39/79/1040453979.db2.gz QZPGMZTVNDTXRB-ZDUSSCGKSA-N 0 3 303.833 4.418 20 0 DIADHN c1cn(C2CC2)c(CNCC(c2ccccc2)c2ccccc2)n1 ZINC000892441427 1040466176 /nfs/dbraw/zinc/46/61/76/1040466176.db2.gz PHWMFYOQNKTUPH-UHFFFAOYSA-N 0 3 317.436 4.140 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H]2CCCc3occc32)cc1 ZINC000192679612 1040480664 /nfs/dbraw/zinc/48/06/64/1040480664.db2.gz MRSFYULVPZOVCO-QGZVFWFLSA-N 0 3 312.413 4.185 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H]2CCCc3occc32)cc1 ZINC000192679626 1040482118 /nfs/dbraw/zinc/48/21/18/1040482118.db2.gz MRSFYULVPZOVCO-KRWDZBQOSA-N 0 3 312.413 4.185 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cnc2ccccc2n1 ZINC000628230076 1040517578 /nfs/dbraw/zinc/51/75/78/1040517578.db2.gz SMAPQUTVFALAPI-HNNXBMFYSA-N 0 3 323.465 4.290 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccsc1)c1ccc(-c2ccccc2)o1 ZINC000154584698 1040556097 /nfs/dbraw/zinc/55/60/97/1040556097.db2.gz HPQRDXWCQPIKRQ-CJNGLKHVSA-N 0 3 313.422 4.392 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc(-c3ccsc3)cc2)cnn1C ZINC000278791886 1040564793 /nfs/dbraw/zinc/56/47/93/1040564793.db2.gz ODTBPCIVMGUPGO-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN CC1(C)CN([C@@H]2CCCc3ccc(F)cc32)[C@@H]1[C@H]1CCCO1 ZINC000570087994 1040634924 /nfs/dbraw/zinc/63/49/24/1040634924.db2.gz KXARGLAXFBSFQW-KZNAEPCWSA-N 0 3 303.421 4.093 20 0 DIADHN CC(C)(NCc1ccc(OCC(F)F)cc1)c1cccc(F)c1 ZINC000236696521 1040647313 /nfs/dbraw/zinc/64/73/13/1040647313.db2.gz KMNSDBJNJRYSMK-UHFFFAOYSA-N 0 3 323.358 4.495 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000177457959 1040676122 /nfs/dbraw/zinc/67/61/22/1040676122.db2.gz SVCICYOQAWXCRN-KDOFPFPSSA-N 0 3 323.864 4.061 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1)c1csc(C(F)(F)F)c1 ZINC000924555877 1040692040 /nfs/dbraw/zinc/69/20/40/1040692040.db2.gz ZRYFACRGLUZPMJ-MFKMUULPSA-N 0 3 315.360 4.151 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(C(C)C)s2)c(CN(C)C)c1 ZINC000185985118 1040732580 /nfs/dbraw/zinc/73/25/80/1040732580.db2.gz YZQAQFZZAMNBEN-UHFFFAOYSA-N 0 3 316.470 4.494 20 0 DIADHN C[C@H](NCC(=O)Nc1ccc2ccccc2c1)c1ccccc1 ZINC000066212860 1040732701 /nfs/dbraw/zinc/73/27/01/1040732701.db2.gz AVMCPNQPKTZYRB-HNNXBMFYSA-N 0 3 304.393 4.129 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCSCc1ccccc1F ZINC000924562305 1040746996 /nfs/dbraw/zinc/74/69/96/1040746996.db2.gz BTXZSCWPJYNRMQ-NSHDSACASA-N 0 3 308.422 4.015 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC(F)(F)[C@@H](C)C1 ZINC000685849548 1040794570 /nfs/dbraw/zinc/79/45/70/1040794570.db2.gz XZVSBFPRQXUEEE-NSHDSACASA-N 0 3 307.306 4.491 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C(=O)N3CCCC3)cc2)cc1 ZINC000177846941 1040797143 /nfs/dbraw/zinc/79/71/43/1040797143.db2.gz UUNDWTROHJWNSP-QGZVFWFLSA-N 0 3 322.452 4.082 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC(C)(C)[C@H]1C1CC1 ZINC000685849883 1040801959 /nfs/dbraw/zinc/80/19/59/1040801959.db2.gz HCAUXTSPBNFXKG-HXUWFJFHSA-N 0 3 322.452 4.378 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(OC)cc1C)c1c(C)nn(C)c1C ZINC000414069891 1040815466 /nfs/dbraw/zinc/81/54/66/1040815466.db2.gz AGUBVVCNMGJVSW-SCLBCKFNSA-N 0 3 315.461 4.156 20 0 DIADHN CC(C)N(CCOc1ccc(Cl)cc1)Cc1ccccn1 ZINC000613182733 1040819150 /nfs/dbraw/zinc/81/91/50/1040819150.db2.gz OBQOARLYQHSQRH-UHFFFAOYSA-N 0 3 304.821 4.024 20 0 DIADHN CC[C@H](NCc1cn2ccnc2s1)c1ccc(Cl)cc1 ZINC000892531439 1040819963 /nfs/dbraw/zinc/81/99/63/1040819963.db2.gz UJSJDUJSFKBHTR-AWEZNQCLSA-N 0 3 305.834 4.290 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414085862 1040837951 /nfs/dbraw/zinc/83/79/51/1040837951.db2.gz HEPUMYFEFNGKFN-DZGCQCFKSA-N 0 3 304.459 4.012 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@H](OCC(F)(F)F)C2)c(C)c1 ZINC000613267470 1040850021 /nfs/dbraw/zinc/85/00/21/1040850021.db2.gz PNTZDLWFZPDPQW-OAHLLOKOSA-N 0 3 315.379 4.155 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414113573 1040881420 /nfs/dbraw/zinc/88/14/20/1040881420.db2.gz KUOSIWZFPVZFES-IYOUNJFTSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1csc(-c2ccccc2)n1 ZINC000414115197 1040883432 /nfs/dbraw/zinc/88/34/32/1040883432.db2.gz PFJGJPOXMXAGAS-OCCSQVGLSA-N 0 3 304.484 4.356 20 0 DIADHN CCCN1CCc2cc(OC)c(OC)cc2[C@@H]1c1ccccc1 ZINC000613393314 1040916982 /nfs/dbraw/zinc/91/69/82/1040916982.db2.gz WZYJDZILVRVMLW-FQEVSTJZSA-N 0 3 311.425 4.061 20 0 DIADHN C[C@H](NC[C@@H]1CCSC1)c1csc(-c2ccccc2)n1 ZINC000414155894 1040929363 /nfs/dbraw/zinc/92/93/63/1040929363.db2.gz UECAHNBGSYUFQN-STQMWFEESA-N 0 3 304.484 4.214 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(Cc3cccc(Cl)c3)CC2)c2nccn21 ZINC000414161292 1040938087 /nfs/dbraw/zinc/93/80/87/1040938087.db2.gz BSBGBZVEOMKYNC-CZUORRHYSA-N 0 3 315.848 4.155 20 0 DIADHN CN(C)[C@H](CNc1nccc2sccc21)c1ccsc1 ZINC000613425982 1040938822 /nfs/dbraw/zinc/93/88/22/1040938822.db2.gz PMUXZFOQXUTAGU-CYBMUJFWSA-N 0 3 303.456 4.073 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@H]2c2cccc(F)c2)cc1 ZINC000178137823 1040951010 /nfs/dbraw/zinc/95/10/10/1040951010.db2.gz PKSDLLRGKVIMBC-IBGZPJMESA-N 0 3 313.372 4.088 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCO3)c1 ZINC000178155648 1040965059 /nfs/dbraw/zinc/96/50/59/1040965059.db2.gz IXIGVHAKXIDCLA-GJZGRUSLSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000414184552 1040969910 /nfs/dbraw/zinc/96/99/10/1040969910.db2.gz FWFUSKIUPZNVBM-BHVCSQLQSA-N 0 3 321.873 4.489 20 0 DIADHN Cc1ccccc1NC(=O)CCN(C)[C@H](C)c1ccccc1F ZINC000174738207 1041001502 /nfs/dbraw/zinc/00/15/02/1041001502.db2.gz ONASIJUKPHVBLX-OAHLLOKOSA-N 0 3 314.404 4.156 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@@H]1COc2ccccc2O1 ZINC000613487057 1041001663 /nfs/dbraw/zinc/00/16/63/1041001663.db2.gz WBVQNHPDPKSKET-CZUORRHYSA-N 0 3 317.816 4.173 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](CO)SC)c1ccc(Cl)cc1Cl ZINC000300410553 1041013561 /nfs/dbraw/zinc/01/35/61/1041013561.db2.gz IOOOEWLYOYLUDU-HERUPUMHSA-N 0 3 322.301 4.147 20 0 DIADHN Cc1ccc(NC(=O)CN(CC2CCCCC2)C2CC2)c(F)c1 ZINC000613502377 1041019748 /nfs/dbraw/zinc/01/97/48/1041019748.db2.gz JTEWMJNCMNDFBV-UHFFFAOYSA-N 0 3 318.436 4.117 20 0 DIADHN C[C@H](NCC1(c2c(F)cccc2F)CCC1)c1ccccn1 ZINC000414242093 1041044254 /nfs/dbraw/zinc/04/42/54/1041044254.db2.gz PKEUGIFNLQMQLF-ZDUSSCGKSA-N 0 3 302.368 4.132 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@H](c2ccncn2)C1 ZINC000613511007 1041050226 /nfs/dbraw/zinc/05/02/26/1041050226.db2.gz PDHIDRCPDJAHMT-KGLIPLIRSA-N 0 3 301.821 4.071 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)cn1 ZINC000414251858 1041053155 /nfs/dbraw/zinc/05/31/55/1041053155.db2.gz AGPFGFLTBCCQDJ-QGZVFWFLSA-N 0 3 303.837 4.179 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000613513490 1041062348 /nfs/dbraw/zinc/06/23/48/1041062348.db2.gz TXVTUHKIMYVRLG-OXQOHEQNSA-N 0 3 315.457 4.077 20 0 DIADHN C[C@H](NC[C@H](O)[C@@H](C)c1ccccc1)c1ccc(F)cc1Cl ZINC001170570018 1041080633 /nfs/dbraw/zinc/08/06/33/1041080633.db2.gz BGZAIGRFGKMNRS-LXIYXOSZSA-N 0 3 321.823 4.294 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OC(C)C)cc2)cnc1F ZINC000892603143 1041097308 /nfs/dbraw/zinc/09/73/08/1041097308.db2.gz JLDDTKQOHGOUFN-AWEZNQCLSA-N 0 3 302.393 4.167 20 0 DIADHN CCC[C@@H](NCc1ccccc1-n1ccc(C)n1)c1cccnc1 ZINC000186122767 1041111542 /nfs/dbraw/zinc/11/15/42/1041111542.db2.gz VHALMSOPVVLELV-LJQANCHMSA-N 0 3 320.440 4.207 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CCC[C@@]23CCOC3)cc1 ZINC001137955156 1041146321 /nfs/dbraw/zinc/14/63/21/1041146321.db2.gz JYNSOCODZJSIRL-AWEZNQCLSA-N 0 3 317.376 4.053 20 0 DIADHN CCCO[C@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137955204 1041146886 /nfs/dbraw/zinc/14/68/86/1041146886.db2.gz LYOUARGWNIHBJF-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](CO)C1)c1csc(-c2ccccc2)n1 ZINC000414349083 1041157041 /nfs/dbraw/zinc/15/70/41/1041157041.db2.gz XVPCUJZNWRWENM-LZWOXQAQSA-N 0 3 316.470 4.012 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)cn1 ZINC000414357908 1041166628 /nfs/dbraw/zinc/16/66/28/1041166628.db2.gz KRULAHBVHYZOBX-PZPSRYQVSA-N 0 3 315.848 4.179 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)s1)c1ccc(Cl)cc1 ZINC000162692823 1041175723 /nfs/dbraw/zinc/17/57/23/1041175723.db2.gz JPILZXXHNYLSSU-CQSZACIVSA-N 0 3 323.845 4.429 20 0 DIADHN CCc1ncc(CN[C@@H](CC2CCCC2)c2ccccc2)cn1 ZINC000414367029 1041180213 /nfs/dbraw/zinc/18/02/13/1041180213.db2.gz PFAHLNOGJMDXIJ-IBGZPJMESA-N 0 3 309.457 4.450 20 0 DIADHN Fc1ccc2onc(C3CCN(Cc4ccsc4)CC3)c2c1 ZINC001204039987 1041182339 /nfs/dbraw/zinc/18/23/39/1041182339.db2.gz GEORQLWJZOVLKJ-UHFFFAOYSA-N 0 3 316.401 4.408 20 0 DIADHN CCn1nccc1CN(C)[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000539850183 1041187386 /nfs/dbraw/zinc/18/73/86/1041187386.db2.gz CUSWDTRFRYYLBV-ZIAGYGMSSA-N 0 3 305.853 4.180 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN1CCOC[C@H](C)C1 ZINC000809581210 1041188889 /nfs/dbraw/zinc/18/88/89/1041188889.db2.gz VELYGHPIHDZPHL-YLHGKKIISA-N 0 3 313.363 4.077 20 0 DIADHN C[C@@H](O)c1ncc(CN2CCC[C@H]2CCC2CCCC2)s1 ZINC000809596421 1041198951 /nfs/dbraw/zinc/19/89/51/1041198951.db2.gz ZKTQJENBPQFEOY-HIFRSBDPSA-N 0 3 308.491 4.131 20 0 DIADHN CCc1ncc(CNC2(c3ccc(Cl)cc3)CCCC2)cn1 ZINC000414390284 1041218231 /nfs/dbraw/zinc/21/82/31/1041218231.db2.gz IJZBHRIILOVIKU-UHFFFAOYSA-N 0 3 315.848 4.252 20 0 DIADHN CC(C)(C)[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(F)cc1 ZINC000375894961 1041227224 /nfs/dbraw/zinc/22/72/24/1041227224.db2.gz IBZHQRXWOMZILJ-DOTOQJQBSA-N 0 3 301.409 4.303 20 0 DIADHN CC[C@H](CSC)N(C)Cc1ccc(CSC(F)F)o1 ZINC000809766966 1041235700 /nfs/dbraw/zinc/23/57/00/1041235700.db2.gz CPFVUWGUSIALGE-SNVBAGLBSA-N 0 3 309.447 4.309 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2CCCc3ccccc32)c1 ZINC000536222041 1041264938 /nfs/dbraw/zinc/26/49/38/1041264938.db2.gz XRKKWLXLYFAIRV-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN CC(C)C[C@H](NC(=O)C[C@@H]1NCc2ccccc21)c1ccccc1 ZINC000659246568 1041273649 /nfs/dbraw/zinc/27/36/49/1041273649.db2.gz AXIDFPCJJRZMPH-PMACEKPBSA-N 0 3 322.452 4.125 20 0 DIADHN Cn1cc(CN2CCCC(F)(F)CC2)c(C2CCCCC2)n1 ZINC000628422114 1041275360 /nfs/dbraw/zinc/27/53/60/1041275360.db2.gz OKNKXMOROZBGRA-UHFFFAOYSA-N 0 3 311.420 4.089 20 0 DIADHN CC1(C)CN(C[C@@H](O)CCc2ccccc2)[C@@H]1c1cccs1 ZINC000557445133 1041278586 /nfs/dbraw/zinc/27/85/86/1041278586.db2.gz FYXAQTJXZZHMAI-FUHWJXTLSA-N 0 3 315.482 4.125 20 0 DIADHN Clc1ccc(-c2nnc(CN3C[C@H]4CCCC[C@@H]4C3)o2)cc1 ZINC000177570688 1041280184 /nfs/dbraw/zinc/28/01/84/1041280184.db2.gz IELXMDVMFNYYGC-ZIAGYGMSSA-N 0 3 317.820 4.012 20 0 DIADHN NC1(C(=O)Nc2cccc(-c3cccs3)c2)CC2(CCC2)C1 ZINC000659265898 1041282632 /nfs/dbraw/zinc/28/26/32/1041282632.db2.gz IETIGESSQGJMRN-UHFFFAOYSA-N 0 3 312.438 4.015 20 0 DIADHN Clc1cccc(-c2nnc(CN(CC3CC3)CC3CC3)o2)c1 ZINC000115564707 1041283246 /nfs/dbraw/zinc/28/32/46/1041283246.db2.gz OGNBKIPDSFVXJY-UHFFFAOYSA-N 0 3 317.820 4.012 20 0 DIADHN CC[C@@H](C)Oc1ccc(NC(=O)C[C@@H]2NCc3ccccc32)cc1 ZINC000659280731 1041288367 /nfs/dbraw/zinc/28/83/67/1041288367.db2.gz UKUCCGXHXBWWEK-KUHUBIRLSA-N 0 3 324.424 4.037 20 0 DIADHN FC1(F)CCCN(Cc2cc3cc(Cl)cnc3o2)CC1 ZINC000628422508 1041293078 /nfs/dbraw/zinc/29/30/78/1041293078.db2.gz QHGORWLDZRGYGY-UHFFFAOYSA-N 0 3 300.736 4.102 20 0 DIADHN c1ccc(-c2ncc(CN3CCC[C@@H]3c3ccccc3)cn2)cc1 ZINC000613557851 1041295600 /nfs/dbraw/zinc/29/56/00/1041295600.db2.gz MEGGPBPUEBBNPW-HXUWFJFHSA-N 0 3 315.420 4.481 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(CF)cc1)c1cccs1 ZINC000810019440 1041299029 /nfs/dbraw/zinc/29/90/29/1041299029.db2.gz JFVCVVDRZGNUNG-MRXNPFEDSA-N 0 3 307.434 4.067 20 0 DIADHN C[C@H](NCCc1ccc(C(F)(F)F)cc1)c1nccs1 ZINC000093369549 1041306922 /nfs/dbraw/zinc/30/69/22/1041306922.db2.gz GZPJGJITSZDZBM-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN COc1ccc([C@@H](Cc2ccccc2)NC/C=C/Cl)cc1 ZINC000810074969 1041307957 /nfs/dbraw/zinc/30/79/57/1041307957.db2.gz BPXLULVSOZEPFE-OPGJMIRHSA-N 0 3 301.817 4.321 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(N2CCCCC2=O)cc1 ZINC000810130596 1041314817 /nfs/dbraw/zinc/31/48/17/1041314817.db2.gz WKMABVUCIOYWMA-CVEARBPZSA-N 0 3 323.440 4.010 20 0 DIADHN COc1ccccc1OCCN1CCC[C@H]1c1cccc(F)c1 ZINC000613564121 1041316874 /nfs/dbraw/zinc/31/68/74/1041316874.db2.gz RLRUIXXDNWHRFM-KRWDZBQOSA-N 0 3 315.388 4.050 20 0 DIADHN O[C@@H](CNC(c1ccccc1)c1ccccc1)c1ccsc1 ZINC000305829144 1041317646 /nfs/dbraw/zinc/31/76/46/1041317646.db2.gz NNSPMGKRTFHLAI-SFHVURJKSA-N 0 3 309.434 4.161 20 0 DIADHN O[C@@H](CNC1c2ccccc2-c2ccccc21)c1ccsc1 ZINC000305848496 1041318240 /nfs/dbraw/zinc/31/82/40/1041318240.db2.gz UBJMRJMTBNLCPY-SFHVURJKSA-N 0 3 307.418 4.141 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(SC)s1 ZINC000810143637 1041320498 /nfs/dbraw/zinc/32/04/98/1041320498.db2.gz KDPVSUZUFRTRGH-UHFFFAOYSA-N 0 3 306.500 4.216 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924590334 1041320951 /nfs/dbraw/zinc/32/09/51/1041320951.db2.gz GSKIVQDRKFEZHM-ACJLOTCBSA-N 0 3 324.453 4.131 20 0 DIADHN Cc1cccc(C)c1CNCc1cc(O)cc(C(F)(F)F)c1 ZINC000810147949 1041324328 /nfs/dbraw/zinc/32/43/28/1041324328.db2.gz BEKFYJAQUZJYTE-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN CCCCCCOc1cc(C)ccc1CNCc1[nH]ncc1C ZINC000810147850 1041324909 /nfs/dbraw/zinc/32/49/09/1041324909.db2.gz LQINBBORRSMCIG-UHFFFAOYSA-N 0 3 315.461 4.275 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2cccc3c2OCCO3)cc1 ZINC000589609365 1041344233 /nfs/dbraw/zinc/34/42/33/1041344233.db2.gz ANBMPZNNZPDFAZ-GOSISDBHSA-N 0 3 309.409 4.103 20 0 DIADHN CCCCCC[C@@H](CC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001170601646 1041351229 /nfs/dbraw/zinc/35/12/29/1041351229.db2.gz OWJXZXBLSFQASM-GFCCVEGCSA-N 0 3 317.399 4.469 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cncc(Br)c2)s1 ZINC000168691160 1041356467 /nfs/dbraw/zinc/35/64/67/1041356467.db2.gz YFAWZHKTCOHXAH-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN CCCCCC[C@@H](CC)N1CCc2nc(C3CC3)ncc2C1 ZINC001170602321 1041360472 /nfs/dbraw/zinc/36/04/72/1041360472.db2.gz ZRJVBRIBKUWSED-QGZVFWFLSA-N 0 3 301.478 4.461 20 0 DIADHN CCCCCC[C@H](CC)N1CCc2cnc(C3CC3)nc2C1 ZINC001170604764 1041381442 /nfs/dbraw/zinc/38/14/42/1041381442.db2.gz VRXWAYIEKDWAHR-KRWDZBQOSA-N 0 3 301.478 4.461 20 0 DIADHN Cc1cc(CNCc2cc3ccccc3[nH]2)c2c(n1)CCCC2 ZINC000892735566 1041392016 /nfs/dbraw/zinc/39/20/16/1041392016.db2.gz JTUBKALMGHRZEP-UHFFFAOYSA-N 0 3 305.425 4.040 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c(C)n1 ZINC000892757393 1041395954 /nfs/dbraw/zinc/39/59/54/1041395954.db2.gz IJQVXFVUPYNHGX-QGZVFWFLSA-N 0 3 303.425 4.228 20 0 DIADHN CCOc1ccc(F)c(F)c1CN1CCC2(CC(F)C2)CC1 ZINC001143488108 1041400184 /nfs/dbraw/zinc/40/01/84/1041400184.db2.gz PSSBIUMZSUAXJJ-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN CCc1cc(CCCNC2(c3c(F)cccc3F)CCC2)on1 ZINC000626179063 1041407141 /nfs/dbraw/zinc/40/71/41/1041407141.db2.gz UCTZFWSNMMJPGV-UHFFFAOYSA-N 0 3 320.383 4.117 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)cc2)C2CCC2)cnc1F ZINC000892901722 1041415409 /nfs/dbraw/zinc/41/54/09/1041415409.db2.gz CAJHEXJKXXEXAK-KRWDZBQOSA-N 0 3 302.368 4.299 20 0 DIADHN Fc1ccc([C@H](NCc2cn3ccnc3s2)C2CCC2)cc1 ZINC000892903398 1041417163 /nfs/dbraw/zinc/41/71/63/1041417163.db2.gz PYFAAQLHFYCGMU-MRXNPFEDSA-N 0 3 315.417 4.166 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H](CC(C)C)C3)co2)cc1 ZINC000466743956 1041425279 /nfs/dbraw/zinc/42/52/79/1041425279.db2.gz RIVBPGCXWFTXNO-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1cc(CN[C@H](c2ncccc2C)C(C)C)c2c(n1)CCCC2 ZINC000892964302 1041443801 /nfs/dbraw/zinc/44/38/01/1041443801.db2.gz OGXAPQUJCCCZKR-FQEVSTJZSA-N 0 3 323.484 4.459 20 0 DIADHN OCCC[C@@H](NCc1ccc(C(F)F)c(F)c1)c1ccccc1 ZINC000893007299 1041456856 /nfs/dbraw/zinc/45/68/56/1041456856.db2.gz FVQNEWOKAUVPMT-QGZVFWFLSA-N 0 3 323.358 4.367 20 0 DIADHN Fc1ccc(-c2ccn(CN3CC[C@@H](c4ccccc4)C3)n2)cc1 ZINC000728708015 1041463708 /nfs/dbraw/zinc/46/37/08/1041463708.db2.gz WICKKZJNJSXHGX-GOSISDBHSA-N 0 3 321.399 4.136 20 0 DIADHN CCC[C@H](CN[C@H](C)c1ccc(Br)cc1F)OC ZINC000393541866 1041466368 /nfs/dbraw/zinc/46/63/68/1041466368.db2.gz FESVDDOAAFFMQW-ZYHUDNBSSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)[C@@H]1CCCCO1 ZINC000393654313 1041468246 /nfs/dbraw/zinc/46/82/46/1041468246.db2.gz RVXDHMKLKAXFGM-YSSFQJQWSA-N 0 3 313.416 4.462 20 0 DIADHN CC(C)[C@@H]1N(C(=O)[C@H]2CCCN2C(C)C)CC12CCCCCC2 ZINC001132574395 1041478010 /nfs/dbraw/zinc/47/80/10/1041478010.db2.gz ASIIYDJEVYGHJT-MSOLQXFVSA-N 0 3 320.521 4.067 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc3c(c2)CCO3)cc1 ZINC000177912441 1041487842 /nfs/dbraw/zinc/48/78/42/1041487842.db2.gz OSOVRNZYQCZVQY-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2CCOC3(CCCCC3)C2)c1 ZINC000893112108 1041491430 /nfs/dbraw/zinc/49/14/30/1041491430.db2.gz CDJSWZHWFCOBQW-OAHLLOKOSA-N 0 3 309.837 4.017 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000395220045 1041492289 /nfs/dbraw/zinc/49/22/89/1041492289.db2.gz NMDSDHYZIDODCR-CYBMUJFWSA-N 0 3 317.816 4.270 20 0 DIADHN COC[C@@H](N[C@@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000651237022 1041510420 /nfs/dbraw/zinc/51/04/20/1041510420.db2.gz HJLGKICYLSBPTH-HNAYVOBHSA-N 0 3 314.429 4.196 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)Nc2ccc3c(c2)CNC3)c1 ZINC000415988504 1041511939 /nfs/dbraw/zinc/51/19/39/1041511939.db2.gz CYVPGRRDTONPSW-HNNXBMFYSA-N 0 3 323.440 4.132 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2ccnc(Cl)c2Cl)o1 ZINC000811482704 1041521629 /nfs/dbraw/zinc/52/16/29/1041521629.db2.gz PKGNCJRMQIIBND-SNVBAGLBSA-N 0 3 313.228 4.401 20 0 DIADHN CCC(CC)[C@H](CCNCc1ccnc(Cl)c1Cl)OC ZINC000811484881 1041523241 /nfs/dbraw/zinc/52/32/41/1041523241.db2.gz NZNQHSINVVMBOW-ZDUSSCGKSA-N 0 3 319.276 4.319 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2ccnc(Cl)c2Cl)C1(C)C ZINC000811491051 1041524961 /nfs/dbraw/zinc/52/49/61/1041524961.db2.gz YPZIRROTGNBPPI-NWDGAFQWSA-N 0 3 317.260 4.070 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1ccnc(Cl)c1Cl ZINC000811474922 1041526906 /nfs/dbraw/zinc/52/69/06/1041526906.db2.gz FVLFOQYUUIWWGN-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN C[C@H](COc1ccccc1)NCc1cscc1C(F)(F)F ZINC000811476652 1041527959 /nfs/dbraw/zinc/52/79/59/1041527959.db2.gz XSMBNXUBPWXCMS-LLVKDONJSA-N 0 3 315.360 4.324 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2nsc3ccccc32)C1 ZINC000659944497 1041552148 /nfs/dbraw/zinc/55/21/48/1041552148.db2.gz LBHDSJCIIFQZBN-CQSZACIVSA-N 0 3 324.449 4.294 20 0 DIADHN c1c(CN2CC[C@@H](CSc3ccccc3)C2)onc1C1CC1 ZINC000659962116 1041562544 /nfs/dbraw/zinc/56/25/44/1041562544.db2.gz WPDCKNQOKPMPCO-CQSZACIVSA-N 0 3 314.454 4.166 20 0 DIADHN FC(F)(F)[C@@H]1CN(CCCC2CCC2)C[C@H]1C(F)(F)F ZINC000659971805 1041566083 /nfs/dbraw/zinc/56/60/83/1041566083.db2.gz ZOFYAEYNSTXZRA-GHMZBOCLSA-N 0 3 303.290 4.239 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000246059634 1041568622 /nfs/dbraw/zinc/56/86/22/1041568622.db2.gz ZAQYQBPFESIUMF-NGAQBULDSA-N 0 3 303.837 4.419 20 0 DIADHN CN1CCN(c2ccc(N[C@@H](c3ccccc3)C3CC3)cc2)CC1 ZINC000182639705 1041571791 /nfs/dbraw/zinc/57/17/91/1041571791.db2.gz DLRNWRUAXWCCIT-NRFANRHFSA-N 0 3 321.468 4.002 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1nc2ccccc2o1)c1ccccc1 ZINC000363857292 1041580268 /nfs/dbraw/zinc/58/02/68/1041580268.db2.gz KQGANFDKGJIPFA-MSOLQXFVSA-N 0 3 322.408 4.088 20 0 DIADHN CCC[C@H](N[C@H]1CCCc2nc(C)sc21)c1cccnc1 ZINC000363870680 1041586515 /nfs/dbraw/zinc/58/65/15/1041586515.db2.gz WUWLBQYIVTYVEQ-HOCLYGCPSA-N 0 3 301.459 4.355 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2N(Cc1cnc2ccccc2n1)C1CC1 ZINC000660036483 1041589731 /nfs/dbraw/zinc/58/97/31/1041589731.db2.gz PMQBVNWTNCJVBL-NRFANRHFSA-N 0 3 315.420 4.282 20 0 DIADHN CC1(F)CCN(Cc2ccnc(-c3ccc(F)cc3)c2)CC1 ZINC001141116482 1041597392 /nfs/dbraw/zinc/59/73/92/1041597392.db2.gz ZYTVIKCWRSIEFC-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OCc3ccc(F)cc3F)c2)[C@@H]1C ZINC001170670625 1041604359 /nfs/dbraw/zinc/60/43/59/1041604359.db2.gz XKSKJVZZZFRIOE-ZIAGYGMSSA-N 0 3 317.379 4.384 20 0 DIADHN CC[C@@](C)(NCCc1nsc2ccccc12)c1nccs1 ZINC000660080132 1041604715 /nfs/dbraw/zinc/60/47/15/1041604715.db2.gz XVJLEHCULOLLBN-MRXNPFEDSA-N 0 3 317.483 4.210 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000660084818 1041605668 /nfs/dbraw/zinc/60/56/68/1041605668.db2.gz ASEPYRVJLNALJP-KURKYZTESA-N 0 3 314.473 4.000 20 0 DIADHN CC[C@H](CC(F)F)CN1CCC[C@@H]1c1nc2ccccc2n1C ZINC000660096497 1041611152 /nfs/dbraw/zinc/61/11/52/1041611152.db2.gz VQWWXJTVQBQEBP-CZUORRHYSA-N 0 3 321.415 4.392 20 0 DIADHN c1cc(CN[C@@H]2CCCc3sccc32)n(-c2ccccc2)n1 ZINC000363955339 1041613774 /nfs/dbraw/zinc/61/37/74/1041613774.db2.gz SIISUBRAWYIOHW-QGZVFWFLSA-N 0 3 309.438 4.101 20 0 DIADHN COc1cccc(SCCN2CCC[C@@H]2c2ccncc2)c1 ZINC000660135666 1041625519 /nfs/dbraw/zinc/62/55/19/1041625519.db2.gz BBVWFFXZANLXCJ-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN CCC[C@H](NCCc1cnc(C)s1)c1ncccc1Cl ZINC000925572365 1041627307 /nfs/dbraw/zinc/62/73/07/1041627307.db2.gz IHJWGXOKIBAKTL-AWEZNQCLSA-N 0 3 309.866 4.173 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC[C@@H](c2ccncn2)C1 ZINC000364008802 1041632347 /nfs/dbraw/zinc/63/23/47/1041632347.db2.gz BKCNAVASKWHXPB-UKRRQHHQSA-N 0 3 301.821 4.071 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cc(C)nc2c1CCCC2 ZINC000893294008 1041637488 /nfs/dbraw/zinc/63/74/88/1041637488.db2.gz VYXFDUJDYIZZQX-OAHLLOKOSA-N 0 3 309.457 4.077 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCCc3c(O)cccc31)CCC2 ZINC000812729619 1041641301 /nfs/dbraw/zinc/64/13/01/1041641301.db2.gz QJWHQVLEYRAHJZ-GDBMZVCRSA-N 0 3 314.454 4.202 20 0 DIADHN Cc1cc2cc(-n3c(-c4ccccc4)cnc3[C@H](C)N)ccc2[nH]1 ZINC001170686155 1041645997 /nfs/dbraw/zinc/64/59/97/1041645997.db2.gz OINXMKNIQIOCFG-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN Cc1noc2ccc(-n3c(-c4ccccc4)cnc3[C@H](C)N)cc12 ZINC001170686464 1041647094 /nfs/dbraw/zinc/64/70/94/1041647094.db2.gz SDCHBPIVXKXMLB-LBPRGKRZSA-N 0 3 318.380 4.009 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000812823376 1041649780 /nfs/dbraw/zinc/64/97/80/1041649780.db2.gz LHCREBFUNKEKMS-IBGZPJMESA-N 0 3 320.452 4.269 20 0 DIADHN CN(C[C@H]1CC1(Cl)Cl)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000812882606 1041657886 /nfs/dbraw/zinc/65/78/86/1041657886.db2.gz RYEGUCMRLHICPK-BBBLOLIVSA-N 0 3 304.183 4.233 20 0 DIADHN COC[C@@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccc(C)o1 ZINC000417308841 1041655494 /nfs/dbraw/zinc/65/54/94/1041655494.db2.gz IGSQLBXXADEWCS-BYNSBNAKSA-N 0 3 305.443 4.119 20 0 DIADHN C[C@@H](NCc1ccn(C2CCCC2)n1)[C@@H]1C[C@H]1c1cccs1 ZINC000417310700 1041655882 /nfs/dbraw/zinc/65/58/82/1041655882.db2.gz MOTBBZBWKSOBOK-XOKHGSTOSA-N 0 3 315.486 4.342 20 0 DIADHN C[C@@H](N[C@@H](C)c1c(F)cncc1F)[C@@H]1C[C@H]1c1cccs1 ZINC000417326958 1041656614 /nfs/dbraw/zinc/65/66/14/1041656614.db2.gz GQSIHBCBPMRINN-NOOOWODRSA-N 0 3 308.397 4.264 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1c(F)cncc1F ZINC000417326955 1041656646 /nfs/dbraw/zinc/65/66/46/1041656646.db2.gz GQSIHBCBPMRINN-FIQHERPVSA-N 0 3 308.397 4.264 20 0 DIADHN CCC(CC)[C@H](NCc1cc(C)nc(C)n1)c1cccs1 ZINC000660190086 1041657494 /nfs/dbraw/zinc/65/74/94/1041657494.db2.gz RSXOOLPYYTUVJF-KRWDZBQOSA-N 0 3 303.475 4.422 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)C1CCC1 ZINC000660190355 1041657758 /nfs/dbraw/zinc/65/77/58/1041657758.db2.gz TUEINWXGPCTLCW-LSDHHAIUSA-N 0 3 319.342 4.224 20 0 DIADHN CCC(CC)[C@H](NCc1cc(OC)ns1)c1cccs1 ZINC000660189806 1041658296 /nfs/dbraw/zinc/65/82/96/1041658296.db2.gz QGGDZWMKVOZABS-HNNXBMFYSA-N 0 3 310.488 4.480 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cccnc2C)cc1OC ZINC000236863622 1041705556 /nfs/dbraw/zinc/70/55/56/1041705556.db2.gz WZWFCZUMFFYGDF-CQSZACIVSA-N 0 3 314.429 4.038 20 0 DIADHN c1nc(CNC[C@@H]2C[C@H]2c2ccccc2)c(-c2ccccc2)o1 ZINC000417926372 1041710108 /nfs/dbraw/zinc/71/01/08/1041710108.db2.gz DMFRRCQIGFFYDK-ROUUACIJSA-N 0 3 304.393 4.235 20 0 DIADHN Fc1ccc2c(c1)CCN([C@@H]1CCc3cc(F)cc(F)c3C1)C2 ZINC001170708110 1041712702 /nfs/dbraw/zinc/71/27/02/1041712702.db2.gz ZVYJFRPRHYNGRC-QGZVFWFLSA-N 0 3 317.354 4.020 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3C[C@H]4CC[C@@H]3O4)o2)cc1 ZINC000153023566 1041713641 /nfs/dbraw/zinc/71/36/41/1041713641.db2.gz FOMUVVVNXKSEBW-UNEWFSDZSA-N 0 3 303.789 4.010 20 0 DIADHN Cc1cc(CNCc2cccc3cccnc32)c2c(n1)CCCC2 ZINC000893331037 1041718553 /nfs/dbraw/zinc/71/85/53/1041718553.db2.gz FPCWIIDFKWWARF-UHFFFAOYSA-N 0 3 317.436 4.107 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1COCc2ccccc21 ZINC000418099275 1041724958 /nfs/dbraw/zinc/72/49/58/1041724958.db2.gz BWRVLVCVTMYXHL-RBNQXFGJSA-N 0 3 323.436 4.097 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(C3CC3)cc2)cc1 ZINC000418110658 1041726938 /nfs/dbraw/zinc/72/69/38/1041726938.db2.gz CFEPYJRNRKMDRF-CQSZACIVSA-N 0 3 309.409 4.201 20 0 DIADHN Cc1nn(C)c2ncc(CN[C@H](C)c3ccc(C4CC4)cc3)cc12 ZINC000418123839 1041727682 /nfs/dbraw/zinc/72/76/82/1041727682.db2.gz YUGSWBOHJPDYBT-CYBMUJFWSA-N 0 3 320.440 4.005 20 0 DIADHN O[C@H](CNCc1cc2cc(F)ccc2o1)c1ccc(Cl)cc1 ZINC000813797694 1041733659 /nfs/dbraw/zinc/73/36/59/1041733659.db2.gz QADDTWHTCXNILK-MRXNPFEDSA-N 0 3 319.763 4.049 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1ccnc(Cl)c1 ZINC000813885933 1041742857 /nfs/dbraw/zinc/74/28/57/1041742857.db2.gz ZGVYZBXAONFACZ-XMZIXOGTSA-N 0 3 322.861 4.150 20 0 DIADHN CCOc1ccc(C[C@@H](C)CN[C@H](C)c2cscn2)cc1 ZINC000651489960 1041748162 /nfs/dbraw/zinc/74/81/62/1041748162.db2.gz SMPLHVXTGYVGRQ-ZIAGYGMSSA-N 0 3 304.459 4.071 20 0 DIADHN C[C@H](Nc1cccc(N2CCCC[C@@H]2C)n1)c1cccc(N)c1 ZINC001170728121 1041776814 /nfs/dbraw/zinc/77/68/14/1041776814.db2.gz TXDUKHMJWCQKSS-GJZGRUSLSA-N 0 3 310.445 4.216 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3csc(-c4ccc(F)cc4)n3)C[C@@H]21 ZINC001170736740 1041798229 /nfs/dbraw/zinc/79/82/29/1041798229.db2.gz RCYFCKBBSPNWCN-MKZZRHPPSA-N 0 3 316.445 4.473 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3csc(-c4ccc(F)cc4)n3)C[C@@H]21 ZINC001170736741 1041798394 /nfs/dbraw/zinc/79/83/94/1041798394.db2.gz RCYFCKBBSPNWCN-RBVVOMGSSA-N 0 3 316.445 4.473 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCc1nsc2ccccc21 ZINC000660444344 1041802433 /nfs/dbraw/zinc/80/24/33/1041802433.db2.gz CIAPAOGOFSDDEI-PWSUYJOCSA-N 0 3 314.376 4.112 20 0 DIADHN C[C@H](Cc1ccco1)NCc1ccc(Sc2ccccn2)o1 ZINC000814289840 1041803870 /nfs/dbraw/zinc/80/38/70/1041803870.db2.gz VRBDESOVTKHEJL-CYBMUJFWSA-N 0 3 314.410 4.140 20 0 DIADHN O[C@@H](CNCc1cc2cc(F)ccc2o1)c1ccc(Cl)cc1 ZINC000814299514 1041806865 /nfs/dbraw/zinc/80/68/65/1041806865.db2.gz QADDTWHTCXNILK-INIZCTEOSA-N 0 3 319.763 4.049 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1cc(F)cc2cccnc21 ZINC000109784377 1041811457 /nfs/dbraw/zinc/81/14/57/1041811457.db2.gz XWNRLOJBLBQSOG-CYBMUJFWSA-N 0 3 310.372 4.273 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN3CCCc3cccnc3)nc2c1 ZINC001170744897 1041816842 /nfs/dbraw/zinc/81/68/42/1041816842.db2.gz OAHPNHNHOXUDHA-LJQANCHMSA-N 0 3 320.440 4.036 20 0 DIADHN Cc1ccc2nc([C@H]3CCCN3CCCc3cccnc3)[nH]c2c1 ZINC001170744897 1041816849 /nfs/dbraw/zinc/81/68/49/1041816849.db2.gz OAHPNHNHOXUDHA-LJQANCHMSA-N 0 3 320.440 4.036 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)CCOCC1CCCC1)CCC2 ZINC001167767572 1041824436 /nfs/dbraw/zinc/82/44/36/1041824436.db2.gz XIXKISNVSRSKNT-MRXNPFEDSA-N 0 3 322.518 4.222 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)co1 ZINC000660535429 1041827432 /nfs/dbraw/zinc/82/74/32/1041827432.db2.gz JPYCJBYTEWPAPD-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN Clc1cccc([C@@H]2CCN(C[C@@H]3CC3(Cl)Cl)C2)c1 ZINC000814666255 1041850664 /nfs/dbraw/zinc/85/06/64/1041850664.db2.gz CULPRZFPZDWDCF-NEPJUHHUSA-N 0 3 304.648 4.323 20 0 DIADHN O[C@H](CN1CC[C@H](c2cccc(Cl)c2)C1)c1ccccc1F ZINC000814666307 1041850781 /nfs/dbraw/zinc/85/07/81/1041850781.db2.gz GAKKIXISGVSFTL-KBXCAEBGSA-N 0 3 319.807 4.002 20 0 DIADHN c1c2cnccc2oc1CN1CCCCC[C@H]1c1ccncc1 ZINC000651605433 1041853827 /nfs/dbraw/zinc/85/38/27/1041853827.db2.gz GBUCZLAKSZXCAV-SFHVURJKSA-N 0 3 307.397 4.340 20 0 DIADHN c1c2cnccc2oc1CN1CCCCC[C@@H]1c1ccncc1 ZINC000651605432 1041853860 /nfs/dbraw/zinc/85/38/60/1041853860.db2.gz GBUCZLAKSZXCAV-GOSISDBHSA-N 0 3 307.397 4.340 20 0 DIADHN c1c2cnccc2oc1CN1CCC(COc2ccccc2)CC1 ZINC000651621961 1041857910 /nfs/dbraw/zinc/85/79/10/1041857910.db2.gz JJECOOJXMNCVHJ-UHFFFAOYSA-N 0 3 322.408 4.119 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1nsc2ccccc12 ZINC000660776158 1041862151 /nfs/dbraw/zinc/86/21/51/1041862151.db2.gz HQTVTFFEXZJOJG-CQSZACIVSA-N 0 3 311.454 4.192 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cc3cnccc3o1)CCC2 ZINC000651639796 1041863118 /nfs/dbraw/zinc/86/31/18/1041863118.db2.gz PFTRWCIBJMJOBM-HNNXBMFYSA-N 0 3 313.426 4.102 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1cc2cnccc2o1)c1ccccc1 ZINC000651645433 1041864041 /nfs/dbraw/zinc/86/40/41/1041864041.db2.gz SGXIAELDSBMSIT-QGZVFWFLSA-N 0 3 320.392 4.065 20 0 DIADHN C[C@H]1CCCCC[C@@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654906 1041866752 /nfs/dbraw/zinc/86/67/52/1041866752.db2.gz HBMBUPWBBXVKBL-LPHOPBHVSA-N 0 3 316.489 4.024 20 0 DIADHN CC[C@H](CN[C@H](Cc1ccccc1)c1ncco1)CC(F)F ZINC000660926144 1041872164 /nfs/dbraw/zinc/87/21/64/1041872164.db2.gz MDCXAZXGIWPZLI-DZGCQCFKSA-N 0 3 308.372 4.229 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN[C@@H]1CCc2ccccc21 ZINC000651670198 1041872304 /nfs/dbraw/zinc/87/23/04/1041872304.db2.gz YROUTSBDFBDQAA-OAQYLSRUSA-N 0 3 317.436 4.266 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C(C)(C)C)C[C@H]2C)s1 ZINC001170769337 1041877553 /nfs/dbraw/zinc/87/75/53/1041877553.db2.gz OYPQJCXMSGFIQG-CHWSQXEVSA-N 0 3 309.475 4.181 20 0 DIADHN Cc1csc(CCN[C@H](C)c2ccc(-c3ccccc3)cn2)n1 ZINC000651675782 1041877935 /nfs/dbraw/zinc/87/79/35/1041877935.db2.gz BXISYPANONCVPU-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2cccc3c2CCC3)c1 ZINC000651680066 1041879310 /nfs/dbraw/zinc/87/93/10/1041879310.db2.gz VRXBXFYNPXIZCC-UHFFFAOYSA-N 0 3 322.452 4.204 20 0 DIADHN CC(C)[C@H](NCc1ccnc(Br)c1)c1ccccc1 ZINC000815014270 1041904460 /nfs/dbraw/zinc/90/44/60/1041904460.db2.gz IXZFELSTWVYTRC-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@@H](O)c1cc2ccccc2o1 ZINC000815018425 1041904808 /nfs/dbraw/zinc/90/48/08/1041904808.db2.gz PNSZDCYBLVPLOL-TZMCWYRMSA-N 0 3 301.411 4.221 20 0 DIADHN O[C@@H](CN1CCc2ccc(F)cc2C1)c1cccc2ccccc21 ZINC000815074860 1041911144 /nfs/dbraw/zinc/91/11/44/1041911144.db2.gz HJEWNGIJCYPJRK-NRFANRHFSA-N 0 3 321.395 4.071 20 0 DIADHN COCCC1(CNCc2c(F)cc(F)cc2F)CCCCC1 ZINC000815151744 1041919773 /nfs/dbraw/zinc/91/97/73/1041919773.db2.gz JYDYQTYMVDHCRI-UHFFFAOYSA-N 0 3 315.379 4.181 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1cc2cnccc2o1 ZINC000651812119 1041920402 /nfs/dbraw/zinc/92/04/02/1041920402.db2.gz LXJQATWXBSCACY-KRWDZBQOSA-N 0 3 310.397 4.420 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNCC[C@@H](C)F ZINC000815149573 1041928172 /nfs/dbraw/zinc/92/81/72/1041928172.db2.gz RRIWVUPLBIXLFV-CYBMUJFWSA-N 0 3 323.843 4.039 20 0 DIADHN CCCn1nc(C)c(CNCc2cccc3ccsc32)c1C ZINC000651862948 1041936119 /nfs/dbraw/zinc/93/61/19/1041936119.db2.gz OAIKTCXYYQEZPM-UHFFFAOYSA-N 0 3 313.470 4.414 20 0 DIADHN CCOc1cc(CNC2(c3ccccc3C)CCC2)ccc1O ZINC000651862189 1041936294 /nfs/dbraw/zinc/93/62/94/1041936294.db2.gz GCKWIDPNMRTMAI-UHFFFAOYSA-N 0 3 311.425 4.268 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2ccccc2C(C)C)o1 ZINC000828961188 1041936402 /nfs/dbraw/zinc/93/64/02/1041936402.db2.gz NOILFNWMPPEKKK-UHFFFAOYSA-N 0 3 315.413 4.464 20 0 DIADHN Cc1cccc(-c2noc(CNC(C)(C)CC(C)(C)C)n2)c1 ZINC000613792163 1041940027 /nfs/dbraw/zinc/94/00/27/1041940027.db2.gz MWIPDVWEPXBRHM-UHFFFAOYSA-N 0 3 301.434 4.349 20 0 DIADHN CCCCCN(CC(=O)Nc1c(C)cccc1CC)C(C)C ZINC000046018011 1041956426 /nfs/dbraw/zinc/95/64/26/1041956426.db2.gz HUDPAHQSFZXRPB-UHFFFAOYSA-N 0 3 304.478 4.397 20 0 DIADHN CC(C)CCCCCCN[C@H](c1nnc[nH]1)c1ccc(F)cc1 ZINC000815552962 1041961389 /nfs/dbraw/zinc/96/13/89/1041961389.db2.gz OHHNXYSXJAPATJ-KRWDZBQOSA-N 0 3 318.440 4.229 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000815579694 1041966254 /nfs/dbraw/zinc/96/62/54/1041966254.db2.gz SINLFQAUSSXRIU-OLZOCXBDSA-N 0 3 315.392 4.037 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C(C)C)cc2)cc1F ZINC000323750851 1041970206 /nfs/dbraw/zinc/97/02/06/1041970206.db2.gz UVUYIDGBHXKRGT-UHFFFAOYSA-N 0 3 315.388 4.026 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CCC[C@@H](CCF)C1 ZINC000661692979 1041971583 /nfs/dbraw/zinc/97/15/83/1041971583.db2.gz JPRBBGUYYRWWNI-GJZGRUSLSA-N 0 3 302.393 4.474 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccc(C(C)(C)O)cc1 ZINC000815617529 1041975226 /nfs/dbraw/zinc/97/52/26/1041975226.db2.gz OYCKOYKWGQYHHU-AWEZNQCLSA-N 0 3 301.405 4.212 20 0 DIADHN Cc1cccc(NC(=O)CN(C2CC2)C2CCCCC2)c1C ZINC000048008771 1041977428 /nfs/dbraw/zinc/97/74/28/1041977428.db2.gz SLPBCUQMNSTXTI-UHFFFAOYSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3ccccc3F)CCC2)cs1 ZINC000652220893 1041979225 /nfs/dbraw/zinc/97/92/25/1041979225.db2.gz MEEGRQHUXPXCSG-GFCCVEGCSA-N 0 3 304.434 4.363 20 0 DIADHN C[C@@H](NCc1ccccc1CN(C)Cc1ccco1)c1ccco1 ZINC000164512514 1041993482 /nfs/dbraw/zinc/99/34/82/1041993482.db2.gz LANTXIBKZFOHIS-MRXNPFEDSA-N 0 3 324.424 4.355 20 0 DIADHN COc1ccc(CN[C@@H]2CCSc3ccccc32)cc1F ZINC000037496182 1041994055 /nfs/dbraw/zinc/99/40/55/1041994055.db2.gz LRMPEPJFFJWEPY-OAHLLOKOSA-N 0 3 303.402 4.161 20 0 DIADHN CSCC[C@H](C)N(C)Cc1ccc(CSC(F)F)o1 ZINC000093558899 1042003933 /nfs/dbraw/zinc/00/39/33/1042003933.db2.gz UJEZQUUNTAZMMP-JTQLQIEISA-N 0 3 309.447 4.309 20 0 DIADHN c1ccc2c(CN3CCC[C@H]([C@@H]4CCCCO4)C3)nsc2c1 ZINC000661874151 1042012257 /nfs/dbraw/zinc/01/22/57/1042012257.db2.gz LDRURFZCIRIDNM-YOEHRIQHSA-N 0 3 316.470 4.077 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000661880804 1042014129 /nfs/dbraw/zinc/01/41/29/1042014129.db2.gz RIEHEPGPYRQGMA-GLJUWKHASA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000661880846 1042014391 /nfs/dbraw/zinc/01/43/91/1042014391.db2.gz ROAXKFFQYQBKGU-JKIFEVAISA-N 0 3 309.400 4.307 20 0 DIADHN CCCc1ccc(NC(=O)[C@H](C(C)C)N2CCCCC2)cc1 ZINC001135333501 1042016382 /nfs/dbraw/zinc/01/63/82/1042016382.db2.gz ZYJUMZFGYXNVDM-SFHVURJKSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2occc2Br)s1 ZINC000217819173 1042023285 /nfs/dbraw/zinc/02/32/85/1042023285.db2.gz BTGGPOJLBQYKBV-SECBINFHSA-N 0 3 314.248 4.133 20 0 DIADHN CC[C@@H](NCCCC1CCCC1)c1nnc2n1CCCCC2 ZINC000661935551 1042026190 /nfs/dbraw/zinc/02/61/90/1042026190.db2.gz CKASWHWYUYTCAJ-MRXNPFEDSA-N 0 3 304.482 4.016 20 0 DIADHN CC[C@@H](NCC/C=C\c1ccccc1)c1nnc2n1CCCCC2 ZINC000661940835 1042027318 /nfs/dbraw/zinc/02/73/18/1042027318.db2.gz BBADUSHCGGXHST-RUEYPURISA-N 0 3 324.472 4.149 20 0 DIADHN Cc1ccc(SCCN2CCC3(CCCC3=O)CC2)cc1C ZINC000661958156 1042030137 /nfs/dbraw/zinc/03/01/37/1042030137.db2.gz JDODRXSEVKNEHQ-UHFFFAOYSA-N 0 3 317.498 4.231 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC(F)CC1)c1nc(-c2cccs2)no1 ZINC000662000174 1042039105 /nfs/dbraw/zinc/03/91/05/1042039105.db2.gz KCNKWCJOJIBBOC-ZFDZMSFRSA-N 0 3 323.437 4.366 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2cccs2)no1)C1CCC(F)CC1 ZINC000662000170 1042039197 /nfs/dbraw/zinc/03/91/97/1042039197.db2.gz KCNKWCJOJIBBOC-IALDZJHCSA-N 0 3 323.437 4.366 20 0 DIADHN C[C@H](NCc1coc(-c2cccs2)n1)C1CCC(F)CC1 ZINC000662003980 1042040525 /nfs/dbraw/zinc/04/05/25/1042040525.db2.gz VZCNIINFHLJCRJ-HIFPTAJRSA-N 0 3 308.422 4.410 20 0 DIADHN CCO[C@@H](C)c1nc(CN[C@@H](C)C2CCC(F)CC2)cs1 ZINC000662007349 1042041253 /nfs/dbraw/zinc/04/12/53/1042041253.db2.gz VQCHPAFWKZJOJE-FEPKRQSRSA-N 0 3 314.470 4.247 20 0 DIADHN C[C@H](NCc1ncc(-c2cccc(F)c2)o1)C1CCC(F)CC1 ZINC000662007875 1042041446 /nfs/dbraw/zinc/04/14/46/1042041446.db2.gz YQFDCUABTVSSAV-OPFPJEHXSA-N 0 3 320.383 4.487 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@@H](C2CCC2)C1 ZINC000662040647 1042046412 /nfs/dbraw/zinc/04/64/12/1042046412.db2.gz VJBNNAILISWVAL-FUHWJXTLSA-N 0 3 314.473 4.143 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H](C4CCC4)C3)nn2)cc1 ZINC000662041832 1042047092 /nfs/dbraw/zinc/04/70/92/1042047092.db2.gz CPQOLSRDTGWZGA-QGZVFWFLSA-N 0 3 323.440 4.281 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCC(c2ccon2)CC1 ZINC000662046686 1042049030 /nfs/dbraw/zinc/04/90/30/1042049030.db2.gz KXRZONCDGNPUSW-LBPRGKRZSA-N 0 3 322.355 4.217 20 0 DIADHN Clc1ccccc1CCCN1CCC(c2ccon2)CC1 ZINC000662047932 1042049080 /nfs/dbraw/zinc/04/90/80/1042049080.db2.gz LZSJVISXAFESLC-UHFFFAOYSA-N 0 3 304.821 4.140 20 0 DIADHN C[C@@H](CN1CCC(c2ccon2)CC1)c1cccc(Cl)c1 ZINC000662047756 1042049167 /nfs/dbraw/zinc/04/91/67/1042049167.db2.gz ADKDUVDFFBQNIN-ZDUSSCGKSA-N 0 3 304.821 4.311 20 0 DIADHN CC(C)(Cc1ccccc1Cl)NCc1cccnc1Cl ZINC000236772809 1042049613 /nfs/dbraw/zinc/04/96/13/1042049613.db2.gz QJTULUWEGDUVQD-UHFFFAOYSA-N 0 3 309.240 4.499 20 0 DIADHN CSC[C@@H]1CCCN1Cc1ccc(CSC(F)F)o1 ZINC000093761421 1042051064 /nfs/dbraw/zinc/05/10/64/1042051064.db2.gz MMPAFQKELQWDLE-JTQLQIEISA-N 0 3 307.431 4.063 20 0 DIADHN CSC[C@H]1CCCN1Cc1ccc(CSC(F)F)o1 ZINC000093761424 1042051863 /nfs/dbraw/zinc/05/18/63/1042051863.db2.gz MMPAFQKELQWDLE-SNVBAGLBSA-N 0 3 307.431 4.063 20 0 DIADHN CC1(C)CCCN(Cc2nc(-c3ccc(F)c(F)c3)no2)CC1 ZINC000487374941 1042053944 /nfs/dbraw/zinc/05/39/44/1042053944.db2.gz KIWHZNXCCNOOMS-UHFFFAOYSA-N 0 3 321.371 4.027 20 0 DIADHN COc1c(C)cc([C@H](C)N[C@H](C)c2cccnc2)cc1Cl ZINC000796670340 1042056082 /nfs/dbraw/zinc/05/60/82/1042056082.db2.gz CNSZMLYMZHPNGG-OLZOCXBDSA-N 0 3 304.821 4.464 20 0 DIADHN Cc1nc(C)c(CNC2(C(C)(C)c3ccc(F)cc3)CC2)o1 ZINC000628302270 1042060787 /nfs/dbraw/zinc/06/07/87/1042060787.db2.gz XGCQTQRHFJPKAR-UHFFFAOYSA-N 0 3 302.393 4.031 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccccc1[O-] ZINC000248393150 1042065503 /nfs/dbraw/zinc/06/55/03/1042065503.db2.gz WTVSTJUTSZMUPB-XZOAIXRZSA-N 0 3 317.816 4.226 20 0 DIADHN C[C@H](NCc1ccccc1CF)c1ccc(C(F)(F)F)nc1 ZINC000931616647 1042066178 /nfs/dbraw/zinc/06/61/78/1042066178.db2.gz DTNNDGIFQOOLMX-NSHDSACASA-N 0 3 312.310 4.421 20 0 DIADHN CC[C@H](c1ccccc1)N(Cc1cc(=O)c(OC)co1)C(C)C ZINC000662108213 1042067441 /nfs/dbraw/zinc/06/74/41/1042067441.db2.gz KIVSWLXRGDKDBS-QGZVFWFLSA-N 0 3 315.413 4.010 20 0 DIADHN COc1c(F)cccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001143521922 1042069329 /nfs/dbraw/zinc/06/93/29/1042069329.db2.gz LVQAMVPUWCTPES-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN c1ccc(-c2nnc(CN3CCCC[C@H]3CC3CCC3)o2)cc1 ZINC000662156010 1042073719 /nfs/dbraw/zinc/07/37/19/1042073719.db2.gz JWOCOXTYQOTSBL-KRWDZBQOSA-N 0 3 311.429 4.281 20 0 DIADHN Cn1nc(C(F)(F)F)c(CNCCC(C)(C)C2CC2)c1Cl ZINC000816664645 1042076707 /nfs/dbraw/zinc/07/67/07/1042076707.db2.gz YFZNZEWTRDHVGL-UHFFFAOYSA-N 0 3 323.790 4.008 20 0 DIADHN Fc1cc(F)c(CNCCCCOc2ccccc2)c(F)c1 ZINC000816651018 1042076894 /nfs/dbraw/zinc/07/68/94/1042076894.db2.gz OXUDTYHIDLQNRR-UHFFFAOYSA-N 0 3 309.331 4.053 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC000817282312 1042099966 /nfs/dbraw/zinc/09/99/66/1042099966.db2.gz ZAMJXGHGCGEVEL-GOEBONIOSA-N 0 3 315.379 4.001 20 0 DIADHN CCN(CC)[C@H](CNCc1cc2ccccc2o1)c1ccco1 ZINC000236899648 1042100465 /nfs/dbraw/zinc/10/04/65/1042100465.db2.gz UMZNCHJDJHFOPX-QGZVFWFLSA-N 0 3 312.413 4.199 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001135853695 1042100501 /nfs/dbraw/zinc/10/05/01/1042100501.db2.gz DNUOTKXTSKZKOB-KBPBESRZSA-N 0 3 320.477 4.014 20 0 DIADHN COC[C@@H](C)NCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000061012064 1042100573 /nfs/dbraw/zinc/10/05/73/1042100573.db2.gz CWVLGCYFVKQABE-SNVBAGLBSA-N 0 3 314.212 4.378 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccc1OCc1ccccc1 ZINC000483136073 1042106648 /nfs/dbraw/zinc/10/66/48/1042106648.db2.gz HFXRAXCMRQAVOZ-RWCSOYMTSA-N 0 3 323.436 4.236 20 0 DIADHN CC[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccccc1OC ZINC000186611930 1042112407 /nfs/dbraw/zinc/11/24/07/1042112407.db2.gz XFRZCEMFPSMHDA-GOSISDBHSA-N 0 3 313.441 4.164 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@H](C)C[C@H](O)c1ccco1 ZINC000817578233 1042115988 /nfs/dbraw/zinc/11/59/88/1042115988.db2.gz IHYDWPZHUNBMOB-XUJVJEKNSA-N 0 3 323.820 4.104 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H](C)C[C@H](O)c1ccco1 ZINC000817578230 1042116124 /nfs/dbraw/zinc/11/61/24/1042116124.db2.gz IHYDWPZHUNBMOB-HUBLWGQQSA-N 0 3 323.820 4.104 20 0 DIADHN CCC(C)(C)CN[C@H](c1nc(Br)cs1)C1CC1 ZINC000817583439 1042117328 /nfs/dbraw/zinc/11/73/28/1042117328.db2.gz AQNLQAVYRZHPHY-NSHDSACASA-N 0 3 317.296 4.383 20 0 DIADHN Brc1csc([C@@H](NCCCC2CC2)C2CC2)n1 ZINC000817582462 1042117450 /nfs/dbraw/zinc/11/74/50/1042117450.db2.gz YFQCFKPPARGQKR-LBPRGKRZSA-N 0 3 315.280 4.137 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NC(=O)c1cccc2c1CNC2 ZINC000662458505 1042130741 /nfs/dbraw/zinc/13/07/41/1042130741.db2.gz XCSOHYBHMGCVOM-HXUWFJFHSA-N 0 3 322.452 4.115 20 0 DIADHN NC1(C(=O)Nc2cccc(Nc3ccccc3)c2)CC2(CCC2)C1 ZINC000662492139 1042135470 /nfs/dbraw/zinc/13/54/70/1042135470.db2.gz QYPHCNVVMOEUCW-UHFFFAOYSA-N 0 3 321.424 4.030 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cc(C)cc(N)c2)cc1Cl ZINC000817982131 1042135909 /nfs/dbraw/zinc/13/59/09/1042135909.db2.gz XXBAXIYHFBTZGD-ZDUSSCGKSA-N 0 3 318.848 4.398 20 0 DIADHN CCCN(C(=O)c1cccc2c1CNC2)[C@H](CC)c1ccccc1 ZINC000662495316 1042136235 /nfs/dbraw/zinc/13/62/35/1042136235.db2.gz JUSYPIMDAIHWRF-HXUWFJFHSA-N 0 3 322.452 4.293 20 0 DIADHN CCCN(CCN(C)C)C(=O)c1ccc(C(C)(C)C)c(Cl)c1 ZINC001126250150 1042137408 /nfs/dbraw/zinc/13/74/08/1042137408.db2.gz UNSRNHDACZLIKV-UHFFFAOYSA-N 0 3 324.896 4.051 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)C[C@H](O)c2ccco2)c(Cl)c1 ZINC000818331805 1042147532 /nfs/dbraw/zinc/14/75/32/1042147532.db2.gz HVCWDEGKVNENKI-MKBNYLNASA-N 0 3 323.820 4.104 20 0 DIADHN Clc1cccc2c1C[C@@H](NCc1noc3ccccc31)CC2 ZINC001170906489 1042157316 /nfs/dbraw/zinc/15/73/16/1042157316.db2.gz HAOUBGRPOZSPKP-ZDUSSCGKSA-N 0 3 312.800 4.128 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](C)c3ccc(C)s3)c(C)n2n1 ZINC000314675951 1042163569 /nfs/dbraw/zinc/16/35/69/1042163569.db2.gz QMBRDBQHDPZVFA-CHWSQXEVSA-N 0 3 314.458 4.128 20 0 DIADHN CN(CC1CC=CC1)[C@@H](c1ccc(Cl)cc1)c1cnccn1 ZINC001167810468 1042167099 /nfs/dbraw/zinc/16/70/99/1042167099.db2.gz DURLYOLYTXNLIL-SFHVURJKSA-N 0 3 313.832 4.117 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1NC(=O)[C@H](C(C)C)N(C)C ZINC001136194477 1042173547 /nfs/dbraw/zinc/17/35/47/1042173547.db2.gz GMMUELABCTZFQP-IBGZPJMESA-N 0 3 310.441 4.187 20 0 DIADHN CCCCCCC(=O)NC[C@@H](c1ccc(SC)cc1)N(C)C ZINC000819336915 1042178684 /nfs/dbraw/zinc/17/86/84/1042178684.db2.gz YDOPBHHZKLYAHX-KRWDZBQOSA-N 0 3 322.518 4.098 20 0 DIADHN CCn1nccc1CN[C@H](CCC(C)C)c1ccc(OC)cc1 ZINC000663466667 1042178829 /nfs/dbraw/zinc/17/88/29/1042178829.db2.gz AEZHGTJZAXXBFL-LJQANCHMSA-N 0 3 315.461 4.179 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCCC[C@H]1C)c1ccsc1 ZINC001136215706 1042181053 /nfs/dbraw/zinc/18/10/53/1042181053.db2.gz NQNSXFWGVGWEHN-DJIMGWMZSA-N 0 3 322.518 4.074 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cncc(Cl)c2)C1(CC)CC ZINC001167821017 1042185533 /nfs/dbraw/zinc/18/55/33/1042185533.db2.gz ZPVBEUQPNINQLX-JKSUJKDBSA-N 0 3 310.869 4.151 20 0 DIADHN CC[C@@H](CN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1)OC ZINC001170929301 1042187643 /nfs/dbraw/zinc/18/76/43/1042187643.db2.gz LIPSWQHVSLHUSG-BBRMVZONSA-N 0 3 321.852 4.168 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000026467514 1042188651 /nfs/dbraw/zinc/18/86/51/1042188651.db2.gz QDUOEZQGYPPFPL-JKSUJKDBSA-N 0 3 302.462 4.259 20 0 DIADHN COc1ccccc1CCN(C)Cc1cc(Cl)ccc1OC ZINC000303299850 1042201109 /nfs/dbraw/zinc/20/11/09/1042201109.db2.gz YRPQMUKITCASLU-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1cccc2c1CN([C@@H]1CCc3cccc(Cl)c3C1)C2 ZINC001170943585 1042205922 /nfs/dbraw/zinc/20/59/22/1042205922.db2.gz LXYPXZJONIYXGB-OAHLLOKOSA-N 0 3 313.828 4.222 20 0 DIADHN Brc1cc(CNc2ccnc3ccccc32)cs1 ZINC000397234836 1042215725 /nfs/dbraw/zinc/21/57/25/1042215725.db2.gz IFRKTCLESWFXPX-UHFFFAOYSA-N 0 3 319.227 4.093 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000658359369 1042216979 /nfs/dbraw/zinc/21/69/79/1042216979.db2.gz COCWQFOFIKBDMZ-GDZNZVCISA-N 0 3 323.358 4.478 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1ncc(Br)cc1F ZINC001170948194 1042218967 /nfs/dbraw/zinc/21/89/67/1042218967.db2.gz FWSVEUQQFPTFCQ-QMTHXVAHSA-N 0 3 315.230 4.042 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1cscc1Br ZINC000304565256 1042219106 /nfs/dbraw/zinc/21/91/06/1042219106.db2.gz LVKSMKOPOAZOJB-SECBINFHSA-N 0 3 316.289 4.293 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000314877029 1042225710 /nfs/dbraw/zinc/22/57/10/1042225710.db2.gz LANDHPICMAMBEP-QWHCGFSZSA-N 0 3 305.315 4.045 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@@H](C(C)C)N2CCCCC2)c1 ZINC001136387647 1042230231 /nfs/dbraw/zinc/23/02/31/1042230231.db2.gz SKCWJEHZDYNDTP-LJQANCHMSA-N 0 3 316.489 4.283 20 0 DIADHN C[C@H](N[C@H]1CCCC(C)(C)CC1)c1nnc2n1CCCCC2 ZINC000315930288 1042235744 /nfs/dbraw/zinc/23/57/44/1042235744.db2.gz RABPJAMZCWRCIX-GJZGRUSLSA-N 0 3 304.482 4.014 20 0 DIADHN O=C1CCN(CCCc2ccc(Cl)cc2)[C@H]2CCCC[C@@H]12 ZINC001207593087 1042250118 /nfs/dbraw/zinc/25/01/18/1042250118.db2.gz NTPVMBFCSIGREO-SJORKVTESA-N 0 3 305.849 4.106 20 0 DIADHN Cc1ccc([C@H](CNC(=O)CC2(C)CCCCC2)N(C)C)cc1 ZINC001136449123 1042250714 /nfs/dbraw/zinc/25/07/14/1042250714.db2.gz WXZIDTGIJZTNAY-SFHVURJKSA-N 0 3 316.489 4.074 20 0 DIADHN Clc1ccc(CNCCN2CCc3ccccc32)c(Cl)c1 ZINC001648940988 1042251384 /nfs/dbraw/zinc/25/13/84/1042251384.db2.gz ORLHAHCOBXEIEF-UHFFFAOYSA-N 0 3 321.251 4.146 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H]2CCCCN2C(=O)OC(C)(C)C)o1 ZINC000222831887 1042254989 /nfs/dbraw/zinc/25/49/89/1042254989.db2.gz MZYGVBWNELLOPK-CABCVRRESA-N 0 3 322.449 4.028 20 0 DIADHN FC(F)(F)c1cccc(CNCc2ccc3[nH]ccc3c2)c1 ZINC000318518343 1042255125 /nfs/dbraw/zinc/25/51/25/1042255125.db2.gz OZZUIXYEHDTQIE-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2C[C@H](C(C)C)c3ccccc32)cn1 ZINC000279020688 1042260834 /nfs/dbraw/zinc/26/08/34/1042260834.db2.gz HQTIUMKDJBMVRC-MOPGFXCFSA-N 0 3 323.440 4.014 20 0 DIADHN CN(c1ccccc1)c1ccc(CNCc2cccc(O)c2)cc1 ZINC000585817167 1042266564 /nfs/dbraw/zinc/26/65/64/1042266564.db2.gz GCFLLMYAABIEBX-UHFFFAOYSA-N 0 3 318.420 4.450 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1CCCc2sccc21 ZINC000893639018 1042272331 /nfs/dbraw/zinc/27/23/31/1042272331.db2.gz AJMKYAIATBZSHI-INIZCTEOSA-N 0 3 303.475 4.310 20 0 DIADHN CC[C@@H]1CCC[C@H]1[NH2+][C@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000279172741 1042279375 /nfs/dbraw/zinc/27/93/75/1042279375.db2.gz CAJNTSKFIITEEZ-RAIGVLPGSA-N 0 3 319.474 4.243 20 0 DIADHN CC(C)(C)CCNC(=O)CN[C@H](c1ccccc1)C1CCCC1 ZINC001167852579 1042280139 /nfs/dbraw/zinc/28/01/39/1042280139.db2.gz OYGLBZYJTUPZAX-LJQANCHMSA-N 0 3 316.489 4.060 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000168352243 1042280261 /nfs/dbraw/zinc/28/02/61/1042280261.db2.gz HMZKXENVCKHWED-LSDHHAIUSA-N 0 3 324.472 4.105 20 0 DIADHN FC(F)c1ccc(CN2CC[C@@]3(CC(F)(F)C3(F)F)C2)cc1 ZINC000931910886 1042287077 /nfs/dbraw/zinc/28/70/77/1042287077.db2.gz GDVYWDVMGAQHMF-CYBMUJFWSA-N 0 3 323.280 4.491 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2cc(Cl)cc(Cl)c2F)C12CCC2 ZINC001170990186 1042288437 /nfs/dbraw/zinc/28/84/37/1042288437.db2.gz IFHGOCZFAJGVFM-CHWSQXEVSA-N 0 3 318.219 4.180 20 0 DIADHN C[C@H](NC1CCC(C(C)(C)C)CC1)c1nnc2n1CCCCC2 ZINC000319915882 1042297583 /nfs/dbraw/zinc/29/75/83/1042297583.db2.gz DNWICIVDFAERNL-FHERZECASA-N 0 3 318.509 4.260 20 0 DIADHN CC(C)(O)c1ccc(CNCc2ccc(C(F)F)c(F)c2)cc1 ZINC000893676199 1042298515 /nfs/dbraw/zinc/29/85/15/1042298515.db2.gz PPUXLAXSCCRCOF-UHFFFAOYSA-N 0 3 323.358 4.281 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CC[C@@H]1CC1(Cl)Cl ZINC000822833170 1042302794 /nfs/dbraw/zinc/30/27/94/1042302794.db2.gz YQOPSEORNSCXJX-OPRDCNLKSA-N 0 3 304.183 4.233 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)ccn1 ZINC000391187996 1042304216 /nfs/dbraw/zinc/30/42/16/1042304216.db2.gz NYVPYXGEBTUYFZ-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(Cl)c1)c1ccc2c(c1)OCO2 ZINC000021520844 1042305258 /nfs/dbraw/zinc/30/52/58/1042305258.db2.gz KSUWVDXRMIHKFV-VXGBXAGGSA-N 0 3 303.789 4.481 20 0 DIADHN CC(C)C1(CN[C@H](C)c2ncc(Br)cc2F)CC1 ZINC000187364243 1042311561 /nfs/dbraw/zinc/31/15/61/1042311561.db2.gz INOKGROPWONWMZ-SNVBAGLBSA-N 0 3 315.230 4.070 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2cccc(C(C)(F)F)c2)c1 ZINC000187372841 1042311976 /nfs/dbraw/zinc/31/19/76/1042311976.db2.gz WDLVCATWPTVCJH-UHFFFAOYSA-N 0 3 318.367 4.112 20 0 DIADHN Fc1cccc2c1C[C@@H](N1Cc3cccc(Cl)c3C1)CC2 ZINC001171005985 1042313895 /nfs/dbraw/zinc/31/38/95/1042313895.db2.gz VCENCMXTSMTZBZ-AWEZNQCLSA-N 0 3 301.792 4.352 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CC(F)(F)F)c2ccc(F)cc2)CS1 ZINC000638800903 1042329407 /nfs/dbraw/zinc/32/94/07/1042329407.db2.gz QPNBPMLHHLXKQD-ICCXJUOJSA-N 0 3 307.356 4.303 20 0 DIADHN CCCCC[C@@H](NCc1ccc(C)n(C)c1=O)c1ccccc1 ZINC000279412787 1042316566 /nfs/dbraw/zinc/31/65/66/1042316566.db2.gz DXVVTIKRJGUAQH-LJQANCHMSA-N 0 3 312.457 4.105 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)/C=C\[C@]1(C)CC[C@H](C)C1(C)C ZINC001136692843 1042318351 /nfs/dbraw/zinc/31/83/51/1042318351.db2.gz LSUMWKYVVSWYHB-UAKHRTMMSA-N 0 3 322.537 4.051 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc3c(c2)OCCO3)cc1 ZINC000320182412 1042319826 /nfs/dbraw/zinc/31/98/26/1042319826.db2.gz OLLOCQQXIOIBGC-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN CCOCc1ccccc1CNCc1ccc2cnccc2c1 ZINC000324223107 1042322599 /nfs/dbraw/zinc/32/25/99/1042322599.db2.gz VUKZRFUKECXTGU-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN C[C@H](C1CC1)N(C)CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC000823377709 1042322970 /nfs/dbraw/zinc/32/29/70/1042322970.db2.gz PPFZJVXCXXFIJZ-OAHLLOKOSA-N 0 3 308.425 4.022 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1ccc(F)c(Cl)c1 ZINC000021801137 1042325258 /nfs/dbraw/zinc/32/52/58/1042325258.db2.gz TYBIMONEYNHUJQ-SNVBAGLBSA-N 0 3 307.752 4.059 20 0 DIADHN CCC(CC)(CC(=O)OC)NCc1cc(Cl)ccc1Cl ZINC001167881686 1042328141 /nfs/dbraw/zinc/32/81/41/1042328141.db2.gz OUYIRTNPGUVCTN-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)[C@@H](C)N1CCCCCC1)C(C)C ZINC001136737078 1042331504 /nfs/dbraw/zinc/33/15/04/1042331504.db2.gz XHIYDYBIQXQVEY-IEBWSBKVSA-N 0 3 316.489 4.073 20 0 DIADHN C[C@@H](NCc1ccc(O)c(F)c1)c1cccc(C(F)(F)F)c1 ZINC000319117212 1042332772 /nfs/dbraw/zinc/33/27/72/1042332772.db2.gz ZGPIJGCPZQEQKF-SNVBAGLBSA-N 0 3 313.294 4.401 20 0 DIADHN C[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)c1cccc(C(F)(F)F)c1 ZINC000319117212 1042332782 /nfs/dbraw/zinc/33/27/82/1042332782.db2.gz ZGPIJGCPZQEQKF-SNVBAGLBSA-N 0 3 313.294 4.401 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](C)c2cccnc2)cc1OC ZINC000112529390 1042341594 /nfs/dbraw/zinc/34/15/94/1042341594.db2.gz ZEPJYFHITASWCH-CABCVRRESA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](NCc1nccn1C(F)F)[C@@H](C)c1ccc(Cl)cc1 ZINC000279776184 1042342157 /nfs/dbraw/zinc/34/21/57/1042342157.db2.gz BTVGQZITBQGDAA-MNOVXSKESA-N 0 3 313.779 4.213 20 0 DIADHN FC1(F)CC[C@@H](NCc2ccc(Sc3ccccn3)o2)C1 ZINC000644887526 1042366038 /nfs/dbraw/zinc/36/60/38/1042366038.db2.gz UGMIJVIPASMOIV-LLVKDONJSA-N 0 3 310.369 4.103 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@H](Nc2ccccc2)C1 ZINC000236667617 1042370166 /nfs/dbraw/zinc/37/01/66/1042370166.db2.gz GTCCMLAEQDRNDW-IBGZPJMESA-N 0 3 310.441 4.160 20 0 DIADHN CCCOc1cccc(CNCc2ccc(OC)c(Cl)c2)c1 ZINC000236879999 1042373046 /nfs/dbraw/zinc/37/30/46/1042373046.db2.gz RCKASKGBFWFGEX-UHFFFAOYSA-N 0 3 319.832 4.427 20 0 DIADHN COCc1ccc(CNCc2ccccc2N2CCCCC2)cc1 ZINC000237255887 1042375723 /nfs/dbraw/zinc/37/57/23/1042375723.db2.gz KHOHKCWGIWCATD-UHFFFAOYSA-N 0 3 324.468 4.113 20 0 DIADHN CSc1ccc(CNCc2ccc3c(c2)CC(C)(C)O3)cc1 ZINC000237392492 1042376934 /nfs/dbraw/zinc/37/69/34/1042376934.db2.gz GHYIPYFHHAACKB-UHFFFAOYSA-N 0 3 313.466 4.412 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@@H]3Cc4ccccc43)cs2)cc1 ZINC000237932788 1042384044 /nfs/dbraw/zinc/38/40/44/1042384044.db2.gz HDCSMVCJQGXCLP-HNNXBMFYSA-N 0 3 324.424 4.379 20 0 DIADHN C[C@@H]1CN(Cc2cc(OC(F)(F)F)ccc2F)C2(CC2)C1 ZINC001143550181 1042405638 /nfs/dbraw/zinc/40/56/38/1042405638.db2.gz HIUHCOSUDKSKCY-JTQLQIEISA-N 0 3 303.299 4.099 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)s1 ZINC000279957291 1042409029 /nfs/dbraw/zinc/40/90/29/1042409029.db2.gz PFXOFSJSBZBKNS-VWYCJHECSA-N 0 3 309.866 4.343 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000410871786 1042412567 /nfs/dbraw/zinc/41/25/67/1042412567.db2.gz LXWHUTRHDJPGDX-QGZVFWFLSA-N 0 3 310.526 4.028 20 0 DIADHN Fc1ccc(CN2CCC3(CCCc4ccccc43)CC2)cn1 ZINC001137735362 1042417628 /nfs/dbraw/zinc/41/76/28/1042417628.db2.gz SDRBHXYSSAFVQT-UHFFFAOYSA-N 0 3 310.416 4.091 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1)CC(C)C ZINC000419222666 1042419116 /nfs/dbraw/zinc/41/91/16/1042419116.db2.gz BTWBYGOZBKBLNK-GJZGRUSLSA-N 0 3 303.368 4.331 20 0 DIADHN CC(C)CCN[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000018937014 1042427200 /nfs/dbraw/zinc/42/72/00/1042427200.db2.gz ISRKIRQXYAWPCG-GOSISDBHSA-N 0 3 314.404 4.141 20 0 DIADHN OCC1CCN([C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411162674 1042429039 /nfs/dbraw/zinc/42/90/39/1042429039.db2.gz CYWDBFSZTFKSDX-LJQANCHMSA-N 0 3 315.844 4.134 20 0 DIADHN C[C@@H]1C[C@H](NCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000295957340 1042439742 /nfs/dbraw/zinc/43/97/42/1042439742.db2.gz LVVVWNTXMXYITR-YGRLFVJLSA-N 0 3 310.228 4.028 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](c2ccc(CC(C)C)cc2)C2CC2)n[nH]1 ZINC000411487673 1042451734 /nfs/dbraw/zinc/45/17/34/1042451734.db2.gz JRPYMGLPKKXCKL-FZKQIMNGSA-N 0 3 312.461 4.114 20 0 DIADHN CC1(C)CCN(Cc2ccc(-c3ncco3)cc2F)CCS1 ZINC000411692757 1042459639 /nfs/dbraw/zinc/45/96/39/1042459639.db2.gz QEQDNESZKOBIBW-UHFFFAOYSA-N 0 3 320.433 4.198 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2Cl)o1)[C@H]1CC1(C)C ZINC000419307997 1042465105 /nfs/dbraw/zinc/46/51/05/1042465105.db2.gz AEOZETNKAWCZCR-OCCSQVGLSA-N 0 3 319.836 4.304 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2Cl)o1)[C@H]1CC1(C)C ZINC000419307998 1042465357 /nfs/dbraw/zinc/46/53/57/1042465357.db2.gz AEOZETNKAWCZCR-TZMCWYRMSA-N 0 3 319.836 4.304 20 0 DIADHN COCCCC[C@@H](NCc1cnc(F)c(C)c1)c1ccccc1 ZINC000894141946 1042469686 /nfs/dbraw/zinc/46/96/86/1042469686.db2.gz NLFMDQBZLARHSD-GOSISDBHSA-N 0 3 316.420 4.177 20 0 DIADHN CN(Cc1nnc(-c2ccccc2)o1)[C@H]1CCC[C@H]1C(C)(C)C ZINC000419332046 1042470790 /nfs/dbraw/zinc/47/07/90/1042470790.db2.gz SMIVQVHIIPIJTQ-CVEARBPZSA-N 0 3 313.445 4.383 20 0 DIADHN CN(Cc1ccn(CC(F)(F)F)n1)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000419333482 1042470832 /nfs/dbraw/zinc/47/08/32/1042470832.db2.gz MDDBCUZXYPSMRA-ZIAGYGMSSA-N 0 3 317.399 4.092 20 0 DIADHN Cc1sc2[nH]c(CN(C)[C@@H]3CCCC[C@H]3C)nc(=O)c2c1C ZINC000253586190 1042474854 /nfs/dbraw/zinc/47/48/54/1042474854.db2.gz TUBWMZLKMJUSFG-ZWNOBZJWSA-N 0 3 319.474 4.024 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1ccc(C2CC2)cc1 ZINC000419352188 1042475644 /nfs/dbraw/zinc/47/56/44/1042475644.db2.gz JWJUVAPVTZCJFE-OAHLLOKOSA-N 0 3 322.452 4.416 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000171413700 1042477533 /nfs/dbraw/zinc/47/75/33/1042477533.db2.gz SDFMLINBFOXBGN-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1CCCc2ccc(F)cc21 ZINC000894162744 1042477757 /nfs/dbraw/zinc/47/77/57/1042477757.db2.gz VOVIJTXEEDGGFV-IBGZPJMESA-N 0 3 315.436 4.387 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000171413697 1042477981 /nfs/dbraw/zinc/47/79/81/1042477981.db2.gz SDFMLINBFOXBGN-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN Cn1c2ccccc2nc1[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC001167923278 1042481709 /nfs/dbraw/zinc/48/17/09/1042481709.db2.gz BLEDYBJVOAVNED-AXVVYFOYSA-N 0 3 317.436 4.051 20 0 DIADHN CCC[C@H](NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1)c1ccccc1 ZINC000216256297 1042496255 /nfs/dbraw/zinc/49/62/55/1042496255.db2.gz ZTJAKZBQBLMXAR-QKLQHJQFSA-N 0 3 324.468 4.383 20 0 DIADHN C[C@]12CCN(Cc3ccc(-c4ccccc4)o3)C[C@H]1C2(F)F ZINC000430104319 1042499844 /nfs/dbraw/zinc/49/98/44/1042499844.db2.gz MVHPRJQJPPCBQL-SJORKVTESA-N 0 3 303.352 4.424 20 0 DIADHN COCCSc1ccc([C@H](C)NCc2ccsc2)cc1 ZINC000237092947 1042522735 /nfs/dbraw/zinc/52/27/35/1042522735.db2.gz HHNJOIHQAIMDPD-ZDUSSCGKSA-N 0 3 307.484 4.337 20 0 DIADHN CCN(CC(=O)Nc1cc(Cl)ccc1Cl)C1CCCC1 ZINC000052908375 1042523059 /nfs/dbraw/zinc/52/30/59/1042523059.db2.gz FXYXTRUTLAKVOX-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN CSC1(CNCc2ccc(Sc3ccccn3)o2)CCC1 ZINC000513308077 1042533189 /nfs/dbraw/zinc/53/31/89/1042533189.db2.gz CMRUUFIRNKDLIC-UHFFFAOYSA-N 0 3 320.483 4.201 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2cccc(C)c2)s1 ZINC000513437081 1042541041 /nfs/dbraw/zinc/54/10/41/1042541041.db2.gz BBIYKQWHVYEBIN-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cnc(C(C)C)s1 ZINC000513472616 1042545500 /nfs/dbraw/zinc/54/55/00/1042545500.db2.gz IGIOOSBMYNQDJR-AWEZNQCLSA-N 0 3 318.486 4.338 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3c(C)cccn23)s1 ZINC000513489118 1042547257 /nfs/dbraw/zinc/54/72/57/1042547257.db2.gz FXHGDEPQVMQJKT-MRXNPFEDSA-N 0 3 311.454 4.350 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@@H]1CCCOC1 ZINC000513490471 1042547364 /nfs/dbraw/zinc/54/73/64/1042547364.db2.gz NPCYOMQZQAEEQX-LBPRGKRZSA-N 0 3 309.862 4.413 20 0 DIADHN CC[C@@H](C)[C@H](NCc1ccnn1CC)c1ccc(Cl)cc1 ZINC000513530879 1042550490 /nfs/dbraw/zinc/55/04/90/1042550490.db2.gz CPFAJTJOZKZIRO-DYVFJYSZSA-N 0 3 305.853 4.433 20 0 DIADHN Cc1cccc(C)c1OCCNCc1ccccc1OC(F)F ZINC000513589729 1042553079 /nfs/dbraw/zinc/55/30/79/1042553079.db2.gz ZPNTUHMTMOBJFT-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN CC(C)(C)n1cc(CN2CCC[C@H](Cc3ccccc3)C2)cn1 ZINC000513647468 1042557512 /nfs/dbraw/zinc/55/75/12/1042557512.db2.gz JOMRQLJTBYZOGQ-GOSISDBHSA-N 0 3 311.473 4.093 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2cccc(CC(C)C)c2)c1 ZINC000513673762 1042558564 /nfs/dbraw/zinc/55/85/64/1042558564.db2.gz WVZNBFXZPOSNSV-INIZCTEOSA-N 0 3 324.468 4.096 20 0 DIADHN CC(C)(O)c1ccc(CNCc2ccc(C(F)F)cc2)cc1 ZINC000280069273 1042563753 /nfs/dbraw/zinc/56/37/53/1042563753.db2.gz YYVNPGJAPSFBAG-UHFFFAOYSA-N 0 3 305.368 4.141 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000280491929 1042574127 /nfs/dbraw/zinc/57/41/27/1042574127.db2.gz OXSUJWORDBHYNV-OAHLLOKOSA-N 0 3 321.424 4.278 20 0 DIADHN CCC(CC)[C@@H](N[C@@H](C)c1ccc(=O)[nH]n1)c1cccs1 ZINC000513703718 1042584006 /nfs/dbraw/zinc/58/40/06/1042584006.db2.gz ZJLDXLHBGGNURD-MEDUHNTESA-N 0 3 305.447 4.072 20 0 DIADHN CCC(CC)[C@H](N[C@@H](C)c1ccc(=O)[nH]n1)c1cccs1 ZINC000513703719 1042584242 /nfs/dbraw/zinc/58/42/42/1042584242.db2.gz ZJLDXLHBGGNURD-ZBEGNZNMSA-N 0 3 305.447 4.072 20 0 DIADHN CC(C)n1cc([C@H](C)NCc2cc3c(cccc3O)s2)cn1 ZINC000894251328 1042608653 /nfs/dbraw/zinc/60/86/53/1042608653.db2.gz RJMWGFWUPDBPQC-LBPRGKRZSA-N 0 3 315.442 4.235 20 0 DIADHN CC(C)(C)c1cnc(CNCC2([C@H]3CCCCO3)CCC2)s1 ZINC000631245288 1042620049 /nfs/dbraw/zinc/62/00/49/1042620049.db2.gz JDAXBJSORMOJAL-CQSZACIVSA-N 0 3 322.518 4.270 20 0 DIADHN CC(C)N(Cc1cc(=O)[nH]c(C2CC2)n1)[C@H](C)c1ccsc1 ZINC000421005360 1042620935 /nfs/dbraw/zinc/62/09/35/1042620935.db2.gz JCSPZZNZBSMQEK-GFCCVEGCSA-N 0 3 317.458 4.093 20 0 DIADHN CC1(C)[C@H](c2ccccc2)CCN1Cc1nnc(-c2ccco2)o1 ZINC000421019500 1042622908 /nfs/dbraw/zinc/62/29/08/1042622908.db2.gz MJDOATWPZSGPDQ-HNNXBMFYSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1ccc(C(F)F)nc1 ZINC000631177177 1042623045 /nfs/dbraw/zinc/62/30/45/1042623045.db2.gz LZNSYKNUOYEXBG-INIZCTEOSA-N 0 3 302.368 4.495 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@@H](C)c3cscn3)c2c1 ZINC000664262082 1042626231 /nfs/dbraw/zinc/62/62/31/1042626231.db2.gz CQYSBWLQNQRLMA-LBPRGKRZSA-N 0 3 313.426 4.074 20 0 DIADHN c1cc(CNCc2ccc(N3CCCCC3)nc2)cc(C2CC2)c1 ZINC000421337039 1042632586 /nfs/dbraw/zinc/63/25/86/1042632586.db2.gz YCXCAOSHVQSCDH-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN OC1(CCCNCc2cc(C(F)(F)F)ccc2Cl)CCC1 ZINC000421372620 1042633502 /nfs/dbraw/zinc/63/35/02/1042633502.db2.gz LBXQDJHZXFBGEN-UHFFFAOYSA-N 0 3 321.770 4.144 20 0 DIADHN FC(F)(F)c1cc(CNCc2cccc(C3CC3)c2)ccn1 ZINC000421377238 1042634151 /nfs/dbraw/zinc/63/41/51/1042634151.db2.gz FWUUWOXIYAXFSF-UHFFFAOYSA-N 0 3 306.331 4.268 20 0 DIADHN CCCn1nc(C)c(CN2CCC[C@@H](CC(F)(F)F)C2)c1C ZINC000421382660 1042635120 /nfs/dbraw/zinc/63/51/20/1042635120.db2.gz BXHCNFWTWVUOAT-AWEZNQCLSA-N 0 3 317.399 4.074 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2c[nH]nc2-c2ccccc2)C1 ZINC000421386583 1042635155 /nfs/dbraw/zinc/63/51/55/1042635155.db2.gz HLSOQFQPFFQYEE-CYBMUJFWSA-N 0 3 323.362 4.241 20 0 DIADHN CC[C@H]1C[C@H](NCc2csc(-c3ccc(C)cc3)n2)CCO1 ZINC000421384188 1042635330 /nfs/dbraw/zinc/63/53/30/1042635330.db2.gz MFFSYWJDOBYKJY-WBVHZDCISA-N 0 3 316.470 4.166 20 0 DIADHN Cc1cc(CNCc2ccc(C(F)(F)F)c(C)c2)cc(C)n1 ZINC000421383529 1042635371 /nfs/dbraw/zinc/63/53/71/1042635371.db2.gz NJPCIEUEFVZRGB-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC(C)(C)c1ccc(F)cc1 ZINC000924937872 1042651294 /nfs/dbraw/zinc/65/12/94/1042651294.db2.gz FEJUGNPVVWHGNH-HNNXBMFYSA-N 0 3 302.393 4.067 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2ccncc2Cl)CC1 ZINC000421823754 1042653826 /nfs/dbraw/zinc/65/38/26/1042653826.db2.gz FEPRUNGISHLFCU-ZDUSSCGKSA-N 0 3 316.832 4.126 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1cccc(Cl)c1Cl ZINC000421855834 1042657572 /nfs/dbraw/zinc/65/75/72/1042657572.db2.gz MOPWWYGFHDTORT-NSHDSACASA-N 0 3 302.245 4.339 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@@H](C)c3ccncc3Cl)C2)c1 ZINC000421849325 1042660511 /nfs/dbraw/zinc/66/05/11/1042660511.db2.gz BTLLPVZABKLOQN-QEJZJMRPSA-N 0 3 316.832 4.340 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1cc(C)nc(C)c1 ZINC000421887134 1042661120 /nfs/dbraw/zinc/66/11/20/1042661120.db2.gz SKCWIOPYDPBBJP-CYBMUJFWSA-N 0 3 303.475 4.438 20 0 DIADHN CSc1cccc(NC(=O)c2ccc(CN(C)C)cc2)c1C ZINC000271722411 1042666548 /nfs/dbraw/zinc/66/65/48/1042666548.db2.gz LWXJWIRMXFVSGV-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1cccc(OCc2ccccn2)c1 ZINC000271809606 1042669572 /nfs/dbraw/zinc/66/95/72/1042669572.db2.gz UCQSPFLGVDDHAI-ZDUSSCGKSA-N 0 3 324.346 4.264 20 0 DIADHN Cc1c2cc(F)ccc2oc1CN[C@@H](C)c1cccc(CO)c1 ZINC000271959056 1042673532 /nfs/dbraw/zinc/67/35/32/1042673532.db2.gz LHSISKZTMDQMMB-ZDUSSCGKSA-N 0 3 313.372 4.223 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000423352105 1042707836 /nfs/dbraw/zinc/70/78/36/1042707836.db2.gz JRCHUHBFDYAUTE-RTBURBONSA-N 0 3 324.472 4.281 20 0 DIADHN CC(C)[C@H](NCc1cccc2c1CCCN2)c1ccc(F)cn1 ZINC000423414004 1042711818 /nfs/dbraw/zinc/71/18/18/1042711818.db2.gz LWNWUUYBPKSYNS-IBGZPJMESA-N 0 3 313.420 4.066 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc3c(c2)CCCN3)s1 ZINC000423432352 1042713094 /nfs/dbraw/zinc/71/30/94/1042713094.db2.gz BFMUQCDCCJOSGU-LBPRGKRZSA-N 0 3 315.486 4.223 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1N[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423431871 1042713115 /nfs/dbraw/zinc/71/31/15/1042713115.db2.gz IWXDCUGRSPWDAS-CFSSXQINSA-N 0 3 322.452 4.394 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCSc3ccc(F)cc32)c1 ZINC000423471398 1042714922 /nfs/dbraw/zinc/71/49/22/1042714922.db2.gz FDNVPCSBHMJOBJ-INIZCTEOSA-N 0 3 302.418 4.043 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2ccccc2OC(F)(F)F)c1 ZINC000423484511 1042715527 /nfs/dbraw/zinc/71/55/27/1042715527.db2.gz UKXRINSMGJDNFR-GFCCVEGCSA-N 0 3 324.346 4.327 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1cnn(C2CCCC2)c1 ZINC000423454470 1042715589 /nfs/dbraw/zinc/71/55/89/1042715589.db2.gz ZCXNAJYYUFTSGQ-HNNXBMFYSA-N 0 3 324.472 4.207 20 0 DIADHN COCCc1ncc(CN(Cc2ccccc2)C(C)(C)C)s1 ZINC000637829904 1042720280 /nfs/dbraw/zinc/72/02/80/1042720280.db2.gz XCTYFFMZGNHUIF-UHFFFAOYSA-N 0 3 318.486 4.133 20 0 DIADHN Cc1cc(F)c(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c(F)c1 ZINC000424178344 1042727424 /nfs/dbraw/zinc/72/74/24/1042727424.db2.gz RBNAGRSDFRLXPX-DNVCBOLYSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1cc(CNCc2ccccc2-c2ccc(C)cc2C)n(C)n1 ZINC000894287783 1042727826 /nfs/dbraw/zinc/72/78/26/1042727826.db2.gz RZLFEJIOQHTKIX-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CCC[C@H](C3CC3)C2)s1 ZINC000424211754 1042729526 /nfs/dbraw/zinc/72/95/26/1042729526.db2.gz YOKHGAVJXRWEGB-WDEREUQCSA-N 0 3 304.381 4.220 20 0 DIADHN Cc1cc(CN[C@H](Cc2ccncc2)c2cccs2)cc(C)n1 ZINC000424339822 1042737311 /nfs/dbraw/zinc/73/73/11/1042737311.db2.gz WQVJCBNANUQWCW-GOSISDBHSA-N 0 3 323.465 4.229 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H]2CCc3c2cccc3F)n1 ZINC000513871901 1042759361 /nfs/dbraw/zinc/75/93/61/1042759361.db2.gz ZCFVJDJISRVRQU-IBGZPJMESA-N 0 3 321.399 4.097 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1cccc(N(C)C)c1 ZINC000513965338 1042765176 /nfs/dbraw/zinc/76/51/76/1042765176.db2.gz XMIBKESNOGMCHV-CYBMUJFWSA-N 0 3 304.384 4.224 20 0 DIADHN COc1cc(C)c(CNCc2ccc3[nH]c(C)c(C)c3c2)c(C)n1 ZINC000514234819 1042776355 /nfs/dbraw/zinc/77/63/55/1042776355.db2.gz SURHBVYAHWIHFT-UHFFFAOYSA-N 0 3 323.440 4.095 20 0 DIADHN CCOC1(C)CCN(CCCc2c(F)cccc2Cl)CC1 ZINC000430087370 1042778921 /nfs/dbraw/zinc/77/89/21/1042778921.db2.gz HAUATNQCISWAEM-UHFFFAOYSA-N 0 3 313.844 4.303 20 0 DIADHN FC(F)(F)c1cnc(CNC23CC4CC(CC(C4)C2)C3)s1 ZINC000434986332 1042784803 /nfs/dbraw/zinc/78/48/03/1042784803.db2.gz OAZAEDGNNPOCJB-UHFFFAOYSA-N 0 3 316.392 4.220 20 0 DIADHN Brc1cccc(CN2CC[C@H](c3ccco3)C2)c1 ZINC000601066012 1042784923 /nfs/dbraw/zinc/78/49/23/1042784923.db2.gz DHOPBDXERBZLBU-ZDUSSCGKSA-N 0 3 306.203 4.032 20 0 DIADHN Cc1noc2ncc(CNC(C)(C)c3cccc(Cl)c3)cc12 ZINC000894304138 1042787394 /nfs/dbraw/zinc/78/73/94/1042787394.db2.gz OIYDTDKTNYELEK-UHFFFAOYSA-N 0 3 315.804 4.210 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(OC(F)F)c2)cn1 ZINC000353198940 1042790774 /nfs/dbraw/zinc/79/07/74/1042790774.db2.gz VBELAWDPURUPKF-UHFFFAOYSA-N 0 3 306.356 4.016 20 0 DIADHN CC(C)n1cncc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC000425335893 1042793060 /nfs/dbraw/zinc/79/30/60/1042793060.db2.gz JYZUTKLYFKZVCB-MRXNPFEDSA-N 0 3 315.486 4.078 20 0 DIADHN Clc1ccccc1CN1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425371282 1042799513 /nfs/dbraw/zinc/79/95/13/1042799513.db2.gz GLHVMHPAIISFGW-KRWDZBQOSA-N 0 3 305.849 4.121 20 0 DIADHN CC(C)n1cncc1CN1[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]1C ZINC000425373149 1042799964 /nfs/dbraw/zinc/79/99/64/1042799964.db2.gz UURPEDCXCRQGPN-YNEHKIRRSA-N 0 3 303.372 4.015 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2sc(C)nc2C)C1(C)C ZINC001167958940 1042802220 /nfs/dbraw/zinc/80/22/20/1042802220.db2.gz MTRQSNNHEPWSQY-HZPDHXFCSA-N 0 3 310.507 4.176 20 0 DIADHN C[C@H](NCCC(C)(C)C1CC1)c1ncc(Br)s1 ZINC000515316007 1042816522 /nfs/dbraw/zinc/81/65/22/1042816522.db2.gz PZEDNGKDDWHSOE-VIFPVBQESA-N 0 3 317.296 4.383 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2CCCC[C@@H]2O)s1 ZINC000515427596 1042819018 /nfs/dbraw/zinc/81/90/18/1042819018.db2.gz UFIUMLVIXCVDDX-QAPCUYQASA-N 0 3 319.470 4.203 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cn2cccnc2n1)C1CCCC1 ZINC000425456001 1042825205 /nfs/dbraw/zinc/82/52/05/1042825205.db2.gz HKGVZYHZGRXMOR-MRXNPFEDSA-N 0 3 320.440 4.235 20 0 DIADHN Fc1ccc(OCCN2CCC[C@@H]2c2cccc(F)c2)cc1 ZINC000515554064 1042826101 /nfs/dbraw/zinc/82/61/01/1042826101.db2.gz OOYARIWXWCZPEO-GOSISDBHSA-N 0 3 303.352 4.181 20 0 DIADHN CCN(Cc1csc(-c2cccc(OC)c2)n1)CC(C)C ZINC000515548098 1042826756 /nfs/dbraw/zinc/82/67/56/1042826756.db2.gz GQGMOWWPICOELC-UHFFFAOYSA-N 0 3 304.459 4.297 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCN(c2ccccn2)CC1 ZINC000516739395 1042852690 /nfs/dbraw/zinc/85/26/90/1042852690.db2.gz LMQAUFOLBZPYGB-KRWDZBQOSA-N 0 3 317.436 4.118 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@@H](CC(F)(F)F)C1 ZINC000664632508 1042857490 /nfs/dbraw/zinc/85/74/90/1042857490.db2.gz FREQVSBBMQBTCJ-ZDUSSCGKSA-N 0 3 324.346 4.009 20 0 DIADHN CCC[C@@]1(CO)CCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000517263549 1042874077 /nfs/dbraw/zinc/87/40/77/1042874077.db2.gz PICAURPWCSPBNQ-QGZVFWFLSA-N 0 3 307.821 4.071 20 0 DIADHN Cc1ccccc1CNCc1ccccc1OCc1ccncc1 ZINC000517542752 1042884277 /nfs/dbraw/zinc/88/42/77/1042884277.db2.gz ACUBSUQZRUFTNP-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CCC[C@H](NCCc1ccc(C)nc1)c1ncccc1Cl ZINC000925028972 1042884750 /nfs/dbraw/zinc/88/47/50/1042884750.db2.gz PWDGRGAJDDOTMT-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN Cc1cc(Br)cc(C)c1CNCc1ccc(F)cc1 ZINC000517552336 1042885466 /nfs/dbraw/zinc/88/54/66/1042885466.db2.gz HPXQFNUFNRMJCL-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H](C)[C@H]3CC3(C)C)n2)ccc1F ZINC000425970267 1042890684 /nfs/dbraw/zinc/89/06/84/1042890684.db2.gz ZPTJSUOHPOSORL-MBNYWOFBSA-N 0 3 317.408 4.269 20 0 DIADHN FC(F)(F)c1cccc(C2CCC(NCc3cc[nH]n3)CC2)c1 ZINC000517824852 1042898950 /nfs/dbraw/zinc/89/89/50/1042898950.db2.gz RNORBNKJVAGQHL-UHFFFAOYSA-N 0 3 323.362 4.245 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCCC2)c1)c1ccsc1 ZINC000295994144 1042915616 /nfs/dbraw/zinc/91/56/16/1042915616.db2.gz NVMZPHHWXSNAJP-KRWDZBQOSA-N 0 3 315.486 4.374 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000518804201 1042921330 /nfs/dbraw/zinc/92/13/30/1042921330.db2.gz POHDBGLWGUSPCP-KSSFIOAISA-N 0 3 309.457 4.187 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H]4CCC[C@@H]43)cs2)cc1 ZINC000426460416 1042922745 /nfs/dbraw/zinc/92/27/45/1042922745.db2.gz OQOWOPTZXIMGAC-WBMJQRKESA-N 0 3 302.418 4.324 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1C[C@H]1CCCCO1 ZINC000519000880 1042928031 /nfs/dbraw/zinc/92/80/31/1042928031.db2.gz WBSCKQJITOHOHZ-ZIAGYGMSSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1ccccc1F ZINC000519037059 1042930763 /nfs/dbraw/zinc/93/07/63/1042930763.db2.gz NPNDLFRMOWRGGT-SJCJKPOMSA-N 0 3 305.418 4.026 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H]2CCCC[C@H]2C1)c1nnc2n1CCCCC2 ZINC000319889748 1042931595 /nfs/dbraw/zinc/93/15/95/1042931595.db2.gz YBOPIZTYKLRCIN-VVLHAWIVSA-N 0 3 316.493 4.014 20 0 DIADHN COc1ccccc1O[C@@H]1CCCN(Cc2ccc(C)s2)C1 ZINC000519230845 1042939886 /nfs/dbraw/zinc/93/98/86/1042939886.db2.gz ROPDUCFGILBPBZ-OAHLLOKOSA-N 0 3 317.454 4.109 20 0 DIADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1ncc(Br)cc1F ZINC000427521422 1042989499 /nfs/dbraw/zinc/98/94/99/1042989499.db2.gz JQSKDMOUHORIKU-KOLCDFICSA-N 0 3 315.230 4.213 20 0 DIADHN Fc1cccnc1CN[C@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000519527648 1042957986 /nfs/dbraw/zinc/95/79/86/1042957986.db2.gz KPKDXFZURSCBIH-HIFRSBDPSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2nccc3ccccc32)C1 ZINC000894461628 1042960293 /nfs/dbraw/zinc/96/02/93/1042960293.db2.gz KJKKFXDOPNLMLW-FOIQADDNSA-N 0 3 303.409 4.213 20 0 DIADHN C[C@@H](CCN1CC(C)(C)[C@@H]1c1cccnc1)OCc1ccccc1 ZINC000664820877 1042962897 /nfs/dbraw/zinc/96/28/97/1042962897.db2.gz BNZOHJVWHJFVHS-PXNSSMCTSA-N 0 3 324.468 4.460 20 0 DIADHN CC1(C)CN(CCCCC2CCOCC2)[C@@H]1c1cccnc1 ZINC000664822966 1042964421 /nfs/dbraw/zinc/96/44/21/1042964421.db2.gz LADPXJOVIBORRQ-GOSISDBHSA-N 0 3 302.462 4.061 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@H](c3ccccn3)C2)c1 ZINC000647950111 1042964614 /nfs/dbraw/zinc/96/46/14/1042964614.db2.gz OQGRMKCBDISFHQ-HNNXBMFYSA-N 0 3 320.358 4.480 20 0 DIADHN C[C@@H](NCC(=O)Nc1cccc(F)c1)c1cccc2ccccc21 ZINC000008269469 1042964636 /nfs/dbraw/zinc/96/46/36/1042964636.db2.gz SBDPYGVJIDCROO-CQSZACIVSA-N 0 3 322.383 4.268 20 0 DIADHN Oc1cccc2sc(CNCc3ccc4c(n3)CCCC4)cc21 ZINC000894572112 1042969181 /nfs/dbraw/zinc/96/91/81/1042969181.db2.gz CMZRRKBAWPWCSQ-UHFFFAOYSA-N 0 3 324.449 4.171 20 0 DIADHN CCc1onc(C)c1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000894647201 1042971557 /nfs/dbraw/zinc/97/15/57/1042971557.db2.gz AJFBJTOKXOMAJF-JOCQHMNTSA-N 0 3 304.821 4.235 20 0 DIADHN c1ccc([C@@H]2CCC[C@H]2CNCc2nnc(C3CC3)s2)cc1 ZINC000894676603 1042972086 /nfs/dbraw/zinc/97/20/86/1042972086.db2.gz OSIQLBUZBHVCDV-HOTGVXAUSA-N 0 3 313.470 4.089 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CNCCC(C)(F)F ZINC000894659695 1042972329 /nfs/dbraw/zinc/97/23/29/1042972329.db2.gz ZXVCFSGIGGYXIY-UHFFFAOYSA-N 0 3 320.405 4.230 20 0 DIADHN C[C@H](NC[C@@H]1CCCCO1)c1cc(F)c(Cl)cc1Cl ZINC000049855535 1042974289 /nfs/dbraw/zinc/97/42/89/1042974289.db2.gz QCELHCFRDNQXTG-UWVGGRQHSA-N 0 3 306.208 4.352 20 0 DIADHN Cc1nc([C@H](C)NCc2sc3c(cccc3Cl)c2C)n[nH]1 ZINC000894773998 1042976610 /nfs/dbraw/zinc/97/66/10/1042976610.db2.gz MPMCCEOSDRSHKW-VIFPVBQESA-N 0 3 320.849 4.140 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCC[C@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000894778246 1042977045 /nfs/dbraw/zinc/97/70/45/1042977045.db2.gz ORJRUFFCCMCKAH-PJODQICGSA-N 0 3 318.852 4.144 20 0 DIADHN Cc1nnc([C@@H](C)N[C@@H]2CC=C(c3ccc(Cl)cc3)CC2)[nH]1 ZINC000894777783 1042977222 /nfs/dbraw/zinc/97/72/22/1042977222.db2.gz LWZKNOLWDIJRPG-BDJLRTHQSA-N 0 3 316.836 4.053 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC=C(c3ccc(Cl)cc3)CC2)[nH]1 ZINC000894777785 1042977241 /nfs/dbraw/zinc/97/72/41/1042977241.db2.gz LWZKNOLWDIJRPG-MEDUHNTESA-N 0 3 316.836 4.053 20 0 DIADHN CC(C)N(Cc1cnc(N2CCCC2)nc1)[C@@H](C)c1ccccc1 ZINC000519576712 1042977428 /nfs/dbraw/zinc/97/74/28/1042977428.db2.gz PKHHCQXIXAACGY-KRWDZBQOSA-N 0 3 324.472 4.048 20 0 DIADHN Cc1nnc([C@@H](C)N[C@H]2CC=C(c3ccc(Cl)cc3)CC2)[nH]1 ZINC000894777784 1042977447 /nfs/dbraw/zinc/97/74/47/1042977447.db2.gz LWZKNOLWDIJRPG-BZNIZROVSA-N 0 3 316.836 4.053 20 0 DIADHN CN(Cc1cc(C(C)(C)C)[nH]n1)C[C@H]1CCC2(CCCCC2)O1 ZINC000519761974 1043001444 /nfs/dbraw/zinc/00/14/44/1043001444.db2.gz AVLWGXJEZBHDLB-MRXNPFEDSA-N 0 3 319.493 4.021 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1nccc2ccccc21 ZINC000894887634 1043009717 /nfs/dbraw/zinc/00/97/17/1043009717.db2.gz HSYBNOXKOFGFGD-TZMCWYRMSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1cnc([C@H](N[C@H](C)Cc2ccccc2F)C2CC2)s1 ZINC000188077103 1043010622 /nfs/dbraw/zinc/01/06/22/1043010622.db2.gz HXBXDHGIHJOBEI-BDJLRTHQSA-N 0 3 304.434 4.263 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)N[C@@H](C)c1ccnnc1 ZINC000925094528 1043013342 /nfs/dbraw/zinc/01/33/42/1043013342.db2.gz BAUIXXSHSKKRRI-MAUKXSAKSA-N 0 3 323.465 4.481 20 0 DIADHN Cc1cc(CN2CC(C)(C)O[C@@H]3CCC[C@H]32)c2ccccc2n1 ZINC000440958039 1043014705 /nfs/dbraw/zinc/01/47/05/1043014705.db2.gz DMSFQFUISKWCHK-RTBURBONSA-N 0 3 310.441 4.075 20 0 DIADHN C[C@@H](c1nc(-c2ccc(C(F)F)nc2)no1)N1[C@H](C)CC[C@H]1C ZINC000664957101 1043019200 /nfs/dbraw/zinc/01/92/00/1043019200.db2.gz KUTUZLDGKNLLON-MXWKQRLJSA-N 0 3 322.359 4.003 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N(C(C)C)C(C)C ZINC000520174439 1043022329 /nfs/dbraw/zinc/02/23/29/1043022329.db2.gz JRYGABJWPZGMQP-SFHVURJKSA-N 0 3 304.478 4.105 20 0 DIADHN CCCNc1ccc(CNCc2cnc(C3CC3)s2)cc1 ZINC000579189477 1043022609 /nfs/dbraw/zinc/02/26/09/1043022609.db2.gz RCWFNXGWJLMTGB-UHFFFAOYSA-N 0 3 301.459 4.132 20 0 DIADHN CC(C)N(Cc1ncc(-c2ccc(F)cc2)o1)CC1CCC1 ZINC000579194582 1043023262 /nfs/dbraw/zinc/02/32/62/1043023262.db2.gz CYRFTUKKYHVJHI-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccccc2)o1)c1ccccc1 ZINC000520203081 1043023506 /nfs/dbraw/zinc/02/35/06/1043023506.db2.gz GFUSBHLYSBJZAB-HXUWFJFHSA-N 0 3 307.393 4.424 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@H]2CCC[C@@H](C)C2)c(C)c1C ZINC000441173603 1043025142 /nfs/dbraw/zinc/02/51/42/1043025142.db2.gz CMXVEKUIULAYIF-ABAIWWIYSA-N 0 3 308.491 4.122 20 0 DIADHN CCOc1ccccc1OCCN(C)[C@@H](C)c1cccs1 ZINC000441189083 1043026173 /nfs/dbraw/zinc/02/61/73/1043026173.db2.gz NESMHQLVCSYKGT-AWEZNQCLSA-N 0 3 305.443 4.219 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)N(C)CCC1CC1 ZINC000441221409 1043027785 /nfs/dbraw/zinc/02/77/85/1043027785.db2.gz GVEFSYSXBYKLQA-CABCVRRESA-N 0 3 302.462 4.259 20 0 DIADHN CSC[C@@H](C)N[C@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925099314 1043029753 /nfs/dbraw/zinc/02/97/53/1043029753.db2.gz XKDJHIJAWAZZMM-CRAIPNDOSA-N 0 3 300.471 4.129 20 0 DIADHN CSc1ccc(OCCN2CCc3sccc3[C@@H]2C)cc1 ZINC000441251621 1043030392 /nfs/dbraw/zinc/03/03/92/1043030392.db2.gz HSODEABOVRPVKM-ZDUSSCGKSA-N 0 3 319.495 4.468 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CCc1cc(Cl)cs1 ZINC000520285051 1043030948 /nfs/dbraw/zinc/03/09/48/1043030948.db2.gz KFEQANFAKOJCFS-UHFFFAOYSA-N 0 3 322.861 4.034 20 0 DIADHN CN(C)c1ccccc1CN(C)Cc1cccc(C(F)(F)F)c1 ZINC000441290312 1043034048 /nfs/dbraw/zinc/03/40/48/1043034048.db2.gz WPHVGLOSFWYXKP-UHFFFAOYSA-N 0 3 322.374 4.403 20 0 DIADHN CCCN(Cc1cc(C(=O)OC)oc1C)Cc1ccc(C)cc1 ZINC000520351352 1043037013 /nfs/dbraw/zinc/03/70/13/1043037013.db2.gz KCKXICHZZDXZJU-UHFFFAOYSA-N 0 3 315.413 4.095 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)CCCC(C)(C)C)c1ccco1 ZINC000520383846 1043041235 /nfs/dbraw/zinc/04/12/35/1043041235.db2.gz DURKLBTUOXXBRY-HUUCEWRRSA-N 0 3 308.466 4.041 20 0 DIADHN CN(C)c1ccccc1CN1CCSC[C@H]1c1ccccc1 ZINC000441413320 1043044292 /nfs/dbraw/zinc/04/42/92/1043044292.db2.gz QKSATEPIIMNAJJ-IBGZPJMESA-N 0 3 312.482 4.043 20 0 DIADHN C[C@H](NCC1(O)CCCCC1)c1nc(-c2ccccc2)cs1 ZINC000112545650 1043048416 /nfs/dbraw/zinc/04/84/16/1043048416.db2.gz RRVUTXIBKFDZQN-AWEZNQCLSA-N 0 3 316.470 4.156 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)cc1Cl)c1ccccc1 ZINC000112537459 1043048512 /nfs/dbraw/zinc/04/85/12/1043048512.db2.gz AJKAHXTWFKZODS-INIZCTEOSA-N 0 3 316.832 4.328 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1ccsc1)c1cc(F)ccc1F ZINC000794803011 1043050809 /nfs/dbraw/zinc/05/08/09/1043050809.db2.gz PSYXTMKCMRHBDI-HZPDHXFCSA-N 0 3 311.397 4.047 20 0 DIADHN Cc1ccc2c(CN(Cc3ccccc3)C3CC3)cc(=O)oc2c1 ZINC000025123712 1043053437 /nfs/dbraw/zinc/05/34/37/1043053437.db2.gz XHOOVVCYMLINJF-UHFFFAOYSA-N 0 3 319.404 4.266 20 0 DIADHN CC[C@@H](CN(C)[C@H](c1nnc[nH]1)c1ccccc1)c1ccccc1 ZINC000894963399 1043054712 /nfs/dbraw/zinc/05/47/12/1043054712.db2.gz YUVZLKHNOBJOOI-LPHOPBHVSA-N 0 3 320.440 4.020 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2)C1)c1cccnc1Cl ZINC000120339876 1043054816 /nfs/dbraw/zinc/05/48/16/1043054816.db2.gz RHPHTMAQUKYKAG-CABCVRRESA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1csc2ccccc12 ZINC000794810001 1043055258 /nfs/dbraw/zinc/05/52/58/1043055258.db2.gz SVHDHICROCOMCK-MLGOLLRUSA-N 0 3 311.454 4.363 20 0 DIADHN CC[C@H](CN(C)[C@@H](c1nnc[nH]1)c1ccccc1)c1ccccc1 ZINC000894963401 1043055554 /nfs/dbraw/zinc/05/55/54/1043055554.db2.gz YUVZLKHNOBJOOI-VQIMIIECSA-N 0 3 320.440 4.020 20 0 DIADHN CC[C@H](CN(C)[C@H](c1nnc[nH]1)c1ccccc1)c1ccccc1 ZINC000894963398 1043055637 /nfs/dbraw/zinc/05/56/37/1043055637.db2.gz YUVZLKHNOBJOOI-APWZRJJASA-N 0 3 320.440 4.020 20 0 DIADHN FC(F)(F)c1cccc(C2(NCc3c[nH]cn3)CCCCC2)c1 ZINC000174589515 1043067983 /nfs/dbraw/zinc/06/79/83/1043067983.db2.gz UQMBIPMGOCAAAG-UHFFFAOYSA-N 0 3 323.362 4.378 20 0 DIADHN FC(F)(F)c1cccc(C2(NCc3cnc[nH]3)CCCCC2)c1 ZINC000174589515 1043067996 /nfs/dbraw/zinc/06/79/96/1043067996.db2.gz UQMBIPMGOCAAAG-UHFFFAOYSA-N 0 3 323.362 4.378 20 0 DIADHN FC(F)Oc1cccc(-c2csc([C@@H]3CCCCN3)n2)c1 ZINC000053251921 1043069802 /nfs/dbraw/zinc/06/98/02/1043069802.db2.gz GWBWYSZUFUJYIG-LBPRGKRZSA-N 0 3 310.369 4.226 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000320040098 1043087691 /nfs/dbraw/zinc/08/76/91/1043087691.db2.gz UHZGLDFASHZQBL-BBRMVZONSA-N 0 3 318.848 4.014 20 0 DIADHN C[C@@H](Cc1ccccc1C(F)(F)F)NCc1cc(C2CC2)no1 ZINC000638962671 1043089795 /nfs/dbraw/zinc/08/97/95/1043089795.db2.gz MXDATMKOWCQONT-NSHDSACASA-N 0 3 324.346 4.292 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CNC1(c2ccccc2)CCC1 ZINC000175983856 1043092176 /nfs/dbraw/zinc/09/21/76/1043092176.db2.gz YPIPPWBFZLQISM-UHFFFAOYSA-N 0 3 303.409 4.246 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CNC1(c2ccccc2)CCC1 ZINC000175983856 1043092186 /nfs/dbraw/zinc/09/21/86/1043092186.db2.gz YPIPPWBFZLQISM-UHFFFAOYSA-N 0 3 303.409 4.246 20 0 DIADHN CCOCCC1(CNCc2c(Cl)cncc2Cl)CCC1 ZINC000865493287 1043104808 /nfs/dbraw/zinc/10/48/08/1043104808.db2.gz DSGMPSIZSAZRPH-UHFFFAOYSA-N 0 3 317.260 4.075 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC000177267114 1043106632 /nfs/dbraw/zinc/10/66/32/1043106632.db2.gz JMNKDIZHQYWSBX-UIAACRFSSA-N 0 3 311.425 4.266 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865515670 1043108732 /nfs/dbraw/zinc/10/87/32/1043108732.db2.gz URZRQQREIUJYHJ-HZMBPMFUSA-N 0 3 317.260 4.072 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000177794232 1043113861 /nfs/dbraw/zinc/11/38/61/1043113861.db2.gz XEJMFAAAKORZBB-CQSZACIVSA-N 0 3 316.470 4.081 20 0 DIADHN Cn1ncc2ccc(CNCc3cccc(OC(C)(C)C)c3)cc21 ZINC000865576385 1043114896 /nfs/dbraw/zinc/11/48/96/1043114896.db2.gz LCXNWJDUZPCVSB-UHFFFAOYSA-N 0 3 323.440 4.040 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(SC(F)F)cc2)ccn1 ZINC000177842836 1043116076 /nfs/dbraw/zinc/11/60/76/1043116076.db2.gz RJBAMSYOJNVAKL-NSHDSACASA-N 0 3 324.396 4.256 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@H](C)CCC[C@@H]2C)c(C)c1C ZINC000441440654 1043117685 /nfs/dbraw/zinc/11/76/85/1043117685.db2.gz BEQRLVUXXREDRR-NEPJUHHUSA-N 0 3 308.491 4.122 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCc3cc(Cl)ccc32)cn1 ZINC000178086788 1043118588 /nfs/dbraw/zinc/11/85/88/1043118588.db2.gz RTLZWAWGXLPQDI-PXAZEXFGSA-N 0 3 303.837 4.456 20 0 DIADHN CSCC1(CCNCc2c(Cl)cncc2Cl)CC1 ZINC000865622755 1043118793 /nfs/dbraw/zinc/11/87/93/1043118793.db2.gz DAELZKVKFSHGSU-UHFFFAOYSA-N 0 3 305.274 4.011 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CC(C)(C)c3ccccc32)c1 ZINC000178030806 1043119157 /nfs/dbraw/zinc/11/91/57/1043119157.db2.gz JDCOBEAJIGMGLR-IBGZPJMESA-N 0 3 308.425 4.157 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2ccsc2)cc1OC ZINC000178039506 1043119457 /nfs/dbraw/zinc/11/94/57/1043119457.db2.gz FNAIWHUVXHMFRS-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN O[C@@H]1C[C@H](NCc2ccccc2CC(F)(F)F)c2ccccc21 ZINC000865626020 1043119419 /nfs/dbraw/zinc/11/94/19/1043119419.db2.gz HIAZNRMMANXFGX-DLBZAZTESA-N 0 3 321.342 4.059 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2C)c2ccccc12 ZINC000177958867 1043119962 /nfs/dbraw/zinc/11/99/62/1043119962.db2.gz WMZRKBWPCMDCBE-KBPBESRZSA-N 0 3 323.440 4.302 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@H]1CCc2cc(F)ccc21 ZINC000178088775 1043119973 /nfs/dbraw/zinc/11/99/73/1043119973.db2.gz QYLOSYXTPZKSIZ-SGTLLEGYSA-N 0 3 315.436 4.424 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCc3c2cccc3Cl)cn1 ZINC000178092446 1043120903 /nfs/dbraw/zinc/12/09/03/1043120903.db2.gz YFMNTZSUMGZBNB-SJCJKPOMSA-N 0 3 303.837 4.456 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)nc1)c1ccc2c(c1)CCCO2 ZINC000865635983 1043120847 /nfs/dbraw/zinc/12/08/47/1043120847.db2.gz JENNHZRAGKCGDH-LBPRGKRZSA-N 0 3 318.367 4.195 20 0 DIADHN c1cc(-c2nc(CNCC[C@H]3CCSC3)cs2)cs1 ZINC000865654472 1043123017 /nfs/dbraw/zinc/12/30/17/1043123017.db2.gz MDDFJMKTRWTIFI-NSHDSACASA-N 0 3 310.513 4.104 20 0 DIADHN CC(C)NC(=O)Nc1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000178422474 1043129415 /nfs/dbraw/zinc/12/94/15/1043129415.db2.gz POWVBYZYNHZXFI-CYBMUJFWSA-N 0 3 317.458 4.129 20 0 DIADHN CC(C)NC(=O)Nc1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000178422464 1043129515 /nfs/dbraw/zinc/12/95/15/1043129515.db2.gz POWVBYZYNHZXFI-ZDUSSCGKSA-N 0 3 317.458 4.129 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000178479148 1043131948 /nfs/dbraw/zinc/13/19/48/1043131948.db2.gz JILNNWSISMPFDM-CYBMUJFWSA-N 0 3 302.443 4.193 20 0 DIADHN CC[C@H](NCc1cccc2cc[nH]c21)c1cccc(OC)c1OC ZINC000865758811 1043131899 /nfs/dbraw/zinc/13/18/99/1043131899.db2.gz ORLMISQGOQAJGD-KRWDZBQOSA-N 0 3 324.424 4.426 20 0 DIADHN CC[C@@H](NCc1ccc2cc[nH]c2c1)c1cccc(OC)c1OC ZINC000865758140 1043132128 /nfs/dbraw/zinc/13/21/28/1043132128.db2.gz YETAYEYJHDCVCD-QGZVFWFLSA-N 0 3 324.424 4.426 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000178548992 1043132911 /nfs/dbraw/zinc/13/29/11/1043132911.db2.gz HLKGMORFHCWLEK-STQMWFEESA-N 0 3 321.367 4.192 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCSc3ccc(F)cc32)cc1 ZINC000178542502 1043133172 /nfs/dbraw/zinc/13/31/72/1043133172.db2.gz MWJUCDGXELOEIF-YVEFUNNKSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000178551915 1043133647 /nfs/dbraw/zinc/13/36/47/1043133647.db2.gz OAFHEMSSZBDGLQ-HNAYVOBHSA-N 0 3 323.436 4.276 20 0 DIADHN CSc1ccc(F)c(C)c1N(C(=N)N)c1ccc(F)cc1 ZINC001167997805 1043135777 /nfs/dbraw/zinc/13/57/77/1043135777.db2.gz BQNMZKGXYGHYBA-UHFFFAOYSA-N 0 3 307.369 4.027 20 0 DIADHN CC[C@@H](N[C@H]1CCSc2c(F)cccc21)c1ccncc1 ZINC000178761973 1043136897 /nfs/dbraw/zinc/13/68/97/1043136897.db2.gz MNLOIBNUVWZCLF-CVEARBPZSA-N 0 3 302.418 4.499 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2cccc(O)c2)cc1 ZINC000178756810 1043137756 /nfs/dbraw/zinc/13/77/56/1043137756.db2.gz ILEICEPHKYFVQY-CABCVRRESA-N 0 3 321.424 4.298 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC000865831946 1043138146 /nfs/dbraw/zinc/13/81/46/1043138146.db2.gz DXQVESORIHBPEF-HUUCEWRRSA-N 0 3 321.346 4.063 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1cnc(-c2ccccc2)[nH]1 ZINC000865831946 1043138154 /nfs/dbraw/zinc/13/81/54/1043138154.db2.gz DXQVESORIHBPEF-HUUCEWRRSA-N 0 3 321.346 4.063 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)c3ccnn3C)s2)cc1 ZINC000865838757 1043140303 /nfs/dbraw/zinc/14/03/03/1043140303.db2.gz BFMMJCJKYHCHEH-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H](NCc1ccc(N(C)c2ccccc2)cc1)c1ccnn1C ZINC000865839862 1043140503 /nfs/dbraw/zinc/14/05/03/1043140503.db2.gz VVIBARIEBBQTRC-INIZCTEOSA-N 0 3 320.440 4.039 20 0 DIADHN C[C@H](NCc1ccc(OCC2CC2)cc1)c1cc2cnccc2o1 ZINC000865854868 1043142589 /nfs/dbraw/zinc/14/25/89/1043142589.db2.gz SFFRUAAKJVESTC-AWEZNQCLSA-N 0 3 322.408 4.467 20 0 DIADHN CC[C@H](N[C@@H](C)C[C@H](O)c1ccco1)c1cc(F)ccc1F ZINC000120791392 1043144394 /nfs/dbraw/zinc/14/43/94/1043144394.db2.gz ZHWKCAXHOMQOOZ-UVBJJODRSA-N 0 3 309.356 4.111 20 0 DIADHN CC[C@@H](NCc1ccc([C@H](C)O)cc1)c1cc(C)ccc1OC ZINC000179405825 1043145245 /nfs/dbraw/zinc/14/52/45/1043145245.db2.gz IANQIZBUWQNKDJ-HNAYVOBHSA-N 0 3 313.441 4.298 20 0 DIADHN COc1ccc(-c2cnc(CN(C)CC3CCCCC3)o2)cc1 ZINC000520465071 1043146383 /nfs/dbraw/zinc/14/63/83/1043146383.db2.gz JKUZYJWTLDHASC-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccc(F)cc1F ZINC000120860126 1043149140 /nfs/dbraw/zinc/14/91/40/1043149140.db2.gz PUXHYXFYKUJHIH-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1nc2cc(F)ccc2o1 ZINC000189483176 1043155954 /nfs/dbraw/zinc/15/59/54/1043155954.db2.gz QKOWNOYMNCOGRL-WFASDCNBSA-N 0 3 308.422 4.121 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H](c2ccccc2)c2ccccn2)s1 ZINC000183198574 1043156931 /nfs/dbraw/zinc/15/69/31/1043156931.db2.gz CJGXMWOIYXMGDB-KBXCAEBGSA-N 0 3 323.465 4.329 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@H](O)c1ccc(Cl)cc1 ZINC000120973251 1043165180 /nfs/dbraw/zinc/16/51/80/1043165180.db2.gz FEXRPPDUKDSNAG-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1cc2ccccc2[nH]1 ZINC000866247516 1043165873 /nfs/dbraw/zinc/16/58/73/1043165873.db2.gz AOGQPZMYTIQZBZ-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)CCc3cccnc3)co2)cc1 ZINC000866276107 1043170806 /nfs/dbraw/zinc/17/08/06/1043170806.db2.gz LEQLVVIWQWTWJU-MRXNPFEDSA-N 0 3 321.424 4.156 20 0 DIADHN COc1cc(CN[C@@H](c2ccccn2)C(C)C)c2ccccc2n1 ZINC000866275057 1043171092 /nfs/dbraw/zinc/17/10/92/1043171092.db2.gz WSOCSUCLUMNYGA-HXUWFJFHSA-N 0 3 321.424 4.125 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Sc3ccccc3)cc2)CC[C@@H]1O ZINC000190045531 1043171159 /nfs/dbraw/zinc/17/11/59/1043171159.db2.gz GUAXFAPFAILRJH-BEFAXECRSA-N 0 3 313.466 4.041 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1cncc2ccccc21)c1ccco1 ZINC000866277497 1043172272 /nfs/dbraw/zinc/17/22/72/1043172272.db2.gz MTTTZSZOOKDGSZ-HNAYVOBHSA-N 0 3 324.424 4.126 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000163375265 1043175356 /nfs/dbraw/zinc/17/53/56/1043175356.db2.gz CUWDHRYBOXJQJW-SWLSCSKDSA-N 0 3 319.836 4.448 20 0 DIADHN c1ccc([C@H](NCCC[C@@H]2CCCCO2)c2ccccn2)cc1 ZINC000184003410 1043176933 /nfs/dbraw/zinc/17/69/33/1043176933.db2.gz UJXYUAWOLBEAFT-ICSRJNTNSA-N 0 3 310.441 4.110 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H]2CCc3cccc4cccc2c43)n[nH]1 ZINC000866313016 1043177425 /nfs/dbraw/zinc/17/74/25/1043177425.db2.gz UOBOAFNYWNNOPB-CXAGYDPISA-N 0 3 320.440 4.419 20 0 DIADHN OC[C@@H](N[C@H]1CCc2cccc3cccc1c32)c1ccsc1 ZINC000866339392 1043182217 /nfs/dbraw/zinc/18/22/17/1043182217.db2.gz BEVILRDTUZHVGI-ZWKOTPCHSA-N 0 3 309.434 4.212 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H]1CCCc2nc(C)sc21 ZINC000866335437 1043183268 /nfs/dbraw/zinc/18/32/68/1043183268.db2.gz AGFRXEFIKJQPGS-RDJZCZTQSA-N 0 3 314.454 4.115 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](C)c2cccc(N3CCCC3)c2)c1 ZINC000184386054 1043185501 /nfs/dbraw/zinc/18/55/01/1043185501.db2.gz LXRZTEJGSATPAC-IRXDYDNUSA-N 0 3 309.457 4.402 20 0 DIADHN CCc1ccc(F)cc1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000866354109 1043186610 /nfs/dbraw/zinc/18/66/10/1043186610.db2.gz GNNUVEPZYWZNBZ-UHFFFAOYSA-N 0 3 314.404 4.010 20 0 DIADHN c1nc2n(c1CN[C@@H]1CCc3cccc4cccc1c43)CCCC2 ZINC000866355534 1043187445 /nfs/dbraw/zinc/18/74/45/1043187445.db2.gz XPXAFZQLLWPDJQ-LJQANCHMSA-N 0 3 317.436 4.150 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000866366793 1043189630 /nfs/dbraw/zinc/18/96/30/1043189630.db2.gz BQBQYSGJPKXXIV-CLCXKQKWSA-N 0 3 320.440 4.048 20 0 DIADHN CCC[C@@H](NCc1ccc(-n2ccnc2)c(F)c1)c1cccnc1 ZINC000185134122 1043194053 /nfs/dbraw/zinc/19/40/53/1043194053.db2.gz CJSNNXWLYOFCCJ-GOSISDBHSA-N 0 3 324.403 4.037 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H]1CCc2cccc3cccc1c32 ZINC000866390936 1043194909 /nfs/dbraw/zinc/19/49/09/1043194909.db2.gz WOPUUHWVEBYULV-IBGZPJMESA-N 0 3 317.436 4.228 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)N[C@H](C)c1cscn1 ZINC000866388366 1043195281 /nfs/dbraw/zinc/19/52/81/1043195281.db2.gz IARJPPDOOPVJBA-ZIAGYGMSSA-N 0 3 311.454 4.363 20 0 DIADHN CCC[C@@H](NCc1cccc(Br)c1)c1cccnc1 ZINC000185230625 1043197064 /nfs/dbraw/zinc/19/70/64/1043197064.db2.gz FQLGNVQIDYHQPN-MRXNPFEDSA-N 0 3 319.246 4.475 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCSC2)c2ccccn2)cc1 ZINC000866403082 1043197793 /nfs/dbraw/zinc/19/77/93/1043197793.db2.gz QKDINQRVPIPTJO-RDTXWAMCSA-N 0 3 316.445 4.043 20 0 DIADHN Fc1ccc([C@H](NCC[C@@H]2CCSC2)c2ccccn2)cc1 ZINC000866403078 1043199032 /nfs/dbraw/zinc/19/90/32/1043199032.db2.gz QKDINQRVPIPTJO-KDOFPFPSSA-N 0 3 316.445 4.043 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2cnc(C)s2)cc1C ZINC000866456402 1043204499 /nfs/dbraw/zinc/20/44/99/1043204499.db2.gz YRJWJWBHFNUKIM-OLZOCXBDSA-N 0 3 304.459 4.489 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2cnc(C)s2)cc1C ZINC000866456404 1043204976 /nfs/dbraw/zinc/20/49/76/1043204976.db2.gz YRJWJWBHFNUKIM-STQMWFEESA-N 0 3 304.459 4.489 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000185647718 1043205365 /nfs/dbraw/zinc/20/53/65/1043205365.db2.gz JAQNQQZLLVMTFK-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN Fc1ccc([C@@H](NCC[C@H]2CCCS2)c2ccccc2)nc1 ZINC000866479875 1043206478 /nfs/dbraw/zinc/20/64/78/1043206478.db2.gz ACQGBKQWTSEYDN-AEFFLSMTSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1ccnc(F)c1 ZINC000866473207 1043206870 /nfs/dbraw/zinc/20/68/70/1043206870.db2.gz BUUSQIDOTOKVNO-QGZVFWFLSA-N 0 3 309.388 4.178 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000866486072 1043210798 /nfs/dbraw/zinc/21/07/98/1043210798.db2.gz XQFFLOAWLCAXPH-RYUDHWBXSA-N 0 3 314.360 4.393 20 0 DIADHN C[C@H](NCc1noc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000866511285 1043214149 /nfs/dbraw/zinc/21/41/49/1043214149.db2.gz OETSTVOIPRSRDI-WCFLWFBJSA-N 0 3 316.401 4.310 20 0 DIADHN C[C@@H](NCc1noc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000866511283 1043214285 /nfs/dbraw/zinc/21/42/85/1043214285.db2.gz OETSTVOIPRSRDI-KGYLQXTDSA-N 0 3 316.401 4.310 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H]2CC=CC[C@H]2C(F)(F)F)cs1 ZINC000866535165 1043219417 /nfs/dbraw/zinc/21/94/17/1043219417.db2.gz QCBHFBLBXLLGKP-ADEWGFFLSA-N 0 3 304.381 4.247 20 0 DIADHN C[C@H](NCC(C)(C)C(C)(F)F)c1nc2c(s1)CCCC2 ZINC000866544438 1043222531 /nfs/dbraw/zinc/22/25/31/1043222531.db2.gz QZOPMDBIKVXIKK-JTQLQIEISA-N 0 3 302.434 4.354 20 0 DIADHN Cn1cc(C(F)F)c(CNC2CCC(c3ccccc3)CC2)n1 ZINC000866562707 1043224109 /nfs/dbraw/zinc/22/41/09/1043224109.db2.gz GJOSOYHRHYWYTL-UHFFFAOYSA-N 0 3 319.399 4.174 20 0 DIADHN Cc1csc([C@H](C)CN[C@H](c2ccccc2)c2ccccn2)n1 ZINC000186579345 1043229897 /nfs/dbraw/zinc/22/98/97/1043229897.db2.gz OKOAAGHCXHXZMC-RDTXWAMCSA-N 0 3 323.465 4.329 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H](c2ccccc2)c2ccccn2)n1 ZINC000186579284 1043230094 /nfs/dbraw/zinc/23/00/94/1043230094.db2.gz OKOAAGHCXHXZMC-KSSFIOAISA-N 0 3 323.465 4.329 20 0 DIADHN C[C@@H](N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1cncs1 ZINC000866606673 1043232455 /nfs/dbraw/zinc/23/24/55/1043232455.db2.gz DUWIPXFROCIFAG-XFJVYGCCSA-N 0 3 322.861 4.367 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccnc(Cl)c1 ZINC000866607928 1043232801 /nfs/dbraw/zinc/23/28/01/1043232801.db2.gz WJDPBGARQHCVAA-YUSALJHKSA-N 0 3 304.743 4.283 20 0 DIADHN C[C@@H](NCc1cc(COC(C)(C)C)on1)[C@@H](C)c1ccccc1 ZINC000186808553 1043234902 /nfs/dbraw/zinc/23/49/02/1043234902.db2.gz LSOIVBXTBARMDP-HUUCEWRRSA-N 0 3 316.445 4.272 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cc2cnccc2o1 ZINC000866610789 1043235047 /nfs/dbraw/zinc/23/50/47/1043235047.db2.gz WHGXSMCMDOSTIE-RAIGVLPGSA-N 0 3 310.319 4.376 20 0 DIADHN C[C@@H](NCc1cc(COC(C)(C)C)on1)[C@H](C)c1ccccc1 ZINC000186808566 1043235558 /nfs/dbraw/zinc/23/55/58/1043235558.db2.gz LSOIVBXTBARMDP-LSDHHAIUSA-N 0 3 316.445 4.272 20 0 DIADHN Cc1cncc([C@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)c1 ZINC000186847567 1043237635 /nfs/dbraw/zinc/23/76/35/1043237635.db2.gz DYNZNGNYSQGKDW-BBRMVZONSA-N 0 3 308.347 4.387 20 0 DIADHN CC(C)CC[C@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000427610071 1043239270 /nfs/dbraw/zinc/23/92/70/1043239270.db2.gz SOROVKFAVBNRJR-WDEREUQCSA-N 0 3 317.246 4.459 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)COC2)c1cc2cnccc2o1 ZINC000866622320 1043240183 /nfs/dbraw/zinc/24/01/83/1043240183.db2.gz YWMMLMYXIKMXRW-CHWSQXEVSA-N 0 3 308.381 4.270 20 0 DIADHN CC[C@H](C[C@@H](C)O)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000866634078 1043242423 /nfs/dbraw/zinc/24/24/23/1043242423.db2.gz NQZYEUFJKBDVQN-VNHYZAJKSA-N 0 3 304.459 4.010 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2cccc(C(C)(F)F)c2)cc1 ZINC000187383609 1043245681 /nfs/dbraw/zinc/24/56/81/1043245681.db2.gz RRAWUXMBANVLJV-UHFFFAOYSA-N 0 3 318.367 4.112 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCC2)c1)c1cccc(F)c1 ZINC000187744305 1043249071 /nfs/dbraw/zinc/24/90/71/1043249071.db2.gz JKUIUOCRDMQWQB-GOSISDBHSA-N 0 3 313.420 4.062 20 0 DIADHN CCC[C@@H](N[C@@H](CCO)c1ccc(OC)cc1)c1ccsc1 ZINC000187763879 1043249921 /nfs/dbraw/zinc/24/99/21/1043249921.db2.gz ZAYYFUAOGKVSEP-MSOLQXFVSA-N 0 3 319.470 4.311 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839534744 1043255505 /nfs/dbraw/zinc/25/55/05/1043255505.db2.gz GHCXOVSFAKBARZ-ZDUSSCGKSA-N 0 3 319.392 4.005 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000470001031 1043257898 /nfs/dbraw/zinc/25/78/98/1043257898.db2.gz WFPGURXAKCBFSZ-OAHLLOKOSA-N 0 3 323.362 4.101 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000339077247 1043261081 /nfs/dbraw/zinc/26/10/81/1043261081.db2.gz HORRAQGXJQNBHI-SFHVURJKSA-N 0 3 314.477 4.124 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4ncccc4c3)CC2)cc1 ZINC000121890956 1043265485 /nfs/dbraw/zinc/26/54/85/1043265485.db2.gz AXYOFIPDIJXVHG-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN CCCOc1ccc(CNCc2nccc3ccccc32)cc1 ZINC000067451095 1043269472 /nfs/dbraw/zinc/26/94/72/1043269472.db2.gz FQOQRVMDOZJYFS-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN C[C@H](N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1ccnn1C ZINC000192834000 1043275559 /nfs/dbraw/zinc/27/55/59/1043275559.db2.gz LDBIQENSNWOKDR-YVEFUNNKSA-N 0 3 303.837 4.266 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1ccnn1C ZINC000193066805 1043280999 /nfs/dbraw/zinc/28/09/99/1043280999.db2.gz PHRBHIVIGGURSG-BDJLRTHQSA-N 0 3 323.362 4.241 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(Cl)nc1)c1ccccc1 ZINC000193428500 1043284493 /nfs/dbraw/zinc/28/44/93/1043284493.db2.gz YVRFZJCIJVCWCT-BBRMVZONSA-N 0 3 304.821 4.163 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cccc(F)c1N)CC2 ZINC000895128695 1043290504 /nfs/dbraw/zinc/29/05/04/1043290504.db2.gz AZJBEBWPJHFMOF-UHFFFAOYSA-N 0 3 323.415 4.048 20 0 DIADHN C=Cn1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000194296651 1043290823 /nfs/dbraw/zinc/29/08/23/1043290823.db2.gz HEASILOHITWRML-QGZVFWFLSA-N 0 3 301.821 4.268 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCc1cccc(N)c1)CCCO2 ZINC000665233905 1043291519 /nfs/dbraw/zinc/29/15/19/1043291519.db2.gz SOQHGEXFCZEMSI-QGZVFWFLSA-N 0 3 316.832 4.234 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCc1cccc(N)c1 ZINC000665233221 1043292006 /nfs/dbraw/zinc/29/20/06/1043292006.db2.gz BTBYODVHILGERN-NSHDSACASA-N 0 3 303.475 4.182 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NCc1cccc(N)c1 ZINC000665233514 1043292802 /nfs/dbraw/zinc/29/28/02/1043292802.db2.gz JQGZJUQHYIRESI-QGZVFWFLSA-N 0 3 316.832 4.234 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000427694086 1043295274 /nfs/dbraw/zinc/29/52/74/1043295274.db2.gz IKRKSKCTHAHHAB-GARJFASQSA-N 0 3 317.246 4.459 20 0 DIADHN C[C@H]1CCC(C)(C)C[C@@H]1NCc1ncccc1Br ZINC000717480709 1043299573 /nfs/dbraw/zinc/29/95/73/1043299573.db2.gz CQXCQELPZKZEKK-AAEUAGOBSA-N 0 3 311.267 4.149 20 0 DIADHN COc1cc(CNCc2coc3ccccc23)ccc1Cl ZINC001202527863 1043311853 /nfs/dbraw/zinc/31/18/53/1043311853.db2.gz HIYDUDPENCAHLN-UHFFFAOYSA-N 0 3 301.773 4.385 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000427863309 1043311863 /nfs/dbraw/zinc/31/18/63/1043311863.db2.gz IGZJYYZERDPJGX-DLBZAZTESA-N 0 3 313.441 4.341 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc(N3CCCC3)nc2)c2ccccc21 ZINC000268236786 1043315950 /nfs/dbraw/zinc/31/59/50/1043315950.db2.gz WAZCIAKONAXZDN-KXBFYZLASA-N 0 3 307.441 4.020 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1OC(F)(F)F ZINC000427974388 1043319033 /nfs/dbraw/zinc/31/90/33/1043319033.db2.gz BKRLZOSOTKOYKD-RYUDHWBXSA-N 0 3 317.351 4.193 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc(-n2cccn2)cc1)C(C)C ZINC001168011139 1043321800 /nfs/dbraw/zinc/32/18/00/1043321800.db2.gz VSMJBGUDSBMVBF-IBGZPJMESA-N 0 3 320.440 4.063 20 0 DIADHN CC(C)OC1CC(N[C@@H](CC(F)(F)F)c2ccccc2)C1 ZINC000428103917 1043324216 /nfs/dbraw/zinc/32/42/16/1043324216.db2.gz PMESSABGJWLMTN-NRXISQOPSA-N 0 3 301.352 4.226 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000268730381 1043325786 /nfs/dbraw/zinc/32/57/86/1043325786.db2.gz BVQOFZNGTFPGJX-INIZCTEOSA-N 0 3 324.468 4.192 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccsc2)cc1OC ZINC000268748075 1043326742 /nfs/dbraw/zinc/32/67/42/1043326742.db2.gz GRHXCDBKUVOKIY-ZDUSSCGKSA-N 0 3 305.443 4.396 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1ccc(C)nc1 ZINC000268770343 1043328662 /nfs/dbraw/zinc/32/86/62/1043328662.db2.gz LIMYZXZJYMYSLX-ZDUSSCGKSA-N 0 3 324.453 4.068 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)COC3)c1 ZINC000268777732 1043328745 /nfs/dbraw/zinc/32/87/45/1043328745.db2.gz WITFQFTUJYEWJF-KGLIPLIRSA-N 0 3 324.424 4.087 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCc2c1cccc2OC ZINC000268770889 1043328920 /nfs/dbraw/zinc/32/89/20/1043328920.db2.gz SKALIKFLZCOHHG-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)CCc1ccc(Cl)cc1 ZINC000428218130 1043329734 /nfs/dbraw/zinc/32/97/34/1043329734.db2.gz LPCLXQRFSXDYQB-UHFFFAOYSA-N 0 3 306.837 4.434 20 0 DIADHN CC(C)c1nc(CN(CC2CCC2)[C@@H](C)c2ccccc2)n[nH]1 ZINC000428234111 1043329834 /nfs/dbraw/zinc/32/98/34/1043329834.db2.gz XPZZNVFTBXQGPN-HNNXBMFYSA-N 0 3 312.461 4.291 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](C)c1cccc(OC)c1)CCC2 ZINC000268798427 1043331536 /nfs/dbraw/zinc/33/15/36/1043331536.db2.gz PDGOGMPLCUQPMH-DOMZBBRYSA-N 0 3 316.470 4.442 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](C)c1cccc(OC)c1)CCC2 ZINC000268798430 1043332145 /nfs/dbraw/zinc/33/21/45/1043332145.db2.gz PDGOGMPLCUQPMH-WFASDCNBSA-N 0 3 316.470 4.442 20 0 DIADHN CC(C)O[C@@H](CN1CCC(C(F)(F)F)CC1)c1ccccc1 ZINC000428229185 1043332801 /nfs/dbraw/zinc/33/28/01/1043332801.db2.gz NOXXKPLRRXHYOV-INIZCTEOSA-N 0 3 315.379 4.427 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2sc(CC)nc2C)cc1 ZINC000268819191 1043332962 /nfs/dbraw/zinc/33/29/62/1043332962.db2.gz RIOKIEGBGFRYFR-LBPRGKRZSA-N 0 3 304.459 4.263 20 0 DIADHN C[C@H](N[C@@H]1CCCOc2ccccc21)c1ccc2c(c1)COC2 ZINC000268847610 1043335753 /nfs/dbraw/zinc/33/57/53/1043335753.db2.gz PYOXMNVMACEVOE-IFXJQAMLSA-N 0 3 309.409 4.281 20 0 DIADHN Cc1cccnc1[C@H](N[C@H](C)c1ccc2c(c1)COC2)C(C)C ZINC000268845334 1043336018 /nfs/dbraw/zinc/33/60/18/1043336018.db2.gz ATKPZRUFSFYPRR-DNVCBOLYSA-N 0 3 310.441 4.468 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cccc(N2CCCC2=O)c1 ZINC000268840523 1043336091 /nfs/dbraw/zinc/33/60/91/1043336091.db2.gz UDXNACOGAJGDRU-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN CCOCCOCc1cccc(CN[C@@H](C)c2ccsc2)c1 ZINC000268837115 1043336693 /nfs/dbraw/zinc/33/66/93/1043336693.db2.gz SJOWWLNZVYYYRL-HNNXBMFYSA-N 0 3 319.470 4.152 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H]1CCc2cc(N)ccc21 ZINC000665270278 1043343126 /nfs/dbraw/zinc/34/31/26/1043343126.db2.gz VHJNDVZURWLLPH-ZBEGNZNMSA-N 0 3 315.486 4.495 20 0 DIADHN CC[C@H](N[C@H]1CCc2cc(N)ccc21)c1cc(C)ccc1OC ZINC000665270227 1043344445 /nfs/dbraw/zinc/34/44/45/1043344445.db2.gz UKTBSMDBSNDCFQ-OALUTQOASA-N 0 3 310.441 4.314 20 0 DIADHN CO[C@@H](CNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC000520950326 1043345870 /nfs/dbraw/zinc/34/58/70/1043345870.db2.gz OVQZXAGPGNNCQY-HNNXBMFYSA-N 0 3 310.224 4.471 20 0 DIADHN c1cc(-c2nc(CN[C@H]3CCOC4(CCC4)C3)cs2)cs1 ZINC000268983649 1043346123 /nfs/dbraw/zinc/34/61/23/1043346123.db2.gz SDDZLOODTOZHJY-ZDUSSCGKSA-N 0 3 320.483 4.063 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1ccc(Cl)c(F)c1 ZINC000665270534 1043348545 /nfs/dbraw/zinc/34/85/45/1043348545.db2.gz ZUVCWTGLZXOCGO-BMLIUANNSA-N 0 3 304.796 4.399 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1ccsc1)c1cc2ccccc2o1 ZINC000269001315 1043350419 /nfs/dbraw/zinc/35/04/19/1043350419.db2.gz PGXNSKRVYQHYEZ-YVEFUNNKSA-N 0 3 301.411 4.053 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H](CCCO)c1ccccc1 ZINC000269003410 1043350703 /nfs/dbraw/zinc/35/07/03/1043350703.db2.gz PQTNFFLMKQIKED-LPHOPBHVSA-N 0 3 313.441 4.168 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@H](CCCO)c1ccccc1 ZINC000269003413 1043351123 /nfs/dbraw/zinc/35/11/23/1043351123.db2.gz PQTNFFLMKQIKED-VQIMIIECSA-N 0 3 313.441 4.168 20 0 DIADHN CC(C)CN(Cc1cncc(F)c1)[C@@H]1CCCc2cccnc21 ZINC000521014753 1043351461 /nfs/dbraw/zinc/35/14/61/1043351461.db2.gz WLGBJTWGYUTZGW-GOSISDBHSA-N 0 3 313.420 4.151 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)c(C)o1 ZINC000269066700 1043354049 /nfs/dbraw/zinc/35/40/49/1043354049.db2.gz YZWAZGOFFUJBIB-LSDHHAIUSA-N 0 3 309.413 4.494 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000269064917 1043355561 /nfs/dbraw/zinc/35/55/61/1043355561.db2.gz VJRBFARXXJJNSQ-SUMWQHHRSA-N 0 3 323.358 4.480 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000269064916 1043355584 /nfs/dbraw/zinc/35/55/84/1043355584.db2.gz VJRBFARXXJJNSQ-CXAGYDPISA-N 0 3 323.358 4.480 20 0 DIADHN Fc1cc(F)cc(C2CC(NCc3cnc(Cl)s3)C2)c1 ZINC000895152851 1043357504 /nfs/dbraw/zinc/35/75/04/1043357504.db2.gz HPXOTGSRQQFIBX-UHFFFAOYSA-N 0 3 314.788 4.111 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000269098766 1043357737 /nfs/dbraw/zinc/35/77/37/1043357737.db2.gz SQTHVSKQUGJTLZ-VIFPVBQESA-N 0 3 315.257 4.231 20 0 DIADHN Cc1ccccc1C(C)(C)[NH2+][C@H](c1nnc[n-]1)C1CCCCC1 ZINC000639211857 1043358633 /nfs/dbraw/zinc/35/86/33/1043358633.db2.gz YXIWZNKNBMBCAP-KRWDZBQOSA-N 0 3 312.461 4.259 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCO[C@H]2c2ccccc2)cs1 ZINC000269443541 1043364960 /nfs/dbraw/zinc/36/49/60/1043364960.db2.gz UAZUJFQSBPWKDI-XCRHUMRWSA-N 0 3 316.470 4.270 20 0 DIADHN CCCN(CC(=O)N1CCCC[C@@H]1C)[C@@H](CC)c1ccccc1 ZINC000521193499 1043369950 /nfs/dbraw/zinc/36/99/50/1043369950.db2.gz GMIXXXXMWPWWHI-HKUYNNGSSA-N 0 3 316.489 4.251 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)NCc1ccc(C)cc1 ZINC000521197636 1043375668 /nfs/dbraw/zinc/37/56/68/1043375668.db2.gz VHJOCZLZIOWIKU-HXUWFJFHSA-N 0 3 324.468 4.084 20 0 DIADHN C[C@@H](N[C@@H]1CCSc2c(F)cccc21)c1cccc(CO)c1 ZINC000270433231 1043387458 /nfs/dbraw/zinc/38/74/58/1043387458.db2.gz IPFJCOIRQWAHCD-SJKOYZFVSA-N 0 3 317.429 4.206 20 0 DIADHN CC(C)COc1ccc(CN[C@H](C)c2cccc(CO)c2)cc1 ZINC000270517838 1043387985 /nfs/dbraw/zinc/38/79/85/1043387985.db2.gz QUXSXAJSTLRKKQ-MRXNPFEDSA-N 0 3 313.441 4.065 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000521349165 1043388812 /nfs/dbraw/zinc/38/88/12/1043388812.db2.gz FRNOXZVPIAYVJM-DYVFJYSZSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(Cl)c(N)c(Cl)c1)c1cccnc1 ZINC000270547252 1043389906 /nfs/dbraw/zinc/38/99/06/1043389906.db2.gz ZDVIDIQCGZEZPV-ZJUUUORDSA-N 0 3 310.228 4.382 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000270669484 1043392952 /nfs/dbraw/zinc/39/29/52/1043392952.db2.gz WABNZXUCPAGZHB-VCTAVGKDSA-N 0 3 323.408 4.115 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2CN[C@@H](C)c2cscn2)cc1 ZINC000271113221 1043402354 /nfs/dbraw/zinc/40/23/54/1043402354.db2.gz HREQXTXUKTWJFM-ZVZYQTTQSA-N 0 3 316.470 4.270 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N[C@@H](C)c1cscn1 ZINC000271124047 1043403750 /nfs/dbraw/zinc/40/37/50/1043403750.db2.gz KFHURIYJCMOPHR-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cccc(C(=O)N(C)C)c1 ZINC000271138545 1043403813 /nfs/dbraw/zinc/40/38/13/1043403813.db2.gz NTYMWVXHJJBDQD-BEFAXECRSA-N 0 3 322.452 4.035 20 0 DIADHN CC[C@@H](N[C@H](C)c1sc(CCOC)nc1C)c1ccccc1 ZINC000271286849 1043408899 /nfs/dbraw/zinc/40/88/99/1043408899.db2.gz DKQJAGMIAGSUNJ-CZUORRHYSA-N 0 3 318.486 4.442 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cccc3nccn32)c1C ZINC000271331784 1043409522 /nfs/dbraw/zinc/40/95/22/1043409522.db2.gz ZUOHIURPRJPYSJ-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN Fc1ccc([C@H]2OCC[C@H]2NCc2ccccc2Cl)cc1F ZINC000557903824 1043411331 /nfs/dbraw/zinc/41/13/31/1043411331.db2.gz CCTWSQPWAUSLSY-IAGOWNOFSA-N 0 3 323.770 4.238 20 0 DIADHN Brc1ccc([C@@H](NC2CCC2)c2cccnc2)cc1 ZINC000925377668 1043414137 /nfs/dbraw/zinc/41/41/37/1043414137.db2.gz QZZNZWKPQLAALX-MRXNPFEDSA-N 0 3 317.230 4.076 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1ccc(Cl)cc1Cl ZINC000271430965 1043417648 /nfs/dbraw/zinc/41/76/48/1043417648.db2.gz BBZBJDSPYTUQOC-YMTOWFKASA-N 0 3 310.228 4.445 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C)c1ccnnc1 ZINC000925469763 1043420437 /nfs/dbraw/zinc/42/04/37/1043420437.db2.gz FPDVWVJLASTHFN-IYVPYFHTSA-N 0 3 301.821 4.178 20 0 DIADHN Cc1cc([C@@H](N[C@@H](C)c2cnc(C)nc2C)C2CC2)ccc1F ZINC000271471817 1043422102 /nfs/dbraw/zinc/42/21/02/1043422102.db2.gz INZHNRVOYHANMW-DJJJIMSYSA-N 0 3 313.420 4.343 20 0 DIADHN CC1(C)C[C@H](NCc2ccccc2CO)c2ccccc2S1 ZINC000872027751 1043422753 /nfs/dbraw/zinc/42/27/53/1043422753.db2.gz NCIRTQQNWPMBLE-KRWDZBQOSA-N 0 3 313.466 4.284 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2coc(-c3cccs3)n2)CCO1 ZINC000872029728 1043425546 /nfs/dbraw/zinc/42/55/46/1043425546.db2.gz YIPYRAVIXPAIQL-WFASDCNBSA-N 0 3 320.458 4.086 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2cc(-c3ccccc3)on2)CCO1 ZINC000872030865 1043425789 /nfs/dbraw/zinc/42/57/89/1043425789.db2.gz JXGOJMVGMCLHCA-QAPCUYQASA-N 0 3 314.429 4.025 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2nc(-c3ccccc3)cs2)CCO1 ZINC000271500257 1043425971 /nfs/dbraw/zinc/42/59/71/1043425971.db2.gz KKNRQRFCXQCSKF-WBVHZDCISA-N 0 3 316.470 4.103 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1ccoc1C)CCCC2 ZINC000872029162 1043426995 /nfs/dbraw/zinc/42/69/95/1043426995.db2.gz WBIXZRBVCJONLS-QGZVFWFLSA-N 0 3 315.413 4.163 20 0 DIADHN CCCCN(CC(=O)N1CCC(C)CC1)[C@@H](C)c1ccccc1 ZINC000558062585 1043428088 /nfs/dbraw/zinc/42/80/88/1043428088.db2.gz FPXSBUPSOFLMOV-SFHVURJKSA-N 0 3 316.489 4.108 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(-n3cccn3)cc2)c1 ZINC000271518575 1043428276 /nfs/dbraw/zinc/42/82/76/1043428276.db2.gz PANHOLOOSHYCOW-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CC(C)COC[C@H](N[C@H]1CCO[C@@H](C(C)(C)C)C1)c1ccco1 ZINC000872032135 1043428528 /nfs/dbraw/zinc/42/85/28/1043428528.db2.gz XMJVVNJPARSIOE-XYJFISCASA-N 0 3 323.477 4.177 20 0 DIADHN CCCN(CC(=O)Nc1cccc(Cl)c1Cl)CC(C)C ZINC000558060802 1043429250 /nfs/dbraw/zinc/42/92/50/1043429250.db2.gz OZMKRIILHTXHEZ-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2cc(O)ccc2F)cs1 ZINC000872031693 1043429510 /nfs/dbraw/zinc/42/95/10/1043429510.db2.gz SXZNCKJPDMVJCT-NSHDSACASA-N 0 3 308.422 4.005 20 0 DIADHN C[C@H]([NH2+]C[C@@H]1CCCO[C@H]1C(C)(C)C)c1cccc([O-])c1F ZINC000872039251 1043431733 /nfs/dbraw/zinc/43/17/33/1043431733.db2.gz RKISJBQMRSGWCM-GDZNZVCISA-N 0 3 309.425 4.023 20 0 DIADHN CC[C@H](CCC(F)(F)F)NCc1csc([C@H](C)OC)n1 ZINC000872041865 1043433119 /nfs/dbraw/zinc/43/31/19/1043433119.db2.gz LKOXSOMKOPOIMC-VHSXEESVSA-N 0 3 310.385 4.061 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3cncc(F)c3)CC2)cc1 ZINC000558095622 1043437588 /nfs/dbraw/zinc/43/75/88/1043437588.db2.gz VDMHIWYDGHJVPW-HNNXBMFYSA-N 0 3 302.368 4.130 20 0 DIADHN c1ccc2cc(OCCN3CCC[C@H]3c3cccnc3)ccc2c1 ZINC000558102268 1043437965 /nfs/dbraw/zinc/43/79/65/1043437965.db2.gz UEUHFEWLOHLUFW-NRFANRHFSA-N 0 3 318.420 4.451 20 0 DIADHN Clc1ccc(OCCCN2CCC[C@H]2c2cccnc2)cc1 ZINC000558101980 1043438876 /nfs/dbraw/zinc/43/88/76/1043438876.db2.gz OKWDPGIZQSNYDA-SFHVURJKSA-N 0 3 316.832 4.341 20 0 DIADHN CC[C@H](N[C@H](C)c1cnccc1C)c1ccc(OC)c(OC)c1 ZINC000271606833 1043440587 /nfs/dbraw/zinc/44/05/87/1043440587.db2.gz VGLRTZIVLDRJNL-PBHICJAKSA-N 0 3 314.429 4.209 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H]2CCc3cccc(C)c32)c2ccccc21 ZINC000925637581 1043443432 /nfs/dbraw/zinc/44/34/32/1043443432.db2.gz NVYZNWIDWKVQRH-RBUKOAKNSA-N 0 3 320.436 4.070 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1ccnn1C1CCC1 ZINC000925672510 1043450282 /nfs/dbraw/zinc/45/02/82/1043450282.db2.gz VIAYNEOZQXXHJG-ACJLOTCBSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1cccc([C@H](NC2CC3(C2)CO[C@H](C)C3)c2ccccn2)c1 ZINC000925663493 1043450935 /nfs/dbraw/zinc/45/09/35/1043450935.db2.gz UFZSAKQRVXSFFM-YEGQGQEUSA-N 0 3 322.452 4.027 20 0 DIADHN CC(C)C[C@@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777572658 1043456969 /nfs/dbraw/zinc/45/69/69/1043456969.db2.gz MSUFNSKJQHMUTD-GOSISDBHSA-N 0 3 320.440 4.349 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@@H](C)CC1)c1ccc2ccccc2c1 ZINC000521710765 1043457174 /nfs/dbraw/zinc/45/71/74/1043457174.db2.gz OTLTWLFEXQGKKC-IAGOWNOFSA-N 0 3 324.468 4.139 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N[C@H](C)CN(C)Cc1ccccc1 ZINC001274637385 1043457204 /nfs/dbraw/zinc/45/72/04/1043457204.db2.gz WOSSYIVCAFREPE-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN Fc1cccc2c(CN3CCC[C@H](Oc4ccccc4)C3)c[nH]c21 ZINC001137056311 1043458304 /nfs/dbraw/zinc/45/83/04/1043458304.db2.gz PKGIEVMAKZRSLR-KRWDZBQOSA-N 0 3 324.399 4.350 20 0 DIADHN CC1(C)C[C@H](N[C@H]2CCCn3nccc32)c2ccccc2S1 ZINC000872094257 1043458685 /nfs/dbraw/zinc/45/86/85/1043458685.db2.gz KRIZJVPZUWLPQM-GJZGRUSLSA-N 0 3 313.470 4.323 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)c2)[C@@H]2CCC[C@H]2O1 ZINC000442968035 1043461657 /nfs/dbraw/zinc/46/16/57/1043461657.db2.gz QDTZLIHONSORSB-WOJBJXKFSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](NCc2ncc(Cl)s2)C1 ZINC000872114133 1043462034 /nfs/dbraw/zinc/46/20/34/1043462034.db2.gz VTHYKOICHNBQAP-OLZOCXBDSA-N 0 3 321.877 4.316 20 0 DIADHN C[C@@H](NCCC[C@H]1CCOC1=O)c1cc(Cl)sc1Cl ZINC000872112254 1043462575 /nfs/dbraw/zinc/46/25/75/1043462575.db2.gz HYAPAJCAPPYHRN-BDAKNGLRSA-N 0 3 322.257 4.049 20 0 DIADHN Clc1cnc(CN[C@H]2CCC[C@@H](c3cccnc3)C2)s1 ZINC000872112051 1043462660 /nfs/dbraw/zinc/46/26/60/1043462660.db2.gz HEPOPSAEKXGRTD-YPMHNXCESA-N 0 3 307.850 4.008 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3oc(=O)[nH]c3c2)c(C)s1 ZINC000872122049 1043466374 /nfs/dbraw/zinc/46/63/74/1043466374.db2.gz BCNHFGDMRXRVEH-JTQLQIEISA-N 0 3 302.399 4.063 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cc(N)ccn1)c1ccc(F)cc1 ZINC000925770054 1043466813 /nfs/dbraw/zinc/46/68/13/1043466813.db2.gz FMDMSAMZBUQJOT-QGZVFWFLSA-N 0 3 301.409 4.070 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCOc3c(F)cccc32)c(F)c1 ZINC000443002741 1043466897 /nfs/dbraw/zinc/46/68/97/1043466897.db2.gz SDYPYIVCEFUXSZ-YATRRXNKSA-N 0 3 319.326 4.231 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccc3oc(=O)[nH]c3c2)c2ccccc21 ZINC000872122370 1043466969 /nfs/dbraw/zinc/46/69/69/1043466969.db2.gz FEKRZBKDLIZAHW-WBMJQRKESA-N 0 3 308.381 4.262 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCc2cc(N)ccn2)cc1 ZINC000925769917 1043467321 /nfs/dbraw/zinc/46/73/21/1043467321.db2.gz CPXWFWIAUUXMIL-CYBMUJFWSA-N 0 3 301.459 4.015 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1nc(-c2ccncc2)cs1 ZINC000872121578 1043467348 /nfs/dbraw/zinc/46/73/48/1043467348.db2.gz NGSFEAHHOZACCN-JTQLQIEISA-N 0 3 315.364 4.026 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc3oc(=O)[nH]c3c2)s1 ZINC000872123683 1043468775 /nfs/dbraw/zinc/46/87/75/1043468775.db2.gz UITWZROVGWLNFP-JTQLQIEISA-N 0 3 302.399 4.008 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cccc3ncccc23)s1 ZINC000872124361 1043468804 /nfs/dbraw/zinc/46/88/04/1043468804.db2.gz LAMSBRHKIAAZLG-GFCCVEGCSA-N 0 3 311.454 4.413 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccs1 ZINC000558255451 1043473285 /nfs/dbraw/zinc/47/32/85/1043473285.db2.gz GQKVCKVMQAXEPD-HZPDHXFCSA-N 0 3 306.450 4.231 20 0 DIADHN C[C@H](Cc1ccsc1)N[C@H](C)c1nccn1-c1ccccc1 ZINC000558254953 1043473751 /nfs/dbraw/zinc/47/37/51/1043473751.db2.gz CYIBDDVWKCIOGJ-HUUCEWRRSA-N 0 3 311.454 4.216 20 0 DIADHN CO[C@@H]1CCN(CCCc2c(Cl)cccc2Cl)[C@H](C)C1 ZINC000443066048 1043473978 /nfs/dbraw/zinc/47/39/78/1043473978.db2.gz IVRGGEVYSLETGT-CHWSQXEVSA-N 0 3 316.272 4.425 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(F)cc1)c1nccn1-c1ccccc1 ZINC000558256755 1043473933 /nfs/dbraw/zinc/47/39/33/1043473933.db2.gz IIGQVVKQWNSPQB-JKSUJKDBSA-N 0 3 323.415 4.293 20 0 DIADHN CC1(C)Cc2cc(CN3CCC=C(c4ccncc4)C3)ccc2O1 ZINC000348851171 1043476260 /nfs/dbraw/zinc/47/62/60/1043476260.db2.gz XNLBTYVGXDLCLJ-UHFFFAOYSA-N 0 3 320.436 4.084 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1 ZINC000348898180 1043477380 /nfs/dbraw/zinc/47/73/80/1043477380.db2.gz XFNHYYLXNJZXCI-MRXNPFEDSA-N 0 3 322.330 4.317 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccc(F)cn1 ZINC000558275807 1043477376 /nfs/dbraw/zinc/47/73/76/1043477376.db2.gz BZZWFAPXCXSEBK-JEBQAFNWSA-N 0 3 314.404 4.117 20 0 DIADHN c1cc([C@@H]2CCCN2Cc2ccc(OCC3CC3)cc2)ccn1 ZINC000348894704 1043478834 /nfs/dbraw/zinc/47/88/34/1043478834.db2.gz OWAJHSWRSDCOET-FQEVSTJZSA-N 0 3 308.425 4.208 20 0 DIADHN c1cncc(-c2cccc(CN3CCC[C@H]3c3cccnc3)c2)c1 ZINC000348923613 1043479608 /nfs/dbraw/zinc/47/96/08/1043479608.db2.gz UOXRPXYAZZWOTC-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN C[C@@H](NCc1n[nH]c(-c2ccccc2)n1)c1ccc(Cl)s1 ZINC000777577113 1043482299 /nfs/dbraw/zinc/48/22/99/1043482299.db2.gz BSNXXPPTSHEPIG-SNVBAGLBSA-N 0 3 318.833 4.037 20 0 DIADHN C[C@@H](NCc1nc(-c2ccccc2)n[nH]1)c1ccc(Cl)s1 ZINC000777577113 1043482307 /nfs/dbraw/zinc/48/23/07/1043482307.db2.gz BSNXXPPTSHEPIG-SNVBAGLBSA-N 0 3 318.833 4.037 20 0 DIADHN CCCC[C@@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777579194 1043483956 /nfs/dbraw/zinc/48/39/56/1043483956.db2.gz SWNXSIBUSAOVHR-GOSISDBHSA-N 0 3 320.440 4.493 20 0 DIADHN FC(F)COc1ccc(CN2CCC[C@H]2c2cccnc2)cc1 ZINC000348937948 1043484078 /nfs/dbraw/zinc/48/40/78/1043484078.db2.gz XFJWQMQWLBFCBS-KRWDZBQOSA-N 0 3 318.367 4.063 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000777577999 1043485225 /nfs/dbraw/zinc/48/52/25/1043485225.db2.gz GIVZZWHNKWMAQR-PBHICJAKSA-N 0 3 318.424 4.118 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1nnc(-c2ccccc2)[nH]1 ZINC000777577999 1043485235 /nfs/dbraw/zinc/48/52/35/1043485235.db2.gz GIVZZWHNKWMAQR-PBHICJAKSA-N 0 3 318.424 4.118 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC000521823333 1043488167 /nfs/dbraw/zinc/48/81/67/1043488167.db2.gz PWDFFVFVUCMBDC-ZHALLVOQSA-N 0 3 316.489 4.249 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000521823334 1043488237 /nfs/dbraw/zinc/48/82/37/1043488237.db2.gz PWDFFVFVUCMBDC-ZIFCJYIRSA-N 0 3 316.489 4.249 20 0 DIADHN CCn1ncc2c1CCC[C@@H]2N[C@H](C)c1cc2ccccc2[nH]1 ZINC000925886922 1043489335 /nfs/dbraw/zinc/48/93/35/1043489335.db2.gz NGOFZFMKECIYEO-DYVFJYSZSA-N 0 3 308.429 4.113 20 0 DIADHN CCCn1nc(C)c(CN2CCC[C@H]2c2cccs2)c1C ZINC000349612884 1043512894 /nfs/dbraw/zinc/51/28/94/1043512894.db2.gz HNWQJQGDIXYDBU-INIZCTEOSA-N 0 3 303.475 4.309 20 0 DIADHN c1ccc([C@@H](NCc2cccc3ncccc23)[C@@H]2CCCO2)cc1 ZINC000873028399 1043514423 /nfs/dbraw/zinc/51/44/23/1043514423.db2.gz LRIPWYUZTUDQDM-LEWJYISDSA-N 0 3 318.420 4.245 20 0 DIADHN Cc1cc(F)cc(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)c1 ZINC000443225716 1043516277 /nfs/dbraw/zinc/51/62/77/1043516277.db2.gz ORLJIMWJSZJIMA-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN Cc1ccc([C@@H](NCc2ccccc2-n2cccn2)C2CCC2)o1 ZINC000349780931 1043522564 /nfs/dbraw/zinc/52/25/64/1043522564.db2.gz XMWSHBYTVBINAS-FQEVSTJZSA-N 0 3 321.424 4.405 20 0 DIADHN CC[C@@H](C)Oc1cc(CNC2(C)CC(F)(F)C2)ccc1OC ZINC000873197803 1043526898 /nfs/dbraw/zinc/52/68/98/1043526898.db2.gz BSCYRDDXGXWALS-GFCCVEGCSA-N 0 3 313.388 4.150 20 0 DIADHN Cc1cccc([C@H](NCCCCOC(C)C)c2ccccn2)c1 ZINC000926129230 1043532930 /nfs/dbraw/zinc/53/29/30/1043532930.db2.gz ADLNEYMAMRETOF-FQEVSTJZSA-N 0 3 312.457 4.274 20 0 DIADHN C[C@H](CC1CCOCC1)CN1CCCC[C@H]1c1nccs1 ZINC000350004165 1043533757 /nfs/dbraw/zinc/53/37/57/1043533757.db2.gz BRAZLRIXRJTNIZ-ZBFHGGJFSA-N 0 3 308.491 4.123 20 0 DIADHN CCC[C@H](NCC[C@@H]1CCO[C@@H](C)C1)c1ncccc1Cl ZINC000926138235 1043533729 /nfs/dbraw/zinc/53/37/29/1043533729.db2.gz LSQIVFWAVJMPQR-LZWOXQAQSA-N 0 3 310.869 4.371 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1cc(N)cc(F)c1)CCS2 ZINC000873289369 1043534123 /nfs/dbraw/zinc/53/41/23/1043534123.db2.gz SRWDZRJDNISVHR-QGZVFWFLSA-N 0 3 316.445 4.351 20 0 DIADHN CSc1ccc([C@H](C)NCc2cc(N)cc(F)c2)cc1F ZINC000873289883 1043534601 /nfs/dbraw/zinc/53/46/01/1043534601.db2.gz ZYLVDIJKPMZFRS-JTQLQIEISA-N 0 3 308.397 4.120 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CCO[C@@H](C)C2)c2ccccn2)c1 ZINC000926133812 1043534557 /nfs/dbraw/zinc/53/45/57/1043534557.db2.gz FFJUMQKCQOCSME-WFXMLNOXSA-N 0 3 324.468 4.274 20 0 DIADHN CN(Cc1cnc(-c2ccncc2)s1)[C@H]1CCc2ccccc21 ZINC000349975873 1043534847 /nfs/dbraw/zinc/53/48/47/1043534847.db2.gz SOSMWPRHBBWTNU-SFHVURJKSA-N 0 3 321.449 4.324 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](C)c2nccs2)c2cccnc2)cc1 ZINC000926162853 1043535921 /nfs/dbraw/zinc/53/59/21/1043535921.db2.gz YMTXRBSSRCSAID-CRAIPNDOSA-N 0 3 323.465 4.329 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)CC1CCC(C)CC1 ZINC000350051625 1043537649 /nfs/dbraw/zinc/53/76/49/1043537649.db2.gz HPDNLZNGALZTPL-UHFFFAOYSA-N 0 3 302.462 4.000 20 0 DIADHN COCCC(C)(C)CN[C@@H](c1cccc(C)c1)c1ccccn1 ZINC000926169060 1043537658 /nfs/dbraw/zinc/53/76/58/1043537658.db2.gz XKGGMMPYFQOVAN-IBGZPJMESA-N 0 3 312.457 4.132 20 0 DIADHN Cc1nc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)cs1 ZINC000350255775 1043542096 /nfs/dbraw/zinc/54/20/96/1043542096.db2.gz UFDIPEBZQUBUMR-MRXNPFEDSA-N 0 3 302.418 4.316 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccnn1C1CCC1 ZINC000926269912 1043554332 /nfs/dbraw/zinc/55/43/32/1043554332.db2.gz ATJFJHLWFYOANZ-WBVHZDCISA-N 0 3 317.477 4.141 20 0 DIADHN CCOC1CC(CCN[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000926261423 1043554388 /nfs/dbraw/zinc/55/43/88/1043554388.db2.gz VNCCNJRFYXDUDZ-XTXLOEGASA-N 0 3 324.468 4.274 20 0 DIADHN CCC[C@@H](NCCC1CC(OCC)C1)c1ncccc1Cl ZINC000926261535 1043554709 /nfs/dbraw/zinc/55/47/09/1043554709.db2.gz WEPGDWILSFLOBQ-ZBCRRDGASA-N 0 3 310.869 4.371 20 0 DIADHN Cc1cc(F)cc(CN2CCC[C@H](Sc3ccncc3)C2)c1 ZINC001143590523 1043559648 /nfs/dbraw/zinc/55/96/48/1043559648.db2.gz XMLNGPRXIIROEX-SFHVURJKSA-N 0 3 316.445 4.286 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cnn(C2CCC2)c1)c1ccccc1 ZINC000926297340 1043559803 /nfs/dbraw/zinc/55/98/03/1043559803.db2.gz KAGZIYWTRVDARX-HNAYVOBHSA-N 0 3 313.445 4.037 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cnn(C2CCC2)c1)c1ccccc1 ZINC000926297343 1043560280 /nfs/dbraw/zinc/56/02/80/1043560280.db2.gz KAGZIYWTRVDARX-KXBFYZLASA-N 0 3 313.445 4.037 20 0 DIADHN CC(C)c1ccc([C@H](NCCC[C@@H](C)O)c2cccnc2)cc1 ZINC000926316289 1043561338 /nfs/dbraw/zinc/56/13/38/1043561338.db2.gz UWNGILNNOPUNEG-UZLBHIALSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1ccc([C@@H](NC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)cc1 ZINC000926330816 1043563376 /nfs/dbraw/zinc/56/33/76/1043563376.db2.gz KBNMHAJMDSVPJO-AQDPXUSMSA-N 0 3 324.493 4.496 20 0 DIADHN CCC[C@H](NCCc1cccc(C)n1)c1ncccc1Cl ZINC000926326704 1043563957 /nfs/dbraw/zinc/56/39/57/1043563957.db2.gz SXTONPDILFUPOC-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1Cl ZINC000124810730 1043569920 /nfs/dbraw/zinc/56/99/20/1043569920.db2.gz RDDBTKGXLRJVHA-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cc(C)sc1C ZINC000558680946 1043572001 /nfs/dbraw/zinc/57/20/01/1043572001.db2.gz LJZKWKVGFAGZSW-INIZCTEOSA-N 0 3 305.418 4.290 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCC(C)(C)Oc1cc(F)cc(F)c1 ZINC000926402487 1043574648 /nfs/dbraw/zinc/57/46/48/1043574648.db2.gz ULMOQOSKWFSPSK-SNVBAGLBSA-N 0 3 324.371 4.078 20 0 DIADHN Cc1cccc([C@@H](NC[C@@H]2CCCSC2)c2ccccn2)c1 ZINC000926431628 1043575648 /nfs/dbraw/zinc/57/56/48/1043575648.db2.gz PPQRJLDYNKNBQP-QFBILLFUSA-N 0 3 312.482 4.212 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(C)c(F)c(F)c2)C1 ZINC001143591980 1043575737 /nfs/dbraw/zinc/57/57/37/1043575737.db2.gz NUUMJXGYRFJNJC-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@H]1CCC[C@@H](OC(=O)C2CCN(Cc3ccccc3)CC2)C1 ZINC000727292715 1043575848 /nfs/dbraw/zinc/57/58/48/1043575848.db2.gz IQPGLKUGKYUCTL-QFBILLFUSA-N 0 3 315.457 4.021 20 0 DIADHN C[C@@H](NC[C@@H]1COc2ccccc21)c1cc(-c2ccccc2)no1 ZINC000926440750 1043578434 /nfs/dbraw/zinc/57/84/34/1043578434.db2.gz RTXWVOAYOVKKNC-GDBMZVCRSA-N 0 3 320.392 4.168 20 0 DIADHN Fc1ccc2oc(CNCC3(C(F)(F)F)CCC3)cc2c1 ZINC000353086177 1043582636 /nfs/dbraw/zinc/58/26/36/1043582636.db2.gz IWVBBPHWVBTVGK-UHFFFAOYSA-N 0 3 301.283 4.394 20 0 DIADHN C[S@](=O)Cc1ccc(CNCc2ccc3ccccc3c2)cc1 ZINC000353122722 1043584774 /nfs/dbraw/zinc/58/47/74/1043584774.db2.gz WJKALVGOBPCTBB-QHCPKHFHSA-N 0 3 323.461 4.008 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1ccccc1OC ZINC000353970832 1043586498 /nfs/dbraw/zinc/58/64/98/1043586498.db2.gz GPLYXXLCMGFXDS-GUYCJALGSA-N 0 3 309.413 4.373 20 0 DIADHN Cc1ccc(NC(=O)C[C@H]2CCC[C@H](C)C2)c(CN(C)C)c1 ZINC000522243003 1043586808 /nfs/dbraw/zinc/58/68/08/1043586808.db2.gz CISINUSGTAZEHH-HOCLYGCPSA-N 0 3 302.462 4.212 20 0 DIADHN CCC[C@@H](NCCCC1CCOCC1)c1ncccc1Cl ZINC000926494526 1043587118 /nfs/dbraw/zinc/58/71/18/1043587118.db2.gz HTEDCPQDPDYEDK-MRXNPFEDSA-N 0 3 310.869 4.373 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnccc1N(C)C)CCC2 ZINC000353136573 1043589238 /nfs/dbraw/zinc/58/92/38/1043589238.db2.gz BDIKTWCSLTXUCC-HXUWFJFHSA-N 0 3 323.484 4.438 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H]2CCC[C@H]2c2ccccc2)nn1 ZINC000926499760 1043589201 /nfs/dbraw/zinc/58/92/01/1043589201.db2.gz CCGDYDGJDFMKJD-JQHSSLGASA-N 0 3 312.461 4.094 20 0 DIADHN CC(C)c1ccc([C@H](NCC2=CCOCC2)c2cccnc2)cc1 ZINC000926502000 1043589457 /nfs/dbraw/zinc/58/94/57/1043589457.db2.gz BPOXGSLFSRBXRQ-NRFANRHFSA-N 0 3 322.452 4.231 20 0 DIADHN COc1ccccc1/C=C/CN[C@H]1CCOc2c(C)cccc21 ZINC000353222828 1043590151 /nfs/dbraw/zinc/59/01/51/1043590151.db2.gz HHKYXFFOFVCFNJ-YOCVDRLZSA-N 0 3 309.409 4.130 20 0 DIADHN Cc1ncsc1CCN[C@H](c1cccc(C)c1)c1ccccn1 ZINC000926515829 1043591114 /nfs/dbraw/zinc/59/11/14/1043591114.db2.gz MYBQMPRJLVVFMP-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN COc1ccc(CNCc2ccc3cc[nH]c3n2)c2ccccc12 ZINC000353206751 1043590972 /nfs/dbraw/zinc/59/09/72/1043590972.db2.gz GRTXHLPLOOUFBQ-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)c1ccc3n[nH]cc3c1)CCC2 ZINC000353971695 1043592592 /nfs/dbraw/zinc/59/25/92/1043592592.db2.gz LPIKFNCHGXDCOM-MEBBXXQBSA-N 0 3 312.442 4.056 20 0 DIADHN CC(C)(CO)CCNCc1c(Cl)ccc(Cl)c1Cl ZINC000395106325 1043593212 /nfs/dbraw/zinc/59/32/12/1043593212.db2.gz XBAHZUFAKDGXTM-UHFFFAOYSA-N 0 3 310.652 4.145 20 0 DIADHN C[C@H]([NH2+][C@H]1CCO[C@H](c2cccs2)C1)c1cc([O-])cc(F)c1 ZINC000926536616 1043596510 /nfs/dbraw/zinc/59/65/10/1043596510.db2.gz FPRQFAHPGMDSAS-PJODQICGSA-N 0 3 321.417 4.164 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(C)s2)cc1OC ZINC000353332608 1043600239 /nfs/dbraw/zinc/60/02/39/1043600239.db2.gz ZKTWAAOOAWKLJM-OAHLLOKOSA-N 0 3 317.454 4.411 20 0 DIADHN Cc1ccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000558792030 1043602367 /nfs/dbraw/zinc/60/23/67/1043602367.db2.gz WSYKYGPWVFQWCL-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1cc2cccc(F)c2o1 ZINC000474099154 1043604774 /nfs/dbraw/zinc/60/47/74/1043604774.db2.gz QTJQVRVAPNSWDN-RYUDHWBXSA-N 0 3 321.392 4.343 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CCC(C)(C)c3ccccc32)c1C ZINC000558794355 1043604934 /nfs/dbraw/zinc/60/49/34/1043604934.db2.gz SNJJDSDIJSVKSX-LJQANCHMSA-N 0 3 311.473 4.422 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(CO)c1)c1cc2cccc(F)c2o1 ZINC000474099382 1043605256 /nfs/dbraw/zinc/60/52/56/1043605256.db2.gz PBGKBWLPBRNPKQ-STQMWFEESA-N 0 3 313.372 4.476 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2cccc(F)c2o1)c1cccc(CO)c1 ZINC000474099377 1043605269 /nfs/dbraw/zinc/60/52/69/1043605269.db2.gz PBGKBWLPBRNPKQ-OLZOCXBDSA-N 0 3 313.372 4.476 20 0 DIADHN Clc1cccc2c1[C@H](NC[C@@H]1CC13CCSCC3)COC2 ZINC000926602306 1043605407 /nfs/dbraw/zinc/60/54/07/1043605407.db2.gz TUVKMEXNZCKUSA-DZGCQCFKSA-N 0 3 323.889 4.034 20 0 DIADHN C[C@@H]1CC[C@@H](c2ccccc2)N(Cc2cnn(CC(F)F)c2)C1 ZINC000353476199 1043609766 /nfs/dbraw/zinc/60/97/66/1043609766.db2.gz XPSHFXVMGMLHEG-PBHICJAKSA-N 0 3 319.399 4.121 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1cc(C)cc(Cl)c1 ZINC000353502134 1043611143 /nfs/dbraw/zinc/61/11/43/1043611143.db2.gz KTIPCRUPCAIABX-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN Cc1nc(CN2CCC[C@H](Cc3ccccc3)C2)c(C)s1 ZINC000443600460 1043614012 /nfs/dbraw/zinc/61/40/12/1043614012.db2.gz DCQAEGRFIUUFQH-QGZVFWFLSA-N 0 3 300.471 4.215 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(-n2cnnc2)c1)c1ccccc1F ZINC000926662503 1043614040 /nfs/dbraw/zinc/61/40/40/1043614040.db2.gz MUYMRZMVXQIVAI-IFXJQAMLSA-N 0 3 324.403 4.208 20 0 DIADHN C[C@@H](NC1(c2ccc(C(F)(F)F)cc2)CC1)c1cc[nH]c(=O)c1 ZINC000926658510 1043614636 /nfs/dbraw/zinc/61/46/36/1043614636.db2.gz BYESMAVAWJOWQJ-LLVKDONJSA-N 0 3 322.330 4.146 20 0 DIADHN CC[C@@H](CN[C@H]1CCCOc2c(Cl)c(Cl)ccc21)OC ZINC000353536796 1043615596 /nfs/dbraw/zinc/61/55/96/1043615596.db2.gz CFUPSWYNVXTRFW-GWCFXTLKSA-N 0 3 318.244 4.222 20 0 DIADHN C[C@H](NCCCOC(C)(C)C)c1cc(-c2ccccc2)no1 ZINC000926701200 1043617545 /nfs/dbraw/zinc/61/75/45/1043617545.db2.gz VDLYYKFFTRNMIS-AWEZNQCLSA-N 0 3 302.418 4.197 20 0 DIADHN CO[C@]1(C)CCCN(Cc2nc(C)c(C(C)(C)C)s2)CC1 ZINC000930289782 1043618944 /nfs/dbraw/zinc/61/89/44/1043618944.db2.gz UWSCSPIEWOHGQY-QGZVFWFLSA-N 0 3 310.507 4.140 20 0 DIADHN C[C@H](NCCC1SCCS1)c1cc(-c2ccccc2)no1 ZINC000926727767 1043623305 /nfs/dbraw/zinc/62/33/05/1043623305.db2.gz MWAMFVIQQDDBCG-LBPRGKRZSA-N 0 3 320.483 4.188 20 0 DIADHN Cc1nc(CN2CCC[C@H]2CCc2ccccc2)c(C)s1 ZINC000443625119 1043624781 /nfs/dbraw/zinc/62/47/81/1043624781.db2.gz HNOQBTVKPGWTDS-KRWDZBQOSA-N 0 3 300.471 4.357 20 0 DIADHN COC[C@H]1CCCN([C@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000443626134 1043625512 /nfs/dbraw/zinc/62/55/12/1043625512.db2.gz KSVZAKUDEVHYND-ZBEGNZNMSA-N 0 3 314.256 4.339 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2sc(C)nc2C)cc1C ZINC000353632230 1043626720 /nfs/dbraw/zinc/62/67/20/1043626720.db2.gz OLUCKASRPMOICN-ZDUSSCGKSA-N 0 3 304.459 4.270 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@@H](C)c2coc3ccccc32)cc1 ZINC000926756519 1043626961 /nfs/dbraw/zinc/62/69/61/1043626961.db2.gz WHYLARFIIGFRJD-AWEZNQCLSA-N 0 3 322.408 4.266 20 0 DIADHN CC[C@H](N[C@H](C)c1cn[nH]c1)c1ccc(OC(F)(F)F)cc1 ZINC000353643814 1043627595 /nfs/dbraw/zinc/62/75/95/1043627595.db2.gz KYKOVSJTHJSACR-YGRLFVJLSA-N 0 3 313.323 4.110 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)c(C)s1 ZINC000443633589 1043628668 /nfs/dbraw/zinc/62/86/68/1043628668.db2.gz VPYIBVJZPCVZNF-DYVFJYSZSA-N 0 3 300.471 4.386 20 0 DIADHN Cn1cc(CN2CCC3(CCC3)C2)c(-c2ccccc2Cl)n1 ZINC000353681822 1043631673 /nfs/dbraw/zinc/63/16/73/1043631673.db2.gz CACJWVWEWRJTLO-UHFFFAOYSA-N 0 3 315.848 4.117 20 0 DIADHN COC(C)(C)CCN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926797870 1043635215 /nfs/dbraw/zinc/63/52/15/1043635215.db2.gz KETBLHNKEOXKMY-KRWDZBQOSA-N 0 3 318.848 4.229 20 0 DIADHN Cc1ccc([C@@H](NCC[C@H](O)C2CCCC2)c2cccnc2)cc1 ZINC000926817283 1043637423 /nfs/dbraw/zinc/63/74/23/1043637423.db2.gz RYXJACLUONZQSU-LEWJYISDSA-N 0 3 324.468 4.010 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CCC[C@@]2(CCCOC2)C1 ZINC000353746622 1043638603 /nfs/dbraw/zinc/63/86/03/1043638603.db2.gz MHYAPNQTFQGDPA-GOSISDBHSA-N 0 3 320.502 4.193 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CN[C@H](c1nc(C2CC2)no1)C(C)C ZINC000443643478 1043639415 /nfs/dbraw/zinc/63/94/15/1043639415.db2.gz VRKPJLUIKVCQLL-IMJJTQAJSA-N 0 3 303.450 4.226 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c2cccnc2)cc1 ZINC000926825343 1043640108 /nfs/dbraw/zinc/64/01/08/1043640108.db2.gz LWJOPXITNBWNNO-WJMWBRGCSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C[C@@H](C)C1 ZINC000443644908 1043640395 /nfs/dbraw/zinc/64/03/95/1043640395.db2.gz ZIANCGZMMABUIP-STQMWFEESA-N 0 3 319.836 4.258 20 0 DIADHN C[C@H](NCc1cccc2[nH]ccc21)c1ccnn1CC1CCC1 ZINC000926818730 1043640355 /nfs/dbraw/zinc/64/03/55/1043640355.db2.gz ZUIZXMFJWIKAOF-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN CCCn1ccnc1CCCN(C)[C@@H](C)c1cc(F)ccc1F ZINC000191474388 1043641441 /nfs/dbraw/zinc/64/14/41/1043641441.db2.gz CTYQPPKNQVRBDW-AWEZNQCLSA-N 0 3 321.415 4.197 20 0 DIADHN CCCn1ccnc1CCCN(C)[C@H](C)c1cc(F)ccc1F ZINC000191474402 1043642579 /nfs/dbraw/zinc/64/25/79/1043642579.db2.gz CTYQPPKNQVRBDW-CQSZACIVSA-N 0 3 321.415 4.197 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@]12CCCO2)c1cc(-c2ccccc2)no1 ZINC000926863944 1043643534 /nfs/dbraw/zinc/64/35/34/1043643534.db2.gz DSSLJMHVOLJWFZ-ZMYBRWDISA-N 0 3 312.413 4.094 20 0 DIADHN CCc1cc2oc(=O)cc(CN3[C@@H](C)CC[C@@H]3C)c2cc1Cl ZINC001168024611 1043645793 /nfs/dbraw/zinc/64/57/93/1043645793.db2.gz CBBYWHBELVSLEM-RYUDHWBXSA-N 0 3 319.832 4.382 20 0 DIADHN C[C@H](NCc1ccc(C[S@@](C)=O)cc1)c1cccc(Cl)c1 ZINC000353812496 1043645748 /nfs/dbraw/zinc/64/57/48/1043645748.db2.gz GRMFWAOHGYAIER-YEJXKQKISA-N 0 3 321.873 4.069 20 0 DIADHN Clc1cccc2c1[C@@H](NCC1C[C@H]3CC[C@@H](C1)S3)COC2 ZINC000926880480 1043647799 /nfs/dbraw/zinc/64/77/99/1043647799.db2.gz SFTGFACUHLPQTJ-UJMBOELQSA-N 0 3 323.889 4.175 20 0 DIADHN CN(Cc1cc2ccnc(Cl)c2s1)CC1=CCSC1 ZINC000895349833 1043648584 /nfs/dbraw/zinc/64/85/84/1043648584.db2.gz JLMBQGAEFKYUTG-UHFFFAOYSA-N 0 3 310.875 4.055 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)CCC(F)(F)F)co2)cc1 ZINC000926899749 1043649912 /nfs/dbraw/zinc/64/99/12/1043649912.db2.gz KLNGVZRSSYGHMU-GFCCVEGCSA-N 0 3 312.335 4.471 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000926897424 1043650556 /nfs/dbraw/zinc/65/05/56/1043650556.db2.gz JAFKEONLPOCYSK-VVYDWRJNSA-N 0 3 319.445 4.283 20 0 DIADHN CC(C)CC[C@@H](NCc1cc(COC(C)(C)C)on1)C1CC1 ZINC000558968005 1043653724 /nfs/dbraw/zinc/65/37/24/1043653724.db2.gz FXZZUEZVWIVHFB-QGZVFWFLSA-N 0 3 308.466 4.294 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000353901045 1043654516 /nfs/dbraw/zinc/65/45/16/1043654516.db2.gz PLVQEPHJSGAOMX-AEFFLSMTSA-N 0 3 318.486 4.317 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1cnn3ccccc13)CCS2 ZINC000353883057 1043654614 /nfs/dbraw/zinc/65/46/14/1043654614.db2.gz SMBBFSMAXILODL-QGZVFWFLSA-N 0 3 323.465 4.278 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc(C(F)F)nc1 ZINC000926921024 1043655984 /nfs/dbraw/zinc/65/59/84/1043655984.db2.gz UJJMTSXUGWMEQT-NEPJUHHUSA-N 0 3 318.367 4.193 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1cnc2ccsc2c1 ZINC000926924092 1043657629 /nfs/dbraw/zinc/65/76/29/1043657629.db2.gz WHXGLXKHCZXVEZ-CHWSQXEVSA-N 0 3 324.449 4.471 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccccc1-n1cccn1 ZINC001137100559 1043660079 /nfs/dbraw/zinc/66/00/79/1043660079.db2.gz DJHCPRGVBVCYMW-MRXNPFEDSA-N 0 3 321.424 4.074 20 0 DIADHN Cc1nc2c(cccc2CCN[C@@H](C)c2nc(C)sc2C)o1 ZINC000926971525 1043663281 /nfs/dbraw/zinc/66/32/81/1043663281.db2.gz HMPDECOPFOLEIQ-JTQLQIEISA-N 0 3 315.442 4.103 20 0 DIADHN C[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1ccc(Cl)cn1 ZINC000926973278 1043663466 /nfs/dbraw/zinc/66/34/66/1043663466.db2.gz JULXQPSFAILHHS-SGIREYDYSA-N 0 3 322.786 4.435 20 0 DIADHN Cc1ccc(CCCN[C@@H](c2ccccc2)c2ccccn2)cn1 ZINC000353940553 1043664372 /nfs/dbraw/zinc/66/43/72/1043664372.db2.gz CKQKMHNBWZZHGE-NRFANRHFSA-N 0 3 317.436 4.097 20 0 DIADHN C[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1ccc(Cl)cn1 ZINC000926973275 1043664443 /nfs/dbraw/zinc/66/44/43/1043664443.db2.gz JULXQPSFAILHHS-DJSGYFEHSA-N 0 3 322.786 4.435 20 0 DIADHN CCn1cc(CN[C@@H](CCC(C)C)c2ccc(OC)cc2)cn1 ZINC000353957261 1043667694 /nfs/dbraw/zinc/66/76/94/1043667694.db2.gz OSHUYSZVMFWUGX-IBGZPJMESA-N 0 3 315.461 4.179 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](C)[C@@H]2c2cccc(F)c2)s1 ZINC000353988894 1043668839 /nfs/dbraw/zinc/66/88/39/1043668839.db2.gz YNFGIFOKIMHSPN-APPDUMDISA-N 0 3 304.434 4.482 20 0 DIADHN CC(=O)Nc1ccc(CN2CC3(CCC3)[C@@H]2c2ccccc2)cc1 ZINC000353988273 1043669757 /nfs/dbraw/zinc/66/97/57/1043669757.db2.gz UMBDXSOLTKGYPS-FQEVSTJZSA-N 0 3 320.436 4.372 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2cc3ccccc3o2)c2cccnc2)CCO1 ZINC000354021792 1043672699 /nfs/dbraw/zinc/67/26/99/1043672699.db2.gz ZOAATGMTKPZBJE-LIVBEALHSA-N 0 3 322.408 4.074 20 0 DIADHN Cc1noc2ncc(CNCc3ccccc3CC(C)C)cc12 ZINC000895389059 1043673072 /nfs/dbraw/zinc/67/30/72/1043673072.db2.gz MIDUJSBCCXOFMO-UHFFFAOYSA-N 0 3 309.413 4.020 20 0 DIADHN c1ccc([C@@H](C[C@H]2CCOC2)N[C@@H]2CCCc3cccnc32)cc1 ZINC000927059377 1043675938 /nfs/dbraw/zinc/67/59/38/1043675938.db2.gz DQZBYMTWVZTTDH-NSISKUIASA-N 0 3 322.452 4.217 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1cnn(C)c1 ZINC000927065227 1043679101 /nfs/dbraw/zinc/67/91/01/1043679101.db2.gz FWRAFQVJFKELKR-LRDDRELGSA-N 0 3 323.387 4.069 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1cnn(C)c1 ZINC000927065226 1043679398 /nfs/dbraw/zinc/67/93/98/1043679398.db2.gz FWRAFQVJFKELKR-BLLLJJGKSA-N 0 3 323.387 4.069 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cncs2)C2CCC2)cc1 ZINC000927066372 1043679456 /nfs/dbraw/zinc/67/94/56/1043679456.db2.gz MDQPXGMBJOBCBG-PXAZEXFGSA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1cnn(C)c1 ZINC000927065442 1043679686 /nfs/dbraw/zinc/67/96/86/1043679686.db2.gz HIRCVMOUYBSKIW-LRDDRELGSA-N 0 3 323.387 4.069 20 0 DIADHN CC(C)[C@@H](N[C@H]1CC(C)(C)Cc2occc21)c1cnn(C)c1 ZINC000927069632 1043681170 /nfs/dbraw/zinc/68/11/70/1043681170.db2.gz LWTAFRLDXYRFLP-DOTOQJQBSA-N 0 3 301.434 4.014 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C2CC2)[C@H]2CCCOC2)cs1 ZINC000927069400 1043681347 /nfs/dbraw/zinc/68/13/47/1043681347.db2.gz MGUPZKRQHLMUHZ-NOLJZWGESA-N 0 3 308.491 4.122 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc(C)c1F ZINC000927069755 1043682917 /nfs/dbraw/zinc/68/29/17/1043682917.db2.gz NEKNZODQCWFTOY-KBXCAEBGSA-N 0 3 303.425 4.224 20 0 DIADHN Fc1cc2cccnc2c(CN2CCS[C@H]3CCCC[C@@H]32)c1 ZINC000354121145 1043683591 /nfs/dbraw/zinc/68/35/91/1043683591.db2.gz QUVOMMOZOSLVKA-IRXDYDNUSA-N 0 3 316.445 4.234 20 0 DIADHN CC(C)COc1ccc(CN2CCC(OCC3CC3)CC2)cc1 ZINC000354129059 1043684721 /nfs/dbraw/zinc/68/47/21/1043684721.db2.gz YMBAZGCKSHZSFT-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cc(C3CC3)nn2C)c2ccccc21 ZINC000927100022 1043687132 /nfs/dbraw/zinc/68/71/32/1043687132.db2.gz FQRLMXZZDMSTQM-AZUAARDMSA-N 0 3 309.457 4.272 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1nccc2ccccc21 ZINC000895462392 1043689434 /nfs/dbraw/zinc/68/94/34/1043689434.db2.gz OOBFMMBSQRSSTB-HUUCEWRRSA-N 0 3 306.331 4.222 20 0 DIADHN Clc1ccccc1C[C@@H]1CCCCCN1Cc1cncnc1 ZINC000354243941 1043689664 /nfs/dbraw/zinc/68/96/64/1043689664.db2.gz QAIBMPVBJUZYBY-KRWDZBQOSA-N 0 3 315.848 4.117 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CCc3ccc(Cl)nc32)CCCC1 ZINC000876500961 1043692315 /nfs/dbraw/zinc/69/23/15/1043692315.db2.gz WZOFWGAMDMJOBE-NSHDSACASA-N 0 3 318.770 4.435 20 0 DIADHN COc1ccc(CN[C@H](C)c2csc(C)c2)c(C)c1OC ZINC000927123398 1043692603 /nfs/dbraw/zinc/69/26/03/1043692603.db2.gz PTFORTWVEDBWAQ-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(OC)c1C)c1ccsc1 ZINC000927121081 1043692915 /nfs/dbraw/zinc/69/29/15/1043692915.db2.gz BIGFUHPZQZILQX-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2c3ccccc3CC[C@H]2O)c(C)s1 ZINC000927144188 1043699131 /nfs/dbraw/zinc/69/91/31/1043699131.db2.gz RBKGCFUYAPKELV-PJSAGSTRSA-N 0 3 301.455 4.064 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2c3ccccc3CC[C@H]2O)c(C)s1 ZINC000927144191 1043699945 /nfs/dbraw/zinc/69/99/45/1043699945.db2.gz RBKGCFUYAPKELV-RZAIGCCYSA-N 0 3 301.455 4.064 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC1([C@H]2CCCCO2)CC1 ZINC000927161565 1043702015 /nfs/dbraw/zinc/70/20/15/1043702015.db2.gz QFBNAYGASDRAKL-ZIAGYGMSSA-N 0 3 308.491 4.327 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)N[C@H]2CCCSC2)cc1 ZINC000783524643 1043704826 /nfs/dbraw/zinc/70/48/26/1043704826.db2.gz IOGNASTUXZYKAU-STQMWFEESA-N 0 3 307.356 4.304 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2CCOCC(F)(F)F)c1 ZINC000474227190 1043705612 /nfs/dbraw/zinc/70/56/12/1043705612.db2.gz CDVWQACPRRCPHK-HNNXBMFYSA-N 0 3 301.352 4.019 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@@H](OCC2CC2)C1 ZINC000559154633 1043705650 /nfs/dbraw/zinc/70/56/50/1043705650.db2.gz GAQBHHYYBOOTJE-GOSISDBHSA-N 0 3 316.489 4.158 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc3c(cc[nH]c3=O)o2)c1C ZINC000876765079 1043708295 /nfs/dbraw/zinc/70/82/95/1043708295.db2.gz ZFYSAVIMZYZOLO-GOSISDBHSA-N 0 3 322.408 4.487 20 0 DIADHN CC1(C)CN(Cc2cnc([C@@H]3CCCO3)s2)[C@@H]2CCC[C@@H]21 ZINC000354579399 1043709720 /nfs/dbraw/zinc/70/97/20/1043709720.db2.gz MWJUYVZTCASVLG-ZNMIVQPWSA-N 0 3 306.475 4.005 20 0 DIADHN CC1(C)CN(Cc2cnc([C@@H]3CCCO3)s2)[C@H]2CCC[C@H]21 ZINC000354579390 1043710598 /nfs/dbraw/zinc/71/05/98/1043710598.db2.gz MWJUYVZTCASVLG-ILXRZTDVSA-N 0 3 306.475 4.005 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCc3cc(Cl)ccc32)ccc1O ZINC000777619239 1043711223 /nfs/dbraw/zinc/71/12/23/1043711223.db2.gz FYJHEMKUDBLBME-MRXNPFEDSA-N 0 3 317.816 4.174 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2Cc2cc3c(cc[nH]c3=O)o2)c1 ZINC000876773261 1043711550 /nfs/dbraw/zinc/71/15/50/1043711550.db2.gz XXISLXRXTKPHSR-GOSISDBHSA-N 0 3 322.408 4.487 20 0 DIADHN CC[C@@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(C)c(F)c1 ZINC000093113669 1043716506 /nfs/dbraw/zinc/71/65/06/1043716506.db2.gz CXPUJWLRABCCQU-GCJKJVERSA-N 0 3 319.445 4.112 20 0 DIADHN Cc1nc(CCN2[C@H](C)c3ccc(F)cc3C[C@@H]2C)cs1 ZINC000354830173 1043720795 /nfs/dbraw/zinc/72/07/95/1043720795.db2.gz JRIVFZYZRMLUQB-NWDGAFQWSA-N 0 3 304.434 4.141 20 0 DIADHN CCC[C@H](C)NCc1coc(-c2cccc(Br)c2)n1 ZINC001257315188 1043721029 /nfs/dbraw/zinc/72/10/29/1043721029.db2.gz WDRSWPAPNLSGLH-NSHDSACASA-N 0 3 323.234 4.382 20 0 DIADHN C[C@H](CCN(C)Cc1cc(Cl)cn1C)OCc1ccccc1 ZINC000354817962 1043721565 /nfs/dbraw/zinc/72/15/65/1043721565.db2.gz KITRDSBGUBUFRA-OAHLLOKOSA-N 0 3 320.864 4.106 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCc1ccccc1Cl ZINC000474280629 1043724088 /nfs/dbraw/zinc/72/40/88/1043724088.db2.gz OAIVUYSYSFCCOF-UHFFFAOYSA-N 0 3 319.861 4.032 20 0 DIADHN CCCOc1ccc(CNCc2cccc(Cl)c2N)cc1 ZINC000876941873 1043725786 /nfs/dbraw/zinc/72/57/86/1043725786.db2.gz QMLZCOJMNAWYBK-UHFFFAOYSA-N 0 3 304.821 4.001 20 0 DIADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1ccc(C(F)(F)F)nc1Cl ZINC000877551131 1043774070 /nfs/dbraw/zinc/77/40/70/1043774070.db2.gz WJEWAFLQFBIIRI-VGMNWLOBSA-N 0 3 324.799 4.298 20 0 DIADHN Cc1cc(CNCc2ccc3cccnc3c2)c2c(n1)CCCC2 ZINC000895739264 1043741371 /nfs/dbraw/zinc/74/13/71/1043741371.db2.gz ZMJFXTDBTAIWGO-UHFFFAOYSA-N 0 3 317.436 4.107 20 0 DIADHN ClC1(Cl)C[C@@H]1CN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000877094066 1043742673 /nfs/dbraw/zinc/74/26/73/1043742673.db2.gz GJODBJWLHMSDSC-YPMHNXCESA-N 0 3 312.240 4.216 20 0 DIADHN CCO[P@@](C)(=O)CC[C@H](C)NCc1nc(C(C)C)cs1 ZINC000877107997 1043744536 /nfs/dbraw/zinc/74/45/36/1043744536.db2.gz NUTHQALCMROAAN-HXPMCKFVSA-N 0 3 318.423 4.079 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1COC(C)(C)C)N(CC)CC ZINC000928395802 1043751189 /nfs/dbraw/zinc/75/11/89/1043751189.db2.gz OLTKTSPMTKBBDA-QGZVFWFLSA-N 0 3 320.477 4.061 20 0 DIADHN CC[C@H](NCC[C@H](C)OCc1ccccc1)c1nc(C)cs1 ZINC000355276584 1043754372 /nfs/dbraw/zinc/75/43/72/1043754372.db2.gz IFEMOPUMNQQYBT-RDJZCZTQSA-N 0 3 318.486 4.488 20 0 DIADHN CCCC(CCC)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001257330216 1043755918 /nfs/dbraw/zinc/75/59/18/1043755918.db2.gz GJVRDWSECPXVGE-UHFFFAOYSA-N 0 3 301.352 4.447 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(SC(F)F)cc2)cn1 ZINC000126475210 1043758427 /nfs/dbraw/zinc/75/84/27/1043758427.db2.gz ZCJOJXQRDCOVFH-NSHDSACASA-N 0 3 324.396 4.256 20 0 DIADHN Fc1cccc2[nH]c(CNCc3ccc4ncccc4c3)cc21 ZINC000355307333 1043760234 /nfs/dbraw/zinc/76/02/34/1043760234.db2.gz CTBDRRUMRHVWPK-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CSC(C)(C)CCN[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000877483450 1043766427 /nfs/dbraw/zinc/76/64/27/1043766427.db2.gz VYMWYEXDMNRJQD-MRVPVSSYSA-N 0 3 312.426 4.344 20 0 DIADHN CC(C)(CNCc1cc2c(ccnc2Cl)o1)C(F)(F)F ZINC000877502332 1043767913 /nfs/dbraw/zinc/76/79/13/1043767913.db2.gz FYERIFXUBVLAOT-UHFFFAOYSA-N 0 3 306.715 4.159 20 0 DIADHN CSCc1ccc(CNCc2cccc(Cl)c2N)cc1 ZINC000877518906 1043769920 /nfs/dbraw/zinc/76/99/20/1043769920.db2.gz NAQYQNOQCUFXTK-UHFFFAOYSA-N 0 3 306.862 4.075 20 0 DIADHN CCN(Cc1cnc(Br)s1)C1CCCCC1 ZINC000718883148 1043770965 /nfs/dbraw/zinc/77/09/65/1043770965.db2.gz ARNNMMAEZBARFH-UHFFFAOYSA-N 0 3 303.269 4.060 20 0 DIADHN Cn1c(CN[C@@H](c2ccccn2)C2CCC2)cc2ccccc21 ZINC000639365282 1043775919 /nfs/dbraw/zinc/77/59/19/1043775919.db2.gz JWNZEFUHEFMSBD-HXUWFJFHSA-N 0 3 305.425 4.204 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ncc(-c3ccccc3)s2)CC1 ZINC000355584927 1043779818 /nfs/dbraw/zinc/77/98/18/1043779818.db2.gz QJBXHEDKKSHDOA-GOSISDBHSA-N 0 3 316.470 4.201 20 0 DIADHN c1cnc2c(c1)CC[C@H]2N[C@H]1CCc2cccc3cccc1c32 ZINC000895929938 1043782956 /nfs/dbraw/zinc/78/29/56/1043782956.db2.gz ZODPPHOQOKTWOM-RBUKOAKNSA-N 0 3 300.405 4.499 20 0 DIADHN c1csc(CCCNCc2ccc(Oc3ccccc3)o2)n1 ZINC000355668226 1043783051 /nfs/dbraw/zinc/78/30/51/1043783051.db2.gz FOZCVMFRCZKKDV-UHFFFAOYSA-N 0 3 314.410 4.251 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000355666018 1043784055 /nfs/dbraw/zinc/78/40/55/1043784055.db2.gz YBHVWIUFZAUWTL-UKRRQHHQSA-N 0 3 308.491 4.253 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(CF)cc2)cc1OC ZINC000777723730 1043785218 /nfs/dbraw/zinc/78/52/18/1043785218.db2.gz JETMOHJFMMMSNN-CQSZACIVSA-N 0 3 317.404 4.333 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777736292 1043785734 /nfs/dbraw/zinc/78/57/34/1043785734.db2.gz COKOPFYXRLFFEI-ACJLOTCBSA-N 0 3 319.807 4.134 20 0 DIADHN CSC1(CNCc2cc(C(F)(F)F)cc(Cl)n2)CCC1 ZINC000877808168 1043799023 /nfs/dbraw/zinc/79/90/23/1043799023.db2.gz XDBMVHDSGJRWRZ-UHFFFAOYSA-N 0 3 324.799 4.129 20 0 DIADHN c1csc(CC2CCN(Cc3cncc4ccccc43)CC2)n1 ZINC000877673278 1043789027 /nfs/dbraw/zinc/78/90/27/1043789027.db2.gz FZONHCZSNDISAD-UHFFFAOYSA-N 0 3 323.465 4.146 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)C1 ZINC000929124909 1043792174 /nfs/dbraw/zinc/79/21/74/1043792174.db2.gz MKDYWDQDDDLBHM-MGPQQGTHSA-N 0 3 319.317 4.310 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)N[C@H](CF)c1ccc(F)cc1 ZINC000877759205 1043795152 /nfs/dbraw/zinc/79/51/52/1043795152.db2.gz YMZJCHDQAGUPRL-HUTJROTASA-N 0 3 319.332 4.149 20 0 DIADHN Cc1cc(CN[C@@H]2CCCO[C@H]2c2ccc(Cl)cc2)sn1 ZINC000877759536 1043795726 /nfs/dbraw/zinc/79/57/26/1043795726.db2.gz RFHSIDSUMGSYJY-CVEARBPZSA-N 0 3 322.861 4.115 20 0 DIADHN C[C@H](NCCc1cccc(F)c1)c1nc2ccccc2s1 ZINC000035143008 1043796752 /nfs/dbraw/zinc/79/67/52/1043796752.db2.gz MPGNJXONWCGOFG-LBPRGKRZSA-N 0 3 300.402 4.329 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3cnc(C(C)(C)C)s3)C2)n1 ZINC000877813798 1043802328 /nfs/dbraw/zinc/80/23/28/1043802328.db2.gz PGKHCPMUFFFJML-LBPRGKRZSA-N 0 3 321.515 4.195 20 0 DIADHN Cc1scc(CNCc2c[nH]nc2-c2ccsc2)c1C ZINC000631206824 1043806308 /nfs/dbraw/zinc/80/63/08/1043806308.db2.gz OBLXHTQQJDUARM-UHFFFAOYSA-N 0 3 303.456 4.106 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929293429 1043807672 /nfs/dbraw/zinc/80/76/72/1043807672.db2.gz WRYKJYCVBZJHKA-QZTJIDSGSA-N 0 3 316.395 4.341 20 0 DIADHN CCc1ncc(CN(Cc2ccc(OC)cc2)[C@H](C)C2CC2)o1 ZINC000929332304 1043812315 /nfs/dbraw/zinc/81/23/15/1043812315.db2.gz PIJAAJULXLJHBD-CQSZACIVSA-N 0 3 314.429 4.046 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC2(CCC2)[C@@H]1C1CC1 ZINC000356305238 1043830001 /nfs/dbraw/zinc/83/00/01/1043830001.db2.gz YPMUEUDSCXNYIO-FQEVSTJZSA-N 0 3 321.468 4.254 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC2(CCC2)[C@H]1C1CC1 ZINC000356305243 1043831850 /nfs/dbraw/zinc/83/18/50/1043831850.db2.gz YPMUEUDSCXNYIO-HXUWFJFHSA-N 0 3 321.468 4.254 20 0 DIADHN Cc1ccccc1[C@@H](C)CC(=O)Nc1cccc(CN(C)C)c1 ZINC000115991081 1043833524 /nfs/dbraw/zinc/83/35/24/1043833524.db2.gz PXJIZVZBYCSINV-INIZCTEOSA-N 0 3 310.441 4.189 20 0 DIADHN CCCCCC[C@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257371559 1043834355 /nfs/dbraw/zinc/83/43/55/1043834355.db2.gz IMSDELPWBZFBEL-NSHDSACASA-N 0 3 303.372 4.079 20 0 DIADHN C=C/C=C\CCN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000877989856 1043836114 /nfs/dbraw/zinc/83/61/14/1043836114.db2.gz GPOOGPXPVUFXIN-XCPMARJRSA-N 0 3 301.274 4.181 20 0 DIADHN C[C@H]1N(Cc2cscc2C(F)(F)F)CCC12CCOCC2 ZINC000356442670 1043839932 /nfs/dbraw/zinc/83/99/32/1043839932.db2.gz VGPFJJRKLPETFI-LLVKDONJSA-N 0 3 319.392 4.158 20 0 DIADHN Clc1cccc(OCCN2CCC[C@@H](c3nccs3)C2)c1 ZINC000356491704 1043843049 /nfs/dbraw/zinc/84/30/49/1043843049.db2.gz HVBUKTGFWRXEIO-CYBMUJFWSA-N 0 3 322.861 4.055 20 0 DIADHN Cc1ccc(CNCc2ccnn2-c2ccccc2C)c(C)c1 ZINC000474605499 1043845324 /nfs/dbraw/zinc/84/53/24/1043845324.db2.gz KWWULYGWVQVHAC-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CCc1ncc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)o1 ZINC000929882272 1043849062 /nfs/dbraw/zinc/84/90/62/1043849062.db2.gz MILDSFBRRHODPQ-HNNXBMFYSA-N 0 3 309.413 4.098 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2cnc(-c3ccccc3)o2)cc1 ZINC000929894860 1043851326 /nfs/dbraw/zinc/85/13/26/1043851326.db2.gz XSENEUVYTRCYDT-IBGZPJMESA-N 0 3 320.392 4.384 20 0 DIADHN FC(F)(F)C1CCN(C[C@@H]2CC[C@H](c3ccccc3)O2)CC1 ZINC000878149619 1043852310 /nfs/dbraw/zinc/85/23/10/1043852310.db2.gz ZVQUKBRCDLYRET-JKSUJKDBSA-N 0 3 313.363 4.181 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2cnc(-c3ccccc3)o2)cc1 ZINC000929894861 1043852912 /nfs/dbraw/zinc/85/29/12/1043852912.db2.gz XSENEUVYTRCYDT-LJQANCHMSA-N 0 3 320.392 4.384 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Br)nc2)c(C)c1 ZINC000289610738 1043854620 /nfs/dbraw/zinc/85/46/20/1043854620.db2.gz GJQZSJYEWUOXAV-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CC1(C)C[C@H](CN[C@@H](c2ccccc2)c2ccccn2)C(C)(C)O1 ZINC000878204669 1043858592 /nfs/dbraw/zinc/85/85/92/1043858592.db2.gz VZPZRZOADQOJET-MJGOQNOKSA-N 0 3 324.468 4.354 20 0 DIADHN CCC(CC)(NCc1[nH]c(C)nc1C)c1ccc(Cl)cc1 ZINC000929951868 1043859251 /nfs/dbraw/zinc/85/92/51/1043859251.db2.gz AECWEEFZTDUDNC-UHFFFAOYSA-N 0 3 305.853 4.485 20 0 DIADHN COc1ccc(C2(NCc3ccccc3OC)CCCC2)cc1 ZINC000116348187 1043863104 /nfs/dbraw/zinc/86/31/04/1043863104.db2.gz HLCJXZKSSAPTBE-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN CCCC[C@H](NCc1cncc(OC)c1)c1ccc(OC)cc1 ZINC000289692335 1043864468 /nfs/dbraw/zinc/86/44/68/1043864468.db2.gz DYFFHAPJYYCAOK-IBGZPJMESA-N 0 3 314.429 4.120 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCC[C@@H](c2ccccc2)O1 ZINC000878313176 1043870034 /nfs/dbraw/zinc/87/00/34/1043870034.db2.gz DTRAUOOVMGGVAA-UXPWSPDFSA-N 0 3 310.441 4.385 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Br)nc2)cc1 ZINC000289750059 1043872361 /nfs/dbraw/zinc/87/23/61/1043872361.db2.gz MNGATMUOFFFVJC-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCC[C@H](NCc1cc(C)nc(N2CCCC2)c1)c1cccnc1 ZINC000289758954 1043872945 /nfs/dbraw/zinc/87/29/45/1043872945.db2.gz LNCLZTUAXFVLAO-IBGZPJMESA-N 0 3 324.472 4.016 20 0 DIADHN C[C@H](c1cccnc1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930118718 1043878311 /nfs/dbraw/zinc/87/83/11/1043878311.db2.gz INCDSHGKHUUHTH-KBRIMQKVSA-N 0 3 302.368 4.123 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CC[C@H](O)c2ccccc2)s1 ZINC000289827477 1043881824 /nfs/dbraw/zinc/88/18/24/1043881824.db2.gz AKAFUIXEILQJIS-HOCLYGCPSA-N 0 3 318.486 4.130 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](c2ccccc2)O1)c1cncc(F)c1 ZINC000878402608 1043886473 /nfs/dbraw/zinc/88/64/73/1043886473.db2.gz HTWFMYYFTYQERI-JVPBZIDWSA-N 0 3 314.404 4.182 20 0 DIADHN C[C@@H](NCCSc1ccncc1)c1ccccc1OC(F)F ZINC000930194651 1043889318 /nfs/dbraw/zinc/88/93/18/1043889318.db2.gz XVGMZDMSWAUSJU-GFCCVEGCSA-N 0 3 324.396 4.126 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@@H](c2ccccc2)O1)c1c(C)noc1C ZINC000878415603 1043890018 /nfs/dbraw/zinc/89/00/18/1043890018.db2.gz ZTPZYOINIIFRNX-BZSNNMDCSA-N 0 3 314.429 4.252 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)N[C@@H](C)c2ccc(F)nc2)c1 ZINC000930197118 1043890209 /nfs/dbraw/zinc/89/02/09/1043890209.db2.gz DXPDNNBCHOXQJB-KGLIPLIRSA-N 0 3 302.393 4.420 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccccc2CF)cc1OC ZINC000930197460 1043891413 /nfs/dbraw/zinc/89/14/13/1043891413.db2.gz QXBXZRNTCVTTSO-CQSZACIVSA-N 0 3 317.404 4.333 20 0 DIADHN CCN(Cc1nc2ccccc2s1)[C@H]1CCOC(C)(C)C1 ZINC000289891586 1043893762 /nfs/dbraw/zinc/89/37/62/1043893762.db2.gz RXONFIJDUSKETK-ZDUSSCGKSA-N 0 3 304.459 4.076 20 0 DIADHN CCN(Cc1csc(-c2ccoc2)n1)[C@@H]1CCOC(C)(C)C1 ZINC000289910482 1043895285 /nfs/dbraw/zinc/89/52/85/1043895285.db2.gz TVFCJBQJEAAQLB-OAHLLOKOSA-N 0 3 320.458 4.183 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1coc(-c2ccccc2OC)n1 ZINC000878506794 1043898134 /nfs/dbraw/zinc/89/81/34/1043898134.db2.gz APMKGUYPQIDCHA-BBRMVZONSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccc(F)nc2)C2CC2)cc1 ZINC000930239048 1043903950 /nfs/dbraw/zinc/90/39/50/1043903950.db2.gz JIJXXQSMWZGJCR-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC000878613856 1043905054 /nfs/dbraw/zinc/90/50/54/1043905054.db2.gz XYCSTRXDCZNHTF-IRXDYDNUSA-N 0 3 314.429 4.036 20 0 DIADHN Cc1ccccc1CN[C@@H](C)c1ccc(Br)nc1 ZINC000289971624 1043905141 /nfs/dbraw/zinc/90/51/41/1043905141.db2.gz XJWKKUDAVYQJHI-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC(C)C)cc2)cc1O ZINC000289983387 1043905166 /nfs/dbraw/zinc/90/51/66/1043905166.db2.gz XYWBWWFPOXDEFM-AWEZNQCLSA-N 0 3 315.413 4.039 20 0 DIADHN COCCCC[C@H](NCc1cc(C)cc(C)n1)c1ccccc1 ZINC000930275655 1043908696 /nfs/dbraw/zinc/90/86/96/1043908696.db2.gz IWVFVDIJGXKBSB-FQEVSTJZSA-N 0 3 312.457 4.346 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC=C(c4cccnc4)C3)O2)cc1 ZINC000878617379 1043908782 /nfs/dbraw/zinc/90/87/82/1043908782.db2.gz MRZFGOFJRICSQJ-SFTDATJTSA-N 0 3 320.436 4.091 20 0 DIADHN Cn1cncc1CCN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000290009373 1043909616 /nfs/dbraw/zinc/90/96/16/1043909616.db2.gz LTVARDUFGDUTEK-INIZCTEOSA-N 0 3 324.255 4.107 20 0 DIADHN Cc1cccc([C@H](NC2C[C@@H](C)O[C@H](C)C2)c2ccccn2)c1 ZINC000127274474 1043911508 /nfs/dbraw/zinc/91/15/08/1043911508.db2.gz FWVOUBWTWINRLO-QINHECLXSA-N 0 3 310.441 4.025 20 0 DIADHN FC(F)(F)CC1CCN(C[C@H]2CCCC3(CCC3)O2)CC1 ZINC000930374264 1043915618 /nfs/dbraw/zinc/91/56/18/1043915618.db2.gz SFOLUZQWXNLBOM-CQSZACIVSA-N 0 3 305.384 4.143 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@H]1CCC3(CCC3)O1)CC2 ZINC000878797052 1043920608 /nfs/dbraw/zinc/92/06/08/1043920608.db2.gz GSEARDIGEWRFJB-QGZVFWFLSA-N 0 3 324.468 4.228 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1C[C@H]3CCC[C@@H]3O1)CC2 ZINC000878797526 1043920651 /nfs/dbraw/zinc/92/06/51/1043920651.db2.gz LBLRRIBZDYYEPK-UWVAXJGDSA-N 0 3 324.468 4.084 20 0 DIADHN CC(C)[C@@H](CNCc1nnc(C(C)(C)C)s1)c1ccccc1 ZINC000474813093 1043925072 /nfs/dbraw/zinc/92/50/72/1043925072.db2.gz CCCRSMRCNFKHNZ-OAHLLOKOSA-N 0 3 317.502 4.365 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2c3ccccc3CC23CCOCC3)o1 ZINC000290163480 1043925642 /nfs/dbraw/zinc/92/56/42/1043925642.db2.gz MBDOSPGEOVYGLI-KXBFYZLASA-N 0 3 311.425 4.333 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@H]1c2ccccc2CC12CCOCC2 ZINC000290184638 1043926867 /nfs/dbraw/zinc/92/68/67/1043926867.db2.gz NVDLOFNZGBIKEL-ICSRJNTNSA-N 0 3 323.436 4.341 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@@H]1c2ccccc2CC12CCOCC2 ZINC000290184649 1043927270 /nfs/dbraw/zinc/92/72/70/1043927270.db2.gz NVDLOFNZGBIKEL-UYAOXDASSA-N 0 3 323.436 4.341 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc([C@@H](C)O)s1)C(C)(C)C ZINC000290237248 1043931566 /nfs/dbraw/zinc/93/15/66/1043931566.db2.gz GITVYCIOHQYTGN-CJNGLKHVSA-N 0 3 318.486 4.382 20 0 DIADHN OCC[C@@H](NCc1ccccc1CF)c1ccc(Cl)cc1 ZINC000930487283 1043933084 /nfs/dbraw/zinc/93/30/84/1043933084.db2.gz HCMLOXRKGHVCST-QGZVFWFLSA-N 0 3 307.796 4.023 20 0 DIADHN CC(C)COc1ccc([C@H](C)N[C@@H](CO)c2ccccc2)cc1 ZINC000102888266 1043933949 /nfs/dbraw/zinc/93/39/49/1043933949.db2.gz DXLAWXDOBLZEQN-JXFKEZNVSA-N 0 3 313.441 4.106 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCC[C@H]1C1CCC1 ZINC000102926566 1043935734 /nfs/dbraw/zinc/93/57/34/1043935734.db2.gz JOSJDFOJVMHZJC-SFHVURJKSA-N 0 3 314.473 4.014 20 0 DIADHN c1csc(CC2CCN(Cc3nccc4ccccc43)CC2)n1 ZINC000639375931 1043940611 /nfs/dbraw/zinc/94/06/11/1043940611.db2.gz LHJVOGKNSIDJNC-UHFFFAOYSA-N 0 3 323.465 4.146 20 0 DIADHN Fc1cccc(Cl)c1CCN(Cc1ccncc1)C1CC1 ZINC000357347561 1043942156 /nfs/dbraw/zinc/94/21/56/1043942156.db2.gz CHVZWQSNSHSKRE-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN CC(C)(NCCC[C@@H]1CCOC1)c1nc2cc(Cl)ccc2o1 ZINC000879160035 1043948965 /nfs/dbraw/zinc/94/89/65/1043948965.db2.gz OCDNZCNKOCDWSL-GFCCVEGCSA-N 0 3 322.836 4.123 20 0 DIADHN FC(F)(F)Oc1cccc(CNC2(c3ccccc3)CC2)c1 ZINC000520248890 1043950367 /nfs/dbraw/zinc/95/03/67/1043950367.db2.gz KMKWHNCEJGNLEM-UHFFFAOYSA-N 0 3 307.315 4.364 20 0 DIADHN C[C@@H](NCC[S@](=O)C(C)(C)C)c1cc(Cl)cc(Cl)c1 ZINC000127574026 1043950748 /nfs/dbraw/zinc/95/07/48/1043950748.db2.gz JMHARPCKIBXBAX-GIGQVBGESA-N 0 3 322.301 4.191 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1OC(F)F)[C@H](O)c1ccccc1 ZINC000290481628 1043955037 /nfs/dbraw/zinc/95/50/37/1043955037.db2.gz XKPGJVICIPXCLN-AHIWAGSCSA-N 0 3 321.367 4.061 20 0 DIADHN Nc1ncc(CN(Cc2ccc(F)cc2)C2CCCCC2)s1 ZINC000561466120 1043954975 /nfs/dbraw/zinc/95/49/75/1043954975.db2.gz GWCYTGCFWOGGIS-UHFFFAOYSA-N 0 3 319.449 4.199 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC[C@@H](c2cccnc2)C1 ZINC000930808700 1043965707 /nfs/dbraw/zinc/96/57/07/1043965707.db2.gz IVFCQZSWCSMYFP-GOSISDBHSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1nc(CN2CC3(C[C@H]2C)CCOCC3)sc1C(C)(C)C ZINC000930823686 1043967358 /nfs/dbraw/zinc/96/73/58/1043967358.db2.gz SESDDMDYEBTOPH-CYBMUJFWSA-N 0 3 322.518 4.140 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@H](C)c2ccc(Cl)cc2)[n-]1 ZINC000474890149 1043969606 /nfs/dbraw/zinc/96/96/06/1043969606.db2.gz JEPYGWPGDCZWPY-XQQFMLRXSA-N 0 3 320.868 4.424 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)c1 ZINC000930866404 1043970724 /nfs/dbraw/zinc/97/07/24/1043970724.db2.gz KELXCNSNYHCRFD-ACRUOGEOSA-N 0 3 322.452 4.193 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@H]2CC[C@H](c3ccccc3)O2)C1 ZINC000879547410 1043971631 /nfs/dbraw/zinc/97/16/31/1043971631.db2.gz HUBAAOOECOVUDV-ARFHVFGLSA-N 0 3 313.363 4.181 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000879558537 1043973658 /nfs/dbraw/zinc/97/36/58/1043973658.db2.gz KBQKZBCUQRLFNL-BMFZPTHFSA-N 0 3 314.454 4.088 20 0 DIADHN Cc1nc(CN2CCC[C@H]2Cc2cccc(F)c2)sc1C ZINC000357704335 1043975557 /nfs/dbraw/zinc/97/55/57/1043975557.db2.gz CWWWXGSOZHBYBE-INIZCTEOSA-N 0 3 304.434 4.106 20 0 DIADHN Cc1nc(CN2CCC[C@H](Cc3ccccc3)C2)sc1C ZINC000357712652 1043978091 /nfs/dbraw/zinc/97/80/91/1043978091.db2.gz WPAPBUJSVMPWNJ-QGZVFWFLSA-N 0 3 300.471 4.215 20 0 DIADHN CCCC[C@H](CC)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001257415432 1043978447 /nfs/dbraw/zinc/97/84/47/1043978447.db2.gz QUZCSUYDJIGRHP-AWEZNQCLSA-N 0 3 301.352 4.447 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2cc(COC(C)(C)C)on2)C1 ZINC000474925408 1043979859 /nfs/dbraw/zinc/97/98/59/1043979859.db2.gz VFRAGACZQOZFOB-GJZGRUSLSA-N 0 3 308.466 4.294 20 0 DIADHN CC(C)c1cnc(CN[C@@H](Cc2cccnc2)C2CCCC2)o1 ZINC000879673204 1043980006 /nfs/dbraw/zinc/98/00/06/1043980006.db2.gz GOVZEBTYKKASGV-KRWDZBQOSA-N 0 3 313.445 4.084 20 0 DIADHN Clc1nc(CN[C@@H](Cc2cccnc2)C2CCCC2)cs1 ZINC000879678214 1043982095 /nfs/dbraw/zinc/98/20/95/1043982095.db2.gz VHQRONRHQKWOMW-HNNXBMFYSA-N 0 3 321.877 4.083 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC3(CCCC3=O)CC2)c1 ZINC000931037185 1043985563 /nfs/dbraw/zinc/98/55/63/1043985563.db2.gz GTSVZDKINGWAAZ-UHFFFAOYSA-N 0 3 315.457 4.199 20 0 DIADHN Cc1cncc([C@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)c1 ZINC000879749123 1043986802 /nfs/dbraw/zinc/98/68/02/1043986802.db2.gz DOIYVTVTMRJSQS-QZTJIDSGSA-N 0 3 300.446 4.019 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2NCc1ccc2oc3ccccc3c2c1 ZINC000879785676 1043991998 /nfs/dbraw/zinc/99/19/98/1043991998.db2.gz BNVNBSMOMLWTMM-KRWDZBQOSA-N 0 3 317.392 4.407 20 0 DIADHN C[C@H](N[C@H](CCCO)c1ccccc1)c1nccc2ccccc21 ZINC000290846499 1043992721 /nfs/dbraw/zinc/99/27/21/1043992721.db2.gz ZBPWVQNVKXGTDU-OXJNMPFZSA-N 0 3 320.436 4.399 20 0 DIADHN CC(C)(C)OCCCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000474949855 1043994357 /nfs/dbraw/zinc/99/43/57/1043994357.db2.gz DUKRABDYQDDDPG-IBGZPJMESA-N 0 3 312.457 4.356 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2ccnc(Cl)c2Cl)s1 ZINC000290865926 1043995682 /nfs/dbraw/zinc/99/56/82/1043995682.db2.gz KXULQMPKLYOORF-QMMMGPOBSA-N 0 3 316.257 4.047 20 0 DIADHN C[C@@H](Cc1cccs1)NCc1ccnc(Cl)c1Cl ZINC000290909445 1043999680 /nfs/dbraw/zinc/99/96/80/1043999680.db2.gz PAQXZECUCHNSAA-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290909447 1044000011 /nfs/dbraw/zinc/00/00/11/1044000011.db2.gz PARIYINKJBPXQK-CHWSQXEVSA-N 0 3 317.260 4.072 20 0 DIADHN COc1ccc(F)cc1-c1cncc(CN(C2CC2)C2CC2)c1 ZINC000931178700 1044000285 /nfs/dbraw/zinc/00/02/85/1044000285.db2.gz JVJQAROTIMIAME-UHFFFAOYSA-N 0 3 312.388 4.023 20 0 DIADHN C[C@H](Cc1cccs1)NCc1ccnc(Cl)c1Cl ZINC000290909444 1044000980 /nfs/dbraw/zinc/00/09/80/1044000980.db2.gz PAQXZECUCHNSAA-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2[nH]nc3c2cccc3C)cc1 ZINC001137149031 1044002952 /nfs/dbraw/zinc/00/29/52/1044002952.db2.gz BHFHGNZOWYKXJD-AWEZNQCLSA-N 0 3 309.413 4.073 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000358023179 1044006750 /nfs/dbraw/zinc/00/67/50/1044006750.db2.gz KLDYMULGYSQVBX-QWRGUYRKSA-N 0 3 324.877 4.285 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1ccccc1N(C)C)CCCO2 ZINC000358037928 1044008603 /nfs/dbraw/zinc/00/86/03/1044008603.db2.gz OGWQOADNXSFAAK-LJQANCHMSA-N 0 3 324.468 4.373 20 0 DIADHN C[C@@H](NCc1ccc(CF)cc1)c1ccc(C(F)(F)F)nc1 ZINC000880098432 1044012732 /nfs/dbraw/zinc/01/27/32/1044012732.db2.gz LXODHTPYRYAUEW-LLVKDONJSA-N 0 3 312.310 4.421 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1ccccc1N(C)C ZINC000358045905 1044012785 /nfs/dbraw/zinc/01/27/85/1044012785.db2.gz KPIAWOPAJFXYEQ-SFHVURJKSA-N 0 3 310.441 4.065 20 0 DIADHN CC[C@@H](NCCOc1ccccc1OC)c1ccc(F)cc1F ZINC000358078521 1044014943 /nfs/dbraw/zinc/01/49/43/1044014943.db2.gz CKGITXZIVHXGJW-MRXNPFEDSA-N 0 3 321.367 4.093 20 0 DIADHN CSc1ccccc1CN1CCC(n2cc(Cl)cn2)CC1 ZINC000358079369 1044015482 /nfs/dbraw/zinc/01/54/82/1044015482.db2.gz RMEFJBOGCUFEFC-UHFFFAOYSA-N 0 3 321.877 4.096 20 0 DIADHN CC[C@H](NCCOc1ccccc1OC)c1ccc(F)cc1F ZINC000358078518 1044015775 /nfs/dbraw/zinc/01/57/75/1044015775.db2.gz CKGITXZIVHXGJW-INIZCTEOSA-N 0 3 321.367 4.093 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2CCc3sc(Cl)cc32)cc1 ZINC000358081284 1044016239 /nfs/dbraw/zinc/01/62/39/1044016239.db2.gz HUHSTXPILIFEAW-CQSZACIVSA-N 0 3 320.845 4.137 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@H](C)N[C@@H](C)c1ccccc1 ZINC000358083259 1044016900 /nfs/dbraw/zinc/01/69/00/1044016900.db2.gz KLUUVRFFHKORKT-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@H](c1ccco1)N(C)C[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000291080260 1044022168 /nfs/dbraw/zinc/02/21/68/1044022168.db2.gz NIBTWGLFOGMRHZ-BXUZGUMPSA-N 0 3 313.319 4.025 20 0 DIADHN Cc1ncc([C@H](C)NC[C@@H](OC(C)C)c2ccccc2)s1 ZINC000639383141 1044022552 /nfs/dbraw/zinc/02/25/52/1044022552.db2.gz RPIQLHJKMWIYEZ-XJKSGUPXSA-N 0 3 304.459 4.268 20 0 DIADHN O[C@H](CN(Cc1cccs1)Cc1ccccc1)c1ccco1 ZINC000128309547 1044024446 /nfs/dbraw/zinc/02/44/46/1044024446.db2.gz PDKNNYHNWNUOBQ-QGZVFWFLSA-N 0 3 313.422 4.077 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC(c3ncco3)CC2)c1 ZINC000931443637 1044026589 /nfs/dbraw/zinc/02/65/89/1044026589.db2.gz YCAUZEVXFVTVOJ-UHFFFAOYSA-N 0 3 314.429 4.232 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CC[C@H](c3nccs3)C2)c1 ZINC000880320916 1044028758 /nfs/dbraw/zinc/02/87/58/1044028758.db2.gz JYEMYLOTJZFRRJ-LBPRGKRZSA-N 0 3 324.396 4.042 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2C[C@@H]3CCC[C@H]3C2)n1 ZINC000561930770 1044029577 /nfs/dbraw/zinc/02/95/77/1044029577.db2.gz YMDWSHLPASLJOG-RYUDHWBXSA-N 0 3 320.408 4.320 20 0 DIADHN C[C@@H](NC[C@@H]1CCSC1)c1csc(-c2ccccc2F)n1 ZINC000291169022 1044032708 /nfs/dbraw/zinc/03/27/08/1044032708.db2.gz JTGUDGZEHNPSHQ-NEPJUHHUSA-N 0 3 322.474 4.353 20 0 DIADHN CCc1nnc(CN2CCC[C@@]2(CC)CCc2ccccc2)o1 ZINC000880374031 1044034007 /nfs/dbraw/zinc/03/40/07/1044034007.db2.gz YEYVSVUFZCKCHE-IBGZPJMESA-N 0 3 313.445 4.009 20 0 DIADHN CC[C@H](NCc1csc(-c2ccc(C)o2)n1)[C@H]1CCCOC1 ZINC000880467018 1044042056 /nfs/dbraw/zinc/04/20/56/1044042056.db2.gz UPQYWIVDYKENSB-ZFWWWQNUSA-N 0 3 320.458 4.006 20 0 DIADHN CC[C@H](NCc1csc(CCC(C)C)n1)[C@H]1CCCOC1 ZINC000880467388 1044042274 /nfs/dbraw/zinc/04/22/74/1044042274.db2.gz ZQFVSTPNWIUIHY-HOCLYGCPSA-N 0 3 310.507 4.027 20 0 DIADHN Cn1cc(C2C[C@H]3CC[C@@H](C2)N3Cc2sccc2Cl)cn1 ZINC000880518620 1044042929 /nfs/dbraw/zinc/04/29/29/1044042929.db2.gz OYYCQLHDZCZZHL-QXMXGUDHSA-N 0 3 321.877 4.046 20 0 DIADHN CCCCNC(=O)CCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC000522548699 1044044400 /nfs/dbraw/zinc/04/44/00/1044044400.db2.gz HGWJFGWRXQOGPG-CQSZACIVSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(C(C)(C)C)nc1)CCC2 ZINC000561985259 1044044623 /nfs/dbraw/zinc/04/46/23/1044044623.db2.gz BEOCNQSHMBHNET-SFHVURJKSA-N 0 3 309.457 4.250 20 0 DIADHN Cn1cc(CN[C@H]2CCC(C)(C)c3ccccc32)c(C(F)F)n1 ZINC000291217773 1044044550 /nfs/dbraw/zinc/04/45/50/1044044550.db2.gz OQCWTFDWMBYBDB-HNNXBMFYSA-N 0 3 319.399 4.260 20 0 DIADHN Oc1ccc2c(c1)[C@H](N[C@@H]1CCCOc3ccccc31)CCC2 ZINC000291264847 1044049799 /nfs/dbraw/zinc/04/97/99/1044049799.db2.gz QIMIQWYMGDNIAE-RTBURBONSA-N 0 3 309.409 4.273 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1ccc3c(c1)COC3)CCCO2 ZINC000358294058 1044049852 /nfs/dbraw/zinc/04/98/52/1044049852.db2.gz ISVIRFHJSIWMOJ-FQEVSTJZSA-N 0 3 323.436 4.337 20 0 DIADHN Cc1csc(CN2CCC(Oc3ccc(C)cc3)CC2)c1 ZINC001137156877 1044050301 /nfs/dbraw/zinc/05/03/01/1044050301.db2.gz BWRXQQVUZYCNSR-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN CC(C)c1cc([C@@H]2CCCN([C@@H](C)c3ccc(F)nc3)C2)[nH]n1 ZINC000931608698 1044050756 /nfs/dbraw/zinc/05/07/56/1044050756.db2.gz RVQVMMQNCYYZNO-DZGCQCFKSA-N 0 3 316.424 4.008 20 0 DIADHN CC(C)c1cc([C@@H]2CCCN([C@@H](C)c3ccc(F)nc3)C2)n[nH]1 ZINC000931608698 1044050768 /nfs/dbraw/zinc/05/07/68/1044050768.db2.gz RVQVMMQNCYYZNO-DZGCQCFKSA-N 0 3 316.424 4.008 20 0 DIADHN C[C@H](NCC1(CCO)CCC1)c1nc(-c2ccccc2)cs1 ZINC000358318126 1044051884 /nfs/dbraw/zinc/05/18/84/1044051884.db2.gz LZILJHCOZUDYJW-AWEZNQCLSA-N 0 3 316.470 4.013 20 0 DIADHN COCC(C)(C)CCCN[C@H](c1ccco1)c1ccccc1 ZINC000562059434 1044058017 /nfs/dbraw/zinc/05/80/17/1044058017.db2.gz UKAJCXGRFBFDCS-SFHVURJKSA-N 0 3 301.430 4.411 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000562063668 1044058070 /nfs/dbraw/zinc/05/80/70/1044058070.db2.gz DVVPXSMLUZFXSW-GDPRMGEGSA-N 0 3 322.182 4.450 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1cccnc1)C1CC1)CCC2 ZINC000358355954 1044059222 /nfs/dbraw/zinc/05/92/22/1044059222.db2.gz SXMCKRNUXDLRRT-UXHICEINSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1cccnc1)C1CC1)CCC2 ZINC000358355964 1044059698 /nfs/dbraw/zinc/05/96/98/1044059698.db2.gz SXMCKRNUXDLRRT-WOJBJXKFSA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@@H](NCCc1ncc(C)cn1)c1ccc(Cl)cc1Cl ZINC000358359387 1044060275 /nfs/dbraw/zinc/06/02/75/1044060275.db2.gz BKILDACPZGOBCG-OAHLLOKOSA-N 0 3 324.255 4.375 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1cnc2ccsc2c1 ZINC000358368735 1044061261 /nfs/dbraw/zinc/06/12/61/1044061261.db2.gz PIUDVJNBMLQLOI-KPZWWZAWSA-N 0 3 309.438 4.493 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cc(Cl)nc3ccccc23)C1 ZINC000128644375 1044076950 /nfs/dbraw/zinc/07/69/50/1044076950.db2.gz DJUTWKLOEQWNGS-CQSZACIVSA-N 0 3 318.848 4.137 20 0 DIADHN CC(C)n1nccc1CN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC000280877091 1044080600 /nfs/dbraw/zinc/08/06/00/1044080600.db2.gz MZLKIHICLKACBH-FZKQIMNGSA-N 0 3 301.409 4.016 20 0 DIADHN CC(C)OCCCN(C)Cc1c(Cl)ccc2cccnc21 ZINC000358579836 1044084620 /nfs/dbraw/zinc/08/46/20/1044084620.db2.gz LUCYNGZQQOGNIE-UHFFFAOYSA-N 0 3 306.837 4.135 20 0 DIADHN c1cncc([C@@H](NCc2ccc3ncccc3c2)C2CCC2)c1 ZINC000280941148 1044085032 /nfs/dbraw/zinc/08/50/32/1044085032.db2.gz SLBXBJJJDPMENA-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN Clc1ccc(CN(CCOCC2CC2)C2CC2)cc1Cl ZINC000562237793 1044090357 /nfs/dbraw/zinc/09/03/57/1044090357.db2.gz HYKQHOSTLLZPDX-UHFFFAOYSA-N 0 3 314.256 4.384 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1ccc(Cl)cn1 ZINC000562263643 1044094501 /nfs/dbraw/zinc/09/45/01/1044094501.db2.gz GPGLQBOITQMWLV-SFHVURJKSA-N 0 3 300.833 4.251 20 0 DIADHN Brc1ccc2c(c1)CCC[C@H]2NCc1cccnc1 ZINC000358922097 1044110925 /nfs/dbraw/zinc/11/09/25/1044110925.db2.gz AZPGFLUYQXKCJF-MRXNPFEDSA-N 0 3 317.230 4.011 20 0 DIADHN CC1=C(Br)CN(C[C@@H]2CCc3ccccc3C2)CC1 ZINC001308721899 1044111918 /nfs/dbraw/zinc/11/19/18/1044111918.db2.gz JSDRCJDDISXQLG-CQSZACIVSA-N 0 3 320.274 4.166 20 0 DIADHN CCC(C)(C)c1ccc(CN[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000358971458 1044115068 /nfs/dbraw/zinc/11/50/68/1044115068.db2.gz VFZONSXHFNJBCS-GOSISDBHSA-N 0 3 311.473 4.275 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2nc(-c3ccccc3)cs2)n1 ZINC000359015923 1044120971 /nfs/dbraw/zinc/12/09/71/1044120971.db2.gz PANXERLJJXBWPD-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1cc2[nH]c(CN[C@H](CCCO)c3ccccc3)cc2c(C)c1 ZINC000359007093 1044121825 /nfs/dbraw/zinc/12/18/25/1044121825.db2.gz UCAHMYQGEJZCSV-HXUWFJFHSA-N 0 3 322.452 4.388 20 0 DIADHN COc1ccc([C@@H](NCc2cn(C(C)C)nc2C)C(C)C)cc1 ZINC000281337335 1044123432 /nfs/dbraw/zinc/12/34/32/1044123432.db2.gz LRIOLNLUJJVJMX-IBGZPJMESA-N 0 3 315.461 4.268 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1ccc(Cl)cc1 ZINC000932385187 1044123617 /nfs/dbraw/zinc/12/36/17/1044123617.db2.gz IJVLJDGVKOOSMP-ZWKOTPCHSA-N 0 3 316.832 4.163 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@H]1OCCc2sccc21 ZINC000291997105 1044125266 /nfs/dbraw/zinc/12/52/66/1044125266.db2.gz XHLOAPYADCSBFQ-ZJNRKIDTSA-N 0 3 317.454 4.110 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCC1)c1nc(-c2ccccc2)cs1 ZINC000359042916 1044125390 /nfs/dbraw/zinc/12/53/90/1044125390.db2.gz CFJBHJWKQGITRI-CJNGLKHVSA-N 0 3 316.470 4.012 20 0 DIADHN CC(=O)Nc1cccc(CNCc2cc3c(cc(C)cc3C)[nH]2)c1 ZINC000359077809 1044129077 /nfs/dbraw/zinc/12/90/77/1044129077.db2.gz ZUXAWFCEQPETEV-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN COc1ccccc1C[C@H](C)NC1(c2nc(C)cs2)CCC1 ZINC000346673418 1044130495 /nfs/dbraw/zinc/13/04/95/1044130495.db2.gz NKFRACWYMBRBQJ-ZDUSSCGKSA-N 0 3 316.470 4.060 20 0 DIADHN Cc1ccccc1C[C@@H](C)N(C)Cc1nc2ccc(F)cc2o1 ZINC000562585677 1044134252 /nfs/dbraw/zinc/13/42/52/1044134252.db2.gz VMMVWYYOLROZRJ-CQSZACIVSA-N 0 3 312.388 4.338 20 0 DIADHN [O-]c1ccc(C[NH2+]CCCc2c(F)cccc2Cl)cc1F ZINC000562614082 1044137430 /nfs/dbraw/zinc/13/74/30/1044137430.db2.gz MCDOMHMOYCSNJA-UHFFFAOYSA-N 0 3 311.759 4.046 20 0 DIADHN CC(C)N(Cc1cc(-c2cnn(C)c2)no1)[C@H](C)c1ccccc1 ZINC000292131922 1044141492 /nfs/dbraw/zinc/14/14/92/1044141492.db2.gz IUNOUVIBCLALEI-OAHLLOKOSA-N 0 3 324.428 4.047 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1cnc(C(F)(F)F)s1 ZINC000443978613 1044144609 /nfs/dbraw/zinc/14/46/09/1044144609.db2.gz IILZUGAKGLUPIK-VIFPVBQESA-N 0 3 318.339 4.022 20 0 DIADHN c1nc([C@@H](NCc2cccc3ccccc32)C2CCCCC2)n[nH]1 ZINC000292181047 1044145183 /nfs/dbraw/zinc/14/51/83/1044145183.db2.gz OPUHRAONJHIOPB-IBGZPJMESA-N 0 3 320.440 4.369 20 0 DIADHN c1nnc([C@@H]([NH2+]Cc2cccc3ccccc32)C2CCCCC2)[n-]1 ZINC000292181047 1044145189 /nfs/dbraw/zinc/14/51/89/1044145189.db2.gz OPUHRAONJHIOPB-IBGZPJMESA-N 0 3 320.440 4.369 20 0 DIADHN COCc1cnc(CNCC[C@H]2C[C@H](C)CC(C)(C)C2)s1 ZINC000443992180 1044150935 /nfs/dbraw/zinc/15/09/35/1044150935.db2.gz XYHUURLRBPORJZ-KBPBESRZSA-N 0 3 310.507 4.232 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@H]2CCCC[C@@H]2C)c(C)c1C ZINC000444041074 1044157298 /nfs/dbraw/zinc/15/72/98/1044157298.db2.gz WGRWBRWBLSRDKF-NHYWBVRUSA-N 0 3 308.491 4.122 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](C)c2ccncc2CC)s1 ZINC000359350918 1044158024 /nfs/dbraw/zinc/15/80/24/1044158024.db2.gz XORLCQVGCZLELL-RYUDHWBXSA-N 0 3 303.475 4.383 20 0 DIADHN c1cnc2c(c1)cccc2CN1CCOC[C@H]1C1CCCCC1 ZINC001137179632 1044176995 /nfs/dbraw/zinc/17/69/95/1044176995.db2.gz WWTBSKMWTVWZBN-IBGZPJMESA-N 0 3 310.441 4.016 20 0 DIADHN c1cnc2c(c1)cccc2CN1CCOC[C@@H]1C1CCCCC1 ZINC001137179633 1044177023 /nfs/dbraw/zinc/17/70/23/1044177023.db2.gz WWTBSKMWTVWZBN-LJQANCHMSA-N 0 3 310.441 4.016 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnn(CC(F)F)c1)CC2 ZINC000292474561 1044177322 /nfs/dbraw/zinc/17/73/22/1044177322.db2.gz AICDTHUTDLJGBI-KRWDZBQOSA-N 0 3 319.399 4.049 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N(C)Cc1cncs1 ZINC000562904837 1044177941 /nfs/dbraw/zinc/17/79/41/1044177941.db2.gz MFTPFKSKELLVEV-LLVKDONJSA-N 0 3 314.376 4.225 20 0 DIADHN CC(C)(NCc1ccc(F)nc1)c1ccc(Br)cc1 ZINC000778156488 1044183394 /nfs/dbraw/zinc/18/33/94/1044183394.db2.gz ULSBXUXVMHCZOX-UHFFFAOYSA-N 0 3 323.209 4.008 20 0 DIADHN C[C@@H](NCc1cc(Cl)nc2ccccc12)c1cccc(O)c1 ZINC000129813312 1044183948 /nfs/dbraw/zinc/18/39/48/1044183948.db2.gz IIAGSHLLCRQXNG-GFCCVEGCSA-N 0 3 312.800 4.445 20 0 DIADHN C[C@H](NCc1cc(Cl)nc2ccccc12)c1cccc(O)c1 ZINC000129813113 1044184054 /nfs/dbraw/zinc/18/40/54/1044184054.db2.gz IIAGSHLLCRQXNG-LBPRGKRZSA-N 0 3 312.800 4.445 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1cccc2nsnc21 ZINC000281713992 1044185494 /nfs/dbraw/zinc/18/54/94/1044185494.db2.gz OSAGBWAKQAFRIE-NSHDSACASA-N 0 3 315.467 4.264 20 0 DIADHN Fc1cncc([C@H](N[C@@H]2CCSc3ccccc32)C2CC2)c1 ZINC000281762440 1044188081 /nfs/dbraw/zinc/18/80/81/1044188081.db2.gz WKLDHZNBGGUSIG-SJLPKXTDSA-N 0 3 314.429 4.499 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2cn(C(C)C)nc2C)CC1 ZINC000281770274 1044191789 /nfs/dbraw/zinc/19/17/89/1044191789.db2.gz WULKURNUIMHSQR-UHFFFAOYSA-N 0 3 314.477 4.028 20 0 DIADHN FC(F)Cn1cc(CN[C@@H](CC2CCC2)c2ccccc2)cn1 ZINC000292687475 1044199367 /nfs/dbraw/zinc/19/93/67/1044199367.db2.gz NHJLBCQERGXPHC-KRWDZBQOSA-N 0 3 319.399 4.169 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc(Cl)c2N)cc1 ZINC000882881652 1044200898 /nfs/dbraw/zinc/20/08/98/1044200898.db2.gz XNOBZCYSPMSQCI-LBPRGKRZSA-N 0 3 304.821 4.172 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cnc(C)s2)C12CCCCC2 ZINC000347220072 1044207755 /nfs/dbraw/zinc/20/77/55/1044207755.db2.gz CFBWXEQDWZZQRM-HOTGVXAUSA-N 0 3 308.491 4.011 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cnc(C)s2)C12CCCCC2 ZINC000347220077 1044209167 /nfs/dbraw/zinc/20/91/67/1044209167.db2.gz CFBWXEQDWZZQRM-JKSUJKDBSA-N 0 3 308.491 4.011 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@H]2CCCc3oc(C)nc32)cc1 ZINC000925138089 1044212095 /nfs/dbraw/zinc/21/20/95/1044212095.db2.gz FVFYCGWIQLYMJZ-GUYCJALGSA-N 0 3 314.429 4.152 20 0 DIADHN COC1([C@H](C)N[C@H](C)c2sccc2Br)CCC1 ZINC000563346313 1044249137 /nfs/dbraw/zinc/24/91/37/1044249137.db2.gz MHBRDDZJVFVJKE-ZJUUUORDSA-N 0 3 318.280 4.119 20 0 DIADHN CSc1cc(C)ccc1CNCc1cccc2c1CCOC2 ZINC000883038869 1044216825 /nfs/dbraw/zinc/21/68/25/1044216825.db2.gz VLPMHWHXLBBCGF-UHFFFAOYSA-N 0 3 313.466 4.079 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1CCCSC[C@H]1C1CC1 ZINC000933914620 1044218693 /nfs/dbraw/zinc/21/86/93/1044218693.db2.gz BBDZAVXXKRJHKI-KRWDZBQOSA-N 0 3 314.454 4.059 20 0 DIADHN CCN(C)c1ccc(CNCc2csc3ccccc23)cn1 ZINC000359964094 1044220720 /nfs/dbraw/zinc/22/07/20/1044220720.db2.gz PZMAFFMKMWEOOP-UHFFFAOYSA-N 0 3 311.454 4.042 20 0 DIADHN CC1(C)CCC[C@@H](c2noc(C3CCN(C4CC4)CC3)n2)C1 ZINC000347267313 1044222137 /nfs/dbraw/zinc/22/21/37/1044222137.db2.gz QGSSMDXJYJENGR-CQSZACIVSA-N 0 3 303.450 4.095 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN2CC[C@H]3C[C@H]32)on1 ZINC000778221326 1044224424 /nfs/dbraw/zinc/22/44/24/1044224424.db2.gz CWXHIEDODWBDOT-KBXCAEBGSA-N 0 3 320.392 4.098 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@H](c2cccnc2)C1 ZINC000563158181 1044226555 /nfs/dbraw/zinc/22/65/55/1044226555.db2.gz NMNSEYJKRARXIS-QGZVFWFLSA-N 0 3 320.392 4.456 20 0 DIADHN N#CC(C(=O)CC1(CSc2ccccc2)CC1)c1ccccn1 ZINC000187475440 1044229044 /nfs/dbraw/zinc/22/90/44/1044229044.db2.gz SRCCITBLWCEGKT-MRXNPFEDSA-N 0 3 322.433 4.220 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1N)c1ccc2c(c1)CCCO2 ZINC000883182876 1044229194 /nfs/dbraw/zinc/22/91/94/1044229194.db2.gz MUQJQYYMAXWXSD-LBPRGKRZSA-N 0 3 316.832 4.098 20 0 DIADHN CCC[C@](C)(NCc1cn(C)nc1C(F)F)c1ccccc1 ZINC000934181515 1044231574 /nfs/dbraw/zinc/23/15/74/1044231574.db2.gz CAQISBTVPWCCRG-KRWDZBQOSA-N 0 3 307.388 4.163 20 0 DIADHN OC1(c2c(F)cccc2F)CCN(CCC2CCCCC2)CC1 ZINC000778234870 1044232785 /nfs/dbraw/zinc/23/27/85/1044232785.db2.gz NTWQNQUJIIZDRF-UHFFFAOYSA-N 0 3 323.427 4.219 20 0 DIADHN COc1ccc([C@H](C)NCc2ccsc2C)c(C)c1OC ZINC000292979757 1044236424 /nfs/dbraw/zinc/23/64/24/1044236424.db2.gz MYWCJABXCPESJO-LBPRGKRZSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1cccnc1[C@H](NC[C@H]1CC1(Cl)Cl)C(C)(C)C ZINC000934295980 1044236589 /nfs/dbraw/zinc/23/65/89/1044236589.db2.gz ZQXKHWAEXSJFKV-YPMHNXCESA-N 0 3 301.261 4.261 20 0 DIADHN CC1(C)CC[C@H](NCc2cnn(CC(F)F)c2)c2ccccc21 ZINC000293008493 1044239950 /nfs/dbraw/zinc/23/99/50/1044239950.db2.gz OKJYMUUWJZIPJM-INIZCTEOSA-N 0 3 319.399 4.051 20 0 DIADHN CCSc1ccc(CNCc2ccc(OC)c(OC)c2)cc1 ZINC000883236538 1044240482 /nfs/dbraw/zinc/24/04/82/1044240482.db2.gz DNZKJWMIZPVENG-UHFFFAOYSA-N 0 3 317.454 4.106 20 0 DIADHN Cc1cnc(=S)n(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c1 ZINC000131312465 1044242781 /nfs/dbraw/zinc/24/27/81/1044242781.db2.gz QEHWLTCLQHDFJA-HNNXBMFYSA-N 0 3 319.449 4.395 20 0 DIADHN CC1(CN[C@@H](c2ccc(F)cc2)c2cccnc2)CC(F)(F)C1 ZINC000883255964 1044245505 /nfs/dbraw/zinc/24/55/05/1044245505.db2.gz KAEDUFKCIDUVEX-INIZCTEOSA-N 0 3 320.358 4.335 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnn(CC(F)F)c1)C(C)(C)C ZINC000293074922 1044246941 /nfs/dbraw/zinc/24/69/41/1044246941.db2.gz UMDODDGCBXRTEJ-QGZVFWFLSA-N 0 3 321.415 4.334 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2cc(Cl)cc(Cl)c2)o1 ZINC000131343445 1044250133 /nfs/dbraw/zinc/25/01/33/1044250133.db2.gz QTVFHJODDCKLKH-QMTHXVAHSA-N 0 3 314.212 4.279 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1ccccn1)CC(F)F ZINC000883259665 1044251163 /nfs/dbraw/zinc/25/11/63/1044251163.db2.gz TYXDZFIIMZBDPO-QGZVFWFLSA-N 0 3 304.384 4.442 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1cc(C2CC2)ccc1OC ZINC000883266536 1044256436 /nfs/dbraw/zinc/25/64/36/1044256436.db2.gz CPJSYZHDGRUVCC-HXUWFJFHSA-N 0 3 323.436 4.358 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1cnn(CC2CCC2)c1 ZINC000934556143 1044259982 /nfs/dbraw/zinc/25/99/82/1044259982.db2.gz PSFLYKRLWZFUAN-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN Cc1nc(CN[C@H](CC(C)(C)C)c2ccc(Cl)cc2)n[nH]1 ZINC000293213193 1044260317 /nfs/dbraw/zinc/26/03/17/1044260317.db2.gz POKOOCWZIOFRJV-CQSZACIVSA-N 0 3 306.841 4.034 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000934628288 1044263722 /nfs/dbraw/zinc/26/37/22/1044263722.db2.gz OXLJEGBBWHNMRK-TYNCELHUSA-N 0 3 317.820 4.395 20 0 DIADHN Brc1cccc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934688195 1044268427 /nfs/dbraw/zinc/26/84/27/1044268427.db2.gz UHRGHHDRSXKYMF-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCCc1ccncc1 ZINC000360439598 1044270856 /nfs/dbraw/zinc/27/08/56/1044270856.db2.gz YMMLYTSBVRPOCX-CQSZACIVSA-N 0 3 311.454 4.317 20 0 DIADHN Brc1csc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934692422 1044271116 /nfs/dbraw/zinc/27/11/16/1044271116.db2.gz OIBAGZHCCRYUNC-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN Brc1ccc(CN[C@H](c2ccncc2)C2CC2)s1 ZINC000934689457 1044271437 /nfs/dbraw/zinc/27/14/37/1044271437.db2.gz WJEFJVAFUISNOE-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCCc1ccncc1 ZINC000360439597 1044271981 /nfs/dbraw/zinc/27/19/81/1044271981.db2.gz YMMLYTSBVRPOCX-AWEZNQCLSA-N 0 3 311.454 4.317 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H](c1ccncc1)C1CC1 ZINC000934696973 1044272262 /nfs/dbraw/zinc/27/22/62/1044272262.db2.gz FRRBEMXOCXIYBD-HXUWFJFHSA-N 0 3 309.457 4.403 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@@H](c1ccncc1)C1CC1 ZINC000934688996 1044272768 /nfs/dbraw/zinc/27/27/68/1044272768.db2.gz XQSMFBIXANYPLR-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ncc(Br)s1 ZINC000883322628 1044273159 /nfs/dbraw/zinc/27/31/59/1044273159.db2.gz NWMWBQPFCARLLS-XWLWVQCSSA-N 0 3 315.280 4.135 20 0 DIADHN CCCN(Cc1sc(C)nc1C)[C@H]1CCCc2cccnc21 ZINC000360483921 1044276531 /nfs/dbraw/zinc/27/65/31/1044276531.db2.gz OKAQXAQCDNPYNP-INIZCTEOSA-N 0 3 315.486 4.445 20 0 DIADHN COc1ccc2nc(CN(C)C[C@H]3CC=CCC3)sc2c1 ZINC000475111228 1044276713 /nfs/dbraw/zinc/27/67/13/1044276713.db2.gz COHUOWLFLWBSFC-ZDUSSCGKSA-N 0 3 302.443 4.093 20 0 DIADHN COc1cccc2c1OCCC[C@H]2N[C@H](C)c1cccs1 ZINC000883331287 1044278892 /nfs/dbraw/zinc/27/88/92/1044278892.db2.gz VNFXVLCWSBLBGP-TZMCWYRMSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360577500 1044285089 /nfs/dbraw/zinc/28/50/89/1044285089.db2.gz CLLKKLWDXWGIJF-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c1C ZINC000360589295 1044287856 /nfs/dbraw/zinc/28/78/56/1044287856.db2.gz PCDOPCRTLIIYAX-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000475159663 1044287752 /nfs/dbraw/zinc/28/77/52/1044287752.db2.gz QVFYEXJKGKQRAQ-XHDPSFHLSA-N 0 3 306.356 4.288 20 0 DIADHN CC[C@@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C)cc1 ZINC000360588115 1044289125 /nfs/dbraw/zinc/28/91/25/1044289125.db2.gz HEWIZXJKNGNAHX-WOJBJXKFSA-N 0 3 324.468 4.255 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CCCc3c4ccccc4[nH]c32)c1C ZINC000563653190 1044297342 /nfs/dbraw/zinc/29/73/42/1044297342.db2.gz ZDFCMFKOGGJMDI-IBGZPJMESA-N 0 3 322.456 4.168 20 0 DIADHN C[C@H](N[C@@H]1CC2(CCOCC2)Oc2ccccc21)c1ccccc1 ZINC000883384537 1044297248 /nfs/dbraw/zinc/29/72/48/1044297248.db2.gz UHPDIQODYCDYHF-QFBILLFUSA-N 0 3 323.436 4.410 20 0 DIADHN c1cn(C[C@H](N[C@H]2CCCc3ccccc32)c2ccccc2)cn1 ZINC000883386568 1044298480 /nfs/dbraw/zinc/29/84/80/1044298480.db2.gz IKAAHFZTIPXYHE-SFTDATJTSA-N 0 3 317.436 4.292 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387138 1044299299 /nfs/dbraw/zinc/29/92/99/1044299299.db2.gz SCPKWCKPHPFVCI-PMACEKPBSA-N 0 3 321.399 4.041 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883386603 1044299953 /nfs/dbraw/zinc/29/99/53/1044299953.db2.gz JHVAKRIWGVASHY-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883387270 1044300516 /nfs/dbraw/zinc/30/05/16/1044300516.db2.gz UWCYSGQLKZXPSQ-YLJYHZDGSA-N 0 3 305.425 4.284 20 0 DIADHN c1cn(C[C@@H](N[C@H]2CCCc3sccc32)c2ccccc2)cn1 ZINC000883386138 1044300796 /nfs/dbraw/zinc/30/07/96/1044300796.db2.gz AFFVNPRWKBSHAQ-ZWKOTPCHSA-N 0 3 323.465 4.353 20 0 DIADHN c1ccc2c(c1)CN(Cc1cccc3cccnc31)CC21CC1 ZINC000360707893 1044300956 /nfs/dbraw/zinc/30/09/56/1044300956.db2.gz OMCWNFGXNMPIEI-UHFFFAOYSA-N 0 3 300.405 4.282 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)s1 ZINC000883386354 1044301101 /nfs/dbraw/zinc/30/11/01/1044301101.db2.gz DQOAZZZRAHKSAF-RDJZCZTQSA-N 0 3 311.454 4.345 20 0 DIADHN COc1ccc([C@H](NCc2cnc(F)cc2C)C2CCC2)cc1 ZINC000897079498 1044301356 /nfs/dbraw/zinc/30/13/56/1044301356.db2.gz JAVXEVRWNZXBQY-LJQANCHMSA-N 0 3 314.404 4.169 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1cccc(CN(C)C)c1 ZINC000360705853 1044302124 /nfs/dbraw/zinc/30/21/24/1044302124.db2.gz SZQZCNNUFJRCLG-CRAIPNDOSA-N 0 3 316.489 4.035 20 0 DIADHN Cc1ccccc1CN[C@@H]1CC2(CCOCC2)Oc2ccccc21 ZINC000883395266 1044302790 /nfs/dbraw/zinc/30/27/90/1044302790.db2.gz QEFUFOZDVGUKQU-LJQANCHMSA-N 0 3 323.436 4.158 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](Cn2ccnc2)c2ccccc2)c2ccccc21 ZINC000883387621 1044303370 /nfs/dbraw/zinc/30/33/70/1044303370.db2.gz ALUUTXPBXMOMAF-TYCQWZJGSA-N 0 3 317.436 4.463 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)cs1 ZINC000883387990 1044303474 /nfs/dbraw/zinc/30/34/74/1044303474.db2.gz JYIAOWDQNBEURK-QAPCUYQASA-N 0 3 311.454 4.345 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883388329 1044304091 /nfs/dbraw/zinc/30/40/91/1044304091.db2.gz SBPGRXMUEKSIRG-OXJNMPFZSA-N 0 3 323.415 4.423 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@H](CO)c2ccc(F)cc2)cc1 ZINC000563701877 1044305593 /nfs/dbraw/zinc/30/55/93/1044305593.db2.gz FSNOQGQKFVGZDY-RTBURBONSA-N 0 3 301.405 4.162 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@H](c3ccccc3F)C2)cs1 ZINC000360762114 1044307924 /nfs/dbraw/zinc/30/79/24/1044307924.db2.gz DXAQPHAPKIPGHA-QWHCGFSZSA-N 0 3 304.434 4.271 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@H](c3ccccc3F)C2)c1 ZINC000360761560 1044308248 /nfs/dbraw/zinc/30/82/48/1044308248.db2.gz BTABQYVKGZJNSD-ZFWWWQNUSA-N 0 3 303.352 4.096 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@H](c3ccccc3F)C2)s1 ZINC000360773967 1044309851 /nfs/dbraw/zinc/30/98/51/1044309851.db2.gz VXHHAGCSMQBHNC-QWHCGFSZSA-N 0 3 304.434 4.271 20 0 DIADHN FC(F)c1ccc(NC2CCN(CCC(F)(F)F)CC2)cc1 ZINC000897102753 1044315972 /nfs/dbraw/zinc/31/59/72/1044315972.db2.gz LPFVOGNQERQOCO-UHFFFAOYSA-N 0 3 322.321 4.453 20 0 DIADHN Cc1cccc2ncc(CN3CCCC[C@H]3c3ccccc3)n21 ZINC001137191157 1044316295 /nfs/dbraw/zinc/31/62/95/1044316295.db2.gz QPAPRLHCVNBOEU-IBGZPJMESA-N 0 3 305.425 4.370 20 0 DIADHN COCCN(Cc1cncs1)C[C@H](c1ccccc1)C(C)C ZINC000475196237 1044317489 /nfs/dbraw/zinc/31/74/89/1044317489.db2.gz JXMKRJHPFANMMX-SFHVURJKSA-N 0 3 318.486 4.031 20 0 DIADHN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1ccc(C(F)F)cc1 ZINC000475205337 1044320258 /nfs/dbraw/zinc/32/02/58/1044320258.db2.gz LBWRWIJDQQAJOF-UHFFFAOYSA-N 0 3 307.388 4.277 20 0 DIADHN CCSc1cccc(CN[C@H](C)c2cccc(CO)c2)c1 ZINC000293752973 1044322678 /nfs/dbraw/zinc/32/26/78/1044322678.db2.gz RNSHOOUGHMJEMP-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN FC[C@H]1[C@@H]2CN(Cc3sccc3Oc3ccccc3)C[C@H]12 ZINC001142001449 1044322782 /nfs/dbraw/zinc/32/27/82/1044322782.db2.gz QMVWVNIPBRTBTA-QKDCVEJESA-N 0 3 303.402 4.188 20 0 DIADHN CC1(C)CCC[C@@]1(O)CNCc1cc(Cl)sc1Cl ZINC001202554305 1044323272 /nfs/dbraw/zinc/32/32/72/1044323272.db2.gz DPANNYKBHNPFQE-CYBMUJFWSA-N 0 3 308.274 4.086 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@H](CO)[C@H]1C ZINC000475202859 1044324200 /nfs/dbraw/zinc/32/42/00/1044324200.db2.gz BJMCADJPBBDADO-CHWSQXEVSA-N 0 3 313.894 4.043 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(F)F)cc1)c1cccc(CO)c1 ZINC000293757938 1044324227 /nfs/dbraw/zinc/32/42/27/1044324227.db2.gz QZDRRMOFGZTQEN-CYBMUJFWSA-N 0 3 305.368 4.141 20 0 DIADHN CNC(=O)C[C@H](N[C@@H]1CC[C@@H](C)c2ccccc21)c1ccccc1 ZINC000360922549 1044330393 /nfs/dbraw/zinc/33/03/93/1044330393.db2.gz RPGSULOOVLBTEK-YSGRDPCXSA-N 0 3 322.452 4.092 20 0 DIADHN COc1ccc([C@H](NCc2cccc(SC)c2)C2CC2)cn1 ZINC000626181125 1044331336 /nfs/dbraw/zinc/33/13/36/1044331336.db2.gz VHLDMUIJJJZHMY-GOSISDBHSA-N 0 3 314.454 4.053 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CC[C@@H](C(F)(F)F)[C@H]2C)c1 ZINC000475280077 1044338384 /nfs/dbraw/zinc/33/83/84/1044338384.db2.gz MWEFUGKGENKXDK-IUODEOHRSA-N 0 3 323.362 4.158 20 0 DIADHN Cc1nc([C@H](C)NCCc2c(C)oc3ccccc32)cs1 ZINC000360999691 1044343419 /nfs/dbraw/zinc/34/34/19/1044343419.db2.gz VLXUWAVZKADNBB-NSHDSACASA-N 0 3 300.427 4.399 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1 ZINC000361001990 1044343875 /nfs/dbraw/zinc/34/38/75/1044343875.db2.gz HSWDWVSNZUNEMS-GOSISDBHSA-N 0 3 313.466 4.422 20 0 DIADHN Cc1nc2ccc(CN3CC[C@H](C(F)(F)F)[C@@H]3C)cc2s1 ZINC000475285626 1044346228 /nfs/dbraw/zinc/34/62/28/1044346228.db2.gz ZQTJPAHUEZFOJJ-CABZTGNLSA-N 0 3 314.376 4.377 20 0 DIADHN Cc1ccsc1CN(C)Cc1c[nH]nc1-c1ccsc1 ZINC000361015267 1044347307 /nfs/dbraw/zinc/34/73/07/1044347307.db2.gz AHQJBMQBRSPJHW-UHFFFAOYSA-N 0 3 303.456 4.140 20 0 DIADHN CC[C@@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccc(F)cn1 ZINC000564148497 1044348983 /nfs/dbraw/zinc/34/89/83/1044348983.db2.gz NHEXOOCMAKENKA-ZHALLVOQSA-N 0 3 314.404 4.182 20 0 DIADHN CC[C@@H](NCCOCC1CCC1)c1ccccc1OC(F)F ZINC000361034045 1044352611 /nfs/dbraw/zinc/35/26/11/1044352611.db2.gz VMKMEYJDJRNIAG-OAHLLOKOSA-N 0 3 313.388 4.145 20 0 DIADHN Cc1nc(CNC2CCC(C)(C)CC2)sc1Br ZINC000719322082 1044353594 /nfs/dbraw/zinc/35/35/94/1044353594.db2.gz NJDJMIGCBRYSMX-UHFFFAOYSA-N 0 3 317.296 4.272 20 0 DIADHN Cc1nc(CNC2CCCCCCC2)sc1Br ZINC000719321279 1044353791 /nfs/dbraw/zinc/35/37/91/1044353791.db2.gz DYTLTOJKTFCZSL-UHFFFAOYSA-N 0 3 317.296 4.417 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cnc2cccc(C)n12 ZINC001137198703 1044355309 /nfs/dbraw/zinc/35/53/09/1044355309.db2.gz HNNTUJOXADAHAD-LJQANCHMSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1cc(CN2CCc3ccccc3CC2)c2ccccc2n1 ZINC000523016786 1044359387 /nfs/dbraw/zinc/35/93/87/1044359387.db2.gz DRKFHBUIKDZDRM-UHFFFAOYSA-N 0 3 302.421 4.144 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NCc1ccc(C2CCCC2)cc1 ZINC000361126188 1044362767 /nfs/dbraw/zinc/36/27/67/1044362767.db2.gz ZSAPDRQTQHTSLB-IBGZPJMESA-N 0 3 309.457 4.245 20 0 DIADHN COC1(C[C@@H](C)N[C@@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000475329492 1044362934 /nfs/dbraw/zinc/36/29/34/1044362934.db2.gz LYBVQPWKSPHQEJ-XLIONFOSSA-N 0 3 324.468 4.417 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000475332695 1044363828 /nfs/dbraw/zinc/36/38/28/1044363828.db2.gz MOEIREHEVDZICW-JFIYKMOQSA-N 0 3 316.489 4.010 20 0 DIADHN OCC[C@H](NCc1cccc2ccoc21)c1ccc(Cl)cc1 ZINC001202612699 1044370747 /nfs/dbraw/zinc/37/07/47/1044370747.db2.gz ZXQRJCMRIVACCD-KRWDZBQOSA-N 0 3 315.800 4.300 20 0 DIADHN CC(C)CN(Cc1cn(C)nc1C(F)F)Cc1ccccc1 ZINC000361178098 1044371075 /nfs/dbraw/zinc/37/10/75/1044371075.db2.gz KODCPWDQJFRVEF-UHFFFAOYSA-N 0 3 307.388 4.016 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361186713 1044371943 /nfs/dbraw/zinc/37/19/43/1044371943.db2.gz MKXRLNGIXUIBCC-SKDRFNHKSA-N 0 3 323.305 4.499 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361186708 1044373395 /nfs/dbraw/zinc/37/33/95/1044373395.db2.gz MKXRLNGIXUIBCC-CABZTGNLSA-N 0 3 323.305 4.499 20 0 DIADHN CCCOc1ccc(CN[C@H](CC)c2ccc(F)cc2)cn1 ZINC000361231628 1044378675 /nfs/dbraw/zinc/37/86/75/1044378675.db2.gz JKTSJMDRRIBDKO-QGZVFWFLSA-N 0 3 302.393 4.250 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C[C@H]1CCCCC1(C)C ZINC000564740420 1044400660 /nfs/dbraw/zinc/40/06/60/1044400660.db2.gz QHBFYSZPCVGRSG-MRXNPFEDSA-N 0 3 302.462 4.293 20 0 DIADHN CCc1ccc(CNC[C@@H](Cc2ccccc2)C(F)(F)F)cn1 ZINC000564761458 1044401649 /nfs/dbraw/zinc/40/16/49/1044401649.db2.gz XRHNWFHTQSRQGE-MRXNPFEDSA-N 0 3 322.374 4.155 20 0 DIADHN CC[C@H](NCC[C@@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000348283838 1044406603 /nfs/dbraw/zinc/40/66/03/1044406603.db2.gz YKQWDRJTEKWPNJ-QWRGUYRKSA-N 0 3 322.396 4.162 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000192946796 1044407514 /nfs/dbraw/zinc/40/75/14/1044407514.db2.gz XPOQASZAEBGMQQ-ZIAGYGMSSA-N 0 3 312.413 4.065 20 0 DIADHN CC[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccccc1OC ZINC000361480716 1044408437 /nfs/dbraw/zinc/40/84/37/1044408437.db2.gz FETLYOWDFHATCK-LJQANCHMSA-N 0 3 321.424 4.122 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cccc(CC)c2)cc1 ZINC000565080295 1044411726 /nfs/dbraw/zinc/41/17/26/1044411726.db2.gz XLOCXPCGEBQEQC-UHFFFAOYSA-N 0 3 310.441 4.277 20 0 DIADHN FC(F)n1c2ccccc2nc1CN(CC1CC1)C1CCC1 ZINC000361587710 1044422727 /nfs/dbraw/zinc/42/27/27/1044422727.db2.gz PORHXSVNZNFQGF-UHFFFAOYSA-N 0 3 305.372 4.196 20 0 DIADHN Cc1ccc2c(c1)CN(CCCC(=O)Nc1c(C)cccc1C)C2 ZINC000361605400 1044423923 /nfs/dbraw/zinc/42/39/23/1044423923.db2.gz YKDXRSDOUXXHOQ-UHFFFAOYSA-N 0 3 322.452 4.346 20 0 DIADHN OC1(CN[C@H](c2cc3ccccc3o2)c2ccccc2)CCCC1 ZINC000565319911 1044427399 /nfs/dbraw/zinc/42/73/99/1044427399.db2.gz CIQXRTQHJRGBTQ-FQEVSTJZSA-N 0 3 321.420 4.417 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cnc3n2CCCC3)c2sccc21 ZINC000565404470 1044432273 /nfs/dbraw/zinc/43/22/73/1044432273.db2.gz CHHRNSXMNRXHTF-SWLSCSKDSA-N 0 3 301.459 4.009 20 0 DIADHN FC(F)(F)C[C@H]1CCN(Cc2ccc(OCC3CC3)cc2)C1 ZINC000565402080 1044432540 /nfs/dbraw/zinc/43/25/40/1044432540.db2.gz GNZHLIZKAPRYKM-OAHLLOKOSA-N 0 3 313.363 4.250 20 0 DIADHN CSc1ccc(CN2CCC3(CCC(C)(C)O3)CC2)s1 ZINC001142029353 1044437566 /nfs/dbraw/zinc/43/75/66/1044437566.db2.gz ZJDRJGYTVWQHCF-UHFFFAOYSA-N 0 3 311.516 4.394 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc(C(F)F)cc3)C2)cc1 ZINC000680865244 1044440501 /nfs/dbraw/zinc/44/05/01/1044440501.db2.gz NYLWXXSDKHJXDT-UHFFFAOYSA-N 0 3 305.343 4.438 20 0 DIADHN C[C@H](NCc1ccc(N2CCCC2)nc1)c1ccc(Cl)cc1 ZINC000112522125 1044441625 /nfs/dbraw/zinc/44/16/25/1044441625.db2.gz LTZKDGBOYPGXDJ-AWEZNQCLSA-N 0 3 315.848 4.186 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OCC(F)(F)F)cc1)c1cccnc1 ZINC000112536081 1044442243 /nfs/dbraw/zinc/44/22/43/1044442243.db2.gz WSVUAYNLCJNUNP-QWHCGFSZSA-N 0 3 324.346 4.435 20 0 DIADHN CC(C)P(CCNC(=O)Nc1cc(F)ccc1F)C(C)C ZINC001202664821 1044449543 /nfs/dbraw/zinc/44/95/43/1044449543.db2.gz MVRFDAMMAWIHET-UHFFFAOYSA-N 0 3 316.332 4.385 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)c2nc(-c3ccc(F)c(C)c3)no2)C1 ZINC000302927997 1044451392 /nfs/dbraw/zinc/45/13/92/1044451392.db2.gz FBWRHYWVRUFQIU-KBPBESRZSA-N 0 3 317.408 4.367 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN([C@@H](C)c1ccco1)C1CC1 ZINC000361866016 1044454066 /nfs/dbraw/zinc/45/40/66/1044454066.db2.gz RRMWRWDOSDFHNB-INIZCTEOSA-N 0 3 321.424 4.499 20 0 DIADHN Cc1nc(CNCCCc2c(F)cccc2Cl)sc1C ZINC000565768702 1044454612 /nfs/dbraw/zinc/45/46/12/1044454612.db2.gz NQCHSYZZNYTXQQ-UHFFFAOYSA-N 0 3 312.841 4.275 20 0 DIADHN CC[C@@H](NCc1oc(-c2ccccc2)nc1C)[C@H]1CCCCO1 ZINC000639747822 1044455733 /nfs/dbraw/zinc/45/57/33/1044455733.db2.gz ABEGZWOFVMMFDI-IAGOWNOFSA-N 0 3 314.429 4.087 20 0 DIADHN Cc1ccc(N(C)C(=O)c2oc3ccccc3c2CN(C)C)cc1 ZINC000114258522 1044456705 /nfs/dbraw/zinc/45/67/05/1044456705.db2.gz BTZUYNWQLJYJGF-UHFFFAOYSA-N 0 3 322.408 4.079 20 0 DIADHN CC[C@@H]1CN(CC)CCN1Cc1csc(C2CCCCC2)n1 ZINC001307765715 1044456774 /nfs/dbraw/zinc/45/67/74/1044456774.db2.gz JCMSYCAXAQKEQH-QGZVFWFLSA-N 0 3 321.534 4.107 20 0 DIADHN C[C@H]1CN(CCSc2ccc(Cl)cc2)CCC1(F)F ZINC000565802944 1044457053 /nfs/dbraw/zinc/45/70/53/1044457053.db2.gz MDKWDWXETSXMKR-NSHDSACASA-N 0 3 305.821 4.409 20 0 DIADHN CCOc1cc(CN[C@H]2CCc3c2cccc3F)ccc1OC ZINC000126942690 1044461710 /nfs/dbraw/zinc/46/17/10/1044461710.db2.gz PFOVHPJSGRGVPM-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C)cc1OC)CCC2 ZINC000361985027 1044467221 /nfs/dbraw/zinc/46/72/21/1044467221.db2.gz WGGZQGBZMPPUKA-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN CCOCCCN1CCC[C@@H]1c1nc2ccccc2n1C(C)C ZINC000348303405 1044467285 /nfs/dbraw/zinc/46/72/85/1044467285.db2.gz FGJQEIDLDJUPOW-GOSISDBHSA-N 0 3 315.461 4.181 20 0 DIADHN CCOc1ccc(C[C@H](C)CNCc2csc(CC)n2)cc1 ZINC001202684883 1044468498 /nfs/dbraw/zinc/46/84/98/1044468498.db2.gz UIEHWAUMPAPKHC-AWEZNQCLSA-N 0 3 318.486 4.073 20 0 DIADHN CCCN(Cc1nnc(-c2cc3c(s2)CCCC3)o1)C(C)C ZINC000566018218 1044468778 /nfs/dbraw/zinc/46/87/78/1044468778.db2.gz XNQYFDNCONXJKA-UHFFFAOYSA-N 0 3 319.474 4.297 20 0 DIADHN CC(C)Cc1ccc([C@@H](NCc2cccnc2N)C2CC2)cc1 ZINC000565991599 1044469713 /nfs/dbraw/zinc/46/97/13/1044469713.db2.gz PVRXXFQJJNEPAD-LJQANCHMSA-N 0 3 309.457 4.103 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@H](C)c3ccccc31)CCO2 ZINC000566032541 1044471639 /nfs/dbraw/zinc/47/16/39/1044471639.db2.gz KXUCDQGLYYVWPI-FASAQXTFSA-N 0 3 309.409 4.357 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@@H]1COc2ccccc21 ZINC000566032127 1044471758 /nfs/dbraw/zinc/47/17/58/1044471758.db2.gz SZSDXUYVBTVVCY-LLVKDONJSA-N 0 3 308.208 4.259 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2CCCNc3ccccc32)c2ccccc2O1 ZINC000295098739 1044489965 /nfs/dbraw/zinc/48/99/65/1044489965.db2.gz SMEZHVMLBVGKJE-MDASCCDHSA-N 0 3 308.425 4.435 20 0 DIADHN N#CC(C(=O)CCc1cccc(Cl)c1)c1nc2ccccc2[nH]1 ZINC000041495212 1044493131 /nfs/dbraw/zinc/49/31/31/1044493131.db2.gz CFGVHARQXPYRCF-CQSZACIVSA-N 0 3 323.783 4.025 20 0 DIADHN N#CC(C(=O)CCc1ccc(-c2ccccc2)o1)c1ccccn1 ZINC000041493727 1044494622 /nfs/dbraw/zinc/49/46/22/1044494622.db2.gz HPJSQIQCNWOWNR-QGZVFWFLSA-N 0 3 316.360 4.151 20 0 DIADHN Fc1cccc([C@@H]2CC[C@H](NCc3ncc(Cl)s3)C2)c1 ZINC000475545535 1044495886 /nfs/dbraw/zinc/49/58/86/1044495886.db2.gz DSNZJBIHSZPAMG-YPMHNXCESA-N 0 3 310.825 4.362 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ccc(F)cc2C(F)(F)F)CC1 ZINC000475564946 1044504545 /nfs/dbraw/zinc/50/45/45/1044504545.db2.gz ZJZCFEVUNHAINU-HNNXBMFYSA-N 0 3 319.342 4.236 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2cccc(C(F)(F)F)c2)CC1 ZINC000475567246 1044506322 /nfs/dbraw/zinc/50/63/22/1044506322.db2.gz KCFXDUJUAGBKNP-HNNXBMFYSA-N 0 3 301.352 4.096 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000295309460 1044507685 /nfs/dbraw/zinc/50/76/85/1044507685.db2.gz VUXASNBQJWTWER-TYNCELHUSA-N 0 3 323.362 4.129 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000295309457 1044508530 /nfs/dbraw/zinc/50/85/30/1044508530.db2.gz VUXASNBQJWTWER-LALPHHSUSA-N 0 3 323.362 4.129 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccs2)c(O[C@H]2CCOC2)c1 ZINC000120562101 1044509487 /nfs/dbraw/zinc/50/94/87/1044509487.db2.gz BGBMCKQWWHOFRJ-ZBFHGGJFSA-N 0 3 317.454 4.075 20 0 DIADHN Fc1ccccc1CCCNCc1coc(-c2ccccc2)n1 ZINC000475574761 1044511867 /nfs/dbraw/zinc/51/18/67/1044511867.db2.gz XUALTXHTRMEMOB-UHFFFAOYSA-N 0 3 310.372 4.203 20 0 DIADHN Cc1cc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c(C)s1 ZINC000566815176 1044518023 /nfs/dbraw/zinc/51/80/23/1044518023.db2.gz XYXPGFZAACFAPP-SCLBCKFNSA-N 0 3 320.477 4.458 20 0 DIADHN CCN(Cc1csc(-c2ccc(OC)cc2)n1)CC1CCC1 ZINC000475578452 1044519935 /nfs/dbraw/zinc/51/99/35/1044519935.db2.gz OWZONYFSEFCUGV-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@H](O)c2ccc(Cl)cc2)s1 ZINC000303995496 1044524128 /nfs/dbraw/zinc/52/41/28/1044524128.db2.gz OYHGUMPKHUENLJ-ABAIWWIYSA-N 0 3 324.877 4.140 20 0 DIADHN Cc1ccc2nc(CN3CC[C@H](F)C(F)(F)CC3)ccc2c1 ZINC001137209479 1044530218 /nfs/dbraw/zinc/53/02/18/1044530218.db2.gz HNDRZWCRCDVFLZ-INIZCTEOSA-N 0 3 308.347 4.112 20 0 DIADHN Cc1nc2ccc(Nc3ccc(O)cc3C(F)(F)F)cc2[nH]1 ZINC001213075359 1044536208 /nfs/dbraw/zinc/53/62/08/1044536208.db2.gz QDBHYCFSUAKZJG-UHFFFAOYSA-N 0 3 307.275 4.339 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2ncc(C)s2)cc1 ZINC000135161741 1044540084 /nfs/dbraw/zinc/54/00/84/1044540084.db2.gz DARMYGXSSIQPBJ-JSGCOSHPSA-N 0 3 304.459 4.132 20 0 DIADHN COc1ncc(Nc2ccnc(C3CC3)c2)cc1C(F)(F)F ZINC001212801239 1044540602 /nfs/dbraw/zinc/54/06/02/1044540602.db2.gz CDYAMDQLUYFHLJ-UHFFFAOYSA-N 0 3 309.291 4.125 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(C)cc3C)C2)sc1C ZINC000348327762 1044540782 /nfs/dbraw/zinc/54/07/82/1044540782.db2.gz KDXMDTWJBVCZFB-INIZCTEOSA-N 0 3 300.471 4.366 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(C)cc3C)C2)sc1C ZINC000348327764 1044541862 /nfs/dbraw/zinc/54/18/62/1044541862.db2.gz KDXMDTWJBVCZFB-MRXNPFEDSA-N 0 3 300.471 4.366 20 0 DIADHN COC[C@@H](NCCc1ccsc1)c1cccc(Cl)c1F ZINC000567368688 1044561372 /nfs/dbraw/zinc/56/13/72/1044561372.db2.gz CDKXPJKBGRRUOW-CQSZACIVSA-N 0 3 313.825 4.060 20 0 DIADHN C[C@@H]1C[C@H](NCCCc2cccc(C(F)(F)F)c2)c2nccn21 ZINC000295812228 1044562007 /nfs/dbraw/zinc/56/20/07/1044562007.db2.gz CUVLIJRTVWOUOV-DOMZBBRYSA-N 0 3 323.362 4.130 20 0 DIADHN COC[C@H](N[C@H]1CCC[C@@H](F)C1)c1cccc(Cl)c1F ZINC000567470600 1044568383 /nfs/dbraw/zinc/56/83/83/1044568383.db2.gz HPOAUDVDNNMRLP-SUNKGSAMSA-N 0 3 303.780 4.037 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(OC(C)(C)C)cc2)cn1 ZINC000295877911 1044570956 /nfs/dbraw/zinc/57/09/56/1044570956.db2.gz GTAAKCKLFWNGKP-LSDHHAIUSA-N 0 3 315.461 4.492 20 0 DIADHN Cc1cc([C@H](C)NCc2ccnc(N3CCCCC3)c2)cs1 ZINC000295895170 1044573745 /nfs/dbraw/zinc/57/37/45/1044573745.db2.gz IJXNMSUVYDMQJO-HNNXBMFYSA-N 0 3 315.486 4.293 20 0 DIADHN Cn1nccc1CN[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000135977271 1044578389 /nfs/dbraw/zinc/57/83/89/1044578389.db2.gz SAHQYYUTNBVPOX-QGZVFWFLSA-N 0 3 303.837 4.095 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2cccc(-c3ccncc3)c2)cn1 ZINC000295977314 1044579000 /nfs/dbraw/zinc/57/90/00/1044579000.db2.gz OKAHWPOAQGZOEP-HOTGVXAUSA-N 0 3 320.440 4.377 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc(N(C)C(C)C)nc1 ZINC000567662895 1044580096 /nfs/dbraw/zinc/58/00/96/1044580096.db2.gz JGQOFFJSYBPDEU-IBGZPJMESA-N 0 3 309.457 4.012 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2ccccc2F)no1)C1CC1 ZINC000444623195 1044580681 /nfs/dbraw/zinc/58/06/81/1044580681.db2.gz IRUBHGIUAKKGBB-STQMWFEESA-N 0 3 317.408 4.275 20 0 DIADHN CN(C)Cc1ccc(C(=O)N2CCC(C)(C)c3ccccc32)cc1 ZINC000567789329 1044583074 /nfs/dbraw/zinc/58/30/74/1044583074.db2.gz JQWPOQBXTSIMFD-UHFFFAOYSA-N 0 3 322.452 4.076 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1ccc3c[nH]nc3c1)CCC2 ZINC000296019423 1044584700 /nfs/dbraw/zinc/58/47/00/1044584700.db2.gz MEZBAVKJYNFNDJ-KRWDZBQOSA-N 0 3 313.351 4.008 20 0 DIADHN C[C@H]1CC(C)(C)C[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000193141612 1044584655 /nfs/dbraw/zinc/58/46/55/1044584655.db2.gz MMIBKRQWWFTUSE-JSGCOSHPSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1c[nH]nc1-c1ccccc1 ZINC000353665085 1044591797 /nfs/dbraw/zinc/59/17/97/1044591797.db2.gz IQYCTIPLHKKLIH-HNNXBMFYSA-N 0 3 303.409 4.024 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](C)c2cnn(CC)c2)cc1 ZINC000296118499 1044592359 /nfs/dbraw/zinc/59/23/59/1044592359.db2.gz ZXLHCDRTCALSAF-JKSUJKDBSA-N 0 3 315.461 4.494 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(C)s2)c1OC ZINC000885940665 1044593445 /nfs/dbraw/zinc/59/34/45/1044593445.db2.gz OTUJKKWYQKREDP-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1cc([C@H](C)NCc2cccc(Cn3cccn3)c2)cs1 ZINC000296141541 1044593418 /nfs/dbraw/zinc/59/34/18/1044593418.db2.gz WFUDBMQOXMAZBV-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN CCN(Cc1csc(-c2ncccn2)n1)[C@@H]1CCCC[C@H]1C ZINC000444666521 1044595060 /nfs/dbraw/zinc/59/50/60/1044595060.db2.gz WXYHVMSABACOIC-UKRRQHHQSA-N 0 3 316.474 4.001 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2ccc(C)cc2)o1 ZINC000444664823 1044595422 /nfs/dbraw/zinc/59/54/22/1044595422.db2.gz NERYAIFNIKYCFP-RDJZCZTQSA-N 0 3 313.445 4.446 20 0 DIADHN Cc1[nH]nc(CN[C@H](C)c2ccc(SC(C)C)cc2)c1C ZINC000885967324 1044596813 /nfs/dbraw/zinc/59/68/13/1044596813.db2.gz MBMDRGZEBGVRGG-CQSZACIVSA-N 0 3 303.475 4.378 20 0 DIADHN CCN(Cc1nccn1Cc1ccccc1)[C@@H]1CCCC[C@H]1C ZINC000444679487 1044599794 /nfs/dbraw/zinc/59/97/94/1044599794.db2.gz VCQRXYYXRIUVRL-IEBWSBKVSA-N 0 3 311.473 4.332 20 0 DIADHN CO[C@@H]1CCC[C@@H](NCc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000886005485 1044600058 /nfs/dbraw/zinc/60/00/58/1044600058.db2.gz BZESJJBAPZCNFZ-SJLPKXTDSA-N 0 3 314.429 4.087 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(CSC)cc1)CC2 ZINC000568064790 1044602018 /nfs/dbraw/zinc/60/20/18/1044602018.db2.gz QHCOUYBVKUNYNK-LJQANCHMSA-N 0 3 313.466 4.335 20 0 DIADHN Fc1ccccc1SCCN1CC[C@@H](CC(F)(F)F)C1 ZINC000444698179 1044605504 /nfs/dbraw/zinc/60/55/04/1044605504.db2.gz CFJZYWWWVHPMKS-NSHDSACASA-N 0 3 307.356 4.192 20 0 DIADHN CCOC(=O)CCCCCN(C)Cc1cc(Cl)ccc1F ZINC000444695083 1044606472 /nfs/dbraw/zinc/60/64/72/1044606472.db2.gz RLNBIIOBHPPCHX-UHFFFAOYSA-N 0 3 315.816 4.034 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](CC(F)(F)F)C2)cc1 ZINC000444693733 1044606733 /nfs/dbraw/zinc/60/67/33/1044606733.db2.gz ILNSXUIDDOJASX-AWEZNQCLSA-N 0 3 301.352 4.248 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H]2CCCc3sccc32)ccn1 ZINC000296333375 1044607331 /nfs/dbraw/zinc/60/73/31/1044607331.db2.gz HKBHQFVWFJQIIS-GFCCVEGCSA-N 0 3 312.360 4.329 20 0 DIADHN FC(F)(F)c1cc(CN[C@H](c2ccccc2)C2CC2)ccn1 ZINC000296335563 1044609472 /nfs/dbraw/zinc/60/94/72/1044609472.db2.gz HOXZPFYMWJTRBB-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2csc(C)c2)cc1OC ZINC000296371546 1044611815 /nfs/dbraw/zinc/61/18/15/1044611815.db2.gz KAEUGWRXYWFQOF-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cncc(Br)c2)cc1 ZINC000568275809 1044613596 /nfs/dbraw/zinc/61/35/96/1044613596.db2.gz YWNFDOIRUJWXTA-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCCN(CCC)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000568374256 1044622228 /nfs/dbraw/zinc/62/22/28/1044622228.db2.gz IIKHOXBXYZZACX-LLVKDONJSA-N 0 3 317.260 4.442 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1ccc2cccc(Cl)c2n1 ZINC001137226053 1044622984 /nfs/dbraw/zinc/62/29/84/1044622984.db2.gz KLSJBRFAYVDJIX-INIZCTEOSA-N 0 3 318.848 4.278 20 0 DIADHN Cc1ccc(NC(=O)CN(CC2CCC2)C(C)C)c(Cl)c1 ZINC000444766268 1044622961 /nfs/dbraw/zinc/62/29/61/1044622961.db2.gz HCXUWOIGDYKRRY-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C/c1ccc(Cl)cc1 ZINC000042752764 1044623397 /nfs/dbraw/zinc/62/33/97/1044623397.db2.gz RQMNUFJYWMTNNR-FMIVXFBMSA-N 0 3 314.816 4.054 20 0 DIADHN Clc1cccc2ccc(CN3CC[C@H](c4ccccn4)C3)nc12 ZINC001137226722 1044623573 /nfs/dbraw/zinc/62/35/73/1044623573.db2.gz WTMYITHLEXJOCF-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1ccc([C@H](NCc2n[nH]c(C3CC3)n2)C2CCCC2)cc1 ZINC000296514247 1044624839 /nfs/dbraw/zinc/62/48/39/1044624839.db2.gz PPLBZZPRHFMTHT-GOSISDBHSA-N 0 3 310.445 4.012 20 0 DIADHN Fc1ccc2c(c1)CCC[C@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000296525061 1044624860 /nfs/dbraw/zinc/62/48/60/1044624860.db2.gz QEEJTBQBNJTGSQ-OAHLLOKOSA-N 0 3 324.321 4.407 20 0 DIADHN Clc1ccc(-n2ccc(CN3CCCC34CCC4)n2)cc1 ZINC000444774641 1044625710 /nfs/dbraw/zinc/62/57/10/1044625710.db2.gz JWTDEBHJDGDPCI-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN CC[C@@H](NCCOc1ccc(F)cc1)c1ccc(F)cc1F ZINC000523389698 1044626213 /nfs/dbraw/zinc/62/62/13/1044626213.db2.gz ATSZKTSFPKKTSG-QGZVFWFLSA-N 0 3 309.331 4.224 20 0 DIADHN C[C@H](NC(=O)CN1CCCC12CCC2)c1cccc2ccccc21 ZINC000444778567 1044627320 /nfs/dbraw/zinc/62/73/20/1044627320.db2.gz WPIULPMZRBQNGZ-INIZCTEOSA-N 0 3 322.452 4.036 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)cc1O ZINC000042800716 1044629674 /nfs/dbraw/zinc/62/96/74/1044629674.db2.gz SCBZULHYZMKAGO-SFHVURJKSA-N 0 3 317.404 4.417 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN(C1CC1)C1CCCC1 ZINC000568484217 1044630264 /nfs/dbraw/zinc/63/02/64/1044630264.db2.gz KBVBAGGSSRONQY-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN Cc1cc(C)n(CCN2CCCC[C@H]2c2cccc(Cl)c2)n1 ZINC000444794957 1044631037 /nfs/dbraw/zinc/63/10/37/1044631037.db2.gz UBULNRMSCLJOLR-SFHVURJKSA-N 0 3 317.864 4.381 20 0 DIADHN CC[C@@H](NCC(=O)N(C)c1ccccc1)c1cccc(Cl)c1 ZINC000195673456 1044631964 /nfs/dbraw/zinc/63/19/64/1044631964.db2.gz AIFGYUDFFSAJQZ-QGZVFWFLSA-N 0 3 316.832 4.044 20 0 DIADHN COc1ccc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)nc1 ZINC000568535645 1044632890 /nfs/dbraw/zinc/63/28/90/1044632890.db2.gz DGDUZXUUVGRHIH-SFHVURJKSA-N 0 3 302.393 4.058 20 0 DIADHN CC(C)N(C/C=C/c1ccc(F)c(F)c1)Cc1ccccn1 ZINC000568564208 1044635738 /nfs/dbraw/zinc/63/57/38/1044635738.db2.gz NRSFQOJZXBXORC-AATRIKPKSA-N 0 3 302.368 4.284 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@H](Nc3ccccc3)C2)cc1F ZINC000568565067 1044637113 /nfs/dbraw/zinc/63/71/13/1044637113.db2.gz GFRLBYUGRREJTK-CHYADLBTSA-N 0 3 314.379 4.164 20 0 DIADHN CCC[C@H](N[C@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886491582 1044645929 /nfs/dbraw/zinc/64/59/29/1044645929.db2.gz IRPKWFKJIQICMH-KDOFPFPSSA-N 0 3 312.413 4.060 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cccnc1 ZINC000886491934 1044646467 /nfs/dbraw/zinc/64/64/67/1044646467.db2.gz XAISVUFNKOJRKW-KZULUSFZSA-N 0 3 309.388 4.430 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cccnc1 ZINC000886491936 1044646873 /nfs/dbraw/zinc/64/68/73/1044646873.db2.gz XAISVUFNKOJRKW-XIKOKIGWSA-N 0 3 309.388 4.430 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCSc2c(F)cccc21)c1cnn(C)c1 ZINC000886502909 1044648549 /nfs/dbraw/zinc/64/85/49/1044648549.db2.gz TVQCCPOIEHALSH-CVEARBPZSA-N 0 3 319.449 4.083 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000296910146 1044650008 /nfs/dbraw/zinc/65/00/08/1044650008.db2.gz PHVHOPXHEIUXMT-LZWOXQAQSA-N 0 3 301.459 4.049 20 0 DIADHN Cc1cnccc1CNC(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000296881064 1044651238 /nfs/dbraw/zinc/65/12/38/1044651238.db2.gz PWHNSNIYMYEMGW-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN Cc1cc(C)cc(C(=O)N(CCN2CCCC2)c2ccccc2)c1 ZINC000523533439 1044652811 /nfs/dbraw/zinc/65/28/11/1044652811.db2.gz JDWYSCNVADDYJI-UHFFFAOYSA-N 0 3 322.452 4.046 20 0 DIADHN Fc1cncc(CN2CCC[C@]3(CCCc4ccccc43)C2)c1 ZINC000568861524 1044656268 /nfs/dbraw/zinc/65/62/68/1044656268.db2.gz MPGPTWFFDSVJAL-HXUWFJFHSA-N 0 3 310.416 4.091 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H]1CCc2cccnc21 ZINC000886547392 1044658117 /nfs/dbraw/zinc/65/81/17/1044658117.db2.gz KTKVWTBIQLXQFR-IWEFOYFVSA-N 0 3 316.832 4.088 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)CC1(C)CCCCC1)c1ccco1 ZINC000568921879 1044660179 /nfs/dbraw/zinc/66/01/79/1044660179.db2.gz HFFQSFFBTFAOJE-CVEARBPZSA-N 0 3 320.477 4.186 20 0 DIADHN COC(=O)c1cncc([C@@H](C)N[C@@H](CC2CC2)c2ccccc2)c1 ZINC000886574245 1044663303 /nfs/dbraw/zinc/66/33/03/1044663303.db2.gz WTBLGSMOOPUCBF-KUHUBIRLSA-N 0 3 324.424 4.060 20 0 DIADHN FC(F)(F)[C@@H](CN[C@H]1CCc2cccnc21)c1ccccc1 ZINC000886594171 1044666506 /nfs/dbraw/zinc/66/65/06/1044666506.db2.gz HBSFKLOOZJTSOA-GJZGRUSLSA-N 0 3 306.331 4.005 20 0 DIADHN Cc1cnn(CCCCCN(C)[C@@H](C)c2cc(F)ccc2F)c1 ZINC000523612031 1044666924 /nfs/dbraw/zinc/66/69/24/1044666924.db2.gz PTVAWLUGLBCSAG-HNNXBMFYSA-N 0 3 321.415 4.333 20 0 DIADHN COc1ccc(C[C@@H](C)NCc2ncoc2-c2ccccc2)cc1 ZINC000364493795 1044667298 /nfs/dbraw/zinc/66/72/98/1044667298.db2.gz SJXYOFHOWXHPMR-OAHLLOKOSA-N 0 3 322.408 4.071 20 0 DIADHN CC[C@@H]1CCCN1CC(=O)N(CC)c1cccc2ccccc21 ZINC000523606597 1044667410 /nfs/dbraw/zinc/66/74/10/1044667410.db2.gz GTOYEPJXJHGDDF-QGZVFWFLSA-N 0 3 310.441 4.067 20 0 DIADHN COC(=O)c1cncc([C@H](C)N[C@@H](C)c2cccc(C3CC3)c2)c1 ZINC000886616042 1044671043 /nfs/dbraw/zinc/67/10/43/1044671043.db2.gz TVHMSWCJRXFYJU-KBPBESRZSA-N 0 3 324.424 4.157 20 0 DIADHN CC(C)[C@H](NCc1ccc(C(C)(C)C)s1)c1cnn(C)c1 ZINC000886649541 1044674670 /nfs/dbraw/zinc/67/46/70/1044674670.db2.gz CYAJFOWZSSBZNC-INIZCTEOSA-N 0 3 305.491 4.266 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CCC[C@@H]3N[C@H]1CCCn2nccc21 ZINC000886649502 1044674858 /nfs/dbraw/zinc/67/48/58/1044674858.db2.gz CBMQNFWFLUPYSC-IRXDYDNUSA-N 0 3 324.403 4.006 20 0 DIADHN Cc1nc(C2CCN([C@@H](C)c3ccccc3F)CC2)[nH]c1C ZINC000886668413 1044677190 /nfs/dbraw/zinc/67/71/90/1044677190.db2.gz SHFHRTOJMMGWSG-AWEZNQCLSA-N 0 3 301.409 4.106 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC[C@H](c2ncccn2)C1 ZINC000886678717 1044677423 /nfs/dbraw/zinc/67/74/23/1044677423.db2.gz JLHJHOIDEFKDPE-ZFWWWQNUSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1nc(C2CCN([C@@H](C)c3c(F)cccc3F)CC2)[nH]c1C ZINC000886668137 1044677709 /nfs/dbraw/zinc/67/77/09/1044677709.db2.gz NZKGGJAACIGYEL-ZDUSSCGKSA-N 0 3 319.399 4.245 20 0 DIADHN C[C@@H](CCc1c[nH]c2ccccc12)NCc1cc(C2CC2)no1 ZINC000886686646 1044678881 /nfs/dbraw/zinc/67/88/81/1044678881.db2.gz VAUQQGCXISBLLI-ZDUSSCGKSA-N 0 3 309.413 4.144 20 0 DIADHN Cc1cc(CNC2(c3ccc(F)cc3)CCOCC2)ccc1F ZINC000074372444 1044684082 /nfs/dbraw/zinc/68/40/82/1044684082.db2.gz RBAUCWHLGNCHRE-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN COC1(C[C@@H](C)N[C@H](c2ccccc2)c2ccccn2)CCC1 ZINC000365402850 1044688285 /nfs/dbraw/zinc/68/82/85/1044688285.db2.gz LFIXRHANKXPPCK-VQIMIIECSA-N 0 3 310.441 4.108 20 0 DIADHN Cc1ncc(CN2CC[C@@H](CSc3ccccc3)C2)s1 ZINC000075964660 1044691888 /nfs/dbraw/zinc/69/18/88/1044691888.db2.gz GMMOLSMQWHDABZ-CQSZACIVSA-N 0 3 304.484 4.066 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2nnc(-c3ccco3)o2)C1 ZINC000076387138 1044693464 /nfs/dbraw/zinc/69/34/64/1044693464.db2.gz IAAUEAZIWQVNJH-LSDHHAIUSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@]2(C)CCOC3(CCC3)C2)c(C)s1 ZINC000886774614 1044696863 /nfs/dbraw/zinc/69/68/63/1044696863.db2.gz RBDMEEBNVAFZHD-WBMJQRKESA-N 0 3 308.491 4.150 20 0 DIADHN c1ccc(C2=CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)nc1 ZINC000569658319 1044703057 /nfs/dbraw/zinc/70/30/57/1044703057.db2.gz VKYUFTHRCBXHCL-GOSISDBHSA-N 0 3 312.457 4.053 20 0 DIADHN C(N[C@H]1CCCCC12CCCC2)c1nnc(C2CC2)n1C1CC1 ZINC000365815429 1044704830 /nfs/dbraw/zinc/70/48/30/1044704830.db2.gz WIZOIGIIQGZZSY-INIZCTEOSA-N 0 3 314.477 4.083 20 0 DIADHN CCc1nc(C)c(CN2CCC(Cc3ccc(F)cc3)CC2)o1 ZINC000428400412 1044706580 /nfs/dbraw/zinc/70/65/80/1044706580.db2.gz JIDWSCCSDLDSSC-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2CCOC3(CCCC3)C2)s1 ZINC000366073089 1044711239 /nfs/dbraw/zinc/71/12/39/1044711239.db2.gz MYBBZRBQQKCUAW-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN CC(C)c1nc(C2CCN(CCCCC(F)(F)F)CC2)no1 ZINC000366733615 1044725227 /nfs/dbraw/zinc/72/52/27/1044725227.db2.gz UEFNUYKUAGCWCA-UHFFFAOYSA-N 0 3 319.371 4.105 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NCc1ccc(-c2ccccc2)s1 ZINC000366977243 1044726895 /nfs/dbraw/zinc/72/68/95/1044726895.db2.gz SCEWYMVGVKFIEO-KRWDZBQOSA-N 0 3 323.465 4.316 20 0 DIADHN FC(F)(F)c1cccc(Cl)c1CN[C@H]1CCCSC1 ZINC001257658913 1044728471 /nfs/dbraw/zinc/72/84/71/1044728471.db2.gz BNPFENQYRKMYTR-VIFPVBQESA-N 0 3 309.784 4.344 20 0 DIADHN CCN(C/C=C\c1ccc(F)cc1F)[C@@H](C)c1cccnc1 ZINC000570084334 1044729870 /nfs/dbraw/zinc/72/98/70/1044729870.db2.gz FUAXBQXIFHNLIM-VSKVDJIOSA-N 0 3 302.368 4.456 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cc[nH]c2)cc1OCCC ZINC000086249573 1044731406 /nfs/dbraw/zinc/73/14/06/1044731406.db2.gz CGZCGILJOQMRCD-HNNXBMFYSA-N 0 3 316.445 4.443 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1cc2ccccc2s1 ZINC000367434956 1044743500 /nfs/dbraw/zinc/74/35/00/1044743500.db2.gz AJEQKHKCQLCGIB-ZDUSSCGKSA-N 0 3 311.454 4.285 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(C)cc2C)c2ccccc21 ZINC000367570594 1044746472 /nfs/dbraw/zinc/74/64/72/1044746472.db2.gz QVZDXWPLMZMVRB-OXJNMPFZSA-N 0 3 322.452 4.452 20 0 DIADHN C[C@H](c1nc(-c2coc3ccccc23)no1)N1[C@H](C)CC[C@H]1C ZINC000445550962 1044749979 /nfs/dbraw/zinc/74/99/79/1044749979.db2.gz DOVXLZCKKYKAGS-JHJVBQTASA-N 0 3 311.385 4.417 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1cnccn1 ZINC000090911164 1044752347 /nfs/dbraw/zinc/75/23/47/1044752347.db2.gz CVJHZINJYZPDLW-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cccc(C)n1 ZINC000570389162 1044755451 /nfs/dbraw/zinc/75/54/51/1044755451.db2.gz QHLGHFQNGQHNOA-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1CCc2ccccc21 ZINC000156046060 1044757676 /nfs/dbraw/zinc/75/76/76/1044757676.db2.gz QZUHDHCKSUEXGU-KRWDZBQOSA-N 0 3 304.393 4.161 20 0 DIADHN COC(=O)CCCN(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000524189451 1044763530 /nfs/dbraw/zinc/76/35/30/1044763530.db2.gz OELVHIYVNKAIPJ-OAHLLOKOSA-N 0 3 317.454 4.265 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H]1c3ccccc3C[C@H]1C)CCC2 ZINC000368163284 1044768250 /nfs/dbraw/zinc/76/82/50/1044768250.db2.gz RRWDLPRLENAIHE-MDASCCDHSA-N 0 3 309.457 4.365 20 0 DIADHN Clc1ccsc1CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000570606956 1044768525 /nfs/dbraw/zinc/76/85/25/1044768525.db2.gz JPVWKJGPTXQRRG-AWEZNQCLSA-N 0 3 318.873 4.039 20 0 DIADHN O=C(Nc1cccc(CN[C@H]2CCc3ccccc32)c1)C1CCC1 ZINC000122432995 1044773658 /nfs/dbraw/zinc/77/36/58/1044773658.db2.gz WXVRKBKMZDSDGZ-FQEVSTJZSA-N 0 3 320.436 4.202 20 0 DIADHN C[C@@H](NCc1cccc(NC(=O)C2CCC2)c1)c1cccs1 ZINC000122448671 1044774083 /nfs/dbraw/zinc/77/40/83/1044774083.db2.gz JODFTELJCNIVHM-CYBMUJFWSA-N 0 3 314.454 4.338 20 0 DIADHN CC(=O)CCN(C)[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000156173636 1044774079 /nfs/dbraw/zinc/77/40/79/1044774079.db2.gz KHTOEJATQVSELZ-OAHLLOKOSA-N 0 3 316.470 4.399 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2coc(-c3ccc(C)cc3)n2)C1 ZINC000156191876 1044775970 /nfs/dbraw/zinc/77/59/70/1044775970.db2.gz UNJVGFGLJYBYLQ-RDJZCZTQSA-N 0 3 316.470 4.414 20 0 DIADHN CCCOc1ccc2c(c1)CCN(C1CC(OC(C)(C)C)C1)C2 ZINC000515475447 1044777675 /nfs/dbraw/zinc/77/76/75/1044777675.db2.gz XKBOSTXGEULEOP-UHFFFAOYSA-N 0 3 317.473 4.180 20 0 DIADHN CCOC1CC(CN2CCCC[C@H]2c2nc3ccccc3o2)C1 ZINC000368506433 1044780235 /nfs/dbraw/zinc/78/02/35/1044780235.db2.gz DCPYALWSWMBJBU-DQPZFDDXSA-N 0 3 314.429 4.170 20 0 DIADHN CC[C@H](NCc1ccccc1-c1cnn(C)c1)c1ccccc1F ZINC000368603658 1044781859 /nfs/dbraw/zinc/78/18/59/1044781859.db2.gz RTEJWPFIKSLCLA-FQEVSTJZSA-N 0 3 323.415 4.467 20 0 DIADHN COCC[C@H]1CCCCN([C@@H](C)c2ncc(C(C)(C)C)o2)C1 ZINC000368658222 1044785539 /nfs/dbraw/zinc/78/55/39/1044785539.db2.gz HOHHJZHNSQJSPX-LSDHHAIUSA-N 0 3 308.466 4.172 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1ccc(C2CCC2)cc1 ZINC000571027747 1044793836 /nfs/dbraw/zinc/79/38/36/1044793836.db2.gz OYADWOWJEUWESH-UHFFFAOYSA-N 0 3 311.473 4.102 20 0 DIADHN CCCNc1ccc(CNCc2cc(-c3ccccc3)n[nH]2)cc1 ZINC000193154084 1044796001 /nfs/dbraw/zinc/79/60/01/1044796001.db2.gz CJLHQPWTVMKUEF-UHFFFAOYSA-N 0 3 320.440 4.188 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@H]2c2ccncc2)cc1 ZINC000125531866 1044801577 /nfs/dbraw/zinc/80/15/77/1044801577.db2.gz CRXWVMDOSGWUII-INIZCTEOSA-N 0 3 304.340 4.020 20 0 DIADHN CSc1ccc(OCCN2CCC[C@@H]2c2ccncc2)cc1 ZINC000125380596 1044804068 /nfs/dbraw/zinc/80/40/68/1044804068.db2.gz OVPWVKOJNIOMBH-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(Cl)cc1C ZINC000125635036 1044806689 /nfs/dbraw/zinc/80/66/89/1044806689.db2.gz JUYHEXOALQMFRG-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN COc1ccc(C)cc1CN1CCC[C@H]1c1ccccc1OC ZINC000524371330 1044819389 /nfs/dbraw/zinc/81/93/89/1044819389.db2.gz ATMYNDHGAJRCQE-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC(C)(C)c2ccccc2)c(C)c1 ZINC000263732720 1044823563 /nfs/dbraw/zinc/82/35/63/1044823563.db2.gz QGHRAMWUWIQFIK-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nnc(-c3ccccc3)o2)C1 ZINC000263751190 1044825031 /nfs/dbraw/zinc/82/50/31/1044825031.db2.gz SOLUUAYLJHJJKC-QGZVFWFLSA-N 0 3 319.408 4.035 20 0 DIADHN CC[C@H](NCc1cnc2ccccc2c1)c1ccc(OC)cc1 ZINC000263863783 1044834251 /nfs/dbraw/zinc/83/42/51/1044834251.db2.gz XHKKXUKJOZCPCM-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](CC)c2ccsc2)c1 ZINC000571973111 1044835659 /nfs/dbraw/zinc/83/56/59/1044835659.db2.gz QYRMBZWMLHEMNP-MRXNPFEDSA-N 0 3 302.443 4.338 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cccc(OC(C)(C)C)n1 ZINC000445881922 1044842895 /nfs/dbraw/zinc/84/28/95/1044842895.db2.gz XARHFIMUWQWWRQ-CYBMUJFWSA-N 0 3 318.383 4.326 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@H](C(C)C)CC2)c1 ZINC000524482198 1044850992 /nfs/dbraw/zinc/85/09/92/1044850992.db2.gz HBBDQAMZFGEZOR-KRWDZBQOSA-N 0 3 302.462 4.000 20 0 DIADHN CCc1cc(CN[C@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)on1 ZINC000572335146 1044853110 /nfs/dbraw/zinc/85/31/10/1044853110.db2.gz UCNJSDFCJYBGLF-JIMOISOXSA-N 0 3 310.850 4.234 20 0 DIADHN Cc1ccc(CCCN2CC(C)(C)[C@@H]2c2cccs2)cn1 ZINC000572563460 1044863054 /nfs/dbraw/zinc/86/30/54/1044863054.db2.gz BKDIIRMFWUARNQ-KRWDZBQOSA-N 0 3 300.471 4.467 20 0 DIADHN CC(C)c1ccc(OC2CCN(CCc3ccccn3)CC2)cc1 ZINC000524547721 1044866080 /nfs/dbraw/zinc/86/60/80/1044866080.db2.gz XJWJNKJZSLHWOB-UHFFFAOYSA-N 0 3 324.468 4.291 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1Cl)N(C)CC1CCCCC1 ZINC000572589337 1044866898 /nfs/dbraw/zinc/86/68/98/1044866898.db2.gz QTHXEZZIMHIEGD-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCC[C@@H]3c3ccccc3)nc2c1 ZINC000445993887 1044870147 /nfs/dbraw/zinc/87/01/47/1044870147.db2.gz OELVRZBUPBKURQ-CVEARBPZSA-N 0 3 310.372 4.393 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@@H]3C3CC3)c(Cl)nc21 ZINC000371418366 1044872531 /nfs/dbraw/zinc/87/25/31/1044872531.db2.gz CJJPEFIUTGTBPW-OAHLLOKOSA-N 0 3 318.852 4.040 20 0 DIADHN FC(F)O[C@@H]1CCC[C@@H]1NCc1cnc(C2CCCC2)s1 ZINC000337289201 1044881232 /nfs/dbraw/zinc/88/12/32/1044881232.db2.gz LTJGVCKRUDEULY-QWHCGFSZSA-N 0 3 316.417 4.051 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCCc3nc(C)sc31)CCC2 ZINC000371610018 1044884094 /nfs/dbraw/zinc/88/40/94/1044884094.db2.gz YOTJSCGZMXJZBP-ZIAGYGMSSA-N 0 3 319.499 4.261 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@H](C)C[C@H]3C)cs2)cc1 ZINC000524620112 1044891854 /nfs/dbraw/zinc/89/18/54/1044891854.db2.gz VKQUWBKOWRHYKU-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@@H]1C[C@H](C)CC[C@@H]1C(C)C ZINC001626145971 1044892311 /nfs/dbraw/zinc/89/23/11/1044892311.db2.gz VJIHDHCJFNNYNO-FCGDIQPGSA-N 0 3 322.537 4.076 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCN(Cc3cncs3)C2)c1 ZINC000371804707 1044893136 /nfs/dbraw/zinc/89/31/36/1044893136.db2.gz ZJBVQYKOQWYTCT-GFCCVEGCSA-N 0 3 312.360 4.151 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1-c1ccccc1)C(C)C ZINC000573134556 1044894923 /nfs/dbraw/zinc/89/49/23/1044894923.db2.gz MYQSDAPUNJSMMT-UHFFFAOYSA-N 0 3 310.441 4.413 20 0 DIADHN COC1(CNCc2cc(F)c(C(F)(F)F)cc2F)CCCC1 ZINC000573162200 1044898638 /nfs/dbraw/zinc/89/86/38/1044898638.db2.gz XCRZLYULVRWMNZ-UHFFFAOYSA-N 0 3 323.305 4.032 20 0 DIADHN CC(C)Oc1ccccc1CN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000573350464 1044902588 /nfs/dbraw/zinc/90/25/88/1044902588.db2.gz ZYWMNIYGMJIWTQ-APWZRJJASA-N 0 3 310.441 4.452 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2cnn(-c3ccccc3)c2)C1 ZINC000337505543 1044912117 /nfs/dbraw/zinc/91/21/17/1044912117.db2.gz OJJDYWIHURIQPK-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2cc(C)c(C)o2)N2CCCC2)o1 ZINC000337514920 1044912393 /nfs/dbraw/zinc/91/23/93/1044912393.db2.gz LIAJDCWVTBXLCW-DOTOQJQBSA-N 0 3 316.445 4.286 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(C3CCOCC3)CC2)cc1F ZINC000573553044 1044912752 /nfs/dbraw/zinc/91/27/52/1044912752.db2.gz PYCNUINJERVXKO-OWOJBTEDSA-N 0 3 321.411 4.117 20 0 DIADHN CCC[C@@H](NC[C@](C)(O)c1ccccc1Cl)c1ccccn1 ZINC000157580008 1044919537 /nfs/dbraw/zinc/91/95/37/1044919537.db2.gz RPQARBHVPQXSIJ-AEFFLSMTSA-N 0 3 318.848 4.074 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1cc(C)ccc1C ZINC000524812192 1044926516 /nfs/dbraw/zinc/92/65/16/1044926516.db2.gz YFUDCTSKKSRELD-QAPCUYQASA-N 0 3 302.462 4.143 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2cccc(F)c2)C(C)C)c1 ZINC000337625835 1044929842 /nfs/dbraw/zinc/92/98/42/1044929842.db2.gz KFEYVBKWIJTLBZ-UHFFFAOYSA-N 0 3 314.404 4.195 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCOc3ccccc31)CC2 ZINC000133516463 1044938835 /nfs/dbraw/zinc/93/88/35/1044938835.db2.gz VVIWDAPMXUUZCZ-OALUTQOASA-N 0 3 309.409 4.186 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000574425484 1044953513 /nfs/dbraw/zinc/95/35/13/1044953513.db2.gz UTXJTAJGCGZKMH-ATZDWAIDSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN(CCc2cncs2)C2CC2)o1 ZINC000411130158 1044976990 /nfs/dbraw/zinc/97/69/90/1044976990.db2.gz UXGSEEGTTMLJGZ-LRDDRELGSA-N 0 3 302.443 4.067 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)N(Cc2cnc(C(C)(C)C)nc2)C1 ZINC000574847248 1044977663 /nfs/dbraw/zinc/97/76/63/1044977663.db2.gz YIUIEAABGPHNMC-MAUKXSAKSA-N 0 3 309.457 4.357 20 0 DIADHN Oc1ccc(C2CCN(Cc3cccc(Cl)c3)CC2)cc1 ZINC000575008247 1044981081 /nfs/dbraw/zinc/98/10/81/1044981081.db2.gz CCIRYFXNZVJOPX-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN CCN(Cc1cc(-c2ccccc2)on1)[C@H]1CCOC(C)(C)C1 ZINC000574945511 1044981913 /nfs/dbraw/zinc/98/19/13/1044981913.db2.gz MFWTUBKRHKNTKF-KRWDZBQOSA-N 0 3 314.429 4.121 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@H](n2cc(C)cn2)C1 ZINC000574945551 1044982064 /nfs/dbraw/zinc/98/20/64/1044982064.db2.gz OBOHCUAWWVVVDE-IRXDYDNUSA-N 0 3 303.837 4.243 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000575098267 1044985016 /nfs/dbraw/zinc/98/50/16/1044985016.db2.gz BALNAPXZAIVFFT-FEUHOPSXSA-N 0 3 302.245 4.458 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C)[C@@H]1C)c1cccc2ccccc21 ZINC000525120725 1044989455 /nfs/dbraw/zinc/98/94/55/1044989455.db2.gz QLRGZPDPAVYYEZ-IKGGRYGDSA-N 0 3 324.468 4.137 20 0 DIADHN Cc1ccc2ncc(CN(C)[C@H](CCO)c3ccccc3)cc2c1 ZINC000411296854 1044991462 /nfs/dbraw/zinc/99/14/62/1044991462.db2.gz QRDFNJJKHQTBIL-OAQYLSRUSA-N 0 3 320.436 4.099 20 0 DIADHN COc1cccc(F)c1CN(C)C(C)(C)Cc1ccccc1 ZINC001138387158 1044993979 /nfs/dbraw/zinc/99/39/79/1044993979.db2.gz ZSKVIURHGISIKF-UHFFFAOYSA-N 0 3 301.405 4.287 20 0 DIADHN Cc1ccc2ncc(CN3CCC(OC(C)(C)C)CC3)cc2c1 ZINC000411315439 1044995055 /nfs/dbraw/zinc/99/50/55/1044995055.db2.gz IGEMCZAVQCGBRX-UHFFFAOYSA-N 0 3 312.457 4.323 20 0 DIADHN CSCC[C@H](C)N(C)Cc1ccc(-c2ncco2)cc1F ZINC000411373984 1045002471 /nfs/dbraw/zinc/00/24/71/1045002471.db2.gz KHSNIDKCCYHCPF-LBPRGKRZSA-N 0 3 308.422 4.054 20 0 DIADHN CCc1ccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000575763922 1045004979 /nfs/dbraw/zinc/00/49/79/1045004979.db2.gz FKRGAIAIHXTLFW-KRWDZBQOSA-N 0 3 322.905 4.356 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCCc2c(OC)cccc21 ZINC000575742000 1045005679 /nfs/dbraw/zinc/00/56/79/1045005679.db2.gz GFQRGROIEYKQDF-LIRRHRJNSA-N 0 3 310.441 4.381 20 0 DIADHN Cc1ccoc1CN[C@H]1CCCN(c2ccccc2Cl)C1 ZINC000525181275 1045007114 /nfs/dbraw/zinc/00/71/14/1045007114.db2.gz QLWJKSPHSODXLK-AWEZNQCLSA-N 0 3 304.821 4.000 20 0 DIADHN C[C@H](CNCc1cccc(F)c1F)Oc1ccc(Cl)cc1 ZINC000525196233 1045010157 /nfs/dbraw/zinc/01/01/57/1045010157.db2.gz PWMACNNQRWCBNP-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Nc1cc(CN2CCCCC[C@H]2/C=C\c2cccs2)ccn1 ZINC000411509526 1045013261 /nfs/dbraw/zinc/01/32/61/1045013261.db2.gz WXVCRQRICPXLBK-PTZVLDCSSA-N 0 3 313.470 4.183 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2C)C2CC2)cs1 ZINC000411489471 1045014042 /nfs/dbraw/zinc/01/40/42/1045014042.db2.gz MTTBEKWWMYCWEJ-KRWDZBQOSA-N 0 3 315.438 4.084 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(N3CCCC3)nc2)s1 ZINC000151055391 1045014366 /nfs/dbraw/zinc/01/43/66/1045014366.db2.gz JDISAFKUILUZLR-CQSZACIVSA-N 0 3 315.486 4.157 20 0 DIADHN Cc1cc([C@H](C)NCCC(=O)Nc2ccccc2Cl)c(C)o1 ZINC000411520426 1045015851 /nfs/dbraw/zinc/01/58/51/1045015851.db2.gz QGVODGUPNYHQNZ-LBPRGKRZSA-N 0 3 320.820 4.229 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@@H]2C[C@H]2c2cccc(F)c2)o1 ZINC000411536482 1045016087 /nfs/dbraw/zinc/01/60/87/1045016087.db2.gz BQIBNLAWBVEBFG-OKZBNKHCSA-N 0 3 315.388 4.258 20 0 DIADHN CCN(Cc1cc(Br)ccc1Cl)C1CCC1 ZINC000525271650 1045019585 /nfs/dbraw/zinc/01/95/85/1045019585.db2.gz WDCUXUZEZIALKL-UHFFFAOYSA-N 0 3 302.643 4.477 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000446720498 1045025248 /nfs/dbraw/zinc/02/52/48/1045025248.db2.gz VSSOIQPXRGTXGW-CYBMUJFWSA-N 0 3 306.356 4.098 20 0 DIADHN CCC1(CC)CCN([C@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000446721426 1045026202 /nfs/dbraw/zinc/02/62/02/1045026202.db2.gz UFKAMPSYVYYHQB-QGZVFWFLSA-N 0 3 316.489 4.451 20 0 DIADHN FC(F)Oc1cc(CNCc2cccc3ccccc32)ccn1 ZINC000576057957 1045029856 /nfs/dbraw/zinc/02/98/56/1045029856.db2.gz UBVNWJFTQPFYIP-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000525274986 1045030572 /nfs/dbraw/zinc/03/05/72/1045030572.db2.gz YAFNJMLHFQHZAT-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(c2ccncn2)CC1 ZINC000411822665 1045031092 /nfs/dbraw/zinc/03/10/92/1045031092.db2.gz BUIOQVFFRLZHAV-GOSISDBHSA-N 0 3 315.848 4.461 20 0 DIADHN c1sc2ccccc2c1CN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000576076662 1045032198 /nfs/dbraw/zinc/03/21/98/1045032198.db2.gz HQYWLZYFOOTICU-SJLPKXTDSA-N 0 3 313.466 4.435 20 0 DIADHN C[C@@H](N[C@H]1COc2cc(F)ccc2C1)c1ccc2ccccc2n1 ZINC000576122592 1045033756 /nfs/dbraw/zinc/03/37/56/1045033756.db2.gz GUUIYFRMFRJRGK-CXAGYDPISA-N 0 3 322.383 4.028 20 0 DIADHN CCCN(CCC)Cc1coc(-c2ccc(OCC)cc2)n1 ZINC000446766111 1045034401 /nfs/dbraw/zinc/03/44/01/1045034401.db2.gz GWIYRWRUGHDGEN-UHFFFAOYSA-N 0 3 302.418 4.362 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000411890095 1045034998 /nfs/dbraw/zinc/03/49/98/1045034998.db2.gz XUOXQOYROICXFX-SJLPKXTDSA-N 0 3 310.441 4.371 20 0 DIADHN COc1cc(CN2CCC[C@H](C(F)(F)F)[C@H]2C)ccc1C ZINC000446797102 1045037473 /nfs/dbraw/zinc/03/74/73/1045037473.db2.gz PBZYJBGPDPFONY-OCCSQVGLSA-N 0 3 301.352 4.166 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCC[C@H]2NCc2cocn2)c1 ZINC000576190957 1045040143 /nfs/dbraw/zinc/04/01/43/1045040143.db2.gz JITMHUKCLAPMLI-LSDHHAIUSA-N 0 3 310.319 4.119 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccccc1-n1ccnc1 ZINC000152237288 1045040140 /nfs/dbraw/zinc/04/01/40/1045040140.db2.gz AGPAESHHGJFRIJ-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCC[C@@H]2NCc2cocn2)c1 ZINC000576190955 1045041308 /nfs/dbraw/zinc/04/13/08/1045041308.db2.gz JITMHUKCLAPMLI-GJZGRUSLSA-N 0 3 310.319 4.119 20 0 DIADHN COc1cccc(CN2CC3(CCOCC3)[C@H]2c2ccccc2)c1 ZINC000411981385 1045043250 /nfs/dbraw/zinc/04/32/50/1045043250.db2.gz ASWMSZMGHFZYGG-HXUWFJFHSA-N 0 3 323.436 4.049 20 0 DIADHN CC(C)n1ccnc1CN1C[C@@H](c2ccccc2)C2(CCC2)C1 ZINC000411984088 1045043321 /nfs/dbraw/zinc/04/33/21/1045043321.db2.gz MHKCBVBTHDRMTN-SFHVURJKSA-N 0 3 309.457 4.234 20 0 DIADHN CC(C)Cn1ccnc1CNCCCc1c(F)cccc1Cl ZINC000446808345 1045043533 /nfs/dbraw/zinc/04/35/33/1045043533.db2.gz KKHIAQVFRDBHBR-UHFFFAOYSA-N 0 3 323.843 4.054 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000152550606 1045043790 /nfs/dbraw/zinc/04/37/90/1045043790.db2.gz TXZJXVFLBSDGCR-CVEARBPZSA-N 0 3 315.461 4.257 20 0 DIADHN CCOc1cccc(Cl)c1CN1CC[C@H](c2ccncc2)C1 ZINC000576238073 1045044443 /nfs/dbraw/zinc/04/44/43/1045044443.db2.gz SJHHSRSFQGNPBO-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@H]1CCC[C@H](c2cccnc2)C1 ZINC000374300045 1045045565 /nfs/dbraw/zinc/04/55/65/1045045565.db2.gz BTUNPZBVLQDNQZ-WMZOPIPTSA-N 0 3 324.472 4.209 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccc(Cl)cc2)o1)CC1CCC1 ZINC000193205592 1045046563 /nfs/dbraw/zinc/04/65/63/1045046563.db2.gz AJAUEVHSRUZJCW-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN C=Cn1cc(CNCc2cccc(-c3ccc(C)cc3)c2)cn1 ZINC000446822105 1045047388 /nfs/dbraw/zinc/04/73/88/1045047388.db2.gz VCSCIWZVVIOXFR-UHFFFAOYSA-N 0 3 303.409 4.249 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccnc(N2CCCC2)c1 ZINC000152805626 1045047949 /nfs/dbraw/zinc/04/79/49/1045047949.db2.gz SHTNLZCRTFVFOJ-VQIMIIECSA-N 0 3 321.468 4.328 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000152886313 1045049364 /nfs/dbraw/zinc/04/93/64/1045049364.db2.gz CKBFZBIKCKZAPO-DLBZAZTESA-N 0 3 305.425 4.343 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC(C)(C)C[C@H]2C)c(C)c1 ZINC000412045929 1045051824 /nfs/dbraw/zinc/05/18/24/1045051824.db2.gz QMYMSEIWQZVUCM-HZPDHXFCSA-N 0 3 302.462 4.059 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000153195141 1045052579 /nfs/dbraw/zinc/05/25/79/1045052579.db2.gz INUCXUITHHUCJU-VFCRVFHLSA-N 0 3 301.455 4.144 20 0 DIADHN CCN(Cc1ccc(Oc2ccccc2)nn1)C1CC(C)(C)C1 ZINC000412039087 1045052693 /nfs/dbraw/zinc/05/26/93/1045052693.db2.gz PFNVQBJXDLRFME-UHFFFAOYSA-N 0 3 311.429 4.279 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)c1 ZINC000153281888 1045055501 /nfs/dbraw/zinc/05/55/01/1045055501.db2.gz HKFVRYMSBONHES-BEFAXECRSA-N 0 3 322.452 4.083 20 0 DIADHN C[C@@H](CN(C)C/C=C\c1ccc(F)c(F)c1)c1nccs1 ZINC000576387907 1045055573 /nfs/dbraw/zinc/05/55/73/1045055573.db2.gz UUJXKRZWOWIWHQ-MVZIDQBPSA-N 0 3 308.397 4.170 20 0 DIADHN C[C@@H](NCc1ccnc(OC2CCCC2)c1)c1cccs1 ZINC000153349186 1045055694 /nfs/dbraw/zinc/05/56/94/1045055694.db2.gz ILBYEDLPZFVFOJ-CYBMUJFWSA-N 0 3 302.443 4.315 20 0 DIADHN Cc1cscc1NC(=O)c1cccc(CN2CCCCC2)c1 ZINC000412202170 1045063470 /nfs/dbraw/zinc/06/34/70/1045063470.db2.gz KISAWKOWYVZIQD-UHFFFAOYSA-N 0 3 314.454 4.295 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(F)c(F)c1 ZINC000374501102 1045064138 /nfs/dbraw/zinc/06/41/38/1045064138.db2.gz GKAAQJKPUFHPPZ-URKNILKWSA-N 0 3 317.379 4.393 20 0 DIADHN CCC[C@H](NC(=O)c1cccc(CN(C)C)c1)C1CCCCC1 ZINC000525490994 1045076590 /nfs/dbraw/zinc/07/65/90/1045076590.db2.gz ZAPWBPHGXQXEMW-IBGZPJMESA-N 0 3 316.489 4.227 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2c(c1)CCC2)c1ccccc1 ZINC000576790556 1045080230 /nfs/dbraw/zinc/08/02/30/1045080230.db2.gz YZFVCGHZNOOGOB-FQEVSTJZSA-N 0 3 309.453 4.025 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)NCc1ccn(C(C)C)n1 ZINC000576777789 1045081177 /nfs/dbraw/zinc/08/11/77/1045081177.db2.gz VWAZJIUTPDUDDY-SFHVURJKSA-N 0 3 317.502 4.371 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccs3)o2)[C@H]2CCC[C@@H]21 ZINC000576883549 1045086852 /nfs/dbraw/zinc/08/68/52/1045086852.db2.gz ACKGVRJBAWMCQT-STQMWFEESA-N 0 3 302.443 4.414 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H]3CC[C@H](C)C3)cs2)cc1 ZINC000429568449 1045089654 /nfs/dbraw/zinc/08/96/54/1045089654.db2.gz PUHBZUIBFBHEEU-XJKSGUPXSA-N 0 3 316.470 4.439 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC000576932235 1045090523 /nfs/dbraw/zinc/09/05/23/1045090523.db2.gz XYLRKXLSLIDSMI-UHFFFAOYSA-N 0 3 324.468 4.227 20 0 DIADHN CC[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412557504 1045092045 /nfs/dbraw/zinc/09/20/45/1045092045.db2.gz IUDHTQJQRFCRIA-HUUCEWRRSA-N 0 3 306.425 4.042 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@H]1COc2ccccc21 ZINC000577021294 1045093735 /nfs/dbraw/zinc/09/37/35/1045093735.db2.gz HXZPQUQCKXQNTP-HNNXBMFYSA-N 0 3 321.376 4.338 20 0 DIADHN Cc1ccccc1C[C@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC000447102329 1045097652 /nfs/dbraw/zinc/09/76/52/1045097652.db2.gz RYFLIVGHKXXQSE-AWEZNQCLSA-N 0 3 322.374 4.472 20 0 DIADHN Fc1cccc(C=C2CCN(Cc3cccc4c[nH]nc43)CC2)c1 ZINC000577105697 1045100628 /nfs/dbraw/zinc/10/06/28/1045100628.db2.gz VJWKRSXSQOMLGT-UHFFFAOYSA-N 0 3 321.399 4.381 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)[C@H]1[C@H]1CCCO1 ZINC000577109984 1045100710 /nfs/dbraw/zinc/10/07/10/1045100710.db2.gz HTPTZZNNNNGNPC-UXHICEINSA-N 0 3 322.452 4.138 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1C[C@H](C)CCC[C@@H]1C ZINC000525636692 1045103331 /nfs/dbraw/zinc/10/33/31/1045103331.db2.gz JBKQFISXVHXBFR-CVEARBPZSA-N 0 3 316.489 4.260 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC(C)(C)CC3)co2)cc1 ZINC000525638739 1045103814 /nfs/dbraw/zinc/10/38/14/1045103814.db2.gz ADYWQYSFEOGWKL-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cnc(C)n1C)c1ccc(Cl)cc1 ZINC000577231053 1045109416 /nfs/dbraw/zinc/10/94/16/1045109416.db2.gz CLGYLEGGVXSIAO-YVEFUNNKSA-N 0 3 305.853 4.259 20 0 DIADHN COCc1nc(CNC[C@H](CC(C)C)c2ccccc2)cs1 ZINC000577330170 1045118473 /nfs/dbraw/zinc/11/84/73/1045118473.db2.gz SRUCLKZSEDYCKK-INIZCTEOSA-N 0 3 318.486 4.209 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCC2(C)C)nnn1-c1cccc(Cl)c1 ZINC000577429919 1045123332 /nfs/dbraw/zinc/12/33/32/1045123332.db2.gz QENFCZCRAMNPJM-IAQYHMDHSA-N 0 3 318.852 4.068 20 0 DIADHN c1csc([C@@H]2C[C@@H](Nc3ccnc4ccccc43)CCO2)c1 ZINC000413354049 1045130449 /nfs/dbraw/zinc/13/04/49/1045130449.db2.gz QFPWYUWSQSPECC-GUYCJALGSA-N 0 3 310.422 4.050 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1cc(C(C)=O)no1 ZINC000577505026 1045132055 /nfs/dbraw/zinc/13/20/55/1045132055.db2.gz BHIMHJLKDGDFGQ-PKOBYXMFSA-N 0 3 312.413 4.035 20 0 DIADHN CC(C)CCCN1CCCC[C@]12CC(=O)N(CC1CCCC1)C2 ZINC001276259965 1045132662 /nfs/dbraw/zinc/13/26/62/1045132662.db2.gz PNJOQBIVLNABQQ-HXUWFJFHSA-N 0 3 320.521 4.070 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)[C@@H]1CCN(C2CC2)C1 ZINC000600726185 1045133428 /nfs/dbraw/zinc/13/34/28/1045133428.db2.gz LKNGRQCDLKAJIW-CYBMUJFWSA-N 0 3 320.889 4.223 20 0 DIADHN Cc1ccc([C@@H](NCC2(CCO)CCCC2)c2ccccn2)cc1 ZINC000925196371 1045134888 /nfs/dbraw/zinc/13/48/88/1045134888.db2.gz ZRCCAUDABMPCIO-HXUWFJFHSA-N 0 3 324.468 4.012 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000577575596 1045136956 /nfs/dbraw/zinc/13/69/56/1045136956.db2.gz RECHRHNQMZVAHO-JSXRDJHFSA-N 0 3 307.437 4.119 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@@H]1/C=C/c1cccs1 ZINC000577561506 1045137602 /nfs/dbraw/zinc/13/76/02/1045137602.db2.gz RTTLFHLGPUXURV-ZNFPLGDCSA-N 0 3 315.486 4.248 20 0 DIADHN C[C@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnn1C ZINC000447528811 1045137950 /nfs/dbraw/zinc/13/79/50/1045137950.db2.gz IPKXGLBVJXTTIK-BBRMVZONSA-N 0 3 303.425 4.387 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2ccc(F)cc2OC(F)F)o1 ZINC000577615851 1045142542 /nfs/dbraw/zinc/14/25/42/1045142542.db2.gz MJPRNQZIIRWAMA-LLVKDONJSA-N 0 3 313.319 4.222 20 0 DIADHN COc1ccc([C@H](NCc2ccc3c(n2)CCCC3)C(C)C)cc1 ZINC000447570374 1045147372 /nfs/dbraw/zinc/14/73/72/1045147372.db2.gz GMOXGPRUPKIKNP-OAQYLSRUSA-N 0 3 324.468 4.456 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCN(c3c(F)cccc3F)C2)c(C)o1 ZINC000447583138 1045152624 /nfs/dbraw/zinc/15/26/24/1045152624.db2.gz ATDFCQQNWMCIRO-OCCSQVGLSA-N 0 3 320.383 4.104 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1C[C@H](c2ccccc2)C[C@@H]1C ZINC000577673402 1045155217 /nfs/dbraw/zinc/15/52/17/1045155217.db2.gz VVHXZGAKPYKIRX-JENIJYKNSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)c(C)o1 ZINC000447575389 1045157809 /nfs/dbraw/zinc/15/78/09/1045157809.db2.gz SEYABNOCZQMHLQ-PDSMFRHLSA-N 0 3 321.367 4.355 20 0 DIADHN CN(C)Cc1ccnc(NCc2ccccc2-c2ccccc2)c1 ZINC000777505628 1045159370 /nfs/dbraw/zinc/15/93/70/1045159370.db2.gz UHGGLINJGSYCQP-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN C[C@@H](CN[C@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000779024538 1045161957 /nfs/dbraw/zinc/16/19/57/1045161957.db2.gz MSADRMIVGGMCMV-VHSXEESVSA-N 0 3 317.246 4.316 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](CC)c2cccc(OC)c2)cc1 ZINC000557266163 1045164200 /nfs/dbraw/zinc/16/42/00/1045164200.db2.gz NXWWDNUQBNPHDN-UXHICEINSA-N 0 3 313.441 4.032 20 0 DIADHN C=Cn1cc(CN(C2CC2)C2CC(c3ccccc3C)C2)cn1 ZINC000447726651 1045168423 /nfs/dbraw/zinc/16/84/23/1045168423.db2.gz HQAIBMSZPRUYIO-UHFFFAOYSA-N 0 3 307.441 4.203 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC[C@@H](C)c1ccccc1 ZINC000447741671 1045172224 /nfs/dbraw/zinc/17/22/24/1045172224.db2.gz LCGJJEXPNCGMNT-CQSZACIVSA-N 0 3 313.470 4.330 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)C[C@@H]2NCc3ccccc32)cc1 ZINC000659266414 1045174885 /nfs/dbraw/zinc/17/48/85/1045174885.db2.gz UZISELZWEPLQHU-FQEVSTJZSA-N 0 3 322.452 4.003 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cnnn1C)c1ccc(C(C)(C)C)cc1 ZINC000924581906 1045180527 /nfs/dbraw/zinc/18/05/27/1045180527.db2.gz UPJGCPSWZXIATQ-KSSFIOAISA-N 0 3 314.477 4.161 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1cccc2c1OCO2 ZINC000924592624 1045182008 /nfs/dbraw/zinc/18/20/08/1045182008.db2.gz SMPYRKBWVWKMPW-BDJLRTHQSA-N 0 3 301.411 4.279 20 0 DIADHN Fc1cccc(C2(CNCc3nc(-c4ccccc4)c[nH]3)CC2)c1 ZINC000447852744 1045184205 /nfs/dbraw/zinc/18/42/05/1045184205.db2.gz BSHWGBDQGQHOIG-UHFFFAOYSA-N 0 3 321.399 4.037 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCc1cccc2ccccc21 ZINC000924653413 1045186903 /nfs/dbraw/zinc/18/69/03/1045186903.db2.gz RKMKOSRWUPRZBF-SFHVURJKSA-N 0 3 306.409 4.346 20 0 DIADHN CCC[C@H](NCCCOCC(F)(F)F)c1ncccc1Cl ZINC000924643486 1045187569 /nfs/dbraw/zinc/18/75/69/1045187569.db2.gz NYQIVGRMKHQHQC-LBPRGKRZSA-N 0 3 324.774 4.135 20 0 DIADHN CN(CCSc1c(F)cc(F)cc1F)Cc1ccccc1 ZINC001458913969 1045188354 /nfs/dbraw/zinc/18/83/54/1045188354.db2.gz RVDXCQMARYHSTN-UHFFFAOYSA-N 0 3 311.372 4.328 20 0 DIADHN CC(C)(C)c1cnc(CNCCCOC2CCCCC2)s1 ZINC000447927817 1045189476 /nfs/dbraw/zinc/18/94/76/1045189476.db2.gz GDHSOWMBZAVKMO-UHFFFAOYSA-N 0 3 310.507 4.270 20 0 DIADHN COc1cccc(C[C@H](C)NCc2ncc(C(C)(C)C)s2)c1 ZINC000447930878 1045192060 /nfs/dbraw/zinc/19/20/60/1045192060.db2.gz NBCQJVZYGMACKB-ZDUSSCGKSA-N 0 3 318.486 4.170 20 0 DIADHN C[C@@H](NC[C@H](O)c1cc(F)ccc1F)c1ccccc1Cl ZINC000048330958 1045192154 /nfs/dbraw/zinc/19/21/54/1045192154.db2.gz QBQHCKBOUMSKFH-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN COc1ccc(CCCNCc2ncc(C(C)(C)C)s2)cc1 ZINC000447935188 1045192791 /nfs/dbraw/zinc/19/27/91/1045192791.db2.gz DYVSMWMFZSKRGA-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(c3cccc(F)c3F)CC2)O1 ZINC000577999836 1045196775 /nfs/dbraw/zinc/19/67/75/1045196775.db2.gz LBRWPFLBBSQAMP-AWEZNQCLSA-N 0 3 309.400 4.102 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000924762867 1045196864 /nfs/dbraw/zinc/19/68/64/1045196864.db2.gz BYXDQIGEYDZZMW-GIJMVEMTSA-N 0 3 307.393 4.050 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H]2CCC[C@H](O)C2)c2cccnc2)cc1 ZINC000924758891 1045196793 /nfs/dbraw/zinc/19/67/93/1045196793.db2.gz AMNJQHYDZLVYLL-HKBOAZHASA-N 0 3 324.468 4.188 20 0 DIADHN C[C@H](Cc1ccccn1)NCc1nc2cc(Cl)ccc2s1 ZINC000924790039 1045199944 /nfs/dbraw/zinc/19/99/44/1045199944.db2.gz DJHXVDFRTQLGPJ-LLVKDONJSA-N 0 3 317.845 4.066 20 0 DIADHN C[C@@H](NCc1cc2c(s1)CCCC2)c1ccnn1C1CCC1 ZINC000924838718 1045204005 /nfs/dbraw/zinc/20/40/05/1045204005.db2.gz HTYYYQUDPWPMTO-CYBMUJFWSA-N 0 3 315.486 4.399 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@H](C)C1CCC1 ZINC000527221365 1045204590 /nfs/dbraw/zinc/20/45/90/1045204590.db2.gz OKOPDZBRDDOJSS-OAHLLOKOSA-N 0 3 304.503 4.144 20 0 DIADHN COC(=O)C(C)(C)CCN1CCC[C@H]1c1ccccc1Cl ZINC000527218853 1045204793 /nfs/dbraw/zinc/20/47/93/1045204793.db2.gz AXHZTHFOAXTVFL-HNNXBMFYSA-N 0 3 309.837 4.066 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)cc1 ZINC000527238645 1045206384 /nfs/dbraw/zinc/20/63/84/1045206384.db2.gz JUIKUQDIPAQRAD-IUODEOHRSA-N 0 3 301.352 4.248 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NC1(c2ccccc2)CCC1 ZINC000578095745 1045206526 /nfs/dbraw/zinc/20/65/26/1045206526.db2.gz CHDWMTBMQPMVPT-QGZVFWFLSA-N 0 3 311.400 4.491 20 0 DIADHN C[C@@H](c1nc(-c2cnc3ccccc3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000527198306 1045207137 /nfs/dbraw/zinc/20/71/37/1045207137.db2.gz MULWGVKKQSUCMJ-MCIONIFRSA-N 0 3 322.412 4.219 20 0 DIADHN O[C@@H]1CCCC[C@@H]1N[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000578125218 1045212806 /nfs/dbraw/zinc/21/28/06/1045212806.db2.gz SNYVSWBDAGMHBG-SQWLQELKSA-N 0 3 314.256 4.338 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(Cl)cccc1Cl)c1ccsc1 ZINC000090079288 1045251854 /nfs/dbraw/zinc/25/18/54/1045251854.db2.gz QBYPISSIOSDGLM-NOZJJQNGSA-N 0 3 316.253 4.439 20 0 DIADHN C[C@H](N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1ccnnc1 ZINC000924969866 1045214845 /nfs/dbraw/zinc/21/48/45/1045214845.db2.gz LNHVMLAMZVLHGP-YVEFUNNKSA-N 0 3 301.821 4.322 20 0 DIADHN CC[C@](C)(O)CN[C@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000924994591 1045217607 /nfs/dbraw/zinc/21/76/07/1045217607.db2.gz XIODMDQOQLJONC-UXHICEINSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCC1(Sc2ccccc2)CC1 ZINC000925023832 1045218371 /nfs/dbraw/zinc/21/83/71/1045218371.db2.gz QJIQVLLVLZVQLH-GFCCVEGCSA-N 0 3 302.443 4.267 20 0 DIADHN CCOc1ccc(CN2CC[C@](CF)(C(F)(F)F)C2)c(C)c1 ZINC001138430572 1045219919 /nfs/dbraw/zinc/21/99/19/1045219919.db2.gz BXNPFGJKDNLLBG-OAHLLOKOSA-N 0 3 319.342 4.118 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC1CC(Sc2ccccc2)C1 ZINC000925060000 1045222384 /nfs/dbraw/zinc/22/23/84/1045222384.db2.gz FUBWZBOHIHYXAY-WJFWJRBTSA-N 0 3 302.443 4.265 20 0 DIADHN CC[C@@H]1CN(Cc2ncc(C)s2)CC[C@H]1c1ccccc1 ZINC000578228235 1045222969 /nfs/dbraw/zinc/22/29/69/1045222969.db2.gz VLLZZFPBWNUMTD-NVXWUHKLSA-N 0 3 300.471 4.467 20 0 DIADHN C[C@@H](NC1CC2(C1)COC(C)(C)C2)c1nc2ccccc2s1 ZINC000925072292 1045224489 /nfs/dbraw/zinc/22/44/89/1045224489.db2.gz XAWTZBGNSRDJMW-WHENESEISA-N 0 3 316.470 4.295 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3ccccc32)cc1O ZINC000578285582 1045229317 /nfs/dbraw/zinc/22/93/17/1045229317.db2.gz MMIRRQYOWAZGEC-AWEZNQCLSA-N 0 3 307.393 4.405 20 0 DIADHN C[C@H](CN[C@@H](C)c1cn2c(n1)CCCC2)Sc1ccccc1 ZINC000925161767 1045230343 /nfs/dbraw/zinc/23/03/43/1045230343.db2.gz LGUGFLPDMASTJO-CABCVRRESA-N 0 3 315.486 4.051 20 0 DIADHN FC1(CNCCc2csc(-c3ccc(Cl)cc3)n2)CC1 ZINC000527524298 1045234166 /nfs/dbraw/zinc/23/41/66/1045234166.db2.gz HUFWRPDNBBBVKZ-UHFFFAOYSA-N 0 3 310.825 4.098 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@@H](C)C1CCC1 ZINC000527523096 1045234207 /nfs/dbraw/zinc/23/42/07/1045234207.db2.gz APTGSENZKWLWAQ-HNNXBMFYSA-N 0 3 304.503 4.144 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CCCCC2)ccn1 ZINC000527538516 1045236546 /nfs/dbraw/zinc/23/65/46/1045236546.db2.gz DNEPTTHURIJCBT-FQEVSTJZSA-N 0 3 324.468 4.164 20 0 DIADHN Cc1cc(CN2CCC([C@@H](F)c3ccccc3F)CC2)ccn1 ZINC000527539075 1045236971 /nfs/dbraw/zinc/23/69/71/1045236971.db2.gz KDMSFFAPZCUPSF-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)ccn1 ZINC000527539683 1045238538 /nfs/dbraw/zinc/23/85/38/1045238538.db2.gz MCRFVWAINULLPK-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)N[C@H]1c2ccccc2C[C@@H]1O ZINC000925243299 1045238640 /nfs/dbraw/zinc/23/86/40/1045238640.db2.gz BYXDQIGEYDZZMW-JTBRQZTESA-N 0 3 307.393 4.050 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(SC)cc2)cc1F ZINC000035016740 1045241757 /nfs/dbraw/zinc/24/17/57/1045241757.db2.gz UWKCPGMWHPRGLA-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN Cc1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000527671577 1045247111 /nfs/dbraw/zinc/24/71/11/1045247111.db2.gz VJAWOEUSOOHZOV-QRVBRYPASA-N 0 3 324.472 4.128 20 0 DIADHN Fc1ccc(F)c(CNCCC[C@H]2CCCCO2)c1Cl ZINC000527689833 1045249253 /nfs/dbraw/zinc/24/92/53/1045249253.db2.gz AONBIJQZVCNODO-LLVKDONJSA-N 0 3 303.780 4.057 20 0 DIADHN C[C@@H](NCc1nc2cc(Br)ccc2o1)C(C)(C)C ZINC000578511785 1045250031 /nfs/dbraw/zinc/25/00/31/1045250031.db2.gz FLHJTRFYLLQEGP-SECBINFHSA-N 0 3 311.223 4.115 20 0 DIADHN CC(C)Oc1ccc(CN2CC3(CCC3)[C@H]2[C@H]2CCCO2)cc1 ZINC000578560848 1045254723 /nfs/dbraw/zinc/25/47/23/1045254723.db2.gz FICOXVXHNBUFSY-RTBURBONSA-N 0 3 315.457 4.007 20 0 DIADHN FC(F)(F)C1CC(NCc2csc(-c3ccccc3)n2)C1 ZINC000578573933 1045256175 /nfs/dbraw/zinc/25/61/75/1045256175.db2.gz RXEBBYFUQUYRBX-UHFFFAOYSA-N 0 3 312.360 4.241 20 0 DIADHN Clc1cc2c(s1)CC[C@@H]2NCc1ccc2c[nH]nc2c1 ZINC000578581659 1045256352 /nfs/dbraw/zinc/25/63/52/1045256352.db2.gz ABXSYHYBXCOWDK-LBPRGKRZSA-N 0 3 303.818 4.055 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@H](c3ccccc3)CC2)[n-]1 ZINC000527713657 1045256663 /nfs/dbraw/zinc/25/66/63/1045256663.db2.gz RMWIQJGRRDNDMY-IRXDYDNUSA-N 0 3 312.461 4.134 20 0 DIADHN C[C@H](NCc1ncccc1O)c1ccccc1Oc1ccccc1 ZINC000578579420 1045256753 /nfs/dbraw/zinc/25/67/53/1045256753.db2.gz XCTCIMXZHCCQPT-HNNXBMFYSA-N 0 3 320.392 4.430 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](c2ccccn2)C2CCC2)cc1 ZINC000527769823 1045261363 /nfs/dbraw/zinc/26/13/63/1045261363.db2.gz BQWOXTJXWYXYSF-HXUWFJFHSA-N 0 3 310.441 4.500 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC000527796481 1045263520 /nfs/dbraw/zinc/26/35/20/1045263520.db2.gz YORHBQLFTNWTMD-HNNXBMFYSA-N 0 3 303.837 4.138 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2cccc(C(N)=O)c2)cc1 ZINC000527847367 1045271374 /nfs/dbraw/zinc/27/13/74/1045271374.db2.gz MSMFVRMKJDRDCR-CABCVRRESA-N 0 3 310.441 4.150 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1C[C@H]1CCC2(CCCC2)O1 ZINC000578789207 1045271637 /nfs/dbraw/zinc/27/16/37/1045271637.db2.gz AJOYQTSLPUCEHA-AEFFLSMTSA-N 0 3 302.462 4.044 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527849081 1045272312 /nfs/dbraw/zinc/27/23/12/1045272312.db2.gz ISJYQSABSWGJDE-ADLMAVQZSA-N 0 3 319.807 4.310 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2cccn(CC)c2=O)cc1 ZINC000527897333 1045276073 /nfs/dbraw/zinc/27/60/73/1045276073.db2.gz SWNRWZOGNMHNMS-HOTGVXAUSA-N 0 3 312.457 4.233 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCCC1)c1nc(-c2cccs2)no1 ZINC000578918549 1045280950 /nfs/dbraw/zinc/28/09/50/1045280950.db2.gz JDTUXBOICCRHBB-VXGBXAGGSA-N 0 3 305.447 4.418 20 0 DIADHN Oc1ccc(C2=CCN(Cc3ccc4occc4c3)CC2)cc1 ZINC000578918708 1045282063 /nfs/dbraw/zinc/28/20/63/1045282063.db2.gz LMBYVGHALRCBPT-UHFFFAOYSA-N 0 3 305.377 4.428 20 0 DIADHN C[C@H](NCC[C@H]1CCCOC1)c1csc(-c2ccccc2)n1 ZINC000527927903 1045283414 /nfs/dbraw/zinc/28/34/14/1045283414.db2.gz RQXKHDOKNOCQDI-LSDHHAIUSA-N 0 3 316.470 4.277 20 0 DIADHN COCCC1CCN([C@@H](C)c2cnc3ccsc3c2)CC1 ZINC000527934887 1045286805 /nfs/dbraw/zinc/28/68/05/1045286805.db2.gz LQQDVGUUEFYNRV-ZDUSSCGKSA-N 0 3 304.459 4.106 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@H](c2cccnc2)C1 ZINC000579008282 1045288655 /nfs/dbraw/zinc/28/86/55/1045288655.db2.gz OKYWZSPAXJMMAX-INIZCTEOSA-N 0 3 313.470 4.185 20 0 DIADHN Cc1nsc(C)c1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000579022615 1045290210 /nfs/dbraw/zinc/29/02/10/1045290210.db2.gz KKRMAEDKMYHUPG-YJBOKZPZSA-N 0 3 316.470 4.017 20 0 DIADHN CC[C@H](NCc1ccc2cn(C)nc2c1)c1cc(C)ccc1OC ZINC000527968261 1045290368 /nfs/dbraw/zinc/29/03/68/1045290368.db2.gz YTJLBJYBWKVYCW-SFHVURJKSA-N 0 3 323.440 4.131 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](c1ccccn1)C1CCC1 ZINC000527970272 1045290473 /nfs/dbraw/zinc/29/04/73/1045290473.db2.gz SUEJPMUAWBXYAW-XLIONFOSSA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@@H](NCc1cccnc1C)c1cccc(Br)c1 ZINC000527989348 1045291443 /nfs/dbraw/zinc/29/14/43/1045291443.db2.gz ZILUJWPETSSSJT-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN COc1ccccc1[C@H](NCc1cccnc1C)C1CCCC1 ZINC000527983495 1045294412 /nfs/dbraw/zinc/29/44/12/1045294412.db2.gz AJQNPQJORAFACA-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2ccc(SC(C)C)cc2)n1C ZINC000579143335 1045297085 /nfs/dbraw/zinc/29/70/85/1045297085.db2.gz SLWUGVGLYGODQU-ZDUSSCGKSA-N 0 3 303.475 4.080 20 0 DIADHN Cc1cc([C@@H](NC[C@@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000579168490 1045298451 /nfs/dbraw/zinc/29/84/51/1045298451.db2.gz MTQZRNNRTZTVTK-HWPZZCPQSA-N 0 3 309.862 4.078 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccc(F)cc2)C2CC2)cn1 ZINC000528044244 1045299626 /nfs/dbraw/zinc/29/96/26/1045299626.db2.gz SKYWNWOXAIRVON-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC(c3ccccc3)CC2)nc1 ZINC000579214180 1045300073 /nfs/dbraw/zinc/30/00/73/1045300073.db2.gz XYXZIWIDQFEDSA-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1cc2ccccc2s1 ZINC000579263490 1045303364 /nfs/dbraw/zinc/30/33/64/1045303364.db2.gz ZKYUBXAWTUMHIP-UHFFFAOYSA-N 0 3 313.470 4.049 20 0 DIADHN COc1ccc(Cl)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001138482539 1045317688 /nfs/dbraw/zinc/31/76/88/1045317688.db2.gz DKDJIAYYXICURW-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cn1 ZINC000779154672 1045327972 /nfs/dbraw/zinc/32/79/72/1045327972.db2.gz ZWZCEHMYNXVXJK-MRXNPFEDSA-N 0 3 309.388 4.082 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cc3cccnc3o2)cc1 ZINC000448996261 1045330393 /nfs/dbraw/zinc/33/03/93/1045330393.db2.gz RXEZJOWYTWANDW-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN Cc1cc(CN2CCC(OCCc3ccccc3)CC2)cs1 ZINC000449014151 1045331044 /nfs/dbraw/zinc/33/10/44/1045331044.db2.gz GQTLXIXVCNZCBD-UHFFFAOYSA-N 0 3 315.482 4.280 20 0 DIADHN COc1cccc2c(CN3CCC[C@H]3c3cc(C)no3)cccc12 ZINC000449015735 1045332310 /nfs/dbraw/zinc/33/23/10/1045332310.db2.gz MALHXPAKQHFOQX-SFHVURJKSA-N 0 3 322.408 4.482 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2cc3cccnc3o2)c1 ZINC000449008331 1045333022 /nfs/dbraw/zinc/33/30/22/1045333022.db2.gz JJDCGSGQIGKMIW-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cc4cccnc4o3)CC2)c1 ZINC000449026807 1045333577 /nfs/dbraw/zinc/33/35/77/1045333577.db2.gz GQJYNMDDTZVLOA-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN CN(Cc1nnc(-c2cccc(F)c2)o1)C1CCCCCC1 ZINC000075593884 1045337213 /nfs/dbraw/zinc/33/72/13/1045337213.db2.gz JFUUVZFMVMTFGB-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1cc2cccnc2o1 ZINC000449023815 1045337862 /nfs/dbraw/zinc/33/78/62/1045337862.db2.gz VCVNQDZVAGDEEB-QGZVFWFLSA-N 0 3 308.381 4.174 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2cccc3ccoc32)cc1 ZINC000449075129 1045344213 /nfs/dbraw/zinc/34/42/13/1045344213.db2.gz VJBWYBHQZCLLLB-UHFFFAOYSA-N 0 3 322.408 4.317 20 0 DIADHN c1cc2cccc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)c2o1 ZINC000449097442 1045346622 /nfs/dbraw/zinc/34/66/22/1045346622.db2.gz DJANWJCDMSOSAI-RBUKOAKNSA-N 0 3 307.393 4.443 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)NCc1ccc(Cl)cc1F ZINC000189412665 1045347136 /nfs/dbraw/zinc/34/71/36/1045347136.db2.gz ZYWLPAAZTKFUJK-PXAZEXFGSA-N 0 3 307.796 4.081 20 0 DIADHN CCc1nc(CNC2CCC(OC3CCCC3)CC2)cs1 ZINC000449121503 1045348453 /nfs/dbraw/zinc/34/84/53/1045348453.db2.gz HWEZOBZSRDHPHY-UHFFFAOYSA-N 0 3 308.491 4.066 20 0 DIADHN CC(C)CC[C@H]1CC[C@@H](NCc2nccn2CC(F)(F)F)C1 ZINC000449117797 1045348833 /nfs/dbraw/zinc/34/88/33/1045348833.db2.gz QHYVHSUUWYTSAF-UONOGXRCSA-N 0 3 317.399 4.140 20 0 DIADHN O[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)c1ccccc1 ZINC000076421556 1045351304 /nfs/dbraw/zinc/35/13/04/1045351304.db2.gz PVVLUHNSCGELPN-LEWJYISDSA-N 0 3 321.395 4.238 20 0 DIADHN O[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)c1ccccc1 ZINC000076421553 1045351507 /nfs/dbraw/zinc/35/15/07/1045351507.db2.gz PVVLUHNSCGELPN-RTWAWAEBSA-N 0 3 321.395 4.238 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1cccc(-n2ccnc2)c1 ZINC000449172207 1045354835 /nfs/dbraw/zinc/35/48/35/1045354835.db2.gz UZQWMIIONUFVMT-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc2sc(CN3CC[C@@H](Cc4ccncc4)C3)nc2c1 ZINC000528444166 1045356993 /nfs/dbraw/zinc/35/69/93/1045356993.db2.gz VLUIRRZTDLOHLD-INIZCTEOSA-N 0 3 323.465 4.064 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449184536 1045358717 /nfs/dbraw/zinc/35/87/17/1045358717.db2.gz GLMBTMILXKGCPC-ISVAXAHUSA-N 0 3 324.346 4.089 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449184538 1045358749 /nfs/dbraw/zinc/35/87/49/1045358749.db2.gz GLMBTMILXKGCPC-SDBXPKJASA-N 0 3 324.346 4.089 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccnn1C1CCCC1 ZINC000449209572 1045361348 /nfs/dbraw/zinc/36/13/48/1045361348.db2.gz UYOQMRYDPINFPI-QRWLVFNGSA-N 0 3 309.457 4.411 20 0 DIADHN c1c2cccnc2oc1CN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000449214089 1045362182 /nfs/dbraw/zinc/36/21/82/1045362182.db2.gz LPRYIFJDRSKTHH-BEFAXECRSA-N 0 3 322.408 4.085 20 0 DIADHN COc1cccc2c(CN(C)[C@@H](C)c3ccccn3)cccc12 ZINC000449225642 1045364046 /nfs/dbraw/zinc/36/40/46/1045364046.db2.gz KYNVQZWKEYYIRH-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN CC(C)[C@H](N[C@H](C)CC(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000449225119 1045364232 /nfs/dbraw/zinc/36/42/32/1045364232.db2.gz NUDVWBIVJJIOHY-HIFRSBDPSA-N 0 3 316.449 4.243 20 0 DIADHN C[C@@H](Cc1ccoc1)N[C@@H](C)c1nc(-c2ccncc2)cs1 ZINC000449227777 1045365605 /nfs/dbraw/zinc/36/56/05/1045365605.db2.gz WHMVZBAMSXXQFB-STQMWFEESA-N 0 3 313.426 4.080 20 0 DIADHN Cc1cccc(C)c1Oc1ccccc1NC(=O)[C@H](C)NC1CC1 ZINC000449243043 1045368717 /nfs/dbraw/zinc/36/87/17/1045368717.db2.gz HRDHOCBDWZRAPX-HNNXBMFYSA-N 0 3 324.424 4.175 20 0 DIADHN C[C@H]1CSCCN(Cc2cnc(-c3ccccc3F)s2)C1 ZINC000449283918 1045371269 /nfs/dbraw/zinc/37/12/69/1045371269.db2.gz MSUAZISLJFEDAG-GFCCVEGCSA-N 0 3 322.474 4.134 20 0 DIADHN O[C@@H](CN1CCCCC[C@@H]1c1ccco1)c1ccc(F)c(F)c1 ZINC000076804542 1045373091 /nfs/dbraw/zinc/37/30/91/1045373091.db2.gz HZAMPFBHGGSSGD-SJORKVTESA-N 0 3 321.367 4.209 20 0 DIADHN Cc1cc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)cs1 ZINC000449310760 1045374305 /nfs/dbraw/zinc/37/43/05/1045374305.db2.gz IHLMTBAJZJBUEU-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN COc1cccc2c(CN(Cc3cccnc3)C3CC3)cccc12 ZINC000449327694 1045375558 /nfs/dbraw/zinc/37/55/58/1045375558.db2.gz CNQMSUJSZVCQDI-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)Cc2ccccc2C)c(CN(C)C)c1 ZINC000528564699 1045375753 /nfs/dbraw/zinc/37/57/53/1045375753.db2.gz GLBKDFUUMFLUGF-KRWDZBQOSA-N 0 3 324.468 4.182 20 0 DIADHN CC(C)[C@H](NCc1ccc(Br)cc1)c1ccccn1 ZINC000076903190 1045376293 /nfs/dbraw/zinc/37/62/93/1045376293.db2.gz VZXDSFQXYBKOOB-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2cc3cccnc3o2)CC12CCC2 ZINC000449312816 1045377173 /nfs/dbraw/zinc/37/71/73/1045377173.db2.gz NNCQXHOGRWXQEZ-CQSZACIVSA-N 0 3 324.346 4.382 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cc4cccnc4o3)C2)c1 ZINC000449341871 1045377473 /nfs/dbraw/zinc/37/74/73/1045377473.db2.gz XBSGAHYMHPPQJG-OAHLLOKOSA-N 0 3 312.800 4.471 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NC/C=C\c1ccncc1)CCC2 ZINC000449352536 1045381010 /nfs/dbraw/zinc/38/10/10/1045381010.db2.gz VBLAUBUSXBZXFA-LHRDXKRPSA-N 0 3 300.352 4.040 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000449334976 1045381490 /nfs/dbraw/zinc/38/14/90/1045381490.db2.gz GUCUXSDBUFTPMG-MSOLQXFVSA-N 0 3 317.379 4.326 20 0 DIADHN c1csc(-c2nc(CNCCCC3CCOCC3)cs2)c1 ZINC000449354375 1045385345 /nfs/dbraw/zinc/38/53/45/1045385345.db2.gz MYGUANAZVFMHHL-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN CC(F)(F)CCNCc1cc(C(F)(F)F)ccc1Cl ZINC000449353885 1045385557 /nfs/dbraw/zinc/38/55/57/1045385557.db2.gz HXIHGTOWFBJMKZ-UHFFFAOYSA-N 0 3 301.686 4.494 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3O)cc2cccnc12 ZINC000449384833 1045387569 /nfs/dbraw/zinc/38/75/69/1045387569.db2.gz CUSWARUXFWZZJC-GOSISDBHSA-N 0 3 304.393 4.026 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@@H]2CCCCC2(F)F)s1 ZINC000449380782 1045387580 /nfs/dbraw/zinc/38/75/80/1045387580.db2.gz WWBFXAUXWHJXOQ-QMMMGPOBSA-N 0 3 314.323 4.077 20 0 DIADHN CC(C)(CN[C@@H]1CCc2cc(F)c(F)c(F)c21)c1ccccn1 ZINC000449390868 1045388360 /nfs/dbraw/zinc/38/83/60/1045388360.db2.gz CHBKQFYNTZTEOX-CYBMUJFWSA-N 0 3 320.358 4.054 20 0 DIADHN COCc1cnc(CN2[C@H](C)C[C@@H](c3ccccc3)[C@@H]2C)s1 ZINC000449388623 1045389036 /nfs/dbraw/zinc/38/90/36/1045389036.db2.gz PNVKXMXAEAUZMY-JKIFEVAISA-N 0 3 316.470 4.056 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1cnc2ccccc2c1 ZINC000449462994 1045400036 /nfs/dbraw/zinc/40/00/36/1045400036.db2.gz VIALVPLAJRGYQS-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3ccsc3)s2)C[C@H]1C ZINC000449502286 1045404099 /nfs/dbraw/zinc/40/40/99/1045404099.db2.gz WRSBHDCYZAVTSI-DOMZBBRYSA-N 0 3 322.499 4.119 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@]2(CCc3ccccc3O2)C1 ZINC000528733457 1045405289 /nfs/dbraw/zinc/40/52/89/1045405289.db2.gz IKLTWYHZMDLWRJ-OXQOHEQNSA-N 0 3 308.425 4.002 20 0 DIADHN C[C@H](c1cccnc1)N1CCC[C@@]2(CCc3ccccc3O2)C1 ZINC000528737847 1045406603 /nfs/dbraw/zinc/40/66/03/1045406603.db2.gz XSBKDQWQDDIFRE-OXQOHEQNSA-N 0 3 308.425 4.002 20 0 DIADHN CO[C@H](CN1CCC(F)(F)C[C@@H]1C)c1ccc(Cl)cc1 ZINC000528773024 1045412727 /nfs/dbraw/zinc/41/27/27/1045412727.db2.gz NVFULHUVJBCXHR-SMDDNHRTSA-N 0 3 303.780 4.147 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cnc([C@H]3CCCO3)s2)[C@H]1C(C)C ZINC000449556185 1045412957 /nfs/dbraw/zinc/41/29/57/1045412957.db2.gz RRPUBTHCBCWSPH-XHSDSOJGSA-N 0 3 308.491 4.107 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000449562509 1045414523 /nfs/dbraw/zinc/41/45/23/1045414523.db2.gz LLCOCXNKKWMZLJ-KBXCAEBGSA-N 0 3 313.420 4.329 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449564428 1045414646 /nfs/dbraw/zinc/41/46/46/1045414646.db2.gz ARHHPBHWYUIZBR-FVCCEPFGSA-N 0 3 323.893 4.461 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000449562510 1045414994 /nfs/dbraw/zinc/41/49/94/1045414994.db2.gz LLCOCXNKKWMZLJ-KDOFPFPSSA-N 0 3 313.420 4.329 20 0 DIADHN CCn1ccnc1[C@@H](C)NC1CC(c2ccccc2Cl)C1 ZINC000449565019 1045415093 /nfs/dbraw/zinc/41/50/93/1045415093.db2.gz FUBYJAVQKCVMCA-IYXRBSQSSA-N 0 3 303.837 4.153 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)n2ccnc21)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449575249 1045415931 /nfs/dbraw/zinc/41/59/31/1045415931.db2.gz XGLOGLCEZJTDFP-SJHCENCUSA-N 0 3 321.877 4.386 20 0 DIADHN CCc1ncc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000449611428 1045418826 /nfs/dbraw/zinc/41/88/26/1045418826.db2.gz VSJZUOFZQDWDJS-INIZCTEOSA-N 0 3 300.377 4.102 20 0 DIADHN Clc1sccc1CN[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000449620693 1045420561 /nfs/dbraw/zinc/42/05/61/1045420561.db2.gz FSCJAWGWKDNXRB-VXGBXAGGSA-N 0 3 313.875 4.473 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C)oc1C)c1ccc2c(c1)OCCO2 ZINC000119381814 1045420965 /nfs/dbraw/zinc/42/09/65/1045420965.db2.gz RHOMZLYCXLPCKZ-DYVFJYSZSA-N 0 3 315.413 4.470 20 0 DIADHN CCCc1csc(CN[C@@H]2CCO[C@H](c3cccs3)C2)n1 ZINC000449621087 1045421170 /nfs/dbraw/zinc/42/11/70/1045421170.db2.gz GPXQUVBPVRVIRC-OCCSQVGLSA-N 0 3 322.499 4.167 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](CC)c2c(C)nn(C)c2C)cc1 ZINC000119391230 1045421757 /nfs/dbraw/zinc/42/17/57/1045421757.db2.gz WQMKGJQCGDSHJH-SCLBCKFNSA-N 0 3 315.461 4.238 20 0 DIADHN CC(C)(C)c1ccc(CCC(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449662722 1045425585 /nfs/dbraw/zinc/42/55/85/1045425585.db2.gz HABBRUWROSJJPU-UHFFFAOYSA-N 0 3 322.452 4.159 20 0 DIADHN CCCc1sc(C(=O)Nc2ccc3c(c2)CNC3)cc1CC ZINC000449668621 1045425754 /nfs/dbraw/zinc/42/57/54/1045425754.db2.gz UCSTVJWBWUHRHA-UHFFFAOYSA-N 0 3 314.454 4.119 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@]2(CCOC2)C1 ZINC000449679573 1045426158 /nfs/dbraw/zinc/42/61/58/1045426158.db2.gz IJMDJQGHUCBCAA-KRWDZBQOSA-N 0 3 305.805 4.089 20 0 DIADHN Clc1ccc(C2CC(NCc3cnc(C4CC4)o3)C2)cc1 ZINC000449707936 1045428824 /nfs/dbraw/zinc/42/88/24/1045428824.db2.gz STCWLTHDWNRDII-UHFFFAOYSA-N 0 3 302.805 4.241 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2cc(F)ccc2F)CC1 ZINC000449721013 1045430714 /nfs/dbraw/zinc/43/07/14/1045430714.db2.gz BIOGPPKFVKARHD-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN Fc1cccc2[nH]c(CN3CCC[C@]4(CCSC4)C3)cc21 ZINC000449737200 1045432515 /nfs/dbraw/zinc/43/25/15/1045432515.db2.gz YKZUIIWDCOCAOY-KRWDZBQOSA-N 0 3 304.434 4.026 20 0 DIADHN OCC1([C@H](NCc2cccc(Cl)c2)c2cccs2)CCC1 ZINC000449767468 1045435431 /nfs/dbraw/zinc/43/54/31/1045435431.db2.gz QJMFVUZFCJVEBY-MRXNPFEDSA-N 0 3 321.873 4.395 20 0 DIADHN COC[C@@H]1CCCN(Cc2cnc(-c3cccs3)s2)CC1 ZINC000449770692 1045435693 /nfs/dbraw/zinc/43/56/93/1045435693.db2.gz DNQWQBOMOBQDNC-CYBMUJFWSA-N 0 3 322.499 4.120 20 0 DIADHN COC[C@@H]1CCCN(Cc2ncc(-c3ccccc3)s2)CC1 ZINC000449779909 1045436564 /nfs/dbraw/zinc/43/65/64/1045436564.db2.gz VARUHAPUGHKMMF-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN CCN1CCCN(C(=O)Nc2ccc(Cl)cc2C(C)C)CC1 ZINC000529242555 1045461455 /nfs/dbraw/zinc/46/14/55/1045461455.db2.gz GIDSSVMHEXVKGN-UHFFFAOYSA-N 0 3 323.868 4.023 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cc(Cl)cs1 ZINC000487532007 1045462969 /nfs/dbraw/zinc/46/29/69/1045462969.db2.gz BRWUGZOOXNIHMH-BMIGLBTASA-N 0 3 313.825 4.406 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CCCC(=O)c1ccccc1 ZINC000389828883 1045470924 /nfs/dbraw/zinc/47/09/24/1045470924.db2.gz UMABVWACXFXMHG-HNNXBMFYSA-N 0 3 313.363 4.312 20 0 DIADHN FC1(F)CC(CN2CCC[C@H]2c2nc3ccccc3s2)C1 ZINC000450237804 1045478888 /nfs/dbraw/zinc/47/88/88/1045478888.db2.gz QGTRISYSDWWWBO-ZDUSSCGKSA-N 0 3 308.397 4.479 20 0 DIADHN CCCc1ccc2nccc(N3CCC(c4ncc[nH]4)CC3)c2c1 ZINC000450295599 1045485416 /nfs/dbraw/zinc/48/54/16/1045485416.db2.gz ZOSZTGZWLNSICT-UHFFFAOYSA-N 0 3 320.440 4.294 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc3cc[nH]c3c2)o1 ZINC000529540837 1045491468 /nfs/dbraw/zinc/49/14/68/1045491468.db2.gz CTUCFKPSSDEAGZ-GOSISDBHSA-N 0 3 310.397 4.244 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2CCCCC2(F)F)sc1C ZINC000450574664 1045521252 /nfs/dbraw/zinc/52/12/52/1045521252.db2.gz AOUZXAUWWNIUHH-LBPRGKRZSA-N 0 3 302.434 4.410 20 0 DIADHN COc1cc(CN(Cc2ccc3cc[nH]c3c2)C2CC2)ccc1O ZINC000529546422 1045491995 /nfs/dbraw/zinc/49/19/95/1045491995.db2.gz DFPQHMNIVYLXBR-UHFFFAOYSA-N 0 3 322.408 4.047 20 0 DIADHN c1csc([C@@H]2CCCN(Cc3ccccc3OC3CC3)C2)n1 ZINC000450335901 1045493730 /nfs/dbraw/zinc/49/37/30/1045493730.db2.gz MVVWDHYQSCLICD-OAHLLOKOSA-N 0 3 314.454 4.064 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000529538293 1045493765 /nfs/dbraw/zinc/49/37/65/1045493765.db2.gz ZLKYQZFQIYEDJO-WCQYABFASA-N 0 3 319.392 4.015 20 0 DIADHN CN(C[C@@H]1OCCc2ccccc21)C[C@@H]1CCCCC1(F)F ZINC000450336842 1045494835 /nfs/dbraw/zinc/49/48/35/1045494835.db2.gz BTKOHLRFXAVLFY-RDJZCZTQSA-N 0 3 309.400 4.058 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc(Cl)c(OC)c2)CC1 ZINC000450391117 1045500039 /nfs/dbraw/zinc/50/00/39/1045500039.db2.gz LVTHMUUEBKBDKK-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN O=C(CCCN1CCC[C@H]1c1ccco1)c1ccc(F)cc1 ZINC000450404034 1045502291 /nfs/dbraw/zinc/50/22/91/1045502291.db2.gz BRQHHGWKNXZHOC-INIZCTEOSA-N 0 3 301.361 4.219 20 0 DIADHN c1nc(CCN2Cc3ccccc3C[C@@H]2c2ccccc2)cs1 ZINC000450433546 1045504644 /nfs/dbraw/zinc/50/46/44/1045504644.db2.gz ATVHJJDEDQLVDJ-HXUWFJFHSA-N 0 3 320.461 4.485 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307499850 1045507003 /nfs/dbraw/zinc/50/70/03/1045507003.db2.gz KASKIBJIIACHOQ-CJNGLKHVSA-N 0 3 303.837 4.146 20 0 DIADHN CCC[C@@H](CN1CCc2nc(C3CC3)ncc2C1)c1ccccc1 ZINC000529666179 1045510308 /nfs/dbraw/zinc/51/03/08/1045510308.db2.gz RCOXYWSSPQBDIG-SFHVURJKSA-N 0 3 321.468 4.296 20 0 DIADHN CCOc1ccccc1CN1CCC(OC2CCCC2)CC1 ZINC000121114968 1045514812 /nfs/dbraw/zinc/51/48/12/1045514812.db2.gz RIKPHDCHXSJSNN-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1cc(F)c(F)c(F)c1 ZINC000389995557 1045517514 /nfs/dbraw/zinc/51/75/14/1045517514.db2.gz RKPZXBAIEBRWSG-UHFFFAOYSA-N 0 3 323.358 4.189 20 0 DIADHN C[C@H](O)CCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000450554526 1045518904 /nfs/dbraw/zinc/51/89/04/1045518904.db2.gz SCFHEMIPVNIDOZ-WFASDCNBSA-N 0 3 301.352 4.003 20 0 DIADHN CC(C)(C)[C@@H](NCN1C(=O)C[C@H]1c1ccccc1)c1ccccc1 ZINC000077433984 1045520070 /nfs/dbraw/zinc/52/00/70/1045520070.db2.gz AYKULSSEJAPJMQ-ICSRJNTNSA-N 0 3 322.452 4.295 20 0 DIADHN COCc1ccc([C@@H]2CCCN2C[C@H]2CCCC3(CCC3)O2)o1 ZINC001119819606 1045527420 /nfs/dbraw/zinc/52/74/20/1045527420.db2.gz QQVLZEYRNIVOLR-WBVHZDCISA-N 0 3 319.445 4.055 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3nccn3C3CC3)CC2)cc1 ZINC001119954531 1045531445 /nfs/dbraw/zinc/53/14/45/1045531445.db2.gz MKUUVRDDTOIYFG-KRWDZBQOSA-N 0 3 311.404 4.083 20 0 DIADHN Cc1cnc([C@H](C)NC2CC(c3ccc(F)cc3F)C2)s1 ZINC001120031613 1045533351 /nfs/dbraw/zinc/53/33/51/1045533351.db2.gz REIHJNGUKKWENS-ZBOXLXRLSA-N 0 3 308.397 4.327 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2ncc(C)s2)C2CC2)C[C@@H]1OC ZINC001120006239 1045534065 /nfs/dbraw/zinc/53/40/65/1045534065.db2.gz YCWNASCPCAPHCO-ILXRZTDVSA-N 0 3 308.491 4.086 20 0 DIADHN FC1(F)CC[C@H](CN2CC(c3nc4ccccc4s3)C2)C1 ZINC000450634489 1045534900 /nfs/dbraw/zinc/53/49/00/1045534900.db2.gz GMQKVVUUQYILCW-NSHDSACASA-N 0 3 308.397 4.131 20 0 DIADHN Cc1ncc(CN2CCc3ccccc3[C@H]2Cc2ccccc2)o1 ZINC000628191526 1045538216 /nfs/dbraw/zinc/53/82/16/1045538216.db2.gz NKLZTRUVJUCJNU-OAQYLSRUSA-N 0 3 318.420 4.325 20 0 DIADHN CC[C@H](NCc1ccccc1OC1CC1)c1ccc(OC)cc1 ZINC000450661085 1045539549 /nfs/dbraw/zinc/53/95/49/1045539549.db2.gz MXYXCTAFIVQQIX-IBGZPJMESA-N 0 3 311.425 4.477 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)Cc2c(Cl)cccc2Cl)o1 ZINC000628231158 1045549240 /nfs/dbraw/zinc/54/92/40/1045549240.db2.gz HAQFFCUDKDJJMM-SECBINFHSA-N 0 3 313.228 4.319 20 0 DIADHN FC(F)(F)c1cc(CN2CCC[C@@H]2C2CCOCC2)cs1 ZINC000628232844 1045550383 /nfs/dbraw/zinc/55/03/83/1045550383.db2.gz QJAAGXYTESLUHU-CYBMUJFWSA-N 0 3 319.392 4.158 20 0 DIADHN Cc1nc(C)c(CNCC(C)(C)c2cccc(Cl)c2F)o1 ZINC000628239864 1045550885 /nfs/dbraw/zinc/55/08/85/1045550885.db2.gz HGFRXWLJXLISJR-UHFFFAOYSA-N 0 3 310.800 4.151 20 0 DIADHN Cc1ncc(CNC(C)(C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000628244964 1045554316 /nfs/dbraw/zinc/55/43/16/1045554316.db2.gz CNQRCFLOYIIEEN-UHFFFAOYSA-N 0 3 312.335 4.113 20 0 DIADHN Cc1cnc([C@H](NC[C@H]2CCCCC2(F)F)C2CC2)s1 ZINC000450731039 1045554502 /nfs/dbraw/zinc/55/45/02/1045554502.db2.gz YLWPZFWJLIYOEG-CHWSQXEVSA-N 0 3 300.418 4.318 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1cnc(Cl)s1 ZINC000750802143 1045556027 /nfs/dbraw/zinc/55/60/27/1045556027.db2.gz VTHQXPXJKNDHQM-AWEZNQCLSA-N 0 3 306.862 4.312 20 0 DIADHN O=C(Nc1cccc2c1CNC2)C1CCC(c2ccccc2)CC1 ZINC001122222120 1045563061 /nfs/dbraw/zinc/56/30/61/1045563061.db2.gz NYHIYFHFXASVEO-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)o1 ZINC000628323944 1045568561 /nfs/dbraw/zinc/56/85/61/1045568561.db2.gz WATDZFAZRYPZPV-QGZVFWFLSA-N 0 3 304.821 4.234 20 0 DIADHN CCC(=O)CCCN1CCC(c2nc3cccc(C)c3o2)CC1 ZINC000450816679 1045568633 /nfs/dbraw/zinc/56/86/33/1045568633.db2.gz CBAQIAYJTBZUIY-UHFFFAOYSA-N 0 3 314.429 4.075 20 0 DIADHN Fc1ccccc1C[C@H]1CCCCN1Cc1cnc(C2CC2)o1 ZINC000628324908 1045568850 /nfs/dbraw/zinc/56/88/50/1045568850.db2.gz PCPFXDRLCVWERC-MRXNPFEDSA-N 0 3 314.404 4.288 20 0 DIADHN CN(CC1CC(F)(F)C1)[C@@]1(c2ccccc2)CCCCC1=O ZINC000450814372 1045569772 /nfs/dbraw/zinc/56/97/72/1045569772.db2.gz YMRMZEXXNPMYII-GOSISDBHSA-N 0 3 307.384 4.002 20 0 DIADHN CN(CC1CC(F)(F)C1)[C@]1(c2ccccc2)CCCCC1=O ZINC000450814373 1045569871 /nfs/dbraw/zinc/56/98/71/1045569871.db2.gz YMRMZEXXNPMYII-SFHVURJKSA-N 0 3 307.384 4.002 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000008118522 1045575014 /nfs/dbraw/zinc/57/50/14/1045575014.db2.gz MFIWDLRVMXHNRM-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000008118520 1045575314 /nfs/dbraw/zinc/57/53/14/1045575314.db2.gz MFIWDLRVMXHNRM-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCCC(=O)c1ccc(F)cc1 ZINC000450890704 1045589842 /nfs/dbraw/zinc/58/98/42/1045589842.db2.gz WPMWBODZPWHUBK-FZMZJTMJSA-N 0 3 317.326 4.061 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)Nc1cc(C)nc(N)n1 ZINC000751228320 1045592355 /nfs/dbraw/zinc/59/23/55/1045592355.db2.gz PYUJLGYWNNNEMX-OAHLLOKOSA-N 0 3 324.453 4.133 20 0 DIADHN CC(C)OC[C@H](C)N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000800268190 1045597903 /nfs/dbraw/zinc/59/79/03/1045597903.db2.gz HYSWPULEVORXKF-GWCFXTLKSA-N 0 3 318.244 4.220 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000800268193 1045598940 /nfs/dbraw/zinc/59/89/40/1045598940.db2.gz HYSWPULEVORXKF-ZWNOBZJWSA-N 0 3 318.244 4.220 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H](c2ccncc2)C1 ZINC000450996428 1045605372 /nfs/dbraw/zinc/60/53/72/1045605372.db2.gz HAQZRMSVAPYHRH-CJNGLKHVSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN3CCCc3ccncc3)nc2c1 ZINC000451015878 1045609868 /nfs/dbraw/zinc/60/98/68/1045609868.db2.gz MBEMTHVCBSYUSU-LJQANCHMSA-N 0 3 320.440 4.036 20 0 DIADHN Cc1ccc2nc([C@H]3CCCN3CCCc3ccncc3)[nH]c2c1 ZINC000451015878 1045609875 /nfs/dbraw/zinc/60/98/75/1045609875.db2.gz MBEMTHVCBSYUSU-LJQANCHMSA-N 0 3 320.440 4.036 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2cccc(OC(C)C)c2)oc1C ZINC000800478498 1045625092 /nfs/dbraw/zinc/62/50/92/1045625092.db2.gz JFPFYNXPVBDIMH-KDOFPFPSSA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc(OC(C)C)cc2)oc1C ZINC000800478613 1045625693 /nfs/dbraw/zinc/62/56/93/1045625693.db2.gz MIJTWXBMKJJKME-KDOFPFPSSA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc3ccccc3c2)oc1C ZINC000800479253 1045625755 /nfs/dbraw/zinc/62/57/55/1045625755.db2.gz YFUFLNHOQREGPL-AUUYWEPGSA-N 0 3 309.409 4.434 20 0 DIADHN C[C@H](NCc1ncccc1F)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000530278126 1045630869 /nfs/dbraw/zinc/63/08/69/1045630869.db2.gz JFYRWYDBKJLKGT-GARJFASQSA-N 0 3 310.825 4.217 20 0 DIADHN C[C@@H](NCc1ncccc1F)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000530278143 1045631222 /nfs/dbraw/zinc/63/12/22/1045631222.db2.gz JFYRWYDBKJLKGT-OUAUKWLOSA-N 0 3 310.825 4.217 20 0 DIADHN CCCCCC[C@@H]1CCC[C@H]1NCc1ncnn1C(C)(C)C ZINC000800498872 1045635428 /nfs/dbraw/zinc/63/54/28/1045635428.db2.gz AQPRDILOFHTLHO-HZPDHXFCSA-N 0 3 306.498 4.262 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1ccc(F)cc1)c1ccc(F)cc1 ZINC000752088303 1045656261 /nfs/dbraw/zinc/65/62/61/1045656261.db2.gz FUQZKBRBYRRHIK-MOPGFXCFSA-N 0 3 317.379 4.225 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCCC[C@H]2CC(F)(F)F)s1 ZINC000451300335 1045660209 /nfs/dbraw/zinc/66/02/09/1045660209.db2.gz UQKSWEBSTKZMIE-QWRGUYRKSA-N 0 3 321.412 4.262 20 0 DIADHN COC[C@H](Cc1ccccc1)N(C)Cc1ccc(Cl)s1 ZINC000530442831 1045667522 /nfs/dbraw/zinc/66/75/22/1045667522.db2.gz AESLHGCQYQWXFG-AWEZNQCLSA-N 0 3 309.862 4.091 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@H]2CCC3(CCCC3)O2)s1 ZINC000530462892 1045669880 /nfs/dbraw/zinc/66/98/80/1045669880.db2.gz NTRBXWOEDWMMNF-HUUCEWRRSA-N 0 3 322.518 4.499 20 0 DIADHN O[C@H](CN1CCc2cc(-c3ccccc3)oc2C1)c1ccccc1 ZINC000752312757 1045673560 /nfs/dbraw/zinc/67/35/60/1045673560.db2.gz RPCAGNPMJFGEBV-LJQANCHMSA-N 0 3 319.404 4.038 20 0 DIADHN O[C@@H](CN1CC=C(c2ccc(Cl)cc2)CC1)c1ccccc1 ZINC000752339889 1045674752 /nfs/dbraw/zinc/67/47/52/1045674752.db2.gz ALWFTWQHEPRBHU-IBGZPJMESA-N 0 3 313.828 4.163 20 0 DIADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1cc2cccc(F)c2o1 ZINC000530492916 1045674627 /nfs/dbraw/zinc/67/46/27/1045674627.db2.gz SYROFELQTFGTIU-LLVKDONJSA-N 0 3 309.344 4.299 20 0 DIADHN C[C@@H](NCc1ccc2nc[nH]c2c1)c1cc2cccc(F)c2o1 ZINC000530492916 1045674636 /nfs/dbraw/zinc/67/46/36/1045674636.db2.gz SYROFELQTFGTIU-LLVKDONJSA-N 0 3 309.344 4.299 20 0 DIADHN C[C@@H](c1ncc(C(C)(C)C)o1)N1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000451375443 1045674875 /nfs/dbraw/zinc/67/48/75/1045674875.db2.gz UUEBRTOVLMWVNN-ZIUCBDDQSA-N 0 3 300.446 4.177 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000451412306 1045679668 /nfs/dbraw/zinc/67/96/68/1045679668.db2.gz ADPJWMXILDWNBJ-UIBBOPPKSA-N 0 3 311.828 4.166 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000451412305 1045680761 /nfs/dbraw/zinc/68/07/61/1045680761.db2.gz ADPJWMXILDWNBJ-JMGFVUJMSA-N 0 3 311.828 4.166 20 0 DIADHN c1ccc(Oc2ccc(CN[C@@H]3CCC34CCCC4)nn2)cc1 ZINC000451452157 1045684078 /nfs/dbraw/zinc/68/40/78/1045684078.db2.gz CFLZCZQSAGSJJB-QGZVFWFLSA-N 0 3 309.413 4.081 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC(C)(C)CC(F)F ZINC000900955169 1045688564 /nfs/dbraw/zinc/68/85/64/1045688564.db2.gz NDSGPOUTXYMQBI-UHFFFAOYSA-N 0 3 312.404 4.067 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCCc2nc(C)sc21 ZINC000121946003 1045690874 /nfs/dbraw/zinc/69/08/74/1045690874.db2.gz CBXYOKZNXWJACU-GOEBONIOSA-N 0 3 314.454 4.115 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cccc3c1NCC3)CCC2 ZINC000629673885 1045695079 /nfs/dbraw/zinc/69/50/79/1045695079.db2.gz MFTZZECBEUDMTJ-GOSISDBHSA-N 0 3 312.844 4.475 20 0 DIADHN O=c1[nH]c2ccccc2cc1-c1cc(CNC2CCCCC2)on1 ZINC000901405609 1045724077 /nfs/dbraw/zinc/72/40/77/1045724077.db2.gz ZTIPLTWRJLERAS-UHFFFAOYSA-N 0 3 323.396 4.018 20 0 DIADHN CN(Cc1cc2c(c(Cl)c1)OCO2)[C@@H]1CCc2ccccc21 ZINC000630033181 1045731286 /nfs/dbraw/zinc/73/12/86/1045731286.db2.gz QNQURNIIOZJDQN-MRXNPFEDSA-N 0 3 315.800 4.188 20 0 DIADHN CCOC(=O)CC(C)(C)CCN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451858547 1045736230 /nfs/dbraw/zinc/73/62/30/1045736230.db2.gz RUKGFEVKYGWPDJ-QGZVFWFLSA-N 0 3 319.445 4.176 20 0 DIADHN COC1(CCN2CCCC[C@H]2c2nc3ccccc3[nH]2)CCC1 ZINC000451878508 1045738455 /nfs/dbraw/zinc/73/84/55/1045738455.db2.gz NCDUZXQTRKXKIK-KRWDZBQOSA-N 0 3 313.445 4.049 20 0 DIADHN c1c(CN2CCC[C@@]3(CCSC3)C2)onc1-c1ccccc1 ZINC000451954913 1045755196 /nfs/dbraw/zinc/75/51/96/1045755196.db2.gz AMJGXFBZKCEGKB-GOSISDBHSA-N 0 3 314.454 4.061 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1[C@@H](C)CCC1(C)C ZINC000452001778 1045760375 /nfs/dbraw/zinc/76/03/75/1045760375.db2.gz DDFKTVGQLVPCHW-HNNXBMFYSA-N 0 3 302.462 4.320 20 0 DIADHN COC1CCC(N[C@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000753572092 1045763452 /nfs/dbraw/zinc/76/34/52/1045763452.db2.gz SPDZBOJTTLYPPG-AFMYVXGZSA-N 0 3 310.441 4.027 20 0 DIADHN C[C@@H](N[C@@H](C)[C@H]1CCC[C@@H](C)C1)c1nc2ccccc2c(=O)[nH]1 ZINC000452064654 1045766793 /nfs/dbraw/zinc/76/67/93/1045766793.db2.gz GRZWVZSDALCIBC-BARDWOONSA-N 0 3 313.445 4.201 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1CC[C@@H](CCCO)C1 ZINC000452116894 1045770512 /nfs/dbraw/zinc/77/05/12/1045770512.db2.gz COPGHJCUVQDNTN-NWDGAFQWSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N1CC[C@@H](CCCO)C1 ZINC000452126906 1045775157 /nfs/dbraw/zinc/77/51/57/1045775157.db2.gz VFWGBKBUXYSYNG-NWDGAFQWSA-N 0 3 302.245 4.149 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(F)c(F)c2)cc1C ZINC000753635968 1045782709 /nfs/dbraw/zinc/78/27/09/1045782709.db2.gz WROFOPSHOUXYIM-CYBMUJFWSA-N 0 3 305.368 4.441 20 0 DIADHN CSC(C)(C)CN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000753662619 1045786347 /nfs/dbraw/zinc/78/63/47/1045786347.db2.gz JQUKEHOCZONRSK-KRWDZBQOSA-N 0 3 318.461 4.350 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](c2ccc(F)cc2)c2ccccn2)C1 ZINC000753665703 1045786438 /nfs/dbraw/zinc/78/64/38/1045786438.db2.gz VQBXOTNQVUWMDO-LZLYRXPVSA-N 0 3 316.445 4.184 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](c2ccc(F)cc2)c2ccccn2)C1 ZINC000753665705 1045787173 /nfs/dbraw/zinc/78/71/73/1045787173.db2.gz VQBXOTNQVUWMDO-NUJGCVRESA-N 0 3 316.445 4.184 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccnc(SC)c2)cc1C ZINC000753677652 1045789001 /nfs/dbraw/zinc/78/90/01/1045789001.db2.gz MPLBLEZQZDQXEM-CQSZACIVSA-N 0 3 316.470 4.280 20 0 DIADHN O=C(CCN1CC[C@@H]1c1ccccc1)Nc1cccc(Cl)c1 ZINC000531139562 1045791836 /nfs/dbraw/zinc/79/18/36/1045791836.db2.gz JSTASDQRVNJCHU-QGZVFWFLSA-N 0 3 314.816 4.116 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H]1CCc2c1cccc2Cl ZINC000901702592 1045795813 /nfs/dbraw/zinc/79/58/13/1045795813.db2.gz WYEDCYVXHOREFS-INIZCTEOSA-N 0 3 311.816 4.073 20 0 DIADHN CC[C@H](NCc1cnc(CCOC)s1)c1ccc(Cl)cc1 ZINC000631041550 1045799730 /nfs/dbraw/zinc/79/97/30/1045799730.db2.gz YHURXDBZLSJVQO-HNNXBMFYSA-N 0 3 324.877 4.226 20 0 DIADHN COCCc1ncc(CN[C@@H](CC(C)C)c2ccccc2)s1 ZINC000631034062 1045799821 /nfs/dbraw/zinc/79/98/21/1045799821.db2.gz DVQADQSGNVBPIS-KRWDZBQOSA-N 0 3 318.486 4.209 20 0 DIADHN Cc1scc(CNCc2ccc(NC(=O)C(C)C)cc2)c1C ZINC000631036452 1045801874 /nfs/dbraw/zinc/80/18/74/1045801874.db2.gz OPMQLENXTZSKBB-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(F)cc2)C(C)C)c1C ZINC000631037850 1045801973 /nfs/dbraw/zinc/80/19/73/1045801973.db2.gz YOUNESHYTFGWNT-SFHVURJKSA-N 0 3 302.393 4.025 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc3[nH]c(C)c(C)c3c2)c1 ZINC000631051285 1045803134 /nfs/dbraw/zinc/80/31/34/1045803134.db2.gz DDOFEEMTZKAZAP-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN Cc1scc(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c1C ZINC000631046253 1045804794 /nfs/dbraw/zinc/80/47/94/1045804794.db2.gz ZEMXDAQSXBMRRU-LBPRGKRZSA-N 0 3 314.454 4.100 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cncc(OC)c2C)cc1 ZINC000631062487 1045807971 /nfs/dbraw/zinc/80/79/71/1045807971.db2.gz NSKZTWOULGDZCP-AWEZNQCLSA-N 0 3 316.470 4.361 20 0 DIADHN Cc1scc(CNC2(c3cccc(F)c3)CCOCC2)c1C ZINC000631081621 1045811714 /nfs/dbraw/zinc/81/17/14/1045811714.db2.gz UEGBAJWDTKBTJE-UHFFFAOYSA-N 0 3 319.445 4.300 20 0 DIADHN C[C@H](COc1ccccc1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000753861360 1045812707 /nfs/dbraw/zinc/81/27/07/1045812707.db2.gz ZMJZYBFMEQTCRU-SJKOYZFVSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](COc1ccccc1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000753861361 1045813673 /nfs/dbraw/zinc/81/36/73/1045813673.db2.gz ZMJZYBFMEQTCRU-YVEFUNNKSA-N 0 3 303.352 4.009 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631104284 1045817250 /nfs/dbraw/zinc/81/72/50/1045817250.db2.gz DNTAJCAVOPHAKS-MEDUHNTESA-N 0 3 324.346 4.024 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000631104281 1045818833 /nfs/dbraw/zinc/81/88/33/1045818833.db2.gz DNTAJCAVOPHAKS-BZNIZROVSA-N 0 3 324.346 4.024 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1ccc(C(F)F)nc1 ZINC000631127076 1045820239 /nfs/dbraw/zinc/82/02/39/1045820239.db2.gz ODLDGDLQVCRMGH-UHFFFAOYSA-N 0 3 320.383 4.104 20 0 DIADHN C[C@H](O)[C@@H](NCc1ccc(CC(F)(F)F)cc1)c1ccccc1 ZINC000631178845 1045827087 /nfs/dbraw/zinc/82/70/87/1045827087.db2.gz NTGZYPWXAMGKRG-SUMWQHHRSA-N 0 3 323.358 4.003 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@H]2C(C)C)cs1 ZINC000531344841 1045828232 /nfs/dbraw/zinc/82/82/32/1045828232.db2.gz PMQNQLYTCDTYEL-INIZCTEOSA-N 0 3 316.470 4.439 20 0 DIADHN COCCc1ncc(CN[C@H](c2cccc(C)c2)C(C)C)s1 ZINC000631181979 1045828950 /nfs/dbraw/zinc/82/89/50/1045828950.db2.gz KLULQWXLAVLCDP-SFHVURJKSA-N 0 3 318.486 4.127 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C\c3cccc(F)c3)n2)cc1 ZINC000531348307 1045830171 /nfs/dbraw/zinc/83/01/71/1045830171.db2.gz MDXOQQIMMHQFIM-FLIBITNWSA-N 0 3 323.371 4.108 20 0 DIADHN COCc1cnc(CN[C@@](C)(Cc2ccccc2)C(C)C)s1 ZINC000631234102 1045837694 /nfs/dbraw/zinc/83/76/94/1045837694.db2.gz WHKNOTSZDJGCKN-SFHVURJKSA-N 0 3 318.486 4.037 20 0 DIADHN CC(C)(C)SCCN(Cc1cccs1)C[C@H]1CCCO1 ZINC000801912257 1045838073 /nfs/dbraw/zinc/83/80/73/1045838073.db2.gz LGEBRBZWBWGFBX-CQSZACIVSA-N 0 3 313.532 4.261 20 0 DIADHN CN(CCSC(C)(C)C)Cc1ccccc1N1CCCC1 ZINC000801909276 1045838233 /nfs/dbraw/zinc/83/82/33/1045838233.db2.gz LEYINSQPNGLCPR-UHFFFAOYSA-N 0 3 306.519 4.250 20 0 DIADHN CC(C)(C)SCCN(Cc1cccs1)C[C@@H]1CCCO1 ZINC000801912256 1045838684 /nfs/dbraw/zinc/83/86/84/1045838684.db2.gz LGEBRBZWBWGFBX-AWEZNQCLSA-N 0 3 313.532 4.261 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000801920481 1045841312 /nfs/dbraw/zinc/84/13/12/1045841312.db2.gz QPCNFBOXKPGQTQ-MOPGFXCFSA-N 0 3 317.379 4.489 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC(c2ccccn2)CC1 ZINC000754069669 1045842746 /nfs/dbraw/zinc/84/27/46/1045842746.db2.gz KXHCLQZBIHWPNN-UHFFFAOYSA-N 0 3 319.408 4.022 20 0 DIADHN FC(F)(F)c1cccc(CNCc2cccc3c2CCOC3)c1 ZINC000631245961 1045842894 /nfs/dbraw/zinc/84/28/94/1045842894.db2.gz VSPIEFBVAKFPKV-UHFFFAOYSA-N 0 3 321.342 4.068 20 0 DIADHN Clc1ccc(CNCc2cccc3c2CCOC3)cc1Cl ZINC000631244833 1045843035 /nfs/dbraw/zinc/84/30/35/1045843035.db2.gz DVYKFDCMEVNAQB-UHFFFAOYSA-N 0 3 322.235 4.356 20 0 DIADHN Cc1cc(CNCc2cccc3c2CCOC3)ccc1Cl ZINC000631243938 1045843192 /nfs/dbraw/zinc/84/31/92/1045843192.db2.gz IWXXOTOUKNOLLD-UHFFFAOYSA-N 0 3 301.817 4.011 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cncc(Br)c1C ZINC000631248769 1045843260 /nfs/dbraw/zinc/84/32/60/1045843260.db2.gz XSPRAOSOJIBXLW-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CC[C@H](NCc1sccc1C)c1cccc(OC)c1OC ZINC000631264316 1045846060 /nfs/dbraw/zinc/84/60/60/1045846060.db2.gz HNYLIGMFNZXECJ-AWEZNQCLSA-N 0 3 305.443 4.315 20 0 DIADHN CC[C@@H](NCc1sccc1C)c1cccc(OC)c1OC ZINC000631264320 1045846922 /nfs/dbraw/zinc/84/69/22/1045846922.db2.gz HNYLIGMFNZXECJ-CQSZACIVSA-N 0 3 305.443 4.315 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@H](c3ccccc3)C2)s1 ZINC000754101855 1045848426 /nfs/dbraw/zinc/84/84/26/1045848426.db2.gz MLMCNIIKRIAPKV-HOTGVXAUSA-N 0 3 315.486 4.478 20 0 DIADHN CCn1c(CN[C@@H]2CS[C@H](C(C)(C)C)C2)nc2ccccc21 ZINC000754151418 1045855351 /nfs/dbraw/zinc/85/53/51/1045855351.db2.gz YXZNTCRXXSHHIG-BBRMVZONSA-N 0 3 317.502 4.066 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000631564525 1045868579 /nfs/dbraw/zinc/86/85/79/1045868579.db2.gz SJESYJHQPFHHBS-SGTLLEGYSA-N 0 3 315.388 4.181 20 0 DIADHN Fc1cccc2c1SCC[C@H]2N[C@H]1CCCc2ncccc21 ZINC000631567121 1045870482 /nfs/dbraw/zinc/87/04/82/1045870482.db2.gz UUSOBBXTRYXDIZ-DLBZAZTESA-N 0 3 314.429 4.425 20 0 DIADHN FC(F)(F)Oc1ccc(CN[C@@H]2CCCc3ncccc32)cc1 ZINC000631567901 1045871278 /nfs/dbraw/zinc/87/12/78/1045871278.db2.gz LXYLCVYAOLMTFI-MRXNPFEDSA-N 0 3 322.330 4.147 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1sccc1C ZINC000631574215 1045873644 /nfs/dbraw/zinc/87/36/44/1045873644.db2.gz XNLUPXAFIRNNSR-CQSZACIVSA-N 0 3 303.427 4.069 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000631576988 1045875090 /nfs/dbraw/zinc/87/50/90/1045875090.db2.gz NGOXUZXQVZFQIO-ACRUOGEOSA-N 0 3 323.436 4.192 20 0 DIADHN CC(C)CO[C@@H]1CCN(Cc2cc(Cl)cc3cccnc32)C1 ZINC000531587360 1045877333 /nfs/dbraw/zinc/87/73/33/1045877333.db2.gz XFJRQJXFJNCFFM-QGZVFWFLSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC000192594131 1045879715 /nfs/dbraw/zinc/87/97/15/1045879715.db2.gz AQQZPAQLIUWJFG-KRWDZBQOSA-N 0 3 322.452 4.082 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@H]2CCCc3ncccc32)cc1 ZINC000631604444 1045885984 /nfs/dbraw/zinc/88/59/84/1045885984.db2.gz HPUODHXKXRXQRS-IBGZPJMESA-N 0 3 310.441 4.426 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2ccc(C3CCC3)cc2)cn1 ZINC000631624244 1045889541 /nfs/dbraw/zinc/88/95/41/1045889541.db2.gz BWLDKZLUYYSTRU-AWEZNQCLSA-N 0 3 323.440 4.158 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccsc2)ccc1OC(F)F ZINC000531689823 1045890723 /nfs/dbraw/zinc/89/07/23/1045890723.db2.gz MUBSMIAPFWWTEI-JTQLQIEISA-N 0 3 313.369 4.209 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H](C)CCc1c[nH]c2ccccc12 ZINC000631631210 1045891299 /nfs/dbraw/zinc/89/12/99/1045891299.db2.gz GMGRBEAFBXJXGN-LSDHHAIUSA-N 0 3 310.445 4.056 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](C)CCc1c[nH]c2ccccc12 ZINC000631631208 1045891775 /nfs/dbraw/zinc/89/17/75/1045891775.db2.gz GMGRBEAFBXJXGN-GJZGRUSLSA-N 0 3 310.445 4.056 20 0 DIADHN CC[C@H](NCC1(C(F)(F)F)CC1)c1cccc(OC)c1OC ZINC000631631259 1045892992 /nfs/dbraw/zinc/89/29/92/1045892992.db2.gz XFYPSHPJBBGDCC-LBPRGKRZSA-N 0 3 317.351 4.087 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1ccc(OC)c(F)c1 ZINC000902041521 1045893634 /nfs/dbraw/zinc/89/36/34/1045893634.db2.gz FBDSEFBGLZZIKK-CYBMUJFWSA-N 0 3 302.393 4.035 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1ccc(C2CCC2)cc1 ZINC000631647495 1045896057 /nfs/dbraw/zinc/89/60/57/1045896057.db2.gz VQZGLYSSXUNCLG-AWEZNQCLSA-N 0 3 309.457 4.416 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](C)c2sccc2C)c1 ZINC000531681375 1045897102 /nfs/dbraw/zinc/89/71/02/1045897102.db2.gz JESWDMUMIPAISP-CYBMUJFWSA-N 0 3 302.443 4.256 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000902051357 1045897702 /nfs/dbraw/zinc/89/77/02/1045897702.db2.gz MQNMZKXATFBDCA-CYBMUJFWSA-N 0 3 320.383 4.489 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1ccc(F)cc1N(C)C ZINC000631682213 1045902790 /nfs/dbraw/zinc/90/27/90/1045902790.db2.gz DGJUYPCGEQLJCJ-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN CC[C@](C)(NC[C@]1(C)CC1(Cl)Cl)c1nc(C)cs1 ZINC000828352386 1045903493 /nfs/dbraw/zinc/90/34/93/1045903493.db2.gz IBTTXCOCJYKDPM-RYUDHWBXSA-N 0 3 307.290 4.250 20 0 DIADHN COCCOc1ccc([C@H](C)NCc2csc(C)c2C)cc1 ZINC000631683940 1045905060 /nfs/dbraw/zinc/90/50/60/1045905060.db2.gz OKNFGONZKWCKKT-AWEZNQCLSA-N 0 3 319.470 4.241 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2c(C)cccc2Cl)s1 ZINC000754667945 1045906849 /nfs/dbraw/zinc/90/68/49/1045906849.db2.gz CCQZBQVIJUCUCL-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000631703454 1045907486 /nfs/dbraw/zinc/90/74/86/1045907486.db2.gz OYNOWQPRRBZYMM-CUYVQJCZSA-N 0 3 312.413 4.090 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2c(C)cccc2C)s1 ZINC000754667548 1045908346 /nfs/dbraw/zinc/90/83/46/1045908346.db2.gz JKHYUNCABDALCS-CABCVRRESA-N 0 3 316.470 4.351 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@@H](C)c1ccc(C)s1)c1ccccc1 ZINC000754671410 1045909251 /nfs/dbraw/zinc/90/92/51/1045909251.db2.gz QYJQJBMODGFTHG-GJZGRUSLSA-N 0 3 316.470 4.149 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1csc(Cl)c1 ZINC000631728991 1045909247 /nfs/dbraw/zinc/90/92/47/1045909247.db2.gz CTRAVNOZBQEXNO-LLVKDONJSA-N 0 3 307.846 4.325 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1ccc(C)s1 ZINC000754671867 1045909425 /nfs/dbraw/zinc/90/94/25/1045909425.db2.gz RLTCBWDPPBWDOY-KBPBESRZSA-N 0 3 316.470 4.297 20 0 DIADHN CC[C@@H](N[C@H](CO)c1ccccc1C)c1cccc(Cl)c1 ZINC000531738706 1045910577 /nfs/dbraw/zinc/91/05/77/1045910577.db2.gz OZTRDUTZRMRVTN-QZTJIDSGSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(C)c(Cl)c2)s1 ZINC000754670334 1045910965 /nfs/dbraw/zinc/91/09/65/1045910965.db2.gz MQJGYVKQZAKJHB-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1ccc(Cl)c(F)c1 ZINC000631730184 1045911198 /nfs/dbraw/zinc/91/11/98/1045911198.db2.gz WQVNNIMRTGSFJG-LBPRGKRZSA-N 0 3 319.807 4.403 20 0 DIADHN C[C@H](CN[C@H](C)c1ccoc1)Oc1ccccc1Br ZINC000531737790 1045911624 /nfs/dbraw/zinc/91/16/24/1045911624.db2.gz VBVICTSLOSHPSM-VXGBXAGGSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1)c1nc2ccccc2s1 ZINC000754703494 1045913792 /nfs/dbraw/zinc/91/37/92/1045913792.db2.gz JOOCSDVEZLOJLQ-NSHDSACASA-N 0 3 318.392 4.468 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000631736232 1045913868 /nfs/dbraw/zinc/91/38/68/1045913868.db2.gz CIIXXNFOFLYFDN-MNOVXSKESA-N 0 3 302.399 4.315 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(Cl)c(F)c3)C2)cc1 ZINC001137654478 1045920986 /nfs/dbraw/zinc/92/09/86/1045920986.db2.gz UBPIPAZEGOVRGR-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H](CC)c2ccncc2)cc1 ZINC000453063844 1045921915 /nfs/dbraw/zinc/92/19/15/1045921915.db2.gz SFRSGQHPGFAVJG-GOSISDBHSA-N 0 3 311.429 4.061 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@@H]3N[C@H]1CCCn2nccc21 ZINC000631809150 1045921976 /nfs/dbraw/zinc/92/19/76/1045921976.db2.gz GBACPRGBBRIXEU-ROUUACIJSA-N 0 3 320.440 4.175 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCn3nccc32)c2ccccc12 ZINC000631808446 1045922788 /nfs/dbraw/zinc/92/27/88/1045922788.db2.gz DJXDUTRDZICZTP-RDTXWAMCSA-N 0 3 321.424 4.231 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1ccc(OC(C)(C)C)cc1 ZINC000631810613 1045924319 /nfs/dbraw/zinc/92/43/19/1045924319.db2.gz WVALJLGICNFMKG-YOEHRIQHSA-N 0 3 313.445 4.246 20 0 DIADHN Cc1csc(CN(C)[C@H](Cc2ccc(F)cc2)C(C)C)n1 ZINC000531777239 1045925025 /nfs/dbraw/zinc/92/50/25/1045925025.db2.gz LCJYXNWAHNPUIF-MRXNPFEDSA-N 0 3 306.450 4.290 20 0 DIADHN Cc1cc([C@H](C)NCc2cc(Cl)c3c(c2)OCCO3)cs1 ZINC000453076759 1045927308 /nfs/dbraw/zinc/92/73/08/1045927308.db2.gz MORIOWHYUGRGPT-NSHDSACASA-N 0 3 323.845 4.332 20 0 DIADHN Cc1cccc(F)c1CN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000754792361 1045928615 /nfs/dbraw/zinc/92/86/15/1045928615.db2.gz ARDLIHJKMLLUGA-OXJNMPFZSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCCc3nc(C)ncc31)CCC2 ZINC000754795897 1045928648 /nfs/dbraw/zinc/92/86/48/1045928648.db2.gz QHRXGNQTKVLVGA-ICSRJNTNSA-N 0 3 307.441 4.138 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2cccc(C)c2F)CC1 ZINC001137678253 1045929341 /nfs/dbraw/zinc/92/93/41/1045929341.db2.gz DUWIQWIWFVYGSY-UHFFFAOYSA-N 0 3 313.416 4.272 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@@]1(C)CC1(Cl)Cl ZINC000828755928 1045929764 /nfs/dbraw/zinc/92/97/64/1045929764.db2.gz STJUGCDYHQZHRE-KXUCPTDWSA-N 0 3 304.183 4.233 20 0 DIADHN O[C@H](CNC1c2ccccc2-c2ccccc21)c1ccccc1 ZINC000192754047 1045930254 /nfs/dbraw/zinc/93/02/54/1045930254.db2.gz CYSDQHIEDYCJLK-HXUWFJFHSA-N 0 3 301.389 4.080 20 0 DIADHN CC(C)C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccccn1 ZINC000828774476 1045931969 /nfs/dbraw/zinc/93/19/69/1045931969.db2.gz KGPALBSXIWGRBJ-ZIAGYGMSSA-N 0 3 301.261 4.342 20 0 DIADHN CC(C)C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccccn1 ZINC000828774475 1045932620 /nfs/dbraw/zinc/93/26/20/1045932620.db2.gz KGPALBSXIWGRBJ-UONOGXRCSA-N 0 3 301.261 4.342 20 0 DIADHN CC(C)c1nc(CCN(C)Cc2cc3ccccc3s2)no1 ZINC001137681457 1045933043 /nfs/dbraw/zinc/93/30/43/1045933043.db2.gz XVGKQDAEKOVMSZ-UHFFFAOYSA-N 0 3 315.442 4.082 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccc(CO)c(F)c2)c2sccc21 ZINC000453094691 1045934274 /nfs/dbraw/zinc/93/42/74/1045934274.db2.gz NNGPHBSQDDKYDV-BZNIZROVSA-N 0 3 305.418 4.108 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccc(CO)c(F)c2)c2sccc21 ZINC000453094688 1045934739 /nfs/dbraw/zinc/93/47/39/1045934739.db2.gz NNGPHBSQDDKYDV-BDJLRTHQSA-N 0 3 305.418 4.108 20 0 DIADHN Clc1cccc(C2(CN[C@H]3CCn4ccnc43)CCCC2)c1 ZINC000453104342 1045935639 /nfs/dbraw/zinc/93/56/39/1045935639.db2.gz ZEEBYCGQOHJGRX-INIZCTEOSA-N 0 3 315.848 4.083 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1nc(C(C)(C)C)cs1 ZINC000453101181 1045936302 /nfs/dbraw/zinc/93/63/02/1045936302.db2.gz NIIPYNJPNPRMAU-AWEZNQCLSA-N 0 3 317.502 4.337 20 0 DIADHN CSC[C@@H](C)N[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453105381 1045936631 /nfs/dbraw/zinc/93/66/31/1045936631.db2.gz BLEVIKPPBWTTJN-KGLIPLIRSA-N 0 3 302.443 4.276 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H](C)CCCc2cccnc2)cs1 ZINC000453112146 1045941540 /nfs/dbraw/zinc/94/15/40/1045941540.db2.gz FPUMBOCKVCMPFV-UONOGXRCSA-N 0 3 303.475 4.163 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@H]1CCCC[C@@H]1C ZINC000453120304 1045944822 /nfs/dbraw/zinc/94/48/22/1045944822.db2.gz CTNHHLSZAVQHSW-FZKCQIBNSA-N 0 3 304.482 4.041 20 0 DIADHN O[C@@H](CN[C@H]1CCCc2occc21)c1ccc2ccccc2c1 ZINC000192848524 1045945442 /nfs/dbraw/zinc/94/54/42/1045945442.db2.gz FELWMYYOLWGGQC-OALUTQOASA-N 0 3 307.393 4.133 20 0 DIADHN CC(C)c1csc(CN[C@H](C)CCCc2cccnc2)n1 ZINC000453152816 1045951570 /nfs/dbraw/zinc/95/15/70/1045951570.db2.gz VCFLLTFIIHJGAW-CQSZACIVSA-N 0 3 303.475 4.163 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@H]4CCC[C@@H]4C3)cs2)cc1 ZINC000531801419 1045953415 /nfs/dbraw/zinc/95/34/15/1045953415.db2.gz OVFLZQYQQJNFGA-ZIAGYGMSSA-N 0 3 302.418 4.181 20 0 DIADHN O[C@@H](CN[C@H]1CCCc2occc21)c1cc2ccccc2s1 ZINC000192954218 1045956262 /nfs/dbraw/zinc/95/62/62/1045956262.db2.gz MFDMTGHHVLHWGW-GJZGRUSLSA-N 0 3 313.422 4.195 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000755193832 1045962022 /nfs/dbraw/zinc/96/20/22/1045962022.db2.gz GVBZFPPHKNCTIH-NRJHKNTJSA-N 0 3 317.379 4.034 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@H](O)c1cc(F)ccc1F ZINC000755193972 1045962117 /nfs/dbraw/zinc/96/21/17/1045962117.db2.gz IKIGMXVWJALCKJ-ATEOEAFZSA-N 0 3 317.379 4.034 20 0 DIADHN CCC[C@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000755250375 1045968432 /nfs/dbraw/zinc/96/84/32/1045968432.db2.gz ZLUFKPGYWJXZRO-HNNXBMFYSA-N 0 3 303.381 4.030 20 0 DIADHN Cc1ccc(-c2noc(C3CCN(C4CC4)CC3)n2)cc1Cl ZINC000453189077 1045973389 /nfs/dbraw/zinc/97/33/89/1045973389.db2.gz RRCQPSPBLUYKEA-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN Cc1ccc(C2(CN[C@@H]3C[C@H](C)n4ccnc43)CCC2)cc1C ZINC000453213748 1045987546 /nfs/dbraw/zinc/98/75/46/1045987546.db2.gz JFRZWMVBUZQBLH-FUHWJXTLSA-N 0 3 309.457 4.217 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@H](c2ccccc2F)C1 ZINC000453223361 1045989439 /nfs/dbraw/zinc/98/94/39/1045989439.db2.gz RAQQRHIIYGNYFN-KKUMJFAQSA-N 0 3 301.409 4.029 20 0 DIADHN Cc1ccc(C2(CN[C@@H]3CCn4ccnc43)CCCC2)c(C)c1 ZINC000453231624 1045997187 /nfs/dbraw/zinc/99/71/87/1045997187.db2.gz SZEDIZKXKNQZQG-GOSISDBHSA-N 0 3 309.457 4.046 20 0 DIADHN CCC[C@@H](CN[C@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453257161 1046004646 /nfs/dbraw/zinc/00/46/46/1046004646.db2.gz UXNKYYDIROUYCQ-WBVHZDCISA-N 0 3 314.429 4.340 20 0 DIADHN Cc1ccc(N(C)c2ccc(CN3CC[C@]34CCOC4)cc2)cc1 ZINC001137898524 1046005690 /nfs/dbraw/zinc/00/56/90/1046005690.db2.gz XJOJBANCUIKYQK-OAQYLSRUSA-N 0 3 322.452 4.128 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccccc2-n2ccnn2)cc1F ZINC000453260697 1046007817 /nfs/dbraw/zinc/00/78/17/1046007817.db2.gz QZEAMANFYWTKDC-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN Cc1nc(CN[C@@H]2CCC23CCCC3)sc1Br ZINC000453271592 1046009438 /nfs/dbraw/zinc/00/94/38/1046009438.db2.gz SZHLKZVTORCETK-SNVBAGLBSA-N 0 3 315.280 4.026 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccnc(C(F)(F)F)c2)c2ccccc21 ZINC000453289422 1046016904 /nfs/dbraw/zinc/01/69/04/1046016904.db2.gz QIZOHGUGYPKVRJ-XHDPSFHLSA-N 0 3 306.331 4.439 20 0 DIADHN Cc1ccc([C@@H](NCc2n[nH]c(C(C)C)n2)C2CCCC2)cc1 ZINC000453292839 1046018974 /nfs/dbraw/zinc/01/89/74/1046018974.db2.gz OVFWSCLQCSLODE-SFHVURJKSA-N 0 3 312.461 4.258 20 0 DIADHN Cc1ccc(CN(CC2CC2)C[C@@]2(C)CC2(Cl)Cl)cn1 ZINC000829865811 1046019845 /nfs/dbraw/zinc/01/98/45/1046019845.db2.gz RBWAMHSDCYUPJP-OAHLLOKOSA-N 0 3 313.272 4.186 20 0 DIADHN COc1ncc(CN[C@H]2C[C@H](C)c3c2cccc3C)cc1Cl ZINC000453299168 1046020844 /nfs/dbraw/zinc/02/08/44/1046020844.db2.gz UENGJJQKKWLDBF-LRDDRELGSA-N 0 3 316.832 4.390 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cnccc1C ZINC000453332717 1046025591 /nfs/dbraw/zinc/02/55/91/1046025591.db2.gz VNJUSQYBJDLJPJ-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN C[C@]1(CF)CCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137953090 1046031038 /nfs/dbraw/zinc/03/10/38/1046031038.db2.gz LNEYCUPXZQAFGN-CYBMUJFWSA-N 0 3 307.356 4.480 20 0 DIADHN FC[C@H]1CCCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137955111 1046031096 /nfs/dbraw/zinc/03/10/96/1046031096.db2.gz GZUITWPWFPBZKH-GFCCVEGCSA-N 0 3 307.356 4.480 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCC2(F)F)c2cccnc2)cc1 ZINC000453313373 1046032193 /nfs/dbraw/zinc/03/21/93/1046032193.db2.gz CUARQHGQUYYXQZ-WBVHZDCISA-N 0 3 320.358 4.335 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H](O)c3ccccc3F)oc2c1 ZINC000756031012 1046040331 /nfs/dbraw/zinc/04/03/31/1046040331.db2.gz IXVBZQVCYWROMF-SUMWQHHRSA-N 0 3 313.372 4.265 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H]3COC4(CCCC4)O3)oc2c1 ZINC000756043725 1046045162 /nfs/dbraw/zinc/04/51/62/1046045162.db2.gz UOEBRDXTMVWLTJ-GOEBONIOSA-N 0 3 315.413 4.078 20 0 DIADHN CC(=O)Oc1ccc(CN[C@H](C)c2cccc(C3CC3)c2)cc1 ZINC000830195104 1046047839 /nfs/dbraw/zinc/04/78/39/1046047839.db2.gz LYZPATJUSKCIRJ-CQSZACIVSA-N 0 3 309.409 4.340 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](Cc2ccccc2Cl)C2CC2)c2nccn21 ZINC000453344933 1046047735 /nfs/dbraw/zinc/04/77/35/1046047735.db2.gz JLMZHINXUKGTFH-JLZZUVOBSA-N 0 3 315.848 4.153 20 0 DIADHN C[C@@H](c1cccc(C(F)(F)F)c1)N1CCC[C@@]2(CCOC2)C1 ZINC000453343970 1046048292 /nfs/dbraw/zinc/04/82/92/1046048292.db2.gz DPZTZEUZJHWUIC-XJKSGUPXSA-N 0 3 313.363 4.269 20 0 DIADHN C[C@@H](NCCSC(F)(F)F)c1ccc(C(F)(F)F)cn1 ZINC000902756627 1046063612 /nfs/dbraw/zinc/06/36/12/1046063612.db2.gz KMQDXJBQJINSGF-SSDOTTSWSA-N 0 3 318.286 4.004 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1c(Cl)ccc(C)c1F)C2 ZINC001138033489 1046066646 /nfs/dbraw/zinc/06/66/46/1046066646.db2.gz TVXRKXCJXJOGJL-DYVFJYSZSA-N 0 3 311.828 4.036 20 0 DIADHN CC(C)(O)c1ccc(CNCc2ccc(C(C)(F)F)cc2)cc1 ZINC000830404879 1046067085 /nfs/dbraw/zinc/06/70/85/1046067085.db2.gz RQEKEDQLRSBFQR-UHFFFAOYSA-N 0 3 319.395 4.316 20 0 DIADHN CC(=O)Oc1cccc(CN2CCC[C@H]2c2cccc(F)c2)c1 ZINC001138043605 1046071268 /nfs/dbraw/zinc/07/12/68/1046071268.db2.gz ZEWCQPCLYHXFPJ-IBGZPJMESA-N 0 3 313.372 4.088 20 0 DIADHN CC(=O)Oc1cccc(CN2CCC[C@H]2c2cccs2)c1 ZINC001138043185 1046071749 /nfs/dbraw/zinc/07/17/49/1046071749.db2.gz NRSHDOVCRUSBIU-INIZCTEOSA-N 0 3 301.411 4.011 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCC[C@@H](C3CCOCC3)C2)cs1 ZINC000902800343 1046075431 /nfs/dbraw/zinc/07/54/31/1046075431.db2.gz LXZIPVGCMXOVKT-IMJJTQAJSA-N 0 3 322.518 4.342 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2NCc1sc(C)nc1C ZINC000902820041 1046076536 /nfs/dbraw/zinc/07/65/36/1046076536.db2.gz NBELAYNQZJKZCX-APPDUMDISA-N 0 3 316.470 4.182 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NCc1sc(C)nc1C ZINC000902820042 1046077128 /nfs/dbraw/zinc/07/71/28/1046077128.db2.gz NBELAYNQZJKZCX-DIFFPNOSSA-N 0 3 316.470 4.182 20 0 DIADHN Oc1ccc(CN2CCC[C@@H](C(F)(F)F)C2)c2ccccc12 ZINC001138059539 1046080496 /nfs/dbraw/zinc/08/04/96/1046080496.db2.gz FTMIECBIOPQWMS-CYBMUJFWSA-N 0 3 309.331 4.320 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000453395073 1046084777 /nfs/dbraw/zinc/08/47/77/1046084777.db2.gz GVSHVDCUVMAOQV-YSVLISHTSA-N 0 3 316.470 4.418 20 0 DIADHN FC1(F)CC(CCN[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000453422090 1046085967 /nfs/dbraw/zinc/08/59/67/1046085967.db2.gz MOHUWEQCVSEHKO-KRWDZBQOSA-N 0 3 302.368 4.196 20 0 DIADHN C[C@H](NC[C@@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000453420062 1046086470 /nfs/dbraw/zinc/08/64/70/1046086470.db2.gz LKGCOZKHMDTMIM-VHSXEESVSA-N 0 3 322.396 4.018 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nc(-c3ccccc3)cs2)nc1 ZINC000453423120 1046087450 /nfs/dbraw/zinc/08/74/50/1046087450.db2.gz MKPZVJPYGHVBBQ-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN CC(C)(C)[C@H](NCn1cccnc1=S)c1ccc(F)cc1 ZINC000193998604 1046088447 /nfs/dbraw/zinc/08/84/47/1046088447.db2.gz TXWQRRXVLPONQE-CQSZACIVSA-N 0 3 305.422 4.086 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1cccc(OC(F)F)c1 ZINC000237591595 1046090560 /nfs/dbraw/zinc/09/05/60/1046090560.db2.gz HRZZJDQGTJBJMD-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN CC(C)CO[C@H]1CCN(Cc2ccc(Cl)c3cccnc23)C1 ZINC000532091616 1046092774 /nfs/dbraw/zinc/09/27/74/1046092774.db2.gz KLQTUOVXHLVAIE-HNNXBMFYSA-N 0 3 318.848 4.135 20 0 DIADHN O[C@H](CN1CC2(CC=CC2)[C@H]1c1ccccc1)c1ccccc1F ZINC000830749819 1046095344 /nfs/dbraw/zinc/09/53/44/1046095344.db2.gz PYQPPPQOJADNLS-WOJBJXKFSA-N 0 3 323.411 4.252 20 0 DIADHN Cc1nn(C)c(C)c1CN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453610651 1046097303 /nfs/dbraw/zinc/09/73/03/1046097303.db2.gz KCWSIJFCGCWLBD-IBGZPJMESA-N 0 3 309.457 4.154 20 0 DIADHN CCc1ccc(CNCCc2nc3cc(Cl)ccc3s2)o1 ZINC000532120428 1046100920 /nfs/dbraw/zinc/10/09/20/1046100920.db2.gz HFEQGEHSHUEIEN-UHFFFAOYSA-N 0 3 320.845 4.437 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(-n2ccnc2)c1)c1c(C)noc1C ZINC000902888079 1046101780 /nfs/dbraw/zinc/10/17/80/1046101780.db2.gz PXNSHLUQARHZKM-FZKQIMNGSA-N 0 3 324.428 4.279 20 0 DIADHN CC(=O)Nc1ccc(CN2Cc3sccc3CC2(C)C)cc1 ZINC000453627235 1046103428 /nfs/dbraw/zinc/10/34/28/1046103428.db2.gz UMZSVNZYFKMFTN-UHFFFAOYSA-N 0 3 314.454 4.043 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@H](C)c1ccoc1 ZINC000532207111 1046108953 /nfs/dbraw/zinc/10/89/53/1046108953.db2.gz QCWQDAWMUKERIO-VXGBXAGGSA-N 0 3 313.319 4.417 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1ccc(N3CCCCC3)o1)CC2 ZINC001138177169 1046111926 /nfs/dbraw/zinc/11/19/26/1046111926.db2.gz IXTRLHFRQGGBRY-MRXNPFEDSA-N 0 3 320.452 4.374 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2sccc2Br)o1 ZINC000532195945 1046112063 /nfs/dbraw/zinc/11/20/63/1046112063.db2.gz OARRIMKXDQALOO-JTQLQIEISA-N 0 3 314.248 4.305 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)COC3)cc1F ZINC000532200356 1046112648 /nfs/dbraw/zinc/11/26/48/1046112648.db2.gz JPXAUVPKTZLLBX-CHWSQXEVSA-N 0 3 315.388 4.276 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1ccc2c(c1)CCC(=O)N2 ZINC000532204813 1046114524 /nfs/dbraw/zinc/11/45/24/1046114524.db2.gz JTYPPQXOEZZGMB-HXPMCKFVSA-N 0 3 324.399 4.049 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)OC1(C)C)c1ccc(C(F)(F)F)cn1 ZINC000902941655 1046117216 /nfs/dbraw/zinc/11/72/16/1046117216.db2.gz DZJYKYBUBKKAET-GWCFXTLKSA-N 0 3 316.367 4.097 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000532230781 1046121724 /nfs/dbraw/zinc/12/17/24/1046121724.db2.gz JUBBFDYTOZHUSB-ZDUSSCGKSA-N 0 3 304.821 4.375 20 0 DIADHN COc1cccc(CN[C@@H]2CCCC(F)(F)C2)c1OC(C)C ZINC000532269957 1046126038 /nfs/dbraw/zinc/12/60/38/1046126038.db2.gz LGNVMNGKMRVCSO-CQSZACIVSA-N 0 3 313.388 4.150 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)c2c(F)cccc2F)C2CCC2)o1 ZINC000756741227 1046126612 /nfs/dbraw/zinc/12/66/12/1046126612.db2.gz WHOQGWIXGUTWDJ-CRAIPNDOSA-N 0 3 321.367 4.031 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H](NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001138282048 1046135549 /nfs/dbraw/zinc/13/55/49/1046135549.db2.gz ZQQROHCWQQLPEH-IAGOWNOFSA-N 0 3 322.537 4.362 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H](NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001138282047 1046136187 /nfs/dbraw/zinc/13/61/87/1046136187.db2.gz ZQQROHCWQQLPEH-DLBZAZTESA-N 0 3 322.537 4.362 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccc(C(F)(F)F)cn1 ZINC000903017431 1046142975 /nfs/dbraw/zinc/14/29/75/1046142975.db2.gz SYFUZEYSKILDCI-KCPJHIHWSA-N 0 3 316.367 4.099 20 0 DIADHN CO[C@H]1CCN(Cc2cc(Cl)nc3ccccc23)CC1(C)C ZINC000831546907 1046148705 /nfs/dbraw/zinc/14/87/05/1046148705.db2.gz CQGBWGHUJXVGKU-INIZCTEOSA-N 0 3 318.848 4.135 20 0 DIADHN CC[C@@H]1CCCN1Cc1c[nH]c2ccc(Br)cc12 ZINC001138319765 1046151690 /nfs/dbraw/zinc/15/16/90/1046151690.db2.gz CWYRAPUMMFSZMF-CYBMUJFWSA-N 0 3 307.235 4.305 20 0 DIADHN Fc1ccccc1[C@@H](NCc1ccsc1)C1CCOCC1 ZINC000903068873 1046154377 /nfs/dbraw/zinc/15/43/77/1046154377.db2.gz OKOZQBRTWLAOLG-KRWDZBQOSA-N 0 3 305.418 4.145 20 0 DIADHN CCc1cccc2c(CN3CCOC4(CCCCC4)C3)c[nH]c21 ZINC001138362710 1046156635 /nfs/dbraw/zinc/15/66/35/1046156635.db2.gz DHPHFAIHZZUWMO-UHFFFAOYSA-N 0 3 312.457 4.265 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@@H](C)c1cnc(C)s1 ZINC000903077532 1046157345 /nfs/dbraw/zinc/15/73/45/1046157345.db2.gz KZJYUIXCHNYIJI-PRXAMGSTSA-N 0 3 316.470 4.434 20 0 DIADHN Cc1c(CN2CC[C@@H](C(=O)c3ccccc3)C2)[nH]c2ccccc12 ZINC001138401074 1046165293 /nfs/dbraw/zinc/16/52/93/1046165293.db2.gz JZHHSHIHTPFUMU-QGZVFWFLSA-N 0 3 318.420 4.181 20 0 DIADHN OCC[C@@H](Cc1ccccc1)NCc1cccc(Cl)c1Cl ZINC000799349005 1046165744 /nfs/dbraw/zinc/16/57/44/1046165744.db2.gz BESIGRFEDBLMQW-HNNXBMFYSA-N 0 3 324.251 4.077 20 0 DIADHN OCC[C@H](Cc1ccccc1)NCc1cccc(Cl)c1Cl ZINC000799349006 1046165851 /nfs/dbraw/zinc/16/58/51/1046165851.db2.gz BESIGRFEDBLMQW-OAHLLOKOSA-N 0 3 324.251 4.077 20 0 DIADHN CC[C@H]1CCCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138408211 1046166862 /nfs/dbraw/zinc/16/68/62/1046166862.db2.gz YGJGDXWAXUGAKR-AWEZNQCLSA-N 0 3 319.449 4.193 20 0 DIADHN CCCCN(CCC)Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138406208 1046167016 /nfs/dbraw/zinc/16/70/16/1046167016.db2.gz SBNLIQCNBQAQIC-UHFFFAOYSA-N 0 3 321.465 4.441 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1ccc2nccnc2c1 ZINC000634957938 1046167529 /nfs/dbraw/zinc/16/75/29/1046167529.db2.gz BYAUWFYXGHFPBV-QGZVFWFLSA-N 0 3 321.424 4.269 20 0 DIADHN COc1cccc(OC)c1CN[C@@H](C)Cc1ccc(Cl)cc1 ZINC001168152573 1046168935 /nfs/dbraw/zinc/16/89/35/1046168935.db2.gz YTPZHBCGMYPDDX-ZDUSSCGKSA-N 0 3 319.832 4.078 20 0 DIADHN CC(C)(CNCc1nc2cc(F)ccc2o1)CC(F)(F)F ZINC000634986981 1046169461 /nfs/dbraw/zinc/16/94/61/1046169461.db2.gz JHRABZFOOXHHBZ-UHFFFAOYSA-N 0 3 304.287 4.035 20 0 DIADHN Cc1c(CN2CC[C@@H](Oc3ccccc3)C2)[nH]c2ccc(F)cc12 ZINC001138413525 1046172074 /nfs/dbraw/zinc/17/20/74/1046172074.db2.gz UTSNMANYCHOQSU-QGZVFWFLSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@H]2CNc3ccccc32)c(C)s1 ZINC000635019655 1046172749 /nfs/dbraw/zinc/17/27/49/1046172749.db2.gz JCSMFOLZLJOGJQ-RISCZKNCSA-N 0 3 301.459 4.010 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(c4ccncc4)CC3)cc2c1 ZINC001138415563 1046172730 /nfs/dbraw/zinc/17/27/30/1046172730.db2.gz GNOBXHYVCUCNLR-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1c(CN(C)C[C@H]2Cc3ccccc3O2)[nH]c2ccc(F)cc12 ZINC001138414814 1046173043 /nfs/dbraw/zinc/17/30/43/1046173043.db2.gz OSUQYSPMWMSOCH-MRXNPFEDSA-N 0 3 324.399 4.051 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(F)c(OC)c(F)c1 ZINC001138424137 1046174379 /nfs/dbraw/zinc/17/43/79/1046174379.db2.gz LYKGWVXSSSCBIG-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC4(CC(F)(F)C4)CC3)cc2c1 ZINC001138419726 1046175862 /nfs/dbraw/zinc/17/58/62/1046175862.db2.gz XQXPFFALELJTRY-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN Cc1cscc1CN[C@H](c1ccccc1F)C1CCOCC1 ZINC000903157871 1046178219 /nfs/dbraw/zinc/17/82/19/1046178219.db2.gz BXVSBODYFPCMOD-SFHVURJKSA-N 0 3 319.445 4.453 20 0 DIADHN FC(F)(F)[C@H](CN[C@@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000903153639 1046178622 /nfs/dbraw/zinc/17/86/22/1046178622.db2.gz UJYNCJXVPHLWGE-NVXWUHKLSA-N 0 3 320.358 4.468 20 0 DIADHN CCCCN(CCC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC000757511772 1046180311 /nfs/dbraw/zinc/18/03/11/1046180311.db2.gz QVGGIBGIHXZABQ-UHFFFAOYSA-N 0 3 317.260 4.444 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3cnn(CC4CCC4)c3)ccc2[nH]1 ZINC000903171380 1046181740 /nfs/dbraw/zinc/18/17/40/1046181740.db2.gz OLPUPQNWONDJON-OAHLLOKOSA-N 0 3 322.456 4.324 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)N[C@H](C)c2ccsc2)c1 ZINC000903167532 1046181913 /nfs/dbraw/zinc/18/19/13/1046181913.db2.gz ZXXLDVCKGOFRAP-CHWSQXEVSA-N 0 3 303.427 4.223 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2cccc(C)c2)cc1 ZINC001138447609 1046184321 /nfs/dbraw/zinc/18/43/21/1046184321.db2.gz ZFJKOSKWYRRXQU-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN CCOc1ccc2ccccc2c1CN(C)C[C@@H]1CCCCO1 ZINC001138444366 1046184446 /nfs/dbraw/zinc/18/44/46/1046184446.db2.gz PDCRVMIKASJXOH-KRWDZBQOSA-N 0 3 313.441 4.239 20 0 DIADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000475728587 1046185475 /nfs/dbraw/zinc/18/54/75/1046185475.db2.gz LGNJAWSFZMRBKH-OWCLPIDISA-N 0 3 311.429 4.135 20 0 DIADHN Cc1cnc([C@H](C)N[C@@H]2CCc3cccc(Cl)c3C2)s1 ZINC000666097572 1046186085 /nfs/dbraw/zinc/18/60/85/1046186085.db2.gz SCLYVAYLLOUHNT-WCQYABFASA-N 0 3 306.862 4.313 20 0 DIADHN C[C@H](N[C@@H]1CC2(CCC2)Oc2ccccc21)c1cccc(CO)c1 ZINC000760166386 1046186116 /nfs/dbraw/zinc/18/61/16/1046186116.db2.gz FEMNYWUUHYMCOF-HNAYVOBHSA-N 0 3 323.436 4.276 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1ccc(C(F)(F)F)cc1 ZINC000903201955 1046192287 /nfs/dbraw/zinc/19/22/87/1046192287.db2.gz RZIWJCIJRNFEDQ-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN CCSc1ccccc1[C@H](C)NCc1cc(N(C)C)ccn1 ZINC000903201615 1046192483 /nfs/dbraw/zinc/19/24/83/1046192483.db2.gz KXJSRQBPFAZECD-AWEZNQCLSA-N 0 3 315.486 4.110 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3c(c2)ncn3C)C2CCC2)o1 ZINC000903203873 1046193707 /nfs/dbraw/zinc/19/37/07/1046193707.db2.gz GEFREVMXHZWOKH-IBGZPJMESA-N 0 3 309.413 4.106 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2c[nH]c(=O)c3ccccc23)c1 ZINC000903231621 1046199894 /nfs/dbraw/zinc/19/98/94/1046199894.db2.gz NQTNNZVYTUDEGX-CQSZACIVSA-N 0 3 322.408 4.190 20 0 DIADHN CC(C)C[C@@H](NCc1c[nH]c(=O)c2ccccc12)c1ccncc1 ZINC000903232948 1046200090 /nfs/dbraw/zinc/20/00/90/1046200090.db2.gz ASLSDRWWWWZVKS-LJQANCHMSA-N 0 3 321.424 4.212 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCO2)c1ccc(C(F)F)nc1 ZINC000903234947 1046201712 /nfs/dbraw/zinc/20/17/12/1046201712.db2.gz SUVGLPGVTPSPQN-VXGBXAGGSA-N 0 3 318.367 4.366 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2sc(C)nc2C)cc1C ZINC000903233988 1046202355 /nfs/dbraw/zinc/20/23/55/1046202355.db2.gz LBWUZAVWGJBAKB-NEPJUHHUSA-N 0 3 304.459 4.489 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1ccccn1)C1CCOCC1 ZINC000903243630 1046204220 /nfs/dbraw/zinc/20/42/20/1046204220.db2.gz FYPZRYFVJIXLQM-FQEVSTJZSA-N 0 3 324.468 4.213 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc(Cl)c(Cl)c2)cc1 ZINC000055472687 1046207288 /nfs/dbraw/zinc/20/72/88/1046207288.db2.gz AHVDZEPYWHLZAO-UHFFFAOYSA-N 0 3 323.223 4.242 20 0 DIADHN COc1ccc2[nH]cc(CN3Cc4ccccc4C[C@H]3C)c2c1 ZINC001138509967 1046207472 /nfs/dbraw/zinc/20/74/72/1046207472.db2.gz KHRPEZMCWFFICE-CQSZACIVSA-N 0 3 306.409 4.123 20 0 DIADHN CCCc1ccc(CN(C)Cc2ccc3c(c2)OCCO3)s1 ZINC001138523343 1046211638 /nfs/dbraw/zinc/21/16/38/1046211638.db2.gz ALSXVXYVDOWTOR-UHFFFAOYSA-N 0 3 317.454 4.104 20 0 DIADHN Fc1ccccc1C=C1CCN(CCCc2cccnc2)CC1 ZINC001168163235 1046217292 /nfs/dbraw/zinc/21/72/92/1046217292.db2.gz IKBJTNDUGBHEII-UHFFFAOYSA-N 0 3 310.416 4.333 20 0 DIADHN CCC[C@@H](NCc1cnn(CCF)c1)c1cc(C)sc1C ZINC000903274174 1046218166 /nfs/dbraw/zinc/21/81/66/1046218166.db2.gz JEOILPSYIKDRTF-MRXNPFEDSA-N 0 3 309.454 4.162 20 0 DIADHN CCC[C@H](NC1CC2(C1)CO[C@@H](C)C2)c1ncccc1Cl ZINC000903279303 1046220691 /nfs/dbraw/zinc/22/06/91/1046220691.db2.gz MFEYWEYZJFMWAA-XOSUWLCWSA-N 0 3 308.853 4.123 20 0 DIADHN CC(C)CC[C@@H](C)N[C@H](CO)c1ccc(Cl)cc1Cl ZINC001256355660 1046223043 /nfs/dbraw/zinc/22/30/43/1046223043.db2.gz ARZNMGQRIKAENY-IAQYHMDHSA-N 0 3 304.261 4.441 20 0 DIADHN Cc1ccc([C@@H](C)NC2(c3ccc4c(c3)OCCO4)CC2)s1 ZINC000903293095 1046226897 /nfs/dbraw/zinc/22/68/97/1046226897.db2.gz APDCTQLWJDHWDD-CYBMUJFWSA-N 0 3 315.438 4.168 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2C[C@H](c3ccc(F)cc3F)C2)n1 ZINC000903296830 1046228965 /nfs/dbraw/zinc/22/89/65/1046228965.db2.gz MIJNIGHXGMYXPJ-GVXVVHGQSA-N 0 3 308.397 4.327 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CN[C@H](C)c1cc[nH]c(=O)c1 ZINC000925264726 1046229743 /nfs/dbraw/zinc/22/97/43/1046229743.db2.gz VQZCWYNONAJKLI-LSDHHAIUSA-N 0 3 314.429 4.124 20 0 DIADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1csc(C(F)(F)F)c1 ZINC000903307163 1046232168 /nfs/dbraw/zinc/23/21/68/1046232168.db2.gz TZBCRUZIDRPKSE-RKDXNWHRSA-N 0 3 316.348 4.279 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000903308144 1046232277 /nfs/dbraw/zinc/23/22/77/1046232277.db2.gz OBKWIVDTLXARRU-GFCCVEGCSA-N 0 3 323.358 4.064 20 0 DIADHN COc1ccccc1CN(CCc1noc2ccccc21)C1CC1 ZINC000653498987 1046233339 /nfs/dbraw/zinc/23/33/39/1046233339.db2.gz VHGZIYQMAFHBLL-UHFFFAOYSA-N 0 3 322.408 4.044 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001138577237 1046233855 /nfs/dbraw/zinc/23/38/55/1046233855.db2.gz VGISCYJYDLYFBP-UHFFFAOYSA-N 0 3 321.468 4.268 20 0 DIADHN Fc1ccc(C2=CC[C@@H](N[C@H](c3ncccn3)C3CC3)CC2)cc1 ZINC000903323753 1046238292 /nfs/dbraw/zinc/23/82/92/1046238292.db2.gz CWBGPGAFHZLXNY-MOPGFXCFSA-N 0 3 323.415 4.293 20 0 DIADHN COc1cc(C[NH2+][C@@H](C)CC(C)(C)SC)cc(Cl)c1[O-] ZINC001258333897 1046239814 /nfs/dbraw/zinc/23/98/14/1046239814.db2.gz PWDAZIFOPBDTLT-JTQLQIEISA-N 0 3 317.882 4.064 20 0 DIADHN C[C@H](CCc1c[nH]c2ccccc12)N[C@H](c1ncccn1)C1CC1 ZINC000903324887 1046240219 /nfs/dbraw/zinc/24/02/19/1046240219.db2.gz SGNGVODVDYYHFB-KUHUBIRLSA-N 0 3 320.440 4.020 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)c1cccc(-n2ccnc2)c1 ZINC000903334961 1046246242 /nfs/dbraw/zinc/24/62/42/1046246242.db2.gz JERZFQCENYLKOL-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc(-n2ccnc2)cc1 ZINC000903337012 1046248282 /nfs/dbraw/zinc/24/82/82/1046248282.db2.gz ATFOGDDZCBUYCD-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H](c1ccccn1)C1CC1 ZINC000903335431 1046248683 /nfs/dbraw/zinc/24/86/83/1046248683.db2.gz PCDYFSSIKRDBKR-XLIONFOSSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](c1ccccn1)C1CC1 ZINC000903339805 1046251639 /nfs/dbraw/zinc/25/16/39/1046251639.db2.gz MYVBSPWBLDRYQQ-UYAOXDASSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000245654781 1046252990 /nfs/dbraw/zinc/25/29/90/1046252990.db2.gz FQCNQJXPSDBQCC-GGNLRSJOSA-N 0 3 317.404 4.144 20 0 DIADHN C[C@H](NC[C@@H]1CCCC(C)(C)O1)c1ccc(C(F)(F)F)cn1 ZINC000903357448 1046254474 /nfs/dbraw/zinc/25/44/74/1046254474.db2.gz ZEQCCCQRGDQWKY-AAEUAGOBSA-N 0 3 316.367 4.099 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@H](C)c1cc(F)ccc1F ZINC000245684341 1046256791 /nfs/dbraw/zinc/25/67/91/1046256791.db2.gz NIBJCUHGYCUMSJ-SEDUGSJDSA-N 0 3 323.358 4.267 20 0 DIADHN CN(CCOCc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001168175356 1046260589 /nfs/dbraw/zinc/26/05/89/1046260589.db2.gz LQHRUCBGDHTXHZ-UHFFFAOYSA-N 0 3 307.796 4.128 20 0 DIADHN Cc1cnc(=S)n(CN2C[C@@H](c3ccccc3C)C[C@@H]2C)c1 ZINC000758327731 1046261029 /nfs/dbraw/zinc/26/10/29/1046261029.db2.gz STBYRBUARBXKNN-HOTGVXAUSA-N 0 3 313.470 4.065 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cc(F)ccc1Cl ZINC001138674676 1046261622 /nfs/dbraw/zinc/26/16/22/1046261622.db2.gz AOLMBVFYIOJPHI-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN CC[C@H](NCc1ccc(CCO)cc1)c1ccc(Cl)s1 ZINC000903396585 1046265754 /nfs/dbraw/zinc/26/57/54/1046265754.db2.gz MLLJEJAYLSQFSJ-AWEZNQCLSA-N 0 3 309.862 4.177 20 0 DIADHN C[C@@H](NCc1ccc(CCO)cc1)c1ccc(Cl)c(Cl)c1 ZINC000903395824 1046266171 /nfs/dbraw/zinc/26/61/71/1046266171.db2.gz HCSIQBYFPLRMAD-GFCCVEGCSA-N 0 3 324.251 4.379 20 0 DIADHN CNc1ncccc1CN1CCC[C@H]1c1ccccc1SC ZINC001138695547 1046271488 /nfs/dbraw/zinc/27/14/88/1046271488.db2.gz BIUXYHLBFMDTAC-INIZCTEOSA-N 0 3 313.470 4.182 20 0 DIADHN CCC[C@H](NCCc1cccnc1C)c1ncccc1Cl ZINC000903419412 1046273799 /nfs/dbraw/zinc/27/37/99/1046273799.db2.gz XOAXVIPLZYFPTG-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc2c(c1)CCCO2 ZINC001138716445 1046274966 /nfs/dbraw/zinc/27/49/66/1046274966.db2.gz IHAIPMUEGBDVRO-UHFFFAOYSA-N 0 3 301.817 4.297 20 0 DIADHN CC[C@@H](NCc1c(C)nc2ccccn21)c1cc(C)ccc1OC ZINC000903422202 1046275898 /nfs/dbraw/zinc/27/58/98/1046275898.db2.gz KQAPTGVSZVMVHT-QGZVFWFLSA-N 0 3 323.440 4.201 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](c1ccncc1)C1CC1)CCS2 ZINC000903428738 1046277054 /nfs/dbraw/zinc/27/70/54/1046277054.db2.gz PXGGXSSGFOOBNA-AEFFLSMTSA-N 0 3 314.429 4.499 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](c2ccncc2)C2CC2)cc1F ZINC000903428183 1046277645 /nfs/dbraw/zinc/27/76/45/1046277645.db2.gz NAGJGKDBGIXLAE-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000903429007 1046277673 /nfs/dbraw/zinc/27/76/73/1046277673.db2.gz RMSAHTHGGOZBEL-XOBRGWDASA-N 0 3 324.424 4.045 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001138723308 1046278854 /nfs/dbraw/zinc/27/88/54/1046278854.db2.gz NNWCNAMGUBHIEW-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](c1ccncc1)C1CC1)CCC2 ZINC000903429228 1046280235 /nfs/dbraw/zinc/28/02/35/1046280235.db2.gz UAHQIYUKFYAWSE-DOTOQJQBSA-N 0 3 313.470 4.219 20 0 DIADHN Fc1ccccc1COc1ccc(CNC2CC(F)(F)C2)cc1 ZINC000758601026 1046281031 /nfs/dbraw/zinc/28/10/31/1046281031.db2.gz VUPJUGUYBPOFLD-UHFFFAOYSA-N 0 3 321.342 4.292 20 0 DIADHN COc1cc(C)c(CN2CCc3ccsc3C2)c(C)c1C ZINC001138728115 1046281650 /nfs/dbraw/zinc/28/16/50/1046281650.db2.gz BPASENWQUBAWKH-UHFFFAOYSA-N 0 3 301.455 4.240 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000007586733 1046284908 /nfs/dbraw/zinc/28/49/08/1046284908.db2.gz UTFCWIKSBLDCDQ-YOEHRIQHSA-N 0 3 320.864 4.242 20 0 DIADHN CCN([C@H](C)c1cccc(OC)c1)[C@H](C)c1cnc(C)nc1C ZINC000637925244 1046286153 /nfs/dbraw/zinc/28/61/53/1046286153.db2.gz ANMKUJLLECBSOO-HUUCEWRRSA-N 0 3 313.445 4.246 20 0 DIADHN CCN([C@H](C)c1cccc(OC)c1)[C@@H](C)c1cnc(C)nc1C ZINC000637925242 1046286564 /nfs/dbraw/zinc/28/65/64/1046286564.db2.gz ANMKUJLLECBSOO-CABCVRRESA-N 0 3 313.445 4.246 20 0 DIADHN CCCCc1ccc(CN(CCO)Cc2ccccc2)s1 ZINC001138755530 1046288689 /nfs/dbraw/zinc/28/86/89/1046288689.db2.gz VXVMAYJQHXMAAD-UHFFFAOYSA-N 0 3 303.471 4.085 20 0 DIADHN CCCCc1ccc(CN2CCC(c3nnc(C)o3)CC2)s1 ZINC001138754939 1046288742 /nfs/dbraw/zinc/28/87/42/1046288742.db2.gz KIGQRLYTIUAPFL-UHFFFAOYSA-N 0 3 319.474 4.162 20 0 DIADHN CC(C)(C)OC1CCN(CCCc2c(F)cccc2F)CC1 ZINC000653568706 1046289097 /nfs/dbraw/zinc/28/90/97/1046289097.db2.gz HMJJYWGWPMXOOT-UHFFFAOYSA-N 0 3 311.416 4.177 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001138766725 1046291835 /nfs/dbraw/zinc/29/18/35/1046291835.db2.gz YPAKXKABXWQPOG-WOJBJXKFSA-N 0 3 309.457 4.086 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cccnc2F)CC1 ZINC001138786019 1046294942 /nfs/dbraw/zinc/29/49/42/1046294942.db2.gz IKMACYQOSONAJD-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN CCC[C@@H](Nc1ccccc1CN1CCC(O)CC1)C1CCC1 ZINC000638196692 1046300196 /nfs/dbraw/zinc/30/01/96/1046300196.db2.gz MICRJZRRCLWVCB-LJQANCHMSA-N 0 3 316.489 4.024 20 0 DIADHN COc1ccccc1O[C@@H]1CCN(Cc2ccc3occc3c2)C1 ZINC001138822118 1046300939 /nfs/dbraw/zinc/30/09/39/1046300939.db2.gz TVMCIQYXQYGYAN-QGZVFWFLSA-N 0 3 323.392 4.095 20 0 DIADHN C[C@H](N[C@H](c1nnc[nH]1)C1CCCCC1)c1cccc(Cl)c1 ZINC000638289915 1046308180 /nfs/dbraw/zinc/30/81/80/1046308180.db2.gz BZMXUQUPHZTGPK-LRDDRELGSA-N 0 3 318.852 4.430 20 0 DIADHN CC(C)C[C@@H](NCc1cccn(C(F)F)c1=O)c1ccccc1 ZINC000638285866 1046308936 /nfs/dbraw/zinc/30/89/36/1046308936.db2.gz ZDYKOUJVXGEZLV-MRXNPFEDSA-N 0 3 320.383 4.120 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@H]2CCCCO2)o1 ZINC000237654029 1046310737 /nfs/dbraw/zinc/31/07/37/1046310737.db2.gz FODTUYKIRRBZMW-OAHLLOKOSA-N 0 3 303.377 4.053 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2nnc[nH]2)C2CCCCC2)c(C)s1 ZINC000638336628 1046314440 /nfs/dbraw/zinc/31/44/40/1046314440.db2.gz WRVTTWDVXNZMSJ-LRDDRELGSA-N 0 3 318.490 4.455 20 0 DIADHN c1nc([C@H](N[C@@H](c2ccccc2)C2CC2)C2CCCCC2)n[nH]1 ZINC000638319930 1046314522 /nfs/dbraw/zinc/31/45/22/1046314522.db2.gz TXVWZOCFBSNYMC-ZWKOTPCHSA-N 0 3 310.445 4.167 20 0 DIADHN c1nnc([C@H]([NH2+][C@@H](c2ccccc2)C2CC2)C2CCCCC2)[n-]1 ZINC000638319930 1046314535 /nfs/dbraw/zinc/31/45/35/1046314535.db2.gz TXVWZOCFBSNYMC-ZWKOTPCHSA-N 0 3 310.445 4.167 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138879199 1046314441 /nfs/dbraw/zinc/31/44/41/1046314441.db2.gz WIEYTYUNTANRQM-AWEZNQCLSA-N 0 3 321.399 4.335 20 0 DIADHN Cc1ccccc1CC(C)(C)NCc1csc(C2CC2)n1 ZINC000237719008 1046314611 /nfs/dbraw/zinc/31/46/11/1046314611.db2.gz JGLZHCGDLITVRJ-UHFFFAOYSA-N 0 3 300.471 4.440 20 0 DIADHN c1csc(C2CCN(Cc3cnc4ccccc4n3)CC2)c1 ZINC001138866540 1046315465 /nfs/dbraw/zinc/31/54/65/1046315465.db2.gz LSQIGVFBMZCMIO-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN C[C@@H](NCc1nc2cc(Cl)ccc2s1)[C@@H]1CC1(F)F ZINC000638443168 1046321270 /nfs/dbraw/zinc/32/12/70/1046321270.db2.gz ISCWNTJYENGDFW-APPZFPTMSA-N 0 3 302.777 4.083 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001138934719 1046331290 /nfs/dbraw/zinc/33/12/90/1046331290.db2.gz LFESAZBUDSJJNK-DOMZBBRYSA-N 0 3 303.837 4.323 20 0 DIADHN CCc1nc([C@H](C)NCCCc2c(F)cccc2F)cs1 ZINC000653615283 1046333905 /nfs/dbraw/zinc/33/39/05/1046333905.db2.gz AMUJRRCYQWAEPY-NSHDSACASA-N 0 3 310.413 4.267 20 0 DIADHN C[C@H](NCCc1cc2ccccc2o1)c1cccnc1Cl ZINC000090076610 1046335378 /nfs/dbraw/zinc/33/53/78/1046335378.db2.gz XTYRJKFBFXGAGB-LBPRGKRZSA-N 0 3 300.789 4.375 20 0 DIADHN Clc1cccc(C2CC(NCc3cc(C4CC4)no3)C2)c1 ZINC000638692821 1046335947 /nfs/dbraw/zinc/33/59/47/1046335947.db2.gz MLMLBTDKWFQNFQ-UHFFFAOYSA-N 0 3 302.805 4.241 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CCCc2ccccc2C1 ZINC001138950876 1046336302 /nfs/dbraw/zinc/33/63/02/1046336302.db2.gz GXBSFLQHWPPZMM-UHFFFAOYSA-N 0 3 311.816 4.116 20 0 DIADHN CCCCOc1cccc(CN2CCC(OC(C)C)CC2)c1 ZINC001138953909 1046337779 /nfs/dbraw/zinc/33/77/79/1046337779.db2.gz MAEXQLHPFICAMM-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN CCCCOc1cccc(CN2CCC(OCCC)CC2)c1 ZINC001138954067 1046339368 /nfs/dbraw/zinc/33/93/68/1046339368.db2.gz QJXPWZQEANGEPB-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](c2nnc[nH]2)C2CCCCC2)cc1F ZINC000638762199 1046339632 /nfs/dbraw/zinc/33/96/32/1046339632.db2.gz BWKVNCBXPIPBOY-CXAGYDPISA-N 0 3 316.424 4.224 20 0 DIADHN CCC(=O)Oc1ccc(CN2CCc3ccccc3[C@H]2C)cc1 ZINC001138962508 1046339825 /nfs/dbraw/zinc/33/98/25/1046339825.db2.gz PWABKCCFHHVDBP-OAHLLOKOSA-N 0 3 309.409 4.121 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cc(C2CC2)no1 ZINC000638788111 1046342152 /nfs/dbraw/zinc/34/21/52/1046342152.db2.gz RMFBKJJJHYRWCZ-CQSZACIVSA-N 0 3 302.443 4.213 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1cncc2ccccc21 ZINC000903947794 1046343011 /nfs/dbraw/zinc/34/30/11/1046343011.db2.gz IBURDGBGJIAMEQ-LJQANCHMSA-N 0 3 303.409 4.270 20 0 DIADHN CC(C)COc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1 ZINC001138970032 1046344133 /nfs/dbraw/zinc/34/41/33/1046344133.db2.gz VJENWTDDEAILLU-INIZCTEOSA-N 0 3 315.379 4.291 20 0 DIADHN CCOc1ccc(CN2CCC3(CC(F)C3)CC2)cc1OCC ZINC001138972442 1046346961 /nfs/dbraw/zinc/34/69/61/1046346961.db2.gz PVMLVWXDEYWBHA-UHFFFAOYSA-N 0 3 321.436 4.198 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1OC(F)F)[C@H]1CC1(F)F ZINC000666444204 1046347196 /nfs/dbraw/zinc/34/71/96/1046347196.db2.gz DAAKVGQNFKRVGG-OIBJUYFYSA-N 0 3 311.706 4.075 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC001138986475 1046349375 /nfs/dbraw/zinc/34/93/75/1046349375.db2.gz NJRDIOWVSOJYRS-HXUWFJFHSA-N 0 3 311.425 4.127 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)C[C@H]3CC3(Cl)Cl)C2)c1 ZINC000832574254 1046351091 /nfs/dbraw/zinc/35/10/91/1046351091.db2.gz HIGRZKOFNDELTL-MGPQQGTHSA-N 0 3 314.256 4.067 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3ccnc(Cl)c3)C2)c1 ZINC000832574589 1046353501 /nfs/dbraw/zinc/35/35/01/1046353501.db2.gz LRUGKGSBOLWMDP-WKILWMFISA-N 0 3 316.832 4.122 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cccc3ncccc23)o1 ZINC000639054232 1046353409 /nfs/dbraw/zinc/35/34/09/1046353409.db2.gz SIJTYESAVFKTCR-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCC[C@H]1C(C)(C)OC ZINC001139009894 1046355180 /nfs/dbraw/zinc/35/51/80/1046355180.db2.gz WSZSXVSGERRCHP-INIZCTEOSA-N 0 3 311.853 4.128 20 0 DIADHN CCCN(Cc1cccc(F)c1N)[C@H]1CCCc2cccnc21 ZINC000639067230 1046355849 /nfs/dbraw/zinc/35/58/49/1046355849.db2.gz UXRDVRVKNSNURC-KRWDZBQOSA-N 0 3 313.420 4.093 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3cccs3)s2)CC1 ZINC001139018631 1046358336 /nfs/dbraw/zinc/35/83/36/1046358336.db2.gz KXHSMZHEUSUHER-UHFFFAOYSA-N 0 3 307.484 4.478 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1ccc(C(F)(F)F)cn1)CC2 ZINC001139017243 1046358981 /nfs/dbraw/zinc/35/89/81/1046358981.db2.gz SMLVRRKOZSVCNP-CYBMUJFWSA-N 0 3 316.342 4.205 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCO[C@]2(CCc3ccccc32)C1 ZINC001168191507 1046359706 /nfs/dbraw/zinc/35/97/06/1046359706.db2.gz DLEMIICXNKVHIT-LEWJYISDSA-N 0 3 307.437 4.312 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cccc(F)c2N)cc1 ZINC000639126894 1046360699 /nfs/dbraw/zinc/36/06/99/1046360699.db2.gz LRQSLMJVULQLQT-UHFFFAOYSA-N 0 3 316.420 4.222 20 0 DIADHN COC(=O)CCN(Cc1ccc(-c2cccs2)s1)C1CC1 ZINC001139020041 1046361380 /nfs/dbraw/zinc/36/13/80/1046361380.db2.gz UMJFIOSCJPKJFI-UHFFFAOYSA-N 0 3 321.467 4.004 20 0 DIADHN CCO[C@H]1CCN(Cc2ccccc2CC(F)(F)F)C[C@H]1C ZINC000639231202 1046372301 /nfs/dbraw/zinc/37/23/01/1046372301.db2.gz VAXDPMIAFDBJTP-CJNGLKHVSA-N 0 3 315.379 4.038 20 0 DIADHN c1c2ccccc2oc1CN1CC[C@H](OCc2ccccc2)C1 ZINC001139063901 1046373561 /nfs/dbraw/zinc/37/35/61/1046373561.db2.gz CTURUNXTGFZMDC-SFHVURJKSA-N 0 3 307.393 4.224 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C/c3cccs3)n2)cc1 ZINC000673899468 1046373503 /nfs/dbraw/zinc/37/35/03/1046373503.db2.gz WWVMFIZCQCYKSC-MDZDMXLPSA-N 0 3 311.410 4.030 20 0 DIADHN C[C@@H](NCc1cccc(Oc2ccc(F)cc2)n1)[C@@H]1CC1(F)F ZINC000639290624 1046377895 /nfs/dbraw/zinc/37/78/95/1046377895.db2.gz JPZOSXZKAWAVBC-ABAIWWIYSA-N 0 3 322.330 4.146 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CC[C@@]2(CCCO2)C1 ZINC001139068612 1046378409 /nfs/dbraw/zinc/37/84/09/1046378409.db2.gz WROLFDGDDJXBLY-NRFANRHFSA-N 0 3 323.436 4.117 20 0 DIADHN Brc1cncc(CNC2(c3ccccc3)CCC2)c1 ZINC000170907117 1046384463 /nfs/dbraw/zinc/38/44/63/1046384463.db2.gz XYICYJAHPPTEQA-UHFFFAOYSA-N 0 3 317.230 4.013 20 0 DIADHN O[C@H](CN1CCC[C@H]1/C=C/c1ccccc1)c1cccc(F)c1 ZINC000780400788 1046385362 /nfs/dbraw/zinc/38/53/62/1046385362.db2.gz MECCFESYYCFWQG-ONNIGLJISA-N 0 3 311.400 4.037 20 0 DIADHN CCCn1nc(C)c(CN2CCC3(CCSCC3)CC2)c1C ZINC000639375250 1046387098 /nfs/dbraw/zinc/38/70/98/1046387098.db2.gz SCAQWYBTILSKKS-UHFFFAOYSA-N 0 3 321.534 4.019 20 0 DIADHN CCn1ccc2ccc(CN(C)Cc3ccc(OC)cc3)cc21 ZINC001139122108 1046389252 /nfs/dbraw/zinc/38/92/52/1046389252.db2.gz SBSWWTSCXIWRBG-UHFFFAOYSA-N 0 3 308.425 4.302 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@@H]3c3ccncc3)cc21 ZINC001139122609 1046390067 /nfs/dbraw/zinc/39/00/67/1046390067.db2.gz JLVDASDWRFWWPF-LJQANCHMSA-N 0 3 305.425 4.393 20 0 DIADHN CCC[C@@H]1CN(C[C@@H](C)CSc2ccccc2C)CCO1 ZINC001168201677 1046400691 /nfs/dbraw/zinc/40/06/91/1046400691.db2.gz LJRHELODTGYUIO-NVXWUHKLSA-N 0 3 307.503 4.224 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2([C@@H](O)c3ccccc3)CC2)o1 ZINC000246647358 1046401326 /nfs/dbraw/zinc/40/13/26/1046401326.db2.gz JXZRDQOXWGRGHE-LJHODMEESA-N 0 3 311.425 4.006 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1cccnc1Cl ZINC000780745907 1046403309 /nfs/dbraw/zinc/40/33/09/1046403309.db2.gz SXIIOGJLWDRIHA-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN Brc1ccc2[nH]c(CN3CCC4(CC4)CC3)cc2c1 ZINC001139181677 1046404231 /nfs/dbraw/zinc/40/42/31/1046404231.db2.gz COOCMTRVOPQSGL-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C)C2CCCCCCC2)c1 ZINC000748805642 1046404507 /nfs/dbraw/zinc/40/45/07/1046404507.db2.gz ODKBEBPZRDPEGI-UHFFFAOYSA-N 0 3 302.462 4.287 20 0 DIADHN CN(Cc1nc(-c2cccs2)no1)C1CCCCCCC1 ZINC000748805637 1046404703 /nfs/dbraw/zinc/40/47/03/1046404703.db2.gz NKYAMOKSQMHWLA-UHFFFAOYSA-N 0 3 305.447 4.343 20 0 DIADHN Cn1ccc2cc(CN3CCSC[C@@H]3c3ccccc3)ccc21 ZINC001139189467 1046406811 /nfs/dbraw/zinc/40/68/11/1046406811.db2.gz DGLPBFOIFLMKCW-HXUWFJFHSA-N 0 3 322.477 4.468 20 0 DIADHN CC[C@@H]1CCN(Cc2nnc(-c3cccc4ccccc43)o2)C1 ZINC000639513248 1046407555 /nfs/dbraw/zinc/40/75/55/1046407555.db2.gz ICYMMRRDPYCYFR-CQSZACIVSA-N 0 3 307.397 4.122 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccc2nsnc2c1 ZINC001139210669 1046413522 /nfs/dbraw/zinc/41/35/22/1046413522.db2.gz QUYWZWLVNMFSAQ-SFHVURJKSA-N 0 3 309.438 4.337 20 0 DIADHN C(=C/[C@H]1CCCCCN1CCc1cnccn1)\c1cccs1 ZINC000639584946 1046414525 /nfs/dbraw/zinc/41/45/25/1046414525.db2.gz XJNVBYCUXACAGQ-IWAYRVGRSA-N 0 3 313.470 4.039 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cnc(OC)c2ccccc12 ZINC000639583055 1046414857 /nfs/dbraw/zinc/41/48/57/1046414857.db2.gz JYCXIFYBUMGPEM-AWEZNQCLSA-N 0 3 321.424 4.052 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC4(CCCO4)CC3)cn2)cc1 ZINC001139246628 1046418317 /nfs/dbraw/zinc/41/83/17/1046418317.db2.gz GPHFRLBPKHUWBR-UHFFFAOYSA-N 0 3 324.424 4.019 20 0 DIADHN Cc1ncc(CN2CCC3(CC2)c2ccccc2N=C3C)s1 ZINC000639714679 1046423954 /nfs/dbraw/zinc/42/39/54/1046423954.db2.gz GFZGEIKDQQGXHR-UHFFFAOYSA-N 0 3 311.454 4.091 20 0 DIADHN CC[C@@H](NCc1nnc(-c2cccc3ccccc32)o1)C1CC1 ZINC000639828709 1046430234 /nfs/dbraw/zinc/43/02/34/1046430234.db2.gz PUWMIPDCWGRYSR-QGZVFWFLSA-N 0 3 307.397 4.168 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)c(F)c1)c1ccccc1F ZINC000090921097 1046430998 /nfs/dbraw/zinc/43/09/98/1046430998.db2.gz RTYZJMICSNEDOX-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN c1cc(N2CCCCC2)ncc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001139344684 1046432686 /nfs/dbraw/zinc/43/26/86/1046432686.db2.gz KEOPTMYQTWCKHA-RTBURBONSA-N 0 3 313.489 4.227 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H](O)CC1CCCC1 ZINC001168233800 1046434709 /nfs/dbraw/zinc/43/47/09/1046434709.db2.gz HUNUJBHRRFTEHD-GLJUWKHASA-N 0 3 305.437 4.075 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cc(Cl)cnc1Cl)CC2 ZINC001139359173 1046436172 /nfs/dbraw/zinc/43/61/72/1046436172.db2.gz NOVDUTRPGPTIBZ-ZDUSSCGKSA-N 0 3 317.235 4.493 20 0 DIADHN FC1CC2(C1)CCN(Cc1cc(Cl)cnc1Cl)CC2 ZINC001139352124 1046436626 /nfs/dbraw/zinc/43/66/26/1046436626.db2.gz NSPVADBCFQUQSF-UHFFFAOYSA-N 0 3 303.208 4.103 20 0 DIADHN CC1(C)CCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139362867 1046438158 /nfs/dbraw/zinc/43/81/58/1046438158.db2.gz NJLSORPNAMKSGT-UHFFFAOYSA-N 0 3 309.866 4.021 20 0 DIADHN Clc1ncc(Cn2cccc2CN2CCCCCCC2)s1 ZINC001139367114 1046438387 /nfs/dbraw/zinc/43/83/87/1046438387.db2.gz IEPBSMNFQXXEAH-UHFFFAOYSA-N 0 3 323.893 4.412 20 0 DIADHN Clc1ncc(Cn2cccc2CN2CCC3(CCC3)C2)s1 ZINC001139366950 1046438682 /nfs/dbraw/zinc/43/86/82/1046438682.db2.gz FDROMIHYFWTIGS-UHFFFAOYSA-N 0 3 321.877 4.022 20 0 DIADHN Fc1ccc([C@H]2CCCN2C[C@@H]2CCOC2)cc1C(F)(F)F ZINC000639935969 1046438819 /nfs/dbraw/zinc/43/88/19/1046438819.db2.gz OCGLLJHTOGBGPM-XHDPSFHLSA-N 0 3 317.326 4.018 20 0 DIADHN C[C@@H]1CCN(Cc2cccn2Cc2cnc(Cl)s2)[C@H](C)C1 ZINC001139367667 1046439111 /nfs/dbraw/zinc/43/91/11/1046439111.db2.gz QRCSVKJESKUYQZ-CHWSQXEVSA-N 0 3 323.893 4.267 20 0 DIADHN CC[C@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139367350 1046439139 /nfs/dbraw/zinc/43/91/39/1046439139.db2.gz KISVOMKWBKLINA-ZDUSSCGKSA-N 0 3 323.893 4.268 20 0 DIADHN FC(F)[C@@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC000781338168 1046441047 /nfs/dbraw/zinc/44/10/47/1046441047.db2.gz QGQLQNHAZNNXLX-SNVBAGLBSA-N 0 3 311.319 4.386 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCO[C@H]2C(C)(C)C)c(C)s1 ZINC000676839530 1046441036 /nfs/dbraw/zinc/44/10/36/1046441036.db2.gz MRKKYKWAQTUYBH-SGIREYDYSA-N 0 3 310.507 4.252 20 0 DIADHN c1ccc([C@H]2CCN2Cc2ccc(-c3cnccn3)cc2)cc1 ZINC001139393425 1046441674 /nfs/dbraw/zinc/44/16/74/1046441674.db2.gz QQDBJLSPHUOELZ-HXUWFJFHSA-N 0 3 301.393 4.091 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC(CCF)CC1 ZINC000639991036 1046441924 /nfs/dbraw/zinc/44/19/24/1046441924.db2.gz WZWAHQCETOMOBP-UHFFFAOYSA-N 0 3 302.393 4.222 20 0 DIADHN Cc1nc([C@H](C)NC[C@H](c2cccnc2)C(C)C)c(C)s1 ZINC000676842465 1046441863 /nfs/dbraw/zinc/44/18/63/1046441863.db2.gz SUZYVBROXYVNEA-LRDDRELGSA-N 0 3 303.475 4.245 20 0 DIADHN c1ccc(CN(Cc2ccc(-c3cnccn3)cc2)C2CC2)cc1 ZINC001139393430 1046442216 /nfs/dbraw/zinc/44/22/16/1046442216.db2.gz QTUSBIZFFZETRJ-UHFFFAOYSA-N 0 3 315.420 4.308 20 0 DIADHN Cc1c(CN2CCC[C@@H]2c2cccc(C)c2)nc2ccccn12 ZINC001137267342 1046444347 /nfs/dbraw/zinc/44/43/47/1046444347.db2.gz WGBHHVKEJYFBDE-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN OCCN(Cc1ccc(-c2ccccc2)s1)Cc1ccccc1 ZINC001139411572 1046445669 /nfs/dbraw/zinc/44/56/69/1046445669.db2.gz SYXONMRPEKUZGI-UHFFFAOYSA-N 0 3 323.461 4.410 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3CCOC3)o2)cc1Cl ZINC000676906219 1046446026 /nfs/dbraw/zinc/44/60/26/1046446026.db2.gz IKUCRTBNTFFRNA-LLVKDONJSA-N 0 3 312.196 4.132 20 0 DIADHN COc1cc(C)cc(CNCc2cccn2CCC(C)C)c1 ZINC000676905585 1046446165 /nfs/dbraw/zinc/44/61/65/1046446165.db2.gz YXFGVSUANPQACI-UHFFFAOYSA-N 0 3 300.446 4.141 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccnc2)c(C)c1Br ZINC000719634366 1046446762 /nfs/dbraw/zinc/44/67/62/1046446762.db2.gz RXVJKOVSDUVKEF-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139416443 1046446955 /nfs/dbraw/zinc/44/69/55/1046446955.db2.gz RODFPYJKPCMFEH-HNNXBMFYSA-N 0 3 305.805 4.211 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC[C@@H]4CCO[C@H]4C3)o2)cc1 ZINC001139415333 1046447027 /nfs/dbraw/zinc/44/70/27/1046447027.db2.gz VNJKALXHNTZLKN-KDOFPFPSSA-N 0 3 317.816 4.211 20 0 DIADHN Cc1c(CN2CCC(c3ccccc3)CC2)nc2ccccn12 ZINC001137268170 1046447778 /nfs/dbraw/zinc/44/77/78/1046447778.db2.gz QFZXJHQHQLIIAY-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN FC1CC2(C1)CCN(Cc1c(Cl)ccnc1Cl)CC2 ZINC001139475410 1046454292 /nfs/dbraw/zinc/45/42/92/1046454292.db2.gz RUDLMXCDLDSSSL-UHFFFAOYSA-N 0 3 303.208 4.103 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c(Cl)ccnc1Cl)CC2 ZINC001139481224 1046454594 /nfs/dbraw/zinc/45/45/94/1046454594.db2.gz UMYPPEGXSAHNQQ-LLVKDONJSA-N 0 3 317.235 4.493 20 0 DIADHN Cc1noc(C)c1CNCCc1nc(C2CCCCC2)cs1 ZINC000124167368 1046455351 /nfs/dbraw/zinc/45/53/51/1046455351.db2.gz LNYXGYPFSJSGSH-UHFFFAOYSA-N 0 3 319.474 4.128 20 0 DIADHN CCCOC1CCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139499536 1046455913 /nfs/dbraw/zinc/45/59/13/1046455913.db2.gz POCQQOFDWKMKJF-UHFFFAOYSA-N 0 3 316.470 4.201 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@H](C2CC2)C1 ZINC000677051107 1046457753 /nfs/dbraw/zinc/45/77/53/1046457753.db2.gz BRXDGMXUKVRWRX-AWEZNQCLSA-N 0 3 302.443 4.334 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccc(-c3ccsc3)nc2)C1 ZINC001139503839 1046458001 /nfs/dbraw/zinc/45/80/01/1046458001.db2.gz KIWOFMRUTGTGSC-CYBMUJFWSA-N 0 3 308.397 4.287 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCC[C@@H](C2CC2)C1 ZINC000677056923 1046460436 /nfs/dbraw/zinc/46/04/36/1046460436.db2.gz NIYYAWLUPXWCHV-DOTOQJQBSA-N 0 3 314.473 4.259 20 0 DIADHN CCCOC1CCN(Cc2ccc(-c3ccccc3)nc2)CC1 ZINC001139518437 1046460472 /nfs/dbraw/zinc/46/04/72/1046460472.db2.gz YFTMXUHLRZABQM-UHFFFAOYSA-N 0 3 310.441 4.140 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1cnc2ccccc2c1 ZINC001137282783 1046461978 /nfs/dbraw/zinc/46/19/78/1046461978.db2.gz KQHISDWAQLVROK-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1OCCOC)c1ccccc1 ZINC000125310455 1046466661 /nfs/dbraw/zinc/46/66/61/1046466661.db2.gz MXIVRRKEWMYKGS-LJQANCHMSA-N 0 3 313.441 4.261 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2c2ccncc2)cc1 ZINC000125478493 1046468180 /nfs/dbraw/zinc/46/81/80/1046468180.db2.gz UGNYHJKGZXISIT-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN Cc1cc(CN2CCC[C@H]2Cc2ccc(F)cc2)cnc1Cl ZINC001139545706 1046468165 /nfs/dbraw/zinc/46/81/65/1046468165.db2.gz IZWLZXPOZYALFP-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN CC(C)N(Cc1cnc(-c2ccccc2)[nH]1)Cc1ccccc1 ZINC001139551224 1046468463 /nfs/dbraw/zinc/46/84/63/1046468463.db2.gz WLMFREJZVUYMTG-UHFFFAOYSA-N 0 3 305.425 4.487 20 0 DIADHN CCn1nccc1CN[C@H](Cc1ccc(F)cc1)c1ccccc1 ZINC000677138401 1046469441 /nfs/dbraw/zinc/46/94/41/1046469441.db2.gz NQMNEHXLVLOQAK-HXUWFJFHSA-N 0 3 323.415 4.116 20 0 DIADHN COc1ccc(CN2CCCCC2)c(OCc2ccccc2)c1 ZINC001139557615 1046470404 /nfs/dbraw/zinc/47/04/04/1046470404.db2.gz CJWPNKOTZLTBLI-UHFFFAOYSA-N 0 3 311.425 4.260 20 0 DIADHN CCN(Cc1ccc(OC)cc1OCc1ccccc1)C1CC1 ZINC001139557542 1046470942 /nfs/dbraw/zinc/47/09/42/1046470942.db2.gz AIHPNAZHPMXPCY-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3C[C@@H]32)c(OCc2ccccc2)c1 ZINC001139559799 1046472618 /nfs/dbraw/zinc/47/26/18/1046472618.db2.gz VEWNDVDWOORZBA-XLIONFOSSA-N 0 3 323.436 4.259 20 0 DIADHN Clc1ccccc1-c1ccccc1CN1CC(C2COC2)C1 ZINC001139573097 1046474926 /nfs/dbraw/zinc/47/49/26/1046474926.db2.gz YSYAUECLUIKTMA-UHFFFAOYSA-N 0 3 313.828 4.085 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139577304 1046477075 /nfs/dbraw/zinc/47/70/75/1046477075.db2.gz VSJULODBDYCBRR-AWEZNQCLSA-N 0 3 315.844 4.474 20 0 DIADHN Cc1noc(C)c1CN(CC(C)C)[C@H]1CCCc2cccnc21 ZINC000126921830 1046478804 /nfs/dbraw/zinc/47/88/04/1046478804.db2.gz ANPJHFHHFVXQOV-SFHVURJKSA-N 0 3 313.445 4.222 20 0 DIADHN Cc1cc(F)ccc1C[C@@H](C)NCc1ccnc(Cl)c1F ZINC000826869048 1046479201 /nfs/dbraw/zinc/47/92/01/1046479201.db2.gz ZXNRYRMHWPKEFB-LLVKDONJSA-N 0 3 310.775 4.042 20 0 DIADHN CCOc1ccc(CN2CCCC[C@H]2CCOC)cc1Cl ZINC001139595873 1046480764 /nfs/dbraw/zinc/48/07/64/1046480764.db2.gz MPOXJWUZMWLBJB-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C1CCC(C)(C)CC1 ZINC000127620172 1046485149 /nfs/dbraw/zinc/48/51/49/1046485149.db2.gz KPMXWHSKTZSIFN-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN COc1ccc(CN2CCC(OC(C)C)CC2)cc1C(C)C ZINC001139617844 1046486321 /nfs/dbraw/zinc/48/63/21/1046486321.db2.gz AJUGDBZZOCRSQL-UHFFFAOYSA-N 0 3 305.462 4.208 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2ccncc2)cc1CC ZINC001139623419 1046488323 /nfs/dbraw/zinc/48/83/23/1046488323.db2.gz IEJLRXPUTJOKSD-LJQANCHMSA-N 0 3 310.441 4.380 20 0 DIADHN CCOc1ccncc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001139626510 1046491562 /nfs/dbraw/zinc/49/15/62/1046491562.db2.gz SFCUITPRUVDKSV-UHFFFAOYSA-N 0 3 320.436 4.041 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2Cc2ccnc(N(C)C)c2)o1 ZINC000782173912 1046497513 /nfs/dbraw/zinc/49/75/13/1046497513.db2.gz ANJHKDOEMLYMIU-KRWDZBQOSA-N 0 3 313.445 4.166 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCC(OCC2CC2)CC1 ZINC000782188791 1046499163 /nfs/dbraw/zinc/49/91/63/1046499163.db2.gz PWQPMKBUZGZFAK-SFHVURJKSA-N 0 3 305.849 4.218 20 0 DIADHN C[C@@H]1CCN([C@H]2CCc3cc(Cl)ccc32)C[C@@H]1n1ccnc1 ZINC000782191956 1046499824 /nfs/dbraw/zinc/49/98/24/1046499824.db2.gz RQSFIWHXOMPXLE-BVGQSLNGSA-N 0 3 315.848 4.107 20 0 DIADHN COCC1CN(Cc2cc(C(C)(C)C)cc(C(C)(C)C)c2)C1 ZINC001139712150 1046503723 /nfs/dbraw/zinc/50/37/23/1046503723.db2.gz MYWCFXDCDDQYCD-UHFFFAOYSA-N 0 3 303.490 4.360 20 0 DIADHN CC(C)(C)C[C@H](NCc1ccncc1N)c1ccc(F)cc1 ZINC000641708754 1046505463 /nfs/dbraw/zinc/50/54/63/1046505463.db2.gz ZUTBBAPVBGSGEK-KRWDZBQOSA-N 0 3 301.409 4.070 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cnc2cccnc2c1 ZINC001139743409 1046509211 /nfs/dbraw/zinc/50/92/11/1046509211.db2.gz FOBAKVKVWIEXJI-FQEVSTJZSA-N 0 3 303.409 4.139 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CC[C@H](C(F)(F)F)C1 ZINC000677713857 1046513253 /nfs/dbraw/zinc/51/32/53/1046513253.db2.gz LTMHJKLZHSSEEV-KOLCDFICSA-N 0 3 309.278 4.233 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(Br)cc2C)ccn1 ZINC000641778584 1046514445 /nfs/dbraw/zinc/51/44/45/1046514445.db2.gz AFUHLSQJZLITRK-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Br)cc2C)cn1 ZINC000641786759 1046516410 /nfs/dbraw/zinc/51/64/10/1046516410.db2.gz FGBGCOLPAPXPFI-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CC[C@H](NCc1ccc(OCC2CC2)nc1)c1ccc(F)cc1 ZINC000131397952 1046520526 /nfs/dbraw/zinc/52/05/26/1046520526.db2.gz ITSPSIQEVYTKTJ-SFHVURJKSA-N 0 3 314.404 4.250 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000131466396 1046521283 /nfs/dbraw/zinc/52/12/83/1046521283.db2.gz ZLRXSORBJFDDNX-UZLBHIALSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2ccc(C(C)(C)C)cc2)s1 ZINC000677754211 1046522050 /nfs/dbraw/zinc/52/20/50/1046522050.db2.gz ABMCEPJIGQBKNJ-CYBMUJFWSA-N 0 3 318.486 4.479 20 0 DIADHN CCCO[C@@H]1CCN(Cc2sc(-c3ccccc3)nc2C)C1 ZINC001139823025 1046526703 /nfs/dbraw/zinc/52/67/03/1046526703.db2.gz UVOAHMBPMGEFPN-MRXNPFEDSA-N 0 3 316.470 4.119 20 0 DIADHN COCc1ccc(CN2CCC[C@H]2c2ccc(OC)cc2)s1 ZINC001139827178 1046527447 /nfs/dbraw/zinc/52/74/47/1046527447.db2.gz RCRGSEIZRQVBGO-SFHVURJKSA-N 0 3 317.454 4.240 20 0 DIADHN COCc1ccc(CN2CCC[C@@H]2c2ccccc2OC)s1 ZINC001139827035 1046527825 /nfs/dbraw/zinc/52/78/25/1046527825.db2.gz LNRXJXKXSQLYRA-QGZVFWFLSA-N 0 3 317.454 4.240 20 0 DIADHN Cc1ccc(CN2CCC(n3ccc4ccccc43)CC2)nc1 ZINC001139842206 1046529393 /nfs/dbraw/zinc/52/93/93/1046529393.db2.gz BFPZNMHDCLADDJ-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CC2CCCCC2)c(C)c1 ZINC000641958765 1046532592 /nfs/dbraw/zinc/53/25/92/1046532592.db2.gz GFPBROYFCSWNDE-QGZVFWFLSA-N 0 3 316.489 4.451 20 0 DIADHN c1ccc2c(c1)oc1c2cccc1CN1CCCCC12COC2 ZINC001139857582 1046535204 /nfs/dbraw/zinc/53/52/04/1046535204.db2.gz WHZQMMADUWBPNZ-UHFFFAOYSA-N 0 3 307.393 4.341 20 0 DIADHN Cn1c(CN2CCC3(CC[C@H](F)C3)CC2)cc2ccccc21 ZINC001139872399 1046536333 /nfs/dbraw/zinc/53/63/33/1046536333.db2.gz NIIXAXWLRDJTLD-INIZCTEOSA-N 0 3 300.421 4.283 20 0 DIADHN Cn1c(CN2CC=C(c3ccccc3)CC2)cc2ccccc21 ZINC001139871753 1046536913 /nfs/dbraw/zinc/53/69/13/1046536913.db2.gz OXMJCWJEPGGGQE-UHFFFAOYSA-N 0 3 302.421 4.468 20 0 DIADHN CCN(Cc1cc2ccccc2n1C)Cc1ccc(OC)cc1 ZINC001139872578 1046537563 /nfs/dbraw/zinc/53/75/63/1046537563.db2.gz RFRAVWJVWJVDEF-UHFFFAOYSA-N 0 3 308.425 4.209 20 0 DIADHN Cn1c(CN2CCC[C@H](Oc3ccccc3)C2)cc2ccccc21 ZINC001139870070 1046538116 /nfs/dbraw/zinc/53/81/16/1046538116.db2.gz CYRXSTWVGYPQPO-FQEVSTJZSA-N 0 3 320.436 4.222 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cc3ccccc3n1C)CCC2 ZINC001139872856 1046540487 /nfs/dbraw/zinc/54/04/87/1046540487.db2.gz NDFHIXKBXIETEQ-UHFFFAOYSA-N 0 3 320.436 4.135 20 0 DIADHN COC1(C)CCN(Cc2cc(C(F)(F)F)ccc2C)CC1 ZINC001139883922 1046542336 /nfs/dbraw/zinc/54/23/36/1046542336.db2.gz NNBXSSXVFPMRMB-UHFFFAOYSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1cccc(F)c1CN[C@H](c1ccccc1)C1CCOCC1 ZINC000782762860 1046542210 /nfs/dbraw/zinc/54/22/10/1046542210.db2.gz DMVQWDDPRFMJIU-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@]2(C1)CCCCO2 ZINC001139888021 1046544815 /nfs/dbraw/zinc/54/48/15/1046544815.db2.gz ILTAIUUGKNSOAT-INIZCTEOSA-N 0 3 313.363 4.159 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@@H](c2cccnc2)C1 ZINC001139885138 1046545932 /nfs/dbraw/zinc/54/59/32/1046545932.db2.gz MMMNSWDTJSWALF-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001137319655 1046546860 /nfs/dbraw/zinc/54/68/60/1046546860.db2.gz HIGMHHVXBKPOFR-UHFFFAOYSA-N 0 3 318.420 4.138 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(Sc2ccncc2)CC1 ZINC001137319725 1046547467 /nfs/dbraw/zinc/54/74/67/1046547467.db2.gz MIFSHHKMNLYUGW-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN CC(C)c1noc([C@@H]2CCCN(Cc3cc4ccccc4[nH]3)C2)n1 ZINC001137319715 1046547831 /nfs/dbraw/zinc/54/78/31/1046547831.db2.gz LVHJERAAXJJQBO-OAHLLOKOSA-N 0 3 324.428 4.054 20 0 DIADHN CCOCOc1ccc(CN[C@H](C)c2ccc(C)c(F)c2)cc1 ZINC000782964445 1046548771 /nfs/dbraw/zinc/54/87/71/1046548771.db2.gz BFBRKASZGFHBCJ-OAHLLOKOSA-N 0 3 317.404 4.358 20 0 DIADHN CCOCOc1ccc(CNC(C)(C)c2ccc(F)cc2)cc1 ZINC000782956323 1046549268 /nfs/dbraw/zinc/54/92/68/1046549268.db2.gz PKEHWBIJWKRNEB-UHFFFAOYSA-N 0 3 317.404 4.223 20 0 DIADHN Cn1ncc2ccc(CN3CCC[C@@H]3c3ccc(F)cc3)cc21 ZINC001139904345 1046550520 /nfs/dbraw/zinc/55/05/20/1046550520.db2.gz OJQUIVHSVJEQJM-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN CC1(C)CCC2(CCN(Cc3cncc4ccccc43)CC2)O1 ZINC001139899153 1046550973 /nfs/dbraw/zinc/55/09/73/1046550973.db2.gz SPVGSZPEUXUOAI-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN Cn1ncc2ccc(CN3CCC[C@@H]3c3ccccc3F)cc21 ZINC001139907307 1046551391 /nfs/dbraw/zinc/55/13/91/1046551391.db2.gz DZZHMDAPFDBLEC-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN Cn1ncc2ccc(CN3CCC[C@@H]3c3cccc(F)c3)cc21 ZINC001139908540 1046553521 /nfs/dbraw/zinc/55/35/21/1046553521.db2.gz KBLCGVXTXOZDFO-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN C[C@@H]1CCCCCN1Cc1c[nH]c2nccc(Br)c12 ZINC001139944538 1046555192 /nfs/dbraw/zinc/55/51/92/1046555192.db2.gz HUVZXQPOEQFEFI-LLVKDONJSA-N 0 3 322.250 4.090 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cn2cccc(F)c2n1)Cc1ccccc1 ZINC000678122093 1046556390 /nfs/dbraw/zinc/55/63/90/1046556390.db2.gz TVFPTIZEQSCILL-HNNXBMFYSA-N 0 3 323.415 4.274 20 0 DIADHN Cn1ncc2cc(CN3CCC[C@H]3c3cccc(F)c3)ccc21 ZINC001139951215 1046558055 /nfs/dbraw/zinc/55/80/55/1046558055.db2.gz RNGFNHWQYKLQKC-IBGZPJMESA-N 0 3 309.388 4.050 20 0 DIADHN Brc1cccc2[nH]cc(CN3CCC4(CC4)CC3)c21 ZINC001139963167 1046559568 /nfs/dbraw/zinc/55/95/68/1046559568.db2.gz RZUXLXLLDRGNLR-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN Cc1ccc(OCCN(C)Cc2nccc3ccccc32)cc1 ZINC000678128628 1046560670 /nfs/dbraw/zinc/56/06/70/1046560670.db2.gz UYCWGSKNNGVFJM-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3cc4ccccc4cn3)C2)c1 ZINC001140003433 1046565354 /nfs/dbraw/zinc/56/53/54/1046565354.db2.gz RLWFOTMPMUTMQS-HXUWFJFHSA-N 0 3 322.383 4.027 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2nn(C)c3ccccc23)c1 ZINC000678140913 1046569184 /nfs/dbraw/zinc/56/91/84/1046569184.db2.gz WAGVTHBQPFIQDY-LJQANCHMSA-N 0 3 305.425 4.219 20 0 DIADHN Cc1ccccc1CN(Cc1ccc(N2CCCC2)nc1)C1CC1 ZINC000536633446 1046569607 /nfs/dbraw/zinc/56/96/07/1046569607.db2.gz VEIZNXSPRRUCBN-UHFFFAOYSA-N 0 3 321.468 4.155 20 0 DIADHN CCCCCOc1ccc(CN2CCC(OCC)CC2)cc1 ZINC000678152607 1046571415 /nfs/dbraw/zinc/57/14/15/1046571415.db2.gz SAOZUGBAPLPMMO-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN C[C@@H]1CC[C@@H]1NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000783294564 1046575022 /nfs/dbraw/zinc/57/50/22/1046575022.db2.gz KTXBZSRXNSSLMX-KBMXLJTQSA-N 0 3 312.482 4.304 20 0 DIADHN C[C@@H]1CC[C@H]1NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000783294565 1046575306 /nfs/dbraw/zinc/57/53/06/1046575306.db2.gz KTXBZSRXNSSLMX-VNQPRFMTSA-N 0 3 312.482 4.304 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCC2)c1)C1CCCCC1 ZINC000060552250 1046576722 /nfs/dbraw/zinc/57/67/22/1046576722.db2.gz GTYKKUOTFYIESJ-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN Clc1ccc2c(c1Cl)OCC[C@H]2NC[C@@H]1CCC=CO1 ZINC000783307570 1046578517 /nfs/dbraw/zinc/57/85/17/1046578517.db2.gz NIQMUWSUVKBSFM-GXFFZTMASA-N 0 3 314.212 4.099 20 0 DIADHN COc1ccc(CCN(C)Cc2cccc(Cl)c2OC)cc1 ZINC001140067850 1046581954 /nfs/dbraw/zinc/58/19/54/1046581954.db2.gz RCPHXAGWQRKYIP-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1ccc2[nH]nc(CN3CCC[C@@H]3c3ccc(C)cc3)c2c1 ZINC001140068471 1046583108 /nfs/dbraw/zinc/58/31/08/1046583108.db2.gz MAEWBHDIPIERFS-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC[C@@H]3c3ccc(C)cc3)c2c1 ZINC001140068471 1046583124 /nfs/dbraw/zinc/58/31/24/1046583124.db2.gz MAEWBHDIPIERFS-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2n[nH]c(CN(Cc3ccccc3)C3CCC3)c2c1 ZINC001140070612 1046583240 /nfs/dbraw/zinc/58/32/40/1046583240.db2.gz LFDGLHPQCVPMQD-UHFFFAOYSA-N 0 3 321.424 4.126 20 0 DIADHN Oc1ccc(C2CCN(Cc3ccc(O)cc3Cl)CC2)cc1 ZINC001140082841 1046585881 /nfs/dbraw/zinc/58/58/81/1046585881.db2.gz BBCRSCPRQHVEPC-UHFFFAOYSA-N 0 3 317.816 4.131 20 0 DIADHN COc1ccc2oc(CN3CCC[C@H]3c3ccc(O)cc3)cc2c1 ZINC000678212628 1046586041 /nfs/dbraw/zinc/58/60/41/1046586041.db2.gz BUQHQYRVIOQXPC-IBGZPJMESA-N 0 3 323.392 4.484 20 0 DIADHN COc1ccccc1/C=C/CN1CCC[C@H]1c1ccc(O)cc1 ZINC000678212554 1046586387 /nfs/dbraw/zinc/58/63/87/1046586387.db2.gz IIRNTCGXILGJSN-QTWIXLPOSA-N 0 3 309.409 4.251 20 0 DIADHN CCc1sc(-c2nnc(CN(C)[C@@H](C)C(C)C)o2)cc1C ZINC000642253183 1046586662 /nfs/dbraw/zinc/58/66/62/1046586662.db2.gz MIUPKLCYFQBPEU-LBPRGKRZSA-N 0 3 307.463 4.145 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2cnc3ccccc3c2)cc1 ZINC000678212751 1046586909 /nfs/dbraw/zinc/58/69/09/1046586909.db2.gz FREQEWRNDIJKRU-FQEVSTJZSA-N 0 3 304.393 4.278 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@H](CC(C)C)c1ccccc1 ZINC000642253407 1046587124 /nfs/dbraw/zinc/58/71/24/1046587124.db2.gz JPELICNGENCIQP-FUHWJXTLSA-N 0 3 304.478 4.010 20 0 DIADHN Fc1ccc(-c2ncc(CN3CC[C@]4(CC4(F)F)C3)s2)cc1 ZINC001140095886 1046588112 /nfs/dbraw/zinc/58/81/12/1046588112.db2.gz IDFJFSOYBMZZOV-HNNXBMFYSA-N 0 3 324.371 4.180 20 0 DIADHN Fc1ccc(-c2ncc(CN3CCCCC34CC4)s2)cc1 ZINC001140096034 1046589600 /nfs/dbraw/zinc/58/96/00/1046589600.db2.gz BVZBBHMMKMGQBI-UHFFFAOYSA-N 0 3 302.418 4.468 20 0 DIADHN CN(C)c1ccc(CN(Cc2ccc(F)cc2)C(C)(C)C)cn1 ZINC000678225600 1046592691 /nfs/dbraw/zinc/59/26/91/1046592691.db2.gz MXRKOWWCQCDXPK-UHFFFAOYSA-N 0 3 315.436 4.087 20 0 DIADHN Cc1cccc2c(CN(C)C3CC3)cn(C(=O)OC(C)(C)C)c21 ZINC001140119095 1046595431 /nfs/dbraw/zinc/59/54/31/1046595431.db2.gz PHVFWKWCBKTFBS-UHFFFAOYSA-N 0 3 314.429 4.327 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@H](c2nccs2)C1 ZINC000195350307 1046597574 /nfs/dbraw/zinc/59/75/74/1046597574.db2.gz AVXZTVRBSADOSZ-ZDUSSCGKSA-N 0 3 322.474 4.254 20 0 DIADHN c1coc(COCc2cccc(CNCc3cccs3)c2)c1 ZINC000642342341 1046598303 /nfs/dbraw/zinc/59/83/03/1046598303.db2.gz YIYWRYTZNQXRMR-UHFFFAOYSA-N 0 3 313.422 4.348 20 0 DIADHN Fc1cccc(CN2CCC3(CCCc4ccccc43)CC2)n1 ZINC001140131555 1046598634 /nfs/dbraw/zinc/59/86/34/1046598634.db2.gz MAYRJRNEZLYJPG-UHFFFAOYSA-N 0 3 310.416 4.091 20 0 DIADHN Cc1ccc(CCNCc2cc(Cl)ccc2OC(F)F)o1 ZINC000642342600 1046600978 /nfs/dbraw/zinc/60/09/78/1046600978.db2.gz NWOYTCPXXBMNFS-UHFFFAOYSA-N 0 3 315.747 4.175 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc(C)c(OC)c2)c1 ZINC001140160298 1046603590 /nfs/dbraw/zinc/60/35/90/1046603590.db2.gz XHASHHCYRLBJKB-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc(C)c(OC)c1 ZINC001140159551 1046604304 /nfs/dbraw/zinc/60/43/04/1046604304.db2.gz JKYBXIJFHARSCU-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc(C)c(CN(C)[C@@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000245459861 1046606011 /nfs/dbraw/zinc/60/60/11/1046606011.db2.gz DSNIAOIFEKBHRS-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc(-c3ccncc3)cc2)C1 ZINC001140172963 1046607391 /nfs/dbraw/zinc/60/73/91/1046607391.db2.gz JCVAYGWQGSTFHN-INIZCTEOSA-N 0 3 306.331 4.133 20 0 DIADHN CCOc1c(OC)cc(CN2C3CCCC2CCC3)cc1OC ZINC000678279258 1046608512 /nfs/dbraw/zinc/60/85/12/1046608512.db2.gz MFVDGQALFCFMNL-UHFFFAOYSA-N 0 3 319.445 4.010 20 0 DIADHN O[C@@H](CN1CC2(CCC2)[C@@H]1c1ccccc1)c1ccc(F)cc1 ZINC000834378032 1046611190 /nfs/dbraw/zinc/61/11/90/1046611190.db2.gz LJUVYFGPVAKPKZ-OALUTQOASA-N 0 3 311.400 4.086 20 0 DIADHN O[C@@H](CN1CC2(CCC2)[C@@H]1c1ccccc1)c1cccc(F)c1 ZINC000834377405 1046611839 /nfs/dbraw/zinc/61/18/39/1046611839.db2.gz DOKIPCGAMWZVBI-OALUTQOASA-N 0 3 311.400 4.086 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC3(CC2)OCc2ccccc23)o1 ZINC000678285103 1046612846 /nfs/dbraw/zinc/61/28/46/1046612846.db2.gz OYHNINUSHBJBFQ-QAPCUYQASA-N 0 3 323.436 4.425 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(C)[C@@H]1CCCc2c1cnn2C ZINC000678296145 1046614732 /nfs/dbraw/zinc/61/47/32/1046614732.db2.gz QKHBRFCYWFUOMR-QGZVFWFLSA-N 0 3 317.864 4.200 20 0 DIADHN CCN(Cc1[nH]c(C)cc1C)Cc1ccc(Br)cc1 ZINC001137330760 1046616075 /nfs/dbraw/zinc/61/60/75/1046616075.db2.gz AGMTXEJVKRMQHZ-UHFFFAOYSA-N 0 3 321.262 4.416 20 0 DIADHN CN(Cc1c[nH]c2cccc(O)c12)Cc1ccc(Cl)cc1 ZINC001140233208 1046621346 /nfs/dbraw/zinc/62/13/46/1046621346.db2.gz JOHFYWDLUWXLER-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1C/C=C/c1ccc(Cl)cc1 ZINC000678339983 1046621529 /nfs/dbraw/zinc/62/15/29/1046621529.db2.gz VUKZEDMGXUMVQT-YZTRRJNXSA-N 0 3 315.848 4.232 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1cc2ccccc2s1 ZINC000678340485 1046621953 /nfs/dbraw/zinc/62/19/53/1046621953.db2.gz YMZGLRZAUAZYEU-QGZVFWFLSA-N 0 3 311.454 4.280 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1C/C=C\c1ccc(Cl)cc1 ZINC000678339981 1046622070 /nfs/dbraw/zinc/62/20/70/1046622070.db2.gz VUKZEDMGXUMVQT-LKIHTYOGSA-N 0 3 315.848 4.232 20 0 DIADHN C[C@H](c1ccco1)N(C[C@@H](O)c1ccc(F)cc1Cl)C1CC1 ZINC000248539855 1046622453 /nfs/dbraw/zinc/62/24/53/1046622453.db2.gz BAWNOOSXTLMHCO-BDJLRTHQSA-N 0 3 323.795 4.331 20 0 DIADHN COc1cccc2cc(CN(C)[C@H](C)c3cccc(O)c3)oc21 ZINC000093315497 1046622594 /nfs/dbraw/zinc/62/25/94/1046622594.db2.gz XYLPVKBOEUQEFG-CYBMUJFWSA-N 0 3 311.381 4.340 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1nc(Br)cs1 ZINC000834487975 1046623462 /nfs/dbraw/zinc/62/34/62/1046623462.db2.gz VGXPTJWLNYOVMC-LPEHRKFASA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cc(Cl)cc2cccnc21 ZINC000678362522 1046625288 /nfs/dbraw/zinc/62/52/88/1046625288.db2.gz GALMYHKAMSXBHU-CYBMUJFWSA-N 0 3 311.816 4.442 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1nc(Br)cs1 ZINC000834534726 1046626851 /nfs/dbraw/zinc/62/68/51/1046626851.db2.gz URXQTGKALQJEHN-BBBLOLIVSA-N 0 3 303.269 4.135 20 0 DIADHN CCCN(Cc1ccno1)[C@@H]1C[C@@H](OCC)C12CCCCC2 ZINC000532977589 1046632820 /nfs/dbraw/zinc/63/28/20/1046632820.db2.gz MSNTXPROUORSNQ-IAGOWNOFSA-N 0 3 306.450 4.015 20 0 DIADHN C[C@H](N[C@H]1CCCC1(C)C)c1nc(Br)cs1 ZINC000834616251 1046637731 /nfs/dbraw/zinc/63/77/31/1046637731.db2.gz MSLPBHGSBGVVNG-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN FC[C@H]1[C@@H]2CN(Cc3cnc(-c4ccc(Cl)cc4)s3)C[C@H]12 ZINC001140297150 1046642786 /nfs/dbraw/zinc/64/27/86/1046642786.db2.gz QKRJPGFGGVTWBM-QKDCVEJESA-N 0 3 322.836 4.111 20 0 DIADHN CCC[C@@H](C)N[C@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000643202991 1046648174 /nfs/dbraw/zinc/64/81/74/1046648174.db2.gz WOANJUIMGFDWPC-APWZRJJASA-N 0 3 310.441 4.453 20 0 DIADHN CCCC1(CN[C@H](C)c2nc(Br)cs2)CC1 ZINC000834680831 1046648787 /nfs/dbraw/zinc/64/87/87/1046648787.db2.gz LRKJRMPUFVOUKK-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1nc(Br)cs1 ZINC000834697361 1046649992 /nfs/dbraw/zinc/64/99/92/1046649992.db2.gz JKSHVEYWOBNGBS-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000643218210 1046649665 /nfs/dbraw/zinc/64/96/65/1046649665.db2.gz FCVMEMULZHPAMQ-HNNXBMFYSA-N 0 3 305.466 4.085 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678499424 1046649770 /nfs/dbraw/zinc/64/97/70/1046649770.db2.gz FXEJUYMBNXOQOS-UGSOOPFHSA-N 0 3 319.807 4.195 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC[C@H](Oc4ccccc4)C3)c2c1 ZINC001137339703 1046654147 /nfs/dbraw/zinc/65/41/47/1046654147.db2.gz AKIJXQREPUAUGG-SFHVURJKSA-N 0 3 324.399 4.350 20 0 DIADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1nc(Br)cs1 ZINC000834729460 1046656447 /nfs/dbraw/zinc/65/64/47/1046656447.db2.gz GJWCEJKWSLBWNR-IDWGSYCQSA-N 0 3 315.280 4.135 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2n[nH]c3ccc(C)cc32)c1 ZINC001140329989 1046658166 /nfs/dbraw/zinc/65/81/66/1046658166.db2.gz HXFWUIJFMCVTFW-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2[nH]nc3ccc(C)cc32)c1 ZINC001140329989 1046658171 /nfs/dbraw/zinc/65/81/71/1046658171.db2.gz HXFWUIJFMCVTFW-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN CC(C)C[C@@H](C)NCc1nc2cc(Br)ccc2o1 ZINC000643885374 1046688991 /nfs/dbraw/zinc/68/89/91/1046688991.db2.gz TURASKPPDPEIGS-SNVBAGLBSA-N 0 3 311.223 4.115 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1ccc(C)cc1C ZINC000678581695 1046660907 /nfs/dbraw/zinc/66/09/07/1046660907.db2.gz XEVPVLBZMFRLMJ-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CC[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccsc1 ZINC000834741035 1046661293 /nfs/dbraw/zinc/66/12/93/1046661293.db2.gz HSUXCCKQFHAKTQ-SFHVURJKSA-N 0 3 315.486 4.063 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2ccccc2C(C)(C)C)s1 ZINC000678584148 1046662601 /nfs/dbraw/zinc/66/26/01/1046662601.db2.gz MIPXLVQQKWFHIX-CYBMUJFWSA-N 0 3 318.486 4.479 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2nc(C)sc2C)c1 ZINC000678585394 1046662832 /nfs/dbraw/zinc/66/28/32/1046662832.db2.gz DVDPMQMXLYANGC-RYUDHWBXSA-N 0 3 304.459 4.050 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H]1CCc2ccc(C)cc21 ZINC000678583875 1046662911 /nfs/dbraw/zinc/66/29/11/1046662911.db2.gz BQGMIILDWGSJJW-QZTJIDSGSA-N 0 3 309.409 4.104 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@H]1CCc3c1cccc3C)CCO2 ZINC000678582418 1046663392 /nfs/dbraw/zinc/66/33/92/1046663392.db2.gz IIXDZPRQAGNGKC-PMACEKPBSA-N 0 3 323.436 4.494 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cccc(-c3nccs3)c1)C2 ZINC001140336207 1046663725 /nfs/dbraw/zinc/66/37/25/1046663725.db2.gz BMEKIIKXHGICNP-OAHLLOKOSA-N 0 3 306.381 4.041 20 0 DIADHN CC[C@H](NC[C@H]1OCCc2ccccc21)c1cc(F)ccc1F ZINC000678585748 1046664820 /nfs/dbraw/zinc/66/48/20/1046664820.db2.gz KLRJQFGTORYRCS-RBUKOAKNSA-N 0 3 317.379 4.320 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC000678594082 1046667774 /nfs/dbraw/zinc/66/77/74/1046667774.db2.gz BMJCVIDYXJXZKX-LIRRHRJNSA-N 0 3 317.404 4.263 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC000678594078 1046667969 /nfs/dbraw/zinc/66/79/69/1046667969.db2.gz BMJCVIDYXJXZKX-AUUYWEPGSA-N 0 3 317.404 4.263 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cnc(C)s1)CCC2 ZINC000678594589 1046669204 /nfs/dbraw/zinc/66/92/04/1046669204.db2.gz MPPRWKDPPNXKFR-BZNIZROVSA-N 0 3 302.443 4.188 20 0 DIADHN CC(C)C(C)(C)CN[C@@H](C)c1nc(Br)cs1 ZINC000834779911 1046670261 /nfs/dbraw/zinc/67/02/61/1046670261.db2.gz YLGXNLZQLXVTAX-VIFPVBQESA-N 0 3 305.285 4.238 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@H](OC)c1ccccc1Cl ZINC000678596835 1046670769 /nfs/dbraw/zinc/67/07/69/1046670769.db2.gz RPMRDWJJLXCZRH-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(C)cc32)cc1Cl ZINC000678598473 1046672810 /nfs/dbraw/zinc/67/28/10/1046672810.db2.gz ITDQFFLRZYRZKA-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1sc(N2CCCC2)nc1Cl ZINC001140366131 1046673620 /nfs/dbraw/zinc/67/36/20/1046673620.db2.gz QQKGSQOJZFSZCU-GFCCVEGCSA-N 0 3 313.898 4.017 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2nc(C)sc2C)c1 ZINC000678602330 1046674187 /nfs/dbraw/zinc/67/41/87/1046674187.db2.gz NXYADFPFWBYACN-CYBMUJFWSA-N 0 3 318.486 4.442 20 0 DIADHN COc1cccc(C(C)(C)CN[C@H](C)c2nc(C)sc2C)c1 ZINC000678603890 1046675223 /nfs/dbraw/zinc/67/52/23/1046675223.db2.gz ONQHAZSISYTQRU-GFCCVEGCSA-N 0 3 318.486 4.397 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)C2CCC2)nnn1-c1ccc(Cl)cc1 ZINC000678607711 1046676827 /nfs/dbraw/zinc/67/68/27/1046676827.db2.gz QNPWTEFWYPQFBF-RYUDHWBXSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4ccccc4sc32)ncn1 ZINC000678606576 1046677138 /nfs/dbraw/zinc/67/71/38/1046677138.db2.gz QBLYKDZNAVHZKO-MRXNPFEDSA-N 0 3 309.438 4.167 20 0 DIADHN C[C@H](CC(C)(C)c1c[nH]c2ccccc21)N[C@H]1CCn2ccnc21 ZINC000834832293 1046677525 /nfs/dbraw/zinc/67/75/25/1046677525.db2.gz NULXLCGSWIRLKL-KDOFPFPSSA-N 0 3 322.456 4.155 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1ccc(-c3ccccn3)cc1)C2 ZINC001140379928 1046677950 /nfs/dbraw/zinc/67/79/50/1046677950.db2.gz XHFOPCVFNPFDGZ-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN CCCCCn1cc(CN[C@H]2CCc3c2cccc3C)c(C)n1 ZINC000678609894 1046678715 /nfs/dbraw/zinc/67/87/15/1046678715.db2.gz AAVZDVCSOVGXFQ-FQEVSTJZSA-N 0 3 311.473 4.467 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N(C)CC3CCCC3)n2)cc1F ZINC000533260391 1046680342 /nfs/dbraw/zinc/68/03/42/1046680342.db2.gz OUJREAISXIYVOQ-ZDUSSCGKSA-N 0 3 317.408 4.367 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CCC[C@@H](C)CC1 ZINC000533247158 1046681298 /nfs/dbraw/zinc/68/12/98/1046681298.db2.gz SVYMRTTWMAWOOD-CVEARBPZSA-N 0 3 316.489 4.260 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000246020385 1046686989 /nfs/dbraw/zinc/68/69/89/1046686989.db2.gz KAAUUICFDFRDOA-GOPGUHFVSA-N 0 3 320.795 4.055 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1c(C)cccc1C ZINC000533290059 1046687223 /nfs/dbraw/zinc/68/72/23/1046687223.db2.gz NSYLLDCBVORGEL-RHSMWYFYSA-N 0 3 302.462 4.143 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H](c1ccc(C)cc1)C1CC1 ZINC000678685123 1046687233 /nfs/dbraw/zinc/68/72/33/1046687233.db2.gz FXKGLRGUYKBQTD-LJQANCHMSA-N 0 3 310.441 4.256 20 0 DIADHN CC1(C)CC[C@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001258596220 1046687130 /nfs/dbraw/zinc/68/71/30/1046687130.db2.gz FPEOKMASPDKDPJ-NSHDSACASA-N 0 3 300.229 4.344 20 0 DIADHN C[C@@H](CCC1CC1)NCc1nc2cc(Br)ccc2o1 ZINC000643884696 1046689019 /nfs/dbraw/zinc/68/90/19/1046689019.db2.gz APCGEBKILQJPTL-JTQLQIEISA-N 0 3 323.234 4.259 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N1CCC[C@@H](CC)CC1 ZINC000533299412 1046691057 /nfs/dbraw/zinc/69/10/57/1046691057.db2.gz KSRDXGAWCHJYCM-HZPDHXFCSA-N 0 3 302.462 4.088 20 0 DIADHN FC(F)(F)CCN1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000784484626 1046691693 /nfs/dbraw/zinc/69/16/93/1046691693.db2.gz GICKMPXWZKNEKN-LLVKDONJSA-N 0 3 311.269 4.447 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CCC[C@@H](CC)CC1 ZINC000533299413 1046691937 /nfs/dbraw/zinc/69/19/37/1046691937.db2.gz KSRDXGAWCHJYCM-JKSUJKDBSA-N 0 3 302.462 4.088 20 0 DIADHN CCN(C(=O)CN[C@H](C)c1ccc(C)cc1C)c1ccccc1 ZINC000678737892 1046692797 /nfs/dbraw/zinc/69/27/97/1046692797.db2.gz WDRGANHWRJEJPZ-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3cccc(Cl)n3)C2)c1 ZINC000784495622 1046693169 /nfs/dbraw/zinc/69/31/69/1046693169.db2.gz WIABHKVYNVVQOY-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3ccc(Cl)nc3)C2)c1 ZINC000784498702 1046693675 /nfs/dbraw/zinc/69/36/75/1046693675.db2.gz QIYNAJFBQAWYIP-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc(O)cc2)cc1OC ZINC000236862743 1046697994 /nfs/dbraw/zinc/69/79/94/1046697994.db2.gz SGHHWLQMOMLYTI-CQSZACIVSA-N 0 3 315.413 4.040 20 0 DIADHN CC[C@H](NCCc1cccc(-c2ccccc2)c1)c1nccn1C ZINC000678770505 1046698082 /nfs/dbraw/zinc/69/80/82/1046698082.db2.gz OXIBVLIYPKROSG-FQEVSTJZSA-N 0 3 319.452 4.371 20 0 DIADHN C[C@@]1(CN[C@H]2CCCOc3ccc(F)cc32)CC1(Cl)Cl ZINC000834885222 1046698297 /nfs/dbraw/zinc/69/82/97/1046698297.db2.gz UDAGYUSFSJSVEA-JSGCOSHPSA-N 0 3 318.219 4.213 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1c2ccccc2CC[C@@H]1C ZINC000678770102 1046698686 /nfs/dbraw/zinc/69/86/86/1046698686.db2.gz LCGMDYUVCUXPCP-JBYOLUDKSA-N 0 3 323.436 4.432 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccnn1-c1ccccc1 ZINC000834884954 1046699834 /nfs/dbraw/zinc/69/98/34/1046699834.db2.gz REZPOGXYLHMMCP-IUODEOHRSA-N 0 3 324.255 4.107 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](CC1CC1)c1ccccc1 ZINC000678777368 1046700161 /nfs/dbraw/zinc/70/01/61/1046700161.db2.gz SZLTXXZFLRMCNB-IBGZPJMESA-N 0 3 308.425 4.065 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cnccc2C)cc1Cl ZINC000834887318 1046701474 /nfs/dbraw/zinc/70/14/74/1046701474.db2.gz SAAQHEZQLCMBBL-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C(C)(C)C)c(C)o1 ZINC000533352293 1046702668 /nfs/dbraw/zinc/70/26/68/1046702668.db2.gz KSWOGNYCUOULOG-KRWDZBQOSA-N 0 3 315.413 4.252 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](NCC1CCCC1)c1ccccc1 ZINC000533350486 1046702945 /nfs/dbraw/zinc/70/29/45/1046702945.db2.gz TUBWESPXLOSQLO-IBGZPJMESA-N 0 3 308.425 4.146 20 0 DIADHN C[C@@H](NCCC[C@H]1CCCN1C(=O)OC(C)(C)C)c1ccco1 ZINC000834893486 1046704367 /nfs/dbraw/zinc/70/43/67/1046704367.db2.gz OEZWEYDMMDARGI-CABCVRRESA-N 0 3 322.449 4.110 20 0 DIADHN Fc1cccc(-c2ncc(CN3CCC[C@H](F)CC3)s2)c1 ZINC001140416478 1046705536 /nfs/dbraw/zinc/70/55/36/1046705536.db2.gz FVWFCXSJKWSFCP-ZDUSSCGKSA-N 0 3 308.397 4.273 20 0 DIADHN Fc1cccc(CC2CCN(Cc3n[nH]c4ccccc43)CC2)c1 ZINC001137350880 1046706087 /nfs/dbraw/zinc/70/60/87/1046706087.db2.gz JFRQGZRXXMQGLB-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN FC[C@@H]1CCCN(Cc2cnc(-c3cccc(F)c3)s2)C1 ZINC001140419241 1046707002 /nfs/dbraw/zinc/70/70/02/1046707002.db2.gz FWMHPLJIEPTWOH-LBPRGKRZSA-N 0 3 308.397 4.131 20 0 DIADHN CCc1nn(C)cc1CN(C)Cc1ccccc1-c1ccccc1 ZINC000678816478 1046709106 /nfs/dbraw/zinc/70/91/06/1046709106.db2.gz QJGHYRGVCTXJCL-UHFFFAOYSA-N 0 3 319.452 4.282 20 0 DIADHN CCc1nc(CN[C@H](Cc2ccccc2Cl)C2CC2)co1 ZINC000834900966 1046712325 /nfs/dbraw/zinc/71/23/25/1046712325.db2.gz XXQARYIEAZQXLF-MRXNPFEDSA-N 0 3 304.821 4.001 20 0 DIADHN CC(C)(CNCc1cc(-c2ccccc2)on1)c1ccccc1 ZINC000644412225 1046713285 /nfs/dbraw/zinc/71/32/85/1046713285.db2.gz LSIWECCEGIFYSO-UHFFFAOYSA-N 0 3 306.409 4.409 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cc(-c2ccc(C)cc2)no1 ZINC000533393123 1046714079 /nfs/dbraw/zinc/71/40/79/1046714079.db2.gz AWXIKOGJMQHNCH-CQSZACIVSA-N 0 3 304.459 4.223 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1nc(Br)cs1 ZINC000834910690 1046718736 /nfs/dbraw/zinc/71/87/36/1046718736.db2.gz WDXPFVCKFBKEQJ-BDAKNGLRSA-N 0 3 305.285 4.381 20 0 DIADHN CC(C)CC[C@@H](NC[C@H](O)c1ccccc1F)c1ccoc1 ZINC000796703782 1046720451 /nfs/dbraw/zinc/72/04/51/1046720451.db2.gz WBBMEGKFBKKFMV-MSOLQXFVSA-N 0 3 305.393 4.219 20 0 DIADHN COc1cc(F)cc(C[C@H](C)N[C@@H](C)c2cccnc2Cl)c1 ZINC000834913959 1046720869 /nfs/dbraw/zinc/72/08/69/1046720869.db2.gz RPAYIADFRXAKKL-RYUDHWBXSA-N 0 3 322.811 4.165 20 0 DIADHN c1ccc(C[C@@H]2CCN(Cc3nc4ccccc4s3)C2)cc1 ZINC000533430565 1046722046 /nfs/dbraw/zinc/72/20/46/1046722046.db2.gz KDJSMFGHWODBOM-INIZCTEOSA-N 0 3 308.450 4.361 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CCCCC1)c1ccc(=O)[nH]n1 ZINC000796718646 1046722241 /nfs/dbraw/zinc/72/22/41/1046722241.db2.gz XEQFXMPZAHAUDA-KUHUBIRLSA-N 0 3 311.429 4.154 20 0 DIADHN Cc1ccc(Cl)nc1CN(C)CCc1cccc(Cl)c1 ZINC001140444030 1046724953 /nfs/dbraw/zinc/72/49/53/1046724953.db2.gz JPUXHDUBVRBXCA-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C)nc1)c1ccc(Cl)cc1 ZINC000678881299 1046726070 /nfs/dbraw/zinc/72/60/70/1046726070.db2.gz DOADXYAZYKNXID-INIZCTEOSA-N 0 3 303.837 4.042 20 0 DIADHN Cc1csc(CN[C@H]2CCCC[C@@H]2Oc2ccccc2C)n1 ZINC000533445052 1046727349 /nfs/dbraw/zinc/72/73/49/1046727349.db2.gz WVSMTVZLUBRDQA-RDJZCZTQSA-N 0 3 316.470 4.240 20 0 DIADHN CC(C)C(NCc1nc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000678897950 1046727486 /nfs/dbraw/zinc/72/74/86/1046727486.db2.gz HESMHZLOKBMGQP-UHFFFAOYSA-N 0 3 307.825 4.160 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccsc2)no1)C1CCCCC1 ZINC000678896724 1046727594 /nfs/dbraw/zinc/72/75/94/1046727594.db2.gz QAKGOTRXFHRPAH-CQSZACIVSA-N 0 3 305.447 4.247 20 0 DIADHN CC(C)C(NCc1nnc(-c2ccccc2Cl)o1)C(C)C ZINC000678902752 1046730099 /nfs/dbraw/zinc/73/00/99/1046730099.db2.gz YUIXKUKDFROELB-UHFFFAOYSA-N 0 3 307.825 4.160 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(-c2cccnc2)cc1 ZINC000796778164 1046730294 /nfs/dbraw/zinc/73/02/94/1046730294.db2.gz GBAGMQVJTFRNET-AUUYWEPGSA-N 0 3 318.424 4.200 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccccc1-c1nccs1 ZINC001140454040 1046730482 /nfs/dbraw/zinc/73/04/82/1046730482.db2.gz SKSWORMXHSKYAX-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN Cc1ncccc1CN(C)Cc1ccccc1-c1nccs1 ZINC001140455020 1046733943 /nfs/dbraw/zinc/73/39/43/1046733943.db2.gz URRCOPMMTKOYRI-UHFFFAOYSA-N 0 3 309.438 4.146 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(C(C)C)no2)C12CCCCC2 ZINC000678926376 1046735278 /nfs/dbraw/zinc/73/52/78/1046735278.db2.gz AISNIUBJJXXTHK-IRXDYDNUSA-N 0 3 306.450 4.016 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnn(-c3ccc(F)cc3)c1)CC2 ZINC000678931047 1046736734 /nfs/dbraw/zinc/73/67/34/1046736734.db2.gz IMRNIOYDDAFBAZ-HXUWFJFHSA-N 0 3 321.399 4.097 20 0 DIADHN COc1ccc(-c2nc(CNCCCC3CC3)cs2)cc1 ZINC000678932172 1046739521 /nfs/dbraw/zinc/73/95/21/1046739521.db2.gz LSGHYITXGOCXIE-UHFFFAOYSA-N 0 3 302.443 4.099 20 0 DIADHN C[C@@H](NCc1ccc(N(C)C)nc1)c1cc2ccccc2s1 ZINC000678932248 1046739850 /nfs/dbraw/zinc/73/98/50/1046739850.db2.gz SQWBZCNUXDFPPO-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN COc1cc(F)cc(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001140478038 1046741077 /nfs/dbraw/zinc/74/10/77/1046741077.db2.gz VYHPIAMSIOOQQB-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCc1ccc2c[nH]nc2c1 ZINC000678939196 1046741925 /nfs/dbraw/zinc/74/19/25/1046741925.db2.gz OPSVSWGSBMOXPH-IBGZPJMESA-N 0 3 323.440 4.449 20 0 DIADHN C[C@@H](NCCOc1c(Cl)cccc1Cl)c1ccccn1 ZINC000678983874 1046748992 /nfs/dbraw/zinc/74/89/92/1046748992.db2.gz ZISFSSISHUIKJQ-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN FC1(F)CC[C@H](NCc2c(Cl)cccc2N2CCCC2)C1 ZINC000678994703 1046749178 /nfs/dbraw/zinc/74/91/78/1046749178.db2.gz RZAUGKNIKODURV-LBPRGKRZSA-N 0 3 314.807 4.218 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1ccc2c(c1)C(=O)CC2 ZINC001211994246 1046749368 /nfs/dbraw/zinc/74/93/68/1046749368.db2.gz OOKYEKPXTPTAQY-UHFFFAOYSA-N 0 3 308.425 4.401 20 0 DIADHN CC[C@@H](OCCNCc1cccc(Cl)c1N)c1ccccc1 ZINC001203042919 1046752956 /nfs/dbraw/zinc/75/29/56/1046752956.db2.gz HGNLGMNJYFADTN-QGZVFWFLSA-N 0 3 318.848 4.180 20 0 DIADHN C[C@@H]1CC2(CC(NCc3cc(Cl)sc3Cl)C2)CO1 ZINC000925292272 1046756073 /nfs/dbraw/zinc/75/60/73/1046756073.db2.gz GMBXYVZNXJTECE-NCZMNARYSA-N 0 3 306.258 4.102 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@@H]3c3ccccc3F)ccc21 ZINC001140507610 1046758633 /nfs/dbraw/zinc/75/86/33/1046758633.db2.gz JVLXEDYOKQVMQV-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN C[C@H](NCc1ccc(OC(C)(C)C)cc1)c1cccc(CO)c1 ZINC000679012636 1046759303 /nfs/dbraw/zinc/75/93/03/1046759303.db2.gz WCOGSYCPDSOSRK-HNNXBMFYSA-N 0 3 313.441 4.207 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1ccc2c(c1)CCC2=O ZINC001211995366 1046759307 /nfs/dbraw/zinc/75/93/07/1046759307.db2.gz AJPSCYGJGTZYAM-UHFFFAOYSA-N 0 3 308.425 4.401 20 0 DIADHN C[C@H](NCCCCCCOc1ccc(Cl)cc1)c1ncc[nH]1 ZINC000796943118 1046760452 /nfs/dbraw/zinc/76/04/52/1046760452.db2.gz KDPYCFJOHVURSN-AWEZNQCLSA-N 0 3 321.852 4.353 20 0 DIADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1cscc1Br ZINC001203043725 1046760966 /nfs/dbraw/zinc/76/09/66/1046760966.db2.gz UTMSIRUGTFNHAY-JOYOIKCWSA-N 0 3 302.281 4.425 20 0 DIADHN Cc1ncc([C@H](C)NC(C)(C)c2ccc(Cl)cc2)c(C)n1 ZINC000679028428 1046761284 /nfs/dbraw/zinc/76/12/84/1046761284.db2.gz NXXYJJMDTYIFSP-LBPRGKRZSA-N 0 3 303.837 4.333 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1nc2ccc(Cl)cc2n1C ZINC000679074624 1046769056 /nfs/dbraw/zinc/76/90/56/1046769056.db2.gz UDQUPPLETYDZMO-ONGXEEELSA-N 0 3 313.779 4.065 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccc(F)cc1OC(F)F ZINC000679091645 1046775176 /nfs/dbraw/zinc/77/51/76/1046775176.db2.gz HLXYCMMJWSKSRP-MJVIPROJSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c(C)c1 ZINC000645200979 1046778347 /nfs/dbraw/zinc/77/83/47/1046778347.db2.gz BKBBIJMRVUYXBN-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000797057020 1046782001 /nfs/dbraw/zinc/78/20/01/1046782001.db2.gz DPLXEMFSPHHZKH-VMDGZTHMSA-N 0 3 319.395 4.436 20 0 DIADHN CC[C@@H](Nc1ccc(CN2CCSCC2)cc1C)C1CC1 ZINC000679142905 1046786385 /nfs/dbraw/zinc/78/63/85/1046786385.db2.gz NDWDHZFIQTZCCI-QGZVFWFLSA-N 0 3 304.503 4.144 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2ccnc(Cl)c2)cc1 ZINC000797099763 1046789932 /nfs/dbraw/zinc/78/99/32/1046789932.db2.gz ONKDGNGQFOTALS-LBPRGKRZSA-N 0 3 306.862 4.449 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@@H](Sc2ccncc2)C1 ZINC001140592924 1046799178 /nfs/dbraw/zinc/79/91/78/1046799178.db2.gz HAUPNUTXLMLGAI-GOSISDBHSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cc(F)ccc3C)CC2)c1 ZINC001140594738 1046799702 /nfs/dbraw/zinc/79/97/02/1046799702.db2.gz MJWZIGAGJLASRJ-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2nc3c(s2)CCCC3)C12CCC2 ZINC000246510426 1046808839 /nfs/dbraw/zinc/80/88/39/1046808839.db2.gz TZHDUGHUNKPAPR-UHOFOFEASA-N 0 3 320.502 4.020 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H]1c2cc(C)ccc2C[C@@H]1C ZINC000679337611 1046816671 /nfs/dbraw/zinc/81/66/71/1046816671.db2.gz XZORFVCDGRMJCV-LIRRHRJNSA-N 0 3 310.441 4.039 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1c2cc(C)ccc2C[C@@H]1C ZINC000679344360 1046817769 /nfs/dbraw/zinc/81/77/69/1046817769.db2.gz SKBBDRJOSJIOSY-JBYOLUDKSA-N 0 3 323.436 4.350 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1c2cc(C)ccc2C[C@H]1C ZINC000679344362 1046819039 /nfs/dbraw/zinc/81/90/39/1046819039.db2.gz SKBBDRJOSJIOSY-RFVSGWPVSA-N 0 3 323.436 4.350 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCc2c(F)cccc2F)s1 ZINC000645800648 1046819213 /nfs/dbraw/zinc/81/92/13/1046819213.db2.gz CIFXKPXFKOEVAO-NSHDSACASA-N 0 3 310.413 4.274 20 0 DIADHN Cc1cccc(CN(CCC[C@@H]2CCOC2)Cc2ccccn2)c1 ZINC000645829255 1046822919 /nfs/dbraw/zinc/82/29/19/1046822919.db2.gz WDJWPHKBKBUOJV-LJQANCHMSA-N 0 3 324.468 4.209 20 0 DIADHN CC[C@](C)(NCCc1c(F)cccc1F)c1nc(C)cs1 ZINC000645832792 1046824452 /nfs/dbraw/zinc/82/44/52/1046824452.db2.gz QDPDSYPXAQCTNZ-INIZCTEOSA-N 0 3 310.413 4.187 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCC[C@@H]3CCC[C@H]32)o1 ZINC000247181998 1046826040 /nfs/dbraw/zinc/82/60/40/1046826040.db2.gz BJQXYUVACAADKS-SWLSCSKDSA-N 0 3 317.820 4.155 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CCC(C)(C)C[C@H]1C ZINC000247261138 1046827215 /nfs/dbraw/zinc/82/72/15/1046827215.db2.gz ZFQLLLJPUAJBAF-ILXRZTDVSA-N 0 3 304.482 4.041 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@@H]2CCC[C@H]2C1 ZINC000247499070 1046827332 /nfs/dbraw/zinc/82/73/32/1046827332.db2.gz XKYHUMQVOWXKNQ-ULQDDVLXSA-N 0 3 314.473 4.177 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(OCC2CC2)c(F)c1 ZINC000679393586 1046834603 /nfs/dbraw/zinc/83/46/03/1046834603.db2.gz HZJFXTWLCUOPEF-CYBMUJFWSA-N 0 3 315.388 4.171 20 0 DIADHN COc1cccc(-c2ccc(CNCc3ccc(O)cc3)cc2)c1 ZINC000679393461 1046837498 /nfs/dbraw/zinc/83/74/98/1046837498.db2.gz AJNRRBCXERLIGM-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@H](C)[C@H]1C ZINC000248344646 1046838941 /nfs/dbraw/zinc/83/89/41/1046838941.db2.gz LVMSJEVMRVUITD-IMJJTQAJSA-N 0 3 302.462 4.176 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](c2cccnc2)C1 ZINC000645982181 1046839153 /nfs/dbraw/zinc/83/91/53/1046839153.db2.gz CNQOWCYUFLOCHC-KBXCAEBGSA-N 0 3 302.368 4.300 20 0 DIADHN FC(F)(F)CCN(Cc1ccc2c(c1)OCO2)C1CCCC1 ZINC000679405968 1046839757 /nfs/dbraw/zinc/83/97/57/1046839757.db2.gz SLCJPMNFINDIDW-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@H](c2cccnc2)C1 ZINC000645982183 1046839659 /nfs/dbraw/zinc/83/96/59/1046839659.db2.gz CNQOWCYUFLOCHC-KSSFIOAISA-N 0 3 302.368 4.300 20 0 DIADHN Fc1ccccc1CN1CCC[C@H](c2nc3ccccc3[nH]2)C1 ZINC000036686157 1046840823 /nfs/dbraw/zinc/84/08/23/1046840823.db2.gz QGPSJMKSLKVWOD-HNNXBMFYSA-N 0 3 309.388 4.082 20 0 DIADHN Cc1cccc(C2(NCc3cc4ccccc4[nH]c3=O)CCC2)c1 ZINC000646021574 1046845399 /nfs/dbraw/zinc/84/53/99/1046845399.db2.gz MEZKGYJUYLZGQK-UHFFFAOYSA-N 0 3 318.420 4.418 20 0 DIADHN C[C@H]1CCN(Cc2cc3ccccc3[nH]c2=O)[C@H](c2ccco2)C1 ZINC000076184296 1046845705 /nfs/dbraw/zinc/84/57/05/1046845705.db2.gz NMPMMEDZJQEPFV-KSSFIOAISA-N 0 3 322.408 4.094 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1ccc3cccnc3c1)CCC2 ZINC000679484248 1046846206 /nfs/dbraw/zinc/84/62/06/1046846206.db2.gz KDDBQKADGHKSEA-OAHLLOKOSA-N 0 3 309.438 4.167 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N(C)C[C@H](C)c1nccs1 ZINC000248477196 1046846191 /nfs/dbraw/zinc/84/61/91/1046846191.db2.gz YOWOTNZLWCATJV-NWDGAFQWSA-N 0 3 301.415 4.081 20 0 DIADHN Cc1ccc(CN(C)Cc2cc(F)cc3cccnc32)s1 ZINC000076342216 1046854962 /nfs/dbraw/zinc/85/49/62/1046854962.db2.gz WAEMGYNTEQAGTM-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN CCOC(=O)c1ccc(CN(C(C)C)[C@@H](C)c2ccccc2)[nH]1 ZINC001140695079 1046856354 /nfs/dbraw/zinc/85/63/54/1046856354.db2.gz SASWVDYUALYQCA-HNNXBMFYSA-N 0 3 314.429 4.163 20 0 DIADHN OCc1ccc(CN2CC3(CC=CC3)[C@H]2c2ccccc2)cc1 ZINC000646118454 1046861355 /nfs/dbraw/zinc/86/13/55/1046861355.db2.gz KBEYEFURIVLOME-HXUWFJFHSA-N 0 3 305.421 4.072 20 0 DIADHN Cc1ccc(C2(NCC(=O)Nc3c(C)cccc3C)CCC2)cc1 ZINC000646130746 1046866736 /nfs/dbraw/zinc/86/67/36/1046866736.db2.gz FHZHLTIDLZUZGY-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN CN(CCOC(c1ccccc1)c1ccccc1)Cc1cc[nH]c1 ZINC001140707648 1046867631 /nfs/dbraw/zinc/86/76/31/1046867631.db2.gz XDXOFBBLXCZKNN-UHFFFAOYSA-N 0 3 320.436 4.253 20 0 DIADHN FC(F)(F)c1ccc(O[C@H]2CCCN(Cc3cc[nH]c3)C2)cc1 ZINC001140706014 1046868466 /nfs/dbraw/zinc/86/84/66/1046868466.db2.gz ITPKKSHSCNGCEM-INIZCTEOSA-N 0 3 324.346 4.077 20 0 DIADHN Cc1cc(CNCc2cccc(-c3cccnc3)c2)cc(Cl)n1 ZINC000797602514 1046869150 /nfs/dbraw/zinc/86/91/50/1046869150.db2.gz RSADLXCDXHEKAC-UHFFFAOYSA-N 0 3 323.827 4.395 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc(Cl)ccc2N)cc1 ZINC001140717552 1046871977 /nfs/dbraw/zinc/87/19/77/1046871977.db2.gz CXTCTOWPRGUSKQ-GFCCVEGCSA-N 0 3 304.821 4.124 20 0 DIADHN OCC1([C@@H](NCc2cc3ccccc3[nH]2)c2ccccc2)CCC1 ZINC000646230146 1046875010 /nfs/dbraw/zinc/87/50/10/1046875010.db2.gz LEGSZOMRPLXJDU-FQEVSTJZSA-N 0 3 320.436 4.161 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3n[nH]c4ccccc43)C2)c1 ZINC000646230081 1046875095 /nfs/dbraw/zinc/87/50/95/1046875095.db2.gz BQOQMDSLDMHTNE-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3[nH]nc4ccccc43)C2)c1 ZINC000646230081 1046875100 /nfs/dbraw/zinc/87/51/00/1046875100.db2.gz BQOQMDSLDMHTNE-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H](O)c2ccc3ccccc3c2)o1 ZINC000237369784 1046875582 /nfs/dbraw/zinc/87/55/82/1046875582.db2.gz NRUNHYSJACDYPI-VOBQZIQPSA-N 0 3 321.420 4.379 20 0 DIADHN COc1ccc(CN2C[C@@H](C(F)(F)F)CC[C@H]2C)cc1C ZINC000679582820 1046877611 /nfs/dbraw/zinc/87/76/11/1046877611.db2.gz ACPHKGZGNOFDBK-OCCSQVGLSA-N 0 3 301.352 4.166 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1cccc(-n2cccn2)c1 ZINC000679584452 1046879671 /nfs/dbraw/zinc/87/96/71/1046879671.db2.gz PGYBMCZHURSVFP-UKRRQHHQSA-N 0 3 323.362 4.035 20 0 DIADHN CCN(Cc1ccc(C(C)(C)C)[nH]1)Cc1ccc(OC)cc1 ZINC001137379166 1046879811 /nfs/dbraw/zinc/87/98/11/1046879811.db2.gz NAEMFYZJHUJRGW-UHFFFAOYSA-N 0 3 300.446 4.343 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccnc2ccccc12 ZINC000679584535 1046880260 /nfs/dbraw/zinc/88/02/60/1046880260.db2.gz SPMSOJSMGJQYEO-GXTWGEPZSA-N 0 3 308.347 4.398 20 0 DIADHN CC(C)CCn1cccc1CN[C@@H](CCCO)c1ccccc1 ZINC000077466847 1046883374 /nfs/dbraw/zinc/88/33/74/1046883374.db2.gz CKSIVFSKJKGCBZ-FQEVSTJZSA-N 0 3 314.473 4.138 20 0 DIADHN Clc1ccccc1OCc1ccccc1CNC1CSC1 ZINC000679625119 1046883888 /nfs/dbraw/zinc/88/38/88/1046883888.db2.gz CMMQBSJZILRWGT-UHFFFAOYSA-N 0 3 319.857 4.124 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@@H](C)NC1CSC1 ZINC000679626092 1046887321 /nfs/dbraw/zinc/88/73/21/1046887321.db2.gz RGKKTQNXPRHJRP-LLVKDONJSA-N 0 3 304.484 4.193 20 0 DIADHN CC(C)OCCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000679639025 1046887650 /nfs/dbraw/zinc/88/76/50/1046887650.db2.gz ZPDJBCYUADJVCX-SFHVURJKSA-N 0 3 303.833 4.444 20 0 DIADHN COc1ccc(CN(C)C2CCCCC2)c(Br)c1 ZINC001140755954 1046888818 /nfs/dbraw/zinc/88/88/18/1046888818.db2.gz ZOMFNQPKIWTEIW-UHFFFAOYSA-N 0 3 312.251 4.222 20 0 DIADHN CC[C@H](NCc1ccc(C)nc1N1CCCC1)c1cccs1 ZINC000679723392 1046895807 /nfs/dbraw/zinc/89/58/07/1046895807.db2.gz KTNSFTJJFNRYAH-INIZCTEOSA-N 0 3 315.486 4.293 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccccc2C)c(N2CCCC2)n1 ZINC000679723508 1046895874 /nfs/dbraw/zinc/89/58/74/1046895874.db2.gz MDORDFVLOUPHKY-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@H](Sc2ccncc2)C1 ZINC001140782072 1046895919 /nfs/dbraw/zinc/89/59/19/1046895919.db2.gz DUOAMXXBCLPEIA-SFHVURJKSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1ccc(CN[C@H]2c3ccccc3C[C@H]2C)c(N2CCCC2)n1 ZINC000679722769 1046896288 /nfs/dbraw/zinc/89/62/88/1046896288.db2.gz FREDSBSZMPJWLC-FOIQADDNSA-N 0 3 321.468 4.013 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(C)cc1OC ZINC000319972635 1046897016 /nfs/dbraw/zinc/89/70/16/1046897016.db2.gz WQCARSXMHIWKOG-INIZCTEOSA-N 0 3 313.441 4.391 20 0 DIADHN Cc1cccc(O[C@@H]2CCCN(Cc3coc4ccccc34)C2)n1 ZINC001140786290 1046897534 /nfs/dbraw/zinc/89/75/34/1046897534.db2.gz KMUVTBKGEYXGGI-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN CC(C)OCCN(CCOC(C)C)Cc1coc2ccccc12 ZINC001140785380 1046897705 /nfs/dbraw/zinc/89/77/05/1046897705.db2.gz BZAQHNRIQAKQIN-UHFFFAOYSA-N 0 3 319.445 4.085 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(C)nc1N1CCCC1)CC2 ZINC000679724054 1046899010 /nfs/dbraw/zinc/89/90/10/1046899010.db2.gz QLIMCORZPPYGGT-FQEVSTJZSA-N 0 3 321.468 4.076 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1cc2ccccc2[nH]1 ZINC000679769283 1046901921 /nfs/dbraw/zinc/90/19/21/1046901921.db2.gz YFZUEBWHJBGJSG-SFHVURJKSA-N 0 3 310.372 4.311 20 0 DIADHN Cc1cccc(C)c1CNC[C@H](OC1CCCC1)C(F)(F)F ZINC001203067322 1046905781 /nfs/dbraw/zinc/90/57/81/1046905781.db2.gz DMJXDLOTDKRIAP-INIZCTEOSA-N 0 3 315.379 4.283 20 0 DIADHN Cn1cccc1CNCc1ccc(COCc2ccccc2)cc1 ZINC000161643133 1046909015 /nfs/dbraw/zinc/90/90/15/1046909015.db2.gz OVPPKMULGABCOC-UHFFFAOYSA-N 0 3 320.436 4.032 20 0 DIADHN CO[C@H]1CCCN([C@H](C)c2cccc(Br)c2)CC1 ZINC000679952828 1046915185 /nfs/dbraw/zinc/91/51/85/1046915185.db2.gz DOLWRCZWZRCGKQ-DOMZBBRYSA-N 0 3 312.251 4.011 20 0 DIADHN c1nn(-c2ccccc2)cc1CN1CCC[C@@H]1c1ccccc1 ZINC000253599561 1046922721 /nfs/dbraw/zinc/92/27/21/1046922721.db2.gz NRFCVDHFPWPSEJ-HXUWFJFHSA-N 0 3 303.409 4.209 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000680003715 1046925584 /nfs/dbraw/zinc/92/55/84/1046925584.db2.gz LLXBXAHQRPVXCH-HNNXBMFYSA-N 0 3 301.386 4.073 20 0 DIADHN CC[C@H](O)[C@@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000303519103 1046927825 /nfs/dbraw/zinc/92/78/25/1046927825.db2.gz DUSKXNSOGHSIOF-IRXDYDNUSA-N 0 3 318.848 4.014 20 0 DIADHN Cc1ccc(NC(=O)/C(F)=C\C2CCCCC2)c(CN(C)C)c1 ZINC000798170538 1046931756 /nfs/dbraw/zinc/93/17/56/1046931756.db2.gz PWFRKNHYCPGQGV-SFQUDFHCSA-N 0 3 318.436 4.429 20 0 DIADHN CCN(Cc1[nH]cc(C)c1C)Cc1cccc(Br)c1 ZINC001140861448 1046934058 /nfs/dbraw/zinc/93/40/58/1046934058.db2.gz HOWZNVAGVXZGPN-UHFFFAOYSA-N 0 3 321.262 4.416 20 0 DIADHN Nc1cccc(F)c1CN1CCC(CCc2ccccc2)CC1 ZINC001140874639 1046936933 /nfs/dbraw/zinc/93/69/33/1046936933.db2.gz DMGJLKIOWSFLDC-UHFFFAOYSA-N 0 3 312.432 4.253 20 0 DIADHN CCc1nn(C)c(Cl)c1CNCC(C)(C)c1ccc(C)cc1 ZINC000303606667 1046937745 /nfs/dbraw/zinc/93/77/45/1046937745.db2.gz AZJSEJDXZUMBCY-UHFFFAOYSA-N 0 3 319.880 4.012 20 0 DIADHN Fc1cc(CN2C[C@@H]3CCC[C@H]3C2)cc(F)c1C(F)(F)F ZINC001140877475 1046940048 /nfs/dbraw/zinc/94/00/48/1046940048.db2.gz ARSGVIUDHJYQBQ-QWRGUYRKSA-N 0 3 305.290 4.216 20 0 DIADHN CCc1nnc(CN2CCC[C@H]2[C@H](CC)c2ccccc2)s1 ZINC000680051600 1046942168 /nfs/dbraw/zinc/94/21/68/1046942168.db2.gz STTRBDPRNUIUQS-CVEARBPZSA-N 0 3 315.486 4.259 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(Cl)cc2)cc1N ZINC001140898902 1046947152 /nfs/dbraw/zinc/94/71/52/1046947152.db2.gz CTOBROHTWCGGLB-KRWDZBQOSA-N 0 3 316.832 4.268 20 0 DIADHN CC1(NCc2csc(-c3ccccn3)n2)CCC(F)(F)CC1 ZINC000680136073 1046951700 /nfs/dbraw/zinc/95/17/00/1046951700.db2.gz BAYNZXXRERUKSI-UHFFFAOYSA-N 0 3 323.412 4.263 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2ccc(Cl)nc2)C1 ZINC000303740209 1046954865 /nfs/dbraw/zinc/95/48/65/1046954865.db2.gz IFFVNWHGGSRFNL-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2Cc2cnc(N)s2)cc1 ZINC000261882896 1046955076 /nfs/dbraw/zinc/95/50/76/1046955076.db2.gz RIOJIWGBJLGEEE-INIZCTEOSA-N 0 3 301.459 4.186 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140941708 1046955294 /nfs/dbraw/zinc/95/52/94/1046955294.db2.gz SSUMDTRDFRRMDJ-GOSISDBHSA-N 0 3 318.848 4.130 20 0 DIADHN CCO[C@H]1CCCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140944570 1046957134 /nfs/dbraw/zinc/95/71/34/1046957134.db2.gz JUZQZXAYCNVYPN-SFHVURJKSA-N 0 3 318.848 4.132 20 0 DIADHN CCCO[C@@H]1CCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140942067 1046957956 /nfs/dbraw/zinc/95/79/56/1046957956.db2.gz KKVWEGOLAWGDFE-GOSISDBHSA-N 0 3 318.848 4.132 20 0 DIADHN COc1cc(CNC2(c3cccc(F)c3)CCC2)cc(OC)c1 ZINC000647233403 1046959184 /nfs/dbraw/zinc/95/91/84/1046959184.db2.gz PJFNILSCAWJNGX-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2ccc(C)nc2C)c1 ZINC000615095114 1046959442 /nfs/dbraw/zinc/95/94/42/1046959442.db2.gz VOTHMAHNLBODGY-AWEZNQCLSA-N 0 3 300.471 4.412 20 0 DIADHN COc1ccc(CNC2(c3ccc(C)cc3)CCC2)cc1OC ZINC000647255329 1046962393 /nfs/dbraw/zinc/96/23/93/1046962393.db2.gz UGPBNCSTQMZOHC-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Cc1ccc(C2(NCc3cnc4c(C)cccn34)CCC2)cc1 ZINC000647255111 1046962780 /nfs/dbraw/zinc/96/27/80/1046962780.db2.gz GAYQCMBILJKEAE-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC([C@H]2CCOC2)CC1 ZINC000680333187 1046965563 /nfs/dbraw/zinc/96/55/63/1046965563.db2.gz KGKQAQAZAMUBBU-AWEZNQCLSA-N 0 3 319.832 4.335 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3ncccc3C(F)(F)F)C2)c1 ZINC000680335191 1046965625 /nfs/dbraw/zinc/96/56/25/1046965625.db2.gz VNMSASAWNBTDEJ-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000680337610 1046965912 /nfs/dbraw/zinc/96/59/12/1046965912.db2.gz SBJVDTZYXSPMAA-KBPBESRZSA-N 0 3 307.397 4.263 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3ncccc3C(F)(F)F)C2)c1 ZINC000680335192 1046966340 /nfs/dbraw/zinc/96/63/40/1046966340.db2.gz VNMSASAWNBTDEJ-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000680337612 1046966367 /nfs/dbraw/zinc/96/63/67/1046966367.db2.gz SBJVDTZYXSPMAA-ZIAGYGMSSA-N 0 3 307.397 4.263 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3cnc4ccccc4c3)C2)c1 ZINC000680333952 1046966800 /nfs/dbraw/zinc/96/68/00/1046966800.db2.gz IKYDKQDQCFGQGV-IBGZPJMESA-N 0 3 322.383 4.027 20 0 DIADHN COc1ccc([C@@H](CC(C)C)NCc2scnc2C)cc1 ZINC000647288205 1046967830 /nfs/dbraw/zinc/96/78/30/1046967830.db2.gz SLCVZJJQEVYYFH-MRXNPFEDSA-N 0 3 304.459 4.337 20 0 DIADHN Fc1cccc([C@H](NC2CCC(F)(F)CC2)c2ccccn2)c1 ZINC000680344850 1046967829 /nfs/dbraw/zinc/96/78/29/1046967829.db2.gz URHQACYPQVCXIH-KRWDZBQOSA-N 0 3 320.358 4.478 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cccc(-c2ncccn2)c1 ZINC001140981799 1046968165 /nfs/dbraw/zinc/96/81/65/1046968165.db2.gz XIBJKBJHOPZTBL-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN CCOc1ccc(CN[C@@H](CC(C)C)c2ccncc2)cc1F ZINC000647288253 1046968377 /nfs/dbraw/zinc/96/83/77/1046968377.db2.gz VSNCWUXOXBLCQF-SFHVURJKSA-N 0 3 316.420 4.496 20 0 DIADHN C[C@H](NCCCc1ccc(Cl)cc1)c1cc2n(n1)CCCC2 ZINC000647292611 1046969653 /nfs/dbraw/zinc/96/96/53/1046969653.db2.gz ZTWXBGUMGVJOOT-AWEZNQCLSA-N 0 3 317.864 4.156 20 0 DIADHN CC[C@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1cccc(Cl)c1 ZINC000680350503 1046970521 /nfs/dbraw/zinc/97/05/21/1046970521.db2.gz IRDZDSSJQSPEHJ-AWEZNQCLSA-N 0 3 315.804 4.163 20 0 DIADHN c1cc(-c2ccccn2)sc1CN1CC(c2ccccc2)C1 ZINC001141002463 1046975209 /nfs/dbraw/zinc/97/52/09/1046975209.db2.gz ZXMQXCQJNZWFNY-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCCOc1ccc(Cl)cc1 ZINC000680381237 1046976708 /nfs/dbraw/zinc/97/67/08/1046976708.db2.gz PAKPHKGAZHPOOX-NSHDSACASA-N 0 3 308.809 4.065 20 0 DIADHN CC[C@@H]1C[C@H](NCc2cc(F)c(C(F)(F)F)cc2F)CCO1 ZINC000648588294 1046976858 /nfs/dbraw/zinc/97/68/58/1046976858.db2.gz GBSRDMBKHNAMQI-GHMZBOCLSA-N 0 3 323.305 4.031 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1ccc(CO)cc1 ZINC000647322864 1046977016 /nfs/dbraw/zinc/97/70/16/1046977016.db2.gz RPARJJUMAADNKE-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN CCn1cc(CN[C@@H](C)c2ccc(-c3ccccc3)s2)cn1 ZINC000680466102 1046983933 /nfs/dbraw/zinc/98/39/33/1046983933.db2.gz ZEKUQXYTECOYOA-AWEZNQCLSA-N 0 3 311.454 4.482 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2OCCc3ccccc32)C2CCC2)o1 ZINC000680463764 1046984168 /nfs/dbraw/zinc/98/41/68/1046984168.db2.gz FNATUPRRRIHWDH-PMACEKPBSA-N 0 3 311.425 4.333 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2OCCc3ccccc32)C2CCC2)o1 ZINC000680463766 1046984247 /nfs/dbraw/zinc/98/42/47/1046984247.db2.gz FNATUPRRRIHWDH-VQTJNVASSA-N 0 3 311.425 4.333 20 0 DIADHN Cc1ccncc1CN1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001141036314 1046986935 /nfs/dbraw/zinc/98/69/35/1046986935.db2.gz UDIZRIZPUNXPKL-SFHVURJKSA-N 0 3 316.832 4.087 20 0 DIADHN c1ccc([C@@H](N[C@H]2CCCc3cccnc32)C2CCOCC2)cc1 ZINC000798929504 1046987102 /nfs/dbraw/zinc/98/71/02/1046987102.db2.gz UZVCWJJOHJNOET-VQTJNVASSA-N 0 3 322.452 4.217 20 0 DIADHN c1ccc([C@@H](N[C@@H]2CCCc3cccnc32)C2CCOCC2)cc1 ZINC000798929505 1046987355 /nfs/dbraw/zinc/98/73/55/1046987355.db2.gz UZVCWJJOHJNOET-WOJBJXKFSA-N 0 3 322.452 4.217 20 0 DIADHN C[C@@H](NCC1CCC(F)CC1)c1ncc(Br)s1 ZINC000647350217 1046989639 /nfs/dbraw/zinc/98/96/39/1046989639.db2.gz ZXASVQTYDVZWMF-XNWIYYODSA-N 0 3 321.259 4.085 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccc(C)cc3)CCC2)cc1O ZINC000647353115 1046991317 /nfs/dbraw/zinc/99/13/17/1046991317.db2.gz SFXUSVVRYQXMPR-HNNXBMFYSA-N 0 3 311.425 4.439 20 0 DIADHN C[C@@H](NCC1CCC(F)CC1)c1nc(C(F)(F)F)cs1 ZINC000647349815 1046991331 /nfs/dbraw/zinc/99/13/31/1046991331.db2.gz IFCJUOPWMHXHDZ-XNWIYYODSA-N 0 3 310.360 4.341 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccn3ccnc3c2)cc1 ZINC001141046378 1046991225 /nfs/dbraw/zinc/99/12/25/1046991225.db2.gz YEBZMDSJXQPUHF-IBGZPJMESA-N 0 3 321.424 4.070 20 0 DIADHN CC[C@H]1CCCN1Cc1cc2cc(OC)ccc2nc1Cl ZINC001141064158 1046995936 /nfs/dbraw/zinc/99/59/36/1046995936.db2.gz CVIZZDIKBVRSLF-AWEZNQCLSA-N 0 3 304.821 4.271 20 0 DIADHN C[C@H]1CCCCN(Cc2ccncc2NC(=O)OC(C)(C)C)C1 ZINC001141082356 1046999802 /nfs/dbraw/zinc/99/98/02/1046999802.db2.gz GMSIQPAWMNDJII-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN C[C@@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)[C@@H]1C ZINC001141086018 1047002067 /nfs/dbraw/zinc/00/20/67/1047002067.db2.gz VUISQYUESFBXPE-ZIAGYGMSSA-N 0 3 319.449 4.049 20 0 DIADHN C[C@@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)[C@H]1C ZINC001141086015 1047002838 /nfs/dbraw/zinc/00/28/38/1047002838.db2.gz VUISQYUESFBXPE-KGLIPLIRSA-N 0 3 319.449 4.049 20 0 DIADHN CCCN(Cc1ccc(N)nc1)[C@H](C)c1cc2ccccc2o1 ZINC000647514360 1047006549 /nfs/dbraw/zinc/00/65/49/1047006549.db2.gz AQEWQHKHHQKHKV-CQSZACIVSA-N 0 3 309.413 4.214 20 0 DIADHN Cc1ccc(-c2cc(CN3CC[C@@H](c4ccccn4)C3)on2)cc1 ZINC000799191128 1047008767 /nfs/dbraw/zinc/00/87/67/1047008767.db2.gz WXVGJFFPABCWKY-QGZVFWFLSA-N 0 3 319.408 4.035 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cn(C)nc1C)c1ccc(Cl)cc1 ZINC000533756160 1047012178 /nfs/dbraw/zinc/01/21/78/1047012178.db2.gz KNRPYNGIDJOWHE-SJKOYZFVSA-N 0 3 305.853 4.259 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc(OC(C)=O)cc1 ZINC000799340051 1047018043 /nfs/dbraw/zinc/01/80/43/1047018043.db2.gz BQNYUZVLGMNDPO-ZDUSSCGKSA-N 0 3 315.438 4.185 20 0 DIADHN Cn1c(Cl)cnc1CNC1CCC(C)(c2ccccc2)CC1 ZINC000799339463 1047019879 /nfs/dbraw/zinc/01/98/79/1047019879.db2.gz PKRUETKHNSUYNF-UHFFFAOYSA-N 0 3 317.864 4.064 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@]4(CC4(F)F)C3)ccn2)cc1 ZINC001141118347 1047020689 /nfs/dbraw/zinc/02/06/89/1047020689.db2.gz VARSFNGFBBBNGI-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1cccc(Cl)c1F ZINC001203097298 1047023322 /nfs/dbraw/zinc/02/33/22/1047023322.db2.gz AREUFHXDYJLSPC-UHFFFAOYSA-N 0 3 301.833 4.146 20 0 DIADHN COc1ccc2nccc(CN3CCC[C@H]4CCCC[C@@H]43)c2c1 ZINC001141151103 1047026402 /nfs/dbraw/zinc/02/64/02/1047026402.db2.gz WJXIRBWCAMPIFZ-QRWLVFNGSA-N 0 3 310.441 4.398 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN(C)[C@@H](C)c2cccs2)o1 ZINC000648001750 1047028069 /nfs/dbraw/zinc/02/80/69/1047028069.db2.gz HOTATEHNHABMFV-ZDUSSCGKSA-N 0 3 315.442 4.243 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(Cc2ccsc2)CC1 ZINC000533810409 1047028964 /nfs/dbraw/zinc/02/89/64/1047028964.db2.gz CTRXWLSCLCNJFF-SFHVURJKSA-N 0 3 300.471 4.017 20 0 DIADHN COc1ccc(CN2CC(C)(CC(F)F)C2)c2ccccc12 ZINC000648058725 1047030112 /nfs/dbraw/zinc/03/01/12/1047030112.db2.gz ZTXURNNVHDANMA-UHFFFAOYSA-N 0 3 305.368 4.326 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OCc2ccccc23)c(F)c1 ZINC001141194584 1047041649 /nfs/dbraw/zinc/04/16/49/1047041649.db2.gz DQQMQQBQYMPWNL-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc(-c2ncccn2)cc1 ZINC001141188124 1047041869 /nfs/dbraw/zinc/04/18/69/1047041869.db2.gz UTDYXNUVHIVXOU-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN Brc1cccc([C@H]2CCCN2[C@H]2CCSC2)c1 ZINC001255290517 1047043542 /nfs/dbraw/zinc/04/35/42/1047043542.db2.gz GSMXKSFNUXUMRX-UONOGXRCSA-N 0 3 312.276 4.092 20 0 DIADHN Fc1cccc(C[C@H]2CCCN2Cc2cnc(C3CC3)s2)c1 ZINC000536654034 1047044323 /nfs/dbraw/zinc/04/43/23/1047044323.db2.gz DEPZWYVVJOCQBN-MRXNPFEDSA-N 0 3 316.445 4.367 20 0 DIADHN Cc1nc(CNC[C@H](C)c2ccc(C(F)(F)F)cc2)cs1 ZINC000191156163 1047044572 /nfs/dbraw/zinc/04/45/72/1047044572.db2.gz IAVCWUCDUDZSJP-JTQLQIEISA-N 0 3 314.376 4.364 20 0 DIADHN COc1ccccc1SCCN(C)Cc1ccc(F)cc1 ZINC000680809218 1047046775 /nfs/dbraw/zinc/04/67/75/1047046775.db2.gz IWMQJZIDZNCPNJ-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN COCc1ccc(SCCN(C)Cc2ccc(F)cc2)cc1 ZINC000680809377 1047047496 /nfs/dbraw/zinc/04/74/96/1047047496.db2.gz MGRUMQJWOARAHQ-UHFFFAOYSA-N 0 3 319.445 4.196 20 0 DIADHN CN(Cc1ccc(-c2ccc(C(F)(F)F)cc2)nc1)C1CC1 ZINC001141216124 1047048674 /nfs/dbraw/zinc/04/86/74/1047048674.db2.gz HGEBLDTUVFKIIY-UHFFFAOYSA-N 0 3 306.331 4.362 20 0 DIADHN C[C@H]1CCN1Cc1ccc(-c2ccc(C(F)(F)F)cc2)nc1 ZINC001141216565 1047048834 /nfs/dbraw/zinc/04/88/34/1047048834.db2.gz WEAVDLBVSHCGOT-LBPRGKRZSA-N 0 3 306.331 4.362 20 0 DIADHN CC(C)COC1CCN(Cc2cc3cc(Cl)cnc3o2)CC1 ZINC000648361956 1047049231 /nfs/dbraw/zinc/04/92/31/1047049231.db2.gz XMYSRGCMCUHJNW-UHFFFAOYSA-N 0 3 322.836 4.118 20 0 DIADHN COc1ccc(CN(Cc2ccc(C)c(C)c2)C2CC2)cc1O ZINC000536660677 1047052903 /nfs/dbraw/zinc/05/29/03/1047052903.db2.gz CFHQTNZHOFFQOQ-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN Cc1cc(CN(C)Cc2ccc3c(c2)OCO3)ccc1Cl ZINC001141236291 1047059699 /nfs/dbraw/zinc/05/96/99/1047059699.db2.gz QZACQMYLRZISDZ-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)[C@@H](C)C1 ZINC000799738173 1047060604 /nfs/dbraw/zinc/06/06/04/1047060604.db2.gz SRUHSVFULQVNNP-WCQYABFASA-N 0 3 319.392 4.298 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@H](Cc2ccncc2)C1 ZINC000680892349 1047063445 /nfs/dbraw/zinc/06/34/45/1047063445.db2.gz XOORDJSXMAHXQW-UKRRQHHQSA-N 0 3 318.823 4.500 20 0 DIADHN CCN(Cc1cccc(-n2ccnc2)c1)Cc1ccccc1F ZINC001141244984 1047063719 /nfs/dbraw/zinc/06/37/19/1047063719.db2.gz ZGXOLBJARJYCSS-UHFFFAOYSA-N 0 3 309.388 4.034 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccc(F)c(C)c1)CCC2 ZINC000536671358 1047065045 /nfs/dbraw/zinc/06/50/45/1047065045.db2.gz DPMKBCUXBKGGNM-MRXNPFEDSA-N 0 3 304.434 4.408 20 0 DIADHN CN(C)Cc1c2ccccc2oc1C(=O)N(C)CCC(C)(C)C ZINC000648543943 1047065790 /nfs/dbraw/zinc/06/57/90/1047065790.db2.gz DUOCVRGLKGVQAW-UHFFFAOYSA-N 0 3 316.445 4.003 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CC[C@@H]1Nc1ncc(Cl)s1 ZINC000680895328 1047066424 /nfs/dbraw/zinc/06/64/24/1047066424.db2.gz KYMHZYOTBLLPAV-OCCSQVGLSA-N 0 3 321.877 4.119 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2ccc(C(F)(F)F)cc2)c1 ZINC001141268686 1047069963 /nfs/dbraw/zinc/06/99/63/1047069963.db2.gz ZQSRINXHTFLHOS-UHFFFAOYSA-N 0 3 322.374 4.403 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cc2cc(Cl)cnc2o1 ZINC000648587565 1047071578 /nfs/dbraw/zinc/07/15/78/1047071578.db2.gz ITCLAMSUEHSDTR-MRXNPFEDSA-N 0 3 315.804 4.459 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc2c1CCCC2)N1CCCCC1 ZINC001141287223 1047077871 /nfs/dbraw/zinc/07/78/71/1047077871.db2.gz PTIFIWDIMIVMCW-IBGZPJMESA-N 0 3 314.473 4.014 20 0 DIADHN Clc1cccc(CN2CCC(Cc3cccnc3)CC2)c1 ZINC000536707667 1047080326 /nfs/dbraw/zinc/08/03/26/1047080326.db2.gz JJZYDSCZWQMGIE-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN C[C@@H]1CN(Cc2cccc(-n3cccn3)c2)[C@H]1c1ccccc1 ZINC000536708646 1047080467 /nfs/dbraw/zinc/08/04/67/1047080467.db2.gz KWXSYBOVQBTQKK-OXQOHEQNSA-N 0 3 303.409 4.065 20 0 DIADHN CCc1nnc(CNC2(Cc3ccccc3)CCCCC2)s1 ZINC000681031921 1047084548 /nfs/dbraw/zinc/08/45/48/1047084548.db2.gz QJRJEAMOMHNNPR-UHFFFAOYSA-N 0 3 315.486 4.136 20 0 DIADHN FC(F)(F)c1cc(CNCc2ccccc2C2CC2)ccn1 ZINC000648706127 1047086821 /nfs/dbraw/zinc/08/68/21/1047086821.db2.gz PYRRFZDMGKKHNN-UHFFFAOYSA-N 0 3 306.331 4.268 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141317353 1047087523 /nfs/dbraw/zinc/08/75/23/1047087523.db2.gz WHMXSXNOXXMMLH-INIZCTEOSA-N 0 3 313.372 4.029 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1ccccc1Cl ZINC000191506636 1047088135 /nfs/dbraw/zinc/08/81/35/1047088135.db2.gz BPPFWDFOFUNJTF-UHFFFAOYSA-N 0 3 305.853 4.011 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000536743576 1047089033 /nfs/dbraw/zinc/08/90/33/1047089033.db2.gz JEDRZTWHFQSZMX-BXUZGUMPSA-N 0 3 305.809 4.153 20 0 DIADHN COC[C@H]1CCCCN(Cc2cc(Cl)cc(Cl)c2)C1 ZINC000681084386 1047091928 /nfs/dbraw/zinc/09/19/28/1047091928.db2.gz GHQUCMCUYBODBP-LBPRGKRZSA-N 0 3 302.245 4.242 20 0 DIADHN CC(C)[C@H](NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000681108662 1047095856 /nfs/dbraw/zinc/09/58/56/1047095856.db2.gz JUACVXIROHZXFQ-SFHVURJKSA-N 0 3 316.470 4.246 20 0 DIADHN C[C@H](NCC1(c2ccccc2)CC1)c1nc2c(s1)CCCC2 ZINC000536771793 1047100038 /nfs/dbraw/zinc/10/00/38/1047100038.db2.gz MGQSSPZDHRBDFU-AWEZNQCLSA-N 0 3 312.482 4.404 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3cnccc3C)ccc2c1 ZINC000536776916 1047101802 /nfs/dbraw/zinc/10/18/02/1047101802.db2.gz OARULQXEQIXXBR-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H]2CCc3cc(C)ccc32)c1 ZINC000536827164 1047103259 /nfs/dbraw/zinc/10/32/59/1047103259.db2.gz LAHZRVKUXPIYHO-LIRRHRJNSA-N 0 3 311.425 4.350 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCc3ccc(Cl)cc32)c1 ZINC000536838019 1047106797 /nfs/dbraw/zinc/10/67/97/1047106797.db2.gz JIWCDXUXHHWGLE-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCc3c2cccc3Cl)c1 ZINC000536842398 1047108292 /nfs/dbraw/zinc/10/82/92/1047108292.db2.gz ZNTDTAZSSYPQAP-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2cc3c(s2)CCC3)c1 ZINC000536851655 1047111466 /nfs/dbraw/zinc/11/14/66/1047111466.db2.gz KSFPGRGIHDMOIO-LLVKDONJSA-N 0 3 305.418 4.235 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000536855484 1047113813 /nfs/dbraw/zinc/11/38/13/1047113813.db2.gz AITTUOGFZJYDCI-PMACEKPBSA-N 0 3 311.400 4.489 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccccc2N(C)C)cc1 ZINC000536863635 1047115147 /nfs/dbraw/zinc/11/51/47/1047115147.db2.gz OQNUXHMVPHKQMP-INIZCTEOSA-N 0 3 312.457 4.392 20 0 DIADHN CCCC[C@@H](COC)N[C@H](c1nc2ccccc2[nH]1)C(C)C ZINC000536876473 1047118110 /nfs/dbraw/zinc/11/81/10/1047118110.db2.gz LGKHFMYHTHNOPC-YOEHRIQHSA-N 0 3 303.450 4.055 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NCc1cccc3cc[nH]c31)CCO2 ZINC000681368456 1047118865 /nfs/dbraw/zinc/11/88/65/1047118865.db2.gz ICFXYTFVSPPOPU-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN O=C(CCCN1CCCO[C@@H](c2ccccc2)C1)c1ccccc1 ZINC000649287198 1047120055 /nfs/dbraw/zinc/12/00/55/1047120055.db2.gz NMDBSMTWJHAFOO-OAQYLSRUSA-N 0 3 323.436 4.113 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@@H](Cc2ccncc2)C1 ZINC000681410718 1047123292 /nfs/dbraw/zinc/12/32/92/1047123292.db2.gz WPBRRKMJVAMSSW-HIFRSBDPSA-N 0 3 318.823 4.500 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CCCC(=O)c1ccccc1 ZINC000649418869 1047126465 /nfs/dbraw/zinc/12/64/65/1047126465.db2.gz GDRFMSOGGGIVFT-UKRRQHHQSA-N 0 3 313.363 4.312 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc2ccccc2cc1F ZINC001141422774 1047126370 /nfs/dbraw/zinc/12/63/70/1047126370.db2.gz YGDYAEOLDTVPQV-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1cccc2c[nH]nc21 ZINC001116322487 1047128979 /nfs/dbraw/zinc/12/89/79/1047128979.db2.gz HBURRECZYOULPO-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Cc1cc(C2CCN([C@H](C)c3ccc(Cl)cc3)CC2)n[nH]1 ZINC000536997153 1047129925 /nfs/dbraw/zinc/12/99/25/1047129925.db2.gz COKZAUQUZAFECZ-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN CCCC1CCN(Cc2ccc(C(F)(F)F)nc2C)CC1 ZINC001141430720 1047129866 /nfs/dbraw/zinc/12/98/66/1047129866.db2.gz KOKDSTUXRSYCOD-UHFFFAOYSA-N 0 3 300.368 4.421 20 0 DIADHN Cc1cc(C2CCN([C@H](C)c3ccc(Cl)cc3)CC2)[nH]n1 ZINC000536997153 1047129936 /nfs/dbraw/zinc/12/99/36/1047129936.db2.gz COKZAUQUZAFECZ-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN OCc1ccccc1CN[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000536998833 1047130577 /nfs/dbraw/zinc/13/05/77/1047130577.db2.gz MVDAVMSSAWAMRW-HXUWFJFHSA-N 0 3 313.416 4.339 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)C(C[C@H]1CCCO1)C(F)(F)F ZINC000649477519 1047135490 /nfs/dbraw/zinc/13/54/90/1047135490.db2.gz SKHNYUDHDLHMRP-VXGBXAGGSA-N 0 3 321.726 4.026 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)C(C[C@@H]1CCCO1)C(F)(F)F ZINC000649477518 1047135509 /nfs/dbraw/zinc/13/55/09/1047135509.db2.gz SKHNYUDHDLHMRP-RYUDHWBXSA-N 0 3 321.726 4.026 20 0 DIADHN CCC[C@@H](NC(=O)[C@@H](C)N[C@H](C)c1ccccc1)c1ccccc1 ZINC000537101122 1047136307 /nfs/dbraw/zinc/13/63/07/1047136307.db2.gz ZTJAKZBQBLMXAR-MBOZVWFJSA-N 0 3 324.468 4.383 20 0 DIADHN Clc1ccc(-c2cnc(CN3CC[C@@H]4CCC[C@H]43)o2)cc1 ZINC000681710143 1047142933 /nfs/dbraw/zinc/14/29/33/1047142933.db2.gz PUSAMSJZXDWTKD-SWLSCSKDSA-N 0 3 302.805 4.369 20 0 DIADHN CCN(Cc1cn2cccc(C)c2n1)[C@@H](C)Cc1ccsc1 ZINC000681709101 1047143695 /nfs/dbraw/zinc/14/36/95/1047143695.db2.gz AYTKCVUHIUNGMW-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN CC(C)N(Cc1cnc(C(F)(F)F)nc1)[C@@H](C)c1ccccc1 ZINC001141470794 1047143797 /nfs/dbraw/zinc/14/37/97/1047143797.db2.gz OWQSJNCPNCYACA-ZDUSSCGKSA-N 0 3 323.362 4.467 20 0 DIADHN OCC[C@H]1CCC[C@@H]1NCc1c(Cl)ccc(Cl)c1Cl ZINC000681705328 1047144050 /nfs/dbraw/zinc/14/40/50/1047144050.db2.gz ZWWJSJVJFSTDRP-RNCFNFMXSA-N 0 3 322.663 4.288 20 0 DIADHN Cc1ccc2cc(CN3CCc4c(C)cccc4C3)ccc2n1 ZINC001141472727 1047144038 /nfs/dbraw/zinc/14/40/38/1047144038.db2.gz DBFBLGFNZFXZMX-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1nc2cc(C(F)(F)F)ccc2o1 ZINC000537165319 1047144825 /nfs/dbraw/zinc/14/48/25/1047144825.db2.gz YXFVMWBZPOFLSH-NXEZZACHSA-N 0 3 300.324 4.371 20 0 DIADHN CCC[C@H](C)CN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000537195124 1047146404 /nfs/dbraw/zinc/14/64/04/1047146404.db2.gz CTYYPBHGIHOVMB-KSSFIOAISA-N 0 3 303.425 4.017 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@H]1CCN(C)[C@H]1C ZINC000925309303 1047147455 /nfs/dbraw/zinc/14/74/55/1047147455.db2.gz XPTBGSFCEKOBKL-YOEHRIQHSA-N 0 3 317.477 4.148 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)c(-c2cccs2)n1 ZINC001116484486 1047148032 /nfs/dbraw/zinc/14/80/32/1047148032.db2.gz WJVTWBQDOWCZKQ-LSDHHAIUSA-N 0 3 315.486 4.161 20 0 DIADHN CC[C@H](OCCN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000649583908 1047148465 /nfs/dbraw/zinc/14/84/65/1047148465.db2.gz CNZRQZBSDMUYOA-LPHOPBHVSA-N 0 3 315.461 4.283 20 0 DIADHN CC[C@H](OCCN[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000649582358 1047149206 /nfs/dbraw/zinc/14/92/06/1047149206.db2.gz BETGXRHITHKUIL-KSSFIOAISA-N 0 3 302.393 4.039 20 0 DIADHN C[C@@]1(CN2CCCO[C@H](c3ccccc3)C2)CC1(Cl)Cl ZINC000827695882 1047150299 /nfs/dbraw/zinc/15/02/99/1047150299.db2.gz DZOJDNUDGUMIQD-GJZGRUSLSA-N 0 3 314.256 4.034 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccc(Cl)cc1 ZINC000649605144 1047151759 /nfs/dbraw/zinc/15/17/59/1047151759.db2.gz HBOFLDTUJYJCBX-CYBMUJFWSA-N 0 3 316.832 4.144 20 0 DIADHN c1c(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)nn2c1CCCC2 ZINC000649601896 1047151947 /nfs/dbraw/zinc/15/19/47/1047151947.db2.gz HMJOEDJLTWKUGF-FNLKRUPLSA-N 0 3 321.468 4.130 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cc2n(n1)CCC2)C1CCCC1 ZINC000649609313 1047154137 /nfs/dbraw/zinc/15/41/37/1047154137.db2.gz GHEXXJWGNZCMJU-INIZCTEOSA-N 0 3 309.457 4.335 20 0 DIADHN COc1ccc(CN2CCC[C@H](F)CC2)cc1OC1CCCC1 ZINC001141514378 1047154482 /nfs/dbraw/zinc/15/44/82/1047154482.db2.gz XVFQCHLSAMDFSD-INIZCTEOSA-N 0 3 321.436 4.341 20 0 DIADHN Cc1nc(CNCC2(c3ccc(C)c(C)c3)CCC2)cs1 ZINC000433206732 1047156491 /nfs/dbraw/zinc/15/64/91/1047156491.db2.gz IOMNGDPCIFFOHA-UHFFFAOYSA-N 0 3 300.471 4.280 20 0 DIADHN COc1ccc(C2CCN([C@@H](C)c3ccc(F)cc3)CC2)nc1 ZINC000649652510 1047158645 /nfs/dbraw/zinc/15/86/45/1047158645.db2.gz ZFGWZMOHQMCXKZ-AWEZNQCLSA-N 0 3 314.404 4.170 20 0 DIADHN COc1ccc(C2CCN([C@H](C)c3ccc(F)cc3)CC2)nc1 ZINC000649652511 1047159753 /nfs/dbraw/zinc/15/97/53/1047159753.db2.gz ZFGWZMOHQMCXKZ-CQSZACIVSA-N 0 3 314.404 4.170 20 0 DIADHN Cc1ccc([C@@H](N[C@H](C)CC(=O)OC(C)(C)C)C2CCC2)o1 ZINC001116588679 1047160457 /nfs/dbraw/zinc/16/04/57/1047160457.db2.gz KACFMUHBFRARML-PXAZEXFGSA-N 0 3 307.434 4.139 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN([C@H](C)c2ccccc2C)C1 ZINC000682038916 1047164147 /nfs/dbraw/zinc/16/41/47/1047164147.db2.gz GHDDHRMHOLYAER-UKRRQHHQSA-N 0 3 301.352 4.099 20 0 DIADHN CCn1c(C)nnc1CN[C@H](CC1CCCCC1)C(C)(C)C ZINC000537288786 1047164261 /nfs/dbraw/zinc/16/42/61/1047164261.db2.gz ADXCZNKLRMZCGQ-MRXNPFEDSA-N 0 3 306.498 4.081 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN([C@@H](C)c2ccccc2C)C1 ZINC000682038914 1047164417 /nfs/dbraw/zinc/16/44/17/1047164417.db2.gz GHDDHRMHOLYAER-DZGCQCFKSA-N 0 3 301.352 4.099 20 0 DIADHN CCCCC[C@@H](NCc1nc(C(=O)OC)cs1)C(C)(C)C ZINC000828722121 1047168087 /nfs/dbraw/zinc/16/80/87/1047168087.db2.gz LARDUEUKGWDWDM-CYBMUJFWSA-N 0 3 312.479 4.014 20 0 DIADHN C[C@H](NC[C@H](O)c1ccco1)c1cc2cc(Cl)ccc2o1 ZINC000305766723 1047169283 /nfs/dbraw/zinc/16/92/83/1047169283.db2.gz FNYFKEMAAUMOHG-GWCFXTLKSA-N 0 3 305.761 4.063 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CCC[C@@H](C(C)C)C3)o2)c1 ZINC001116714215 1047170298 /nfs/dbraw/zinc/17/02/98/1047170298.db2.gz ZEHBFHXVJXVZJG-NVXWUHKLSA-N 0 3 313.445 4.474 20 0 DIADHN COc1cc(C)c(CNCc2cccc(C(F)F)c2)cc1OC ZINC001116704327 1047170383 /nfs/dbraw/zinc/17/03/83/1047170383.db2.gz VRRFYOMMDRQXNS-UHFFFAOYSA-N 0 3 321.367 4.240 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CCC[C@@H](C(C)C)C3)o2)c1 ZINC001116714211 1047170462 /nfs/dbraw/zinc/17/04/62/1047170462.db2.gz ZEHBFHXVJXVZJG-DOTOQJQBSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cnc([C@H](C)N2CCc3ccc(Cl)cc3[C@@H](C)C2)cn1 ZINC000649747068 1047170629 /nfs/dbraw/zinc/17/06/29/1047170629.db2.gz PVCHCEBSSLXRLS-JSGCOSHPSA-N 0 3 315.848 4.161 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@@H](C)c2cccc(F)c2F)cc1 ZINC000537345102 1047173438 /nfs/dbraw/zinc/17/34/38/1047173438.db2.gz VPYFEBNLVBKGSM-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CC(C)O[C@H](CN1CCC2(CC2(F)F)CC1)c1ccccc1 ZINC000649772972 1047173948 /nfs/dbraw/zinc/17/39/48/1047173948.db2.gz DUVOAHOMEORDLD-MRXNPFEDSA-N 0 3 309.400 4.274 20 0 DIADHN CO[C@H](CN1CCC2(CC2(F)F)CC1)c1ccc(Cl)cc1 ZINC000649772949 1047174682 /nfs/dbraw/zinc/17/46/82/1047174682.db2.gz DGJQWRATRDYPFR-CQSZACIVSA-N 0 3 315.791 4.149 20 0 DIADHN Fc1cccc(F)c1-c1nc(CNC2(C3CCC3)CC2)co1 ZINC000649810283 1047178638 /nfs/dbraw/zinc/17/86/38/1047178638.db2.gz CEUSUZDWOATSGS-UHFFFAOYSA-N 0 3 304.340 4.042 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2cnc(-c3ccco3)s2)C1 ZINC001116839114 1047182764 /nfs/dbraw/zinc/18/27/64/1047182764.db2.gz GVVLEGIEUXSJIH-RYUDHWBXSA-N 0 3 308.472 4.119 20 0 DIADHN Cc1cncc(CN2CCC(c3ccc(F)c(F)c3)CC2)c1 ZINC000682367376 1047186319 /nfs/dbraw/zinc/18/63/19/1047186319.db2.gz MYUKOBYGZAARQZ-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN Oc1ccc(CN2CCC(c3cccc(F)c3F)CC2)cc1 ZINC000682406082 1047191751 /nfs/dbraw/zinc/19/17/51/1047191751.db2.gz KYQHVACOJHLGLV-UHFFFAOYSA-N 0 3 303.352 4.050 20 0 DIADHN COc1cccc2c1OC[C@H](N[C@H](C)c1csc(Cl)c1)C2 ZINC000682496240 1047200352 /nfs/dbraw/zinc/20/03/52/1047200352.db2.gz UZQMOFNRFZEBBO-ZWNOBZJWSA-N 0 3 323.845 4.064 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1csc(Cl)c1 ZINC000682493722 1047200457 /nfs/dbraw/zinc/20/04/57/1047200457.db2.gz JEKFCBOPWYULPK-ABAIWWIYSA-N 0 3 307.846 4.366 20 0 DIADHN CCN(Cc1ccc2[nH]ccc2c1)Cc1ccc(OC)cc1OC ZINC000682559708 1047202687 /nfs/dbraw/zinc/20/26/87/1047202687.db2.gz VLSXTEUYIDHJIN-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cccc(Br)c2)cn1 ZINC000396961495 1047203598 /nfs/dbraw/zinc/20/35/98/1047203598.db2.gz CGDVCIWUMHNMHA-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cccc(Br)c2)cn1 ZINC000396961497 1047203740 /nfs/dbraw/zinc/20/37/40/1047203740.db2.gz CGDVCIWUMHNMHA-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(C)c(CN[C@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000397087992 1047211183 /nfs/dbraw/zinc/21/11/83/1047211183.db2.gz IRLNBGNASBEJSY-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN Fc1ccccc1CNCCOc1cccc(Cl)c1Cl ZINC000032231877 1047213832 /nfs/dbraw/zinc/21/38/32/1047213832.db2.gz DHHGVQKNGNYDLR-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN C[C@H](NCc1csc(C2CC2)n1)C1CCC(F)(F)CC1 ZINC000682733340 1047217254 /nfs/dbraw/zinc/21/72/54/1047217254.db2.gz WTDFGDZLNBBXBL-JTQLQIEISA-N 0 3 300.418 4.324 20 0 DIADHN CC1(C)C[C@H](N(Cc2ccccc2)Cc2ccncc2)C(C)(C)O1 ZINC000537467313 1047225535 /nfs/dbraw/zinc/22/55/35/1047225535.db2.gz LGNVLEQNZYWMQE-IBGZPJMESA-N 0 3 324.468 4.430 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(Cl)s1 ZINC000035232493 1047227329 /nfs/dbraw/zinc/22/73/29/1047227329.db2.gz WCGBIJAVFUFEDG-SNVBAGLBSA-N 0 3 309.818 4.024 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001117645187 1047232266 /nfs/dbraw/zinc/23/22/66/1047232266.db2.gz ZLFJVVYLCQBGOP-HXUWFJFHSA-N 0 3 320.440 4.065 20 0 DIADHN COc1ccccc1CCN(C)Cc1cc2cccnc2cc1F ZINC001141630777 1047238308 /nfs/dbraw/zinc/23/83/08/1047238308.db2.gz BJRAFWOMTPWNJK-UHFFFAOYSA-N 0 3 324.399 4.057 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001141631743 1047238971 /nfs/dbraw/zinc/23/89/71/1047238971.db2.gz WVJBIYBXYSVHBV-INIZCTEOSA-N 0 3 316.395 4.478 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC(c2ccncc2)CC1 ZINC001141629296 1047239415 /nfs/dbraw/zinc/23/94/15/1047239415.db2.gz XHZRPLDSKYYAQT-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC(C)c1nc(CNCC[C@]2(C)CC2(Cl)Cl)cs1 ZINC001117747456 1047240900 /nfs/dbraw/zinc/24/09/00/1047240900.db2.gz HJKXWNVWHRTMDJ-GFCCVEGCSA-N 0 3 307.290 4.330 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839521383 1047242217 /nfs/dbraw/zinc/24/22/17/1047242217.db2.gz RTPFQKKKQWSXHC-NSHDSACASA-N 0 3 319.392 4.005 20 0 DIADHN CC[C@@]1(NCc2cc(C(F)(F)F)ccc2Cl)CCOC1 ZINC000682951690 1047243307 /nfs/dbraw/zinc/24/33/07/1047243307.db2.gz JLJQFHKIWWSHFH-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN CC[C@@]1(NCc2ccc(-c3cccc(F)c3C)o2)CCOC1 ZINC000682952589 1047243935 /nfs/dbraw/zinc/24/39/35/1047243935.db2.gz SUGYYRKPVMYWCZ-GOSISDBHSA-N 0 3 303.377 4.053 20 0 DIADHN CC[C@@H](NCC[C@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000839542980 1047244782 /nfs/dbraw/zinc/24/47/82/1047244782.db2.gz YBAWOOHDNDQVHG-MSOLQXFVSA-N 0 3 305.368 4.129 20 0 DIADHN C[C@H](NCC[C@@H](O)c1ccccc1)c1nc2ccccc2s1 ZINC000839551509 1047246193 /nfs/dbraw/zinc/24/61/93/1047246193.db2.gz DZFVCCPZDGOUTR-XJKSGUPXSA-N 0 3 312.438 4.071 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cc2ccccc2nc1Cl)C1CC1 ZINC000839639109 1047251400 /nfs/dbraw/zinc/25/14/00/1047251400.db2.gz LVSRGIIGYULJJL-XIKOKIGWSA-N 0 3 318.848 4.181 20 0 DIADHN C[C@@H](N[C@@H]1CCCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000682999470 1047252847 /nfs/dbraw/zinc/25/28/47/1047252847.db2.gz QJQBAZNLJVXIPJ-IUODEOHRSA-N 0 3 317.408 4.495 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)c1 ZINC000683023250 1047259377 /nfs/dbraw/zinc/25/93/77/1047259377.db2.gz AZRDQCWVSPZXRD-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN c1coc([C@@H](N[C@@H]2CCc3cccnc3CC2)c2ccccc2)c1 ZINC000421819892 1047268869 /nfs/dbraw/zinc/26/88/69/1047268869.db2.gz JMUCNFDDHDSVNW-NQIIRXRSSA-N 0 3 318.420 4.301 20 0 DIADHN Brc1ccc2ccccc2c1CN1CC2CC(C2)C1 ZINC001141721251 1047275952 /nfs/dbraw/zinc/27/59/52/1047275952.db2.gz OIAYZBDKECIIMG-UHFFFAOYSA-N 0 3 316.242 4.444 20 0 DIADHN C[C@@H](N[C@H](Cn1ccnc1)C(C)(C)C)c1ccc(F)cc1Cl ZINC000385952173 1047276204 /nfs/dbraw/zinc/27/62/04/1047276204.db2.gz FMUCJEIEFDBVPB-MLGOLLRUSA-N 0 3 323.843 4.441 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@@H]2CCc3ccccc3N2)c(C)s1 ZINC000650760799 1047279677 /nfs/dbraw/zinc/27/96/77/1047279677.db2.gz MIFQMKSAPVKZBV-WBMJQRKESA-N 0 3 315.486 4.228 20 0 DIADHN COC(=O)C(C)(C)[C@H](NCc1csc(C)c1)c1ccccc1 ZINC001118368547 1047284292 /nfs/dbraw/zinc/28/42/92/1047284292.db2.gz NIYHMVALQJHASO-MRXNPFEDSA-N 0 3 317.454 4.087 20 0 DIADHN c1ccc2c(c1)Cc1cc(CN3CC(c4cccnc4)C3)ccc1-2 ZINC001141738789 1047284396 /nfs/dbraw/zinc/28/43/96/1047284396.db2.gz BKJVEZMFPMGXFB-UHFFFAOYSA-N 0 3 312.416 4.252 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(c2cn[nH]c2)CC1 ZINC000475575617 1047284692 /nfs/dbraw/zinc/28/46/92/1047284692.db2.gz OLSQTHKISFZBLI-UHFFFAOYSA-N 0 3 303.837 4.005 20 0 DIADHN Cc1ccccc1-c1ccccc1CNCc1cnn(CCF)c1 ZINC000897731553 1047288977 /nfs/dbraw/zinc/28/89/77/1047288977.db2.gz ADOLTZDGQWEMPW-UHFFFAOYSA-N 0 3 323.415 4.118 20 0 DIADHN CN(Cn1cccnc1=S)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000840179563 1047290374 /nfs/dbraw/zinc/29/03/74/1047290374.db2.gz CRBNHSWRVIKCQE-SJORKVTESA-N 0 3 313.470 4.228 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccc(OC)cc21)c1ccccc1OC ZINC000390028285 1047292865 /nfs/dbraw/zinc/29/28/65/1047292865.db2.gz CEIIHRNIKBRLPY-MOPGFXCFSA-N 0 3 311.425 4.432 20 0 DIADHN CCC[C@@](C)(NCc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000897925265 1047299648 /nfs/dbraw/zinc/29/96/48/1047299648.db2.gz FVBLYWCIHWKDJW-SUMWQHHRSA-N 0 3 304.459 4.002 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1cncs1 ZINC000897929912 1047303317 /nfs/dbraw/zinc/30/33/17/1047303317.db2.gz DLIYHWKNZJXQRA-MQJVFOOVSA-N 0 3 302.443 4.044 20 0 DIADHN C[C@H](NC[C@H]1Cc2ccccc21)c1ncc(-c2ccccc2)n1C ZINC000651218714 1047303173 /nfs/dbraw/zinc/30/31/73/1047303173.db2.gz HGBZTDRTSGDBPB-MAUKXSAKSA-N 0 3 317.436 4.078 20 0 DIADHN COC[C@H](N[C@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000651237020 1047305319 /nfs/dbraw/zinc/30/53/19/1047305319.db2.gz HJLGKICYLSBPTH-BEFAXECRSA-N 0 3 314.429 4.196 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2[nH]cnc2c1)C(C)(C)C ZINC000897998159 1047309149 /nfs/dbraw/zinc/30/91/49/1047309149.db2.gz POLOSUDNLQJBMR-SFHVURJKSA-N 0 3 308.429 4.143 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2nc[nH]c2c1)C(C)(C)C ZINC000897998159 1047309161 /nfs/dbraw/zinc/30/91/61/1047309161.db2.gz POLOSUDNLQJBMR-SFHVURJKSA-N 0 3 308.429 4.143 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1C[C@]1(C)CC1(Cl)Cl ZINC000827957578 1047311020 /nfs/dbraw/zinc/31/10/20/1047311020.db2.gz OIBXUUHRASFRBX-KGLIPLIRSA-N 0 3 301.261 4.136 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)c(C)s1 ZINC000651493441 1047317047 /nfs/dbraw/zinc/31/70/47/1047317047.db2.gz BDNUQECKTBVCLF-LERXQTSPSA-N 0 3 322.499 4.392 20 0 DIADHN c1c2cnccc2oc1CN1CCS[C@@H](c2ccccc2)C1 ZINC000651640095 1047320981 /nfs/dbraw/zinc/32/09/81/1047320981.db2.gz BMZNELOZNBHZBI-GOSISDBHSA-N 0 3 310.422 4.118 20 0 DIADHN CC(C)c1ccc(OCCNCc2cc3cnccc3o2)cc1 ZINC000651684857 1047321583 /nfs/dbraw/zinc/32/15/83/1047321583.db2.gz ZJICROTYUBGSDP-UHFFFAOYSA-N 0 3 310.397 4.120 20 0 DIADHN Cc1nc(CCN[C@@H]2CCc3ccc(F)c(Cl)c32)cs1 ZINC000651674629 1047322528 /nfs/dbraw/zinc/32/25/28/1047322528.db2.gz XYGWCDCOTYKPSW-CYBMUJFWSA-N 0 3 310.825 4.064 20 0 DIADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1ccc(-c2ccccc2)cn1 ZINC000651738205 1047324412 /nfs/dbraw/zinc/32/44/12/1047324412.db2.gz FBUZSONREKSDBU-LIRRHRJNSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@H](NCc1ccnc2ccccc12)c1cnn(CC2CCC2)c1 ZINC000898177537 1047325684 /nfs/dbraw/zinc/32/56/84/1047325684.db2.gz QLXGQLQXWAWNTI-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN C[C@H](NCc1cccc2cc[nH]c21)c1cnn(CC2CCC2)c1 ZINC000898177428 1047325770 /nfs/dbraw/zinc/32/57/70/1047325770.db2.gz PZHNOOSENKHADZ-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN Cc1ccc2cc(CN[C@H](C)c3cnn(CC4CCC4)c3)[nH]c2c1 ZINC000898181400 1047327052 /nfs/dbraw/zinc/32/70/52/1047327052.db2.gz GVHIJHDENIHILP-OAHLLOKOSA-N 0 3 322.456 4.324 20 0 DIADHN COC1([C@@H](C)N[C@@H](C)c2ccc(-c3ccccc3)cn2)CCC1 ZINC000651831283 1047329137 /nfs/dbraw/zinc/32/91/37/1047329137.db2.gz KGFQNBSWXZSDGR-JKSUJKDBSA-N 0 3 310.441 4.357 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651814710 1047330299 /nfs/dbraw/zinc/33/02/99/1047330299.db2.gz FWFMIRHASFJOTI-YWZLYKJASA-N 0 3 310.441 4.214 20 0 DIADHN Clc1ccccc1C1(NCc2ccc3nc[nH]c3c2)CCC1 ZINC000651861858 1047331086 /nfs/dbraw/zinc/33/10/86/1047331086.db2.gz YQANLZJWJXBDAF-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(N3CCCC3)nc2)CCC1 ZINC000651862105 1047331948 /nfs/dbraw/zinc/33/19/48/1047331948.db2.gz CFVKDXLKRLNZDR-UHFFFAOYSA-N 0 3 321.468 4.159 20 0 DIADHN C[C@@H]1CCN(Cc2sccc2Oc2ccccc2)C[C@]1(C)O ZINC001141994509 1047335088 /nfs/dbraw/zinc/33/50/88/1047335088.db2.gz OCGREEOWYPFQED-KDOFPFPSSA-N 0 3 317.454 4.133 20 0 DIADHN C[C@@H]1CC[C@@H](CO)CN1Cc1sccc1Oc1ccccc1 ZINC001141994300 1047335213 /nfs/dbraw/zinc/33/52/13/1047335213.db2.gz GVZCBERTLOMMKL-HUUCEWRRSA-N 0 3 317.454 4.133 20 0 DIADHN Cc1nc2ccc(CN3CCC4(CC4(F)F)CC3)cc2s1 ZINC000651952967 1047335780 /nfs/dbraw/zinc/33/57/80/1047335780.db2.gz KQVZACQAUUTIKS-UHFFFAOYSA-N 0 3 308.397 4.226 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CC[C@H]3OCC[C@H]3C2)s1 ZINC001142001594 1047339845 /nfs/dbraw/zinc/33/98/45/1047339845.db2.gz WMMFVCXRQZZIAL-GOEBONIOSA-N 0 3 315.438 4.151 20 0 DIADHN C[C@@H](NC1(C2CCC2)CCC1)c1nc(Br)cs1 ZINC000840810504 1047340034 /nfs/dbraw/zinc/34/00/34/1047340034.db2.gz AMXVFTZZGZXLDC-SECBINFHSA-N 0 3 315.280 4.279 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCC(C)(C)C2)c2ccccn2)cc1 ZINC000652172780 1047343409 /nfs/dbraw/zinc/34/34/09/1047343409.db2.gz LAQCURDUQKJBDP-VQIMIIECSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCC(C)(C)C2)c2ccccn2)cc1 ZINC000652172777 1047343814 /nfs/dbraw/zinc/34/38/14/1047343814.db2.gz LAQCURDUQKJBDP-APWZRJJASA-N 0 3 310.441 4.348 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(CO)c(F)c1)c1ccc(F)cc1 ZINC000652142820 1047344861 /nfs/dbraw/zinc/34/48/61/1047344861.db2.gz DJMZXDPUQCRZDM-LJQANCHMSA-N 0 3 319.395 4.334 20 0 DIADHN C[C@H](NCc1cnccc1C(C)(C)C)c1ccc2c(c1)CCO2 ZINC000840855084 1047346713 /nfs/dbraw/zinc/34/67/13/1047346713.db2.gz IHMWKYWPJFGGBJ-AWEZNQCLSA-N 0 3 310.441 4.165 20 0 DIADHN COc1ccc([C@H](NC[C@H](C)CC(F)F)c2ccccn2)cc1 ZINC000652212195 1047347534 /nfs/dbraw/zinc/34/75/34/1047347534.db2.gz ZIECNJCBZOBBBG-ACJLOTCBSA-N 0 3 320.383 4.061 20 0 DIADHN COc1ccc([C@@H](NC[C@H](C)CC(F)F)c2ccccn2)cc1 ZINC000652212196 1047347885 /nfs/dbraw/zinc/34/78/85/1047347885.db2.gz ZIECNJCBZOBBBG-FZKQIMNGSA-N 0 3 320.383 4.061 20 0 DIADHN CCN(Cc1nccs1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000533079556 1047348857 /nfs/dbraw/zinc/34/88/57/1047348857.db2.gz LXUDZEZVHYICOD-INIZCTEOSA-N 0 3 306.450 4.371 20 0 DIADHN COc1ccc([C@@H](NC2CC3(CCC3)C2)c2ccccn2)cc1 ZINC000652327660 1047354461 /nfs/dbraw/zinc/35/44/61/1047354461.db2.gz FRUZNYGWWDURDH-LJQANCHMSA-N 0 3 308.425 4.102 20 0 DIADHN CCCCNC(=S)Nc1ccc2c(c1)CN([C@H](C)C(C)C)C2 ZINC000726494750 1047363537 /nfs/dbraw/zinc/36/35/37/1047363537.db2.gz MPVIFKJOIRMLBW-CQSZACIVSA-N 0 3 319.518 4.133 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cncc2ccccc21 ZINC000840958091 1047363963 /nfs/dbraw/zinc/36/39/63/1047363963.db2.gz IJCISGFIXZIGCE-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN COc1cccc(CN[C@@H](C)CC(F)(F)F)c1C(F)(F)F ZINC001255636896 1047398292 /nfs/dbraw/zinc/39/82/92/1047398292.db2.gz UWXHIOAJMVCONG-QMMMGPOBSA-N 0 3 315.257 4.145 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H]1CCCc2cc(N)ccc21 ZINC000841058795 1047376475 /nfs/dbraw/zinc/37/64/75/1047376475.db2.gz UZNZOTJLXKZBQN-KRWDZBQOSA-N 0 3 316.832 4.098 20 0 DIADHN COc1cccc2cc(CN[C@H]3CCCc4cc(N)ccc43)oc21 ZINC000841058133 1047376716 /nfs/dbraw/zinc/37/67/16/1047376716.db2.gz NKONPUHBVHDFNF-SFHVURJKSA-N 0 3 322.408 4.191 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1ccnc(OC(F)F)c1)CC2 ZINC000655767228 1047381007 /nfs/dbraw/zinc/38/10/07/1047381007.db2.gz ZUTQLWOJRHVCMA-HNNXBMFYSA-N 0 3 318.367 4.077 20 0 DIADHN Cn1nccc1CN[C@@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000080204902 1047381033 /nfs/dbraw/zinc/38/10/33/1047381033.db2.gz IEORGUHPVJWORS-INIZCTEOSA-N 0 3 305.853 4.341 20 0 DIADHN COc1cc(Cl)ccc1CNCc1cccc2[nH]ccc21 ZINC000107718893 1047383336 /nfs/dbraw/zinc/38/33/36/1047383336.db2.gz QTOYRYOAWALZKE-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN CN(CCc1noc2ccccc21)Cc1ccc(Cl)s1 ZINC000653480085 1047389844 /nfs/dbraw/zinc/38/98/44/1047389844.db2.gz RYZLUZTXVRUOLY-UHFFFAOYSA-N 0 3 306.818 4.217 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCC(F)(F)C(C)(C)C1 ZINC001142163482 1047392091 /nfs/dbraw/zinc/39/20/91/1047392091.db2.gz YVBUYNTWXPUOKN-UHFFFAOYSA-N 0 3 313.436 4.122 20 0 DIADHN CC(C)COC1CCN(CCCc2c(F)cccc2F)CC1 ZINC000653503833 1047392442 /nfs/dbraw/zinc/39/24/42/1047392442.db2.gz YACRRBZQXOLYEV-UHFFFAOYSA-N 0 3 311.416 4.034 20 0 DIADHN C[C@@H](CC(F)(F)F)NC1(c2ccc(Br)cc2)CC1 ZINC001255642376 1047399000 /nfs/dbraw/zinc/39/90/00/1047399000.db2.gz YMJDGVUWNIFNBJ-VIFPVBQESA-N 0 3 322.168 4.369 20 0 DIADHN COc1cccc(CN[C@H](C)CC(F)(F)F)c1C(F)(F)F ZINC001255636895 1047399392 /nfs/dbraw/zinc/39/93/92/1047399392.db2.gz UWXHIOAJMVCONG-MRVPVSSYSA-N 0 3 315.257 4.145 20 0 DIADHN CC[C@@](C)(NCCCc1c(F)cccc1F)c1nccs1 ZINC000653581560 1047403279 /nfs/dbraw/zinc/40/32/79/1047403279.db2.gz WFUOYAHYDQVIQL-MRXNPFEDSA-N 0 3 310.413 4.269 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@H]1c1ccncc1 ZINC000653592593 1047405013 /nfs/dbraw/zinc/40/50/13/1047405013.db2.gz HFDYRZCNDKLKSF-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN COc1ccc([C@H](NCc2ccc(Cl)nc2)C(C)C)cc1 ZINC000749322529 1047411123 /nfs/dbraw/zinc/41/11/23/1047411123.db2.gz CNQDATGTLDDIFB-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN C[C@H](NCc1ccc(Cl)nc1)c1ccc2c(c1)CCCC2 ZINC000749322515 1047411150 /nfs/dbraw/zinc/41/11/50/1047411150.db2.gz BINKBHIONASIHV-ZDUSSCGKSA-N 0 3 300.833 4.465 20 0 DIADHN Cc1ccnc([C@@H]2CCN(Cc3cccc(C(F)F)c3)C2)c1 ZINC000653749430 1047419151 /nfs/dbraw/zinc/41/91/51/1047419151.db2.gz BCHUIEOMLIFDSN-MRXNPFEDSA-N 0 3 302.368 4.317 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc2c(c1)OCCCO2 ZINC001142271599 1047420340 /nfs/dbraw/zinc/42/03/40/1047420340.db2.gz PQXBFGMMZYPKRU-UHFFFAOYSA-N 0 3 315.388 4.009 20 0 DIADHN Clc1ccccc1C1(NCCc2noc3ccccc32)CC1 ZINC000653775956 1047420975 /nfs/dbraw/zinc/42/09/75/1047420975.db2.gz LOBLPOKQMXZUNR-UHFFFAOYSA-N 0 3 312.800 4.303 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cnn(-c2ccccc2)c1 ZINC000322644531 1047422944 /nfs/dbraw/zinc/42/29/44/1047422944.db2.gz YYZBWDABLMTECR-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)o1)C1CCC(F)(F)CC1 ZINC000653868009 1047428411 /nfs/dbraw/zinc/42/84/11/1047428411.db2.gz MHEPQXSQVCBAPH-GFCCVEGCSA-N 0 3 321.371 4.040 20 0 DIADHN CCCc1ccc(CN2CC[C@](CF)(C(F)(F)F)C2)cc1 ZINC001142354001 1047433219 /nfs/dbraw/zinc/43/32/19/1047433219.db2.gz HXZTXYMIOCOJAO-OAHLLOKOSA-N 0 3 303.343 4.363 20 0 DIADHN CCCc1ccc(CN(C)Cc2ccc(OC)c(OC)c2)cc1 ZINC001142364363 1047435442 /nfs/dbraw/zinc/43/54/42/1047435442.db2.gz QIEKJWBVROFGNB-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)Nc2ccccc2C(C)C)CC1 ZINC000653916892 1047435464 /nfs/dbraw/zinc/43/54/64/1047435464.db2.gz OTLJNKMDUXWNNH-UHFFFAOYSA-N 0 3 317.477 4.148 20 0 DIADHN CCCc1ccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)cc1 ZINC001142372315 1047438254 /nfs/dbraw/zinc/43/82/54/1047438254.db2.gz ZXZWIZFBOOPNLC-VQTJNVASSA-N 0 3 318.480 4.037 20 0 DIADHN Cc1cnc2c(c1)CN(Cc1c(C)ccc3ccccc31)CC2 ZINC001142388451 1047439141 /nfs/dbraw/zinc/43/91/41/1047439141.db2.gz WQQDFTCLOFUZMD-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNCC[C@@H](C)c1ccccc1 ZINC000749917809 1047447101 /nfs/dbraw/zinc/44/71/01/1047447101.db2.gz BIGLXZVNFOYWIA-CQSZACIVSA-N 0 3 319.880 4.480 20 0 DIADHN Cc1ccccc1[C@@H]1CN(Cc2cccc3[nH]ccc32)[C@H](C)CO1 ZINC000537616386 1047452634 /nfs/dbraw/zinc/45/26/34/1047452634.db2.gz MTEMIVFIYOHFJC-IERDGZPVSA-N 0 3 320.436 4.438 20 0 DIADHN c1ccc([C@H](N[C@@H]2COCc3ccccc32)C2CCOCC2)cc1 ZINC000841759484 1047453727 /nfs/dbraw/zinc/45/37/27/1047453727.db2.gz GELNDCILQNXYIC-RTWAWAEBSA-N 0 3 323.436 4.015 20 0 DIADHN CC[C@H](N[C@@H]1COCc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000841761624 1047454727 /nfs/dbraw/zinc/45/47/27/1047454727.db2.gz PXTJGUVZDNVHHC-VQTJNVASSA-N 0 3 323.436 4.324 20 0 DIADHN Cc1cnc(CN(Cc2ccccc2)[C@@H]2CCCC2(C)C)cn1 ZINC000750060501 1047454826 /nfs/dbraw/zinc/45/48/26/1047454826.db2.gz CJINWEUEGREXPM-LJQANCHMSA-N 0 3 309.457 4.366 20 0 DIADHN C[C@H](c1ccccn1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000750035782 1047455590 /nfs/dbraw/zinc/45/55/90/1047455590.db2.gz IMINLBLSDIZCOP-OAHLLOKOSA-N 0 3 303.409 4.413 20 0 DIADHN CO[C@H](C)CN(Cc1cnc(-c2ccc(C)cc2)s1)C(C)C ZINC000537618425 1047457116 /nfs/dbraw/zinc/45/71/16/1047457116.db2.gz ZXPJCBBWWZAWDU-OAHLLOKOSA-N 0 3 318.486 4.364 20 0 DIADHN CC(C)(C)c1csc(C2CCN(C[C@@H]3CCCCO3)CC2)n1 ZINC000750068605 1047457139 /nfs/dbraw/zinc/45/71/39/1047457139.db2.gz VHDLOUNSWOYKGQ-HNNXBMFYSA-N 0 3 322.518 4.189 20 0 DIADHN CNc1nc(Cl)ncc1CN(C)C1CCC(C(C)(C)C)CC1 ZINC001142494664 1047461857 /nfs/dbraw/zinc/46/18/57/1047461857.db2.gz IDHVBDLXLAUFLL-UHFFFAOYSA-N 0 3 324.900 4.208 20 0 DIADHN Cc1noc(C)c1CCN1CC=C(c2ccc(Cl)cc2)CC1 ZINC000119570335 1047463782 /nfs/dbraw/zinc/46/37/82/1047463782.db2.gz WDMGYQFGEBRETN-UHFFFAOYSA-N 0 3 316.832 4.277 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(OCC)cc1 ZINC000119542033 1047465734 /nfs/dbraw/zinc/46/57/34/1047465734.db2.gz ZJTIIMBOVUCQCW-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(F)c1)C1CCCC1)c1cnn(C)c1 ZINC000119733603 1047466410 /nfs/dbraw/zinc/46/64/10/1047466410.db2.gz NOQDSNKPAVLSHN-FZKQIMNGSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(-c3ccccc3)c2)c(C)c1 ZINC000119654782 1047467221 /nfs/dbraw/zinc/46/72/21/1047467221.db2.gz JOWXESUQWQNHRP-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@H]1c1ccccc1 ZINC000537622509 1047467575 /nfs/dbraw/zinc/46/75/75/1047467575.db2.gz ZXSBJEPXRKRQMI-HNAYVOBHSA-N 0 3 303.409 4.270 20 0 DIADHN COc1ccc([C@@H](C)NCc2sc(C)nc2C)cc1Cl ZINC000119829642 1047469737 /nfs/dbraw/zinc/46/97/37/1047469737.db2.gz PLGJOTVGGMYONP-SECBINFHSA-N 0 3 310.850 4.273 20 0 DIADHN CC(C)[C@H](NCc1ccccc1F)c1ccc2c(c1)OCCO2 ZINC000057801265 1047470670 /nfs/dbraw/zinc/47/06/70/1047470670.db2.gz YEYQCPIXEQOYAI-IBGZPJMESA-N 0 3 315.388 4.084 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc(N(C)C)c2)cc1F ZINC000119864404 1047476761 /nfs/dbraw/zinc/47/67/61/1047476761.db2.gz RFSJEIZSKYSJAI-CYBMUJFWSA-N 0 3 318.461 4.464 20 0 DIADHN Cc1cc(CN)nn1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168333588 1047478591 /nfs/dbraw/zinc/47/85/91/1047478591.db2.gz AEYPDIZQCVYDHR-UHFFFAOYSA-N 0 3 303.837 4.203 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2Cc2cccc3nc[nH]c32)c1 ZINC001142687117 1047479748 /nfs/dbraw/zinc/47/97/48/1047479748.db2.gz MIGKWURBDAUXDW-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1cccc2[nH]cnc21 ZINC001142687219 1047480865 /nfs/dbraw/zinc/48/08/65/1047480865.db2.gz PQYPREYFOFLVLI-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN CCCC[C@@H](C)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001142709455 1047484802 /nfs/dbraw/zinc/48/48/02/1047484802.db2.gz VIEBVGCJLXDGJK-IEBWSBKVSA-N 0 3 316.489 4.074 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCC1)c1cc(F)ccc1F ZINC000120307543 1047490406 /nfs/dbraw/zinc/49/04/06/1047490406.db2.gz YHIHBIYYVUFJNU-SUMWQHHRSA-N 0 3 320.383 4.046 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(F)c(F)c1 ZINC000120304312 1047490581 /nfs/dbraw/zinc/49/05/81/1047490581.db2.gz QSJJXOIJCDYCOQ-DYVFJYSZSA-N 0 3 320.383 4.046 20 0 DIADHN CCn1ccc(CNC2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC001168336977 1047492490 /nfs/dbraw/zinc/49/24/90/1047492490.db2.gz JRKOCHOOWKMWKH-UHFFFAOYSA-N 0 3 317.864 4.372 20 0 DIADHN CN1Cc2c(NC(=O)C(C)(C)C)cccc2[C@@H](c2ccccc2)C1 ZINC001142776301 1047494119 /nfs/dbraw/zinc/49/41/19/1047494119.db2.gz CGDJEPPRRCWSMX-QGZVFWFLSA-N 0 3 322.452 4.249 20 0 DIADHN c1csc([C@@H](NCc2cccc3c2OCCCO3)C2CC2)c1 ZINC000120536017 1047495703 /nfs/dbraw/zinc/49/57/03/1047495703.db2.gz QDDNXAOVMIPUPB-KRWDZBQOSA-N 0 3 315.438 4.150 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H]2CCc3ccc(OC)cc32)c1 ZINC000120568564 1047497947 /nfs/dbraw/zinc/49/79/47/1047497947.db2.gz KOUMPYVZLDIQMG-JLTOFOAXSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H]1CCCCN1Cc1ccc(OC(F)F)c(OC(F)F)c1 ZINC001142808530 1047497962 /nfs/dbraw/zinc/49/79/62/1047497962.db2.gz AWZVABQNVGUODP-SNVBAGLBSA-N 0 3 321.314 4.264 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CCc3ccccn3)s2)cc1 ZINC000120789990 1047502892 /nfs/dbraw/zinc/50/28/92/1047502892.db2.gz FRPUTKOVCHXSMQ-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccc(CSC(F)F)o2)C1 ZINC000842765335 1047503145 /nfs/dbraw/zinc/50/31/45/1047503145.db2.gz UJNMGQYWGCLCFS-GSJFWJNXSA-N 0 3 319.417 4.171 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](CN1CCCC1)c1ccccc1 ZINC000120826807 1047503282 /nfs/dbraw/zinc/50/32/82/1047503282.db2.gz BNYBLUMJZFAAKW-FXAWDEMLSA-N 0 3 324.468 4.183 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cccc(C(N)=O)c1)CCC2 ZINC000090401369 1047503828 /nfs/dbraw/zinc/50/38/28/1047503828.db2.gz HURBSTKRZLPTHP-HXUWFJFHSA-N 0 3 322.452 4.076 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@H](C)c1cc2n(n1)CCCC2 ZINC000842833108 1047506711 /nfs/dbraw/zinc/50/67/11/1047506711.db2.gz YGUIDCMCIFOCBI-ZIAGYGMSSA-N 0 3 317.864 4.155 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1Cl)c1cc2n(n1)CCCC2 ZINC000842833106 1047506885 /nfs/dbraw/zinc/50/68/85/1047506885.db2.gz YGUIDCMCIFOCBI-UONOGXRCSA-N 0 3 317.864 4.155 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@@H](C)c1cc2n(n1)CCCC2 ZINC000842833104 1047507195 /nfs/dbraw/zinc/50/71/95/1047507195.db2.gz YGUIDCMCIFOCBI-KGLIPLIRSA-N 0 3 317.864 4.155 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCCc3cc(F)ccc32)cn1 ZINC000090964437 1047513343 /nfs/dbraw/zinc/51/33/43/1047513343.db2.gz GWDFSOOXLNWLRI-SCLBCKFNSA-N 0 3 301.409 4.331 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(OC(C)C)cc2)c1 ZINC000121417774 1047518055 /nfs/dbraw/zinc/51/80/55/1047518055.db2.gz GXVVSIBFKJYJOD-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000121405967 1047518413 /nfs/dbraw/zinc/51/84/13/1047518413.db2.gz VHDFONMMCYUDGF-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN CCO[C@H]1C[C@H](N[C@@H](C)c2nc3c(s2)CCCC3)C12CCC2 ZINC000091728437 1047521898 /nfs/dbraw/zinc/52/18/98/1047521898.db2.gz TZHDUGHUNKPAPR-RCBQFDQVSA-N 0 3 320.502 4.020 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc(-c2ccccc2)nn1C ZINC001143010796 1047524280 /nfs/dbraw/zinc/52/42/80/1047524280.db2.gz NGMIPCBSQCZRJM-UHFFFAOYSA-N 0 3 319.452 4.152 20 0 DIADHN Cn1nc(-c2ccccc2)cc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001143017352 1047524632 /nfs/dbraw/zinc/52/46/32/1047524632.db2.gz WNQUIMAHQUKFEF-SJLPKXTDSA-N 0 3 309.457 4.099 20 0 DIADHN Cc1ccc(CCN(C)Cc2cc(-c3ccccc3)nn2C)cc1 ZINC001143011888 1047525095 /nfs/dbraw/zinc/52/50/95/1047525095.db2.gz FWQMCQDSHHGQSR-UHFFFAOYSA-N 0 3 319.452 4.070 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)cc2)ccc1OC(F)F ZINC000612876733 1047525867 /nfs/dbraw/zinc/52/58/67/1047525867.db2.gz NCIDURRGLQVSAB-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN c1cn2cc(CN3CCC(Sc4ccccc4)CC3)ccc2n1 ZINC001143033913 1047526962 /nfs/dbraw/zinc/52/69/62/1047526962.db2.gz NOUIRKFWXNMJMJ-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN Cc1cccc(CN(Cc2ccc3nccn3c2)C2CC2)c1C ZINC001143036070 1047527659 /nfs/dbraw/zinc/52/76/59/1047527659.db2.gz YYTMPRIRDQCDHV-UHFFFAOYSA-N 0 3 305.425 4.116 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204711021 1047529922 /nfs/dbraw/zinc/52/99/22/1047529922.db2.gz RJFQJLHVTUJKOC-HNNXBMFYSA-N 0 3 317.816 4.401 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2Cc2cccc3n[nH]cc32)c1 ZINC001143102072 1047531301 /nfs/dbraw/zinc/53/13/01/1047531301.db2.gz WPWYEDRYGQRSHM-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1ncc(-c2ccccc2)s1 ZINC000092396931 1047533156 /nfs/dbraw/zinc/53/31/56/1047533156.db2.gz CUKWCKGWMUHRLK-ZDUSSCGKSA-N 0 3 304.459 4.103 20 0 DIADHN COc1cc(C)cc(OC)c1CN1CCC[C@@H]1c1ccccc1 ZINC001143142625 1047535277 /nfs/dbraw/zinc/53/52/77/1047535277.db2.gz UOOCXIUIZHZKDG-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc(NC(=O)c2cc(C)c(F)c(C)c2)c(CN(C)C)c1 ZINC000122020906 1047536044 /nfs/dbraw/zinc/53/60/44/1047536044.db2.gz RNXRJDQOWKIQBW-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN C[C@H](N(C)CC(=O)N[C@@H](c1cccs1)C(C)(C)C)C1(C)CC1 ZINC000476598509 1047536585 /nfs/dbraw/zinc/53/65/85/1047536585.db2.gz PQPPDPRPWWOIFA-BBRMVZONSA-N 0 3 322.518 4.072 20 0 DIADHN C[C@@H](N(C)CC(=O)N[C@H](c1cccs1)C(C)(C)C)C1(C)CC1 ZINC000476598511 1047537251 /nfs/dbraw/zinc/53/72/51/1047537251.db2.gz PQPPDPRPWWOIFA-CZUORRHYSA-N 0 3 322.518 4.072 20 0 DIADHN c1sc(CN2CCCC[C@@H]2c2ccccc2)c2c1OCCO2 ZINC001143181082 1047539027 /nfs/dbraw/zinc/53/90/27/1047539027.db2.gz DMHKLKXKVBXIFZ-OAHLLOKOSA-N 0 3 315.438 4.247 20 0 DIADHN CCOc1cccc(CN2CCC(OC3CCCC3)CC2)c1 ZINC000092758504 1047539394 /nfs/dbraw/zinc/53/93/94/1047539394.db2.gz TVFWMJWWAZPBSO-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccc(C)nc1N ZINC001143207723 1047541251 /nfs/dbraw/zinc/54/12/51/1047541251.db2.gz DNQSUHBRJKQAAT-MRXNPFEDSA-N 0 3 313.470 4.031 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cc4cccnc4[nH]3)C2)c1 ZINC001143223630 1047542254 /nfs/dbraw/zinc/54/22/54/1047542254.db2.gz LJAVSAWCUUXYCT-HNNXBMFYSA-N 0 3 311.816 4.206 20 0 DIADHN O=C1c2ccccc2[C@@H]2CN(Cc3ccc(C(F)F)cc3)C[C@H]12 ZINC001143243868 1047543704 /nfs/dbraw/zinc/54/37/04/1047543704.db2.gz HBYXHHHGWQNKHS-IRXDYDNUSA-N 0 3 313.347 4.036 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc(C(F)F)cc1 ZINC001143252280 1047545170 /nfs/dbraw/zinc/54/51/70/1047545170.db2.gz YBAGSSRLZCDADZ-UHFFFAOYSA-N 0 3 303.352 4.329 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cnc(-c2ccc(C)o2)s1 ZINC000093558960 1047547884 /nfs/dbraw/zinc/54/78/84/1047547884.db2.gz NXFQEHRXDXOAIS-LLVKDONJSA-N 0 3 310.488 4.285 20 0 DIADHN CC(C)N(Cc1nc(-c2ccccc2)cs1)C1CCOCC1 ZINC000093604861 1047549257 /nfs/dbraw/zinc/54/92/57/1047549257.db2.gz PDJZOLTWEIKUIM-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000093764241 1047550277 /nfs/dbraw/zinc/55/02/77/1047550277.db2.gz NDRSXFPOXPFJDE-UKRRQHHQSA-N 0 3 304.459 4.313 20 0 DIADHN CC(=O)Nc1ccc(CN2CC[C@@H](C)C[C@H]2c2ccco2)cc1 ZINC000093811891 1047552390 /nfs/dbraw/zinc/55/23/90/1047552390.db2.gz MHVBZBSNLLUKIR-KDOFPFPSSA-N 0 3 312.413 4.211 20 0 DIADHN CSCCN(C)Cc1ccc(Cl)c(OC(F)(F)F)c1 ZINC001143361899 1047554431 /nfs/dbraw/zinc/55/44/31/1047554431.db2.gz CPNZDERODOXMLE-UHFFFAOYSA-N 0 3 313.772 4.033 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ncc(-c2cccc(F)c2)o1 ZINC000476666071 1047562842 /nfs/dbraw/zinc/56/28/42/1047562842.db2.gz IQSPZJGXTYXLBD-BBRMVZONSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)(F)F)cc1)c1ccsc1 ZINC000061360654 1047566005 /nfs/dbraw/zinc/56/60/05/1047566005.db2.gz VAMQVGUJJCGLDD-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2[nH]ccc2s1)C(C)C ZINC001149868067 1047571333 /nfs/dbraw/zinc/57/13/33/1047571333.db2.gz VXJFGYQMTRYZQW-UHFFFAOYSA-N 0 3 310.403 4.258 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(-c2ccccc2F)o1 ZINC000537660185 1047571712 /nfs/dbraw/zinc/57/17/12/1047571712.db2.gz BAXWDIVWZHOZNR-UHFFFAOYSA-N 0 3 316.401 4.217 20 0 DIADHN C[C@H](NC(C)(C)c1cccs1)C(=O)Nc1ccccc1Cl ZINC000541645166 1047579028 /nfs/dbraw/zinc/57/90/28/1047579028.db2.gz DJSIJOLQNSRPTM-NSHDSACASA-N 0 3 322.861 4.253 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)/C=C\CCc2ccccc2)c1 ZINC001151010981 1047589379 /nfs/dbraw/zinc/58/93/79/1047589379.db2.gz FFSKYCCZVCRRFP-WQLSENKSSA-N 0 3 322.452 4.184 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NC1CCC(C(F)(F)F)CC1 ZINC000924627420 1047592759 /nfs/dbraw/zinc/59/27/59/1047592759.db2.gz AYUHLMVQAVKGHY-HTAVTVPLSA-N 0 3 302.340 4.071 20 0 DIADHN c1ccc(N[C@H]2CCCN(c3ccnc4ccccc43)C2)cc1 ZINC000477125016 1047596893 /nfs/dbraw/zinc/59/68/93/1047596893.db2.gz GPVXSJDDAOWEBD-KRWDZBQOSA-N 0 3 303.409 4.316 20 0 DIADHN CCOc1ccc(CN2CC=C(c3ccc(O)cc3)CC2)cc1 ZINC000477185787 1047602987 /nfs/dbraw/zinc/60/29/87/1047602987.db2.gz AISRLMFHXQTIHD-UHFFFAOYSA-N 0 3 309.409 4.080 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1ccn(C2CCCC2)n1 ZINC000477262584 1047609069 /nfs/dbraw/zinc/60/90/69/1047609069.db2.gz DKIMTDOCEJEXBW-JXFKEZNVSA-N 0 3 309.457 4.376 20 0 DIADHN c1nn(CC2CCC2)cc1CN1CCC(c2ccccc2)CC1 ZINC001204381814 1047622199 /nfs/dbraw/zinc/62/21/99/1047622199.db2.gz LBGBRCASIHQOQP-UHFFFAOYSA-N 0 3 309.457 4.063 20 0 DIADHN CC[C@@H](C)N(CC(=O)N[C@@H](C)c1ccccc1)Cc1ccccc1 ZINC000060471569 1047625564 /nfs/dbraw/zinc/62/55/64/1047625564.db2.gz FFGDWJXWWACCLW-MSOLQXFVSA-N 0 3 324.468 4.165 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN1CCC[C@@H]1C1CCC1 ZINC000477571915 1047626542 /nfs/dbraw/zinc/62/65/42/1047626542.db2.gz UTPYTGCNNKQNBG-GOSISDBHSA-N 0 3 314.473 4.187 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)sc1C ZINC000477761482 1047634538 /nfs/dbraw/zinc/63/45/38/1047634538.db2.gz ICCGLRVNGWXDFV-IAQYHMDHSA-N 0 3 304.434 4.277 20 0 DIADHN O=C(Nc1cccc(C(F)F)c1)[C@@H]1CCCCN1C1CCCC1 ZINC000537729454 1047634978 /nfs/dbraw/zinc/63/49/78/1047634978.db2.gz DGTNXMMXODAOGM-INIZCTEOSA-N 0 3 322.399 4.360 20 0 DIADHN CSc1cc(CNCc2ccc(C(C)(C)C)cc2)ccn1 ZINC000537862059 1047641204 /nfs/dbraw/zinc/64/12/04/1047641204.db2.gz YMYOEFPHKDJRPT-UHFFFAOYSA-N 0 3 300.471 4.391 20 0 DIADHN CC[C@H](COC)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000537940846 1047644812 /nfs/dbraw/zinc/64/48/12/1047644812.db2.gz YKCYKFQBQGLFEZ-AEFFLSMTSA-N 0 3 318.848 4.033 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H]2CCCCc3ccccc32)C1 ZINC000537953262 1047646563 /nfs/dbraw/zinc/64/65/63/1047646563.db2.gz MFONSUDLDLSUSN-SFTDATJTSA-N 0 3 306.453 4.230 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1nnn(-c2ccccc2)c1C ZINC000537983562 1047649540 /nfs/dbraw/zinc/64/95/40/1047649540.db2.gz PQOVXCWVEXDGIC-KKUMJFAQSA-N 0 3 300.450 4.051 20 0 DIADHN C[C@@H]1CN(C)C[C@@H]1N[C@@H](c1cc2ccccc2o1)c1ccccc1 ZINC000537972802 1047651520 /nfs/dbraw/zinc/65/15/20/1047651520.db2.gz CRCKVHUGJIZIME-FYINFDKHSA-N 0 3 320.436 4.062 20 0 DIADHN C[C@@H]1CN(C)C[C@@H]1N[C@H](c1cc2ccccc2o1)c1ccccc1 ZINC000537972803 1047651685 /nfs/dbraw/zinc/65/16/85/1047651685.db2.gz CRCKVHUGJIZIME-YWMUFLPLSA-N 0 3 320.436 4.062 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cc(-c2ccc(C)cc2)no1 ZINC000537995866 1047653392 /nfs/dbraw/zinc/65/33/92/1047653392.db2.gz UBHSZDJCVNCOJL-OAHLLOKOSA-N 0 3 304.459 4.223 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cccc(Br)c1 ZINC000538001953 1047654327 /nfs/dbraw/zinc/65/43/27/1047654327.db2.gz GKHRYABPICDRMY-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN COC(=O)c1ccoc1CN1CCC[C@H]1CC1CCCCC1 ZINC000060892788 1047656165 /nfs/dbraw/zinc/65/61/65/1047656165.db2.gz AIRMPIREZOAUSA-HNNXBMFYSA-N 0 3 305.418 4.001 20 0 DIADHN COCCOc1ccccc1CN[C@H](c1ccccc1)C(C)C ZINC000538060651 1047656669 /nfs/dbraw/zinc/65/66/69/1047656669.db2.gz PQLCTYYIRIRRFC-FQEVSTJZSA-N 0 3 313.441 4.199 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccccc2C)C(C)C)s1 ZINC000538160753 1047660074 /nfs/dbraw/zinc/66/00/74/1047660074.db2.gz PMRBPOFTPOHSKD-QGZVFWFLSA-N 0 3 317.454 4.330 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](c1ccccc1C)C(C)C ZINC000538159433 1047660689 /nfs/dbraw/zinc/66/06/89/1047660689.db2.gz FXZCICRECWGSLJ-HXUWFJFHSA-N 0 3 310.441 4.229 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cc(C)cc(C)c2)c(F)c1 ZINC000538217035 1047662395 /nfs/dbraw/zinc/66/23/95/1047662395.db2.gz JHKAJOFUWLJBCP-OAHLLOKOSA-N 0 3 314.404 4.040 20 0 DIADHN CCc1ccc(NC(=O)c2ccc(CN(C)C)cc2)cc1CC ZINC000538273756 1047666476 /nfs/dbraw/zinc/66/64/76/1047666476.db2.gz TVMXGYBBZMHISQ-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1cn(C)nc1-c1ccccc1 ZINC000538305581 1047668050 /nfs/dbraw/zinc/66/80/50/1047668050.db2.gz SWYGSWDKSYDMFU-INIZCTEOSA-N 0 3 317.436 4.206 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cc(-c2ccccc2)ccn1 ZINC001155247470 1047673366 /nfs/dbraw/zinc/67/33/66/1047673366.db2.gz IHGNNDJFJMNHGZ-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN Cc1c(Cl)cnc(Cl)c1N[C@@H]1CNCc2ccsc21 ZINC001155563906 1047684881 /nfs/dbraw/zinc/68/48/81/1047684881.db2.gz DWKWPWZKNOBTEV-SNVBAGLBSA-N 0 3 314.241 4.015 20 0 DIADHN CC(C)c1ccc2ncnc(N[C@H]3CNCc4ccsc43)c2c1 ZINC001155566292 1047685258 /nfs/dbraw/zinc/68/52/58/1047685258.db2.gz YIXDKZOTOSJHGF-INIZCTEOSA-N 0 3 324.453 4.071 20 0 DIADHN CN(C)C[C@H](NC(=O)CC1(C)CCCC1)c1ccc(Cl)cc1 ZINC001155643672 1047685859 /nfs/dbraw/zinc/68/58/59/1047685859.db2.gz SAWHYZYIYHZZDY-INIZCTEOSA-N 0 3 322.880 4.029 20 0 DIADHN CCCC[C@@H](CC)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643467 1047686261 /nfs/dbraw/zinc/68/62/61/1047686261.db2.gz RVZGATXJZSXPHL-RHSMWYFYSA-N 0 3 324.896 4.275 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1(c3ccc(F)cc3)CC1)CCC2 ZINC000538554747 1047687829 /nfs/dbraw/zinc/68/78/29/1047687829.db2.gz YDGBGUSFITVMST-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN CCCCCC[C@H](CC)C(=O)NCCN1CCCC(C)(C)C1 ZINC001155877509 1047690444 /nfs/dbraw/zinc/69/04/44/1047690444.db2.gz MLTOAWNSWWRSBF-KRWDZBQOSA-N 0 3 310.526 4.221 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(F)c(F)c(F)c2)C1 ZINC000538724954 1047706140 /nfs/dbraw/zinc/70/61/40/1047706140.db2.gz KSSHDQUDEYFTIX-NWDGAFQWSA-N 0 3 303.393 4.210 20 0 DIADHN CCCN1CCCC[C@@H]1c1ccc(NCC(=O)C(C)(C)C)nc1 ZINC001156060063 1047697918 /nfs/dbraw/zinc/69/79/18/1047697918.db2.gz INOQKUVLEWUCOX-MRXNPFEDSA-N 0 3 317.477 4.046 20 0 DIADHN Cc1ccsc1CN1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000538717370 1047697970 /nfs/dbraw/zinc/69/79/70/1047697970.db2.gz WYADNLVAYDSGMI-HNNXBMFYSA-N 0 3 317.429 4.291 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000538717294 1047699616 /nfs/dbraw/zinc/69/96/16/1047699616.db2.gz RZJQNTUEFHUKBW-GDBMZVCRSA-N 0 3 308.491 4.395 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000538723489 1047700665 /nfs/dbraw/zinc/70/06/65/1047700665.db2.gz HLCPHXWMLRERTH-KDOFPFPSSA-N 0 3 315.461 4.111 20 0 DIADHN CCc1ncc(CN2CCC(c3ccc(OC)cc3)CC2)s1 ZINC000538731220 1047703582 /nfs/dbraw/zinc/70/35/82/1047703582.db2.gz PGXHZHWUDUCADW-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(Cc2cnn3ccccc23)C1 ZINC000538737186 1047704856 /nfs/dbraw/zinc/70/48/56/1047704856.db2.gz KFEFIYWJQPGIRV-LIRRHRJNSA-N 0 3 309.388 4.057 20 0 DIADHN c1ncc(CN2CCc3ccccc3[C@H]2Cc2ccccc2)s1 ZINC000538802669 1047712090 /nfs/dbraw/zinc/71/20/90/1047712090.db2.gz MTNYTRVRYVCACQ-HXUWFJFHSA-N 0 3 320.461 4.485 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCOc1ccc(F)cc1Cl ZINC000538782949 1047712191 /nfs/dbraw/zinc/71/21/91/1047712191.db2.gz ONVWLLFOFHMDIL-LBPRGKRZSA-N 0 3 323.795 4.257 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@@H]1CCC[C@H](C)C1 ZINC000538912824 1047718705 /nfs/dbraw/zinc/71/87/05/1047718705.db2.gz DGEVDENDNCTNHN-UONOGXRCSA-N 0 3 324.415 4.052 20 0 DIADHN CC(C)P(CCNC(=O)c1cccc2cnsc21)C(C)C ZINC001156673887 1047720048 /nfs/dbraw/zinc/72/00/48/1047720048.db2.gz FOENYZABWQUKEC-UHFFFAOYSA-N 0 3 322.414 4.325 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H]2CC(C)(C)c3ccccc32)C1 ZINC000539055414 1047728397 /nfs/dbraw/zinc/72/83/97/1047728397.db2.gz ACHITHOIHXSXSR-UXHICEINSA-N 0 3 306.453 4.185 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H](C)c2ccccc2F)cc1 ZINC000539051324 1047728527 /nfs/dbraw/zinc/72/85/27/1047728527.db2.gz FIKCITBDMQDFEE-CKFHNAJUSA-N 0 3 317.404 4.261 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCC[C@H]2c2ccccc2)s1 ZINC000539057960 1047729305 /nfs/dbraw/zinc/72/93/05/1047729305.db2.gz FUCVHGCDPWHXRV-GJZGRUSLSA-N 0 3 315.486 4.262 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCCC[C@H]2c2ccccc2)s1 ZINC000539060192 1047729365 /nfs/dbraw/zinc/72/93/65/1047729365.db2.gz NULCJNFBJBHKTC-JKSUJKDBSA-N 0 3 315.486 4.478 20 0 DIADHN CC(C)N1CC[C@H](N[C@H](C)c2ccc(-c3ccccc3)cc2)C1=O ZINC000539085730 1047732510 /nfs/dbraw/zinc/73/25/10/1047732510.db2.gz UDRMRYDWFBESTI-UZLBHIALSA-N 0 3 322.452 4.014 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000539068042 1047734383 /nfs/dbraw/zinc/73/43/83/1047734383.db2.gz ZSDBIYBGXVQPQZ-IQUTYRLHSA-N 0 3 317.404 4.261 20 0 DIADHN CC(C)CSCCCN1CC(c2nc3ccccc3s2)C1 ZINC000539144147 1047738517 /nfs/dbraw/zinc/73/85/17/1047738517.db2.gz VRJNMJLEYLKGIE-UHFFFAOYSA-N 0 3 320.527 4.475 20 0 DIADHN COC(=O)c1cc(CN[C@@H](CC(C)C)c2ccccc2)c(C)o1 ZINC000539243384 1047743863 /nfs/dbraw/zinc/74/38/63/1047743863.db2.gz JDAFXPADSFLNND-KRWDZBQOSA-N 0 3 315.413 4.252 20 0 DIADHN COc1ccc(C2(NCCOC3CCCCCC3)CC2)cc1 ZINC000539263183 1047744887 /nfs/dbraw/zinc/74/48/87/1047744887.db2.gz RNHFFIXTCCMMEO-UHFFFAOYSA-N 0 3 303.446 4.013 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](C)c2cccc(C)c2C)c1 ZINC000539288315 1047745305 /nfs/dbraw/zinc/74/53/05/1047745305.db2.gz WSNYUZAJDRJDKG-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C(CC(C)C)CC(C)C ZINC001157557070 1047745962 /nfs/dbraw/zinc/74/59/62/1047745962.db2.gz JDNZZYFSACIYND-UHFFFAOYSA-N 0 3 324.553 4.419 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2c(C)cccc2C)c1 ZINC000539313710 1047746415 /nfs/dbraw/zinc/74/64/15/1047746415.db2.gz BFMGLKAXGMMGCJ-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)Nc2ccccc2Cl)c1 ZINC000539307397 1047747122 /nfs/dbraw/zinc/74/71/22/1047747122.db2.gz JBOGRFUSLODMPM-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN COc1cccc(C(F)(F)F)c1CNc1cc(C)cc(C)n1 ZINC001157694029 1047750213 /nfs/dbraw/zinc/75/02/13/1047750213.db2.gz BKLHFKXTPRTUQA-UHFFFAOYSA-N 0 3 310.319 4.338 20 0 DIADHN Clc1cc2ccccc2nc1N1CCN[C@H](c2ccccc2)C1 ZINC001157759601 1047753213 /nfs/dbraw/zinc/75/32/13/1047753213.db2.gz QOQUMTGFLZUDEV-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN Clc1cc(N2CCN[C@H](c3ccccc3)C2)c2ccccc2n1 ZINC001157760557 1047753307 /nfs/dbraw/zinc/75/33/07/1047753307.db2.gz VFIYQFSXZNYEEE-KRWDZBQOSA-N 0 3 323.827 4.039 20 0 DIADHN CC(C)[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477846058 1047753628 /nfs/dbraw/zinc/75/36/28/1047753628.db2.gz JZOURIZWFZGWDB-FUHWJXTLSA-N 0 3 320.452 4.389 20 0 DIADHN CCC[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccccn1 ZINC000477850228 1047755677 /nfs/dbraw/zinc/75/56/77/1047755677.db2.gz IPXYCXFWHRLPKX-IRXDYDNUSA-N 0 3 302.462 4.394 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C)nc2)cc2ccccc21 ZINC000924694751 1047757095 /nfs/dbraw/zinc/75/70/95/1047757095.db2.gz HUGQBQMUDIVQNG-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCCCOc1ccc(C)cc1 ZINC000924697072 1047765263 /nfs/dbraw/zinc/76/52/63/1047765263.db2.gz SFJBBDHFAWMTJQ-KRWDZBQOSA-N 0 3 314.429 4.118 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)CCOc1ccccc1)CCC2 ZINC000478043236 1047766263 /nfs/dbraw/zinc/76/62/63/1047766263.db2.gz CCAQEVSOYRGOJE-MRXNPFEDSA-N 0 3 316.470 4.094 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCCOC1CCCCCC1 ZINC000464611209 1047770090 /nfs/dbraw/zinc/77/00/90/1047770090.db2.gz OVMRPMUJEUPGMS-OAHLLOKOSA-N 0 3 321.509 4.337 20 0 DIADHN C[C@H]1C[C@H](Nc2ccc(CN(C)C3CC3)cn2)c2ccccc21 ZINC001158474996 1047784247 /nfs/dbraw/zinc/78/42/47/1047784247.db2.gz AAFXGBDHRBMEDY-LIRRHRJNSA-N 0 3 307.441 4.336 20 0 DIADHN CCCN(C(=O)[C@@H](CC)N(CC)CC)c1ccc(CC)cc1 ZINC001158544537 1047788861 /nfs/dbraw/zinc/78/88/61/1047788861.db2.gz ACFQWMCSIYVTLT-GOSISDBHSA-N 0 3 304.478 4.112 20 0 DIADHN CC(C)CC1(C(=O)N2CCN(C)C3(CCCCC3)C2)CCCC1 ZINC001158701771 1047795355 /nfs/dbraw/zinc/79/53/55/1047795355.db2.gz GWLRMKKWESVNKC-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc(C)c1F ZINC000927069757 1047795683 /nfs/dbraw/zinc/79/56/83/1047795683.db2.gz NEKNZODQCWFTOY-KSSFIOAISA-N 0 3 303.425 4.224 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1F ZINC000927070720 1047798163 /nfs/dbraw/zinc/79/81/63/1047798163.db2.gz QDFVFYZVIOVSJI-PXAZEXFGSA-N 0 3 321.465 4.329 20 0 DIADHN Cc1ccc(OCCCN(Cc2ccncc2)C2CC2)cc1C ZINC000552822715 1047798440 /nfs/dbraw/zinc/79/84/40/1047798440.db2.gz HNWANERQWQXJOA-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1cc(NC[C@@H](c2ccco2)N2CCCC2)nc2ccccc12 ZINC000247818077 1047820949 /nfs/dbraw/zinc/82/09/49/1047820949.db2.gz FJQPQRIFLPAOPB-SFHVURJKSA-N 0 3 321.424 4.385 20 0 DIADHN CSc1cccc(NC(=O)[C@@H]2CCCN2C2CCCC2)c1C ZINC000553095291 1047822610 /nfs/dbraw/zinc/82/26/10/1047822610.db2.gz ONOUGTJVGUSQFB-INIZCTEOSA-N 0 3 318.486 4.062 20 0 DIADHN CSc1ccc(Nc2ccnc(CN)c2)cc1C(F)(F)F ZINC001159297026 1047826587 /nfs/dbraw/zinc/82/65/87/1047826587.db2.gz XZKWDTOWXYWWGS-UHFFFAOYSA-N 0 3 313.348 4.025 20 0 DIADHN NCc1cc(Nc2ccc(OCc3ccc(F)cc3)cc2)ccn1 ZINC001159296446 1047826697 /nfs/dbraw/zinc/82/66/97/1047826697.db2.gz NYILKSWTBKQZIN-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(Nc2ccnc(CN)c2)c1 ZINC001159296683 1047827008 /nfs/dbraw/zinc/82/70/08/1047827008.db2.gz PJSFXOJOLLORFP-UHFFFAOYSA-N 0 3 319.408 4.171 20 0 DIADHN NCc1cc(Nc2cc(F)ccc2OCc2ccccc2)ccn1 ZINC001159296267 1047827299 /nfs/dbraw/zinc/82/72/99/1047827299.db2.gz JLBWMVUOUBBUPO-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)OC)c1)c1cccc(Cl)c1 ZINC000553209243 1047829998 /nfs/dbraw/zinc/82/99/98/1047829998.db2.gz WFLYWWATRLKDJE-KRWDZBQOSA-N 0 3 317.816 4.368 20 0 DIADHN COc1ncc(CN[C@H](CC2CC2)c2ccccc2)cc1Cl ZINC000553333432 1047839786 /nfs/dbraw/zinc/83/97/86/1047839786.db2.gz VCZLVQWQVBAQAA-QGZVFWFLSA-N 0 3 316.832 4.375 20 0 DIADHN C[C@@]1(C(F)(F)F)CCN(Cc2ccc([Si](C)(C)C)cc2)C1 ZINC001197552267 1047845411 /nfs/dbraw/zinc/84/54/11/1047845411.db2.gz ICIGBUCETNEKJQ-OAHLLOKOSA-N 0 3 315.455 4.006 20 0 DIADHN Cc1ccc2oc(CNCc3ccc(-n4ccnc4)cc3)cc2c1 ZINC000553381409 1047845915 /nfs/dbraw/zinc/84/59/15/1047845915.db2.gz VKMLUHFUHGVRLC-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN Nc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001159464793 1047850262 /nfs/dbraw/zinc/85/02/62/1047850262.db2.gz MLSJVKGFHANHJM-UXHICEINSA-N 0 3 305.425 4.002 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C)[C@@H]2c2ccccc2)cs1 ZINC001197657239 1047860411 /nfs/dbraw/zinc/86/04/11/1047860411.db2.gz ACQWSBDTXWAVMG-SUMWQHHRSA-N 0 3 315.438 4.118 20 0 DIADHN CNc1ncc2cc(Nc3ccnc(C(C)(C)C)c3)ccc2n1 ZINC001174531233 1047860726 /nfs/dbraw/zinc/86/07/26/1047860726.db2.gz POWGUDXMGONAIP-UHFFFAOYSA-N 0 3 307.401 4.108 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1cc2c(s1)CCCC2 ZINC000927100078 1047863991 /nfs/dbraw/zinc/86/39/91/1047863991.db2.gz SJFAKHXEZYEXIO-LBPRGKRZSA-N 0 3 315.486 4.089 20 0 DIADHN Cc1cnccc1Nc1ccc2sc(C(F)(F)F)nc2c1 ZINC001174538253 1047865042 /nfs/dbraw/zinc/86/50/42/1047865042.db2.gz CAVHIROLQLSJFL-UHFFFAOYSA-N 0 3 309.316 4.184 20 0 DIADHN Cc1cnccc1Nc1ccc(-c2ccc(N)cc2F)c(F)c1 ZINC001174538703 1047866321 /nfs/dbraw/zinc/86/63/21/1047866321.db2.gz MBBRMUGGHNSUGF-UHFFFAOYSA-N 0 3 311.335 4.083 20 0 DIADHN Cc1cnccc1Nc1ccc(Oc2ccnc(Cl)c2)cc1 ZINC001174539202 1047866541 /nfs/dbraw/zinc/86/65/41/1047866541.db2.gz XQZKRLLRUFVIOK-UHFFFAOYSA-N 0 3 311.772 4.396 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(OC)s2)CC[C@H]1c1ccccc1 ZINC001204358893 1047873744 /nfs/dbraw/zinc/87/37/44/1047873744.db2.gz MWXHTLLKHQILND-RHSMWYFYSA-N 0 3 316.470 4.167 20 0 DIADHN CCCc1cccc(CNCc2ccc(OC)c(OCC)c2)c1 ZINC000479361617 1047874512 /nfs/dbraw/zinc/87/45/12/1047874512.db2.gz BQURJSKIHGIQHL-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN Cc1ccc2nccc(N3CCC(F)(c4cccnc4)CC3)c2c1 ZINC000553561983 1047875402 /nfs/dbraw/zinc/87/54/02/1047875402.db2.gz IOHUSALWNVZLDF-UHFFFAOYSA-N 0 3 321.399 4.403 20 0 DIADHN COc1cccc(C2(NCc3cccc4c3NCCC4)CCC2)c1 ZINC001183034962 1047876985 /nfs/dbraw/zinc/87/69/85/1047876985.db2.gz AGFPSPMEMUKUPC-UHFFFAOYSA-N 0 3 322.452 4.222 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1Cc2c[nH]nc2C[C@@H](C)C1 ZINC001197785299 1047879814 /nfs/dbraw/zinc/87/98/14/1047879814.db2.gz CMQNMPKERNLWCS-VXGBXAGGSA-N 0 3 323.362 4.184 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1Cc2c[nH]nc2C[C@H](C)C1 ZINC001197785298 1047879953 /nfs/dbraw/zinc/87/99/53/1047879953.db2.gz CMQNMPKERNLWCS-RYUDHWBXSA-N 0 3 323.362 4.184 20 0 DIADHN Fc1ccc(CCCCNCc2csc(C3CC3)n2)cc1F ZINC000479391601 1047880544 /nfs/dbraw/zinc/88/05/44/1047880544.db2.gz KJDVPNVVVOTPKI-UHFFFAOYSA-N 0 3 322.424 4.411 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCOc2ccc(O)cc2C1 ZINC001183025511 1047881009 /nfs/dbraw/zinc/88/10/09/1047881009.db2.gz SEWOYRHBFFAHDL-OAHLLOKOSA-N 0 3 303.446 4.193 20 0 DIADHN CC(C)c1ccc(CCNCc2nc3c(s2)CCC3)cc1 ZINC000775788691 1047884167 /nfs/dbraw/zinc/88/41/67/1047884167.db2.gz ABESDUXCXCESGI-UHFFFAOYSA-N 0 3 300.471 4.088 20 0 DIADHN Fc1cccnc1CNCCc1cccc(-c2ccccc2)c1 ZINC001183053359 1047884282 /nfs/dbraw/zinc/88/42/82/1047884282.db2.gz LEZWJTIGNAWHTG-UHFFFAOYSA-N 0 3 306.384 4.220 20 0 DIADHN COCCN(Cc1ccc(F)cc1)Cc1ccc(C)c(C)c1 ZINC001197854040 1047888577 /nfs/dbraw/zinc/88/85/77/1047888577.db2.gz DANNTSBQJVNTQZ-UHFFFAOYSA-N 0 3 301.405 4.091 20 0 DIADHN CC(C)P(CCNC(=O)CCOCc1ccccc1)C(C)C ZINC001183095007 1047891661 /nfs/dbraw/zinc/89/16/61/1047891661.db2.gz YPXRDORHQISUMI-UHFFFAOYSA-N 0 3 323.417 4.008 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001197901072 1047895669 /nfs/dbraw/zinc/89/56/69/1047895669.db2.gz HMDAUHRGOLLQNT-DZGCQCFKSA-N 0 3 303.837 4.014 20 0 DIADHN FC(F)(F)C1CCN(Cc2cc3ccncc3s2)CC1 ZINC000667495465 1047898757 /nfs/dbraw/zinc/89/87/57/1047898757.db2.gz KPIZXWOWZIFHAS-UHFFFAOYSA-N 0 3 300.349 4.071 20 0 DIADHN CCc1ccc(C2CCN(Cc3ccc(F)nc3C)CC2)cc1 ZINC001183181885 1047901179 /nfs/dbraw/zinc/90/11/79/1047901179.db2.gz ZOZQIYCFEFCRFO-UHFFFAOYSA-N 0 3 312.432 4.471 20 0 DIADHN c1cc2ccc(NC3=CCCN(Cc4ccccc4)C3)cc2[nH]1 ZINC001159690216 1047903608 /nfs/dbraw/zinc/90/36/08/1047903608.db2.gz NOWNUSANJQJFJA-UHFFFAOYSA-N 0 3 303.409 4.370 20 0 DIADHN CC1(C)c2ccccc2-c2ccc(Nc3ccncc3CN)cc21 ZINC001159703961 1047905191 /nfs/dbraw/zinc/90/51/91/1047905191.db2.gz QQEWMRNDNPJNJP-UHFFFAOYSA-N 0 3 315.420 4.012 20 0 DIADHN Cc1cc(CNC[C@@H](C)C(F)(F)F)c(OC(F)F)cc1C ZINC000479605795 1047939134 /nfs/dbraw/zinc/93/91/34/1047939134.db2.gz YOFMRSQXNCKOKE-SNVBAGLBSA-N 0 3 311.294 4.193 20 0 DIADHN COCc1csc(CN(Cc2ccco2)C2CCCC2)c1 ZINC000667500060 1047908813 /nfs/dbraw/zinc/90/88/13/1047908813.db2.gz BDFDWLWCAUUZMV-UHFFFAOYSA-N 0 3 305.443 4.432 20 0 DIADHN CC[C@H](NC[C@H](OC)C1CCCC1)c1cc(F)ccc1OC ZINC001197968627 1047910652 /nfs/dbraw/zinc/91/06/52/1047910652.db2.gz KTQLNFQRRSGPMA-WMZOPIPTSA-N 0 3 309.425 4.080 20 0 DIADHN NCc1cnccc1Nc1ccccc1-c1ccc(Cl)cc1 ZINC001159723156 1047910590 /nfs/dbraw/zinc/91/05/90/1047910590.db2.gz PKFSWOJBDDNPKJ-UHFFFAOYSA-N 0 3 309.800 4.026 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCC2(CCC2)O1)c1cc(F)ccc1OC ZINC001197970777 1047912139 /nfs/dbraw/zinc/91/21/39/1047912139.db2.gz ZDNYHKGEUCOYLK-DOTOQJQBSA-N 0 3 321.436 4.367 20 0 DIADHN C[C@@H](NCc1cccc(NC(=O)C2CCCC2)c1)C1(Cl)CC1 ZINC000924722192 1047913481 /nfs/dbraw/zinc/91/34/81/1047913481.db2.gz SBFRDBOGHFSZOU-CYBMUJFWSA-N 0 3 320.864 4.065 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@@H]3C(C)=CCC[C@@H]3C)CCO2)cc1 ZINC001183362911 1047919542 /nfs/dbraw/zinc/91/95/42/1047919542.db2.gz FTKJPMSGDRQOAP-DBVUQKKJSA-N 0 3 315.457 4.061 20 0 DIADHN COC[C@@H](C)N(Cc1cccc(C)c1)Cc1cccc(OC)c1 ZINC001203894164 1047921448 /nfs/dbraw/zinc/92/14/48/1047921448.db2.gz GPLNQBMUEYKYLT-QGZVFWFLSA-N 0 3 313.441 4.041 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCc1sccc1C ZINC000479544028 1047924468 /nfs/dbraw/zinc/92/44/68/1047924468.db2.gz NJOCDHCZDIIEDA-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN CCCc1cccc(CN2CCC[C@@H](C(=O)OC(C)(C)C)C2)c1 ZINC001183396540 1047924653 /nfs/dbraw/zinc/92/46/53/1047924653.db2.gz RFBOWQMJIKQSHZ-GOSISDBHSA-N 0 3 317.473 4.193 20 0 DIADHN C[C@@]1(NCCOC2CCCCCC2)CCOc2ccccc21 ZINC001198071426 1047925229 /nfs/dbraw/zinc/92/52/29/1047925229.db2.gz JKXKGOUUDPDFPS-LJQANCHMSA-N 0 3 303.446 4.013 20 0 DIADHN CCOc1c(Cl)cccc1CNCC1(OC(C)C)CCC1 ZINC001203566659 1047926155 /nfs/dbraw/zinc/92/61/55/1047926155.db2.gz XVWRKQSWASEGKQ-UHFFFAOYSA-N 0 3 311.853 4.176 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC001174614272 1047926701 /nfs/dbraw/zinc/92/67/01/1047926701.db2.gz CZDASXZGLUWGQU-HIFRSBDPSA-N 0 3 319.342 4.148 20 0 DIADHN O[C@@H](Cc1ccc2ccccc2c1)CN(Cc1ccoc1)C1CC1 ZINC001198148100 1047929477 /nfs/dbraw/zinc/92/94/77/1047929477.db2.gz WDNIYGUICNNLPT-NRFANRHFSA-N 0 3 321.420 4.001 20 0 DIADHN COCC1=CCN(Cc2ccc3oc4ccccc4c3c2)CC1 ZINC000775825492 1047932601 /nfs/dbraw/zinc/93/26/01/1047932601.db2.gz CYRNYALYKJHKQD-UHFFFAOYSA-N 0 3 307.393 4.365 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1NCCc1cccc(Cl)c1 ZINC001183492002 1047934708 /nfs/dbraw/zinc/93/47/08/1047934708.db2.gz UVYVYPVNXMNVCG-MSOLQXFVSA-N 0 3 303.808 4.498 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000479571373 1047934783 /nfs/dbraw/zinc/93/47/83/1047934783.db2.gz ZIKUXYRHIKBPBD-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc(Br)c2N)ccn1 ZINC001159866418 1047939133 /nfs/dbraw/zinc/93/91/33/1047939133.db2.gz PETBOOUPOLXFBV-UHFFFAOYSA-N 0 3 320.234 4.467 20 0 DIADHN Nc1ccc(Cl)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001159871138 1047939165 /nfs/dbraw/zinc/93/91/65/1047939165.db2.gz SFJZQQFTNJETAR-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1cccc(C2CC2)c1 ZINC001159871734 1047940343 /nfs/dbraw/zinc/94/03/43/1047940343.db2.gz FQNNTBNBQMXOLP-UHFFFAOYSA-N 0 3 323.440 4.234 20 0 DIADHN Cc1ccc(CNCCc2ccc(F)cc2Cl)c(Cl)n1 ZINC001183551154 1047943066 /nfs/dbraw/zinc/94/30/66/1047943066.db2.gz PMULBLQHXGRNQT-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C2CCC2)cc1Br ZINC000479666954 1047947730 /nfs/dbraw/zinc/94/77/30/1047947730.db2.gz QMWSDDSSBOKFLY-OAHLLOKOSA-N 0 3 324.262 4.222 20 0 DIADHN CC1(C)CN(Cc2cccc(F)c2OC(F)F)[C@@H]2CCC[C@@H]21 ZINC001198457763 1047958319 /nfs/dbraw/zinc/95/83/19/1047958319.db2.gz JNQKGGNFVSQRMX-GXTWGEPZSA-N 0 3 313.363 4.438 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(n2cc(Cl)cn2)CC1 ZINC001168361928 1047958413 /nfs/dbraw/zinc/95/84/13/1047958413.db2.gz JCIPZVSUXHNCCT-UHFFFAOYSA-N 0 3 324.255 4.335 20 0 DIADHN Cc1nc([C@H](C)NCC[C@@H]2OCCc3ccccc32)c(C)s1 ZINC000927118466 1047966280 /nfs/dbraw/zinc/96/62/80/1047966280.db2.gz YTVPFUNZMPFBCP-SJCJKPOMSA-N 0 3 316.470 4.115 20 0 DIADHN c1ccc(Oc2ccc(CNC3(C4CCCCC4)CC3)nn2)cc1 ZINC001198539202 1047969147 /nfs/dbraw/zinc/96/91/47/1047969147.db2.gz CHNLQNBMPOKFAR-UHFFFAOYSA-N 0 3 323.440 4.471 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccccc1C1=CC[NH2+]CC1 ZINC001160023083 1047971438 /nfs/dbraw/zinc/97/14/38/1047971438.db2.gz FOXWVTSTRNPEKE-UHFFFAOYSA-N 0 3 300.789 4.166 20 0 DIADHN Cc1ccc2cc(CN3CCC(OC(C)(C)C)CC3)[nH]c2c1 ZINC000667552860 1047973184 /nfs/dbraw/zinc/97/31/84/1047973184.db2.gz WVPAQXHHFAZXDQ-UHFFFAOYSA-N 0 3 300.446 4.256 20 0 DIADHN Fc1cc(Br)cc([C@H](NC/C=C\Cl)C2CC2)c1 ZINC000775871762 1047975787 /nfs/dbraw/zinc/97/57/87/1047975787.db2.gz MKLAVLZQGQPQSE-MAWXDTMDSA-N 0 3 318.617 4.381 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2cncc(Cl)c2)cc1 ZINC001198604066 1047983286 /nfs/dbraw/zinc/98/32/86/1047983286.db2.gz GVGDSSSICNLLCE-KRWDZBQOSA-N 0 3 316.832 4.038 20 0 DIADHN C[C@H](NC[C@]1(c2ccccc2)CCCO1)c1ccc(Cl)cn1 ZINC000927122007 1047985437 /nfs/dbraw/zinc/98/54/37/1047985437.db2.gz XWWUWFCQTXRLCR-KSSFIOAISA-N 0 3 316.832 4.092 20 0 DIADHN C[C@@H](NC[C@@]1(c2ccccc2)CCCO1)c1ccc(Cl)cn1 ZINC000927122010 1047985460 /nfs/dbraw/zinc/98/54/60/1047985460.db2.gz XWWUWFCQTXRLCR-RDTXWAMCSA-N 0 3 316.832 4.092 20 0 DIADHN COc1cccc(C2CC(NCc3c(F)cc(C)cc3F)C2)c1 ZINC001183957522 1047986122 /nfs/dbraw/zinc/98/61/22/1047986122.db2.gz BIQSZPLAPFMGDT-UHFFFAOYSA-N 0 3 317.379 4.318 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@H]1CC[C@H]1C1CC1 ZINC000554043006 1047988736 /nfs/dbraw/zinc/98/87/36/1047988736.db2.gz DWFIBRLKMLJMDP-ROUUACIJSA-N 0 3 312.482 4.207 20 0 DIADHN C[C@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1ccc(F)cc1F ZINC000479875000 1047989297 /nfs/dbraw/zinc/98/92/97/1047989297.db2.gz PARPSSAZCTYMKW-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001203243809 1047989936 /nfs/dbraw/zinc/98/99/36/1047989936.db2.gz RVTKIZJZGOXIHH-NHCUHLMSSA-N 0 3 309.453 4.412 20 0 DIADHN COC[C@H](NC[C@]1(C)CCCS1)c1cccc(Cl)c1F ZINC000554045738 1047991457 /nfs/dbraw/zinc/99/14/57/1047991457.db2.gz TWHHEWNASYZXFM-ZFWWWQNUSA-N 0 3 317.857 4.042 20 0 DIADHN Cc1ccc(F)cc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001174887538 1047994745 /nfs/dbraw/zinc/99/47/45/1047994745.db2.gz LSQNDMIHVNFTOW-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN Nc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1Cl ZINC001160206433 1047995288 /nfs/dbraw/zinc/99/52/88/1047995288.db2.gz MQHOWAOVGAZVAA-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN Cc1ccc(Cl)cc1CN[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC001184153296 1047999199 /nfs/dbraw/zinc/99/91/99/1047999199.db2.gz DTPXQEIOZXVUSX-MRXNPFEDSA-N 0 3 318.852 4.178 20 0 DIADHN Cc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)ccc1N ZINC001160211303 1047999312 /nfs/dbraw/zinc/99/93/12/1047999312.db2.gz HDWBVWHVRAHUMS-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccc2[nH]cnc2c1 ZINC001160224553 1048002839 /nfs/dbraw/zinc/00/28/39/1048002839.db2.gz SRDCJPSPQVWCOZ-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Clc1cccc(OCCCN2CCCC[C@]23CCCOC3)c1 ZINC001198827613 1048007821 /nfs/dbraw/zinc/00/78/21/1048007821.db2.gz FMNOIOKVTFHJSC-GOSISDBHSA-N 0 3 323.864 4.144 20 0 DIADHN CCOc1ccc(CNCCSCc2ccc(C)cc2)o1 ZINC001198901678 1048014835 /nfs/dbraw/zinc/01/48/35/1048014835.db2.gz XFNPRLCNOBRPMY-UHFFFAOYSA-N 0 3 305.443 4.010 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccc(C[C@@H]2CCC[NH2+]C2)cc1 ZINC001160265145 1048015329 /nfs/dbraw/zinc/01/53/29/1048015329.db2.gz KGQVGOISXIRVNG-AWEZNQCLSA-N 0 3 316.832 4.331 20 0 DIADHN CSC(C)(C)CCN(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC001184240384 1048016326 /nfs/dbraw/zinc/01/63/26/1048016326.db2.gz WWMMRKIQPZPDBP-UHFFFAOYSA-N 0 3 303.475 4.040 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2o1)C[C@H]1CC1(C)C ZINC001174916158 1048027803 /nfs/dbraw/zinc/02/78/03/1048027803.db2.gz PMHZWUSDYKXRNX-SNVBAGLBSA-N 0 3 323.234 4.068 20 0 DIADHN Cc1ccc(N)c(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001160336483 1048036290 /nfs/dbraw/zinc/03/62/90/1048036290.db2.gz YDWWZPNJHAFFFH-MOPGFXCFSA-N 0 3 319.452 4.310 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H](c1nc(C)no1)C(C)C ZINC001184437990 1048036602 /nfs/dbraw/zinc/03/66/02/1048036602.db2.gz DKOJXWQYJZTIPD-ROUUACIJSA-N 0 3 315.461 4.466 20 0 DIADHN CO[C@H](CNC(C)(C)c1nc2ccccc2s1)CC(C)C ZINC001174933325 1048036646 /nfs/dbraw/zinc/03/66/46/1048036646.db2.gz JSJHVPZMMSMUAO-ZDUSSCGKSA-N 0 3 306.475 4.182 20 0 DIADHN c1cc(CNCc2ccc3c(c2)OCCO3)cc(C2CCCC2)c1 ZINC001199100597 1048036974 /nfs/dbraw/zinc/03/69/74/1048036974.db2.gz KOAPRAFDQQHFEL-UHFFFAOYSA-N 0 3 323.436 4.405 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H](c1nc(C)no1)C(C)C ZINC001184437991 1048037077 /nfs/dbraw/zinc/03/70/77/1048037077.db2.gz DKOJXWQYJZTIPD-ZWKOTPCHSA-N 0 3 315.461 4.466 20 0 DIADHN Fc1ccc(CNCc2ccc3c(c2)OCCO3)c2ccccc12 ZINC001199100448 1048037728 /nfs/dbraw/zinc/03/77/28/1048037728.db2.gz HGWMWWSOFONWHQ-UHFFFAOYSA-N 0 3 323.367 4.040 20 0 DIADHN COCc1ccccc1CNCc1ccc(SC(F)F)cc1 ZINC001199101020 1048037809 /nfs/dbraw/zinc/03/78/09/1048037809.db2.gz MJHXSZMIAKWFSY-UHFFFAOYSA-N 0 3 323.408 4.438 20 0 DIADHN C[C@H](c1ccco1)N(C[C@@H](O)Cc1cccc(Cl)c1)C1CC1 ZINC000554245451 1048047046 /nfs/dbraw/zinc/04/70/46/1048047046.db2.gz BZECOJWHUORDFV-DYVFJYSZSA-N 0 3 319.832 4.062 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](c2ccccc2Cl)N(C)C)o1 ZINC000480082150 1048052554 /nfs/dbraw/zinc/05/25/54/1048052554.db2.gz PLIYTPKUXHYCIE-CZUORRHYSA-N 0 3 306.837 4.195 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(F)c(F)c(F)c3)cccc21 ZINC001174961012 1048052670 /nfs/dbraw/zinc/05/26/70/1048052670.db2.gz NTFYYDIBMBQMTE-HNNXBMFYSA-N 0 3 322.330 4.233 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](c2ccccc2Cl)N(C)C)o1 ZINC000480082149 1048052813 /nfs/dbraw/zinc/05/28/13/1048052813.db2.gz PLIYTPKUXHYCIE-CJNGLKHVSA-N 0 3 306.837 4.195 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2ccccc2Cl)N(C)C)o1 ZINC000480082151 1048052940 /nfs/dbraw/zinc/05/29/40/1048052940.db2.gz PLIYTPKUXHYCIE-XJKSGUPXSA-N 0 3 306.837 4.195 20 0 DIADHN CC[C@@H](C)NC(=O)c1ccc(CNCc2c(C)cccc2C)cc1 ZINC001199310011 1048054117 /nfs/dbraw/zinc/05/41/17/1048054117.db2.gz PDCBTSZMQPUGAR-QGZVFWFLSA-N 0 3 324.468 4.122 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2ccc3c(c2)CCC3)cc1 ZINC001199335725 1048057802 /nfs/dbraw/zinc/05/78/02/1048057802.db2.gz BBLOEAAWWYXIQC-UHFFFAOYSA-N 0 3 322.452 4.204 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)C(C)(C)C)nc1 ZINC000775976691 1048068043 /nfs/dbraw/zinc/06/80/43/1048068043.db2.gz WMHNZTNISWSDFP-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CCc1ccc([C@H](CNC(=O)O[C@H](C)CC(C)C)N(C)C)cc1 ZINC001184639367 1048070711 /nfs/dbraw/zinc/07/07/11/1048070711.db2.gz GFFAYUIXGLGLSI-QAPCUYQASA-N 0 3 320.477 4.013 20 0 DIADHN Clc1ccc(CNCCOc2ccc3ccccc3c2)nc1 ZINC001199554081 1048076601 /nfs/dbraw/zinc/07/66/01/1048076601.db2.gz FBIDNJRZHNMADJ-UHFFFAOYSA-N 0 3 312.800 4.057 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000776003970 1048080097 /nfs/dbraw/zinc/08/00/97/1048080097.db2.gz YUCDKZUKSUYIDR-WFASDCNBSA-N 0 3 320.868 4.286 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000480184303 1048081795 /nfs/dbraw/zinc/08/17/95/1048081795.db2.gz RLBVIMNGEFQVCN-ZVZLLHMNSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000554373131 1048084220 /nfs/dbraw/zinc/08/42/20/1048084220.db2.gz ZUGYHUACVDPGGG-KXBFYZLASA-N 0 3 300.421 4.088 20 0 DIADHN CCCCC1CCC(NCc2cn(-c3ccccc3)nn2)CC1 ZINC001199666564 1048084467 /nfs/dbraw/zinc/08/44/67/1048084467.db2.gz CSBVTIWJXPBISV-UHFFFAOYSA-N 0 3 312.461 4.106 20 0 DIADHN Cc1cc([C@@H](NCCC2(F)CCC2)c2cccnc2)ccc1F ZINC000554375018 1048086833 /nfs/dbraw/zinc/08/68/33/1048086833.db2.gz FOODEDKREKHEGS-GOSISDBHSA-N 0 3 316.395 4.490 20 0 DIADHN FC(F)(F)C1(Nc2nc(N3CCCC3)cc3ccccc32)CC1 ZINC001160824944 1048090021 /nfs/dbraw/zinc/09/00/21/1048090021.db2.gz LMKRJAVAEBSTGS-UHFFFAOYSA-N 0 3 321.346 4.342 20 0 DIADHN CC(C)Cc1ccc(CN[C@@H](C)c2ccc3c(c2)CCCO3)cn1 ZINC001203195017 1048092167 /nfs/dbraw/zinc/09/21/67/1048092167.db2.gz VDQRIUFLJHERJE-INIZCTEOSA-N 0 3 324.468 4.456 20 0 DIADHN CC(C)Oc1ccc(CCN2CCCC2)c(C(F)(F)F)c1 ZINC001250166871 1048092522 /nfs/dbraw/zinc/09/25/22/1048092522.db2.gz UAPLPYHGYDYJCQ-UHFFFAOYSA-N 0 3 301.352 4.131 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3c(F)ccc(F)c3F)cccc21 ZINC001175032201 1048097886 /nfs/dbraw/zinc/09/78/86/1048097886.db2.gz VCZZHJYYUQPOQS-CQSZACIVSA-N 0 3 322.330 4.233 20 0 DIADHN Cc1ccc(C[C@@]2(C)CCCN2Cc2nc3c(o2)CCCC3)cc1 ZINC001175036343 1048102378 /nfs/dbraw/zinc/10/23/78/1048102378.db2.gz PFXGWJWSLGHOPZ-OAQYLSRUSA-N 0 3 324.468 4.459 20 0 DIADHN CC(C)C(=O)Nc1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1 ZINC001199800591 1048103867 /nfs/dbraw/zinc/10/38/67/1048103867.db2.gz CJSYQRROXXKJJS-UHFFFAOYSA-N 0 3 323.440 4.013 20 0 DIADHN Clc1ccc(C2=NO[C@H](CN3CCCC4(CC4)CC3)C2)cc1 ZINC001175044624 1048107115 /nfs/dbraw/zinc/10/71/15/1048107115.db2.gz HFDLUTGZJJZERH-INIZCTEOSA-N 0 3 318.848 4.099 20 0 DIADHN Cc1cc(CNCc2ccccc2COC(C)C)ccc1F ZINC001199841408 1048107838 /nfs/dbraw/zinc/10/78/38/1048107838.db2.gz AQAOEJHADNHKAB-UHFFFAOYSA-N 0 3 301.405 4.349 20 0 DIADHN Cc1ccc(CCNCc2nc(C(C)(C)C)cs2)c(C)c1 ZINC001199824057 1048109688 /nfs/dbraw/zinc/10/96/88/1048109688.db2.gz ZHADECWIAACOQR-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN C[C@H](NCc1ccc(-c2cccc(F)c2F)o1)[C@@H]1CCCCO1 ZINC000480290578 1048110736 /nfs/dbraw/zinc/11/07/36/1048110736.db2.gz HJUDAVVZLVWCRX-LRDDRELGSA-N 0 3 321.367 4.272 20 0 DIADHN CC(C)c1cccc(CN(C)C[C@H](C)NC(=O)OC(C)(C)C)c1 ZINC001175054506 1048111910 /nfs/dbraw/zinc/11/19/10/1048111910.db2.gz SJODYSAGKOGQSK-HNNXBMFYSA-N 0 3 320.477 4.155 20 0 DIADHN Cc1cc(C)nc(N[C@H]2CCN(Cc3cccc(Cl)c3)C2)c1 ZINC001161183074 1048112105 /nfs/dbraw/zinc/11/21/05/1048112105.db2.gz OUYAJNZKQRVHER-KRWDZBQOSA-N 0 3 315.848 4.038 20 0 DIADHN COc1cccc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)n1 ZINC000480306627 1048117289 /nfs/dbraw/zinc/11/72/89/1048117289.db2.gz ISADMQQZOGNBCZ-FXAWDEMLSA-N 0 3 310.441 4.140 20 0 DIADHN CCCN(CC)Cc1nc2ccc(Br)cc2s1 ZINC000774815343 1048121572 /nfs/dbraw/zinc/12/15/72/1048121572.db2.gz SIVRHSZOQXNJBF-UHFFFAOYSA-N 0 3 313.264 4.291 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc(N(C)C(C)C)nc1 ZINC000480334443 1048122874 /nfs/dbraw/zinc/12/28/74/1048122874.db2.gz KYTCOLYWJBYELL-IBGZPJMESA-N 0 3 309.457 4.012 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1nc2c(s1)CCC2 ZINC001199972599 1048128852 /nfs/dbraw/zinc/12/88/52/1048128852.db2.gz VKGSLZZVRBMOTD-UHFFFAOYSA-N 0 3 300.471 4.008 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(F)c(F)cc3F)cccc21 ZINC001175090739 1048138563 /nfs/dbraw/zinc/13/85/63/1048138563.db2.gz AXHSIROPMFJXFZ-INIZCTEOSA-N 0 3 322.330 4.233 20 0 DIADHN CCOc1cccc(Nc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC001175094276 1048141039 /nfs/dbraw/zinc/14/10/39/1048141039.db2.gz YTHKSQKZBLDJAP-GOSISDBHSA-N 0 3 312.413 4.214 20 0 DIADHN CO[C@@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001200891188 1048153439 /nfs/dbraw/zinc/15/34/39/1048153439.db2.gz JZYDUWRDDLGMAT-WDEREUQCSA-N 0 3 306.208 4.036 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)N[C@@H](C)c2ccc(F)cc2F)n1 ZINC000554699596 1048155410 /nfs/dbraw/zinc/15/54/10/1048155410.db2.gz XLUJLMVYJXTLJP-OLZOCXBDSA-N 0 3 307.388 4.024 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@@H]2c2cccs2)cc1 ZINC001142595149 1048158699 /nfs/dbraw/zinc/15/86/99/1048158699.db2.gz NTSSCIVBNUMYNI-MRXNPFEDSA-N 0 3 315.438 4.262 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2c(F)c(F)cc(F)c2F)C1 ZINC001200931789 1048160402 /nfs/dbraw/zinc/16/04/02/1048160402.db2.gz DMNRATCOLZTFOY-RKDXNWHRSA-N 0 3 307.356 4.007 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2c(F)c(F)cc(F)c2F)C1 ZINC001200931787 1048160415 /nfs/dbraw/zinc/16/04/15/1048160415.db2.gz DMNRATCOLZTFOY-DTWKUNHWSA-N 0 3 307.356 4.007 20 0 DIADHN CCOc1ccccc1C1=CCN(C[C@@H]2CCC(C)(C)O2)CC1 ZINC000480525351 1048161837 /nfs/dbraw/zinc/16/18/37/1048161837.db2.gz HYOVQSMABOPLTB-KRWDZBQOSA-N 0 3 315.457 4.132 20 0 DIADHN COc1ccc([C@@H](C)N(C)CCC(=O)c2ccc(C)cc2)cc1 ZINC000480523947 1048162283 /nfs/dbraw/zinc/16/22/83/1048162283.db2.gz FIVDKECXSSHKJC-MRXNPFEDSA-N 0 3 311.425 4.269 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000554740042 1048170644 /nfs/dbraw/zinc/17/06/44/1048170644.db2.gz AXFRDSWMIRUZPM-KFKAGJAMSA-N 0 3 310.441 4.215 20 0 DIADHN C[C@@H]1CN(Cc2ccc(O[C@H]3CCOC3)cc2)[C@H]1c1ccccc1 ZINC000667689653 1048170795 /nfs/dbraw/zinc/17/07/95/1048170795.db2.gz FFMWDAMOLXAWRL-TYCQWZJGSA-N 0 3 323.436 4.047 20 0 DIADHN CCOc1cccc(F)c1CNCC1(OC(C)C)CCCCC1 ZINC001201017621 1048173218 /nfs/dbraw/zinc/17/32/18/1048173218.db2.gz MSQZWVRNEVDJFI-UHFFFAOYSA-N 0 3 323.452 4.442 20 0 DIADHN Cc1nc(CCNCc2c(Cl)cccc2Cl)sc1C ZINC001200999559 1048176863 /nfs/dbraw/zinc/17/68/63/1048176863.db2.gz XRQCVVDPKNXCIZ-UHFFFAOYSA-N 0 3 315.269 4.399 20 0 DIADHN Cc1ccccc1CNc1cccc(CN2CCSCC2)c1 ZINC001201097392 1048189810 /nfs/dbraw/zinc/18/98/10/1048189810.db2.gz QOLFJOMYJNWXIP-UHFFFAOYSA-N 0 3 312.482 4.156 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1C[C@@H]1CCC2(CCCC2)O1 ZINC000554812011 1048192211 /nfs/dbraw/zinc/19/22/11/1048192211.db2.gz JVVRMEQDANEBBI-HZSPNIEDSA-N 0 3 305.384 4.141 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)C[C@H]1CCC2(CCCC2)O1 ZINC000554808973 1048193440 /nfs/dbraw/zinc/19/34/40/1048193440.db2.gz UMDSRXBVOZKDBH-DZGCQCFKSA-N 0 3 309.400 4.449 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H](O)c1cc(C)cc(C)c1 ZINC000774895814 1048200797 /nfs/dbraw/zinc/20/07/97/1048200797.db2.gz VYZFSWYKRADYJY-VQIMIIECSA-N 0 3 313.441 4.086 20 0 DIADHN CCC(CC)(CCO)NCc1csc(C2CCCCC2)n1 ZINC000554853494 1048208176 /nfs/dbraw/zinc/20/81/76/1048208176.db2.gz CRTRJKONJVGISI-UHFFFAOYSA-N 0 3 310.507 4.222 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2cccc(Cl)c2)cn1 ZINC000480660876 1048209057 /nfs/dbraw/zinc/20/90/57/1048209057.db2.gz QCODZWLRKMAQCI-CYBMUJFWSA-N 0 3 303.837 4.042 20 0 DIADHN CC(C)P(CCNC(=O)c1scnc1C1CC1)C(C)C ZINC001185706255 1048211592 /nfs/dbraw/zinc/21/15/92/1048211592.db2.gz KYBHXIBIBKTLDB-UHFFFAOYSA-N 0 3 312.419 4.049 20 0 DIADHN Clc1ccc(CNCc2ccc(OCC3CC3)cc2)cc1 ZINC000480662239 1048215039 /nfs/dbraw/zinc/21/50/39/1048215039.db2.gz JQPLNFKEMWSVPY-UHFFFAOYSA-N 0 3 301.817 4.419 20 0 DIADHN c1ccc([C@@H]2CCCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC000554889936 1048219069 /nfs/dbraw/zinc/21/90/69/1048219069.db2.gz QLQNMFKUEGKTEH-FUHWJXTLSA-N 0 3 310.441 4.369 20 0 DIADHN CC[C@H](OCCN(C)Cc1ccc(OC)cc1)c1ccccc1 ZINC001175284542 1048231028 /nfs/dbraw/zinc/23/10/28/1048231028.db2.gz NGNJOJILSVRZLU-FQEVSTJZSA-N 0 3 313.441 4.295 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCCC2)nc1)c1cccs1 ZINC000480741865 1048234621 /nfs/dbraw/zinc/23/46/21/1048234621.db2.gz PGYXIPACSKRQOC-INIZCTEOSA-N 0 3 315.486 4.374 20 0 DIADHN CSCCCCCN[C@H](c1ccc(F)cc1)c1ccccn1 ZINC000480754008 1048234680 /nfs/dbraw/zinc/23/46/80/1048234680.db2.gz CUTBPBJJGWMXON-GOSISDBHSA-N 0 3 318.461 4.433 20 0 DIADHN C[C@@H](NCCOc1cccnc1)c1cc2cc(Cl)ccc2o1 ZINC000776156546 1048240398 /nfs/dbraw/zinc/24/03/98/1048240398.db2.gz LIMTUQDOPZNVMK-GFCCVEGCSA-N 0 3 316.788 4.211 20 0 DIADHN Cn1ccnc1Nc1ccc(C(F)(F)F)cc1C(F)(F)F ZINC001201352234 1048246184 /nfs/dbraw/zinc/24/61/84/1048246184.db2.gz WGXFMIVGUFYFDK-UHFFFAOYSA-N 0 3 309.213 4.201 20 0 DIADHN CN(C)Cc1cccc(Nc2cccc3c2CCCCC3=O)c1 ZINC001201403464 1048251442 /nfs/dbraw/zinc/25/14/42/1048251442.db2.gz VFDFSISIPTVOTB-UHFFFAOYSA-N 0 3 308.425 4.401 20 0 DIADHN CC(=O)c1cccc(Cl)c1Nc1cccc(CN(C)C)c1 ZINC001201402577 1048251800 /nfs/dbraw/zinc/25/18/00/1048251800.db2.gz AKQVVMWENXBBRV-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2ccc3c(c2)CCC3)cn1 ZINC000480889431 1048263208 /nfs/dbraw/zinc/26/32/08/1048263208.db2.gz NTDMJUYKOWMROT-INIZCTEOSA-N 0 3 323.484 4.267 20 0 DIADHN CCOc1ccc([C@@H](NC[C@H]2CCC=CO2)c2ccccc2)cc1 ZINC000774973797 1048263696 /nfs/dbraw/zinc/26/36/96/1048263696.db2.gz DMWWBXSMFQPRMV-RTWAWAEBSA-N 0 3 323.436 4.457 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c(F)c1 ZINC001175327032 1048265421 /nfs/dbraw/zinc/26/54/21/1048265421.db2.gz BUEFIIFQSPBPAT-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1ccnc(Cl)c1 ZINC000776171202 1048266787 /nfs/dbraw/zinc/26/67/87/1048266787.db2.gz ZAKNJZVEULKXSI-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN C[C@H](NC[C@H]1COC2(CCCCC2)O1)c1cc2ccccc2o1 ZINC000776169951 1048267372 /nfs/dbraw/zinc/26/73/72/1048267372.db2.gz GDRVXGBAUGZUEW-HOCLYGCPSA-N 0 3 315.413 4.159 20 0 DIADHN CC(C)P(CCN[C@H](F)Oc1ccnc(Cl)c1)C(C)C ZINC001162359052 1048267739 /nfs/dbraw/zinc/26/77/39/1048267739.db2.gz VIWYQRKIXJTJQH-AWEZNQCLSA-N 0 3 320.776 4.255 20 0 DIADHN CC(=O)c1cccnc1Nc1ccc(CN2CCCCC2)cc1 ZINC001175361515 1048277282 /nfs/dbraw/zinc/27/72/82/1048277282.db2.gz POSFUPBROWHZFR-UHFFFAOYSA-N 0 3 309.413 4.014 20 0 DIADHN [O-]c1ccc(Nc2ccc(C[NH+]3CCCCC3)cc2)c(F)c1F ZINC001175367302 1048281622 /nfs/dbraw/zinc/28/16/22/1048281622.db2.gz OTAVFMFBZAWDRZ-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CC[C@@H](C)CN1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001201499107 1048287576 /nfs/dbraw/zinc/28/75/76/1048287576.db2.gz FPNJBQSBYUFVNY-PBHICJAKSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1cccc(N2CCCC2=O)c1 ZINC000555191001 1048287749 /nfs/dbraw/zinc/28/77/49/1048287749.db2.gz WOCJJNPUQMNYJW-XBMZPMPPSA-N 0 3 320.436 4.177 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCCc1cccc(C)c1 ZINC000481045756 1048296897 /nfs/dbraw/zinc/29/68/97/1048296897.db2.gz YXWDYNKAJNHZJO-UHFFFAOYSA-N 0 3 313.470 4.077 20 0 DIADHN Cc1c(O)cccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477561 1048296886 /nfs/dbraw/zinc/29/68/86/1048296886.db2.gz IGUNOLMPNZKWEU-MOPGFXCFSA-N 0 3 320.436 4.433 20 0 DIADHN COCCOc1ccccc1CN[C@H](C)c1cccc(C)c1C ZINC000481040505 1048299505 /nfs/dbraw/zinc/29/95/05/1048299505.db2.gz OXJHQNLJRVKFJH-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN COc1cc([C@H](C)NC/C=C/Cl)ccc1OC1CCCC1 ZINC000775017553 1048303323 /nfs/dbraw/zinc/30/33/23/1048303323.db2.gz HPDFBQCFRIGZTE-KADSFNECSA-N 0 3 309.837 4.420 20 0 DIADHN COc1cc([C@@H](C)NC/C=C/Cl)ccc1OC1CCCC1 ZINC000775017567 1048303390 /nfs/dbraw/zinc/30/33/90/1048303390.db2.gz HPDFBQCFRIGZTE-ZQNFCJTASA-N 0 3 309.837 4.420 20 0 DIADHN CC(C)[C@H]1CN([C@@H](C)c2ccc(Cl)cc2Cl)CCN1C ZINC001175463548 1048310013 /nfs/dbraw/zinc/31/00/13/1048310013.db2.gz XEQKHZGRWNBTHF-BLLLJJGKSA-N 0 3 315.288 4.326 20 0 DIADHN CC(C)COc1ccc(-c2ccc(-n3nccc3CN)cc2)cc1 ZINC001162597731 1048310489 /nfs/dbraw/zinc/31/04/89/1048310489.db2.gz QMKPISSFYRTANF-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CC(C)c1cccc(CN2CCC[C@@H](C(=O)OC(C)(C)C)C2)c1 ZINC001175473134 1048315800 /nfs/dbraw/zinc/31/58/00/1048315800.db2.gz SPFYBFLYPJLZRE-GOSISDBHSA-N 0 3 317.473 4.364 20 0 DIADHN Cc1ccc([C@@H](Cn2ccnc2)NCc2cccc(F)c2)c(C)c1 ZINC001201600501 1048330637 /nfs/dbraw/zinc/33/06/37/1048330637.db2.gz KZKWXZDPFMOPSK-HXUWFJFHSA-N 0 3 323.415 4.170 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1 ZINC001201618160 1048333496 /nfs/dbraw/zinc/33/34/96/1048333496.db2.gz MYQYNIGLXYOWIH-MRXNPFEDSA-N 0 3 316.470 4.408 20 0 DIADHN COc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1F ZINC001175504864 1048340082 /nfs/dbraw/zinc/34/00/82/1048340082.db2.gz IHYWGIKWLHHZHO-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc4ccccc4n3)CC2)cc1 ZINC001175504934 1048340125 /nfs/dbraw/zinc/34/01/25/1048340125.db2.gz GWSZMKIGGGWSKR-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN c1ccc(CN2CC=C(Nc3ncccc3C3CC3)CC2)cc1 ZINC001175506178 1048340369 /nfs/dbraw/zinc/34/03/69/1048340369.db2.gz XMXHNMILLLJROW-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN c1cc2cc(NC3=CCN(Cc4ccccc4)CC3)cnc2o1 ZINC001175504863 1048341083 /nfs/dbraw/zinc/34/10/83/1048341083.db2.gz IGWKBLNGKHSDHK-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN c1nc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc2s1 ZINC001175505402 1048342196 /nfs/dbraw/zinc/34/21/96/1048342196.db2.gz OYTRQDJTHIPBHF-UHFFFAOYSA-N 0 3 321.449 4.498 20 0 DIADHN CCOc1ccccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506307 1048343167 /nfs/dbraw/zinc/34/31/67/1048343167.db2.gz UHNRJJZEANYGKL-UHFFFAOYSA-N 0 3 308.425 4.287 20 0 DIADHN COc1ccc(F)cc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506421 1048344458 /nfs/dbraw/zinc/34/44/58/1048344458.db2.gz YVSQYUVUZRCYNI-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN CCOc1cccc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175507470 1048346034 /nfs/dbraw/zinc/34/60/34/1048346034.db2.gz WJGGMWZFFJVKDS-UHFFFAOYSA-N 0 3 308.425 4.287 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000667854112 1048347182 /nfs/dbraw/zinc/34/71/82/1048347182.db2.gz CGNIWRLKNYAVFL-RDTXWAMCSA-N 0 3 315.461 4.016 20 0 DIADHN COc1cc(Cl)cc(C)c1-n1c2ccccc2nc1[C@H](C)N ZINC001162765792 1048353582 /nfs/dbraw/zinc/35/35/82/1048353582.db2.gz KGKIZTYMZFUSRY-NSHDSACASA-N 0 3 315.804 4.016 20 0 DIADHN c1c[nH]c([C@H]2CCCN2CCCc2cccc3ccccc32)n1 ZINC000481290065 1048357063 /nfs/dbraw/zinc/35/70/63/1048357063.db2.gz WAWDEHOIHXKMSD-LJQANCHMSA-N 0 3 305.425 4.333 20 0 DIADHN Cc1cc(-n2c3ccccc3nc2[C@H](C)N)ccc1OC(C)C ZINC001162776861 1048357251 /nfs/dbraw/zinc/35/72/51/1048357251.db2.gz FETSKIJBHQCSFY-AWEZNQCLSA-N 0 3 309.413 4.141 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cc2c(Cl)cccc2cn1 ZINC001162776779 1048358277 /nfs/dbraw/zinc/35/82/77/1048358277.db2.gz CJZKMSBEQCYVQN-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNC/C=C/c1ccccc1 ZINC001201694136 1048360917 /nfs/dbraw/zinc/36/09/17/1048360917.db2.gz RJZFXXRPRYQNSB-YRNVUSSQSA-N 0 3 317.436 4.292 20 0 DIADHN Cn1c(CN[C@@H]2CCCOc3cc(F)ccc32)cc2ccccc21 ZINC001201742818 1048363365 /nfs/dbraw/zinc/36/33/65/1048363365.db2.gz VGKPEMFHGOUKKQ-GOSISDBHSA-N 0 3 324.399 4.321 20 0 DIADHN CCCc1ccc(CN2CCC[C@H](C(=O)OC(C)(C)C)C2)cc1 ZINC001175593191 1048363746 /nfs/dbraw/zinc/36/37/46/1048363746.db2.gz OFVUOOVIZVKTJK-SFHVURJKSA-N 0 3 317.473 4.193 20 0 DIADHN Fc1ccc(C=C2CCN(C[C@@H]3CCC=CO3)CC2)cc1F ZINC000775069540 1048365529 /nfs/dbraw/zinc/36/55/29/1048365529.db2.gz FENNPYHQQJGSLO-INIZCTEOSA-N 0 3 305.368 4.137 20 0 DIADHN CC(C)CN(CCC(F)(F)F)[C@H]1CCCc2cccnc21 ZINC000481314500 1048365862 /nfs/dbraw/zinc/36/58/62/1048365862.db2.gz UGEWNHHURDTWSD-AWEZNQCLSA-N 0 3 300.368 4.369 20 0 DIADHN CC[C@H](NCc1cnc2c(C)cccn12)c1ccc(C)c(F)c1 ZINC001201761811 1048369208 /nfs/dbraw/zinc/36/92/08/1048369208.db2.gz VRYUPYDQIMMTKY-SFHVURJKSA-N 0 3 311.404 4.331 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3c2CCC3)cn1 ZINC001201734245 1048372145 /nfs/dbraw/zinc/37/21/45/1048372145.db2.gz HHGALDVGVGYZKO-UHFFFAOYSA-N 0 3 310.441 4.037 20 0 DIADHN CCOc1ccccc1[C@H](CC)NC[C@@H]1CC1(Cl)Cl ZINC000775088783 1048379012 /nfs/dbraw/zinc/37/90/12/1048379012.db2.gz XBMDCGXWGQJEJR-AAEUAGOBSA-N 0 3 302.245 4.320 20 0 DIADHN C[C@H](N[C@H]1CO[C@H](C2CC2)C1)c1cccc(Cl)c1Cl ZINC000667871081 1048380779 /nfs/dbraw/zinc/38/07/79/1048380779.db2.gz MWYWMNHNKOHCJH-PXWWUCIGSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1cccc(Cl)c1Cl ZINC000667871080 1048381393 /nfs/dbraw/zinc/38/13/93/1048381393.db2.gz MWYWMNHNKOHCJH-NURSFMCSSA-N 0 3 300.229 4.212 20 0 DIADHN c1onc(Cc2ccccc2)c1CNC1CC(c2ccccc2)C1 ZINC000555546832 1048381440 /nfs/dbraw/zinc/38/14/40/1048381440.db2.gz JOJUUFCOTSYJGM-UHFFFAOYSA-N 0 3 318.420 4.301 20 0 DIADHN Cc1cc(Br)c(CNCCSCC(C)C)s1 ZINC001201857066 1048381522 /nfs/dbraw/zinc/38/15/22/1048381522.db2.gz FSTNIKKYJVTXCW-UHFFFAOYSA-N 0 3 322.337 4.298 20 0 DIADHN CSCc1cnc(CNCC(C)(C)Cc2ccccc2)s1 ZINC000555552882 1048387646 /nfs/dbraw/zinc/38/76/46/1048387646.db2.gz VHSUKLMPIACLHO-UHFFFAOYSA-N 0 3 320.527 4.365 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000481405714 1048393994 /nfs/dbraw/zinc/39/39/94/1048393994.db2.gz XNWIDQXYVLVPCJ-XHDPSFHLSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@@H](NCc1cn2ccnc2s1)c1ccc2c(c1)CCCC2 ZINC001201909790 1048399136 /nfs/dbraw/zinc/39/91/36/1048399136.db2.gz BYVALBYXRYAWHV-CYBMUJFWSA-N 0 3 311.454 4.125 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cnn(Cc2ccccc2)c1 ZINC000555611648 1048407057 /nfs/dbraw/zinc/40/70/57/1048407057.db2.gz ADMVPYZALSRJGV-UHFFFAOYSA-N 0 3 319.452 4.265 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2cc(C(F)(F)F)ccn2)o1 ZINC000555617526 1048408922 /nfs/dbraw/zinc/40/89/22/1048408922.db2.gz HNSDODRCGXLQHD-NHYWBVRUSA-N 0 3 324.346 4.149 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1C[C@@H](C)[C@@H]1C ZINC000555636114 1048412490 /nfs/dbraw/zinc/41/24/90/1048412490.db2.gz OEHYMLFOHDNXRK-OCCSQVGLSA-N 0 3 317.864 4.042 20 0 DIADHN C[C@@H](CCNCc1c(Cl)nc(Cl)n1C)CC(C)(C)C ZINC001202018417 1048419711 /nfs/dbraw/zinc/41/97/11/1048419711.db2.gz NITSQVYQNLHVJV-JTQLQIEISA-N 0 3 306.281 4.279 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CC[C@@H](CC2CC2)C1 ZINC000555696585 1048423759 /nfs/dbraw/zinc/42/37/59/1048423759.db2.gz HRQNTGVKCNXJFP-AWEZNQCLSA-N 0 3 302.443 4.334 20 0 DIADHN CC1(C)CO[C@H](CCNCc2csc(-c3ccsc3)n2)C1 ZINC001203665941 1048424605 /nfs/dbraw/zinc/42/46/05/1048424605.db2.gz UFSDEIQMPVGESO-CQSZACIVSA-N 0 3 322.499 4.166 20 0 DIADHN CCSc1ccccc1CN1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC001175724781 1048426379 /nfs/dbraw/zinc/42/63/79/1048426379.db2.gz OTEMOXFTHGEDEM-OALUTQOASA-N 0 3 324.493 4.262 20 0 DIADHN Cc1sc(NC(=O)CN2CC(C)(C)[C@H]3CCC[C@@H]32)c(C)c1C ZINC000555721984 1048427183 /nfs/dbraw/zinc/42/71/83/1048427183.db2.gz AEFYLZMPGDITJY-GJZGRUSLSA-N 0 3 320.502 4.122 20 0 DIADHN CC1(C)CN(Cc2ccc(Oc3ccccc3)nn2)[C@H]2CCC[C@@H]21 ZINC000555721851 1048427205 /nfs/dbraw/zinc/42/72/05/1048427205.db2.gz XHQSPZWMCNAOFC-ROUUACIJSA-N 0 3 323.440 4.279 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CCCSC(C)(C)C)CC1 ZINC001175748686 1048434708 /nfs/dbraw/zinc/43/47/08/1048434708.db2.gz GXDBFCJTNVDYMY-KRWDZBQOSA-N 0 3 320.546 4.287 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CC[C@H]2CCCC2(F)F)CC1 ZINC001175750613 1048434801 /nfs/dbraw/zinc/43/48/01/1048434801.db2.gz WZAABBQCYAFPJT-FUHWJXTLSA-N 0 3 322.443 4.191 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CO[C@H](C3CC3)C2)c2ccccc2)c1 ZINC000667909576 1048436834 /nfs/dbraw/zinc/43/68/34/1048436834.db2.gz XKQLEOOGHMRGPM-SLFFLAALSA-N 0 3 311.400 4.072 20 0 DIADHN CNc1ccc(C)cc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC001202066201 1048437287 /nfs/dbraw/zinc/43/72/87/1048437287.db2.gz OWPTZDCBLQMDOM-INIZCTEOSA-N 0 3 322.374 4.472 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccc(-c3ccccc3)cn1)CNC2 ZINC001163219793 1048438773 /nfs/dbraw/zinc/43/87/73/1048438773.db2.gz GSKONFGQGHCODB-INIZCTEOSA-N 0 3 307.422 4.067 20 0 DIADHN Clc1cc2ccccc2c(N[C@@H]2CNCc3ccsc32)n1 ZINC001163220738 1048439232 /nfs/dbraw/zinc/43/92/32/1048439232.db2.gz VDCHTBRJEBEPGW-CYBMUJFWSA-N 0 3 315.829 4.206 20 0 DIADHN FC(F)(F)Cc1ccc(N[C@H]2CNCc3ccsc32)cc1 ZINC001163226064 1048439548 /nfs/dbraw/zinc/43/95/48/1048439548.db2.gz BKVPWZHCZHECOE-ZDUSSCGKSA-N 0 3 312.360 4.109 20 0 DIADHN Fc1ccc(N[C@H]2CNCc3ccsc32)cc1C(F)(F)F ZINC001163227170 1048440592 /nfs/dbraw/zinc/44/05/92/1048440592.db2.gz QXZOTDCZBSCLPJ-LBPRGKRZSA-N 0 3 316.323 4.162 20 0 DIADHN COc1ccc(N[C@@H]2CNCc3ccsc32)c2ccccc12 ZINC001163229620 1048440710 /nfs/dbraw/zinc/44/07/10/1048440710.db2.gz QCMYYVLQUMWCLY-MRXNPFEDSA-N 0 3 310.422 4.166 20 0 DIADHN COCc1ccc2ccccc2c1N[C@H]1CNCc2ccsc21 ZINC001163230815 1048440738 /nfs/dbraw/zinc/44/07/38/1048440738.db2.gz RFXCOFQKLHOTFV-KRWDZBQOSA-N 0 3 324.449 4.304 20 0 DIADHN Cc1cc(N[C@H]2CNCc3ccsc32)ccc1C(F)(F)F ZINC001163228626 1048440993 /nfs/dbraw/zinc/44/09/93/1048440993.db2.gz MGZIJGYOOBGNDZ-ZDUSSCGKSA-N 0 3 312.360 4.332 20 0 DIADHN Fc1cc(N[C@@H]2CNCc3ccsc32)cc(C(F)F)c1F ZINC001163236697 1048442621 /nfs/dbraw/zinc/44/26/21/1048442621.db2.gz GROZHHYAJHCPOD-LLVKDONJSA-N 0 3 316.323 4.220 20 0 DIADHN CCN(CC)c1ccc(C)c(N[C@H]2CNCc3ccsc32)c1 ZINC001163234979 1048443081 /nfs/dbraw/zinc/44/30/81/1048443081.db2.gz ADPVWRIKQXPEOM-KRWDZBQOSA-N 0 3 315.486 4.159 20 0 DIADHN CN(C[C@@H]1CCCO1)[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000481627655 1048445506 /nfs/dbraw/zinc/44/55/06/1048445506.db2.gz ZRSLWERDIJPGBL-NHYWBVRUSA-N 0 3 300.229 4.092 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc4ccoc43)cc2)CC1 ZINC001175782411 1048449835 /nfs/dbraw/zinc/44/98/35/1048449835.db2.gz QJGNANIJZBLFSZ-UHFFFAOYSA-N 0 3 321.424 4.318 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc4c(c3)N=NC4)cc2)CC1 ZINC001175782409 1048450190 /nfs/dbraw/zinc/45/01/90/1048450190.db2.gz QGKKKZFYKRKGMU-UHFFFAOYSA-N 0 3 321.428 4.169 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc(C4CC4)c3)cc2)CC1 ZINC001175782733 1048450235 /nfs/dbraw/zinc/45/02/35/1048450235.db2.gz UONLCEBNRPCZEE-UHFFFAOYSA-N 0 3 321.468 4.450 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000481679323 1048454641 /nfs/dbraw/zinc/45/46/41/1048454641.db2.gz YIMCSFBCVCOUTJ-FOIQADDNSA-N 0 3 311.400 4.408 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H]1CCCCN1CC1CCCC1 ZINC001202120261 1048455919 /nfs/dbraw/zinc/45/59/19/1048455919.db2.gz PMVKGURDGKKASA-GOSISDBHSA-N 0 3 314.473 4.287 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1cccc(-n2cccn2)c1 ZINC000481729609 1048470718 /nfs/dbraw/zinc/47/07/18/1048470718.db2.gz NGXITBOAVATRDI-XJKSGUPXSA-N 0 3 323.362 4.035 20 0 DIADHN CCOC(CCCNc1ccc2cnc(C)cc2c1)OCC ZINC001163490004 1048479231 /nfs/dbraw/zinc/47/92/31/1048479231.db2.gz OPDIRJILNZYHPG-UHFFFAOYSA-N 0 3 302.418 4.134 20 0 DIADHN C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)N1CCC[C@@H]1c1cccs1 ZINC000192875718 1048485113 /nfs/dbraw/zinc/48/51/13/1048485113.db2.gz GKQXLDXQBCHAAF-QKPAOTATSA-N 0 3 320.502 4.063 20 0 DIADHN CSc1ccc(CCNCc2nc3cc(F)ccc3o2)cc1 ZINC001202212855 1048485199 /nfs/dbraw/zinc/48/51/99/1048485199.db2.gz HWKDNVWCZJVYAM-UHFFFAOYSA-N 0 3 316.401 4.021 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc4c3ccn4C)cccc21 ZINC001175868542 1048485944 /nfs/dbraw/zinc/48/59/44/1048485944.db2.gz OCJBUOAYNBOARI-GOSISDBHSA-N 0 3 321.424 4.307 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2csc(-c3ccccn3)n2)[C@@H]1C ZINC000481821058 1048497163 /nfs/dbraw/zinc/49/71/63/1048497163.db2.gz CPGAUNIXKUGFGV-RBSFLKMASA-N 0 3 315.486 4.367 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001175927408 1048501812 /nfs/dbraw/zinc/50/18/12/1048501812.db2.gz XHUSOJLXFIOAGX-BBRMVZONSA-N 0 3 303.352 4.179 20 0 DIADHN CCN(CC)Cc1cccc(NCCc2cccc(O)c2)c1F ZINC001163708248 1048506885 /nfs/dbraw/zinc/50/68/85/1048506885.db2.gz GPGJCLBEKICXBL-UHFFFAOYSA-N 0 3 316.420 4.028 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)C[C@@H](C)C(C)(C)C ZINC001175982468 1048515465 /nfs/dbraw/zinc/51/54/65/1048515465.db2.gz GNDCCEVKGUKIJI-CYBMUJFWSA-N 0 3 310.869 4.201 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000600630413 1048517321 /nfs/dbraw/zinc/51/73/21/1048517321.db2.gz QZFPQZNFDYJJMG-DLBZAZTESA-N 0 3 321.468 4.058 20 0 DIADHN Cc1nnc(-c2cccc(Nc3ccnc(C(C)(C)C)c3)c2)o1 ZINC001175990383 1048519590 /nfs/dbraw/zinc/51/95/90/1048519590.db2.gz UQXOTTKBAGLSOX-UHFFFAOYSA-N 0 3 308.385 4.481 20 0 DIADHN FC(F)(F)CCN1CCC[C@H](OCc2ccc(Cl)cc2)C1 ZINC001202349110 1048520317 /nfs/dbraw/zinc/52/03/17/1048520317.db2.gz VANBUTQLRIFOOK-AWEZNQCLSA-N 0 3 321.770 4.273 20 0 DIADHN CC1(C)OC2(CCN(CCC(F)(F)F)CC2)c2ccccc21 ZINC001202346699 1048520617 /nfs/dbraw/zinc/52/06/17/1048520617.db2.gz RQTUXIGXBFTDSH-UHFFFAOYSA-N 0 3 313.363 4.195 20 0 DIADHN C[C@@H](NCCc1cncs1)c1cc(Cl)cc(Cl)c1F ZINC001175991523 1048520941 /nfs/dbraw/zinc/52/09/41/1048520941.db2.gz FRJJPCPWMWROOB-MRVPVSSYSA-N 0 3 319.232 4.482 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000600640981 1048522950 /nfs/dbraw/zinc/52/29/50/1048522950.db2.gz JVELLEGJJHTACT-CYBMUJFWSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(OC2CCC(C)CC2)CC1 ZINC000600646076 1048523864 /nfs/dbraw/zinc/52/38/64/1048523864.db2.gz KWHMRTNBRHXDRS-UPTPFMHGSA-N 0 3 302.462 4.202 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](c2cccnc2)C2CCC2)cc1 ZINC000481911388 1048525322 /nfs/dbraw/zinc/52/53/22/1048525322.db2.gz FDNFXUPYWFTUFT-FQEVSTJZSA-N 0 3 310.441 4.500 20 0 DIADHN c1cc(Nc2ccc(N3CCCCCC3)cc2)cc2n[nH]nc12 ZINC001176002652 1048528089 /nfs/dbraw/zinc/52/80/89/1048528089.db2.gz PRBGDVWBMRCHJZ-UHFFFAOYSA-N 0 3 307.401 4.082 20 0 DIADHN CC1(C)CC[C@@H](N[C@@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000481928322 1048530698 /nfs/dbraw/zinc/53/06/98/1048530698.db2.gz QYCDWLQJHNGFJS-HSALFYBXSA-N 0 3 307.437 4.047 20 0 DIADHN FC1CC2(C1)CCN(Cc1ccccc1C(F)(F)F)CC2 ZINC001203686586 1048534388 /nfs/dbraw/zinc/53/43/88/1048534388.db2.gz FTXZZQIUJFEDQF-UHFFFAOYSA-N 0 3 301.327 4.420 20 0 DIADHN COc1nc2[nH]ccc2cc1NC(=N)C12CC3CC(CC(C3)C1)C2 ZINC001163847760 1048535729 /nfs/dbraw/zinc/53/57/29/1048535729.db2.gz AACPDWIXNYCSSQ-UHFFFAOYSA-N 0 3 324.428 4.129 20 0 DIADHN Cc1ccccc1OC1CCN(CCCC(F)(F)F)CC1 ZINC001202377538 1048538291 /nfs/dbraw/zinc/53/82/91/1048538291.db2.gz IUGYVGGBBILMSC-UHFFFAOYSA-N 0 3 301.352 4.181 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2ccco2)N(CC)CC)o1 ZINC000481975823 1048538644 /nfs/dbraw/zinc/53/86/44/1048538644.db2.gz UAIFXLWJLNCTRW-ZBFHGGJFSA-N 0 3 304.434 4.169 20 0 DIADHN Cc1cc2[nH]c(CN3CC[C@@H](C)[C@@H](n4ccnc4)C3)cc2c(C)c1 ZINC000482005948 1048542964 /nfs/dbraw/zinc/54/29/64/1048542964.db2.gz LPJRAZQGVKMTCO-QRWLVFNGSA-N 0 3 322.456 4.064 20 0 DIADHN CC(C)(C)c1cc(Nc2ncc[nH]2)n(-c2cccc(Cl)c2)n1 ZINC001176081998 1048547385 /nfs/dbraw/zinc/54/73/85/1048547385.db2.gz NVWZHKSSHXEYCK-UHFFFAOYSA-N 0 3 315.808 4.290 20 0 DIADHN Cc1nc(CN2CCCC[C@@H]2CC2(O)CCCCC2)sc1C ZINC001176060697 1048556074 /nfs/dbraw/zinc/55/60/74/1048556074.db2.gz DRGRVXDJWBRTGW-MRXNPFEDSA-N 0 3 322.518 4.200 20 0 DIADHN C[C@@H](NC1CC(F)(c2cccc(Cl)c2)C1)c1cncs1 ZINC000482074894 1048557355 /nfs/dbraw/zinc/55/73/55/1048557355.db2.gz XXBQLFUQSJBIPO-ZZJLNTIPSA-N 0 3 310.825 4.475 20 0 DIADHN C/C(=C/C(C)(C)C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000776306999 1048561732 /nfs/dbraw/zinc/56/17/32/1048561732.db2.gz TXWZBSPXDKTJJW-NXVVXOECSA-N 0 3 314.473 4.106 20 0 DIADHN C[C@H](NCc1ccc(OCC2CC2)nc1)c1ccc2c(c1)CCC2 ZINC000482121078 1048562695 /nfs/dbraw/zinc/56/26/95/1048562695.db2.gz MVGPQJFGQZJKGD-HNNXBMFYSA-N 0 3 322.452 4.210 20 0 DIADHN C[C@H](NCc1cc(Cl)cc2cccnc21)c1cccc(O)c1 ZINC000158002151 1048566323 /nfs/dbraw/zinc/56/63/23/1048566323.db2.gz FAIRGWAYKDDYNO-LBPRGKRZSA-N 0 3 312.800 4.445 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc3c(c2)OCCCO3)c1 ZINC000482263938 1048580282 /nfs/dbraw/zinc/58/02/82/1048580282.db2.gz MOQLXBVYVAIOGF-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN CC(C)(NC[C@]1(C)CC1(Cl)Cl)c1ccc(F)cc1F ZINC001176174386 1048580815 /nfs/dbraw/zinc/58/08/15/1048580815.db2.gz BDYGHPBEPIPULA-ZDUSSCGKSA-N 0 3 308.199 4.373 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccc(-c2cnn(C)c2)s1 ZINC000338922827 1048586902 /nfs/dbraw/zinc/58/69/02/1048586902.db2.gz WBQLTRJYASJIBR-UHFFFAOYSA-N 0 3 315.442 4.152 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1cc2ccncc2s1 ZINC000668004901 1048587824 /nfs/dbraw/zinc/58/78/24/1048587824.db2.gz GXHVOQRZRNFJAN-GXFFZTMASA-N 0 3 314.376 4.459 20 0 DIADHN C[C@@H](Nc1ccc2c(n1)CNCC2)c1ccc2ccccc2c1 ZINC001164145189 1048590940 /nfs/dbraw/zinc/59/09/40/1048590940.db2.gz LAWSWBSZDPXHEN-CQSZACIVSA-N 0 3 303.409 4.054 20 0 DIADHN Cc1nc(C2CCN(Cc3ccsc3Cl)CC2)[nH]c1C ZINC001176201109 1048601818 /nfs/dbraw/zinc/60/18/18/1048601818.db2.gz FEBIJHURPQLVKV-UHFFFAOYSA-N 0 3 309.866 4.121 20 0 DIADHN CCOc1cccc(CN2CCC[C@@]3(C2)OCc2ccccc23)c1 ZINC000482444984 1048607185 /nfs/dbraw/zinc/60/71/85/1048607185.db2.gz ONSRYMMRNLIWKL-NRFANRHFSA-N 0 3 323.436 4.107 20 0 DIADHN C[C@@H](C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1)CC1CCCCC1 ZINC000482502366 1048620521 /nfs/dbraw/zinc/62/05/21/1048620521.db2.gz SZHAILHFRJZJOS-CRAIPNDOSA-N 0 3 312.461 4.090 20 0 DIADHN CC(=O)c1ccc(Cl)cc1Nc1ccc(CN(C)C)cc1 ZINC001176291167 1048620648 /nfs/dbraw/zinc/62/06/48/1048620648.db2.gz ASWRZBAYLJBETO-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN CC(=O)c1cccc(Cl)c1Nc1ccc(CN(C)C)cc1 ZINC001176294682 1048621692 /nfs/dbraw/zinc/62/16/92/1048621692.db2.gz BIGDXMQEDIPHIP-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN COCc1csc(CN2CCC(c3ncc(C)s3)CC2)c1 ZINC000668065183 1048624798 /nfs/dbraw/zinc/62/47/98/1048624798.db2.gz APOYLQQFZKLQNX-UHFFFAOYSA-N 0 3 322.499 4.039 20 0 DIADHN CC[C@H](N[C@H](C)c1nnn(-c2ccccc2)c1C)C(C)(C)C ZINC000482556275 1048633510 /nfs/dbraw/zinc/63/35/10/1048633510.db2.gz JCPFVLBSKZWZKK-CJNGLKHVSA-N 0 3 300.450 4.051 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN[C@H]1C=CCC1 ZINC000850358693 1048638468 /nfs/dbraw/zinc/63/84/68/1048638468.db2.gz UMSMPRSGOCPDLC-INIZCTEOSA-N 0 3 315.848 4.010 20 0 DIADHN CC1(C)CCc2cc(CN[C@@H]3C[C@@H](O)c4ccccc43)ccc2O1 ZINC000850371753 1048642113 /nfs/dbraw/zinc/64/21/13/1048642113.db2.gz LNYKLRVKSWLAEW-RTBURBONSA-N 0 3 323.436 4.058 20 0 DIADHN Fc1cccc(-c2ccc(CN[C@@H]3COC4(CCC4)C3)o2)c1F ZINC000850371179 1048642267 /nfs/dbraw/zinc/64/22/67/1048642267.db2.gz ZKKKFYDBYIKPKK-LBPRGKRZSA-N 0 3 319.351 4.026 20 0 DIADHN CC1(C)CCc2cc(CN[C@H]3C[C@H](O)c4ccccc43)ccc2O1 ZINC000850371751 1048642334 /nfs/dbraw/zinc/64/23/34/1048642334.db2.gz LNYKLRVKSWLAEW-OALUTQOASA-N 0 3 323.436 4.058 20 0 DIADHN CC(C)(C)c1ccc(CN[C@H]2C[C@@H](O)c3ccccc32)s1 ZINC000850372310 1048642406 /nfs/dbraw/zinc/64/24/06/1048642406.db2.gz SLQJQWVYCPHOTJ-JKSUJKDBSA-N 0 3 301.455 4.314 20 0 DIADHN CC1(C)CCc2cc(CN[C@@H]3C[C@H](O)c4ccccc43)ccc2O1 ZINC000850371750 1048643049 /nfs/dbraw/zinc/64/30/49/1048643049.db2.gz LNYKLRVKSWLAEW-MOPGFXCFSA-N 0 3 323.436 4.058 20 0 DIADHN CCC(=O)CCCS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001189582271 1048643757 /nfs/dbraw/zinc/64/37/57/1048643757.db2.gz HFJBEWGBFZCACB-GOSISDBHSA-N 0 3 305.487 4.144 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cnc2ccccc2c1)c1ccccc1 ZINC000850394798 1048645870 /nfs/dbraw/zinc/64/58/70/1048645870.db2.gz DRDYCEIQPXPIMM-NHCUHLMSSA-N 0 3 320.436 4.083 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(SC(F)F)cc1)CC2 ZINC000850386724 1048646882 /nfs/dbraw/zinc/64/68/82/1048646882.db2.gz LUSGVUKGNDEJIA-INIZCTEOSA-N 0 3 321.392 4.484 20 0 DIADHN CC[C@@H](NC[C@H](OC)C1CCCCC1)c1cc(F)ccc1OC ZINC001176313043 1048648114 /nfs/dbraw/zinc/64/81/14/1048648114.db2.gz ZVYDBNUNEFYLOQ-MJGOQNOKSA-N 0 3 323.452 4.470 20 0 DIADHN CCSCC[C@H](C)NCc1cccc(F)c1Br ZINC000160191331 1048649119 /nfs/dbraw/zinc/64/91/19/1048649119.db2.gz XZCPSXSWKQRNKS-JTQLQIEISA-N 0 3 320.271 4.210 20 0 DIADHN CCOc1ccc(CN[C@@H]2c3cc(F)ccc3C[C@H]2C)cc1F ZINC000482631146 1048650491 /nfs/dbraw/zinc/65/04/91/1048650491.db2.gz CVNRQSFIFUNVEK-BLVKFPJESA-N 0 3 317.379 4.387 20 0 DIADHN CCOc1ccc(CN[C@H]2c3cc(F)ccc3C[C@H]2C)cc1F ZINC000482631149 1048650501 /nfs/dbraw/zinc/65/05/01/1048650501.db2.gz CVNRQSFIFUNVEK-CWTRNNRKSA-N 0 3 317.379 4.387 20 0 DIADHN C[C@@H](NCc1nccc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000850430228 1048654726 /nfs/dbraw/zinc/65/47/26/1048654726.db2.gz WNQSKYDKDNNZGL-OAHLLOKOSA-N 0 3 318.420 4.411 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850440882 1048658010 /nfs/dbraw/zinc/65/80/10/1048658010.db2.gz HTIBHDPQWIUYLY-OAHLLOKOSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(SC(F)F)cc1 ZINC000850444224 1048658661 /nfs/dbraw/zinc/65/86/61/1048658661.db2.gz HXCBONURQAVFJL-BXUZGUMPSA-N 0 3 323.412 4.384 20 0 DIADHN CCCc1cccc(CNCc2ccc(N3CCCC3=O)cc2)c1 ZINC000775300447 1048658810 /nfs/dbraw/zinc/65/88/10/1048658810.db2.gz MAHYBAKJYKZHBT-UHFFFAOYSA-N 0 3 322.452 4.056 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1cc(C2CC2)ccn1 ZINC001165202880 1048664252 /nfs/dbraw/zinc/66/42/52/1048664252.db2.gz QDUMNLFWVWUMAP-DLBZAZTESA-N 0 3 321.468 4.058 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccnc(Cl)c1 ZINC000850465911 1048667420 /nfs/dbraw/zinc/66/74/20/1048667420.db2.gz GWGXYWZCVGCQGJ-QWHCGFSZSA-N 0 3 316.832 4.472 20 0 DIADHN CCC(C)(C)[C@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000850502247 1048673058 /nfs/dbraw/zinc/67/30/58/1048673058.db2.gz MLPUDGYOQYETMP-BDAKNGLRSA-N 0 3 305.285 4.381 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)o1 ZINC001204397065 1048675387 /nfs/dbraw/zinc/67/53/87/1048675387.db2.gz QMXTVVSWMXLVEW-HNNXBMFYSA-N 0 3 311.425 4.425 20 0 DIADHN Clc1cc2c(s1)CC[C@@H]2NCc1ccc2[nH]cnc2c1 ZINC000568068034 1048677698 /nfs/dbraw/zinc/67/76/98/1048677698.db2.gz PKIPSSVOVAVXMH-NSHDSACASA-N 0 3 303.818 4.055 20 0 DIADHN Clc1cc2c(s1)CC[C@@H]2NCc1ccc2nc[nH]c2c1 ZINC000568068034 1048677704 /nfs/dbraw/zinc/67/77/04/1048677704.db2.gz PKIPSSVOVAVXMH-NSHDSACASA-N 0 3 303.818 4.055 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850528504 1048680137 /nfs/dbraw/zinc/68/01/37/1048680137.db2.gz IKLBYGQDFQBZFI-PNHOKKKMSA-N 0 3 311.425 4.303 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)C[C@@H](O)CCC(C)C ZINC000482791072 1048680692 /nfs/dbraw/zinc/68/06/92/1048680692.db2.gz RIBLTBYLTBLEIN-CABCVRRESA-N 0 3 312.523 4.365 20 0 DIADHN O[C@@H]1C[C@@H](N[C@@H]2CCCc3c(Cl)cccc32)c2ccccc21 ZINC000850526711 1048681062 /nfs/dbraw/zinc/68/10/62/1048681062.db2.gz CWDHOGMCWYTBKI-GUDVDZBRSA-N 0 3 313.828 4.485 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](O)c2ccccc21)c1cc2c(s1)CCCC2 ZINC000850528927 1048681247 /nfs/dbraw/zinc/68/12/47/1048681247.db2.gz OEOMEYMVPKJQEK-VUCTXSBTSA-N 0 3 313.466 4.456 20 0 DIADHN C[C@H](N[C@H]1C[C@H](O)c2ccccc21)c1cc2c(s1)CCCC2 ZINC000850528929 1048681340 /nfs/dbraw/zinc/68/13/40/1048681340.db2.gz OEOMEYMVPKJQEK-ZLIFDBKOSA-N 0 3 313.466 4.456 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@H]1C[C@@H](O)c2ccccc21 ZINC000850529359 1048683583 /nfs/dbraw/zinc/68/35/83/1048683583.db2.gz QSXODNHHQXNFRH-SLFFLAALSA-N 0 3 323.436 4.186 20 0 DIADHN CC[C@H](N[C@@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCO2 ZINC000850543372 1048686611 /nfs/dbraw/zinc/68/66/11/1048686611.db2.gz IGBDRUVJWTXXGZ-RBUKOAKNSA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1c(C)cccc1C(C)C ZINC000482840890 1048687525 /nfs/dbraw/zinc/68/75/25/1048687525.db2.gz KDSDMMDUZPQJLB-OAHLLOKOSA-N 0 3 304.478 4.425 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@H]1C[C@@H](OC(C)(C)C)C12CCC2 ZINC000850547872 1048689408 /nfs/dbraw/zinc/68/94/08/1048689408.db2.gz WCMXUHDBDLQFRS-VBNZEHGJSA-N 0 3 320.477 4.322 20 0 DIADHN Cc1cc(C)c(C)c(NCC2CN(Cc3ccccc3)C2)c1C ZINC001165452939 1048690031 /nfs/dbraw/zinc/69/00/31/1048690031.db2.gz BZXYMESXSUNCNQ-UHFFFAOYSA-N 0 3 308.469 4.464 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@@H](C)[C@@H]1C ZINC000482863058 1048691553 /nfs/dbraw/zinc/69/15/53/1048691553.db2.gz FKUYSRDWUCVFGZ-GRYCIOLGSA-N 0 3 305.809 4.181 20 0 DIADHN CCn1ccc2cc(NCC3CN(Cc4ccccc4)C3)ccc21 ZINC001165486108 1048692501 /nfs/dbraw/zinc/69/25/01/1048692501.db2.gz JQYYNONRFFETST-UHFFFAOYSA-N 0 3 319.452 4.205 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C)[C@H]1C ZINC000482862914 1048693336 /nfs/dbraw/zinc/69/33/36/1048693336.db2.gz FERCMSKXVQOWGN-JJXSEGSLSA-N 0 3 302.462 4.257 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1c(C)cc(C)cc1Cl ZINC000482878076 1048694381 /nfs/dbraw/zinc/69/43/81/1048694381.db2.gz YTMCQHDNZJEVKT-LBPRGKRZSA-N 0 3 310.869 4.263 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CNCc1cc(Cl)ccc1Cl ZINC001203235446 1048700660 /nfs/dbraw/zinc/70/06/60/1048700660.db2.gz QFDDNBIMGVAAMW-PXAZEXFGSA-N 0 3 324.251 4.248 20 0 DIADHN CCC[C@H](O)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000482948814 1048706813 /nfs/dbraw/zinc/70/68/13/1048706813.db2.gz SJTTWTHFGYMCSP-WCBMZHEXSA-N 0 3 308.274 4.183 20 0 DIADHN CCC[C@](C)(O)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000482970377 1048714479 /nfs/dbraw/zinc/71/44/79/1048714479.db2.gz JRXDEAHKZZMKMD-DYVFJYSZSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1C[C@H]1CCC2(CCC2)CO1 ZINC001190331263 1048719726 /nfs/dbraw/zinc/71/97/26/1048719726.db2.gz BDSLNQREZHODIC-GDBMZVCRSA-N 0 3 305.487 4.407 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@H]2CCC3(CCCCCC3)O2)on1 ZINC001190366668 1048729370 /nfs/dbraw/zinc/72/93/70/1048729370.db2.gz SKQYXLTUSQWOBH-IAGOWNOFSA-N 0 3 318.461 4.392 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001190407142 1048731789 /nfs/dbraw/zinc/73/17/89/1048731789.db2.gz LMVRABYQCQGYSM-WBAXXEDZSA-N 0 3 305.849 4.435 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)nn1)[C@@H]1CCC(C)(C)C1 ZINC000483114088 1048741188 /nfs/dbraw/zinc/74/11/88/1048741188.db2.gz VVUUSIFRECVSBM-MRXNPFEDSA-N 0 3 311.429 4.279 20 0 DIADHN c1cc(C2CCCCC2)ccc1CN1CCC[C@@H]1C1OCCO1 ZINC001176640707 1048745105 /nfs/dbraw/zinc/74/51/05/1048745105.db2.gz NHDQRKNZNGKXMV-LJQANCHMSA-N 0 3 315.457 4.072 20 0 DIADHN c1cc(C2CCCCC2)ccc1CN1CCC[C@H]1C1OCCO1 ZINC001176640706 1048745595 /nfs/dbraw/zinc/74/55/95/1048745595.db2.gz NHDQRKNZNGKXMV-IBGZPJMESA-N 0 3 315.457 4.072 20 0 DIADHN COC1(CN(Cc2ccco2)Cc2cccs2)CCCC1 ZINC000483144140 1048747712 /nfs/dbraw/zinc/74/77/12/1048747712.db2.gz MBYRJFUHIMXGNT-UHFFFAOYSA-N 0 3 305.443 4.303 20 0 DIADHN CC(C)N(C)c1ccc(CN2CCC[C@H]2c2cccs2)cn1 ZINC000483145169 1048747871 /nfs/dbraw/zinc/74/78/71/1048747871.db2.gz OIZKIRHVCSVHLT-INIZCTEOSA-N 0 3 315.486 4.325 20 0 DIADHN CN1CCc2ccc(Nc3ccc(OC(F)(F)F)cc3)cc2C1 ZINC001176643835 1048748321 /nfs/dbraw/zinc/74/83/21/1048748321.db2.gz JKGBQHWZOVBKDV-UHFFFAOYSA-N 0 3 322.330 4.317 20 0 DIADHN CC[C@](C)(NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000775394710 1048751330 /nfs/dbraw/zinc/75/13/30/1048751330.db2.gz MGCZOLLDCOJEMQ-UWJYYQICSA-N 0 3 324.468 4.121 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483217115 1048760066 /nfs/dbraw/zinc/76/00/66/1048760066.db2.gz MNZYDZYPBNTJFB-PWSUYJOCSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483222601 1048760151 /nfs/dbraw/zinc/76/01/51/1048760151.db2.gz UKCONUHWXUIJOM-WDEREUQCSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@@H](C(C)(C)C)C3)o2)cc1 ZINC000483240350 1048761338 /nfs/dbraw/zinc/76/13/38/1048761338.db2.gz TYLMWDGDQFFZKY-MRXNPFEDSA-N 0 3 313.445 4.303 20 0 DIADHN CC[C@H](NC(=O)CN1CCC[C@@H](C)CC1)c1ccc(Cl)cc1 ZINC001190660518 1048768138 /nfs/dbraw/zinc/76/81/38/1048768138.db2.gz LPHTXQYSTXHPOB-PBHICJAKSA-N 0 3 322.880 4.029 20 0 DIADHN CN1CCN(Cc2ccc(Nc3cccc(C4CC4)c3)cc2)CC1 ZINC001176724454 1048771113 /nfs/dbraw/zinc/77/11/13/1048771113.db2.gz WFAKKRRUAIDCDU-UHFFFAOYSA-N 0 3 321.468 4.055 20 0 DIADHN c1ccc(-n2nc(CN(CC3CC3)C3CCC3)c3c2CCC3)cc1 ZINC000483288084 1048771828 /nfs/dbraw/zinc/77/18/28/1048771828.db2.gz DYIGWGBFZZFAKR-UHFFFAOYSA-N 0 3 321.468 4.126 20 0 DIADHN CN(CCSC(F)(F)F)Cc1cccc(C(F)(F)F)c1 ZINC001190674249 1048775468 /nfs/dbraw/zinc/77/54/68/1048775468.db2.gz RQTLPTMVZMZWQD-UHFFFAOYSA-N 0 3 317.298 4.390 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN(CC2CC2)C2CCC2)co1 ZINC000483303953 1048776654 /nfs/dbraw/zinc/77/66/54/1048776654.db2.gz IGQXXYIEFRGDCD-UHFFFAOYSA-N 0 3 318.367 4.384 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2ccc(OCC3CC3)cc2)C1 ZINC000483308366 1048778075 /nfs/dbraw/zinc/77/80/75/1048778075.db2.gz JLMOCKNITJKHMM-IERDGZPVSA-N 0 3 322.452 4.454 20 0 DIADHN COc1cc(CN(C/C=C\c2ccccc2)C2CC2)ccc1O ZINC000483362123 1048780976 /nfs/dbraw/zinc/78/09/76/1048780976.db2.gz ZROMIUFYLKXPAT-YVMONPNESA-N 0 3 309.409 4.079 20 0 DIADHN CCC[C@@H]1CCCN1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001176782834 1048787529 /nfs/dbraw/zinc/78/75/29/1048787529.db2.gz OYXSPCCJHXHKMT-MRXNPFEDSA-N 0 3 309.457 4.126 20 0 DIADHN CN(Cc1cccc(OC(C)(C)C)c1)[C@H](CO)c1ccccc1 ZINC001190801907 1048789590 /nfs/dbraw/zinc/78/95/90/1048789590.db2.gz NTOFARDRBQGAKO-LJQANCHMSA-N 0 3 313.441 4.029 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1ccn(-c2ccccc2F)n1 ZINC001190838326 1048791600 /nfs/dbraw/zinc/79/16/00/1048791600.db2.gz OHWKJRIHNJLPPS-UHFFFAOYSA-N 0 3 303.425 4.316 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NCCc2ccsc2)n1 ZINC001166791630 1048794814 /nfs/dbraw/zinc/79/48/14/1048794814.db2.gz NVDNWIQAKVBDRI-AWEZNQCLSA-N 0 3 301.459 4.177 20 0 DIADHN c1c2ccccc2[nH]c1C1CCN(Cc2cc(C3CC3)no2)CC1 ZINC000851738535 1048797210 /nfs/dbraw/zinc/79/72/10/1048797210.db2.gz JWJSNRCWGJGTCI-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN COc1ccc([C@H]2CCCN2CCSc2ccccc2)nc1 ZINC001176871487 1048797870 /nfs/dbraw/zinc/79/78/70/1048797870.db2.gz MGPTYBXRFHGIJV-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CCCC2(CCCCC2)C1 ZINC001190873919 1048799669 /nfs/dbraw/zinc/79/96/69/1048799669.db2.gz ZLFONURLURRZJG-MSOLQXFVSA-N 0 3 320.521 4.070 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CNC1([C@@H]2CCCO2)CCC1 ZINC001176939625 1048801537 /nfs/dbraw/zinc/80/15/37/1048801537.db2.gz MKPQCGKDVDOSKW-ZDUSSCGKSA-N 0 3 318.219 4.324 20 0 DIADHN CCN(CC(=O)Nc1cccc(Oc2ccccc2)c1)CC1CC1 ZINC001190933176 1048802560 /nfs/dbraw/zinc/80/25/60/1048802560.db2.gz BWMUJLGQEAYNPU-UHFFFAOYSA-N 0 3 324.424 4.149 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCCC[C@H]1[C@H]1CCCO1 ZINC001176926892 1048803953 /nfs/dbraw/zinc/80/39/53/1048803953.db2.gz LGRHASBCZPDXEB-JKSUJKDBSA-N 0 3 313.363 4.239 20 0 DIADHN CCN(CC)Cc1cccc(NCCc2ccsc2)c1F ZINC001166864488 1048805559 /nfs/dbraw/zinc/80/55/59/1048805559.db2.gz CXZMECATYJWQQI-UHFFFAOYSA-N 0 3 306.450 4.384 20 0 DIADHN Cc1ccc(CN2C[C@H](c3ccccc3)C[C@H]2C)nc1Cl ZINC001191005321 1048818596 /nfs/dbraw/zinc/81/85/96/1048818596.db2.gz SDGCFEOBVNJDJL-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN COC(CCN[C@H](c1c(Cl)cccc1Cl)C1CC1)OC ZINC000852054589 1048819931 /nfs/dbraw/zinc/81/99/31/1048819931.db2.gz CHZXMLYRFWRFDQ-HNNXBMFYSA-N 0 3 318.244 4.043 20 0 DIADHN COc1ccc(CN(Cc2cccc(F)n2)C(C)(C)C)cc1 ZINC000852053546 1048820991 /nfs/dbraw/zinc/82/09/91/1048820991.db2.gz AEFNGYMMJJBAPG-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CCCC[C@H](CC)CNCc1nccc(C)c1Br ZINC001177082090 1048821203 /nfs/dbraw/zinc/82/12/03/1048821203.db2.gz WKESEYCXRXBIOJ-ZDUSSCGKSA-N 0 3 313.283 4.459 20 0 DIADHN Cc1cccc(CNC[C@H](c2ccccc2Cl)N(C)C)c1F ZINC001177072029 1048822857 /nfs/dbraw/zinc/82/28/57/1048822857.db2.gz WQXGEYFJAYYGNG-QGZVFWFLSA-N 0 3 320.839 4.180 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc(F)cc1F)Oc1ccccc1F ZINC000483612030 1048823457 /nfs/dbraw/zinc/82/34/57/1048823457.db2.gz GTIMJXYSFGIWIT-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN Cc1cccc(CNC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001191023268 1048823636 /nfs/dbraw/zinc/82/36/36/1048823636.db2.gz SXABQPRHQZEIRR-UHFFFAOYSA-N 0 3 308.406 4.093 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@H](C(C)(C)C)CC1)c1ccccc1 ZINC001191021569 1048824055 /nfs/dbraw/zinc/82/40/55/1048824055.db2.gz HPOLNYOUEUOQPB-AEFFLSMTSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1cc(CNC(C)(C)/C=C/Cl)ccc1Br ZINC001203732704 1048824088 /nfs/dbraw/zinc/82/40/88/1048824088.db2.gz AFCNWDSOKNDNTB-VOTSOKGWSA-N 0 3 302.643 4.378 20 0 DIADHN Cc1ccsc1/C=C/C(=O)NCCP(C(C)C)C(C)C ZINC001177077492 1048827021 /nfs/dbraw/zinc/82/70/21/1048827021.db2.gz LIGBOXUEPSJXIU-VOTSOKGWSA-N 0 3 311.431 4.485 20 0 DIADHN Cc1ccc(CNC[C@H](c2ccco2)N2CCCCC2)c(F)c1 ZINC001177117356 1048827066 /nfs/dbraw/zinc/82/70/66/1048827066.db2.gz RWFAGUVBAHQIIW-GOSISDBHSA-N 0 3 316.420 4.044 20 0 DIADHN Cc1ccc(C)c([C@@H](NCC2=CCCOC2)c2ccccc2)c1 ZINC000379737445 1048827083 /nfs/dbraw/zinc/82/70/83/1048827083.db2.gz RPFQJCTUUQUPCC-NRFANRHFSA-N 0 3 307.437 4.329 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCCCCCCO ZINC000852128768 1048827209 /nfs/dbraw/zinc/82/72/09/1048827209.db2.gz ZHTFTXQYMXQSEO-ZDUSSCGKSA-N 0 3 323.868 4.152 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1csc(COc2ccccc2)n1 ZINC001177093392 1048828870 /nfs/dbraw/zinc/82/88/70/1048828870.db2.gz PZCKLXHCSPTFIC-WMLDXEAASA-N 0 3 316.470 4.390 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccccc1)Cc1cc(CO)ccc1F ZINC001167016392 1048830327 /nfs/dbraw/zinc/83/03/27/1048830327.db2.gz LSWHHUBSQFRLQH-OAHLLOKOSA-N 0 3 313.416 4.119 20 0 DIADHN COc1ccc(CN2CCS[C@@H](C)[C@@H]2c2ccccc2)cc1 ZINC001191030055 1048830857 /nfs/dbraw/zinc/83/08/57/1048830857.db2.gz LKDDIXYCCYVTIW-HNAYVOBHSA-N 0 3 313.466 4.374 20 0 DIADHN CCCN(Cc1cnc(Cl)s1)[C@H]1C[C@H](OCC)C1(C)C ZINC001191099034 1048848740 /nfs/dbraw/zinc/84/87/40/1048848740.db2.gz HGJFCZBJJPVIBW-STQMWFEESA-N 0 3 316.898 4.212 20 0 DIADHN Cc1cccc(OCCNCc2cnc(C3CCCC3)s2)c1 ZINC001177112388 1048832047 /nfs/dbraw/zinc/83/20/47/1048832047.db2.gz NISGUFMLJOQKGI-UHFFFAOYSA-N 0 3 316.470 4.278 20 0 DIADHN COc1cc(C)c(CN[C@H]2CCSc3ccccc32)c(C)n1 ZINC001177112551 1048835535 /nfs/dbraw/zinc/83/55/35/1048835535.db2.gz QOBDHCGUNOTZAK-INIZCTEOSA-N 0 3 314.454 4.034 20 0 DIADHN CCCC[C@@H](CC)N[C@@H](C)c1nc(-c2ccccc2OC)no1 ZINC000483651796 1048835603 /nfs/dbraw/zinc/83/56/03/1048835603.db2.gz KKZBQCQYZJXHMS-UONOGXRCSA-N 0 3 317.433 4.365 20 0 DIADHN CCCCC[C@H](C)NCc1ncc(Br)cc1F ZINC001177148810 1048837831 /nfs/dbraw/zinc/83/78/31/1048837831.db2.gz NWMSUPZCFJENFG-JTQLQIEISA-N 0 3 303.219 4.042 20 0 DIADHN Cc1ccccc1[C@H](O)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426008 1048840748 /nfs/dbraw/zinc/84/07/48/1048840748.db2.gz FTMMCGWEWDJMEH-IEBWSBKVSA-N 0 3 311.425 4.239 20 0 DIADHN COc1ccc(Cl)c(CN[C@@H](C)[C@@H]2COc3ccccc32)c1 ZINC000483716776 1048842180 /nfs/dbraw/zinc/84/21/80/1048842180.db2.gz WLGMDRNJJRLIOE-LRDDRELGSA-N 0 3 317.816 4.003 20 0 DIADHN Cc1ccccc1[C@@H](O)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426007 1048842645 /nfs/dbraw/zinc/84/26/45/1048842645.db2.gz FTMMCGWEWDJMEH-HKUYNNGSSA-N 0 3 311.425 4.239 20 0 DIADHN CC(=O)Oc1ccc(CN[C@H](c2ccccc2F)C(C)C)cc1 ZINC000852447054 1048842935 /nfs/dbraw/zinc/84/29/35/1048842935.db2.gz QVUSUTPAIPWODU-IBGZPJMESA-N 0 3 315.388 4.238 20 0 DIADHN Cc1cc(Br)ccc1CN1CC[C@@H](c2ccco2)C1 ZINC001191048038 1048845294 /nfs/dbraw/zinc/84/52/94/1048845294.db2.gz LJILUELIEMTYMX-CQSZACIVSA-N 0 3 320.230 4.340 20 0 DIADHN CN(CC1CC(F)(F)C1)[C@@H](CO)c1cccc(Cl)c1Cl ZINC000852514300 1048847651 /nfs/dbraw/zinc/84/76/51/1048847651.db2.gz FDYMBHMQPHRGHK-LBPRGKRZSA-N 0 3 324.198 4.004 20 0 DIADHN CCCN(Cc1cnc(Cl)s1)[C@H]1C[C@@H](OCC)C1(C)C ZINC001191099033 1048847857 /nfs/dbraw/zinc/84/78/57/1048847857.db2.gz HGJFCZBJJPVIBW-QWHCGFSZSA-N 0 3 316.898 4.212 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3cnn(C)c3)s2)s1 ZINC000338947239 1048848750 /nfs/dbraw/zinc/84/87/50/1048848750.db2.gz FRHQAUAZQMLXMU-UHFFFAOYSA-N 0 3 317.483 4.151 20 0 DIADHN COC(=O)c1sccc1CN1CCCCC[C@H]1CC(C)C ZINC001191111788 1048852211 /nfs/dbraw/zinc/85/22/11/1048852211.db2.gz HOZSMTFKZXDORR-HNNXBMFYSA-N 0 3 309.475 4.325 20 0 DIADHN CCc1ccc(CN2CCN(CCC3CCCC3)CC2)s1 ZINC001191111195 1048853062 /nfs/dbraw/zinc/85/30/62/1048853062.db2.gz GELRNGQPVAAEHR-UHFFFAOYSA-N 0 3 306.519 4.008 20 0 DIADHN COc1cccc(C2(NCc3cncc4ccccc43)CCC2)c1 ZINC000852691316 1048855663 /nfs/dbraw/zinc/85/56/63/1048855663.db2.gz AJHJIGNQBXKCKR-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CN(CC1=CCSC1)Cc1ccc(SC(F)F)cc1 ZINC000852747824 1048856996 /nfs/dbraw/zinc/85/69/96/1048856996.db2.gz YMRJLMQBKHDTDZ-UHFFFAOYSA-N 0 3 301.427 4.106 20 0 DIADHN COc1cc(CN(CC2CCC2)[C@@H](C)c2ccccc2)on1 ZINC001191122425 1048857897 /nfs/dbraw/zinc/85/78/97/1048857897.db2.gz QMOQQWMDOOVIHX-AWEZNQCLSA-N 0 3 300.402 4.047 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3c(c2)CCCO3)cc(Cl)n1 ZINC000852779722 1048860804 /nfs/dbraw/zinc/86/08/04/1048860804.db2.gz IENAZCCFGAMVLI-CYBMUJFWSA-N 0 3 316.832 4.219 20 0 DIADHN CC[C@@H](NCc1cccc2[nH]c(=O)oc21)c1cccc(Cl)c1 ZINC001177276331 1048863522 /nfs/dbraw/zinc/86/35/22/1048863522.db2.gz CLJIKZQOFIJRLW-CQSZACIVSA-N 0 3 316.788 4.428 20 0 DIADHN CC[C@H](NCc1cccc2[nH]c(=O)oc21)c1cccc(Cl)c1 ZINC001177276330 1048864869 /nfs/dbraw/zinc/86/48/69/1048864869.db2.gz CLJIKZQOFIJRLW-AWEZNQCLSA-N 0 3 316.788 4.428 20 0 DIADHN CCCOc1ccc(CNCc2cnc3c(F)cccc3c2)cc1 ZINC001177311609 1048867781 /nfs/dbraw/zinc/86/77/81/1048867781.db2.gz FFOBYNIXUKVZCF-UHFFFAOYSA-N 0 3 324.399 4.453 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cccc(OC(C)C)c1 ZINC001177323211 1048870581 /nfs/dbraw/zinc/87/05/81/1048870581.db2.gz HLMHTIXGJDJUCD-UHFFFAOYSA-N 0 3 313.441 4.335 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CCC2(CCCC2)C1 ZINC001191193665 1048877475 /nfs/dbraw/zinc/87/74/75/1048877475.db2.gz NQUNMMZNJLONBU-CQSZACIVSA-N 0 3 320.864 4.242 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@@H]2CCC3(CCC3)CO2)s1 ZINC001191146079 1048879367 /nfs/dbraw/zinc/87/93/67/1048879367.db2.gz TVPQAYKAZWRYPN-CABCVRRESA-N 0 3 322.518 4.356 20 0 DIADHN CC[C@@](C)(NCc1cnn(CC2CCC2)c1)c1ccc(F)cc1 ZINC001203357492 1048879983 /nfs/dbraw/zinc/87/99/83/1048879983.db2.gz JTLFYWALDYNTNN-LJQANCHMSA-N 0 3 315.436 4.237 20 0 DIADHN CCc1ccc(CNCCOc2cccc(C(F)(F)F)c2)o1 ZINC001177359346 1048881302 /nfs/dbraw/zinc/88/13/02/1048881302.db2.gz PEHMZAVHDQETID-UHFFFAOYSA-N 0 3 313.319 4.029 20 0 DIADHN Cc1cc(CN[C@]2(C)CCCc3ccccc32)cc(Cl)n1 ZINC000797600428 1048885934 /nfs/dbraw/zinc/88/59/34/1048885934.db2.gz UHVCDUUCUNQJCQ-GOSISDBHSA-N 0 3 300.833 4.385 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000853239472 1048888398 /nfs/dbraw/zinc/88/83/98/1048888398.db2.gz BRYWHFMWEVBQQX-JLTOFOAXSA-N 0 3 323.436 4.445 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1N(C)C)c1ccc2c(c1)CCCO2 ZINC000853240131 1048890887 /nfs/dbraw/zinc/89/08/87/1048890887.db2.gz GJBXWJDBYBHLDG-CVEARBPZSA-N 0 3 324.468 4.489 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cnccc1C(F)(F)F ZINC000853243023 1048892956 /nfs/dbraw/zinc/89/29/56/1048892956.db2.gz VYYOKKHSPKSXLD-MRXNPFEDSA-N 0 3 306.331 4.186 20 0 DIADHN CC(C)n1cc(CN[C@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC001177524422 1048906172 /nfs/dbraw/zinc/90/61/72/1048906172.db2.gz CWENBDAOERCCRA-QGZVFWFLSA-N 0 3 303.425 4.480 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1cc(Cl)n(C)n1 ZINC001191233701 1048907469 /nfs/dbraw/zinc/90/74/69/1048907469.db2.gz POZKDVBKYGGJFD-IRXDYDNUSA-N 0 3 317.864 4.232 20 0 DIADHN c1coc(-c2nc(CNC[C@@H]3CCc4ccccc43)cs2)c1 ZINC000111390470 1048907940 /nfs/dbraw/zinc/90/79/40/1048907940.db2.gz TZKKUVFGUOBGQX-AWEZNQCLSA-N 0 3 310.422 4.223 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(C)cc2)c(OC)c1 ZINC001203479160 1048911153 /nfs/dbraw/zinc/91/11/53/1048911153.db2.gz RSNXVFRWPLSVQS-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CCSc1ccc(CNCc2ccc3c(c2)C[C@@H](C)O3)cc1 ZINC001203485210 1048911651 /nfs/dbraw/zinc/91/16/51/1048911651.db2.gz JAMBQJONSJVCSL-CQSZACIVSA-N 0 3 313.466 4.412 20 0 DIADHN C[C@@H]1CCc2nc(CNCC[C@@H]3CCCC3(F)F)sc2C1 ZINC001203478794 1048912926 /nfs/dbraw/zinc/91/29/26/1048912926.db2.gz IXSOSEXGPNPGQY-NEPJUHHUSA-N 0 3 314.445 4.183 20 0 DIADHN C[C@H]1CCc2nc(CNCC[C@H]3CCCC3(F)F)sc2C1 ZINC001203478795 1048912988 /nfs/dbraw/zinc/91/29/88/1048912988.db2.gz IXSOSEXGPNPGQY-NWDGAFQWSA-N 0 3 314.445 4.183 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1cc(C)ccn1 ZINC001203521246 1048918757 /nfs/dbraw/zinc/91/87/57/1048918757.db2.gz FMFVOCBRQRFUOT-ZROIWOOFSA-N 0 3 318.367 4.185 20 0 DIADHN CC(C)CCC[C@H](C)N(CCC(C)C)Cc1nccc(N)n1 ZINC001191297826 1048918778 /nfs/dbraw/zinc/91/87/78/1048918778.db2.gz SEWNAKFAMVZHNG-INIZCTEOSA-N 0 3 306.498 4.122 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCN(c3cccc(Cl)c3)C2)o1 ZINC000484290979 1048920316 /nfs/dbraw/zinc/92/03/16/1048920316.db2.gz FGCWWDCGOJHJDG-ZFWWWQNUSA-N 0 3 304.821 4.171 20 0 DIADHN CCOc1ccccc1CN1CCC2(COc3ccccc32)CC1 ZINC001203545550 1048923416 /nfs/dbraw/zinc/92/34/16/1048923416.db2.gz MCJSWGOLGWRXCM-UHFFFAOYSA-N 0 3 323.436 4.012 20 0 DIADHN Cc1[nH]c2ccccc2c1CCNCc1c(F)cc(C)cc1F ZINC001177608069 1048924159 /nfs/dbraw/zinc/92/41/59/1048924159.db2.gz NKBLJCFIRIDJJU-UHFFFAOYSA-N 0 3 314.379 4.395 20 0 DIADHN CCOc1cncc(CNC2(c3cccc(C)c3)CCCC2)c1 ZINC001177631853 1048925144 /nfs/dbraw/zinc/92/51/44/1048925144.db2.gz ZKFLKRYKXOEVAO-UHFFFAOYSA-N 0 3 310.441 4.348 20 0 DIADHN c1csc(CNCC2(Sc3ccccc3)CCCC2)n1 ZINC001177674009 1048929072 /nfs/dbraw/zinc/92/90/72/1048929072.db2.gz HJOSATICMDVFEV-UHFFFAOYSA-N 0 3 304.484 4.338 20 0 DIADHN Clc1cccc2c1CC[C@H]2NCc1ccc2nccnc2c1 ZINC001177646241 1048930334 /nfs/dbraw/zinc/93/03/34/1048930334.db2.gz KCCMQMFOWPGZPD-MRXNPFEDSA-N 0 3 309.800 4.060 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](CN(C)C)c1ccccc1 ZINC000194837912 1048931616 /nfs/dbraw/zinc/93/16/16/1048931616.db2.gz NUWJASVDKRSFOC-MAUKXSAKSA-N 0 3 314.498 4.362 20 0 DIADHN C[C@H]1CN(Cc2cccc(OC(F)F)c2)[C@@H]1c1ccccc1 ZINC001191399728 1048931901 /nfs/dbraw/zinc/93/19/01/1048931901.db2.gz LJQQCBHDMSDWTI-GUYCJALGSA-N 0 3 303.352 4.481 20 0 DIADHN Fc1cccc2cc(CNCc3cccc4ncccc34)cnc12 ZINC001203582947 1048938063 /nfs/dbraw/zinc/93/80/63/1048938063.db2.gz LWVYHAAPIWPRJD-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN CC(C)(C)c1ccccc1OCCNCc1ccc2c(n1)CCC2 ZINC001177785626 1048942475 /nfs/dbraw/zinc/94/24/75/1048942475.db2.gz ZFLKKTQIMCLRIB-UHFFFAOYSA-N 0 3 324.468 4.036 20 0 DIADHN c1ccc2c(c1)CN[C@H]2Cc1nc(C2CCCCCCC2)no1 ZINC000854143627 1048942968 /nfs/dbraw/zinc/94/29/68/1048942968.db2.gz YMIPCEVZDNNIBP-KRWDZBQOSA-N 0 3 311.429 4.285 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)ccc1Cl ZINC001177822199 1048944240 /nfs/dbraw/zinc/94/42/40/1048944240.db2.gz IFGOBKWLHOENKN-LLVKDONJSA-N 0 3 315.804 4.081 20 0 DIADHN c1cc(-c2nc(CNCC3CCSCC3)cs2)cs1 ZINC001177795285 1048945576 /nfs/dbraw/zinc/94/55/76/1048945576.db2.gz QYBVOMNTVMZRFT-UHFFFAOYSA-N 0 3 310.513 4.104 20 0 DIADHN CC(C)c1ccc(C2(CNCc3nccn3C)CCCC2)cc1 ZINC001177800266 1048948343 /nfs/dbraw/zinc/94/83/43/1048948343.db2.gz WRIOPGVSNCKZDV-UHFFFAOYSA-N 0 3 311.473 4.145 20 0 DIADHN C[C@@H]1c2ccccc2CCN1CCOc1ccc(Cl)c(F)c1 ZINC001191472744 1048948666 /nfs/dbraw/zinc/94/86/66/1048948666.db2.gz ASRJLYARPILCOO-CYBMUJFWSA-N 0 3 319.807 4.477 20 0 DIADHN COCc1cc(CNCc2cccc(C(C)C)c2)ccc1F ZINC001177855041 1048954739 /nfs/dbraw/zinc/95/47/39/1048954739.db2.gz JBAZHMFUDHTLBR-UHFFFAOYSA-N 0 3 301.405 4.385 20 0 DIADHN COc1cccc([C@H]2CN(C[C@H]3CCCCC3(C)C)CCO2)c1 ZINC001191560294 1048965916 /nfs/dbraw/zinc/96/59/16/1048965916.db2.gz ZGFKCPBQQMAOEU-IEBWSBKVSA-N 0 3 317.473 4.285 20 0 DIADHN COc1cccc([C@H]2CN(C[C@@H]3CCCCC3(C)C)CCO2)c1 ZINC001191560296 1048966408 /nfs/dbraw/zinc/96/64/08/1048966408.db2.gz ZGFKCPBQQMAOEU-PKOBYXMFSA-N 0 3 317.473 4.285 20 0 DIADHN CC[C@H](CNCc1ccc(C)cc1F)Oc1cccc(F)c1 ZINC001177944277 1048967809 /nfs/dbraw/zinc/96/78/09/1048967809.db2.gz YTHOAPFTWFWKFP-MRXNPFEDSA-N 0 3 305.368 4.220 20 0 DIADHN CCC(CC)N(C)Cc1ccc(Br)c(F)c1F ZINC000701275984 1048968432 /nfs/dbraw/zinc/96/84/32/1048968432.db2.gz UKLGWTXPJIDDLI-UHFFFAOYSA-N 0 3 306.194 4.348 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1CCC[C@@H](SCC)C1 ZINC001177951098 1048969714 /nfs/dbraw/zinc/96/97/14/1048969714.db2.gz ZSQLWLOBMLAGRQ-UONOGXRCSA-N 0 3 311.466 4.378 20 0 DIADHN CCOc1cccc(F)c1CN[C@@H]1CCC[C@H](SCC)C1 ZINC001177951096 1048969985 /nfs/dbraw/zinc/96/99/85/1048969985.db2.gz ZSQLWLOBMLAGRQ-KGLIPLIRSA-N 0 3 311.466 4.378 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1csc(-c2ccoc2)n1 ZINC000194921066 1048970213 /nfs/dbraw/zinc/97/02/13/1048970213.db2.gz FVSYHXPAKBQRMM-KRWDZBQOSA-N 0 3 320.458 4.184 20 0 DIADHN CCOc1cccc(F)c1CN[C@@H]1CCC[C@@H](SCC)C1 ZINC001177951100 1048970574 /nfs/dbraw/zinc/97/05/74/1048970574.db2.gz ZSQLWLOBMLAGRQ-ZIAGYGMSSA-N 0 3 311.466 4.378 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC001191610824 1048972405 /nfs/dbraw/zinc/97/24/05/1048972405.db2.gz RVZHOKSVJFZBJL-DOTOQJQBSA-N 0 3 316.445 4.416 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1cn2cc(Cl)ccc2n1 ZINC001177972364 1048973645 /nfs/dbraw/zinc/97/36/45/1048973645.db2.gz IRDPKFCZCFXOJZ-GJZGRUSLSA-N 0 3 323.893 4.142 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cccnc3C)s2)cc1 ZINC001177972521 1048974762 /nfs/dbraw/zinc/97/47/62/1048974762.db2.gz ODMBWPFNGHTMGR-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN COc1ccc(CN2CC[C@](CF)(C(F)(F)F)C2)c(C)c1C ZINC001203716911 1048975987 /nfs/dbraw/zinc/97/59/87/1048975987.db2.gz PCRXZXGPGOBSLJ-OAHLLOKOSA-N 0 3 319.342 4.036 20 0 DIADHN COc1ccc(CN(C)CCC(=O)c2ccccc2)c(C)c1C ZINC001203724407 1048980970 /nfs/dbraw/zinc/98/09/70/1048980970.db2.gz GYGYOCCBMYIUOT-UHFFFAOYSA-N 0 3 311.425 4.017 20 0 DIADHN CC1(C)C[C@H](NCc2cc3ccccc3[nH]c2=O)c2ccccc21 ZINC001178081060 1048988635 /nfs/dbraw/zinc/98/86/35/1048988635.db2.gz BRMJZLQPGUVTAO-IBGZPJMESA-N 0 3 318.420 4.453 20 0 DIADHN COc1cc(C)c(CN2CC[C@@](CF)(C(F)(F)F)C2)cc1C ZINC001203756046 1048990493 /nfs/dbraw/zinc/99/04/93/1048990493.db2.gz RGDVDXYWMSOMCZ-HNNXBMFYSA-N 0 3 319.342 4.036 20 0 DIADHN CCC(CC)(CC)CNCc1c(F)cncc1Br ZINC001178156787 1048992115 /nfs/dbraw/zinc/99/21/15/1048992115.db2.gz FPRKUAVNOKAUHV-UHFFFAOYSA-N 0 3 317.246 4.289 20 0 DIADHN C[C@H]1COC[C@@H]1NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001203770427 1048994014 /nfs/dbraw/zinc/99/40/14/1048994014.db2.gz FNSDJFSDRJTTFH-KSSFIOAISA-N 0 3 313.441 4.369 20 0 DIADHN CCO[C@H](CN1CCCC[C@@H]1c1ccc(F)cc1F)C1CC1 ZINC001191741882 1048996025 /nfs/dbraw/zinc/99/60/25/1048996025.db2.gz LKPGEVOYHVEUOA-QZTJIDSGSA-N 0 3 309.400 4.307 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)cc1F ZINC001203794038 1048996864 /nfs/dbraw/zinc/99/68/64/1048996864.db2.gz VPPOBFVWEVZWIP-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN Fc1cccc(CN2CCC(n3cnc4ccccc43)CC2)c1 ZINC001203790776 1048997400 /nfs/dbraw/zinc/99/74/00/1048997400.db2.gz OIDCDSLNHDQXFF-UHFFFAOYSA-N 0 3 309.388 4.013 20 0 DIADHN c1cc(-c2noc(CN[C@@H]3CCCC[C@H]3c3ccccc3)n2)co1 ZINC000484634438 1048999778 /nfs/dbraw/zinc/99/97/78/1048999778.db2.gz CATXXTAOXPARBN-DLBZAZTESA-N 0 3 323.396 4.146 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1scnc1C1CC1)CCC2 ZINC001178243772 1049000722 /nfs/dbraw/zinc/00/07/22/1049000722.db2.gz DUQIPXRREMZPKD-INIZCTEOSA-N 0 3 314.454 4.196 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CNc1cc(C)cc(C)n1 ZINC001178245086 1049000901 /nfs/dbraw/zinc/00/09/01/1049000901.db2.gz ZQBNAOUKOJRMQN-UHFFFAOYSA-N 0 3 316.474 4.066 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(F)cc(F)c2)C1(CC)CC ZINC001191820511 1049006066 /nfs/dbraw/zinc/00/60/66/1049006066.db2.gz DPPUXZDFYSDORB-SJORKVTESA-N 0 3 311.416 4.380 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC001203815669 1049006458 /nfs/dbraw/zinc/00/64/58/1049006458.db2.gz SOMKWLFXFSLAGK-GOSISDBHSA-N 0 3 309.409 4.280 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@@H]1CCCC(C)(C)O1)CCC2 ZINC001191862568 1049007145 /nfs/dbraw/zinc/00/71/45/1049007145.db2.gz XRAJWCQQPCFKQU-DZGCQCFKSA-N 0 3 308.491 4.108 20 0 DIADHN O[C@@H]1CCc2ccccc2[C@@H]1NCc1ccc(C2CCC2)cc1 ZINC001203819929 1049008804 /nfs/dbraw/zinc/00/88/04/1049008804.db2.gz JQDBFHLJYMGWAS-RTWAWAEBSA-N 0 3 307.437 4.092 20 0 DIADHN COc1cc(C)cc(CNCc2c(OC)ccc3ccccc32)c1 ZINC001178276111 1049009927 /nfs/dbraw/zinc/00/99/27/1049009927.db2.gz BJCAKNWMJRWFER-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@@H]1CCCCS1 ZINC001178290243 1049012448 /nfs/dbraw/zinc/01/24/48/1049012448.db2.gz ALOSGBLQBWBJQI-JTQLQIEISA-N 0 3 321.820 4.317 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(C)cc1F ZINC001178305191 1049013938 /nfs/dbraw/zinc/01/39/38/1049013938.db2.gz UZSLQCLHTLMUIX-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN COCCN(CC[C@@H]1CCc2ccccc21)Cc1ccc(C)o1 ZINC001191890724 1049014378 /nfs/dbraw/zinc/01/43/78/1049014378.db2.gz AILHQNWZFLZXQO-SFHVURJKSA-N 0 3 313.441 4.157 20 0 DIADHN COc1cc(CN2CCCC[C@@H]2c2ccccc2)cc(OC)c1 ZINC001203854588 1049015274 /nfs/dbraw/zinc/01/52/74/1049015274.db2.gz LEUPMWJSLRVISH-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN Oc1cccc(CN2CCC[C@@H](Oc3ccc(Cl)cc3)C2)c1 ZINC001203863244 1049015975 /nfs/dbraw/zinc/01/59/75/1049015975.db2.gz HGRYKVZGGOPJGY-GOSISDBHSA-N 0 3 317.816 4.089 20 0 DIADHN COc1cc(CN2CCCC[C@H]2c2ccccc2)cc(OC)c1 ZINC001203854587 1049016609 /nfs/dbraw/zinc/01/66/09/1049016609.db2.gz LEUPMWJSLRVISH-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cc(OC)cc(OC)c1 ZINC001203858050 1049016652 /nfs/dbraw/zinc/01/66/52/1049016652.db2.gz BGPDEIVDDKRTBL-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cccc2c1OCCCO2 ZINC001178316851 1049019035 /nfs/dbraw/zinc/01/90/35/1049019035.db2.gz BRPZQYYNSNGSMN-YWZLYKJASA-N 0 3 323.436 4.261 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2csc(C3CC3)n2)CCO1 ZINC001178352332 1049019681 /nfs/dbraw/zinc/01/96/81/1049019681.db2.gz ZMLPXRNBUHWYIK-GOEBONIOSA-N 0 3 308.491 4.094 20 0 DIADHN Fc1ccc(CNC[C@H]2CC[C@@H](C3CC3)O2)c(Cl)c1Cl ZINC001203873081 1049021262 /nfs/dbraw/zinc/02/12/62/1049021262.db2.gz WRDHUMKNQZRVMR-YPMHNXCESA-N 0 3 318.219 4.180 20 0 DIADHN CCC[C@@H](O)CCNCc1c(Cl)cccc1SC(C)C ZINC001203891269 1049022674 /nfs/dbraw/zinc/02/26/74/1049022674.db2.gz ZJQVSODYWNJPMI-CYBMUJFWSA-N 0 3 315.910 4.481 20 0 DIADHN Cc1ccc(CNCCc2csc(C(C)(C)C)n2)c(F)c1 ZINC001178382623 1049024697 /nfs/dbraw/zinc/02/46/97/1049024697.db2.gz LOLKOTPOBVKFME-UHFFFAOYSA-N 0 3 306.450 4.220 20 0 DIADHN C[C@]1(CNCc2nc3cc(F)ccc3o2)CCCc2ccccc21 ZINC001178393358 1049024999 /nfs/dbraw/zinc/02/49/99/1049024999.db2.gz NSMGRNRJESMFJF-HXUWFJFHSA-N 0 3 324.399 4.351 20 0 DIADHN CC[C@H](NCc1cc(Cl)cc(Cl)c1OC)[C@@H]1CCCO1 ZINC001178398943 1049027048 /nfs/dbraw/zinc/02/70/48/1049027048.db2.gz ZVGPYXAYXZRJIZ-KBPBESRZSA-N 0 3 318.244 4.049 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NC/C=C\c1cccc(F)c1)CCC2 ZINC001178430289 1049028452 /nfs/dbraw/zinc/02/84/52/1049028452.db2.gz DLCXEMHARSQARS-YEODSOHDSA-N 0 3 313.420 4.284 20 0 DIADHN CCCCOc1ccc(CN[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC001203906211 1049029342 /nfs/dbraw/zinc/02/93/42/1049029342.db2.gz DHVWXPFLCSRGBY-LJQANCHMSA-N 0 3 315.461 4.086 20 0 DIADHN C[C@@H](CNCc1ncc(-c2ccccc2)s1)c1nccs1 ZINC001178449707 1049033091 /nfs/dbraw/zinc/03/30/91/1049033091.db2.gz VNQSBMHEMGEINK-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN CO[C@H](C)[C@H](C)NCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001178493444 1049039119 /nfs/dbraw/zinc/03/91/19/1049039119.db2.gz ZFQRRRCSIKDRCY-WDEREUQCSA-N 0 3 320.260 4.294 20 0 DIADHN CC(C)c1cccc(CC(=O)NCCP(C(C)C)C(C)C)n1 ZINC001178452805 1049041932 /nfs/dbraw/zinc/04/19/32/1049041932.db2.gz UVUDIPNBLJKSQK-UHFFFAOYSA-N 0 3 322.433 4.162 20 0 DIADHN COc1ccc(CN2CCC[C@@H](Oc3ccc(C)cc3)C2)cc1 ZINC001203955588 1049043015 /nfs/dbraw/zinc/04/30/15/1049043015.db2.gz IPNKKKFFYAIVEO-HXUWFJFHSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@@H]1CCO[C@H](C)C1 ZINC001178473290 1049043072 /nfs/dbraw/zinc/04/30/72/1049043072.db2.gz OYVROVKYWSREKM-TZMCWYRMSA-N 0 3 320.458 4.006 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc(OC)cc2)cc1 ZINC001203956267 1049043623 /nfs/dbraw/zinc/04/36/23/1049043623.db2.gz MUUXQYHCVMDXFU-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN O[C@@H](CCc1ccccc1)CNCc1cc(Cl)ccc1Cl ZINC001178469566 1049043564 /nfs/dbraw/zinc/04/35/64/1049043564.db2.gz PXXUQNKLOHRJMC-INIZCTEOSA-N 0 3 324.251 4.077 20 0 DIADHN C[C@@H](NCc1ccnc(Cl)c1)c1ccc2ncsc2c1 ZINC000776816176 1049043851 /nfs/dbraw/zinc/04/38/51/1049043851.db2.gz NUZBPUILOGACHT-SNVBAGLBSA-N 0 3 303.818 4.196 20 0 DIADHN COc1ccc(CN2CCC[C@H](Oc3ccc(C)cc3)C2)cc1 ZINC001203955586 1049044093 /nfs/dbraw/zinc/04/40/93/1049044093.db2.gz IPNKKKFFYAIVEO-FQEVSTJZSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@](O)(CNCc1ccc(Cl)cc1Cl)C1CCCCC1 ZINC001178483385 1049045240 /nfs/dbraw/zinc/04/52/40/1049045240.db2.gz SRMKPQWGDIVAPZ-INIZCTEOSA-N 0 3 316.272 4.414 20 0 DIADHN c1ccc(COc2ccc(CN3CCC[C@]4(CCO4)C3)cc2)cc1 ZINC001203963124 1049046962 /nfs/dbraw/zinc/04/69/62/1049046962.db2.gz ZXIOYWGIPBKJKI-NRFANRHFSA-N 0 3 323.436 4.021 20 0 DIADHN CC(C)C[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001192198544 1049051533 /nfs/dbraw/zinc/05/15/33/1049051533.db2.gz KPYZAFHHNQWVMG-HUUCEWRRSA-N 0 3 322.880 4.425 20 0 DIADHN CC1(C)CCC[C@@H](NCc2ncc(Br)cc2F)C1 ZINC001178504670 1049056021 /nfs/dbraw/zinc/05/60/21/1049056021.db2.gz CYFLFFGVUXNVKJ-LLVKDONJSA-N 0 3 315.230 4.042 20 0 DIADHN CC1(c2ccccc2)CC(NCc2c(Cl)n[nH]c2C2CC2)C1 ZINC001178595852 1049059639 /nfs/dbraw/zinc/05/96/39/1049059639.db2.gz VGYYYFNCDCTWSH-UHFFFAOYSA-N 0 3 315.848 4.150 20 0 DIADHN Nc1nc(CN2CCC[C@H]2CCC2CCCC2)nc2ccccc12 ZINC001192243249 1049059978 /nfs/dbraw/zinc/05/99/78/1049059978.db2.gz HQNUQUVWUQKIPT-INIZCTEOSA-N 0 3 324.472 4.147 20 0 DIADHN OC[C@H](CC1CCCC1)NCc1cccc(Cl)c1Cl ZINC001178570834 1049063907 /nfs/dbraw/zinc/06/39/07/1049063907.db2.gz AQJMJHDLOGUYKC-ZDUSSCGKSA-N 0 3 302.245 4.024 20 0 DIADHN Cc1ccc2[nH]cc(CCNCc3nc4cc(F)ccc4o3)c2c1 ZINC001204027301 1049066814 /nfs/dbraw/zinc/06/68/14/1049066814.db2.gz DSLUMBFPCUYQKS-UHFFFAOYSA-N 0 3 323.371 4.089 20 0 DIADHN Cc1ccc(N2CCN(Cc3c[nH]c4ccccc34)CC2)cc1C ZINC001204040876 1049067584 /nfs/dbraw/zinc/06/75/84/1049067584.db2.gz AUEUZFMSCGODJB-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000775519538 1049070167 /nfs/dbraw/zinc/07/01/67/1049070167.db2.gz KTDREYORXFBLBF-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN CCN1CCN([C@@H](C)c2ccc(Cl)c(Cl)c2)C[C@@H]1C ZINC001192271332 1049070695 /nfs/dbraw/zinc/07/06/95/1049070695.db2.gz GKSBSZTWHCFRIS-RYUDHWBXSA-N 0 3 301.261 4.080 20 0 DIADHN Cc1cccc(C)c1N1CCN(Cc2c[nH]c3ccccc23)CC1 ZINC001204046265 1049070748 /nfs/dbraw/zinc/07/07/48/1049070748.db2.gz PKEXQUCPLQTKGG-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCC[C@H](NCc1ccc(F)cc1Cl)[C@H](O)c1ccccc1 ZINC001178634919 1049071318 /nfs/dbraw/zinc/07/13/18/1049071318.db2.gz YOWPSIXGNQSECW-ZWKOTPCHSA-N 0 3 321.823 4.471 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CC[C@@H]2C)cc1Cl ZINC001178668320 1049073400 /nfs/dbraw/zinc/07/34/00/1049073400.db2.gz UOSGRSYEBCRUHY-SDBXPKJASA-N 0 3 302.643 4.299 20 0 DIADHN COc1cc2ccccc2cc1CNC[C@@H]1OCc2ccccc21 ZINC001204063895 1049075979 /nfs/dbraw/zinc/07/59/79/1049075979.db2.gz NICBUEVQENMCMM-NRFANRHFSA-N 0 3 319.404 4.210 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Cl)c3ccccc23)[C@H](C)CN1C ZINC001192314932 1049076319 /nfs/dbraw/zinc/07/63/19/1049076319.db2.gz MARCKTCEPBDONM-ZIAGYGMSSA-N 0 3 302.849 4.018 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3ccncc3)C2)cc1Cl ZINC001204080380 1049078828 /nfs/dbraw/zinc/07/88/28/1049078828.db2.gz KEPFHSFNBCOCRO-CQSZACIVSA-N 0 3 307.224 4.378 20 0 DIADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CCOC1CCCCC1 ZINC001192304869 1049083497 /nfs/dbraw/zinc/08/34/97/1049083497.db2.gz VIQYKKBENOMTFV-GJZGRUSLSA-N 0 3 305.437 4.483 20 0 DIADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CCOC1CCCCC1 ZINC001192304871 1049084943 /nfs/dbraw/zinc/08/49/43/1049084943.db2.gz VIQYKKBENOMTFV-LSDHHAIUSA-N 0 3 305.437 4.483 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@@H]1CCCC(C)(C)O1 ZINC001192305662 1049085228 /nfs/dbraw/zinc/08/52/28/1049085228.db2.gz ZJKOVCMBUKKNMR-CPUCHLNUSA-N 0 3 305.437 4.481 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1ccc(F)c(Cl)c1Cl)OC ZINC001178766550 1049085385 /nfs/dbraw/zinc/08/53/85/1049085385.db2.gz RJXNDMXKAGWNDC-SKDRFNHKSA-N 0 3 308.224 4.283 20 0 DIADHN CCCc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1 ZINC001204102777 1049087050 /nfs/dbraw/zinc/08/70/50/1049087050.db2.gz FDTMJRAXYUTEEI-UHFFFAOYSA-N 0 3 306.409 4.183 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1cccc(C2CC2)c1 ZINC001204102920 1049087206 /nfs/dbraw/zinc/08/72/06/1049087206.db2.gz GLHLDAHASXMONI-UHFFFAOYSA-N 0 3 304.393 4.108 20 0 DIADHN Cc1ccc(C)c(CN[C@@H]2C[C@]3(CCOC3)Oc3ccccc32)c1 ZINC001204121504 1049088439 /nfs/dbraw/zinc/08/84/39/1049088439.db2.gz YNXNFHMDWNRQRU-CTNGQTDRSA-N 0 3 323.436 4.076 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CN[C@@H]2C[C@@H]3CC[C@H]2O3)c1 ZINC001204119896 1049088897 /nfs/dbraw/zinc/08/88/97/1049088897.db2.gz IPVMHXCSRRMRCY-LZLYRXPVSA-N 0 3 321.848 4.071 20 0 DIADHN Cc1ccc2ncc(CN(C)Cc3cccc(N(C)C)c3)cc2c1 ZINC001192371109 1049089675 /nfs/dbraw/zinc/08/96/75/1049089675.db2.gz XCZPNENYSUHRJG-UHFFFAOYSA-N 0 3 319.452 4.241 20 0 DIADHN CCOC(OCC)[C@H](C)NC/C=C\c1c(C)cc(C)cc1C ZINC001204135856 1049092071 /nfs/dbraw/zinc/09/20/71/1049092071.db2.gz IQCSXWNWUSHSJX-IBSYWUHOSA-N 0 3 305.462 4.002 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)C2(C)CC2)nnn1-c1cccc(Cl)c1 ZINC000776883764 1049093473 /nfs/dbraw/zinc/09/34/73/1049093473.db2.gz RTWBGIDIYVCHNS-WCQYABFASA-N 0 3 318.852 4.068 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)C2(C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000776883414 1049093612 /nfs/dbraw/zinc/09/36/12/1049093612.db2.gz XLKXMJZQINSCBB-YPMHNXCESA-N 0 3 318.852 4.068 20 0 DIADHN COc1cc(CN2CCc3ccccc3C2)cc2ccccc21 ZINC001204144501 1049093889 /nfs/dbraw/zinc/09/38/89/1049093889.db2.gz HZEOEYMVAFVSJZ-UHFFFAOYSA-N 0 3 303.405 4.407 20 0 DIADHN CCOC(OCC)[C@@H](C)NC/C=C/c1c(C)cc(C)cc1C ZINC001204135857 1049094359 /nfs/dbraw/zinc/09/43/59/1049094359.db2.gz IQCSXWNWUSHSJX-OAGJVSPASA-N 0 3 305.462 4.002 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc(C)cc2OC)cc1 ZINC001204144756 1049094729 /nfs/dbraw/zinc/09/47/29/1049094729.db2.gz JDUBBSLCMCNPGH-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2CCNCc1nc2c(s1)CCCC2 ZINC001178827714 1049097155 /nfs/dbraw/zinc/09/71/55/1049097155.db2.gz SJVBOTIMQUAIPV-OAHLLOKOSA-N 0 3 312.482 4.232 20 0 DIADHN Cc1sc(CN2C[C@@H](C)CC[C@H]2C)cc1Br ZINC001204163778 1049100866 /nfs/dbraw/zinc/10/08/66/1049100866.db2.gz UHSIJBFCGNEKBQ-VHSXEESVSA-N 0 3 302.281 4.439 20 0 DIADHN Cc1sc(CN2C[C@@H](C)CC[C@@H]2C)cc1Br ZINC001204163777 1049100928 /nfs/dbraw/zinc/10/09/28/1049100928.db2.gz UHSIJBFCGNEKBQ-UWVGGRQHSA-N 0 3 302.281 4.439 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3cnc(F)cc3C)C2)cc1 ZINC001204170561 1049103091 /nfs/dbraw/zinc/10/30/91/1049103091.db2.gz KIXDXQGWZFGXKB-SFHVURJKSA-N 0 3 312.432 4.292 20 0 DIADHN COC[C@H](C)N(Cc1ccc(C)cc1)Cc1cccc(OC)c1 ZINC001204174234 1049104632 /nfs/dbraw/zinc/10/46/32/1049104632.db2.gz KZQPTTDGQCDSIO-KRWDZBQOSA-N 0 3 313.441 4.041 20 0 DIADHN COCCCCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856778187 1049106041 /nfs/dbraw/zinc/10/60/41/1049106041.db2.gz IDRWYNRZMSHJIG-JTQLQIEISA-N 0 3 324.774 4.221 20 0 DIADHN CCOc1cc(CN(C)Cc2ccc(Cl)cc2)ccc1OC ZINC001204190547 1049107001 /nfs/dbraw/zinc/10/70/01/1049107001.db2.gz CFGMUNGHFYIEJE-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cscc3Cl)n2)cc1 ZINC000904624138 1049108256 /nfs/dbraw/zinc/10/82/56/1049108256.db2.gz JTTIXQFCRJLLCB-UHFFFAOYSA-N 0 3 319.817 4.180 20 0 DIADHN CC[C@@H]1CCCC[C@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623214 1049108460 /nfs/dbraw/zinc/10/84/60/1049108460.db2.gz NGQMXTYMFQCQJV-NVXWUHKLSA-N 0 3 313.445 4.482 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3=CCC(C)(C)CC3)n2)cc1 ZINC000904624694 1049108579 /nfs/dbraw/zinc/10/85/79/1049108579.db2.gz WDNLSUQZPWIAIE-UHFFFAOYSA-N 0 3 311.429 4.392 20 0 DIADHN CCC1(c2nc(-c3ccc(CN(C)C)cc3)no2)CCCCC1 ZINC000904623859 1049108728 /nfs/dbraw/zinc/10/87/28/1049108728.db2.gz PYCZXVFCXHRPMB-UHFFFAOYSA-N 0 3 313.445 4.410 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N2CCCCCCC2)cc1 ZINC000856883459 1049110808 /nfs/dbraw/zinc/11/08/08/1049110808.db2.gz INVWWBWADSTRDW-CQSZACIVSA-N 0 3 306.475 4.002 20 0 DIADHN C[C@H]1CN(C[C@H](O)c2ccc(Cl)cc2Cl)CC(C)(C)C1 ZINC001192499695 1049111252 /nfs/dbraw/zinc/11/12/52/1049111252.db2.gz DICCTFBMEPPDTK-ABAIWWIYSA-N 0 3 316.272 4.395 20 0 DIADHN OC[C@@H](CC1CCCCC1)NCc1cc(Cl)ccc1Cl ZINC001178981287 1049111334 /nfs/dbraw/zinc/11/13/34/1049111334.db2.gz DVEMMZOQOVTPNP-OAHLLOKOSA-N 0 3 316.272 4.414 20 0 DIADHN C[C@H]1C[C@@H](NCc2c(Cl)cc(Cl)cc2N(C)C)CS1 ZINC001178950894 1049113068 /nfs/dbraw/zinc/11/30/68/1049113068.db2.gz VFDZYYNIGWSWFV-GXSJLCMTSA-N 0 3 319.301 4.043 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1ccc(F)c(Cl)c1Cl)C1CC1 ZINC001178949106 1049113165 /nfs/dbraw/zinc/11/31/65/1049113165.db2.gz DJNGLYMAXBWQGH-PSLIRLAXSA-N 0 3 320.235 4.426 20 0 DIADHN CC[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@H](O)C(C)C ZINC001178959514 1049114069 /nfs/dbraw/zinc/11/40/69/1049114069.db2.gz YZBIPOHJDLGWLK-SMDDNHRTSA-N 0 3 308.224 4.018 20 0 DIADHN Fc1cccc2c(CN3CCC(Oc4ccccc4)CC3)c[nH]c21 ZINC001137051668 1049115021 /nfs/dbraw/zinc/11/50/21/1049115021.db2.gz ZNALONMZCYHRSR-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccc(C4CC4)cc3)n2)cc1 ZINC000904624943 1049115373 /nfs/dbraw/zinc/11/53/73/1049115373.db2.gz MCOANFLUICFKEW-UHFFFAOYSA-N 0 3 319.408 4.343 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccsc3Cl)n2)cc1 ZINC000904627205 1049116141 /nfs/dbraw/zinc/11/61/41/1049116141.db2.gz RSCWTYPNLSPWMC-UHFFFAOYSA-N 0 3 319.817 4.180 20 0 DIADHN CN(CCc1ccc(F)c(F)c1)Cc1cc(F)c(F)c(F)c1 ZINC001192536913 1049116669 /nfs/dbraw/zinc/11/66/69/1049116669.db2.gz NVMDJUUAVWGCMF-UHFFFAOYSA-N 0 3 315.285 4.057 20 0 DIADHN COc1cccc(OC)c1CN1CCCC[C@@H]1c1ccccc1 ZINC001204247663 1049121220 /nfs/dbraw/zinc/12/12/20/1049121220.db2.gz OIRKAGMPPMCMJX-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN COc1cccc(OC)c1CN(C)C(C)(C)Cc1ccccc1 ZINC001204253433 1049121541 /nfs/dbraw/zinc/12/15/41/1049121541.db2.gz QHITXDCYBWUDGM-UHFFFAOYSA-N 0 3 313.441 4.157 20 0 DIADHN COc1cccc(OC)c1CN1CCC[C@@H]1c1cccc(C)c1 ZINC001204247608 1049121680 /nfs/dbraw/zinc/12/16/80/1049121680.db2.gz LFEDQCQFFJDIBB-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CCc1cccc2cc(CN(C)CCC(=O)OC(C)(C)C)oc21 ZINC001204261759 1049122853 /nfs/dbraw/zinc/12/28/53/1049122853.db2.gz OYWPLTDEHOFIKW-UHFFFAOYSA-N 0 3 317.429 4.159 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cccs2)c(OC)c1C ZINC001204262452 1049123345 /nfs/dbraw/zinc/12/33/45/1049123345.db2.gz RJAHFPRSWZFQJF-OAHLLOKOSA-N 0 3 317.454 4.411 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccccc2)c(OC)c1C ZINC001204262794 1049123566 /nfs/dbraw/zinc/12/35/66/1049123566.db2.gz ZTMXSQUGODZTTK-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN C[C@@H](NCCN(C)c1ccccc1)c1cc(Cl)ccc1F ZINC001192574221 1049123695 /nfs/dbraw/zinc/12/36/95/1049123695.db2.gz BTHCTSHRWFDZQS-CYBMUJFWSA-N 0 3 306.812 4.266 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cc(F)ccc1N(C)C ZINC001204261930 1049123753 /nfs/dbraw/zinc/12/37/53/1049123753.db2.gz SEWUOHSAJJLJCE-UHFFFAOYSA-N 0 3 300.421 4.222 20 0 DIADHN COc1ccc(CN2CCC3(CC[C@@H](F)C3)CC2)c(OC)c1C ZINC001204264622 1049123826 /nfs/dbraw/zinc/12/38/26/1049123826.db2.gz UOXCGELQOBXXIU-MRXNPFEDSA-N 0 3 321.436 4.116 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001192645488 1049126753 /nfs/dbraw/zinc/12/67/53/1049126753.db2.gz NLHKGQRHJZHQHW-CKEIUWERSA-N 0 3 322.518 4.214 20 0 DIADHN CCOC(=O)CC(C)(C)CN(Cc1ccccc1F)C(C)C ZINC001204271203 1049126698 /nfs/dbraw/zinc/12/66/98/1049126698.db2.gz WRNJYDQCQWLOTH-UHFFFAOYSA-N 0 3 309.425 4.016 20 0 DIADHN CC[C@H]1CCC[C@@H](CN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001204286472 1049128267 /nfs/dbraw/zinc/12/82/67/1049128267.db2.gz AIXVQJIVQUVAHC-QWHCGFSZSA-N 0 3 317.399 4.087 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@H](c1ccc(F)cc1F)C(C)C ZINC000775542095 1049129215 /nfs/dbraw/zinc/12/92/15/1049129215.db2.gz HWNBHGRHWBCFQN-MOPGFXCFSA-N 0 3 319.395 4.294 20 0 DIADHN CCC(CC)[C@H](NCC(=O)NCCC(C)(C)C)c1cccs1 ZINC001192681509 1049129267 /nfs/dbraw/zinc/12/92/67/1049129267.db2.gz KEBZVLQGJQJFHJ-KRWDZBQOSA-N 0 3 324.534 4.367 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCC2(CC(F)(F)C2)CC1 ZINC001204291400 1049130338 /nfs/dbraw/zinc/13/03/38/1049130338.db2.gz LOAXMCYTLNCIEC-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1csc(CCc2ccccc2)n1 ZINC001192689788 1049130704 /nfs/dbraw/zinc/13/07/04/1049130704.db2.gz LGNZGPDNCYKCIZ-GJZGRUSLSA-N 0 3 302.487 4.453 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775542877 1049130756 /nfs/dbraw/zinc/13/07/56/1049130756.db2.gz PKEBGYNLXLUUSX-MSOLQXFVSA-N 0 3 323.358 4.268 20 0 DIADHN Cc1ccc(CN[C@@]2(Cc3ccc(Cl)cc3)CCCOC2)o1 ZINC001179116368 1049133376 /nfs/dbraw/zinc/13/33/76/1049133376.db2.gz JANLVGNULZEWBA-GOSISDBHSA-N 0 3 319.832 4.123 20 0 DIADHN CCc1ccccc1CNC[C@H](OC1CCCC1)C(F)(F)F ZINC001179111232 1049133755 /nfs/dbraw/zinc/13/37/55/1049133755.db2.gz VZTPNYBYLZKNEW-INIZCTEOSA-N 0 3 315.379 4.229 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)cc1)c1cccc(Cl)c1 ZINC001192744635 1049134554 /nfs/dbraw/zinc/13/45/54/1049134554.db2.gz GFRJWDOANKQODE-KRWDZBQOSA-N 0 3 316.832 4.328 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775543435 1049136276 /nfs/dbraw/zinc/13/62/76/1049136276.db2.gz VTLNCRXQBVSRIC-QZTJIDSGSA-N 0 3 323.358 4.124 20 0 DIADHN Cc1ccc(N2CC[C@@H](N[C@@H](C)c3cc(C)cc(C)c3)C2=O)cc1 ZINC001192780269 1049136450 /nfs/dbraw/zinc/13/64/50/1049136450.db2.gz BSXZICNUHTYARU-FXAWDEMLSA-N 0 3 322.452 4.068 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2cscc2Cl)cc1 ZINC001179168840 1049137943 /nfs/dbraw/zinc/13/79/43/1049137943.db2.gz KORZROBPQCCVND-GOEBONIOSA-N 0 3 307.846 4.446 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](C(=O)c3ccccc3)C2)cc1 ZINC001204310286 1049138004 /nfs/dbraw/zinc/13/80/04/1049138004.db2.gz KXXWWHJNOKUVNX-LJQANCHMSA-N 0 3 323.436 4.180 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@H](OC(C)(C)C)C23CCC3)c1 ZINC001179159108 1049138047 /nfs/dbraw/zinc/13/80/47/1049138047.db2.gz ISALFJQHFBFWKB-IRXDYDNUSA-N 0 3 321.436 4.050 20 0 DIADHN CC[C@@](O)(CN[C@H](c1ccccc1Cl)C(C)C)C(F)(F)F ZINC001192831751 1049139472 /nfs/dbraw/zinc/13/94/72/1049139472.db2.gz DYVHWRCGTYANSX-UONOGXRCSA-N 0 3 323.786 4.330 20 0 DIADHN Cc1coc(CNC[C@H](CC(=O)OC(C)(C)C)CC(C)C)c1 ZINC001179210658 1049141173 /nfs/dbraw/zinc/14/11/73/1049141173.db2.gz KUSIXQTYSIJSDL-HNNXBMFYSA-N 0 3 309.450 4.072 20 0 DIADHN Cn1cc(CN2CCSC[C@@H]2c2ccccc2)c2ccccc21 ZINC001204323758 1049141746 /nfs/dbraw/zinc/14/17/46/1049141746.db2.gz GCNVJLMZYWECQX-HXUWFJFHSA-N 0 3 322.477 4.468 20 0 DIADHN CC(C)(C)C(F)(F)CN[C@@H]1CN(Cc2ccccc2)C(C)(C)C1 ZINC001179221903 1049142396 /nfs/dbraw/zinc/14/23/96/1049142396.db2.gz BHBSBMXHXBRVAQ-INIZCTEOSA-N 0 3 324.459 4.311 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@H](CC)c2ccc(C)cc2)cc1 ZINC001192909447 1049147243 /nfs/dbraw/zinc/14/72/43/1049147243.db2.gz JXRWUPNMFUMWCS-IBGZPJMESA-N 0 3 310.441 4.237 20 0 DIADHN Brc1ccc(/C=C\CCNCc2nccs2)cc1 ZINC001179244139 1049147996 /nfs/dbraw/zinc/14/79/96/1049147996.db2.gz WXBVMOFBJWUKKN-IWQZZHSRSA-N 0 3 323.259 4.099 20 0 DIADHN CC[C@H]1CN(Cc2ccnn2CC2CC2)CC[C@H]1c1ccccc1 ZINC001204358184 1049148610 /nfs/dbraw/zinc/14/86/10/1049148610.db2.gz JKPZVDOESHUMED-GHTZIAJQSA-N 0 3 323.484 4.309 20 0 DIADHN C[C@]1(CN2CCc3cc(-c4ccccc4)oc3C2)CCCCO1 ZINC001204358599 1049148700 /nfs/dbraw/zinc/14/87/00/1049148700.db2.gz LVDVFELFGGMOSG-HXUWFJFHSA-N 0 3 311.425 4.264 20 0 DIADHN CC[C@@H](C)Oc1cc(CN[C@@H]2CCCC[C@@H]2F)ccc1OC ZINC001179304652 1049152311 /nfs/dbraw/zinc/15/23/11/1049152311.db2.gz PQTFMMMFRDHMQE-VNQPRFMTSA-N 0 3 309.425 4.243 20 0 DIADHN CC(C)Oc1cccc(CN(C)C[C@]2(C)CC2(Cl)Cl)c1 ZINC001192956486 1049154551 /nfs/dbraw/zinc/15/45/51/1049154551.db2.gz TYNCFIRAFVOYTP-HNNXBMFYSA-N 0 3 316.272 4.490 20 0 DIADHN OCC[C@@H](NC/C=C\c1ccccc1)c1ccc(Cl)c(F)c1 ZINC001179353710 1049154583 /nfs/dbraw/zinc/15/45/83/1049154583.db2.gz VBLLKVFYQQVJPY-NCRRVARUSA-N 0 3 319.807 4.206 20 0 DIADHN CN(C)C[C@@H](NCc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC001179325053 1049155914 /nfs/dbraw/zinc/15/59/14/1049155914.db2.gz KAYONZAKDKZYRI-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN CC(=O)n1cc(CN(C)Cc2ccc(C)cc2)c2ccccc21 ZINC001204409453 1049156983 /nfs/dbraw/zinc/15/69/83/1049156983.db2.gz XKQODTIBNYBSRD-UHFFFAOYSA-N 0 3 306.409 4.242 20 0 DIADHN CN(C)c1ccc(F)cc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC001179338760 1049157665 /nfs/dbraw/zinc/15/76/65/1049157665.db2.gz MJHVOUXAMVUVHP-KRWDZBQOSA-N 0 3 318.823 4.322 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cn3ccnc3s2)c2ccccc21 ZINC001179343848 1049158990 /nfs/dbraw/zinc/15/89/90/1049158990.db2.gz POHSGNGMYMLZMP-IRXDYDNUSA-N 0 3 311.454 4.370 20 0 DIADHN Cc1cc(CN2CCC(OCC3CCCCC3)CC2)cc(C)n1 ZINC001204422810 1049159641 /nfs/dbraw/zinc/15/96/41/1049159641.db2.gz RONDZQMMZTXGAT-UHFFFAOYSA-N 0 3 316.489 4.260 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CCC2(CCC2)CC1 ZINC001204446464 1049164273 /nfs/dbraw/zinc/16/42/73/1049164273.db2.gz MPBWQLGSTNQLAD-UHFFFAOYSA-N 0 3 309.457 4.255 20 0 DIADHN CC(C)Oc1ccc(CN2CCC3(CC(F)(F)C3)CC2)cc1 ZINC001204452947 1049164516 /nfs/dbraw/zinc/16/45/16/1049164516.db2.gz IHAREOAJBMTSAO-UHFFFAOYSA-N 0 3 309.400 4.485 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CCC[C@H]2CCC[C@@H]21 ZINC001204455439 1049166860 /nfs/dbraw/zinc/16/68/60/1049166860.db2.gz IVCUPUJMXKBICZ-XLIONFOSSA-N 0 3 309.457 4.254 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@H]1CCC(C)(C)CO1 ZINC001179453675 1049167546 /nfs/dbraw/zinc/16/75/46/1049167546.db2.gz MXYNEOUTFCOVEG-OAHLLOKOSA-N 0 3 311.853 4.034 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1CC[C@@H](c2ccccn2)C1 ZINC001204471331 1049169920 /nfs/dbraw/zinc/16/99/20/1049169920.db2.gz GBGBDCUADWNMBJ-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1ccc(C(F)(F)F)cc1F ZINC001204470637 1049170290 /nfs/dbraw/zinc/17/02/90/1049170290.db2.gz ANGOUKGGMGELCI-AWEZNQCLSA-N 0 3 319.342 4.236 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCCOC1CCSCC1 ZINC001193066264 1049171688 /nfs/dbraw/zinc/17/16/88/1049171688.db2.gz AGHRXOVMKRLZLS-CQSZACIVSA-N 0 3 319.470 4.304 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCOCC[C@@H]1C ZINC000775568785 1049173261 /nfs/dbraw/zinc/17/32/61/1049173261.db2.gz XAZNOAVHBKSGQJ-INIZCTEOSA-N 0 3 313.441 4.238 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2ccc3ccccc3n2)C1 ZINC001204503028 1049176539 /nfs/dbraw/zinc/17/65/39/1049176539.db2.gz ARRXVUPDZXEURY-IBGZPJMESA-N 0 3 318.420 4.197 20 0 DIADHN CCOc1cc(F)cc(CN(CC)Cc2ccc(OC)cc2)c1 ZINC001204522844 1049180471 /nfs/dbraw/zinc/18/04/71/1049180471.db2.gz FEOCQPTYKLSODP-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN COc1cc(Br)cc(CNCc2ccccc2C)c1 ZINC001179559093 1049180810 /nfs/dbraw/zinc/18/08/10/1049180810.db2.gz ADXOJMSQIXAFEU-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CCCCN(CC)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000485101519 1049183590 /nfs/dbraw/zinc/18/35/90/1049183590.db2.gz OLGDTPUJCKLBAP-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@H]2CC3(CCC3)CO2)c1 ZINC001179607844 1049183765 /nfs/dbraw/zinc/18/37/65/1049183765.db2.gz KSHOUXGYUKFPMD-CYBMUJFWSA-N 0 3 300.229 4.042 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)oc1C ZINC001204538515 1049184897 /nfs/dbraw/zinc/18/48/97/1049184897.db2.gz DNQSORHPAVZDIJ-UHFFFAOYSA-N 0 3 311.425 4.256 20 0 DIADHN Cc1cc(CN2CC[C@H](Sc3ccc(F)cc3)C2)oc1C ZINC001204540759 1049185337 /nfs/dbraw/zinc/18/53/37/1049185337.db2.gz YYEDFZOFNGVISR-KRWDZBQOSA-N 0 3 305.418 4.402 20 0 DIADHN CCC[C@@H]1CCCN(Cc2nc3ccc(OC)cc3s2)C1 ZINC001204550858 1049187935 /nfs/dbraw/zinc/18/79/35/1049187935.db2.gz JGHALDLBJQKXBS-CYBMUJFWSA-N 0 3 304.459 4.317 20 0 DIADHN COCCN(Cc1nc2ccccc2s1)C[C@H]1CC=CCC1 ZINC000485133293 1049187922 /nfs/dbraw/zinc/18/79/22/1049187922.db2.gz RGRQTHLKPOOFHQ-HNNXBMFYSA-N 0 3 316.470 4.101 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC(C)(C)[C@@H](C)O ZINC001179729891 1049200207 /nfs/dbraw/zinc/20/02/07/1049200207.db2.gz PIDMXARWCNCNQZ-GFCCVEGCSA-N 0 3 315.910 4.337 20 0 DIADHN COc1cc(CN2CC[C@H](c3cccc(Cl)c3)C2)ccc1F ZINC001204558292 1049189823 /nfs/dbraw/zinc/18/98/23/1049189823.db2.gz JOKALGHLQILWFX-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@@H](COCC1CCCCC1)NCc1cnc(C2CC2)s1 ZINC001179636756 1049190344 /nfs/dbraw/zinc/19/03/44/1049190344.db2.gz LHHQWDZMFCUABO-ZDUSSCGKSA-N 0 3 308.491 4.096 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3cc(Cl)ccc3Cl)C[C@@H]21 ZINC001204567313 1049192334 /nfs/dbraw/zinc/19/23/34/1049192334.db2.gz QZVDCEPCUGCEJD-WPGHFRTFSA-N 0 3 314.256 4.240 20 0 DIADHN CC[C@@H](NC1(c2ccc(OC)cc2)CC1)c1ccc2c(c1)CCO2 ZINC000776957593 1049193701 /nfs/dbraw/zinc/19/37/01/1049193701.db2.gz FDCUGZHSLYWORP-LJQANCHMSA-N 0 3 323.436 4.360 20 0 DIADHN CC/C=C\CCN1CCN(C(=O)OC(C)(C)C)C[C@H]1CCC ZINC001193283224 1049193862 /nfs/dbraw/zinc/19/38/62/1049193862.db2.gz QJVRNOZVLBEZJA-HLRDLLSLSA-N 0 3 310.482 4.064 20 0 DIADHN COC(=O)c1ccc(CN(Cc2cccs2)C(C)C)cc1C ZINC001204582069 1049194999 /nfs/dbraw/zinc/19/49/99/1049194999.db2.gz ZUFYELDVCMDQIM-UHFFFAOYSA-N 0 3 317.454 4.254 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001179673840 1049197290 /nfs/dbraw/zinc/19/72/90/1049197290.db2.gz XKZPVMNXDSJRED-YBBXGSKHSA-N 0 3 323.889 4.106 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc(OCC2CC2)cc1 ZINC001204609215 1049197739 /nfs/dbraw/zinc/19/77/39/1049197739.db2.gz PXRILEZKOJADTL-MRXNPFEDSA-N 0 3 307.437 4.422 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3ccc(C)nc3Cl)C2)c1 ZINC001193323682 1049197638 /nfs/dbraw/zinc/19/76/38/1049197638.db2.gz IEIXPAGXTYVRTL-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@@H]2C(C)(C)C)cc(Cl)c1[O-] ZINC001204609275 1049197922 /nfs/dbraw/zinc/19/79/22/1049197922.db2.gz RHQSDLHTSZIRJB-OAHLLOKOSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@@H]2C(C)(C)C)cc(Cl)c1[O-] ZINC001204609275 1049197930 /nfs/dbraw/zinc/19/79/30/1049197930.db2.gz RHQSDLHTSZIRJB-OAHLLOKOSA-N 0 3 311.853 4.455 20 0 DIADHN C[C@H](CN1C[C@@H](C)OC[C@H]1c1ccccc1)c1ccc(F)cc1 ZINC001204615748 1049201723 /nfs/dbraw/zinc/20/17/23/1049201723.db2.gz KWZZVWOODSCMJE-QINHECLXSA-N 0 3 313.416 4.391 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC001179768581 1049201890 /nfs/dbraw/zinc/20/18/90/1049201890.db2.gz SCMWMOCYHYXUAF-OPQQBVKSSA-N 0 3 301.764 4.220 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC001179768580 1049202195 /nfs/dbraw/zinc/20/21/95/1049202195.db2.gz SCMWMOCYHYXUAF-GIPNMCIBSA-N 0 3 301.764 4.220 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCc3c[nH]nc32)C2CCCC2)cc1 ZINC000857851564 1049202400 /nfs/dbraw/zinc/20/24/00/1049202400.db2.gz OGQQUKUVRANNKW-MSOLQXFVSA-N 0 3 313.420 4.447 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCc3cn[nH]c32)c2ccccc2)cc1 ZINC000857850489 1049202833 /nfs/dbraw/zinc/20/28/33/1049202833.db2.gz STRCXJWGMDOQES-OALUTQOASA-N 0 3 321.399 4.305 20 0 DIADHN COc1cccc(COc2ccc(CNC/C=C\Cl)cc2)c1 ZINC001179747943 1049203133 /nfs/dbraw/zinc/20/31/33/1049203133.db2.gz RZTUTUOFMOCAKA-KMKOMSMNSA-N 0 3 317.816 4.116 20 0 DIADHN COC(=O)[C@H](C)N(CC1CCC(C(C)(C)C)CC1)C1CCC1 ZINC001193373157 1049203733 /nfs/dbraw/zinc/20/37/33/1049203733.db2.gz HGSLIYJNEMNYPR-FHERZECASA-N 0 3 309.494 4.255 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1N[C@H]1CCCc2c[nH]nc21 ZINC000857864667 1049203702 /nfs/dbraw/zinc/20/37/02/1049203702.db2.gz INQVUJZBJIXPQM-XKGZKEIXSA-N 0 3 309.457 4.336 20 0 DIADHN Clc1ccccc1C1(N[C@@H]2CCCc3cn[nH]c32)CCC1 ZINC000857872819 1049204433 /nfs/dbraw/zinc/20/44/33/1049204433.db2.gz QKLIHLCVKRFGPY-OAHLLOKOSA-N 0 3 301.821 4.110 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1c(Cl)n(C)nc1C(C)C ZINC000858271010 1049233439 /nfs/dbraw/zinc/23/34/39/1049233439.db2.gz TVBJGZQMMOBUNP-UHFFFAOYSA-N 0 3 321.843 4.358 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H]1CCCc2cn[nH]c21 ZINC000857865658 1049205858 /nfs/dbraw/zinc/20/58/58/1049205858.db2.gz PPSXSGNGBXKEFW-LSDHHAIUSA-N 0 3 323.362 4.379 20 0 DIADHN CC(C)CO[C@@H]1CCN(Cc2ccc(-c3ccc(F)cc3)o2)C1 ZINC001204633120 1049206592 /nfs/dbraw/zinc/20/65/92/1049206592.db2.gz RFWDJDNQEUTTCZ-QGZVFWFLSA-N 0 3 317.404 4.333 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2cccc3ccoc32)c2ccccc21 ZINC001179793709 1049207033 /nfs/dbraw/zinc/20/70/33/1049207033.db2.gz OAMDXBBUBXJWSD-VFNWGFHPSA-N 0 3 321.420 4.308 20 0 DIADHN COC(=O)c1ccc(CN2CCC(C(C)(C)C)CC2)cc1Cl ZINC001193437663 1049207294 /nfs/dbraw/zinc/20/72/94/1049207294.db2.gz IDZNZNJBRQRFAC-UHFFFAOYSA-N 0 3 323.864 4.385 20 0 DIADHN COc1ccc(C[C@H]2CCN2C/C=C/c2ccc(F)cc2)cc1 ZINC001204633736 1049207992 /nfs/dbraw/zinc/20/79/92/1049207992.db2.gz YESHABMAZQMHKJ-LSTKMXGYSA-N 0 3 311.400 4.165 20 0 DIADHN FC(F)(F)c1ccc(CNC[C@@H]2OCc3ccccc32)s1 ZINC001179799671 1049208993 /nfs/dbraw/zinc/20/89/93/1049208993.db2.gz JAONSMRNECAFQP-ZDUSSCGKSA-N 0 3 313.344 4.128 20 0 DIADHN CC[C@H]1CCC[C@@H](NCc2ncccc2Br)CC1 ZINC001179800644 1049209993 /nfs/dbraw/zinc/20/99/93/1049209993.db2.gz WMGKNKMHHFORIT-QWHCGFSZSA-N 0 3 311.267 4.293 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2ccccc2F)n1 ZINC001193412578 1049210050 /nfs/dbraw/zinc/21/00/50/1049210050.db2.gz FQRHZAVFMONBGE-PBHICJAKSA-N 0 3 301.409 4.022 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000485256786 1049210941 /nfs/dbraw/zinc/21/09/41/1049210941.db2.gz PBXIURXFUJNIEI-GOSISDBHSA-N 0 3 310.441 4.373 20 0 DIADHN c1csc(CN2CCC[C@@H](Oc3ccc(C4CC4)cn3)C2)c1 ZINC000858010927 1049212541 /nfs/dbraw/zinc/21/25/41/1049212541.db2.gz OHLHUEZCYXHGDC-MRXNPFEDSA-N 0 3 314.454 4.064 20 0 DIADHN CCOC(OCC)[C@@H](C)NCc1ccc(-c2ccccc2)s1 ZINC001179838403 1049214162 /nfs/dbraw/zinc/21/41/62/1049214162.db2.gz WGAZHZRHOSCEPU-CQSZACIVSA-N 0 3 319.470 4.292 20 0 DIADHN C[C@H]1Cc2cc(CN(Cc3ccc(F)cc3)C3CC3)ccc2O1 ZINC001179845263 1049214378 /nfs/dbraw/zinc/21/43/78/1049214378.db2.gz NJGDNJIMTMHRHW-AWEZNQCLSA-N 0 3 311.400 4.314 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](Oc2ccc(C)cn2)C1 ZINC000858036728 1049214492 /nfs/dbraw/zinc/21/44/92/1049214492.db2.gz HAFPCUKXCJNAJA-QZTJIDSGSA-N 0 3 314.404 4.134 20 0 DIADHN COc1ccnc(CNC(C)(C)CC2CCCCCC2)c1OC ZINC001193472165 1049214541 /nfs/dbraw/zinc/21/45/41/1049214541.db2.gz CMSPCBGAAGKTMR-UHFFFAOYSA-N 0 3 320.477 4.328 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001193495795 1049216365 /nfs/dbraw/zinc/21/63/65/1049216365.db2.gz CVQMFAWUNFYXMF-DLBZAZTESA-N 0 3 310.441 4.054 20 0 DIADHN C(=C\c1ccccc1)\CN1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858015348 1049216385 /nfs/dbraw/zinc/21/63/85/1049216385.db2.gz UDLRDRHVHNEJGV-FDIFFNORSA-N 0 3 320.436 4.126 20 0 DIADHN Cc1ccccc1CO[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001204660417 1049216673 /nfs/dbraw/zinc/21/66/73/1049216673.db2.gz HYROROUMVIVODP-PKOBYXMFSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1cccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001204674153 1049220735 /nfs/dbraw/zinc/22/07/35/1049220735.db2.gz UYFKBWGSTOJZKC-PKOBYXMFSA-N 0 3 310.441 4.132 20 0 DIADHN Fc1cc(CNC2(c3ccc(Cl)cc3)CCCC2)ccn1 ZINC000858138529 1049220941 /nfs/dbraw/zinc/22/09/41/1049220941.db2.gz CPAPCJPZDDFFOI-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccccc2OCC(C)C)C1(C)C ZINC001179897873 1049221922 /nfs/dbraw/zinc/22/19/22/1049221922.db2.gz AKKPDMJABBPFSI-RBUKOAKNSA-N 0 3 319.489 4.357 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1ccc2[nH]ccc2c1 ZINC000568901102 1049222422 /nfs/dbraw/zinc/22/24/22/1049222422.db2.gz MDDNHRRRTJTKGG-UHFFFAOYSA-N 0 3 307.441 4.302 20 0 DIADHN C[C@@H]1CN(Cc2ccnn2C2CCC2)CC[C@@H]1c1ccccc1 ZINC001179877719 1049224656 /nfs/dbraw/zinc/22/46/56/1049224656.db2.gz IHUAHJAHGFNEME-UZLBHIALSA-N 0 3 309.457 4.234 20 0 DIADHN CC[C@@H](NC1(c2ccc(F)cc2)CC1)c1ccc2c(c1)CCO2 ZINC000777007249 1049225163 /nfs/dbraw/zinc/22/51/63/1049225163.db2.gz KBNIPBHOVHEFJY-GOSISDBHSA-N 0 3 311.400 4.491 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1cc(OC)c(OC)cc1F ZINC001204689832 1049225337 /nfs/dbraw/zinc/22/53/37/1049225337.db2.gz IGYQZFWPZOUSIB-XJKSGUPXSA-N 0 3 309.425 4.244 20 0 DIADHN C[C@@H]1CN(Cc2ccnn2C2CCC2)CC[C@H]1c1ccccc1 ZINC001179877718 1049225855 /nfs/dbraw/zinc/22/58/55/1049225855.db2.gz IHUAHJAHGFNEME-OXQOHEQNSA-N 0 3 309.457 4.234 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC(C)(C(C)C)CC2)c(C)c1 ZINC001193616506 1049230690 /nfs/dbraw/zinc/23/06/90/1049230690.db2.gz NLFVWCWAYTUQKW-QGZVFWFLSA-N 0 3 316.489 4.389 20 0 DIADHN COc1cc(CN2CCC[C@@]3(CC=CCC3)C2)c(Cl)cc1O ZINC001179932052 1049230913 /nfs/dbraw/zinc/23/09/13/1049230913.db2.gz XKGBUHYDQABZIN-SFHVURJKSA-N 0 3 321.848 4.377 20 0 DIADHN C[C@H]1C[C@H](c2cccc(F)c2)N(Cc2ccc3nccnc3c2)C1 ZINC001179936656 1049230984 /nfs/dbraw/zinc/23/09/84/1049230984.db2.gz HINCMLJGKJILEP-VBKZILBWSA-N 0 3 321.399 4.352 20 0 DIADHN Cc1c(Cl)ccc(CN2CCN(C(C)(C)C)CC2)c1Cl ZINC001204705269 1049234327 /nfs/dbraw/zinc/23/43/27/1049234327.db2.gz KQLBKKQWJOUFDI-UHFFFAOYSA-N 0 3 315.288 4.218 20 0 DIADHN Cc1ncc(CNCc2cn(C(F)F)c3ccccc23)s1 ZINC000858291924 1049236712 /nfs/dbraw/zinc/23/67/12/1049236712.db2.gz PQMLANKDCFLTTD-UHFFFAOYSA-N 0 3 307.369 4.091 20 0 DIADHN Cc1cccc(C)c1CN1CCN([C@@H](C)c2ccsc2)CC1 ZINC001193637427 1049237643 /nfs/dbraw/zinc/23/76/43/1049237643.db2.gz WTDIESZEZKYBJG-KRWDZBQOSA-N 0 3 314.498 4.244 20 0 DIADHN Cc1cccn2c(CN[C@H]3CCc4ccc(Cl)cc43)cnc12 ZINC000858320887 1049240562 /nfs/dbraw/zinc/24/05/62/1049240562.db2.gz LTYQZRUHPTXULE-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN Cc1cccn2c(CN[C@@H]3CCc4ccc(Cl)cc43)cnc12 ZINC000858320888 1049241793 /nfs/dbraw/zinc/24/17/93/1049241793.db2.gz LTYQZRUHPTXULE-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN Cn1ccnc1[C@H](NCCc1cccc(Cl)c1Cl)C1CC1 ZINC000485441155 1049242821 /nfs/dbraw/zinc/24/28/21/1049242821.db2.gz SJPCDRNXYGGJMV-OAHLLOKOSA-N 0 3 324.255 4.010 20 0 DIADHN C(=C/[C@@H]1CCCCCN1Cc1cnc2n1CCC2)\c1ccccc1 ZINC001179974454 1049243003 /nfs/dbraw/zinc/24/30/03/1049243003.db2.gz NLPUPKZDNDMJLR-HYSAVQALSA-N 0 3 321.468 4.287 20 0 DIADHN Cn1cnc2cc(CN[C@@H]3CCc4ccc(Cl)cc43)ccc21 ZINC000858321058 1049243851 /nfs/dbraw/zinc/24/38/51/1049243851.db2.gz QTMXKRVBIZZSQV-MRXNPFEDSA-N 0 3 311.816 4.004 20 0 DIADHN CC(C)(C)CN(Cc1cn2ccnc2s1)Cc1ccccc1 ZINC001179997625 1049246051 /nfs/dbraw/zinc/24/60/51/1049246051.db2.gz QZEHFXSYHHCNDT-UHFFFAOYSA-N 0 3 313.470 4.444 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2ccc(-n3ccnc3)nc2)c1 ZINC000858350455 1049246261 /nfs/dbraw/zinc/24/62/61/1049246261.db2.gz USQVVJLRQLMIQE-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1F)CCc1ccccc1F ZINC001204736645 1049246485 /nfs/dbraw/zinc/24/64/85/1049246485.db2.gz OIFMCEIUIZMYNK-GQCTYLIASA-N 0 3 305.343 4.292 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204746058 1049251215 /nfs/dbraw/zinc/25/12/15/1049251215.db2.gz SWJDHAKDZVEJIJ-CYBMUJFWSA-N 0 3 303.789 4.011 20 0 DIADHN FC(F)(F)OCCCN1CCC(c2ccc(Cl)cc2)CC1 ZINC001193703583 1049251827 /nfs/dbraw/zinc/25/18/27/1049251827.db2.gz IEHPSXDMARSLII-UHFFFAOYSA-N 0 3 321.770 4.446 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCCO[C@H](C)C1 ZINC001204750288 1049252676 /nfs/dbraw/zinc/25/26/76/1049252676.db2.gz ZFOHYEALKWTTMO-LLVKDONJSA-N 0 3 309.862 4.474 20 0 DIADHN [O-]c1ccc(C[N@H+](C2CC2)[C@H]2CCc3ccccc32)c(F)c1F ZINC001179966569 1049255356 /nfs/dbraw/zinc/25/53/56/1049255356.db2.gz OTUOYEIUDWTBJN-INIZCTEOSA-N 0 3 315.363 4.322 20 0 DIADHN [O-]c1ccc(C[N@@H+](C2CC2)[C@H]2CCc3ccccc32)c(F)c1F ZINC001179966569 1049255369 /nfs/dbraw/zinc/25/53/69/1049255369.db2.gz OTUOYEIUDWTBJN-INIZCTEOSA-N 0 3 315.363 4.322 20 0 DIADHN CCC[C@H](N[C@@H](C)c1nc(C)cs1)[C@H](O)c1ccccc1 ZINC000858412369 1049255246 /nfs/dbraw/zinc/25/52/46/1049255246.db2.gz VBMRKSVZMMPYPH-CWRNSKLLSA-N 0 3 304.459 4.004 20 0 DIADHN Clc1ccc(C2(NCCOCC3CCC3)CC2)cc1Cl ZINC001193772708 1049255635 /nfs/dbraw/zinc/25/56/35/1049255635.db2.gz IJWZOXZZMZVWKD-UHFFFAOYSA-N 0 3 314.256 4.389 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC001180039494 1049261944 /nfs/dbraw/zinc/26/19/44/1049261944.db2.gz ZEJZPAKDXRGFGP-MRXNPFEDSA-N 0 3 318.823 4.390 20 0 DIADHN C[C@H](NC1CCC(c2ccc(O)cc2)CC1)c1cscn1 ZINC000668500966 1049265803 /nfs/dbraw/zinc/26/58/03/1049265803.db2.gz APNAOVODPQLQSK-OPFPJEHXSA-N 0 3 302.443 4.226 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@@H](CC(C)C)C2)cc1F ZINC001180071843 1049270716 /nfs/dbraw/zinc/27/07/16/1049270716.db2.gz IRBZHSMJYMILQY-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2ccc(OC(F)F)cc2F)C1 ZINC001180071661 1049271053 /nfs/dbraw/zinc/27/10/53/1049271053.db2.gz FHCWPGIYSQNHPG-AAEUAGOBSA-N 0 3 319.392 4.143 20 0 DIADHN CCn1cnc(Cl)c1CN1CCC[C@H]1Cc1ccc(C)cc1 ZINC001180068358 1049271397 /nfs/dbraw/zinc/27/13/97/1049271397.db2.gz MHLWGDWHRRMZKW-INIZCTEOSA-N 0 3 317.864 4.072 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@H](CC(C)C)C2)cc1F ZINC001180071844 1049272285 /nfs/dbraw/zinc/27/22/85/1049272285.db2.gz IRBZHSMJYMILQY-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@H]1CCCc3n[nH]cc31)CCC2 ZINC000858545896 1049272606 /nfs/dbraw/zinc/27/26/06/1049272606.db2.gz WRAKQLVROLPOMX-HOTGVXAUSA-N 0 3 301.821 4.108 20 0 DIADHN CCOc1ccc(CN(C)[C@@H]2C[C@H](OCC)C23CCCCC3)o1 ZINC001180097400 1049274757 /nfs/dbraw/zinc/27/47/57/1049274757.db2.gz DDEDTSYEUAPUFO-SJORKVTESA-N 0 3 321.461 4.238 20 0 DIADHN CCCN(CC1=CCCC1)[C@@H](C)C(=O)Nc1ccccc1C ZINC001193859939 1049277196 /nfs/dbraw/zinc/27/71/96/1049277196.db2.gz OEJIKEQRTJZTKV-INIZCTEOSA-N 0 3 300.446 4.144 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCOc1ccccc1C(C)(C)C ZINC001193907166 1049279181 /nfs/dbraw/zinc/27/91/81/1049279181.db2.gz PQDRYQKZAOKPRI-MRXNPFEDSA-N 0 3 312.457 4.451 20 0 DIADHN COc1cc(F)c(CN2CCC[C@H]2CCC(C)C)cc1OC ZINC001180137414 1049280760 /nfs/dbraw/zinc/28/07/60/1049280760.db2.gz ZAMKFPRACDMFAZ-HNNXBMFYSA-N 0 3 309.425 4.244 20 0 DIADHN Fc1cccc([C@@H]2CCN(CC[C@H]3CC3(Cl)Cl)C2)c1 ZINC001193914901 1049281047 /nfs/dbraw/zinc/28/10/47/1049281047.db2.gz YXIHZNXXCQHUOK-OLZOCXBDSA-N 0 3 302.220 4.199 20 0 DIADHN Fc1cccc([C@H]2CCN(CC[C@H]3CC3(Cl)Cl)C2)c1 ZINC001193914903 1049281263 /nfs/dbraw/zinc/28/12/63/1049281263.db2.gz YXIHZNXXCQHUOK-STQMWFEESA-N 0 3 302.220 4.199 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1nc(Br)cs1 ZINC000858594166 1049283421 /nfs/dbraw/zinc/28/34/21/1049283421.db2.gz MVJCDFIZNQZOHU-ZJUUUORDSA-N 0 3 315.280 4.137 20 0 DIADHN CC[C@@H](C)CN(Cc1cnn(C)c1)Cc1ccc(Cl)cc1 ZINC001193956194 1049284798 /nfs/dbraw/zinc/28/47/98/1049284798.db2.gz RADIRFMVSBPULC-CQSZACIVSA-N 0 3 305.853 4.122 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1Cc1ccc(C)cc1C ZINC001193965397 1049286008 /nfs/dbraw/zinc/28/60/08/1049286008.db2.gz YHWKWUNTIGUAFC-LJQANCHMSA-N 0 3 303.446 4.001 20 0 DIADHN COc1cccc2c(CN3CCOC[C@@H]3CC(C)C)cccc12 ZINC001204875965 1049292782 /nfs/dbraw/zinc/29/27/82/1049292782.db2.gz UGBPFTPOXLQIJD-KRWDZBQOSA-N 0 3 313.441 4.095 20 0 DIADHN Cc1ccc(CN(CCCO)Cc2ccccc2)cc1Cl ZINC001180183968 1049297269 /nfs/dbraw/zinc/29/72/69/1049297269.db2.gz JORKVFGWOWESRV-UHFFFAOYSA-N 0 3 303.833 4.033 20 0 DIADHN CCOCC1CCN(Cc2ccc(C(F)(F)F)c(F)c2)CC1 ZINC001204886605 1049297705 /nfs/dbraw/zinc/29/77/05/1049297705.db2.gz JLULLNNULRQJEB-UHFFFAOYSA-N 0 3 319.342 4.093 20 0 DIADHN CC(C)c1cccc2c1CCN(C[C@@H](O)c1cccc(F)c1)C2 ZINC001194042687 1049305872 /nfs/dbraw/zinc/30/58/72/1049305872.db2.gz XJWQROCBRYSGHV-HXUWFJFHSA-N 0 3 313.416 4.041 20 0 DIADHN CCC[C@]1(C)CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001180203498 1049307755 /nfs/dbraw/zinc/30/77/55/1049307755.db2.gz SYONJFBPBPLPHR-LJQANCHMSA-N 0 3 315.436 4.414 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cccc3c1CCC3)CCC2 ZINC001204924653 1049311852 /nfs/dbraw/zinc/31/18/52/1049311852.db2.gz ZJQPZJMOOBFSAH-GOSISDBHSA-N 0 3 312.482 4.450 20 0 DIADHN Cc1cccc2c1CCN(Cc1ccc(OCC3CC3)cc1)C2 ZINC001180189961 1049312052 /nfs/dbraw/zinc/31/20/52/1049312052.db2.gz ZFLULQGLYKXIQR-UHFFFAOYSA-N 0 3 307.437 4.342 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4c(o3)CCCC4)C2)c(C)c1 ZINC001194074215 1049316005 /nfs/dbraw/zinc/31/60/05/1049316005.db2.gz QKJLKDFPDJFLOU-INIZCTEOSA-N 0 3 310.441 4.160 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc(C(C)C)c3)CC2)cc1 ZINC001168370477 1049316776 /nfs/dbraw/zinc/31/67/76/1049316776.db2.gz PXSMIEMOQZINKL-UHFFFAOYSA-N 0 3 308.469 4.441 20 0 DIADHN CCN(Cc1cc2ccccc2n1C)[C@@H](C)c1cccc(O)c1 ZINC001180239681 1049318042 /nfs/dbraw/zinc/31/80/42/1049318042.db2.gz PDEWDRUDONMOND-HNNXBMFYSA-N 0 3 308.425 4.467 20 0 DIADHN C[C@@]1(CN2CCn3cccc3[C@H]2c2ccccc2)CCCS1 ZINC001180245419 1049322248 /nfs/dbraw/zinc/32/22/48/1049322248.db2.gz XAZRGURRRZBGOF-MOPGFXCFSA-N 0 3 312.482 4.179 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CCCC[C@H]2C2CC2)no1 ZINC000485714293 1049323067 /nfs/dbraw/zinc/32/30/67/1049323067.db2.gz CXZAPUWMRGQRJK-DLBZAZTESA-N 0 3 306.450 4.048 20 0 DIADHN FC(F)(F)COc1ccccc1CN1CCC2(CCC2)CC1 ZINC001194132835 1049325342 /nfs/dbraw/zinc/32/53/42/1049325342.db2.gz KOFLIAYIEPSCKS-UHFFFAOYSA-N 0 3 313.363 4.394 20 0 DIADHN CC(C)CN(Cc1ccnc(F)c1)[C@H]1CCCc2cccnc21 ZINC000859258534 1049325805 /nfs/dbraw/zinc/32/58/05/1049325805.db2.gz OZFAHJJYUZDWMY-KRWDZBQOSA-N 0 3 313.420 4.151 20 0 DIADHN CCCN(Cc1sccc1NC(=O)OC(C)(C)C)C1CC1 ZINC001204968355 1049327164 /nfs/dbraw/zinc/32/71/64/1049327164.db2.gz CPPHPOKUHAJNCK-UHFFFAOYSA-N 0 3 310.463 4.470 20 0 DIADHN OCc1ccc(CN2CCC[C@@H]2c2ccc(Cl)cc2)cc1 ZINC000485734194 1049330649 /nfs/dbraw/zinc/33/06/49/1049330649.db2.gz CJBOJSRVWWWUFE-GOSISDBHSA-N 0 3 301.817 4.169 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(CO)c(C)c2C)cc1F ZINC001204997423 1049334592 /nfs/dbraw/zinc/33/45/92/1049334592.db2.gz JDPMGHMYAPKGOT-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN CC1(C)CCC(C)(C)N(Cc2nnc(-c3cccc(F)c3)o2)C1 ZINC000859472609 1049335370 /nfs/dbraw/zinc/33/53/70/1049335370.db2.gz QZVDRGDUNXEZOZ-UHFFFAOYSA-N 0 3 317.408 4.276 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1cncc2ccccc21 ZINC001204999410 1049335659 /nfs/dbraw/zinc/33/56/59/1049335659.db2.gz AKQVTJYPVDOOOP-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN COc1ccc(CN(C)C/C=C\c2ccc(F)c(F)c2)cc1C ZINC001180284829 1049335842 /nfs/dbraw/zinc/33/58/42/1049335842.db2.gz NPHJWLUOHKWGDD-PLNGDYQASA-N 0 3 317.379 4.427 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1sc(N(C)C)nc1Cl ZINC001180297395 1049338864 /nfs/dbraw/zinc/33/88/64/1049338864.db2.gz WBVNVBNUWKJLDN-UTUOFQBUSA-N 0 3 313.898 4.016 20 0 DIADHN CCCCCCOC(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC001194188253 1049338918 /nfs/dbraw/zinc/33/89/18/1049338918.db2.gz QXRFNGHGSILMLP-MRXNPFEDSA-N 0 3 320.433 4.201 20 0 DIADHN c1ccc([C@@H](NCCOC2CCCCCC2)C2CCC2)nc1 ZINC000859563758 1049340574 /nfs/dbraw/zinc/34/05/74/1049340574.db2.gz VDCVDRVOZQHBKF-IBGZPJMESA-N 0 3 302.462 4.252 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1nc2c(s1)C(C)(C)CC(C)(C)C2 ZINC001194213298 1049342422 /nfs/dbraw/zinc/34/24/22/1049342422.db2.gz OOSVIAUZHYFZPZ-AAEUAGOBSA-N 0 3 324.559 4.234 20 0 DIADHN OCC[C@@H](NCC1=CCCC1)c1ccc(Cl)c(Cl)c1 ZINC001194220603 1049345480 /nfs/dbraw/zinc/34/54/80/1049345480.db2.gz DXTWTATWMOAOSV-OAHLLOKOSA-N 0 3 300.229 4.117 20 0 DIADHN Cn1cc(CN2CCC(c3csc4ccccc34)CC2)cn1 ZINC001205030127 1049346426 /nfs/dbraw/zinc/34/64/26/1049346426.db2.gz BFAUXIXIPXBHCU-UHFFFAOYSA-N 0 3 311.454 4.014 20 0 DIADHN CCOc1ccc(CNCc2ccc(-c3cnco3)cc2)c(C)c1 ZINC000906520057 1049351207 /nfs/dbraw/zinc/35/12/07/1049351207.db2.gz KVSUCGVBRVVSFZ-UHFFFAOYSA-N 0 3 322.408 4.339 20 0 DIADHN COc1nscc1CN1CCC[C@](C)(c2ccccc2)CC1 ZINC001194263340 1049351133 /nfs/dbraw/zinc/35/11/33/1049351133.db2.gz XZBRUXGQHBCVOQ-SFHVURJKSA-N 0 3 316.470 4.096 20 0 DIADHN COc1ccc(CN2CCC(CCc3ccc(C)cc3)CC2)cn1 ZINC001180364437 1049351829 /nfs/dbraw/zinc/35/18/29/1049351829.db2.gz SVVDFHVXSPAETF-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)cn1 ZINC001180402505 1049352041 /nfs/dbraw/zinc/35/20/41/1049352041.db2.gz DMBSWDVYUBJHMV-RBUKOAKNSA-N 0 3 309.457 4.193 20 0 DIADHN Clc1ccccc1CN(CCc1cscn1)CC1CC1 ZINC001194261862 1049352760 /nfs/dbraw/zinc/35/27/60/1049352760.db2.gz KDAUPNQRWLHKHN-UHFFFAOYSA-N 0 3 306.862 4.251 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@H]3C2)c(C2CCCCC2)n1 ZINC001180402932 1049353198 /nfs/dbraw/zinc/35/31/98/1049353198.db2.gz ISVAOYLQROOLRX-AEFFLSMTSA-N 0 3 315.505 4.480 20 0 DIADHN COCCOCCN(CC(C)C)[C@@H](C)c1ccccc1Cl ZINC001194301211 1049355061 /nfs/dbraw/zinc/35/50/61/1049355061.db2.gz YQRWQCGSSVEYEB-HNNXBMFYSA-N 0 3 313.869 4.022 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(O)cc(C(F)(F)F)c1 ZINC001180430631 1049355097 /nfs/dbraw/zinc/35/50/97/1049355097.db2.gz QAMUEMWKIDULPH-UHFFFAOYSA-N 0 3 309.331 4.433 20 0 DIADHN CC[C@H](CN1CCN(c2ccccc2F)CC1)c1ccccc1 ZINC001180372706 1049355254 /nfs/dbraw/zinc/35/52/54/1049355254.db2.gz VZGXKIOCGOZKQI-QGZVFWFLSA-N 0 3 312.432 4.142 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2ccccc2)cc1OC ZINC001180368461 1049355368 /nfs/dbraw/zinc/35/53/68/1049355368.db2.gz LGIDWAMOUUHFKC-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1F)CCOc1ccc(F)cc1 ZINC001180437493 1049357762 /nfs/dbraw/zinc/35/77/62/1049357762.db2.gz JBUVTOGOSPEXBU-IHWYPQMZSA-N 0 3 321.342 4.128 20 0 DIADHN Cc1cccc2[nH]c(CN(C)CCOc3ccccc3C)cc21 ZINC001180446790 1049357947 /nfs/dbraw/zinc/35/79/47/1049357947.db2.gz VNERKAQAZMGEDW-UHFFFAOYSA-N 0 3 308.425 4.296 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CCN(CC1CC(F)(F)C1)C2 ZINC000859906874 1049359409 /nfs/dbraw/zinc/35/94/09/1049359409.db2.gz OXVZFLGXKFLQFV-UHFFFAOYSA-N 0 3 305.290 4.109 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3cc(F)cc(F)c3)CC2)c1 ZINC001194376720 1049361913 /nfs/dbraw/zinc/36/19/13/1049361913.db2.gz LUPHUXCFBWXKBK-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CCCC4(CC4)CC3)o2)c1 ZINC000860071419 1049368659 /nfs/dbraw/zinc/36/86/59/1049368659.db2.gz AWECAFFMDHPRCZ-HNNXBMFYSA-N 0 3 311.429 4.372 20 0 DIADHN CN(Cc1ccc2c(c1)OCO2)Cc1ccc(F)c2ccccc12 ZINC001180513930 1049369228 /nfs/dbraw/zinc/36/92/28/1049369228.db2.gz NSXKRRMXYKRPLG-UHFFFAOYSA-N 0 3 323.367 4.340 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@H]1Cc1ccccc1 ZINC001180520360 1049371512 /nfs/dbraw/zinc/37/15/12/1049371512.db2.gz FZIRIPKWESQEAB-HNNXBMFYSA-N 0 3 315.848 4.148 20 0 DIADHN Cc1cccc(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)c1F ZINC000485974611 1049372500 /nfs/dbraw/zinc/37/25/00/1049372500.db2.gz DZTVYUFBSBCWBZ-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN Cc1cccc(CN2CC3(CC(OCc4ccccc4)C3)C2)c1C ZINC001205095839 1049372885 /nfs/dbraw/zinc/37/28/85/1049372885.db2.gz NPMKCIFNRYHQDQ-UHFFFAOYSA-N 0 3 321.464 4.485 20 0 DIADHN CN(Cc1cccc2[nH]c(=O)oc21)[C@@H]1CCCc2ccccc21 ZINC001205093559 1049374204 /nfs/dbraw/zinc/37/42/04/1049374204.db2.gz INDABEGBJQJSES-QGZVFWFLSA-N 0 3 308.381 4.043 20 0 DIADHN CC[C@@H](C)N(Cc1cc(-c2ccccn2)n[nH]1)Cc1ccccc1 ZINC001205094433 1049374857 /nfs/dbraw/zinc/37/48/57/1049374857.db2.gz VCXGHVYYWJQWNF-MRXNPFEDSA-N 0 3 320.440 4.272 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC001194447945 1049378369 /nfs/dbraw/zinc/37/83/69/1049378369.db2.gz ZPKOXGGCKAKJBN-VUCTXSBTSA-N 0 3 323.864 4.032 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2c(Cl)oc3ccccc32)C1(C)C ZINC001194444532 1049379277 /nfs/dbraw/zinc/37/92/77/1049379277.db2.gz BWMPREIASKEREY-YOEHRIQHSA-N 0 3 307.821 4.379 20 0 DIADHN COc1cccc(OC)c1CN1CCC[C@H]1c1ccc(C)cc1 ZINC001180539981 1049379301 /nfs/dbraw/zinc/37/93/01/1049379301.db2.gz DLMNWSDPUDEWSR-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2c(Cl)oc3ccccc32)C1(C)C ZINC001194444529 1049379410 /nfs/dbraw/zinc/37/94/10/1049379410.db2.gz BWMPREIASKEREY-PBHICJAKSA-N 0 3 307.821 4.379 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCSC[C@@H]1C1CC1 ZINC001194519896 1049384392 /nfs/dbraw/zinc/38/43/92/1049384392.db2.gz VXOPEUHYTHYQRL-QGZVFWFLSA-N 0 3 305.487 4.146 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OCCCc2ccccc2)C1 ZINC001205120072 1049385130 /nfs/dbraw/zinc/38/51/30/1049385130.db2.gz DBVXEEJHAFQRSN-AZUAARDMSA-N 0 3 324.468 4.256 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)C1CC1 ZINC001180594281 1049387837 /nfs/dbraw/zinc/38/78/37/1049387837.db2.gz QTTGMDCFMXSYBT-LSDHHAIUSA-N 0 3 301.352 4.003 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)(F)F)c1ccc(C)cc1)C1CC1 ZINC001180594278 1049387860 /nfs/dbraw/zinc/38/78/60/1049387860.db2.gz QTTGMDCFMXSYBT-CABCVRRESA-N 0 3 301.352 4.003 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)C1CC1 ZINC001180594279 1049388723 /nfs/dbraw/zinc/38/87/23/1049388723.db2.gz QTTGMDCFMXSYBT-GJZGRUSLSA-N 0 3 301.352 4.003 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC(C)(C)CC(C)(C)C2)cn1 ZINC001180601731 1049388809 /nfs/dbraw/zinc/38/88/09/1049388809.db2.gz CFRPVHRAFYOMIQ-UHFFFAOYSA-N 0 3 311.473 4.439 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nc(-c2ccccc2)no1 ZINC001180672390 1049392452 /nfs/dbraw/zinc/39/24/52/1049392452.db2.gz HGICHCJWFKDIBK-NVXWUHKLSA-N 0 3 313.445 4.431 20 0 DIADHN CC1=C(C)C[C@H]([C@H](C)NCc2nc(-c3ccccc3)no2)CC1 ZINC001180672098 1049392577 /nfs/dbraw/zinc/39/25/77/1049392577.db2.gz FANNIHJVFMIPNE-DOTOQJQBSA-N 0 3 311.429 4.351 20 0 DIADHN CCCN(CCc1cccs1)Cc1c(Cl)n[nH]c1CC ZINC001180631412 1049392637 /nfs/dbraw/zinc/39/26/37/1049392637.db2.gz CLBONAVQSRVBOB-UHFFFAOYSA-N 0 3 311.882 4.142 20 0 DIADHN Cn1cc(CN2CCC[C@@H](CCF)C2)c(C2CCCCC2)n1 ZINC000668592269 1049393232 /nfs/dbraw/zinc/39/32/32/1049393232.db2.gz NTMKTJHSZALDTM-HNNXBMFYSA-N 0 3 307.457 4.039 20 0 DIADHN c1ccc(CSCCN2CCC=C(c3ccccn3)C2)cc1 ZINC001194571022 1049394371 /nfs/dbraw/zinc/39/43/71/1049394371.db2.gz QKOQLNUQSBAQAI-UHFFFAOYSA-N 0 3 310.466 4.104 20 0 DIADHN C[C@]1(Br)C[C@@H]1CN1CCC[C@H]1c1ccc(F)cc1 ZINC001205169466 1049400076 /nfs/dbraw/zinc/40/00/76/1049400076.db2.gz GIOOOEVGNSXIEW-SNPRPXQTSA-N 0 3 312.226 4.136 20 0 DIADHN CCc1ccccc1CN(C)Cc1cc(OC)c(OC)cc1C ZINC001205171093 1049401308 /nfs/dbraw/zinc/40/13/08/1049401308.db2.gz WDYPBIKLXNNLNH-UHFFFAOYSA-N 0 3 313.441 4.207 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCCC(F)(F)F)[C@@H]2C ZINC001180750709 1049402186 /nfs/dbraw/zinc/40/21/86/1049402186.db2.gz FQIKERCXAGJCQP-VXGBXAGGSA-N 0 3 301.352 4.345 20 0 DIADHN Cc1cc(F)c(CN2CCC[C@H]2Cc2ccccc2)c(F)c1 ZINC001205178020 1049403395 /nfs/dbraw/zinc/40/33/95/1049403395.db2.gz PRPBNCXHEORQLF-INIZCTEOSA-N 0 3 301.380 4.480 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3C[C@@]3(C)Br)CC2)c1 ZINC001180768538 1049405083 /nfs/dbraw/zinc/40/50/83/1049405083.db2.gz GRWCIJSUDGSDKE-DLBZAZTESA-N 0 3 320.274 4.258 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1cccc2c1NCCC2 ZINC001180801764 1049408236 /nfs/dbraw/zinc/40/82/36/1049408236.db2.gz JHJHYZFDGMDMCY-IUODEOHRSA-N 0 3 312.379 4.208 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc4ccoc43)CC2)cc1C ZINC001205201118 1049409032 /nfs/dbraw/zinc/40/90/32/1049409032.db2.gz JUDABZNZWPIZEQ-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN Fc1cccc(C2(CNCc3ccncc3F)CCCC2)c1F ZINC001180885028 1049410269 /nfs/dbraw/zinc/41/02/69/1049410269.db2.gz NZVOJHFXKFYQLI-UHFFFAOYSA-N 0 3 320.358 4.101 20 0 DIADHN Fc1ccc(CN2CCC[C@H]2Cc2ccccn2)cc1Cl ZINC001204284909 1049415559 /nfs/dbraw/zinc/41/55/59/1049415559.db2.gz WUFLNKMGRQVTMZ-HNNXBMFYSA-N 0 3 304.796 4.081 20 0 DIADHN CCN(C)c1ccc(CN2CCCC3(CCCCC3)CC2)cn1 ZINC001180917384 1049418344 /nfs/dbraw/zinc/41/83/44/1049418344.db2.gz SNOWDWIVIAZLLB-UHFFFAOYSA-N 0 3 315.505 4.474 20 0 DIADHN COc1ccc2nc(CN3CCC4(CCCC4)C3)sc2c1 ZINC000775609609 1049422257 /nfs/dbraw/zinc/42/22/57/1049422257.db2.gz AFKQALNRHSTEQK-UHFFFAOYSA-N 0 3 302.443 4.071 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)c(F)c1)CC(C)(C)CO ZINC001194723452 1049422611 /nfs/dbraw/zinc/42/26/11/1049422611.db2.gz KLCKKIZAQGCZJM-UHFFFAOYSA-N 0 3 317.404 4.068 20 0 DIADHN CCC(CC)N(CC)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001194781841 1049422769 /nfs/dbraw/zinc/42/27/69/1049422769.db2.gz IWAKYBHUHASGBQ-UHFFFAOYSA-N 0 3 307.388 4.161 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@H]1CC2(CCC2)CO1 ZINC001194792473 1049424403 /nfs/dbraw/zinc/42/44/03/1049424403.db2.gz SGHKFNGLJVLPAB-NVXWUHKLSA-N 0 3 303.446 4.037 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)C[C@@H]1CC2(CCC2)CO1 ZINC001194792474 1049424469 /nfs/dbraw/zinc/42/44/69/1049424469.db2.gz SGHKFNGLJVLPAB-RDJZCZTQSA-N 0 3 303.446 4.037 20 0 DIADHN CCC1(CC)CCN(Cc2[nH]cc(C(=O)OC)c2C2CC2)CC1 ZINC001180977098 1049425123 /nfs/dbraw/zinc/42/51/23/1049425123.db2.gz JYSVYBZIXSYDTL-UHFFFAOYSA-N 0 3 318.461 4.081 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001205320993 1049437499 /nfs/dbraw/zinc/43/74/99/1049437499.db2.gz XPPURWTVBJDPRG-QZTJIDSGSA-N 0 3 312.461 4.233 20 0 DIADHN CC[C@H](CC1CCCCC1)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC001205320993 1049437505 /nfs/dbraw/zinc/43/75/05/1049437505.db2.gz XPPURWTVBJDPRG-QZTJIDSGSA-N 0 3 312.461 4.233 20 0 DIADHN CC(C)CC1(C)CCN([C@@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC001194863499 1049439269 /nfs/dbraw/zinc/43/92/69/1049439269.db2.gz HJBQNCIJMNAPJD-GOSISDBHSA-N 0 3 320.521 4.068 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001194875111 1049442983 /nfs/dbraw/zinc/44/29/83/1049442983.db2.gz WVHGKXBQJQZYQY-MCIONIFRSA-N 0 3 307.400 4.435 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@@](C)(C(=O)OC(C)(C)C)C1 ZINC001194907651 1049445591 /nfs/dbraw/zinc/44/55/91/1049445591.db2.gz YMTOVPWWDKZPGF-SCLBCKFNSA-N 0 3 323.864 4.455 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3sccc3Cl)C2)c1 ZINC001205353845 1049447073 /nfs/dbraw/zinc/44/70/73/1049447073.db2.gz MBUNPJCUPRTNFF-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN COc1ccc2c(c1)OCCN(CC[C@@H](C)c1ccccc1)C2 ZINC001181185717 1049449529 /nfs/dbraw/zinc/44/95/29/1049449529.db2.gz VXYYPAJWRGLMQM-MRXNPFEDSA-N 0 3 311.425 4.083 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(F)c3n[nH]cc32)cc1F ZINC001205367147 1049452410 /nfs/dbraw/zinc/45/24/10/1049452410.db2.gz VDFKRTGXXQGPQP-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN CCSc1cc(CNCc2cc3ccc(F)cc3[nH]2)ccn1 ZINC000486718618 1049459542 /nfs/dbraw/zinc/45/95/42/1049459542.db2.gz DOOJEMHCDNDOTA-UHFFFAOYSA-N 0 3 315.417 4.104 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccccc2[nH]1)c1ccc2c(c1)OCCO2 ZINC000775627832 1049460921 /nfs/dbraw/zinc/46/09/21/1049460921.db2.gz OPKYTSRQAXOIOK-KGLIPLIRSA-N 0 3 322.408 4.351 20 0 DIADHN CCn1ccnc1[C@H]1CCCCN1CCc1ccc(C)c(C)c1 ZINC001181254620 1049461152 /nfs/dbraw/zinc/46/11/52/1049461152.db2.gz JKRGAGWDNISIRG-LJQANCHMSA-N 0 3 311.473 4.290 20 0 DIADHN COC(=O)c1ccc(CN(C)C/C(C)=C/c2ccccc2)cc1C ZINC001205403566 1049462253 /nfs/dbraw/zinc/46/22/53/1049462253.db2.gz YUJLUEIJKHFWNS-FOWTUZBSSA-N 0 3 323.436 4.317 20 0 DIADHN CC(C)(C)C[C@H]1CCN(CC(=O)OCc2ccccc2)C1(C)C ZINC001195055860 1049467988 /nfs/dbraw/zinc/46/79/88/1049467988.db2.gz VJPSDAUJTGXOGH-QGZVFWFLSA-N 0 3 317.473 4.267 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000486786774 1049469412 /nfs/dbraw/zinc/46/94/12/1049469412.db2.gz FNFLNHUYGGZAQX-QAPCUYQASA-N 0 3 316.489 4.397 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000486779244 1049469431 /nfs/dbraw/zinc/46/94/31/1049469431.db2.gz NZZVBNLOFXYEMP-RHSMWYFYSA-N 0 3 302.462 4.143 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nc(-c2cccs2)no1 ZINC000486776669 1049469761 /nfs/dbraw/zinc/46/97/61/1049469761.db2.gz CQZKSDPGFZJXLR-CHWSQXEVSA-N 0 3 305.447 4.199 20 0 DIADHN FC1CC2(C1)CCCN(Cc1ccc(C(F)(F)F)nc1)CC2 ZINC001205444208 1049472705 /nfs/dbraw/zinc/47/27/05/1049472705.db2.gz SHIUTYVVYKFKRZ-UHFFFAOYSA-N 0 3 316.342 4.205 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3ccc(C(F)(F)F)nc3)C2)c1 ZINC001205442145 1049473441 /nfs/dbraw/zinc/47/34/41/1049473441.db2.gz UIBSYKZPUWRDOP-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H]1CCC(C)C ZINC000486796391 1049473714 /nfs/dbraw/zinc/47/37/14/1049473714.db2.gz UOOKKHKEOWFPDV-GOSISDBHSA-N 0 3 316.489 4.397 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000486796896 1049473998 /nfs/dbraw/zinc/47/39/98/1049473998.db2.gz VRIMEJYNYVKPTG-TZMCWYRMSA-N 0 3 305.447 4.199 20 0 DIADHN CC(C)[C@@H](CNCc1nnc(C2CC2)s1)Cc1ccccc1 ZINC001205454170 1049474912 /nfs/dbraw/zinc/47/49/12/1049474912.db2.gz ZYUMPFDHBDDWMJ-MRXNPFEDSA-N 0 3 315.486 4.020 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@H](O)C(C)(C)C1 ZINC001181409425 1049477520 /nfs/dbraw/zinc/47/75/20/1049477520.db2.gz CXJJPKUGNBRDFA-AWEZNQCLSA-N 0 3 309.862 4.066 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000486802634 1049479260 /nfs/dbraw/zinc/47/92/60/1049479260.db2.gz UFVXEKHDEKJHFT-CQSZACIVSA-N 0 3 305.447 4.199 20 0 DIADHN CC[C@@H](C)[C@H](CNC(C)(C)c1cccc(Cl)c1F)OC ZINC001205477764 1049480544 /nfs/dbraw/zinc/48/05/44/1049480544.db2.gz JCHFPGOBAYXZGN-RISCZKNCSA-N 0 3 301.833 4.365 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1ccc2cc[nH]c2c1)c1cccs1 ZINC000862251741 1049481739 /nfs/dbraw/zinc/48/17/39/1049481739.db2.gz MHLGSPQHDITXJB-MRXNPFEDSA-N 0 3 324.449 4.467 20 0 DIADHN C[C@H]1CCN(Cc2ccnn2CC2CCC2)CC12CCCCC2 ZINC001205486306 1049483213 /nfs/dbraw/zinc/48/32/13/1049483213.db2.gz LNFNMJSNDWFCRQ-KRWDZBQOSA-N 0 3 315.505 4.476 20 0 DIADHN Cc1c(CN[C@H]2c3cccc(F)c3CC[C@@H]2C)ccnc1F ZINC000862283638 1049484617 /nfs/dbraw/zinc/48/46/17/1049484617.db2.gz MNJVJSPOWUYDNA-APPDUMDISA-N 0 3 302.368 4.081 20 0 DIADHN Cc1c(CNC2(c3ccc(F)cc3)CCCC2)ccnc1F ZINC000862274357 1049485135 /nfs/dbraw/zinc/48/51/35/1049485135.db2.gz KRIXZZZAVJRRKY-UHFFFAOYSA-N 0 3 302.368 4.227 20 0 DIADHN Cc1c(F)nccc1CN[C@H](C)c1ccc(OC(C)C)cc1 ZINC000862273692 1049485263 /nfs/dbraw/zinc/48/52/63/1049485263.db2.gz PDOHCGRMOXNAML-CQSZACIVSA-N 0 3 302.393 4.167 20 0 DIADHN CO[C@H](CN1CC(Cc2ccccc2Cl)C1)C1CCCCC1 ZINC001181543664 1049488973 /nfs/dbraw/zinc/48/89/73/1049488973.db2.gz HJTURCRSCZFMRN-LJQANCHMSA-N 0 3 321.892 4.410 20 0 DIADHN C[C@]12CCN(Cc3ccc4[nH]ccc4c3)C[C@H]1C2(Cl)Cl ZINC000862360034 1049489902 /nfs/dbraw/zinc/48/99/02/1049489902.db2.gz UVEJCPKSKPSBOQ-CABCVRRESA-N 0 3 309.240 4.184 20 0 DIADHN FC(F)n1ccc(CN[C@H]2CCC[C@H](c3ccccc3)C2)n1 ZINC000486906624 1049491259 /nfs/dbraw/zinc/49/12/59/1049491259.db2.gz UEODHGJIYUOHLU-GJZGRUSLSA-N 0 3 305.372 4.094 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1ccc(C(F)(F)F)nc1Cl ZINC000862380105 1049493012 /nfs/dbraw/zinc/49/30/12/1049493012.db2.gz QPXQRARRYSPDEV-OYNCUSHFSA-N 0 3 324.799 4.156 20 0 DIADHN C[C@@H]1CN(Cc2cn(C)nc2-c2cccs2)Cc2ccccc21 ZINC000775645504 1049494270 /nfs/dbraw/zinc/49/42/70/1049494270.db2.gz AQYMAXDMFKDZBQ-CQSZACIVSA-N 0 3 323.465 4.268 20 0 DIADHN CCSc1cccc(CN2CCC(c3ccon3)CC2)c1 ZINC000668714156 1049494862 /nfs/dbraw/zinc/49/48/62/1049494862.db2.gz LXALNQOIWNYAQS-UHFFFAOYSA-N 0 3 302.443 4.166 20 0 DIADHN COc1cccc(OC)c1CN1C[C@@H](C)C[C@H]1c1ccccc1 ZINC001181622091 1049497621 /nfs/dbraw/zinc/49/76/21/1049497621.db2.gz OXZXUSFXDNUZDX-YJBOKZPZSA-N 0 3 311.425 4.287 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OCC2CCCCC2)C1 ZINC001205579912 1049503429 /nfs/dbraw/zinc/50/34/29/1049503429.db2.gz RJDRMIWUFAKKMA-SJLPKXTDSA-N 0 3 302.462 4.204 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccccc2F)o1)[C@@H]1CC1(C)C ZINC001195269676 1049505965 /nfs/dbraw/zinc/50/59/65/1049505965.db2.gz OOUAJNYHYTXNBA-DZGCQCFKSA-N 0 3 302.393 4.395 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)[C@@H](C)[C@@H]3C)co2)cc1 ZINC001195290416 1049511893 /nfs/dbraw/zinc/51/18/93/1049511893.db2.gz WMJJOEOSYQXYJY-ZNMIVQPWSA-N 0 3 314.429 4.217 20 0 DIADHN C[C@@H]1CN(Cc2cc(F)c(C(F)(F)F)cc2F)[C@@H](C)[C@H]1C ZINC001195290674 1049513715 /nfs/dbraw/zinc/51/37/15/1049513715.db2.gz XQQQUOOKBPHELD-UTLUCORTSA-N 0 3 307.306 4.460 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001195341230 1049514179 /nfs/dbraw/zinc/51/41/79/1049514179.db2.gz FQGGTLXYRYGMKY-DUVNUKRYSA-N 0 3 323.864 4.131 20 0 DIADHN CN(C)Cc1ccccc1C(=O)NCC(C)(C)C1=CCCCC1 ZINC000487080072 1049514264 /nfs/dbraw/zinc/51/42/64/1049514264.db2.gz DSIJPBKZGWWHFS-UHFFFAOYSA-N 0 3 314.473 4.005 20 0 DIADHN CC(C)P(CCNC(=O)[C@H]1CCc2ccccc21)C(C)C ZINC001181764554 1049514804 /nfs/dbraw/zinc/51/48/04/1049514804.db2.gz MSKPGNDZZMLDBS-KRWDZBQOSA-N 0 3 305.402 4.131 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001195341231 1049515376 /nfs/dbraw/zinc/51/53/76/1049515376.db2.gz FQGGTLXYRYGMKY-INWMFGNUSA-N 0 3 323.864 4.131 20 0 DIADHN COc1ccc2nc(CN(C)[C@@H]3CCC(C)(C)C3)sc2c1 ZINC001205639693 1049517790 /nfs/dbraw/zinc/51/77/90/1049517790.db2.gz IGJGWDGOYDOYNW-GFCCVEGCSA-N 0 3 304.459 4.315 20 0 DIADHN CO[C@@H]1C[C@H](N(C)Cc2csc(C(F)(F)F)c2)C12CCC2 ZINC001181797299 1049518574 /nfs/dbraw/zinc/51/85/74/1049518574.db2.gz VZVVFBGDYHQHJX-NWDGAFQWSA-N 0 3 319.392 4.156 20 0 DIADHN c1cn([C@H]2CCCN(Cc3ccc(C4CCCCC4)cc3)C2)nn1 ZINC001195334177 1049519512 /nfs/dbraw/zinc/51/95/12/1049519512.db2.gz MMKMEQNRHRWOIB-FQEVSTJZSA-N 0 3 324.472 4.163 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1csc2ccccc12 ZINC000487155446 1049519388 /nfs/dbraw/zinc/51/93/88/1049519388.db2.gz IGIIGIUJVRVTMU-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CC(C)O[C@@H](CN1CC[C@H](C(F)(F)F)C1)c1ccccc1 ZINC000794451424 1049520566 /nfs/dbraw/zinc/52/05/66/1049520566.db2.gz YUIMDZBTWBNNPN-GJZGRUSLSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@]1(Cc2cccc(F)c2)CCCN1Cc1ccc(Cl)nc1 ZINC001195393458 1049524437 /nfs/dbraw/zinc/52/44/37/1049524437.db2.gz JDDBIPCXENHFEJ-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN Fc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)cc1C(F)(F)F ZINC001181859305 1049531091 /nfs/dbraw/zinc/53/10/91/1049531091.db2.gz FWQOGOHBUNORPH-BETUJISGSA-N 0 3 301.327 4.467 20 0 DIADHN Fc1cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)ccc1OC(F)F ZINC001181869660 1049532632 /nfs/dbraw/zinc/53/26/32/1049532632.db2.gz CQQANFIIXOLIKU-CZUORRHYSA-N 0 3 311.347 4.048 20 0 DIADHN COc1ccc(-c2ccc(F)c(F)c2Cl)cc1CN(C)C ZINC001205689348 1049532689 /nfs/dbraw/zinc/53/26/89/1049532689.db2.gz OSDUHPCTILMDKT-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN COc1cc(CNC2(c3ccccc3C)CC2)ccc1Cl ZINC001195438638 1049533402 /nfs/dbraw/zinc/53/34/02/1049533402.db2.gz DZJCMPLCQMLTJS-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN Fc1cc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)ccc1OC(F)F ZINC001181869661 1049533452 /nfs/dbraw/zinc/53/34/52/1049533452.db2.gz CQQANFIIXOLIKU-XJKSGUPXSA-N 0 3 311.347 4.048 20 0 DIADHN COc1ccc(Cl)c(CN2CCC[C@H](c3cccnc3)C2)c1 ZINC001195547602 1049541390 /nfs/dbraw/zinc/54/13/90/1049541390.db2.gz PAPLEQJZWZTWKS-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN Brc1cscc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC001195508655 1049541708 /nfs/dbraw/zinc/54/17/08/1049541708.db2.gz KWDNNPQUQRCZPH-GWCFXTLKSA-N 0 3 300.265 4.275 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000487291077 1049545532 /nfs/dbraw/zinc/54/55/32/1049545532.db2.gz LIRMZLPFELJAOZ-NEPJUHHUSA-N 0 3 307.825 4.429 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000487288522 1049545608 /nfs/dbraw/zinc/54/56/08/1049545608.db2.gz IJVHSAWBABFPDR-RYUDHWBXSA-N 0 3 307.825 4.429 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000487291079 1049545983 /nfs/dbraw/zinc/54/59/83/1049545983.db2.gz LIRMZLPFELJAOZ-RYUDHWBXSA-N 0 3 307.825 4.429 20 0 DIADHN Cc1cc(CN(C)CC2=CCSC2)cc(C)c1OC(F)F ZINC000668776091 1049548240 /nfs/dbraw/zinc/54/82/40/1049548240.db2.gz YAAAXEKMAZPZNM-UHFFFAOYSA-N 0 3 313.413 4.010 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCC[C@H](OC2CCC2)C1 ZINC001195637709 1049549815 /nfs/dbraw/zinc/54/98/15/1049549815.db2.gz BHMDZILANHGLCG-FUHWJXTLSA-N 0 3 305.849 4.361 20 0 DIADHN CN(CC1=CCSC1)Cc1ccc(OC(F)F)c(Cl)c1 ZINC000668775915 1049550273 /nfs/dbraw/zinc/55/02/73/1049550273.db2.gz SSKBWSFTVUGPGY-UHFFFAOYSA-N 0 3 319.804 4.046 20 0 DIADHN C[C@@H]1CC[C@@H](c2ccccc2)N(Cc2ccnn2CC2CC2)C1 ZINC001205750347 1049551335 /nfs/dbraw/zinc/55/13/35/1049551335.db2.gz LENACMMQFNABJL-UZLBHIALSA-N 0 3 309.457 4.266 20 0 DIADHN CCCN1CCN(c2cc(Cl)c(Cl)c(Cl)c2)CC1 ZINC001195612120 1049551557 /nfs/dbraw/zinc/55/15/57/1049551557.db2.gz CODJIPHRNJGPKX-UHFFFAOYSA-N 0 3 307.652 4.179 20 0 DIADHN Cc1ncsc1-c1ccc(CN(C)CC2=CCSC2)cc1 ZINC000668776904 1049551716 /nfs/dbraw/zinc/55/17/16/1049551716.db2.gz NRPANLMDZPKDLR-UHFFFAOYSA-N 0 3 316.495 4.224 20 0 DIADHN Cn1nccc1C1=CCN(Cc2ccc(F)c3ccccc23)CC1 ZINC001205755981 1049553841 /nfs/dbraw/zinc/55/38/41/1049553841.db2.gz QTAMFNLFCCOGRB-UHFFFAOYSA-N 0 3 321.399 4.002 20 0 DIADHN Clc1cc2c(c(CN3CCC4(CCCC4)CC3)c1)OCC2 ZINC001181988291 1049555016 /nfs/dbraw/zinc/55/50/16/1049555016.db2.gz YDUPSBQFFUBJOP-UHFFFAOYSA-N 0 3 305.849 4.431 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CC[C@@H](c2ccccc2F)C1 ZINC001181985146 1049555252 /nfs/dbraw/zinc/55/52/52/1049555252.db2.gz AWDSAIYMAXFBTO-CQSZACIVSA-N 0 3 316.395 4.020 20 0 DIADHN CCN1CCCC[C@@H]1C(=O)N(C)[C@H](C)c1cccc2ccccc21 ZINC001181993685 1049557811 /nfs/dbraw/zinc/55/78/11/1049557811.db2.gz DVRWUQZCHPRQEB-OXQOHEQNSA-N 0 3 324.468 4.234 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC2(CCOCC2)[C@H]1C1CC1 ZINC001181996362 1049558274 /nfs/dbraw/zinc/55/82/74/1049558274.db2.gz HSOWQTJFVDBYKP-GOSISDBHSA-N 0 3 317.473 4.277 20 0 DIADHN CCC[C@H](C)[C@@H](CO)NCc1cc(Cl)cc(Cl)c1F ZINC001195757049 1049562936 /nfs/dbraw/zinc/56/29/36/1049562936.db2.gz KSBDERGKTHKTHQ-TVQRCGJNSA-N 0 3 308.224 4.019 20 0 DIADHN CCn1nc(CN(C)CCc2ccccc2C)c2ccccc21 ZINC001182021447 1049564800 /nfs/dbraw/zinc/56/48/00/1049564800.db2.gz VLADQZPCINILMI-UHFFFAOYSA-N 0 3 307.441 4.039 20 0 DIADHN CCOc1c(F)c(F)ccc1-c1ncccc1CN1CCCC1 ZINC001205797401 1049565426 /nfs/dbraw/zinc/56/54/26/1049565426.db2.gz OKSBRIVJZXMWIO-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCc1cc(-c2cc(CN(C)CCOC)ccn2)ccc1Cl ZINC001205799805 1049568281 /nfs/dbraw/zinc/56/82/81/1049568281.db2.gz CGUKQAPWJFSKDD-UHFFFAOYSA-N 0 3 318.848 4.043 20 0 DIADHN c1ccc(-c2cccc(CN3CCOCC34CCCCC4)c2)nc1 ZINC001205813202 1049570549 /nfs/dbraw/zinc/57/05/49/1049570549.db2.gz TUVHYQAHGDZXEN-UHFFFAOYSA-N 0 3 322.452 4.284 20 0 DIADHN Cc1cc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)cc(C)n1 ZINC001182086169 1049570777 /nfs/dbraw/zinc/57/07/77/1049570777.db2.gz IHOSLPQIALEEOR-SFHVURJKSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN1CC(C)(C)[C@@H]1C(C)C ZINC001182098762 1049574519 /nfs/dbraw/zinc/57/45/19/1049574519.db2.gz IINXDHFKVPYSQF-SFHVURJKSA-N 0 3 315.436 4.186 20 0 DIADHN CCOc1c(F)c(F)ccc1-c1ccc2c(c1)CN(C)CC2 ZINC001205830441 1049577062 /nfs/dbraw/zinc/57/70/62/1049577062.db2.gz ZOTRPHDVINAZCA-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN Cc1cnccc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001205835556 1049578332 /nfs/dbraw/zinc/57/83/32/1049578332.db2.gz UHYQBXPZDSAVQN-UHFFFAOYSA-N 0 3 306.453 4.260 20 0 DIADHN COc1ccc(CN2CCS[C@@H](c3ccccc3)C2)cc1C ZINC001195863529 1049580828 /nfs/dbraw/zinc/58/08/28/1049580828.db2.gz UNTURCKWWMKESW-LJQANCHMSA-N 0 3 313.466 4.294 20 0 DIADHN COC1(C[C@H](C)NCc2nc(-c3ccccc3)cs2)CCC1 ZINC001182160756 1049580875 /nfs/dbraw/zinc/58/08/75/1049580875.db2.gz LZLYYPBMTSVKAG-AWEZNQCLSA-N 0 3 316.470 4.247 20 0 DIADHN COC(=O)c1oc(CN(C(C)C)[C@H](C)c2ccccc2)cc1C ZINC001182152616 1049581046 /nfs/dbraw/zinc/58/10/46/1049581046.db2.gz QAXAOPMBHYRNIX-OAHLLOKOSA-N 0 3 315.413 4.346 20 0 DIADHN CC(C)c1ccc2c(c1)CN(CCOC1CCSCC1)CC2 ZINC001195917501 1049591526 /nfs/dbraw/zinc/59/15/26/1049591526.db2.gz BYUKMEKBTNKIKA-UHFFFAOYSA-N 0 3 319.514 4.080 20 0 DIADHN COCCN(Cc1ncc(-c2cccs2)o1)[C@H]1CCC[C@H]1C ZINC000488039326 1049593451 /nfs/dbraw/zinc/59/34/51/1049593451.db2.gz CSPMNQDXSRVZJH-KGLIPLIRSA-N 0 3 320.458 4.040 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCC(C)(C)CC(C)(C)C2)c1 ZINC001195995842 1049606231 /nfs/dbraw/zinc/60/62/31/1049606231.db2.gz UXTBXIPVMGRBCW-UHFFFAOYSA-N 0 3 321.436 4.261 20 0 DIADHN CC1(C)CCC[C@H]1CNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001205952685 1049609256 /nfs/dbraw/zinc/60/92/56/1049609256.db2.gz UXPFERXBEPUXHF-INIZCTEOSA-N 0 3 324.472 4.061 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001205973816 1049614677 /nfs/dbraw/zinc/61/46/77/1049614677.db2.gz HEZXVHWJEDJKOO-IUIKQTSFSA-N 0 3 311.828 4.477 20 0 DIADHN CCC1(CC)CCCN([C@@H](C)C(=O)Nc2ccc(C)c(F)c2)C1 ZINC001196104545 1049615633 /nfs/dbraw/zinc/61/56/33/1049615633.db2.gz NRTKXYXSZFISEX-HNNXBMFYSA-N 0 3 320.452 4.363 20 0 DIADHN Cc1cc(F)ccc1NC(=S)Nc1cccc(CN(C)C)c1 ZINC001196116466 1049622645 /nfs/dbraw/zinc/62/26/45/1049622645.db2.gz UBFDSVVPFTTYBQ-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC([C@H]2CCCCO2)CC1 ZINC001196185655 1049624102 /nfs/dbraw/zinc/62/41/02/1049624102.db2.gz CXBSTLKHFJCPPS-GOSISDBHSA-N 0 3 307.865 4.430 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc(OC(C)C)cc2)c(C)o1 ZINC000774205262 1049625583 /nfs/dbraw/zinc/62/55/83/1049625583.db2.gz UGEAHIIGLRQAMG-IFXJQAMLSA-N 0 3 317.429 4.068 20 0 DIADHN C[C@H](CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001206010195 1049627723 /nfs/dbraw/zinc/62/77/23/1049627723.db2.gz FIQRLGJRUWPFEK-ZIFCJYIRSA-N 0 3 310.441 4.037 20 0 DIADHN Clc1cc2c(c(CN3CCSC4(CCC4)CC3)c1)OCC2 ZINC001182343000 1049630710 /nfs/dbraw/zinc/63/07/10/1049630710.db2.gz AUOQLTDIXDVRCE-UHFFFAOYSA-N 0 3 323.889 4.137 20 0 DIADHN CNCc1cc(/C=C/c2ccc(-c3ccccc3)cc2)ncn1 ZINC001206017908 1049630824 /nfs/dbraw/zinc/63/08/24/1049630824.db2.gz WJMCLASNMTYMIG-FMIVXFBMSA-N 0 3 301.393 4.033 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](OCCC2CCCCC2)C1 ZINC001206041762 1049637556 /nfs/dbraw/zinc/63/75/56/1049637556.db2.gz QZGMAEPQIHJLIN-FUHWJXTLSA-N 0 3 302.462 4.204 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)[C@@H](O)c1c(F)cccc1Cl ZINC000774255342 1049637781 /nfs/dbraw/zinc/63/77/81/1049637781.db2.gz CQBHBAUCRKKIHW-NCAQKEMTSA-N 0 3 323.795 4.161 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2COC3(CCCCCC3)O2)c(C)o1 ZINC000774304033 1049644883 /nfs/dbraw/zinc/64/48/83/1049644883.db2.gz UEOAHXIFBXTSEM-HOCLYGCPSA-N 0 3 307.434 4.013 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1nc2ccccc2o1)[C@H](O)c1ccccc1 ZINC000794609747 1049647799 /nfs/dbraw/zinc/64/77/99/1049647799.db2.gz FTOURXRCLAIAPJ-GDIGMMSISA-N 0 3 324.424 4.237 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H]1CC(C)(C)C ZINC000488405048 1049648107 /nfs/dbraw/zinc/64/81/07/1049648107.db2.gz SAQXQTOZQVUUPU-QGZVFWFLSA-N 0 3 316.489 4.397 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1nc2ccccc2o1)[C@@H](O)c1ccccc1 ZINC000794609755 1049648299 /nfs/dbraw/zinc/64/82/99/1049648299.db2.gz FTOURXRCLAIAPJ-JVPBZIDWSA-N 0 3 324.424 4.237 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H]3CS[C@H](C(C)C)C3)o2)cs1 ZINC000488428029 1049653682 /nfs/dbraw/zinc/65/36/82/1049653682.db2.gz CMFOQNNFHYPJDR-LRDDRELGSA-N 0 3 322.499 4.331 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2ccnc(Cl)c2)cc1 ZINC000774383353 1049655300 /nfs/dbraw/zinc/65/53/00/1049655300.db2.gz YAGKDJQQYSQHGF-CYBMUJFWSA-N 0 3 303.837 4.408 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC(F)(F)[C@@H](C)C1 ZINC001206110044 1049655909 /nfs/dbraw/zinc/65/59/09/1049655909.db2.gz ZDKOCEAKUOZBRW-ZDUSSCGKSA-N 0 3 305.368 4.326 20 0 DIADHN Cc1ccc(CCCN2CCC[C@]2(C)C(=O)OC(C)(C)C)cc1 ZINC001196420116 1049656228 /nfs/dbraw/zinc/65/62/28/1049656228.db2.gz QBIMRDKIEWNSHP-HXUWFJFHSA-N 0 3 317.473 4.124 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCCNc3ccccc31)CCC2 ZINC000774356797 1049656855 /nfs/dbraw/zinc/65/68/55/1049656855.db2.gz XFDSKEPWGCQXGW-RDJZCZTQSA-N 0 3 313.470 4.366 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCc2ccc(C)cc2)[n-]1 ZINC000488475625 1049658070 /nfs/dbraw/zinc/65/80/70/1049658070.db2.gz JKHFRPBHGPXNIN-HRCADAONSA-N 0 3 314.477 4.299 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCc2ccc(C)cc2)[n-]1 ZINC000488475624 1049658142 /nfs/dbraw/zinc/65/81/42/1049658142.db2.gz JKHFRPBHGPXNIN-ARFHVFGLSA-N 0 3 314.477 4.299 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CC[C@@H]1CCC[C@H](C)C1 ZINC001196450948 1049660065 /nfs/dbraw/zinc/66/00/65/1049660065.db2.gz TXZMEJNPKUMVGV-YOEHRIQHSA-N 0 3 304.478 4.355 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCc2ccccc2F)[n-]1 ZINC000488480397 1049661395 /nfs/dbraw/zinc/66/13/95/1049661395.db2.gz OIKWCJIOEVOILF-IHRRRGAJSA-N 0 3 318.440 4.129 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CC[C@@H](N(C)c2ccccc2)C1 ZINC001196505946 1049663965 /nfs/dbraw/zinc/66/39/65/1049663965.db2.gz JUNLTIBTZTWGOY-RHSMWYFYSA-N 0 3 316.395 4.237 20 0 DIADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+]C2CCC(C(F)(F)F)CC2)[n-]1 ZINC000488505091 1049665165 /nfs/dbraw/zinc/66/51/65/1049665165.db2.gz QXQRYPJGWBKLSD-QKEWWQLBSA-N 0 3 318.387 4.090 20 0 DIADHN Cc1cc(C)nc(CN2CCC3(C=Cc4ccccc4O3)CC2)c1 ZINC001196498073 1049666165 /nfs/dbraw/zinc/66/61/65/1049666165.db2.gz LMTCIVFFKANYEN-UHFFFAOYSA-N 0 3 320.436 4.139 20 0 DIADHN Cc1ccc(/C=C/c2nc(CN)ccc2NC(=O)C(C)(C)C)cc1 ZINC001206148569 1049667060 /nfs/dbraw/zinc/66/70/60/1049667060.db2.gz PPQNYXKMGBGIRP-PKNBQFBNSA-N 0 3 323.440 4.004 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1cn(C)nc1-c1ccccc1 ZINC000488543577 1049672892 /nfs/dbraw/zinc/67/28/92/1049672892.db2.gz LVDMAKBYERWNHI-UHFFFAOYSA-N 0 3 323.415 4.167 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1ccc(C)cc1OC ZINC001182470766 1049673666 /nfs/dbraw/zinc/67/36/66/1049673666.db2.gz RFLODCXHZZHIBR-UHFFFAOYSA-N 0 3 313.441 4.213 20 0 DIADHN CCc1cc(CCCNC2(c3ccccc3Cl)CC2)on1 ZINC000645978588 1049675369 /nfs/dbraw/zinc/67/53/69/1049675369.db2.gz XVZQRKHILRVJSD-UHFFFAOYSA-N 0 3 304.821 4.102 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccnc(Cl)c1)c1ccccc1 ZINC000774494154 1049677736 /nfs/dbraw/zinc/67/77/36/1049677736.db2.gz CLOVITPPVGWEJE-CJNGLKHVSA-N 0 3 304.821 4.163 20 0 DIADHN OCC[C@@H](N[C@H]1C=CCCC1)c1cc(Cl)cc(Cl)c1 ZINC001196659487 1049680171 /nfs/dbraw/zinc/68/01/71/1049680171.db2.gz XVBJJXROIZONFT-LSDHHAIUSA-N 0 3 300.229 4.115 20 0 DIADHN CCN(CC(C)C)[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000488591206 1049681459 /nfs/dbraw/zinc/68/14/59/1049681459.db2.gz FLVQNIAMEWMJPV-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)c1F ZINC001206223938 1049685071 /nfs/dbraw/zinc/68/50/71/1049685071.db2.gz GRGTWAHFIBMJHX-BXUZGUMPSA-N 0 3 323.770 4.273 20 0 DIADHN CCCN(CC)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000488724997 1049689244 /nfs/dbraw/zinc/68/92/44/1049689244.db2.gz MCQQKUQMXZYVBZ-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1C[C@@H](O)C[C@H]1c1ccccc1 ZINC001206247600 1049689500 /nfs/dbraw/zinc/68/95/00/1049689500.db2.gz LBQIPHHQTOWEOC-HKUYNNGSSA-N 0 3 315.844 4.265 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@H](OC2CCC2)C1 ZINC001182634405 1049691241 /nfs/dbraw/zinc/69/12/41/1049691241.db2.gz LZZCLHJIKMPECK-IBGZPJMESA-N 0 3 317.473 4.255 20 0 DIADHN CCCN(CC)[C@H](C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000488762469 1049694195 /nfs/dbraw/zinc/69/41/95/1049694195.db2.gz ODLGHQZRBGGWLM-MRXNPFEDSA-N 0 3 310.441 4.413 20 0 DIADHN CCCN(CC)[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000488762373 1049694287 /nfs/dbraw/zinc/69/42/87/1049694287.db2.gz QVCHCOJXODHVNH-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN CCc1cc(OC)ccc1CN1C[C@@H](C)OC2(CCCCC2)C1 ZINC001182644020 1049696829 /nfs/dbraw/zinc/69/68/29/1049696829.db2.gz FJLQJKUVKSHIGL-MRXNPFEDSA-N 0 3 317.473 4.181 20 0 DIADHN COc1ccc(CN(C)C[C@H]2CCC3(CCCCCC3)O2)cc1 ZINC001196869365 1049699945 /nfs/dbraw/zinc/69/99/45/1049699945.db2.gz JUABNYOEBLCBMN-LJQANCHMSA-N 0 3 317.473 4.399 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN(C)Cc2ccc(C)c(C)c2)o1 ZINC001206287011 1049700409 /nfs/dbraw/zinc/70/04/09/1049700409.db2.gz OZGHFSNVZNPBRJ-UHFFFAOYSA-N 0 3 323.440 4.237 20 0 DIADHN CN(C[C@H]1CCCO1)[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000488847551 1049703338 /nfs/dbraw/zinc/70/33/38/1049703338.db2.gz ZRSLWERDIJPGBL-IAQYHMDHSA-N 0 3 300.229 4.092 20 0 DIADHN FC1(F)CC12CCN(Cc1c(Cl)ccnc1Cl)CC2 ZINC001182753370 1049703952 /nfs/dbraw/zinc/70/39/52/1049703952.db2.gz HMNFKRTXTUDZEQ-UHFFFAOYSA-N 0 3 307.171 4.010 20 0 DIADHN COc1ccc(CN2CCc3ccc(Cl)cc3[C@H](C)C2)o1 ZINC001182713182 1049704696 /nfs/dbraw/zinc/70/46/96/1049704696.db2.gz FETGHHSPICKLGZ-GFCCVEGCSA-N 0 3 305.805 4.103 20 0 DIADHN Cc1nn(-c2ccccc2Cl)cc1CN1CCC12CCCC2 ZINC001182753758 1049707318 /nfs/dbraw/zinc/70/73/18/1049707318.db2.gz JWGGAKBEVLCKAT-UHFFFAOYSA-N 0 3 315.848 4.353 20 0 DIADHN CC[C@H]1COC(C)(C)CN1Cc1ccc(CC(F)(F)F)cc1 ZINC001182753462 1049707348 /nfs/dbraw/zinc/70/73/48/1049707348.db2.gz IIQVUOXGJDFHQN-HNNXBMFYSA-N 0 3 315.379 4.181 20 0 DIADHN COc1cccc(-c2csc(CN3CC(C)(C)C[C@H]3C)n2)c1 ZINC001206308516 1049707736 /nfs/dbraw/zinc/70/77/36/1049707736.db2.gz SXBCDNSLPJTGFS-CYBMUJFWSA-N 0 3 316.470 4.439 20 0 DIADHN CC1(C)[C@@H](c2ccc(C(F)(F)F)cc2)CCN1Cc1ccon1 ZINC001196992344 1049712702 /nfs/dbraw/zinc/71/27/02/1049712702.db2.gz QBFXWPRHJCKJKI-OAHLLOKOSA-N 0 3 324.346 4.462 20 0 DIADHN CN(C)c1ccccc1CN1CCC[C@@](F)(c2ccccc2)C1 ZINC001197078135 1049721212 /nfs/dbraw/zinc/72/12/12/1049721212.db2.gz RQKMQEQSRBODSD-FQEVSTJZSA-N 0 3 312.432 4.213 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C3CCC3)CC2)cc1C ZINC001197201834 1049726362 /nfs/dbraw/zinc/72/63/62/1049726362.db2.gz JBTKOXXYNFUIKD-SFHVURJKSA-N 0 3 314.473 4.144 20 0 DIADHN Cc1ccc(CCO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001206415494 1049730557 /nfs/dbraw/zinc/73/05/57/1049730557.db2.gz BOSWUUWQAORTSZ-UYAOXDASSA-N 0 3 324.468 4.175 20 0 DIADHN CC[C@H]1CCCC[C@H]1CN1CCN(c2c(F)cccc2F)CC1 ZINC001206418236 1049731042 /nfs/dbraw/zinc/73/10/42/1049731042.db2.gz ATNHQGJDIXCDPQ-HOTGVXAUSA-N 0 3 322.443 4.303 20 0 DIADHN Cc1ccc(F)cc1CN1Cc2ccccc2N2CCC[C@H]2C1 ZINC001197264863 1049733834 /nfs/dbraw/zinc/73/38/34/1049733834.db2.gz OVHDTVGFAYDPPP-IBGZPJMESA-N 0 3 310.416 4.119 20 0 DIADHN CC(C)Oc1ccccc1CN1CCSC[C@H]1C(C)(C)C ZINC001197295081 1049740756 /nfs/dbraw/zinc/74/07/56/1049740756.db2.gz CXTZVAKXZWHJEF-KRWDZBQOSA-N 0 3 307.503 4.437 20 0 DIADHN CC(C)(C)[C@H]1CSCCN1Cc1cnc2ccccc2c1 ZINC001197295058 1049740939 /nfs/dbraw/zinc/74/09/39/1049740939.db2.gz CTTPTPFEFAIEJG-QGZVFWFLSA-N 0 3 300.471 4.198 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N(CC)CC1CC1 ZINC000489321807 1049744770 /nfs/dbraw/zinc/74/47/70/1049744770.db2.gz CKYCNWJGUGJLJM-LSDHHAIUSA-N 0 3 302.462 4.259 20 0 DIADHN CC[C@H](C)CN(CC)[C@H](C)c1nc(-c2ccccc2C)no1 ZINC000489329894 1049746458 /nfs/dbraw/zinc/74/64/58/1049746458.db2.gz QDTCFTLPTHUWBZ-DZGCQCFKSA-N 0 3 301.434 4.474 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc3n[nH]cc3c(F)c2)c1F ZINC001206608688 1049768550 /nfs/dbraw/zinc/76/85/50/1049768550.db2.gz IHVVYXWTLSFNFK-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN c1cc2cncc(-c3ccc4cnn([C@@H]5CCCCO5)c4c3)c2[nH]1 ZINC001206658119 1049775513 /nfs/dbraw/zinc/77/55/13/1049775513.db2.gz ZZXVEZLMBUHTOV-SFHVURJKSA-N 0 3 318.380 4.279 20 0 DIADHN COc1cccc(C2(NCc3cc(C)c(OC)c(C)c3)CC2)c1 ZINC000150890452 1049779964 /nfs/dbraw/zinc/77/99/64/1049779964.db2.gz KTBWJKQCDAPBCH-UHFFFAOYSA-N 0 3 311.425 4.100 20 0 DIADHN CN(Cc1nc(-c2ccc(Cl)cc2)no1)C1(C)CCCC1 ZINC000489888209 1049786963 /nfs/dbraw/zinc/78/69/63/1049786963.db2.gz RABQROAGNCRARF-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2[nH]1)C1(C)CCCC1 ZINC000489902115 1049788063 /nfs/dbraw/zinc/78/80/63/1049788063.db2.gz YWQMHVCAUULGPL-UHFFFAOYSA-N 0 3 322.250 4.090 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccncc2F)CC1 ZINC001206784140 1049799459 /nfs/dbraw/zinc/79/94/59/1049799459.db2.gz CWFIPBSVKXGIPC-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccc(C)cc3OC)CC2)cc1 ZINC001206782577 1049800285 /nfs/dbraw/zinc/80/02/85/1049800285.db2.gz AIJRTUVBOZGTOB-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN C[C@@H]1CCC[C@@H](CN[C@@H](c2cnn(C)c2)c2cccc(F)c2)C1 ZINC000490147824 1049802633 /nfs/dbraw/zinc/80/26/33/1049802633.db2.gz ANUYNNOZISZYMM-SPYBWZPUSA-N 0 3 315.436 4.065 20 0 DIADHN Cc1cc(CN2CCC3(C=Cc4ccccc4O3)CC2)cc(C)n1 ZINC001206819874 1049805879 /nfs/dbraw/zinc/80/58/79/1049805879.db2.gz WXAKCWWQANSLLD-UHFFFAOYSA-N 0 3 320.436 4.139 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@@H]4CCC[C@H]43)no2)cc1 ZINC001206829994 1049808250 /nfs/dbraw/zinc/80/82/50/1049808250.db2.gz SNIYXYJMPXICMN-KBXCAEBGSA-N 0 3 312.413 4.115 20 0 DIADHN c1c(CN2CC[C@@H](c3ccccc3)C2)noc1-c1ccccc1 ZINC001206843010 1049811081 /nfs/dbraw/zinc/81/10/81/1049811081.db2.gz SFSJFWWIWNLQSJ-GOSISDBHSA-N 0 3 304.393 4.331 20 0 DIADHN COc1ccc(-c2cc(CN3C4CCCC3CCC4)no2)cc1 ZINC001206831766 1049811131 /nfs/dbraw/zinc/81/11/31/1049811131.db2.gz SAOQHBGEUVHKBO-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN Fc1ccc(-c2cc(CN3CCc4ccccc4CC3)no2)cc1 ZINC001206834765 1049811478 /nfs/dbraw/zinc/81/14/78/1049811478.db2.gz RUZHNAWQVFCUMB-UHFFFAOYSA-N 0 3 322.383 4.082 20 0 DIADHN Cc1ccnc(CN(C)CCc2ccc(C(F)(F)F)cc2)c1 ZINC001206853677 1049815795 /nfs/dbraw/zinc/81/57/95/1049815795.db2.gz WOPUSUADJOHUMB-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1ncsc1CN(Cc1ccccc1)C1CCSCC1 ZINC001206991773 1049819317 /nfs/dbraw/zinc/81/93/17/1049819317.db2.gz ODDUJKHPLBDZOQ-UHFFFAOYSA-N 0 3 318.511 4.349 20 0 DIADHN c1nc(CN2CCC[C@H](c3ccccc3)CC2)n2ccccc12 ZINC001207054168 1049827276 /nfs/dbraw/zinc/82/72/76/1049827276.db2.gz BCHARGFHPQEAME-SFHVURJKSA-N 0 3 305.425 4.104 20 0 DIADHN CC(C)(CN1CC2(CSC2)C1)Sc1ccc(Cl)cc1 ZINC001207300169 1049877101 /nfs/dbraw/zinc/87/71/01/1049877101.db2.gz SYDHUILZOIFGIU-UHFFFAOYSA-N 0 3 313.919 4.260 20 0 DIADHN O=c1cccc(CN2CCC(c3csc4ccccc43)CC2)[nH]1 ZINC001207074422 1049829803 /nfs/dbraw/zinc/82/98/03/1049829803.db2.gz KUIRNAUWAZATFZ-UHFFFAOYSA-N 0 3 324.449 4.382 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@](C)(CC)c1ccc(F)cc1 ZINC001207093945 1049835311 /nfs/dbraw/zinc/83/53/11/1049835311.db2.gz NZQVGZJZLUOYRC-LJQANCHMSA-N 0 3 317.452 4.099 20 0 DIADHN COc1c(O)cccc1CN1CC[C@@H](c2ccccc2)[C@H](C)C1 ZINC000490545110 1049838105 /nfs/dbraw/zinc/83/81/05/1049838105.db2.gz MGHIIFSFKOUDPW-CRAIPNDOSA-N 0 3 311.425 4.026 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)C[C@H]1Cc2ccccc2O1 ZINC001207133452 1049843368 /nfs/dbraw/zinc/84/33/68/1049843368.db2.gz VZONIIBSZRAAIJ-MRXNPFEDSA-N 0 3 303.352 4.060 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](CC)c1cc(C)ccc1C ZINC001207142387 1049844884 /nfs/dbraw/zinc/84/48/84/1049844884.db2.gz QFBWCZOBVJFWKM-SFHVURJKSA-N 0 3 313.489 4.403 20 0 DIADHN CC(C)n1nccc1CN1CCC(n2ccc3ccccc32)CC1 ZINC001207174997 1049851986 /nfs/dbraw/zinc/85/19/86/1049851986.db2.gz RKGBLWWXTBSUKB-UHFFFAOYSA-N 0 3 322.456 4.256 20 0 DIADHN Cc1nc2c(s1)CN(C[C@H]1C[C@@H]1c1ccc(Cl)cc1)CC2 ZINC001207192144 1049857127 /nfs/dbraw/zinc/85/71/27/1049857127.db2.gz PASXRLXLALWNTR-UKRRQHHQSA-N 0 3 318.873 4.267 20 0 DIADHN c1ccc2ncc(CNCc3ccc4c(c3)CCCC4)cc2c1 ZINC000490752356 1049862431 /nfs/dbraw/zinc/86/24/31/1049862431.db2.gz BDVLOSMYZTUOAR-UHFFFAOYSA-N 0 3 302.421 4.403 20 0 DIADHN COc1ccc(CN2CC([C@@H]3CCC[C@H](C)C3)C2)c(C)c1OC ZINC001207234432 1049867471 /nfs/dbraw/zinc/86/74/71/1049867471.db2.gz KUJNTTAQIODEIW-GOEBONIOSA-N 0 3 317.473 4.270 20 0 DIADHN CCc1sc(-c2nnc(CN(C(C)C)C(C)C)o2)cc1C ZINC000679320717 1049872099 /nfs/dbraw/zinc/87/20/99/1049872099.db2.gz JLKUIMADCSECBM-UHFFFAOYSA-N 0 3 307.463 4.288 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CC2CCC(F)(F)CC2)CC1 ZINC001207348660 1049882635 /nfs/dbraw/zinc/88/26/35/1049882635.db2.gz XDZITMFTKZNMMG-INIZCTEOSA-N 0 3 322.443 4.191 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000491056018 1049883184 /nfs/dbraw/zinc/88/31/84/1049883184.db2.gz AZTSPWMHUZODLA-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@H](C2CC2)C1 ZINC000491134604 1049885934 /nfs/dbraw/zinc/88/59/34/1049885934.db2.gz VUEPWDIGDCHTHU-FZMZJTMJSA-N 0 3 317.820 4.183 20 0 DIADHN CCOc1cc(C[NH2+]CCC[C@@H]2C=CCC2)cc(Cl)c1[O-] ZINC001207415399 1049890955 /nfs/dbraw/zinc/89/09/55/1049890955.db2.gz NENPCKJUZJASNE-CYBMUJFWSA-N 0 3 309.837 4.280 20 0 DIADHN COc1cccc(CCN2CCC[C@H]2c2ccc(F)cc2F)c1 ZINC001207433401 1049892141 /nfs/dbraw/zinc/89/21/41/1049892141.db2.gz RPILCMINOADEGE-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN Cc1cnccc1CN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000570897614 1049892500 /nfs/dbraw/zinc/89/25/00/1049892500.db2.gz FWMDLPXCVFSBMU-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN COc1cccc(CCN2CCC[C@@H]2c2c(F)cccc2F)c1 ZINC001207432866 1049892525 /nfs/dbraw/zinc/89/25/25/1049892525.db2.gz KBXIYUBJMXFTMA-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN COc1cccc(CCN2CCC[C@H]2c2cc(F)ccc2F)c1 ZINC001207431329 1049892614 /nfs/dbraw/zinc/89/26/14/1049892614.db2.gz OHRJNOZLWGNSGT-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN C[C@]1(C(F)(F)F)CCN(Cc2ccc(OCC3CC3)cc2)C1 ZINC001207439497 1049894092 /nfs/dbraw/zinc/89/40/92/1049894092.db2.gz FKMKMKMXMKWFSR-INIZCTEOSA-N 0 3 313.363 4.250 20 0 DIADHN Cc1cccc(OC2CCN(CCc3ccccc3F)CC2)c1 ZINC001207505284 1049900642 /nfs/dbraw/zinc/90/06/42/1049900642.db2.gz XFBNPHQVKHPSPJ-UHFFFAOYSA-N 0 3 313.416 4.220 20 0 DIADHN COc1ccc(C2=CCN(CCc3ccccc3F)CC2)cc1 ZINC001207502816 1049901043 /nfs/dbraw/zinc/90/10/43/1049901043.db2.gz IRGBCGVVLBBWQY-UHFFFAOYSA-N 0 3 311.400 4.166 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc2cccc(OC)c2o1 ZINC000760651807 1049905109 /nfs/dbraw/zinc/90/51/09/1049905109.db2.gz FAJAYNXVQRULEP-LLVKDONJSA-N 0 3 301.308 4.262 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000163867940 1049910656 /nfs/dbraw/zinc/91/06/56/1049910656.db2.gz WLHAWPJWZOWLNS-DEYYWGMASA-N 0 3 318.486 4.258 20 0 DIADHN COc1cc(CN[C@H](C)c2cc(C)oc2C)c2ccccc2n1 ZINC000571014997 1049918122 /nfs/dbraw/zinc/91/81/22/1049918122.db2.gz DTLNZTRHLVUZNG-CYBMUJFWSA-N 0 3 310.397 4.304 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(Oc3cccc(F)c3)cc2)C1 ZINC001207632313 1049918724 /nfs/dbraw/zinc/91/87/24/1049918724.db2.gz YHLYCALPDCQJCZ-HNNXBMFYSA-N 0 3 315.388 4.086 20 0 DIADHN Cc1cc([C@@H]2CCCN2CC[C@H](C)CCCC(C)(C)O)no1 ZINC001207635704 1049919267 /nfs/dbraw/zinc/91/92/67/1049919267.db2.gz LIMDUPABESSPHL-PBHICJAKSA-N 0 3 308.466 4.087 20 0 DIADHN COc1cccc2c1CN(CC[C@H](C)CCCC(C)(C)O)CC2 ZINC001207638113 1049920174 /nfs/dbraw/zinc/92/01/74/1049920174.db2.gz ZATKGUIINTXKQO-MRXNPFEDSA-N 0 3 319.489 4.021 20 0 DIADHN Cc1cc(F)c(CN[C@H](C)[C@H]2COc3ccccc3C2)c(F)c1 ZINC001207655647 1049922580 /nfs/dbraw/zinc/92/25/80/1049922580.db2.gz YTLWEMXCZAUSDD-UKRRQHHQSA-N 0 3 317.379 4.003 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)NCc1cc(C2CC2)n(C)n1 ZINC001207662632 1049923034 /nfs/dbraw/zinc/92/30/34/1049923034.db2.gz RHKZFRJMIQWCTB-HNNXBMFYSA-N 0 3 311.473 4.144 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN1CCc2nc(Cl)ccc2C1 ZINC001207670972 1049924936 /nfs/dbraw/zinc/92/49/36/1049924936.db2.gz PFJBDKLFWCCCDP-CQSZACIVSA-N 0 3 324.896 4.061 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@H](C)CC2)c1 ZINC000087798499 1049925039 /nfs/dbraw/zinc/92/50/39/1049925039.db2.gz VWKUUFJHSGDXKL-LBPRGKRZSA-N 0 3 312.251 4.080 20 0 DIADHN COc1cccc(F)c1CNCCc1ccc(C(C)(C)C)cc1 ZINC001207685432 1049927230 /nfs/dbraw/zinc/92/72/30/1049927230.db2.gz SBZRNQYMTMXIBU-UHFFFAOYSA-N 0 3 315.432 4.464 20 0 DIADHN COc1cccc(F)c1CNC[C@H](C)c1cc(F)cc(F)c1 ZINC001207685281 1049927326 /nfs/dbraw/zinc/92/73/26/1049927326.db2.gz OFTLKSJUHLWLIE-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN c1cc2n(c1)CCN(CC1CCSCC1)[C@H]2c1ccccc1 ZINC001207693247 1049928464 /nfs/dbraw/zinc/92/84/64/1049928464.db2.gz PWIQZPRBSMYJSS-IBGZPJMESA-N 0 3 312.482 4.036 20 0 DIADHN CCCCOC1CCN(C/C=C\c2ccc(F)c(F)c2)CC1 ZINC000571365372 1049932573 /nfs/dbraw/zinc/93/25/73/1049932573.db2.gz RPLCNIXMBJOKKI-PLNGDYQASA-N 0 3 309.400 4.259 20 0 DIADHN CC1(C)CC(N[C@@H](C(N)=O)c2ccc(Cl)cc2)CC(C)(C)C1 ZINC001207793641 1049939000 /nfs/dbraw/zinc/93/90/00/1049939000.db2.gz PSYVCDJSHBJLMI-OAHLLOKOSA-N 0 3 322.880 4.061 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)c(C)c1 ZINC000571605535 1049939343 /nfs/dbraw/zinc/93/93/43/1049939343.db2.gz PEELWGAUZBUFHA-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@H]1OCc2ccccc21 ZINC001207806019 1049939900 /nfs/dbraw/zinc/93/99/00/1049939900.db2.gz PESYFBGLTAGBHP-QGZVFWFLSA-N 0 3 313.784 4.447 20 0 DIADHN CCC[C@H](NCc1ncccc1Br)[C@H]1CC1(C)C ZINC001207799255 1049940252 /nfs/dbraw/zinc/94/02/52/1049940252.db2.gz YNAFNBAOWHKETF-YPMHNXCESA-N 0 3 311.267 4.149 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3cnn(C)c3c2)cc(C)c1F ZINC000571702983 1049943066 /nfs/dbraw/zinc/94/30/66/1049943066.db2.gz ZBLBEAXOPNHOTH-AWEZNQCLSA-N 0 3 311.404 4.180 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3cccnc32)cc1F ZINC000055527805 1049944650 /nfs/dbraw/zinc/94/46/50/1049944650.db2.gz ZTBOJEPOKKKDJF-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2ccccc2n1C(F)F)[C@H]1CC1(F)F ZINC001207872578 1049948504 /nfs/dbraw/zinc/94/85/04/1049948504.db2.gz FPEBMADGMSCXLP-KXUCPTDWSA-N 0 3 315.314 4.126 20 0 DIADHN Cc1cc(CN[C@]2(C)CCCc3ccccc32)cnc1Cl ZINC000760675787 1049951617 /nfs/dbraw/zinc/95/16/17/1049951617.db2.gz HKZDCULHQMCELE-GOSISDBHSA-N 0 3 300.833 4.385 20 0 DIADHN C[C@@H](CN1CCO[C@@](C)(c2ccc(F)cc2)C1)c1ccccc1 ZINC001207912634 1049954885 /nfs/dbraw/zinc/95/48/85/1049954885.db2.gz WZEDVNXWGNTAAH-OXJNMPFZSA-N 0 3 313.416 4.177 20 0 DIADHN C[C@@H](Cc1ccc(C(C)(C)C)cc1)CN1CCc2ncncc2C1 ZINC001207917866 1049955562 /nfs/dbraw/zinc/95/55/62/1049955562.db2.gz XQVMWEWPLVRSRB-INIZCTEOSA-N 0 3 323.484 4.011 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCc3c(cnn3C)C2)CC1 ZINC001207938607 1049960873 /nfs/dbraw/zinc/96/08/73/1049960873.db2.gz UCVDMEPSSYFCCC-GOSISDBHSA-N 0 3 313.489 4.251 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)CN2CCc3ncccc3C2)cc1 ZINC001207937560 1049960902 /nfs/dbraw/zinc/96/09/02/1049960902.db2.gz RSAJPTUIAXDEOK-QGZVFWFLSA-N 0 3 308.469 4.442 20 0 DIADHN C[C@@]12c3ccccc3[C@@H](Cc3ccccc31)N2C[C@@H]1CCCCO1 ZINC001207958813 1049963159 /nfs/dbraw/zinc/96/31/59/1049963159.db2.gz GYRXGWOQJAYWIN-WTOYTKOKSA-N 0 3 319.448 4.432 20 0 DIADHN C[C@@H](NCc1ccn(C2CCCC2)n1)[C@@H]1C[C@H]1c1ccccc1 ZINC000571897971 1049970747 /nfs/dbraw/zinc/97/07/47/1049970747.db2.gz CHSOIQFRMJLDPF-XPGWFJOJSA-N 0 3 309.457 4.280 20 0 DIADHN Clc1cccc([C@H]2CCN(CCCn3cccn3)C2)c1Cl ZINC001208078061 1049970920 /nfs/dbraw/zinc/97/09/20/1049970920.db2.gz BJLFWJGMRPJMSY-ZDUSSCGKSA-N 0 3 324.255 4.070 20 0 DIADHN CCN(CCCn1cccn1)Cc1ccc(Cl)cc1Cl ZINC001208083861 1049972517 /nfs/dbraw/zinc/97/25/17/1049972517.db2.gz KUFIEQQZJNYNHK-UHFFFAOYSA-N 0 3 312.244 4.102 20 0 DIADHN CN(CCCC1CCCC1)Cc1cncc(Br)c1 ZINC001208088838 1049973024 /nfs/dbraw/zinc/97/30/24/1049973024.db2.gz SCYYSPNCRSJOKP-UHFFFAOYSA-N 0 3 311.267 4.246 20 0 DIADHN c1ccc2c(c1)C=C(CN[C@H]1CSCc3ccccc31)CO2 ZINC000588178719 1049973715 /nfs/dbraw/zinc/97/37/15/1049973715.db2.gz OOXHSNFEEZZDOX-SFHVURJKSA-N 0 3 309.434 4.040 20 0 DIADHN COc1ccccc1[C@H](C)N(C)CCc1c(F)cccc1F ZINC001208117287 1049973725 /nfs/dbraw/zinc/97/37/25/1049973725.db2.gz UUQMFUOSZMCYLG-ZDUSSCGKSA-N 0 3 305.368 4.209 20 0 DIADHN COc1ccc(CNC2(c3ccc(F)cc3)CCC2)cc1OC ZINC000588179242 1049974237 /nfs/dbraw/zinc/97/42/37/1049974237.db2.gz PHDUKYYTQVWMFU-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN COc1cc(CNC2(c3ccc(F)cc3)CCC2)cc(OC)c1 ZINC000588179291 1049974264 /nfs/dbraw/zinc/97/42/64/1049974264.db2.gz SRRVWYZUKKRDGN-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN Fc1ccccc1[C@H]1CCN(CCc2c(F)cccc2F)C1 ZINC001208117695 1049974457 /nfs/dbraw/zinc/97/44/57/1049974457.db2.gz GAVLIEPYCXNJHT-ZDUSSCGKSA-N 0 3 305.343 4.136 20 0 DIADHN Fc1ccc(C2(NCc3ccc(-n4ccnc4)cc3)CCC2)cc1 ZINC000588179183 1049974586 /nfs/dbraw/zinc/97/45/86/1049974586.db2.gz JNRVKGVNFNWIMR-UHFFFAOYSA-N 0 3 321.399 4.180 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000588235366 1049976833 /nfs/dbraw/zinc/97/68/33/1049976833.db2.gz DUYVPXJJHFNYQD-LBPRGKRZSA-N 0 3 318.442 4.015 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCC(C(=O)OC(C)(C)C)CC2)o1 ZINC001208156146 1049978837 /nfs/dbraw/zinc/97/88/37/1049978837.db2.gz PDGVIVDFAIIFBA-CQSZACIVSA-N 0 3 321.461 4.135 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCN(C)[C@H](c3ccccc3)C2)o1 ZINC001208157141 1049978953 /nfs/dbraw/zinc/97/89/53/1049978953.db2.gz TZIOTVYIVPXDIW-APWZRJJASA-N 0 3 312.457 4.070 20 0 DIADHN CC1=C[C@H](C)[C@@H](CN(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001208173156 1049980806 /nfs/dbraw/zinc/98/08/06/1049980806.db2.gz GMLQLGLKDMSBLR-GHTZIAJQSA-N 0 3 321.468 4.471 20 0 DIADHN Fc1cccc(CCN(Cc2ccccc2)Cc2ccccn2)c1 ZINC001208196754 1049984673 /nfs/dbraw/zinc/98/46/73/1049984673.db2.gz ZQNBZZUXUWKRJC-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCC[C@@H]1c1ncccn1 ZINC001208214139 1049986294 /nfs/dbraw/zinc/98/62/94/1049986294.db2.gz ROHHCXJYOFTMLU-DLBZAZTESA-N 0 3 319.493 4.235 20 0 DIADHN CC(C)CCN(Cc1cn(-c2ccccc2)nn1)C1CCCC1 ZINC000588674401 1049992746 /nfs/dbraw/zinc/99/27/46/1049992746.db2.gz ZHOBOUAQWGSOCJ-UHFFFAOYSA-N 0 3 312.461 4.058 20 0 DIADHN C[C@H](c1nc(-c2ccc3c(c2)CCC3)no1)N1[C@H](C)CC[C@H]1C ZINC000572293218 1049998705 /nfs/dbraw/zinc/99/87/05/1049998705.db2.gz MCVZVYCYCJJQFM-MGPQQGTHSA-N 0 3 311.429 4.159 20 0 DIADHN C[C@H](NC[C@](C)(O)c1cccs1)c1ccc(F)cc1Cl ZINC000156920687 1050001645 /nfs/dbraw/zinc/00/16/45/1050001645.db2.gz ZPHPJLUCDVIKCM-BONVTDFDSA-N 0 3 313.825 4.099 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2ccccc2)ccc1OC(F)F ZINC000588817145 1050002421 /nfs/dbraw/zinc/00/24/21/1050002421.db2.gz XGUKKURRLHPIBL-ZDUSSCGKSA-N 0 3 321.367 4.490 20 0 DIADHN C[C@H](CN1CCc2ccncc2C1)c1cccc2ccccc21 ZINC001208714224 1050006138 /nfs/dbraw/zinc/00/61/38/1050006138.db2.gz FKFLPAUBCLTPBO-MRXNPFEDSA-N 0 3 302.421 4.397 20 0 DIADHN CCCCN(C(=O)CN(C)CC(C)(C)C)[C@@H](C)c1ccc(C)o1 ZINC000588901904 1050007849 /nfs/dbraw/zinc/00/78/49/1050007849.db2.gz LQGONEYALXXBKG-INIZCTEOSA-N 0 3 322.493 4.256 20 0 DIADHN Cc1ccc(-n2nc(C(C)(C)C)cc2NC2=CCN(C)CC2)cc1 ZINC001208741556 1050007835 /nfs/dbraw/zinc/00/78/35/1050007835.db2.gz LGFNOXIQNWUFPI-UHFFFAOYSA-N 0 3 324.472 4.110 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N1CCC(C)(C)CC1)c1ccc(Cl)cc1 ZINC000588904642 1050008245 /nfs/dbraw/zinc/00/82/45/1050008245.db2.gz HPMFFBDYXIUQOL-ZIAGYGMSSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)Oc1ncccc1CCN(C)C/C=C/c1ccccc1 ZINC001208800071 1050011807 /nfs/dbraw/zinc/01/18/07/1050011807.db2.gz FZPRILREBWMRNJ-DHZHZOJOSA-N 0 3 310.441 4.057 20 0 DIADHN CN1CC=C(Nc2ccc(Sc3ccc(N)cc3)cc2)CC1 ZINC001208845620 1050016144 /nfs/dbraw/zinc/01/61/44/1050016144.db2.gz ZBWIGPIZWVNMFI-UHFFFAOYSA-N 0 3 311.454 4.051 20 0 DIADHN F[C@@H]1CCN(CCCc2ccccc2Cl)CCC1(F)F ZINC001208870763 1050018357 /nfs/dbraw/zinc/01/83/57/1050018357.db2.gz DAWAXIOBEFWVCA-CQSZACIVSA-N 0 3 305.771 4.342 20 0 DIADHN C[C@@H](CN1CCC[C@@]2(CCc3c2cccc3F)C1)C(F)(F)F ZINC001208898724 1050020631 /nfs/dbraw/zinc/02/06/31/1050020631.db2.gz XSXHJZSRXKBWQS-BLLLJJGKSA-N 0 3 315.354 4.304 20 0 DIADHN CN1CC=C(Nc2ccc(Oc3ccnc(Cl)c3)cc2)CC1 ZINC001208910248 1050021940 /nfs/dbraw/zinc/02/19/40/1050021940.db2.gz LYZIJMUPPVMVSW-UHFFFAOYSA-N 0 3 315.804 4.159 20 0 DIADHN Cc1cc(Cl)cc(Br)c1NC1=CCN(C)CC1 ZINC001208910650 1050022306 /nfs/dbraw/zinc/02/23/06/1050022306.db2.gz SIAPYUWMFPNITI-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN CC(=O)c1ccc(Oc2ccc(NC3=CCN(C)CC3)cc2)cc1 ZINC001208931079 1050025817 /nfs/dbraw/zinc/02/58/17/1050025817.db2.gz XJTWMJULDSZWNV-UHFFFAOYSA-N 0 3 322.408 4.313 20 0 DIADHN CC(C)[C@H](NCC(=O)N(C)C)c1ccc(C2CCCCC2)cc1 ZINC000589342406 1050028476 /nfs/dbraw/zinc/02/84/76/1050028476.db2.gz FXXLIQFFMUDHIJ-FQEVSTJZSA-N 0 3 316.489 4.109 20 0 DIADHN CSC1CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209082582 1050032889 /nfs/dbraw/zinc/03/28/89/1050032889.db2.gz XBWBWJKKSJVHFE-UHFFFAOYSA-N 0 3 304.286 4.363 20 0 DIADHN COC(=O)CCCCCCCCCN1CC[C@](C)(F)[C@@H](F)C1 ZINC001209093048 1050034361 /nfs/dbraw/zinc/03/43/61/1050034361.db2.gz CNHOQIZVQMEFAG-RDJZCZTQSA-N 0 3 319.436 4.052 20 0 DIADHN C[C@H]1CCN(CCc2c(Cl)cccc2Cl)CC[C@]1(C)O ZINC001209105161 1050035001 /nfs/dbraw/zinc/03/50/01/1050035001.db2.gz KMTOQIKEHCIVGK-LRDDRELGSA-N 0 3 316.272 4.019 20 0 DIADHN CC1(C)CN(CCc2ccc(F)cc2Cl)CCC1(F)F ZINC001209109324 1050035804 /nfs/dbraw/zinc/03/58/04/1050035804.db2.gz MVEGKNBIAYFGFA-UHFFFAOYSA-N 0 3 305.771 4.389 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@@H](c2ccncc2)C1 ZINC001209112448 1050035862 /nfs/dbraw/zinc/03/58/62/1050035862.db2.gz QYXYYIPKHZREOY-CQSZACIVSA-N 0 3 321.251 4.420 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@H](c2ccccn2)C1 ZINC001209111054 1050036254 /nfs/dbraw/zinc/03/62/54/1050036254.db2.gz HDDMWCULCIOBMZ-ZDUSSCGKSA-N 0 3 321.251 4.420 20 0 DIADHN Cc1ccc(CN(C)CCc2c(Cl)cccc2Cl)cn1 ZINC001209108712 1050036303 /nfs/dbraw/zinc/03/63/03/1050036303.db2.gz RNGSNFBHGITOQV-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN FC(F)(F)c1cccc(CCN2CC[C@@H](C(F)(F)F)C2)c1 ZINC001209117886 1050037382 /nfs/dbraw/zinc/03/73/82/1050037382.db2.gz LTOVPUOOSDNUHS-GFCCVEGCSA-N 0 3 311.269 4.132 20 0 DIADHN c1ccc(CN(CCCc2cccnc2)Cc2ccccn2)cc1 ZINC001209170957 1050041891 /nfs/dbraw/zinc/04/18/91/1050041891.db2.gz AEBCFZODMSCHKO-UHFFFAOYSA-N 0 3 317.436 4.112 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2CCCc2ccncc2)c1 ZINC001209189965 1050042818 /nfs/dbraw/zinc/04/28/18/1050042818.db2.gz CVOCQOCWIRSDNT-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN c1csc(CCN(Cc2ccccc2)Cc2cccnc2)c1 ZINC001209224579 1050044908 /nfs/dbraw/zinc/04/49/08/1050044908.db2.gz GLWWYPSATADWNB-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN CN(CCCCCc1ccccc1)Cc1cnc2ccccn12 ZINC001209227953 1050045820 /nfs/dbraw/zinc/04/58/20/1050045820.db2.gz PXRHGKNNWPKTQG-UHFFFAOYSA-N 0 3 307.441 4.179 20 0 DIADHN Fc1ccc(CCN2CCSc3ccccc3C2)cc1F ZINC001209245560 1050047256 /nfs/dbraw/zinc/04/72/56/1050047256.db2.gz JYPSFYDOZDUGKO-UHFFFAOYSA-N 0 3 305.393 4.115 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CCC[C@@H](c2ccccc2)CC1 ZINC000589610895 1050049585 /nfs/dbraw/zinc/04/95/85/1050049585.db2.gz MUBRMCYKHJMSNX-IEBWSBKVSA-N 0 3 322.452 4.283 20 0 DIADHN CC(C)CNC(=O)c1cccc(CN(C)[C@@H](C)c2ccccc2)c1 ZINC000589595708 1050050154 /nfs/dbraw/zinc/05/01/54/1050050154.db2.gz ATKVIBNUYBDSNO-KRWDZBQOSA-N 0 3 324.468 4.265 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCC[C@@H]1c1ccccn1 ZINC001209283518 1050050156 /nfs/dbraw/zinc/05/01/56/1050050156.db2.gz ZXBPOKZYULAAQP-QGZVFWFLSA-N 0 3 320.358 4.480 20 0 DIADHN CCOC(=O)CCCCCCN(C)Cc1ccccc1Cl ZINC001209347852 1050052432 /nfs/dbraw/zinc/05/24/32/1050052432.db2.gz DIVWMEHGCQAHMN-UHFFFAOYSA-N 0 3 311.853 4.285 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc3cccnc3c2)c1 ZINC000589641401 1050052730 /nfs/dbraw/zinc/05/27/30/1050052730.db2.gz LYPOKYDRBCCTEM-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3cccnc3c2)cc1OC ZINC000589645009 1050053439 /nfs/dbraw/zinc/05/34/39/1050053439.db2.gz PICKZUJEYUWQEW-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CCC[C@@H](NCc1cc(C)[nH]n1)c1cccc(C(F)(F)F)c1 ZINC000589649478 1050054534 /nfs/dbraw/zinc/05/45/34/1050054534.db2.gz OZJCFWJGCQUJHU-OAHLLOKOSA-N 0 3 311.351 4.368 20 0 DIADHN COC(C)(C)CCN1CCSC[C@H]1c1cccc(Cl)c1 ZINC001209409210 1050056278 /nfs/dbraw/zinc/05/62/78/1050056278.db2.gz SNJCKXNDNVXSJD-HNNXBMFYSA-N 0 3 313.894 4.245 20 0 DIADHN CCCc1ccc(CNCc2cccc(N3CCCC3=O)c2)cc1 ZINC000589837089 1050060230 /nfs/dbraw/zinc/06/02/30/1050060230.db2.gz RTYMITAWAINBJU-UHFFFAOYSA-N 0 3 322.452 4.056 20 0 DIADHN c1cnc([C@@H]2CCCN2CCC23CC4CC(CC(C4)C2)C3)nc1 ZINC001209448584 1050060762 /nfs/dbraw/zinc/06/07/62/1050060762.db2.gz WRNOXERSXIPUHO-AZRLCKCBSA-N 0 3 311.473 4.220 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1c[nH]c3c1cccc3C)C2 ZINC001209481926 1050062381 /nfs/dbraw/zinc/06/23/81/1050062381.db2.gz DGGHFUXUUSQFKJ-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1cccc2c(CN3CC[C@@H]3COCc3ccccc3)c[nH]c21 ZINC001209489746 1050062993 /nfs/dbraw/zinc/06/29/93/1050062993.db2.gz HXCSDAKUYQTPHE-LJQANCHMSA-N 0 3 320.436 4.267 20 0 DIADHN Cc1cccc2c(CN(C)CCC(=O)c3cccs3)c[nH]c21 ZINC001209492666 1050063584 /nfs/dbraw/zinc/06/35/84/1050063584.db2.gz IGLFAZSHKFIMHX-UHFFFAOYSA-N 0 3 312.438 4.243 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCC4(CCC(C)(C)O4)CC3)c2c1 ZINC001209499211 1050063656 /nfs/dbraw/zinc/06/36/56/1050063656.db2.gz LFVYMUFIHDBCQC-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN Cn1nc2ccccc2c1CN(Cc1ccccc1)C1CCC1 ZINC001209539717 1050067797 /nfs/dbraw/zinc/06/77/97/1050067797.db2.gz OLKZJWGXZQHRBH-UHFFFAOYSA-N 0 3 305.425 4.128 20 0 DIADHN Cc1n[nH]cc1CN1CCC(c2csc3ccccc23)CC1 ZINC001209562451 1050068381 /nfs/dbraw/zinc/06/83/81/1050068381.db2.gz WPQNVNLOJDRWLY-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CCN(Cc1ccc(C)[nH]1)Cc1cccc(Br)c1 ZINC001209574229 1050069071 /nfs/dbraw/zinc/06/90/71/1050069071.db2.gz KQEZRNPQEUZVKE-UHFFFAOYSA-N 0 3 307.235 4.108 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1c(C)[nH]c2ncccc21 ZINC001209589755 1050070666 /nfs/dbraw/zinc/07/06/66/1050070666.db2.gz HIJPJQUAIYLAEI-AWEZNQCLSA-N 0 3 309.413 4.073 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]c3ncc(Cl)cc13)CC2 ZINC001209601034 1050071868 /nfs/dbraw/zinc/07/18/68/1050071868.db2.gz VEULKEOYYBVFHM-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN Clc1cnc2[nH]cc(CN3CCC[C@H]4CCCC[C@H]43)c2c1 ZINC001209597637 1050071912 /nfs/dbraw/zinc/07/19/12/1050071912.db2.gz WFXNEMYVHIPYQG-MLGOLLRUSA-N 0 3 303.837 4.371 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC001209637469 1050073220 /nfs/dbraw/zinc/07/32/20/1050073220.db2.gz YLHXTHPQNSMPEX-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN F[C@@H]1CCN(Cc2cccc3[nH]cc(Cl)c32)CCC1(F)F ZINC001209658847 1050074737 /nfs/dbraw/zinc/07/47/37/1050074737.db2.gz WJAFEGOJIPXSTJ-CYBMUJFWSA-N 0 3 316.754 4.391 20 0 DIADHN Fc1ccc2c(CN3CCSc4ccccc4C3)c[nH]c2c1 ZINC001209695549 1050076944 /nfs/dbraw/zinc/07/69/44/1050076944.db2.gz JWPNJYNTDSPBBS-UHFFFAOYSA-N 0 3 312.413 4.415 20 0 DIADHN Fc1ccc2c(CN3CCOC[C@H]3C3CCCCC3)c[nH]c2c1 ZINC001209693743 1050077011 /nfs/dbraw/zinc/07/70/11/1050077011.db2.gz BDFIGWOSBICSMJ-IBGZPJMESA-N 0 3 316.420 4.088 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3cc4cc(Cl)ccc4[nH]3)C[C@H]2C1 ZINC001209720709 1050078846 /nfs/dbraw/zinc/07/88/46/1050078846.db2.gz PPLSGRUOQKXAFJ-TXEJJXNPSA-N 0 3 310.775 4.298 20 0 DIADHN Clc1ccc2n[nH]c(CN3CC[C@H]4CCCC[C@@H]4C3)c2c1 ZINC001209762177 1050081686 /nfs/dbraw/zinc/08/16/86/1050081686.db2.gz UMJPZQHQCHYLKB-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](F)C(F)(F)CC3)cc2c1 ZINC001209770436 1050083141 /nfs/dbraw/zinc/08/31/41/1050083141.db2.gz VTWCZCSTASZFNR-HNNXBMFYSA-N 0 3 313.319 4.011 20 0 DIADHN CC[NH+](CC)Cc1ccc(Nc2ncc(Cl)cc2[O-])cc1 ZINC001209821794 1050089402 /nfs/dbraw/zinc/08/94/02/1050089402.db2.gz AVKCCGUXSNAKQT-UHFFFAOYSA-N 0 3 305.809 4.026 20 0 DIADHN COc1ccc(C)c(Nc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC001209840064 1050092605 /nfs/dbraw/zinc/09/26/05/1050092605.db2.gz DWOIMBSKCSDEPI-GOSISDBHSA-N 0 3 312.413 4.132 20 0 DIADHN CC(C)Oc1ccc(Nc2ccccc2CN(C)C)c(F)c1 ZINC001209839378 1050092815 /nfs/dbraw/zinc/09/28/15/1050092815.db2.gz TYSAYBXWRWGPEC-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1ccoc1)NC(=O)OC(C)(C)C ZINC000224406437 1050097461 /nfs/dbraw/zinc/09/74/61/1050097461.db2.gz VIRPIBCSQXHGDY-ZDUSSCGKSA-N 0 3 310.438 4.014 20 0 DIADHN C[C@@H](NC[C@@H]1CSCCS1)c1cccc(Cl)c1Cl ZINC000224540256 1050102199 /nfs/dbraw/zinc/10/21/99/1050102199.db2.gz CEYXVNGAZOEYFB-NXEZZACHSA-N 0 3 322.326 4.493 20 0 DIADHN Cc1ncc(CN[C@H](c2cccnc2)C2CCCCC2)s1 ZINC000590798504 1050107637 /nfs/dbraw/zinc/10/76/37/1050107637.db2.gz ZFPZCWHDNSGXCO-KRWDZBQOSA-N 0 3 301.459 4.258 20 0 DIADHN C[C@@H]1c2ccccc2OCCN1Cc1cccc2cccnc21 ZINC000590939293 1050114229 /nfs/dbraw/zinc/11/42/29/1050114229.db2.gz YQHFRIRGTJZXRH-OAHLLOKOSA-N 0 3 304.393 4.190 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)Nc1ccnc2ccccc21 ZINC000573030071 1050116440 /nfs/dbraw/zinc/11/64/40/1050116440.db2.gz YYPNPLCGZJQJEH-CQSZACIVSA-N 0 3 316.408 4.199 20 0 DIADHN CCC(CC)N(CCOC)Cc1nc(-c2ccsc2)oc1C ZINC000477462707 1050120281 /nfs/dbraw/zinc/12/02/81/1050120281.db2.gz FXFALAZCZQEAQW-UHFFFAOYSA-N 0 3 322.474 4.349 20 0 DIADHN COC(=O)c1cc(CN[C@H](CC2CC2)c2ccccc2)cs1 ZINC000591023424 1050121486 /nfs/dbraw/zinc/12/14/86/1050121486.db2.gz LLLSTKWCZZXANG-MRXNPFEDSA-N 0 3 315.438 4.166 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC3=CCC(F)(F)CC3)cccc21 ZINC001210035300 1050126287 /nfs/dbraw/zinc/12/62/87/1050126287.db2.gz DKFBIYMMRYEJPF-HNNXBMFYSA-N 0 3 308.372 4.187 20 0 DIADHN COc1ccc(-c2cccc(OCC3CC3)c2)cc1CN(C)C ZINC001210052052 1050130543 /nfs/dbraw/zinc/13/05/43/1050130543.db2.gz RAEQRQUVXBQMQY-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN Cc1sccc1CN1CCN(c2ccc(C)c(C)c2)C[C@H]1C ZINC001204722210 1050136840 /nfs/dbraw/zinc/13/68/40/1050136840.db2.gz RLSVNPVVZCYVGJ-MRXNPFEDSA-N 0 3 314.498 4.384 20 0 DIADHN Cc1cc2[nH]ncc2cc1Nc1ncccc1N1CCCCC1 ZINC001210102171 1050137148 /nfs/dbraw/zinc/13/71/48/1050137148.db2.gz MEFXIBJHIBCMNN-UHFFFAOYSA-N 0 3 307.401 4.000 20 0 DIADHN CN1CCc2ccc(Nc3cc(Cl)c(F)cc3F)cc2C1 ZINC001210116500 1050139658 /nfs/dbraw/zinc/13/96/58/1050139658.db2.gz ZQXADKRBWSHMLZ-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1scnc1C ZINC000573170282 1050141362 /nfs/dbraw/zinc/14/13/62/1050141362.db2.gz QTMJVENEFGRLFQ-YRNVUSSQSA-N 0 3 324.396 4.246 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000591378321 1050144341 /nfs/dbraw/zinc/14/43/41/1050144341.db2.gz LXEGFGPNBHMDAB-CQSZACIVSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)cc1F ZINC000770291101 1050147769 /nfs/dbraw/zinc/14/77/69/1050147769.db2.gz GZJDUMWZEWISLS-WBVHZDCISA-N 0 3 319.445 4.284 20 0 DIADHN Cn1c(Cl)cnc1CN[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000823757881 1050155081 /nfs/dbraw/zinc/15/50/81/1050155081.db2.gz QZXFIJBRSDPUGZ-TZMCWYRMSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1ccccc1[C@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000805840063 1050156224 /nfs/dbraw/zinc/15/62/24/1050156224.db2.gz WPYCOVCZBXUJLT-NVXWUHKLSA-N 0 3 301.455 4.173 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@H]1CCC(C)(C)c2ccccc21 ZINC000823818742 1050156622 /nfs/dbraw/zinc/15/66/22/1050156622.db2.gz QVEDFMHOIIOBTP-SFHVURJKSA-N 0 3 309.457 4.200 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2cccs2)no1)C1CC1 ZINC000591476093 1050158429 /nfs/dbraw/zinc/15/84/29/1050158429.db2.gz FBVVHWUUEGHLBU-VXGBXAGGSA-N 0 3 305.447 4.197 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2cccc(C)c2)no1)C1CC1 ZINC000591476173 1050159615 /nfs/dbraw/zinc/15/96/15/1050159615.db2.gz HQYIDAQUCXFCDZ-HUUCEWRRSA-N 0 3 313.445 4.444 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc4cc[nH]c43)cc2)CC1 ZINC001210214062 1050160283 /nfs/dbraw/zinc/16/02/83/1050160283.db2.gz VJJOSUVLJFMFFD-UHFFFAOYSA-N 0 3 320.440 4.053 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000282578551 1050163982 /nfs/dbraw/zinc/16/39/82/1050163982.db2.gz ZKTVSBMVOQGURB-SJLPKXTDSA-N 0 3 313.420 4.152 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccc(CC[NH+]2CCCC2)cc1 ZINC001210220365 1050163743 /nfs/dbraw/zinc/16/37/43/1050163743.db2.gz SXALDSJESGSOSR-UHFFFAOYSA-N 0 3 316.832 4.428 20 0 DIADHN C[NH+](C)CCCOc1ccc(Nc2cccc(Cl)c2[O-])cc1 ZINC001210219455 1050163836 /nfs/dbraw/zinc/16/38/36/1050163836.db2.gz KMJJIYDDVWEAIV-UHFFFAOYSA-N 0 3 320.820 4.120 20 0 DIADHN C[C@@H](CNCc1ccnc(Cl)c1F)Sc1ccccc1 ZINC000823949562 1050166307 /nfs/dbraw/zinc/16/63/07/1050166307.db2.gz LRKPVPKHKIUXOC-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN COc1cc(C(C)=O)ccc1SC[C@@H]1CCCCN1C(C)C ZINC000573393526 1050174952 /nfs/dbraw/zinc/17/49/52/1050174952.db2.gz ZQJPQUWGWDUNTE-INIZCTEOSA-N 0 3 321.486 4.253 20 0 DIADHN C[C@H](NCc1cn(C)nc1C(F)F)c1csc2ccccc21 ZINC000770667571 1050182075 /nfs/dbraw/zinc/18/20/75/1050182075.db2.gz HSTHRPXQYUHLAS-JTQLQIEISA-N 0 3 321.396 4.423 20 0 DIADHN FC(F)(F)[C@H]1CCCN([C@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171044572 1050182391 /nfs/dbraw/zinc/18/23/91/1050182391.db2.gz LXODBBWPEXOMKW-STQMWFEESA-N 0 3 317.782 4.472 20 0 DIADHN Fc1ccc2c(c1)CN([C@H]1CCc3c(Cl)cccc3C1)C2 ZINC001171047905 1050183593 /nfs/dbraw/zinc/18/35/93/1050183593.db2.gz BLACETVWFYTJHS-INIZCTEOSA-N 0 3 301.792 4.352 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@@H](CC1CCC1)c1ccccc1 ZINC000824113535 1050185595 /nfs/dbraw/zinc/18/55/95/1050185595.db2.gz ICJIOXAUEIDBEJ-IBGZPJMESA-N 0 3 309.457 4.319 20 0 DIADHN Nc1c(F)cccc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC001210266502 1050185917 /nfs/dbraw/zinc/18/59/17/1050185917.db2.gz GTXVPUIPIWZLCK-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(C)oc3C)c2C1 ZINC001210281245 1050190143 /nfs/dbraw/zinc/19/01/43/1050190143.db2.gz VKPHIPJMMHQJBD-UHFFFAOYSA-N 0 3 305.447 4.052 20 0 DIADHN CC1(C)CC[C@H](NCc2n[nH]c3ccccc32)c2ccccc21 ZINC000591712999 1050202206 /nfs/dbraw/zinc/20/22/06/1050202206.db2.gz FDCBRVLMXHKKCI-KRWDZBQOSA-N 0 3 305.425 4.465 20 0 DIADHN Fc1cc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)c(F)cn1 ZINC000824370547 1050205750 /nfs/dbraw/zinc/20/57/50/1050205750.db2.gz LFJKJBVZJLHWAH-LZLYRXPVSA-N 0 3 314.379 4.128 20 0 DIADHN c1nn(CN2CCC[C@]3(CC=CCC3)C2)cc1-c1ccccc1 ZINC000733992772 1050210148 /nfs/dbraw/zinc/21/01/48/1050210148.db2.gz VVLGLKVYKIWYRZ-HXUWFJFHSA-N 0 3 307.441 4.330 20 0 DIADHN CC[C@H]1c2ccccc2CCN1C[C@@H](O)c1ccc(F)cc1F ZINC000734002488 1050211785 /nfs/dbraw/zinc/21/17/85/1050211785.db2.gz NZMNFQWYNOMLPH-RBUKOAKNSA-N 0 3 317.379 4.008 20 0 DIADHN c1cc2cc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)ccc2[nH]1 ZINC000573577732 1050216954 /nfs/dbraw/zinc/21/69/54/1050216954.db2.gz XKLDUIOTXJGHSK-PMACEKPBSA-N 0 3 306.409 4.178 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC000824544087 1050224469 /nfs/dbraw/zinc/22/44/69/1050224469.db2.gz TWKOASKCOHEMDZ-VXGBXAGGSA-N 0 3 322.811 4.165 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)NCc1cc(F)cnc1Cl ZINC000824538191 1050224809 /nfs/dbraw/zinc/22/48/09/1050224809.db2.gz NDAWPBZMLXKSMZ-NSHDSACASA-N 0 3 310.775 4.124 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC[C@@H]1CCCCC1(F)F ZINC000824544300 1050225579 /nfs/dbraw/zinc/22/55/79/1050225579.db2.gz UUSMSRAJBXVLIM-NSHDSACASA-N 0 3 319.827 4.112 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC[C@H]1CCCCC1(F)F ZINC000824544286 1050225656 /nfs/dbraw/zinc/22/56/56/1050225656.db2.gz UUSMSRAJBXVLIM-LLVKDONJSA-N 0 3 319.827 4.112 20 0 DIADHN C[C@H](CCc1ccccc1F)NCc1cc(F)cnc1Cl ZINC000824543423 1050226089 /nfs/dbraw/zinc/22/60/89/1050226089.db2.gz KAGWDTIHDYQFDY-LLVKDONJSA-N 0 3 310.775 4.124 20 0 DIADHN Fc1cnc(Cl)c(CN[C@H]2CC[C@@H](c3ccccc3)C2)c1 ZINC000824544600 1050226464 /nfs/dbraw/zinc/22/64/64/1050226464.db2.gz ZOCGBIWMNMPRSJ-CJNGLKHVSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H]1[C@@H](NCc2cc(F)cnc2Cl)C[C@@H]1c1ccccc1 ZINC000824538694 1050226583 /nfs/dbraw/zinc/22/65/83/1050226583.db2.gz RKEHWCYATXYEAV-UVBJJODRSA-N 0 3 304.796 4.156 20 0 DIADHN Clc1ccccc1CCN1CCCO[C@H](c2ccccc2)C1 ZINC000591811947 1050228762 /nfs/dbraw/zinc/22/87/62/1050228762.db2.gz UJZHSIQJXCWFBY-IBGZPJMESA-N 0 3 315.844 4.346 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1cccnc1Cl ZINC000734150347 1050229841 /nfs/dbraw/zinc/22/98/41/1050229841.db2.gz YKWKQSCBVAEYMF-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1nonc1C ZINC000734148649 1050231888 /nfs/dbraw/zinc/23/18/88/1050231888.db2.gz FFAZRIVJQSZJMD-KRWDZBQOSA-N 0 3 301.434 4.001 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1ccc(Cl)nc1 ZINC000734208834 1050236651 /nfs/dbraw/zinc/23/66/51/1050236651.db2.gz BOPWWGUJKUSACX-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN COc1ccc2oc(CNC[C@@]3(C)CC3(Cl)Cl)cc2c1 ZINC000824765313 1050240277 /nfs/dbraw/zinc/24/02/77/1050240277.db2.gz KABICEASWIHHOW-CQSZACIVSA-N 0 3 314.212 4.115 20 0 DIADHN CC(C)(C)C[C@H]1CC[N@H+](Cc2cc(=O)[n-]c(C3CC3)n2)C1(C)C ZINC001171069468 1050248421 /nfs/dbraw/zinc/24/84/21/1050248421.db2.gz RQPPFTRIWIGROM-CQSZACIVSA-N 0 3 317.477 4.096 20 0 DIADHN CC(C)(C)C[C@H]1CC[N@@H+](Cc2cc(=O)[n-]c(C3CC3)n2)C1(C)C ZINC001171069468 1050248432 /nfs/dbraw/zinc/24/84/32/1050248432.db2.gz RQPPFTRIWIGROM-CQSZACIVSA-N 0 3 317.477 4.096 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1cccnc1Cl)C1CCCC1 ZINC000157609397 1050250912 /nfs/dbraw/zinc/25/09/12/1050250912.db2.gz SNNGSWVBGHXROR-XJKSGUPXSA-N 0 3 310.869 4.371 20 0 DIADHN C[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccc(F)cc1Cl ZINC000157618960 1050254289 /nfs/dbraw/zinc/25/42/89/1050254289.db2.gz WQFNEDIHIPTDBC-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1nccnc1[C@H](C)NC[C@@H](C)Oc1ccc(C(C)C)cc1 ZINC000573826486 1050254495 /nfs/dbraw/zinc/25/44/95/1050254495.db2.gz CIMKWUZXZNKQDG-ZBFHGGJFSA-N 0 3 313.445 4.027 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1c[nH]c3cc(C)ccc13)C2 ZINC001136969185 1050256127 /nfs/dbraw/zinc/25/61/27/1050256127.db2.gz GZLUCHSLMFGYRX-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@@H]3C[C@H](O)c3ccco3)[nH]c2c1 ZINC000824928320 1050258797 /nfs/dbraw/zinc/25/87/97/1050258797.db2.gz WBGCRYHTQDLKCH-MJGOQNOKSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1ccc2c(CN3CCC4(CCOC4(C)C)CC3)c[nH]c2c1 ZINC001136975944 1050261755 /nfs/dbraw/zinc/26/17/55/1050261755.db2.gz UODZVSCEKBEUPG-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN CCN(Cc1c[nH]c2cccc(F)c12)Cc1ccc(F)cc1 ZINC001136985424 1050274387 /nfs/dbraw/zinc/27/43/87/1050274387.db2.gz GSFCSZIUUYKEKC-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN O[C@H](CNc1c[nH]cc2ccnc1-2)c1c(Cl)cccc1Cl ZINC001170032742 1050280691 /nfs/dbraw/zinc/28/06/91/1050280691.db2.gz MTHAUHWWTDLVBJ-CYBMUJFWSA-N 0 3 322.195 4.015 20 0 DIADHN O[C@H](CNc1cncc2cc[nH]c21)c1c(Cl)cccc1Cl ZINC001170032742 1050280703 /nfs/dbraw/zinc/28/07/03/1050280703.db2.gz MTHAUHWWTDLVBJ-CYBMUJFWSA-N 0 3 322.195 4.015 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)cc(Cl)c2C1)c1ccno1 ZINC001171074947 1050283347 /nfs/dbraw/zinc/28/33/47/1050283347.db2.gz WEJOCKKDLSCCDR-CABZTGNLSA-N 0 3 311.212 4.190 20 0 DIADHN FC(F)(F)SCCNCc1cccc(Cl)c1Cl ZINC000225637622 1050283392 /nfs/dbraw/zinc/28/33/92/1050283392.db2.gz GQPXCDXDCLTGQD-UHFFFAOYSA-N 0 3 304.164 4.336 20 0 DIADHN CC(C)(C)N(Cc1ncc(C(F)(F)F)[nH]1)Cc1ccccc1 ZINC001137010359 1050291345 /nfs/dbraw/zinc/29/13/45/1050291345.db2.gz OQBPRURSQFIMAA-UHFFFAOYSA-N 0 3 311.351 4.229 20 0 DIADHN Cn1c(Cl)cnc1CN[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000825327126 1050293656 /nfs/dbraw/zinc/29/36/56/1050293656.db2.gz RDVXYWZHRKUMRI-TZMCWYRMSA-N 0 3 324.255 4.153 20 0 DIADHN COc1ccc(CN2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC001137031869 1050302137 /nfs/dbraw/zinc/30/21/37/1050302137.db2.gz LUGGYQFQTIGJKT-UHFFFAOYSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1cccc([C@@H](C)N2CC[C@]3(C2)CC(=O)c2ccccc2O3)c1 ZINC001171086721 1050305854 /nfs/dbraw/zinc/30/58/54/1050305854.db2.gz IIVYICGFKURXHS-IIBYNOLFSA-N 0 3 321.420 4.166 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2cccc3ccccc32)cn1 ZINC001137037829 1050308397 /nfs/dbraw/zinc/30/83/97/1050308397.db2.gz BBNWFPQYOQCQFH-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CCCCCN(Cn1nc(C)c(Br)c1C)C(C)C ZINC000734829762 1050321796 /nfs/dbraw/zinc/32/17/96/1050321796.db2.gz YYEMIKYGCFBBPS-UHFFFAOYSA-N 0 3 316.287 4.121 20 0 DIADHN CCCCn1nc(C)c(CNCCc2ccc(C)cc2)c1Cl ZINC000734847297 1050325758 /nfs/dbraw/zinc/32/57/58/1050325758.db2.gz HQOIBAXOLZUOBD-UHFFFAOYSA-N 0 3 319.880 4.286 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H](C)c1ccc(Cl)cc1 ZINC000734851228 1050328063 /nfs/dbraw/zinc/32/80/63/1050328063.db2.gz SQDBKFOAEGCYEZ-BZNIZROVSA-N 0 3 301.821 4.167 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c1 ZINC001143672606 1050332582 /nfs/dbraw/zinc/33/25/82/1050332582.db2.gz NBJCODFGSJZYOX-BETUJISGSA-N 0 3 319.317 4.491 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@@H]3OCCC[C@H]3C2)c1 ZINC001143671062 1050334315 /nfs/dbraw/zinc/33/43/15/1050334315.db2.gz WGYDDYPAIGYBEM-BBRMVZONSA-N 0 3 313.363 4.015 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@@H](CC(C)C)C(=O)OC)C2)cc1 ZINC001170040936 1050349585 /nfs/dbraw/zinc/34/95/85/1050349585.db2.gz ZQSSGXXHANMETL-MOPGFXCFSA-N 0 3 317.473 4.016 20 0 DIADHN Cc1c(F)cc(F)cc1CN1CCC[C@@H](c2ccccn2)C1 ZINC001143764334 1050350360 /nfs/dbraw/zinc/35/03/60/1050350360.db2.gz OPTYUXHOHJJTKZ-CQSZACIVSA-N 0 3 302.368 4.048 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cc(F)cc(F)c1C ZINC001143769173 1050352012 /nfs/dbraw/zinc/35/20/12/1050352012.db2.gz JKPKEQHMXODDFF-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN COC(=O)c1ccc(CNC2(c3ccccc3C)CCC2)s1 ZINC000593040771 1050363374 /nfs/dbraw/zinc/36/33/74/1050363374.db2.gz UPZMQVLDVPUFTA-UHFFFAOYSA-N 0 3 315.438 4.012 20 0 DIADHN CC1(C)CN(Cc2ccc(SC(F)F)cc2)CCCO1 ZINC000735310930 1050373270 /nfs/dbraw/zinc/37/32/70/1050373270.db2.gz HKXCPEWVIHIIKR-UHFFFAOYSA-N 0 3 301.402 4.002 20 0 DIADHN CC(C)SCCN1CCC[C@@H]2O[C@H](c3ccccc3)C[C@@H]21 ZINC000593126935 1050384323 /nfs/dbraw/zinc/38/43/23/1050384323.db2.gz YUMGZWDJFAYLCW-BZSNNMDCSA-N 0 3 305.487 4.123 20 0 DIADHN C[C@@](O)(CNCc1sccc1Cl)c1ccccc1Cl ZINC000785514008 1050384662 /nfs/dbraw/zinc/38/46/62/1050384662.db2.gz RBDBRGZWWCLKKD-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN C[C@@H](NCc1cccc2nsnc21)c1cc2ccccc2o1 ZINC000785523367 1050385424 /nfs/dbraw/zinc/38/54/24/1050385424.db2.gz HDOHZLNWHMPMDD-LLVKDONJSA-N 0 3 309.394 4.288 20 0 DIADHN C[NH+](C)CCCOc1ccc(Nc2cccc([O-])c2Cl)cc1 ZINC001210854812 1050392187 /nfs/dbraw/zinc/39/21/87/1050392187.db2.gz AQBWRVAXZOFSGW-UHFFFAOYSA-N 0 3 320.820 4.120 20 0 DIADHN CCC[C@@H](N[C@@H]1CCCc2nc(C)ncc21)c1ccsc1 ZINC000785567054 1050392894 /nfs/dbraw/zinc/39/28/94/1050392894.db2.gz ZMQVRODKAOKVBH-NVXWUHKLSA-N 0 3 301.459 4.355 20 0 DIADHN O[C@H](CNCc1sccc1Cl)c1cc(F)cc(Cl)c1 ZINC000785608985 1050393653 /nfs/dbraw/zinc/39/36/53/1050393653.db2.gz IJSOUOWWTDUGQP-GFCCVEGCSA-N 0 3 320.216 4.017 20 0 DIADHN CCC[C@H](N[C@H]1CCCc2nc(C)ncc21)c1ccsc1 ZINC000785567058 1050394127 /nfs/dbraw/zinc/39/41/27/1050394127.db2.gz ZMQVRODKAOKVBH-RDJZCZTQSA-N 0 3 301.459 4.355 20 0 DIADHN CC[C@H](OCCN1CC[C@H](C(F)(F)F)C1)c1ccccc1 ZINC000844314697 1050397007 /nfs/dbraw/zinc/39/70/07/1050397007.db2.gz UFANBDUFTPXNDH-GJZGRUSLSA-N 0 3 301.352 4.039 20 0 DIADHN Cc1cnccc1Nc1ccc(OC(F)F)c(OC2CCC2)c1 ZINC001210875166 1050403965 /nfs/dbraw/zinc/40/39/65/1050403965.db2.gz JJUCBOMABBNHBZ-UHFFFAOYSA-N 0 3 320.339 4.088 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N(CCN(C)C)C1CCCCC1 ZINC001143963746 1050419880 /nfs/dbraw/zinc/41/98/80/1050419880.db2.gz ACNKVCUOZXSBCU-QGZVFWFLSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCCN(C)CC(=O)N(Cc1ccco1)C1CCCC1 ZINC000735652537 1050420617 /nfs/dbraw/zinc/42/06/17/1050420617.db2.gz MTDMBFAHPGYTMO-UHFFFAOYSA-N 0 3 320.477 4.063 20 0 DIADHN Cc1cc(CN2CCC[C@]3(CC3(F)F)C2)c2ccccc2n1 ZINC000844437019 1050422724 /nfs/dbraw/zinc/42/27/24/1050422724.db2.gz KPVSSGXQWNEWNZ-KRWDZBQOSA-N 0 3 302.368 4.164 20 0 DIADHN FC1(F)C[C@@]12CCCN(Cc1cnc(-c3ccccc3)s1)C2 ZINC000844440938 1050426081 /nfs/dbraw/zinc/42/60/81/1050426081.db2.gz XADJNAVRJXAFCO-MRXNPFEDSA-N 0 3 320.408 4.431 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC2(CCOCC2)[C@@H]1C ZINC000481179108 1050434715 /nfs/dbraw/zinc/43/47/15/1050434715.db2.gz UPNNLPMSCRUUES-OLZOCXBDSA-N 0 3 311.828 4.431 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC2(CCOCC2)[C@H]1C ZINC000481179107 1050435502 /nfs/dbraw/zinc/43/55/02/1050435502.db2.gz UPNNLPMSCRUUES-CHWSQXEVSA-N 0 3 311.828 4.431 20 0 DIADHN CS[C@@H]1CCCC[C@H]1N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000593715726 1050437168 /nfs/dbraw/zinc/43/71/68/1050437168.db2.gz NJFFTMTYEVCAGK-OUCADQQQSA-N 0 3 310.532 4.347 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(SC(F)F)c1)N(CC)CC ZINC000736011610 1050446202 /nfs/dbraw/zinc/44/62/02/1050446202.db2.gz LKFCUJWZXUSJSK-ZDUSSCGKSA-N 0 3 316.417 4.060 20 0 DIADHN Clc1ccc2c(c1)CC[C@H](N1CCC[C@@H]1c1nccs1)C2 ZINC001171132602 1050451022 /nfs/dbraw/zinc/45/10/22/1050451022.db2.gz BXFMZERHFUYHGF-JKSUJKDBSA-N 0 3 318.873 4.491 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1cc(Cl)ccc1Cl ZINC001171133060 1050451636 /nfs/dbraw/zinc/45/16/36/1050451636.db2.gz IRHCOJXAXWOFAW-HUUCEWRRSA-N 0 3 302.245 4.431 20 0 DIADHN Fc1ccc([C@H]2CCCN2C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c(F)c1 ZINC001171133488 1050453144 /nfs/dbraw/zinc/45/31/44/1050453144.db2.gz NEEZVLFVZRDUNL-FDKDBAQKSA-N 0 3 313.338 4.393 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CCC(C)(O)CC3)c2)cc1 ZINC001144086484 1050457153 /nfs/dbraw/zinc/45/71/53/1050457153.db2.gz SWTALMVQWSYJME-UHFFFAOYSA-N 0 3 311.425 4.134 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CCCC4(COC4)C3)c2)cc1 ZINC001144088763 1050458913 /nfs/dbraw/zinc/45/89/13/1050458913.db2.gz JXZWLNCPGZLLHV-UHFFFAOYSA-N 0 3 323.436 4.400 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2cccc(Oc3ccc(C)cc3)c2)C1 ZINC001144090438 1050459340 /nfs/dbraw/zinc/45/93/40/1050459340.db2.gz JBASPRCIPKHFPB-GOSISDBHSA-N 0 3 309.409 4.198 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCCOc2cccc3ccccc32)C1 ZINC000761044374 1050478466 /nfs/dbraw/zinc/47/84/66/1050478466.db2.gz JNBGJCYPJSQKAF-OAHLLOKOSA-N 0 3 323.358 4.493 20 0 DIADHN CCc1cc(CN(C)Cc2cccc(OC)c2)cc(CC)c1O ZINC001144147525 1050481154 /nfs/dbraw/zinc/48/11/54/1050481154.db2.gz CNDARPDXHRONMV-UHFFFAOYSA-N 0 3 313.441 4.158 20 0 DIADHN Brc1ccoc1CN[C@@H](Cc1ccccc1)C1CC1 ZINC000736648181 1050485595 /nfs/dbraw/zinc/48/55/95/1050485595.db2.gz RIVLUARLZVTMLK-HNNXBMFYSA-N 0 3 320.230 4.153 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccnc(Cl)c1 ZINC000736626744 1050486341 /nfs/dbraw/zinc/48/63/41/1050486341.db2.gz BIUZSRORKJYAOB-IUODEOHRSA-N 0 3 304.796 4.252 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc3cc[nH]c3n2)cc1 ZINC001144179824 1050487953 /nfs/dbraw/zinc/48/79/53/1050487953.db2.gz VJTJWYYSQVFMKC-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN O=C(CCC1CCCC1)OCC1CCN(Cc2ccco2)CC1 ZINC000772685031 1050488829 /nfs/dbraw/zinc/48/88/29/1050488829.db2.gz KPENSNPANMVKCR-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN COc1cccc(Cl)c1CNCCCc1cccc(F)c1 ZINC000574554353 1050498239 /nfs/dbraw/zinc/49/82/39/1050498239.db2.gz GCECAORLFBOEPA-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)CCCC2CCCCC2)CC1 ZINC001144205258 1050499687 /nfs/dbraw/zinc/49/96/87/1050499687.db2.gz NFNZVPLJLAAYCA-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CN1CCc2ccc(Nc3cc(C(F)(F)F)ccc3N)cc2C1 ZINC001211151946 1050502713 /nfs/dbraw/zinc/50/27/13/1050502713.db2.gz STYIBIXIKZXZIJ-UHFFFAOYSA-N 0 3 321.346 4.019 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCC(=O)Nc2ccccc2)cc1 ZINC000594381245 1050506500 /nfs/dbraw/zinc/50/65/00/1050506500.db2.gz JXKAGWLIGGXBIH-UHFFFAOYSA-N 0 3 310.441 4.273 20 0 DIADHN FC(F)(F)[C@H]1CCCN(Cc2ccc(-n3cccc3)cc2)C1 ZINC001144247371 1050512061 /nfs/dbraw/zinc/51/20/61/1050512061.db2.gz YUVXOYIXGRDXDK-HNNXBMFYSA-N 0 3 308.347 4.252 20 0 DIADHN c1ccc2c(c1)cccc2Cc1noc([C@H]2CC[C@@H](C3CC3)N2)n1 ZINC000844908634 1050518022 /nfs/dbraw/zinc/51/80/22/1050518022.db2.gz DEVYFDKRUPWEEP-ZWKOTPCHSA-N 0 3 319.408 4.017 20 0 DIADHN Oc1ccc(CN2CCC(CCCc3ccccc3)CC2)nc1 ZINC001144253224 1050520088 /nfs/dbraw/zinc/52/00/88/1050520088.db2.gz NCKAYYMLPOXACT-UHFFFAOYSA-N 0 3 310.441 4.022 20 0 DIADHN CCS[C@H]1CCCCN(Cn2cc(-c3ccccc3)cn2)C1 ZINC000738219061 1050523575 /nfs/dbraw/zinc/52/35/75/1050523575.db2.gz HYVMLBMWSNNYLV-SFHVURJKSA-N 0 3 315.486 4.115 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCCOc1cccc(C)c1C ZINC000786996026 1050535435 /nfs/dbraw/zinc/53/54/35/1050535435.db2.gz FKIITZVANJESQA-OAHLLOKOSA-N 0 3 316.445 4.282 20 0 DIADHN [O-]c1ccc(C[NH2+]Cc2ccccc2-c2ccccc2)cc1F ZINC000738439319 1050536649 /nfs/dbraw/zinc/53/66/49/1050536649.db2.gz FUJGKRLMYKBFOO-UHFFFAOYSA-N 0 3 307.368 4.488 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@H](C)N(C)C[C@@H]1CC=CCC1 ZINC001168405672 1050553827 /nfs/dbraw/zinc/55/38/27/1050553827.db2.gz ZFKQPTFFQLCUTP-LSDHHAIUSA-N 0 3 320.864 4.264 20 0 DIADHN Oc1ccc(CN2CCc3ccccc3CC2)c(C(F)(F)F)c1 ZINC001144385671 1050557280 /nfs/dbraw/zinc/55/72/80/1050557280.db2.gz DLBBCJSSMZPVFO-UHFFFAOYSA-N 0 3 321.342 4.012 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN[C@@H](C)c1ccccc1Cl ZINC000746654145 1050558629 /nfs/dbraw/zinc/55/86/29/1050558629.db2.gz NOOAMMJFHZELEH-SJCJKPOMSA-N 0 3 307.796 4.172 20 0 DIADHN CC(C)CN(Cc1ccc(Cl)nc1C(F)(F)F)C1CC1 ZINC000787170252 1050563827 /nfs/dbraw/zinc/56/38/27/1050563827.db2.gz WFBMAUHGKFEXOZ-UHFFFAOYSA-N 0 3 306.759 4.374 20 0 DIADHN Cc1cccc(-c2noc(C3CCN(C4CC4)CC3)n2)c1Cl ZINC001211432701 1050565542 /nfs/dbraw/zinc/56/55/42/1050565542.db2.gz WRFXIJBLJQFVTK-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN CCN(Cc1cc(Cl)cs1)C[C@@H](O)c1ccc(C)cc1 ZINC000787183304 1050568152 /nfs/dbraw/zinc/56/81/52/1050568152.db2.gz STGRUZCJKCRYEC-MRXNPFEDSA-N 0 3 309.862 4.265 20 0 DIADHN CN(C/C=C\c1ccc(F)c(F)c1)Cc1cc(C(C)(C)C)[nH]n1 ZINC000574844718 1050569089 /nfs/dbraw/zinc/56/90/89/1050569089.db2.gz UDBOURFNCRNCNS-WAYWQWQTSA-N 0 3 319.399 4.131 20 0 DIADHN Cc1nc2ccc(Nc3c(Br)ccnc3C)cc2[nH]1 ZINC001213071296 1050572711 /nfs/dbraw/zinc/57/27/11/1050572711.db2.gz KGYFCBDZHBINCC-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1c[nH]c3nc(Cl)ccc13)C2 ZINC001144418830 1050573437 /nfs/dbraw/zinc/57/34/37/1050573437.db2.gz RSGCDCQDNBFFQC-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN CCOC(=O)Nc1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC001213075193 1050576909 /nfs/dbraw/zinc/57/69/09/1050576909.db2.gz IGDRNVFLFDATBA-UHFFFAOYSA-N 0 3 310.357 4.183 20 0 DIADHN Cc1cn(-c2ccc(Nc3ccc4nc(C)[nH]c4c3)cc2)cn1 ZINC001213073537 1050577578 /nfs/dbraw/zinc/57/75/78/1050577578.db2.gz PNKQRNSFTIXJSI-UHFFFAOYSA-N 0 3 303.369 4.109 20 0 DIADHN Cc1nc2ccc(Nc3ccc4c(=O)cc(C)oc4c3)cc2[nH]1 ZINC001213076225 1050579833 /nfs/dbraw/zinc/57/98/33/1050579833.db2.gz MCTRSSTWDIIAEO-UHFFFAOYSA-N 0 3 305.337 4.030 20 0 DIADHN CCN(CC)C(=O)c1ccccc1Nc1ccc2nc(C)[nH]c2c1 ZINC001213076026 1050580637 /nfs/dbraw/zinc/58/06/37/1050580637.db2.gz BDABJRXYQWVDLH-UHFFFAOYSA-N 0 3 322.412 4.097 20 0 DIADHN Cc1nc2ccc(Nc3cc(OC(F)(F)F)ccc3N)cc2[nH]1 ZINC001213076308 1050581296 /nfs/dbraw/zinc/58/12/96/1050581296.db2.gz PSMWLCVGNCUSCZ-UHFFFAOYSA-N 0 3 322.290 4.096 20 0 DIADHN FC(F)(F)c1nc(Cl)ccc1CN1CCC2(CC2)CC1 ZINC000787271321 1050587671 /nfs/dbraw/zinc/58/76/71/1050587671.db2.gz IYADWWNAPQBDKB-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN Fc1ccc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)nc1 ZINC000787307632 1050588268 /nfs/dbraw/zinc/58/82/68/1050588268.db2.gz KMEBGCREAQFRHL-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN CCSC1(CNCc2csc(-c3ccccc3)n2)CC1 ZINC000594983770 1050588480 /nfs/dbraw/zinc/58/84/80/1050588480.db2.gz FKLWKYNBIBTVOC-UHFFFAOYSA-N 0 3 304.484 4.185 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@@H](O)c1cccc(Cl)c1 ZINC000746675433 1050588623 /nfs/dbraw/zinc/58/86/23/1050588623.db2.gz DQBINYOTCSYLQZ-QGZVFWFLSA-N 0 3 315.800 4.252 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1cccnc1Cl ZINC000746675947 1050589591 /nfs/dbraw/zinc/58/95/91/1050589591.db2.gz HGLXQEDPSJFQII-ZBFHGGJFSA-N 0 3 300.833 4.188 20 0 DIADHN C[C@H](CSCCF)NCc1nc2cc(Cl)ccc2s1 ZINC000595006314 1050592206 /nfs/dbraw/zinc/59/22/06/1050592206.db2.gz IFZHJMQDNRBZLU-SECBINFHSA-N 0 3 318.870 4.131 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000787327460 1050592832 /nfs/dbraw/zinc/59/28/32/1050592832.db2.gz MXPFEGPLDAHNFS-CHWSQXEVSA-N 0 3 321.770 4.036 20 0 DIADHN COc1ccc(Cl)cc1CNCCc1cc2ccccc2o1 ZINC000740010378 1050595826 /nfs/dbraw/zinc/59/58/26/1050595826.db2.gz BRLLEQKRHZAMKO-UHFFFAOYSA-N 0 3 315.800 4.427 20 0 DIADHN Cc1nccn1CCN1CCC[C@H]1c1ccccc1C(F)(F)F ZINC000766243708 1050596788 /nfs/dbraw/zinc/59/67/88/1050596788.db2.gz PGXUQGPASOWDHV-INIZCTEOSA-N 0 3 323.362 4.047 20 0 DIADHN CN1C(=O)CCc2cc(Nc3ccnc(C(C)(C)C)c3)ccc21 ZINC001213128984 1050597275 /nfs/dbraw/zinc/59/72/75/1050597275.db2.gz PZBGZKSXFDYQCV-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN COc1cc(Cl)cc(CN2CCC3(CC[C@H](F)C3)CC2)c1 ZINC001144489407 1050599296 /nfs/dbraw/zinc/59/92/96/1050599296.db2.gz GWZVHTZAIIPAIB-HNNXBMFYSA-N 0 3 311.828 4.453 20 0 DIADHN COc1cc(Cl)cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c1 ZINC001144489449 1050599693 /nfs/dbraw/zinc/59/96/93/1050599693.db2.gz IMNDCYCUOQCXNO-GASCZTMLSA-N 0 3 313.828 4.435 20 0 DIADHN COc1ccc(CN2CCC3(CC3)C2)cc1OCc1ccccc1 ZINC001144493734 1050602281 /nfs/dbraw/zinc/60/22/81/1050602281.db2.gz GMLLBPIOVSGDDJ-UHFFFAOYSA-N 0 3 323.436 4.260 20 0 DIADHN CC(C)c1cc2ncc(Nc3ccnc(C(C)(C)C)c3)cn2n1 ZINC001213163923 1050604793 /nfs/dbraw/zinc/60/47/93/1050604793.db2.gz CUFAPEJSGSGROM-UHFFFAOYSA-N 0 3 309.417 4.289 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc(Cn3ccnc3)c2)ccn1 ZINC001213166682 1050605104 /nfs/dbraw/zinc/60/51/04/1050605104.db2.gz IVALNOWGUGHHAU-UHFFFAOYSA-N 0 3 306.413 4.368 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc(C(F)(F)F)cc2N)ccn1 ZINC001213162954 1050605796 /nfs/dbraw/zinc/60/57/96/1050605796.db2.gz OFETZIWUFYGGBL-UHFFFAOYSA-N 0 3 310.323 4.119 20 0 DIADHN COC(=O)c1cccc(Nc2ccnc(C(C)(C)C)c2)c1F ZINC001213168317 1050607188 /nfs/dbraw/zinc/60/71/88/1050607188.db2.gz FDNBVOORRNYUBI-UHFFFAOYSA-N 0 3 302.349 4.048 20 0 DIADHN CC(C)C[C@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000746693552 1050610797 /nfs/dbraw/zinc/61/07/97/1050610797.db2.gz YEGMQSKKLFTGGD-HNNXBMFYSA-N 0 3 307.821 4.097 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccccc1F)NC(=O)OC(C)(C)C ZINC000227119204 1050616594 /nfs/dbraw/zinc/61/65/94/1050616594.db2.gz MGXYJEOCDONXCS-BBRMVZONSA-N 0 3 324.440 4.026 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2ncn3ccccc23)c1 ZINC001144626809 1050629898 /nfs/dbraw/zinc/62/98/98/1050629898.db2.gz CLZZIRGPEQTVNJ-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN c1nc(CN2CCC[C@H](c3ccccc3)CC2)c2ccccn12 ZINC001144625013 1050630399 /nfs/dbraw/zinc/63/03/99/1050630399.db2.gz ZBBNTFVDAQDREX-SFHVURJKSA-N 0 3 305.425 4.104 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cccc(F)c1OC(F)F ZINC000595373603 1050631315 /nfs/dbraw/zinc/63/13/15/1050631315.db2.gz NZTJJGOZCJEBLC-SNVBAGLBSA-N 0 3 307.381 4.001 20 0 DIADHN C[C@]1(CCNCc2coc(-c3ccccc3)n2)C[C@@]1(F)Cl ZINC000846041153 1050657178 /nfs/dbraw/zinc/65/71/78/1050657178.db2.gz ZAUUSFIAHQHSMW-HOTGVXAUSA-N 0 3 308.784 4.136 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC000741139133 1050661013 /nfs/dbraw/zinc/66/10/13/1050661013.db2.gz BVYFRXJGWXBJAZ-IYBDPMFKSA-N 0 3 323.440 4.242 20 0 DIADHN FC1(F)C[C@@]12CCCN(Cc1ccccc1OCC1CC1)C2 ZINC000846107950 1050662772 /nfs/dbraw/zinc/66/27/72/1050662772.db2.gz MKEYSEJAGBPPQQ-QGZVFWFLSA-N 0 3 307.384 4.097 20 0 DIADHN Cc1noc(C)c1CN(C)CCCc1c(F)cccc1Cl ZINC000788447094 1050681516 /nfs/dbraw/zinc/68/15/16/1050681516.db2.gz UGUWBIMHGZLYKW-UHFFFAOYSA-N 0 3 310.800 4.149 20 0 DIADHN C[C@H](CO)N(Cc1cc2ccccc2nc1Cl)C1CCCC1 ZINC000788466180 1050683790 /nfs/dbraw/zinc/68/37/90/1050683790.db2.gz FZLGYJYZGZTYKN-CYBMUJFWSA-N 0 3 318.848 4.014 20 0 DIADHN c1nc2cc(CN3CCC[C@@H](Oc4ccccc4)C3)ccc2s1 ZINC001144736782 1050691902 /nfs/dbraw/zinc/69/19/02/1050691902.db2.gz BHZVVQBWFYQNMV-QGZVFWFLSA-N 0 3 324.449 4.340 20 0 DIADHN CC(C)CC[C@H](C)N[C@H](C)c1nc(Br)ccc1F ZINC000788498294 1050692429 /nfs/dbraw/zinc/69/24/29/1050692429.db2.gz MXIDCWUIZKQUCB-WDEREUQCSA-N 0 3 317.246 4.459 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788652574 1050723490 /nfs/dbraw/zinc/72/34/90/1050723490.db2.gz RPZWXSPPRQZCRC-MXWKQRLJSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1COCc2cccc(Cl)c21 ZINC000788564202 1050703345 /nfs/dbraw/zinc/70/33/45/1050703345.db2.gz SMPNOAMGGSJDIZ-SJCJKPOMSA-N 0 3 319.807 4.271 20 0 DIADHN CC1(C)CCC2(CCN(Cc3ccc4scnc4c3)CC2)O1 ZINC001144743819 1050703622 /nfs/dbraw/zinc/70/36/22/1050703622.db2.gz BKCOWALCCGPMQX-UHFFFAOYSA-N 0 3 316.470 4.220 20 0 DIADHN CC(C)[C@@H](NCc1ccsc1Cl)[C@H](O)c1ccccc1 ZINC000788534547 1050706778 /nfs/dbraw/zinc/70/67/78/1050706778.db2.gz BBWJYLIPKKBSQV-HUUCEWRRSA-N 0 3 309.862 4.249 20 0 DIADHN Fc1cc(F)cc(CCN[C@H]2COCc3cccc(Cl)c32)c1 ZINC000788581378 1050708908 /nfs/dbraw/zinc/70/89/08/1050708908.db2.gz ADHDHXABJSSFEA-INIZCTEOSA-N 0 3 323.770 4.022 20 0 DIADHN C[C@@H](Cc1cccs1)N[C@@H]1COCc2cccc(Cl)c21 ZINC000788650105 1050715646 /nfs/dbraw/zinc/71/56/46/1050715646.db2.gz WFVNNLVCLMPDQP-XHDPSFHLSA-N 0 3 307.846 4.194 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@H]2COCc3cccc(Cl)c32)o1 ZINC000788612557 1050716498 /nfs/dbraw/zinc/71/64/98/1050716498.db2.gz MWYDDYBHSSIBGP-SJCJKPOMSA-N 0 3 319.832 4.424 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cc(F)ccc1F)[C@@H](O)c1ccccc1 ZINC000788620802 1050719154 /nfs/dbraw/zinc/71/91/54/1050719154.db2.gz KKCXHVXBMILNQE-BKTGTZMESA-N 0 3 319.395 4.374 20 0 DIADHN CCC[C@@H](CC)N[C@@H](C)c1nc(Br)ccc1F ZINC000788642819 1050721682 /nfs/dbraw/zinc/72/16/82/1050721682.db2.gz DRDVDRTVUMLWKJ-VHSXEESVSA-N 0 3 303.219 4.213 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(F)cc1F ZINC000788631291 1050721842 /nfs/dbraw/zinc/72/18/42/1050721842.db2.gz LFGZFXMESJUCGS-MEDUHNTESA-N 0 3 306.356 4.257 20 0 DIADHN COCCC1(CN[C@H]2COCc3cccc(Cl)c32)CCCC1 ZINC000788633861 1050723186 /nfs/dbraw/zinc/72/31/86/1050723186.db2.gz VVPJHVASRJPNFZ-INIZCTEOSA-N 0 3 323.864 4.098 20 0 DIADHN CCC[C@H](CC)N[C@@H](C)c1nc(Br)ccc1F ZINC000788642818 1050723646 /nfs/dbraw/zinc/72/36/46/1050723646.db2.gz DRDVDRTVUMLWKJ-UWVGGRQHSA-N 0 3 303.219 4.213 20 0 DIADHN CC(C)CSCCCN[C@H]1COCc2cccc(Cl)c21 ZINC000788685984 1050724368 /nfs/dbraw/zinc/72/43/68/1050724368.db2.gz LJGBKMYOYLEGGE-HNNXBMFYSA-N 0 3 313.894 4.280 20 0 DIADHN Cc1ccsc1CCN[C@@H]1COCc2cccc(Cl)c21 ZINC000788687056 1050725919 /nfs/dbraw/zinc/72/59/19/1050725919.db2.gz APHFLOZLSHOZGB-CQSZACIVSA-N 0 3 307.846 4.114 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CC[C@@H](CC(C)(C)C)C2)c1 ZINC001171229937 1050727082 /nfs/dbraw/zinc/72/70/82/1050727082.db2.gz KLLMLWPLGUCYNS-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@H](O)c1ccccc1C ZINC000741772899 1050739890 /nfs/dbraw/zinc/73/98/90/1050739890.db2.gz FCNUNMWLAGGKRB-HNNXBMFYSA-N 0 3 309.862 4.265 20 0 DIADHN Cc1sc(CN[C@@H](C)c2cccnc2)cc1Br ZINC000227774325 1050740520 /nfs/dbraw/zinc/74/05/20/1050740520.db2.gz BNRKMTGLDOZZQG-VIFPVBQESA-N 0 3 311.248 4.065 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Cl)[C@@H](O)c1ccccc1 ZINC000808446273 1050740964 /nfs/dbraw/zinc/74/09/64/1050740964.db2.gz STEQXNCVGYVCCE-MEDUHNTESA-N 0 3 310.224 4.205 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(Cl)nc2)C12CCCCC2 ZINC000741809370 1050744288 /nfs/dbraw/zinc/74/42/88/1050744288.db2.gz HFYJDXDPWFIMLG-JKSUJKDBSA-N 0 3 322.880 4.295 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2cccnc2Cl)c1 ZINC000772953263 1050754437 /nfs/dbraw/zinc/75/44/37/1050754437.db2.gz SZEUWLXVNWAXPP-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN C[C@@]1(NCc2cnc(Cl)c(F)c2)CCCc2ccccc21 ZINC000846824743 1050755881 /nfs/dbraw/zinc/75/58/81/1050755881.db2.gz OQBBUNJVMKGAIU-QGZVFWFLSA-N 0 3 304.796 4.215 20 0 DIADHN CCCOc1ccc(CNCc2cnc(Cl)c(C)c2)c(C)c1 ZINC000788970491 1050767305 /nfs/dbraw/zinc/76/73/05/1050767305.db2.gz USTBYHNKTFTOCR-UHFFFAOYSA-N 0 3 318.848 4.430 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc(Cl)c(F)c1)C1CC1 ZINC000846850649 1050771705 /nfs/dbraw/zinc/77/17/05/1050771705.db2.gz RLXCCRYEOBGQPF-INIZCTEOSA-N 0 3 304.796 4.423 20 0 DIADHN Cc1nsc(C)c1CN[C@@H](c1ccccc1)C1CCOCC1 ZINC000846847073 1050773280 /nfs/dbraw/zinc/77/32/80/1050773280.db2.gz XNUNIWZONJWPIE-SFHVURJKSA-N 0 3 316.470 4.017 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1ccc(Cl)nc1 ZINC000788977272 1050778998 /nfs/dbraw/zinc/77/89/98/1050778998.db2.gz AHTJLHUTVHPWCO-MRXNPFEDSA-N 0 3 316.832 4.256 20 0 DIADHN Clc1nc(CN2CC[C@@H](c3ccncc3)C2)cc2ccccc21 ZINC000846908647 1050784733 /nfs/dbraw/zinc/78/47/33/1050784733.db2.gz WFHHFRMPWOHITF-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1ccnc(CN2CCC(c3ccnc4ccccc43)CC2)c1 ZINC000846949272 1050789531 /nfs/dbraw/zinc/78/95/31/1050789531.db2.gz MDJRLMXNKRYKEX-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1SC(F)F)C(C)C ZINC000847034312 1050797931 /nfs/dbraw/zinc/79/79/31/1050797931.db2.gz SHFCQAPMMUIYCI-UHFFFAOYSA-N 0 3 316.417 4.060 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc2c(c1)CCO2 ZINC000772961675 1050809131 /nfs/dbraw/zinc/80/91/31/1050809131.db2.gz RTLQPCKMKQEGDT-AUUYWEPGSA-N 0 3 323.436 4.358 20 0 DIADHN c1nn(CN2CC[C@H](Cc3ccccc3)C2)cc1-c1ccccc1 ZINC000742158138 1050809808 /nfs/dbraw/zinc/80/98/08/1050809808.db2.gz ZQQXJWGUHGMZCK-LJQANCHMSA-N 0 3 317.436 4.072 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000180322615 1050810055 /nfs/dbraw/zinc/81/00/55/1050810055.db2.gz WASJJEVKXUFYPW-SGTLLEGYSA-N 0 3 301.409 4.439 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCCOC(C)C ZINC001307624662 1050810101 /nfs/dbraw/zinc/81/01/01/1050810101.db2.gz IFXGTMMSWQIKOJ-MRXNPFEDSA-N 0 3 313.869 4.450 20 0 DIADHN C[C@@H](CCN(C)Cc1ccc(Cl)nc1)OCc1ccccc1 ZINC000789327235 1050816405 /nfs/dbraw/zinc/81/64/05/1050816405.db2.gz MBSDBSSDZAYBSH-HNNXBMFYSA-N 0 3 318.848 4.162 20 0 DIADHN CCn1cc(CN[C@@H](Cc2ccc(F)cc2)c2ccccc2)cn1 ZINC000601535430 1050817467 /nfs/dbraw/zinc/81/74/67/1050817467.db2.gz UCDMWCIJSGTTMG-FQEVSTJZSA-N 0 3 323.415 4.116 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1CCCCOC(C)(C)C ZINC000789320633 1050817368 /nfs/dbraw/zinc/81/73/68/1050817368.db2.gz MHGDHNQYWRTTAB-ZHALLVOQSA-N 0 3 319.489 4.432 20 0 DIADHN CCc1ccc([C@H](O)CN[C@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000789363872 1050823286 /nfs/dbraw/zinc/82/32/86/1050823286.db2.gz ZMBHHGWTIAGINE-PIGZYNQJSA-N 0 3 323.358 4.051 20 0 DIADHN COC(=O)c1ccc(CNCc2cc(C)cc(C)c2)cc1Cl ZINC000597198384 1050823428 /nfs/dbraw/zinc/82/34/28/1050823428.db2.gz LAPPBJBJBWYRKP-UHFFFAOYSA-N 0 3 317.816 4.033 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)NCC1CC(C)(C)CC(C)(C)C1 ZINC001146530097 1050829355 /nfs/dbraw/zinc/82/93/55/1050829355.db2.gz PMWLCMHWDYVSSF-KRWDZBQOSA-N 0 3 322.537 4.220 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1c(F)cccc1F)[C@@H](O)c1ccccc1 ZINC001170119106 1050879557 /nfs/dbraw/zinc/87/95/57/1050879557.db2.gz UIZUEDYOACEWEV-BKTGTZMESA-N 0 3 319.395 4.374 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2cccc3c2OCCO3)cc1C ZINC000772981609 1050883358 /nfs/dbraw/zinc/88/33/58/1050883358.db2.gz FXPNKXCGZNEZJY-MRXNPFEDSA-N 0 3 311.425 4.234 20 0 DIADHN Cc1ccc(CN2C[C@@H](C(=O)OC(C)(C)C)CC[C@@H]2C)cc1F ZINC001171308379 1050888105 /nfs/dbraw/zinc/88/81/05/1050888105.db2.gz QWSXJECQCJPXFQ-HOCLYGCPSA-N 0 3 321.436 4.076 20 0 DIADHN COCOc1ccc(Cl)cc1Nc1ccnc(C2CC2)c1 ZINC001214423036 1050899606 /nfs/dbraw/zinc/89/96/06/1050899606.db2.gz VZVYHTUPVGFANA-UHFFFAOYSA-N 0 3 304.777 4.339 20 0 DIADHN CC[C@H](NCc1cc(C)ccc1F)[C@@H](O)c1c(F)cccc1F ZINC000742711856 1050918891 /nfs/dbraw/zinc/91/88/91/1050918891.db2.gz BKIZMEINUHRMBF-FUHWJXTLSA-N 0 3 323.358 4.014 20 0 DIADHN C[C@H](C(=O)N(CC1CCC1)c1ccccc1)N1[C@H](C)CC[C@@H]1C ZINC001148856538 1050978308 /nfs/dbraw/zinc/97/83/08/1050978308.db2.gz CKCOUGBQXUTFNO-IXDOHACOSA-N 0 3 314.473 4.081 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(C)C(C)(C)C1(C)C ZINC001149003395 1050984060 /nfs/dbraw/zinc/98/40/60/1050984060.db2.gz AHDMGCUIYATUIC-UHFFFAOYSA-N 0 3 302.462 4.067 20 0 DIADHN CCN(CCOc1ccccc1C(F)(F)F)Cc1ccncc1 ZINC000348114769 1050988426 /nfs/dbraw/zinc/98/84/26/1050988426.db2.gz SPRDPSYAHFHBAF-UHFFFAOYSA-N 0 3 324.346 4.001 20 0 DIADHN CC[C@H](CO)N(Cc1ccccc1)Cc1ccc2ccccc2n1 ZINC000348195499 1050998922 /nfs/dbraw/zinc/99/89/22/1050998922.db2.gz XPJVSOOUGIKRRU-HXUWFJFHSA-N 0 3 320.436 4.008 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000743258444 1051002282 /nfs/dbraw/zinc/00/22/82/1051002282.db2.gz SRDCOLSBJYSUTH-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](CCO)c1ccc(Cl)cc1)CC2 ZINC000348208307 1051006182 /nfs/dbraw/zinc/00/61/82/1051006182.db2.gz SSTZKVWFHLRJKE-RTBURBONSA-N 0 3 315.844 4.349 20 0 DIADHN CCN(CCOc1ccccc1C)C[C@@]1(C)CC1(Cl)Cl ZINC001171352389 1051010362 /nfs/dbraw/zinc/01/03/62/1051010362.db2.gz DZPBWEPVUNCMCN-OAHLLOKOSA-N 0 3 316.272 4.280 20 0 DIADHN C[C@](O)(CNCc1ccsc1Cl)c1ccccc1Cl ZINC000790989440 1051012708 /nfs/dbraw/zinc/01/27/08/1051012708.db2.gz DBQNTXZINOAKCY-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN CCC(CC)(NCN1C(=O)CC12CCC2)c1ccc(Cl)cc1 ZINC000743360217 1051015941 /nfs/dbraw/zinc/01/59/41/1051015941.db2.gz KXRKXNJIISJNNP-UHFFFAOYSA-N 0 3 320.864 4.057 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NCCOCCC(C)C ZINC001171369006 1051018961 /nfs/dbraw/zinc/01/89/61/1051018961.db2.gz ASFPVKUSEIFBEC-UHFFFAOYSA-N 0 3 313.869 4.236 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CN1C(=O)[C@@H](C)[C@@H]1c1ccccc1 ZINC000743381113 1051022854 /nfs/dbraw/zinc/02/28/54/1051022854.db2.gz WBZNHKNYZVZOJN-UKBAYJJMSA-N 0 3 314.473 4.064 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](O)c1ccccc1Cl ZINC000773015699 1051024862 /nfs/dbraw/zinc/02/48/62/1051024862.db2.gz WHMLDQCNVAVZAI-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1c(F)cccc1Cl)c1ccccn1 ZINC000773015082 1051025258 /nfs/dbraw/zinc/02/52/58/1051025258.db2.gz SAZLFQAPCVXTQE-GDBMZVCRSA-N 0 3 322.811 4.039 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](C)Oc1cccc(Cl)c1 ZINC000773029687 1051028620 /nfs/dbraw/zinc/02/86/20/1051028620.db2.gz ZLYYPKFXOKDQSU-VXGBXAGGSA-N 0 3 322.836 4.317 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)[C@H]1Oc2ccccc2[C@H]1C ZINC000773043225 1051034448 /nfs/dbraw/zinc/03/44/48/1051034448.db2.gz MQPMKCGCERLXNJ-ZHRONYKTSA-N 0 3 316.832 4.339 20 0 DIADHN CC[C@@H](C)NCc1c(C)nn(Cc2ccc(C)cc2)c1Cl ZINC000743503706 1051036937 /nfs/dbraw/zinc/03/69/37/1051036937.db2.gz HKYHPCWIJSOYJH-CYBMUJFWSA-N 0 3 305.853 4.090 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](CC)Oc1ccccc1C ZINC000773048205 1051039604 /nfs/dbraw/zinc/03/96/04/1051039604.db2.gz QAUOAXBJJUXNEZ-GDBMZVCRSA-N 0 3 316.445 4.362 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](CC)Oc1ccccc1C ZINC000773048218 1051040463 /nfs/dbraw/zinc/04/04/63/1051040463.db2.gz QAUOAXBJJUXNEZ-HOCLYGCPSA-N 0 3 316.445 4.362 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cn(C(C)(C)C)nc2C)c(C)c1 ZINC000773052485 1051040965 /nfs/dbraw/zinc/04/09/65/1051040965.db2.gz NTGMKNPNPVVGFT-AWEZNQCLSA-N 0 3 315.461 4.114 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccc(F)cc1N(C)C ZINC000773070986 1051049402 /nfs/dbraw/zinc/04/94/02/1051049402.db2.gz ZBBUJDJUMAZPBT-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN CCCOc1cccc(CCN[C@@H](C)c2cccnc2Cl)c1 ZINC000773075593 1051051674 /nfs/dbraw/zinc/05/16/74/1051051674.db2.gz XUAWBOMIHYTBAY-AWEZNQCLSA-N 0 3 318.848 4.417 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)[C@H](C)NCc1cccc(F)n1 ZINC000773090643 1051061270 /nfs/dbraw/zinc/06/12/70/1051061270.db2.gz VKAHAEIZUBPVQD-NEPJUHHUSA-N 0 3 315.417 4.112 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000791564041 1051061915 /nfs/dbraw/zinc/06/19/15/1051061915.db2.gz XDWHOYKLHQYHTQ-HNNXBMFYSA-N 0 3 301.402 4.002 20 0 DIADHN CSc1ccccc1CN(C)C[C@H](O)c1ccc(Cl)cc1 ZINC000791599113 1051066657 /nfs/dbraw/zinc/06/66/57/1051066657.db2.gz AUBYGYXFVBERAZ-INIZCTEOSA-N 0 3 321.873 4.227 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3ccc(Cl)nc3)C2)c(C)c1 ZINC000791606596 1051067901 /nfs/dbraw/zinc/06/79/01/1051067901.db2.gz VMDIGFZLXGVHFQ-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(OC(F)(F)F)cc21)c1ccc[nH]1 ZINC000773125262 1051070037 /nfs/dbraw/zinc/07/00/37/1051070037.db2.gz YWJNKYIFTMPNLV-MEBBXXQBSA-N 0 3 310.319 4.251 20 0 DIADHN O[C@H](CN1CC[C@H](c2cccc(F)c2)C1)c1cccc(Cl)c1 ZINC000743847064 1051072268 /nfs/dbraw/zinc/07/22/68/1051072268.db2.gz VSNHJAARSBCTIE-MAUKXSAKSA-N 0 3 319.807 4.002 20 0 DIADHN C[C@H](CSC(C)(C)C)NCc1cccc(OC(C)(C)C)n1 ZINC000773134129 1051072709 /nfs/dbraw/zinc/07/27/09/1051072709.db2.gz VXSLTMUDGYKIIF-CYBMUJFWSA-N 0 3 310.507 4.269 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCc1csc(C(C)(C)C)n1 ZINC000773134122 1051073803 /nfs/dbraw/zinc/07/38/03/1051073803.db2.gz VURANVLRIMFTLG-LLVKDONJSA-N 0 3 321.490 4.193 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cccc(Cl)n1 ZINC000743850876 1051075281 /nfs/dbraw/zinc/07/52/81/1051075281.db2.gz DQVPSOAZZRSRBB-OCCSQVGLSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(C[C@H]2CC2(Cl)Cl)C1 ZINC000743854517 1051076781 /nfs/dbraw/zinc/07/67/81/1051076781.db2.gz AXKOEIUWCVASRC-MPKXVKKWSA-N 0 3 302.220 4.402 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(C[C@H](O)c2ccccc2F)C1 ZINC000743856140 1051079886 /nfs/dbraw/zinc/07/98/86/1051079886.db2.gz HDJKCYDYLQYAOW-ZNOIYHFQSA-N 0 3 317.379 4.081 20 0 DIADHN COc1ccc(CN2CC[C@@H](C)C2)cc1SC(F)(F)F ZINC000743843861 1051081559 /nfs/dbraw/zinc/08/15/59/1051081559.db2.gz BVXOKHRUVNIEIA-SNVBAGLBSA-N 0 3 305.365 4.149 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CCC4(CCCC4)C3)o2)c1 ZINC000743864197 1051083971 /nfs/dbraw/zinc/08/39/71/1051083971.db2.gz YHVLXIZQBOLJTP-HNNXBMFYSA-N 0 3 311.429 4.372 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC3(CCCC3)C2)c(C)c1 ZINC000743864821 1051084367 /nfs/dbraw/zinc/08/43/67/1051084367.db2.gz UYXSZVNUPQYJPA-KRWDZBQOSA-N 0 3 314.473 4.205 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC2(CCCC2)C1 ZINC000743864724 1051084880 /nfs/dbraw/zinc/08/48/80/1051084880.db2.gz OOCCWMKOLYYYLT-UHFFFAOYSA-N 0 3 302.443 4.478 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2cccc(Cl)c2)[C@@H](c2ccco2)C1 ZINC000743875304 1051086684 /nfs/dbraw/zinc/08/66/84/1051086684.db2.gz LODZEQWUZGBYIT-XYPHTWIQSA-N 0 3 319.832 4.440 20 0 DIADHN O[C@@H](CN1CCC2(CCCC2)C1)c1ccccc1C(F)(F)F ZINC000743863681 1051088870 /nfs/dbraw/zinc/08/88/70/1051088870.db2.gz LIMXVZZPYNJDFG-HNNXBMFYSA-N 0 3 313.363 4.005 20 0 DIADHN CN(Cc1ccc(-c2cc(Cl)nc(Cl)c2)nc1)C1CC1 ZINC001243367783 1051092241 /nfs/dbraw/zinc/09/22/41/1051092241.db2.gz JLGIFEGFQSARCM-UHFFFAOYSA-N 0 3 308.212 4.045 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ncc(Br)s2)CC(C)(C)C1 ZINC000714944869 1051097068 /nfs/dbraw/zinc/09/70/68/1051097068.db2.gz FPSYXDUHYZSOTP-NXEZZACHSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@@H]1C[C@H](NCc2ncc(Br)s2)CC(C)(C)C1 ZINC000714944871 1051097709 /nfs/dbraw/zinc/09/77/09/1051097709.db2.gz FPSYXDUHYZSOTP-ZJUUUORDSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@@H](CNCc1ncc(Br)s1)C1CCCCC1 ZINC000714946669 1051098197 /nfs/dbraw/zinc/09/81/97/1051098197.db2.gz RFKZLKYYKYYTDK-JTQLQIEISA-N 0 3 317.296 4.212 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ncc(Br)s1 ZINC000714945518 1051097988 /nfs/dbraw/zinc/09/79/88/1051097988.db2.gz JNAFIGCQXPHSPS-UWVGGRQHSA-N 0 3 317.296 4.210 20 0 DIADHN CN(C)c1cccc(CN2CC(C)(C)C[C@H]2c2ccccc2)n1 ZINC001171409563 1051098256 /nfs/dbraw/zinc/09/82/56/1051098256.db2.gz XYXGJGVFWXESPD-SFHVURJKSA-N 0 3 309.457 4.121 20 0 DIADHN CC[C@@H](C)C[C@H](N)C(=O)Nc1ccccc1-c1cccs1 ZINC000401043604 1051101842 /nfs/dbraw/zinc/10/18/42/1051101842.db2.gz ZTKPFAGSACXRHN-OCCSQVGLSA-N 0 3 302.443 4.117 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000792181323 1051124721 /nfs/dbraw/zinc/12/47/21/1051124721.db2.gz NQBFADRMQUAUBB-UHIISALHSA-N 0 3 305.809 4.229 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1CCc2c(F)cccc2C1 ZINC001171442773 1051125036 /nfs/dbraw/zinc/12/50/36/1051125036.db2.gz SLFIWZFXJPOQFR-AWEZNQCLSA-N 0 3 305.343 4.093 20 0 DIADHN Fc1cccc2c1CC[C@@H](N1Cc3ccc(Cl)cc3C1)C2 ZINC001171443078 1051125794 /nfs/dbraw/zinc/12/57/94/1051125794.db2.gz WEBXZLUJFLQUIF-MRXNPFEDSA-N 0 3 301.792 4.352 20 0 DIADHN C[C@H](Cc1ccco1)NCc1ccc(CSC(F)F)o1 ZINC000037291934 1051132289 /nfs/dbraw/zinc/13/22/89/1051132289.db2.gz KZBMJUCIOKMXTG-SNVBAGLBSA-N 0 3 301.358 4.049 20 0 DIADHN Fc1ccc2c(c1)CN([C@@H]1CCc3cc(F)c(F)cc3C1)CC2 ZINC001171492804 1051145735 /nfs/dbraw/zinc/14/57/35/1051145735.db2.gz IZWZLKUJMYLOOB-QGZVFWFLSA-N 0 3 317.354 4.020 20 0 DIADHN CC[C@H]1C[C@H](N2CCc3cccc(C(F)(F)F)c3C2)CCO1 ZINC001170236586 1051157620 /nfs/dbraw/zinc/15/76/20/1051157620.db2.gz UNXNQBGZSQKZDY-KGLIPLIRSA-N 0 3 313.363 4.021 20 0 DIADHN CC[C@H]1C[C@@H](N(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC001170225923 1051161574 /nfs/dbraw/zinc/16/15/74/1051161574.db2.gz DNOWKUBIOBGYAJ-STQMWFEESA-N 0 3 302.245 4.383 20 0 DIADHN Cc1cccc2c1CC[C@H](n1c3ccccc3nc1[C@H](C)N)C2 ZINC001171550688 1051163476 /nfs/dbraw/zinc/16/34/76/1051163476.db2.gz YREPZQPVQMHTGG-HOCLYGCPSA-N 0 3 305.425 4.094 20 0 DIADHN CN1CC(CNc2ccc(-c3ccc(C(F)(F)F)cc3)cc2)C1 ZINC001170256363 1051164474 /nfs/dbraw/zinc/16/44/74/1051164474.db2.gz IHGWQRBBODRXDB-UHFFFAOYSA-N 0 3 320.358 4.346 20 0 DIADHN Cc1cccc2c1CC[C@H](NCc1c(F)cc(F)cc1F)C2 ZINC001171553444 1051164879 /nfs/dbraw/zinc/16/48/79/1051164879.db2.gz QRXPZIJMQPIUQO-AWEZNQCLSA-N 0 3 305.343 4.059 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1C[C@@H](CF)[C@H](C(F)(F)F)C1 ZINC001170266797 1051169504 /nfs/dbraw/zinc/16/95/04/1051169504.db2.gz PPDKLWLVEFIELM-CYZMBNFOSA-N 0 3 323.761 4.351 20 0 DIADHN Cc1cccc2c1CC[C@@H](N(C)Cc1cnc(Cl)s1)C2 ZINC001171565037 1051169935 /nfs/dbraw/zinc/16/99/35/1051169935.db2.gz JIJKWFNGPCOLJR-CYBMUJFWSA-N 0 3 306.862 4.094 20 0 DIADHN COc1ncc(CN2CCC[C@H]2CC2CCCC2)cc1Cl ZINC001171631191 1051175355 /nfs/dbraw/zinc/17/53/55/1051175355.db2.gz IZOFMKPOHNSNTN-HNNXBMFYSA-N 0 3 308.853 4.288 20 0 DIADHN COc1c(C(C)(C)C)cc(NCC2CN(C)C2)cc1C(C)(C)C ZINC001170254749 1051175424 /nfs/dbraw/zinc/17/54/24/1051175424.db2.gz QHVFHYPGMDNBQS-UHFFFAOYSA-N 0 3 318.505 4.264 20 0 DIADHN COc1ncc(CN2CCC[C@@H]2CC2CCCC2)cc1Cl ZINC001171631193 1051175696 /nfs/dbraw/zinc/17/56/96/1051175696.db2.gz IZOFMKPOHNSNTN-OAHLLOKOSA-N 0 3 308.853 4.288 20 0 DIADHN COc1ccc(F)c(CN[C@H](C)CCc2ccc(C)cc2)c1F ZINC001171659430 1051186016 /nfs/dbraw/zinc/18/60/16/1051186016.db2.gz CEALJPBFNRWNIR-CQSZACIVSA-N 0 3 319.395 4.393 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@@H](C)CCc1ccc(C)cc1 ZINC001171665313 1051186912 /nfs/dbraw/zinc/18/69/12/1051186912.db2.gz MONPGLOTEIHOBS-HNNXBMFYSA-N 0 3 323.440 4.006 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCc2ccc(F)cc2[C@H](C)C1 ZINC001171670186 1051190120 /nfs/dbraw/zinc/19/01/20/1051190120.db2.gz BSTBKNDHICJWNI-QINHECLXSA-N 0 3 319.464 4.383 20 0 DIADHN Fc1ccc(CN2CCCc3ccccc3CC2)cc1Cl ZINC001170341668 1051192907 /nfs/dbraw/zinc/19/29/07/1051192907.db2.gz BQVVNYFYOQTPPV-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN C[C@H]1CN(CCc2ccc(Cl)cc2)CC2(CCCCC2)O1 ZINC001171636830 1051194696 /nfs/dbraw/zinc/19/46/96/1051194696.db2.gz QHGAOLCIXDGTNY-HNNXBMFYSA-N 0 3 307.865 4.306 20 0 DIADHN CCOc1c(F)c(F)ccc1Nc1ccccc1CN(C)C ZINC001215417378 1051194858 /nfs/dbraw/zinc/19/48/58/1051194858.db2.gz HZRGTQZWWCPJJK-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3C[C@H](OC(C)C)C3(C)C)nc2c1 ZINC001170399168 1051197338 /nfs/dbraw/zinc/19/73/38/1051197338.db2.gz HUAYTYDZYHJAOS-CVEARBPZSA-N 0 3 318.486 4.286 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)CCOCC(F)(F)F ZINC001171729837 1051202788 /nfs/dbraw/zinc/20/27/88/1051202788.db2.gz MUUPISWWIGBNFE-UHFFFAOYSA-N 0 3 315.335 4.060 20 0 DIADHN CC[C@@H]1c2ccc(OC)c(OC)c2CCN1[C@H]1C=CCCC1 ZINC001171734416 1051212585 /nfs/dbraw/zinc/21/25/85/1051212585.db2.gz HCERVAYEWVNXEF-WMLDXEAASA-N 0 3 301.430 4.122 20 0 DIADHN C[C@@H](NCCOc1cc(Cl)ccc1Cl)c1ccccn1 ZINC000181906228 1051214162 /nfs/dbraw/zinc/21/41/62/1051214162.db2.gz IHAUJUZQBRYHII-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N1CC2(CCCCCC2)[C@@H]1C(C)C ZINC001171737934 1051215288 /nfs/dbraw/zinc/21/52/88/1051215288.db2.gz UETZLFLWGAMERU-ROUUACIJSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1[C@H]1Cc2cccc(F)c2C1 ZINC001171780764 1051218540 /nfs/dbraw/zinc/21/85/40/1051218540.db2.gz PZRZUWBHNGXJRO-BBRMVZONSA-N 0 3 321.399 4.049 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@H]1C[C@@H](O)c1ccco1 ZINC000792839032 1051219478 /nfs/dbraw/zinc/21/94/78/1051219478.db2.gz JFOFZKJEJPGYGD-MAUKXSAKSA-N 0 3 317.404 4.069 20 0 DIADHN Fc1cccc2c1C[C@H](N[C@@H](c1ncccc1Cl)C1CC1)C2 ZINC001171787709 1051219579 /nfs/dbraw/zinc/21/95/79/1051219579.db2.gz MGBMLHLZESMBSV-CXAGYDPISA-N 0 3 316.807 4.082 20 0 DIADHN CCCOc1ccc(Nc2ccccc2CN(C)C)c(F)c1 ZINC001215493543 1051220840 /nfs/dbraw/zinc/22/08/40/1051220840.db2.gz DJJCREBWIUHPBE-UHFFFAOYSA-N 0 3 302.393 4.420 20 0 DIADHN CC[C@H](N[C@H]1Cc2cccc(F)c2C1)c1c(F)cccc1F ZINC001171790547 1051221055 /nfs/dbraw/zinc/22/10/55/1051221055.db2.gz NPKBAWMEOPOBJS-SJCJKPOMSA-N 0 3 305.343 4.312 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(SC)nc2)cc1F ZINC001241637584 1051221247 /nfs/dbraw/zinc/22/12/47/1051221247.db2.gz UFCVKYMIQLIHES-UHFFFAOYSA-N 0 3 304.434 4.451 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2[C@H]2Cc3cccc(F)c3C2)c1 ZINC001171804724 1051222119 /nfs/dbraw/zinc/22/21/19/1051222119.db2.gz HTKCODPUPYCALX-LIRRHRJNSA-N 0 3 317.354 4.408 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CC[C@H](C2CCCCC2)C1 ZINC001171799689 1051223432 /nfs/dbraw/zinc/22/34/32/1051223432.db2.gz QYHLLCQIIXPHDM-ZBFHGGJFSA-N 0 3 318.436 4.055 20 0 DIADHN C[C@]12CCN(Cc3cncc4ccccc43)C[C@H]1C2(Cl)Cl ZINC000792912517 1051226478 /nfs/dbraw/zinc/22/64/78/1051226478.db2.gz JZFANHCJCFFNHA-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN2CCC(CC(F)(F)F)CC2)c1 ZINC000792932914 1051232128 /nfs/dbraw/zinc/23/21/28/1051232128.db2.gz FRIQPJDWQWTYNB-INIZCTEOSA-N 0 3 315.379 4.001 20 0 DIADHN CC1(C)CN(Cc2cc(F)cc(F)c2)CCc2ccccc21 ZINC001171906746 1051238317 /nfs/dbraw/zinc/23/83/17/1051238317.db2.gz OOOCZOSKGSNODN-UHFFFAOYSA-N 0 3 301.380 4.301 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCCC2(CCCC2)CC1 ZINC001171911059 1051238655 /nfs/dbraw/zinc/23/86/55/1051238655.db2.gz FETPBRLKGNYCRS-HNNXBMFYSA-N 0 3 318.436 4.199 20 0 DIADHN Cc1cccc(CN2CCC[C@H](CCF)C2)c1Br ZINC001171954468 1051242354 /nfs/dbraw/zinc/24/23/54/1051242354.db2.gz IYWTWVDDWGXUTC-CYBMUJFWSA-N 0 3 314.242 4.329 20 0 DIADHN CC(=O)[C@@H]1CCCCN1[C@@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC001171964929 1051249192 /nfs/dbraw/zinc/24/91/92/1051249192.db2.gz KWKLZVPXGOGKRC-LRDDRELGSA-N 0 3 313.363 4.080 20 0 DIADHN CCCN(Cc1ccncc1)Cc1cccc(Br)c1 ZINC001204081260 1051273769 /nfs/dbraw/zinc/27/37/69/1051273769.db2.gz KTIRUDBWCVZMNK-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2cnccc2C1 ZINC001171971393 1051260944 /nfs/dbraw/zinc/26/09/44/1051260944.db2.gz UVZVXQKOJMXEOQ-ZDUSSCGKSA-N 0 3 320.358 4.090 20 0 DIADHN CCC[C@@H](NCc1ccsc1Cl)[C@@H](O)c1ccccc1 ZINC000793236310 1051268323 /nfs/dbraw/zinc/26/83/23/1051268323.db2.gz CQSCGZRNXBPEDQ-CABCVRRESA-N 0 3 309.862 4.393 20 0 DIADHN OCc1c(Cl)ccc(Nc2ccnc(C3CCC3)c2)c1F ZINC001215606676 1051272950 /nfs/dbraw/zinc/27/29/50/1051272950.db2.gz WUEXZQHEYLXGGU-UHFFFAOYSA-N 0 3 306.768 4.378 20 0 DIADHN Cc1ccc(CN(CCO)Cc2ccccc2-c2ccccc2)o1 ZINC000793364924 1051288346 /nfs/dbraw/zinc/28/83/46/1051288346.db2.gz IGRBCJDRXBEVOW-UHFFFAOYSA-N 0 3 321.420 4.250 20 0 DIADHN Fc1cncc(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215675793 1051293397 /nfs/dbraw/zinc/29/33/97/1051293397.db2.gz HYJJNBBCDCMNJR-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1ccc(F)c(Nc2cccc(CN3CCCCC3)c2F)n1 ZINC001215675790 1051293423 /nfs/dbraw/zinc/29/34/23/1051293423.db2.gz RNDSFRNPETYDPO-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Oc1ccc(Nc2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001215676421 1051293978 /nfs/dbraw/zinc/29/39/78/1051293978.db2.gz BNCCYEJUJQZGPM-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Oc1ccc(Nc2cccc(CN3CCCCC3)c2F)cc1F ZINC001215677921 1051296918 /nfs/dbraw/zinc/29/69/18/1051296918.db2.gz VTUZOBAURZMPEE-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CCCC[C@H](NCc1ccc(-n2ccnc2)nc1)c1ccccc1 ZINC000746046422 1051310305 /nfs/dbraw/zinc/31/03/05/1051310305.db2.gz ZWQFOHPXSMMCBE-IBGZPJMESA-N 0 3 320.440 4.288 20 0 DIADHN CSc1ccc([C@H](C)N[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000746049445 1051310993 /nfs/dbraw/zinc/31/09/93/1051310993.db2.gz PFBZQQLVATXGLW-SJCJKPOMSA-N 0 3 313.470 4.235 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)cnc1Cl ZINC000793616373 1051327328 /nfs/dbraw/zinc/32/73/28/1051327328.db2.gz UQBCZHKHPZMFCT-YPMHNXCESA-N 0 3 319.663 4.059 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2cc(CC)[nH]n2)cc1 ZINC000793540453 1051314340 /nfs/dbraw/zinc/31/43/40/1051314340.db2.gz KIPQOEKVSFUKFA-AWEZNQCLSA-N 0 3 301.434 4.002 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2N[C@H]1CSc2ccccc2C1 ZINC001172204123 1051315980 /nfs/dbraw/zinc/31/59/80/1051315980.db2.gz ZTHLDQVUVGEZRM-WBMJQRKESA-N 0 3 317.404 4.259 20 0 DIADHN Fc1cccnc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215710307 1051316716 /nfs/dbraw/zinc/31/67/16/1051316716.db2.gz SCMZLZUFYMSRAX-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1ccc(F)c(Nc2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001215711278 1051319372 /nfs/dbraw/zinc/31/93/72/1051319372.db2.gz KVHOCKHJHDVTNM-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Oc1ccc(F)cc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215712341 1051319809 /nfs/dbraw/zinc/31/98/09/1051319809.db2.gz SMDDRNPPGJQESZ-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CCN(CC)Cc1ccc(F)c(Nc2cnccc2C(C)=O)c1 ZINC001215723886 1051322449 /nfs/dbraw/zinc/32/24/49/1051322449.db2.gz BMEUYINUQMISNI-UHFFFAOYSA-N 0 3 315.392 4.009 20 0 DIADHN CCN(C(=O)c1cccc(CN(C)C)c1)c1ccc(Cl)cc1 ZINC000746120813 1051322563 /nfs/dbraw/zinc/32/25/63/1051322563.db2.gz DXLZDWFIYVGPQO-UHFFFAOYSA-N 0 3 316.832 4.068 20 0 DIADHN CC1(C)C[C@@H](NCc2cccc(C(F)(F)F)c2Cl)CO1 ZINC001172275587 1051327404 /nfs/dbraw/zinc/32/74/04/1051327404.db2.gz XBUHBUADMOBWNF-SNVBAGLBSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1cc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)cnc1Cl ZINC000793616371 1051329441 /nfs/dbraw/zinc/32/94/41/1051329441.db2.gz UQBCZHKHPZMFCT-WCQYABFASA-N 0 3 319.663 4.059 20 0 DIADHN Cn1cncc1CN1CCC(c2ccc(C(C)(C)C)cc2)CC1 ZINC001170436285 1051330818 /nfs/dbraw/zinc/33/08/18/1051330818.db2.gz ZAMBCLQJXVCYIA-UHFFFAOYSA-N 0 3 311.473 4.097 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1ccc(F)c(Cl)c1F ZINC001172327317 1051331576 /nfs/dbraw/zinc/33/15/76/1051331576.db2.gz NAPAHAGGAAJEJA-GHMZBOCLSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1ccc(F)c(Cl)c1F ZINC001172327319 1051331943 /nfs/dbraw/zinc/33/19/43/1051331943.db2.gz NAPAHAGGAAJEJA-MNOVXSKESA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1cc(-c2ccccc2)on1 ZINC001172327677 1051337405 /nfs/dbraw/zinc/33/74/05/1051337405.db2.gz NTXWOQOULYEVPK-CABCVRRESA-N 0 3 302.418 4.025 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1c(F)ccc(Cl)c1F ZINC001172321269 1051342015 /nfs/dbraw/zinc/34/20/15/1051342015.db2.gz IFNRVLISKIEZJE-WDEREUQCSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)cc1Cl)c1cccs1 ZINC000184037242 1051342111 /nfs/dbraw/zinc/34/21/11/1051342111.db2.gz HJJLQSOZWYKWJS-ZDUSSCGKSA-N 0 3 322.861 4.389 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1c(F)cc(Cl)cc1F ZINC001172325084 1051343480 /nfs/dbraw/zinc/34/34/80/1051343480.db2.gz JDXZFXVPRBQIOA-GHMZBOCLSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1cc(F)c(F)cc1Cl ZINC001172324209 1051343508 /nfs/dbraw/zinc/34/35/08/1051343508.db2.gz FWHPUUMIEFWNPJ-GHMZBOCLSA-N 0 3 305.796 4.302 20 0 DIADHN CC1(C)C[C@@H](N2CC[C@H](c3ccc(Cl)c(Cl)c3)C2)CO1 ZINC001172288044 1051345900 /nfs/dbraw/zinc/34/59/00/1051345900.db2.gz FFZAYNOCAAKUEL-QWHCGFSZSA-N 0 3 314.256 4.350 20 0 DIADHN C[C@H](NCc1nnc(-c2ccco2)o1)[C@@H](C)C1CCCCC1 ZINC000185505049 1051347739 /nfs/dbraw/zinc/34/77/39/1051347739.db2.gz BBBZJNSOOPONJR-OLZOCXBDSA-N 0 3 303.406 4.024 20 0 DIADHN Cc1nccn1-c1ccc(Nc2ccc(C)c3[nH]ncc32)cc1 ZINC001215758157 1051347941 /nfs/dbraw/zinc/34/79/41/1051347941.db2.gz HJNQHWOUQVWOLL-UHFFFAOYSA-N 0 3 303.369 4.109 20 0 DIADHN Cc1ncc([C@H](C)NC[C@H](c2ccccc2)C(F)(F)F)s1 ZINC000186846430 1051349051 /nfs/dbraw/zinc/34/90/51/1051349051.db2.gz XRBJKPZAMJBTIZ-GXFFZTMASA-N 0 3 314.376 4.448 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001172342255 1051362875 /nfs/dbraw/zinc/36/28/75/1051362875.db2.gz XOBNFSUZCLRUHM-HZPDHXFCSA-N 0 3 324.896 4.056 20 0 DIADHN C[C@@H]1SCC[C@@H]1NCc1ccc(Br)cc1Cl ZINC000381198841 1051370361 /nfs/dbraw/zinc/37/03/61/1051370361.db2.gz FATBSPDOSGUSQD-UFBFGSQYSA-N 0 3 320.683 4.086 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1)NC(=O)C[C@@H](C)CC(C)(C)C ZINC001129395746 1051374592 /nfs/dbraw/zinc/37/45/92/1051374592.db2.gz UBPPRXIJBSWXJG-IAGOWNOFSA-N 0 3 318.505 4.086 20 0 DIADHN CCOCC[C@@H](C)n1c2ccccc2nc1[C@H](N)CC(C)C ZINC001172415821 1051384599 /nfs/dbraw/zinc/38/45/99/1051384599.db2.gz BBQILWCNIUGQRT-HUUCEWRRSA-N 0 3 303.450 4.070 20 0 DIADHN CCOCC[C@H](C)NC1(c2ccc(Cl)cc2Cl)CC1 ZINC001172414782 1051384904 /nfs/dbraw/zinc/38/49/04/1051384904.db2.gz QAGGGXWAUDHERS-NSHDSACASA-N 0 3 302.245 4.387 20 0 DIADHN CC(C)OCC[C@H](C)NCc1cc(F)c(Cl)cc1Cl ZINC001172431305 1051385771 /nfs/dbraw/zinc/38/57/71/1051385771.db2.gz NHNWVGSNKPRDCF-JTQLQIEISA-N 0 3 308.224 4.426 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccc(F)cc1Br ZINC000386612684 1051391589 /nfs/dbraw/zinc/39/15/89/1051391589.db2.gz MUAJDIQCFGNQKB-WCBMZHEXSA-N 0 3 322.168 4.427 20 0 DIADHN CCCCCCC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC000747188620 1051392340 /nfs/dbraw/zinc/39/23/40/1051392340.db2.gz MAONYAKSIQYZDF-IBGZPJMESA-N 0 3 316.489 4.219 20 0 DIADHN CC[C@@H](CC(C)C)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001172394842 1051395780 /nfs/dbraw/zinc/39/57/80/1051395780.db2.gz GIUQIPMXLQXOHF-AWEZNQCLSA-N 0 3 301.352 4.302 20 0 DIADHN CC(C)COCC[C@H](C)N[C@@H](c1ncccc1Cl)C1CC1 ZINC001172470962 1051400326 /nfs/dbraw/zinc/40/03/26/1051400326.db2.gz HOPVCFBACTZXMO-XJKSGUPXSA-N 0 3 310.869 4.227 20 0 DIADHN CSc1cc(F)c(Nc2ccccc2CN(C)C)c(F)c1 ZINC001215843587 1051407848 /nfs/dbraw/zinc/40/78/48/1051407848.db2.gz JIDCGLBVLNOTPJ-UHFFFAOYSA-N 0 3 308.397 4.492 20 0 DIADHN COC(=O)c1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1Cl ZINC001213073243 1051408133 /nfs/dbraw/zinc/40/81/33/1051408133.db2.gz KYSVOSPAYTWASI-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C)CCOCC(C)C)c1Cl ZINC001172458319 1051413181 /nfs/dbraw/zinc/41/31/81/1051413181.db2.gz YBSKRDTTXQMSPY-ZDUSSCGKSA-N 0 3 301.833 4.328 20 0 DIADHN Clc1cc2[nH]ncc2c(Nc2cccc(-n3ccnc3)c2)c1 ZINC001215858735 1051418270 /nfs/dbraw/zinc/41/82/70/1051418270.db2.gz CTCIKZWJWOTCTP-UHFFFAOYSA-N 0 3 309.760 4.146 20 0 DIADHN CCCCCC[C@@H](CCCC)n1cnc(CN)c1C(=O)OCC ZINC001172510455 1051426813 /nfs/dbraw/zinc/42/68/13/1051426813.db2.gz CGRAPQZKRWSJAW-OAHLLOKOSA-N 0 3 323.481 4.220 20 0 DIADHN CCCCCC[C@@H](CCCC)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001172512203 1051428442 /nfs/dbraw/zinc/42/84/42/1051428442.db2.gz NSAFKWVXOSAWPF-IAGOWNOFSA-N 0 3 317.539 4.025 20 0 DIADHN CCCCCC[C@H](CCCC)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001172512319 1051429140 /nfs/dbraw/zinc/42/91/40/1051429140.db2.gz CZPOTZOUJLIKFC-ULQDDVLXSA-N 0 3 305.453 4.260 20 0 DIADHN Brc1sccc1CNC[C@@H]1C[C@@H]1C1CCCC1 ZINC001204089030 1051430587 /nfs/dbraw/zinc/43/05/87/1051430587.db2.gz JVMDVRAOMYTROI-QWHCGFSZSA-N 0 3 314.292 4.427 20 0 DIADHN Cc1ccc(C2(N[C@@H]3CC[C@@H](c4ccccc4)C3)COC2)cc1 ZINC001172551094 1051434492 /nfs/dbraw/zinc/43/44/92/1051434492.db2.gz LTJAWHFXHMBLHA-UYAOXDASSA-N 0 3 307.437 4.146 20 0 DIADHN O=C(CN[C@H]1CC[C@@H](c2ccccc2)C1)c1ccc(F)cc1F ZINC001172551624 1051435600 /nfs/dbraw/zinc/43/56/00/1051435600.db2.gz RFPCQPHUEHRTRD-ZBFHGGJFSA-N 0 3 315.363 4.073 20 0 DIADHN C[C@H](NC[C@@H]1SCCc2ccccc21)c1cccnc1Cl ZINC000766485912 1051436211 /nfs/dbraw/zinc/43/62/11/1051436211.db2.gz BSBIGGHCGWCGCR-LRDDRELGSA-N 0 3 318.873 4.416 20 0 DIADHN C[C@@H](N)c1ccn([C@H](C)CCc2c(F)cc(Cl)cc2F)n1 ZINC001172567639 1051444060 /nfs/dbraw/zinc/44/40/60/1051444060.db2.gz KYNCVGDHRMIGQL-NXEZZACHSA-N 0 3 313.779 4.028 20 0 DIADHN CSc1cc(F)cc(Nc2ccc3c(c2)CN(C)CC3)c1 ZINC001215905910 1051444404 /nfs/dbraw/zinc/44/44/04/1051444404.db2.gz YGBVQCFMMNEDEQ-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN C[C@@H](CCc1c(F)cc(Cl)cc1F)N1CCCO[C@@H](C)C1 ZINC001172569131 1051447227 /nfs/dbraw/zinc/44/72/27/1051447227.db2.gz DRKATEBQAJTHGK-RYUDHWBXSA-N 0 3 317.807 4.050 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)N(C)Cc1ccno1 ZINC001172576329 1051449960 /nfs/dbraw/zinc/44/99/60/1051449960.db2.gz COFJKUYRFBURSB-SNVBAGLBSA-N 0 3 314.763 4.059 20 0 DIADHN O=C(CN[C@@H]1CCC[C@@H](c2ccccc2)C1)c1ccc(F)cc1 ZINC001172538823 1051452348 /nfs/dbraw/zinc/45/23/48/1051452348.db2.gz UMNYLWGBLMQADS-IEBWSBKVSA-N 0 3 311.400 4.324 20 0 DIADHN Cc1ccc(C(=O)CN[C@@H]2CCC[C@@H](c3ccccc3)C2)cc1 ZINC001172540063 1051453167 /nfs/dbraw/zinc/45/31/67/1051453167.db2.gz LMZIDDYGIGCBEJ-WOJBJXKFSA-N 0 3 307.437 4.494 20 0 DIADHN C[C@@H](NCCNc1ccccc1)c1ccc(F)cc1OC(F)F ZINC000766514975 1051454326 /nfs/dbraw/zinc/45/43/26/1051454326.db2.gz KCDIFNKVLQQDQT-GFCCVEGCSA-N 0 3 324.346 4.190 20 0 DIADHN Cc1cccc(NC(=O)CN(CC(C)(C)C)CC(C)(C)C)c1 ZINC001168901537 1051459225 /nfs/dbraw/zinc/45/92/25/1051459225.db2.gz ANPJLYRCOBIVNM-UHFFFAOYSA-N 0 3 304.478 4.328 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)C[C@@H]1CCC2(CCCC2)O1 ZINC001168918979 1051468578 /nfs/dbraw/zinc/46/85/78/1051468578.db2.gz TYBOONJFTXBSNZ-AWEZNQCLSA-N 0 3 311.828 4.403 20 0 DIADHN CCCC[C@H](CC)CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C ZINC001168919328 1051468785 /nfs/dbraw/zinc/46/87/85/1051468785.db2.gz YIOYKZYIYNSVLO-HOTGVXAUSA-N 0 3 312.498 4.144 20 0 DIADHN CC(C)N(CC(=O)c1ccccc1)C1CC(C)(C)OC(C)(C)C1 ZINC001172659072 1051474722 /nfs/dbraw/zinc/47/47/22/1051474722.db2.gz MHPDLZKXDVMOCI-UHFFFAOYSA-N 0 3 317.473 4.316 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2CC=C(C(C)(C)C)CC2)cc1 ZINC001168931599 1051476132 /nfs/dbraw/zinc/47/61/32/1051476132.db2.gz HHKFFUOLXXFCOB-UHFFFAOYSA-N 0 3 315.457 4.430 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN([C@@H]2Cc3ccc(Cl)cc3C2)C1 ZINC001172720186 1051482143 /nfs/dbraw/zinc/48/21/43/1051482143.db2.gz WSQUVBWTRZHWQP-KGLIPLIRSA-N 0 3 321.745 4.031 20 0 DIADHN Cc1ccc2nc(Cl)c(CN3C[C@H]4CCC[C@@H]4C3)cc2c1 ZINC001137918925 1051485428 /nfs/dbraw/zinc/48/54/28/1051485428.db2.gz URRUVDBEUFDCOK-ZIAGYGMSSA-N 0 3 300.833 4.429 20 0 DIADHN O=C1CCC2(CC1)CCN([C@H]1Cc3ccc(Cl)cc3C1)CC2 ZINC001172725423 1051485567 /nfs/dbraw/zinc/48/55/67/1051485567.db2.gz SRZQBPXGXTZMCZ-KRWDZBQOSA-N 0 3 317.860 4.033 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172726950 1051485841 /nfs/dbraw/zinc/48/58/41/1051485841.db2.gz ZIPWDQCGCNHGKZ-INIZCTEOSA-N 0 3 307.771 4.217 20 0 DIADHN c1cc2c[nH]cc(NCCOc3cccc4ccccc43)c-2n1 ZINC001169013817 1051487999 /nfs/dbraw/zinc/48/79/99/1051487999.db2.gz QAXOZLXKMHPHBL-UHFFFAOYSA-N 0 3 303.365 4.207 20 0 DIADHN c1cc2cncc(NCCOc3cccc4ccccc43)c2[nH]1 ZINC001169013817 1051488014 /nfs/dbraw/zinc/48/80/14/1051488014.db2.gz QAXOZLXKMHPHBL-UHFFFAOYSA-N 0 3 303.365 4.207 20 0 DIADHN COC(=O)c1cccc2c1CN(C1CCC3(CCCC3)CC1)C2 ZINC001172730076 1051488158 /nfs/dbraw/zinc/48/81/58/1051488158.db2.gz UZAFWYJFGLIBHJ-UHFFFAOYSA-N 0 3 313.441 4.292 20 0 DIADHN CCN(CCC1CC1)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001169015584 1051489537 /nfs/dbraw/zinc/48/95/37/1051489537.db2.gz ZFFMRGJSWGHFBE-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)CCC(=O)OC(C)C)c(F)c1F ZINC001172744823 1051489835 /nfs/dbraw/zinc/48/98/35/1051489835.db2.gz FTBFOEXNWBDKQQ-STQMWFEESA-N 0 3 313.388 4.044 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)NCc1cc(Cl)ccc1Cl ZINC001172757228 1051498254 /nfs/dbraw/zinc/49/82/54/1051498254.db2.gz VBHROYUHUOGVIT-LLVKDONJSA-N 0 3 318.244 4.203 20 0 DIADHN CC[C@@H](N[C@@H](C)CCC(=O)OC(C)C)c1ccc(F)cc1F ZINC001172761959 1051498406 /nfs/dbraw/zinc/49/84/06/1051498406.db2.gz UYPVUMSVQNXNAJ-BLLLJJGKSA-N 0 3 313.388 4.126 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)NC(=N)c1cccnc1Cl ZINC001169061704 1051502293 /nfs/dbraw/zinc/50/22/93/1051502293.db2.gz NKUGGAOYNUCUJU-OAHLLOKOSA-N 0 3 315.848 4.307 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CC[C@H](c2cccc(Cl)c2)C1 ZINC001172772269 1051502421 /nfs/dbraw/zinc/50/24/21/1051502421.db2.gz CNMYGYYTZZAQFF-ZBFHGGJFSA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CC[C@H](c2cccc(Cl)c2)C1 ZINC001172772268 1051502994 /nfs/dbraw/zinc/50/29/94/1051502994.db2.gz CNMYGYYTZZAQFF-HOCLYGCPSA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001172772266 1051503534 /nfs/dbraw/zinc/50/35/34/1051503534.db2.gz CNMYGYYTZZAQFF-GDBMZVCRSA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)NCC(=O)c1cccc(F)c1 ZINC001169067636 1051504393 /nfs/dbraw/zinc/50/43/93/1051504393.db2.gz IUUPKEVYBYERCW-LJQANCHMSA-N 0 3 313.416 4.255 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cnccc1Cl ZINC001172836461 1051519897 /nfs/dbraw/zinc/51/98/97/1051519897.db2.gz FAKPFZSFSWQBDU-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccc2c(c1)C[C@H](NCc1c(F)cc(F)cc1F)CC2 ZINC001172834646 1051520307 /nfs/dbraw/zinc/52/03/07/1051520307.db2.gz HIJKQTKKPGWHBT-OAHLLOKOSA-N 0 3 305.343 4.059 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N[C@H]1COc2ccc(F)cc21 ZINC001172841459 1051529616 /nfs/dbraw/zinc/52/96/16/1051529616.db2.gz KNXMLRKIVACGSN-HWPZZCPQSA-N 0 3 323.770 4.273 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1ccc(Cl)cn1 ZINC001172842230 1051530025 /nfs/dbraw/zinc/53/00/25/1051530025.db2.gz PXYOTDIVYNTGLG-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN CCCC[C@H](N[C@H](C)c1cn(-c2ccccc2)nn1)C1CCC1 ZINC001169111838 1051530552 /nfs/dbraw/zinc/53/05/52/1051530552.db2.gz LKWYGOMZTFPIJK-QAPCUYQASA-N 0 3 312.461 4.277 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N[C@@H]1COc2ccc(F)cc21 ZINC001172841462 1051530511 /nfs/dbraw/zinc/53/05/11/1051530511.db2.gz KNXMLRKIVACGSN-MGPLVRAMSA-N 0 3 323.770 4.273 20 0 DIADHN Cc1ccc2c(c1)C[C@H](NCc1cc(-c3ccccc3)on1)CC2 ZINC001172843483 1051532211 /nfs/dbraw/zinc/53/22/11/1051532211.db2.gz SDLJUXSXMDBIHD-LJQANCHMSA-N 0 3 318.420 4.297 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N[C@H]1COc2ccccc21 ZINC001172843788 1051532674 /nfs/dbraw/zinc/53/26/74/1051532674.db2.gz ZGAMMMMRMTYDGH-BZNIZROVSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cccnc1Cl ZINC001172847078 1051533903 /nfs/dbraw/zinc/53/39/03/1051533903.db2.gz ZHCKKGALBLGXHS-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N[C@H](C)c1ccc(F)cn1 ZINC001172846271 1051534554 /nfs/dbraw/zinc/53/45/54/1051534554.db2.gz TYGGCOHOACBDCA-GHMZBOCLSA-N 0 3 310.775 4.295 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001172848153 1051536339 /nfs/dbraw/zinc/53/63/39/1051536339.db2.gz SPCSBKIQPBVBHA-WZRBSPASSA-N 0 3 317.782 4.387 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC001169179726 1051547443 /nfs/dbraw/zinc/54/74/43/1051547443.db2.gz YUGUXHFIIBWNDS-KBXIAJHMSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)n1nc(CN)c2ccccc21 ZINC001172863689 1051550130 /nfs/dbraw/zinc/55/01/30/1051550130.db2.gz PGBVBKBYQLYHJM-LLVKDONJSA-N 0 3 317.795 4.091 20 0 DIADHN CN1CCc2ccc(Nc3ccc(N)c(C(F)(F)F)c3)cc2C1 ZINC001216054109 1051550956 /nfs/dbraw/zinc/55/09/56/1051550956.db2.gz GTIWSNZTODLZSL-UHFFFAOYSA-N 0 3 321.346 4.019 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@H]2CCCC(F)(F)C2)nc1 ZINC001169214421 1051551738 /nfs/dbraw/zinc/55/17/38/1051551738.db2.gz YJGFLTXMHSJURB-LSDHHAIUSA-N 0 3 309.404 4.228 20 0 DIADHN CC[C@H](N[C@H]1CCc2c1cccc2OC)c1ccccc1OC ZINC000177926674 1051554442 /nfs/dbraw/zinc/55/44/42/1051554442.db2.gz RBXBPKVQXBWFKE-ROUUACIJSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](N[C@H]1CCCOC1)c1ccccc1OCc1ccccc1 ZINC000177929065 1051555374 /nfs/dbraw/zinc/55/53/74/1051555374.db2.gz KRYAKYSMPOQUCR-WMZOPIPTSA-N 0 3 311.425 4.095 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccccc2)c2ccccn2)cc1F ZINC000177981175 1051555845 /nfs/dbraw/zinc/55/58/45/1051555845.db2.gz IJEIGNQROLWHOX-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN Cc1cccc(CN[C@H](C)CSc2ccc(Cl)cc2)n1 ZINC001172872432 1051556092 /nfs/dbraw/zinc/55/60/92/1051556092.db2.gz YZPGCHSRDSDQSC-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NCc1cncc(F)c1 ZINC001172875034 1051558249 /nfs/dbraw/zinc/55/82/49/1051558249.db2.gz KXBWTDIHIQRNPQ-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NC1(c2ccccn2)CC1 ZINC001172875602 1051558446 /nfs/dbraw/zinc/55/84/46/1051558446.db2.gz QOPSMINYVAWPOQ-CYBMUJFWSA-N 0 3 318.873 4.495 20 0 DIADHN CN(C)C[C@H](NCc1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000669999496 1051566610 /nfs/dbraw/zinc/56/66/10/1051566610.db2.gz AAAQDMNGVSKRIH-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCCC[C@H]2C)cc1Cl ZINC001169283203 1051568501 /nfs/dbraw/zinc/56/85/01/1051568501.db2.gz HRROMCWHFTUQJH-CJNGLKHVSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1ccc(CN[C@@H]2CCc3ccc(Br)cc32)cc1 ZINC000311714578 1051569415 /nfs/dbraw/zinc/56/94/15/1051569415.db2.gz KQZFWEBRWZLIJD-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN C[C@@H](CO)N(Cc1ccc(Cl)cc1Cl)C1CCCC1 ZINC001169283789 1051570710 /nfs/dbraw/zinc/57/07/10/1051570710.db2.gz MIUSNQPMUWJMKV-NSHDSACASA-N 0 3 302.245 4.119 20 0 DIADHN CN(C)Cc1ccc(-c2nc(-c3ccc4occc4c3)no2)cc1 ZINC001216084437 1051571010 /nfs/dbraw/zinc/57/10/10/1051571010.db2.gz PUYRZCFKGHMOTM-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CO[C@H]1CCC[C@H](NC2c3ccccc3Oc3ccccc32)C1 ZINC001172922108 1051572509 /nfs/dbraw/zinc/57/25/09/1051572509.db2.gz FUZAJJVJTYYMMW-GJZGRUSLSA-N 0 3 309.409 4.429 20 0 DIADHN C[C@H](N[C@H](CN1CCCC1)c1ccccc1)c1ccsc1 ZINC000178500140 1051574469 /nfs/dbraw/zinc/57/44/69/1051574469.db2.gz MWSDWGAZAJLBBX-MAUKXSAKSA-N 0 3 300.471 4.236 20 0 DIADHN CC(C)[C@](C)(O)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000311893365 1051575393 /nfs/dbraw/zinc/57/53/93/1051575393.db2.gz BCACNTQKWBJYAT-LKFCYVNXSA-N 0 3 308.224 4.190 20 0 DIADHN C[C@@H](NC[C@](C)(O)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000311973049 1051576924 /nfs/dbraw/zinc/57/69/24/1051576924.db2.gz PIYIJWJKANCFQN-CLAHSXSESA-N 0 3 322.663 4.458 20 0 DIADHN COc1cc(Br)ccc1[C@@H](C)NCC1=CCCC1 ZINC001169315398 1051576871 /nfs/dbraw/zinc/57/68/71/1051576871.db2.gz IQNLQCXJXHXTSZ-LLVKDONJSA-N 0 3 310.235 4.219 20 0 DIADHN Fc1cccc(Cl)c1CNC1CCC2(CCOCC2)CC1 ZINC001173039933 1051590451 /nfs/dbraw/zinc/59/04/51/1051590451.db2.gz VDWQKXREFQWPQD-UHFFFAOYSA-N 0 3 311.828 4.308 20 0 DIADHN Fc1ccc(CCN2CC(Cc3ccc(Cl)cc3)C2)c(F)c1 ZINC001206187215 1051590806 /nfs/dbraw/zinc/59/08/06/1051590806.db2.gz SIBGSXSRDSDTDV-UHFFFAOYSA-N 0 3 321.798 4.335 20 0 DIADHN C[C@H]1CC[C@H](N2CC3(C2)CCCCC3)CN1C(=O)OC(C)(C)C ZINC001173067843 1051602268 /nfs/dbraw/zinc/60/22/68/1051602268.db2.gz ZIBLTIRPMSRAQU-HOTGVXAUSA-N 0 3 322.493 4.041 20 0 DIADHN CCCOC1CCC(N2CCc3cc(Cl)ccc3C2)CC1 ZINC001173100501 1051605513 /nfs/dbraw/zinc/60/55/13/1051605513.db2.gz LQRBUMDZMTYANN-UHFFFAOYSA-N 0 3 307.865 4.436 20 0 DIADHN CC(C)Oc1ccc(CN2CCC[C@H]3COCC[C@H]32)cc1Cl ZINC000493332426 1051611807 /nfs/dbraw/zinc/61/18/07/1051611807.db2.gz BTUCCEUURKUJQT-DOTOQJQBSA-N 0 3 323.864 4.128 20 0 DIADHN Cc1cccc2c1[C@H](NC1CCC3(CCCCC3)CC1)C(=O)N2 ZINC001173115408 1051611996 /nfs/dbraw/zinc/61/19/96/1051611996.db2.gz YYWAWDLZOYUYGS-SFHVURJKSA-N 0 3 312.457 4.471 20 0 DIADHN O=C(CNC1CCC2(CCCCC2)CC1)c1ccccc1F ZINC001173114690 1051612330 /nfs/dbraw/zinc/61/23/30/1051612330.db2.gz GJJONZIWGCUXFL-UHFFFAOYSA-N 0 3 303.421 4.491 20 0 DIADHN CCN(CC)C(=O)C[C@@H](C)N[C@H](CC(C)C)c1ccccc1F ZINC001169443416 1051615398 /nfs/dbraw/zinc/61/53/98/1051615398.db2.gz GPJMAIONLRTQEY-CRAIPNDOSA-N 0 3 322.468 4.150 20 0 DIADHN CCCOC1CCC(N(CC(=O)c2ccccc2)C(C)C)CC1 ZINC001173099429 1051621152 /nfs/dbraw/zinc/62/11/52/1051621152.db2.gz CNBCOEYFGDBNBE-UHFFFAOYSA-N 0 3 317.473 4.318 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nnc(-c2ccccc2)o1 ZINC000493443742 1051622458 /nfs/dbraw/zinc/62/24/58/1051622458.db2.gz UMXBWYLJJZEPRQ-NVXWUHKLSA-N 0 3 313.445 4.431 20 0 DIADHN Fc1cccc(F)c1CNC1CCC(Oc2ccccc2)CC1 ZINC001173191201 1051627887 /nfs/dbraw/zinc/62/78/87/1051627887.db2.gz BACLINBUUXVCRM-UHFFFAOYSA-N 0 3 317.379 4.445 20 0 DIADHN Cc1nc([C@H](C)NC2CCC(Oc3ccccc3)CC2)cs1 ZINC001173197155 1051629040 /nfs/dbraw/zinc/62/90/40/1051629040.db2.gz CYCJXJJRNCKGDG-KTAKPFMOSA-N 0 3 316.470 4.492 20 0 DIADHN CN(C)Cc1cccc(-n2ccc3c2cc(Cl)cc3N)c1F ZINC001216231477 1051640270 /nfs/dbraw/zinc/64/02/70/1051640270.db2.gz AMHDSHYIRQVRAP-UHFFFAOYSA-N 0 3 317.795 4.067 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)n1ccc(CN)n1 ZINC001173205136 1051643374 /nfs/dbraw/zinc/64/33/74/1051643374.db2.gz MXEZXXOKVOSIRW-MRXNPFEDSA-N 0 3 305.425 4.125 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)NCc1nccn1C ZINC001173208572 1051647088 /nfs/dbraw/zinc/64/70/88/1051647088.db2.gz QXGXCRRCHFUSTN-KRWDZBQOSA-N 0 3 319.452 4.120 20 0 DIADHN CCc1ccc(C[C@H](C)N[C@@H](c2ncccc2F)C2CC2)cc1 ZINC001173249792 1051653358 /nfs/dbraw/zinc/65/33/58/1051653358.db2.gz LJJONVLWHUIYMM-IFXJQAMLSA-N 0 3 312.432 4.455 20 0 DIADHN CCCC[C@H](CC(C)C)n1nc(-c2ccncc2)cc1CN ZINC001173251557 1051655237 /nfs/dbraw/zinc/65/52/37/1051655237.db2.gz BMVXUYYNPTZJDR-MRXNPFEDSA-N 0 3 300.450 4.181 20 0 DIADHN CC[C@H]1CC[C@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001173266607 1051665990 /nfs/dbraw/zinc/66/59/90/1051665990.db2.gz FVSQVHCDSDLBGJ-JQWIXIFHSA-N 0 3 300.229 4.344 20 0 DIADHN CC[C@H]1CC[C@H](NCc2csc(-c3cccc(OC)c3)n2)C1 ZINC001173270374 1051667205 /nfs/dbraw/zinc/66/72/05/1051667205.db2.gz BCWHMDPJJFIVSA-ZFWWWQNUSA-N 0 3 316.470 4.487 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1cc(F)c(F)c(F)c1 ZINC001168460012 1051669830 /nfs/dbraw/zinc/66/98/30/1051669830.db2.gz XXQRPKXSSCPXCI-UHFFFAOYSA-N 0 3 323.358 4.143 20 0 DIADHN CCc1ccc(C[C@@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC001173237345 1051671282 /nfs/dbraw/zinc/67/12/82/1051671282.db2.gz BCPMXEZHWAKNGQ-GFCCVEGCSA-N 0 3 306.812 4.157 20 0 DIADHN CCc1ccc(C[C@@H](C)N2CCC[C@H]2c2nccs2)cc1 ZINC001173242057 1051672769 /nfs/dbraw/zinc/67/27/69/1051672769.db2.gz CQXZCWUTXLFHCH-PBHICJAKSA-N 0 3 300.471 4.474 20 0 DIADHN Cn1cc([C@H](NCC2(C)CCCCC2)c2cccc(F)c2)cn1 ZINC000493998084 1051675700 /nfs/dbraw/zinc/67/57/00/1051675700.db2.gz ZXXHPROEJIRNPU-GOSISDBHSA-N 0 3 315.436 4.209 20 0 DIADHN CCCc1csc(CNC[C@H]2CCCO[C@@H]2C(C)(C)C)n1 ZINC000494018912 1051678353 /nfs/dbraw/zinc/67/83/53/1051678353.db2.gz REZHZXNSRRCYJC-CJNGLKHVSA-N 0 3 310.507 4.027 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccc2c(c1)COC2 ZINC000805835025 1051687095 /nfs/dbraw/zinc/68/70/95/1051687095.db2.gz KVORHMIGGVZYNK-IBGZPJMESA-N 0 3 311.425 4.309 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000805838491 1051688608 /nfs/dbraw/zinc/68/86/08/1051688608.db2.gz COGOEBBXKZYNOB-DOTOQJQBSA-N 0 3 319.445 4.312 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000805838494 1051689522 /nfs/dbraw/zinc/68/95/22/1051689522.db2.gz COGOEBBXKZYNOB-WBVHZDCISA-N 0 3 319.445 4.312 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCS[C@H](C)CC1 ZINC000494133306 1051695494 /nfs/dbraw/zinc/69/54/94/1051695494.db2.gz BUQKNSADSIINKP-NEPJUHHUSA-N 0 3 314.292 4.338 20 0 DIADHN Cc1ccc(CN)nc1N(C)[C@@H]1CCCC2(CCCCC2)C1 ZINC001173339573 1051698461 /nfs/dbraw/zinc/69/84/61/1051698461.db2.gz OVGZIULSNNOZBQ-QGZVFWFLSA-N 0 3 301.478 4.178 20 0 DIADHN O=C(CN[C@H]1CCCC2(CCCCC2)C1)c1ccccc1F ZINC001173347436 1051706197 /nfs/dbraw/zinc/70/61/97/1051706197.db2.gz CMKIWENBSCOYRK-HNNXBMFYSA-N 0 3 303.421 4.491 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H](Cc2ccccc2)C2CC2)n1 ZINC000766857244 1051711423 /nfs/dbraw/zinc/71/14/23/1051711423.db2.gz GBGPQMHKXQSDEK-HNNXBMFYSA-N 0 3 321.251 4.499 20 0 DIADHN COC(=O)CCCN(Cc1ccc(C)s1)Cc1ccccc1 ZINC001204029662 1051723913 /nfs/dbraw/zinc/72/39/13/1051723913.db2.gz AREGJGIVPBTGHC-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H](C)c2ccc(NC(=O)C3CC3)cc2)c1 ZINC000184266222 1051729531 /nfs/dbraw/zinc/72/95/31/1051729531.db2.gz APBQLAOCZQGHGR-LSDHHAIUSA-N 0 3 323.440 4.150 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN(C)[C@@H]1CCC(C)(C)C1 ZINC001169941796 1051731349 /nfs/dbraw/zinc/73/13/49/1051731349.db2.gz FUCFSMOWNXAOSJ-CQSZACIVSA-N 0 3 308.853 4.097 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2cccc(F)c2F)cc1 ZINC001203149590 1051734583 /nfs/dbraw/zinc/73/45/83/1051734583.db2.gz OUGWJLJWEFPBQF-DOTOQJQBSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1cc(C)c(CN[C@H]2CCc3nc(Cl)ccc32)c(C)c1 ZINC000806135543 1051734599 /nfs/dbraw/zinc/73/45/99/1051734599.db2.gz LEEQIEWMNMFYDO-INIZCTEOSA-N 0 3 300.833 4.437 20 0 DIADHN C[C@H](N)c1nccn1[C@@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173544186 1051737701 /nfs/dbraw/zinc/73/77/01/1051737701.db2.gz PYSMLEKYKQXVNQ-QWRGUYRKSA-N 0 3 312.244 4.403 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806128984 1051742432 /nfs/dbraw/zinc/74/24/32/1051742432.db2.gz ADQLZAFDWCKHEF-LRDDRELGSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1cnccn1 ZINC001173552885 1051744682 /nfs/dbraw/zinc/74/46/82/1051744682.db2.gz FQCKHECNAMLOPO-LBPRGKRZSA-N 0 3 324.255 4.237 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000806172235 1051746662 /nfs/dbraw/zinc/74/66/62/1051746662.db2.gz IFYOSMBAVBVSSR-WOJBJXKFSA-N 0 3 312.432 4.014 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(Cl)ccc3O)cccc21 ZINC001216403241 1051749209 /nfs/dbraw/zinc/74/92/09/1051749209.db2.gz NXJJOVSWUISQNH-OAHLLOKOSA-N 0 3 318.804 4.174 20 0 DIADHN CC(=O)[C@@H]1CCCN1[C@@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173550139 1051752161 /nfs/dbraw/zinc/75/21/61/1051752161.db2.gz LACBOVPYTXNHIA-ZBEGNZNMSA-N 0 3 314.256 4.368 20 0 DIADHN Cc1cc2occ(C(=O)Nc3cccc(CN(C)C)c3)c2cc1C ZINC000185131576 1051755335 /nfs/dbraw/zinc/75/53/35/1051755335.db2.gz KCICWVJMFMONRG-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN FC(F)c1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC000185181663 1051757426 /nfs/dbraw/zinc/75/74/26/1051757426.db2.gz QLDGUBKOBCZIIL-UHFFFAOYSA-N 0 3 313.351 4.304 20 0 DIADHN CSCc1cccc(CN[C@H]2CCc3nc(Cl)ccc32)c1 ZINC000806161719 1051762855 /nfs/dbraw/zinc/76/28/55/1051762855.db2.gz SLFCEWIQKXUQBV-HNNXBMFYSA-N 0 3 318.873 4.375 20 0 DIADHN CSCc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806164973 1051766096 /nfs/dbraw/zinc/76/60/96/1051766096.db2.gz BPUNDGPJTJOBIM-HNNXBMFYSA-N 0 3 318.873 4.375 20 0 DIADHN C[C@H](CCOCc1ccccc1)N[C@@H](C)c1cccnc1Cl ZINC000806183824 1051768552 /nfs/dbraw/zinc/76/85/52/1051768552.db2.gz XYYBLIUYQBPISB-CABCVRRESA-N 0 3 318.848 4.381 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000185604749 1051775563 /nfs/dbraw/zinc/77/55/63/1051775563.db2.gz XMPSQDNNACBGQT-RHSMWYFYSA-N 0 3 302.462 4.177 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1ccc(F)cc1Br ZINC000219263793 1051777953 /nfs/dbraw/zinc/77/79/53/1051777953.db2.gz YJZIUCMBMCJXKW-JTQLQIEISA-N 0 3 318.230 4.054 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1ccc(F)cc1Br ZINC000219263847 1051779360 /nfs/dbraw/zinc/77/93/60/1051779360.db2.gz YJZIUCMBMCJXKW-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN CC(C)(O)c1cc(F)cc(Nc2ccnc(C3CCC3)c2)c1 ZINC001216491627 1051789248 /nfs/dbraw/zinc/78/92/48/1051789248.db2.gz XAUKXVZIKHLJNF-UHFFFAOYSA-N 0 3 300.377 4.459 20 0 DIADHN CC(=O)c1cccc(Nc2ccccc2CN2CCCC2)c1F ZINC001216500863 1051795271 /nfs/dbraw/zinc/79/52/71/1051795271.db2.gz MBNFBYFZHFJHTM-UHFFFAOYSA-N 0 3 312.388 4.368 20 0 DIADHN O=C(CCN1CCc2ccccc2[C@@H]1c1ccccc1)C1CC1 ZINC000767373957 1051798853 /nfs/dbraw/zinc/79/88/53/1051798853.db2.gz OHGPFSXFVDSGMI-NRFANRHFSA-N 0 3 305.421 4.003 20 0 DIADHN CC(C)(C)c1cc(Nc2cncn2Cc2ccccc2)ccn1 ZINC001216549586 1051812579 /nfs/dbraw/zinc/81/25/79/1051812579.db2.gz NCPGAYOXCNEGRH-UHFFFAOYSA-N 0 3 306.413 4.368 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000186435190 1051815801 /nfs/dbraw/zinc/81/58/01/1051815801.db2.gz NQSDUBYAEFKDEW-OAQYLSRUSA-N 0 3 323.436 4.105 20 0 DIADHN CCCOc1cccc(CN2CC[C@]3(C2)OCc2ccccc23)c1 ZINC000186448838 1051817298 /nfs/dbraw/zinc/81/72/98/1051817298.db2.gz VEJWLWLINNTTDD-OAQYLSRUSA-N 0 3 323.436 4.107 20 0 DIADHN CCC1(CC)CCN(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000186485173 1051820438 /nfs/dbraw/zinc/82/04/38/1051820438.db2.gz KUZHUPFYNZZBJV-UHFFFAOYSA-N 0 3 317.477 4.182 20 0 DIADHN Cc1cc(C)c(CNCc2cncc(Br)c2)c(C)c1 ZINC000186610858 1051824293 /nfs/dbraw/zinc/82/42/93/1051824293.db2.gz ZBSZZRUFSSMPLR-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN C[C@@H](N[C@@H](c1ccco1)c1ccccc1)C1CCSCC1 ZINC000186950468 1051833894 /nfs/dbraw/zinc/83/38/94/1051833894.db2.gz OJFJPFTUFALTLZ-RDTXWAMCSA-N 0 3 301.455 4.490 20 0 DIADHN CN1CCc2ccc(Nc3cccc(C(F)(F)F)c3)cc2C1 ZINC001173825115 1051844544 /nfs/dbraw/zinc/84/45/44/1051844544.db2.gz WBYQEBDQWCBYIF-UHFFFAOYSA-N 0 3 306.331 4.437 20 0 DIADHN Cc1noc2ccc(Nc3cccc4c3OCC[C@H]4N(C)C)cc12 ZINC001216640798 1051848594 /nfs/dbraw/zinc/84/85/94/1051848594.db2.gz BLYPIZPWKAKMOD-QGZVFWFLSA-N 0 3 323.396 4.265 20 0 DIADHN CCOC(CN(Cc1ccc2ccccc2c1)C1CC1)OCC ZINC000767759520 1051848638 /nfs/dbraw/zinc/84/86/38/1051848638.db2.gz UCLSYCGACULALT-UHFFFAOYSA-N 0 3 313.441 4.203 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000767903954 1051865091 /nfs/dbraw/zinc/86/50/91/1051865091.db2.gz ANNAZKILCLCPDK-INIZCTEOSA-N 0 3 317.408 4.276 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cc3ccccc3nc2Cl)C1 ZINC000767939684 1051866943 /nfs/dbraw/zinc/86/69/43/1051866943.db2.gz HMVXXDYZMYITOA-CQSZACIVSA-N 0 3 318.848 4.137 20 0 DIADHN C[C@](O)(CNCc1ccc(Cl)cc1Cl)c1cccs1 ZINC000153019472 1051873240 /nfs/dbraw/zinc/87/32/40/1051873240.db2.gz WFCSMSUEFFZQRC-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN C[C@H](N[C@H]1CCCC1(C)C)c1nc(Br)ccc1F ZINC000798182839 1051875586 /nfs/dbraw/zinc/87/55/86/1051875586.db2.gz KJOYRIWPTDJRFJ-ONGXEEELSA-N 0 3 315.230 4.213 20 0 DIADHN CCC[C@H](C)[C@@H](CC)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494345856 1051875856 /nfs/dbraw/zinc/87/58/56/1051875856.db2.gz FARPGJQEYULWAQ-RRFJBIMHSA-N 0 3 315.465 4.041 20 0 DIADHN CCC[C@H](C)[C@@H](CC)[NH2+][C@H](C)c1nc(-c2cc(C)ccn2)n[n-]1 ZINC000494345856 1051875866 /nfs/dbraw/zinc/87/58/66/1051875866.db2.gz FARPGJQEYULWAQ-RRFJBIMHSA-N 0 3 315.465 4.041 20 0 DIADHN CCNc1nc(CN2CC[C@H](c3ccc(C)cc3C)C2)cs1 ZINC001169979778 1051876607 /nfs/dbraw/zinc/87/66/07/1051876607.db2.gz PTWBJMCAGSCPEH-HNNXBMFYSA-N 0 3 315.486 4.181 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc3nc(C)sc3c2)c1 ZINC000494356197 1051878889 /nfs/dbraw/zinc/87/88/89/1051878889.db2.gz JTWDBEDTPRHHOB-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN CCOc1cccc(CNCc2ccc3nc(C)sc3c2)c1 ZINC000494356415 1051878941 /nfs/dbraw/zinc/87/89/41/1051878941.db2.gz KMQFKGKIWDQMQK-UHFFFAOYSA-N 0 3 312.438 4.293 20 0 DIADHN CCCc1csc(CN[C@@H](C)CCc2ccc(OC)cc2)n1 ZINC000494355744 1051879184 /nfs/dbraw/zinc/87/91/84/1051879184.db2.gz HVFWYTQWIZLGCA-AWEZNQCLSA-N 0 3 318.486 4.215 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000768096167 1051879561 /nfs/dbraw/zinc/87/95/61/1051879561.db2.gz HOUSZGNDPXJOOE-VXGBXAGGSA-N 0 3 307.796 4.383 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000768096159 1051880044 /nfs/dbraw/zinc/88/00/44/1051880044.db2.gz HOUSZGNDPXJOOE-NWDGAFQWSA-N 0 3 307.796 4.383 20 0 DIADHN CC(C)n1ncc2cc(CN3C[C@@H](C)C[C@H](C)C3)c(Cl)nc21 ZINC000768137326 1051887021 /nfs/dbraw/zinc/88/70/21/1051887021.db2.gz MAAXCJMFFHKCPL-STQMWFEESA-N 0 3 320.868 4.144 20 0 DIADHN CCCN(CC[S@@](=O)c1ccccc1)Cc1ccc(C)cc1 ZINC000609203784 1051893073 /nfs/dbraw/zinc/89/30/73/1051893073.db2.gz QMOMWBSTGOXFPE-JOCHJYFZSA-N 0 3 315.482 4.015 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1CCOc2c(F)cccc21 ZINC000494479710 1051900475 /nfs/dbraw/zinc/90/04/75/1051900475.db2.gz YOGCURHGOYPPQZ-KKXDTOCCSA-N 0 3 315.388 4.015 20 0 DIADHN Cc1ccc(-c2cc(Nc3ccccc3CN(C)C)on2)cc1 ZINC001173929009 1051900650 /nfs/dbraw/zinc/90/06/50/1051900650.db2.gz IVIGRQWBMABJHD-UHFFFAOYSA-N 0 3 307.397 4.455 20 0 DIADHN CN(C)Cc1ccccc1Nc1csc(-c2ccncc2)n1 ZINC001173928578 1051900772 /nfs/dbraw/zinc/90/07/72/1051900772.db2.gz DXDMLXXDKYLQMU-UHFFFAOYSA-N 0 3 310.426 4.010 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(CCc2ccccc2)no1 ZINC001173928021 1051900861 /nfs/dbraw/zinc/90/08/61/1051900861.db2.gz VBXACJDFVPXQJG-UHFFFAOYSA-N 0 3 321.424 4.265 20 0 DIADHN Cc1nc(C(F)(F)F)c(Nc2ccccc2CN(C)C)s1 ZINC001173929298 1051901443 /nfs/dbraw/zinc/90/14/43/1051901443.db2.gz ORVBHIRNIHMFCW-UHFFFAOYSA-N 0 3 315.364 4.276 20 0 DIADHN COc1ccc2cc(Nc3ccccc3CN(C)C)cnc2c1 ZINC001173930097 1051901771 /nfs/dbraw/zinc/90/17/71/1051901771.db2.gz QCLSQBUZETWALZ-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN COc1ccc2cccnc2c1Nc1ccccc1CN(C)C ZINC001173931445 1051902797 /nfs/dbraw/zinc/90/27/97/1051902797.db2.gz JAZZMEWITYBIQZ-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccccc2)Cc2ccccc21 ZINC000768197691 1051903134 /nfs/dbraw/zinc/90/31/34/1051903134.db2.gz WLROMEUGMXHRFI-OAHLLOKOSA-N 0 3 303.409 4.196 20 0 DIADHN Cc1ccc(C2=CCN(Cc3ccc4c(c3)COC4)CC2)cc1 ZINC000768214775 1051903571 /nfs/dbraw/zinc/90/35/71/1051903571.db2.gz PLAVWMSLFMIRLC-UHFFFAOYSA-N 0 3 305.421 4.315 20 0 DIADHN CC(C)Oc1cccc(F)c1Nc1ccccc1CN(C)C ZINC001173930857 1051903710 /nfs/dbraw/zinc/90/37/10/1051903710.db2.gz QXIGBKZLQDONDF-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc2ncnc(Cl)c2c1 ZINC001173932286 1051904421 /nfs/dbraw/zinc/90/44/21/1051904421.db2.gz UJZGVVUSKZOROZ-UHFFFAOYSA-N 0 3 312.804 4.088 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1cc(Cl)ccc1Cl ZINC000222655916 1051925890 /nfs/dbraw/zinc/92/58/90/1051925890.db2.gz VCOMLDRWNBLLAT-ULKVUYOBSA-N 0 3 300.229 4.212 20 0 DIADHN CCCOC(=O)c1ccc(Nc2ccccc2CN(C)C)cc1 ZINC001173932946 1051905973 /nfs/dbraw/zinc/90/59/73/1051905973.db2.gz VRIPEECXBLLAHR-UHFFFAOYSA-N 0 3 312.413 4.059 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cncc3ccccc32)cc1 ZINC000768237386 1051906741 /nfs/dbraw/zinc/90/67/41/1051906741.db2.gz MIXJFMASRGHRIV-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1cncs1 ZINC000768253667 1051909069 /nfs/dbraw/zinc/90/90/69/1051909069.db2.gz CBCVSEKBOTYPDI-RDTXWAMCSA-N 0 3 324.449 4.194 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(F)ccc3F)c2C1 ZINC001173950148 1051914978 /nfs/dbraw/zinc/91/49/78/1051914978.db2.gz WTQHOEPPLSDUBX-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN C=Cc1cccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC001216972833 1051922268 /nfs/dbraw/zinc/92/22/68/1051922268.db2.gz MGKKBKFHNLEOOT-UHFFFAOYSA-N 0 3 305.381 4.108 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1ccc(Cl)cc1Cl ZINC000222637599 1051926276 /nfs/dbraw/zinc/92/62/76/1051926276.db2.gz CGMLLBYFKVAMNV-ULKVUYOBSA-N 0 3 300.229 4.212 20 0 DIADHN COc1ccc(OC)c(OC)c1Nc1ccnc(C2CCC2)c1 ZINC001174053387 1051940879 /nfs/dbraw/zinc/94/08/79/1051940879.db2.gz LRJLIAUOUNJELE-UHFFFAOYSA-N 0 3 314.385 4.119 20 0 DIADHN COc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c(C)c1 ZINC001174023180 1051954274 /nfs/dbraw/zinc/95/42/74/1051954274.db2.gz BSRKQUTXNATMDI-SFHVURJKSA-N 0 3 312.413 4.132 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN(C)C[C@@H]1CCOC1 ZINC000191087336 1051962160 /nfs/dbraw/zinc/96/21/60/1051962160.db2.gz PPVYBDVRVZODGW-ZDUSSCGKSA-N 0 3 313.894 4.309 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(N(C)C(C)C)nc2)c1C ZINC000191080661 1051962253 /nfs/dbraw/zinc/96/22/53/1051962253.db2.gz OCNUAGMDFWSIIF-QGZVFWFLSA-N 0 3 311.473 4.394 20 0 DIADHN FC(F)(F)CCN(CCc1cccnc1)Cc1ccccc1 ZINC000191242078 1051966235 /nfs/dbraw/zinc/96/62/35/1051966235.db2.gz DHHXMZKPACNWOU-UHFFFAOYSA-N 0 3 308.347 4.079 20 0 DIADHN CC(C)OCCCN(CCC(F)(F)F)Cc1ccccc1 ZINC000191242434 1051967091 /nfs/dbraw/zinc/96/70/91/1051967091.db2.gz WYRCPGMZHOIICS-UHFFFAOYSA-N 0 3 303.368 4.256 20 0 DIADHN C[C@@H]1CC(O)C[C@@H](C)N1Cc1sc2ccccc2c1Cl ZINC000191284579 1051967951 /nfs/dbraw/zinc/96/79/51/1051967951.db2.gz ZLMPXGGEWNUHQM-GHMZBOCLSA-N 0 3 309.862 4.288 20 0 DIADHN Cc1c([C@@H](C)NCCOC2CCCCCC2)cnn1C(C)C ZINC000191296395 1051968414 /nfs/dbraw/zinc/96/84/14/1051968414.db2.gz MIDJICRJGJSBCY-OAHLLOKOSA-N 0 3 307.482 4.162 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccccc3)no2)[C@@H]2CCCC[C@@H]12 ZINC000613416027 1051976166 /nfs/dbraw/zinc/97/61/66/1051976166.db2.gz PMKYJVNFDDKNBL-HYVNUMGLSA-N 0 3 311.429 4.137 20 0 DIADHN CC[C@]1(C)CC(=O)N(CN[C@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000808142532 1051979808 /nfs/dbraw/zinc/97/98/08/1051979808.db2.gz WEYSJXMNIJJRHZ-IEBWSBKVSA-N 0 3 320.452 4.109 20 0 DIADHN c1c(CNCc2ccc(C3CC3)cc2)[nH]nc1-c1ccccc1 ZINC000191681012 1051982207 /nfs/dbraw/zinc/98/22/07/1051982207.db2.gz LQIMORWNTXUNBH-UHFFFAOYSA-N 0 3 303.409 4.244 20 0 DIADHN Cc1ccc(F)c(Nc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC001174112680 1051986533 /nfs/dbraw/zinc/98/65/33/1051986533.db2.gz CZUKZBIAWYGJLV-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccc4occc4c3)n2)cc1 ZINC001217188161 1051991759 /nfs/dbraw/zinc/99/17/59/1051991759.db2.gz ASDYFQSTDZTDAE-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CC(C)n1cc(CN2CCC(c3cc4ccccc4[nH]3)CC2)cn1 ZINC000769120170 1051999202 /nfs/dbraw/zinc/99/92/02/1051999202.db2.gz HJCIEUDSLZSCOP-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@H](C)CC3)c(Cl)nc21 ZINC000769147952 1052000721 /nfs/dbraw/zinc/00/07/21/1052000721.db2.gz JPOKFVMRIBIVQM-ZDUSSCGKSA-N 0 3 320.868 4.288 20 0 DIADHN C[C@H]1CCN(Cc2ccccc2Oc2cccnc2)CCS1 ZINC000192170314 1052002391 /nfs/dbraw/zinc/00/23/91/1052002391.db2.gz KRDPRIAEASWYMT-HNNXBMFYSA-N 0 3 314.454 4.201 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CC[C@H]1CCCC1(F)F)C2 ZINC001170549135 1052003878 /nfs/dbraw/zinc/00/38/78/1052003878.db2.gz KURJWSWVTXRTLM-CQSZACIVSA-N 0 3 307.384 4.065 20 0 DIADHN COc1ccc(CN(C)Cc2cncc3ccccc32)cc1C ZINC000769234543 1052011804 /nfs/dbraw/zinc/01/18/04/1052011804.db2.gz UKDFZYGYVMGROF-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cnc(Cl)c(C)c3)C2)cc1 ZINC000769245491 1052013078 /nfs/dbraw/zinc/01/30/78/1052013078.db2.gz UKRCJRGVECAQGJ-INIZCTEOSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNCCC1(F)CCC1 ZINC000808426996 1052016075 /nfs/dbraw/zinc/01/60/75/1052016075.db2.gz IMWJKTVKUDLJJW-UHFFFAOYSA-N 0 3 321.827 4.206 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(F)cc2)c(C)c1OC ZINC000808384878 1052016134 /nfs/dbraw/zinc/01/61/34/1052016134.db2.gz MDQKBDSBWVYDSY-UHFFFAOYSA-N 0 3 317.404 4.176 20 0 DIADHN CCc1cc(CNCC(C)=Cc2cccc(C(F)(F)F)c2)[nH]n1 ZINC000808390460 1052017489 /nfs/dbraw/zinc/01/74/89/1052017489.db2.gz VJXPYMHYXDQDOY-GHXNOFRVSA-N 0 3 323.362 4.184 20 0 DIADHN CC(C)NC(=O)c1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001174148108 1052018660 /nfs/dbraw/zinc/01/86/60/1052018660.db2.gz UJVOSVDNZXIEJT-UHFFFAOYSA-N 0 3 309.413 4.231 20 0 DIADHN Fc1ccc(-c2ccc(CNCCSC(F)(F)F)o2)cc1 ZINC000225637799 1052019013 /nfs/dbraw/zinc/01/90/13/1052019013.db2.gz XFSCLGHPBDYYAN-UHFFFAOYSA-N 0 3 319.323 4.428 20 0 DIADHN CCC(C)(C)OCCNCc1c(OC)ccc2ccccc21 ZINC000308542940 1052020198 /nfs/dbraw/zinc/02/01/98/1052020198.db2.gz LUFMTLWZYOKSHD-UHFFFAOYSA-N 0 3 301.430 4.143 20 0 DIADHN C[C@H](NCc1cnc(C2CCCC2)s1)[C@@H](O)c1ccccc1 ZINC000808445360 1052021676 /nfs/dbraw/zinc/02/16/76/1052021676.db2.gz OQGTTZRDZPBIJE-SUMWQHHRSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H](NCc1cc(Cl)cs1)c1ccccc1-n1cccn1 ZINC000193150424 1052031533 /nfs/dbraw/zinc/03/15/33/1052031533.db2.gz PGIZQUWECVYLDD-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN O=C(c1cccc(Nc2ccnc(C3CCC3)c2)c1)N1CCCC1 ZINC001174169769 1052031544 /nfs/dbraw/zinc/03/15/44/1052031544.db2.gz HMTIIUXZUNQEIV-UHFFFAOYSA-N 0 3 321.424 4.329 20 0 DIADHN CN(CCCSCc1ccccc1)Cc1ccc(Cl)nc1 ZINC000761668439 1052033683 /nfs/dbraw/zinc/03/36/83/1052033683.db2.gz CUXWVGBCMMGDTE-UHFFFAOYSA-N 0 3 320.889 4.490 20 0 DIADHN CCn1nc(C)c(CN2CCC(c3cccc(F)c3)CC2)c1C ZINC000769388215 1052036321 /nfs/dbraw/zinc/03/63/21/1052036321.db2.gz FGQJULCURNRJMH-UHFFFAOYSA-N 0 3 315.436 4.039 20 0 DIADHN CC(C)NC(=O)c1cccc(Nc2ccnc(C3CCC3)c2)c1 ZINC001174186749 1052037096 /nfs/dbraw/zinc/03/70/96/1052037096.db2.gz XBAXWPDNAFBRIJ-UHFFFAOYSA-N 0 3 309.413 4.231 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC3CCC3)c1)[C@@H](C)C2 ZINC000494745408 1052037228 /nfs/dbraw/zinc/03/72/28/1052037228.db2.gz JBVMSALIARUSGH-YCRPNKLZSA-N 0 3 322.452 4.344 20 0 DIADHN C[C@H](NCc1ccnc(N)c1)c1ccc(Oc2ccccc2)cc1 ZINC000193498103 1052047045 /nfs/dbraw/zinc/04/70/45/1052047045.db2.gz KPXXNKJFBOHLRR-HNNXBMFYSA-N 0 3 319.408 4.307 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000195538998 1052058741 /nfs/dbraw/zinc/05/87/41/1052058741.db2.gz YBNANOPDNRMSGM-IRXDYDNUSA-N 0 3 310.441 4.087 20 0 DIADHN CCc1ccc(CNCc2cc(F)cc(Br)c2)cc1 ZINC000226256977 1052060651 /nfs/dbraw/zinc/06/06/51/1052060651.db2.gz SRVVDVSZSLMBOT-UHFFFAOYSA-N 0 3 322.221 4.440 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3)[C@H]2C)cnc1Cl ZINC000769498026 1052068281 /nfs/dbraw/zinc/06/82/81/1052068281.db2.gz JQHAMELIMQQQKO-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000769502201 1052068780 /nfs/dbraw/zinc/06/87/80/1052068780.db2.gz WVHHVBHRYZMABW-AWEZNQCLSA-N 0 3 311.454 4.201 20 0 DIADHN C[C@H]1C[C@@H](Nc2ccc(N3CCN(C)CC3)cc2)c2ccccc21 ZINC000808714426 1052076265 /nfs/dbraw/zinc/07/62/65/1052076265.db2.gz ZZFKLLTXBMAFJS-HRAATJIYSA-N 0 3 321.468 4.099 20 0 DIADHN C[C@@H](CCc1ccc(C(F)(F)F)cc1)NCc1nccs1 ZINC000193977041 1052085208 /nfs/dbraw/zinc/08/52/08/1052085208.db2.gz GSKQTWWFPHFYBG-NSHDSACASA-N 0 3 314.376 4.273 20 0 DIADHN CCCCNCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000769693559 1052093338 /nfs/dbraw/zinc/09/33/38/1052093338.db2.gz QCGVVTXVRLKWRJ-HNNXBMFYSA-N 0 3 300.471 4.305 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCOc1ccc(C(C)C)cc1 ZINC000769868939 1052112168 /nfs/dbraw/zinc/11/21/68/1052112168.db2.gz BBRBZFZNBLMBDH-CQSZACIVSA-N 0 3 316.445 4.398 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)NCc2ncc(Cl)s2)cc1 ZINC000769918185 1052122296 /nfs/dbraw/zinc/12/22/96/1052122296.db2.gz URQHEJRROJAPDT-WDEREUQCSA-N 0 3 310.850 4.087 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](O)c1ccc2ccccc2c1 ZINC000769873462 1052123860 /nfs/dbraw/zinc/12/38/60/1052123860.db2.gz IFZULCDVCHKYMU-ORAYPTAESA-N 0 3 324.424 4.083 20 0 DIADHN c1cn2c(CN3CCCC4(CC4)C3)c(-c3ccccc3)nc2s1 ZINC000769961878 1052130166 /nfs/dbraw/zinc/13/01/66/1052130166.db2.gz KNETZBMMHCAFNN-UHFFFAOYSA-N 0 3 323.465 4.439 20 0 DIADHN CC1(C)CCc2cc(CNCc3ccc4c(c3)COC4)ccc2O1 ZINC000769927204 1052131687 /nfs/dbraw/zinc/13/16/87/1052131687.db2.gz FUYJCGARCVRRQA-UHFFFAOYSA-N 0 3 323.436 4.110 20 0 DIADHN F[C@@H]1CNCC[C@@H]1O[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217794180 1052144712 /nfs/dbraw/zinc/14/47/12/1052144712.db2.gz KJKWTBFITPMCNO-SQNIBIBYSA-N 0 3 319.807 4.146 20 0 DIADHN Cc1csc(CNCC2(c3cccc(F)c3F)CCCC2)n1 ZINC000494838510 1052146026 /nfs/dbraw/zinc/14/60/26/1052146026.db2.gz NVJIXXMPPIGGRY-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN CCCc1csc(CN[C@H]2CCO[C@@H](c3ccccc3)C2)n1 ZINC000494837445 1052146187 /nfs/dbraw/zinc/14/61/87/1052146187.db2.gz LRLBTLONBSVWFY-DOTOQJQBSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1nc2ccc(CN[C@@H]3CCCc4c(O)cccc43)cc2s1 ZINC000494851021 1052152772 /nfs/dbraw/zinc/15/27/72/1052152772.db2.gz WPMUMGUODCAYSJ-MRXNPFEDSA-N 0 3 324.449 4.478 20 0 DIADHN CSc1ccc(CN2CC[C@H](OCc3ccccc3)C2)cc1 ZINC001204141497 1052157740 /nfs/dbraw/zinc/15/77/40/1052157740.db2.gz UMYABCWGEBRZNK-SFHVURJKSA-N 0 3 313.466 4.200 20 0 DIADHN OCCCN(Cc1cc(Cl)cs1)[C@H]1CCc2ccccc21 ZINC000494921321 1052171607 /nfs/dbraw/zinc/17/16/07/1052171607.db2.gz GVGBTXRTYZPBEG-KRWDZBQOSA-N 0 3 321.873 4.273 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494929825 1052171663 /nfs/dbraw/zinc/17/16/63/1052171663.db2.gz JUQUGSFNGOFLCS-GJZGRUSLSA-N 0 3 320.458 4.039 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)C[C@H](O)c1ccccc1F ZINC000914573241 1052172087 /nfs/dbraw/zinc/17/20/87/1052172087.db2.gz QKHABVJTXBIKQO-GUYCJALGSA-N 0 3 313.372 4.298 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2CCSC2)s1 ZINC000494938431 1052179721 /nfs/dbraw/zinc/17/97/21/1052179721.db2.gz HJWVDWXILBMCIT-CQSZACIVSA-N 0 3 307.484 4.405 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2ccc(C(=O)N(C)C)cc2)c1 ZINC000494941826 1052181321 /nfs/dbraw/zinc/18/13/21/1052181321.db2.gz PSYDBVHOACIMIJ-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN COc1ccc([C@@H](NC[C@H](C)SC)c2ccc(F)cc2)cc1 ZINC000494989250 1052190575 /nfs/dbraw/zinc/19/05/75/1052190575.db2.gz SLSVGRHHBRGOAV-UGSOOPFHSA-N 0 3 319.445 4.265 20 0 DIADHN CSC1(CNCc2nc(-c3ccccc3)cs2)CCC1 ZINC000227946806 1052192526 /nfs/dbraw/zinc/19/25/26/1052192526.db2.gz PUMUJSKNOMGUSV-UHFFFAOYSA-N 0 3 304.484 4.185 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1cccc2c(Cl)ccc(Cl)c12 ZINC001218065735 1052192862 /nfs/dbraw/zinc/19/28/62/1052192862.db2.gz YNVDWQPBWAAGFX-OLZOCXBDSA-N 0 3 314.187 4.225 20 0 DIADHN C[C@H](c1ccccc1Br)N(C)C[C@H]1CCSC1 ZINC000494991169 1052194443 /nfs/dbraw/zinc/19/44/43/1052194443.db2.gz BOXMRNDDWUXKFK-VXGBXAGGSA-N 0 3 314.292 4.195 20 0 DIADHN CN(C)c1ncccc1CN[C@@H](CC(C)(C)C)c1ccccc1 ZINC000495034214 1052199339 /nfs/dbraw/zinc/19/93/39/1052199339.db2.gz ALIOFHHGJKGDNT-SFHVURJKSA-N 0 3 311.473 4.415 20 0 DIADHN CCCC[C@@H](NCc1cc(C)ns1)c1ccc(OC)cc1 ZINC001168476353 1052205134 /nfs/dbraw/zinc/20/51/34/1052205134.db2.gz SUTWCRTZNPQWGM-QGZVFWFLSA-N 0 3 304.459 4.481 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1cccc(-c2ccc(Cl)cc2)c1 ZINC001218249916 1052213146 /nfs/dbraw/zinc/21/31/46/1052213146.db2.gz QUAIXAIMYXWDSB-DLBZAZTESA-N 0 3 305.780 4.086 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@@H](c2ccccc2)C1 ZINC000250204403 1052222807 /nfs/dbraw/zinc/22/28/07/1052222807.db2.gz GTEIULWZVSPUMR-CRAIPNDOSA-N 0 3 319.408 4.287 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1ccccc1OC(F)(F)F ZINC001218320738 1052225687 /nfs/dbraw/zinc/22/56/87/1052225687.db2.gz XXXZRMYIZMAGDU-NSHDSACASA-N 0 3 323.314 4.328 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@H]1CCC(C)(C)O1 ZINC000495147135 1052234766 /nfs/dbraw/zinc/23/47/66/1052234766.db2.gz NZKSBAVZJQLQFN-LBPRGKRZSA-N 0 3 318.244 4.049 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cc3ccccc3[nH]2)C1 ZINC001218410885 1052235677 /nfs/dbraw/zinc/23/56/77/1052235677.db2.gz OQJYOSWHNWSCLZ-DOTOQJQBSA-N 0 3 321.424 4.167 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cc3ccccc3[nH]2)C1 ZINC001218410888 1052236415 /nfs/dbraw/zinc/23/64/15/1052236415.db2.gz OQJYOSWHNWSCLZ-WBVHZDCISA-N 0 3 321.424 4.167 20 0 DIADHN CCc1ccc(C(C)(C)C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000495156491 1052238078 /nfs/dbraw/zinc/23/80/78/1052238078.db2.gz IEHWAEPABHUSFA-UHFFFAOYSA-N 0 3 324.468 4.227 20 0 DIADHN CCSc1cc(CNC/C=C\c2ccc(F)cc2)ccn1 ZINC000495158863 1052240638 /nfs/dbraw/zinc/24/06/38/1052240638.db2.gz SGBBIWUFPXUTDB-ARJAWSKDSA-N 0 3 302.418 4.136 20 0 DIADHN C[C@H]1c2ccc(O[C@H]3CNCc4ccccc43)cc2OC1(C)C ZINC001218484184 1052246244 /nfs/dbraw/zinc/24/62/44/1052246244.db2.gz JLWYYERAHRYQTQ-DJJJIMSYSA-N 0 3 309.409 4.184 20 0 DIADHN Fc1cc(O[C@@H]2CNCc3ccccc32)cc(C(F)(F)F)c1 ZINC001218485730 1052246576 /nfs/dbraw/zinc/24/65/76/1052246576.db2.gz MQAJAPWDAIEUBR-OAHLLOKOSA-N 0 3 311.278 4.068 20 0 DIADHN CCOc1ccc2ccc(O[C@H]3CNCc4ccccc43)cc2c1 ZINC001218487713 1052246939 /nfs/dbraw/zinc/24/69/39/1052246939.db2.gz WBJXKKFMZKBUPF-NRFANRHFSA-N 0 3 319.404 4.462 20 0 DIADHN Clc1ccnc2c(O[C@@H]3CNCc4ccccc43)cccc12 ZINC001218486977 1052247245 /nfs/dbraw/zinc/24/72/45/1052247245.db2.gz GHRZEOZVRYYAHN-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc2ccc(O[C@@H]3CNCc4ccccc43)cc2c1 ZINC001218487676 1052247786 /nfs/dbraw/zinc/24/77/86/1052247786.db2.gz OXNDJGODRCISEC-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1ccc2ncc(O[C@H]3CNCc4ccccc43)cc2c1 ZINC001218486915 1052247802 /nfs/dbraw/zinc/24/78/02/1052247802.db2.gz DYNJVYGXFSRCEH-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1nc2ccccc2cc1O[C@H]1CNCc2ccccc21 ZINC001218486188 1052248207 /nfs/dbraw/zinc/24/82/07/1052248207.db2.gz COAFZUHWTMRIHM-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN CC(=O)c1cc(Cl)c(C)cc1O[C@H]1CNCc2ccccc21 ZINC001218486798 1052248506 /nfs/dbraw/zinc/24/85/06/1052248506.db2.gz FWRMEUYHLUPCTN-SFHVURJKSA-N 0 3 315.800 4.074 20 0 DIADHN CCCc1ccc2cccnc2c1O[C@H]1CNCc2ccccc21 ZINC001218491068 1052248856 /nfs/dbraw/zinc/24/88/56/1052248856.db2.gz UCUKLJCUJRIJNL-IBGZPJMESA-N 0 3 318.420 4.411 20 0 DIADHN Clc1ccc(O[C@H]2CNCc3ccccc32)c2ncccc12 ZINC001218489832 1052249576 /nfs/dbraw/zinc/24/95/76/1052249576.db2.gz WFQCNWKEHVTCGB-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN CCCc1ccc(O[C@H]2CNCc3ccccc32)c(C(C)=O)c1 ZINC001218492508 1052249752 /nfs/dbraw/zinc/24/97/52/1052249752.db2.gz CJNBMELDGLMXTI-FQEVSTJZSA-N 0 3 309.409 4.065 20 0 DIADHN Clc1cnc2c(cccc2O[C@@H]2CNCc3ccccc32)c1 ZINC001218490119 1052249956 /nfs/dbraw/zinc/24/99/56/1052249956.db2.gz QZYJFNRMEFSSLX-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN N[C@H](CC(=O)Nc1ccsc1)c1ccc(Cl)cc1Cl ZINC001218535401 1052251644 /nfs/dbraw/zinc/25/16/44/1052251644.db2.gz LGMRJVZZNJCESP-GFCCVEGCSA-N 0 3 315.225 4.084 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)[C@@H](N)CCCc2ccccc2)cc1 ZINC001218808657 1052256200 /nfs/dbraw/zinc/25/62/00/1052256200.db2.gz IFBBMPPERWEIQN-UZLBHIALSA-N 0 3 324.468 4.489 20 0 DIADHN N[C@H](CC(=O)Nc1ccccc1)c1cccc(Cl)c1Cl ZINC001218809392 1052256813 /nfs/dbraw/zinc/25/68/13/1052256813.db2.gz LHFGTOQUSUKLBT-CYBMUJFWSA-N 0 3 309.196 4.022 20 0 DIADHN Cc1ccc2ccccc2c1NC(=O)c1cc2c(s1)CNCC2 ZINC001218812749 1052257226 /nfs/dbraw/zinc/25/72/26/1052257226.db2.gz YWEAYGXRQRDMPO-UHFFFAOYSA-N 0 3 322.433 4.108 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](N)CCCc1ccccc1 ZINC001218813282 1052257689 /nfs/dbraw/zinc/25/76/89/1052257689.db2.gz YTUOTBMOWKAOER-SFHVURJKSA-N 0 3 310.441 4.099 20 0 DIADHN CCN(Cc1nc2cc(F)ccc2o1)[C@H](C)Cc1ccsc1 ZINC000495224830 1052260941 /nfs/dbraw/zinc/26/09/41/1052260941.db2.gz UCVJZDQCOZKSEX-GFCCVEGCSA-N 0 3 318.417 4.482 20 0 DIADHN C[C@]12C[C@@H]3C[C@](C(=O)Nc4cccc5c4CNC5)(C1)C[C@@](C)(C3)C2 ZINC001218992717 1052266003 /nfs/dbraw/zinc/26/60/03/1052266003.db2.gz CJATWXJVWBMNCY-FYDCZTCXSA-N 0 3 324.468 4.225 20 0 DIADHN CCN(Cc1nc2cccnc2s1)[C@@H](C)Cc1ccsc1 ZINC000495241483 1052267234 /nfs/dbraw/zinc/26/72/34/1052267234.db2.gz GDXAIWQEXJTWDR-LBPRGKRZSA-N 0 3 317.483 4.206 20 0 DIADHN C[C@H](CNCc1nc(-c2ccccc2)cs1)c1nccs1 ZINC000230693673 1052272294 /nfs/dbraw/zinc/27/22/94/1052272294.db2.gz ICRJCVWBRCDYSM-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN OCCCCCCCN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000761985818 1052281164 /nfs/dbraw/zinc/28/11/64/1052281164.db2.gz CXRZRZAINOXXHS-QGZVFWFLSA-N 0 3 316.445 4.298 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@H](CC)c1ccc(Cl)cc1 ZINC000642330742 1052297413 /nfs/dbraw/zinc/29/74/13/1052297413.db2.gz MCVONSCQKJCDGI-CZUORRHYSA-N 0 3 310.869 4.028 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(SC)cc2)cc1 ZINC000393367610 1052318509 /nfs/dbraw/zinc/31/85/09/1052318509.db2.gz AGGCNOVNAGNPSI-ZDUSSCGKSA-N 0 3 315.438 4.046 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000670160924 1052328138 /nfs/dbraw/zinc/32/81/38/1052328138.db2.gz VHIRGSFXVWHZDB-IAQYHMDHSA-N 0 3 323.893 4.058 20 0 DIADHN COC[C@@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000303555022 1052331339 /nfs/dbraw/zinc/33/13/39/1052331339.db2.gz JOGIXUHNKPUMNJ-GFCCVEGCSA-N 0 3 309.862 4.413 20 0 DIADHN CN(Cc1coc(-c2cccs2)n1)[C@@H]1CCc2ccccc2C1 ZINC000303586797 1052332953 /nfs/dbraw/zinc/33/29/53/1052332953.db2.gz QRUABNLMUHBELE-QGZVFWFLSA-N 0 3 324.449 4.392 20 0 DIADHN CN(Cc1cc(-c2cccs2)on1)[C@@H]1CCc2ccccc2C1 ZINC000303578646 1052333380 /nfs/dbraw/zinc/33/33/80/1052333380.db2.gz OGZYKAGFXVQSSY-QGZVFWFLSA-N 0 3 324.449 4.392 20 0 DIADHN CCCCN(CC(=O)N1CCCCC1)[C@@H](CC)c1ccccc1 ZINC000303654088 1052336863 /nfs/dbraw/zinc/33/68/63/1052336863.db2.gz UYSFXONQCBGTKJ-IBGZPJMESA-N 0 3 316.489 4.252 20 0 DIADHN CSCCCCCNCc1cccc(F)c1Br ZINC000235152591 1052408504 /nfs/dbraw/zinc/40/85/04/1052408504.db2.gz KGBFCKDWGVZFJY-UHFFFAOYSA-N 0 3 320.271 4.211 20 0 DIADHN CC(C)COc1ccccc1CNCc1cccc2[nH]ccc21 ZINC000236654701 1052429408 /nfs/dbraw/zinc/42/94/08/1052429408.db2.gz YOXYJSARWNIIRF-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN C[C@H](CN(C)[C@H](C)c1ccccc1Cl)C(=O)OC(C)(C)C ZINC000319841338 1052434155 /nfs/dbraw/zinc/43/41/55/1052434155.db2.gz OSIZEMBVMWYHFG-CHWSQXEVSA-N 0 3 311.853 4.311 20 0 DIADHN COc1cccc(Cl)c1CNCCc1c[nH]c2ccccc12 ZINC000237272342 1052438204 /nfs/dbraw/zinc/43/82/04/1052438204.db2.gz KQTRNRDUPRKVFW-UHFFFAOYSA-N 0 3 314.816 4.162 20 0 DIADHN Nc1cc(Br)ccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC000094047349 1052439702 /nfs/dbraw/zinc/43/97/02/1052439702.db2.gz MVNDEGBIRDOWID-CHWSQXEVSA-N 0 3 323.278 4.043 20 0 DIADHN CCCCCC[C@@H](C)NC(=O)N1CCN(C2CCCCC2)CC1 ZINC001222084043 1052440899 /nfs/dbraw/zinc/44/08/99/1052440899.db2.gz GRNCWAXYLLEODX-QGZVFWFLSA-N 0 3 323.525 4.005 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000237597067 1052442822 /nfs/dbraw/zinc/44/28/22/1052442822.db2.gz UJRCFQHOKRMXQV-WMLDXEAASA-N 0 3 322.408 4.042 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc3ccccc3s2)C12CCCC2 ZINC000315919819 1052454326 /nfs/dbraw/zinc/45/43/26/1052454326.db2.gz QYZYMTWINBJARR-HOTGVXAUSA-N 0 3 316.470 4.124 20 0 DIADHN FC(F)(F)Sc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC001203452920 1052456920 /nfs/dbraw/zinc/45/69/20/1052456920.db2.gz OTQNZQCDBDAASB-NXEZZACHSA-N 0 3 315.282 4.339 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)Cc1cccc2nsnc21 ZINC000762928911 1052457830 /nfs/dbraw/zinc/45/78/30/1052457830.db2.gz ZWHPHMIWEZCSNL-UHFFFAOYSA-N 0 3 321.808 4.116 20 0 DIADHN Cc1cc(-c2ncccc2CN2CCCC2)c(F)cc1Cl ZINC001222226281 1052464731 /nfs/dbraw/zinc/46/47/31/1052464731.db2.gz PIOMGLREPBMPBP-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN C[C@H](NCc1cccc2c1OCO2)c1cc2c(s1)CCCC2 ZINC000316626183 1052469715 /nfs/dbraw/zinc/46/97/15/1052469715.db2.gz LYOVPJLOVJMPRK-LBPRGKRZSA-N 0 3 315.438 4.206 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccc(C(C)(C)C)cc1 ZINC000393575367 1052487460 /nfs/dbraw/zinc/48/74/60/1052487460.db2.gz PTGVWBURTGTGLK-HNNXBMFYSA-N 0 3 307.441 4.483 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001235641075 1052490096 /nfs/dbraw/zinc/49/00/96/1052490096.db2.gz ABCSNOSSXWKAGB-XJKSGUPXSA-N 0 3 323.278 4.307 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-c2ccccc2)cc1)C(=O)NC(C)(C)C ZINC000326010490 1052507995 /nfs/dbraw/zinc/50/79/95/1052507995.db2.gz LOTDIPIUCVPYRO-JKSUJKDBSA-N 0 3 324.468 4.307 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H]1CCc2c1cc(F)cc2F ZINC000154628515 1052509188 /nfs/dbraw/zinc/50/91/88/1052509188.db2.gz IDMAQJGFZBVILT-ROUUACIJSA-N 0 3 303.327 4.368 20 0 DIADHN C[C@@H]1CCCCN1Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC000054152611 1052513975 /nfs/dbraw/zinc/51/39/75/1052513975.db2.gz DOJIHMOJJYXWHJ-MRXNPFEDSA-N 0 3 308.425 4.313 20 0 DIADHN CC1CCN(Cc2cccc(C(=O)Nc3ccccc3)c2)CC1 ZINC000054152602 1052514147 /nfs/dbraw/zinc/51/41/47/1052514147.db2.gz IEABEDIWHFQYTH-UHFFFAOYSA-N 0 3 308.425 4.171 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC(c2ncc[nH]2)CC1 ZINC000347458222 1052529293 /nfs/dbraw/zinc/52/92/93/1052529293.db2.gz FJHWUOHATUNKNA-MRXNPFEDSA-N 0 3 305.372 4.019 20 0 DIADHN Cc1cc(C)cc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001222775091 1052534445 /nfs/dbraw/zinc/53/44/45/1052534445.db2.gz MAXFSIAOZMHBPQ-ICSRJNTNSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1C ZINC001222850601 1052538808 /nfs/dbraw/zinc/53/88/08/1052538808.db2.gz BMATUSSQFKGENK-QUCCMNQESA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1C ZINC001222850602 1052539082 /nfs/dbraw/zinc/53/90/82/1052539082.db2.gz BMATUSSQFKGENK-UYAOXDASSA-N 0 3 324.468 4.441 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000107071454 1052543724 /nfs/dbraw/zinc/54/37/24/1052543724.db2.gz SZDKMGJACPHSLT-CVEARBPZSA-N 0 3 310.441 4.488 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)cc1 ZINC000107070206 1052543851 /nfs/dbraw/zinc/54/38/51/1052543851.db2.gz XVZISGHJAYQLBB-KBPBESRZSA-N 0 3 314.454 4.086 20 0 DIADHN CSc1ccccc1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000107071039 1052544372 /nfs/dbraw/zinc/54/43/72/1052544372.db2.gz WTAUCETXERQSLE-KGLIPLIRSA-N 0 3 314.454 4.086 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000107070992 1052544392 /nfs/dbraw/zinc/54/43/92/1052544392.db2.gz ZMZRBROLNVFTPL-HZPDHXFCSA-N 0 3 324.468 4.489 20 0 DIADHN COc1ccccc1-c1noc([C@@H](C)NC[C@H](C)C(C)(C)C)n1 ZINC000670270088 1052545443 /nfs/dbraw/zinc/54/54/43/1052545443.db2.gz UFNIKTHEWFTLTJ-QWHCGFSZSA-N 0 3 317.433 4.078 20 0 DIADHN COc1cccc(-c2noc([C@H](C)NC[C@H](C)C(C)(C)C)n2)c1 ZINC000670270143 1052545615 /nfs/dbraw/zinc/54/56/15/1052545615.db2.gz ZXLOSUMPPHINRS-STQMWFEESA-N 0 3 317.433 4.078 20 0 DIADHN Brc1csc(CN[C@H]2CCc3ccccc32)c1 ZINC000034937988 1052546205 /nfs/dbraw/zinc/54/62/05/1052546205.db2.gz ATUBIUFNGJRQPB-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN CN(CC1CC1)Cn1ccc(-c2cc(Cl)ccc2Cl)n1 ZINC000732978475 1052558873 /nfs/dbraw/zinc/55/88/73/1052558873.db2.gz LHFGDKIAKGPFEX-UHFFFAOYSA-N 0 3 310.228 4.156 20 0 DIADHN Cc1cccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1C ZINC001223033367 1052559076 /nfs/dbraw/zinc/55/90/76/1052559076.db2.gz ULUMPUGWMKLIKB-AZUAARDMSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1cccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1C ZINC001223033369 1052559186 /nfs/dbraw/zinc/55/91/86/1052559186.db2.gz ULUMPUGWMKLIKB-ICSRJNTNSA-N 0 3 324.468 4.441 20 0 DIADHN Fc1ccc([C@H](NCCOC(F)(F)F)c2ccccc2)cc1 ZINC000398120818 1052566239 /nfs/dbraw/zinc/56/62/39/1052566239.db2.gz IDJNKBIVXOYBHV-OAHLLOKOSA-N 0 3 313.294 4.041 20 0 DIADHN CCCC[C@H](CC)CNC(=S)Nc1cccc(CN(C)C)c1 ZINC000916010530 1052581832 /nfs/dbraw/zinc/58/18/32/1052581832.db2.gz IVHFVLRWSZGTQY-HNNXBMFYSA-N 0 3 321.534 4.251 20 0 DIADHN COc1ccc([C@@H](NCc2cc(F)cc(F)c2)C2CC2)cc1 ZINC001168508415 1052587150 /nfs/dbraw/zinc/58/71/50/1052587150.db2.gz BZFHYEKRGWYPBM-SFHVURJKSA-N 0 3 303.352 4.214 20 0 DIADHN CC[C@@H](NCc1sc(C)nc1C)c1ccc2c(c1)CCCO2 ZINC000154003475 1052610180 /nfs/dbraw/zinc/61/01/80/1052610180.db2.gz KXHISDXFOQOEAA-MRXNPFEDSA-N 0 3 316.470 4.326 20 0 DIADHN C[C@H](NCC[C@H]1CCCO1)c1cc(F)c(Cl)cc1Cl ZINC000038096341 1052630850 /nfs/dbraw/zinc/63/08/50/1052630850.db2.gz ORUBQZNHEYCZKD-VHSXEESVSA-N 0 3 306.208 4.352 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc(C)s2)c2ccccc12 ZINC000123666637 1052632823 /nfs/dbraw/zinc/63/28/23/1052632823.db2.gz FIOQVOABGHHARL-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN Clc1ccc(Br)cc1CN[C@H]1CCCSC1 ZINC000124357158 1052640211 /nfs/dbraw/zinc/64/02/11/1052640211.db2.gz XBSLLLAGBYWBPZ-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN Cc1cc(CN(C)[C@H](C)c2cccc(O)c2)c2ccccc2n1 ZINC000124764146 1052643681 /nfs/dbraw/zinc/64/36/81/1052643681.db2.gz XHNYOFUUMOIHFK-OAHLLOKOSA-N 0 3 306.409 4.442 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000125124332 1052646121 /nfs/dbraw/zinc/64/61/21/1052646121.db2.gz GIRLFGMVLSTBHV-STQMWFEESA-N 0 3 319.836 4.401 20 0 DIADHN Cc1nccn1CCCCN(Cc1ccco1)Cc1ccccc1 ZINC000125456338 1052649296 /nfs/dbraw/zinc/64/92/96/1052649296.db2.gz TVPRCGMNORGWFD-UHFFFAOYSA-N 0 3 323.440 4.267 20 0 DIADHN C/C=C/COc1ccc(CC[C@H](C)NCc2cc(C)no2)cc1 ZINC000125575059 1052651021 /nfs/dbraw/zinc/65/10/21/1052651021.db2.gz VPYRWWSKUHACSI-RGDDUWESSA-N 0 3 314.429 4.049 20 0 DIADHN C[C@H](O[C@H]1CC[C@@H](N2CCOCC2)CC1)c1ccc(Cl)cc1 ZINC001223965155 1052656449 /nfs/dbraw/zinc/65/64/49/1052656449.db2.gz YMTXHBKDQWEERI-JCGIZDLHSA-N 0 3 323.864 4.061 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CNC[C@H]1C[C@H]1C ZINC000916834389 1052657047 /nfs/dbraw/zinc/65/70/47/1052657047.db2.gz QJXJWCNZKJLGGA-ZYHUDNBSSA-N 0 3 324.255 4.233 20 0 DIADHN COc1ccc(Cl)cc1CNCCO[C@H]1CCCC[C@@H]1C ZINC000916838677 1052658166 /nfs/dbraw/zinc/65/81/66/1052658166.db2.gz QPGQCICCVGHNRR-BBRMVZONSA-N 0 3 311.853 4.034 20 0 DIADHN COc1ccc(Cl)cc1CNCCO[C@@H]1CCCC[C@@H]1C ZINC000916838680 1052658414 /nfs/dbraw/zinc/65/84/14/1052658414.db2.gz QPGQCICCVGHNRR-XJKSGUPXSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1ccc([C@H]2CCCN2C[C@@H](O)c2c(F)cccc2F)cc1 ZINC000916968076 1052675356 /nfs/dbraw/zinc/67/53/56/1052675356.db2.gz CBMZWVPCFBDXOH-QZTJIDSGSA-N 0 3 317.379 4.144 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1F ZINC000126895841 1052684321 /nfs/dbraw/zinc/68/43/21/1052684321.db2.gz WQTXCXBCLJRMTH-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](OCC2CCC(C)CC2)C1 ZINC001224314972 1052687954 /nfs/dbraw/zinc/68/79/54/1052687954.db2.gz SFVRNQWVQTUBDF-JEVOJNTISA-N 0 3 316.489 4.450 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OCC2CCC(C)CC2)C1 ZINC001224314975 1052688945 /nfs/dbraw/zinc/68/89/45/1052688945.db2.gz SFVRNQWVQTUBDF-VLOYRQLPSA-N 0 3 316.489 4.450 20 0 DIADHN Cc1ccc(N2CCCN([C@@H](C)c3ccccc3F)CC2)cc1 ZINC000917089612 1052696292 /nfs/dbraw/zinc/69/62/92/1052696292.db2.gz LQDUKBRRGOJXAP-KRWDZBQOSA-N 0 3 312.432 4.407 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)cc(OC)c1 ZINC000067501710 1052705503 /nfs/dbraw/zinc/70/55/03/1052705503.db2.gz AMMOMAHLASEHEQ-GOSISDBHSA-N 0 3 317.816 4.134 20 0 DIADHN COc1cc(CNCc2ccccc2-c2ccccc2)ccn1 ZINC000917394584 1052723345 /nfs/dbraw/zinc/72/33/45/1052723345.db2.gz HCWMWBUGBHIVIY-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(=O)oc3c(C)c(C)ccc23)c1 ZINC000917841956 1052759828 /nfs/dbraw/zinc/75/98/28/1052759828.db2.gz RBAQFXNYVVVSHO-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cccc(Cl)c2)C1 ZINC001225232938 1052760080 /nfs/dbraw/zinc/76/00/80/1052760080.db2.gz ZMVAGLKFTSZVSK-PBHICJAKSA-N 0 3 316.832 4.339 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1ccccc1-n1cccn1 ZINC000128914535 1052761304 /nfs/dbraw/zinc/76/13/04/1052761304.db2.gz ZLBXMYMDSNGJHB-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001225249687 1052762495 /nfs/dbraw/zinc/76/24/95/1052762495.db2.gz FUZACTHBFJSSCL-PBHICJAKSA-N 0 3 316.832 4.339 20 0 DIADHN CC(C)(C)c1ccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)cc1 ZINC001225257666 1052764305 /nfs/dbraw/zinc/76/43/05/1052764305.db2.gz NASMNLHIZSJHSV-UAPYVXQJSA-N 0 3 317.473 4.006 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937594 1052765879 /nfs/dbraw/zinc/76/58/79/1052765879.db2.gz HHVDVIDUBQXXCG-HUUCEWRRSA-N 0 3 318.505 4.328 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3c(c2)CCC3)C1 ZINC001225267961 1052766032 /nfs/dbraw/zinc/76/60/32/1052766032.db2.gz SZLGTTSTWUTDRY-OXJNMPFZSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc3ccccc3c2)C1 ZINC001225268208 1052766293 /nfs/dbraw/zinc/76/62/93/1052766293.db2.gz USBHPPIQPPJHNI-OXJNMPFZSA-N 0 3 318.420 4.449 20 0 DIADHN Cc1cc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)ccc1F ZINC001225325922 1052773701 /nfs/dbraw/zinc/77/37/01/1052773701.db2.gz UNZFGSJUWPIVRB-DOTOQJQBSA-N 0 3 314.404 4.134 20 0 DIADHN CC(C)c1cc(Br)ccc1O[C@H]1CCCN(C)C1 ZINC001225383565 1052782984 /nfs/dbraw/zinc/78/29/84/1052782984.db2.gz CGAYOOZOQJBUKC-ZDUSSCGKSA-N 0 3 312.251 4.046 20 0 DIADHN CC(F)(F)CCCN1CCC[C@H]1CC(=O)c1cccs1 ZINC000930016305 1052789692 /nfs/dbraw/zinc/78/96/92/1052789692.db2.gz AZNKQOTXXPRWQO-LBPRGKRZSA-N 0 3 301.402 4.221 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000129453817 1052789866 /nfs/dbraw/zinc/78/98/66/1052789866.db2.gz ONXDHNHOPKAOCF-MORSLUCNSA-N 0 3 319.399 4.196 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000129481219 1052790594 /nfs/dbraw/zinc/79/05/94/1052790594.db2.gz DTDAEVKIBKXMEP-ZDUSSCGKSA-N 0 3 324.346 4.172 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H](c2nccn2C)C1 ZINC000444796332 1052793502 /nfs/dbraw/zinc/79/35/02/1052793502.db2.gz BGHPMFUOZGWIEU-GXTWGEPZSA-N 0 3 321.827 4.153 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(C2CC2)c1)C(=O)Nc1ccccc1 ZINC000556118277 1052803458 /nfs/dbraw/zinc/80/34/58/1052803458.db2.gz AQWFATUXUYDJGI-HUUCEWRRSA-N 0 3 308.425 4.242 20 0 DIADHN Clc1cc(O[C@H]2CCN(Cc3ccccc3)C2)cc(Cl)n1 ZINC001225611005 1052808223 /nfs/dbraw/zinc/80/82/23/1052808223.db2.gz VIWAOEJLPYVAMH-ZDUSSCGKSA-N 0 3 323.223 4.042 20 0 DIADHN c1ccc(CN2CCC(Oc3ccc4cccnc4c3)CC2)cc1 ZINC001225647607 1052812370 /nfs/dbraw/zinc/81/23/70/1052812370.db2.gz SOLSJWXYODZYBF-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccsc1 ZINC000556239022 1052824737 /nfs/dbraw/zinc/82/47/37/1052824737.db2.gz OWQHOCHIHRWCPF-STQMWFEESA-N 0 3 315.417 4.485 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@@H](c1ccc(Br)cc1)C1CC1 ZINC000556257673 1052828955 /nfs/dbraw/zinc/82/89/55/1052828955.db2.gz JMXLTUIREVUXOB-RRFJBIMHSA-N 0 3 312.226 4.380 20 0 DIADHN CC(C)CCCCCCNC(=O)c1cccc(CN(C)C)c1 ZINC000918758749 1052830291 /nfs/dbraw/zinc/83/02/91/1052830291.db2.gz DJUKCCOPUWEZQB-UHFFFAOYSA-N 0 3 304.478 4.085 20 0 DIADHN CC(=O)c1cccc(O[C@@H]2CCN(Cc3ccccc3)C[C@@H]2C)c1 ZINC001225814664 1052834783 /nfs/dbraw/zinc/83/47/83/1052834783.db2.gz QCCGFRQDFPKPOF-HRAATJIYSA-N 0 3 323.436 4.179 20 0 DIADHN COc1ccc2c(c1)CN(CCSC)[C@H](c1ccccc1)C2 ZINC000556321225 1052836858 /nfs/dbraw/zinc/83/68/58/1052836858.db2.gz GXGGJMDDJDSWNG-IBGZPJMESA-N 0 3 313.466 4.158 20 0 DIADHN C[C@@H](NCCc1nc2c(s1)CCCC2)c1cc(F)ccc1F ZINC000498497369 1052843405 /nfs/dbraw/zinc/84/34/05/1052843405.db2.gz YVVPOXHQDGOIJJ-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN CC(C)N1CCC[C@H](Oc2cccc(C(F)(F)F)c2F)C1 ZINC001225925222 1052848979 /nfs/dbraw/zinc/84/89/79/1052848979.db2.gz VATBDTNQTXPFKQ-NSHDSACASA-N 0 3 305.315 4.096 20 0 DIADHN Fc1cc(F)c(OC2CCN(Cc3ccccc3)CC2)c(F)c1 ZINC001225934733 1052850286 /nfs/dbraw/zinc/85/02/86/1052850286.db2.gz QWVPFIYHTVUJKA-UHFFFAOYSA-N 0 3 321.342 4.147 20 0 DIADHN COc1ncc([C@@H](C)NCc2ccc(C)cc2C)cc1Cl ZINC000556588351 1052868527 /nfs/dbraw/zinc/86/85/27/1052868527.db2.gz CSGKHDOPTDJMJF-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN CC1(C)CCc2ccccc2[C@H](NCc2cnc3n2CCCC3)C1 ZINC000556608907 1052871023 /nfs/dbraw/zinc/87/10/23/1052871023.db2.gz LGMHMEOYHPFSRI-LJQANCHMSA-N 0 3 323.484 4.413 20 0 DIADHN CC(C)[C@@H](NCc1ccnc(OC(F)F)c1)c1ccccc1 ZINC000556608865 1052871548 /nfs/dbraw/zinc/87/15/48/1052871548.db2.gz OXOBJGLMQRWKMJ-MRXNPFEDSA-N 0 3 306.356 4.170 20 0 DIADHN CCc1cccc(CC)c1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001226177539 1052874689 /nfs/dbraw/zinc/87/46/89/1052874689.db2.gz OOSWNLJZANXQHF-QFBILLFUSA-N 0 3 324.468 4.421 20 0 DIADHN C[C@@H]([C@H](Oc1cnccc1Cl)c1ccccc1)N1CCCC1 ZINC001226295192 1052884118 /nfs/dbraw/zinc/88/41/18/1052884118.db2.gz FRMGVRJAHBTHFZ-KSSFIOAISA-N 0 3 316.832 4.339 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCCc2occc2C1 ZINC000556877558 1052890653 /nfs/dbraw/zinc/89/06/53/1052890653.db2.gz JBUJJEZJQUOBRC-VBKFSLOCSA-N 0 3 311.425 4.278 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2Cl)CCCC1)c1ncc[nH]1 ZINC000556889977 1052894861 /nfs/dbraw/zinc/89/48/61/1052894861.db2.gz MTKOVCLFPNFBIR-LBPRGKRZSA-N 0 3 321.827 4.365 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)C1(C)C ZINC000556891220 1052895253 /nfs/dbraw/zinc/89/52/53/1052895253.db2.gz CHUZTDPHLBIONO-GVDBMIGSSA-N 0 3 319.493 4.213 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)cc1F ZINC000556909786 1052897211 /nfs/dbraw/zinc/89/72/11/1052897211.db2.gz LOMIRSMUXQZUQD-IBGZPJMESA-N 0 3 313.416 4.128 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCC2)c(C)c1 ZINC000556910230 1052897289 /nfs/dbraw/zinc/89/72/89/1052897289.db2.gz QFYJYEHSEZALKE-HXUWFJFHSA-N 0 3 309.453 4.297 20 0 DIADHN Cc1cc(Cl)cc(CN2CC3(CCOCC3)[C@@H]2C2CC2)c1 ZINC000556950526 1052903164 /nfs/dbraw/zinc/90/31/64/1052903164.db2.gz BLDNKSOCKVLOOG-KRWDZBQOSA-N 0 3 305.849 4.039 20 0 DIADHN Cc1cc(OC2CCN(C3CC3)CC2)nc2ccc(Cl)cc12 ZINC001226516257 1052905618 /nfs/dbraw/zinc/90/56/18/1052905618.db2.gz ZOEYBZRIFPVGPU-UHFFFAOYSA-N 0 3 316.832 4.202 20 0 DIADHN Cc1ccc2c(c1)[C@H]1CN(C)CC[C@H]1N2C(=O)C=C1CCCCC1 ZINC000919993161 1052908976 /nfs/dbraw/zinc/90/89/76/1052908976.db2.gz OHZQPEVQWFCHEZ-UYAOXDASSA-N 0 3 324.468 4.020 20 0 DIADHN CC(C)c1ccc([C@H]2CCCCN2Cc2cnc(N)s2)cc1 ZINC000557002324 1052910382 /nfs/dbraw/zinc/91/03/82/1052910382.db2.gz PURFGRFPUCGWQL-QGZVFWFLSA-N 0 3 315.486 4.576 20 0 DIADHN CC(C)N(Cc1cccc(F)c1)C[C@@H](O)c1c(F)cccc1F ZINC000920089879 1052918028 /nfs/dbraw/zinc/91/80/28/1052918028.db2.gz XMTDITWRHRGHMH-QGZVFWFLSA-N 0 3 323.358 4.048 20 0 DIADHN Nc1cc(O[C@H](c2ccccc2)c2ccc(Cl)cc2)ccn1 ZINC001226655757 1052919404 /nfs/dbraw/zinc/91/94/04/1052919404.db2.gz HRWYABVVXAQSKW-GOSISDBHSA-N 0 3 310.784 4.486 20 0 DIADHN Nc1cc(O[C@H](/C=C/c2ccccc2)c2ccccc2)ccn1 ZINC001226653824 1052919503 /nfs/dbraw/zinc/91/95/03/1052919503.db2.gz PVLFXMYIULDMOJ-TZZQJPOUSA-N 0 3 302.377 4.497 20 0 DIADHN Fc1ccc(Cl)cc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001226690286 1052922193 /nfs/dbraw/zinc/92/21/93/1052922193.db2.gz LYGVLEYBFLXTGC-HNNXBMFYSA-N 0 3 305.780 4.132 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNCc1cccs1 ZINC000920412637 1052938648 /nfs/dbraw/zinc/93/86/48/1052938648.db2.gz AWDAHFXMGKHKKU-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN Cl/C=C\CN1CCC[C@H]1Cc1ccc(Br)cc1 ZINC000920780801 1052949810 /nfs/dbraw/zinc/94/98/10/1052949810.db2.gz YRBOUNXIJZVHCB-OLUUIJGHSA-N 0 3 314.654 4.209 20 0 DIADHN CC(=O)c1cc(C)ccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001227085257 1052959407 /nfs/dbraw/zinc/95/94/07/1052959407.db2.gz CTFGPNDANFGDNC-LJQANCHMSA-N 0 3 324.332 4.387 20 0 DIADHN C[C@H](N[C@@H](C1CC1)[C@@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000655788615 1052967873 /nfs/dbraw/zinc/96/78/73/1052967873.db2.gz LUNNHGVWUNIQLC-NUTKFTJISA-N 0 3 320.502 4.020 20 0 DIADHN CC[C@H](C)c1ccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)cc1 ZINC001227327095 1052982869 /nfs/dbraw/zinc/98/28/69/1052982869.db2.gz CCUIGFCCSWATSU-QRFRQXIXSA-N 0 3 317.473 4.222 20 0 DIADHN CCOC(=O)CCCCCN(C)[C@H](C)c1cc(F)ccc1F ZINC000609798183 1052992810 /nfs/dbraw/zinc/99/28/10/1052992810.db2.gz GPUIXBKNTKARAT-CYBMUJFWSA-N 0 3 313.388 4.081 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2cccs2)cc1 ZINC001227465620 1052993231 /nfs/dbraw/zinc/99/32/31/1052993231.db2.gz ZSAYVNPQUSQRHB-INIZCTEOSA-N 0 3 303.427 4.023 20 0 DIADHN C[C@H](C#N)Oc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000237582550 1053013009 /nfs/dbraw/zinc/01/30/09/1053013009.db2.gz OWVWXVOWOQOUFU-ZIAGYGMSSA-N 0 3 314.816 4.482 20 0 DIADHN O=[N+]([O-])c1ccc(Cl)c(CNC[C@H]2C[C@H]2c2ccccc2)c1 ZINC000237828368 1053031806 /nfs/dbraw/zinc/03/18/06/1053031806.db2.gz HLUFDJRIELYJFF-CJNGLKHVSA-N 0 3 316.788 4.142 20 0 DIADHN CCC(=O)c1cccc(O[C@H](CCN(C)C)c2ccccc2)c1 ZINC001228115240 1053045109 /nfs/dbraw/zinc/04/51/09/1053045109.db2.gz NXRYJRYNHWCIHP-HXUWFJFHSA-N 0 3 311.425 4.351 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cccc3[nH]ccc32)C1 ZINC001228179579 1053050769 /nfs/dbraw/zinc/05/07/69/1053050769.db2.gz JYDSHKCDFWSBEK-JKSUJKDBSA-N 0 3 321.424 4.167 20 0 DIADHN Fc1ccccc1CN1CCC[C@H](Oc2cccc3[nH]ccc32)C1 ZINC001228179493 1053050834 /nfs/dbraw/zinc/05/08/34/1053050834.db2.gz HPQZCTYTQQCIIQ-INIZCTEOSA-N 0 3 324.399 4.350 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(CSC(F)F)o2)C(C)(C)O1 ZINC000180367545 1053055344 /nfs/dbraw/zinc/05/53/44/1053055344.db2.gz JEVKOCWAXCHDSC-LBPRGKRZSA-N 0 3 319.417 4.171 20 0 DIADHN CCc1ccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001228250868 1053057473 /nfs/dbraw/zinc/05/74/73/1053057473.db2.gz GGJJCJTXYHAXPX-QFBILLFUSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1ccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001228250865 1053057876 /nfs/dbraw/zinc/05/78/76/1053057876.db2.gz GGJJCJTXYHAXPX-APWZRJJASA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)c1ccccc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001228281724 1053064677 /nfs/dbraw/zinc/06/46/77/1053064677.db2.gz RIHKYHXUZCRLBV-DLBZAZTESA-N 0 3 310.441 4.419 20 0 DIADHN Cc1ccc(NC(=O)C2(Cc3ccccc3)CC2)c(CN(C)C)c1 ZINC000180858525 1053099610 /nfs/dbraw/zinc/09/96/10/1053099610.db2.gz XKMQCYUKQFXRLR-UHFFFAOYSA-N 0 3 322.452 4.018 20 0 DIADHN Cc1c([C@H](C)Oc2ccc3ccccc3c2CN(C)C)cnn1C ZINC001228439631 1053104367 /nfs/dbraw/zinc/10/43/67/1053104367.db2.gz QGGSRUGODPNBQB-HNNXBMFYSA-N 0 3 323.440 4.083 20 0 DIADHN C[C@H](Oc1ccc2ccccc2c1CN(C)C)c1ccccn1 ZINC001228442364 1053104508 /nfs/dbraw/zinc/10/45/08/1053104508.db2.gz SEWDTFVBSNCJCB-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1cc2cc(O[C@@H]3CCN([C@H](C)c4ccccn4)C3)ccc2[nH]1 ZINC001228450747 1053106138 /nfs/dbraw/zinc/10/61/38/1053106138.db2.gz MWXFXLXHTIORGY-CRAIPNDOSA-N 0 3 321.424 4.086 20 0 DIADHN COC1CC(CN2CCC[C@@H]2c2ccc(Br)cc2)C1 ZINC000859086949 1053118623 /nfs/dbraw/zinc/11/86/23/1053118623.db2.gz RIXLWABFAKSREV-VDSRIPIWSA-N 0 3 324.262 4.011 20 0 DIADHN N#CC(C(=O)CCCc1ccc2ccccc2c1)c1ccccn1 ZINC000181035390 1053122463 /nfs/dbraw/zinc/12/24/63/1053122463.db2.gz CFNBYRDTFBMIDY-LJQANCHMSA-N 0 3 314.388 4.434 20 0 DIADHN N#CC(C(=O)CCCc1ccc2ccccc2c1)c1ccccn1 ZINC000181035372 1053123065 /nfs/dbraw/zinc/12/30/65/1053123065.db2.gz CFNBYRDTFBMIDY-IBGZPJMESA-N 0 3 314.388 4.434 20 0 DIADHN CCC[C@@H](N[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ncccc1Cl ZINC001350976500 1053125681 /nfs/dbraw/zinc/12/56/81/1053125681.db2.gz USAPTNRCZADAKQ-LSCVPOLPSA-N 0 3 300.780 4.210 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3nc(Cl)ccc32)cc1C ZINC001350976476 1053125740 /nfs/dbraw/zinc/12/57/40/1053125740.db2.gz AOOZEIJODKPZKX-SWLSCSKDSA-N 0 3 316.832 4.390 20 0 DIADHN Cc1nc2ccc(Nc3ccc(C)nc3Br)cc2[nH]1 ZINC001213070438 1053141805 /nfs/dbraw/zinc/14/18/05/1053141805.db2.gz QHMFEIVLDFRLFA-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN CC(C)Oc1ccc(F)c(Nc2ccc3c(c2)CN(C)CC3)c1 ZINC001212315280 1053149962 /nfs/dbraw/zinc/14/99/62/1053149962.db2.gz CKVLIJZOVYXDBZ-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ncc(-c2ccccc2)o1 ZINC001351294449 1053164285 /nfs/dbraw/zinc/16/42/85/1053164285.db2.gz LRXYJYQQTRVEAH-VIRABCJISA-N 0 3 318.367 4.284 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC[C@H]4CCCC[C@H]4C3)o2)cc1 ZINC001318460858 1053168989 /nfs/dbraw/zinc/16/89/89/1053168989.db2.gz GJTRHISMYCYMOP-WBVHZDCISA-N 0 3 311.429 4.057 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H]2CCCc3n[nH]cc32)cc1 ZINC000353498637 1053176749 /nfs/dbraw/zinc/17/67/49/1053176749.db2.gz ASBUPHHHFNUHKV-LRDDRELGSA-N 0 3 301.459 4.250 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H]2CCCc3n[nH]cc32)cc1 ZINC000353498639 1053176891 /nfs/dbraw/zinc/17/68/91/1053176891.db2.gz ASBUPHHHFNUHKV-MLGOLLRUSA-N 0 3 301.459 4.250 20 0 DIADHN COc1cccc(Br)c1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001236675537 1053183710 /nfs/dbraw/zinc/18/37/10/1053183710.db2.gz YFONKWPULZWRIU-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@H](C(F)(F)F)O1)c1ccccc1Cl ZINC000721399812 1053187996 /nfs/dbraw/zinc/18/79/96/1053187996.db2.gz WQOHGZXRGTXNJO-OUJBWJOFSA-N 0 3 307.743 4.101 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1cnc(Cl)s1 ZINC000750802081 1053190882 /nfs/dbraw/zinc/19/08/82/1053190882.db2.gz UYGBYXDFBPGCHP-UHFFFAOYSA-N 0 3 312.841 4.390 20 0 DIADHN Cc1cc(CNCc2ccc(C)c(Br)c2)cs1 ZINC000381231609 1053191176 /nfs/dbraw/zinc/19/11/76/1053191176.db2.gz SNVGSSQAMLUNPR-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN C[C@@H](Oc1ccc2c(c1)CCN(C)C2)c1ccccc1Cl ZINC001228937025 1053207984 /nfs/dbraw/zinc/20/79/84/1053207984.db2.gz TXJDTDQNJZRQMW-CYBMUJFWSA-N 0 3 301.817 4.468 20 0 DIADHN CN1CCc2cc(O[C@@H]3CCc4cc(Cl)ccc43)ccc2C1 ZINC001228936448 1053208090 /nfs/dbraw/zinc/20/80/90/1053208090.db2.gz HMTJXSKATAWIMI-LJQANCHMSA-N 0 3 313.828 4.394 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@@H]2CCCN(C)C2)c(C(C)C)c1 ZINC000617924783 1053212934 /nfs/dbraw/zinc/21/29/34/1053212934.db2.gz OHMQGJXIPLJPEB-MRXNPFEDSA-N 0 3 317.477 4.149 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)Nc1ccc(C)cc1CN(C)C ZINC001360368589 1053218226 /nfs/dbraw/zinc/21/82/26/1053218226.db2.gz IFAUVSKFKDHCJZ-INIZCTEOSA-N 0 3 304.478 4.458 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NC(=O)[C@H]1CCCN1C(C)C ZINC001360378594 1053219780 /nfs/dbraw/zinc/21/97/80/1053219780.db2.gz UYKWZEUNIFZYOS-RTBURBONSA-N 0 3 316.489 4.071 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nnc(-c2cc(C)oc2C)o1)C1CC1 ZINC000089893186 1053220368 /nfs/dbraw/zinc/22/03/68/1053220368.db2.gz IAEKTKPQTNLBGS-DGCLKSJQSA-N 0 3 317.433 4.345 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(C[C@H](O)c2cccc(F)c2)C1 ZINC001319181688 1053224560 /nfs/dbraw/zinc/22/45/60/1053224560.db2.gz PEVORRSWRYAHTM-ZNOIYHFQSA-N 0 3 317.379 4.081 20 0 DIADHN C[C@@H](COc1ccccc1F)N[C@@H](C)c1cc(F)ccc1F ZINC001319199035 1053226172 /nfs/dbraw/zinc/22/61/72/1053226172.db2.gz MNLGQTSCCVSZAL-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](C)c1cc(C)c(C)cc1C ZINC000090846118 1053246480 /nfs/dbraw/zinc/24/64/80/1053246480.db2.gz SIQUAEFMZAUUKG-MRXNPFEDSA-N 0 3 313.489 4.321 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1)c1ccco1 ZINC000090853069 1053246639 /nfs/dbraw/zinc/24/66/39/1053246639.db2.gz OQRGPJKLUMFNKE-XNJJOIOASA-N 0 3 322.811 4.168 20 0 DIADHN Cc1nc(CN(CCc2ccccc2)C(C)C)nc2ccccc12 ZINC001319513308 1053248205 /nfs/dbraw/zinc/24/82/05/1053248205.db2.gz RALPHYBSJPKZKH-UHFFFAOYSA-N 0 3 319.452 4.391 20 0 DIADHN CC(C)(CNCc1ccncc1F)c1ccc(F)cc1Cl ZINC000449151764 1053249660 /nfs/dbraw/zinc/24/96/60/1053249660.db2.gz YPXRWZUIPRNRCM-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@@H](c2ccccc2)C(C)C)c1 ZINC000091287279 1053258287 /nfs/dbraw/zinc/25/82/87/1053258287.db2.gz YQVGJOCFKARREL-HXUWFJFHSA-N 0 3 324.468 4.313 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@H](c2ccccc2)C(C)C)c1 ZINC000091287280 1053259275 /nfs/dbraw/zinc/25/92/75/1053259275.db2.gz YQVGJOCFKARREL-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN C[C@@]1(CO)CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001319713191 1053266462 /nfs/dbraw/zinc/26/64/62/1053266462.db2.gz UEBVPPRCHZGVKZ-GOSISDBHSA-N 0 3 319.832 4.194 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001319710647 1053266545 /nfs/dbraw/zinc/26/65/45/1053266545.db2.gz CFYXTWQEKRGLOK-DOMZBBRYSA-N 0 3 305.805 4.087 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC001319710648 1053266681 /nfs/dbraw/zinc/26/66/81/1053266681.db2.gz CFYXTWQEKRGLOK-IUODEOHRSA-N 0 3 305.805 4.087 20 0 DIADHN C[C@H](NCCCNc1ccccc1)c1nc2c(s1)CCCC2 ZINC000091726489 1053269865 /nfs/dbraw/zinc/26/98/65/1053269865.db2.gz FCFOYCOYMOQITI-AWEZNQCLSA-N 0 3 315.486 4.175 20 0 DIADHN FC(F)(F)CCN(CC1=Cc2ccccc2OC1)CC1CC1 ZINC000091901692 1053273651 /nfs/dbraw/zinc/27/36/51/1053273651.db2.gz VVPBAJAGHOXAOB-UHFFFAOYSA-N 0 3 311.347 4.127 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(F)cc(F)c1)c1ccc(F)cc1 ZINC001319775878 1053273963 /nfs/dbraw/zinc/27/39/63/1053273963.db2.gz DAKBSISEONYALX-GTNSWQLSSA-N 0 3 309.331 4.142 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CC(C)(C)Sc3ccccc32)cnn1C ZINC001352083725 1053274559 /nfs/dbraw/zinc/27/45/59/1053274559.db2.gz DNCDHWZKNYUBNI-MLGOLLRUSA-N 0 3 315.486 4.395 20 0 DIADHN C[C@@H](NCc1cccc2nsnc21)c1ccc(Cl)c(F)c1 ZINC001319804848 1053274822 /nfs/dbraw/zinc/27/48/22/1053274822.db2.gz OIQHUIUJRJBMDD-SECBINFHSA-N 0 3 321.808 4.335 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](O)CC1)c1cc(F)c(Cl)cc1Cl ZINC000252728811 1053276783 /nfs/dbraw/zinc/27/67/83/1053276783.db2.gz CXCIRQSXUZHBIC-GUBZILKMSA-N 0 3 306.208 4.087 20 0 DIADHN Cc1c(Br)cccc1CN(C)[C@@H](C)c1ccncc1 ZINC000091989179 1053278918 /nfs/dbraw/zinc/27/89/18/1053278918.db2.gz JYZMGQZJHYZRQT-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(F)c(OC)c2)cc1 ZINC001319837721 1053279339 /nfs/dbraw/zinc/27/93/39/1053279339.db2.gz KWDWMFMNTWSNBS-UHFFFAOYSA-N 0 3 301.405 4.422 20 0 DIADHN C/C=C/c1ccc(OC)c(O[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC001229252445 1053284588 /nfs/dbraw/zinc/28/45/88/1053284588.db2.gz HVQXWFZPIXQHCM-SXQCNTSWSA-N 0 3 323.436 4.382 20 0 DIADHN CCCCN(C)Cc1ccc(OC(F)F)cc1OC(F)F ZINC001319923434 1053286350 /nfs/dbraw/zinc/28/63/50/1053286350.db2.gz KIAZORZJAUWVKD-UHFFFAOYSA-N 0 3 309.303 4.121 20 0 DIADHN CN(C)CCC(=O)c1ccc(O[C@H]2CCCc3ccccc32)cc1 ZINC001229305292 1053295633 /nfs/dbraw/zinc/29/56/33/1053295633.db2.gz BEWBEHWSGJDUNH-NRFANRHFSA-N 0 3 323.436 4.277 20 0 DIADHN Cc1ccccc1[C@H](C)Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229302330 1053295767 /nfs/dbraw/zinc/29/57/67/1053295767.db2.gz HBWRIQXLNMGPRB-INIZCTEOSA-N 0 3 311.425 4.269 20 0 DIADHN CN(C)CCC(=O)c1ccc(O[C@H]2CCC=CCCC2)cc1 ZINC001229302581 1053296605 /nfs/dbraw/zinc/29/66/05/1053296605.db2.gz KLAACWJEJMPDMS-KRWDZBQOSA-N 0 3 301.430 4.089 20 0 DIADHN Cc1ccccc1CN1CCN(c2cccc(Cl)c2C)CC1 ZINC001474744583 1053298005 /nfs/dbraw/zinc/29/80/05/1053298005.db2.gz MCMUJSKAVHPCIN-UHFFFAOYSA-N 0 3 314.860 4.279 20 0 DIADHN CC(=O)c1cc(Cl)c(C)cc1O[C@H]1CCCN(C(C)C)C1 ZINC001229363224 1053308363 /nfs/dbraw/zinc/30/83/63/1053308363.db2.gz YETUPLXGNOKUHE-AWEZNQCLSA-N 0 3 309.837 4.103 20 0 DIADHN CCn1nc(C)c(CNC/C=C/c2cc(C)c(C)cc2C)c1C ZINC001200000313 1053310474 /nfs/dbraw/zinc/31/04/74/1053310474.db2.gz ACPVQYYDJCXPRV-CMDGGOBGSA-N 0 3 311.473 4.248 20 0 DIADHN CCn1nc(C)c(CNCc2c(C)cc(Cl)cc2C)c1C ZINC001200002884 1053311722 /nfs/dbraw/zinc/31/17/22/1053311722.db2.gz ZCFVQAUUXKKQOP-UHFFFAOYSA-N 0 3 305.853 4.080 20 0 DIADHN CN(C)c1cc(CN2C[C@H]3CCCC[C@@]32c2ccccc2)ccn1 ZINC001320221676 1053316103 /nfs/dbraw/zinc/31/61/03/1053316103.db2.gz XLFDZHNTFVAHAA-TZIWHRDSSA-N 0 3 321.468 4.049 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@H]1CCCN1Cc1cc(Cl)ccc1Cl ZINC000253095795 1053317871 /nfs/dbraw/zinc/31/78/71/1053317871.db2.gz PMICEFAILSRIAB-FVQBIDKESA-N 0 3 314.256 4.119 20 0 DIADHN CC1(C)CC(=O)CC[C@H]1Oc1cccc(CN2CCCCC2)c1 ZINC001229448254 1053324311 /nfs/dbraw/zinc/32/43/11/1053324311.db2.gz GZXRIROGCLOUGK-LJQANCHMSA-N 0 3 315.457 4.199 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H](C)COc1ccccc1F ZINC001320462349 1053334457 /nfs/dbraw/zinc/33/44/57/1053334457.db2.gz TUSSPKPHIBQMRL-NWDGAFQWSA-N 0 3 309.406 4.014 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(OCCOC)c2)s1 ZINC000163028559 1053334999 /nfs/dbraw/zinc/33/49/99/1053334999.db2.gz YGINPMGDDJAVRJ-CQSZACIVSA-N 0 3 319.470 4.187 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN(c2c(C)cnc3ccccc32)C1 ZINC001320496559 1053337490 /nfs/dbraw/zinc/33/74/90/1053337490.db2.gz YAYMUXYNVZEROV-MRXNPFEDSA-N 0 3 324.346 4.091 20 0 DIADHN Cc1cccc(C)c1OC(=O)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001352510783 1053339317 /nfs/dbraw/zinc/33/93/17/1053339317.db2.gz NMXTXVAGCLYDEX-LJQANCHMSA-N 0 3 323.436 4.121 20 0 DIADHN FC(F)c1cccc(CNCc2c[nH]nc2-c2ccccc2)c1 ZINC001320547647 1053343623 /nfs/dbraw/zinc/34/36/23/1053343623.db2.gz PPDHCSLSBYXRAO-UHFFFAOYSA-N 0 3 313.351 4.304 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1N[C@H](C)c1nc2ccccc2c(=O)[nH]1 ZINC000253563803 1053356145 /nfs/dbraw/zinc/35/61/45/1053356145.db2.gz URMITYQBNAPFKV-IIAWOOMASA-N 0 3 313.445 4.345 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccc(Br)o2)CS1 ZINC001320721831 1053358164 /nfs/dbraw/zinc/35/81/64/1053358164.db2.gz CEPXIIJFOUGUKT-ONGXEEELSA-N 0 3 318.280 4.052 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccc(Br)o2)CS1 ZINC001320721829 1053358904 /nfs/dbraw/zinc/35/89/04/1053358904.db2.gz CEPXIIJFOUGUKT-MWLCHTKSSA-N 0 3 318.280 4.052 20 0 DIADHN CCC1(C(=O)Nc2cc(C)cc(CN(C)C)c2)CCCCC1 ZINC001545809032 1053367096 /nfs/dbraw/zinc/36/70/96/1053367096.db2.gz RIBZIWKITDTHCB-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN C[C@@H](c1cccc(O[C@@H]2CCC(=O)c3ccccc32)c1)N(C)C ZINC001229624121 1053367574 /nfs/dbraw/zinc/36/75/74/1053367574.db2.gz ANBJOFSZDRFFCW-VBKZILBWSA-N 0 3 309.409 4.406 20 0 DIADHN COC(=O)CC[C@@H](NCc1csc(C)c1C)c1ccccc1 ZINC001200094676 1053374343 /nfs/dbraw/zinc/37/43/43/1053374343.db2.gz BMSKUEXVLVQETA-QGZVFWFLSA-N 0 3 317.454 4.149 20 0 DIADHN COCCN1CCC(Oc2ccc(C(C)C)cc2C(C)C)CC1 ZINC001229680116 1053382392 /nfs/dbraw/zinc/38/23/92/1053382392.db2.gz LPWJPGXOOIOFRH-UHFFFAOYSA-N 0 3 319.489 4.423 20 0 DIADHN C[C@H](c1ccccc1)c1ccc(O)cc1O[C@@H]1CN2CCC1CC2 ZINC001229687664 1053383019 /nfs/dbraw/zinc/38/30/19/1053383019.db2.gz GUVAAXDITMJKHL-QVKFZJNVSA-N 0 3 323.436 4.017 20 0 DIADHN C[C@@H](c1ccccc1)c1ccc(O)cc1O[C@H]1CCCN(C)C1 ZINC001229689003 1053383071 /nfs/dbraw/zinc/38/30/71/1053383071.db2.gz KDSZZORLWVSCLD-YJBOKZPZSA-N 0 3 311.425 4.017 20 0 DIADHN C[C@@H](c1ccccc1)c1ccc(O)cc1O[C@H]1CN2CCC1CC2 ZINC001229687663 1053384498 /nfs/dbraw/zinc/38/44/98/1053384498.db2.gz GUVAAXDITMJKHL-BTYIYWSLSA-N 0 3 323.436 4.017 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC001434924045 1053389440 /nfs/dbraw/zinc/38/94/40/1053389440.db2.gz HCFDWWQBKZHVPJ-QGZVFWFLSA-N 0 3 304.478 4.188 20 0 DIADHN Clc1cccc(CCN2CC[C@@H]3OCCC[C@@H]3C2)c1Cl ZINC001321116906 1053392997 /nfs/dbraw/zinc/39/29/97/1053392997.db2.gz HHQVNZBJNHEJJD-HIFRSBDPSA-N 0 3 314.256 4.037 20 0 DIADHN CCCCOc1ccc(CCN[C@H](C)c2nccs2)cc1 ZINC000093398951 1053400450 /nfs/dbraw/zinc/40/04/50/1053400450.db2.gz QPKLNOGBDCUERW-CQSZACIVSA-N 0 3 304.459 4.215 20 0 DIADHN C[C@@H](NCCCOC1CCCC1)c1nc2c(s1)CCCC2 ZINC000093403517 1053402280 /nfs/dbraw/zinc/40/22/80/1053402280.db2.gz IPLIGJANUBJFJR-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CC(C)COc1ccc(CCN[C@H](C)c2nccs2)cc1 ZINC000093406086 1053402347 /nfs/dbraw/zinc/40/23/47/1053402347.db2.gz BQIOSLNUJBRMSZ-CQSZACIVSA-N 0 3 304.459 4.071 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC001321458920 1053414563 /nfs/dbraw/zinc/41/45/63/1053414563.db2.gz RJXHDGZXQNRLMQ-RCCFBDPRSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@H]3CCCC[C@H]3C2)c1 ZINC001321458919 1053414869 /nfs/dbraw/zinc/41/48/69/1053414869.db2.gz RJXHDGZXQNRLMQ-KSZLIROESA-N 0 3 314.473 4.143 20 0 DIADHN CCc1ccc2c(c1)CN(CCc1ccc(F)cc1F)CC2 ZINC001474760832 1053428068 /nfs/dbraw/zinc/42/80/68/1053428068.db2.gz ZUQBQIQEZVICOS-UHFFFAOYSA-N 0 3 301.380 4.128 20 0 DIADHN CCCn1cncc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC001200160924 1053428299 /nfs/dbraw/zinc/42/82/99/1053428299.db2.gz ARVHWZYILPIAOA-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN COc1ncc([C@H](C)NCc2ccc3c(c2)CCC3)cc1Cl ZINC001321709388 1053430904 /nfs/dbraw/zinc/43/09/04/1053430904.db2.gz JFBPYGNCJCTTBD-LBPRGKRZSA-N 0 3 316.832 4.083 20 0 DIADHN Cc1nc(-c2ccccc2)n(CN2CCC[C@@]3(CC=CCC3)C2)n1 ZINC001321832631 1053436198 /nfs/dbraw/zinc/43/61/98/1053436198.db2.gz ROIJVGDRVHRILC-FQEVSTJZSA-N 0 3 322.456 4.033 20 0 DIADHN CCCC[C@H](C(=O)NC[C@H](C)N(C)Cc1ccccc1)C(C)C ZINC001435166276 1053460681 /nfs/dbraw/zinc/46/06/81/1053460681.db2.gz JSFGTHUNKPSITB-HKUYNNGSSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)[C@H](C)C(C)(C)C)c(CN(C)C)c1 ZINC001435187776 1053467059 /nfs/dbraw/zinc/46/70/59/1053467059.db2.gz ZZGGKKNPTXEHRV-CABCVRRESA-N 0 3 304.478 4.313 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)[C@@H](C)C(C)(C)C)c(CN(C)C)c1 ZINC001435187781 1053467413 /nfs/dbraw/zinc/46/74/13/1053467413.db2.gz ZZGGKKNPTXEHRV-HUUCEWRRSA-N 0 3 304.478 4.313 20 0 DIADHN CC(C)n1ncc2cc(CN3CC[C@@H]4CCCC[C@@H]4C3)cnc21 ZINC001322235091 1053472216 /nfs/dbraw/zinc/47/22/16/1053472216.db2.gz DPOXEGWZGYCEBR-DLBZAZTESA-N 0 3 312.461 4.024 20 0 DIADHN C[C@@](O)(CNC1c2ccccc2-c2ccccc21)c1cccs1 ZINC000182676001 1053494833 /nfs/dbraw/zinc/49/48/33/1053494833.db2.gz NJXZTRRSSMILCX-HXUWFJFHSA-N 0 3 321.445 4.315 20 0 DIADHN CCCCc1ccc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC001353218700 1053513372 /nfs/dbraw/zinc/51/33/72/1053513372.db2.gz RAYOYDPIWXPJPT-LJQANCHMSA-N 0 3 320.452 4.180 20 0 DIADHN N#CC(C(=O)CC1CCC(C(F)(F)F)CC1)c1ccccn1 ZINC000182866431 1053537234 /nfs/dbraw/zinc/53/72/34/1053537234.db2.gz GCHOELCRFASQCS-BPCQOVAHSA-N 0 3 310.319 4.017 20 0 DIADHN CC[C@@H](C(=O)N(CCN(C)C)C1CCCC1)C1CCC(C)CC1 ZINC001435415994 1053548393 /nfs/dbraw/zinc/54/83/93/1053548393.db2.gz LWVAQQWNIIDPGE-FAFZWHIHSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCc3nc(Cl)ccc31)CCC2 ZINC001322972597 1053556898 /nfs/dbraw/zinc/55/68/98/1053556898.db2.gz DKVIWNJQTQVMMD-BXUZGUMPSA-N 0 3 319.861 4.154 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCSC(C)C)CC2)c(C)c1 ZINC000619779241 1053559100 /nfs/dbraw/zinc/55/91/00/1053559100.db2.gz MTAXEVADUGGVIT-UHFFFAOYSA-N 0 3 319.514 4.340 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1ccccc1 ZINC000152959793 1053560860 /nfs/dbraw/zinc/56/08/60/1053560860.db2.gz AULWOOFLEIGXCC-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@H]2CCCC[C@@H]2C1 ZINC001323317177 1053573230 /nfs/dbraw/zinc/57/32/30/1053573230.db2.gz LFLQRYUOZIQEAM-RCCFBDPRSA-N 0 3 314.473 4.143 20 0 DIADHN CC1(C)CN(Cc2cccc(OCc3ccccn3)c2)C1(C)C ZINC001323324982 1053575118 /nfs/dbraw/zinc/57/51/18/1053575118.db2.gz ZPQIGAOYQCJQMZ-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN COc1ccc2oc(CNCc3ccc4c(c3)CCC4)cc2c1 ZINC001323477787 1053584533 /nfs/dbraw/zinc/58/45/33/1053584533.db2.gz ZAIJRIHLQQDBAN-UHFFFAOYSA-N 0 3 307.393 4.220 20 0 DIADHN C[C@H](NCCC[C@H]1CCCC[C@H]1O)c1nc2c(s1)CCCC2 ZINC000305767276 1053599912 /nfs/dbraw/zinc/59/99/12/1053599912.db2.gz HQGNUVWXUAIFJK-SQWLQELKSA-N 0 3 322.518 4.004 20 0 DIADHN C[C@@H](CNC(=O)C(C)(C)CC(C)(C)C)N(C)Cc1ccccc1 ZINC001353580201 1053616511 /nfs/dbraw/zinc/61/65/11/1053616511.db2.gz MZIVZQBPAARBCS-INIZCTEOSA-N 0 3 318.505 4.086 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H]3C(C)C)cs2)cc1 ZINC000620279257 1053640563 /nfs/dbraw/zinc/64/05/63/1053640563.db2.gz URSCNMYRPZMHFK-QGZVFWFLSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1ccc(C(=O)c2ccc(O[C@H]3CCCN(C)CC3)cc2)cc1 ZINC001231022819 1053654526 /nfs/dbraw/zinc/65/45/26/1053654526.db2.gz JWFWYTMBIAAIIQ-IBGZPJMESA-N 0 3 323.436 4.089 20 0 DIADHN CCC(=O)CCCN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC001324489386 1053656492 /nfs/dbraw/zinc/65/64/92/1053656492.db2.gz WFKOBSQZKRGCJT-UHFFFAOYSA-N 0 3 314.404 4.155 20 0 DIADHN CCSc1cccc(CNCc2ccc(F)c(OC)c2)c1 ZINC001324786669 1053680874 /nfs/dbraw/zinc/68/08/74/1053680874.db2.gz ZYJUKAIVQBRFDQ-UHFFFAOYSA-N 0 3 305.418 4.236 20 0 DIADHN COc1ccc(Cl)cc1CCN[C@H](C)c1nc(C)sc1C ZINC001324840437 1053682085 /nfs/dbraw/zinc/68/20/85/1053682085.db2.gz BOWRUKAYBHHFJV-SNVBAGLBSA-N 0 3 324.877 4.315 20 0 DIADHN Cc1cccc2nc(OC(=C([O-])c3ccco3)c3ccco3)oc21 ZINC001231164556 1053692891 /nfs/dbraw/zinc/69/28/91/1053692891.db2.gz RNTKIYVFGWMBPU-QGZVFWFLSA-N 0 3 323.304 4.325 20 0 DIADHN Cc1cccc2nc(OC(C(=O)c3ccco3)c3ccco3)oc21 ZINC001231164556 1053692911 /nfs/dbraw/zinc/69/29/11/1053692911.db2.gz RNTKIYVFGWMBPU-QGZVFWFLSA-N 0 3 323.304 4.325 20 0 DIADHN COC(=O)c1cccc(CN[C@@H]2CCc3ccc(C(C)C)cc32)c1 ZINC001325035051 1053694952 /nfs/dbraw/zinc/69/49/52/1053694952.db2.gz GPBKPIPKXYEWAX-HXUWFJFHSA-N 0 3 323.436 4.374 20 0 DIADHN C[C@H](NCc1cccn1C1CC1)c1ccc(Br)cc1 ZINC001325116747 1053700437 /nfs/dbraw/zinc/70/04/37/1053700437.db2.gz DOGHRVDQVVSOPO-LBPRGKRZSA-N 0 3 319.246 4.436 20 0 DIADHN CC[C@H](NCC(=O)N(C(C)C)C1CCCC1)c1ccc(F)cc1 ZINC001325227581 1053707769 /nfs/dbraw/zinc/70/77/69/1053707769.db2.gz GXZSHVPMVJXGLE-SFHVURJKSA-N 0 3 320.452 4.046 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H](O)CC(C)(C)C)c1Cl ZINC001200500399 1053709963 /nfs/dbraw/zinc/70/99/63/1053709963.db2.gz NAIKRRSEYOCITF-LBPRGKRZSA-N 0 3 304.261 4.189 20 0 DIADHN CC(C)(C)C[C@@H](O)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC001200500209 1053710271 /nfs/dbraw/zinc/71/02/71/1053710271.db2.gz MNCIYUYDTRNWAJ-GFCCVEGCSA-N 0 3 323.383 4.112 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@H](C)c2cnn(C)c2)C2CC2)cc1 ZINC000153794025 1053713028 /nfs/dbraw/zinc/71/30/28/1053713028.db2.gz QXJIKKGFUBUQIM-QRWLVFNGSA-N 0 3 311.473 4.421 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1Cc1ccc([S@@](C)=O)cc1 ZINC001325753704 1053728599 /nfs/dbraw/zinc/72/85/99/1053728599.db2.gz UHMLQZWFINSFMN-IUSZMWJPSA-N 0 3 307.503 4.071 20 0 DIADHN C[C@H](NCCOC(C)(C)C)c1ccc(Br)cc1F ZINC000308754206 1053734166 /nfs/dbraw/zinc/73/41/66/1053734166.db2.gz VRYIDSQLFPJFKI-JTQLQIEISA-N 0 3 318.230 4.054 20 0 DIADHN Cc1cc([C@H](NCCc2ccco2)c2cccnc2)ccc1F ZINC000154397869 1053735423 /nfs/dbraw/zinc/73/54/23/1053735423.db2.gz CQNHEFVLOKLCDB-IBGZPJMESA-N 0 3 310.372 4.044 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(C(F)(F)F)cc1 ZINC000266783599 1053736179 /nfs/dbraw/zinc/73/61/79/1053736179.db2.gz NWDKMVDGBWUORZ-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001435969992 1053740190 /nfs/dbraw/zinc/74/01/90/1053740190.db2.gz DRQNTJXTAQXEAE-ZAWLATJESA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001435969983 1053740926 /nfs/dbraw/zinc/74/09/26/1053740926.db2.gz DRQNTJXTAQXEAE-FPAYPSAMSA-N 0 3 316.489 4.010 20 0 DIADHN CCC[C@@H](C)CC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001435994490 1053747011 /nfs/dbraw/zinc/74/70/11/1053747011.db2.gz ICXZAKUTCYDFFX-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2ccc(C)c(Cl)c2)C[C@@H]1C ZINC001231425233 1053749426 /nfs/dbraw/zinc/74/94/26/1053749426.db2.gz OAXRAPITOQMAGQ-GOEBONIOSA-N 0 3 323.864 4.060 20 0 DIADHN CN(C)c1ccc2cc(CN3CC[C@H](C(F)(F)F)C3)ccc2c1 ZINC001231442013 1053754301 /nfs/dbraw/zinc/75/43/01/1053754301.db2.gz IFTVNRRUHUVNCL-INIZCTEOSA-N 0 3 322.374 4.290 20 0 DIADHN C[C@H]1C[C@@H](CF)N(Cc2ccc3cc(N(C)C)ccc3c2)C1 ZINC001231440355 1053755784 /nfs/dbraw/zinc/75/57/84/1053755784.db2.gz YILRAXRCQFFJQS-LIRRHRJNSA-N 0 3 300.421 4.086 20 0 DIADHN CN(C)c1ccc2cc(CN3CCCC[C@H](F)C3)ccc2c1 ZINC001231439338 1053756115 /nfs/dbraw/zinc/75/61/15/1053756115.db2.gz LORBLAVYBXRFLD-SFHVURJKSA-N 0 3 300.421 4.230 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](OC(=O)OC(C)(C)C)C2)cc1C ZINC001231482920 1053764634 /nfs/dbraw/zinc/76/46/34/1053764634.db2.gz SFVQAYUUKCMXJJ-KRWDZBQOSA-N 0 3 319.445 4.138 20 0 DIADHN Cc1c(C)c(C)c(CN2CCC[C@@H]2c2cnccn2)c(C)c1C ZINC001231494045 1053768329 /nfs/dbraw/zinc/76/83/29/1053768329.db2.gz GXARKLAGPJMEPH-HXUWFJFHSA-N 0 3 309.457 4.356 20 0 DIADHN Cc1ncccc1CN(CC(C)C)[C@H]1CCCc2cccnc21 ZINC000155213611 1053768490 /nfs/dbraw/zinc/76/84/90/1053768490.db2.gz MYUZHSFJDLMJBO-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCc1cccc(CO)c1 ZINC000268850005 1053770223 /nfs/dbraw/zinc/77/02/23/1053770223.db2.gz FPGUYAQGJXYQBG-LBPRGKRZSA-N 0 3 318.486 4.092 20 0 DIADHN CCc1ccc(CN2CC[C@H](Oc3cccc(F)c3)C2)s1 ZINC001231503782 1053772777 /nfs/dbraw/zinc/77/27/77/1053772777.db2.gz OFSKHOBOSBCFTH-HNNXBMFYSA-N 0 3 305.418 4.103 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCCc3ccccc3C2)cs1 ZINC001200572515 1053777199 /nfs/dbraw/zinc/77/71/99/1053777199.db2.gz MLVFNCVIQXJBGU-BBRMVZONSA-N 0 3 300.471 4.304 20 0 DIADHN COc1cc(Br)ccc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001231512854 1053777404 /nfs/dbraw/zinc/77/74/04/1053777404.db2.gz PIJFUPPIBPVTSK-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN c1[nH]c2cnccc2c1CN1CCC2(CCc3ccccc32)CC1 ZINC001231515533 1053777938 /nfs/dbraw/zinc/77/79/38/1053777938.db2.gz KKYLJDHOADMWML-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN COc1cc(Br)ccc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC001231512645 1053777985 /nfs/dbraw/zinc/77/79/85/1053777985.db2.gz URYRMBAYUKFQBJ-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@H]2N1CC[C@]2(CCOC2)C1 ZINC000155462156 1053778641 /nfs/dbraw/zinc/77/86/41/1053778641.db2.gz RETDJKHRUVSIJO-CVEARBPZSA-N 0 3 312.240 4.093 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2N1CC[C@]2(CCOC2)C1 ZINC000155462252 1053779077 /nfs/dbraw/zinc/77/90/77/1053779077.db2.gz RETDJKHRUVSIJO-HOTGVXAUSA-N 0 3 312.240 4.093 20 0 DIADHN COc1cc(C)ccc1CN1CCC(Nc2ccccc2)CC1 ZINC001326426755 1053779957 /nfs/dbraw/zinc/77/99/57/1053779957.db2.gz OJMQJGYHZRIMNJ-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(O)c(C(F)(F)F)c1 ZINC001231526021 1053779985 /nfs/dbraw/zinc/77/99/85/1053779985.db2.gz KQANYRIUSSNLBJ-UHFFFAOYSA-N 0 3 315.360 4.495 20 0 DIADHN CCCCOc1ccc(CN[C@H](C)CC(F)(F)F)cc1OC ZINC000155551737 1053780887 /nfs/dbraw/zinc/78/08/87/1053780887.db2.gz XBBZBGLYARVLBK-GFCCVEGCSA-N 0 3 319.367 4.305 20 0 DIADHN CCN(CCOC)Cc1ccc(F)c(Oc2ccccc2)c1 ZINC001231537301 1053782880 /nfs/dbraw/zinc/78/28/80/1053782880.db2.gz RFVFINWESRUBML-UHFFFAOYSA-N 0 3 303.377 4.086 20 0 DIADHN CN(Cc1ccc(F)c(Oc2ccccc2)c1)[C@H]1CCCOC1 ZINC001231539597 1053784104 /nfs/dbraw/zinc/78/41/04/1053784104.db2.gz NDMLOPBXGICHPS-INIZCTEOSA-N 0 3 315.388 4.229 20 0 DIADHN c1ccc([C@H]2CCCN(Cc3nc4c(s3)CCCC4)C2)cc1 ZINC001231581787 1053789119 /nfs/dbraw/zinc/78/91/19/1053789119.db2.gz FCVUYNTXTGMQJJ-INIZCTEOSA-N 0 3 312.482 4.402 20 0 DIADHN CCC(CC)(CNCc1nc(-c2ccccc2)c[nH]1)SC ZINC001326575172 1053794197 /nfs/dbraw/zinc/79/41/97/1053794197.db2.gz KYUOVPHIEBLPRE-UHFFFAOYSA-N 0 3 303.475 4.088 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000620936561 1053799737 /nfs/dbraw/zinc/79/97/37/1053799737.db2.gz NZHSAPMMBSUKQN-IAGOWNOFSA-N 0 3 321.468 4.058 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000620936560 1053800140 /nfs/dbraw/zinc/80/01/40/1053800140.db2.gz NZHSAPMMBSUKQN-DLBZAZTESA-N 0 3 321.468 4.058 20 0 DIADHN C[C@H](NCc1cc2ccncc2s1)c1ccc(F)c(F)c1 ZINC001200602279 1053803165 /nfs/dbraw/zinc/80/31/65/1053803165.db2.gz YNMVSGKRQLDNLS-JTQLQIEISA-N 0 3 304.365 4.425 20 0 DIADHN COc1cc(C)c(CN2CC[C@@H]3CCCO[C@@H]3C2)cc1C(C)C ZINC001231627333 1053808105 /nfs/dbraw/zinc/80/81/05/1053808105.db2.gz JTMVYEQLFQXQHZ-OXJNMPFZSA-N 0 3 317.473 4.128 20 0 DIADHN Cc1sc2ccccc2c1CN(CCO)Cc1cccs1 ZINC001231644602 1053814569 /nfs/dbraw/zinc/81/45/69/1053814569.db2.gz ZMABPJHTNXMDGF-UHFFFAOYSA-N 0 3 317.479 4.266 20 0 DIADHN CCN(Cc1cc2cccc(C)c2nc1Cl)C1CCOCC1 ZINC001231646348 1053818082 /nfs/dbraw/zinc/81/80/82/1053818082.db2.gz KXXVVGOMMLSROI-UHFFFAOYSA-N 0 3 318.848 4.198 20 0 DIADHN CO[C@H]1CCCN(Cc2cc3cccc(C)c3nc2Cl)CC1 ZINC001231652666 1053823436 /nfs/dbraw/zinc/82/34/36/1053823436.db2.gz RWQIDUOTMNRBFC-INIZCTEOSA-N 0 3 318.848 4.198 20 0 DIADHN CO[C@@H]1CCCN(Cc2cc3cccc(C)c3nc2Cl)CC1 ZINC001231652667 1053823603 /nfs/dbraw/zinc/82/36/03/1053823603.db2.gz RWQIDUOTMNRBFC-MRXNPFEDSA-N 0 3 318.848 4.198 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2ccc(Cl)cc2)ccc1O ZINC000156931421 1053832340 /nfs/dbraw/zinc/83/23/40/1053832340.db2.gz PNABRQRQLJRFPB-GFCCVEGCSA-N 0 3 305.805 4.247 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1nc(-c2ccccc2)cs1 ZINC001231684029 1053832261 /nfs/dbraw/zinc/83/22/61/1053832261.db2.gz JOPYPLWTGSXGEN-INIZCTEOSA-N 0 3 316.470 4.200 20 0 DIADHN COCc1ccc(CN2CCC(CCc3ccccc3)CC2)o1 ZINC001231690289 1053832294 /nfs/dbraw/zinc/83/22/94/1053832294.db2.gz CNCKGLBETCDNLJ-UHFFFAOYSA-N 0 3 313.441 4.271 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1nc(-c2ccccc2)cs1 ZINC001231684030 1053835197 /nfs/dbraw/zinc/83/51/97/1053835197.db2.gz JOPYPLWTGSXGEN-MRXNPFEDSA-N 0 3 316.470 4.200 20 0 DIADHN Cc1ccc2ncc(CNC(C)(C)c3cccc(Cl)c3)n2c1 ZINC000157025534 1053837050 /nfs/dbraw/zinc/83/70/50/1053837050.db2.gz BMSYAJORESNJDX-UHFFFAOYSA-N 0 3 313.832 4.321 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](CCCO)c2ccccc2)cc1 ZINC001200646690 1053846389 /nfs/dbraw/zinc/84/63/89/1053846389.db2.gz HGFQYLNEUPFRNQ-FQEVSTJZSA-N 0 3 313.441 4.077 20 0 DIADHN C[S@@](=O)c1ccc(CN(Cc2ccccc2)C(C)(C)C)cc1 ZINC000157364883 1053851706 /nfs/dbraw/zinc/85/17/06/1053851706.db2.gz VYCMTAIBWHWVFV-QFIPXVFZSA-N 0 3 315.482 4.225 20 0 DIADHN CC(C)Sc1nc2sccn2c1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001231774264 1053851880 /nfs/dbraw/zinc/85/18/80/1053851880.db2.gz OZAOLEVTIWGAQH-BETUJISGSA-N 0 3 321.515 4.128 20 0 DIADHN CC(C)Oc1ncccc1CN(C)C(C)(C)Cc1ccccc1 ZINC001231764620 1053852722 /nfs/dbraw/zinc/85/27/22/1053852722.db2.gz UTWRNPDTOMYADM-UHFFFAOYSA-N 0 3 312.457 4.322 20 0 DIADHN CCCCc1ccc(CN2CCC(c3nc(C)no3)CC2)cc1 ZINC001231781893 1053856689 /nfs/dbraw/zinc/85/66/89/1053856689.db2.gz MKOCWJWKGGIYQI-UHFFFAOYSA-N 0 3 313.445 4.100 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccccc1SC ZINC001231786118 1053857270 /nfs/dbraw/zinc/85/72/70/1053857270.db2.gz QPUOUPWZJQYRFU-UHFFFAOYSA-N 0 3 301.455 4.439 20 0 DIADHN CCCCc1ccc(CN2CCC[C@H](c3noc(C)n3)C2)cc1 ZINC001231780698 1053857920 /nfs/dbraw/zinc/85/79/20/1053857920.db2.gz XNQOYOVMEYBCKZ-SFHVURJKSA-N 0 3 313.445 4.100 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc(OC)c(Cl)c2)CC1 ZINC000157642650 1053862199 /nfs/dbraw/zinc/86/21/99/1053862199.db2.gz HZVMCEAWZMXFLO-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1cc(C)c(CN[C@@H](Cn2cccn2)c2ccccc2)cc1C ZINC001200660593 1053863073 /nfs/dbraw/zinc/86/30/73/1053863073.db2.gz CXKSGVDYIVQQOK-NRFANRHFSA-N 0 3 319.452 4.339 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc(OC)c(Cl)c2)CC1 ZINC000157642763 1053863642 /nfs/dbraw/zinc/86/36/42/1053863642.db2.gz HZVMCEAWZMXFLO-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN CC(C)(C)Oc1ccc(CN2CCC(OC3CCC3)CC2)cc1 ZINC001231808407 1053863803 /nfs/dbraw/zinc/86/38/03/1053863803.db2.gz ZVQPDASKYABDRV-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN Cc1cccc(C)c1[C@@H]1CCCN1Cc1cn(C)nc1C(F)F ZINC001327552861 1053864541 /nfs/dbraw/zinc/86/45/41/1053864541.db2.gz QSHLDVSGMNPRSD-HNNXBMFYSA-N 0 3 319.399 4.312 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1ccc3[nH]ccc3c1)CCC2 ZINC001327679104 1053870008 /nfs/dbraw/zinc/87/00/08/1053870008.db2.gz BZPSHCATWUCPTC-GOSISDBHSA-N 0 3 308.429 4.113 20 0 DIADHN CN(Cc1ccc(Oc2ccc(Cl)cc2)cc1)[C@@H]1CCOC1 ZINC001231813824 1053871369 /nfs/dbraw/zinc/87/13/69/1053871369.db2.gz ASEPRLSHUKFZFL-MRXNPFEDSA-N 0 3 317.816 4.353 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(Oc3ccc(Cl)cc3)cc2)C1 ZINC001231814826 1053876344 /nfs/dbraw/zinc/87/63/44/1053876344.db2.gz DEYRVHZWNCROPD-SFHVURJKSA-N 0 3 317.816 4.353 20 0 DIADHN Cc1cc(CN[C@H](c2cccc(F)c2)C(C)(C)C)c(C)nn1 ZINC001354486530 1053881731 /nfs/dbraw/zinc/88/17/31/1053881731.db2.gz RNMAKGGGNCKVAQ-QGZVFWFLSA-N 0 3 301.409 4.110 20 0 DIADHN Cc1csc2sc(CN3[C@@H]4CC[C@H]3CC(=O)C4)c(C)c12 ZINC001231828923 1053882445 /nfs/dbraw/zinc/88/24/45/1053882445.db2.gz OXPSKCMOZASKJG-TXEJJXNPSA-N 0 3 305.468 4.276 20 0 DIADHN Cc1cc(OCc2ccccc2)ccc1CN1CCSCC1 ZINC001231846347 1053889608 /nfs/dbraw/zinc/88/96/08/1053889608.db2.gz DWABSBDODBEXPT-UHFFFAOYSA-N 0 3 313.466 4.123 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1ccc2nc(C)ccc2c1 ZINC000275670016 1053890805 /nfs/dbraw/zinc/89/08/05/1053890805.db2.gz XEFUHDRNHQBLJS-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN c1ccc([C@H]2CCCCN2Cc2cccc3c2OCCO3)cc1 ZINC001231883697 1053905346 /nfs/dbraw/zinc/90/53/46/1053905346.db2.gz NHFLDUPEBWZSKI-GOSISDBHSA-N 0 3 309.409 4.185 20 0 DIADHN FC(F)c1cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)ccn1 ZINC001231892123 1053906175 /nfs/dbraw/zinc/90/61/75/1053906175.db2.gz UXNCDSLHMCGUDV-OKILXGFUSA-N 0 3 300.352 4.106 20 0 DIADHN Fc1ccc(CCN2CCC(OC3CCCCC3)CC2)c(F)c1 ZINC001328090783 1053906553 /nfs/dbraw/zinc/90/65/53/1053906553.db2.gz IKIJQUZHXXTXJB-UHFFFAOYSA-N 0 3 323.427 4.321 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ccnc(C(F)F)c3)C2)c1 ZINC001231893700 1053909519 /nfs/dbraw/zinc/90/95/19/1053909519.db2.gz LVEGYFWKZUNLIF-HNNXBMFYSA-N 0 3 318.367 4.017 20 0 DIADHN COc1cccc2c(CN3CCc4c(C)cccc4C3)c[nH]c21 ZINC001231895023 1053909885 /nfs/dbraw/zinc/90/98/85/1053909885.db2.gz HVYQQABVSZOCKK-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1cccc2c(CN3CCCC[C@H]3c3cccnc3)c[nH]c21 ZINC001231900645 1053910506 /nfs/dbraw/zinc/91/05/06/1053910506.db2.gz LSHMIWZWZFIJFJ-SFHVURJKSA-N 0 3 321.424 4.299 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cnc(F)c(C)c2)CC1 ZINC001231928297 1053914896 /nfs/dbraw/zinc/91/48/96/1053914896.db2.gz KWPXPYFHSKWSCI-UHFFFAOYSA-N 0 3 324.443 4.318 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001231929990 1053918294 /nfs/dbraw/zinc/91/82/94/1053918294.db2.gz KXTHTPOAXSMSGW-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN CC[C@H](CO)N[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC001354603623 1053922521 /nfs/dbraw/zinc/92/25/21/1053922521.db2.gz LIBTVDPDSLDJNC-QMTHXVAHSA-N 0 3 324.877 4.193 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc2ccnc(Cl)c2c1 ZINC001231974564 1053926047 /nfs/dbraw/zinc/92/60/47/1053926047.db2.gz ILXAXZKAJBVUBK-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN CCC[C@H](NC[C@H](c1ccco1)N(CC)CC)c1ccccn1 ZINC000158866205 1053926611 /nfs/dbraw/zinc/92/66/11/1053926611.db2.gz HSLXDQMPKJBALQ-FUHWJXTLSA-N 0 3 315.461 4.189 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cc3c[nH]ccc-3n2)CC1 ZINC001232013966 1053938838 /nfs/dbraw/zinc/93/88/38/1053938838.db2.gz IQYYASXJIHUBCC-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN COc1ccc([C@@H](NCc2cncc(Cl)c2)C(C)C)cc1 ZINC000621272986 1053939045 /nfs/dbraw/zinc/93/90/45/1053939045.db2.gz IUEHRMTYSRWKET-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC(c2cccnc2)C1 ZINC001232006599 1053939932 /nfs/dbraw/zinc/93/99/32/1053939932.db2.gz JVAWMQJFFGOPMA-UHFFFAOYSA-N 0 3 306.331 4.008 20 0 DIADHN FC(F)(F)c1cnc(Cl)c(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001232008244 1053940613 /nfs/dbraw/zinc/94/06/13/1053940613.db2.gz SYYHIPHPAFEUAQ-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN CCOc1cncc(CN[C@@H](CC)c2ccc(Cl)cc2)c1 ZINC000621328220 1053947082 /nfs/dbraw/zinc/94/70/82/1053947082.db2.gz LCHOSRCUOYORKV-KRWDZBQOSA-N 0 3 304.821 4.375 20 0 DIADHN COc1cccc2[nH]cc(CN3CC=C(c4ccccc4)CC3)c21 ZINC001232036382 1053949103 /nfs/dbraw/zinc/94/91/03/1053949103.db2.gz GQLUCLTYHXZGJV-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cc4ncccc4[nH]3)C2)c1 ZINC001232065269 1053951481 /nfs/dbraw/zinc/95/14/81/1053951481.db2.gz QDFWJEYGVOQSFS-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN CCCc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)s1 ZINC000621338903 1053952449 /nfs/dbraw/zinc/95/24/49/1053952449.db2.gz OTUGDBXULRDLNW-MRXNPFEDSA-N 0 3 305.491 4.311 20 0 DIADHN CCCCN(Cc1cccc(C(N)=O)c1)[C@@H](C)c1ccc(C)o1 ZINC000621317762 1053955687 /nfs/dbraw/zinc/95/56/87/1053955687.db2.gz YPQBQEDHOSSRNG-HNNXBMFYSA-N 0 3 314.429 4.050 20 0 DIADHN COC1([C@@H](C)N[C@H]2CCCc3cn(C(C)C)nc32)CCCCC1 ZINC001328808532 1053956479 /nfs/dbraw/zinc/95/64/79/1053956479.db2.gz CHAMLOAYBFALTE-WBVHZDCISA-N 0 3 319.493 4.169 20 0 DIADHN CCCCN(Cc1ccc(C(N)=O)cc1)[C@@H](C)c1ccc(C)o1 ZINC000621318483 1053956862 /nfs/dbraw/zinc/95/68/62/1053956862.db2.gz JVMJCPIBSPQEQD-HNNXBMFYSA-N 0 3 314.429 4.050 20 0 DIADHN FC(F)(F)c1ccc(C2(NCc3cccnc3)CCC2)cc1 ZINC000621352136 1053957981 /nfs/dbraw/zinc/95/79/81/1053957981.db2.gz PADBSZCDBKUOCK-UHFFFAOYSA-N 0 3 306.331 4.269 20 0 DIADHN c1c2ccncc2[nH]c1CN1CCC2(CCc3ccccc32)CC1 ZINC001232089968 1053960291 /nfs/dbraw/zinc/96/02/91/1053960291.db2.gz OZWPTFMKHBHOLU-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN FC1(F)C[C@@H]1CCNCc1csc(-c2cccs2)n1 ZINC000621379411 1053962231 /nfs/dbraw/zinc/96/22/31/1053962231.db2.gz UNNCRKGOYUFHSY-VIFPVBQESA-N 0 3 300.399 4.007 20 0 DIADHN COC(CN(C)Cc1ccccc1-c1ccc(Cl)cc1)OC ZINC001232194074 1053983893 /nfs/dbraw/zinc/98/38/93/1053983893.db2.gz CUMNEGJIWDKJQQ-UHFFFAOYSA-N 0 3 319.832 4.058 20 0 DIADHN Cc1scc(CNCc2ccc(NC(=O)C3CC3)cc2)c1C ZINC001329346015 1053985801 /nfs/dbraw/zinc/98/58/01/1053985801.db2.gz RZEFESHMBUJKAA-UHFFFAOYSA-N 0 3 314.454 4.003 20 0 DIADHN Fc1cccc2c(CN3CCCC4(CC(F)C4)CC3)ccnc12 ZINC001232211780 1053989810 /nfs/dbraw/zinc/98/98/10/1053989810.db2.gz JDWMABXXIZYSBX-UHFFFAOYSA-N 0 3 316.395 4.478 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC[C@@H](F)C4)CC3)ccnc12 ZINC001232212860 1053991637 /nfs/dbraw/zinc/99/16/37/1053991637.db2.gz ZHCZQFMRQFNJBG-OAHLLOKOSA-N 0 3 316.395 4.478 20 0 DIADHN Cc1nccnc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC000621709576 1053995750 /nfs/dbraw/zinc/99/57/50/1053995750.db2.gz XXNNBYHSNFVBRB-UHFFFAOYSA-N 0 3 313.420 4.259 20 0 DIADHN CC(C)(C)c1cc(CN2CCC3(CCOC3(C)C)CC2)ccn1 ZINC001232221596 1053996257 /nfs/dbraw/zinc/99/62/57/1053996257.db2.gz ZUZPXSUPLUDQEO-UHFFFAOYSA-N 0 3 316.489 4.160 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)c1ccccc1)CC(C)(C)C ZINC000621727330 1054001591 /nfs/dbraw/zinc/00/15/91/1054001591.db2.gz UVJVGPNTJJBPPH-INIZCTEOSA-N 0 3 304.478 4.044 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1ccnc(C(F)(F)F)c1)CC2 ZINC001232239924 1054002398 /nfs/dbraw/zinc/00/23/98/1054002398.db2.gz BSRPDKAJRCECPE-ZDUSSCGKSA-N 0 3 316.342 4.205 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2cc3cnccc3o2)c1 ZINC001329647681 1054003013 /nfs/dbraw/zinc/00/30/13/1054003013.db2.gz RIMADGJHBFESSN-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccnc(C(F)(F)F)c1 ZINC001232244223 1054003365 /nfs/dbraw/zinc/00/33/65/1054003365.db2.gz RHZPUUXPABAALJ-GORDUTHDSA-N 0 3 321.346 4.031 20 0 DIADHN Cc1ccc(CCN(C)Cc2ccnc(C(F)(F)F)c2)cc1 ZINC001232241054 1054003878 /nfs/dbraw/zinc/00/38/78/1054003878.db2.gz CVOIGAHVBQWESW-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1cccn2cc(CN(Cc3ccccc3)CC(C)C)nc12 ZINC000621738224 1054004601 /nfs/dbraw/zinc/00/46/01/1054004601.db2.gz RZMZWJAMIXFBKC-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1nccc2ccccc21 ZINC001329955459 1054012958 /nfs/dbraw/zinc/01/29/58/1054012958.db2.gz JXLKEAMZXCPHGL-KBPBESRZSA-N 0 3 314.429 4.006 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c(C)n1 ZINC001232336459 1054023320 /nfs/dbraw/zinc/02/33/20/1054023320.db2.gz OSKIQVAXTIZGBQ-MRXNPFEDSA-N 0 3 316.832 4.042 20 0 DIADHN COc1ccccc1C1(NCc2cccc3ncccc23)CCC1 ZINC001330170572 1054027822 /nfs/dbraw/zinc/02/78/22/1054027822.db2.gz FJPPMOMSULCVJG-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN COc1cc(C)c(CN2CC[C@@H](c3ccccc3Cl)C2)cn1 ZINC001232352691 1054027696 /nfs/dbraw/zinc/02/76/96/1054027696.db2.gz RLJFUYGSXGGSJZ-CQSZACIVSA-N 0 3 316.832 4.042 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](c3cccc(Cl)c3)C2)cn1 ZINC001232353945 1054028179 /nfs/dbraw/zinc/02/81/79/1054028179.db2.gz YXTFOPWJKAUFLB-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CSc1cc(C)c(CN2CCCC3(CC(F)C3)CC2)cn1 ZINC001232350710 1054028362 /nfs/dbraw/zinc/02/83/62/1054028362.db2.gz VFNCSRDVMMLURH-UHFFFAOYSA-N 0 3 308.466 4.216 20 0 DIADHN CN1CCC[C@@H]1CSc1nc(-c2ccc(F)cc2)cs1 ZINC001472918138 1054028470 /nfs/dbraw/zinc/02/84/70/1054028470.db2.gz URPPVKYOMGEMJC-CYBMUJFWSA-N 0 3 308.447 4.136 20 0 DIADHN COc1cc(CN2CCC3(CCC3)CC2)c(OC)cc1Cl ZINC001232371356 1054031853 /nfs/dbraw/zinc/03/18/53/1054031853.db2.gz FHTYJWSEBBXCEJ-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001232385611 1054032507 /nfs/dbraw/zinc/03/25/07/1054032507.db2.gz DBEQTDGAJJMKKM-HOTGVXAUSA-N 0 3 303.446 4.104 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCC[C@H]2C)c1 ZINC001232375823 1054033031 /nfs/dbraw/zinc/03/30/31/1054033031.db2.gz GPMSXJUIGYQCOY-MRXNPFEDSA-N 0 3 311.425 4.259 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCCC23CC3)c1 ZINC001232375771 1054033510 /nfs/dbraw/zinc/03/35/10/1054033510.db2.gz FIOZVFPBFDOMSM-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN Cc1c(F)cc(CN(Cc2ccccc2)CC(C)(C)O)cc1F ZINC001232424125 1054042338 /nfs/dbraw/zinc/04/23/38/1054042338.db2.gz CPBOWWRZNIMDRI-UHFFFAOYSA-N 0 3 319.395 4.046 20 0 DIADHN C[C@@H]1CCCCCN1Cc1cc(OCc2ccccc2)no1 ZINC001232448335 1054045511 /nfs/dbraw/zinc/04/55/11/1054045511.db2.gz VWALCOWLPFTFCA-OAHLLOKOSA-N 0 3 300.402 4.018 20 0 DIADHN CN(Cc1cc(OCc2ccccc2)no1)C1CCCCC1 ZINC001232449020 1054047462 /nfs/dbraw/zinc/04/74/62/1054047462.db2.gz UXQAWZNZVYLAAZ-UHFFFAOYSA-N 0 3 300.402 4.018 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCSC2)c2ccc(F)cc2)cc1 ZINC000163762605 1054049803 /nfs/dbraw/zinc/04/98/03/1054049803.db2.gz ZUIKAPUYBKBIGK-AEFFLSMTSA-N 0 3 317.429 4.019 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]c3nccc(Cl)c23)CCC1(F)F ZINC001232456462 1054050089 /nfs/dbraw/zinc/05/00/89/1054050089.db2.gz UJWDDZFHLQZWKL-JTQLQIEISA-N 0 3 313.779 4.084 20 0 DIADHN CCc1csc(CN2CCC[C@@H]2Cc2ccc(F)cc2)n1 ZINC001232475600 1054054017 /nfs/dbraw/zinc/05/40/17/1054054017.db2.gz QUGLDQIKJCMYBR-MRXNPFEDSA-N 0 3 304.434 4.052 20 0 DIADHN Cc1cc(O)c(C(C)C)cc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000164289587 1054055607 /nfs/dbraw/zinc/05/56/07/1054055607.db2.gz WJYNGMSEBJITCN-QLFBSQMISA-N 0 3 318.461 4.024 20 0 DIADHN Fc1ccnc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)c1 ZINC001232495288 1054057764 /nfs/dbraw/zinc/05/77/64/1054057764.db2.gz VNDUAVWRSBSIDF-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN COc1cc(Cl)ccc1CN1CCC2(CCOC2(C)C)CC1 ZINC001232502031 1054060537 /nfs/dbraw/zinc/06/05/37/1054060537.db2.gz KSBYKXZGYCBZDB-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN Cc1noc(C)c1CCN(Cc1ccc(Cl)cc1)C1CC1 ZINC000164875885 1054063617 /nfs/dbraw/zinc/06/36/17/1054063617.db2.gz DDCVVRLIGDYAJG-UHFFFAOYSA-N 0 3 304.821 4.152 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232537495 1054066013 /nfs/dbraw/zinc/06/60/13/1054066013.db2.gz HGXIASLNHXHABK-KBPBESRZSA-N 0 3 319.449 4.192 20 0 DIADHN C[C@@H]1CCCCN(Cc2cccnc2NC(=O)OC(C)(C)C)C1 ZINC001232538615 1054066087 /nfs/dbraw/zinc/06/60/87/1054066087.db2.gz YYYJGDMFYIWWJO-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN FCc1cccc(CN2CCC[C@H](CCc3ccccc3)C2)n1 ZINC001232540699 1054067110 /nfs/dbraw/zinc/06/71/10/1054067110.db2.gz JLXJKDDKEJARRN-GOSISDBHSA-N 0 3 312.432 4.396 20 0 DIADHN CCOc1ccnc(CN2CCC(C)(c3ccccc3)CC2)c1 ZINC001232585494 1054075337 /nfs/dbraw/zinc/07/53/37/1054075337.db2.gz BTNJFACZDOJMLZ-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN c1ccc(COc2ccnc(CN3CC[C@H]4CCC[C@@H]4C3)c2)cc1 ZINC001232586847 1054075578 /nfs/dbraw/zinc/07/55/78/1054075578.db2.gz GRWZTMNRSCHJJM-RTBURBONSA-N 0 3 322.452 4.283 20 0 DIADHN O=C1c2ccccc2[C@@H]2CN(Cc3ccc4ccsc4c3)C[C@H]12 ZINC001232609976 1054081686 /nfs/dbraw/zinc/08/16/86/1054081686.db2.gz BOQLUJYITIVHSQ-ROUUACIJSA-N 0 3 319.429 4.313 20 0 DIADHN CCOC(=O)C[C@H]1CCCN(Cc2ccc3ccsc3c2)C1 ZINC001232610357 1054083003 /nfs/dbraw/zinc/08/30/03/1054083003.db2.gz OEMQDMJYIYBXNA-CQSZACIVSA-N 0 3 317.454 4.067 20 0 DIADHN CCCCOC(=O)[C@@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232611860 1054083726 /nfs/dbraw/zinc/08/37/26/1054083726.db2.gz CYSWEAZPOUSGNN-MRXNPFEDSA-N 0 3 317.454 4.067 20 0 DIADHN Cc1cnccc1O[C@@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232615616 1054085061 /nfs/dbraw/zinc/08/50/61/1054085061.db2.gz ZBIFTQIWYIGRPC-QGZVFWFLSA-N 0 3 324.449 4.258 20 0 DIADHN Cc1cnccc1O[C@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232615615 1054085786 /nfs/dbraw/zinc/08/57/86/1054085786.db2.gz ZBIFTQIWYIGRPC-KRWDZBQOSA-N 0 3 324.449 4.258 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccccn1 ZINC000184579698 1054087301 /nfs/dbraw/zinc/08/73/01/1054087301.db2.gz UVCLWOGRVJDGDX-HRAATJIYSA-N 0 3 318.420 4.133 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccoc1 ZINC000184580064 1054088379 /nfs/dbraw/zinc/08/83/79/1054088379.db2.gz ODVCCIAAYOOOAL-QRWLVFNGSA-N 0 3 307.393 4.331 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)NC3CCC(C)CC3)n2)cc1F ZINC000622066431 1054089535 /nfs/dbraw/zinc/08/95/35/1054089535.db2.gz CBSRXTNXSQVDII-GLWUULTISA-N 0 3 317.408 4.413 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cncc3cnccc32)CC1 ZINC001232650536 1054091763 /nfs/dbraw/zinc/09/17/63/1054091763.db2.gz CWCWDTZKQXGLLD-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CCCN(Cc1ccc(Br)[nH]1)Cc1ccccc1 ZINC001232689779 1054101275 /nfs/dbraw/zinc/10/12/75/1054101275.db2.gz RLLDAMPVRDIBIY-UHFFFAOYSA-N 0 3 307.235 4.189 20 0 DIADHN Fc1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C2CC2)ccn1 ZINC001331945367 1054102364 /nfs/dbraw/zinc/10/23/64/1054102364.db2.gz IQPWOBQBALUHPD-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN Fc1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C2CC2)ccn1 ZINC001331945368 1054102640 /nfs/dbraw/zinc/10/26/40/1054102640.db2.gz IQPWOBQBALUHPD-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN Clc1ccc2cc(CN3CCC4(CCOCC4)CC3)[nH]c2c1 ZINC001232731372 1054106825 /nfs/dbraw/zinc/10/68/25/1054106825.db2.gz FHXVKHJCIXKLQM-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN c1nc2ccc(CN3CCC(c4ccccn4)CC3)cc2s1 ZINC001232742102 1054112320 /nfs/dbraw/zinc/11/23/20/1054112320.db2.gz UDNZFFADBPRRLU-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1ccc3ncsc3c1)CC2 ZINC001232740199 1054112343 /nfs/dbraw/zinc/11/23/43/1054112343.db2.gz XKWNKIDTRTXVNH-UHFFFAOYSA-N 0 3 308.397 4.308 20 0 DIADHN Cc1c(CN2CCC[C@H]2Cc2ccc(F)cc2)ccnc1Cl ZINC001232754325 1054115031 /nfs/dbraw/zinc/11/50/31/1054115031.db2.gz GKNNRQTWTJAKGS-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1c(CN2CCC[C@@H](Cc3ccccc3)C2)ccnc1Cl ZINC001232752229 1054115065 /nfs/dbraw/zinc/11/50/65/1054115065.db2.gz FIWUODOKCIGXMH-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccnc(Cl)c2C)C1 ZINC001232747732 1054116494 /nfs/dbraw/zinc/11/64/94/1054116494.db2.gz BPJPSNHPTLMSRK-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN OCCc1ccc(CN2CCC[C@H]2c2ccc(Cl)cc2)cc1 ZINC001232776184 1054118413 /nfs/dbraw/zinc/11/84/13/1054118413.db2.gz BJMLBUOEOKTMCQ-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(C)c(F)cc3F)C2)cc1 ZINC001232786363 1054119978 /nfs/dbraw/zinc/11/99/78/1054119978.db2.gz MCKBAFMPTWWZKJ-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN CC(C)c1ccccc1CNCc1ccnc(N2CCCC2)c1 ZINC000848525409 1054124396 /nfs/dbraw/zinc/12/43/96/1054124396.db2.gz QFMGTIFYAAJQNT-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000848528155 1054127413 /nfs/dbraw/zinc/12/74/13/1054127413.db2.gz JDTOMRYRDLOXRG-HNNXBMFYSA-N 0 3 323.843 4.480 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC001332882348 1054129384 /nfs/dbraw/zinc/12/93/84/1054129384.db2.gz XRYYJRYOHSLIKB-ROUUACIJSA-N 0 3 309.457 4.427 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000848532752 1054130932 /nfs/dbraw/zinc/13/09/32/1054130932.db2.gz DVQVLWJRBJMRDB-HNNXBMFYSA-N 0 3 324.255 4.358 20 0 DIADHN Clc1cc(Cl)c(CN2CCC3(CC3)CC2)c(Cl)n1 ZINC001232848189 1054131050 /nfs/dbraw/zinc/13/10/50/1054131050.db2.gz HTZYKVSOWUZQOG-UHFFFAOYSA-N 0 3 305.636 4.418 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccccc2CF)o1 ZINC001332906382 1054131515 /nfs/dbraw/zinc/13/15/15/1054131515.db2.gz ODNKWECISZBPQC-QGZVFWFLSA-N 0 3 303.377 4.233 20 0 DIADHN CC(C)c1ccccc1CNCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000848541030 1054135379 /nfs/dbraw/zinc/13/53/79/1054135379.db2.gz YFUBYDHGSSSQOZ-UHFFFAOYSA-N 0 3 324.403 4.024 20 0 DIADHN CC(C)c1ccccc1C[NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1 ZINC000848541030 1054135388 /nfs/dbraw/zinc/13/53/88/1054135388.db2.gz YFUBYDHGSSSQOZ-UHFFFAOYSA-N 0 3 324.403 4.024 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cc(C)cc(O)c2)c1 ZINC001232884650 1054137597 /nfs/dbraw/zinc/13/75/97/1054137597.db2.gz ROFSIRKJWLGGED-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1cc(O)cc(CN2CCC(Sc3ccccc3)CC2)c1 ZINC001232880890 1054137919 /nfs/dbraw/zinc/13/79/19/1054137919.db2.gz AEPNYKZJDCILAS-UHFFFAOYSA-N 0 3 313.466 4.457 20 0 DIADHN Fc1ccc(CN(C2CCC2)C2CCOCC2)c(Cl)c1F ZINC001232879214 1054138041 /nfs/dbraw/zinc/13/80/41/1054138041.db2.gz MSISUWDJLKVEAF-UHFFFAOYSA-N 0 3 315.791 4.152 20 0 DIADHN Cc1cc(O)cc(CN2CCC[C@H](Oc3ccccc3C)C2)c1 ZINC001232884555 1054138132 /nfs/dbraw/zinc/13/81/32/1054138132.db2.gz PFZMESXVJOKQSJ-IBGZPJMESA-N 0 3 311.425 4.052 20 0 DIADHN Fc1cccc(-c2ccc(CN3CC(c4ccccc4)C3)cn2)c1 ZINC001232889277 1054140647 /nfs/dbraw/zinc/14/06/47/1054140647.db2.gz CAMOANBFFWHYHO-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN COc1ccccc1C1CCN(Cc2cc(C)cc(O)c2)CC1 ZINC001232885274 1054141954 /nfs/dbraw/zinc/14/19/54/1054141954.db2.gz DJRQPYGDJFTLQX-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN Cn1cc(-c2ccc(CNC(C)(C)c3ccc(F)cc3)cc2)cn1 ZINC001333165109 1054144794 /nfs/dbraw/zinc/14/47/94/1054144794.db2.gz CGCFQUWZXQEBMD-UHFFFAOYSA-N 0 3 323.415 4.251 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CC[C@]3(CCCO3)C2)c1 ZINC001232944107 1054153013 /nfs/dbraw/zinc/15/30/13/1054153013.db2.gz ITNDRCFLGLIYOJ-CQSZACIVSA-N 0 3 317.376 4.053 20 0 DIADHN COc1ccccc1C1CCN(Cc2ccc3ncoc3c2)CC1 ZINC001232942949 1054153408 /nfs/dbraw/zinc/15/34/08/1054153408.db2.gz QHPFHIIITAWZBX-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc3ncoc3c2)c1 ZINC001232945342 1054154000 /nfs/dbraw/zinc/15/40/00/1054154000.db2.gz PPIGLPXMJDEGLU-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN COc1cccc2cc(CN[C@@H](C)c3ccc4c(c3)CCO4)oc21 ZINC001333506722 1054155874 /nfs/dbraw/zinc/15/58/74/1054155874.db2.gz DRYUSTSNABONAF-ZDUSSCGKSA-N 0 3 323.392 4.227 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232946525 1054157231 /nfs/dbraw/zinc/15/72/31/1054157231.db2.gz MIOIXTMMCQTUGD-CYBMUJFWSA-N 0 3 319.392 4.298 20 0 DIADHN CC[C@@H](NCc1ccc(CSC(F)F)o1)[C@@H]1CCCCO1 ZINC000848574616 1054158549 /nfs/dbraw/zinc/15/85/49/1054158549.db2.gz GOVJMNKYLOYVIZ-KGLIPLIRSA-N 0 3 319.417 4.173 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cnn(C)c2C)cc2ccccc21 ZINC001333556025 1054158595 /nfs/dbraw/zinc/15/85/95/1054158595.db2.gz UKRXSXGWYZVXCT-KBPBESRZSA-N 0 3 323.440 4.302 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc(OC(F)F)nc2)cc1 ZINC001232955031 1054159992 /nfs/dbraw/zinc/15/99/92/1054159992.db2.gz UJIPUWLSTSNYQX-MRXNPFEDSA-N 0 3 318.367 4.329 20 0 DIADHN FC[C@@H]1CN(Cc2ccc(C3CC3)cc2)C[C@H]1C(F)(F)F ZINC001232984731 1054165369 /nfs/dbraw/zinc/16/53/69/1054165369.db2.gz RKLIZIQPJAERRZ-HUUCEWRRSA-N 0 3 301.327 4.144 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232982782 1054166107 /nfs/dbraw/zinc/16/61/07/1054166107.db2.gz INUUOVYIZKWVOJ-OAHLLOKOSA-N 0 3 301.327 4.288 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2ccc(C3CC3)cc2)cc1 ZINC001232982333 1054166780 /nfs/dbraw/zinc/16/67/80/1054166780.db2.gz AMLRGCQDSBTQSP-OAQYLSRUSA-N 0 3 307.437 4.355 20 0 DIADHN Cc1ncc(F)cc1CN1CCC[C@H](CCc2ccccc2)C1 ZINC001232992102 1054168435 /nfs/dbraw/zinc/16/84/35/1054168435.db2.gz IDOCOGBZGFRWBV-GOSISDBHSA-N 0 3 312.432 4.374 20 0 DIADHN Cc1cc(C)c(CN(Cc2ccccn2)C2CCCCC2)cn1 ZINC001233009607 1054170269 /nfs/dbraw/zinc/17/02/69/1054170269.db2.gz GLDPEAKWUXVWFB-UHFFFAOYSA-N 0 3 309.457 4.428 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](c3ccccc3Cl)C2)cn1 ZINC001233007601 1054171199 /nfs/dbraw/zinc/17/11/99/1054171199.db2.gz QBAOPENZKHXAGR-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cc(C)c(CN2CCC(c3nc4ccccc4o3)CC2)cn1 ZINC001233008865 1054171473 /nfs/dbraw/zinc/17/14/73/1054171473.db2.gz UQVMKKFZRXCDIJ-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN C[C@H](NCc1cccc2ccoc21)c1cnn(CC2CCC2)c1 ZINC001333841109 1054173830 /nfs/dbraw/zinc/17/38/30/1054173830.db2.gz KKZFJRSSAQXKAY-AWEZNQCLSA-N 0 3 309.413 4.280 20 0 DIADHN C[C@@H](CSC(C)(C)C)NCc1nc2ccccc2n1C1CC1 ZINC000184781041 1054174815 /nfs/dbraw/zinc/17/48/15/1054174815.db2.gz ZUGSULQGDCRCAY-ZDUSSCGKSA-N 0 3 317.502 4.381 20 0 DIADHN c1ccn2nc(CN(C3CCCCC3)C3CCCCC3)nc2c1 ZINC001233068728 1054180940 /nfs/dbraw/zinc/18/09/40/1054180940.db2.gz NCAKBZSIVOMISF-UHFFFAOYSA-N 0 3 312.461 4.197 20 0 DIADHN FC(F)(F)Cc1ncc(CN2C3CCCC2CCC3)s1 ZINC001334139310 1054181919 /nfs/dbraw/zinc/18/19/19/1054181919.db2.gz IYNPFRBFCJYXFD-UHFFFAOYSA-N 0 3 304.381 4.155 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)OCc2csc(C)c2C)o1 ZINC001438509654 1054183170 /nfs/dbraw/zinc/18/31/70/1054183170.db2.gz MAVKKMFTHYOIJE-UHFFFAOYSA-N 0 3 321.442 4.157 20 0 DIADHN CCCCN(CC)Cc1ccc(Cl)c(Br)n1 ZINC001233090369 1054183719 /nfs/dbraw/zinc/18/37/19/1054183719.db2.gz IMEKGNDAOHLGBP-UHFFFAOYSA-N 0 3 305.647 4.120 20 0 DIADHN CCOc1c(Cl)cc(CN(CCOC)C(C)C)cc1Cl ZINC001233104799 1054185733 /nfs/dbraw/zinc/18/57/33/1054185733.db2.gz BENRPFGHOMVXMA-UHFFFAOYSA-N 0 3 320.260 4.249 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@@H]2CCc3ccccc32)c1 ZINC000168608368 1054188818 /nfs/dbraw/zinc/18/88/18/1054188818.db2.gz GMXGVLSKJNLINA-MRXNPFEDSA-N 0 3 303.352 4.374 20 0 DIADHN FC(F)CCCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC001334411770 1054189960 /nfs/dbraw/zinc/18/99/60/1054189960.db2.gz VSDIPGAODFXKTR-MRXNPFEDSA-N 0 3 310.775 4.459 20 0 DIADHN FC(F)CCCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC001334411769 1054190024 /nfs/dbraw/zinc/19/00/24/1054190024.db2.gz VSDIPGAODFXKTR-INIZCTEOSA-N 0 3 310.775 4.459 20 0 DIADHN Cc1cc(CN2CC[C@H](c3cccc(Cl)c3)C2)cnc1C ZINC001233162014 1054192920 /nfs/dbraw/zinc/19/29/20/1054192920.db2.gz ODVNAJMKLXJVEP-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN CCCN(C(=O)[C@H]1CCCN1C(C)C)[C@@H](CC)c1ccccc1 ZINC001355647669 1054193723 /nfs/dbraw/zinc/19/37/23/1054193723.db2.gz YTMGNUBRIXFNCT-RBUKOAKNSA-N 0 3 316.489 4.249 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNCc1cnc2n1CCCC2 ZINC000671195011 1054199844 /nfs/dbraw/zinc/19/98/44/1054199844.db2.gz VZLHUXHVKZMQPF-UVTDQMKNSA-N 0 3 315.848 4.066 20 0 DIADHN CCO[C@@H]1CCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233210601 1054202116 /nfs/dbraw/zinc/20/21/16/1054202116.db2.gz DNPIFEANEUEGOX-LJQANCHMSA-N 0 3 315.388 4.229 20 0 DIADHN CO[C@H](C)C1CN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233212013 1054203174 /nfs/dbraw/zinc/20/31/74/1054203174.db2.gz XDIREEJILJPJCN-CQSZACIVSA-N 0 3 315.388 4.085 20 0 DIADHN COc1cc(Br)cc(CN2C[C@@H]3CCCC[C@@H]3C2)c1 ZINC001233213520 1054205060 /nfs/dbraw/zinc/20/50/60/1054205060.db2.gz SGHNOUIKTHEQCO-OKILXGFUSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc(Cl)cc1CCN[C@H](C)c1nc(C)cs1 ZINC001334908411 1054204941 /nfs/dbraw/zinc/20/49/41/1054204941.db2.gz WUWDIECSOBKDQZ-LLVKDONJSA-N 0 3 310.850 4.007 20 0 DIADHN COc1cc(Br)cc(CN2C[C@H]3CCCC[C@@H]3C2)c1 ZINC001233213521 1054205090 /nfs/dbraw/zinc/20/50/90/1054205090.db2.gz SGHNOUIKTHEQCO-ZIAGYGMSSA-N 0 3 324.262 4.080 20 0 DIADHN COC[C@@H]1CCN1Cc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001233220765 1054207123 /nfs/dbraw/zinc/20/71/23/1054207123.db2.gz XUJCCUDEHBCXCP-SFHVURJKSA-N 0 3 301.817 4.228 20 0 DIADHN Cc1ccc(CN2CCC[C@H](Oc3ccccc3C)C2)cc1O ZINC001233233389 1054210165 /nfs/dbraw/zinc/21/01/65/1054210165.db2.gz HORZGKMXAOKJJC-SFHVURJKSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)cc1O ZINC001233235467 1054213227 /nfs/dbraw/zinc/21/32/27/1054213227.db2.gz UVVOBIKZJMNGNM-UHFFFAOYSA-N 0 3 323.436 4.060 20 0 DIADHN Cc1ccc(Cl)cc1CN(C)CCCC(=O)c1cccnc1 ZINC001233250329 1054216921 /nfs/dbraw/zinc/21/69/21/1054216921.db2.gz GDQRNMNHWLAEQU-UHFFFAOYSA-N 0 3 316.832 4.138 20 0 DIADHN Cc1ccc(Cl)cc1CN(C)Cc1ccc2c(c1)OCCO2 ZINC001233247661 1054217453 /nfs/dbraw/zinc/21/74/53/1054217453.db2.gz FRYOZNIEWOTOAM-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)Cc1cccc2c1CCO2 ZINC001233276860 1054218250 /nfs/dbraw/zinc/21/82/50/1054218250.db2.gz DFJQCNLVXFGKSP-ZDUSSCGKSA-N 0 3 301.817 4.468 20 0 DIADHN COCCCC1(CN2CC(C)(C)[C@H]2c2ccncc2)CCC1 ZINC001335544261 1054219014 /nfs/dbraw/zinc/21/90/14/1054219014.db2.gz RYYXIIMAQWLQEL-QGZVFWFLSA-N 0 3 302.462 4.061 20 0 DIADHN Cc1cnsc1CN(Cc1ccccc1)C1CCSCC1 ZINC001233322612 1054232487 /nfs/dbraw/zinc/23/24/87/1054232487.db2.gz MMBPPUMZWJTIMD-UHFFFAOYSA-N 0 3 318.511 4.349 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CC3(CCC3)C2)cc1 ZINC001233336968 1054237370 /nfs/dbraw/zinc/23/73/70/1054237370.db2.gz JRYQHZQEXIHQRG-UHFFFAOYSA-N 0 3 302.418 4.020 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1ccc(N)cc1Cl ZINC001233376553 1054251939 /nfs/dbraw/zinc/25/19/39/1054251939.db2.gz OYTULIGNACPTIB-UHFFFAOYSA-N 0 3 309.240 4.250 20 0 DIADHN Nc1ccc(CN2CC[C@@H](c3cccc(F)c3)C2)c(Cl)c1 ZINC001233376564 1054253436 /nfs/dbraw/zinc/25/34/36/1054253436.db2.gz PMVZYULXGRUKEQ-CYBMUJFWSA-N 0 3 304.796 4.051 20 0 DIADHN Nc1ccc(CN2CCC(c3ccc(F)cc3)CC2)c(Cl)c1 ZINC001233376174 1054254196 /nfs/dbraw/zinc/25/41/96/1054254196.db2.gz UWPOBRBUMVFCCC-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN Nc1cc(F)c(F)cc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001233381934 1054257174 /nfs/dbraw/zinc/25/71/74/1054257174.db2.gz YPDZBOBJKXUCDM-LBPRGKRZSA-N 0 3 322.786 4.190 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCc3ccncc3)cc2)cs1 ZINC001356024357 1054263760 /nfs/dbraw/zinc/26/37/60/1054263760.db2.gz YIOXZSSUXYZMLO-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN C[C@@H]1CN(Cc2ccc3nc(Cl)ccc3c2)CCC1(F)F ZINC001233442497 1054272492 /nfs/dbraw/zinc/27/24/92/1054272492.db2.gz VTKGSRPEBIQJRI-LLVKDONJSA-N 0 3 310.775 4.365 20 0 DIADHN CCN(Cc1cc(Br)c(Cl)cc1F)C(C)C ZINC001233439084 1054273615 /nfs/dbraw/zinc/27/36/15/1054273615.db2.gz RLRZCUVYFXANFB-UHFFFAOYSA-N 0 3 308.622 4.472 20 0 DIADHN CC(=O)c1ccc2c(c1OC1CCN(C(C)C)CC1)CCCC2 ZINC001233546938 1054293872 /nfs/dbraw/zinc/29/38/72/1054293872.db2.gz MLVSRSQKKLFYEB-UHFFFAOYSA-N 0 3 315.457 4.020 20 0 DIADHN CCOC(CN1CCCC[C@H]1c1cc2ccccc2[nH]1)OCC ZINC000184984250 1054302406 /nfs/dbraw/zinc/30/24/06/1054302406.db2.gz OFJDYCOJQUICJE-SFHVURJKSA-N 0 3 316.445 4.094 20 0 DIADHN Cc1cc(O[C@H]2CN3CCC2CC3)ccc1OCc1ccccc1 ZINC001233603914 1054309966 /nfs/dbraw/zinc/30/99/66/1054309966.db2.gz NQAIWLIBTQDMPC-NRFANRHFSA-N 0 3 323.436 4.047 20 0 DIADHN CC(C)(C)C(C)(C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC000622616440 1054317230 /nfs/dbraw/zinc/31/72/30/1054317230.db2.gz AEKQWJHSVGWQNR-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN Cc1cc(CN2CCC(C(=O)c3ccccc3)CC2)sc1C ZINC001233646827 1054320177 /nfs/dbraw/zinc/32/01/77/1054320177.db2.gz VIMKHTHEOVNMOK-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cn2cc(-c3ccc(C)cc3)cn2)C1 ZINC001339605038 1054342221 /nfs/dbraw/zinc/34/22/21/1054342221.db2.gz OOADPTHYYNSYLX-ROUUACIJSA-N 0 3 315.486 4.032 20 0 DIADHN C[C@H](CO)N(Cc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC001339631205 1054344295 /nfs/dbraw/zinc/34/42/95/1054344295.db2.gz HMSQFPUSQCYGFA-CQSZACIVSA-N 0 3 323.358 4.088 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1ccc(OC(F)F)cc1 ZINC000171128132 1054348672 /nfs/dbraw/zinc/34/86/72/1054348672.db2.gz WZTCLEDOZATOHG-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN COc1ccc([C@H](NCc2ccccc2N(C)C)C2CC2)cc1 ZINC000171127976 1054348816 /nfs/dbraw/zinc/34/88/16/1054348816.db2.gz PAFQSGNSEMOAMV-HXUWFJFHSA-N 0 3 310.441 4.002 20 0 DIADHN CCCCCc1ccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1 ZINC001440431087 1054350623 /nfs/dbraw/zinc/35/06/23/1054350623.db2.gz AWWQQMGPVKVERV-IYBDPMFKSA-N 0 3 302.462 4.231 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N(C)CCC2CCCCC2)c1 ZINC000171172851 1054353093 /nfs/dbraw/zinc/35/30/93/1054353093.db2.gz KHCRCMQWFQFCDP-UHFFFAOYSA-N 0 3 317.477 4.182 20 0 DIADHN CN(C)[C@H](CNC(=O)CCCCC(C)(C)C)c1ccccc1 ZINC001440586672 1054360353 /nfs/dbraw/zinc/36/03/53/1054360353.db2.gz AVCSCIJSFPNYPR-QGZVFWFLSA-N 0 3 304.478 4.012 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1CNCc1ncc(CN(C)C)s1 ZINC001340977209 1054371922 /nfs/dbraw/zinc/37/19/22/1054371922.db2.gz QFWLJMLFPMYGTN-INMHGKMJSA-N 0 3 323.550 4.003 20 0 DIADHN Clc1ccc(C2(NCc3cnn4ccccc34)CCC2)cc1 ZINC000171763644 1054385937 /nfs/dbraw/zinc/38/59/37/1054385937.db2.gz DRMGXIGGJWVRII-UHFFFAOYSA-N 0 3 311.816 4.157 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001441323998 1054388778 /nfs/dbraw/zinc/38/87/78/1054388778.db2.gz YZLJUSWTKRHBBS-FUHWJXTLSA-N 0 3 324.534 4.464 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001441324005 1054389114 /nfs/dbraw/zinc/38/91/14/1054389114.db2.gz YZLJUSWTKRHBBS-SJLPKXTDSA-N 0 3 324.534 4.464 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001441321422 1054389593 /nfs/dbraw/zinc/38/95/93/1054389593.db2.gz VHJFEAXJWSKCNB-RHSMWYFYSA-N 0 3 324.534 4.320 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2csc(-c3ccccn3)n2)C1 ZINC000171883277 1054394149 /nfs/dbraw/zinc/39/41/49/1054394149.db2.gz BMAPKZZKINDZSZ-ZFWWWQNUSA-N 0 3 301.459 4.216 20 0 DIADHN CC(C)C[C@@H](C(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001341624855 1054400128 /nfs/dbraw/zinc/40/01/28/1054400128.db2.gz YPYINUVKCBIHGR-QGZVFWFLSA-N 0 3 308.425 4.058 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1c[nH]c(=O)c2ccccc12 ZINC001234008532 1054412640 /nfs/dbraw/zinc/41/26/40/1054412640.db2.gz ZOWTYGFOIMOFQV-JXMROGBWSA-N 0 3 304.393 4.086 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001442263010 1054416040 /nfs/dbraw/zinc/41/60/40/1054416040.db2.gz PRYKMFUXSJHUAG-MRXNPFEDSA-N 0 3 310.526 4.029 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H]1CCCc2cnn(C(C)C)c21 ZINC001342637206 1054426407 /nfs/dbraw/zinc/42/64/07/1054426407.db2.gz LDWGWPBRCOGWTC-RTBURBONSA-N 0 3 309.457 4.427 20 0 DIADHN CCCCCCN(C)CC(=O)NC[C@@H]1C[C@@H](C)CC[C@@H]1C(C)C ZINC001442621380 1054427764 /nfs/dbraw/zinc/42/77/64/1054427764.db2.gz RKPMDXUBRWJTTO-GBESFXJTSA-N 0 3 324.553 4.323 20 0 DIADHN Cc1ncc(CN(C)C[C@H]2CCCO[C@@H]2c2ccccc2)s1 ZINC000622836699 1054428520 /nfs/dbraw/zinc/42/85/20/1054428520.db2.gz KMBRCLXQCWOXSD-SJLPKXTDSA-N 0 3 316.470 4.051 20 0 DIADHN CC(C)(C)c1ccc(OC2CCN(Cc3ccccc3)CC2)cn1 ZINC001234176692 1054446472 /nfs/dbraw/zinc/44/64/72/1054446472.db2.gz NCBPZDXLSRKFTF-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)N(CCN(C)C)c2ccccc2)cc1 ZINC001357343432 1054461821 /nfs/dbraw/zinc/46/18/21/1054461821.db2.gz AVMPJMBUAJBAPO-QGZVFWFLSA-N 0 3 324.468 4.409 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccnc(Cl)c2)C1(C)C ZINC001473258952 1054464336 /nfs/dbraw/zinc/46/43/36/1054464336.db2.gz BNHCPVFDCPVQFB-GJZGRUSLSA-N 0 3 310.869 4.151 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N1CC[C@@]2(C1)CCCOC2 ZINC000671253613 1054464519 /nfs/dbraw/zinc/46/45/19/1054464519.db2.gz LHJIUZVPYDOAIZ-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cc(C)nc(Cl)c2)C1(C)C ZINC001473258850 1054464667 /nfs/dbraw/zinc/46/46/67/1054464667.db2.gz AMJCDOWUBXDXEW-JKSUJKDBSA-N 0 3 324.896 4.459 20 0 DIADHN CN(c1ccc(CN2CCSCC2)cc1)c1ccccc1F ZINC001234232681 1054465372 /nfs/dbraw/zinc/46/53/72/1054465372.db2.gz XLDKYXYDTORYKV-UHFFFAOYSA-N 0 3 316.445 4.142 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](CC(C)C)C(C)C ZINC001234242437 1054471138 /nfs/dbraw/zinc/47/11/38/1054471138.db2.gz BJYXWAPGNOAPJG-MRXNPFEDSA-N 0 3 311.441 4.345 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H]1[C@H](C)CCC[C@@H]1C ZINC001234243270 1054471838 /nfs/dbraw/zinc/47/18/38/1054471838.db2.gz GQRLTDJCCPBUMV-LAQFHYBYSA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1cccc(C)c1 ZINC001234243494 1054472598 /nfs/dbraw/zinc/47/25/98/1054472598.db2.gz JARHXIFIIRIZDX-AWEZNQCLSA-N 0 3 317.404 4.344 20 0 DIADHN CC(C)[C@@H](CC(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001344591185 1054476529 /nfs/dbraw/zinc/47/65/29/1054476529.db2.gz UGOAPDLAGBZFEA-QGZVFWFLSA-N 0 3 308.425 4.058 20 0 DIADHN C/C(=C\C(C)(C)C)C(=O)N(CCN1CCCC1)c1ccccc1 ZINC001444425918 1054478109 /nfs/dbraw/zinc/47/81/09/1054478109.db2.gz WELZLEFMWJKZMA-WUKNDPDISA-N 0 3 314.473 4.108 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(N(C)c3ccccc3C)cc2)C1 ZINC001234270023 1054482066 /nfs/dbraw/zinc/48/20/66/1054482066.db2.gz TXSYKOXLDJBMPB-QGZVFWFLSA-N 0 3 324.468 4.230 20 0 DIADHN Cc1noc(C)c1CCN1CCS[C@@H](C)[C@H]1c1ccccc1 ZINC000339658561 1054503615 /nfs/dbraw/zinc/50/36/15/1054503615.db2.gz MGKJQHULJZJYHW-YJBOKZPZSA-N 0 3 316.470 4.013 20 0 DIADHN Cc1ccc(CNCc2ccc([N+](=O)[O-])c(Cl)c2)c(C)c1 ZINC000185276238 1054507551 /nfs/dbraw/zinc/50/75/51/1054507551.db2.gz WAUKQBZUPAXQLP-UHFFFAOYSA-N 0 3 304.777 4.155 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-n2cccn2)cc1)c1cccc(F)c1 ZINC000174370850 1054507727 /nfs/dbraw/zinc/50/77/27/1054507727.db2.gz MEGVJELEBBUVLE-LSDHHAIUSA-N 0 3 309.388 4.423 20 0 DIADHN COCc1cccc(CNC2(c3cccc(Cl)c3)CC2)c1 ZINC000174424402 1054508944 /nfs/dbraw/zinc/50/89/44/1054508944.db2.gz OMEBRMAGIHBIRW-UHFFFAOYSA-N 0 3 301.817 4.265 20 0 DIADHN Cc1cc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc(C)c1C ZINC001234389567 1054514152 /nfs/dbraw/zinc/51/41/52/1054514152.db2.gz SRWXCKHVMIVOOB-ZWKOTPCHSA-N 0 3 310.441 4.221 20 0 DIADHN CCOc1ccc([C@H](C)Oc2cccc3c2CCN(C)C3)cc1 ZINC001234422438 1054517483 /nfs/dbraw/zinc/51/74/83/1054517483.db2.gz IEZRCGJYYJKSQE-HNNXBMFYSA-N 0 3 311.425 4.213 20 0 DIADHN C[C@@H](Oc1cccc2c1CCN(C)C2)c1ccc(F)c(F)c1 ZINC001234421151 1054518269 /nfs/dbraw/zinc/51/82/69/1054518269.db2.gz APKYBSOYEOYCJF-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN C[C@H](Oc1cccc2c1CCN(C)C2)c1cccc(Cl)c1 ZINC001234424050 1054519791 /nfs/dbraw/zinc/51/97/91/1054519791.db2.gz OAHMLPXLDXMZJK-ZDUSSCGKSA-N 0 3 301.817 4.468 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1cccc(Cl)c1F)C(=O)OC ZINC001473297731 1054522718 /nfs/dbraw/zinc/52/27/18/1054522718.db2.gz NIDMRZAMQKNYSC-NSHDSACASA-N 0 3 315.816 4.109 20 0 DIADHN C[NH+](C)CC[C@@H](Oc1c([O-])cc(Cl)cc1F)c1ccccc1 ZINC001234446545 1054524007 /nfs/dbraw/zinc/52/40/07/1054524007.db2.gz GIDDYBMYYIOTBE-MRXNPFEDSA-N 0 3 323.795 4.257 20 0 DIADHN CCCc1ccccc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001446187657 1054530607 /nfs/dbraw/zinc/53/06/07/1054530607.db2.gz GAZUQOOFAGPDMQ-UHFFFAOYSA-N 0 3 310.441 4.261 20 0 DIADHN CC(C)(C)C[C@H](NCc1cnc2n1CCCC2)c1ccccc1 ZINC000671303178 1054545601 /nfs/dbraw/zinc/54/56/01/1054545601.db2.gz DJEMYXJDANAATJ-SFHVURJKSA-N 0 3 311.473 4.487 20 0 DIADHN Clc1ccc(C2=CC[C@@H](N3CCc4n[nH]cc4C3)CC2)cc1 ZINC000623243750 1054551762 /nfs/dbraw/zinc/55/17/62/1054551762.db2.gz NBBCYDVJISQNKM-QGZVFWFLSA-N 0 3 313.832 4.057 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC[C@@H]1CCc3ccccc31)C2 ZINC000623252958 1054551979 /nfs/dbraw/zinc/55/19/79/1054551979.db2.gz CARIITMFXAEKRO-KRWDZBQOSA-N 0 3 321.468 4.078 20 0 DIADHN FC1(F)[C@@H]2CC[C@H](N[C@H](c3ccccc3)c3ccccn3)C[C@@H]21 ZINC001346860222 1054552201 /nfs/dbraw/zinc/55/22/01/1054552201.db2.gz NUCOHFMOSIKWEP-UIBIWLFHSA-N 0 3 314.379 4.194 20 0 DIADHN Cc1nc(C)c(CN2CCC(CCCc3ccccc3)CC2)[nH]1 ZINC001234600404 1054553729 /nfs/dbraw/zinc/55/37/29/1054553729.db2.gz FLQRFPSCHHLMKC-UHFFFAOYSA-N 0 3 311.473 4.261 20 0 DIADHN CN(Cc1ccc(Br)o1)C(C)(C)c1ccccc1 ZINC000671309121 1054554453 /nfs/dbraw/zinc/55/44/53/1054554453.db2.gz CHWTVFQBXYWMLI-UHFFFAOYSA-N 0 3 308.219 4.409 20 0 DIADHN FC(F)(F)CC1CCN(Cc2ccc3cnccc3c2)CC1 ZINC000671311661 1054555234 /nfs/dbraw/zinc/55/52/34/1054555234.db2.gz AVCLZEAJPLUZFW-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN Cc1cc(OC2CCN(Cc3ccccc3)CC2)cc(Cl)n1 ZINC001234615650 1054555883 /nfs/dbraw/zinc/55/58/83/1054555883.db2.gz PBAVRCSBXDDVSL-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1ccc(Cl)c(F)c1 ZINC001347099192 1054556419 /nfs/dbraw/zinc/55/64/19/1054556419.db2.gz TZLQOYAGAZCDHR-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN OC1CCC(CNC2(c3c(Cl)cccc3Cl)CC2)CC1 ZINC001347103573 1054557153 /nfs/dbraw/zinc/55/71/53/1054557153.db2.gz BFTBEKLLTGNOEH-UHFFFAOYSA-N 0 3 314.256 4.123 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](c2ccccc2)C2CC2)c1C ZINC000192597289 1054561214 /nfs/dbraw/zinc/56/12/14/1054561214.db2.gz MWDBPZXLFQEODX-OXJNMPFZSA-N 0 3 322.452 4.371 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc(C(F)F)c1)CCC2 ZINC001347441139 1054570091 /nfs/dbraw/zinc/57/00/91/1054570091.db2.gz HGIJXAODGKUFJW-QGZVFWFLSA-N 0 3 303.352 4.497 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc(C(F)F)c1)CCC2 ZINC001347441137 1054570315 /nfs/dbraw/zinc/57/03/15/1054570315.db2.gz HGIJXAODGKUFJW-KRWDZBQOSA-N 0 3 303.352 4.497 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)N1CCCC[C@@H]1c1ccccc1 ZINC000415988280 1054592865 /nfs/dbraw/zinc/59/28/65/1054592865.db2.gz CGMMJZPFWMJJPS-LJQANCHMSA-N 0 3 321.424 4.049 20 0 DIADHN CC[C@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc(C)c(F)c1 ZINC001358143580 1054608265 /nfs/dbraw/zinc/60/82/65/1054608265.db2.gz DHKXSVILJQVMFK-SFHVURJKSA-N 0 3 319.420 4.003 20 0 DIADHN C[C@@H](NCCN1C[C@H](C)C[C@H](C)C1)c1nc(C(C)(C)C)cs1 ZINC001473356034 1054626218 /nfs/dbraw/zinc/62/62/18/1054626218.db2.gz PTBRBLMXVAKPGI-QLFBSQMISA-N 0 3 323.550 4.069 20 0 DIADHN CN1CCN(C(=O)CC2CCC(C)(C)CC2)CC12CCCCC2 ZINC001449894512 1054632303 /nfs/dbraw/zinc/63/23/03/1054632303.db2.gz MPPDMVVQQBPBHA-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001449893054 1054633012 /nfs/dbraw/zinc/63/30/12/1054633012.db2.gz JEXLVSISUNSTHA-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1 ZINC000177879400 1054636958 /nfs/dbraw/zinc/63/69/58/1054636958.db2.gz VQKJHDGGNZCDIZ-KRWDZBQOSA-N 0 3 324.468 4.376 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CC1CCCCC1 ZINC001450358372 1054645668 /nfs/dbraw/zinc/64/56/68/1054645668.db2.gz ABHGTPBQQOIPIV-UHFFFAOYSA-N 0 3 303.450 4.013 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C1CCCCCCC1 ZINC001450363887 1054646407 /nfs/dbraw/zinc/64/64/07/1054646407.db2.gz XBYXQOCVLKBNAV-UHFFFAOYSA-N 0 3 317.477 4.403 20 0 DIADHN Fc1ccccc1-c1cc(CN2CC(c3ccccc3)C2)c[nH]1 ZINC001235042076 1054647183 /nfs/dbraw/zinc/64/71/83/1054647183.db2.gz IKWYMUCKQYQBIE-UHFFFAOYSA-N 0 3 306.384 4.420 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC000178291862 1054648093 /nfs/dbraw/zinc/64/80/93/1054648093.db2.gz RMOWDRYCLXNJOM-SJCJKPOMSA-N 0 3 303.837 4.358 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000178292521 1054649759 /nfs/dbraw/zinc/64/97/59/1054649759.db2.gz VXLYSZMTTURKCQ-GTNSWQLSSA-N 0 3 303.837 4.184 20 0 DIADHN CC1(C)C[C@H](NCc2ccc3c(c2)CCC3)c2cc(N)ccc2O1 ZINC001348516985 1054653008 /nfs/dbraw/zinc/65/30/08/1054653008.db2.gz YKMVSHVNDAANEF-IBGZPJMESA-N 0 3 322.452 4.150 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc(N3CCCC3)c1)CC2 ZINC001235083940 1054654223 /nfs/dbraw/zinc/65/42/23/1054654223.db2.gz MWFOOHUNRKCQTJ-UHFFFAOYSA-N 0 3 306.453 4.154 20 0 DIADHN c1ccc2c(c1)CN(Cc1cccc(N3CCCC3)c1)CCS2 ZINC001235094589 1054657376 /nfs/dbraw/zinc/65/73/76/1054657376.db2.gz BTQRCVSOCJGAQS-UHFFFAOYSA-N 0 3 324.493 4.395 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC001450737949 1054660181 /nfs/dbraw/zinc/66/01/81/1054660181.db2.gz CZXDEFWECIFTKE-JYJNAYRXSA-N 0 3 302.462 4.034 20 0 DIADHN c1ccc(C2CN(Cc3ccc(Oc4cccnc4)cc3)C2)cc1 ZINC001235114107 1054660393 /nfs/dbraw/zinc/66/03/93/1054660393.db2.gz IHBOKWZLZURSPZ-UHFFFAOYSA-N 0 3 316.404 4.473 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccnn2CC2CC2)c2ccccc21 ZINC000623707041 1054662898 /nfs/dbraw/zinc/66/28/98/1054662898.db2.gz XKLYHUYHKDXVNA-PMACEKPBSA-N 0 3 309.457 4.267 20 0 DIADHN C=COc1ccc(NC(=O)c2cccc(CN3CCCC3)c2)cc1 ZINC001451156709 1054669239 /nfs/dbraw/zinc/66/92/39/1054669239.db2.gz ZKJIOZYFJIPKHA-UHFFFAOYSA-N 0 3 322.408 4.057 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)c(C)s1 ZINC000179370603 1054677409 /nfs/dbraw/zinc/67/74/09/1054677409.db2.gz LAGMOTOXFMWPMY-KGLIPLIRSA-N 0 3 316.470 4.351 20 0 DIADHN Fc1cccc2cc(CN3CC[C@@H](c4ccccc4F)C3)[nH]c21 ZINC001235246986 1054689096 /nfs/dbraw/zinc/68/90/96/1054689096.db2.gz OTODRVKEECDPHD-CQSZACIVSA-N 0 3 312.363 4.436 20 0 DIADHN Fc1cccc2cc(CN3CCC[C@H](c4ccccn4)C3)[nH]c21 ZINC001235246140 1054689782 /nfs/dbraw/zinc/68/97/82/1054689782.db2.gz JLQDNMRAMLSEAP-HNNXBMFYSA-N 0 3 309.388 4.082 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cccc3ncccc13)CCC2 ZINC001348901354 1054693253 /nfs/dbraw/zinc/69/32/53/1054693253.db2.gz HAEFEDNGLITMSS-LJQANCHMSA-N 0 3 320.440 4.179 20 0 DIADHN CCc1cccc(CN2CCC(Cc3ccccc3OC)CC2)n1 ZINC001235257754 1054695117 /nfs/dbraw/zinc/69/51/17/1054695117.db2.gz LSPNRZVTELQVFX-UHFFFAOYSA-N 0 3 324.468 4.107 20 0 DIADHN CCCN(C)CC(=O)N(CC(C)C)[C@H](C)c1ccccc1Cl ZINC001452419323 1054703883 /nfs/dbraw/zinc/70/38/83/1054703883.db2.gz ZGCQLPOTWPQHFG-OAHLLOKOSA-N 0 3 324.896 4.227 20 0 DIADHN Cc1cnc(F)c(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001235338423 1054704551 /nfs/dbraw/zinc/70/45/51/1054704551.db2.gz JKTFLZPJTIHSHG-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)/C(C)=C\C2CCC(C)CC2)CC1 ZINC001452654118 1054710327 /nfs/dbraw/zinc/71/03/27/1054710327.db2.gz ICRIPTOEXHIGDI-ICFOKQHNSA-N 0 3 320.521 4.092 20 0 DIADHN CCOc1cc(O)cc(CN2CCC(c3cccs3)CC2)c1 ZINC001235398619 1054712788 /nfs/dbraw/zinc/71/27/88/1054712788.db2.gz PSUMALRBAPZGOK-UHFFFAOYSA-N 0 3 317.454 4.232 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001235433330 1054718018 /nfs/dbraw/zinc/71/80/18/1054718018.db2.gz PFZOHZJWMKJBLJ-QGZVFWFLSA-N 0 3 320.452 4.281 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3nc4c(o3)CCCC4)C2)cc1 ZINC001473433591 1054723862 /nfs/dbraw/zinc/72/38/62/1054723862.db2.gz WQLGESBELHNUFZ-NVXWUHKLSA-N 0 3 310.441 4.240 20 0 DIADHN COc1cncc(CN(C)Cc2cccc3ccccc32)c1C ZINC001235464539 1054728802 /nfs/dbraw/zinc/72/88/02/1054728802.db2.gz JUFVTBBHNYGPGT-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Clc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cncs1 ZINC000623755074 1054733708 /nfs/dbraw/zinc/73/37/08/1054733708.db2.gz YTDJAJBCJYIOJW-OAHLLOKOSA-N 0 3 317.845 4.445 20 0 DIADHN CC(C)c1cnc(NC[C@@H](c2cccc(F)c2)N(C)C)s1 ZINC000498875480 1054734903 /nfs/dbraw/zinc/73/49/03/1054734903.db2.gz MPKDXQFTNQFLFW-AWEZNQCLSA-N 0 3 307.438 4.120 20 0 DIADHN COc1ccc(Br)c(CN2[C@H](C)CCC2(C)C)c1 ZINC001473544389 1054738082 /nfs/dbraw/zinc/73/80/82/1054738082.db2.gz UHDZJDQTVNNSDB-LLVKDONJSA-N 0 3 312.251 4.221 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC(Cc3ccncc3)CC2)c1 ZINC001235539070 1054740100 /nfs/dbraw/zinc/74/01/00/1054740100.db2.gz WHEIQGUBCWLQNA-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000180228598 1054742918 /nfs/dbraw/zinc/74/29/18/1054742918.db2.gz YYEDIBXZBWKBBH-CIISACIHSA-N 0 3 309.768 4.253 20 0 DIADHN Cc1ccc2oc(NC3CCN(Cc4ccccc4)CC3)nc2c1 ZINC000513635858 1054746816 /nfs/dbraw/zinc/74/68/16/1054746816.db2.gz SDOYOXIHLFRXKM-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN Cc1cc(C)c(CC(=O)Nc2cc(CN(C)C)ccc2C)c(C)c1 ZINC000180331171 1054748194 /nfs/dbraw/zinc/74/81/94/1054748194.db2.gz MMRFYFGJLMWNFP-UHFFFAOYSA-N 0 3 324.468 4.163 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NC1(c2ccc(Cl)cc2)CCC1 ZINC000180352877 1054750316 /nfs/dbraw/zinc/75/03/16/1054750316.db2.gz RJMTYQDRMQGHSI-LBPRGKRZSA-N 0 3 303.837 4.112 20 0 DIADHN Cc1cncc(Br)c1CN(CC(C)C)CC(C)C ZINC001235634778 1054753535 /nfs/dbraw/zinc/75/35/35/1054753535.db2.gz GWWWQTCNGUGCNW-UHFFFAOYSA-N 0 3 313.283 4.267 20 0 DIADHN COc1ccccc1[C@H](C)NCCOc1ccc(F)c(Cl)c1 ZINC001473734330 1054754761 /nfs/dbraw/zinc/75/47/61/1054754761.db2.gz QFCVFGSYOKRKKM-LBPRGKRZSA-N 0 3 323.795 4.217 20 0 DIADHN Cc1cc([C@H](C)NCCNc2ccc3ccccc3n2)c(C)o1 ZINC000519623986 1054767141 /nfs/dbraw/zinc/76/71/41/1054767141.db2.gz PAJMPVNDGAFHFW-AWEZNQCLSA-N 0 3 309.413 4.207 20 0 DIADHN COCc1ccc(CNCc2ccccc2CC(F)(F)F)cc1 ZINC000623821024 1054784590 /nfs/dbraw/zinc/78/45/90/1054784590.db2.gz BYBNYFOWBWRDTJ-UHFFFAOYSA-N 0 3 323.358 4.228 20 0 DIADHN CC(C)n1cc(CN[C@@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000623832658 1054785289 /nfs/dbraw/zinc/78/52/89/1054785289.db2.gz GSHNIXCVNHRPCL-QGZVFWFLSA-N 0 3 303.837 4.285 20 0 DIADHN Nc1ncccc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001235716125 1054786342 /nfs/dbraw/zinc/78/63/42/1054786342.db2.gz ZKZDNPQMKIUPFN-UHFFFAOYSA-N 0 3 317.436 4.197 20 0 DIADHN Cc1csc([C@@H](C)NCCc2ccc(OC(C)C)cc2)n1 ZINC000623857245 1054788277 /nfs/dbraw/zinc/78/82/77/1054788277.db2.gz LCDRQAADRZIURB-CQSZACIVSA-N 0 3 304.459 4.132 20 0 DIADHN Cc1csc([C@H](C)NCCc2ccc(OC(C)C)cc2)n1 ZINC000623857244 1054788584 /nfs/dbraw/zinc/78/85/84/1054788584.db2.gz LCDRQAADRZIURB-AWEZNQCLSA-N 0 3 304.459 4.132 20 0 DIADHN Fc1cccc(/C=C/CNCc2ccnc(C(F)(F)F)c2)c1 ZINC001474153107 1054789542 /nfs/dbraw/zinc/78/95/42/1054789542.db2.gz VCQFFYILEFDJTF-DUXPYHPUSA-N 0 3 310.294 4.043 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1cccc2c1CCN2 ZINC001235726878 1054791010 /nfs/dbraw/zinc/79/10/10/1054791010.db2.gz QWTSDMQDAIAIJT-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN CCC[C@H](NCc1ccc(N(C)C)nc1)c1cc(C)sc1C ZINC000623861021 1054791468 /nfs/dbraw/zinc/79/14/68/1054791468.db2.gz MDFGOTIHSUXVGW-KRWDZBQOSA-N 0 3 317.502 4.457 20 0 DIADHN Clc1cccc(C2(CNCc3cc[nH]n3)CCCCC2)c1 ZINC001474154090 1054792288 /nfs/dbraw/zinc/79/22/88/1054792288.db2.gz NMDARTGAWKCVRQ-UHFFFAOYSA-N 0 3 303.837 4.055 20 0 DIADHN c1nc2c(s1)CCC[C@@H]2NCCCSc1ccccc1 ZINC000623852271 1054794061 /nfs/dbraw/zinc/79/40/61/1054794061.db2.gz RWNRDGVLGABHSO-AWEZNQCLSA-N 0 3 304.484 4.293 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H]2CCCc3scnc32)cc1 ZINC000623878300 1054799891 /nfs/dbraw/zinc/79/98/91/1054799891.db2.gz DUXWKPUWHBJMDJ-CZUORRHYSA-N 0 3 316.470 4.140 20 0 DIADHN Cc1csc([C@@H](C)NCCCCOCCc2ccccc2)n1 ZINC000623867046 1054801625 /nfs/dbraw/zinc/80/16/25/1054801625.db2.gz PEGDQEVVOHAVCE-MRXNPFEDSA-N 0 3 318.486 4.142 20 0 DIADHN Cc1csc([C@H](C)NCC2(c3ccc(F)cc3)CCC2)n1 ZINC000623881377 1054803026 /nfs/dbraw/zinc/80/30/26/1054803026.db2.gz LVIIBPZDZPGWAZ-ZDUSSCGKSA-N 0 3 304.434 4.363 20 0 DIADHN CCSCCCNCc1csc(-c2ccc(F)cc2)n1 ZINC000380252775 1054804740 /nfs/dbraw/zinc/80/47/40/1054804740.db2.gz PQYQAEPEKHMVIE-UHFFFAOYSA-N 0 3 310.463 4.182 20 0 DIADHN Cc1csc([C@@H](C)NCC2(c3cccc(Cl)c3)CC2)n1 ZINC000623897512 1054805400 /nfs/dbraw/zinc/80/54/00/1054805400.db2.gz OCGLMSAHUKBCPK-GFCCVEGCSA-N 0 3 306.862 4.487 20 0 DIADHN COc1ccc([C@H](C)CCN[C@H](C)c2nc(C)cs2)cc1 ZINC000623899018 1054808117 /nfs/dbraw/zinc/80/81/17/1054808117.db2.gz XUHMAFRETWBDSE-TZMCWYRMSA-N 0 3 304.459 4.305 20 0 DIADHN Cc1cc2ccccc2nc1NC[C@H](c1ccsc1)N(C)C ZINC000532269314 1054809139 /nfs/dbraw/zinc/80/91/39/1054809139.db2.gz JIARGLHDULIOOZ-QGZVFWFLSA-N 0 3 311.454 4.320 20 0 DIADHN Cc1csc([C@@H](C)NCC2(c3ccc(Cl)cc3)CC2)n1 ZINC000623885060 1054809342 /nfs/dbraw/zinc/80/93/42/1054809342.db2.gz PKFWPKIBUTULLT-GFCCVEGCSA-N 0 3 306.862 4.487 20 0 DIADHN Cc1csc([C@@H](C)NC[C@@H](c2ccc(Cl)cc2)N(C)C)n1 ZINC000623903141 1054813356 /nfs/dbraw/zinc/81/33/56/1054813356.db2.gz ZJGFIUQMMBQOSE-DOMZBBRYSA-N 0 3 323.893 4.058 20 0 DIADHN Cc1cc(CN2CCC[C@H]3CCCC[C@H]32)cnc1Br ZINC001235827347 1054815330 /nfs/dbraw/zinc/81/53/30/1054815330.db2.gz JPVBNYWWDIFZQR-HUUCEWRRSA-N 0 3 323.278 4.307 20 0 DIADHN COc1ccc(C(C)(C)CN[C@@H]2CCCc3scnc32)cc1 ZINC000623911345 1054815245 /nfs/dbraw/zinc/81/52/45/1054815245.db2.gz YDBHZUDXLYRKJG-OAHLLOKOSA-N 0 3 316.470 4.097 20 0 DIADHN Nc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)cc1F ZINC001235825887 1054815514 /nfs/dbraw/zinc/81/55/14/1054815514.db2.gz RGJTXPHNYANPOZ-CYBMUJFWSA-N 0 3 304.796 4.051 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1ccc(F)cc1)c1cc(C)ccc1C ZINC000623915757 1054817762 /nfs/dbraw/zinc/81/77/62/1054817762.db2.gz QYIGINMBCVREAZ-MOPGFXCFSA-N 0 3 301.405 4.217 20 0 DIADHN CC[C@H](N[C@@H](CO)c1ccc(F)cc1)c1cc(C)ccc1C ZINC000623915758 1054817939 /nfs/dbraw/zinc/81/79/39/1054817939.db2.gz QYIGINMBCVREAZ-OALUTQOASA-N 0 3 301.405 4.217 20 0 DIADHN CCC[C@H](N[C@H](CO)CC(F)(F)F)c1cc(C)sc1C ZINC000623926063 1054820338 /nfs/dbraw/zinc/82/03/38/1054820338.db2.gz XQTICGDBWKXHEI-AAEUAGOBSA-N 0 3 309.397 4.109 20 0 DIADHN Cc1nc2cc(CN3CCC(F)(F)C(C)(C)C3)ccc2s1 ZINC001235851013 1054821695 /nfs/dbraw/zinc/82/16/95/1054821695.db2.gz RLKNEMONOIZNSU-UHFFFAOYSA-N 0 3 310.413 4.472 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)[C@@H]2Oc3ccccc3[C@H]2C)n1 ZINC000623919159 1054823126 /nfs/dbraw/zinc/82/31/26/1054823126.db2.gz PDCNFWRKAXGOJK-NFFDBFGFSA-N 0 3 302.443 4.055 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)[C@H]2Oc3ccccc3[C@@H]2C)n1 ZINC000623919161 1054823359 /nfs/dbraw/zinc/82/33/59/1054823359.db2.gz PDCNFWRKAXGOJK-ZWUHOBOKSA-N 0 3 302.443 4.055 20 0 DIADHN CCSc1cccc(CN2C[C@@H](O)C[C@@H]2c2ccccc2)c1 ZINC001474576184 1054828153 /nfs/dbraw/zinc/82/81/53/1054828153.db2.gz SZUBBIQOJKYHIF-PKOBYXMFSA-N 0 3 313.466 4.107 20 0 DIADHN CCOc1cc(-c2ccc(F)c(CN3CCCC3)c2)c(F)cn1 ZINC001235877313 1054829130 /nfs/dbraw/zinc/82/91/30/1054829130.db2.gz LALMRLDHIATLCH-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1csc([C@@H](C)NCCCc2c[nH]c3ccc(F)cc23)n1 ZINC000623978883 1054843825 /nfs/dbraw/zinc/84/38/25/1054843825.db2.gz IJDIFMDXIDZSEY-GFCCVEGCSA-N 0 3 317.433 4.355 20 0 DIADHN Cc1ncc(CN[C@H]2CCCC[C@H]2Sc2ccc(F)cc2)o1 ZINC000623998907 1054850942 /nfs/dbraw/zinc/85/09/42/1054850942.db2.gz FMZDAXDZXNQKBU-DLBZAZTESA-N 0 3 320.433 4.315 20 0 DIADHN Cc1ncc(CN[C@H]2CCCC[C@@H]2Sc2ccc(F)cc2)o1 ZINC000623998909 1054851170 /nfs/dbraw/zinc/85/11/70/1054851170.db2.gz FMZDAXDZXNQKBU-IRXDYDNUSA-N 0 3 320.433 4.315 20 0 DIADHN Fc1ccc([C@H]2C[C@H](N[C@H]3CCCc4scnc43)C2)cc1 ZINC000623989008 1054853960 /nfs/dbraw/zinc/85/39/60/1054853960.db2.gz DUNZMZLVUQDGLJ-QEJZJMRPSA-N 0 3 302.418 4.195 20 0 DIADHN Nc1ccc(F)c(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1F ZINC001235946791 1054864166 /nfs/dbraw/zinc/86/41/66/1054864166.db2.gz AYRWPIOROFSUNN-LBPRGKRZSA-N 0 3 322.786 4.190 20 0 DIADHN CC[C@@H](NC[C@H](n1cccn1)C(F)(F)F)c1ccc(C)cc1 ZINC000624042039 1054864466 /nfs/dbraw/zinc/86/44/66/1054864466.db2.gz RGPKAFBEJNFRMI-CABCVRRESA-N 0 3 311.351 4.036 20 0 DIADHN Cn1ncc(C(F)(F)F)c1CN[C@@H](CC1CC1)c1ccccc1 ZINC000624052589 1054866387 /nfs/dbraw/zinc/86/63/87/1054866387.db2.gz JINSJTZNEHKZON-HNNXBMFYSA-N 0 3 323.362 4.070 20 0 DIADHN CCC[C@H](CN[C@H](C)c1nc2ccc(Cl)cc2n1C)OCC ZINC000624050124 1054867206 /nfs/dbraw/zinc/86/72/06/1054867206.db2.gz ZFDZCKABTXZVNK-TZMCWYRMSA-N 0 3 323.868 4.083 20 0 DIADHN CCC[C@@H](CN[C@H](C)c1nc2ccc(Cl)cc2n1C)OCC ZINC000624050123 1054867491 /nfs/dbraw/zinc/86/74/91/1054867491.db2.gz ZFDZCKABTXZVNK-OCCSQVGLSA-N 0 3 323.868 4.083 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc4c(c3)NCC4)CC2)c1 ZINC001235959388 1054870522 /nfs/dbraw/zinc/87/05/22/1054870522.db2.gz IVKPWONXZAPEPQ-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN CCc1nc(C)cc(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236003863 1054891599 /nfs/dbraw/zinc/89/15/99/1054891599.db2.gz DMSMGSNZHHMBPP-UHFFFAOYSA-N 0 3 313.420 4.139 20 0 DIADHN COc1cnc(-c2cccc(CN3CCCCC3)c2F)cc1C ZINC001236006980 1054891915 /nfs/dbraw/zinc/89/19/15/1054891915.db2.gz VXVRNSWGHZVAGT-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1ncc(F)cc1-c1cccc(CN2CCCCC2)c1F ZINC001236010488 1054894714 /nfs/dbraw/zinc/89/47/14/1054894714.db2.gz AWOAMAKIZOKTFM-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2c(c1)CCC2 ZINC001236011614 1054894780 /nfs/dbraw/zinc/89/47/80/1054894780.db2.gz JHJACLPGLRFCHO-UHFFFAOYSA-N 0 3 310.416 4.362 20 0 DIADHN Cc1cnc(F)cc1-c1cccc(CN2CCCCC2)c1F ZINC001236012716 1054896734 /nfs/dbraw/zinc/89/67/34/1054896734.db2.gz UFHKKIJBLZCDSV-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCCC3)c2F)c(C)n1 ZINC001236012189 1054897389 /nfs/dbraw/zinc/89/73/89/1054897389.db2.gz NEZOBSYZYKONKE-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1nccc(C)c1-c1cccc(CN2CCCCC2)c1F ZINC001236015826 1054900784 /nfs/dbraw/zinc/90/07/84/1054900784.db2.gz PAZGJAJZNYXTOY-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1nnc(CCN[C@@H](C)c2cccc(Cl)c2Cl)s1 ZINC000353576212 1054905264 /nfs/dbraw/zinc/90/52/64/1054905264.db2.gz MYEOUYCLVXUVFM-QMMMGPOBSA-N 0 3 316.257 4.047 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(-c2ccncc2)cc1 ZINC001236045158 1054911960 /nfs/dbraw/zinc/91/19/60/1054911960.db2.gz JTDLUOGTVVIEDM-GXDHUFHOSA-N 0 3 301.393 4.343 20 0 DIADHN C[C@@H](NCCn1ccnc1)c1cc2c(ccc3ccccc23)o1 ZINC000181405732 1054913000 /nfs/dbraw/zinc/91/30/00/1054913000.db2.gz LNEVQIORCAAVRD-CQSZACIVSA-N 0 3 305.381 4.133 20 0 DIADHN CC(C)NC(=O)CCN[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000181464963 1054915419 /nfs/dbraw/zinc/91/54/19/1054915419.db2.gz DSEISOXYWJZLFF-CQSZACIVSA-N 0 3 324.424 4.151 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H](C)c1cc2cc(Cl)ccc2o1 ZINC000181587852 1054922928 /nfs/dbraw/zinc/92/29/28/1054922928.db2.gz RKLVIPADSNLESR-NWDGAFQWSA-N 0 3 322.836 4.042 20 0 DIADHN C[C@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1nc(C(F)(F)F)cs1 ZINC000624201127 1054926771 /nfs/dbraw/zinc/92/67/71/1054926771.db2.gz YYPASTUYSUBNDT-XHSYRHOGSA-N 0 3 304.381 4.249 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2ccc3oc(=O)n(C)c3c2)cc1 ZINC000624202763 1054936212 /nfs/dbraw/zinc/93/62/12/1054936212.db2.gz JVNJJMLDHCYKNP-CQSZACIVSA-N 0 3 324.424 4.106 20 0 DIADHN CCC[C@H](NCc1ccc(C(=O)OC)c(F)c1)c1ccccc1 ZINC000181766485 1054936389 /nfs/dbraw/zinc/93/63/89/1054936389.db2.gz ZZDPDNVHCLKTDU-SFHVURJKSA-N 0 3 315.388 4.243 20 0 DIADHN COc1ccc([C@H](C)NCc2cncc(C)c2)c2ccccc12 ZINC000181835130 1054943158 /nfs/dbraw/zinc/94/31/58/1054943158.db2.gz DBNHEBUZIBTSSS-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cc(C)c(CN2CCc3cc(N)ccc3C2)cc1C(C)C ZINC001236105428 1054950192 /nfs/dbraw/zinc/95/01/92/1054950192.db2.gz INRDRNKYKOCCGC-UHFFFAOYSA-N 0 3 324.468 4.268 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2cc3ccccc3o2)cc1 ZINC000181984709 1054952185 /nfs/dbraw/zinc/95/21/85/1054952185.db2.gz NQWPGTSBUJFHJA-CYBMUJFWSA-N 0 3 308.381 4.242 20 0 DIADHN CC(C)Oc1ncccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001236109440 1054952139 /nfs/dbraw/zinc/95/21/39/1054952139.db2.gz RKWDOMFRKQRYFQ-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN c1n[nH]cc1CN[C@@H](CCCc1ccccc1)c1ccccc1 ZINC000624216080 1054955975 /nfs/dbraw/zinc/95/59/75/1054955975.db2.gz QUONMLWHXQAJBU-FQEVSTJZSA-N 0 3 305.425 4.264 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cccnc3N3CCCC3)cc21 ZINC001236133644 1054964978 /nfs/dbraw/zinc/96/49/78/1054964978.db2.gz YBLBREGQSFPKCN-UHFFFAOYSA-N 0 3 319.452 4.350 20 0 DIADHN CC[C@@H](NCc1cc(C)nc2ccccc12)c1c(C)noc1C ZINC000182420594 1054985571 /nfs/dbraw/zinc/98/55/71/1054985571.db2.gz WCXYJCIDMQWKMQ-QGZVFWFLSA-N 0 3 309.413 4.389 20 0 DIADHN CCOc1cncc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c1 ZINC001236191917 1054992190 /nfs/dbraw/zinc/99/21/90/1054992190.db2.gz DJXDFMOQZGGKAW-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN COc1cc(O)ccc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236194854 1054992726 /nfs/dbraw/zinc/99/27/26/1054992726.db2.gz WWCYKGZEIFPIEN-LJQANCHMSA-N 0 3 322.408 4.219 20 0 DIADHN Cc1ncc(F)cc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236194637 1054993362 /nfs/dbraw/zinc/99/33/62/1054993362.db2.gz XJZIDQHPMBEJSH-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN Oc1cc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)ccc1F ZINC001236194229 1054994404 /nfs/dbraw/zinc/99/44/04/1054994404.db2.gz NMPGHGQBUDCYQZ-GOSISDBHSA-N 0 3 310.372 4.350 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(-c2ccc3c(n2)CNCC3)c1 ZINC001236197941 1054995637 /nfs/dbraw/zinc/99/56/37/1054995637.db2.gz DQPRWGIPXZKUKR-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc(Cl)nc2)cc1 ZINC000083450775 1054995650 /nfs/dbraw/zinc/99/56/50/1054995650.db2.gz KEYGVFOBDDOAPT-CYBMUJFWSA-N 0 3 304.821 4.373 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc(Cl)nc2)cc1 ZINC000083450778 1054996533 /nfs/dbraw/zinc/99/65/33/1054996533.db2.gz KEYGVFOBDDOAPT-ZDUSSCGKSA-N 0 3 304.821 4.373 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1nccn1C ZINC000182736136 1055005830 /nfs/dbraw/zinc/00/58/30/1055005830.db2.gz SVMXGJCJWMFWFH-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nccn1C)c1c(Cl)cccc1Cl ZINC000182758688 1055007870 /nfs/dbraw/zinc/00/78/70/1055007870.db2.gz FSZSCLOIRNOPRY-QWRGUYRKSA-N 0 3 312.244 4.181 20 0 DIADHN C[C@@H](Nc1cccc(CN2CCSCC2)c1)c1ccsc1 ZINC000182805002 1055009829 /nfs/dbraw/zinc/00/98/29/1055009829.db2.gz WQFQSLOMXRATIT-CQSZACIVSA-N 0 3 318.511 4.470 20 0 DIADHN CC[C@@H](NCc1ccc[nH]c1=O)c1ccc(Cl)c(Cl)c1 ZINC000624257842 1055012110 /nfs/dbraw/zinc/01/21/10/1055012110.db2.gz RRRJIGYDISAZKG-CQSZACIVSA-N 0 3 311.212 4.335 20 0 DIADHN CC(C)CCOc1ccc([C@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624257691 1055013326 /nfs/dbraw/zinc/01/33/26/1055013326.db2.gz NDBOBMHEOMOQNC-HNNXBMFYSA-N 0 3 314.429 4.063 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624258314 1055015121 /nfs/dbraw/zinc/01/51/21/1055015121.db2.gz GGRYNQFMYBBZFD-ZDUSSCGKSA-N 0 3 302.443 4.139 20 0 DIADHN Nc1ccc2c(c1)CN(Cc1ccc(F)c3ccccc13)CC2 ZINC001236230722 1055018560 /nfs/dbraw/zinc/01/85/60/1055018560.db2.gz FVLIWUNFJSDWOG-UHFFFAOYSA-N 0 3 306.384 4.119 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)N(CCN(C)C)c1ccccc1 ZINC001535838400 1055020103 /nfs/dbraw/zinc/02/01/03/1055020103.db2.gz DPZSGBYDXODGSI-SFHVURJKSA-N 0 3 316.489 4.188 20 0 DIADHN Nc1ccc2c(c1)CN(Cc1ccc3nc(Cl)ccc3c1)CC2 ZINC001236232695 1055021135 /nfs/dbraw/zinc/02/11/35/1055021135.db2.gz PNJACTADKWEJJX-UHFFFAOYSA-N 0 3 323.827 4.029 20 0 DIADHN Fc1cc(-c2ccc3ncccc3n2)ccc1CN1CCCCC1 ZINC001236231957 1055022455 /nfs/dbraw/zinc/02/24/55/1055022455.db2.gz LCFFEKGBXDXXAM-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cc(C)c(CN2CCc3ccc(N)cc3C2)cc1C(C)C ZINC001236232273 1055022587 /nfs/dbraw/zinc/02/25/87/1055022587.db2.gz NDOXLKMMLJRWPU-UHFFFAOYSA-N 0 3 324.468 4.268 20 0 DIADHN Fc1cc(-c2cnc3ccccc3n2)ccc1CN1CCCCC1 ZINC001236233560 1055023658 /nfs/dbraw/zinc/02/36/58/1055023658.db2.gz NHBFQNUXRDLROE-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCc2ccc(N)cc2C1 ZINC001236234387 1055024255 /nfs/dbraw/zinc/02/42/55/1055024255.db2.gz ZPGBWAPAXLFOTH-UHFFFAOYSA-N 0 3 320.358 4.154 20 0 DIADHN Fc1cc(-c2ccc3cnccc3n2)ccc1CN1CCCCC1 ZINC001236234466 1055024907 /nfs/dbraw/zinc/02/49/07/1055024907.db2.gz ZXIJMNMEOFLRDP-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1nc(C)c(C)c(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236244908 1055029115 /nfs/dbraw/zinc/02/91/15/1055029115.db2.gz OXIBHSOKWZIIFC-UHFFFAOYSA-N 0 3 313.420 4.194 20 0 DIADHN CCOc1cccnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236243735 1055029361 /nfs/dbraw/zinc/02/93/61/1055029361.db2.gz FSLIQSZNGLXSBX-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cn1ccc2ccnc(-c3ccc(CN4CCCCC4)c(F)c3)c21 ZINC001236243618 1055030066 /nfs/dbraw/zinc/03/00/66/1055030066.db2.gz BVLXOPJGEXPGMN-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(CCCn1ccnc1)C1CC1 ZINC000183171609 1055032965 /nfs/dbraw/zinc/03/29/65/1055032965.db2.gz GCXPRFSXZVLSON-AWEZNQCLSA-N 0 3 303.837 4.152 20 0 DIADHN Fc1cc(-c2ccc3ccnnc3c2)ccc1CN1CCCCC1 ZINC001236249762 1055033245 /nfs/dbraw/zinc/03/32/45/1055033245.db2.gz BUIGHWQCIHYDDB-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cc(-c2nccnc2C(F)F)ccc1CN1CCCCC1 ZINC001236249603 1055033987 /nfs/dbraw/zinc/03/39/87/1055033987.db2.gz XLCHXQUPIJLGNP-UHFFFAOYSA-N 0 3 321.346 4.206 20 0 DIADHN Cn1ccc2ncc(-c3ccc(CN4CCCCC4)c(F)c3)cc21 ZINC001236251515 1055035621 /nfs/dbraw/zinc/03/56/21/1055035621.db2.gz QLQAWIPKAKOYLX-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1nccc(-c2ccc(CN3CCCCC3)c(F)c2)c1F ZINC001236252240 1055036312 /nfs/dbraw/zinc/03/63/12/1055036312.db2.gz ZDYGFOXVBRSCHK-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN FC(F)(F)[C@@H]1CC=C(c2cncc(CN3CCCC3)c2)CC1 ZINC001236254969 1055037653 /nfs/dbraw/zinc/03/76/53/1055037653.db2.gz FPOSZZYKYRWRAM-MRXNPFEDSA-N 0 3 310.363 4.423 20 0 DIADHN C[C@@H](CN(C)Cc1cccc2c1OC(C)(C)C2)c1nccs1 ZINC000183258260 1055038645 /nfs/dbraw/zinc/03/86/45/1055038645.db2.gz XDEJHKBJLCTFHL-ZDUSSCGKSA-N 0 3 316.470 4.092 20 0 DIADHN Cn1ccnc1[C@@H](NCCc1c(Cl)cccc1Cl)C1CC1 ZINC000183254040 1055038689 /nfs/dbraw/zinc/03/86/89/1055038689.db2.gz SRDVGTYBJSZTFQ-HNNXBMFYSA-N 0 3 324.255 4.010 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)n1 ZINC001236258719 1055040286 /nfs/dbraw/zinc/04/02/86/1055040286.db2.gz MSUROTJPXGRDGY-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN c1coc([C@H](NCCCCNc2ccccn2)c2ccccc2)c1 ZINC000183547830 1055049579 /nfs/dbraw/zinc/04/95/79/1055049579.db2.gz SOWNAYDTGNVJAJ-HXUWFJFHSA-N 0 3 321.424 4.246 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C)c2C)cc1F ZINC000183711362 1055054588 /nfs/dbraw/zinc/05/45/88/1055054588.db2.gz IKDUAVCABARDBZ-AWEZNQCLSA-N 0 3 315.388 4.080 20 0 DIADHN Nc1ccc(C2CCN(Cc3ccc(O)cc3Cl)CC2)cc1 ZINC001236336234 1055064390 /nfs/dbraw/zinc/06/43/90/1055064390.db2.gz YWWQYURGGXEIOM-UHFFFAOYSA-N 0 3 316.832 4.007 20 0 DIADHN CCC[C@H](N[C@@H](C)C[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000184282179 1055071839 /nfs/dbraw/zinc/07/18/39/1055071839.db2.gz PUFNJXMCYWFHTD-KDDHCUDTSA-N 0 3 322.301 4.191 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2cc3ccccc3s2)c1 ZINC000184354002 1055073893 /nfs/dbraw/zinc/07/38/93/1055073893.db2.gz NMMPLFMFSBGSKD-ZDUSSCGKSA-N 0 3 324.449 4.112 20 0 DIADHN CCCCc1ccc(CN2CCc3c(N)cccc3C2)s1 ZINC001236364038 1055074958 /nfs/dbraw/zinc/07/49/58/1055074958.db2.gz OICZFKYXDNGROC-UHFFFAOYSA-N 0 3 300.471 4.231 20 0 DIADHN C[C@H](N[C@H]1CCCc2n[nH]cc21)c1cccc(C(F)(F)F)c1 ZINC000367617454 1055080292 /nfs/dbraw/zinc/08/02/92/1055080292.db2.gz NITDJDBGZBXJOD-HZMBPMFUSA-N 0 3 309.335 4.157 20 0 DIADHN COCCCN[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184942554 1055082782 /nfs/dbraw/zinc/08/27/82/1055082782.db2.gz VGMFIZNCXITINM-LLVKDONJSA-N 0 3 324.877 4.459 20 0 DIADHN CO[C@H](C)CN[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184949195 1055085032 /nfs/dbraw/zinc/08/50/32/1055085032.db2.gz WASVTSKIACMQMU-MNOVXSKESA-N 0 3 324.877 4.457 20 0 DIADHN Cc1cnc([C@@H](NCCc2ccc(F)c(F)c2)C2CC2)s1 ZINC000185277660 1055089631 /nfs/dbraw/zinc/08/96/31/1055089631.db2.gz XIVYUIQKBZKTQK-HNNXBMFYSA-N 0 3 308.397 4.013 20 0 DIADHN Cc1cnc([C@H](NCCSc2ccccc2)C2CC2)s1 ZINC000185292759 1055092305 /nfs/dbraw/zinc/09/23/05/1055092305.db2.gz DUSKLTIIIDXCTP-OAHLLOKOSA-N 0 3 304.484 4.285 20 0 DIADHN C[C@@H]1CCCN(C(=O)c2cccc(CN(C)C)c2)c2ccccc21 ZINC000185470975 1055093753 /nfs/dbraw/zinc/09/37/53/1055093753.db2.gz UDBBKQBUXUFBJG-MRXNPFEDSA-N 0 3 322.452 4.292 20 0 DIADHN COc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ncc1F ZINC001236470346 1055128855 /nfs/dbraw/zinc/12/88/55/1055128855.db2.gz DGTSYFRHMZWXLZ-SJORKVTESA-N 0 3 324.399 4.050 20 0 DIADHN CCc1cccnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472208 1055131355 /nfs/dbraw/zinc/13/13/55/1055131355.db2.gz XHXPPHPQONGHRM-UXHICEINSA-N 0 3 304.437 4.464 20 0 DIADHN Cc1nc(C)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1C ZINC001236471567 1055131487 /nfs/dbraw/zinc/13/14/87/1055131487.db2.gz ARSDEGMEEUVSGH-UXHICEINSA-N 0 3 319.452 4.222 20 0 DIADHN Cc1nc(F)ccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236471592 1055131505 /nfs/dbraw/zinc/13/15/05/1055131505.db2.gz BOOFZYRJLWGDQS-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN CCCc1ccnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236471986 1055132196 /nfs/dbraw/zinc/13/21/96/1055132196.db2.gz QVPLEFRNEOCKGJ-UXHICEINSA-N 0 3 319.452 4.249 20 0 DIADHN Fc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cnc1F ZINC001236473907 1055133700 /nfs/dbraw/zinc/13/37/00/1055133700.db2.gz IUMWWTLTUINHBA-SJORKVTESA-N 0 3 312.363 4.180 20 0 DIADHN CCc1ccncc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236473376 1055134032 /nfs/dbraw/zinc/13/40/32/1055134032.db2.gz FARIOCHSHFPWMA-UXHICEINSA-N 0 3 304.437 4.464 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1cc(F)cc(F)c1 ZINC000194840135 1055137100 /nfs/dbraw/zinc/13/71/00/1055137100.db2.gz ULSGPTKLIITCCT-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)NCC2CCCCC2)c(C)s1 ZINC000195585742 1055158401 /nfs/dbraw/zinc/15/84/01/1055158401.db2.gz QRBWVCVOLATYDD-KBPBESRZSA-N 0 3 322.518 4.101 20 0 DIADHN CCOc1ccc(C)c(F)c1-c1ncccc1CN1CCCC1 ZINC001236527047 1055161706 /nfs/dbraw/zinc/16/17/06/1055161706.db2.gz GNZNHNMSTRZCJP-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1cccc(CN[C@H](c2cccs2)C2CC2)c1OC ZINC000125857463 1055163716 /nfs/dbraw/zinc/16/37/16/1055163716.db2.gz XOEWZYUOZYSJLM-INIZCTEOSA-N 0 3 303.427 4.006 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000764557834 1055209471 /nfs/dbraw/zinc/20/94/71/1055209471.db2.gz CENUZPVJNWIZBG-CRAIPNDOSA-N 0 3 307.393 4.331 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(Cl)cc(C)n3)C2)cc1 ZINC001236646564 1055212598 /nfs/dbraw/zinc/21/25/98/1055212598.db2.gz JEWGLBBURLEDIG-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3ccccc3C)cc2)CC1 ZINC000764621081 1055219317 /nfs/dbraw/zinc/21/93/17/1055219317.db2.gz VRGQHLXXQAKNPY-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@H](F)C(F)(F)CC1 ZINC001236676996 1055226351 /nfs/dbraw/zinc/22/63/51/1055226351.db2.gz FPYZZAFUIBRSTG-KRWDZBQOSA-N 0 3 323.358 4.418 20 0 DIADHN CC(C)CCCCCC(=O)NC[C@H](c1ccccc1)N(C)C ZINC001587215140 1055241095 /nfs/dbraw/zinc/24/10/95/1055241095.db2.gz OXVOIZPIKTWPIW-GOSISDBHSA-N 0 3 304.478 4.012 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000764747498 1055242357 /nfs/dbraw/zinc/24/23/57/1055242357.db2.gz IIEBGPKWYHSDEG-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN Cc1ccccc1N1CCN(Cc2cccc3ccoc32)CC1 ZINC001236732521 1055245822 /nfs/dbraw/zinc/24/58/22/1055245822.db2.gz HJZFXLOEUMXWMF-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccco1)c1ccc(Oc2ccccc2)cc1 ZINC000764768478 1055246639 /nfs/dbraw/zinc/24/66/39/1055246639.db2.gz JYJUJSDJJUFUGD-DNVCBOLYSA-N 0 3 323.392 4.456 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cc(F)cc(C(=O)OC)c1 ZINC001236784114 1055258503 /nfs/dbraw/zinc/25/85/03/1055258503.db2.gz YPWRUGIOYUKQSP-GOSISDBHSA-N 0 3 315.388 4.195 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001537269672 1055270200 /nfs/dbraw/zinc/27/02/00/1055270200.db2.gz CMBNPCGPWAVCSX-WMZOPIPTSA-N 0 3 316.489 4.112 20 0 DIADHN CN1CCCC[C@@H]1CNC(=O)C1(C2CCCCC2)CCCCC1 ZINC001537279122 1055272972 /nfs/dbraw/zinc/27/29/72/1055272972.db2.gz UUCUUKBSLGUNJZ-GOSISDBHSA-N 0 3 320.521 4.118 20 0 DIADHN C/C=C(/C=C/C(=O)NC[C@@H](c1ccsc1)N(CC)CC)CC ZINC000764840891 1055273392 /nfs/dbraw/zinc/27/33/92/1055273392.db2.gz SIDWMSWYYVFBDZ-BVQMRHAWSA-N 0 3 320.502 4.160 20 0 DIADHN Cc1cccc(C)c1-c1cccc(CN2CC3(C2)CCOC3)c1 ZINC001236816553 1055273613 /nfs/dbraw/zinc/27/36/13/1055273613.db2.gz ATSLSFQWNQYRCQ-UHFFFAOYSA-N 0 3 307.437 4.193 20 0 DIADHN C/C=C(/C=C\C(=O)NC[C@@H](c1ccsc1)N(CC)CC)CC ZINC000764840894 1055274106 /nfs/dbraw/zinc/27/41/06/1055274106.db2.gz SIDWMSWYYVFBDZ-XOMOXLPHSA-N 0 3 320.502 4.160 20 0 DIADHN COc1cc(CN(C)C(C)(C)Cc2ccccc2)c(F)cc1F ZINC001236832168 1055284703 /nfs/dbraw/zinc/28/47/03/1055284703.db2.gz UJTOWXWLFXCVHC-UHFFFAOYSA-N 0 3 319.395 4.427 20 0 DIADHN Cc1cccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)c1Cl ZINC001236855930 1055290925 /nfs/dbraw/zinc/29/09/25/1055290925.db2.gz ODPYRKZRGIDFCQ-DLBZAZTESA-N 0 3 324.871 4.047 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(C(=O)OC)c(F)c1 ZINC000383719186 1055297594 /nfs/dbraw/zinc/29/75/94/1055297594.db2.gz JRZGUTVKSZVIJW-UHFFFAOYSA-N 0 3 315.388 4.025 20 0 DIADHN Clc1cc2ccccc2nc1CN1CC[C@@H](c2cccnc2)C1 ZINC001236873441 1055298705 /nfs/dbraw/zinc/29/87/05/1055298705.db2.gz FWXQSXNMDPAMBG-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Clc1cc2ccccc2nc1CN1CC[C@@H](c2ccncc2)C1 ZINC001236875375 1055302948 /nfs/dbraw/zinc/30/29/48/1055302948.db2.gz PYHUTSPTEQMEFD-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@](C)(O)c2ccccc2Cl)o1 ZINC000764980662 1055303193 /nfs/dbraw/zinc/30/31/93/1055303193.db2.gz PAXSSUKNFPIQDR-IAISJRAMSA-N 0 3 319.832 4.054 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1nc2ccccc2cc1Cl ZINC001236881908 1055303373 /nfs/dbraw/zinc/30/33/73/1055303373.db2.gz QHQRDPAOVYSQAF-QGZVFWFLSA-N 0 3 318.848 4.278 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCc2ccncc2)cc1F ZINC000764961969 1055304103 /nfs/dbraw/zinc/30/41/03/1055304103.db2.gz XBRDVTPAIZZNFU-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@](C)(O)c2ccccc2Cl)o1 ZINC000764980665 1055304204 /nfs/dbraw/zinc/30/42/04/1055304204.db2.gz PAXSSUKNFPIQDR-UVBSCNOISA-N 0 3 319.832 4.054 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2ccc(F)c3ccoc32)C1 ZINC001236902875 1055304852 /nfs/dbraw/zinc/30/48/52/1055304852.db2.gz WQVJDOTYUGXELE-OAHLLOKOSA-N 0 3 323.367 4.277 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@](C)(O)c2cccc(Cl)c2)o1 ZINC000764981257 1055309378 /nfs/dbraw/zinc/30/93/78/1055309378.db2.gz BGBORDHMPZEDFH-NJAPINKUSA-N 0 3 319.832 4.054 20 0 DIADHN CN1CCN(Cc2ccc(F)c3ccoc32)C[C@@H]1c1ccccc1 ZINC001236907013 1055314026 /nfs/dbraw/zinc/31/40/26/1055314026.db2.gz VQEAUFQUKWEMDE-LJQANCHMSA-N 0 3 324.399 4.061 20 0 DIADHN CC1CN(Cc2ccc(-c3ccc(OC(F)(F)F)cc3)nc2)C1 ZINC001236976709 1055320957 /nfs/dbraw/zinc/32/09/57/1055320957.db2.gz LDYLLEISBMFVKR-UHFFFAOYSA-N 0 3 322.330 4.099 20 0 DIADHN C[C@]1(CF)CCN(Cc2ccc(-c3ccccc3F)nc2)C1 ZINC001236986470 1055323885 /nfs/dbraw/zinc/32/38/85/1055323885.db2.gz FPDHVPPRIYDSBD-GOSISDBHSA-N 0 3 302.368 4.069 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cncc(Br)c1 ZINC000384362753 1055332072 /nfs/dbraw/zinc/33/20/72/1055332072.db2.gz YDFURCJBISNLOV-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2sccc2c1)N1[C@H](C)CC[C@H]1C ZINC001587649539 1055340763 /nfs/dbraw/zinc/34/07/63/1055340763.db2.gz KRATVSIKJGCPEA-UPJWGTAASA-N 0 3 302.443 4.101 20 0 DIADHN FC[C@H]1[C@@H]2CN(Cc3ccc(-c4ccccc4Cl)nc3)C[C@H]12 ZINC001237026270 1055342731 /nfs/dbraw/zinc/34/27/31/1055342731.db2.gz MHGCAHBHQULIMI-PHZGNYQRSA-N 0 3 316.807 4.049 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CCC(C)(C)C2)cc1F ZINC001237037108 1055345634 /nfs/dbraw/zinc/34/56/34/1055345634.db2.gz SFBUXWPYBPDTHC-UHFFFAOYSA-N 0 3 322.424 4.405 20 0 DIADHN C[C@H](NCCCN(C)c1ccccc1F)c1cccnc1Cl ZINC000765253677 1055346227 /nfs/dbraw/zinc/34/62/27/1055346227.db2.gz IYRXZVHUGSAHFD-ZDUSSCGKSA-N 0 3 321.827 4.051 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2C[C@H]3CC[C@@H]2C3)cc1F ZINC001237037068 1055346531 /nfs/dbraw/zinc/34/65/31/1055346531.db2.gz BQHXFEIROBQRCJ-GXTWGEPZSA-N 0 3 320.408 4.157 20 0 DIADHN CCOCC1CCN(Cc2ccccc2OC(C)(C)C)CC1 ZINC001237032488 1055347090 /nfs/dbraw/zinc/34/70/90/1055347090.db2.gz TWPRHOYNOSBGEN-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN C[C@H](NC[C@H](O)c1cccc(Cl)c1)c1cc2ccccc2o1 ZINC000765263181 1055349807 /nfs/dbraw/zinc/34/98/07/1055349807.db2.gz PERROIPFWOXFQB-LRDDRELGSA-N 0 3 315.800 4.470 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@H](O)c1ccc(C)cc1 ZINC000765271734 1055352298 /nfs/dbraw/zinc/35/22/98/1055352298.db2.gz PGPCDBSZKXHWCI-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCc3c2cccc3Cl)cc1 ZINC000765302295 1055357776 /nfs/dbraw/zinc/35/77/76/1055357776.db2.gz CTXVEGZDTJYPRP-KPZWWZAWSA-N 0 3 301.817 4.170 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCc3c2cccc3Cl)cc1 ZINC000765302297 1055358805 /nfs/dbraw/zinc/35/88/05/1055358805.db2.gz CTXVEGZDTJYPRP-KZULUSFZSA-N 0 3 301.817 4.170 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc(C)c1F ZINC000765304187 1055360779 /nfs/dbraw/zinc/36/07/79/1055360779.db2.gz JDMPTKLFFDDESO-LSDHHAIUSA-N 0 3 301.405 4.347 20 0 DIADHN C[C@H]1C[C@@H](NC[C@@H](O)c2cccc(F)c2)c2ccsc2S1 ZINC000765361372 1055371253 /nfs/dbraw/zinc/37/12/53/1055371253.db2.gz MYLMODAUUFGSGC-COLVAYQJSA-N 0 3 323.458 4.136 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc(Cl)c2C)s1 ZINC000765372781 1055373456 /nfs/dbraw/zinc/37/34/56/1055373456.db2.gz RVIZNMVNDWEMHT-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN COc1cccc2c(CN3CCc4ccccc4[C@H]3C)ccnc12 ZINC001237111268 1055373476 /nfs/dbraw/zinc/37/34/76/1055373476.db2.gz COKZBJBMCXJUDZ-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN COc1cccc2c(CN3Cc4ccccc4C[C@@H]3C)ccnc12 ZINC001237111873 1055375219 /nfs/dbraw/zinc/37/52/19/1055375219.db2.gz KPMJJGKQZSBPHX-HNNXBMFYSA-N 0 3 318.420 4.190 20 0 DIADHN Clc1cccc2c(CN3CC[C@@]4(C3)CCCCO4)ccnc12 ZINC001237149470 1055382983 /nfs/dbraw/zinc/38/29/83/1055382983.db2.gz HYGCTVAJWPUTAT-GOSISDBHSA-N 0 3 316.832 4.033 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(F)c(F)c2C)C1 ZINC001237153613 1055386454 /nfs/dbraw/zinc/38/64/54/1055386454.db2.gz JZKBMWYDDQJFLA-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@H](COc1ccccc1)N[C@H](C)c1ccccc1OC(F)F ZINC000765435660 1055389404 /nfs/dbraw/zinc/38/94/04/1055389404.db2.gz CLXPCVITMBHKRJ-ZIAGYGMSSA-N 0 3 321.367 4.406 20 0 DIADHN Cc1c(CN2CCC(Cc3ccncc3)CC2)ccc(F)c1F ZINC001237164449 1055391566 /nfs/dbraw/zinc/39/15/66/1055391566.db2.gz BRKQDQAXTHKEED-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN CCC[C@@H](CN[C@@H](C)c1cc(Br)ccc1F)OC ZINC000385937477 1055398885 /nfs/dbraw/zinc/39/88/85/1055398885.db2.gz FJYHMJPBLDTQTR-JQWIXIFHSA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3cccccc2-3)c(OC)c1 ZINC001237193873 1055399976 /nfs/dbraw/zinc/39/99/76/1055399976.db2.gz VHTRGPFQRCHKRO-UHFFFAOYSA-N 0 3 321.420 4.441 20 0 DIADHN COc1cccc([C@@H](C)Nc2ccnc3cc(OC)ccc32)c1 ZINC000765473291 1055400548 /nfs/dbraw/zinc/40/05/48/1055400548.db2.gz WFUBJQUMOZGFTR-CYBMUJFWSA-N 0 3 308.381 4.425 20 0 DIADHN COc1cccc([C@H](C)Nc2ccnc3cc(OC)ccc32)c1 ZINC000765473292 1055400586 /nfs/dbraw/zinc/40/05/86/1055400586.db2.gz WFUBJQUMOZGFTR-ZDUSSCGKSA-N 0 3 308.381 4.425 20 0 DIADHN CC(C)[C@@H](NCc1ccnn1C1CCC1)c1ccc(F)cc1 ZINC000921513211 1055400735 /nfs/dbraw/zinc/40/07/35/1055400735.db2.gz PUMRLAUZPHYKTN-GOSISDBHSA-N 0 3 301.409 4.234 20 0 DIADHN c1cc(-c2csc(CN3CCNC4=CCCC[C@@H]43)c2)cs1 ZINC001237231961 1055404240 /nfs/dbraw/zinc/40/42/40/1055404240.db2.gz YDFLRLZLOCMFRK-KRWDZBQOSA-N 0 3 316.495 4.318 20 0 DIADHN c1csc(-c2ccccc2CN2CCNC3=CCCC[C@@H]32)c1 ZINC001237225199 1055404488 /nfs/dbraw/zinc/40/44/88/1055404488.db2.gz MCFFBDCGRCTWBP-SFHVURJKSA-N 0 3 310.466 4.257 20 0 DIADHN Cc1csc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@H]3C2)c1 ZINC001237234360 1055406742 /nfs/dbraw/zinc/40/67/42/1055406742.db2.gz MAWLYPUELYYFOT-NVXWUHKLSA-N 0 3 318.873 4.494 20 0 DIADHN Clc1ccc2c(c1)[C@@H]1CN(Cc3cccs3)CC[C@@H]1N2 ZINC001237234388 1055407014 /nfs/dbraw/zinc/40/70/14/1055407014.db2.gz MEALCRHPBICEMK-HOCLYGCPSA-N 0 3 304.846 4.185 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCC3(CCCC3)O2)C2CC2)c(F)c1 ZINC001168541774 1055410710 /nfs/dbraw/zinc/41/07/10/1055410710.db2.gz LADIFIXWFHUELG-CRAIPNDOSA-N 0 3 321.411 4.497 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@H](c1ccccc1)C(C)C ZINC000011099988 1055421509 /nfs/dbraw/zinc/42/15/09/1055421509.db2.gz QFMDRDBMOYRXNW-LPHOPBHVSA-N 0 3 310.441 4.309 20 0 DIADHN COc1ccc(-c2ccccc2)c(CN(C)Cc2ccncc2)c1 ZINC001237308887 1055424286 /nfs/dbraw/zinc/42/42/86/1055424286.db2.gz PMNIKBQOAWIGRX-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN Cc1cc(F)cc(C)c1CN1C[C@@H](CF)[C@H](C(F)(F)F)C1 ZINC001237318872 1055426934 /nfs/dbraw/zinc/42/69/34/1055426934.db2.gz VWYDCXNIEPTFMM-BXUZGUMPSA-N 0 3 307.306 4.022 20 0 DIADHN COc1ccccc1CN1CCC2(CCNc3ccccc32)CC1 ZINC001237320474 1055427327 /nfs/dbraw/zinc/42/73/27/1055427327.db2.gz WPGBBXREQFFKBH-UHFFFAOYSA-N 0 3 322.452 4.045 20 0 DIADHN Cc1nnc(C2CCN(Cc3c(C)cc(Cl)cc3C)CC2)o1 ZINC001237351649 1055437223 /nfs/dbraw/zinc/43/72/23/1055437223.db2.gz ZGXYETCWZZKVRB-UHFFFAOYSA-N 0 3 319.836 4.028 20 0 DIADHN C[C@H]1CCN(Cc2cccc(F)c2NC(=O)OC(C)(C)C)C1 ZINC001237365772 1055441711 /nfs/dbraw/zinc/44/17/11/1055441711.db2.gz OIRWUYUZECOOCJ-LBPRGKRZSA-N 0 3 308.397 4.015 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)Nc1cccc(F)c1)c1ccccc1 ZINC000015056984 1055443300 /nfs/dbraw/zinc/44/33/00/1055443300.db2.gz GCBQILNKKWIIFM-KDOFPFPSSA-N 0 3 314.404 4.140 20 0 DIADHN COc1ccc2c(c1)[nH]nc2CN1CCC[C@H]1c1cccc(C)c1 ZINC001237384353 1055444456 /nfs/dbraw/zinc/44/44/56/1055444456.db2.gz AVPIDZNAKYDUSR-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001237463439 1055455259 /nfs/dbraw/zinc/45/52/59/1055455259.db2.gz XBJYRHYFBMAGCG-WBMJQRKESA-N 0 3 303.837 4.371 20 0 DIADHN CN(CCc1ccccc1F)Cc1c(Cl)[nH]c2cnccc21 ZINC001237468577 1055455409 /nfs/dbraw/zinc/45/54/09/1055455409.db2.gz SMRYFFXTPVCAFW-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN CC(C)c1cccc(CN2CCCC[C@@](O)(C(F)(F)F)C2)c1 ZINC001237457746 1055455906 /nfs/dbraw/zinc/45/59/06/1055455906.db2.gz ZKKUIPVIZQWEAA-INIZCTEOSA-N 0 3 315.379 4.089 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@H]3CCC[C@@H]3C2)cc(Cl)n1 ZINC001237494380 1055460788 /nfs/dbraw/zinc/46/07/88/1055460788.db2.gz HMEAMSXFNJXDEA-VXGBXAGGSA-N 0 3 318.770 4.376 20 0 DIADHN COc1c(C)cccc1CN1CCC(Oc2ccccc2)CC1 ZINC001237501615 1055461510 /nfs/dbraw/zinc/46/15/10/1055461510.db2.gz UAZXVHNEQDQHFQ-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@@H]3CCC[C@H]3C2)cc(Cl)n1 ZINC001237494376 1055462033 /nfs/dbraw/zinc/46/20/33/1055462033.db2.gz HMEAMSXFNJXDEA-RYUDHWBXSA-N 0 3 318.770 4.376 20 0 DIADHN CN(Cc1cc(Cl)nc(C(F)(F)F)c1)C[C@H]1CC1(C)C ZINC001237500222 1055462697 /nfs/dbraw/zinc/46/26/97/1055462697.db2.gz FGIMHHFMTMRRFH-SNVBAGLBSA-N 0 3 306.759 4.232 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2cccc(-c3ccccc3)n2)C1 ZINC001237548005 1055473542 /nfs/dbraw/zinc/47/35/42/1055473542.db2.gz ZDDXFTPMJMETJD-OAHLLOKOSA-N 0 3 302.368 4.226 20 0 DIADHN CC(C)OC1CCN(Cc2cccc(-c3ccccc3)n2)CC1 ZINC001237551302 1055474793 /nfs/dbraw/zinc/47/47/93/1055474793.db2.gz VVJPIQRWLNSIHG-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2COc2ccc(Cl)cc2)cn1 ZINC000765577341 1055482074 /nfs/dbraw/zinc/48/20/74/1055482074.db2.gz GGLBYYCNKRNLLK-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN CCCCn1nc(C)c(CNC[C@]2(C)CCCS2)c1Cl ZINC001620857065 1055484309 /nfs/dbraw/zinc/48/43/09/1055484309.db2.gz ZWGXUIQWIIWOIU-HNNXBMFYSA-N 0 3 315.914 4.020 20 0 DIADHN CC(C)(CNCc1cn(C2CC2)cn1)Cc1cccc(Cl)c1 ZINC001620860625 1055486823 /nfs/dbraw/zinc/48/68/23/1055486823.db2.gz OVHWESREJHEBIN-UHFFFAOYSA-N 0 3 317.864 4.230 20 0 DIADHN Cc1cnc(CNCC(C)(C)Cc2cccc(Cl)c2)s1 ZINC001620860715 1055488931 /nfs/dbraw/zinc/48/89/31/1055488931.db2.gz TWVWVXOWQNGAMI-UHFFFAOYSA-N 0 3 308.878 4.463 20 0 DIADHN CC[C@H](C)Oc1cc(CNc2cc(C)cc(C)n2)ccc1OC ZINC001620867766 1055494629 /nfs/dbraw/zinc/49/46/29/1055494629.db2.gz AHXSXYGBPFSUPF-HNNXBMFYSA-N 0 3 314.429 4.496 20 0 DIADHN CCc1n[nH]cc1CN1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001237658786 1055496760 /nfs/dbraw/zinc/49/67/60/1055496760.db2.gz LJHPBTAISPDAHY-GFCCVEGCSA-N 0 3 324.255 4.268 20 0 DIADHN CN(CC(=O)Nc1ccccc1C(C)(C)C)C1CCCCC1 ZINC000023695226 1055505125 /nfs/dbraw/zinc/50/51/25/1055505125.db2.gz XHOHTEIOOWNVFP-UHFFFAOYSA-N 0 3 302.462 4.187 20 0 DIADHN CCC(CC)[C@H]1C[C@H]([NH2+]Cc2cc([O-])cc(Cl)c2)CCO1 ZINC001620883355 1055509991 /nfs/dbraw/zinc/50/99/91/1055509991.db2.gz WEIJBIWFHJCCEK-NVXWUHKLSA-N 0 3 311.853 4.119 20 0 DIADHN CCNc1ccccc1CN[C@@H]1CCCc2ccc(OC)cc21 ZINC001620885781 1055510834 /nfs/dbraw/zinc/51/08/34/1055510834.db2.gz MLQRBEPWXJKNID-HXUWFJFHSA-N 0 3 310.441 4.294 20 0 DIADHN CC[C@H](NCc1ccc(C2CCCC2)cc1)[C@@H](O)C(F)(F)F ZINC001620885958 1055511636 /nfs/dbraw/zinc/51/16/36/1055511636.db2.gz SHGROFPPSMGRAV-JKSUJKDBSA-N 0 3 315.379 4.136 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)c(C)c1Cl)[C@@H]1CCCO1 ZINC001620889208 1055513292 /nfs/dbraw/zinc/51/32/92/1055513292.db2.gz KUUUVQOYIWQFIJ-KGLIPLIRSA-N 0 3 302.245 4.349 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H]2CN(C)Cc3ccccc32)cc1C ZINC001620892987 1055517218 /nfs/dbraw/zinc/51/72/18/1055517218.db2.gz YVFAXWKEOGTHKS-PYHFOVLWSA-N 0 3 320.480 4.401 20 0 DIADHN CCOC(=O)CC1CCN(Cc2ccc(Cl)c(CC)c2)CC1 ZINC001237737485 1055519804 /nfs/dbraw/zinc/51/98/04/1055519804.db2.gz ZGHLKPJHAHCBDV-UHFFFAOYSA-N 0 3 323.864 4.068 20 0 DIADHN CCc1cc(CN2CCC3(CCOCC3)CC2)ccc1Cl ZINC001237739936 1055520148 /nfs/dbraw/zinc/52/01/48/1055520148.db2.gz KJPMFKYPECBSMH-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN CC[C@H](C)[C@@H](C(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000386653124 1055520677 /nfs/dbraw/zinc/52/06/77/1055520677.db2.gz QVEYUSDRIOQFCB-IFXJQAMLSA-N 0 3 308.425 4.058 20 0 DIADHN COc1ccccc1C1CCN(Cc2cccc3c2OCC3)CC1 ZINC001237749717 1055523355 /nfs/dbraw/zinc/52/33/55/1055523355.db2.gz SPADYRDIVCKBOZ-UHFFFAOYSA-N 0 3 323.436 4.010 20 0 DIADHN CC[C@H]1CCC[C@@H](NCc2cc(COC(C)(C)C)on2)CC1 ZINC001620907578 1055530837 /nfs/dbraw/zinc/53/08/37/1055530837.db2.gz UCHVTCWMNGQZQD-LSDHHAIUSA-N 0 3 308.466 4.438 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1nccc2c1CCCC2 ZINC001620913453 1055536507 /nfs/dbraw/zinc/53/65/07/1055536507.db2.gz HUIYPQVAFCXKLK-UHFFFAOYSA-N 0 3 324.468 4.045 20 0 DIADHN COc1ccc(CNC[C@@]2(C)CC2(Cl)Cl)c(Cl)c1 ZINC001620941487 1055555454 /nfs/dbraw/zinc/55/54/54/1055555454.db2.gz XILXQLNDICMOKT-GFCCVEGCSA-N 0 3 308.636 4.022 20 0 DIADHN CC[C@H](NC[C@](O)(c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000765684642 1055541823 /nfs/dbraw/zinc/54/18/23/1055541823.db2.gz PSOJJJVYLMJYHI-IRXDYDNUSA-N 0 3 323.358 4.177 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](c3cccc(OC)c3)C2)s1 ZINC001237831582 1055542173 /nfs/dbraw/zinc/54/21/73/1055542173.db2.gz YUNSMQWPMLCWNS-OAHLLOKOSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@H]1CC[C@H](CNCc2nc3cc(Br)ccc3o2)C1 ZINC001620924029 1055543089 /nfs/dbraw/zinc/54/30/89/1055543089.db2.gz FGADRFLHTKYMDM-QWRGUYRKSA-N 0 3 323.234 4.116 20 0 DIADHN C[C@@H]1CC[C@H](CNCc2nc3cc(Br)ccc3o2)C1 ZINC001620924028 1055543468 /nfs/dbraw/zinc/54/34/68/1055543468.db2.gz FGADRFLHTKYMDM-MNOVXSKESA-N 0 3 323.234 4.116 20 0 DIADHN C[C@@H]1CC[C@@H](CNCc2nc3cc(Br)ccc3o2)C1 ZINC001620924027 1055543841 /nfs/dbraw/zinc/54/38/41/1055543841.db2.gz FGADRFLHTKYMDM-GHMZBOCLSA-N 0 3 323.234 4.116 20 0 DIADHN COc1cccc(CN(C)C2CCCCC2)c1Br ZINC001237860734 1055545272 /nfs/dbraw/zinc/54/52/72/1055545272.db2.gz DAHPBKJQWKQXDX-UHFFFAOYSA-N 0 3 312.251 4.222 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CCC(C(C)(C)C)CC1 ZINC001237873785 1055548344 /nfs/dbraw/zinc/54/83/44/1055548344.db2.gz QBBYPSIBLQTUME-UHFFFAOYSA-N 0 3 315.461 4.277 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3c(F)cccc3F)C2)c1 ZINC001620936404 1055549861 /nfs/dbraw/zinc/54/98/61/1055549861.db2.gz CGTJQOFUKLYAED-HDJSIYSDSA-N 0 3 303.352 4.009 20 0 DIADHN Fc1ccc(CNC2(Cc3cccc(Cl)c3)CC2)c(F)c1 ZINC001620938310 1055550999 /nfs/dbraw/zinc/55/09/99/1055550999.db2.gz VZNOLCOSYKNIJH-UHFFFAOYSA-N 0 3 307.771 4.483 20 0 DIADHN CC(C)C1=NCCc2ccc(CN(Cc3ccco3)C3CC3)cc21 ZINC001237888257 1055552734 /nfs/dbraw/zinc/55/27/34/1055552734.db2.gz BHRWAKHESNUMDI-UHFFFAOYSA-N 0 3 322.452 4.445 20 0 DIADHN CCCOc1cc(F)ccc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001237904681 1055555344 /nfs/dbraw/zinc/55/53/44/1055555344.db2.gz CSYJRPHHUVZCGY-OKILXGFUSA-N 0 3 313.363 4.092 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCCC[C@H]1CCOC ZINC001237906193 1055555990 /nfs/dbraw/zinc/55/59/90/1055555990.db2.gz SCPSIEIYMBQGPW-KRWDZBQOSA-N 0 3 309.425 4.006 20 0 DIADHN CCOc1cc(F)cc(CN2CCC3(CC[C@@H](F)C3)CC2)c1 ZINC001237922287 1055559442 /nfs/dbraw/zinc/55/94/42/1055559442.db2.gz XSSAPYAVWUDWPZ-OAHLLOKOSA-N 0 3 309.400 4.329 20 0 DIADHN CC1(C)CCC[C@]1(O)CNCc1ccc(F)c(Cl)c1Cl ZINC001620949712 1055563534 /nfs/dbraw/zinc/56/35/34/1055563534.db2.gz AFONZTWOYRJQJA-HNNXBMFYSA-N 0 3 320.235 4.163 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2CSc3ccccc32)c(F)c1 ZINC001620948394 1055563442 /nfs/dbraw/zinc/56/34/42/1055563442.db2.gz FFOZOGRVFZPGRL-LBPRGKRZSA-N 0 3 305.393 4.252 20 0 DIADHN Fc1ccc(OC(F)F)c(CNC[C@H]2CCCCC2(F)F)c1 ZINC001620949403 1055564071 /nfs/dbraw/zinc/56/40/71/1055564071.db2.gz NMHYOSQSCFZUOO-LLVKDONJSA-N 0 3 323.305 4.342 20 0 DIADHN COc1cccc(O[C@@H]2CCCN(Cc3ccsc3C)C2)c1 ZINC001237969560 1055566618 /nfs/dbraw/zinc/56/66/18/1055566618.db2.gz BOJAARBEZNYZPY-GOSISDBHSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1cccc2cc(CN[C@H]3CCCSC3)c(Cl)nc12 ZINC001620950915 1055567372 /nfs/dbraw/zinc/56/73/72/1055567372.db2.gz QRTZYUIBHZAODK-AWEZNQCLSA-N 0 3 306.862 4.182 20 0 DIADHN CCOc1ccc(CN2CCC3(CC[C@@H](F)C3)CC2)cc1F ZINC001237960835 1055568339 /nfs/dbraw/zinc/56/83/39/1055568339.db2.gz OXKJINMRJIZGMN-OAHLLOKOSA-N 0 3 309.400 4.329 20 0 DIADHN Cc1sccc1CN1CCC(Oc2cccc(F)c2)CC1 ZINC001237965838 1055568412 /nfs/dbraw/zinc/56/84/12/1055568412.db2.gz HFBZMQZBUIREBF-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN COC(=O)C[C@H](C)N(Cc1ccsc1C)Cc1ccccc1 ZINC001237973335 1055569755 /nfs/dbraw/zinc/56/97/55/1055569755.db2.gz CYAQTSDHRZCKHW-AWEZNQCLSA-N 0 3 317.454 4.010 20 0 DIADHN CCCN(Cc1csc(-c2ccc(O)cc2)n1)CC1CC1 ZINC001237977532 1055571031 /nfs/dbraw/zinc/57/10/31/1055571031.db2.gz JTWUACLHFLNQQH-UHFFFAOYSA-N 0 3 302.443 4.138 20 0 DIADHN CCC(CC)[C@@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921580902 1055574234 /nfs/dbraw/zinc/57/42/34/1055574234.db2.gz XJIVQYKKVPQWBR-GOSISDBHSA-N 0 3 303.425 4.120 20 0 DIADHN Clc1ccc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)nc1 ZINC001620954520 1055575004 /nfs/dbraw/zinc/57/50/04/1055575004.db2.gz XJGKNUMPNHYWKN-YEORSEQZSA-N 0 3 307.224 4.424 20 0 DIADHN C[Si](C)(C)c1ccc(CNCc2ccc(Cl)c(F)c2)cc1 ZINC001620956624 1055578784 /nfs/dbraw/zinc/57/87/84/1055578784.db2.gz FKSQVAXXENFMEH-UHFFFAOYSA-N 0 3 321.899 4.314 20 0 DIADHN CCCC1CCN(Cc2cccc(N3CCCCC3)n2)CC1 ZINC001237998644 1055581367 /nfs/dbraw/zinc/58/13/67/1055581367.db2.gz HBYJCGLJNCNMSN-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN CN(Cc1cccc(N2CCCCC2)n1)[C@@H]1CCc2ccccc21 ZINC001238008415 1055582419 /nfs/dbraw/zinc/58/24/19/1055582419.db2.gz PIQZYRYUDQVUHR-HXUWFJFHSA-N 0 3 321.468 4.191 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccccc1Oc1cnccn1 ZINC001238013842 1055584077 /nfs/dbraw/zinc/58/40/77/1055584077.db2.gz JDUBJUHPYXNRFE-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCC2(CCCC2)CC1 ZINC001238023452 1055588827 /nfs/dbraw/zinc/58/88/27/1055588827.db2.gz GOCBEDJMTHWOOV-UHFFFAOYSA-N 0 3 300.446 4.124 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1CN1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001238062089 1055598975 /nfs/dbraw/zinc/59/89/75/1055598975.db2.gz LWDDNYLUHKXKQY-HDICACEKSA-N 0 3 321.420 4.483 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H](C)C(C)(C)C)c(Cl)c1 ZINC000027133584 1055601080 /nfs/dbraw/zinc/60/10/80/1055601080.db2.gz OPUIYYMKUJCXCM-ZDUSSCGKSA-N 0 3 310.869 4.262 20 0 DIADHN Cc1nnc([C@H]2CCCN(Cc3cc(C)c(Cl)c(C)c3)C2)o1 ZINC001238098280 1055606260 /nfs/dbraw/zinc/60/62/60/1055606260.db2.gz XDVBNMVPPZHFKF-HNNXBMFYSA-N 0 3 319.836 4.028 20 0 DIADHN Cc1cc(CN2CCC3(C[C@H](F)CO3)CC2)cc(C)c1Cl ZINC001238097465 1055606456 /nfs/dbraw/zinc/60/64/56/1055606456.db2.gz SYJYPDSZSNFROY-HNNXBMFYSA-N 0 3 311.828 4.050 20 0 DIADHN Clc1ccc([C@@H]2CCCN2Cc2cccc3cncn32)cc1 ZINC001238114870 1055607868 /nfs/dbraw/zinc/60/78/68/1055607868.db2.gz YFYQAUBUGMVIIQ-SFHVURJKSA-N 0 3 311.816 4.325 20 0 DIADHN Cc1cc([C@@H](C)NCCc2c[nH]c3cc(F)c(Cl)cc23)no1 ZINC000624290653 1055608880 /nfs/dbraw/zinc/60/88/80/1055608880.db2.gz GJYFWBVXANFSLA-SNVBAGLBSA-N 0 3 321.783 4.150 20 0 DIADHN Clc1ccc([C@H]2CCCN2Cc2cccc3cncn32)cc1 ZINC001238114869 1055609068 /nfs/dbraw/zinc/60/90/68/1055609068.db2.gz YFYQAUBUGMVIIQ-GOSISDBHSA-N 0 3 311.816 4.325 20 0 DIADHN Cc1ccc(O)cc1CN1CC[C@H](Sc2ccc(F)cc2)C1 ZINC001238104485 1055610061 /nfs/dbraw/zinc/61/00/61/1055610061.db2.gz VZYFXPXPFPUZHP-SFHVURJKSA-N 0 3 317.429 4.206 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@H]1CC[C@@H](C)O1 ZINC001620961089 1055614396 /nfs/dbraw/zinc/61/43/96/1055614396.db2.gz QPMXHODDKJIJAT-DNVCBOLYSA-N 0 3 311.425 4.019 20 0 DIADHN Fc1ccc(C2CCN(Cc3cncc(C4CC4)c3)CC2)cc1 ZINC001238186797 1055614742 /nfs/dbraw/zinc/61/47/42/1055614742.db2.gz VXDOVBNFSCRBDG-UHFFFAOYSA-N 0 3 310.416 4.478 20 0 DIADHN COc1cccc([C@H]2CCCN(Cc3cncc(C4CC4)c3)C2)c1 ZINC001238193986 1055616941 /nfs/dbraw/zinc/61/69/41/1055616941.db2.gz JHGYBXIKWLGEHZ-IBGZPJMESA-N 0 3 322.452 4.347 20 0 DIADHN COc1cccc([C@@H]2CCCN(Cc3cncc(C4CC4)c3)C2)c1 ZINC001238193988 1055618122 /nfs/dbraw/zinc/61/81/22/1055618122.db2.gz JHGYBXIKWLGEHZ-LJQANCHMSA-N 0 3 322.452 4.347 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc(-c3cc[nH]n3)c2)cn1 ZINC001238205154 1055619701 /nfs/dbraw/zinc/61/97/01/1055619701.db2.gz YMCPPEDNSVMGDK-FQEVSTJZSA-N 0 3 318.424 4.117 20 0 DIADHN c1cc(-c2cccc(CN3CCSc4ccccc4C3)c2)n[nH]1 ZINC001238200356 1055620770 /nfs/dbraw/zinc/62/07/70/1055620770.db2.gz QLRAGNYTYZTVSV-UHFFFAOYSA-N 0 3 321.449 4.185 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc(-c3cc[nH]n3)c2)cn1 ZINC001238205157 1055621160 /nfs/dbraw/zinc/62/11/60/1055621160.db2.gz YMCPPEDNSVMGDK-HXUWFJFHSA-N 0 3 318.424 4.117 20 0 DIADHN CSCCN(C)Cc1ccc(Br)c(Cl)c1C ZINC001238217562 1055622145 /nfs/dbraw/zinc/62/21/45/1055622145.db2.gz HTTFRSNGIGQAGB-UHFFFAOYSA-N 0 3 322.699 4.206 20 0 DIADHN CC(C)c1cc(CN2CCC[C@@](O)(CF)CC2)ccc1Cl ZINC001238219565 1055622547 /nfs/dbraw/zinc/62/25/47/1055622547.db2.gz QGJIRCNWVJURTD-KRWDZBQOSA-N 0 3 313.844 4.150 20 0 DIADHN CCOCC1CCN(Cc2cccc(-c3cccs3)n2)CC1 ZINC001238213740 1055622638 /nfs/dbraw/zinc/62/26/38/1055622638.db2.gz MZDZTERHPHYACE-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN CC(C)c1cc(CN2CCC3(CCOC3)CC2)ccc1Cl ZINC001238219903 1055623074 /nfs/dbraw/zinc/62/30/74/1055623074.db2.gz RRDSWNJGDVZNOO-UHFFFAOYSA-N 0 3 307.865 4.466 20 0 DIADHN CC(C)c1cc(CN2CC[C@@]3(C2)CCCOC3)ccc1Cl ZINC001238224659 1055624470 /nfs/dbraw/zinc/62/44/70/1055624470.db2.gz IWIZOBYVQDNLJF-GOSISDBHSA-N 0 3 307.865 4.466 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@H](Oc3ccccn3)C2)c1C ZINC001238221964 1055625234 /nfs/dbraw/zinc/62/52/34/1055625234.db2.gz HLUSEYYGTVTRNF-INIZCTEOSA-N 0 3 316.832 4.005 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(C)(C)C1CCC1)c1ccsc1 ZINC001595730520 1055625827 /nfs/dbraw/zinc/62/58/27/1055625827.db2.gz NODREPMLFMVXME-INIZCTEOSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@H]1C[C@@H](C(=O)Oc2cccc(C(C)(C)C)c2Cl)CCN1C ZINC001538420190 1055627131 /nfs/dbraw/zinc/62/71/31/1055627131.db2.gz XSEMZGPMEAAHKW-STQMWFEESA-N 0 3 323.864 4.273 20 0 DIADHN CCOc1cc(Br)cc(CN2C[C@H]3CCC[C@@H]3C2)c1 ZINC001238245944 1055629111 /nfs/dbraw/zinc/62/91/11/1055629111.db2.gz CDIMNDHOLMGETK-ZIAGYGMSSA-N 0 3 324.262 4.080 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccccc1)c1cc(F)ccc1F ZINC000338567726 1055630287 /nfs/dbraw/zinc/63/02/87/1055630287.db2.gz BDCKXFNOGGFISD-ROUUACIJSA-N 0 3 305.368 4.393 20 0 DIADHN C[C@H](NC(=O)CN(C)CC1CCCCC1)c1ccccc1Cl ZINC000030971757 1055632781 /nfs/dbraw/zinc/63/27/81/1055632781.db2.gz DQFUFHQECGSGDC-AWEZNQCLSA-N 0 3 322.880 4.029 20 0 DIADHN CCCCCN(C)Cc1cc(F)cc(Br)c1OC ZINC001238337267 1055640224 /nfs/dbraw/zinc/64/02/24/1055640224.db2.gz NVFXXMKTLJULND-UHFFFAOYSA-N 0 3 318.230 4.219 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3cc(C)c(Cl)cc3F)C[C@@H]21 ZINC001238307230 1055637987 /nfs/dbraw/zinc/63/79/87/1055637987.db2.gz IUJWYGLTDKDLRV-IFIJOSMWSA-N 0 3 311.828 4.034 20 0 DIADHN CC(C)Oc1c(F)cccc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001238317764 1055638608 /nfs/dbraw/zinc/63/86/08/1055638608.db2.gz GALBDVNQWFRARR-OKILXGFUSA-N 0 3 313.363 4.090 20 0 DIADHN CC1(C)CCN(Cc2cncc(OCc3ccccc3)c2)CC1 ZINC001238364765 1055646546 /nfs/dbraw/zinc/64/65/46/1055646546.db2.gz LBVGLADSDCOGRQ-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2cncc(CN3CCC[C@@H]4CCC[C@@H]43)c2)cc1 ZINC001238369634 1055646613 /nfs/dbraw/zinc/64/66/13/1055646613.db2.gz PAFCUWKOTWZDIJ-FPOVZHCZSA-N 0 3 322.452 4.425 20 0 DIADHN c1ccc(COc2cncc(CN3CC4CCC(CC4)C3)c2)cc1 ZINC001238371165 1055648730 /nfs/dbraw/zinc/64/87/30/1055648730.db2.gz KNXFNPVHKXTZME-UHFFFAOYSA-N 0 3 322.452 4.283 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3cccnc3)C2)c(Cl)c1 ZINC001238400148 1055651703 /nfs/dbraw/zinc/65/17/03/1055651703.db2.gz NTGHFAJVLIODLC-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1cc(CN(C)CCc2cccc(Cl)c2)ncc1Cl ZINC001238418064 1055653704 /nfs/dbraw/zinc/65/37/04/1055653704.db2.gz JOTOOOUZFXGARX-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ncc(C)cc2C)cc1 ZINC001238429962 1055657502 /nfs/dbraw/zinc/65/75/02/1055657502.db2.gz GOWGFVDJPPFFBQ-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN CC(C)Oc1cc(CN(C)CCOc2ccccc2)ccc1F ZINC001238459864 1055662943 /nfs/dbraw/zinc/66/29/43/1055662943.db2.gz FYKDKAZMIFMHST-UHFFFAOYSA-N 0 3 317.404 4.124 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1ccc(OC(C)C)cc1F ZINC001238451996 1055663434 /nfs/dbraw/zinc/66/34/34/1055663434.db2.gz QJNFMINMIQOOGD-QGZVFWFLSA-N 0 3 309.425 4.002 20 0 DIADHN CC(C)Oc1cncc(CN(C)CCc2ccccc2Cl)c1 ZINC001238466993 1055666599 /nfs/dbraw/zinc/66/65/99/1055666599.db2.gz GFAUJFHNENKTRL-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN CC(C)Oc1cncc(CN(C)CCc2ccc(Cl)cc2)c1 ZINC001238468841 1055666728 /nfs/dbraw/zinc/66/67/28/1055666728.db2.gz ODDQJLZELBDLQP-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN Cc1ccc(OC(C)C)cc1CN1CC[C@@H](F)C(F)(F)CC1 ZINC001238478183 1055668070 /nfs/dbraw/zinc/66/80/70/1055668070.db2.gz SULJMAIFVOVTFK-MRXNPFEDSA-N 0 3 315.379 4.351 20 0 DIADHN Cc1ccc(OC(C)C)cc1CN1CC[C@H](F)C(F)(F)CC1 ZINC001238478182 1055668279 /nfs/dbraw/zinc/66/82/79/1055668279.db2.gz SULJMAIFVOVTFK-INIZCTEOSA-N 0 3 315.379 4.351 20 0 DIADHN COc1ccc(CN(C)Cc2cc(OC(C)C)ccc2C)cc1 ZINC001238481503 1055669330 /nfs/dbraw/zinc/66/93/30/1055669330.db2.gz QUZHQQVKRYAGFP-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN CCCCOc1ncc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)cc1C ZINC001238490669 1055671097 /nfs/dbraw/zinc/67/10/97/1055671097.db2.gz SJDSASZNXYBLAT-IYBDPMFKSA-N 0 3 324.415 4.046 20 0 DIADHN C[C@@H](NCc1ccccc1CC(F)(F)F)c1cccc(O)c1 ZINC000624307821 1055674285 /nfs/dbraw/zinc/67/42/85/1055674285.db2.gz VGAFZSVRKINEPM-GFCCVEGCSA-N 0 3 309.331 4.348 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccccc1CC(F)(F)F ZINC000624307787 1055674714 /nfs/dbraw/zinc/67/47/14/1055674714.db2.gz UJFCGVKBZFTKSL-ZDUSSCGKSA-N 0 3 308.347 4.346 20 0 DIADHN Cc1ncc([C@@H](C)NCc2ccccc2CC(F)(F)F)s1 ZINC000624307607 1055674809 /nfs/dbraw/zinc/67/48/09/1055674809.db2.gz PAQSEHGKKJDBGK-SNVBAGLBSA-N 0 3 314.376 4.407 20 0 DIADHN Fc1ccc(CN2CCC(c3ccccn3)CC2)cc1C1CC1 ZINC001238511110 1055675270 /nfs/dbraw/zinc/67/52/70/1055675270.db2.gz JNWSJALRNOSKLW-UHFFFAOYSA-N 0 3 310.416 4.478 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3ccc(Cl)c(C)c3F)C[C@@H]21 ZINC001238513661 1055676565 /nfs/dbraw/zinc/67/65/65/1055676565.db2.gz BUXFXIWQNFSKHV-IVMMDQJWSA-N 0 3 311.828 4.034 20 0 DIADHN CCC1(O)CCN(Cc2ccc(F)c(-c3ccccc3)c2)CC1 ZINC001238551834 1055684757 /nfs/dbraw/zinc/68/47/57/1055684757.db2.gz MTAIQOKLSWRDEK-UHFFFAOYSA-N 0 3 313.416 4.230 20 0 DIADHN Fc1ccc(CN2CC3(C2)CCOCC3)cc1-c1ccccc1 ZINC001238552646 1055685549 /nfs/dbraw/zinc/68/55/49/1055685549.db2.gz RLXHBBFSFASACP-UHFFFAOYSA-N 0 3 311.400 4.105 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2cc(C)ccc2Cl)C[C@H]1C ZINC001238562074 1055686837 /nfs/dbraw/zinc/68/68/37/1055686837.db2.gz AWDTZBHZLFTDJT-CABCVRRESA-N 0 3 323.864 4.060 20 0 DIADHN C[C@@H]1COCCN(Cc2ccccc2-c2ccc(F)cc2F)C1 ZINC001238578995 1055688690 /nfs/dbraw/zinc/68/86/90/1055688690.db2.gz BWCAGNCUZOZNAL-AWEZNQCLSA-N 0 3 317.379 4.100 20 0 DIADHN Cc1cc(F)cc(Br)c1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001238564777 1055688893 /nfs/dbraw/zinc/68/88/93/1055688893.db2.gz ZNDLFEHFLAMWMR-TXEJJXNPSA-N 0 3 312.226 4.129 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001238604272 1055693408 /nfs/dbraw/zinc/69/34/08/1055693408.db2.gz QBGFEZLWUJZLFU-LPHOPBHVSA-N 0 3 322.452 4.379 20 0 DIADHN COc1cc(CN2CCC(C)(F)CC2)c(-c2ccccc2)cn1 ZINC001238597139 1055694348 /nfs/dbraw/zinc/69/43/48/1055694348.db2.gz JFNKUPOKWCUBCD-UHFFFAOYSA-N 0 3 314.404 4.081 20 0 DIADHN Cc1cc(CN2C[C@H]3[C@H](CC3(F)F)C2)cnc1-c1ccccc1 ZINC001238608580 1055695620 /nfs/dbraw/zinc/69/56/20/1055695620.db2.gz ILOYFNJKBKRUBM-SJORKVTESA-N 0 3 314.379 4.144 20 0 DIADHN Cc1cc(CN2CC[C@@H]3CCCO[C@@H]3C2)cnc1-c1ccccc1 ZINC001238607474 1055696607 /nfs/dbraw/zinc/69/66/07/1055696607.db2.gz AZKRKSLDYVHGCS-AZUAARDMSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3cccc(F)c3)C2)cnc1C1CC1 ZINC001238627848 1055703271 /nfs/dbraw/zinc/70/32/71/1055703271.db2.gz MBLHUHXJPQYFJF-GOSISDBHSA-N 0 3 310.416 4.396 20 0 DIADHN C=Cc1ccc(CNc2ccc([C@@H]3CCCCN3C)cn2)cc1 ZINC001168576032 1055704429 /nfs/dbraw/zinc/70/44/29/1055704429.db2.gz QHHLCBVHPQUHHO-IBGZPJMESA-N 0 3 307.441 4.494 20 0 DIADHN CSc1ccc(Cl)cc1CN1CCC[C@@]2(CCOC2)C1 ZINC001238672496 1055714693 /nfs/dbraw/zinc/71/46/93/1055714693.db2.gz RPFGXESQDIQNOL-MRXNPFEDSA-N 0 3 311.878 4.064 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc(F)c2)cc1OC ZINC000078616698 1055714876 /nfs/dbraw/zinc/71/48/76/1055714876.db2.gz PNHWOENIKUZRGS-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN CCN(Cc1cc(Cl)ccc1NC(=O)C(C)(C)C)C1CC1 ZINC001238672781 1055715200 /nfs/dbraw/zinc/71/52/00/1055715200.db2.gz FCGYYUHIBMCCCA-UHFFFAOYSA-N 0 3 308.853 4.309 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H]2CC)c1Br ZINC001238688078 1055723907 /nfs/dbraw/zinc/72/39/07/1055723907.db2.gz SWBINXSHOAODJA-ZDUSSCGKSA-N 0 3 312.251 4.222 20 0 DIADHN CC(C)Oc1cc(F)ccc1CN1CCC2(CCCOC2)CC1 ZINC001238699403 1055725839 /nfs/dbraw/zinc/72/58/39/1055725839.db2.gz LVVIIVGNPBQXQD-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3C[C@@H](C)C[C@H](C)C3)n2)cc1F ZINC000045840369 1055728737 /nfs/dbraw/zinc/72/87/37/1055728737.db2.gz WQFPSFXPTIEZRV-OBJOEFQTSA-N 0 3 317.408 4.223 20 0 DIADHN CCCCOc1ccc(CN[C@@H]2CCc3c2cccc3O)cc1 ZINC000105487231 1055730063 /nfs/dbraw/zinc/73/00/63/1055730063.db2.gz NXPRXPRJQRQLMA-LJQANCHMSA-N 0 3 311.425 4.348 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001238771607 1055747190 /nfs/dbraw/zinc/74/71/90/1055747190.db2.gz ZYPKPCDEEPUAKU-UHFFFAOYSA-N 0 3 315.457 4.037 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1cccnc1OCc1ccccc1 ZINC001238772174 1055747246 /nfs/dbraw/zinc/74/72/46/1055747246.db2.gz CWLASDJZFPDPAQ-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cccnc1OCc1ccccc1 ZINC001238775774 1055747400 /nfs/dbraw/zinc/74/74/00/1055747400.db2.gz DJKPUVZFIHCHRF-LJQANCHMSA-N 0 3 310.441 4.425 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1c(C)cc(F)cc1Cl)C2 ZINC001238784866 1055750077 /nfs/dbraw/zinc/75/00/77/1055750077.db2.gz CUDWTTOXXNHTMK-DYVFJYSZSA-N 0 3 311.828 4.036 20 0 DIADHN CC(C)CC1(CNC(=O)[C@H](C(C)C)N2CCCCC2)CCCC1 ZINC001566299151 1055759351 /nfs/dbraw/zinc/75/93/51/1055759351.db2.gz CFJJQOJMMWJVIS-SFHVURJKSA-N 0 3 322.537 4.220 20 0 DIADHN CC(C)CC1(CNC(=O)c2cccc(CN(C)C)c2)CCCC1 ZINC001566299079 1055759691 /nfs/dbraw/zinc/75/96/91/1055759691.db2.gz AALFVPBMZAWFEX-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN CCc1ccc(C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1CC ZINC001566424067 1055771675 /nfs/dbraw/zinc/77/16/75/1055771675.db2.gz FJGQLZCVEKHJJI-UHFFFAOYSA-N 0 3 324.468 4.434 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc2c(c1)OCCCO2 ZINC000671706956 1055773496 /nfs/dbraw/zinc/77/34/96/1055773496.db2.gz VWRNKAHDLNZWES-CQSZACIVSA-N 0 3 323.392 4.445 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1cc(C)ccn1 ZINC000671705817 1055774083 /nfs/dbraw/zinc/77/40/83/1055774083.db2.gz NDXXFYLYMILYTI-QFBILLFUSA-N 0 3 310.441 4.372 20 0 DIADHN CC[C@H](C(=O)N1CC(CC)(CC)c2ccccc21)N(CC)CC ZINC001597008462 1055774437 /nfs/dbraw/zinc/77/44/37/1055774437.db2.gz SUIBKFFFPUGOCS-QGZVFWFLSA-N 0 3 316.489 4.211 20 0 DIADHN CC[C@@H](C(=O)N1CC(CC)(CC)c2ccccc21)N(CC)CC ZINC001597008461 1055774497 /nfs/dbraw/zinc/77/44/97/1055774497.db2.gz SUIBKFFFPUGOCS-KRWDZBQOSA-N 0 3 316.489 4.211 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001597066233 1055781666 /nfs/dbraw/zinc/78/16/66/1055781666.db2.gz UWBAZYSIUZXTRN-FQEVSTJZSA-N 0 3 322.537 4.460 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(NC(=O)C(C)C)CC1 ZINC000057861804 1055790586 /nfs/dbraw/zinc/79/05/86/1055790586.db2.gz WBISGKPITKVESZ-QGZVFWFLSA-N 0 3 322.880 4.028 20 0 DIADHN COc1ccc(-c2cc(N3CCCC3)ccn2)cc1OC(C)C ZINC001238927779 1055794026 /nfs/dbraw/zinc/79/40/26/1055794026.db2.gz SBCXVCBVHAUWQA-UHFFFAOYSA-N 0 3 312.413 4.145 20 0 DIADHN COc1ccc(-c2ccc(F)c(SC)c2)cc1CN(C)C ZINC001238967469 1055809881 /nfs/dbraw/zinc/80/98/81/1055809881.db2.gz SPCIHSQFZIETJE-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN CCOc1cc(C)c(-c2ccc(F)c(CN3CCCC3)c2)cn1 ZINC001238979254 1055812568 /nfs/dbraw/zinc/81/25/68/1055812568.db2.gz OVRYXOKJBPYOEB-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCCC(CCC)C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C ZINC001539262818 1055813624 /nfs/dbraw/zinc/81/36/24/1055813624.db2.gz PVMNGGQJBSIIMB-LJQANCHMSA-N 0 3 318.505 4.184 20 0 DIADHN CC[C@@H]1CCCN(CC(=O)N[C@@H](C)c2ccc3ccccc3c2)C1 ZINC000058773503 1055816602 /nfs/dbraw/zinc/81/66/02/1055816602.db2.gz GJTINDMDAWKYQV-DLBZAZTESA-N 0 3 324.468 4.139 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(Oc2ccccn2)CC1 ZINC000348509304 1055823802 /nfs/dbraw/zinc/82/38/02/1055823802.db2.gz MSQYNJPDERFRIV-GOSISDBHSA-N 0 3 314.404 4.215 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C)nc2)ccc1OC(C)C ZINC000155383516 1055824198 /nfs/dbraw/zinc/82/41/98/1055824198.db2.gz YMRZQCTZOXNISI-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN O=C(CN1CCC[C@H]1c1cccc2ccccc21)NC1CCCC1 ZINC000060040167 1055827104 /nfs/dbraw/zinc/82/71/04/1055827104.db2.gz CMBWFFQNQMXEDZ-FQEVSTJZSA-N 0 3 322.452 4.036 20 0 DIADHN Cc1cc(CNCc2cc(Cl)cc3cccnc32)cc(C)n1 ZINC001620976257 1055839959 /nfs/dbraw/zinc/83/99/59/1055839959.db2.gz UWPYHNDMMODGCR-UHFFFAOYSA-N 0 3 311.816 4.190 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1Cl ZINC001568749831 1055843781 /nfs/dbraw/zinc/84/37/81/1055843781.db2.gz GVKSHYURROGETQ-UPJWGTAASA-N 0 3 308.853 4.102 20 0 DIADHN O=C(Nc1cccc2c1CNC2)Nc1cc(Cl)ccc1Cl ZINC001239191404 1055845879 /nfs/dbraw/zinc/84/58/79/1055845879.db2.gz JVLVYFUFJMZWSF-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN O=C(Nc1ccc2ccccc2c1)Nc1cccc2c1CNC2 ZINC001239191941 1055846215 /nfs/dbraw/zinc/84/62/15/1055846215.db2.gz YBWSQEWTYOZPOZ-UHFFFAOYSA-N 0 3 303.365 4.087 20 0 DIADHN O=C(Nc1ccc(Cl)c(Cl)c1)Nc1cccc2c1CNC2 ZINC001239190559 1055846488 /nfs/dbraw/zinc/84/64/88/1055846488.db2.gz PKXXISSJOPRBGQ-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C1(CC(C)C)CCCC1 ZINC001568920931 1055848704 /nfs/dbraw/zinc/84/87/04/1055848704.db2.gz JFDRETHFEPHNIG-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CN(C)[C@H](CNc1nc2ccccc2s1)c1cccc(F)c1 ZINC000060846867 1055852289 /nfs/dbraw/zinc/85/22/89/1055852289.db2.gz GJNLWCQMJUMEGY-OAHLLOKOSA-N 0 3 315.417 4.150 20 0 DIADHN CCCC[C@H](C(=O)N(CCN(C)C)CC1CCCCC1)C(C)C ZINC001597613875 1055854184 /nfs/dbraw/zinc/85/41/84/1055854184.db2.gz PCMZGQBDXAIHQB-IBGZPJMESA-N 0 3 324.553 4.419 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1CCNCC1(C)C ZINC001239287953 1055858948 /nfs/dbraw/zinc/85/89/48/1055858948.db2.gz XPLZCDJFNUVRPG-UHFFFAOYSA-N 0 3 317.477 4.149 20 0 DIADHN CCc1ccc(N(CC2CC2)C(=O)[C@H](CC)N(CC)CC)cc1 ZINC001569305158 1055859830 /nfs/dbraw/zinc/85/98/30/1055859830.db2.gz ATRCZCPYBZNWTR-IBGZPJMESA-N 0 3 316.489 4.112 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1[C@H](C)CNC[C@H]1C ZINC001239292361 1055860215 /nfs/dbraw/zinc/86/02/15/1055860215.db2.gz NLFGCBGRKZZNBN-HUUCEWRRSA-N 0 3 317.477 4.148 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc1O ZINC000061558050 1055868798 /nfs/dbraw/zinc/86/87/98/1055868798.db2.gz PIOVZNVJICCGII-GOSISDBHSA-N 0 3 303.377 4.027 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cccc(C)c2)c(OC)c1 ZINC000061799192 1055873017 /nfs/dbraw/zinc/87/30/17/1055873017.db2.gz UIDUOUOPJGJUMI-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CC1CCC(N(C)Cc2ncc(-c3ccc(F)cc3)o2)CC1 ZINC000061799199 1055874191 /nfs/dbraw/zinc/87/41/91/1055874191.db2.gz XTKSHFWHDAWYCG-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(C)nc2)cc1OC ZINC000155429957 1055884331 /nfs/dbraw/zinc/88/43/31/1055884331.db2.gz VDTXNMITGKHWNN-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN C[C@@H]1COCC[C@@H]1CNCc1c(Cl)ccc(Cl)c1Cl ZINC000671727104 1055885939 /nfs/dbraw/zinc/88/59/39/1055885939.db2.gz GCAUTOXWUZIBLA-NXEZZACHSA-N 0 3 322.663 4.409 20 0 DIADHN CCCN(C(=O)CN(C)CC(C)(C)C)[C@@H](CC)c1ccccc1 ZINC001597872725 1055888184 /nfs/dbraw/zinc/88/81/84/1055888184.db2.gz ACCWDADXRQKGQH-SFHVURJKSA-N 0 3 318.505 4.354 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C[C@@H]2CCCCC2(C)C)c(F)c1 ZINC001570311957 1055895810 /nfs/dbraw/zinc/89/58/10/1055895810.db2.gz BSVBJKWTOUBWTL-HNNXBMFYSA-N 0 3 320.452 4.432 20 0 DIADHN c1ccc(-c2ccc(-c3cc(N4CCOCC4)ccn3)cc2)cc1 ZINC001239491893 1055906080 /nfs/dbraw/zinc/90/60/80/1055906080.db2.gz XSOUTLIQOCCEKG-UHFFFAOYSA-N 0 3 316.404 4.252 20 0 DIADHN Clc1ccc(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)c(Cl)c1 ZINC001203553531 1055919999 /nfs/dbraw/zinc/91/99/99/1055919999.db2.gz HIJSEEWVMQTEKZ-DGFSRKRXSA-N 0 3 300.229 4.041 20 0 DIADHN Clc1cc(CN[C@@H](C2CC2)[C@@H]2CCCCO2)c(Cl)s1 ZINC001620987671 1055960576 /nfs/dbraw/zinc/96/05/76/1055960576.db2.gz CQRMHDFMBTTXJL-AAEUAGOBSA-N 0 3 320.285 4.492 20 0 DIADHN CC(C)(C)OCCNCc1cc(Cl)cc(Br)c1 ZINC001620754130 1055925838 /nfs/dbraw/zinc/92/58/38/1055925838.db2.gz YCFGSSBKWYQNJX-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CCOc1ccc(CNCc2ccccc2COC(C)C)cc1 ZINC001620752247 1055926138 /nfs/dbraw/zinc/92/61/38/1055926138.db2.gz CBEDEMFZUQPQCZ-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN CCCCOCCNCc1ccc(-c2ccccc2)cc1OC ZINC001620752265 1055926648 /nfs/dbraw/zinc/92/66/48/1055926648.db2.gz DQVILROHALKCJA-UHFFFAOYSA-N 0 3 313.441 4.269 20 0 DIADHN Fc1cccc2c1CC[C@H]2NCc1ccc(OCC(F)F)cc1 ZINC001621074389 1055928830 /nfs/dbraw/zinc/92/88/30/1055928830.db2.gz WDSGGBRVUAZDGU-QGZVFWFLSA-N 0 3 321.342 4.247 20 0 DIADHN CCC(CC)(CNCc1nc(C)c[nH]1)c1ccc(Cl)cc1 ZINC001620760998 1055932284 /nfs/dbraw/zinc/93/22/84/1055932284.db2.gz BPGDHJXURKSKNX-UHFFFAOYSA-N 0 3 305.853 4.219 20 0 DIADHN C[C@@H](CNCc1cc(Cl)ccc1F)N1CCc2ccccc21 ZINC001620760186 1055932335 /nfs/dbraw/zinc/93/23/35/1055932335.db2.gz UMXBIZGNFCMHTP-ZDUSSCGKSA-N 0 3 318.823 4.020 20 0 DIADHN COc1ccc(C2(NCc3ccc(CSC)cc3)CC2)cc1 ZINC001620769636 1055938604 /nfs/dbraw/zinc/93/86/04/1055938604.db2.gz HVDGRWZWDNQHEH-UHFFFAOYSA-N 0 3 313.466 4.337 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(OC(F)F)cc1 ZINC001620773508 1055940487 /nfs/dbraw/zinc/94/04/87/1055940487.db2.gz GZFPLNLVHIIWDY-UHFFFAOYSA-N 0 3 320.383 4.034 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1cc2c(s1)CCCC2 ZINC001620777948 1055945996 /nfs/dbraw/zinc/94/59/96/1055945996.db2.gz SCQUVNMIOKWNDN-UHFFFAOYSA-N 0 3 324.449 4.171 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](C)c2nc(C(C)(C)C)cs2)CCO1 ZINC001620783367 1055951588 /nfs/dbraw/zinc/95/15/88/1055951588.db2.gz IHFISYQCBGNOCT-MJBXVCDLSA-N 0 3 310.507 4.295 20 0 DIADHN COc1cccc2c1OCC[C@H]2NCc1cc(C)c(C)cc1C ZINC001620988288 1055960976 /nfs/dbraw/zinc/96/09/76/1055960976.db2.gz MUOKCDIRMNRDMV-GOSISDBHSA-N 0 3 311.425 4.234 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccc(Cl)cc3)CC2)[nH]1 ZINC001620506600 1055962725 /nfs/dbraw/zinc/96/27/25/1055962725.db2.gz JHDLQVAJWKYFKD-UHFFFAOYSA-N 0 3 317.864 4.135 20 0 DIADHN COc1ccc([C@H](C)CN2CCc3cc(F)c(F)cc3C2)cc1 ZINC001620539471 1055965215 /nfs/dbraw/zinc/96/52/15/1055965215.db2.gz JAVYKHAMKWQFSY-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCC[C@H](CF)C1 ZINC001620538587 1055965552 /nfs/dbraw/zinc/96/55/52/1055965552.db2.gz DLLLFXOJUHCGPY-VXGBXAGGSA-N 0 3 300.215 4.192 20 0 DIADHN Cc1cccc(CNC[C@H](OC2CCCC2)C(F)(F)F)c1C ZINC001620998725 1055967670 /nfs/dbraw/zinc/96/76/70/1055967670.db2.gz KKRAOHVUAJQBNU-INIZCTEOSA-N 0 3 315.379 4.283 20 0 DIADHN C[C@H](CN(C)[C@H]1CCN(c2ccc(Cl)cc2)C1=O)C(C)(C)C ZINC001620563922 1055968102 /nfs/dbraw/zinc/96/81/02/1055968102.db2.gz XDRNRMXKLZJTSC-CJNGLKHVSA-N 0 3 322.880 4.059 20 0 DIADHN Cc1cc(F)ccc1CN1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC001620574752 1055968936 /nfs/dbraw/zinc/96/89/36/1055968936.db2.gz BUNWAYNXEQSUDB-ZDUSSCGKSA-N 0 3 305.290 4.001 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751596 1055969048 /nfs/dbraw/zinc/96/90/48/1055969048.db2.gz UYAZRQNHZVELRS-BMIGLBTASA-N 0 3 312.344 4.011 20 0 DIADHN CCc1cccc(CN[C@@H](CCC(=O)OC)c2ccccc2)c1 ZINC001649091854 1055970033 /nfs/dbraw/zinc/97/00/33/1055970033.db2.gz VGRGKQRPQZESEN-IBGZPJMESA-N 0 3 311.425 4.033 20 0 DIADHN C[C@H]1Cc2n[nH]cc2CN(CC2CCC(c3ccccc3)CC2)C1 ZINC001620582559 1055970868 /nfs/dbraw/zinc/97/08/68/1055970868.db2.gz LWTBOVCOXQOKSO-MUYFXNHWSA-N 0 3 323.484 4.378 20 0 DIADHN C[C@@H](NCCc1nsc2ccccc12)c1c(F)cccc1F ZINC001620597921 1055971195 /nfs/dbraw/zinc/97/11/95/1055971195.db2.gz KWNIPOGNEIBRDS-LLVKDONJSA-N 0 3 318.392 4.468 20 0 DIADHN CC[C@@H](C)[C@@](C)(O)CNCc1cc2cccc(C)c2nc1Cl ZINC001620793084 1055971211 /nfs/dbraw/zinc/97/12/11/1055971211.db2.gz MBCWDQLCNNNSLG-ACJLOTCBSA-N 0 3 320.864 4.083 20 0 DIADHN CC[C@H](COCC1CC1)NCc1ccc(Oc2ccccc2)o1 ZINC001621002462 1055971418 /nfs/dbraw/zinc/97/14/18/1055971418.db2.gz XBRSCIBBLHEQQZ-MRXNPFEDSA-N 0 3 315.413 4.367 20 0 DIADHN Cc1nn(C)c(Cl)c1CNCCCCCCc1ccccc1 ZINC001621007060 1055974400 /nfs/dbraw/zinc/97/44/00/1055974400.db2.gz GGNUUTFGSSGEMQ-UHFFFAOYSA-N 0 3 319.880 4.275 20 0 DIADHN CCc1ccc2c(c1)CCN(Cc1ccc3ncccc3c1)C2 ZINC001620683377 1055979330 /nfs/dbraw/zinc/97/93/30/1055979330.db2.gz GPQWDQWUWCCKOT-UHFFFAOYSA-N 0 3 302.421 4.356 20 0 DIADHN Cc1ccc(CNC[C@H](CC(=O)OC(C)(C)C)CC(C)C)o1 ZINC001621013771 1055979953 /nfs/dbraw/zinc/97/99/53/1055979953.db2.gz RKSRQXQBAGBVHM-HNNXBMFYSA-N 0 3 309.450 4.072 20 0 DIADHN CC(C)Cc1ccccc1CNCc1ccc2c(c1)C[C@@H](C)O2 ZINC001621014062 1055980368 /nfs/dbraw/zinc/98/03/68/1055980368.db2.gz DLOSBSXBUCFRQQ-MRXNPFEDSA-N 0 3 309.453 4.498 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CNCc1cc(C)cc(C)c1 ZINC001621015221 1055981167 /nfs/dbraw/zinc/98/11/67/1055981167.db2.gz QOYOWCPKEPBUMX-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN CCOc1cccc(F)c1CNCCCCc1ccccc1 ZINC001620704317 1055981865 /nfs/dbraw/zinc/98/18/65/1055981865.db2.gz GCOQNERZDRQCMU-UHFFFAOYSA-N 0 3 301.405 4.337 20 0 DIADHN Cc1ccc(OCCNCc2csc(C(F)(F)F)c2)cc1 ZINC001620705962 1055982794 /nfs/dbraw/zinc/98/27/94/1055982794.db2.gz HOBNNDMBGAMXLQ-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCC3)c2F)c(OC)c1 ZINC001239623077 1055983503 /nfs/dbraw/zinc/98/35/03/1055983503.db2.gz BGLLYSBMXQYUNO-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCC[C@@H]1CCCS1 ZINC001621021328 1055987675 /nfs/dbraw/zinc/98/76/75/1055987675.db2.gz JGGSYQCROKINLM-JTQLQIEISA-N 0 3 323.355 4.100 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cccc3nsnc31)CC2 ZINC001621023571 1055988456 /nfs/dbraw/zinc/98/84/56/1055988456.db2.gz QFCDOFWRJGVJFR-AWEZNQCLSA-N 0 3 315.829 4.122 20 0 DIADHN CC(C)c1cnc(CNC[C@@H]2CCCO[C@H]2C(C)(C)C)s1 ZINC001620797825 1055993643 /nfs/dbraw/zinc/99/36/43/1055993643.db2.gz MPUQTGOCFGQVSG-XJKSGUPXSA-N 0 3 310.507 4.197 20 0 DIADHN Brc1cscc1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC001620802753 1055996313 /nfs/dbraw/zinc/99/63/13/1055996313.db2.gz WSNJMTRZNQYZPQ-WFASDCNBSA-N 0 3 322.271 4.231 20 0 DIADHN c1cc(CN[C@H](CC2CC2)c2ccccc2)n(CC2CCC2)n1 ZINC001620801387 1055996879 /nfs/dbraw/zinc/99/68/79/1055996879.db2.gz ASJWMWVIQPDDOO-HXUWFJFHSA-N 0 3 309.457 4.314 20 0 DIADHN Brc1cscc1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC001620802751 1055996948 /nfs/dbraw/zinc/99/69/48/1055996948.db2.gz WSNJMTRZNQYZPQ-IUODEOHRSA-N 0 3 322.271 4.231 20 0 DIADHN c1ccc(-c2cccc(-c3cc(N4CCOCC4)ccn3)c2)cc1 ZINC001239651426 1056000721 /nfs/dbraw/zinc/00/07/21/1056000721.db2.gz YYVXDMJCPPBLEC-UHFFFAOYSA-N 0 3 316.404 4.252 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(F)c(Cl)c1Cl ZINC001620804915 1056000984 /nfs/dbraw/zinc/00/09/84/1056000984.db2.gz CADUTYMTEPJVMX-NSHDSACASA-N 0 3 308.224 4.427 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccccc1OC ZINC001621040969 1056002372 /nfs/dbraw/zinc/00/23/72/1056002372.db2.gz QPLZIIDCVLCJKU-KSSFIOAISA-N 0 3 311.425 4.260 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1ccccc1Cl ZINC001621124292 1056003590 /nfs/dbraw/zinc/00/35/90/1056003590.db2.gz NJYHMCDIHZRLLI-HUUCEWRRSA-N 0 3 322.880 4.427 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1NC1CCN(c2ccccc2)CC1 ZINC001621043411 1056003939 /nfs/dbraw/zinc/00/39/39/1056003939.db2.gz FXKZLLQMWDXIMV-NHCUHLMSSA-N 0 3 324.443 4.271 20 0 DIADHN c1nc(C2CCCC2)sc1CNC1([C@@H]2CCCCO2)CCC1 ZINC001621043181 1056004339 /nfs/dbraw/zinc/00/43/39/1056004339.db2.gz XBEBIJNJQPTPDG-INIZCTEOSA-N 0 3 320.502 4.382 20 0 DIADHN F[C@H]1CCc2ccccc2[C@H]1NC1CCN(c2ccccc2)CC1 ZINC001621043410 1056004613 /nfs/dbraw/zinc/00/46/13/1056004613.db2.gz FXKZLLQMWDXIMV-LEWJYISDSA-N 0 3 324.443 4.271 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2ccncc2)cc1OCC ZINC001620810749 1056005251 /nfs/dbraw/zinc/00/52/51/1056005251.db2.gz ZOQNQBGUOCQWPH-QGZVFWFLSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1cc(C)c(CN[C@H](Cn2ccnc2)c2ccccc2)cc1C ZINC001621045837 1056006715 /nfs/dbraw/zinc/00/67/15/1056006715.db2.gz JOTHDNIKERDVKQ-OAQYLSRUSA-N 0 3 319.452 4.339 20 0 DIADHN FC(F)(F)CCNCc1cccc(COc2ccccc2)c1 ZINC001620815004 1056008548 /nfs/dbraw/zinc/00/85/48/1056008548.db2.gz JMGJHFCDPCHQKI-UHFFFAOYSA-N 0 3 309.331 4.308 20 0 DIADHN C=C/C=C\CCNCc1csc(-c2ccc(OC)cc2)n1 ZINC001621049896 1056011083 /nfs/dbraw/zinc/01/10/83/1056011083.db2.gz UWIRFYQTLSCVLY-PLNGDYQASA-N 0 3 300.427 4.041 20 0 DIADHN COc1ccc(OC)c(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001239667652 1056014303 /nfs/dbraw/zinc/01/43/03/1056014303.db2.gz BPWMIVUKDMDPMS-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN Clc1cscc1CNCCc1cnc2ccccc2c1 ZINC001621060487 1056016434 /nfs/dbraw/zinc/01/64/34/1056016434.db2.gz AMOFSTIZKPYBHT-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3n2C)cc1Cl ZINC001621060891 1056016633 /nfs/dbraw/zinc/01/66/33/1056016633.db2.gz RDHNSIRZUAECCQ-UHFFFAOYSA-N 0 3 314.816 4.130 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(OC)cccc2OC)cc1F ZINC001239675061 1056019798 /nfs/dbraw/zinc/01/97/98/1056019798.db2.gz XDLVWZOOZYAFNT-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN C[C@@H]1[C@@H](C)N(C(=O)OC(C)(C)C)CCN1CCCC(C)(C)C ZINC001168603448 1056021350 /nfs/dbraw/zinc/02/13/50/1056021350.db2.gz FUQQAEFFYBDTHQ-HUUCEWRRSA-N 0 3 312.498 4.142 20 0 DIADHN CCCCc1ccc([C@H](NC(=O)[C@H](CC)N(C)C)C(C)C)cc1 ZINC001540430757 1056029987 /nfs/dbraw/zinc/02/99/87/1056029987.db2.gz YQSOBTOCAQMNPL-RBUKOAKNSA-N 0 3 318.505 4.183 20 0 DIADHN CCc1ccc([C@H](CNC(=O)[C@@H](C)C(CC)CC)N(C)C)cc1 ZINC001540450701 1056032961 /nfs/dbraw/zinc/03/29/61/1056032961.db2.gz CCOLZCRFURRCOS-KXBFYZLASA-N 0 3 318.505 4.040 20 0 DIADHN C[C@@H](CN1CCC=C(c2ccco2)C1)c1cc(F)cc(F)c1 ZINC001621327297 1056033108 /nfs/dbraw/zinc/03/31/08/1056033108.db2.gz OSKOTHNANBZWTE-ZDUSSCGKSA-N 0 3 303.352 4.451 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(F)c3F)c(C)c2)CC1 ZINC001239703522 1056033496 /nfs/dbraw/zinc/03/34/96/1056033496.db2.gz ITVJUDBZOCTYEP-UHFFFAOYSA-N 0 3 314.379 4.444 20 0 DIADHN CC(C)CC1(C(=O)NC[C@H](c2ccccc2)N(C)C)CCCC1 ZINC001540462951 1056034496 /nfs/dbraw/zinc/03/44/96/1056034496.db2.gz RUGRYMRZCPIGEG-GOSISDBHSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H](O)C[C@@H]1CCCCCN1Cc1ccsc1C(F)(F)F ZINC001621326436 1056034943 /nfs/dbraw/zinc/03/49/43/1056034943.db2.gz OTFNZASTCVOJPW-YPMHNXCESA-N 0 3 321.408 4.282 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cc(Cl)cc3c2OCC3)[C@@H]1C(C)C ZINC001621334803 1056037584 /nfs/dbraw/zinc/03/75/84/1056037584.db2.gz XFNUCWQHAPEDQH-DLBZAZTESA-N 0 3 307.865 4.387 20 0 DIADHN COc1ccc(F)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001239722925 1056042359 /nfs/dbraw/zinc/04/23/59/1056042359.db2.gz FJIMCEXQJYZOTJ-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CO[C@@H]1C[C@@H](N(C)Cc2cscc2C(F)(F)F)C12CCC2 ZINC001621338648 1056042568 /nfs/dbraw/zinc/04/25/68/1056042568.db2.gz NEBFZPFSRDDQLO-CHWSQXEVSA-N 0 3 319.392 4.156 20 0 DIADHN CC(C)c1ncc(CNC[C@H](C)Oc2ccccc2Cl)s1 ZINC001620817305 1056048174 /nfs/dbraw/zinc/04/81/74/1056048174.db2.gz QOOPQOWIMZWEMM-LBPRGKRZSA-N 0 3 324.877 4.477 20 0 DIADHN CC(C)C[C@@H](NC(=O)[C@H](C)N1CCCCCC1)c1ccccc1 ZINC001540618424 1056051373 /nfs/dbraw/zinc/05/13/73/1056051373.db2.gz QTZMEQRZVKHIQZ-PKOBYXMFSA-N 0 3 316.489 4.155 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@H]2CCC[C@H]2c2ccccc2)c1 ZINC001540627555 1056053952 /nfs/dbraw/zinc/05/39/52/1056053952.db2.gz GVZRPJCAVVHLRX-PMACEKPBSA-N 0 3 322.452 4.271 20 0 DIADHN CC(C)[C@H](NC(=O)CN(C)CC(C)(C)C)c1ccc(Cl)cc1 ZINC001540635459 1056055649 /nfs/dbraw/zinc/05/56/49/1056055649.db2.gz SMFFADBAEVSVQQ-KRWDZBQOSA-N 0 3 324.896 4.131 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(OC)c(Cl)c2)cc1F ZINC000112522895 1056061730 /nfs/dbraw/zinc/06/17/30/1056061730.db2.gz IVCRUIQJWZXFGC-NSHDSACASA-N 0 3 323.795 4.347 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(OC)c(Cl)c2)cc1 ZINC000112515580 1056062005 /nfs/dbraw/zinc/06/20/05/1056062005.db2.gz XTFZRFRYXHPOEW-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cncc(Oc2ccccc2)c1 ZINC001239759733 1056063599 /nfs/dbraw/zinc/06/35/99/1056063599.db2.gz GSOXEBBNTYZCCP-PKNBQFBNSA-N 0 3 317.392 4.468 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2cccc(F)c2)cc1OC ZINC000616008789 1056065544 /nfs/dbraw/zinc/06/55/44/1056065544.db2.gz XUUHIYACNZUNEI-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN CC(=O)Nc1ccccc1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001239782832 1056074479 /nfs/dbraw/zinc/07/44/79/1056074479.db2.gz ZGYZNGAUUMCDAZ-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN CC[C@@H]1CCC[C@@H]1C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001540779127 1056076337 /nfs/dbraw/zinc/07/63/37/1056076337.db2.gz GKYVNGJJUGRNCR-HYVNUMGLSA-N 0 3 322.518 4.074 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(OC)c2OC)c1F ZINC001239818633 1056088253 /nfs/dbraw/zinc/08/82/53/1056088253.db2.gz DXHQMGYGVSIBMB-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CN(C)Cc1ccnc(-c2ccccc2OCc2ccccc2)c1 ZINC001239829801 1056094854 /nfs/dbraw/zinc/09/48/54/1056094854.db2.gz SFMSNAIJWHHZMO-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(C(F)(F)F)cn1)c1ccccc1 ZINC000902758619 1056110762 /nfs/dbraw/zinc/11/07/62/1056110762.db2.gz IGIZZORSHNAMPG-BLLLJJGKSA-N 0 3 324.346 4.139 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(C(F)(F)F)cn1)c1ccccc1 ZINC000902758625 1056110785 /nfs/dbraw/zinc/11/07/85/1056110785.db2.gz IGIZZORSHNAMPG-MLGOLLRUSA-N 0 3 324.346 4.139 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC001620819964 1056151492 /nfs/dbraw/zinc/15/14/92/1056151492.db2.gz HFJMPSZBBJYWGX-GDBMZVCRSA-N 0 3 313.363 4.486 20 0 DIADHN CCCCCCC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001542816746 1056158653 /nfs/dbraw/zinc/15/86/53/1056158653.db2.gz IXWZOLKPMYCRFY-IBGZPJMESA-N 0 3 316.489 4.300 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1cccc(Cl)c1)c1ccccc1F ZINC000765814716 1056171738 /nfs/dbraw/zinc/17/17/38/1056171738.db2.gz IAWYWADAKUXIPB-SJKOYZFVSA-N 0 3 307.796 4.037 20 0 DIADHN CCCCCC[C@H](CC)CN(C)C(=O)[C@@H]1CCCCN1CC ZINC001611606423 1056176576 /nfs/dbraw/zinc/17/65/76/1056176576.db2.gz YKDAFXIAZRLLJV-ROUUACIJSA-N 0 3 310.526 4.316 20 0 DIADHN C[C@H](NC[C@]12C[C@H]1CCCC2)c1ncc(Br)s1 ZINC000903162716 1056194737 /nfs/dbraw/zinc/19/47/37/1056194737.db2.gz OBDGJCXYQFAWMW-CWSCBRNRSA-N 0 3 315.280 4.137 20 0 DIADHN C[C@@H](CN1CCC[C@@H](C)C1)Nc1nc(C2CCCCC2)ns1 ZINC001611860920 1056199150 /nfs/dbraw/zinc/19/91/50/1056199150.db2.gz MNGHBQLSGYPVSQ-KGLIPLIRSA-N 0 3 322.522 4.118 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001583966883 1056222186 /nfs/dbraw/zinc/22/21/86/1056222186.db2.gz VXIVUDXKYLYKHG-SQNIBIBYSA-N 0 3 322.537 4.076 20 0 DIADHN COc1ccc(-c2ccc(N3CCCCC3)cc2)cc1CN(C)C ZINC001240014189 1056253612 /nfs/dbraw/zinc/25/36/12/1056253612.db2.gz XRVIZTSWFIODRO-UHFFFAOYSA-N 0 3 324.468 4.414 20 0 DIADHN c1c[nH]c([C@H](NC[C@H]2CCC3(CCCC3)O2)C2CCCCC2)n1 ZINC000655851824 1056257075 /nfs/dbraw/zinc/25/70/75/1056257075.db2.gz HNHXRGXRWWPMLO-IAGOWNOFSA-N 0 3 317.477 4.113 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCC3)c2F)c(F)c1 ZINC001240024125 1056260749 /nfs/dbraw/zinc/26/07/49/1056260749.db2.gz AVJCHBRKZLQNGP-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@H]2CCC3(CCCC3)O2)s1 ZINC000655860566 1056262928 /nfs/dbraw/zinc/26/29/28/1056262928.db2.gz GKOFGUBHBNPYAV-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN C[C@H](C(=O)NC[C@@H]1CCCC[C@H]1C(C)(C)C)N1CCCCCC1 ZINC001584125170 1056268614 /nfs/dbraw/zinc/26/86/14/1056268614.db2.gz RMGCIUGVPYGTJG-FGTMMUONSA-N 0 3 322.537 4.220 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H]2CCCc3cn(C(C)C)nc32)C1(C)C ZINC000765826621 1056276866 /nfs/dbraw/zinc/27/68/66/1056276866.db2.gz ZQGDEJJMIUETMY-BRWVUGGUSA-N 0 3 319.493 4.023 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2ccc(F)c(OC)c2)cc1 ZINC001116439597 1056293936 /nfs/dbraw/zinc/29/39/36/1056293936.db2.gz IVWGCPPHGJQWKP-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2C)cc1 ZINC000056201594 1056301638 /nfs/dbraw/zinc/30/16/38/1056301638.db2.gz UGRVJQGCOBNWGY-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2)C1)c1nc2ccccc2s1 ZINC000765845730 1056308395 /nfs/dbraw/zinc/30/83/95/1056308395.db2.gz TUHGDAYMOGQAPP-LSDHHAIUSA-N 0 3 323.465 4.226 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cccc(C(=O)NC3CCCC3)c2)n1 ZINC001240096183 1056321277 /nfs/dbraw/zinc/32/12/77/1056321277.db2.gz WDKQCJYATJFAOM-UHFFFAOYSA-N 0 3 319.408 4.211 20 0 DIADHN CC[C@@H](NCc1ccccc1N(C)CC)c1ccc2c(c1)CCO2 ZINC000765848897 1056321780 /nfs/dbraw/zinc/32/17/80/1056321780.db2.gz LRXDXDYHGVOHKN-LJQANCHMSA-N 0 3 324.468 4.319 20 0 DIADHN CC[C@H]1CCCC[C@@H]1C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001543934176 1056329144 /nfs/dbraw/zinc/32/91/44/1056329144.db2.gz OYDWOSQCLFCMIN-OALUTQOASA-N 0 3 322.537 4.173 20 0 DIADHN COc1ccc(F)c(-c2cccc(CN3CCCC3)c2F)c1 ZINC001240120343 1056338875 /nfs/dbraw/zinc/33/88/75/1056338875.db2.gz NBXFMPDLJLJJGR-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCCn1cc(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001240133313 1056347023 /nfs/dbraw/zinc/34/70/23/1056347023.db2.gz KYEOVBDDVJBKCV-UHFFFAOYSA-N 0 3 301.409 4.085 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc4n[nH]cc4c3)c(C)c2)CC1 ZINC001240210178 1056369682 /nfs/dbraw/zinc/36/96/82/1056369682.db2.gz SICCCRINZLLUAF-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN Fc1ccc2[nH]ccc2c1-c1cncc(CN2CCCCC2)c1 ZINC001240216842 1056375156 /nfs/dbraw/zinc/37/51/56/1056375156.db2.gz ZRXPTYNIVKFWCZ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCCC[C@H](CC)C(=O)N(CCN1CCCC1)c1ccccc1 ZINC001544204636 1056380742 /nfs/dbraw/zinc/38/07/42/1056380742.db2.gz PQFGZXPMNFIKPM-SFHVURJKSA-N 0 3 316.489 4.332 20 0 DIADHN Fc1ccc2[nH]ccc2c1-c1cnccc1CN1CCCCC1 ZINC001240225561 1056380797 /nfs/dbraw/zinc/38/07/97/1056380797.db2.gz IEHYVSWVGHZMCL-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCCN(C)CC(=O)N[C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC001544259642 1056394826 /nfs/dbraw/zinc/39/48/26/1056394826.db2.gz IAGNIQOBPZNQTQ-MRXNPFEDSA-N 0 3 324.896 4.275 20 0 DIADHN CCCC[C@H](C(=O)NC[C@H](c1ccc(C)cc1)N(C)C)C(C)C ZINC001544274473 1056399708 /nfs/dbraw/zinc/39/97/08/1056399708.db2.gz ZCRLDRDCSGFBOL-RBUKOAKNSA-N 0 3 318.505 4.176 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4cnn(C)c4c3)cc21 ZINC001240310844 1056423501 /nfs/dbraw/zinc/42/35/01/1056423501.db2.gz NILTXQKFSUSWFO-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CC(C)[C@H](C)NC(=O)CN(CC1CCC1)[C@H](C)c1ccccc1 ZINC000303826132 1056424146 /nfs/dbraw/zinc/42/41/46/1056424146.db2.gz KUHGVOIJUOTGHZ-DLBZAZTESA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cccc(CCNCc2ccc(F)c(Cl)c2Cl)n1 ZINC000475277296 1056443441 /nfs/dbraw/zinc/44/34/41/1056443441.db2.gz FGCBDNNJEMSUJH-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN C[C@H]1CCCCN1c1cccc(N[C@H]2CCCc3cccnc32)n1 ZINC001168656913 1056447546 /nfs/dbraw/zinc/44/75/46/1056447546.db2.gz WBUBTTWSVIXVHB-RDJZCZTQSA-N 0 3 322.456 4.345 20 0 DIADHN Fc1ccc2c(c1)ncnc2C1=CCCN(Cc2ccccc2)C1 ZINC001240384609 1056466674 /nfs/dbraw/zinc/46/66/74/1056466674.db2.gz DIFINUKRUNYWHD-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1csc2cnc(C3=CCCN(Cc4ccccc4)C3)nc12 ZINC001240385861 1056466790 /nfs/dbraw/zinc/46/67/90/1056466790.db2.gz OATOVXVEEJTRCQ-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1cc(F)c(CNC[C@H](c2cccnc2)C(C)C)c(F)c1 ZINC001620827156 1056468647 /nfs/dbraw/zinc/46/86/47/1056468647.db2.gz FSOZEIBIKUWLTJ-HNNXBMFYSA-N 0 3 304.384 4.198 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1cccc(COC)c1 ZINC001615150337 1056469510 /nfs/dbraw/zinc/46/95/10/1056469510.db2.gz RYFMWCIUAHCPMR-KRWDZBQOSA-N 0 3 301.455 4.404 20 0 DIADHN CC(C)CN(Cc1cc(F)ccc1Br)C(C)C ZINC001615148622 1056469895 /nfs/dbraw/zinc/46/98/95/1056469895.db2.gz KCRNZXNZAXDIOH-UHFFFAOYSA-N 0 3 302.231 4.455 20 0 DIADHN CC[C@H]1c2ccsc2CCN1CCOc1cccc(OC)c1 ZINC001615148655 1056470015 /nfs/dbraw/zinc/47/00/15/1056470015.db2.gz KLRVURHCFVHTCZ-KRWDZBQOSA-N 0 3 317.454 4.145 20 0 DIADHN CC(C)Oc1ncccc1C1=CCCN(Cc2ccccc2)C1 ZINC001240388690 1056471349 /nfs/dbraw/zinc/47/13/49/1056471349.db2.gz RJZCOKBISOSEHD-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@@H]1CCC[C@@H](C(F)(F)F)O1 ZINC001615180901 1056472457 /nfs/dbraw/zinc/47/24/57/1056472457.db2.gz KWPUHAAEMFTSSU-MDZLAQPJSA-N 0 3 307.381 4.241 20 0 DIADHN COc1ccccc1CN(C[C@H]1CCCCC1(F)F)C1CC1 ZINC001615200537 1056475615 /nfs/dbraw/zinc/47/56/15/1056475615.db2.gz DFFITWXOGLFAFR-OAHLLOKOSA-N 0 3 309.400 4.485 20 0 DIADHN C[C@@H]1CCC[C@H](CCN(Cc2ccco2)C[C@H]2CCCO2)C1 ZINC001615245610 1056481898 /nfs/dbraw/zinc/48/18/98/1056481898.db2.gz LLCHVBLVJHVIFB-ZHALLVOQSA-N 0 3 305.462 4.477 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN(Cc2ccco2)C[C@H]2CCCO2)C1 ZINC001615245611 1056482168 /nfs/dbraw/zinc/48/21/68/1056482168.db2.gz LLCHVBLVJHVIFB-ZIFCJYIRSA-N 0 3 305.462 4.477 20 0 DIADHN CCCc1ccc(CN(C)Cc2cc(OC)cc(OC)c2)cc1 ZINC001615255775 1056485142 /nfs/dbraw/zinc/48/51/42/1056485142.db2.gz JWLOCTUMSFZUQD-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN(C)CCC1CCC1 ZINC001615271803 1056485318 /nfs/dbraw/zinc/48/53/18/1056485318.db2.gz BMLVEJUWZRGMCL-UHFFFAOYSA-N 0 3 311.473 4.420 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1ncccc1Cl ZINC001615280535 1056486477 /nfs/dbraw/zinc/48/64/77/1056486477.db2.gz ULBRXMWGBWARGB-UHFFFAOYSA-N 0 3 302.849 4.436 20 0 DIADHN COc1cccc(CN(Cc2ccccc2F)CC(C)C)c1 ZINC001615285959 1056486556 /nfs/dbraw/zinc/48/65/56/1056486556.db2.gz TXKGWTZMRKBCGR-UHFFFAOYSA-N 0 3 301.405 4.493 20 0 DIADHN CCCN(CC(=O)NCC(C)(C)C)[C@H](CC)c1ccccc1 ZINC001615295978 1056489053 /nfs/dbraw/zinc/48/90/53/1056489053.db2.gz DITYBKRBXKRFJM-QGZVFWFLSA-N 0 3 304.478 4.012 20 0 DIADHN CC[C@H](CNCc1cscc1Cl)Oc1cccc(F)c1 ZINC001620827742 1056489580 /nfs/dbraw/zinc/48/95/80/1056489580.db2.gz YKDWZXKAXFQCFK-CYBMUJFWSA-N 0 3 313.825 4.488 20 0 DIADHN Cc1nnc([C@H](C)N[C@H](C)C2CCC(C(F)(F)F)CC2)s1 ZINC000354656848 1056491241 /nfs/dbraw/zinc/49/12/41/1056491241.db2.gz BPSAWERPHYWZGK-UWWSSPGOSA-N 0 3 321.412 4.254 20 0 DIADHN c1cc2cc(-c3cccc4cc([C@@H]5CNCCO5)cn43)ccc2o1 ZINC001240425257 1056498447 /nfs/dbraw/zinc/49/84/47/1056498447.db2.gz DLHFLZRKNYIUDN-FQEVSTJZSA-N 0 3 318.376 4.013 20 0 DIADHN COc1ccc2c(c1)CCCN([C@H]1CCc3ccc(F)cc31)C2 ZINC001615435878 1056511460 /nfs/dbraw/zinc/51/14/60/1056511460.db2.gz LILRGAUVBGHMCL-FQEVSTJZSA-N 0 3 311.400 4.270 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001615451501 1056513276 /nfs/dbraw/zinc/51/32/76/1056513276.db2.gz XOBKZOZQYDFWCA-HOTGVXAUSA-N 0 3 309.457 4.124 20 0 DIADHN Cc1cccc2c1CCN(C[C@@H]1CC[C@H](c3ccccc3)O1)C2 ZINC001615465922 1056513724 /nfs/dbraw/zinc/51/37/24/1056513724.db2.gz LUROZIAFRVRCRW-PZJWPPBQSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnn(CCF)c1)C(C)(C)C ZINC000921823222 1056519360 /nfs/dbraw/zinc/51/93/60/1056519360.db2.gz SYNHTVPWOHCYEH-KRWDZBQOSA-N 0 3 303.425 4.038 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1cc(CO)ccc1F ZINC001615484016 1056520397 /nfs/dbraw/zinc/52/03/97/1056520397.db2.gz ANBBNQAUDOFOSO-IBGZPJMESA-N 0 3 301.405 4.147 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cc(F)cc(F)c1 ZINC001615559487 1056532935 /nfs/dbraw/zinc/53/29/35/1056532935.db2.gz QCCPDINMBPFXCG-IUODEOHRSA-N 0 3 305.343 4.482 20 0 DIADHN Cc1cc2cc(-c3ccc(CN4CCCC4)c(F)c3)cnc2[nH]1 ZINC001240489758 1056534220 /nfs/dbraw/zinc/53/42/20/1056534220.db2.gz MOPRLBHPPALMLB-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC001615562440 1056536542 /nfs/dbraw/zinc/53/65/42/1056536542.db2.gz HXBZTSZEYJYIEP-IIAWOOMASA-N 0 3 315.436 4.348 20 0 DIADHN O=C(c1cccc(CN2CCC[C@H]3CCC[C@H]32)c1)C(F)(F)F ZINC001615563724 1056537063 /nfs/dbraw/zinc/53/70/63/1056537063.db2.gz XXHVDFQMWFASDH-UKRRQHHQSA-N 0 3 311.347 4.196 20 0 DIADHN O=C(CN1CCC[C@@H]2CCC[C@H]21)Nc1cccc2ccccc21 ZINC001615562527 1056537777 /nfs/dbraw/zinc/53/77/77/1056537777.db2.gz JUEHACXETRSUOX-QFBILLFUSA-N 0 3 308.425 4.043 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001545003525 1056543188 /nfs/dbraw/zinc/54/31/88/1056543188.db2.gz LHCQFRSOESVZCC-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nc(-c2ccccc2F)no1 ZINC001615601375 1056544346 /nfs/dbraw/zinc/54/43/46/1056544346.db2.gz SZOHJYCIXXSBRL-XJKSGUPXSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2nc3c(o2)CCCC3)C1 ZINC001615622267 1056545315 /nfs/dbraw/zinc/54/53/15/1056545315.db2.gz UCYNDRHXMHMXIX-HZPDHXFCSA-N 0 3 310.441 4.240 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1C[C@@H](c2ccccc2C)C[C@H]1C ZINC001615607597 1056545440 /nfs/dbraw/zinc/54/54/40/1056545440.db2.gz LHWDCNOCKMTKRM-AOIWGVFYSA-N 0 3 317.473 4.151 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(C(C)C)nc2C)C1(C)C ZINC001615630903 1056546996 /nfs/dbraw/zinc/54/69/96/1056546996.db2.gz SWCUWJFHHVMPRL-ROUUACIJSA-N 0 3 304.478 4.149 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)c1ccc(C2CCCC2)cc1 ZINC001585441873 1056555038 /nfs/dbraw/zinc/55/50/38/1056555038.db2.gz ZEMHBEQHQHYQLG-UHFFFAOYSA-N 0 3 316.489 4.004 20 0 DIADHN CCC[C@]1(C)CCCN(Cc2cc(C(=O)OC)oc2CC)C1 ZINC001615668158 1056557959 /nfs/dbraw/zinc/55/79/59/1056557959.db2.gz ACEMNNOOLGIJJI-GOSISDBHSA-N 0 3 307.434 4.031 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(F)ccc1C(F)(F)F ZINC001240533856 1056558427 /nfs/dbraw/zinc/55/84/27/1056558427.db2.gz UYYQRCUAWLNJQK-SOFGYWHQSA-N 0 3 310.294 4.439 20 0 DIADHN C[C@]1(CN[C@@H]2CCc3cc(Cl)cc(Cl)c32)CCCO1 ZINC000677655048 1056561473 /nfs/dbraw/zinc/56/14/73/1056561473.db2.gz OQPMBEXXLFROAG-UKRRQHHQSA-N 0 3 300.229 4.139 20 0 DIADHN C[C@]1(CN[C@H]2CCc3cc(Cl)cc(Cl)c32)CCCO1 ZINC000677655046 1056562510 /nfs/dbraw/zinc/56/25/10/1056562510.db2.gz OQPMBEXXLFROAG-DZGCQCFKSA-N 0 3 300.229 4.139 20 0 DIADHN FCCn1cc(CNC2(c3cccc(Cl)c3)CCCC2)cn1 ZINC000921854395 1056565394 /nfs/dbraw/zinc/56/53/94/1056565394.db2.gz ALOLSBOCAQIDBG-UHFFFAOYSA-N 0 3 321.827 4.065 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@@H](CC(C)C)C1 ZINC001615728226 1056569847 /nfs/dbraw/zinc/56/98/47/1056569847.db2.gz MOFGUUAXIJSFDY-GJZGRUSLSA-N 0 3 322.880 4.343 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@H]2CCCc3cn[nH]c32)c1Cl ZINC000921862463 1056570798 /nfs/dbraw/zinc/57/07/98/1056570798.db2.gz RLUNAFZJQJEVJG-CYBMUJFWSA-N 0 3 310.228 4.192 20 0 DIADHN CO[C@@H](C)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000542930390 1056575316 /nfs/dbraw/zinc/57/53/16/1056575316.db2.gz ORKFRTUZKTXUBH-WFASDCNBSA-N 0 3 301.352 4.267 20 0 DIADHN CC[C@H](C)[C@H](NCc1n[nH]c(C2CC2)n1)c1ccc(Cl)cc1 ZINC000347672003 1056576386 /nfs/dbraw/zinc/57/63/86/1056576386.db2.gz LDMPWFKICODDNZ-ZBEGNZNMSA-N 0 3 318.852 4.213 20 0 DIADHN CC[C@H](C)[C@@H](NCc1n[nH]c(C2CC2)n1)c1ccc(Cl)cc1 ZINC000347671997 1056576575 /nfs/dbraw/zinc/57/65/75/1056576575.db2.gz LDMPWFKICODDNZ-MEDUHNTESA-N 0 3 318.852 4.213 20 0 DIADHN c1cc(-c2cccc(-c3cc(N4CCCCC4)ccn3)c2)n[nH]1 ZINC001240561008 1056577796 /nfs/dbraw/zinc/57/77/96/1056577796.db2.gz JDZRGIONEDAJSO-UHFFFAOYSA-N 0 3 304.397 4.129 20 0 DIADHN c1cc(-c2cccc(-c3cncc(CN4CCCCC4)c3)c2)n[nH]1 ZINC001240563104 1056578715 /nfs/dbraw/zinc/57/87/15/1056578715.db2.gz JUOSHXMEAJVTGD-UHFFFAOYSA-N 0 3 318.424 4.125 20 0 DIADHN C[C@@H](NC(=O)CCCCC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC001585552088 1056578639 /nfs/dbraw/zinc/57/86/39/1056578639.db2.gz IASCCOOWTURQAP-APWZRJJASA-N 0 3 318.505 4.401 20 0 DIADHN C[C@H](NC(=O)[C@@H]1CCCCC1(C)C)[C@H](c1ccccc1)N(C)C ZINC001585552809 1056578915 /nfs/dbraw/zinc/57/89/15/1056578915.db2.gz QQIWPTAYTJYNLR-RYQLBKOJSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](C)[C@H](C(=O)OC)N1CC[C@H](c2ccccc2)[C@H](CC)C1 ZINC001615811415 1056579267 /nfs/dbraw/zinc/57/92/67/1056579267.db2.gz GBXYTJQTXLOSHH-OGWHTMIXSA-N 0 3 317.473 4.090 20 0 DIADHN CC[C@H](C)CCCCC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001585552934 1056579258 /nfs/dbraw/zinc/57/92/58/1056579258.db2.gz VHESPDYHDVEWRK-SQGPQFPESA-N 0 3 318.505 4.401 20 0 DIADHN C[C@H](Cc1cccs1)N(C)Cc1cnc(-c2ccccc2)nc1 ZINC001615818080 1056579555 /nfs/dbraw/zinc/57/95/55/1056579555.db2.gz NRMNTAOWBPQCHP-OAHLLOKOSA-N 0 3 323.465 4.268 20 0 DIADHN CCN(Cc1ccccc1Cl)[C@H](COC)Cc1ccccc1 ZINC001615812270 1056579700 /nfs/dbraw/zinc/57/97/00/1056579700.db2.gz OKUIOINCZQRHIW-SFHVURJKSA-N 0 3 317.860 4.420 20 0 DIADHN Cc1ccc2c(CN3CCC(CC(C)C)CC3)cc(=O)oc2c1 ZINC001615835073 1056584431 /nfs/dbraw/zinc/58/44/31/1056584431.db2.gz WGEHIDRWOLDHBJ-UHFFFAOYSA-N 0 3 313.441 4.360 20 0 DIADHN CC(C)CC1CCN(C[C@@H]2CCC[C@@H](C(F)(F)F)O2)CC1 ZINC001615834058 1056585642 /nfs/dbraw/zinc/58/56/42/1056585642.db2.gz KLJUTXURNKBORT-GJZGRUSLSA-N 0 3 307.400 4.245 20 0 DIADHN CC[C@@H](C(=O)N[C@H](c1ccc(Cl)cc1)C1CCCC1)N(C)C ZINC001585588699 1056587079 /nfs/dbraw/zinc/58/70/79/1056587079.db2.gz KCEPRZOMEILIMZ-IRXDYDNUSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@@H]1N(CCCc2ccc(C(F)(F)F)cc2)CCOC1(C)C ZINC001615867528 1056589010 /nfs/dbraw/zinc/58/90/10/1056589010.db2.gz HTLJUMBWPIPXAH-ZDUSSCGKSA-N 0 3 315.379 4.137 20 0 DIADHN c1cc(-c2ccc(N3CCCCC3)nc2)ccc1C1=NCCC1 ZINC001240583622 1056590539 /nfs/dbraw/zinc/59/05/39/1056590539.db2.gz FDYBKISUTRHIJE-UHFFFAOYSA-N 0 3 305.425 4.322 20 0 DIADHN COC(=O)c1cc(CN2CCC(CCC(C)C)CC2)c(C)o1 ZINC001615900843 1056592401 /nfs/dbraw/zinc/59/24/01/1056592401.db2.gz SYRUKVIXEKAREI-UHFFFAOYSA-N 0 3 307.434 4.023 20 0 DIADHN CC(C)CCC1CCN(CC(=O)N(C(C)C)C2CCCC2)CC1 ZINC001615900639 1056592538 /nfs/dbraw/zinc/59/25/38/1056592538.db2.gz OBWODDYTIWMLCZ-UHFFFAOYSA-N 0 3 322.537 4.314 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000063618617 1056599977 /nfs/dbraw/zinc/59/99/77/1056599977.db2.gz YUDUHQLYLDECHM-AWEZNQCLSA-N 0 3 305.809 4.010 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1ccc(CC)cc1 ZINC001615988316 1056604065 /nfs/dbraw/zinc/60/40/65/1056604065.db2.gz BMKCTHPUUUZGBW-MAUKXSAKSA-N 0 3 302.462 4.088 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(-c3ccc(O)cc3)cc2)n1 ZINC001240601511 1056606813 /nfs/dbraw/zinc/60/68/13/1056606813.db2.gz HWGBUSBDPCEUCW-UHFFFAOYSA-N 0 3 304.393 4.183 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC[C@@H]2CC(C)C)cc1 ZINC001616067947 1056611278 /nfs/dbraw/zinc/61/12/78/1056611278.db2.gz QXVVLZFEWLLGJI-GOSISDBHSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1nc(C(C)(C)NCCCc2c(F)cccc2F)sc1C ZINC001616151290 1056614157 /nfs/dbraw/zinc/61/41/57/1056614157.db2.gz FJOYCHIVKCQOOJ-UHFFFAOYSA-N 0 3 324.440 4.496 20 0 DIADHN CCC[C@H]1CCCN(Cc2ccc(C(=O)OC)c(Cl)c2)C1 ZINC001616158251 1056614713 /nfs/dbraw/zinc/61/47/13/1056614713.db2.gz BZRNZIFSZKQBFU-ZDUSSCGKSA-N 0 3 309.837 4.139 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000765955128 1056625346 /nfs/dbraw/zinc/62/53/46/1056625346.db2.gz REEJPKCKNSWMFR-YVEFUNNKSA-N 0 3 307.796 4.172 20 0 DIADHN CC(C)N(CCCC1CCCC1)CC(=O)Nc1ccc(F)cc1 ZINC001616302876 1056629829 /nfs/dbraw/zinc/62/98/29/1056629829.db2.gz ZLEWPQKNKYLIEL-UHFFFAOYSA-N 0 3 320.452 4.445 20 0 DIADHN COc1cc(-c2cccc(CN3CCCC3)c2F)cc(F)c1F ZINC001240663114 1056631990 /nfs/dbraw/zinc/63/19/90/1056631990.db2.gz DVJKKTHLZTYMTR-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN c1c(CN2CCC[C@@H]2CCCc2ccccc2)nn2c1CCCC2 ZINC001616330609 1056635662 /nfs/dbraw/zinc/63/56/62/1056635662.db2.gz CULNQYFOLOEUPB-FQEVSTJZSA-N 0 3 323.484 4.207 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC000921928548 1056635963 /nfs/dbraw/zinc/63/59/63/1056635963.db2.gz VDLMKPIDDQUARX-MLGOLLRUSA-N 0 3 308.381 4.262 20 0 DIADHN CCCCCC[C@H](C)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001128189465 1056649132 /nfs/dbraw/zinc/64/91/32/1056649132.db2.gz MVFMOAUQJNVMOC-LNLFQRSKSA-N 0 3 318.505 4.401 20 0 DIADHN Clc1ccccc1OC[C@@H]1CCCN(Cc2ccccn2)C1 ZINC000157003531 1056650950 /nfs/dbraw/zinc/65/09/50/1056650950.db2.gz ZSHXWZUSFQFXCS-OAHLLOKOSA-N 0 3 316.832 4.026 20 0 DIADHN CO[C@H](CN1CC=C(c2ccccc2Cl)CC1)C1CCC1 ZINC001616418899 1056654285 /nfs/dbraw/zinc/65/42/85/1056654285.db2.gz LLKXHPDRUOWLIT-GOSISDBHSA-N 0 3 305.849 4.244 20 0 DIADHN COc1c(F)ccc(F)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001240725939 1056662011 /nfs/dbraw/zinc/66/20/11/1056662011.db2.gz ICGHEASNNQUNBV-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2ccc(C)cc2)no1)C1CC1 ZINC000064808500 1056671629 /nfs/dbraw/zinc/67/16/29/1056671629.db2.gz AFUOMCGQSNYBDJ-CABCVRRESA-N 0 3 313.445 4.444 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(OCc3ccccc3)cc2)c1 ZINC001240746765 1056675530 /nfs/dbraw/zinc/67/55/30/1056675530.db2.gz COPBPLLZDABXCX-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@H](C(C)(C)C)C3)co2)c1 ZINC001616549684 1056675968 /nfs/dbraw/zinc/67/59/68/1056675968.db2.gz UPALCISTWZUPTF-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN CCO[C@H](C)n1cc(-c2ccc(F)c(CN(CC)CC)c2)cn1 ZINC001240757571 1056683316 /nfs/dbraw/zinc/68/33/16/1056683316.db2.gz BKCZAPMKCROZNJ-CQSZACIVSA-N 0 3 319.424 4.086 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1csc(-c2ccccn2)n1 ZINC001616646271 1056693732 /nfs/dbraw/zinc/69/37/32/1056693732.db2.gz PVJOFFLCLLMYLB-GJZGRUSLSA-N 0 3 323.465 4.487 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1ccc([O-])c(F)c1 ZINC001240789778 1056699565 /nfs/dbraw/zinc/69/95/65/1056699565.db2.gz DLEPGXGNFWCAIW-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CCCC[C@@H](CC)CN1CCN(CC(F)(F)F)CC1(C)C ZINC001616678987 1056699995 /nfs/dbraw/zinc/69/99/95/1056699995.db2.gz ZJSOSKVPDMEDTB-CQSZACIVSA-N 0 3 308.432 4.161 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccc(F)c(F)c3)cc2c1C ZINC000065013653 1056701920 /nfs/dbraw/zinc/70/19/20/1056701920.db2.gz QNNHPDSPVQSKCK-UHFFFAOYSA-N 0 3 300.352 4.353 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCO[C@@H]1CCCC[C@H]1C ZINC001616691938 1056702630 /nfs/dbraw/zinc/70/26/30/1056702630.db2.gz RVBUIKOZEURGOC-JKIFEVAISA-N 0 3 309.425 4.080 20 0 DIADHN COC(=O)/C(C)=C\CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC001616699157 1056703114 /nfs/dbraw/zinc/70/31/14/1056703114.db2.gz HLIGXBAUSICSBO-DNCJFXNASA-N 0 3 323.436 4.069 20 0 DIADHN CCC/C(C)=C/C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001128250789 1056704094 /nfs/dbraw/zinc/70/40/94/1056704094.db2.gz DTQAEZQJVBVLHV-XEYRCQSKSA-N 0 3 316.489 4.006 20 0 DIADHN CC[C@H](NC[C@@H](O)[C@@H](C)c1ccccc1)c1cc(F)ccc1F ZINC001616720797 1056707119 /nfs/dbraw/zinc/70/71/19/1056707119.db2.gz OAIYKQWXXWWFKP-FASAQXTFSA-N 0 3 319.395 4.170 20 0 DIADHN CC[C@H](NC[C@@H](O)[C@H](C)c1ccccc1)c1cc(F)ccc1F ZINC001616720801 1056707239 /nfs/dbraw/zinc/70/72/39/1056707239.db2.gz OAIYKQWXXWWFKP-ZNZDAUKMSA-N 0 3 319.395 4.170 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCC2)O1)c1ccccc1OC(F)F ZINC001616765139 1056712833 /nfs/dbraw/zinc/71/28/33/1056712833.db2.gz ZVPZGABVIFRZEB-OLZOCXBDSA-N 0 3 311.372 4.040 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@H](C)c2cc(C)cc(C)c2)c1 ZINC001616787411 1056719201 /nfs/dbraw/zinc/71/92/01/1056719201.db2.gz ZWGGNFDMFAQOHH-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@@H](NC[C@H]1CCC[C@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616807492 1056721720 /nfs/dbraw/zinc/72/17/20/1056721720.db2.gz JKVLVXDGNAHJKG-GLXFQSAKSA-N 0 3 323.305 4.115 20 0 DIADHN Cn1cc(CN[C@H]2CCCc3sccc32)c(C2CCCC2)n1 ZINC000922003942 1056726870 /nfs/dbraw/zinc/72/68/70/1056726870.db2.gz LEOHGDNSXCEWLE-INIZCTEOSA-N 0 3 315.486 4.306 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccc(C)c(C)c1)c1ccccc1 ZINC001616841914 1056727399 /nfs/dbraw/zinc/72/73/99/1056727399.db2.gz NZFLHTUAFMTMMS-LJQANCHMSA-N 0 3 310.441 4.373 20 0 DIADHN COC[C@@H](NC[C@H]1Cc2ccccc21)c1cccc(Cl)c1F ZINC000672603574 1056734533 /nfs/dbraw/zinc/73/45/33/1056734533.db2.gz QRHVSTYOGRUPJT-CXAGYDPISA-N 0 3 319.807 4.096 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnn(CC(C)C)c3)c(C)c2)CC1 ZINC001240876429 1056735017 /nfs/dbraw/zinc/73/50/17/1056735017.db2.gz LMFZUMITBJRGCY-UHFFFAOYSA-N 0 3 324.472 4.019 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3ncsc3c2)c(C)c1 ZINC000922013814 1056735520 /nfs/dbraw/zinc/73/55/20/1056735520.db2.gz ADQGCBCGLONUAZ-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1ccc(N2CCN(CCCSC(C)(C)C)CC2)cc1C ZINC001616935996 1056743084 /nfs/dbraw/zinc/74/30/84/1056743084.db2.gz XAQKQJOCVKLODG-UHFFFAOYSA-N 0 3 320.546 4.347 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cc(C)ccc2C)s1 ZINC001616942268 1056743414 /nfs/dbraw/zinc/74/34/14/1056743414.db2.gz JFCZAGDRMCNORC-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnn(CC(C)C)c2)c1F ZINC001240893807 1056747332 /nfs/dbraw/zinc/74/73/32/1056747332.db2.gz FKNJGBFMLMKNFV-UHFFFAOYSA-N 0 3 303.425 4.187 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H]1CCC[C@@H](C(C)C)CC1 ZINC001617059103 1056768973 /nfs/dbraw/zinc/76/89/73/1056768973.db2.gz ZHOQRTWSVWVGRS-MSOLQXFVSA-N 0 3 310.526 4.220 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)NC)cc1)c1ccc(Cl)s1 ZINC001617090145 1056775356 /nfs/dbraw/zinc/77/53/56/1056775356.db2.gz ZXFCIIGROSTDBF-CYBMUJFWSA-N 0 3 322.861 4.002 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)[C@H](C)NCc1cscn1 ZINC001617104157 1056776790 /nfs/dbraw/zinc/77/67/90/1056776790.db2.gz QKWBOTVFBJQGDF-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN CCCC[C@@H](CC)CN1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001617225341 1056796998 /nfs/dbraw/zinc/79/69/98/1056796998.db2.gz PKPDIDWXHHXHHI-HZPDHXFCSA-N 0 3 312.498 4.144 20 0 DIADHN Fc1ccc(-c2cncc(CN3CCCCC3)c2)c(F)c1F ZINC001240990830 1056803525 /nfs/dbraw/zinc/80/35/25/1056803525.db2.gz MONNEJMIGABUNQ-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1ccc(-c2cnccc2N2CCOCC2)c2ccccc12 ZINC001241004494 1056807647 /nfs/dbraw/zinc/80/76/47/1056807647.db2.gz HXABGLVNQVODAQ-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN CC[C@@H]1CCN(Cc2nc3cc(Br)ccc3o2)[C@@H]1C ZINC001617360675 1056819624 /nfs/dbraw/zinc/81/96/24/1056819624.db2.gz OWUXSGDWRGUOJN-GHMZBOCLSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2ncc(-c3ccccc3F)o2)[C@H]1C ZINC001617361572 1056819891 /nfs/dbraw/zinc/81/98/91/1056819891.db2.gz VTLTYCIHWFJYIJ-WWGRRREGSA-N 0 3 302.393 4.395 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2ncc(-c3ccccc3F)o2)[C@H]1C ZINC001617361565 1056820140 /nfs/dbraw/zinc/82/01/40/1056820140.db2.gz VTLTYCIHWFJYIJ-DVOMOZLQSA-N 0 3 302.393 4.395 20 0 DIADHN C[C@H](NC[C@H](O)Cc1ccccc1)c1cc(Cl)ccc1Cl ZINC001617374221 1056822086 /nfs/dbraw/zinc/82/20/86/1056822086.db2.gz YNYIPFASCQHTIS-SWLSCSKDSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cccc([C@H](NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)C(C)C)c1 ZINC001586872280 1056822519 /nfs/dbraw/zinc/82/25/19/1056822519.db2.gz FKKFIDMKIVUEJS-SFNKJDCFSA-N 0 3 316.489 4.070 20 0 DIADHN Cc1cccc([C@H](NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)C(C)C)c1 ZINC001586872279 1056822557 /nfs/dbraw/zinc/82/25/57/1056822557.db2.gz FKKFIDMKIVUEJS-MJQMVNBJSA-N 0 3 316.489 4.070 20 0 DIADHN Cc1nc(CN[C@@H]2CCCO[C@H]2CC(C)C)sc1C(C)(C)C ZINC001617430249 1056832329 /nfs/dbraw/zinc/83/23/29/1056832329.db2.gz UKCRSAJTPWBSSE-CABCVRRESA-N 0 3 324.534 4.432 20 0 DIADHN CO[C@H](CNCc1cc(C)ccc1F)c1ccccc1Cl ZINC001620834807 1056835145 /nfs/dbraw/zinc/83/51/45/1056835145.db2.gz CQZIUBWTLXPUOV-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)CC2CCCC2)c(CN(C)C)c1 ZINC000114413644 1056836582 /nfs/dbraw/zinc/83/65/82/1056836582.db2.gz JBCLKVKDLYGUQW-HNNXBMFYSA-N 0 3 302.462 4.212 20 0 DIADHN Fc1cccc(CCCN2CC[C@@](F)(c3ccccc3)C2)c1 ZINC001617531969 1056844357 /nfs/dbraw/zinc/84/43/57/1056844357.db2.gz JJXKLFRFCZNZJC-IBGZPJMESA-N 0 3 301.380 4.329 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001586985936 1056845174 /nfs/dbraw/zinc/84/51/74/1056845174.db2.gz XTQYJZJJARVAHY-KRWDZBQOSA-N 0 3 302.462 4.043 20 0 DIADHN Cc1cc(-c2ccc(CN)nc2)ccc1OCc1ccccc1 ZINC001241051540 1056847048 /nfs/dbraw/zinc/84/70/48/1056847048.db2.gz KPKXXFFPIVCIRK-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](c1ccc(F)cc1)C(C)C ZINC001617538722 1056847156 /nfs/dbraw/zinc/84/71/56/1056847156.db2.gz JHWXXSBZMKHTBM-HNAYVOBHSA-N 0 3 322.468 4.005 20 0 DIADHN CCC(C)(C)CCNC(=O)c1oc2ccccc2c1CN(C)C ZINC001587000055 1056848259 /nfs/dbraw/zinc/84/82/59/1056848259.db2.gz RRNVGKDCGRICIM-UHFFFAOYSA-N 0 3 316.445 4.051 20 0 DIADHN C[C@@H](NCc1cccc2[nH]c(=O)oc21)c1cccc(C2CC2)c1 ZINC000922148839 1056850293 /nfs/dbraw/zinc/85/02/93/1056850293.db2.gz FFAYANCVFOZNBW-GFCCVEGCSA-N 0 3 308.381 4.262 20 0 DIADHN C[C@H](NCc1cccc2[nH]c(=O)oc21)c1cccc(C2CC2)c1 ZINC000922148850 1056851263 /nfs/dbraw/zinc/85/12/63/1056851263.db2.gz FFAYANCVFOZNBW-LBPRGKRZSA-N 0 3 308.381 4.262 20 0 DIADHN CCOc1ccc(F)c(-c2ccc3c(N)ccnc3c2)c1F ZINC001241060787 1056857612 /nfs/dbraw/zinc/85/76/12/1056857612.db2.gz HQGHGRYAXZFZKE-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3ncccc3Cl)C2)cc1 ZINC001617610545 1056857774 /nfs/dbraw/zinc/85/77/74/1056857774.db2.gz JBPAVQWDXKNBFB-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1Cc1c(C)cc(C)cc1C ZINC001617638790 1056861503 /nfs/dbraw/zinc/86/15/03/1056861503.db2.gz ZHOIPNKENNHLCR-HXUWFJFHSA-N 0 3 317.473 4.310 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1CC1CCC(F)(F)CC1 ZINC001617637717 1056861873 /nfs/dbraw/zinc/86/18/73/1056861873.db2.gz SLTIWAOBGGVBRR-INIZCTEOSA-N 0 3 317.420 4.010 20 0 DIADHN CCOc1cc(-c2cncc(CN3CCCCC3)c2)ccc1F ZINC001241069929 1056862266 /nfs/dbraw/zinc/86/22/66/1056862266.db2.gz KPBXYBXWDMXNJR-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN O=C(c1ccc(Cl)cc1)C1CCN([C@@H]2C=CCCC2)CC1 ZINC000066496422 1056863822 /nfs/dbraw/zinc/86/38/22/1056863822.db2.gz GBGXXMXDVIOPLE-QGZVFWFLSA-N 0 3 303.833 4.343 20 0 DIADHN CCC(CC)[C@H](c1ccc(Cl)cc1)N(C)CC(=O)N(C)CC ZINC001617654682 1056865122 /nfs/dbraw/zinc/86/51/22/1056865122.db2.gz UADUFNWQUBJBTC-GOSISDBHSA-N 0 3 324.896 4.227 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@]2(CO)CC(C)C)cs1 ZINC001617674478 1056866748 /nfs/dbraw/zinc/86/67/48/1056866748.db2.gz JOUVVGDPYRFFJA-GOSISDBHSA-N 0 3 324.534 4.105 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1cccc(OC)c1Cl ZINC001617727384 1056874719 /nfs/dbraw/zinc/87/47/19/1056874719.db2.gz IIUMWJZPRFTPHL-LSDHHAIUSA-N 0 3 311.853 4.032 20 0 DIADHN CCC1(CC)[C@H](NCc2c(F)ccc(C)c2F)[C@@H](C)[C@@H]1OC ZINC001617736211 1056875718 /nfs/dbraw/zinc/87/57/18/1056875718.db2.gz ZPMDRUHAXINWKO-JLZZUVOBSA-N 0 3 311.416 4.203 20 0 DIADHN CN(C)C[C@@H](NC(=O)CC1CCCCC1)c1ccc(Cl)cc1 ZINC001617818712 1056889661 /nfs/dbraw/zinc/88/96/61/1056889661.db2.gz SUGZYMUWDRAYRC-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccccc1[C@H](C)N(Cc1n[nH]c(C(C)C)n1)CC(C)C ZINC001617888779 1056897464 /nfs/dbraw/zinc/89/74/64/1056897464.db2.gz YCONUHOYXSTUGR-INIZCTEOSA-N 0 3 314.477 4.456 20 0 DIADHN Nc1ccncc1-c1ccc(OCc2ccccc2)c(F)c1F ZINC001241107586 1056899229 /nfs/dbraw/zinc/89/92/29/1056899229.db2.gz GXLUHOFFMSMYLS-UHFFFAOYSA-N 0 3 312.319 4.018 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@@H](C)c1cnn(CC)c1 ZINC001617910577 1056900327 /nfs/dbraw/zinc/90/03/27/1056900327.db2.gz KOQCJGZQDSWESJ-HNNXBMFYSA-N 0 3 307.441 4.469 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cc(F)cc(F)c3)CC2)nc1 ZINC001617947501 1056906108 /nfs/dbraw/zinc/90/61/08/1056906108.db2.gz LHDKHQGDMRTSFY-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@H](C)c1cnccc1C ZINC000682733179 1056913462 /nfs/dbraw/zinc/91/34/62/1056913462.db2.gz OYECQDFGZKOMCT-KUHUBIRLSA-N 0 3 310.441 4.472 20 0 DIADHN CCOCc1ccccc1CNCc1cc2c(cccc2C)[nH]1 ZINC000657298133 1056923173 /nfs/dbraw/zinc/92/31/73/1056923173.db2.gz QAHWPXFVGATRFN-UHFFFAOYSA-N 0 3 308.425 4.303 20 0 DIADHN CC[C@@]1(NCc2ccc(-c3ccccc3)cc2OC)CCOC1 ZINC000682952472 1056925802 /nfs/dbraw/zinc/92/58/02/1056925802.db2.gz WZLNQQFUVAAPHJ-HXUWFJFHSA-N 0 3 311.425 4.021 20 0 DIADHN Cc1cc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)ccn1 ZINC001618139376 1056927365 /nfs/dbraw/zinc/92/73/65/1056927365.db2.gz MBNRBVKPRDKVCY-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1ccc(CN(C)CCc2cc(Cl)cc(Cl)c2)nc1 ZINC001618171051 1056928981 /nfs/dbraw/zinc/92/89/81/1056928981.db2.gz JNMXNXZZXBOJNL-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN C[C@@H](NC(=O)C(C)(C)N1CCCCC1)c1cccc2ccccc21 ZINC001618181589 1056930251 /nfs/dbraw/zinc/93/02/51/1056930251.db2.gz SKKTURBTNQLCJL-MRXNPFEDSA-N 0 3 324.468 4.282 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@H](C)N1Cc1nc2c(o1)CCCC2 ZINC001618196811 1056933322 /nfs/dbraw/zinc/93/33/22/1056933322.db2.gz ULPUQOOAUQYKOY-VYDXJSESSA-N 0 3 310.441 4.320 20 0 DIADHN CC(C)[C@@H](NCc1cc(Cl)sc1Cl)C1(CO)CCC1 ZINC001618235484 1056939387 /nfs/dbraw/zinc/93/93/87/1056939387.db2.gz UBPIHPXNZRUJRB-GFCCVEGCSA-N 0 3 322.301 4.332 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)CC1CCCCCC1)c1ccco1 ZINC000673290972 1056941988 /nfs/dbraw/zinc/94/19/88/1056941988.db2.gz ZGQJTMFZIODUKG-DOTOQJQBSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1cc(CN2CCC[C@H](C3CC3)C2)ccc1Br ZINC001618287510 1056944520 /nfs/dbraw/zinc/94/45/20/1056944520.db2.gz JYEUPFFBPGLWDY-HNNXBMFYSA-N 0 3 308.263 4.380 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000067971482 1056962924 /nfs/dbraw/zinc/96/29/24/1056962924.db2.gz JYPBSXOWDLFCJP-OAHLLOKOSA-N 0 3 310.441 4.053 20 0 DIADHN CCc1ccccc1CN[C@H]1CCc2cc(OC)c(OC)cc21 ZINC000479074698 1056968505 /nfs/dbraw/zinc/96/85/05/1056968505.db2.gz XBTPTIPYUPHHAY-SFHVURJKSA-N 0 3 311.425 4.043 20 0 DIADHN CC(C)(CO)NCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000116341117 1056972413 /nfs/dbraw/zinc/97/24/13/1056972413.db2.gz PIAWLIQKIDPKNT-UHFFFAOYSA-N 0 3 314.212 4.114 20 0 DIADHN Fc1cc(-c2ccc3c(n2)CNCC3)ccc1-c1ccccc1 ZINC001241234640 1056972812 /nfs/dbraw/zinc/97/28/12/1056972812.db2.gz CIKASSFPTZGZHY-UHFFFAOYSA-N 0 3 304.368 4.200 20 0 DIADHN Cc1cccc(C2(NCc3cccc4[nH]c(=O)oc43)CCC2)c1 ZINC000922211176 1056973029 /nfs/dbraw/zinc/97/30/29/1056973029.db2.gz VXYXEILCQGEJIC-UHFFFAOYSA-N 0 3 308.381 4.011 20 0 DIADHN Cc1ccccc1C1(NCc2cccc3[nH]c(=O)oc32)CCC1 ZINC000922217631 1056979775 /nfs/dbraw/zinc/97/97/75/1056979775.db2.gz SRGLQXVVPWZOOH-UHFFFAOYSA-N 0 3 308.381 4.011 20 0 DIADHN CCOc1cccc(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001241252719 1056981757 /nfs/dbraw/zinc/98/17/57/1056981757.db2.gz AENVVJXJFNOSDV-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Clc1ccc2c(c1)OC[C@@H]2N[C@H]1Cc2cccc(Cl)c2C1 ZINC001168715969 1056984961 /nfs/dbraw/zinc/98/49/61/1056984961.db2.gz RJEUKQWIHBJEBK-LRDDRELGSA-N 0 3 320.219 4.184 20 0 DIADHN CC[C@H]1CN(C)CCCN1C(=O)c1ccc(C(C)C)c(Cl)c1 ZINC000673438615 1056987027 /nfs/dbraw/zinc/98/70/27/1056987027.db2.gz YFGHKRWLRBPDBL-HNNXBMFYSA-N 0 3 322.880 4.020 20 0 DIADHN CC[C@@H]1CN(C)CCCN1C(=O)c1ccc(C(C)C)c(Cl)c1 ZINC000673438616 1056987194 /nfs/dbraw/zinc/98/71/94/1056987194.db2.gz YFGHKRWLRBPDBL-OAHLLOKOSA-N 0 3 322.880 4.020 20 0 DIADHN C[C@@H]1CCCC[N@@H+]1Cc1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241289555 1056998555 /nfs/dbraw/zinc/99/85/55/1056998555.db2.gz ALWPNPPQRAHERM-GFCCVEGCSA-N 0 3 318.367 4.107 20 0 DIADHN C[C@@H]1CCCC[N@H+]1Cc1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241289555 1056998563 /nfs/dbraw/zinc/99/85/63/1056998563.db2.gz ALWPNPPQRAHERM-GFCCVEGCSA-N 0 3 318.367 4.107 20 0 DIADHN C[C@H]1CCCC[N@@H+]1Cc1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241289558 1056998901 /nfs/dbraw/zinc/99/89/01/1056998901.db2.gz ALWPNPPQRAHERM-LBPRGKRZSA-N 0 3 318.367 4.107 20 0 DIADHN C[C@H]1CCCC[N@H+]1Cc1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241289558 1056998904 /nfs/dbraw/zinc/99/89/04/1056998904.db2.gz ALWPNPPQRAHERM-LBPRGKRZSA-N 0 3 318.367 4.107 20 0 DIADHN Cn1nc2c(c1CNC(C)(C)c1ccc3ccccc3c1)CCC2 ZINC000922298044 1057000364 /nfs/dbraw/zinc/00/03/64/1057000364.db2.gz NXUSPEIQEVJYKW-UHFFFAOYSA-N 0 3 319.452 4.087 20 0 DIADHN CC(C)[C@H](NCc1ccc2c(c1)OCCO2)c1ccccc1F ZINC000657614562 1057000524 /nfs/dbraw/zinc/00/05/24/1057000524.db2.gz FSJYMQRJIVMZCP-IBGZPJMESA-N 0 3 315.388 4.084 20 0 DIADHN CC[NH+](CC)Cc1ccc(C)c(-c2c(F)ccc([O-])c2F)c1 ZINC001241295256 1057002037 /nfs/dbraw/zinc/00/20/37/1057002037.db2.gz LCASWFNPZDKTIL-UHFFFAOYSA-N 0 3 305.368 4.488 20 0 DIADHN CCOc1ccc(F)c(F)c1-c1ccc(OC)c(CN(C)C)c1 ZINC001241310461 1057008171 /nfs/dbraw/zinc/00/81/71/1057008171.db2.gz CRDPAQYCIHYDLD-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN Cc1ccc(-c2nc3ccccc3c(C3=CCN(C)CC3)n2)cc1 ZINC001241311333 1057009031 /nfs/dbraw/zinc/00/90/31/1057009031.db2.gz VLMKCTPXTXJQJN-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN Cc1nc2scc(-c3ccccc3)c2c(C2=CCN(C)CC2)n1 ZINC001241313134 1057009967 /nfs/dbraw/zinc/00/99/67/1057009967.db2.gz UOYSJELLJGOSHO-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN CN1CC=C(c2cc(C(F)(F)F)cc(C(F)(F)F)c2)CC1 ZINC001241319212 1057012191 /nfs/dbraw/zinc/01/21/91/1057012191.db2.gz FHXRHDUATNJWBH-UHFFFAOYSA-N 0 3 309.253 4.443 20 0 DIADHN CCOc1cccc(CNC2(c3cccc(OC)c3)CCC2)c1 ZINC000657783290 1057013509 /nfs/dbraw/zinc/01/35/09/1057013509.db2.gz SJZVBDDFAOZCFN-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc(C(C)(C)C)cc2)c1 ZINC000069831038 1057014465 /nfs/dbraw/zinc/01/44/65/1057014465.db2.gz KRKPXSXXFANWBC-UHFFFAOYSA-N 0 3 310.441 4.232 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cc(Cl)ccc3F)c2)CC1 ZINC001241329995 1057016717 /nfs/dbraw/zinc/01/67/17/1057016717.db2.gz JIFDEWDEIIVGQL-UHFFFAOYSA-N 0 3 320.795 4.014 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)CCCCC(C)(C)C ZINC001626079199 1057018916 /nfs/dbraw/zinc/01/89/16/1057018916.db2.gz QVIMDUKCUTZAOU-UHFFFAOYSA-N 0 3 320.477 4.302 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1nc2ccc(F)cc2s1 ZINC000428850667 1057023974 /nfs/dbraw/zinc/02/39/74/1057023974.db2.gz OFOLTHPIPXBSPF-HIFRSBDPSA-N 0 3 322.449 4.119 20 0 DIADHN O=c1[nH]cc(CNCc2ccccc2C2CC2)c2ccccc21 ZINC000922494148 1057028040 /nfs/dbraw/zinc/02/80/40/1057028040.db2.gz UZPREOQLJRMFRN-UHFFFAOYSA-N 0 3 304.393 4.108 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNC1(c2ccccc2)CCCC1 ZINC000123767877 1057028471 /nfs/dbraw/zinc/02/84/71/1057028471.db2.gz GIUSQQFCAZIXBX-UHFFFAOYSA-N 0 3 318.420 4.087 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000154387804 1057028676 /nfs/dbraw/zinc/02/86/76/1057028676.db2.gz QGHIBUYMBDWENK-YVEFUNNKSA-N 0 3 305.372 4.019 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cnc4cccnc4c3)cc21 ZINC001241385125 1057033107 /nfs/dbraw/zinc/03/31/07/1057033107.db2.gz MXYKQCXKJSERTH-UHFFFAOYSA-N 0 3 301.393 4.298 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H](c2ccccn2)C2CC2)c(F)c1 ZINC000922584592 1057036959 /nfs/dbraw/zinc/03/69/59/1057036959.db2.gz LPJZIYBCIZDILZ-OAJJDEHYSA-N 0 3 300.352 4.114 20 0 DIADHN c1cc2n(n1)CCC[C@H]2NCc1ccc(-c2ccccc2)cc1 ZINC000657862531 1057036976 /nfs/dbraw/zinc/03/69/76/1057036976.db2.gz OODBLFXGIPONIT-LJQANCHMSA-N 0 3 303.409 4.175 20 0 DIADHN CCn1cc(CNC/C(C)=C\c2cccc(C(F)(F)F)c2)cn1 ZINC000673602080 1057043048 /nfs/dbraw/zinc/04/30/48/1057043048.db2.gz HOKQMDZYCPUBPK-QPEQYQDCSA-N 0 3 323.362 4.115 20 0 DIADHN CCc1cc(CN[C@@H](CC)c2ccc3cc(OC)ccc3c2)[nH]n1 ZINC000765993823 1057046035 /nfs/dbraw/zinc/04/60/35/1057046035.db2.gz NABPEKQBHGMSMU-FQEVSTJZSA-N 0 3 323.440 4.375 20 0 DIADHN CCc1cc(CN[C@@H](CC)c2ccc3cc(OC)ccc3c2)n[nH]1 ZINC000765993823 1057046040 /nfs/dbraw/zinc/04/60/40/1057046040.db2.gz NABPEKQBHGMSMU-FQEVSTJZSA-N 0 3 323.440 4.375 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCCC3(CC3)CC2)o1 ZINC000626113904 1057046427 /nfs/dbraw/zinc/04/64/27/1057046427.db2.gz LMDWXKLVFNNHLG-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN COc1ccc([C@H](C)NCc2nccc3ccccc32)cc1C ZINC000922672048 1057046962 /nfs/dbraw/zinc/04/69/62/1057046962.db2.gz JYCITMVDBOVBKG-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc3ccccc3c2)cc1C ZINC000922671614 1057047337 /nfs/dbraw/zinc/04/73/37/1057047337.db2.gz BUXKAQZXHBNVJF-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C(F)F)nc2)cc1C ZINC000922674866 1057048218 /nfs/dbraw/zinc/04/82/18/1057048218.db2.gz OQIJPYPYUWIJTL-LBPRGKRZSA-N 0 3 306.356 4.187 20 0 DIADHN CC(C)([NH2+]Cc1cc(F)ccc1[O-])c1cccc(Cl)c1F ZINC000186569942 1057050904 /nfs/dbraw/zinc/05/09/04/1057050904.db2.gz APEQOVDMQVRUEM-UHFFFAOYSA-N 0 3 311.759 4.349 20 0 DIADHN CC(C)(NCc1cc(F)ccc1O)c1cccc(Cl)c1F ZINC000186569942 1057050909 /nfs/dbraw/zinc/05/09/09/1057050909.db2.gz APEQOVDMQVRUEM-UHFFFAOYSA-N 0 3 311.759 4.349 20 0 DIADHN CCOC(=O)/C=C\CN[C@@H](c1oc2ccccc2c1C)C(C)C ZINC001168733833 1057053530 /nfs/dbraw/zinc/05/35/30/1057053530.db2.gz OVFRWYRMIRVQBK-IBWPDUFMSA-N 0 3 315.413 4.147 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3c(c2)OCCN3)cc1F ZINC001241461225 1057054162 /nfs/dbraw/zinc/05/41/62/1057054162.db2.gz NFNWCJSSUCSCCC-UHFFFAOYSA-N 0 3 314.404 4.139 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc([S@](C)=O)cc2)cc1 ZINC000155714124 1057058854 /nfs/dbraw/zinc/05/88/54/1057058854.db2.gz GKUQZXFXOSTFSW-OYHNWAKOSA-N 0 3 315.482 4.227 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc([S@](C)=O)cc2)cc1 ZINC000155714026 1057059337 /nfs/dbraw/zinc/05/93/37/1057059337.db2.gz GKUQZXFXOSTFSW-IVZQSRNASA-N 0 3 315.482 4.227 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@@H]1CCCSC1 ZINC000673616391 1057060507 /nfs/dbraw/zinc/06/05/07/1057060507.db2.gz IOZQIXOEJFEZOC-JTQLQIEISA-N 0 3 321.820 4.174 20 0 DIADHN Clc1cnc2oc(CNC[C@H]3CCCC4(CCC4)O3)cc2c1 ZINC000922804800 1057063327 /nfs/dbraw/zinc/06/33/27/1057063327.db2.gz GHEUCMUBZLNTBI-CQSZACIVSA-N 0 3 320.820 4.063 20 0 DIADHN C[C@H]1C[C@H](NCc2csc(-c3cccs3)n2)CCS1 ZINC000922835528 1057065168 /nfs/dbraw/zinc/06/51/68/1057065168.db2.gz GLNNGPOJJSGCHM-WDEREUQCSA-N 0 3 310.513 4.245 20 0 DIADHN C[C@H]1C[C@@H](NCc2csc(-c3cccs3)n2)CCS1 ZINC000922835526 1057065473 /nfs/dbraw/zinc/06/54/73/1057065473.db2.gz GLNNGPOJJSGCHM-QWRGUYRKSA-N 0 3 310.513 4.245 20 0 DIADHN c1oc(-c2ccccc2)nc1CN[C@@H]1CCSC2(CCC2)C1 ZINC000922844215 1057068623 /nfs/dbraw/zinc/06/86/23/1057068623.db2.gz BOAMGUBSYICQDB-OAHLLOKOSA-N 0 3 314.454 4.250 20 0 DIADHN Cc1nc2sccc2c(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241500811 1057070375 /nfs/dbraw/zinc/07/03/75/1057070375.db2.gz SUXUXLSJVLLJCK-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Fc1ccc(CNCc2cccc3cnccc32)cc1Cl ZINC000061125671 1057070413 /nfs/dbraw/zinc/07/04/13/1057070413.db2.gz JLMSXTGSGAYQAJ-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN Fc1cnc2ccc(C3=CCN(Cc4ccccc4)CC3)nc2c1 ZINC001241502541 1057070456 /nfs/dbraw/zinc/07/04/56/1057070456.db2.gz KURKUIIFHXSUNR-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN c1coc(-c2cnc(C3=CCN(Cc4ccccc4)CC3)cn2)c1 ZINC001241501702 1057070785 /nfs/dbraw/zinc/07/07/85/1057070785.db2.gz SXMFKUOZMFBBJL-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN Cc1csc2ncnc(C3=CCN(Cc4ccccc4)CC3)c12 ZINC001241501888 1057071501 /nfs/dbraw/zinc/07/15/01/1057071501.db2.gz XOLIXYVGEZCUAN-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1cccc2ncc(C3=CCN(Cc4ccccc4)CC3)nc12 ZINC001241501555 1057071916 /nfs/dbraw/zinc/07/19/16/1057071916.db2.gz MDYRGIZJROVIQV-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CSCc1ccnc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241503607 1057072831 /nfs/dbraw/zinc/07/28/31/1057072831.db2.gz XDBMBJZTSGXOPM-UHFFFAOYSA-N 0 3 310.466 4.234 20 0 DIADHN COc1cc(F)c(F)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504246 1057073011 /nfs/dbraw/zinc/07/30/11/1057073011.db2.gz QDWGREUUYFCEPY-UHFFFAOYSA-N 0 3 315.363 4.263 20 0 DIADHN CC(=O)Nc1ccc(C2=CCN(Cc3ccccc3)CC2)cc1F ZINC001241503585 1057073240 /nfs/dbraw/zinc/07/32/40/1057073240.db2.gz WBSJNJSPSREGNG-UHFFFAOYSA-N 0 3 324.399 4.073 20 0 DIADHN CCOC(=O)c1cccc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241504692 1057074769 /nfs/dbraw/zinc/07/47/69/1057074769.db2.gz YJGORVUWOTUZEQ-UHFFFAOYSA-N 0 3 321.420 4.153 20 0 DIADHN COC(=O)c1cc(C)cc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241505367 1057076787 /nfs/dbraw/zinc/07/67/87/1057076787.db2.gz RLMNKGNTOYUKNN-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN COCc1cc(OC)ccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241506761 1057076853 /nfs/dbraw/zinc/07/68/53/1057076853.db2.gz DTDSKSZRSLXBGE-UHFFFAOYSA-N 0 3 323.436 4.131 20 0 DIADHN CN(C)Cc1cccc(C2=CCN(Cc3ccccc3)CC2)c1F ZINC001241507868 1057078884 /nfs/dbraw/zinc/07/88/84/1057078884.db2.gz SIRLVMNFTPVOFW-UHFFFAOYSA-N 0 3 324.443 4.177 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(Cl)cc3c2OCC3)c(O)c1 ZINC000186615919 1057079670 /nfs/dbraw/zinc/07/96/70/1057079670.db2.gz GMZIQOZZYFNRMX-LBPRGKRZSA-N 0 3 317.816 4.140 20 0 DIADHN Cc1ccc2n[nH]cc2c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001241524146 1057084803 /nfs/dbraw/zinc/08/48/03/1057084803.db2.gz LELUYABOLRCBCQ-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN Nc1ccc(-c2cccc3c2CN(Cc2ccccc2)C3)cc1 ZINC001241542521 1057089217 /nfs/dbraw/zinc/08/92/17/1057089217.db2.gz JZBQIWWZOLUVDL-UHFFFAOYSA-N 0 3 300.405 4.452 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1Cc2cccc(Cl)c2C1 ZINC001168738123 1057093116 /nfs/dbraw/zinc/09/31/16/1057093116.db2.gz JGERCGNYVKGENJ-AWEZNQCLSA-N 0 3 307.771 4.217 20 0 DIADHN CN1CCC=C(c2nccc3cc(-c4ccc(F)cc4)[nH]c32)C1 ZINC001241567207 1057093294 /nfs/dbraw/zinc/09/32/94/1057093294.db2.gz QFQMJCRFRWOROJ-UHFFFAOYSA-N 0 3 307.372 4.088 20 0 DIADHN Cc1ccc(-c2nc3ccccc3c(C3=CCCN(C)C3)n2)s1 ZINC001241568503 1057094394 /nfs/dbraw/zinc/09/43/94/1057094394.db2.gz UKRWLCQVBNAPHB-UHFFFAOYSA-N 0 3 321.449 4.386 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3cn(C)nc3c2)ccc1F ZINC001241605274 1057106554 /nfs/dbraw/zinc/10/65/54/1057106554.db2.gz XCDMJTYHCFNXHM-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3nn(C)cc3c2)cc1F ZINC001241610579 1057108478 /nfs/dbraw/zinc/10/84/78/1057108478.db2.gz YJSYOWXQDVWRSD-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCO[C@H](CN1CCCC[C@H]1c1nc2ccccc2o1)C1CC1 ZINC000625260425 1057108974 /nfs/dbraw/zinc/10/89/74/1057108974.db2.gz RUGOHQIYQXHGPL-FUHWJXTLSA-N 0 3 314.429 4.170 20 0 DIADHN c1cnn(C[C@@H](NCCSc2ccccc2)c2ccccc2)c1 ZINC000673713075 1057120219 /nfs/dbraw/zinc/12/02/19/1057120219.db2.gz HLLVDOPHBVXDBH-LJQANCHMSA-N 0 3 323.465 4.006 20 0 DIADHN C[C@@H](NC1CCSCC1)c1ncc(C(F)(F)F)cc1Cl ZINC000925363276 1057129806 /nfs/dbraw/zinc/12/98/06/1057129806.db2.gz JQYUJGOJMPTRTC-MRVPVSSYSA-N 0 3 324.799 4.300 20 0 DIADHN Fc1cccc(-c2nc(CN(C3CCC3)C3CCC3)co2)c1 ZINC000429135505 1057131367 /nfs/dbraw/zinc/13/13/67/1057131367.db2.gz OBXJLGCMFBUOOK-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN c1cc2ccc(-c3cncc(CN4CCCCC4)c3)cc2cn1 ZINC001241676852 1057132671 /nfs/dbraw/zinc/13/26/71/1057132671.db2.gz YBULPYNJUQUVNH-UHFFFAOYSA-N 0 3 303.409 4.283 20 0 DIADHN Cc1ccccc1[C@@H](C)NCC(=O)Nc1cccc(Cl)c1C ZINC000216205988 1057135669 /nfs/dbraw/zinc/13/56/69/1057135669.db2.gz YJUCWNRDNMECQG-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@H](O)c1ccc2ccccc2c1 ZINC000132109595 1057139750 /nfs/dbraw/zinc/13/97/50/1057139750.db2.gz JDNPYYAVEGTZDY-QRWLVFNGSA-N 0 3 321.420 4.233 20 0 DIADHN Clc1ccc([C@H]2CCCCN2CCOC2CCOCC2)cc1 ZINC000625460243 1057144007 /nfs/dbraw/zinc/14/40/07/1057144007.db2.gz AXPNFNUOBMFNHW-GOSISDBHSA-N 0 3 323.864 4.063 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000673886269 1057145530 /nfs/dbraw/zinc/14/55/30/1057145530.db2.gz KLGQMQJJGADODP-HNNXBMFYSA-N 0 3 303.409 4.303 20 0 DIADHN Clc1ccc(C2(N[C@H]3COC4(CCC4)C3)CC2)cc1Cl ZINC000657945469 1057145669 /nfs/dbraw/zinc/14/56/69/1057145669.db2.gz KBYUHWPAGRKLRD-GFCCVEGCSA-N 0 3 312.240 4.284 20 0 DIADHN Fc1cc(-c2cnn(C3CCC3)c2)ccc1CN1CCCCC1 ZINC001241725060 1057148065 /nfs/dbraw/zinc/14/80/65/1057148065.db2.gz UJQKNHUVEUUDFS-UHFFFAOYSA-N 0 3 313.420 4.400 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc(C)c1F ZINC000766043789 1057152308 /nfs/dbraw/zinc/15/23/08/1057152308.db2.gz LIXNINOVCSOHJX-CXAGYDPISA-N 0 3 313.420 4.269 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4sccc4n3)cc2)CC1 ZINC001241738458 1057152407 /nfs/dbraw/zinc/15/24/07/1057152407.db2.gz PCSUWSHDFFCIDA-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4ccsc4cn3)cc2)CC1 ZINC001241741233 1057153083 /nfs/dbraw/zinc/15/30/83/1057153083.db2.gz YZHOKMGORJHDKT-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4cc[nH]c4c(F)c3)cc2)CC1 ZINC001241743996 1057153800 /nfs/dbraw/zinc/15/38/00/1057153800.db2.gz YSLXCAAKVJZFIQ-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4scnc43)cc2)CC1 ZINC001241743276 1057154318 /nfs/dbraw/zinc/15/43/18/1057154318.db2.gz NLTABRGTTSKNBB-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN Fc1ccc(-c2cnn(-c3ccccc3)c2)cc1CN1CCCC1 ZINC001241757313 1057158230 /nfs/dbraw/zinc/15/82/30/1057158230.db2.gz LTBCOJIZMGYJRX-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN C[C@H](NCc1coc(-c2ccccc2F)n1)C1CCC(F)CC1 ZINC000625558482 1057163027 /nfs/dbraw/zinc/16/30/27/1057163027.db2.gz YQRZPGFJUKYOPO-HSBZDZAISA-N 0 3 320.383 4.487 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000766045093 1057164690 /nfs/dbraw/zinc/16/46/90/1057164690.db2.gz PLBDBTQLYSVEDG-JLTOFOAXSA-N 0 3 321.468 4.392 20 0 DIADHN CCc1ccc([C@H](CC)N[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000766045070 1057165082 /nfs/dbraw/zinc/16/50/82/1057165082.db2.gz RGVZFFGKAFFTTK-AZUAARDMSA-N 0 3 309.457 4.466 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H](C)c1ccc2c(c1)CCC2 ZINC000766045659 1057165665 /nfs/dbraw/zinc/16/56/65/1057165665.db2.gz UHBCLKCCBXKTRC-DJJJIMSYSA-N 0 3 307.441 4.002 20 0 DIADHN CC(C)=C[C@@H]1[C@@H](C(=O)Nc2cc(CN(C)C)ccc2C)C1(C)C ZINC000674002474 1057174947 /nfs/dbraw/zinc/17/49/47/1057174947.db2.gz LOZFAXLGSGESMT-AEFFLSMTSA-N 0 3 314.473 4.234 20 0 DIADHN Fc1cccc(OC2CCN(CCCCC(F)(F)F)CC2)c1 ZINC000625627461 1057176581 /nfs/dbraw/zinc/17/65/81/1057176581.db2.gz MRLDGUDRRLYKQP-UHFFFAOYSA-N 0 3 319.342 4.402 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC(F)F)cc2)C[C@H](C)C1(F)F ZINC000625645142 1057182374 /nfs/dbraw/zinc/18/23/74/1057182374.db2.gz FIOQRZDIYRWSNY-QWRGUYRKSA-N 0 3 305.315 4.011 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)c1 ZINC000625655399 1057182546 /nfs/dbraw/zinc/18/25/46/1057182546.db2.gz NAUCEUJDEARAID-OAHLLOKOSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1cnccc1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000625655294 1057182603 /nfs/dbraw/zinc/18/26/03/1057182603.db2.gz MFXBCYXNBFTTOP-INIZCTEOSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1nc(CCN2CCC[C@@H](c3ccc(F)c(F)c3)C2)cs1 ZINC000625658322 1057184651 /nfs/dbraw/zinc/18/46/51/1057184651.db2.gz XZQLKOMLDGIKPA-CQSZACIVSA-N 0 3 322.424 4.152 20 0 DIADHN CCc1noc(C)c1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000625658021 1057184890 /nfs/dbraw/zinc/18/48/90/1057184890.db2.gz HAYLDBPLPRVTNL-CQSZACIVSA-N 0 3 320.383 4.203 20 0 DIADHN C[C@H](NCc1ccsc1Br)C(C)(C)C(F)F ZINC000658003682 1057211859 /nfs/dbraw/zinc/21/18/59/1057211859.db2.gz KNWSMOMODIZBPF-ZETCQYMHSA-N 0 3 312.223 4.280 20 0 DIADHN COc1ccc(-c2ccc(-c3cccnc3)cc2)cc1CN(C)C ZINC001241846627 1057188841 /nfs/dbraw/zinc/18/88/41/1057188841.db2.gz KIBZQSNMJDPTMZ-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN COc1cccc(-c2cncc(CN3CCCCC3)c2)c1Cl ZINC001241858847 1057193281 /nfs/dbraw/zinc/19/32/81/1057193281.db2.gz HEGHBGYCHBCYEP-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN COc1ccc(-c2ccc(Cl)cc2OC)cc1CN(C)C ZINC001241902626 1057205705 /nfs/dbraw/zinc/20/57/05/1057205705.db2.gz HCPYCMMEXYRIAH-UHFFFAOYSA-N 0 3 305.805 4.086 20 0 DIADHN CCOc1ccc2ccccc2c1-c1ccc2nc(N)[nH]c2c1 ZINC001241926307 1057214275 /nfs/dbraw/zinc/21/42/75/1057214275.db2.gz SLWAANLLCJJDGL-UHFFFAOYSA-N 0 3 303.365 4.364 20 0 DIADHN CCOc1ccc2ccccc2c1-c1ccc2[nH]c(N)nc2c1 ZINC001241926307 1057214278 /nfs/dbraw/zinc/21/42/78/1057214278.db2.gz SLWAANLLCJJDGL-UHFFFAOYSA-N 0 3 303.365 4.364 20 0 DIADHN CCOc1ccc2ccccc2c1-c1cccc(CN(C)C)n1 ZINC001241926490 1057215428 /nfs/dbraw/zinc/21/54/28/1057215428.db2.gz ZQDCDYXUDCKLHG-UHFFFAOYSA-N 0 3 306.409 4.362 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000674233566 1057219593 /nfs/dbraw/zinc/21/95/93/1057219593.db2.gz OIZMBDWTCKCFML-BETUJISGSA-N 0 3 304.821 4.473 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000674249004 1057221888 /nfs/dbraw/zinc/22/18/88/1057221888.db2.gz FVUAGVCXUVHZOR-SJORKVTESA-N 0 3 321.468 4.058 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(-c2ccccn2)cc1 ZINC001241975832 1057228960 /nfs/dbraw/zinc/22/89/60/1057228960.db2.gz MHCXBJHDPNJKME-SDNWHVSQSA-N 0 3 301.393 4.343 20 0 DIADHN COc1ccc(-c2ccc(-c3ccccn3)cc2)cc1CN(C)C ZINC001241975251 1057229179 /nfs/dbraw/zinc/22/91/79/1057229179.db2.gz LOVHNUGNWOOFJL-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc(C(F)F)c1)N1CCCCC1 ZINC000171491501 1057231055 /nfs/dbraw/zinc/23/10/55/1057231055.db2.gz ULEBABREMRZESQ-OAHLLOKOSA-N 0 3 310.388 4.073 20 0 DIADHN CCOc1ccc(CN(C2CC2)[C@@H]2CCc3ccccc32)nc1 ZINC000674389598 1057238325 /nfs/dbraw/zinc/23/83/25/1057238325.db2.gz YGZSTNQOGZUJNE-HXUWFJFHSA-N 0 3 308.425 4.132 20 0 DIADHN c1cc(OC2CCCC2)cc(-c2ccc3c(c2)COC32CNC2)c1 ZINC001242035662 1057246636 /nfs/dbraw/zinc/24/66/36/1057246636.db2.gz YJQRETBFMZVJHJ-UHFFFAOYSA-N 0 3 321.420 4.004 20 0 DIADHN Cc1cc2c(cc1-c1cc(CN)ncn1)C(C)(C)CCC2(C)C ZINC001242059977 1057254526 /nfs/dbraw/zinc/25/45/26/1057254526.db2.gz WPFUHLFKROULMU-UHFFFAOYSA-N 0 3 309.457 4.260 20 0 DIADHN CC(C)P(CCN[C@@H](F)Oc1ccc(Cl)nc1)C(C)C ZINC001162359117 1057256103 /nfs/dbraw/zinc/25/61/03/1057256103.db2.gz XESXNGHKTKFJOH-CQSZACIVSA-N 0 3 320.776 4.255 20 0 DIADHN CC(C)Oc1ccc(-c2cc(CN(C)C)ccn2)c(Cl)c1 ZINC001242087244 1057259216 /nfs/dbraw/zinc/25/92/16/1057259216.db2.gz NDVICMJZNHILFZ-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN Cc1cc(N)ncc1-c1cccc2c1CN(Cc1ccccc1)C2 ZINC001242090206 1057260428 /nfs/dbraw/zinc/26/04/28/1057260428.db2.gz PVUDYCYTJCDCPP-UHFFFAOYSA-N 0 3 315.420 4.155 20 0 DIADHN Cc1c(N)ccnc1-c1ccc(OC(C)C)cc1C(F)(F)F ZINC001242101908 1057264211 /nfs/dbraw/zinc/26/42/11/1057264211.db2.gz KJRSCGUAQNBXAV-UHFFFAOYSA-N 0 3 310.319 4.445 20 0 DIADHN CCCc1ccc(-c2ccc(-c3cc(CN)ncn3)c(F)c2)cc1 ZINC001242120023 1057269207 /nfs/dbraw/zinc/26/92/07/1057269207.db2.gz RCSJAEVCQHDAMK-UHFFFAOYSA-N 0 3 321.399 4.361 20 0 DIADHN O=C1CCc2cc(-c3ccc(CN4CCCC4)c(F)c3)ccc21 ZINC001242158586 1057284372 /nfs/dbraw/zinc/28/43/72/1057284372.db2.gz GUJZUOKYJHGYNX-UHFFFAOYSA-N 0 3 309.384 4.217 20 0 DIADHN COc1ccc(-c2ccc3c(c2)CCC3=O)cc1CN1CCCC1 ZINC001242158776 1057284445 /nfs/dbraw/zinc/28/44/45/1057284445.db2.gz PXHBBYKVBRXKIE-UHFFFAOYSA-N 0 3 321.420 4.087 20 0 DIADHN C[N@@H+]1CCc2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2C1 ZINC001242168534 1057285552 /nfs/dbraw/zinc/28/55/52/1057285552.db2.gz PLULCUFUCXUMDR-UHFFFAOYSA-N 0 3 307.315 4.066 20 0 DIADHN C[N@H+]1CCc2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2C1 ZINC001242168534 1057285557 /nfs/dbraw/zinc/28/55/57/1057285557.db2.gz PLULCUFUCXUMDR-UHFFFAOYSA-N 0 3 307.315 4.066 20 0 DIADHN C[N@@H+]1CC[C@@H](c2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2)C1 ZINC001242168641 1057285972 /nfs/dbraw/zinc/28/59/72/1057285972.db2.gz SEHCUZXCCMLTDX-OAHLLOKOSA-N 0 3 321.342 4.497 20 0 DIADHN C[N@H+]1CC[C@@H](c2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2)C1 ZINC001242168641 1057285976 /nfs/dbraw/zinc/28/59/76/1057285976.db2.gz SEHCUZXCCMLTDX-OAHLLOKOSA-N 0 3 321.342 4.497 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC)c(O)c2)cc1F ZINC001242175858 1057287679 /nfs/dbraw/zinc/28/76/79/1057287679.db2.gz BSBHVZDJLOOPJL-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CC(C)Oc1ccc(-c2cc(N3CCCC3)ccn2)cc1F ZINC001242198999 1057290971 /nfs/dbraw/zinc/29/09/71/1057290971.db2.gz GBGZGXKEDCFZMK-UHFFFAOYSA-N 0 3 300.377 4.275 20 0 DIADHN Oc1cc(F)ccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001242219352 1057297607 /nfs/dbraw/zinc/29/76/07/1057297607.db2.gz FJZSENFZKAMLBL-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CCc1noc(C)c1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000675124147 1057316655 /nfs/dbraw/zinc/31/66/55/1057316655.db2.gz CIPKZSPCYRYVOP-UHFFFAOYSA-N 0 3 320.383 4.203 20 0 DIADHN Cc1nc([C@@H](C)NCCc2cn(C)c3ccccc23)c(C)s1 ZINC000658215136 1057323587 /nfs/dbraw/zinc/32/35/87/1057323587.db2.gz PCCCOASPBIGPSJ-GFCCVEGCSA-N 0 3 313.470 4.145 20 0 DIADHN CCCCC[C@H]1CCCN1Cn1ccc(-c2nccs2)n1 ZINC000766097762 1057325417 /nfs/dbraw/zinc/32/54/17/1057325417.db2.gz XLLDMVHOEPESTM-AWEZNQCLSA-N 0 3 304.463 4.009 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H]1CCc2ccc(O)cc21 ZINC000658235930 1057333115 /nfs/dbraw/zinc/33/31/15/1057333115.db2.gz GKNPQVBKLOQIFD-UXHICEINSA-N 0 3 323.436 4.400 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(C(F)(F)F)cc1 ZINC000675249891 1057333128 /nfs/dbraw/zinc/33/31/28/1057333128.db2.gz DJLITBIQYAMNTR-MRXNPFEDSA-N 0 3 323.362 4.084 20 0 DIADHN COc1cccc(CN(Cc2cnc3ccccn23)CC(C)C)c1 ZINC000675247537 1057333692 /nfs/dbraw/zinc/33/36/92/1057333692.db2.gz XPQAKCJQUQXOOO-UHFFFAOYSA-N 0 3 323.440 4.001 20 0 DIADHN COc1ccc2ccccc2c1-c1cnccc1/C=C/N(C)C ZINC001242334517 1057338923 /nfs/dbraw/zinc/33/89/23/1057338923.db2.gz SDDJHTXJDJNVTI-ACCUITESSA-N 0 3 304.393 4.443 20 0 DIADHN CN(C/C=C\c1ccccc1)Cc1ccccc1N1CCCC1 ZINC000675310066 1057340054 /nfs/dbraw/zinc/34/00/54/1057340054.db2.gz RWVJSWDMWJDBAU-XFXZXTDPSA-N 0 3 306.453 4.432 20 0 DIADHN [O-]c1c(F)cccc1-c1ccc(C[NH+]2CCCCC2)c(F)c1 ZINC001242353721 1057343309 /nfs/dbraw/zinc/34/33/09/1057343309.db2.gz OWSSKXBBIBRVJL-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN [O-]c1c(F)cccc1-c1ccc(SC2CC[NH2+]CC2)cc1 ZINC001242354370 1057343912 /nfs/dbraw/zinc/34/39/12/1057343912.db2.gz ZMGNPJXZQCFAJM-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN FC(F)(F)c1ccccc1-c1ccc([C@H]2CNCCO2)cc1 ZINC000041538801 1057352661 /nfs/dbraw/zinc/35/26/61/1057352661.db2.gz XHSXBTCWQBQAIS-MRXNPFEDSA-N 0 3 307.315 4.033 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccccc1 ZINC000658288426 1057355006 /nfs/dbraw/zinc/35/50/06/1057355006.db2.gz OYQJJDYKXJJEQX-AABGKKOBSA-N 0 3 313.416 4.161 20 0 DIADHN CC(C)[C@@H](O)[C@H](N[C@@H](C)c1cccc(F)c1)c1ccccc1 ZINC000658288314 1057355461 /nfs/dbraw/zinc/35/54/61/1057355461.db2.gz NXMYWHUKGZNYON-GDIGMMSISA-N 0 3 301.405 4.235 20 0 DIADHN COCc1ccc(CNC2(c3cccc(Cl)c3)CC2)cc1 ZINC000174424385 1057361544 /nfs/dbraw/zinc/36/15/44/1057361544.db2.gz KDTXMPLQGDRJKB-UHFFFAOYSA-N 0 3 301.817 4.265 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1csc(-c2ccc(F)cc2)n1 ZINC000174552118 1057365183 /nfs/dbraw/zinc/36/51/83/1057365183.db2.gz XZSRMPMDXWUUAA-LBPRGKRZSA-N 0 3 322.449 4.242 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)N(C(C)C)C2CCCC2)c1C ZINC001168757418 1057368256 /nfs/dbraw/zinc/36/82/56/1057368256.db2.gz DPLPWPHMSOUCLZ-KRWDZBQOSA-N 0 3 316.489 4.134 20 0 DIADHN COc1ccc(-c2ccc(OC(F)F)cc2)cc1CN(C)C ZINC001242441725 1057368673 /nfs/dbraw/zinc/36/86/73/1057368673.db2.gz KXOCAJOAJCIQEJ-UHFFFAOYSA-N 0 3 307.340 4.025 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1cccs1)c1ccc2c(c1)CCC2 ZINC000766136462 1057373715 /nfs/dbraw/zinc/37/37/15/1057373715.db2.gz OZODIUBHOTXMCZ-DYVFJYSZSA-N 0 3 301.455 4.011 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2c1cccc2Cl)c1cccs1 ZINC000766138387 1057375510 /nfs/dbraw/zinc/37/55/10/1057375510.db2.gz XUEOXJFYOMNNRY-CABCVRRESA-N 0 3 307.846 4.102 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccc1)c1cccnc1)C1CCCCC1 ZINC000658330205 1057375846 /nfs/dbraw/zinc/37/58/46/1057375846.db2.gz QWXNHUTXALSPQI-LEWJYISDSA-N 0 3 324.468 4.356 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cccc4c3OCCO4)cc21 ZINC001242471673 1057376159 /nfs/dbraw/zinc/37/61/59/1057376159.db2.gz NRUKDCMYHYYWGN-UHFFFAOYSA-N 0 3 307.393 4.126 20 0 DIADHN NCc1cc(-c2cccc(-c3ccc4ccccc4c3)c2)ncn1 ZINC001242475950 1057377199 /nfs/dbraw/zinc/37/71/99/1057377199.db2.gz DERDOJAKFOPOCS-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1c1ccccc1Cl)c1nccn1C ZINC000658335544 1057378058 /nfs/dbraw/zinc/37/80/58/1057378058.db2.gz BIPKMMWFOYFODS-NOLJZWGESA-N 0 3 303.837 4.060 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(Cl)cnc2OC)c1F ZINC001242509339 1057388510 /nfs/dbraw/zinc/38/85/10/1057388510.db2.gz DZKVYDNAIRDMSQ-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN Fc1ccc(-c2ccc3ncncc3c2)cc1CN1CCCCC1 ZINC001242512810 1057389581 /nfs/dbraw/zinc/38/95/81/1057389581.db2.gz OWAURTUTNMQSIJ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1csc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)n1 ZINC000675642937 1057391193 /nfs/dbraw/zinc/39/11/93/1057391193.db2.gz GLKIJEOOCUMJBI-OAHLLOKOSA-N 0 3 311.454 4.312 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cccc(O)c1 ZINC000675712387 1057401302 /nfs/dbraw/zinc/40/13/02/1057401302.db2.gz UFRVBQPVUSDNGG-LJQANCHMSA-N 0 3 306.409 4.349 20 0 DIADHN Cc1ccc([C@H](C)NCCOc2ccccc2Br)o1 ZINC000675777440 1057412543 /nfs/dbraw/zinc/41/25/43/1057412543.db2.gz GEYVUPMZWOSUIS-LBPRGKRZSA-N 0 3 324.218 4.080 20 0 DIADHN CCC[C@@H](C)N[C@H](C)c1nnn(-c2cccc(Cl)c2)c1C ZINC000675723129 1057404033 /nfs/dbraw/zinc/40/40/33/1057404033.db2.gz XHSQJDSGHHIAIJ-VXGBXAGGSA-N 0 3 306.841 4.068 20 0 DIADHN CCC[C@H](C)N[C@H](C)c1nnn(-c2ccc(Cl)cc2)c1C ZINC000675721973 1057404393 /nfs/dbraw/zinc/40/43/93/1057404393.db2.gz BIODKMBDUKRVHB-NWDGAFQWSA-N 0 3 306.841 4.068 20 0 DIADHN CCC[C@H](C)N[C@@H](C)c1nnn(-c2ccc(Cl)cc2)c1C ZINC000675721982 1057404483 /nfs/dbraw/zinc/40/44/83/1057404483.db2.gz BIODKMBDUKRVHB-RYUDHWBXSA-N 0 3 306.841 4.068 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1cccs1 ZINC000675726660 1057405397 /nfs/dbraw/zinc/40/53/97/1057405397.db2.gz BERMSEABFUZRTQ-RYUDHWBXSA-N 0 3 300.427 4.045 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1cc(C)ccc1C ZINC000675726249 1057405481 /nfs/dbraw/zinc/40/54/81/1057405481.db2.gz MEKCGHJZPQBOIA-CRAIPNDOSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ccc(-c2ccc3c(c2)COC32CNC2)c(C(F)(F)F)c1 ZINC001242561283 1057405817 /nfs/dbraw/zinc/40/58/17/1057405817.db2.gz IJBIROSFRFQWBS-UHFFFAOYSA-N 0 3 319.326 4.010 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)ccc1F ZINC000675728903 1057406219 /nfs/dbraw/zinc/40/62/19/1057406219.db2.gz HBHPQHPOPHQQMG-KBPBESRZSA-N 0 3 315.388 4.317 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1ccc2c(c1)CCC(=O)N2 ZINC000675751490 1057406846 /nfs/dbraw/zinc/40/68/46/1057406846.db2.gz UHQPYEPKCVYTDP-NEPJUHHUSA-N 0 3 300.427 4.045 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000675751491 1057406953 /nfs/dbraw/zinc/40/69/53/1057406953.db2.gz UHQPYEPKCVYTDP-NWDGAFQWSA-N 0 3 300.427 4.045 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc(C)cc1C ZINC000675761706 1057407747 /nfs/dbraw/zinc/40/77/47/1057407747.db2.gz IXCPZJOKMUSZCC-CRAIPNDOSA-N 0 3 311.425 4.486 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc(C)cc1C ZINC000675761710 1057407870 /nfs/dbraw/zinc/40/78/70/1057407870.db2.gz IXCPZJOKMUSZCC-MAUKXSAKSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@H](CC1CCC1)NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000675765704 1057410344 /nfs/dbraw/zinc/41/03/44/1057410344.db2.gz NFGBHEAKIDGRLB-OAHLLOKOSA-N 0 3 309.457 4.029 20 0 DIADHN COc1cc(F)c(F)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001242580038 1057413010 /nfs/dbraw/zinc/41/30/10/1057413010.db2.gz KIWSCXAJWIHYCR-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CSCc3ccccc31)CCC2 ZINC000675782758 1057414132 /nfs/dbraw/zinc/41/41/32/1057414132.db2.gz LTHRLEBVWUQERI-HOTGVXAUSA-N 0 3 316.495 4.407 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CSCc3ccccc31)CC2 ZINC000675783056 1057414182 /nfs/dbraw/zinc/41/41/82/1057414182.db2.gz SFNJCCIWNQKMJB-RBUKOAKNSA-N 0 3 311.450 4.260 20 0 DIADHN C[C@@H](N[C@H]1CSCc2ccccc21)c1ccc2c(c1)COC2 ZINC000675783457 1057414539 /nfs/dbraw/zinc/41/45/39/1057414539.db2.gz ZWRUVVLBGAIIKD-YJYMSZOUSA-N 0 3 311.450 4.356 20 0 DIADHN Oc1ccc(CN[C@H]2CC3(CCCC3)Oc3ccccc32)cc1 ZINC000675802484 1057416054 /nfs/dbraw/zinc/41/60/54/1057416054.db2.gz QCTZWHDEIFTPKY-SFHVURJKSA-N 0 3 309.409 4.318 20 0 DIADHN COc1ccccc1OCCCN[C@@H](C)c1ccc(F)cc1F ZINC000675813074 1057418502 /nfs/dbraw/zinc/41/85/02/1057418502.db2.gz DQWNYEDPSHDHES-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(-n2ccnc2)cc1)c1ccccn1 ZINC000675817571 1057418777 /nfs/dbraw/zinc/41/87/77/1057418777.db2.gz VEMFXKUTNMJBKT-UZLBHIALSA-N 0 3 320.440 4.459 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1cc(C)cc(C)c1 ZINC000675830980 1057420722 /nfs/dbraw/zinc/42/07/22/1057420722.db2.gz MVVGTJGBEGKLON-CRAIPNDOSA-N 0 3 311.425 4.486 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000675843150 1057423389 /nfs/dbraw/zinc/42/33/89/1057423389.db2.gz VXVKLJCXUXNPFR-LJQANCHMSA-N 0 3 324.399 4.483 20 0 DIADHN Cc1cc(C)cc(OCCN2CCC[C@@H]2c2cccc(C)n2)c1 ZINC000675897777 1057428099 /nfs/dbraw/zinc/42/80/99/1057428099.db2.gz BXKAXINHABCEKL-HXUWFJFHSA-N 0 3 310.441 4.223 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](Cn2cccn2)c2ccccc2)s1 ZINC000675899948 1057428161 /nfs/dbraw/zinc/42/81/61/1057428161.db2.gz ONJZVAKVARPZBZ-RDJZCZTQSA-N 0 3 311.454 4.345 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](Cn1cccn1)c1ccccc1 ZINC000675899215 1057428318 /nfs/dbraw/zinc/42/83/18/1057428318.db2.gz DEOJNLHZDYBKPR-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN Fc1ccc(-c2cccnc2OC(F)F)cc1CN1CCCC1 ZINC001242632296 1057429551 /nfs/dbraw/zinc/42/95/51/1057429551.db2.gz OXEYZEGQKVYWJU-UHFFFAOYSA-N 0 3 322.330 4.085 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1ccc2c(c1)CCC2 ZINC000675903028 1057433978 /nfs/dbraw/zinc/43/39/78/1057433978.db2.gz SXNSOQALWVXHMU-JLTOFOAXSA-N 0 3 323.436 4.358 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(CO)ccc2C)cc1F ZINC001242670268 1057440831 /nfs/dbraw/zinc/44/08/31/1057440831.db2.gz OVNQDKYPKMEQJB-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1csc(-c2cnn(C)c2)n1 ZINC000676041268 1057446202 /nfs/dbraw/zinc/44/62/02/1057446202.db2.gz CFTYXPJALDYYFI-INIZCTEOSA-N 0 3 318.490 4.088 20 0 DIADHN Nc1ccnc2cc(-c3ccc(OCC(F)(F)F)cc3)ccc12 ZINC001242720455 1057459205 /nfs/dbraw/zinc/45/92/05/1057459205.db2.gz NZWQSAITRYJZTD-UHFFFAOYSA-N 0 3 318.298 4.425 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(-c3ccc(F)cc3)o2)C1 ZINC000676268387 1057459930 /nfs/dbraw/zinc/45/99/30/1057459930.db2.gz RUDJNAZGHRMBBZ-MRXNPFEDSA-N 0 3 303.377 4.087 20 0 DIADHN CCN(CC)Cc1cc(-c2ccnc(OC3CC3)c2)ccc1F ZINC001242725406 1057461016 /nfs/dbraw/zinc/46/10/16/1057461016.db2.gz HBSQNCFIFRWCAY-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(C)nc(OC)c2)c1F ZINC001242749548 1057466163 /nfs/dbraw/zinc/46/61/63/1057466163.db2.gz GUHCRWZGACXFBN-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc2[nH]cnc2c1 ZINC000676413218 1057470377 /nfs/dbraw/zinc/47/03/77/1057470377.db2.gz QROAQJQZVLTMAP-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Clc1cscc1CNC[C@H](c1ccco1)N1CCCCC1 ZINC000676413882 1057470427 /nfs/dbraw/zinc/47/04/27/1057470427.db2.gz KGDNSUVCIGCXNE-OAHLLOKOSA-N 0 3 324.877 4.311 20 0 DIADHN CC(C)C[C@@H](NCc1cnnn1-c1ccccc1)c1ccccc1 ZINC000676404425 1057470809 /nfs/dbraw/zinc/47/08/09/1057470809.db2.gz YWMVOONWNLWBNK-HXUWFJFHSA-N 0 3 320.440 4.144 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccccc2OC2CCCC2)C1 ZINC000676414268 1057470975 /nfs/dbraw/zinc/47/09/75/1057470975.db2.gz RIFBRGRKNBTUFD-GOSISDBHSA-N 0 3 303.446 4.009 20 0 DIADHN CCO[C@H]1CCCN(Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000676414047 1057471135 /nfs/dbraw/zinc/47/11/35/1057471135.db2.gz NIXPEFAQFMLSSA-INIZCTEOSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H](c2ccc(C)cc2)N(C)C)c(C)s1 ZINC000676490774 1057476050 /nfs/dbraw/zinc/47/60/50/1057476050.db2.gz TWDSPFFWSVLPMA-DYVFJYSZSA-N 0 3 317.502 4.022 20 0 DIADHN Cc1nc([C@H](C)NC[C@H](c2ccc(C)cc2)N(C)C)c(C)s1 ZINC000676490776 1057476290 /nfs/dbraw/zinc/47/62/90/1057476290.db2.gz TWDSPFFWSVLPMA-SUMWQHHRSA-N 0 3 317.502 4.022 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3c2cccc3C)c(C)s1 ZINC000676492315 1057476540 /nfs/dbraw/zinc/47/65/40/1057476540.db2.gz MFCAXTGDKPVDHN-LBPRGKRZSA-N 0 3 313.470 4.443 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2nc(C)sc2C)CC1 ZINC000676491177 1057476677 /nfs/dbraw/zinc/47/66/77/1057476677.db2.gz YDHOSESADPOYTH-GFCCVEGCSA-N 0 3 316.470 4.151 20 0 DIADHN CC(C)(C)OCCCNCc1ccc(CSC(F)F)o1 ZINC000710111912 1057502530 /nfs/dbraw/zinc/50/25/30/1057502530.db2.gz CUPBVLQPUGKUIY-UHFFFAOYSA-N 0 3 307.406 4.030 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@@H]3C3CC3)co2)cc1 ZINC000676557718 1057483593 /nfs/dbraw/zinc/48/35/93/1057483593.db2.gz QUPQSFNNLXZVNI-GOSISDBHSA-N 0 3 312.413 4.115 20 0 DIADHN COc1c(F)cc(F)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001242811441 1057487110 /nfs/dbraw/zinc/48/71/10/1057487110.db2.gz VMWKSMNOVPTXGE-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000218861891 1057502570 /nfs/dbraw/zinc/50/25/70/1057502570.db2.gz ANMJKYKOUNTXBS-HUUCEWRRSA-N 0 3 312.457 4.184 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000218861334 1057502750 /nfs/dbraw/zinc/50/27/50/1057502750.db2.gz AIJHFDDTIYVUJU-CABCVRRESA-N 0 3 312.457 4.184 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)C1CCCCCC1 ZINC000029166210 1057509856 /nfs/dbraw/zinc/50/98/56/1057509856.db2.gz CIWFLZNSHMPTNO-UHFFFAOYSA-N 0 3 316.489 4.405 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000625773336 1057514030 /nfs/dbraw/zinc/51/40/30/1057514030.db2.gz RCMBAGAYYOQRHC-KGLIPLIRSA-N 0 3 301.377 4.175 20 0 DIADHN CC1(C)C[C@H](CNCc2coc(-c3ccccc3)n2)C(C)(C)O1 ZINC000922952303 1057515830 /nfs/dbraw/zinc/51/58/30/1057515830.db2.gz VXLVUERNVOXOHR-OAHLLOKOSA-N 0 3 314.429 4.025 20 0 DIADHN COCC[C@@H](N[C@@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000625786329 1057517492 /nfs/dbraw/zinc/51/74/92/1057517492.db2.gz HGOSRDMQWMLZEE-UYAOXDASSA-N 0 3 310.441 4.290 20 0 DIADHN CCc1ccc([C@@H](CC(C)C)NCc2cccc(C(N)=O)c2)cc1 ZINC000671658498 1057520116 /nfs/dbraw/zinc/52/01/16/1057520116.db2.gz RTCKNVWMIXLMDU-HXUWFJFHSA-N 0 3 324.468 4.225 20 0 DIADHN C[C@@]1(NCc2cc3ccncc3s2)CCOc2ccccc21 ZINC000923023421 1057521476 /nfs/dbraw/zinc/52/14/76/1057521476.db2.gz ABOQZSPCRYIFCP-GOSISDBHSA-N 0 3 310.422 4.084 20 0 DIADHN COc1ncc(-c2ccc(F)c(CN3CCCC3)c2)cc1Cl ZINC001242933761 1057522235 /nfs/dbraw/zinc/52/22/35/1057522235.db2.gz DPEYJXGNOPGEBE-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN C[C@]1(NCc2ccc3ncccc3c2)CCOc2ccccc21 ZINC000923025775 1057522460 /nfs/dbraw/zinc/52/24/60/1057522460.db2.gz PHXKUVCODNEPKG-FQEVSTJZSA-N 0 3 304.393 4.022 20 0 DIADHN CCOc1ccc(F)c(-c2cnccc2CN2CCCCC2)c1 ZINC001242938283 1057523890 /nfs/dbraw/zinc/52/38/90/1057523890.db2.gz GQLBZLQPQUWRKC-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CCCC4(CC4)CC3)o2)cc1 ZINC000626113435 1057526207 /nfs/dbraw/zinc/52/62/07/1057526207.db2.gz SBALRXUNIOGBOL-OAHLLOKOSA-N 0 3 311.429 4.372 20 0 DIADHN COCc1cncc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001242957712 1057529548 /nfs/dbraw/zinc/52/95/48/1057529548.db2.gz WPBDBPSKAWGSBX-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(C)cc3OC)c(C)c2)CC1 ZINC001242978616 1057533164 /nfs/dbraw/zinc/53/31/64/1057533164.db2.gz WEDLWEQKHFJBND-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N(Cc1ccnc(N)c1)C1CC1 ZINC000411233439 1057553706 /nfs/dbraw/zinc/55/37/06/1057553706.db2.gz CGXTXPUZUXYFPL-HNNXBMFYSA-N 0 3 317.436 4.543 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CC[C@H](CC(C)C)C1 ZINC000466744239 1057555975 /nfs/dbraw/zinc/55/59/75/1057555975.db2.gz SVHZMAFCWXSGQV-HUUCEWRRSA-N 0 3 322.880 4.343 20 0 DIADHN CC[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cccc(C)c1 ZINC000671757827 1057558308 /nfs/dbraw/zinc/55/83/08/1057558308.db2.gz CSMLFMYRCWDOTO-QGZVFWFLSA-N 0 3 309.409 4.454 20 0 DIADHN Brc1ccncc1-c1ccccc1CN1CCCC1 ZINC001243061035 1057561047 /nfs/dbraw/zinc/56/10/47/1057561047.db2.gz JJKWNALMOQKWFI-UHFFFAOYSA-N 0 3 317.230 4.107 20 0 DIADHN COc1ccc([C@@H](NCc2scnc2C2CC2)C2CC2)cc1 ZINC000486979938 1057570723 /nfs/dbraw/zinc/57/07/23/1057570723.db2.gz KPWRGAIPVVLZBI-KRWDZBQOSA-N 0 3 314.454 4.270 20 0 DIADHN CO[C@@H](CNCc1scnc1C1CC1)c1ccc(Cl)cc1 ZINC000487274795 1057571895 /nfs/dbraw/zinc/57/18/95/1057571895.db2.gz GZQVMTFRJLDVGX-AWEZNQCLSA-N 0 3 322.861 4.151 20 0 DIADHN CCCNc1ccc(CNCc2scnc2C2CC2)cc1 ZINC000487179462 1057572033 /nfs/dbraw/zinc/57/20/33/1057572033.db2.gz FGVDFDPGUWTESJ-UHFFFAOYSA-N 0 3 301.459 4.132 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1scnc1C1CC1 ZINC000487545381 1057573972 /nfs/dbraw/zinc/57/39/72/1057573972.db2.gz YADVMZXIVNWEKY-DIFFPNOSSA-N 0 3 320.433 4.025 20 0 DIADHN COc1ccc(-c2c(F)cccc2SC)cc1CN(C)C ZINC001243118575 1057577899 /nfs/dbraw/zinc/57/78/99/1057577899.db2.gz IDQPXOKMRHCTBA-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC000611319559 1057590538 /nfs/dbraw/zinc/59/05/38/1057590538.db2.gz CATHIJPOCWEOJQ-DZGCQCFKSA-N 0 3 323.864 4.312 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC000611321574 1057590804 /nfs/dbraw/zinc/59/08/04/1057590804.db2.gz PYEZGSBOPRAKSS-DZGCQCFKSA-N 0 3 323.864 4.312 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](CC2CC2)c2ccccc2)s1 ZINC000611395903 1057596996 /nfs/dbraw/zinc/59/69/96/1057596996.db2.gz YDOZZLJZHLTILZ-INIZCTEOSA-N 0 3 315.438 4.166 20 0 DIADHN COCOc1ccccc1-c1cccc(CN2CCCC2)c1F ZINC001243189545 1057597786 /nfs/dbraw/zinc/59/77/86/1057597786.db2.gz WPEFHTINKADMMG-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN Cc1cccc(CNCc2cccc(C(=O)OC(C)(C)C)c2)c1 ZINC000611443418 1057599803 /nfs/dbraw/zinc/59/98/03/1057599803.db2.gz OUQCVIXVYGBKDO-UHFFFAOYSA-N 0 3 311.425 4.240 20 0 DIADHN Cc1ccc(CNCc2cccc(C(=O)OC(C)(C)C)c2)cc1 ZINC000611442966 1057599960 /nfs/dbraw/zinc/59/99/60/1057599960.db2.gz BGKRVOXJLBVQNG-UHFFFAOYSA-N 0 3 311.425 4.240 20 0 DIADHN CCCOc1ccc(-c2cnccc2/C=C/N(C)C)cc1F ZINC001243219663 1057609894 /nfs/dbraw/zinc/60/98/94/1057609894.db2.gz BEBIPDZMLJAUGQ-CSKARUKUSA-N 0 3 300.377 4.209 20 0 DIADHN COc1c(F)cc(-c2cncc(CN3CCCCC3)c2)cc1F ZINC001243224595 1057611990 /nfs/dbraw/zinc/61/19/90/1057611990.db2.gz DGWYLKXYBPBZSD-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000162213372 1057612109 /nfs/dbraw/zinc/61/21/09/1057612109.db2.gz GIXKMICMNZDZNQ-WMZOPIPTSA-N 0 3 315.436 4.314 20 0 DIADHN COc1c(F)cc(-c2ccc(F)c(CN3CCCC3)c2)cc1F ZINC001243227465 1057613745 /nfs/dbraw/zinc/61/37/45/1057613745.db2.gz ODEAYYKGWHBUFB-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCC1(CC)[C@H](N(C)Cc2scnc2C2CC2)C[C@@H]1OC ZINC000494987176 1057619323 /nfs/dbraw/zinc/61/93/23/1057619323.db2.gz SNHBRNBEFCUPHH-CABCVRRESA-N 0 3 308.491 4.046 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1N(C)Cc1scnc1C1CC1 ZINC000494987178 1057619725 /nfs/dbraw/zinc/61/97/25/1057619725.db2.gz SNHBRNBEFCUPHH-HUUCEWRRSA-N 0 3 308.491 4.046 20 0 DIADHN CC[C@H](NCc1cc2c(c(Cl)c1)OCO2)c1ccccc1 ZINC000051626753 1057623310 /nfs/dbraw/zinc/62/33/10/1057623310.db2.gz KQKVBSXZYXWOIY-HNNXBMFYSA-N 0 3 303.789 4.310 20 0 DIADHN CN(C)C(=O)c1cccc(CN2CCCC[C@@H]2c2ccccc2)c1 ZINC000273878054 1057624911 /nfs/dbraw/zinc/62/49/11/1057624911.db2.gz RWIPBQGCAWREFJ-HXUWFJFHSA-N 0 3 322.452 4.116 20 0 DIADHN CN(Cc1nc(-c2ccc(F)cc2)no1)C1CCCCCC1 ZINC000053847206 1057637588 /nfs/dbraw/zinc/63/75/88/1057637588.db2.gz VAEJZJOYPLZTQT-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccnc(OC)c2Cl)cc1F ZINC001243318487 1057644094 /nfs/dbraw/zinc/64/40/94/1057644094.db2.gz BYNWFHKYNXLAFB-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN CCc1ccc(CN[C@H]2CCc3cc(OC)c(OC)cc32)s1 ZINC000279933009 1057649309 /nfs/dbraw/zinc/64/93/09/1057649309.db2.gz AUVCNBQJEMKPTP-INIZCTEOSA-N 0 3 317.454 4.105 20 0 DIADHN CC[NH+](CC)Cc1ccccc1-c1ccc2c(c1)[N-]C(=S)C2 ZINC001243337738 1057651278 /nfs/dbraw/zinc/65/12/78/1057651278.db2.gz BBURHIQNJWAHFM-UHFFFAOYSA-N 0 3 310.466 4.491 20 0 DIADHN COc1ccc2cc(CNCc3n[nH]c4ccccc34)ccc2c1 ZINC000727465949 1057654909 /nfs/dbraw/zinc/65/49/09/1057654909.db2.gz UOOUVRGVIFTYLX-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN COc1ccc2cc(CNCc3[nH]nc4ccccc43)ccc2c1 ZINC000727465949 1057654914 /nfs/dbraw/zinc/65/49/14/1057654914.db2.gz UOOUVRGVIFTYLX-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN Cn1c(-c2cncc(CN3CCCCC3)c2)cc2ccccc21 ZINC001243354224 1057656184 /nfs/dbraw/zinc/65/61/84/1057656184.db2.gz NSDAHXYERDVEPU-UHFFFAOYSA-N 0 3 305.425 4.226 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@H](c4ccccc4)CC3)nc12 ZINC000727587123 1057658408 /nfs/dbraw/zinc/65/84/08/1057658408.db2.gz YAQKFBLVFYUBHI-IBGZPJMESA-N 0 3 319.452 4.412 20 0 DIADHN CC(=O)Oc1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc1 ZINC001243364371 1057659859 /nfs/dbraw/zinc/65/98/59/1057659859.db2.gz LVLWIAQAKBRCBN-UHFFFAOYSA-N 0 3 307.393 4.280 20 0 DIADHN CCC[C@@H](NCc1ccnn1C)c1cccc(C(F)(F)F)c1 ZINC000727644402 1057662518 /nfs/dbraw/zinc/66/25/18/1057662518.db2.gz JAQOOGWCUWVFDU-OAHLLOKOSA-N 0 3 311.351 4.070 20 0 DIADHN Cc1nc(-c2cccc(OCC(F)(F)F)c2)cc2[nH]ccc21 ZINC001243389824 1057668087 /nfs/dbraw/zinc/66/80/87/1057668087.db2.gz MNIKQBDSPFFKFS-UHFFFAOYSA-N 0 3 306.287 4.479 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCOC2CCCCCC2)n1 ZINC000532538066 1057673245 /nfs/dbraw/zinc/67/32/45/1057673245.db2.gz VMNVNQVBHOSNIH-IBGZPJMESA-N 0 3 302.462 4.266 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2ccc3ccccc3c2)C1 ZINC000535266769 1057674024 /nfs/dbraw/zinc/67/40/24/1057674024.db2.gz YIJCVKGLCWBPOK-NRFANRHFSA-N 0 3 302.421 4.116 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H](CC2CC2)c2ccccc2)C1 ZINC000536845536 1057674997 /nfs/dbraw/zinc/67/49/97/1057674997.db2.gz MVGJSABODHBHNB-LEWJYISDSA-N 0 3 306.453 4.304 20 0 DIADHN COc1ccc(-c2ccnc(Cl)c2Cl)cc1CN(C)C ZINC001243417234 1057677291 /nfs/dbraw/zinc/67/72/91/1057677291.db2.gz HCUFRLRRJNXFHD-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(CNc3ccccc3)cc2)n1 ZINC001243438948 1057684503 /nfs/dbraw/zinc/68/45/03/1057684503.db2.gz HFWLYQPDDMPDAN-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN C[C@@H](NCC[C@H](C)c1cccc(C(F)(F)F)c1)c1ncc[nH]1 ZINC000286009612 1057687491 /nfs/dbraw/zinc/68/74/91/1057687491.db2.gz JCOHRAWNLMLXSV-NWDGAFQWSA-N 0 3 311.351 4.273 20 0 DIADHN C[C@H](CCN[C@@H](C)c1ncc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000286009610 1057687580 /nfs/dbraw/zinc/68/75/80/1057687580.db2.gz JCOHRAWNLMLXSV-NEPJUHHUSA-N 0 3 311.351 4.273 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ncc[nH]1 ZINC000287342402 1057688424 /nfs/dbraw/zinc/68/84/24/1057688424.db2.gz GKNUKRRBNUOCCK-MPKXVKKWSA-N 0 3 309.335 4.025 20 0 DIADHN COc1c(F)ccc(-c2ccc(F)c(CN3CCCC3)c2)c1F ZINC001243510648 1057699942 /nfs/dbraw/zinc/69/99/42/1057699942.db2.gz YVWKPNHGADLLDA-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730020602 1057700897 /nfs/dbraw/zinc/70/08/97/1057700897.db2.gz CGDRZUYHNQJWCM-UONOGXRCSA-N 0 3 318.486 4.247 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730022112 1057701058 /nfs/dbraw/zinc/70/10/58/1057701058.db2.gz MFUMZJHJPBXQTE-QWHCGFSZSA-N 0 3 318.486 4.245 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730023072 1057701120 /nfs/dbraw/zinc/70/11/20/1057701120.db2.gz RQUKDRNDIYOQMB-IHRRRGAJSA-N 0 3 318.486 4.245 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc2c(c1)CC(C)(C)O2 ZINC000730108730 1057703343 /nfs/dbraw/zinc/70/33/43/1057703343.db2.gz LOMPSVQLPMKHFB-UHFFFAOYSA-N 0 3 324.468 4.146 20 0 DIADHN CCOc1cc(-c2cc(N3CCCCC3)ccn2)ccc1OC ZINC001243537363 1057706904 /nfs/dbraw/zinc/70/69/04/1057706904.db2.gz AOKYCYHUHBTUEG-UHFFFAOYSA-N 0 3 312.413 4.146 20 0 DIADHN Cc1ccccc1C1CCN(C[C@H](O)c2cccc(F)c2)CC1 ZINC000730260403 1057709454 /nfs/dbraw/zinc/70/94/54/1057709454.db2.gz GUKLMPCKQHJQOJ-FQEVSTJZSA-N 0 3 313.416 4.047 20 0 DIADHN CCN1CC=C(c2cnc(-c3c(C)ccnc3F)c(C)c2)CC1 ZINC001243550272 1057711211 /nfs/dbraw/zinc/71/12/11/1057711211.db2.gz CLBRBMXWJQRZQP-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnn(C(C)(C)C)c2)c1F ZINC001243565093 1057715177 /nfs/dbraw/zinc/71/51/77/1057715177.db2.gz CAFMCQOPJQBKES-UHFFFAOYSA-N 0 3 303.425 4.286 20 0 DIADHN CC(C)(C)n1cc(-c2cccc(CN3CCCC3)c2F)cn1 ZINC001243565918 1057715859 /nfs/dbraw/zinc/71/58/59/1057715859.db2.gz HONXGLFPIWFHBI-UHFFFAOYSA-N 0 3 301.409 4.040 20 0 DIADHN CC(C)(C)n1cc(-c2ccc(F)c(CN3CCCC3)c2)cn1 ZINC001243567022 1057716499 /nfs/dbraw/zinc/71/64/99/1057716499.db2.gz XQDUTRFCZCDDRS-UHFFFAOYSA-N 0 3 301.409 4.040 20 0 DIADHN CC(C)Oc1c(F)cc(F)c(-c2ccc3[nH]c(N)nc3c2)c1F ZINC001243621168 1057736031 /nfs/dbraw/zinc/73/60/31/1057736031.db2.gz YRIPOKDNPITNQJ-UHFFFAOYSA-N 0 3 321.302 4.017 20 0 DIADHN CCCC[C@H](CC)CCC(=O)N1CC2(C1)CN(C1CCCC1)C2 ZINC001273238483 1057738749 /nfs/dbraw/zinc/73/87/49/1057738749.db2.gz FAWXVNVMEFUMNW-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000175751866 1057740275 /nfs/dbraw/zinc/74/02/75/1057740275.db2.gz NMQCFKRLMZPLHC-NSHDSACASA-N 0 3 310.319 4.139 20 0 DIADHN Cc1nc2cc(-c3cccc(N4CCN(C)CC4)c3)ccc2s1 ZINC001243671172 1057749888 /nfs/dbraw/zinc/74/98/88/1057749888.db2.gz WVEYHIZZPKRGKX-UHFFFAOYSA-N 0 3 323.465 4.024 20 0 DIADHN CC1(C)CCc2cc(CNCc3ccc(F)c(F)c3)ccc2O1 ZINC000731677428 1057751628 /nfs/dbraw/zinc/75/16/28/1057751628.db2.gz PZGSJQFQCBLZSV-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN Clc1ccc(CN2CCC[C@H]2CCc2ccccc2)cn1 ZINC000731752013 1057752974 /nfs/dbraw/zinc/75/29/74/1057752974.db2.gz LBXUSIFCSSYKRH-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN CN(C/C=C\Cl)CCCCCc1cc(-c2ccccc2)n[nH]1 ZINC000731751049 1057753137 /nfs/dbraw/zinc/75/31/37/1057753137.db2.gz FVWPGPUHZQGNGK-WQLSENKSSA-N 0 3 317.864 4.474 20 0 DIADHN Clc1ccc(CN2CCC[C@@H]2CCc2ccccc2)cn1 ZINC000731752014 1057753376 /nfs/dbraw/zinc/75/33/76/1057753376.db2.gz LBXUSIFCSSYKRH-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1CCc1cccs1 ZINC000731890042 1057757247 /nfs/dbraw/zinc/75/72/47/1057757247.db2.gz ODVBAFVHFZXJJP-VKJFTORMSA-N 0 3 301.455 4.141 20 0 DIADHN COc1ccc(-c2cc(N3CCCC3)ccn2)cc1SC ZINC001243742004 1057767588 /nfs/dbraw/zinc/76/75/88/1057767588.db2.gz ZGAVVVMANCIHTO-UHFFFAOYSA-N 0 3 300.427 4.079 20 0 DIADHN O[C@H](CN1CCCC2(CCCCC2)C1)c1cc(F)ccc1F ZINC000732214339 1057770805 /nfs/dbraw/zinc/77/08/05/1057770805.db2.gz FEVMHGRCLXTECM-QGZVFWFLSA-N 0 3 309.400 4.045 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@@H](c2ccco2)C1 ZINC000732205496 1057770851 /nfs/dbraw/zinc/77/08/51/1057770851.db2.gz ILGWCQUKTPVWHM-CQSZACIVSA-N 0 3 312.800 4.471 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H](C)c1ccc(C)cc1 ZINC000732269874 1057772470 /nfs/dbraw/zinc/77/24/70/1057772470.db2.gz WPCSPTSUDAFIAR-KRWDZBQOSA-N 0 3 304.478 4.027 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(CO)c(Cl)c2)cc1F ZINC001243823009 1057788083 /nfs/dbraw/zinc/78/80/83/1057788083.db2.gz ZCOAHABBGLDHBI-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)c3ccccc3C)o2)cc1 ZINC000732822400 1057788472 /nfs/dbraw/zinc/78/84/72/1057788472.db2.gz MSKOSDSQNPCLRW-HXUWFJFHSA-N 0 3 321.420 4.387 20 0 DIADHN COCOc1cccc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001243828400 1057789633 /nfs/dbraw/zinc/78/96/33/1057789633.db2.gz KUZNKVRXMOCGGG-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN C[C@@H]1CCN(Cn2ccc(-c3cc(Cl)ccc3Cl)n2)C1 ZINC000732978736 1057794649 /nfs/dbraw/zinc/79/46/49/1057794649.db2.gz PIUYOHGBTDFGMV-LLVKDONJSA-N 0 3 310.228 4.156 20 0 DIADHN CCN(CC)c1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1 ZINC001243880379 1057797649 /nfs/dbraw/zinc/79/76/49/1057797649.db2.gz XGEBEASCZPZTEX-UHFFFAOYSA-N 0 3 309.457 4.189 20 0 DIADHN Cc1cc(F)cc(C)c1-c1cccc2cc([C@H]3CNCCO3)cn21 ZINC001243924641 1057809630 /nfs/dbraw/zinc/80/96/30/1057809630.db2.gz DPBLOFUFOIWCCJ-LJQANCHMSA-N 0 3 324.399 4.023 20 0 DIADHN CO[C@H](CN[C@@H](Cc1ccccc1)c1ccccc1)C(F)(F)F ZINC000733323493 1057811981 /nfs/dbraw/zinc/81/19/81/1057811981.db2.gz IPKBTBLNWMLXHA-DLBZAZTESA-N 0 3 323.358 4.137 20 0 DIADHN CC1(C)c2ccc(C3=CNCCC3)cc2N(c2ccccc2)C1=O ZINC001243954717 1057818592 /nfs/dbraw/zinc/81/85/92/1057818592.db2.gz WZAJDTMQHRPNLE-UHFFFAOYSA-N 0 3 318.420 4.367 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(O)cc2OC)cc1F ZINC001243985400 1057829299 /nfs/dbraw/zinc/82/92/99/1057829299.db2.gz TUTNXRDACKYIJB-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CCOc1ccc(-c2cncc(CN(C)C)c2)c(C(F)(F)F)c1 ZINC001244048663 1057849010 /nfs/dbraw/zinc/84/90/10/1057849010.db2.gz UAPYUCDCAJSEST-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN CCOc1ccc(-c2ccc(OC)c(CN(C)C)c2)c(C)c1C ZINC001244061819 1057854389 /nfs/dbraw/zinc/85/43/89/1057854389.db2.gz CJEOQAFFHQLILQ-UHFFFAOYSA-N 0 3 313.441 4.439 20 0 DIADHN COc1ccc(-c2cc(F)c(Cl)cc2F)cc1CN(C)C ZINC001244303451 1057928201 /nfs/dbraw/zinc/92/82/01/1057928201.db2.gz ILAPSGXCTOBIKO-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(F)c(CO)c(F)c2)ccc1F ZINC001244344440 1057938207 /nfs/dbraw/zinc/93/82/07/1057938207.db2.gz YBKJAHRDIGOZCN-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CC(C)c1cc(-c2ccc3c(c2)COC32CNC2)ccc1Cl ZINC001244439667 1057958517 /nfs/dbraw/zinc/95/85/17/1057958517.db2.gz LJANEDISRDHXDT-UHFFFAOYSA-N 0 3 313.828 4.459 20 0 DIADHN CN(C)Cc1cccc(-c2cc(-c3ccccc3)cnc2F)n1 ZINC001244459075 1057963817 /nfs/dbraw/zinc/96/38/17/1057963817.db2.gz KLWWHNFIQDKVGC-UHFFFAOYSA-N 0 3 307.372 4.011 20 0 DIADHN Nc1nc2ccc(-c3cc(-c4ccccc4)cnc3F)cc2[nH]1 ZINC001244471867 1057968674 /nfs/dbraw/zinc/96/86/74/1057968674.db2.gz IAEUYINHJYWUGK-UHFFFAOYSA-N 0 3 304.328 4.013 20 0 DIADHN Nc1nc2cc(-c3cc(-c4ccccc4)cnc3F)ccc2[nH]1 ZINC001244471867 1057968678 /nfs/dbraw/zinc/96/86/78/1057968678.db2.gz IAEUYINHJYWUGK-UHFFFAOYSA-N 0 3 304.328 4.013 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1cccc(C[NH+]2CCCC2)c1F ZINC001244472163 1057969222 /nfs/dbraw/zinc/96/92/22/1057969222.db2.gz MCICOJYWUKZAMF-UHFFFAOYSA-N 0 3 305.780 4.448 20 0 DIADHN Cc1cc(-c2cccc3c2C2(C=C3)CC[NH2+]CC2)cc([O-])c1F ZINC001244517097 1057983849 /nfs/dbraw/zinc/98/38/49/1057983849.db2.gz GKQBOLOTHPGXGT-UHFFFAOYSA-N 0 3 309.384 4.155 20 0 DIADHN Cc1cc(-c2cccc(C[NH+]3CCCC3)c2F)cc([O-])c1F ZINC001244525808 1057986009 /nfs/dbraw/zinc/98/60/09/1057986009.db2.gz SWDZZIDIDXSTJQ-UHFFFAOYSA-N 0 3 303.352 4.242 20 0 DIADHN CCOC(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)c(OC)c1 ZINC001244532778 1057988092 /nfs/dbraw/zinc/98/80/92/1057988092.db2.gz YDMQMDGZGSFOBP-UHFFFAOYSA-N 0 3 323.392 4.122 20 0 DIADHN Cc1cc(-c2ccc([C@H]3CC[N@@H+](C)C3)cc2)cc(Cl)c1[O-] ZINC001244554653 1057994300 /nfs/dbraw/zinc/99/43/00/1057994300.db2.gz KWEFRRIWGXCITJ-HNNXBMFYSA-N 0 3 301.817 4.440 20 0 DIADHN Cc1cc(-c2ccc([C@H]3CC[N@H+](C)C3)cc2)cc(Cl)c1[O-] ZINC001244554653 1057994304 /nfs/dbraw/zinc/99/43/04/1057994304.db2.gz KWEFRRIWGXCITJ-HNNXBMFYSA-N 0 3 301.817 4.440 20 0 DIADHN COc1ccc(C)c(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001244568045 1057997888 /nfs/dbraw/zinc/99/78/88/1057997888.db2.gz UKNSAZWTHYEQGL-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc(CO)ccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001244585184 1058002166 /nfs/dbraw/zinc/00/21/66/1058002166.db2.gz ZRGNTIAYLIKWSU-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN CCCOc1cc(-c2cnccc2/C=C/N(C)C)c(Cl)cn1 ZINC001244608944 1058008428 /nfs/dbraw/zinc/00/84/28/1058008428.db2.gz MBRATEPRDBHVSC-SOFGYWHQSA-N 0 3 317.820 4.118 20 0 DIADHN CCN(CC)Cc1cccc(-c2c(C)cc(CO)cc2C)c1F ZINC001244696296 1058028803 /nfs/dbraw/zinc/02/88/03/1058028803.db2.gz JTEBYGFWEVSTEL-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN CSc1cc(-c2nccc3c2CCN3)ccc1C(F)(F)F ZINC001244849542 1058058299 /nfs/dbraw/zinc/05/82/99/1058058299.db2.gz LGUUXNVWLVVIOL-UHFFFAOYSA-N 0 3 310.344 4.457 20 0 DIADHN Cc1c(Cl)cccc1-c1cc(OC2CCN(C)CC2)ccn1 ZINC001244963734 1058082055 /nfs/dbraw/zinc/08/20/55/1058082055.db2.gz WOUWTJPKTVUQJX-UHFFFAOYSA-N 0 3 316.832 4.183 20 0 DIADHN Cc1cc(-c2ccc3[nH]c(N)nc3c2)ccc1C(=O)OC(C)(C)C ZINC001245031034 1058098715 /nfs/dbraw/zinc/09/87/15/1058098715.db2.gz BMZIZQTUWVWTTR-UHFFFAOYSA-N 0 3 323.396 4.076 20 0 DIADHN Cc1cc(-c2ccc3nc(N)[nH]c3c2)ccc1C(=O)OC(C)(C)C ZINC001245031034 1058098717 /nfs/dbraw/zinc/09/87/17/1058098717.db2.gz BMZIZQTUWVWTTR-UHFFFAOYSA-N 0 3 323.396 4.076 20 0 DIADHN Cc1cc(-c2cncc3cc[nH]c32)ccc1C(=O)OC(C)(C)C ZINC001245031096 1058098857 /nfs/dbraw/zinc/09/88/57/1058098857.db2.gz CYGRJVGOLXUGEB-UHFFFAOYSA-N 0 3 308.381 4.494 20 0 DIADHN COc1cnc(F)c(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001245063807 1058105790 /nfs/dbraw/zinc/10/57/90/1058105790.db2.gz FVQSINVXBFVWFP-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Oc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(Cl)c1 ZINC001245082936 1058108913 /nfs/dbraw/zinc/10/89/13/1058108913.db2.gz FFHGWPKGZQHOFY-UHFFFAOYSA-N 0 3 305.780 4.448 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@@H]2COC[C@H](C1)N2CC(CC)CC ZINC001278401042 1058123329 /nfs/dbraw/zinc/12/33/29/1058123329.db2.gz GQNZTGAPCQVAHI-AQNXPRMDSA-N 0 3 324.553 4.024 20 0 DIADHN CCn1ncc2cc(-c3cncc(CN4CCCCC4)c3)ccc21 ZINC001245236557 1058131994 /nfs/dbraw/zinc/13/19/94/1058131994.db2.gz XKWWKZUJIRPRQI-UHFFFAOYSA-N 0 3 320.440 4.104 20 0 DIADHN CC(=O)OCc1cc(-c2ccc(C3=NCCC3)cc2)ccc1C ZINC001245377506 1058156572 /nfs/dbraw/zinc/15/65/72/1058156572.db2.gz SVEHTLPQGLFTSW-UHFFFAOYSA-N 0 3 307.393 4.308 20 0 DIADHN Cc1ccnc2c(C3=CC[C@H](N4CCOCC4)CC3)ccc(C)c12 ZINC001245423748 1058164033 /nfs/dbraw/zinc/16/40/33/1058164033.db2.gz DDDAUJGEXNOTDM-SFHVURJKSA-N 0 3 322.452 4.120 20 0 DIADHN COc1ccc2ccccc2c1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245422630 1058164187 /nfs/dbraw/zinc/16/41/87/1058164187.db2.gz DTGLXOAJFLLDOD-GOSISDBHSA-N 0 3 323.436 4.117 20 0 DIADHN c1cc(-c2ccc(C3=CC[C@H](N4CCOCC4)CC3)cc2)ccn1 ZINC001245423059 1058164206 /nfs/dbraw/zinc/16/42/06/1058164206.db2.gz GTNVDIKEKQZUDX-NRFANRHFSA-N 0 3 320.436 4.017 20 0 DIADHN CCCOc1ccc(C)c(C2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001245425874 1058164479 /nfs/dbraw/zinc/16/44/79/1058164479.db2.gz GNTDDMBOTLGFJH-SFHVURJKSA-N 0 3 315.457 4.052 20 0 DIADHN c1ccc(-c2ccc(C3=CC[C@H](N4CCOCC4)CC3)cc2)nc1 ZINC001245426525 1058164719 /nfs/dbraw/zinc/16/47/19/1058164719.db2.gz SQYUKHSYRIUUDY-FQEVSTJZSA-N 0 3 320.436 4.017 20 0 DIADHN Cc1cc(F)c(-c2cnccc2CN2CCCCC2)cc1F ZINC001245544315 1058222175 /nfs/dbraw/zinc/22/21/75/1058222175.db2.gz ACRRHRRUOYFRPT-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCOC1CC(CN2CCCC[C@H]2c2nc(C)c(C)s2)C1 ZINC001548559547 1058261099 /nfs/dbraw/zinc/26/10/99/1058261099.db2.gz SDAXDMXVHMURIU-GPANFISMSA-N 0 3 308.491 4.102 20 0 DIADHN CC(C)c1cnc(CN2CC3(CCOCC3)[C@H]3CCC[C@H]32)s1 ZINC001548850403 1058268872 /nfs/dbraw/zinc/26/88/72/1058268872.db2.gz ZYPPFAPXTHBQQX-LSDHHAIUSA-N 0 3 320.502 4.048 20 0 DIADHN COc1cccc(C2CC(N(C)C[C@H]3CC3(Cl)Cl)C2)c1 ZINC001548857975 1058269882 /nfs/dbraw/zinc/26/98/82/1058269882.db2.gz HIGRZKOFNDELTL-ROKHWSDSSA-N 0 3 314.256 4.067 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1cnn3c1CCCC3)CCC2 ZINC001549034499 1058277466 /nfs/dbraw/zinc/27/74/66/1058277466.db2.gz UEIZPICRGHEJGF-DNVCBOLYSA-N 0 3 309.457 4.256 20 0 DIADHN CC(C)CCCCC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001517812222 1058287016 /nfs/dbraw/zinc/28/70/16/1058287016.db2.gz BBZAYQOJZSVDLZ-VQIMIIECSA-N 0 3 304.478 4.010 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243242 1058326432 /nfs/dbraw/zinc/32/64/32/1058326432.db2.gz GEMSIGNSBJLNLU-DLBZAZTESA-N 0 3 313.470 4.355 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(Cl)c(C)c2C)c1 ZINC001245687051 1058349506 /nfs/dbraw/zinc/34/95/06/1058349506.db2.gz PHODZJDHJQJNER-UHFFFAOYSA-N 0 3 318.848 4.097 20 0 DIADHN CN(C)Cc1cncc(-c2cccc(C(F)(F)F)c2Cl)c1 ZINC001245712927 1058385200 /nfs/dbraw/zinc/38/52/00/1058385200.db2.gz GHMNVZJFVLXJIY-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN COc1cc(-c2cncc3cc[nH]c32)ccc1OC(F)(F)F ZINC001245714663 1058386975 /nfs/dbraw/zinc/38/69/75/1058386975.db2.gz LNHGUMSENCHEER-UHFFFAOYSA-N 0 3 308.259 4.137 20 0 DIADHN COc1cc(-c2cc3[nH]ccc3c(C)n2)ccc1OC(F)(F)F ZINC001245714587 1058388464 /nfs/dbraw/zinc/38/84/64/1058388464.db2.gz HAQCCHAVMKPZET-UHFFFAOYSA-N 0 3 322.286 4.446 20 0 DIADHN COc1ccc(-c2c(F)ccc(Cl)c2F)cc1CN(C)C ZINC001245721673 1058394809 /nfs/dbraw/zinc/39/48/09/1058394809.db2.gz CNGNHAAHMIPUJJ-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN COc1c(Cl)ccc(F)c1-c1ccc2c(N)ccnc2c1 ZINC001245732144 1058411549 /nfs/dbraw/zinc/41/15/49/1058411549.db2.gz UQRDZCPPIRMNOY-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN CCCC[C@@H](C(=O)N(CCN(C)C)c1ccccc1)C(C)C ZINC001519528558 1058431893 /nfs/dbraw/zinc/43/18/93/1058431893.db2.gz PFIIZUFWIJHKMI-GOSISDBHSA-N 0 3 304.478 4.044 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)N(CCN(C)C)c2ccccc2)CC1 ZINC001519527067 1058432550 /nfs/dbraw/zinc/43/25/50/1058432550.db2.gz AUUOTQUIQGABQA-IYARVYRRSA-N 0 3 316.489 4.044 20 0 DIADHN CSc1cnc(F)c(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001245764637 1058448004 /nfs/dbraw/zinc/44/80/04/1058448004.db2.gz MAMQTSDTNWPTBG-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN CSc1cnc(F)c(-c2cccc(CN3CCCC3)c2F)c1 ZINC001245764726 1058448388 /nfs/dbraw/zinc/44/83/88/1058448388.db2.gz NMOFXONXGDKVTN-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN Cc1ncc(CN2CCC(c3cccc4[nH]ccc43)CC2)s1 ZINC001553875683 1058507563 /nfs/dbraw/zinc/50/75/63/1058507563.db2.gz RFACLGPSKQDYFX-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN c1cc2c(cccc2C2CCN(Cc3cnc(C4CC4)o3)CC2)[nH]1 ZINC001553880360 1058509140 /nfs/dbraw/zinc/50/91/40/1058509140.db2.gz UIRRGERRBPYSPO-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NCc1cc2cccnc2o1 ZINC001553968410 1058511483 /nfs/dbraw/zinc/51/14/83/1058511483.db2.gz KJDLMBQBPHRRSC-DJJJIMSYSA-N 0 3 322.408 4.250 20 0 DIADHN CSc1c(Cl)c(C)ccc1-c1ccc2c(n1)CNCC2 ZINC001245839661 1058545701 /nfs/dbraw/zinc/54/57/01/1058545701.db2.gz NIKYRDMQUZLWNU-UHFFFAOYSA-N 0 3 304.846 4.078 20 0 DIADHN C[C@H](C(=O)N[C@@H](C1CCC1)C1CCCCC1)N1[C@H](C)CC[C@@H]1C ZINC001557176309 1058713989 /nfs/dbraw/zinc/71/39/89/1058713989.db2.gz ZXHOOCYXPYNXNP-YYAJDYIMSA-N 0 3 320.521 4.113 20 0 DIADHN CCC[C@H](C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979262 1058726074 /nfs/dbraw/zinc/72/60/74/1058726074.db2.gz JUUAFKCRFPESCN-WMLDXEAASA-N 0 3 324.896 4.275 20 0 DIADHN CCCCCC[C@@H](C)CC(=O)N1CCN(C(C)(C)CC)CC1 ZINC001557293157 1058729512 /nfs/dbraw/zinc/72/95/12/1058729512.db2.gz LFHHJRXXHYMDQX-QGZVFWFLSA-N 0 3 310.526 4.316 20 0 DIADHN C[C@@H]1N(C(=O)c2cccc(CN(C)C)c2)c2ccccc2C1(C)C ZINC001557494371 1058759471 /nfs/dbraw/zinc/75/94/71/1058759471.db2.gz NNJFCLZUGIGFGN-HNNXBMFYSA-N 0 3 322.452 4.075 20 0 DIADHN CC(C)CCCCCC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001529632809 1058777170 /nfs/dbraw/zinc/77/71/70/1058777170.db2.gz OZXDRNMWBMNAQU-PXNSSMCTSA-N 0 3 318.505 4.401 20 0 DIADHN CC[C@H](C(=O)Nc1nc(C2CCCCC2)cs1)N(CC)CC ZINC001557941337 1058814184 /nfs/dbraw/zinc/81/41/84/1058814184.db2.gz HLOJJKUGTFBFPE-OAHLLOKOSA-N 0 3 323.506 4.250 20 0 DIADHN CCCCCCN(Cc1ccco1)C(=O)[C@H]1CCCN1CCC ZINC001558726069 1058903437 /nfs/dbraw/zinc/90/34/37/1058903437.db2.gz GXEKBRUFOXHCFE-GOSISDBHSA-N 0 3 320.477 4.063 20 0 DIADHN CN(C)[C@H]1Cc2ccccc2N(C(=O)CCCCC(C)(C)C)C1 ZINC001530060705 1058925448 /nfs/dbraw/zinc/92/54/48/1058925448.db2.gz BJVUSBMVRRZWBV-KRWDZBQOSA-N 0 3 316.489 4.112 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)NC[C@@H](N[C@H](C)CC)c1ccco1 ZINC001558979398 1058932866 /nfs/dbraw/zinc/93/28/66/1058932866.db2.gz OZVYDUVPAILWKQ-WCXIOVBPSA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)c1ccco1 ZINC001558980461 1058933758 /nfs/dbraw/zinc/93/37/58/1058933758.db2.gz QXINBBJRLZDHTL-ZJIFWQFVSA-N 0 3 322.493 4.143 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001278447278 1058934834 /nfs/dbraw/zinc/93/48/34/1058934834.db2.gz VZVBJUGGPPYATI-SFHVURJKSA-N 0 3 315.505 4.047 20 0 DIADHN CC1CCC(N2CCN(C(=O)CCCC3CCCC3)CC2)CC1 ZINC001521786553 1058982992 /nfs/dbraw/zinc/98/29/92/1058982992.db2.gz KASCFONCUZSHCL-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@@H]2COC[C@H](C1)N2CCC(C)(C)C ZINC001278452329 1058993933 /nfs/dbraw/zinc/99/39/33/1058993933.db2.gz SFMKCCKZOGOTQX-QRVBRYPASA-N 0 3 324.553 4.024 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCC=CCCC2)c(CN(C)C)c1 ZINC001521892106 1059021904 /nfs/dbraw/zinc/02/19/04/1059021904.db2.gz NGIVOHCYBDWFLE-INIZCTEOSA-N 0 3 300.446 4.132 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC001530443414 1059044375 /nfs/dbraw/zinc/04/43/75/1059044375.db2.gz WGTCLSPDWYSOFM-IBGZPJMESA-N 0 3 320.452 4.135 20 0 DIADHN FC(F)(F)c1cc2[nH]c([C@H]3CCCCN3)nc2c(Cl)c1 ZINC001247007089 1059233756 /nfs/dbraw/zinc/23/37/56/1059233756.db2.gz CAXZJSFSBMKKRG-SECBINFHSA-N 0 3 303.715 4.050 20 0 DIADHN CCNCc1nc2c(ccc(Oc3ccccc3)c2Cl)[nH]1 ZINC001247010684 1059237399 /nfs/dbraw/zinc/23/73/99/1059237399.db2.gz BLVAPRRNVBJMTB-UHFFFAOYSA-N 0 3 301.777 4.118 20 0 DIADHN CC[C@@H](N)c1nc2c(ccc(Oc3ccccc3)c2Cl)[nH]1 ZINC001247012038 1059237620 /nfs/dbraw/zinc/23/76/20/1059237620.db2.gz LWJOTLCONDKDPE-LLVKDONJSA-N 0 3 301.777 4.418 20 0 DIADHN COc1ccc(CN(C)C[C@H]2CCCCC2(F)F)cc1F ZINC001559481122 1059252306 /nfs/dbraw/zinc/25/23/06/1059252306.db2.gz DTRRPHGCKQRCSA-CYBMUJFWSA-N 0 3 301.352 4.092 20 0 DIADHN CN(CCCC1CC1)Cc1cc(Br)ccc1F ZINC001559518905 1059314678 /nfs/dbraw/zinc/31/46/78/1059314678.db2.gz MDSPJPRDMVBMFF-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN CC[C@@H](N)c1nc2ccc(OCc3ccccc3)cc2n1C1CC1 ZINC001247043472 1059263182 /nfs/dbraw/zinc/26/31/82/1059263182.db2.gz ZDAATYLLPNGCIV-QGZVFWFLSA-N 0 3 321.424 4.360 20 0 DIADHN Cc1ccc(CN(C)CCCOc2ccc(Cl)cc2)c(C)n1 ZINC001559490584 1059266255 /nfs/dbraw/zinc/26/62/55/1059266255.db2.gz GKXJFHHSVSJMTL-UHFFFAOYSA-N 0 3 318.848 4.253 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@H]1CC[C@H](c2ccccc2)O1 ZINC001559491803 1059269275 /nfs/dbraw/zinc/26/92/75/1059269275.db2.gz UVSPBRRKKAAHCE-WOJBJXKFSA-N 0 3 310.441 4.212 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC001559491801 1059270836 /nfs/dbraw/zinc/27/08/36/1059270836.db2.gz UVSPBRRKKAAHCE-UXHICEINSA-N 0 3 310.441 4.212 20 0 DIADHN C[C@@H](c1ccc(CN(C)C[C@@H]2CCCCO2)cc1)C(F)(F)F ZINC001559495614 1059274493 /nfs/dbraw/zinc/27/44/93/1059274493.db2.gz MOYMRLQOZIOVGV-BBRMVZONSA-N 0 3 315.379 4.353 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)C[C@@H](O)c1cccc(C)c1 ZINC001559505232 1059292361 /nfs/dbraw/zinc/29/23/61/1059292361.db2.gz DXVPRWSDBXKNFT-LJQANCHMSA-N 0 3 319.395 4.219 20 0 DIADHN c1ccc2c(c1)CN(CCC[C@H]1CCOC1)Cc1ccccc1-2 ZINC001559514756 1059310947 /nfs/dbraw/zinc/31/09/47/1059310947.db2.gz OCVWDDWEHLBTBF-KRWDZBQOSA-N 0 3 307.437 4.486 20 0 DIADHN C[C@H](CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C)C1CCCCC1 ZINC001559528989 1059330042 /nfs/dbraw/zinc/33/00/42/1059330042.db2.gz ZMKJYHXKPRMVQV-HZPDHXFCSA-N 0 3 324.509 4.144 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ncccc1Cl ZINC001559547753 1059353957 /nfs/dbraw/zinc/35/39/57/1059353957.db2.gz CSOOCEVDIHWJFS-TZMCWYRMSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1cc(-c2noc(CN3C[C@@H](C)CCC[C@@H]3C)n2)ccc1F ZINC001559546875 1059356065 /nfs/dbraw/zinc/35/60/65/1059356065.db2.gz HLTFQKXOOJINBA-JSGCOSHPSA-N 0 3 317.408 4.195 20 0 DIADHN Cc1ccc(CCN2C[C@H](c3ccccc3C)OC[C@@H]2C)cc1 ZINC001559549438 1059359246 /nfs/dbraw/zinc/35/92/46/1059359246.db2.gz NEGCVLLBMNNOGP-GHTZIAJQSA-N 0 3 309.453 4.308 20 0 DIADHN N[C@H](Cc1nc(-c2ccc3ccccc3c2)no1)c1cccs1 ZINC001247260135 1059364932 /nfs/dbraw/zinc/36/49/32/1059364932.db2.gz LLDQMWRFVXCXJC-OAHLLOKOSA-N 0 3 321.405 4.194 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1ccc2ccccc2c1 ZINC001559553260 1059365570 /nfs/dbraw/zinc/36/55/70/1059365570.db2.gz XDWOUMVRECBGDO-LJQANCHMSA-N 0 3 310.441 4.433 20 0 DIADHN CC[C@H]1CCCCCN1Cc1cc(C(=O)OC)ccc1Cl ZINC001559552649 1059367375 /nfs/dbraw/zinc/36/73/75/1059367375.db2.gz IWNAZCMOJPLZEX-HNNXBMFYSA-N 0 3 309.837 4.281 20 0 DIADHN Cc1cccc([C@H]2CCCCN2Cc2cnn(CCF)c2)c1C ZINC001559562408 1059378851 /nfs/dbraw/zinc/37/88/51/1059378851.db2.gz BTFPGSPWLIDACX-LJQANCHMSA-N 0 3 315.436 4.197 20 0 DIADHN CCCCCC(C)(C)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523848885 1059380237 /nfs/dbraw/zinc/38/02/37/1059380237.db2.gz YDQYBOYXIXKMAA-WMZOPIPTSA-N 0 3 318.505 4.401 20 0 DIADHN CCCCOC(=O)[C@H](C)N1CCC[C@H]1[C@H](CC)c1ccccc1 ZINC001559565879 1059390058 /nfs/dbraw/zinc/39/00/58/1059390058.db2.gz UFTGNVISHHXCPA-UHOSZYNNSA-N 0 3 317.473 4.376 20 0 DIADHN CCC[C@@]1(C)CCCN([C@H]2CCCN(c3ccccc3)C2=O)C1 ZINC001559568441 1059396687 /nfs/dbraw/zinc/39/66/87/1059396687.db2.gz XDANMUJPBPDTKK-ICSRJNTNSA-N 0 3 314.473 4.084 20 0 DIADHN CCC[C@@]1(C)CCCN(CC(=O)NCCCC2CCCCC2)C1 ZINC001559568068 1059398279 /nfs/dbraw/zinc/39/82/79/1059398279.db2.gz PWRQVSMBMKQYIG-FQEVSTJZSA-N 0 3 322.537 4.365 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(CCCOC(F)(F)F)C1 ZINC001559574050 1059405938 /nfs/dbraw/zinc/40/59/38/1059405938.db2.gz RHYVKZZEDCRPNO-FZMZJTMJSA-N 0 3 305.315 4.135 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001559575318 1059407508 /nfs/dbraw/zinc/40/75/08/1059407508.db2.gz BCQXNONJIGTALE-INIZCTEOSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1CCCc1c(F)cccc1F ZINC001559574867 1059407637 /nfs/dbraw/zinc/40/76/37/1059407637.db2.gz NCAPMSOCCWJKFM-CQSZACIVSA-N 0 3 301.380 4.344 20 0 DIADHN COC(=O)c1cc(CN2CCC(C3CCCC3)CC2)ccc1C ZINC001559576829 1059407928 /nfs/dbraw/zinc/40/79/28/1059407928.db2.gz MUXCQDCNHNYKQA-UHFFFAOYSA-N 0 3 315.457 4.184 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2ccc(C)cc2C)CC1 ZINC001559583586 1059415298 /nfs/dbraw/zinc/41/52/98/1059415298.db2.gz LEGDAVMOOUFFLC-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H]1c2ccccc2CCN1C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC001559591374 1059424709 /nfs/dbraw/zinc/42/47/09/1059424709.db2.gz WMOJHFLPAXJRTQ-KZULUSFZSA-N 0 3 319.807 4.132 20 0 DIADHN COc1ncccc1CN(C)[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC001559590756 1059425495 /nfs/dbraw/zinc/42/54/95/1059425495.db2.gz PSEFZXLCKXBLRF-KBPBESRZSA-N 0 3 318.848 4.368 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCc2ccc(O)cc2CC1 ZINC001559600008 1059435239 /nfs/dbraw/zinc/43/52/39/1059435239.db2.gz ZBVZWNGRUXBNKC-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCc2ccc(O)cc2CC1 ZINC001559600007 1059435527 /nfs/dbraw/zinc/43/55/27/1059435527.db2.gz ZBVZWNGRUXBNKC-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN COc1cccc(CN(C2CC2)[C@H]2CCCc3ccccc32)n1 ZINC001559604942 1059439777 /nfs/dbraw/zinc/43/97/77/1059439777.db2.gz WUMHENCJUHBZCL-IBGZPJMESA-N 0 3 308.425 4.132 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1nccn1Cc1ccccc1 ZINC001559625218 1059452346 /nfs/dbraw/zinc/45/23/46/1059452346.db2.gz LJUXRXVAPICADS-IBGZPJMESA-N 0 3 311.473 4.332 20 0 DIADHN CO[C@H](CN([C@H](C)c1ccco1)C1CC1)c1ccc(F)cc1 ZINC001559624361 1059453155 /nfs/dbraw/zinc/45/31/55/1059453155.db2.gz HIBWTBBHLMBOHP-FZKQIMNGSA-N 0 3 303.377 4.332 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCCC1CCCCC1 ZINC001559624775 1059453965 /nfs/dbraw/zinc/45/39/65/1059453965.db2.gz BOQFISIVHBRXEH-UHFFFAOYSA-N 0 3 305.491 4.497 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(F)c(F)c2)C1(C)C ZINC001559632154 1059459003 /nfs/dbraw/zinc/45/90/03/1059459003.db2.gz BOBNEHPDQILXPZ-SJORKVTESA-N 0 3 311.416 4.380 20 0 DIADHN Cn1c2ccccc2nc1[C@@H]1CCCN1CCCc1ccccc1 ZINC001559637569 1059463155 /nfs/dbraw/zinc/46/31/55/1059463155.db2.gz SXLFFVWEYXKWDE-FQEVSTJZSA-N 0 3 319.452 4.343 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(F)cc(OC)c2)no1 ZINC001247417598 1059467445 /nfs/dbraw/zinc/46/74/45/1059467445.db2.gz OVGSONALDBOJLI-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(OCC)cc2)no1 ZINC001247417089 1059468378 /nfs/dbraw/zinc/46/83/78/1059468378.db2.gz IUGAHLVXJRTUBQ-AWEZNQCLSA-N 0 3 317.433 4.318 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC001247418981 1059471489 /nfs/dbraw/zinc/47/14/89/1059471489.db2.gz QDRSHDZMSWUJBX-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1cccc(Br)n1 ZINC001559647254 1059472120 /nfs/dbraw/zinc/47/21/20/1059472120.db2.gz CQPRLAZCRGCZJJ-GXTWGEPZSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)CCOC1CC1 ZINC001559649850 1059476436 /nfs/dbraw/zinc/47/64/36/1059476436.db2.gz PSURFPLGLBOXTD-CQSZACIVSA-N 0 3 316.470 4.290 20 0 DIADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CCOCc1ccccc1 ZINC001559659073 1059490522 /nfs/dbraw/zinc/49/05/22/1059490522.db2.gz ZKEWMTCSKVXYQB-JKSUJKDBSA-N 0 3 313.416 4.350 20 0 DIADHN Cc1ccc(-c2noc(C3CCN(C)CC3)n2)c2ccccc12 ZINC001247454280 1059490627 /nfs/dbraw/zinc/49/06/27/1059490627.db2.gz KGPDYSBPRLRRFA-UHFFFAOYSA-N 0 3 307.397 4.007 20 0 DIADHN Cc1ccc(-c2ccc(-c3noc([C@H]4CCCN4)n3)cc2)cc1 ZINC001247498091 1059502026 /nfs/dbraw/zinc/50/20/26/1059502026.db2.gz OULJVXZPWHNNRN-QGZVFWFLSA-N 0 3 305.381 4.137 20 0 DIADHN CCc1onc(C)c1CN1C[C@H](C)C[C@H]1c1cccc(OC)c1 ZINC001559676104 1059502424 /nfs/dbraw/zinc/50/24/24/1059502424.db2.gz VVZDEVVWWRZALI-ACJLOTCBSA-N 0 3 314.429 4.137 20 0 DIADHN CCc1onc(C)c1CN1C[C@H](C)C[C@@H]1c1cccc(OC)c1 ZINC001559676105 1059503900 /nfs/dbraw/zinc/50/39/00/1059503900.db2.gz VVZDEVVWWRZALI-FZKQIMNGSA-N 0 3 314.429 4.137 20 0 DIADHN C[C@H]1CCN(C[C@@H](O)c2ccc(F)cc2Cl)CC12CCC2 ZINC001559682216 1059510647 /nfs/dbraw/zinc/51/06/47/1059510647.db2.gz BVHVFCZXTPVRHW-BLLLJJGKSA-N 0 3 311.828 4.025 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C)C3(CCC3)C2)cc1Cl ZINC001559682221 1059510709 /nfs/dbraw/zinc/51/07/09/1059510709.db2.gz BVILFHKAGGLELZ-ZDUSSCGKSA-N 0 3 321.848 4.139 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C)C3(CCC3)C2)cc1Cl ZINC001559682220 1059511199 /nfs/dbraw/zinc/51/11/99/1059511199.db2.gz BVILFHKAGGLELZ-CYBMUJFWSA-N 0 3 321.848 4.139 20 0 DIADHN CCC[C@@H](NC[C@@H](O)CC1CCCC1)c1ccc(F)cc1F ZINC001559681866 1059511996 /nfs/dbraw/zinc/51/19/96/1059511996.db2.gz SGBSOCXZHLHSAE-MAUKXSAKSA-N 0 3 311.416 4.337 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CCCCC1(C)C)c1nc(C2CC2)no1 ZINC001559683768 1059512787 /nfs/dbraw/zinc/51/27/87/1059512787.db2.gz PPRMHDYACWICKQ-HUUCEWRRSA-N 0 3 305.466 4.450 20 0 DIADHN CC1(C)CCC(CN2CCN(CC(F)(F)F)CC2(C)C)CC1 ZINC001559688530 1059516229 /nfs/dbraw/zinc/51/62/29/1059516229.db2.gz RUNQCIVPPWJATD-UHFFFAOYSA-N 0 3 320.443 4.161 20 0 DIADHN CCOC(=O)/C=C/CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001559689944 1059521518 /nfs/dbraw/zinc/52/15/18/1059521518.db2.gz AXYTZQMSCGGPNT-FYCOFBDGSA-N 0 3 307.409 4.012 20 0 DIADHN FC1(F)Oc2cccc(CN[C@H](c3cccs3)C3CC3)c2O1 ZINC001559696692 1059527363 /nfs/dbraw/zinc/52/73/63/1059527363.db2.gz GDCOOJICCLKBJS-AWEZNQCLSA-N 0 3 323.364 4.311 20 0 DIADHN CCCCC[C@@H](C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001247542989 1059528717 /nfs/dbraw/zinc/52/87/17/1059528717.db2.gz QGWYJYVPWOFAHR-CQSZACIVSA-N 0 3 301.434 4.482 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001559700728 1059529208 /nfs/dbraw/zinc/52/92/08/1059529208.db2.gz AZWKZJHFQMVRQI-CYBMUJFWSA-N 0 3 319.351 4.476 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)N(C(C)C)C2CCCC2)c1 ZINC001559702452 1059529644 /nfs/dbraw/zinc/52/96/44/1059529644.db2.gz SGIYDTVXUISATD-QGZVFWFLSA-N 0 3 316.489 4.134 20 0 DIADHN CC(NCCOCC1CCC1)(c1ccccc1)c1ccccc1 ZINC001559701234 1059529805 /nfs/dbraw/zinc/52/98/05/1059529805.db2.gz PJOXXGZOOYFIMC-UHFFFAOYSA-N 0 3 309.453 4.356 20 0 DIADHN CC1(C)CCC(CN2CCO[C@H](Cc3ccccc3)C2)CC1 ZINC001559706320 1059535693 /nfs/dbraw/zinc/53/56/93/1059535693.db2.gz NCLQZFLXDAXQTH-LJQANCHMSA-N 0 3 301.474 4.146 20 0 DIADHN C=C/C=C\CCN[C@@H](C)c1ccc(OC)cc1Br ZINC001559708753 1059536162 /nfs/dbraw/zinc/53/61/62/1059536162.db2.gz BJJUDJQPYNYFEN-FJOGCWAESA-N 0 3 310.235 4.241 20 0 DIADHN COc1ccc2c(c1)CCN([C@H](C)c1ccc(F)c(F)c1)CC2 ZINC001559715422 1059542268 /nfs/dbraw/zinc/54/22/68/1059542268.db2.gz ADMIRTRNRMBOKH-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN CC(C)Oc1cccc(CN(C)CCOCC2CCCC2)c1 ZINC001559715782 1059543627 /nfs/dbraw/zinc/54/36/27/1059543627.db2.gz NSMTXVYOVWDJKS-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CC(C)Oc1cccc(CN(C)C[C@H]2CCC3(CCCC3)O2)c1 ZINC001559715621 1059544399 /nfs/dbraw/zinc/54/43/99/1059544399.db2.gz IEWJRILWHDWAHF-LJQANCHMSA-N 0 3 317.473 4.397 20 0 DIADHN COc1cc(Cl)ccc1CN(C)CCCc1ccccc1 ZINC001559721512 1059552231 /nfs/dbraw/zinc/55/22/31/1059552231.db2.gz QWWQVJOXHZCGSN-UHFFFAOYSA-N 0 3 303.833 4.413 20 0 DIADHN COC/C(C)=C/CN[C@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001559723784 1059553660 /nfs/dbraw/zinc/55/36/60/1059553660.db2.gz IRIYTJZKRHWINX-XETPBLJFSA-N 0 3 301.352 4.171 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC[C@@H](CC(=O)OC(C)C)C1 ZINC001559723634 1059554792 /nfs/dbraw/zinc/55/47/92/1059554792.db2.gz WWJSBZBFXRBBAE-MAUKXSAKSA-N 0 3 321.436 4.331 20 0 DIADHN CCc1ccc([C@@H](COC)NCCCc2ccccc2C)o1 ZINC001559735727 1059566195 /nfs/dbraw/zinc/56/61/95/1059566195.db2.gz SGGGKKYNICLQMO-GOSISDBHSA-N 0 3 301.430 4.060 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3cccnc3C(F)(F)F)C2)c1 ZINC001559743060 1059573285 /nfs/dbraw/zinc/57/32/85/1059573285.db2.gz DBNKVGORFAUBHO-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001559751588 1059582677 /nfs/dbraw/zinc/58/26/77/1059582677.db2.gz HNFZWXBMTQLOGS-UZLBHIALSA-N 0 3 323.484 4.372 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1c[nH]c(=O)c2ccccc12 ZINC001559753767 1059584368 /nfs/dbraw/zinc/58/43/68/1059584368.db2.gz STBSKTPOARVQDH-AWEZNQCLSA-N 0 3 324.346 4.103 20 0 DIADHN C[C@H](O)[C@@H]1C[C@H](C)CCN1CCc1cc(Cl)cc(Cl)c1 ZINC001559757665 1059588998 /nfs/dbraw/zinc/58/89/98/1059588998.db2.gz JAQCKCVICSIJOR-WQGACYEGSA-N 0 3 316.272 4.017 20 0 DIADHN CC(C)[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559758519 1059589183 /nfs/dbraw/zinc/58/91/83/1059589183.db2.gz DYXQTTZYTDOQHH-KGLIPLIRSA-N 0 3 308.853 4.035 20 0 DIADHN C[C@@H](O)[C@@H]1C[C@H](C)CCN1Cc1c(Cl)oc2ccccc21 ZINC001559757595 1059590412 /nfs/dbraw/zinc/59/04/12/1059590412.db2.gz HBANQHVXBMEWPB-JMSVASOKSA-N 0 3 307.821 4.068 20 0 DIADHN C[C@H](O)[C@H]1C[C@H](C)CCN1CCc1cc(Cl)cc(Cl)c1 ZINC001559757663 1059591135 /nfs/dbraw/zinc/59/11/35/1059591135.db2.gz JAQCKCVICSIJOR-BFQNTYOBSA-N 0 3 316.272 4.017 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@@H](C(C)C)C2)co1 ZINC001559760558 1059596407 /nfs/dbraw/zinc/59/64/07/1059596407.db2.gz VBQRZTZAFLPJHH-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)[C@H]1CCCN1C(C)C)C(C)(C)C ZINC001532345228 1059599305 /nfs/dbraw/zinc/59/93/05/1059599305.db2.gz SXPZLBBJRCNEDQ-QZTJIDSGSA-N 0 3 316.489 4.071 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCOCC2(CCCC2)C1 ZINC001559766079 1059600312 /nfs/dbraw/zinc/60/03/12/1059600312.db2.gz XMXKGBVNZLQSBZ-KRWDZBQOSA-N 0 3 305.849 4.220 20 0 DIADHN CC[C@H]1CCN(Cc2nc3ccc(Br)cc3o2)[C@@H]1C ZINC001559768865 1059602771 /nfs/dbraw/zinc/60/27/71/1059602771.db2.gz JNVGMTGDONADQL-MNOVXSKESA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@@H]1C ZINC001559769613 1059607531 /nfs/dbraw/zinc/60/75/31/1059607531.db2.gz PWCVXIHZFSJANC-IAGOWNOFSA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001559774825 1059611690 /nfs/dbraw/zinc/61/16/90/1059611690.db2.gz KMDITAIYUPCSCC-RHSMWYFYSA-N 0 3 302.462 4.043 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(Cl)cc1Cl)C1CC1 ZINC001559791421 1059625645 /nfs/dbraw/zinc/62/56/45/1059625645.db2.gz CQMJKZYSNFVJCA-BMIGLBTASA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](c3ccc(C)cc3)C[C@@H]2C)cc1 ZINC001559798224 1059635672 /nfs/dbraw/zinc/63/56/72/1059635672.db2.gz LMRRLLAELKKXNU-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1CC/C=C\c1ccccc1 ZINC001559801329 1059637094 /nfs/dbraw/zinc/63/70/94/1059637094.db2.gz WORHBOUMVXCZSC-LOJRPPCJSA-N 0 3 315.457 4.288 20 0 DIADHN CSc1ccccc1CN(C)C[C@H]1CCCC2(CCC2)O1 ZINC001559802655 1059638556 /nfs/dbraw/zinc/63/85/56/1059638556.db2.gz HNWZJXMQDPKCBG-MRXNPFEDSA-N 0 3 305.487 4.332 20 0 DIADHN Cc1ccc(C)c(CN2CCN(c3ccccc3F)C[C@H]2C)c1 ZINC001559805177 1059641054 /nfs/dbraw/zinc/64/10/54/1059641054.db2.gz INEVBAZFZBNLCK-QGZVFWFLSA-N 0 3 312.432 4.153 20 0 DIADHN CC(C)[C@H](NCc1ccc(F)cc1Cl)[C@@H](O)c1ccccc1 ZINC001559822494 1059655358 /nfs/dbraw/zinc/65/53/58/1059655358.db2.gz GKVLRAVNXUVOKX-ROUUACIJSA-N 0 3 321.823 4.327 20 0 DIADHN Fc1cc(F)cc(CC2CCN(CCC(F)(F)F)CC2)c1 ZINC001559839072 1059669003 /nfs/dbraw/zinc/66/90/03/1059669003.db2.gz PFZKMHOKKPUNFB-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN CCc1nocc1CN(C)C[C@H](C)CSc1ccccc1C ZINC001559857849 1059679448 /nfs/dbraw/zinc/67/94/48/1059679448.db2.gz XLXAUVMBDUZOPZ-AWEZNQCLSA-N 0 3 318.486 4.406 20 0 DIADHN C[C@H](CN1[C@@H](C)CN(C(=O)C(C)(C)C)C[C@@H]1C)CC(C)(C)C ZINC001559861563 1059682776 /nfs/dbraw/zinc/68/27/76/1059682776.db2.gz MTVMJUDBBJKWFE-JYJNAYRXSA-N 0 3 310.526 4.026 20 0 DIADHN C[C@@H](CN1[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]1C)CC(C)(C)C ZINC001559861564 1059683834 /nfs/dbraw/zinc/68/38/34/1059683834.db2.gz MTVMJUDBBJKWFE-OAGGEKHMSA-N 0 3 310.526 4.026 20 0 DIADHN Cc1ccc(NC(=O)CN2[C@H](C)C[C@@H](c3ccccc3)[C@H]2C)cc1 ZINC001559868447 1059689137 /nfs/dbraw/zinc/68/91/37/1059689137.db2.gz RHZCXLZQFCYZAG-MBOZVWFJSA-N 0 3 322.452 4.200 20 0 DIADHN COC/C(C)=C\CN1CCc2ccc(-c3ccccc3)cc2C1 ZINC001559875020 1059692710 /nfs/dbraw/zinc/69/27/10/1059692710.db2.gz IWESWKVVRDQGIA-YVLHZVERSA-N 0 3 307.437 4.304 20 0 DIADHN CC(C)CN(C(=O)CN(C(C)C)[C@H](C)c1ccccc1)C1CC1 ZINC001559880529 1059700546 /nfs/dbraw/zinc/70/05/46/1059700546.db2.gz KRHUDLQYGIAMRA-QGZVFWFLSA-N 0 3 316.489 4.105 20 0 DIADHN CCc1ncc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)o1 ZINC001559882989 1059703882 /nfs/dbraw/zinc/70/38/82/1059703882.db2.gz AVYYULKJQSNIQL-UHFFFAOYSA-N 0 3 312.457 4.309 20 0 DIADHN Clc1cccc2c1CN(CC1([C@H]3CCCCO3)CCC1)CC2 ZINC001559898310 1059714428 /nfs/dbraw/zinc/71/44/28/1059714428.db2.gz RZHIYOFUWJYPGO-GOSISDBHSA-N 0 3 319.876 4.438 20 0 DIADHN CCc1onc(C)c1CN1CCC[C@H]1Cc1cc(F)ccc1F ZINC001559920718 1059730912 /nfs/dbraw/zinc/73/09/12/1059730912.db2.gz UJKSETJJUDKDOY-HNNXBMFYSA-N 0 3 320.383 4.031 20 0 DIADHN C[C@]1(Cc2ccc(F)cc2)CCCN1Cc1cncc(Cl)c1 ZINC001559922553 1059736699 /nfs/dbraw/zinc/73/66/99/1059736699.db2.gz JYMIZEVKKIBDSJ-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@@H](C)[C@H](C(=O)OC(C)(C)C)C1 ZINC001559940929 1059758068 /nfs/dbraw/zinc/75/80/68/1059758068.db2.gz GDBYMDASDNVCQN-IIAWOOMASA-N 0 3 321.436 4.186 20 0 DIADHN CCN1[C@H](C)CN([C@H](C)c2cc(Cl)ccc2Cl)C[C@@H]1C ZINC001559956148 1059764919 /nfs/dbraw/zinc/76/49/19/1059764919.db2.gz NYXSZQFNOMJSRE-FRRDWIJNSA-N 0 3 315.288 4.469 20 0 DIADHN COc1ccc(Cl)c(N2CCN(C[C@H](C)CC(C)C)CC2)c1 ZINC001559965406 1059773842 /nfs/dbraw/zinc/77/38/42/1059773842.db2.gz BPRLRYGCDPXOLT-OAHLLOKOSA-N 0 3 324.896 4.153 20 0 DIADHN CC1(C)CCC[C@@H](CN2CCS[C@H](c3ccccc3)C2)O1 ZINC001559974207 1059780330 /nfs/dbraw/zinc/78/03/30/1059780330.db2.gz TUCAOFCOBIMLFV-IRXDYDNUSA-N 0 3 305.487 4.124 20 0 DIADHN CC(C)CC[C@@H](O)CN1CCc2oc(-c3ccccc3)cc2C1 ZINC001559975751 1059783615 /nfs/dbraw/zinc/78/36/15/1059783615.db2.gz YKPZQMNLYGDEGX-GOSISDBHSA-N 0 3 313.441 4.102 20 0 DIADHN Cc1ccc2c(c1)CN(CCCc1c(F)cccc1F)CC2 ZINC001559979469 1059783919 /nfs/dbraw/zinc/78/39/19/1059783919.db2.gz BLOXVVRQJFKFAH-UHFFFAOYSA-N 0 3 301.380 4.264 20 0 DIADHN FCCNC1(c2ccc(Br)cc2)CCCCC1 ZINC001559993050 1059797281 /nfs/dbraw/zinc/79/72/81/1059797281.db2.gz NZZPQRJAATZTLX-UHFFFAOYSA-N 0 3 300.215 4.168 20 0 DIADHN CCC[C@H](C)CCCN[C@H](CC)c1nnc2n1CCCCC2 ZINC001559996375 1059803151 /nfs/dbraw/zinc/80/31/51/1059803151.db2.gz UQIPVUVFJCTBFP-JKSUJKDBSA-N 0 3 306.498 4.262 20 0 DIADHN CCCN(CCOCc1ccccc1)Cc1ccccc1F ZINC001560003598 1059810765 /nfs/dbraw/zinc/81/07/65/1059810765.db2.gz XMQFSIZWHBMOCU-UHFFFAOYSA-N 0 3 301.405 4.255 20 0 DIADHN C[C@@H]1CCC[C@@H](CN[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001560013441 1059819834 /nfs/dbraw/zinc/81/98/34/1059819834.db2.gz UAIXCJSNOMBGSF-LALPHHSUSA-N 0 3 316.272 4.443 20 0 DIADHN CN(C)c1ncc(CN2CCC[C@@H]3CCCC[C@@H]32)c2ccccc21 ZINC001560016628 1059824720 /nfs/dbraw/zinc/82/47/20/1059824720.db2.gz KOBUDMCMDMBBLZ-JXFKEZNVSA-N 0 3 323.484 4.455 20 0 DIADHN CC1(C)CCc2onc(CN3CCC[C@@H]4CCCC[C@@H]43)c2C1 ZINC001560016903 1059826066 /nfs/dbraw/zinc/82/60/66/1059826066.db2.gz UCYCDKMBGLWFQZ-YOEHRIQHSA-N 0 3 302.462 4.344 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccn(-c2ccccc2F)n1 ZINC001560018452 1059827336 /nfs/dbraw/zinc/82/73/36/1059827336.db2.gz RTKDMKAVWMXXPV-GOEBONIOSA-N 0 3 301.409 4.164 20 0 DIADHN COc1cncc(CN(Cc2cccc(Cl)c2)CC(C)C)c1 ZINC001560026500 1059838556 /nfs/dbraw/zinc/83/85/56/1059838556.db2.gz XGJUANLWMIFHEM-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN CC(C)CCO[C@@H]1CCN(CCCc2c(F)cccc2F)C1 ZINC001560033744 1059845965 /nfs/dbraw/zinc/84/59/65/1059845965.db2.gz CGBOHQQUVXMXHL-OAHLLOKOSA-N 0 3 311.416 4.034 20 0 DIADHN FC1CCN(Cc2cccc(-c3ccc(Cl)cc3)n2)CC1 ZINC001248504814 1059858936 /nfs/dbraw/zinc/85/89/36/1059858936.db2.gz TVVQHMYAEPMBEC-UHFFFAOYSA-N 0 3 304.796 4.336 20 0 DIADHN CC1(C)CC[C@@H](CN2CCc3cc(C(F)(F)F)ccc3C2)O1 ZINC001560049158 1059863034 /nfs/dbraw/zinc/86/30/34/1059863034.db2.gz PBNURHNOPYKHNM-HNNXBMFYSA-N 0 3 313.363 4.021 20 0 DIADHN COc1c(C)cccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001248533322 1059871008 /nfs/dbraw/zinc/87/10/08/1059871008.db2.gz CPFNKVMJMILCIH-QGZVFWFLSA-N 0 3 312.413 4.132 20 0 DIADHN Fc1ccc2occc2c1CN1CCC(c2ccccn2)CC1 ZINC001248539895 1059872282 /nfs/dbraw/zinc/87/22/82/1059872282.db2.gz XURHJZKQAMTKDZ-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN C[C@H]1CC(CCN2CCc3nc(C4CC4)ncc3C2)C[C@H](C)C1 ZINC001560067673 1059882987 /nfs/dbraw/zinc/88/29/87/1059882987.db2.gz RGWIBAQCXOYQFO-HUUCEWRRSA-N 0 3 313.489 4.175 20 0 DIADHN Cc1oncc1CN1CCC[C@]1(C)Cc1ccc(F)c(Cl)c1 ZINC001560074191 1059886025 /nfs/dbraw/zinc/88/60/25/1059886025.db2.gz MVGRRTJCCCLEEY-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@H](Oc2cccc(F)c2)C1 ZINC001560074651 1059889687 /nfs/dbraw/zinc/88/96/87/1059889687.db2.gz ADZXSVNCRKFGKY-SFHVURJKSA-N 0 3 319.807 4.441 20 0 DIADHN CCN(Cc1cccc(C(F)(F)F)c1)[C@@H](CO)c1ccccc1 ZINC001560097477 1059906465 /nfs/dbraw/zinc/90/64/65/1059906465.db2.gz FCPPPGUXJXBKFI-KRWDZBQOSA-N 0 3 323.358 4.261 20 0 DIADHN Cc1cccc(Br)c1CN1CCC[C@@H](F)CC1 ZINC001248603297 1059910322 /nfs/dbraw/zinc/91/03/22/1059910322.db2.gz GUWHIPJEIPNTSK-GFCCVEGCSA-N 0 3 300.215 4.082 20 0 DIADHN FC[C@@H]1CCN([C@@H]2CCCc3ccc(Br)cc32)C1 ZINC001560103776 1059910486 /nfs/dbraw/zinc/91/04/86/1059910486.db2.gz YYMNLGFNHLHQRP-XHDPSFHLSA-N 0 3 312.226 4.118 20 0 DIADHN C[C@]1(Cc2cc(F)c(F)c(F)c2)CCCN1Cc1ccncc1 ZINC001560109228 1059915403 /nfs/dbraw/zinc/91/54/03/1059915403.db2.gz WRJMQIYGRYMCRV-GOSISDBHSA-N 0 3 320.358 4.096 20 0 DIADHN CC[C@@]1(CCc2ccccc2)CCCN1Cc1nnc(C)s1 ZINC001560106845 1059916642 /nfs/dbraw/zinc/91/66/42/1059916642.db2.gz GLMLRLRAJBUBKZ-SFHVURJKSA-N 0 3 315.486 4.224 20 0 DIADHN CC(C)Oc1cc(CN2C[C@@H]3C[C@H]2C[C@@H]3F)cc(OC(C)C)c1 ZINC001248660371 1059927560 /nfs/dbraw/zinc/92/75/60/1059927560.db2.gz RERBOZHQIPWSSD-BXWFABGCSA-N 0 3 321.436 4.193 20 0 DIADHN C=C/C=C/CCN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001560121205 1059928414 /nfs/dbraw/zinc/92/84/14/1059928414.db2.gz RQWLTVLTLOEACB-UEKDZROGSA-N 0 3 302.437 4.027 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)C[C@@H]1COC2(CCCCC2)O1 ZINC001560126797 1059931594 /nfs/dbraw/zinc/93/15/94/1059931594.db2.gz QPTBMJHWIISOCM-RHSMWYFYSA-N 0 3 323.864 4.409 20 0 DIADHN Cc1ccc(F)cc1CN1CC[C@@H](c2c(F)cccc2F)C1 ZINC001560129899 1059936141 /nfs/dbraw/zinc/93/61/41/1059936141.db2.gz BQHGAIDEXHMAHX-CYBMUJFWSA-N 0 3 305.343 4.402 20 0 DIADHN CC(C)(C)OC[C@@H]1CCCN1Cc1cnc(-c2ccccc2)o1 ZINC001560130523 1059937144 /nfs/dbraw/zinc/93/71/44/1059937144.db2.gz JJKCCDFMUQOCGN-INIZCTEOSA-N 0 3 314.429 4.121 20 0 DIADHN FC(F)(F)c1ccccc1CN1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC001560137334 1059938457 /nfs/dbraw/zinc/93/84/57/1059938457.db2.gz BRTGQFLPSLEGEV-AEFFLSMTSA-N 0 3 317.354 4.479 20 0 DIADHN Cc1noc2ccc(CN3CC[C@@H](Oc4ccccc4C)C3)cc12 ZINC001248702359 1059940577 /nfs/dbraw/zinc/94/05/77/1059940577.db2.gz HTHNOAVRIVJFIP-QGZVFWFLSA-N 0 3 322.408 4.098 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CSC[C@@H]2C1 ZINC001560139171 1059943534 /nfs/dbraw/zinc/94/35/34/1059943534.db2.gz XMGCOSLKBVAJNB-GARJFASQSA-N 0 3 302.270 4.349 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@@H](Cc2nccs2)C1 ZINC001560155937 1059950907 /nfs/dbraw/zinc/95/09/07/1059950907.db2.gz YKOCZBAQRQEABD-AWEZNQCLSA-N 0 3 304.434 4.045 20 0 DIADHN CC[C@H](NC[C@H]1CCC2(CCC2)CO1)c1cc(F)ccc1OC ZINC001560158543 1059954193 /nfs/dbraw/zinc/95/41/93/1059954193.db2.gz OHNZFXJQULUTEZ-WBVHZDCISA-N 0 3 321.436 4.224 20 0 DIADHN CC(C)OCCN1CC[C@@H](c2cc(F)c(F)c(F)c2)C1(C)C ZINC001560164093 1059958695 /nfs/dbraw/zinc/95/86/95/1059958695.db2.gz KXTACPQPXQLHAE-ZDUSSCGKSA-N 0 3 315.379 4.097 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc(Br)n1C ZINC001248776803 1059972433 /nfs/dbraw/zinc/97/24/33/1059972433.db2.gz LWTZUYZPEZAZMK-OAHLLOKOSA-N 0 3 321.262 4.371 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(-c2ccn(C)n2)cc1 ZINC001248766709 1059974116 /nfs/dbraw/zinc/97/41/16/1059974116.db2.gz CQIRDLDYEYYLLS-RMKNXTFCSA-N 0 3 317.436 4.232 20 0 DIADHN COc1cccc(O[C@H]2CCCN(Cc3csc(C)c3)C2)c1 ZINC001248803275 1059985116 /nfs/dbraw/zinc/98/51/16/1059985116.db2.gz PSDFWJDTMOCGBF-SFHVURJKSA-N 0 3 317.454 4.109 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2csc(C)c2)cc1OC ZINC001248803112 1059985271 /nfs/dbraw/zinc/98/52/71/1059985271.db2.gz GYCHYXPFYNEEIF-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN CCCCC1(NCc2cn3cc(Cl)cc(Cl)c3n2)CC1 ZINC001560211953 1059990135 /nfs/dbraw/zinc/99/01/35/1059990135.db2.gz YPHXYVZKEHBZGL-UHFFFAOYSA-N 0 3 312.244 4.454 20 0 DIADHN Clc1ccc(/C=C/CN2CC[C@H](Cc3nccs3)C2)cc1 ZINC001560214255 1059992365 /nfs/dbraw/zinc/99/23/65/1059992365.db2.gz JCJPMHJUEMKDDA-BGTMTDRBSA-N 0 3 318.873 4.374 20 0 DIADHN Cc1cccnc1[C@H](C)NCCOc1cccc2ccccc21 ZINC001560221288 1059995536 /nfs/dbraw/zinc/99/55/36/1059995536.db2.gz QSHZLYQQEPIQNP-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN Clc1cc(-c2ccccc2)ccc1CN1CCC2(COC2)C1 ZINC001248820026 1059996854 /nfs/dbraw/zinc/99/68/54/1059996854.db2.gz WLMASLFJLHDWMC-UHFFFAOYSA-N 0 3 313.828 4.229 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CCC[C@@H](C3CCCC3)C2)n1 ZINC001560226114 1059999971 /nfs/dbraw/zinc/99/99/71/1059999971.db2.gz KVQACOZYPMWSBJ-GOSISDBHSA-N 0 3 309.457 4.275 20 0 DIADHN C[C@]1(CO)CCN(Cc2ccc(-c3ccccc3)cc2Cl)C1 ZINC001248819042 1060000222 /nfs/dbraw/zinc/00/02/22/1060000222.db2.gz KJLQSTFMWTZIFQ-IBGZPJMESA-N 0 3 315.844 4.211 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCO[C@H](C(C)(C)C)CC1 ZINC001560236246 1060005349 /nfs/dbraw/zinc/00/53/49/1060005349.db2.gz JEWDKKFOLQOEOE-IBGZPJMESA-N 0 3 318.505 4.404 20 0 DIADHN Cc1noc([C@H](NC[C@@H]2CC[C@@H](c3ccccc3)C2)C(C)C)n1 ZINC001560243072 1060008393 /nfs/dbraw/zinc/00/83/93/1060008393.db2.gz NRPJKMXKAZMIRD-KBAYOESNSA-N 0 3 313.445 4.249 20 0 DIADHN CC(C)Sc1nccc(CN2CCC[C@@H]3CCCC[C@@H]32)n1 ZINC001248832197 1060010617 /nfs/dbraw/zinc/01/06/17/1060010617.db2.gz FFWVSFCEXUDPJE-HOCLYGCPSA-N 0 3 305.491 4.132 20 0 DIADHN CCN(Cc1cccs1)Cc1cn(C)c2ccnc(Cl)c12 ZINC001248838091 1060010789 /nfs/dbraw/zinc/01/07/89/1060010789.db2.gz GDIZYEZJWKIWGI-UHFFFAOYSA-N 0 3 319.861 4.310 20 0 DIADHN COc1ccc(CNCc2cnc(-c3ccsc3)s2)cc1 ZINC001560249649 1060015535 /nfs/dbraw/zinc/01/55/35/1060015535.db2.gz YOODHTWKUYNWPO-UHFFFAOYSA-N 0 3 316.451 4.170 20 0 DIADHN Fc1ccc(CNCc2cccc(Cl)c2)c2ncccc12 ZINC001560249080 1060016999 /nfs/dbraw/zinc/01/69/99/1060016999.db2.gz QSPKJSSWQHXTMC-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN c1ccc(COc2ccc(CN3CCC34CCCC4)nc2)cc1 ZINC001248861030 1060021135 /nfs/dbraw/zinc/02/11/35/1060021135.db2.gz BDKCOJQEXQZHKL-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN Cc1ccc(CNCc2ccc(F)c(C)c2)cc1Br ZINC001560254701 1060020903 /nfs/dbraw/zinc/02/09/03/1060020903.db2.gz HNIWVTUWQDJDKE-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccc(OCc2ccccc2)cn1 ZINC001248860778 1060023370 /nfs/dbraw/zinc/02/33/70/1060023370.db2.gz PYCRYTNOOVFRDL-IAGOWNOFSA-N 0 3 310.441 4.424 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OCc3ccccc3)cn2)C2(CC2)C1 ZINC001248863757 1060028015 /nfs/dbraw/zinc/02/80/15/1060028015.db2.gz BBGVWPQEXNLCKO-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN Cc1nc(CN(C)CCc2ccc(Cl)cc2)ccc1Cl ZINC001248877737 1060033408 /nfs/dbraw/zinc/03/34/08/1060033408.db2.gz MZQDKMZWDQLUMH-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CC(C)COc1ccccc1CNCCOc1ccc(F)cc1 ZINC001560263874 1060035482 /nfs/dbraw/zinc/03/54/82/1060035482.db2.gz KGPRHDZVZJKFBK-UHFFFAOYSA-N 0 3 317.404 4.029 20 0 DIADHN CCCc1cccc(CNCc2ccc(NC(=O)OC)cc2)c1 ZINC001560268728 1060040373 /nfs/dbraw/zinc/04/03/73/1060040373.db2.gz ZICFPDFCOBSVIR-UHFFFAOYSA-N 0 3 312.413 4.107 20 0 DIADHN Cc1cnccc1CNCc1ccc(COc2ccccc2)cc1 ZINC001560268712 1060041375 /nfs/dbraw/zinc/04/13/75/1060041375.db2.gz YOMLKGRNKLIBEJ-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CCCCOc1ccc(CCNCc2ncc(CC)s2)cc1 ZINC001560272182 1060044104 /nfs/dbraw/zinc/04/41/04/1060044104.db2.gz XRSRLJGBWDHZFC-UHFFFAOYSA-N 0 3 318.486 4.217 20 0 DIADHN CCN1CCN(c2ccc(NCc3cc(C)cc(C)c3)cc2)CC1 ZINC001560279314 1060054845 /nfs/dbraw/zinc/05/48/45/1060054845.db2.gz POSOXROUOGVAEC-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN CC(=O)Nc1cccc(CNC/C=C/c2cc(C)c(C)cc2C)c1 ZINC001560280218 1060058814 /nfs/dbraw/zinc/05/88/14/1060058814.db2.gz DRGVRBJQCXDWIZ-SOFGYWHQSA-N 0 3 322.452 4.373 20 0 DIADHN CCOc1ccc(CNCc2ccc(Cl)c(C)c2)cc1OC ZINC001560282150 1060061783 /nfs/dbraw/zinc/06/17/83/1060061783.db2.gz XLCQTMVTBXSUFN-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN Cc1ccc(Cc2nc(-c3ccc(CN(C)C)cc3)no2)c(C)c1 ZINC001248943432 1060075105 /nfs/dbraw/zinc/07/51/05/1060075105.db2.gz ZGTICZUXCDMMSV-UHFFFAOYSA-N 0 3 321.424 4.006 20 0 DIADHN CCOc1cccc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC001560294041 1060078559 /nfs/dbraw/zinc/07/85/59/1060078559.db2.gz LBWKRPIULLVSCZ-PMACEKPBSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1ccc(CCNCc2nc3c(s2)C[C@@H](C)CC3)c(C)c1 ZINC001560296189 1060080103 /nfs/dbraw/zinc/08/01/03/1060080103.db2.gz HHEMLYDMUWSPBT-AWEZNQCLSA-N 0 3 314.498 4.217 20 0 DIADHN Cc1cc(CNCc2cccc(COC(C)(C)C)c2)cc(C)n1 ZINC001560303507 1060088173 /nfs/dbraw/zinc/08/81/73/1060088173.db2.gz OSGJNRPMBPQBRF-UHFFFAOYSA-N 0 3 312.457 4.303 20 0 DIADHN Cc1nnsc1CNC[C@H](C)c1c(Cl)cccc1Cl ZINC001560303521 1060088574 /nfs/dbraw/zinc/08/85/74/1060088574.db2.gz PDSRDWCTMYJHIU-QMMMGPOBSA-N 0 3 316.257 4.047 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H]3CCc4c3cccc4Cl)ccc2O1 ZINC001560310064 1060097301 /nfs/dbraw/zinc/09/73/01/1060097301.db2.gz HQSNSNYBYKARGF-SGTLLEGYSA-N 0 3 313.828 4.441 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cc(C)cc(C)c2)cc1C ZINC001560316651 1060104098 /nfs/dbraw/zinc/10/40/98/1060104098.db2.gz FNBSDZIJPNTSIV-INIZCTEOSA-N 0 3 311.425 4.249 20 0 DIADHN Cn1c(CN[C@H]2CSCc3ccccc32)cc2ccccc21 ZINC001560324749 1060112454 /nfs/dbraw/zinc/11/24/54/1060112454.db2.gz DAFQFHOZXQCYAK-SFHVURJKSA-N 0 3 308.450 4.256 20 0 DIADHN Cc1cc2cc(CN[C@H]3COc4ccccc4C3)oc2cc1C ZINC001560325244 1060112703 /nfs/dbraw/zinc/11/27/03/1060112703.db2.gz ZSUGFNIIDYOTPW-QGZVFWFLSA-N 0 3 307.393 4.143 20 0 DIADHN Cc1ccc(F)c(CNCCN2c3ccccc3CC[C@@H]2C)c1 ZINC001560330905 1060117957 /nfs/dbraw/zinc/11/79/57/1060117957.db2.gz DFISJCPBHSNVRJ-INIZCTEOSA-N 0 3 312.432 4.065 20 0 DIADHN Cc1ccc(F)c(CNCCN2c3ccccc3CC[C@H]2C)c1 ZINC001560330906 1060118180 /nfs/dbraw/zinc/11/81/80/1060118180.db2.gz DFISJCPBHSNVRJ-MRXNPFEDSA-N 0 3 312.432 4.065 20 0 DIADHN Cc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)c(Cl)nc1C ZINC001249028086 1060120535 /nfs/dbraw/zinc/12/05/35/1060120535.db2.gz HJXLUQVGGJQDHS-OAHLLOKOSA-N 0 3 310.844 4.456 20 0 DIADHN C[C@@H]1CCCN(Cc2sc(Cl)c3ccccc32)[C@@H]1CO ZINC001249043055 1060128119 /nfs/dbraw/zinc/12/81/19/1060128119.db2.gz IMUBYBKATDYUSZ-BXUZGUMPSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1ccc([C@H](C)CNCc2cccnc2Br)cc1 ZINC001560342779 1060128328 /nfs/dbraw/zinc/12/83/28/1060128328.db2.gz GNNXURLRGWFCIL-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN C[C@@H]1CCN(Cc2sc(Cl)c3ccccc32)C[C@@]1(C)O ZINC001249051489 1060131032 /nfs/dbraw/zinc/13/10/32/1060131032.db2.gz RMOXRQBYPBOBIP-BDJLRTHQSA-N 0 3 309.862 4.148 20 0 DIADHN CCc1ccccc1CNCc1ccc(Oc2cnccn2)cc1 ZINC001560346552 1060132335 /nfs/dbraw/zinc/13/23/35/1060132335.db2.gz BNLKTIPICAVMIT-UHFFFAOYSA-N 0 3 319.408 4.121 20 0 DIADHN Cc1ccc(N2CCC[C@H](NCc3sccc3Cl)C2)cc1 ZINC001560353485 1060140517 /nfs/dbraw/zinc/14/05/17/1060140517.db2.gz MIWMTQJFJXVDFU-AWEZNQCLSA-N 0 3 320.889 4.469 20 0 DIADHN Cc1ccc(O[C@@H](C)CNCc2cccc(Cl)c2F)cc1 ZINC001560353990 1060140819 /nfs/dbraw/zinc/14/08/19/1060140819.db2.gz BSHDVSLIOPWBNI-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN COC(=O)C[C@@H]1CCCN1Cc1sc(Cl)c2ccccc21 ZINC001249066949 1060143161 /nfs/dbraw/zinc/14/31/61/1060143161.db2.gz GDSBXBJGMLUVQR-NSHDSACASA-N 0 3 323.845 4.082 20 0 DIADHN COC[C@H](NC[C@@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC001560362579 1060147923 /nfs/dbraw/zinc/14/79/23/1060147923.db2.gz PYLYVLDHGRELRX-YJBOKZPZSA-N 0 3 301.430 4.134 20 0 DIADHN COC[C@@H](NC[C@@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC001560362577 1060148753 /nfs/dbraw/zinc/14/87/53/1060148753.db2.gz PYLYVLDHGRELRX-MAUKXSAKSA-N 0 3 301.430 4.134 20 0 DIADHN COC1CCC(CNCc2nc(-c3cccs3)oc2C)CC1 ZINC001560369199 1060156046 /nfs/dbraw/zinc/15/60/46/1060156046.db2.gz PUEOKZOUUJRKJS-UHFFFAOYSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1ccc(-c2ccccc2)cc1 ZINC001560370765 1060157814 /nfs/dbraw/zinc/15/78/14/1060157814.db2.gz WQABURNKNSTWBZ-QFIPXVFZSA-N 0 3 317.432 4.485 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2OC2CCCC2)CCCO1 ZINC001560372729 1060158500 /nfs/dbraw/zinc/15/85/00/1060158500.db2.gz WDDNJQGMUIZHIQ-GOSISDBHSA-N 0 3 323.864 4.320 20 0 DIADHN CCCCC(C)(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001249155807 1060171746 /nfs/dbraw/zinc/17/17/46/1060171746.db2.gz NMJZLTHWNOYNPZ-UHFFFAOYSA-N 0 3 301.434 4.266 20 0 DIADHN CC(C)C(NCc1csc(COc2ccccc2)n1)C(C)C ZINC001560391074 1060176383 /nfs/dbraw/zinc/17/63/83/1060176383.db2.gz MYFXNZTXWNARPT-UHFFFAOYSA-N 0 3 318.486 4.492 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](NCc1ccco1)C(C)(C)C ZINC001560397749 1060182387 /nfs/dbraw/zinc/18/23/87/1060182387.db2.gz KYYCFSKZPWSMCV-QGZVFWFLSA-N 0 3 301.430 4.480 20 0 DIADHN CCCn1c(CNCCCc2cccs2)nc2ccccc21 ZINC001560398460 1060183682 /nfs/dbraw/zinc/18/36/82/1060183682.db2.gz SRCGBAAIFXCXOH-UHFFFAOYSA-N 0 3 313.470 4.230 20 0 DIADHN CCCC1(CNCc2c(F)cncc2Br)CCC1 ZINC001560397248 1060183899 /nfs/dbraw/zinc/18/38/99/1060183899.db2.gz OTGJBCWTMCMQGP-UHFFFAOYSA-N 0 3 315.230 4.043 20 0 DIADHN C[C@@H](CCc1ccccc1)CNCc1nc(C2CC2)cs1 ZINC001560408919 1060192365 /nfs/dbraw/zinc/19/23/65/1060192365.db2.gz DDWWLXWFDMWMQY-AWEZNQCLSA-N 0 3 300.471 4.379 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1nc(C)c(C)s1 ZINC001560408996 1060192692 /nfs/dbraw/zinc/19/26/92/1060192692.db2.gz GMNNIJUNFMLBSH-AWEZNQCLSA-N 0 3 306.500 4.420 20 0 DIADHN Fc1ccc(CNCCOc2ccccc2Cl)c(Cl)c1 ZINC001560410610 1060194827 /nfs/dbraw/zinc/19/48/27/1060194827.db2.gz IBUISWQPYNTUKL-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1c[nH]nc1-c1ccccc1)CCC2 ZINC001560412241 1060197998 /nfs/dbraw/zinc/19/79/98/1060197998.db2.gz NELGTKYGOLWLNO-HNNXBMFYSA-N 0 3 324.453 4.009 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1ccccc1Cl ZINC001560415052 1060199793 /nfs/dbraw/zinc/19/97/93/1060199793.db2.gz VOXLXYLYYNTRIX-YVEFUNNKSA-N 0 3 307.796 4.345 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CC[C@H](c2ccccc2)C1 ZINC001249263850 1060206830 /nfs/dbraw/zinc/20/68/30/1060206830.db2.gz ZCALQOAPVQDMJM-KRWDZBQOSA-N 0 3 321.449 4.195 20 0 DIADHN Clc1cscc1CNC1CC(OCc2ccccc2)C1 ZINC001560432889 1060220113 /nfs/dbraw/zinc/22/01/13/1060220113.db2.gz QXXCATWOOSJSLC-UHFFFAOYSA-N 0 3 307.846 4.239 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H]1CCC2(CCCCC2)O1 ZINC001560440186 1060229044 /nfs/dbraw/zinc/22/90/44/1060229044.db2.gz LOMPBPMDAZJPRP-MRXNPFEDSA-N 0 3 323.864 4.320 20 0 DIADHN COc1nsc(CNCC[C@H](C)CC(C)(C)C)c1Cl ZINC001560440885 1060229542 /nfs/dbraw/zinc/22/95/42/1060229542.db2.gz JDHFRVYKBAQKAW-JTQLQIEISA-N 0 3 304.887 4.357 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCC(c2cccs2)CC1 ZINC001249407620 1060238191 /nfs/dbraw/zinc/23/81/91/1060238191.db2.gz LXNOOVPMIONKHU-CQSZACIVSA-N 0 3 317.502 4.375 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001249409267 1060239865 /nfs/dbraw/zinc/23/98/65/1060239865.db2.gz WHCXSAFUPFZRNB-GOEBONIOSA-N 0 3 307.457 4.038 20 0 DIADHN COc1ccc2nc(CN3CCC4(CCCCC4)CC3)[nH]c2c1 ZINC001249411657 1060240550 /nfs/dbraw/zinc/24/05/50/1060240550.db2.gz FHFNOTSRGLIRJI-UHFFFAOYSA-N 0 3 313.445 4.118 20 0 DIADHN Cc1ccc(CN2CCC3(CCCC3)CC2)c(Br)n1 ZINC001249417064 1060240928 /nfs/dbraw/zinc/24/09/28/1060240928.db2.gz LRNUCCXOGCZFST-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]3CCCC[C@H]32)c(Br)n1 ZINC001249419047 1060241677 /nfs/dbraw/zinc/24/16/77/1060241677.db2.gz HREDSDIVCLBBFY-DZGCQCFKSA-N 0 3 323.278 4.307 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001249409266 1060242497 /nfs/dbraw/zinc/24/24/97/1060242497.db2.gz WHCXSAFUPFZRNB-GDBMZVCRSA-N 0 3 307.457 4.038 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN(Cc1ccccc1)C1CCC1 ZINC001249410255 1060243667 /nfs/dbraw/zinc/24/36/67/1060243667.db2.gz RFECXLPJTZDMMA-INIZCTEOSA-N 0 3 311.473 4.488 20 0 DIADHN Brc1coc2ccc(CN3C[C@@H]4CCC[C@@H]4C3)cc12 ZINC001249495447 1060259500 /nfs/dbraw/zinc/25/95/00/1060259500.db2.gz RHWBHIWXENETDG-BETUJISGSA-N 0 3 320.230 4.427 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(C(C)(C)C)c[nH]3)C2)c1 ZINC001249480574 1060263145 /nfs/dbraw/zinc/26/31/45/1060263145.db2.gz YOETYHJXYAVQOL-INIZCTEOSA-N 0 3 312.457 4.310 20 0 DIADHN CC(C)(O)CN(Cc1cc(C(C)(C)C)c[nH]1)Cc1ccccc1 ZINC001249479530 1060263356 /nfs/dbraw/zinc/26/33/56/1060263356.db2.gz HHJLTCTXBFZABL-UHFFFAOYSA-N 0 3 314.473 4.085 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1cccc2c1NCC2 ZINC000469868458 1060263859 /nfs/dbraw/zinc/26/38/59/1060263859.db2.gz CIJDUPCFRNKJDE-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN Brc1coc2ccc(CN3C[C@H]4CCC[C@@H]4C3)cc12 ZINC001249495448 1060264319 /nfs/dbraw/zinc/26/43/19/1060264319.db2.gz RHWBHIWXENETDG-CHWSQXEVSA-N 0 3 320.230 4.427 20 0 DIADHN Cc1csc(CNCc2ccccc2OCc2cccnc2)c1 ZINC001560478201 1060269713 /nfs/dbraw/zinc/26/97/13/1060269713.db2.gz NQMCLCHUUJKPMS-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN CC[C@H](C)[C@H](CNCc1cc2cccc(C)c2nc1Cl)OC ZINC001560479493 1060270232 /nfs/dbraw/zinc/27/02/32/1060270232.db2.gz OUKOVPXXNYKGPB-LRDDRELGSA-N 0 3 320.864 4.347 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN(C)CCCc2ccccc2)cn1 ZINC001249514415 1060270579 /nfs/dbraw/zinc/27/05/79/1060270579.db2.gz KEFOZCBVPSHBOA-UHFFFAOYSA-N 0 3 322.374 4.473 20 0 DIADHN c1[nH]c(CNC[C@H]2CSc3ccccc32)nc1-c1ccccc1 ZINC001560483076 1060275203 /nfs/dbraw/zinc/27/52/03/1060275203.db2.gz MWIATORVVZLQNF-HNNXBMFYSA-N 0 3 321.449 4.056 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249526129 1060276322 /nfs/dbraw/zinc/27/63/22/1060276322.db2.gz QUWXDIJBJXEUBU-INIZCTEOSA-N 0 3 322.383 4.012 20 0 DIADHN CC/C=C/CNCc1ccc(Br)cc1SC ZINC001560485216 1060278509 /nfs/dbraw/zinc/27/85/09/1060278509.db2.gz NJGKVJRRQVWLQZ-SNAWJCMRSA-N 0 3 300.265 4.227 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2o1)C[C@@H]1CC1(C)C ZINC001249540502 1060282202 /nfs/dbraw/zinc/28/22/02/1060282202.db2.gz FQLTYRPQPAMNGM-JTQLQIEISA-N 0 3 323.234 4.068 20 0 DIADHN OCC[C@@H](NC/C=C\c1cccc(F)c1)c1ccc(Cl)cc1 ZINC001560490037 1060283608 /nfs/dbraw/zinc/28/36/08/1060283608.db2.gz ISDGPRSDBUCSFA-HCABJEFNSA-N 0 3 319.807 4.206 20 0 DIADHN Cc1ncc(Nc2cccc(SCC(F)(F)F)c2)n1C ZINC001249565273 1060289826 /nfs/dbraw/zinc/28/98/26/1060289826.db2.gz VRGCDAZPGDSVHF-UHFFFAOYSA-N 0 3 301.337 4.127 20 0 DIADHN CC(C)(C)c1ccccc1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249560407 1060290781 /nfs/dbraw/zinc/29/07/81/1060290781.db2.gz WEIIMULWBBUSAX-QGZVFWFLSA-N 0 3 314.473 4.165 20 0 DIADHN C[C@@H](CCOCc1ccccc1)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001560494524 1060291613 /nfs/dbraw/zinc/29/16/13/1060291613.db2.gz OVFNWQNKYHVWJK-AWEZNQCLSA-N 0 3 319.832 4.131 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1ncc(Br)s1 ZINC001560502568 1060295200 /nfs/dbraw/zinc/29/52/00/1060295200.db2.gz SIYZSGDWNJQFEV-NXEZZACHSA-N 0 3 317.296 4.210 20 0 DIADHN CO[C@@H](CNCc1ccccc1OC1CCCC1)CC(C)C ZINC001560509195 1060307666 /nfs/dbraw/zinc/30/76/66/1060307666.db2.gz UHMWGRXMBJDWAR-GOSISDBHSA-N 0 3 305.462 4.159 20 0 DIADHN C[C@@H](COCC1CC1)NCc1ccc(-c2cccc(F)c2F)o1 ZINC001560524670 1060323554 /nfs/dbraw/zinc/32/35/54/1060323554.db2.gz WKIZXPHMRVIYHS-LBPRGKRZSA-N 0 3 321.367 4.130 20 0 DIADHN CC1(C)CC(CNCc2cc3n(n2)CCCC3)CC(C)(C)C1 ZINC001560527020 1060327883 /nfs/dbraw/zinc/32/78/83/1060327883.db2.gz PWYKHOVPBPKXKP-UHFFFAOYSA-N 0 3 303.494 4.162 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1nc(Cl)ccc1Br ZINC001560531168 1060330983 /nfs/dbraw/zinc/33/09/83/1060330983.db2.gz VZYNJAADFJJTMK-SECBINFHSA-N 0 3 319.674 4.412 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]CCc2ccc(C3CCCCC3)cc2)n1 ZINC001560530717 1060331370 /nfs/dbraw/zinc/33/13/70/1060331370.db2.gz MYFQLFHMUUIPPJ-UHFFFAOYSA-N 0 3 324.468 4.476 20 0 DIADHN C[C@H](CN[C@H](CO)c1ccc(F)cc1F)CC1CCCCC1 ZINC001560541303 1060345750 /nfs/dbraw/zinc/34/57/50/1060345750.db2.gz RMQGBPUTMDASGM-SCLBCKFNSA-N 0 3 311.416 4.194 20 0 DIADHN CCSC1(CNCc2ccc(Oc3ccccc3)o2)CC1 ZINC001560541138 1060347591 /nfs/dbraw/zinc/34/75/91/1060347591.db2.gz MHUUPFFHNOVTKL-UHFFFAOYSA-N 0 3 303.427 4.447 20 0 DIADHN CC1(C)[C@H](CNCc2ccc(-c3ccccc3F)o2)C1(F)F ZINC001560542805 1060348614 /nfs/dbraw/zinc/34/86/14/1060348614.db2.gz SVAJNSPNRKOFSJ-HNNXBMFYSA-N 0 3 309.331 4.467 20 0 DIADHN c1csc(-c2nc(CNC[C@@H]3CC[C@H]4C[C@H]4C3)cs2)c1 ZINC001560542178 1060350078 /nfs/dbraw/zinc/35/00/78/1060350078.db2.gz WTIPWVGHTMUJOA-FRRDWIJNSA-N 0 3 304.484 4.397 20 0 DIADHN CC1(C)[C@@H](CNCc2ccc(-c3ccccc3F)o2)C1(F)F ZINC001560542806 1060350185 /nfs/dbraw/zinc/35/01/85/1060350185.db2.gz SVAJNSPNRKOFSJ-OAHLLOKOSA-N 0 3 309.331 4.467 20 0 DIADHN CCCc1ccc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)cc1 ZINC001560544526 1060351510 /nfs/dbraw/zinc/35/15/10/1060351510.db2.gz ZHIIRLUXJIUSID-NHCUHLMSSA-N 0 3 311.469 4.487 20 0 DIADHN CC(C)(CNCc1cn(C2CC2)cn1)c1ccc2ccccc2c1 ZINC001560551245 1060360253 /nfs/dbraw/zinc/36/02/53/1060360253.db2.gz GEHWTDWVPJDLFB-UHFFFAOYSA-N 0 3 319.452 4.439 20 0 DIADHN CC[C@](C)(NCc1ccc(F)c(OC)c1)c1ccc(F)cc1 ZINC001560556035 1060366595 /nfs/dbraw/zinc/36/65/95/1060366595.db2.gz MXKNJWYGGNIRCS-SFHVURJKSA-N 0 3 305.368 4.388 20 0 DIADHN CCC(CC)(CNCc1ccc2c(n1)CCC2)C(F)(F)F ZINC001560563835 1060373487 /nfs/dbraw/zinc/37/34/87/1060373487.db2.gz ZUSNURCOZPNDEB-UHFFFAOYSA-N 0 3 300.368 4.029 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@H]2CCC[C@H](C(C)(C)C)CC2)n1 ZINC001560562620 1060373599 /nfs/dbraw/zinc/37/35/99/1060373599.db2.gz BWMCCQKZORBYTJ-STQMWFEESA-N 0 3 313.436 4.442 20 0 DIADHN C[C@]1(CNCc2cccc(Cl)c2F)OCCc2ccccc21 ZINC001560570817 1060380689 /nfs/dbraw/zinc/38/06/89/1060380689.db2.gz WRZGGDKLFKBHLM-GOSISDBHSA-N 0 3 319.807 4.057 20 0 DIADHN COC[C@H](C)NCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC001560569745 1060381204 /nfs/dbraw/zinc/38/12/04/1060381204.db2.gz GINYZDZMQMTFHG-JTQLQIEISA-N 0 3 314.212 4.378 20 0 DIADHN Fc1cccc(Br)c1CNCCC[C@@H]1C=CCC1 ZINC001560576803 1060391128 /nfs/dbraw/zinc/39/11/28/1060391128.db2.gz RGQSQZDXCGAALQ-GFCCVEGCSA-N 0 3 312.226 4.424 20 0 DIADHN CC(C)OC1(CNCc2ccc(CSC(F)F)o2)CCC1 ZINC001560578869 1060394656 /nfs/dbraw/zinc/39/46/56/1060394656.db2.gz MJHVGAZGIWBPID-UHFFFAOYSA-N 0 3 319.417 4.173 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(F)cc2C)cc1C ZINC001560582818 1060398447 /nfs/dbraw/zinc/39/84/47/1060398447.db2.gz WEDSUAUGRXUHDW-AWEZNQCLSA-N 0 3 315.388 4.080 20 0 DIADHN COc1cc(CN(C)C2CCCCC2)c(F)c(F)c1Cl ZINC001249787882 1060400168 /nfs/dbraw/zinc/40/01/68/1060400168.db2.gz IZVKZLWWVXDCJT-UHFFFAOYSA-N 0 3 303.780 4.391 20 0 DIADHN Cc1nc(CNCC2CCC=CCC2)sc1Br ZINC001560598889 1060413542 /nfs/dbraw/zinc/41/35/42/1060413542.db2.gz RRHCOTMSNJHIRE-UHFFFAOYSA-N 0 3 315.280 4.050 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H]2c3ccccc3CC[C@H]2O)c(C)c1 ZINC001560601318 1060414647 /nfs/dbraw/zinc/41/46/47/1060414647.db2.gz UORIFVPGLGPOCV-ZUJPCKDCSA-N 0 3 321.464 4.263 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H]2c3ccccc3CC[C@H]2O)c(C)c1 ZINC001560601317 1060415749 /nfs/dbraw/zinc/41/57/49/1060415749.db2.gz UORIFVPGLGPOCV-DLYONDFNSA-N 0 3 321.464 4.263 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN[C@@H]1CCCc2ccccc21 ZINC001560608847 1060422718 /nfs/dbraw/zinc/42/27/18/1060422718.db2.gz IIUSSPBDMYNYQX-LJQANCHMSA-N 0 3 303.409 4.244 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN[C@@H]1CCCc2ccccc21 ZINC001560608847 1060422731 /nfs/dbraw/zinc/42/27/31/1060422731.db2.gz IIUSSPBDMYNYQX-LJQANCHMSA-N 0 3 303.409 4.244 20 0 DIADHN C[C@H]1CCc2nc(CNCC[C@H]3CCC(F)(F)C3)sc2C1 ZINC001560614474 1060427911 /nfs/dbraw/zinc/42/79/11/1060427911.db2.gz MERPXBQXEBMKCM-NWDGAFQWSA-N 0 3 314.445 4.183 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC001560615193 1060429566 /nfs/dbraw/zinc/42/95/66/1060429566.db2.gz JKPSQFJTOZGQLJ-GBIKHYSHSA-N 0 3 303.299 4.003 20 0 DIADHN COc1ccc([C@@H](C)NC/C(C)=C/c2ccccc2)c(OC)c1 ZINC001560619162 1060432469 /nfs/dbraw/zinc/43/24/69/1060432469.db2.gz KWOGDEDUOOKFGV-ASNKMWSDSA-N 0 3 311.425 4.458 20 0 DIADHN C[C@@H]1CC[C@H](C)N(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001560627997 1060437543 /nfs/dbraw/zinc/43/75/43/1060437543.db2.gz ODZPKOLXBWLEEE-OLZOCXBDSA-N 0 3 309.475 4.324 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3ccnn3CC3CC3)C2)cc1 ZINC001560630164 1060440142 /nfs/dbraw/zinc/44/01/42/1060440142.db2.gz OOSKBTOYPNPMGN-FQEVSTJZSA-N 0 3 323.484 4.056 20 0 DIADHN CCOC(=O)CN(CC(C)C)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC001560630676 1060441921 /nfs/dbraw/zinc/44/19/21/1060441921.db2.gz FYXAYHUVYBCERB-AZUAARDMSA-N 0 3 317.473 4.018 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cc(C)cc(Br)c1 ZINC001560629769 1060442083 /nfs/dbraw/zinc/44/20/83/1060442083.db2.gz CIFDKIHGRRELJE-AWEZNQCLSA-N 0 3 314.292 4.085 20 0 DIADHN COC(=O)c1cc(CN2CCC3(C2)CCCCC3)[nH]c1C(C)C ZINC001560632815 1060443693 /nfs/dbraw/zinc/44/36/93/1060443693.db2.gz ZMRCVFGYWZCFMU-UHFFFAOYSA-N 0 3 318.461 4.081 20 0 DIADHN Cc1coc(CN(CCc2ccc(C)cc2)C[C@H]2CCCO2)c1 ZINC001560631431 1060443719 /nfs/dbraw/zinc/44/37/19/1060443719.db2.gz FVEUDIMWTWAVQR-LJQANCHMSA-N 0 3 313.441 4.120 20 0 DIADHN COc1ccccc1C(=O)Cc1ccc(CN2CCCCC2)cc1 ZINC001249887163 1060447546 /nfs/dbraw/zinc/44/75/46/1060447546.db2.gz CCBKYLUEHSOTTN-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CC(C)C1(CN(C)Cc2cc(Br)ccc2F)CC1 ZINC001560662648 1060490692 /nfs/dbraw/zinc/49/06/92/1060490692.db2.gz HCWGATXXFASDRE-UHFFFAOYSA-N 0 3 314.242 4.456 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccc2c(c1)OCCCCO2 ZINC001560648432 1060469622 /nfs/dbraw/zinc/46/96/22/1060469622.db2.gz OOHBWAIABOHRKT-CQSZACIVSA-N 0 3 317.454 4.493 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001560647307 1060469812 /nfs/dbraw/zinc/46/98/12/1060469812.db2.gz UUXLVNDLKKCODM-FZMZJTMJSA-N 0 3 321.408 4.402 20 0 DIADHN CCCn1nccc1CN(C)CCc1cccc2ccccc21 ZINC001560651558 1060473351 /nfs/dbraw/zinc/47/33/51/1060473351.db2.gz QTJKMKJPSCWIQJ-UHFFFAOYSA-N 0 3 307.441 4.121 20 0 DIADHN CCOc1ccc2c(c1)CN(Cc1cccc3ccoc31)CC2 ZINC001560651004 1060474908 /nfs/dbraw/zinc/47/49/08/1060474908.db2.gz FEEMOFKKTUVDKP-UHFFFAOYSA-N 0 3 307.393 4.390 20 0 DIADHN CCC[C@H]1CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001560661962 1060487266 /nfs/dbraw/zinc/48/72/66/1060487266.db2.gz MJMMJHMXNLIWBM-HNNXBMFYSA-N 0 3 301.409 4.024 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1cccc(C)c1C ZINC001560666258 1060494268 /nfs/dbraw/zinc/49/42/68/1060494268.db2.gz AXTQEVFXAVXJGY-ZWKOTPCHSA-N 0 3 316.489 4.390 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)CC1CCC(C)(C)CC1 ZINC001560669496 1060496316 /nfs/dbraw/zinc/49/63/16/1060496316.db2.gz QHGNURPDXQLQIH-UHFFFAOYSA-N 0 3 316.489 4.336 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@H]1CCC[C@H](CC)C1 ZINC001560669629 1060502328 /nfs/dbraw/zinc/50/23/28/1060502328.db2.gz VLAXYQVOXWIYIG-IRXDYDNUSA-N 0 3 316.489 4.336 20 0 DIADHN c1ccc(COc2cc(CN3CCC34CCCC4)ccn2)cc1 ZINC001249963117 1060507658 /nfs/dbraw/zinc/50/76/58/1060507658.db2.gz QWPZCYKEMUNDLB-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN COc1cc(CN2CCC[C@@H]2CCC(C)C)c(Cl)cc1O ZINC001560676247 1060513573 /nfs/dbraw/zinc/51/35/73/1060513573.db2.gz WHFVCOZYERQVQH-CQSZACIVSA-N 0 3 311.853 4.455 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCOC3(CCCC3)C2)cc1 ZINC001560678345 1060517356 /nfs/dbraw/zinc/51/73/56/1060517356.db2.gz ZUNDTYMSNDWBBT-UHFFFAOYSA-N 0 3 301.474 4.172 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc3oc(=O)oc3c2)c(C)c1 ZINC001560681797 1060520478 /nfs/dbraw/zinc/52/04/78/1060520478.db2.gz VMPGJKHQTXOTPT-AWEZNQCLSA-N 0 3 311.381 4.196 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1ccc(SC)o1 ZINC001560683903 1060525388 /nfs/dbraw/zinc/52/53/88/1060525388.db2.gz QGLAKWUYKURECF-CYBMUJFWSA-N 0 3 305.443 4.073 20 0 DIADHN CC[C@@H]1CN(Cc2cc3ccccc3c(OC)c2)CCS1 ZINC001560685196 1060531244 /nfs/dbraw/zinc/53/12/44/1060531244.db2.gz MQIGRALRQWYCJN-MRXNPFEDSA-N 0 3 301.455 4.176 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nc2ccc(Cl)cc2n1C ZINC001560687671 1060535263 /nfs/dbraw/zinc/53/52/63/1060535263.db2.gz NOGMVDSAVXAJCJ-QWHCGFSZSA-N 0 3 305.853 4.237 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001560687666 1060535426 /nfs/dbraw/zinc/53/54/26/1060535426.db2.gz NOGMVDSAVXAJCJ-CHWSQXEVSA-N 0 3 305.853 4.237 20 0 DIADHN Fc1cc(F)c2c(c1)CN(C[C@H]1CCc3ccccc3C1)CC2 ZINC001560689023 1060537055 /nfs/dbraw/zinc/53/70/55/1060537055.db2.gz AXDHQOJCXPYDQZ-AWEZNQCLSA-N 0 3 313.391 4.128 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001560687672 1060538064 /nfs/dbraw/zinc/53/80/64/1060538064.db2.gz NOGMVDSAVXAJCJ-STQMWFEESA-N 0 3 305.853 4.237 20 0 DIADHN C[C@]1(c2ccccc2)CN(C[C@H]2C[C@H]2c2ccccc2)CCO1 ZINC001560690730 1060539640 /nfs/dbraw/zinc/53/96/40/1060539640.db2.gz DMGNKDBAXQMIGG-HLAWJBBLSA-N 0 3 307.437 4.038 20 0 DIADHN CC(C)CN(C(=O)CCC1CCCCCC1)C1CCN(C)CC1 ZINC001527520311 1060548963 /nfs/dbraw/zinc/54/89/63/1060548963.db2.gz ODYDHJIGOQMZEQ-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN CCc1cc(OC)ccc1CN(C)Cc1ccc(OC)c(C)c1 ZINC001560699896 1060556041 /nfs/dbraw/zinc/55/60/41/1060556041.db2.gz HFTPULAIYNITFZ-UHFFFAOYSA-N 0 3 313.441 4.207 20 0 DIADHN Cc1ccc(C2CCN(C/C=C\c3cccc(F)c3)CC2)cn1 ZINC001560704315 1060561620 /nfs/dbraw/zinc/56/16/20/1060561620.db2.gz DCYJYVQLAQBKET-HYXAFXHYSA-N 0 3 310.416 4.422 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@H](COc3ccccc3)C2)cc1 ZINC001560706192 1060565315 /nfs/dbraw/zinc/56/53/15/1060565315.db2.gz CRGRQSIBJZTHAU-XDXAGZTOSA-N 0 3 311.400 4.240 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCN(c3ccccc3F)CC2)C1 ZINC001560715849 1060573037 /nfs/dbraw/zinc/57/30/37/1060573037.db2.gz ZMODFCCCKABWJM-IAGOWNOFSA-N 0 3 304.453 4.164 20 0 DIADHN CNc1ccc(C)cc1CN(C)CCOc1cccc(Cl)c1 ZINC001560721175 1060582067 /nfs/dbraw/zinc/58/20/67/1060582067.db2.gz ZCGYOHSATPCUMG-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(CSC)cc1 ZINC001560725361 1060589161 /nfs/dbraw/zinc/58/91/61/1060589161.db2.gz UKXKZODCAPCEOZ-UHFFFAOYSA-N 0 3 315.482 4.198 20 0 DIADHN CCCc1ccc(CN(CC)Cc2ccc3c(c2)OCO3)s1 ZINC001560726331 1060590171 /nfs/dbraw/zinc/59/01/71/1060590171.db2.gz MMVIBZYUDOXRMI-UHFFFAOYSA-N 0 3 317.454 4.451 20 0 DIADHN CC[C@@H](C)[C@@H](CN(C)Cc1ccccc1OC(F)(F)F)OC ZINC001560727507 1060593557 /nfs/dbraw/zinc/59/35/57/1060593557.db2.gz KBIYHIOBRDFHOH-IUODEOHRSA-N 0 3 319.367 4.078 20 0 DIADHN Fc1ccccc1CN(C[C@@H]1CCN(c2ccccc2)C1)C1CC1 ZINC001560731019 1060598558 /nfs/dbraw/zinc/59/85/58/1060598558.db2.gz ODCVUSKLNIJQRO-QGZVFWFLSA-N 0 3 324.443 4.317 20 0 DIADHN COc1nc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)ccc1C ZINC001560734237 1060603362 /nfs/dbraw/zinc/60/33/62/1060603362.db2.gz FYJFHQAMFRQKCR-AZUAARDMSA-N 0 3 324.468 4.448 20 0 DIADHN CCOC(=O)CC(C)(C)CN1CC=C(c2cccc(C)c2)CC1 ZINC001560746756 1060613414 /nfs/dbraw/zinc/61/34/14/1060613414.db2.gz OBGAOVXQUMUUSN-UHFFFAOYSA-N 0 3 315.457 4.064 20 0 DIADHN CCCCCN(CCCOC)Cc1nc2c(s1)C[C@H](C)CC2 ZINC001560749713 1060616291 /nfs/dbraw/zinc/61/62/91/1060616291.db2.gz LDZAEPKWKNFZNB-OAHLLOKOSA-N 0 3 324.534 4.297 20 0 DIADHN CC[C@@H](CCc1ccccc1)N[C@H]1COc2cc(F)cc(F)c21 ZINC001560751539 1060617946 /nfs/dbraw/zinc/61/79/46/1060617946.db2.gz PPTXRNTWNLLKSX-RDJZCZTQSA-N 0 3 317.379 4.399 20 0 DIADHN CCCN(CC[C@H](C)c1ccccc1)[C@H](CC)C(=O)OCC ZINC001560754779 1060620360 /nfs/dbraw/zinc/62/03/60/1060620360.db2.gz RDVZVCVLVNIWPE-FUHWJXTLSA-N 0 3 305.462 4.234 20 0 DIADHN COC(=O)c1c(Cl)cccc1Nc1ccnc(C2CC2)c1 ZINC001250146078 1060645610 /nfs/dbraw/zinc/64/56/10/1060645610.db2.gz FMZGPGDJYKWYIQ-UHFFFAOYSA-N 0 3 302.761 4.143 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1CCc1ccc(C)c(C)c1 ZINC001560796875 1060657870 /nfs/dbraw/zinc/65/78/70/1060657870.db2.gz NLYIJSPKKHVHEQ-HXUWFJFHSA-N 0 3 317.473 4.044 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)c2c(n1)CCCC2 ZINC001560797765 1060658932 /nfs/dbraw/zinc/65/89/32/1060658932.db2.gz NWFZXCWFSDLKKB-WFASDCNBSA-N 0 3 312.379 4.042 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCCC[C@@H]2C2CC2)c(F)c1F ZINC001560801583 1060661080 /nfs/dbraw/zinc/66/10/80/1060661080.db2.gz STQJNMISGGUURQ-YGRLFVJLSA-N 0 3 301.327 4.301 20 0 DIADHN Fc1cc(F)c(CNC[C@H]2CCc3ccccc3C2)c(F)c1F ZINC001560800962 1060661099 /nfs/dbraw/zinc/66/10/99/1060661099.db2.gz BRIDZVWCHSZNNX-NSHDSACASA-N 0 3 323.333 4.138 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC(c2cccnc2)CC1 ZINC001250180743 1060663136 /nfs/dbraw/zinc/66/31/36/1060663136.db2.gz SDZFLIWHUZBOEC-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN COc1c(F)c(Cl)ccc1Nc1ccccc1CN(C)C ZINC001250195010 1060672182 /nfs/dbraw/zinc/67/21/82/1060672182.db2.gz XQLLOQPIWUJIRA-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN Cc1nc(Cc2ccc(CN3CCCCC3)c(F)c2)cs1 ZINC001250198886 1060677058 /nfs/dbraw/zinc/67/70/58/1060677058.db2.gz WGRIDVXQECXKNJ-UHFFFAOYSA-N 0 3 304.434 4.167 20 0 DIADHN CC[C@H]1CC[C@H]1NCc1cc(F)c(F)cc1Br ZINC001560817865 1060677482 /nfs/dbraw/zinc/67/74/82/1060677482.db2.gz IIOATRVCUUBFQG-ISVAXAHUSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1ccc(CN2CCC3(C[C@H](F)CO3)CC2)c(C)c1Cl ZINC001250203994 1060681654 /nfs/dbraw/zinc/68/16/54/1060681654.db2.gz QOSVUXFAHXAMRW-HNNXBMFYSA-N 0 3 311.828 4.050 20 0 DIADHN C[C@H](CNc1cc(N(C)C)c(Br)cn1)C(C)(C)C ZINC001560835501 1060693281 /nfs/dbraw/zinc/69/32/81/1060693281.db2.gz QKAQBPYMCYXNKD-SNVBAGLBSA-N 0 3 314.271 4.004 20 0 DIADHN Clc1ccc2sc(CN3CCSC[C@@H]3C3CC3)cc2n1 ZINC001560844085 1060699536 /nfs/dbraw/zinc/69/95/36/1060699536.db2.gz SMAKYOASALFBAC-CYBMUJFWSA-N 0 3 324.902 4.277 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1ccc(Br)o1 ZINC001560845245 1060701851 /nfs/dbraw/zinc/70/18/51/1060701851.db2.gz QTTWFMGBPNUWKL-AWEZNQCLSA-N 0 3 300.240 4.443 20 0 DIADHN CC[C@@H]1CN(C)CCN1Cc1sc2c(cccc2Cl)c1C ZINC001560849626 1060707032 /nfs/dbraw/zinc/70/70/32/1060707032.db2.gz YOMYOFVWTUDGQR-CYBMUJFWSA-N 0 3 322.905 4.389 20 0 DIADHN CCCc1ccc(CN2CCN(C)Cc3ccccc32)s1 ZINC001560849535 1060707201 /nfs/dbraw/zinc/70/72/01/1060707201.db2.gz WJZNGGAFENYWLR-UHFFFAOYSA-N 0 3 300.471 4.153 20 0 DIADHN COc1nc2ccccc2cc1CN1CCC2(CCCC2)CC1 ZINC001560850315 1060707783 /nfs/dbraw/zinc/70/77/83/1060707783.db2.gz MNEJXFCLENPLRY-UHFFFAOYSA-N 0 3 310.441 4.400 20 0 DIADHN CC(C)O[C@H](CN1CCN(C)Cc2ccccc21)c1ccccc1 ZINC001560849666 1060708806 /nfs/dbraw/zinc/70/88/06/1060708806.db2.gz ZMILFBZBIRQOOM-OAQYLSRUSA-N 0 3 324.468 4.105 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)cc1 ZINC001560855989 1060714652 /nfs/dbraw/zinc/71/46/52/1060714652.db2.gz GWLPEXPNIAVPOV-IBGZPJMESA-N 0 3 323.484 4.326 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CNCc1ncc(C(F)(F)F)s1 ZINC001560860415 1060716183 /nfs/dbraw/zinc/71/61/83/1060716183.db2.gz MJEHNLODOFNOAY-MNOVXSKESA-N 0 3 306.397 4.468 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CCC[C@H](C2CC2)C1 ZINC001560867839 1060728516 /nfs/dbraw/zinc/72/85/16/1060728516.db2.gz PEEOWMYVNAEDTM-HNNXBMFYSA-N 0 3 314.454 4.448 20 0 DIADHN Cc1ccc2cc(CN3CC(c4ccc(F)cc4)C3)ccc2n1 ZINC001560875062 1060735206 /nfs/dbraw/zinc/73/52/06/1060735206.db2.gz ZGIOABOGFOZNDD-UHFFFAOYSA-N 0 3 306.384 4.282 20 0 DIADHN Clc1ccc(CC2CN(C[C@H]3CC3(Cl)Cl)C2)cc1 ZINC001560875909 1060735317 /nfs/dbraw/zinc/73/53/17/1060735317.db2.gz MHNHSUKOOWJDCI-GFCCVEGCSA-N 0 3 304.648 4.008 20 0 DIADHN CCN(Cc1cnc(CC(F)(F)F)s1)C1CC(C)(C)C1 ZINC001560883204 1060743212 /nfs/dbraw/zinc/74/32/12/1060743212.db2.gz MOKJTYZYRGFUPR-UHFFFAOYSA-N 0 3 306.397 4.258 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccc(C)c2ccccc12 ZINC001560888179 1060750564 /nfs/dbraw/zinc/75/05/64/1060750564.db2.gz WCEDREMYAFSOPV-UHFFFAOYSA-N 0 3 318.420 4.396 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN(C)CC(=O)NCc1ccccc1 ZINC001560894118 1060758038 /nfs/dbraw/zinc/75/80/38/1060758038.db2.gz CUNBTQVSDYRYIK-GOSISDBHSA-N 0 3 316.489 4.007 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1N[C@@H]1CCc2cccc(Cl)c2C1 ZINC001560897432 1060759105 /nfs/dbraw/zinc/75/91/05/1060759105.db2.gz AORCLZOAJZHLLW-LZQZEXGQSA-N 0 3 315.819 4.424 20 0 DIADHN Cc1ccc(CN(Cc2cnc(Cl)n2C)CC(C)C)c(C)c1 ZINC001560899621 1060763312 /nfs/dbraw/zinc/76/33/12/1060763312.db2.gz YWLZNFPANQIHGO-UHFFFAOYSA-N 0 3 319.880 4.349 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@H](Oc3ccccc3)C2)cc1F ZINC001560903766 1060768616 /nfs/dbraw/zinc/76/86/16/1060768616.db2.gz CNYDGAPUGNJZAE-BDUNBXCCSA-N 0 3 315.363 4.131 20 0 DIADHN CC[C@@H](CN1[C@H](C)CC[C@@H]1C(=O)OC(C)(C)C)c1ccccc1 ZINC001560920993 1060785986 /nfs/dbraw/zinc/78/59/86/1060785986.db2.gz XPMFGIATYFULDY-SOLBZPMBSA-N 0 3 317.473 4.375 20 0 DIADHN CCC(CC)CCCN(C)Cc1ccc(Br)nc1 ZINC001560937408 1060799936 /nfs/dbraw/zinc/79/99/36/1060799936.db2.gz DAEXYNQDVDSGCP-UHFFFAOYSA-N 0 3 313.283 4.492 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001560942799 1060807373 /nfs/dbraw/zinc/80/73/73/1060807373.db2.gz UAKODCLHAGFRPV-NVXWUHKLSA-N 0 3 313.489 4.125 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3cccn3C3CC3)C2)cc1F ZINC001560945410 1060811285 /nfs/dbraw/zinc/81/12/85/1060811285.db2.gz ZZUCRIASVSRYDS-OAHLLOKOSA-N 0 3 316.395 4.481 20 0 DIADHN Cc1n[nH]c(CN2CCC[C@]2(C)Cc2ccccc2Cl)c1C ZINC001560951987 1060818780 /nfs/dbraw/zinc/81/87/80/1060818780.db2.gz XEOHNHXBNVBPFA-GOSISDBHSA-N 0 3 317.864 4.277 20 0 DIADHN CCCn1cncc1CN1CCC(c2ccc(CC)cc2)CC1 ZINC001560956696 1060822490 /nfs/dbraw/zinc/82/24/90/1060822490.db2.gz GEOUJHYUMGCUSR-UHFFFAOYSA-N 0 3 311.473 4.235 20 0 DIADHN CCCN(Cc1cncc(Br)c1Cl)CC(C)C ZINC001250470662 1060830940 /nfs/dbraw/zinc/83/09/40/1060830940.db2.gz HJBYGIIEFSIWSX-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN C[C@]1(CN2CCN(c3cccc(F)c3)CC2)C[C@@H]1c1ccccc1 ZINC001560973016 1060838304 /nfs/dbraw/zinc/83/83/04/1060838304.db2.gz GNFHNLOUOMLGDR-NHCUHLMSSA-N 0 3 324.443 4.142 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC([C@H]2CCC[C@@H](C)C2)C1 ZINC001560977886 1060842147 /nfs/dbraw/zinc/84/21/47/1060842147.db2.gz LEUUGMNGGCVQRE-PBHICJAKSA-N 0 3 303.494 4.339 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2noc3cc(F)ccc23)C1(C)C ZINC001560981178 1060844273 /nfs/dbraw/zinc/84/42/73/1060844273.db2.gz KLYVSIMDXGNGEL-CVEARBPZSA-N 0 3 320.408 4.039 20 0 DIADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccc(-c2ccccc2)cn1 ZINC001560993161 1060853435 /nfs/dbraw/zinc/85/34/35/1060853435.db2.gz AEBVAOKAENBWLJ-WRGRXTPVSA-N 0 3 322.452 4.357 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H](C)[C@@H]1CCCCO1 ZINC001560989430 1060853755 /nfs/dbraw/zinc/85/37/55/1060853755.db2.gz CMMJYCWZYPNRCI-CJNGLKHVSA-N 0 3 311.853 4.174 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Oc3cccc(F)c3)cc2)CCO1 ZINC001561016333 1060872560 /nfs/dbraw/zinc/87/25/60/1060872560.db2.gz UXQLQPUALGRPEM-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)N[C@H](c1ncccn1)C1CC1 ZINC001561016980 1060873461 /nfs/dbraw/zinc/87/34/61/1060873461.db2.gz MEZIWGAONBNZHR-NHYWBVRUSA-N 0 3 321.877 4.254 20 0 DIADHN Cc1cc(F)c(CN[C@@H](C)CCOCc2ccccc2)c(F)c1 ZINC001561019621 1060878675 /nfs/dbraw/zinc/87/86/75/1060878675.db2.gz JGDPCPAIAZPINF-HNNXBMFYSA-N 0 3 319.395 4.358 20 0 DIADHN Clc1ccnc(Cl)c1CN[C@@H]1CCCc2ccccc2C1 ZINC001561032235 1060884561 /nfs/dbraw/zinc/88/45/61/1060884561.db2.gz VGAFLVQNERIEEQ-CQSZACIVSA-N 0 3 321.251 4.426 20 0 DIADHN CCOc1ccc(CCN(C)Cc2ccc(SC)o2)cc1 ZINC001561041534 1060893077 /nfs/dbraw/zinc/89/30/77/1060893077.db2.gz WHQJDIQGCVIWOS-UHFFFAOYSA-N 0 3 305.443 4.075 20 0 DIADHN Cc1c2[nH]c(Cc3ccccc3Br)nc2ccc1F ZINC001250554033 1060893161 /nfs/dbraw/zinc/89/31/61/1060893161.db2.gz IEOMRJHUIFUMSZ-UHFFFAOYSA-N 0 3 319.177 4.364 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@@H](CO)c1cc(F)ccc1F ZINC001561047550 1060898818 /nfs/dbraw/zinc/89/88/18/1060898818.db2.gz RNXLIBAOWGSGRP-KDOFPFPSSA-N 0 3 311.416 4.360 20 0 DIADHN FC(F)n1cccc1CNCc1cccc(OC(F)(F)F)c1 ZINC001561048668 1060899136 /nfs/dbraw/zinc/89/91/36/1060899136.db2.gz BDWPTKDPDAPJJT-UHFFFAOYSA-N 0 3 320.261 4.072 20 0 DIADHN CCc1ccc2c(c1)CN(Cc1cccc3c1NCCC3)CC2 ZINC001561054980 1060905927 /nfs/dbraw/zinc/90/59/27/1060905927.db2.gz FPLUTDSZOPIZMF-UHFFFAOYSA-N 0 3 306.453 4.165 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2ccc(Cl)cc2F)CS1 ZINC001462129748 1060952968 /nfs/dbraw/zinc/95/29/68/1060952968.db2.gz WCSZPFHIZPPWAA-GXTWGEPZSA-N 0 3 301.858 4.489 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(C)cc(C)n2)C12CCCCC2 ZINC001473199520 1060956296 /nfs/dbraw/zinc/95/62/96/1060956296.db2.gz MTRAATJXZIQAMZ-RTBURBONSA-N 0 3 316.489 4.258 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2C(C)(C)C)cc1Cl ZINC001473201097 1060962999 /nfs/dbraw/zinc/96/29/99/1060962999.db2.gz MNVXSFNTNOTYPE-HNNXBMFYSA-N 0 3 308.853 4.097 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1CC[C@@](C)(CCc2ccccc2)C1 ZINC001473212103 1060980805 /nfs/dbraw/zinc/98/08/05/1060980805.db2.gz DUQRBNJCIQPREJ-AZUAARDMSA-N 0 3 317.473 4.063 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@@H]2CCN(C)[C@@H]2C)c(C(C)C)c1 ZINC001562127130 1060981715 /nfs/dbraw/zinc/98/17/15/1060981715.db2.gz ZPBZKNLVCIFHGX-RHSMWYFYSA-N 0 3 317.477 4.148 20 0 DIADHN Fc1ccc(OCCN2CC[C@@H]2Cc2ccccc2)cc1Cl ZINC001473210977 1060982849 /nfs/dbraw/zinc/98/28/49/1060982849.db2.gz FVZVPOWUOLUFJC-OAHLLOKOSA-N 0 3 319.807 4.175 20 0 DIADHN CCCC[C@H](CC)CN1CCOC[C@@H]1C[C@@H](O)c1ccccc1 ZINC001473221100 1060993625 /nfs/dbraw/zinc/99/36/25/1060993625.db2.gz WRAKESVBCINQPB-YSIASYRMSA-N 0 3 319.489 4.027 20 0 DIADHN COc1ccc(Br)cc1CN(C)CCCC(C)C ZINC001473290453 1061062727 /nfs/dbraw/zinc/06/27/27/1061062727.db2.gz AQGDETWJMPUBOM-UHFFFAOYSA-N 0 3 314.267 4.326 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C1CC1 ZINC001462183268 1061004564 /nfs/dbraw/zinc/00/45/64/1061004564.db2.gz HMKABQJZVPLPFS-NHYWBVRUSA-N 0 3 303.381 4.023 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001473243520 1061019182 /nfs/dbraw/zinc/01/91/82/1061019182.db2.gz CXIHZFJKIGFQHG-YJBOKZPZSA-N 0 3 316.489 4.451 20 0 DIADHN COc1cccc(-c2c[nH]c([C@H]3CCCN3CCC3CC3)n2)c1 ZINC001473243495 1061019449 /nfs/dbraw/zinc/01/94/49/1061019449.db2.gz KGNCSMAMPOEMAC-GOSISDBHSA-N 0 3 311.429 4.022 20 0 DIADHN CCN(Cc1cnn(C)c1)Cc1cc(Cl)cc2ccccc21 ZINC001473243903 1061021624 /nfs/dbraw/zinc/02/16/24/1061021624.db2.gz MAIVWLLNFNWBPI-UHFFFAOYSA-N 0 3 313.832 4.249 20 0 DIADHN Fc1ccc(CCN2CC(Cc3ccccc3Cl)C2)cc1 ZINC001462205549 1061024722 /nfs/dbraw/zinc/02/47/22/1061024722.db2.gz DPEHPBLBJFOADP-UHFFFAOYSA-N 0 3 303.808 4.196 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCc1c(F)cccc1F)CCC2 ZINC001473248985 1061025875 /nfs/dbraw/zinc/02/58/75/1061025875.db2.gz LORDDSWVVCTQEG-MRXNPFEDSA-N 0 3 322.424 4.282 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NCC(=O)NCC2CC2)C(C)C)cc1 ZINC001473257042 1061033691 /nfs/dbraw/zinc/03/36/91/1061033691.db2.gz YXVFQGTWSADSOO-MGPUTAFESA-N 0 3 316.489 4.013 20 0 DIADHN CCSc1ccccc1C[C@H](C)N[C@@H](C)c1nccn1CC ZINC001462232164 1061043905 /nfs/dbraw/zinc/04/39/05/1061043905.db2.gz NXRNYUPQIDDVBL-GJZGRUSLSA-N 0 3 317.502 4.297 20 0 DIADHN CCSc1ccccc1C[C@@H](C)N[C@@H](C)c1nccn1CC ZINC001462232163 1061045008 /nfs/dbraw/zinc/04/50/08/1061045008.db2.gz NXRNYUPQIDDVBL-CABCVRRESA-N 0 3 317.502 4.297 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001473276260 1061048373 /nfs/dbraw/zinc/04/83/73/1061048373.db2.gz ZBDNXKJUDVDDCU-INIZCTEOSA-N 0 3 308.853 4.323 20 0 DIADHN CC(C)C[C@@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001473275359 1061048720 /nfs/dbraw/zinc/04/87/20/1061048720.db2.gz CGHCZRLDNWMMKQ-AWEZNQCLSA-N 0 3 323.864 4.369 20 0 DIADHN CCOc1ccc(Cl)cc1CN1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC001462246444 1061050380 /nfs/dbraw/zinc/05/03/80/1061050380.db2.gz BBSUMYQEMPKMJM-WMZOPIPTSA-N 0 3 305.849 4.359 20 0 DIADHN CCOc1c(Cl)cccc1CN1C[C@H](C2CC2)[C@H]1C1CC1 ZINC001462246522 1061051070 /nfs/dbraw/zinc/05/10/70/1061051070.db2.gz CCQSOMXGJRFWBY-NVXWUHKLSA-N 0 3 305.849 4.359 20 0 DIADHN CN(CCCC1CCCC1)Cc1cc(Br)cn1C ZINC001473280798 1061051967 /nfs/dbraw/zinc/05/19/67/1061051967.db2.gz DZCMRFYUXZYPDC-UHFFFAOYSA-N 0 3 313.283 4.190 20 0 DIADHN CC(C)(C)OC1CC(N[C@@H]2CCc3cc(F)c(F)c(F)c32)C1 ZINC001462253966 1061055365 /nfs/dbraw/zinc/05/53/65/1061055365.db2.gz KFYBULXTSBNSPM-GCZXYKMCSA-N 0 3 313.363 4.027 20 0 DIADHN COC/C(C)=C/CN1Cc2ccccc2C[C@@H]1c1ccccc1 ZINC001473289494 1061057273 /nfs/dbraw/zinc/05/72/73/1061057273.db2.gz KRTPJDFHDBXUCM-GUOCTKQSSA-N 0 3 307.437 4.379 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCSC[C@H]2C2CC2)c(C)c1 ZINC001462261498 1061060403 /nfs/dbraw/zinc/06/04/03/1061060403.db2.gz UXSMSJDDRRHTDS-PXPVJAHISA-N 0 3 301.499 4.452 20 0 DIADHN C[C@H](CCO)N(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001473307818 1061070812 /nfs/dbraw/zinc/07/08/12/1061070812.db2.gz SHEBNASXJZTYKR-CQSZACIVSA-N 0 3 321.823 4.252 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(F)cc2Cl)[C@H]1SC ZINC001462277617 1061071594 /nfs/dbraw/zinc/07/15/94/1061071594.db2.gz YBKLHKOKSUCLQS-SEWBAHNZSA-N 0 3 317.857 4.039 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1noc2c1CC(C)(C)CC2 ZINC001473314059 1061078755 /nfs/dbraw/zinc/07/87/55/1061078755.db2.gz HOBSCYQPNGLQBQ-GJZGRUSLSA-N 0 3 312.457 4.471 20 0 DIADHN C[C@@H](NCCc1ccc2c(c1)CCO2)c1c(F)cccc1Cl ZINC001473316453 1061082189 /nfs/dbraw/zinc/08/21/89/1061082189.db2.gz YUUFQZQOCSVSKG-GFCCVEGCSA-N 0 3 319.807 4.307 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1cc(C)cc(C)c1)c1cc(F)ccc1F ZINC001473322959 1061085440 /nfs/dbraw/zinc/08/54/40/1061085440.db2.gz CRXVOANGIKQSLO-RTBURBONSA-N 0 3 319.395 4.356 20 0 DIADHN CC1(C)CN(CCc2ccc(F)cc2F)Cc2ccccc2O1 ZINC001462299175 1061088700 /nfs/dbraw/zinc/08/87/00/1061088700.db2.gz LVJNMMNUOBPSDM-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)c3cccnc23)cc1 ZINC001473327483 1061090424 /nfs/dbraw/zinc/09/04/24/1061090424.db2.gz UVKZTNUZJTVITJ-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1cnn([C@@H]2CCN(C/C=C\c3c(C)cc(C)cc3C)C2)c1 ZINC001462303697 1061091706 /nfs/dbraw/zinc/09/17/06/1061091706.db2.gz DGGJJIDJGBOCKP-SFFCAUCYSA-N 0 3 309.457 4.077 20 0 DIADHN C[C@H](NCCN1CCCc2ccccc21)c1cccc(F)c1F ZINC001473333054 1061093259 /nfs/dbraw/zinc/09/32/59/1061093259.db2.gz RAOPSGPKZYATLC-AWEZNQCLSA-N 0 3 316.395 4.068 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1)C(=O)N(C)c1ccc(C)cc1 ZINC001473336379 1061096938 /nfs/dbraw/zinc/09/69/38/1061096938.db2.gz IDRBVPGFNACKKS-APWZRJJASA-N 0 3 310.441 4.087 20 0 DIADHN COc1ccc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)o1 ZINC001462312943 1061098494 /nfs/dbraw/zinc/09/84/94/1061098494.db2.gz JBJQIFHBIOJVMC-UHFFFAOYSA-N 0 3 313.441 4.360 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@H]1CCC[C@@H]1O ZINC001462313136 1061098922 /nfs/dbraw/zinc/09/89/22/1061098922.db2.gz DIPUTQQMMSMQJT-DOMZBBRYSA-N 0 3 313.894 4.091 20 0 DIADHN Cc1cc(CN(C)[C@H]2C[C@H](Oc3ccccc3)C2(C)C)cc(C)n1 ZINC001462313527 1061100813 /nfs/dbraw/zinc/10/08/13/1061100813.db2.gz ACSJAHVTTQGGRZ-PMACEKPBSA-N 0 3 324.468 4.376 20 0 DIADHN Fc1cccc(F)c1CC1CN(C[C@H]2C[C@H]2c2ccccc2)C1 ZINC001462321258 1061104055 /nfs/dbraw/zinc/10/40/55/1061104055.db2.gz LQLBURUNBKOXRC-SJORKVTESA-N 0 3 313.391 4.243 20 0 DIADHN COc1cccc2c(CN3CC(CC(F)(F)F)C3)cccc12 ZINC001462322231 1061104766 /nfs/dbraw/zinc/10/47/66/1061104766.db2.gz HNUTYWIZWMITJB-UHFFFAOYSA-N 0 3 309.331 4.233 20 0 DIADHN CCCn1cc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)c(C)n1 ZINC001462329386 1061108326 /nfs/dbraw/zinc/10/83/26/1061108326.db2.gz BFIMNUMCMJOWBD-CZUORRHYSA-N 0 3 321.827 4.040 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1nc2cc(F)ccc2o1 ZINC001462336601 1061112814 /nfs/dbraw/zinc/11/28/14/1061112814.db2.gz VDGLKCSMVPJZFE-GFCCVEGCSA-N 0 3 316.351 4.169 20 0 DIADHN OCC[C@H](NC/C=C\c1ccc(Cl)cc1)c1cccs1 ZINC001473368970 1061116071 /nfs/dbraw/zinc/11/60/71/1061116071.db2.gz VYBNIIATDRBGDL-BGGTVIOKSA-N 0 3 307.846 4.128 20 0 DIADHN CC(C)OC(=O)CCN[C@H](c1ccc(Cl)cc1F)C(C)C ZINC001473370628 1061116576 /nfs/dbraw/zinc/11/65/76/1061116576.db2.gz LNMVYBCINYYJIM-INIZCTEOSA-N 0 3 315.816 4.108 20 0 DIADHN Cn1ncc2c1CCCN(CC1CCC3(CCCCC3)CC1)C2 ZINC001462340974 1061117929 /nfs/dbraw/zinc/11/79/29/1061117929.db2.gz YTSGELQHYBLCND-UHFFFAOYSA-N 0 3 315.505 4.309 20 0 DIADHN CN(Cc1cnn(C)c1Cl)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC001462345937 1061118972 /nfs/dbraw/zinc/11/89/72/1061118972.db2.gz AQNKEKYSULGAOK-SJORKVTESA-N 0 3 317.864 4.232 20 0 DIADHN Fc1cc2nc(-c3cnc4cc[nH]cc3-4)[nH]c2c(C(F)(F)F)c1 ZINC001250908323 1061119619 /nfs/dbraw/zinc/11/96/19/1061119619.db2.gz MFAMYBNPMWKTPX-UHFFFAOYSA-N 0 3 320.249 4.264 20 0 DIADHN CCn1ccc(CN[C@H]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC001462347287 1061121667 /nfs/dbraw/zinc/12/16/67/1061121667.db2.gz JUMMJIWORYPOSX-KRWDZBQOSA-N 0 3 315.848 4.282 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1coc(-c2ccccc2F)n1 ZINC001473383228 1061125190 /nfs/dbraw/zinc/12/51/90/1061125190.db2.gz DGWJHWWELRNNIM-CXAGYDPISA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N1CCC(C(C)(C)C)CC1)c1ccccc1 ZINC001473384829 1061127810 /nfs/dbraw/zinc/12/78/10/1061127810.db2.gz XQTDCFVYPBRHCX-HZPDHXFCSA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccc(CCCN[C@@H]2C[C@@]2(F)c2ccccc2)cc1F ZINC001462362682 1061128916 /nfs/dbraw/zinc/12/89/16/1061128916.db2.gz GLEDWXSANARYJD-QZTJIDSGSA-N 0 3 305.343 4.124 20 0 DIADHN CO[C@@H](CN1CC[C@@H](C(F)(F)F)C1(C)C)c1ccc(F)cc1 ZINC001473386619 1061129594 /nfs/dbraw/zinc/12/95/94/1061129594.db2.gz XQDUAXFTTKHZBE-UONOGXRCSA-N 0 3 319.342 4.176 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CCCC[C@H](C)C1 ZINC001473395725 1061133502 /nfs/dbraw/zinc/13/35/02/1061133502.db2.gz MZPBJJVYOFSCGS-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN FCCCCN1CCC(c2ccccc2Br)CC1 ZINC001473395912 1061133520 /nfs/dbraw/zinc/13/35/20/1061133520.db2.gz ZYJBXSLXXXMWOT-UHFFFAOYSA-N 0 3 314.242 4.378 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCCC[C@H](C)C1 ZINC001473394681 1061133968 /nfs/dbraw/zinc/13/39/68/1061133968.db2.gz CLMBKYDMHXXUCC-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(CN2CCC[C@@]3(C2)OCc2ccccc23)cc1F ZINC001473401579 1061137301 /nfs/dbraw/zinc/13/73/01/1061137301.db2.gz CBABMOYTWVSWCB-FQEVSTJZSA-N 0 3 311.400 4.156 20 0 DIADHN Cc1ccc(CN2CCC[C@]3(C2)OCc2ccccc23)cc1F ZINC001473401580 1061137315 /nfs/dbraw/zinc/13/73/15/1061137315.db2.gz CBABMOYTWVSWCB-HXUWFJFHSA-N 0 3 311.400 4.156 20 0 DIADHN CC[C@@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)[C@@H]1C ZINC001473401901 1061137325 /nfs/dbraw/zinc/13/73/25/1061137325.db2.gz FKYWMUHCWWVFDY-VXGBXAGGSA-N 0 3 306.356 4.240 20 0 DIADHN CC(C)COc1cccc(CN2CCC[C@H](OCC3CC3)C2)c1 ZINC001473411021 1061145824 /nfs/dbraw/zinc/14/58/24/1061145824.db2.gz ZHMKWLWGCQASDH-FQEVSTJZSA-N 0 3 317.473 4.112 20 0 DIADHN COC(=O)[C@H]1C[C@H](C)CCN1CC1CCC(C(C)(C)C)CC1 ZINC001473411246 1061146443 /nfs/dbraw/zinc/14/64/43/1061146443.db2.gz UPPHMEUGRZLMCO-RDHHWEPZSA-N 0 3 309.494 4.112 20 0 DIADHN CC(C)O[C@H]1CCCN(Cc2ccc(SC(F)F)cc2)C1 ZINC001462400634 1061150383 /nfs/dbraw/zinc/15/03/83/1061150383.db2.gz ARGIFWPHSNBSIK-AWEZNQCLSA-N 0 3 315.429 4.391 20 0 DIADHN CC(C)COCCNC1(c2ccc(Cl)c(Cl)c2)CC1 ZINC001473420704 1061150440 /nfs/dbraw/zinc/15/04/40/1061150440.db2.gz VDOLYFUFALDHMN-UHFFFAOYSA-N 0 3 302.245 4.245 20 0 DIADHN CCN(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@H]1CCCOC1 ZINC001462399202 1061150817 /nfs/dbraw/zinc/15/08/17/1061150817.db2.gz GFWNFBCWQXASEW-AWEZNQCLSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)C[C@H]1CC1(Cl)Cl ZINC001462414661 1061157930 /nfs/dbraw/zinc/15/79/30/1061157930.db2.gz NELUGAOCKVAFPK-NWDGAFQWSA-N 0 3 304.286 4.293 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN(CC(=O)OC(C)(C)C)CC1CC1 ZINC001462406146 1061154363 /nfs/dbraw/zinc/15/43/63/1061154363.db2.gz BIHRPKMLYORDCW-SJORKVTESA-N 0 3 309.494 4.257 20 0 DIADHN CC(C)C1CCC(CN(C)CC(=O)N(C)C2CCCCC2)CC1 ZINC001462422501 1061164366 /nfs/dbraw/zinc/16/43/66/1061164366.db2.gz UJWPDLREQFOEJY-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1scc(CN2CC[C@H](C(=O)OC(C)(C)C)[C@H](C)C2)c1C ZINC001462443374 1061177611 /nfs/dbraw/zinc/17/76/11/1061177611.db2.gz SKHHKDMAMDYXMR-WBMJQRKESA-N 0 3 323.502 4.165 20 0 DIADHN COc1ccc2c(c1)CCN([C@H](C)c1nccc3ccccc31)C2 ZINC001462448609 1061179307 /nfs/dbraw/zinc/17/93/07/1061179307.db2.gz XQLLXFHFBWTLFU-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN C[C@H](CCN1CCc2nc(C(C)(C)C)ncc2C1)c1ccccc1 ZINC001462450619 1061182335 /nfs/dbraw/zinc/18/23/35/1061182335.db2.gz CRVSINKQXWQYHT-MRXNPFEDSA-N 0 3 323.484 4.326 20 0 DIADHN CCCC[C@@H](C(=O)Nc1cc(C)on1)N1CCCCCCCC1 ZINC001473478943 1061183251 /nfs/dbraw/zinc/18/32/51/1061183251.db2.gz LBOVPCPPZQHGFC-INIZCTEOSA-N 0 3 321.465 4.137 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)C[C@H]1OCCc2ccccc21 ZINC001473487497 1061187213 /nfs/dbraw/zinc/18/72/13/1061187213.db2.gz RESBIFZOEQPSLL-LJQANCHMSA-N 0 3 315.844 4.394 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@]1(C)CC(C)C ZINC001473492051 1061188154 /nfs/dbraw/zinc/18/81/54/1061188154.db2.gz BWLANPMJKULESD-GOSISDBHSA-N 0 3 322.880 4.488 20 0 DIADHN Nc1c(F)cccc1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC001462460648 1061188658 /nfs/dbraw/zinc/18/86/58/1061188658.db2.gz YONFGWDMVZJQED-ZDUSSCGKSA-N 0 3 320.358 4.066 20 0 DIADHN Cc1cccc2c1CC(C)(C)N(Cc1cccc3c1OCCO3)C2 ZINC001473493476 1061190890 /nfs/dbraw/zinc/19/08/90/1061190890.db2.gz CLNZMKXTTWVBSV-UHFFFAOYSA-N 0 3 323.436 4.103 20 0 DIADHN O[C@H](CN1CCC2(CCCC2)CC1)c1ccc(F)cc1Cl ZINC001473497668 1061192533 /nfs/dbraw/zinc/19/25/33/1061192533.db2.gz KHMGJDGZFFGKRQ-MRXNPFEDSA-N 0 3 311.828 4.169 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCC2)CO1)c1ccc(F)cc1Cl ZINC001473498940 1061192554 /nfs/dbraw/zinc/19/25/54/1061192554.db2.gz AJQAXUQWPNVONY-GXTWGEPZSA-N 0 3 311.828 4.479 20 0 DIADHN CC(C)(C)SCCCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC001473498582 1061194489 /nfs/dbraw/zinc/19/44/89/1061194489.db2.gz QZDJBXLCGOTINR-UHFFFAOYSA-N 0 3 305.487 4.161 20 0 DIADHN CC(C)C1CC(NCc2ccccc2C(=O)OC(C)(C)C)C1 ZINC001462474935 1061195895 /nfs/dbraw/zinc/19/58/95/1061195895.db2.gz RFQRTJHFLWXDPQ-UHFFFAOYSA-N 0 3 303.446 4.166 20 0 DIADHN COC1(CCN(Cc2ccccn2)Cc2ccccc2C)CCC1 ZINC001473502970 1061195983 /nfs/dbraw/zinc/19/59/83/1061195983.db2.gz DVIIFYBIVHMMFI-UHFFFAOYSA-N 0 3 324.468 4.351 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](C)c2cccc(C3CC3)c2)cc1 ZINC001473502695 1061198211 /nfs/dbraw/zinc/19/82/11/1061198211.db2.gz VARKUZPYIQIGDC-OAHLLOKOSA-N 0 3 322.452 4.416 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc4ccccc4[nH]3)C2)cc1F ZINC001462484978 1061204180 /nfs/dbraw/zinc/20/41/80/1061204180.db2.gz ZSVLTRIUHHNUSZ-INIZCTEOSA-N 0 3 324.399 4.305 20 0 DIADHN CC[C@@]1(O)CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001462495503 1061211217 /nfs/dbraw/zinc/21/12/17/1061211217.db2.gz IJKLCKCAMQREBZ-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN CCOc1cc(C[NH2+]CC[C@H]2CC=CCC2)cc(Cl)c1[O-] ZINC001462505649 1061216398 /nfs/dbraw/zinc/21/63/98/1061216398.db2.gz UPLOCPPNGSIMAV-ZDUSSCGKSA-N 0 3 309.837 4.280 20 0 DIADHN CC1(C)CN(C[C@H]2CCCC3(CCC3)O2)Cc2ccccc2O1 ZINC001473520445 1061218091 /nfs/dbraw/zinc/21/80/91/1061218091.db2.gz ZMLKOJDWDNQQNI-QGZVFWFLSA-N 0 3 315.457 4.151 20 0 DIADHN C[C@]1(CN2Cc3ccccc3OC(C)(C)C2)CC1(Cl)Cl ZINC001473520421 1061219722 /nfs/dbraw/zinc/21/97/22/1061219722.db2.gz YVLAZMFJWZVTDL-OAHLLOKOSA-N 0 3 314.256 4.244 20 0 DIADHN Cc1cccnc1CN(C)[C@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC001473525704 1061222634 /nfs/dbraw/zinc/22/26/34/1061222634.db2.gz FBZSOUALXZYBRV-OALUTQOASA-N 0 3 310.441 4.068 20 0 DIADHN CC(C)CCCCCC(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001455691824 1061230657 /nfs/dbraw/zinc/23/06/57/1061230657.db2.gz ZARONQZUVGRPNS-KRWDZBQOSA-N 0 3 308.510 4.068 20 0 DIADHN COC1([C@@H](C)NCc2cc(Cl)ccc2OCC2CC2)CCC1 ZINC001473554283 1061241495 /nfs/dbraw/zinc/24/14/95/1061241495.db2.gz BUBNLVHQDBCKAY-CYBMUJFWSA-N 0 3 323.864 4.176 20 0 DIADHN COC1([C@H](C)NCc2cc(Cl)ccc2OCC2CC2)CCC1 ZINC001473554284 1061242645 /nfs/dbraw/zinc/24/26/45/1061242645.db2.gz BUBNLVHQDBCKAY-ZDUSSCGKSA-N 0 3 323.864 4.176 20 0 DIADHN COc1ccc2c(c1)OC(C)(C)CN(CCCC(C)(F)F)C2 ZINC001473560384 1061243805 /nfs/dbraw/zinc/24/38/05/1061243805.db2.gz QVYICSQFYAJQCJ-UHFFFAOYSA-N 0 3 313.388 4.104 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)[C@@H]1CCC[C@H]1C(C)(C)C ZINC001473563257 1061248235 /nfs/dbraw/zinc/24/82/35/1061248235.db2.gz DCBLEQBNVKIYAP-HZPDHXFCSA-N 0 3 322.880 4.425 20 0 DIADHN CC(C)n1ccc(CN2CCC[C@]2(C)Cc2ccc(F)cc2)n1 ZINC001473564287 1061249711 /nfs/dbraw/zinc/24/97/11/1061249711.db2.gz FVBIMYLWKHIJHV-LJQANCHMSA-N 0 3 315.436 4.200 20 0 DIADHN c1cc(-c2nc(CN3CC[C@@H](C4CCCC4)C3)cs2)co1 ZINC001473566795 1061255734 /nfs/dbraw/zinc/25/57/34/1061255734.db2.gz DQJWJEHIIAYLJG-CQSZACIVSA-N 0 3 302.443 4.415 20 0 DIADHN C[C@@H]1CCCN(CC2CC3(CCC3)C2)[C@H]1C(=O)OC(C)(C)C ZINC001473572069 1061256660 /nfs/dbraw/zinc/25/66/60/1061256660.db2.gz YOKSUPOMQBPQMP-GDBMZVCRSA-N 0 3 307.478 4.009 20 0 DIADHN C[C@H](CCc1ccsc1)NCc1nc2c(s1)CCCC2 ZINC001462562498 1061264456 /nfs/dbraw/zinc/26/44/56/1061264456.db2.gz GEZSKUFVHHWDAB-GFCCVEGCSA-N 0 3 306.500 4.194 20 0 DIADHN CC1(C)CCc2onc(CNC[C@@H]3C[C@H]3c3ccccc3)c2C1 ZINC001462562032 1061264535 /nfs/dbraw/zinc/26/45/35/1061264535.db2.gz WJSXEYTYWHBXGV-HOTGVXAUSA-N 0 3 310.441 4.083 20 0 DIADHN Fc1ccc(-c2cc(CN[C@@H]3C[C@@H]4CCCC[C@H]34)no2)c(F)c1 ZINC001462563542 1061266153 /nfs/dbraw/zinc/26/61/53/1061266153.db2.gz ZAQKHZVWAQDTRP-PZSREKOKSA-N 0 3 318.367 4.288 20 0 DIADHN Fc1ccc(O[C@H]2CCN(CCc3cccc(Cl)c3)C2)cc1 ZINC001462567404 1061268431 /nfs/dbraw/zinc/26/84/31/1061268431.db2.gz YHGYNFSUKDAREF-SFHVURJKSA-N 0 3 319.807 4.175 20 0 DIADHN c1c(CNCC(c2ccccc2)c2ccccc2)onc1C1CC1 ZINC001462574552 1061270017 /nfs/dbraw/zinc/27/00/17/1061270017.db2.gz WITAKCUPZVVJGA-UHFFFAOYSA-N 0 3 318.420 4.474 20 0 DIADHN Clc1cccc(CN2CCC[C@H]2[C@H]2CCCOC2)c1Cl ZINC001473582950 1061273325 /nfs/dbraw/zinc/27/33/25/1061273325.db2.gz WAPOHBRAQKEWQO-ZFWWWQNUSA-N 0 3 314.256 4.384 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1cc2ccccc2o1)c1ccccc1F ZINC001473593848 1061276158 /nfs/dbraw/zinc/27/61/58/1061276158.db2.gz IPUQITNETFKRJH-IAGOWNOFSA-N 0 3 313.372 4.346 20 0 DIADHN c1ccc(NC2CCN(Cc3cccc(C4CC4)c3)CC2)nc1 ZINC001462589465 1061281385 /nfs/dbraw/zinc/28/13/85/1061281385.db2.gz XKSBZDQTDMEHME-UHFFFAOYSA-N 0 3 307.441 4.036 20 0 DIADHN C[C@H]1CN(Cc2ccnc(Cl)c2)CCc2ccc(F)cc21 ZINC001473602968 1061281620 /nfs/dbraw/zinc/28/16/20/1061281620.db2.gz VXVVQQGKOMHVJT-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1nc([C@H]2CCN(Cc3cccc(C4CCCC4)c3)C2)no1 ZINC001462587992 1061281676 /nfs/dbraw/zinc/28/16/76/1061281676.db2.gz SBTRQBAZODVVHL-SFHVURJKSA-N 0 3 311.429 4.025 20 0 DIADHN C[C@@H]1CN(CCOCC2CCC2)CCc2ccc(Cl)cc21 ZINC001473608577 1061282241 /nfs/dbraw/zinc/28/22/41/1061282241.db2.gz YBILXFVKTHGDBE-CQSZACIVSA-N 0 3 307.865 4.118 20 0 DIADHN O[C@H](CCNC1(c2ccccc2Cl)CCC1)c1ccccc1 ZINC001473614557 1061289662 /nfs/dbraw/zinc/28/96/62/1061289662.db2.gz SZZASRPDQWOBBB-GOSISDBHSA-N 0 3 315.844 4.433 20 0 DIADHN CN(CC(=O)NCCC1=CCCCC1)C[C@@H]1CCCC(C)(C)C1 ZINC001462601850 1061290399 /nfs/dbraw/zinc/29/03/99/1061290399.db2.gz DAHQYIGSTOGACP-GOSISDBHSA-N 0 3 320.521 4.141 20 0 DIADHN COc1c(Cl)cccc1CNC[C@@H](OC)C1CCCCC1 ZINC001462619941 1061303323 /nfs/dbraw/zinc/30/33/23/1061303323.db2.gz QQGWLSKTSHBGNN-MRXNPFEDSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CC(C)(C)CC(C)(C)C2)cc1 ZINC001473629381 1061304540 /nfs/dbraw/zinc/30/45/40/1061304540.db2.gz SEZLQHRVSLDNEL-UHFFFAOYSA-N 0 3 316.489 4.470 20 0 DIADHN CC[C@@H](C)N(CC)Cc1csc(-c2ccc3c(c2)OCO3)n1 ZINC001473637297 1061308175 /nfs/dbraw/zinc/30/81/75/1061308175.db2.gz QJKCFXVKSIFBMX-GFCCVEGCSA-N 0 3 318.442 4.159 20 0 DIADHN Cc1c(Br)cccc1CN1CCC[C@@H](CCF)C1 ZINC001473643017 1061311576 /nfs/dbraw/zinc/31/15/76/1061311576.db2.gz MLEZYJMBZADSHZ-ZDUSSCGKSA-N 0 3 314.242 4.329 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H](C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001473653238 1061318613 /nfs/dbraw/zinc/31/86/13/1061318613.db2.gz KOOYACPCJHSGDL-CKEIUWERSA-N 0 3 321.436 4.186 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCCCC2(C)C)C[C@H](CC(F)(F)F)O1 ZINC001473661267 1061323990 /nfs/dbraw/zinc/32/39/90/1061323990.db2.gz HCCUEXUHLOGHMJ-RDBSUJKOSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCCCC2(C)C)C[C@H](CC(F)(F)F)O1 ZINC001473661265 1061324213 /nfs/dbraw/zinc/32/42/13/1061324213.db2.gz HCCUEXUHLOGHMJ-MCIONIFRSA-N 0 3 307.400 4.245 20 0 DIADHN O=C(CN1CCC[C@@H]2CCCC[C@@H]21)Nc1ccc2ccccc2c1 ZINC001473661805 1061325084 /nfs/dbraw/zinc/32/50/84/1061325084.db2.gz VSJPHYGTPKHBHL-PXNSSMCTSA-N 0 3 322.452 4.433 20 0 DIADHN Cc1ccccc1CCCN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001473662270 1061326632 /nfs/dbraw/zinc/32/66/32/1061326632.db2.gz DPGJVDSXUKOQBV-UHFFFAOYSA-N 0 3 323.484 4.073 20 0 DIADHN CCCCCC(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001462730254 1061346649 /nfs/dbraw/zinc/34/66/49/1061346649.db2.gz QSEJLEBOSNESKY-UHFFFAOYSA-N 0 3 316.367 4.286 20 0 DIADHN C[C@@H](CN1CCc2nc[nH]c2[C@@H]1c1ccc(F)cc1)C(C)(C)C ZINC001473699313 1061354183 /nfs/dbraw/zinc/35/41/83/1061354183.db2.gz GQIWINAYQXNYDB-UGSOOPFHSA-N 0 3 315.436 4.179 20 0 DIADHN C[C@@H](CN1CCc2nc[nH]c2[C@H]1c1ccc(F)cc1)C(C)(C)C ZINC001473699312 1061355358 /nfs/dbraw/zinc/35/53/58/1061355358.db2.gz GQIWINAYQXNYDB-SCLBCKFNSA-N 0 3 315.436 4.179 20 0 DIADHN CC(C)SCCN1CCc2cc(C(F)(F)F)ccc2C1 ZINC001473696302 1061356169 /nfs/dbraw/zinc/35/61/69/1061356169.db2.gz NULQFZNOSGPFIS-UHFFFAOYSA-N 0 3 303.393 4.205 20 0 DIADHN CC[C@@H](NCC(=O)N[C@H](C)c1ccccc1)c1cc(C)ccc1C ZINC001473718565 1061366481 /nfs/dbraw/zinc/36/64/81/1061366481.db2.gz RJHVOHQNGPKBQP-YLJYHZDGSA-N 0 3 324.468 4.222 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CC=CC[C@@H]4C3)co2)cc1F ZINC001473723370 1061370730 /nfs/dbraw/zinc/37/07/30/1061370730.db2.gz CNVZNNXXMRPGMU-OKILXGFUSA-N 0 3 316.351 4.018 20 0 DIADHN COc1cc(CN2CCC[C@H](OC3CCC3)CC2)ccc1Cl ZINC001473728145 1061374737 /nfs/dbraw/zinc/37/47/37/1061374737.db2.gz UGFZBPNZRURZGP-INIZCTEOSA-N 0 3 323.864 4.272 20 0 DIADHN CC[C@H](CO)N(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001473733774 1061378802 /nfs/dbraw/zinc/37/88/02/1061378802.db2.gz ABPPZOYRLSPXMP-MRXNPFEDSA-N 0 3 321.823 4.252 20 0 DIADHN COc1ccc([C@@H](C)CN[C@@H](CF)c2ccc(F)cc2)cc1 ZINC001473733410 1061380449 /nfs/dbraw/zinc/38/04/49/1061380449.db2.gz AIYCMIIWYXZIIX-UGSOOPFHSA-N 0 3 305.368 4.238 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCC(C)(C)C[C@H]2c2ccccc2)n1 ZINC001473740345 1061384215 /nfs/dbraw/zinc/38/42/15/1061384215.db2.gz DMEPDYAJBGDQOK-ZWKOTPCHSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1ccc(CN2CCN([C@@H](C)c3ccccc3)CC2)c(C)c1 ZINC001473755392 1061393756 /nfs/dbraw/zinc/39/37/56/1061393756.db2.gz MXQBCHOMXYYEMO-IBGZPJMESA-N 0 3 308.469 4.182 20 0 DIADHN CCC1(CC)CCCN1Cc1nnc(-c2ccccc2)s1 ZINC001473769468 1061399070 /nfs/dbraw/zinc/39/90/70/1061399070.db2.gz IVKYELRWOTXFTG-UHFFFAOYSA-N 0 3 301.459 4.360 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3nc(C)cs3)C2)c1Cl ZINC001473775092 1061401863 /nfs/dbraw/zinc/40/18/63/1061401863.db2.gz OLUSLFAYGGALNN-CYBMUJFWSA-N 0 3 322.861 4.103 20 0 DIADHN COc1cccc(CN2CC[C@H](c3nc(C)cs3)C2)c1Cl ZINC001473775093 1061402067 /nfs/dbraw/zinc/40/20/67/1061402067.db2.gz OLUSLFAYGGALNN-ZDUSSCGKSA-N 0 3 322.861 4.103 20 0 DIADHN COc1cc(CN2CC[C@@H](c3nc(C)cs3)C2)ccc1Cl ZINC001473775334 1061402807 /nfs/dbraw/zinc/40/28/07/1061402807.db2.gz RFOGRXDKDGQCDA-CYBMUJFWSA-N 0 3 322.861 4.103 20 0 DIADHN CC(C)c1cc([C@@H]2CCCN(Cc3ccccc3F)C2)[nH]n1 ZINC001473773091 1061403019 /nfs/dbraw/zinc/40/30/19/1061403019.db2.gz QEAXWXPBVUDQIO-OAHLLOKOSA-N 0 3 301.409 4.052 20 0 DIADHN CC(C)c1cc([C@@H]2CCCN(Cc3ccccc3F)C2)n[nH]1 ZINC001473773091 1061403032 /nfs/dbraw/zinc/40/30/32/1061403032.db2.gz QEAXWXPBVUDQIO-OAHLLOKOSA-N 0 3 301.409 4.052 20 0 DIADHN Fc1ccc(O[C@H]2CCN(CCc3ccccc3Cl)C2)cc1 ZINC001473773445 1061403419 /nfs/dbraw/zinc/40/34/19/1061403419.db2.gz VDOMNEFZXYVMDP-KRWDZBQOSA-N 0 3 319.807 4.175 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN(Cc1c(C)cc(C)cc1C)CC2 ZINC001473778619 1061405791 /nfs/dbraw/zinc/40/57/91/1061405791.db2.gz FGBYWRRTIIIHGX-UHFFFAOYSA-N 0 3 322.452 4.129 20 0 DIADHN Cc1ccc(CN(CCCC(C)C)CCOCC(F)(F)F)o1 ZINC001473798054 1061410609 /nfs/dbraw/zinc/41/06/09/1061410609.db2.gz UMIRLTKWKXYWJX-UHFFFAOYSA-N 0 3 321.383 4.405 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CC[C@@H](C)C[C@@H](C)C1 ZINC001473806768 1061417146 /nfs/dbraw/zinc/41/71/46/1061417146.db2.gz LBYUVKDPGSMBIK-UMVBOHGHSA-N 0 3 322.880 4.343 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(c2ncccn2)CC1 ZINC001473811543 1061417949 /nfs/dbraw/zinc/41/79/49/1061417949.db2.gz QPABGMUKLMEKSH-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1nc(CC2CCN([C@H](C)c3cccc(Cl)c3)CC2)no1 ZINC001473816002 1061420173 /nfs/dbraw/zinc/42/01/73/1061420173.db2.gz SNCYUKRDHIJASS-GFCCVEGCSA-N 0 3 319.836 4.047 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2ccc(F)cc2F)cc1 ZINC001473875355 1061444242 /nfs/dbraw/zinc/44/42/42/1061444242.db2.gz PGXOIPLDZSCIOJ-QGZVFWFLSA-N 0 3 317.379 4.268 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2c(F)cccc2F)cc1 ZINC001473874730 1061445758 /nfs/dbraw/zinc/44/57/58/1061445758.db2.gz FNFWTUJELXGORK-OAHLLOKOSA-N 0 3 317.379 4.268 20 0 DIADHN CN(Cc1cc(F)ccc1Cl)[C@H]1CCc2ccccc2C1 ZINC001473881986 1061448075 /nfs/dbraw/zinc/44/80/75/1061448075.db2.gz ZSGGPPHZKHXPKF-KRWDZBQOSA-N 0 3 303.808 4.468 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)C[C@@H](COC)C(C)C ZINC001473892274 1061449825 /nfs/dbraw/zinc/44/98/25/1061449825.db2.gz IWNMYSJVHVVDKS-GJZGRUSLSA-N 0 3 324.534 4.008 20 0 DIADHN Cc1ccc(C)c(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)c1 ZINC001473885167 1061450623 /nfs/dbraw/zinc/45/06/23/1061450623.db2.gz HCOMMGYFJPCRGJ-LAUBAEHRSA-N 0 3 309.453 4.178 20 0 DIADHN c1cn(-c2ccc(CN3CCCCC34CCCCCC4)cn2)cn1 ZINC001473892696 1061453665 /nfs/dbraw/zinc/45/36/65/1061453665.db2.gz XBQOOVIWUUNTAK-UHFFFAOYSA-N 0 3 324.472 4.346 20 0 DIADHN CC(C)(C)[C@H]1CCN([C@@H]2CCc3c2cccc3Cl)CCO1 ZINC001473898132 1061453762 /nfs/dbraw/zinc/45/37/62/1061453762.db2.gz WJUQVOCBDYPYKU-IAGOWNOFSA-N 0 3 307.865 4.464 20 0 DIADHN CC[C@H](CC(=O)N(CCN(C)C)Cc1cccs1)C(C)(C)C ZINC001457438634 1061457345 /nfs/dbraw/zinc/45/73/45/1061457345.db2.gz VPTUGSQLCJJKAS-OAHLLOKOSA-N 0 3 324.534 4.101 20 0 DIADHN CCC[C@H](NCc1ccc(N2CCCC2)nc1)c1ccccc1 ZINC001473915197 1061463472 /nfs/dbraw/zinc/46/34/72/1061463472.db2.gz LHLZDFVVCDKMRJ-IBGZPJMESA-N 0 3 309.457 4.313 20 0 DIADHN CC(C)(C)c1csc(CNCCc2c[nH]c3ccccc23)n1 ZINC001473914613 1061464114 /nfs/dbraw/zinc/46/41/14/1061464114.db2.gz VBIQHBSTORRZEM-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN FC(F)(F)c1cccc(CNCc2cccc3c2CCC3)c1 ZINC001473917449 1061465867 /nfs/dbraw/zinc/46/58/67/1061465867.db2.gz ZCSRYIQVLARVDS-UHFFFAOYSA-N 0 3 305.343 4.484 20 0 DIADHN COc1cc(C)c(CNCc2ccc(Cl)s2)cc1OC ZINC001473919053 1061468259 /nfs/dbraw/zinc/46/82/59/1061468259.db2.gz AKEWBVYDJADREW-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN CCc1cccc(CNCc2ccc(OCC(F)(F)F)cc2)c1 ZINC001473920474 1061468527 /nfs/dbraw/zinc/46/85/27/1061468527.db2.gz WRKXTQMOGDXSJE-UHFFFAOYSA-N 0 3 323.358 4.480 20 0 DIADHN COc1nsc(CNC[C@@H]2CCCc3ccccc32)c1Cl ZINC001473922169 1061470442 /nfs/dbraw/zinc/47/04/42/1061470442.db2.gz ZRAZJSXWAAWPCY-LBPRGKRZSA-N 0 3 322.861 4.015 20 0 DIADHN CC[C@H](NCc1ccc2oc(=O)oc2c1)c1ccc(Cl)cc1 ZINC001473923641 1061472673 /nfs/dbraw/zinc/47/26/73/1061472673.db2.gz WSFGQNUURWJBIG-AWEZNQCLSA-N 0 3 317.772 4.280 20 0 DIADHN CC(C)Oc1ccc(CNCc2cncc3ccccc32)cc1 ZINC001473925965 1061474780 /nfs/dbraw/zinc/47/47/80/1061474780.db2.gz ISXYQVUWJCLKSF-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN Fc1ccc(OCCNCc2cccc(Cl)c2Cl)cc1 ZINC001473929313 1061477387 /nfs/dbraw/zinc/47/73/87/1061477387.db2.gz CLMHYLCSGPFQOE-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCCCC1CCCCC1 ZINC001473930548 1061477629 /nfs/dbraw/zinc/47/76/29/1061477629.db2.gz LGBYWTZICNHSEA-UHFFFAOYSA-N 0 3 304.265 4.177 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(N2CCCC2=O)cc1 ZINC001473946951 1061490446 /nfs/dbraw/zinc/49/04/46/1061490446.db2.gz OXLNOOVNTCXQBF-UHFFFAOYSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001473952262 1061494661 /nfs/dbraw/zinc/49/46/61/1061494661.db2.gz VUIOESRTGMCQPD-STQMWFEESA-N 0 3 318.461 4.128 20 0 DIADHN O=c1[nH]c2cc(F)ccc2cc1CNC1(c2ccccc2)CCC1 ZINC001473966205 1061509224 /nfs/dbraw/zinc/50/92/24/1061509224.db2.gz QNZBHTVVOSMOSI-UHFFFAOYSA-N 0 3 322.383 4.249 20 0 DIADHN Cc1cc(Br)cc(C)c1CNCc1cccc(O)c1 ZINC001473967289 1061509744 /nfs/dbraw/zinc/50/97/44/1061509744.db2.gz XLRRDRDTUCXBRG-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN CCN(CC)[C@@H](CNCc1cccc(Cl)c1F)c1ccco1 ZINC001473966241 1061510850 /nfs/dbraw/zinc/51/08/50/1061510850.db2.gz FVTOMSUSCRRSHF-HNNXBMFYSA-N 0 3 324.827 4.245 20 0 DIADHN COc1ccccc1C1(CNCc2c(F)cc(C)cc2F)CC1 ZINC001473971715 1061515075 /nfs/dbraw/zinc/51/50/75/1061515075.db2.gz CEPCQRICXAIYBB-UHFFFAOYSA-N 0 3 317.379 4.103 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2cnsc2)CCCC1 ZINC001473973901 1061517829 /nfs/dbraw/zinc/51/78/29/1061517829.db2.gz FGBLOCKTDNHCDE-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN COc1cccc2cc(CNC/C=C\c3ccc(F)cc3)oc21 ZINC001473975915 1061517871 /nfs/dbraw/zinc/51/78/71/1061517871.db2.gz SUNZZFNXISTTPY-ARJAWSKDSA-N 0 3 311.356 4.384 20 0 DIADHN Cc1cc(CN[C@@H](CN2CCCCC2)c2ccccc2)cc(C)n1 ZINC001473976717 1061520034 /nfs/dbraw/zinc/52/00/34/1061520034.db2.gz AUZXBBVDMAFZST-NRFANRHFSA-N 0 3 323.484 4.015 20 0 DIADHN Nc1c(Cl)cccc1CN[C@@H]1CCc2c1cccc2Cl ZINC001473978130 1061520865 /nfs/dbraw/zinc/52/08/65/1061520865.db2.gz WZTYKZSMSOZKKJ-OAHLLOKOSA-N 0 3 307.224 4.353 20 0 DIADHN CC(C)(C[NH2+]Cc1cc([O-])cc(Cl)c1)c1ccc(F)cc1 ZINC001473980595 1061524097 /nfs/dbraw/zinc/52/40/97/1061524097.db2.gz KDBFOLWRNYSLKJ-UHFFFAOYSA-N 0 3 307.796 4.252 20 0 DIADHN c1ccc2cc(CCNCc3nc4c(s3)CCC4)ccc2c1 ZINC001473983886 1061525862 /nfs/dbraw/zinc/52/58/62/1061525862.db2.gz IWTSSXDQCDFHAU-UHFFFAOYSA-N 0 3 308.450 4.117 20 0 DIADHN CCCc1ccc([C@H](NCc2cnc(CC)nc2)C(C)C)cc1 ZINC001473983289 1061527305 /nfs/dbraw/zinc/52/73/05/1061527305.db2.gz YKUQSGFMCLVBCT-HXUWFJFHSA-N 0 3 311.473 4.478 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(C(F)(F)F)cc1 ZINC001473982560 1061527409 /nfs/dbraw/zinc/52/74/09/1061527409.db2.gz UHLBEOLHUFDAJF-UHFFFAOYSA-N 0 3 322.374 4.451 20 0 DIADHN CN(C)c1ccc(F)cc1CNCc1cc2c(s1)CCCC2 ZINC001473987295 1061529120 /nfs/dbraw/zinc/52/91/20/1061529120.db2.gz MBVOHSRFMUCCBJ-UHFFFAOYSA-N 0 3 318.461 4.122 20 0 DIADHN CCC[C@H](CC)NCc1ncc(Br)cc1Cl ZINC001473988365 1061531106 /nfs/dbraw/zinc/53/11/06/1061531106.db2.gz AXKRKTVNWHLZSY-JTQLQIEISA-N 0 3 305.647 4.166 20 0 DIADHN O=c1cc(CNCc2ccccc2Oc2cccc(F)c2)cc[nH]1 ZINC001473991068 1061534497 /nfs/dbraw/zinc/53/44/97/1061534497.db2.gz XKHORLWPBKGZAJ-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN Cc1ccc(Oc2ccccc2CNCc2ccc(=O)[nH]c2)cc1 ZINC001473990851 1061535575 /nfs/dbraw/zinc/53/55/75/1061535575.db2.gz SLBMXHHYBOFPFR-UHFFFAOYSA-N 0 3 320.392 4.178 20 0 DIADHN Cc1nc(C)c(CCNCc2cc(Cl)sc2Cl)s1 ZINC001473994515 1061536608 /nfs/dbraw/zinc/53/66/08/1061536608.db2.gz UEISFIZDHIPGPN-UHFFFAOYSA-N 0 3 321.298 4.461 20 0 DIADHN Cc1ccccc1[C@@H](O)CNCc1ccc(Cl)c(C)c1Cl ZINC001473996530 1061539568 /nfs/dbraw/zinc/53/95/68/1061539568.db2.gz UMKCEYGILAPSAC-INIZCTEOSA-N 0 3 324.251 4.433 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc2c1OCCCO2)C1CC1 ZINC001474001267 1061543438 /nfs/dbraw/zinc/54/34/38/1061543438.db2.gz JEMODAHUTUQAJN-FQEVSTJZSA-N 0 3 323.436 4.397 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)c(C)n1 ZINC001474003818 1061545917 /nfs/dbraw/zinc/54/59/17/1061545917.db2.gz UBBLXTFXUFBPSU-JBBXEZCESA-N 0 3 317.864 4.352 20 0 DIADHN Cc1cc(Cl)cc(Cl)c1CNC[C@@H](O)c1ccccc1 ZINC001252001964 1061553883 /nfs/dbraw/zinc/55/38/83/1061553883.db2.gz CDTNXZLYIDSHBZ-MRXNPFEDSA-N 0 3 310.224 4.125 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)c1ccccc1Cl ZINC001464071586 1061554451 /nfs/dbraw/zinc/55/44/51/1061554451.db2.gz FDVBPAROBGCVPW-OAHLLOKOSA-N 0 3 324.896 4.372 20 0 DIADHN CCCC[C@@H](COC)NCc1cc2cccc(C)c2nc1Cl ZINC001474013099 1061555545 /nfs/dbraw/zinc/55/55/45/1061555545.db2.gz KLLMXMRHQCZABO-INIZCTEOSA-N 0 3 320.864 4.491 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ncc(C(C)C)s2)C1(CC)CC ZINC001474029694 1061571092 /nfs/dbraw/zinc/57/10/92/1061571092.db2.gz XCFTXIMKSGCPPY-HUUCEWRRSA-N 0 3 310.507 4.340 20 0 DIADHN COc1ccc(CNC[C@@H](C)Sc2ccccc2)c(F)c1 ZINC001474030665 1061574826 /nfs/dbraw/zinc/57/48/26/1061574826.db2.gz LDHXKUUSEHTGOO-CYBMUJFWSA-N 0 3 305.418 4.105 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2nc3c(s2)CCCC3)C1(C)C ZINC001474037932 1061582351 /nfs/dbraw/zinc/58/23/51/1061582351.db2.gz PKJHRMZOWAQTQE-CVEARBPZSA-N 0 3 322.518 4.095 20 0 DIADHN Fc1ccc(C2(NC/C=C/c3ccc(F)cc3F)CC2)cc1 ZINC001474038274 1061582379 /nfs/dbraw/zinc/58/23/79/1061582379.db2.gz UILFZJUAEMVAQG-OWOJBTEDSA-N 0 3 303.327 4.396 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H](CO)c2ccccc2C)c(C)c1 ZINC001474041169 1061585290 /nfs/dbraw/zinc/58/52/90/1061585290.db2.gz NAHJYIBDCYUXLD-UKSYNSBOSA-N 0 3 309.453 4.257 20 0 DIADHN COc1ccc(CNCc2cccc(OC(C)(C)C)c2)c(C)c1 ZINC001474043250 1061588765 /nfs/dbraw/zinc/58/87/65/1061588765.db2.gz AGXUIBHVBKDJRU-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1NC[C@H]1CC=CCC1 ZINC001474049738 1061596767 /nfs/dbraw/zinc/59/67/67/1061596767.db2.gz OCGYBULTOVBXDR-KRWDZBQOSA-N 0 3 316.514 4.312 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(OCCC(C)C)c1)N(CC)CC ZINC001464480870 1061599269 /nfs/dbraw/zinc/59/92/69/1061599269.db2.gz RUQRHURGVHTOLB-GOSISDBHSA-N 0 3 320.477 4.170 20 0 DIADHN CC[C@H](CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C)C(C)(C)C ZINC001464550164 1061605374 /nfs/dbraw/zinc/60/53/74/1061605374.db2.gz ZPSYKROJICCOIJ-MSOLQXFVSA-N 0 3 318.505 4.176 20 0 DIADHN CC(C)CCc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1 ZINC001464591760 1061610527 /nfs/dbraw/zinc/61/05/27/1061610527.db2.gz SIRSNEMZTIAMFR-KRWDZBQOSA-N 0 3 316.489 4.478 20 0 DIADHN Cn1nc2c(c1CN[C@@H](CC1CCCC1)c1ccccc1)CCC2 ZINC001474081554 1061629514 /nfs/dbraw/zinc/62/95/14/1061629514.db2.gz YDOCBHLNZCEBJK-FQEVSTJZSA-N 0 3 323.484 4.320 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC001474087135 1061633938 /nfs/dbraw/zinc/63/39/38/1061633938.db2.gz AJOQOMMJGCUYPN-FOIQADDNSA-N 0 3 317.436 4.490 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cnn(C2CCC2)c1 ZINC001474104184 1061650176 /nfs/dbraw/zinc/65/01/76/1061650176.db2.gz IDLVHQZHGROQNA-YWZLYKJASA-N 0 3 309.457 4.411 20 0 DIADHN Cc1noc(-c2ccc(CNCc3c(C)cc(C)cc3C)cc2)n1 ZINC001474105544 1061652852 /nfs/dbraw/zinc/65/28/52/1061652852.db2.gz CNADZPNFMNOSLE-UHFFFAOYSA-N 0 3 321.424 4.260 20 0 DIADHN C[C@]1(CNCc2cc(Cl)sc2Cl)CCO[C@@H]1C1CC1 ZINC001474107294 1061656591 /nfs/dbraw/zinc/65/65/91/1061656591.db2.gz VYCNYXBHXRHAAB-TZMCWYRMSA-N 0 3 320.285 4.350 20 0 DIADHN CCC(C)(C)CNCc1cc(Br)cnc1Cl ZINC001474112147 1061661900 /nfs/dbraw/zinc/66/19/00/1061661900.db2.gz VBQZIETZBIWANO-UHFFFAOYSA-N 0 3 305.647 4.023 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(Br)nc2)c1 ZINC001474116968 1061666537 /nfs/dbraw/zinc/66/65/37/1061666537.db2.gz CEPMMPCUIDZKCJ-UHFFFAOYSA-N 0 3 319.246 4.257 20 0 DIADHN COC[C@@H](NC/C=C/c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC001474128777 1061674032 /nfs/dbraw/zinc/67/40/32/1061674032.db2.gz HCDFNYUWQBEBJT-ZMUQKWFASA-N 0 3 319.807 4.470 20 0 DIADHN CCOc1cc(F)cc(CNCc2ccc3ncccc3c2)c1 ZINC001474136506 1061684984 /nfs/dbraw/zinc/68/49/84/1061684984.db2.gz GWYBCCPELQXOQD-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN Cc1cccc(CNCC2(C(F)(F)F)CC2)c1Br ZINC001474139261 1061686762 /nfs/dbraw/zinc/68/67/62/1061686762.db2.gz ZHBXCIIIVOZRBV-UHFFFAOYSA-N 0 3 322.168 4.190 20 0 DIADHN CO[C@](C)(CNCc1ccccc1OC(C)C)c1ccccc1 ZINC001474153386 1061695979 /nfs/dbraw/zinc/69/59/79/1061695979.db2.gz HOMFQZFNGANXTJ-HXUWFJFHSA-N 0 3 313.441 4.125 20 0 DIADHN Cc1ccc(CNCc2ccc([Si](C)(C)C)cc2)cc1Cl ZINC001474166679 1061713749 /nfs/dbraw/zinc/71/37/49/1061713749.db2.gz OLWXCDYTPKQJGA-UHFFFAOYSA-N 0 3 317.936 4.483 20 0 DIADHN CC[C@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@H](O)C(C)C ZINC001474180867 1061727609 /nfs/dbraw/zinc/72/76/09/1061727609.db2.gz PXHLMBDWAVKOPO-UONOGXRCSA-N 0 3 323.786 4.244 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(c1)OC(F)(F)O3)CC2 ZINC001474197583 1061748360 /nfs/dbraw/zinc/74/83/60/1061748360.db2.gz DNSHCBRGRLTPNT-OAHLLOKOSA-N 0 3 317.335 4.094 20 0 DIADHN CC[C@H](OCCNCc1cc(F)cc(OC)c1)c1ccccc1 ZINC001474200594 1061750013 /nfs/dbraw/zinc/75/00/13/1061750013.db2.gz FURIVMRRRYDOEZ-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](C)CCNCc1cc(Br)cnc1Cl ZINC001474203022 1061757884 /nfs/dbraw/zinc/75/78/84/1061757884.db2.gz FDEBBTIXPPZWSZ-VIFPVBQESA-N 0 3 305.647 4.023 20 0 DIADHN C[C@H]1CCC[C@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001474213708 1061768528 /nfs/dbraw/zinc/76/85/28/1061768528.db2.gz ZQVWCHDJNZCJEF-MAUKXSAKSA-N 0 3 303.446 4.055 20 0 DIADHN COc1ccc(C(C)(C)NCc2cccc3c2CCC3)cc1F ZINC001474213725 1061769245 /nfs/dbraw/zinc/76/92/45/1061769245.db2.gz ADCCSAMNKRLSDV-UHFFFAOYSA-N 0 3 313.416 4.348 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2CC[C@@H](C)SC2)s1 ZINC001474214718 1061771520 /nfs/dbraw/zinc/77/15/20/1061771520.db2.gz LJVLPCBCAPQYME-PSASIEDQSA-N 0 3 320.321 4.193 20 0 DIADHN CCC(CC)(CNCc1c(Cl)cnn1C)c1ccc(F)cc1 ZINC001474219413 1061778288 /nfs/dbraw/zinc/77/82/88/1061778288.db2.gz APPCCTNJGRTIIS-UHFFFAOYSA-N 0 3 323.843 4.060 20 0 DIADHN c1nc(CNCC[C@@H]2CCCS2)sc1-c1ccccc1 ZINC001474224452 1061783765 /nfs/dbraw/zinc/78/37/65/1061783765.db2.gz SAIHOXNXNBMRKE-AWEZNQCLSA-N 0 3 304.484 4.185 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@@H](C)c1ccc2ccccc2n1 ZINC001253085242 1061796561 /nfs/dbraw/zinc/79/65/61/1061796561.db2.gz BXBMAFFBAGWOKT-CABCVRRESA-N 0 3 324.399 4.492 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1C[C@@H](C)O[C@@H]1C ZINC001474234453 1061797454 /nfs/dbraw/zinc/79/74/54/1061797454.db2.gz UQKUFUZPQKMGAJ-LALPHHSUSA-N 0 3 313.894 4.496 20 0 DIADHN OC[C@@H](NC/C=C/c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC001474236444 1061798413 /nfs/dbraw/zinc/79/84/13/1061798413.db2.gz AIZGNJDFNCXOGV-ZGRWHYIRSA-N 0 3 321.342 4.042 20 0 DIADHN Cc1ccc(CN2CC[C@H](NCc3cscc3Cl)C2)cc1 ZINC001474243192 1061803900 /nfs/dbraw/zinc/80/39/00/1061803900.db2.gz ZQZKMNFWTQSQJE-INIZCTEOSA-N 0 3 320.889 4.074 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2F)s1)c1ccnn1C ZINC001474241036 1061805006 /nfs/dbraw/zinc/80/50/06/1061805006.db2.gz HAGBUTNWWRBFOA-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@]23CCCO3)c(Cl)c1Cl ZINC001474247037 1061813374 /nfs/dbraw/zinc/81/33/74/1061813374.db2.gz OBRDJJHJJJGKDH-DOMZBBRYSA-N 0 3 318.219 4.324 20 0 DIADHN CCn1c2ccccc2c2cc(CNC[C@@H]3CC(C)=NO3)ccc21 ZINC001474250594 1061819705 /nfs/dbraw/zinc/81/97/05/1061819705.db2.gz DWBLXHGEJLTNNB-INIZCTEOSA-N 0 3 321.424 4.069 20 0 DIADHN COc1ccc(CNCc2cccc3ccoc32)cc1Cl ZINC001474259803 1061829831 /nfs/dbraw/zinc/82/98/31/1061829831.db2.gz GIVAHSOVXAOMHH-UHFFFAOYSA-N 0 3 301.773 4.385 20 0 DIADHN Cc1ccc(-c2ccc(CNC3([C@@H]4CCCCO4)CC3)o2)cc1 ZINC001474277795 1061844535 /nfs/dbraw/zinc/84/45/35/1061844535.db2.gz BTECHTVXCARJLH-IBGZPJMESA-N 0 3 311.425 4.446 20 0 DIADHN CCCc1cccc(CNCc2ccc(OC)c(OC)c2C)c1 ZINC001474277389 1061845432 /nfs/dbraw/zinc/84/54/32/1061845432.db2.gz GOKGHUNBQUKNTR-UHFFFAOYSA-N 0 3 313.441 4.255 20 0 DIADHN Fc1cccc(Cl)c1CNCC[C@H]1OCCc2ccccc21 ZINC001474280679 1061850009 /nfs/dbraw/zinc/85/00/09/1061850009.db2.gz ZFYLZWANPRIOKS-GOSISDBHSA-N 0 3 319.807 4.273 20 0 DIADHN CCOc1ccc(CCNCc2ncc(C(C)(C)C)s2)cc1 ZINC001474288249 1061858027 /nfs/dbraw/zinc/85/80/27/1061858027.db2.gz SNLVZMVVMKNILQ-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CC1(C)CCC[C@@H](CNCc2ccccc2OC(F)(F)F)O1 ZINC001474290180 1061858649 /nfs/dbraw/zinc/85/86/49/1061858649.db2.gz UEKQWEMQMANZGN-ZDUSSCGKSA-N 0 3 317.351 4.023 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001466009997 1061859744 /nfs/dbraw/zinc/85/97/44/1061859744.db2.gz BXEWLDUSFDSWAN-BXWFABGCSA-N 0 3 308.510 4.115 20 0 DIADHN Cc1cc2sc(N3CCN(C)C[C@H]3CC(C)C)nc2c(C)c1 ZINC001458654062 1061864857 /nfs/dbraw/zinc/86/48/57/1061864857.db2.gz ZPKADSXXFZVVAQ-OAHLLOKOSA-N 0 3 317.502 4.080 20 0 DIADHN CCC[C@](C)(NCc1cnn(CC2CCC2)c1)c1ccccc1 ZINC001474298333 1061868072 /nfs/dbraw/zinc/86/80/72/1061868072.db2.gz OYGBBJPSYRQKJS-FQEVSTJZSA-N 0 3 311.473 4.488 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1C[C@@H]1Cc1ccccc1 ZINC001474300408 1061870405 /nfs/dbraw/zinc/87/04/05/1061870405.db2.gz XFEQDIPULWBEMG-OALUTQOASA-N 0 3 318.420 4.310 20 0 DIADHN Cc1cc(C)cc(CN[C@H]2C[C@]3(CCOC3)Oc3ccccc32)c1 ZINC001474305878 1061874409 /nfs/dbraw/zinc/87/44/09/1061874409.db2.gz XXMVXYUFYVTLOZ-FPOVZHCZSA-N 0 3 323.436 4.076 20 0 DIADHN CC[C@H]1CCC[C@]1(C)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001466095250 1061876275 /nfs/dbraw/zinc/87/62/75/1061876275.db2.gz AROSBCFOFXMXRF-LPHOPBHVSA-N 0 3 302.462 4.212 20 0 DIADHN COc1ccc(F)cc1CN1CC[C@H](c2ccccc2)[C@H](C)C1 ZINC001474312954 1061883155 /nfs/dbraw/zinc/88/31/55/1061883155.db2.gz RRGDBAWTOSWBNF-BEFAXECRSA-N 0 3 313.416 4.460 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccc(F)c(F)c1)c1ccccc1F ZINC001253381299 1061884619 /nfs/dbraw/zinc/88/46/19/1061884619.db2.gz WAIRJLJROYNUFZ-ZWKOTPCHSA-N 0 3 323.358 4.268 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001474316395 1061888382 /nfs/dbraw/zinc/88/83/82/1061888382.db2.gz AORUQJAMQMSDIK-RYUDHWBXSA-N 0 3 321.408 4.402 20 0 DIADHN Cc1ccc(CN(Cc2cccc3c2OCC3)C2CC2)cc1C ZINC001474317711 1061890560 /nfs/dbraw/zinc/89/05/60/1061890560.db2.gz NQLSOTJGFNHRSZ-UHFFFAOYSA-N 0 3 307.437 4.403 20 0 DIADHN CC(C)[C@@H]1c2ccccc2CCN1Cc1cccc2c1OCO2 ZINC001474319993 1061897293 /nfs/dbraw/zinc/89/72/93/1061897293.db2.gz WZVUKVQTELDXHU-LJQANCHMSA-N 0 3 309.409 4.171 20 0 DIADHN CSc1ccc(CN(Cc2ccccc2)[C@H](CO)C(C)C)o1 ZINC001474321738 1061900009 /nfs/dbraw/zinc/90/00/09/1061900009.db2.gz RKHFILANLAAEDB-QGZVFWFLSA-N 0 3 319.470 4.021 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)[C@@H](CO)C(C)C)c(F)c1 ZINC001474321682 1061902976 /nfs/dbraw/zinc/90/29/76/1061902976.db2.gz QEVYODYIJVVKPG-FQEVSTJZSA-N 0 3 315.432 4.153 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@@]3(CC=CCC3)C2)cc1F ZINC001474322107 1061904146 /nfs/dbraw/zinc/90/41/46/1061904146.db2.gz WVBUEYLXALYDRR-IBGZPJMESA-N 0 3 319.420 4.165 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@@H](C)[C@H]3C)n2)c1 ZINC001474332778 1061921799 /nfs/dbraw/zinc/92/17/99/1061921799.db2.gz OWLABHJIBYAVMX-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN CCOc1ccc(CN(Cc2cn(CC)nc2C)C(C)C)cc1 ZINC001474336875 1061931153 /nfs/dbraw/zinc/93/11/53/1061931153.db2.gz GBQJBEGSZIOQOY-UHFFFAOYSA-N 0 3 315.461 4.021 20 0 DIADHN CC[C@]1(C)CCN(Cc2sc(C)cc2Br)C1 ZINC001474348120 1061959430 /nfs/dbraw/zinc/95/94/30/1061959430.db2.gz FASCDSKQTWVQRW-CYBMUJFWSA-N 0 3 302.281 4.441 20 0 DIADHN COc1cc(CNCc2ccc3[nH]c(C)c(C)c3c2)ccc1F ZINC001253621499 1061939619 /nfs/dbraw/zinc/93/96/19/1061939619.db2.gz SQWARPODPBQLRU-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN CC[C@@]1(C)CCN(Cc2cc(Br)c(C)s2)C1 ZINC001474348011 1061958460 /nfs/dbraw/zinc/95/84/60/1061958460.db2.gz AZPGPLCFBMODGW-ZDUSSCGKSA-N 0 3 302.281 4.441 20 0 DIADHN C[C@@H](CN1CCOC2(C1)CCCCCC2)c1ccc(F)cc1 ZINC001474348977 1061959557 /nfs/dbraw/zinc/95/95/57/1061959557.db2.gz NNJKZPZBZDAXFQ-INIZCTEOSA-N 0 3 305.437 4.354 20 0 DIADHN CC[C@@]1(C)CCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474348097 1061959990 /nfs/dbraw/zinc/95/99/90/1061959990.db2.gz DAEDUFQGSFUEAR-KRWDZBQOSA-N 0 3 302.443 4.448 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1ccc2c(c1)C(C)(C)CC2(C)C)N(C)C ZINC001466562465 1061965927 /nfs/dbraw/zinc/96/59/27/1061965927.db2.gz KYCCEUWAKYPDFY-KRWDZBQOSA-N 0 3 316.489 4.170 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2cc(C)cc(Cl)c2)cc1 ZINC001474355040 1061968595 /nfs/dbraw/zinc/96/85/95/1061968595.db2.gz XIGOGBTUMDZTDC-SFHVURJKSA-N 0 3 315.844 4.474 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@H](C)c2ccccc21)N(CC)CC ZINC001466736772 1061994253 /nfs/dbraw/zinc/99/42/53/1061994253.db2.gz HRXVTFBTOFNEMX-RDJZCZTQSA-N 0 3 302.462 4.037 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Sc3ccccn3)o2)C[C@@H]1C ZINC001474370028 1062007617 /nfs/dbraw/zinc/00/76/17/1062007617.db2.gz NYIPLZZZHBKWDM-KGLIPLIRSA-N 0 3 302.443 4.304 20 0 DIADHN CC[C@@H](C)CN(C)Cc1cc(OC)c(Br)cc1F ZINC001474381423 1062027521 /nfs/dbraw/zinc/02/75/21/1062027521.db2.gz ZOCCXOBBMLLTSD-SNVBAGLBSA-N 0 3 318.230 4.075 20 0 DIADHN C[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@@H](c2ccccc2)O1 ZINC001474382340 1062032532 /nfs/dbraw/zinc/03/25/32/1062032532.db2.gz IJWRKIJFGDSTNT-FIRPJDEBSA-N 0 3 307.437 4.252 20 0 DIADHN Cc1c(Br)cncc1CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC001474385452 1062034200 /nfs/dbraw/zinc/03/42/00/1062034200.db2.gz FVSRABUAPPMRNM-PVXIVEMSSA-N 0 3 323.278 4.306 20 0 DIADHN C[C@@H]1[C@H](C)N(CCC2CCCCC2)CCN1C(=O)OC(C)(C)C ZINC001474395290 1062048283 /nfs/dbraw/zinc/04/82/83/1062048283.db2.gz QTZRYILHJZZMFV-JKSUJKDBSA-N 0 3 324.509 4.287 20 0 DIADHN CCOc1ccccc1N1CCN(C[C@@H](C)c2ccccc2)CC1 ZINC001474400152 1062055322 /nfs/dbraw/zinc/05/53/22/1062055322.db2.gz GXYMRNZGHMEYEF-GOSISDBHSA-N 0 3 324.468 4.011 20 0 DIADHN Fc1ccc([C@H]2CSCCN2C2CCC(F)(F)CC2)cc1 ZINC001254223488 1062055852 /nfs/dbraw/zinc/05/58/52/1062055852.db2.gz MIKYBHVUXOLGFA-OAHLLOKOSA-N 0 3 315.404 4.494 20 0 DIADHN CCOc1cc(CN2CC[C@@H]3CCCC[C@H]3C2)c(F)cc1OC ZINC001474404865 1062062088 /nfs/dbraw/zinc/06/20/88/1062062088.db2.gz OSPIFSPIQTUJOH-GJZGRUSLSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC001474405162 1062064185 /nfs/dbraw/zinc/06/41/85/1062064185.db2.gz ZHUQICNLXMELGY-JKSUJKDBSA-N 0 3 307.478 4.114 20 0 DIADHN Cc1ccc2sc(SCCN3CCC(C)CC3)nc2c1 ZINC001459280307 1062065566 /nfs/dbraw/zinc/06/55/66/1062065566.db2.gz HRYWFLSXINTLBG-UHFFFAOYSA-N 0 3 306.500 4.429 20 0 DIADHN CC/C=C/CCN(Cc1ccccc1OC)C[C@H]1CCCO1 ZINC001459306718 1062078349 /nfs/dbraw/zinc/07/83/49/1062078349.db2.gz LBRLEVYUDRIQQS-SHCNBLLESA-N 0 3 303.446 4.033 20 0 DIADHN CCOc1ccc(CN2CCSC(CC)(CC)C2)cc1F ZINC001474418822 1062092192 /nfs/dbraw/zinc/09/21/92/1062092192.db2.gz IKYXQTHZJGEXMP-UHFFFAOYSA-N 0 3 311.466 4.332 20 0 DIADHN Cc1cccc(OCCN(C)[C@H](C)c2ccc(F)c(F)c2)c1 ZINC001459333189 1062095172 /nfs/dbraw/zinc/09/51/72/1062095172.db2.gz ISJXTJAYUVWXAC-CQSZACIVSA-N 0 3 305.368 4.345 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1CCN(C(C)(C)C)CC1 ZINC001459350387 1062104197 /nfs/dbraw/zinc/10/41/97/1062104197.db2.gz XPTLBCSXDWGOQV-LBPRGKRZSA-N 0 3 315.288 4.471 20 0 DIADHN CC(C)N(Cc1cccc(F)c1)Cc1ccc2c(c1)OCCO2 ZINC001459349208 1062105546 /nfs/dbraw/zinc/10/55/46/1062105546.db2.gz LLYCBEZTOGWEOJ-UHFFFAOYSA-N 0 3 315.388 4.008 20 0 DIADHN CCOC(=O)c1cc2c(s1)CCN(C1CCC3(CC3)CC1)C2 ZINC001254447799 1062105956 /nfs/dbraw/zinc/10/59/56/1062105956.db2.gz KJIXCUORKXKOAO-UHFFFAOYSA-N 0 3 319.470 4.006 20 0 DIADHN CC(C)[C@H](N[C@H]1COC2(CCC2)C1)c1ccc(Cl)cc1F ZINC001474434170 1062108551 /nfs/dbraw/zinc/10/85/51/1062108551.db2.gz PBKZSIUXFHZDCL-CJNGLKHVSA-N 0 3 311.828 4.477 20 0 DIADHN CCCN(CC(=O)OCC)CC1CCC(c2ccccc2)CC1 ZINC001459361216 1062112788 /nfs/dbraw/zinc/11/27/88/1062112788.db2.gz CLELOYQHPXCZTD-UHFFFAOYSA-N 0 3 317.473 4.236 20 0 DIADHN CN(CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1)CC1CCCC1 ZINC001459363867 1062113765 /nfs/dbraw/zinc/11/37/65/1062113765.db2.gz IRIIYWWLZHNIJV-OKILXGFUSA-N 0 3 305.384 4.046 20 0 DIADHN CCN(Cc1ccn(-c2c(F)cccc2F)n1)[C@H](C)C(C)C ZINC001459383532 1062119866 /nfs/dbraw/zinc/11/98/66/1062119866.db2.gz SUOWLMCSGJETCM-CYBMUJFWSA-N 0 3 307.388 4.017 20 0 DIADHN C[C@@H]1CCCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)CC1 ZINC001459385871 1062121329 /nfs/dbraw/zinc/12/13/29/1062121329.db2.gz LGARNFHVUWELOE-OAHLLOKOSA-N 0 3 302.462 4.045 20 0 DIADHN CCc1ccc([C@H]2CN(C[C@H](C)c3ccccc3)CCO2)cc1 ZINC001474443742 1062121693 /nfs/dbraw/zinc/12/16/93/1062121693.db2.gz BUDIVPATBOOSGF-LAUBAEHRSA-N 0 3 309.453 4.426 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H]1C(=O)Nc2cccc(C)c21 ZINC001254483850 1062124443 /nfs/dbraw/zinc/12/44/43/1062124443.db2.gz WVKQDPJJUDWMQJ-YLJYHZDGSA-N 0 3 322.452 4.379 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCC(=O)c1ccc(F)cc1 ZINC001254485411 1062128049 /nfs/dbraw/zinc/12/80/49/1062128049.db2.gz IJCFOSBSWWMUIL-IBGZPJMESA-N 0 3 313.416 4.400 20 0 DIADHN CC[C@H]1CCCC[C@H]1CNCc1cc(COC(C)(C)C)on1 ZINC001474458339 1062138163 /nfs/dbraw/zinc/13/81/63/1062138163.db2.gz GZYOXUKZULGMPK-GJZGRUSLSA-N 0 3 308.466 4.296 20 0 DIADHN COC(=O)c1sccc1CN[C@H]1CCC[C@@H](C(C)C)CC1 ZINC001474461788 1062140338 /nfs/dbraw/zinc/14/03/38/1062140338.db2.gz VIWFHWDYGLTCAP-HIFRSBDPSA-N 0 3 309.475 4.229 20 0 DIADHN CCc1ccc(CNc2cccc(N3CCN(CC)CC3)c2)cc1 ZINC001474460892 1062140383 /nfs/dbraw/zinc/14/03/83/1062140383.db2.gz HTJAVFYODMKXDO-UHFFFAOYSA-N 0 3 323.484 4.003 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CCO[C@H](c2ccncc2)C1 ZINC001254498192 1062141662 /nfs/dbraw/zinc/14/16/62/1062141662.db2.gz HJTLJEXKPSZGNR-RTWAWAEBSA-N 0 3 324.468 4.256 20 0 DIADHN CCN(Cc1ccnn1C1CCC1)[C@@H](C)Cc1ccsc1 ZINC001474464345 1062142398 /nfs/dbraw/zinc/14/23/98/1062142398.db2.gz NKZUULZRAQHTFO-AWEZNQCLSA-N 0 3 303.475 4.123 20 0 DIADHN CC[C@]1(C)COCCN1C[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC001474463703 1062143840 /nfs/dbraw/zinc/14/38/40/1062143840.db2.gz VWOWLSOMSPZLAY-CZUORRHYSA-N 0 3 315.379 4.310 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2ccc(Cl)cc2OC)C1 ZINC001459463038 1062154306 /nfs/dbraw/zinc/15/43/06/1062154306.db2.gz HKSQEDKJYVZKDQ-OAHLLOKOSA-N 0 3 313.894 4.456 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N(C)CCN(C)c1ccccc1 ZINC001459471463 1062159093 /nfs/dbraw/zinc/15/90/93/1062159093.db2.gz ZAHZMHSELWKYAF-LJQANCHMSA-N 0 3 318.411 4.484 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CC[C@@H](Oc3ccncc3)C2)c(C)c1 ZINC001474483325 1062160210 /nfs/dbraw/zinc/16/02/10/1062160210.db2.gz DNEKMBSVJFKJMG-DPZZJQGRSA-N 0 3 322.452 4.173 20 0 DIADHN CCCSC[C@@H](C)NCc1sccc1Br ZINC001474482931 1062162213 /nfs/dbraw/zinc/16/22/13/1062162213.db2.gz GGFLNWVPQBLTKW-SECBINFHSA-N 0 3 308.310 4.132 20 0 DIADHN c1cc(-c2ccc(CN3CCN(C4CCC4)CC3)cc2)cs1 ZINC001459485538 1062162604 /nfs/dbraw/zinc/16/26/04/1062162604.db2.gz MERYXJYKFRAYAC-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCN(CCCC(C)(C)C)CC1 ZINC001459478267 1062163030 /nfs/dbraw/zinc/16/30/30/1062163030.db2.gz MZNWOGQJVVRXLV-OAHLLOKOSA-N 0 3 324.459 4.470 20 0 DIADHN COC[C@H](CC(C)(C)C)NCc1cc(F)c(Cl)cc1F ZINC001474486839 1062167754 /nfs/dbraw/zinc/16/77/54/1062167754.db2.gz XBMFYYNJMLCLDU-NSHDSACASA-N 0 3 305.796 4.159 20 0 DIADHN CCCCCCN(C)CC(=O)NCC[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC001467635898 1062167841 /nfs/dbraw/zinc/16/78/41/1062167841.db2.gz JDPHJAABKRWMQK-MSOLQXFVSA-N 0 3 324.553 4.467 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@@H]2CCC23CCC3)n1 ZINC001474492199 1062170728 /nfs/dbraw/zinc/17/07/28/1062170728.db2.gz PLTWFLWPIZUTEK-OAHLLOKOSA-N 0 3 302.418 4.372 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@@H](C)CCC[C@H]2C)c1 ZINC001459506543 1062173774 /nfs/dbraw/zinc/17/37/74/1062173774.db2.gz JKZTZDAFMZYJAE-QWHCGFSZSA-N 0 3 309.837 4.137 20 0 DIADHN CCC1(CC)CCC(NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001467685353 1062180519 /nfs/dbraw/zinc/18/05/19/1062180519.db2.gz SURCKMHIDMLPKP-UHFFFAOYSA-N 0 3 308.510 4.116 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001459543724 1062195098 /nfs/dbraw/zinc/19/50/98/1062195098.db2.gz VRVMHSONEVWRET-CXAGYDPISA-N 0 3 322.880 4.488 20 0 DIADHN COc1cc(C)ccc1CN1Cc2ccccc2C2(CCC2)C1 ZINC001474514209 1062198349 /nfs/dbraw/zinc/19/83/49/1062198349.db2.gz RIVVBGHDYSGIOW-UHFFFAOYSA-N 0 3 307.437 4.441 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474533746 1062217354 /nfs/dbraw/zinc/21/73/54/1062217354.db2.gz BIRXUWDNYYHUAL-MRXNPFEDSA-N 0 3 324.472 4.369 20 0 DIADHN c1cc(CN2CCC[C@@H](c3ccccc3)C2)n(CC2CCC2)n1 ZINC001474537510 1062225658 /nfs/dbraw/zinc/22/56/58/1062225658.db2.gz NUSWNKUSHSAAON-LJQANCHMSA-N 0 3 309.457 4.063 20 0 DIADHN CN(C)c1c(CN2CCC3(CCCC3)CC2)ccc(F)c1F ZINC001474541658 1062232413 /nfs/dbraw/zinc/23/24/13/1062232413.db2.gz NJQHBNRBVOACRD-UHFFFAOYSA-N 0 3 308.416 4.187 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCS[C@H]2CCCC[C@@H]21 ZINC001459601320 1062234955 /nfs/dbraw/zinc/23/49/55/1062234955.db2.gz DPZULSKCQJCSEE-IRXDYDNUSA-N 0 3 314.454 4.202 20 0 DIADHN CC[C@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccccc1C(C)C ZINC001459606764 1062243460 /nfs/dbraw/zinc/24/34/60/1062243460.db2.gz UQLRSMCMQROUSY-OALUTQOASA-N 0 3 316.489 4.031 20 0 DIADHN Cc1cc(F)ccc1C1CCN(Cc2cccc(F)c2N)CC1 ZINC001474548732 1062243723 /nfs/dbraw/zinc/24/37/23/1062243723.db2.gz DKNDPZOTZVWDHF-UHFFFAOYSA-N 0 3 316.395 4.235 20 0 DIADHN CCOc1ccccc1OCCN1C[C@H](C)[C@@H]1c1ccccc1 ZINC001459611312 1062244716 /nfs/dbraw/zinc/24/47/16/1062244716.db2.gz CFSKCXSRKOWZMJ-OXJNMPFZSA-N 0 3 311.425 4.157 20 0 DIADHN CC(C)[C@H]1N(Cc2ccc3c(c2)OCCCCO3)CC12CCC2 ZINC001474549675 1062245643 /nfs/dbraw/zinc/24/56/43/1062245643.db2.gz FOHCAUJIQLNFDB-LJQANCHMSA-N 0 3 315.457 4.249 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@H](c2ccc(CC)cc2)C1 ZINC001474549320 1062247974 /nfs/dbraw/zinc/24/79/74/1062247974.db2.gz IJGCUXGVIYVCME-HNNXBMFYSA-N 0 3 317.864 4.177 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H](c2ccc(CC)cc2)C1 ZINC001474549322 1062248342 /nfs/dbraw/zinc/24/83/42/1062248342.db2.gz IJGCUXGVIYVCME-OAHLLOKOSA-N 0 3 317.864 4.177 20 0 DIADHN COC(=O)c1cc(CN(C(C)C)[C@@H](C)c2ccccc2)oc1C ZINC001474559041 1062260116 /nfs/dbraw/zinc/26/01/16/1062260116.db2.gz ZCYLZEQXIGAHQE-AWEZNQCLSA-N 0 3 315.413 4.346 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CC[C@@H](c2ccccc2)[C@H](CC)C1 ZINC001459625272 1062261248 /nfs/dbraw/zinc/26/12/48/1062261248.db2.gz HCIRKRXVULBBML-BHIYHBOVSA-N 0 3 317.473 4.234 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)CC(=O)Nc1ccc(C)c(C)c1 ZINC001459628900 1062266670 /nfs/dbraw/zinc/26/66/70/1062266670.db2.gz BBLYFMIMSWVOOG-HXUWFJFHSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2ccccc2n1C ZINC001459634050 1062270490 /nfs/dbraw/zinc/27/04/90/1062270490.db2.gz PACRKMJMLRUZBE-SJLPKXTDSA-N 0 3 319.452 4.026 20 0 DIADHN CCc1cccc(F)c1CN1CC(Cc2ccc(F)cc2)C1 ZINC001474567478 1062273388 /nfs/dbraw/zinc/27/33/88/1062273388.db2.gz FULIKFFWPMWPRE-UHFFFAOYSA-N 0 3 301.380 4.202 20 0 DIADHN COc1cccc(CN2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)n1 ZINC001459647476 1062274302 /nfs/dbraw/zinc/27/43/02/1062274302.db2.gz VZJCJDYPSMLIEW-OXJNMPFZSA-N 0 3 310.441 4.372 20 0 DIADHN Cc1cc(CN2CC[C@@H]3[C@H](C2)C3(F)F)ccc1C(F)(F)F ZINC001474572459 1062278648 /nfs/dbraw/zinc/27/86/48/1062278648.db2.gz VOTHDHKWOCWJRY-OLZOCXBDSA-N 0 3 305.290 4.101 20 0 DIADHN CC(C)[C@H]1c2ccccc2CCN1CCSC(F)(F)F ZINC001459652378 1062279721 /nfs/dbraw/zinc/27/97/21/1062279721.db2.gz SDEDANMNPDXRTG-AWEZNQCLSA-N 0 3 303.393 4.495 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2Cc2ccc3nonc3c2)o1 ZINC001459658824 1062281265 /nfs/dbraw/zinc/28/12/65/1062281265.db2.gz QFDUAGGFEODVKM-SJCJKPOMSA-N 0 3 311.385 4.097 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@@H]2C(C)C)cc1Cl ZINC001474582343 1062292571 /nfs/dbraw/zinc/29/25/71/1062292571.db2.gz IADJGNLUIBXLJW-KRWDZBQOSA-N 0 3 307.865 4.285 20 0 DIADHN COc1ccc(CN(CCOC(C)C)Cc2ccccc2)cc1 ZINC001459678665 1062299950 /nfs/dbraw/zinc/29/99/50/1062299950.db2.gz XYUIOVHJJHDYGC-UHFFFAOYSA-N 0 3 313.441 4.122 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1nccc2c1CCCC2 ZINC001474589991 1062302168 /nfs/dbraw/zinc/30/21/68/1062302168.db2.gz IVPDQHNEGIPNMZ-UHFFFAOYSA-N 0 3 324.468 4.170 20 0 DIADHN CCOc1cc(CN2CC[C@H](C3CCCC3)C2)c(F)cc1OC ZINC001474588869 1062302569 /nfs/dbraw/zinc/30/25/69/1062302569.db2.gz QOVVBAOOXDBYRZ-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC001474608724 1062322698 /nfs/dbraw/zinc/32/26/98/1062322698.db2.gz ILWPVBWUHWSXFC-QGZVFWFLSA-N 0 3 309.400 4.487 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001459707710 1062323757 /nfs/dbraw/zinc/32/37/57/1062323757.db2.gz FEIFXRMUGFDUKM-GXTWGEPZSA-N 0 3 311.372 4.409 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC[C@H]2CC(C)C)cc1Cl ZINC001459720481 1062332666 /nfs/dbraw/zinc/33/26/66/1062332666.db2.gz MRRYEVRGBUPCRV-INIZCTEOSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1ccc(CCN2CCN(c3ccc(Cl)cc3)CC2)cc1 ZINC001459723859 1062338181 /nfs/dbraw/zinc/33/81/81/1062338181.db2.gz OXDPGJCWHCJLAX-UHFFFAOYSA-N 0 3 314.860 4.013 20 0 DIADHN COCC1(C2CCC2)CN([C@@H]2C[C@H](C)Sc3sccc32)C1 ZINC001474617754 1062338477 /nfs/dbraw/zinc/33/84/77/1062338477.db2.gz IFULDYNAAJCTDB-SWLSCSKDSA-N 0 3 323.527 4.422 20 0 DIADHN C[C@H]1C[C@H](CNCc2ncc(C(F)(F)F)s2)C[C@@H](C)C1 ZINC001474621136 1062341936 /nfs/dbraw/zinc/34/19/36/1062341936.db2.gz BFTOEANOIKXCSY-URLYPYJESA-N 0 3 306.397 4.324 20 0 DIADHN CCc1ccc([C@@H](NCC(=O)NCCC(C)(C)C)C(C)C)cc1 ZINC001459730960 1062344557 /nfs/dbraw/zinc/34/45/57/1062344557.db2.gz OBXOULXMPHSSLB-IBGZPJMESA-N 0 3 318.505 4.088 20 0 DIADHN CC[C@@H](CN1CCc2nc(C(C)(C)C)ncc2C1)c1ccccc1 ZINC001474638951 1062363337 /nfs/dbraw/zinc/36/33/37/1062363337.db2.gz SAECZAQWMPATQT-INIZCTEOSA-N 0 3 323.484 4.326 20 0 DIADHN CC[C@H](C)CCCCC(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001468647292 1062370898 /nfs/dbraw/zinc/37/08/98/1062370898.db2.gz RYCWUDGOIRTOMW-MAUKXSAKSA-N 0 3 322.468 4.151 20 0 DIADHN Brc1ccccc1[C@@H]1CCCN1[C@H]1CCSC1 ZINC001255281697 1062378214 /nfs/dbraw/zinc/37/82/14/1062378214.db2.gz BKUKUDUFBUOESX-FZMZJTMJSA-N 0 3 312.276 4.092 20 0 DIADHN Clc1cccc([C@@H]2CCN([C@H]3CCSC3)C2)c1Cl ZINC001255290079 1062379865 /nfs/dbraw/zinc/37/98/65/1062379865.db2.gz WLXRMJLPHCLHSS-MNOVXSKESA-N 0 3 302.270 4.288 20 0 DIADHN CC(C)CN(Cc1nccn1Cc1ccccc1)CC(C)(C)C ZINC001459768821 1062380029 /nfs/dbraw/zinc/38/00/29/1062380029.db2.gz KWKZLURTUAPPJJ-UHFFFAOYSA-N 0 3 313.489 4.436 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H]2CCC2CCCCC2)n[nH]1 ZINC001459771202 1062384241 /nfs/dbraw/zinc/38/42/41/1062384241.db2.gz VUKZYOFSRFXPAW-MRXNPFEDSA-N 0 3 304.482 4.253 20 0 DIADHN Cc1cc(Cl)ccc1-c1nnc([C@@H]2CCCN2CC2CC2)o1 ZINC001255305468 1062389867 /nfs/dbraw/zinc/38/98/67/1062389867.db2.gz MYMACSKQEAKJTC-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN Cc1nccnc1CN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC001459778117 1062392329 /nfs/dbraw/zinc/39/23/29/1062392329.db2.gz XJCAFGFXRXNASV-JZHDPFOFSA-N 0 3 307.441 4.243 20 0 DIADHN CCCC[C@@H](C)NCc1csc(-c2cccc(OC)c2)n1 ZINC001255321152 1062397842 /nfs/dbraw/zinc/39/78/42/1062397842.db2.gz ZGVHGZIOLXEALO-CYBMUJFWSA-N 0 3 304.459 4.487 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N(C)CC1(O)CCCC1 ZINC001459799010 1062407346 /nfs/dbraw/zinc/40/73/46/1062407346.db2.gz YFGFXNNKCKWWNQ-NSHDSACASA-N 0 3 302.245 4.291 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)C1(C)CCCCCC1 ZINC001468824971 1062415765 /nfs/dbraw/zinc/41/57/65/1062415765.db2.gz ATPQQMHFIHZMQE-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2c(C)nsc2C)c1 ZINC001459813020 1062418153 /nfs/dbraw/zinc/41/81/53/1062418153.db2.gz HUBQLHCRBZFXKL-KPZWWZAWSA-N 0 3 316.470 4.352 20 0 DIADHN Cc1ccc(NC(=O)CN[C@]2(C)CCCc3ccccc32)cc1C ZINC001459816998 1062422747 /nfs/dbraw/zinc/42/27/47/1062422747.db2.gz GQQUFHJWVFOCTM-OAQYLSRUSA-N 0 3 322.452 4.083 20 0 DIADHN c1ccc([C@@H](NCc2ccc(-c3ccccn3)nc2)C2CC2)cc1 ZINC001459823969 1062427476 /nfs/dbraw/zinc/42/74/76/1062427476.db2.gz FXDSCWPOOIMGJP-OAQYLSRUSA-N 0 3 315.420 4.385 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001459825816 1062427757 /nfs/dbraw/zinc/42/77/57/1062427757.db2.gz HIHKTBNSJOAJRO-QFBILLFUSA-N 0 3 310.526 4.220 20 0 DIADHN C[C@@H](CCO)N(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001459822194 1062428607 /nfs/dbraw/zinc/42/86/07/1062428607.db2.gz SPOKLNVYKPOFNR-AWEZNQCLSA-N 0 3 321.823 4.252 20 0 DIADHN CSc1ccc(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)s1 ZINC001474705013 1062431597 /nfs/dbraw/zinc/43/15/97/1062431597.db2.gz IEYSTTWMEOVZBL-WMLDXEAASA-N 0 3 301.480 4.244 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H](c1ncccn1)C1CC1 ZINC001474718288 1062443346 /nfs/dbraw/zinc/44/33/46/1062443346.db2.gz ZOUJGHBIJNHTJM-MOPGFXCFSA-N 0 3 309.457 4.319 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCCOCC1CCCC1 ZINC001459849244 1062447089 /nfs/dbraw/zinc/44/70/89/1062447089.db2.gz BBALJRFKUNYSGW-GOSISDBHSA-N 0 3 305.462 4.333 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1ccccc1 ZINC001459862031 1062455785 /nfs/dbraw/zinc/45/57/85/1062455785.db2.gz BIZQFGLLEIJZCX-AEFFLSMTSA-N 0 3 304.478 4.010 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1cccc(-c2ccccc2)c1 ZINC001459867144 1062457145 /nfs/dbraw/zinc/45/71/45/1062457145.db2.gz ROFCEXLESZHXRZ-IBGZPJMESA-N 0 3 308.425 4.165 20 0 DIADHN CC(C)CN(C(=O)CN[C@@H](C)c1cccc2ccccc21)C1CC1 ZINC001459865041 1062457705 /nfs/dbraw/zinc/45/77/05/1062457705.db2.gz OGEZUHDGSRBPSO-INIZCTEOSA-N 0 3 324.468 4.137 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC1CCC(C(C)(C)C)CC1)C(=O)N2 ZINC001255494032 1062458957 /nfs/dbraw/zinc/45/89/57/1062458957.db2.gz JRJKOJFRTZLUTB-MQBCKMQZSA-N 0 3 300.446 4.183 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCc3cc(F)cc(F)c3C2)cc1 ZINC001474759632 1062464919 /nfs/dbraw/zinc/46/49/19/1062464919.db2.gz RUNNOORMRBSSGS-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN CC[C@]1(C)COCCN1Cc1ccc(-c2ccsc2)cc1 ZINC001459878626 1062467327 /nfs/dbraw/zinc/46/73/27/1062467327.db2.gz AZEVHVHFVIHILV-GOSISDBHSA-N 0 3 301.455 4.416 20 0 DIADHN CN(Cc1cnc(Cl)s1)C1CCC(C(F)(F)F)CC1 ZINC001255516929 1062469021 /nfs/dbraw/zinc/46/90/21/1062469021.db2.gz TUYWXPRQJYUWRH-UHFFFAOYSA-N 0 3 312.788 4.349 20 0 DIADHN FC(F)(F)C1CCC(N2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC001255518149 1062474074 /nfs/dbraw/zinc/47/40/74/1062474074.db2.gz AEYBAHQNLIKVSS-HSOILSAZSA-N 0 3 303.290 4.382 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCC2(CCCCCC2)O1 ZINC001459902604 1062485960 /nfs/dbraw/zinc/48/59/60/1062485960.db2.gz SDWUQMAMUAWLKI-SJLPKXTDSA-N 0 3 302.462 4.346 20 0 DIADHN CCCCOCCN[C@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001459906172 1062488592 /nfs/dbraw/zinc/48/85/92/1062488592.db2.gz WYAGCIGXIOATDQ-OAHLLOKOSA-N 0 3 303.368 4.395 20 0 DIADHN Cc1ccccc1OC1CCN([C@@H](C)CC(F)(F)F)CC1 ZINC001255647115 1062497731 /nfs/dbraw/zinc/49/77/31/1062497731.db2.gz SJQSRKIJNZVDCD-ZDUSSCGKSA-N 0 3 301.352 4.179 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC[C@@H](OCc2ccccc2)C1 ZINC001255654290 1062498904 /nfs/dbraw/zinc/49/89/04/1062498904.db2.gz BDPVASSESAOBMR-UKRRQHHQSA-N 0 3 301.352 4.009 20 0 DIADHN CC[C@H](NC[C@](O)(c1ccccc1)C(C)C)c1nc(C)cs1 ZINC001459926029 1062499446 /nfs/dbraw/zinc/49/94/46/1062499446.db2.gz OCMHGQWERYADEI-FUHWJXTLSA-N 0 3 318.486 4.036 20 0 DIADHN C[C@H](CC(F)(F)F)N1CC[C@H](Sc2ccc(F)cc2)C1 ZINC001255659628 1062500654 /nfs/dbraw/zinc/50/06/54/1062500654.db2.gz AQCBUHCEMLMIHG-MFKMUULPSA-N 0 3 307.356 4.333 20 0 DIADHN C[C@H](CC(F)(F)F)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001255656685 1062502563 /nfs/dbraw/zinc/50/25/63/1062502563.db2.gz ZNQHQHCFJBFCBJ-DGCLKSJQSA-N 0 3 311.351 4.364 20 0 DIADHN C[C@@H](CC(F)(F)F)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001255656686 1062503341 /nfs/dbraw/zinc/50/33/41/1062503341.db2.gz ZNQHQHCFJBFCBJ-WCQYABFASA-N 0 3 311.351 4.364 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3cc(O)ccc3Cl)C2)c1 ZINC001459936885 1062506899 /nfs/dbraw/zinc/50/68/99/1062506899.db2.gz ZKVYKBYEXLWNSR-OAHLLOKOSA-N 0 3 301.817 4.344 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001255662733 1062508099 /nfs/dbraw/zinc/50/80/99/1062508099.db2.gz RQHHMQIMWMFFMP-LRDDRELGSA-N 0 3 315.354 4.446 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN(Cc2ccc3ccccc3c2)C1 ZINC001459958612 1062526350 /nfs/dbraw/zinc/52/63/50/1062526350.db2.gz XJULEWQZMDGQPB-KRWDZBQOSA-N 0 3 323.358 4.383 20 0 DIADHN Cc1cc(C)c2c(c1)CN(C[C@H](O)c1cccc(Cl)c1)CC2 ZINC001459962555 1062528825 /nfs/dbraw/zinc/52/88/25/1062528825.db2.gz KZUHYIXIQCKXDC-IBGZPJMESA-N 0 3 315.844 4.049 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3CCCC[C@@H](C)C3)n2)cc1F ZINC001459962999 1062531315 /nfs/dbraw/zinc/53/13/15/1062531315.db2.gz SPCFJTVGEUBSGQ-OCCSQVGLSA-N 0 3 317.408 4.367 20 0 DIADHN COc1ccc2c(c1)CCN([C@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255709107 1062534742 /nfs/dbraw/zinc/53/47/42/1062534742.db2.gz PMNMXFIUMLUULB-CABCVRRESA-N 0 3 313.363 4.174 20 0 DIADHN Fc1ccc2c(c1)CN([C@H]1CCC[C@@H](C(F)(F)F)C1)CC2 ZINC001255717921 1062538305 /nfs/dbraw/zinc/53/83/05/1062538305.db2.gz PVFOLHUUPFXBNP-HIFRSBDPSA-N 0 3 301.327 4.305 20 0 DIADHN Fc1cccc2c1CCN([C@@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255719395 1062539724 /nfs/dbraw/zinc/53/97/24/1062539724.db2.gz DFUHMXCNKGUPMB-QWHCGFSZSA-N 0 3 301.327 4.305 20 0 DIADHN Fc1ccc2c(c1)CCN([C@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255722442 1062544926 /nfs/dbraw/zinc/54/49/26/1062544926.db2.gz ZSACRGWUDDKXAV-ZFWWWQNUSA-N 0 3 301.327 4.305 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001459983295 1062545590 /nfs/dbraw/zinc/54/55/90/1062545590.db2.gz CNNNREZHWONYMX-GJZGRUSLSA-N 0 3 310.869 4.117 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cccc(Cl)n1 ZINC001255727655 1062545803 /nfs/dbraw/zinc/54/58/03/1062545803.db2.gz HGMRAKVNHFZKPT-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1ccc(F)cc1F ZINC001255727268 1062546593 /nfs/dbraw/zinc/54/65/93/1062546593.db2.gz ZLNGDXZESVPEBX-LLVKDONJSA-N 0 3 311.372 4.374 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cccc(F)c1F ZINC001255728746 1062549547 /nfs/dbraw/zinc/54/95/47/1062549547.db2.gz ZBOGQFFKXGIGPD-LLVKDONJSA-N 0 3 311.372 4.374 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2c(F)ccc(C)c2F)C1(CC)CC ZINC001459990426 1062550705 /nfs/dbraw/zinc/55/07/05/1062550705.db2.gz QURHWEOXBYFNCV-CVEARBPZSA-N 0 3 311.416 4.347 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H](C)CSc2ccc(F)cc2)c1 ZINC001255732591 1062553075 /nfs/dbraw/zinc/55/30/75/1062553075.db2.gz OIICZSJKQIZRNB-KBPBESRZSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)Nc1cccc(F)c1CN ZINC001255729697 1062555315 /nfs/dbraw/zinc/55/53/15/1062555315.db2.gz JIBXFFBOMIETME-NSHDSACASA-N 0 3 308.397 4.016 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@H]1COCc2ccccc21 ZINC001255735750 1062556791 /nfs/dbraw/zinc/55/67/91/1062556791.db2.gz MVSYSGKMNJXNIG-ACJLOTCBSA-N 0 3 317.429 4.167 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1cc(F)cc(F)c1 ZINC001460007367 1062563110 /nfs/dbraw/zinc/56/31/10/1062563110.db2.gz PFJKEIXWMQEKMX-UHFFFAOYSA-N 0 3 305.368 4.004 20 0 DIADHN COc1ccccc1CN(C)[C@H](C)CSc1ccc(F)cc1 ZINC001255745110 1062563539 /nfs/dbraw/zinc/56/35/39/1062563539.db2.gz QAHZIXKLDCIKPV-CQSZACIVSA-N 0 3 319.445 4.447 20 0 DIADHN COc1ccc(F)c(CN[C@@H](C)CSc2ccc(C)cc2)c1 ZINC001255757175 1062579210 /nfs/dbraw/zinc/57/92/10/1062579210.db2.gz WBWNUPIVEOJPJO-AWEZNQCLSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1ccc(SC[C@H](C)N(C)Cc2cccc(O)c2)cc1 ZINC001255761151 1062586212 /nfs/dbraw/zinc/58/62/12/1062586212.db2.gz NLOGQOBINWDWJD-HNNXBMFYSA-N 0 3 301.455 4.313 20 0 DIADHN Cc1ccc(SC[C@@H](C)N(CCO)Cc2cccs2)cc1 ZINC001255767318 1062590490 /nfs/dbraw/zinc/59/04/90/1062590490.db2.gz JCQDPCJOLNFXLW-OAHLLOKOSA-N 0 3 321.511 4.032 20 0 DIADHN COCCN(Cc1nc2cc(C)ccc2s1)[C@H]1CC[C@@H](C)C1 ZINC001460041073 1062592304 /nfs/dbraw/zinc/59/23/04/1062592304.db2.gz SJKYPLSWUGIUIL-HIFRSBDPSA-N 0 3 318.486 4.242 20 0 DIADHN CC[C@H](C(=O)N1CCN(C(C)(C)CC)CC1)C1CCC(C)CC1 ZINC001469659171 1062600548 /nfs/dbraw/zinc/60/05/48/1062600548.db2.gz QUOZFWDQZZEZKU-ABHNRTSZSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nccc(Cl)c2Cl)cc1 ZINC001255781898 1062601837 /nfs/dbraw/zinc/60/18/37/1062601837.db2.gz STNCSPFSHRCHIT-LBPRGKRZSA-N 0 3 309.240 4.418 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@H]2CCOc3cc(F)cc(F)c32)cc1 ZINC001255779747 1062602595 /nfs/dbraw/zinc/60/25/95/1062602595.db2.gz IGTBHQZPKSEDSJ-GUYCJALGSA-N 0 3 317.379 4.318 20 0 DIADHN CCO[C@H](CN(CCC(F)(F)F)Cc1ccccc1)C1CC1 ZINC001460065520 1062611153 /nfs/dbraw/zinc/61/11/53/1062611153.db2.gz NOLPXOYRQYEDTN-MRXNPFEDSA-N 0 3 315.379 4.256 20 0 DIADHN CCOc1ccc(CN2C[C@H](C)CC[C@H]2c2ccccc2)nc1 ZINC001460079131 1062621898 /nfs/dbraw/zinc/62/18/98/1062621898.db2.gz AAXIIBIIVLFXCN-UZLBHIALSA-N 0 3 310.441 4.454 20 0 DIADHN CCOc1ccc(CN2C[C@@H](C)CC[C@@H]2c2ccccc2)nc1 ZINC001460079115 1062622237 /nfs/dbraw/zinc/62/22/37/1062622237.db2.gz AAXIIBIIVLFXCN-OXJNMPFZSA-N 0 3 310.441 4.454 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001255840657 1062628874 /nfs/dbraw/zinc/62/88/74/1062628874.db2.gz FZVRQTLSQCBRDL-ZBEGNZNMSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@H](c1ncccc1F)C1CC1 ZINC001255865644 1062644735 /nfs/dbraw/zinc/64/47/35/1062644735.db2.gz FWJGLCGZLLXIHR-PXAZEXFGSA-N 0 3 302.368 4.032 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@H](c1ncccc1F)C1CC1 ZINC001255865645 1062644825 /nfs/dbraw/zinc/64/48/25/1062644825.db2.gz FWJGLCGZLLXIHR-SJCJKPOMSA-N 0 3 302.368 4.032 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N(C)Cc1nc2ccccc2s1 ZINC001255868941 1062648866 /nfs/dbraw/zinc/64/88/66/1062648866.db2.gz VHILNTDZXVLHJQ-CYBMUJFWSA-N 0 3 314.429 4.498 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CCN(C)C2(CCCCC2)C1 ZINC001460132740 1062651595 /nfs/dbraw/zinc/65/15/95/1062651595.db2.gz RMJMQSZNORMXDG-AWEZNQCLSA-N 0 3 324.871 4.490 20 0 DIADHN COc1cc(Cl)ccc1CN(C)CCc1ccccc1C ZINC001460150157 1062666919 /nfs/dbraw/zinc/66/69/19/1062666919.db2.gz LKEADGHMXHZVAD-UHFFFAOYSA-N 0 3 303.833 4.332 20 0 DIADHN C[C@H](CN1CC(C)(C)O[C@@](C)(C(F)(F)F)C1)CC(C)(C)C ZINC001460158428 1062671328 /nfs/dbraw/zinc/67/13/28/1062671328.db2.gz RBZANEIYFKSDQI-SWLSCSKDSA-N 0 3 309.416 4.491 20 0 DIADHN CCCC[C@@H](CC)CN(Cc1ccc(C(N)=O)cc1F)C1CC1 ZINC001460180493 1062682564 /nfs/dbraw/zinc/68/25/64/1062682564.db2.gz OEBXVBDUAQGDBN-CQSZACIVSA-N 0 3 320.452 4.105 20 0 DIADHN C[C@H](CNCc1nc(-c2ccccc2)c[nH]1)c1cccc(F)c1 ZINC001256042855 1062685787 /nfs/dbraw/zinc/68/57/87/1062685787.db2.gz RJXNHUSQOSQBRW-CQSZACIVSA-N 0 3 309.388 4.109 20 0 DIADHN OC1(CCN[C@@H](c2c(Cl)cccc2Cl)C2CC2)CCC1 ZINC001460192710 1062689736 /nfs/dbraw/zinc/68/97/36/1062689736.db2.gz BFBGWSDJNZNIPK-OAHLLOKOSA-N 0 3 314.256 4.339 20 0 DIADHN COc1ccc2c(c1)C1(CC1)CN(CCCSCC(C)C)C2 ZINC001460192569 1062691475 /nfs/dbraw/zinc/69/14/75/1062691475.db2.gz NRNAOBPRKQJZSP-UHFFFAOYSA-N 0 3 319.514 4.322 20 0 DIADHN CN(Cc1ccccc1N(C)C)C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC001460203211 1062698555 /nfs/dbraw/zinc/69/85/55/1062698555.db2.gz JRRYQYAVWCCWEB-FPOVZHCZSA-N 0 3 324.468 4.105 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)CN2[C@H](C)CC[C@H]2C)cc1 ZINC001470082592 1062699916 /nfs/dbraw/zinc/69/99/16/1062699916.db2.gz KXAGAAAPYIZOQK-HZPDHXFCSA-N 0 3 316.489 4.034 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCC[C@H](c3ccccc3)C1)C(=O)N2 ZINC001256107554 1062708066 /nfs/dbraw/zinc/70/80/66/1062708066.db2.gz YRKACIVZQKUEEE-ZWOKBUDYSA-N 0 3 320.436 4.304 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1nc(-c2cccs2)no1)C1CCC1 ZINC001460224575 1062708792 /nfs/dbraw/zinc/70/87/92/1062708792.db2.gz NGRMIHGDFAAHCG-WCQYABFASA-N 0 3 305.447 4.418 20 0 DIADHN Fc1cnc(CN[C@H]2CCC[C@H](c3ccccc3)C2)c(F)c1 ZINC001256108804 1062710951 /nfs/dbraw/zinc/71/09/51/1062710951.db2.gz OUJDDJLBGOSFOO-HOCLYGCPSA-N 0 3 302.368 4.176 20 0 DIADHN C[C@H]1CN([C@H]2CCc3ccc(F)cc32)CCN1Cc1ccccc1 ZINC001460242930 1062722643 /nfs/dbraw/zinc/72/26/43/1062722643.db2.gz UZWLZZAFJZWPHS-KKSFZXQISA-N 0 3 324.443 4.019 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CC[C@H](C3CCC3)C2)co1 ZINC001460246056 1062728716 /nfs/dbraw/zinc/72/87/16/1062728716.db2.gz RWSLYEYBSYWPKL-ZDUSSCGKSA-N 0 3 318.367 4.242 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CC(C)(C)C[C@H]1C ZINC001460249519 1062730838 /nfs/dbraw/zinc/73/08/38/1062730838.db2.gz GDUYPVCNZOJNTN-CYBMUJFWSA-N 0 3 315.413 4.230 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cccnc2C(F)(F)F)C1 ZINC001460255224 1062734424 /nfs/dbraw/zinc/73/44/24/1062734424.db2.gz MWZNHTQUCDFJSK-LBPRGKRZSA-N 0 3 300.368 4.359 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@@H](C)c1cccc(Cl)c1F ZINC001460256971 1062735969 /nfs/dbraw/zinc/73/59/69/1062735969.db2.gz KKOWZXDYQOTNBO-LSKLOWJMSA-N 0 3 319.807 4.442 20 0 DIADHN Cc1cnc(CN2CCC[C@]2(C)Cc2cccc(F)c2)s1 ZINC001460278704 1062748952 /nfs/dbraw/zinc/74/89/52/1062748952.db2.gz SXZYRUOKOIJNDZ-QGZVFWFLSA-N 0 3 304.434 4.188 20 0 DIADHN Cc1cc(CN(Cc2c(C)cccc2C)CC(C)C)nc(C)n1 ZINC001460299046 1062769930 /nfs/dbraw/zinc/76/99/30/1062769930.db2.gz RACPYCBCDYKHJC-UHFFFAOYSA-N 0 3 311.473 4.368 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CC[C@H](Oc2ccccc2)C1 ZINC001460299711 1062770385 /nfs/dbraw/zinc/77/03/85/1062770385.db2.gz HOMTZRKHRGFYLU-KXBFYZLASA-N 0 3 313.828 4.481 20 0 DIADHN Fc1ccc(F)c(C[C@@H]2CCCN2CCOCC2CCCC2)c1 ZINC001460306031 1062771863 /nfs/dbraw/zinc/77/18/63/1062771863.db2.gz VFGPHDWVSNHYEH-SFHVURJKSA-N 0 3 323.427 4.179 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccccc1N1CCCC1 ZINC001256332958 1062780498 /nfs/dbraw/zinc/78/04/98/1062780498.db2.gz YJCYYCDWBVYBIN-ZFWWWQNUSA-N 0 3 312.379 4.060 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1cc(F)c(C(F)(F)F)cc1F ZINC001460341702 1062792709 /nfs/dbraw/zinc/79/27/09/1062792709.db2.gz ISSICWIYUKGZNH-ZIAGYGMSSA-N 0 3 323.305 4.031 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@H](OC(C)C)C1 ZINC001460340213 1062793200 /nfs/dbraw/zinc/79/32/00/1062793200.db2.gz JLQVTJCPLWQBDS-SFHVURJKSA-N 0 3 305.462 4.208 20 0 DIADHN Cc1ccc(F)c(CN2CCc3ccccc3[C@@H](C)C2)c1F ZINC001460346357 1062797335 /nfs/dbraw/zinc/79/73/35/1062797335.db2.gz ZYYWPTREAJMKFT-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@H]1c1cc(F)ccc1F ZINC001256416313 1062809287 /nfs/dbraw/zinc/80/92/87/1062809287.db2.gz AEXYJMGFTKHZOR-ACJLOTCBSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccccn1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001256421482 1062816722 /nfs/dbraw/zinc/81/67/22/1062816722.db2.gz KJNUQZGPVYNTHJ-CRAIPNDOSA-N 0 3 320.440 4.050 20 0 DIADHN Cc1nc(C)c(CN(C)[C@@H](C)CSc2ccccc2)s1 ZINC001460370167 1062819538 /nfs/dbraw/zinc/81/95/38/1062819538.db2.gz WWRVKBSTKKJZNE-LBPRGKRZSA-N 0 3 306.500 4.373 20 0 DIADHN CCOC1CCC(N2CCn3cccc3[C@H]2c2ccccc2)CC1 ZINC001256437307 1062821873 /nfs/dbraw/zinc/82/18/73/1062821873.db2.gz XTDGQKHGUCCRER-GZNCHQMQSA-N 0 3 324.468 4.241 20 0 DIADHN CC[C@@]1(Cc2ccccc2)CCCN1Cc1nnc(C(C)C)o1 ZINC001460401180 1062832871 /nfs/dbraw/zinc/83/28/71/1062832871.db2.gz BFRKLPRWKCOTRM-IBGZPJMESA-N 0 3 313.445 4.180 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC001460406679 1062842484 /nfs/dbraw/zinc/84/24/84/1062842484.db2.gz IAVDWKZGWCCWHL-GOSISDBHSA-N 0 3 324.468 4.340 20 0 DIADHN CC(C)c1ccc(C(C)(C)N[C@@H]2CCCN(C(C)C)C2=O)cc1 ZINC001460414780 1062846235 /nfs/dbraw/zinc/84/62/35/1062846235.db2.gz DRZJUTANWSLNMQ-GOSISDBHSA-N 0 3 316.489 4.034 20 0 DIADHN CC(C)=CCCN1Cc2ccccc2[C@@H](C(=O)OC(C)(C)C)C1 ZINC001460417931 1062849137 /nfs/dbraw/zinc/84/91/37/1062849137.db2.gz LPLCYGOHXUBLQJ-SFHVURJKSA-N 0 3 315.457 4.284 20 0 DIADHN C=C/C=C/CCN1Cc2ccccc2[C@@H](C(=O)OC(C)(C)C)C1 ZINC001460417214 1062849820 /nfs/dbraw/zinc/84/98/20/1062849820.db2.gz LFSZXALWZRBAIM-DKFQHHCZSA-N 0 3 313.441 4.060 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CCC1CCCCCC1)C2 ZINC001460485715 1062877706 /nfs/dbraw/zinc/87/77/06/1062877706.db2.gz KGTYZLKXMWMXEU-UHFFFAOYSA-N 0 3 301.478 4.319 20 0 DIADHN CC(C)CC1(NCc2cc(Br)cc(F)c2F)CC1 ZINC001460487189 1062878215 /nfs/dbraw/zinc/87/82/15/1062878215.db2.gz JZDPEBOFTAHZEQ-UHFFFAOYSA-N 0 3 318.205 4.396 20 0 DIADHN Cc1nc(CN2CCCC[C@@H]2CC2CCC2)nc2ccccc12 ZINC001460484878 1062878286 /nfs/dbraw/zinc/87/82/86/1062878286.db2.gz WCRKEADMFUHESE-QGZVFWFLSA-N 0 3 309.457 4.483 20 0 DIADHN CC(C)(C)OC(=O)[C@]1(C)CCCN1CC1CCC(C)(C)CC1 ZINC001460499142 1062883944 /nfs/dbraw/zinc/88/39/44/1062883944.db2.gz IHKTWECBPUDJDX-IBGZPJMESA-N 0 3 309.494 4.399 20 0 DIADHN Cc1cc(CN2CC3(CCCC3)OC[C@@H]2C)c2ccccc2n1 ZINC001460514204 1062892331 /nfs/dbraw/zinc/89/23/31/1062892331.db2.gz ZNWSPPOQITXNQA-INIZCTEOSA-N 0 3 310.441 4.077 20 0 DIADHN COc1ccc(C(N[C@@H]2C=CCCC2)c2ccc(OC)cc2)cc1 ZINC001460613986 1062933540 /nfs/dbraw/zinc/93/35/40/1062933540.db2.gz ZYFKWDXKFJYJMV-GOSISDBHSA-N 0 3 323.436 4.492 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1)NC(=O)[C@H](C)CCC(C)(C)C ZINC001460616312 1062935342 /nfs/dbraw/zinc/93/53/42/1062935342.db2.gz JNWNJTVPQZAFJU-IAGOWNOFSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2ccc(F)c(Cl)c2)on1 ZINC001460637475 1062952449 /nfs/dbraw/zinc/95/24/49/1062952449.db2.gz MRWBFMFBWJTGAP-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN C[C@@H](Cc1ccncc1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001256724500 1062952182 /nfs/dbraw/zinc/95/21/82/1062952182.db2.gz WHBZQSXVOREMTC-YJBOKZPZSA-N 0 3 323.465 4.043 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC[C@H](Oc2cccc(F)c2)C1 ZINC001460635104 1062952704 /nfs/dbraw/zinc/95/27/04/1062952704.db2.gz BERQGHJBZYAJTH-ACJLOTCBSA-N 0 3 303.352 4.179 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC[C@@H](Oc2cccc(F)c2)C1 ZINC001460635107 1062955116 /nfs/dbraw/zinc/95/51/16/1062955116.db2.gz BERQGHJBZYAJTH-SCLBCKFNSA-N 0 3 303.352 4.179 20 0 DIADHN C[C@]1(Cc2ccc(F)c(C(F)(F)F)c2)CCCN1CCF ZINC001460646701 1062957340 /nfs/dbraw/zinc/95/73/40/1062957340.db2.gz QPEQRJFHMYMWOT-CQSZACIVSA-N 0 3 307.306 4.211 20 0 DIADHN C[C@@H](Cc1ccncc1)N(C)Cc1cccc(Cl)c1Cl ZINC001256739471 1062961060 /nfs/dbraw/zinc/96/10/60/1062961060.db2.gz AQFMQCDESMEUMQ-LBPRGKRZSA-N 0 3 309.240 4.451 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC001256747935 1062965260 /nfs/dbraw/zinc/96/52/60/1062965260.db2.gz JWIIPUWVQZRHGV-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)s1)[C@]12C[C@H]1CCCC2 ZINC001460662006 1062967094 /nfs/dbraw/zinc/96/70/94/1062967094.db2.gz BPVWZGNSHKYRRT-JOQOYGCGSA-N 0 3 313.470 4.264 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001256755087 1062967182 /nfs/dbraw/zinc/96/71/82/1062967182.db2.gz SIXVCIJBEIEFLF-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN COc1ccccc1[C@@H](C)NCCOc1ccc(F)cc1Cl ZINC001460661782 1062967924 /nfs/dbraw/zinc/96/79/24/1062967924.db2.gz PFHFAUMSARIFHW-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN CCC(O)(CC)C(C)(C)NCc1nc(-c2ccccc2)cs1 ZINC001460665554 1062973981 /nfs/dbraw/zinc/97/39/81/1062973981.db2.gz GZDBUEBAQQWTHB-UHFFFAOYSA-N 0 3 318.486 4.229 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c1F ZINC001256783846 1062974184 /nfs/dbraw/zinc/97/41/84/1062974184.db2.gz DTIYUCUPXPHHEQ-MAUKXSAKSA-N 0 3 317.379 4.283 20 0 DIADHN CCN(Cc1ccc(Cl)c(F)c1)[C@@H](CO)c1ccccc1 ZINC001460689756 1062986422 /nfs/dbraw/zinc/98/64/22/1062986422.db2.gz KCFQYTOCVLCVIZ-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCN(CCc2ccc3ccccc3c2)C1 ZINC001460690600 1062988672 /nfs/dbraw/zinc/98/86/72/1062988672.db2.gz XABIZSCEMWYARU-KRWDZBQOSA-N 0 3 323.358 4.036 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cnc(Cl)cn3)C2(C)C)cc1 ZINC001460698814 1062995471 /nfs/dbraw/zinc/99/54/71/1062995471.db2.gz UQEYKOUFCARGKJ-INIZCTEOSA-N 0 3 315.848 4.207 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256822382 1063002428 /nfs/dbraw/zinc/00/24/28/1063002428.db2.gz QVNHOOGEDCXZFX-PKOBYXMFSA-N 0 3 317.379 4.317 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC[C@@H](CF)C1 ZINC001256845047 1063013784 /nfs/dbraw/zinc/01/37/84/1063013784.db2.gz UDIMFIJTBKZNKN-OLZOCXBDSA-N 0 3 314.242 4.062 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1CC[C@H]2[C@@H]1CCCN2C ZINC001471687605 1063015216 /nfs/dbraw/zinc/01/52/16/1063015216.db2.gz UGMPLZOTKMHJCR-QYZOEREBSA-N 0 3 320.521 4.068 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC001460734928 1063027131 /nfs/dbraw/zinc/02/71/31/1063027131.db2.gz XCKIFIMRJBXFDL-XJKSGUPXSA-N 0 3 315.379 4.352 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C)C[C@@H](C)C(C)(C)C ZINC001460741860 1063031425 /nfs/dbraw/zinc/03/14/25/1063031425.db2.gz AEEHSTZFIGCEBT-CYBMUJFWSA-N 0 3 305.441 4.309 20 0 DIADHN Fc1ccc(O[C@H]2CCN([C@H]3CCc4c3cccc4F)C2)cc1 ZINC001460739624 1063033461 /nfs/dbraw/zinc/03/34/61/1063033461.db2.gz VXMRXRSYVVIVGS-KXBFYZLASA-N 0 3 315.363 4.105 20 0 DIADHN Clc1cccc(CCN2CC[C@@H]([C@H]3CCCO3)C2)c1Cl ZINC001460751554 1063040946 /nfs/dbraw/zinc/04/09/46/1063040946.db2.gz XYVBEHBABOWRIV-UKRRQHHQSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1ccc(CN2CCC[C@H](Cc3nccs3)C2)cc1F ZINC001460803751 1063069294 /nfs/dbraw/zinc/06/92/94/1063069294.db2.gz XULXAYHPQXGADS-CQSZACIVSA-N 0 3 304.434 4.045 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3cccc4cccc2c43)nc1 ZINC001460815605 1063075646 /nfs/dbraw/zinc/07/56/46/1063075646.db2.gz JOUPZCUDNGKARO-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@H]1CCC[C@@H](CCN(C)CC(=O)NCCC2=CCCCC2)C1 ZINC001460823373 1063084801 /nfs/dbraw/zinc/08/48/01/1063084801.db2.gz IXUGCPSJDFYUGK-HKUYNNGSSA-N 0 3 320.521 4.141 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCCC[C@H]2c2ccccc2F)C1 ZINC001257063585 1063097153 /nfs/dbraw/zinc/09/71/53/1063097153.db2.gz QKUHSGFBFKVEHT-VKJFTORMSA-N 0 3 319.420 4.085 20 0 DIADHN CCCC[C@H](C)N(CCCC)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001478194654 1063102459 /nfs/dbraw/zinc/10/24/59/1063102459.db2.gz RFBIBAHOTKWNRH-BBWFWOEESA-N 0 3 323.525 4.002 20 0 DIADHN C[C@@H](NCc1ccc(F)c2cccnc12)c1ccc2c(c1)CCO2 ZINC001460864724 1063105344 /nfs/dbraw/zinc/10/53/44/1063105344.db2.gz QYHZHJQXRNKGGC-CYBMUJFWSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2ccc(Cl)s2)o1 ZINC001460867704 1063107881 /nfs/dbraw/zinc/10/78/81/1063107881.db2.gz AOYNJHWEINJEJY-OAHLLOKOSA-N 0 3 310.850 4.295 20 0 DIADHN CSCC1CCN(Cc2cccc(OC(F)(F)F)c2)CC1 ZINC001460879058 1063111410 /nfs/dbraw/zinc/11/14/10/1063111410.db2.gz GVBDDTFPQHSNRG-UHFFFAOYSA-N 0 3 319.392 4.160 20 0 DIADHN C[C@H]1CC(N2CCc3cccc(C(F)(F)F)c3C2)C[C@H](C)O1 ZINC001257102186 1063112056 /nfs/dbraw/zinc/11/20/56/1063112056.db2.gz LGMPSFCNRNINAJ-RYUDHWBXSA-N 0 3 313.363 4.020 20 0 DIADHN C[C@@H]1CC(N2CCc3cccc(C(F)(F)F)c3C2)C[C@@H](C)O1 ZINC001257102187 1063112961 /nfs/dbraw/zinc/11/29/61/1063112961.db2.gz LGMPSFCNRNINAJ-VXGBXAGGSA-N 0 3 313.363 4.020 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H](C)C3(C)CC3)co2)cc1 ZINC001460889025 1063118136 /nfs/dbraw/zinc/11/81/36/1063118136.db2.gz JJDZZHWEHQZAQA-CYBMUJFWSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1ccc(C)c(C2CCN([C@H](C)c3cnc(C)cn3)CC2)c1 ZINC001460893879 1063119582 /nfs/dbraw/zinc/11/95/82/1063119582.db2.gz BIHYLFSEHMWJNI-QGZVFWFLSA-N 0 3 309.457 4.342 20 0 DIADHN CCC[C@H]1CCCN1Cc1coc(-c2cccc(OC)c2)n1 ZINC001460898608 1063124260 /nfs/dbraw/zinc/12/42/60/1063124260.db2.gz IVYRMSJTMUSUBF-INIZCTEOSA-N 0 3 300.402 4.115 20 0 DIADHN O=c1cc(C[NH2+]C2(C3CCCCC3)CC2)nc(-c2ccccc2)[n-]1 ZINC001460916796 1063133321 /nfs/dbraw/zinc/13/33/21/1063133321.db2.gz SVEYRSIWQMTEKN-UHFFFAOYSA-N 0 3 323.440 4.052 20 0 DIADHN CCC[C@](C)(NCc1cc(C(=O)OC)cs1)c1ccccc1 ZINC001460931498 1063141650 /nfs/dbraw/zinc/14/16/50/1063141650.db2.gz RIBFCZVDEKVEGP-SFHVURJKSA-N 0 3 317.454 4.340 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2ccnc(Cl)c2)cc1 ZINC001460935184 1063142777 /nfs/dbraw/zinc/14/27/77/1063142777.db2.gz KVOAIESGGXBBRP-MRXNPFEDSA-N 0 3 316.832 4.038 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1cc(-c2ccccc2Cl)on1 ZINC001460932013 1063143000 /nfs/dbraw/zinc/14/30/00/1063143000.db2.gz VKUVGEXBHXOJFT-MLGOLLRUSA-N 0 3 302.805 4.439 20 0 DIADHN C[C@]1(CN2CCO[C@@H](c3ccccc3)CC2)CC1(Cl)Cl ZINC001460944568 1063150279 /nfs/dbraw/zinc/15/02/79/1063150279.db2.gz DYPRPDFVQROEFY-HUUCEWRRSA-N 0 3 314.256 4.034 20 0 DIADHN C[C@@]1(CN2CCO[C@H](c3ccccc3)CC2)CC1(Cl)Cl ZINC001460944565 1063150498 /nfs/dbraw/zinc/15/04/98/1063150498.db2.gz DYPRPDFVQROEFY-GJZGRUSLSA-N 0 3 314.256 4.034 20 0 DIADHN Cc1cc(C)cc(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)c1 ZINC001460948428 1063153338 /nfs/dbraw/zinc/15/33/38/1063153338.db2.gz ODSOSGGPXJHIBL-LAUBAEHRSA-N 0 3 309.453 4.178 20 0 DIADHN CCC[C@@H](CC)NCc1ncc(Cl)cc1Br ZINC001257249696 1063156493 /nfs/dbraw/zinc/15/64/93/1063156493.db2.gz UGXVFUYCLXAQDT-SNVBAGLBSA-N 0 3 305.647 4.166 20 0 DIADHN FC(F)(F)CCCCN1CCO[C@H](c2ccccc2)CC1 ZINC001460952142 1063157005 /nfs/dbraw/zinc/15/70/05/1063157005.db2.gz TXMYAIMSQDSKHC-HNNXBMFYSA-N 0 3 301.352 4.183 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NC/C=C\c2cccc(F)c2)c1 ZINC001461022043 1063193622 /nfs/dbraw/zinc/19/36/22/1063193622.db2.gz LTQQVKJZESEMCH-BRLPQQTGSA-N 0 3 312.388 4.148 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NC/C=C/c2cccc(F)c2)c1 ZINC001461022053 1063194292 /nfs/dbraw/zinc/19/42/92/1063194292.db2.gz LTQQVKJZESEMCH-HZRUHFOJSA-N 0 3 312.388 4.148 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccc2c(c1)OCCO2 ZINC001461022959 1063196230 /nfs/dbraw/zinc/19/62/30/1063196230.db2.gz UJVAVCIHFGWYIJ-UHFFFAOYSA-N 0 3 317.816 4.018 20 0 DIADHN CC(C)Oc1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC001461029120 1063199335 /nfs/dbraw/zinc/19/93/35/1063199335.db2.gz YLSTXIWVRFXIHD-UHFFFAOYSA-N 0 3 321.424 4.154 20 0 DIADHN CCC[C@H](C)N1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001257317181 1063200862 /nfs/dbraw/zinc/20/08/62/1063200862.db2.gz SUHJAGWXYWWZLR-IRXDYDNUSA-N 0 3 302.462 4.285 20 0 DIADHN CCc1cccc(CNCc2ccnc(OC3CCCC3)c2)c1 ZINC001461040317 1063208498 /nfs/dbraw/zinc/20/84/98/1063208498.db2.gz QPIHAJHLTYDIQX-UHFFFAOYSA-N 0 3 310.441 4.255 20 0 DIADHN CCCC(CCC)NCC(=O)c1cccc(Br)c1 ZINC001257327911 1063211685 /nfs/dbraw/zinc/21/16/85/1063211685.db2.gz GRDJHXMXZGWAJV-UHFFFAOYSA-N 0 3 312.251 4.190 20 0 DIADHN CCCC(CCC)N1CCn2c(CN(C)C(C)C)cnc2[C@H]1C ZINC001257337559 1063217692 /nfs/dbraw/zinc/21/76/92/1063217692.db2.gz YJWMRNZZBAEJAK-MRXNPFEDSA-N 0 3 320.525 4.069 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1ccsc1C(F)(F)F ZINC001461052245 1063219420 /nfs/dbraw/zinc/21/94/20/1063219420.db2.gz LEGBBIDNXDBJCN-WCQYABFASA-N 0 3 321.408 4.452 20 0 DIADHN CCCC(CCC)N1CCc2ncc(Br)cc2C1 ZINC001257340224 1063219846 /nfs/dbraw/zinc/21/98/46/1063219846.db2.gz SFKDXXPSVREBPQ-UHFFFAOYSA-N 0 3 311.267 4.171 20 0 DIADHN CC1CCN(c2ccc(CNC/C=C\c3ccccc3)cn2)CC1 ZINC001461054490 1063222341 /nfs/dbraw/zinc/22/23/41/1063222341.db2.gz YFBSBWPTWNXJBG-YVMONPNESA-N 0 3 321.468 4.121 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(N3CCCCCC3)nc2)c1 ZINC001461057263 1063222566 /nfs/dbraw/zinc/22/25/66/1063222566.db2.gz OPHDFUUSEZYEMT-UHFFFAOYSA-N 0 3 323.484 4.369 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001461062283 1063225848 /nfs/dbraw/zinc/22/58/48/1063225848.db2.gz NZLLPHMCCKZYSZ-OAHLLOKOSA-N 0 3 311.425 4.087 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(OC3CCCC3)nc2)c1 ZINC001461069347 1063231909 /nfs/dbraw/zinc/23/19/09/1063231909.db2.gz VGWBVUNOOZDIHO-UHFFFAOYSA-N 0 3 310.441 4.310 20 0 DIADHN CCCCCC[C@@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257368067 1063233284 /nfs/dbraw/zinc/23/32/84/1063233284.db2.gz IEZKETLKQSYJLY-LLVKDONJSA-N 0 3 303.372 4.079 20 0 DIADHN Cc1ccccc1OCCNCc1ccccc1OCC(C)C ZINC001461084342 1063240356 /nfs/dbraw/zinc/24/03/56/1063240356.db2.gz CQWHIKYTEVEWDQ-UHFFFAOYSA-N 0 3 313.441 4.198 20 0 DIADHN CCCCCC1CCC(N[C@H]2c3ccccc3CNC2=O)CC1 ZINC001257374494 1063241457 /nfs/dbraw/zinc/24/14/57/1063241457.db2.gz AHIOHWQBKPFEFB-KVWWFHCMSA-N 0 3 314.473 4.086 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1cccc(F)c1 ZINC001257398928 1063252807 /nfs/dbraw/zinc/25/28/07/1063252807.db2.gz UJWGKJLZOYXEHU-QAPCUYQASA-N 0 3 321.436 4.475 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccc(C(F)(F)F)cc2)cc1 ZINC001461101892 1063254398 /nfs/dbraw/zinc/25/43/98/1063254398.db2.gz YEXJPYDSLDGYOA-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)c(C)n1 ZINC001461115100 1063264048 /nfs/dbraw/zinc/26/40/48/1063264048.db2.gz MTWYWRPDAXTGQY-IBGZPJMESA-N 0 3 315.436 4.372 20 0 DIADHN C[C@@H](COc1ccccc1)NCc1ccccc1OCC1CC1 ZINC001461116198 1063264940 /nfs/dbraw/zinc/26/49/40/1063264940.db2.gz PMLRORJRBKODEP-INIZCTEOSA-N 0 3 311.425 4.033 20 0 DIADHN CCCC[C@@H](CC)N1CCn2c(CN(C)C(C)C)cnc2[C@@H]1C ZINC001257421454 1063271298 /nfs/dbraw/zinc/27/12/98/1063271298.db2.gz OICWHGZCTOFXJO-DLBZAZTESA-N 0 3 320.525 4.069 20 0 DIADHN C[C@@H](NCc1ccc(=O)[nH]c1)c1ccccc1Oc1ccccc1 ZINC001461128150 1063279305 /nfs/dbraw/zinc/27/93/05/1063279305.db2.gz LFGKWDDQALXYFM-OAHLLOKOSA-N 0 3 320.392 4.430 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)n1nc(-c2ccncc2)cc1CN ZINC001257467790 1063291930 /nfs/dbraw/zinc/29/19/30/1063291930.db2.gz ALHBHYPCASCSFH-HUUCEWRRSA-N 0 3 300.450 4.181 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1cn(Cc2ccncc2)cn1 ZINC001257473698 1063293104 /nfs/dbraw/zinc/29/31/04/1063293104.db2.gz CAFNJSQSZOGNFO-IRXDYDNUSA-N 0 3 314.477 4.021 20 0 DIADHN COC(=O)CC[C@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC001461146582 1063292999 /nfs/dbraw/zinc/29/29/99/1063292999.db2.gz PENXWBOBBNSLSG-FQEVSTJZSA-N 0 3 323.436 4.348 20 0 DIADHN COC(=O)CC[C@@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC001461146584 1063293560 /nfs/dbraw/zinc/29/35/60/1063293560.db2.gz PENXWBOBBNSLSG-HXUWFJFHSA-N 0 3 323.436 4.348 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1cn(CC(F)(F)F)cn1 ZINC001257474490 1063296803 /nfs/dbraw/zinc/29/68/03/1063296803.db2.gz GXFODADIRGKYSU-QWHCGFSZSA-N 0 3 305.388 4.140 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)n1nc(CN)c2cc(OC)ccc21 ZINC001257479022 1063301922 /nfs/dbraw/zinc/30/19/22/1063301922.db2.gz YFNYMUQSNOMKTH-UONOGXRCSA-N 0 3 303.450 4.281 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257485501 1063308732 /nfs/dbraw/zinc/30/87/32/1063308732.db2.gz NFJQWGJZVCSAEA-NEPJUHHUSA-N 0 3 317.399 4.325 20 0 DIADHN FC(F)COc1cccc(CNCc2cccc3ccoc32)c1 ZINC001461172618 1063309001 /nfs/dbraw/zinc/30/90/01/1063309001.db2.gz HDIAGQKRHJYGBH-UHFFFAOYSA-N 0 3 317.335 4.367 20 0 DIADHN CC1(C)CC[C@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC001461176315 1063311341 /nfs/dbraw/zinc/31/13/41/1063311341.db2.gz FKUZJUKBHHXRSS-INIZCTEOSA-N 0 3 322.408 4.436 20 0 DIADHN CCCCC[C@H](C)NCc1cn(Cc2ccc(F)cc2)cn1 ZINC001257505527 1063315017 /nfs/dbraw/zinc/31/50/17/1063315017.db2.gz GCGMBGONZQZSQM-HNNXBMFYSA-N 0 3 303.425 4.129 20 0 DIADHN CCCCC[C@@H](C)NCc1cn(Cc2ccc(F)cc2)cn1 ZINC001257505528 1063315916 /nfs/dbraw/zinc/31/59/16/1063315916.db2.gz GCGMBGONZQZSQM-OAHLLOKOSA-N 0 3 303.425 4.129 20 0 DIADHN CC(C)(C)c1ccccc1OCCNCc1ccc(Cl)nc1 ZINC001461181509 1063316084 /nfs/dbraw/zinc/31/60/84/1063316084.db2.gz YUEYMOWXSWPESO-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN CCCCC[C@@H](C)N1CCn2c(CN(C)C(C)C)cnc2[C@H]1C ZINC001257509531 1063319588 /nfs/dbraw/zinc/31/95/88/1063319588.db2.gz HFVJYJOVJRPXCS-IAGOWNOFSA-N 0 3 320.525 4.069 20 0 DIADHN OCc1cccc(CNCc2ccc3oc4ccccc4c3c2)c1 ZINC001461190412 1063321616 /nfs/dbraw/zinc/32/16/16/1063321616.db2.gz OHYXOEDQOZISPC-UHFFFAOYSA-N 0 3 317.388 4.368 20 0 DIADHN COc1nccc(CNCCCc2ccc(C(C)C)cc2)c1F ZINC001461192860 1063322855 /nfs/dbraw/zinc/32/28/55/1063322855.db2.gz LVUVOCNBWFUZSL-UHFFFAOYSA-N 0 3 316.420 4.075 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1ccc(F)c(Cl)c1Cl ZINC001461198265 1063328073 /nfs/dbraw/zinc/32/80/73/1063328073.db2.gz UJQGFGOKUIIKJA-YMTOWFKASA-N 0 3 308.224 4.019 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2CCc3ccccc32)n1 ZINC001461210451 1063335442 /nfs/dbraw/zinc/33/54/42/1063335442.db2.gz SXPYPPRKQNASGL-AWEZNQCLSA-N 0 3 300.471 4.260 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2CCc3ccccc32)n1 ZINC001461210452 1063336103 /nfs/dbraw/zinc/33/61/03/1063336103.db2.gz SXPYPPRKQNASGL-CQSZACIVSA-N 0 3 300.471 4.260 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC001461219510 1063343276 /nfs/dbraw/zinc/34/32/76/1063343276.db2.gz AQJPZMYKPDARPY-YJBOKZPZSA-N 0 3 314.860 4.127 20 0 DIADHN CCc1cnc(CCNCc2cc(Cl)sc2Cl)s1 ZINC001461259006 1063370967 /nfs/dbraw/zinc/37/09/67/1063370967.db2.gz INTZFYDFTCXOSH-UHFFFAOYSA-N 0 3 321.298 4.406 20 0 DIADHN CC[C@@H](C)C[C@@H](C)NCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001461246190 1063363532 /nfs/dbraw/zinc/36/35/32/1063363532.db2.gz GOLLMWLITATTSO-VXGBXAGGSA-N 0 3 317.399 4.153 20 0 DIADHN Cc1cc(C)cc(C[C@H](C)NCc2nc3cc(F)ccc3o2)c1 ZINC001461256342 1063368508 /nfs/dbraw/zinc/36/85/08/1063368508.db2.gz YZDLLUUEQXNNEU-AWEZNQCLSA-N 0 3 312.388 4.305 20 0 DIADHN CCc1cccc(Cl)c1CNCCOCc1ccccc1 ZINC001461263892 1063374363 /nfs/dbraw/zinc/37/43/63/1063374363.db2.gz LVHNFJPNAXCGGJ-UHFFFAOYSA-N 0 3 303.833 4.209 20 0 DIADHN CC[C@H](CNCc1cscc1Cl)Oc1ccccc1F ZINC001461270309 1063380815 /nfs/dbraw/zinc/38/08/15/1063380815.db2.gz VWLDVNOWZDSCKY-GFCCVEGCSA-N 0 3 313.825 4.488 20 0 DIADHN CC[C@@H](CNCc1cscc1Cl)Oc1ccccc1F ZINC001461270312 1063381270 /nfs/dbraw/zinc/38/12/70/1063381270.db2.gz VWLDVNOWZDSCKY-LBPRGKRZSA-N 0 3 313.825 4.488 20 0 DIADHN CN(CCSC[C@@H]1CC[C@@H]2C[C@@H]2C1)Cc1ccc(F)cc1 ZINC001473066092 1063383313 /nfs/dbraw/zinc/38/33/13/1063383313.db2.gz IIYLCHMHWJCCFO-ZACQAIPSSA-N 0 3 307.478 4.427 20 0 DIADHN CCc1ccc(CNC[C@@H](CC)Oc2ccccc2Cl)o1 ZINC001461278149 1063383489 /nfs/dbraw/zinc/38/34/89/1063383489.db2.gz RZJSOFKZBHPFDK-CQSZACIVSA-N 0 3 307.821 4.443 20 0 DIADHN CCc1ccc(CNC[C@H](CC)Oc2ccccc2Cl)o1 ZINC001461278147 1063384378 /nfs/dbraw/zinc/38/43/78/1063384378.db2.gz RZJSOFKZBHPFDK-AWEZNQCLSA-N 0 3 307.821 4.443 20 0 DIADHN C[C@]1(F)CCN(C2CCC(OCc3ccccc3)CC2)C[C@H]1F ZINC001257830472 1063399417 /nfs/dbraw/zinc/39/94/17/1063399417.db2.gz ZQGSDFQCSJWNCB-GMNCBBECSA-N 0 3 323.427 4.286 20 0 DIADHN COc1ccccc1CN(CCC=C(C)C)C[C@@H]1CCCO1 ZINC001473104456 1063400730 /nfs/dbraw/zinc/40/07/30/1063400730.db2.gz NFMXNPORQWVVKM-SFHVURJKSA-N 0 3 303.446 4.033 20 0 DIADHN C[C@@H]1CC[C@@H](CN(Cc2ccccc2C(F)(F)F)C2CC2)O1 ZINC001473110022 1063408532 /nfs/dbraw/zinc/40/85/32/1063408532.db2.gz JTJBFYIBHLYQJM-DOMZBBRYSA-N 0 3 313.363 4.237 20 0 DIADHN O=c1cc(C[N@@H+]2CCC[C@@H]2C2CCCC2)nc(-c2ccccc2)[n-]1 ZINC001473116365 1063419847 /nfs/dbraw/zinc/41/98/47/1063419847.db2.gz IGDQVONQIJMKDR-GOSISDBHSA-N 0 3 323.440 4.004 20 0 DIADHN O=c1cc(C[N@H+]2CCC[C@@H]2C2CCCC2)nc(-c2ccccc2)[n-]1 ZINC001473116365 1063419855 /nfs/dbraw/zinc/41/98/55/1063419855.db2.gz IGDQVONQIJMKDR-GOSISDBHSA-N 0 3 323.440 4.004 20 0 DIADHN CC(C)(C)CNC(=O)CN1CCC[C@@H]1c1cccc2ccccc21 ZINC001473119533 1063422068 /nfs/dbraw/zinc/42/20/68/1063422068.db2.gz JLWMOYHCQCDHBF-LJQANCHMSA-N 0 3 324.468 4.139 20 0 DIADHN CC(C)(C)SCCCN(Cc1ccco1)C[C@@H]1CCCO1 ZINC001473119674 1063422240 /nfs/dbraw/zinc/42/22/40/1063422240.db2.gz VJXIMOBOZPKGCR-INIZCTEOSA-N 0 3 311.491 4.182 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCc3ccccc32)c(OC)c1 ZINC001473122409 1063426339 /nfs/dbraw/zinc/42/63/39/1063426339.db2.gz AQBWKERALFYVDH-IBGZPJMESA-N 0 3 311.425 4.213 20 0 DIADHN CCS[C@H]1CCCCN(Cc2cc(Cl)ccc2OC)C1 ZINC001473158103 1063463765 /nfs/dbraw/zinc/46/37/65/1063463765.db2.gz GIZRGRZMJZIMSM-HNNXBMFYSA-N 0 3 313.894 4.456 20 0 DIADHN CCCN(Cc1nn(-c2ccccc2)c2c1CCC2)CC(C)C ZINC001473131527 1063435980 /nfs/dbraw/zinc/43/59/80/1063435980.db2.gz FGEITAWDNJDQNI-UHFFFAOYSA-N 0 3 311.473 4.229 20 0 DIADHN COC(=O)/C(C)=C\CN1CCC(c2cccc3ccccc32)CC1 ZINC001473130242 1063436356 /nfs/dbraw/zinc/43/63/56/1063436356.db2.gz VKDJPMPUGDOHKW-YBEGLDIGSA-N 0 3 323.436 4.139 20 0 DIADHN C[C@H](N[C@H]1COc2ccc(Cl)cc2C1)c1ccccc1F ZINC001257946112 1063443202 /nfs/dbraw/zinc/44/32/02/1063443202.db2.gz BLMUXXGACUPGPH-SMDDNHRTSA-N 0 3 305.780 4.133 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@H]1CCC3(CCC3)O1)C2 ZINC001473136509 1063445006 /nfs/dbraw/zinc/44/50/06/1063445006.db2.gz GQJWLDPJDRREEI-LJQANCHMSA-N 0 3 313.485 4.444 20 0 DIADHN Cc1[nH]nc(CNCc2ccc(Sc3ccccc3)cc2)c1C ZINC001461361926 1063445236 /nfs/dbraw/zinc/44/52/36/1063445236.db2.gz UZOMPSBRXCUATK-UHFFFAOYSA-N 0 3 323.465 4.468 20 0 DIADHN COc1ccc(C[C@H](C)N(C)Cc2cc(F)ccc2F)cc1 ZINC001473140476 1063446441 /nfs/dbraw/zinc/44/64/41/1063446441.db2.gz HOEQYGBURNXPBP-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1cccc(F)c1F ZINC001257953373 1063446648 /nfs/dbraw/zinc/44/66/48/1063446648.db2.gz AEOOPRBTXMDMIK-MFKMUULPSA-N 0 3 323.770 4.273 20 0 DIADHN CCC(CC)N(Cc1ccn(-c2ccccc2F)n1)C1CC1 ZINC001473140222 1063446670 /nfs/dbraw/zinc/44/66/70/1063446670.db2.gz ZNEPCTVHQSGCOK-UHFFFAOYSA-N 0 3 301.409 4.164 20 0 DIADHN CC1(C)CC(CN2CCc3c(Br)cccc3C2)C1 ZINC001473139903 1063447045 /nfs/dbraw/zinc/44/70/45/1063447045.db2.gz XNTSGHIXRDSEHL-UHFFFAOYSA-N 0 3 308.263 4.243 20 0 DIADHN Cc1cc(C)cc(CN2CCC[C@H]2c2cccc3c2OCCO3)c1 ZINC001473143873 1063449822 /nfs/dbraw/zinc/44/98/22/1063449822.db2.gz GNIDRYVHUJKYMX-IBGZPJMESA-N 0 3 323.436 4.412 20 0 DIADHN Cc1ccc([C@@H](Cn2ccnc2)NCc2ccsc2)c(C)c1 ZINC001461370194 1063452515 /nfs/dbraw/zinc/45/25/15/1063452515.db2.gz RDCWANFYWZEASV-GOSISDBHSA-N 0 3 311.454 4.093 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(Cl)c(OC)c2)cc1C ZINC001473148273 1063452653 /nfs/dbraw/zinc/45/26/53/1063452653.db2.gz SYZKQRRCPHEHDW-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN Cc1cccc(C)c1CNCc1ccccc1OCC(F)F ZINC001461370916 1063453223 /nfs/dbraw/zinc/45/32/23/1063453223.db2.gz VGRPXMZNVSLMJH-UHFFFAOYSA-N 0 3 305.368 4.237 20 0 DIADHN Cc1ccc(C(=O)CNC2CCC(c3ccccc3)CC2)cc1 ZINC001257994481 1063453282 /nfs/dbraw/zinc/45/32/82/1063453282.db2.gz MXVNEBFTOLKERU-UHFFFAOYSA-N 0 3 307.437 4.494 20 0 DIADHN c1ccc(C2CCC(N3CCC[C@H]3c3ncccn3)CC2)cc1 ZINC001257999326 1063458789 /nfs/dbraw/zinc/45/87/89/1063458789.db2.gz FTTLOJFLXJKWOS-ACBHZAAOSA-N 0 3 307.441 4.340 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)c(Cl)c1)C1CC1 ZINC001473155691 1063460294 /nfs/dbraw/zinc/46/02/94/1063460294.db2.gz HUODFIGFEHZJNS-GXTWGEPZSA-N 0 3 322.880 4.486 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccnn1CC1CCC1 ZINC001461387485 1063467261 /nfs/dbraw/zinc/46/72/61/1063467261.db2.gz MWQZZWIVWDYYBL-QRWLVFNGSA-N 0 3 309.457 4.096 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H](C(C)(C)C)CC2)cs1 ZINC001473166293 1063469019 /nfs/dbraw/zinc/46/90/19/1063469019.db2.gz RKCDJNOCGVFZQF-CQSZACIVSA-N 0 3 309.475 4.183 20 0 DIADHN CC[C@H](C)CN(C)Cc1cc(Br)cc(F)c1F ZINC001473169295 1063471847 /nfs/dbraw/zinc/47/18/47/1063471847.db2.gz XSHIFIKSNWWIAO-VIFPVBQESA-N 0 3 306.194 4.205 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccc2)cc1)[C@@H](O)C(F)(F)F ZINC001461399266 1063472269 /nfs/dbraw/zinc/47/22/69/1063472269.db2.gz GUOANJVELDBPHE-IAGOWNOFSA-N 0 3 323.358 4.145 20 0 DIADHN CN(C)c1cccc([C@@H]2CCCN2CCSC(F)(F)F)c1 ZINC001473171732 1063475105 /nfs/dbraw/zinc/47/51/05/1063475105.db2.gz AAUHWCDWRCJWQU-AWEZNQCLSA-N 0 3 318.408 4.143 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNC(C)(C)[C@H](C)O)cc1Cl ZINC001461405393 1063476594 /nfs/dbraw/zinc/47/65/94/1063476594.db2.gz LPCXXBOREVDDOU-JTQLQIEISA-N 0 3 320.260 4.030 20 0 DIADHN CN(C)c1cccc([C@H]2CCCN2CCSC(F)(F)F)c1 ZINC001473171736 1063476942 /nfs/dbraw/zinc/47/69/42/1063476942.db2.gz AAUHWCDWRCJWQU-CQSZACIVSA-N 0 3 318.408 4.143 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(C(C)C)ccc2OC)C1(C)C ZINC001473182211 1063488027 /nfs/dbraw/zinc/48/80/27/1063488027.db2.gz XVPKEXHGJWHPIQ-OALUTQOASA-N 0 3 319.489 4.454 20 0 DIADHN CC[C@@H](O)[C@@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001473181713 1063488816 /nfs/dbraw/zinc/48/88/16/1063488816.db2.gz PBSSWTKJSQQOQK-LSDHHAIUSA-N 0 3 316.272 4.161 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1cn(Cc2ccccc2)cn1 ZINC001258061674 1063490017 /nfs/dbraw/zinc/49/00/17/1063490017.db2.gz OQAXWRJJIHISNL-MSOLQXFVSA-N 0 3 313.489 4.482 20 0 DIADHN C[C@@H]1CCCN(C[C@H](O)c2ccc(Cl)cc2Cl)[C@@H]1C ZINC001473184246 1063492495 /nfs/dbraw/zinc/49/24/95/1063492495.db2.gz FHWCJFCQICCCCL-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1cc(O)ccc1Br ZINC001258083104 1063504690 /nfs/dbraw/zinc/50/46/90/1063504690.db2.gz HIGHISALVJGKKU-LBPRGKRZSA-N 0 3 312.251 4.379 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)n1nc(-c2ccncc2)cc1CN ZINC001258069118 1063496360 /nfs/dbraw/zinc/49/63/60/1063496360.db2.gz XDPLDYBNBSZDJH-CABCVRRESA-N 0 3 300.450 4.037 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001258069482 1063497197 /nfs/dbraw/zinc/49/71/97/1063497197.db2.gz IQBIVLFZDFMZGN-CHWSQXEVSA-N 0 3 307.400 4.291 20 0 DIADHN CCOCc1noc2c1CN([C@@H](C)C[C@H](C)CC(C)C)CC2 ZINC001258070625 1063497842 /nfs/dbraw/zinc/49/78/42/1063497842.db2.gz QMLGIZUDKQFMHE-CABCVRRESA-N 0 3 308.466 4.030 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258074842 1063500822 /nfs/dbraw/zinc/50/08/22/1063500822.db2.gz OCMBBKZTZUZVED-NWDGAFQWSA-N 0 3 317.399 4.181 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CNC[C@@H]1CCC=CO1 ZINC001461435500 1063504138 /nfs/dbraw/zinc/50/41/38/1063504138.db2.gz JWYJRPYGGUPRJV-IBGZPJMESA-N 0 3 323.436 4.453 20 0 DIADHN Clc1cccc(Cl)c1CNCCc1ccc2c(c1)CCO2 ZINC001461440662 1063508056 /nfs/dbraw/zinc/50/80/56/1063508056.db2.gz LODQYCVKENTSIU-UHFFFAOYSA-N 0 3 322.235 4.261 20 0 DIADHN CC(C)=CCC[C@H](C)N1CCC[C@H]1C(=O)Nc1ccccc1 ZINC001258095428 1063516920 /nfs/dbraw/zinc/51/69/20/1063516920.db2.gz GBWNUKTVAGYSEN-WMZOPIPTSA-N 0 3 300.446 4.224 20 0 DIADHN Fc1ccc([C@@H]2CC[C@@H](NCc3nc4ccccc4o3)C2)cc1 ZINC001461478188 1063530390 /nfs/dbraw/zinc/53/03/90/1063530390.db2.gz FIJARRWKIVZNSK-GDBMZVCRSA-N 0 3 310.372 4.393 20 0 DIADHN C[C@H]1C[C@H](NCC(=O)c2cccc(Br)c2)C[C@@H](C)C1 ZINC001258151236 1063533984 /nfs/dbraw/zinc/53/39/84/1063533984.db2.gz HIJABIGUYLPUPI-JYAVWHMHSA-N 0 3 324.262 4.046 20 0 DIADHN C[C@H]1CC(N2CCc3c(cnn3-c3ccccc3)C2)C[C@H](C)C1 ZINC001258166871 1063537937 /nfs/dbraw/zinc/53/79/37/1063537937.db2.gz BOIITNQZQKCDOJ-HZPDHXFCSA-N 0 3 309.457 4.055 20 0 DIADHN C[C@@H]1CC(N2CCc3c(cnn3-c3ccccc3)C2)C[C@@H](C)C1 ZINC001258166870 1063538433 /nfs/dbraw/zinc/53/84/33/1063538433.db2.gz BOIITNQZQKCDOJ-HOTGVXAUSA-N 0 3 309.457 4.055 20 0 DIADHN CCOc1ccc(CNC2(C(C)(C)c3ccc(F)cc3)CC2)o1 ZINC001461508464 1063543006 /nfs/dbraw/zinc/54/30/06/1063543006.db2.gz UWMNSJIABVXCNW-UHFFFAOYSA-N 0 3 317.404 4.417 20 0 DIADHN C[C@H]1C[C@H](N(Cc2cccnc2)Cc2ccccn2)C[C@@H](C)C1 ZINC001258174105 1063543071 /nfs/dbraw/zinc/54/30/71/1063543071.db2.gz LPGDKOXJECFHDI-YRWFTTLQSA-N 0 3 309.457 4.304 20 0 DIADHN COc1cc(Cl)cc([C@@H](C)N[C@H](C)Cc2ccco2)c1OC ZINC001258181752 1063549169 /nfs/dbraw/zinc/54/91/69/1063549169.db2.gz SNYJLGAMLGVWNA-VXGBXAGGSA-N 0 3 323.820 4.232 20 0 DIADHN C[C@@H](CC(C)(C)C)NCc1ncc(Br)cc1Cl ZINC001461550567 1063575998 /nfs/dbraw/zinc/57/59/98/1063575998.db2.gz LKBHAYKWCRKMGO-VIFPVBQESA-N 0 3 319.674 4.412 20 0 DIADHN CO[C@@H]1CCC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001461524600 1063558026 /nfs/dbraw/zinc/55/80/26/1063558026.db2.gz ZPDFMURDAMGXKD-CHWSQXEVSA-N 0 3 307.743 4.016 20 0 DIADHN CSCC[C@@H](C)NC1(c2ccccc2Br)CC1 ZINC001258209342 1063559702 /nfs/dbraw/zinc/55/97/02/1063559702.db2.gz VEUGFPHSTVFDJF-LLVKDONJSA-N 0 3 314.292 4.169 20 0 DIADHN CSCC[C@@H](C)N(Cc1ccccc1)Cc1ccccn1 ZINC001258215259 1063562426 /nfs/dbraw/zinc/56/24/26/1063562426.db2.gz NVROLJMIYTUDGB-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN CSCC[C@@H](C)N1CCn2cccc2[C@@H]1c1ccccc1 ZINC001258213427 1063562974 /nfs/dbraw/zinc/56/29/74/1063562974.db2.gz PGPJDSQZDVWQMO-QAPCUYQASA-N 0 3 300.471 4.035 20 0 DIADHN CSCC[C@H](C)N(Cc1ccccc1)Cc1ccccn1 ZINC001258215258 1063563829 /nfs/dbraw/zinc/56/38/29/1063563829.db2.gz NVROLJMIYTUDGB-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN Fc1cc(CNCc2cc3ccccc3s2)cnc1Cl ZINC001461536556 1063567269 /nfs/dbraw/zinc/56/72/69/1063567269.db2.gz CCVNAEBPFOJFMJ-UHFFFAOYSA-N 0 3 306.793 4.379 20 0 DIADHN CN(C)c1ccc(F)cc1CN[C@@H]1CCCc2c(F)cccc21 ZINC001461541712 1063568993 /nfs/dbraw/zinc/56/89/93/1063568993.db2.gz ZEMANRSNYRCWSH-GOSISDBHSA-N 0 3 316.395 4.198 20 0 DIADHN Cc1ccc(CNC[C@H](O)c2ccc(Cl)cc2)c(Cl)c1 ZINC001461543373 1063571575 /nfs/dbraw/zinc/57/15/75/1063571575.db2.gz ZAOOKHYWJFKPGZ-INIZCTEOSA-N 0 3 310.224 4.125 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1C ZINC001461553147 1063577604 /nfs/dbraw/zinc/57/76/04/1063577604.db2.gz SPZWBGTYJQZNRU-ZDUSSCGKSA-N 0 3 317.816 4.286 20 0 DIADHN CC1(C)CCC[C@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001258278149 1063583527 /nfs/dbraw/zinc/58/35/27/1063583527.db2.gz QVMRQOICFYWDNK-AWEZNQCLSA-N 0 3 313.363 4.447 20 0 DIADHN CC1(C)CCC[C@@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C1 ZINC001258278150 1063583821 /nfs/dbraw/zinc/58/38/21/1063583821.db2.gz QVMRQOICFYWDNK-CQSZACIVSA-N 0 3 313.363 4.447 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H]([NH2+]Cc2cc([O-])cc(Cl)c2)C1(C)C ZINC001461567512 1063584696 /nfs/dbraw/zinc/58/46/96/1063584696.db2.gz HTMBCAVGYVBHBL-LSDHHAIUSA-N 0 3 311.853 4.117 20 0 DIADHN CC1(C)CCC[C@@H](N2CCc3nn(-c4ccccc4)cc3C2)C1 ZINC001258294416 1063592363 /nfs/dbraw/zinc/59/23/63/1063592363.db2.gz UUPAMPQGATXHBR-GOSISDBHSA-N 0 3 309.457 4.199 20 0 DIADHN Cn1cc(CN[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)c(Cl)n1 ZINC001461587022 1063594637 /nfs/dbraw/zinc/59/46/37/1063594637.db2.gz UEHDBGOXPUPGNG-SWLSCSKDSA-N 0 3 324.255 4.153 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)NCc1nccs1 ZINC001258298368 1063596488 /nfs/dbraw/zinc/59/64/88/1063596488.db2.gz LPMGKRFDYBYGMX-GFCCVEGCSA-N 0 3 310.488 4.316 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001258303515 1063598870 /nfs/dbraw/zinc/59/88/70/1063598870.db2.gz BMRHZHZGFDLTNV-BFJAYTPKSA-N 0 3 311.466 4.360 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H](F)C2)c(Br)cc1F ZINC001461602834 1063605082 /nfs/dbraw/zinc/60/50/82/1063605082.db2.gz WPKKVYZJZSNWIY-NEPJUHHUSA-N 0 3 318.205 4.267 20 0 DIADHN Cc1cc(F)c(CNCCC[C@H]2CC2(Cl)Cl)c(F)c1 ZINC001461604680 1063607835 /nfs/dbraw/zinc/60/78/35/1063607835.db2.gz JKPSKRURIAEMMN-JTQLQIEISA-N 0 3 308.199 4.337 20 0 DIADHN CSC(C)(C)C[C@@H](C)NCc1cc(-c2ccccc2)on1 ZINC001258323797 1063610364 /nfs/dbraw/zinc/61/03/64/1063610364.db2.gz IIXUXDIBQZBJFT-CYBMUJFWSA-N 0 3 304.459 4.351 20 0 DIADHN CSC(C)(C)C[C@H](C)NCc1c(Cl)cncc1Cl ZINC001258324345 1063610471 /nfs/dbraw/zinc/61/04/71/1063610471.db2.gz QCLBPDZWTKJKBP-VIFPVBQESA-N 0 3 307.290 4.398 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1Cl)[C@@](C)(O)c1ccccc1 ZINC001461615177 1063613788 /nfs/dbraw/zinc/61/37/88/1063613788.db2.gz GJFVAANEZUKOGK-YVEFUNNKSA-N 0 3 324.251 4.379 20 0 DIADHN CCC[C@H](C)[C@H](CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC001461622684 1063619476 /nfs/dbraw/zinc/61/94/76/1063619476.db2.gz ZOQTWYSALZSLIY-YOEHRIQHSA-N 0 3 318.486 4.005 20 0 DIADHN Clc1cc(Cl)cc(CNCc2cccc3c[nH]nc32)c1 ZINC001461630599 1063625262 /nfs/dbraw/zinc/62/52/62/1063625262.db2.gz JINWHDAKRNFIBN-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN Cc1ccc2oc(CNCc3cc(-n4ccnc4)cs3)cc2c1 ZINC001461641371 1063630789 /nfs/dbraw/zinc/63/07/89/1063630789.db2.gz IGMJDEFPABSOQM-UHFFFAOYSA-N 0 3 323.421 4.278 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](OC(C)(C)C)C23CCC3)c(F)c1 ZINC001461642909 1063630813 /nfs/dbraw/zinc/63/08/13/1063630813.db2.gz DDBSKSKORSVQDY-IRXDYDNUSA-N 0 3 321.436 4.050 20 0 DIADHN c1nc2cc(CN[C@H](c3ccccn3)C3CCC3)ccc2s1 ZINC001461644259 1063631915 /nfs/dbraw/zinc/63/19/15/1063631915.db2.gz VAJYWVJFBTZTBQ-SFHVURJKSA-N 0 3 309.438 4.322 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2c(F)cc(F)cc2F)cc1 ZINC001258391361 1063633283 /nfs/dbraw/zinc/63/32/83/1063633283.db2.gz ZQHNRQWJMXOCCS-GFCCVEGCSA-N 0 3 323.358 4.223 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc2c(c1)CCCC2)c1ccccc1 ZINC001461650775 1063636534 /nfs/dbraw/zinc/63/65/34/1063636534.db2.gz CJKOKGDAZQOSON-YADHBBJMSA-N 0 3 323.480 4.413 20 0 DIADHN COc1ccc(CC[C@@H](C)N(C)Cc2cccc(F)c2F)cc1 ZINC001258403600 1063640272 /nfs/dbraw/zinc/64/02/72/1063640272.db2.gz BDCVHERUWQKYPT-CQSZACIVSA-N 0 3 319.395 4.427 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccccc2F)o1)C1CCCC1 ZINC001461655702 1063641219 /nfs/dbraw/zinc/64/12/19/1063641219.db2.gz UFQQZMLLGIJRJP-LJQANCHMSA-N 0 3 317.404 4.381 20 0 DIADHN CCCCn1nc(C)c(CNCC[C@H]2CCCS2)c1Cl ZINC001461665367 1063645898 /nfs/dbraw/zinc/64/58/98/1063645898.db2.gz FKAOFFPHGRJCDQ-CYBMUJFWSA-N 0 3 315.914 4.020 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@@H]1CCCC12CC2 ZINC001461675959 1063651064 /nfs/dbraw/zinc/65/10/64/1063651064.db2.gz KBHXDOMYXOYDIL-CYBMUJFWSA-N 0 3 316.189 4.150 20 0 DIADHN CCCCC[C@H](CC)NCc1nc(SC)ncc1Cl ZINC001258439434 1063654670 /nfs/dbraw/zinc/65/46/70/1063654670.db2.gz UUCQXLZZPXYVIA-NSHDSACASA-N 0 3 301.887 4.300 20 0 DIADHN CCCCC[C@H](CC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258444009 1063657182 /nfs/dbraw/zinc/65/71/82/1063657182.db2.gz QWOCSEDQCJMKNO-NSHDSACASA-N 0 3 303.372 4.079 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H]1CCCCN1[C@H](C)CC1CC1 ZINC001258458192 1063664155 /nfs/dbraw/zinc/66/41/55/1063664155.db2.gz RKQZBHQBNWYPJB-SJLPKXTDSA-N 0 3 314.473 4.285 20 0 DIADHN CCOC(=O)CC[C@H](C)N[C@H](C)c1cc(Cl)ccc1Cl ZINC001258474946 1063669173 /nfs/dbraw/zinc/66/91/73/1063669173.db2.gz ZUNZCWRAHHFPKN-WDEREUQCSA-N 0 3 318.244 4.376 20 0 DIADHN CCSc1ccc(CNCc2cc(F)cc(OC)c2)cc1 ZINC001461708096 1063671673 /nfs/dbraw/zinc/67/16/73/1063671673.db2.gz OIVMLFDIVHIGDD-UHFFFAOYSA-N 0 3 305.418 4.236 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CC=C(c2ccc(Cl)cc2)CC1 ZINC001258487840 1063673272 /nfs/dbraw/zinc/67/32/72/1063673272.db2.gz CUZHYQDTIQMCJS-AWEZNQCLSA-N 0 3 321.848 4.161 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1cccc(Cl)c1 ZINC001258498646 1063675511 /nfs/dbraw/zinc/67/55/11/1063675511.db2.gz DALGIBGNQLXNHD-CZUORRHYSA-N 0 3 309.837 4.209 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC(c2ccccc2Cl)CC1 ZINC001258503629 1063676334 /nfs/dbraw/zinc/67/63/34/1063676334.db2.gz YPNWERDGBDDTPP-CQSZACIVSA-N 0 3 323.864 4.251 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1Cl ZINC001461726978 1063681494 /nfs/dbraw/zinc/68/14/94/1063681494.db2.gz FGUIHJQMOUOZIC-CORIIIEPSA-N 0 3 314.256 4.349 20 0 DIADHN CC1(C)Cc2cccc(CNCc3cccc4ncccc34)c2O1 ZINC001461734468 1063685477 /nfs/dbraw/zinc/68/54/77/1063685477.db2.gz LJCOSJSTIZTGGZ-UHFFFAOYSA-N 0 3 318.420 4.238 20 0 DIADHN Fc1cc(CNCc2cccc3ncccc23)ccc1Cl ZINC001461735883 1063688062 /nfs/dbraw/zinc/68/80/62/1063688062.db2.gz SNLGQABWAAEDKL-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1ccc(OC)c(O)c1 ZINC001461751080 1063695947 /nfs/dbraw/zinc/69/59/47/1063695947.db2.gz FMSGAHJSVWKNAU-UHFFFAOYSA-N 0 3 321.420 4.406 20 0 DIADHN C[C@H](CCc1ccccc1)CNCc1c(F)cc(F)cc1F ZINC001461757084 1063700397 /nfs/dbraw/zinc/70/03/97/1063700397.db2.gz TZPMVJYOWDSIIZ-CYBMUJFWSA-N 0 3 307.359 4.462 20 0 DIADHN CSCc1cnc(CN[C@@H](Cc2ccccc2)C(C)C)s1 ZINC001461760693 1063702121 /nfs/dbraw/zinc/70/21/21/1063702121.db2.gz NZGPOFYGJMPBPS-INIZCTEOSA-N 0 3 320.527 4.363 20 0 DIADHN COc1ccc(CNC(C)(C)/C=C/Cl)cc1Br ZINC001461764835 1063703795 /nfs/dbraw/zinc/70/37/95/1063703795.db2.gz FDMSTZQEPONVON-VOTSOKGWSA-N 0 3 318.642 4.078 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CNC(C)(C)/C=C/Cl ZINC001461767644 1063705046 /nfs/dbraw/zinc/70/50/46/1063705046.db2.gz LMBRINDZIHXDFY-BQYQJAHWSA-N 0 3 313.898 4.063 20 0 DIADHN Fc1ccc2nc(CNCC[C@@H]3CCC4(CCC4)O3)sc2c1 ZINC001461774713 1063708159 /nfs/dbraw/zinc/70/81/59/1063708159.db2.gz DKCVPUBJBPNPPS-ZDUSSCGKSA-N 0 3 320.433 4.017 20 0 DIADHN COc1ccc(-c2nc(CNC[C@]34C[C@H]3CCC4)cs2)cc1 ZINC001461776421 1063710454 /nfs/dbraw/zinc/71/04/54/1063710454.db2.gz KTKUXLAYGXCPNV-KDOFPFPSSA-N 0 3 314.454 4.099 20 0 DIADHN C[C@H](Cc1cccnc1)NCc1cc(F)c(Cl)cc1Cl ZINC001258609936 1063710674 /nfs/dbraw/zinc/71/06/74/1063710674.db2.gz YVRRDHRBLADQOX-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1cccnc1)N(C)Cc1ccc(Cl)cc1Cl ZINC001258621660 1063712489 /nfs/dbraw/zinc/71/24/89/1063712489.db2.gz BFQQFWPCUWGCBT-LBPRGKRZSA-N 0 3 309.240 4.451 20 0 DIADHN Fc1ccc(-c2ccc(CNC3([C@H]4CCCCO4)CC3)o2)cc1 ZINC001461780773 1063712556 /nfs/dbraw/zinc/71/25/56/1063712556.db2.gz NWMICYDADMBYPM-GOSISDBHSA-N 0 3 315.388 4.277 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cnn(CC3CCC3)c2)c(C)c1 ZINC001461784521 1063714594 /nfs/dbraw/zinc/71/45/94/1063714594.db2.gz ZWDSJSPEXUQZTE-YVMONPNESA-N 0 3 323.484 4.411 20 0 DIADHN C[C@H](Cc1cccnc1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001258629511 1063714907 /nfs/dbraw/zinc/71/49/07/1063714907.db2.gz CATCIRVXNRHZSC-CRAIPNDOSA-N 0 3 320.440 4.050 20 0 DIADHN C[C@@H](Cc1cccnc1)N(Cc1ccccc1)Cc1ccccn1 ZINC001258622321 1063715587 /nfs/dbraw/zinc/71/55/87/1063715587.db2.gz KDHVBIMNVWYFDQ-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@H]1c1cc(F)ccc1F ZINC001258628549 1063716460 /nfs/dbraw/zinc/71/64/60/1063716460.db2.gz QXKFXTIKVVCVQR-ACJLOTCBSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001258633439 1063720117 /nfs/dbraw/zinc/72/01/17/1063720117.db2.gz UJFMTTHMIZVXSJ-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1nnc(-c2ccccc2)o1 ZINC001258649948 1063721461 /nfs/dbraw/zinc/72/14/61/1063721461.db2.gz VKRRTRLJRJQWNC-GOSISDBHSA-N 0 3 321.424 4.238 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCC(=O)c1ccc(F)cc1F ZINC001258651219 1063723239 /nfs/dbraw/zinc/72/32/39/1063723239.db2.gz KNKSEQWOZIEABA-INIZCTEOSA-N 0 3 317.379 4.149 20 0 DIADHN CC1(C)CCC[C@H](CNCc2ccc(Cl)cc2Cl)O1 ZINC001461807600 1063729384 /nfs/dbraw/zinc/72/93/84/1063729384.db2.gz XIESQJAVRUSVHV-CYBMUJFWSA-N 0 3 302.245 4.431 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(-c3ccc(Cl)cc3)o2)CO1 ZINC001461812612 1063730738 /nfs/dbraw/zinc/73/07/38/1063730738.db2.gz QXOBJECSGHLUKW-AWEZNQCLSA-N 0 3 305.805 4.257 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC[C@@H](O)C1CC1 ZINC001461810576 1063731070 /nfs/dbraw/zinc/73/10/70/1063731070.db2.gz KOIHDOCDBHGWAJ-OAHLLOKOSA-N 0 3 313.894 4.091 20 0 DIADHN CCCCC1(NCc2ccc(F)cc2Br)CC1 ZINC001461815970 1063733142 /nfs/dbraw/zinc/73/31/42/1063733142.db2.gz YESDLELBGVAWPT-UHFFFAOYSA-N 0 3 300.215 4.401 20 0 DIADHN OCCc1ccc(CNCc2ccc(-c3ccccc3)cc2)cc1 ZINC001461816854 1063733178 /nfs/dbraw/zinc/73/31/78/1063733178.db2.gz VKCDHFBVGBWAAE-UHFFFAOYSA-N 0 3 317.432 4.178 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@H]2C[C@@H]2Cc2ccccc2)c1 ZINC001461819217 1063736080 /nfs/dbraw/zinc/73/60/80/1063736080.db2.gz HNAVYMNJOSQHIA-JKSUJKDBSA-N 0 3 301.817 4.014 20 0 DIADHN Cc1ccc(C)c(CN[C@H](C)c2cc(F)ccc2N(C)C)c1 ZINC001461833522 1063741350 /nfs/dbraw/zinc/74/13/50/1063741350.db2.gz PXYWUCILJVOVNI-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN CCOC(OCC)[C@@H](C)NC/C=C/c1cc(C)c(C)cc1C ZINC001461845335 1063750111 /nfs/dbraw/zinc/75/01/11/1063750111.db2.gz QPOPZQYRMZZIOB-OAGJVSPASA-N 0 3 305.462 4.002 20 0 DIADHN CCC1(CC)[C@H]([N@H+](C)Cc2cc([O-])cc(Cl)c2)C[C@@H]1OC ZINC001461864620 1063759659 /nfs/dbraw/zinc/75/96/59/1063759659.db2.gz RKXGHCKZXKDFIE-CVEARBPZSA-N 0 3 311.853 4.071 20 0 DIADHN CCC1(CC)[C@H]([N@@H+](C)Cc2cc([O-])cc(Cl)c2)C[C@@H]1OC ZINC001461864620 1063759665 /nfs/dbraw/zinc/75/96/65/1063759665.db2.gz RKXGHCKZXKDFIE-CVEARBPZSA-N 0 3 311.853 4.071 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cccc(OC)c2F)C1(CC)CC ZINC001461868529 1063760718 /nfs/dbraw/zinc/76/07/18/1063760718.db2.gz AKBBCDZOCMHMSU-SJORKVTESA-N 0 3 323.452 4.250 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1[N@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC001461864630 1063761318 /nfs/dbraw/zinc/76/13/18/1063761318.db2.gz RKXGHCKZXKDFIE-JKSUJKDBSA-N 0 3 311.853 4.071 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1[N@@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC001461864630 1063761329 /nfs/dbraw/zinc/76/13/29/1063761329.db2.gz RKXGHCKZXKDFIE-JKSUJKDBSA-N 0 3 311.853 4.071 20 0 DIADHN CCCc1ccc(CN(C)[C@@H](CCO)c2ccccc2)s1 ZINC001461870629 1063763504 /nfs/dbraw/zinc/76/35/04/1063763504.db2.gz FEBSHRBIIKLKSY-SFHVURJKSA-N 0 3 303.471 4.256 20 0 DIADHN CCCC[C@H](Cc1ccccc1)NCC(=O)c1ccccc1F ZINC001258705584 1063764468 /nfs/dbraw/zinc/76/44/68/1063764468.db2.gz IHEYYXXJGHFXGO-QGZVFWFLSA-N 0 3 313.416 4.400 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H]2CCC[C@H](C)C2)c(C)c1 ZINC001461884116 1063774656 /nfs/dbraw/zinc/77/46/56/1063774656.db2.gz WYRMYOLCPQCSAV-KSSFIOAISA-N 0 3 316.489 4.308 20 0 DIADHN FC(F)n1cccc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC001461893734 1063784167 /nfs/dbraw/zinc/78/41/67/1063784167.db2.gz GJTFFRUAIQIGGS-MRXNPFEDSA-N 0 3 304.384 4.338 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCc1cc(F)cc(F)c1F ZINC001258739430 1063788126 /nfs/dbraw/zinc/78/81/26/1063788126.db2.gz YYIMYRIXIINTLF-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCC(=O)c1ccc(Cl)cc1 ZINC001258738913 1063789469 /nfs/dbraw/zinc/78/94/69/1063789469.db2.gz KFAMXXYPLRMTNN-GFCCVEGCSA-N 0 3 322.235 4.397 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCc1cc(F)cnc1Cl ZINC001258740983 1063790237 /nfs/dbraw/zinc/79/02/37/1063790237.db2.gz DKUBOAJNKZNUNO-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N[C@H](CO)c1ccccc1Cl ZINC001258741853 1063790738 /nfs/dbraw/zinc/79/07/38/1063790738.db2.gz OZMAQCIZEPLOQQ-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2cccc(OC3CC3)c2F)C1 ZINC001461911708 1063795900 /nfs/dbraw/zinc/79/59/00/1063795900.db2.gz DMTNLAWRXBMGFB-UKRRQHHQSA-N 0 3 309.450 4.083 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2cccc(OC3CC3)c2F)C1 ZINC001461911695 1063795948 /nfs/dbraw/zinc/79/59/48/1063795948.db2.gz DMTNLAWRXBMGFB-DZGCQCFKSA-N 0 3 309.450 4.083 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H](CC(C)C)C2)[nH]c1C(C)C ZINC001461915282 1063796806 /nfs/dbraw/zinc/79/68/06/1063796806.db2.gz QSSYJKABYWFXEA-HNNXBMFYSA-N 0 3 320.477 4.183 20 0 DIADHN Cc1nc(F)ccc1CN1CC[C@](C)(CCc2ccccc2)C1 ZINC001461920629 1063804354 /nfs/dbraw/zinc/80/43/54/1063804354.db2.gz RFZKTGDPTKGYGK-FQEVSTJZSA-N 0 3 312.432 4.374 20 0 DIADHN CC(C)n1cncc1CN1CC[C@](C)(CCc2ccccc2)C1 ZINC001461920936 1063804780 /nfs/dbraw/zinc/80/47/80/1063804780.db2.gz TUNJLLFIJFRVHW-FQEVSTJZSA-N 0 3 311.473 4.309 20 0 DIADHN CCCC[C@H](CCC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258763232 1063811190 /nfs/dbraw/zinc/81/11/90/1063811190.db2.gz LRLZGKBMLJHFSL-SFHVURJKSA-N 0 3 317.477 4.195 20 0 DIADHN CCCC[C@@H](CCC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258767685 1063813753 /nfs/dbraw/zinc/81/37/53/1063813753.db2.gz XDJZHEIAMHDYML-LLVKDONJSA-N 0 3 303.372 4.079 20 0 DIADHN CCCC[C@@H](CCC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258767968 1063813938 /nfs/dbraw/zinc/81/39/38/1063813938.db2.gz ZLLDAPUNPRJXDL-LLVKDONJSA-N 0 3 303.372 4.079 20 0 DIADHN COc1nsc(CN(CC(C)C)C2CCCC2)c1Cl ZINC001461938106 1063824407 /nfs/dbraw/zinc/82/44/07/1063824407.db2.gz WLJUQTPMBQJGRY-UHFFFAOYSA-N 0 3 302.871 4.206 20 0 DIADHN CC(C)C1CCC(NCc2cccnc2Br)CC1 ZINC001258802713 1063836168 /nfs/dbraw/zinc/83/61/68/1063836168.db2.gz XCNAUAGWLYICHZ-UHFFFAOYSA-N 0 3 311.267 4.149 20 0 DIADHN CC(C)(C)OC(=O)N(CCN1CC(C)(C)C1(C)C)C(C)(C)C ZINC001461964897 1063845656 /nfs/dbraw/zinc/84/56/56/1063845656.db2.gz YGYOHGRPUFLUPN-UHFFFAOYSA-N 0 3 312.498 4.142 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCC[C@@H](C)C3)co2)c1 ZINC001258814842 1063845186 /nfs/dbraw/zinc/84/51/86/1063845186.db2.gz XNGIKKZJEWBSRO-UKRRQHHQSA-N 0 3 300.402 4.019 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCC[C@@H](C)C3)co2)c1 ZINC001258814841 1063845563 /nfs/dbraw/zinc/84/55/63/1063845563.db2.gz XNGIKKZJEWBSRO-HIFRSBDPSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2C(CC(C)C)CC(C)C ZINC001258832838 1063856330 /nfs/dbraw/zinc/85/63/30/1063856330.db2.gz PNMWJFZXNIEKRT-UHFFFAOYSA-N 0 3 303.450 4.137 20 0 DIADHN CC(C)CC(CC(C)C)N1CCc2nc(C3CC3)ncc2C1 ZINC001258836139 1063857844 /nfs/dbraw/zinc/85/78/44/1063857844.db2.gz NWYQCTXQSMAWAR-UHFFFAOYSA-N 0 3 301.478 4.173 20 0 DIADHN CC[C@@H](Cc1ccccc1)n1nc(-c2ccccc2)cc1CN ZINC001258838104 1063860957 /nfs/dbraw/zinc/86/09/57/1063860957.db2.gz NGQGGJZIDDJBMX-SFHVURJKSA-N 0 3 305.425 4.203 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCC([C@@H]2CCOC2)CC1 ZINC001461989158 1063861170 /nfs/dbraw/zinc/86/11/70/1063861170.db2.gz DSMIIZFAULGVGY-MRXNPFEDSA-N 0 3 305.462 4.134 20 0 DIADHN C[C@@H]1CCCN(Cc2sccc2NC(=O)OC(C)(C)C)C1 ZINC001462009066 1063875861 /nfs/dbraw/zinc/87/58/61/1063875861.db2.gz UQBRLPHPCXMUKG-GFCCVEGCSA-N 0 3 310.463 4.327 20 0 DIADHN CCOC(=O)C1CCN(Cc2ccc(C3CCCC3)cc2)CC1 ZINC001462005986 1063875929 /nfs/dbraw/zinc/87/59/29/1063875929.db2.gz FSTSNGJTDJJUJY-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001462008798 1063876256 /nfs/dbraw/zinc/87/62/56/1063876256.db2.gz UNRHYDFZDNCSQJ-CHWSQXEVSA-N 0 3 309.475 4.181 20 0 DIADHN C[C@H]1CCCN(Cc2sccc2NC(=O)OC(C)(C)C)C1 ZINC001462009069 1063876703 /nfs/dbraw/zinc/87/67/03/1063876703.db2.gz UQBRLPHPCXMUKG-LBPRGKRZSA-N 0 3 310.463 4.327 20 0 DIADHN CNc1ccccc1CN(C)Cc1ccc(OC(F)(F)F)cc1 ZINC001462019252 1063879510 /nfs/dbraw/zinc/87/95/10/1063879510.db2.gz LKLTXSRCXGHUFD-UHFFFAOYSA-N 0 3 324.346 4.259 20 0 DIADHN Cc1cccc(CN2CCN(Cc3ccc(C4CC4)cc3)CC2)c1 ZINC001462016273 1063881897 /nfs/dbraw/zinc/88/18/97/1063881897.db2.gz AIOHKRAKLKLKPP-UHFFFAOYSA-N 0 3 320.480 4.190 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@H]2CCC[C@@H](C)C2)cc(Cl)c1[O-] ZINC001462020936 1063884293 /nfs/dbraw/zinc/88/42/93/1063884293.db2.gz RJBLCDOUOLZSGM-OCCSQVGLSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@H]2CCC[C@@H](C)C2)cc(Cl)c1[O-] ZINC001462020936 1063884302 /nfs/dbraw/zinc/88/43/02/1063884302.db2.gz RJBLCDOUOLZSGM-OCCSQVGLSA-N 0 3 311.853 4.455 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc2c(c1)OCCCCO2 ZINC001462031891 1063891978 /nfs/dbraw/zinc/89/19/78/1063891978.db2.gz PBESQZJMWVUKNM-UHFFFAOYSA-N 0 3 311.425 4.178 20 0 DIADHN CCc1ccccc1CN(C)Cc1ccc(OC(F)F)cc1 ZINC001462041716 1063897372 /nfs/dbraw/zinc/89/73/72/1063897372.db2.gz TVZQUPCLPKZTCF-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN Cc1cc2cc(CNCc3ccccc3N3CCCC3)ccc2[nH]1 ZINC001261176759 1063910009 /nfs/dbraw/zinc/91/00/09/1063910009.db2.gz CHHIRUGVHHWDJY-UHFFFAOYSA-N 0 3 319.452 4.366 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CC[C@@H]3CCCC[C@@H]3C1)CO2 ZINC001462087655 1063923458 /nfs/dbraw/zinc/92/34/58/1063923458.db2.gz NRXSCYNUDTYHPK-DMEJVMROSA-N 0 3 307.384 4.347 20 0 DIADHN COC(=O)c1ccc(CN(C)C/C(C)=C/c2ccccc2)cc1 ZINC001462094451 1063926450 /nfs/dbraw/zinc/92/64/50/1063926450.db2.gz GBHCVNWUVMCKTO-DTQAZKPQSA-N 0 3 309.409 4.009 20 0 DIADHN F[C@H]1CCC[C@H](NCc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC001261480883 1063926963 /nfs/dbraw/zinc/92/69/63/1063926963.db2.gz CZQQERLPXDZVHJ-QWRGUYRKSA-N 0 3 316.207 4.011 20 0 DIADHN CC(C)c1nc(CNCCC[C@@H]2CC2(Cl)Cl)cs1 ZINC001261514938 1063931414 /nfs/dbraw/zinc/93/14/14/1063931414.db2.gz RVMVUKPURFCUIB-SNVBAGLBSA-N 0 3 307.290 4.330 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC([C@@H]2CCCO2)CC1 ZINC001462118825 1063938687 /nfs/dbraw/zinc/93/86/87/1063938687.db2.gz QEJWZZONKXKNCN-SFHVURJKSA-N 0 3 307.865 4.293 20 0 DIADHN c1csc(CC2CN(Cc3ccccc3N3CCCC3)C2)c1 ZINC001261831123 1063947197 /nfs/dbraw/zinc/94/71/97/1063947197.db2.gz TUTFHLUGVTWAPY-UHFFFAOYSA-N 0 3 312.482 4.023 20 0 DIADHN Cc1cccc(Nc2cccc(NC(=O)[C@H](N)C3CCC3)c2C)c1 ZINC001262051360 1063954256 /nfs/dbraw/zinc/95/42/56/1063954256.db2.gz NBFJIBDIXQUGTR-LJQANCHMSA-N 0 3 323.440 4.113 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2cccn2C2CC2)c1 ZINC001262028428 1063955051 /nfs/dbraw/zinc/95/50/51/1063955051.db2.gz MCWNSDVWXZGADP-UHFFFAOYSA-N 0 3 312.457 4.428 20 0 DIADHN CCC[C@@H](NC1CC2(CC2(F)F)C1)c1ncccc1Cl ZINC001262205687 1063960880 /nfs/dbraw/zinc/96/08/80/1063960880.db2.gz ZAKQCJNQACQKQG-KSGYAGIASA-N 0 3 300.780 4.354 20 0 DIADHN CC(C)(C)[C@@H](NCc1cn2ccnc2s1)c1cccc(F)c1 ZINC001262359408 1063963777 /nfs/dbraw/zinc/96/37/77/1063963777.db2.gz XEJWECALOHNJCZ-HNNXBMFYSA-N 0 3 317.433 4.412 20 0 DIADHN CC(C)(C)[C@H](NCc1cn2ccnc2s1)c1cccc(F)c1 ZINC001262359409 1063963934 /nfs/dbraw/zinc/96/39/34/1063963934.db2.gz XEJWECALOHNJCZ-OAHLLOKOSA-N 0 3 317.433 4.412 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc3ncccc3c2)c1 ZINC000378869002 1063978325 /nfs/dbraw/zinc/97/83/25/1063978325.db2.gz IYOLGBQHEXXROH-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN CCCN(Cc1cc(O)ccc1Br)CC(C)C ZINC000383343600 1064003038 /nfs/dbraw/zinc/00/30/38/1064003038.db2.gz YDSHQXAOZOUPSZ-UHFFFAOYSA-N 0 3 300.240 4.023 20 0 DIADHN Cc1ccc(OC(=O)[C@H]2CCCN(Cc3ccccc3)C2)c(C)c1 ZINC001264124661 1064021468 /nfs/dbraw/zinc/02/14/68/1064021468.db2.gz KYXLDDXRRBNWOR-IBGZPJMESA-N 0 3 323.436 4.121 20 0 DIADHN Fc1cc(Br)ccc1CN[C@H]1CC12CCCCC2 ZINC000386990658 1064063218 /nfs/dbraw/zinc/06/32/18/1064063218.db2.gz KBADHKJVUICPFV-AWEZNQCLSA-N 0 3 312.226 4.401 20 0 DIADHN COc1ccc2cc(CN3C[C@@H]4CCC[C@@H]4C3)c(Cl)nc2c1 ZINC000387665627 1064081515 /nfs/dbraw/zinc/08/15/15/1064081515.db2.gz YQURVJGDRINWPC-OKILXGFUSA-N 0 3 316.832 4.129 20 0 DIADHN COc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)cc1Br ZINC000389395513 1064127175 /nfs/dbraw/zinc/12/71/75/1064127175.db2.gz HTBIAOVMECSTIJ-OKILXGFUSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc(Br)cc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000389406413 1064127706 /nfs/dbraw/zinc/12/77/06/1064127706.db2.gz JXUAUTFJJFEIQC-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN Brc1csc(CN2C[C@H]3CCCC[C@@H]3C2)c1 ZINC000390738412 1064167567 /nfs/dbraw/zinc/16/75/67/1064167567.db2.gz ADABPDHKBODQQJ-GHMZBOCLSA-N 0 3 300.265 4.133 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CC[C@@H](C)C[C@H]2C)o1 ZINC001265341542 1064220547 /nfs/dbraw/zinc/22/05/47/1064220547.db2.gz IEHMVYBIJUOWRK-IIAWOOMASA-N 0 3 307.434 4.103 20 0 DIADHN Fc1c(Br)ccc(CNCCC2(F)CCC2)c1F ZINC000700995103 1064233022 /nfs/dbraw/zinc/23/30/22/1064233022.db2.gz OTYCHPWEBQLALD-UHFFFAOYSA-N 0 3 322.168 4.099 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2)c(C)c1 ZINC000615335031 1064249893 /nfs/dbraw/zinc/24/98/93/1064249893.db2.gz JIMTVUULYUKPMC-MSOLQXFVSA-N 0 3 324.468 4.001 20 0 DIADHN CCCC[C@H](CC)CN1CCC12CN(c1ccc(F)c(C)n1)C2 ZINC001280103544 1064380329 /nfs/dbraw/zinc/38/03/29/1064380329.db2.gz IRBKJQFJHOZGKL-INIZCTEOSA-N 0 3 319.468 4.010 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001303541150 1064694301 /nfs/dbraw/zinc/69/43/01/1064694301.db2.gz XDXBZLWFVRYNSM-SJORKVTESA-N 0 3 308.510 4.068 20 0 DIADHN CCC[C@@H](CC)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001290869876 1064715474 /nfs/dbraw/zinc/71/54/74/1064715474.db2.gz MTXIBCHUGYUYTN-GDBMZVCRSA-N 0 3 310.507 4.074 20 0 DIADHN CCC[C@H](CC)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001290869877 1064715988 /nfs/dbraw/zinc/71/59/88/1064715988.db2.gz MTXIBCHUGYUYTN-GOEBONIOSA-N 0 3 310.507 4.074 20 0 DIADHN CC[C@H](C(=O)N1CCCc2cc(C(C)C)ccc21)N(CC)CC ZINC001291691893 1064740247 /nfs/dbraw/zinc/74/02/47/1064740247.db2.gz JUBAGGDHISSCDG-GOSISDBHSA-N 0 3 316.489 4.210 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001291908841 1064751562 /nfs/dbraw/zinc/75/15/62/1064751562.db2.gz FITBEMHDDUSTRJ-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN CCCCN(C(=O)CN(C)CC(C)(C)C)[C@H](C)c1ccccc1 ZINC001293864049 1064834119 /nfs/dbraw/zinc/83/41/19/1064834119.db2.gz GQWRGQHAZSJJJG-QGZVFWFLSA-N 0 3 318.505 4.354 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)/C=C/CCc1ccccc1 ZINC001294375966 1064862568 /nfs/dbraw/zinc/86/25/68/1064862568.db2.gz IQBIQIIHRACHNI-XYOKQWHBSA-N 0 3 322.452 4.184 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CCC=CCCC1 ZINC001294377698 1064865406 /nfs/dbraw/zinc/86/54/06/1064865406.db2.gz ZOTRXFMRBYCEQV-QGZVFWFLSA-N 0 3 300.446 4.132 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC001297186325 1064970696 /nfs/dbraw/zinc/97/06/96/1064970696.db2.gz IEMLLDZJKHOXJE-SJLPKXTDSA-N 0 3 314.473 4.037 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC001297732862 1064988627 /nfs/dbraw/zinc/98/86/27/1064988627.db2.gz FDOKRRDPDILVJY-WGSAOQKQSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001298170119 1064998480 /nfs/dbraw/zinc/99/84/80/1064998480.db2.gz KVYPDBSMKCYHLY-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H](C)CC1CCCC1 ZINC001298607942 1065012385 /nfs/dbraw/zinc/01/23/85/1065012385.db2.gz DIVFZTGNCTZWFU-CQSZACIVSA-N 0 3 317.477 4.259 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001299106256 1065033527 /nfs/dbraw/zinc/03/35/27/1065033527.db2.gz QZPJBOQWEWHWET-ZIAGYGMSSA-N 0 3 320.477 4.014 20 0 DIADHN C[C@H]1CN(C(=O)CCCC2CCCCC2)CCN1C1CCCC1 ZINC001303540177 1065117642 /nfs/dbraw/zinc/11/76/42/1065117642.db2.gz LUMORCLYJTTWTQ-KRWDZBQOSA-N 0 3 320.521 4.212 20 0 DIADHN Cc1cc2sc(N3CCN(C)[C@H](C(C)(C)C)C3)nc2c(C)c1 ZINC001307195954 1065299798 /nfs/dbraw/zinc/29/97/98/1065299798.db2.gz JWDHSLZGLGDFDB-HNNXBMFYSA-N 0 3 317.502 4.080 20 0 DIADHN c1nn(-c2ccccc2)c2c1CN(CC1CCCCCC1)CC2 ZINC001307413920 1065374605 /nfs/dbraw/zinc/37/46/05/1065374605.db2.gz PFNHCPRYMIRMNF-UHFFFAOYSA-N 0 3 309.457 4.201 20 0 DIADHN FC(F)COCCN(Cc1ccc(Cl)c(Cl)c1)C1CC1 ZINC001307424137 1065383220 /nfs/dbraw/zinc/38/32/20/1065383220.db2.gz NUIYLIXKUANFKI-UHFFFAOYSA-N 0 3 324.198 4.240 20 0 DIADHN Clc1cccc([C@@H]2CCCN2C[C@@H]2CC[C@@H](C3CC3)O2)c1 ZINC001307418207 1065380103 /nfs/dbraw/zinc/38/01/03/1065380103.db2.gz UIYVRUGEZKWLBH-BZSNNMDCSA-N 0 3 305.849 4.435 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001307419016 1065381556 /nfs/dbraw/zinc/38/15/56/1065381556.db2.gz PJOIJWMXBIYZOU-SNVBAGLBSA-N 0 3 311.353 4.263 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2Cc2ccccc2Cl)sn1 ZINC001307430873 1065390477 /nfs/dbraw/zinc/39/04/77/1065390477.db2.gz ONERSXFXUXAAKZ-CQSZACIVSA-N 0 3 306.862 4.312 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)C[C@@H]2CCCO2)cc1C ZINC001307431259 1065391454 /nfs/dbraw/zinc/39/14/54/1065391454.db2.gz VBBVESNZRWPBNZ-NRFANRHFSA-N 0 3 309.453 4.485 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2CCO[C@H]2C)s1 ZINC001307437002 1065397102 /nfs/dbraw/zinc/39/71/02/1065397102.db2.gz RCMVRUQMTXJXCA-LSDHHAIUSA-N 0 3 305.443 4.077 20 0 DIADHN CC[C@H](C)N(CCc1ccccc1F)Cc1nc(C)cs1 ZINC001307438811 1065399223 /nfs/dbraw/zinc/39/92/23/1065399223.db2.gz OLAOFRFUZLQDMB-AWEZNQCLSA-N 0 3 306.450 4.434 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3CCC[C@H](C)CC3)n2)cc1F ZINC001307440930 1065401507 /nfs/dbraw/zinc/40/15/07/1065401507.db2.gz WAWLKTUJWHDPPN-JSGCOSHPSA-N 0 3 317.408 4.367 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3cc(C4CC4)no3)C2)cc1 ZINC001307442220 1065402650 /nfs/dbraw/zinc/40/26/50/1065402650.db2.gz MYFBEIAAKWDYIU-QGZVFWFLSA-N 0 3 310.441 4.315 20 0 DIADHN COc1cccc(-c2nc(CN([C@H](C)C3CC3)C3CC3)co2)c1 ZINC001307442859 1065404039 /nfs/dbraw/zinc/40/40/39/1065404039.db2.gz PVBRVMYQGVWLKI-CYBMUJFWSA-N 0 3 312.413 4.113 20 0 DIADHN Fc1ccc(CC2CCN(CCSC(F)(F)F)CC2)cc1 ZINC001307443394 1065404308 /nfs/dbraw/zinc/40/43/08/1065404308.db2.gz CBRJRHSKIWWIPL-UHFFFAOYSA-N 0 3 321.383 4.333 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1CCC[C@H](c2ccccc2)CC1 ZINC001307453416 1065408888 /nfs/dbraw/zinc/40/88/88/1065408888.db2.gz QTXJLGRJVMXKLF-OALUTQOASA-N 0 3 317.473 4.234 20 0 DIADHN CCc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCO3)cc1 ZINC001307456859 1065411565 /nfs/dbraw/zinc/41/15/65/1065411565.db2.gz PQSRWWKLKONUOC-SFHVURJKSA-N 0 3 309.409 4.315 20 0 DIADHN CCC1CCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)CC1 ZINC001307458067 1065413560 /nfs/dbraw/zinc/41/35/60/1065413560.db2.gz DDZWZAOVBNKFBC-OAHLLOKOSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCN(CC2CC(C)(C)C2)CC1 ZINC001307461630 1065415162 /nfs/dbraw/zinc/41/51/62/1065415162.db2.gz FFJZMDWMSYJJQP-CQSZACIVSA-N 0 3 322.443 4.080 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2cncc(Cl)c2)cc1 ZINC001307468789 1065420124 /nfs/dbraw/zinc/42/01/24/1065420124.db2.gz CPUYKYYSWBJOIR-GOSISDBHSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@@H](c3ccccc3)C[C@H]2C)c1 ZINC001307473523 1065420962 /nfs/dbraw/zinc/42/09/62/1065420962.db2.gz YTXHDFMRJIIYDR-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CCC[C@@H](C(C)(C)C)CC1 ZINC001307473554 1065421737 /nfs/dbraw/zinc/42/17/37/1065421737.db2.gz ORHNONTUTMRBML-HUUCEWRRSA-N 0 3 320.452 4.301 20 0 DIADHN CC(C)[C@H]1CCCN(CC(=O)NCCCC2CCCCC2)CC1 ZINC001307476723 1065423192 /nfs/dbraw/zinc/42/31/92/1065423192.db2.gz GWUGWZYQMLPTMB-IBGZPJMESA-N 0 3 322.537 4.221 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1CCSC(F)(F)F ZINC001307480872 1065427971 /nfs/dbraw/zinc/42/79/71/1065427971.db2.gz UMYHWAGIXLKWQQ-ZYHUDNBSSA-N 0 3 307.356 4.257 20 0 DIADHN CCc1cc2oc(=O)cc(CN3C[C@H](C)C[C@@H]3C)c2cc1Cl ZINC001307491386 1065434611 /nfs/dbraw/zinc/43/46/11/1065434611.db2.gz WSQGJCCKWJBTQP-NEPJUHHUSA-N 0 3 319.832 4.239 20 0 DIADHN COc1ccc(C(C)C)cc1CN(C)[C@@H]1C[C@@](C)(OC)C1(C)C ZINC001307492581 1065436932 /nfs/dbraw/zinc/43/69/32/1065436932.db2.gz CNANXVJAPIBAIC-UYAOXDASSA-N 0 3 319.489 4.454 20 0 DIADHN COc1ccc(C(C)C)cc1CN(C)[C@@H]1C[C@](C)(OC)C1(C)C ZINC001307492580 1065437637 /nfs/dbraw/zinc/43/76/37/1065437637.db2.gz CNANXVJAPIBAIC-QUCCMNQESA-N 0 3 319.489 4.454 20 0 DIADHN COc1ccc(C(C)C)cc1CN(C)[C@H]1C[C@](C)(OC)C1(C)C ZINC001307492579 1065437662 /nfs/dbraw/zinc/43/76/62/1065437662.db2.gz CNANXVJAPIBAIC-ICSRJNTNSA-N 0 3 319.489 4.454 20 0 DIADHN Cc1ccc(CCCN2C[C@@H](C)OC[C@@H]2c2ccccc2)cc1 ZINC001307496776 1065439366 /nfs/dbraw/zinc/43/93/66/1065439366.db2.gz NCUYSQXWFIKNJL-WIYYLYMNSA-N 0 3 309.453 4.390 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307499616 1065443993 /nfs/dbraw/zinc/44/39/93/1065443993.db2.gz JNPJCKDAUJYTMR-ZYHUDNBSSA-N 0 3 315.244 4.052 20 0 DIADHN COC(=O)/C(C)=C\CN(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC001307501246 1065444096 /nfs/dbraw/zinc/44/40/96/1065444096.db2.gz KYUXSHHUHMYNPU-YHKKIHSWSA-N 0 3 323.436 4.466 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)C[C@H]3CC)co2)cc1 ZINC001307501880 1065446428 /nfs/dbraw/zinc/44/64/28/1065446428.db2.gz UJDKAGBANDMKHF-WMLDXEAASA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H]1CC[C@@H](CN(Cc2cc(F)ccc2F)C2CCCC2)O1 ZINC001307519310 1065457311 /nfs/dbraw/zinc/45/73/11/1065457311.db2.gz DFMFNKQHXTWJMJ-DYVFJYSZSA-N 0 3 309.400 4.277 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1c(F)cccc1F ZINC001307520251 1065459516 /nfs/dbraw/zinc/45/95/16/1065459516.db2.gz VXXRBBPAULPAQE-GOEBONIOSA-N 0 3 301.380 4.418 20 0 DIADHN CC(C)Cc1ccc([C@H](NC[C@H](O)C(F)(F)F)C(C)C)cc1 ZINC001307539948 1065472751 /nfs/dbraw/zinc/47/27/51/1065472751.db2.gz VTRZFBUCHYEJKS-JKSUJKDBSA-N 0 3 317.395 4.095 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCOc1ccc(F)c(Cl)c1 ZINC001307551080 1065476437 /nfs/dbraw/zinc/47/64/37/1065476437.db2.gz DFIQANTXUDSEGW-LBPRGKRZSA-N 0 3 323.795 4.257 20 0 DIADHN CCO[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC001307552101 1065477982 /nfs/dbraw/zinc/47/79/82/1065477982.db2.gz PCHVYPWDAXVEHL-PMACEKPBSA-N 0 3 313.416 4.320 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2c(C)nsc2C)C1(CC)CC ZINC001307551666 1065478810 /nfs/dbraw/zinc/47/88/10/1065478810.db2.gz OBAHHGYDWOFZRF-CVEARBPZSA-N 0 3 310.507 4.176 20 0 DIADHN Fc1ccc(C2(NCCOc3ccc(Cl)c(F)c3)CC2)cc1 ZINC001307557159 1065482282 /nfs/dbraw/zinc/48/22/82/1065482282.db2.gz VPFWPVRAWMGONG-UHFFFAOYSA-N 0 3 323.770 4.276 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1ccc(Cl)cc1OC ZINC001307561606 1065483987 /nfs/dbraw/zinc/48/39/87/1065483987.db2.gz JTFXVACWTNLVBR-GFCCVEGCSA-N 0 3 301.883 4.312 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1CCOc1ccc(Cl)c(F)c1 ZINC001307562537 1065484060 /nfs/dbraw/zinc/48/40/60/1065484060.db2.gz LEZJSPCANBNAPQ-KRWDZBQOSA-N 0 3 322.811 4.034 20 0 DIADHN OC1(CN(Cc2ccccc2)Cc2ccccc2F)CCCC1 ZINC001307577489 1065491099 /nfs/dbraw/zinc/49/10/99/1065491099.db2.gz NMUWOXKCVZUEBY-UHFFFAOYSA-N 0 3 313.416 4.133 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCO[C@@](C)(c3ccccc3)C2)C1 ZINC001307580654 1065493955 /nfs/dbraw/zinc/49/39/55/1065493955.db2.gz XCERLLQUPNKAKU-QWFCFKBJSA-N 0 3 301.474 4.450 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CCC(C)C)c(C)c1 ZINC001307578883 1065494331 /nfs/dbraw/zinc/49/43/31/1065494331.db2.gz KEZDWMNTIVDNDQ-KRWDZBQOSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(CCCN2CCO[C@@](C)(c3ccccc3)C2)cc1 ZINC001307579603 1065494440 /nfs/dbraw/zinc/49/44/40/1065494440.db2.gz PTSOISFBMIATJY-OAQYLSRUSA-N 0 3 309.453 4.175 20 0 DIADHN CC(C)(C)CCNC(=O)CN1CCC[C@H]1CCC1CCCCC1 ZINC001307583515 1065496272 /nfs/dbraw/zinc/49/62/72/1065496272.db2.gz OXYJWONNBPFCJG-SFHVURJKSA-N 0 3 322.537 4.364 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC001307607751 1065511261 /nfs/dbraw/zinc/51/12/61/1065511261.db2.gz OAPDZBOQJJAWOG-CRAIPNDOSA-N 0 3 321.436 4.127 20 0 DIADHN C[C@@H]1CN(Cc2nn(-c3ccccc3)c3c2CCC3)CC(C)(C)C1 ZINC001307606336 1065512430 /nfs/dbraw/zinc/51/24/30/1065512430.db2.gz IIACFZKALBPTQB-INIZCTEOSA-N 0 3 323.484 4.229 20 0 DIADHN CCCC[C@@H](C(=O)OC)N1CCC[C@H](c2ccc(F)cc2)CC1 ZINC001307607754 1065513132 /nfs/dbraw/zinc/51/31/32/1065513132.db2.gz OAPDZBOQJJAWOG-YJBOKZPZSA-N 0 3 321.436 4.127 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](c2ccccc2C)C2CC2)cc1 ZINC001307614541 1065519493 /nfs/dbraw/zinc/51/94/93/1065519493.db2.gz IPWHIHPZJUAPQY-NRFANRHFSA-N 0 3 322.452 4.237 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](C)c2ccc3c(c2)CCC3)c1 ZINC001307634943 1065530345 /nfs/dbraw/zinc/53/03/45/1065530345.db2.gz IJZNBIMWTPKNID-MRXNPFEDSA-N 0 3 322.452 4.081 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)OC)c1)c1ccc(C)c(F)c1 ZINC001307639867 1065534164 /nfs/dbraw/zinc/53/41/64/1065534164.db2.gz SOIADFCCVCKBDM-SFHVURJKSA-N 0 3 315.388 4.162 20 0 DIADHN CC[C@H](NCc1ccccc1N(C)C)c1ccc(C)c(F)c1 ZINC001307638904 1065534888 /nfs/dbraw/zinc/53/48/88/1065534888.db2.gz IYFDFMYFLBNDOS-SFHVURJKSA-N 0 3 300.421 4.441 20 0 DIADHN COC[C@H](NCCSc1ccc(Cl)cc1)c1ccccc1 ZINC001307641906 1065535940 /nfs/dbraw/zinc/53/59/40/1065535940.db2.gz DEWOTOSJFQPJHC-KRWDZBQOSA-N 0 3 321.873 4.409 20 0 DIADHN COc1ccc2c(c1)CCN([C@H](C)c1ccc(F)cc1F)CC2 ZINC001307648199 1065539679 /nfs/dbraw/zinc/53/96/79/1065539679.db2.gz ZJMNUFCOROVTAI-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](CO)NCc1cc(Cl)ccc1Cl ZINC001307659959 1065549186 /nfs/dbraw/zinc/54/91/86/1065549186.db2.gz WLLWZODBANWPKP-SJCJKPOMSA-N 0 3 324.251 4.248 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccccc1N(C)C)[C@H]2C ZINC001307672565 1065554158 /nfs/dbraw/zinc/55/41/58/1065554158.db2.gz FGZILZOYJGVEIU-HOTGVXAUSA-N 0 3 324.468 4.269 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCCC3(C)C)co2)c1 ZINC001307684393 1065562293 /nfs/dbraw/zinc/56/22/93/1065562293.db2.gz MVCRXVLGHVHLCS-MRXNPFEDSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)(CC1CCCCCC1)NCc1nccn1CC(F)F ZINC001307689657 1065564103 /nfs/dbraw/zinc/56/41/03/1065564103.db2.gz TWIQDQBFLUUHQI-UHFFFAOYSA-N 0 3 313.436 4.377 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cccc(C(=O)C(F)(F)F)c2)C1 ZINC001307692031 1065565686 /nfs/dbraw/zinc/56/56/86/1065565686.db2.gz JVGNOMJWGPWLLN-HNNXBMFYSA-N 0 3 313.363 4.300 20 0 DIADHN Cc1cc(C)c2c(c1)CN(Cc1ccc([Si](C)(C)C)cc1)CC2 ZINC001307696439 1065568827 /nfs/dbraw/zinc/56/88/27/1065568827.db2.gz PDNCFUNEFVVBAU-UHFFFAOYSA-N 0 3 323.556 4.407 20 0 DIADHN CC[C@@H](CN(CC)Cc1cc2n(n1)CCCC2)c1ccccc1 ZINC001307702499 1065572068 /nfs/dbraw/zinc/57/20/68/1065572068.db2.gz JKJNSMDILOTKJS-KRWDZBQOSA-N 0 3 311.473 4.235 20 0 DIADHN CC[C@H](OCCN1CCC[C@H](OCC2CC2)C1)c1ccccc1 ZINC001307707390 1065577294 /nfs/dbraw/zinc/57/72/94/1065577294.db2.gz WKIHYTQLDWYYNZ-PMACEKPBSA-N 0 3 317.473 4.045 20 0 DIADHN CC[C@@H]1CN(Cc2cc(Cl)cc3ccccc32)CCCO1 ZINC001307714318 1065580900 /nfs/dbraw/zinc/58/09/00/1065580900.db2.gz CDKXDJUCENWIHF-QGZVFWFLSA-N 0 3 303.833 4.494 20 0 DIADHN Cc1ccc(CN(C)CCCOCc2ccccc2)nc1Cl ZINC001307716985 1065581224 /nfs/dbraw/zinc/58/12/24/1065581224.db2.gz QGHRZJTXEOESMK-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN COc1ncccc1CN[C@@H]1CC(C)(C)CCc2ccccc21 ZINC001307716340 1065581458 /nfs/dbraw/zinc/58/14/58/1065581458.db2.gz OALXVMWPUXKVJQ-GOSISDBHSA-N 0 3 310.441 4.284 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001307713110 1065582446 /nfs/dbraw/zinc/58/24/46/1065582446.db2.gz MSXWFZFFMBGOJM-VBQJREDUSA-N 0 3 322.518 4.072 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2cccnc2C(F)(F)F)C1 ZINC001307717825 1065584295 /nfs/dbraw/zinc/58/42/95/1065584295.db2.gz OBCQCQWYERIAHX-CYBMUJFWSA-N 0 3 300.368 4.359 20 0 DIADHN COc1ncc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c2ccccc21 ZINC001307733519 1065592936 /nfs/dbraw/zinc/59/29/36/1065592936.db2.gz IMXLDZGEUGMYHD-XHDPSFHLSA-N 0 3 324.346 4.016 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2scnc2Cl)C12CCCCC2 ZINC001307743190 1065599368 /nfs/dbraw/zinc/59/93/68/1065599368.db2.gz AXNCGFRPGQLYOS-OLZOCXBDSA-N 0 3 314.882 4.014 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(-c3ccccc3)no2)C[C@H]1OC ZINC001307744854 1065601138 /nfs/dbraw/zinc/60/11/38/1065601138.db2.gz UJDMCAQNLYAHQS-QZTJIDSGSA-N 0 3 314.429 4.025 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(C(F)(F)F)co2)[C@H](C)[C@@H]1OC ZINC001307744615 1065601196 /nfs/dbraw/zinc/60/11/96/1065601196.db2.gz LYBCXOHVZCRNFW-GDLCADMTSA-N 0 3 319.367 4.228 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCCCCCCC1 ZINC001307772768 1065612948 /nfs/dbraw/zinc/61/29/48/1065612948.db2.gz HRRHRZZYHODZPG-KRWDZBQOSA-N 0 3 302.462 4.287 20 0 DIADHN CC(C)C[C@H](C)CN1CCc2ccc(Br)cc2C1 ZINC001307768738 1065614161 /nfs/dbraw/zinc/61/41/61/1065614161.db2.gz XGWPPWUTUXZFKB-ZDUSSCGKSA-N 0 3 310.279 4.489 20 0 DIADHN CN(Cc1ccccc1C1CC1)[C@H](CO)c1ccc(Cl)cc1 ZINC001307788843 1065620997 /nfs/dbraw/zinc/62/09/97/1065620997.db2.gz BOOFCIMEFKRONN-LJQANCHMSA-N 0 3 315.844 4.383 20 0 DIADHN C[C@@H]1CN(Cc2cc(Cl)cc3ccccc32)C[C@H](C)N1C ZINC001307808595 1065631248 /nfs/dbraw/zinc/63/12/48/1065631248.db2.gz XRNGTDNWKIAUHS-OKILXGFUSA-N 0 3 302.849 4.018 20 0 DIADHN C[C@@H]1CN(c2cccc(F)c2)CCN1CC/C=C\c1ccccc1 ZINC001307809543 1065632350 /nfs/dbraw/zinc/63/23/50/1065632350.db2.gz WADZJXCTODVKIY-PRCIHIDRSA-N 0 3 324.443 4.440 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCC[C@H](C2CC2)C1 ZINC001307812081 1065634032 /nfs/dbraw/zinc/63/40/32/1065634032.db2.gz DSTAECNDRUVSAO-ZFWWWQNUSA-N 0 3 320.864 4.097 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCC3(C2)Oc2ccccc2O3)C1 ZINC001307812236 1065634039 /nfs/dbraw/zinc/63/40/39/1065634039.db2.gz JHFYDDXQTRHNFK-HZPDHXFCSA-N 0 3 301.430 4.076 20 0 DIADHN CCSc1ccccc1CN1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC001307814917 1065636028 /nfs/dbraw/zinc/63/60/28/1065636028.db2.gz BSDQBKWQGABMGC-RDJZCZTQSA-N 0 3 305.487 4.188 20 0 DIADHN CO[C@H](Cc1ccccc1)CN1CC(C)(C)[C@H]1c1cccs1 ZINC001307820666 1065637640 /nfs/dbraw/zinc/63/76/40/1065637640.db2.gz MCIVMGOWQDHXGX-SJLPKXTDSA-N 0 3 315.482 4.389 20 0 DIADHN Clc1cccc(CCN2CC[C@H](c3ccncc3)C2)c1Cl ZINC001307822803 1065637891 /nfs/dbraw/zinc/63/78/91/1065637891.db2.gz YXJLJXFSDWBECM-HNNXBMFYSA-N 0 3 321.251 4.420 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cc(Cl)ccc1Cl)C1CC1 ZINC001307844936 1065648130 /nfs/dbraw/zinc/64/81/30/1065648130.db2.gz MMWNLYKCHSHXPC-BONVTDFDSA-N 0 3 302.245 4.287 20 0 DIADHN OCC[C@H]1CCCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC001307853820 1065653989 /nfs/dbraw/zinc/65/39/89/1065653989.db2.gz DWDYMAQDQQYRHR-ZDUSSCGKSA-N 0 3 316.272 4.020 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC001307857859 1065656478 /nfs/dbraw/zinc/65/64/78/1065656478.db2.gz ZZKWZYZQJHXWJW-TUKIKUTGSA-N 0 3 301.327 4.346 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)[C@H](C)C1 ZINC001307861699 1065658620 /nfs/dbraw/zinc/65/86/20/1065658620.db2.gz YNIMAWMLXPCHSJ-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1ccc(F)c(F)c1 ZINC001307860828 1065658834 /nfs/dbraw/zinc/65/88/34/1065658834.db2.gz HKJPGLZOPFZAJM-UHFFFAOYSA-N 0 3 305.368 4.174 20 0 DIADHN Cc1nc(CN2CCC[C@@]2(C)Cc2cccc(F)c2)sc1C ZINC001307866979 1065661363 /nfs/dbraw/zinc/66/13/63/1065661363.db2.gz NFKMFUSBLUHCMP-SFHVURJKSA-N 0 3 318.461 4.496 20 0 DIADHN C[C@@]1(Cc2ccccc2F)CCCN1Cc1ccnc(Cl)c1 ZINC001307873288 1065664952 /nfs/dbraw/zinc/66/49/52/1065664952.db2.gz UVQZCPOYVRQFCI-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN COc1cccc(CN2CCC(c3cccc(F)c3F)CC2)c1 ZINC001307874848 1065665010 /nfs/dbraw/zinc/66/50/10/1065665010.db2.gz RCUKGRBNKXFXKH-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN CCCOc1cccc(CN2CCC[C@@H](OC3CCC3)C2)c1 ZINC001307886083 1065666825 /nfs/dbraw/zinc/66/68/25/1065666825.db2.gz SVWSVTIDELAQHN-LJQANCHMSA-N 0 3 303.446 4.009 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H](CC)[C@H]1CCCCO1 ZINC001307882957 1065667830 /nfs/dbraw/zinc/66/78/30/1065667830.db2.gz OPECIGKNLLMNAQ-DOTOQJQBSA-N 0 3 311.853 4.176 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1CCc2ccccc2[C@H](C)C1 ZINC001307898876 1065671057 /nfs/dbraw/zinc/67/10/57/1065671057.db2.gz FTRJCAXEFMUUSS-QKLQHJQFSA-N 0 3 301.474 4.244 20 0 DIADHN CC(C)(C)OC(=O)CCN1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC001307898988 1065671502 /nfs/dbraw/zinc/67/15/02/1065671502.db2.gz CVMRTZPMHXFKCY-SFHVURJKSA-N 0 3 313.441 4.112 20 0 DIADHN O[C@H](CNC1(c2ccccc2Cl)CCC1)CC1CCCC1 ZINC001307898416 1065671515 /nfs/dbraw/zinc/67/15/15/1065671515.db2.gz XJDPVLUSGRURFR-HNNXBMFYSA-N 0 3 307.865 4.250 20 0 DIADHN O[C@@H](CNC1(c2ccccc2Cl)CCC1)CC1CCCC1 ZINC001307898417 1065671691 /nfs/dbraw/zinc/67/16/91/1065671691.db2.gz XJDPVLUSGRURFR-OAHLLOKOSA-N 0 3 307.865 4.250 20 0 DIADHN COc1ccc(C[C@@H]2CCCCN2Cc2ncc(C)s2)cc1 ZINC001307904514 1065675808 /nfs/dbraw/zinc/67/58/08/1065675808.db2.gz COBXTICYYGABQA-INIZCTEOSA-N 0 3 316.470 4.057 20 0 DIADHN C[C@H]1CN(Cc2ncccc2C(F)(F)F)CCc2ccccc21 ZINC001307905525 1065675820 /nfs/dbraw/zinc/67/58/20/1065675820.db2.gz OBQLHHNDZCMCRQ-ZDUSSCGKSA-N 0 3 320.358 4.262 20 0 DIADHN CC(C)c1ccc2c(c1)CN(CCC(=O)Nc1ccccc1)CC2 ZINC001307911481 1065677964 /nfs/dbraw/zinc/67/79/64/1065677964.db2.gz AZUKQHUIVBXKFA-UHFFFAOYSA-N 0 3 322.452 4.197 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC)[C@H](C)CC)co2)cc1 ZINC001307922657 1065684155 /nfs/dbraw/zinc/68/41/55/1065684155.db2.gz AEIASFONZIOFTD-CQSZACIVSA-N 0 3 302.418 4.361 20 0 DIADHN CCC1(CC)CCCN([C@H]2CCN(c3ccc(C)cc3)C2=O)C1 ZINC001307927354 1065686046 /nfs/dbraw/zinc/68/60/46/1065686046.db2.gz BSRXKOVLJXHOSS-SFHVURJKSA-N 0 3 314.473 4.003 20 0 DIADHN O=C1C[C@]2(CCCN(CCCC3CCC3)C2)Oc2ccccc21 ZINC001307930208 1065686364 /nfs/dbraw/zinc/68/63/64/1065686364.db2.gz FDKLHJJYATYMAC-FQEVSTJZSA-N 0 3 313.441 4.067 20 0 DIADHN CC(C)N1CCCC[C@H]1CN[C@H](C(F)F)C1CCCCC1 ZINC001307945503 1065693115 /nfs/dbraw/zinc/69/31/15/1065693115.db2.gz PZVBFPWWRXQQDR-HOTGVXAUSA-N 0 3 302.453 4.053 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN(CCC1CC1)CC1CC1 ZINC001307953044 1065697227 /nfs/dbraw/zinc/69/72/27/1065697227.db2.gz GEGSZXWOEBVNDD-UHFFFAOYSA-N 0 3 323.484 4.138 20 0 DIADHN Fc1ccc([C@@H]2CCCN(C[C@H]3CC[C@H](C4CC4)O3)C2)cc1F ZINC001307956285 1065700164 /nfs/dbraw/zinc/70/01/64/1065700164.db2.gz NSYAVVHIYGFAIU-GPMSIDNRSA-N 0 3 321.411 4.102 20 0 DIADHN CC[C@@H](CO)N(Cc1nocc1C)C1CCC(C(C)(C)C)CC1 ZINC001307959267 1065701389 /nfs/dbraw/zinc/70/13/89/1065701389.db2.gz XGIOHSDOYRDKIF-CGZBRXJRSA-N 0 3 322.493 4.161 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCCC2(CC2)CC1 ZINC001307972027 1065705287 /nfs/dbraw/zinc/70/52/87/1065705287.db2.gz IBERRZZZKCABBT-CQSZACIVSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1cccc(C[C@]2(C)CCCN2Cc2cnc(Cl)cn2)c1 ZINC001307973192 1065707399 /nfs/dbraw/zinc/70/73/99/1065707399.db2.gz XWFIJIQRGKEUGV-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN CC(C)c1ccccc1CN(C)C[C@H](C)NC(=O)OC(C)(C)C ZINC001307976970 1065710520 /nfs/dbraw/zinc/71/05/20/1065710520.db2.gz VNAAEJIRUYZBCE-HNNXBMFYSA-N 0 3 320.477 4.155 20 0 DIADHN COC1(CCN2CCc3c(cccc3C(F)(F)F)C2)CCC1 ZINC001307982283 1065711640 /nfs/dbraw/zinc/71/16/40/1065711640.db2.gz GESWIYXEHZQLDO-UHFFFAOYSA-N 0 3 313.363 4.023 20 0 DIADHN CC[C@@H](NCc1cccc2c1OCCO2)c1cc(C)ccc1C ZINC001308002156 1065719196 /nfs/dbraw/zinc/71/91/96/1065719196.db2.gz ZNXOHKXBSDNHDI-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN Clc1ccccc1CC1CCN(Cc2ccncc2)CC1 ZINC001308008183 1065721408 /nfs/dbraw/zinc/72/14/08/1065721408.db2.gz ZQNFMYIGFNHRCH-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCO[C@H]2CCCC[C@H]2C)c1 ZINC001308020713 1065726940 /nfs/dbraw/zinc/72/69/40/1065726940.db2.gz XIUWYOMVWBSWSO-NEWSRXKRSA-N 0 3 302.462 4.122 20 0 DIADHN Cc1cncc([C@H]2CCCN2CCc2ccc(F)c(F)c2)c1 ZINC001308021224 1065728066 /nfs/dbraw/zinc/72/80/66/1065728066.db2.gz ZLPJGSUMDDALSP-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@@H](C3CCC3)CC2)c1 ZINC001308023155 1065728374 /nfs/dbraw/zinc/72/83/74/1065728374.db2.gz GEYMUZRLQDDKJU-QGZVFWFLSA-N 0 3 302.462 4.271 20 0 DIADHN C[C@H]1CN(Cc2ccn(-c3ccc(Cl)cc3)n2)C(C)(C)C1 ZINC001308042102 1065738674 /nfs/dbraw/zinc/73/86/74/1065738674.db2.gz LALOBVILLAMUES-CYBMUJFWSA-N 0 3 303.837 4.146 20 0 DIADHN Cc1nccnc1CN1CC[C@H](c2cccc(Cl)c2)C1(C)C ZINC001308052090 1065742134 /nfs/dbraw/zinc/74/21/34/1065742134.db2.gz HNNPXQSPQBDEPV-MRXNPFEDSA-N 0 3 315.848 4.207 20 0 DIADHN C[C@H](CN(C)Cc1ccc(Oc2ccccc2)nn1)C(C)(C)C ZINC001308061311 1065744889 /nfs/dbraw/zinc/74/48/89/1065744889.db2.gz FNBZQLWXQMJDDU-OAHLLOKOSA-N 0 3 313.445 4.383 20 0 DIADHN CC(C)(C)OC[C@H]1CCCN1Cc1ccccc1OC(F)F ZINC001308064823 1065748226 /nfs/dbraw/zinc/74/82/26/1065748226.db2.gz UVACDBLKOOBRNX-CQSZACIVSA-N 0 3 313.388 4.068 20 0 DIADHN Cc1nc([C@@H]2CCN(Cc3ccc(C4CCCC4)cc3)C2)no1 ZINC001308071705 1065750889 /nfs/dbraw/zinc/75/08/89/1065750889.db2.gz ASPVRGAGXVTIIB-GOSISDBHSA-N 0 3 311.429 4.025 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccc(Cl)cc3)C2(C)C)no1 ZINC001308098377 1065762572 /nfs/dbraw/zinc/76/25/72/1065762572.db2.gz NHXYFSMRACFLJV-MRXNPFEDSA-N 0 3 304.821 4.405 20 0 DIADHN FC(F)Oc1ccccc1CN1CCCSC[C@@H]1C1CC1 ZINC001308121278 1065770174 /nfs/dbraw/zinc/77/01/74/1065770174.db2.gz FIRJRRULUZQHNH-CQSZACIVSA-N 0 3 313.413 4.006 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(O)(C2CCC2)CC1 ZINC001308130205 1065772440 /nfs/dbraw/zinc/77/24/40/1065772440.db2.gz ZGVOBYTXZKKLSR-KRWDZBQOSA-N 0 3 307.865 4.418 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1csc(-c2ccccc2F)n1 ZINC001308149109 1065780295 /nfs/dbraw/zinc/78/02/95/1065780295.db2.gz YVULIWYDWLHHJB-MLGOLLRUSA-N 0 3 302.418 4.394 20 0 DIADHN Cc1ccc(SCCN[C@H](C)c2ncccc2C)cc1C ZINC001308154001 1065781711 /nfs/dbraw/zinc/78/17/11/1065781711.db2.gz XCHRIOJFALZCCI-MRXNPFEDSA-N 0 3 300.471 4.450 20 0 DIADHN CCC[C@@](C)(NCc1cc(CO)ccc1F)c1ccccc1 ZINC001308153063 1065783087 /nfs/dbraw/zinc/78/30/87/1065783087.db2.gz DPPGADGCYVKDMU-LJQANCHMSA-N 0 3 301.405 4.123 20 0 DIADHN Cc1ccc2ncc(CN3CCO[C@H](C(C)(C)C)CC3)cc2c1 ZINC001308167974 1065788465 /nfs/dbraw/zinc/78/84/65/1065788465.db2.gz AMWFZXYECNKCAK-IBGZPJMESA-N 0 3 312.457 4.180 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(CCO[C@H]1CCCC[C@@H]1C)C2 ZINC001308173884 1065789248 /nfs/dbraw/zinc/78/92/48/1065789248.db2.gz PMRUUPKHZIARJU-JXFKEZNVSA-N 0 3 301.474 4.257 20 0 DIADHN CC(C)c1ccc2c(c1)CCN(Cc1cccc(N(C)C)c1)C2 ZINC001308174807 1065789641 /nfs/dbraw/zinc/78/96/41/1065789641.db2.gz NVZVXQYKELXPSO-UHFFFAOYSA-N 0 3 308.469 4.434 20 0 DIADHN c1sc(COc2ccccc2)nc1CNCC1CCCCC1 ZINC001308178932 1065790140 /nfs/dbraw/zinc/79/01/40/1065790140.db2.gz BODIBMSGXVRFHQ-UHFFFAOYSA-N 0 3 316.470 4.392 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nc(Cl)ccc1Br ZINC001308182104 1065794216 /nfs/dbraw/zinc/79/42/16/1065794216.db2.gz NAQAZWHXWWKPPW-GXSJLCMTSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1ccc(OCCNCc2ccsc2C(F)(F)F)cc1 ZINC001308189616 1065799033 /nfs/dbraw/zinc/79/90/33/1065799033.db2.gz YPHJYBAYXIYUIL-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN COc1ccc(CNCc2c(C)cc(Cl)cc2C)cc1F ZINC001308188601 1065799722 /nfs/dbraw/zinc/79/97/22/1065799722.db2.gz KAMOQDFANKLKGK-UHFFFAOYSA-N 0 3 307.796 4.394 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(Cl)c(C)c2)C2CC2)cc1 ZINC001308190018 1065801466 /nfs/dbraw/zinc/80/14/66/1065801466.db2.gz QZLRQEMUARJAPL-KRWDZBQOSA-N 0 3 316.832 4.293 20 0 DIADHN Cc1ccc(CCNCc2ccc(F)c(Cl)c2Cl)o1 ZINC001308199198 1065807977 /nfs/dbraw/zinc/80/79/77/1065807977.db2.gz PJOAGMHZYLTLME-UHFFFAOYSA-N 0 3 302.176 4.366 20 0 DIADHN C[C@@H](CNCc1nccs1)c1c(Cl)cccc1Cl ZINC001308235993 1065843222 /nfs/dbraw/zinc/84/32/22/1065843222.db2.gz BULXVGUESRMZSI-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1coc(CN[C@@H](C)COc2ccc(C(C)(C)C)cc2)c1 ZINC001308223147 1065828558 /nfs/dbraw/zinc/82/85/58/1065828558.db2.gz MKNJDQBNEMIANT-HNNXBMFYSA-N 0 3 301.430 4.443 20 0 DIADHN COc1cc(CNCc2ccc([C@H](C)O)cc2)cc2ccccc21 ZINC001308225125 1065831170 /nfs/dbraw/zinc/83/11/70/1065831170.db2.gz UVLDTNIGMXYSFQ-HNNXBMFYSA-N 0 3 321.420 4.192 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H](OC)c1ccccc1 ZINC001308223292 1065832329 /nfs/dbraw/zinc/83/23/29/1065832329.db2.gz NZTBZCFOKWGYLE-HXUWFJFHSA-N 0 3 313.441 4.343 20 0 DIADHN c1ccc([C@@H](NCc2ccc3c(c2)CCC3)[C@H]2CCCO2)cc1 ZINC001308228492 1065834840 /nfs/dbraw/zinc/83/48/40/1065834840.db2.gz SBGYJJSOFNKBEB-NHCUHLMSSA-N 0 3 307.437 4.185 20 0 DIADHN CC(C)(C)[C@@H](NCc1cnc2ccccn12)c1cccc(F)c1 ZINC001308229995 1065835757 /nfs/dbraw/zinc/83/57/57/1065835757.db2.gz QYFBQNVNCMWQJB-SFHVURJKSA-N 0 3 311.404 4.350 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)cc1C ZINC001308229244 1065835841 /nfs/dbraw/zinc/83/58/41/1065835841.db2.gz XNWZUKACIPWGSB-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN CC(C)c1nc(CN[C@H](C)COc2ccccc2)c2ccccn21 ZINC001308228913 1065837269 /nfs/dbraw/zinc/83/72/69/1065837269.db2.gz LDWCRXIXJXUNSG-MRXNPFEDSA-N 0 3 323.440 4.015 20 0 DIADHN COc1ccccc1C1(CNCc2ncc(C(C)C)s2)CC1 ZINC001308238955 1065844352 /nfs/dbraw/zinc/84/43/52/1065844352.db2.gz YYEUTWZBJIXJOC-UHFFFAOYSA-N 0 3 316.470 4.097 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1ccc(OCC2CC2)nc1 ZINC001308239254 1065845472 /nfs/dbraw/zinc/84/54/72/1065845472.db2.gz CZODUBZWHGAATF-UHFFFAOYSA-N 0 3 316.832 4.122 20 0 DIADHN Oc1cc(Cl)cc(CNCc2cc3c(s2)CCCC3)c1 ZINC001308252942 1065857023 /nfs/dbraw/zinc/85/70/23/1065857023.db2.gz VNKDGBXFBCYFSP-UHFFFAOYSA-N 0 3 307.846 4.276 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2ccc(F)cc2)c(Cl)c1 ZINC001308255450 1065857897 /nfs/dbraw/zinc/85/78/97/1065857897.db2.gz AVNKEHAOIAJTKF-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Brc1cc(CNCc2cccc3c2CCC3)cs1 ZINC001308255535 1065859518 /nfs/dbraw/zinc/85/95/18/1065859518.db2.gz CJESIBRKVPSJFP-UHFFFAOYSA-N 0 3 322.271 4.289 20 0 DIADHN Cc1csc(CN[C@@H](C)Cc2ccc(C(F)(F)F)cc2)n1 ZINC001308258854 1065860639 /nfs/dbraw/zinc/86/06/39/1065860639.db2.gz GHBUNXBFWJSQCN-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN CCc1cc(CNCc2ccc(-c3ccc(C)cc3)s2)n[nH]1 ZINC001308262975 1065863680 /nfs/dbraw/zinc/86/36/80/1065863680.db2.gz XUBRFXAWAXHZCT-UHFFFAOYSA-N 0 3 311.454 4.299 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC001308267411 1065868076 /nfs/dbraw/zinc/86/80/76/1065868076.db2.gz WSWHDDXVSGSSBF-LIXBPZJASA-N 0 3 317.864 4.177 20 0 DIADHN Cc1ccc(CCNCc2cccc(F)c2Br)cc1 ZINC001308268162 1065868396 /nfs/dbraw/zinc/86/83/96/1065868396.db2.gz JRSAJLAYOFQRDB-UHFFFAOYSA-N 0 3 322.221 4.229 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)cn1 ZINC001308267533 1065868620 /nfs/dbraw/zinc/86/86/20/1065868620.db2.gz ZKRTYNYYRBVFPM-OAUYIBNBSA-N 0 3 303.837 4.043 20 0 DIADHN CC(C)(CNCc1nc2c(s1)CCCC2)c1cccc(F)c1 ZINC001308270697 1065870337 /nfs/dbraw/zinc/87/03/37/1065870337.db2.gz LZKMRWIDBICWOV-UHFFFAOYSA-N 0 3 318.461 4.228 20 0 DIADHN CCc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)s1 ZINC001308280428 1065878023 /nfs/dbraw/zinc/87/80/23/1065878023.db2.gz JNWAFMLJTKRFAI-SFHVURJKSA-N 0 3 311.454 4.038 20 0 DIADHN Oc1ccc(CNCc2cccc(Oc3ccccn3)c2)cc1F ZINC001308282628 1065880940 /nfs/dbraw/zinc/88/09/40/1065880940.db2.gz LZZCUTURIVFZAQ-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1c(C)cccc1F ZINC001308284478 1065883478 /nfs/dbraw/zinc/88/34/78/1065883478.db2.gz KWDQZNQPRIXAHN-PTNGSMBKSA-N 0 3 313.416 4.474 20 0 DIADHN C[C@@]1(CNCc2ccc(Oc3ccccc3)o2)CCCC[C@H]1O ZINC001308301802 1065896378 /nfs/dbraw/zinc/89/63/78/1065896378.db2.gz IQXQNIWCMPAIII-MJGOQNOKSA-N 0 3 315.413 4.103 20 0 DIADHN CCc1nc(CN[C@@H](Cc2ccccc2OC)C(C)C)cs1 ZINC001308304156 1065898236 /nfs/dbraw/zinc/89/82/36/1065898236.db2.gz WAOCRIXBTHXFNA-INIZCTEOSA-N 0 3 318.486 4.071 20 0 DIADHN Clc1cc(Br)ccc1CN[C@H]1C=CCCC1 ZINC001308315475 1065907924 /nfs/dbraw/zinc/90/79/24/1065907924.db2.gz ZOKIKMCRZKFLHN-LBPRGKRZSA-N 0 3 300.627 4.301 20 0 DIADHN CC1(C)C[C@@H](NCc2cnn(-c3ccccc3)c2)c2ccccc21 ZINC001308319518 1065910630 /nfs/dbraw/zinc/91/06/30/1065910630.db2.gz XXVYBONSPUCDFG-HXUWFJFHSA-N 0 3 317.436 4.385 20 0 DIADHN CCOc1cc(C)ccc1CNCc1cccc2ncccc12 ZINC001308328764 1065916364 /nfs/dbraw/zinc/91/63/64/1065916364.db2.gz LXWWKTORSDJSSL-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN Cc1ncoc1CN[C@@H](COc1c(C)cccc1C)C(C)(C)C ZINC001308328850 1065916927 /nfs/dbraw/zinc/91/69/27/1065916927.db2.gz HXNREBQDSITFJU-KRWDZBQOSA-N 0 3 316.445 4.183 20 0 DIADHN CCc1ccc(CN[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2)o1 ZINC001308332424 1065919854 /nfs/dbraw/zinc/91/98/54/1065919854.db2.gz SCSZUMZJSDCLRM-GFCCVEGCSA-N 0 3 322.811 4.003 20 0 DIADHN C[C@H](NCc1ccnc(N(C)C)c1)c1ccc(Cl)c(Cl)c1 ZINC000754106116 1065923429 /nfs/dbraw/zinc/92/34/29/1065923429.db2.gz LRMSEMVZMHRWKB-NSHDSACASA-N 0 3 324.255 4.305 20 0 DIADHN CC(C)C[C@@H](C)NCc1c(F)cc(Br)cc1F ZINC001308341821 1065925881 /nfs/dbraw/zinc/92/58/81/1065925881.db2.gz FTNSTTNBTIJFED-SECBINFHSA-N 0 3 306.194 4.252 20 0 DIADHN Cc1csc(CN[C@H](C)Cc2ccccc2C(F)(F)F)n1 ZINC001308345309 1065929225 /nfs/dbraw/zinc/92/92/25/1065929225.db2.gz NSRIMNGZDMKWIO-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN Nc1c(Cl)cc(Cl)cc1CNC/C=C\c1ccccc1 ZINC001308347941 1065930094 /nfs/dbraw/zinc/93/00/94/1065930094.db2.gz BWJYRSKINNAFTI-DAXSKMNVSA-N 0 3 307.224 4.379 20 0 DIADHN Cn1cc(CNC/C=C\c2ccccc2)c(C2CCCCC2)n1 ZINC001308348135 1065931072 /nfs/dbraw/zinc/93/10/72/1065931072.db2.gz DIHZHXWZNYKWAQ-FLIBITNWSA-N 0 3 309.457 4.271 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CC[C@H]2C)c(OC)c1 ZINC001308348299 1065931214 /nfs/dbraw/zinc/93/12/14/1065931214.db2.gz APSSWVUZESGUNY-JLTOFOAXSA-N 0 3 311.425 4.117 20 0 DIADHN c1ccc([C@H](CC2CCC2)NCc2cccc3c2OCO3)cc1 ZINC001308352298 1065932853 /nfs/dbraw/zinc/93/28/53/1065932853.db2.gz AWJOCHHXVKCLGC-SFHVURJKSA-N 0 3 309.409 4.436 20 0 DIADHN CCc1ccc(CN[C@H]2CCCOc3c(F)cccc32)s1 ZINC001308352852 1065934106 /nfs/dbraw/zinc/93/41/06/1065934106.db2.gz POEFBJQWDRAPLB-INIZCTEOSA-N 0 3 305.418 4.453 20 0 DIADHN CCc1nc(CNC[C@]2(C)CCCc3ccccc32)cs1 ZINC001308359922 1065938757 /nfs/dbraw/zinc/93/87/57/1065938757.db2.gz JBUUVVLLEQJQJG-SFHVURJKSA-N 0 3 300.471 4.089 20 0 DIADHN Cc1cccc(CNCCSCC(C)C)c1Br ZINC001308361498 1065940437 /nfs/dbraw/zinc/94/04/37/1065940437.db2.gz WDORVOCKOYMCRH-UHFFFAOYSA-N 0 3 316.308 4.236 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H]2CN(C)Cc3ccccc32)c(C)c1 ZINC001308361964 1065941344 /nfs/dbraw/zinc/94/13/44/1065941344.db2.gz DZYYNGREWPQDNM-HWWNHIDJSA-N 0 3 320.480 4.401 20 0 DIADHN CCCOc1ccc(CNC[C@H]2CCC=CO2)c(OCCC)c1 ZINC001308372282 1065947757 /nfs/dbraw/zinc/94/77/57/1065947757.db2.gz IUENPTHSEMVNRM-GOSISDBHSA-N 0 3 319.445 4.047 20 0 DIADHN CCOC(C)(C)CNCc1cc(Cl)ccc1OCC1CC1 ZINC001308374488 1065948620 /nfs/dbraw/zinc/94/86/20/1065948620.db2.gz ZXRLJTOVWJSHQE-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN CCn1cnc(Cl)c1CNCCCCCc1ccc(F)cc1 ZINC001308379251 1065952373 /nfs/dbraw/zinc/95/23/73/1065952373.db2.gz WEFMLLLUXARGTR-UHFFFAOYSA-N 0 3 323.843 4.198 20 0 DIADHN CC1(C)CC[C@@H](CNCc2cc(C(F)(F)F)ccc2Cl)O1 ZINC001308381739 1065953432 /nfs/dbraw/zinc/95/34/32/1065953432.db2.gz WPVQZIJWQMIERJ-LBPRGKRZSA-N 0 3 321.770 4.406 20 0 DIADHN COc1nsc(CNCC2CC(c3ccccc3)C2)c1Cl ZINC001308382219 1065953766 /nfs/dbraw/zinc/95/37/66/1065953766.db2.gz YEVPSTQECXWCOL-UHFFFAOYSA-N 0 3 322.861 4.089 20 0 DIADHN CCO[C@@H](CNCc1cc(Cl)ccc1F)c1ccccc1 ZINC001308388181 1065956831 /nfs/dbraw/zinc/95/68/31/1065956831.db2.gz KQTHDSDABZSJHY-KRWDZBQOSA-N 0 3 307.796 4.347 20 0 DIADHN CCOC[C@@H](NCc1ccc(Cl)c(C)c1Cl)C(C)C ZINC001308389530 1065957413 /nfs/dbraw/zinc/95/74/13/1065957413.db2.gz CVVNEHYFBUIRTH-CQSZACIVSA-N 0 3 304.261 4.453 20 0 DIADHN COc1cnc(F)c(CNCC[C@@H]2C[C@@H](C)CC(C)(C)C2)c1 ZINC001308390549 1065957651 /nfs/dbraw/zinc/95/76/51/1065957651.db2.gz IZWVQZNIHHSVJO-ZIAGYGMSSA-N 0 3 308.441 4.171 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2c(F)cccc2OC(F)F)C1 ZINC001308391518 1065957748 /nfs/dbraw/zinc/95/77/48/1065957748.db2.gz YQWXZQAYPMFMLU-MNOVXSKESA-N 0 3 319.392 4.191 20 0 DIADHN Cc1nn(C)c(CNCC[C@@H]2C[C@H](C)CC(C)(C)C2)c1Cl ZINC001308390263 1065958095 /nfs/dbraw/zinc/95/80/95/1065958095.db2.gz HEUXCLYTRZBXAC-GXTWGEPZSA-N 0 3 311.901 4.324 20 0 DIADHN Cc1nn(C)c(CNCC[C@H]2C[C@H](C)CC(C)(C)C2)c1Cl ZINC001308390265 1065958268 /nfs/dbraw/zinc/95/82/68/1065958268.db2.gz HEUXCLYTRZBXAC-JSGCOSHPSA-N 0 3 311.901 4.324 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CN[C@@H]1CC[C@H]1C ZINC001308398391 1065963404 /nfs/dbraw/zinc/96/34/04/1065963404.db2.gz ZMMSFOLVXVMHEV-MLGOLLRUSA-N 0 3 317.864 4.339 20 0 DIADHN Cc1cc(CNC[C@@H](C)Oc2ccc(C(C)C)cc2)sn1 ZINC001308401470 1065963600 /nfs/dbraw/zinc/96/36/00/1065963600.db2.gz LWGNBNQWGHVQRL-CQSZACIVSA-N 0 3 304.459 4.132 20 0 DIADHN CC[C@H](C)[C@H](CNCc1ccc(-c2ccc(C)cc2)o1)OC ZINC001308410371 1065969416 /nfs/dbraw/zinc/96/94/16/1065969416.db2.gz GSNFJVSIKFKQIN-KXBFYZLASA-N 0 3 301.430 4.406 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1ccc(-c2ccc(C)cc2)o1)OC ZINC001308410370 1065969759 /nfs/dbraw/zinc/96/97/59/1065969759.db2.gz GSNFJVSIKFKQIN-HNAYVOBHSA-N 0 3 301.430 4.406 20 0 DIADHN CC(C)[C@@H]1C[C@H](CNCc2c(F)ccc(F)c2Cl)CCO1 ZINC001308411535 1065969783 /nfs/dbraw/zinc/96/97/83/1065969783.db2.gz SBEGNGPCKMPNLR-ABAIWWIYSA-N 0 3 317.807 4.159 20 0 DIADHN Cc1ccc(F)c(CNCCOc2ccc(F)c(Cl)c2)c1 ZINC001308411561 1065970234 /nfs/dbraw/zinc/97/02/34/1065970234.db2.gz SOKFURQUFLOGNH-UHFFFAOYSA-N 0 3 311.759 4.095 20 0 DIADHN CC[C@](C)(CNCc1cc2cccc(C)c2nc1Cl)OC ZINC001308411753 1065971092 /nfs/dbraw/zinc/97/10/92/1065971092.db2.gz GIQOOESBLJVSPK-QGZVFWFLSA-N 0 3 306.837 4.101 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)[C@H](O)c1ccccc1 ZINC001308425142 1065980712 /nfs/dbraw/zinc/98/07/12/1065980712.db2.gz YNGOLJIOKZXRDK-UGSOOPFHSA-N 0 3 301.430 4.097 20 0 DIADHN CO[C@H]1C[C@@H](NCc2ccc(Cl)c(C)c2Cl)C12CCC2 ZINC001308430205 1065983860 /nfs/dbraw/zinc/98/38/60/1065983860.db2.gz CAMBREHDSCUVCH-KGLIPLIRSA-N 0 3 314.256 4.349 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2ccc(Cl)cn2)CCC1 ZINC001308435887 1065986427 /nfs/dbraw/zinc/98/64/27/1065986427.db2.gz GEOIAQORXOFFFR-UHFFFAOYSA-N 0 3 322.786 4.225 20 0 DIADHN Cc1ccc(CNCc2cnn3ccccc23)c2ccccc12 ZINC001308437546 1065987900 /nfs/dbraw/zinc/98/79/00/1065987900.db2.gz QXAVHPRZTMQHKG-UHFFFAOYSA-N 0 3 301.393 4.086 20 0 DIADHN Cc1ccc(Br)c(CN[C@@H]2CC[C@H](C)SC2)c1 ZINC001308440502 1065989085 /nfs/dbraw/zinc/98/90/85/1065989085.db2.gz WHBNJTYJNJLKRY-WCQYABFASA-N 0 3 314.292 4.131 20 0 DIADHN CC[C@H](NCc1cc(-n2ccnc2)cs1)c1ccccc1F ZINC001308452958 1065996832 /nfs/dbraw/zinc/99/68/32/1065996832.db2.gz BRYYZLQTNQMQDK-KRWDZBQOSA-N 0 3 315.417 4.314 20 0 DIADHN CC[C@H](OCCNCc1ccc(F)c(F)c1)c1ccccc1 ZINC001308455542 1065997872 /nfs/dbraw/zinc/99/78/72/1065997872.db2.gz MMDZQIIJNMTAKF-SFHVURJKSA-N 0 3 305.368 4.222 20 0 DIADHN Cc1cccc(CNC[C@@H](O)c2cccc3ccccc32)c1F ZINC001308461074 1066003709 /nfs/dbraw/zinc/00/37/09/1066003709.db2.gz QIPJYRMEPIXWKG-LJQANCHMSA-N 0 3 309.384 4.111 20 0 DIADHN C[C@H]1CCC[C@H](CNCc2ccc(-c3ccc(F)cc3)o2)O1 ZINC001308468067 1066007386 /nfs/dbraw/zinc/00/73/86/1066007386.db2.gz IXWDUZQBELGBPP-XJKSGUPXSA-N 0 3 303.377 4.133 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2c(C3CCC3)cnn2C)c(C)c1 ZINC001308468456 1066007978 /nfs/dbraw/zinc/00/79/78/1066007978.db2.gz JECSFPQIPUEFPU-TWGQIWQCSA-N 0 3 323.484 4.416 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2cccc(F)c2F)C12CCC2 ZINC001308467010 1066008063 /nfs/dbraw/zinc/00/80/63/1066008063.db2.gz SVQZILHIAAXYMJ-GJZGRUSLSA-N 0 3 309.400 4.181 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2cc(Cl)ccc2OCC2CC2)O1 ZINC001308468022 1066008118 /nfs/dbraw/zinc/00/81/18/1066008118.db2.gz IFHIXGQWMSZOQU-DYVFJYSZSA-N 0 3 323.864 4.176 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2cccc(F)c2F)C12CCC2 ZINC001308467014 1066008667 /nfs/dbraw/zinc/00/86/67/1066008667.db2.gz SVQZILHIAAXYMJ-LSDHHAIUSA-N 0 3 309.400 4.181 20 0 DIADHN COc1ccc(C(C)(C)NCc2cccc(C)c2C)cc1F ZINC001308469051 1066009763 /nfs/dbraw/zinc/00/97/63/1066009763.db2.gz KWQFYFDNSZDHSS-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN c1ccc2oc(CNCC3CCc4ccccc4CC3)nc2c1 ZINC001308475830 1066015415 /nfs/dbraw/zinc/01/54/15/1066015415.db2.gz JBYIXJQHMCTNPG-UHFFFAOYSA-N 0 3 306.409 4.113 20 0 DIADHN CO[C@@H](CNCc1ccccc1OCC(C)C)C1CCCC1 ZINC001308476253 1066015972 /nfs/dbraw/zinc/01/59/72/1066015972.db2.gz MMHAVUOKHCAFPG-IBGZPJMESA-N 0 3 305.462 4.016 20 0 DIADHN CO[C@H](CNCc1cccc2c1OC(C)(C)C2)C1CCCCC1 ZINC001308477444 1066016935 /nfs/dbraw/zinc/01/69/35/1066016935.db2.gz JVXUXSIOVDNRGR-GOSISDBHSA-N 0 3 317.473 4.085 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4c(c3)C[C@H](C)O4)[nH]c2c1 ZINC001308514229 1066040187 /nfs/dbraw/zinc/04/01/87/1066040187.db2.gz OTJGBDIKZJATKG-AWEZNQCLSA-N 0 3 306.409 4.090 20 0 DIADHN O=c1[nH]c2cc(CNCc3ccc(F)c4ccccc34)ccc2o1 ZINC001308514957 1066040348 /nfs/dbraw/zinc/04/03/48/1066040348.db2.gz WXPLXQOTBBSCOG-UHFFFAOYSA-N 0 3 322.339 4.116 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNCc1csc(-c2cccs2)n1 ZINC001308522085 1066043450 /nfs/dbraw/zinc/04/34/50/1066043450.db2.gz OTHJUXVFBGZIMC-XWUBHJNHSA-N 0 3 304.484 4.253 20 0 DIADHN CCCCn1nc(C)c(CNC[C@]23C[C@H]2CCCC3)c1Cl ZINC001308522425 1066043713 /nfs/dbraw/zinc/04/37/13/1066043713.db2.gz VBWCHTUIHSESLA-PBHICJAKSA-N 0 3 309.885 4.315 20 0 DIADHN c1cc(-c2nc(CNCC[C@@H]3CC[C@@H]4C[C@@H]43)cs2)cs1 ZINC001308523104 1066045194 /nfs/dbraw/zinc/04/51/94/1066045194.db2.gz HCTUOKAAODOGHI-YWPYICTPSA-N 0 3 304.484 4.397 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@](C)(O)c1cccc(F)c1 ZINC001308527101 1066046790 /nfs/dbraw/zinc/04/67/90/1066046790.db2.gz CVJAIOJUXKNZTH-LJQANCHMSA-N 0 3 319.420 4.022 20 0 DIADHN Fc1cccc2c1CC[C@H]2NCc1cnc2c(F)cccc2c1 ZINC001308525929 1066046988 /nfs/dbraw/zinc/04/69/88/1066046988.db2.gz NBNQYYOEHJIKHV-GOSISDBHSA-N 0 3 310.347 4.290 20 0 DIADHN CCC(C)(C)C1CCC(NCc2cc(C3CC3)n(C)n2)CC1 ZINC001308532141 1066051790 /nfs/dbraw/zinc/05/17/90/1066051790.db2.gz GLGSDPKCUMGZHZ-UHFFFAOYSA-N 0 3 303.494 4.382 20 0 DIADHN COC[C@H](CNCc1csc(-c2ccc(C)cc2)n1)C(C)C ZINC001308533397 1066051968 /nfs/dbraw/zinc/05/19/68/1066051968.db2.gz VTLGEFNYAVFTCU-INIZCTEOSA-N 0 3 318.486 4.127 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2CCCc3ccccc3C2)c1 ZINC001308539611 1066055834 /nfs/dbraw/zinc/05/58/34/1066055834.db2.gz NWRDJNVOLRMREU-KRWDZBQOSA-N 0 3 301.817 4.083 20 0 DIADHN Cc1ccc(-c2cccc(CNCc3cc(C)c[nH]c3=O)c2)cc1 ZINC001308542227 1066058308 /nfs/dbraw/zinc/05/83/08/1066058308.db2.gz DSOSCHJPEFJWPQ-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN c1cc(CNCc2ccc3nonc3c2)cc(C2CCCC2)c1 ZINC001308542247 1066059514 /nfs/dbraw/zinc/05/95/14/1066059514.db2.gz BSTWSONWHRKTAV-UHFFFAOYSA-N 0 3 307.397 4.170 20 0 DIADHN Cc1nc2ccccn2c1CNCc1c(C)cc(Cl)cc1C ZINC001308546517 1066060521 /nfs/dbraw/zinc/06/05/21/1066060521.db2.gz PZHPGBWVXIVFJD-UHFFFAOYSA-N 0 3 313.832 4.203 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2cccc3cc[nH]c32)c2ccccc21 ZINC001308552042 1066063287 /nfs/dbraw/zinc/06/32/87/1066063287.db2.gz SHYAGKQCGDAKID-BTYIYWSLSA-N 0 3 320.436 4.043 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1ccccc1C1CC1 ZINC001308555571 1066067722 /nfs/dbraw/zinc/06/77/22/1066067722.db2.gz LAEFFJUSMDKSCY-UHFFFAOYSA-N 0 3 304.393 4.108 20 0 DIADHN CN(Cc1ccccc1OCc1ccc(F)cc1F)C1CC1 ZINC001308567758 1066079623 /nfs/dbraw/zinc/07/96/23/1066079623.db2.gz KWEPOBNVGUJTFZ-UHFFFAOYSA-N 0 3 303.352 4.138 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(F)ccc2OC)C1(CC)CC ZINC001308578479 1066093209 /nfs/dbraw/zinc/09/32/09/1066093209.db2.gz UNJHHSYOVZDUQF-QZTJIDSGSA-N 0 3 323.452 4.250 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3)[C@H](CC)C2)cn1 ZINC001308579079 1066094255 /nfs/dbraw/zinc/09/42/55/1066094255.db2.gz SYNWWUBYRJEGIF-IEBWSBKVSA-N 0 3 309.457 4.055 20 0 DIADHN CCc1ncc(CN2CCC[C@H](C)[C@H]2c2ccc(C)cc2)cn1 ZINC001308588548 1066101703 /nfs/dbraw/zinc/10/17/03/1066101703.db2.gz RSBPTOYGWMLNRC-JXFKEZNVSA-N 0 3 309.457 4.321 20 0 DIADHN C[C@H]1CCc2nc(CN3CC[C@H](c4ccccc4)C3)sc2C1 ZINC001308597840 1066108156 /nfs/dbraw/zinc/10/81/56/1066108156.db2.gz WQOYXYGZPHRKRT-HOCLYGCPSA-N 0 3 312.482 4.257 20 0 DIADHN Cc1sc(CN2CC[C@@H](C3CC3)C2)cc1Br ZINC001308598698 1066108354 /nfs/dbraw/zinc/10/83/54/1066108354.db2.gz MQJNZEIFEBMPTM-LLVKDONJSA-N 0 3 300.265 4.051 20 0 DIADHN Cc1ccc(CN2CCN(c3cccc(C)c3C)CC2)cc1C ZINC001308599558 1066109777 /nfs/dbraw/zinc/10/97/77/1066109777.db2.gz ATYQHPJIXJSBGB-UHFFFAOYSA-N 0 3 308.469 4.242 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(F)nc2C)C12CCCCC2 ZINC001308599261 1066109935 /nfs/dbraw/zinc/10/99/35/1066109935.db2.gz CTLLNERNKPNJFB-SJORKVTESA-N 0 3 320.452 4.089 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(F)nc2C)C12CCCCC2 ZINC001308599258 1066110297 /nfs/dbraw/zinc/11/02/97/1066110297.db2.gz CTLLNERNKPNJFB-DLBZAZTESA-N 0 3 320.452 4.089 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1cc2ccc(F)cc2[nH]c1=O ZINC001308598846 1066110552 /nfs/dbraw/zinc/11/05/52/1066110552.db2.gz ABJRXRRQXMWQPG-CYBMUJFWSA-N 0 3 322.383 4.026 20 0 DIADHN C[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@@H](c2ccccc2)CO1 ZINC001308601180 1066110603 /nfs/dbraw/zinc/11/06/03/1066110603.db2.gz QMJXULPHGPBJOZ-LRGNLBRXSA-N 0 3 307.437 4.252 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1Cc1c2c(nn1C)CCSC2 ZINC001308605060 1066113222 /nfs/dbraw/zinc/11/32/22/1066113222.db2.gz ZFVRAFKVHVOUHN-HNNXBMFYSA-N 0 3 321.534 4.000 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccnn1CC1CCC1 ZINC001308608149 1066114482 /nfs/dbraw/zinc/11/44/82/1066114482.db2.gz RXFJNFQTECSXEW-HXUWFJFHSA-N 0 3 309.457 4.329 20 0 DIADHN Cc1noc2ncc(CN(Cc3ccc(F)cc3)C(C)C)cc12 ZINC001308609203 1066116776 /nfs/dbraw/zinc/11/67/76/1066116776.db2.gz LWKVUCUURMGPFY-UHFFFAOYSA-N 0 3 313.376 4.081 20 0 DIADHN COc1cnc(F)c(CN2CCC[C@H]2CC2CCCCC2)c1 ZINC001308613770 1066118722 /nfs/dbraw/zinc/11/87/22/1066118722.db2.gz OBHZFZVAKAHVTC-INIZCTEOSA-N 0 3 306.425 4.164 20 0 DIADHN COC[C@H](C)Oc1ccc(CN(C)Cc2ccc(C)s2)cc1 ZINC001308618163 1066120779 /nfs/dbraw/zinc/12/07/79/1066120779.db2.gz DNRYIRYMLJBENH-AWEZNQCLSA-N 0 3 319.470 4.102 20 0 DIADHN C[C@@H]1[C@H](C)SCCN1Cc1cccc(Oc2ccccn2)c1 ZINC001308618591 1066122364 /nfs/dbraw/zinc/12/23/64/1066122364.db2.gz IRENHBNGMMXGKN-CABCVRRESA-N 0 3 314.454 4.200 20 0 DIADHN CC[C@H](C)N(CCc1ccccc1F)Cc1cnc(OC)s1 ZINC001308623037 1066123333 /nfs/dbraw/zinc/12/33/33/1066123333.db2.gz BIVPIQUCIBERRY-ZDUSSCGKSA-N 0 3 322.449 4.134 20 0 DIADHN COC1(CN2CCn3cccc3[C@@H]2c2ccccc2)CCCCC1 ZINC001308626808 1066125347 /nfs/dbraw/zinc/12/53/47/1066125347.db2.gz VAFNULAENREFST-FQEVSTJZSA-N 0 3 324.468 4.242 20 0 DIADHN CC(C)N(C)c1ccc(CN2CC[C@@H](C)[C@@H]3CCCC[C@H]32)cn1 ZINC001308630737 1066128132 /nfs/dbraw/zinc/12/81/32/1066128132.db2.gz LZBYDGAFQRQSRX-NZSAHSFTSA-N 0 3 315.505 4.327 20 0 DIADHN Cc1ccnc(CN2[C@H](C)C[C@H]3CCCC[C@H]32)c1Br ZINC001308634667 1066129783 /nfs/dbraw/zinc/12/97/83/1066129783.db2.gz NGBDTHAPMDGNCB-UMVBOHGHSA-N 0 3 323.278 4.306 20 0 DIADHN C[C@@H]1CN(Cc2cnc(CC(F)(F)F)s2)CC(C)(C)C1 ZINC001308638198 1066131703 /nfs/dbraw/zinc/13/17/03/1066131703.db2.gz BYMCZRYPNXHINK-JTQLQIEISA-N 0 3 306.397 4.116 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc4scnc4c3)CC2)cn1 ZINC001308636714 1066131873 /nfs/dbraw/zinc/13/18/73/1066131873.db2.gz HZIZCTIJXYMABD-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC001308641554 1066133062 /nfs/dbraw/zinc/13/30/62/1066133062.db2.gz HHBOVIUPSYTDJV-DIFFPNOSSA-N 0 3 323.795 4.051 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001308646967 1066135298 /nfs/dbraw/zinc/13/52/98/1066135298.db2.gz AJLDRENEFKBJMR-OKILXGFUSA-N 0 3 302.443 4.304 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001308649208 1066136366 /nfs/dbraw/zinc/13/63/66/1066136366.db2.gz FHVQCEYUZIZPNR-STQMWFEESA-N 0 3 313.363 4.439 20 0 DIADHN CCCOc1ccc(CN(C)Cc2ccc(OC)cc2)c(C)c1 ZINC001308652507 1066138219 /nfs/dbraw/zinc/13/82/19/1066138219.db2.gz RIOVCHBGYWMJJB-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN CCCc1cccc(CN(C)Cc2ccc(OC)c(OC)c2)c1 ZINC001308661131 1066141943 /nfs/dbraw/zinc/14/19/43/1066141943.db2.gz XXOIVWJXAAUDNL-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN CCc1cccc(CN(C)Cc2ccc(OC(F)F)cc2)c1 ZINC001308659886 1066142108 /nfs/dbraw/zinc/14/21/08/1066142108.db2.gz HZBCWDPUFFVAEQ-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CN(Cc1ccccc1Cl)C[C@H]1CCN(c2ccccc2)C1 ZINC001308659796 1066142283 /nfs/dbraw/zinc/14/22/83/1066142283.db2.gz FCWUBDFICKCARZ-MRXNPFEDSA-N 0 3 314.860 4.298 20 0 DIADHN CC[C@@](C)(CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001308661624 1066143993 /nfs/dbraw/zinc/14/39/93/1066143993.db2.gz BIQNXMPCTUQYGB-GJZGRUSLSA-N 0 3 303.368 4.393 20 0 DIADHN Cc1ccccc1C1CCN(Cc2ccc3oc(=O)oc3c2)CC1 ZINC001308671924 1066147894 /nfs/dbraw/zinc/14/78/94/1066147894.db2.gz FUQWALZDHUSDQL-UHFFFAOYSA-N 0 3 323.392 4.074 20 0 DIADHN CCCCCC[C@@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001308673474 1066148551 /nfs/dbraw/zinc/14/85/51/1066148551.db2.gz VTGMQSFEQUDFKB-CJNGLKHVSA-N 0 3 310.869 4.205 20 0 DIADHN CC[C@@H](CCC(F)(F)F)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001308687471 1066181575 /nfs/dbraw/zinc/18/15/75/1066181575.db2.gz UNWGRKYLAIDBEO-GXSJLCMTSA-N 0 3 309.278 4.109 20 0 DIADHN CC(C)CCCCN[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC001308704181 1066189102 /nfs/dbraw/zinc/18/91/02/1066189102.db2.gz NCDWTEHXPCVWCC-GOSISDBHSA-N 0 3 303.425 4.065 20 0 DIADHN CCN1CCN(c2cccc(NC[C@@H]3CCC[C@H](C)C3)c2)CC1 ZINC001308704790 1066189927 /nfs/dbraw/zinc/18/99/27/1066189927.db2.gz UNFBZTBUVMEJPI-ZWKOTPCHSA-N 0 3 315.505 4.067 20 0 DIADHN CCN1CCN(c2cccc(NC[C@H]3CCC[C@@H](C)C3)c2)CC1 ZINC001308704787 1066190415 /nfs/dbraw/zinc/19/04/15/1066190415.db2.gz UNFBZTBUVMEJPI-MSOLQXFVSA-N 0 3 315.505 4.067 20 0 DIADHN C[C@H](CNCc1cc(COC(C)(C)C)on1)C1CCCCC1 ZINC001308706398 1066191323 /nfs/dbraw/zinc/19/13/23/1066191323.db2.gz JPCJPXWCGNEJBE-CQSZACIVSA-N 0 3 308.466 4.296 20 0 DIADHN Fc1nccc(Cl)c1CNCC1CC(c2ccccc2)C1 ZINC001308719158 1066197316 /nfs/dbraw/zinc/19/73/16/1066197316.db2.gz JVJCVUSXFXIHEX-UHFFFAOYSA-N 0 3 304.796 4.158 20 0 DIADHN COCCCC1(CN2CC[C@@](F)(c3ccccc3C)C2)CCC1 ZINC001308717825 1066197419 /nfs/dbraw/zinc/19/74/19/1066197419.db2.gz KHGRSLGCRPVJBD-FQEVSTJZSA-N 0 3 319.464 4.462 20 0 DIADHN CC1=CCCN(C[C@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)C1 ZINC001308722809 1066199152 /nfs/dbraw/zinc/19/91/52/1066199152.db2.gz NNJZVDXZEGALRF-INIZCTEOSA-N 0 3 324.509 4.358 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1Cl)[C@H]1COC(C)(C)O1 ZINC001308726308 1066202174 /nfs/dbraw/zinc/20/21/74/1066202174.db2.gz FVOYNUSTGLGHGB-IMSIIYSGSA-N 0 3 318.244 4.184 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(F)c(Cl)cc2F)C12CCC2 ZINC001308729237 1066204024 /nfs/dbraw/zinc/20/40/24/1066204024.db2.gz RXKWGAGKSKKZFV-HUUCEWRRSA-N 0 3 315.791 4.056 20 0 DIADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+]C2CCC3(CCCC3)CC2)[n-]1 ZINC001308736510 1066210896 /nfs/dbraw/zinc/21/08/96/1066210896.db2.gz NGSMEPHDEFJEAY-KGLIPLIRSA-N 0 3 304.482 4.472 20 0 DIADHN CC(C)[C@@H](CNCc1ncccc1F)c1ccc(Cl)cc1 ZINC001308750307 1066225557 /nfs/dbraw/zinc/22/55/57/1066225557.db2.gz KINFKBIEUHZHLN-OAHLLOKOSA-N 0 3 306.812 4.404 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC001308755382 1066227041 /nfs/dbraw/zinc/22/70/41/1066227041.db2.gz GKTPUDNTRXUVBL-OALUTQOASA-N 0 3 321.505 4.007 20 0 DIADHN CC(C)C1CCC(CN2CCOc3cc(O)ccc3C2)CC1 ZINC001308757436 1066232114 /nfs/dbraw/zinc/23/21/14/1066232114.db2.gz IYPQRORPNKZGFW-UHFFFAOYSA-N 0 3 303.446 4.049 20 0 DIADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cccc2c1OC(F)(F)O2 ZINC001308763368 1066238156 /nfs/dbraw/zinc/23/81/56/1066238156.db2.gz PCRGZGYCYORHOB-UKRRQHHQSA-N 0 3 311.372 4.121 20 0 DIADHN c1ccc2c(c1)cncc2CN1CCCc2ccccc2CC1 ZINC001308768642 1066239545 /nfs/dbraw/zinc/23/95/45/1066239545.db2.gz QGYDGUJHZDTADM-UHFFFAOYSA-N 0 3 302.421 4.226 20 0 DIADHN CO[C@@H]1CCN(CCCc2c(Cl)cccc2Cl)C[C@@H]1C ZINC001308774310 1066247434 /nfs/dbraw/zinc/24/74/34/1066247434.db2.gz SWUFZLUVBRSUKT-BLLLJJGKSA-N 0 3 316.272 4.283 20 0 DIADHN Cc1cc(CN(C)C[C@H]2OCCc3ccccc32)ccc1Cl ZINC001308774219 1066248088 /nfs/dbraw/zinc/24/80/88/1066248088.db2.gz QWJXTTJGZMJYDY-LJQANCHMSA-N 0 3 315.844 4.394 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C)c(C)c2)s1)C[C@@H](C)OC ZINC001308777168 1066250539 /nfs/dbraw/zinc/25/05/39/1066250539.db2.gz CSWTZRSEIZBRAW-OAHLLOKOSA-N 0 3 318.486 4.284 20 0 DIADHN CSCC1CCC(NCc2c(Cl)ccnc2Cl)CC1 ZINC001308781990 1066256090 /nfs/dbraw/zinc/25/60/90/1066256090.db2.gz JYKFRJCEQNITOZ-UHFFFAOYSA-N 0 3 319.301 4.400 20 0 DIADHN Fc1ccc(COc2cccc(CN3CC(C4CC4)C3)c2)cc1 ZINC001308790111 1066266786 /nfs/dbraw/zinc/26/67/86/1066266786.db2.gz MVDFMGWGYOCMSS-UHFFFAOYSA-N 0 3 311.400 4.247 20 0 DIADHN COC(=O)c1[nH]c(CN(C(C)C)[C@@H](C)c2ccccc2)cc1C ZINC001308797096 1066272649 /nfs/dbraw/zinc/27/26/49/1066272649.db2.gz BPWMTKSEVQKCSZ-HNNXBMFYSA-N 0 3 314.429 4.081 20 0 DIADHN CO[C@H](CN[C@@H](c1c(Cl)cccc1Cl)C1CC1)C1CC1 ZINC001308802222 1066277985 /nfs/dbraw/zinc/27/79/85/1066277985.db2.gz QJWXFJDRJZWQDH-GDBMZVCRSA-N 0 3 314.256 4.459 20 0 DIADHN CCC1(c2ccccc2)CN(CCc2ccc(F)c(F)c2)C1 ZINC001308809302 1066286001 /nfs/dbraw/zinc/28/60/01/1066286001.db2.gz MZFOCKTYRPNYSH-UHFFFAOYSA-N 0 3 301.380 4.171 20 0 DIADHN COc1ccc(CN(C)[C@H](C)Cc2cccc(F)c2)c(F)c1 ZINC001308810883 1066287618 /nfs/dbraw/zinc/28/76/18/1066287618.db2.gz XPNVZDZUADJPIR-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN C[C@H]1CC(C)(C)CN1Cc1cccc(F)c1OCC(F)(F)F ZINC001308821210 1066295895 /nfs/dbraw/zinc/29/58/95/1066295895.db2.gz PTZTUIMNGZCULR-NSHDSACASA-N 0 3 319.342 4.387 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCC[C@@H]2C2CC2)cc(Cl)c1[O-] ZINC001308822173 1066296429 /nfs/dbraw/zinc/29/64/29/1066296429.db2.gz ZJVKCJWKVCBYRM-HUUCEWRRSA-N 0 3 323.864 4.360 20 0 DIADHN C[C@@H]1CN(Cc2cnn(Cc3ccccc3)c2Cl)[C@@H](C)[C@H]1C ZINC001308824357 1066298073 /nfs/dbraw/zinc/29/80/73/1066298073.db2.gz JWTMZALCPQDGQH-ILXRZTDVSA-N 0 3 317.864 4.061 20 0 DIADHN CCOc1cc(C[NH2+][C@@H](CC)[C@@H]2CC2(C)C)cc(Cl)c1[O-] ZINC001308822359 1066298178 /nfs/dbraw/zinc/29/81/78/1066298178.db2.gz BNUCSWPGNYUEKJ-JSGCOSHPSA-N 0 3 311.853 4.359 20 0 DIADHN CC(C)Cc1ccc(CN(C)C2CC(OC(C)(C)C)C2)cn1 ZINC001308822746 1066298438 /nfs/dbraw/zinc/29/84/38/1066298438.db2.gz HMQDXEFPBOHYOU-UHFFFAOYSA-N 0 3 304.478 4.058 20 0 DIADHN COc1cc(C)ccc1CN1CC(c2ccccc2)(C(C)C)C1 ZINC001308823962 1066299232 /nfs/dbraw/zinc/29/92/32/1066299232.db2.gz BLMININPIZIYBG-UHFFFAOYSA-N 0 3 309.453 4.413 20 0 DIADHN CCCn1cncc1CN1CCC[C@]1(C)Cc1ccccc1F ZINC001308832141 1066308211 /nfs/dbraw/zinc/30/82/11/1066308211.db2.gz OIALKYRJDNVHQK-LJQANCHMSA-N 0 3 315.436 4.029 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN(C)CCC(C)(C)C ZINC001308836070 1066312918 /nfs/dbraw/zinc/31/29/18/1066312918.db2.gz LKOZLFZCMKYFBU-UHFFFAOYSA-N 0 3 303.425 4.188 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CC2(Cl)Cl)CCc2ccc(Cl)cc21 ZINC001308847359 1066324123 /nfs/dbraw/zinc/32/41/23/1066324123.db2.gz IIUASMBTEAVLSR-PWSUYJOCSA-N 0 3 318.675 4.495 20 0 DIADHN Cc1ccc2cc(CN3C[C@@H](C)OC4(CCCC4)C3)ccc2n1 ZINC001308847949 1066325420 /nfs/dbraw/zinc/32/54/20/1066325420.db2.gz DYTCQGFOVIORQT-MRXNPFEDSA-N 0 3 310.441 4.077 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@@H](OC(C)C)C3)oc21 ZINC001308849229 1066327343 /nfs/dbraw/zinc/32/73/43/1066327343.db2.gz GEDMLIGUTMCMHG-QGZVFWFLSA-N 0 3 301.430 4.385 20 0 DIADHN CC(C)n1cncc1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001308850905 1066329776 /nfs/dbraw/zinc/32/97/76/1066329776.db2.gz IFAMTHKCIPTSCQ-QGZVFWFLSA-N 0 3 315.436 4.200 20 0 DIADHN COc1ccc(CN2CCC(C3CCCCC3)CC2)cc1OC ZINC001308852387 1066330136 /nfs/dbraw/zinc/33/01/36/1066330136.db2.gz RUJBHBIOWDHOFP-UHFFFAOYSA-N 0 3 317.473 4.496 20 0 DIADHN C[C@@H]1CCc2nc(CN3CCC[C@H](C4CCC4)C3)sc2C1 ZINC001308870836 1066350436 /nfs/dbraw/zinc/35/04/36/1066350436.db2.gz NWGTXIIWWNGRNU-HIFRSBDPSA-N 0 3 304.503 4.280 20 0 DIADHN C[C@H]1CCc2nc(CN3CCC[C@@H](C4CCC4)C3)sc2C1 ZINC001308870835 1066351109 /nfs/dbraw/zinc/35/11/09/1066351109.db2.gz NWGTXIIWWNGRNU-DZGCQCFKSA-N 0 3 304.503 4.280 20 0 DIADHN C[C@@H](N[C@@H](CO)c1cccc(Cl)c1Cl)[C@@H]1C[C@H]1C1CC1 ZINC001308871340 1066351440 /nfs/dbraw/zinc/35/14/40/1066351440.db2.gz BYFMLUAFDSLOAZ-IRIUXVKKSA-N 0 3 314.256 4.051 20 0 DIADHN CCn1cncc1CN1CCC[C@@]1(C)Cc1ccccc1Cl ZINC001308884860 1066365523 /nfs/dbraw/zinc/36/55/23/1066365523.db2.gz VDZXNPKNIGTTQE-SFHVURJKSA-N 0 3 317.864 4.154 20 0 DIADHN CSC1CCN(Cc2ccc(SC(F)F)cc2)CC1 ZINC001308897754 1066378628 /nfs/dbraw/zinc/37/86/28/1066378628.db2.gz ODZDEFFAWONBNI-UHFFFAOYSA-N 0 3 303.443 4.329 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC001308904740 1066383897 /nfs/dbraw/zinc/38/38/97/1066383897.db2.gz RKBICRBVSHBJKR-HUUCEWRRSA-N 0 3 315.438 4.257 20 0 DIADHN O[C@H](CCNCc1c(Cl)oc2ccccc21)C1CCCC1 ZINC001308908653 1066386139 /nfs/dbraw/zinc/38/61/39/1066386139.db2.gz HUQDWPFHSLXBOM-OAHLLOKOSA-N 0 3 307.821 4.117 20 0 DIADHN C[C@@H]1CCC[C@@H](C2CN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC001308911100 1066389474 /nfs/dbraw/zinc/38/94/74/1066389474.db2.gz DIMTXYAMCYYMQN-ZIAGYGMSSA-N 0 3 315.486 4.396 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@H](C3CCC3)CC2)cc1F ZINC001308912099 1066389854 /nfs/dbraw/zinc/38/98/54/1066389854.db2.gz SAVKJIFVRQPBSG-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC[C@@H](CF)C3)s2)cc1C ZINC001308917196 1066394425 /nfs/dbraw/zinc/39/44/25/1066394425.db2.gz HZVRRGKMBODFBW-AWEZNQCLSA-N 0 3 304.434 4.218 20 0 DIADHN CSc1ccc(CN2CCC[C@H](c3cc(C(C)C)n[nH]3)C2)o1 ZINC001308929360 1066404608 /nfs/dbraw/zinc/40/46/08/1066404608.db2.gz YHKGMMJYMQEWBK-ZDUSSCGKSA-N 0 3 319.474 4.228 20 0 DIADHN CSc1ccc(CN2CCC[C@H](c3cc(C(C)C)[nH]n3)C2)o1 ZINC001308929360 1066404614 /nfs/dbraw/zinc/40/46/14/1066404614.db2.gz YHKGMMJYMQEWBK-ZDUSSCGKSA-N 0 3 319.474 4.228 20 0 DIADHN CCO[C@H]1C[C@H](NCc2noc3ccccc23)C12CCCCC2 ZINC001308934742 1066407329 /nfs/dbraw/zinc/40/73/29/1066407329.db2.gz KRJCVOPVYMPFAI-ROUUACIJSA-N 0 3 314.429 4.045 20 0 DIADHN CCSc1ccccc1C[C@H](C)NCc1cc(C2CC2)no1 ZINC001308934649 1066407382 /nfs/dbraw/zinc/40/73/82/1066407382.db2.gz JZIYMEIKBCTMJM-ZDUSSCGKSA-N 0 3 316.470 4.385 20 0 DIADHN CCSc1ccccc1C[C@@H](C)NCc1cc(C2CC2)no1 ZINC001308934646 1066407520 /nfs/dbraw/zinc/40/75/20/1066407520.db2.gz JZIYMEIKBCTMJM-CYBMUJFWSA-N 0 3 316.470 4.385 20 0 DIADHN C[C@H](NC[C@@H]1CC2(CCC2)CO1)c1ccc(-c2ccccc2)cn1 ZINC001308944381 1066411217 /nfs/dbraw/zinc/41/12/17/1066411217.db2.gz RCEYOELUIWVREF-LPHOPBHVSA-N 0 3 322.452 4.358 20 0 DIADHN CCOc1cc(F)cc(CN(C)Cc2c(C)cccc2C)c1 ZINC001308959619 1066419777 /nfs/dbraw/zinc/41/97/77/1066419777.db2.gz WJIPTCANXFJUHE-UHFFFAOYSA-N 0 3 301.405 4.473 20 0 DIADHN CC(C)n1ccnc1CN1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001308958777 1066420003 /nfs/dbraw/zinc/42/00/03/1066420003.db2.gz PLFOYQZIZGOHMR-SFHVURJKSA-N 0 3 311.473 4.480 20 0 DIADHN Cc1cccnc1[C@H](C)N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC001308976745 1066429020 /nfs/dbraw/zinc/42/90/20/1066429020.db2.gz BZVHWHZCDWFNOB-RYQLBKOJSA-N 0 3 310.441 4.287 20 0 DIADHN Cc1cccnc1[C@H](C)N[C@@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC001308976744 1066429923 /nfs/dbraw/zinc/42/99/23/1066429923.db2.gz BZVHWHZCDWFNOB-JQHSSLGASA-N 0 3 310.441 4.287 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NCC[C@H](O)C(C)C)cs2)cs1 ZINC000165419950 334925881 /nfs/dbraw/zinc/92/58/81/334925881.db2.gz DISDHUNFXGHXLY-ABAIWWIYSA-N 0 3 324.515 4.238 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2C[C@H]3OCCC[C@H]23)o1 ZINC000190351536 334928601 /nfs/dbraw/zinc/92/86/01/334928601.db2.gz VETSINXEDCAYML-ZHALLVOQSA-N 0 3 315.388 4.051 20 0 DIADHN CC(C)(C)C[C@H](NCc1cccc(C(N)=O)c1)c1ccccc1 ZINC000079080271 185155105 /nfs/dbraw/zinc/15/51/05/185155105.db2.gz MSIZWSFXIFNTRA-SFHVURJKSA-N 0 3 310.441 4.053 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1ccncc1 ZINC000090035110 185279100 /nfs/dbraw/zinc/27/91/00/185279100.db2.gz WBBROUMGBIBISS-DCGLDWPTSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](C)c2c(C)noc2C)s1 ZINC000090727635 185311267 /nfs/dbraw/zinc/31/12/67/185311267.db2.gz GJASYOGDNSAOON-ONGXEEELSA-N 0 3 307.463 4.073 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H](C)c1ccc2ccccc2n1 ZINC000090728947 185311574 /nfs/dbraw/zinc/31/15/74/185311574.db2.gz NPCHYMSSQXCYPH-QWHCGFSZSA-N 0 3 309.413 4.294 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000090728946 185311730 /nfs/dbraw/zinc/31/17/30/185311730.db2.gz NPCHYMSSQXCYPH-OLZOCXBDSA-N 0 3 309.413 4.294 20 0 DIADHN CCC[C@H](NCc1cn(C)nc1C(F)(F)F)c1ccccc1 ZINC000090666079 185308644 /nfs/dbraw/zinc/30/86/44/185308644.db2.gz IUJRYOGHCYZREI-AWEZNQCLSA-N 0 3 311.351 4.070 20 0 DIADHN COc1ccc2oc(CN3CCC(OC(C)(C)C)CC3)cc2c1 ZINC000092454425 185319787 /nfs/dbraw/zinc/31/97/87/185319787.db2.gz PNYLCSMHPLSCJN-UHFFFAOYSA-N 0 3 317.429 4.221 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1ccccc1Br ZINC000148853938 334938264 /nfs/dbraw/zinc/93/82/64/334938264.db2.gz BJOUSBXMARXFMZ-BYCMXARLSA-N 0 3 312.251 4.057 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NCCc1csc(C)n1 ZINC000425514357 334954890 /nfs/dbraw/zinc/95/48/90/334954890.db2.gz QEBSTNHFZUNFLH-UHFFFAOYSA-N 0 3 324.877 4.181 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H](C)C(C)(C)C)co2)cc1 ZINC000072183670 191072761 /nfs/dbraw/zinc/07/27/61/191072761.db2.gz PHWZCHHDHMKZQV-CYBMUJFWSA-N 0 3 302.418 4.265 20 0 DIADHN CCC[C@@H](CC)N[C@@H](C(=O)NCc1ccccc1)c1ccccc1 ZINC000520125127 334975002 /nfs/dbraw/zinc/97/50/02/334975002.db2.gz FKTBGLINQOMKGO-WOJBJXKFSA-N 0 3 324.468 4.212 20 0 DIADHN Fc1cccc(Br)c1CNCCCC(F)(F)F ZINC000394930091 334979871 /nfs/dbraw/zinc/97/98/71/334979871.db2.gz BZXPNQLHISVGEX-UHFFFAOYSA-N 0 3 314.120 4.020 20 0 DIADHN CC(C)(O)C(C)(C)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000272603233 535273528 /nfs/dbraw/zinc/27/35/28/535273528.db2.gz PHOQUFKSLOBFJL-UHFFFAOYSA-N 0 3 324.877 4.103 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H](C)c3nccs3)oc21 ZINC000186743195 200001881 /nfs/dbraw/zinc/00/18/81/200001881.db2.gz FKAZSTSKSSGDRD-RYUDHWBXSA-N 0 3 316.426 4.352 20 0 DIADHN Cc1ccc2cc(CNc3cccc(CN(C)C)c3)ccc2n1 ZINC000187638356 200138335 /nfs/dbraw/zinc/13/83/35/200138335.db2.gz MZHVMVVCJMKXOG-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(C[S@](C)=O)cc2)c(C)s1 ZINC000353794109 334989273 /nfs/dbraw/zinc/98/92/73/334989273.db2.gz KVUWMJDYYLRDRO-ZSEKCTLFSA-N 0 3 321.511 4.094 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCc2csc(C(C)(C)C)n2)cn1 ZINC000090949022 192962341 /nfs/dbraw/zinc/96/23/41/192962341.db2.gz YZZAGDVNGAHPFD-CYBMUJFWSA-N 0 3 320.506 4.111 20 0 DIADHN c1cn(-c2ccccc2CN[C@H](c2ccccc2)C2CC2)cn1 ZINC000091306982 193037431 /nfs/dbraw/zinc/03/74/31/193037431.db2.gz KDLWFKPPAVUGOX-HXUWFJFHSA-N 0 3 303.409 4.113 20 0 DIADHN CC[C@@H](N[C@H](C)Cc1c(C)noc1C)c1cc(C)ccc1OC ZINC000091726832 193097838 /nfs/dbraw/zinc/09/78/38/193097838.db2.gz WIFWVKQBKIKHMC-FZKQIMNGSA-N 0 3 316.445 4.280 20 0 DIADHN COc1ccccc1C[C@@H](N[C@H](C)c1nccs1)C(C)C ZINC000091732851 193099395 /nfs/dbraw/zinc/09/93/95/193099395.db2.gz QURJPOKXFBXRPR-UKRRQHHQSA-N 0 3 304.459 4.070 20 0 DIADHN FC(F)[C@H]1C[C@@H]1NCc1cccc(OCc2ccccc2)c1 ZINC000563766874 335002692 /nfs/dbraw/zinc/00/26/92/335002692.db2.gz RQVQHETUUCSCMY-IRXDYDNUSA-N 0 3 303.352 4.009 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(C)(c2ccccc2)CC1 ZINC000093133779 193205407 /nfs/dbraw/zinc/20/54/07/193205407.db2.gz XRPZNSHFOAFHIY-UHFFFAOYSA-N 0 3 322.452 4.199 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](C)c3cc(C)cc(C)c3)c(C)n2n1 ZINC000093406157 193246215 /nfs/dbraw/zinc/24/62/15/193246215.db2.gz WNQXXGZJUGJOMZ-CVEARBPZSA-N 0 3 322.456 4.375 20 0 DIADHN C[C@H](NCCc1cccs1)c1ccccc1Br ZINC000019948923 182169584 /nfs/dbraw/zinc/16/95/84/182169584.db2.gz WIJGVMHXQGMAJM-NSHDSACASA-N 0 3 310.260 4.404 20 0 DIADHN C[C@H](NC[C@H]1CCCO1)c1cc2cc(Br)ccc2o1 ZINC000019963785 182170977 /nfs/dbraw/zinc/17/09/77/182170977.db2.gz RBLWLXLMESOGSX-GXFFZTMASA-N 0 3 324.218 4.025 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc(Cl)cc2)c1 ZINC000019989034 182174449 /nfs/dbraw/zinc/17/44/49/182174449.db2.gz ZMGSYIBZNABCGV-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(Br)c1)c1ccncc1 ZINC000020121181 182188854 /nfs/dbraw/zinc/18/88/54/182188854.db2.gz QQQUIGUIHFERHK-RYUDHWBXSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(Br)cc1)c1ccncc1 ZINC000020121178 182189118 /nfs/dbraw/zinc/18/91/18/182189118.db2.gz JXHNWRLZCNSNNH-VXGBXAGGSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Br)c1)c1cccnc1 ZINC000020127546 182190096 /nfs/dbraw/zinc/19/00/96/182190096.db2.gz SNRWAKVGTWGFRB-NWDGAFQWSA-N 0 3 305.219 4.256 20 0 DIADHN CC(C)C[C@@H](CNCc1cccc(Cl)c1Cl)N(C)C ZINC000020564946 182233584 /nfs/dbraw/zinc/23/35/84/182233584.db2.gz NAKCPFVVLAETON-ZDUSSCGKSA-N 0 3 303.277 4.059 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](C)c2ccc(C)s2)c1 ZINC000021014627 182260794 /nfs/dbraw/zinc/26/07/94/182260794.db2.gz JPISXBFRKWPFCY-QWHCGFSZSA-N 0 3 302.443 4.427 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(Cl)cc2)ccc1O ZINC000021819322 182293647 /nfs/dbraw/zinc/29/36/47/182293647.db2.gz URNULMIKIRCDBE-LBPRGKRZSA-N 0 3 305.805 4.295 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cccc(F)c2F)c1 ZINC000320472379 335032771 /nfs/dbraw/zinc/03/27/71/335032771.db2.gz MQGIVOJDVWKIHH-UHFFFAOYSA-N 0 3 318.367 4.059 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H](C)c2ccc(C)s2)c1 ZINC000037070977 182865361 /nfs/dbraw/zinc/86/53/61/182865361.db2.gz DGRILTJWBGTVCX-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN Clc1cccc(CNCc2cccc(Br)c2)c1 ZINC000042209227 183242936 /nfs/dbraw/zinc/24/29/36/183242936.db2.gz DMIJAIMNSNNCHJ-UHFFFAOYSA-N 0 3 310.622 4.392 20 0 DIADHN CSc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)s1 ZINC000563839571 335040317 /nfs/dbraw/zinc/04/03/17/335040317.db2.gz CIBWNGSHSMZAIL-CQSZACIVSA-N 0 3 309.504 4.081 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cc1ccccc1C(=O)N(C)C ZINC000417680382 335044259 /nfs/dbraw/zinc/04/42/59/335044259.db2.gz HGIWACAAUONTLZ-KRWDZBQOSA-N 0 3 324.468 4.189 20 0 DIADHN CCCOc1cc(CNCc2cc3ccccc3[nH]2)ccc1OC ZINC000058474115 184120178 /nfs/dbraw/zinc/12/01/78/184120178.db2.gz IAIOTYXICBVDCL-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000060876565 184157625 /nfs/dbraw/zinc/15/76/25/184157625.db2.gz PISOPRIQDUDSIB-KGLIPLIRSA-N 0 3 324.490 4.140 20 0 DIADHN CN(CCOc1ccccc1)Cc1ccccc1C(F)(F)F ZINC000061759040 184186217 /nfs/dbraw/zinc/18/62/17/184186217.db2.gz IIUPMNHUMIQCNA-UHFFFAOYSA-N 0 3 309.331 4.216 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCc1nccs1 ZINC000063133907 184272612 /nfs/dbraw/zinc/27/26/12/184272612.db2.gz VKWUITFRXAWXBE-SNVBAGLBSA-N 0 3 309.504 4.099 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425544910 335046477 /nfs/dbraw/zinc/04/64/77/335046477.db2.gz CQZAZTSDBNHLKY-UGSOOPFHSA-N 0 3 321.411 4.307 20 0 DIADHN CN(C)Cc1cccc(N[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000069378693 184511205 /nfs/dbraw/zinc/51/12/05/184511205.db2.gz NSUINCRFSUWKRV-UKRRQHHQSA-N 0 3 300.368 4.281 20 0 DIADHN C[C@H]1CCN(Cc2ccc(-c3ccncc3)cc2F)CCS1 ZINC000190694322 335048107 /nfs/dbraw/zinc/04/81/07/335048107.db2.gz BFOHPOKKMFCQOP-AWEZNQCLSA-N 0 3 316.445 4.215 20 0 DIADHN CC[C@@H](NCc1cccc2cccnc21)c1ccc2c(c1)CCO2 ZINC000120503859 195179813 /nfs/dbraw/zinc/17/98/13/195179813.db2.gz GUDKGVIHNBILJC-LJQANCHMSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000152243512 196794449 /nfs/dbraw/zinc/79/44/49/196794449.db2.gz DPCKZGBCBOMWIS-LRDDRELGSA-N 0 3 324.424 4.238 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000271514132 129927011 /nfs/dbraw/zinc/92/70/11/129927011.db2.gz NMGXALCOFMCZIE-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1sc(C)nc1C ZINC000271597590 129939590 /nfs/dbraw/zinc/93/95/90/129939590.db2.gz ZBAJTUVLOWFUTI-UVWXRNBGSA-N 0 3 322.449 4.326 20 0 DIADHN c1c[nH]c(C2CCN(Cc3ccc(Oc4ccccc4)o3)CC2)n1 ZINC000272588783 130043539 /nfs/dbraw/zinc/04/35/39/130043539.db2.gz RQAXKAMWKGQGFA-UHFFFAOYSA-N 0 3 323.396 4.175 20 0 DIADHN CC(C)OCCN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000272863929 130069790 /nfs/dbraw/zinc/06/97/90/130069790.db2.gz QYTSKPXUJKDKEE-SFHVURJKSA-N 0 3 318.848 4.033 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2nc(-c3ccccc3)cs2)CCO1 ZINC000273007773 130081378 /nfs/dbraw/zinc/08/13/78/130081378.db2.gz BKCVRUIYCNCBIP-CABCVRRESA-N 0 3 316.470 4.105 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ccc(CSC(F)F)o2)CCO1 ZINC000273065601 130087337 /nfs/dbraw/zinc/08/73/37/130087337.db2.gz NIYQMQMQTMYAAP-VXGBXAGGSA-N 0 3 319.417 4.030 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3cccnc32)cc1C ZINC000273111000 130090523 /nfs/dbraw/zinc/09/05/23/130090523.db2.gz WFLQYDURGHMFGM-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2-n2cccn2)cc1C ZINC000273125522 130091599 /nfs/dbraw/zinc/09/15/99/130091599.db2.gz YWRNXLUZFOKCBF-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc(CN(C)[C@H]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000273353411 130110195 /nfs/dbraw/zinc/11/01/95/130110195.db2.gz BNMOGJVKJPXUDR-WMZOPIPTSA-N 0 3 303.377 4.079 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)NCc1ncc(C2CC2)o1 ZINC000273443449 130122090 /nfs/dbraw/zinc/12/20/90/130122090.db2.gz WVWYBXZPXKNEHE-MRXNPFEDSA-N 0 3 302.393 4.048 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1cccnc1 ZINC000273485588 130127854 /nfs/dbraw/zinc/12/78/54/130127854.db2.gz NHDCVKPQQUNMAR-VELBRHGASA-N 0 3 316.470 4.011 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1 ZINC000273489217 130127889 /nfs/dbraw/zinc/12/78/89/130127889.db2.gz MXUUNZYEPCEIQG-IEBWSBKVSA-N 0 3 317.379 4.283 20 0 DIADHN CC(C)COC[C@H](NCCCCC(F)(F)F)c1ccco1 ZINC000273543420 130135166 /nfs/dbraw/zinc/13/51/66/130135166.db2.gz WCDQGWYYAPNYNT-ZDUSSCGKSA-N 0 3 307.356 4.316 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000273586849 130141142 /nfs/dbraw/zinc/14/11/42/130141142.db2.gz NZDWOKDKWQIRPJ-PIGZYNQJSA-N 0 3 303.837 4.110 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(C(F)F)cc1 ZINC000274185324 130214211 /nfs/dbraw/zinc/21/42/11/130214211.db2.gz FBPYXNBNOOTSEN-MRXNPFEDSA-N 0 3 303.352 4.410 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](c2ccccc2)C1)c1cccnc1Cl ZINC000274275743 130224146 /nfs/dbraw/zinc/22/41/46/130224146.db2.gz IGKVKHVPBWTJDS-QRTARXTBSA-N 0 3 316.832 4.306 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCO[C@H](c3ccccc3)C2)cs1 ZINC000274309322 130225729 /nfs/dbraw/zinc/22/57/29/130225729.db2.gz TWLZKHLGGXQRAE-NUTKFTJISA-N 0 3 302.443 4.022 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000274501054 130241246 /nfs/dbraw/zinc/24/12/46/130241246.db2.gz RUIVLGKVBSFFBI-ZIAGYGMSSA-N 0 3 319.399 4.044 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1cccnc1Cl ZINC000274571896 130245046 /nfs/dbraw/zinc/24/50/46/130245046.db2.gz IXGRRAQCLZLAFM-ZQIUZPCESA-N 0 3 317.864 4.077 20 0 DIADHN C[C@@H](NCCc1csc(C(C)(C)C)n1)c1ccc(Cl)cn1 ZINC000274754506 130259824 /nfs/dbraw/zinc/25/98/24/130259824.db2.gz IJICZGJRJGTSCW-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@@H](c1ccccc1)C(F)(F)F ZINC000275007854 130283302 /nfs/dbraw/zinc/28/33/02/130283302.db2.gz PIRQVHLONOFACL-BBRMVZONSA-N 0 3 308.347 4.387 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)C(F)(F)F)c1cncs1 ZINC000275028124 130285126 /nfs/dbraw/zinc/28/51/26/130285126.db2.gz VMKKLOIRESBDLN-CMPLNLGQSA-N 0 3 300.349 4.140 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1cncs1 ZINC000275028129 130285451 /nfs/dbraw/zinc/28/54/51/130285451.db2.gz VMKKLOIRESBDLN-PWSUYJOCSA-N 0 3 300.349 4.140 20 0 DIADHN CCCC[C@@H](NCc1cncc(F)c1)c1ccc(OC)cc1 ZINC000275252215 130299297 /nfs/dbraw/zinc/29/92/97/130299297.db2.gz YUHGTIFWBKMBJM-GOSISDBHSA-N 0 3 302.393 4.250 20 0 DIADHN C[C@@H](NC[C@@H]1CCc2nccn2C1)c1cc(Cl)ccc1Cl ZINC000275553029 130336447 /nfs/dbraw/zinc/33/64/47/130336447.db2.gz NLQPYXUGZHLXTN-NEPJUHHUSA-N 0 3 324.255 4.103 20 0 DIADHN c1ccc(-c2nnc(CN[C@H]3CCC[C@H]3c3ccccc3)o2)cc1 ZINC000275588671 130342795 /nfs/dbraw/zinc/34/27/95/130342795.db2.gz IVPWLOSWKQVUQG-ROUUACIJSA-N 0 3 319.408 4.163 20 0 DIADHN COc1cccc(C2(NCc3ccc4nc(C)ccc4c3)CC2)c1 ZINC000275621303 130350629 /nfs/dbraw/zinc/35/06/29/130350629.db2.gz ONPBKUNVAPQFSX-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2cnn(C(C)C)c2C)c1 ZINC000276308634 130474976 /nfs/dbraw/zinc/47/49/76/130474976.db2.gz FBKOXBQWLPJLBH-KGLIPLIRSA-N 0 3 301.434 4.193 20 0 DIADHN Cc1cc(CNC2CC(F)(F)C2)ccc1Oc1cccnc1 ZINC000277785465 130639491 /nfs/dbraw/zinc/63/94/91/130639491.db2.gz ZMVWHZGCKDSITF-UHFFFAOYSA-N 0 3 304.340 4.070 20 0 DIADHN Cc1cccc(CN(Cc2ccccn2)C[C@@H]2CCSC2)c1 ZINC000278491801 130696677 /nfs/dbraw/zinc/69/66/77/130696677.db2.gz UEGJPMGLUHXQBW-SFHVURJKSA-N 0 3 312.482 4.145 20 0 DIADHN FC(F)C1CCC(NCc2nc(C(F)(F)F)cs2)CC1 ZINC000282281184 130911394 /nfs/dbraw/zinc/91/13/94/130911394.db2.gz LATQWVADGMDAEE-UHFFFAOYSA-N 0 3 314.323 4.075 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccncc1F)c1ccsc1 ZINC000340178368 131000714 /nfs/dbraw/zinc/00/07/14/131000714.db2.gz ZQGDQTGZNVUODS-SUMWQHHRSA-N 0 3 321.465 4.016 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000283952916 131036207 /nfs/dbraw/zinc/03/62/07/131036207.db2.gz FWRCHZRFYGKXEE-SESVDKBCSA-N 0 3 323.436 4.280 20 0 DIADHN Cc1ccsc1-c1nnc(SCC[C@H]2CCCCN2C)o1 ZINC000284248731 131063444 /nfs/dbraw/zinc/06/34/44/131063444.db2.gz OFYGUBCUQMSQKW-GFCCVEGCSA-N 0 3 323.487 4.073 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](C)Cn2ccnc2)cc1Cl ZINC000284393784 131076405 /nfs/dbraw/zinc/07/64/05/131076405.db2.gz PIECBOZDFJRTOH-KBPBESRZSA-N 0 3 321.852 4.063 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2cccc(CO)c2)cc1 ZINC000284523005 131087915 /nfs/dbraw/zinc/08/79/15/131087915.db2.gz WUEYFXHFXHNQIS-MRXNPFEDSA-N 0 3 313.441 4.209 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2cccc(F)c2)cc1 ZINC000284953063 131125291 /nfs/dbraw/zinc/12/52/91/131125291.db2.gz BYXNYNCLOFKJSB-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cn[nH]c1 ZINC000284966560 131127085 /nfs/dbraw/zinc/12/70/85/131127085.db2.gz FPWVYSZXGYECJN-WBIUFABUSA-N 0 3 309.335 4.025 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](C)c2cccc(C3CC3)c2)c1 ZINC000340195721 131131217 /nfs/dbraw/zinc/13/12/17/131131217.db2.gz BBYNPCVRWUCUSK-HNNXBMFYSA-N 0 3 322.452 4.165 20 0 DIADHN C[C@@H](N[C@@H](CCc1ccccc1)c1ccccc1)c1cn[nH]c1 ZINC000285073319 131136253 /nfs/dbraw/zinc/13/62/53/131136253.db2.gz JIGBDHQKMZZDDY-UZLBHIALSA-N 0 3 305.425 4.434 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(C(F)F)cc1)CCC2 ZINC000285239788 131150141 /nfs/dbraw/zinc/15/01/41/131150141.db2.gz POIPRJPLDRPCQZ-QGZVFWFLSA-N 0 3 303.352 4.497 20 0 DIADHN Cc1ccc(NC(=O)c2sccc2Cl)c(CN(C)C)c1 ZINC000285457836 131170770 /nfs/dbraw/zinc/17/07/70/131170770.db2.gz JPSLUFFFQGZWRR-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc2c(c1)CCC(=O)N2 ZINC000285512835 131175905 /nfs/dbraw/zinc/17/59/05/131175905.db2.gz RAWGLDJAZZYBLT-CYBMUJFWSA-N 0 3 320.392 4.168 20 0 DIADHN COCCC[C@@H](C)N[C@@H](C)c1ccc(Br)cc1F ZINC000285511268 131175935 /nfs/dbraw/zinc/17/59/35/131175935.db2.gz BEBOZSFELXZBMI-MNOVXSKESA-N 0 3 318.230 4.054 20 0 DIADHN Cc1ccnc([C@@H](C)NCCCCOCCc2ccccc2)c1 ZINC000285511040 131176044 /nfs/dbraw/zinc/17/60/44/131176044.db2.gz DHXLNUNXCOMHTL-GOSISDBHSA-N 0 3 312.457 4.080 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCCc4nc(C)sc43)ccc2[nH]1 ZINC000285731514 131197054 /nfs/dbraw/zinc/19/70/54/131197054.db2.gz QUHMWJKREAEGOD-INIZCTEOSA-N 0 3 311.454 4.408 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3occc32)c(C)c1OC ZINC000285730659 131197381 /nfs/dbraw/zinc/19/73/81/131197381.db2.gz QRBXMSNGZUMAHH-CJNGLKHVSA-N 0 3 315.413 4.333 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)c1 ZINC000285928551 131209760 /nfs/dbraw/zinc/20/97/60/131209760.db2.gz CVFSLIOCJISPFH-FNHZYXHNSA-N 0 3 314.404 4.100 20 0 DIADHN Cc1ccnc([C@H](C)NCCCOc2cccc3cccnc32)c1 ZINC000286055460 131217239 /nfs/dbraw/zinc/21/72/39/131217239.db2.gz LFMNQMPOBWPZNX-INIZCTEOSA-N 0 3 321.424 4.058 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCC(=O)N3)c1C ZINC000286043938 131217517 /nfs/dbraw/zinc/21/75/17/131217517.db2.gz KSEIHJMRYPXTAP-CABCVRRESA-N 0 3 324.424 4.046 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CC[C@@H](O)c2ccccc2)s1 ZINC000286196426 131223638 /nfs/dbraw/zinc/22/36/38/131223638.db2.gz AKAFUIXEILQJIS-GOEBONIOSA-N 0 3 318.486 4.130 20 0 DIADHN Cc1cc([C@H](C)NC2CC(F)(F)C2)sc1Br ZINC000286237102 131225840 /nfs/dbraw/zinc/22/58/40/131225840.db2.gz ZJNTTYAZFBTAPJ-ZETCQYMHSA-N 0 3 310.207 4.267 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC000286354854 131232506 /nfs/dbraw/zinc/23/25/06/131232506.db2.gz ZKXQGQGSNWACJP-XCRHUMRWSA-N 0 3 316.832 4.224 20 0 DIADHN C[C@@H](NC1CCC(C(F)F)CC1)c1nccn1-c1ccccc1 ZINC000286986313 131259097 /nfs/dbraw/zinc/25/90/97/131259097.db2.gz JHFDUDYVHICZSQ-WLYUNCDWSA-N 0 3 319.399 4.347 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C2CCC(F)(F)CC2)c(C)c1 ZINC000287062483 131262576 /nfs/dbraw/zinc/26/25/76/131262576.db2.gz VBZIYONXJRCVEI-UHFFFAOYSA-N 0 3 324.415 4.060 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000287164185 131266758 /nfs/dbraw/zinc/26/67/58/131266758.db2.gz UDABBZKGJUOZBX-BBRMVZONSA-N 0 3 306.450 4.149 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C(=O)OC)cs1)c1ccccc1 ZINC000353501486 131281060 /nfs/dbraw/zinc/28/10/60/131281060.db2.gz ITBCGHLOOWVCJA-WFASDCNBSA-N 0 3 303.427 4.337 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(F)c2ccccc21 ZINC000287451175 131281066 /nfs/dbraw/zinc/28/10/66/131281066.db2.gz VCJWZBIOWQVPME-YJYMSZOUSA-N 0 3 323.415 4.441 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2ccc(C)s2)cc1 ZINC000287570080 131288166 /nfs/dbraw/zinc/28/81/66/131288166.db2.gz QOKFEQXLUNGOAU-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN Cc1cccc(CCCN[C@H](C)c2csc(C(C)C)n2)n1 ZINC000287709427 131296915 /nfs/dbraw/zinc/29/69/15/131296915.db2.gz XMNVKBMGBKJVBE-CQSZACIVSA-N 0 3 303.475 4.253 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@@H](N[C@H](C)c2ncc(C)s2)C1 ZINC000340262062 131301400 /nfs/dbraw/zinc/30/14/00/131301400.db2.gz VNZIRGUAJPIERM-QLFBSQMISA-N 0 3 324.490 4.004 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCC[C@H](C(F)(F)F)C2)c(F)cn1 ZINC000287832378 131301995 /nfs/dbraw/zinc/30/19/95/131301995.db2.gz VSNNDSURQKACCS-GARJFASQSA-N 0 3 320.330 4.001 20 0 DIADHN CCCOc1cccc(CN2CCC[C@H]2c2c(C)n[nH]c2C)c1 ZINC000340283174 131324264 /nfs/dbraw/zinc/32/42/64/131324264.db2.gz XUZGUASUDFVNHD-SFHVURJKSA-N 0 3 313.445 4.152 20 0 DIADHN CCCOc1cccc(CN2CCC(OCC(C)C)CC2)c1 ZINC000340289435 131331616 /nfs/dbraw/zinc/33/16/16/131331616.db2.gz PUCSWLXSIYEXIB-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cc1 ZINC000289132677 131360330 /nfs/dbraw/zinc/36/03/30/131360330.db2.gz FBYNIZAKFQFMFN-VBKZILBWSA-N 0 3 320.436 4.388 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cc1 ZINC000289132682 131360334 /nfs/dbraw/zinc/36/03/34/131360334.db2.gz FBYNIZAKFQFMFN-XOBRGWDASA-N 0 3 320.436 4.388 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000289142709 131361144 /nfs/dbraw/zinc/36/11/44/131361144.db2.gz FRTAZTWPPQBUPJ-DNVCBOLYSA-N 0 3 307.441 4.020 20 0 DIADHN CC(C)C[C@@H](NCc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000289271918 131370123 /nfs/dbraw/zinc/37/01/23/131370123.db2.gz NQHJDUFNNCQKKH-CZUORRHYSA-N 0 3 304.459 4.073 20 0 DIADHN Cc1ccc(F)cc1CN[C@H](c1cccs1)C(C)(C)CO ZINC000289408471 131383454 /nfs/dbraw/zinc/38/34/54/131383454.db2.gz SRKAATXRLQWKTO-MRXNPFEDSA-N 0 3 307.434 4.045 20 0 DIADHN FC(F)(F)CCCCN1CCC(F)(c2ccccn2)CC1 ZINC000289423788 131385144 /nfs/dbraw/zinc/38/51/44/131385144.db2.gz UWRXNSXRXWFIEN-UHFFFAOYSA-N 0 3 304.331 4.075 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H](C)c2ccc(OC)c(O)c2)c1 ZINC000289577260 131397218 /nfs/dbraw/zinc/39/72/18/131397218.db2.gz DPPVUXBSWYCRHA-KBPBESRZSA-N 0 3 315.413 4.211 20 0 DIADHN CN(Cc1ccc(Cl)c(Cl)c1)CC1CCC(=O)CC1 ZINC000289582675 131398412 /nfs/dbraw/zinc/39/84/12/131398412.db2.gz HMTOVRDTZGQORZ-UHFFFAOYSA-N 0 3 300.229 4.185 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)[C@@H](C)OC)ccc1SC(F)F ZINC000289591896 131399219 /nfs/dbraw/zinc/39/92/19/131399219.db2.gz BBPBTDVXYQIFIG-OUAUKWLOSA-N 0 3 319.417 4.084 20 0 DIADHN Cc1nnc(CCN[C@H](c2ccccc2)c2ccc(C)cc2)s1 ZINC000289589875 131399279 /nfs/dbraw/zinc/39/92/79/131399279.db2.gz BZFCRIGJXPXSQY-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN C[C@H](NCc1c[nH]c2nccnc12)c1ccc(C(C)(C)C)cc1 ZINC000290933477 131528861 /nfs/dbraw/zinc/52/88/61/131528861.db2.gz SIAGDTJQKLREMM-ZDUSSCGKSA-N 0 3 308.429 4.106 20 0 DIADHN CNC(=O)C[C@H](N[C@H](C)c1cccc(C2CC2)c1)c1ccccc1 ZINC000340378490 131566857 /nfs/dbraw/zinc/56/68/57/131566857.db2.gz ZGVLREFGFUHJQZ-QRWLVFNGSA-N 0 3 322.452 4.092 20 0 DIADHN CCCN(C(=O)CC1CCN(C)CC1)[C@@H](CC)c1ccccc1 ZINC000291562430 131582362 /nfs/dbraw/zinc/58/23/62/131582362.db2.gz RQBDJFKHNQQKOT-IBGZPJMESA-N 0 3 316.489 4.108 20 0 DIADHN CC(C)c1nc(C2CCN(c3ccnc4ccccc43)CC2)no1 ZINC000291973876 131612993 /nfs/dbraw/zinc/61/29/93/131612993.db2.gz PFZDKRMFQUUAOS-UHFFFAOYSA-N 0 3 322.412 4.125 20 0 DIADHN Cn1nccc1CN[C@@H](CCCc1ccccc1)c1ccccc1 ZINC000340465503 131833735 /nfs/dbraw/zinc/83/37/35/131833735.db2.gz NKASQMZMTCYFDY-NRFANRHFSA-N 0 3 319.452 4.274 20 0 DIADHN CCOC1(CN[C@@H]2CCCOc3cc(C)ccc32)CCCC1 ZINC000340466214 131834468 /nfs/dbraw/zinc/83/44/68/131834468.db2.gz QIGCZSINNNCOEE-QGZVFWFLSA-N 0 3 303.446 4.148 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccsc1 ZINC000297151383 132002113 /nfs/dbraw/zinc/00/21/13/132002113.db2.gz AWXWGCQWOHDVEQ-LRDDRELGSA-N 0 3 314.454 4.069 20 0 DIADHN COc1ccc([C@H](Cc2ccccc2)N[C@H](C)c2cn[nH]c2)cc1 ZINC000297192183 132003869 /nfs/dbraw/zinc/00/38/69/132003869.db2.gz FNJLBVWLKSLOSI-QRWLVFNGSA-N 0 3 321.424 4.053 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H]1CCCc2c(F)cc(F)cc21 ZINC000297275407 132007324 /nfs/dbraw/zinc/00/73/24/132007324.db2.gz KYDVFSCVESKSSD-KPZWWZAWSA-N 0 3 302.368 4.396 20 0 DIADHN CC[C@H](NCc1ccc(OCCCOC)cc1)c1ccsc1 ZINC000297386636 132013259 /nfs/dbraw/zinc/01/32/59/132013259.db2.gz RMBSWCBJQLGYLU-SFHVURJKSA-N 0 3 319.470 4.404 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2csc(C)c2)c1 ZINC000297461120 132016556 /nfs/dbraw/zinc/01/65/56/132016556.db2.gz XUCXLOBOHAVTCL-GFCCVEGCSA-N 0 3 304.415 4.086 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccn1)c1nc2c(s1)CCCC2 ZINC000297456520 132016571 /nfs/dbraw/zinc/01/65/71/132016571.db2.gz XKXMDXZWTYNQJV-CYBMUJFWSA-N 0 3 315.486 4.045 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2cccc(Cl)c2)c2nccn21 ZINC000297657122 132027544 /nfs/dbraw/zinc/02/75/44/132027544.db2.gz KLXVSVVZZPOHPR-DOMZBBRYSA-N 0 3 303.837 4.110 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3nc(Cl)ccc32)cc1 ZINC000297734019 132031646 /nfs/dbraw/zinc/03/16/46/132031646.db2.gz NQLCXNLEPRYBOC-MLGOLLRUSA-N 0 3 316.832 4.472 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2csc(C)c2)ccc1OC ZINC000297861541 132037731 /nfs/dbraw/zinc/03/77/31/132037731.db2.gz UCGSITGTAIAGOG-ZDUSSCGKSA-N 0 3 305.443 4.315 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(c2ccncn2)CC1 ZINC000298122704 132047489 /nfs/dbraw/zinc/04/74/89/132047489.db2.gz KHUZCLGHKJLXGD-ZDUSSCGKSA-N 0 3 301.821 4.071 20 0 DIADHN CCOC1(C)CCN(Cc2cc(-c3ccc(C)cc3)no2)CC1 ZINC000298191434 132049520 /nfs/dbraw/zinc/04/95/20/132049520.db2.gz BJTQJJGBUIDGQY-UHFFFAOYSA-N 0 3 314.429 4.041 20 0 DIADHN CC(C)c1ccc(CNCc2ccccc2Cn2ccnc2)cc1 ZINC000298410819 132061326 /nfs/dbraw/zinc/06/13/26/132061326.db2.gz MMTTXAANNPBKJS-UHFFFAOYSA-N 0 3 319.452 4.345 20 0 DIADHN Nc1cc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)ccn1 ZINC000298885584 132081850 /nfs/dbraw/zinc/08/18/50/132081850.db2.gz KROWBWLZUBIDAA-SFHVURJKSA-N 0 3 315.848 4.338 20 0 DIADHN Cc1sc([C@@H](C)NCCCCO)nc1-c1ccc(Cl)cc1 ZINC000299243773 132095214 /nfs/dbraw/zinc/09/52/14/132095214.db2.gz JGQMGSHGMOPDRP-LLVKDONJSA-N 0 3 324.877 4.195 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000299369857 132101510 /nfs/dbraw/zinc/10/15/10/132101510.db2.gz FIHQZWGSUXHIDW-WMZOPIPTSA-N 0 3 310.441 4.371 20 0 DIADHN Cc1cc(-c2nnc(CN(CC(C)C)C3CCCC3)o2)c(C)o1 ZINC000340671856 132140628 /nfs/dbraw/zinc/14/06/28/132140628.db2.gz BGIRJABHZKAVDI-UHFFFAOYSA-N 0 3 317.433 4.347 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](C)c3ccccc3C)c(C)n2n1 ZINC000120370713 132142108 /nfs/dbraw/zinc/14/21/08/132142108.db2.gz OSACEGQUVIPEBU-CABCVRRESA-N 0 3 308.429 4.066 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cccc(F)c1 ZINC000120629297 132149274 /nfs/dbraw/zinc/14/92/74/132149274.db2.gz RDCMHJBMFFXBTR-STQMWFEESA-N 0 3 301.361 4.009 20 0 DIADHN C[C@@H](NC1CCN(c2ccccn2)CC1)c1ccccc1Cl ZINC000121358288 132153904 /nfs/dbraw/zinc/15/39/04/132153904.db2.gz SCBQATABWXRJET-CQSZACIVSA-N 0 3 315.848 4.055 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(Cl)c(F)c1 ZINC000043389797 132155066 /nfs/dbraw/zinc/15/50/66/132155066.db2.gz FYTNVNBDHPXUMM-UHFFFAOYSA-N 0 3 307.796 4.305 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(F)c2)c1 ZINC000122186829 132162288 /nfs/dbraw/zinc/16/22/88/132162288.db2.gz KWIBOPMYEPJFSC-OLZOCXBDSA-N 0 3 303.377 4.255 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(C(F)(F)F)cc1 ZINC000122284864 132162358 /nfs/dbraw/zinc/16/23/58/132162358.db2.gz QLFZPQHCBCQKPV-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN COc1cc(CN(Cc2cc3ccccc3o2)C2CC2)ccc1O ZINC000340768691 132183256 /nfs/dbraw/zinc/18/32/56/132183256.db2.gz FPKGNBRQXMARHK-UHFFFAOYSA-N 0 3 323.392 4.312 20 0 DIADHN COc1ccc2cc([C@@H](C)NCCOC3CCCC3)ccc2c1 ZINC000320540126 335080809 /nfs/dbraw/zinc/08/08/09/335080809.db2.gz DGOWKCCENPHNKQ-OAHLLOKOSA-N 0 3 313.441 4.458 20 0 DIADHN Cc1ccc(C)c([C@H](NC[C@@](C)(O)C2CC2)c2ccccc2)c1 ZINC000391731387 335085442 /nfs/dbraw/zinc/08/54/42/335085442.db2.gz DUYPGSHHMOHHON-NHCUHLMSSA-N 0 3 309.453 4.143 20 0 DIADHN COC[C@@H](C)CN[C@H](c1cccs1)c1ccc(Cl)cc1 ZINC000525874525 335088360 /nfs/dbraw/zinc/08/83/60/335088360.db2.gz JOOIGZYQWWRBST-LRDDRELGSA-N 0 3 309.862 4.363 20 0 DIADHN COC[C@H](C)CN[C@@H](c1cc2ccccc2o1)c1ccccc1 ZINC000525875283 335089508 /nfs/dbraw/zinc/08/95/08/335089508.db2.gz ALIOCSIRZOAVSQ-FOIQADDNSA-N 0 3 309.409 4.394 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425558922 335089706 /nfs/dbraw/zinc/08/97/06/335089706.db2.gz YKAYOSXSXUEADC-KDOFPFPSSA-N 0 3 303.421 4.168 20 0 DIADHN N[C@H]1CCCc2cn(CCCc3cccc4ccccc43)nc21 ZINC000570563516 332773694 /nfs/dbraw/zinc/77/36/94/332773694.db2.gz BLIASJIVQMKTOQ-IBGZPJMESA-N 0 3 305.425 4.005 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000570770013 332813786 /nfs/dbraw/zinc/81/37/86/332813786.db2.gz XIHBCVWKXSSRCU-SJORKVTESA-N 0 3 318.848 4.203 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000537935835 332815570 /nfs/dbraw/zinc/81/55/70/332815570.db2.gz JXGGRVWFOPDSDV-YSSFQJQWSA-N 0 3 311.400 4.180 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3c(OC)cccc32)cc1 ZINC000570783049 332816028 /nfs/dbraw/zinc/81/60/28/332816028.db2.gz MQCMVYIFLOVLNV-LJQANCHMSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1ccc(Br)cc1CNCc1cccc(F)c1 ZINC000313690676 332864199 /nfs/dbraw/zinc/86/41/99/332864199.db2.gz KUBMXGIWJJIUGX-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1ccncc1C ZINC000537944328 331486916 /nfs/dbraw/zinc/48/69/16/331486916.db2.gz XDFLXFAOGUCCPO-AWEZNQCLSA-N 0 3 318.848 4.335 20 0 DIADHN Cc1ccc(-c2nc(CN(CC3CC3)CC3CC3)cs2)o1 ZINC000533380766 331511707 /nfs/dbraw/zinc/51/17/07/331511707.db2.gz WPQXXABKFKOEOM-UHFFFAOYSA-N 0 3 302.443 4.334 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc(Cl)s1 ZINC000037284555 331550126 /nfs/dbraw/zinc/55/01/26/331550126.db2.gz OOLPHOBVFUQZSL-NSHDSACASA-N 0 3 305.834 4.208 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCCOc1ncccc1F ZINC000537949966 331564601 /nfs/dbraw/zinc/56/46/01/331564601.db2.gz DOYXUJCJICDMDE-CYBMUJFWSA-N 0 3 314.360 4.005 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN(CC1CC1)CC1CC1 ZINC000533520120 331564711 /nfs/dbraw/zinc/56/47/11/331564711.db2.gz SYCNZHSYABSZLN-UHFFFAOYSA-N 0 3 314.473 4.045 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCC[C@@H]2CCCCO2)c1 ZINC000180266109 331565273 /nfs/dbraw/zinc/56/52/73/331565273.db2.gz WOJUIRYCXWZWII-KBPBESRZSA-N 0 3 313.388 4.206 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@H](c1ccccc1)c1ccccn1 ZINC000180448801 331569638 /nfs/dbraw/zinc/56/96/38/331569638.db2.gz FURUPMAVHCSKSB-HRAATJIYSA-N 0 3 318.420 4.097 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc3ccccc3s2)c1 ZINC000047453483 331574187 /nfs/dbraw/zinc/57/41/87/331574187.db2.gz DYXZTELBVLMLHB-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3ccc(O)cc32)cc1F ZINC000322973881 331578360 /nfs/dbraw/zinc/57/83/60/331578360.db2.gz XNOOKLQINUVCRY-KZULUSFZSA-N 0 3 315.388 4.268 20 0 DIADHN c1coc([C@H](CN[C@H]2CCCc3sccc32)N2CCCC2)c1 ZINC000102196145 332917848 /nfs/dbraw/zinc/91/78/48/332917848.db2.gz AABQMZWLNWAGLG-HOTGVXAUSA-N 0 3 316.470 4.145 20 0 DIADHN C=Cc1ccc(CCNCc2nc3ccccc3n2CC)cc1 ZINC000584027480 331586476 /nfs/dbraw/zinc/58/64/76/331586476.db2.gz MEUZKWRGBIVJTQ-UHFFFAOYSA-N 0 3 305.425 4.032 20 0 DIADHN CCC[C@@H](C)CC(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000109762367 331593101 /nfs/dbraw/zinc/59/31/01/331593101.db2.gz JQDBWXNOJIQPQY-GDBMZVCRSA-N 0 3 310.507 4.074 20 0 DIADHN c1ccc(Oc2cccc(CN[C@H]3CCO[C@H]3C3CC3)c2)cc1 ZINC000323049685 331595271 /nfs/dbraw/zinc/59/52/71/331595271.db2.gz ICUPPKNGUQXZTP-PMACEKPBSA-N 0 3 309.409 4.136 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H]1CCCC[C@H]1C ZINC000530658788 331616340 /nfs/dbraw/zinc/61/63/40/331616340.db2.gz FLSUOYZTVVVIAR-RHSMWYFYSA-N 0 3 302.462 4.212 20 0 DIADHN COC1CCC(CNCc2c(Cl)cccc2Cl)CC1 ZINC000530697318 331618970 /nfs/dbraw/zinc/61/89/70/331618970.db2.gz AHOQZBABQMEAJF-UHFFFAOYSA-N 0 3 302.245 4.288 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cc4ccccc4o3)n2)cc1 ZINC000530871544 331631814 /nfs/dbraw/zinc/63/18/14/331631814.db2.gz QEDVSEHDJBGRCN-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN COC1CCC(CNCc2cccc(Cl)c2Cl)CC1 ZINC000530933676 331637274 /nfs/dbraw/zinc/63/72/74/331637274.db2.gz QTYIUYLGIDVPLB-UHFFFAOYSA-N 0 3 302.245 4.288 20 0 DIADHN COCc1cc(CN[C@H](c2ccc(F)cc2)C2CC2)ccc1F ZINC000538115100 331642997 /nfs/dbraw/zinc/64/29/97/331642997.db2.gz YASUEVPXICTUBP-IBGZPJMESA-N 0 3 317.379 4.352 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1csc(Br)c1 ZINC000309408174 331666206 /nfs/dbraw/zinc/66/62/06/331666206.db2.gz BJECMEFEGAHEHY-MRVPVSSYSA-N 0 3 316.186 4.331 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1cc2c(s1)CCCC2 ZINC000584044389 331651351 /nfs/dbraw/zinc/65/13/51/331651351.db2.gz XPXKHIXIJGEEGR-CYBMUJFWSA-N 0 3 311.454 4.125 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000074333552 331670770 /nfs/dbraw/zinc/67/07/70/331670770.db2.gz TYKMNLIVEUCXAM-GFCCVEGCSA-N 0 3 307.340 4.147 20 0 DIADHN FC1(F)CCC[C@H](NCc2sccc2Br)C1 ZINC000309519077 331678870 /nfs/dbraw/zinc/67/88/70/331678870.db2.gz SQFRCJHYSNYOAU-QMMMGPOBSA-N 0 3 310.207 4.178 20 0 DIADHN Cn1ccc(CN[C@@H](COc2ccccc2F)c2ccccc2)c1 ZINC000124513449 331692902 /nfs/dbraw/zinc/69/29/02/331692902.db2.gz XBRPGNJLUFTURK-IBGZPJMESA-N 0 3 324.399 4.074 20 0 DIADHN COCCCCCNCc1ccc(Br)cc1Cl ZINC000230650260 331695142 /nfs/dbraw/zinc/69/51/42/331695142.db2.gz OGPRUPMYIUJDCR-UHFFFAOYSA-N 0 3 320.658 4.009 20 0 DIADHN CS[C@@H](C)CNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556413669 331702002 /nfs/dbraw/zinc/70/20/02/331702002.db2.gz KDUYARMLCOEFBY-JTQLQIEISA-N 0 3 312.891 4.305 20 0 DIADHN COc1ncc([C@@H](C)NCCCSCC(C)C)cc1Cl ZINC000556583415 331716698 /nfs/dbraw/zinc/71/66/98/331716698.db2.gz IOGFAAXZLVCVPP-GFCCVEGCSA-N 0 3 316.898 4.174 20 0 DIADHN COc1ncc([C@H](C)NCc2ccc(C)cc2C)cc1Cl ZINC000556588353 331718402 /nfs/dbraw/zinc/71/84/02/331718402.db2.gz CSGKHDOPTDJMJF-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2ccccc2-n2ccnn2)c1C ZINC000556872284 331745681 /nfs/dbraw/zinc/74/56/81/331745681.db2.gz XCULZNQRBUKWML-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2O)c1 ZINC000556881352 331747215 /nfs/dbraw/zinc/74/72/15/331747215.db2.gz ONZSDHUEPWOUJD-IWMITWMQSA-N 0 3 309.453 4.194 20 0 DIADHN Cc1cc(CN2CCC(OC(C)C)CC2)ccc1C(F)(F)F ZINC000556881810 331747283 /nfs/dbraw/zinc/74/72/83/331747283.db2.gz NJYDZOKBHDUCTP-UHFFFAOYSA-N 0 3 315.379 4.403 20 0 DIADHN Cc1ccsc1CCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000556885987 331748596 /nfs/dbraw/zinc/74/85/96/331748596.db2.gz ROHNSVZTLIDULJ-INIZCTEOSA-N 0 3 303.475 4.233 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2Cl)CCCC1)c1ncc[nH]1 ZINC000556889976 331748888 /nfs/dbraw/zinc/74/88/88/331748888.db2.gz MTKOVCLFPNFBIR-GFCCVEGCSA-N 0 3 321.827 4.365 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1cc(C)ccn1 ZINC000556890552 331749248 /nfs/dbraw/zinc/74/92/48/331749248.db2.gz RNEMGKAOCYJLQC-GLJUWKHASA-N 0 3 318.848 4.470 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](N[C@@H](C)c1ncc[nH]1)C(C)(C)C ZINC000556893539 331749640 /nfs/dbraw/zinc/74/96/40/331749640.db2.gz VVLWOPXYMRBFTP-JKSUJKDBSA-N 0 3 315.461 4.171 20 0 DIADHN CCCOc1cccc(CN2CCC(C3CCOCC3)CC2)c1 ZINC000556928014 331755410 /nfs/dbraw/zinc/75/54/10/331755410.db2.gz XVOIIZCCZHUGQT-UHFFFAOYSA-N 0 3 317.473 4.114 20 0 DIADHN CCCn1ccc(CN2CCC(OCC3CCCCC3)CC2)c1 ZINC000564824247 331757219 /nfs/dbraw/zinc/75/72/19/331757219.db2.gz FBXQSILWPHOLRX-UHFFFAOYSA-N 0 3 318.505 4.459 20 0 DIADHN CCOc1cc(CN[C@@H]2CC(C)(C)c3ccccc32)ccc1O ZINC000557260621 331778907 /nfs/dbraw/zinc/77/89/07/331778907.db2.gz FRDZAPNKOUAKNR-QGZVFWFLSA-N 0 3 311.425 4.303 20 0 DIADHN CCn1cc([C@H](C)NCCc2cc(Cl)ccc2Cl)cn1 ZINC000557274918 331780217 /nfs/dbraw/zinc/78/02/17/331780217.db2.gz QAGGGRFUPXTCGR-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN COc1ccncc1CN[C@@H](c1ccc(C)cc1)C1CCCC1 ZINC000557332557 331783424 /nfs/dbraw/zinc/78/34/24/331783424.db2.gz HCLZWOMVPHFFNI-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1ccc2oc(CNCc3cccc(-n4cccn4)c3)cc2c1 ZINC000557433396 331789863 /nfs/dbraw/zinc/78/98/63/331789863.db2.gz ZHFPGVLJSCIINA-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN COC1(C)CCN([C@@H](C)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000557571232 331799718 /nfs/dbraw/zinc/79/97/18/331799718.db2.gz FNRLPKAPFNZVFD-LBPRGKRZSA-N 0 3 317.351 4.147 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CCCSC2)o1 ZINC000557832103 331819965 /nfs/dbraw/zinc/81/99/65/331819965.db2.gz KZEBXYUWLOVTNE-ZDUSSCGKSA-N 0 3 305.418 4.379 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](CCO)c1ccc(Cl)cc1 ZINC000558144077 331879390 /nfs/dbraw/zinc/87/93/90/331879390.db2.gz ZOALPBFIBPBCJK-KRWDZBQOSA-N 0 3 307.796 4.001 20 0 DIADHN FC(F)(F)C1CC(N[C@H]2CCCOc3cc(Cl)ccc32)C1 ZINC000558294252 331894095 /nfs/dbraw/zinc/89/40/95/331894095.db2.gz RNQSPAWWWVQQLQ-OCTTZUBCSA-N 0 3 319.754 4.484 20 0 DIADHN Cc1nc(C)c([C@H](C)NCc2ccc(OC(F)F)cc2)s1 ZINC000040445959 331909698 /nfs/dbraw/zinc/90/96/98/331909698.db2.gz ACGJYHAPKPRZJV-VIFPVBQESA-N 0 3 312.385 4.212 20 0 DIADHN Cc1cc(CNCc2ccc(C(C)C)cc2)cc(N2CCCC2)n1 ZINC000558493506 331912825 /nfs/dbraw/zinc/91/28/25/331912825.db2.gz DTPKUGYAOGLBQV-UHFFFAOYSA-N 0 3 323.484 4.403 20 0 DIADHN CCOc1ccc(CN[C@H](c2cccs2)C2CC2)cc1OC ZINC000125858553 331920804 /nfs/dbraw/zinc/92/08/04/331920804.db2.gz QXZDREIRACSVFD-SFHVURJKSA-N 0 3 317.454 4.396 20 0 DIADHN COC[C@@H](NC[C@@H](OC)c1ccccc1)c1ccc(Cl)cc1 ZINC000558828697 331947786 /nfs/dbraw/zinc/94/77/86/331947786.db2.gz IYXWITPZOBJANN-QZTJIDSGSA-N 0 3 319.832 4.005 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2csc(Br)c2)CS1 ZINC000381144604 331948911 /nfs/dbraw/zinc/94/89/11/331948911.db2.gz NIWSWMRWYFCNMN-QWRGUYRKSA-N 0 3 320.321 4.130 20 0 DIADHN COC(=O)c1sc2ccccc2c1CN1CCCCCC1 ZINC000559056794 331968499 /nfs/dbraw/zinc/96/84/99/331968499.db2.gz WRYRSKGZWBKDHT-UHFFFAOYSA-N 0 3 303.427 4.064 20 0 DIADHN COC(=O)CCC1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC000531001907 331990568 /nfs/dbraw/zinc/99/05/68/331990568.db2.gz WNSRRVAIOUYEKU-CYBMUJFWSA-N 0 3 309.837 4.066 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NC[C@@H](O)CC(C)(C)C ZINC000223696747 331993243 /nfs/dbraw/zinc/99/32/43/331993243.db2.gz TWHNXPIVXVGTOK-AAEUAGOBSA-N 0 3 312.523 4.197 20 0 DIADHN C[C@H](CN1CCCCC1)NCc1ccc(-c2ccccc2F)o1 ZINC000518270065 332003442 /nfs/dbraw/zinc/00/34/42/332003442.db2.gz VVXYUXONWMQSMT-OAHLLOKOSA-N 0 3 316.420 4.050 20 0 DIADHN CCOc1cccc([C@@H](C)NC/C=C/c2ccccc2OC)c1 ZINC000518280377 332006182 /nfs/dbraw/zinc/00/61/82/332006182.db2.gz IPXUVHCKMZTHIM-YCABEKBOSA-N 0 3 311.425 4.458 20 0 DIADHN CCc1ccc([C@@H](CO)NCc2ccc(C3CCC3)cc2)cc1 ZINC000559282021 332014497 /nfs/dbraw/zinc/01/44/97/332014497.db2.gz FCWDOPGMWVSNCO-OAQYLSRUSA-N 0 3 309.453 4.340 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H]2CCCOc3ccc(F)cc32)n1 ZINC000186580614 332936999 /nfs/dbraw/zinc/93/69/99/332936999.db2.gz UJROJNPEDYVGRH-XHDPSFHLSA-N 0 3 320.433 4.198 20 0 DIADHN COc1cc(C)c(CNCc2cc3ccccc3s2)c(C)n1 ZINC000559308583 332021953 /nfs/dbraw/zinc/02/19/53/332021953.db2.gz ZFYZHFJQQSIBHG-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN C[C@H]1C[C@H](NCC2(O)CCCCCC2)c2ccsc2S1 ZINC000130589805 332024853 /nfs/dbraw/zinc/02/48/53/332024853.db2.gz SZMGRNMJHURLCN-JSGCOSHPSA-N 0 3 311.516 4.348 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCc1cc2ccccc2s1 ZINC000559332823 332027610 /nfs/dbraw/zinc/02/76/10/332027610.db2.gz QPRRHXQOOFBACO-UHFFFAOYSA-N 0 3 313.470 4.319 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C[C@@H]1CCC2(CCCCC2)O1 ZINC000409447246 332031497 /nfs/dbraw/zinc/03/14/97/332031497.db2.gz FFYBCSBJAQAHEH-YJBOKZPZSA-N 0 3 303.446 4.267 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)on1 ZINC000409447255 332031518 /nfs/dbraw/zinc/03/15/18/332031518.db2.gz HYYISQZAGCSQNK-CVEARBPZSA-N 0 3 304.434 4.002 20 0 DIADHN CCn1ccnc1CN[C@](C)(Cc1ccc(Cl)cc1)C(C)C ZINC000559347554 332036782 /nfs/dbraw/zinc/03/67/82/332036782.db2.gz QQMYKUXKRRNTCI-GOSISDBHSA-N 0 3 319.880 4.303 20 0 DIADHN CC[C@@H](NCCc1nnc(C(C)C)s1)c1ccc(F)cc1 ZINC000426298912 332037653 /nfs/dbraw/zinc/03/76/53/332037653.db2.gz QOSMHPFOVMBIOC-CQSZACIVSA-N 0 3 307.438 4.084 20 0 DIADHN COc1ccc(Br)c(CN[C@@H]2CCC[C@@H](C)C2)c1 ZINC000087787447 332037832 /nfs/dbraw/zinc/03/78/32/332037832.db2.gz LSOSLCJJDYLPAI-DGCLKSJQSA-N 0 3 312.251 4.126 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)c1 ZINC000426307515 332042438 /nfs/dbraw/zinc/04/24/38/332042438.db2.gz ROFFGNOCUGTXRS-SUNYJGFJSA-N 0 3 301.455 4.410 20 0 DIADHN Cc1cc(CNC[C@]2(C)OCCc3sccc32)c(C)s1 ZINC000518445655 332044227 /nfs/dbraw/zinc/04/42/27/332044227.db2.gz ULFGPVKGPHUIBW-INIZCTEOSA-N 0 3 307.484 4.004 20 0 DIADHN CCN(Cc1ncc(-c2ccc(OC)cc2)o1)[C@@H](C)C(C)C ZINC000520059609 332052905 /nfs/dbraw/zinc/05/29/05/332052905.db2.gz VLPCUXXAEAOQGP-AWEZNQCLSA-N 0 3 302.418 4.217 20 0 DIADHN Cc1cnccc1CNC(C)(C)c1ccc(OCC(C)C)cc1 ZINC000518476588 332062078 /nfs/dbraw/zinc/06/20/78/332062078.db2.gz PHCWIGGHHIQBDB-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccccc2)C(C)C)cc1F ZINC000089101626 332062944 /nfs/dbraw/zinc/06/29/44/332062944.db2.gz UATKXXBEEUUZQA-GOSISDBHSA-N 0 3 315.388 4.099 20 0 DIADHN CC[C@@H](N[C@@H](C(=O)N(CC)CC)c1ccccc1)C(C)(C)C ZINC000518483333 332063892 /nfs/dbraw/zinc/06/38/92/332063892.db2.gz OBJIYWMUECWNIK-IAGOWNOFSA-N 0 3 304.478 4.010 20 0 DIADHN CC[C@H](N[C@@H](C(=O)N(CC)CC)c1ccccc1)C(C)(C)C ZINC000518483332 332063938 /nfs/dbraw/zinc/06/39/38/332063938.db2.gz OBJIYWMUECWNIK-DLBZAZTESA-N 0 3 304.478 4.010 20 0 DIADHN Cc1ccoc1CN[C@H](C)CC(=O)Nc1ccc(C(C)C)cc1 ZINC000426349939 332069223 /nfs/dbraw/zinc/06/92/23/332069223.db2.gz WFTYLTMVBYFQFA-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(C)c2)s1 ZINC000091469940 332070099 /nfs/dbraw/zinc/07/00/99/332070099.db2.gz RDGFHMNXPDUFNP-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN C[C@H](NCCN(C)c1ccccc1)c1nccc2ccccc21 ZINC000569413792 332077443 /nfs/dbraw/zinc/07/74/43/332077443.db2.gz WYGWSGYAUSFLBM-INIZCTEOSA-N 0 3 305.425 4.022 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1)[C@H](C)c1cccc(O)c1 ZINC000091974939 332096971 /nfs/dbraw/zinc/09/69/71/332096971.db2.gz ZCQMWZMZYSMEAW-OAHLLOKOSA-N 0 3 321.424 4.365 20 0 DIADHN CCn1cc(CN(C)Cc2ccccc2-c2ccccc2)cn1 ZINC000092035731 332101599 /nfs/dbraw/zinc/10/15/99/332101599.db2.gz JNGMWIBRUCLPKN-UHFFFAOYSA-N 0 3 305.425 4.202 20 0 DIADHN Brc1ccc([C@@H](NCc2cccnc2)C2CC2)cc1 ZINC000091261878 332102312 /nfs/dbraw/zinc/10/23/12/332102312.db2.gz NLONMNLMDTUJBX-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN CN(CCCOc1ccccc1)Cc1cnc2ccccc2c1 ZINC000410310593 332109153 /nfs/dbraw/zinc/10/91/53/332109153.db2.gz MIYIHTUMBSVHSS-UHFFFAOYSA-N 0 3 306.409 4.136 20 0 DIADHN CCc1cccc(F)c1CNCCc1nc2c(cccc2C)o1 ZINC000410332028 332112204 /nfs/dbraw/zinc/11/22/04/332112204.db2.gz BXHIYLDJNUBRRW-UHFFFAOYSA-N 0 3 312.388 4.170 20 0 DIADHN CCN(CC1=Cc2ccccc2OC1)[C@@H](C)c1cccc(O)c1 ZINC000091975098 332116415 /nfs/dbraw/zinc/11/64/15/332116415.db2.gz PXFTUKIWYRFJLL-HNNXBMFYSA-N 0 3 309.409 4.251 20 0 DIADHN CC(C)n1nccc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000410377071 332120386 /nfs/dbraw/zinc/12/03/86/332120386.db2.gz ZDJYPYUUJQAZJO-OAHLLOKOSA-N 0 3 303.837 4.107 20 0 DIADHN CC(C)C[C@@H](C)CN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000410379331 332120884 /nfs/dbraw/zinc/12/08/84/332120884.db2.gz OYVPSJDKEXIHTB-BEFAXECRSA-N 0 3 317.452 4.263 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc2sccc2c1 ZINC000569522078 332122981 /nfs/dbraw/zinc/12/29/81/332122981.db2.gz SZQCZYGRGBWACR-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CCSCC[C@H](C)N[C@@H](C)c1ccccc1OC(F)F ZINC000132252273 332123737 /nfs/dbraw/zinc/12/37/37/332123737.db2.gz JJFRHRSGSIYHKB-RYUDHWBXSA-N 0 3 303.418 4.470 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1sccc1Br ZINC000518678540 332125422 /nfs/dbraw/zinc/12/54/22/332125422.db2.gz BYOIYMYYNXRYKC-USWWRNFRSA-N 0 3 318.280 4.119 20 0 DIADHN CCCn1nc(C)c(CN2C[C@@H](C)C[C@@H]2c2ccccc2)c1C ZINC000569531362 332126247 /nfs/dbraw/zinc/12/62/47/332126247.db2.gz GTWFLTVYNHHKRI-MGPUTAFESA-N 0 3 311.473 4.493 20 0 DIADHN CCc1nn(C)cc1CN[C@@H](c1cccs1)C1CCCC1 ZINC000092372011 332127087 /nfs/dbraw/zinc/12/70/87/332127087.db2.gz QLANISRGPAOEAV-QGZVFWFLSA-N 0 3 303.475 4.065 20 0 DIADHN CCN(Cc1ccc(Cl)c(Cl)c1)CC1=CCCOC1 ZINC000092377196 332127552 /nfs/dbraw/zinc/12/75/52/332127552.db2.gz WGLCLQQLALVHIC-UHFFFAOYSA-N 0 3 300.229 4.162 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1sc(CCOC)nc1C ZINC000092751635 332130414 /nfs/dbraw/zinc/13/04/14/332130414.db2.gz DIHVCHLKUQKRRV-ZDUSSCGKSA-N 0 3 318.486 4.054 20 0 DIADHN C[C@@H](CNCc1cccc(C(F)(F)F)n1)Cc1ccccc1 ZINC000449401303 332133596 /nfs/dbraw/zinc/13/35/96/332133596.db2.gz MGOAOIOXVFQQEE-CYBMUJFWSA-N 0 3 308.347 4.069 20 0 DIADHN C[C@H](CNCc1cccc(C(F)(F)F)n1)Cc1ccccc1 ZINC000449401305 332133619 /nfs/dbraw/zinc/13/36/19/332133619.db2.gz MGOAOIOXVFQQEE-ZDUSSCGKSA-N 0 3 308.347 4.069 20 0 DIADHN c1ccc([C@H]2c3ccccc3CCN2C[C@H]2CCCCO2)cc1 ZINC000559368388 332134142 /nfs/dbraw/zinc/13/41/42/332134142.db2.gz HTIYSXXBQLRERT-CTNGQTDRSA-N 0 3 307.437 4.203 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@H]2CSC2(C)C)cc1 ZINC000569555968 332137497 /nfs/dbraw/zinc/13/74/97/332137497.db2.gz MRVNMMNZOOSYRK-SFHVURJKSA-N 0 3 313.466 4.346 20 0 DIADHN C[C@H](O)[C@@H]1CCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC000180858665 332139710 /nfs/dbraw/zinc/13/97/10/332139710.db2.gz DVIIWIHWKODWED-DOTOQJQBSA-N 0 3 313.466 4.041 20 0 DIADHN CSCCCCN[C@@H](C)c1ccccc1Br ZINC000133613139 332140639 /nfs/dbraw/zinc/14/06/39/332140639.db2.gz NTGUYCKFNVUNEH-NSHDSACASA-N 0 3 302.281 4.243 20 0 DIADHN Clc1ccc(-c2noc(CN3C[C@H]4CCCC[C@@H]4C3)n2)cc1 ZINC000426794491 332154463 /nfs/dbraw/zinc/15/44/63/332154463.db2.gz RWRVTEKLDGGULG-ZIAGYGMSSA-N 0 3 317.820 4.012 20 0 DIADHN FC(F)(F)Oc1ccc(CN[C@@H](c2cccnc2)C2CC2)cc1 ZINC000533921761 332946933 /nfs/dbraw/zinc/94/69/33/332946933.db2.gz SNTWLFMNRWOZBI-MRXNPFEDSA-N 0 3 322.330 4.221 20 0 DIADHN COc1ccccc1CCN(C)[C@H](C)c1nc2ccccc2o1 ZINC000181087270 332173515 /nfs/dbraw/zinc/17/35/15/332173515.db2.gz KRHIOGHWZLZWOQ-CQSZACIVSA-N 0 3 310.397 4.072 20 0 DIADHN CC[C@H](C)[C@@H](C)C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000181111927 332176031 /nfs/dbraw/zinc/17/60/31/332176031.db2.gz GTZIPKSOFQQOQF-GOEBONIOSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000449436337 332189325 /nfs/dbraw/zinc/18/93/25/332189325.db2.gz XJAHPCDQXVYVKI-RDJZCZTQSA-N 0 3 308.491 4.395 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@H]2CCc3cc(F)ccc32)cn1 ZINC000181350124 332191525 /nfs/dbraw/zinc/19/15/25/332191525.db2.gz CCKWXPPBAWCCEU-KRWDZBQOSA-N 0 3 314.404 4.175 20 0 DIADHN C[C@@H](NC[C@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000448025905 332193417 /nfs/dbraw/zinc/19/34/17/332193417.db2.gz GHYVEOPJTTYYCY-NXEZZACHSA-N 0 3 321.820 4.345 20 0 DIADHN CN(C)Cc1cccc(NCc2cccc(Br)c2)c1 ZINC000036979555 332194616 /nfs/dbraw/zinc/19/46/16/332194616.db2.gz IUFIARVPCOEDHS-UHFFFAOYSA-N 0 3 319.246 4.123 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)[C@@H](C)c2ccccc2)c(C)c1 ZINC000181524825 332196869 /nfs/dbraw/zinc/19/68/69/332196869.db2.gz PAPYWQQEILKNKK-SJORKVTESA-N 0 3 310.441 4.024 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](C)[C@H](C)c2ccccc2)c1 ZINC000181543125 332197796 /nfs/dbraw/zinc/19/77/96/332197796.db2.gz MHIJXUJNPCBXEN-IRXDYDNUSA-N 0 3 310.441 4.024 20 0 DIADHN FC(F)(F)c1cccc(C2(NCCOC3CCC3)CCC2)c1 ZINC000569604173 332198895 /nfs/dbraw/zinc/19/88/95/332198895.db2.gz BMDBZADZEHVYEJ-UHFFFAOYSA-N 0 3 313.363 4.243 20 0 DIADHN Cc1csc([C@H](NCCCOc2ccc(F)cc2)C2CC2)n1 ZINC000181617664 332205077 /nfs/dbraw/zinc/20/50/77/332205077.db2.gz FZDCIUOBPUUBAK-MRXNPFEDSA-N 0 3 320.433 4.100 20 0 DIADHN C[C@@H]1C[C@@H](CCN[C@H](c2ccccc2)c2ccccn2)C[C@H](C)O1 ZINC000569612364 332205606 /nfs/dbraw/zinc/20/56/06/332205606.db2.gz YOZCASVERSMAIK-BMQTXXJKSA-N 0 3 324.468 4.354 20 0 DIADHN CCOCc1ccc(CN[C@H](CC)c2ccc(OC)cc2)cc1 ZINC000151627376 332209412 /nfs/dbraw/zinc/20/94/12/332209412.db2.gz ZKGHTSZHITXMKA-HXUWFJFHSA-N 0 3 313.441 4.473 20 0 DIADHN COCC[C@@H](c1ccccc1)N(C)Cc1ccc(OC)c(C)c1 ZINC000533832117 332216284 /nfs/dbraw/zinc/21/62/84/332216284.db2.gz XSAXUHCGGYEKJU-IBGZPJMESA-N 0 3 313.441 4.213 20 0 DIADHN CCN(CC)[C@H](CNCc1cccc(Cl)c1)c1ccco1 ZINC000062423723 332226219 /nfs/dbraw/zinc/22/62/19/332226219.db2.gz JCIWQFWIUBYEKI-MRXNPFEDSA-N 0 3 306.837 4.106 20 0 DIADHN COCC[C@@H]1CCC[C@H]1NCc1c(Cl)cccc1Cl ZINC000554560947 332236025 /nfs/dbraw/zinc/23/60/25/332236025.db2.gz MEHCSKFGGNUXKJ-XHDPSFHLSA-N 0 3 302.245 4.288 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2NC/C=C/c2ccccc2)cc1F ZINC000584309007 332236616 /nfs/dbraw/zinc/23/66/16/332236616.db2.gz LJJLHBWUBUSUJS-WYTIROKMSA-N 0 3 315.363 4.098 20 0 DIADHN CCCCCn1cc(CN[C@H](C)Cc2ccsc2)c(C)n1 ZINC000537960252 332240351 /nfs/dbraw/zinc/24/03/51/332240351.db2.gz NZRXNQPXAYABSC-CQSZACIVSA-N 0 3 305.491 4.164 20 0 DIADHN CCCCCn1cc(CN[C@@H](C)Cc2ccsc2)c(C)n1 ZINC000537960251 332240414 /nfs/dbraw/zinc/24/04/14/332240414.db2.gz NZRXNQPXAYABSC-AWEZNQCLSA-N 0 3 305.491 4.164 20 0 DIADHN COc1cc(CN[C@H](c2ccc(Cl)cc2)C(C)C)ccn1 ZINC000536476302 332250658 /nfs/dbraw/zinc/25/06/58/332250658.db2.gz NWVMKVDSWMNTIU-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN CCC[C@H](CCO)CN[C@@H](C)c1ccccc1OC(F)(F)F ZINC000152423956 335097020 /nfs/dbraw/zinc/09/70/20/335097020.db2.gz FUYTZKCDETYMKI-QWHCGFSZSA-N 0 3 319.367 4.035 20 0 DIADHN CC(C)[C@]1(CO)CCCN(C/C=C/c2ccc(Cl)cc2)C1 ZINC000559425680 332257550 /nfs/dbraw/zinc/25/75/50/332257550.db2.gz OWPOJVCDOQFUBF-YWPBOTNQSA-N 0 3 307.865 4.084 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccccn3)n2)[C@@H]2CCC[C@H]21 ZINC000559425725 332257695 /nfs/dbraw/zinc/25/76/95/332257695.db2.gz SHQVAWQEHPZWFQ-GDBMZVCRSA-N 0 3 313.470 4.216 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1ccc(F)cc1Br ZINC000224430914 332262786 /nfs/dbraw/zinc/26/27/86/332262786.db2.gz NDRGDEYBECHQHX-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@@H](NCC(C)(C)Oc1cc(F)cc(F)c1)c1ccncc1F ZINC000556194251 332266794 /nfs/dbraw/zinc/26/67/94/332266794.db2.gz WWNQNYVLXROHFH-LLVKDONJSA-N 0 3 324.346 4.007 20 0 DIADHN CC(C)CCOc1ccc(CN[C@@H]2CCc3cc(N)ccc32)cc1 ZINC000564666072 332273947 /nfs/dbraw/zinc/27/39/47/332273947.db2.gz DPVLVCXXIQLXAX-OAQYLSRUSA-N 0 3 324.468 4.471 20 0 DIADHN Cc1csc(CN[C@@H](Cc2ccccc2Cl)C2CC2)n1 ZINC000559735395 332277822 /nfs/dbraw/zinc/27/78/22/332277822.db2.gz IUSVZUWCHJUATA-HNNXBMFYSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1nccnc1CCN[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000559898765 332289497 /nfs/dbraw/zinc/28/94/97/332289497.db2.gz FVYNBKNZWKXTKW-LJQANCHMSA-N 0 3 323.440 4.451 20 0 DIADHN Cc1c([C@H](C)NCC[C@H](C)F)cnn1-c1cccc(Cl)c1 ZINC000559892337 332290032 /nfs/dbraw/zinc/29/00/32/332290032.db2.gz IJFSNMHFFPXJPF-RYUDHWBXSA-N 0 3 309.816 4.233 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2cn3ccccc3n2)cc1 ZINC000559893102 332290494 /nfs/dbraw/zinc/29/04/94/332290494.db2.gz FXTPPHUUVPTIPA-HZPDHXFCSA-N 0 3 323.440 4.015 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1c1ccccc1)c1cn2ccccc2n1 ZINC000559894467 332290743 /nfs/dbraw/zinc/29/07/43/332290743.db2.gz RPSCHEWGWHYYDQ-JQHSSLGASA-N 0 3 305.425 4.321 20 0 DIADHN c1c[nH]c([C@@H](NC[C@@H]2C[C@H]2c2ccccc2)C2CCCCC2)n1 ZINC000559897367 332291035 /nfs/dbraw/zinc/29/10/35/332291035.db2.gz KGWDYFNULBERNT-FHWLQOOXSA-N 0 3 309.457 4.424 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000559898226 332291221 /nfs/dbraw/zinc/29/12/21/332291221.db2.gz ZECUZVLHTZIFEC-OALUTQOASA-N 0 3 315.844 4.444 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@@H](C)c2cn3ccccc3n2)C1 ZINC000559913229 332292470 /nfs/dbraw/zinc/29/24/70/332292470.db2.gz HDUJBDYHSMKKHX-ULQDDVLXSA-N 0 3 305.425 4.240 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1cn2ccccc2n1 ZINC000559917702 332292731 /nfs/dbraw/zinc/29/27/31/332292731.db2.gz XFCNNEBVKUAKQG-FRFSOERESA-N 0 3 309.388 4.070 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc2c(c1)OCO2 ZINC000387515191 332295399 /nfs/dbraw/zinc/29/53/99/332295399.db2.gz DBYXKGCHUUWXJM-NSHDSACASA-N 0 3 305.324 4.204 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2cccs2)ccc1OC ZINC000048416931 332303466 /nfs/dbraw/zinc/30/34/66/332303466.db2.gz WLHQMTBXKPLLHK-AWEZNQCLSA-N 0 3 305.443 4.396 20 0 DIADHN C[C@@H](NCc1cnc(-c2ccc(Cl)cc2)s1)[C@H]1CCCO1 ZINC000560059113 332307176 /nfs/dbraw/zinc/30/71/76/332307176.db2.gz CBBOFLYOTNLPGK-IAQYHMDHSA-N 0 3 322.861 4.121 20 0 DIADHN Clc1ccc(-c2ncc(CNCc3cc[nH]c3)s2)cc1 ZINC000560066683 332308293 /nfs/dbraw/zinc/30/82/93/332308293.db2.gz HESLOMVHFVTHSH-UHFFFAOYSA-N 0 3 303.818 4.081 20 0 DIADHN COc1cc(C)nc(CNCCCCc2ccc(Cl)s2)c1 ZINC000560077782 332308887 /nfs/dbraw/zinc/30/88/87/332308887.db2.gz PDJWYQKLNGDAFF-UHFFFAOYSA-N 0 3 324.877 4.226 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC1(O)CCC1 ZINC000560075563 332309384 /nfs/dbraw/zinc/30/93/84/332309384.db2.gz IUOZMWMUVZLZRT-UHFFFAOYSA-N 0 3 313.894 4.235 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cc3cccnc3o2)CC1 ZINC000560104300 332311109 /nfs/dbraw/zinc/31/11/09/332311109.db2.gz HPZCGYFAXANTKQ-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2)c(C)s1 ZINC000049507511 332313216 /nfs/dbraw/zinc/31/32/16/332313216.db2.gz KYPMHKVJPFNLAI-STQMWFEESA-N 0 3 302.443 4.043 20 0 DIADHN CC[C@H](N[C@@H]1CCOc2ccc(OC)cc21)c1cccs1 ZINC000049529558 332315444 /nfs/dbraw/zinc/31/54/44/332315444.db2.gz SESDCYHSODLGEU-LSDHHAIUSA-N 0 3 303.427 4.321 20 0 DIADHN COc1cccc(CNC[C@@H]2CCCC2(F)F)c1OC(C)C ZINC000449500067 332316073 /nfs/dbraw/zinc/31/60/73/332316073.db2.gz UKCTUJHBMQWYMC-AWEZNQCLSA-N 0 3 313.388 4.007 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccc3ccccc32)n(C)n1 ZINC000417750800 335103483 /nfs/dbraw/zinc/10/34/83/335103483.db2.gz GQWBWFDYVZZSKD-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2ccc(C(F)(F)F)cn2)cc1 ZINC000560159865 332316678 /nfs/dbraw/zinc/31/66/78/332316678.db2.gz RAAGLWIXBBHYIA-AWEZNQCLSA-N 0 3 322.374 4.472 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000560182360 332317447 /nfs/dbraw/zinc/31/74/47/332317447.db2.gz IGQWLYHMSIYOHQ-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN CCC1CC(NCc2ccc(Oc3cccc(OC)c3)nc2)C1 ZINC000560250985 332323712 /nfs/dbraw/zinc/32/37/12/332323712.db2.gz RTQMRAVPTPLMEE-UHFFFAOYSA-N 0 3 312.413 4.161 20 0 DIADHN CN(Cc1cnc(-c2ccc(Cl)cc2)s1)C1CCOCC1 ZINC000560257104 332323866 /nfs/dbraw/zinc/32/38/66/332323866.db2.gz LIZCUIWSTNDODU-UHFFFAOYSA-N 0 3 322.861 4.074 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cnc(C(C)(C)C)nc2)cc1 ZINC000560261741 332325136 /nfs/dbraw/zinc/32/51/36/332325136.db2.gz UUKYBOJDNBWNEW-MRXNPFEDSA-N 0 3 311.473 4.146 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccnc(OC(F)F)c2)c1 ZINC000560268437 332325849 /nfs/dbraw/zinc/32/58/49/332325849.db2.gz BAFIPRRSMFCSLT-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN CCc1ccc([C@@H](NCc2cnc3n2CCCC3)C(C)C)cc1 ZINC000560270687 332326008 /nfs/dbraw/zinc/32/60/08/332326008.db2.gz QBBNOAPILZKSPQ-FQEVSTJZSA-N 0 3 311.473 4.269 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(c2c[nH]nc2C)CC1 ZINC000560265555 332326228 /nfs/dbraw/zinc/32/62/28/332326228.db2.gz HINQOKPRGVDYIQ-UHFFFAOYSA-N 0 3 317.864 4.314 20 0 DIADHN Cc1ccccc1C[C@@H](C)N(C)Cc1cnc(C(C)(C)C)nc1 ZINC000560284596 332327481 /nfs/dbraw/zinc/32/74/81/332327481.db2.gz FPHLKJURDXGZTJ-MRXNPFEDSA-N 0 3 311.473 4.146 20 0 DIADHN CN(C)C[C@@H](NCc1cc(F)cc(Cl)c1)c1ccc(F)cc1 ZINC000518827039 335101640 /nfs/dbraw/zinc/10/16/40/335101640.db2.gz IBZJZBGCCXABDO-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN CCCCOC1CCN(Cc2ccc(OCCC)cc2)CC1 ZINC000560402818 332336967 /nfs/dbraw/zinc/33/69/67/332336967.db2.gz FPAJNXZSKCFHJK-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C1CC1)C1CCCC1 ZINC000560425827 332338559 /nfs/dbraw/zinc/33/85/59/332338559.db2.gz IVRBIJGNQMBCHA-UHFFFAOYSA-N 0 3 301.409 4.102 20 0 DIADHN CC[C@H](NCc1cnc(N(CC)CC)s1)c1ccccc1 ZINC000050198291 332341472 /nfs/dbraw/zinc/34/14/72/332341472.db2.gz RCHSUVXYELBPFS-INIZCTEOSA-N 0 3 303.475 4.230 20 0 DIADHN CC(C)n1cc([C@@H](C)NC/C=C/c2ccc(F)c(F)c2)cn1 ZINC000560494474 332346082 /nfs/dbraw/zinc/34/60/82/332346082.db2.gz MDBXFIPOQCXVCB-MUBLQREKSA-N 0 3 305.372 4.106 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC[C@H]1CCCSC1 ZINC000560524491 332350394 /nfs/dbraw/zinc/35/03/94/332350394.db2.gz CSJJBWIZFCVNTL-CYBMUJFWSA-N 0 3 320.483 4.059 20 0 DIADHN FC1(F)CCC(CN[C@H](c2ncc[nH]2)C2CCCCC2)CC1 ZINC000560543384 332355977 /nfs/dbraw/zinc/35/59/77/332355977.db2.gz JWZZMCZNKRGVLM-HNNXBMFYSA-N 0 3 311.420 4.446 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@H](C)Oc2ccccc2Cl)c1 ZINC000560545429 332356666 /nfs/dbraw/zinc/35/66/66/332356666.db2.gz MRYXJFNLKNUZEX-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN CS[C@@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)C(C)(C)C ZINC000560548623 332357852 /nfs/dbraw/zinc/35/78/52/332357852.db2.gz JTRBWCBVLWPHFJ-GJZGRUSLSA-N 0 3 309.523 4.398 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](c2ccccc2)C2(CO)CCC2)c1 ZINC000560569976 332361930 /nfs/dbraw/zinc/36/19/30/332361930.db2.gz YUGVFUULPUQDND-FQEVSTJZSA-N 0 3 309.453 4.297 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)C2(CO)CCC2)s1 ZINC000560571604 332362443 /nfs/dbraw/zinc/36/24/43/332362443.db2.gz YSLTXPQDTGBFFN-GOSISDBHSA-N 0 3 315.482 4.304 20 0 DIADHN C[C@H](N[C@@H](c1nccn1C)C1CC1)[C@@H](C)c1ccc(Cl)cc1 ZINC000560575879 332363623 /nfs/dbraw/zinc/36/36/23/332363623.db2.gz TVCFHKJRSUGBLW-IIYDPXPESA-N 0 3 317.864 4.306 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1ccccc1OC(F)F ZINC000560582772 332364904 /nfs/dbraw/zinc/36/49/04/332364904.db2.gz HNFUOOUMHUDKAP-VIFPVBQESA-N 0 3 309.278 4.281 20 0 DIADHN Cc1cc(CCN[C@@H](C)c2ccc(OC(C)(C)C)cc2)on1 ZINC000560583669 332364999 /nfs/dbraw/zinc/36/49/99/332364999.db2.gz AILLCVCYLKARHA-AWEZNQCLSA-N 0 3 302.418 4.054 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCC1(C(F)(F)F)CC1 ZINC000560583985 332365058 /nfs/dbraw/zinc/36/50/58/332365058.db2.gz FGZZUPANJYJROQ-SECBINFHSA-N 0 3 307.743 4.342 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3ccc4c[nH]nc4c3)C2)c1 ZINC000583372755 332367611 /nfs/dbraw/zinc/36/76/11/332367611.db2.gz HEDWPCGCWVFWKW-MRXNPFEDSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)c1ccccc1-n1ccnn1 ZINC000583373106 332367806 /nfs/dbraw/zinc/36/78/06/332367806.db2.gz YWQYRZWJYASKOQ-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN O[C@@H]1CCCC[C@@H]1[C@@H]1CCCCN1Cc1sccc1Cl ZINC000312315521 332374517 /nfs/dbraw/zinc/37/45/17/332374517.db2.gz WPLLQBMVXOYESR-VHDGCEQUSA-N 0 3 313.894 4.307 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3cc(-c4ccccc4)no3)C2)c1 ZINC000560674260 332376233 /nfs/dbraw/zinc/37/62/33/332376233.db2.gz FLHRVZCIIVJHJN-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccncc1F)Oc1ccccc1C ZINC000583386269 332378584 /nfs/dbraw/zinc/37/85/84/332378584.db2.gz OGFBLSNEBOUAOX-GJZGRUSLSA-N 0 3 302.393 4.037 20 0 DIADHN COCc1ccc(CN(C)Cc2ccc(F)c(Cl)c2)cc1 ZINC000583394347 332384102 /nfs/dbraw/zinc/38/41/02/332384102.db2.gz VZFQDQUUBVKBSP-UHFFFAOYSA-N 0 3 307.796 4.258 20 0 DIADHN CCc1nc2ccccc2c(N2CCC[C@@](CC)(CO)C2)c1C ZINC000583399933 332387359 /nfs/dbraw/zinc/38/73/59/332387359.db2.gz FHIKLCXZOBLCOB-HXUWFJFHSA-N 0 3 312.457 4.095 20 0 DIADHN CSc1ccc(CNC[C@@H](c2ccc(F)cc2)N(C)C)s1 ZINC000583410440 332392179 /nfs/dbraw/zinc/39/21/79/332392179.db2.gz NTJUMAWMXJVILX-HNNXBMFYSA-N 0 3 324.490 4.002 20 0 DIADHN [O-]c1cc(C[NH2+]C[C@@H]2CCCC(F)(F)C2)cc(C(F)(F)F)c1 ZINC000583410409 332392201 /nfs/dbraw/zinc/39/22/01/332392201.db2.gz MGOMLXROPVOGBI-SNVBAGLBSA-N 0 3 323.305 4.326 20 0 DIADHN c1coc([C@@H]2C[C@H]2NCc2cnc(C3CCCCC3)s2)c1 ZINC000560905266 332393634 /nfs/dbraw/zinc/39/36/34/332393634.db2.gz KERWTEVZKLWBLI-HUUCEWRRSA-N 0 3 302.443 4.430 20 0 DIADHN CCn1c2ccccc2nc1[C@H](C)NCCc1cccc(C)c1 ZINC000561015583 332401423 /nfs/dbraw/zinc/40/14/23/332401423.db2.gz JPJZDRMRAHZABK-INIZCTEOSA-N 0 3 307.441 4.258 20 0 DIADHN COc1ccc([C@@H](C)NCCOC2CCCCCC2)c(OC)c1 ZINC000561081727 332408411 /nfs/dbraw/zinc/40/84/11/332408411.db2.gz JGGHZMXQYVRLCT-OAHLLOKOSA-N 0 3 321.461 4.094 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccccc1-n1cccn1 ZINC000561112792 332413033 /nfs/dbraw/zinc/41/30/33/332413033.db2.gz ZRCRFFOBGWNGLH-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000561278007 332432615 /nfs/dbraw/zinc/43/26/15/332432615.db2.gz MJTJCBSPQUTXIK-NWDGAFQWSA-N 0 3 320.820 4.251 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)N1C[C@H](N2CCCC2)C[C@@H]1C ZINC000561281889 332433194 /nfs/dbraw/zinc/43/31/94/332433194.db2.gz XONFMRAQDGAAHO-QXAKKESOSA-N 0 3 320.521 4.068 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCCc1cccc(C)n1 ZINC000561315523 332436849 /nfs/dbraw/zinc/43/68/49/332436849.db2.gz OZOBOENYFQKKJN-AWEZNQCLSA-N 0 3 318.848 4.335 20 0 DIADHN CCOCC(C)(C)NCc1cccc(OCc2ccccc2)c1 ZINC000394440807 332446270 /nfs/dbraw/zinc/44/62/70/332446270.db2.gz GGNMSIYHJICKHW-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN CC1(C)[C@H](NC2c3ccccc3-c3ccccc32)[C@H]2CCO[C@H]21 ZINC000561455493 332452153 /nfs/dbraw/zinc/45/21/53/332452153.db2.gz VGXXBCLPMBMGJW-MISYRCLQSA-N 0 3 305.421 4.160 20 0 DIADHN CC[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1ccccc1Br ZINC000427686919 332486693 /nfs/dbraw/zinc/48/66/93/332486693.db2.gz GUNBPOMRVMTDHA-BVUBDWEXSA-N 0 3 324.262 4.057 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@H]1COc2ccccc21 ZINC000561781596 332496469 /nfs/dbraw/zinc/49/64/69/332496469.db2.gz BPTFRRPMDAUCCP-OCCSQVGLSA-N 0 3 316.470 4.402 20 0 DIADHN Cc1cc(CNCCSC(C)C)sc1Br ZINC000380269582 332500535 /nfs/dbraw/zinc/50/05/35/332500535.db2.gz VNFWXYSBGURWOK-UHFFFAOYSA-N 0 3 308.310 4.050 20 0 DIADHN CC[C@H](N[C@@H]1CC[C@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000562041399 332535886 /nfs/dbraw/zinc/53/58/86/332535886.db2.gz LQPWHPKXVQGIAH-GARJFASQSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@H](NCCCCCc1ccc(F)cc1)c1ccn(C)n1 ZINC000427845367 332538816 /nfs/dbraw/zinc/53/88/16/332538816.db2.gz XJLVDSBBSXJRCH-KRWDZBQOSA-N 0 3 303.425 4.013 20 0 DIADHN Cn1c(CN[C@@H]2CC[C@@H]2C(C)(C)C)nc2cccc(Cl)c21 ZINC000562063253 332539184 /nfs/dbraw/zinc/53/91/84/332539184.db2.gz CSMXSBHWXGLKOM-WCQYABFASA-N 0 3 305.853 4.141 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1ccc(F)cc1Br ZINC000562057183 332539631 /nfs/dbraw/zinc/53/96/31/332539631.db2.gz NUAIQILUKYWWSU-ATZCPNFKSA-N 0 3 304.178 4.129 20 0 DIADHN Cc1nc(CNCC2(Sc3ccccc3)CCCC2)[nH]c1C ZINC000571658594 334360717 /nfs/dbraw/zinc/36/07/17/334360717.db2.gz NJHJLPCLINLJBZ-UHFFFAOYSA-N 0 3 315.486 4.221 20 0 DIADHN CC[C@@H](N[C@@H]1CCCCC[C@H]1O)c1ccccc1OC(F)F ZINC000562089603 332544918 /nfs/dbraw/zinc/54/49/18/332544918.db2.gz WXWBYOFAQNOUHR-RBSFLKMASA-N 0 3 313.388 4.022 20 0 DIADHN CCSc1cc(CN[C@@H]2CCCc3ccc(F)cc32)ccn1 ZINC000427877473 332553010 /nfs/dbraw/zinc/55/30/10/332553010.db2.gz OPGHVOGSNQKMOR-QGZVFWFLSA-N 0 3 316.445 4.500 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc3c(c2)CCC3)ccc1F ZINC000151189980 332554817 /nfs/dbraw/zinc/55/48/17/332554817.db2.gz PLZDLDHIVFXFRE-AWEZNQCLSA-N 0 3 313.416 4.312 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1ccc(-c2ccccc2OC)cc1 ZINC000427892085 332556895 /nfs/dbraw/zinc/55/68/95/332556895.db2.gz FQDAXUYGLRUQQG-HOTGVXAUSA-N 0 3 313.441 4.438 20 0 DIADHN CN1CCC(Nc2cc(Cl)ccc2OC2CCCC2)CC1 ZINC000427961434 332573851 /nfs/dbraw/zinc/57/38/51/332573851.db2.gz AQFGEJUQQBQLGG-UHFFFAOYSA-N 0 3 308.853 4.168 20 0 DIADHN OCC[C@@H]1CCC[C@@H]1N[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000427982797 332579828 /nfs/dbraw/zinc/57/98/28/332579828.db2.gz ZBLBLQBDLGQDFO-NZVBXONLSA-N 0 3 314.256 4.121 20 0 DIADHN CC[C@H](NC[C@@H](c1cccs1)N(C)C)c1ccccc1F ZINC000168745831 332591906 /nfs/dbraw/zinc/59/19/06/332591906.db2.gz PCFCBJBGTBBXEJ-HOTGVXAUSA-N 0 3 306.450 4.231 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1F)c1cccc(-n2ccnc2)c1 ZINC000151658501 332599929 /nfs/dbraw/zinc/59/99/29/332599929.db2.gz UKFKZBILURTGQF-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN COc1cc(CNC[C@@H](c2ccccc2)C(F)(F)F)ccc1C ZINC000562578629 332606678 /nfs/dbraw/zinc/60/66/78/332606678.db2.gz VNDWFPYUDVPQTR-INIZCTEOSA-N 0 3 323.358 4.439 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2cccc(F)c2)C[C@H](C)O1 ZINC000562653581 332612651 /nfs/dbraw/zinc/61/26/51/332612651.db2.gz FBKUYDDGTNYFEE-VZJWBNGJSA-N 0 3 313.416 4.461 20 0 DIADHN CCSCC[C@@H](C)NCc1ccc(Br)cc1F ZINC000135296429 332618404 /nfs/dbraw/zinc/61/84/04/332618404.db2.gz HWWPXCSLHHLQTP-SNVBAGLBSA-N 0 3 320.271 4.210 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2cn3cc(Cl)ccc3n2)o1 ZINC000525695345 332619190 /nfs/dbraw/zinc/61/91/90/332619190.db2.gz SAYHREXIVOJOFQ-LBPRGKRZSA-N 0 3 317.820 4.000 20 0 DIADHN CC(C)[C@@H](CCO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000525696253 332620772 /nfs/dbraw/zinc/62/07/72/332620772.db2.gz LHFISKZHHZVFHK-MRXNPFEDSA-N 0 3 307.821 4.097 20 0 DIADHN FC(F)(F)c1ccnc(CCNCc2ccccc2Cl)c1 ZINC000562770318 332624147 /nfs/dbraw/zinc/62/41/47/332624147.db2.gz NWYGRXDQFWNAAZ-UHFFFAOYSA-N 0 3 314.738 4.086 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cnc(OC)c(Cl)c1 ZINC000562847436 332631930 /nfs/dbraw/zinc/63/19/30/332631930.db2.gz UCBQLJFBLULGKE-SCZZXKLOSA-N 0 3 310.747 4.125 20 0 DIADHN CC1(C)[C@@H](NCc2ccc(Cl)cn2)C[C@H]1c1ccccc1 ZINC000464079394 332639942 /nfs/dbraw/zinc/63/99/42/332639942.db2.gz UENQZRFRWJWMOH-IRXDYDNUSA-N 0 3 300.833 4.407 20 0 DIADHN CCc1ccc(CNc2cccc3c2OCC[C@@H]3N(C)C)s1 ZINC000562927393 332644861 /nfs/dbraw/zinc/64/48/61/332644861.db2.gz VVYQREVUFFQIRW-KRWDZBQOSA-N 0 3 316.470 4.308 20 0 DIADHN C[C@@H](CNCc1cnc(C(F)(F)F)s1)c1ccccc1 ZINC000443961735 332646605 /nfs/dbraw/zinc/64/66/05/332646605.db2.gz QAOVWQIBVLPGGV-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccc(Br)s1)OC ZINC000394470061 332655031 /nfs/dbraw/zinc/65/50/31/332655031.db2.gz DYSAPRVPSRUEBR-HBNTYKKESA-N 0 3 320.296 4.222 20 0 DIADHN COC[C@@H](NCc1ccc(-c2ccccc2Cl)o1)C(C)C ZINC000525708408 332683195 /nfs/dbraw/zinc/68/31/95/332683195.db2.gz NQXPHPFXVXJPKA-MRXNPFEDSA-N 0 3 307.821 4.361 20 0 DIADHN COC[C@H](NCc1ccc(-c2cccc(F)c2C)o1)C(C)C ZINC000525708368 332683217 /nfs/dbraw/zinc/68/32/17/332683217.db2.gz KLEMQUPBVIQSJU-KRWDZBQOSA-N 0 3 305.393 4.155 20 0 DIADHN COC[C@H](NCc1ccc(-c2ccccc2Cl)o1)C(C)C ZINC000525708407 332683257 /nfs/dbraw/zinc/68/32/57/332683257.db2.gz NQXPHPFXVXJPKA-INIZCTEOSA-N 0 3 307.821 4.361 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(Cl)c3ccccc23)cn1 ZINC000569831888 332683671 /nfs/dbraw/zinc/68/36/71/332683671.db2.gz CLMRZINNWZIPNQ-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN COc1ccncc1CN[C@@H]1C[C@H](C)C[C@H](c2ccccc2)C1 ZINC000569831889 332683844 /nfs/dbraw/zinc/68/38/44/332683844.db2.gz MVDLFOJPJCOCDA-HHXXYDBFSA-N 0 3 310.441 4.152 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000570065581 332707315 /nfs/dbraw/zinc/70/73/15/332707315.db2.gz ZYOYHUPMUIESMB-GJZGRUSLSA-N 0 3 314.429 4.378 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)c1 ZINC000152907671 332708461 /nfs/dbraw/zinc/70/84/61/332708461.db2.gz PGJXFUUOMIWMPF-KUHUBIRLSA-N 0 3 308.425 4.292 20 0 DIADHN Cc1ccccc1[C@H](N[C@H]1CCCc2c1cnn2C)C(C)(C)C ZINC000163469698 332734959 /nfs/dbraw/zinc/73/49/59/332734959.db2.gz JMGOGMWIMSIHGB-HKUYNNGSSA-N 0 3 311.473 4.483 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(OC)c(COC)c1)c1ccncc1 ZINC000163747756 332968753 /nfs/dbraw/zinc/96/87/53/332968753.db2.gz IASHTHPFLVCFDQ-KBXCAEBGSA-N 0 3 314.429 4.039 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1cc2ccccc2s1 ZINC000177987638 333000555 /nfs/dbraw/zinc/00/05/55/333000555.db2.gz YADCXABJLWHRAV-IUODEOHRSA-N 0 3 311.454 4.363 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1cc2ccccc2s1 ZINC000177987648 333000579 /nfs/dbraw/zinc/00/05/79/333000579.db2.gz YADCXABJLWHRAV-WFASDCNBSA-N 0 3 311.454 4.363 20 0 DIADHN CCC(=O)Nc1cccc(CNC/C(C)=C\c2ccccc2)c1 ZINC000518763442 333007066 /nfs/dbraw/zinc/00/70/66/333007066.db2.gz LEEBMQYKLSUMBA-VBKFSLOCSA-N 0 3 308.425 4.228 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1cc(C)ccc1OC ZINC000178408559 333010627 /nfs/dbraw/zinc/01/06/27/333010627.db2.gz OCEKSOPHZBHCOH-RDTXWAMCSA-N 0 3 311.425 4.350 20 0 DIADHN CCc1cc(NCc2ccc(NC(C)=O)cc2)c2ccccc2n1 ZINC000178507491 333013771 /nfs/dbraw/zinc/01/37/71/333013771.db2.gz LHGBDKUMPIOKLN-UHFFFAOYSA-N 0 3 319.408 4.368 20 0 DIADHN Cc1nc(CNC[C@@H](Cc2ccccc2)c2ccccc2)[nH]c1C ZINC000580216676 333016717 /nfs/dbraw/zinc/01/67/17/333016717.db2.gz CMUIZELHXBKVND-HXUWFJFHSA-N 0 3 319.452 4.143 20 0 DIADHN FC(F)(F)c1csc([C@@H]2CCCN([C@H]3C=CCCC3)C2)n1 ZINC000179095018 333023801 /nfs/dbraw/zinc/02/38/01/333023801.db2.gz DUOWZTZXAXIBHS-NEPJUHHUSA-N 0 3 316.392 4.450 20 0 DIADHN OCc1cccc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000180098254 333027255 /nfs/dbraw/zinc/02/72/55/333027255.db2.gz QSYXJGIQHNLYJS-GOSISDBHSA-N 0 3 301.817 4.073 20 0 DIADHN CC(C)OCCCCN[C@H](C)c1ccccc1Br ZINC000180459077 333027949 /nfs/dbraw/zinc/02/79/49/333027949.db2.gz FYFJZNNRQDMXFL-CYBMUJFWSA-N 0 3 314.267 4.305 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccccc1F ZINC000180537314 333028267 /nfs/dbraw/zinc/02/82/67/333028267.db2.gz ASFMVRXCANPKKB-YVEFUNNKSA-N 0 3 315.392 4.118 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000180567311 333029012 /nfs/dbraw/zinc/02/90/12/333029012.db2.gz MWPKTDUWPBBVPD-SCTDSRPQSA-N 0 3 312.482 4.435 20 0 DIADHN CSc1cc(CN[C@@H](C)c2ccc(OC(F)F)cc2)ccn1 ZINC000540136044 333037009 /nfs/dbraw/zinc/03/70/09/333037009.db2.gz KTUJCIZSQAOMBF-NSHDSACASA-N 0 3 324.396 4.256 20 0 DIADHN C[C@H](NC[C@H]1CCCO1)c1ccc(SCC(F)(F)F)cc1 ZINC000153059405 333039972 /nfs/dbraw/zinc/03/99/72/333039972.db2.gz YQZQDSMVKOXHDV-WCQYABFASA-N 0 3 319.392 4.171 20 0 DIADHN CC[C@@H](NCc1ccccc1CN1CCCC1=O)c1ccccc1 ZINC000171975839 333056690 /nfs/dbraw/zinc/05/66/90/333056690.db2.gz KFANTQPIBLWZMX-HXUWFJFHSA-N 0 3 322.452 4.050 20 0 DIADHN COc1cnccc1[C@H](C)NC[C@H](C)c1cccc(Cl)c1 ZINC000189011103 333060718 /nfs/dbraw/zinc/06/07/18/333060718.db2.gz VCNWIAUFVLGSLM-STQMWFEESA-N 0 3 304.821 4.198 20 0 DIADHN COc1cnccc1[C@@H](C)NC[C@@H](C)c1cccc(Cl)c1 ZINC000189011173 333060789 /nfs/dbraw/zinc/06/07/89/333060789.db2.gz VCNWIAUFVLGSLM-CHWSQXEVSA-N 0 3 304.821 4.198 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1ccccc1C(F)(F)F ZINC000378899359 333062319 /nfs/dbraw/zinc/06/23/19/333062319.db2.gz BQLSYZXMYFKDEY-HNNXBMFYSA-N 0 3 307.315 4.188 20 0 DIADHN c1csc([C@H](NCc2cnn3ccccc23)C2CCCC2)c1 ZINC000378925367 333064362 /nfs/dbraw/zinc/06/43/62/333064362.db2.gz SICJXROLPWLBQH-GOSISDBHSA-N 0 3 311.454 4.417 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000460348455 333068146 /nfs/dbraw/zinc/06/81/46/333068146.db2.gz FJYQGWZTSVFTPM-ZIAGYGMSSA-N 0 3 305.447 4.103 20 0 DIADHN C[C@@H](CCNCc1cccc2c1OCCCO2)c1ccccc1 ZINC000378962357 333068405 /nfs/dbraw/zinc/06/84/05/333068405.db2.gz RMEAFILBPAQYPX-INIZCTEOSA-N 0 3 311.425 4.131 20 0 DIADHN Cc1ccc(Br)cc1CN(C)[C@@H](C)c1ccncc1 ZINC000313769088 333068605 /nfs/dbraw/zinc/06/86/05/333068605.db2.gz DIVGPDGEJNPPFH-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN c1coc([C@@H]2CCCCCN2CCCO[C@H]2CCCCO2)c1 ZINC000460382704 333075659 /nfs/dbraw/zinc/07/56/59/333075659.db2.gz ARZOYEFLFYREJS-WMZOPIPTSA-N 0 3 307.434 4.130 20 0 DIADHN CCN(CCCO[C@H]1CCCCO1)Cc1ccc(Cl)s1 ZINC000460385089 333076137 /nfs/dbraw/zinc/07/61/37/333076137.db2.gz YSYTXDOOJOLRIN-HNNXBMFYSA-N 0 3 317.882 4.157 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2[nH]1)C1CCCCC1 ZINC000460384539 333076224 /nfs/dbraw/zinc/07/62/24/333076224.db2.gz JKZRCWOHROZINT-UHFFFAOYSA-N 0 3 322.250 4.090 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2[nH]1)C1CCCCC1 ZINC000460384539 333076225 /nfs/dbraw/zinc/07/62/25/333076225.db2.gz JKZRCWOHROZINT-UHFFFAOYSA-N 0 3 322.250 4.090 20 0 DIADHN C[C@H](CSc1nnc(-c2ccc(C(C)(C)C)cc2)o1)N(C)C ZINC000460432675 333085742 /nfs/dbraw/zinc/08/57/42/333085742.db2.gz UXVXYINNPWXFMA-GFCCVEGCSA-N 0 3 319.474 4.076 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)nc1C ZINC000314096917 333087231 /nfs/dbraw/zinc/08/72/31/333087231.db2.gz BDOOCEBCCIDYIJ-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)C2(C)C)cc(C)c1O ZINC000314148642 333089671 /nfs/dbraw/zinc/08/96/71/333089671.db2.gz FLOODWZIDHCZBB-CYBMUJFWSA-N 0 3 301.352 4.172 20 0 DIADHN Cc1cc(F)ccc1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000391832955 335120515 /nfs/dbraw/zinc/12/05/15/335120515.db2.gz YMSACMRTQGSRGN-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H](C)c1ccc(Cl)cc1 ZINC000314288603 333098723 /nfs/dbraw/zinc/09/87/23/333098723.db2.gz CESHTROBSARHFK-ZDUSSCGKSA-N 0 3 313.832 4.349 20 0 DIADHN CCCOc1cccc(CNC[C@H](C)Oc2ccccc2F)c1 ZINC000462733881 333099551 /nfs/dbraw/zinc/09/95/51/333099551.db2.gz GWVUMMUMQCDVIM-HNNXBMFYSA-N 0 3 317.404 4.172 20 0 DIADHN CCCOc1cccc(CNC[C@@H](C)Oc2ccccc2F)c1 ZINC000462733882 333099802 /nfs/dbraw/zinc/09/98/02/333099802.db2.gz GWVUMMUMQCDVIM-OAHLLOKOSA-N 0 3 317.404 4.172 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000215931414 333100070 /nfs/dbraw/zinc/10/00/70/333100070.db2.gz ZKCWPKFPZOSNTJ-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN O[C@@H](CN[C@@H](c1cccc(Cl)c1)C1CCCC1)C(F)(F)F ZINC000461384762 333100964 /nfs/dbraw/zinc/10/09/64/333100964.db2.gz YFCPYCLSMXDPJP-UONOGXRCSA-N 0 3 321.770 4.084 20 0 DIADHN CC(C)CCn1cccc1CNC[C@@H](C)Oc1ccccc1F ZINC000462739129 333103425 /nfs/dbraw/zinc/10/34/25/333103425.db2.gz VJMGTAQOGZHJBA-MRXNPFEDSA-N 0 3 318.436 4.230 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000314406227 333108666 /nfs/dbraw/zinc/10/86/66/333108666.db2.gz VWTAREAHCOVGMS-WCQYABFASA-N 0 3 305.315 4.045 20 0 DIADHN Cc1cnc([C@@H](C)NCC2CCC(C(F)(F)F)CC2)s1 ZINC000183727079 333113771 /nfs/dbraw/zinc/11/37/71/333113771.db2.gz TYOZSMLQTLXGKV-VOMCLLRMSA-N 0 3 306.397 4.471 20 0 DIADHN C[C@H](O)[C@](C)(CNCc1sccc1Cl)Cc1ccccc1 ZINC000487175587 333116042 /nfs/dbraw/zinc/11/60/42/333116042.db2.gz VQPIYSBCQSXPBX-GUYCJALGSA-N 0 3 323.889 4.121 20 0 DIADHN CC(C)N(C(=O)CN[C@@H](C)c1cccc2ccccc21)C(C)C ZINC000215985496 333116546 /nfs/dbraw/zinc/11/65/46/333116546.db2.gz TUIYICOQZBBWPT-INIZCTEOSA-N 0 3 312.457 4.136 20 0 DIADHN CC(C)(NCC1=CCCOC1)c1ccc(Cl)c(Cl)c1 ZINC000176832055 333120618 /nfs/dbraw/zinc/12/06/18/333120618.db2.gz UNWROGMNORUBAE-UHFFFAOYSA-N 0 3 300.229 4.165 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCCN(CC(C)(C)C)C2=O)c(C)s1 ZINC000460670622 333122532 /nfs/dbraw/zinc/12/25/32/333122532.db2.gz PISBLJMJQLRHRF-CJNGLKHVSA-N 0 3 322.518 4.053 20 0 DIADHN C[C@@H](N[C@H]1CCCN(CC(C)(C)C)C1=O)c1ccc(Cl)cc1 ZINC000460675748 333123222 /nfs/dbraw/zinc/12/32/22/333123222.db2.gz YGGZTFDUJNTWEJ-CJNGLKHVSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CCCN[C@@H](C)c1ccco1 ZINC000177870504 333132804 /nfs/dbraw/zinc/13/28/04/333132804.db2.gz YDBLUMUXFYTRDF-INIZCTEOSA-N 0 3 309.413 4.057 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(OC)c(F)c2)ccc1C ZINC000177985972 333133834 /nfs/dbraw/zinc/13/38/34/333133834.db2.gz GYQJTZZMHSOEKJ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(OC)c(F)c2)ccc1C ZINC000177985960 333133950 /nfs/dbraw/zinc/13/39/50/333133950.db2.gz GYQJTZZMHSOEKJ-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1ncoc1-c1ccccc1 ZINC000462118315 333143068 /nfs/dbraw/zinc/14/30/68/333143068.db2.gz FDENIVQUOQLPAC-GOSISDBHSA-N 0 3 306.409 4.453 20 0 DIADHN Cn1cc(-c2cccc(CNCc3cc(Cl)cs3)c2)cn1 ZINC000487558829 333146852 /nfs/dbraw/zinc/14/68/52/333146852.db2.gz KKSHQJVPSPKBHV-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H]1CCO[C@H](C(C)C)C1 ZINC000487571545 333150839 /nfs/dbraw/zinc/15/08/39/333150839.db2.gz IEYXKOGWJDWUEG-ZBFHGGJFSA-N 0 3 311.853 4.032 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccnc(Cl)c2)cc1 ZINC000179526922 333152047 /nfs/dbraw/zinc/15/20/47/333152047.db2.gz VACOOTGCUIHLAZ-ZDUSSCGKSA-N 0 3 304.821 4.373 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2ccccn2)cc1Cl ZINC000188152675 333160831 /nfs/dbraw/zinc/16/08/31/333160831.db2.gz QACYCCUTNQGECL-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCCCCF)c2ccccc2)cc1 ZINC000461081320 333164888 /nfs/dbraw/zinc/16/48/88/333164888.db2.gz AXLKIMVOODNDMU-GOSISDBHSA-N 0 3 314.404 4.014 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(CN(C)C)cc1)c1ccc(F)cc1 ZINC000460851571 333166187 /nfs/dbraw/zinc/16/61/87/333166187.db2.gz BMJCRDNENNYNBI-SFHVURJKSA-N 0 3 314.404 4.020 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1Cc1ccccc1)N(CC)CC ZINC000460861548 333172876 /nfs/dbraw/zinc/17/28/76/333172876.db2.gz NJYGPPIGJMEPRD-HXUWFJFHSA-N 0 3 324.468 4.336 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1Cc1ccccc1)N(CC)CC ZINC000460861545 333172951 /nfs/dbraw/zinc/17/29/51/333172951.db2.gz NJYGPPIGJMEPRD-FQEVSTJZSA-N 0 3 324.468 4.336 20 0 DIADHN COc1ccc(CNCC2(SC)CC2)c(OC2CCCC2)c1 ZINC000582029642 333173763 /nfs/dbraw/zinc/17/37/63/333173763.db2.gz FALSZBWXLJZWBZ-UHFFFAOYSA-N 0 3 321.486 4.002 20 0 DIADHN Clc1cc(Cl)cc(CCNCc2cccc3c2OCO3)c1 ZINC000518781283 333185256 /nfs/dbraw/zinc/18/52/56/333185256.db2.gz YOYGSEHMKLHSSI-UHFFFAOYSA-N 0 3 324.207 4.054 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](CO)C1)c1cc(Cl)ccc1Cl ZINC000387950340 333187073 /nfs/dbraw/zinc/18/70/73/333187073.db2.gz BBJQQFDFEKKJLK-LOWVWBTDSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ncc(Br)s1 ZINC000309723138 333192171 /nfs/dbraw/zinc/19/21/71/333192171.db2.gz CIIBZRWPJHRPEB-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN CCOc1cc(CNCc2ccc(C(F)F)cc2)ccc1OC ZINC000185180987 333205126 /nfs/dbraw/zinc/20/51/26/333205126.db2.gz PNOXHTOVALKOCT-UHFFFAOYSA-N 0 3 321.367 4.321 20 0 DIADHN CS[C@H]1CC[C@H]1NCc1cc(Cl)ccc1Br ZINC000309897283 333206190 /nfs/dbraw/zinc/20/61/90/333206190.db2.gz GIXRCAUNRNUEGZ-NEPJUHHUSA-N 0 3 320.683 4.086 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc(C(F)F)cc2)cc1 ZINC000185358234 333207595 /nfs/dbraw/zinc/20/75/95/333207595.db2.gz QNCSTXPTXURKFX-GFCCVEGCSA-N 0 3 318.367 4.433 20 0 DIADHN C[C@H](NCCOCC(F)F)c1ccc(-c2ccccc2)cc1 ZINC000318772914 333209109 /nfs/dbraw/zinc/20/91/09/333209109.db2.gz CGXNZJOFSMTZOR-AWEZNQCLSA-N 0 3 305.368 4.286 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000185624993 333210628 /nfs/dbraw/zinc/21/06/28/333210628.db2.gz VPCSONIZNDPILO-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN CN(CCC1CC1)[C@@H]1CCOc2ccc(Br)cc21 ZINC000445891052 333218358 /nfs/dbraw/zinc/21/83/58/333218358.db2.gz RKFDPHQHFMHHRV-CQSZACIVSA-N 0 3 310.235 4.005 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccco2)N2CCCC2)cc1Cl ZINC000318898789 333221815 /nfs/dbraw/zinc/22/18/15/333221815.db2.gz PYNFWQXXCWREFI-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNC[C@H]2CCSC2)o1 ZINC000582102337 333225552 /nfs/dbraw/zinc/22/55/52/333225552.db2.gz GWJDOTLNFOZXHB-LLVKDONJSA-N 0 3 309.381 4.068 20 0 DIADHN Cc1cnccc1CCN1CCCC[C@H]1c1nc2ccccc2o1 ZINC000563062210 333227078 /nfs/dbraw/zinc/22/70/78/333227078.db2.gz WTHPSEHPMFDWJI-SFHVURJKSA-N 0 3 321.424 4.301 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(-c3ccccc3)cc21)c1cnn(C)c1 ZINC000187244855 333229808 /nfs/dbraw/zinc/22/98/08/333229808.db2.gz GAAASVFATMNDHF-QVKFZJNVSA-N 0 3 317.436 4.425 20 0 DIADHN COC(=O)Nc1cccc(CNCc2cccc3ccccc32)c1 ZINC000497307279 333234586 /nfs/dbraw/zinc/23/45/86/333234586.db2.gz PFUNWYXEQWNOJR-UHFFFAOYSA-N 0 3 320.392 4.308 20 0 DIADHN C/C=C/c1ccc(NC(=O)NC[C@@H](c2ccccc2)N(C)C)cc1 ZINC000497379517 333242615 /nfs/dbraw/zinc/24/26/15/333242615.db2.gz ABGCRPAGVHQDDY-AMGQUURNSA-N 0 3 323.440 4.144 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N[C@@H](C)c1ccccc1 ZINC000497386093 333243688 /nfs/dbraw/zinc/24/36/88/333243688.db2.gz HZOKFSHEDXRACS-LAUBAEHRSA-N 0 3 324.468 4.193 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC(=O)N[C@@H](C)c1ccccc1 ZINC000497386095 333243740 /nfs/dbraw/zinc/24/37/40/333243740.db2.gz HZOKFSHEDXRACS-UWJYYQICSA-N 0 3 324.468 4.193 20 0 DIADHN CCCCNC(=O)[C@H]1CCCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC000497388988 333244290 /nfs/dbraw/zinc/24/42/90/333244290.db2.gz ONMIARPULRLEBI-HOCLYGCPSA-N 0 3 322.880 4.029 20 0 DIADHN CCCCNC(=O)[C@@H]1CCCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC000497390360 333244863 /nfs/dbraw/zinc/24/48/63/333244863.db2.gz QPZWKTGAPOZUMY-GOEBONIOSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)NC1CCC(C)CC1 ZINC000497410752 333248021 /nfs/dbraw/zinc/24/80/21/333248021.db2.gz VYHLYWSXLAJNFQ-OUNSHVDWSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccc2nc(CN(C)[C@H](c3ccccc3)C(C)C)cn2c1 ZINC000497428975 333250199 /nfs/dbraw/zinc/25/01/99/333250199.db2.gz BBTGOWMHWNWDSA-FQEVSTJZSA-N 0 3 307.441 4.472 20 0 DIADHN Cc1cc(CNC[C@@H](C)C(F)(F)F)sc1Br ZINC000390226418 333250764 /nfs/dbraw/zinc/25/07/64/333250764.db2.gz BGISZMVPAJZIIH-SSDOTTSWSA-N 0 3 316.186 4.107 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)N(C)c1ccccc1)c1ccc(F)cn1 ZINC000497519240 333258162 /nfs/dbraw/zinc/25/81/62/333258162.db2.gz BEWFFUNLBYPUEN-BEFAXECRSA-N 0 3 315.436 4.032 20 0 DIADHN CN(c1nc(CN2CCCC23CCCCC3)cs1)C1CC1 ZINC000533936057 333261198 /nfs/dbraw/zinc/26/11/98/333261198.db2.gz YTXAFMCNLMYVFD-UHFFFAOYSA-N 0 3 305.491 4.040 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCOc1ccc(F)cc1 ZINC000497599483 333267664 /nfs/dbraw/zinc/26/76/64/333267664.db2.gz DTTLNCHVLPYSDO-GFCCVEGCSA-N 0 3 309.331 4.176 20 0 DIADHN CCC(=O)CCN1CCC(c2nc3cc(Cl)ccc3o2)CC1 ZINC000190290726 333269565 /nfs/dbraw/zinc/26/95/65/333269565.db2.gz IZNFIXHYTZAGBX-UHFFFAOYSA-N 0 3 320.820 4.030 20 0 DIADHN CCOC(=O)CC1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC000497615398 333270009 /nfs/dbraw/zinc/27/00/09/333270009.db2.gz OAYKJFQPFVAERO-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN Clc1ccc(CNCc2ccc(Br)s2)cc1 ZINC000020209876 333286100 /nfs/dbraw/zinc/28/61/00/333286100.db2.gz LEMXNLQMSDKAPH-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN C[C@@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@@H]1CCCOC1 ZINC000503898417 333274016 /nfs/dbraw/zinc/27/40/16/333274016.db2.gz APSLKBUKPWXVAY-GHMZBOCLSA-N 0 3 321.770 4.264 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cccc(F)c1F ZINC000503910241 333275245 /nfs/dbraw/zinc/27/52/45/333275245.db2.gz LGWLBNTVTKBPGV-ZWKOPEQDSA-N 0 3 305.315 4.166 20 0 DIADHN C[C@@H](CCc1ccccc1)N[C@@H](C)c1nc2ccccc2n1C ZINC000390393944 333276350 /nfs/dbraw/zinc/27/63/50/333276350.db2.gz FAQICQLIMBTRNJ-HOTGVXAUSA-N 0 3 307.441 4.245 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](C)[C@H](C)C1 ZINC000501821270 333279989 /nfs/dbraw/zinc/27/99/89/333279989.db2.gz WYHFFHVIIWPLRT-XHSDSOJGSA-N 0 3 302.462 4.115 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1cccc(F)c1)c1nc2c(s1)CCC2 ZINC000497710131 333280849 /nfs/dbraw/zinc/28/08/49/333280849.db2.gz DAHCECCCXNWQCI-QWHCGFSZSA-N 0 3 318.461 4.443 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccccc2-n2cccn2)cc1 ZINC000390452904 333281653 /nfs/dbraw/zinc/28/16/53/333281653.db2.gz BVBSOUGYSVXYHQ-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1ccccc1OC(F)F ZINC000319326019 333284144 /nfs/dbraw/zinc/28/41/44/333284144.db2.gz AMFVAECAIMSLAJ-LBPRGKRZSA-N 0 3 309.331 4.148 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1N[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502431712 333294998 /nfs/dbraw/zinc/29/49/98/333294998.db2.gz ZZYCIICZXDFQNH-SNRMKQJTSA-N 0 3 319.493 4.243 20 0 DIADHN CCOCCCCN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000497810485 333295361 /nfs/dbraw/zinc/29/53/61/333295361.db2.gz WDJBBKHWSJTRID-FQEVSTJZSA-N 0 3 324.424 4.324 20 0 DIADHN C[C@H](CCn1cccn1)N[C@H](C)c1cccc(Cl)c1Cl ZINC000497814147 333295826 /nfs/dbraw/zinc/29/58/26/333295826.db2.gz WBJYAXLITJDVIS-VXGBXAGGSA-N 0 3 312.244 4.319 20 0 DIADHN CS[C@H](CO)[C@H](C)N[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497825662 333297796 /nfs/dbraw/zinc/29/77/96/333297796.db2.gz GRICUMOYOPFGEL-VUCTXSBTSA-N 0 3 321.486 4.222 20 0 DIADHN CC(C)(C)[C@@H](N[C@@H]1CCN(C2CC2)C1)c1cc2ccccc2o1 ZINC000497835425 333298680 /nfs/dbraw/zinc/29/86/80/333298680.db2.gz HGUCPGMZKGBBQY-BEFAXECRSA-N 0 3 312.457 4.346 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc2ccccc21)C(=O)NC1CCCCC1 ZINC000216050092 333299512 /nfs/dbraw/zinc/29/95/12/333299512.db2.gz CKUBQKYFAFALLO-HOTGVXAUSA-N 0 3 324.468 4.328 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc2ccccc21)C(=O)NC1CCCCC1 ZINC000216050139 333299623 /nfs/dbraw/zinc/29/96/23/333299623.db2.gz CKUBQKYFAFALLO-HZPDHXFCSA-N 0 3 324.468 4.328 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc2ccccc21)C(=O)NC1CCCCC1 ZINC000216050043 333299670 /nfs/dbraw/zinc/29/96/70/333299670.db2.gz CKUBQKYFAFALLO-JKSUJKDBSA-N 0 3 324.468 4.328 20 0 DIADHN C[C@@H](C[C@H](O)c1cccs1)NCc1sccc1Cl ZINC000193195805 333300008 /nfs/dbraw/zinc/30/00/08/333300008.db2.gz AODPLUPXMGBJDF-ONGXEEELSA-N 0 3 301.864 4.065 20 0 DIADHN C[C@@H](CNCc1cc2ccccc2o1)N(C)Cc1ccccc1 ZINC000390561044 333300237 /nfs/dbraw/zinc/30/02/37/333300237.db2.gz LPUZJNRAOZFXSU-INIZCTEOSA-N 0 3 308.425 4.043 20 0 DIADHN CC(C)[C@@H](NCCc1cc(F)cc(F)c1)c1ccc(F)cn1 ZINC000497843875 333300571 /nfs/dbraw/zinc/30/05/71/333300571.db2.gz VXVHTRCAMLGTLK-QGZVFWFLSA-N 0 3 308.347 4.028 20 0 DIADHN CC(C)[C@H](NC[C@H](C)Oc1cccc(F)c1)c1ccc(F)cn1 ZINC000497852652 333301671 /nfs/dbraw/zinc/30/16/71/333301671.db2.gz JWQRCDJCVSLNCP-UGSOOPFHSA-N 0 3 320.383 4.114 20 0 DIADHN C[C@@H](CNCc1c(F)cccc1Cl)N(C)Cc1ccccc1 ZINC000390578460 333302626 /nfs/dbraw/zinc/30/26/26/333302626.db2.gz XOYZDSXQHBUEBD-AWEZNQCLSA-N 0 3 320.839 4.089 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc(C)c(C)s2)c1 ZINC000497874270 333303537 /nfs/dbraw/zinc/30/35/37/333303537.db2.gz HDTYTIGDXUZDBI-UHFFFAOYSA-N 0 3 316.470 4.459 20 0 DIADHN CC(C)[C@@H](NCCc1c(F)cccc1F)c1ccc(F)cn1 ZINC000497867884 333303652 /nfs/dbraw/zinc/30/36/52/333303652.db2.gz LZBPJFFWTVRYMM-QGZVFWFLSA-N 0 3 308.347 4.028 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cn3cc(Cl)ccc3n2)C1 ZINC000525781049 333305425 /nfs/dbraw/zinc/30/54/25/333305425.db2.gz XQRYOSSJHRCBLB-HUUCEWRRSA-N 0 3 323.893 4.094 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1ccccc1OC(F)F ZINC000390623161 333307783 /nfs/dbraw/zinc/30/77/83/333307783.db2.gz HIUKFDAVOJMCCG-WDEREUQCSA-N 0 3 305.315 4.374 20 0 DIADHN c1coc(CCNCc2ccc(-c3nc4ccccc4s3)o2)c1 ZINC000319487960 333308325 /nfs/dbraw/zinc/30/83/25/333308325.db2.gz CURXFAKMFOJJPT-UHFFFAOYSA-N 0 3 324.405 4.482 20 0 DIADHN Cc1cccc(CCN[C@H](c2ccccc2)c2ccncc2)c1 ZINC000390641924 333310137 /nfs/dbraw/zinc/31/01/37/333310137.db2.gz HHZKXWXTIPXGBV-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN COc1ccc([C@H](C)NCc2c(C)cc(C)cc2C)c(OC)c1 ZINC000319529688 333313019 /nfs/dbraw/zinc/31/30/19/333313019.db2.gz BYFSLAXNPIFODW-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN CCC(CC)N(Cc1ccn(-c2ccc(F)cc2)n1)C1CC1 ZINC000525787072 333313414 /nfs/dbraw/zinc/31/34/14/333313414.db2.gz JLBRWLYRMJYYOZ-UHFFFAOYSA-N 0 3 301.409 4.164 20 0 DIADHN CCN(C(=O)CCC(C)C)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000497959688 333314701 /nfs/dbraw/zinc/31/47/01/333314701.db2.gz YUFYYWIMSUKHHG-HKUYNNGSSA-N 0 3 316.489 4.107 20 0 DIADHN CCC[C@H](C)C(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000497983687 333317239 /nfs/dbraw/zinc/31/72/39/333317239.db2.gz CEKVPVJVXQBJFS-HOTGVXAUSA-N 0 3 322.518 4.074 20 0 DIADHN CCOc1cc(CNC[C@H]2CCCCC2(F)F)ccc1OC ZINC000390713921 333317966 /nfs/dbraw/zinc/31/79/66/333317966.db2.gz XNCTYQBOAIKJCO-CQSZACIVSA-N 0 3 313.388 4.009 20 0 DIADHN Fc1cc(Cl)ccc1CNCCc1cccc2cccnc21 ZINC000319583643 333319080 /nfs/dbraw/zinc/31/90/80/333319080.db2.gz JOXORYDQEQSFLO-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000391914305 335133455 /nfs/dbraw/zinc/13/34/55/335133455.db2.gz PNQQFBBFDBLYEJ-IRXDYDNUSA-N 0 3 324.468 4.309 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3c(c2)OCCO3)c(C)c1 ZINC000319614646 333323310 /nfs/dbraw/zinc/32/33/10/333323310.db2.gz NUNVIHKRHBKSPR-MRXNPFEDSA-N 0 3 311.425 4.234 20 0 DIADHN Cc1ccc(-c2nc(CNC(C)(C)C(C)(C)CO)cs2)cc1 ZINC000531206249 333330724 /nfs/dbraw/zinc/33/07/24/333330724.db2.gz FCKLIWMINYTTMH-UHFFFAOYSA-N 0 3 318.486 4.005 20 0 DIADHN CC(C)(CO)C(C)(C)NCc1ccc(-c2ccccc2Cl)o1 ZINC000531206841 333331191 /nfs/dbraw/zinc/33/11/91/333331191.db2.gz HFIWCRZANYXNIE-UHFFFAOYSA-N 0 3 321.848 4.487 20 0 DIADHN Fc1cccc(F)c1CNC[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000531212703 333335030 /nfs/dbraw/zinc/33/50/30/333335030.db2.gz ARMURHHHQHZCET-QWRGUYRKSA-N 0 3 307.306 4.423 20 0 DIADHN CC[C@@H](C[C@@H](C)CO)NCc1cnc(C2CCCCC2)s1 ZINC000531213069 333335057 /nfs/dbraw/zinc/33/50/57/333335057.db2.gz DFWPWLBFSCSTKD-HIFRSBDPSA-N 0 3 310.507 4.078 20 0 DIADHN Brc1ccc([C@H]2CCCN2CC2CCOCC2)cc1 ZINC000531211484 333335154 /nfs/dbraw/zinc/33/51/54/333335154.db2.gz DMLSOMFWMNBJPP-MRXNPFEDSA-N 0 3 324.262 4.013 20 0 DIADHN COc1cc(CN2CC[C@@H]2Cc2ccccc2)ccc1SC ZINC000531233104 333336680 /nfs/dbraw/zinc/33/66/80/333336680.db2.gz AUICRNXJJGFLBB-QGZVFWFLSA-N 0 3 313.466 4.234 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000323467638 333338977 /nfs/dbraw/zinc/33/89/77/333338977.db2.gz KOJMQNLAXQZMGZ-MRXNPFEDSA-N 0 3 321.415 4.415 20 0 DIADHN Brc1ccc2c(c1)CC[C@@H]2NCc1cccs1 ZINC000040226544 333341513 /nfs/dbraw/zinc/34/15/13/333341513.db2.gz RULHGDWSDXBNIK-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN Fc1ccc(CN[C@H]2CCc3cc(Br)ccc32)cc1 ZINC000040226299 333341642 /nfs/dbraw/zinc/34/16/42/333341642.db2.gz LNGUCSGEDBEQFG-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cccc(Cl)c3)n2)cc1 ZINC000531348456 333345356 /nfs/dbraw/zinc/34/53/56/333345356.db2.gz JAOQERUAHGVSNT-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccsc1 ZINC000537941035 333350735 /nfs/dbraw/zinc/35/07/35/333350735.db2.gz FWWXKPTZKQRDJD-AAEUAGOBSA-N 0 3 324.852 4.470 20 0 DIADHN COc1cccc(COCCN[C@@H](C)c2cc(C)sc2C)c1 ZINC000537941305 333351726 /nfs/dbraw/zinc/35/17/26/333351726.db2.gz OECIROQQUYTECS-AWEZNQCLSA-N 0 3 319.470 4.241 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1cnn(C)c1 ZINC000537941486 333354010 /nfs/dbraw/zinc/35/40/10/333354010.db2.gz SCJQLQJLQQCHDT-NVXWUHKLSA-N 0 3 317.502 4.258 20 0 DIADHN C[C@H](NCCc1ccco1)c1ccc(F)cc1Br ZINC000221760465 333366101 /nfs/dbraw/zinc/36/61/01/333366101.db2.gz UCWFOFKOZIJDGE-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2cccc3c[nH]nc32)c1 ZINC000531452204 333368543 /nfs/dbraw/zinc/36/85/43/333368543.db2.gz IKVWMGUSLDXCSX-UHFFFAOYSA-N 0 3 323.440 4.168 20 0 DIADHN Cc1ccc(N[C@@H]2CS[C@H](C(C)(C)C)C2)c(CN(C)C)c1 ZINC000488327095 333371983 /nfs/dbraw/zinc/37/19/83/333371983.db2.gz DJPGWUXLZJRIST-RDJZCZTQSA-N 0 3 306.519 4.389 20 0 DIADHN CCCCOCCN[C@@H](C)c1ccc(F)c(Br)c1 ZINC000040506931 333372297 /nfs/dbraw/zinc/37/22/97/333372297.db2.gz BQFMXHGTHGPGOO-NSHDSACASA-N 0 3 318.230 4.056 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@H](C)CC(F)(F)F)oc21 ZINC000221872639 333373073 /nfs/dbraw/zinc/37/30/73/333373073.db2.gz GWGHRCZOJIGPGU-NXEZZACHSA-N 0 3 301.308 4.433 20 0 DIADHN c1csc(CN(CC[C@H]2CCCO2)Cc2cccs2)c1 ZINC000188549858 333373742 /nfs/dbraw/zinc/37/37/42/333373742.db2.gz DHGRFJRJEGGQCB-CQSZACIVSA-N 0 3 307.484 4.381 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(C(C)(C)O)cc2)cc1 ZINC000186606488 333375068 /nfs/dbraw/zinc/37/50/68/333375068.db2.gz BKGKVNZONURGGV-HNNXBMFYSA-N 0 3 313.441 4.164 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccc(Br)s1 ZINC000063099687 333418983 /nfs/dbraw/zinc/41/89/83/333418983.db2.gz ZIMGQSFXMUVDOP-LURJTMIESA-N 0 3 302.159 4.114 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCSc3ccccc32)cc1 ZINC000186613537 333375467 /nfs/dbraw/zinc/37/54/67/333375467.db2.gz YIXQPDLDMQXMCY-QGZVFWFLSA-N 0 3 313.466 4.241 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccccc2OC(F)F)CS1 ZINC000488426524 333376156 /nfs/dbraw/zinc/37/61/56/333376156.db2.gz TUFQYLWSANTJOP-TZMCWYRMSA-N 0 3 315.429 4.298 20 0 DIADHN C[C@@H](NC[C@H](C)c1nccs1)c1cnc2ccsc2c1 ZINC000186725057 333377424 /nfs/dbraw/zinc/37/74/24/333377424.db2.gz BJYRFFMCSPYSIM-WDEREUQCSA-N 0 3 303.456 4.207 20 0 DIADHN COC[C@H](N[C@@H]1CS[C@@H](C(C)(C)C)C1)c1ccc(F)cc1 ZINC000488442674 333377835 /nfs/dbraw/zinc/37/78/35/333377835.db2.gz FKZPPLUXNWVLGE-HRCADAONSA-N 0 3 311.466 4.023 20 0 DIADHN CSCCCCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000186779788 333378667 /nfs/dbraw/zinc/37/86/67/333378667.db2.gz KKRYCLDBVSORFO-SFHVURJKSA-N 0 3 300.471 4.294 20 0 DIADHN COCC[C@H](N[C@H]1CS[C@@H](C(C)(C)C)C1)c1ccc(C)o1 ZINC000488521221 333382228 /nfs/dbraw/zinc/38/22/28/333382228.db2.gz JEOBPFSNVKPWKM-IJEWVQPXSA-N 0 3 311.491 4.175 20 0 DIADHN Fc1ccc([C@H](NCC[C@@H]2CCCCO2)c2ccccc2)cc1 ZINC000391938267 335137292 /nfs/dbraw/zinc/13/72/92/335137292.db2.gz MADLOFHLBGXEOU-VQTJNVASSA-N 0 3 313.416 4.464 20 0 DIADHN Cc1ccc([C@H](NCc2cccnc2N(C)C)C2CCC2)cc1 ZINC000187000159 333383770 /nfs/dbraw/zinc/38/37/70/333383770.db2.gz NOGUKHYYSDPKTB-LJQANCHMSA-N 0 3 309.457 4.087 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C1CCCCC1)c1ccc2ccccc2n1 ZINC000188662764 333384638 /nfs/dbraw/zinc/38/46/38/333384638.db2.gz QIYZCBBCNJGMAR-FOIQADDNSA-N 0 3 312.457 4.217 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@@H]1CCCN1Cc1c(Cl)cccc1Cl ZINC000228486568 333386817 /nfs/dbraw/zinc/38/68/17/333386817.db2.gz BIDCNISFRWZRQL-KNXALSJPSA-N 0 3 314.256 4.119 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1ccc(F)cc1)c1ccc(C)o1 ZINC000468561141 335137495 /nfs/dbraw/zinc/13/74/95/335137495.db2.gz RYHKDSFNLQJNSC-SUMWQHHRSA-N 0 3 305.393 4.026 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc(C)sc2C)cc1OC ZINC000021038118 333386899 /nfs/dbraw/zinc/38/68/99/333386899.db2.gz OKMLLYQMWFFMAY-GFCCVEGCSA-N 0 3 305.443 4.233 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CCSc2ccccc21)c1cccs1 ZINC000021500994 333388465 /nfs/dbraw/zinc/38/84/65/333388465.db2.gz KLGWTBBFSDNPLR-CABCVRRESA-N 0 3 318.511 4.178 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2cc(Cl)cc3c2OCC3)C1 ZINC000228558213 333390098 /nfs/dbraw/zinc/39/00/98/333390098.db2.gz DNNRLHZLPHLYAZ-HUUCEWRRSA-N 0 3 311.878 4.039 20 0 DIADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1ccc2ccccc2c1OC(F)F ZINC000563126138 333395137 /nfs/dbraw/zinc/39/51/37/333395137.db2.gz RVAXTPUGQDTGMU-BZPMIXESSA-N 0 3 321.367 4.269 20 0 DIADHN COC[C@H](N[C@H]1CCC[C@@H](F)C1)c1cccc(C(F)(F)F)c1 ZINC000563127111 333395381 /nfs/dbraw/zinc/39/53/81/333395381.db2.gz WDDYAPTYGXXFJC-ILXRZTDVSA-N 0 3 319.342 4.263 20 0 DIADHN Cc1cnc(CNCCc2ccc(Cl)cc2Cl)s1 ZINC000394734278 333401224 /nfs/dbraw/zinc/40/12/24/333401224.db2.gz QHUIDVIWEKLPDO-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc(Cl)s2)cc1 ZINC000021017060 333412252 /nfs/dbraw/zinc/41/22/52/333412252.db2.gz VVNPELFXQQSNTH-UHFFFAOYSA-N 0 3 303.761 4.293 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(O)c1)c1ccc(OC)c(OC)c1 ZINC000319844964 333412866 /nfs/dbraw/zinc/41/28/66/333412866.db2.gz MPJDGFZWAMIDGI-DYVFJYSZSA-N 0 3 315.413 4.211 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CCCc2c(C)ccc(C)c21 ZINC000187059042 333416206 /nfs/dbraw/zinc/41/62/06/333416206.db2.gz ZZDGVEABQUMESJ-MAUKXSAKSA-N 0 3 310.441 4.435 20 0 DIADHN CC[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(F)cc1 ZINC000319964263 333419611 /nfs/dbraw/zinc/41/96/11/333419611.db2.gz JADLLVKBPVOWTP-ROUUACIJSA-N 0 3 316.420 4.297 20 0 DIADHN C[C@H](Nc1cccc(OCCN(C)C)c1)c1cccc(F)c1F ZINC000391168004 333422326 /nfs/dbraw/zinc/42/23/26/333422326.db2.gz XWJNHXPVDJQLLZ-ZDUSSCGKSA-N 0 3 320.383 4.078 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3c(c2)CCC3)cc1OC ZINC000320046442 333422522 /nfs/dbraw/zinc/42/25/22/333422522.db2.gz RSNVOIRHAGAUKI-CQSZACIVSA-N 0 3 311.425 4.043 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3ccccc31)CCO2 ZINC000320136019 333428322 /nfs/dbraw/zinc/42/83/22/333428322.db2.gz ZTUWARDOFNCLBL-MOPGFXCFSA-N 0 3 309.409 4.186 20 0 DIADHN CC(C)CCOC1CCN(CCSCc2ccccc2)CC1 ZINC000430745219 335141577 /nfs/dbraw/zinc/14/15/77/335141577.db2.gz WIFMZJZBJYLJDC-UHFFFAOYSA-N 0 3 321.530 4.447 20 0 DIADHN Cc1nccn1CCCN[C@@H](C)c1cc(Cl)sc1Cl ZINC000338010245 333467908 /nfs/dbraw/zinc/46/79/08/333467908.db2.gz KHVXQFJOUALREA-VIFPVBQESA-N 0 3 318.273 4.301 20 0 DIADHN CCCOc1ccc([C@H](C)NC[C@H]2CCCO2)cc1OCCC ZINC000320256701 333469336 /nfs/dbraw/zinc/46/93/36/333469336.db2.gz BTNWNZHWSRSICB-DOTOQJQBSA-N 0 3 321.461 4.094 20 0 DIADHN C[C@H](CN[C@H](C)c1nccc2ccccc21)Oc1cccc(F)c1 ZINC000571009822 333478743 /nfs/dbraw/zinc/47/87/43/333478743.db2.gz PKHQMNKOFNHOHV-HUUCEWRRSA-N 0 3 324.399 4.492 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@]1(C)CC=CCC1 ZINC000410584416 333516809 /nfs/dbraw/zinc/51/68/09/333516809.db2.gz AFXHTDHAQYSCRU-HXUWFJFHSA-N 0 3 312.457 4.276 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@@H]3Cc4ccccc4O3)o2)cc1 ZINC000391983733 335146597 /nfs/dbraw/zinc/14/65/97/335146597.db2.gz QSLUPEVCOOOHPL-SFHVURJKSA-N 0 3 323.367 4.179 20 0 DIADHN CC(C)c1ncc(CNC[C@H]2SCCc3ccccc32)s1 ZINC000531564558 333537200 /nfs/dbraw/zinc/53/72/00/333537200.db2.gz UHCBKTZBJUAEAB-MRXNPFEDSA-N 0 3 318.511 4.387 20 0 DIADHN CCc1ccc(CNCCCC(=O)Nc2ccc(Cl)cc2)o1 ZINC000531603261 333540019 /nfs/dbraw/zinc/54/00/19/333540019.db2.gz FYDVWKNBUITUQU-UHFFFAOYSA-N 0 3 320.820 4.004 20 0 DIADHN COc1ccc([C@H](C)NCCO[C@@H]2CCCC[C@@H]2C)cc1F ZINC000531684215 333540820 /nfs/dbraw/zinc/54/08/20/333540820.db2.gz CUIAQRZSPPGFBQ-GRDNDAEWSA-N 0 3 309.425 4.080 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H](c2ccccc2)C(C)C)c1 ZINC000533966615 333551433 /nfs/dbraw/zinc/55/14/33/333551433.db2.gz BHXWCNZKKRBUFA-HXUWFJFHSA-N 0 3 324.468 4.435 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](CO)c2ccccc2C)cc1 ZINC000531738191 333551905 /nfs/dbraw/zinc/55/19/05/333551905.db2.gz VOVJGPRCUJRIBH-RDTXWAMCSA-N 0 3 301.455 4.101 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCCOCC1CC1)CCCO2 ZINC000531762599 333554347 /nfs/dbraw/zinc/55/43/47/333554347.db2.gz GJGLODHLOPTFMG-GOSISDBHSA-N 0 3 303.446 4.040 20 0 DIADHN C[C@H](NCCc1nccs1)c1cc(Cl)ccc1Cl ZINC000063133324 333558146 /nfs/dbraw/zinc/55/81/46/333558146.db2.gz BAVKFLZUGXHRBA-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@@H]2CCO[C@@H]2C2CC2)cc1 ZINC000534094767 333560124 /nfs/dbraw/zinc/56/01/24/333560124.db2.gz NAZMYYUKQYZVGL-TZIWHRDSSA-N 0 3 323.436 4.019 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccccc2)c2ccc(F)cc2)cn1 ZINC000534268252 333571460 /nfs/dbraw/zinc/57/14/60/333571460.db2.gz ZQKYFJXTISHMAX-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1ccc(CN[C@H](C)Cc2ccccc2Br)o1 ZINC000531848453 333576735 /nfs/dbraw/zinc/57/67/35/333576735.db2.gz FYACNZQNDKOYPM-LLVKDONJSA-N 0 3 308.219 4.071 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccc(Cl)c(F)c2)s1 ZINC000534328959 333577188 /nfs/dbraw/zinc/57/71/88/333577188.db2.gz BWODHJJJFVBIRZ-SNVBAGLBSA-N 0 3 312.841 4.391 20 0 DIADHN CCc1ccc(CN2CCC(OCc3ccccc3)CC2)s1 ZINC000534341756 333581736 /nfs/dbraw/zinc/58/17/36/333581736.db2.gz LGOTZXBVXTWPLZ-UHFFFAOYSA-N 0 3 315.482 4.492 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@@H]1CCSc2ccc(F)cc21 ZINC000152067085 333592920 /nfs/dbraw/zinc/59/29/20/333592920.db2.gz WAEJJXJEAHDMPF-MGPLVRAMSA-N 0 3 320.433 4.188 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@H]1CCSc2ccc(F)cc21 ZINC000152067181 333592926 /nfs/dbraw/zinc/59/29/26/333592926.db2.gz WAEJJXJEAHDMPF-HWPZZCPQSA-N 0 3 320.433 4.188 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc(C)c(C)cc2C)c(OC)c1 ZINC000320832078 335149805 /nfs/dbraw/zinc/14/98/05/335149805.db2.gz HSHUVEARDLLSQB-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN C[C@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccccn1 ZINC000563485971 333611586 /nfs/dbraw/zinc/61/15/86/333611586.db2.gz PBMAQTMAZFIONP-DZGCQCFKSA-N 0 3 308.347 4.153 20 0 DIADHN OCC1CCN([C@@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411162671 333639047 /nfs/dbraw/zinc/63/90/47/333639047.db2.gz CYWDBFSZTFKSDX-IBGZPJMESA-N 0 3 315.844 4.134 20 0 DIADHN Cc1ccc2ncc(CN3CCC(OC4CCCC4)CC3)cc2c1 ZINC000411214678 333642597 /nfs/dbraw/zinc/64/25/97/333642597.db2.gz ZQDBFIXNDVQTMC-UHFFFAOYSA-N 0 3 324.468 4.467 20 0 DIADHN CC[C@H](C)[C@@H](N[C@H](C)c1ccc(=O)[nH]n1)c1ccc(Cl)cc1 ZINC000571069752 333655413 /nfs/dbraw/zinc/65/54/13/333655413.db2.gz ZWNRGSGZHQBMQH-XWCIJXRUSA-N 0 3 319.836 4.274 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C(C)(C)C)cs1 ZINC000411345521 333659056 /nfs/dbraw/zinc/65/90/56/333659056.db2.gz YWWBQFUVLIFNRU-MRXNPFEDSA-N 0 3 317.454 4.412 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2C)C2CC2)cs1 ZINC000411489478 333680582 /nfs/dbraw/zinc/68/05/82/333680582.db2.gz MTTBEKWWMYCWEJ-QGZVFWFLSA-N 0 3 315.438 4.084 20 0 DIADHN COC(=O)c1cc(CN[C@H](CC(C)C)c2cccs2)cs1 ZINC000411515704 333686943 /nfs/dbraw/zinc/68/69/43/333686943.db2.gz WCTVTKOJYYIHDH-CYBMUJFWSA-N 0 3 323.483 4.473 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCCC(=O)Nc1ccccc1F ZINC000411527615 333688465 /nfs/dbraw/zinc/68/84/65/333688465.db2.gz NXMLGBISGWGEJF-HNNXBMFYSA-N 0 3 314.404 4.122 20 0 DIADHN Clc1ccc(C2CCN(CCc3cncs3)CC2)cc1 ZINC000411557228 333693426 /nfs/dbraw/zinc/69/34/26/333693426.db2.gz QERHWGXWQBOWBQ-UHFFFAOYSA-N 0 3 306.862 4.219 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(CCc2cncs2)CC1 ZINC000411717567 333703473 /nfs/dbraw/zinc/70/34/73/333703473.db2.gz HQKJYEOYTOAKDF-QGZVFWFLSA-N 0 3 322.424 4.248 20 0 DIADHN c1sc2ccccc2c1CN1CCC(c2ccncn2)CC1 ZINC000411828928 333708519 /nfs/dbraw/zinc/70/85/19/333708519.db2.gz RMDKICDRVMUPBP-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2(C)CCCCCC2)c(F)c1 ZINC000412560879 333764202 /nfs/dbraw/zinc/76/42/02/333764202.db2.gz RFYQTYDOQRCIQG-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN CCc1ccc(CNCCC(=O)Nc2cccc(Cl)c2)s1 ZINC000571393263 333781041 /nfs/dbraw/zinc/78/10/41/333781041.db2.gz KWRJBCCZVZAWBS-UHFFFAOYSA-N 0 3 322.861 4.082 20 0 DIADHN Cc1csc(CNCCc2cccc(Cl)c2Cl)n1 ZINC000343360562 335159293 /nfs/dbraw/zinc/15/92/93/335159293.db2.gz MDTZJBZBKTUQMW-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN c1[nH]nc2cc(CNCc3ccc(OC4CCCC4)cc3)ccc12 ZINC000571529222 333814221 /nfs/dbraw/zinc/81/42/21/333814221.db2.gz DJLWCURLELVETH-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccsc2)oc1C)C1CCOCC1 ZINC000343393192 335161585 /nfs/dbraw/zinc/16/15/85/335161585.db2.gz XMAMIZIOGJCWEA-OAHLLOKOSA-N 0 3 320.458 4.006 20 0 DIADHN C[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1cc2n(n1)CCC2 ZINC000414062107 333889235 /nfs/dbraw/zinc/88/92/35/333889235.db2.gz CHTUAMDQWAKLCY-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(OC)cc1C)c1c(C)nn(C)c1C ZINC000414069896 333890972 /nfs/dbraw/zinc/89/09/72/333890972.db2.gz AGUBVVCNMGJVSW-UGSOOPFHSA-N 0 3 315.461 4.156 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@H](C)c1cc2n(n1)CCC2 ZINC000414073438 333891649 /nfs/dbraw/zinc/89/16/49/333891649.db2.gz GACYKDLCFJPFNC-CJNGLKHVSA-N 0 3 317.864 4.155 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccn(C)n1)c1c(Cl)cccc1Cl ZINC000414082493 333893114 /nfs/dbraw/zinc/89/31/14/333893114.db2.gz VEACQJDCZFASBD-QWRGUYRKSA-N 0 3 312.244 4.181 20 0 DIADHN CC[C@H](N[C@H](C)c1csc(-c2ccccc2)n1)[C@H]1CCCO1 ZINC000414095251 333895289 /nfs/dbraw/zinc/89/52/89/333895289.db2.gz HFTIVMJIYZEPFJ-UKPHBRMFSA-N 0 3 316.470 4.418 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(-c2ccccc2)n1)C1CCOCC1 ZINC000414095912 333895495 /nfs/dbraw/zinc/89/54/95/333895495.db2.gz OJPRPIAOVSTZHB-KGLIPLIRSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@@H](NCCCc1ccccn1)c1csc(-c2ccccc2)n1 ZINC000414104987 333896970 /nfs/dbraw/zinc/89/69/70/333896970.db2.gz ZNWRLRSWOZKJJY-OAHLLOKOSA-N 0 3 323.465 4.489 20 0 DIADHN Cc1cnccc1CCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414105540 333897195 /nfs/dbraw/zinc/89/71/95/333897195.db2.gz PQPYCZWPJROKTO-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(OC(F)F)c2)c(C)c1 ZINC000414106061 333897203 /nfs/dbraw/zinc/89/72/03/333897203.db2.gz XBGSQYMQHCNCBF-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H](c3cccc(Cl)c3)C2)on1 ZINC000414124136 333898846 /nfs/dbraw/zinc/89/88/46/333898846.db2.gz PAJWPVVQDYEVLQ-GOEBONIOSA-N 0 3 304.821 4.452 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1CCO)c1csc(-c2ccccc2)n1 ZINC000414139402 333901559 /nfs/dbraw/zinc/90/15/59/333901559.db2.gz QJNGAGCWKFBJHA-SQWLQELKSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCO)c1csc(-c2ccccc2)n1 ZINC000414139393 333901568 /nfs/dbraw/zinc/90/15/68/333901568.db2.gz QJNGAGCWKFBJHA-LZWOXQAQSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1)c1nc2c(s1)CCC2 ZINC000414141299 333901849 /nfs/dbraw/zinc/90/18/49/333901849.db2.gz IFOAGMSRNIUTCF-XRGAULLZSA-N 0 3 312.482 4.475 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1cncc(F)c1 ZINC000414142068 333902199 /nfs/dbraw/zinc/90/21/99/333902199.db2.gz KBHFMXQQBRQAFD-KPZWWZAWSA-N 0 3 309.388 4.430 20 0 DIADHN C[C@H](NCCC[C@@H]1CCOC1)c1csc(-c2ccccc2)n1 ZINC000414143842 333902243 /nfs/dbraw/zinc/90/22/43/333902243.db2.gz JAVCZGARTVRTDZ-LSDHHAIUSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1csc(-c2ccccc2)n1 ZINC000414144965 333902671 /nfs/dbraw/zinc/90/26/71/333902671.db2.gz UJBVLILFEDBKEN-BBRMVZONSA-N 0 3 318.486 4.258 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CCCO)c2ccccc2)c(C)c1 ZINC000414115288 333905945 /nfs/dbraw/zinc/90/59/45/333905945.db2.gz MSHCLPCRCSKWIE-OXQOHEQNSA-N 0 3 313.441 4.168 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000343460649 335166499 /nfs/dbraw/zinc/16/64/99/335166499.db2.gz FIRWZOWWGROTCT-XKSSXDPKSA-N 0 3 308.274 4.445 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc2ccc(Cl)cc2n1C ZINC000414199905 333913225 /nfs/dbraw/zinc/91/32/25/333913225.db2.gz DDFCQSZNGSBSOL-MHDGFBEUSA-N 0 3 303.837 4.066 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000343460644 335166544 /nfs/dbraw/zinc/16/65/44/335166544.db2.gz FIRWZOWWGROTCT-NQMVMOMDSA-N 0 3 308.274 4.445 20 0 DIADHN C[C@H](NCc1ccc2cncn2c1)c1cc2c(s1)CCCC2 ZINC000414161770 333915354 /nfs/dbraw/zinc/91/53/54/333915354.db2.gz CIIBPZZTLVMBLD-ZDUSSCGKSA-N 0 3 311.454 4.125 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)(F)F)c(C)c2)cc1 ZINC000414235817 333917397 /nfs/dbraw/zinc/91/73/97/333917397.db2.gz FBNLJEOCQTYUAK-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN C[C@@H](NCC1(c2c(F)cccc2F)CCC1)c1cncc(F)c1 ZINC000414248598 333920028 /nfs/dbraw/zinc/92/00/28/333920028.db2.gz WMFUOKFCCIHORM-GFCCVEGCSA-N 0 3 320.358 4.271 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@H](C)c1ccc2ccccc2n1 ZINC000414217343 333922957 /nfs/dbraw/zinc/92/29/57/333922957.db2.gz BCJKYRKOXYTYMZ-IFZYUDKTSA-N 0 3 318.420 4.198 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1cnccc1C(C)(C)C ZINC000414225760 333924933 /nfs/dbraw/zinc/92/49/33/333924933.db2.gz JIAGPSKZXWYNAU-KXBFYZLASA-N 0 3 312.457 4.245 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)OCC2CC2)c2ccsc2S1 ZINC000414261873 333929448 /nfs/dbraw/zinc/92/94/48/333929448.db2.gz JBIIMLUAUCYUSF-SMDDNHRTSA-N 0 3 311.516 4.468 20 0 DIADHN COCc1ccc(CNCc2ccc(C(F)(F)F)c(C)c2)cc1 ZINC000414267890 333930752 /nfs/dbraw/zinc/93/07/52/333930752.db2.gz NKRHOABMZDJMIB-UHFFFAOYSA-N 0 3 323.358 4.450 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](CO)C1)c1csc(-c2ccccc2)n1 ZINC000414349080 333938115 /nfs/dbraw/zinc/93/81/15/333938115.db2.gz XVPCUJZNWRWENM-DZKIICNBSA-N 0 3 316.470 4.012 20 0 DIADHN CC[C@H](N[C@H](C)c1csc(-c2ccccc2)n1)[C@@H](O)C(C)C ZINC000414315322 333938310 /nfs/dbraw/zinc/93/83/10/333938310.db2.gz MNMYMEOKKCXZOQ-KMFMINBZSA-N 0 3 318.486 4.256 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC(C)(C)c2cccnc2)cs1 ZINC000414331634 333941453 /nfs/dbraw/zinc/94/14/53/333941453.db2.gz KKGWHYAVPWJPSP-CYBMUJFWSA-N 0 3 303.475 4.290 20 0 DIADHN OC[C@@H]1CCC[C@@H](N[C@@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000414342096 333942690 /nfs/dbraw/zinc/94/26/90/333942690.db2.gz PKUTVWUEUMMAMG-WDBKCZKBSA-N 0 3 314.256 4.121 20 0 DIADHN Fc1ccc([C@@H](C[C@@H]2CCCO2)N[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414351793 333946210 /nfs/dbraw/zinc/94/62/10/333946210.db2.gz SEQCXUDEUVKACK-BSDSXHPESA-N 0 3 315.388 4.175 20 0 DIADHN Cc1cc(CN2CCC(c3ncc[nH]3)CC2)ccc1C(F)(F)F ZINC000414376894 333949992 /nfs/dbraw/zinc/94/99/92/333949992.db2.gz DJPQQOWREQMECJ-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@@H](c3ncccc3C)C2)sc1C ZINC000343473074 335167656 /nfs/dbraw/zinc/16/76/56/335167656.db2.gz RBYDXSDEHKXGCI-HZPDHXFCSA-N 0 3 315.486 4.279 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CC(C)(C)OC1(C)C)CCS2 ZINC000343475226 335168146 /nfs/dbraw/zinc/16/81/46/335168146.db2.gz YBVKKCUGPIIEKM-ZBFHGGJFSA-N 0 3 321.486 4.168 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CC(=O)N(C)C)c2ccccc2)c2ccccc21 ZINC000343474305 335168186 /nfs/dbraw/zinc/16/81/86/335168186.db2.gz IUMRKXZCCIPHMW-YSGRDPCXSA-N 0 3 322.452 4.044 20 0 DIADHN Clc1cccc(-c2ccc(CN3CC4(C3)CCOC4)s2)c1 ZINC000518806247 333983176 /nfs/dbraw/zinc/98/31/76/333983176.db2.gz YAEZXTQLCJQORL-UHFFFAOYSA-N 0 3 319.857 4.291 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@](C)(O)CCC(C)C)CCS2 ZINC000343494416 335169309 /nfs/dbraw/zinc/16/93/09/335169309.db2.gz FLVNNEDVROETIN-SJLPKXTDSA-N 0 3 323.502 4.009 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@](C)(O)CCC(C)C)CCS2 ZINC000343494415 335169412 /nfs/dbraw/zinc/16/94/12/335169412.db2.gz FLVNNEDVROETIN-FUHWJXTLSA-N 0 3 323.502 4.009 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@@H]2CCC[C@H]2C2CC2)s1 ZINC000414487396 333995378 /nfs/dbraw/zinc/99/53/78/333995378.db2.gz SAWADHDXTJLYSC-JQWIXIFHSA-N 0 3 304.381 4.078 20 0 DIADHN CN(C)Cc1ccc(N[C@H]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000414502019 333999428 /nfs/dbraw/zinc/99/94/28/333999428.db2.gz TVOBKPFOWKAXCQ-OLZOCXBDSA-N 0 3 318.358 4.420 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cnc(-c2ccc(C)o2)s1)C1CC1 ZINC000414507885 334001818 /nfs/dbraw/zinc/00/18/18/334001818.db2.gz ZPTFQGNQMKRFPB-SJKOYZFVSA-N 0 3 320.458 4.005 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cnc(-c2ccc(C)o2)s1)C1CC1 ZINC000414507887 334001878 /nfs/dbraw/zinc/00/18/78/334001878.db2.gz ZPTFQGNQMKRFPB-YVEFUNNKSA-N 0 3 320.458 4.005 20 0 DIADHN CC[C@H](CNCc1nc2c(s1)C[C@@H](C)CC2)CC(F)(F)F ZINC000414515086 334003589 /nfs/dbraw/zinc/00/35/89/334003589.db2.gz KPYCMUQYKUXSLZ-QWRGUYRKSA-N 0 3 320.424 4.336 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(-c3cccc(F)c3F)o2)[C@H](C)O1 ZINC000414518504 334005204 /nfs/dbraw/zinc/00/52/04/334005204.db2.gz SKJOQNZHPRAFRQ-FRRDWIJNSA-N 0 3 321.367 4.128 20 0 DIADHN CC[C@H](NCc1csc(-c2ccc(C)cc2)n1)[C@@H](O)C(C)C ZINC000414519977 334005655 /nfs/dbraw/zinc/00/56/55/334005655.db2.gz CSSLENBJEQTNBE-IRXDYDNUSA-N 0 3 318.486 4.004 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc([C@@H]3C[C@H]3C)o2)CC12CCOCC2 ZINC000414521659 334006428 /nfs/dbraw/zinc/00/64/28/334006428.db2.gz FFDSPXZMQZOFOK-KYJSFNMBSA-N 0 3 303.446 4.040 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000414527289 334008420 /nfs/dbraw/zinc/00/84/20/334008420.db2.gz MOJJGJUIEMFMEM-IAGOWNOFSA-N 0 3 319.399 4.436 20 0 DIADHN C[C@H](CNCc1c(F)cccc1N1CCCC1)CC(F)(F)F ZINC000414531154 334008590 /nfs/dbraw/zinc/00/85/90/334008590.db2.gz SSAJHQUXRLVSPS-LBPRGKRZSA-N 0 3 318.358 4.104 20 0 DIADHN CSc1ccc(CN2CC3(CCOCC3)[C@@H]2C(C)C)cc1 ZINC000414532628 334009539 /nfs/dbraw/zinc/00/95/39/334009539.db2.gz SSQKKWRNWRAWTO-KRWDZBQOSA-N 0 3 305.487 4.046 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(C3CCC3)s2)CC12CCOCC2 ZINC000414539789 334012826 /nfs/dbraw/zinc/01/28/26/334012826.db2.gz NZVBHHOJWFCMOW-INIZCTEOSA-N 0 3 320.502 4.048 20 0 DIADHN CCN(Cc1occc1C)Cc1ccccc1-c1ccnn1C ZINC000414554323 334016343 /nfs/dbraw/zinc/01/63/43/334016343.db2.gz VADYYIVYEHDEIH-UHFFFAOYSA-N 0 3 309.413 4.011 20 0 DIADHN CCn1cc(CN[C@](C)(Cc2ccccc2)c2ccccc2)cn1 ZINC000414567044 334021982 /nfs/dbraw/zinc/02/19/82/334021982.db2.gz GDKSLUDGDQAAAT-OAQYLSRUSA-N 0 3 319.452 4.151 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc([S@@](C)=O)cc1 ZINC000271483510 334023919 /nfs/dbraw/zinc/02/39/19/334023919.db2.gz NSSFRKVCCLNVNQ-XOMMZODVSA-N 0 3 313.466 4.323 20 0 DIADHN OC[C@@H]1CCC[C@@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000414577685 334025943 /nfs/dbraw/zinc/02/59/43/334025943.db2.gz OZFYKPPOAQFFNG-ZIAGYGMSSA-N 0 3 319.832 4.241 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000343464400 335167027 /nfs/dbraw/zinc/16/70/27/335167027.db2.gz GFKDVOVBKGYNSR-INIZCTEOSA-N 0 3 303.377 4.087 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2c2ccco2)sc1Br ZINC000414595962 334032937 /nfs/dbraw/zinc/03/29/37/334032937.db2.gz UCPCENLEHPPWHO-GHMZBOCLSA-N 0 3 312.232 4.058 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2csc(-c3cccs3)n2)CCO1 ZINC000273054216 334042616 /nfs/dbraw/zinc/04/26/16/334042616.db2.gz LABRUJFYXVFBOC-OLZOCXBDSA-N 0 3 322.499 4.166 20 0 DIADHN Cc1ccc(-c2noc(C(C)(C)[C@H](N)c3ccccc3)n2)cc1 ZINC000414628876 334047042 /nfs/dbraw/zinc/04/70/42/334047042.db2.gz SRJVKXOWNOAQQA-MRXNPFEDSA-N 0 3 307.397 4.023 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](NCc3cc(F)ccc3F)CCO2)cc1 ZINC000273463558 334047289 /nfs/dbraw/zinc/04/72/89/334047289.db2.gz IBRRRCIRERIVER-FUHWJXTLSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ncc(CN(C)[C@@H]2CC[C@](C)(c3ccccc3)C2)s1 ZINC000437587516 334054198 /nfs/dbraw/zinc/05/41/98/334054198.db2.gz GWZXAHACYHJLBF-AEFFLSMTSA-N 0 3 300.471 4.394 20 0 DIADHN Cc1ncc(CN(C)[C@H]2CC[C@](C)(c3ccccc3)C2)s1 ZINC000437587524 334054786 /nfs/dbraw/zinc/05/47/86/334054786.db2.gz GWZXAHACYHJLBF-WMZOPIPTSA-N 0 3 300.471 4.394 20 0 DIADHN CCOC(CCNC1c2ccccc2-c2ccccc21)OCC ZINC000276512926 334080630 /nfs/dbraw/zinc/08/06/30/334080630.db2.gz LAWGVTIIIJCTBO-UHFFFAOYSA-N 0 3 311.425 4.135 20 0 DIADHN CC(C)(O)CN(Cc1cc(Cl)cc(C(F)(F)F)c1)C1CC1 ZINC000437987891 334086690 /nfs/dbraw/zinc/08/66/90/334086690.db2.gz RGKAIXHBNOEIRI-UHFFFAOYSA-N 0 3 321.770 4.094 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccc(Cn3ccnc3)c2)c2ccccc21 ZINC000343507571 335172574 /nfs/dbraw/zinc/17/25/74/335172574.db2.gz IPMWKRMNJLWXSF-IERDGZPVSA-N 0 3 317.436 4.270 20 0 DIADHN CC(C)c1cnc(CNC[C@H](N)c2ccc(C(C)C)cc2)s1 ZINC000414844218 334113336 /nfs/dbraw/zinc/11/33/36/334113336.db2.gz KPARXLJWKBUCNY-INIZCTEOSA-N 0 3 317.502 4.180 20 0 DIADHN CO[C@@]1(C)CCCN([C@@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000280566510 334118344 /nfs/dbraw/zinc/11/83/44/334118344.db2.gz RPEDLZCEAKSFOK-KXBFYZLASA-N 0 3 314.429 4.294 20 0 DIADHN CN(Cc1ncc(-c2ccccc2F)o1)C1CCC(F)(F)CC1 ZINC000287004380 334155774 /nfs/dbraw/zinc/15/57/74/334155774.db2.gz QGMQASLPZVAKLR-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN C[C@@H](NC[C@H]1COc2ccccc21)c1ccc2ccccc2n1 ZINC000290224387 334171734 /nfs/dbraw/zinc/17/17/34/334171734.db2.gz IDUQAHQXXVIABD-ZBFHGGJFSA-N 0 3 304.393 4.062 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3cc(N)ccc32)cc1Cl ZINC000414910997 334173368 /nfs/dbraw/zinc/17/33/68/334173368.db2.gz CZGMHBGAFYXAMR-KRWDZBQOSA-N 0 3 316.832 4.098 20 0 DIADHN C[C@@H](NC1CCSCC1)c1csc(-c2ccccc2F)n1 ZINC000291106144 334176463 /nfs/dbraw/zinc/17/64/63/334176463.db2.gz FCQBRPWRRZKKAX-LLVKDONJSA-N 0 3 322.474 4.495 20 0 DIADHN CC(C)c1ncc(CN[C@H]2CCCc3cc(N)ccc32)s1 ZINC000414921575 334181531 /nfs/dbraw/zinc/18/15/31/334181531.db2.gz DUPWASUHRWZRTE-INIZCTEOSA-N 0 3 301.459 4.016 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc(-n2ccnn2)cc1 ZINC000343668490 335181025 /nfs/dbraw/zinc/18/10/25/335181025.db2.gz NQKBZUPRUFNYQU-SIFCLUCFSA-N 0 3 318.424 4.166 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(OCC2CC2)cc1 ZINC000414935560 334198108 /nfs/dbraw/zinc/19/81/08/334198108.db2.gz UKAURWKZWZDSOT-OAQYLSRUSA-N 0 3 322.452 4.225 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(F)c(Cl)c1 ZINC000414941016 334202836 /nfs/dbraw/zinc/20/28/36/334202836.db2.gz YCOYKPIGXJWPAL-KRWDZBQOSA-N 0 3 304.796 4.229 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cccc(Cl)c1F ZINC000340450659 334205147 /nfs/dbraw/zinc/20/51/47/334205147.db2.gz WKGQDLGPMZXFTR-HZMBPMFUSA-N 0 3 308.784 4.382 20 0 DIADHN Cc1nn(C(C)C)cc1CN1C[C@@H](c2ccc(F)cc2)C[C@@H]1C ZINC000467444674 334207273 /nfs/dbraw/zinc/20/72/73/334207273.db2.gz PNGVYZRRJJSRNG-YOEHRIQHSA-N 0 3 315.436 4.290 20 0 DIADHN Cc1cc(N)cc(CNCc2cc(F)cc(C(F)(F)F)c2)c1 ZINC000414959364 334210817 /nfs/dbraw/zinc/21/08/17/334210817.db2.gz FFDDCPYDLPAJIB-UHFFFAOYSA-N 0 3 312.310 4.025 20 0 DIADHN Cc1cc(N)cc(CNCc2cccc(OC3CCCC3)c2)c1 ZINC000414960561 334211989 /nfs/dbraw/zinc/21/19/89/334211989.db2.gz HFVZBTVZKSOUIO-UHFFFAOYSA-N 0 3 310.441 4.188 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H]2CCCNc3ccccc32)ccn1 ZINC000295074589 334217332 /nfs/dbraw/zinc/21/73/32/334217332.db2.gz PNRQHHPHTMVRMN-OAHLLOKOSA-N 0 3 321.346 4.137 20 0 DIADHN c1cc(OCC2CC2)ccc1CNCc1ccc2c(c1)CCCN2 ZINC000414972208 334220230 /nfs/dbraw/zinc/22/02/30/334220230.db2.gz AHPHNJSVMHWNGD-UHFFFAOYSA-N 0 3 322.452 4.123 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000295245471 334221169 /nfs/dbraw/zinc/22/11/69/334221169.db2.gz PQFQDMRUNUMRDK-YSVLISHTSA-N 0 3 301.409 4.029 20 0 DIADHN Fc1ccc2oc(CNCc3ccc4c(c3)CCCN4)cc2c1 ZINC000414975249 334221546 /nfs/dbraw/zinc/22/15/46/334221546.db2.gz FWFCNIBUYVSHKO-UHFFFAOYSA-N 0 3 310.372 4.220 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)CCCN3)ccc1Cl ZINC000414986135 334228290 /nfs/dbraw/zinc/22/82/90/334228290.db2.gz XGXDINXZRAASQB-UHFFFAOYSA-N 0 3 300.833 4.296 20 0 DIADHN C[C@@H](N[C@@H](C)CCCCO)c1csc(-c2ccccc2F)n1 ZINC000296350922 334232783 /nfs/dbraw/zinc/23/27/83/334232783.db2.gz IHFSXHFAWZOUIP-QWHCGFSZSA-N 0 3 322.449 4.151 20 0 DIADHN CCn1cc([C@H](C)NC2CC(c3ccc(F)c(Cl)c3)C2)cn1 ZINC000467448350 334236604 /nfs/dbraw/zinc/23/66/04/334236604.db2.gz LJOCZLWGLNTBRO-ZOODHJKOSA-N 0 3 321.827 4.292 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](CC)COCC(F)(F)F)s1 ZINC000453249950 334244151 /nfs/dbraw/zinc/24/41/51/334244151.db2.gz DQEOKRFUGIQCSF-ONGXEEELSA-N 0 3 324.412 4.022 20 0 DIADHN CC1(C)C[C@H](NC/C=C\c2ccccc2)c2cc(N)ccc2O1 ZINC000415020539 334259396 /nfs/dbraw/zinc/25/93/96/334259396.db2.gz GRMJSQCGTSLFEQ-KKMIYCERSA-N 0 3 308.425 4.174 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cccc2c1CCCN2 ZINC000415037544 334260517 /nfs/dbraw/zinc/26/05/17/334260517.db2.gz OSESJHSYDUUWNR-UHFFFAOYSA-N 0 3 306.409 4.389 20 0 DIADHN Cc1cc(C)cc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)c1 ZINC000415040336 334260707 /nfs/dbraw/zinc/26/07/07/334260707.db2.gz RQERTZJXAJMCEM-GOSISDBHSA-N 0 3 310.441 4.278 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3ccc(C(F)(F)F)cc3)n2)C[C@H]1C ZINC000534471751 334267985 /nfs/dbraw/zinc/26/79/85/334267985.db2.gz AGVJMNPYGSVZFP-VXGBXAGGSA-N 0 3 324.346 4.448 20 0 DIADHN Cc1noc(C)c1CCN(C)Cc1ccc(C(C)(C)C)cc1 ZINC000534487534 334277111 /nfs/dbraw/zinc/27/71/11/334277111.db2.gz RIOSHLKHLSWKJX-UHFFFAOYSA-N 0 3 300.446 4.263 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2c(C)cccc2Cl)CC1 ZINC000534551709 334281840 /nfs/dbraw/zinc/28/18/40/334281840.db2.gz PCTOEYIBHQCYTD-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1ccc(CNCc2cc(Cl)c3c(c2)OCCCO3)s1 ZINC000048521560 334287205 /nfs/dbraw/zinc/28/72/05/334287205.db2.gz QAZVIUWWLVPCMP-UHFFFAOYSA-N 0 3 323.845 4.161 20 0 DIADHN COc1ccc(CN[C@@H](C)C2CCCCC2)c(Cl)c1OC ZINC000110823068 334291202 /nfs/dbraw/zinc/29/12/02/334291202.db2.gz XICNCSRWEGJOFA-LBPRGKRZSA-N 0 3 311.853 4.416 20 0 DIADHN COc1ccc(CNC[C@@H]2CCCC[C@@H]2C)c(Cl)c1OC ZINC000110822612 334291271 /nfs/dbraw/zinc/29/12/71/334291271.db2.gz CUQWENSUFSBDJB-STQMWFEESA-N 0 3 311.853 4.273 20 0 DIADHN COC[C@H](NCCc1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000531932018 334296626 /nfs/dbraw/zinc/29/66/26/334296626.db2.gz WRKDJNRJOGSNKC-KRWDZBQOSA-N 0 3 323.358 4.225 20 0 DIADHN Clc1cccc(CN[C@@H]2CSCCc3ccccc32)c1 ZINC000531932672 334296848 /nfs/dbraw/zinc/29/68/48/334296848.db2.gz RSJBUFJGICIILQ-QGZVFWFLSA-N 0 3 303.858 4.460 20 0 DIADHN Clc1ccc(CN[C@H]2CSCCc3ccccc32)cc1 ZINC000531932657 334296944 /nfs/dbraw/zinc/29/69/44/334296944.db2.gz OXWPMAHIGJUVBQ-KRWDZBQOSA-N 0 3 303.858 4.460 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(C)cc2OC)cc1 ZINC000531940284 334301314 /nfs/dbraw/zinc/30/13/14/334301314.db2.gz VWUOOWXCPZMWFG-INIZCTEOSA-N 0 3 313.441 4.391 20 0 DIADHN FC(F)(F)c1cccc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000534612336 334301522 /nfs/dbraw/zinc/30/15/22/334301522.db2.gz KMLSCVVQASLSFR-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN CO[C@H](C)CNCc1cccc(OCc2ccccc2Cl)c1 ZINC000443007152 338441711 /nfs/dbraw/zinc/44/17/11/338441711.db2.gz LLOXYVZPYMTWST-CQSZACIVSA-N 0 3 319.832 4.044 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1ccc(OC(F)F)cc1 ZINC000531947438 334305335 /nfs/dbraw/zinc/30/53/35/334305335.db2.gz ISIFABOACBLLBA-GTNSWQLSSA-N 0 3 321.342 4.450 20 0 DIADHN CCOCCN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000531954676 334306292 /nfs/dbraw/zinc/30/62/92/334306292.db2.gz HEKNLQQMEAZKBT-CQSZACIVSA-N 0 3 303.377 4.305 20 0 DIADHN CO[C@H](CN[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531956706 334308362 /nfs/dbraw/zinc/30/83/62/334308362.db2.gz AOJACWVQBABQMK-ZWKOTPCHSA-N 0 3 301.817 4.305 20 0 DIADHN C[C@H](NCCn1cnc2ccccc21)c1ccc(Cl)s1 ZINC000531952196 334310039 /nfs/dbraw/zinc/31/00/39/334310039.db2.gz LSWSEKOZZGWCBC-NSHDSACASA-N 0 3 305.834 4.102 20 0 DIADHN CC[C@H](NCCc1ccccc1O)c1cc(Cl)ccc1OC ZINC000531961577 334311897 /nfs/dbraw/zinc/31/18/97/334311897.db2.gz RVLTYCOQJXYRHR-INIZCTEOSA-N 0 3 319.832 4.338 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1cccc(N(C)C)c1 ZINC000531961062 334317074 /nfs/dbraw/zinc/31/70/74/334317074.db2.gz QJBXYDMSUKVUBX-XOBRGWDASA-N 0 3 312.432 4.305 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1cccc(N(C)C)c1 ZINC000531961060 334317119 /nfs/dbraw/zinc/31/71/19/334317119.db2.gz QJBXYDMSUKVUBX-VBKZILBWSA-N 0 3 312.432 4.305 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1Cl)N(C)CC1CCC1 ZINC000111299033 334318497 /nfs/dbraw/zinc/31/84/97/334318497.db2.gz QPNFXHRWZFKVDE-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccc(F)cc1)c1ccc2ccccc2c1 ZINC000531964797 334318833 /nfs/dbraw/zinc/31/88/33/334318833.db2.gz LJAACQHMCUYXPU-CQSZACIVSA-N 0 3 322.383 4.268 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccccc32)c(C(F)(F)F)c1 ZINC000531963505 334321909 /nfs/dbraw/zinc/32/19/09/334321909.db2.gz GZSSZLHBSCJGRM-KRWDZBQOSA-N 0 3 321.342 4.491 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cc(Cl)ccc2OC)CC1 ZINC000303401490 334323140 /nfs/dbraw/zinc/32/31/40/334323140.db2.gz RALSIGXASHPTQS-INIZCTEOSA-N 0 3 311.853 4.130 20 0 DIADHN CCC[C@@H](NCc1c(C)nn(CC)c1C)c1ccc(OC)cc1 ZINC000531965814 334324344 /nfs/dbraw/zinc/32/43/44/334324344.db2.gz CKAPPGQLIBGRAL-LJQANCHMSA-N 0 3 315.461 4.159 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000531967128 334325586 /nfs/dbraw/zinc/32/55/86/334325586.db2.gz YGBWZKDBQXHBIW-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(Cl)s2)c1 ZINC000534707342 334328818 /nfs/dbraw/zinc/32/88/18/334328818.db2.gz XAYBNQDPVHWJRB-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CCCc2ccccc2)c1 ZINC000534706289 334328941 /nfs/dbraw/zinc/32/89/41/334328941.db2.gz VVCMIKFGVVLJBB-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN CC(C)N(C(=O)CN1[C@H](C)C[C@@H]2CCCC[C@H]21)c1ccccc1 ZINC000303682010 334329380 /nfs/dbraw/zinc/32/93/80/334329380.db2.gz JIQXUNMGSIBKEK-ZIFCJYIRSA-N 0 3 314.473 4.081 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc3cccc(C)c3o2)c1 ZINC000534709318 334331480 /nfs/dbraw/zinc/33/14/80/334331480.db2.gz NHSWEUPGBSWFTC-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)C[C@@H]1C ZINC000534793757 334339180 /nfs/dbraw/zinc/33/91/80/334339180.db2.gz PCHKBXGRPHZQNH-NXOAAHMSSA-N 0 3 318.509 4.116 20 0 DIADHN C[C@@H](O)[C@H]1CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000534844319 334339541 /nfs/dbraw/zinc/33/95/41/334339541.db2.gz GSMAUYDRJPGQHK-SJORKVTESA-N 0 3 311.425 4.072 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000534829881 334340671 /nfs/dbraw/zinc/34/06/71/334340671.db2.gz VJMIOBKTENUCJN-KGLIPLIRSA-N 0 3 319.754 4.016 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H]2CCO[C@@H]2C2CC2)ccc1Cl ZINC000534830499 334340683 /nfs/dbraw/zinc/34/06/83/334340683.db2.gz KSDZERLHBAYRGA-ZIAGYGMSSA-N 0 3 319.754 4.016 20 0 DIADHN c1ccc(NC2CCN(Cc3ccc4ccccc4c3)CC2)nc1 ZINC000534862462 334341678 /nfs/dbraw/zinc/34/16/78/334341678.db2.gz JJDYAWIWYYXJCD-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@@H](CCCO)c1ccccc1 ZINC000531976668 334347276 /nfs/dbraw/zinc/34/72/76/334347276.db2.gz IXRUXIQJNVRLSY-LPHOPBHVSA-N 0 3 313.441 4.168 20 0 DIADHN C[C@@H](NCCc1cscn1)c1ccc(Cl)cc1Cl ZINC000111626512 334349455 /nfs/dbraw/zinc/34/94/55/334349455.db2.gz VOMCWNKNRGZLFE-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000534973571 334351177 /nfs/dbraw/zinc/35/11/77/334351177.db2.gz VPNLZZFZPOYWLZ-PIGZYNQJSA-N 0 3 303.837 4.110 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CCCC[C@H]1n1cccn1 ZINC000531979740 334351209 /nfs/dbraw/zinc/35/12/09/334351209.db2.gz NQAJIDOWUVHNGN-CGTJXYLNSA-N 0 3 313.445 4.035 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)c(F)c1 ZINC000535065578 334362790 /nfs/dbraw/zinc/36/27/90/334362790.db2.gz VQTMKPJTPFBUFE-GFCCVEGCSA-N 0 3 320.795 4.159 20 0 DIADHN COc1cc(CN2C3CCC2CC3)c(-c2ccccc2)cc1OC ZINC000535067286 334363279 /nfs/dbraw/zinc/36/32/79/334363279.db2.gz NXZVFGYPVGKSRD-UHFFFAOYSA-N 0 3 323.436 4.498 20 0 DIADHN CN(Cc1cccc(OCc2ccccc2)c1)[C@@H]1CCSC1 ZINC000535068173 334363376 /nfs/dbraw/zinc/36/33/76/334363376.db2.gz ZOTFHEIOAISENA-GOSISDBHSA-N 0 3 313.466 4.203 20 0 DIADHN Oc1cccc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)c1 ZINC000535068250 334363487 /nfs/dbraw/zinc/36/34/87/334363487.db2.gz IHSRNTOIYPQIKF-OAHLLOKOSA-N 0 3 324.449 4.382 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C)[C@H]1CCCc2ccccc21 ZINC000535068700 334363631 /nfs/dbraw/zinc/36/36/31/334363631.db2.gz MYDOQSCUNWYBKB-FQEVSTJZSA-N 0 3 311.473 4.054 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)[C@@H]2CCCc3ccccc32)o1 ZINC000535068635 334363762 /nfs/dbraw/zinc/36/37/62/334363762.db2.gz PSCXKMMYMXDPRK-GOSISDBHSA-N 0 3 321.424 4.488 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C)[C@@H]1CCCc2ccccc21 ZINC000535068704 334363811 /nfs/dbraw/zinc/36/38/11/334363811.db2.gz MYDOQSCUNWYBKB-HXUWFJFHSA-N 0 3 311.473 4.054 20 0 DIADHN Cc1ccc(OCCN(C)Cc2ccnc3ccccc23)cc1 ZINC000535068749 334363870 /nfs/dbraw/zinc/36/38/70/334363870.db2.gz YANWUFCEQMEDGS-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NCCCOc1ccc2ccccc2c1 ZINC000531991886 334366010 /nfs/dbraw/zinc/36/60/10/334366010.db2.gz JCTSBAVIYHMKGB-HNNXBMFYSA-N 0 3 323.440 4.001 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2cnc(C3CC3)s2)CC1 ZINC000535083745 334366694 /nfs/dbraw/zinc/36/66/94/334366694.db2.gz YJPPZXSSVVVVSD-UHFFFAOYSA-N 0 3 315.486 4.276 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cnc(C3CC3)s2)cc1 ZINC000535079227 334367280 /nfs/dbraw/zinc/36/72/80/334367280.db2.gz WUEIAZZFLTZFCB-INIZCTEOSA-N 0 3 316.445 4.367 20 0 DIADHN C[C@H](NCc1ccc(N(C)C)nc1)c1ccccc1C(C)(C)C ZINC000535089255 334369174 /nfs/dbraw/zinc/36/91/74/334369174.db2.gz RBUGCOUERBCURD-HNNXBMFYSA-N 0 3 311.473 4.296 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1ccccc1C)c1ccc(Cl)cc1 ZINC000531994546 334369423 /nfs/dbraw/zinc/36/94/23/334369423.db2.gz PPIRGNZOPWLAEP-MSOLQXFVSA-N 0 3 303.833 4.423 20 0 DIADHN CO[C@H](CNCc1cc(F)cc(F)c1)c1ccc(Cl)cc1 ZINC000535187981 334376411 /nfs/dbraw/zinc/37/64/11/334376411.db2.gz ZTGIGVATAKIXFO-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN Cc1ccoc1CNCCc1nc2cc(Cl)ccc2s1 ZINC000532034776 334380411 /nfs/dbraw/zinc/38/04/11/334380411.db2.gz DTJMACYKUFIHPQ-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN C[C@]1(CNCc2c(OC(F)F)ccc3ccccc32)CCCO1 ZINC000532034674 334381703 /nfs/dbraw/zinc/38/17/03/334381703.db2.gz GNERJGSBHZOIAV-GOSISDBHSA-N 0 3 321.367 4.100 20 0 DIADHN CN(C)CCS[C@@H](c1ccccc1)c1ccc(F)c(F)c1 ZINC000535254083 334382956 /nfs/dbraw/zinc/38/29/56/334382956.db2.gz BFBUFLFNUVTKRY-KRWDZBQOSA-N 0 3 307.409 4.349 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000340837000 334388962 /nfs/dbraw/zinc/38/89/62/334388962.db2.gz DXYRQZNJSRMWAT-MLGOLLRUSA-N 0 3 317.433 4.347 20 0 DIADHN COCC[C@@H](COC)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000323764888 334408237 /nfs/dbraw/zinc/40/82/37/334408237.db2.gz VZEBAATWZNFBLB-UZLBHIALSA-N 0 3 313.441 4.056 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000341229499 334420364 /nfs/dbraw/zinc/42/03/64/334420364.db2.gz PEZBACDNGGWNSF-MLGOLLRUSA-N 0 3 318.367 4.033 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](CC)c1cccc(Cl)c1 ZINC000341282158 334428685 /nfs/dbraw/zinc/42/86/85/334428685.db2.gz HXSCWXYSHJWIQB-BLLLJJGKSA-N 0 3 310.869 4.074 20 0 DIADHN COc1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)ccn1 ZINC000341455238 334442053 /nfs/dbraw/zinc/44/20/53/334442053.db2.gz MVRVSWKINOEHKP-KRWDZBQOSA-N 0 3 316.420 4.496 20 0 DIADHN Clc1ccc2c(c1)OCCC[C@@H]2NCCOC1CCCC1 ZINC000341760141 334478107 /nfs/dbraw/zinc/47/81/07/334478107.db2.gz JGIZXXAVWVIKQQ-INIZCTEOSA-N 0 3 309.837 4.103 20 0 DIADHN CCCC[C@@H](NCc1ccc(OC)nc1)c1ccc(OC)cc1 ZINC000341808598 334484422 /nfs/dbraw/zinc/48/44/22/334484422.db2.gz RHFALRNSNFOJTR-GOSISDBHSA-N 0 3 314.429 4.120 20 0 DIADHN COC[C@@H](NC[C@H](C)c1nc(C)cs1)c1cccc(Cl)c1 ZINC000353671735 334489078 /nfs/dbraw/zinc/48/90/78/334489078.db2.gz BUQKSHXIZUQBSO-XHDPSFHLSA-N 0 3 324.877 4.186 20 0 DIADHN CC(F)(F)CCNCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000449354957 338617149 /nfs/dbraw/zinc/61/71/49/338617149.db2.gz TXWUBAMZGWBZJL-UHFFFAOYSA-N 0 3 301.686 4.494 20 0 DIADHN FC1(CCNCc2ccccc2OCc2ccccn2)CCC1 ZINC000342199113 334520697 /nfs/dbraw/zinc/52/06/97/334520697.db2.gz CYKPFBUGCPBLHT-UHFFFAOYSA-N 0 3 314.404 4.033 20 0 DIADHN C[C@H](NCc1cccnc1)c1ccc(Oc2cccnc2)cc1 ZINC000342302461 334526557 /nfs/dbraw/zinc/52/65/57/334526557.db2.gz DCLSIIHGBSDGIV-HNNXBMFYSA-N 0 3 305.381 4.120 20 0 DIADHN OCC[C@@H](NC/C=C/c1ccccc1)c1ccc(Cl)cc1 ZINC000342311172 334527831 /nfs/dbraw/zinc/52/78/31/334527831.db2.gz UYOVQTBSAUOOMB-WEBJUUICSA-N 0 3 301.817 4.067 20 0 DIADHN c1nc(C2CCCC2)sc1CNC[C@H]1COc2ccccc21 ZINC000342413484 334534408 /nfs/dbraw/zinc/53/44/08/334534408.db2.gz FVQCPSJPHKQQEQ-AWEZNQCLSA-N 0 3 314.454 4.067 20 0 DIADHN c1ccc([C@H]2CC[C@@H](CNCc3ccc4ncccc4c3)O2)cc1 ZINC000342436602 334541053 /nfs/dbraw/zinc/54/10/53/334541053.db2.gz YUZNQCWUFSPJEO-PZJWPPBQSA-N 0 3 318.420 4.245 20 0 DIADHN CCOC1CC(N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000342790210 334571484 /nfs/dbraw/zinc/57/14/84/334571484.db2.gz ZWKTZCWWSSNBFH-GRTSSRMGSA-N 0 3 302.443 4.028 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCC(F)(F)F ZINC000532046591 334575982 /nfs/dbraw/zinc/57/59/82/334575982.db2.gz PIFVMUVZTNJZSZ-UHFFFAOYSA-N 0 3 309.331 4.404 20 0 DIADHN CC[C@H](NCc1ccnc(OC(F)F)c1)c1ccc(C)cc1 ZINC000342807019 334578658 /nfs/dbraw/zinc/57/86/58/334578658.db2.gz VIYJNAVXLYKDCD-HNNXBMFYSA-N 0 3 306.356 4.232 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)NCc1cnc(C)nc1 ZINC000342965980 334595067 /nfs/dbraw/zinc/59/50/67/334595067.db2.gz ONKAFCPAAURVRZ-HNNXBMFYSA-N 0 3 324.255 4.203 20 0 DIADHN OCCC[C@@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105885 334600800 /nfs/dbraw/zinc/60/08/00/334600800.db2.gz WUIZVFSNOPPNBK-MOPGFXCFSA-N 0 3 315.844 4.431 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCO)c1cc(Cl)ccc1Cl ZINC000187990278 334607227 /nfs/dbraw/zinc/60/72/27/334607227.db2.gz WUHFOECRJFFHSB-RWSFTLGLSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1CCO)c1cc(F)c(Cl)cc1Cl ZINC000188000442 334607478 /nfs/dbraw/zinc/60/74/78/334607478.db2.gz BPAZUMJORZBDGH-FEUHOPSXSA-N 0 3 320.235 4.334 20 0 DIADHN CCC[C@@H](NC(=O)Nc1ccccc1CNC)c1ccccc1 ZINC000415898586 334625734 /nfs/dbraw/zinc/62/57/34/334625734.db2.gz HUUSPGCQSDOXJJ-QGZVFWFLSA-N 0 3 311.429 4.069 20 0 DIADHN CNCc1ccccc1NC(=O)N1CC[C@@H](C(C)(C)C)C[C@@H]1C ZINC000415900331 334626013 /nfs/dbraw/zinc/62/60/13/334626013.db2.gz LHDZBMLWRZQUNC-GOEBONIOSA-N 0 3 317.477 4.085 20 0 DIADHN CNCc1ccccc1NC(=O)NCC(C)(C)C1CCCCC1 ZINC000415908597 334627282 /nfs/dbraw/zinc/62/72/82/334627282.db2.gz DKKXGYFNLTYNRA-UHFFFAOYSA-N 0 3 317.477 4.134 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@H]1CCC[C@@H]1CCC(C)C ZINC000415912542 334627741 /nfs/dbraw/zinc/62/77/41/334627741.db2.gz LWUJKZOEGZVARI-WBVHZDCISA-N 0 3 317.477 4.132 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CN[C@@H](c1nc(C2CC2)no1)C(C)C ZINC000443643480 338458957 /nfs/dbraw/zinc/45/89/57/338458957.db2.gz VRKPJLUIKVCQLL-NUEKZKHPSA-N 0 3 303.450 4.226 20 0 DIADHN CCC[C@H](N[C@H]1CCCn2nc(C(C)C)nc21)[C@H]1CC1(C)C ZINC000417306868 334704525 /nfs/dbraw/zinc/70/45/25/334704525.db2.gz GQBKVXIHKISGPI-ILXRZTDVSA-N 0 3 304.482 4.041 20 0 DIADHN COC[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccc(F)cc1 ZINC000417310126 334705041 /nfs/dbraw/zinc/70/50/41/334705041.db2.gz KSDZVJGHSQSTEE-SJWGOKEGSA-N 0 3 319.445 4.357 20 0 DIADHN CCc1ccc(-c2ccc(CNCCC[C@H](C)CO)o2)cc1 ZINC000535369135 334721181 /nfs/dbraw/zinc/72/11/81/334721181.db2.gz DARPFOGRWWGSFC-HNNXBMFYSA-N 0 3 301.430 4.007 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC000112716469 334722326 /nfs/dbraw/zinc/72/23/26/334722326.db2.gz OXNBHTTVAUEYND-KRWDZBQOSA-N 0 3 304.821 4.236 20 0 DIADHN CCc1cc(C(=O)Nc2cc(C)cc(CN(C)C)c2)sc1C ZINC000535414559 334729774 /nfs/dbraw/zinc/72/97/74/334729774.db2.gz VMMZQFRIDNHIKZ-UHFFFAOYSA-N 0 3 316.470 4.241 20 0 DIADHN Cc1ccc(/C=C\CC(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000535416536 334729928 /nfs/dbraw/zinc/72/99/28/334729928.db2.gz PPLJLPIICBOHKO-WAYWQWQTSA-N 0 3 322.452 4.407 20 0 DIADHN CC(C)C1CCN(Cc2cc(-c3ccc(F)cc3)no2)CC1 ZINC000441450316 334743932 /nfs/dbraw/zinc/74/39/32/334743932.db2.gz QVWHDMINFWOKNT-UHFFFAOYSA-N 0 3 302.393 4.349 20 0 DIADHN CCCc1cc(N2CC[C@H]([C@H]3CCOC3)C2)c2ccccc2n1 ZINC000443900167 338463159 /nfs/dbraw/zinc/46/31/59/338463159.db2.gz VKEJSSXOQLSVES-HOTGVXAUSA-N 0 3 310.441 4.050 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccc(C)c(Cl)c2)cn1 ZINC000443957446 338464216 /nfs/dbraw/zinc/46/42/16/338464216.db2.gz AXWIKQBLMKCGLD-UHFFFAOYSA-N 0 3 317.864 4.179 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cnc(C(F)(F)F)s1 ZINC000443991222 338465751 /nfs/dbraw/zinc/46/57/51/338465751.db2.gz UJMXNYIGRAWPPT-KOLCDFICSA-N 0 3 306.397 4.466 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ncc[nH]1 ZINC000563693837 334860350 /nfs/dbraw/zinc/86/03/50/334860350.db2.gz RTTUSJFOZRDJGL-GXFFZTMASA-N 0 3 312.244 4.388 20 0 DIADHN C[C@H](NC[C@H]1CC[C@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000189331803 334891308 /nfs/dbraw/zinc/89/13/08/334891308.db2.gz FXQUJZDLNHRNOD-GUBZILKMSA-N 0 3 322.663 4.458 20 0 DIADHN Cc1ccc2cc(CN3CCC(C(F)(F)F)CC3)ccc2n1 ZINC000189630270 334903264 /nfs/dbraw/zinc/90/32/64/334903264.db2.gz QMSDWPMXHFSITG-UHFFFAOYSA-N 0 3 308.347 4.318 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H]2CCCc3ccc(F)cc32)CC1 ZINC000571664280 334907984 /nfs/dbraw/zinc/90/79/84/334907984.db2.gz RILRELLXRNHWIX-IBGZPJMESA-N 0 3 313.420 4.114 20 0 DIADHN Cc1oncc1CN[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F ZINC000189743139 334910192 /nfs/dbraw/zinc/91/01/92/334910192.db2.gz SWHGWGUFBPSNBA-GOEBONIOSA-N 0 3 324.346 4.428 20 0 DIADHN CN(Cc1ccoc1)Cc1ccccc1CN(C)Cc1ccoc1 ZINC000343652627 335185234 /nfs/dbraw/zinc/18/52/34/335185234.db2.gz SXMAVLMITQHOCM-UHFFFAOYSA-N 0 3 324.424 4.137 20 0 DIADHN Cc1ccc(C2CCN(CCc3cccc(F)c3F)CC2)cn1 ZINC000343748682 335190439 /nfs/dbraw/zinc/19/04/39/335190439.db2.gz INDICYGDKDMNIS-UHFFFAOYSA-N 0 3 316.395 4.090 20 0 DIADHN C[C@@H](NCC[C@H]1CCO[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343751934 335190764 /nfs/dbraw/zinc/19/07/64/335190764.db2.gz STIZWDGPJMGHQF-MXWKQRLJSA-N 0 3 322.396 4.018 20 0 DIADHN COc1cncc(CN(C2CC2)[C@@H]2CCCc3ccccc32)c1 ZINC000343800595 335194107 /nfs/dbraw/zinc/19/41/07/335194107.db2.gz RZMYWDFGDRRVJK-HXUWFJFHSA-N 0 3 308.425 4.132 20 0 DIADHN CCc1ccc(CN(C)[C@@H](C)c2ccc(-n3cncn3)cc2)cc1 ZINC000343818580 335195476 /nfs/dbraw/zinc/19/54/76/335195476.db2.gz NJSKQHOIBNKLIR-INIZCTEOSA-N 0 3 320.440 4.023 20 0 DIADHN C[C@H](NC1CCC(F)(F)CC1)c1nc(C(F)(F)F)cs1 ZINC000343851600 335197367 /nfs/dbraw/zinc/19/73/67/335197367.db2.gz ZWAMGKYZIHTHQB-ZETCQYMHSA-N 0 3 314.323 4.390 20 0 DIADHN CCC1(CN[C@@H](C)c2nc(C(F)(F)F)cs2)CCOCC1 ZINC000343851106 335197540 /nfs/dbraw/zinc/19/75/40/335197540.db2.gz HMVGLQXBJPZQAO-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN COC(C)(C)C[C@@H](C)N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000343832226 335200468 /nfs/dbraw/zinc/20/04/68/335200468.db2.gz DXURWGDTUOCAFV-BDAKNGLRSA-N 0 3 310.385 4.016 20 0 DIADHN CC[C@H](N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1cccc(Cl)c1F ZINC000343838182 335201066 /nfs/dbraw/zinc/20/10/66/335201066.db2.gz JMBAEUPBZVMETN-UOJCXKCYSA-N 0 3 323.839 4.477 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cccc2C)CC1 ZINC000343941865 335203716 /nfs/dbraw/zinc/20/37/16/335203716.db2.gz WUTBUIDVGLXHQQ-IRXDYDNUSA-N 0 3 302.462 4.143 20 0 DIADHN CCN(CC)[C@@H](CNCc1coc2ccccc12)c1ccco1 ZINC000343895109 335204221 /nfs/dbraw/zinc/20/42/21/335204221.db2.gz KLFSQZCZIZOMCV-KRWDZBQOSA-N 0 3 312.413 4.199 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)N[C@@H]1CCCOC1 ZINC000343976976 335204929 /nfs/dbraw/zinc/20/49/29/335204929.db2.gz HPZLQXRTERLPLQ-GDBMZVCRSA-N 0 3 311.853 4.204 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN[C@H]3CCCOc4ccccc43)O2)cc1 ZINC000343986027 335205817 /nfs/dbraw/zinc/20/58/17/335205817.db2.gz NGQBDVKVAONDFN-IHPCNDPISA-N 0 3 323.436 4.410 20 0 DIADHN CC[C@@H](NC[C@H]1COc2ccccc21)c1cc(F)ccc1F ZINC000344001916 335206941 /nfs/dbraw/zinc/20/69/41/335206941.db2.gz BWUPZFQQWZMRFL-YVEFUNNKSA-N 0 3 303.352 4.182 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N(C)C[C@@H]1CC=CCC1 ZINC000344072991 335215284 /nfs/dbraw/zinc/21/52/84/335215284.db2.gz SQYGZRAKRPCFEP-CHWSQXEVSA-N 0 3 303.431 4.147 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc(C(C)C)cs2)C12CCCC2 ZINC000344077792 335215536 /nfs/dbraw/zinc/21/55/36/335215536.db2.gz QMGXKNVUENYYQP-LSDHHAIUSA-N 0 3 308.491 4.094 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccccc1-n1ccnc1)CCC2 ZINC000344077236 335215541 /nfs/dbraw/zinc/21/55/41/335215541.db2.gz ZBPDWKJBVGWUNE-IBGZPJMESA-N 0 3 321.399 4.179 20 0 DIADHN OCCC[C@H](N[C@@H]1CCCc2ccc(F)cc21)c1ccccc1 ZINC000344114926 335218230 /nfs/dbraw/zinc/21/82/30/335218230.db2.gz LRDKBWNTOPOVJN-VQTJNVASSA-N 0 3 313.416 4.307 20 0 DIADHN CCC[C@H](NC(=O)C[C@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000344120460 335219175 /nfs/dbraw/zinc/21/91/75/335219175.db2.gz HEGQSVGYYMAWPB-VQTJNVASSA-N 0 3 324.468 4.337 20 0 DIADHN c1cn(-c2csc(CNC3(c4ccccc4)CCC3)c2)cn1 ZINC000344122524 335219194 /nfs/dbraw/zinc/21/91/94/335219194.db2.gz TVIHWWVVHYMDIM-UHFFFAOYSA-N 0 3 309.438 4.103 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-n3ccnc3)c(F)c2)c2ccccc21 ZINC000344124285 335219593 /nfs/dbraw/zinc/21/95/93/335219593.db2.gz XRGPFKITOUSGKB-KUHUBIRLSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1nc(CN(C)C2CCC(C(F)(F)F)CC2)sc1C ZINC000344127844 335219880 /nfs/dbraw/zinc/21/98/80/335219880.db2.gz KDEUWJCIWQQZOV-UHFFFAOYSA-N 0 3 306.397 4.313 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@@H]3CCCOC3)cs2)cs1 ZINC000344137486 335221037 /nfs/dbraw/zinc/22/10/37/335221037.db2.gz YREJOXKKMSCVGB-GXFFZTMASA-N 0 3 308.472 4.010 20 0 DIADHN Cc1nc(CN(C)C2CCC(C(F)(F)F)CC2)c(C)s1 ZINC000344150932 335222153 /nfs/dbraw/zinc/22/21/53/335222153.db2.gz GDPNZAGWFAZEEK-UHFFFAOYSA-N 0 3 306.397 4.313 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc(C(C)C)c(C)s2)C12CCC2 ZINC000344103901 335223411 /nfs/dbraw/zinc/22/34/11/335223411.db2.gz OYJCCWXXEXHTAW-KBPBESRZSA-N 0 3 308.491 4.012 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3c1cccc3C)CCO2 ZINC000344104776 335223560 /nfs/dbraw/zinc/22/35/60/335223560.db2.gz IXAVDSIVAGUTET-MOPGFXCFSA-N 0 3 309.409 4.104 20 0 DIADHN CSc1cc(CN[C@H]2CCCc3ccc(F)cc32)ccn1 ZINC000344105794 335223704 /nfs/dbraw/zinc/22/37/04/335223704.db2.gz QCJLYKPGQBXZIX-INIZCTEOSA-N 0 3 302.418 4.110 20 0 DIADHN Cc1sc(CN[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)nc1C(C)C ZINC000344107010 335223873 /nfs/dbraw/zinc/22/38/73/335223873.db2.gz QZKSWWSKZACOFF-XYPHTWIQSA-N 0 3 320.502 4.012 20 0 DIADHN Cc1nc(C)c(CNCc2cc(C)cc3c(C)c(C)[nH]c32)s1 ZINC000344223538 335229708 /nfs/dbraw/zinc/22/97/08/335229708.db2.gz QQGRBTHIYUWAOQ-UHFFFAOYSA-N 0 3 313.470 4.456 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC3(CCO)CCC3)oc21 ZINC000344277007 335235276 /nfs/dbraw/zinc/23/52/76/335235276.db2.gz BSMYMPIFRODXJF-AWEZNQCLSA-N 0 3 317.429 4.035 20 0 DIADHN CC(C)n1ncc2cc(CNC(C)(C)c3cccs3)cnc21 ZINC000344310567 335239734 /nfs/dbraw/zinc/23/97/34/335239734.db2.gz OVGHHUYQYIRQCO-UHFFFAOYSA-N 0 3 314.458 4.099 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2c(C)noc2C)C1(CC)CC ZINC000344313641 335240139 /nfs/dbraw/zinc/24/01/39/335240139.db2.gz ZEAVZPBSXVGPSR-OWCLPIDISA-N 0 3 308.466 4.316 20 0 DIADHN C[C@H](C(=O)Nc1cccc(C(C)(F)F)c1)N1CCCCCC1 ZINC000444480642 338473961 /nfs/dbraw/zinc/47/39/61/338473961.db2.gz LBXMRQBOJNCZEU-CYBMUJFWSA-N 0 3 310.388 4.001 20 0 DIADHN c1nc(CNC[C@@H]2CCCCS2)sc1-c1ccccc1 ZINC000344345754 335243132 /nfs/dbraw/zinc/24/31/32/335243132.db2.gz FRXDCRXLUBNUSV-AWEZNQCLSA-N 0 3 304.484 4.185 20 0 DIADHN COc1ccc2cc(CN[C@@H](CCO)c3ccccc3)ccc2c1 ZINC000344465950 335250951 /nfs/dbraw/zinc/25/09/51/335250951.db2.gz UUWCSWOYAYAQNE-NRFANRHFSA-N 0 3 321.420 4.062 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(-c2ccncc2)cc1 ZINC000344472466 335251626 /nfs/dbraw/zinc/25/16/26/335251626.db2.gz JOHPODFQXGXQAY-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN Cc1ncc(CNCC[C@@H](C)c2cccc(C(F)(F)F)c2)cn1 ZINC000344712739 335275057 /nfs/dbraw/zinc/27/50/57/335275057.db2.gz BZDDXTFVBBGIRS-GFCCVEGCSA-N 0 3 323.362 4.087 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(C)cc32)cc1OC ZINC000165953813 335288882 /nfs/dbraw/zinc/28/88/82/335288882.db2.gz MKJVJBGNLNQSFL-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN CCC[C@H](N[C@H]1C=C[C@@H](CO)C1)c1cccc(C(F)(F)F)c1 ZINC000345049216 335295639 /nfs/dbraw/zinc/29/56/39/335295639.db2.gz HGIAHSRBUKKKPY-KCXAZCMYSA-N 0 3 313.363 4.073 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cccc(C(=O)N(C)C)c2)c(C)c1 ZINC000345097922 335298175 /nfs/dbraw/zinc/29/81/75/335298175.db2.gz RZWQMWFSVBRCMQ-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345288356 335314117 /nfs/dbraw/zinc/31/41/17/335314117.db2.gz ZZHJIJRDRIPEHH-SFHVURJKSA-N 0 3 317.864 4.321 20 0 DIADHN CC(C)(C)NC(=O)CCN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345319741 335316931 /nfs/dbraw/zinc/31/69/31/335316931.db2.gz YIENNEZOOURGJD-MRXNPFEDSA-N 0 3 322.880 4.076 20 0 DIADHN CCc1nn(C)cc1CN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345329781 335317719 /nfs/dbraw/zinc/31/77/19/335317719.db2.gz QLJVTPIHSOSITO-GOSISDBHSA-N 0 3 317.864 4.267 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cnc(C(C)C)s1 ZINC000345695952 335342531 /nfs/dbraw/zinc/34/25/31/335342531.db2.gz ULLUESXQNVSYFS-BLLLJJGKSA-N 0 3 322.449 4.271 20 0 DIADHN Cc1nn(C)cc1CN[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000345701269 335343119 /nfs/dbraw/zinc/34/31/19/335343119.db2.gz ONTKLEPVOHDRBV-KSSFIOAISA-N 0 3 309.457 4.118 20 0 DIADHN CC(C)(C)OCCN1CCC[C@H](c2nc3c(s2)CCCC3)C1 ZINC000345713886 335345072 /nfs/dbraw/zinc/34/50/72/335345072.db2.gz PTYZKJPQTVLDJH-AWEZNQCLSA-N 0 3 322.518 4.016 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1ccc2ncccc2c1 ZINC000345714517 335345163 /nfs/dbraw/zinc/34/51/63/335345163.db2.gz YCZJAOGTKKULFL-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN Brc1cccc([C@H]2CCCN2CC[C@H]2CCCO2)c1 ZINC000345802420 335352355 /nfs/dbraw/zinc/35/23/55/335352355.db2.gz WSSHPIFVNOMMSY-HZPDHXFCSA-N 0 3 324.262 4.155 20 0 DIADHN FC(F)(F)C1CCN(C[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000190976878 335362703 /nfs/dbraw/zinc/36/27/03/335362703.db2.gz POUWUBGVUCKLSC-CQSZACIVSA-N 0 3 305.384 4.143 20 0 DIADHN Cc1ccc(C(C)C)c2c1[C@H](NC[C@H]1CCCS1)CCO2 ZINC000346083933 335377299 /nfs/dbraw/zinc/37/72/99/335377299.db2.gz QRFZRBXIWKBHIO-GDBMZVCRSA-N 0 3 305.487 4.427 20 0 DIADHN Cc1nn(-c2ccncc2)cc1CN1CCC2(CCCCC2)CC1 ZINC000346153158 335382527 /nfs/dbraw/zinc/38/25/27/335382527.db2.gz PRGINDQSTKQIEP-UHFFFAOYSA-N 0 3 324.472 4.122 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2cccn2C)cc2cccnc12 ZINC000346185678 335383673 /nfs/dbraw/zinc/38/36/73/335383673.db2.gz VKROAKYXYFUBTI-LJQANCHMSA-N 0 3 305.425 4.219 20 0 DIADHN CN(Cc1cc2ccccc2o1)Cc1cc(-n2ccnc2)cs1 ZINC000346168505 335384737 /nfs/dbraw/zinc/38/47/37/335384737.db2.gz LHKXXSPMFFOQFD-UHFFFAOYSA-N 0 3 323.421 4.312 20 0 DIADHN c1csc([C@H]2CCCN2Cc2cc(-n3ccnc3)cs2)c1 ZINC000346198947 335389206 /nfs/dbraw/zinc/38/92/06/335389206.db2.gz FZZGUADSBJHYHQ-OAHLLOKOSA-N 0 3 315.467 4.332 20 0 DIADHN CC(C(=O)Nc1ccc(Oc2cccnc2)cc1)C(F)(F)F ZINC000346201281 335389645 /nfs/dbraw/zinc/38/96/45/335389645.db2.gz MPJDDQLKESCOLT-JTQLQIEISA-N 0 3 310.275 4.011 20 0 DIADHN Cc1nccn1CCN(C)[C@H](C)c1ccc(Cl)c(Cl)c1 ZINC000346312067 335398988 /nfs/dbraw/zinc/39/89/88/335398988.db2.gz OJBOIJSBRVISOS-LLVKDONJSA-N 0 3 312.244 4.191 20 0 DIADHN CC(C)[C@@H](NCCO[C@H]1CCCCO1)c1ccccc1Cl ZINC000444684144 338482302 /nfs/dbraw/zinc/48/23/02/338482302.db2.gz KRFFVYBMMJDKRP-DLBZAZTESA-N 0 3 311.853 4.170 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2cnc(C3CCC3)s2)CCO1 ZINC000346667142 335428035 /nfs/dbraw/zinc/42/80/35/335428035.db2.gz KZTFIKXJRIJBPW-GJZGRUSLSA-N 0 3 308.491 4.094 20 0 DIADHN CC[C@@H](N[C@@H](CCOC)Cc1ccco1)c1ccccc1F ZINC000346844884 335445733 /nfs/dbraw/zinc/44/57/33/335445733.db2.gz YSFKNLNMBIKRAS-KBXCAEBGSA-N 0 3 305.393 4.107 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCCOC3CCC3)cc2)cs1 ZINC000346964961 335454413 /nfs/dbraw/zinc/45/44/13/335454413.db2.gz NCTZNZLLTYUHOM-ZDUSSCGKSA-N 0 3 316.470 4.338 20 0 DIADHN Cc1cc(C)cc(OCCN[C@H]2CCCNc3ccccc32)c1 ZINC000347902954 335533132 /nfs/dbraw/zinc/53/31/32/335533132.db2.gz LYFVXSQZYIAJRB-FQEVSTJZSA-N 0 3 310.441 4.219 20 0 DIADHN c1ccc2c(c1)NCCC[C@H]2NCCOC1CCCCCC1 ZINC000348013830 335542463 /nfs/dbraw/zinc/54/24/63/335542463.db2.gz RVVPYHLZSUMHBP-LJQANCHMSA-N 0 3 302.462 4.262 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@H](OC)c2ccccc2Cl)cn1 ZINC000348118288 335549364 /nfs/dbraw/zinc/54/93/64/335549364.db2.gz OWJVBGRULYCNGD-UGSOOPFHSA-N 0 3 318.848 4.163 20 0 DIADHN OCC[C@H](N[C@H]1CCCc2ccccc21)c1ccc(Cl)cc1 ZINC000348204212 335565608 /nfs/dbraw/zinc/56/56/08/335565608.db2.gz FWHBCSHZLVMHSR-OALUTQOASA-N 0 3 315.844 4.431 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000348207609 335566025 /nfs/dbraw/zinc/56/60/25/335566025.db2.gz PUVVRQDMAWMYMR-KSSFIOAISA-N 0 3 303.833 4.423 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@@H]1CCOc2c(Br)cccc21 ZINC000348255303 335568126 /nfs/dbraw/zinc/56/81/26/335568126.db2.gz JNCKIOLDSDCYOG-DDTOSNHZSA-N 0 3 310.235 4.051 20 0 DIADHN CCCCOCCN1CCC(c2nc3cccc(C)c3o2)CC1 ZINC000444784527 338488574 /nfs/dbraw/zinc/48/85/74/338488574.db2.gz NXQSVKIDLJDABX-UHFFFAOYSA-N 0 3 316.445 4.132 20 0 DIADHN OCC1(Cc2ccccc2)CCN(C/C=C/c2ccccc2)CC1 ZINC000444785515 338488586 /nfs/dbraw/zinc/48/85/86/338488586.db2.gz QYTYLEMPIUWTIL-KPKJPENVSA-N 0 3 321.464 4.017 20 0 DIADHN OCC1(Cc2ccccc2)CCN(C/C=C\c2ccccc2)CC1 ZINC000444785511 338488681 /nfs/dbraw/zinc/48/86/81/338488681.db2.gz QYTYLEMPIUWTIL-GHXNOFRVSA-N 0 3 321.464 4.017 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1csc(Cl)c1 ZINC000191236361 335643278 /nfs/dbraw/zinc/64/32/78/335643278.db2.gz IFASVUBDYSMVFV-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3cccc(CO)c3)ccc2c1 ZINC000326929269 335652347 /nfs/dbraw/zinc/65/23/47/335652347.db2.gz WMDYGDDQOSRMQJ-HNNXBMFYSA-N 0 3 321.420 4.192 20 0 DIADHN CN(C)CCN(Cc1ccco1)Cc1csc2ccccc12 ZINC000349330720 335663959 /nfs/dbraw/zinc/66/39/59/335663959.db2.gz KAVSQEHEMQBNGF-UHFFFAOYSA-N 0 3 314.454 4.058 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H]2CCCC23CCOCC3)cs1 ZINC000367088516 335664252 /nfs/dbraw/zinc/66/42/52/335664252.db2.gz WWYZRWQNORFMFZ-UKRRQHHQSA-N 0 3 308.491 4.266 20 0 DIADHN C/C=C\C[C@H](CO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000349357127 335666003 /nfs/dbraw/zinc/66/60/03/335666003.db2.gz SUTYCHOVTNJOSA-WUIHGDLDSA-N 0 3 320.285 4.349 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1ccc(Cl)cc1Cl ZINC000388967980 335667510 /nfs/dbraw/zinc/66/75/10/335667510.db2.gz NCUKTYIPLRJQAH-SDDRHHMPSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1cc(Cl)ccc1Cl ZINC000388998630 335681842 /nfs/dbraw/zinc/68/18/42/335681842.db2.gz QIPCTPMMJYFSIW-SRVKXCTJSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H]2CCc3c2c(F)ccc3F)n1 ZINC000349667800 335690521 /nfs/dbraw/zinc/69/05/21/335690521.db2.gz QAIGQGNVOXUZAN-XPTSAGLGSA-N 0 3 308.397 4.110 20 0 DIADHN C[C@H](CN[C@H]1CCCOc2cc(Cl)ccc21)c1nccs1 ZINC000349830032 335704459 /nfs/dbraw/zinc/70/44/59/335704459.db2.gz DROSJBOGQXWMME-RISCZKNCSA-N 0 3 322.861 4.404 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccc2oc(C(C)C)nc2c1 ZINC000535745664 335753781 /nfs/dbraw/zinc/75/37/81/335753781.db2.gz FFYRDEGIUAZFLC-GFCCVEGCSA-N 0 3 317.433 4.056 20 0 DIADHN COCCSc1ccc([C@H](C)N[C@H](C)c2ccncc2)cc1 ZINC000350518123 335758936 /nfs/dbraw/zinc/75/89/36/335758936.db2.gz UACVIHQPZMMHPK-LSDHHAIUSA-N 0 3 316.470 4.232 20 0 DIADHN C[C@@H](N[C@@H](C)CCCO)c1ccc(Cl)c(Cl)c1Cl ZINC000094941910 335761265 /nfs/dbraw/zinc/76/12/65/335761265.db2.gz COTWTFRAKSDRKW-DTWKUNHWSA-N 0 3 310.652 4.458 20 0 DIADHN CCc1ccccc1CNCc1cccc(Br)c1 ZINC000052203037 335788629 /nfs/dbraw/zinc/78/86/29/335788629.db2.gz GIYWVPPUMNWBRO-UHFFFAOYSA-N 0 3 304.231 4.301 20 0 DIADHN Cc1cc(CN2CCC(C)(c3ccc(Cl)cc3)CC2)on1 ZINC000527321772 335805974 /nfs/dbraw/zinc/80/59/74/335805974.db2.gz FRXSHUBSMOBGMJ-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN Cc1cnc([C@H](NCCCCOc2ccccc2)C2CC2)s1 ZINC000527361307 335842697 /nfs/dbraw/zinc/84/26/97/335842697.db2.gz OCXYRPABSTYSFY-QGZVFWFLSA-N 0 3 316.470 4.351 20 0 DIADHN C[C@@H](NC[C@@H](C)CCO)c1ccc(Cl)c(Cl)c1Cl ZINC000097439306 335855645 /nfs/dbraw/zinc/85/56/45/335855645.db2.gz GBDXJEQXPSYHQL-DTWKUNHWSA-N 0 3 310.652 4.316 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC000417928368 335880017 /nfs/dbraw/zinc/88/00/17/335880017.db2.gz MZNLAMZOWGJPGQ-OAUYIBNBSA-N 0 3 303.837 4.043 20 0 DIADHN COCCOc1ccccc1CN[C@H](C)c1cc(C)ccc1C ZINC000532212376 335919070 /nfs/dbraw/zinc/91/90/70/335919070.db2.gz GHSLTPZHHOGWRL-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)[C@H](C)c2ccccc2)o1 ZINC000532236058 335923068 /nfs/dbraw/zinc/92/30/68/335923068.db2.gz MPACEUIFZFLKIS-DAYGRLMNSA-N 0 3 301.430 4.311 20 0 DIADHN CC[C@H](N[C@H](CO)c1ccccc1C)c1ccc(Cl)s1 ZINC000532249341 335926610 /nfs/dbraw/zinc/92/66/10/335926610.db2.gz RGQURJXSUJIQIR-UONOGXRCSA-N 0 3 309.862 4.484 20 0 DIADHN CC(C)[C@H](NCc1cncn1Cc1ccccc1)c1ccccc1 ZINC000445801186 338510026 /nfs/dbraw/zinc/51/00/26/338510026.db2.gz FGLURYSIVVMTTB-NRFANRHFSA-N 0 3 319.452 4.418 20 0 DIADHN Cc1sccc1CN1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000351265191 336024769 /nfs/dbraw/zinc/02/47/69/336024769.db2.gz WUJREQDULMAMEK-MRXNPFEDSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1sccc1CN1CCC[C@H]1c1cccc2c1OCCO2 ZINC000351265188 336024937 /nfs/dbraw/zinc/02/49/37/336024937.db2.gz WUJREQDULMAMEK-INIZCTEOSA-N 0 3 315.438 4.165 20 0 DIADHN CCC1CC(N[C@@H](CCO)c2ccc(Cl)c(Cl)c2)C1 ZINC000354016696 336026981 /nfs/dbraw/zinc/02/69/81/336026981.db2.gz BXQJLKVULAVCHK-QHAMSDLMSA-N 0 3 302.245 4.195 20 0 DIADHN c1ccc2c(c1)OC[C@H]2CNCc1ccccc1OC1CCCC1 ZINC000583656829 336027440 /nfs/dbraw/zinc/02/74/40/336027440.db2.gz NJLCIDSSPSECAH-QGZVFWFLSA-N 0 3 323.436 4.274 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1cnccn1 ZINC000152430915 336027651 /nfs/dbraw/zinc/02/76/51/336027651.db2.gz AMXSOYPEKCHSBC-CQSZACIVSA-N 0 3 315.848 4.293 20 0 DIADHN CCc1cnc(CCN[C@H](CC)c2ccc(F)cc2F)s1 ZINC000351437469 336034868 /nfs/dbraw/zinc/03/48/68/336034868.db2.gz BNVYHLPPFUBKSZ-OAHLLOKOSA-N 0 3 310.413 4.267 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1cc(Br)ccc1F ZINC000211109528 336043178 /nfs/dbraw/zinc/04/31/78/336043178.db2.gz PZPMVFYSNYDOST-GXSJLCMTSA-N 0 3 318.255 4.134 20 0 DIADHN CCCN(Cc1cnc2n[nH]c(C)c2c1)[C@H]1CCc2ccccc21 ZINC000351606622 336049419 /nfs/dbraw/zinc/04/94/19/336049419.db2.gz FPBWLDHHSWRMNP-IBGZPJMESA-N 0 3 320.440 4.166 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1ccc([S@](C)=O)cc1 ZINC000351619790 336051199 /nfs/dbraw/zinc/05/11/99/336051199.db2.gz KNYYGEQTOSBPRQ-KNQAVFIVSA-N 0 3 315.482 4.397 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc2cc(OC)ccc2c1 ZINC000351625037 336052563 /nfs/dbraw/zinc/05/25/63/336052563.db2.gz RVDBXVDRCWNTRY-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1ccc([S@](C)=O)cc1 ZINC000351660998 336055767 /nfs/dbraw/zinc/05/57/67/336055767.db2.gz GVHPHSYEAWTHGI-QFIPXVFZSA-N 0 3 315.482 4.082 20 0 DIADHN Cc1cc(-c2noc(-c3cccc(CN4CCCC4)c3)n2)c(C)o1 ZINC000445830039 338511783 /nfs/dbraw/zinc/51/17/83/338511783.db2.gz PACBPAXYVXLWRN-UHFFFAOYSA-N 0 3 323.396 4.209 20 0 DIADHN Cc1ncc(CN[C@@H](CC(C)C)c2ccc(Cl)cc2)cn1 ZINC000351754486 336065056 /nfs/dbraw/zinc/06/50/56/336065056.db2.gz FPRMMKOIMNQYPD-KRWDZBQOSA-N 0 3 303.837 4.315 20 0 DIADHN CCOC1CC(CN[C@@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC000351757856 336065656 /nfs/dbraw/zinc/06/56/56/336065656.db2.gz OGMRFJZPQIRULZ-KWCHVYNWSA-N 0 3 319.342 4.224 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NC[C@@H](C)C(F)(F)F)CCCO2 ZINC000480660140 336067724 /nfs/dbraw/zinc/06/77/24/336067724.db2.gz DZFBZJITCBZNAP-OCCSQVGLSA-N 0 3 301.352 4.305 20 0 DIADHN c1csc([C@@H]2CCCN(CCSCc3ccccc3)C2)n1 ZINC000192562538 336137330 /nfs/dbraw/zinc/13/73/30/336137330.db2.gz VMPMXJQGKFHQHO-MRXNPFEDSA-N 0 3 318.511 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331875234 336151032 /nfs/dbraw/zinc/15/10/32/336151032.db2.gz FLUDFIVZCKNEMH-MROQNXINSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](NC1[C@@H](C)CCC[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331936188 336153543 /nfs/dbraw/zinc/15/35/43/336153543.db2.gz LZVVKJMCJDLEID-SRVKXCTJSA-N 0 3 305.447 4.273 20 0 DIADHN c1csc([C@@H](CN[C@@H]2CCCc3occc32)N2CCCC2)c1 ZINC000192590942 336167251 /nfs/dbraw/zinc/16/72/51/336167251.db2.gz NXGGVDQQBOVZQY-HZPDHXFCSA-N 0 3 316.470 4.145 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1cccc(Cl)c1 ZINC000194374532 338516612 /nfs/dbraw/zinc/51/66/12/338516612.db2.gz UGAOIRBJVCYDOI-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000332597261 336175678 /nfs/dbraw/zinc/17/56/78/336175678.db2.gz LIWHANKQEVVRDR-RISCZKNCSA-N 0 3 319.836 4.047 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1N[C@@H](C)c1nc2ccccc2c(=O)[nH]1 ZINC000332654385 336177678 /nfs/dbraw/zinc/17/76/78/336177678.db2.gz YXCMYOGMIXARPE-LZWOXQAQSA-N 0 3 313.445 4.201 20 0 DIADHN CCn1cncc1CNC1(c2cccc(Cl)c2)CCCC1 ZINC000417961176 336178179 /nfs/dbraw/zinc/17/81/79/336178179.db2.gz YATQSAHFAVCKQU-UHFFFAOYSA-N 0 3 303.837 4.116 20 0 DIADHN CCOc1ccc(C2=CCN([C@H](C)c3cccnc3)CC2)cc1 ZINC000351956184 336182646 /nfs/dbraw/zinc/18/26/46/336182646.db2.gz BBJFTRHHAJYPQP-MRXNPFEDSA-N 0 3 308.425 4.331 20 0 DIADHN CC(C)C1(C)CCN(Cc2cncn2Cc2ccccc2)CC1 ZINC000446000559 338517374 /nfs/dbraw/zinc/51/73/74/338517374.db2.gz FNMXUYOYLKWLAQ-UHFFFAOYSA-N 0 3 311.473 4.190 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1CCCc2occc21 ZINC000352113208 336195470 /nfs/dbraw/zinc/19/54/70/336195470.db2.gz FPPNZLZDXXRINI-LJQBUTKESA-N 0 3 311.425 4.497 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000333375308 336202595 /nfs/dbraw/zinc/20/25/95/336202595.db2.gz VNVTYRKFBGUCFJ-IRXDYDNUSA-N 0 3 315.461 4.016 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@H]2Cc2cccc(F)c2)s1 ZINC000352287857 336210395 /nfs/dbraw/zinc/21/03/95/336210395.db2.gz SUTHUTIGNSMJJV-INIZCTEOSA-N 0 3 304.434 4.106 20 0 DIADHN Cc1c(CN(C)Cc2ccccc2-c2ccccc2)cnn1C ZINC000352331485 336212836 /nfs/dbraw/zinc/21/28/36/336212836.db2.gz QPDMJIRKAQXMOD-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN CCOc1cccc(CN2CCC(OCCC(C)C)CC2)c1 ZINC000352337335 336213074 /nfs/dbraw/zinc/21/30/74/336213074.db2.gz GPCVTTPUDTUWNC-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@H](c2ncc[nH]2)C1 ZINC000352337977 336213129 /nfs/dbraw/zinc/21/31/29/336213129.db2.gz MZGCUKROZKDFFX-LBPRGKRZSA-N 0 3 315.804 4.189 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000352337976 336213160 /nfs/dbraw/zinc/21/31/60/336213160.db2.gz MZGCUKROZKDFFX-GFCCVEGCSA-N 0 3 315.804 4.189 20 0 DIADHN Cc1cnccc1OC1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000352365649 336214520 /nfs/dbraw/zinc/21/45/20/336214520.db2.gz VBRSUEFBZLJLMH-OAHLLOKOSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1sc(CN[C@H](C)Cc2ccc(O)cc2)nc1C(C)C ZINC000352383553 336215567 /nfs/dbraw/zinc/21/55/67/336215567.db2.gz LMVIAJOXFMHADP-GFCCVEGCSA-N 0 3 304.459 4.001 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(OCC(C)C)CC1 ZINC000352388834 336215794 /nfs/dbraw/zinc/21/57/94/336215794.db2.gz WBIOANVPSKNALZ-UHFFFAOYSA-N 0 3 306.494 4.171 20 0 DIADHN c1cn(-c2ccc(CNCc3ccc4sccc4c3)cc2)cn1 ZINC000352446017 336219373 /nfs/dbraw/zinc/21/93/73/336219373.db2.gz AQBGBWYKZYDIDT-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN CC(C)(C)OC1CC(N[C@@H]2CCSc3ccc(F)cc32)C1 ZINC000352566643 336224834 /nfs/dbraw/zinc/22/48/34/336224834.db2.gz FPZDFNKLLGQDJA-SSDMNJCBSA-N 0 3 309.450 4.298 20 0 DIADHN COc1cc(CN2CCC[C@@H]3CCC[C@H]32)c(SC)cc1OC ZINC000352718841 336232150 /nfs/dbraw/zinc/23/21/50/336232150.db2.gz JBHWOJLNASDGIH-DZGCQCFKSA-N 0 3 321.486 4.190 20 0 DIADHN CCn1nc(C)c(CN(Cc2ccc(C)s2)C(C)C)c1C ZINC000352718129 336232199 /nfs/dbraw/zinc/23/21/99/336232199.db2.gz GEMDOQODDRDIPB-UHFFFAOYSA-N 0 3 305.491 4.300 20 0 DIADHN C[C@H]1C[C@@H](CN(Cc2ccco2)Cc2cccs2)CCO1 ZINC000352743071 336234225 /nfs/dbraw/zinc/23/42/25/336234225.db2.gz FUIGDNBNLYYXJI-GJZGRUSLSA-N 0 3 305.443 4.158 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)c2ccc(Cl)cc2)cn1 ZINC000352750082 336234763 /nfs/dbraw/zinc/23/47/63/336234763.db2.gz PFHGLVPNUSDLOU-SCLBCKFNSA-N 0 3 318.848 4.163 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3occc3c2)cc1OC ZINC000352779119 336235958 /nfs/dbraw/zinc/23/59/58/336235958.db2.gz JSGNXVOWBBKIKK-CYBMUJFWSA-N 0 3 311.381 4.301 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1ccccc1F ZINC000352784398 336236455 /nfs/dbraw/zinc/23/64/55/336236455.db2.gz TZYKCVMGOABRTA-OKZBNKHCSA-N 0 3 301.409 4.247 20 0 DIADHN FC(F)(F)CSCCNCc1cscc1C(F)(F)F ZINC000352794769 336237103 /nfs/dbraw/zinc/23/71/03/336237103.db2.gz HWMVLILILLWSRP-UHFFFAOYSA-N 0 3 323.327 4.152 20 0 DIADHN CC[C@H](NCCOCc1ccc(OC)cc1)c1ccccc1F ZINC000352789112 336236887 /nfs/dbraw/zinc/23/68/87/336236887.db2.gz NDEHKOSHLDPGRN-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)s1 ZINC000042290422 336238331 /nfs/dbraw/zinc/23/83/31/336238331.db2.gz ZILUDMGEIHINIE-NEPJUHHUSA-N 0 3 303.427 4.451 20 0 DIADHN Cc1ccc(CNCc2cccc(Br)c2)c(C)c1 ZINC000042337533 336238335 /nfs/dbraw/zinc/23/83/35/336238335.db2.gz TYSRVSIFFBJQPK-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN Cc1ccc([C@@H](C)NCCOc2ccc(Br)cc2)o1 ZINC000042314551 336238875 /nfs/dbraw/zinc/23/88/75/336238875.db2.gz GZRDGTVFZBMAPC-GFCCVEGCSA-N 0 3 324.218 4.080 20 0 DIADHN COc1ccc(-c2cnc(CN(C3CC3)C3CCCC3)o2)cc1 ZINC000103465968 336241110 /nfs/dbraw/zinc/24/11/10/336241110.db2.gz LUMYDKBAUOXPDQ-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN C[C@H]1CCCC[C@H]1CNCc1csc(-c2ccccn2)n1 ZINC000042859177 336242387 /nfs/dbraw/zinc/24/23/87/336242387.db2.gz WHPUPTUNTPBGMY-KBPBESRZSA-N 0 3 301.459 4.121 20 0 DIADHN CO[C@H](CNCc1cnc(C2CC2)s1)c1ccc(Cl)cc1 ZINC000532393784 336245239 /nfs/dbraw/zinc/24/52/39/336245239.db2.gz WHGCYJCVSFFXRQ-OAHLLOKOSA-N 0 3 322.861 4.151 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(OC)cc2OC)s1 ZINC000104151859 336246133 /nfs/dbraw/zinc/24/61/33/336246133.db2.gz GWPBOLDGOWQVFU-GFCCVEGCSA-N 0 3 305.443 4.179 20 0 DIADHN CC(C)[C@H](CCc1ccccc1)NCc1cn2ccccc2n1 ZINC000532441009 336247407 /nfs/dbraw/zinc/24/74/07/336247407.db2.gz OQXXLSFWNLBUIY-IBGZPJMESA-N 0 3 307.441 4.081 20 0 DIADHN Fc1cccc(C[C@H](NC[C@@H]2CCCO2)c2cccc(F)c2)c1 ZINC000532442301 336247464 /nfs/dbraw/zinc/24/74/64/336247464.db2.gz UNEMPAPZJQAPCS-OALUTQOASA-N 0 3 317.379 4.017 20 0 DIADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532442557 336247565 /nfs/dbraw/zinc/24/75/65/336247565.db2.gz HLYXHOLPEXHUJM-PBHICJAKSA-N 0 3 315.388 4.448 20 0 DIADHN C[C@H]1C[C@@H](N[C@@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000328476737 336247578 /nfs/dbraw/zinc/24/75/78/336247578.db2.gz OTHXUHICKGLIPQ-CORIIIEPSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1ccc(-n2cccn2)cc1 ZINC000532446101 336247753 /nfs/dbraw/zinc/24/77/53/336247753.db2.gz DVCMXKYNXULJHK-XOBRGWDASA-N 0 3 321.399 4.035 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1ccc(-n2cccn2)cc1 ZINC000532446100 336247802 /nfs/dbraw/zinc/24/78/02/336247802.db2.gz DVCMXKYNXULJHK-VLIAUNLRSA-N 0 3 321.399 4.035 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(=O)N(CC)CC)c1cccc(Cl)c1 ZINC000532450626 336247889 /nfs/dbraw/zinc/24/78/89/336247889.db2.gz HRJPWQLWTBRRGG-XJKSGUPXSA-N 0 3 310.869 4.028 20 0 DIADHN CCOCCN[C@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000532474984 336248927 /nfs/dbraw/zinc/24/89/27/336248927.db2.gz KNFCFRVUTFKYBF-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@@H](NCc1c(Cl)cccc1Cl)C1CCOCC1 ZINC000532463378 336249122 /nfs/dbraw/zinc/24/91/22/336249122.db2.gz GNRSARAQUHXZQV-OAHLLOKOSA-N 0 3 302.245 4.288 20 0 DIADHN CC[C@@H](NCc1nc2cc(Cl)ccc2s1)C1CCOCC1 ZINC000532466308 336249213 /nfs/dbraw/zinc/24/92/13/336249213.db2.gz MEJUPPUVUIYNLK-CYBMUJFWSA-N 0 3 324.877 4.245 20 0 DIADHN Fc1ccc(OC2CCN(CCc3ccccc3)CC2)c(F)c1 ZINC000532473957 336249884 /nfs/dbraw/zinc/24/98/84/336249884.db2.gz BRATVMZSAAMLAB-UHFFFAOYSA-N 0 3 317.379 4.051 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCCc1csc(C(C)C)n1 ZINC000532490351 336250321 /nfs/dbraw/zinc/25/03/21/336250321.db2.gz UKDJALWKVRPTNE-CQSZACIVSA-N 0 3 318.486 4.477 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)CCC(=O)N3)cc1 ZINC000532475960 336251171 /nfs/dbraw/zinc/25/11/71/336251171.db2.gz UQGKMYASTHOCDK-LSDHHAIUSA-N 0 3 308.425 4.292 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCCc1ccccc1O ZINC000532488276 336251967 /nfs/dbraw/zinc/25/19/67/336251967.db2.gz KTVPVFJXKLHVJO-CQSZACIVSA-N 0 3 301.455 4.398 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nccn1CCCc1ccccc1 ZINC000532521488 336251999 /nfs/dbraw/zinc/25/19/99/336251999.db2.gz AESXFHJCTYPOTG-RBUKOAKNSA-N 0 3 311.473 4.184 20 0 DIADHN CC[C@@H](C)N[C@@H](c1cccc(OC)c1)c1cc(F)ccc1OC ZINC000532522155 336252226 /nfs/dbraw/zinc/25/22/26/336252226.db2.gz HHHREPHBURKRAO-YJYMSZOUSA-N 0 3 317.404 4.320 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000532522238 336252264 /nfs/dbraw/zinc/25/22/64/336252264.db2.gz BMAFBOSGDIINQO-GWCFXTLKSA-N 0 3 321.795 4.263 20 0 DIADHN CC[C@@H](C)CN(C)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000532502556 336252369 /nfs/dbraw/zinc/25/23/69/336252369.db2.gz JSYLYWIVYKPDOU-SNVBAGLBSA-N 0 3 300.324 4.325 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2cc3c(s2)CCC3)cn1 ZINC000532511506 336252994 /nfs/dbraw/zinc/25/29/94/336252994.db2.gz IRSHMQFRJDKGPE-QWHCGFSZSA-N 0 3 303.475 4.426 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cc(C)c(F)c(C)c2)cn1 ZINC000532514477 336253134 /nfs/dbraw/zinc/25/31/34/336253134.db2.gz NIBGVLLDYDZFLL-OAHLLOKOSA-N 0 3 316.420 4.477 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccc(Br)cc1)c1ccoc1 ZINC000532532037 336253957 /nfs/dbraw/zinc/25/39/57/336253957.db2.gz SFSATTMNWVPPGE-NWDGAFQWSA-N 0 3 324.218 4.160 20 0 DIADHN COc1ccc([C@@H](NCCc2ccncc2)c2ccccc2)cc1 ZINC000324737657 336255559 /nfs/dbraw/zinc/25/55/59/336255559.db2.gz ZDPBDYZNZGGGIX-NRFANRHFSA-N 0 3 318.420 4.012 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N(C)CC(C)(C)C ZINC000532550383 336255997 /nfs/dbraw/zinc/25/59/97/336255997.db2.gz CXXCWTJALHRIRC-OAHLLOKOSA-N 0 3 304.478 4.423 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCc1[nH]ncc1C ZINC000352820380 336256106 /nfs/dbraw/zinc/25/61/06/336256106.db2.gz AEBGOYVNTITHEZ-OAHLLOKOSA-N 0 3 321.852 4.257 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000532551129 336256311 /nfs/dbraw/zinc/25/63/11/336256311.db2.gz XFOUMAZRCWPECN-CRAIPNDOSA-N 0 3 301.405 4.135 20 0 DIADHN CCN(Cc1cccc(C(=O)Nc2ccccc2)c1)C1CCC1 ZINC000532585777 336256567 /nfs/dbraw/zinc/25/65/67/336256567.db2.gz VAPFQARNHZNIRH-UHFFFAOYSA-N 0 3 308.425 4.313 20 0 DIADHN CCC(CC)[C@@H](CCNCc1c[nH]c(-c2ccccc2)n1)OC ZINC000532617843 336257762 /nfs/dbraw/zinc/25/77/62/336257762.db2.gz VTBXBXLEOKFBHU-GOSISDBHSA-N 0 3 315.461 4.008 20 0 DIADHN COC[C@H](CC(C)C)N[C@H](CC(F)(F)F)c1ccccc1 ZINC000480888426 336258431 /nfs/dbraw/zinc/25/84/31/336258431.db2.gz XLLNIEDHWVTBEJ-LSDHHAIUSA-N 0 3 303.368 4.331 20 0 DIADHN COCc1ccc(CNC2CCC(C)(c3ccccc3)CC2)o1 ZINC000532628167 336258762 /nfs/dbraw/zinc/25/87/62/336258762.db2.gz FMCQEXHWEXKEPN-UHFFFAOYSA-N 0 3 313.441 4.416 20 0 DIADHN COc1ncc(CN[C@H](c2ccc(F)cc2)C2CC2)cc1Cl ZINC000580359183 336259437 /nfs/dbraw/zinc/25/94/37/336259437.db2.gz SAKDSBPOFLLSMQ-INIZCTEOSA-N 0 3 320.795 4.124 20 0 DIADHN CC(C)c1ccc([C@@H](C)NC(=O)C[C@H](N)c2ccccc2)cc1 ZINC000192772691 336261320 /nfs/dbraw/zinc/26/13/20/336261320.db2.gz ZVGQXDCIBJJKGA-BEFAXECRSA-N 0 3 310.441 4.077 20 0 DIADHN Clc1ccc(CNC[C@H]2COc3ccccc32)c(Cl)c1 ZINC000580372792 336261438 /nfs/dbraw/zinc/26/14/38/336261438.db2.gz NBBXSBSCGWAXMJ-LBPRGKRZSA-N 0 3 308.208 4.259 20 0 DIADHN CCCn1c(CN[C@H]2CCCC(F)(F)C2)nc2ccccc21 ZINC000532628938 336263035 /nfs/dbraw/zinc/26/30/35/336263035.db2.gz WLCDBAFNYBGZRH-ZDUSSCGKSA-N 0 3 307.388 4.114 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccnn1C)c1c(Cl)cccc1Cl ZINC000192803666 336264734 /nfs/dbraw/zinc/26/47/34/336264734.db2.gz MJBKNEBFSCDXBL-MNOVXSKESA-N 0 3 312.244 4.181 20 0 DIADHN Cc1csc(C2(NCCCc3ccccc3)CCCC2)n1 ZINC000532717195 336268075 /nfs/dbraw/zinc/26/80/75/336268075.db2.gz CWKFGDQVDYSJME-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN CCSCC[C@@H](C)[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1 ZINC000352833843 336270878 /nfs/dbraw/zinc/27/08/78/336270878.db2.gz IDTPFMNKMGPJQH-SNVBAGLBSA-N 0 3 307.381 4.032 20 0 DIADHN C[C@H]1CCN(Cc2csc(-c3ccccn3)n2)C[C@@H](C)C1 ZINC000532779861 336270902 /nfs/dbraw/zinc/27/09/02/336270902.db2.gz NWAPQHSDAGXBGC-KBPBESRZSA-N 0 3 301.459 4.073 20 0 DIADHN COc1ccc2c(c1)CCCN(CCCCC(F)(F)F)C2 ZINC000532779191 336270927 /nfs/dbraw/zinc/27/09/27/336270927.db2.gz PHRAXJGNOQFZHR-UHFFFAOYSA-N 0 3 301.352 4.176 20 0 DIADHN CCN(Cc1ccc2cc(OC)ccc2c1)Cc1ccccn1 ZINC000104949003 336271899 /nfs/dbraw/zinc/27/18/99/336271899.db2.gz JRXHJIQHKPJALL-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CCCNCc1ccc(-c2ccccc2)o1 ZINC000532879609 336274125 /nfs/dbraw/zinc/27/41/25/336274125.db2.gz FYGZMYZUKUTLBX-VQIMIIECSA-N 0 3 313.441 4.368 20 0 DIADHN Clc1cccc([C@H](NC[C@H]2CCCOC2)c2ccccc2)c1 ZINC000532880184 336274347 /nfs/dbraw/zinc/27/43/47/336274347.db2.gz FKKKBHZKQANRBF-DNVCBOLYSA-N 0 3 315.844 4.446 20 0 DIADHN Fc1cccc(CCN[C@@H](c2ccccc2)c2ccccn2)c1 ZINC000192863644 336275473 /nfs/dbraw/zinc/27/54/73/336275473.db2.gz JMUHJRLVKDOBQL-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN FC(F)(F)c1cscc1CNCC1(C(F)(F)F)CC1 ZINC000352844320 336276186 /nfs/dbraw/zinc/27/61/86/336276186.db2.gz FZJWIJUHMSSNSE-UHFFFAOYSA-N 0 3 303.271 4.199 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1cnc(C2CCCCC2)s1 ZINC000526966406 336277167 /nfs/dbraw/zinc/27/71/67/336277167.db2.gz YEISJMBMKFEOMY-OAHLLOKOSA-N 0 3 308.491 4.192 20 0 DIADHN C[C@H](CCCCO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000352848224 336278053 /nfs/dbraw/zinc/27/80/53/336278053.db2.gz JBMMCNMCMNGYBK-KGLIPLIRSA-N 0 3 304.459 4.012 20 0 DIADHN CCn1cncc1CN[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000417974135 336278159 /nfs/dbraw/zinc/27/81/59/336278159.db2.gz VLTWPWRRMVVETP-RDTXWAMCSA-N 0 3 309.457 4.293 20 0 DIADHN C[C@]1(O)CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC1 ZINC000526992350 336278307 /nfs/dbraw/zinc/27/83/07/336278307.db2.gz PIODDLWHVAQOHX-SFHVURJKSA-N 0 3 319.832 4.337 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1CC[C@H]1CCCO1 ZINC000468903083 336281297 /nfs/dbraw/zinc/28/12/97/336281297.db2.gz IKOUTVXRJCIMJU-ZIAGYGMSSA-N 0 3 311.828 4.055 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2cccc(F)c2)c1 ZINC000101932724 336283962 /nfs/dbraw/zinc/28/39/62/336283962.db2.gz FQABBWKFVGNQLA-UHFFFAOYSA-N 0 3 301.405 4.431 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000192946721 336285552 /nfs/dbraw/zinc/28/55/52/336285552.db2.gz ZIGGJPYHPSTRMF-UONOGXRCSA-N 0 3 312.413 4.065 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@@H]1CCC(C)(C)C[C@H]1C ZINC000168054167 336285958 /nfs/dbraw/zinc/28/59/58/336285958.db2.gz ZFQLLLJPUAJBAF-RBSFLKMASA-N 0 3 304.482 4.041 20 0 DIADHN Fc1cccc(NC[C@@H](c2cccc(Cl)c2)N2CCCC2)n1 ZINC000301060131 336287821 /nfs/dbraw/zinc/28/78/21/336287821.db2.gz BJGICAWRKBYYAX-HNNXBMFYSA-N 0 3 319.811 4.123 20 0 DIADHN Cc1cc(N2CCCSCC2)c2cc(OC(F)F)ccc2n1 ZINC000301359371 336302441 /nfs/dbraw/zinc/30/24/41/336302441.db2.gz NVEBOSGURWIEEJ-UHFFFAOYSA-N 0 3 324.396 4.088 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nnn(-c2ccccc2)c1C)C(C)(C)C ZINC000482556277 336304909 /nfs/dbraw/zinc/30/49/09/336304909.db2.gz JCPFVLBSKZWZKK-XJKSGUPXSA-N 0 3 300.450 4.051 20 0 DIADHN CC(C)(C)c1nccc(N[C@H](CN2CCCC2)c2ccccc2)n1 ZINC000301426571 336305965 /nfs/dbraw/zinc/30/59/65/336305965.db2.gz CRKIATAZSNPKMA-QGZVFWFLSA-N 0 3 324.472 4.023 20 0 DIADHN CCc1ncc(CN2CC[C@@](C)(c3ccc(F)cc3)C2)s1 ZINC000482588020 336313062 /nfs/dbraw/zinc/31/30/62/336313062.db2.gz CVBGTCQAYKCAKH-QGZVFWFLSA-N 0 3 304.434 4.008 20 0 DIADHN CN1CCC[C@H](CNc2ccncc2Cl)[C@@H]1c1cccs1 ZINC000301554278 336314547 /nfs/dbraw/zinc/31/45/47/336314547.db2.gz MYJIZTRPDBDOFM-MLGOLLRUSA-N 0 3 321.877 4.292 20 0 DIADHN Clc1cc(NC[C@H](c2cccs2)N2CCCC2)ccn1 ZINC000301637578 336319855 /nfs/dbraw/zinc/31/98/55/336319855.db2.gz XHJVDLMWLSELHH-CYBMUJFWSA-N 0 3 307.850 4.046 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2ccnc(Cl)c2)CC1 ZINC000301637491 336319866 /nfs/dbraw/zinc/31/98/66/336319866.db2.gz LYUCMWYUWNAXRX-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN CCCc1cc(N2CCO[C@H](c3ccco3)C2)c2ccccc2n1 ZINC000301781153 336329448 /nfs/dbraw/zinc/32/94/48/336329448.db2.gz IWWWZXRYSBFICN-FQEVSTJZSA-N 0 3 322.408 4.358 20 0 DIADHN CCc1cc(N2CC[C@H](C)[C@@H](n3ccnc3)C2)c2ccccc2n1 ZINC000301786011 336329813 /nfs/dbraw/zinc/32/98/13/336329813.db2.gz LCSJZULLTIWXCP-YWZLYKJASA-N 0 3 320.440 4.081 20 0 DIADHN CCc1cc(NC[C@@H](O)c2ccc(F)cc2)c2ccccc2n1 ZINC000301792721 336330249 /nfs/dbraw/zinc/33/02/49/336330249.db2.gz ODVYWQWMVAUYMW-LJQANCHMSA-N 0 3 310.372 4.082 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(Cl)c3ccccc32)n(C)n1 ZINC000418011651 336341393 /nfs/dbraw/zinc/34/13/93/336341393.db2.gz RCQKNIZMWUCPEC-ZDUSSCGKSA-N 0 3 313.832 4.386 20 0 DIADHN CCc1nn(C)cc1CNc1c2ccccc2nc(C)c1CC ZINC000302016537 336343289 /nfs/dbraw/zinc/34/32/89/336343289.db2.gz CJTRXXJEELGMSI-UHFFFAOYSA-N 0 3 308.429 4.014 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCO[C@@H](c2ccco2)C1 ZINC000302025518 336343937 /nfs/dbraw/zinc/34/39/37/336343937.db2.gz HPUBQZHUTMYTCH-LJQANCHMSA-N 0 3 322.408 4.277 20 0 DIADHN Cc1ccc(CSCCN[C@H](C)c2cc(C)ccn2)cc1 ZINC000514103299 336345807 /nfs/dbraw/zinc/34/58/07/336345807.db2.gz BHWFYOILUFFPMJ-MRXNPFEDSA-N 0 3 300.471 4.282 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@H]2OCCC[C@H]2C1 ZINC000302060329 336346210 /nfs/dbraw/zinc/34/62/10/336346210.db2.gz RSSCCJCQQSFZKB-HNAYVOBHSA-N 0 3 310.441 4.111 20 0 DIADHN CCCCOc1ccc(CCN[C@H](C)c2cc(C)ccn2)cc1 ZINC000514103849 336348876 /nfs/dbraw/zinc/34/88/76/336348876.db2.gz FSNVLGPNGSSWOI-QGZVFWFLSA-N 0 3 312.457 4.462 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@@H](c4ccccc4F)C3)c2c1 ZINC000302136349 336350167 /nfs/dbraw/zinc/35/01/67/336350167.db2.gz BHEKZZDCOCRADL-HXUWFJFHSA-N 0 3 322.383 4.260 20 0 DIADHN CCc1c(C)nc2ccccc2c1N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000302159812 336351546 /nfs/dbraw/zinc/35/15/46/336351546.db2.gz GRJZMNGDEFZWPS-BEFAXECRSA-N 0 3 310.441 4.475 20 0 DIADHN Cc1ccc2nccc(N3CCC(OC4CCCC4)CC3)c2c1 ZINC000302168256 336352075 /nfs/dbraw/zinc/35/20/75/336352075.db2.gz SLZSLRIWGDIYKR-UHFFFAOYSA-N 0 3 310.441 4.471 20 0 DIADHN COc1cccc(CNc2ccnc3ccc(C)cc32)c1OC ZINC000302172453 336352225 /nfs/dbraw/zinc/35/22/25/336352225.db2.gz VJVKJHAKTQJVCP-UHFFFAOYSA-N 0 3 308.381 4.173 20 0 DIADHN Cc1ccc2nccc(NCc3ccc(CN(C)C)c(F)c3)c2c1 ZINC000302241562 336355862 /nfs/dbraw/zinc/35/58/62/336355862.db2.gz XYPLEWLDQVHSMX-UHFFFAOYSA-N 0 3 323.415 4.356 20 0 DIADHN Cc1nc2ccccc2c(N2CCC([C@H]3CCCO3)CC2)c1C ZINC000302564913 336361740 /nfs/dbraw/zinc/36/17/40/336361740.db2.gz ODAIVVRBNSSHAZ-LJQANCHMSA-N 0 3 310.441 4.247 20 0 DIADHN Cc1nc2ccccc2c(N2CCC([C@@H]3CCCO3)CC2)c1C ZINC000302564912 336361779 /nfs/dbraw/zinc/36/17/79/336361779.db2.gz ODAIVVRBNSSHAZ-IBGZPJMESA-N 0 3 310.441 4.247 20 0 DIADHN CO[C@H](CN1CCc2ccsc2C1)c1ccc(Cl)cc1 ZINC000514111019 336362178 /nfs/dbraw/zinc/36/21/78/336362178.db2.gz LOGVAOYTYJCIMY-OAHLLOKOSA-N 0 3 307.846 4.147 20 0 DIADHN CC[C@](C)(NC[C@@H]1CCCC(F)(F)C1)c1nc(C)cs1 ZINC000514115516 336367143 /nfs/dbraw/zinc/36/71/43/336367143.db2.gz STYLKRFWPAQXHO-OCCSQVGLSA-N 0 3 302.434 4.492 20 0 DIADHN CC[C@@](C)(NC[C@H]1CCCC(F)(F)C1)c1nc(C)cs1 ZINC000514115514 336367145 /nfs/dbraw/zinc/36/71/45/336367145.db2.gz STYLKRFWPAQXHO-GXTWGEPZSA-N 0 3 302.434 4.492 20 0 DIADHN Cc1ccc2nccc(N3CCC(c4ccncc4)CC3)c2c1 ZINC000302770086 336368349 /nfs/dbraw/zinc/36/83/49/336368349.db2.gz BTFTWWDHWXBFQF-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H]3c3noc(C(C)C)n3)c2c1 ZINC000302771320 336368435 /nfs/dbraw/zinc/36/84/35/336368435.db2.gz ZYNMSRXRILSIJY-QGZVFWFLSA-N 0 3 322.412 4.391 20 0 DIADHN Cc1ccc2nccc(N[C@H](c3nccc(C)n3)C3CC3)c2c1 ZINC000302804844 336370052 /nfs/dbraw/zinc/37/00/52/336370052.db2.gz FIOLGQSQBTYOPM-SFHVURJKSA-N 0 3 304.397 4.205 20 0 DIADHN c1cc(CNc2ccnc3ccc(Oc4ccccc4)cc32)n[nH]1 ZINC000302810388 336370157 /nfs/dbraw/zinc/37/01/57/336370157.db2.gz YNEWSLFPFKHGHS-UHFFFAOYSA-N 0 3 316.364 4.362 20 0 DIADHN Cc1cnc(NC2CCN([C@H](C)c3ccccc3)CC2)s1 ZINC000302882427 336373143 /nfs/dbraw/zinc/37/31/43/336373143.db2.gz JYDLJMQDAXCXHP-CQSZACIVSA-N 0 3 301.459 4.089 20 0 DIADHN OCCCN(C/C=C/c1ccccc1)[C@@H]1CCc2ccccc21 ZINC000514120817 336373330 /nfs/dbraw/zinc/37/33/30/336373330.db2.gz OIZULBHWJUSOOX-VMLCMGRWSA-N 0 3 307.437 4.072 20 0 DIADHN CC(C)SCCNC1(c2ccc(Br)cc2)CC1 ZINC000514135371 336374602 /nfs/dbraw/zinc/37/46/02/336374602.db2.gz ZJRSGYCZNSOJOV-UHFFFAOYSA-N 0 3 314.292 4.169 20 0 DIADHN CCOc1ccc([C@@H](C)NCCSC(C)C)cc1OCC ZINC000514131134 336374991 /nfs/dbraw/zinc/37/49/91/336374991.db2.gz UCHPUENMJHQJCP-CQSZACIVSA-N 0 3 311.491 4.276 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc(N(C)C)c2)cc1 ZINC000514142700 336375079 /nfs/dbraw/zinc/37/50/79/336375079.db2.gz VOQPILJATYGUFT-CQSZACIVSA-N 0 3 300.471 4.325 20 0 DIADHN CN(C)c1ccccc1CN1CCC(OC2CCCCC2)CC1 ZINC000352926527 336377608 /nfs/dbraw/zinc/37/76/08/336377608.db2.gz VJVIUABFVXOXQK-UHFFFAOYSA-N 0 3 316.489 4.066 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(C)(C)C)nc1)c1cccnc1 ZINC000353030372 336382999 /nfs/dbraw/zinc/38/29/99/336382999.db2.gz DDDKXIBEHCPDET-SFHVURJKSA-N 0 3 313.445 4.141 20 0 DIADHN COc1ccc(CNC2(c3ccccc3)CCC2)c(C)c1OC ZINC000563912657 336383171 /nfs/dbraw/zinc/38/31/71/336383171.db2.gz XRMBTCQKVDNLKH-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN C/C(=C/c1ccccc1)CNC[C@@]1(C)OCCc2sccc21 ZINC000563919855 336384182 /nfs/dbraw/zinc/38/41/82/336384182.db2.gz KAXIBVSCRXVNJG-LJQBUTKESA-N 0 3 313.466 4.229 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2ccc(Cl)cc2)[C@]12CCCO2 ZINC000353033944 336384850 /nfs/dbraw/zinc/38/48/50/336384850.db2.gz YILVCAGIVFXNTA-XMTFNYHQSA-N 0 3 323.864 4.107 20 0 DIADHN CC(C)Oc1ccc(CCN[C@H](C)c2ccncc2Cl)cc1 ZINC000514595835 336385417 /nfs/dbraw/zinc/38/54/17/336385417.db2.gz ASUOTJHVULUMSX-CQSZACIVSA-N 0 3 318.848 4.416 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@@H]1CCc2ccccc2C1 ZINC000392103837 336387176 /nfs/dbraw/zinc/38/71/76/336387176.db2.gz BVUJACKIYKTIBH-GOSISDBHSA-N 0 3 319.452 4.093 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@@H]1CCCc2ccccc21 ZINC000563948870 336387970 /nfs/dbraw/zinc/38/79/70/336387970.db2.gz IWPRDYUKQIBHBS-MSOLQXFVSA-N 0 3 311.400 4.489 20 0 DIADHN Cc1cc(CN2CC[C@H](CC(F)(F)F)C2)cc2cccnc12 ZINC000514651180 336391281 /nfs/dbraw/zinc/39/12/81/336391281.db2.gz DWTICHNQUMVTNQ-CYBMUJFWSA-N 0 3 308.347 4.318 20 0 DIADHN CCC1(NCc2csc(-c3ccccn3)n2)CCCCC1 ZINC000389447994 336392302 /nfs/dbraw/zinc/39/23/02/336392302.db2.gz GKEXGNBXYJYQQL-UHFFFAOYSA-N 0 3 301.459 4.408 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC[C@@H]3C[C@H]3c3ccccc3)cccc21 ZINC000418034214 336395715 /nfs/dbraw/zinc/39/57/15/336395715.db2.gz SJXXLINXXNYFOP-QRFRQXIXSA-N 0 3 322.452 4.287 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC[C@@H]3C[C@H]3c3ccccc3)cccc21 ZINC000418034222 336395743 /nfs/dbraw/zinc/39/57/43/336395743.db2.gz SJXXLINXXNYFOP-XKGZKEIXSA-N 0 3 322.452 4.287 20 0 DIADHN C[C@@H](CCCO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000168245475 336398248 /nfs/dbraw/zinc/39/82/48/336398248.db2.gz YADGYRMBQKGMGM-WCBMZHEXSA-N 0 3 308.274 4.183 20 0 DIADHN C[C@H](CN1CCC(OC2CCC2)CC1)c1cccc(Cl)c1 ZINC000418087771 336398897 /nfs/dbraw/zinc/39/88/97/336398897.db2.gz DGNHUVAFCWMFQN-CQSZACIVSA-N 0 3 307.865 4.477 20 0 DIADHN Cc1ccc2cc(CN3CCC(OC4CCC4)CC3)ccc2n1 ZINC000418088907 336398946 /nfs/dbraw/zinc/39/89/46/336398946.db2.gz HVNIZQSAAQQLGU-UHFFFAOYSA-N 0 3 310.441 4.077 20 0 DIADHN Clc1ccc(/C=C/CN2CCC(OC3CCC3)CC2)cc1 ZINC000418089737 336398980 /nfs/dbraw/zinc/39/89/80/336398980.db2.gz JRDZLBRXYHNWBI-NSCUHMNNSA-N 0 3 305.849 4.387 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(OC2CCC2)CC1 ZINC000418092041 336399014 /nfs/dbraw/zinc/39/90/14/336399014.db2.gz OKCWYHVNGPBJJZ-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN C[C@@H](CN1CCC(OC2CCC2)CC1)c1cc(F)cc(F)c1 ZINC000418094098 336399171 /nfs/dbraw/zinc/39/91/71/336399171.db2.gz ROFFOUKFNCHRPS-ZDUSSCGKSA-N 0 3 309.400 4.102 20 0 DIADHN Cc1cc2cc(CNCc3cc4ccccc4[nH]c3=O)ccc2[nH]1 ZINC000353116922 336403177 /nfs/dbraw/zinc/40/31/77/336403177.db2.gz HQZDPOAIASDKRC-UHFFFAOYSA-N 0 3 317.392 4.020 20 0 DIADHN CC[C@H](NCc1ccc(N(CC)CC)nc1)c1cccc(C)c1 ZINC000353436627 336417785 /nfs/dbraw/zinc/41/77/85/336417785.db2.gz PNIRLOKMKGRPHC-IBGZPJMESA-N 0 3 311.473 4.477 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N[C@H](CCC(C)C)c2ccoc2)C1 ZINC000353595789 336427499 /nfs/dbraw/zinc/42/74/99/336427499.db2.gz OYHDPZCYVIBPNW-DJIMGWMZSA-N 0 3 307.434 4.078 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@@H](CCC(C)C)c2ccoc2)C1 ZINC000353595801 336427501 /nfs/dbraw/zinc/42/75/01/336427501.db2.gz OYHDPZCYVIBPNW-PVAVHDDUSA-N 0 3 307.434 4.078 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)N[C@H]2CCCc3nn(C)cc32)c1 ZINC000353601597 336427968 /nfs/dbraw/zinc/42/79/68/336427968.db2.gz BIAHHPZFLZWGPJ-BEFAXECRSA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)CC[C@@H](NCCc1cnc(C2CC2)nc1)c1ccoc1 ZINC000353612828 336428765 /nfs/dbraw/zinc/42/87/65/336428765.db2.gz LHRXVNCQIQHNAJ-GOSISDBHSA-N 0 3 313.445 4.257 20 0 DIADHN C[C@@H]1CC(C)(C)C[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000193141627 336429098 /nfs/dbraw/zinc/42/90/98/336429098.db2.gz MMIBKRQWWFTUSE-TZMCWYRMSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@@]1(CN[C@@H]2CCCc3sccc32)OCCc2sccc21 ZINC000353650829 336431848 /nfs/dbraw/zinc/43/18/48/336431848.db2.gz RWJDFGHLLFWABH-PBHICJAKSA-N 0 3 319.495 4.265 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(C[S@@](C)=O)cc2)c1C ZINC000353787601 336440446 /nfs/dbraw/zinc/44/04/46/336440446.db2.gz ADPOWIVXZIPMGW-KSFYIVLOSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(C[S@@](C)=O)cc2)c1 ZINC000353802078 336441100 /nfs/dbraw/zinc/44/11/00/336441100.db2.gz HJMZBVPCHZHRRY-OPAMFIHVSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353998003 336453316 /nfs/dbraw/zinc/45/33/16/336453316.db2.gz UFIZAQMLXUVOCO-UWVGGRQHSA-N 0 3 300.431 4.048 20 0 DIADHN FC(F)(F)c1ccccc1C1CCN(Cc2cccnc2)CC1 ZINC000354078611 336458073 /nfs/dbraw/zinc/45/80/73/336458073.db2.gz VIESWRPOUMIXEX-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@]2(C)C[C@H]2c2ccccc2)cn1 ZINC000354115932 336459954 /nfs/dbraw/zinc/45/99/54/336459954.db2.gz IICRAQLKNWQPNM-PXNSSMCTSA-N 0 3 309.457 4.058 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@]2(C)C[C@@H]2c2ccccc2)cn1 ZINC000354115934 336459968 /nfs/dbraw/zinc/45/99/68/336459968.db2.gz IICRAQLKNWQPNM-YLJYHZDGSA-N 0 3 309.457 4.058 20 0 DIADHN Cc1oc2ccccc2c1CNCCSCC(F)(F)F ZINC000354283891 336465518 /nfs/dbraw/zinc/46/55/18/336465518.db2.gz VGDDFWHVRSXHDV-UHFFFAOYSA-N 0 3 303.349 4.126 20 0 DIADHN CCOC(=O)C1(C)CCC(N[C@H](CC)c2nc(C)cs2)CC1 ZINC000354348647 336467677 /nfs/dbraw/zinc/46/76/77/336467677.db2.gz OPIKUERGBDHZHQ-XOYHFGRZSA-N 0 3 324.490 4.004 20 0 DIADHN Cc1sc(CNCCCc2ccc(C)nc2)nc1C(C)C ZINC000354354987 336468007 /nfs/dbraw/zinc/46/80/07/336468007.db2.gz ZIBLNMTUVRKMDY-UHFFFAOYSA-N 0 3 303.475 4.001 20 0 DIADHN C[C@@H](NC1CCC2(CCOCC2)CC1)c1nc2c(s1)CCC2 ZINC000355110298 336495066 /nfs/dbraw/zinc/49/50/66/336495066.db2.gz QPBVAHJYTIHMML-CYBMUJFWSA-N 0 3 320.502 4.022 20 0 DIADHN CC[C@H](NCC[C@@H](C)OCc1ccccc1)c1nc(C)cs1 ZINC000355276585 336501899 /nfs/dbraw/zinc/50/18/99/336501899.db2.gz IFEMOPUMNQQYBT-WBVHZDCISA-N 0 3 318.486 4.488 20 0 DIADHN Cc1cnc([C@H](C)NCC[C@@H](C)OCc2ccccc2)s1 ZINC000355285521 336502417 /nfs/dbraw/zinc/50/24/17/336502417.db2.gz VQNFWLJBGTVYNO-HIFRSBDPSA-N 0 3 304.459 4.098 20 0 DIADHN Cc1nc(CCN[C@H](C)c2cc3cccc(F)c3o2)cs1 ZINC000355740789 336520664 /nfs/dbraw/zinc/52/06/64/336520664.db2.gz GWMWYLJKWQIXHZ-SNVBAGLBSA-N 0 3 304.390 4.230 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000355871137 336525591 /nfs/dbraw/zinc/52/55/91/336525591.db2.gz SVJNGBOWKVGHFK-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCSc1ccc(F)cc1 ZINC000470948459 339332082 /nfs/dbraw/zinc/33/20/82/339332082.db2.gz USNXOMMKJUKDLH-GXFFZTMASA-N 0 3 307.356 4.191 20 0 DIADHN CC[C@H](NCCc1nc(C)oc1C)c1ccccc1OC(F)F ZINC000357776265 336597748 /nfs/dbraw/zinc/59/77/48/336597748.db2.gz BAVZUPPQLAQIIZ-AWEZNQCLSA-N 0 3 324.371 4.176 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CC(C)(C)Oc3cc(F)ccc32)CCO1 ZINC000357841895 336600961 /nfs/dbraw/zinc/60/09/61/336600961.db2.gz SAMBLTOTJJEWQL-XIRDDKMYSA-N 0 3 321.436 4.221 20 0 DIADHN CCC[C@H](NCc1ccnc(SC)c1)c1ccc(OC)cc1 ZINC000357846548 336601347 /nfs/dbraw/zinc/60/13/47/336601347.db2.gz DIDUGOSKRLMLRK-KRWDZBQOSA-N 0 3 316.470 4.443 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NC[C@@H]1CCCCO1)CCCO2 ZINC000358019821 336606657 /nfs/dbraw/zinc/60/66/57/336606657.db2.gz KCNJIIOCIIYETJ-BBRMVZONSA-N 0 3 309.837 4.021 20 0 DIADHN Clc1ccc2c(c1)[C@H](NC[C@H]1CCCCO1)CCCS2 ZINC000358020955 336606768 /nfs/dbraw/zinc/60/67/68/336606768.db2.gz NCUQDXZPMGMNIJ-UKRRQHHQSA-N 0 3 311.878 4.426 20 0 DIADHN Fc1cccc(OCCN[C@@H]2CCSc3ccc(F)cc32)c1 ZINC000358023823 336606978 /nfs/dbraw/zinc/60/69/78/336606978.db2.gz XXPYKUIYODLCGP-MRXNPFEDSA-N 0 3 321.392 4.170 20 0 DIADHN Cc1nc([C@@H](C)NCCCSc2ccc(F)cc2)cs1 ZINC000358064921 336609376 /nfs/dbraw/zinc/60/93/76/336609376.db2.gz QSTABEOPNMMXIC-LLVKDONJSA-N 0 3 310.463 4.424 20 0 DIADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1nc(-c2ccncc2)cs1 ZINC000358145966 336613216 /nfs/dbraw/zinc/61/32/16/336613216.db2.gz AURBXTRUCLILRS-IUODEOHRSA-N 0 3 301.459 4.434 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@H]3CCSC3)cs2)cs1 ZINC000358193473 336616111 /nfs/dbraw/zinc/61/61/11/336616111.db2.gz RBOOCWFOBORZKL-CABZTGNLSA-N 0 3 310.513 4.336 20 0 DIADHN CC1CC(N[C@@H]2CCCOc3ccc(Br)cc32)C1 ZINC000358200571 336616646 /nfs/dbraw/zinc/61/66/46/336616646.db2.gz IVHUTQGGKRCZCP-MMWSSPAHSA-N 0 3 310.235 4.051 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2ccccc2O)c2ccsc2S1 ZINC000358219347 336617424 /nfs/dbraw/zinc/61/74/24/336617424.db2.gz JOWALORJDZCCCR-BXUZGUMPSA-N 0 3 305.468 4.211 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)COC2)c1cnc2ccsc2c1 ZINC000358288863 336621712 /nfs/dbraw/zinc/62/17/12/336621712.db2.gz BZAPENKNBYFVAT-GFCCVEGCSA-N 0 3 310.422 4.177 20 0 DIADHN C[C@](O)(CN[C@H]1CCCCc2ccc(Cl)cc21)C(F)(F)F ZINC000358351607 336625661 /nfs/dbraw/zinc/62/56/61/336625661.db2.gz HXZBGOMYVXDLTF-KBPBESRZSA-N 0 3 321.770 4.010 20 0 DIADHN CC[C@H](NCCc1ncc(C)cn1)c1ccc(Cl)cc1Cl ZINC000358359382 336626212 /nfs/dbraw/zinc/62/62/12/336626212.db2.gz BKILDACPZGOBCG-HNNXBMFYSA-N 0 3 324.255 4.375 20 0 DIADHN Cc1cc(NC(=O)c2ccc(CN(C)C)cc2)ccc1C1CC1 ZINC000358884599 336646037 /nfs/dbraw/zinc/64/60/37/336646037.db2.gz HMKOCJLZANFRLD-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000358931908 336648074 /nfs/dbraw/zinc/64/80/74/336648074.db2.gz JMNGXGWYEIKEGL-FUHWJXTLSA-N 0 3 317.864 4.438 20 0 DIADHN CC[C@H](NCC1(C(F)F)CC1)c1cc(Cl)ccc1OC ZINC000358999491 336652290 /nfs/dbraw/zinc/65/22/90/336652290.db2.gz IIQWEKOIHCJYKI-LBPRGKRZSA-N 0 3 303.780 4.435 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](CO)CCC(C)(C)C)CCS2 ZINC000359045559 336654965 /nfs/dbraw/zinc/65/49/65/336654965.db2.gz IXMXSWODORUSLC-BBRMVZONSA-N 0 3 323.502 4.009 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CO)CCC(C)(C)C)c2ccsc2S1 ZINC000359071742 336656266 /nfs/dbraw/zinc/65/62/66/336656266.db2.gz QCGGOFKXEXZOMP-BZPMIXESSA-N 0 3 313.532 4.450 20 0 DIADHN CCC[C@H](CC)N[C@H](CCO)c1ccccc1Br ZINC000359224521 336663638 /nfs/dbraw/zinc/66/36/38/336663638.db2.gz FAHPBKNLQHDQSC-SWLSCSKDSA-N 0 3 314.267 4.041 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000359313674 336667233 /nfs/dbraw/zinc/66/72/33/336667233.db2.gz BWFCYEHQODZNIT-CVEARBPZSA-N 0 3 320.440 4.242 20 0 DIADHN Fc1ccc(CCN[C@@H]2CCCOc3ccc(F)cc32)cc1 ZINC000359372392 336669866 /nfs/dbraw/zinc/66/98/66/336669866.db2.gz SDEAKRYJGYGJLB-QGZVFWFLSA-N 0 3 303.352 4.011 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NC[C@@H](C)C(F)(F)F)cc1 ZINC000359541898 336676878 /nfs/dbraw/zinc/67/68/78/336676878.db2.gz SFNOEHKDINQLGV-NEPJUHHUSA-N 0 3 311.351 4.030 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1ccc(-n2cncn2)cc1 ZINC000359676498 336683020 /nfs/dbraw/zinc/68/30/20/336683020.db2.gz NNKCGSSETPZNNC-FZKQIMNGSA-N 0 3 324.453 4.131 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCCN1CCCC[C@H]1C ZINC000359925756 336693402 /nfs/dbraw/zinc/69/34/02/336693402.db2.gz OFWRQPGBFLMHTJ-KGLIPLIRSA-N 0 3 309.523 4.100 20 0 DIADHN CC(C)(O)CCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360046559 336699114 /nfs/dbraw/zinc/69/91/14/336699114.db2.gz QESMRMKJNWBLMG-SECBINFHSA-N 0 3 308.274 4.183 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1ccc(C)nc1C ZINC000360131511 336702477 /nfs/dbraw/zinc/70/24/77/336702477.db2.gz MCSFSDZJNBJFHO-RDTXWAMCSA-N 0 3 318.848 4.218 20 0 DIADHN CCOc1cccc(CN[C@@H]2CC[C@@H](c3ccccc3F)C2)n1 ZINC000360767915 336721715 /nfs/dbraw/zinc/72/17/15/336721715.db2.gz WEOKXRIEKMRJMF-HUUCEWRRSA-N 0 3 314.404 4.045 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H]1CCCc2sccc21)c1ccco1 ZINC000360857537 336724576 /nfs/dbraw/zinc/72/45/76/336724576.db2.gz JJSRFHSPQKGVME-CVEARBPZSA-N 0 3 318.486 4.391 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H]2CCCc3nc(C)sc32)s1 ZINC000360886325 336725724 /nfs/dbraw/zinc/72/57/24/336725724.db2.gz GBNHYYBQGVRCEF-CABZTGNLSA-N 0 3 307.488 4.253 20 0 DIADHN CCO[C@H](CN[C@@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000360886088 336725773 /nfs/dbraw/zinc/72/57/73/336725773.db2.gz UNNWMTYAAJRTMD-WOJBJXKFSA-N 0 3 310.441 4.290 20 0 DIADHN Fc1ccc2oc(CNCCOc3ccc(F)c(F)c3)cc2c1 ZINC000360918229 336727302 /nfs/dbraw/zinc/72/73/02/336727302.db2.gz JLUFUUZUZFAMES-UHFFFAOYSA-N 0 3 321.298 4.019 20 0 DIADHN CO[C@H](C)CCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360933053 336728139 /nfs/dbraw/zinc/72/81/39/336728139.db2.gz IERCUOISWZPNKM-SCZZXKLOSA-N 0 3 308.274 4.447 20 0 DIADHN CO[C@@H](C)CCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360933054 336728167 /nfs/dbraw/zinc/72/81/67/336728167.db2.gz IERCUOISWZPNKM-WCBMZHEXSA-N 0 3 308.274 4.447 20 0 DIADHN COc1ccc2oc([C@H](C)NCCc3nccs3)c(C)c2c1 ZINC000360941822 336728591 /nfs/dbraw/zinc/72/85/91/336728591.db2.gz MLUIUVHVLOZSRL-LBPRGKRZSA-N 0 3 316.426 4.100 20 0 DIADHN CC[C@@H](NCc1cn(C(C)C)nc1C)c1ccc(OC)cc1 ZINC000360974420 336730400 /nfs/dbraw/zinc/73/04/00/336730400.db2.gz NRKHXAIOLYYKTL-GOSISDBHSA-N 0 3 301.434 4.022 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000360993891 336731397 /nfs/dbraw/zinc/73/13/97/336731397.db2.gz CPRFOEMZLHYAMY-LKUPVBHCSA-N 0 3 323.436 4.280 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H](O)C2CCCCC2)c2ccsc2S1 ZINC000361023361 336733818 /nfs/dbraw/zinc/73/38/18/336733818.db2.gz LZBIRUKHGQANMU-UGFHNGPFSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@H](CCc1ccsc1)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361187052 336743389 /nfs/dbraw/zinc/74/33/89/336743389.db2.gz NSYVNCIPUFRPPB-IAQYHMDHSA-N 0 3 323.408 4.461 20 0 DIADHN C[C@H](CCC(F)(F)F)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361188101 336743434 /nfs/dbraw/zinc/74/34/34/336743434.db2.gz RHXSBHXALRWHKV-LDYMZIIASA-N 0 3 309.278 4.109 20 0 DIADHN CCN1CCCC[C@@H]1CN[C@@H]1C[C@@H](C)Sc2sccc21 ZINC000361340726 336752343 /nfs/dbraw/zinc/75/23/43/336752343.db2.gz HWAMCIZQZOWLNJ-UMVBOHGHSA-N 0 3 310.532 4.138 20 0 DIADHN CCc1cnc(CCN[C@@H]2CC(C)(C)Cc3occc32)s1 ZINC000361658373 336767599 /nfs/dbraw/zinc/76/75/99/336767599.db2.gz FUWOTIAXOLXTEW-CQSZACIVSA-N 0 3 304.459 4.144 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2ccccc21)Oc1cccc(F)c1 ZINC000361700407 336769253 /nfs/dbraw/zinc/76/92/53/336769253.db2.gz VXWOINQSTRGCSF-RDTXWAMCSA-N 0 3 315.388 4.097 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@H]1C[C@@H](C)Sc2sccc21 ZINC000361879101 336776347 /nfs/dbraw/zinc/77/63/47/336776347.db2.gz GBEGIUHROUJTRZ-INWMFGNUSA-N 0 3 313.532 4.450 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@H](CO)c2ccccc2)c2ccsc2S1 ZINC000361966972 336780338 /nfs/dbraw/zinc/78/03/38/336780338.db2.gz HZYSQMGDAVTTJX-XNRPHZJLSA-N 0 3 319.495 4.039 20 0 DIADHN CN(C)Cc1ccccc1C(=O)N1CCC[C@H](C(C)(C)C)CC1 ZINC000362831675 336812852 /nfs/dbraw/zinc/81/28/52/336812852.db2.gz RTRGNFHZVFECTD-KRWDZBQOSA-N 0 3 316.489 4.037 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2ccc(C3CC3)cc2)c1 ZINC000418115646 336822041 /nfs/dbraw/zinc/82/20/41/336822041.db2.gz POAWYDYLULGPDK-CQSZACIVSA-N 0 3 309.409 4.201 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCCc3ccc(O)cc31)CCC2 ZINC000367893872 336831700 /nfs/dbraw/zinc/83/17/00/336831700.db2.gz ATSAGWCQMVHROV-DOTOQJQBSA-N 0 3 314.454 4.202 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H]1CCCc2nn(C)cc21 ZINC000369217038 336860716 /nfs/dbraw/zinc/86/07/16/336860716.db2.gz WLEJGTFARTUBRU-IEBWSBKVSA-N 0 3 309.457 4.082 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1cccc(F)c1 ZINC000582271161 336868362 /nfs/dbraw/zinc/86/83/62/336868362.db2.gz MDUNZVNJRKGWSR-SJCJKPOMSA-N 0 3 305.418 4.026 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1cccc(F)c1 ZINC000582271162 336868388 /nfs/dbraw/zinc/86/83/88/336868388.db2.gz MDUNZVNJRKGWSR-SJKOYZFVSA-N 0 3 305.418 4.026 20 0 DIADHN C[C@H](NCc1ccc(Cl)nc1Cl)[C@@H]1COc2ccccc21 ZINC000370599250 336888286 /nfs/dbraw/zinc/88/82/86/336888286.db2.gz WRFREDINSPDFPO-GWCFXTLKSA-N 0 3 323.223 4.043 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H]2c2ccc(F)cc2)s1 ZINC000371288692 336899455 /nfs/dbraw/zinc/89/94/55/336899455.db2.gz DHIJZZUGQFKCPR-CABCVRRESA-N 0 3 319.449 4.227 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CCO)c2ccc(OC)cc2)s1 ZINC000120333699 336908512 /nfs/dbraw/zinc/90/85/12/336908512.db2.gz BXFKJOQYUGFJGX-GUYCJALGSA-N 0 3 319.470 4.093 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCCc3nc(C)sc31)CCC2 ZINC000371610016 336909154 /nfs/dbraw/zinc/90/91/54/336909154.db2.gz YOTJSCGZMXJZBP-KBPBESRZSA-N 0 3 319.499 4.261 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2cc(C)ccc2C)cc1 ZINC000120366107 336909174 /nfs/dbraw/zinc/90/91/74/336909174.db2.gz SUUZCCDFTZIZAU-OXJNMPFZSA-N 0 3 313.441 4.086 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C2(Cc3ccccc3)CCC2)c1 ZINC000120719010 336919963 /nfs/dbraw/zinc/91/99/63/336919963.db2.gz DQIDGXNRNQSWLV-UHFFFAOYSA-N 0 3 322.452 4.100 20 0 DIADHN C[C@@H](CCOCc1ccccc1)NCc1cc(F)ccc1F ZINC000389950649 336927511 /nfs/dbraw/zinc/92/75/11/336927511.db2.gz SSTSGVGNDNRAEZ-AWEZNQCLSA-N 0 3 305.368 4.050 20 0 DIADHN COCCC[C@@H](C)N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000372845679 336941062 /nfs/dbraw/zinc/94/10/62/336941062.db2.gz GVYIZSHTLLSMSD-MFKMUULPSA-N 0 3 318.244 4.222 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCc1ccc(C)s1 ZINC000373448594 336960878 /nfs/dbraw/zinc/96/08/78/336960878.db2.gz MGNZHDXQDPJDLA-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN Clc1ccc(C2CC(NCc3cnn4ccccc34)C2)cc1 ZINC000582411568 336966939 /nfs/dbraw/zinc/96/69/39/336966939.db2.gz HQYXORXHSNJDND-UHFFFAOYSA-N 0 3 311.816 4.024 20 0 DIADHN Cc1nn(C(C)C)cc1CNCc1cccc(-c2ccccn2)c1 ZINC000374194551 336983555 /nfs/dbraw/zinc/98/35/55/336983555.db2.gz POYANUWZVAMTKJ-UHFFFAOYSA-N 0 3 320.440 4.124 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC(c2c[nH]cn2)CC1 ZINC000374199457 336983640 /nfs/dbraw/zinc/98/36/40/336983640.db2.gz IZBFDAYPABWYNA-GFCCVEGCSA-N 0 3 323.362 4.369 20 0 DIADHN Clc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)cc1 ZINC000374469535 336989907 /nfs/dbraw/zinc/98/99/07/336989907.db2.gz HBUGIZURLICALM-WMZOPIPTSA-N 0 3 301.817 4.207 20 0 DIADHN Fc1cc(CN[C@H](c2ccccc2)[C@H]2CCOC2)ccc1Cl ZINC000374495429 336990563 /nfs/dbraw/zinc/99/05/63/336990563.db2.gz IQBRQDWELQTGPK-MAUKXSAKSA-N 0 3 319.807 4.347 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCCOc2ccc(C)cc21 ZINC000122069784 336991581 /nfs/dbraw/zinc/99/15/81/336991581.db2.gz YCXKRIFVDKLEMD-LJQANCHMSA-N 0 3 311.425 4.145 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCc3cnn(C(C)C)c32)c1 ZINC000375171269 337005120 /nfs/dbraw/zinc/00/51/20/337005120.db2.gz NUQRIQIRVZLBTK-RDTXWAMCSA-N 0 3 313.445 4.201 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCc3cnn(C(C)C)c32)c1 ZINC000375171267 337005237 /nfs/dbraw/zinc/00/52/37/337005237.db2.gz NUQRIQIRVZLBTK-KDOFPFPSSA-N 0 3 313.445 4.201 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC=C(c2ccccc2)C1 ZINC000375328448 337008232 /nfs/dbraw/zinc/00/82/32/337008232.db2.gz USOVWBMOCCZYTE-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN CCOCc1ccccc1CN[C@@H](CC)c1cccc(OC)c1 ZINC000122619343 337016605 /nfs/dbraw/zinc/01/66/05/337016605.db2.gz DYVNICRAVZXQPS-FQEVSTJZSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2-c2cnn(C)c2)c(C)o1 ZINC000375722309 337017762 /nfs/dbraw/zinc/01/77/62/337017762.db2.gz ZGRKASYJFWFMRO-AWEZNQCLSA-N 0 3 309.413 4.148 20 0 DIADHN Cc1cc(CCN[C@@H]2CCCOc3c(C(C)C)cccc32)on1 ZINC000376074092 337026439 /nfs/dbraw/zinc/02/64/39/337026439.db2.gz JYJVEOFUDXEYKH-GOSISDBHSA-N 0 3 314.429 4.152 20 0 DIADHN C[C@H](NCc1ccc(-c2cccnc2)cc1)c1cccc(CO)c1 ZINC000305642954 337031573 /nfs/dbraw/zinc/03/15/73/337031573.db2.gz KUBNPRDKZKPKEA-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(-c2ccncc2)cc1 ZINC000305835829 337039150 /nfs/dbraw/zinc/03/91/50/337039150.db2.gz PLMNBGTWQVELBI-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN FC(F)COc1ccc(CN2CCC[C@H]2c2ccncc2)cc1 ZINC000377701266 337058969 /nfs/dbraw/zinc/05/89/69/337058969.db2.gz SLAJTNYRCQWPNA-KRWDZBQOSA-N 0 3 318.367 4.063 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1nccc2ccccc21 ZINC000377742897 337059912 /nfs/dbraw/zinc/05/99/12/337059912.db2.gz IGYCZIBYQZIJFO-MRXNPFEDSA-N 0 3 317.436 4.402 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)CC2 ZINC000378403252 337071984 /nfs/dbraw/zinc/07/19/84/337071984.db2.gz XDNJRBBQOCSDLP-NRSPTQNISA-N 0 3 307.437 4.350 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](c2ccccc2)C2CC2)c2ccccc21 ZINC000378435867 337072637 /nfs/dbraw/zinc/07/26/37/337072637.db2.gz VVSPDZOXXWTMBX-PZJWPPBQSA-N 0 3 320.436 4.225 20 0 DIADHN CSC1(CNCc2ccc(-c3ccncc3)cc2F)CCC1 ZINC000193643681 337078888 /nfs/dbraw/zinc/07/88/88/337078888.db2.gz UZMBKESBAJMTKI-UHFFFAOYSA-N 0 3 316.445 4.263 20 0 DIADHN Cc1ccc(CNCc2ccccc2-n2cccn2)cc1Cl ZINC000193734026 337079262 /nfs/dbraw/zinc/07/92/62/337079262.db2.gz UPYVLAJZLLWCEE-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN COCCCCCN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000360034953 337084147 /nfs/dbraw/zinc/08/41/47/337084147.db2.gz GLTXBOFQBDXSCF-MRXNPFEDSA-N 0 3 302.418 4.172 20 0 DIADHN CC(C)C[C@H](NC[C@H]1OCCc2ccccc21)c1ccccn1 ZINC000360060033 337084810 /nfs/dbraw/zinc/08/48/10/337084810.db2.gz PGTYLNNXNGZEOZ-VQTJNVASSA-N 0 3 310.441 4.072 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1ccc2ccccc2n1 ZINC000360063096 337085004 /nfs/dbraw/zinc/08/50/04/337085004.db2.gz XRTSSHDFJSVHTK-YCRPNKLZSA-N 0 3 318.420 4.199 20 0 DIADHN Cc1ncncc1[C@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000583704139 337092425 /nfs/dbraw/zinc/09/24/25/337092425.db2.gz WDPQNCBYBQXHQL-NSHDSACASA-N 0 3 321.827 4.206 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](N[C@@H](C)c2cscn2)C1 ZINC000583705042 337092530 /nfs/dbraw/zinc/09/25/30/337092530.db2.gz ZEPAODDXGNOIGL-ZNMIVQPWSA-N 0 3 301.459 4.224 20 0 DIADHN Cc1csc(CNCc2ccc(Br)s2)c1 ZINC000393378140 337094355 /nfs/dbraw/zinc/09/43/55/337094355.db2.gz UGTAUTFTVLTNRF-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN COc1ccc(C[C@@H](C)N[C@@H](C)c2ccc(F)cc2F)cc1 ZINC000393457877 337094599 /nfs/dbraw/zinc/09/45/99/337094599.db2.gz QWWRMFJKRNEIPJ-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN CC(C)[C@@H](CO)CN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000394035208 337096431 /nfs/dbraw/zinc/09/64/31/337096431.db2.gz LLLJAYGCJJJBBH-VHSXEESVSA-N 0 3 308.224 4.048 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@H](CO)c1ccccc1)CCC2 ZINC000582487029 337097648 /nfs/dbraw/zinc/09/76/48/337097648.db2.gz IMPISJIRXZXDGG-RTBURBONSA-N 0 3 313.466 4.109 20 0 DIADHN CC[C@H]1C[C@H](NCc2cnc(C3CCCCC3)s2)CCO1 ZINC000582719127 337124743 /nfs/dbraw/zinc/12/47/43/337124743.db2.gz KCWWQJUSBOIPHG-CABCVRRESA-N 0 3 308.491 4.238 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2cnc(C3CCCCC3)s2)CCO1 ZINC000582719130 337124766 /nfs/dbraw/zinc/12/47/66/337124766.db2.gz KCWWQJUSBOIPHG-LSDHHAIUSA-N 0 3 308.491 4.238 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2nc3ccccc3s2)C1 ZINC000173868905 337138680 /nfs/dbraw/zinc/13/86/80/337138680.db2.gz UJIIMRNTCIXMMJ-STQMWFEESA-N 0 3 306.500 4.402 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000177449299 337156016 /nfs/dbraw/zinc/15/60/16/337156016.db2.gz BSJMYYZLUATWOC-GOEBONIOSA-N 0 3 323.864 4.061 20 0 DIADHN Cc1cccc(CN(CCc2cccnc2)Cc2ccccn2)c1 ZINC000177646428 337158226 /nfs/dbraw/zinc/15/82/26/337158226.db2.gz OFJNHLMFWNDPRY-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN CC[C@@H](N[C@@H](C)CCCOC)c1nc(C(F)(F)F)cs1 ZINC000178210330 337161334 /nfs/dbraw/zinc/16/13/34/337161334.db2.gz BRWQPZZJTGXSFP-VHSXEESVSA-N 0 3 310.385 4.018 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccc(CO)c(F)c2)cc1 ZINC000178563334 337163737 /nfs/dbraw/zinc/16/37/37/337163737.db2.gz RALRJNBJSJQDHT-LJQANCHMSA-N 0 3 301.405 4.121 20 0 DIADHN CCC[C@H](NCc1ccc(OC)c(O)c1)c1ccc(OC)cc1 ZINC000178408103 337164456 /nfs/dbraw/zinc/16/44/56/337164456.db2.gz KAJMCHIRUYTUAQ-KRWDZBQOSA-N 0 3 315.413 4.040 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1nc(-c2ccccc2)cs1 ZINC000178436973 337164739 /nfs/dbraw/zinc/16/47/39/337164739.db2.gz AKDQSHJRTDBIJQ-GXTWGEPZSA-N 0 3 304.484 4.356 20 0 DIADHN CC[C@H](NCc1ccc(Cn2cccn2)cc1)c1ccccc1F ZINC000180034073 337173328 /nfs/dbraw/zinc/17/33/28/337173328.db2.gz YCGRZWVZQMQQFG-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@H](NCCCc1nc(C(C)C)no1)c1ccccc1F ZINC000180411647 337174122 /nfs/dbraw/zinc/17/41/22/337174122.db2.gz RIUDFZCVVOMKBJ-HNNXBMFYSA-N 0 3 305.397 4.006 20 0 DIADHN Cc1nc(CCNCc2cccc(Cl)c2Cl)cs1 ZINC000221820439 337175451 /nfs/dbraw/zinc/17/54/51/337175451.db2.gz DZUFKKUGVZRHCN-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1cccs1)c1ccccc1 ZINC000180672982 337177022 /nfs/dbraw/zinc/17/70/22/337177022.db2.gz FPNCYOPCOGEKNK-HIFRSBDPSA-N 0 3 303.427 4.093 20 0 DIADHN CO[C@H](CN[C@@H]1CCCOc2ccccc21)c1ccc(F)cc1 ZINC000181199651 337180151 /nfs/dbraw/zinc/18/01/51/337180151.db2.gz JAJBODYPMJNBQE-IEBWSBKVSA-N 0 3 315.388 4.017 20 0 DIADHN CC[C@H](NCc1cncc(C)c1)c1cccc(Br)c1 ZINC000181844430 337184965 /nfs/dbraw/zinc/18/49/65/337184965.db2.gz DSMHDZIIWNJLLN-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@@H]1CCCC[N@@H+]1Cc1ccc(NC(=O)c2cccc([O-])c2)cc1 ZINC000182079135 337186321 /nfs/dbraw/zinc/18/63/21/337186321.db2.gz CQPGGDNQIMONAC-OAHLLOKOSA-N 0 3 324.424 4.019 20 0 DIADHN C[C@@H]1CCCC[N@H+]1Cc1ccc(NC(=O)c2cccc([O-])c2)cc1 ZINC000182079135 337186322 /nfs/dbraw/zinc/18/63/22/337186322.db2.gz CQPGGDNQIMONAC-OAHLLOKOSA-N 0 3 324.424 4.019 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN([C@@H](C)C2CC2)C2CC2)s1 ZINC000183569643 337192298 /nfs/dbraw/zinc/19/22/98/337192298.db2.gz XZGFIQBVSZJORW-QMMMGPOBSA-N 0 3 304.381 4.233 20 0 DIADHN C[C@H](c1cccnc1)N(C)Cc1cccc(-c2cccnc2)c1 ZINC000183725324 337193182 /nfs/dbraw/zinc/19/31/82/337193182.db2.gz DLIBIZOOYOHTBC-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN CC(=O)Nc1ccc(CNc2c(C)cnc3c(C)cccc32)cc1 ZINC000185264047 337203766 /nfs/dbraw/zinc/20/37/66/337203766.db2.gz KEZMSDDWSYZFPM-UHFFFAOYSA-N 0 3 319.408 4.422 20 0 DIADHN CN(C)Cc1ccc(NCc2ccc(C(F)F)cc2)c(F)c1 ZINC000582832732 337206853 /nfs/dbraw/zinc/20/68/53/337206853.db2.gz SPIKJXIKAZNHND-UHFFFAOYSA-N 0 3 308.347 4.437 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cc(C)cc(Cl)c2)c1 ZINC000395179492 337209039 /nfs/dbraw/zinc/20/90/39/337209039.db2.gz DJGGKVDKFWLMAK-CYBMUJFWSA-N 0 3 316.832 4.458 20 0 DIADHN Cc1cc(Cl)cc(CNC[C@@H](c2cccs2)N(C)C)c1 ZINC000395172174 337209086 /nfs/dbraw/zinc/20/90/86/337209086.db2.gz AQMXGPGQAWYUQJ-HNNXBMFYSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1cccc(CCNC2(c3cccc(C(F)(F)F)c3)CC2)n1 ZINC000582962111 337219166 /nfs/dbraw/zinc/21/91/66/337219166.db2.gz LASBDMXFQSJJER-UHFFFAOYSA-N 0 3 320.358 4.230 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCC3(C)C)co2)cc1 ZINC000582973088 337224794 /nfs/dbraw/zinc/22/47/94/337224794.db2.gz NPFRZXCFGNGNNG-INIZCTEOSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@H](N[C@H](CO)c1ccc(F)cc1)c1cc2c(s1)CCCC2 ZINC000583040932 337233107 /nfs/dbraw/zinc/23/31/07/337233107.db2.gz MCBOEARCPOBFIA-BLLLJJGKSA-N 0 3 319.445 4.150 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1ncc(Br)s1 ZINC000583171144 337250618 /nfs/dbraw/zinc/25/06/18/337250618.db2.gz FOMZLRYQJYAHNY-XWLWVQCSSA-N 0 3 317.296 4.381 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000397298134 337259679 /nfs/dbraw/zinc/25/96/79/337259679.db2.gz ZUSXSMPMNCDDCD-XLKFXECMSA-N 0 3 306.208 4.208 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000397298132 337259728 /nfs/dbraw/zinc/25/97/28/337259728.db2.gz ZUSXSMPMNCDDCD-RTHLEPHNSA-N 0 3 306.208 4.208 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3C[C@H]4OCCC[C@H]34)oc21 ZINC000186947516 337260601 /nfs/dbraw/zinc/26/06/01/337260601.db2.gz BHMMHGZAYDRKTQ-KDQZPGIASA-N 0 3 315.413 4.050 20 0 DIADHN COc1ccc([C@H](C)CN2CC(C)(CC(F)(F)F)C2)cc1 ZINC000459642494 337263165 /nfs/dbraw/zinc/26/31/65/337263165.db2.gz SEILFSRWGRQLOX-GFCCVEGCSA-N 0 3 301.352 4.073 20 0 DIADHN c1ccc([C@@H]2CSCCN2C[C@@H]2CCC3(CCCC3)O2)cc1 ZINC000530358168 337263352 /nfs/dbraw/zinc/26/33/52/337263352.db2.gz IFMDSOPYWZQHEV-ROUUACIJSA-N 0 3 317.498 4.268 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(OC(F)F)cc2)c(C)n1 ZINC000187414948 337265854 /nfs/dbraw/zinc/26/58/54/337265854.db2.gz IMFKOPCSILGXPJ-GFCCVEGCSA-N 0 3 306.356 4.151 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H](C)C1)c1cc(F)c(Cl)cc1Cl ZINC000300414837 337271212 /nfs/dbraw/zinc/27/12/12/337271212.db2.gz PMOPQNGGVPDRRK-OPRDCNLKSA-N 0 3 306.208 4.351 20 0 DIADHN Cc1cc2ncc([C@H](C)NCc3ccc(C4CC4)cc3)c(C)n2n1 ZINC000188735124 337274563 /nfs/dbraw/zinc/27/45/63/337274563.db2.gz SSVMXMCCOQCSGM-AWEZNQCLSA-N 0 3 320.440 4.074 20 0 DIADHN O[C@H]1CC[C@H](CN[C@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000189302207 337278559 /nfs/dbraw/zinc/27/85/59/337278559.db2.gz IIFOKSKRTDNUFC-QXEWZRGKSA-N 0 3 320.285 4.183 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(-c3cccnc3)cc2)c1 ZINC000190001613 337284833 /nfs/dbraw/zinc/28/48/33/337284833.db2.gz RXKUHFXHPRVKOE-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C[C@@H](C)C1 ZINC000498956702 337286570 /nfs/dbraw/zinc/28/65/70/337286570.db2.gz MCWPIOAZIXBLJY-CVEARBPZSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@H]1CCSCCN1Cc1ccc(-c2ccncc2)cc1F ZINC000191813100 337300317 /nfs/dbraw/zinc/30/03/17/337300317.db2.gz OIQXPLXJERWBOE-AWEZNQCLSA-N 0 3 316.445 4.215 20 0 DIADHN c1cnn(-c2ccc(CNCc3ccc(C4CC4)cc3)cc2)c1 ZINC000191684098 337300533 /nfs/dbraw/zinc/30/05/33/337300533.db2.gz LDZIENTWCDSBLD-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN CC[C@H](NCc1ccc(-n2ccnc2)cc1C)c1c(C)noc1C ZINC000192179602 337303753 /nfs/dbraw/zinc/30/37/53/337303753.db2.gz SCEMIEMWIOQZOA-SFHVURJKSA-N 0 3 324.428 4.026 20 0 DIADHN CC(C)[C@@H](CN(C)Cc1ccccc1)N[C@@H](C)c1ccoc1 ZINC000398080010 337305782 /nfs/dbraw/zinc/30/57/82/337305782.db2.gz GPIUIXKNUDYEKN-QFBILLFUSA-N 0 3 300.446 4.087 20 0 DIADHN CO[C@H]1CCCN(C/C(C)=C/c2cccc(C(F)(F)F)c2)C1 ZINC000583289757 337307076 /nfs/dbraw/zinc/30/70/76/337307076.db2.gz QHAQVFDNDVSTEX-WQMJKPAKSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](CN[C@@H]1CCCc2occc21)c1nc(-c2ccccc2)no1 ZINC000193056434 337309012 /nfs/dbraw/zinc/30/90/12/337309012.db2.gz OBXRTMAGIDFRNC-XJKSGUPXSA-N 0 3 323.396 4.100 20 0 DIADHN CCCN(CCC)CC(=O)N(C)[C@H](C)c1cc2ccccc2o1 ZINC000532921160 337309250 /nfs/dbraw/zinc/30/92/50/337309250.db2.gz ZGSRSGKRNRVREF-OAHLLOKOSA-N 0 3 316.445 4.074 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2cc(C)ccc2F)cc1 ZINC000086279127 337310345 /nfs/dbraw/zinc/31/03/45/337310345.db2.gz JVNBJFJTUMVBTN-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1sc(-c2ccccc2)nc1C)OC ZINC000584415561 337322249 /nfs/dbraw/zinc/32/22/49/337322249.db2.gz NSEVLOAALOLGJV-WFASDCNBSA-N 0 3 304.459 4.194 20 0 DIADHN Cc1ccc2oc(CN[C@@H](C)Cc3ccn(C(C)C)n3)cc2c1 ZINC000583823622 337337225 /nfs/dbraw/zinc/33/72/25/337337225.db2.gz CPRCLOGUVCRRNI-HNNXBMFYSA-N 0 3 311.429 4.239 20 0 DIADHN c1cc([C@@H]2CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)ccn1 ZINC000584496554 337350134 /nfs/dbraw/zinc/35/01/34/337350134.db2.gz IWUBASGJNJSSTF-RTBURBONSA-N 0 3 314.473 4.143 20 0 DIADHN CCC[C@@H](NCc1ccccc1CO)c1ccc(Cl)cc1 ZINC000583864733 337357513 /nfs/dbraw/zinc/35/75/13/337357513.db2.gz COFRTUAQLYIDEY-GOSISDBHSA-N 0 3 303.833 4.463 20 0 DIADHN CCCN(C/C=C/c1ccc(F)c(F)c1)CCc1ccccn1 ZINC000584557136 337360913 /nfs/dbraw/zinc/36/09/13/337360913.db2.gz LHSPEWDAKOJWSU-AATRIKPKSA-N 0 3 316.395 4.328 20 0 DIADHN C[C@@H](NCCc1ccccc1)c1sccc1Br ZINC000037202218 337362123 /nfs/dbraw/zinc/36/21/23/337362123.db2.gz SGDMNSYRGUGJAN-LLVKDONJSA-N 0 3 310.260 4.404 20 0 DIADHN C[C@H](CN1CCC[C@@H]1c1ccccn1)c1cc(F)cc(F)c1 ZINC000584570360 337363730 /nfs/dbraw/zinc/36/37/30/337363730.db2.gz AVGQCHZWVZWHNH-FZKQIMNGSA-N 0 3 302.368 4.300 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc3n[nH]cc3c2)cc1 ZINC000583887980 337384224 /nfs/dbraw/zinc/38/42/24/337384224.db2.gz MTCZXMNMZFJVCL-CQSZACIVSA-N 0 3 309.413 4.203 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cc(F)cc3cccnc32)CC1 ZINC000108395269 337387922 /nfs/dbraw/zinc/38/79/22/337387922.db2.gz AMSZFGVYAVGDDM-GOSISDBHSA-N 0 3 316.420 4.155 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)NCCN1[C@H](C)CCC[C@H]1C ZINC000520783604 337390495 /nfs/dbraw/zinc/39/04/95/337390495.db2.gz JEKPVZSHOXRJSI-CHWSQXEVSA-N 0 3 323.868 4.033 20 0 DIADHN CC1CCN(CCCC(=O)c2ccc(Br)cc2)CC1 ZINC000035039985 337392889 /nfs/dbraw/zinc/39/28/89/337392889.db2.gz WDGKNKQLWUQDLF-UHFFFAOYSA-N 0 3 324.262 4.144 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N(C)C[C@H]1CCCCO1 ZINC000532927228 337393293 /nfs/dbraw/zinc/39/32/93/337393293.db2.gz ZIIODONHNBQHAP-SWLSCSKDSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C(=O)C[C@@H](N)c2ccccc2)cc1C ZINC000118953299 337394186 /nfs/dbraw/zinc/39/41/86/337394186.db2.gz VQAOMQZURUJWOI-UXHICEINSA-N 0 3 322.452 4.057 20 0 DIADHN CC[C@H](NCc1cccc(OCCOC)c1)c1ccc(F)cc1 ZINC000124057507 337403387 /nfs/dbraw/zinc/40/33/87/337403387.db2.gz QNZGBSKUUIXKSG-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCCc1nc(C)c(C)s1 ZINC000127792304 337422391 /nfs/dbraw/zinc/42/23/91/337422391.db2.gz RZSHVDMDCCJYOS-CYBMUJFWSA-N 0 3 304.459 4.052 20 0 DIADHN C[C@@H](NCCc1nccs1)c1nc(-c2ccccc2)cs1 ZINC000127982691 337423479 /nfs/dbraw/zinc/42/34/79/337423479.db2.gz ZAZPIGBQJDLRCY-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN CCc1cnc(CCN[C@@H]2CCSc3ccc(F)cc32)s1 ZINC000130191657 337432357 /nfs/dbraw/zinc/43/23/57/337432357.db2.gz MMXKWVZGKLFXGB-CQSZACIVSA-N 0 3 322.474 4.214 20 0 DIADHN CC[C@@H](NC[C@H](C)SC)c1ccccc1Br ZINC000131276583 337437057 /nfs/dbraw/zinc/43/70/57/337437057.db2.gz GJMVJLZYTBUXHP-GXFFZTMASA-N 0 3 302.281 4.241 20 0 DIADHN c1ccc2c(c1)CCC[C@@H]2NCc1ccc(OCC2CC2)nc1 ZINC000131396203 337437606 /nfs/dbraw/zinc/43/76/06/337437606.db2.gz VONRDTRKWVUJHC-IBGZPJMESA-N 0 3 308.425 4.038 20 0 DIADHN CC[C@@H](N[C@H](CC)c1cccc(OC)c1)c1c(C)nn(C)c1C ZINC000133701334 337446249 /nfs/dbraw/zinc/44/62/49/337446249.db2.gz BNIWEQVLTWJGSU-QZTJIDSGSA-N 0 3 315.461 4.238 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133832904 337446841 /nfs/dbraw/zinc/44/68/41/337446841.db2.gz WSJKWQZKGYGEHC-IAQYHMDHSA-N 0 3 305.491 4.463 20 0 DIADHN C[C@H](CNCc1nnc(-c2ccccc2)s1)c1ccccc1 ZINC000194511506 337463085 /nfs/dbraw/zinc/46/30/85/337463085.db2.gz MJMRYLQYWWCUDK-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN Cc1cccc2nc(C3CCN(C[C@H]4CCC=CO4)CC3)oc21 ZINC000194819440 337463814 /nfs/dbraw/zinc/46/38/14/337463814.db2.gz NGSFKHHRQPSQSF-MRXNPFEDSA-N 0 3 312.413 4.008 20 0 DIADHN CC[C@@H](CN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1)OC ZINC000419252913 337478043 /nfs/dbraw/zinc/47/80/43/337478043.db2.gz TVGJRZTWZAWCGM-WMZOPIPTSA-N 0 3 318.848 4.033 20 0 DIADHN COC1(C(F)(F)F)CCN([C@@H](C)CCc2ccccc2)CC1 ZINC000419310568 337479057 /nfs/dbraw/zinc/47/90/57/337479057.db2.gz CLCPCODXTFXFIQ-AWEZNQCLSA-N 0 3 315.379 4.051 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC(OC)(C(F)(F)F)CC1 ZINC000419332340 337479969 /nfs/dbraw/zinc/47/99/69/337479969.db2.gz RBOPHJWNVGEAFZ-CQSZACIVSA-N 0 3 301.352 4.181 20 0 DIADHN O[C@H]1C[C@@H](CN[C@H](c2ccccc2)c2ccc3ccccc3c2)C1 ZINC000421211682 337523979 /nfs/dbraw/zinc/52/39/79/337523979.db2.gz XUMPMGPVOJCUIX-URZJWIJPSA-N 0 3 317.432 4.290 20 0 DIADHN c1cnn(Cc2cccc(CNCc3cccc(C4CC4)c3)c2)c1 ZINC000421327762 337526629 /nfs/dbraw/zinc/52/66/29/337526629.db2.gz QXYPVSYGPYFLLJ-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN c1cc(CNC2(c3ccc4c(c3)OCO4)CC2)cc(C2CC2)c1 ZINC000421343685 337527592 /nfs/dbraw/zinc/52/75/92/337527592.db2.gz QJQQIUMBSAXQFH-UHFFFAOYSA-N 0 3 307.393 4.072 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@H]2CC23CCSCC3)cs1 ZINC000421351843 337528000 /nfs/dbraw/zinc/52/80/00/337528000.db2.gz MVIMYRMWLAMTNV-LBPRGKRZSA-N 0 3 310.532 4.064 20 0 DIADHN Cc1cc(CN[C@H](CC(F)F)c2ccccc2)cc(C)c1O ZINC000421375139 337528603 /nfs/dbraw/zinc/52/86/03/337528603.db2.gz PSQXDLBVDMYAAY-MRXNPFEDSA-N 0 3 305.368 4.495 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@H]1CCCCO1 ZINC000432253315 337541959 /nfs/dbraw/zinc/54/19/59/337541959.db2.gz KCVMHMDTSLMWHC-JOYOIKCWSA-N 0 3 306.208 4.180 20 0 DIADHN c1ccc([C@H](C[C@@H]2CCOC2)N[C@H]2CCc3ccccc32)cc1 ZINC000246430685 337547637 /nfs/dbraw/zinc/54/76/37/337547637.db2.gz NWYAHNCNELCJSH-NDXORKPFSA-N 0 3 307.437 4.431 20 0 DIADHN Cc1ccc(NC(=O)c2coc3ccccc23)c(CN(C)C)c1 ZINC000072840561 337548575 /nfs/dbraw/zinc/54/85/75/337548575.db2.gz UMGLTRGGOHUSQK-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@@H](N[C@H](C)c2ccc(Cl)s2)C1 ZINC000247130003 337557938 /nfs/dbraw/zinc/55/79/38/337557938.db2.gz QFFXDCRTPQOQMZ-UWNFWVIDSA-N 0 3 319.923 4.132 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1N[C@H]1CCCc2c1cnn2C ZINC000248832572 337567752 /nfs/dbraw/zinc/56/77/52/337567752.db2.gz MRLYZMAVRGPFOW-HEFCMCLBSA-N 0 3 309.457 4.101 20 0 DIADHN C[C@H](NC[C@H]1COc2ccccc21)c1ccc(F)cc1Cl ZINC000571717942 337572490 /nfs/dbraw/zinc/57/24/90/337572490.db2.gz AYTIHSGBVKXTTA-RYUDHWBXSA-N 0 3 305.780 4.306 20 0 DIADHN C[C@H](NC[C@@H]1COc2ccccc21)c1ccc(F)cc1Cl ZINC000571717941 337572498 /nfs/dbraw/zinc/57/24/98/337572498.db2.gz AYTIHSGBVKXTTA-NWDGAFQWSA-N 0 3 305.780 4.306 20 0 DIADHN Cc1cncc(CN2CCC(c3ccnc4ccccc43)CC2)c1 ZINC000572011780 337586432 /nfs/dbraw/zinc/58/64/32/337586432.db2.gz GJJMCMHHXBNTKH-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN COc1cccc(CNC[C@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000572170930 337594773 /nfs/dbraw/zinc/59/47/73/337594773.db2.gz PBWMEBDAUJUQTB-INIZCTEOSA-N 0 3 323.358 4.206 20 0 DIADHN Cc1c(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cnn1C ZINC000162017233 337603410 /nfs/dbraw/zinc/60/34/10/337603410.db2.gz UVEXKWMMFOBJCO-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccc(C)c1 ZINC000286136707 337606076 /nfs/dbraw/zinc/60/60/76/337606076.db2.gz OTTIZESIGBEPLC-KSSFIOAISA-N 0 3 311.429 4.288 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@@H]1CC[C@@H](F)C1 ZINC000572327127 337608694 /nfs/dbraw/zinc/60/86/94/337608694.db2.gz WCDCCHUPTOXPNU-GHMZBOCLSA-N 0 3 305.224 4.040 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@H]1CC[C@@H](F)C1 ZINC000572327128 337608746 /nfs/dbraw/zinc/60/87/46/337608746.db2.gz WCDCCHUPTOXPNU-MNOVXSKESA-N 0 3 305.224 4.040 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccnc(N2CCCCC2)c1 ZINC000162394420 337618476 /nfs/dbraw/zinc/61/84/76/337618476.db2.gz ZFVMTEGVMSQGCD-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN CCC1(C(=O)Nc2cc(CN)cc(C(F)(F)F)c2)CCCC1 ZINC000263040199 337623493 /nfs/dbraw/zinc/62/34/93/337623493.db2.gz VRBGFMYMFYQSEP-UHFFFAOYSA-N 0 3 314.351 4.073 20 0 DIADHN CC1(C2CC2)CCN(Cc2cnc(-c3ccccn3)s2)CC1 ZINC000572418010 337635165 /nfs/dbraw/zinc/63/51/65/337635165.db2.gz VMHAZELMSQJNIU-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN C[C@@H](NCCCn1ccc2ccccc21)c1ccncc1Cl ZINC000421805892 337644878 /nfs/dbraw/zinc/64/48/78/337644878.db2.gz YCBYYULOROUQLA-CQSZACIVSA-N 0 3 313.832 4.431 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2ccncc2Cl)CC1 ZINC000421823753 337645925 /nfs/dbraw/zinc/64/59/25/337645925.db2.gz FEPRUNGISHLFCU-CYBMUJFWSA-N 0 3 316.832 4.126 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@@H](C)c1ccncc1Cl ZINC000421824806 337646399 /nfs/dbraw/zinc/64/63/99/337646399.db2.gz KKKPXTLWLCEIRQ-OLZOCXBDSA-N 0 3 304.821 4.113 20 0 DIADHN C[C@H](NC1(Cc2ccc(F)cc2)CC1)c1ccncc1Cl ZINC000421850862 337649663 /nfs/dbraw/zinc/64/96/63/337649663.db2.gz FBFTZMKSLDWCIV-LBPRGKRZSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H](N[C@@H](C)CCOCc1ccccc1)c1ccncc1Cl ZINC000421854440 337650277 /nfs/dbraw/zinc/65/02/77/337650277.db2.gz LKIXKZKNGDUPFO-LSDHHAIUSA-N 0 3 318.848 4.381 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)N[C@H]1CCOC2(CCC2)C1 ZINC000421844446 337653940 /nfs/dbraw/zinc/65/39/40/337653940.db2.gz OIOZPUZHRJHEIK-OCCSQVGLSA-N 0 3 309.837 4.101 20 0 DIADHN COc1cccc(C2(CN[C@@H](C)c3ccncc3Cl)CC2)c1 ZINC000421848179 337654512 /nfs/dbraw/zinc/65/45/12/337654512.db2.gz AEFLREAMMGCTKB-ZDUSSCGKSA-N 0 3 316.832 4.126 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCCCC1(O)CCC1)CCCO2 ZINC000421861509 337656024 /nfs/dbraw/zinc/65/60/24/337656024.db2.gz UKGMPBZPFYYMPJ-INIZCTEOSA-N 0 3 323.864 4.147 20 0 DIADHN CC[C@@H](O)CN[C@@H](Cc1ccccc1Cl)c1ccsc1 ZINC000421893767 337659422 /nfs/dbraw/zinc/65/94/22/337659422.db2.gz ZXHGWJYAZBZUFX-ZBFHGGJFSA-N 0 3 309.862 4.046 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@H]2CC[C@H]2C2CC2)c1 ZINC000580480319 337681959 /nfs/dbraw/zinc/68/19/59/337681959.db2.gz URCMWRSWUUYGJW-OALUTQOASA-N 0 3 324.424 4.161 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)C(C)(C)[C@@H](N)c1ccccc1 ZINC000422913525 337691092 /nfs/dbraw/zinc/69/10/92/337691092.db2.gz YUGVXDBOXJPTFK-INIZCTEOSA-N 0 3 316.832 4.313 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422913526 337691129 /nfs/dbraw/zinc/69/11/29/337691129.db2.gz YUGVXDBOXJPTFK-MRXNPFEDSA-N 0 3 316.832 4.313 20 0 DIADHN CC(C)(C(=O)Nc1cccc(C(F)F)c1)[C@@H](N)c1ccccc1 ZINC000423132540 337701057 /nfs/dbraw/zinc/70/10/57/337701057.db2.gz PMRHRTWRRPEOFW-HNNXBMFYSA-N 0 3 318.367 4.289 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1cc(F)cc(F)c1 ZINC000423339951 337712809 /nfs/dbraw/zinc/71/28/09/337712809.db2.gz BRQVOMIAHWNRLV-VOJFVSQTSA-N 0 3 302.368 4.275 20 0 DIADHN CC[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccccc1OC ZINC000423342779 337713020 /nfs/dbraw/zinc/71/30/20/337713020.db2.gz DEXOZARDUJXXAI-OALUTQOASA-N 0 3 310.441 4.396 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1cnn(C2CCCC2)c1 ZINC000423352892 337713945 /nfs/dbraw/zinc/71/39/45/337713945.db2.gz APCOPGQRTUIWIS-JLTOFOAXSA-N 0 3 324.472 4.309 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3cc(N)ccc32)c(F)c1 ZINC000423354906 337713976 /nfs/dbraw/zinc/71/39/76/337713976.db2.gz OHZHPCQLQOXLLH-BUXKBTBVSA-N 0 3 314.404 4.145 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CNC2)c1cccc(Cl)c1Cl ZINC000423373502 337715285 /nfs/dbraw/zinc/71/52/85/337715285.db2.gz HQMCGLYODVEEHP-LLVKDONJSA-N 0 3 321.251 4.447 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CN)c2ccc3ccccc3c2)oc1C ZINC000423403403 337715682 /nfs/dbraw/zinc/71/56/82/337715682.db2.gz SDWPUGRHHNFUPS-LIRRHRJNSA-N 0 3 308.425 4.400 20 0 DIADHN COc1cc([C@H](C)NCc2cccc3c2CCCN3)ccc1F ZINC000423419305 337716747 /nfs/dbraw/zinc/71/67/47/337716747.db2.gz VQSVGFZFJVHGOK-ZDUSSCGKSA-N 0 3 314.404 4.043 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423424047 337716883 /nfs/dbraw/zinc/71/68/83/337716883.db2.gz CIKAJGZXPCGBIP-RTBURBONSA-N 0 3 322.452 4.457 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CC(C)(C)Oc3ccc(N)cc31)CC2 ZINC000423436343 337718963 /nfs/dbraw/zinc/71/89/63/337718963.db2.gz LRVBOHWCBSHOFQ-MOPGFXCFSA-N 0 3 322.452 4.457 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1ccc2c(c1)CCCN2 ZINC000423453393 337720154 /nfs/dbraw/zinc/72/01/54/337720154.db2.gz XIUFBSUMLZKRFJ-OAHLLOKOSA-N 0 3 310.441 4.294 20 0 DIADHN c1ccc2c(c1)NCCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423454454 337720337 /nfs/dbraw/zinc/72/03/37/337720337.db2.gz ZANJLQFBTJNERW-FQEVSTJZSA-N 0 3 307.441 4.081 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCOc3ccc(Cl)cc32)c1 ZINC000423476030 337721539 /nfs/dbraw/zinc/72/15/39/337721539.db2.gz JPMVYBBYABOELI-KRWDZBQOSA-N 0 3 316.832 4.234 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1cc(C)cc(N)c1 ZINC000423475632 337721582 /nfs/dbraw/zinc/72/15/82/337721582.db2.gz JEHPBZXDCSFUNH-GFCCVEGCSA-N 0 3 303.475 4.316 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCOc3ccc(Cl)cc32)c1 ZINC000423476031 337721584 /nfs/dbraw/zinc/72/15/84/337721584.db2.gz JPMVYBBYABOELI-QGZVFWFLSA-N 0 3 316.832 4.234 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2C[C@H](C)Sc3sccc32)c1 ZINC000423476081 337721631 /nfs/dbraw/zinc/72/16/31/337721631.db2.gz JXLAJPPPUHWJSQ-XHDPSFHLSA-N 0 3 304.484 4.354 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1cc(C)cc(N)c1 ZINC000423489001 337722557 /nfs/dbraw/zinc/72/25/57/337722557.db2.gz ZVPQURLPEWIILG-IBGZPJMESA-N 0 3 310.441 4.098 20 0 DIADHN N[C@H](CC(=O)N1CCCC2(CCCCC2)CC1)c1ccccc1 ZINC000423790579 337728039 /nfs/dbraw/zinc/72/80/39/337728039.db2.gz XTUUXQBNWQATMZ-GOSISDBHSA-N 0 3 314.473 4.040 20 0 DIADHN CC(C)c1ccc([C@H]2CCCCN2C(=O)[C@@H](C)NC2CC2)cc1 ZINC000423913381 337729662 /nfs/dbraw/zinc/72/96/62/337729662.db2.gz UREOXAOEANODSU-DNVCBOLYSA-N 0 3 314.473 4.004 20 0 DIADHN C[C@H](N[C@H]1CCc2c1c(F)ccc2F)[C@@H]1COc2ccccc21 ZINC000536829634 337733781 /nfs/dbraw/zinc/73/37/81/337733781.db2.gz XUGIMULHOSLVQU-YLVFBTJISA-N 0 3 315.363 4.106 20 0 DIADHN CC[C@@H](COC)N[C@@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000536831645 337734561 /nfs/dbraw/zinc/73/45/61/337734561.db2.gz IQGYUTLMACJCMI-ICSRJNTNSA-N 0 3 313.441 4.108 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2C[C@H](C)c3c2cccc3C)cc1 ZINC000536832847 337734887 /nfs/dbraw/zinc/73/48/87/337734887.db2.gz MQBWNNLJOHRLJY-YSSFQJQWSA-N 0 3 309.453 4.429 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@@H](CO)CC(C)(C)C)c1 ZINC000536837160 337735532 /nfs/dbraw/zinc/73/55/32/337735532.db2.gz FKCOIYPKEUPRFD-CHWSQXEVSA-N 0 3 315.404 4.044 20 0 DIADHN Cn1cc(CN[C@H](CC2CC2)c2ccccc2)c(C(F)(F)F)n1 ZINC000536837945 337736303 /nfs/dbraw/zinc/73/63/03/337736303.db2.gz IXNDAJSMSBZQRQ-OAHLLOKOSA-N 0 3 323.362 4.070 20 0 DIADHN CCSc1ccc([C@H](C)NCCOc2ncccc2F)cc1 ZINC000536842727 337742883 /nfs/dbraw/zinc/74/28/83/337742883.db2.gz BGBWJQPZGQRAKR-ZDUSSCGKSA-N 0 3 320.433 4.062 20 0 DIADHN CC[C@@H](N[C@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000536843722 337743239 /nfs/dbraw/zinc/74/32/39/337743239.db2.gz FWYSPUKSAGXLNF-IAGOWNOFSA-N 0 3 323.358 4.480 20 0 DIADHN OCc1ccc(CN[C@H]2CCCc3ccc(Cl)cc32)cc1F ZINC000536848291 337744270 /nfs/dbraw/zinc/74/42/70/337744270.db2.gz YFBXGIFRNORFMA-SFHVURJKSA-N 0 3 319.807 4.139 20 0 DIADHN C[C@H](NCC1(CCO)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000536848865 337744515 /nfs/dbraw/zinc/74/45/15/337744515.db2.gz ZZUGNZFPIJWEMI-JTQLQIEISA-N 0 3 320.235 4.336 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000527484676 337744518 /nfs/dbraw/zinc/74/45/18/337744518.db2.gz IWHKRCXAUYXIJH-CHWSQXEVSA-N 0 3 318.486 4.245 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N[C@@H](C)c1ccco1 ZINC000536850264 337745139 /nfs/dbraw/zinc/74/51/39/337745139.db2.gz GODXIGIGWNERKY-RYUDHWBXSA-N 0 3 308.219 4.324 20 0 DIADHN CCCC[C@H](NCc1ccc(OCCO)cc1)c1ccccc1 ZINC000536859643 337746506 /nfs/dbraw/zinc/74/65/06/337746506.db2.gz WGGFRBWRKXRTLH-FQEVSTJZSA-N 0 3 313.441 4.079 20 0 DIADHN COCCC[C@@H](C)N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000536859309 337746646 /nfs/dbraw/zinc/74/66/46/337746646.db2.gz TUZDCJZJPUXKHJ-DYVFJYSZSA-N 0 3 307.434 4.381 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCCOc2cccc(C)c2)s1 ZINC000536861070 337746717 /nfs/dbraw/zinc/74/67/17/337746717.db2.gz BUZKGLXDVDKKEE-CYBMUJFWSA-N 0 3 304.459 4.052 20 0 DIADHN C[C@@H](CC1CC1)N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000536861579 337746876 /nfs/dbraw/zinc/74/68/76/337746876.db2.gz DJUASAYWDZIHFW-HNAYVOBHSA-N 0 3 308.425 4.145 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H]1CCc2ccc(OC)cc21 ZINC000536861739 337746912 /nfs/dbraw/zinc/74/69/12/337746912.db2.gz DWCIYJVYCRNPIH-PMACEKPBSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1cccc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)n1 ZINC000527514489 337748352 /nfs/dbraw/zinc/74/83/52/337748352.db2.gz CEWVTTHOADSZLN-FPMFFAJLSA-N 0 3 306.862 4.387 20 0 DIADHN CC[C@H](O)CCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000536866690 337749384 /nfs/dbraw/zinc/74/93/84/337749384.db2.gz ABWSJRWAZRETMG-JQWIXIFHSA-N 0 3 321.795 4.143 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@H](CO)c2cccc(F)c2)cc1 ZINC000536870830 337751418 /nfs/dbraw/zinc/75/14/18/337751418.db2.gz PSABKXGTNZTGNI-RTBURBONSA-N 0 3 301.405 4.162 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2ccc3cc[nH]c3c2)c1 ZINC000527639202 337753612 /nfs/dbraw/zinc/75/36/12/337753612.db2.gz RGQVLKQXGLDZFF-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN Fc1ccc([C@H]2CC[C@H](NCc3ccncc3Cl)C2)cc1 ZINC000527701832 337757596 /nfs/dbraw/zinc/75/75/96/337757596.db2.gz RYOLVVPHJDMSFU-BBRMVZONSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@H](NCc1ccc2cc[nH]c2c1)[C@H]1OCCc2sccc21 ZINC000527711631 337758171 /nfs/dbraw/zinc/75/81/71/337758171.db2.gz WIQJBPXMZXJFOF-KPZWWZAWSA-N 0 3 312.438 4.022 20 0 DIADHN C[C@@H](NCc1ccc2cc[nH]c2c1)[C@@H]1OCCc2sccc21 ZINC000527711637 337758215 /nfs/dbraw/zinc/75/82/15/337758215.db2.gz WIQJBPXMZXJFOF-XIKOKIGWSA-N 0 3 312.438 4.022 20 0 DIADHN C[C@]1(CNCc2ccsc2C(F)(F)F)CC1(Cl)Cl ZINC000527713683 337758361 /nfs/dbraw/zinc/75/83/61/337758361.db2.gz RQNLIASQTABASV-SECBINFHSA-N 0 3 318.191 4.440 20 0 DIADHN Cc1cccnc1CCCNCc1c(F)ccc(F)c1Cl ZINC000527717247 337758619 /nfs/dbraw/zinc/75/86/19/337758619.db2.gz UPYXGNRBRPVQLQ-UHFFFAOYSA-N 0 3 310.775 4.044 20 0 DIADHN C[C@H](CNCc1ccsc1C(F)(F)F)c1nccs1 ZINC000527693994 337760447 /nfs/dbraw/zinc/76/04/47/337760447.db2.gz ZGBQGABSZVOHMC-MRVPVSSYSA-N 0 3 306.378 4.117 20 0 DIADHN CC[C@H]1C[C@H](CNC(C)(C)c2nc3ccccc3s2)CCO1 ZINC000527746251 337761110 /nfs/dbraw/zinc/76/11/10/337761110.db2.gz UYJCCOKFNUOIKO-KGLIPLIRSA-N 0 3 318.486 4.326 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1cccnc1C ZINC000527793468 337764412 /nfs/dbraw/zinc/76/44/12/337764412.db2.gz IUGPDEPWTSRDKT-JLHYYAGUSA-N 0 3 318.367 4.185 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2CCc3cc(Cl)ccc32)c1C ZINC000527795926 337764536 /nfs/dbraw/zinc/76/45/36/337764536.db2.gz RQVCXEJSPCXAJR-SFHVURJKSA-N 0 3 317.864 4.340 20 0 DIADHN CC[C@H](NCc1cc(-c2cccnc2)n[nH]1)c1cc(C)ccc1C ZINC000527821205 337767027 /nfs/dbraw/zinc/76/70/27/337767027.db2.gz MLJFYVMMDFXPEP-IBGZPJMESA-N 0 3 320.440 4.329 20 0 DIADHN COCc1cccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)c1 ZINC000527853040 337769187 /nfs/dbraw/zinc/76/91/87/337769187.db2.gz SKWAIJFEKYUIDA-SFHVURJKSA-N 0 3 319.807 4.403 20 0 DIADHN C[C@H](NC1CCC(F)(F)CC1)c1cc(-c2ccccc2)n(C)n1 ZINC000527876197 337771170 /nfs/dbraw/zinc/77/11/70/337771170.db2.gz IHZRPIRGMPJNRK-ZDUSSCGKSA-N 0 3 319.399 4.316 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)N[C@@H](CO)CC(F)(F)F)cc1 ZINC000527877201 337771187 /nfs/dbraw/zinc/77/11/87/337771187.db2.gz XLDXLWJMYUCKFX-YWPYICTPSA-N 0 3 303.368 4.164 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2cccc(C(C)C)c2)cn1 ZINC000527891322 337771984 /nfs/dbraw/zinc/77/19/84/337771984.db2.gz APXBLTTWZBPZJL-CQSZACIVSA-N 0 3 311.429 4.014 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCC2([S@](C)=O)CCC2)cc1 ZINC000527893538 337772234 /nfs/dbraw/zinc/77/22/34/337772234.db2.gz JZJLDKYDBRWMAB-GXZWQRSESA-N 0 3 307.503 4.152 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NC[C@H](n1cccn1)C(F)(F)F ZINC000527941133 337773414 /nfs/dbraw/zinc/77/34/14/337773414.db2.gz XHDMCCQWKYFIKI-YUELXQCFSA-N 0 3 323.362 4.133 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2ccccc2)nc1 ZINC000527924844 337775664 /nfs/dbraw/zinc/77/56/64/337775664.db2.gz HYYNWDRLCARKAB-XNWPKKHHSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@@H](NCC[C@H]1CC=CCC1)c1ncc(Br)s1 ZINC000527985040 337777589 /nfs/dbraw/zinc/77/75/89/337777589.db2.gz MFVFDPZASPKINQ-MNOVXSKESA-N 0 3 315.280 4.303 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2NCC12CC(C1)CO2 ZINC000527985249 337777825 /nfs/dbraw/zinc/77/78/25/337777825.db2.gz UFXZRKQZOMERNY-MHMQJKDYSA-N 0 3 318.269 4.201 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H](c2ccccn2)C2CCC2)cc1 ZINC000527961153 337780014 /nfs/dbraw/zinc/78/00/14/337780014.db2.gz BBFZNIKKKUNIEF-XOBRGWDASA-N 0 3 323.440 4.232 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H](c2ccccn2)C2CCC2)cc1 ZINC000527961149 337780045 /nfs/dbraw/zinc/78/00/45/337780045.db2.gz BBFZNIKKKUNIEF-VBKZILBWSA-N 0 3 323.440 4.232 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc2cn(C)nc2c1 ZINC000527967134 337780852 /nfs/dbraw/zinc/78/08/52/337780852.db2.gz XCGMQBSVVSBGCK-AUUYWEPGSA-N 0 3 305.425 4.220 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3cn(C)nc3c1)CCC2 ZINC000527966201 337781009 /nfs/dbraw/zinc/78/10/09/337781009.db2.gz UUXUZYVMOGNLSU-LJQANCHMSA-N 0 3 305.425 4.049 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1ccc2cn(C)nc2c1 ZINC000527968457 337781023 /nfs/dbraw/zinc/78/10/23/337781023.db2.gz ZUTOUJWKOVFBMD-RBZFPXEDSA-N 0 3 323.415 4.126 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3cn(C)nc3c2)C2CCC2)o1 ZINC000527968315 337781032 /nfs/dbraw/zinc/78/10/32/337781032.db2.gz ZKIAQTBJCAMSGE-IBGZPJMESA-N 0 3 309.413 4.106 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](c1ccccn1)C1CCC1 ZINC000527970278 337781335 /nfs/dbraw/zinc/78/13/35/337781335.db2.gz SUEJPMUAWBXYAW-YLJYHZDGSA-N 0 3 308.425 4.209 20 0 DIADHN CC(C)n1cncc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000424155653 337782814 /nfs/dbraw/zinc/78/28/14/337782814.db2.gz NJIAZBZVJDCAMG-SFHVURJKSA-N 0 3 301.409 4.234 20 0 DIADHN CCc1ccc([C@@H](NCC2COC2)c2ccccc2Cl)cc1 ZINC000528023469 337784330 /nfs/dbraw/zinc/78/43/30/337784330.db2.gz DYXUTHKHBFJKOQ-LJQANCHMSA-N 0 3 315.844 4.228 20 0 DIADHN COc1ccc([C@H](N[C@H]2C[C@H](C)c3ccccc32)C2CC2)cn1 ZINC000528043412 337785576 /nfs/dbraw/zinc/78/55/76/337785576.db2.gz ONTNFLCUSRIUBZ-YHJVDBJQSA-N 0 3 308.425 4.379 20 0 DIADHN c1ccc(C[C@H](N[C@H]2C[C@H]2C2CCCCC2)c2cccnc2)nc1 ZINC000536873952 337786508 /nfs/dbraw/zinc/78/65/08/337786508.db2.gz AUKQASWYMPHNJV-ACRUOGEOSA-N 0 3 321.468 4.319 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000536875090 337787822 /nfs/dbraw/zinc/78/78/22/337787822.db2.gz GUCXDENTAYTGIP-HXUWFJFHSA-N 0 3 308.425 4.276 20 0 DIADHN Cc1cccc2nc(CN[C@H](C)C(C)(C)c3ccccc3)cn21 ZINC000536875218 337788168 /nfs/dbraw/zinc/78/81/68/337788168.db2.gz IBIQJQFJSUSZAK-MRXNPFEDSA-N 0 3 307.441 4.099 20 0 DIADHN FC(F)(F)c1cc(Br)cc(CN[C@@H]2CC23CC3)c1 ZINC000424188608 337803030 /nfs/dbraw/zinc/80/30/30/337803030.db2.gz YBKFTVGEVQEYMG-LLVKDONJSA-N 0 3 320.152 4.110 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC[C@H]2Oc2ccccc2C)nc1 ZINC000532985659 337804171 /nfs/dbraw/zinc/80/41/71/337804171.db2.gz WPXMQZWZCKESCK-AZUAARDMSA-N 0 3 310.441 4.178 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCOC3(CCCC3)C2)c(Cl)c1 ZINC000424275856 337814290 /nfs/dbraw/zinc/81/42/90/337814290.db2.gz BFIIKGRPKMJKGZ-UONOGXRCSA-N 0 3 323.864 4.491 20 0 DIADHN CCOC1CC(CCN[C@@H](C)c2ccc(OC)cc2Cl)C1 ZINC000424279754 337814655 /nfs/dbraw/zinc/81/46/55/337814655.db2.gz KRFBPIDMVCELEG-OPFPJEHXSA-N 0 3 311.853 4.204 20 0 DIADHN CCC[C@H](NCCC(C)(C)C(=O)OC(C)(C)C)c1ccccn1 ZINC000424315148 337819685 /nfs/dbraw/zinc/81/96/85/337819685.db2.gz BXOIZTXLUFZBPE-HNNXBMFYSA-N 0 3 320.477 4.270 20 0 DIADHN C[C@H](N[C@H]1CC12CC2)c1ccc(OC(F)F)cc1OC(F)F ZINC000424323452 337820571 /nfs/dbraw/zinc/82/05/71/337820571.db2.gz MDHNZDIIRIDKHJ-UFBFGSQYSA-N 0 3 319.298 4.093 20 0 DIADHN CC(C)[C@@H](NCCC(C)(C)C(=O)OC(C)(C)C)c1cccnc1 ZINC000424332292 337822328 /nfs/dbraw/zinc/82/23/28/337822328.db2.gz UZDDCPFWNANPSY-MRXNPFEDSA-N 0 3 320.477 4.126 20 0 DIADHN COc1ccc([C@H](C)NCC(C)(C)c2ccccn2)c(Cl)c1 ZINC000424349885 337824517 /nfs/dbraw/zinc/82/45/17/337824517.db2.gz NQXFRBBLGCBELK-ZDUSSCGKSA-N 0 3 318.848 4.372 20 0 DIADHN C[C@@H](NCCn1cccn1)c1ccc(-c2ccc(F)cc2)s1 ZINC000536883697 337844282 /nfs/dbraw/zinc/84/42/82/337844282.db2.gz ZEORSXGGAOPZKU-CYBMUJFWSA-N 0 3 315.417 4.102 20 0 DIADHN CCCc1ccc([C@@H](C)N[C@@H](CO)c2sccc2C)cc1 ZINC000536911703 337847713 /nfs/dbraw/zinc/84/77/13/337847713.db2.gz XEIWAYCPRFOAKG-PBHICJAKSA-N 0 3 303.471 4.393 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2)C2CCC2)s1 ZINC000118950649 337853015 /nfs/dbraw/zinc/85/30/15/337853015.db2.gz TZNPXZZBZNRSPF-QGZVFWFLSA-N 0 3 315.438 4.166 20 0 DIADHN Cc1cc([C@@H](NCc2ccc3c(c2)OCO3)C2CC2)ccc1F ZINC000536949220 337857063 /nfs/dbraw/zinc/85/70/63/337857063.db2.gz STBNRPWSFQXRFL-IBGZPJMESA-N 0 3 313.372 4.104 20 0 DIADHN OCc1ccccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000536998121 337860635 /nfs/dbraw/zinc/86/06/35/337860635.db2.gz GBCULKROTYXCPN-GOSISDBHSA-N 0 3 301.817 4.073 20 0 DIADHN COc1ccc([C@H](NCc2ccc(OC)cc2F)C(C)C)cc1 ZINC000537013873 337865263 /nfs/dbraw/zinc/86/52/63/337865263.db2.gz UMCFWBXBIMPVLN-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2)C2CC2)c2ccccc2n1 ZINC000537016044 337866182 /nfs/dbraw/zinc/86/61/82/337866182.db2.gz USKHZHZIWOZYNX-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000119930712 337866449 /nfs/dbraw/zinc/86/64/49/337866449.db2.gz JERUXASNQJJHRC-SFHVURJKSA-N 0 3 300.833 4.183 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(O)c2)cc1Br ZINC000119898957 337867517 /nfs/dbraw/zinc/86/75/17/337867517.db2.gz OTEZBJCEALPQOS-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](CO)CC1)c1ccc(Cl)cc1Cl ZINC000120215099 337871481 /nfs/dbraw/zinc/87/14/81/337871481.db2.gz DCDPNQXVQDIHQH-GVXVVHGQSA-N 0 3 302.245 4.195 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](CC)c1ccc(Cl)cc1 ZINC000537029788 337875071 /nfs/dbraw/zinc/87/50/71/337875071.db2.gz MRHKCWGVNIAAHE-WOSRLPQWSA-N 0 3 310.869 4.074 20 0 DIADHN CC[C@H](NCc1ccc(F)c(CN(C)C)c1)c1cccs1 ZINC000120893083 337880113 /nfs/dbraw/zinc/88/01/13/337880113.db2.gz AAAODOHDEMSSMB-INIZCTEOSA-N 0 3 306.450 4.190 20 0 DIADHN COCCC1(CN[C@@H](C)c2nc(-c3ccccc3)cs2)CC1 ZINC000090536226 337882261 /nfs/dbraw/zinc/88/22/61/337882261.db2.gz TVRUBWORCXREBC-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)C(C)(C)c2ccccc2)c(C)c1 ZINC000537053656 337884578 /nfs/dbraw/zinc/88/45/78/337884578.db2.gz YOMNFTXBFYISFR-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(OC2CCCC2)CC1 ZINC000121136552 337884901 /nfs/dbraw/zinc/88/49/01/337884901.db2.gz BMJXOFOLMZMCFI-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN CCC(C)(C)c1ccc(CN[C@H]2CCCc3c2cnn3C)cc1 ZINC000090727149 337885169 /nfs/dbraw/zinc/88/51/69/337885169.db2.gz VKKZQAKUEARNBS-SFHVURJKSA-N 0 3 311.473 4.275 20 0 DIADHN OC1CCC(N[C@@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000090800074 337885878 /nfs/dbraw/zinc/88/58/78/337885878.db2.gz NITZMGDKVKEVQV-NWGYLPEXSA-N 0 3 320.285 4.325 20 0 DIADHN CC[C@H](N[C@H]1CCCc2cc(OC)ccc21)c1c(C)noc1C ZINC000090886381 337886701 /nfs/dbraw/zinc/88/67/01/337886701.db2.gz RFDZBNNZKBKAGV-ROUUACIJSA-N 0 3 314.429 4.418 20 0 DIADHN COC[C@@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)C(C)C ZINC000090969035 337887570 /nfs/dbraw/zinc/88/75/70/337887570.db2.gz WLKGOPVVVLLFIY-ZIAGYGMSSA-N 0 3 307.331 4.080 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CCCCC1)c1nccn1C ZINC000537107303 337892180 /nfs/dbraw/zinc/89/21/80/337892180.db2.gz TWQQCXXBXNPDLB-OAHLLOKOSA-N 0 3 315.436 4.112 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@H]1CCc2c1cccc2OC ZINC000121448222 337893212 /nfs/dbraw/zinc/89/32/12/337893212.db2.gz AGUNAIDUICUYES-KDOFPFPSSA-N 0 3 311.425 4.432 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1nc2c(s1)CCCC2)C(C)C ZINC000091731914 337894950 /nfs/dbraw/zinc/89/49/50/337894950.db2.gz MESYJBCSECKHAC-UKRRQHHQSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(OC(C)(C)C)CC1 ZINC000092454437 337904434 /nfs/dbraw/zinc/90/44/34/337904434.db2.gz CPWGTYRLZNHALN-UHFFFAOYSA-N 0 3 306.494 4.314 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)c1C ZINC000537173420 337906604 /nfs/dbraw/zinc/90/66/04/337906604.db2.gz RXAJXOFWJWAKAQ-QGZVFWFLSA-N 0 3 320.502 4.166 20 0 DIADHN Cc1cc2cc(CN3CCC(n4ccnc4)CC3)oc2cc1C ZINC000092648759 337906882 /nfs/dbraw/zinc/90/68/82/337906882.db2.gz WNZIGQAJBJSOBP-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN Cc1ncccc1CN1CCC(c2c[nH]c3cc(F)ccc32)CC1 ZINC000092668509 337907172 /nfs/dbraw/zinc/90/71/72/337907172.db2.gz RUFPLAOECQEREP-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN C[C@H](N[C@H]1c2cccc(F)c2CC[C@H]1C)c1cccc(CO)c1 ZINC000122139279 337908588 /nfs/dbraw/zinc/90/85/88/337908588.db2.gz YRFHLQINRYWAFQ-LAPQFRIASA-N 0 3 313.416 4.292 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCn1ccnc1C ZINC000537292276 337928492 /nfs/dbraw/zinc/92/84/92/337928492.db2.gz HIEWXGKYUZCYEK-CQSZACIVSA-N 0 3 311.429 4.159 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCC[C@H](C)[S@](C)=O ZINC000537304717 337929875 /nfs/dbraw/zinc/92/98/75/337929875.db2.gz TWRDGRGFJGRWHA-OKHZJLECSA-N 0 3 321.486 4.111 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@H](C)c1cccc(F)c1F ZINC000537345592 337931824 /nfs/dbraw/zinc/93/18/24/337931824.db2.gz YCJBTSFCSQLFHK-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@@H](C)c1cccc(F)c1F ZINC000537345599 337931860 /nfs/dbraw/zinc/93/18/60/337931860.db2.gz YCJBTSFCSQLFHK-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](NCCOCc1ccc(Cl)cc1Cl)c1ccoc1 ZINC000537351941 337932243 /nfs/dbraw/zinc/93/22/43/337932243.db2.gz AVBJBTPPPBCISQ-NSHDSACASA-N 0 3 314.212 4.454 20 0 DIADHN Cc1cccc(NC(=O)C[C@H](C)NC2(c3ccccc3)CC2)c1C ZINC000537423108 337935622 /nfs/dbraw/zinc/93/56/22/337935622.db2.gz MVGCXHRVFJACRL-INIZCTEOSA-N 0 3 322.452 4.299 20 0 DIADHN COc1ccc(CN[C@@H]2CCO[C@@H](CC(C)C)C2)c(Cl)c1 ZINC000537431976 337936117 /nfs/dbraw/zinc/93/61/17/337936117.db2.gz OXKMOFGMILJYIE-ZBFHGGJFSA-N 0 3 311.853 4.032 20 0 DIADHN COCC1(N[C@@H](C)c2ccc(-c3ccccc3OC)cc2)CC1 ZINC000537449962 337936759 /nfs/dbraw/zinc/93/67/59/337936759.db2.gz MSRCCSYZPAWXBH-HNNXBMFYSA-N 0 3 311.425 4.192 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000537774248 337961957 /nfs/dbraw/zinc/96/19/57/337961957.db2.gz MZUVACDUVUKJBT-AUUYWEPGSA-N 0 3 317.404 4.009 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1F)c1nc2c(s1)CCCC2 ZINC000537884905 337964535 /nfs/dbraw/zinc/96/45/35/337964535.db2.gz QFCTXZJJCXAGRZ-QWHCGFSZSA-N 0 3 318.461 4.443 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1ccc(-n2cccn2)c(F)c1 ZINC000514734863 337969631 /nfs/dbraw/zinc/96/96/31/337969631.db2.gz VTLCRPVFCUIAKA-DXWDOBMASA-N 0 3 321.399 4.375 20 0 DIADHN CCn1nc(C)c(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)c1C ZINC000514909639 337974551 /nfs/dbraw/zinc/97/45/51/337974551.db2.gz APIYIOLMSCMADG-ICSRJNTNSA-N 0 3 311.473 4.494 20 0 DIADHN C[C@@H]1CSC[C@H]1N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000514910828 337974604 /nfs/dbraw/zinc/97/46/04/337974604.db2.gz CJCGMFDUWGHDID-XFJVYGCCSA-N 0 3 307.459 4.318 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(F)c(F)c2)C12CCCC2 ZINC000315383640 337985951 /nfs/dbraw/zinc/98/59/51/337985951.db2.gz NAZYNKBVFVWYEB-CSMYWGQOSA-N 0 3 309.400 4.353 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(F)c(F)c2)C12CCCC2 ZINC000315383638 337985961 /nfs/dbraw/zinc/98/59/61/337985961.db2.gz NAZYNKBVFVWYEB-AFAVFJNCSA-N 0 3 309.400 4.353 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1csc(C)n1)c1ccccc1 ZINC000315403340 337986409 /nfs/dbraw/zinc/98/64/09/337986409.db2.gz GPAVONHHRQDCDP-KDOFPFPSSA-N 0 3 317.502 4.185 20 0 DIADHN C(=C\c1ccccc1)\CN1CCN([C@H]2C=CCCCCC2)CC1 ZINC000515374621 337987603 /nfs/dbraw/zinc/98/76/03/337987603.db2.gz RUNXYLBMGCYFNQ-YRHVVJGNSA-N 0 3 310.485 4.206 20 0 DIADHN FC(F)Oc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000515680495 337995120 /nfs/dbraw/zinc/99/51/20/337995120.db2.gz MMAYULVFQXZGQM-CQSZACIVSA-N 0 3 324.758 4.114 20 0 DIADHN CC(C)(CNCc1ccccc1OC(F)F)c1cccs1 ZINC000083601892 337996610 /nfs/dbraw/zinc/99/66/10/337996610.db2.gz CNGLYUGJKQJJBY-UHFFFAOYSA-N 0 3 311.397 4.417 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CNCCOCC1CC1 ZINC000516078409 338004069 /nfs/dbraw/zinc/00/40/69/338004069.db2.gz IWKHZRVIJOKCSU-LCYFTJDESA-N 0 3 313.363 4.125 20 0 DIADHN CCSc1cccc(CN2CCC[C@H]2c2c(C)n[nH]c2C)c1 ZINC000516117328 338005728 /nfs/dbraw/zinc/00/57/28/338005728.db2.gz AYPVJTQZOIKAJZ-KRWDZBQOSA-N 0 3 315.486 4.476 20 0 DIADHN O[C@H](Cc1cccc(Cl)c1)CN1CCC[C@@H]1c1ccsc1 ZINC000516170310 338006969 /nfs/dbraw/zinc/00/69/69/338006969.db2.gz KDKPEEHXNVIYRK-IAGOWNOFSA-N 0 3 321.873 4.142 20 0 DIADHN CCc1ccc2nccc(N3CC[C@@H](c4cccnc4)C3)c2c1 ZINC000516460575 338013001 /nfs/dbraw/zinc/01/30/01/338013001.db2.gz RDBIVJYKFNEKHJ-QGZVFWFLSA-N 0 3 303.409 4.186 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000516495824 338013875 /nfs/dbraw/zinc/01/38/75/338013875.db2.gz QJGHKMQIGQRRFN-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN COc1ccc2oc(CN3CCC=C(c4ccco4)C3)cc2c1 ZINC000516512934 338014107 /nfs/dbraw/zinc/01/41/07/338014107.db2.gz JKSWCDZCUCHRGO-UHFFFAOYSA-N 0 3 309.365 4.324 20 0 DIADHN C[C@](CO)(NCc1cscc1C(F)(F)F)C1CCCCC1 ZINC000516519570 338014388 /nfs/dbraw/zinc/01/43/88/338014388.db2.gz VZBDZALWFBPENK-CQSZACIVSA-N 0 3 321.408 4.188 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NC/C=C/c1ccncc1 ZINC000426160314 338021759 /nfs/dbraw/zinc/02/17/59/338021759.db2.gz KEUCMQHGPVZFQY-MSEFBISNSA-N 0 3 316.832 4.114 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CCC[C@H]2Cc2ccccc2)o1 ZINC000426295085 338025043 /nfs/dbraw/zinc/02/50/43/338025043.db2.gz MCLWRKQMRPOVPA-ZWKOTPCHSA-N 0 3 312.457 4.437 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)C(C)(C)c2ccccc2)o1 ZINC000426297571 338025236 /nfs/dbraw/zinc/02/52/36/338025236.db2.gz BITABMICAPQESI-OAHLLOKOSA-N 0 3 300.446 4.391 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1csc(Cl)c1 ZINC000426308948 338026098 /nfs/dbraw/zinc/02/60/98/338026098.db2.gz YAIQLMWDOHEQDF-YPMHNXCESA-N 0 3 324.852 4.470 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@@H]1OCCc2sccc21 ZINC000426310646 338026187 /nfs/dbraw/zinc/02/61/87/338026187.db2.gz OQGQYYVUXDAMPR-RVPKQNPDSA-N 0 3 323.408 4.379 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1cccc(F)c1F ZINC000426315737 338026685 /nfs/dbraw/zinc/02/66/85/338026685.db2.gz XWQJHQDCKXPEQQ-CHWSQXEVSA-N 0 3 320.358 4.033 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cc3ccccc3n(C)c2=O)c1C ZINC000426323249 338026844 /nfs/dbraw/zinc/02/68/44/338026844.db2.gz MCUMWYJRNFTFHL-MRXNPFEDSA-N 0 3 320.436 4.006 20 0 DIADHN CCc1nc(C)c(CN[C@@H](C)C2CCC(C(F)(F)F)CC2)o1 ZINC000426324079 338027145 /nfs/dbraw/zinc/02/71/45/338027145.db2.gz PQIHXFLHWDHSAN-PKSQDBQZSA-N 0 3 318.383 4.392 20 0 DIADHN CC[C@H](O)CN[C@@H](c1ccccc1)c1cccc2ccccc21 ZINC000426327716 338027280 /nfs/dbraw/zinc/02/72/80/338027280.db2.gz VUBCOLNKCZHUST-RXVVDRJESA-N 0 3 305.421 4.290 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2cccc(C)c2)o1 ZINC000426330986 338027308 /nfs/dbraw/zinc/02/73/08/338027308.db2.gz PIELBUAJINDURK-OAHLLOKOSA-N 0 3 300.446 4.355 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2ccc(C)cc2)o1 ZINC000426330500 338027408 /nfs/dbraw/zinc/02/74/08/338027408.db2.gz MWQKPYVRPBZADT-OAHLLOKOSA-N 0 3 300.446 4.355 20 0 DIADHN CCC[C@@H](NCCc1nnc(C(C)C)s1)c1ccsc1 ZINC000426339219 338027830 /nfs/dbraw/zinc/02/78/30/338027830.db2.gz KDFWMUKHACIWBE-CYBMUJFWSA-N 0 3 309.504 4.397 20 0 DIADHN C[C@@H](NC1(Cc2ccccc2Br)CC1)c1ccco1 ZINC000426340609 338027893 /nfs/dbraw/zinc/02/78/93/338027893.db2.gz SVYWTDSTLSXKLW-GFCCVEGCSA-N 0 3 320.230 4.468 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@@H](OCC)C23CCCCC3)o1 ZINC000426339134 338027901 /nfs/dbraw/zinc/02/79/01/338027901.db2.gz JGBUESTVXHMXSC-DLBZAZTESA-N 0 3 320.477 4.153 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)s1 ZINC000426343666 338027967 /nfs/dbraw/zinc/02/79/67/338027967.db2.gz QTURZCYXXFHIIV-HWWQOWPSSA-N 0 3 307.484 4.471 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@@H]1OCCc2sccc21 ZINC000426346640 338028160 /nfs/dbraw/zinc/02/81/60/338028160.db2.gz PEFQWQDNZOVZBR-JCGVRSQUSA-N 0 3 316.470 4.059 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCS[C@@H](C)CC3)s2)o1 ZINC000426375484 338029467 /nfs/dbraw/zinc/02/94/67/338029467.db2.gz DJDUISWEODWBAA-LBPRGKRZSA-N 0 3 308.472 4.039 20 0 DIADHN Cc1ccc(CN(Cc2ccc(F)cc2)C2CCOCC2)s1 ZINC000426375970 338029670 /nfs/dbraw/zinc/02/96/70/338029670.db2.gz HROVGUROGPWZTF-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN COc1ccc(CN2CCS[C@@H](C)CC2)cc1OCC(C)C ZINC000426384497 338029853 /nfs/dbraw/zinc/02/98/53/338029853.db2.gz HDKVTGPSQQXCCA-HNNXBMFYSA-N 0 3 323.502 4.057 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccccc1)Cc1cccc2[nH]ccc21 ZINC000426391335 338030107 /nfs/dbraw/zinc/03/01/07/338030107.db2.gz PYPSBFDMKIZWRC-UHFFFAOYSA-N 0 3 316.408 4.190 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1c[nH]nc1-c1cccnc1 ZINC000426392886 338030194 /nfs/dbraw/zinc/03/01/94/338030194.db2.gz BGGHHLSIRDNBIA-WJDWOHSUSA-N 0 3 318.424 4.007 20 0 DIADHN Cc1nc2ccc(CN3CCS[C@H]4CCCC[C@@H]43)cc2s1 ZINC000426403069 338030785 /nfs/dbraw/zinc/03/07/85/338030785.db2.gz VDMNYUJBPSLCRA-HOTGVXAUSA-N 0 3 318.511 4.465 20 0 DIADHN Cc1nc2ccc(CN3CCS[C@H]4CCCC[C@H]43)cc2s1 ZINC000426403068 338030855 /nfs/dbraw/zinc/03/08/55/338030855.db2.gz VDMNYUJBPSLCRA-CVEARBPZSA-N 0 3 318.511 4.465 20 0 DIADHN Clc1cccc(-c2cnc(CN3CC[C@@H]4CCC[C@H]43)o2)c1 ZINC000426464066 338032731 /nfs/dbraw/zinc/03/27/31/338032731.db2.gz ZVFFRKBGVPSDID-SWLSCSKDSA-N 0 3 302.805 4.369 20 0 DIADHN Clc1ccc(-c2cc(CN3CC[C@H]4CCC[C@@H]43)on2)cc1 ZINC000426466517 338032971 /nfs/dbraw/zinc/03/29/71/338032971.db2.gz GNGDCUFAMYWDSY-DYVFJYSZSA-N 0 3 302.805 4.369 20 0 DIADHN Clc1ccc(-c2nc(CN3CC[C@H]4CCC[C@@H]43)co2)cc1 ZINC000426491129 338033598 /nfs/dbraw/zinc/03/35/98/338033598.db2.gz FJYRXVSYPGUSMZ-WBMJQRKESA-N 0 3 302.805 4.369 20 0 DIADHN COc1c(O)cccc1CN1C[C@@H](c2ccc(C)cc2)C[C@@H]1C ZINC000426541928 338034459 /nfs/dbraw/zinc/03/44/59/338034459.db2.gz SOBFVAGNMCIYKP-YJBOKZPZSA-N 0 3 311.425 4.087 20 0 DIADHN COc1c(O)cccc1CN1C[C@H](c2ccc(C)cc2)C[C@@H]1C ZINC000426541924 338034474 /nfs/dbraw/zinc/03/44/74/338034474.db2.gz SOBFVAGNMCIYKP-MAUKXSAKSA-N 0 3 311.425 4.087 20 0 DIADHN COC[C@H](N[C@H]1CS[C@H](C(C)C)C1)c1ccc(Cl)cc1 ZINC000426557024 338034577 /nfs/dbraw/zinc/03/45/77/338034577.db2.gz DFGUYVXDZKFPBP-PMPSAXMXSA-N 0 3 313.894 4.147 20 0 DIADHN CCCN(Cc1oc(C(C)C)nc1C)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000428218651 338042655 /nfs/dbraw/zinc/04/26/55/338042655.db2.gz WJWHQORNHYCXCS-OIISXLGYSA-N 0 3 320.477 4.132 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C(C)C)[nH]1 ZINC000428227942 338043089 /nfs/dbraw/zinc/04/30/89/338043089.db2.gz YLTHBTZEDHWNTQ-DLBZAZTESA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCC[N@@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227942 338043090 /nfs/dbraw/zinc/04/30/90/338043090.db2.gz YLTHBTZEDHWNTQ-DLBZAZTESA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCC[N@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227942 338043091 /nfs/dbraw/zinc/04/30/91/338043091.db2.gz YLTHBTZEDHWNTQ-DLBZAZTESA-N 0 3 312.461 4.086 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@H](c2ccc(F)cc2)C1 ZINC000428229397 338043269 /nfs/dbraw/zinc/04/32/69/338043269.db2.gz FEXVXTDCIJDYRM-HNNXBMFYSA-N 0 3 302.393 4.235 20 0 DIADHN Cc1nc(C(C)C)oc1CN(CCc1ccc(F)cc1)C1CC1 ZINC000428246922 338044189 /nfs/dbraw/zinc/04/41/89/338044189.db2.gz ZXGZBGLUOYKIGX-UHFFFAOYSA-N 0 3 316.420 4.453 20 0 DIADHN Cc1cc(CN2CCCCC[C@H]2/C=C/c2ccccc2)ncn1 ZINC000428252558 338044479 /nfs/dbraw/zinc/04/44/79/338044479.db2.gz JEGSJTQZVADGCL-SGWGQVFISA-N 0 3 307.441 4.243 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@H](C)Cc2ccccc2OC)o1 ZINC000428252066 338044496 /nfs/dbraw/zinc/04/44/96/338044496.db2.gz DQHXVRXUFKKKLL-CQSZACIVSA-N 0 3 316.445 4.007 20 0 DIADHN CCc1nc(C)c(CN2CC[C@H](CSc3ccccc3)C2)o1 ZINC000428252311 338044606 /nfs/dbraw/zinc/04/46/06/338044606.db2.gz GWSQEFCFHLRVJW-HNNXBMFYSA-N 0 3 316.470 4.160 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@@H](C)Cc2ccccc2OC)o1 ZINC000428252065 338044653 /nfs/dbraw/zinc/04/46/53/338044653.db2.gz DQHXVRXUFKKKLL-AWEZNQCLSA-N 0 3 316.445 4.007 20 0 DIADHN Cc1cnc(CCN(C)C[C@@H](OC(C)C)c2ccccc2)s1 ZINC000428295795 338046081 /nfs/dbraw/zinc/04/60/81/338046081.db2.gz TVLCYHOGMMGXEI-QGZVFWFLSA-N 0 3 318.486 4.092 20 0 DIADHN CCc1nc(C)c(CN[C@@H](CC)C(C)(C)c2ccccc2)o1 ZINC000428351409 338048435 /nfs/dbraw/zinc/04/84/35/338048435.db2.gz IDEHRTLTECTBOR-KRWDZBQOSA-N 0 3 300.446 4.391 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1N(C)Cc1oc(C(C)C)nc1C ZINC000428383247 338049663 /nfs/dbraw/zinc/04/96/63/338049663.db2.gz UTOFMQDUNBGDLK-HOTGVXAUSA-N 0 3 308.466 4.132 20 0 DIADHN Cc1cnc([C@@H](C)N(C)CCc2cccc3ccccc32)cn1 ZINC000428427030 338051072 /nfs/dbraw/zinc/05/10/72/338051072.db2.gz ZJVCFMAQFLIIKM-MRXNPFEDSA-N 0 3 305.425 4.174 20 0 DIADHN CC(C)(CO)[C@@H](NC/C=C/c1ccc(F)cc1)c1ccccc1 ZINC000428436789 338051347 /nfs/dbraw/zinc/05/13/47/338051347.db2.gz GSNAOOBCQLAIOC-GHOSXJJBSA-N 0 3 313.416 4.188 20 0 DIADHN CC(C)c1ccc(CCNC2(C(=O)Nc3ccccc3)CC2)cc1 ZINC000428440046 338051445 /nfs/dbraw/zinc/05/14/45/338051445.db2.gz YWYXBZPKDGGFPX-UHFFFAOYSA-N 0 3 322.452 4.113 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccc3ccccc32)ncn1 ZINC000428449419 338052160 /nfs/dbraw/zinc/05/21/60/338052160.db2.gz VTRKIAURYLKFFC-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc(CCC2CCN([C@@H](C)c3cnc(C)cn3)CC2)cc1 ZINC000428489936 338054865 /nfs/dbraw/zinc/05/48/65/338054865.db2.gz XJDFRYZGFQDFBR-SFHVURJKSA-N 0 3 323.484 4.499 20 0 DIADHN Fc1cc(CN[C@H]2CC23CCCC3)ccc1OCC(F)(F)F ZINC000428534490 338055622 /nfs/dbraw/zinc/05/56/22/338055622.db2.gz INLVOFNCYXPCHN-AWEZNQCLSA-N 0 3 317.326 4.189 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN[C@H]2CC23CCCC3)c1 ZINC000428544015 338055835 /nfs/dbraw/zinc/05/58/35/338055835.db2.gz SUCAWGLZLARCFV-IBGZPJMESA-N 0 3 308.425 4.083 20 0 DIADHN Clc1ccc(C2CCN(C[C@@H]3CCOC3)CC2)c(Cl)c1 ZINC000428705305 338058780 /nfs/dbraw/zinc/05/87/80/338058780.db2.gz CEGIGJCWJOPJRO-LBPRGKRZSA-N 0 3 314.256 4.209 20 0 DIADHN CCOC1(C)CCN(CCOc2ccc3ccccc3c2)CC1 ZINC000428718979 338059517 /nfs/dbraw/zinc/05/95/17/338059517.db2.gz IQEPYPKMJIYHSY-UHFFFAOYSA-N 0 3 313.441 4.110 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3C[C@@H]3Cc3ccccc3)sc2c1 ZINC000428850228 338062144 /nfs/dbraw/zinc/06/21/44/338062144.db2.gz NEMFHTPQGHLJRI-XJKSGUPXSA-N 0 3 312.413 4.156 20 0 DIADHN C[C@@H](Cc1cccs1)NCc1nc2ccc(F)cc2s1 ZINC000428850965 338062201 /nfs/dbraw/zinc/06/22/01/338062201.db2.gz PSYUKSZVBGJXPB-JTQLQIEISA-N 0 3 306.431 4.218 20 0 DIADHN Fc1ccc2nc(CNCCc3ccccc3Cl)sc2c1 ZINC000428852060 338062297 /nfs/dbraw/zinc/06/22/97/338062297.db2.gz UFDDBQATNRJULB-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCCC(F)(F)C3)sc2c1 ZINC000428855476 338062499 /nfs/dbraw/zinc/06/24/99/338062499.db2.gz GIHVKWWKPBZKTH-SNVBAGLBSA-N 0 3 300.349 4.103 20 0 DIADHN CC1(NCc2nc3ccc(F)cc3s2)Cc2ccccc2C1 ZINC000428859162 338062980 /nfs/dbraw/zinc/06/29/80/338062980.db2.gz YQKHEBBNXCBGEI-UHFFFAOYSA-N 0 3 312.413 4.083 20 0 DIADHN Cc1csc([C@H](C)CNCc2nc3ccc(F)cc3s2)n1 ZINC000428863245 338063119 /nfs/dbraw/zinc/06/31/19/338063119.db2.gz XHBOTWZIJMVKSI-SECBINFHSA-N 0 3 321.446 4.094 20 0 DIADHN COC(=O)c1ccc(CN(C(C)C)[C@@H](C)c2ccccc2)o1 ZINC000428863449 338063129 /nfs/dbraw/zinc/06/31/29/338063129.db2.gz QKIHJHQLPFZPLU-AWEZNQCLSA-N 0 3 301.386 4.038 20 0 DIADHN Cc1cnc([C@H](C)CNCc2nc3ccc(F)cc3s2)s1 ZINC000428867213 338063362 /nfs/dbraw/zinc/06/33/62/338063362.db2.gz LZYCHEFWTJHJAB-SECBINFHSA-N 0 3 321.446 4.094 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)(F)F)s1)Oc1ccccc1 ZINC000428880194 338064256 /nfs/dbraw/zinc/06/42/56/338064256.db2.gz IXPYCIDTAFUWOI-NSHDSACASA-N 0 3 315.360 4.324 20 0 DIADHN Cc1ccsc1CCNCc1nc2ccc(F)cc2s1 ZINC000428879019 338064364 /nfs/dbraw/zinc/06/43/64/338064364.db2.gz BQPWEDDKYJJQBO-UHFFFAOYSA-N 0 3 306.431 4.138 20 0 DIADHN COCc1cccc(CNCc2ccc(C(F)(F)F)s2)c1 ZINC000428889293 338064642 /nfs/dbraw/zinc/06/46/42/338064642.db2.gz QQECYJLOXCTUCM-UHFFFAOYSA-N 0 3 315.360 4.203 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@@](C)(c3ccccc3)CC2)cn1 ZINC000428953800 338066498 /nfs/dbraw/zinc/06/64/98/338066498.db2.gz KNPIDYBMJQPHHI-YLJYHZDGSA-N 0 3 309.457 4.290 20 0 DIADHN O=C(CN(C1CCC1)C1CCC1)Nc1cccc2ccccc21 ZINC000429119321 338071073 /nfs/dbraw/zinc/07/10/73/338071073.db2.gz VRGPHHISZJAIJD-UHFFFAOYSA-N 0 3 308.425 4.185 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C2CCC2)C2CCC2)c1 ZINC000429121521 338071313 /nfs/dbraw/zinc/07/13/13/338071313.db2.gz GVKSTRTXZOGHFU-UHFFFAOYSA-N 0 3 307.821 4.034 20 0 DIADHN CSc1ccccc1CN[C@@H](C)[C@H]1OCCc2sccc21 ZINC000429136454 338071529 /nfs/dbraw/zinc/07/15/29/338071529.db2.gz WXEJOWHFTRTEPK-YVEFUNNKSA-N 0 3 319.495 4.262 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C1CCC1)C1CCC1 ZINC000429136507 338071642 /nfs/dbraw/zinc/07/16/42/338071642.db2.gz XIFJFGDEYOPGCP-UHFFFAOYSA-N 0 3 301.409 4.102 20 0 DIADHN FC(F)(F)CCN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429156714 338072255 /nfs/dbraw/zinc/07/22/55/338072255.db2.gz LYNWWOJWXQYAIR-LBPRGKRZSA-N 0 3 309.784 4.459 20 0 DIADHN Cc1ccccc1CN1CCC(c2ncccc2Cl)CC1 ZINC000429212004 338073266 /nfs/dbraw/zinc/07/32/66/338073266.db2.gz FUQLOUYOGXOIRA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CSC[C@H]1CCCN([C@@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000429277517 338075757 /nfs/dbraw/zinc/07/57/57/338075757.db2.gz VVGYDHZXFLHSAT-GJZGRUSLSA-N 0 3 316.470 4.478 20 0 DIADHN Cc1ccc(C)c(CN(C)Cc2cnc3c(cnn3C(C)C)c2)c1 ZINC000429285469 338076275 /nfs/dbraw/zinc/07/62/75/338076275.db2.gz NYSZQEHGOIUSDY-UHFFFAOYSA-N 0 3 322.456 4.261 20 0 DIADHN COC1(C[C@H](C)N[C@@H]2CCOc3c(Cl)cccc32)CCC1 ZINC000429422752 338080383 /nfs/dbraw/zinc/08/03/83/338080383.db2.gz AOUXQLVBLPSREB-SWLSCSKDSA-N 0 3 309.837 4.101 20 0 DIADHN COC1(C[C@@H](C)N[C@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000429429871 338080722 /nfs/dbraw/zinc/08/07/22/338080722.db2.gz LJYQTZCFVXQFGZ-YLJYHZDGSA-N 0 3 324.468 4.417 20 0 DIADHN CC[C@@H](NC1CC(F)(F)C1)c1cc(F)cc(Br)c1 ZINC000429450821 338081570 /nfs/dbraw/zinc/08/15/70/338081570.db2.gz KOGXKPOEMWKEER-GFCCVEGCSA-N 0 3 322.168 4.427 20 0 DIADHN Cc1c([C@H](C)NC2CC(F)(F)C2)cnn1-c1cc(C)ccc1C ZINC000429455577 338081605 /nfs/dbraw/zinc/08/16/05/338081605.db2.gz RTPNMDZMXMECLX-ZDUSSCGKSA-N 0 3 319.399 4.246 20 0 DIADHN CC[C@H](NC1CC(F)(F)C1)c1cccc(Br)c1 ZINC000429464766 338081884 /nfs/dbraw/zinc/08/18/84/338081884.db2.gz KBGXRPDMROCNPU-LBPRGKRZSA-N 0 3 304.178 4.288 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1cc2c(ccc3ccccc23)o1 ZINC000429462241 338081921 /nfs/dbraw/zinc/08/19/21/338081921.db2.gz PUWHQOJMOUOGMM-AAEUAGOBSA-N 0 3 319.351 4.253 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1ccc(-c2ccccc2)s1 ZINC000429461904 338081980 /nfs/dbraw/zinc/08/19/80/338081980.db2.gz NLVHUEOVKQIALT-DGCLKSJQSA-N 0 3 311.397 4.082 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000429518283 338082809 /nfs/dbraw/zinc/08/28/09/338082809.db2.gz LOGMRCJDGKHWDK-RDJZCZTQSA-N 0 3 320.820 4.042 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000429518282 338082817 /nfs/dbraw/zinc/08/28/17/338082817.db2.gz LOGMRCJDGKHWDK-NVXWUHKLSA-N 0 3 320.820 4.042 20 0 DIADHN C[C@H]1CC[C@H](N(C)CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000429580577 338085012 /nfs/dbraw/zinc/08/50/12/338085012.db2.gz PQDPLPFUMVBABI-WMZOPIPTSA-N 0 3 322.452 4.413 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)[C@H]3CC[C@@H](C)C3)co2)cc1 ZINC000429598640 338085668 /nfs/dbraw/zinc/08/56/68/338085668.db2.gz PSQSDAWJBVTDJF-PBHICJAKSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H]1CC[C@H](N(C)Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000429597184 338085773 /nfs/dbraw/zinc/08/57/73/338085773.db2.gz JQCGKCWISHYRTM-YPMHNXCESA-N 0 3 306.356 4.240 20 0 DIADHN O=C1C[C@H]2CC[C@@H](C1)N2CCCSc1ccccc1Cl ZINC000429673994 338087014 /nfs/dbraw/zinc/08/70/14/338087014.db2.gz JPCIOJWIIYQHIK-BETUJISGSA-N 0 3 309.862 4.018 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000429772776 338089560 /nfs/dbraw/zinc/08/95/60/338089560.db2.gz XZPZMNGELJXDFT-ABAIWWIYSA-N 0 3 306.356 4.288 20 0 DIADHN Clc1ccc(OCC2CC2)c(CNC2CCSCC2)c1 ZINC000430022377 338093675 /nfs/dbraw/zinc/09/36/75/338093675.db2.gz BMYMYDVCYKMRMM-UHFFFAOYSA-N 0 3 311.878 4.114 20 0 DIADHN CCCCOc1ccc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)cc1 ZINC000430088848 338095685 /nfs/dbraw/zinc/09/56/85/338095685.db2.gz XDTNVKRWZSJSKL-DLBZAZTESA-N 0 3 309.400 4.343 20 0 DIADHN Cc1cc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)cc2cccnc12 ZINC000430093877 338096161 /nfs/dbraw/zinc/09/61/61/338096161.db2.gz HNDCLBGXRFXTGM-DOTOQJQBSA-N 0 3 302.368 4.020 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)cc2cccnc12 ZINC000430093880 338096183 /nfs/dbraw/zinc/09/61/83/338096183.db2.gz HNDCLBGXRFXTGM-WBVHZDCISA-N 0 3 302.368 4.020 20 0 DIADHN COc1ccc(C[C@@H](C)CN(C)Cc2occc2C)cc1F ZINC000430122983 338097938 /nfs/dbraw/zinc/09/79/38/338097938.db2.gz JFLDGEXLELJIRV-CYBMUJFWSA-N 0 3 305.393 4.046 20 0 DIADHN Cc1ccc2[nH]c(CN3CCOC[C@H](C4CCC4)C3)cc2c1C ZINC000430139125 338098784 /nfs/dbraw/zinc/09/87/84/338098784.db2.gz PABZNBFSLNQQPL-QGZVFWFLSA-N 0 3 312.457 4.033 20 0 DIADHN c1c(CNCCCCOc2ccccc2)noc1-c1ccccc1 ZINC000430151959 338099325 /nfs/dbraw/zinc/09/93/25/338099325.db2.gz BPFPGZJZXUQJTI-UHFFFAOYSA-N 0 3 322.408 4.290 20 0 DIADHN Cn1cccc1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000430195249 338100846 /nfs/dbraw/zinc/10/08/46/338100846.db2.gz NVKVKESFYHIQGA-INIZCTEOSA-N 0 3 306.862 4.045 20 0 DIADHN CCOc1cc(CN2CC[C@@H]2CC)ccc1OCC(F)(F)F ZINC000430198622 338101073 /nfs/dbraw/zinc/10/10/73/338101073.db2.gz ZBQLRAYVAYQXTK-ZDUSSCGKSA-N 0 3 317.351 4.011 20 0 DIADHN Cc1ccccc1CN(CCc1ccccn1)Cc1cccnc1 ZINC000430308958 338104874 /nfs/dbraw/zinc/10/48/74/338104874.db2.gz USKWJHUOEAREOE-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN CC(C(=O)N1c2ccccc2S[C@@H](C)[C@H]1C)C(F)(F)F ZINC000430656867 338110537 /nfs/dbraw/zinc/11/05/37/338110537.db2.gz UERGJFOUIQEVEE-AEJSXWLSSA-N 0 3 303.349 4.101 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000430738246 338112787 /nfs/dbraw/zinc/11/27/87/338112787.db2.gz AIRZHAQEAYNTCO-GUYCJALGSA-N 0 3 320.358 4.335 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccccc1Br ZINC000148900195 338114087 /nfs/dbraw/zinc/11/40/87/338114087.db2.gz BJOUSBXMARXFMZ-TUKIKUTGSA-N 0 3 312.251 4.057 20 0 DIADHN CC1(C)CCC[C@H](NCc2csc(-c3ccccn3)n2)C1 ZINC000149588517 338117422 /nfs/dbraw/zinc/11/74/22/338117422.db2.gz VTSRLLJWLPKPBF-ZDUSSCGKSA-N 0 3 301.459 4.264 20 0 DIADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1nc(-c2cccs2)no1 ZINC000431119139 338123125 /nfs/dbraw/zinc/12/31/25/338123125.db2.gz IFDZQMCODYXWSQ-IKWCTNDRSA-N 0 3 303.431 4.027 20 0 DIADHN COC(=O)c1cc([C@H](C)N[C@@H](C)[C@@H](C)c2ccccc2)oc1C ZINC000431120910 338123226 /nfs/dbraw/zinc/12/32/26/338123226.db2.gz PFRPXRBIZCBGIJ-RDBSUJKOSA-N 0 3 315.413 4.217 20 0 DIADHN CSc1cccc(NC(=O)[C@H]2CCCCN2C2CCCC2)c1 ZINC000431557178 338133697 /nfs/dbraw/zinc/13/36/97/338133697.db2.gz FAYAGIMXWTZMHL-QGZVFWFLSA-N 0 3 318.486 4.144 20 0 DIADHN CCC[C@H](CCO)CNCc1ccc(F)c(Cl)c1Cl ZINC000432228952 338149209 /nfs/dbraw/zinc/14/92/09/338149209.db2.gz NWFGGYUFKWOMMP-SNVBAGLBSA-N 0 3 308.224 4.021 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432255146 338151478 /nfs/dbraw/zinc/15/14/78/338151478.db2.gz AIQQIZFKEXGPTA-NXEZZACHSA-N 0 3 306.208 4.037 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2csc(Cl)c2Cl)CCO1 ZINC000432258229 338151593 /nfs/dbraw/zinc/15/15/93/338151593.db2.gz YNYCHADXCJVBCT-MNOVXSKESA-N 0 3 308.274 4.348 20 0 DIADHN Clc1scc(CN[C@@H]2CCC[C@H]3OCC[C@@H]32)c1Cl ZINC000432257098 338151619 /nfs/dbraw/zinc/15/16/19/338151619.db2.gz VKGRORFQBYIEIZ-GMTAPVOTSA-N 0 3 306.258 4.102 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432258191 338151631 /nfs/dbraw/zinc/15/16/31/338151631.db2.gz YHZJIRPTQDTELQ-GHMZBOCLSA-N 0 3 320.235 4.427 20 0 DIADHN COCCC1(CNCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000432257150 338151633 /nfs/dbraw/zinc/15/16/33/338151633.db2.gz VRTUWLMIBLQWAJ-UHFFFAOYSA-N 0 3 306.208 4.039 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432258192 338151641 /nfs/dbraw/zinc/15/16/41/338151641.db2.gz YHZJIRPTQDTELQ-MNOVXSKESA-N 0 3 320.235 4.427 20 0 DIADHN C[C@@H]1COCC[C@@H]1N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000432411301 338155268 /nfs/dbraw/zinc/15/52/68/338155268.db2.gz XDMQRKXVPKHIOY-MIMYLULJSA-N 0 3 320.285 4.447 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]([C@H]3CCOC3)C2)s1 ZINC000432722319 338164286 /nfs/dbraw/zinc/16/42/86/338164286.db2.gz KPCQPCHWFLVGSG-RYUDHWBXSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc(C(F)(F)F)s2)s1 ZINC000432728618 338164355 /nfs/dbraw/zinc/16/43/55/338164355.db2.gz NKPIOBZPBBWJBJ-UHFFFAOYSA-N 0 3 320.405 4.206 20 0 DIADHN CN(CCC1CCOCC1)Cc1ccc(C(F)(F)F)s1 ZINC000432750954 338166322 /nfs/dbraw/zinc/16/63/22/338166322.db2.gz QCDJVXMPCDHAQI-UHFFFAOYSA-N 0 3 307.381 4.015 20 0 DIADHN COC(=O)c1cc([C@@H](C)N(C)CCCc2ccccc2)oc1C ZINC000432780199 338167471 /nfs/dbraw/zinc/16/74/71/338167471.db2.gz GIXRZCYKWLNVPM-CQSZACIVSA-N 0 3 315.413 4.000 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCC1CCOCC1 ZINC000432784593 338167666 /nfs/dbraw/zinc/16/76/66/338167666.db2.gz PHLQNPBIANDCLS-AWEZNQCLSA-N 0 3 310.507 4.384 20 0 DIADHN Fc1ccc(C=C2CCN(CCC3CCOCC3)CC2)cc1F ZINC000432794418 338168126 /nfs/dbraw/zinc/16/81/26/338168126.db2.gz TUESDWAXBDJIDS-UHFFFAOYSA-N 0 3 321.411 4.261 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCC1(C)CCC1)c1ccccc1 ZINC000432808443 338168684 /nfs/dbraw/zinc/16/86/84/338168684.db2.gz IGFXXHKPIMAZMU-LJQANCHMSA-N 0 3 319.452 4.443 20 0 DIADHN CCc1ccc([C@@H](NCc2n[nH]c(C(C)(C)C)n2)C(C)C)cc1 ZINC000432827418 338169525 /nfs/dbraw/zinc/16/95/25/338169525.db2.gz CHFMHECHHWTSQW-KRWDZBQOSA-N 0 3 314.477 4.152 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C[C@@H](O)C(C)C ZINC000432837305 338170205 /nfs/dbraw/zinc/17/02/05/338170205.db2.gz ZPOPTRGCOYCJNE-GDBMZVCRSA-N 0 3 318.486 4.128 20 0 DIADHN Clc1cccc(Cl)c1C1(NCCC2CCOCC2)CC1 ZINC000432839496 338170352 /nfs/dbraw/zinc/17/03/52/338170352.db2.gz MCJHJTBMZKBZHW-UHFFFAOYSA-N 0 3 314.256 4.389 20 0 DIADHN Cc1cc(C)c(NC(=O)C(C)C(F)(F)F)c(Br)c1 ZINC000432882071 338171729 /nfs/dbraw/zinc/17/17/29/338171729.db2.gz VXWTWAGOJIAVEB-MRVPVSSYSA-N 0 3 324.140 4.203 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN(CCC2CCOCC2)C2CC2)o1 ZINC000432939440 338174418 /nfs/dbraw/zinc/17/44/18/338174418.db2.gz OFPDJQDYDNNXER-KBXCAEBGSA-N 0 3 303.446 4.184 20 0 DIADHN COC(=O)c1cc([C@@H](C)N(C)C[C@H](C)c2ccccc2)oc1C ZINC000432944855 338174673 /nfs/dbraw/zinc/17/46/73/338174673.db2.gz VLZDOYGBGFDRAR-UONOGXRCSA-N 0 3 315.413 4.171 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN[C@@H]1CC2CCC1CC2 ZINC000432998905 338175821 /nfs/dbraw/zinc/17/58/21/338175821.db2.gz RPGLXNGHTPPQAE-SSDMNJCBSA-N 0 3 302.443 4.380 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@H](c2ccccc2)c2ccc(C)cc2)C1 ZINC000433014274 338176373 /nfs/dbraw/zinc/17/63/73/338176373.db2.gz RIARUTNNZDAKNC-XUVXKRRUSA-N 0 3 323.436 4.016 20 0 DIADHN COc1ccc(CN2CC3(CCC3)C[C@H]2C)cc1OC(F)F ZINC000433024576 338176870 /nfs/dbraw/zinc/17/68/70/338176870.db2.gz DOHJKKHGGULNNI-GFCCVEGCSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC4(CCC4)C[C@H]3C)s2)o1 ZINC000433025465 338177012 /nfs/dbraw/zinc/17/70/12/338177012.db2.gz KZQJBCHLQJKPTQ-GFCCVEGCSA-N 0 3 302.443 4.476 20 0 DIADHN COc1cccc(CN2CC3(CCC3)C[C@@H]2C)c1OC(F)F ZINC000433025808 338177099 /nfs/dbraw/zinc/17/70/99/338177099.db2.gz MVWQVMYULWDUJJ-LBPRGKRZSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1cnc(C2CCN(C/C=C/c3ccc(F)cc3)CC2)s1 ZINC000433028617 338177146 /nfs/dbraw/zinc/17/71/46/338177146.db2.gz CGJICZHEPZTXCI-NSCUHMNNSA-N 0 3 316.445 4.483 20 0 DIADHN Cc1cnc(C2CCN(Cc3cc(C)ccc3C)CC2)s1 ZINC000433029079 338177218 /nfs/dbraw/zinc/17/72/18/338177218.db2.gz AXPUZWRNCHWAMB-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN Cc1ccc(CSCCN(C)Cc2ccccc2)c(C)n1 ZINC000433034830 338177493 /nfs/dbraw/zinc/17/74/93/338177493.db2.gz JDGUQTYENNYDOL-UHFFFAOYSA-N 0 3 300.471 4.064 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3nc4cccnc4s3)C2)cc1 ZINC000433050228 338178544 /nfs/dbraw/zinc/17/85/44/338178544.db2.gz AQSQFFTYLMBZDA-MRXNPFEDSA-N 0 3 323.465 4.243 20 0 DIADHN C[C@@H](O)CCCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000433073871 338178818 /nfs/dbraw/zinc/17/88/18/338178818.db2.gz UHJFCNJHWDZGGP-SCZZXKLOSA-N 0 3 308.274 4.183 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000433057171 338179169 /nfs/dbraw/zinc/17/91/69/338179169.db2.gz GHYOIDJKRFBODA-QGZVFWFLSA-N 0 3 306.409 4.380 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCC1(CO)CC2(CCC2)C1 ZINC000433059299 338179384 /nfs/dbraw/zinc/17/93/84/338179384.db2.gz SUAVXFPBKPTYLC-OAHLLOKOSA-N 0 3 313.441 4.335 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@@H](c4ccccc4)C3)oc2c1 ZINC000433063560 338179737 /nfs/dbraw/zinc/17/97/37/338179737.db2.gz SZCOQCXJWXOHJR-OAHLLOKOSA-N 0 3 310.372 4.347 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433089958 338181216 /nfs/dbraw/zinc/18/12/16/338181216.db2.gz GWEBRSYXMRVABF-ROUUACIJSA-N 0 3 323.484 4.211 20 0 DIADHN COC1(C[C@@H](C)NCc2cc(F)c(Cl)cc2F)CCC1 ZINC000433095963 338181647 /nfs/dbraw/zinc/18/16/47/338181647.db2.gz BZYNSMIBCJJFSA-SNVBAGLBSA-N 0 3 303.780 4.056 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H]1CCCCN1C1CCCC1 ZINC000433121949 338182404 /nfs/dbraw/zinc/18/24/04/338182404.db2.gz TYQMNVQXMSPOIC-QGZVFWFLSA-N 0 3 320.864 4.384 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3coc4ccccc34)cccc21 ZINC000433174589 338183994 /nfs/dbraw/zinc/18/39/94/338183994.db2.gz LIWKWIDWQXHMFE-GOSISDBHSA-N 0 3 322.408 4.430 20 0 DIADHN c1c2ccccc2oc1CNC[C@H]1CCCN(c2ccccc2)C1 ZINC000433172953 338184069 /nfs/dbraw/zinc/18/40/69/338184069.db2.gz GUYQOCLZLFPUIG-QGZVFWFLSA-N 0 3 320.436 4.439 20 0 DIADHN Cc1ccc(C)c(CNc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC000433172317 338184107 /nfs/dbraw/zinc/18/41/07/338184107.db2.gz DUSMBDBBPKOITK-IBGZPJMESA-N 0 3 310.441 4.301 20 0 DIADHN Cc1ccc(C)c(CNc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC000433172319 338184113 /nfs/dbraw/zinc/18/41/13/338184113.db2.gz DUSMBDBBPKOITK-LJQANCHMSA-N 0 3 310.441 4.301 20 0 DIADHN OCC1(CNCc2ccc(Cl)c(Cl)c2)CC2(CCC2)C1 ZINC000433185386 338184674 /nfs/dbraw/zinc/18/46/74/338184674.db2.gz DHRXYZYOVJJNBY-UHFFFAOYSA-N 0 3 314.256 4.026 20 0 DIADHN OCC1(CNCc2cccc(Cl)c2Cl)CC2(CCC2)C1 ZINC000433186302 338184772 /nfs/dbraw/zinc/18/47/72/338184772.db2.gz ISQSRLFQDJIFTK-UHFFFAOYSA-N 0 3 314.256 4.026 20 0 DIADHN CSC1(CNCc2cc(Cl)cc(C(F)(F)F)c2)CC1 ZINC000433187742 338184795 /nfs/dbraw/zinc/18/47/95/338184795.db2.gz KRQSRRKQABKICB-UHFFFAOYSA-N 0 3 309.784 4.344 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3cccc4[nH]ccc43)cccc21 ZINC000433207508 338185911 /nfs/dbraw/zinc/18/59/11/338185911.db2.gz KQSNTYGBXONKGR-IBGZPJMESA-N 0 3 321.424 4.165 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC[C@H]3C[C@H]3c3ccccc3)cccc21 ZINC000433209659 338186065 /nfs/dbraw/zinc/18/60/65/338186065.db2.gz SJXXLINXXNYFOP-IMFGXOCKSA-N 0 3 322.452 4.287 20 0 DIADHN CN(C)[C@@H](CC(=O)NC(C)(C)CC(C)(C)C)c1ccccc1 ZINC000433231545 338186980 /nfs/dbraw/zinc/18/69/80/338186980.db2.gz KKSYFNDUHUAMPL-INIZCTEOSA-N 0 3 304.478 4.010 20 0 DIADHN Cc1cnc(C2CCN(CCO[C@@H]3CCCC[C@H]3C)CC2)s1 ZINC000433275997 338188967 /nfs/dbraw/zinc/18/89/67/338188967.db2.gz RYLAVGJFBCYFKK-RHSMWYFYSA-N 0 3 322.518 4.226 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)c(C)n1 ZINC000433297989 338190214 /nfs/dbraw/zinc/19/02/14/338190214.db2.gz NADOCBWLVPZRTD-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN Clc1cccc([C@H]2CCN(CCCO[C@H]3CCCCO3)C2)c1 ZINC000433301038 338190513 /nfs/dbraw/zinc/19/05/13/338190513.db2.gz UWXZUHLCWXMEGA-WMZOPIPTSA-N 0 3 323.864 4.063 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433309831 338191098 /nfs/dbraw/zinc/19/10/98/338191098.db2.gz DJJVPTJXNPOREN-UWVGGRQHSA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)C1CCC(NCc2ncc(C(F)(F)F)s2)CC1 ZINC000433310049 338191110 /nfs/dbraw/zinc/19/11/10/338191110.db2.gz GNLPVAOTNKHREO-UHFFFAOYSA-N 0 3 306.397 4.466 20 0 DIADHN CCc1cccc(CN[C@H](c2ccccc2)C2(CO)CCC2)c1 ZINC000433309026 338191119 /nfs/dbraw/zinc/19/11/19/338191119.db2.gz ZTABWXDXFRDASB-HXUWFJFHSA-N 0 3 309.453 4.243 20 0 DIADHN CCc1cccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)c1 ZINC000433309025 338191127 /nfs/dbraw/zinc/19/11/27/338191127.db2.gz ZTABWXDXFRDASB-FQEVSTJZSA-N 0 3 309.453 4.243 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433327577 338192713 /nfs/dbraw/zinc/19/27/13/338192713.db2.gz AMZHOCIHTHCSHA-JLTOFOAXSA-N 0 3 321.424 4.473 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1ncc(C(F)(F)F)s1 ZINC000433335933 338193121 /nfs/dbraw/zinc/19/31/21/338193121.db2.gz SAJFEKKLKGJGQE-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN CO[C@@H]1CCN(c2ccnc3ccc(Oc4ccccc4)cc32)C1 ZINC000433775130 338201493 /nfs/dbraw/zinc/20/14/93/338201493.db2.gz SDZCIRUXLLMBBG-QGZVFWFLSA-N 0 3 320.392 4.252 20 0 DIADHN CC(C)[C@H](NCc1cnc2ccccn12)c1ccc(Cl)cc1 ZINC000433791789 338201980 /nfs/dbraw/zinc/20/19/80/338201980.db2.gz JXRDPAZBTKJYNQ-SFHVURJKSA-N 0 3 313.832 4.475 20 0 DIADHN C[C@@]1(CCCO)CCCN1CCc1cccc(Cl)c1Cl ZINC000434473899 338216898 /nfs/dbraw/zinc/21/68/98/338216898.db2.gz JEAOJRLNYAHMMU-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN COCC1(C)CCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000434480092 338217461 /nfs/dbraw/zinc/21/74/61/338217461.db2.gz MDGQKAUFGNCZID-UHFFFAOYSA-N 0 3 316.272 4.284 20 0 DIADHN COCc1cccc(CN2CCC(F)(c3ccccc3)CC2)c1 ZINC000434490808 338218250 /nfs/dbraw/zinc/21/82/50/338218250.db2.gz FJIZFXCWQIGKBZ-UHFFFAOYSA-N 0 3 313.416 4.294 20 0 DIADHN Cc1ccn(C2CCN([C@H]3CCc4ccc(Cl)cc43)CC2)n1 ZINC000434496157 338218437 /nfs/dbraw/zinc/21/84/37/338218437.db2.gz GBSKZYYOSWIADP-SFHVURJKSA-N 0 3 315.848 4.169 20 0 DIADHN CC(C)[C@H](c1ccc(F)cc1)N(C)Cc1cnc2ccccn12 ZINC000434622636 338221445 /nfs/dbraw/zinc/22/14/45/338221445.db2.gz GVYNBPOTLCLWPH-LJQANCHMSA-N 0 3 311.404 4.303 20 0 DIADHN COc1ccnc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000434624650 338221747 /nfs/dbraw/zinc/22/17/47/338221747.db2.gz QPFIVSALNPSIDT-SFHVURJKSA-N 0 3 302.393 4.058 20 0 DIADHN CC1(C)CCN(Cc2cc(-c3ccc(F)cc3)no2)CCS1 ZINC000434692981 338223721 /nfs/dbraw/zinc/22/37/21/338223721.db2.gz IYMIDAORVNDYAO-UHFFFAOYSA-N 0 3 320.433 4.198 20 0 DIADHN Cc1nc(CN2CC[C@](C)(c3ccc(C)cc3)C2)c(C)s1 ZINC000434741069 338224362 /nfs/dbraw/zinc/22/43/62/338224362.db2.gz AVWAJTZTOCTMSQ-SFHVURJKSA-N 0 3 300.471 4.232 20 0 DIADHN CCCNc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1 ZINC000434758844 338225112 /nfs/dbraw/zinc/22/51/12/338225112.db2.gz UMDNRYIALCOWBQ-UHFFFAOYSA-N 0 3 311.404 4.419 20 0 DIADHN CCn1nccc1C1CCN([C@H](C)c2cccc(Cl)c2)CC1 ZINC000434787536 338225598 /nfs/dbraw/zinc/22/55/98/338225598.db2.gz UQTYKEONJRUONR-CQSZACIVSA-N 0 3 317.864 4.497 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCSC(C)(C)C1 ZINC000434899736 338227385 /nfs/dbraw/zinc/22/73/85/338227385.db2.gz JUJWBEWRDXNRAJ-LLVKDONJSA-N 0 3 301.402 4.176 20 0 DIADHN c1ccc(SCCN2CCC(OCC3CCCC3)CC2)cc1 ZINC000434899551 338227397 /nfs/dbraw/zinc/22/73/97/338227397.db2.gz IRSGNLTXDWXUGA-UHFFFAOYSA-N 0 3 319.514 4.450 20 0 DIADHN Cc1cccc2nc(CN3CC[C@@H](c4ccccc4)[C@@H]3C)cn21 ZINC000434901244 338227458 /nfs/dbraw/zinc/22/74/58/338227458.db2.gz UAMLMUAKLXMJOQ-QFBILLFUSA-N 0 3 305.425 4.021 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CC[C@H](c2ccccc2)[C@@H]1C ZINC000434903848 338227540 /nfs/dbraw/zinc/22/75/40/338227540.db2.gz MWMZRLOQCXPHRG-LNLFQRSKSA-N 0 3 322.452 4.200 20 0 DIADHN COCc1cccc(CN2CCC[C@H]2c2ccc(OC)cc2)c1 ZINC000434983760 338228660 /nfs/dbraw/zinc/22/86/60/338228660.db2.gz WSKHIAMEEKDNMW-FQEVSTJZSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)[C@@H]1C[C@@H](Nc2cccc3c2OCC[C@@H]3N(C)C)CS1 ZINC000435024986 338229949 /nfs/dbraw/zinc/22/99/49/338229949.db2.gz VJBDYJOGYHIHDL-COXVUDFISA-N 0 3 320.502 4.014 20 0 DIADHN CCn1nccc1C1CCN(C/C(C)=C/c2ccccc2)CC1 ZINC000435033036 338230100 /nfs/dbraw/zinc/23/01/00/338230100.db2.gz HZQILOZDRGKKLQ-BMRADRMJSA-N 0 3 309.457 4.186 20 0 DIADHN C[C@H](CC1CCCC1)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000435033171 338230225 /nfs/dbraw/zinc/23/02/25/338230225.db2.gz JVVZKDXPBQVQCL-KDOFPFPSSA-N 0 3 302.462 4.453 20 0 DIADHN C[C@H](CNc1cccc2c1OCC[C@H]2N(C)C)Cc1ccccc1 ZINC000435042941 338230585 /nfs/dbraw/zinc/23/05/85/338230585.db2.gz OKAGMVYTTWTTPB-OXJNMPFZSA-N 0 3 324.468 4.363 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC3CCC4(CC4)CC3)cccc21 ZINC000435042247 338230662 /nfs/dbraw/zinc/23/06/62/338230662.db2.gz LJAZAYMNJPCFRY-KRWDZBQOSA-N 0 3 300.446 4.207 20 0 DIADHN Cc1ccn(C2CCN(Cc3c(C)cc(Cl)cc3C)CC2)n1 ZINC000435051410 338231011 /nfs/dbraw/zinc/23/10/11/338231011.db2.gz YPWPMELFLQBFGB-UHFFFAOYSA-N 0 3 317.864 4.299 20 0 DIADHN COc1cccc(CN2CCSC(C)(C)CC2)c1OC(C)C ZINC000435053674 338231184 /nfs/dbraw/zinc/23/11/84/338231184.db2.gz ULNDPGLTKLBSOZ-UHFFFAOYSA-N 0 3 323.502 4.200 20 0 DIADHN Cc1ccn(C2CCN(Cc3cc4c(ccc(C)c4C)[nH]3)CC2)n1 ZINC000435061215 338231568 /nfs/dbraw/zinc/23/15/68/338231568.db2.gz XOJBNXYHCXVWHQ-UHFFFAOYSA-N 0 3 322.456 4.127 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000435127776 338232572 /nfs/dbraw/zinc/23/25/72/338232572.db2.gz AGLWWSHJUURRJV-GOSISDBHSA-N 0 3 307.397 4.315 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC000435242325 338235131 /nfs/dbraw/zinc/23/51/31/338235131.db2.gz KKOALETXAKDPJI-ZDUSSCGKSA-N 0 3 321.873 4.273 20 0 DIADHN CCN(CC)[C@H](CNc1ccnc2nc(C)ccc12)c1ccco1 ZINC000435482835 338241071 /nfs/dbraw/zinc/24/10/71/338241071.db2.gz OPYZHFZBXIHPFT-QGZVFWFLSA-N 0 3 324.428 4.026 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](c1ccco1)c1ccccc1 ZINC000154507555 338244380 /nfs/dbraw/zinc/24/43/80/338244380.db2.gz WGFLQVVJRJXTED-FOIQADDNSA-N 0 3 307.393 4.295 20 0 DIADHN Fc1cc(F)cc([C@H]2C[C@H](NCc3c(F)cccc3F)C2)c1 ZINC000435729846 338246568 /nfs/dbraw/zinc/24/65/68/338246568.db2.gz DXLUTZGOHIQADE-YEORSEQZSA-N 0 3 309.306 4.279 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2C[C@H](c3cccc(F)c3)C2)c1C ZINC000435730789 338246668 /nfs/dbraw/zinc/24/66/68/338246668.db2.gz ZXXRUZWLJNVMJJ-SAABIXHNSA-N 0 3 315.436 4.085 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3cn4ccccc4n3)C2)c1 ZINC000435730450 338246738 /nfs/dbraw/zinc/24/67/38/338246738.db2.gz HAKTUXDMGUZCPR-KOMQPUFPSA-N 0 3 311.816 4.024 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)cc1O ZINC000435736257 338246965 /nfs/dbraw/zinc/24/69/65/338246965.db2.gz VQLLADBMPAZOGS-KOMQPUFPSA-N 0 3 317.816 4.090 20 0 DIADHN CSc1ccc(CCNCc2cnc(CC(C)C)s2)cc1 ZINC000435735062 338247009 /nfs/dbraw/zinc/24/70/09/338247009.db2.gz JBEKSZWSAGTQNX-UHFFFAOYSA-N 0 3 320.527 4.396 20 0 DIADHN Fc1cc(F)cc(CN[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)c1 ZINC000435741624 338247285 /nfs/dbraw/zinc/24/72/85/338247285.db2.gz JYLXWYFLRRIOAN-QASKLWRZSA-N 0 3 309.306 4.279 20 0 DIADHN Fc1cncc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)c1 ZINC000435751136 338247954 /nfs/dbraw/zinc/24/79/54/338247954.db2.gz PTUVZTZBZWXMLW-SAZUREKKSA-N 0 3 324.321 4.275 20 0 DIADHN Fc1cc(F)c(CN[C@H]2C[C@H](c3ccccc3F)C2)cc1F ZINC000435782438 338248965 /nfs/dbraw/zinc/24/89/65/338248965.db2.gz PHXCJPVDBLMIEG-UMSPYCQHSA-N 0 3 309.306 4.279 20 0 DIADHN COc1cc2c(c(CN[C@H]3C[C@H](c4ccccc4)C3)c1)O[C@H](C)C2 ZINC000435786708 338249182 /nfs/dbraw/zinc/24/91/82/338249182.db2.gz IYMKFTSLMXIIIR-IDHHARJASA-N 0 3 323.436 4.054 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)nc1 ZINC000435793277 338249562 /nfs/dbraw/zinc/24/95/62/338249562.db2.gz ZDYRORYJIXVGNR-CZIWCDLHSA-N 0 3 320.358 4.445 20 0 DIADHN Cc1cc(-c2nc(-c3cccc(CN4CCCCC4)c3)no2)co1 ZINC000435975805 338254829 /nfs/dbraw/zinc/25/48/29/338254829.db2.gz AGVFQIABKFVKFS-UHFFFAOYSA-N 0 3 323.396 4.291 20 0 DIADHN Cc1ccn2cc(CN[C@@H]3CC[C@@H](c4ccccc4)C3)nc2c1 ZINC000436232333 338262661 /nfs/dbraw/zinc/26/26/61/338262661.db2.gz NQOUKIHVJNNHMR-QZTJIDSGSA-N 0 3 305.425 4.069 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@@H](Cc3ccccc3)C2)sc1C ZINC000436247102 338263317 /nfs/dbraw/zinc/26/33/17/338263317.db2.gz NIMVEWVLDFUGJH-IRXDYDNUSA-N 0 3 300.471 4.261 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@@H](Cc3ccccc3)C2)sc1C ZINC000436247098 338263328 /nfs/dbraw/zinc/26/33/28/338263328.db2.gz NIMVEWVLDFUGJH-DLBZAZTESA-N 0 3 300.471 4.261 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@H](Cc3ccccc3)C2)cs1 ZINC000436250846 338263633 /nfs/dbraw/zinc/26/36/33/338263633.db2.gz ZEVMYPHJPMFHSZ-HZPDHXFCSA-N 0 3 300.471 4.207 20 0 DIADHN CC1=CCCN(Cc2c(-c3ccccc3)nc3ccccn32)C1 ZINC000436259950 338263982 /nfs/dbraw/zinc/26/39/82/338263982.db2.gz GLAVCPNJHZKBCM-UHFFFAOYSA-N 0 3 303.409 4.153 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCCC[C@H]1OCCC(C)C ZINC000436271601 338264812 /nfs/dbraw/zinc/26/48/12/338264812.db2.gz QDRMZENLUUJNOV-QZTJIDSGSA-N 0 3 308.466 4.009 20 0 DIADHN C[C@H](COc1cccc(F)c1)NCc1cc2c(cccc2F)[nH]1 ZINC000436275732 338265043 /nfs/dbraw/zinc/26/50/43/338265043.db2.gz QEKQUWUZBFSEIB-GFCCVEGCSA-N 0 3 316.351 4.003 20 0 DIADHN Fc1cc(F)cc([C@H]2C[C@H](N[C@@H]3CCCc4occc43)C2)c1 ZINC000436280797 338265485 /nfs/dbraw/zinc/26/54/85/338265485.db2.gz FCYBEUFFAFYKHY-SRCQZFHVSA-N 0 3 303.352 4.471 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H](NCc2cccc(CO)c2)C1 ZINC000436287016 338266243 /nfs/dbraw/zinc/26/62/43/338266243.db2.gz RVIZYEIHKNJYMN-FQEVSTJZSA-N 0 3 309.453 4.372 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1ccc(Cl)cn1 ZINC000436290611 338266420 /nfs/dbraw/zinc/26/64/20/338266420.db2.gz ZOHVHPIZTMMCJU-RBOXIYTFSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H]2CCCC[C@H]2OCCC(C)C)c1 ZINC000436300705 338267497 /nfs/dbraw/zinc/26/74/97/338267497.db2.gz VWWFZPAXKKWVKN-QXAKKESOSA-N 0 3 304.478 4.415 20 0 DIADHN CN(C)c1cccc(CN[C@@H]2CC(C)(C)CCc3ccccc32)n1 ZINC000436303010 338267799 /nfs/dbraw/zinc/26/77/99/338267799.db2.gz BQDQPTKETHNPQV-LJQANCHMSA-N 0 3 323.484 4.341 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1ccc(F)cn1 ZINC000436304993 338267896 /nfs/dbraw/zinc/26/78/96/338267896.db2.gz FNKZKHJOJAWADF-RBOXIYTFSA-N 0 3 304.796 4.471 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccc(F)cn1 ZINC000436314562 338268735 /nfs/dbraw/zinc/26/87/35/338268735.db2.gz YNZCOPUZFOGQQL-BMGDILEWSA-N 0 3 308.441 4.245 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(C(C)C)cc32)cn1 ZINC000436401474 338270881 /nfs/dbraw/zinc/27/08/81/338270881.db2.gz PRMUEKAOLGICFE-IBGZPJMESA-N 0 3 310.441 4.381 20 0 DIADHN C[C@]1(NCc2cc(Cl)cc(C(F)(F)F)c2)CCCOC1 ZINC000436400444 338270896 /nfs/dbraw/zinc/27/08/96/338270896.db2.gz KCFFMDJJGXIGRT-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN Cc1oc2ccccc2c1CNCc1c[nH]nc1-c1ccsc1 ZINC000436411855 338271541 /nfs/dbraw/zinc/27/15/41/338271541.db2.gz QQBWLDKDAGCNAJ-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CN[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000436412650 338271733 /nfs/dbraw/zinc/27/17/33/338271733.db2.gz OCZILOYHGBGBOI-KGLIPLIRSA-N 0 3 319.754 4.016 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000436415432 338271806 /nfs/dbraw/zinc/27/18/06/338271806.db2.gz KWXOGHSVFRPIOP-QAPCUYQASA-N 0 3 322.452 4.480 20 0 DIADHN CCSc1cc(CNCc2cc3c(cccc3F)[nH]2)ccn1 ZINC000436417719 338271931 /nfs/dbraw/zinc/27/19/31/338271931.db2.gz VWTDTQPOUHXORX-UHFFFAOYSA-N 0 3 315.417 4.104 20 0 DIADHN Fc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@H]3CCOC3)cc21 ZINC000436419332 338272075 /nfs/dbraw/zinc/27/20/75/338272075.db2.gz RBHKIRCHWYMRSL-MGPUTAFESA-N 0 3 324.399 4.174 20 0 DIADHN C[C@H](NCCc1cn(C)c2cc(Cl)ccc12)c1ccco1 ZINC000437035736 338298641 /nfs/dbraw/zinc/29/86/41/338298641.db2.gz XGRZVVDYUHZVQO-LBPRGKRZSA-N 0 3 302.805 4.318 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@@H](C)CCc1ccc(Cl)s1 ZINC000437070045 338299305 /nfs/dbraw/zinc/29/93/05/338299305.db2.gz ADSANXQYSVNMAB-NWDGAFQWSA-N 0 3 324.877 4.477 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccccc1N(C)C ZINC000437075737 338299392 /nfs/dbraw/zinc/29/93/92/338299392.db2.gz JSSWFDSZEJCVCF-JSGCOSHPSA-N 0 3 302.384 4.380 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccccc1N(C)C ZINC000437075731 338299374 /nfs/dbraw/zinc/29/93/74/338299374.db2.gz JSSWFDSZEJCVCF-GXTWGEPZSA-N 0 3 302.384 4.380 20 0 DIADHN CCOC(C)(C)CN[C@@H](CC)c1ccccc1Br ZINC000437081720 338299829 /nfs/dbraw/zinc/29/98/29/338299829.db2.gz XXOLSYWKWWHAJT-AWEZNQCLSA-N 0 3 314.267 4.305 20 0 DIADHN CC(C)C(C)(C)CN[C@H](C)c1ncc(Br)cc1F ZINC000437093021 338300427 /nfs/dbraw/zinc/30/04/27/338300427.db2.gz FNVXRQSAIQNCIA-SNVBAGLBSA-N 0 3 317.246 4.316 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1OC ZINC000437092129 338300442 /nfs/dbraw/zinc/30/04/42/338300442.db2.gz ZFXZZXOKZUMQSZ-AAEUAGOBSA-N 0 3 319.367 4.331 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)c(OC)c1 ZINC000437100651 338300917 /nfs/dbraw/zinc/30/09/17/338300917.db2.gz BOBPTYRJYUDVHA-RISCZKNCSA-N 0 3 319.367 4.331 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000437105270 338301184 /nfs/dbraw/zinc/30/11/84/338301184.db2.gz RQXRYKTZJLYOFG-IOEWJQCESA-N 0 3 321.408 4.052 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@H](C)CC(C)(C)c1ccccc1 ZINC000437106335 338301366 /nfs/dbraw/zinc/30/13/66/338301366.db2.gz GYLVDUCBVWBBPK-HZPDHXFCSA-N 0 3 312.457 4.497 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)OCO2 ZINC000437107704 338301403 /nfs/dbraw/zinc/30/14/03/338301403.db2.gz ZSMOCDCEDUSBGJ-ZYHUDNBSSA-N 0 3 303.324 4.043 20 0 DIADHN C[C@@H](N(C)Cc1cn2cc(Cl)cc(Cl)c2n1)C1(C)CC1 ZINC000437550820 338313322 /nfs/dbraw/zinc/31/33/22/338313322.db2.gz YVQQXHXYGFDOTP-SNVBAGLBSA-N 0 3 312.244 4.262 20 0 DIADHN C[C@H](N(C)Cc1cn2cc(Cl)cc(Cl)c2n1)C1(C)CC1 ZINC000437550817 338313380 /nfs/dbraw/zinc/31/33/80/338313380.db2.gz YVQQXHXYGFDOTP-JTQLQIEISA-N 0 3 312.244 4.262 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N(C)CC[C@@H]1CCOC1 ZINC000437579043 338314363 /nfs/dbraw/zinc/31/43/63/338314363.db2.gz MWDILNSHPDRUOL-NWDGAFQWSA-N 0 3 302.245 4.413 20 0 DIADHN Oc1ccc(C2CCN(Cc3cc4c(cccc4F)[nH]3)CC2)cc1 ZINC000437579679 338314377 /nfs/dbraw/zinc/31/43/77/338314377.db2.gz RVPWAGDBMYLRTQ-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN Brc1ccc([C@H]2CCCN2CC[C@@H]2CCOC2)cc1 ZINC000437586942 338315051 /nfs/dbraw/zinc/31/50/51/338315051.db2.gz ZKIKMURHWHLORT-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccsc1)[C@@H]1CCc2ccccc21 ZINC000437595398 338315707 /nfs/dbraw/zinc/31/57/07/338315707.db2.gz YNOLJEKSVKCSLN-QGZVFWFLSA-N 0 3 309.438 4.258 20 0 DIADHN C[C@@H](CN(Cc1ccco1)C[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000437687264 338318341 /nfs/dbraw/zinc/31/83/41/338318341.db2.gz KBOYMSFXCKDHOJ-KXBFYZLASA-N 0 3 317.404 4.203 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc2nc(C)ccc2c1 ZINC000437772988 338320723 /nfs/dbraw/zinc/32/07/23/338320723.db2.gz JEROMAUOJQAFPD-OAHLLOKOSA-N 0 3 305.425 4.351 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC000437773263 338320734 /nfs/dbraw/zinc/32/07/34/338320734.db2.gz LQIJHEBSOIMXJJ-DYVFJYSZSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC000437773262 338320750 /nfs/dbraw/zinc/32/07/50/338320750.db2.gz LQIJHEBSOIMXJJ-CXAGYDPISA-N 0 3 301.459 4.150 20 0 DIADHN COCC[C@H](N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1ccco1 ZINC000437807640 338322357 /nfs/dbraw/zinc/32/23/57/338322357.db2.gz YEIOTYLHWROVPM-QRVBRYPASA-N 0 3 313.441 4.358 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)Nc1ccccc1Cl ZINC000437917439 338325176 /nfs/dbraw/zinc/32/51/76/338325176.db2.gz HDMVMGJQOWUNMO-UHFFFAOYSA-N 0 3 317.820 4.088 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H]1CC[C@@H](c3ccccc3)C1)n2C ZINC000437953525 338326161 /nfs/dbraw/zinc/32/61/61/338326161.db2.gz LAAOJSPBKLEKAP-MSOLQXFVSA-N 0 3 319.452 4.308 20 0 DIADHN Cc1ncsc1CN(C)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000438101278 338331558 /nfs/dbraw/zinc/33/15/58/338331558.db2.gz FSWMEHAUODRNNO-FUHWJXTLSA-N 0 3 300.471 4.394 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc3c(cccc3F)[nH]2)C1 ZINC000438109586 338332287 /nfs/dbraw/zinc/33/22/87/338332287.db2.gz OVYDQMUOVRVVMC-KBPBESRZSA-N 0 3 306.450 4.413 20 0 DIADHN CCCN(Cc1cc2c(cccc2F)[nH]1)C[C@H]1CCCCO1 ZINC000438110301 338332329 /nfs/dbraw/zinc/33/23/29/338332329.db2.gz OZMCUBNUYFPBJN-OAHLLOKOSA-N 0 3 304.409 4.088 20 0 DIADHN CC(C)c1csc(CNCCCCOc2ccccc2)n1 ZINC000438173126 338334693 /nfs/dbraw/zinc/33/46/93/338334693.db2.gz BNAJAQVDQHFCKF-UHFFFAOYSA-N 0 3 304.459 4.215 20 0 DIADHN Cc1csc([C@@H](NC[C@@H](C)c2ccc(F)cc2)C2CC2)n1 ZINC000438180063 338335161 /nfs/dbraw/zinc/33/51/61/338335161.db2.gz SCAZBXIBXDXIKQ-BZNIZROVSA-N 0 3 304.434 4.435 20 0 DIADHN CO[C@@H](CNCc1ccc(Cl)cc1F)c1ccc(F)cc1 ZINC000438182774 338335348 /nfs/dbraw/zinc/33/53/48/338335348.db2.gz XWNRQCLTTJTQDA-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1ncoc1-c1ccccc1 ZINC000438186551 338335588 /nfs/dbraw/zinc/33/55/88/338335588.db2.gz FUGQJNDSRBBKLD-AWEZNQCLSA-N 0 3 310.372 4.202 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@H]1CCOC2(CCCCC2)C1 ZINC000438187518 338335653 /nfs/dbraw/zinc/33/56/53/338335653.db2.gz ACWQNMACIPMADL-HNNXBMFYSA-N 0 3 311.828 4.451 20 0 DIADHN COc1cccc(Oc2ncccc2CNCCC(C)(C)C)c1 ZINC000438191885 338335903 /nfs/dbraw/zinc/33/59/03/338335903.db2.gz PMZBZPLWLRQLNO-UHFFFAOYSA-N 0 3 314.429 4.408 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](Cc2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438193977 338335955 /nfs/dbraw/zinc/33/59/55/338335955.db2.gz VRJRBUUJPVZZIA-XKGZKEIXSA-N 0 3 322.452 4.015 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000438201968 338336398 /nfs/dbraw/zinc/33/63/98/338336398.db2.gz RKQAKSCOOYXZCP-VWYCJHECSA-N 0 3 322.396 4.061 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000438201965 338336498 /nfs/dbraw/zinc/33/64/98/338336498.db2.gz RKQAKSCOOYXZCP-GMTAPVOTSA-N 0 3 322.396 4.061 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@](C)(c3ccccc3)C2)cs1 ZINC000438213711 338336773 /nfs/dbraw/zinc/33/67/73/338336773.db2.gz KVTKZTBHHIFQHW-MAUKXSAKSA-N 0 3 300.471 4.306 20 0 DIADHN Cc1csc(CCCCNCc2ccc(Cl)cc2F)n1 ZINC000438214683 338336860 /nfs/dbraw/zinc/33/68/60/338336860.db2.gz ZWUKMAAPXULMSE-UHFFFAOYSA-N 0 3 312.841 4.357 20 0 DIADHN CCc1ccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)cc1 ZINC000438228971 338337347 /nfs/dbraw/zinc/33/73/47/338337347.db2.gz UXQHMIQLZQJQDG-KRWDZBQOSA-N 0 3 311.429 4.379 20 0 DIADHN Clc1cccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)c1 ZINC000438231521 338337472 /nfs/dbraw/zinc/33/74/72/338337472.db2.gz WTJDVMTYFPADQI-HNNXBMFYSA-N 0 3 317.820 4.470 20 0 DIADHN Cc1ccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)cc1F ZINC000438240653 338337757 /nfs/dbraw/zinc/33/77/57/338337757.db2.gz UOQLBBWRGHMWJS-INIZCTEOSA-N 0 3 315.392 4.264 20 0 DIADHN CCCn1nc(C)c(CN(C)CC2(c3ccccc3)CC2)c1C ZINC000438282653 338338583 /nfs/dbraw/zinc/33/85/83/338338583.db2.gz MAIISUGJSWRYNP-UHFFFAOYSA-N 0 3 311.473 4.074 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3F)s2)CC[C@H]1O ZINC000438326910 338339699 /nfs/dbraw/zinc/33/96/99/338339699.db2.gz QAEXARXUZONGDN-QGZVFWFLSA-N 0 3 319.445 4.147 20 0 DIADHN CC1(C)CN(Cc2cccc(Oc3ccccc3)c2)CC[C@H]1O ZINC000438330571 338339824 /nfs/dbraw/zinc/33/98/24/338339824.db2.gz ZNRFPNJSMNUCKQ-LJQANCHMSA-N 0 3 311.425 4.072 20 0 DIADHN Cc1ccc(CNCc2ccccc2N(C)C(C)C)cc1F ZINC000438331359 338339834 /nfs/dbraw/zinc/33/98/34/338339834.db2.gz ANVKWYIZITWNHL-UHFFFAOYSA-N 0 3 300.421 4.269 20 0 DIADHN Cc1cc(CNCc2ccccc2N(C)C(C)C)ccc1F ZINC000438332203 338339864 /nfs/dbraw/zinc/33/98/64/338339864.db2.gz CKXBBDDSHOUHDM-UHFFFAOYSA-N 0 3 300.421 4.269 20 0 DIADHN CCc1ccc(-c2ccc(CN3CC[C@@H](O)C(C)(C)C3)o2)cc1 ZINC000438337086 338340117 /nfs/dbraw/zinc/34/01/17/338340117.db2.gz WYRUFCCASOPUNA-LJQANCHMSA-N 0 3 313.441 4.102 20 0 DIADHN CSCc1cccc(CNCc2cc3c(cccc3F)[nH]2)c1 ZINC000438345748 338340573 /nfs/dbraw/zinc/34/05/73/338340573.db2.gz APLSHTVHLRJSBZ-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CCCC2(CCCC2)C1 ZINC000438372212 338341863 /nfs/dbraw/zinc/34/18/63/338341863.db2.gz HVIPAAOYUOORPK-UHFFFAOYSA-N 0 3 313.470 4.361 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cccc(OC(F)F)c1 ZINC000438476636 338345116 /nfs/dbraw/zinc/34/51/16/338345116.db2.gz ISUXCIQQBWGTQJ-LBPRGKRZSA-N 0 3 311.294 4.355 20 0 DIADHN CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000438476108 338345144 /nfs/dbraw/zinc/34/51/44/338345144.db2.gz FBXFELMAKBWFHR-IRXDYDNUSA-N 0 3 322.452 4.413 20 0 DIADHN COc1cc(CN[C@@H](CC(F)(F)F)C(C)C)ccc1SC ZINC000438475872 338345157 /nfs/dbraw/zinc/34/51/57/338345157.db2.gz NMHCVOZTGFLDDZ-LBPRGKRZSA-N 0 3 321.408 4.484 20 0 DIADHN Cc1ccc(/C=C\CC(=O)Nc2ccccc2CCN(C)C)cc1 ZINC000438573486 338348750 /nfs/dbraw/zinc/34/87/50/338348750.db2.gz ZUDWUCWWSAUMIM-SREVYHEPSA-N 0 3 322.452 4.141 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@@H]3CCCCS3)cs2)cc1 ZINC000156344048 338353746 /nfs/dbraw/zinc/35/37/46/338353746.db2.gz UPHPIHXYOYXCBE-HNNXBMFYSA-N 0 3 322.474 4.325 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2C[C@H]3OCCC[C@@H]23)o1 ZINC000438906995 338355609 /nfs/dbraw/zinc/35/56/09/338355609.db2.gz JDHJWUFBLIWUGP-KVSKMBFKSA-N 0 3 315.388 4.051 20 0 DIADHN C[C@H](O)CCN1CCC(=Cc2cc(Cl)ccc2Cl)CC1 ZINC000438983024 338357674 /nfs/dbraw/zinc/35/76/74/338357674.db2.gz AWXOJXMQJGKXCZ-LBPRGKRZSA-N 0 3 314.256 4.244 20 0 DIADHN c1ccc2c(c1)CCc1ccccc1C2N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000439057535 338359761 /nfs/dbraw/zinc/35/97/61/338359761.db2.gz LIJXTNIWLKBOHE-HBMCJLEFSA-N 0 3 319.448 4.032 20 0 DIADHN Cc1ccsc1CCCC(=O)Nc1ccc(CN(C)C)cc1 ZINC000439064317 338360014 /nfs/dbraw/zinc/36/00/14/338360014.db2.gz FIKCZNHSRUZCNU-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN CC(C)COc1ccccc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000439299289 338366503 /nfs/dbraw/zinc/36/65/03/338366503.db2.gz WPCWKSVKKNQDBT-IBGZPJMESA-N 0 3 317.473 4.112 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNC(C)(C)CO)o2)cc1 ZINC000439480678 338371281 /nfs/dbraw/zinc/37/12/81/338371281.db2.gz WJOJESKPGSTKMY-UHFFFAOYSA-N 0 3 301.430 4.105 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(OC(C)(C)C)cc1)N1[C@H](C)CC[C@@H]1C ZINC000439852953 338379620 /nfs/dbraw/zinc/37/96/20/338379620.db2.gz CTLVYHFMQBABOL-ZNMIVQPWSA-N 0 3 318.461 4.064 20 0 DIADHN Cc1ccccc1CCCNC1(c2nccs2)CCCC1 ZINC000440334045 338385790 /nfs/dbraw/zinc/38/57/90/338385790.db2.gz CGWWDVGZXDGJPS-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@H]1CCCc2ccccc21 ZINC000440349244 338385958 /nfs/dbraw/zinc/38/59/58/338385958.db2.gz YYFSGAMJBCQCGF-SFHVURJKSA-N 0 3 321.449 4.372 20 0 DIADHN CCOc1ccc(-c2nc(CN3[C@@H](C)CC[C@@H]3C)co2)cc1 ZINC000156868312 338387103 /nfs/dbraw/zinc/38/71/03/338387103.db2.gz WKVUFLXTYTVTLG-KBPBESRZSA-N 0 3 300.402 4.113 20 0 DIADHN CC[C@@H](F)CNC1(c2cccc(C(F)(F)F)c2)CCOCC1 ZINC000440504417 338388679 /nfs/dbraw/zinc/38/86/79/338388679.db2.gz WQANRRWBMRCUQP-CQSZACIVSA-N 0 3 319.342 4.049 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1c(C)nc2ccccn21 ZINC000440633024 338393399 /nfs/dbraw/zinc/39/33/99/338393399.db2.gz DRQYPZSJRCBTMC-ZDUSSCGKSA-N 0 3 311.454 4.215 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1ccccc1SC ZINC000440648581 338394271 /nfs/dbraw/zinc/39/42/71/338394271.db2.gz QEYRBHHNKAHHFA-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN CC(C)N(CC(=O)N(Cc1ccccc1)C(C)(C)C)C1CCC1 ZINC000440672268 338394885 /nfs/dbraw/zinc/39/48/85/338394885.db2.gz QDESFSHAJLPDIQ-UHFFFAOYSA-N 0 3 316.489 4.077 20 0 DIADHN CC[C@@](C)(NCCCOc1ccc(C)c(C)c1)c1nccs1 ZINC000440704658 338395486 /nfs/dbraw/zinc/39/54/86/338395486.db2.gz WSPTYWAUOWJINN-GOSISDBHSA-N 0 3 318.486 4.444 20 0 DIADHN CC[C@](C)(NCCCOc1ccc(C)c(C)c1)c1nccs1 ZINC000440704660 338395583 /nfs/dbraw/zinc/39/55/83/338395583.db2.gz WSPTYWAUOWJINN-SFHVURJKSA-N 0 3 318.486 4.444 20 0 DIADHN CCC(CC)(CC)NCc1nc(-c2ccc(C)c(OC)c2)no1 ZINC000440721049 338396001 /nfs/dbraw/zinc/39/60/01/338396001.db2.gz CFYOUPIWXPTFQD-UHFFFAOYSA-N 0 3 317.433 4.112 20 0 DIADHN CCN(CCC(C)(C)CC)CC(=O)Nc1c(C)cccc1C ZINC000440736337 338396260 /nfs/dbraw/zinc/39/62/60/338396260.db2.gz PXCRACRFKLNESU-UHFFFAOYSA-N 0 3 304.478 4.390 20 0 DIADHN FC(F)(F)c1cc(CNC2(c3ccccc3)CCCCC2)[nH]n1 ZINC000440825281 338398320 /nfs/dbraw/zinc/39/83/20/338398320.db2.gz JYDKWOBXESCITH-UHFFFAOYSA-N 0 3 323.362 4.378 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CN[C@H]1CCCC[C@@H]1OC ZINC000441205736 338405201 /nfs/dbraw/zinc/40/52/01/338405201.db2.gz VIEXSOATNPNQDE-KBPBESRZSA-N 0 3 318.244 4.049 20 0 DIADHN Cc1n[nH]c(C)c1CNCc1sc2ccc(Cl)cc2c1C ZINC000441342145 338409612 /nfs/dbraw/zinc/40/96/12/338409612.db2.gz RATYYFXZFGIBCF-UHFFFAOYSA-N 0 3 319.861 4.493 20 0 DIADHN CCC1(O)CCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000441347143 338409739 /nfs/dbraw/zinc/40/97/39/338409739.db2.gz KWSGDWJBPMQTOP-UHFFFAOYSA-N 0 3 311.425 4.216 20 0 DIADHN COCc1nc(CNC2CCCCC2)c(-c2ccccc2)s1 ZINC000441378717 338411134 /nfs/dbraw/zinc/41/11/34/338411134.db2.gz OGGXPZFZNUNNKS-UHFFFAOYSA-N 0 3 316.470 4.379 20 0 DIADHN CCCCCC(=O)NC1CCN([C@@H](C)c2cccc(C)c2)CC1 ZINC000441523739 338416018 /nfs/dbraw/zinc/41/60/18/338416018.db2.gz MTHQUJZCZQIFFE-KRWDZBQOSA-N 0 3 316.489 4.217 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@H](c1cccs1)N(C)C ZINC000442633227 338426347 /nfs/dbraw/zinc/42/63/47/338426347.db2.gz IFYAFNAIQBWWDH-UKRRQHHQSA-N 0 3 320.527 4.424 20 0 DIADHN C[C@H](CC1CCCC1)NCc1nccn1CCc1ccccc1 ZINC000442772838 338430181 /nfs/dbraw/zinc/43/01/81/338430181.db2.gz RZRCWHLKYKBMTO-QGZVFWFLSA-N 0 3 311.473 4.184 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cccc(O)c1)Oc1ccccc1F ZINC000442781523 338430832 /nfs/dbraw/zinc/43/08/32/338430832.db2.gz JCSBJJBTYFJNOG-BBRMVZONSA-N 0 3 303.377 4.040 20 0 DIADHN FC(F)C1CCC(N[C@H]2COc3ccc(Cl)cc32)CC1 ZINC000442853713 338434832 /nfs/dbraw/zinc/43/48/32/338434832.db2.gz VFRNFADAGJFMGZ-OCTTZUBCSA-N 0 3 301.764 4.187 20 0 DIADHN COCc1nc(CN[C@H](C)CC2CC2)c(-c2ccccc2)s1 ZINC000442860022 338435458 /nfs/dbraw/zinc/43/54/58/338435458.db2.gz RLOICWZWCNLLSI-CYBMUJFWSA-N 0 3 316.470 4.235 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H]1CC(C)(C)CCc2ccccc21 ZINC000442873734 338437006 /nfs/dbraw/zinc/43/70/06/338437006.db2.gz LIZMKWGYGBSOQZ-QUCCMNQESA-N 0 3 323.484 4.491 20 0 DIADHN Cc1cncc(CN[C@H]2CCCC[C@H]2Oc2ccccc2C)c1 ZINC000442890784 338438690 /nfs/dbraw/zinc/43/86/90/338438690.db2.gz ISZHJCKOTHFGLV-AZUAARDMSA-N 0 3 310.441 4.178 20 0 DIADHN Cc1ccc([C@@H](NCc2cccnc2N(C)C)C2CCCC2)cc1 ZINC000446757136 338535116 /nfs/dbraw/zinc/53/51/16/338535116.db2.gz QYEDCVBEKVMHCW-FQEVSTJZSA-N 0 3 323.484 4.477 20 0 DIADHN CC(C)[C@H](NCC[C@H](C)c1ccccc1)c1nnc2ccccn21 ZINC000446820315 338536797 /nfs/dbraw/zinc/53/67/97/338536797.db2.gz BHTLPFUKMYGROR-LPHOPBHVSA-N 0 3 322.456 4.210 20 0 DIADHN CCO[C@@H](CNCc1ccc(OC)c(Cl)c1)c1ccccc1 ZINC000446844383 338538148 /nfs/dbraw/zinc/53/81/48/338538148.db2.gz GOQXVPXHXPDGGR-SFHVURJKSA-N 0 3 319.832 4.216 20 0 DIADHN C[C@@H](N[C@H](CO)c1cccc(F)c1)c1cc2ccccc2s1 ZINC000446924842 338540617 /nfs/dbraw/zinc/54/06/17/338540617.db2.gz JQIXHKHPQCRBPG-MLGOLLRUSA-N 0 3 315.413 4.425 20 0 DIADHN C[C@H](N[C@H](CO)c1cccc(F)c1)c1cc2ccccc2s1 ZINC000446924840 338540656 /nfs/dbraw/zinc/54/06/56/338540656.db2.gz JQIXHKHPQCRBPG-BLLLJJGKSA-N 0 3 315.413 4.425 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H]2CCCc3occc32)cc1 ZINC000447532372 338554613 /nfs/dbraw/zinc/55/46/13/338554613.db2.gz TXDOYHVIBDAESI-HBFSDRIKSA-N 0 3 311.425 4.475 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(OC)cc1F)c1ccccc1 ZINC000447566174 338557260 /nfs/dbraw/zinc/55/72/60/338557260.db2.gz QXVLQYUJRCCDNE-LIRRHRJNSA-N 0 3 317.404 4.263 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc2cc(C)nn2c1C)c1cccs1 ZINC000194564982 338566109 /nfs/dbraw/zinc/56/61/09/338566109.db2.gz UCGQURLWSVDXAX-SWLSCSKDSA-N 0 3 314.458 4.210 20 0 DIADHN C=Cn1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000447814734 338567632 /nfs/dbraw/zinc/56/76/32/338567632.db2.gz AZHQVBHQIXLYMN-QGZVFWFLSA-N 0 3 301.409 4.390 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1nc(-c2ccccc2)c[nH]1 ZINC000447845182 338569029 /nfs/dbraw/zinc/56/90/29/338569029.db2.gz QTTOZPJZGUUEMY-RDJZCZTQSA-N 0 3 315.486 4.231 20 0 DIADHN c1cc(CNCc2csc3ccccc23)n(-c2ccccc2)n1 ZINC000447944047 338572516 /nfs/dbraw/zinc/57/25/16/338572516.db2.gz QQEXPKPLLISSFM-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN c1ccc2c(c1)CC[C@H]2NCc1ccc2c(c1)OCCCCO2 ZINC000449067504 338590002 /nfs/dbraw/zinc/59/00/02/338590002.db2.gz LZLMAYCXQJGZLB-GOSISDBHSA-N 0 3 309.409 4.015 20 0 DIADHN CC[C@H]1C[C@H](NCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000449104738 338593953 /nfs/dbraw/zinc/59/39/53/338593953.db2.gz AWGAXOAGDGQYMO-KGLIPLIRSA-N 0 3 303.377 4.133 20 0 DIADHN FC(F)(F)Cn1ccnc1CN[C@H]1CCCC12CCCCC2 ZINC000449116907 338594860 /nfs/dbraw/zinc/59/48/60/338594860.db2.gz MTLWTJAVLAZPRM-ZDUSSCGKSA-N 0 3 315.383 4.038 20 0 DIADHN Cc1ccc(C[C@H](N[C@H]2CSC[C@H]2C)c2ccccn2)cc1 ZINC000449147514 338596937 /nfs/dbraw/zinc/59/69/37/338596937.db2.gz HIDTZDFBLHXEMR-MNEFBYGVSA-N 0 3 312.482 4.015 20 0 DIADHN CC[C@@](C)(NC[C@@H](C)c1cc(F)cc(F)c1)c1nccs1 ZINC000449157593 338597713 /nfs/dbraw/zinc/59/77/13/338597713.db2.gz QQITUPYXPXVVNH-BDJLRTHQSA-N 0 3 310.413 4.440 20 0 DIADHN CC[C@H](NCc1cc2ccccc2c(OC)c1)c1ccncc1 ZINC000449163393 338598143 /nfs/dbraw/zinc/59/81/43/338598143.db2.gz MDCDXXXYRZNFJN-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN CO[C@H](CNCc1cnc(-c2ccccc2F)s1)C(C)(C)C ZINC000449168937 338598839 /nfs/dbraw/zinc/59/88/39/338598839.db2.gz CHJPNELDZYEUDQ-OAHLLOKOSA-N 0 3 322.449 4.100 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2cc3cccnc3o2)cc1 ZINC000449185268 338600315 /nfs/dbraw/zinc/60/03/15/338600315.db2.gz NORGGGAAWYCAMO-RBUKOAKNSA-N 0 3 322.408 4.146 20 0 DIADHN c1c2cccnc2oc1CN[C@@H]1CCC[C@H]1OCc1ccccc1 ZINC000449185468 338600392 /nfs/dbraw/zinc/60/03/92/338600392.db2.gz BCXZWSKHRUYSTA-RTBURBONSA-N 0 3 322.408 4.055 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2CCOC3(CCC3)C2)c2ccccn2)c1 ZINC000449206186 338602500 /nfs/dbraw/zinc/60/25/00/338602500.db2.gz MUHPGBSXCRPULP-UYAOXDASSA-N 0 3 322.452 4.171 20 0 DIADHN COCC[C@H](N[C@H](C)Cc1coc2ccccc12)c1ccco1 ZINC000449233732 338604871 /nfs/dbraw/zinc/60/48/71/338604871.db2.gz ZZLGJKBSBSZQKF-PBHICJAKSA-N 0 3 313.397 4.324 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC1CCC(OC3CCCC3)CC1)CO2 ZINC000449252184 338607034 /nfs/dbraw/zinc/60/70/34/338607034.db2.gz RTHUORXSLLDLAH-PVARCSIZSA-N 0 3 319.420 4.119 20 0 DIADHN C[C@H](CNCc1cnc(-c2ccccc2F)s1)C(F)(F)F ZINC000449255553 338607340 /nfs/dbraw/zinc/60/73/40/338607340.db2.gz FCNKXAHWVUXKMX-SECBINFHSA-N 0 3 318.339 4.237 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000449259336 338607591 /nfs/dbraw/zinc/60/75/91/338607591.db2.gz SXMLZJFXOAUHBH-DYVFJYSZSA-N 0 3 303.425 4.063 20 0 DIADHN C[C@@H](NCc1cc(COC(C)(C)C)on1)[C@H]1CCCC[C@H]1C ZINC000449259572 338607696 /nfs/dbraw/zinc/60/76/96/338607696.db2.gz UNXDOXDJUVUSHT-CPUCHLNUSA-N 0 3 308.466 4.294 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1CSC[C@@H]1C ZINC000449285376 338609428 /nfs/dbraw/zinc/60/94/28/338609428.db2.gz FAKHXKXSEQTTBT-FPMFFAJLSA-N 0 3 303.393 4.330 20 0 DIADHN Cc1nnsc1CN[C@@H]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000449338655 338614683 /nfs/dbraw/zinc/61/46/83/338614683.db2.gz WECOUYPIJMQAHA-OAHLLOKOSA-N 0 3 319.861 4.226 20 0 DIADHN Cn1cc(CN[C@@H]2CCCc3c(F)cccc32)c(C(C)(C)C)n1 ZINC000449352031 338616776 /nfs/dbraw/zinc/61/67/76/338616776.db2.gz RVSQGMMPAONMLU-QGZVFWFLSA-N 0 3 315.436 4.024 20 0 DIADHN CC(C)Cc1ncc(CNC[C@H]2CSc3ccccc32)s1 ZINC000449365992 338618106 /nfs/dbraw/zinc/61/81/06/338618106.db2.gz QPVQRQJXYSRBGZ-ZDUSSCGKSA-N 0 3 318.511 4.321 20 0 DIADHN CCCOc1c(Cl)cc(CNC2CC(C)(F)C2)cc1OC ZINC000449369582 338618436 /nfs/dbraw/zinc/61/84/36/338618436.db2.gz DYFRWRKZGMUOGX-UHFFFAOYSA-N 0 3 315.816 4.118 20 0 DIADHN OC[C@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccc(F)cc1 ZINC000449379863 338619463 /nfs/dbraw/zinc/61/94/63/338619463.db2.gz KJPCHPWWYFMRCA-UYJHFMRCSA-N 0 3 319.807 4.048 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1cnc(-c2ccsc2)s1)OC ZINC000449403757 338621372 /nfs/dbraw/zinc/62/13/72/338621372.db2.gz XIFBIXNGNHOTIF-SMDDNHRTSA-N 0 3 310.488 4.022 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(C(F)(F)F)c1)[C@H](C)C2 ZINC000449439779 338624179 /nfs/dbraw/zinc/62/41/79/338624179.db2.gz WYAUDZLYXOZAEP-PXAZEXFGSA-N 0 3 320.358 4.432 20 0 DIADHN C[C@@H](CC(C)(C)O)NCc1c(OC(F)F)ccc2ccccc21 ZINC000449448150 338625140 /nfs/dbraw/zinc/62/51/40/338625140.db2.gz FKDZFPLBWVAHAG-LBPRGKRZSA-N 0 3 323.383 4.080 20 0 DIADHN CC(C)=CCC[C@@H](C)CCNC(C)(C)c1nnc2n1CCCC2 ZINC000449456102 338626001 /nfs/dbraw/zinc/62/60/01/338626001.db2.gz BWMDBOFNXWVOIQ-MRXNPFEDSA-N 0 3 318.509 4.212 20 0 DIADHN C[C@@H](CNC(C)(C)c1nnc2n1CCCC2)CC1CCCCC1 ZINC000449461196 338626748 /nfs/dbraw/zinc/62/67/48/338626748.db2.gz OXSMLSUQXZFLOY-OAHLLOKOSA-N 0 3 318.509 4.046 20 0 DIADHN Cc1csc(CN[C@@H](C)CC(=O)Nc2ccc(C)cc2C)c1 ZINC000449475899 338628600 /nfs/dbraw/zinc/62/86/00/338628600.db2.gz FXXYPHDXPRKSTM-HNNXBMFYSA-N 0 3 316.470 4.180 20 0 DIADHN COC[C@H](CN[C@H](C)c1cc(C)ccn1)c1ccc(Cl)cc1 ZINC000449479019 338629006 /nfs/dbraw/zinc/62/90/06/338629006.db2.gz LIGICLJFMRXKOH-ZBFHGGJFSA-N 0 3 318.848 4.124 20 0 DIADHN CCCOc1cc(CNCc2cc(C)cs2)ccc1OC ZINC000449481510 338629362 /nfs/dbraw/zinc/62/93/62/338629362.db2.gz UCRGPZXGSGZYQV-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449564429 338638193 /nfs/dbraw/zinc/63/81/93/338638193.db2.gz ARHHPBHWYUIZBR-MROQNXINSA-N 0 3 323.893 4.461 20 0 DIADHN C[C@@H]1C[C@H](NCC(c2ccccc2)c2ccccc2)c2nccn21 ZINC000449570156 338638862 /nfs/dbraw/zinc/63/88/62/338638862.db2.gz JCHOXTIOOXOKOW-UZLBHIALSA-N 0 3 317.436 4.311 20 0 DIADHN CCc1ncc(CNC2CC(c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000449610097 338640657 /nfs/dbraw/zinc/64/06/57/338640657.db2.gz PJEFPJLSAUITAX-UHFFFAOYSA-N 0 3 324.346 4.292 20 0 DIADHN CCCc1ncc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000449610390 338640703 /nfs/dbraw/zinc/64/07/03/338640703.db2.gz GKFNGQHDQGPXCY-KRWDZBQOSA-N 0 3 314.404 4.492 20 0 DIADHN CCc1ncc(CN[C@@H]2CCC[C@H]2c2ccc(Cl)cc2)o1 ZINC000449609351 338640707 /nfs/dbraw/zinc/64/07/07/338640707.db2.gz NBCMDFNYYJNXLA-JKSUJKDBSA-N 0 3 304.821 4.316 20 0 DIADHN CCCc1ncc(CN[C@H](C)CCc2ccc(Cl)s2)o1 ZINC000449614643 338641280 /nfs/dbraw/zinc/64/12/80/338641280.db2.gz WJNSORQFWSJVKM-LLVKDONJSA-N 0 3 312.866 4.453 20 0 DIADHN CCCc1csc(CN[C@H]2CCO[C@H](c3cccs3)C2)n1 ZINC000449621086 338641988 /nfs/dbraw/zinc/64/19/88/338641988.db2.gz GPXQUVBPVRVIRC-JSGCOSHPSA-N 0 3 322.499 4.167 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2cc(F)cc(F)c2)CC1 ZINC000449677435 338645824 /nfs/dbraw/zinc/64/58/24/338645824.db2.gz BDNQKQKHRRISSV-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3cnc(C4CC4)o3)CC2)cc1 ZINC000449708804 338647491 /nfs/dbraw/zinc/64/74/91/338647491.db2.gz WQUSTRCRNYBNMV-QGZVFWFLSA-N 0 3 312.388 4.417 20 0 DIADHN Clc1sccc1CNC1(Cc2ccccc2)CCOCC1 ZINC000449714323 338647729 /nfs/dbraw/zinc/64/77/29/338647729.db2.gz GIPQJRCZXGJYML-UHFFFAOYSA-N 0 3 321.873 4.283 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2c(F)cccc2F)CC1 ZINC000449731425 338648126 /nfs/dbraw/zinc/64/81/26/338648126.db2.gz VKQGOBUKPKAPHD-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN CC[C@@H](c1ccccc1F)N1CCC(OCCOC(C)C)CC1 ZINC000449731737 338648490 /nfs/dbraw/zinc/64/84/90/338648490.db2.gz XAHAXVBHSSZPGP-IBGZPJMESA-N 0 3 323.452 4.183 20 0 DIADHN Cc1sccc1CN[C@H](c1cccs1)C1(CO)CCC1 ZINC000449770534 338651465 /nfs/dbraw/zinc/65/14/65/338651465.db2.gz WTBPYZLOIBEASN-OAHLLOKOSA-N 0 3 307.484 4.112 20 0 DIADHN Cc1ccc(C)c(CN[C@H](c2cccs2)C2(CO)CCC2)c1 ZINC000449770567 338651535 /nfs/dbraw/zinc/65/15/35/338651535.db2.gz WZPKKAVBWRMSDN-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN C[C@@](Cc1ccccc1)(NCc1ncc(Cl)s1)C1CC1 ZINC000449780999 338652495 /nfs/dbraw/zinc/65/24/95/338652495.db2.gz WLSSQZRZZCGYAB-INIZCTEOSA-N 0 3 306.862 4.298 20 0 DIADHN Cc1cnc(CN[C@@H]2CCC[C@H](c3cccc(Cl)c3)C2)n1C ZINC000449790762 338653428 /nfs/dbraw/zinc/65/34/28/338653428.db2.gz MGLSGPXLJSTTFR-DOTOQJQBSA-N 0 3 317.864 4.198 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CC[C@@H](C)[C@H](C)C2)c(CN(C)C)c1 ZINC000449859734 338656047 /nfs/dbraw/zinc/65/60/47/338656047.db2.gz HQYMZSIXZGAQPZ-BZUAXINKSA-N 0 3 302.462 4.067 20 0 DIADHN CC(C)Oc1ccc2nccc(N(C)Cc3nccs3)c2c1 ZINC000450361920 338679851 /nfs/dbraw/zinc/67/98/51/338679851.db2.gz DIMVEQDVKXJAJW-UHFFFAOYSA-N 0 3 313.426 4.115 20 0 DIADHN CCc1cc(N[C@@H](CC)c2nc(C(F)(F)F)cs2)ccn1 ZINC000450367407 338680176 /nfs/dbraw/zinc/68/01/76/338680176.db2.gz YHIYPPFKDFMDLO-NSHDSACASA-N 0 3 315.364 4.104 20 0 DIADHN CCCCOC1CCN(Cc2ccccc2OC2CC2)CC1 ZINC000450455488 338685337 /nfs/dbraw/zinc/68/53/37/338685337.db2.gz VJESGJJLGLNTHZ-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(Cl)c(OC)c2)c1 ZINC000450671781 338697793 /nfs/dbraw/zinc/69/77/93/338697793.db2.gz XAUWOTLKHGQSBJ-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN C[C@@H](NCC1=CCCOC1)c1ccc(OCc2ccccc2)cc1 ZINC000379741054 338703338 /nfs/dbraw/zinc/70/33/38/338703338.db2.gz KDGXHBPPSADVPZ-QGZVFWFLSA-N 0 3 323.436 4.263 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3C[C@@H](C)O[C@H]3C)oc21 ZINC000451093198 338720950 /nfs/dbraw/zinc/72/09/50/338720950.db2.gz BXEHPWVVHJHIOJ-UKTARXLSSA-N 0 3 303.402 4.048 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000451162245 338723607 /nfs/dbraw/zinc/72/36/07/338723607.db2.gz MRRIHLLJKJPBOP-MXYBEHONSA-N 0 3 301.352 4.144 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccc(Br)s1 ZINC000451271722 338727856 /nfs/dbraw/zinc/72/78/56/338727856.db2.gz VOJHLSCPWZMSAP-HBNTYKKESA-N 0 3 318.280 4.119 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccc(OC)cc2)o1)C(C)(C)C ZINC000451423869 338734267 /nfs/dbraw/zinc/73/42/67/338734267.db2.gz RUXLGJNEDZULFC-OAHLLOKOSA-N 0 3 317.433 4.050 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CCC34CCCC4)co2)cc1F ZINC000451452011 338735134 /nfs/dbraw/zinc/73/51/34/338735134.db2.gz CDZAFEADBMERRR-MRXNPFEDSA-N 0 3 318.367 4.432 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@@H]1CCC12CCCC2 ZINC000451471615 338735837 /nfs/dbraw/zinc/73/58/37/338735837.db2.gz PYDIGHAPUIKWSH-QGZVFWFLSA-N 0 3 314.473 4.062 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cccc(O)c1)c1ccsc1 ZINC000194848993 338766482 /nfs/dbraw/zinc/76/64/82/338766482.db2.gz MPKYEWNWFHVHDL-KBXCAEBGSA-N 0 3 318.486 4.187 20 0 DIADHN CC[C@H](NC[C@H](c1ccccc1Cl)N(C)C)c1ccncc1 ZINC000453059527 338788590 /nfs/dbraw/zinc/78/85/90/338788590.db2.gz QNNBWUANSGEFJZ-ZWKOTPCHSA-N 0 3 317.864 4.079 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccc3c(c2)OCCO3)c2sccc21 ZINC000453060033 338788805 /nfs/dbraw/zinc/78/88/05/338788805.db2.gz RDAAQWTXNMVEAL-SWLSCSKDSA-N 0 3 315.438 4.248 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc(-c3ccccc3)nn2C)ccc1F ZINC000453060876 338788810 /nfs/dbraw/zinc/78/88/10/338788810.db2.gz CILFJWKWCPFFFV-OAHLLOKOSA-N 0 3 323.415 4.385 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCCC[C@H]2Cc2ccccc2)c2nccn21 ZINC000453082232 338791516 /nfs/dbraw/zinc/79/15/16/338791516.db2.gz BHFOHYAYNHETEU-LULLPPNCSA-N 0 3 309.457 4.280 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(C)(C)c1ccccc1Cl ZINC000453089165 338792426 /nfs/dbraw/zinc/79/24/26/338792426.db2.gz JVBULOIOCTVZEM-ZDUSSCGKSA-N 0 3 305.853 4.185 20 0 DIADHN CC[C@@H](N[C@@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccncc1 ZINC000453090062 338792458 /nfs/dbraw/zinc/79/24/58/338792458.db2.gz ORAPZPTVTCVSOU-YLJYHZDGSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@@H]1C[C@H](NCC2(c3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453101408 338794025 /nfs/dbraw/zinc/79/40/25/338794025.db2.gz YXSMDDYDCWACEV-CJNGLKHVSA-N 0 3 315.848 4.254 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CCCC1)c1cn[nH]c1 ZINC000453104405 338794363 /nfs/dbraw/zinc/79/43/63/338794363.db2.gz CPMAYNIOFNSXFU-AWEZNQCLSA-N 0 3 301.459 4.165 20 0 DIADHN C[C@@H]1C[C@@H](NCCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000453119196 338796092 /nfs/dbraw/zinc/79/60/92/338796092.db2.gz BMEGDZXTIJPYRA-IAQYHMDHSA-N 0 3 324.255 4.418 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2ccc(F)cc2Cl)c2nccn21 ZINC000453120730 338796144 /nfs/dbraw/zinc/79/61/44/338796144.db2.gz NBJURWLGMUAUMF-NHYWBVRUSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2c(F)cccc2Cl)c2nccn21 ZINC000453149001 338799802 /nfs/dbraw/zinc/79/98/02/338799802.db2.gz KDWLBSVTVCQWFT-RISCZKNCSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H](C)c1cccc(F)c1F ZINC000453161572 338801276 /nfs/dbraw/zinc/80/12/76/338801276.db2.gz IWEKOGGCOZQTJZ-KBPBESRZSA-N 0 3 304.384 4.422 20 0 DIADHN CC[C@@H](NCc1ccnc(OC2CCC2)c1)c1ccsc1 ZINC000453166017 338801706 /nfs/dbraw/zinc/80/17/06/338801706.db2.gz CMRBQPMRKSHPMA-MRXNPFEDSA-N 0 3 302.443 4.315 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2ccncc2)cc1Cl ZINC000453204724 338807572 /nfs/dbraw/zinc/80/75/72/338807572.db2.gz DBVSIEIXWKWSDL-MRXNPFEDSA-N 0 3 304.821 4.375 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2C[C@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453206465 338807794 /nfs/dbraw/zinc/80/77/94/338807794.db2.gz FAEIKNVOFUGJIP-UIBBOPPKSA-N 0 3 301.821 4.078 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)n(C)n1 ZINC000453207001 338807849 /nfs/dbraw/zinc/80/78/49/338807849.db2.gz HKPACGKZXDGCPV-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccncn1)c1ccc(Cl)cc1 ZINC000453209629 338808219 /nfs/dbraw/zinc/80/82/19/338808219.db2.gz KPIDPNMPZFNRIV-CZUORRHYSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](NCCCC(C)(F)F)c1nc(C(F)(F)F)cs1 ZINC000453231958 338811422 /nfs/dbraw/zinc/81/14/22/338811422.db2.gz IUMHEPUALXYWTQ-SSDOTTSWSA-N 0 3 302.312 4.248 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cn[nH]c1 ZINC000453218227 338809326 /nfs/dbraw/zinc/80/93/26/338809326.db2.gz PJDYWJHXSPSIJL-GAFUQQFSSA-N 0 3 310.228 4.313 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl)c1ccncn1 ZINC000453220624 338809682 /nfs/dbraw/zinc/80/96/82/338809682.db2.gz JFXAPOYLYULPJU-COPLHBTASA-N 0 3 322.239 4.238 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3c(F)cccc32)cc1O ZINC000453222701 338809958 /nfs/dbraw/zinc/80/99/58/338809958.db2.gz AHSILDNYYRXABJ-YVEFUNNKSA-N 0 3 315.388 4.268 20 0 DIADHN C[C@H](NC[C@@H]1CSc2ccccc21)c1ccc(Cl)cn1 ZINC000453223683 338810048 /nfs/dbraw/zinc/81/00/48/338810048.db2.gz RZXNDTWTVGKKQB-NWDGAFQWSA-N 0 3 304.846 4.275 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1ccc(Oc2ccccc2)cn1 ZINC000453227942 338810831 /nfs/dbraw/zinc/81/08/31/338810831.db2.gz OIJMAXFDZJUYFW-SOUVJXGZSA-N 0 3 300.377 4.415 20 0 DIADHN C[C@@H](NC1CC(C)(F)C1)c1ccc(F)cc1Br ZINC000453240821 338812553 /nfs/dbraw/zinc/81/25/53/338812553.db2.gz SVEHDBOJUCXXAT-NCZMNARYSA-N 0 3 304.178 4.129 20 0 DIADHN CC1(F)CC(N[C@H](Cc2cccnc2)c2cccc(Cl)c2)C1 ZINC000453242743 338812971 /nfs/dbraw/zinc/81/29/71/338812971.db2.gz WSPZBVCGPDXKMB-LXPRWKDFSA-N 0 3 318.823 4.499 20 0 DIADHN Cn1ccnc1[C@H](NC[C@@H]1CCC[C@@H]1c1ccccc1)C1CC1 ZINC000453266101 338816188 /nfs/dbraw/zinc/81/61/88/338816188.db2.gz KJXLXZIEARTEQI-IPMKNSEASA-N 0 3 309.457 4.045 20 0 DIADHN C[C@H](CCCCO)N[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000453289476 338819346 /nfs/dbraw/zinc/81/93/46/338819346.db2.gz QSWKAPZWXOLBEY-QMTHXVAHSA-N 0 3 302.245 4.121 20 0 DIADHN CC[C@@H]1CCC[C@@H]1CN[C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000453295529 338820105 /nfs/dbraw/zinc/82/01/05/338820105.db2.gz XHJJAIWHNVCOKG-OGWOLHLISA-N 0 3 313.420 4.121 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1ccnc(C)c1 ZINC000453295765 338820261 /nfs/dbraw/zinc/82/02/61/338820261.db2.gz SAPVGMUUSVGMAK-ZDUSSCGKSA-N 0 3 324.453 4.068 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCCc2ncccc2C)c1 ZINC000453301649 338821132 /nfs/dbraw/zinc/82/11/32/338821132.db2.gz ADKZCWXBWWJCPK-CQSZACIVSA-N 0 3 320.383 4.193 20 0 DIADHN Cc1cccnc1CCN[C@H]1CCCOc2cc(Cl)ccc21 ZINC000453302501 338821216 /nfs/dbraw/zinc/82/12/16/338821216.db2.gz BZEYGWGAYQRJTP-KRWDZBQOSA-N 0 3 316.832 4.089 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCc3ncccc3C)oc21 ZINC000453315821 338823475 /nfs/dbraw/zinc/82/34/75/338823475.db2.gz VVWZITKDRGVAIP-OAHLLOKOSA-N 0 3 324.424 4.428 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@](C)(OC)c2ccccc2)s1 ZINC000453330513 338826109 /nfs/dbraw/zinc/82/61/09/338826109.db2.gz WZFHAZIZUVZQDX-FZKQIMNGSA-N 0 3 318.486 4.226 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2ccccc2c1OC(F)F)[C@H](C)O ZINC000453344023 338828235 /nfs/dbraw/zinc/82/82/35/338828235.db2.gz NVIHIYNRPULHBQ-WQGACYEGSA-N 0 3 323.383 4.251 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCN(C)c3ccccc32)cc1 ZINC000453344441 338828328 /nfs/dbraw/zinc/82/83/28/338828328.db2.gz DZOVRGQBBIEHAP-KXBFYZLASA-N 0 3 310.441 4.317 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)N[C@@H]3COCC3(C)C)cs2)cs1 ZINC000453354018 338830147 /nfs/dbraw/zinc/83/01/47/338830147.db2.gz IEDUHFXHSHOOLO-MEBBXXQBSA-N 0 3 322.499 4.256 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H]1CCCN(C)c2ccccc21 ZINC000453366715 338832626 /nfs/dbraw/zinc/83/26/26/338832626.db2.gz MJQKGFSGNJXABP-MAUKXSAKSA-N 0 3 310.441 4.317 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](OC)C1CC1)c1ccccc1OC(F)F ZINC000453371631 338833459 /nfs/dbraw/zinc/83/34/59/338833459.db2.gz NTRMCHIWBYQFJX-WPGHFRTFSA-N 0 3 313.388 4.142 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](Cc1ccccc1Cl)C1CC1 ZINC000453372034 338833507 /nfs/dbraw/zinc/83/35/07/338833507.db2.gz WMFUREQDUWWCMV-SUMWQHHRSA-N 0 3 317.864 4.228 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCC(C)(C)C(C)(C)O)c1 ZINC000453374753 338833979 /nfs/dbraw/zinc/83/39/79/338833979.db2.gz TZVLTFPNAGADCL-GFCCVEGCSA-N 0 3 315.404 4.044 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H]2CCCN(C)c3ccccc32)s1 ZINC000453375080 338834193 /nfs/dbraw/zinc/83/41/93/338834193.db2.gz OWYSTIBEWWKKAX-BBRMVZONSA-N 0 3 315.486 4.382 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)cn1 ZINC000453379371 338834782 /nfs/dbraw/zinc/83/47/82/338834782.db2.gz WBSSFBDLINATDQ-ZDUSSCGKSA-N 0 3 324.346 4.448 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2cccc(Cl)c2)C1)c1ccncn1 ZINC000453388039 338836369 /nfs/dbraw/zinc/83/63/69/338836369.db2.gz FOWMYVKFABLZBV-BJJXKVORSA-N 0 3 301.821 4.117 20 0 DIADHN Cc1cnc(CCN[C@@H](c2cc3ccccc3o2)C(C)(C)C)cn1 ZINC000453391607 338836911 /nfs/dbraw/zinc/83/69/11/338836911.db2.gz DWRRQJAUQAKVAK-IBGZPJMESA-N 0 3 323.440 4.451 20 0 DIADHN Cc1cnc(CCN[C@H](c2cc3ccccc3o2)C(C)(C)C)cn1 ZINC000453391615 338837013 /nfs/dbraw/zinc/83/70/13/338837013.db2.gz DWRRQJAUQAKVAK-LJQANCHMSA-N 0 3 323.440 4.451 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2cc3cc(Cl)ccc3o2)cn1 ZINC000453406452 338839490 /nfs/dbraw/zinc/83/94/90/338839490.db2.gz QZBSNKSYMRKABT-GFCCVEGCSA-N 0 3 315.804 4.078 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000453408561 338839804 /nfs/dbraw/zinc/83/98/04/338839804.db2.gz FDDZOQZMVAASOA-CKEIUWERSA-N 0 3 317.454 4.328 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2ccc(F)cc2OC(F)F)nc1 ZINC000453418373 338840763 /nfs/dbraw/zinc/84/07/63/338840763.db2.gz JFVKDOUMFVFMIL-LBPRGKRZSA-N 0 3 324.346 4.024 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2ccccc2OC(F)(F)F)nc1 ZINC000453423875 338841175 /nfs/dbraw/zinc/84/11/75/338841175.db2.gz MRBGOKLXJQWJGT-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCc1ccc(C)cn1 ZINC000453423118 338841237 /nfs/dbraw/zinc/84/12/37/338841237.db2.gz MKGWKFZORDEBSL-ZDUSSCGKSA-N 0 3 317.502 4.346 20 0 DIADHN CC[C@]1(C(C)C)C[C@@H]1N[C@@H](Cc1ccccn1)c1cccnc1 ZINC000453456652 338843471 /nfs/dbraw/zinc/84/34/71/338843471.db2.gz VBZKQMXASLHIQJ-SLFFLAALSA-N 0 3 309.457 4.175 20 0 DIADHN CC[C@@H](NCc1cncc(Br)c1)c1ccc(C)cc1 ZINC000163840689 338846369 /nfs/dbraw/zinc/84/63/69/338846369.db2.gz ZHNYBWPZALVHEE-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN CC1(C)C[C@@H](CNCc2csc(-c3ccsc3)n2)CCO1 ZINC000453584938 338849200 /nfs/dbraw/zinc/84/92/00/338849200.db2.gz RIDPEVZVAZYLJO-LBPRGKRZSA-N 0 3 322.499 4.166 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2ccoc21)c1cccs1 ZINC000453600643 338850099 /nfs/dbraw/zinc/85/00/99/338850099.db2.gz QOZJFJQUNBSURM-QGZVFWFLSA-N 0 3 315.438 4.344 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@@H](c1ccco1)N(C)C ZINC000195615622 338910493 /nfs/dbraw/zinc/91/04/93/338910493.db2.gz WZUYSLOOHFWJLQ-ZBFHGGJFSA-N 0 3 312.413 4.288 20 0 DIADHN Clc1cccc(CN[C@@H]2COc3ccccc3C2)c1Cl ZINC000195719257 338914606 /nfs/dbraw/zinc/91/46/06/338914606.db2.gz AHGSCVTXMWPDQU-ZDUSSCGKSA-N 0 3 308.208 4.087 20 0 DIADHN CC[C@H](CC(F)F)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000457433974 338963533 /nfs/dbraw/zinc/96/35/33/338963533.db2.gz DURUFQJKTIVOCJ-OAHLLOKOSA-N 0 3 324.415 4.292 20 0 DIADHN Cc1cc(NC(=O)[C@H](c2ccccc2)C2CC2)ccc1CN(C)C ZINC000458271068 338994246 /nfs/dbraw/zinc/99/42/46/338994246.db2.gz UDZDKOHKMAZPEX-HXUWFJFHSA-N 0 3 322.452 4.189 20 0 DIADHN Cc1cc(NC(=O)C2(c3ccccc3)CCC2)ccc1CN(C)C ZINC000458294125 338995039 /nfs/dbraw/zinc/99/50/39/338995039.db2.gz VJVBLUOUUYICRJ-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1cc(NC(=O)C(C)(C)c2cccs2)ccc1CN(C)C ZINC000458296417 338995110 /nfs/dbraw/zinc/99/51/10/338995110.db2.gz XNGDRYIIFOOBSL-UHFFFAOYSA-N 0 3 316.470 4.034 20 0 DIADHN Cc1cc(NC(=O)C[C@@H](C)c2ccccc2)ccc1CN(C)C ZINC000458325864 338996223 /nfs/dbraw/zinc/99/62/23/338996223.db2.gz UFAJVEJSHGDNPQ-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN CC1CCN(Cc2cn(C3CCCC3)nc2-c2ccccn2)CC1 ZINC000459304530 339020349 /nfs/dbraw/zinc/02/03/49/339020349.db2.gz UJRJQJVJWYCDMJ-UHFFFAOYSA-N 0 3 324.472 4.292 20 0 DIADHN C[C@H](c1cccs1)N(CCc1cn[nH]c1)Cc1ccccc1 ZINC000459325757 339021802 /nfs/dbraw/zinc/02/18/02/339021802.db2.gz LWJWHWIAANJZBY-OAHLLOKOSA-N 0 3 311.454 4.277 20 0 DIADHN c1ccc(CN(Cc2cnc(C3CC3)nc2)CC2CCC2)cc1 ZINC000459326470 339021969 /nfs/dbraw/zinc/02/19/69/339021969.db2.gz MTNDUFLKYKXTRY-UHFFFAOYSA-N 0 3 307.441 4.156 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459337767 339022682 /nfs/dbraw/zinc/02/26/82/339022682.db2.gz GAQKETCUCLXFJB-QAPCUYQASA-N 0 3 307.441 4.040 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459343598 339023099 /nfs/dbraw/zinc/02/30/99/339023099.db2.gz LTASZZZNTYDHKP-CYBMUJFWSA-N 0 3 315.848 4.070 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1c(C)nc2scc(C3CC3)n12 ZINC000459342811 339023244 /nfs/dbraw/zinc/02/32/44/339023244.db2.gz KTMYBVWLHJIVJF-LLVKDONJSA-N 0 3 323.531 4.155 20 0 DIADHN Cn1cncc1CCN(Cc1ccccc1Cl)C1CCCC1 ZINC000459348561 339023665 /nfs/dbraw/zinc/02/36/65/339023665.db2.gz YXKWMWVPUFMGEN-UHFFFAOYSA-N 0 3 317.864 4.061 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc(C3CC3)nc2)c1C ZINC000459357701 339024153 /nfs/dbraw/zinc/02/41/53/339024153.db2.gz DBPSGWHBOOOEPK-LJQANCHMSA-N 0 3 307.441 4.308 20 0 DIADHN CN(c1ccccc1NC1CCN(C2CC2)CC1)C1CCCC1 ZINC000459364536 339024905 /nfs/dbraw/zinc/02/49/05/339024905.db2.gz SMHBVEFWHXSTSB-UHFFFAOYSA-N 0 3 313.489 4.104 20 0 DIADHN CC(C)(C)Oc1cc(F)ccc1NC1CCN(C2CC2)CC1 ZINC000459368649 339025010 /nfs/dbraw/zinc/02/50/10/339025010.db2.gz YQDLQFMTLGDACR-UHFFFAOYSA-N 0 3 306.425 4.042 20 0 DIADHN CCOc1cc(CN[C@]2(C)CCCc3ccccc32)ccc1O ZINC000459414795 339027852 /nfs/dbraw/zinc/02/78/52/339027852.db2.gz OLFNHANHEJCUFB-HXUWFJFHSA-N 0 3 311.425 4.132 20 0 DIADHN CC1(C)CC[C@H](NCc2cnc(C3CC3)nc2)c2ccccc21 ZINC000459436529 339029072 /nfs/dbraw/zinc/02/90/72/339029072.db2.gz XELQXLZASJGCGN-SFHVURJKSA-N 0 3 307.441 4.256 20 0 DIADHN CCOc1cc(CNC(C)(C)c2cccc(Cl)c2)ccc1O ZINC000459437165 339029132 /nfs/dbraw/zinc/02/91/32/339029132.db2.gz RAMBSWREGQZNFF-UHFFFAOYSA-N 0 3 319.832 4.469 20 0 DIADHN Cc1c(C)c(C)c(CNCc2cnc(C3CC3)nc2)c(C)c1C ZINC000459449149 339029812 /nfs/dbraw/zinc/02/98/12/339029812.db2.gz MHLDAPVHWQAEII-UHFFFAOYSA-N 0 3 309.457 4.186 20 0 DIADHN CCOc1cc(C[NH2+][C@H]2CCCC(F)(F)C2)cc(Cl)c1[O-] ZINC000459451053 339029939 /nfs/dbraw/zinc/02/99/39/339029939.db2.gz VGEUWQBOCPSKEP-NSHDSACASA-N 0 3 319.779 4.112 20 0 DIADHN c1ccc([C@H](NCc2ccc(C3CC3)cc2)[C@H]2CCOC2)cc1 ZINC000459472250 339031191 /nfs/dbraw/zinc/03/11/91/339031191.db2.gz VPHIRMBKDKBPBZ-SFTDATJTSA-N 0 3 307.437 4.431 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cnc(C2CC2)nc1 ZINC000459472579 339031194 /nfs/dbraw/zinc/03/11/94/339031194.db2.gz CAUOPNUMWUHZJU-LIRRHRJNSA-N 0 3 307.441 4.157 20 0 DIADHN c1coc([C@H]2N([C@H]3CCN(Cc4ccccc4)C3)CC23CCC3)c1 ZINC000459507088 339033268 /nfs/dbraw/zinc/03/32/68/339033268.db2.gz ILRMWCBRQAVWPT-AZUAARDMSA-N 0 3 322.452 4.081 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCc1cccc(Cl)c1 ZINC000459553510 339035332 /nfs/dbraw/zinc/03/53/32/339035332.db2.gz YIDHQHXCMDBYMC-MRXNPFEDSA-N 0 3 303.837 4.060 20 0 DIADHN CC[C@@H]1CCN1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459555357 339035475 /nfs/dbraw/zinc/03/54/75/339035475.db2.gz HLEFTLRFDKUJTC-MRXNPFEDSA-N 0 3 310.445 4.045 20 0 DIADHN CCOc1cc(CN[C@H]2CCCc3c(F)cccc32)ccc1O ZINC000459560197 339035674 /nfs/dbraw/zinc/03/56/74/339035674.db2.gz CRVUBBUDTIKTHA-KRWDZBQOSA-N 0 3 315.388 4.097 20 0 DIADHN C[C@@H](NCc1cnc(C2CC2)nc1)c1ccc(F)c2ccccc21 ZINC000459563247 339035971 /nfs/dbraw/zinc/03/59/71/339035971.db2.gz CFXWBAZXPPEHQC-CYBMUJFWSA-N 0 3 321.399 4.497 20 0 DIADHN Cc1csc(CNCc2c(C)nc3scc(C4CC4)n23)c1 ZINC000459571537 339036524 /nfs/dbraw/zinc/03/65/24/339036524.db2.gz GMUZNAVGUPWYLA-UHFFFAOYSA-N 0 3 317.483 4.241 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000459570379 339036594 /nfs/dbraw/zinc/03/65/94/339036594.db2.gz ZXHSYBVOIATHPA-IRXDYDNUSA-N 0 3 317.379 4.414 20 0 DIADHN COCCCCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459592715 339037860 /nfs/dbraw/zinc/03/78/60/339037860.db2.gz NSGYOORTOUZQJC-INIZCTEOSA-N 0 3 321.852 4.170 20 0 DIADHN Cc1ccc(SCCN[C@@H](C)c2cncc(F)c2)cc1C ZINC000459618856 339038732 /nfs/dbraw/zinc/03/87/32/339038732.db2.gz GONXDCPYNQWAJL-AWEZNQCLSA-N 0 3 304.434 4.280 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC4(C3)CCOCC4)s2)cc1 ZINC000459628988 339039201 /nfs/dbraw/zinc/03/92/01/339039201.db2.gz ZRUSDDAEAYHUPT-UHFFFAOYSA-N 0 3 317.429 4.167 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1cncc(F)c1 ZINC000459655349 339040897 /nfs/dbraw/zinc/04/08/97/339040897.db2.gz VAHHHALIUNJQHS-OWTLUSIOSA-N 0 3 314.404 4.121 20 0 DIADHN Cc1ccc(CNC2CC(c3cc(F)cc(F)c3)C2)nc1C ZINC000459667308 339041725 /nfs/dbraw/zinc/04/17/25/339041725.db2.gz MGKYWWOVRYXFFI-UHFFFAOYSA-N 0 3 302.368 4.012 20 0 DIADHN CN(Cc1cnc(C2CC2)nc1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000459667896 339041799 /nfs/dbraw/zinc/04/17/99/339041799.db2.gz DKGWYXNGXSWDQQ-RBUKOAKNSA-N 0 3 307.441 4.122 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)o1 ZINC000459675446 339042437 /nfs/dbraw/zinc/04/24/37/339042437.db2.gz MLXOXEZUNMEKLS-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ncc(CNC2CC(c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000459676802 339042498 /nfs/dbraw/zinc/04/24/98/339042498.db2.gz ORCJBHUVOCNJFA-UHFFFAOYSA-N 0 3 310.319 4.038 20 0 DIADHN CN(Cc1nc(-c2ccco2)no1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000459677174 339042579 /nfs/dbraw/zinc/04/25/79/339042579.db2.gz PJVUCQPYCKDKEA-JKSUJKDBSA-N 0 3 323.396 4.098 20 0 DIADHN CN(Cc1nc(-c2ccco2)no1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000459677171 339042608 /nfs/dbraw/zinc/04/26/08/339042608.db2.gz PJVUCQPYCKDKEA-HZPDHXFCSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)o1 ZINC000459679339 339042742 /nfs/dbraw/zinc/04/27/42/339042742.db2.gz CBDLSLKDQWXXFM-RHSMWYFYSA-N 0 3 304.821 4.137 20 0 DIADHN C[C@@H]1CCc2nc(CNC3CCC(C(F)F)CC3)sc2C1 ZINC000459711808 339043815 /nfs/dbraw/zinc/04/38/15/339043815.db2.gz MLPVQSXUAYJRSV-VOMCLLRMSA-N 0 3 314.445 4.181 20 0 DIADHN CC(C)(C)c1cnc(CNC2CCC(C(F)F)CC2)s1 ZINC000459714112 339043939 /nfs/dbraw/zinc/04/39/39/339043939.db2.gz PLNRGWXZZJDESY-UHFFFAOYSA-N 0 3 302.434 4.354 20 0 DIADHN C[C@@H](N[C@@H]1CC=C(c2ccc(Cl)cc2)CC1)c1ccn(C)n1 ZINC000459739903 339045445 /nfs/dbraw/zinc/04/54/45/339045445.db2.gz AZBGXBMZKFIDPS-CXAGYDPISA-N 0 3 315.848 4.360 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1ccc(-c2ccccc2OC)cc1 ZINC000459743793 339045789 /nfs/dbraw/zinc/04/57/89/339045789.db2.gz QDHNFOONGCLHKV-MOPGFXCFSA-N 0 3 311.425 4.019 20 0 DIADHN c1ccc(Oc2ccc(CNC3(C4CCC4)CCC3)nn2)cc1 ZINC000459752195 339046261 /nfs/dbraw/zinc/04/62/61/339046261.db2.gz BEIAPZIJIABPOU-UHFFFAOYSA-N 0 3 309.413 4.081 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1ccn(C)n1 ZINC000459757236 339046541 /nfs/dbraw/zinc/04/65/41/339046541.db2.gz PTWUNCUGXDQHRN-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN C[C@@H](NCCCc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000459758050 339046732 /nfs/dbraw/zinc/04/67/32/339046732.db2.gz QHIWMSTWNWWCSD-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC2(C3CCC3)CCC2)c(Cl)c1 ZINC000459777859 339047993 /nfs/dbraw/zinc/04/79/93/339047993.db2.gz SNRKLXMOOFNLAQ-UHFFFAOYSA-N 0 3 320.864 4.208 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C1CCC1 ZINC000459787152 339048606 /nfs/dbraw/zinc/04/86/06/339048606.db2.gz DKLMHFGZYBVVLE-OAHLLOKOSA-N 0 3 319.836 4.448 20 0 DIADHN Clc1cccc(-c2cnc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)o2)c1 ZINC000459793981 339048935 /nfs/dbraw/zinc/04/89/35/339048935.db2.gz VWRGHSVIYXABFY-BYCMXARLSA-N 0 3 302.805 4.273 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)CSC)c2ccccc2)cc1 ZINC000166084328 339053128 /nfs/dbraw/zinc/05/31/28/339053128.db2.gz BDHDSOBFMVMFMF-KSSFIOAISA-N 0 3 301.455 4.126 20 0 DIADHN C[C@@H](NC[C@H]1CCN(c2ccccc2F)C1)c1ccccc1F ZINC000461053943 339058386 /nfs/dbraw/zinc/05/83/86/339058386.db2.gz RATLAIMQZCIDDV-HUUCEWRRSA-N 0 3 316.395 4.142 20 0 DIADHN Cc1c([C@@H](C)NCCc2ccco2)cnn1-c1cc(C)ccc1C ZINC000461114003 339059686 /nfs/dbraw/zinc/05/96/86/339059686.db2.gz YLXATJFTHUICGI-MRXNPFEDSA-N 0 3 323.440 4.284 20 0 DIADHN O[C@H](CN[C@@H](c1ccc(Cl)cc1)C1CCCC1)C(F)(F)F ZINC000461357434 339062387 /nfs/dbraw/zinc/06/23/87/339062387.db2.gz CKWHAXLEDYZXOA-ZIAGYGMSSA-N 0 3 321.770 4.084 20 0 DIADHN O[C@H](CN[C@H](c1ccc(Cl)cc1)C1CCCC1)C(F)(F)F ZINC000461357432 339062401 /nfs/dbraw/zinc/06/24/01/339062401.db2.gz CKWHAXLEDYZXOA-KGLIPLIRSA-N 0 3 321.770 4.084 20 0 DIADHN CC(C)COC[C@@H](N[C@@H]1CCc2ccccc2C1)c1ccco1 ZINC000462042334 339071200 /nfs/dbraw/zinc/07/12/00/339071200.db2.gz XSHGBICSIGPENT-RTBURBONSA-N 0 3 313.441 4.140 20 0 DIADHN Cc1cc(N2Cc3ccc(O)cc3C3(CC3)C2)c2ccccc2n1 ZINC000462095193 339072124 /nfs/dbraw/zinc/07/21/24/339072124.db2.gz YFOSTEICXUHUFT-UHFFFAOYSA-N 0 3 316.404 4.301 20 0 DIADHN COc1cccc(C=C2CCN(CCCc3ccncc3)CC2)c1 ZINC000462318143 339075816 /nfs/dbraw/zinc/07/58/16/339075816.db2.gz WCNWGECBFAYNTL-UHFFFAOYSA-N 0 3 322.452 4.202 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1ccc(C(F)(F)F)cc1 ZINC000462363193 339076776 /nfs/dbraw/zinc/07/67/76/339076776.db2.gz YGBLKCUMCJCFJG-LBPRGKRZSA-N 0 3 301.352 4.173 20 0 DIADHN COc1ccc(CN[C@H](C)COc2cccc(C)c2)cc1Cl ZINC000462367450 339076949 /nfs/dbraw/zinc/07/69/49/339076949.db2.gz LVFONIZDSCXWML-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN Cc1ccoc1CN[C@@H](C)COc1ccccc1C(F)(F)F ZINC000462370628 339077076 /nfs/dbraw/zinc/07/70/76/339077076.db2.gz SHVQWGBLRAIOMD-LBPRGKRZSA-N 0 3 313.319 4.164 20 0 DIADHN Cc1ccoc1CN[C@H](C)COc1ccccc1C(F)(F)F ZINC000462370624 339077174 /nfs/dbraw/zinc/07/71/74/339077174.db2.gz SHVQWGBLRAIOMD-GFCCVEGCSA-N 0 3 313.319 4.164 20 0 DIADHN CC[C@@H](C)NC(=O)CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462463142 339079408 /nfs/dbraw/zinc/07/94/08/339079408.db2.gz KAIHKMRMZNFRJE-OLZOCXBDSA-N 0 3 318.848 4.059 20 0 DIADHN CC[C@H](C)NC(=O)CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462463144 339079414 /nfs/dbraw/zinc/07/94/14/339079414.db2.gz KAIHKMRMZNFRJE-STQMWFEESA-N 0 3 318.848 4.059 20 0 DIADHN C[C@@H](NCCCc1ccncc1)c1nc(C(C)(C)C)cs1 ZINC000462478825 339079798 /nfs/dbraw/zinc/07/97/98/339079798.db2.gz JZMXUHGVSRFNPL-CYBMUJFWSA-N 0 3 303.475 4.119 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1ccc2c[nH]nc2c1 ZINC000462541625 339080791 /nfs/dbraw/zinc/08/07/91/339080791.db2.gz FSWPLGYZFFYFBN-VLIAUNLRSA-N 0 3 305.425 4.366 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC[C@@H]2Oc2ccccc2C)cn1 ZINC000462552978 339081242 /nfs/dbraw/zinc/08/12/42/339081242.db2.gz PDDNKELFKXMYAV-ICSRJNTNSA-N 0 3 310.441 4.178 20 0 DIADHN COc1ccc(CNCCc2cc3ccccc3o2)cc1Cl ZINC000462727388 339085095 /nfs/dbraw/zinc/08/50/95/339085095.db2.gz OGQBAPYSMNUTBA-UHFFFAOYSA-N 0 3 315.800 4.427 20 0 DIADHN COc1cc(CNC/C(C)=C/c2ccccc2)c2ccccc2n1 ZINC000462733167 339085457 /nfs/dbraw/zinc/08/54/57/339085457.db2.gz GXJVUXJOPLASDP-FOWTUZBSSA-N 0 3 318.420 4.437 20 0 DIADHN COc1ccc(CN[C@H](C)CCc2ccc(C)o2)c(Cl)c1 ZINC000462733335 339085510 /nfs/dbraw/zinc/08/55/10/339085510.db2.gz CXVBZZBBHQWDQE-GFCCVEGCSA-N 0 3 307.821 4.361 20 0 DIADHN Cc1ccc(O[C@H](C)CNCc2cc3cc(F)ccc3o2)cc1 ZINC000462736682 339085681 /nfs/dbraw/zinc/08/56/81/339085681.db2.gz UDSZMLPFRQNGTJ-CQSZACIVSA-N 0 3 313.372 4.437 20 0 DIADHN C[C@H](CNCc1ccc(Cl)c(F)c1)Oc1ccccc1F ZINC000462737794 339085888 /nfs/dbraw/zinc/08/58/88/339085888.db2.gz XAYXBFAIOVVIGC-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2cccc(F)c2)cc1Cl ZINC000462737126 339085903 /nfs/dbraw/zinc/08/59/03/339085903.db2.gz PGGODIXYACURHY-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@H](CCc1cccn1C)NCc1ccc(Cl)cc1Cl ZINC000462739953 339086162 /nfs/dbraw/zinc/08/61/62/339086162.db2.gz PNKCJFVIKFYGQY-GFCCVEGCSA-N 0 3 311.256 4.443 20 0 DIADHN CC(C)(CNCc1cccc(O)c1)c1c(F)cccc1Cl ZINC000462739323 339086190 /nfs/dbraw/zinc/08/61/90/339086190.db2.gz VXWKOUACMQZJRT-UHFFFAOYSA-N 0 3 307.796 4.252 20 0 DIADHN CO[C@H](CNC/C=C/c1ccccc1)c1ccc(Cl)cc1 ZINC000462740443 339086208 /nfs/dbraw/zinc/08/62/08/339086208.db2.gz HUWVNPWRAYNXIP-KRZKXXONSA-N 0 3 301.817 4.331 20 0 DIADHN C[C@H](CNCc1cc(F)ccc1F)Oc1ccc(Cl)cc1 ZINC000462742799 339086287 /nfs/dbraw/zinc/08/62/87/339086287.db2.gz BLBKGDUHWRJWCW-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Cc1ccoc1CN[C@@H](COc1ccccc1F)C(C)(C)C ZINC000462743137 339086397 /nfs/dbraw/zinc/08/63/97/339086397.db2.gz JDFULYZTUFXGTB-KRWDZBQOSA-N 0 3 305.393 4.310 20 0 DIADHN CO[C@@H](CNCc1cc(C)ccc1C)c1cccc(Cl)c1 ZINC000462745665 339086432 /nfs/dbraw/zinc/08/64/32/339086432.db2.gz LLDNKSKYWZHNOQ-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN Brc1cc(CN[C@@H]2C[C@@H]2Cc2ccccc2)cs1 ZINC000462760134 339087189 /nfs/dbraw/zinc/08/71/89/339087189.db2.gz FIVICRWHMOQZSH-UONOGXRCSA-N 0 3 322.271 4.231 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC000462762022 339087295 /nfs/dbraw/zinc/08/72/95/339087295.db2.gz JOAVAENIMVTJLQ-PBHICJAKSA-N 0 3 301.817 4.069 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000462763077 339087435 /nfs/dbraw/zinc/08/74/35/339087435.db2.gz NUEOELYJLIXXJR-PKOBYXMFSA-N 0 3 319.452 4.167 20 0 DIADHN c1ccc(C[C@H]2C[C@H]2NCc2ccccc2OCC2CC2)cc1 ZINC000462763128 339087454 /nfs/dbraw/zinc/08/74/54/339087454.db2.gz LFGFWRPPJMEQHZ-VQTJNVASSA-N 0 3 307.437 4.196 20 0 DIADHN c1ccc(C[C@@H]2C[C@H]2NCc2ccccc2OCC2CC2)cc1 ZINC000462763130 339087512 /nfs/dbraw/zinc/08/75/12/339087512.db2.gz LFGFWRPPJMEQHZ-WOJBJXKFSA-N 0 3 307.437 4.196 20 0 DIADHN Clc1ccc2nc(CN[C@H]3C[C@H]3C3CCCCC3)cn2c1 ZINC000462768515 339087587 /nfs/dbraw/zinc/08/75/87/339087587.db2.gz YRKDZEFXXFIGJV-HOTGVXAUSA-N 0 3 303.837 4.046 20 0 DIADHN Clc1ccc2ncc(CN[C@H]3C[C@H]3C3CCCCC3)n2c1 ZINC000462767653 339087668 /nfs/dbraw/zinc/08/76/68/339087668.db2.gz SYSUCBXYCLLGMO-HOTGVXAUSA-N 0 3 303.837 4.046 20 0 DIADHN Cc1ccoc1CNCCCOc1ccc(Cl)cc1Cl ZINC000462771004 339087715 /nfs/dbraw/zinc/08/77/15/339087715.db2.gz AXQUKPSBYJSGSE-UHFFFAOYSA-N 0 3 314.212 4.454 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2cc(C)ccc2F)cc1 ZINC000462769201 339087786 /nfs/dbraw/zinc/08/77/86/339087786.db2.gz XRTOJTRDNYLUPM-HNNXBMFYSA-N 0 3 301.405 4.426 20 0 DIADHN c1c(CN[C@H]2CCCC[C@@H]2Cc2ccccc2)nc2ccccn12 ZINC000462771540 339087804 /nfs/dbraw/zinc/08/78/04/339087804.db2.gz FRENHMOWCUTNOG-QUCCMNQESA-N 0 3 319.452 4.225 20 0 DIADHN COc1ccc(F)cc1CNC[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000462783321 339088295 /nfs/dbraw/zinc/08/82/95/339088295.db2.gz MMRZRLIEWFWIBU-AAEUAGOBSA-N 0 3 319.342 4.293 20 0 DIADHN COc1ccc(F)cc1CNC[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000462783324 339088327 /nfs/dbraw/zinc/08/83/27/339088327.db2.gz MMRZRLIEWFWIBU-YPMHNXCESA-N 0 3 319.342 4.293 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H](C)CCc2ccsc2)o1 ZINC000462792912 339089034 /nfs/dbraw/zinc/08/90/34/339089034.db2.gz XIMWLMXHIWMJOW-XJKSGUPXSA-N 0 3 307.459 4.202 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)CCc2ccsc2)o1 ZINC000462792909 339089060 /nfs/dbraw/zinc/08/90/60/339089060.db2.gz XIMWLMXHIWMJOW-BBRMVZONSA-N 0 3 307.459 4.202 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCC[C@@H]2C(F)(F)F)cs1 ZINC000462800209 339089698 /nfs/dbraw/zinc/08/96/98/339089698.db2.gz ASPQKBKNFSCMHN-WCQGTBRESA-N 0 3 306.397 4.471 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000462816782 339090197 /nfs/dbraw/zinc/09/01/97/339090197.db2.gz RUUNFHQMHJOHRX-MVWJERBFSA-N 0 3 306.397 4.471 20 0 DIADHN C[C@@H](NCC[C@H]1CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462807684 339090431 /nfs/dbraw/zinc/09/04/31/339090431.db2.gz MRUCPLRSGVJVPU-OLZOCXBDSA-N 0 3 320.433 4.026 20 0 DIADHN CC[C@@H](NC[C@@](C)(OC)C1CC1)c1ccccc1OC(F)F ZINC000462808890 339090607 /nfs/dbraw/zinc/09/06/07/339090607.db2.gz QBFNRUIVPSUOPE-RHSMWYFYSA-N 0 3 313.388 4.144 20 0 DIADHN CSC1(CN[C@H](C)c2csc(-c3ccccc3F)n2)CC1 ZINC000462809855 339090705 /nfs/dbraw/zinc/09/07/05/339090705.db2.gz JDSNFEGXLIOFSL-LLVKDONJSA-N 0 3 322.474 4.495 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H]2CCN(c3ccccc3F)C2)o1 ZINC000462811009 339090881 /nfs/dbraw/zinc/09/08/81/339090881.db2.gz FFFUZMOQKYANGQ-LSDHHAIUSA-N 0 3 316.420 4.158 20 0 DIADHN CC(C)C[C@H](NCc1c2c(nn1C)CCCC2)c1cccs1 ZINC000462820252 339091902 /nfs/dbraw/zinc/09/19/02/339091902.db2.gz UUMAAADVCOUMEG-INIZCTEOSA-N 0 3 317.502 4.237 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000462822175 339092052 /nfs/dbraw/zinc/09/20/52/339092052.db2.gz SYTWWAUGYSBMRX-SJKOYZFVSA-N 0 3 313.388 4.062 20 0 DIADHN CCN(Cc1cnc(-c2ccc(Cl)cc2)s1)C[C@@H](C)OC ZINC000462829161 339092878 /nfs/dbraw/zinc/09/28/78/339092878.db2.gz XPQXPRPBUHXUQF-GFCCVEGCSA-N 0 3 324.877 4.320 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC000462831432 339093019 /nfs/dbraw/zinc/09/30/19/339093019.db2.gz CGKKPBVSHWHWQU-KFKAGJAMSA-N 0 3 310.441 4.215 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2OC)cc1)C[C@H](C)OC ZINC000462832972 339093194 /nfs/dbraw/zinc/09/31/94/339093194.db2.gz NEBBFUYYVYRDIN-INIZCTEOSA-N 0 3 313.441 4.219 20 0 DIADHN C/C(=C\c1ccccc1)CN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000462832010 339093239 /nfs/dbraw/zinc/09/32/39/339093239.db2.gz FYGAKTIQGUYZNU-LFIBNONCSA-N 0 3 305.421 4.343 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1cccc(C(F)(F)F)c1 ZINC000462836790 339093524 /nfs/dbraw/zinc/09/35/24/339093524.db2.gz UBHNILPIJWVNKV-LBPRGKRZSA-N 0 3 301.352 4.173 20 0 DIADHN CC[C@H](C(=O)N[C@H](C)c1ccc(Cl)c2ccccc21)N(C)C ZINC000462838734 339093617 /nfs/dbraw/zinc/09/36/17/339093617.db2.gz ZMPOULZDYUOEBE-SJKOYZFVSA-N 0 3 318.848 4.011 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](C)c1ccc(Cl)c2ccccc21)N(C)C ZINC000462838733 339093658 /nfs/dbraw/zinc/09/36/58/339093658.db2.gz ZMPOULZDYUOEBE-SJCJKPOMSA-N 0 3 318.848 4.011 20 0 DIADHN C[C@H](CNc1ccnc2ccsc21)N(C)Cc1ccccc1 ZINC000462839593 339093785 /nfs/dbraw/zinc/09/37/85/339093785.db2.gz NOLYUMTVYNVPOM-CQSZACIVSA-N 0 3 311.454 4.229 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCOCC3CCC3)oc21 ZINC000462846255 339094038 /nfs/dbraw/zinc/09/40/38/339094038.db2.gz AAJQKVYKFNEXEO-AWEZNQCLSA-N 0 3 317.429 4.299 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1ccc(-c2ccncc2)cc1 ZINC000462846877 339094116 /nfs/dbraw/zinc/09/41/16/339094116.db2.gz VHKFWQVTAGPVBG-INIZCTEOSA-N 0 3 310.441 4.216 20 0 DIADHN Brc1ccc([C@H]2C[C@H](NCc3ccco3)C2)cc1 ZINC000462860313 339094749 /nfs/dbraw/zinc/09/47/49/339094749.db2.gz ODLHDFOINYMMIB-MQMHXKEQSA-N 0 3 306.203 4.078 20 0 DIADHN C[C@@H](CCC1CCCC1)Nc1cccc(CN2CC[C@@H](O)C2)c1 ZINC000462868794 339095344 /nfs/dbraw/zinc/09/53/44/339095344.db2.gz BRFHZWAEVPCUGE-OXJNMPFZSA-N 0 3 316.489 4.024 20 0 DIADHN CC(C)N(Cc1ccc(-c2cccc(F)c2F)o1)[C@H]1CCOC1 ZINC000462872926 339095646 /nfs/dbraw/zinc/09/56/46/339095646.db2.gz WQXJPEVRAAKEML-ZDUSSCGKSA-N 0 3 321.367 4.224 20 0 DIADHN CC(C)N(Cc1ccc(-c2ccc(F)cc2)o1)[C@H]1CCOC1 ZINC000462878827 339096039 /nfs/dbraw/zinc/09/60/39/339096039.db2.gz UMQUHUGOLSYHFF-INIZCTEOSA-N 0 3 303.377 4.085 20 0 DIADHN C[C@H](CC(C)(C)c1c[nH]c2ccccc21)NCc1nccs1 ZINC000462886683 339096374 /nfs/dbraw/zinc/09/63/74/339096374.db2.gz ICKNOVPGYFIAPZ-CYBMUJFWSA-N 0 3 313.470 4.470 20 0 DIADHN CC[C@@H](CN(CC)Cc1cn(C)nc1C(F)F)c1ccccc1 ZINC000462898226 339096741 /nfs/dbraw/zinc/09/67/41/339096741.db2.gz WDFCTQWINWINSV-AWEZNQCLSA-N 0 3 321.415 4.373 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cn(C)nc1C(F)F ZINC000462901940 339096919 /nfs/dbraw/zinc/09/69/19/339096919.db2.gz YULBGLIYZWODFF-HIFRSBDPSA-N 0 3 319.399 4.126 20 0 DIADHN c1nn2ccccc2c1CNCc1ccc(C2CCCC2)cc1 ZINC000462907957 339097312 /nfs/dbraw/zinc/09/73/12/339097312.db2.gz WTXBQICSTXASLL-UHFFFAOYSA-N 0 3 305.425 4.282 20 0 DIADHN CO[C@](C)(CNCc1cc(Cl)cc(C(F)(F)F)c1)C1CC1 ZINC000462911603 339097662 /nfs/dbraw/zinc/09/76/62/339097662.db2.gz GSQJWFWEVRBCRV-CQSZACIVSA-N 0 3 321.770 4.264 20 0 DIADHN Fc1ccccc1N1CC[C@@H](CNCc2cc3ccccc3o2)C1 ZINC000462912632 339097827 /nfs/dbraw/zinc/09/78/27/339097827.db2.gz RGEGKHNLTSUAQJ-HNNXBMFYSA-N 0 3 324.399 4.188 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2ccsc21)c1cccc(F)c1 ZINC000462917437 339098044 /nfs/dbraw/zinc/09/80/44/339098044.db2.gz SYVBUIOQZKIZEU-INIZCTEOSA-N 0 3 315.417 4.150 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1cn(C)nc1C(F)F ZINC000462950893 339098782 /nfs/dbraw/zinc/09/87/82/339098782.db2.gz QZQBSOBSEUJFIW-UHFFFAOYSA-N 0 3 301.425 4.252 20 0 DIADHN CC(C)C[C@H](N[C@H]1C[C@H](OC(C)C)C1(C)C)c1ccccn1 ZINC000463014229 339100476 /nfs/dbraw/zinc/10/04/76/339100476.db2.gz UXPFJTUUZBUUKQ-BZSNNMDCSA-N 0 3 304.478 4.351 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@H]2NC[C@H]1C[C@@H]1c1ccccc1 ZINC000463025232 339101088 /nfs/dbraw/zinc/10/10/88/339101088.db2.gz OTULQUNGBJIHGC-CKEIUWERSA-N 0 3 315.363 4.182 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2cccnc2)C1(C)C ZINC000463026114 339101205 /nfs/dbraw/zinc/10/12/05/339101205.db2.gz YSSYCWCPOPSQTP-ZCNNSNEGSA-N 0 3 324.468 4.353 20 0 DIADHN CN(CCc1ccccc1F)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463039425 339102592 /nfs/dbraw/zinc/10/25/92/339102592.db2.gz YZLBBDOICOYHKI-HIFRSBDPSA-N 0 3 303.343 4.421 20 0 DIADHN Cc1cn[nH]c1CN1CCC(c2cccc(Cl)c2Cl)CC1 ZINC000463046104 339102949 /nfs/dbraw/zinc/10/29/49/339102949.db2.gz YHHQXBJUVWHULB-UHFFFAOYSA-N 0 3 324.255 4.405 20 0 DIADHN C[C@H]1CCCN(Cc2nc3ccc(Br)cc3o2)CC1 ZINC000463070201 339103634 /nfs/dbraw/zinc/10/36/34/339103634.db2.gz DCGKEUMRYPIGKE-NSHDSACASA-N 0 3 323.234 4.212 20 0 DIADHN CC[C@H]1CCCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463072544 339104226 /nfs/dbraw/zinc/10/42/26/339104226.db2.gz WBKOMTZECNZXRJ-LBPRGKRZSA-N 0 3 323.234 4.355 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463073685 339104404 /nfs/dbraw/zinc/10/44/04/339104404.db2.gz JSDIDOYIZLJVLW-GHMZBOCLSA-N 0 3 323.234 4.068 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463092606 339105525 /nfs/dbraw/zinc/10/55/25/339105525.db2.gz KLEAVKUHDNHCCV-WDEREUQCSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nc2ccc(Br)cc2o1 ZINC000463105982 339105926 /nfs/dbraw/zinc/10/59/26/339105926.db2.gz OVRQYMGJGYTCOP-JQWIXIFHSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@H](N[C@H]1C[C@H]1c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000463126620 339106541 /nfs/dbraw/zinc/10/65/41/339106541.db2.gz JPMBAIXSAGBTGZ-NDXORKPFSA-N 0 3 317.436 4.138 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]1c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000463126618 339106563 /nfs/dbraw/zinc/10/65/63/339106563.db2.gz JPMBAIXSAGBTGZ-DQLDELGASA-N 0 3 317.436 4.138 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463165651 339107749 /nfs/dbraw/zinc/10/77/49/339107749.db2.gz WZIWCONIWAZFSP-JQWIXIFHSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2Cc2ccc(F)c(F)c2)C1 ZINC000463194278 339108734 /nfs/dbraw/zinc/10/87/34/339108734.db2.gz QSUMUZNGFSWWLH-LRHNDGHRSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2Cc2cc(F)ccc2F)C1 ZINC000463201935 339109165 /nfs/dbraw/zinc/10/91/65/339109165.db2.gz KHBLUYAYFAQWAG-HQJJXPTPSA-N 0 3 323.427 4.116 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc2ccc(Br)cc2o1 ZINC000463210067 339109676 /nfs/dbraw/zinc/10/96/76/339109676.db2.gz BDYALPOQGVHJHL-GXFFZTMASA-N 0 3 323.234 4.211 20 0 DIADHN CC(C(=O)Nc1ccccc1N1CCCCCC1)C(F)(F)F ZINC000463350536 339115440 /nfs/dbraw/zinc/11/54/40/339115440.db2.gz VOOPINNZRAEUBA-LBPRGKRZSA-N 0 3 314.351 4.204 20 0 DIADHN CCN(CC(=O)Nc1c(C)cc(C)cc1Cl)CC(C)(C)C ZINC000463366821 339115785 /nfs/dbraw/zinc/11/57/85/339115785.db2.gz FAOSXKQEAHNGJS-UHFFFAOYSA-N 0 3 310.869 4.263 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C(C)C)CC(C)(C)C ZINC000463377623 339116145 /nfs/dbraw/zinc/11/61/45/339116145.db2.gz VUTPRMLMOAQNLV-UHFFFAOYSA-N 0 3 304.478 4.425 20 0 DIADHN Cc1ccc([C@H](CNC(=O)CCCC2CCCC2)N(C)C)cc1 ZINC000463511096 339120000 /nfs/dbraw/zinc/12/00/00/339120000.db2.gz FEBHGJHMZWBIMQ-IBGZPJMESA-N 0 3 316.489 4.074 20 0 DIADHN COc1ccccc1[C@@H](C)NC1CC(OCc2ccccc2)C1 ZINC000463575838 339121870 /nfs/dbraw/zinc/12/18/70/339121870.db2.gz RZYYKEHFSVCQEM-FAEJEUNOSA-N 0 3 311.425 4.094 20 0 DIADHN CCC[C@H]1CCc2nc(NC(=O)C(C)C(F)(F)F)sc2C1 ZINC000463631187 339123019 /nfs/dbraw/zinc/12/30/19/339123019.db2.gz RMHQQUHEOCSRLS-BDAKNGLRSA-N 0 3 320.380 4.185 20 0 DIADHN CC(C)Cn1ccc2cc(NC(=O)C(C)C(F)(F)F)ccc21 ZINC000463651309 339123219 /nfs/dbraw/zinc/12/32/19/339123219.db2.gz TYMZPPICUYWUBY-NSHDSACASA-N 0 3 312.335 4.434 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000463741278 339125292 /nfs/dbraw/zinc/12/52/92/339125292.db2.gz ZJDKDOUIUUDGDD-INIZCTEOSA-N 0 3 305.853 4.259 20 0 DIADHN CC[C@@H](C)C[C@H](C)NC(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000463892502 339128168 /nfs/dbraw/zinc/12/81/68/339128168.db2.gz JNBJOLHWSUOSAZ-CVEARBPZSA-N 0 3 316.489 4.225 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNC[C@@H]2CCCCO2)c1 ZINC000464043770 339131679 /nfs/dbraw/zinc/13/16/79/339131679.db2.gz LZRGFOQXOGIGJL-KRWDZBQOSA-N 0 3 323.864 4.320 20 0 DIADHN CC(C(=O)Nc1ccc(COC2CCCC2)cc1)C(F)(F)F ZINC000464063977 339132669 /nfs/dbraw/zinc/13/26/69/339132669.db2.gz JMIFLQSBIPHCTJ-LLVKDONJSA-N 0 3 315.335 4.283 20 0 DIADHN COCC[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@@H]1C)c1ccc(C)o1 ZINC000464079640 339133473 /nfs/dbraw/zinc/13/34/73/339133473.db2.gz ZZCUIPVJRZXOHS-DSLXNQLJSA-N 0 3 313.441 4.447 20 0 DIADHN Cc1sc(CN[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)nc1C(C)C ZINC000464080004 339133613 /nfs/dbraw/zinc/13/36/13/339133613.db2.gz XIIJDQDKYPYBLG-OWCLPIDISA-N 0 3 322.518 4.260 20 0 DIADHN COC(=O)c1ccc(CN2CCCC3(C2)CCCCCC3)o1 ZINC000464767339 339151945 /nfs/dbraw/zinc/15/19/45/339151945.db2.gz WEAFVPXMBCYDRC-UHFFFAOYSA-N 0 3 305.418 4.003 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(Cl)ccc2OC)C1 ZINC000464768938 339152199 /nfs/dbraw/zinc/15/21/99/339152199.db2.gz CPKQRNNKIWBBFM-CABCVRRESA-N 0 3 313.894 4.455 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(Cl)c3cccnc23)CCS1 ZINC000464780992 339153404 /nfs/dbraw/zinc/15/34/04/339153404.db2.gz ZEEXNILKTGZEKM-INIZCTEOSA-N 0 3 320.889 4.462 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N(C)Cc1nccn1C(C)C ZINC000464785311 339153604 /nfs/dbraw/zinc/15/36/04/339153604.db2.gz CRCSKHZDGHLAPC-GOSISDBHSA-N 0 3 317.452 4.302 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCC(C)(C)C1 ZINC000464790202 339153849 /nfs/dbraw/zinc/15/38/49/339153849.db2.gz DZFXJORIKYFMGS-MRXNPFEDSA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@@](C)(NCCSc1ccccc1)c1nc(C)cs1 ZINC000464806789 339154683 /nfs/dbraw/zinc/15/46/83/339154683.db2.gz RCHNVOVKMBBAJM-MRXNPFEDSA-N 0 3 306.500 4.459 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1C[C@H](C)[C@H](C)C1 ZINC000464837490 339156418 /nfs/dbraw/zinc/15/64/18/339156418.db2.gz LUPJTKOKMXHJSR-IXDOHACOSA-N 0 3 322.452 4.268 20 0 DIADHN COc1ccc(OCCN2CC[C@H](C)C[C@H]2c2ccco2)cc1 ZINC000464863076 339158791 /nfs/dbraw/zinc/15/87/91/339158791.db2.gz ZJUINLALIGTRMO-YJBOKZPZSA-N 0 3 315.413 4.140 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](C)c2ccccc2C)cc1F ZINC000464866804 339159191 /nfs/dbraw/zinc/15/91/91/339159191.db2.gz SFUTZVXPMRZSFN-AWEZNQCLSA-N 0 3 315.388 4.114 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@H](CC)C[C@H](C)CO)o2)cc1 ZINC000465000265 339165067 /nfs/dbraw/zinc/16/50/67/339165067.db2.gz IQWOBUXVYWPDPY-MAUKXSAKSA-N 0 3 315.457 4.396 20 0 DIADHN CCC(C)(C)CC(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000465037558 339166316 /nfs/dbraw/zinc/16/63/16/339166316.db2.gz OUWPTZIHLIKDSV-UHFFFAOYSA-N 0 3 302.462 4.437 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccc(Br)o2)C1 ZINC000466392898 339202096 /nfs/dbraw/zinc/20/20/96/339202096.db2.gz QIHRLYVLDDASKM-UHFFFAOYSA-N 0 3 320.230 4.252 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000466402432 339202685 /nfs/dbraw/zinc/20/26/85/339202685.db2.gz WDPZPWYXYCJYID-IYARVYRRSA-N 0 3 317.436 4.421 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2cc(C(F)(F)F)ccc2F)C[C@H](C)O1 ZINC000466426790 339204441 /nfs/dbraw/zinc/20/44/41/339204441.db2.gz XAFAPBIMOKQDNW-ZSBIGDGJSA-N 0 3 319.342 4.138 20 0 DIADHN CCC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2CC)C1 ZINC000466485486 339207129 /nfs/dbraw/zinc/20/71/29/339207129.db2.gz VGVGYDSAFLIPHY-HOTGVXAUSA-N 0 3 302.462 4.088 20 0 DIADHN CC(C(=O)Nc1cc(Cl)c(Cl)cc1F)C(F)(F)F ZINC000466588833 339209924 /nfs/dbraw/zinc/20/99/24/339209924.db2.gz KKAUHCCVASPETE-SCSAIBSYSA-N 0 3 304.070 4.269 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1nc(-c2ccsc2)no1 ZINC000466696210 339213325 /nfs/dbraw/zinc/21/33/25/339213325.db2.gz MMRLTFALFHMTRL-CQSZACIVSA-N 0 3 305.447 4.199 20 0 DIADHN CC/C=C\CCN(Cc1nc2ccccc2c(=O)[nH]1)[C@H](C)CC ZINC000466813751 339217814 /nfs/dbraw/zinc/21/78/14/339217814.db2.gz FMOYPBQCZZYHKA-IDTUSYRASA-N 0 3 313.445 4.292 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nc3ccc(F)cc3o2)C1 ZINC000466825624 339218216 /nfs/dbraw/zinc/21/82/16/339218216.db2.gz MFCHLUZGYUNWFM-AWEZNQCLSA-N 0 3 310.372 4.265 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000466908188 339220967 /nfs/dbraw/zinc/22/09/67/339220967.db2.gz OOOVFSHUBCNZOW-CABCVRRESA-N 0 3 302.462 4.043 20 0 DIADHN COc1ccc(Oc2ccc(CN[C@@H](C)[C@@H](C)OC)cc2)cc1 ZINC000466914938 339221264 /nfs/dbraw/zinc/22/12/64/339221264.db2.gz CNSWEMLBQJNAFB-LSDHHAIUSA-N 0 3 315.413 4.001 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000466936900 339222278 /nfs/dbraw/zinc/22/22/78/339222278.db2.gz XHXXYRSVFRZNDY-RISCZKNCSA-N 0 3 306.356 4.240 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CC2CC(c3ccccc3)C2)cc1 ZINC000467006332 339224320 /nfs/dbraw/zinc/22/43/20/339224320.db2.gz HVZKCYZPFJZQGT-UHFFFAOYSA-N 0 3 322.452 4.271 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCSc2ccccc2)o1 ZINC000467020597 339224774 /nfs/dbraw/zinc/22/47/74/339224774.db2.gz BZUMYCHMLCHRLA-KRWDZBQOSA-N 0 3 317.454 4.355 20 0 DIADHN C[C@@H](Nc1ccnc2ccccc21)c1cccc(-n2cccn2)c1 ZINC000467029597 339224916 /nfs/dbraw/zinc/22/49/16/339224916.db2.gz VZJCAXOSUXFXNJ-OAHLLOKOSA-N 0 3 314.392 4.016 20 0 DIADHN CC(C)n1ccnc1CNC1(Cc2ccccc2)CCCCC1 ZINC000467186699 339227362 /nfs/dbraw/zinc/22/73/62/339227362.db2.gz NUBXGXNTXDMGHJ-UHFFFAOYSA-N 0 3 311.473 4.499 20 0 DIADHN C[C@]1(Cc2ccccc2)CCCN1Cc1nc2ccccc2o1 ZINC000467237961 339228880 /nfs/dbraw/zinc/22/88/80/339228880.db2.gz IWVITOFPMHNRPZ-HXUWFJFHSA-N 0 3 306.409 4.425 20 0 DIADHN COCC[C@@H]1CCCCN(CCc2c(F)cccc2Cl)C1 ZINC000467268631 339229337 /nfs/dbraw/zinc/22/93/37/339229337.db2.gz MTJVLLJZSCHXQN-AWEZNQCLSA-N 0 3 313.844 4.160 20 0 DIADHN C[C@H](NC[C@@H](O)CCc1ccccc1)c1cc2ccccc2o1 ZINC000467276261 339229607 /nfs/dbraw/zinc/22/96/07/339229607.db2.gz ZRFNRGJCJJHLGP-YJBOKZPZSA-N 0 3 309.409 4.077 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cc(Cl)ccc2Cl)C1 ZINC000467296514 339230273 /nfs/dbraw/zinc/23/02/73/339230273.db2.gz FKBAOLLGEOMISK-GFCCVEGCSA-N 0 3 302.245 4.242 20 0 DIADHN Fc1cncc([C@H](NCCc2ccc3ccccc3n2)C2CC2)c1 ZINC000467335011 339232145 /nfs/dbraw/zinc/23/21/45/339232145.db2.gz PHDIFRLWDIERFT-HXUWFJFHSA-N 0 3 321.399 4.052 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1)c1cccc(F)c1F ZINC000467351703 339233365 /nfs/dbraw/zinc/23/33/65/339233365.db2.gz CGRWKWYZYYXDID-BYNSBNAKSA-N 0 3 309.400 4.211 20 0 DIADHN CC[C@@H](N[C@@H](C)[C@H](C)OC)c1cc(F)cc(Br)c1 ZINC000467364460 339234245 /nfs/dbraw/zinc/23/42/45/339234245.db2.gz CIWKDQAWHLFXDR-PKFCDNJMSA-N 0 3 318.230 4.052 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2cc3ccccc3o2)n1 ZINC000467367775 339234470 /nfs/dbraw/zinc/23/44/70/339234470.db2.gz SBPVNROERZULJL-KBPBESRZSA-N 0 3 310.397 4.118 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467371142 339234785 /nfs/dbraw/zinc/23/47/85/339234785.db2.gz TVFOGXJCIBVOTI-AUUYWEPGSA-N 0 3 314.404 4.037 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000467390206 339236215 /nfs/dbraw/zinc/23/62/15/339236215.db2.gz UUFIRIRONQVPHA-MJVIPROJSA-N 0 3 321.408 4.123 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000467390204 339236250 /nfs/dbraw/zinc/23/62/50/339236250.db2.gz UUFIRIRONQVPHA-COPLHBTASA-N 0 3 321.408 4.123 20 0 DIADHN C[C@H](N[C@H]1CCCc2c(Cl)sc(Cl)c21)[C@H]1CCOC1 ZINC000467404478 339236831 /nfs/dbraw/zinc/23/68/31/339236831.db2.gz KNRVFYYJLNRLFJ-QXEWZRGKSA-N 0 3 320.285 4.447 20 0 DIADHN Cc1ccc(C[C@@H](CO)N[C@H](C)c2ccc(F)cc2Cl)cc1 ZINC000467417488 339237433 /nfs/dbraw/zinc/23/74/33/339237433.db2.gz GERCHJDVNXOLTG-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@@H](NC[C@@H](CO)CC1CCCC1)c1csc(Cl)c1 ZINC000467441641 339238808 /nfs/dbraw/zinc/23/88/08/339238808.db2.gz AHTVGIQKHSQHBW-YPMHNXCESA-N 0 3 301.883 4.241 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1ccncc1OCC(F)(F)F ZINC000467444306 339239038 /nfs/dbraw/zinc/23/90/38/339239038.db2.gz HCGQAGAZKMNGPU-ZDUSSCGKSA-N 0 3 316.367 4.247 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1nc2ccccc2s1 ZINC000467510145 339240469 /nfs/dbraw/zinc/24/04/69/339240469.db2.gz CXVNGWDAEGKRBO-ZDUSSCGKSA-N 0 3 312.438 4.065 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2Cc2ccsc2Cl)C1 ZINC000467544426 339241654 /nfs/dbraw/zinc/24/16/54/339241654.db2.gz VLBMPLHLBOMQHU-RZFFKMDDSA-N 0 3 313.894 4.163 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2Cc2ccsc2Cl)C1 ZINC000467544425 339241794 /nfs/dbraw/zinc/24/17/94/339241794.db2.gz VLBMPLHLBOMQHU-NGFQHRJXSA-N 0 3 313.894 4.163 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3)C[C@H]2C)s1 ZINC000467550311 339242077 /nfs/dbraw/zinc/24/20/77/339242077.db2.gz JBGYEKCTPJNMHI-GDBMZVCRSA-N 0 3 300.471 4.474 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cccc2nccn21 ZINC000467550615 339242118 /nfs/dbraw/zinc/24/21/18/339242118.db2.gz LXARIXHXCHMAQJ-AEFFLSMTSA-N 0 3 305.425 4.102 20 0 DIADHN CSCCCN(C)Cc1ccc(OC(F)F)c(Cl)c1 ZINC000467553962 339242332 /nfs/dbraw/zinc/24/23/32/339242332.db2.gz LHJRBRGENHAFAX-UHFFFAOYSA-N 0 3 309.809 4.126 20 0 DIADHN Cc1[nH]nc2ncc(CN3CC[C@H](c4ccccc4)C[C@@H]3C)cc12 ZINC000467555047 339242432 /nfs/dbraw/zinc/24/24/32/339242432.db2.gz SFENNUYPEDJKIZ-KSSFIOAISA-N 0 3 320.440 4.034 20 0 DIADHN CC[C@H]1CN(Cc2ccccc2OC2CCCC2)CCCO1 ZINC000467559659 339242712 /nfs/dbraw/zinc/24/27/12/339242712.db2.gz JUQBTQMVBCLXHN-KRWDZBQOSA-N 0 3 303.446 4.009 20 0 DIADHN CSCCCN(C)Cc1ccc(Oc2cccnc2)c(C)c1 ZINC000467567628 339243130 /nfs/dbraw/zinc/24/31/30/339243130.db2.gz ZJFGPJKKUACVQR-UHFFFAOYSA-N 0 3 316.470 4.367 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000467650358 339243922 /nfs/dbraw/zinc/24/39/22/339243922.db2.gz MNHCHBVSWPGDMJ-UONOGXRCSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2nc(C(F)(F)F)cs2)c1 ZINC000467733086 339244884 /nfs/dbraw/zinc/24/48/84/339244884.db2.gz KKODQGHVXZXTEV-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2nc(C(F)(F)F)cs2)c1 ZINC000467733085 339244988 /nfs/dbraw/zinc/24/49/88/339244988.db2.gz KKODQGHVXZXTEV-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN CC1CC(N[C@@H](Cc2ccc(Cl)cc2)c2ccccn2)C1 ZINC000467744724 339245469 /nfs/dbraw/zinc/24/54/69/339245469.db2.gz HZCCKDHIRKIJIV-AUCFXJAVSA-N 0 3 300.833 4.407 20 0 DIADHN Cc1cncc([C@@H](C)NCCSc2ccc(Cl)cc2)c1 ZINC000467747144 339245644 /nfs/dbraw/zinc/24/56/44/339245644.db2.gz RJIAOEUMUVBQFB-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN Clc1cc(Cl)cc(CN[C@H]2CCCC[C@H]2n2cccn2)c1 ZINC000467764544 339246085 /nfs/dbraw/zinc/24/60/85/339246085.db2.gz RFISEYGUPAOUJA-JKSUJKDBSA-N 0 3 324.255 4.463 20 0 DIADHN C[C@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1ccc(F)c(F)c1 ZINC000467836787 339247295 /nfs/dbraw/zinc/24/72/95/339247295.db2.gz RUESOQDDJNZXAH-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000467855049 339247825 /nfs/dbraw/zinc/24/78/25/339247825.db2.gz MARKOSREKMJXCM-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000467981992 339250443 /nfs/dbraw/zinc/25/04/43/339250443.db2.gz UQUKDZQDQKYSGK-QZTJIDSGSA-N 0 3 322.452 4.093 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@H](c3ccccc3)[C@H]1C)CCO2 ZINC000468025472 339251591 /nfs/dbraw/zinc/25/15/91/339251591.db2.gz HXEAIUGSHJZDQT-PEFDPPPMSA-N 0 3 323.436 4.301 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@@H](c3ccccc3)[C@H]1C)CCO2 ZINC000468025473 339251615 /nfs/dbraw/zinc/25/16/15/339251615.db2.gz HXEAIUGSHJZDQT-SIKIZQCASA-N 0 3 323.436 4.301 20 0 DIADHN CC[C@@H](COC)NCc1c(OC(C)C)ccc2ccccc21 ZINC000468038786 339252074 /nfs/dbraw/zinc/25/20/74/339252074.db2.gz OAHQYMGNTTUFKF-INIZCTEOSA-N 0 3 301.430 4.142 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H]2COc3ccc(F)cc32)cc1 ZINC000468037045 339252159 /nfs/dbraw/zinc/25/21/59/339252159.db2.gz HFWXPPQPJWJENA-FHSNZYRGSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@H](NC[C@]1(C)CCO[C@@H]1C1CC1)c1ccc(F)cc1Cl ZINC000468039662 339252251 /nfs/dbraw/zinc/25/22/51/339252251.db2.gz RBLGOXWEUZFTCK-JECHBYEQSA-N 0 3 311.828 4.335 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)CC(F)(F)F)ccc1SC ZINC000468045527 339252257 /nfs/dbraw/zinc/25/22/57/339252257.db2.gz VHNHDUGOBGCFTI-ZJUUUORDSA-N 0 3 307.381 4.409 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cccc(C)c1C)CCO2 ZINC000468042862 339252449 /nfs/dbraw/zinc/25/24/49/339252449.db2.gz ORCJFROEEHUOJG-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN CC[C@@]1(C)CCN([C@@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000468111397 339254367 /nfs/dbraw/zinc/25/43/67/339254367.db2.gz QVFVSQSBFVKFTK-KXBFYZLASA-N 0 3 302.462 4.259 20 0 DIADHN CC[C@]1(C)CCN([C@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000468111295 339254378 /nfs/dbraw/zinc/25/43/78/339254378.db2.gz QVFVSQSBFVKFTK-DNVCBOLYSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H](C(=O)Nc1cccc2ccccc21)N1CCC2(CCC2)C1 ZINC000468124731 339254679 /nfs/dbraw/zinc/25/46/79/339254679.db2.gz PXEQWHRYGJKBQR-HNNXBMFYSA-N 0 3 308.425 4.043 20 0 DIADHN C[C@@H](CCC1CCCCC1)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000468363454 339260639 /nfs/dbraw/zinc/26/06/39/339260639.db2.gz URIPBYLYUXKZNA-HOTGVXAUSA-N 0 3 318.509 4.404 20 0 DIADHN Cc1sc(CN[C@@H](C)CCc2ccc(O)cc2)nc1C(C)C ZINC000468382546 339261117 /nfs/dbraw/zinc/26/11/17/339261117.db2.gz JHXCEZWCPMZGLO-ZDUSSCGKSA-N 0 3 318.486 4.391 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1nc2ccccc2n1C1CC1 ZINC000468468602 339263491 /nfs/dbraw/zinc/26/34/91/339263491.db2.gz HDSKKXWXSFAFJC-MRXNPFEDSA-N 0 3 319.452 4.400 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC[C@H](CC(=O)OC(C)C)C1 ZINC000468474832 339263609 /nfs/dbraw/zinc/26/36/09/339263609.db2.gz IHPYQTQAPGSNSH-LYPXWFNGSA-N 0 3 315.457 4.144 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NCc1ncoc1-c1ccccc1 ZINC000468506115 339264723 /nfs/dbraw/zinc/26/47/23/339264723.db2.gz IPJWOVCMNSYZHO-AWEZNQCLSA-N 0 3 310.372 4.202 20 0 DIADHN C[C@H](CCc1ccccc1)NCc1ncoc1-c1ccccc1 ZINC000468508378 339264774 /nfs/dbraw/zinc/26/47/74/339264774.db2.gz SETPETUZTYIYAG-MRXNPFEDSA-N 0 3 306.409 4.453 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1ccc(F)cc1)c1ccc(C)o1 ZINC000468561140 339266532 /nfs/dbraw/zinc/26/65/32/339266532.db2.gz RYHKDSFNLQJNSC-GUYCJALGSA-N 0 3 305.393 4.026 20 0 DIADHN COCC[C@H]1CCCCN(C/C=C\c2ccc(F)cc2F)C1 ZINC000468688064 339268595 /nfs/dbraw/zinc/26/85/95/339268595.db2.gz DFHZWVUHHFFSPJ-ZDTYVGOBSA-N 0 3 309.400 4.117 20 0 DIADHN COC[C@H]1CCCCN(Cc2cccc(Cl)c2Cl)C1 ZINC000468692899 339268771 /nfs/dbraw/zinc/26/87/71/339268771.db2.gz GRWIADXWTGCPSU-LBPRGKRZSA-N 0 3 302.245 4.242 20 0 DIADHN COC[C@@H]1CCCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000468694694 339268778 /nfs/dbraw/zinc/26/87/78/339268778.db2.gz AYSREWXFBFZEFS-CYBMUJFWSA-N 0 3 307.821 4.335 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)cnn1C(C)C ZINC000468856040 339272861 /nfs/dbraw/zinc/27/28/61/339272861.db2.gz GPNSQMCANYEWBY-RISCZKNCSA-N 0 3 305.388 4.400 20 0 DIADHN Cc1c([C@H](C)N[C@H](CC(F)(F)F)C(C)C)cnn1C(C)C ZINC000468856087 339272873 /nfs/dbraw/zinc/27/28/73/339272873.db2.gz GPNSQMCANYEWBY-SMDDNHRTSA-N 0 3 305.388 4.400 20 0 DIADHN COC(C)(C)CCN1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000468861651 339272935 /nfs/dbraw/zinc/27/29/35/339272935.db2.gz GJTNPGJTZDACKT-UHFFFAOYSA-N 0 3 323.864 4.050 20 0 DIADHN C[C@@H](NC[C@H](Cc1ccccc1)N(C)C)c1csc(Cl)c1 ZINC000468875097 339273359 /nfs/dbraw/zinc/27/33/59/339273359.db2.gz HMLLIZQEAPYIJK-CJNGLKHVSA-N 0 3 322.905 4.225 20 0 DIADHN Cc1ccc([C@H](C)N(C)CCOCC(F)(F)C(F)F)c(C)c1 ZINC000468898167 339274088 /nfs/dbraw/zinc/27/40/88/339274088.db2.gz JIZZIQDVLJJSKB-ZDUSSCGKSA-N 0 3 321.358 4.213 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCO[C@H]2CC(C)C)cnn1C(C)(C)C ZINC000468902869 339274250 /nfs/dbraw/zinc/27/42/50/339274250.db2.gz JJKLMRKCVWSJBQ-QGTPRVQTSA-N 0 3 321.509 4.191 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1ccccc1Br ZINC000468932228 339275065 /nfs/dbraw/zinc/27/50/65/339275065.db2.gz IUBZPGSBILFKBV-OLZOCXBDSA-N 0 3 319.246 4.126 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccccc1Br ZINC000468932275 339275080 /nfs/dbraw/zinc/27/50/80/339275080.db2.gz IUBZPGSBILFKBV-QWHCGFSZSA-N 0 3 319.246 4.126 20 0 DIADHN CC(C)CO[C@@H]1CCN([C@H](C)c2ccc(OC(F)F)cc2)C1 ZINC000469087509 339279844 /nfs/dbraw/zinc/27/98/44/339279844.db2.gz VXVORLLUUFSTCT-CZUORRHYSA-N 0 3 313.388 4.096 20 0 DIADHN CC(C)CO[C@H]1CCN([C@@H](C)c2ccc(OC(F)F)cc2)C1 ZINC000469087157 339279855 /nfs/dbraw/zinc/27/98/55/339279855.db2.gz VXVORLLUUFSTCT-BBRMVZONSA-N 0 3 313.388 4.096 20 0 DIADHN COC(C)(C)CCN(Cc1ccco1)Cc1ccc(C)s1 ZINC000469092761 339280024 /nfs/dbraw/zinc/28/00/24/339280024.db2.gz VHIAOTKPQBXLBQ-UHFFFAOYSA-N 0 3 307.459 4.467 20 0 DIADHN COCC[C@@H](Cc1ccco1)N[C@H](C)c1csc(Cl)c1 ZINC000469133348 339281026 /nfs/dbraw/zinc/28/10/26/339281026.db2.gz RAVUYTGCSBVNLY-YPMHNXCESA-N 0 3 313.850 4.293 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)COc2cccc(F)c2)cnn1C(C)C ZINC000469147634 339281393 /nfs/dbraw/zinc/28/13/93/339281393.db2.gz ZZUOZALXYXKYST-UONOGXRCSA-N 0 3 319.424 4.030 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2csc(Cl)c2)cc1 ZINC000469262441 339284378 /nfs/dbraw/zinc/28/43/78/339284378.db2.gz SWOJPNRWSYLUNZ-LBPRGKRZSA-N 0 3 324.877 4.311 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc2c(c1)COC(C)(C)O2 ZINC000469260308 339284592 /nfs/dbraw/zinc/28/45/92/339284592.db2.gz KCTCYWSETVUOGW-UHFFFAOYSA-N 0 3 311.425 4.354 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)cn1)c1csc(Cl)c1 ZINC000469264903 339284701 /nfs/dbraw/zinc/28/47/01/339284701.db2.gz UIFFXYLWSWUUCF-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN COC(C)(C)CCN[C@H](c1ccccc1)c1cccc(F)c1 ZINC000469341410 339286499 /nfs/dbraw/zinc/28/64/99/339286499.db2.gz XQBQSXXFZCQIBD-GOSISDBHSA-N 0 3 301.405 4.320 20 0 DIADHN CO[C@H](C)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000469522803 339292506 /nfs/dbraw/zinc/29/25/06/339292506.db2.gz YNBLJQYVJMVYAE-IUODEOHRSA-N 0 3 301.352 4.267 20 0 DIADHN CN(C/C=C\c1ccc(Cl)cc1)[C@@H](CO)c1ccccc1 ZINC000469590403 339293861 /nfs/dbraw/zinc/29/38/61/339293861.db2.gz HLXUHEACCDLTFM-XFHJGTJQSA-N 0 3 301.817 4.019 20 0 DIADHN CCc1cccc(CN2CCC(c3noc(C(C)C)n3)CC2)c1 ZINC000469596003 339293985 /nfs/dbraw/zinc/29/39/85/339293985.db2.gz AXWKDYARDWBQOJ-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN Cc1cccc([C@H]2CCCCN2C[C@@H](O)CC(F)(F)F)c1C ZINC000469608730 339294490 /nfs/dbraw/zinc/29/44/90/339294490.db2.gz HUUDOXYNPZVGOF-GOEBONIOSA-N 0 3 315.379 4.144 20 0 DIADHN COc1cc(Br)ccc1[C@@H](C)N[C@@H]1C=CCCC1 ZINC000469755174 339297719 /nfs/dbraw/zinc/29/77/19/339297719.db2.gz YKUGSWJWROZFOF-DGCLKSJQSA-N 0 3 310.235 4.217 20 0 DIADHN Fc1ccccc1[C@H](Cc1ccccc1)NCC[C@H]1CCCO1 ZINC000469765786 339298223 /nfs/dbraw/zinc/29/82/23/339298223.db2.gz VAYITPUWXLDVHQ-XLIONFOSSA-N 0 3 313.416 4.268 20 0 DIADHN Cc1cc(C2CCN(C/C=C/c3ccc(Cl)cc3)CC2)n[nH]1 ZINC000469771937 339298496 /nfs/dbraw/zinc/29/84/96/339298496.db2.gz HQKKFHZKCCGIOA-NSCUHMNNSA-N 0 3 315.848 4.264 20 0 DIADHN Cc1cc(C2CCN(C/C=C/c3ccc(Cl)cc3)CC2)[nH]n1 ZINC000469771937 339298497 /nfs/dbraw/zinc/29/84/97/339298497.db2.gz HQKKFHZKCCGIOA-NSCUHMNNSA-N 0 3 315.848 4.264 20 0 DIADHN CCc1cccc(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)c1 ZINC000469771386 339298512 /nfs/dbraw/zinc/29/85/12/339298512.db2.gz ABQFHRVVHDQNNA-NRFANRHFSA-N 0 3 323.436 4.261 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1NCc1ccc(F)cn1 ZINC000469777942 339299257 /nfs/dbraw/zinc/29/92/57/339299257.db2.gz ZHUOOBSHSSTROC-IEBWSBKVSA-N 0 3 314.404 4.009 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1nc2ccc(F)cc2o1 ZINC000469791936 339300278 /nfs/dbraw/zinc/30/02/78/339300278.db2.gz RVWWCZVBNMQLAE-HIFRSBDPSA-N 0 3 308.422 4.121 20 0 DIADHN CC(C)c1nc([C@H](C)NCCN2[C@H](C)CCC[C@@H]2C)cs1 ZINC000469791480 339300344 /nfs/dbraw/zinc/30/03/44/339300344.db2.gz RQJVDKVBUGTDBD-ZNMIVQPWSA-N 0 3 309.523 4.180 20 0 DIADHN CCOc1cccc(CN[C@H](C)CCC2CC2)c1OC(F)F ZINC000469800363 339301001 /nfs/dbraw/zinc/30/10/01/339301001.db2.gz LJAPVFRJESQWBD-GFCCVEGCSA-N 0 3 313.388 4.355 20 0 DIADHN C[C@H](CCC1CC1)N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000469811698 339301761 /nfs/dbraw/zinc/30/17/61/339301761.db2.gz WFSJCBMERIDAGS-VXGBXAGGSA-N 0 3 305.853 4.456 20 0 DIADHN CCOCCOCCN(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000469854835 339302800 /nfs/dbraw/zinc/30/28/00/339302800.db2.gz HJNNPWYNRWFGCZ-LBPRGKRZSA-N 0 3 320.260 4.039 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2cccc3c2NCC3)c1 ZINC000469894208 339304007 /nfs/dbraw/zinc/30/40/07/339304007.db2.gz ULJCCVWZTBUMFI-LJQANCHMSA-N 0 3 308.425 4.000 20 0 DIADHN CC1CCC(OC2CCN(CCc3ccccc3F)CC2)CC1 ZINC000469910454 339304479 /nfs/dbraw/zinc/30/44/79/339304479.db2.gz WJGFUYNPPHWJII-UHFFFAOYSA-N 0 3 319.464 4.428 20 0 DIADHN CC1(C)OCc2cc(CN3CC[C@@H]3Cc3ccccc3)ccc2O1 ZINC000469963330 339305969 /nfs/dbraw/zinc/30/59/69/339305969.db2.gz ODALLIMRMVWMND-LJQANCHMSA-N 0 3 323.436 4.149 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@H]1CCC[C@@H]1C ZINC000469981026 339306669 /nfs/dbraw/zinc/30/66/69/339306669.db2.gz HYVJDBIOURLGBP-MAUKXSAKSA-N 0 3 314.473 4.356 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cccc(-n2cccn2)c1 ZINC000470001111 339307852 /nfs/dbraw/zinc/30/78/52/339307852.db2.gz UTTPWSZEVZGXIR-HNNXBMFYSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@H](Nc1ccnc2ccccc21)c1ccccc1-n1cccn1 ZINC000470059399 339309763 /nfs/dbraw/zinc/30/97/63/339309763.db2.gz RLKTUDCSYAVPCA-HNNXBMFYSA-N 0 3 314.392 4.016 20 0 DIADHN COC1CC(N[C@H](c2cccs2)c2ccc(Cl)cc2)C1 ZINC000470096568 339310490 /nfs/dbraw/zinc/31/04/90/339310490.db2.gz IBZFVDVZYUQNOF-XUJLQICISA-N 0 3 307.846 4.258 20 0 DIADHN COC1CC(N[C@@H](c2cccs2)c2ccc(Cl)cc2)C1 ZINC000470096569 339310558 /nfs/dbraw/zinc/31/05/58/339310558.db2.gz IBZFVDVZYUQNOF-ZBCRRDGASA-N 0 3 307.846 4.258 20 0 DIADHN COc1ccccc1/C=C/CN1CC[C@H](C(F)(F)F)C1(C)C ZINC000470131457 339311119 /nfs/dbraw/zinc/31/11/19/339311119.db2.gz GPOYHDUXGGBAJA-VFADXPBXSA-N 0 3 313.363 4.371 20 0 DIADHN CC1(C)CCN(Cc2cc(Br)cc3cccnc32)C1 ZINC000470216922 339314637 /nfs/dbraw/zinc/31/46/37/339314637.db2.gz CLQBMBWTLBLDKP-UHFFFAOYSA-N 0 3 319.246 4.229 20 0 DIADHN CC[C@@H]1CCN(Cc2cc(Br)cc3cccnc32)C1 ZINC000470221345 339314692 /nfs/dbraw/zinc/31/46/92/339314692.db2.gz YCTQDJUNUQBWPQ-GFCCVEGCSA-N 0 3 319.246 4.229 20 0 DIADHN CC(C)[C@H](N[C@H](C)Cn1cccn1)c1ccc(Cl)cc1F ZINC000470323624 339317217 /nfs/dbraw/zinc/31/72/17/339317217.db2.gz IXRJKGARKBWVNQ-WBMJQRKESA-N 0 3 309.816 4.051 20 0 DIADHN CC(C)[C@H](N[C@@H](C)Cn1cccn1)c1ccc(Cl)cc1F ZINC000470323621 339317309 /nfs/dbraw/zinc/31/73/09/339317309.db2.gz IXRJKGARKBWVNQ-LRDDRELGSA-N 0 3 309.816 4.051 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1CCC[C@@H]1c1ccccc1)n2C ZINC000470538171 339321689 /nfs/dbraw/zinc/32/16/89/339321689.db2.gz OJMGKLZXVFVJMD-QZTJIDSGSA-N 0 3 319.452 4.308 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(Cc2cccc3[nH]ccc32)C1 ZINC000470537649 339321713 /nfs/dbraw/zinc/32/17/13/339321713.db2.gz PFHHZPZYZSAKJV-AWEZNQCLSA-N 0 3 312.363 4.436 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470753942 339326878 /nfs/dbraw/zinc/32/68/78/339326878.db2.gz DTQNQDPFMXESIR-UHFFFAOYSA-N 0 3 319.395 4.397 20 0 DIADHN FC(F)c1cccc(CN2CC[C@H]3[C@H](CCCN3C3CC3)C2)c1 ZINC000470764489 339327044 /nfs/dbraw/zinc/32/70/44/339327044.db2.gz JKQHUYYYAMZHMM-AEFFLSMTSA-N 0 3 320.427 4.073 20 0 DIADHN FC(F)c1cccc(CN2CCN(C3CCCCC3)CC2)c1 ZINC000470759380 339327070 /nfs/dbraw/zinc/32/70/70/339327070.db2.gz DYHQEWGTNVKUJW-UHFFFAOYSA-N 0 3 308.416 4.075 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)C[C@@H]1OCCc2ccccc21 ZINC000470781611 339328002 /nfs/dbraw/zinc/32/80/02/339328002.db2.gz YQUWGEIIJNDFMM-SFHVURJKSA-N 0 3 317.379 4.370 20 0 DIADHN CC1(c2ccc(Cl)cc2)CCN(Cc2cccnc2)CC1 ZINC000470871157 339329771 /nfs/dbraw/zinc/32/97/71/339329771.db2.gz JHAZUWIAQCWFFB-UHFFFAOYSA-N 0 3 300.833 4.289 20 0 DIADHN Cc1noc(C)c1CN1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000470873644 339329966 /nfs/dbraw/zinc/32/99/66/339329966.db2.gz LNMWQQHLUOGMNW-UHFFFAOYSA-N 0 3 318.848 4.499 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1C[C@H]1CCC2(CCCCC2)O1 ZINC000470938911 339331625 /nfs/dbraw/zinc/33/16/25/339331625.db2.gz ALMJOUQCAIZPBW-MCIONIFRSA-N 0 3 305.384 4.141 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(C(F)(F)F)cc1 ZINC000470946984 339332253 /nfs/dbraw/zinc/33/22/53/339332253.db2.gz MBNCEWSNGXXEDL-BXKDBHETSA-N 0 3 311.269 4.478 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(OC(F)F)cc1 ZINC000470953694 339332334 /nfs/dbraw/zinc/33/23/34/339332334.db2.gz RFCABNKHWQWXRN-SKDRFNHKSA-N 0 3 309.278 4.061 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(OC(F)F)cc1 ZINC000470953692 339332539 /nfs/dbraw/zinc/33/25/39/339332539.db2.gz RFCABNKHWQWXRN-CABZTGNLSA-N 0 3 309.278 4.061 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)c2ccccc2n1 ZINC000470954455 339332759 /nfs/dbraw/zinc/33/27/59/339332759.db2.gz ZFPBYOWHRQYMGT-WFASDCNBSA-N 0 3 308.347 4.316 20 0 DIADHN CSc1ccc(OCCN2CC[C@H](C(F)(F)F)[C@H]2C)cc1 ZINC000470958317 339332809 /nfs/dbraw/zinc/33/28/09/339332809.db2.gz JNAGIIIVDQOTOW-RISCZKNCSA-N 0 3 319.392 4.060 20 0 DIADHN CSc1ccc(OCCN2CC[C@@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000470958319 339332950 /nfs/dbraw/zinc/33/29/50/339332950.db2.gz JNAGIIIVDQOTOW-SMDDNHRTSA-N 0 3 319.392 4.060 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3ccncc3Cl)C2)c(C)c1 ZINC000470999986 339334227 /nfs/dbraw/zinc/33/42/27/339334227.db2.gz DDHNGOPVWGOCFM-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc([C@@H](NCCCc2ccncc2)c2ccccn2)cc1 ZINC000471066729 339336237 /nfs/dbraw/zinc/33/62/37/339336237.db2.gz RGYAIGPMVGYNNW-OAQYLSRUSA-N 0 3 317.436 4.097 20 0 DIADHN C[C@@]1(O)CCCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000471075713 339336374 /nfs/dbraw/zinc/33/63/74/339336374.db2.gz QXILPYOPGBSCSZ-HXUWFJFHSA-N 0 3 311.425 4.216 20 0 DIADHN C[C@H](NC(=O)C(C)(C)C1CCCC1)[C@H](c1ccccc1)N(C)C ZINC000471138956 339338168 /nfs/dbraw/zinc/33/81/68/339338168.db2.gz COCMSUAXWTZLCQ-MAUKXSAKSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C(=O)N(c1ccccc1F)C1CCCC1)C(F)(F)F ZINC000471430633 339344711 /nfs/dbraw/zinc/34/47/11/339344711.db2.gz GKBKHBRJEZMTRX-JTQLQIEISA-N 0 3 303.299 4.300 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccccc1F ZINC000471578926 339347935 /nfs/dbraw/zinc/34/79/35/339347935.db2.gz SKLSMUGLULTNFY-MKBNYLNASA-N 0 3 323.433 4.323 20 0 DIADHN COc1ccsc1[C@@H](C)NCCOc1ccc(Cl)cc1 ZINC000471583003 339348041 /nfs/dbraw/zinc/34/80/41/339348041.db2.gz SKTFXIXZRXPBJS-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H]1Oc2ccccc2[C@@H]1C ZINC000471587654 339348186 /nfs/dbraw/zinc/34/81/86/339348186.db2.gz VOXJHRRCWOABFR-LKQDWFRTSA-N 0 3 317.454 4.361 20 0 DIADHN CC[C@H](CN[C@@H](C)c1sccc1OC)Oc1ccccc1F ZINC000471592168 339348525 /nfs/dbraw/zinc/34/85/25/339348525.db2.gz CDQJOAPBOXOJHX-QWHCGFSZSA-N 0 3 323.433 4.404 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1ccc(F)c(F)c1F ZINC000471591751 339348567 /nfs/dbraw/zinc/34/85/67/339348567.db2.gz ZOFIAOCEMBAXNW-VIFPVBQESA-N 0 3 315.360 4.067 20 0 DIADHN COc1ccsc1[C@@H](C)NCCCNc1ccccc1F ZINC000471596979 339348866 /nfs/dbraw/zinc/34/88/66/339348866.db2.gz ZKMPGMZAVSCDIJ-GFCCVEGCSA-N 0 3 308.422 4.049 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@@H](CO)c1ccsc1 ZINC000471608646 339349342 /nfs/dbraw/zinc/34/93/42/339349342.db2.gz DTZMYOIFXVNBRK-MFKMUULPSA-N 0 3 315.891 4.107 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000471612583 339349476 /nfs/dbraw/zinc/34/94/76/339349476.db2.gz UDEIGAVWJGKQTB-GRDNDAEWSA-N 0 3 318.486 4.099 20 0 DIADHN C/C=C\C[C@H](CO)N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000471616878 339349703 /nfs/dbraw/zinc/34/97/03/339349703.db2.gz FSIISGSRZDKQKN-RWCMCFKCSA-N 0 3 306.208 4.110 20 0 DIADHN C/C=C/C[C@H](CO)N[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000471616876 339349722 /nfs/dbraw/zinc/34/97/22/339349722.db2.gz FSIISGSRZDKQKN-HIHHVFROSA-N 0 3 306.208 4.110 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCOCC(F)F ZINC000471761228 339353320 /nfs/dbraw/zinc/35/33/20/339353320.db2.gz SRJNAKQPNQAAGE-GFCCVEGCSA-N 0 3 311.372 4.236 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000471782514 339353771 /nfs/dbraw/zinc/35/37/71/339353771.db2.gz STBSQXAPJMMHFZ-CQSZACIVSA-N 0 3 318.486 4.402 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](c2cc3ccccc3o2)c2cccnc2)C1 ZINC000471789632 339354195 /nfs/dbraw/zinc/35/41/95/339354195.db2.gz SSLIIUYCSFMSBL-HLIPFELVSA-N 0 3 322.408 4.074 20 0 DIADHN CCC(O)(CC)CCN[C@@H]1CCCOc2c(C)cc(C)cc21 ZINC000471796212 339354384 /nfs/dbraw/zinc/35/43/84/339354384.db2.gz CMQFVBZIWPZZRK-QGZVFWFLSA-N 0 3 305.462 4.048 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CC[C@H](OC)C1 ZINC000471822070 339355393 /nfs/dbraw/zinc/35/53/93/339355393.db2.gz NROFNZXMQIJQFH-MOPGFXCFSA-N 0 3 311.425 4.019 20 0 DIADHN CCc1cccc2c(CCNCc3ncc(Cl)s3)c[nH]c21 ZINC000472039373 339360059 /nfs/dbraw/zinc/36/00/59/339360059.db2.gz SYAIHSVKHFWHMO-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN CCSc1cccc(CNC[C@@H](OC)c2ccccc2)c1 ZINC000472047247 339360764 /nfs/dbraw/zinc/36/07/64/339360764.db2.gz JBBPAXOOLFLYOP-GOSISDBHSA-N 0 3 301.455 4.276 20 0 DIADHN Cc1ccc2[nH]c(CNCc3ccc4c(c3)COC4)cc2c1C ZINC000472051742 339361011 /nfs/dbraw/zinc/36/10/11/339361011.db2.gz AEHKWVNSQFYOJX-UHFFFAOYSA-N 0 3 306.409 4.105 20 0 DIADHN Clc1cnc(CNCC2(Cc3ccccc3)CCC2)s1 ZINC000472051286 339361081 /nfs/dbraw/zinc/36/10/81/339361081.db2.gz FXLDMLPDHHVDHB-UHFFFAOYSA-N 0 3 306.862 4.299 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CCc4c3cccc4O)cc2c1C ZINC000472069807 339362180 /nfs/dbraw/zinc/36/21/80/339362180.db2.gz LUCJQHJIRFWHTK-SFHVURJKSA-N 0 3 306.409 4.267 20 0 DIADHN Cc1ccc2[nH]c(CNCc3cccc(N(C)C)c3)cc2c1C ZINC000472074507 339362559 /nfs/dbraw/zinc/36/25/59/339362559.db2.gz MRPUSAUWAHDHGK-UHFFFAOYSA-N 0 3 307.441 4.141 20 0 DIADHN CCS[C@H]1CCC[C@H](NCc2nn(CC)c3ccccc23)C1 ZINC000472077058 339362787 /nfs/dbraw/zinc/36/27/87/339362787.db2.gz VDUXRWQDJVILSZ-GJZGRUSLSA-N 0 3 317.502 4.210 20 0 DIADHN COc1ccccc1[C@H](CNCc1sccc1C)OC(C)C ZINC000472079319 339363027 /nfs/dbraw/zinc/36/30/27/339363027.db2.gz HIEWUQQBSDGHLE-KRWDZBQOSA-N 0 3 319.470 4.321 20 0 DIADHN CCSc1cccc(CNCCOc2ncccc2Cl)c1 ZINC000472081121 339363088 /nfs/dbraw/zinc/36/30/88/339363088.db2.gz XSDPDXWEOUXUIK-UHFFFAOYSA-N 0 3 322.861 4.016 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H](C)Oc1cccc(C)c1 ZINC000472126874 339363344 /nfs/dbraw/zinc/36/33/44/339363344.db2.gz VZWCKLHLCRXIRS-ZIAGYGMSSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)COc1cccc(C)c1 ZINC000472132303 339363882 /nfs/dbraw/zinc/36/38/82/339363882.db2.gz JWOGGLSKOQMVKH-KBPBESRZSA-N 0 3 305.443 4.183 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2sccc2OC)C12CCCC2 ZINC000472148083 339364410 /nfs/dbraw/zinc/36/44/10/339364410.db2.gz VSJIMRPSFYNFKS-NWANDNLSSA-N 0 3 309.475 4.145 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cnn(CC(C)C)c2)cc1 ZINC000472154921 339364670 /nfs/dbraw/zinc/36/46/70/339364670.db2.gz KVVHPWMNGGHUTD-INIZCTEOSA-N 0 3 317.502 4.118 20 0 DIADHN COc1ccsc1[C@@H](C)NCCCOc1ccccc1C ZINC000472165933 339365133 /nfs/dbraw/zinc/36/51/33/339365133.db2.gz SBEKOZLHZIBVOL-CQSZACIVSA-N 0 3 305.443 4.185 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2ccncc2Cl)C1 ZINC000472250388 339367572 /nfs/dbraw/zinc/36/75/72/339367572.db2.gz ILOFWUIWIWUNJX-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@H]1CN(Cc2nc(-c3cccc(Cl)c3)no2)C(C)(C)C1 ZINC000472279976 339368721 /nfs/dbraw/zinc/36/87/21/339368721.db2.gz QYFDPKGWLHDNIK-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1ccncc1Cl ZINC000472323218 339369887 /nfs/dbraw/zinc/36/98/87/339369887.db2.gz FMOYQWQSQSNMGQ-ZBFHGGJFSA-N 0 3 300.833 4.188 20 0 DIADHN COCCCN(C)Cc1cnc(-c2ccc(C(C)C)cc2)s1 ZINC000472440369 339371923 /nfs/dbraw/zinc/37/19/23/339371923.db2.gz SJNWLWWAMGQZRM-UHFFFAOYSA-N 0 3 318.486 4.402 20 0 DIADHN CCn1nccc1CCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC000472589110 339374732 /nfs/dbraw/zinc/37/47/32/339374732.db2.gz IBKPQIXBLLPVSK-AWEZNQCLSA-N 0 3 317.864 4.325 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nc(-c2ccco2)no1 ZINC000472606981 339375083 /nfs/dbraw/zinc/37/50/83/339375083.db2.gz MFZIJKXNTKVBCE-KGLIPLIRSA-N 0 3 303.406 4.024 20 0 DIADHN Clc1cnccc1CN[C@@H]1CCC[C@H]1Cc1ccccc1 ZINC000472611615 339375259 /nfs/dbraw/zinc/37/52/59/339375259.db2.gz OBDYGVIBCGPTQO-MAUKXSAKSA-N 0 3 300.833 4.236 20 0 DIADHN CC[C@H]1C[C@H](CN2CC=C(c3c(F)cccc3F)CC2)CCO1 ZINC000473120723 339381387 /nfs/dbraw/zinc/38/13/87/339381387.db2.gz GGZPHDHMLCOODV-ZBFHGGJFSA-N 0 3 321.411 4.259 20 0 DIADHN Fc1cccc(F)c1C1=CCN(CCCC2CCOCC2)CC1 ZINC000473123085 339381469 /nfs/dbraw/zinc/38/14/69/339381469.db2.gz YCFDWSZGOQKLLL-UHFFFAOYSA-N 0 3 321.411 4.261 20 0 DIADHN Oc1cc(F)cc(CN2CCC(c3c[nH]c4ccccc43)CC2)c1 ZINC000473141580 339382390 /nfs/dbraw/zinc/38/23/90/339382390.db2.gz GTSSMVURIPQVOG-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN CCn1nc(CN(C)CCCc2ccccc2)c2ccccc21 ZINC000473142805 339382635 /nfs/dbraw/zinc/38/26/35/339382635.db2.gz QWBGCGYOZHTTGS-UHFFFAOYSA-N 0 3 307.441 4.121 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NCCCc1ccccc1 ZINC000473152633 339383167 /nfs/dbraw/zinc/38/31/67/339383167.db2.gz QBHRSWIOMMWBDS-UHFFFAOYSA-N 0 3 307.441 4.031 20 0 DIADHN CC(C)CC[C@H]1CCCCN1C(=O)c1cccc(CN(C)C)c1 ZINC000473419502 339385121 /nfs/dbraw/zinc/38/51/21/339385121.db2.gz SKDIPHHVFUZLBQ-LJQANCHMSA-N 0 3 316.489 4.179 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1C(=O)c1cccc(CN(C)C)c1 ZINC000473419501 339385160 /nfs/dbraw/zinc/38/51/60/339385160.db2.gz SKDIPHHVFUZLBQ-IBGZPJMESA-N 0 3 316.489 4.179 20 0 DIADHN Cc1noc(C)c1CCNC(C)(C)c1cccc(Cl)c1F ZINC000473425471 339385170 /nfs/dbraw/zinc/38/51/70/339385170.db2.gz DQRUNEWRHWRVQA-UHFFFAOYSA-N 0 3 310.800 4.151 20 0 DIADHN CN(Cc1ccc(Br)o1)[C@@H]1C[C@@H]1c1ccccc1 ZINC000473918961 339394943 /nfs/dbraw/zinc/39/49/43/339394943.db2.gz MRBMHZIPURSKCE-ZIAGYGMSSA-N 0 3 306.203 4.030 20 0 DIADHN CCCOc1ccc(CNCc2ccc(F)c(F)c2)c(C)c1 ZINC000473986190 339396264 /nfs/dbraw/zinc/39/62/64/339396264.db2.gz YJUKLKDQOJLLJL-UHFFFAOYSA-N 0 3 305.368 4.352 20 0 DIADHN CCOC1CC(CCNCc2cc(Cl)ccc2Cl)C1 ZINC000474024599 339397538 /nfs/dbraw/zinc/39/75/38/339397538.db2.gz VSHMRAAHSGRHLH-UHFFFAOYSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@@H](NCc1nnc(C(C)(C)C)s1)C1CCC(F)(F)CC1 ZINC000474031197 339397910 /nfs/dbraw/zinc/39/79/10/339397910.db2.gz ICTOLVQNVMGQTD-SNVBAGLBSA-N 0 3 317.449 4.139 20 0 DIADHN C[C@@H](NCCOC(F)(F)F)c1ccc(OC(C)(C)C)cc1 ZINC000474036486 339398105 /nfs/dbraw/zinc/39/81/05/339398105.db2.gz XKKHYQGMZCGMNX-LLVKDONJSA-N 0 3 305.340 4.051 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@@H]1CCc2c1cccc2O ZINC000474037331 339398234 /nfs/dbraw/zinc/39/82/34/339398234.db2.gz QKDGBIOVIMEOLL-RTBURBONSA-N 0 3 323.436 4.400 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H](C)CC(F)(F)F ZINC000474039365 339398406 /nfs/dbraw/zinc/39/84/06/339398406.db2.gz SWGYTBDZOULEFL-HZMBPMFUSA-N 0 3 301.352 4.348 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1ccc(F)c(F)c1 ZINC000474051877 339399321 /nfs/dbraw/zinc/39/93/21/339399321.db2.gz CSVQGQGMARDNHK-SFHVURJKSA-N 0 3 317.379 4.486 20 0 DIADHN C[C@H](NCCOC(F)(F)F)c1cc(Cl)cc(Cl)c1 ZINC000474053217 339399561 /nfs/dbraw/zinc/39/95/61/339399561.db2.gz FYPKRKVAUXWAKG-ZETCQYMHSA-N 0 3 302.123 4.180 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H](C)C1CCSCC1 ZINC000474054888 339399573 /nfs/dbraw/zinc/39/95/73/339399573.db2.gz ONTDVSMEZDPQTE-LBPRGKRZSA-N 0 3 305.443 4.063 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NC[C@@H](C)C(F)(F)F ZINC000474060376 339399916 /nfs/dbraw/zinc/39/99/16/339399916.db2.gz ZTYGHIHNJOOMPQ-QMTHXVAHSA-N 0 3 301.352 4.206 20 0 DIADHN CCOC[C@@H](N[C@H](C)c1ccc(F)cc1OC(F)F)C(C)C ZINC000474095097 339402043 /nfs/dbraw/zinc/40/20/43/339402043.db2.gz KVVYIYWXBLIGKJ-BXUZGUMPSA-N 0 3 319.367 4.139 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@@H]1CC12CCCC2 ZINC000474375627 339411504 /nfs/dbraw/zinc/41/15/04/339411504.db2.gz LDCGCJHKTWEFDY-SFHVURJKSA-N 0 3 312.457 4.191 20 0 DIADHN CCOC[C@H]1CCC[C@H]1NCc1nc2cc(Cl)ccc2s1 ZINC000474629991 339420880 /nfs/dbraw/zinc/42/08/80/339420880.db2.gz ZIXGITNYAKJANU-DGCLKSJQSA-N 0 3 324.877 4.245 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@](C)(O)C1CC1 ZINC000474725325 339424125 /nfs/dbraw/zinc/42/41/25/339424125.db2.gz MEGQLKYBGGFHGY-MRXNPFEDSA-N 0 3 313.894 4.091 20 0 DIADHN CO[C@@H](C)c1nc(CNC[C@H](c2ccccc2)C(C)C)cs1 ZINC000474797053 339426415 /nfs/dbraw/zinc/42/64/15/339426415.db2.gz QBEDYVIYHBUGSV-YOEHRIQHSA-N 0 3 318.486 4.380 20 0 DIADHN COC[C@H](N[C@@H](C)[C@@H](C)c1ccc(Cl)cc1)c1ccco1 ZINC000474811507 339427646 /nfs/dbraw/zinc/42/76/46/339427646.db2.gz RZTRMTHQLPPJJJ-WWGRRREGSA-N 0 3 307.821 4.402 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC[C@@H](C)CO ZINC000474895180 339430252 /nfs/dbraw/zinc/43/02/52/339430252.db2.gz VTITZBASYXGCIJ-CYBMUJFWSA-N 0 3 315.910 4.339 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H](C)C[C@H](C)O ZINC000474929043 339431580 /nfs/dbraw/zinc/43/15/80/339431580.db2.gz UYMSGBWKGZJDGO-NEPJUHHUSA-N 0 3 301.883 4.090 20 0 DIADHN CC(C)C[C@H](CN[C@H](CO)c1ccsc1)c1ccccc1 ZINC000474946234 339432883 /nfs/dbraw/zinc/43/28/83/339432883.db2.gz UBYCZQZDRDRRFF-QZTJIDSGSA-N 0 3 303.471 4.201 20 0 DIADHN COC1CCC(C)(CNCc2csc(C(C)(C)C)n2)CC1 ZINC000475203698 339441450 /nfs/dbraw/zinc/44/14/50/339441450.db2.gz DXCUXYYPWBRDPV-UHFFFAOYSA-N 0 3 310.507 4.126 20 0 DIADHN CCn1nccc1CN1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000475271235 339443052 /nfs/dbraw/zinc/44/30/52/339443052.db2.gz UXMDEDJHOVLHHU-UHFFFAOYSA-N 0 3 317.864 4.110 20 0 DIADHN COC1(C[C@@H](C)N[C@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000475329494 339446796 /nfs/dbraw/zinc/44/67/96/339446796.db2.gz LYBVQPWKSPHQEJ-YLJYHZDGSA-N 0 3 324.468 4.417 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccc3ncccc3c2)c(F)c1 ZINC000475356161 339449045 /nfs/dbraw/zinc/44/90/45/339449045.db2.gz XHGZNSKZVVEMGD-HNQUOIGGSA-N 0 3 310.347 4.316 20 0 DIADHN Fc1cccc([C@H]2CC[C@@H](NCc3ncc(Cl)s3)C2)c1 ZINC000475545534 339454517 /nfs/dbraw/zinc/45/45/17/339454517.db2.gz DSNZJBIHSZPAMG-WCQYABFASA-N 0 3 310.825 4.362 20 0 DIADHN Cn1c(CN[C@H]2CC[C@@H](c3cccc(F)c3)C2)nc2ccccc21 ZINC000475573341 339456044 /nfs/dbraw/zinc/45/60/44/339456044.db2.gz HRNWZJUTIZXVRV-WBVHZDCISA-N 0 3 323.415 4.138 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1cnc(-c2ccsc2)s1 ZINC000475677161 339459378 /nfs/dbraw/zinc/45/93/78/339459378.db2.gz FAKWHECMUYOQCW-ZDUSSCGKSA-N 0 3 324.515 4.148 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H]1CCCC1(C)C ZINC000477100623 339486993 /nfs/dbraw/zinc/48/69/93/339486993.db2.gz RKNJDFWHTHJAIR-QGZVFWFLSA-N 0 3 314.473 4.356 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC2(C1)CCOCC2 ZINC000477138198 339487826 /nfs/dbraw/zinc/48/78/26/339487826.db2.gz WHMUQJMLSLDEEV-UHFFFAOYSA-N 0 3 310.441 4.113 20 0 DIADHN CC(C)C1CCC(NC(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000477404744 339494113 /nfs/dbraw/zinc/49/41/13/339494113.db2.gz MEVDECMIQXYJBV-UHFFFAOYSA-N 0 3 317.477 4.085 20 0 DIADHN FC(F)(F)COc1cccc(CNC2(c3ccccc3)CC2)c1 ZINC000477541316 339497040 /nfs/dbraw/zinc/49/70/40/339497040.db2.gz ZRIIMXWXZRULIJ-UHFFFAOYSA-N 0 3 321.342 4.407 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc(F)cc1OC(C)(C)C ZINC000477635452 339498406 /nfs/dbraw/zinc/49/84/06/339498406.db2.gz OYSIWGAEFZIFSK-LBPRGKRZSA-N 0 3 324.440 4.108 20 0 DIADHN Cc1nc2c(s1)[C@@H](NC[C@@H]1CCC3(CCCCC3)O1)CCC2 ZINC000477862983 339503191 /nfs/dbraw/zinc/50/31/91/339503191.db2.gz XZHHZDCFSFYSLI-GJZGRUSLSA-N 0 3 320.502 4.300 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@H]1CCC3(CCCCC3)O1)CC2 ZINC000477864756 339503297 /nfs/dbraw/zinc/50/32/97/339503297.db2.gz QPBAAQSGVLQKPL-IEBWSBKVSA-N 0 3 315.457 4.154 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CCCCC1)c1csc(Cl)c1 ZINC000477867529 339503312 /nfs/dbraw/zinc/50/33/12/339503312.db2.gz UMWJVWJWWZHFFX-XHDPSFHLSA-N 0 3 301.883 4.383 20 0 DIADHN COc1ccc2oc(CNCCCOC3CCCCC3)cc2c1 ZINC000478309084 339513243 /nfs/dbraw/zinc/51/32/43/339513243.db2.gz FSLJGSMYLJCPHV-UHFFFAOYSA-N 0 3 317.429 4.270 20 0 DIADHN CC1(C)C[C@@H](NCc2nc(-c3ccccc3)cs2)C(C)(C)O1 ZINC000478321910 339513430 /nfs/dbraw/zinc/51/34/30/339513430.db2.gz ABYNAONRGNOAAU-OAHLLOKOSA-N 0 3 316.470 4.246 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1cccc(Cl)c1F ZINC000478410308 339514920 /nfs/dbraw/zinc/51/49/20/339514920.db2.gz JKQQHAOJMIYNPD-GDJRKYJOSA-N 0 3 309.812 4.087 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCCC[C@@H]1[C@H]1CCOC1 ZINC000479284185 339530415 /nfs/dbraw/zinc/53/04/15/339530415.db2.gz OAWQZHNFYNSSDA-JJRVBVJISA-N 0 3 323.864 4.034 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@@H](c2cc(F)ccc2F)C(C)C)CC1 ZINC000479403195 339533292 /nfs/dbraw/zinc/53/32/92/339533292.db2.gz YUHPFFXBDAJNNU-IAGOWNOFSA-N 0 3 311.416 4.049 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1N[C@@H]1CCCc2nn(C)cc21 ZINC000479435308 339534987 /nfs/dbraw/zinc/53/49/87/339534987.db2.gz GOFYDTOMESQGHC-SCWSEQNSSA-N 0 3 323.484 4.347 20 0 DIADHN CCc1ccc([C@@H](CO)NCc2cc3c(cc(C)cc3C)[nH]2)cc1 ZINC000479439068 339535103 /nfs/dbraw/zinc/53/51/03/339535103.db2.gz RCENALDBNACVFF-OAQYLSRUSA-N 0 3 322.452 4.170 20 0 DIADHN C[C@@H]1COCC[C@@H]1NC1(c2c(Cl)cccc2Cl)CCC1 ZINC000479458388 339536055 /nfs/dbraw/zinc/53/60/55/339536055.db2.gz XDAZKEKGFYTRCH-RISCZKNCSA-N 0 3 314.256 4.387 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCCCc2ccccc2F)c1 ZINC000479537613 339539886 /nfs/dbraw/zinc/53/98/86/339539886.db2.gz AROVKGSRRYSBGM-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN C[C@@H](N[C@@H](CO)CCC(C)(C)C)c1ccccc1OC(F)F ZINC000479547187 339540444 /nfs/dbraw/zinc/54/04/44/339540444.db2.gz XYXOTMUJWRQUFI-CHWSQXEVSA-N 0 3 315.404 4.126 20 0 DIADHN COCc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)ccc1F ZINC000479551947 339540698 /nfs/dbraw/zinc/54/06/98/339540698.db2.gz AHNSRFZCZCGREU-GOSISDBHSA-N 0 3 319.807 4.403 20 0 DIADHN C[C@H](CNCc1c[nH]nc1-c1cccc(Cl)c1)C(F)(F)F ZINC000479582307 339542305 /nfs/dbraw/zinc/54/23/05/339542305.db2.gz UAEQMBOBBCJJAB-SECBINFHSA-N 0 3 317.742 4.018 20 0 DIADHN C[C@@H](CNCc1cc(Cl)ccc1OC(F)F)C(F)(F)F ZINC000479586296 339542514 /nfs/dbraw/zinc/54/25/14/339542514.db2.gz SEMIWXAFCYPDJV-ZETCQYMHSA-N 0 3 317.685 4.229 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@H](C)C(F)(F)F)s2)cc1 ZINC000479594208 339542838 /nfs/dbraw/zinc/54/28/38/339542838.db2.gz RWWQUMCDCWIWMZ-NSHDSACASA-N 0 3 314.376 4.407 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNC[C@@H](C)C(F)(F)F ZINC000479595106 339542944 /nfs/dbraw/zinc/54/29/44/339542944.db2.gz UDJBFVOGSHZMRN-GFCCVEGCSA-N 0 3 324.346 4.045 20 0 DIADHN CC(C)CCOc1ccc(CNC[C@H](C)C(F)(F)F)cc1 ZINC000479599375 339543149 /nfs/dbraw/zinc/54/31/49/339543149.db2.gz AMLFCTKPELJACD-ZDUSSCGKSA-N 0 3 303.368 4.400 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](c2cccs2)N2CCCC2)o1 ZINC000479847647 339550320 /nfs/dbraw/zinc/55/03/20/339550320.db2.gz CJMMZMUARBJFJZ-GOEBONIOSA-N 0 3 318.486 4.391 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCO[C@@H](C(C)(C)C)C2)cs1 ZINC000479927296 339553498 /nfs/dbraw/zinc/55/34/98/339553498.db2.gz KBEQUQCZDWHZSY-GXTWGEPZSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1Cl)N(C)C)c1ccsc1 ZINC000480068933 339557515 /nfs/dbraw/zinc/55/75/15/339557515.db2.gz KNVWLQFUMUKTHD-BLLLJJGKSA-N 0 3 308.878 4.355 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCCC1)c1ccccc1F ZINC000480092157 339558780 /nfs/dbraw/zinc/55/87/80/339558780.db2.gz WQBNRARQVADQAV-YJBOKZPZSA-N 0 3 316.420 4.297 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000480129277 339559894 /nfs/dbraw/zinc/55/98/94/339559894.db2.gz YTKPOWOHIGJOBT-NVXWUHKLSA-N 0 3 314.429 4.025 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2cccc3c2OC(C)(C)C3)CCO1 ZINC000480125892 339559900 /nfs/dbraw/zinc/55/99/00/339559900.db2.gz KIJNXGLZDKQENN-IRXDYDNUSA-N 0 3 317.473 4.083 20 0 DIADHN Cc1cccc2c(CCN[C@@H](C)c3ccc(Cl)cn3)c[nH]c21 ZINC000480148494 339560652 /nfs/dbraw/zinc/56/06/52/339560652.db2.gz MXLGWBUKZRGAIQ-ZDUSSCGKSA-N 0 3 313.832 4.418 20 0 DIADHN C[C@@H](NCCCOC1CCCCC1)c1cc(F)c(F)c(F)c1 ZINC000480161819 339561233 /nfs/dbraw/zinc/56/12/33/339561233.db2.gz AMURGELYOUJYLE-GFCCVEGCSA-N 0 3 315.379 4.494 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1ccc(Cl)cn1 ZINC000480172255 339561922 /nfs/dbraw/zinc/56/19/22/339561922.db2.gz SAVVTIUJYQIIKP-YEWWUXTCSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@@H](NCCSCc1ccccc1F)c1ccc(Cl)cn1 ZINC000480176998 339562138 /nfs/dbraw/zinc/56/21/38/339562138.db2.gz FJBRPNGVHCGXIE-GFCCVEGCSA-N 0 3 324.852 4.458 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@@H]1COc2ccc(Cl)cc21 ZINC000480206037 339562718 /nfs/dbraw/zinc/56/27/18/339562718.db2.gz YKKWUHLCXNGBJA-BXKDBHETSA-N 0 3 307.743 4.484 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480189904 339562796 /nfs/dbraw/zinc/56/27/96/339562796.db2.gz DWFIFQGLTUVQFR-CQSZACIVSA-N 0 3 318.848 4.417 20 0 DIADHN C[C@@H](NC[C@H](C)COCc1ccccc1)c1ccc(Cl)cn1 ZINC000480194263 339562890 /nfs/dbraw/zinc/56/28/90/339562890.db2.gz GAUHZZYUVMALPD-LSDHHAIUSA-N 0 3 318.848 4.239 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@H](c1ccsc1)N(C)C ZINC000480227763 339564192 /nfs/dbraw/zinc/56/41/92/339564192.db2.gz NCOBBSJBMGDSIG-WMLDXEAASA-N 0 3 318.486 4.019 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@H](c1ccsc1)N(C)C ZINC000480227762 339564260 /nfs/dbraw/zinc/56/42/60/339564260.db2.gz NCOBBSJBMGDSIG-RHSMWYFYSA-N 0 3 318.486 4.019 20 0 DIADHN C[C@H](NCCCc1c[nH]c2ccccc12)c1ccc(Cl)cn1 ZINC000480257180 339565710 /nfs/dbraw/zinc/56/57/10/339565710.db2.gz QKNPMNZAYIPCFW-ZDUSSCGKSA-N 0 3 313.832 4.500 20 0 DIADHN CC(C)=CCNCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC000480287220 339567359 /nfs/dbraw/zinc/56/73/59/339567359.db2.gz FUWTVZYVOYWZPE-UHFFFAOYSA-N 0 3 323.362 4.006 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2ccc(Br)o2)C1 ZINC000480383441 339571534 /nfs/dbraw/zinc/57/15/34/339571534.db2.gz KXJWMUOHUKQPJA-ZYHUDNBSSA-N 0 3 318.280 4.196 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ccc(Br)o1 ZINC000480391244 339571877 /nfs/dbraw/zinc/57/18/77/339571877.db2.gz VLUOZNYZCZMTPY-RYUDHWBXSA-N 0 3 318.280 4.196 20 0 DIADHN C[C@H](NCc1ccc(Br)o1)[C@@H](C)c1ccccc1 ZINC000480390283 339571969 /nfs/dbraw/zinc/57/19/69/339571969.db2.gz UHLUMZOJCOQPFW-NEPJUHHUSA-N 0 3 308.219 4.324 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@H]2CCC3(CCCCC3)O2)s1 ZINC000480457921 339573735 /nfs/dbraw/zinc/57/37/35/339573735.db2.gz ACJQXISOSGMVSU-CQSZACIVSA-N 0 3 322.518 4.412 20 0 DIADHN C[C@H](O)[C@@](C)(CNCc1cc2ccccc2o1)Cc1ccccc1 ZINC000480474307 339574221 /nfs/dbraw/zinc/57/42/21/339574221.db2.gz UIFXBMLAUAQADS-HRAATJIYSA-N 0 3 323.436 4.152 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000480668899 339582338 /nfs/dbraw/zinc/58/23/38/339582338.db2.gz BPEFBVXPBXDOON-OAHLLOKOSA-N 0 3 311.425 4.432 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)C(F)(F)F)ccc1SC ZINC000480671099 339582526 /nfs/dbraw/zinc/58/25/26/339582526.db2.gz FDZFPKZZNDRWQR-UWVGGRQHSA-N 0 3 307.381 4.266 20 0 DIADHN CC(C)=CCC[C@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721982 339584807 /nfs/dbraw/zinc/58/48/07/339584807.db2.gz WPRQGSWKEPQIGS-HNAYVOBHSA-N 0 3 315.436 4.373 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)c(C)n1 ZINC000480875254 339589322 /nfs/dbraw/zinc/58/93/22/339589322.db2.gz FDHYBUXNCHPXNU-GFCCVEGCSA-N 0 3 324.346 4.448 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1ccc2ccccc2n1 ZINC000480880765 339589493 /nfs/dbraw/zinc/58/94/93/339589493.db2.gz JHEYSDPVJXTLAW-GQIGUUNPSA-N 0 3 312.457 4.481 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(F)cc2N(C)C)c1 ZINC000480906537 339590389 /nfs/dbraw/zinc/59/03/89/339590389.db2.gz DVGWRXVZCHNBLC-KBPBESRZSA-N 0 3 316.420 4.312 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)COc2cccc(F)c2)cc1F ZINC000481016754 339592946 /nfs/dbraw/zinc/59/29/46/339592946.db2.gz BBHBQTJZIBXONJ-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)COc1cccc(F)c1)CCC2 ZINC000481046956 339594366 /nfs/dbraw/zinc/59/43/66/339594366.db2.gz YXYPLQVTBXSEOE-XHDPSFHLSA-N 0 3 320.433 4.025 20 0 DIADHN CC[C@@H](NCc1ccccc1OCCOC)c1cccs1 ZINC000481047725 339594377 /nfs/dbraw/zinc/59/43/77/339594377.db2.gz KLBSXQNDUVJFPY-OAHLLOKOSA-N 0 3 305.443 4.014 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nc3ccccc3n2C(F)F)[C@H]1C ZINC000481166023 339596887 /nfs/dbraw/zinc/59/68/87/339596887.db2.gz FEXHOAJQFHDIPB-FRRDWIJNSA-N 0 3 307.388 4.346 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000481175157 339597095 /nfs/dbraw/zinc/59/70/95/339597095.db2.gz MCSWLYOAPBLHBA-AWEZNQCLSA-N 0 3 321.371 4.073 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](CC1CCC1)c1ccccc1 ZINC000481251736 339599244 /nfs/dbraw/zinc/59/92/44/339599244.db2.gz FRMZRBULBQMHLC-HXUWFJFHSA-N 0 3 322.452 4.455 20 0 DIADHN CC(C)C[C@@H](NCCc1c(F)cccc1F)c1ccccn1 ZINC000481314131 339600824 /nfs/dbraw/zinc/60/08/24/339600824.db2.gz ARQBEFOPTHVSAA-GOSISDBHSA-N 0 3 304.384 4.279 20 0 DIADHN C[C@H](NCCc1c(F)cccc1F)c1cnc2ccsc2c1 ZINC000481324847 339601240 /nfs/dbraw/zinc/60/12/40/339601240.db2.gz SRIRJPYXQWUHNY-NSHDSACASA-N 0 3 318.392 4.468 20 0 DIADHN C[C@H](NCCCc1nc2ccccc2[nH]1)c1ccccc1Cl ZINC000481424376 339603960 /nfs/dbraw/zinc/60/39/60/339603960.db2.gz XVVPAIRDZRSAIO-ZDUSSCGKSA-N 0 3 313.832 4.500 20 0 DIADHN C[C@@H](NCCc1ccc2ccccc2n1)c1cc(F)cc(F)c1 ZINC000481720680 339611022 /nfs/dbraw/zinc/61/10/22/339611022.db2.gz GDDGUQBALPALBC-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000481719507 339611049 /nfs/dbraw/zinc/61/10/49/339611049.db2.gz QHQBPQKYBXWVNL-SWLSCSKDSA-N 0 3 303.368 4.153 20 0 DIADHN CC[C@H](C(=O)N[C@H](C)c1oc2ccccc2c1C)N(CC)CC ZINC000481808025 339614394 /nfs/dbraw/zinc/61/43/94/339614394.db2.gz GTZDIEMEAOLGMK-GDBMZVCRSA-N 0 3 316.445 4.039 20 0 DIADHN COc1ccnc(CN[C@H](C)C2(c3ccccc3)CCCC2)c1 ZINC000481834561 339615074 /nfs/dbraw/zinc/61/50/74/339615074.db2.gz JMANPDZNXRNKIH-MRXNPFEDSA-N 0 3 310.441 4.080 20 0 DIADHN Cc1cccnc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000481840792 339615129 /nfs/dbraw/zinc/61/51/29/339615129.db2.gz OAXGIAXQXRPVJH-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1noc(C)c1CCN1CCC(c2ccc(Cl)cc2)CC1 ZINC000481839833 339615172 /nfs/dbraw/zinc/61/51/72/339615172.db2.gz MHEIDLYGIMIBEK-UHFFFAOYSA-N 0 3 318.848 4.367 20 0 DIADHN Cc1ncc(CN2CCC(c3ccc(Cl)cc3)CC2)s1 ZINC000481844823 339615313 /nfs/dbraw/zinc/61/53/13/339615313.db2.gz UAOQFKCRZZYBRA-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN CC(C)(C(=O)Nc1cccc(CN2CCCCC2)c1)C1CC1 ZINC000481918828 339616711 /nfs/dbraw/zinc/61/67/11/339616711.db2.gz FPLQRVDQUMEXRP-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1nnc2n1CCCCC2)C1CCCCC1 ZINC000481935085 339617478 /nfs/dbraw/zinc/61/74/78/339617478.db2.gz MFFGAZPYTLNMCZ-SJORKVTESA-N 0 3 318.509 4.404 20 0 DIADHN CC[C@H](N[C@@H]1CCC(C)(C)C[C@@H]1C)c1nnc2n1CCCCC2 ZINC000481936879 339617541 /nfs/dbraw/zinc/61/75/41/339617541.db2.gz VOLNAOSQFXDYRT-HRCADAONSA-N 0 3 318.509 4.260 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@H](c2ccccc2)c2cncnc2)[C@H]1C ZINC000481991068 339618838 /nfs/dbraw/zinc/61/88/38/339618838.db2.gz GZLHBAJBKXUIPO-YNVMFWSZSA-N 0 3 309.457 4.228 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCO2)c1ccc2c(c1)CCC2 ZINC000482237534 339626959 /nfs/dbraw/zinc/62/69/59/339626959.db2.gz WFOWTSHEOULXIM-OAHLLOKOSA-N 0 3 323.436 4.187 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC000482506490 339633940 /nfs/dbraw/zinc/63/39/40/339633940.db2.gz IMQOPYWCTBCQNM-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000482506433 339633975 /nfs/dbraw/zinc/63/39/75/339633975.db2.gz IGEICPYADZKPKH-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H](C)CCCC(F)(F)F)n2C ZINC000482540821 339635160 /nfs/dbraw/zinc/63/51/60/339635160.db2.gz ZOKXFQDIGHWWJV-LBPRGKRZSA-N 0 3 313.367 4.092 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1sccc1C(C)C ZINC000482584948 339636877 /nfs/dbraw/zinc/63/68/77/339636877.db2.gz JRACRHNLHAUCGG-UHFFFAOYSA-N 0 3 316.470 4.494 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cc3c(s2)CCC3)cn1 ZINC000482643043 339638781 /nfs/dbraw/zinc/63/87/81/339638781.db2.gz QPFZRYOYZPTVBT-ZDUSSCGKSA-N 0 3 316.470 4.271 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cn1 ZINC000482644616 339638819 /nfs/dbraw/zinc/63/88/19/339638819.db2.gz DOUNNZVELXNHLF-QGZVFWFLSA-N 0 3 316.832 4.301 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H](CC(F)(F)F)C(C)C ZINC000482845560 339644248 /nfs/dbraw/zinc/64/42/48/339644248.db2.gz QUIAOXWYFTTYFI-GFCCVEGCSA-N 0 3 309.759 4.415 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H](CC(F)(F)F)C(C)C ZINC000482845561 339644329 /nfs/dbraw/zinc/64/43/29/339644329.db2.gz QUIAOXWYFTTYFI-LBPRGKRZSA-N 0 3 309.759 4.415 20 0 DIADHN CC(C)C[C@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccccn1 ZINC000483123719 339652828 /nfs/dbraw/zinc/65/28/28/339652828.db2.gz FNOJSQKKWFGBMB-RXVVDRJESA-N 0 3 323.484 4.427 20 0 DIADHN CCCC(O)(CCC)CN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000483296258 339660268 /nfs/dbraw/zinc/66/02/68/339660268.db2.gz MWIOZRFTXZMTMT-LLVKDONJSA-N 0 3 303.368 4.086 20 0 DIADHN CCCC(O)(CCC)CN[C@H](C)c1ccccc1OC(F)F ZINC000483298170 339660299 /nfs/dbraw/zinc/66/02/99/339660299.db2.gz QIOLOMLDBRGWCX-CYBMUJFWSA-N 0 3 315.404 4.270 20 0 DIADHN C[C@H](N[C@@H]1CCC(=O)N(C)C1)c1ccc(C2CCCCC2)cc1 ZINC000483310700 339660938 /nfs/dbraw/zinc/66/09/38/339660938.db2.gz NYUKIMXYEQQKHW-HNAYVOBHSA-N 0 3 314.473 4.006 20 0 DIADHN C[C@@H](NC1CCC(C)(C)CC1)c1nc(-c2cccc(F)c2)no1 ZINC000483336993 339661966 /nfs/dbraw/zinc/66/19/66/339661966.db2.gz IKAXSGVTLMLNFR-GFCCVEGCSA-N 0 3 317.408 4.495 20 0 DIADHN C[C@@H](N[C@H]1CCCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483334902 339662062 /nfs/dbraw/zinc/66/20/62/339662062.db2.gz BOICMPDMMUOSOU-DOMZBBRYSA-N 0 3 317.408 4.495 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](C)Oc2cccc(F)c2)cc1F ZINC000483536712 339668358 /nfs/dbraw/zinc/66/83/58/339668358.db2.gz CVVRHKLWJJRGSE-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccc(Br)s1 ZINC000483738081 339673537 /nfs/dbraw/zinc/67/35/37/339673537.db2.gz BQEDSIFYTGASSU-GARJFASQSA-N 0 3 318.280 4.119 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@H](C)Oc2ccc(C)cc2)s1 ZINC000483623456 339669804 /nfs/dbraw/zinc/66/98/04/339669804.db2.gz LRCPGAPGWRWTJS-UONOGXRCSA-N 0 3 318.486 4.440 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@H](C)Oc2ccccc2C)s1 ZINC000483631794 339670093 /nfs/dbraw/zinc/67/00/93/339670093.db2.gz BWDZQNKCNNUDQO-UONOGXRCSA-N 0 3 318.486 4.440 20 0 DIADHN CCC[C@@H](C)CN[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483660733 339671122 /nfs/dbraw/zinc/67/11/22/339671122.db2.gz WCDSICPYNOCXQQ-DGCLKSJQSA-N 0 3 305.397 4.271 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000483662131 339671143 /nfs/dbraw/zinc/67/11/43/339671143.db2.gz YJXWUPNYEFQSAI-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2cncc(F)c2)cc1 ZINC000483694643 339671913 /nfs/dbraw/zinc/67/19/13/339671913.db2.gz SDPATKZEGZJSOP-CCKFTAQKSA-N 0 3 314.404 4.100 20 0 DIADHN C[C@H](N[C@H]1CCC[C@]1(C)CO)c1cc(Cl)ccc1Cl ZINC000483710027 339672135 /nfs/dbraw/zinc/67/21/35/339672135.db2.gz GNBQKNBQUPMAHI-NZVBXONLSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)sc1C ZINC000483846596 339676181 /nfs/dbraw/zinc/67/61/81/339676181.db2.gz CFALFBPJBVLQLB-IAGOWNOFSA-N 0 3 300.471 4.261 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000483851124 339676357 /nfs/dbraw/zinc/67/63/57/339676357.db2.gz KSSLFAHYXVEHFR-YGRLFVJLSA-N 0 3 321.795 4.263 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(C)ccc1OC(F)F)C(C)(C)C ZINC000483872080 339677646 /nfs/dbraw/zinc/67/76/46/339677646.db2.gz OGGAPHNJVYFBIA-DOMZBBRYSA-N 0 3 315.404 4.308 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1cc(Cl)ccc1OC ZINC000484144392 339681843 /nfs/dbraw/zinc/68/18/43/339681843.db2.gz ZIPATKYQOTZASS-GUDXXQAFSA-N 0 3 323.864 4.203 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@@H](C)c1ccccc1OC(F)F ZINC000484145332 339681949 /nfs/dbraw/zinc/68/19/49/339681949.db2.gz WXXAZEANRHSOSZ-GUYCJALGSA-N 0 3 315.404 4.126 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccccc1OCC1CC1 ZINC000484179317 339682593 /nfs/dbraw/zinc/68/25/93/339682593.db2.gz YCLLMUKTPUUBKC-QVKFZJNVSA-N 0 3 307.437 4.499 20 0 DIADHN CC[C@H](CCO)N[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000484181641 339682687 /nfs/dbraw/zinc/68/26/87/339682687.db2.gz WTUFGIHUTKSHMZ-FUHWJXTLSA-N 0 3 313.441 4.077 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1cc(F)ccc1F)c1cccnc1 ZINC000484279612 339683607 /nfs/dbraw/zinc/68/36/07/339683607.db2.gz HDVZYPSXXKZLJF-DYVFJYSZSA-N 0 3 304.384 4.450 20 0 DIADHN C[C@H](NC[C@@H](CO)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000484483074 339687461 /nfs/dbraw/zinc/68/74/61/339687461.db2.gz LISCEKFEYAUZAR-JSGCOSHPSA-N 0 3 324.251 4.420 20 0 DIADHN C[C@@H](NCCc1cc(F)ccc1F)c1cc(F)c(F)c(F)c1 ZINC000484487081 339687548 /nfs/dbraw/zinc/68/75/48/339687548.db2.gz SFWSXVZRGDNPRR-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccccc1)c1ccc(-c2ccncc2)cc1 ZINC000484520426 339689107 /nfs/dbraw/zinc/68/91/07/339689107.db2.gz SODGPOVUYVBDDV-KKSFZXQISA-N 0 3 318.420 4.133 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2c(F)cccc2F)C1)c1ccc(F)cc1 ZINC000484621832 339690749 /nfs/dbraw/zinc/69/07/49/339690749.db2.gz RXXHJYGJXASWDR-SWLSCSKDSA-N 0 3 320.358 4.033 20 0 DIADHN C[C@H](NCc1cc(F)cc(Cl)c1)[C@H]1COc2ccccc21 ZINC000485684520 339709323 /nfs/dbraw/zinc/70/93/23/339709323.db2.gz MYDBDNMHZXJGTA-MEDUHNTESA-N 0 3 305.780 4.133 20 0 DIADHN CCc1nc(CCNCc2cc(F)cc(Cl)c2)sc1C ZINC000485701647 339710066 /nfs/dbraw/zinc/71/00/66/339710066.db2.gz LRTHYPOGYWQOJY-UHFFFAOYSA-N 0 3 312.841 4.139 20 0 DIADHN C[C@H](NCCCC(=O)OC(C)(C)C)c1ccc2ncsc2c1 ZINC000485836810 339714060 /nfs/dbraw/zinc/71/40/60/339714060.db2.gz YIVYOAXZUJZSII-LBPRGKRZSA-N 0 3 320.458 4.069 20 0 DIADHN C[C@H](NCCOc1ccc(F)cc1)c1ccc2ncsc2c1 ZINC000485850934 339714452 /nfs/dbraw/zinc/71/44/52/339714452.db2.gz PBHIJTBUSSHZOW-LBPRGKRZSA-N 0 3 316.401 4.165 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000486091895 339720910 /nfs/dbraw/zinc/72/09/10/339720910.db2.gz YCIBEGNVEAREAZ-DLBZAZTESA-N 0 3 308.466 4.294 20 0 DIADHN CCC(CC)[C@H](NC(=O)CC1CCN(C)CC1)c1ccccc1 ZINC000486537415 339728988 /nfs/dbraw/zinc/72/89/88/339728988.db2.gz XJKNJFFEHKRSBG-FQEVSTJZSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1cccc(C(F)(F)F)c1 ZINC000486953456 339739679 /nfs/dbraw/zinc/73/96/79/339739679.db2.gz GBZZCHHCRGYIED-OOPCZODUSA-N 0 3 306.331 4.464 20 0 DIADHN CCOc1ccc(CNCCSc2ccccc2)cc1F ZINC000486983130 339741402 /nfs/dbraw/zinc/74/14/02/339741402.db2.gz CPACXXNGAYRSAM-UHFFFAOYSA-N 0 3 305.418 4.106 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NCc2cc(Cl)cs2)C1 ZINC000487319625 339751126 /nfs/dbraw/zinc/75/11/26/339751126.db2.gz GWHXDJQIUMUZIG-CZUORRHYSA-N 0 3 320.889 4.327 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2sccc2Cl)CCO1 ZINC000487551793 339756870 /nfs/dbraw/zinc/75/68/70/339756870.db2.gz PICGDFKNHPFCCZ-OCCSQVGLSA-N 0 3 301.883 4.475 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2cnc3ccccc3c2)CS1 ZINC000488428678 339781565 /nfs/dbraw/zinc/78/15/65/339781565.db2.gz DBFYWKAGNHZDMR-NVXWUHKLSA-N 0 3 300.471 4.245 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2CCCOc3cc(F)ccc32)CS1 ZINC000488454476 339783532 /nfs/dbraw/zinc/78/35/32/339783532.db2.gz DEOSUXSQMGCBPL-UNEWFSDZSA-N 0 3 309.450 4.159 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@@H]1CS[C@H](C(C)C)C1 ZINC000488516116 339786320 /nfs/dbraw/zinc/78/63/20/339786320.db2.gz AYFRXSSLXZTVEW-NOLJZWGESA-N 0 3 309.523 4.127 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CS[C@H](C(C)(C)C)C1)CCO2 ZINC000488547229 339787214 /nfs/dbraw/zinc/78/72/14/339787214.db2.gz RBQBREXRNJCMSF-PVUWLOKVSA-N 0 3 321.486 4.029 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H]1CS[C@@H](C(C)(C)C)C1 ZINC000488563706 339787865 /nfs/dbraw/zinc/78/78/65/339787865.db2.gz UNAFJWJOLKMLSX-FVQBIDKESA-N 0 3 305.487 4.328 20 0 DIADHN CC(C)[C@@H]1C[C@H](NC[C@H](c2ccccc2)C(F)(F)F)CS1 ZINC000488586682 339788654 /nfs/dbraw/zinc/78/86/54/339788654.db2.gz WOWDXTOSARSKRI-ZNMIVQPWSA-N 0 3 317.420 4.452 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NC[C@@H](c2ccccc2)C(F)(F)F)CS1 ZINC000488586669 339788712 /nfs/dbraw/zinc/78/87/12/339788712.db2.gz WOWDXTOSARSKRI-ILXRZTDVSA-N 0 3 317.420 4.452 20 0 DIADHN C[C@H](NC[C@H]1CCO[C@@H](C)C1)c1cc(Cl)ccc1Cl ZINC000488981973 339798940 /nfs/dbraw/zinc/79/89/40/339798940.db2.gz LCZFEPZANQAAIF-SRVKXCTJSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1ccc(Br)c(F)c1 ZINC000489488190 339809692 /nfs/dbraw/zinc/80/96/92/339809692.db2.gz UCXNERJWAONJNZ-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccccc1-n1ccnc1)[C@@H](C)C2 ZINC000490167448 339827651 /nfs/dbraw/zinc/82/76/51/339827651.db2.gz JMWAXYXQMFELGZ-KKSFZXQISA-N 0 3 317.436 4.204 20 0 DIADHN CCOCc1ccc(CNCc2ccc3c(c2)CCCC3)cc1 ZINC000490553301 339834209 /nfs/dbraw/zinc/83/42/09/339834209.db2.gz AETIMJDDPJKGKW-UHFFFAOYSA-N 0 3 309.453 4.392 20 0 DIADHN COc1ccccc1-c1ncc(CN[C@H](C)CCC(C)C)cn1 ZINC000490557370 339834214 /nfs/dbraw/zinc/83/42/14/339834214.db2.gz PWKBFFSKTODBMO-OAHLLOKOSA-N 0 3 313.445 4.067 20 0 DIADHN c1cnc2c(c1)cccc2CNCc1ccc2c(c1)CCCC2 ZINC000490763286 339839625 /nfs/dbraw/zinc/83/96/25/339839625.db2.gz VRZZHNDSAIZDIJ-UHFFFAOYSA-N 0 3 302.421 4.403 20 0 DIADHN C[C@@H](CN[C@@H](c1ccc(F)cc1)c1ccccc1Cl)N(C)C ZINC000491033755 339846537 /nfs/dbraw/zinc/84/65/37/339846537.db2.gz FWPRWWZSIXJBAS-UGSOOPFHSA-N 0 3 320.839 4.108 20 0 DIADHN c1cc(CNCc2ccc3c(c2)CCCC3)cc(OC2CCC2)n1 ZINC000491225518 339854200 /nfs/dbraw/zinc/85/42/00/339854200.db2.gz VBEYTDUAWBTVNR-UHFFFAOYSA-N 0 3 322.452 4.182 20 0 DIADHN CCn1nc(CNC[C@H]2CCCCC(F)(F)C2)c2ccccc21 ZINC000491593861 339868221 /nfs/dbraw/zinc/86/82/21/339868221.db2.gz KBXIWTAHDKLRQA-AWEZNQCLSA-N 0 3 321.415 4.361 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@H](C)[C@H]1OCCc2sccc21 ZINC000492025772 339889462 /nfs/dbraw/zinc/88/94/62/339889462.db2.gz WCGGVBRXEMCYMP-BZKMUXMJSA-N 0 3 313.466 4.444 20 0 DIADHN CC[C@H](O)CNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000492259987 339895635 /nfs/dbraw/zinc/89/56/35/339895635.db2.gz RFJZFDZPRNYAMN-JTQLQIEISA-N 0 3 314.212 4.114 20 0 DIADHN Cc1nc(C(C)(C)NCCCc2cccc(F)c2)sc1C ZINC000492727551 339907521 /nfs/dbraw/zinc/90/75/21/339907521.db2.gz JTQDUMFYMDAHIB-UHFFFAOYSA-N 0 3 306.450 4.357 20 0 DIADHN CC[C@@H](O)CCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039336 339915633 /nfs/dbraw/zinc/91/56/33/339915633.db2.gz ZGJQXBSWDWJVGV-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@]1(CN[C@@H](c2ccccc2)c2ccc(Cl)cc2)CCOC1 ZINC000493144689 339918309 /nfs/dbraw/zinc/91/83/09/339918309.db2.gz FDGHWFROCAQHBU-RBUKOAKNSA-N 0 3 315.844 4.446 20 0 DIADHN CC[C@H](NC[C@H](C)c1ccc(F)cc1F)c1ccc(F)cn1 ZINC000493275875 339921698 /nfs/dbraw/zinc/92/16/98/339921698.db2.gz CVPTXFSMTLFLNS-ZBEGNZNMSA-N 0 3 308.347 4.343 20 0 DIADHN CC(C)[C@@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493277145 339921750 /nfs/dbraw/zinc/92/17/50/339921750.db2.gz PBYGVVZLRBQETJ-ZWKOTPCHSA-N 0 3 303.833 4.036 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)[C@@H](OC)c1ccccc1 ZINC000493926825 339936827 /nfs/dbraw/zinc/93/68/27/339936827.db2.gz PEFRCEBPIJVEHS-OXQOHEQNSA-N 0 3 313.441 4.089 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccccc1Cl)c1ccc(F)cn1 ZINC000494003457 339938576 /nfs/dbraw/zinc/93/85/76/339938576.db2.gz HVWKPEFIQBZJOL-WFASDCNBSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccc(F)cc1)c1ccccc1F ZINC000494046172 339939742 /nfs/dbraw/zinc/93/97/42/339939742.db2.gz CFDCWPBLXJDAJC-MSOLQXFVSA-N 0 3 305.368 4.393 20 0 DIADHN CCCc1csc(CNCC2(c3cccc(F)c3)CC2)n1 ZINC000494100712 339941028 /nfs/dbraw/zinc/94/10/28/339941028.db2.gz YXSGDUITDLDDBC-UHFFFAOYSA-N 0 3 304.434 4.056 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000494195503 339943521 /nfs/dbraw/zinc/94/35/21/339943521.db2.gz PIHVZOMPYZAGHR-UYHISHBKSA-N 0 3 319.807 4.336 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)NCc1cncc(F)c1 ZINC000494581709 339954074 /nfs/dbraw/zinc/95/40/74/339954074.db2.gz WVAWASVGCUAEBW-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC3CCC3)c1)[C@H](C)C2 ZINC000494745406 339959086 /nfs/dbraw/zinc/95/90/86/339959086.db2.gz JBVMSALIARUSGH-QVKFZJNVSA-N 0 3 322.452 4.344 20 0 DIADHN COC[C@@H](NCCCCCSC)c1ccc(Cl)cc1 ZINC000494793879 339960281 /nfs/dbraw/zinc/96/02/81/339960281.db2.gz LFJKCJZKPPOPOG-OAHLLOKOSA-N 0 3 301.883 4.150 20 0 DIADHN CCC[C@H](NC[C@H](O)CSC)c1ccc(Cl)c(Cl)c1 ZINC000494808576 339960917 /nfs/dbraw/zinc/96/09/17/339960917.db2.gz JZNMNIIEIUNIMM-FZMZJTMJSA-N 0 3 322.301 4.148 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(C(=O)N(C)C)cc1)c1ccc(C)cc1 ZINC000494887018 339963599 /nfs/dbraw/zinc/96/35/99/339963599.db2.gz BRCCEKKBHUIYLC-OXJNMPFZSA-N 0 3 324.468 4.499 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc(C(N)=O)c1)C1CCCC1 ZINC000494889588 339963661 /nfs/dbraw/zinc/96/36/61/339963661.db2.gz CAHHSXUVTKFHCC-HXUWFJFHSA-N 0 3 322.452 4.115 20 0 DIADHN COCc1nc(CN[C@@H]2C[C@@H](C)[C@H]2C)c(-c2ccccc2)s1 ZINC000494978710 339968361 /nfs/dbraw/zinc/96/83/61/339968361.db2.gz UORMINVZAFVPLW-UMVBOHGHSA-N 0 3 316.470 4.091 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@@H]2C[C@H](C)[C@@H]2C)c1 ZINC000495057871 339971588 /nfs/dbraw/zinc/97/15/88/339971588.db2.gz HKXKYVXWXLJANA-SUNYJGFJSA-N 0 3 312.413 4.017 20 0 DIADHN Cc1csc([C@@H](NCCCc2ccccc2C)C2CC2)n1 ZINC000495502649 339986089 /nfs/dbraw/zinc/98/60/89/339986089.db2.gz KGQSRXJJARRTHH-KRWDZBQOSA-N 0 3 300.471 4.433 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2cc(C)ccc2C)c1 ZINC000495811939 339992523 /nfs/dbraw/zinc/99/25/23/339992523.db2.gz WJUJBPBJHAFNTO-HNNXBMFYSA-N 0 3 312.413 4.333 20 0 DIADHN CC(C)(C)OC(=O)Nc1ncc(CN[C@]2(C)CC=CCC2)s1 ZINC000496319473 340002991 /nfs/dbraw/zinc/00/29/91/340002991.db2.gz COUYINCADLIETK-MRXNPFEDSA-N 0 3 323.462 4.079 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc(C)c(F)c(C)c2)c1 ZINC000496785552 340012969 /nfs/dbraw/zinc/01/29/69/340012969.db2.gz NQGXQWLIGWWEHD-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NC1(c2ccc(Cl)cc2)CC1 ZINC000498748142 340022640 /nfs/dbraw/zinc/02/26/40/340022640.db2.gz WERZJFIPPMUSSK-GFCCVEGCSA-N 0 3 309.837 4.039 20 0 DIADHN CO[C@@H](CN[C@H](c1ccc(F)cn1)C(C)C)c1ccc(F)cc1 ZINC000498769177 340022975 /nfs/dbraw/zinc/02/29/75/340022975.db2.gz ZTCCRWRWXSXPAI-ROUUACIJSA-N 0 3 320.383 4.034 20 0 DIADHN CCOc1cccc(CCN[C@@H](c2ccc(F)cn2)C(C)C)c1 ZINC000498811116 340023839 /nfs/dbraw/zinc/02/38/39/340023839.db2.gz OLJGLSODWZTRDH-LJQANCHMSA-N 0 3 316.420 4.149 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN(Cc1cccc(O)c1)CC1CC1 ZINC000498901054 340025312 /nfs/dbraw/zinc/02/53/12/340025312.db2.gz LLNIZIYLRKQZOT-UZLBHIALSA-N 0 3 317.473 4.200 20 0 DIADHN c1ccc(NCCCNC2c3ccccc3-c3ccccc32)nc1 ZINC000498925954 340026142 /nfs/dbraw/zinc/02/61/42/340026142.db2.gz BFPYXADUHYNZIW-UHFFFAOYSA-N 0 3 315.420 4.243 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)nn2)CC1 ZINC000499051761 340028586 /nfs/dbraw/zinc/02/85/86/340028586.db2.gz BZFMYQMMTXGJCN-MRXNPFEDSA-N 0 3 311.429 4.281 20 0 DIADHN CC(C)N(Cc1ccc(Oc2ccccc2)nn1)C1CCCC1 ZINC000499054744 340028763 /nfs/dbraw/zinc/02/87/63/340028763.db2.gz KQSWGWWTNAZFKV-UHFFFAOYSA-N 0 3 311.429 4.422 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1ccc(Oc2ccccc2)nn1 ZINC000499059227 340028873 /nfs/dbraw/zinc/02/88/73/340028873.db2.gz PNZRYLCOUOJHDP-JTDSTZFVSA-N 0 3 323.440 4.422 20 0 DIADHN Cc1nc(C(C)(C)NCCCCC2CCOCC2)sc1C ZINC000499549702 340039138 /nfs/dbraw/zinc/03/91/38/340039138.db2.gz PZVFNPHVWGQGIW-UHFFFAOYSA-N 0 3 310.507 4.182 20 0 DIADHN CC[C@@](C)(NCCCCC1CCOCC1)c1nc(C)cs1 ZINC000499549284 340039215 /nfs/dbraw/zinc/03/92/15/340039215.db2.gz NYVWAUARLYWAGO-QGZVFWFLSA-N 0 3 310.507 4.263 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)C[C@H](C)CC(C)C ZINC000499550700 340039306 /nfs/dbraw/zinc/03/93/06/340039306.db2.gz VURGVMPHYRWORQ-OAHLLOKOSA-N 0 3 304.478 4.246 20 0 DIADHN C[C@@H]([C@H]1Cc2ccccc2O1)N(C)Cc1cnc2ccccc2c1 ZINC000499550682 340039313 /nfs/dbraw/zinc/03/93/13/340039313.db2.gz VJRKRSWWAYFFPD-YCRPNKLZSA-N 0 3 318.420 4.059 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC000499611047 340040904 /nfs/dbraw/zinc/04/09/04/340040904.db2.gz NIPJYEKZOIEZMO-MRXNPFEDSA-N 0 3 315.436 4.373 20 0 DIADHN COc1cccc(-c2noc([C@H](C)NC[C@H](C)CC(C)C)n2)c1 ZINC000499625639 340041540 /nfs/dbraw/zinc/04/15/40/340041540.db2.gz MHQQEWBMXMLKDW-KGLIPLIRSA-N 0 3 317.433 4.078 20 0 DIADHN CCC[C@@H](CC1CCCC1)C(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000499811696 340045061 /nfs/dbraw/zinc/04/50/61/340045061.db2.gz WNZZLKIVERXLCI-RBUKOAKNSA-N 0 3 320.521 4.070 20 0 DIADHN COC[C@@H](C)N1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499936537 340047280 /nfs/dbraw/zinc/04/72/80/340047280.db2.gz AJHROJXEMCYREK-GFCCVEGCSA-N 0 3 319.342 4.001 20 0 DIADHN CO[C@@H](C)CN1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499935661 340047300 /nfs/dbraw/zinc/04/73/00/340047300.db2.gz LVNIQIRCLODYCN-LBPRGKRZSA-N 0 3 319.342 4.001 20 0 DIADHN COCCCN1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499937249 340047329 /nfs/dbraw/zinc/04/73/29/340047329.db2.gz SHJBOCOSAGRWAH-UHFFFAOYSA-N 0 3 319.342 4.003 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N1CCCN(C(C)C)CC1 ZINC000499976967 340047729 /nfs/dbraw/zinc/04/77/29/340047729.db2.gz JVKFPBMJSZGQGS-IBGZPJMESA-N 0 3 322.537 4.316 20 0 DIADHN Cc1cc(C)n(CCN(C)[C@H](c2ccccc2Cl)C(C)C)n1 ZINC000500213212 340053138 /nfs/dbraw/zinc/05/31/38/340053138.db2.gz JWRXQEAHKSAWAO-SFHVURJKSA-N 0 3 319.880 4.482 20 0 DIADHN COCc1ccc(CN[C@@H](C)Cc2ccc(C(C)C)cc2)o1 ZINC000500227820 340053511 /nfs/dbraw/zinc/05/35/11/340053511.db2.gz IEHXMXGCECJQHL-HNNXBMFYSA-N 0 3 301.430 4.270 20 0 DIADHN COc1ccc(CN[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)cc1 ZINC000500394984 340056550 /nfs/dbraw/zinc/05/65/50/340056550.db2.gz GEZFJKZUZRCZCG-SCLBCKFNSA-N 0 3 301.817 4.374 20 0 DIADHN COCC1(CNCc2ccc(-c3cccc(F)c3F)o2)CCC1 ZINC000500393496 340056560 /nfs/dbraw/zinc/05/65/60/340056560.db2.gz KQKNEHOHFUJVJL-UHFFFAOYSA-N 0 3 321.367 4.131 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cccc(CSC)c1 ZINC000500396618 340056601 /nfs/dbraw/zinc/05/66/01/340056601.db2.gz PGNBXOTVNCHFKE-UHFFFAOYSA-N 0 3 315.482 4.410 20 0 DIADHN COc1cccc(CN[C@]2(c3ccc(Cl)cc3)C[C@H]2C)c1 ZINC000500416328 340057663 /nfs/dbraw/zinc/05/76/63/340057663.db2.gz NNEAEZDSFISNKW-FZKQIMNGSA-N 0 3 301.817 4.374 20 0 DIADHN C[C@H]1CCc2nc(CNCC3CCC(F)(F)CC3)sc2C1 ZINC000500431398 340058526 /nfs/dbraw/zinc/05/85/26/340058526.db2.gz UQNREKQDZBLYDK-NSHDSACASA-N 0 3 314.445 4.183 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccc(C(F)(F)F)s1 ZINC000500460058 340059339 /nfs/dbraw/zinc/05/93/39/340059339.db2.gz GOGHVGMSQFMZPI-RKDXNWHRSA-N 0 3 315.307 4.017 20 0 DIADHN C[C@H]1C[C@@]1(NCc1ccc2c[nH]nc2c1)c1ccc(Cl)cc1 ZINC000500466790 340059827 /nfs/dbraw/zinc/05/98/27/340059827.db2.gz YRMVLXQCKSOJJW-SGTLLEGYSA-N 0 3 311.816 4.241 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cnc2ccccn12)c1cccc(C)c1 ZINC000500495403 340060593 /nfs/dbraw/zinc/06/05/93/340060593.db2.gz GFBNLQZSFSDJSR-OXQOHEQNSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1ccc(NC(C)=O)cc1)c1cccc(C)c1 ZINC000500495381 340060618 /nfs/dbraw/zinc/06/06/18/340060618.db2.gz GADDKMYPHVNJIA-WIYYLYMNSA-N 0 3 322.452 4.368 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1ccc2c(c1)ncn2C)c1cccc(C)c1 ZINC000500535176 340061693 /nfs/dbraw/zinc/06/16/93/340061693.db2.gz QIHLPQKKSNGVES-UTKZUKDTSA-N 0 3 319.452 4.297 20 0 DIADHN CCC[C@H](NCCOc1ccc(Cl)cc1)c1ccccn1 ZINC000500622913 340063984 /nfs/dbraw/zinc/06/39/84/340063984.db2.gz FPMNDOMVPJRTNW-INIZCTEOSA-N 0 3 304.821 4.245 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2cn3cc(Cl)ccc3n2)C1 ZINC000500890171 340069201 /nfs/dbraw/zinc/06/92/01/340069201.db2.gz DFMIWOFUNIRBMT-ZFWWWQNUSA-N 0 3 305.853 4.292 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2cn3cc(Cl)ccc3n2)C1 ZINC000500890168 340069303 /nfs/dbraw/zinc/06/93/03/340069303.db2.gz DFMIWOFUNIRBMT-DZGCQCFKSA-N 0 3 305.853 4.292 20 0 DIADHN C[C@@H](NCc1cccc(C(=O)OC(C)(C)C)c1)c1ccccc1 ZINC000500981545 340071567 /nfs/dbraw/zinc/07/15/67/340071567.db2.gz DAHJREUSMUUUCA-OAHLLOKOSA-N 0 3 311.425 4.493 20 0 DIADHN COC[C@@H](NCCSC1CCCCC1)c1ccc(F)cc1 ZINC000500987889 340071664 /nfs/dbraw/zinc/07/16/64/340071664.db2.gz GZAUXRPHAXERIY-QGZVFWFLSA-N 0 3 311.466 4.169 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCSC1CCCCC1 ZINC000500992566 340071789 /nfs/dbraw/zinc/07/17/89/340071789.db2.gz ZZSMSPUCCWPZHS-INIZCTEOSA-N 0 3 307.507 4.229 20 0 DIADHN C[C@H](CN1CCOCC12CCC2)c1ccc(C(F)(F)F)cc1 ZINC000501060062 340073395 /nfs/dbraw/zinc/07/33/95/340073395.db2.gz PMJXXIKDNKUTCD-CYBMUJFWSA-N 0 3 313.363 4.064 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)COC(C)C)ccc1OCC(C)C ZINC000501379161 340079557 /nfs/dbraw/zinc/07/95/57/340079557.db2.gz VADRHXJYQHRXBZ-JKSUJKDBSA-N 0 3 323.477 4.194 20 0 DIADHN CCc1cc(OC)ccc1CNCc1nccc2ccccc21 ZINC000501387624 340079757 /nfs/dbraw/zinc/07/97/57/340079757.db2.gz PFUDCZQQZCRSCW-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN CC(C)OC[C@H](C)NCc1cccc(COc2ccccc2)c1 ZINC000501420261 340080537 /nfs/dbraw/zinc/08/05/37/340080537.db2.gz IBHGNVUXPFJUMP-KRWDZBQOSA-N 0 3 313.441 4.169 20 0 DIADHN Cc1ccc(C[C@@H](N[C@H](C)COC(C)C)c2ccccn2)cc1 ZINC000501428694 340080908 /nfs/dbraw/zinc/08/09/08/340080908.db2.gz YUDRNEZSCZAAKA-YLJYHZDGSA-N 0 3 312.457 4.077 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000501444655 340081089 /nfs/dbraw/zinc/08/10/89/340081089.db2.gz BJVNQUNZEOICMM-OLZOCXBDSA-N 0 3 319.367 4.092 20 0 DIADHN CCn1cc(CNC/C(C)=C/c2ccccc2C(F)(F)F)cn1 ZINC000501444585 340081095 /nfs/dbraw/zinc/08/10/95/340081095.db2.gz AZAVQOWAXYHDJR-MDWZMJQESA-N 0 3 323.362 4.115 20 0 DIADHN COc1ccc(-c2csc(CN[C@H](C)COC(C)C)c2)cc1 ZINC000501454623 340081528 /nfs/dbraw/zinc/08/15/28/340081528.db2.gz VFCGFJNZFQICDX-CQSZACIVSA-N 0 3 319.470 4.327 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CNCc1cccnc1 ZINC000501464823 340081600 /nfs/dbraw/zinc/08/16/00/340081600.db2.gz ANKUACBGLVCABE-UKTHLTGXSA-N 0 3 306.331 4.294 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)NC[C@H](C)N1CCCC[C@H]1C ZINC000501485520 340082081 /nfs/dbraw/zinc/08/20/81/340082081.db2.gz ZBQLOUBEASJAGW-KGLIPLIRSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@@H](C)N2CCC[C@H](C)C2)c1 ZINC000501504582 340082301 /nfs/dbraw/zinc/08/23/01/340082301.db2.gz QOLFJAYHMIWGBT-JKSUJKDBSA-N 0 3 317.477 4.052 20 0 DIADHN C[C@H]1CCC[C@H]1NCc1csc(COc2ccc(F)cc2)n1 ZINC000501922786 340090780 /nfs/dbraw/zinc/09/07/80/340090780.db2.gz ZPPTVVQYHVYMKY-BLLLJJGKSA-N 0 3 320.433 4.139 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000501931890 340090910 /nfs/dbraw/zinc/09/09/10/340090910.db2.gz XBUULKXCKMDKMS-WBVHZDCISA-N 0 3 324.424 4.196 20 0 DIADHN C[C@@H](NCCCCc1ccccc1)c1nc2c(s1)CCC2 ZINC000502019487 340093123 /nfs/dbraw/zinc/09/31/23/340093123.db2.gz SFPDBQMNQPTYKM-CQSZACIVSA-N 0 3 300.471 4.305 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@@H]1C)c1nc2c(s1)CCC2 ZINC000502032092 340093604 /nfs/dbraw/zinc/09/36/04/340093604.db2.gz LGHMWAWLMFHZAK-YOKBYHGQSA-N 0 3 312.482 4.475 20 0 DIADHN CC(C)CO[C@@H]1C[C@@H](N[C@H](C)c2nc3c(s2)CCC3)C1(C)C ZINC000502050999 340094126 /nfs/dbraw/zinc/09/41/26/340094126.db2.gz LIBWXZSFRDAKEI-DAXOMENPSA-N 0 3 322.518 4.122 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2nc3c(s2)CCC3)C12CCCC2 ZINC000502059875 340094402 /nfs/dbraw/zinc/09/44/02/340094402.db2.gz ZDGUZNLAYPGVHF-APHBMKBZSA-N 0 3 320.502 4.020 20 0 DIADHN CCCn1nccc1C1CCN(Cc2cc(C)ccc2F)CC1 ZINC000502324105 340099789 /nfs/dbraw/zinc/09/97/89/340099789.db2.gz AOAVJFXVYIYRSK-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN CCCn1nccc1C1CCN(Cc2sccc2C)CC1 ZINC000502328576 340099957 /nfs/dbraw/zinc/09/99/57/340099957.db2.gz WFMACOFLIYQANT-UHFFFAOYSA-N 0 3 303.475 4.043 20 0 DIADHN Cc1ccc(CN2CCC(N3CCc4ccccc43)CC2)s1 ZINC000502347387 340100433 /nfs/dbraw/zinc/10/04/33/340100433.db2.gz UKBBBLIBZRQBNZ-UHFFFAOYSA-N 0 3 312.482 4.084 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2CCC(C)(C)c3ccccc32)nn1C ZINC000502366382 340100823 /nfs/dbraw/zinc/10/08/23/340100823.db2.gz OATVSTSACZQCLU-JKSUJKDBSA-N 0 3 311.473 4.234 20 0 DIADHN COCC[C@H]1CCC[C@@H]1NCc1csc(-c2ccccc2)n1 ZINC000502401916 340101940 /nfs/dbraw/zinc/10/19/40/340101940.db2.gz CHYHSFJBBIGJDF-PBHICJAKSA-N 0 3 316.470 4.105 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](C)c2cnn(C3CCCC3)c2)C1(C)C ZINC000502429133 340103067 /nfs/dbraw/zinc/10/30/67/340103067.db2.gz GWXFRAVXNBNGOM-FHLIZLRMSA-N 0 3 319.493 4.241 20 0 DIADHN Cc1cc([C@H](C)NC[C@@](C)(Cc2ccccc2)[C@H](C)O)oc1C ZINC000502435536 340103438 /nfs/dbraw/zinc/10/34/38/340103438.db2.gz OARIZCWXBUQYBR-RIFZZMRRSA-N 0 3 315.457 4.177 20 0 DIADHN Cc1cc([C@H](C)NC[C@](C)(Cc2ccccc2)[C@H](C)O)oc1C ZINC000502435535 340103493 /nfs/dbraw/zinc/10/34/93/340103493.db2.gz OARIZCWXBUQYBR-KNBMTAEXSA-N 0 3 315.457 4.177 20 0 DIADHN Cc1ccc(OCCN[C@@H](C)c2cnn(C3CCCC3)c2)cc1 ZINC000502465473 340104749 /nfs/dbraw/zinc/10/47/49/340104749.db2.gz UKAOLQZYYUTNHN-INIZCTEOSA-N 0 3 313.445 4.036 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)c2cccc(Cl)c2F)nn1C ZINC000502471119 340105032 /nfs/dbraw/zinc/10/50/32/340105032.db2.gz IEXXBTSUZDWDHP-GFCCVEGCSA-N 0 3 323.843 4.149 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cccc(NC(C)=O)c1)c1cccc(C)c1 ZINC000502483830 340105672 /nfs/dbraw/zinc/10/56/72/340105672.db2.gz KROGBXPEGRQROR-WIYYLYMNSA-N 0 3 322.452 4.368 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cccc(NC(C)=O)c1)c1cccc(C)c1 ZINC000502483827 340105725 /nfs/dbraw/zinc/10/57/25/340105725.db2.gz KROGBXPEGRQROR-NQIIRXRSSA-N 0 3 322.452 4.368 20 0 DIADHN Cc1cc([C@@H](C)NC2CC(c3ccc(F)c(Cl)c3)C2)nn1C ZINC000502508103 340106254 /nfs/dbraw/zinc/10/62/54/340106254.db2.gz JWANUJBDNNARAU-LMWSTFAQSA-N 0 3 321.827 4.118 20 0 DIADHN Fc1cccc(CN[C@@H]2CCCC[C@H]2OC(F)F)c1Cl ZINC000502508929 340106413 /nfs/dbraw/zinc/10/64/13/340106413.db2.gz JWFPHBLQKYXNLV-VXGBXAGGSA-N 0 3 307.743 4.119 20 0 DIADHN Fc1ccc(Cl)c(CN[C@@H]2CCCC[C@H]2OC(F)F)c1 ZINC000502531015 340107082 /nfs/dbraw/zinc/10/70/82/340107082.db2.gz RNVMCJOPWAOKMK-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN Cc1cc([C@@H](C)NCC2CCC(c3ccccc3)CC2)nn1C ZINC000502681025 340110090 /nfs/dbraw/zinc/11/00/90/340110090.db2.gz CFVASKKPSHXJTO-LRYGQEGESA-N 0 3 311.473 4.353 20 0 DIADHN C[C@H](NCCOC1CCCCCC1)c1cnn(C2CCCC2)c1 ZINC000502688478 340110536 /nfs/dbraw/zinc/11/05/36/340110536.db2.gz ZXYHVUOADXFNNP-INIZCTEOSA-N 0 3 319.493 4.388 20 0 DIADHN COc1cccc(Oc2ccc(CN[C@@H]3CCC34CCC4)cn2)c1 ZINC000502687234 340110643 /nfs/dbraw/zinc/11/06/43/340110643.db2.gz PMBWFIYDOZLIRS-GOSISDBHSA-N 0 3 324.424 4.305 20 0 DIADHN CCCCN(CCCCn1ccnc1C)Cc1ccccc1F ZINC000502691473 340110739 /nfs/dbraw/zinc/11/07/39/340110739.db2.gz JJKZWJYMTBHAGJ-UHFFFAOYSA-N 0 3 317.452 4.413 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CN2CCCC2)c2ccccc2)oc1C ZINC000502694997 340110997 /nfs/dbraw/zinc/11/09/97/340110997.db2.gz CJGVIPWBRXOQPF-LPHOPBHVSA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)c2cccc(Cl)c2)nn1C ZINC000502696549 340111045 /nfs/dbraw/zinc/11/10/45/340111045.db2.gz KJWMYOOOTIPAPB-CYBMUJFWSA-N 0 3 305.853 4.010 20 0 DIADHN COc1ccc(COCCCN[C@H](C)c2cc(C)c(C)o2)cc1 ZINC000502700592 340111277 /nfs/dbraw/zinc/11/12/77/340111277.db2.gz QGDQXVAJSNGHGX-OAHLLOKOSA-N 0 3 317.429 4.163 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2ccccc2)N2CCCC2)oc1C ZINC000502706774 340111567 /nfs/dbraw/zinc/11/15/67/340111567.db2.gz IYVDDFSJRYTHRC-VQIMIIECSA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@@H]2Cc2ccccc2)nn1C ZINC000502713137 340111953 /nfs/dbraw/zinc/11/19/53/340111953.db2.gz UBACTZAWSUODMD-UHOSZYNNSA-N 0 3 311.473 4.181 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](C)N(C)Cc2ccccc2)oc1C ZINC000502723713 340112223 /nfs/dbraw/zinc/11/22/23/340112223.db2.gz NSAKMGBYOMKFOU-HOTGVXAUSA-N 0 3 300.446 4.068 20 0 DIADHN Cc1ccccc1[C@]1(F)CCN(CCCC2CCOCC2)C1 ZINC000502874793 340115292 /nfs/dbraw/zinc/11/52/92/340115292.db2.gz IXVRERBXMMOIMC-IBGZPJMESA-N 0 3 305.437 4.072 20 0 DIADHN Cc1ccccc1[C@@]1(F)CCN(CCCC2CCOCC2)C1 ZINC000502874794 340115381 /nfs/dbraw/zinc/11/53/81/340115381.db2.gz IXVRERBXMMOIMC-LJQANCHMSA-N 0 3 305.437 4.072 20 0 DIADHN Cc1nc(CCN2CCCC[C@H]2c2ccc(F)cc2)cs1 ZINC000502926482 340116777 /nfs/dbraw/zinc/11/67/77/340116777.db2.gz UHXBYBGFWPHSID-KRWDZBQOSA-N 0 3 304.434 4.360 20 0 DIADHN c1ccc2c(c1)cccc2OCCN1CCC[C@@H]1c1ccncc1 ZINC000503194616 340123020 /nfs/dbraw/zinc/12/30/20/340123020.db2.gz YUKUGSNKXVBADA-HXUWFJFHSA-N 0 3 318.420 4.451 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCCCC2CCCCC2)c1 ZINC000503259969 340124147 /nfs/dbraw/zinc/12/41/47/340124147.db2.gz CCWRTXPBYNTFNS-UHFFFAOYSA-N 0 3 317.477 4.230 20 0 DIADHN Cc1noc(C)c1CCN[C@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000503364016 340126260 /nfs/dbraw/zinc/12/62/60/340126260.db2.gz CDXWEUQATFYAPY-PIGZYNQJSA-N 0 3 304.821 4.012 20 0 DIADHN C[C@@H]1C[C@@]1(NCc1ccccc1N(C)C)c1ccc(Cl)cc1 ZINC000503436618 340128238 /nfs/dbraw/zinc/12/82/38/340128238.db2.gz VVRPSNDFZPREMV-KUHUBIRLSA-N 0 3 314.860 4.431 20 0 DIADHN CC[C@H]1C[C@@H](CNC2(c3nc(C)cs3)CCCC2)CCO1 ZINC000503553100 340130245 /nfs/dbraw/zinc/13/02/45/340130245.db2.gz XMCLJWAPNVRKNC-GJZGRUSLSA-N 0 3 308.491 4.016 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN(C)C[C@@H]1CCCO1 ZINC000503560395 340130519 /nfs/dbraw/zinc/13/05/19/340130519.db2.gz VRMYZUUFXCUILC-WVUXNXBLSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1cc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)ccc1Cl ZINC000503575631 340131296 /nfs/dbraw/zinc/13/12/96/340131296.db2.gz HQOGTWZZPQCSDR-INIZCTEOSA-N 0 3 305.853 4.259 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@H]1CCCc2cn[nH]c21 ZINC000503580851 340131551 /nfs/dbraw/zinc/13/15/51/340131551.db2.gz GKLFVJWXUMETIJ-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@H](n2cccn2)C1 ZINC000503588093 340131828 /nfs/dbraw/zinc/13/18/28/340131828.db2.gz CSKOMVIMPAZDMA-CJNGLKHVSA-N 0 3 323.362 4.300 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@H](n2cccn2)C1 ZINC000503588092 340131859 /nfs/dbraw/zinc/13/18/59/340131859.db2.gz CSKOMVIMPAZDMA-BBRMVZONSA-N 0 3 323.362 4.300 20 0 DIADHN C[C@@H](NCc1ccc(Oc2ccccc2)o1)[C@@H]1CCCCO1 ZINC000503597216 340132146 /nfs/dbraw/zinc/13/21/46/340132146.db2.gz GFCRTNTVJOTQEX-PBHICJAKSA-N 0 3 301.386 4.119 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)ccc1F ZINC000503857979 340135284 /nfs/dbraw/zinc/13/52/84/340135284.db2.gz QEMSQUSWBJECPB-LERXQTSPSA-N 0 3 317.351 4.035 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC(C(F)(F)F)CC1 ZINC000503893141 340136070 /nfs/dbraw/zinc/13/60/70/340136070.db2.gz NPTPZKAXDFCMJH-UHFFFAOYSA-N 0 3 301.352 4.032 20 0 DIADHN COC[C@H](C)N(C)C/C(C)=C\c1ccccc1C(F)(F)F ZINC000503911000 340136420 /nfs/dbraw/zinc/13/64/20/340136420.db2.gz NCZSKWPEHPMFNA-SUIFULHWSA-N 0 3 301.352 4.075 20 0 DIADHN COC[C@H](C)N(C)C/C(C)=C/c1ccccc1C(F)(F)F ZINC000503910999 340136468 /nfs/dbraw/zinc/13/64/68/340136468.db2.gz NCZSKWPEHPMFNA-SRXBQZRASA-N 0 3 301.352 4.075 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCC[C@@H]2C)nnn1-c1ccc(Cl)cc1 ZINC000503912560 340136658 /nfs/dbraw/zinc/13/66/58/340136658.db2.gz RUTOZQQQHVKCDG-MKBNYLNASA-N 0 3 318.852 4.068 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H]2CCC[C@H]2OC(F)F)cc1F ZINC000503915102 340136732 /nfs/dbraw/zinc/13/67/32/340136732.db2.gz YJBPTKQNSZYRPE-OASPWFOLSA-N 0 3 319.392 4.359 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCC[C@@H]2C)nnn1-c1cccc(Cl)c1 ZINC000503930413 340137308 /nfs/dbraw/zinc/13/73/08/340137308.db2.gz RVWUZOBNZSSWGQ-MQIPJXDCSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCC[C@H]2C)nnn1-c1cccc(Cl)c1 ZINC000503930411 340137342 /nfs/dbraw/zinc/13/73/42/340137342.db2.gz RVWUZOBNZSSWGQ-BFQNTYOBSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCC[C@H]2CCCCO2)o1 ZINC000503944304 340138056 /nfs/dbraw/zinc/13/80/56/340138056.db2.gz JKDLIMDVSCZQEB-MRXNPFEDSA-N 0 3 317.404 4.443 20 0 DIADHN COc1ccc([C@H](C)NCCc2cccc3[nH]ccc32)c(F)c1 ZINC000503950925 340138356 /nfs/dbraw/zinc/13/83/56/340138356.db2.gz DHWLDCUZGUGILS-ZDUSSCGKSA-N 0 3 312.388 4.209 20 0 DIADHN C[C@@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1nc2c(s1)CCC2 ZINC000503953789 340138562 /nfs/dbraw/zinc/13/85/62/340138562.db2.gz QSLBYUWEPLAUHW-YGRLFVJLSA-N 0 3 318.873 4.103 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)s1 ZINC000503954139 340138609 /nfs/dbraw/zinc/13/86/09/340138609.db2.gz UKTZEOHXNOLXQV-MVWJERBFSA-N 0 3 318.433 4.215 20 0 DIADHN C[C@H](NCC1CCC(F)(F)CC1)c1nc2ccccc2n1C ZINC000503954782 340138669 /nfs/dbraw/zinc/13/86/69/340138669.db2.gz YRJKQWKUCXALSV-LBPRGKRZSA-N 0 3 307.388 4.049 20 0 DIADHN Cc1cccc2nc(CN(Cc3ccccc3)CC(C)C)cn21 ZINC000503968462 340138944 /nfs/dbraw/zinc/13/89/44/340138944.db2.gz FVTWHWVDFPODHT-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN Cc1ncccc1CN[C@H](C)COc1ccc(C(C)(C)C)cc1 ZINC000504088159 340140703 /nfs/dbraw/zinc/14/07/03/340140703.db2.gz QIMLRRLKJFFHAP-OAHLLOKOSA-N 0 3 312.457 4.245 20 0 DIADHN CC[C@@H](CC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000504319285 340144946 /nfs/dbraw/zinc/14/49/46/340144946.db2.gz YQJMHQYPNRBPGH-KRWDZBQOSA-N 0 3 310.441 4.271 20 0 DIADHN COc1ccc2c(c1)OC[C@H](N[C@H](C)c1ccc(Cl)s1)C2 ZINC000504386768 340146710 /nfs/dbraw/zinc/14/67/10/340146710.db2.gz CFSPTCPLBAOTOU-ZYHUDNBSSA-N 0 3 323.845 4.064 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc2c(c1)OCCO2 ZINC000504899198 340155176 /nfs/dbraw/zinc/15/51/76/340155176.db2.gz CDVPGSQRXXQWOB-RHSMWYFYSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)Oc2ccccc2F)ccc1F ZINC000504899626 340155247 /nfs/dbraw/zinc/15/52/47/340155247.db2.gz JMPCUCBRLJZIMF-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc2c(c1)OCCCO2 ZINC000504911601 340155795 /nfs/dbraw/zinc/15/57/95/340155795.db2.gz IVCDZDBDUUHMSU-QAPCUYQASA-N 0 3 323.436 4.494 20 0 DIADHN c1csc(-c2nn(Cc3ccccc3)cc2CN2CCCC2)c1 ZINC000505356688 340166962 /nfs/dbraw/zinc/16/69/62/340166962.db2.gz ZFTDYMVJMCCEAR-UHFFFAOYSA-N 0 3 323.465 4.256 20 0 DIADHN CN(C)c1ncc(CN(Cc2ccccc2)C(C)(C)C)s1 ZINC000505433228 340168301 /nfs/dbraw/zinc/16/83/01/340168301.db2.gz NVVFBBLTSANTIQ-UHFFFAOYSA-N 0 3 303.475 4.010 20 0 DIADHN CC(C(=O)Nc1ccc2cccc(Cl)c2n1)C(F)(F)F ZINC000505446433 340168701 /nfs/dbraw/zinc/16/87/01/340168701.db2.gz SKIFKLMPLNONRU-ZETCQYMHSA-N 0 3 302.683 4.025 20 0 DIADHN FC(F)(F)COCCN1CCCCC[C@@H]1c1ccccc1 ZINC000505460706 340168849 /nfs/dbraw/zinc/16/88/49/340168849.db2.gz FEPRNWXZHQCCEK-OAHLLOKOSA-N 0 3 301.352 4.183 20 0 DIADHN Cc1cc(C)n2c(CN(Cc3ccccc3)C(C)(C)C)cnc2n1 ZINC000505455816 340168922 /nfs/dbraw/zinc/16/89/22/340168922.db2.gz QSSCWWZVSANATD-UHFFFAOYSA-N 0 3 322.456 4.147 20 0 DIADHN Cc1ccc([C@@H](NCCOCC(F)(F)F)c2ccccc2)cc1 ZINC000505463097 340169060 /nfs/dbraw/zinc/16/90/60/340169060.db2.gz XDAMWHBEBRYELX-KRWDZBQOSA-N 0 3 323.358 4.253 20 0 DIADHN COc1ccc(-c2n[nH]cc2CN(C)Cc2ccccc2C)cc1 ZINC000505642755 340174083 /nfs/dbraw/zinc/17/40/83/340174083.db2.gz XCZXYZSURNPKDD-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN CCOc1ccc(CN(C)Cc2cc(F)cc3cccnc32)cc1 ZINC000505656192 340174376 /nfs/dbraw/zinc/17/43/76/340174376.db2.gz DIAULICDUZGLTL-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN Cc1ccc(NC(=O)CCC2CCCCC2)c(CN(C)C)c1 ZINC000505668318 340174793 /nfs/dbraw/zinc/17/47/93/340174793.db2.gz GERDTACJFDZREI-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]nc2-c2cccc(C)c2)cc1 ZINC000505775637 340177510 /nfs/dbraw/zinc/17/75/10/340177510.db2.gz CHKVQVWHSQKTLV-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@H]1/C=C/c1ccccc1 ZINC000505827109 340179064 /nfs/dbraw/zinc/17/90/64/340179064.db2.gz UEUASHPYIVUVQG-HYSAVQALSA-N 0 3 317.436 4.321 20 0 DIADHN COC(=O)C1CCN(Cc2cccc(-c3ccc(C)cc3)c2)CC1 ZINC000505847924 340179573 /nfs/dbraw/zinc/17/95/73/340179573.db2.gz JFVLDDHKXXGEFR-UHFFFAOYSA-N 0 3 323.436 4.047 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(OC)c(OC(F)F)c1 ZINC000505858783 340179868 /nfs/dbraw/zinc/17/98/68/340179868.db2.gz KCJXQQDDFBEGAP-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1cccc(-c2cccs2)c1 ZINC000505898883 340181135 /nfs/dbraw/zinc/18/11/35/340181135.db2.gz MTLFFWHMBVZAQE-INIZCTEOSA-N 0 3 314.454 4.228 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1cnc2ccccn12)\c1ccccc1 ZINC000505901623 340181269 /nfs/dbraw/zinc/18/12/69/340181269.db2.gz UMHRDSJBBBIOPA-DXRVJIQQSA-N 0 3 303.409 4.012 20 0 DIADHN CC[C@@H](C)CCC(=O)NCc1ccccc1CN1CCCCC1 ZINC000505908182 340181350 /nfs/dbraw/zinc/18/13/50/340181350.db2.gz URQRKDJSDXGQCU-QGZVFWFLSA-N 0 3 316.489 4.115 20 0 DIADHN CCCCN(CC)Cc1cc(Br)c(OC)s1 ZINC000505913053 340181476 /nfs/dbraw/zinc/18/14/76/340181476.db2.gz QUWNIWYAILVDNI-UHFFFAOYSA-N 0 3 306.269 4.141 20 0 DIADHN Cc1ccsc1CN(C)Cc1c[nH]nc1-c1cccc(C)c1 ZINC000505999561 340182848 /nfs/dbraw/zinc/18/28/48/340182848.db2.gz ISMCRJDLAJFWIS-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN CCN(Cc1ccc(Cl)s1)Cc1cccc2c[nH]nc21 ZINC000506087955 340185130 /nfs/dbraw/zinc/18/51/30/340185130.db2.gz ZSOXBCVMWAWGOJ-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN CCOc1cc(CN(C)[C@H]2CCSC2)ccc1OCC(C)C ZINC000506234670 340189625 /nfs/dbraw/zinc/18/96/25/340189625.db2.gz SDOBRTRMALPJPP-INIZCTEOSA-N 0 3 323.502 4.057 20 0 DIADHN COCCOc1cccc(CN(C)[C@H](C)c2ccc(F)cc2)c1 ZINC000506259327 340190208 /nfs/dbraw/zinc/19/02/08/340190208.db2.gz PNOLPXQZYGBMCD-OAHLLOKOSA-N 0 3 317.404 4.044 20 0 DIADHN CCOc1cc(CN(C)[C@H](C)c2ccc(F)cc2)ccc1OC ZINC000506262133 340190403 /nfs/dbraw/zinc/19/04/03/340190403.db2.gz NQONAPFYYJBWNN-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)(F)F)cc1)Oc1ccccc1 ZINC000506276713 340190790 /nfs/dbraw/zinc/19/07/90/340190790.db2.gz DNTBFDAKAHTUPX-ZDUSSCGKSA-N 0 3 309.331 4.263 20 0 DIADHN COCCOc1ccccc1CN1CCC[C@H]1c1ccccc1 ZINC000506295945 340191502 /nfs/dbraw/zinc/19/15/02/340191502.db2.gz VMNNCICABUSYTJ-IBGZPJMESA-N 0 3 311.425 4.049 20 0 DIADHN CCOC1CC(CN[C@H](c2ccccc2)c2cccc(F)c2)C1 ZINC000506310051 340191939 /nfs/dbraw/zinc/19/19/39/340191939.db2.gz OXOHLWFQPWFEAF-RGKRWLCDSA-N 0 3 313.416 4.320 20 0 DIADHN Cc1nn(-c2ccc(F)cc2F)c(C)c1CN(C)C1CCCC1 ZINC000506328308 340192376 /nfs/dbraw/zinc/19/23/76/340192376.db2.gz ZMELUJZILQYCHQ-UHFFFAOYSA-N 0 3 319.399 4.142 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@@H]1c1ccc(C)cc1 ZINC000506342913 340192906 /nfs/dbraw/zinc/19/29/06/340192906.db2.gz UXBSEKJSKVZFFI-GOSISDBHSA-N 0 3 305.425 4.288 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(c2ccccc2)CC1 ZINC000506342699 340192915 /nfs/dbraw/zinc/19/29/15/340192915.db2.gz TYGIFTKTMKZFNO-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN OCC1CCN(Cc2ccc(Sc3ccccc3)cc2)CC1 ZINC000506366769 340193188 /nfs/dbraw/zinc/19/31/88/340193188.db2.gz AUUYREZITZNOFN-UHFFFAOYSA-N 0 3 313.466 4.042 20 0 DIADHN OCC1CCN(Cc2ccc(-c3cccc(Cl)c3)s2)CC1 ZINC000506370855 340193555 /nfs/dbraw/zinc/19/35/55/340193555.db2.gz QDKQKBSVMSUQKD-UHFFFAOYSA-N 0 3 321.873 4.273 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC(c3ccccc3)CC2)o1 ZINC000506378251 340193672 /nfs/dbraw/zinc/19/36/72/340193672.db2.gz BIMRCPADWTYTBD-UHFFFAOYSA-N 0 3 321.424 4.358 20 0 DIADHN CN(Cc1cnc2ccccn12)Cc1cccc2ccccc21 ZINC000506459716 340195002 /nfs/dbraw/zinc/19/50/02/340195002.db2.gz KJSHPOOZKOTKDV-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN c1cc2cccc(CN3CCSC[C@H]3c3ccccc3)c2[nH]1 ZINC000506459991 340195051 /nfs/dbraw/zinc/19/50/51/340195051.db2.gz QFSSFCGBHROMKN-SFHVURJKSA-N 0 3 308.450 4.458 20 0 DIADHN Cc1nc2ccccn2c1CN(C)Cc1cccc2ccccc21 ZINC000506476936 340195423 /nfs/dbraw/zinc/19/54/23/340195423.db2.gz KKVXRXHBXYGJTM-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN COc1ccc(CN([C@H](C)c2ccccc2)C2CC2)cc1OC ZINC000506536947 340196291 /nfs/dbraw/zinc/19/62/91/340196291.db2.gz DXFBULNLXZNXMG-OAHLLOKOSA-N 0 3 311.425 4.429 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2cccc(C)c2)cc1 ZINC000506619230 340197835 /nfs/dbraw/zinc/19/78/35/340197835.db2.gz VVZNXVWOQWJTPX-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN C(=C\c1ccccc1)\CN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000506629258 340198039 /nfs/dbraw/zinc/19/80/39/340198039.db2.gz ACYCBVNZRPZGIF-YVMONPNESA-N 0 3 315.420 4.365 20 0 DIADHN Cc1ccc(F)c(CN2CCC(c3nc4ccccc4[nH]3)CC2)c1 ZINC000506662820 340198981 /nfs/dbraw/zinc/19/89/81/340198981.db2.gz OYLBSXSHBKEGRR-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CCOc1ccccc1CN1CCC(c2ccc(O)cc2)CC1 ZINC000506673300 340199131 /nfs/dbraw/zinc/19/91/31/340199131.db2.gz GZZIAGODMAZBOL-UHFFFAOYSA-N 0 3 311.425 4.171 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H]1c1ccc(F)cc1 ZINC000506671789 340199162 /nfs/dbraw/zinc/19/91/62/340199162.db2.gz ZGYIACAQOPWXKH-LJQANCHMSA-N 0 3 315.436 4.021 20 0 DIADHN FC(F)(F)c1cccnc1CN1CCC[C@@H]1Cc1ccccc1 ZINC000506675874 340199306 /nfs/dbraw/zinc/19/93/06/340199306.db2.gz QWNBRKPUBUSFTH-OAHLLOKOSA-N 0 3 320.358 4.308 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@@H](CO)C1 ZINC000506808794 340202327 /nfs/dbraw/zinc/20/23/27/340202327.db2.gz ZRRGJSKHFFWPNY-GFCCVEGCSA-N 0 3 309.862 4.067 20 0 DIADHN Cc1cccc(CN(C)CCC(=O)Nc2ccccc2Cl)c1 ZINC000506907938 340203403 /nfs/dbraw/zinc/20/34/03/340203403.db2.gz FWDWVTDRRUOFEJ-UHFFFAOYSA-N 0 3 316.832 4.109 20 0 DIADHN Cc1cccc(CN(C)CCC(=O)Nc2ccc(Cl)cc2)c1 ZINC000506911751 340203521 /nfs/dbraw/zinc/20/35/21/340203521.db2.gz PZYLOPFHODVVDT-UHFFFAOYSA-N 0 3 316.832 4.109 20 0 DIADHN COc1ccc(CN(Cc2cccc(F)c2)C(C)C)cc1OC ZINC000507008077 340205239 /nfs/dbraw/zinc/20/52/39/340205239.db2.gz RKYHNHQARVCXDT-UHFFFAOYSA-N 0 3 317.404 4.254 20 0 DIADHN CCC[C@@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000507025005 340205718 /nfs/dbraw/zinc/20/57/18/340205718.db2.gz DUNDCCBZTLMONJ-LLVKDONJSA-N 0 3 313.367 4.213 20 0 DIADHN COc1cc(CN2CC[C@@H](c3ccccc3)C2)ccc1SC ZINC000507084591 340206987 /nfs/dbraw/zinc/20/69/87/340206987.db2.gz JSPWCDRGKYDIKX-QGZVFWFLSA-N 0 3 313.466 4.407 20 0 DIADHN c1ccc(CC[C@@H]2CCCN2Cc2nc3ccccc3[nH]2)cc1 ZINC000507111691 340207793 /nfs/dbraw/zinc/20/77/93/340207793.db2.gz RCTYIVRTZNIIKM-KRWDZBQOSA-N 0 3 305.425 4.160 20 0 DIADHN CN(Cc1cn(Cc2ccccc2)nc1-c1ccccc1)C1CC1 ZINC000507133119 340208992 /nfs/dbraw/zinc/20/89/92/340208992.db2.gz YYIGCNBTFSFPRC-UHFFFAOYSA-N 0 3 317.436 4.193 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@@H]1c1cccc(C)c1 ZINC000507151845 340209565 /nfs/dbraw/zinc/20/95/65/340209565.db2.gz LKCZSSBYAPNSIR-GOSISDBHSA-N 0 3 305.425 4.288 20 0 DIADHN COc1ccc(Br)cc1[C@H]1CCCN1CC(C)C ZINC000507165224 340210518 /nfs/dbraw/zinc/21/05/18/340210518.db2.gz OIKHJDCVZNTULL-CQSZACIVSA-N 0 3 312.251 4.251 20 0 DIADHN CC(C)N(Cc1ccc(Br)cc1)CC1=CCCOC1 ZINC000507172980 340211056 /nfs/dbraw/zinc/21/10/56/340211056.db2.gz JOMSODKDYQOVNA-UHFFFAOYSA-N 0 3 324.262 4.006 20 0 DIADHN CC(=O)Nc1ccc(CN(Cc2ccc(F)cc2)C(C)C)cc1 ZINC000507172466 340211107 /nfs/dbraw/zinc/21/11/07/340211107.db2.gz DDAZOBLHWIMNGD-UHFFFAOYSA-N 0 3 314.404 4.195 20 0 DIADHN CC[C@H](C)N(C)Cc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000507188298 340212077 /nfs/dbraw/zinc/21/20/77/340212077.db2.gz PKVTXBVPMQRIAC-BBRMVZONSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CN(C)[C@@H](C)C(C)C ZINC000507204086 340213124 /nfs/dbraw/zinc/21/31/24/340213124.db2.gz PKFYSBXUYZOACE-AWEZNQCLSA-N 0 3 303.425 4.105 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2cc(-c3ccco3)on2)C1 ZINC000507203902 340213265 /nfs/dbraw/zinc/21/32/65/340213265.db2.gz KDWPWRGGIJZXSU-OAHLLOKOSA-N 0 3 308.381 4.233 20 0 DIADHN CSc1ccc(CN(C)C[C@H]2OCCc3ccccc32)cc1 ZINC000507234337 340214979 /nfs/dbraw/zinc/21/49/79/340214979.db2.gz QCIHZUUAFWLVCU-LJQANCHMSA-N 0 3 313.466 4.154 20 0 DIADHN CCOc1cccc(CN(C)[C@@H](C)C(C)C)c1OC(F)F ZINC000507243053 340215216 /nfs/dbraw/zinc/21/52/16/340215216.db2.gz JNCBLNMFQACSPR-LBPRGKRZSA-N 0 3 301.377 4.163 20 0 DIADHN CCCN(Cc1c(C)nn(-c2ccc(F)cc2)c1C)C1CC1 ZINC000507245710 340215479 /nfs/dbraw/zinc/21/54/79/340215479.db2.gz CZABLUPGZNLLKN-UHFFFAOYSA-N 0 3 301.409 4.003 20 0 DIADHN Cc1nc2ccccn2c1CN(C)[C@@H](C)c1ccc(C)cc1C ZINC000507251574 340215781 /nfs/dbraw/zinc/21/57/81/340215781.db2.gz IXRXSBXVZPOFLM-KRWDZBQOSA-N 0 3 307.441 4.453 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H](C)C(C)C)cs2)cc1 ZINC000507253795 340215906 /nfs/dbraw/zinc/21/59/06/340215906.db2.gz RZOLZQVWGPQSHX-ZDUSSCGKSA-N 0 3 304.459 4.295 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NCC1(C(F)(F)F)CCC1 ZINC000507269478 340216209 /nfs/dbraw/zinc/21/62/09/340216209.db2.gz YKGMEEVOGXHEMX-GFCCVEGCSA-N 0 3 305.290 4.274 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CC[C@H](c2ccccc2)C1 ZINC000507267013 340216287 /nfs/dbraw/zinc/21/62/87/340216287.db2.gz WLRMXJBZXNVMBX-IBGZPJMESA-N 0 3 318.420 4.255 20 0 DIADHN CSCc1ccc(CN[C@H]2CCc3ccc(F)cc32)cc1 ZINC000507272585 340216424 /nfs/dbraw/zinc/21/64/24/340216424.db2.gz MEYJRQLTAKFAKO-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN CCOC(=O)CC1CCN(C/C=C\c2ccc(Cl)cc2)CC1 ZINC000507309876 340216908 /nfs/dbraw/zinc/21/69/08/340216908.db2.gz RFQQQPLSTUMVJY-ARJAWSKDSA-N 0 3 321.848 4.018 20 0 DIADHN CCCN(CCc1cccs1)[C@@H](C)C(=O)Nc1ccccc1 ZINC000507341487 340217691 /nfs/dbraw/zinc/21/76/91/340217691.db2.gz NUJNTRWNVSDYFO-HNNXBMFYSA-N 0 3 316.470 4.030 20 0 DIADHN CC(C)c1ccccc1NC(=O)CNC(C)(C)c1ccccc1 ZINC000507353520 340217763 /nfs/dbraw/zinc/21/77/63/340217763.db2.gz AXRBHFHIPPSCBS-UHFFFAOYSA-N 0 3 310.441 4.273 20 0 DIADHN Cc1ccc(O)c(CN(C)[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000507375040 340218161 /nfs/dbraw/zinc/21/81/61/340218161.db2.gz KYIJWKZWPUYUTR-LBPRGKRZSA-N 0 3 324.346 4.307 20 0 DIADHN CC(C)N(Cc1nc2cc(Cl)ccc2n1C)C1CCCC1 ZINC000507369027 340218289 /nfs/dbraw/zinc/21/82/89/340218289.db2.gz DBFRDVJWITVYDK-UHFFFAOYSA-N 0 3 305.853 4.380 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)cc(Cl)c21)[C@H]1CCCOC1 ZINC000507421709 340218907 /nfs/dbraw/zinc/21/89/07/340218907.db2.gz AFNBYMAOSOWQNI-ITDIGPHOSA-N 0 3 314.256 4.385 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1cccc(C)c1)c1ccco1 ZINC000507421169 340218955 /nfs/dbraw/zinc/21/89/55/340218955.db2.gz NGZJPWQKAYFOPJ-SJLPKXTDSA-N 0 3 301.430 4.277 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)cc(Cl)c21)[C@H]1CCCOC1 ZINC000507421724 340219005 /nfs/dbraw/zinc/21/90/05/340219005.db2.gz AFNBYMAOSOWQNI-WBIUFABUSA-N 0 3 314.256 4.385 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCOc3ccc(F)cc31)[C@@H](C)C2 ZINC000507431353 340219212 /nfs/dbraw/zinc/21/92/12/340219212.db2.gz VRTHNMDSORDQOS-YHJVDBJQSA-N 0 3 311.400 4.481 20 0 DIADHN C[C@H](NCCCCCC(=O)OC(C)(C)C)c1ccccc1F ZINC000507452513 340220318 /nfs/dbraw/zinc/22/03/18/340220318.db2.gz XCFMETFXJABHNZ-AWEZNQCLSA-N 0 3 309.425 4.378 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccccc1F ZINC000507459390 340220535 /nfs/dbraw/zinc/22/05/35/340220535.db2.gz FVZSRUYLKLPZNQ-CKFHNAJUSA-N 0 3 316.420 4.210 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)COc1ccc(F)cc1F ZINC000507463409 340220742 /nfs/dbraw/zinc/22/07/42/340220742.db2.gz STRSMKRRRWPYCG-OLZOCXBDSA-N 0 3 320.383 4.040 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000507472391 340221462 /nfs/dbraw/zinc/22/14/62/340221462.db2.gz RAXGISHGQTTZEM-GUYCJALGSA-N 0 3 318.848 4.336 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CCOC)c2ccco2)C12CCCCC2 ZINC000507480835 340221836 /nfs/dbraw/zinc/22/18/36/340221836.db2.gz QAHXVEUDJHEDME-CGTJXYLNSA-N 0 3 321.461 4.075 20 0 DIADHN COC1(CN[C@@H](C)c2cc(Cl)ccc2OC(F)F)CCC1 ZINC000507484722 340222146 /nfs/dbraw/zinc/22/21/46/340222146.db2.gz STRHDAKXEGHJJK-JTQLQIEISA-N 0 3 319.779 4.161 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCSc1ccc(C)cc1 ZINC000507486532 340222322 /nfs/dbraw/zinc/22/23/22/340222322.db2.gz OTNPQLGQEBINLH-OAHLLOKOSA-N 0 3 300.471 4.395 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000507492312 340222606 /nfs/dbraw/zinc/22/26/06/340222606.db2.gz DYOKAMQLVQHIBL-CKFHNAJUSA-N 0 3 316.420 4.210 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000507499790 340222935 /nfs/dbraw/zinc/22/29/35/340222935.db2.gz ZUIQZRHEKNQNGN-PXNSSMCTSA-N 0 3 323.484 4.132 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H]1CCOC2(CCCCC2)C1 ZINC000507515466 340223561 /nfs/dbraw/zinc/22/35/61/340223561.db2.gz UYZGQKMXPMEGEG-WBVHZDCISA-N 0 3 302.462 4.177 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000507514202 340223652 /nfs/dbraw/zinc/22/36/52/340223652.db2.gz BLFWVZPLRGKHMN-JYJNAYRXSA-N 0 3 306.498 4.116 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](C)COCc1ccccc1 ZINC000507517197 340223733 /nfs/dbraw/zinc/22/37/33/340223733.db2.gz YRQSVNCHUPUODI-SJORKVTESA-N 0 3 312.457 4.148 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1cccc(F)c1)[C@@H]1CCCOC1 ZINC000507516471 340223779 /nfs/dbraw/zinc/22/37/79/340223779.db2.gz WHHORGUIUWYTAM-CVAIRZPRSA-N 0 3 313.416 4.320 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1ncccc1CC)C1CCCC1 ZINC000507515960 340223812 /nfs/dbraw/zinc/22/38/12/340223812.db2.gz WOCJNFVZASTLQR-MAUKXSAKSA-N 0 3 304.478 4.280 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](C)Sc1ccccc1 ZINC000507533846 340224715 /nfs/dbraw/zinc/22/47/15/340224715.db2.gz UIPUNYAVRLSLHW-CABCVRRESA-N 0 3 300.471 4.475 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@H]1O)c1cccc(Cl)c1Cl ZINC000300410323 340225317 /nfs/dbraw/zinc/22/53/17/340225317.db2.gz GTIDZCQDKVCCBS-COPLHBTASA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1ccc(Cl)c(Cl)c1 ZINC000300413669 340225428 /nfs/dbraw/zinc/22/54/28/340225428.db2.gz FMBPJCQGTOQAEM-LNHVQRHZSA-N 0 3 300.229 4.212 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2cc(F)c(Cl)cc2Cl)C1 ZINC000300421071 340225778 /nfs/dbraw/zinc/22/57/78/340225778.db2.gz FEWLHDDPSYYZBR-OPRDCNLKSA-N 0 3 306.208 4.351 20 0 DIADHN CC[C@@H]1C[C@@H](CN(C)[C@@H](C)c2nc3ccccc3s2)CCO1 ZINC000507611462 340226275 /nfs/dbraw/zinc/22/62/75/340226275.db2.gz PRCTTZPVVQDCAC-SOUVJXGZSA-N 0 3 318.486 4.494 20 0 DIADHN CC[C@@H]1C[C@H](CN(C)[C@@H](C)c2nc3ccccc3s2)CCO1 ZINC000507611461 340226325 /nfs/dbraw/zinc/22/63/25/340226325.db2.gz PRCTTZPVVQDCAC-RRFJBIMHSA-N 0 3 318.486 4.494 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)CN(C)CCC1CCCCC1 ZINC000507648777 340227149 /nfs/dbraw/zinc/22/71/49/340227149.db2.gz CRXNKOACDXFDQE-KRWDZBQOSA-N 0 3 316.489 4.108 20 0 DIADHN CC(C)n1ncc2cc(CN(C)CCC3CCCCC3)cnc21 ZINC000507649342 340227286 /nfs/dbraw/zinc/22/72/86/340227286.db2.gz HCLXOGAOXODZJN-UHFFFAOYSA-N 0 3 314.477 4.414 20 0 DIADHN CC(C)(C)c1ncc(CN2CC[C@H](c3ccccc3)C2)s1 ZINC000507660584 340227394 /nfs/dbraw/zinc/22/73/94/340227394.db2.gz OCAGUEXWQYLHFD-HNNXBMFYSA-N 0 3 300.471 4.430 20 0 DIADHN CC(C)(C)c1ncc(CN2CC[C@@H](c3ccccc3)C2)s1 ZINC000507660585 340227450 /nfs/dbraw/zinc/22/74/50/340227450.db2.gz OCAGUEXWQYLHFD-OAHLLOKOSA-N 0 3 300.471 4.430 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)CC1=CCCOC1 ZINC000507664421 340227575 /nfs/dbraw/zinc/22/75/75/340227575.db2.gz GVFLCIHEGIPSNZ-NSHDSACASA-N 0 3 300.229 4.333 20 0 DIADHN CCN(CCC(=O)Nc1ccccc1)Cc1ccc(Cl)cc1 ZINC000507679403 340227948 /nfs/dbraw/zinc/22/79/48/340227948.db2.gz WWPLPEZEBIREEJ-UHFFFAOYSA-N 0 3 316.832 4.191 20 0 DIADHN Cc1cccc(C2=CCN(Cc3ccc4c(c3)ncn4C)CC2)c1 ZINC000507711882 340229112 /nfs/dbraw/zinc/22/91/12/340229112.db2.gz ZZIWDKKANQFNND-UHFFFAOYSA-N 0 3 317.436 4.171 20 0 DIADHN CC(C)CN(Cc1csc(-c2ncccn2)n1)C1CCCC1 ZINC000507766420 340230596 /nfs/dbraw/zinc/23/05/96/340230596.db2.gz KNNYVYXXACKSKW-UHFFFAOYSA-N 0 3 316.474 4.001 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)cc1F)C[C@@H]1CCCOC1 ZINC000507781546 340231119 /nfs/dbraw/zinc/23/11/19/340231119.db2.gz AUGUTJZDFNJMIM-INIZCTEOSA-N 0 3 313.416 4.351 20 0 DIADHN Cc1cc(CN(C)[C@H](CCO)c2ccccc2)ccc1Cl ZINC000507804154 340231985 /nfs/dbraw/zinc/23/19/85/340231985.db2.gz MMIXWPZVPIOUQW-GOSISDBHSA-N 0 3 303.833 4.204 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1ccc2c[nH]nc2c1)\c1ccccc1 ZINC000507874133 340234819 /nfs/dbraw/zinc/23/48/19/340234819.db2.gz JAOBKSLJADMTLD-JARZOMNASA-N 0 3 303.409 4.241 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1ccc2c[nH]nc2c1)\c1ccccc1 ZINC000507874138 340234862 /nfs/dbraw/zinc/23/48/62/340234862.db2.gz JAOBKSLJADMTLD-ZMOVQZPESA-N 0 3 303.409 4.241 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@H]2/C=C\c2ccccc2)c1C ZINC000507881633 340235083 /nfs/dbraw/zinc/23/50/83/340235083.db2.gz HLPQTPNEMAEAHG-HSNDNRACSA-N 0 3 309.457 4.198 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@H]2/C=C/c2ccccc2)c1C ZINC000507881637 340235088 /nfs/dbraw/zinc/23/50/88/340235088.db2.gz HLPQTPNEMAEAHG-HYSAVQALSA-N 0 3 309.457 4.198 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1cnn2ccccc12)\c1ccccc1 ZINC000507882994 340235164 /nfs/dbraw/zinc/23/51/64/340235164.db2.gz SCXSLBOCFIUEKF-JZHDPFOFSA-N 0 3 303.409 4.012 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1cnn2ccccc12)\c1ccccc1 ZINC000507882995 340235243 /nfs/dbraw/zinc/23/52/43/340235243.db2.gz SCXSLBOCFIUEKF-TZZQJPOUSA-N 0 3 303.409 4.012 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000507894726 340235315 /nfs/dbraw/zinc/23/53/15/340235315.db2.gz MEEUOEOTCJMOOT-CPUCHLNUSA-N 0 3 303.837 4.181 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000507894724 340235380 /nfs/dbraw/zinc/23/53/80/340235380.db2.gz MEEUOEOTCJMOOT-CKEIUWERSA-N 0 3 303.837 4.181 20 0 DIADHN Cc1ccccc1C1(CNCc2cscn2)CCCCC1 ZINC000507945190 340236293 /nfs/dbraw/zinc/23/62/93/340236293.db2.gz KKNREJGWSGRQDW-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN COc1ccc(CNCc2cccc(-c3ccccc3)c2)cc1O ZINC000508060853 340238628 /nfs/dbraw/zinc/23/86/28/340238628.db2.gz ANCNQFRRIJOKNY-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN COCCN(CC1=Cc2ccccc2OC1)[C@@H](C)c1ccccc1 ZINC000508516999 340245478 /nfs/dbraw/zinc/24/54/78/340245478.db2.gz WOCYMFBRGDMGSE-KRWDZBQOSA-N 0 3 323.436 4.172 20 0 DIADHN COCCN(Cc1cccc2[nH]ccc21)[C@@H](C)c1ccccc1 ZINC000508532403 340246059 /nfs/dbraw/zinc/24/60/59/340246059.db2.gz SUBNZLOXNQCUOL-INIZCTEOSA-N 0 3 308.425 4.378 20 0 DIADHN COCCN(Cc1cccc2[nH]ccc21)[C@H](C)c1ccccc1 ZINC000508532405 340246128 /nfs/dbraw/zinc/24/61/28/340246128.db2.gz SUBNZLOXNQCUOL-MRXNPFEDSA-N 0 3 308.425 4.378 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC(C(F)F)CC1 ZINC000508608128 340247953 /nfs/dbraw/zinc/24/79/53/340247953.db2.gz GUBVCMJYWMCLHN-KAMYIIQDSA-N 0 3 309.400 4.213 20 0 DIADHN CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C(C)C ZINC000508806744 340253556 /nfs/dbraw/zinc/25/35/56/340253556.db2.gz RTUUGZFAVXFYMT-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN COc1ccc(CNc2cc(C)cc(C)n2)c(Br)c1 ZINC000508677872 340250387 /nfs/dbraw/zinc/25/03/87/340250387.db2.gz ICRFDQALGVKZNS-UHFFFAOYSA-N 0 3 321.218 4.082 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H](C)Cn2cccn2)c1 ZINC000508723502 340251508 /nfs/dbraw/zinc/25/15/08/340251508.db2.gz JHTMERWPHYFBSA-QGZVFWFLSA-N 0 3 305.425 4.037 20 0 DIADHN CCCN(CCOc1ccccc1C(C)=O)Cc1ccccc1 ZINC000301603525 340256152 /nfs/dbraw/zinc/25/61/52/340256152.db2.gz ASOXATOXINGQLB-UHFFFAOYSA-N 0 3 311.425 4.180 20 0 DIADHN C[C@@H](NCc1nc2cc(Br)ccc2o1)C1CCCC1 ZINC000508961341 340256326 /nfs/dbraw/zinc/25/63/26/340256326.db2.gz FRBSDLBNKWOYKP-SNVBAGLBSA-N 0 3 323.234 4.259 20 0 DIADHN Cc1ccc(SCCN(C)[C@@H](C)c2ccccn2)cc1C ZINC000509009098 340258167 /nfs/dbraw/zinc/25/81/67/340258167.db2.gz XDDSWSMUYRGHMG-INIZCTEOSA-N 0 3 300.471 4.484 20 0 DIADHN C[C@H](N(C)Cc1ccc(Sc2ccccn2)o1)C1(C)CC1 ZINC000509034787 340258832 /nfs/dbraw/zinc/25/88/32/340258832.db2.gz FOEWWLRRFHAWPA-ZDUSSCGKSA-N 0 3 302.443 4.446 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509032827 340258877 /nfs/dbraw/zinc/25/88/77/340258877.db2.gz OETXHFDINAUYGF-JQWIXIFHSA-N 0 3 323.234 4.353 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509032829 340258895 /nfs/dbraw/zinc/25/88/95/340258895.db2.gz OETXHFDINAUYGF-PWSUYJOCSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCCOc1ccc(Cl)cc1 ZINC000509058823 340260017 /nfs/dbraw/zinc/26/00/17/340260017.db2.gz XWDJUNKFANBHTK-AWEZNQCLSA-N 0 3 304.821 4.197 20 0 DIADHN C[C@@H]1CCCCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509138372 340262149 /nfs/dbraw/zinc/26/21/49/340262149.db2.gz NNFSAOLNIUWROE-LLVKDONJSA-N 0 3 323.234 4.355 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCc2cc(Cl)ccc21 ZINC000509144065 340262256 /nfs/dbraw/zinc/26/22/56/340262256.db2.gz ZCJZYNYMBNMIJN-LSDHHAIUSA-N 0 3 307.846 4.020 20 0 DIADHN CO[C@@H]1CCCN(Cc2c(OC(F)F)ccc3ccccc32)C1 ZINC000509148245 340262338 /nfs/dbraw/zinc/26/23/38/340262338.db2.gz AAVGLFIFFKMQPX-CQSZACIVSA-N 0 3 321.367 4.052 20 0 DIADHN CO[C@H]1CCCN(Cc2c(OC(F)F)ccc3ccccc32)C1 ZINC000509148244 340262416 /nfs/dbraw/zinc/26/24/16/340262416.db2.gz AAVGLFIFFKMQPX-AWEZNQCLSA-N 0 3 321.367 4.052 20 0 DIADHN COCCCCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000509263266 340264942 /nfs/dbraw/zinc/26/49/42/340264942.db2.gz LAXPPUIWWSZQLP-OAHLLOKOSA-N 0 3 312.251 4.013 20 0 DIADHN CCC[C@H](C)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000509280713 340265322 /nfs/dbraw/zinc/26/53/22/340265322.db2.gz WMBGAVLXGWIBEP-JTQLQIEISA-N 0 3 311.223 4.211 20 0 DIADHN COCCN(CC[C@H](C)F)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000509280128 340265362 /nfs/dbraw/zinc/26/53/62/340265362.db2.gz VDHGEXYWPSCGGP-STQMWFEESA-N 0 3 321.358 4.463 20 0 DIADHN CC[C@@H]1CCCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509279812 340265381 /nfs/dbraw/zinc/26/53/81/340265381.db2.gz PWUXVNSOVMPDSR-GFCCVEGCSA-N 0 3 323.234 4.355 20 0 DIADHN C[C@@H](F)CCN(Cc1cccc(Br)c1)C1CC1 ZINC000509310808 340265876 /nfs/dbraw/zinc/26/58/76/340265876.db2.gz NYHLKIOWOGITIH-LLVKDONJSA-N 0 3 300.215 4.162 20 0 DIADHN Cc1ncsc1CCN(Cc1ccc(Cl)cc1)C1CC1 ZINC000509325201 340266345 /nfs/dbraw/zinc/26/63/45/340266345.db2.gz AVCLKRJLFXFSED-UHFFFAOYSA-N 0 3 306.862 4.312 20 0 DIADHN c1ncn([C@@H]2CCCN(Cc3ccc(C4CCCCC4)cc3)C2)n1 ZINC000509342973 340266764 /nfs/dbraw/zinc/26/67/64/340266764.db2.gz JLZZWPAJGVGSQC-HXUWFJFHSA-N 0 3 324.472 4.163 20 0 DIADHN CC(C)N(Cc1nc2cc(Br)ccc2o1)C1CCC1 ZINC000509356249 340267047 /nfs/dbraw/zinc/26/70/47/340267047.db2.gz GWMIXGBHXJPLLN-UHFFFAOYSA-N 0 3 323.234 4.353 20 0 DIADHN CCc1ccc(CN[C@H]2CCc3cc(F)c(OC)cc32)s1 ZINC000509424056 340269059 /nfs/dbraw/zinc/26/90/59/340269059.db2.gz HLBAJHOXMZKBHS-INIZCTEOSA-N 0 3 305.418 4.235 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3cc(F)c(OC)cc32)cc1 ZINC000509428594 340269163 /nfs/dbraw/zinc/26/91/63/340269163.db2.gz DUMOFGOMFNXEPF-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cccc2cc[nH]c21 ZINC000509426193 340269170 /nfs/dbraw/zinc/26/91/70/340269170.db2.gz QCMWAMHQGWJIKX-KRWDZBQOSA-N 0 3 310.372 4.093 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cccc3cccnc32)c1 ZINC000516616603 340270134 /nfs/dbraw/zinc/27/01/34/340270134.db2.gz MCXMVDXWODWJAB-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cn1cc(-c2ccc(CNCc3ccc(Cl)cc3)s2)cn1 ZINC000509529459 340272325 /nfs/dbraw/zinc/27/23/25/340272325.db2.gz UTOJLKQFTQUYAD-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN Cc1cnc(N[C@H]2CCN(Cc3ccccc3)CC2(C)C)s1 ZINC000509533497 340272661 /nfs/dbraw/zinc/27/26/61/340272661.db2.gz RQQOVHVHCPKAEX-INIZCTEOSA-N 0 3 315.486 4.164 20 0 DIADHN CN(CCCCc1ccccc1)Cc1ccc(N2CCCC2)nc1 ZINC000509568399 340273632 /nfs/dbraw/zinc/27/36/32/340273632.db2.gz DEVMPVHYWIUQKV-UHFFFAOYSA-N 0 3 323.484 4.137 20 0 DIADHN Cc1ccc(F)c(CN2CCC(c3c[nH]c4ncccc34)CC2)c1 ZINC000509570400 340273775 /nfs/dbraw/zinc/27/37/75/340273775.db2.gz ITKROJINPNYFNP-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(c2c[nH]c3ncccc23)CC1 ZINC000509589463 340274335 /nfs/dbraw/zinc/27/43/35/340274335.db2.gz WKURTSKUIRJMHS-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC(c2c[nH]c3ncccc23)CC1 ZINC000509589522 340274342 /nfs/dbraw/zinc/27/43/42/340274342.db2.gz WWDJKPJNNQHECI-YVMONPNESA-N 0 3 317.436 4.456 20 0 DIADHN CCN(CCC1CC1)Cc1nc2cc(Br)ccc2o1 ZINC000509598818 340274449 /nfs/dbraw/zinc/27/44/49/340274449.db2.gz GXTCKSFZKWHSNS-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2ccccc2C(F)(F)F)C1 ZINC000509623651 340274931 /nfs/dbraw/zinc/27/49/31/340274931.db2.gz RGXGCNVGZYWWRN-AWEZNQCLSA-N 0 3 315.379 4.344 20 0 DIADHN CN(Cc1ccccc1C(F)(F)F)[C@H](CCO)c1ccccc1 ZINC000509638987 340275142 /nfs/dbraw/zinc/27/51/42/340275142.db2.gz CXRAUBSIBCTISV-QGZVFWFLSA-N 0 3 323.358 4.261 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc2cc(Br)ccc2o1 ZINC000509649457 340275587 /nfs/dbraw/zinc/27/55/87/340275587.db2.gz FMWWYKJWETVUJX-ZYHUDNBSSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nc2cc(Br)ccc2o1 ZINC000509649454 340275594 /nfs/dbraw/zinc/27/55/94/340275594.db2.gz FMWWYKJWETVUJX-JQWIXIFHSA-N 0 3 323.234 4.211 20 0 DIADHN CCCC(CCC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000509665135 340276155 /nfs/dbraw/zinc/27/61/55/340276155.db2.gz FEYHJHWRIVUFSW-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509684639 340276892 /nfs/dbraw/zinc/27/68/92/340276892.db2.gz MAYHWCPYRQJUAB-WDEREUQCSA-N 0 3 323.234 4.211 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC[C@@H]1c1cc(C)no1 ZINC000509785030 340279136 /nfs/dbraw/zinc/27/91/36/340279136.db2.gz CXPBIPKDGQNKGF-GOSISDBHSA-N 0 3 322.408 4.482 20 0 DIADHN COc1ccc(C(=O)CCN(C)[C@@H](C)c2ccc(F)cc2)cc1 ZINC000509812321 340279954 /nfs/dbraw/zinc/27/99/54/340279954.db2.gz MWSKWBYGHPEOHB-AWEZNQCLSA-N 0 3 315.388 4.100 20 0 DIADHN CCOc1ccc(OCCN2CCC[C@@H]2c2cccs2)cc1 ZINC000302734273 340280229 /nfs/dbraw/zinc/28/02/29/340280229.db2.gz AFHZVUOMGMKACY-QGZVFWFLSA-N 0 3 317.454 4.363 20 0 DIADHN CC[C@H]1CCCCN1CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000302740835 340280797 /nfs/dbraw/zinc/28/07/97/340280797.db2.gz PWJUGOCCZXQTQD-WMZOPIPTSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@H](C)C[C@@H](C)C3)cs2)cc1 ZINC000302742756 340280922 /nfs/dbraw/zinc/28/09/22/340280922.db2.gz STRUJQDBDHNJKU-ZIAGYGMSSA-N 0 3 316.470 4.297 20 0 DIADHN COc1ccc([C@H]2CCCN2CCOc2ccc(F)cc2)cc1 ZINC000302744791 340281008 /nfs/dbraw/zinc/28/10/08/340281008.db2.gz PBICMMLROALAIJ-LJQANCHMSA-N 0 3 315.388 4.050 20 0 DIADHN COCC[C@@H](NCc1coc(-c2ccc(C)cc2)n1)C(C)(C)C ZINC000509955008 340283760 /nfs/dbraw/zinc/28/37/60/340283760.db2.gz CXHAESAOKLBCIQ-QGZVFWFLSA-N 0 3 316.445 4.191 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000510047386 340286297 /nfs/dbraw/zinc/28/62/97/340286297.db2.gz HGBPRYRZRODWBB-KRWDZBQOSA-N 0 3 302.462 4.293 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000510068484 340287115 /nfs/dbraw/zinc/28/71/15/340287115.db2.gz DEGDWIVGRXVWME-KRWDZBQOSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1ccoc1CN(C)CCCc1cc(-c2ccccc2)n[nH]1 ZINC000510086419 340288204 /nfs/dbraw/zinc/28/82/04/340288204.db2.gz NWTGYEQAQZVVSO-UHFFFAOYSA-N 0 3 309.413 4.043 20 0 DIADHN COCc1ccc(CN2CCC(Cc3cccc(F)c3)CC2)o1 ZINC000510091411 340288509 /nfs/dbraw/zinc/28/85/09/340288509.db2.gz FMPVQZGSXZFJEF-UHFFFAOYSA-N 0 3 317.404 4.020 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000510132814 340289994 /nfs/dbraw/zinc/28/99/94/340289994.db2.gz JCLPKACWSLFSGE-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN Oc1ccc(C2=CCN(CCSc3ccccc3)CC2)cc1 ZINC000510224224 340293183 /nfs/dbraw/zinc/29/31/83/340293183.db2.gz WAEJAXSNDKRLRP-UHFFFAOYSA-N 0 3 311.450 4.274 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1C[C@H]1CC[C@@H](C)O1 ZINC000510223522 340293250 /nfs/dbraw/zinc/29/32/50/340293250.db2.gz PXUFCWQVCQXETO-LHHMISFZSA-N 0 3 303.446 4.036 20 0 DIADHN CCC(C)(C)N(C)Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000510247756 340294053 /nfs/dbraw/zinc/29/40/53/340294053.db2.gz AGESKMBGBUIASK-UHFFFAOYSA-N 0 3 300.233 4.262 20 0 DIADHN COc1cccc(C2(NC[C@H]3CCC4(CCCCC4)O3)CC2)c1 ZINC000510271036 340294827 /nfs/dbraw/zinc/29/48/27/340294827.db2.gz UNUYNZLSMATAHI-GOSISDBHSA-N 0 3 315.457 4.156 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC(C1CC1)C1CC1 ZINC000510283983 340295073 /nfs/dbraw/zinc/29/50/73/340295073.db2.gz XXMWHUPMDDFHEF-UHFFFAOYSA-N 0 3 300.427 4.104 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@@H](C)CN2CCC[C@@H](C)C2)cc1 ZINC000510377506 340297312 /nfs/dbraw/zinc/29/73/12/340297312.db2.gz MPILCYRAXGIXSH-CVEARBPZSA-N 0 3 317.477 4.052 20 0 DIADHN C[C@@H](N[C@@H]1CSC1(C)C)c1ccc(Br)s1 ZINC000309001622 340297916 /nfs/dbraw/zinc/29/79/16/340297916.db2.gz OHVJWRIXBJNDHG-VXNVDRBHSA-N 0 3 306.294 4.055 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC[C@H](n2ncc3ccccc32)C1 ZINC000510403228 340298159 /nfs/dbraw/zinc/29/81/59/340298159.db2.gz USWCQHFJMVMHNP-KDOFPFPSSA-N 0 3 309.388 4.183 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510418479 340298681 /nfs/dbraw/zinc/29/86/81/340298681.db2.gz WTIMWMRGAXSMHE-GOEBONIOSA-N 0 3 309.388 4.183 20 0 DIADHN Cc1noc(C2(C)CCN(Cc3ccc(C(C)C)cc3)CC2)n1 ZINC000510447016 340299691 /nfs/dbraw/zinc/29/96/91/340299691.db2.gz UWFDMEVDYLLLKS-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN CCc1ncc(CN2CCC[C@H]2Cc2cccc(F)c2)s1 ZINC000510468083 340300451 /nfs/dbraw/zinc/30/04/51/340300451.db2.gz WSDZALPTVKXXBI-HNNXBMFYSA-N 0 3 304.434 4.052 20 0 DIADHN COc1ccccc1/C=C\CN1CCC(OCCC(C)C)CC1 ZINC000510502737 340301258 /nfs/dbraw/zinc/30/12/58/340301258.db2.gz PSEHEOYXYZKOCQ-VURMDHGXSA-N 0 3 317.473 4.236 20 0 DIADHN c1ccc(O[C@H]2CCCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC000510518236 340301616 /nfs/dbraw/zinc/30/16/16/340301616.db2.gz CZTKRKWSDSCOCP-FQEVSTJZSA-N 0 3 318.420 4.278 20 0 DIADHN Cc1cccc(C(=O)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)c1C ZINC000510544358 340302324 /nfs/dbraw/zinc/30/23/24/340302324.db2.gz DKDPJXRGXOLBQP-XLIONFOSSA-N 0 3 324.468 4.033 20 0 DIADHN Cc1ccc(C)c(C(=O)N[C@H](C)[C@H](c2ccccc2C)N(C)C)c1 ZINC000510543450 340302413 /nfs/dbraw/zinc/30/24/13/340302413.db2.gz BGERMVWYLALPOG-YLJYHZDGSA-N 0 3 324.468 4.033 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1coc(-c2ccccc2)n1 ZINC000510658964 340305546 /nfs/dbraw/zinc/30/55/46/340305546.db2.gz ACKXILCFZIEREE-RHSMWYFYSA-N 0 3 302.393 4.350 20 0 DIADHN COCCCCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510662745 340305661 /nfs/dbraw/zinc/30/56/61/340305661.db2.gz HYNOWJGYQIYJAI-IBGZPJMESA-N 0 3 301.405 4.240 20 0 DIADHN Cc1cnc([C@@H](C)N[C@H](C)CCc2c(F)cccc2F)s1 ZINC000510665687 340305692 /nfs/dbraw/zinc/30/56/92/340305692.db2.gz COZCZXZONLVTGI-ZYHUDNBSSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)CCc2c(F)cccc2F)cs1 ZINC000510667325 340305812 /nfs/dbraw/zinc/30/58/12/340305812.db2.gz QXGGASDAYQXZFM-QWRGUYRKSA-N 0 3 310.413 4.402 20 0 DIADHN C[C@H](C(=O)N(C)Cc1cccc2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC000510688510 340306791 /nfs/dbraw/zinc/30/67/91/340306791.db2.gz RENTYZOYVHDACY-IXDOHACOSA-N 0 3 324.468 4.060 20 0 DIADHN Cc1cccc([C@H](NCC2=CCN(C)CC2)c2cccc(F)c2)c1 ZINC000510704638 340307369 /nfs/dbraw/zinc/30/73/69/340307369.db2.gz OHZGYEJWGWNIKL-NRFANRHFSA-N 0 3 324.443 4.075 20 0 DIADHN Cc1cccc([C@@H](NCC2=CCN(C)CC2)c2cccc(F)c2)c1 ZINC000510704640 340307384 /nfs/dbraw/zinc/30/73/84/340307384.db2.gz OHZGYEJWGWNIKL-OAQYLSRUSA-N 0 3 324.443 4.075 20 0 DIADHN COC[C@H](C)CN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510702211 340307408 /nfs/dbraw/zinc/30/74/08/340307408.db2.gz JIQDIFAPUTVJAA-BEFAXECRSA-N 0 3 301.405 4.096 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CC[C@H](O)CC2)c2cccc(F)c2)c1 ZINC000510713668 340307782 /nfs/dbraw/zinc/30/77/82/340307782.db2.gz KYHHILNFWSRKMC-UFYCRDLUSA-N 0 3 313.416 4.117 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1csc(-c2ccco2)n1 ZINC000510721286 340308178 /nfs/dbraw/zinc/30/81/78/340308178.db2.gz NIUZVLGZGAZLPW-GXTWGEPZSA-N 0 3 308.422 4.411 20 0 DIADHN Cc1cccc([C@H](NCCCn2ccnc2)c2cccc(F)c2)c1 ZINC000510728934 340308336 /nfs/dbraw/zinc/30/83/36/340308336.db2.gz CDEFGSLOLSCUAY-FQEVSTJZSA-N 0 3 323.415 4.100 20 0 DIADHN Cc1cccc([C@@H](NCCCn2ccnc2)c2cccc(F)c2)c1 ZINC000510728935 340308376 /nfs/dbraw/zinc/30/83/76/340308376.db2.gz CDEFGSLOLSCUAY-HXUWFJFHSA-N 0 3 323.415 4.100 20 0 DIADHN Cc1cc(OCCC[C@H](C)NCc2cscn2)ccc1Cl ZINC000510733392 340308632 /nfs/dbraw/zinc/30/86/32/340308632.db2.gz IOGHPQLOCZGDAY-ZDUSSCGKSA-N 0 3 324.877 4.442 20 0 DIADHN CC(C)c1ccc([C@@H](C)NC(=O)c2ccc(CN(C)C)cc2)cc1 ZINC000510786699 340311081 /nfs/dbraw/zinc/31/10/81/340311081.db2.gz INCLRJUUFJSICY-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN C[C@H]1CC[C@@H](N(C)Cc2nc3cc(Br)ccc3o2)C1 ZINC000510790395 340311125 /nfs/dbraw/zinc/31/11/25/340311125.db2.gz HIPDSSLYOQJCSO-CMPLNLGQSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nc2cc(Br)ccc2o1 ZINC000510797285 340311324 /nfs/dbraw/zinc/31/13/24/340311324.db2.gz OOGKTLDNDCWJBZ-PWSUYJOCSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000510815879 340311816 /nfs/dbraw/zinc/31/18/16/340311816.db2.gz RWDGOAFZVJNHCK-OLZOCXBDSA-N 0 3 318.486 4.428 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ccccc2C(F)(F)F)CC1 ZINC000510816665 340312011 /nfs/dbraw/zinc/31/20/11/340312011.db2.gz ZQAHLYXLPIBDGT-HNNXBMFYSA-N 0 3 301.352 4.096 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](N[C@@H](C)c2ccsc2)C1 ZINC000510926779 340314953 /nfs/dbraw/zinc/31/49/53/340314953.db2.gz FBNITIMBPOTUBK-HDMKZQKVSA-N 0 3 300.471 4.234 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCc2ccc(C)cc21 ZINC000510937562 340315601 /nfs/dbraw/zinc/31/56/01/340315601.db2.gz ZBLBJOYUTJCRQB-RBUKOAKNSA-N 0 3 311.400 4.407 20 0 DIADHN C[C@@H](C(=O)N(C)[C@@H](C)c1cccc(Cl)c1)N1CCCCCC1 ZINC000510940123 340315614 /nfs/dbraw/zinc/31/56/14/340315614.db2.gz UUOBTTGXZFPGLF-GJZGRUSLSA-N 0 3 322.880 4.124 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)[C@@H](C)N2CCCCCC2)c1 ZINC000510942508 340315726 /nfs/dbraw/zinc/31/57/26/340315726.db2.gz YWOOZWZCBXEFKC-ZWKOTPCHSA-N 0 3 316.489 4.087 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H](c2cccc(C)c2)c2cccc(F)c2)C1 ZINC000510946013 340315828 /nfs/dbraw/zinc/31/58/28/340315828.db2.gz DJNPWUQUGUZUDA-AQNXPRMDSA-N 0 3 313.416 4.381 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3cc(F)c(OC)cc32)cc1 ZINC000510958447 340316174 /nfs/dbraw/zinc/31/61/74/340316174.db2.gz CENLMWJVGCGIFC-SGTLLEGYSA-N 0 3 315.388 4.181 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000510961424 340316393 /nfs/dbraw/zinc/31/63/93/340316393.db2.gz LRUPNHHKENYJAR-OALUTQOASA-N 0 3 311.400 4.407 20 0 DIADHN Cc1cccc([C@@H](NCCCOC(F)F)c2cccc(F)c2)c1 ZINC000510991263 340317263 /nfs/dbraw/zinc/31/72/63/340317263.db2.gz HOSBGEKIAQRJCM-QGZVFWFLSA-N 0 3 323.358 4.442 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1ccncc1F ZINC000511001956 340317833 /nfs/dbraw/zinc/31/78/33/340317833.db2.gz SINFDFMJNKNBOB-NOLJZWGESA-N 0 3 302.368 4.347 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCc3cc(F)c(OC)cc32)c1 ZINC000511016721 340318261 /nfs/dbraw/zinc/31/82/61/340318261.db2.gz XKMBELXIKSSLRB-XIKOKIGWSA-N 0 3 315.388 4.181 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000511017814 340318366 /nfs/dbraw/zinc/31/83/66/340318366.db2.gz SCXOSHIRXLGUNW-SWLSCSKDSA-N 0 3 310.507 4.124 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2ccncc2F)cc1 ZINC000511029585 340318802 /nfs/dbraw/zinc/31/88/02/340318802.db2.gz IZYVMYFDEYJTQL-MCIONIFRSA-N 0 3 302.393 4.072 20 0 DIADHN OC[C@H](NC1CC(c2ccccc2Cl)C1)c1cccc(F)c1 ZINC000511058584 340319568 /nfs/dbraw/zinc/31/95/68/340319568.db2.gz RUEPCXKZKYZZKV-RWKZGTHUSA-N 0 3 319.807 4.048 20 0 DIADHN c1coc(C2=CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)c1 ZINC000511061316 340319731 /nfs/dbraw/zinc/31/97/31/340319731.db2.gz AAHSLOAXNRPAIU-QGZVFWFLSA-N 0 3 301.430 4.251 20 0 DIADHN c1coc(C2=CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)c1 ZINC000511061314 340319752 /nfs/dbraw/zinc/31/97/52/340319752.db2.gz AAHSLOAXNRPAIU-KRWDZBQOSA-N 0 3 301.430 4.251 20 0 DIADHN Cc1oncc1CN1CCC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000511091978 340320433 /nfs/dbraw/zinc/32/04/33/340320433.db2.gz NDWGMNCLKMMYMQ-CQSZACIVSA-N 0 3 324.346 4.381 20 0 DIADHN CC(C)[C@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000511094513 340320582 /nfs/dbraw/zinc/32/05/82/340320582.db2.gz XQONZSXDCMCVPG-UTKZUKDTSA-N 0 3 324.468 4.193 20 0 DIADHN Cc1ccncc1CN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000511127996 340321784 /nfs/dbraw/zinc/32/17/84/340321784.db2.gz WCUCCTSDDJOPQT-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN c1coc(C2=CCCN(CCCOCCc3ccccc3)C2)c1 ZINC000511128574 340321870 /nfs/dbraw/zinc/32/18/70/340321870.db2.gz XLMLOLCYNKTTOD-UHFFFAOYSA-N 0 3 311.425 4.018 20 0 DIADHN Fc1ccc(CN(CCc2ccncc2)CC2CC2)c(Cl)c1 ZINC000511145065 340322139 /nfs/dbraw/zinc/32/21/39/340322139.db2.gz VARZQQPXRPRCCD-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN CC[C@@H](C)CCC(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000511194361 340323672 /nfs/dbraw/zinc/32/36/72/340323672.db2.gz XTYHLGXHEXKKDR-CVEARBPZSA-N 0 3 304.478 4.058 20 0 DIADHN CC[C@@H](N[C@@H](C)Cc1ccc(O)cc1)c1ccc(F)cc1F ZINC000511191513 340323739 /nfs/dbraw/zinc/32/37/39/340323739.db2.gz IMEOAQIJPSYEKS-KPZWWZAWSA-N 0 3 305.368 4.342 20 0 DIADHN COC[C@H](C)N1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000511214556 340323965 /nfs/dbraw/zinc/32/39/65/340323965.db2.gz FOELTILKKIIVAK-NSHDSACASA-N 0 3 300.229 4.117 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@H](CO)c3ccccc3)ccc2c1 ZINC000303378549 340324003 /nfs/dbraw/zinc/32/40/03/340324003.db2.gz PXUKXAOQMZUMOS-QVKFZJNVSA-N 0 3 321.420 4.233 20 0 DIADHN C[C@@H](CC(=O)Nc1ccc(CN(C)C)cc1)C1CCCCC1 ZINC000511323996 340325377 /nfs/dbraw/zinc/32/53/77/340325377.db2.gz PMZUGFZPMSTXEZ-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000303437561 340325388 /nfs/dbraw/zinc/32/53/88/340325388.db2.gz DWTTUBYOSKVLNG-GHMZBOCLSA-N 0 3 317.260 4.298 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000303483792 340327645 /nfs/dbraw/zinc/32/76/45/340327645.db2.gz QLLPEAXTPXVALB-YPMHNXCESA-N 0 3 305.397 4.223 20 0 DIADHN c1coc(CN(C[C@H]2C[C@H]2c2ccccc2)C[C@H]2CCCO2)c1 ZINC000511651277 340334039 /nfs/dbraw/zinc/33/40/39/340334039.db2.gz WZRJVJXOHLVRNM-RLLQIKCJSA-N 0 3 311.425 4.064 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc2c(c1)COC2 ZINC000511661803 340334199 /nfs/dbraw/zinc/33/41/99/340334199.db2.gz GIKGRGFPCFYLET-SXGWCWSVSA-N 0 3 323.436 4.056 20 0 DIADHN CN(Cc1cnc(C(C)(C)C)s1)C1Cc2ccccc2C1 ZINC000511667661 340334715 /nfs/dbraw/zinc/33/47/15/340334715.db2.gz ZRBMZSRTNLHLMP-UHFFFAOYSA-N 0 3 300.471 4.040 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@H]1OCCc2ccccc21 ZINC000511669930 340334741 /nfs/dbraw/zinc/33/47/41/340334741.db2.gz ZEHUSUBCSLZOHF-HXUWFJFHSA-N 0 3 307.393 4.179 20 0 DIADHN CCCN(CC1CCCCC1)C(=O)c1ccc(CN(C)C)cc1 ZINC000511702538 340335627 /nfs/dbraw/zinc/33/56/27/340335627.db2.gz WBYBNQNWLLSSNK-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N[C@@H](CN(C)C)c1ccccc1 ZINC000303601183 340337049 /nfs/dbraw/zinc/33/70/49/340337049.db2.gz XHNFPTIFMFGALB-APWZRJJASA-N 0 3 316.489 4.012 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NC/C=C\c1ccc(F)c(F)c1 ZINC000511776653 340338045 /nfs/dbraw/zinc/33/80/45/340338045.db2.gz VLQSGHCGYWWUIO-LKIHTYOGSA-N 0 3 315.363 4.400 20 0 DIADHN CC[C@@H](C)Sc1ccc(NC(=O)C2CCN(C)CC2)c(C)c1 ZINC000172725731 340338698 /nfs/dbraw/zinc/33/86/98/340338698.db2.gz SNXLUIVXTSRGSX-CQSZACIVSA-N 0 3 320.502 4.166 20 0 DIADHN CN(Cc1cccc(OC(F)F)c1)Cc1cccc2[nH]ccc21 ZINC000511839819 340339893 /nfs/dbraw/zinc/33/98/93/340339893.db2.gz XKTWXEWAUKIMHG-UHFFFAOYSA-N 0 3 316.351 4.401 20 0 DIADHN CCc1nc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)cs1 ZINC000511842069 340339928 /nfs/dbraw/zinc/33/99/28/340339928.db2.gz IVRPEWPVKGSZEN-KURKYZTESA-N 0 3 312.482 4.474 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@@H]3CCC[C@H]32)nc1 ZINC000511860698 340340759 /nfs/dbraw/zinc/34/07/59/340340759.db2.gz QYFJOMAHHLILRY-FXAWDEMLSA-N 0 3 322.452 4.425 20 0 DIADHN c1ccc(OCc2ccncc2)c(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000511862267 340340814 /nfs/dbraw/zinc/34/08/14/340340814.db2.gz ZEWQFLXOTRJUMW-ICSRJNTNSA-N 0 3 322.452 4.425 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@@H]3CCC[C@@H]32)nc1 ZINC000511860699 340340850 /nfs/dbraw/zinc/34/08/50/340340850.db2.gz QYFJOMAHHLILRY-PXNSSMCTSA-N 0 3 322.452 4.425 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@H]32)c(Cl)c1OC ZINC000511886413 340341741 /nfs/dbraw/zinc/34/17/41/340341741.db2.gz CUIFWIHJOYSOGH-GXTWGEPZSA-N 0 3 309.837 4.122 20 0 DIADHN COc1ccc2[nH]cc(C3CCN(Cc4occc4C)CC3)c2c1 ZINC000511927820 340343007 /nfs/dbraw/zinc/34/30/07/340343007.db2.gz SHBOTQJJFXAQNC-UHFFFAOYSA-N 0 3 324.424 4.458 20 0 DIADHN C[C@@H]1CCN(Cc2cc3ccccc3nc2N2CCCCC2)C1 ZINC000511979205 340344371 /nfs/dbraw/zinc/34/43/71/340344371.db2.gz SPCXWMWYJHCQKE-MRXNPFEDSA-N 0 3 309.457 4.067 20 0 DIADHN COCc1cc(CNC/C=C\c2ccc(F)c(F)c2)ccc1F ZINC000512004082 340344975 /nfs/dbraw/zinc/34/49/75/340344975.db2.gz BZONPTILCWAAAE-IHWYPQMZSA-N 0 3 321.342 4.053 20 0 DIADHN COC[C@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512021872 340345670 /nfs/dbraw/zinc/34/56/70/340345670.db2.gz DZMILYZVRSJQKD-DCKQQPRJSA-N 0 3 321.342 4.094 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CSc3ccccc32)cc1F ZINC000512036143 340346123 /nfs/dbraw/zinc/34/61/23/340346123.db2.gz DXIHHJZOJHXHOZ-CWDCEQMOSA-N 0 3 303.377 4.415 20 0 DIADHN CC(C)CC[C@H](NCc1ncc(CN(C)C)s1)C(C)(C)C ZINC000512038140 340346336 /nfs/dbraw/zinc/34/63/36/340346336.db2.gz IRCIXTFWLYNLRW-HNNXBMFYSA-N 0 3 311.539 4.145 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2NCC1CCC(C(C)C)CC1 ZINC000512039830 340346448 /nfs/dbraw/zinc/34/64/48/340346448.db2.gz YNLSKUZLZVZKHB-JCYILVPMSA-N 0 3 318.509 4.288 20 0 DIADHN Cn1cc([C@H](NC/C=C\c2ccc(F)c(F)c2)C(C)(C)C)cn1 ZINC000512047292 340346743 /nfs/dbraw/zinc/34/67/43/340346743.db2.gz YZJLNWYLHCORLU-OSJSJHBBSA-N 0 3 319.399 4.089 20 0 DIADHN Fc1ccc(/C=C\CN[C@H](c2cccnc2)C2CC2)cc1F ZINC000512068419 340347483 /nfs/dbraw/zinc/34/74/83/340347483.db2.gz RKFFVVXKIUEZCS-PFOXWKGBSA-N 0 3 300.352 4.114 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000512083953 340348136 /nfs/dbraw/zinc/34/81/36/340348136.db2.gz MZUOJIDBEKEPNH-DLGQBQFBSA-N 0 3 317.335 4.058 20 0 DIADHN COCCCN[C@H](c1ccc(OC)cc1)c1ccccc1Cl ZINC000172915683 340348209 /nfs/dbraw/zinc/34/82/09/340348209.db2.gz OZAMFSMPBXTZQW-GOSISDBHSA-N 0 3 319.832 4.064 20 0 DIADHN Fc1ccc(/C=C/CNCc2cccc3cccnc32)cc1F ZINC000512097080 340348447 /nfs/dbraw/zinc/34/84/47/340348447.db2.gz BWCSTLSJKDGHKI-DUXPYHPUSA-N 0 3 310.347 4.316 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccccc1N(C)C ZINC000512102848 340348589 /nfs/dbraw/zinc/34/85/89/340348589.db2.gz CVVIMRWTLBFERC-LGMDPLHJSA-N 0 3 324.468 4.092 20 0 DIADHN COc1ccc(-c2nc(CN3CCCCC[C@@H]3C)co2)cc1 ZINC000512180148 340351123 /nfs/dbraw/zinc/35/11/23/340351123.db2.gz DJMHRYUCTZJIOQ-AWEZNQCLSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000512182858 340351234 /nfs/dbraw/zinc/35/12/34/340351234.db2.gz TVSGLGLKQSLLBS-HZMBPMFUSA-N 0 3 324.902 4.305 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CCNC(=O)Nc1cccc(CN(C)C)c1 ZINC000512235901 340353409 /nfs/dbraw/zinc/35/34/09/340353409.db2.gz ZHKAWRMTPWWPGO-NVXWUHKLSA-N 0 3 317.477 4.086 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N1CC[C@@H](C)Sc2ccccc21 ZINC000512271179 340354865 /nfs/dbraw/zinc/35/48/65/340354865.db2.gz OWWUAAPGZHKCDK-CQSZACIVSA-N 0 3 320.502 4.024 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccc(Cl)cc1Cl ZINC000512283434 340355260 /nfs/dbraw/zinc/35/52/60/340355260.db2.gz PPABNAOGJYLGSA-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN CCC[C@@]1(NCc2ccc(OC(C)C)c(Cl)c2)CCOC1 ZINC000512285615 340355321 /nfs/dbraw/zinc/35/53/21/340355321.db2.gz JPOJQNUJUXNVTP-QGZVFWFLSA-N 0 3 311.853 4.176 20 0 DIADHN COc1cccc(C(NCC2CCC2)c2cccc(OC)c2)c1 ZINC000512307594 340356413 /nfs/dbraw/zinc/35/64/13/340356413.db2.gz DCRHQQWONQCGMH-UHFFFAOYSA-N 0 3 311.425 4.183 20 0 DIADHN COc1ccc([C@H]2CCN(C/C=C\c3ccccc3OC)C2)cc1 ZINC000512344166 340358228 /nfs/dbraw/zinc/35/82/28/340358228.db2.gz VGWBLDAAYDOUCC-WPGJWXSHSA-N 0 3 323.436 4.207 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2occc2c1 ZINC000512390077 340360156 /nfs/dbraw/zinc/36/01/56/340360156.db2.gz YGNPBMUMOYOURH-KRWDZBQOSA-N 0 3 311.356 4.358 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@@H](C)SC ZINC000512391213 340360240 /nfs/dbraw/zinc/36/02/40/340360240.db2.gz LEMIMRLVQKHGIX-CQSZACIVSA-N 0 3 301.455 4.203 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CCO[C@@H]1C1CC1 ZINC000512396859 340360558 /nfs/dbraw/zinc/36/05/58/340360558.db2.gz VJAMBFOBSOJITD-TZIWHRDSSA-N 0 3 323.436 4.019 20 0 DIADHN CCN(CCC(=O)c1ccc(F)cc1)[C@@H](C)c1cccc(O)c1 ZINC000512415264 340360975 /nfs/dbraw/zinc/36/09/75/340360975.db2.gz LAYSFXVULDJEBT-AWEZNQCLSA-N 0 3 315.388 4.187 20 0 DIADHN CCC[C@@](C)(O)CNCc1ccc(-c2ccccc2)cc1OC ZINC000512440112 340361947 /nfs/dbraw/zinc/36/19/47/340361947.db2.gz AMUODCWXTOEAIX-HXUWFJFHSA-N 0 3 313.441 4.003 20 0 DIADHN COc1ccc(C)cc1CN1CCC(n2c(C)ccc2C)CC1 ZINC000512521874 340365363 /nfs/dbraw/zinc/36/53/63/340365363.db2.gz LCYBMKQFXGAFLU-UHFFFAOYSA-N 0 3 312.457 4.259 20 0 DIADHN CCN(C(=O)C(C)C(F)(F)F)c1ccc(C(F)(F)F)cc1 ZINC000512526723 340365549 /nfs/dbraw/zinc/36/55/49/340365549.db2.gz ZRUFCJBCHRHTLF-QMMMGPOBSA-N 0 3 313.241 4.257 20 0 DIADHN COCCC[C@@H](CNCc1ccco1)c1ccc(Cl)cc1 ZINC000512532742 340365777 /nfs/dbraw/zinc/36/57/77/340365777.db2.gz URVKAJZASAPILV-HNNXBMFYSA-N 0 3 307.821 4.233 20 0 DIADHN Cc1ccc(C)n1C1CCN(CC2=Cc3ccccc3OC2)CC1 ZINC000512542550 340366271 /nfs/dbraw/zinc/36/62/71/340366271.db2.gz QSUXJBVFECBDRB-UHFFFAOYSA-N 0 3 322.452 4.218 20 0 DIADHN CSc1cccc(F)c1CN[C@@H]1CCC[C@H]([C@H]2CCOC2)C1 ZINC000512573021 340367438 /nfs/dbraw/zinc/36/74/38/340367438.db2.gz KEPDAUMGECXSMN-SOUVJXGZSA-N 0 3 323.477 4.233 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2C2CCC2)c1OC(F)F ZINC000512621789 340369553 /nfs/dbraw/zinc/36/95/53/340369553.db2.gz COMWTRWDFBMHRC-CQSZACIVSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC[C@]2(CC=CCC2)C1 ZINC000512732710 340374900 /nfs/dbraw/zinc/37/49/00/340374900.db2.gz BPMZZWNBOWWDKH-HXUWFJFHSA-N 0 3 307.441 4.261 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC[C@@]2(CC=CCC2)C1 ZINC000512732707 340374993 /nfs/dbraw/zinc/37/49/93/340374993.db2.gz BPMZZWNBOWWDKH-FQEVSTJZSA-N 0 3 307.441 4.261 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](COC(F)F)C1 ZINC000512842976 340380339 /nfs/dbraw/zinc/38/03/39/340380339.db2.gz DMULCQKNJSBHES-RISCZKNCSA-N 0 3 303.780 4.352 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1ccc(-c2cccc(F)c2F)o1 ZINC000512861999 340381246 /nfs/dbraw/zinc/38/12/46/340381246.db2.gz RMLDGDCEUHWKQT-IINYFYTJSA-N 0 3 309.381 4.066 20 0 DIADHN COC[C@@H](C)N[C@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000174065585 340381296 /nfs/dbraw/zinc/38/12/96/340381296.db2.gz DVKWIRQOJLOSST-GHMZBOCLSA-N 0 3 324.877 4.457 20 0 DIADHN CCC[C@]1(NCc2ccc(Cl)c(C(F)(F)F)c2)CCOC1 ZINC000512890160 340382779 /nfs/dbraw/zinc/38/27/79/340382779.db2.gz JJXIVAHKUUGWOP-AWEZNQCLSA-N 0 3 321.770 4.408 20 0 DIADHN CC[C@@H](NC/C=C\c1ccccc1)c1ccc(OC)c(OC)c1 ZINC000512904154 340383402 /nfs/dbraw/zinc/38/34/02/340383402.db2.gz NQGHOYYJXXUBRN-IBWPDUFMSA-N 0 3 311.425 4.458 20 0 DIADHN CCc1ccc(-c2ccc(CNCCc3cc(C)no3)o2)cc1 ZINC000512971926 340386314 /nfs/dbraw/zinc/38/63/14/340386314.db2.gz NVHATHVQDXZDPS-UHFFFAOYSA-N 0 3 310.397 4.138 20 0 DIADHN Fc1ccc(CNCC2(C(F)(F)F)CCC2)c2ncccc12 ZINC000512971992 340386323 /nfs/dbraw/zinc/38/63/23/340386323.db2.gz PGABHOFLSZZPLI-UHFFFAOYSA-N 0 3 312.310 4.196 20 0 DIADHN Cc1cc(CNCC2(C(F)(F)F)CCC2)cc2cccnc12 ZINC000512987782 340387114 /nfs/dbraw/zinc/38/71/14/340387114.db2.gz CNOUHSABHJJMKO-UHFFFAOYSA-N 0 3 308.347 4.365 20 0 DIADHN FC(F)(F)C1(CNCc2ccccc2Br)CCC1 ZINC000513001852 340387446 /nfs/dbraw/zinc/38/74/46/340387446.db2.gz OLOVVTFCQROLJZ-UHFFFAOYSA-N 0 3 322.168 4.271 20 0 DIADHN c1ccc(C2(CNCc3ccccc3OCC3CC3)CCC2)nc1 ZINC000513001282 340387674 /nfs/dbraw/zinc/38/76/74/340387674.db2.gz DPDZBPHKGVMLQE-UHFFFAOYSA-N 0 3 322.452 4.082 20 0 DIADHN Fc1cccc2[nH]c(CNCC3(C(F)(F)F)CCC3)cc21 ZINC000513001078 340387723 /nfs/dbraw/zinc/38/77/23/340387723.db2.gz ABLXDJGVVHQITC-UHFFFAOYSA-N 0 3 300.299 4.129 20 0 DIADHN CCCOc1cccc(CNCC2(C(F)(F)F)CCC2)c1 ZINC000513002329 340387772 /nfs/dbraw/zinc/38/77/72/340387772.db2.gz XLCLNFRTHDHYHH-UHFFFAOYSA-N 0 3 301.352 4.298 20 0 DIADHN C[C@@H](NCc1ccc(Cl)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000513021629 340388943 /nfs/dbraw/zinc/38/89/43/340388943.db2.gz HFZJHRHKEWOLDB-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN CC(NCc1ccc(CO)cc1)(c1ccccc1)c1ccccc1 ZINC000305801548 340389387 /nfs/dbraw/zinc/38/93/87/340389387.db2.gz DZEUWLIPBSPHIE-UHFFFAOYSA-N 0 3 317.432 4.232 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2Cc2ccc(Cl)s2)C1 ZINC000513038104 340390024 /nfs/dbraw/zinc/39/00/24/340390024.db2.gz PPLYRBTWJWGEGA-BEAPCOKYSA-N 0 3 313.894 4.163 20 0 DIADHN CCC(CC)CN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000513046184 340390484 /nfs/dbraw/zinc/39/04/84/340390484.db2.gz JYTQFIGMYDGNFH-SFHVURJKSA-N 0 3 303.425 4.017 20 0 DIADHN C[C@@H](CN[C@H](C)c1c(F)cncc1F)c1cccc(Cl)c1 ZINC000513073649 340392164 /nfs/dbraw/zinc/39/21/64/340392164.db2.gz DGEABOIFEHHGES-WDEREUQCSA-N 0 3 310.775 4.468 20 0 DIADHN Cc1cc2cc(CNCc3cc4ccc(F)cc4[nH]3)ccc2[nH]1 ZINC000513089831 340392832 /nfs/dbraw/zinc/39/28/32/340392832.db2.gz PNFNZJNZISEOAB-UHFFFAOYSA-N 0 3 307.372 4.387 20 0 DIADHN CCc1ccc(CN[C@@H]2CC[C@@H](c3ccccc3)C[C@H]2OC)cn1 ZINC000513089182 340392873 /nfs/dbraw/zinc/39/28/73/340392873.db2.gz MINWGVCVOZYBTO-HMXCVIKNSA-N 0 3 324.468 4.085 20 0 DIADHN Cc1cc2cc(CNCc3cnc4ccc(Cl)cn34)ccc2[nH]1 ZINC000513105797 340393509 /nfs/dbraw/zinc/39/35/09/340393509.db2.gz BSOQHUFYMSBMNB-UHFFFAOYSA-N 0 3 324.815 4.067 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C(C)C ZINC000513126994 340394368 /nfs/dbraw/zinc/39/43/68/340394368.db2.gz BCKLFIOJQRWHAP-DOMZBBRYSA-N 0 3 305.397 4.269 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1)C(C)C ZINC000513127246 340394455 /nfs/dbraw/zinc/39/44/55/340394455.db2.gz FOXCUGLEDJICQT-DOMZBBRYSA-N 0 3 305.397 4.269 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC[C@H](n3cccn3)C2)s1 ZINC000513139388 340394829 /nfs/dbraw/zinc/39/48/29/340394829.db2.gz QNCOHXXMMKPYPK-AWEZNQCLSA-N 0 3 303.475 4.079 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)CC[C@@H](C)O)s2)cc1 ZINC000174876337 340398200 /nfs/dbraw/zinc/39/82/00/340398200.db2.gz IXCIFOZPPJCYRE-CQSZACIVSA-N 0 3 318.486 4.136 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCS[C@@H](C)CC1 ZINC000513236597 340398884 /nfs/dbraw/zinc/39/88/84/340398884.db2.gz MUEFMUMVJSJWHE-IRVMHKCDSA-N 0 3 305.487 4.064 20 0 DIADHN COCCC(C)(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000513523989 340412193 /nfs/dbraw/zinc/41/21/93/340412193.db2.gz DFJWIYRRSPQIJA-UHFFFAOYSA-N 0 3 309.759 4.264 20 0 DIADHN c1c2ccccc2oc1CNCCCOCCc1ccccc1 ZINC000513641943 340416725 /nfs/dbraw/zinc/41/67/25/340416725.db2.gz BSRBBWPDVRMHGE-UHFFFAOYSA-N 0 3 309.409 4.172 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1-n1ccnn1)c1cccs1 ZINC000513659334 340417761 /nfs/dbraw/zinc/41/77/61/340417761.db2.gz BLMMBYYCHIBKFV-HIFRSBDPSA-N 0 3 312.442 4.131 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2CCc3cc(C)ccc32)c1 ZINC000513683834 340419364 /nfs/dbraw/zinc/41/93/64/340419364.db2.gz MWGQPUVHXFIVQF-LRDDRELGSA-N 0 3 315.438 4.181 20 0 DIADHN Cc1ccc(CNC2CCC(O)CC2)c(O[C@@H](C)CC(C)C)c1 ZINC000513689323 340419602 /nfs/dbraw/zinc/41/96/02/340419602.db2.gz BMPOWYPJOKAJTL-IVMQYODDSA-N 0 3 319.489 4.202 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H]1CCc2ccc(Br)cc21 ZINC000310677416 340441925 /nfs/dbraw/zinc/44/19/25/340441925.db2.gz QFNROBAHKJCGIF-PELKAZGASA-N 0 3 322.168 4.367 20 0 DIADHN CCO[C@@H](CCN[C@H](C)c1ccc2ccccc2n1)C(C)C ZINC000178218447 340447758 /nfs/dbraw/zinc/44/77/58/340447758.db2.gz FGCIJYMTBVHSRX-BEFAXECRSA-N 0 3 300.446 4.337 20 0 DIADHN CC[C@@H](NC[C@@]1(C)OCCc2sccc21)c1ccccc1F ZINC000517205758 340465549 /nfs/dbraw/zinc/46/55/49/340465549.db2.gz JPGPUHSFMUZFOO-SJLPKXTDSA-N 0 3 319.445 4.416 20 0 DIADHN CC(C)(C)C1CC(NCc2cnc(-c3ccncc3)s2)C1 ZINC000517224036 340465987 /nfs/dbraw/zinc/46/59/87/340465987.db2.gz POUOVTDGQIYSGL-UHFFFAOYSA-N 0 3 301.459 4.119 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccc(F)cn1 ZINC000564148503 340481667 /nfs/dbraw/zinc/48/16/67/340481667.db2.gz NHEXOOCMAKENKA-ZIFCJYIRSA-N 0 3 314.404 4.182 20 0 DIADHN Cc1cccc(CNCc2ccnc(O[C@@H](C)CC(C)C)c2)c1 ZINC000521172866 340482011 /nfs/dbraw/zinc/48/20/11/340482011.db2.gz ITYJMDPPAQJIRH-KRWDZBQOSA-N 0 3 312.457 4.493 20 0 DIADHN Cc1ccc([C@H](CN[C@@H](C)c2cncc(C)c2)N2CCCC2)cc1 ZINC000184330286 340492125 /nfs/dbraw/zinc/49/21/25/340492125.db2.gz ZSYCCAJYBDZZAY-RXVVDRJESA-N 0 3 323.484 4.186 20 0 DIADHN Cc1cncc([C@@H](C)NCCc2nc(C(C)(C)C)cs2)c1 ZINC000184347833 340492218 /nfs/dbraw/zinc/49/22/18/340492218.db2.gz FITQIFVBMXQTAO-CYBMUJFWSA-N 0 3 303.475 4.037 20 0 DIADHN C[C@@H](NCC1(Cc2ccccc2)CCCCC1)c1cnccn1 ZINC000185012027 340497257 /nfs/dbraw/zinc/49/72/57/340497257.db2.gz JPVWDSJNJAJCMV-QGZVFWFLSA-N 0 3 309.457 4.320 20 0 DIADHN C[C@@H](NCCc1ccccc1F)c1ccccc1OC(F)F ZINC000219837938 340510358 /nfs/dbraw/zinc/51/03/58/340510358.db2.gz KZSANNUZQNDMRD-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(Cl)cc1 ZINC000219861466 340510686 /nfs/dbraw/zinc/51/06/86/340510686.db2.gz QBDMHRUYTCBVKT-PBHICJAKSA-N 0 3 318.848 4.421 20 0 DIADHN CC(C)OC1CC(N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000186559948 340514180 /nfs/dbraw/zinc/51/41/80/340514180.db2.gz UZWQYIZVHUWYNA-JEYLPNPQSA-N 0 3 316.470 4.417 20 0 DIADHN CC(C)CC[C@@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000186648598 340514992 /nfs/dbraw/zinc/51/49/92/340514992.db2.gz YARHOJSAUGOFOR-KDOFPFPSSA-N 0 3 303.425 4.063 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000187100000 340517755 /nfs/dbraw/zinc/51/77/55/340517755.db2.gz ILRLKKUVMREXDD-INIZCTEOSA-N 0 3 320.440 4.071 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)OC)c1)c1ccc(Cl)s1 ZINC000572525740 340530266 /nfs/dbraw/zinc/53/02/66/340530266.db2.gz MKQVLHQSWJKIOI-ZDUSSCGKSA-N 0 3 323.845 4.429 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2cccc(F)c2)c(Cl)c1 ZINC000521814736 340532948 /nfs/dbraw/zinc/53/29/48/340532948.db2.gz WIDKFPUEGDOVOT-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc([C@@H](NCC2=Cc3ccccc3OC2)C(C)C)cc1 ZINC000521821890 340533900 /nfs/dbraw/zinc/53/39/00/340533900.db2.gz CYISXEDTUJWJFL-NRFANRHFSA-N 0 3 323.436 4.458 20 0 DIADHN CCSc1cc(CNCc2ccc(OC(C)C)cc2)ccn1 ZINC000189592415 340538208 /nfs/dbraw/zinc/53/82/08/340538208.db2.gz OZFQDYKIXYLPTL-UHFFFAOYSA-N 0 3 316.470 4.271 20 0 DIADHN CC(C)COC[C@@H](N[C@H](C)CCc1ccco1)c1ccco1 ZINC000152588545 340543704 /nfs/dbraw/zinc/54/37/04/340543704.db2.gz XCRLRDKXIOYGEQ-NVXWUHKLSA-N 0 3 305.418 4.197 20 0 DIADHN C[C@@H](N[C@@H](CO)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000223643138 340546935 /nfs/dbraw/zinc/54/69/35/340546935.db2.gz DJVCTFJXIUZROW-TZMCWYRMSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@H]2CCCC2(C)C)c(Cl)c1 ZINC000191305830 340552628 /nfs/dbraw/zinc/55/26/28/340552628.db2.gz BGMLXMHDPZAKJF-AWEZNQCLSA-N 0 3 308.853 4.064 20 0 DIADHN Cc1nn(C)c(C)c1C[C@H](C)N[C@H](C)c1csc(Cl)c1 ZINC000191310753 340552668 /nfs/dbraw/zinc/55/26/68/340552668.db2.gz WWAUDVVSNDBOLB-VHSXEESVSA-N 0 3 311.882 4.034 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C(C)C)c2C)C12CCCC2 ZINC000191321059 340552735 /nfs/dbraw/zinc/55/27/35/340552735.db2.gz QXIYRBKMUKESMT-BMGDILEWSA-N 0 3 319.493 4.161 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)COCc2ccccc2)cnn1C(C)C ZINC000191316759 340552861 /nfs/dbraw/zinc/55/28/61/340552861.db2.gz WSBDUHDXOAMAPD-HZPDHXFCSA-N 0 3 315.461 4.028 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc2ncccc2c1 ZINC000192975172 340571162 /nfs/dbraw/zinc/57/11/62/340571162.db2.gz NWSMQVZQBZECLW-UHFFFAOYSA-N 0 3 307.441 4.372 20 0 DIADHN Fc1cc(CNCc2cc(Cl)cs2)ccc1-n1ccnc1 ZINC000193043945 340571861 /nfs/dbraw/zinc/57/18/61/340571861.db2.gz KBDYSCPRQPUFRF-UHFFFAOYSA-N 0 3 321.808 4.016 20 0 DIADHN c1coc(-c2ncc(CNCCc3cc4ccccc4[nH]3)s2)c1 ZINC000193172207 340573337 /nfs/dbraw/zinc/57/33/37/340573337.db2.gz LFYMZTYNIXVJCE-UHFFFAOYSA-N 0 3 323.421 4.217 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2csc(-c3ccccn3)n2)[C@@H](C)C1 ZINC000226158601 340579172 /nfs/dbraw/zinc/57/91/72/340579172.db2.gz DBRLBQZVKSXBLU-VNHYZAJKSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1nc(-c2cccs2)no1 ZINC000227063539 340594731 /nfs/dbraw/zinc/59/47/31/340594731.db2.gz OEWZAYZVCQTTKP-MROQNXINSA-N 0 3 305.447 4.273 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2ccccc2Br)C1 ZINC000227376208 340598452 /nfs/dbraw/zinc/59/84/52/340598452.db2.gz WKTBGKGWQJEKKZ-STQMWFEESA-N 0 3 314.292 4.213 20 0 DIADHN CCOc1ccc(CCC2CCN(Cc3cccnc3)CC2)cc1 ZINC000522538209 340603559 /nfs/dbraw/zinc/60/35/59/340603559.db2.gz ZZUDGSDYAGWRCJ-UHFFFAOYSA-N 0 3 324.468 4.325 20 0 DIADHN CSCCCCCNCc1sccc1Br ZINC000229516480 340614092 /nfs/dbraw/zinc/61/40/92/340614092.db2.gz AKBBVCDUNREFDO-UHFFFAOYSA-N 0 3 308.310 4.134 20 0 DIADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1cccc(Cl)c1Cl ZINC000229576938 340614318 /nfs/dbraw/zinc/61/43/18/340614318.db2.gz UVGLZKROXKZLHE-GWCFXTLKSA-N 0 3 304.261 4.441 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H](C)c1csc(Cl)c1 ZINC000230407474 340619905 /nfs/dbraw/zinc/61/99/05/340619905.db2.gz WFXSAHVKQKYXCJ-NSHDSACASA-N 0 3 319.861 4.410 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)N(C)C)c1csc(Cl)c1 ZINC000230407069 340620025 /nfs/dbraw/zinc/62/00/25/340620025.db2.gz WAKDUXVRUDDMOY-SWLSCSKDSA-N 0 3 308.878 4.355 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2sccc2Br)C1 ZINC000231368579 340622243 /nfs/dbraw/zinc/62/22/43/340622243.db2.gz PQSLZIWTTRDRCM-VHSXEESVSA-N 0 3 320.321 4.274 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2sccc2Br)C1 ZINC000231368613 340622356 /nfs/dbraw/zinc/62/23/56/340622356.db2.gz PQSLZIWTTRDRCM-UWVGGRQHSA-N 0 3 320.321 4.274 20 0 DIADHN CC[C@H](NCCOCC(F)F)c1ccc(Cl)c(Cl)c1 ZINC000231689146 340625194 /nfs/dbraw/zinc/62/51/94/340625194.db2.gz NWWZIBCDMNUVGR-LBPRGKRZSA-N 0 3 312.187 4.316 20 0 DIADHN CCOC[C@H](N[C@H](C)c1ccccc1Br)C(C)C ZINC000232350452 340631589 /nfs/dbraw/zinc/63/15/89/340631589.db2.gz DLKDQPLWQHMKIC-DOMZBBRYSA-N 0 3 314.267 4.161 20 0 DIADHN C[C@@H](CC(=O)NC[C@H](c1ccccc1)N(C)C)C1CCCCC1 ZINC000303136649 340633236 /nfs/dbraw/zinc/63/32/36/340633236.db2.gz QIJJEGGPLCURRW-QFBILLFUSA-N 0 3 316.489 4.012 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(F)c(CN(C)C)c1 ZINC000523733336 340636617 /nfs/dbraw/zinc/63/66/17/340636617.db2.gz WPVBBTWJKXJJNQ-WJDWOHSUSA-N 0 3 312.432 4.080 20 0 DIADHN Cc1ccc(-c2nc(CN3CCc4ccccc4CC3)co2)cc1 ZINC000523860350 340642870 /nfs/dbraw/zinc/64/28/70/340642870.db2.gz KAFYTCQCOKJGFJ-UHFFFAOYSA-N 0 3 318.420 4.251 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C[C@@H](C)CC(C)C)c1ccsc1 ZINC000524312366 340652256 /nfs/dbraw/zinc/65/22/56/340652256.db2.gz MSURHELNHYJKDW-DOTOQJQBSA-N 0 3 324.534 4.320 20 0 DIADHN CC(C)(CNCc1ccco1)c1ccc(Br)cc1 ZINC000236740653 340664382 /nfs/dbraw/zinc/66/43/82/340664382.db2.gz SAWNNAVMVAECDT-UHFFFAOYSA-N 0 3 308.219 4.110 20 0 DIADHN c1ccc(CN2CCC(Nc3cccc4ncccc43)CC2)cc1 ZINC000236741434 340664402 /nfs/dbraw/zinc/66/44/02/340664402.db2.gz GFPUDMDBFPNDDB-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN CC(C)[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccccc1 ZINC000236746630 340664624 /nfs/dbraw/zinc/66/46/24/340664624.db2.gz VSRVESQYAVDFLK-LJQANCHMSA-N 0 3 306.409 4.427 20 0 DIADHN CC[C@@H](C)[C@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236869417 340665898 /nfs/dbraw/zinc/66/58/98/340665898.db2.gz OJLUFBUEIBNUKQ-MLGOLLRUSA-N 0 3 307.821 4.097 20 0 DIADHN CNCc1ccccc1NC(=O)[C@@H]1C[C@H]1c1ccc(C(C)C)cc1 ZINC000237149042 340668286 /nfs/dbraw/zinc/66/82/86/340668286.db2.gz MBZDKCSHYYDIRV-RBUKOAKNSA-N 0 3 322.452 4.272 20 0 DIADHN COC[C@@H](C)N[C@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000237169559 340668548 /nfs/dbraw/zinc/66/85/48/340668548.db2.gz FGGICARBGYQFGY-HUUCEWRRSA-N 0 3 317.404 4.090 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCCc3ccccc32)c1 ZINC000237361782 340670448 /nfs/dbraw/zinc/67/04/48/340670448.db2.gz ITUYBQDZSSIEJU-JLTOFOAXSA-N 0 3 308.425 4.373 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccccc2C(F)(F)F)C12CCC2 ZINC000316011654 340677733 /nfs/dbraw/zinc/67/77/33/340677733.db2.gz ZFAVVIHTWYGAFU-LSDHHAIUSA-N 0 3 313.363 4.143 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@H](C)C[C@H](C)O)o2)cc1 ZINC000524773342 340679971 /nfs/dbraw/zinc/67/99/71/340679971.db2.gz IXEBWEXSRSBSTH-CABCVRRESA-N 0 3 301.430 4.006 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2c(F)cccc2Cl)s1 ZINC000525181215 340693872 /nfs/dbraw/zinc/69/38/72/340693872.db2.gz JVDQFNZGISEWJT-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN CC(C)n1cncc1CN[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000316812381 340696392 /nfs/dbraw/zinc/69/63/92/340696392.db2.gz OKYDFNUWEZTGCF-KRWDZBQOSA-N 0 3 303.425 4.480 20 0 DIADHN Fc1ccc(F)c(NC2CCN(CCc3ccccc3)CC2)c1 ZINC000393571498 340704752 /nfs/dbraw/zinc/70/47/52/340704752.db2.gz WIXUJHNSMNOIQP-UHFFFAOYSA-N 0 3 316.395 4.084 20 0 DIADHN CCc1cccc2c(C3CCN(CC4(F)CC4)CC3)c[nH]c21 ZINC000525977295 340705441 /nfs/dbraw/zinc/70/54/41/340705441.db2.gz ALPCALWOKGWTPH-UHFFFAOYSA-N 0 3 300.421 4.412 20 0 DIADHN CCC1(CN[C@H](C)c2cc(F)c(Cl)cc2Cl)COC1 ZINC000393957692 340706377 /nfs/dbraw/zinc/70/63/77/340706377.db2.gz JAYHYFDTZJWLJZ-SECBINFHSA-N 0 3 306.208 4.210 20 0 DIADHN Cc1cccc(C)c1NC(=O)CNC[C@@H](c1ccccc1)C(C)C ZINC000525991364 340707795 /nfs/dbraw/zinc/70/77/95/340707795.db2.gz XCGIZVKULIVLRK-LJQANCHMSA-N 0 3 324.468 4.271 20 0 DIADHN CC(C)(C)[C@H](NCc1cc(CO)ccc1F)c1ccccc1 ZINC000528410603 340717262 /nfs/dbraw/zinc/71/72/62/340717262.db2.gz NMRFAPNJNWPTGA-GOSISDBHSA-N 0 3 301.405 4.195 20 0 DIADHN OCc1ccc(F)c(CN[C@H](c2ccccc2)C2CCCC2)c1 ZINC000528421036 340717807 /nfs/dbraw/zinc/71/78/07/340717807.db2.gz YTUJCJMRGJTPIY-HXUWFJFHSA-N 0 3 313.416 4.339 20 0 DIADHN C[C@H](Cn1cccn1)N[C@H](C)c1ccc(-c2cccs2)cc1 ZINC000537917306 340723336 /nfs/dbraw/zinc/72/33/36/340723336.db2.gz MYUUVPKJPHNRPD-HUUCEWRRSA-N 0 3 311.454 4.351 20 0 DIADHN COCCC1CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC000528573696 340724521 /nfs/dbraw/zinc/72/45/21/340724521.db2.gz MHJMBLKILIONNU-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN CC(C)(NCCc1cccs1)c1nc2ccccc2s1 ZINC000528613225 340726212 /nfs/dbraw/zinc/72/62/12/340726212.db2.gz NGLHLJUZIMJTNO-UHFFFAOYSA-N 0 3 302.468 4.425 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)Cc2cccs2)c(OC)c1 ZINC000036992858 340729612 /nfs/dbraw/zinc/72/96/12/340729612.db2.gz UJXFMPIEAIAORA-STQMWFEESA-N 0 3 305.443 4.047 20 0 DIADHN Cc1ccccc1C[C@@H](C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000528783801 340734274 /nfs/dbraw/zinc/73/42/74/340734274.db2.gz NNHFCHDXHSFCMH-QGZVFWFLSA-N 0 3 324.468 4.182 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1Cl)c1ncccc1F ZINC000528822450 340735906 /nfs/dbraw/zinc/73/59/06/340735906.db2.gz FOWIDUWSSOZAGU-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)c1ccccn1)C1CC(F)(F)C1 ZINC000529536094 340760402 /nfs/dbraw/zinc/76/04/02/340760402.db2.gz JMBCVCUNSTULST-DYVFJYSZSA-N 0 3 302.368 4.194 20 0 DIADHN C[C@H](NCc1cccc(Br)c1)c1cccc(O)c1 ZINC000037150891 340765258 /nfs/dbraw/zinc/76/52/58/340765258.db2.gz QYEDEGCKGBMMDT-NSHDSACASA-N 0 3 306.203 4.006 20 0 DIADHN C[C@H](NCc1ccccc1)c1ccc(F)c(Br)c1 ZINC000037187732 340770759 /nfs/dbraw/zinc/77/07/59/340770759.db2.gz QKQJASFBVALYAW-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN C[C@H](NCCc1ccco1)c1ccc(F)c(Br)c1 ZINC000037259113 340780897 /nfs/dbraw/zinc/78/08/97/340780897.db2.gz CFEZATJGSJSGIX-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN CCc1ncc(CNCCc2ccc(Cl)cc2Cl)s1 ZINC000037923747 340788186 /nfs/dbraw/zinc/78/81/86/340788186.db2.gz XIYISQRJRGXVFE-UHFFFAOYSA-N 0 3 315.269 4.345 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CN(C)Cc3ccccc31)CCC2 ZINC000537947295 340792634 /nfs/dbraw/zinc/79/26/34/340792634.db2.gz PTBHZDVOOHFCQS-SFTDATJTSA-N 0 3 306.453 4.149 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1cnc2ccsc2c1 ZINC000537971203 340794477 /nfs/dbraw/zinc/79/44/77/340794477.db2.gz CVCVNTMZPITHTD-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN CCc1nc2c(s1)[C@H](NCCOC1CCCCC1)CCC2 ZINC000537971919 340794484 /nfs/dbraw/zinc/79/44/84/340794484.db2.gz MRKNUCPQIXARMF-CQSZACIVSA-N 0 3 308.491 4.022 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCCOC1CCCCC1)CCC2 ZINC000537971918 340794549 /nfs/dbraw/zinc/79/45/49/340794549.db2.gz MRKNUCPQIXARMF-AWEZNQCLSA-N 0 3 308.491 4.022 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1nnn(-c2ccccc2)c1C ZINC000537983564 340795470 /nfs/dbraw/zinc/79/54/70/340795470.db2.gz PQOVXCWVEXDGIC-SOUVJXGZSA-N 0 3 300.450 4.051 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cccc(OC(F)F)c1 ZINC000538003549 340806843 /nfs/dbraw/zinc/80/68/43/340806843.db2.gz ZXBJBFHFYLMAAW-GFCCVEGCSA-N 0 3 306.356 4.096 20 0 DIADHN C[C@H](NCC[S@](=O)c1ccccc1)c1cccc2ccccc21 ZINC000538140226 340811153 /nfs/dbraw/zinc/81/11/53/340811153.db2.gz FJFJTFUBULTAEO-HJPURHCSSA-N 0 3 323.461 4.298 20 0 DIADHN CC(C)(C)[C@@H](CC1CCCCC1)NCc1cn2cccnc2n1 ZINC000538392207 340820238 /nfs/dbraw/zinc/82/02/38/340820238.db2.gz ADFMYTVRFGVMIZ-QGZVFWFLSA-N 0 3 314.477 4.204 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CC=CCC2)c(Br)c1 ZINC000538406679 340821695 /nfs/dbraw/zinc/82/16/95/340821695.db2.gz PIIURAZSNIBZAP-NEPJUHHUSA-N 0 3 310.235 4.217 20 0 DIADHN COc1cccc2cc([C@H](C)NCC(C)(C)[C@H](O)C(C)C)oc21 ZINC000538406846 340821699 /nfs/dbraw/zinc/82/16/99/340821699.db2.gz WDNPIILZNQYBEE-SCLBCKFNSA-N 0 3 319.445 4.135 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCC1([C@@H](O)C(C)C)CC1 ZINC000538409584 340821867 /nfs/dbraw/zinc/82/18/67/340821867.db2.gz RFCRRRYGSDKOPS-KDOFPFPSSA-N 0 3 301.430 4.189 20 0 DIADHN Cc1ccsc1[C@@H](C)NC1(c2ccc3c(c2)OCO3)CC1 ZINC000538550828 340832614 /nfs/dbraw/zinc/83/26/14/340832614.db2.gz IHPXFODZRVHJML-GFCCVEGCSA-N 0 3 301.411 4.125 20 0 DIADHN COCC1(N[C@H](C)c2sc(-c3ccc(C)cc3)nc2C)CC1 ZINC000538551950 340832621 /nfs/dbraw/zinc/83/26/21/340832621.db2.gz LFZZKDAQAGYXBG-CQSZACIVSA-N 0 3 316.470 4.257 20 0 DIADHN CC[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cccs1 ZINC000538551801 340832651 /nfs/dbraw/zinc/83/26/51/340832651.db2.gz SRAJSMJOURNGEA-ZDUSSCGKSA-N 0 3 301.411 4.207 20 0 DIADHN CC[C@@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@@H]1CCOC1 ZINC000538589603 340834435 /nfs/dbraw/zinc/83/44/35/340834435.db2.gz NNOYLSXWNFEDJK-BXUZGUMPSA-N 0 3 314.256 4.387 20 0 DIADHN CC[C@H](N[C@@H]1CCOC2(CCCC2)C1)c1cc(F)ccc1F ZINC000127282938 340848322 /nfs/dbraw/zinc/84/83/22/340848322.db2.gz OYLNCXKSVNCLRJ-PBHICJAKSA-N 0 3 309.400 4.497 20 0 DIADHN Cc1ccc(F)c(CN2CCC(OCC3CCCC3)CC2)c1 ZINC000538762744 340849402 /nfs/dbraw/zinc/84/94/02/340849402.db2.gz HGLJTMIXGPTIII-UHFFFAOYSA-N 0 3 305.437 4.305 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc2ncccc2c1 ZINC000538876406 340860177 /nfs/dbraw/zinc/86/01/77/340860177.db2.gz FQBNGKNOKYESNY-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN COc1cccc([C@@H](C)NCCOCc2ccc(Cl)cc2)c1 ZINC000539039967 340866068 /nfs/dbraw/zinc/86/60/68/340866068.db2.gz LOQSAZPCSVVMOA-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCC(=O)N2 ZINC000539041102 340866360 /nfs/dbraw/zinc/86/63/60/340866360.db2.gz KUTNVXOKVUZROV-BUXKBTBVSA-N 0 3 324.399 4.049 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCN(C)[C@@H]2c2ccc(Cl)cc2)o1 ZINC000539046212 340867086 /nfs/dbraw/zinc/86/70/86/340867086.db2.gz IHWOEFZOGGSJST-FDQGKXFDSA-N 0 3 318.848 4.337 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)C(C)C)c2ccccc2OC)cc1 ZINC000539048213 340867338 /nfs/dbraw/zinc/86/73/38/340867338.db2.gz XZJLDBGRJKDLLR-FOIQADDNSA-N 0 3 313.441 4.427 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H]2CCc3c2cccc3Cl)C1 ZINC000539055349 340868529 /nfs/dbraw/zinc/86/85/29/340868529.db2.gz YDZHSVYTMOBSSS-RBUKOAKNSA-N 0 3 312.844 4.104 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1C[C@H](C)c2c1cccc2C ZINC000539056087 340868574 /nfs/dbraw/zinc/86/85/74/340868574.db2.gz VEWZDOGJWZJORZ-IMJJTQAJSA-N 0 3 301.455 4.236 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)c(C)c1 ZINC000539062568 340869499 /nfs/dbraw/zinc/86/94/99/340869499.db2.gz WFQTZJGCFVFBON-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1cccc(Cl)c1Cl ZINC000539062734 340869555 /nfs/dbraw/zinc/86/95/55/340869555.db2.gz BOIYFLMUAAQHJB-LLVKDONJSA-N 0 3 302.245 4.197 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](C)c2ccc(OC)cc2F)c1 ZINC000539079801 340871291 /nfs/dbraw/zinc/87/12/91/340871291.db2.gz IWDLDWGQLPJKKU-UONOGXRCSA-N 0 3 317.404 4.125 20 0 DIADHN COc1cccc(OCCCN[C@@H](C)c2ccc(F)c(F)c2)c1 ZINC000539088235 340872266 /nfs/dbraw/zinc/87/22/66/340872266.db2.gz PEJIDIVPNDFAPA-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)[C@H](C)OC)ccc1OCCC(C)C ZINC000539090677 340872572 /nfs/dbraw/zinc/87/25/72/340872572.db2.gz BBKARIDNMGWTBF-JYJNAYRXSA-N 0 3 323.477 4.194 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000539091402 340872604 /nfs/dbraw/zinc/87/26/04/340872604.db2.gz XVPGDQWCIGRTSY-AYOQOUSVSA-N 0 3 308.425 4.145 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3c(s2)CCC3)cc1F ZINC000539093878 340872976 /nfs/dbraw/zinc/87/29/76/340872976.db2.gz OVMBQLFWBLUFBQ-LLVKDONJSA-N 0 3 305.418 4.235 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3c(s2)CCC3)cc1F ZINC000539093880 340872978 /nfs/dbraw/zinc/87/29/78/340872978.db2.gz OVMBQLFWBLUFBQ-NSHDSACASA-N 0 3 305.418 4.235 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000539122959 340874362 /nfs/dbraw/zinc/87/43/62/340874362.db2.gz YEFXZLSJFYREFK-UKRRQHHQSA-N 0 3 307.434 4.197 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)Oc1ccccc1C ZINC000539124247 340874511 /nfs/dbraw/zinc/87/45/11/340874511.db2.gz MXJPJRPTPUOQCE-FUHWJXTLSA-N 0 3 315.461 4.281 20 0 DIADHN C[C@@H](NCC1(c2ccccc2)CCC1)c1nc2ccccc2n1C ZINC000539160385 340875073 /nfs/dbraw/zinc/87/50/73/340875073.db2.gz GGDMKGWJMVGZAJ-MRXNPFEDSA-N 0 3 319.452 4.346 20 0 DIADHN Cc1ccc(OCCCN[C@H](C)c2nc3ccccc3n2C)cc1 ZINC000539202223 340876146 /nfs/dbraw/zinc/87/61/46/340876146.db2.gz JLGSGXONLJWEEE-MRXNPFEDSA-N 0 3 323.440 4.001 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000539217007 340876900 /nfs/dbraw/zinc/87/69/00/340876900.db2.gz ZLZIBMWDCYAYSV-FQEVSTJZSA-N 0 3 308.425 4.276 20 0 DIADHN COC(=O)c1cc2cc(CN[C@H](C)c3ccc(C)cc3)ccc2o1 ZINC000539232520 340877705 /nfs/dbraw/zinc/87/77/05/340877705.db2.gz GZLWTWQCTHEMLR-CQSZACIVSA-N 0 3 323.392 4.379 20 0 DIADHN CCCn1c(C)nnc1CN[C@H](CC1CCCCC1)C(C)(C)C ZINC000539492509 340886914 /nfs/dbraw/zinc/88/69/14/340886914.db2.gz YZQVZKBBPNBANR-QGZVFWFLSA-N 0 3 320.525 4.471 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2Cl)o1)C(C)(C)C ZINC000539494162 340887089 /nfs/dbraw/zinc/88/70/89/340887089.db2.gz YXSFBWHGEHSKHK-CYBMUJFWSA-N 0 3 307.825 4.304 20 0 DIADHN Clc1cc(CN[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)c(Cl)s1 ZINC000539495540 340887312 /nfs/dbraw/zinc/88/73/12/340887312.db2.gz XBGDYENKDDFTNY-JLLWLGSASA-N 0 3 318.269 4.102 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H](O)C3CCCCC3)oc21 ZINC000539495280 340887391 /nfs/dbraw/zinc/88/73/91/340887391.db2.gz KQABHERHGRWUKN-BBRMVZONSA-N 0 3 317.429 4.033 20 0 DIADHN Cn1ccnc1CN[C@H]1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000539497328 340887782 /nfs/dbraw/zinc/88/77/82/340887782.db2.gz DCQLKKSNRLEXEF-WFASDCNBSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC2C[C@H](C)O[C@@H](C)C2)o1 ZINC000128499119 340890963 /nfs/dbraw/zinc/89/09/63/340890963.db2.gz RVXRPGARRFFKIW-STQMWFEESA-N 0 3 317.404 4.440 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc(SC)cc1 ZINC000539587779 340891394 /nfs/dbraw/zinc/89/13/94/340891394.db2.gz QSOYDUIGYOZQBM-SCLBCKFNSA-N 0 3 319.445 4.413 20 0 DIADHN CC[C@H](NCCOC(C)(C)C)c1ccc(OC(F)F)cc1 ZINC000539625689 340893285 /nfs/dbraw/zinc/89/32/85/340893285.db2.gz RTGLIAPPNDNKJT-AWEZNQCLSA-N 0 3 301.377 4.144 20 0 DIADHN COCC1(N[C@@H](C)c2cccc(OCc3ccccc3)c2)CC1 ZINC000539650787 340894293 /nfs/dbraw/zinc/89/42/93/340894293.db2.gz FKBCXYSHGWKWEX-INIZCTEOSA-N 0 3 311.425 4.095 20 0 DIADHN CCC1(CO)CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC000539817800 340901717 /nfs/dbraw/zinc/90/17/17/340901717.db2.gz AVTPVTBOCDCLKM-UHFFFAOYSA-N 0 3 317.404 4.070 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)CCc1ccccc1 ZINC000128705670 340908867 /nfs/dbraw/zinc/90/88/67/340908867.db2.gz MKFMVZKSELIICC-UHFFFAOYSA-N 0 3 322.452 4.162 20 0 DIADHN COc1ccc2cc(CNCc3ccnc(SC)c3)ccc2c1 ZINC000540066998 340914016 /nfs/dbraw/zinc/91/40/16/340914016.db2.gz XVCKRBTYQACJNG-UHFFFAOYSA-N 0 3 324.449 4.255 20 0 DIADHN COc1ccccc1[C@H](C)NCCOCc1ccc(Cl)cc1 ZINC000540143458 340916763 /nfs/dbraw/zinc/91/67/63/340916763.db2.gz BQBMOPANCXXIDV-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CCOC[C@@H](C)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000540144839 340916773 /nfs/dbraw/zinc/91/67/73/340916773.db2.gz LUNXSPXUXFUQNA-RDTXWAMCSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](CO)c1ccc(C(F)(F)F)cc1 ZINC000540146904 340917116 /nfs/dbraw/zinc/91/71/16/340917116.db2.gz BYPMDYDXXWMBFL-CXAGYDPISA-N 0 3 323.358 4.398 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@H](CO)CC(C)(C)C)c(C)c2c1 ZINC000540147567 340917147 /nfs/dbraw/zinc/91/71/47/340917147.db2.gz AMHPQSCRWVMPAA-KGLIPLIRSA-N 0 3 319.445 4.198 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000540153256 340917875 /nfs/dbraw/zinc/91/78/75/340917875.db2.gz BPVOCUWSPASWMU-SUMWQHHRSA-N 0 3 323.358 4.318 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2N[C@H]1CN(C)Cc2ccccc21 ZINC000540153158 340917891 /nfs/dbraw/zinc/91/78/91/340917891.db2.gz ISKCGAXYFBXKEQ-YSGRDPCXSA-N 0 3 306.453 4.320 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3cc(OC)ccc32)c(C)c1 ZINC000540160116 340918858 /nfs/dbraw/zinc/91/88/58/340918858.db2.gz JENGDTQEBUYLNS-FQEVSTJZSA-N 0 3 311.425 4.179 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@@H](C)COCC(F)(F)F ZINC000540171532 340920148 /nfs/dbraw/zinc/92/01/48/340920148.db2.gz XJOXUNIPTHHEDP-RYUDHWBXSA-N 0 3 321.408 4.417 20 0 DIADHN COc1ccccc1[C@H](NC[C@H]1CCCCO1)C1CCCC1 ZINC000540303455 340926018 /nfs/dbraw/zinc/92/60/18/340926018.db2.gz WDTFPAVZFQXCKG-VQIMIIECSA-N 0 3 303.446 4.085 20 0 DIADHN C[C@H](NCC1(c2cccc(F)c2)CCCCC1)c1nccn1C ZINC000540406121 340929903 /nfs/dbraw/zinc/92/99/03/340929903.db2.gz BPLFLHFNVHOVJL-HNNXBMFYSA-N 0 3 315.436 4.112 20 0 DIADHN FC(F)(F)c1cccc(C2(NC[C@@H]3CCCCO3)CCC2)c1 ZINC000540457567 340932036 /nfs/dbraw/zinc/93/20/36/340932036.db2.gz FEZHEFGEZPOAIX-HNNXBMFYSA-N 0 3 313.363 4.243 20 0 DIADHN Cc1cccc(C2(CN[C@@H](c3nccn3C)C3CC3)CCCC2)c1 ZINC000540461117 340932165 /nfs/dbraw/zinc/93/21/65/340932165.db2.gz VFHCQBYWAMARDI-LJQANCHMSA-N 0 3 323.484 4.281 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1C)C(C)(C)c1ccccc1 ZINC000540523499 340934848 /nfs/dbraw/zinc/93/48/48/340934848.db2.gz SBXNWIZZKKGNIO-IBGZPJMESA-N 0 3 324.468 4.280 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccc(OC)cc2)o1)C(C)(C)C ZINC000540588884 340936595 /nfs/dbraw/zinc/93/65/95/340936595.db2.gz GXWNADCPUUFHRV-INIZCTEOSA-N 0 3 302.418 4.265 20 0 DIADHN COc1ccc([C@@H](C)NC(C2CC2)C2CC2)c(Br)c1 ZINC000540591593 340937012 /nfs/dbraw/zinc/93/70/12/340937012.db2.gz KQGJKZCZOIXICA-SNVBAGLBSA-N 0 3 324.262 4.297 20 0 DIADHN CC[C@@](C)(NC[C@H](C)c1ccc(OC)cc1)c1nc(C)cs1 ZINC000540599002 340937933 /nfs/dbraw/zinc/93/79/33/340937933.db2.gz CPBCUFGROIZFFT-SCLBCKFNSA-N 0 3 318.486 4.479 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@H](CO)CCSC ZINC000540604852 340938064 /nfs/dbraw/zinc/93/80/64/340938064.db2.gz AJLJJLZIHKYLJU-KBPBESRZSA-N 0 3 321.486 4.068 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c1 ZINC000540749668 340944335 /nfs/dbraw/zinc/94/43/35/340944335.db2.gz MKPFTHMUOLOWNC-OAHLLOKOSA-N 0 3 309.409 4.372 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(Cn3cccn3)c2)cc1C ZINC000129417172 340959346 /nfs/dbraw/zinc/95/93/46/340959346.db2.gz JCAPTUWBQUJFGQ-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CN(c1ccccc1)C1CCN(CCCc2ccsc2)CC1 ZINC000541172591 340960604 /nfs/dbraw/zinc/96/06/04/340960604.db2.gz FGVGCDKUAIWSQX-UHFFFAOYSA-N 0 3 314.498 4.282 20 0 DIADHN FC(F)(F)CCN[C@H]1CCCc2c(Br)cccc21 ZINC000541258229 340964083 /nfs/dbraw/zinc/96/40/83/340964083.db2.gz OPHPDTSUOGCVQR-LBPRGKRZSA-N 0 3 322.168 4.369 20 0 DIADHN CC(C)COC[C@@H](N[C@H](C)CCC(F)(F)F)c1ccco1 ZINC000541540703 340973260 /nfs/dbraw/zinc/97/32/60/340973260.db2.gz NCFRPARNIDIAOT-CHWSQXEVSA-N 0 3 307.356 4.314 20 0 DIADHN c1coc([C@@H](NCC2Cc3ccccc3C2)c2ccccc2)c1 ZINC000541565901 340974900 /nfs/dbraw/zinc/97/49/00/340974900.db2.gz JJPVCKFNXBETQY-NRFANRHFSA-N 0 3 303.405 4.374 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2)c(C)s1 ZINC000541582555 340975831 /nfs/dbraw/zinc/97/58/31/340975831.db2.gz SNKIVSYHBDXEIU-ZIAGYGMSSA-N 0 3 316.470 4.351 20 0 DIADHN CC[C@@H](NCCCOc1ccc(Cl)cc1)c1nccs1 ZINC000541593773 340976743 /nfs/dbraw/zinc/97/67/43/340976743.db2.gz ZVUKNGSTIOMXKN-CQSZACIVSA-N 0 3 310.850 4.306 20 0 DIADHN CC(C)C[C@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1cccs1 ZINC000541627056 340978397 /nfs/dbraw/zinc/97/83/97/340978397.db2.gz RSBFMDCAFUZNQW-CVEARBPZSA-N 0 3 324.534 4.459 20 0 DIADHN CC(C)C[C@H](N[C@H](C)C(=O)N1CCCCCC1)c1cccs1 ZINC000541629592 340978535 /nfs/dbraw/zinc/97/85/35/340978535.db2.gz WDNSEVJJGWQNPB-CVEARBPZSA-N 0 3 322.518 4.216 20 0 DIADHN Cc1c([C@@H](C)NCc2c(C)cc(C(C)(C)C)cc2C)cnn1C ZINC000541657601 340979698 /nfs/dbraw/zinc/97/96/98/340979698.db2.gz HIJIOQNRHFLZRC-OAHLLOKOSA-N 0 3 313.489 4.494 20 0 DIADHN CCc1cnccc1[C@H](C)NC/C=C\c1ccc(F)cc1F ZINC000541775550 340983632 /nfs/dbraw/zinc/98/36/32/340983632.db2.gz VGFZQHDFWFWXPC-ZFDPJTLLSA-N 0 3 302.368 4.286 20 0 DIADHN CC(C)[C@H](NCCc1cscn1)c1ccc(Cl)cc1F ZINC000541916157 340989293 /nfs/dbraw/zinc/98/92/93/340989293.db2.gz CTGITSPTZHGGNT-HNNXBMFYSA-N 0 3 312.841 4.465 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2cc3c(s2)CCCC3)cnn1C ZINC000130061319 340995676 /nfs/dbraw/zinc/99/56/76/340995676.db2.gz AWUYVJNTWULDML-RYUDHWBXSA-N 0 3 303.475 4.081 20 0 DIADHN CCc1cnccc1[C@@H](C)NCCSc1ccc(F)cc1 ZINC000542123900 340996077 /nfs/dbraw/zinc/99/60/77/340996077.db2.gz ROFJTAWIZFLHTB-CYBMUJFWSA-N 0 3 304.434 4.226 20 0 DIADHN CCCc1occc1-c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000542136580 340996671 /nfs/dbraw/zinc/99/66/71/340996671.db2.gz NPSBEFYMYBMXPH-UHFFFAOYSA-N 0 3 311.385 4.011 20 0 DIADHN CC(C)=CCC[C@@H](C)Nc1cccc(CN2CCC(O)CC2)c1 ZINC000542151394 340997437 /nfs/dbraw/zinc/99/74/37/340997437.db2.gz UDEYROBSXNAVKB-QGZVFWFLSA-N 0 3 316.489 4.190 20 0 DIADHN COCC[C@H](NC1CC(c2cccc(F)c2)C1)c1ccc(C)o1 ZINC000542185144 340998767 /nfs/dbraw/zinc/99/87/67/340998767.db2.gz XECBGMHOXJHJPR-VJFUWPCTSA-N 0 3 317.404 4.341 20 0 DIADHN Cc1ccc([C@H](NCCCC(=O)OC(C)(C)C)C2CCC2)o1 ZINC000564253531 340999976 /nfs/dbraw/zinc/99/99/76/340999976.db2.gz TTYQPBHLVBXVDG-QGZVFWFLSA-N 0 3 307.434 4.141 20 0 DIADHN C[C@@H]1CCN(CCCc2ccccc2Cl)C[C@@H]1n1ccnc1 ZINC000542208779 341000232 /nfs/dbraw/zinc/00/02/32/341000232.db2.gz BLFRFDMFYFJEGO-QAPCUYQASA-N 0 3 317.864 4.052 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC[C@]3(CCCOC3)C1)CC2 ZINC000542282320 341003531 /nfs/dbraw/zinc/00/35/31/341003531.db2.gz QWVZULWAIVGLCS-ROUUACIJSA-N 0 3 305.849 4.220 20 0 DIADHN C[C@@]1(NCc2cccc(OC3CCCC3)c2)CCO[C@H]1C1CC1 ZINC000542314949 341004192 /nfs/dbraw/zinc/00/41/92/341004192.db2.gz PZSOEIZGMCCDSD-VQTJNVASSA-N 0 3 315.457 4.055 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2cc(Cl)ccc2Cl)CCO1 ZINC000542314115 341004239 /nfs/dbraw/zinc/00/42/39/341004239.db2.gz CLHUSGBOVKPNDD-NEPJUHHUSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@H](C(C)(C)C)C1 ZINC000542321878 341005111 /nfs/dbraw/zinc/00/51/11/341005111.db2.gz PXJNCJMEOAARHS-INIZCTEOSA-N 0 3 316.489 4.425 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(Br)cc1 ZINC000130197522 341005971 /nfs/dbraw/zinc/00/59/71/341005971.db2.gz IFRZIJSCPXSHSY-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN C[C@@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@@H]1c1ccccc1 ZINC000542467122 341008543 /nfs/dbraw/zinc/00/85/43/341008543.db2.gz WODHPMUUUUZWFO-KDOFPFPSSA-N 0 3 309.438 4.127 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@H]1CCCC[C@H]1C ZINC000542467910 341008748 /nfs/dbraw/zinc/00/87/48/341008748.db2.gz XSMOGXFESBNIJL-ZIAGYGMSSA-N 0 3 324.415 4.052 20 0 DIADHN COCCCCCN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000542476830 341009084 /nfs/dbraw/zinc/00/90/84/341009084.db2.gz DAJXEQCFDGLOGH-LJQANCHMSA-N 0 3 316.420 4.025 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCCCC1)c1nnc2n1CCCCC2 ZINC000542479884 341009122 /nfs/dbraw/zinc/00/91/22/341009122.db2.gz ZEMCZCXEUPYQGD-HUUCEWRRSA-N 0 3 304.482 4.014 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN[C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000542476824 341009166 /nfs/dbraw/zinc/00/91/66/341009166.db2.gz CXSVYCUFOHADKU-OGWOLHLISA-N 0 3 313.420 4.121 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000542483651 341009404 /nfs/dbraw/zinc/00/94/04/341009404.db2.gz SGDBSYWEFPJNSD-LSDHHAIUSA-N 0 3 317.404 4.381 20 0 DIADHN C[C@H](NC[C@H](O)CCc1ccccc1)c1ccc(Cl)c(F)c1 ZINC000542488181 341009903 /nfs/dbraw/zinc/00/99/03/341009903.db2.gz BGVGZIFDOVUQTP-XJKSGUPXSA-N 0 3 321.823 4.123 20 0 DIADHN CC(C)[C@H](NCCCNc1ccccc1F)c1ccc(F)cn1 ZINC000542488793 341010014 /nfs/dbraw/zinc/01/00/14/341010014.db2.gz XJGFHFOPSMPORW-SFHVURJKSA-N 0 3 319.399 4.149 20 0 DIADHN C[C@H](N[C@H]1CCc2c1ccc(Cl)c2Cl)[C@H]1CCCO1 ZINC000542494539 341010301 /nfs/dbraw/zinc/01/03/01/341010301.db2.gz OYUMMLZAVCXSAI-QCZZGDTMSA-N 0 3 300.229 4.138 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NC[C@@H](SC)C(C)(C)C)c1 ZINC000542497105 341010439 /nfs/dbraw/zinc/01/04/39/341010439.db2.gz XKIUPOVNOWTNCE-MLGOLLRUSA-N 0 3 311.491 4.132 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](SC)C(C)(C)C)c(OC)c1 ZINC000542498213 341010537 /nfs/dbraw/zinc/01/05/37/341010537.db2.gz HGMASAOUGIVVQO-LRDDRELGSA-N 0 3 311.491 4.132 20 0 DIADHN Oc1ccc(C2=CCN(CCCOCc3ccccc3)CC2)cc1 ZINC000542519584 341011705 /nfs/dbraw/zinc/01/17/05/341011705.db2.gz SSEZGOKLZUOCOM-UHFFFAOYSA-N 0 3 323.436 4.088 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000542629034 341015313 /nfs/dbraw/zinc/01/53/13/341015313.db2.gz WSOLWESFQUEJPQ-QGZVFWFLSA-N 0 3 311.429 4.424 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H]3CCC[C@H](C(F)(F)F)C3)nc21 ZINC000130310973 341015393 /nfs/dbraw/zinc/01/53/93/341015393.db2.gz BHGWYJJCCYSUSG-RYUDHWBXSA-N 0 3 311.351 4.082 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CCC[C@H](C(F)(F)F)C3)nc21 ZINC000130310749 341015493 /nfs/dbraw/zinc/01/54/93/341015493.db2.gz BHGWYJJCCYSUSG-NWDGAFQWSA-N 0 3 311.351 4.082 20 0 DIADHN COC1CCC(CCN[C@@H](C)c2nc3c(s2)CCCC3)CC1 ZINC000542666476 341016213 /nfs/dbraw/zinc/01/62/13/341016213.db2.gz ZVXOHXFUNRQKPT-NFOMZHRRSA-N 0 3 322.518 4.268 20 0 DIADHN c1ccc([C@H](N[C@@H]2C[C@H]2C2CCCCC2)c2cncnc2)cc1 ZINC000542668986 341016351 /nfs/dbraw/zinc/01/63/51/341016351.db2.gz WPVHQQMZCCBCTF-ZCNNSNEGSA-N 0 3 307.441 4.124 20 0 DIADHN CC[C@@H](NCC(C)(C)c1cccc(Cl)c1)c1ccn(C)n1 ZINC000542669098 341016573 /nfs/dbraw/zinc/01/65/73/341016573.db2.gz SZSAWEPLCPRYCH-OAHLLOKOSA-N 0 3 305.853 4.092 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](NCc3ccc(Cl)o3)CCO2)cc1 ZINC000542688521 341017219 /nfs/dbraw/zinc/01/72/19/341017219.db2.gz AKUHDIKHEZOVCQ-HIFRSBDPSA-N 0 3 309.768 4.082 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nnc2n1CCCCC2)CC1CCCCC1 ZINC000542702161 341017943 /nfs/dbraw/zinc/01/79/43/341017943.db2.gz VCDXNTCSMCQMAR-CVEARBPZSA-N 0 3 318.509 4.262 20 0 DIADHN COC(=O)c1coc(CN2CCC[C@@H]2c2cc(C)ccc2C)c1 ZINC000542702132 341018015 /nfs/dbraw/zinc/01/80/15/341018015.db2.gz CWDIRRPILQLBLE-GOSISDBHSA-N 0 3 313.397 4.020 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1F)[C@H](CCO)c1ccccc1 ZINC000542702869 341018243 /nfs/dbraw/zinc/01/82/43/341018243.db2.gz XNJQUJSEAWLPTM-MTCRFPMVSA-N 0 3 317.379 4.034 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3O)cc1Cl ZINC000130350995 341019297 /nfs/dbraw/zinc/01/92/97/341019297.db2.gz NBLHFWBMBIMGBN-BZNIZROVSA-N 0 3 317.816 4.392 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)OC1(C)C)c1cc(F)c(F)c(F)c1 ZINC000542776575 341020107 /nfs/dbraw/zinc/02/01/07/341020107.db2.gz ZIVWSEWKUVCDSK-NOZJJQNGSA-N 0 3 301.352 4.101 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)OC1(C)C)c1cc(F)c(F)c(F)c1 ZINC000542776576 341020109 /nfs/dbraw/zinc/02/01/09/341020109.db2.gz ZIVWSEWKUVCDSK-RNCFNFMXSA-N 0 3 301.352 4.101 20 0 DIADHN CC[C@@H]1C[C@@H](CNCc2c(Cl)cccc2Cl)CCO1 ZINC000542799688 341021000 /nfs/dbraw/zinc/02/10/00/341021000.db2.gz OVUJSELCNRFUHU-NWDGAFQWSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3coc(-c4ccc(F)cc4)n3)C2)C1 ZINC000542878175 341024742 /nfs/dbraw/zinc/02/47/42/341024742.db2.gz DUMBQFSPDDFOGM-LIRRHRJNSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3ncc(-c4ccccc4F)o3)C2)C1 ZINC000542884216 341025250 /nfs/dbraw/zinc/02/52/50/341025250.db2.gz UUGHQMMWTNHCMG-IFXJQAMLSA-N 0 3 314.404 4.493 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000130512526 341025288 /nfs/dbraw/zinc/02/52/88/341025288.db2.gz KARKFROAEXUWPQ-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN Brc1ccsc1CN1CCc2ccccc2CC1 ZINC000071202999 341025507 /nfs/dbraw/zinc/02/55/07/341025507.db2.gz OJFHUAGTNMHREF-UHFFFAOYSA-N 0 3 322.271 4.111 20 0 DIADHN CO[C@H](C)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000542930384 341026547 /nfs/dbraw/zinc/02/65/47/341026547.db2.gz ORKFRTUZKTXUBH-IUODEOHRSA-N 0 3 301.352 4.267 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000542946451 341027008 /nfs/dbraw/zinc/02/70/08/341027008.db2.gz NHJNTPFIXDRFAN-ZFWWWQNUSA-N 0 3 323.362 4.300 20 0 DIADHN CCOC(=O)CCCCCN(C)[C@@H](C)c1ccccc1Cl ZINC000071293424 341028339 /nfs/dbraw/zinc/02/83/39/341028339.db2.gz UXNZHFYURDDSOZ-AWEZNQCLSA-N 0 3 311.853 4.456 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc12)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000543015306 341028444 /nfs/dbraw/zinc/02/84/44/341028444.db2.gz WVGKOGXUBFGXPW-CKEIUWERSA-N 0 3 323.440 4.390 20 0 DIADHN C[C@@H]1c2ccccc2CN1CCC(=O)Nc1ccc(Cl)cc1 ZINC000543063105 341030084 /nfs/dbraw/zinc/03/00/84/341030084.db2.gz XQTPXLKKUDAJOC-CYBMUJFWSA-N 0 3 314.816 4.245 20 0 DIADHN CC(C)(C)Oc1cccc(CNC2CC(c3ccccc3)C2)n1 ZINC000543073998 341030667 /nfs/dbraw/zinc/03/06/67/341030667.db2.gz IHVOQARBQZIGOQ-UHFFFAOYSA-N 0 3 310.441 4.295 20 0 DIADHN Fc1cccc(C2CC(NCc3ccc4ccccc4n3)C2)c1 ZINC000543114874 341032528 /nfs/dbraw/zinc/03/25/28/341032528.db2.gz CEKLQNQVAQNELF-UHFFFAOYSA-N 0 3 306.384 4.410 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2C[C@H](C)CC(C)(C)C2)c(C)c1 ZINC000543172934 341035050 /nfs/dbraw/zinc/03/50/50/341035050.db2.gz XSNFXVVHQPDDFQ-RHSMWYFYSA-N 0 3 316.489 4.307 20 0 DIADHN CN(Cc1ncc(-c2cccc(F)c2)o1)C[C@@H]1CC=CCC1 ZINC000543174899 341035149 /nfs/dbraw/zinc/03/51/49/341035149.db2.gz DZTIWQAZQHUJQJ-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2C[C@@H](C)CC(C)(C)C2)c(C)c1 ZINC000543172936 341035160 /nfs/dbraw/zinc/03/51/60/341035160.db2.gz XSNFXVVHQPDDFQ-YOEHRIQHSA-N 0 3 316.489 4.307 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ccc(Cl)cc2Cl)CCO1 ZINC000543230050 341037289 /nfs/dbraw/zinc/03/72/89/341037289.db2.gz KGUDEHPRDPJERB-NEPJUHHUSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ccc(Cl)cc2Cl)CCO1 ZINC000543230052 341037295 /nfs/dbraw/zinc/03/72/95/341037295.db2.gz KGUDEHPRDPJERB-RYUDHWBXSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ccc(Cl)cc2Cl)CCO1 ZINC000543230051 341037299 /nfs/dbraw/zinc/03/72/99/341037299.db2.gz KGUDEHPRDPJERB-NWDGAFQWSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@@]1(NCc2ccc(Cl)cc2Cl)CCO[C@H]1C1CC1 ZINC000543241079 341037628 /nfs/dbraw/zinc/03/76/28/341037628.db2.gz CVUCWKRYUARQFD-LSDHHAIUSA-N 0 3 300.229 4.041 20 0 DIADHN Cc1ccc(CNCCn2c3ccccc3c3ccccc32)nc1 ZINC000543243477 341037687 /nfs/dbraw/zinc/03/76/87/341037687.db2.gz MOZFHNPITBVILI-UHFFFAOYSA-N 0 3 315.420 4.288 20 0 DIADHN Cc1ncsc1CNCCn1c2ccccc2c2ccccc21 ZINC000543243981 341037848 /nfs/dbraw/zinc/03/78/48/341037848.db2.gz PWTGJAOAIPEDCV-UHFFFAOYSA-N 0 3 321.449 4.349 20 0 DIADHN CCCOc1ccc(CN(C)C[C@@H](C)c2nccs2)cc1 ZINC000543393001 341041521 /nfs/dbraw/zinc/04/15/21/341041521.db2.gz NLXJFCYLKITCGH-CQSZACIVSA-N 0 3 304.459 4.167 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)c3ncccc3c2)cc1 ZINC000543394514 341041768 /nfs/dbraw/zinc/04/17/68/341041768.db2.gz QIBJZSNHOWWTJB-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Cc1cc(CN(C)CCOc2ccccc2)cc2cccnc12 ZINC000543395614 341042069 /nfs/dbraw/zinc/04/20/69/341042069.db2.gz LXVAOQCOAPUZKD-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN C[C@@H](NCC1(O)CCC1)c1ccc(Cl)c(Cl)c1Cl ZINC000130769213 341042314 /nfs/dbraw/zinc/04/23/14/341042314.db2.gz TYVQRVNLRWSRPG-MRVPVSSYSA-N 0 3 308.636 4.212 20 0 DIADHN COC[C@@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccc(C)o1 ZINC000543410142 341042705 /nfs/dbraw/zinc/04/27/05/341042705.db2.gz NJJGPTXXOGYASZ-BDVYOWHSSA-N 0 3 319.832 4.465 20 0 DIADHN COc1ccc([C@@H](C)NCCCNc2cccc(F)c2)c(F)c1 ZINC000543415650 341043163 /nfs/dbraw/zinc/04/31/63/341043163.db2.gz JBMZGXDJCGGTQK-CYBMUJFWSA-N 0 3 320.383 4.126 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1ccc(F)cc1OC(F)F ZINC000543425713 341043834 /nfs/dbraw/zinc/04/38/34/341043834.db2.gz DHPIGAOLRPYUGN-PWSUYJOCSA-N 0 3 319.392 4.363 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CCCN[C@@H](c1ccco1)c1ccccc1 ZINC000543425386 341043882 /nfs/dbraw/zinc/04/38/82/341043882.db2.gz WLUXBDAGNGEDPE-YVWKXTFCSA-N 0 3 313.441 4.290 20 0 DIADHN COC[C@@H](NC[C@@H](SC)C(C)(C)C)c1ccc(F)c(F)c1 ZINC000543427706 341044088 /nfs/dbraw/zinc/04/40/88/341044088.db2.gz DVMKAYHLCFDEJL-HUUCEWRRSA-N 0 3 317.445 4.020 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1sc2c(c1C)CCCC2 ZINC000543433629 341044319 /nfs/dbraw/zinc/04/43/19/341044319.db2.gz HUFYOZHCVMPKEB-GFCCVEGCSA-N 0 3 308.491 4.041 20 0 DIADHN COc1ncc(CN[C@H](c2cccs2)C2CC2)cc1Cl ZINC000543438562 341044516 /nfs/dbraw/zinc/04/45/16/341044516.db2.gz KDSAGMVHGJHSKX-AWEZNQCLSA-N 0 3 308.834 4.046 20 0 DIADHN Clc1ccccc1C1=CCN(CC[C@@H]2CCCCO2)CC1 ZINC000543439101 341044644 /nfs/dbraw/zinc/04/46/44/341044644.db2.gz SGFIXRINCQBLRK-INIZCTEOSA-N 0 3 305.849 4.388 20 0 DIADHN CC(C)(C)[C@@H](NCCO[C@H]1CCCCO1)c1ccc(F)cc1 ZINC000543444959 341044950 /nfs/dbraw/zinc/04/49/50/341044950.db2.gz MXOIRDBGYXXEAE-IRXDYDNUSA-N 0 3 309.425 4.046 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc(N3CCCC3)nc2)cc1 ZINC000130802593 341045796 /nfs/dbraw/zinc/04/57/96/341045796.db2.gz SSUFGCIKLNBYIR-QGZVFWFLSA-N 0 3 309.457 4.183 20 0 DIADHN CC(C)COC[C@H](NCCCc1ccccc1)c1ccco1 ZINC000543485483 341046964 /nfs/dbraw/zinc/04/69/64/341046964.db2.gz MMERIAFTYIEMFO-SFHVURJKSA-N 0 3 301.430 4.216 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CNC(C)(C)c1cccs1 ZINC000543506512 341047931 /nfs/dbraw/zinc/04/79/31/341047931.db2.gz VUXXATLOFJIOAH-UHFFFAOYSA-N 0 3 322.861 4.173 20 0 DIADHN OC[C@@H](N[C@@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000543592770 341049843 /nfs/dbraw/zinc/04/98/43/341049843.db2.gz BYQQSMRJBGKZQD-QZTJIDSGSA-N 0 3 301.817 4.041 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@@H](C)c1nc(C)cs1 ZINC000543599390 341050432 /nfs/dbraw/zinc/05/04/32/341050432.db2.gz WCMMSZINKKLGLS-OCCSQVGLSA-N 0 3 304.459 4.223 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@@H](CC)c1ccn(C)n1 ZINC000543601936 341050763 /nfs/dbraw/zinc/05/07/63/341050763.db2.gz JILCMRQVCKPCFM-HOTGVXAUSA-N 0 3 305.853 4.136 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](CC)c1ccn(C)n1 ZINC000543601934 341050779 /nfs/dbraw/zinc/05/07/79/341050779.db2.gz JILCMRQVCKPCFM-CVEARBPZSA-N 0 3 305.853 4.136 20 0 DIADHN C[C@H](CN(C)C/C=C\c1ccc(F)cc1F)c1nccs1 ZINC000543623878 341051592 /nfs/dbraw/zinc/05/15/92/341051592.db2.gz MBRWOUFXHWAXHR-VSQXVHSFSA-N 0 3 308.397 4.170 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccccc2)c(O[C@@H]2CCOC2)c1 ZINC000130934431 341054422 /nfs/dbraw/zinc/05/44/22/341054422.db2.gz UCYPUMGIWOELBD-QFBILLFUSA-N 0 3 311.425 4.014 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccccc2)c(O[C@@H]2CCOC2)c1 ZINC000130934849 341054528 /nfs/dbraw/zinc/05/45/28/341054528.db2.gz UCYPUMGIWOELBD-VQIMIIECSA-N 0 3 311.425 4.014 20 0 DIADHN C[C@@H](NCc1ccccc1)c1ccc(Br)c(F)c1 ZINC000130971900 341055275 /nfs/dbraw/zinc/05/52/75/341055275.db2.gz CGMJZVYVMVSNSW-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN CCCCCN(CC(=O)N(C)[C@H](C)c1ccccc1)C(C)C ZINC000071941627 341055333 /nfs/dbraw/zinc/05/53/33/341055333.db2.gz LVQMRBNUKIHXNY-QGZVFWFLSA-N 0 3 304.478 4.107 20 0 DIADHN CN(C)[C@@H](CNCc1c(F)cccc1Cl)c1ccc(F)cc1 ZINC000071947123 341055444 /nfs/dbraw/zinc/05/54/44/341055444.db2.gz YTSDPTDPISEDFQ-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@@H](O)[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000543748350 341056642 /nfs/dbraw/zinc/05/66/42/341056642.db2.gz VLZRBIAJZGDCDL-HIFRSBDPSA-N 0 3 319.832 4.193 20 0 DIADHN CCn1nc(C)c(CN[C@@H](c2ccc(F)c(F)c2)C(C)C)c1C ZINC000543774243 341057968 /nfs/dbraw/zinc/05/79/68/341057968.db2.gz NYZVTYCJFPHATD-GOSISDBHSA-N 0 3 321.415 4.285 20 0 DIADHN CC(C)[C@H](NCCc1ccccn1)c1ccc(Cl)cc1F ZINC000543774272 341058015 /nfs/dbraw/zinc/05/80/15/341058015.db2.gz DKEIBRIWOLSZGZ-KRWDZBQOSA-N 0 3 306.812 4.404 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2cccc(Br)c2)c1 ZINC000131089365 341059256 /nfs/dbraw/zinc/05/92/56/341059256.db2.gz RJEANMPTPICJMT-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C1CCCCCC1)c1ccccc1 ZINC000072077198 341061208 /nfs/dbraw/zinc/06/12/08/341061208.db2.gz IQLRJLWMQBZCSG-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C1CCCCCC1)c1ccccc1 ZINC000072077197 341061330 /nfs/dbraw/zinc/06/13/30/341061330.db2.gz IQLRJLWMQBZCSG-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN Cc1csc(C(C)(C)NC[C@@H]2CCc3ccccc3C2)n1 ZINC000543844687 341061444 /nfs/dbraw/zinc/06/14/44/341061444.db2.gz UHQQTWCDKSKIHG-CQSZACIVSA-N 0 3 300.471 4.081 20 0 DIADHN CC(C(=O)Nc1ncc(C2CCCCC2)s1)C(F)(F)F ZINC000543857683 341061891 /nfs/dbraw/zinc/06/18/91/341061891.db2.gz GKRLTDAVTBRSEG-QMMMGPOBSA-N 0 3 306.353 4.328 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3cncc(C)c3)ccc2c1 ZINC000131120226 341062086 /nfs/dbraw/zinc/06/20/86/341062086.db2.gz ZUBDNEADQDNPPV-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cc(Cl)cc3c2OCC3)CC1 ZINC000544006142 341065953 /nfs/dbraw/zinc/06/59/53/341065953.db2.gz CNGLIARLOOGMIR-KRWDZBQOSA-N 0 3 323.864 4.056 20 0 DIADHN COc1ccccc1[C@@H](N[C@H]1CCCSC1)c1ccccc1 ZINC000544035300 341067415 /nfs/dbraw/zinc/06/74/15/341067415.db2.gz DQDSXQAQLTZGKH-LPHOPBHVSA-N 0 3 313.466 4.270 20 0 DIADHN OCc1ccc(CN2CCCCC[C@H]2/C=C/c2cccs2)o1 ZINC000544032943 341067455 /nfs/dbraw/zinc/06/74/55/341067455.db2.gz VVPPJMNUCCPGKF-VSGCLNPGSA-N 0 3 317.454 4.291 20 0 DIADHN Cc1ncc([C@H](C)NCCc2ccc(Cl)cc2Cl)c(C)n1 ZINC000131243983 341068318 /nfs/dbraw/zinc/06/83/18/341068318.db2.gz UAISZSWBLPUHCE-JTQLQIEISA-N 0 3 324.255 4.294 20 0 DIADHN CN(Cc1nc2cc(Cl)ccc2n1C)C[C@@H]1CC=CCC1 ZINC000544107973 341070348 /nfs/dbraw/zinc/07/03/48/341070348.db2.gz OWMIRNQWFMVFRB-CYBMUJFWSA-N 0 3 303.837 4.015 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCCCC(=O)OC(C)(C)C)c1 ZINC000544124325 341071235 /nfs/dbraw/zinc/07/12/35/341071235.db2.gz USGNASFYTZZPAX-OAHLLOKOSA-N 0 3 321.461 4.246 20 0 DIADHN C[C@@H]1CN(CCCCC(=O)OC(C)(C)C)[C@@H]1c1ccccc1 ZINC000544142889 341071959 /nfs/dbraw/zinc/07/19/59/341071959.db2.gz DHNVPAHZBKLTQT-QAPCUYQASA-N 0 3 303.446 4.191 20 0 DIADHN CS[C@H](CNCc1c(C)nn(C(C)(C)C)c1C)C(C)(C)C ZINC000544175292 341072893 /nfs/dbraw/zinc/07/28/93/341072893.db2.gz ICTNSVFAGDMIQA-OAHLLOKOSA-N 0 3 311.539 4.122 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@@H](C(C)(C)C)C2)c(C)c1 ZINC000544187688 341073625 /nfs/dbraw/zinc/07/36/25/341073625.db2.gz FIOWYSAQOLXZJQ-IAGOWNOFSA-N 0 3 316.489 4.307 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(-c3ccccc3)o2)c1 ZINC000544198203 341074140 /nfs/dbraw/zinc/07/41/40/341074140.db2.gz PKARENKZDXFXHZ-UHFFFAOYSA-N 0 3 320.392 4.261 20 0 DIADHN Fc1ccc([C@@H](NCC(C2CC2)C2CC2)c2ccccc2)nc1 ZINC000544357262 341077791 /nfs/dbraw/zinc/07/77/91/341077791.db2.gz IGWYGTPSWCSLDY-FQEVSTJZSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2c(Cl)cccc2Cl)CCO1 ZINC000544365383 341078161 /nfs/dbraw/zinc/07/81/61/341078161.db2.gz IHXQPXURCFPALC-VXGBXAGGSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2c(Cl)cccc2Cl)CCO1 ZINC000544365380 341078211 /nfs/dbraw/zinc/07/82/11/341078211.db2.gz IHXQPXURCFPALC-NEPJUHHUSA-N 0 3 302.245 4.288 20 0 DIADHN COc1ccc2ccccc2c1CN(C)CCc1ccccc1O ZINC000544364871 341078271 /nfs/dbraw/zinc/07/82/71/341078271.db2.gz BMBPVMHWJNWXGO-UHFFFAOYSA-N 0 3 321.420 4.229 20 0 DIADHN C[C@H]1C[C@H](CCNCc2c(Cl)cccc2Cl)CCO1 ZINC000544365381 341078293 /nfs/dbraw/zinc/07/82/93/341078293.db2.gz IHXQPXURCFPALC-NWDGAFQWSA-N 0 3 302.245 4.288 20 0 DIADHN COc1cccc(CN(C)C[C@@H]2CC=CCC2)c1OC(F)F ZINC000544367412 341078382 /nfs/dbraw/zinc/07/83/82/341078382.db2.gz XLODPEBWFLMRMQ-CYBMUJFWSA-N 0 3 311.372 4.085 20 0 DIADHN Cc1nn2c(CN3CC[C@H](C(C)(C)C)C3)c(C(C)C)nc2s1 ZINC000544366652 341078417 /nfs/dbraw/zinc/07/84/17/341078417.db2.gz XXUVLMPJWCIZHJ-ZDUSSCGKSA-N 0 3 320.506 4.091 20 0 DIADHN C[C@@H](CCO)C1(CN[C@@H](c2ccco2)c2ccccc2)CCC1 ZINC000544379650 341079155 /nfs/dbraw/zinc/07/91/55/341079155.db2.gz JAHSULQOUQWVOD-QFBILLFUSA-N 0 3 313.441 4.147 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCC(F)(F)C2)c2cccnc2)cc1 ZINC000544380619 341079310 /nfs/dbraw/zinc/07/93/10/341079310.db2.gz QJYOLXUIFYJSBT-DLBZAZTESA-N 0 3 320.358 4.478 20 0 DIADHN Fc1ccc([C@@H](NCC23CCC(CC2)C3)c2cccnc2)cc1 ZINC000544380476 341079351 /nfs/dbraw/zinc/07/93/51/341079351.db2.gz LUEJPEUTPHBLGR-KHPNHKCMSA-N 0 3 310.416 4.480 20 0 DIADHN C[C@@H]1c2ccsc2CCN1CCCOCc1ccccc1 ZINC000544409555 341080886 /nfs/dbraw/zinc/08/08/86/341080886.db2.gz BBNLHGAAHJFTRM-OAHLLOKOSA-N 0 3 301.455 4.274 20 0 DIADHN CCCc1nc(CN[C@@H](Cc2ccccc2)C2CC2)cs1 ZINC000544433948 341082049 /nfs/dbraw/zinc/08/20/49/341082049.db2.gz VLQKPPHYPQFGKU-KRWDZBQOSA-N 0 3 300.471 4.207 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)NC(C)(C)c1cccs1 ZINC000544464149 341083262 /nfs/dbraw/zinc/08/32/62/341083262.db2.gz IIVUCMWBVMCTNM-ZDUSSCGKSA-N 0 3 316.470 4.162 20 0 DIADHN COCC[C@H](NCCCc1cccc(F)c1)c1ccc(C)o1 ZINC000544471135 341083671 /nfs/dbraw/zinc/08/36/71/341083671.db2.gz SVHSLUHJKONVPB-KRWDZBQOSA-N 0 3 305.393 4.027 20 0 DIADHN Cc1c(Cl)c(CN[C@H](C)[C@@H]2CCCOC2)nc2ccccc12 ZINC000544472602 341083708 /nfs/dbraw/zinc/08/37/08/341083708.db2.gz UTPXPBIRDDQHGY-ZIAGYGMSSA-N 0 3 318.848 4.101 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC2(CCOCC2)[C@H]1C ZINC000544546420 341085377 /nfs/dbraw/zinc/08/53/77/341085377.db2.gz IHJQJVQZHQXALA-DYVFJYSZSA-N 0 3 309.400 4.307 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC2(CCOCC2)[C@@H]1C ZINC000544546421 341085525 /nfs/dbraw/zinc/08/55/25/341085525.db2.gz IHJQJVQZHQXALA-GUYCJALGSA-N 0 3 309.400 4.307 20 0 DIADHN CC[C@@H](CN[C@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000544567030 341085963 /nfs/dbraw/zinc/08/59/63/341085963.db2.gz PJRSLQPTTYELFE-LAUBAEHRSA-N 0 3 317.436 4.349 20 0 DIADHN Cc1cccc([C@@H](C)CN[C@H](c2ccccc2)c2cncnc2)c1 ZINC000544570523 341086358 /nfs/dbraw/zinc/08/63/58/341086358.db2.gz XVZXMCHQXCLFAH-LAUBAEHRSA-N 0 3 317.436 4.268 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](c2cccs2)N(C)C)C2CCC2)o1 ZINC000544580372 341087009 /nfs/dbraw/zinc/08/70/09/341087009.db2.gz RSIJMDJUERKOHI-MAUKXSAKSA-N 0 3 318.486 4.383 20 0 DIADHN C/C=C/COc1ccc(CC[C@H](C)N[C@@H](C)c2ccon2)cc1 ZINC000544602909 341088225 /nfs/dbraw/zinc/08/82/25/341088225.db2.gz MNIOHDCVINAGJF-SWJNODNISA-N 0 3 314.429 4.301 20 0 DIADHN C/C=C/COc1ccc(CC[C@H](C)N[C@H](C)c2ccon2)cc1 ZINC000544602908 341088236 /nfs/dbraw/zinc/08/82/36/341088236.db2.gz MNIOHDCVINAGJF-CGFBPQRUSA-N 0 3 314.429 4.301 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000544616157 341089328 /nfs/dbraw/zinc/08/93/28/341089328.db2.gz AKUNSLQIQPOUAM-GDBMZVCRSA-N 0 3 323.795 4.424 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000544616163 341089368 /nfs/dbraw/zinc/08/93/68/341089368.db2.gz AKUNSLQIQPOUAM-HOCLYGCPSA-N 0 3 323.795 4.424 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(F)c(F)c(F)c1)c1ccccc1 ZINC000131452972 341090013 /nfs/dbraw/zinc/09/00/13/341090013.db2.gz VPZSDTCHTBVGSP-MEDUHNTESA-N 0 3 309.331 4.142 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cc(OC)ccc1OC ZINC000544831065 341095356 /nfs/dbraw/zinc/09/53/56/341095356.db2.gz TWLWZIOZMQLOGF-QWRGUYRKSA-N 0 3 305.340 4.085 20 0 DIADHN CCOCCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000131576408 341097727 /nfs/dbraw/zinc/09/77/27/341097727.db2.gz DGCGNMMMUULNIK-JTQLQIEISA-N 0 3 307.768 4.019 20 0 DIADHN C(=C\C1CCC1)\c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000544909220 341098877 /nfs/dbraw/zinc/09/88/77/341098877.db2.gz ZLECPCSKAVCJEC-KHPPLWFESA-N 0 3 309.413 4.146 20 0 DIADHN CC1(c2nc(-c3ccccc3CN3CCCC3)no2)CCCC1 ZINC000544906994 341098924 /nfs/dbraw/zinc/09/89/24/341098924.db2.gz VXYIDXBTZVHNSR-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1c(C)nn(C(C)(C)C)c1C ZINC000544942168 341100453 /nfs/dbraw/zinc/10/04/53/341100453.db2.gz BRPQYDGRADJDFU-LBPRGKRZSA-N 0 3 305.388 4.076 20 0 DIADHN COC[C@@H](C)N[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000131867249 341112882 /nfs/dbraw/zinc/11/28/82/341112882.db2.gz DBOIERBZBHSWOM-VXGBXAGGSA-N 0 3 309.356 4.127 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H](C)Cc1ccccc1 ZINC000132113577 341116620 /nfs/dbraw/zinc/11/66/20/341116620.db2.gz OCXRZTHPYJPPCS-MRXNPFEDSA-N 0 3 324.468 4.053 20 0 DIADHN Cc1cccn2cc(CN[C@@H]3CCC[C@H]3c3ccc(F)cc3)nc12 ZINC000545241641 341116689 /nfs/dbraw/zinc/11/66/89/341116689.db2.gz NJEGTJHCERJFNV-RBUKOAKNSA-N 0 3 323.415 4.208 20 0 DIADHN C[C@@H](CCc1ccccc1)NCc1nc2ccccc2n1C1CC1 ZINC000545240732 341116764 /nfs/dbraw/zinc/11/67/64/341116764.db2.gz RLDGOAZAMDRGCY-INIZCTEOSA-N 0 3 319.452 4.482 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(OC(F)(F)F)c2)cn1 ZINC000545351446 341122727 /nfs/dbraw/zinc/12/27/27/341122727.db2.gz GCYDZXXCSDKEQZ-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN Cc1ccc(C(C)(C)NCCSc2ccc(F)cc2)cn1 ZINC000545395768 341123999 /nfs/dbraw/zinc/12/39/99/341123999.db2.gz AOVNLLHZTIMDTA-UHFFFAOYSA-N 0 3 304.434 4.146 20 0 DIADHN CCO[C@@H]1COCC[C@H]1N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000545457540 341125918 /nfs/dbraw/zinc/12/59/18/341125918.db2.gz GETAPZYKOJELRM-BMGDILEWSA-N 0 3 323.502 4.032 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](C)c2c(C)cccc2C)c1 ZINC000545518414 341128171 /nfs/dbraw/zinc/12/81/71/341128171.db2.gz UPICLCSWLSZYLQ-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CC(C)(C)/C=C/c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545526830 341128957 /nfs/dbraw/zinc/12/89/57/341128957.db2.gz RTLPPZZKOTZIOD-ZHACJKMWSA-N 0 3 311.429 4.392 20 0 DIADHN C[C@H]1CCC[C@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545530191 341129204 /nfs/dbraw/zinc/12/92/04/341129204.db2.gz RHIUDARGYHNKLE-GOEBONIOSA-N 0 3 311.429 4.236 20 0 DIADHN C[C@H](Cc1ccsc1)N1CCC(C(=O)c2ccccc2)CC1 ZINC000545550104 341129941 /nfs/dbraw/zinc/12/99/41/341129941.db2.gz QRDQFYRNLBFCFK-OAHLLOKOSA-N 0 3 313.466 4.274 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)s1)C1CC(O)C1 ZINC000545548707 341129967 /nfs/dbraw/zinc/12/99/67/341129967.db2.gz ZGFBGEGOQWUERC-UHFFFAOYSA-N 0 3 307.846 4.024 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cccc2c1OC(C)(C)C2 ZINC000545556917 341130335 /nfs/dbraw/zinc/13/03/35/341130335.db2.gz MVFIBAOXUDYBNV-CYBMUJFWSA-N 0 3 301.352 4.221 20 0 DIADHN c1ccc2c(c1)nc(CN[C@H]1CCC[C@H](C3CC3)C1)n2C1CC1 ZINC000545559829 341130337 /nfs/dbraw/zinc/13/03/37/341130337.db2.gz ZEERUAMQJCVKTK-HOTGVXAUSA-N 0 3 309.457 4.430 20 0 DIADHN c1ccc2c(c1)nc(CN[C@H]1CCC[C@@H](C3CC3)C1)n2C1CC1 ZINC000545559825 341130420 /nfs/dbraw/zinc/13/04/20/341130420.db2.gz ZEERUAMQJCVKTK-CVEARBPZSA-N 0 3 309.457 4.430 20 0 DIADHN CCn1cc([C@H](C)NCCc2c(Cl)cccc2Cl)cn1 ZINC000132618889 341132665 /nfs/dbraw/zinc/13/26/65/341132665.db2.gz QNTHWEKCWIWTMR-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN C[C@@H](c1ccccc1)N(CC(=O)NC1CCCC1)C1CCCC1 ZINC000564293336 341136038 /nfs/dbraw/zinc/13/60/38/341136038.db2.gz HDTAOJTVWYBRSZ-INIZCTEOSA-N 0 3 314.473 4.051 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(CCCOc2ccc(F)cc2)C1 ZINC000545884480 341143908 /nfs/dbraw/zinc/14/39/08/341143908.db2.gz BESVETNRMVNBBB-KXBFYZLASA-N 0 3 314.404 4.073 20 0 DIADHN C[C@@H](NCc1cc2ccccc2[nH]c1=O)c1cccc(Cl)c1 ZINC000074335278 341144102 /nfs/dbraw/zinc/14/41/02/341144102.db2.gz SSRSUXTWGOGICW-GFCCVEGCSA-N 0 3 312.800 4.032 20 0 DIADHN C=Cc1ccc(C(=O)Nc2cccc(CN(CC)CC)c2)cc1 ZINC000545885178 341144155 /nfs/dbraw/zinc/14/41/55/341144155.db2.gz NINNHXMWXPMLAX-UHFFFAOYSA-N 0 3 308.425 4.424 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)o1 ZINC000545907292 341145550 /nfs/dbraw/zinc/14/55/50/341145550.db2.gz ZTMYEXZRJYKMHH-DXWTWGPWSA-N 0 3 303.446 4.088 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cn(C(C)(C)C)nc2C)C[C@@H]1OC ZINC000545943549 341147660 /nfs/dbraw/zinc/14/76/60/341147660.db2.gz PWBALEMBIOTLDG-XKQJLSEDSA-N 0 3 321.509 4.191 20 0 DIADHN C[C@@H](O)[C@@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000545949488 341147963 /nfs/dbraw/zinc/14/79/63/341147963.db2.gz NQXUTPFTZFSMAB-CRAIPNDOSA-N 0 3 313.416 4.086 20 0 DIADHN CC[C@@H](O)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000545974768 341149168 /nfs/dbraw/zinc/14/91/68/341149168.db2.gz VBMRUSRAMSRXDX-HUUCEWRRSA-N 0 3 301.352 4.003 20 0 DIADHN C[C@@H](CCN[C@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000545978404 341149199 /nfs/dbraw/zinc/14/91/99/341149199.db2.gz KGWAXMBNYVCIEB-LAUBAEHRSA-N 0 3 317.436 4.349 20 0 DIADHN C[C@@H](NC1CC(C)(c2ccccc2)C1)c1nc2ccccc2n1C ZINC000545978910 341149321 /nfs/dbraw/zinc/14/93/21/341149321.db2.gz RHEBGKCAMRTRPL-FPPRHVDESA-N 0 3 319.452 4.344 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(OC(C)C)CC3)cc2c1C ZINC000546035220 341152282 /nfs/dbraw/zinc/15/22/82/341152282.db2.gz DBPVXVCBKFFBLZ-UHFFFAOYSA-N 0 3 300.446 4.174 20 0 DIADHN CCCOc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1 ZINC000546035336 341152312 /nfs/dbraw/zinc/15/23/12/341152312.db2.gz VWXNDKUJEJXEAG-OAQYLSRUSA-N 0 3 323.436 4.107 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(OC(C)C)c(OC)c1 ZINC000546170998 341155913 /nfs/dbraw/zinc/15/59/13/341155913.db2.gz ZTTXDQNZKNPSPU-ZDUSSCGKSA-N 0 3 319.367 4.303 20 0 DIADHN CCSCCNCc1ccnc(Oc2cccc(C)c2C)c1 ZINC000546334994 341163972 /nfs/dbraw/zinc/16/39/72/341163972.db2.gz PIIDBAITZGDYTE-UHFFFAOYSA-N 0 3 316.470 4.333 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@H](C)[C@H]1CCCO1 ZINC000546649731 341177139 /nfs/dbraw/zinc/17/71/39/341177139.db2.gz FGNKLUCPEKVEBU-DNVCBOLYSA-N 0 3 313.441 4.284 20 0 DIADHN CC[C@@H](NCCc1cccnc1)c1ccccc1Br ZINC000133563166 341178405 /nfs/dbraw/zinc/17/84/05/341178405.db2.gz ISNOHVSSNBLAOY-MRXNPFEDSA-N 0 3 319.246 4.128 20 0 DIADHN Cc1cccc(CN[C@@H](C)Cc2cccc(C(F)(F)F)c2)n1 ZINC000546689434 341178999 /nfs/dbraw/zinc/17/89/99/341178999.db2.gz HLOIYUIPWHAXOX-ZDUSSCGKSA-N 0 3 308.347 4.130 20 0 DIADHN CC(C)(C)OC1CCN([C@H]2CCCc3ccc(F)cc32)CC1 ZINC000133694894 341182180 /nfs/dbraw/zinc/18/21/80/341182180.db2.gz AEZGGKVJOUAYOM-SFHVURJKSA-N 0 3 305.437 4.483 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC[C@H]4CCC[C@@H]43)co2)c1 ZINC000075768789 341182628 /nfs/dbraw/zinc/18/26/28/341182628.db2.gz XEODVLIMIYLMHS-DYVFJYSZSA-N 0 3 300.377 4.245 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCC[C@H](C(C)(C)C)CC1)c1nncn1C ZINC000564311361 341186445 /nfs/dbraw/zinc/18/64/45/341186445.db2.gz UGUIYODRALVQPN-XHSDSOJGSA-N 0 3 306.498 4.097 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000546933808 341187419 /nfs/dbraw/zinc/18/74/19/341187419.db2.gz BKAPVUJZXMIPKW-VVBPWWLESA-N 0 3 315.482 4.453 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](C)c3c(C)cccc3C)c(C)n2n1 ZINC000546934998 341187505 /nfs/dbraw/zinc/18/75/05/341187505.db2.gz HXBUTMMVECBNLC-CVEARBPZSA-N 0 3 322.456 4.375 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCC(=O)N(C)[C@H](C)c1ccccc1 ZINC000546936439 341187580 /nfs/dbraw/zinc/18/75/80/341187580.db2.gz YUXLOMWWRMBSOX-QZTJIDSGSA-N 0 3 324.468 4.174 20 0 DIADHN CCN(CCC1CC1)Cc1cc(Br)c(OC)s1 ZINC000546972089 341189263 /nfs/dbraw/zinc/18/92/63/341189263.db2.gz GOFXVLJNKQCGQF-UHFFFAOYSA-N 0 3 318.280 4.141 20 0 DIADHN c1ccc([C@H]2C[C@H]2CNCc2nc3ccccc3n2C2CC2)cc1 ZINC000546969891 341189382 /nfs/dbraw/zinc/18/93/82/341189382.db2.gz RCIFHNQMRKGAQN-FUHWJXTLSA-N 0 3 317.436 4.265 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H]2CCC[C@H]2c2ccccc2)c1 ZINC000133972324 341203482 /nfs/dbraw/zinc/20/34/82/341203482.db2.gz RPLRUQRRTPTUDC-RBUKOAKNSA-N 0 3 322.452 4.168 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(F)c3cccnc23)s1 ZINC000076342220 341205773 /nfs/dbraw/zinc/20/57/73/341205773.db2.gz ABJUFBANMGVBGA-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N([C@@H](C)c2nc(C3CC3)no2)C1 ZINC000076385147 341206423 /nfs/dbraw/zinc/20/64/23/341206423.db2.gz CGNMUAFAJMWTSO-OFQRWUPVSA-N 0 3 311.429 4.194 20 0 DIADHN c1nc(C2CC2)sc1CNCCCc1c[nH]c2ccccc12 ZINC000134300039 341212517 /nfs/dbraw/zinc/21/25/17/341212517.db2.gz MHNVDYSBQXCDOT-UHFFFAOYSA-N 0 3 311.454 4.224 20 0 DIADHN CCSCCN1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 ZINC000134462656 341215794 /nfs/dbraw/zinc/21/57/94/341215794.db2.gz WORGQQQERBMEEM-JTQLQIEISA-N 0 3 324.437 4.094 20 0 DIADHN CS[C@H]1CCCCN([C@@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000134567053 341218462 /nfs/dbraw/zinc/21/84/62/341218462.db2.gz XCYSOYJXOLALHZ-ZFWWWQNUSA-N 0 3 317.458 4.015 20 0 DIADHN Cc1ccc(CNC[C@@H]2CCN(c3cc(Cl)ccc3C)C2)o1 ZINC000237774308 341220285 /nfs/dbraw/zinc/22/02/85/341220285.db2.gz NHLNWBLFNGNFRM-HNNXBMFYSA-N 0 3 318.848 4.166 20 0 DIADHN C[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(SC2CCCC2)cc1 ZINC000134645873 341220830 /nfs/dbraw/zinc/22/08/30/341220830.db2.gz SQODUKCRGYCDPD-HLLBOEOZSA-N 0 3 317.498 4.309 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](C(F)(F)F)C1)c1nc2c(s1)CCC2 ZINC000134725156 341222701 /nfs/dbraw/zinc/22/27/01/341222701.db2.gz DXKQZKITKICNHU-DCAQKATOSA-N 0 3 318.408 4.404 20 0 DIADHN O=C(Nc1ccccc1)[C@H](NC[C@@H]1CC=CCC1)c1ccccc1 ZINC000237918164 341222942 /nfs/dbraw/zinc/22/29/42/341222942.db2.gz BFHZDNCFYMPFFH-YLJYHZDGSA-N 0 3 320.436 4.312 20 0 DIADHN C[C@H]1CCCCCN1Cc1coc(-c2ccc([N+](=O)[O-])cc2)n1 ZINC000547624348 341223586 /nfs/dbraw/zinc/22/35/86/341223586.db2.gz YYCUBVOKZRODAR-ZDUSSCGKSA-N 0 3 315.373 4.014 20 0 DIADHN OCc1ccc(CNCC2(c3cccc(Cl)c3)CCCC2)o1 ZINC000237984622 341224220 /nfs/dbraw/zinc/22/42/20/341224220.db2.gz WZIGDJNWSIMTSE-UHFFFAOYSA-N 0 3 319.832 4.027 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H](C)c1nc2c(s1)CCC2 ZINC000134800062 341224398 /nfs/dbraw/zinc/22/43/98/341224398.db2.gz BBCKGLGWXALMKP-VXGBXAGGSA-N 0 3 304.434 4.053 20 0 DIADHN CCN(Cc1ccccc1[N+](=O)[O-])[C@H](C)Cc1ccsc1 ZINC000547647682 341224983 /nfs/dbraw/zinc/22/49/83/341224983.db2.gz ZUXUMUKBFSEJOS-CYBMUJFWSA-N 0 3 304.415 4.109 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cc(Br)cs1 ZINC000134850537 341224990 /nfs/dbraw/zinc/22/49/90/341224990.db2.gz DPMJPUAQPBPIKG-SECBINFHSA-N 0 3 308.310 4.084 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cc(Br)cs1 ZINC000134850519 341225205 /nfs/dbraw/zinc/22/52/05/341225205.db2.gz DPMJPUAQPBPIKG-VIFPVBQESA-N 0 3 308.310 4.084 20 0 DIADHN Clc1ccc(SC2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000134854611 341225364 /nfs/dbraw/zinc/22/53/64/341225364.db2.gz FDXRJALSXQUGFS-CQSZACIVSA-N 0 3 311.878 4.076 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1sccc1Br ZINC000547656450 341225670 /nfs/dbraw/zinc/22/56/70/341225670.db2.gz QNJRSZQKIDVXMJ-VHSXEESVSA-N 0 3 318.280 4.119 20 0 DIADHN CSC[C@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000134869872 341225719 /nfs/dbraw/zinc/22/57/19/341225719.db2.gz IYSDIRCHLIYCLW-NSHDSACASA-N 0 3 318.467 4.397 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1sccc1Br ZINC000547656449 341225728 /nfs/dbraw/zinc/22/57/28/341225728.db2.gz QNJRSZQKIDVXMJ-UWVGGRQHSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000134880730 341225845 /nfs/dbraw/zinc/22/58/45/341225845.db2.gz PAQMOZSJPWOSKE-CHWSQXEVSA-N 0 3 316.470 4.009 20 0 DIADHN CCc1cc(C)c(C(=O)Nc2cccc(CN(CC)CC)c2)o1 ZINC000134917553 341226590 /nfs/dbraw/zinc/22/65/90/341226590.db2.gz XTFNGLDRGFAYOC-UHFFFAOYSA-N 0 3 314.429 4.245 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@H]1CCCC[C@@H]1C ZINC000547747646 341230078 /nfs/dbraw/zinc/23/00/78/341230078.db2.gz ZOFDDRGOMKEUMA-YJBOKZPZSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1nc2cccc(Cl)c2n1C ZINC000547830631 341236221 /nfs/dbraw/zinc/23/62/21/341236221.db2.gz WCDLSXCORJJZMO-NSHDSACASA-N 0 3 319.861 4.009 20 0 DIADHN CCOc1ccc([C@@H](N[C@@H](CC)COC)c2ccccc2)cc1 ZINC000547868260 341238558 /nfs/dbraw/zinc/23/85/58/341238558.db2.gz YLPYCVWIQUJSSJ-ICSRJNTNSA-N 0 3 313.441 4.189 20 0 DIADHN c1ccc([C@@H]2OCC[C@H]2CNc2ccnc3ccccc32)cc1 ZINC000078357917 341241085 /nfs/dbraw/zinc/24/10/85/341241085.db2.gz NQUWLHJFYAUFBJ-JXFKEZNVSA-N 0 3 304.393 4.425 20 0 DIADHN CCC[C@@H](NCCC(=O)NC(C)(C)C)c1ccc(Cl)cc1 ZINC000135684007 341243994 /nfs/dbraw/zinc/24/39/94/341243994.db2.gz MWZKSEDJMPRYEX-OAHLLOKOSA-N 0 3 310.869 4.076 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000548160590 341250381 /nfs/dbraw/zinc/25/03/81/341250381.db2.gz RUCSIVWIAOKNCY-OLZOCXBDSA-N 0 3 305.447 4.247 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccc(F)cc1 ZINC000078973182 341251020 /nfs/dbraw/zinc/25/10/20/341251020.db2.gz VTYYNFXFHKYPPI-MLGOLLRUSA-N 0 3 301.361 4.356 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2C)cc1 ZINC000079324185 341256570 /nfs/dbraw/zinc/25/65/70/341256570.db2.gz WUUQDOPBWVTLHG-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2F)cc1 ZINC000079324318 341256593 /nfs/dbraw/zinc/25/65/93/341256593.db2.gz KVEBKXFSMJMFTC-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2C)cc1 ZINC000079324193 341256681 /nfs/dbraw/zinc/25/66/81/341256681.db2.gz WUUQDOPBWVTLHG-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1coc(-c2cccc(F)c2)n1 ZINC000548402763 341258965 /nfs/dbraw/zinc/25/89/65/341258965.db2.gz XOXPPVFRNLRAEU-GUYCJALGSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ncc(-c2ccccc2F)o1 ZINC000548402543 341259068 /nfs/dbraw/zinc/25/90/68/341259068.db2.gz FQGADJTUKMVPNZ-BBRMVZONSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1sc2nc([C@H](C)N[C@@H]3CCCC[C@H]3C)nc(N)c2c1C ZINC000548442524 341260845 /nfs/dbraw/zinc/26/08/45/341260845.db2.gz VBBJWNMRTVOBBN-SUZMYJTESA-N 0 3 318.490 4.120 20 0 DIADHN OC1(CNCc2cccc(Cl)c2Cl)CCCCCC1 ZINC000079619371 341262250 /nfs/dbraw/zinc/26/22/50/341262250.db2.gz YPBGTCBWGQVRIF-UHFFFAOYSA-N 0 3 302.245 4.168 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2ccccc2[nH]1 ZINC000548485100 341263266 /nfs/dbraw/zinc/26/32/66/341263266.db2.gz HMVOXVYGMDWPKC-NVXWUHKLSA-N 0 3 305.425 4.016 20 0 DIADHN COc1ccc(CNC2CCC(C)(C)CC2)c(Cl)c1OC ZINC000079675572 341263296 /nfs/dbraw/zinc/26/32/96/341263296.db2.gz QIMYWBFTXYNZLX-UHFFFAOYSA-N 0 3 311.853 4.416 20 0 DIADHN Cc1ccc(CN2CCC(CCc3ccc(O)cc3)CC2)c(C)n1 ZINC000548485046 341263391 /nfs/dbraw/zinc/26/33/91/341263391.db2.gz HANXFNQGNZGBFR-UHFFFAOYSA-N 0 3 324.468 4.249 20 0 DIADHN COC[C@@H](NCc1nc(-c2ccccc2Cl)cs1)C(C)C ZINC000548486230 341263517 /nfs/dbraw/zinc/26/35/17/341263517.db2.gz WLVMWVYWWSGRQR-CQSZACIVSA-N 0 3 324.877 4.224 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CCN[C@@H](C)c1cccs1 ZINC000152650963 341266124 /nfs/dbraw/zinc/26/61/24/341266124.db2.gz GWGXDUKUDFFGKO-LBPRGKRZSA-N 0 3 322.861 4.389 20 0 DIADHN Cc1cc(C[C@H](C)NCc2ccc(-c3ccc(C)cc3)o2)[nH]n1 ZINC000136781523 341267044 /nfs/dbraw/zinc/26/70/44/341267044.db2.gz CILOTASKAPXHNY-AWEZNQCLSA-N 0 3 309.413 4.007 20 0 DIADHN Cc1cc(C[C@H](C)NCc2ccc(-c3ccc(C)cc3)o2)n[nH]1 ZINC000136781523 341267045 /nfs/dbraw/zinc/26/70/45/341267045.db2.gz CILOTASKAPXHNY-AWEZNQCLSA-N 0 3 309.413 4.007 20 0 DIADHN CC1(C)CC[C@@H](CNCc2cnc(C3CCCCC3)s2)O1 ZINC000548627912 341267862 /nfs/dbraw/zinc/26/78/62/341267862.db2.gz YYGWYNYMZZRUJZ-AWEZNQCLSA-N 0 3 308.491 4.238 20 0 DIADHN OCC[C@H](NCC1CCC(c2ccccc2)CC1)c1ccco1 ZINC000548728322 341270936 /nfs/dbraw/zinc/27/09/36/341270936.db2.gz CHLSOSTWOFBZHI-KVZIAJEVSA-N 0 3 313.441 4.267 20 0 DIADHN CC[C@H](N[C@H](C)CCc1ccc(OC)cc1)c1nccs1 ZINC000080258200 341271702 /nfs/dbraw/zinc/27/17/02/341271702.db2.gz WRBRBCXLZRDIDJ-CJNGLKHVSA-N 0 3 304.459 4.214 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1cccc2c1OC(C)(C)C2 ZINC000080509033 341273992 /nfs/dbraw/zinc/27/39/92/341273992.db2.gz BZGZGOALUXGJGH-ZDUSSCGKSA-N 0 3 301.455 4.182 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000548785055 341275538 /nfs/dbraw/zinc/27/55/38/341275538.db2.gz YWRUSEVDJDPBHB-DYVFJYSZSA-N 0 3 307.434 4.380 20 0 DIADHN CC(C)(CNCc1cnc(-c2ccccc2)s1)C(F)(F)F ZINC000548860584 341278640 /nfs/dbraw/zinc/27/86/40/341278640.db2.gz IYOKADJNYCEPIF-UHFFFAOYSA-N 0 3 314.376 4.488 20 0 DIADHN Clc1cccc(CN[C@@H]2CCC23CCOCC3)c1Cl ZINC000548860846 341278648 /nfs/dbraw/zinc/27/86/48/341278648.db2.gz ZQHOLJPYXURLJK-CYBMUJFWSA-N 0 3 300.229 4.042 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)Nc2ccccc2CN(C)C)CC1 ZINC000548869711 341278997 /nfs/dbraw/zinc/27/89/97/341278997.db2.gz KFPATQWNVFMKHI-WKILWMFISA-N 0 3 302.462 4.149 20 0 DIADHN COCc1ccc([C@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)o1 ZINC000548915091 341280883 /nfs/dbraw/zinc/28/08/83/341280883.db2.gz OPKWQEAFIIOZAL-DOTOQJQBSA-N 0 3 319.445 4.055 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCOc2ccccc2)CC12CCC2 ZINC000548934708 341281584 /nfs/dbraw/zinc/28/15/84/341281584.db2.gz PGGKBWDTKMGTIJ-HNNXBMFYSA-N 0 3 313.363 4.120 20 0 DIADHN OC[C@@H](CC1CCCC1)NCc1cnc(C2CCCCC2)s1 ZINC000548972888 341282516 /nfs/dbraw/zinc/28/25/16/341282516.db2.gz JXESLNLFOFOQFD-MRXNPFEDSA-N 0 3 322.518 4.222 20 0 DIADHN CC(C)(CNCc1cccc(-c2cccnc2)c1)C(F)(F)F ZINC000548973409 341282714 /nfs/dbraw/zinc/28/27/14/341282714.db2.gz LVHYZXQNAYWJSN-UHFFFAOYSA-N 0 3 308.347 4.427 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC[C@H]1CCC2(CCCC2)O1 ZINC000549131652 341286585 /nfs/dbraw/zinc/28/65/85/341286585.db2.gz SPWYHUWEDNBZRB-GOEBONIOSA-N 0 3 319.493 4.089 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H]2CSC2(C)C)cc1OCC ZINC000549227133 341289143 /nfs/dbraw/zinc/28/91/43/341289143.db2.gz BERFHYIYRGQHEU-LRDDRELGSA-N 0 3 309.475 4.029 20 0 DIADHN Cc1ccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)cc1 ZINC000549264208 341289973 /nfs/dbraw/zinc/28/99/73/341289973.db2.gz SNJSYPLBNVQNOC-SFHVURJKSA-N 0 3 302.849 4.041 20 0 DIADHN Cc1cc(CN[C@@H]2CSC2(C)C)ccc1Oc1cccnc1 ZINC000549265419 341289952 /nfs/dbraw/zinc/28/99/52/341289952.db2.gz OOCIOEPHBXXIEO-QGZVFWFLSA-N 0 3 314.454 4.166 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1CN(C)C)c1ccccc1F ZINC000549328963 341291301 /nfs/dbraw/zinc/29/13/01/341291301.db2.gz VQFNYKSUUHQHFQ-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN CC(C)n1cc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cn1 ZINC000549407747 341293601 /nfs/dbraw/zinc/29/36/01/341293601.db2.gz JLNOXNCOQVVFTQ-ACDBMABISA-N 0 3 309.457 4.375 20 0 DIADHN CCCC(C)(C)N(CC(=O)N1CCCCC1)Cc1cccs1 ZINC000549410234 341293768 /nfs/dbraw/zinc/29/37/68/341293768.db2.gz XMWAWRPSKNRTLL-UHFFFAOYSA-N 0 3 322.518 4.141 20 0 DIADHN CCc1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)nc1 ZINC000549445793 341294762 /nfs/dbraw/zinc/29/47/62/341294762.db2.gz BTPQBBAOZTUCKX-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCC[C@H]4CCC[C@H]43)o2)c1 ZINC000245478732 341296351 /nfs/dbraw/zinc/29/63/51/341296351.db2.gz VUGVDFPJZYHOHX-CZUORRHYSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@@H](NCc1cccc(F)c1Cl)[C@H]1COc2ccccc21 ZINC000549543847 341296966 /nfs/dbraw/zinc/29/69/66/341296966.db2.gz DHQOQFRGASUZPU-BXUZGUMPSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](C)[C@@H]3C[C@H]3c3ccccc3)nc2c1 ZINC000549626595 341299442 /nfs/dbraw/zinc/29/94/42/341299442.db2.gz UKOKHILBKHDFAL-PVAVHDDUSA-N 0 3 305.425 4.153 20 0 DIADHN Cc1ccc2nc(CN[C@H](C)[C@@H]3C[C@H]3c3ccccc3)[nH]c2c1 ZINC000549626595 341299443 /nfs/dbraw/zinc/29/94/43/341299443.db2.gz UKOKHILBKHDFAL-PVAVHDDUSA-N 0 3 305.425 4.153 20 0 DIADHN Cc1cnc(CCN(C)CCCOc2c(C)cccc2C)s1 ZINC000549642111 341299859 /nfs/dbraw/zinc/29/98/59/341299859.db2.gz AMGNWVMSSQFQRM-UHFFFAOYSA-N 0 3 318.486 4.012 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@@](CO)(C(C)C)C1 ZINC000549661555 341300054 /nfs/dbraw/zinc/30/00/54/341300054.db2.gz IFZJFQJWMFGECM-HXUWFJFHSA-N 0 3 312.457 4.087 20 0 DIADHN Cc1sccc1CN[C@@H]1CCN(c2cccc(Cl)c2)C1 ZINC000549780371 341303062 /nfs/dbraw/zinc/30/30/62/341303062.db2.gz MKFBJHAMLCCTOI-OAHLLOKOSA-N 0 3 306.862 4.078 20 0 DIADHN Cc1ccccc1CN[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000549831184 341304419 /nfs/dbraw/zinc/30/44/19/341304419.db2.gz QIIQHOZXDGKHFF-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CCOCC(C)(C)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000549860505 341305330 /nfs/dbraw/zinc/30/53/30/341305330.db2.gz FOCJFTPUFVSFCZ-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCCN2C[C@H]2CCCO2)cc1 ZINC000549918821 341306601 /nfs/dbraw/zinc/30/66/01/341306601.db2.gz LJHIORSIZCMOCV-HZPDHXFCSA-N 0 3 313.363 4.411 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2F)ccc1Oc1cccnc1 ZINC000549934437 341307425 /nfs/dbraw/zinc/30/74/25/341307425.db2.gz MVVNTOMDXMGSGA-SJORKVTESA-N 0 3 300.377 4.163 20 0 DIADHN c1ccc(CN2CC[C@@H](Sc3nc4ccccc4o3)C2)cc1 ZINC000550003537 341308551 /nfs/dbraw/zinc/30/85/51/341308551.db2.gz OELYCKKOQVWWFD-OAHLLOKOSA-N 0 3 310.422 4.194 20 0 DIADHN CC(C)N1CCCC[C@H]1CSc1nnc(-c2cccs2)o1 ZINC000550012887 341308806 /nfs/dbraw/zinc/30/88/06/341308806.db2.gz FJQIRJOYEQTZBF-LBPRGKRZSA-N 0 3 323.487 4.153 20 0 DIADHN C[C@@H]1CCN([C@H]2CCc3c2cccc3Cl)C[C@H]1n1ccnc1 ZINC000245682017 341309180 /nfs/dbraw/zinc/30/91/80/341309180.db2.gz PGWFKDUMSHTVEN-JEBQAFNWSA-N 0 3 315.848 4.107 20 0 DIADHN CC[C@H](CNCc1ncc(CSC)s1)c1ccccc1 ZINC000550075034 341309520 /nfs/dbraw/zinc/30/95/20/341309520.db2.gz KRMNCPRWPWBGOW-CYBMUJFWSA-N 0 3 306.500 4.290 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccoc3)n2)[C@@H]2CCC[C@H]21 ZINC000550082668 341309765 /nfs/dbraw/zinc/30/97/65/341309765.db2.gz UKNZTIKWXYXWND-HUUCEWRRSA-N 0 3 302.443 4.414 20 0 DIADHN CCc1ccc(CN(Cc2ccc(OC)cc2)C(C)(C)C)nc1 ZINC000550105589 341310142 /nfs/dbraw/zinc/31/01/42/341310142.db2.gz NJCKGWOGNULUHN-UHFFFAOYSA-N 0 3 312.457 4.453 20 0 DIADHN COCc1cc(CNCc2cc(C)cc(Cl)c2)ccc1F ZINC000550142383 341310918 /nfs/dbraw/zinc/31/09/18/341310918.db2.gz LXZNXWWWOUGCRO-UHFFFAOYSA-N 0 3 307.796 4.224 20 0 DIADHN CCc1nocc1CNCC1(c2cccc(F)c2F)CCCC1 ZINC000550212554 341312613 /nfs/dbraw/zinc/31/26/13/341312613.db2.gz ZNDFKTMMCCMEIB-UHFFFAOYSA-N 0 3 320.383 4.117 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2cccc(C(F)(F)F)n2)o1 ZINC000550227020 341313013 /nfs/dbraw/zinc/31/30/13/341313013.db2.gz LEHFYSWKAKDSTM-FZMZJTMJSA-N 0 3 324.346 4.149 20 0 DIADHN FC(F)(F)c1cccc(CCNCc2ccccc2Cl)n1 ZINC000550228305 341313158 /nfs/dbraw/zinc/31/31/58/341313158.db2.gz QYXYOZFMGOHOMB-UHFFFAOYSA-N 0 3 314.738 4.086 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2F)o1)c1cc[nH]c(=O)c1 ZINC000550257400 341313700 /nfs/dbraw/zinc/31/37/00/341313700.db2.gz ZUHDFYKKYJKUQI-GFCCVEGCSA-N 0 3 312.344 4.037 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccccc1 ZINC000550288835 341314457 /nfs/dbraw/zinc/31/44/57/341314457.db2.gz WCKMSLQJJQGWEH-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000245826002 341315534 /nfs/dbraw/zinc/31/55/34/341315534.db2.gz AWORLUQCZIXJRH-IGNZVWTISA-N 0 3 303.352 4.146 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000245923628 341318511 /nfs/dbraw/zinc/31/85/11/341318511.db2.gz REHQUGPCSLCPKN-LSKLOWJMSA-N 0 3 321.367 4.301 20 0 DIADHN C[C@@H](NCC1(c2cccc(Cl)c2)CC1)c1ccncc1F ZINC000550665068 341320813 /nfs/dbraw/zinc/32/08/13/341320813.db2.gz DAZKOYCBADNQBS-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccncc1F)Oc1ccccc1Cl ZINC000550678549 341321166 /nfs/dbraw/zinc/32/11/66/341321166.db2.gz IQHMKAXTVDINIM-CHWSQXEVSA-N 0 3 322.811 4.382 20 0 DIADHN Fc1ccc(CN(C[C@H]2CCC(F)(F)C2)C2CC2)c(F)c1 ZINC000550684104 341321331 /nfs/dbraw/zinc/32/13/31/341321331.db2.gz MVIZESNEQXOVFG-NSHDSACASA-N 0 3 301.327 4.365 20 0 DIADHN CCCN(Cc1ccccc1)[C@H](C)C(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000550730914 341322118 /nfs/dbraw/zinc/32/21/18/341322118.db2.gz YBFOUNINTRCUCI-OKZBNKHCSA-N 0 3 316.489 4.077 20 0 DIADHN C[C@H](C1CC1)n1cc(N[C@@H]2CCN(C)[C@@H](c3ccccc3)C2)cn1 ZINC000550795856 341323113 /nfs/dbraw/zinc/32/31/13/341323113.db2.gz LLSVFDNSXOTEQV-XFQXTVEOSA-N 0 3 324.472 4.102 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000550858206 341324814 /nfs/dbraw/zinc/32/48/14/341324814.db2.gz NJRQQNLZUQUUCX-QWRGUYRKSA-N 0 3 319.474 4.261 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000550858510 341324869 /nfs/dbraw/zinc/32/48/69/341324869.db2.gz YXPBWPHXXAPOKF-ZBEGNZNMSA-N 0 3 302.805 4.169 20 0 DIADHN COC[C@@H](NC[C@@H]1C[C@H]1c1ccccc1)c1ccc(F)c(F)c1 ZINC000550894099 341325918 /nfs/dbraw/zinc/32/59/18/341325918.db2.gz BEHVWIANVUMMQX-TXPKVOOTSA-N 0 3 317.379 4.046 20 0 DIADHN CO[C@H](CN[C@H]1CCCOc2ccc(C(C)C)cc21)C1CC1 ZINC000550895770 341326035 /nfs/dbraw/zinc/32/60/35/341326035.db2.gz WPMFQFJDVNXWRJ-PKOBYXMFSA-N 0 3 303.446 4.038 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2cccc(F)c2)C1)c1ccncc1F ZINC000550899472 341326329 /nfs/dbraw/zinc/32/63/29/341326329.db2.gz WDIBGCKWMZBWFL-BJJXKVORSA-N 0 3 302.368 4.347 20 0 DIADHN Cc1cc([C@@H](C)NCCc2cn(C)c3cc(Cl)ccc23)no1 ZINC000550907380 341326451 /nfs/dbraw/zinc/32/64/51/341326451.db2.gz JWNJGUQSDZQJQI-GFCCVEGCSA-N 0 3 317.820 4.021 20 0 DIADHN COc1ccccc1C[C@@H](N[C@H](C)c1ccncc1F)C(C)C ZINC000550939517 341327583 /nfs/dbraw/zinc/32/75/83/341327583.db2.gz BTBFDHKHDRHCHG-RDTXWAMCSA-N 0 3 316.420 4.147 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2cc[nH]c21)c1ccccc1 ZINC000550954425 341327794 /nfs/dbraw/zinc/32/77/94/341327794.db2.gz QOKGFCOQDONIKP-IBGZPJMESA-N 0 3 308.425 4.017 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@H](C)c1cccc(C2CC2)c1 ZINC000551015264 341329316 /nfs/dbraw/zinc/32/93/16/341329316.db2.gz VBNJZMTVPLJFQS-VXGBXAGGSA-N 0 3 301.352 4.182 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@@H](C)c1ccncc1F ZINC000551087074 341331333 /nfs/dbraw/zinc/33/13/33/341331333.db2.gz MACOTDDJFUJUOG-LBPRGKRZSA-N 0 3 322.811 4.166 20 0 DIADHN FC1(F)CC[C@H](CN2CCC[C@H]2c2cccc3c2OCCO3)C1 ZINC000551094313 341331529 /nfs/dbraw/zinc/33/15/29/341331529.db2.gz XVWLLTFEYDEUCK-ZFWWWQNUSA-N 0 3 323.383 4.030 20 0 DIADHN C[C@H](N[C@@H]1COc2ccccc2C1)c1cc2cccc(F)c2o1 ZINC000551175292 341333040 /nfs/dbraw/zinc/33/30/40/341333040.db2.gz KREBVRNYVCHSCK-WFASDCNBSA-N 0 3 311.356 4.226 20 0 DIADHN CO[C@@H](CNCc1cnc(-c2ccc(Cl)cc2)s1)C(C)C ZINC000551188891 341333645 /nfs/dbraw/zinc/33/36/45/341333645.db2.gz YDUXMDZZRJHJRT-HNNXBMFYSA-N 0 3 324.877 4.224 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2c(s1)CCCC2)c1cc[nH]c(=O)c1 ZINC000551242153 341335141 /nfs/dbraw/zinc/33/51/41/341335141.db2.gz SJPCJTMVRVPEPC-NEPJUHHUSA-N 0 3 302.443 4.139 20 0 DIADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cc2c(s1)CCCC2 ZINC000551242155 341335152 /nfs/dbraw/zinc/33/51/52/341335152.db2.gz SJPCJTMVRVPEPC-RYUDHWBXSA-N 0 3 302.443 4.139 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1C(F)(F)F)c1cc[nH]c(=O)c1 ZINC000551242165 341335229 /nfs/dbraw/zinc/33/52/29/341335229.db2.gz SOVVFHZQRSQVIB-QWRGUYRKSA-N 0 3 310.319 4.218 20 0 DIADHN C[C@H](NC[C@]1(C)C[C@H]1c1ccccc1)c1nc2ccccc2n1C ZINC000551241754 341335266 /nfs/dbraw/zinc/33/52/66/341335266.db2.gz NKMGLJBGXDHUHG-WJPUGNRLSA-N 0 3 319.452 4.418 20 0 DIADHN COC1(CNCc2cnc(-c3ccc(Cl)cc3)s2)CCC1 ZINC000551242408 341335371 /nfs/dbraw/zinc/33/53/71/341335371.db2.gz UGMAKAYLEXLIQW-UHFFFAOYSA-N 0 3 322.861 4.122 20 0 DIADHN COC1(CCN[C@@H](C)c2cc(C)ccc2OC(F)F)CCC1 ZINC000551246755 341335437 /nfs/dbraw/zinc/33/54/37/341335437.db2.gz XQOOEOZEMQBYLR-ZDUSSCGKSA-N 0 3 313.388 4.206 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1cn2ccccc2n1 ZINC000551284024 341336969 /nfs/dbraw/zinc/33/69/69/341336969.db2.gz YJXUGFHAMRLWMQ-QXAKKESOSA-N 0 3 319.452 4.396 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2c3ccccc3CCC[C@@H]2C)ccn1 ZINC000551279762 341337045 /nfs/dbraw/zinc/33/70/45/341337045.db2.gz JFVGTOLLCSYIFL-AVYPCKFXSA-N 0 3 310.441 4.455 20 0 DIADHN CCc1nnc(CN[C@H]2CCC[C@@H]2c2ccc(Cl)cc2)s1 ZINC000564377562 341337196 /nfs/dbraw/zinc/33/71/96/341337196.db2.gz HFMGJTLCAQZAIJ-KGLIPLIRSA-N 0 3 321.877 4.180 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2Cl)CCC1)c1cn[nH]c1 ZINC000551305066 341337798 /nfs/dbraw/zinc/33/77/98/341337798.db2.gz TZYITSUIZUWLHQ-NSHDSACASA-N 0 3 324.255 4.489 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1N[C@H](C)c1cn2ccccc2n1 ZINC000551305402 341337852 /nfs/dbraw/zinc/33/78/52/341337852.db2.gz XMMCPLDHFYVNNK-IIAWOOMASA-N 0 3 303.475 4.049 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@@H](C)N[C@H]1CCC[C@H]1F ZINC000551309119 341337977 /nfs/dbraw/zinc/33/79/77/341337977.db2.gz JWXZQYODSDETQR-UNEWFSDZSA-N 0 3 306.425 4.007 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@@H](c1ccc(Br)s1)C1CC1 ZINC000551307177 341338060 /nfs/dbraw/zinc/33/80/60/341338060.db2.gz AOBIVQAQQCGXOO-OPQQBVKSSA-N 0 3 318.255 4.442 20 0 DIADHN CC[C@H]1CCN([C@H](C)C(=O)Nc2c(C)cccc2C(C)C)C1 ZINC000246263107 341339044 /nfs/dbraw/zinc/33/90/44/341339044.db2.gz RBOVQPMSYJXNHF-CVEARBPZSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@]1(c2ccccc2)CCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000551385593 341340287 /nfs/dbraw/zinc/34/02/87/341340287.db2.gz QTPVAVQTNHCRJE-KRWDZBQOSA-N 0 3 320.358 4.264 20 0 DIADHN FC(F)(F)CC1CCN(C[C@H]2CCC3(CCCC3)O2)CC1 ZINC000551401352 341340600 /nfs/dbraw/zinc/34/06/00/341340600.db2.gz AZGIHZKEYCXCNR-CQSZACIVSA-N 0 3 305.384 4.143 20 0 DIADHN Cc1n[nH]cc1C1CCN(C/C=C/c2ccc(Cl)cc2)CC1 ZINC000551417343 341341155 /nfs/dbraw/zinc/34/11/55/341341155.db2.gz ALLXCTBDRVOEIC-NSCUHMNNSA-N 0 3 315.848 4.264 20 0 DIADHN Cc1n[nH]cc1C1CCN(C/C=C\c2ccc(Cl)cc2)CC1 ZINC000551417342 341341158 /nfs/dbraw/zinc/34/11/58/341341158.db2.gz ALLXCTBDRVOEIC-IHWYPQMZSA-N 0 3 315.848 4.264 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCC[C@@H]2NCc2ccon2)c1 ZINC000551521552 341343825 /nfs/dbraw/zinc/34/38/25/341343825.db2.gz KDWREAXYNAECNB-CABCVRRESA-N 0 3 310.319 4.119 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2C[C@H]2Cc2ccccc2)cc1Cl ZINC000551530040 341344148 /nfs/dbraw/zinc/34/41/48/341344148.db2.gz MJENXGLMIQFZCF-DXCKQFNASA-N 0 3 316.832 4.025 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCC[C@H]3c3ccc(F)cc3)cn2c1 ZINC000551537059 341344686 /nfs/dbraw/zinc/34/46/86/341344686.db2.gz ZRMJIOZXHQTDAP-OALUTQOASA-N 0 3 323.415 4.208 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCC[C@@H]3c3ccc(F)cc3)cn2c1 ZINC000551537061 341344711 /nfs/dbraw/zinc/34/47/11/341344711.db2.gz ZRMJIOZXHQTDAP-RTBURBONSA-N 0 3 323.415 4.208 20 0 DIADHN CCC[C@@](C)(CO)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551537190 341344734 /nfs/dbraw/zinc/34/47/34/341344734.db2.gz AUEMAJUDBSTKQN-INIZCTEOSA-N 0 3 324.877 4.104 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2cnc(C(C)(C)C)nc2)c(C)c1 ZINC000551547318 341345063 /nfs/dbraw/zinc/34/50/63/341345063.db2.gz KZEHLDATNPGOKY-INIZCTEOSA-N 0 3 311.473 4.112 20 0 DIADHN Cc1cccc(C(NCCC2(O)CCC2)c2cccc(C)c2)c1 ZINC000551574013 341345994 /nfs/dbraw/zinc/34/59/94/341345994.db2.gz BDQFJUXSSCJJME-UHFFFAOYSA-N 0 3 309.453 4.288 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cccc(CO)c2)cc1Cl ZINC000551572052 341346093 /nfs/dbraw/zinc/34/60/93/341346093.db2.gz RTRDYDJOVXPFAU-ZDUSSCGKSA-N 0 3 319.832 4.082 20 0 DIADHN CC[C@H](N[C@H](C)c1sc(C(C)(C)C)nc1C)[C@H]1CCCO1 ZINC000246403301 341347561 /nfs/dbraw/zinc/34/75/61/341347561.db2.gz LXCPQTSLBJKSMD-KWCYVHTRSA-N 0 3 310.507 4.357 20 0 DIADHN FC(F)(F)c1csc([C@@H]2CCCN([C@@H]3C=CCCC3)C2)n1 ZINC000246450338 341349099 /nfs/dbraw/zinc/34/90/99/341349099.db2.gz DUOWZTZXAXIBHS-VXGBXAGGSA-N 0 3 316.392 4.450 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1ccc2ccccc2n1 ZINC000246463448 341349753 /nfs/dbraw/zinc/34/97/53/341349753.db2.gz QAXCDAIAHPJMIC-RLFYNMQTSA-N 0 3 318.420 4.450 20 0 DIADHN c1csc(CN(Cc2cccnc2)C2Cc3ccccc3C2)c1 ZINC000551716558 341349879 /nfs/dbraw/zinc/34/98/79/341349879.db2.gz MBTGGNMYTMOMBY-UHFFFAOYSA-N 0 3 320.461 4.313 20 0 DIADHN CSC[C@@H](O)CN(CC(C)C)[C@@H](C)c1ccccc1Cl ZINC000551716386 341349970 /nfs/dbraw/zinc/34/99/70/341349970.db2.gz SKPIUHRTFIFIFY-KBPBESRZSA-N 0 3 315.910 4.083 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@H]2NCc2ncccn2)cc1 ZINC000551719881 341349973 /nfs/dbraw/zinc/34/99/73/341349973.db2.gz GFGSILLOZOWDCM-DLBZAZTESA-N 0 3 315.848 4.336 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H](C)c2ccccc2Cl)CC1 ZINC000551716360 341349987 /nfs/dbraw/zinc/34/99/87/341349987.db2.gz IFJKZKMACYVCJZ-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000246478522 341350389 /nfs/dbraw/zinc/35/03/89/341350389.db2.gz RBKQQLWCESPEDD-JECHBYEQSA-N 0 3 322.811 4.168 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC000246483039 341350425 /nfs/dbraw/zinc/35/04/25/341350425.db2.gz RKKFEGLHJMMCFD-CWRJPMGBSA-N 0 3 317.864 4.430 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000246483089 341350459 /nfs/dbraw/zinc/35/04/59/341350459.db2.gz RKKFEGLHJMMCFD-XBCDXLOZSA-N 0 3 317.864 4.430 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCCc2nccs2)cc1Cl ZINC000551787396 341351429 /nfs/dbraw/zinc/35/14/29/341351429.db2.gz GLSRRSIMJBMTSH-GFCCVEGCSA-N 0 3 324.877 4.477 20 0 DIADHN COc1ncc([C@@H](C)N[C@H]2CCc3c2cccc3C)cc1Cl ZINC000551790018 341351675 /nfs/dbraw/zinc/35/16/75/341351675.db2.gz VNYDKSRPSVBJPH-PXAZEXFGSA-N 0 3 316.832 4.390 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1cnc(-c2cccs2)s1 ZINC000083471665 341352384 /nfs/dbraw/zinc/35/23/84/341352384.db2.gz ZARWVLJFZGUBMY-INIZCTEOSA-N 0 3 324.515 4.148 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000551811525 341352591 /nfs/dbraw/zinc/35/25/91/341352591.db2.gz DWLOXNVRVGQJFX-MSOLQXFVSA-N 0 3 313.397 4.215 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000551811527 341352685 /nfs/dbraw/zinc/35/26/85/341352685.db2.gz DWLOXNVRVGQJFX-ROUUACIJSA-N 0 3 313.397 4.215 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC(F)(c2cccnc2)CC1 ZINC000551823073 341352971 /nfs/dbraw/zinc/35/29/71/341352971.db2.gz BBCKIVUMCYVZAH-SAPNQHFASA-N 0 3 310.416 4.446 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1ccccc1C(C)(C)C ZINC000551817038 341353040 /nfs/dbraw/zinc/35/30/40/341353040.db2.gz HUARULHRRBCTJE-HNNXBMFYSA-N 0 3 311.473 4.368 20 0 DIADHN Cc1cc(CNCCCc2c(Cl)cccc2Cl)nc(C)n1 ZINC000551827994 341353056 /nfs/dbraw/zinc/35/30/56/341353056.db2.gz YVQADDOSBZTANN-UHFFFAOYSA-N 0 3 324.255 4.123 20 0 DIADHN C[C@@H](Cc1ccsc1)N1CCC(F)(c2cccnc2)CC1 ZINC000551825409 341353229 /nfs/dbraw/zinc/35/32/29/341353229.db2.gz HCEIIJBQMHOWDS-AWEZNQCLSA-N 0 3 304.434 4.035 20 0 DIADHN C[C@@H](N[C@@H](C)c1c(F)cccc1F)[C@H]1COc2ccccc21 ZINC000246719904 341356281 /nfs/dbraw/zinc/35/62/81/341356281.db2.gz NORFVDXIVJFNHP-MBNYWOFBSA-N 0 3 303.352 4.180 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@H]1COc2ccccc21 ZINC000246735826 341356478 /nfs/dbraw/zinc/35/64/78/341356478.db2.gz OBKHVVNYCRQNQN-YWPYICTPSA-N 0 3 303.352 4.180 20 0 DIADHN CC(C)OC1CCN(Cc2ccc3c(c2)CCC(C)(C)O3)CC1 ZINC000551996621 341358547 /nfs/dbraw/zinc/35/85/47/341358547.db2.gz ZGEXVCCBUZGODK-UHFFFAOYSA-N 0 3 317.473 4.180 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2c3cccc(F)c3CC[C@H]2C)cn1 ZINC000246964846 341359156 /nfs/dbraw/zinc/35/91/56/341359156.db2.gz ICVLFKAGIKYVBH-VBHSOAQHSA-N 0 3 301.409 4.016 20 0 DIADHN CS[C@@H]1CCCCN([C@@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000247005281 341359806 /nfs/dbraw/zinc/35/98/06/341359806.db2.gz XCYSOYJXOLALHZ-DZGCQCFKSA-N 0 3 317.458 4.015 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@@H]2CCC[C@H]2C1 ZINC000247076224 341360632 /nfs/dbraw/zinc/36/06/32/341360632.db2.gz JAFSTQPCODTPRJ-XBFCOCLRSA-N 0 3 317.820 4.183 20 0 DIADHN COC[C@H](N[C@H](C)[C@H](C)c1ccc(OC)cc1)c1ccc(C)o1 ZINC000247184134 341361855 /nfs/dbraw/zinc/36/18/55/341361855.db2.gz UANASPOZAIGTMT-DAYGRLMNSA-N 0 3 317.429 4.066 20 0 DIADHN O[C@@H]1CCC[C@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000247294484 341363088 /nfs/dbraw/zinc/36/30/88/341363088.db2.gz HNGDZGFWLPUGJH-BEVDRBHNSA-N 0 3 313.416 4.056 20 0 DIADHN c1c[nH]c([C@@H](NCCc2c[nH]c3ccccc23)C2CCCCC2)n1 ZINC000552034857 341363741 /nfs/dbraw/zinc/36/37/41/341363741.db2.gz KVWUTJDWMILEAU-IBGZPJMESA-N 0 3 322.456 4.345 20 0 DIADHN COc1ccc(-c2cnc(CN([C@H](C)C3CC3)C3CC3)o2)cc1 ZINC000140503580 341363838 /nfs/dbraw/zinc/36/38/38/341363838.db2.gz DERVXYPJDXJOBI-CYBMUJFWSA-N 0 3 312.413 4.113 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2cc(C)cc(Cl)c2)CC1 ZINC000552037819 341363879 /nfs/dbraw/zinc/36/38/79/341363879.db2.gz QEVTUVYNZPOPDA-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)Cc1ccc(O)cc1 ZINC000552042362 341364244 /nfs/dbraw/zinc/36/42/44/341364244.db2.gz AWTOJZSIZXAXHV-VBKFSLOCSA-N 0 3 311.425 4.074 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000247417443 341364460 /nfs/dbraw/zinc/36/44/60/341364460.db2.gz DELJGNZJZNXGQQ-GDBMZVCRSA-N 0 3 304.478 4.423 20 0 DIADHN c1c[nH]c([C@H](NC[C@H]2CCc3ccccc32)C2CCCCC2)n1 ZINC000552044630 341364554 /nfs/dbraw/zinc/36/45/54/341364554.db2.gz IWWMQESYAOVFRG-IEBWSBKVSA-N 0 3 309.457 4.351 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccc(F)cc1F)c1ccc(C)o1 ZINC000552045358 341364780 /nfs/dbraw/zinc/36/47/80/341364780.db2.gz FDTLASHIPKVEFK-PXAZEXFGSA-N 0 3 323.383 4.165 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2cc(C)ccn2)cc1 ZINC000552047612 341364939 /nfs/dbraw/zinc/36/49/39/341364939.db2.gz SJUAGWVZZBPBCN-POAQFYNOSA-N 0 3 310.441 4.269 20 0 DIADHN CC(C)COc1ccc(C(C)(C)CN[C@H](C)c2ncc[nH]2)cc1 ZINC000552049500 341365062 /nfs/dbraw/zinc/36/50/62/341365062.db2.gz XLOQCENHFCCQSZ-OAHLLOKOSA-N 0 3 315.461 4.073 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC(F)(F)[C@H](C)C1 ZINC000552052017 341365287 /nfs/dbraw/zinc/36/52/87/341365287.db2.gz QLORJGJRNOOWEF-BAAYTWLHSA-N 0 3 309.400 4.213 20 0 DIADHN c1c[nH]c([C@@H](NCCc2ccc3[nH]ccc3c2)C2CCCCC2)n1 ZINC000552053612 341365433 /nfs/dbraw/zinc/36/54/33/341365433.db2.gz OADTYEXCQOSGRD-IBGZPJMESA-N 0 3 322.456 4.345 20 0 DIADHN FCC[C@@H]1CCC[C@H]1NCc1c(F)cccc1OC(F)F ZINC000552056826 341365636 /nfs/dbraw/zinc/36/56/36/341365636.db2.gz UVTRQACTNNZSQK-GXFFZTMASA-N 0 3 305.315 4.045 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1cc(C)ccn1 ZINC000552073555 341367734 /nfs/dbraw/zinc/36/77/34/341367734.db2.gz HWUGGOSIJKHBMG-RDPOXOKHSA-N 0 3 324.468 4.392 20 0 DIADHN Clc1cccc(C2CC(NCc3cnn4ccccc34)C2)c1 ZINC000552087965 341368724 /nfs/dbraw/zinc/36/87/24/341368724.db2.gz XYMQCWWMRDVZML-UHFFFAOYSA-N 0 3 311.816 4.024 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)N[C@@H](c1nccn1C)C1CC1 ZINC000552090091 341368911 /nfs/dbraw/zinc/36/89/11/341368911.db2.gz ABGUMNFGQMBTDN-MAUKXSAKSA-N 0 3 311.473 4.217 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H](c1nccn1C)C1CC1 ZINC000552090425 341369092 /nfs/dbraw/zinc/36/90/92/341369092.db2.gz JQKFONKRUHTXOP-OALUTQOASA-N 0 3 311.473 4.262 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000552096642 341369452 /nfs/dbraw/zinc/36/94/52/341369452.db2.gz HEIZIVVCNFPDQH-KGLIPLIRSA-N 0 3 309.413 4.373 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000552096643 341369540 /nfs/dbraw/zinc/36/95/40/341369540.db2.gz HEIZIVVCNFPDQH-UONOGXRCSA-N 0 3 309.413 4.373 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1cc(F)c(F)c(F)c1 ZINC000552097853 341369603 /nfs/dbraw/zinc/36/96/03/341369603.db2.gz OYUHTYHZMMELNU-GFCCVEGCSA-N 0 3 320.358 4.271 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc3c(c2)CCC=C3)c1 ZINC000552132812 341372200 /nfs/dbraw/zinc/37/22/00/341372200.db2.gz JPEUPMSFYRRFGU-UHFFFAOYSA-N 0 3 320.436 4.268 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CC=C(c3ccnn3C)CC2)c(C)c1 ZINC000552143064 341373139 /nfs/dbraw/zinc/37/31/39/341373139.db2.gz UNULJCKMRSITCQ-KRWDZBQOSA-N 0 3 309.457 4.196 20 0 DIADHN CC(C)[C@H](N[C@H](C)C[S@@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000248509726 341378955 /nfs/dbraw/zinc/37/89/55/341378955.db2.gz ZFWGUNZUHAIRBP-MPSXMAJESA-N 0 3 322.301 4.047 20 0 DIADHN Fc1cccc(COc2ccc(CNCc3cc[nH]c3)cc2)c1 ZINC000086249820 341379855 /nfs/dbraw/zinc/37/98/55/341379855.db2.gz GWPUSIKMEXJHEZ-UHFFFAOYSA-N 0 3 310.372 4.023 20 0 DIADHN Fc1ccccc1OC[C@@H](NCc1cc[nH]c1)c1ccccc1 ZINC000086252137 341379975 /nfs/dbraw/zinc/37/99/75/341379975.db2.gz RPQFBDGNVQDGCK-GOSISDBHSA-N 0 3 310.372 4.064 20 0 DIADHN Fc1ccccc1CCCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000552259424 341382039 /nfs/dbraw/zinc/38/20/39/341382039.db2.gz FEPGMZDBTLLDOE-SFHVURJKSA-N 0 3 315.436 4.393 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1nnc(-c2ccccc2)s1 ZINC000248643174 341382558 /nfs/dbraw/zinc/38/25/58/341382558.db2.gz YRJNFHKNCCEONO-VNQPRFMTSA-N 0 3 313.470 4.358 20 0 DIADHN Cc1ccnc([C@@H](C)NCC2(c3ccccc3Cl)CC2)c1 ZINC000552275344 341383105 /nfs/dbraw/zinc/38/31/05/341383105.db2.gz SGESWNWWMNVOHT-CQSZACIVSA-N 0 3 300.833 4.426 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CCCOC(C)C)s2)cc1 ZINC000552286019 341384304 /nfs/dbraw/zinc/38/43/04/341384304.db2.gz JAIJTDWQCBTUHF-UHFFFAOYSA-N 0 3 318.486 4.365 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc(N(C)C)s2)c1C ZINC000552290452 341384567 /nfs/dbraw/zinc/38/45/67/341384567.db2.gz JRDPDVXWWOPRLX-KRWDZBQOSA-N 0 3 315.486 4.163 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1cncc(C)c1 ZINC000552317400 341385950 /nfs/dbraw/zinc/38/59/50/341385950.db2.gz AGGNHGFHPMDOEY-FRTACKCFSA-N 0 3 324.468 4.392 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CC[C@H]1C1CCC1 ZINC000552326301 341386779 /nfs/dbraw/zinc/38/67/79/341386779.db2.gz LHLDDHDLPZMPMW-YJBOKZPZSA-N 0 3 302.437 4.094 20 0 DIADHN Cc1cc(CCN[C@H](C)c2cc3cc(Cl)ccc3o2)on1 ZINC000552332631 341387151 /nfs/dbraw/zinc/38/71/51/341387151.db2.gz YWSYUUCDTNBQJD-LLVKDONJSA-N 0 3 304.777 4.276 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000248821307 341387633 /nfs/dbraw/zinc/38/76/33/341387633.db2.gz LJIWJYCJSBTEDQ-UHOFOFEASA-N 0 3 311.828 4.477 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C[C@H]1CCC2(CCCCC2)O1 ZINC000248841200 341388288 /nfs/dbraw/zinc/38/82/88/341388288.db2.gz NWZITSCOWDXPTD-QZTJIDSGSA-N 0 3 302.462 4.346 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)C[C@H]1CCC2(CCCCC2)O1 ZINC000248841195 341388353 /nfs/dbraw/zinc/38/83/53/341388353.db2.gz NWZITSCOWDXPTD-MSOLQXFVSA-N 0 3 302.462 4.346 20 0 DIADHN C[C@@H](CN(C)C[C@H]1CCC2(CCCCC2)O1)c1nccs1 ZINC000248884980 341389296 /nfs/dbraw/zinc/38/92/96/341389296.db2.gz XOHLGTJLUOQGHG-LSDHHAIUSA-N 0 3 308.491 4.060 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@H]2CCC3(CCCCC3)O2)C1 ZINC000248895618 341389763 /nfs/dbraw/zinc/38/97/63/341389763.db2.gz ZSTOFOYYPQIGRE-ZIAGYGMSSA-N 0 3 305.384 4.143 20 0 DIADHN O[C@@H]1CC[C@@H](CN[C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000248958866 341390760 /nfs/dbraw/zinc/39/07/60/341390760.db2.gz AVBPAGHVKHNIAA-ZMYBRWDISA-N 0 3 315.844 4.180 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H](C)CN2CCC[C@@H](C)C2)cs1 ZINC000248977438 341391153 /nfs/dbraw/zinc/39/11/53/341391153.db2.gz IAXKVBAMZRYKFO-RBSFLKMASA-N 0 3 309.523 4.038 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2cc(Cl)ccc2OC(F)F)C1 ZINC000248994048 341391433 /nfs/dbraw/zinc/39/14/33/341391433.db2.gz GIFQULQEDWDGAS-ZMLRMANQSA-N 0 3 319.779 4.160 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H]1c2ccccc2CC[C@H]1C(C)C ZINC000249213185 341395418 /nfs/dbraw/zinc/39/54/18/341395418.db2.gz YTJZRHTZPSAHAA-KNBMTAEXSA-N 0 3 309.457 4.395 20 0 DIADHN C[C@H](NC[C@]1(C)CCCS1)c1sccc1Br ZINC000087792969 341395466 /nfs/dbraw/zinc/39/54/66/341395466.db2.gz IXGKHRLHVSYWCC-CABZTGNLSA-N 0 3 320.321 4.447 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCCc1nccs1 ZINC000552551425 341398489 /nfs/dbraw/zinc/39/84/89/341398489.db2.gz NASOHGVPWDQQCC-LBPRGKRZSA-N 0 3 324.877 4.479 20 0 DIADHN Cc1cc(C)c([C@@H](C)N[C@@H](CO)c2ccc(F)cc2)cc1C ZINC000552569247 341399160 /nfs/dbraw/zinc/39/91/60/341399160.db2.gz JHJPYXSGSDFCDT-BEFAXECRSA-N 0 3 301.405 4.135 20 0 DIADHN C[C@H](NCC1(C)CC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000552575565 341399344 /nfs/dbraw/zinc/39/93/44/341399344.db2.gz CFCMTQMWAQFRSR-VIFPVBQESA-N 0 3 321.314 4.340 20 0 DIADHN C[C@H](NCCC1Cc2ccccc2C1)c1nc2ccccc2n1C ZINC000552756156 341403578 /nfs/dbraw/zinc/40/35/78/341403578.db2.gz AJGCXLVFGHBYCX-HNNXBMFYSA-N 0 3 319.452 4.029 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)C(C)(C)c1ccccc1 ZINC000089508945 341405122 /nfs/dbraw/zinc/40/51/22/341405122.db2.gz BPVBZNRCZAIVMO-INIZCTEOSA-N 0 3 324.468 4.143 20 0 DIADHN C[C@H](NCc1cccc(OC(F)F)c1)c1ccccc1N(C)C ZINC000089520264 341405371 /nfs/dbraw/zinc/40/53/71/341405371.db2.gz QIEVCTNEFSIWID-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCCc1ccc(F)c(F)c1 ZINC000553009470 341412867 /nfs/dbraw/zinc/41/28/67/341412867.db2.gz OYIYKJVQOFTJFJ-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CCN(C(=O)c1ccc(CN2CCCCC2)cc1)c1ccccc1 ZINC000553010642 341412968 /nfs/dbraw/zinc/41/29/68/341412968.db2.gz SKTXWLLECXDRRT-UHFFFAOYSA-N 0 3 322.452 4.339 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000090085949 341413315 /nfs/dbraw/zinc/41/33/15/341413315.db2.gz POUDFZGXZNILGG-QWRGUYRKSA-N 0 3 304.261 4.441 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccccc1CO)CCC2 ZINC000090404358 341415283 /nfs/dbraw/zinc/41/52/83/341415283.db2.gz ROUQGBCQJPUPJV-NRFANRHFSA-N 0 3 309.453 4.470 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1ccc(Cl)cc1Cl ZINC000251554551 341417326 /nfs/dbraw/zinc/41/73/26/341417326.db2.gz RDVIBGMGCCBDNX-ZEQHWRKHSA-N 0 3 314.256 4.458 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000090588835 341417328 /nfs/dbraw/zinc/41/73/28/341417328.db2.gz REEFUOWMZZGSKA-UKRRQHHQSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccc(C)o2)n(-c2ccccc2)n1 ZINC000090601432 341417575 /nfs/dbraw/zinc/41/75/75/341417575.db2.gz XOGBVAMSIBPXNK-YOEHRIQHSA-N 0 3 323.440 4.364 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)s1)[C@H](O)Cc1ccccc1 ZINC000090653638 341418243 /nfs/dbraw/zinc/41/82/43/341418243.db2.gz UHFIKBRIKVENIO-MBNYWOFBSA-N 0 3 309.862 4.044 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](c2ccccc2)C(C)(C)CO)o1 ZINC000090657455 341418258 /nfs/dbraw/zinc/41/82/58/341418258.db2.gz YWBDYSLAXKSRJN-CRAIPNDOSA-N 0 3 315.457 4.295 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000090717998 341418724 /nfs/dbraw/zinc/41/87/24/341418724.db2.gz ZHLORPWUCMCYOP-ZANVPECISA-N 0 3 319.779 4.017 20 0 DIADHN CCCCOCCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091261485 341423675 /nfs/dbraw/zinc/42/36/75/341423675.db2.gz CXPCRDGNJQGMJU-ZDUSSCGKSA-N 0 3 323.868 4.084 20 0 DIADHN Cc1ccc2nccc(N[C@@H](C)c3ccc4n[nH]cc4c3)c2c1 ZINC000553388841 341427523 /nfs/dbraw/zinc/42/75/23/341427523.db2.gz QTQRJBDZDJBECB-ZDUSSCGKSA-N 0 3 302.381 4.015 20 0 DIADHN CCCCC1CCC(C(=O)N2C[C@H](N3CCCC3)C[C@H]2C)CC1 ZINC000553503797 341430641 /nfs/dbraw/zinc/43/06/41/341430641.db2.gz FVNHRABMBDJMNV-LWSMDNFKSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1cc(C)c(CNCc2cnc(-c3ccncc3)s2)c(C)c1 ZINC000553771948 341435669 /nfs/dbraw/zinc/43/56/69/341435669.db2.gz ULCBHDJMJYPRSU-UHFFFAOYSA-N 0 3 323.465 4.420 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCSC2)c2cccnc2)cc1 ZINC000554071937 341442575 /nfs/dbraw/zinc/44/25/75/341442575.db2.gz BDGYVXRZWDFISH-KSSFIOAISA-N 0 3 316.445 4.043 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000554096472 341444152 /nfs/dbraw/zinc/44/41/52/341444152.db2.gz YVEAXJJWXWWKQP-JTQLQIEISA-N 0 3 307.381 4.219 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCC2(C)C)nnn1-c1ccc(Cl)cc1 ZINC000554097358 341444282 /nfs/dbraw/zinc/44/42/82/341444282.db2.gz YIGARQLBQSOQGY-ABAIWWIYSA-N 0 3 318.852 4.068 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000554266333 341448040 /nfs/dbraw/zinc/44/80/40/341448040.db2.gz VOOCABBUIZFXQU-CZUORRHYSA-N 0 3 324.896 4.320 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCCCO[C@@H]1CCCCO1 ZINC000554816056 341465237 /nfs/dbraw/zinc/46/52/37/341465237.db2.gz AZHGDZGZCPLMAC-VQIMIIECSA-N 0 3 321.461 4.058 20 0 DIADHN COc1ccc(CNCCC2C[C@@H](C)O[C@H](C)C2)c(Cl)c1 ZINC000554938115 341469920 /nfs/dbraw/zinc/46/99/20/341469920.db2.gz PKYQJXSXQJSSMB-CHWSQXEVSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@H](N[C@H](CO)CCC(C)(C)C)c1nccc2ccccc21 ZINC000555002702 341472495 /nfs/dbraw/zinc/47/24/95/341472495.db2.gz KXSGICYQIRUSGB-HOCLYGCPSA-N 0 3 300.446 4.073 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1cccc(N2CCCC2=O)c1 ZINC000555191000 341476930 /nfs/dbraw/zinc/47/69/30/341476930.db2.gz WOCJJNPUQMNYJW-IVEQORNPSA-N 0 3 320.436 4.177 20 0 DIADHN Cc1nocc1CNC(C)(C)Cc1ccc(Cl)cc1Cl ZINC000555552784 341484944 /nfs/dbraw/zinc/48/49/44/341484944.db2.gz ULNPKTIFIVFIJU-UHFFFAOYSA-N 0 3 313.228 4.401 20 0 DIADHN Cc1ccc(CNCC[C@@H](c2ccccc2)C(F)(F)F)nc1 ZINC000555593383 341486288 /nfs/dbraw/zinc/48/62/88/341486288.db2.gz VZXFJXNMWNYMJW-INIZCTEOSA-N 0 3 308.347 4.216 20 0 DIADHN COC1(CCNCc2ccc(Oc3ccccc3)o2)CCC1 ZINC000555601310 341486658 /nfs/dbraw/zinc/48/66/58/341486658.db2.gz NQWLZFGGTPQNRV-UHFFFAOYSA-N 0 3 301.386 4.121 20 0 DIADHN FC(F)(F)c1ccnc(CCNCc2cc3ccccc3o2)c1 ZINC000555615721 341487245 /nfs/dbraw/zinc/48/72/45/341487245.db2.gz MUSLXQHNHMERHG-UHFFFAOYSA-N 0 3 320.314 4.179 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1ccncc1F ZINC000556178442 341496413 /nfs/dbraw/zinc/49/64/13/341496413.db2.gz HKBZGDUVEKMDIZ-UXIGCNINSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1c([C@@H](C)NC2(C(C)C)CC2)nnn1-c1cccc(Cl)c1 ZINC000556228665 341498155 /nfs/dbraw/zinc/49/81/55/341498155.db2.gz CEWDRTQAVUNYLP-GFCCVEGCSA-N 0 3 318.852 4.068 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1ncccc1N(C)C ZINC000564438142 341501884 /nfs/dbraw/zinc/50/18/84/341501884.db2.gz ICIQSORRONTZBI-KBPBESRZSA-N 0 3 317.864 4.083 20 0 DIADHN Cc1c2cc(C)ccc2[nH]c1C(=O)Nc1ccccc1CN(C)C ZINC000564791262 341509762 /nfs/dbraw/zinc/50/97/62/341509762.db2.gz ZBKJREYCSBMCCQ-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2)cc1)c1cc[nH]c(=O)c1 ZINC000565137533 341518153 /nfs/dbraw/zinc/51/81/53/341518153.db2.gz GYLYNANDTMBGEN-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(N(C)C)nc2)c2ccccc21 ZINC000565343744 341524538 /nfs/dbraw/zinc/52/45/38/341524538.db2.gz RYXLMOPEOKNFST-MOPGFXCFSA-N 0 3 309.457 4.122 20 0 DIADHN C[C@@H](NCCCCCOc1ccccc1)c1c(F)cncc1F ZINC000565452273 341527841 /nfs/dbraw/zinc/52/78/41/341527841.db2.gz ZGXITVHSQFJALC-CQSZACIVSA-N 0 3 320.383 4.260 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)no1 ZINC000153186683 341528627 /nfs/dbraw/zinc/52/86/27/341528627.db2.gz FVFZIXAOMHCGIJ-HOCLYGCPSA-N 0 3 324.346 4.428 20 0 DIADHN C[C@H](NCCc1cc2ccccc2s1)c1ccc(F)cn1 ZINC000565671496 341534562 /nfs/dbraw/zinc/53/45/62/341534562.db2.gz SVNVOFJIEYDQLL-LBPRGKRZSA-N 0 3 300.402 4.329 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC(c2ccncc2)CC1 ZINC000565743389 341536889 /nfs/dbraw/zinc/53/68/89/341536889.db2.gz KNIWBIOXVJHLSA-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN COc1ncccc1CCN[C@@H](C)c1oc2ccccc2c1C ZINC000565863188 341540488 /nfs/dbraw/zinc/54/04/88/341540488.db2.gz QEVCIJPHFZQCDF-AWEZNQCLSA-N 0 3 310.397 4.038 20 0 DIADHN CC[C@@H](NCc1cn2ccc(Br)cc2n1)C(C)(C)C ZINC000565884276 341541392 /nfs/dbraw/zinc/54/13/92/341541392.db2.gz IUGZGNQXBGXADM-CYBMUJFWSA-N 0 3 324.266 4.011 20 0 DIADHN CCC[C@@H](NC[C@H]1CSc2ccccc2O1)c1ccccn1 ZINC000566220015 341551083 /nfs/dbraw/zinc/55/10/83/341551083.db2.gz HMFYQLYGRJQNNV-LSDHHAIUSA-N 0 3 314.454 4.066 20 0 DIADHN COC(=O)CC[C@@H](CC1CCCCC1)N[C@@H](C)c1ccccn1 ZINC000566242967 341551666 /nfs/dbraw/zinc/55/16/66/341551666.db2.gz ODFLNYAHCAGKKB-RDJZCZTQSA-N 0 3 318.461 4.024 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1ccc2cnn(C)c2c1 ZINC000566652977 341564290 /nfs/dbraw/zinc/56/42/90/341564290.db2.gz NVIXNGLNEDMJAD-XCLFUZPHSA-N 0 3 323.415 4.126 20 0 DIADHN CC(C)(C)[C@@H](O)CN(Cc1cccs1)Cc1cccs1 ZINC000566702182 341565570 /nfs/dbraw/zinc/56/55/70/341565570.db2.gz YJUZSVNQMWOWAW-HNNXBMFYSA-N 0 3 309.500 4.219 20 0 DIADHN C/C=C/c1ccc(NC2CCN(Cc3ccncc3)CC2)cc1 ZINC000566756065 341567296 /nfs/dbraw/zinc/56/72/96/341567296.db2.gz PJJGQEXYSVOVIH-NSCUHMNNSA-N 0 3 307.441 4.191 20 0 DIADHN Cc1nc2ccccc2c(NCc2noc3ccccc23)c1C ZINC000566947699 341572479 /nfs/dbraw/zinc/57/24/79/341572479.db2.gz WECJSSMKQXXZBI-UHFFFAOYSA-N 0 3 303.365 4.027 20 0 DIADHN COCCNc1ccc(CN[C@H]2C[C@H](C)c3c2cccc3C)cc1 ZINC000153614989 341575720 /nfs/dbraw/zinc/57/57/20/341575720.db2.gz BOVYTOMLCQAXMU-JXFKEZNVSA-N 0 3 324.468 4.391 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCc3c2cccc3F)c1 ZINC000267099507 341589552 /nfs/dbraw/zinc/58/95/52/341589552.db2.gz ZBGRFIHQBIZDPT-HXPMCKFVSA-N 0 3 312.388 4.122 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cccc(C(C)(C)C)c1 ZINC000567402909 341601672 /nfs/dbraw/zinc/60/16/72/341601672.db2.gz ALQSSYSGLOCECH-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cccc(N3CCCC3=O)c2)c1 ZINC000155255565 341605065 /nfs/dbraw/zinc/60/50/65/341605065.db2.gz ROUWONGVBOVSHT-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(N3CCCC3=O)c2)cc1C ZINC000155278607 341605470 /nfs/dbraw/zinc/60/54/70/341605470.db2.gz ZSOLUDVHHNPBRG-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN C[C@@H](N[C@H](C)C(c1ccccc1)c1ccccc1)c1cnccn1 ZINC000155594155 341610057 /nfs/dbraw/zinc/61/00/57/341610057.db2.gz OUELYVOGZKCWTM-IAGOWNOFSA-N 0 3 317.436 4.348 20 0 DIADHN CC(C)C(CN[C@@H](c1cnn(C)c1)c1cccc(F)c1)C(C)C ZINC000567611562 341620119 /nfs/dbraw/zinc/62/01/19/341620119.db2.gz SOYXSBIGUSGLQG-LJQANCHMSA-N 0 3 317.452 4.166 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](C)c2cc(C)c(C)cc2C)cc1 ZINC000156570941 341623087 /nfs/dbraw/zinc/62/30/87/341623087.db2.gz FCVLGLKWRHBCOM-QGZVFWFLSA-N 0 3 324.468 4.212 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccsc1Cl ZINC000312555288 341626268 /nfs/dbraw/zinc/62/62/68/341626268.db2.gz JZIRXLFCFYCVPV-UHFFFAOYSA-N 0 3 310.850 4.084 20 0 DIADHN CC(C)COc1ccc([C@@H](C)NCc2ccc(CO)cc2)cc1 ZINC000272372964 341627625 /nfs/dbraw/zinc/62/76/25/341627625.db2.gz AYMBRNXJKYIPCR-MRXNPFEDSA-N 0 3 313.441 4.065 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cc(Cl)sc2Cl)CCO1 ZINC000312771087 341628618 /nfs/dbraw/zinc/62/86/18/341628618.db2.gz RKVRAOGVDDFATL-MNOVXSKESA-N 0 3 308.274 4.348 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H]3CCO[C@H](C4CC4)C3)o2)cc1 ZINC000156928558 341628982 /nfs/dbraw/zinc/62/89/82/341628982.db2.gz PNNLLABRGVZJKB-XLIONFOSSA-N 0 3 311.425 4.302 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2cc(F)ccc2F)cs1 ZINC000156954350 341629359 /nfs/dbraw/zinc/62/93/59/341629359.db2.gz MCAKKHITEUTUTB-LLVKDONJSA-N 0 3 310.413 4.438 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2cc(F)c(F)c(F)c2)cs1 ZINC000157092890 341632359 /nfs/dbraw/zinc/63/23/59/341632359.db2.gz YCHWJXHHKPYJPK-VIFPVBQESA-N 0 3 314.376 4.016 20 0 DIADHN Cc1cnc(C(C)(C)NCCc2ccc(F)cc2Cl)s1 ZINC000568148591 341654257 /nfs/dbraw/zinc/65/42/57/341654257.db2.gz DJIOGTIXZCXFSZ-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN C[C@H](C(=O)N1CCCC[C@H]1c1ccccc1)N1CCCCCC1 ZINC000568160416 341655331 /nfs/dbraw/zinc/65/53/31/341655331.db2.gz FMFZDGHMLNOSNR-MJGOQNOKSA-N 0 3 314.473 4.005 20 0 DIADHN CC[C@@H](CN[C@H](CSC)c1ccc(C(F)(F)F)cc1)OC ZINC000568569135 341674425 /nfs/dbraw/zinc/67/44/25/341674425.db2.gz FBEWMFYETINUSB-UONOGXRCSA-N 0 3 321.408 4.124 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@H](C)c2cscn2)cc1OC ZINC000568883766 341684280 /nfs/dbraw/zinc/68/42/80/341684280.db2.gz SYTWDPPPMQZKHT-FRRDWIJNSA-N 0 3 320.458 4.003 20 0 DIADHN CCCC[C@H](C)[C@H](C)Nc1cccc(CN2CCC(O)CC2)c1 ZINC000569167394 341692859 /nfs/dbraw/zinc/69/28/59/341692859.db2.gz YWNXUWJJOCJKLO-IRXDYDNUSA-N 0 3 318.505 4.270 20 0 DIADHN CO[C@@H](CNCc1cc(C)c(O)c(C)c1)c1ccc(Cl)cc1 ZINC000569171822 341692896 /nfs/dbraw/zinc/69/28/96/341692896.db2.gz LNAWASHLNOCJDN-KRWDZBQOSA-N 0 3 319.832 4.140 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H]1CCCc2c1cnn2C)C(C)(C)C ZINC000163469473 341698677 /nfs/dbraw/zinc/69/86/77/341698677.db2.gz JMGOGMWIMSIHGB-MJGOQNOKSA-N 0 3 311.473 4.483 20 0 DIADHN CCc1nocc1CNC[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000573580063 341732496 /nfs/dbraw/zinc/73/24/96/341732496.db2.gz FERZJKRJUSVECX-LLVKDONJSA-N 0 3 312.335 4.149 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1cnc(C(C)(C)C)s1 ZINC000573625367 341734006 /nfs/dbraw/zinc/73/40/06/341734006.db2.gz HDZPHBVQQYGPLO-UHFFFAOYSA-N 0 3 312.523 4.108 20 0 DIADHN Cc1ccncc1CCCN1CCC(c2ccccc2F)CC1 ZINC000573645298 341734418 /nfs/dbraw/zinc/73/44/18/341734418.db2.gz MVUZSXFTWPYRJH-UHFFFAOYSA-N 0 3 312.432 4.341 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2nccc3ccccc32)s1 ZINC000573647671 341734728 /nfs/dbraw/zinc/73/47/28/341734728.db2.gz LCCMEZJLDDKWKF-CYBMUJFWSA-N 0 3 311.454 4.147 20 0 DIADHN CCCOc1cccc(CCN[C@H](C)c2cn3ccccc3n2)c1 ZINC000573748397 341738137 /nfs/dbraw/zinc/73/81/37/341738137.db2.gz YHIOHFLMMXLAOS-MRXNPFEDSA-N 0 3 323.440 4.016 20 0 DIADHN CO[C@@](C)(CN[C@@H]1CCSc2ccc(Cl)cc21)C1CC1 ZINC000336832121 341745631 /nfs/dbraw/zinc/74/56/31/341745631.db2.gz FEPLYZUXZGNOAR-ZBFHGGJFSA-N 0 3 311.878 4.282 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1[C@@H](C)NC[C@@H]1CCCS1 ZINC000168665594 341747599 /nfs/dbraw/zinc/74/75/99/341747599.db2.gz ZMBKACGQJKSZEC-DYVFJYSZSA-N 0 3 315.486 4.035 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cc(Cl)cc2Cl)c1cc[nH]c(=O)c1 ZINC000574213047 341752085 /nfs/dbraw/zinc/75/20/85/341752085.db2.gz XABZOXJQTAUZCN-RFAUZJTJSA-N 0 3 323.223 4.432 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](C)c1ccncc1CC)CCC2 ZINC000574226416 341752418 /nfs/dbraw/zinc/75/24/18/341752418.db2.gz JNJXSKVIDKFVNT-DOMZBBRYSA-N 0 3 315.486 4.391 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNC[C@@H]2CCSC2)c1 ZINC000574361818 341756280 /nfs/dbraw/zinc/75/62/80/341756280.db2.gz YJFOYBGNCGAVEY-VIFPVBQESA-N 0 3 309.784 4.202 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H]1CCc2c1ccc(OC)c2F ZINC000574550805 341761824 /nfs/dbraw/zinc/76/18/24/341761824.db2.gz DBNNICJTSVBIAH-PXAZEXFGSA-N 0 3 314.404 4.130 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccc2[nH]ccc2c1 ZINC000574583940 341763048 /nfs/dbraw/zinc/76/30/48/341763048.db2.gz RQIARIJMBOOWID-DJJJIMSYSA-N 0 3 312.388 4.173 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@H]1CCCC[C@H]1C)c1ccco1 ZINC000574597384 341763314 /nfs/dbraw/zinc/76/33/14/341763314.db2.gz VPNMUUYDNIQMDD-YYIAUSFCSA-N 0 3 320.477 4.041 20 0 DIADHN CSc1ccccc1CN[C@@H](c1cccs1)C(C)(C)CO ZINC000574608524 341763644 /nfs/dbraw/zinc/76/36/44/341763644.db2.gz XDHYFEMASPDKIH-INIZCTEOSA-N 0 3 321.511 4.319 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000574738615 341767498 /nfs/dbraw/zinc/76/74/98/341767498.db2.gz TURRSWHMOYRFHP-HLPPOEQASA-N 0 3 316.470 4.417 20 0 DIADHN COc1ccc([C@@H](NCc2ccccc2N(C)C)C(C)C)cc1 ZINC000171127706 341772110 /nfs/dbraw/zinc/77/21/10/341772110.db2.gz KXDFGVORNFNSGZ-FQEVSTJZSA-N 0 3 312.457 4.248 20 0 DIADHN Cc1nc2ccccc2c(N2CCC[C@]3(CCCOC3)C2)c1C ZINC000575020998 341772271 /nfs/dbraw/zinc/77/22/71/341772271.db2.gz LMXMBVRDEIIIBV-FQEVSTJZSA-N 0 3 310.441 4.249 20 0 DIADHN Fc1ccc2c(c1)onc2C1CCN([C@@H]2C=CCCC2)CC1 ZINC000575166422 341782528 /nfs/dbraw/zinc/78/25/28/341782528.db2.gz HUAZOYSLAJDSGO-OAHLLOKOSA-N 0 3 300.377 4.255 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H]2CCSc3ccc(F)cc32)C12CCC2 ZINC000336853427 341808111 /nfs/dbraw/zinc/80/81/11/341808111.db2.gz HDXUXTDPYUTBOC-USXIJHARSA-N 0 3 321.461 4.300 20 0 DIADHN C[C@@H](O)CC(C)(C)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000174465052 341827557 /nfs/dbraw/zinc/82/75/57/341827557.db2.gz BSHFBWLXTPBATC-CYBMUJFWSA-N 0 3 321.848 4.487 20 0 DIADHN C[C@H](NCc1ccsc1)c1cccc(OC(F)(F)F)c1 ZINC000175754091 341845380 /nfs/dbraw/zinc/84/53/80/341845380.db2.gz JJBBPYXRFMUJPR-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN CC(C)(C)c1cc(CN[C@H]2CCC[C@H]2Cc2ccccc2)no1 ZINC000576505393 341849732 /nfs/dbraw/zinc/84/97/32/341849732.db2.gz DTNDCSWZPGDJSK-WMZOPIPTSA-N 0 3 312.457 4.473 20 0 DIADHN COc1ccc([C@H](NCc2c(C)nsc2C)C2CC2)cc1 ZINC000576714183 341859908 /nfs/dbraw/zinc/85/99/08/341859908.db2.gz UBNCJCGOEPVPCG-QGZVFWFLSA-N 0 3 302.443 4.009 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H]1CCc2sc(Cl)cc21 ZINC000576747242 341861210 /nfs/dbraw/zinc/86/12/10/341861210.db2.gz LSZZFCUIUOJVLA-UKRRQHHQSA-N 0 3 321.873 4.236 20 0 DIADHN COCC1(CCNCc2csc(-c3ccc(C)cc3)n2)CC1 ZINC000580563428 341879444 /nfs/dbraw/zinc/87/94/44/341879444.db2.gz TYOHQWWMSJRORL-UHFFFAOYSA-N 0 3 316.470 4.025 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@@H]1C)c1ccccc1F ZINC000577067855 341883158 /nfs/dbraw/zinc/88/31/58/341883158.db2.gz IMFIWTNORWMPLL-DHHNYBAUSA-N 0 3 313.416 4.029 20 0 DIADHN CCc1cccc(CN2CCC(Nc3nc(C)cs3)CC2)c1 ZINC000577091111 341885030 /nfs/dbraw/zinc/88/50/30/341885030.db2.gz FIFDMUFORYGILR-UHFFFAOYSA-N 0 3 315.486 4.090 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1cnc(C(C)(C)C)nc1 ZINC000577357868 341905712 /nfs/dbraw/zinc/90/57/12/341905712.db2.gz XFZMCOYHCSMBOT-UHFFFAOYSA-N 0 3 311.473 4.150 20 0 DIADHN C[C@H](N[C@@H]1CCC1(C)C)C(=O)Nc1cccc(Cl)c1Cl ZINC000577525201 341915647 /nfs/dbraw/zinc/91/56/47/341915647.db2.gz NLRZOAQJXLAPFN-JOYOIKCWSA-N 0 3 315.244 4.099 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cccc(Br)c1C ZINC000577555848 341917335 /nfs/dbraw/zinc/91/73/35/341917335.db2.gz XYFMWYBMFHWXPB-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCC(=O)Nc3ccccc32)cc1 ZINC000577575799 341919645 /nfs/dbraw/zinc/91/96/45/341919645.db2.gz HSQDSQQQYKQKTO-GOSISDBHSA-N 0 3 323.440 4.072 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1cnc(-c2ccccc2)nc1 ZINC000577585018 341920636 /nfs/dbraw/zinc/92/06/36/341920636.db2.gz FHFKDUDIZUECSQ-MRXNPFEDSA-N 0 3 317.383 4.059 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2ccc(-c3ccc(F)cc3)cc2)n1C ZINC000577769615 341936112 /nfs/dbraw/zinc/93/61/12/341936112.db2.gz QZPGYTRKOKJQEF-AWEZNQCLSA-N 0 3 323.415 4.385 20 0 DIADHN Cc1cc([C@H](C)NC[C@](C)(Cc2ccccc2)[C@H](C)O)c(C)o1 ZINC000577821101 341939959 /nfs/dbraw/zinc/93/99/59/341939959.db2.gz AIXNIHNBMREXKL-KNBMTAEXSA-N 0 3 315.457 4.177 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@H]4C[C@H]43)n2)cc1F ZINC000577869238 341945208 /nfs/dbraw/zinc/94/52/08/341945208.db2.gz ZYRKZMOPKQQXCM-CAVOSLQRSA-N 0 3 315.392 4.023 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3OC)cc1 ZINC000178471609 341955752 /nfs/dbraw/zinc/95/57/52/341955752.db2.gz WMEUSYNFWVGYOP-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN CCC[C@H](C)[C@H](CO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000577957477 341958244 /nfs/dbraw/zinc/95/82/44/341958244.db2.gz UBYPRVHQNSHWRW-LZWOXQAQSA-N 0 3 318.486 4.258 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)c2cn(C)nc2C)cc1 ZINC000178621518 341960093 /nfs/dbraw/zinc/96/00/93/341960093.db2.gz KRSWJTWWPJKYKV-CHWSQXEVSA-N 0 3 303.475 4.252 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@H](c1ccsc1)c1ccc(Cl)cc1 ZINC000178682371 341961782 /nfs/dbraw/zinc/96/17/82/341961782.db2.gz NTCAFIQZGBJBOJ-XZJROXQQSA-N 0 3 307.846 4.258 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H]1CCCc2cc(N)ccc21 ZINC000569801832 518167686 /nfs/dbraw/zinc/16/76/86/518167686.db2.gz FSIYGMOHJHKYOH-PMACEKPBSA-N 0 3 322.452 4.322 20 0 DIADHN Cc1sccc1CNC[C@@H](c1ccccc1Cl)N(C)C ZINC000555510820 518194554 /nfs/dbraw/zinc/19/45/54/518194554.db2.gz USRXHKQVICJFGP-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN O=C1CC[C@H](N[C@@H]2C[C@@H]2C2CCCCC2)c2cc(F)ccc2N1 ZINC000360616362 518202510 /nfs/dbraw/zinc/20/25/10/518202510.db2.gz UJWFIOHTZFZODL-UWWQBHOKSA-N 0 3 316.420 4.158 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@H]2c2cccs2)cc1 ZINC000162695753 519716570 /nfs/dbraw/zinc/71/65/70/519716570.db2.gz DOXBJUFRKDBMKI-INIZCTEOSA-N 0 3 300.427 4.044 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCCOC2CCCCCC2)c1 ZINC000131543264 519746745 /nfs/dbraw/zinc/74/67/45/519746745.db2.gz BXFKPEJWZGSYBA-OAHLLOKOSA-N 0 3 318.461 4.035 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCCCC[C@H]1c1ccncc1 ZINC000157034524 519750763 /nfs/dbraw/zinc/75/07/63/519750763.db2.gz LTZSSCBSWZUPEU-FQEVSTJZSA-N 0 3 323.440 4.157 20 0 DIADHN CC(C)[C@@H](NC[C@@H]1CCCC(F)(F)C1)c1nc(C2CC2)no1 ZINC000338284365 519799062 /nfs/dbraw/zinc/79/90/62/519799062.db2.gz ADCFUTQAAKYABE-DGCLKSJQSA-N 0 3 313.392 4.059 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CCCC(F)(F)C1)c1nc(C2CC2)no1 ZINC000338284367 519799161 /nfs/dbraw/zinc/79/91/61/519799161.db2.gz ADCFUTQAAKYABE-WCQYABFASA-N 0 3 313.392 4.059 20 0 DIADHN CCC1(CNCc2csc(-c3cccs3)n2)CCOCC1 ZINC000266621087 519842824 /nfs/dbraw/zinc/84/28/24/519842824.db2.gz LFDIECCMHMQAFS-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN CCC1(CNCc2csc(-c3ccsc3)n2)CCOCC1 ZINC000266600820 519842877 /nfs/dbraw/zinc/84/28/77/519842877.db2.gz DHGGBINTRQKMQI-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@]2(C)CCO[C@H]2C2CC2)cs1 ZINC000364225817 519867424 /nfs/dbraw/zinc/86/74/24/519867424.db2.gz IVRNFNXAKIQAJJ-YLQAJVPDSA-N 0 3 308.491 4.122 20 0 DIADHN CCCCCCC(=O)N(Cc1ccccc1)C1CCN(C)CC1 ZINC000267798554 519950092 /nfs/dbraw/zinc/95/00/92/519950092.db2.gz WYCVDUNMRXMBDI-UHFFFAOYSA-N 0 3 316.489 4.080 20 0 DIADHN CCCNc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1 ZINC000193361171 520160608 /nfs/dbraw/zinc/16/06/08/520160608.db2.gz ZQTMAYCXWYBDHA-INIZCTEOSA-N 0 3 315.486 4.441 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cccc(OC(F)F)c2)CC1 ZINC000108392684 520286521 /nfs/dbraw/zinc/28/65/21/520286521.db2.gz ICRQMRVQWVDXJZ-HNNXBMFYSA-N 0 3 313.388 4.069 20 0 DIADHN CC1(C)CCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000191301593 520361571 /nfs/dbraw/zinc/36/15/71/520361571.db2.gz KFNLLWIZFWWHMD-CYBMUJFWSA-N 0 3 305.809 4.058 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cccc(CO)c2)c(C)c1 ZINC000189268538 520372272 /nfs/dbraw/zinc/37/22/72/520372272.db2.gz FYNLAZNJMXPAKS-INIZCTEOSA-N 0 3 313.441 4.127 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCc3sccc32)cn1 ZINC000156691553 520373487 /nfs/dbraw/zinc/37/34/87/520373487.db2.gz XUTBMTROJGEUST-OAHLLOKOSA-N 0 3 302.443 4.099 20 0 DIADHN CCO[C@H](CCN[C@@H](c1ccccc1)c1ccccn1)C(C)C ZINC000180694274 520513075 /nfs/dbraw/zinc/51/30/75/520513075.db2.gz XGVPZGCOKYYUKI-UXHICEINSA-N 0 3 312.457 4.212 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cnc3ccccc3c2)C12CCC2 ZINC000337145680 520541631 /nfs/dbraw/zinc/54/16/31/520541631.db2.gz DYAHNKOIRNKIIU-MOPGFXCFSA-N 0 3 310.441 4.014 20 0 DIADHN CC1(CN[C@H](c2ccccc2)c2ccc(Cl)cc2)COC1 ZINC000180533323 520736342 /nfs/dbraw/zinc/73/63/42/520736342.db2.gz QYHYDUOUTHJYGX-QGZVFWFLSA-N 0 3 301.817 4.056 20 0 DIADHN CCC[C@@H](NC[C@@](C)(O)c1cccc(Cl)c1)c1cccnc1 ZINC000185304443 520776104 /nfs/dbraw/zinc/77/61/04/520776104.db2.gz NCBHLNQORULSMT-QZTJIDSGSA-N 0 3 318.848 4.074 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2ccn(C)c2)cc1OC ZINC000158568968 520894763 /nfs/dbraw/zinc/89/47/63/520894763.db2.gz RMJSNHCHBCHQLC-OAHLLOKOSA-N 0 3 316.445 4.063 20 0 DIADHN CCC[C@H](NCc1ccc(OC)c(OCC)c1)c1cccnc1 ZINC000185452525 521163293 /nfs/dbraw/zinc/16/32/93/521163293.db2.gz VVWBGSYMJZNMBD-KRWDZBQOSA-N 0 3 314.429 4.120 20 0 DIADHN CCOc1ccc([C@@H](CC)NCc2ccn(C)c2)cc1OCC ZINC000158570712 521229728 /nfs/dbraw/zinc/22/97/28/521229728.db2.gz ZZEDBPGJRIWKBL-QGZVFWFLSA-N 0 3 316.445 4.063 20 0 DIADHN CCCC[C@H](NCc1ccc(NC(N)=O)cc1)c1ccccc1 ZINC000266831833 521240001 /nfs/dbraw/zinc/24/00/01/521240001.db2.gz DUVKLVMLAZPABC-SFHVURJKSA-N 0 3 311.429 4.198 20 0 DIADHN CCCC[C@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(OC)cc1 ZINC000367743217 521243770 /nfs/dbraw/zinc/24/37/70/521243770.db2.gz ZBAUUWLMNCVTKL-ROUUACIJSA-N 0 3 313.445 4.317 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC1(C)CCOCC1 ZINC000183084808 521899346 /nfs/dbraw/zinc/89/93/46/521899346.db2.gz SXFCTCFAXROFJA-UHFFFAOYSA-N 0 3 311.425 4.021 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000264764339 521974637 /nfs/dbraw/zinc/97/46/37/521974637.db2.gz YZDRSCQAQDKSJM-VXGBXAGGSA-N 0 3 316.367 4.010 20 0 DIADHN CCc1nc(CCN[C@H](CC(C)C)c2ccccn2)cs1 ZINC000157053426 522285482 /nfs/dbraw/zinc/28/54/82/522285482.db2.gz IAVLILBOMVEAOL-MRXNPFEDSA-N 0 3 303.475 4.020 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCC[C@H](c3cccnc3)C2)cs1 ZINC000337379858 522457992 /nfs/dbraw/zinc/45/79/92/522457992.db2.gz IPJAMLGNKWTBHA-DZKIICNBSA-N 0 3 315.486 4.478 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H]1CCc3c1cccc3O)CCC2 ZINC000130450178 522480450 /nfs/dbraw/zinc/48/04/50/522480450.db2.gz HJMZVNOFNVCRAZ-ZIAGYGMSSA-N 0 3 314.454 4.066 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(-n3ccnc3)cc2)ccc1C ZINC000161799586 522484910 /nfs/dbraw/zinc/48/49/10/522484910.db2.gz NTCHUXDGVCPLKM-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@H](NCCSC(C)C)c1ccccc1OC(F)F ZINC000338282562 522597055 /nfs/dbraw/zinc/59/70/55/522597055.db2.gz GRXNHJZLLKVLIK-ZDUSSCGKSA-N 0 3 303.418 4.470 20 0 DIADHN CC[C@H](NCc1ccc(-n2nc(C)cc2C)nc1)c1ccccc1 ZINC000162777880 522643361 /nfs/dbraw/zinc/64/33/61/522643361.db2.gz JWBYSQUABFZXLN-IBGZPJMESA-N 0 3 320.440 4.125 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCCC2)nc1)c1ccccc1 ZINC000264864936 522649337 /nfs/dbraw/zinc/64/93/37/522649337.db2.gz NCEZACCVTGGWEP-IBGZPJMESA-N 0 3 309.457 4.313 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H](c2cccnc2)C1)c1nccs1 ZINC000337405490 522717291 /nfs/dbraw/zinc/71/72/91/522717291.db2.gz TXHHHWVIUWNVSC-IMJJTQAJSA-N 0 3 301.459 4.305 20 0 DIADHN CC[C@@H](NCCc1nccs1)c1ccccc1OC(F)F ZINC000127951040 522845099 /nfs/dbraw/zinc/84/50/99/522845099.db2.gz GBMPIGATHMLIKE-GFCCVEGCSA-N 0 3 312.385 4.028 20 0 DIADHN CC[C@@H](NCc1cccc(O)c1)c1cccc(Br)c1 ZINC000154446038 522880770 /nfs/dbraw/zinc/88/07/70/522880770.db2.gz AQBOECXOSDGOOL-MRXNPFEDSA-N 0 3 320.230 4.396 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)[C@@H](C)OC)ccc1SC(F)F ZINC000191652308 522908538 /nfs/dbraw/zinc/90/85/38/522908538.db2.gz BBPBTDVXYQIFIG-HBNTYKKESA-N 0 3 319.417 4.084 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(OC)c(OC)cc1C)c1ccncc1 ZINC000163643729 522910595 /nfs/dbraw/zinc/91/05/95/522910595.db2.gz NYIVOFLRTBEBAU-WMLDXEAASA-N 0 3 314.429 4.209 20 0 DIADHN COCc1cc(CNCc2ccc3nc(C)ccc3c2)ccc1F ZINC000189328491 522925096 /nfs/dbraw/zinc/92/50/96/522925096.db2.gz BEOLXFGMGMIYAV-UHFFFAOYSA-N 0 3 324.399 4.119 20 0 DIADHN CC[C@@H](N[C@H]1CCCOc2ccc(OC)cc21)c1ccncc1 ZINC000182225639 522949150 /nfs/dbraw/zinc/94/91/50/522949150.db2.gz ZTEWWSRMYHJQPY-MSOLQXFVSA-N 0 3 312.413 4.045 20 0 DIADHN COCCCCCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000180548608 523133599 /nfs/dbraw/zinc/13/35/99/523133599.db2.gz BIUAAMRYXYQUGO-AWEZNQCLSA-N 0 3 307.331 4.226 20 0 DIADHN CN(Cc1cnc2ccccc2c1)Cc1cccc2[nH]ccc21 ZINC000337230008 523496953 /nfs/dbraw/zinc/49/69/53/523496953.db2.gz HZJPDMSPQXROPJ-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CO[C@@H](CN(C)C[C@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000338285150 523500582 /nfs/dbraw/zinc/50/05/82/523500582.db2.gz DMDOBLKYRQYNMF-WFASDCNBSA-N 0 3 324.877 4.220 20 0 DIADHN COCCOc1ccc(CN[C@@H]2C[C@@H](C)c3ccccc32)cc1 ZINC000264542527 524045719 /nfs/dbraw/zinc/04/57/19/524045719.db2.gz RPMTWIMJXUYQHH-FOIQADDNSA-N 0 3 311.425 4.050 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000189838465 524070346 /nfs/dbraw/zinc/07/03/46/524070346.db2.gz XLSBKEZMGBCQDS-OAHLLOKOSA-N 0 3 324.424 4.056 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H](C)Sc3sccc32)cc1 ZINC000336825946 524093482 /nfs/dbraw/zinc/09/34/82/524093482.db2.gz CYQUEIXQVWNSLS-ABAIWWIYSA-N 0 3 305.468 4.472 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)c2ccc(CN(C)C)cc2)c1 ZINC000337997457 524208482 /nfs/dbraw/zinc/20/84/82/524208482.db2.gz YVXPUZFMMHHYKW-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CCC[C@@H]1C1CCC1 ZINC000102926496 524231616 /nfs/dbraw/zinc/23/16/16/524231616.db2.gz NALBYDPZZJHKDN-GOSISDBHSA-N 0 3 314.473 4.321 20 0 DIADHN Cc1cccc(C2(C(=O)Nc3cc(CN(C)C)ccc3C)CC2)c1 ZINC000267183673 524330656 /nfs/dbraw/zinc/33/06/56/524330656.db2.gz ZSTUERSPDVWQRQ-UHFFFAOYSA-N 0 3 322.452 4.035 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)c(C)c1 ZINC000180283748 524400817 /nfs/dbraw/zinc/40/08/17/524400817.db2.gz RNWPXGPPSVDKOL-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccccc1C ZINC000128609904 524442676 /nfs/dbraw/zinc/44/26/76/524442676.db2.gz CFZBWZUJHZRZQZ-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)c1ccc(Cl)o1 ZINC000128484625 524443918 /nfs/dbraw/zinc/44/39/18/524443918.db2.gz JCLSIHLUUFNXSP-UHFFFAOYSA-N 0 3 318.804 4.090 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@@H](C)c3cccc(C)c3)cn2)n1 ZINC000162844519 524487796 /nfs/dbraw/zinc/48/77/96/524487796.db2.gz WIWRFBLWMHSMRC-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@H]1C[C@@H](NC[C@@H](O)c2ccccc2F)c2ccsc2S1 ZINC000130344405 524810318 /nfs/dbraw/zinc/81/03/18/524810318.db2.gz QRSGRLNUJGVQKO-COLVAYQJSA-N 0 3 323.458 4.136 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccnc(N3CCCC3)c2)c1C ZINC000131385309 524828927 /nfs/dbraw/zinc/82/89/27/524828927.db2.gz PHXFUXSZPQKHNH-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cc(F)cc3cccnc32)C1 ZINC000364104095 524833883 /nfs/dbraw/zinc/83/38/83/524833883.db2.gz SGIGEVIGWNQPHU-LIRRHRJNSA-N 0 3 321.399 4.352 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000339326373 524870469 /nfs/dbraw/zinc/87/04/69/524870469.db2.gz XEUWHZIFBSDAGJ-YEJXKQKISA-N 0 3 321.873 4.237 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000157083975 524874680 /nfs/dbraw/zinc/87/46/80/524874680.db2.gz PTCDDUCSRPHVIP-HOTGVXAUSA-N 0 3 324.424 4.363 20 0 DIADHN C[C@H]1C[C@H](NC[C@H](O)c2ccccc2F)c2ccsc2S1 ZINC000130343778 524925434 /nfs/dbraw/zinc/92/54/34/524925434.db2.gz QRSGRLNUJGVQKO-LKTVYLICSA-N 0 3 323.458 4.136 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1ccnc(N2CCCC2)c1 ZINC000152805537 524962222 /nfs/dbraw/zinc/96/22/22/524962222.db2.gz SHTNLZCRTFVFOJ-LPHOPBHVSA-N 0 3 321.468 4.328 20 0 DIADHN Cc1cccc2oc(CCNCc3cc4cc(F)ccc4o3)nc21 ZINC000337122468 524996570 /nfs/dbraw/zinc/99/65/70/524996570.db2.gz BEYSQZYVJUJDDE-UHFFFAOYSA-N 0 3 324.355 4.354 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cn(C(C)(C)C)nc2C)cc1 ZINC000120922295 525163093 /nfs/dbraw/zinc/16/30/93/525163093.db2.gz YDOGRHFWAJSEFC-ZIAGYGMSSA-N 0 3 315.461 4.367 20 0 DIADHN COc1ccc([C@@H](NCc2ccnc(Cl)c2)C(C)C)cc1 ZINC000182052719 525203225 /nfs/dbraw/zinc/20/32/25/525203225.db2.gz NLWBWZMUHGSPAX-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN C[C@]1(CNCc2nc(-c3ccccc3)c[nH]2)C[C@@H]1c1ccccc1 ZINC000339452563 525268824 /nfs/dbraw/zinc/26/88/24/525268824.db2.gz ZQRCDEQDSHDFMT-WIYYLYMNSA-N 0 3 317.436 4.360 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000338007920 525273972 /nfs/dbraw/zinc/27/39/72/525273972.db2.gz PVDGHIBGZVIQPH-OSAQELSMSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCO[C@H](c3ccccc3)C2)o1 ZINC000157344004 525344743 /nfs/dbraw/zinc/34/47/43/525344743.db2.gz GFXMFJCSGJITBY-BIJSTVTOSA-N 0 3 311.425 4.413 20 0 DIADHN C[C@H](NCCCc1c[nH]c2ccc(F)cc12)c1ccc(F)cn1 ZINC000337542873 525345357 /nfs/dbraw/zinc/34/53/57/525345357.db2.gz JSUKGPPHSPDUJE-LBPRGKRZSA-N 0 3 315.367 4.125 20 0 DIADHN C[C@H](NCCCc1ccccn1)c1ccc(F)cc1OC(F)F ZINC000181498283 525345456 /nfs/dbraw/zinc/34/54/56/525345456.db2.gz YTUKCKCZOHHFSM-LBPRGKRZSA-N 0 3 324.346 4.106 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H](C)COC(C)C ZINC000337314030 525424499 /nfs/dbraw/zinc/42/44/99/525424499.db2.gz WLQNTLYKPGBFBT-HIFRSBDPSA-N 0 3 303.368 4.392 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000336835334 525439672 /nfs/dbraw/zinc/43/96/72/525439672.db2.gz AFSLVGJQKIRRCX-XBFCOCLRSA-N 0 3 322.499 4.159 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN(Cc2cccn2C)CC1 ZINC000339586930 525441547 /nfs/dbraw/zinc/44/15/47/525441547.db2.gz NXUUEZZDGQSYKM-UHFFFAOYSA-N 0 3 307.441 4.194 20 0 DIADHN C[C@H](NCc1ccc(N2CCCCC2)nc1)c1cccc(F)c1 ZINC000266459347 525444343 /nfs/dbraw/zinc/44/43/43/525444343.db2.gz LKNDXZOZDGPWQF-HNNXBMFYSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000338007919 525445016 /nfs/dbraw/zinc/44/50/16/525445016.db2.gz PVDGHIBGZVIQPH-KYOSRNDESA-N 0 3 311.516 4.204 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000336897118 525447807 /nfs/dbraw/zinc/44/78/07/525447807.db2.gz OTHXUHICKGLIPQ-KYOSRNDESA-N 0 3 317.479 4.267 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)n1)c1cccc2ccccc21 ZINC000163141372 525455780 /nfs/dbraw/zinc/45/57/80/525455780.db2.gz DHKMHAOOQIIWLL-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@H](NCc1cccc(CN(C)C)c1)c1csc(Cl)c1 ZINC000191145365 525456080 /nfs/dbraw/zinc/45/60/80/525456080.db2.gz VBPBZBZVKTVWQB-LBPRGKRZSA-N 0 3 308.878 4.314 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCCC2)c1)c1cccc(F)c1 ZINC000162464536 525472256 /nfs/dbraw/zinc/47/22/56/525472256.db2.gz BYVDFVWMZZVIAX-HNNXBMFYSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCCC2)c1)c1ccc(F)cc1 ZINC000162464936 525472576 /nfs/dbraw/zinc/47/25/76/525472576.db2.gz ZIWGRTSAOSDOOO-HNNXBMFYSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(F)cc1F ZINC000120867955 525524059 /nfs/dbraw/zinc/52/40/59/525524059.db2.gz RLXQOUYKHRHMMD-GFCCVEGCSA-N 0 3 322.374 4.016 20 0 DIADHN C[C@@H](NCc1cccc(O)c1)c1cccc(-c2ccncc2)c1 ZINC000154465629 525536452 /nfs/dbraw/zinc/53/64/52/525536452.db2.gz XPDOJLLBIDCVLF-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCCC2)c1)c1cccc(F)c1 ZINC000162464668 525545511 /nfs/dbraw/zinc/54/55/11/525545511.db2.gz BYVDFVWMZZVIAX-OAHLLOKOSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1C(F)(F)F)c1nccs1 ZINC000180226137 525599430 /nfs/dbraw/zinc/59/94/30/525599430.db2.gz XUIZOVHXTIFCJQ-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1ncc(Br)s1 ZINC000336739146 525604608 /nfs/dbraw/zinc/60/46/08/525604608.db2.gz KXKAAWACHQCGBI-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](N[C@H]1CC[C@H]1C1CCC1)c1nc(-c2ccncc2)cs1 ZINC000337986023 525631551 /nfs/dbraw/zinc/63/15/51/525631551.db2.gz FXHYMTKQRLJLQS-RCBQFDQVSA-N 0 3 313.470 4.434 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2O)c1ccc(OC(F)F)cc1 ZINC000130593554 525631842 /nfs/dbraw/zinc/63/18/42/525631842.db2.gz OEUKFTXXJLZMEI-ZBEGNZNMSA-N 0 3 319.351 4.332 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccccc1)c1ccc(OC(C)(C)C)cc1 ZINC000157103547 525667577 /nfs/dbraw/zinc/66/75/77/525667577.db2.gz PVGYKSMHVCYEQR-DNVCBOLYSA-N 0 3 313.441 4.248 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)c(C)c1 ZINC000158860078 525739535 /nfs/dbraw/zinc/73/95/35/525739535.db2.gz HFGSTYDNLKIEPS-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2sc(C)nc2C)c1 ZINC000188723242 525740510 /nfs/dbraw/zinc/74/05/10/525740510.db2.gz DKDUAPXAGGGDGS-NSHDSACASA-N 0 3 306.500 4.474 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)cc1C ZINC000158832320 525748043 /nfs/dbraw/zinc/74/80/43/525748043.db2.gz DZIPHPOOSVJNHL-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cc(Br)cs1 ZINC000271263998 525768043 /nfs/dbraw/zinc/76/80/43/525768043.db2.gz BBZWNRWVNGGXMD-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2cccc(-c3ccncc3)c2)o1 ZINC000268950245 525782180 /nfs/dbraw/zinc/78/21/80/525782180.db2.gz BZQGGVFHVBYXKC-BEFAXECRSA-N 0 3 322.408 4.034 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000120301494 525859711 /nfs/dbraw/zinc/85/97/11/525859711.db2.gz GPSGJIMNIRBONU-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OCC3CC3)nc2)s1 ZINC000131265442 525928347 /nfs/dbraw/zinc/92/83/47/525928347.db2.gz BNZQNOXFNABNIF-ZDUSSCGKSA-N 0 3 302.443 4.091 20 0 DIADHN Cc1ccc([C@H](C)NCc2cncc(Br)c2)cc1 ZINC000163893173 525930286 /nfs/dbraw/zinc/93/02/86/525930286.db2.gz XSBHBMFUGOZKFH-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(N3CCCCC3)c2)s1 ZINC000162382722 525931415 /nfs/dbraw/zinc/93/14/15/525931415.db2.gz BFEHXMSCGSPORH-HNNXBMFYSA-N 0 3 315.486 4.293 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3ccnc3C)cc2)o1 ZINC000158143071 525934672 /nfs/dbraw/zinc/93/46/72/525934672.db2.gz DMYBHOXZQCZOQB-GJZGRUSLSA-N 0 3 309.413 4.494 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc(O)c3nc(C)ccc23)o1 ZINC000338323424 526014064 /nfs/dbraw/zinc/01/40/64/526014064.db2.gz ZEJZLTCGLAPGQE-QGZVFWFLSA-N 0 3 322.408 4.487 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H](C)c2cnn(C(C)(C)C)c2C)s1 ZINC000191499070 526113384 /nfs/dbraw/zinc/11/33/84/526113384.db2.gz FBMPZLKNDQDPJR-WCQYABFASA-N 0 3 320.506 4.166 20 0 DIADHN Cc1ccc2c(C[N@H+](C)Cc3ccc(F)cc3)ccc([O-])c2n1 ZINC000338250437 526125073 /nfs/dbraw/zinc/12/50/73/526125073.db2.gz MEKSVBZDBADKJE-UHFFFAOYSA-N 0 3 310.372 4.020 20 0 DIADHN Cc1ccc2c(C[N@@H+](C)Cc3ccc(F)cc3)ccc([O-])c2n1 ZINC000338250437 526125082 /nfs/dbraw/zinc/12/50/82/526125082.db2.gz MEKSVBZDBADKJE-UHFFFAOYSA-N 0 3 310.372 4.020 20 0 DIADHN Cc1ccc2c(CN(C)Cc3ccc(F)cc3)ccc(O)c2n1 ZINC000338250437 526125088 /nfs/dbraw/zinc/12/50/88/526125088.db2.gz MEKSVBZDBADKJE-UHFFFAOYSA-N 0 3 310.372 4.020 20 0 DIADHN C[C@@H](c1cnccn1)N1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000364138407 526158772 /nfs/dbraw/zinc/15/87/72/526158772.db2.gz IZCMDUFQDBFXLG-GXTWGEPZSA-N 0 3 321.346 4.046 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(Br)cc2)c1 ZINC000131087829 526204012 /nfs/dbraw/zinc/20/40/12/526204012.db2.gz PNJXKBSRAOCSMN-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(N3CCCC3)c1)[C@H](C)C2 ZINC000180065167 526210893 /nfs/dbraw/zinc/21/08/93/526210893.db2.gz NAUQRYUHVVRMBO-IIBYNOLFSA-N 0 3 321.468 4.013 20 0 DIADHN O[C@H](C[C@H]1CCCCCN1CCC(F)(F)F)c1cccs1 ZINC000267427154 526294022 /nfs/dbraw/zinc/29/40/22/526294022.db2.gz BNVVHGWRSHRGNN-CHWSQXEVSA-N 0 3 321.408 4.369 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(CCCCC(F)(F)F)CC1 ZINC000131124378 526300700 /nfs/dbraw/zinc/30/07/00/526300700.db2.gz JDEHQPFJHBMEGC-MRXNPFEDSA-N 0 3 315.379 4.165 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCCC(F)(F)C3)CCC2)n1 ZINC000338303198 526326131 /nfs/dbraw/zinc/32/61/31/526326131.db2.gz MRTMWFCIBOOTKK-GFCCVEGCSA-N 0 3 300.418 4.246 20 0 DIADHN Cc1csc(C2(NCCCCC3CCOCC3)CCC2)n1 ZINC000337210331 526327044 /nfs/dbraw/zinc/32/70/44/526327044.db2.gz TYZBWXJQNLBVQG-UHFFFAOYSA-N 0 3 308.491 4.017 20 0 DIADHN Oc1ccc(C2CCN(CCCCC(F)(F)F)CC2)cc1 ZINC000130410612 526343666 /nfs/dbraw/zinc/34/36/66/526343666.db2.gz YTOSYPDVQCKFQF-UHFFFAOYSA-N 0 3 301.352 4.304 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1cccc2[nH]ccc21 ZINC000180439176 526401653 /nfs/dbraw/zinc/40/16/53/526401653.db2.gz JYZRXTQOONAFMK-GOSISDBHSA-N 0 3 310.372 4.311 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CNCc1coc2ccccc12 ZINC000339415034 526405696 /nfs/dbraw/zinc/40/56/96/526405696.db2.gz NZROEDKOAROSRT-UHFFFAOYSA-N 0 3 321.355 4.252 20 0 DIADHN c1cc(-c2n[nH]cc2CNCc2coc3ccccc23)cs1 ZINC000339407474 526412518 /nfs/dbraw/zinc/41/25/18/526412518.db2.gz NJRKLKDDMFINOU-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@H]1CCO[C@H](C2CC2)C1 ZINC000157065212 526435663 /nfs/dbraw/zinc/43/56/63/526435663.db2.gz RVCRGGCNJPODRY-YJBOKZPZSA-N 0 3 313.397 4.119 20 0 DIADHN c1cc2c(cccc2CNCc2ccc(OC3CCCC3)nc2)[nH]1 ZINC000236639052 526440886 /nfs/dbraw/zinc/44/08/86/526440886.db2.gz JIRTUADUDQNYQP-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN c1cc2cccc(CN[C@@H](C[C@@H]3CCOC3)c3ccccc3)c2[nH]1 ZINC000338191729 526449998 /nfs/dbraw/zinc/44/99/98/526449998.db2.gz JGNZCHUOXVOBKV-JXFKEZNVSA-N 0 3 320.436 4.425 20 0 DIADHN c1cc2cccc(CN[C@H](CN3CCCC3)c3ccccc3)c2[nH]1 ZINC000236656974 526450397 /nfs/dbraw/zinc/45/03/97/526450397.db2.gz DQTYBSNJGSYJDZ-HXUWFJFHSA-N 0 3 319.452 4.095 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000156033162 526496459 /nfs/dbraw/zinc/49/64/59/526496459.db2.gz HBTOHOPPAKLFKZ-JTQLQIEISA-N 0 3 312.335 4.204 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000155957497 526496985 /nfs/dbraw/zinc/49/69/85/526496985.db2.gz ZGVFJAPVJCWSGO-NSHDSACASA-N 0 3 324.371 4.095 20 0 DIADHN c1ccc2nc(CN3CCC(c4ccncc4)CC3)ccc2c1 ZINC000191627395 526516641 /nfs/dbraw/zinc/51/66/41/526516641.db2.gz SSDFKKOHPSZDLF-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000339177017 526669140 /nfs/dbraw/zinc/66/91/40/526669140.db2.gz YCZGHFBEVPLIGU-LEWJYISDSA-N 0 3 323.484 4.048 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCCn1ccnc1C ZINC000127615705 526679911 /nfs/dbraw/zinc/67/99/11/526679911.db2.gz UODJAHKGKVSMJD-AWEZNQCLSA-N 0 3 320.506 4.163 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H](C)C[C@H](O)c2ccc(F)cc2)s1 ZINC000179262438 526745915 /nfs/dbraw/zinc/74/59/15/526745915.db2.gz QZVNDOJJEQHZDK-USBNGQNGSA-N 0 3 322.449 4.062 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@@H](O)c2cccc(Cl)c2)s1 ZINC000266532992 526750262 /nfs/dbraw/zinc/75/02/62/526750262.db2.gz MSOKFUWMUVJWQT-XHDPSFHLSA-N 0 3 324.877 4.140 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCCCC2CCOCC2)s1 ZINC000337195565 526750764 /nfs/dbraw/zinc/75/07/64/526750764.db2.gz VZOHCEQXHDQSJL-AWEZNQCLSA-N 0 3 310.507 4.350 20 0 DIADHN Cc1sccc1CNCc1ccnc(N2CCCCCC2)c1 ZINC000339248521 526915377 /nfs/dbraw/zinc/91/53/77/526915377.db2.gz YSIMLBVHKYCGEK-UHFFFAOYSA-N 0 3 315.486 4.122 20 0 DIADHN Cc1sccc1CNc1cccc(CN2CCSCC2)c1 ZINC000339311440 526921751 /nfs/dbraw/zinc/92/17/51/526921751.db2.gz XENOPIZEJBVJNB-UHFFFAOYSA-N 0 3 318.511 4.217 20 0 DIADHN Cc1sccc1CNc1cccc2c1OCC[C@@H]2N(C)C ZINC000339375346 526923407 /nfs/dbraw/zinc/92/34/07/526923407.db2.gz FMLUPEDSCBGLNY-INIZCTEOSA-N 0 3 302.443 4.054 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCC[C@@H]3C3CCC3)o2)c1 ZINC000106860692 526973212 /nfs/dbraw/zinc/97/32/12/526973212.db2.gz ZLFNIQPZMUCHNS-OAHLLOKOSA-N 0 3 317.820 4.155 20 0 DIADHN Cc1ncc(CN[C@H](CCc2ccccc2)c2ccccc2)cn1 ZINC000339211816 527279484 /nfs/dbraw/zinc/27/94/84/527279484.db2.gz JHQQKCGJKGSQHZ-OAQYLSRUSA-N 0 3 317.436 4.249 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2c3cccc(F)c3CC[C@@H]2C)c(C)n1 ZINC000163288017 527306544 /nfs/dbraw/zinc/30/65/44/527306544.db2.gz SGEJBRTXDXGKJZ-XAEJFWIOSA-N 0 3 313.420 4.207 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000338012660 527330077 /nfs/dbraw/zinc/33/00/77/527330077.db2.gz LKMNEZFRPDBZHR-ZWKOTPCHSA-N 0 3 309.457 4.200 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H]1CCC(C)(C)c2ccccc21 ZINC000338012657 527333208 /nfs/dbraw/zinc/33/32/08/527333208.db2.gz LKMNEZFRPDBZHR-MSOLQXFVSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1nccn1CCCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000338009259 527422179 /nfs/dbraw/zinc/42/21/79/527422179.db2.gz BSAYIYVRIVTUQL-NSHDSACASA-N 0 3 312.244 4.239 20 0 DIADHN Cc1nccn1CCCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000338017684 527422847 /nfs/dbraw/zinc/42/28/47/527422847.db2.gz OOUNOFXPGTUCDQ-NSHDSACASA-N 0 3 312.244 4.239 20 0 DIADHN Cc1nccn1CCNCc1ccc(Sc2ccccc2)cc1 ZINC000338328766 527431027 /nfs/dbraw/zinc/43/10/27/527431027.db2.gz IWZQZQWEBINMEI-UHFFFAOYSA-N 0 3 323.465 4.133 20 0 DIADHN Cn1cncc1CN[C@H](CCCc1ccccc1)c1ccccc1 ZINC000237943617 527510824 /nfs/dbraw/zinc/51/08/24/527510824.db2.gz BQMAFVXUDRMVPV-OAQYLSRUSA-N 0 3 319.452 4.274 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000183012168 527543550 /nfs/dbraw/zinc/54/35/50/527543550.db2.gz HAFGQHDIHUWXGE-WOJBJXKFSA-N 0 3 313.416 4.307 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NC1(c2ccc(Cl)cc2)CCC1 ZINC000180353795 527579322 /nfs/dbraw/zinc/57/93/22/527579322.db2.gz LYHBCDODXCLIJS-MRXNPFEDSA-N 0 3 315.848 4.120 20 0 DIADHN OCc1ccc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)cc1F ZINC000180047485 527634154 /nfs/dbraw/zinc/63/41/54/527634154.db2.gz OPPTXYHIILZBBB-GOSISDBHSA-N 0 3 319.807 4.212 20 0 DIADHN FC(F)O[C@H]1CCCC[C@@H]1NCc1cnc(C2CCC2)s1 ZINC000337271423 527695105 /nfs/dbraw/zinc/69/51/05/527695105.db2.gz DAQQOVARHRPPTP-STQMWFEESA-N 0 3 316.417 4.051 20 0 DIADHN FC(F)Sc1ccc(CN2CCC[C@@H](c3ncc[nH]3)C2)cc1 ZINC000266937833 527697758 /nfs/dbraw/zinc/69/77/58/527697758.db2.gz QDYXSDMYSOIUPO-CYBMUJFWSA-N 0 3 323.412 4.104 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(C)ccn1)c1cccc(Cl)c1 ZINC000286197794 536513519 /nfs/dbraw/zinc/51/35/19/536513519.db2.gz RDPAENPUARQGBR-GUYCJALGSA-N 0 3 304.821 4.082 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272676164 535085970 /nfs/dbraw/zinc/08/59/70/535085970.db2.gz UPTQYHNDDBTQEW-IHPCNDPISA-N 0 3 324.468 4.354 20 0 DIADHN CC(C)(CN[C@@H]1CCn2ccnc21)c1ccc(Cl)c(Cl)c1 ZINC000297648961 535154471 /nfs/dbraw/zinc/15/44/71/535154471.db2.gz KAIFNABWOGURJQ-CQSZACIVSA-N 0 3 324.255 4.202 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](C)c2cccc(C3CC3)c2)cc1 ZINC000340183649 535423318 /nfs/dbraw/zinc/42/33/18/535423318.db2.gz HXEOUMJRRCOROT-HNNXBMFYSA-N 0 3 322.452 4.165 20 0 DIADHN CCSc1cc(CN[C@@H]2CCc3c2cccc3F)ccn1 ZINC000275149911 535731858 /nfs/dbraw/zinc/73/18/58/535731858.db2.gz VZHJBPKYDWNLRZ-MRXNPFEDSA-N 0 3 302.418 4.110 20 0 DIADHN CC[C@@H](N[C@H](C)c1cncc(C)c1)c1ccc(OC)c(OC)c1 ZINC000287685198 535808640 /nfs/dbraw/zinc/80/86/40/535808640.db2.gz WKDGBBCCNMJKAC-RHSMWYFYSA-N 0 3 314.429 4.209 20 0 DIADHN CC[C@H](NCc1ccc(C)s1)c1ccc(C(=O)OC)cc1 ZINC000340185452 535916306 /nfs/dbraw/zinc/91/63/06/535916306.db2.gz LSDQZZKWFJMXTP-INIZCTEOSA-N 0 3 303.427 4.084 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)OC)c1)c1ccc(F)cc1 ZINC000284972793 535919680 /nfs/dbraw/zinc/91/96/80/535919680.db2.gz DDGQBSSIUINFAH-KRWDZBQOSA-N 0 3 316.376 4.245 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1 ZINC000287884711 535941927 /nfs/dbraw/zinc/94/19/27/535941927.db2.gz YPPMGPNURLLSCL-BEFAXECRSA-N 0 3 306.413 4.069 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3CCCC(F)(F)C3)n2)cc1 ZINC000283798570 535993782 /nfs/dbraw/zinc/99/37/82/535993782.db2.gz NISOAVRXADRSCL-AWEZNQCLSA-N 0 3 321.371 4.091 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cccc(-c3ccoc3)c2)cc1 ZINC000340584827 536015884 /nfs/dbraw/zinc/01/58/84/536015884.db2.gz XILGNXJBIRHMOP-UHFFFAOYSA-N 0 3 320.392 4.261 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1sc(C)nc1C)c1ccccc1 ZINC000284446997 536554586 /nfs/dbraw/zinc/55/45/86/536554586.db2.gz QRCKGBLMTQCSBF-CZUORRHYSA-N 0 3 304.459 4.140 20 0 DIADHN COC1(CCN[C@H](C)c2nc3ccccc3n2C(F)F)CCC1 ZINC000294626898 536620054 /nfs/dbraw/zinc/62/00/54/536620054.db2.gz PBNRRFXDTZSLAT-GFCCVEGCSA-N 0 3 323.387 4.041 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000287529357 536620057 /nfs/dbraw/zinc/62/00/57/536620057.db2.gz OWXUDDJULXJXBA-CQSZACIVSA-N 0 3 305.443 4.014 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CN[C@@H](C)c1ccncc1F ZINC000340359783 536974858 /nfs/dbraw/zinc/97/48/58/536974858.db2.gz FPRBKKRUJSJKSZ-ZFWWWQNUSA-N 0 3 314.404 4.000 20 0 DIADHN CCCCOCCCNCc1ccc(-c2ccccc2F)o1 ZINC000013719989 563387337 /nfs/dbraw/zinc/38/73/37/563387337.db2.gz ZTJSEGNCAKXAFU-UHFFFAOYSA-N 0 3 305.393 4.382 20 0 DIADHN COc1ccccc1CCNCc1ccc(-c2ccccc2)o1 ZINC000013719125 563387566 /nfs/dbraw/zinc/38/75/66/563387566.db2.gz NUFIQNXALKJROO-UHFFFAOYSA-N 0 3 307.393 4.288 20 0 DIADHN COCCCNCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000013722642 563387583 /nfs/dbraw/zinc/38/75/83/563387583.db2.gz SVFZISFKLRKGGM-UHFFFAOYSA-N 0 3 313.319 4.092 20 0 DIADHN COc1ccccc1CCNCc1c(Cl)cccc1Cl ZINC000013718692 563662323 /nfs/dbraw/zinc/66/23/23/563662323.db2.gz OCPPDFNHEJHILC-UHFFFAOYSA-N 0 3 310.224 4.334 20 0 DIADHN c1ccc(CN2CCC(Nc3cccc4cnccc43)CC2)cc1 ZINC000028641358 581092378 /nfs/dbraw/zinc/09/23/78/581092378.db2.gz GQHKTVBEIBEQOC-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1cc(Br)ccc1F ZINC000310927896 1041183108 /nfs/dbraw/zinc/18/31/08/1041183108.db2.gz HATRBDKQZOPIHE-WPRPVWTQSA-N 0 3 322.168 4.427 20 0 DIADHN CC(C)CC1(NCC(=O)Nc2c(Cl)cccc2Cl)CC1 ZINC000662067180 1042053292 /nfs/dbraw/zinc/05/32/92/1042053292.db2.gz VMTKMZOUKHRYIH-UHFFFAOYSA-N 0 3 315.244 4.100 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnn(C)c1)c1cc(Cl)sc1Cl ZINC000453328803 1046022430 /nfs/dbraw/zinc/02/24/30/1046022430.db2.gz CKHGVGSFOHRGEY-DTWKUNHWSA-N 0 3 318.273 4.070 20 0 DIADHN C/C=C\C[C@@H](CO)NCc1c(Cl)cccc1SC(C)C ZINC001202102531 1048450228 /nfs/dbraw/zinc/45/02/28/1048450228.db2.gz QZCMTUFRQDQEMJ-ZFDPJTLLSA-N 0 3 313.894 4.257 20 0 DIADHN CO[C@@H](CC(C)C)CN(C)Cc1cc(Br)cs1 ZINC001167023797 1048832000 /nfs/dbraw/zinc/83/20/00/1048832000.db2.gz KZTWVOPWGXKNEO-LBPRGKRZSA-N 0 3 320.296 4.004 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccsc1Br ZINC001173929191 1051901413 /nfs/dbraw/zinc/90/14/13/1051901413.db2.gz ZGEAXIQMFKXIBR-UHFFFAOYSA-N 0 3 311.248 4.316 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc(Br)[nH]1 ZINC001232695129 1054102512 /nfs/dbraw/zinc/10/25/12/1054102512.db2.gz XDTKSEUVRMUTGI-CQSZACIVSA-N 0 3 307.235 4.360 20 0 DIADHN Brc1ccc(CN2CCC[C@H]2C2CCCCC2)[nH]1 ZINC001232694419 1054102723 /nfs/dbraw/zinc/10/27/23/1054102723.db2.gz OLNQULYZTRJPAS-AWEZNQCLSA-N 0 3 311.267 4.322 20 0 DIADHN CCN(Cc1ccc(Br)[nH]1)Cc1ccc(C)c(C)c1 ZINC001232690500 1054102745 /nfs/dbraw/zinc/10/27/45/1054102745.db2.gz PJBOLDAXPSIPNR-UHFFFAOYSA-N 0 3 321.262 4.416 20 0 DIADHN CCOc1cccc(CN2CCC[C@H]2CC)c1Br ZINC001238688077 1055721802 /nfs/dbraw/zinc/72/18/02/1055721802.db2.gz SWBINXSHOAODJA-CYBMUJFWSA-N 0 3 312.251 4.222 20 0 DIADHN COCc1ccc(CNC[C@@H](C)Oc2ccc(C(C)C)cc2)o1 ZINC000579757462 1035556773 /nfs/dbraw/zinc/55/67/73/1035556773.db2.gz XDKQGNBFEGALQC-OAHLLOKOSA-N 0 3 317.429 4.107 20 0 DIADHN CN(CCc1cc(Cl)ccc1Cl)CC1=CCSC1 ZINC000662262758 1035588846 /nfs/dbraw/zinc/58/88/46/1035588846.db2.gz DGVUMEGTOWBRDJ-UHFFFAOYSA-N 0 3 302.270 4.141 20 0 DIADHN Fc1ccc([C@H](NCCc2cscn2)c2ccccc2)cc1 ZINC000108941888 1035594293 /nfs/dbraw/zinc/59/42/93/1035594293.db2.gz QBAYNHCTQDLKEG-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN C[C@@H](NCCc1cscn1)c1cc(F)c(Cl)cc1Cl ZINC000108942270 1035606210 /nfs/dbraw/zinc/60/62/10/1035606210.db2.gz JZAMTXVWYAUSDC-MRVPVSSYSA-N 0 3 319.232 4.482 20 0 DIADHN CSC1(CN(Cc2cccnc2)Cc2ccccc2C)CC1 ZINC000349039442 1035622757 /nfs/dbraw/zinc/62/27/57/1035622757.db2.gz XNIZJBRRPVKCIN-UHFFFAOYSA-N 0 3 312.482 4.288 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC(OCc2ccccc2)CC1 ZINC000302913194 1035631119 /nfs/dbraw/zinc/63/11/19/1035631119.db2.gz SDWOSHFKEIWGKP-KPKJPENVSA-N 0 3 307.437 4.381 20 0 DIADHN C[C@H](NCc1ccc(C2CCC2)cc1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000683158928 1035631393 /nfs/dbraw/zinc/63/13/93/1035631393.db2.gz PUGTVFGCNVTEBE-ZDUSSCGKSA-N 0 3 321.424 4.387 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1ccc2c(c1)OCCCCO2 ZINC000648536381 1035758433 /nfs/dbraw/zinc/75/84/33/1035758433.db2.gz YSCKHGYGCXBHOP-UHFFFAOYSA-N 0 3 317.351 4.059 20 0 DIADHN Cc1ccc(C)c([C@H](NCCOCC(F)F)c2ccccc2)c1 ZINC000322950797 1035763477 /nfs/dbraw/zinc/76/34/77/1035763477.db2.gz DMCIIXJYGPYYHG-LJQANCHMSA-N 0 3 319.395 4.264 20 0 DIADHN Cc1nc(COc2ccc(CN[C@@H]3CCC[C@@H]3F)cc2)cs1 ZINC000339584953 1035767403 /nfs/dbraw/zinc/76/74/03/1035767403.db2.gz QQZWWQDTRJMQCK-DLBZAZTESA-N 0 3 320.433 4.011 20 0 DIADHN Cc1cnccc1CCN1CCC[C@@H]1c1ccc(F)cc1F ZINC000579827409 1035770461 /nfs/dbraw/zinc/77/04/61/1035770461.db2.gz IAUBZCOCSGDHRT-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CCc1ccc(CN2CC[C@@H](CSc3ccccc3)C2)cn1 ZINC000558400548 1035771914 /nfs/dbraw/zinc/77/19/14/1035771914.db2.gz SQCVWQVZULJUNS-QGZVFWFLSA-N 0 3 312.482 4.258 20 0 DIADHN CC(C)(CCN1CCOC[C@@H]1c1ccccc1)c1ccccc1 ZINC001208664384 1035787789 /nfs/dbraw/zinc/78/77/89/1035787789.db2.gz UZDLVGKSJYOZTJ-HXUWFJFHSA-N 0 3 309.453 4.428 20 0 DIADHN C[C@@H](NCc1cccs1)c1ccc(OC(F)(F)F)cc1 ZINC000021509658 1035788303 /nfs/dbraw/zinc/78/83/03/1035788303.db2.gz BHPKSNKRBJFYNQ-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CCN(CC2CC2)CC1 ZINC001167077160 1035790911 /nfs/dbraw/zinc/79/09/11/1035790911.db2.gz KEGQIRGEIJCZDI-GFCCVEGCSA-N 0 3 313.272 4.082 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1ccc(Cl)cn1 ZINC000558404307 1035793628 /nfs/dbraw/zinc/79/36/28/1035793628.db2.gz VSTUOKUXRQUEQK-UHFFFAOYSA-N 0 3 318.848 4.164 20 0 DIADHN Cc1nc(CN[C@@H](C)Cc2ccc(C(F)(F)F)cc2)cs1 ZINC000067505328 1035819840 /nfs/dbraw/zinc/81/98/40/1035819840.db2.gz INDHOZYJHXBWER-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN COc1ccc([C@H](NCc2cnn(C(C)(C)C)c2)C(C)C)cc1 ZINC000069670071 1035857948 /nfs/dbraw/zinc/85/79/48/1035857948.db2.gz IUPHQQSOAWSLTO-GOSISDBHSA-N 0 3 315.461 4.134 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1ccc(Cl)cn1 ZINC000558410264 1035865316 /nfs/dbraw/zinc/86/53/16/1035865316.db2.gz NYXPHUOZHNSECT-ZBFHGGJFSA-N 0 3 300.833 4.188 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CCC(F)(F)CC1 ZINC001208826966 1035867883 /nfs/dbraw/zinc/86/78/83/1035867883.db2.gz GQYBELLXHYAHKO-UHFFFAOYSA-N 0 3 307.306 4.369 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(O)c1)c1cccc(Cl)c1 ZINC000036921302 1035883641 /nfs/dbraw/zinc/88/36/41/1035883641.db2.gz RQBIVUKIOUJVJC-HNNXBMFYSA-N 0 3 305.805 4.295 20 0 DIADHN CCCCN(Cc1nnc(C)n1C1CC1)[C@@H]1CCC[C@H](C)C1 ZINC000339644152 1035896398 /nfs/dbraw/zinc/89/63/98/1035896398.db2.gz CQRLHFPXUDMVKW-WMLDXEAASA-N 0 3 304.482 4.102 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)s1 ZINC000339658024 1035904198 /nfs/dbraw/zinc/90/41/98/1035904198.db2.gz NVRWDVKEHDANAH-HNNXBMFYSA-N 0 3 322.861 4.067 20 0 DIADHN CCN(CC)c1ncc(CNC(C)(C)c2cccs2)s1 ZINC000538409261 1035906973 /nfs/dbraw/zinc/90/69/73/1035906973.db2.gz KVRSWAHKHJFTMR-UHFFFAOYSA-N 0 3 309.504 4.076 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2C)cc1 ZINC000339679201 1035908210 /nfs/dbraw/zinc/90/82/10/1035908210.db2.gz PBHVHLJLJDSSRT-OLZOCXBDSA-N 0 3 303.475 4.252 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2cnc(-c3ccccc3)[nH]2)c1 ZINC000179692006 1035922893 /nfs/dbraw/zinc/92/28/93/1035922893.db2.gz JCONIHRKAPXMKJ-INIZCTEOSA-N 0 3 309.438 4.475 20 0 DIADHN COc1ccc2oc(CN3CCC[C@@H]3c3cccn3C)cc2c1 ZINC000179710368 1035926953 /nfs/dbraw/zinc/92/69/53/1035926953.db2.gz DGPHFAMEPYKPFR-GOSISDBHSA-N 0 3 310.397 4.117 20 0 DIADHN COc1ccc(CN2CCCC[C@H](C)C2)cc1Br ZINC000705459434 1035940327 /nfs/dbraw/zinc/94/03/27/1035940327.db2.gz YWSBXMPZRXVHTH-LBPRGKRZSA-N 0 3 312.251 4.080 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2)[nH]1)[C@@H](C)c1cccc(O)c1 ZINC000179805405 1035957531 /nfs/dbraw/zinc/95/75/31/1035957531.db2.gz DDXBRGGDXTXBSC-HNNXBMFYSA-N 0 3 321.424 4.365 20 0 DIADHN Cc1ccc(NC(=O)C2C[C@H](C)C[C@@H](C)C2)c(CN(C)C)c1 ZINC000180531059 1036067077 /nfs/dbraw/zinc/06/70/77/1036067077.db2.gz DUTZDZRNXIAQHO-HUUCEWRRSA-N 0 3 302.462 4.067 20 0 DIADHN COCCCCN1CC[C@@H](c2cccc(Cl)c2Cl)C1 ZINC001209218745 1036075612 /nfs/dbraw/zinc/07/56/12/1036075612.db2.gz NFRXKQUVWDPMTP-GFCCVEGCSA-N 0 3 302.245 4.209 20 0 DIADHN COCCCCN(C)Cc1cc(F)ccc1Oc1ccccc1 ZINC001209218857 1036076791 /nfs/dbraw/zinc/07/67/91/1036076791.db2.gz QXOBJVKDPUBOTO-UHFFFAOYSA-N 0 3 317.404 4.476 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@H]1C(C)C ZINC000109462121 1036104193 /nfs/dbraw/zinc/10/41/93/1036104193.db2.gz HSFOLHKNCGFXOG-AWEZNQCLSA-N 0 3 312.251 4.078 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc2ccccc2s1 ZINC000024810547 1036136410 /nfs/dbraw/zinc/13/64/10/1036136410.db2.gz QBCYHNFIVIUWDR-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CCCCCCOc1ccc(CNCc2ccn(C)c2)cc1 ZINC000748099459 1036187215 /nfs/dbraw/zinc/18/72/15/1036187215.db2.gz XDCDQZBDHGTAHW-UHFFFAOYSA-N 0 3 300.446 4.274 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@H](O)c2cc3ccccc3s2)s1 ZINC000090419328 1036189049 /nfs/dbraw/zinc/18/90/49/1036189049.db2.gz WDZAHPBFGKBUDH-MFKMUULPSA-N 0 3 318.467 4.050 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(Cl)cc1 ZINC000348221904 1036198776 /nfs/dbraw/zinc/19/87/76/1036198776.db2.gz WJPNZYSWVPQBED-QZTJIDSGSA-N 0 3 319.807 4.180 20 0 DIADHN COc1cc(CN[C@H](c2cccnc2)C2CC2)ccc1SC ZINC000340104319 1036202111 /nfs/dbraw/zinc/20/21/11/1036202111.db2.gz MAUXDBAZTFEZFG-SFHVURJKSA-N 0 3 314.454 4.053 20 0 DIADHN c1cncc(-c2cccc(CN[C@@H](c3cccnc3)C3CC3)c2)c1 ZINC000340109515 1036206887 /nfs/dbraw/zinc/20/68/87/1036206887.db2.gz RGSKYTHEOAZXNI-OAQYLSRUSA-N 0 3 315.420 4.385 20 0 DIADHN c1cncc(-c2cccc(CN[C@H](c3cccnc3)C3CC3)c2)c1 ZINC000340109514 1036207831 /nfs/dbraw/zinc/20/78/31/1036207831.db2.gz RGSKYTHEOAZXNI-NRFANRHFSA-N 0 3 315.420 4.385 20 0 DIADHN Cc1cc(C)cc(CN(Cc2ccccc2)C[C@H]2CCCO2)c1 ZINC001167186631 1036254348 /nfs/dbraw/zinc/25/43/48/1036254348.db2.gz HCVCJJZFQURGEW-OAQYLSRUSA-N 0 3 309.453 4.485 20 0 DIADHN COc1c(F)ccc(Nc2ccccc2CN(C)C)c1Cl ZINC001209806752 1036259677 /nfs/dbraw/zinc/25/96/77/1036259677.db2.gz JAZNXKJGHYVBRF-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000247128743 1036269628 /nfs/dbraw/zinc/26/96/28/1036269628.db2.gz QGHIBUYMBDWENK-SJKOYZFVSA-N 0 3 305.372 4.019 20 0 DIADHN C[C@H](NCc1cccc(C(=O)N(C)C)c1)c1cccc(C2CC2)c1 ZINC000340171322 1036270119 /nfs/dbraw/zinc/27/01/19/1036270119.db2.gz LYOVXMMPJGPQBI-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1cnc2ccccc2c1 ZINC000340173225 1036273756 /nfs/dbraw/zinc/27/37/56/1036273756.db2.gz FGPHPSRXCNVWSJ-NRFANRHFSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(F)c2ccccc21 ZINC000340175540 1036277611 /nfs/dbraw/zinc/27/76/11/1036277611.db2.gz MLNXWQYHJXACBV-YJYMSZOUSA-N 0 3 323.415 4.441 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccsc3)cc2)CC(C)(C)O1 ZINC001167218833 1036283914 /nfs/dbraw/zinc/28/39/14/1036283914.db2.gz SWWUFXUDWWAPGO-CQSZACIVSA-N 0 3 301.455 4.414 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001167226744 1036290906 /nfs/dbraw/zinc/29/09/06/1036290906.db2.gz BAZJSOKWSRLDPN-UKRRQHHQSA-N 0 3 319.399 4.161 20 0 DIADHN CO[C@@H](CN(Cc1ccccc1F)C(C)C)c1ccccc1 ZINC001167248296 1036317042 /nfs/dbraw/zinc/31/70/42/1036317042.db2.gz MCRTZRPBNSONJD-IBGZPJMESA-N 0 3 301.405 4.424 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1ccc(C(F)(F)F)s1 ZINC001648800137 1036328901 /nfs/dbraw/zinc/32/89/01/1036328901.db2.gz VMIJNLNUNVOPIV-YPMHNXCESA-N 0 3 321.408 4.452 20 0 DIADHN CCCC1(CNCc2c(F)cccc2OC(F)(F)F)CC1 ZINC001650755946 1036340738 /nfs/dbraw/zinc/34/07/38/1036340738.db2.gz KRXGEOJTWGPGTG-UHFFFAOYSA-N 0 3 305.315 4.394 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1Cl)c1ccc(C)cc1 ZINC000120531582 1036345756 /nfs/dbraw/zinc/34/57/56/1036345756.db2.gz DHPSOYHYKBUDBH-MRXNPFEDSA-N 0 3 316.832 4.328 20 0 DIADHN CCc1ccc(CN2CCC(Cc3ccc(F)cc3)CC2)nc1 ZINC001167271227 1036352080 /nfs/dbraw/zinc/35/20/80/1036352080.db2.gz WSJYWRNTVFEFJQ-UHFFFAOYSA-N 0 3 312.432 4.238 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1CCC(C)(C)O1)C2 ZINC001167270367 1036354920 /nfs/dbraw/zinc/35/49/20/1036354920.db2.gz HZLCHQTYCQFJMR-SFHVURJKSA-N 0 3 301.474 4.300 20 0 DIADHN C[C@@H](CCc1ccccc1)NCc1cc(-c2ccccc2)on1 ZINC000237340634 1036364095 /nfs/dbraw/zinc/36/40/95/1036364095.db2.gz NRFJCNQRRDQZKN-INIZCTEOSA-N 0 3 306.409 4.453 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccccc32)ccc1OC(F)F ZINC000237360218 1036364744 /nfs/dbraw/zinc/36/47/44/1036364744.db2.gz UGWXYNQSOBEVRJ-OAHLLOKOSA-N 0 3 319.351 4.074 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1cccc(N2CCCC2=O)c1 ZINC000237388743 1036367777 /nfs/dbraw/zinc/36/77/77/1036367777.db2.gz WOCJJNPUQMNYJW-DKISHCGFSA-N 0 3 320.436 4.177 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3cc(F)cc(F)c3)CC2)o1 ZINC000650220569 1036373841 /nfs/dbraw/zinc/37/38/41/1036373841.db2.gz QEMADMCUXUHOCK-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3s2)cc1F ZINC000237460220 1036379127 /nfs/dbraw/zinc/37/91/27/1036379127.db2.gz UIDOYJKZZOKDQX-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN CC[C@H](NCc1cscc1C)c1ccc(C(=O)OC)cc1 ZINC000340375238 1036383118 /nfs/dbraw/zinc/38/31/18/1036383118.db2.gz UHIBLHIDCUCVPW-INIZCTEOSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@@H](NCc1cccc(N2CCC2=O)c1)c1cccc(C2CC2)c1 ZINC000340380108 1036389923 /nfs/dbraw/zinc/38/99/23/1036389923.db2.gz FFHTZFLNAAWCIC-OAHLLOKOSA-N 0 3 320.436 4.152 20 0 DIADHN CC(C)[C@@H]1CC[C@@H]1NCc1nc(-c2ccccc2F)cs1 ZINC000579918691 1036411665 /nfs/dbraw/zinc/41/16/65/1036411665.db2.gz JQNIXBKMGHCKBX-WFASDCNBSA-N 0 3 304.434 4.473 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000218861749 1036427068 /nfs/dbraw/zinc/42/70/68/1036427068.db2.gz ANMJKYKOUNTXBS-LSDHHAIUSA-N 0 3 312.457 4.184 20 0 DIADHN C[C@](CO)(NCc1ccc(-c2ccsc2)cc1)c1ccccc1 ZINC000683520049 1036438843 /nfs/dbraw/zinc/43/88/43/1036438843.db2.gz HCSISMFJWLBOJZ-HXUWFJFHSA-N 0 3 323.461 4.412 20 0 DIADHN CC1(C)CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC1 ZINC001167288313 1036442402 /nfs/dbraw/zinc/44/24/02/1036442402.db2.gz ZXXSWDVQDXGAEA-CQSZACIVSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCC(=O)Nc1c(C)cccc1C)CC2 ZINC000655694089 1036489250 /nfs/dbraw/zinc/48/92/50/1036489250.db2.gz ZIVJPWXDASROET-SFHVURJKSA-N 0 3 322.452 4.136 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(C)c(Br)c2)c1 ZINC000634686985 1036504568 /nfs/dbraw/zinc/50/45/68/1036504568.db2.gz VTUJDEZGKJBEDG-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN c1cn2c(n1)[C@H](NCCc1cccc(-c3ccccc3)c1)CCC2 ZINC000655724463 1036505508 /nfs/dbraw/zinc/50/55/08/1036505508.db2.gz YLAWPHDTUGKXLG-HXUWFJFHSA-N 0 3 317.436 4.217 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCc2cccc3ncccc23)c1 ZINC000634687647 1036517066 /nfs/dbraw/zinc/51/70/66/1036517066.db2.gz TXJNENWCGMCARV-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Clc1ccc(CCCCN[C@@H]2CCCn3ccnc32)s1 ZINC000655766975 1036524759 /nfs/dbraw/zinc/52/47/59/1036524759.db2.gz VPGOFIBVKYLYNG-CYBMUJFWSA-N 0 3 309.866 4.045 20 0 DIADHN CC(C)(CN[C@H]1CCCn2ccnc21)c1cccc(Cl)c1F ZINC000655757814 1036525003 /nfs/dbraw/zinc/52/50/03/1036525003.db2.gz XZHQOTQLJIZVCO-AWEZNQCLSA-N 0 3 321.827 4.078 20 0 DIADHN FC(F)(F)CCN[C@H]1CCc2sc(Br)cc21 ZINC000309197211 1036537057 /nfs/dbraw/zinc/53/70/57/1036537057.db2.gz DNQAGRAGYBGFNK-ZETCQYMHSA-N 0 3 314.170 4.040 20 0 DIADHN CCC[C@H](N[C@@H]1CCc2ccccc2NC1=O)c1ccsc1 ZINC000655792250 1036543677 /nfs/dbraw/zinc/54/36/77/1036543677.db2.gz YGAKHORYQYGEBB-DOTOQJQBSA-N 0 3 314.454 4.132 20 0 DIADHN CC1(C)C[C@H]1NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000340415751 1036545454 /nfs/dbraw/zinc/54/54/54/1036545454.db2.gz MSQHSKJRLDPZGP-QGZVFWFLSA-N 0 3 316.832 4.202 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1ccccc1Br ZINC000655838257 1036590159 /nfs/dbraw/zinc/59/01/59/1036590159.db2.gz LNEUBTMSKVJMHC-OLZOCXBDSA-N 0 3 319.246 4.126 20 0 DIADHN C[C@H](Cc1ccccn1)NCc1cc(Cl)sc1Cl ZINC000655842113 1036591929 /nfs/dbraw/zinc/59/19/29/1036591929.db2.gz FHBGNBNRDRFKRI-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN C[C@H](CNCc1cc(Cl)sc1Cl)c1nccs1 ZINC000312568895 1036622683 /nfs/dbraw/zinc/62/26/83/1036622683.db2.gz ZUUMDPOLJDHLQA-SSDOTTSWSA-N 0 3 307.271 4.405 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](C)c2sccc2C)c1 ZINC000070090084 1036622953 /nfs/dbraw/zinc/62/29/53/1036622953.db2.gz QSXMWBFZESJGLX-OLZOCXBDSA-N 0 3 302.443 4.427 20 0 DIADHN COc1cccc(CNCc2cc3ccccc3s2)c1OC ZINC000122006164 1036625444 /nfs/dbraw/zinc/62/54/44/1036625444.db2.gz VXVGKNYZXNQPST-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN COc1nsc(CN[C@@H](C)[C@@H]2CCC[C@@H](C)C2)c1Cl ZINC001651223092 1036653073 /nfs/dbraw/zinc/65/30/73/1036653073.db2.gz VQDBZZNPWPHZMV-OUAUKWLOSA-N 0 3 302.871 4.110 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@H](n3ccnc3)C2)c1 ZINC000683665375 1036665972 /nfs/dbraw/zinc/66/59/72/1036665972.db2.gz FAZXCWPHWMDBGD-INIZCTEOSA-N 0 3 324.255 4.070 20 0 DIADHN COc1ncc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1Cl ZINC000579982066 1036687125 /nfs/dbraw/zinc/68/71/25/1036687125.db2.gz KSIWSDGZEGBIFH-CABZTGNLSA-N 0 3 324.774 4.371 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2cccc(OC(F)F)c2)s1 ZINC000076866436 1036707124 /nfs/dbraw/zinc/70/71/24/1036707124.db2.gz AVKOQKZUFPQHAJ-VIFPVBQESA-N 0 3 312.385 4.212 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000683691521 1036714562 /nfs/dbraw/zinc/71/45/62/1036714562.db2.gz HWYXINWUIDXLHS-SLFFLAALSA-N 0 3 313.416 4.333 20 0 DIADHN CO[C@H](CN[C@@H]1CCCc2occc21)c1ccccc1Cl ZINC000683692794 1036718034 /nfs/dbraw/zinc/71/80/34/1036718034.db2.gz UJVVMIZRRIUWAW-NVXWUHKLSA-N 0 3 305.805 4.288 20 0 DIADHN CCO[C@@H]1C[C@H]1N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000683694641 1036721041 /nfs/dbraw/zinc/72/10/41/1036721041.db2.gz ZNEVAFARVFKSFP-VCTAVGKDSA-N 0 3 320.433 4.086 20 0 DIADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000683694642 1036721239 /nfs/dbraw/zinc/72/12/39/1036721239.db2.gz ZNEVAFARVFKSFP-WKPIXPDZSA-N 0 3 320.433 4.086 20 0 DIADHN COc1cc(CNC2(c3cccc(Cl)c3)CC2)ccc1C ZINC000683704014 1036734580 /nfs/dbraw/zinc/73/45/80/1036734580.db2.gz NXQAUWPHLLFGQP-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CNC(C)(C)c2ccccc2)cc1 ZINC001167326712 1036742564 /nfs/dbraw/zinc/74/25/64/1036742564.db2.gz PAEANONVEOPVDL-UHFFFAOYSA-N 0 3 324.468 4.448 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(NC(C)=O)c2)s1 ZINC000155509407 1036743842 /nfs/dbraw/zinc/74/38/42/1036743842.db2.gz CQGOODFURATYOX-LBPRGKRZSA-N 0 3 302.443 4.120 20 0 DIADHN COc1cc(CN2C[C@H](C(F)(F)F)CC[C@@H]2C)ccc1C ZINC000683708033 1036746958 /nfs/dbraw/zinc/74/69/58/1036746958.db2.gz LLJNTNLIWHXOTC-GXTWGEPZSA-N 0 3 301.352 4.166 20 0 DIADHN CC(C)c1ccc(-c2noc([C@@H]3CNCc4ccccc43)n2)cc1 ZINC000325671276 1036755447 /nfs/dbraw/zinc/75/54/47/1036755447.db2.gz DKJPIRNGEZXANU-GOSISDBHSA-N 0 3 319.408 4.095 20 0 DIADHN c1coc([C@@H](NC2CCC3(CCCO3)CC2)c2ccccc2)c1 ZINC000580023144 1036763287 /nfs/dbraw/zinc/76/32/87/1036763287.db2.gz VZZMPOSQELXNKA-SYYJFZTOSA-N 0 3 311.425 4.450 20 0 DIADHN Nc1ccc(CN2CCC(c3cccc(Cl)c3)CC2)cc1F ZINC001210518212 1036764070 /nfs/dbraw/zinc/76/40/70/1036764070.db2.gz CVGQNWAPQYTUBH-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@@H]1CCCN1C1CC1 ZINC001167332810 1036788083 /nfs/dbraw/zinc/78/80/83/1036788083.db2.gz IQZOBFDVOKYHPM-WMZOPIPTSA-N 0 3 308.510 4.068 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@H]1CCN1C(C)(C)C ZINC001167338193 1036807550 /nfs/dbraw/zinc/80/75/50/1036807550.db2.gz KZJWCOAMWPMNHJ-IAGOWNOFSA-N 0 3 310.526 4.314 20 0 DIADHN CCCCN(CC)[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000539196975 1036853121 /nfs/dbraw/zinc/85/31/21/1036853121.db2.gz ADQJAIYJMHFYHJ-NSHDSACASA-N 0 3 306.479 4.073 20 0 DIADHN CCCOCc1ccccc1CNCc1ccc2cnccc2c1 ZINC000683765928 1036861048 /nfs/dbraw/zinc/86/10/48/1036861048.db2.gz KFNXQTQDFCGMRU-UHFFFAOYSA-N 0 3 320.436 4.451 20 0 DIADHN c1ccc2c(c1)CSC[C@@H]2NCc1ccc2cnccc2c1 ZINC000683766993 1036868325 /nfs/dbraw/zinc/86/83/25/1036868325.db2.gz CLGMYTMQSXTBJA-IBGZPJMESA-N 0 3 306.434 4.313 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc3cnccc3c2)c1 ZINC000683767652 1036869714 /nfs/dbraw/zinc/86/97/14/1036869714.db2.gz YJLVEIUBWKCIBT-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN c1nc(C2CC2)c(CNCc2cc3c(s2)CCCC3)s1 ZINC000683767477 1036870655 /nfs/dbraw/zinc/87/06/55/1036870655.db2.gz URBDKEMULODOFJ-UHFFFAOYSA-N 0 3 304.484 4.251 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1ccc2cnccc2c1 ZINC000683767483 1036870840 /nfs/dbraw/zinc/87/08/40/1036870840.db2.gz JNNQVSFKWBDQCT-LJQANCHMSA-N 0 3 304.393 4.238 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc3cnccc3c2)c1 ZINC000683767650 1036872245 /nfs/dbraw/zinc/87/22/45/1036872245.db2.gz YJLVEIUBWKCIBT-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2cnccc2c1)C(C)C ZINC000683770222 1036876090 /nfs/dbraw/zinc/87/60/90/1036876090.db2.gz OLTVQRYXDYARHO-LJQANCHMSA-N 0 3 305.425 4.425 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@H](C)NCc2cccs2)cc1 ZINC000047722898 1036883675 /nfs/dbraw/zinc/88/36/75/1036883675.db2.gz QCDFZMLBZXJAMB-ZDUSSCGKSA-N 0 3 317.458 4.129 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@H](C)NCc2ccsc2)cc1 ZINC000047722924 1036885417 /nfs/dbraw/zinc/88/54/17/1036885417.db2.gz NUCHUEHRNQCXKQ-ZDUSSCGKSA-N 0 3 317.458 4.129 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3cnccc3c2)cn1 ZINC000683774684 1036891838 /nfs/dbraw/zinc/89/18/38/1036891838.db2.gz HXYIDGYTMSDHQD-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN COC(=O)/C(C)=C\CN([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC001167347394 1036909341 /nfs/dbraw/zinc/90/93/41/1036909341.db2.gz NFBIUABVTMGSHO-IRVMHKCDSA-N 0 3 323.436 4.485 20 0 DIADHN C[C@H]1C[C@@H](NCc2cc(Cl)ccc2Br)CS1 ZINC000397856521 1036924814 /nfs/dbraw/zinc/92/48/14/1036924814.db2.gz MMYSNHRIUXMBEV-GZMMTYOYSA-N 0 3 320.683 4.086 20 0 DIADHN CC[C@H](NCCCOC(C)C)c1nc(C(F)(F)F)cs1 ZINC000185922580 1036929761 /nfs/dbraw/zinc/92/97/61/1036929761.db2.gz URJXGSHFSBSBQZ-JTQLQIEISA-N 0 3 310.385 4.018 20 0 DIADHN CC1CCC(OC2CCN(CCc3cccc(F)c3)CC2)CC1 ZINC001167363567 1036982133 /nfs/dbraw/zinc/98/21/33/1036982133.db2.gz CLMSPCKGBKOKBH-UHFFFAOYSA-N 0 3 319.464 4.428 20 0 DIADHN Fc1ccc(CCN(Cc2ccc(F)cc2F)C2CC2)cc1 ZINC001167372268 1037015118 /nfs/dbraw/zinc/01/51/18/1037015118.db2.gz NNQCKRFOCDCVRN-UHFFFAOYSA-N 0 3 305.343 4.311 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@H]2CCCC(C)(C)O2)cc1 ZINC001167371511 1037015721 /nfs/dbraw/zinc/01/57/21/1037015721.db2.gz FOQNMBDRWJYNGH-MSOLQXFVSA-N 0 3 319.514 4.433 20 0 DIADHN Fc1cccc(F)c1C1(N[C@H]2CCOC3(CCC3)C2)CCC1 ZINC000623844330 1037071058 /nfs/dbraw/zinc/07/10/58/1037071058.db2.gz QYNUJDOPQSSBCE-ZDUSSCGKSA-N 0 3 307.384 4.035 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1C)c1cccc(Cl)c1 ZINC000125271791 1037094597 /nfs/dbraw/zinc/09/45/97/1037094597.db2.gz WRVSSSORTOGGTN-MRXNPFEDSA-N 0 3 316.832 4.328 20 0 DIADHN c1c2ccccc2[nH]c1CNCc1ccc(OC2CCCC2)nc1 ZINC000683926916 1037111429 /nfs/dbraw/zinc/11/14/29/1037111429.db2.gz ANDPWCKCCMTEDT-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN Cc1cc(CN2CCC(COc3ccc(F)cc3)CC2)oc1C ZINC001204540298 1037152224 /nfs/dbraw/zinc/15/22/24/1037152224.db2.gz VLVBFGPKJSUWSG-UHFFFAOYSA-N 0 3 317.404 4.327 20 0 DIADHN CN(C)C(=O)c1cccc(CN[C@H](c2ccccc2)C(C)(C)C)c1 ZINC000053616650 1037154081 /nfs/dbraw/zinc/15/40/81/1037154081.db2.gz MZZSUSYSESKGSA-LJQANCHMSA-N 0 3 324.468 4.265 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1ccccc1F ZINC000539510930 1037161184 /nfs/dbraw/zinc/16/11/84/1037161184.db2.gz OXZFXGLVVWRVIQ-VBHSOAQHSA-N 0 3 305.368 4.392 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000255955608 1037165317 /nfs/dbraw/zinc/16/53/17/1037165317.db2.gz UQKNRLIKUTTXHX-XHSDSOJGSA-N 0 3 312.457 4.184 20 0 DIADHN C[C@@H]1CN(CCC2=CCCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001167412511 1037170476 /nfs/dbraw/zinc/17/04/76/1037170476.db2.gz MCRYJHOXQARCLK-IYBDPMFKSA-N 0 3 322.493 4.207 20 0 DIADHN C[C@@H]1[C@H](C)SCCN1CCOc1cc(Cl)cc(Cl)c1 ZINC001167412677 1037170740 /nfs/dbraw/zinc/17/07/40/1037170740.db2.gz PLUNFNINFJWPNJ-MNOVXSKESA-N 0 3 320.285 4.198 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(C3CCCCC3)s2)[C@H](C)C1 ZINC000568351284 1037171773 /nfs/dbraw/zinc/17/17/73/1037171773.db2.gz PFPJPKSOCGYECT-UKRRQHHQSA-N 0 3 308.491 4.190 20 0 DIADHN CO[C@@H]1CCCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000683996035 1037208750 /nfs/dbraw/zinc/20/87/50/1037208750.db2.gz XVTJKLQHTSUYLY-CYBMUJFWSA-N 0 3 302.245 4.037 20 0 DIADHN N#CC(C(=O)C1=CCCCC1)c1nc2ccccc2n1C(F)F ZINC000187574845 1037214204 /nfs/dbraw/zinc/21/42/04/1037214204.db2.gz TYTAVMRPHBOVCF-LBPRGKRZSA-N 0 3 315.323 4.108 20 0 DIADHN c1oc(C2CC2)nc1CN[C@H]1CCCC[C@H]1Cc1ccccc1 ZINC000728302844 1037223290 /nfs/dbraw/zinc/22/32/90/1037223290.db2.gz YYXDKOSSQYNWHC-HKUYNNGSSA-N 0 3 310.441 4.443 20 0 DIADHN c1oc(C2CC2)nc1CN[C@@H]1CCCC[C@@H]1Cc1ccccc1 ZINC000728302845 1037223507 /nfs/dbraw/zinc/22/35/07/1037223507.db2.gz YYXDKOSSQYNWHC-IEBWSBKVSA-N 0 3 310.441 4.443 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2CC=C(c3ccc(F)cc3)CC2)cc1F ZINC000497807293 1037233316 /nfs/dbraw/zinc/23/33/16/1037233316.db2.gz BGQQDXXXPRROHQ-KRWDZBQOSA-N 0 3 315.363 4.396 20 0 DIADHN c1c2ccccc2[nH]c1CN1CC[C@@H](COc2ccccc2)C1 ZINC000684023452 1037251152 /nfs/dbraw/zinc/25/11/52/1037251152.db2.gz VKCQXBAYDCCNMZ-MRXNPFEDSA-N 0 3 306.409 4.069 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(Cc2cccnc2)CC1 ZINC000684023130 1037251512 /nfs/dbraw/zinc/25/15/12/1037251512.db2.gz PQUFLCNGIYSOPK-UHFFFAOYSA-N 0 3 305.425 4.018 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1coc(-c2ccccc2F)n1 ZINC001167430175 1037255649 /nfs/dbraw/zinc/25/56/49/1037255649.db2.gz VABNAWBOXGZYAL-UONOGXRCSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)CCCCCC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125469724 1037270315 /nfs/dbraw/zinc/27/03/15/1037270315.db2.gz ZCIQKGXKYYQFKJ-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN Clc1csc(CN2CCC(CCCn3ccnc3)CC2)c1 ZINC000349343481 1037273327 /nfs/dbraw/zinc/27/33/27/1037273327.db2.gz GODZFEJDIIWDKR-UHFFFAOYSA-N 0 3 323.893 4.290 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2ccccn2)c(Cl)c1 ZINC000580746733 1037285615 /nfs/dbraw/zinc/28/56/15/1037285615.db2.gz ZXHNLCKWRQCTIW-KRWDZBQOSA-N 0 3 304.796 4.254 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC001167433758 1037290429 /nfs/dbraw/zinc/29/04/29/1037290429.db2.gz LAHNHCMYHAWZSS-CPUCHLNUSA-N 0 3 320.864 4.240 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCCOCC2CCC2)c1 ZINC000580867203 1037335493 /nfs/dbraw/zinc/33/54/93/1037335493.db2.gz YHWDBASEZYJKNL-CYBMUJFWSA-N 0 3 313.388 4.064 20 0 DIADHN c1ccc(COc2ccc(CN3CCC[C@H]4CCC[C@H]43)cn2)cc1 ZINC001167439205 1037365469 /nfs/dbraw/zinc/36/54/69/1037365469.db2.gz UBUMBELBEHEGHF-WOJBJXKFSA-N 0 3 322.452 4.425 20 0 DIADHN Cc1ccc([C@@H](NCCCCOC(C)C)c2ccccn2)cc1 ZINC000926129316 1037373094 /nfs/dbraw/zinc/37/30/94/1037373094.db2.gz BPAXWTSIMUTFLU-HXUWFJFHSA-N 0 3 312.457 4.274 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@@H]1CC[C@H]1C1CC1 ZINC000580944088 1037375486 /nfs/dbraw/zinc/37/54/86/1037375486.db2.gz LQBSCKRTCAAWJQ-ZWKOTPCHSA-N 0 3 316.514 4.144 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H](C)c2cccc(F)c2)n1 ZINC000127681275 1037378035 /nfs/dbraw/zinc/37/80/35/1037378035.db2.gz RBHZYDRRNPAAMR-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCO[C@@]2(CCSC2)C1 ZINC000580950380 1037379658 /nfs/dbraw/zinc/37/96/58/1037379658.db2.gz LSWCZXFWRYHSTH-KXBFYZLASA-N 0 3 313.466 4.109 20 0 DIADHN CCC(CC)N(CC)Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000580975682 1037397464 /nfs/dbraw/zinc/39/74/64/1037397464.db2.gz DKJLRPLHKHJKEV-UHFFFAOYSA-N 0 3 302.418 4.361 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)[C@H]1C[C@@H](OCC)C1(C)C ZINC001167442942 1037407460 /nfs/dbraw/zinc/40/74/60/1037407460.db2.gz KBQPGCRHQUUHKQ-DLBZAZTESA-N 0 3 311.416 4.380 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(C)cc2)C2CCCCC2)n(C)n1 ZINC000581027363 1037409176 /nfs/dbraw/zinc/40/91/76/1037409176.db2.gz WKGYTINSJGNHIC-HXUWFJFHSA-N 0 3 311.473 4.448 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCCC2(CCOCC2)C1 ZINC000581218692 1037479686 /nfs/dbraw/zinc/47/96/86/1037479686.db2.gz YBLAEWYVXOZLAV-KRWDZBQOSA-N 0 3 309.400 4.309 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2sccc2Br)CS1 ZINC000381046925 1037508365 /nfs/dbraw/zinc/50/83/65/1037508365.db2.gz DKXLELOKESJVAY-GXSJLCMTSA-N 0 3 320.321 4.130 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2sccc2Br)CS1 ZINC000381046927 1037508746 /nfs/dbraw/zinc/50/87/46/1037508746.db2.gz DKXLELOKESJVAY-MWLCHTKSSA-N 0 3 320.321 4.130 20 0 DIADHN Fc1ccc(CN(CCc2ccccc2)Cc2cccnc2)cc1 ZINC000581278031 1037513728 /nfs/dbraw/zinc/51/37/28/1037513728.db2.gz NOBKWJNXFFFQRJ-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(C(F)F)c2)cc1OC ZINC000684203925 1037546730 /nfs/dbraw/zinc/54/67/30/1037546730.db2.gz PAAIDDDQIMFWRI-UHFFFAOYSA-N 0 3 321.367 4.273 20 0 DIADHN Cc1nccc([C@H]2CCCN(Cc3cccc(C(F)F)c3)C2)n1 ZINC000684203221 1037547008 /nfs/dbraw/zinc/54/70/08/1037547008.db2.gz DYBLKGSSJZLJGT-INIZCTEOSA-N 0 3 317.383 4.102 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCCN1Cc1cscc1C(F)(F)F ZINC000581362815 1037556129 /nfs/dbraw/zinc/55/61/29/1037556129.db2.gz INTJGSSIKWKDRV-AAEUAGOBSA-N 0 3 321.408 4.282 20 0 DIADHN CC[C@H](NCC[C@@H](C)[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000090517183 1037565087 /nfs/dbraw/zinc/56/50/87/1037565087.db2.gz DNAZFSZCPDZDKJ-MPSXMAJESA-N 0 3 322.301 4.191 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3noc4c3CCCC4)C2)c(C)c1 ZINC000684212329 1037565878 /nfs/dbraw/zinc/56/58/78/1037565878.db2.gz YXHLOKFJUYCVOI-INIZCTEOSA-N 0 3 310.441 4.160 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3ccc(Cl)cn3)C2)c1 ZINC000581393251 1037580510 /nfs/dbraw/zinc/58/05/10/1037580510.db2.gz LCNSWMWLTCNPLW-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000684223590 1037592618 /nfs/dbraw/zinc/59/26/18/1037592618.db2.gz TZRHZJMXBTVJEB-NVXWUHKLSA-N 0 3 304.478 4.010 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(-c2cccnc2)cc1 ZINC000241470613 1037631021 /nfs/dbraw/zinc/63/10/21/1037631021.db2.gz NWMGEACIIMQNEI-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2cccc(C)c2C)nc1 ZINC001167464819 1037670387 /nfs/dbraw/zinc/67/03/87/1037670387.db2.gz RNIGWSIOZWSEGJ-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)N1CCC(C)CC1)c1cccc(Cl)c1 ZINC000341105167 1037679603 /nfs/dbraw/zinc/67/96/03/1037679603.db2.gz UBNMMJKKONGXRA-RHSMWYFYSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@@]1(CN[C@@H]2CCc3c2c(F)ccc3F)CC1(Cl)Cl ZINC000834885456 1037707622 /nfs/dbraw/zinc/70/76/22/1037707622.db2.gz WHZALDQCHXOISI-YPMHNXCESA-N 0 3 306.183 4.126 20 0 DIADHN CC[C@H]1CCCC[C@@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000341176787 1037710614 /nfs/dbraw/zinc/71/06/14/1037710614.db2.gz SFMCQMBVQVXZQU-BBRMVZONSA-N 0 3 303.450 4.095 20 0 DIADHN CC[C@@H](O)[C@@H]1CCCCN1CCc1cc(Cl)cc(Cl)c1 ZINC001167469284 1037725074 /nfs/dbraw/zinc/72/50/74/1037725074.db2.gz XODLYTSFVBCDFR-JKSUJKDBSA-N 0 3 316.272 4.161 20 0 DIADHN Cc1ccncc1CN1CC(Cc2cccc(C(F)(F)F)c2)C1 ZINC000656655383 1037745550 /nfs/dbraw/zinc/74/55/50/1037745550.db2.gz BKKJCQHPQHMFCG-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN CCCC1CCN(Cc2cnn(Cc3ccc(F)cc3)c2)CC1 ZINC001204566233 1037747939 /nfs/dbraw/zinc/74/79/39/1037747939.db2.gz SXMRHOKTFUZPCB-UHFFFAOYSA-N 0 3 315.436 4.083 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)C(C)(C)CO)s1 ZINC000581580054 1037752709 /nfs/dbraw/zinc/75/27/09/1037752709.db2.gz DSJGLGXDGRBCSE-MRXNPFEDSA-N 0 3 321.511 4.319 20 0 DIADHN C[C@@H](O)C[C@@H](C)CNCc1c(Cl)ccc(Cl)c1Cl ZINC000398709104 1037760027 /nfs/dbraw/zinc/76/00/27/1037760027.db2.gz ZMWVOYSYNOUXBI-RKDXNWHRSA-N 0 3 310.652 4.143 20 0 DIADHN C[C@@H](O)C[C@H](C)CNCc1c(Cl)ccc(Cl)c1Cl ZINC000398709102 1037760779 /nfs/dbraw/zinc/76/07/79/1037760779.db2.gz ZMWVOYSYNOUXBI-DTWKUNHWSA-N 0 3 310.652 4.143 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(SC)cc1)c1ccccc1 ZINC000341219607 1037761038 /nfs/dbraw/zinc/76/10/38/1037761038.db2.gz NRESOHDTLXPXCY-KRWDZBQOSA-N 0 3 314.454 4.088 20 0 DIADHN Fc1ccc(CC2CN(Cc3nccc4ccccc43)C2)cc1 ZINC000656669360 1037774280 /nfs/dbraw/zinc/77/42/80/1037774280.db2.gz SRKWJQYRELPNJB-UHFFFAOYSA-N 0 3 306.384 4.048 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C)c(F)c2)c(C)c1OC ZINC000581623699 1037797365 /nfs/dbraw/zinc/79/73/65/1037797365.db2.gz YOQZJNFXVGQHGY-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN FC(F)(F)CC1CN(CCCCCOc2ccccc2)C1 ZINC000656687911 1037799311 /nfs/dbraw/zinc/79/93/11/1037799311.db2.gz AKFRGGYLLURVLU-UHFFFAOYSA-N 0 3 301.352 4.120 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cnc(C)s2)C2CC2)cc1 ZINC000090401002 1037827080 /nfs/dbraw/zinc/82/70/80/1037827080.db2.gz ZCZXHTMBSYONTA-DIFFPNOSSA-N 0 3 302.443 4.262 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](CC)c1cccc(Cl)c1 ZINC000341282169 1037845619 /nfs/dbraw/zinc/84/56/19/1037845619.db2.gz HXSCWXYSHJWIQB-MLGOLLRUSA-N 0 3 310.869 4.074 20 0 DIADHN CC(C)(C)c1ccc(CNc2ccc([C@H]3CNCCO3)cc2)cc1 ZINC000656791586 1037870153 /nfs/dbraw/zinc/87/01/53/1037870153.db2.gz IECBJGFEZCROJK-HXUWFJFHSA-N 0 3 324.468 4.257 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1cnc(C2CCCC2)s1 ZINC000656792200 1037871769 /nfs/dbraw/zinc/87/17/69/1037871769.db2.gz RIXZXXJUMWBKEN-KRWDZBQOSA-N 0 3 313.470 4.160 20 0 DIADHN C[C@]1(NCc2cncc3ccccc32)CCOc2ccccc21 ZINC000933374489 1037921762 /nfs/dbraw/zinc/92/17/62/1037921762.db2.gz LUUGVSWDZOTARY-FQEVSTJZSA-N 0 3 304.393 4.022 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4c(s3)CCC4)C2)c(C)c1 ZINC000684410516 1037956759 /nfs/dbraw/zinc/95/67/59/1037956759.db2.gz CBQHAHKRKIBUIG-OAHLLOKOSA-N 0 3 312.482 4.238 20 0 DIADHN CC1(CC(=O)N2CCN(CC3CCCCC3)CC2)CCCCC1 ZINC001125534294 1037980686 /nfs/dbraw/zinc/98/06/86/1037980686.db2.gz GLGLIQWNOFLNLC-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@@H](O)c1cccc(F)c1 ZINC000051232246 1038010164 /nfs/dbraw/zinc/01/01/64/1038010164.db2.gz LDQMGYQNYZXWSQ-CQSZACIVSA-N 0 3 313.825 4.096 20 0 DIADHN Cc1c(CN2CC[C@H](c3ccc(Cl)c(Cl)c3)C2)cnn1C ZINC001204572377 1038011219 /nfs/dbraw/zinc/01/12/19/1038011219.db2.gz OZZPMZSIVADZDY-ZDUSSCGKSA-N 0 3 324.255 4.025 20 0 DIADHN CSC1CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC000602727356 1038081055 /nfs/dbraw/zinc/08/10/55/1038081055.db2.gz ZYDRNMLSEWBUML-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN O=C(CN1CCC2(CCCC2)C1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001167487701 1038091062 /nfs/dbraw/zinc/09/10/62/1038091062.db2.gz QHUOYHOBPLHFRF-OKILXGFUSA-N 0 3 317.395 4.190 20 0 DIADHN O[C@@H](CN1CCC2(CCCC2)C1)c1ccc(Cl)cc1Cl ZINC001167487901 1038093673 /nfs/dbraw/zinc/09/36/73/1038093673.db2.gz UDWHQRSGXIYNBM-HNNXBMFYSA-N 0 3 314.256 4.293 20 0 DIADHN Cc1cc([C@H](NCc2ccnn2CC(C)C)C2CC2)ccc1F ZINC000647197336 1038121079 /nfs/dbraw/zinc/12/10/79/1038121079.db2.gz NQVSBEJRFDJBIA-LJQANCHMSA-N 0 3 315.436 4.228 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc([S@](C)=O)cc2)s1 ZINC000598635243 1038141050 /nfs/dbraw/zinc/14/10/50/1038141050.db2.gz CIQZTFUCGGPBKC-ASSNKEHSSA-N 0 3 321.511 4.289 20 0 DIADHN COCC1(C2CCC2)CN(C[C@H](C)c2cccc(Cl)c2)C1 ZINC000668514638 1038172699 /nfs/dbraw/zinc/17/26/99/1038172699.db2.gz MWYJIHTTZAOIQZ-AWEZNQCLSA-N 0 3 307.865 4.192 20 0 DIADHN CCN(Cc1cccs1)Cc1cc(F)cc2cccnc21 ZINC000349565870 1038173066 /nfs/dbraw/zinc/17/30/66/1038173066.db2.gz ZSWLHTOKGMWQQG-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN O[C@@H](CNCc1c(Cl)oc2ccccc21)c1ccc(F)cc1 ZINC000761291640 1038184634 /nfs/dbraw/zinc/18/46/34/1038184634.db2.gz PRUAYJZTSNZUCX-HNNXBMFYSA-N 0 3 319.763 4.049 20 0 DIADHN O[C@H](CNCc1c(Cl)oc2ccccc21)c1ccc(F)cc1 ZINC000761291645 1038184911 /nfs/dbraw/zinc/18/49/11/1038184911.db2.gz PRUAYJZTSNZUCX-OAHLLOKOSA-N 0 3 319.763 4.049 20 0 DIADHN CC[C@]1(C)CCCN(CC(=O)N(C2CC2)C2CCC(C)CC2)C1 ZINC001167492986 1038198221 /nfs/dbraw/zinc/19/82/21/1038198221.db2.gz VHPLUHTZSMELBG-LBXVMSDZSA-N 0 3 320.521 4.068 20 0 DIADHN CC(C)CCOc1ccc(CNCc2ccc(C#N)cc2)cc1 ZINC000061106152 1038205677 /nfs/dbraw/zinc/20/56/77/1038205677.db2.gz MMVSBTPZSLEOKR-UHFFFAOYSA-N 0 3 308.425 4.273 20 0 DIADHN Cc1ccc(Oc2cc(Cl)ccc2CN[C@H](C)CO)c(C)c1 ZINC001648913691 1038205426 /nfs/dbraw/zinc/20/54/26/1038205426.db2.gz DNVGRIQYVGBXIG-CQSZACIVSA-N 0 3 319.832 4.220 20 0 DIADHN C[C@](O)(CNCc1c(Cl)oc2ccccc21)c1ccsc1 ZINC000761310631 1038206778 /nfs/dbraw/zinc/20/67/78/1038206778.db2.gz HWOHVPVSXPGBTC-INIZCTEOSA-N 0 3 321.829 4.145 20 0 DIADHN CC(C)O[C@@H](CN[C@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000581979932 1038208648 /nfs/dbraw/zinc/20/86/48/1038208648.db2.gz MOBVBKBCQBSCNR-APWZRJJASA-N 0 3 315.461 4.281 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@H]1OCCc2ccccc21 ZINC000603516639 1038237022 /nfs/dbraw/zinc/23/70/22/1038237022.db2.gz XZXBNVZUYYKHAX-OAQYLSRUSA-N 0 3 319.404 4.350 20 0 DIADHN C[C@@H](c1nc(-c2ccc3cc[nH]c3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000276852941 1038255517 /nfs/dbraw/zinc/25/55/17/1038255517.db2.gz BJFVPHIUKRGQQV-XQQFMLRXSA-N 0 3 310.401 4.152 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(F)c(Br)c1 ZINC000130263781 1038265680 /nfs/dbraw/zinc/26/56/80/1038265680.db2.gz LWIQJCDIJAKKPR-SNVBAGLBSA-N 0 3 324.193 4.145 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cc1ccc([S@@](C)=O)cc1 ZINC000349613913 1038267594 /nfs/dbraw/zinc/26/75/94/1038267594.db2.gz MUMPGDMDSXIDBU-KSFYIVLOSA-N 0 3 315.482 4.225 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cccnc2C(F)(F)F)C1 ZINC000599302239 1038268819 /nfs/dbraw/zinc/26/88/19/1038268819.db2.gz BESCDWNVZFHRIN-OLZOCXBDSA-N 0 3 318.408 4.206 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cccnc1C(F)(F)F ZINC000599331246 1038269792 /nfs/dbraw/zinc/26/97/92/1038269792.db2.gz RHCJJFYSLHVFNP-LLVKDONJSA-N 0 3 306.397 4.064 20 0 DIADHN Fc1ccc(C2(NCc3ccnc(Cl)c3)CCCC2)cc1 ZINC000170970602 1038277477 /nfs/dbraw/zinc/27/74/77/1038277477.db2.gz OWSKPRDZBJHGEQ-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN Fc1ccccc1CN1CCC[C@H](c2nc3ccccc3o2)C1 ZINC000029824838 1038292968 /nfs/dbraw/zinc/29/29/68/1038292968.db2.gz IXXVOYOJAWZWQB-HNNXBMFYSA-N 0 3 310.372 4.347 20 0 DIADHN CC(C)(C)C[C@@H](NCC(=O)N1CCCC1)c1ccc(Cl)cc1 ZINC000341844048 1038293524 /nfs/dbraw/zinc/29/35/24/1038293524.db2.gz AZPZCKOKEGYGEV-MRXNPFEDSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@@H](c1nc(-c2cccc3cnccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276979739 1038309498 /nfs/dbraw/zinc/30/94/98/1038309498.db2.gz VQPHDLGOVKHQLR-MCIONIFRSA-N 0 3 322.412 4.219 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@@H]1CCC12CCC2 ZINC000669703490 1038313183 /nfs/dbraw/zinc/31/31/83/1038313183.db2.gz BQOZYNZNPRPQQV-GOSISDBHSA-N 0 3 316.514 4.288 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000624061806 1038313494 /nfs/dbraw/zinc/31/34/94/1038313494.db2.gz KMHQHJPCMQBEQP-XJKSGUPXSA-N 0 3 302.368 4.300 20 0 DIADHN c1ccc([C@@H]2OCCC[C@@H]2CNc2ccnc3ccccc32)cc1 ZINC000599556812 1038313749 /nfs/dbraw/zinc/31/37/49/1038313749.db2.gz HWZNFDHCTKJWLM-UTKZUKDTSA-N 0 3 318.420 4.237 20 0 DIADHN Cn1ccc(CNCc2ccc(Sc3ccccc3)cc2)c1 ZINC000535713892 1038318741 /nfs/dbraw/zinc/31/87/41/1038318741.db2.gz FIBSPAYXHWXOHG-UHFFFAOYSA-N 0 3 308.450 4.466 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1cccc(-c2ccccn2)c1 ZINC000535778523 1038333392 /nfs/dbraw/zinc/33/33/92/1038333392.db2.gz KLEIBBCMHKJALG-UHFFFAOYSA-N 0 3 304.393 4.086 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN(C1CC1)C1CCCC1 ZINC000535782749 1038335797 /nfs/dbraw/zinc/33/57/97/1038335797.db2.gz OBGSHTVJLDNWMI-UHFFFAOYSA-N 0 3 303.837 4.134 20 0 DIADHN COc1cc(CN2C3CCC2CC3)ccc1OCc1ccccc1 ZINC000535780180 1038339469 /nfs/dbraw/zinc/33/94/69/1038339469.db2.gz NRBWPCOOZACARW-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN CCC1(CC)[C@H](N(C)Cc2cnc(C3CC3)s2)C[C@@H]1OC ZINC000535807043 1038343004 /nfs/dbraw/zinc/34/30/04/1038343004.db2.gz SZLCMXIKUTXTOX-CABCVRRESA-N 0 3 308.491 4.046 20 0 DIADHN CCCOc1ccc(CN2CCC(OC3CCCC3)CC2)cc1 ZINC000535819163 1038362299 /nfs/dbraw/zinc/36/22/99/1038362299.db2.gz CJNPIEYBOHLTRM-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN c1ccc([C@H]2CN(c3ccnc4ccccc43)CCCO2)cc1 ZINC000599890151 1038364768 /nfs/dbraw/zinc/36/47/68/1038364768.db2.gz NQGGVATVKWEBLB-HXUWFJFHSA-N 0 3 304.393 4.203 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnn(C(C)C)c1)c1ccccc1Cl ZINC000090453613 1038382092 /nfs/dbraw/zinc/38/20/92/1038382092.db2.gz DURMUGJAYWNVTO-CXAGYDPISA-N 0 3 321.852 4.156 20 0 DIADHN Cc1nc(CNCCCc2c(Cl)cccc2Cl)cs1 ZINC000684613703 1038385852 /nfs/dbraw/zinc/38/58/52/1038385852.db2.gz JONIYOQIVOAFJP-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN CSC1(CN[C@H](c2cccnc2)c2cc(C)cc(C)c2)CC1 ZINC000926313880 1038389184 /nfs/dbraw/zinc/38/91/84/1038389184.db2.gz AWMPXMVAMBEJGT-GOSISDBHSA-N 0 3 312.482 4.273 20 0 DIADHN Cc1nc2ccccc2n1C1CCN([C@H](C)c2ccncc2)CC1 ZINC000684620980 1038400095 /nfs/dbraw/zinc/40/00/95/1038400095.db2.gz RPJSMCLEQCZOGV-OAHLLOKOSA-N 0 3 320.440 4.138 20 0 DIADHN CN(C)CCN(C(=O)/C=C\C1CCCCCC1)c1ccccc1 ZINC001125560913 1038405868 /nfs/dbraw/zinc/40/58/68/1038405868.db2.gz XKYGPHKWYDBDME-PFONDFGASA-N 0 3 314.473 4.108 20 0 DIADHN Fc1cccc(-c2nc(CN3CCCC4(CC4)CC3)co2)c1 ZINC000626113138 1038412185 /nfs/dbraw/zinc/41/21/85/1038412185.db2.gz FZCRIGBATABQKV-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN CC(C)(NCc1cccc(OC(F)F)c1)c1ccc(F)cc1 ZINC000171440509 1038420894 /nfs/dbraw/zinc/42/08/94/1038420894.db2.gz VLKKYRVQVAVNQQ-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN CC[C@@H](C)N(Cc1cc(C(=O)OC)oc1C)Cc1ccccc1 ZINC000604513641 1038424044 /nfs/dbraw/zinc/42/40/44/1038424044.db2.gz GUJUQXMTSORUOT-CQSZACIVSA-N 0 3 315.413 4.175 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](c2cccs2)N2CCCC2)o1 ZINC000150908608 1038424602 /nfs/dbraw/zinc/42/46/02/1038424602.db2.gz NNJOVTGGXFOOQK-HUUCEWRRSA-N 0 3 304.459 4.137 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3cc(C)c(C)cc32)cc1 ZINC000151004579 1038428694 /nfs/dbraw/zinc/42/86/94/1038428694.db2.gz DLTCNVSZBDOKTJ-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ccccc1CN[C@@](C)(CO)c1cccc(C(F)(F)F)c1 ZINC000604767040 1038450558 /nfs/dbraw/zinc/45/05/58/1038450558.db2.gz AFZPYPZHRWDTDJ-KRWDZBQOSA-N 0 3 323.358 4.011 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@H](c2ccccc2)[C@H](C)C1 ZINC001167499625 1038452287 /nfs/dbraw/zinc/45/22/87/1038452287.db2.gz LYWQHYNOQIVQPL-INWMFGNUSA-N 0 3 301.459 4.033 20 0 DIADHN FC(F)c1ccc(CN2CCC(F)(c3cccnc3)CC2)cc1 ZINC000342149917 1038456047 /nfs/dbraw/zinc/45/60/47/1038456047.db2.gz IPLSNVCOTXZORA-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@H](NCc2ccc(Cl)o2)C1 ZINC000605008905 1038476643 /nfs/dbraw/zinc/47/66/43/1038476643.db2.gz CFJZZSKHQJWRTB-AWEZNQCLSA-N 0 3 322.811 4.139 20 0 DIADHN CCOC[C@H]1CCCN(Cc2ccc(C(F)F)c(F)c2)C1 ZINC000892047603 1038486923 /nfs/dbraw/zinc/48/69/23/1038486923.db2.gz AMTSGWYTVOATFX-ZDUSSCGKSA-N 0 3 301.352 4.012 20 0 DIADHN COc1cccc(Cl)c1CNCC1(c2ccc(F)cc2)CC1 ZINC000605322173 1038505388 /nfs/dbraw/zinc/50/53/88/1038505388.db2.gz HTPWDGAGJXBPGE-UHFFFAOYSA-N 0 3 319.807 4.309 20 0 DIADHN COCc1csc(CN[C@H]2CCSc3ccccc32)c1 ZINC000657293074 1038507939 /nfs/dbraw/zinc/50/79/39/1038507939.db2.gz WGSBLCFKHHOTTI-HNNXBMFYSA-N 0 3 305.468 4.221 20 0 DIADHN CCCc1ccc([C@@H](NCc2cnc3n2CCC3)C(C)C)cc1 ZINC000657297000 1038511907 /nfs/dbraw/zinc/51/19/07/1038511907.db2.gz YYFRFNIKVZPCOU-FQEVSTJZSA-N 0 3 311.473 4.269 20 0 DIADHN COCc1csc(CN[C@H]2CCSc3ccc(F)cc32)c1 ZINC000657297678 1038516363 /nfs/dbraw/zinc/51/63/63/1038516363.db2.gz QDIJBLYKQPNTJV-HNNXBMFYSA-N 0 3 323.458 4.360 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccc2c(c1)CCC(=O)N2 ZINC000171978104 1038519178 /nfs/dbraw/zinc/51/91/78/1038519178.db2.gz DDEKYBXSVMIZTH-QWHCGFSZSA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cc3c(cccc3C)[nH]2)c1 ZINC000657298423 1038519558 /nfs/dbraw/zinc/51/95/58/1038519558.db2.gz OHLHGEAJNHZTFY-CQSZACIVSA-N 0 3 321.424 4.286 20 0 DIADHN Cc1cc(CN[C@H](CCO)c2ccc(Cl)cc2)ccc1F ZINC000342295911 1038519759 /nfs/dbraw/zinc/51/97/59/1038519759.db2.gz JKFXNDIAKQNRGB-QGZVFWFLSA-N 0 3 307.796 4.001 20 0 DIADHN COc1cc(C)c(CN[C@H]2CCCc3ccc(C)cc32)c(C)n1 ZINC000342306331 1038526979 /nfs/dbraw/zinc/52/69/79/1038526979.db2.gz DTGUMRQWYYPCCK-IBGZPJMESA-N 0 3 310.441 4.183 20 0 DIADHN Cc1nc(CN(CCc2ccccc2)C2CCCC2)cs1 ZINC000605708820 1038549008 /nfs/dbraw/zinc/54/90/08/1038549008.db2.gz GWGUGSVQQHNXNC-UHFFFAOYSA-N 0 3 300.471 4.439 20 0 DIADHN COc1ccccc1-c1nc(CN2CCCC(C)(C)CC2)co1 ZINC001167529472 1038551422 /nfs/dbraw/zinc/55/14/22/1038551422.db2.gz NHVGYDQJWPEYHB-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN c1coc([C@@H]2CCCCCN2C[C@H]2COc3ccccc3O2)c1 ZINC000605903235 1038555112 /nfs/dbraw/zinc/55/51/12/1038555112.db2.gz PHUGQVTWXSVEEE-HOTGVXAUSA-N 0 3 313.397 4.037 20 0 DIADHN O[C@@H](CN(Cc1ccccc1)Cc1ccccc1)c1ccco1 ZINC000062355940 1038558594 /nfs/dbraw/zinc/55/85/94/1038558594.db2.gz FTLIONNEEXDVPZ-IBGZPJMESA-N 0 3 307.393 4.015 20 0 DIADHN CC(C)OCCN[C@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000349690823 1038559185 /nfs/dbraw/zinc/55/91/85/1038559185.db2.gz GNXQHBRVTLWDRK-ZDUSSCGKSA-N 0 3 318.244 4.222 20 0 DIADHN Cc1ccc2ncc(CN3Cc4ccccc4C[C@@H]3C)cc2c1 ZINC001167543636 1038560964 /nfs/dbraw/zinc/56/09/64/1038560964.db2.gz DDUKVQYXICKSCI-INIZCTEOSA-N 0 3 302.421 4.490 20 0 DIADHN CN(C)CCN(C(=O)CC/C=C\c1ccccc1)c1ccccc1 ZINC000606185405 1038567921 /nfs/dbraw/zinc/56/79/21/1038567921.db2.gz GIZKZZDJUDDDKA-LCYFTJDESA-N 0 3 322.452 4.075 20 0 DIADHN Clc1cccc(OCCCN(Cc2cccnc2)C2CC2)c1 ZINC000342393945 1038568675 /nfs/dbraw/zinc/56/86/75/1038568675.db2.gz GCIMYHRPAILLPF-UHFFFAOYSA-N 0 3 316.832 4.169 20 0 DIADHN Cc1c(Br)cncc1CNC(C)(C)c1ccccc1 ZINC000657332046 1038574485 /nfs/dbraw/zinc/57/44/85/1038574485.db2.gz DMKBKFHATICVPC-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN c1c2ccncc2sc1CN[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000657336092 1038580052 /nfs/dbraw/zinc/58/00/52/1038580052.db2.gz PCVPFPLHHSKKBQ-PKOBYXMFSA-N 0 3 324.449 4.306 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cc(COC)cs2)c1 ZINC000657336715 1038581597 /nfs/dbraw/zinc/58/15/97/1038581597.db2.gz YQOMZMORPRBNAW-ZDUSSCGKSA-N 0 3 305.443 4.144 20 0 DIADHN c1csc(N2CCC(Nc3ccnc4ccccc43)CC2)c1 ZINC000154082431 1038582735 /nfs/dbraw/zinc/58/27/35/1038582735.db2.gz BRKXQQCBBCHBJF-UHFFFAOYSA-N 0 3 309.438 4.377 20 0 DIADHN Cc1cccc(-c2cccc(CNCc3cnn4c3CCC4)c2)c1 ZINC000657342973 1038584606 /nfs/dbraw/zinc/58/46/06/1038584606.db2.gz HFHCRHTWXOZRKI-UHFFFAOYSA-N 0 3 317.436 4.095 20 0 DIADHN Cn1nc(C(F)(F)F)cc1CN[C@H](c1ccccc1)C1CCC1 ZINC000657346631 1038587661 /nfs/dbraw/zinc/58/76/61/1038587661.db2.gz KZHHRUGXDHYUAC-MRXNPFEDSA-N 0 3 323.362 4.070 20 0 DIADHN Clc1ccc([C@H](NCc2cnc3n2CCC3)C2CCC2)cc1 ZINC000657349828 1038590632 /nfs/dbraw/zinc/59/06/32/1038590632.db2.gz NUGFQDNEJFTMLP-GOSISDBHSA-N 0 3 315.848 4.114 20 0 DIADHN CC(C)n1ccnc1CNC(C)(C)Cc1ccccc1Cl ZINC000657350607 1038591851 /nfs/dbraw/zinc/59/18/51/1038591851.db2.gz FNEGVGOFJDYXKZ-UHFFFAOYSA-N 0 3 305.853 4.228 20 0 DIADHN CC1(C)CC[C@@H](NCc2ccnn2CC2CC2)c2ccccc21 ZINC000657349600 1038592889 /nfs/dbraw/zinc/59/28/89/1038592889.db2.gz SIZHIPLAJPXBMT-LJQANCHMSA-N 0 3 309.457 4.195 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3nc4c(s3)CCCC4)C2)c1 ZINC000684766662 1038593458 /nfs/dbraw/zinc/59/34/58/1038593458.db2.gz GUGIVKORXMYPLS-CTYIDZIISA-N 0 3 316.445 4.197 20 0 DIADHN Cc1cnc(C2CCN(Cc3cnc4ccccc4c3)CC2)s1 ZINC000277491492 1038594018 /nfs/dbraw/zinc/59/40/18/1038594018.db2.gz NKSVSBHSCHYKKS-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1sccc1CN1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC000582137763 1038595128 /nfs/dbraw/zinc/59/51/28/1038595128.db2.gz CQQHEQZITUTZBX-CQSZACIVSA-N 0 3 309.475 4.000 20 0 DIADHN CCOc1ccc(CNCc2cc3c(cccc3C)[nH]2)cc1F ZINC000657352934 1038595697 /nfs/dbraw/zinc/59/56/97/1038595697.db2.gz NWGHYZVJPZZKLC-UHFFFAOYSA-N 0 3 312.388 4.304 20 0 DIADHN CCc1ccccc1NC(=O)CN1CCCC[C@H]1[C@@H](C)CC ZINC000606434396 1038601743 /nfs/dbraw/zinc/60/17/43/1038601743.db2.gz XUSVHDNTYJNUCY-YJBOKZPZSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1cnn(CCCCCN2CCc3cccc(F)c3[C@H]2C)c1 ZINC000606450474 1038602719 /nfs/dbraw/zinc/60/27/19/1038602719.db2.gz BMSSSNRALJJJDM-MRXNPFEDSA-N 0 3 315.436 4.120 20 0 DIADHN Cc1ccccc1CN1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000606451349 1038602852 /nfs/dbraw/zinc/60/28/52/1038602852.db2.gz DRLINRBZLUFHJW-GOSISDBHSA-N 0 3 311.400 4.229 20 0 DIADHN Cc1ccccc1CN1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000606451350 1038603334 /nfs/dbraw/zinc/60/33/34/1038603334.db2.gz DRLINRBZLUFHJW-SFHVURJKSA-N 0 3 311.400 4.229 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc(OC(F)F)cc1 ZINC000606469106 1038607224 /nfs/dbraw/zinc/60/72/24/1038607224.db2.gz JCWATCFMTCQBJL-UHFFFAOYSA-N 0 3 322.399 4.425 20 0 DIADHN Clc1ccc(C2(NCc3cnc4n3CCC4)CCCC2)cc1 ZINC000657367123 1038610982 /nfs/dbraw/zinc/61/09/82/1038610982.db2.gz OTWFJACAWFCXDX-UHFFFAOYSA-N 0 3 315.848 4.042 20 0 DIADHN Clc1ccc(C2(NCc3cnn4c3CCC4)CCCC2)cc1 ZINC000657366580 1038611184 /nfs/dbraw/zinc/61/11/84/1038611184.db2.gz NIJCIWDQIMZRIM-UHFFFAOYSA-N 0 3 315.848 4.042 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](NCc1cnc2n1CCC2)C(C)C ZINC000657369417 1038614686 /nfs/dbraw/zinc/61/46/86/1038614686.db2.gz FRESZHVXYMFDTI-IBGZPJMESA-N 0 3 323.440 4.371 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cn3cc(C)ccc3n2)cc1 ZINC000606540645 1038614679 /nfs/dbraw/zinc/61/46/79/1038614679.db2.gz ZJZNNFKNYVQFCJ-QGZVFWFLSA-N 0 3 307.441 4.014 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000051531223 1038616748 /nfs/dbraw/zinc/61/67/48/1038616748.db2.gz JHGXYPNAZLUOSN-YWZLYKJASA-N 0 3 313.416 4.332 20 0 DIADHN CC(C)c1ncc(CNC[C@H]2CC[C@H](c3ccccc3)O2)s1 ZINC000342459410 1038616803 /nfs/dbraw/zinc/61/68/03/1038616803.db2.gz YNQQJKVFAMHECE-NVXWUHKLSA-N 0 3 316.470 4.276 20 0 DIADHN CC[C@@H](NCc1cc2c(cccc2C)[nH]1)c1c(C)nn(C)c1C ZINC000657379015 1038620842 /nfs/dbraw/zinc/62/08/42/1038620842.db2.gz UZCLPJNDPFPIGP-QGZVFWFLSA-N 0 3 310.445 4.068 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3cnn(C)c3)C(C)(C)C)[nH]c2c1 ZINC000657386658 1038627931 /nfs/dbraw/zinc/62/79/31/1038627931.db2.gz GGCOSYWCGLDNFB-SFHVURJKSA-N 0 3 310.445 4.087 20 0 DIADHN CC[C@H](C)CN(CC)Cc1coc(-c2ccccc2OC)n1 ZINC001167562172 1038630089 /nfs/dbraw/zinc/63/00/89/1038630089.db2.gz IBOSOIPGLJFGJP-AWEZNQCLSA-N 0 3 302.418 4.218 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CCc2c1cccc2O ZINC000130598841 1038634071 /nfs/dbraw/zinc/63/40/71/1038634071.db2.gz BUABAMCEJQXYOR-RBUKOAKNSA-N 0 3 309.409 4.055 20 0 DIADHN COc1cccc(C2(NCc3cc4ccncc4s3)CC2)c1 ZINC000657395089 1038636825 /nfs/dbraw/zinc/63/68/25/1038636825.db2.gz ZRWZRQBSVLKTMU-UHFFFAOYSA-N 0 3 310.422 4.084 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(Br)cc2)cn1 ZINC000044500534 1038637816 /nfs/dbraw/zinc/63/78/16/1038637816.db2.gz CZDVTXKBBSNBKI-RYUDHWBXSA-N 0 3 322.250 4.077 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c(C3CCC3)cnn2C)c2ccccc21 ZINC000657415917 1038654459 /nfs/dbraw/zinc/65/44/59/1038654459.db2.gz PDTUNPBFGDQLOW-IFXJQAMLSA-N 0 3 309.457 4.416 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnc3n1CCC3)CCC2 ZINC000657422320 1038658804 /nfs/dbraw/zinc/65/88/04/1038658804.db2.gz VZVQUBNPZXYCPW-IBGZPJMESA-N 0 3 309.457 4.120 20 0 DIADHN COc1cc(CNCc2ccc(C)s2)ccc1OC(C)C ZINC000047889454 1038664030 /nfs/dbraw/zinc/66/40/30/1038664030.db2.gz UHQVEBGHPHZRSM-UHFFFAOYSA-N 0 3 305.443 4.142 20 0 DIADHN CC1(C)CC[C@@H]2C[C@H](NCc3cnn4c3CCC4)c3cccc1c32 ZINC000657428373 1038664837 /nfs/dbraw/zinc/66/48/37/1038664837.db2.gz IVVFYYZJEZKIFW-KDOFPFPSSA-N 0 3 321.468 4.219 20 0 DIADHN Fc1ccc(OCCN2CC[C@@H]2c2ccccc2)cc1Cl ZINC001167575644 1038672170 /nfs/dbraw/zinc/67/21/70/1038672170.db2.gz FVMJJVKYSDMFPG-QGZVFWFLSA-N 0 3 305.780 4.305 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2C[C@@H](C)[C@@H]2c2ccccc2)cc1 ZINC001167575623 1038672756 /nfs/dbraw/zinc/67/27/56/1038672756.db2.gz FGTRFAYZXUZION-OXQOHEQNSA-N 0 3 323.436 4.445 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(C)s2)cc1OC ZINC000049158996 1038673514 /nfs/dbraw/zinc/67/35/14/1038673514.db2.gz NMVOKFDDJUQJHB-ZDUSSCGKSA-N 0 3 305.443 4.315 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC000607358891 1038675568 /nfs/dbraw/zinc/67/55/68/1038675568.db2.gz VNGXLMUBGSTCCO-CQSZACIVSA-N 0 3 323.358 4.088 20 0 DIADHN CN(C)c1cccc(CN[C@@H]2CCSc3ccc(F)cc32)c1 ZINC000119837557 1038677949 /nfs/dbraw/zinc/67/79/49/1038677949.db2.gz MYLVKJROHKIKFF-QGZVFWFLSA-N 0 3 316.445 4.218 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cc2ccncc2s1 ZINC000657446088 1038684643 /nfs/dbraw/zinc/68/46/43/1038684643.db2.gz NGZICSWWGPOHJW-MRXNPFEDSA-N 0 3 310.422 4.218 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2ccc(-n3ccnc3)cc2)C1 ZINC000158411159 1038686200 /nfs/dbraw/zinc/68/62/00/1038686200.db2.gz RTXPUMATIBANCS-SFHVURJKSA-N 0 3 321.424 4.269 20 0 DIADHN Cc1ccccc1OCCCN(C)Cc1cc2ccccc2[nH]1 ZINC001167582583 1038696283 /nfs/dbraw/zinc/69/62/83/1038696283.db2.gz URMUGKNOBNJNQQ-UHFFFAOYSA-N 0 3 308.425 4.377 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccccc2SC)c1 ZINC000657459527 1038701547 /nfs/dbraw/zinc/70/15/47/1038701547.db2.gz XAWIJGREZKYILS-GFCCVEGCSA-N 0 3 307.484 4.467 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cc2c(cccc2C)[nH]1 ZINC000657461896 1038701664 /nfs/dbraw/zinc/70/16/64/1038701664.db2.gz MNXNPHIQNKJOCT-GOSISDBHSA-N 0 3 324.399 4.401 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)c1 ZINC000119904862 1038704935 /nfs/dbraw/zinc/70/49/35/1038704935.db2.gz JMTZUBLFXRYNJE-IBGZPJMESA-N 0 3 322.452 4.289 20 0 DIADHN Cc1noc(C[C@@H](NCc2cccc(C)c2C)c2ccccc2)n1 ZINC000277709402 1038711913 /nfs/dbraw/zinc/71/19/13/1038711913.db2.gz SAXRYQSSJHVBCS-LJQANCHMSA-N 0 3 321.424 4.068 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152741029 1038713705 /nfs/dbraw/zinc/71/37/05/1038713705.db2.gz XKYHUMQVOWXKNQ-YESZJQIVSA-N 0 3 314.473 4.177 20 0 DIADHN CC(C)N(C)CC(=O)N[C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000607663824 1038715238 /nfs/dbraw/zinc/71/52/38/1038715238.db2.gz FUWOAOVVSRRNMU-MRXNPFEDSA-N 0 3 324.896 4.274 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCOCC(F)(F)F)c1C ZINC000607735946 1038718834 /nfs/dbraw/zinc/71/88/34/1038718834.db2.gz OQAOACLIHSFWTC-HNNXBMFYSA-N 0 3 301.352 4.019 20 0 DIADHN Cc1ccc([C@H]2CCCCN2CC(=O)N(C(C)C)C(C)C)cc1 ZINC000607741461 1038719081 /nfs/dbraw/zinc/71/90/81/1038719081.db2.gz CVAVZGKOTPNPTE-LJQANCHMSA-N 0 3 316.489 4.167 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1C[C@H](C)CCC[C@@H]1C ZINC000607742686 1038721036 /nfs/dbraw/zinc/72/10/36/1038721036.db2.gz GADJTPHYDWDYFY-OLZOCXBDSA-N 0 3 308.853 4.097 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N1CCC2(C1)CCCCC2 ZINC000607752452 1038722446 /nfs/dbraw/zinc/72/24/46/1038722446.db2.gz AAFHIWKOYHQSIP-MRXNPFEDSA-N 0 3 314.473 4.232 20 0 DIADHN CC1(C)CCCN(Cc2ncc(-c3ccccc3F)o2)CC1 ZINC000607748479 1038722619 /nfs/dbraw/zinc/72/26/19/1038722619.db2.gz HBLCFDVMWCUJGK-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CCCN(CCCOCC)Cc1ccccc1Br ZINC000607727911 1038727306 /nfs/dbraw/zinc/72/73/06/1038727306.db2.gz DSWGROOJRFMORW-UHFFFAOYSA-N 0 3 314.267 4.088 20 0 DIADHN CN(c1ccccc1)C1CCN([C@@H]2CCc3ccc(F)cc32)CC1 ZINC001167589974 1038727376 /nfs/dbraw/zinc/72/73/76/1038727376.db2.gz LNVIOUJCGBJYMW-OAQYLSRUSA-N 0 3 324.443 4.414 20 0 DIADHN c1ccc2c(c1)cccc2C1=CCN(CCOCC2CC2)CC1 ZINC000607727901 1038727670 /nfs/dbraw/zinc/72/76/70/1038727670.db2.gz DGDKQPMOBKECJN-UHFFFAOYSA-N 0 3 307.437 4.356 20 0 DIADHN Cc1cnc(CN2CCC[C@@H](CCCc3ccccc3)C2)cn1 ZINC000749544361 1038731474 /nfs/dbraw/zinc/73/14/74/1038731474.db2.gz SIWUALADRXNVEA-LJQANCHMSA-N 0 3 309.457 4.020 20 0 DIADHN C[C@@H](NCc1cccc2ncccc12)c1cnn(CC2CCC2)c1 ZINC000934561517 1038733308 /nfs/dbraw/zinc/73/33/08/1038733308.db2.gz RUMPCHZBZMRJSS-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@H]3c3ccc(F)cc3)ccc21 ZINC000342715083 1038734381 /nfs/dbraw/zinc/73/43/81/1038734381.db2.gz KSEWSRCMASZLMZ-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN Cc1[nH]c2ccc(CN[C@@H]3CCCc4cccnc43)cc2c1C ZINC000684955716 1038741581 /nfs/dbraw/zinc/74/15/81/1038741581.db2.gz LLAFAHQHWJPSHA-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN c1ccc2c(c1)cccc2OCCN[C@H]1CCCc2cccnc21 ZINC000684956153 1038741676 /nfs/dbraw/zinc/74/16/76/1038741676.db2.gz OTZAKJMLRLQNIP-IBGZPJMESA-N 0 3 318.420 4.281 20 0 DIADHN FC(F)(F)[C@@H](CN[C@@H]1CCCc2cccnc21)c1ccccc1 ZINC000684962470 1038745937 /nfs/dbraw/zinc/74/59/37/1038745937.db2.gz TUIBKNCYVRKZEY-JKSUJKDBSA-N 0 3 320.358 4.395 20 0 DIADHN c1ccc(-c2cccc(CN[C@H]3CCCc4cccnc43)c2)nc1 ZINC000684960809 1038746973 /nfs/dbraw/zinc/74/69/73/1038746973.db2.gz UAGWZXPVJUJRLK-FQEVSTJZSA-N 0 3 315.420 4.311 20 0 DIADHN c1cncc(-c2cccc(CN[C@@H]3CCCc4cccnc43)c2)c1 ZINC000684961933 1038747423 /nfs/dbraw/zinc/74/74/23/1038747423.db2.gz MPPMVXAMBAINAE-HXUWFJFHSA-N 0 3 315.420 4.311 20 0 DIADHN COCc1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1 ZINC000608056886 1038768146 /nfs/dbraw/zinc/76/81/46/1038768146.db2.gz FLDUXTOEBSMLDT-UHFFFAOYSA-N 0 3 301.817 4.265 20 0 DIADHN C[C@@H](CNCc1cc(Cl)sc1Cl)c1ccccn1 ZINC000657535243 1038770840 /nfs/dbraw/zinc/77/08/40/1038770840.db2.gz KZCMGDSWWDGOGG-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2c(F)cccc2Cl)c1 ZINC000533972039 1038807064 /nfs/dbraw/zinc/80/70/64/1038807064.db2.gz TVUSBGSHSXNMIN-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)Nc2cccc(Cl)c2C)c1 ZINC000608369934 1038828878 /nfs/dbraw/zinc/82/88/78/1038828878.db2.gz OPHPJDDLYRJOMM-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1cc(F)ccc1C[C@H](C)NCc1csc(C2CC2)n1 ZINC000657559346 1038832584 /nfs/dbraw/zinc/83/25/84/1038832584.db2.gz KHTCEHLMZAGAKN-LBPRGKRZSA-N 0 3 304.434 4.189 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@H](C)[C@H](C)C1 ZINC000076114363 1038832702 /nfs/dbraw/zinc/83/27/02/1038832702.db2.gz QLZSEFFPQMPJAA-TUAOUCFPSA-N 0 3 305.809 4.039 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCCCC(F)(F)F ZINC000608384175 1038836414 /nfs/dbraw/zinc/83/64/14/1038836414.db2.gz VYXXZNBUTVALAF-UHFFFAOYSA-N 0 3 317.685 4.374 20 0 DIADHN c1csc(-c2nc(CNCCCc3nccs3)cs2)c1 ZINC000076892635 1038851416 /nfs/dbraw/zinc/85/14/16/1038851416.db2.gz SRTCEERFJNJSPX-UHFFFAOYSA-N 0 3 321.496 4.051 20 0 DIADHN c1ccc2c(c1)cccc2CNCc1cccnc1N1CCCC1 ZINC000657571462 1038857106 /nfs/dbraw/zinc/85/71/06/1038857106.db2.gz RRUIAHTVNFXMOZ-UHFFFAOYSA-N 0 3 317.436 4.125 20 0 DIADHN CCC[C@@H](NC(=O)CN1C2CCCC1CCC2)c1ccccc1 ZINC000608499527 1038867077 /nfs/dbraw/zinc/86/70/77/1038867077.db2.gz NNXJJFKDGXOGDV-CTWPCTMYSA-N 0 3 314.473 4.051 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)[C@H](CCO)c1ccccc1 ZINC000608501591 1038871105 /nfs/dbraw/zinc/87/11/05/1038871105.db2.gz TVIMFJVDWSMGKU-QGZVFWFLSA-N 0 3 323.358 4.261 20 0 DIADHN COc1cc(C)c(CNCc2ccc3occc3c2)cc1OC ZINC000657584875 1038885444 /nfs/dbraw/zinc/88/54/44/1038885444.db2.gz JXVNWONUZONUPC-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN CCC(C)(C)CC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000608585885 1038896579 /nfs/dbraw/zinc/89/65/79/1038896579.db2.gz BUCGDDIQAPPLCG-SFHVURJKSA-N 0 3 316.489 4.156 20 0 DIADHN COCc1ccc(CN[C@@H](c2ccccc2F)C(C)C)cc1 ZINC000657616480 1038911429 /nfs/dbraw/zinc/91/14/29/1038911429.db2.gz YODNFMOGCPFBKW-LJQANCHMSA-N 0 3 301.405 4.459 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)c(C)n1 ZINC000119318786 1038916288 /nfs/dbraw/zinc/91/62/88/1038916288.db2.gz VBPOALDQWVQZGZ-YJYMSZOUSA-N 0 3 313.420 4.425 20 0 DIADHN COCc1cccc(CN[C@@H](c2ccccc2F)C(C)C)c1 ZINC000657616563 1038918787 /nfs/dbraw/zinc/91/87/87/1038918787.db2.gz YWFGMYLOQIJMPK-LJQANCHMSA-N 0 3 301.405 4.459 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccc(Cl)c2)cc1O ZINC000119382865 1038921503 /nfs/dbraw/zinc/92/15/03/1038921503.db2.gz RFBRINCKSKBLNU-UHFFFAOYSA-N 0 3 305.805 4.079 20 0 DIADHN COC[C@H](C)Oc1ccc(CNCc2cc(C)cc(C)c2)cc1 ZINC000657626221 1038924934 /nfs/dbraw/zinc/92/49/34/1038924934.db2.gz SOZYNYWLFNICKJ-KRWDZBQOSA-N 0 3 313.441 4.007 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc(-c3ccc(O)cc3)nc2)ccn1 ZINC001213164348 1038928436 /nfs/dbraw/zinc/92/84/36/1038928436.db2.gz OCOBQTBUUZPJSK-UHFFFAOYSA-N 0 3 320.396 4.285 20 0 DIADHN COC(=O)c1coc(CN(Cc2cccc(C)c2)CC(C)C)c1 ZINC000608832669 1038930132 /nfs/dbraw/zinc/93/01/32/1038930132.db2.gz HCBJCUGNUQJSGM-UHFFFAOYSA-N 0 3 315.413 4.033 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H]2CCC[C@H]2c2ccccc2)cs1 ZINC000277900603 1038931841 /nfs/dbraw/zinc/93/18/41/1038931841.db2.gz WPHOJSNNPWPATH-RRQGHBQHSA-N 0 3 316.470 4.276 20 0 DIADHN CC(C)(C)OCCCNCc1csc(-c2ccccc2)n1 ZINC000657638592 1038932973 /nfs/dbraw/zinc/93/29/73/1038932973.db2.gz WBUWHTNUOAOJOP-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN Cc1nccn1CCCN1CCCC[C@@H]1c1ccc(F)cc1F ZINC000342865924 1038934843 /nfs/dbraw/zinc/93/48/43/1038934843.db2.gz XUIROTRKWVGVQJ-GOSISDBHSA-N 0 3 319.399 4.087 20 0 DIADHN CN(CC(=O)Nc1cc(Cl)ccc1F)C1CCCCCC1 ZINC000014705207 1038963192 /nfs/dbraw/zinc/96/31/92/1038963192.db2.gz XPGLSQDHWYRCOX-UHFFFAOYSA-N 0 3 312.816 4.072 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC(=O)C[C@H](N)c1ccccc1 ZINC000237653793 1038967328 /nfs/dbraw/zinc/96/73/28/1038967328.db2.gz MXWTWYUVRDDGIO-YOEHRIQHSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@@]1(CNCc2ccc(Br)cc2Cl)CC1(F)F ZINC000657666037 1038973202 /nfs/dbraw/zinc/97/32/02/1038973202.db2.gz RZHPIIHDUANGOH-NSHDSACASA-N 0 3 324.596 4.237 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccc([Si](C)(C)C)cc1 ZINC001167629583 1038994335 /nfs/dbraw/zinc/99/43/35/1038994335.db2.gz LMXOKEMEGCQRSB-MRXNPFEDSA-N 0 3 309.529 4.351 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1C[C@@H]1CC2(CO1)CCCCC2 ZINC001167633004 1039009410 /nfs/dbraw/zinc/00/94/10/1039009410.db2.gz CLMQTCIKOHTBOK-HKUYNNGSSA-N 0 3 316.489 4.292 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1C[C@H]1CC2(CO1)CCCCC2 ZINC001167633006 1039009682 /nfs/dbraw/zinc/00/96/82/1039009682.db2.gz CLMQTCIKOHTBOK-IEBWSBKVSA-N 0 3 316.489 4.292 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)cnn1C ZINC000534237098 1039012588 /nfs/dbraw/zinc/01/25/88/1039012588.db2.gz HKRJSNZJNMSJSG-PIGZYNQJSA-N 0 3 303.837 4.110 20 0 DIADHN Clc1ccc(-c2cnc(CN3CC[C@@H](C4CC4)C3)o2)cc1 ZINC000534278394 1039019114 /nfs/dbraw/zinc/01/91/14/1039019114.db2.gz SZKUYGOWBMMPBN-CQSZACIVSA-N 0 3 302.805 4.227 20 0 DIADHN COC1CCC(N(C)Cc2ncc(-c3ccccc3)s2)CC1 ZINC000342929488 1039025127 /nfs/dbraw/zinc/02/51/27/1039025127.db2.gz FMBJLZHKPHDIQY-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN FC(F)(F)CCC(CCC(F)(F)F)NCc1nccs1 ZINC000657705589 1039042719 /nfs/dbraw/zinc/04/27/19/1039042719.db2.gz GOCMSJVHYRQZEZ-UHFFFAOYSA-N 0 3 320.302 4.286 20 0 DIADHN OCCC[C@H](N[C@@H]1CCc2c1cc(F)cc2F)c1ccccc1 ZINC000121430436 1039047222 /nfs/dbraw/zinc/04/72/22/1039047222.db2.gz FHSOLARSZFSMTM-RBUKOAKNSA-N 0 3 317.379 4.056 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1scnc1C1CC1 ZINC000425997689 1039048372 /nfs/dbraw/zinc/04/83/72/1039048372.db2.gz CYMYJIGAKWOVMQ-LLVKDONJSA-N 0 3 304.381 4.183 20 0 DIADHN N[C@H](CC(=O)Nc1cccc(OC2CCCC2)c1)c1ccccc1 ZINC000237884984 1039054001 /nfs/dbraw/zinc/05/40/01/1039054001.db2.gz YEYPJXYIWLLMQR-LJQANCHMSA-N 0 3 324.424 4.037 20 0 DIADHN COc1cc(CN[C@H](C)CC(F)(F)F)cc(C(F)(F)F)c1 ZINC000623494384 1039070476 /nfs/dbraw/zinc/07/04/76/1039070476.db2.gz ONIWSUMALMFHRQ-MRVPVSSYSA-N 0 3 315.257 4.145 20 0 DIADHN C[C@H](NCc1cccc(NC(=O)C2CCC2)c1)c1cccs1 ZINC000122448421 1039098567 /nfs/dbraw/zinc/09/85/67/1039098567.db2.gz JODFTELJCNIVHM-ZDUSSCGKSA-N 0 3 314.454 4.338 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCOc3ccc(F)cc32)s1 ZINC000343018855 1039101277 /nfs/dbraw/zinc/10/12/77/1039101277.db2.gz YYVPNISSOCXGNH-MRXNPFEDSA-N 0 3 305.418 4.453 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000091677818 1039102882 /nfs/dbraw/zinc/10/28/82/1039102882.db2.gz XWMZSVQCOWAZBQ-UHFFFAOYSA-N 0 3 317.404 4.395 20 0 DIADHN COc1ccc(CNC(C)(C)CC(F)(F)F)cc1OC1CC1 ZINC000623502712 1039125761 /nfs/dbraw/zinc/12/57/61/1039125761.db2.gz XQQRWSUKQCBXPA-UHFFFAOYSA-N 0 3 317.351 4.057 20 0 DIADHN Cn1cncc1CN[C@@H](c1cccc(Cl)c1)C1CCCCC1 ZINC000150792402 1039176792 /nfs/dbraw/zinc/17/67/92/1039176792.db2.gz YVZRAEHNJDZIIT-GOSISDBHSA-N 0 3 317.864 4.485 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCc3ccc(Cl)cc32)c1 ZINC000343091641 1039187256 /nfs/dbraw/zinc/18/72/56/1039187256.db2.gz GZMBXBVMVUFPSB-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN Cc1ccc(C)c(CN[C@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000535339952 1039187637 /nfs/dbraw/zinc/18/76/37/1039187637.db2.gz HOIAFMGPYUEQIY-QGZVFWFLSA-N 0 3 323.358 4.145 20 0 DIADHN Clc1cccc(C2(NCc3cccc(-n4cccn4)c3)CC2)c1 ZINC000150823111 1039205866 /nfs/dbraw/zinc/20/58/66/1039205866.db2.gz KHIZFPJOKVJGKU-UHFFFAOYSA-N 0 3 323.827 4.305 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cccc(Cl)c1)c1ccccc1 ZINC000657742229 1039207584 /nfs/dbraw/zinc/20/75/84/1039207584.db2.gz OACNQTUJYHSJAB-QZTJIDSGSA-N 0 3 303.833 4.188 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2csc3ccccc23)o1 ZINC000079920267 1039223874 /nfs/dbraw/zinc/22/38/74/1039223874.db2.gz RSSYEGLPWPRGEU-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN C[C@@H](NCc1csc(-c2ccccn2)n1)C1CCC(F)CC1 ZINC000657744155 1039228679 /nfs/dbraw/zinc/22/86/79/1039228679.db2.gz MLVVTGIITPEMAO-IYXRBSQSSA-N 0 3 319.449 4.212 20 0 DIADHN O=C(Nc1ccccc1)[C@H](c1ccccc1)N1CC[C@@H](C2CC2)C1 ZINC000491244471 1039234943 /nfs/dbraw/zinc/23/49/43/1039234943.db2.gz VWYDSMIVNGLLJH-QUCCMNQESA-N 0 3 320.436 4.098 20 0 DIADHN c1sc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)nc1-c1ccccc1 ZINC000569626084 1039238365 /nfs/dbraw/zinc/23/83/65/1039238365.db2.gz VIDRRHYVOPKVOM-PWIZWCRZSA-N 0 3 318.445 4.238 20 0 DIADHN CCC[C@@H](NCc1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000155254224 1039242869 /nfs/dbraw/zinc/24/28/69/1039242869.db2.gz IAVZCBOGZISBPV-HXUWFJFHSA-N 0 3 322.452 4.444 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000657747089 1039247257 /nfs/dbraw/zinc/24/72/57/1039247257.db2.gz OQVVCWQOGZCEIH-SJORKVTESA-N 0 3 321.511 4.318 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000657747086 1039247693 /nfs/dbraw/zinc/24/76/93/1039247693.db2.gz OQVVCWQOGZCEIH-DLBZAZTESA-N 0 3 321.511 4.318 20 0 DIADHN CC[C@@H](N[C@H]1CCSc2ccccc21)c1c(C)nn(C)c1C ZINC000155412656 1039253968 /nfs/dbraw/zinc/25/39/68/1039253968.db2.gz QOYSMZNPIAGPBT-CVEARBPZSA-N 0 3 315.486 4.315 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(Cc2ccc3c(c2)ncn3C)C1 ZINC000539406252 1039256618 /nfs/dbraw/zinc/25/66/18/1039256618.db2.gz HUORCRWYLWYUJN-VBKZILBWSA-N 0 3 323.415 4.296 20 0 DIADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000535987407 1039257178 /nfs/dbraw/zinc/25/71/78/1039257178.db2.gz NGLUXPGSDYXEKB-MXWKQRLJSA-N 0 3 317.296 4.383 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2C)C2CC2)c(C)c1 ZINC000539494498 1039268728 /nfs/dbraw/zinc/26/87/28/1039268728.db2.gz NNAMFQINJRTZLV-OAQYLSRUSA-N 0 3 322.452 4.291 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cn1 ZINC000155779661 1039278242 /nfs/dbraw/zinc/27/82/42/1039278242.db2.gz UDXMUJAAQWVNQA-JKSUJKDBSA-N 0 3 320.440 4.377 20 0 DIADHN C[C@H](Cc1ccsc1)N1CC2(CCOCC2)[C@@H]1c1ccco1 ZINC000668672988 1039283512 /nfs/dbraw/zinc/28/35/12/1039283512.db2.gz FKMKDQRTHGYQPC-PBHICJAKSA-N 0 3 317.454 4.126 20 0 DIADHN Fc1cc(F)c(CNC[C@@H]2SCCc3ccccc32)cc1F ZINC000539530630 1039284511 /nfs/dbraw/zinc/28/45/11/1039284511.db2.gz GOXSTEFHQKKUIU-KRWDZBQOSA-N 0 3 323.383 4.224 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1C(=O)c1cccc(CN(C)C)c1 ZINC000155865254 1039285464 /nfs/dbraw/zinc/28/54/64/1039285464.db2.gz AIKYHRAXETXVSO-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@@H](C)c2cccc(F)c2F)c1 ZINC000539545295 1039286434 /nfs/dbraw/zinc/28/64/34/1039286434.db2.gz RNTLJGPFCXKCPY-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN c1coc([C@H]2N(Cc3cccc4cc[nH]c43)CC23CCOCC3)c1 ZINC000668673149 1039289835 /nfs/dbraw/zinc/28/98/35/1039289835.db2.gz JCDFSKXSPGZQPT-LJQANCHMSA-N 0 3 322.408 4.115 20 0 DIADHN C[C@H](NC[C@H](O)c1cccc(Cl)c1)c1ccc(F)cc1F ZINC000174661644 1039291947 /nfs/dbraw/zinc/29/19/47/1039291947.db2.gz CSFWGPMTSZSVLC-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN CSc1ccc2c(c1)[C@H](NCc1cnc(C)s1)CCC2 ZINC000647288249 1039297114 /nfs/dbraw/zinc/29/71/14/1039297114.db2.gz VOVGLWHQEFFGKI-MRXNPFEDSA-N 0 3 304.484 4.341 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccnc(Cl)c2)cc1 ZINC000161677320 1039298265 /nfs/dbraw/zinc/29/82/65/1039298265.db2.gz JJRVSEIOJCXODT-CYBMUJFWSA-N 0 3 304.821 4.375 20 0 DIADHN COc1cccc(CN2CCC(c3cccs3)CC2)c1OC ZINC001203449505 1039303032 /nfs/dbraw/zinc/30/30/32/1039303032.db2.gz MZVREBSFKXEZQK-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1ccc2nccnc2c1 ZINC000634953868 1039309178 /nfs/dbraw/zinc/30/91/78/1039309178.db2.gz MSWMUKWDONZWBJ-GOSISDBHSA-N 0 3 321.424 4.335 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccsc1)c1cccc(Cl)c1F ZINC000161706170 1039322826 /nfs/dbraw/zinc/32/28/26/1039322826.db2.gz AHQIOSCUZNKDND-UONOGXRCSA-N 0 3 313.825 4.315 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2cc(Br)cs2)C1 ZINC000307776415 1039335131 /nfs/dbraw/zinc/33/51/31/1039335131.db2.gz HQNSSGYWGSPRPB-NSHDSACASA-N 0 3 302.281 4.379 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCCc3c2cnn3C)c2ccccc21 ZINC000278268899 1039338645 /nfs/dbraw/zinc/33/86/45/1039338645.db2.gz OTYGWCMPSVMKBZ-YTQUADARSA-N 0 3 309.457 4.272 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cnc3ccc(Cl)cn23)C1 ZINC000539822492 1039348750 /nfs/dbraw/zinc/34/87/50/1039348750.db2.gz LOGWIOMZVKBVKJ-UKRRQHHQSA-N 0 3 323.893 4.094 20 0 DIADHN CC1(C)C[C@H](NCc2cccc3c2CCC3)c2cc(N)ccc2O1 ZINC000650704103 1039349038 /nfs/dbraw/zinc/34/90/38/1039349038.db2.gz HTYCWSANFKOGRT-IBGZPJMESA-N 0 3 322.452 4.150 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cnc3ccc(Cl)cn23)C1 ZINC000539822488 1039350622 /nfs/dbraw/zinc/35/06/22/1039350622.db2.gz LOGWIOMZVKBVKJ-DZGCQCFKSA-N 0 3 323.893 4.094 20 0 DIADHN CSCCN(C)Cc1c(OC(F)F)ccc2ccccc21 ZINC000539825792 1039351676 /nfs/dbraw/zinc/35/16/76/1039351676.db2.gz WBNVXUOWBNHLNS-UHFFFAOYSA-N 0 3 311.397 4.236 20 0 DIADHN CCS[C@H]1CCCCN(Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000539828790 1039357308 /nfs/dbraw/zinc/35/73/08/1039357308.db2.gz QIXBWMGKIZDUBT-KRWDZBQOSA-N 0 3 315.486 4.184 20 0 DIADHN CC(C)Cc1ncc(CN(C)[C@H](C)Cc2ccc(O)cc2)s1 ZINC000539830109 1039361788 /nfs/dbraw/zinc/36/17/88/1039361788.db2.gz REGFKODDNSLYBF-CQSZACIVSA-N 0 3 318.486 4.110 20 0 DIADHN Clc1cc(Cl)cc(CN2CC[C@H](C3CCOCC3)C2)c1 ZINC000539850457 1039368657 /nfs/dbraw/zinc/36/86/57/1039368657.db2.gz PANZVZFNHDKRDK-AWEZNQCLSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2cccc(F)c2)n1 ZINC000151054906 1039385629 /nfs/dbraw/zinc/38/56/29/1039385629.db2.gz JLFJRLVJJQLNKQ-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN OCc1ccc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)o1 ZINC000539911806 1039389386 /nfs/dbraw/zinc/38/93/86/1039389386.db2.gz FPWGOZZZFBRTOG-DOJUMQAQSA-N 0 3 311.425 4.230 20 0 DIADHN FC(F)(F)C1CCN(Cc2cc3cccnc3s2)CC1 ZINC001233345931 1039390567 /nfs/dbraw/zinc/39/05/67/1039390567.db2.gz LDAVYZDQVLWMBG-UHFFFAOYSA-N 0 3 300.349 4.071 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccsc1 ZINC000669851199 1039394609 /nfs/dbraw/zinc/39/46/09/1039394609.db2.gz XHXXFIFOTPHFRN-NWDGAFQWSA-N 0 3 314.454 4.390 20 0 DIADHN OC1(CNCc2cccc(Cl)c2Cl)CCC(F)(F)CC1 ZINC000657775757 1039404586 /nfs/dbraw/zinc/40/45/86/1039404586.db2.gz JTAPRUNCIUTHND-UHFFFAOYSA-N 0 3 324.198 4.023 20 0 DIADHN Cn1cc([C@H](NCCCC2CCCC2)c2cccc(F)c2)cn1 ZINC000491285051 1039407639 /nfs/dbraw/zinc/40/76/39/1039407639.db2.gz RSEFDLYDOOYHRP-LJQANCHMSA-N 0 3 315.436 4.209 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@H](C)C3(CCC3)C2)c(C)c1 ZINC000540045735 1039408776 /nfs/dbraw/zinc/40/87/76/1039408776.db2.gz WJJVATSPEFTJQY-IRXDYDNUSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@]3(CCCc4ccccc43)C2)o1 ZINC000540052638 1039408805 /nfs/dbraw/zinc/40/88/05/1039408805.db2.gz DHUYFGAQCVESPP-OXQOHEQNSA-N 0 3 310.441 4.414 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@H](C)C3(CCC3)C2)c(C)c1 ZINC000540045733 1039410070 /nfs/dbraw/zinc/41/00/70/1039410070.db2.gz WJJVATSPEFTJQY-DLBZAZTESA-N 0 3 314.473 4.143 20 0 DIADHN CC(C)c1ncc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000540069267 1039411293 /nfs/dbraw/zinc/41/12/93/1039411293.db2.gz RTZSODYFHTVLRD-NVXWUHKLSA-N 0 3 316.470 4.134 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2c(Cl)cccc2Cl)CCO1 ZINC000540067331 1039413047 /nfs/dbraw/zinc/41/30/47/1039413047.db2.gz ZEETWBVQFAGALN-IAQYHMDHSA-N 0 3 302.245 4.287 20 0 DIADHN CC[C@H](C)CN[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000540071662 1039415421 /nfs/dbraw/zinc/41/54/21/1039415421.db2.gz UBBKXQLCWGOEME-HNAYVOBHSA-N 0 3 307.441 4.298 20 0 DIADHN Brc1cc(CN2CC[C@@H](C3CCCCC3)C2)c[nH]1 ZINC001233437649 1039419568 /nfs/dbraw/zinc/41/95/68/1039419568.db2.gz IKGKCLQRLDVDSW-CQSZACIVSA-N 0 3 311.267 4.179 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCOc1cccc2ccccc21 ZINC000540097948 1039419612 /nfs/dbraw/zinc/41/96/12/1039419612.db2.gz LCHXXJKBGYJGKO-INIZCTEOSA-N 0 3 306.409 4.307 20 0 DIADHN COc1cccc(C2(NCc3ccc4ncccc4c3)CCC2)c1 ZINC000657782058 1039424472 /nfs/dbraw/zinc/42/44/72/1039424472.db2.gz KYQJMMSPSJMCBE-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1cnccn1 ZINC000540141261 1039424890 /nfs/dbraw/zinc/42/48/90/1039424890.db2.gz GYYQIJHDXKGJIB-HZPDHXFCSA-N 0 3 315.486 4.315 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H]3CCOC3(C)C)cs2)cc1 ZINC000657785791 1039436540 /nfs/dbraw/zinc/43/65/40/1039436540.db2.gz NXEMTIUXWQSKBA-OAHLLOKOSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000540163293 1039439635 /nfs/dbraw/zinc/43/96/35/1039439635.db2.gz JFFRJOAHAWBDFT-QWRGUYRKSA-N 0 3 300.418 4.250 20 0 DIADHN COC[C@H](N[C@H](C)c1cc2c(s1)CCC2)c1ccc(F)cc1 ZINC000540187237 1039442908 /nfs/dbraw/zinc/44/29/08/1039442908.db2.gz IFMPFCZRCNBWPU-WBMJQRKESA-N 0 3 319.445 4.414 20 0 DIADHN Cc1cc(CN2C[C@H]3[C@H](CCC3(F)F)C2)cc(C(F)(F)F)c1 ZINC001143451258 1039444417 /nfs/dbraw/zinc/44/44/17/1039444417.db2.gz PJXPFPMCXDPBPM-OCCSQVGLSA-N 0 3 319.317 4.491 20 0 DIADHN CCCCn1cc(CNCc2ccc3ccccc3c2)c(C)n1 ZINC000540203560 1039450129 /nfs/dbraw/zinc/45/01/29/1039450129.db2.gz LDHPFYGTWCZNGN-UHFFFAOYSA-N 0 3 307.441 4.435 20 0 DIADHN CCc1ccc([C@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)cc1 ZINC000540219703 1039459511 /nfs/dbraw/zinc/45/95/11/1039459511.db2.gz HVCXEVGFTKZEMY-ZDUSSCGKSA-N 0 3 324.403 4.024 20 0 DIADHN CCc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)cc1 ZINC000540219703 1039459528 /nfs/dbraw/zinc/45/95/28/1039459528.db2.gz HVCXEVGFTKZEMY-ZDUSSCGKSA-N 0 3 324.403 4.024 20 0 DIADHN CSc1cc(CN[C@H](c2ccc(F)cc2)C2CC2)ccn1 ZINC000540315711 1039471249 /nfs/dbraw/zinc/47/12/49/1039471249.db2.gz JKJVGSTUSWRSSB-KRWDZBQOSA-N 0 3 302.418 4.184 20 0 DIADHN COc1ccc([C@@H](NCc2cc(F)cc(OC)c2)C(C)C)cc1 ZINC000540314707 1039476035 /nfs/dbraw/zinc/47/60/35/1039476035.db2.gz VNNUBBWJWRLUPD-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN COCc1cc(CN[C@@H](C)c2cc(C)cc(C)c2)ccc1F ZINC000151169148 1039476635 /nfs/dbraw/zinc/47/66/35/1039476635.db2.gz ICIZMFXEHOVDIP-HNNXBMFYSA-N 0 3 301.405 4.440 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(OCc2cccnc2)cc1 ZINC000540323163 1039478519 /nfs/dbraw/zinc/47/85/19/1039478519.db2.gz APNVWQNSSSBTHU-CYBMUJFWSA-N 0 3 324.346 4.264 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CNC2(c3ccccc3)CC2)cc1 ZINC000540355261 1039479580 /nfs/dbraw/zinc/47/95/80/1039479580.db2.gz XAJZZOGBDKQOAW-UHFFFAOYSA-N 0 3 323.436 4.421 20 0 DIADHN C[C@H](NCC1CCCCC1)c1ncc(Br)s1 ZINC000381309335 1039481030 /nfs/dbraw/zinc/48/10/30/1039481030.db2.gz LAWXBQAKFCHNAG-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN C[C@@H](NCC1CCCCC1)c1ncc(Br)s1 ZINC000381309332 1039481142 /nfs/dbraw/zinc/48/11/42/1039481142.db2.gz LAWXBQAKFCHNAG-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN CN(CCN[C@H](CC(F)(F)F)c1ccccc1)c1ccccc1 ZINC000540379442 1039487203 /nfs/dbraw/zinc/48/72/03/1039487203.db2.gz JHNDDEUQNYCLRB-QGZVFWFLSA-N 0 3 322.374 4.406 20 0 DIADHN C[C@@H](NCC1(c2cccc(F)c2)CCCCC1)c1nccn1C ZINC000540406122 1039489391 /nfs/dbraw/zinc/48/93/91/1039489391.db2.gz BPLFLHFNVHOVJL-OAHLLOKOSA-N 0 3 315.436 4.112 20 0 DIADHN O=C(CN[C@@H](c1cccs1)C1CC1)Nc1cccc(Cl)c1 ZINC000540464309 1039495687 /nfs/dbraw/zinc/49/56/87/1039495687.db2.gz PXICXYOJTMQYPB-MRXNPFEDSA-N 0 3 320.845 4.081 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN[C@H](CN2CCCC2)c2ccccc2)o1 ZINC000540499161 1039504275 /nfs/dbraw/zinc/50/42/75/1039504275.db2.gz CRXWCVFCNVIBGN-NSISKUIASA-N 0 3 324.468 4.330 20 0 DIADHN CCCN(Cc1cccc2nccn21)[C@@H](C)c1ccccc1OC ZINC000540506797 1039506332 /nfs/dbraw/zinc/50/63/32/1039506332.db2.gz YSJBERIUZKAJTN-INIZCTEOSA-N 0 3 323.440 4.316 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc3c(c2)OCCCO3)CC1 ZINC000647316092 1039519877 /nfs/dbraw/zinc/51/98/77/1039519877.db2.gz PHGFGCUYQYVIKN-INIZCTEOSA-N 0 3 323.436 4.496 20 0 DIADHN FC(F)C(F)(F)COCCN1CCCC[C@H]1c1ccccc1 ZINC000469794956 1039523231 /nfs/dbraw/zinc/52/32/31/1039523231.db2.gz XNYUZYYWYQWGRT-AWEZNQCLSA-N 0 3 319.342 4.131 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(F)cc2C1)c1ccc2ccccc2n1 ZINC000583958326 1039523985 /nfs/dbraw/zinc/52/39/85/1039523985.db2.gz JVYXFKZZJONYIV-GUYCJALGSA-N 0 3 322.383 4.028 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccccc1F ZINC000540607669 1039527823 /nfs/dbraw/zinc/52/78/23/1039527823.db2.gz UCKVONFOKYVRCA-IQUTYRLHSA-N 0 3 317.404 4.261 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccccc1F ZINC000540607668 1039528452 /nfs/dbraw/zinc/52/84/52/1039528452.db2.gz UCKVONFOKYVRCA-CKFHNAJUSA-N 0 3 317.404 4.261 20 0 DIADHN Cc1cc(CN[C@H]2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)no1 ZINC000583973464 1039531174 /nfs/dbraw/zinc/53/11/74/1039531174.db2.gz NORRBIUNYMQMDE-ZFWWWQNUSA-N 0 3 324.346 4.428 20 0 DIADHN CCn1nccc1CN[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000540687179 1039541753 /nfs/dbraw/zinc/54/17/53/1039541753.db2.gz HHSCGIWDDVVGBT-KSSFIOAISA-N 0 3 309.457 4.293 20 0 DIADHN Cc1nc(F)ccc1CNC1(c2ccc(Cl)cc2)CCC1 ZINC000278560786 1039547820 /nfs/dbraw/zinc/54/78/20/1039547820.db2.gz WFTSGTOPCXVSRZ-UHFFFAOYSA-N 0 3 304.796 4.352 20 0 DIADHN CCCCOc1ccc(CN[C@H](c2ccccc2)[C@H](C)O)cc1 ZINC000657816809 1039551160 /nfs/dbraw/zinc/55/11/60/1039551160.db2.gz MADOBKSBMBJECP-JXFKEZNVSA-N 0 3 313.441 4.077 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@H]2NC1(C2CC2)CC1 ZINC000540753452 1039557626 /nfs/dbraw/zinc/55/76/26/1039557626.db2.gz PHNPFSDUDIFGMT-CYBMUJFWSA-N 0 3 310.210 4.108 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@@H](CC(C)C)c1ccccc1 ZINC000642253409 1039561583 /nfs/dbraw/zinc/56/15/83/1039561583.db2.gz JPELICNGENCIQP-WMZOPIPTSA-N 0 3 304.478 4.010 20 0 DIADHN OC[C@@H](NC1CCC(F)(F)CC1)c1cccc(Cl)c1Cl ZINC000668715559 1039562429 /nfs/dbraw/zinc/56/24/29/1039562429.db2.gz MDTHUIGELDBKHP-GFCCVEGCSA-N 0 3 324.198 4.194 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2cccnc2)c(Cl)c1 ZINC000584025729 1039567450 /nfs/dbraw/zinc/56/74/50/1039567450.db2.gz UXJONAJXRMSTJF-KRWDZBQOSA-N 0 3 304.796 4.254 20 0 DIADHN Brc1cccnc1CN1CCC[C@@H]1C1CCCCC1 ZINC000708463094 1039620430 /nfs/dbraw/zinc/62/04/30/1039620430.db2.gz UKZICMNGLRFTLU-MRXNPFEDSA-N 0 3 323.278 4.389 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1cc(F)cc(C(F)(F)F)c1 ZINC000584064136 1039589135 /nfs/dbraw/zinc/58/91/35/1039589135.db2.gz PTRVTJRUEQCOSC-MRXNPFEDSA-N 0 3 324.321 4.204 20 0 DIADHN Cc1cnccc1CN[C@H](C)c1ccc(Br)cc1 ZINC000228660879 1039589841 /nfs/dbraw/zinc/58/98/41/1039589841.db2.gz INNRQEZSIYDASP-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN COc1ccc([C@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657825120 1039590620 /nfs/dbraw/zinc/59/06/20/1039590620.db2.gz MEMPKEAUONVDBN-UYAOXDASSA-N 0 3 323.436 4.086 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@]1(C)CCCc2ccccc21 ZINC000540906861 1039591992 /nfs/dbraw/zinc/59/19/92/1039591992.db2.gz XMIIZCNCUCMCGB-NRFANRHFSA-N 0 3 322.452 4.029 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)cc1F ZINC000158823481 1039592756 /nfs/dbraw/zinc/59/27/56/1039592756.db2.gz XWOUAKCQORIUTL-GJZGRUSLSA-N 0 3 324.403 4.127 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@@H](C)c1cscn1)CC3 ZINC000540917182 1039595226 /nfs/dbraw/zinc/59/52/26/1039595226.db2.gz VRUVZIVMUDYNCZ-STQMWFEESA-N 0 3 311.454 4.141 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccc3[nH]cnc3c1)[C@H]2C ZINC000540994900 1039602783 /nfs/dbraw/zinc/60/27/83/1039602783.db2.gz JRZYCPUXIIKNEI-KBPBESRZSA-N 0 3 321.424 4.079 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000278633793 1039608973 /nfs/dbraw/zinc/60/89/73/1039608973.db2.gz HDOWYGSQTQHEHZ-HNNXBMFYSA-N 0 3 314.477 4.445 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657829242 1039612489 /nfs/dbraw/zinc/61/24/89/1039612489.db2.gz BJTRBPMPOYIOJB-WBVHZDCISA-N 0 3 303.837 4.358 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2cc(F)cc(F)c2)cc1 ZINC000151633879 1039614116 /nfs/dbraw/zinc/61/41/16/1039614116.db2.gz GABJPQGNRRLGGB-CYBMUJFWSA-N 0 3 305.368 4.352 20 0 DIADHN CC[C@H](N[C@H]1C[C@@H]1C(F)F)c1cc(F)cc(Br)c1 ZINC000584131402 1039615161 /nfs/dbraw/zinc/61/51/61/1039615161.db2.gz TVPRPKJATZGCSX-SRVKXCTJSA-N 0 3 322.168 4.283 20 0 DIADHN CC[C@@H](C)C[C@@H](CC)NCc1ncc(Br)s1 ZINC000714921979 1039615472 /nfs/dbraw/zinc/61/54/72/1039615472.db2.gz NLAAZFJYFJDTDZ-NXEZZACHSA-N 0 3 305.285 4.210 20 0 DIADHN CCC[C@@H]1CN([C@@H](C)c2ccccn2)CCN1Cc1ccccc1 ZINC001168437546 1039648282 /nfs/dbraw/zinc/64/82/82/1039648282.db2.gz LDPLDGJFWLODPA-AZUAARDMSA-N 0 3 323.484 4.129 20 0 DIADHN Cc1nn(C)cc1CN1CCCCC[C@H]1/C=C\c1cccs1 ZINC000541248479 1039650972 /nfs/dbraw/zinc/65/09/72/1039650972.db2.gz XWXWIGSYYLIIJK-IBSYWUHOSA-N 0 3 315.486 4.248 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@@H](C)C1 ZINC000248587096 1039655374 /nfs/dbraw/zinc/65/53/74/1039655374.db2.gz YATWXPCZITXMOC-XUJVJEKNSA-N 0 3 319.836 4.304 20 0 DIADHN OCc1ccccc1CN[C@H]1CCCCc2ccc(Cl)cc21 ZINC000151793925 1039668634 /nfs/dbraw/zinc/66/86/34/1039668634.db2.gz IXXIANKVNMQRDR-IBGZPJMESA-N 0 3 315.844 4.390 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCCCC[C@H]1c1ccco1 ZINC000541348679 1039670574 /nfs/dbraw/zinc/67/05/74/1039670574.db2.gz JQKOSWFAZCRHKB-HNNXBMFYSA-N 0 3 307.434 4.319 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CC[C@H](C(C)(C)C)C1 ZINC000541391351 1039677622 /nfs/dbraw/zinc/67/76/22/1039677622.db2.gz VGNGEADNEDJWGZ-KRWDZBQOSA-N 0 3 316.489 4.118 20 0 DIADHN C[C@]1(NCc2ccc(Oc3ccccc3)o2)CCO[C@@H]1C1CC1 ZINC000541383368 1039677681 /nfs/dbraw/zinc/67/76/81/1039677681.db2.gz IXDFXJIXOZGQDB-MOPGFXCFSA-N 0 3 313.397 4.119 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@]2(C)CCO[C@@H]2C)o1 ZINC000541385465 1039679371 /nfs/dbraw/zinc/67/93/71/1039679371.db2.gz DRBVIROXSZVGNR-ACJLOTCBSA-N 0 3 303.377 4.051 20 0 DIADHN CC[C@H](Cc1ccc(C(F)(F)F)cc1)NCc1nccs1 ZINC000657841455 1039682314 /nfs/dbraw/zinc/68/23/14/1039682314.db2.gz GNCVDJSTWDKBDN-CYBMUJFWSA-N 0 3 314.376 4.273 20 0 DIADHN CC[C@H](NCCN(CC)c1cccc(C)c1)c1ccc(F)cn1 ZINC000491318234 1039683882 /nfs/dbraw/zinc/68/38/82/1039683882.db2.gz ZZIWNFQZNBRSAT-SFHVURJKSA-N 0 3 315.436 4.096 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1ccc(C(F)F)nc1 ZINC000657844772 1039686340 /nfs/dbraw/zinc/68/63/40/1039686340.db2.gz SPMUDBIYQJEKGW-DVOMOZLQSA-N 0 3 312.404 4.265 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657843696 1039687154 /nfs/dbraw/zinc/68/71/54/1039687154.db2.gz NXPGBXPLOCSVOM-VXGBXAGGSA-N 0 3 306.356 4.440 20 0 DIADHN COCCC1CCN(Cc2cc(C)cc(C(F)(F)F)c2)CC1 ZINC001143454540 1039712157 /nfs/dbraw/zinc/71/21/57/1039712157.db2.gz FHORSNBVVSNUJP-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN CC(C)C[C@H](N[C@@H](C)C(=O)N1CCCCCC1)c1cccs1 ZINC000541629593 1039718615 /nfs/dbraw/zinc/71/86/15/1039718615.db2.gz WDNSEVJJGWQNPB-HOTGVXAUSA-N 0 3 322.518 4.216 20 0 DIADHN COC[C@@H](N[C@H](C)CCSc1ccccc1)c1ccc(C)o1 ZINC000541630247 1039720247 /nfs/dbraw/zinc/72/02/47/1039720247.db2.gz GGQFTPMATKPNOF-RHSMWYFYSA-N 0 3 319.470 4.436 20 0 DIADHN O[C@H](CNCc1cc2ccccc2o1)c1ccc(Cl)c(F)c1 ZINC000136153234 1039721270 /nfs/dbraw/zinc/72/12/70/1039721270.db2.gz RMWHLSBCCLNYBO-MRXNPFEDSA-N 0 3 319.763 4.049 20 0 DIADHN CCc1nc(CNC2(Cc3ccccc3)CCCC2)cs1 ZINC000541701154 1039732809 /nfs/dbraw/zinc/73/28/09/1039732809.db2.gz DUHFWNVDIGQWST-UHFFFAOYSA-N 0 3 300.471 4.351 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@@H](c2ccccc2)c2cncnc2)cc1 ZINC000541734094 1039736192 /nfs/dbraw/zinc/73/61/92/1039736192.db2.gz HXIWIAXJHDEAIM-UWJYYQICSA-N 0 3 317.436 4.268 20 0 DIADHN COc1ccccc1/C=C/CN1CCC(Cc2cccnc2)CC1 ZINC000541765067 1039741810 /nfs/dbraw/zinc/74/18/10/1039741810.db2.gz RWJAHLYLOWUSJQ-VMPITWQZSA-N 0 3 322.452 4.058 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2c3cc(C)ccc3C[C@@H]2C)c1 ZINC000541845137 1039747637 /nfs/dbraw/zinc/74/76/37/1039747637.db2.gz WFSFLUNSEMNJLT-BTYIYWSLSA-N 0 3 322.452 4.367 20 0 DIADHN c1nc(-c2ccccn2)sc1CNC1(c2ccccc2)CCC1 ZINC000084657289 1039747623 /nfs/dbraw/zinc/74/76/23/1039747623.db2.gz NHFDXVOCQPOIML-UHFFFAOYSA-N 0 3 321.449 4.374 20 0 DIADHN CC(C)[C@@H](NCc1ccc([S@](C)=O)cc1)c1ccc(F)cc1 ZINC000085298811 1039754511 /nfs/dbraw/zinc/75/45/11/1039754511.db2.gz NPOBHCYXAQIQAZ-XMSQKQJNSA-N 0 3 319.445 4.050 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3cc(F)ccc32)c(C)c1 ZINC000541914017 1039759882 /nfs/dbraw/zinc/75/98/82/1039759882.db2.gz HLHOSPDFLQIQMH-GOSISDBHSA-N 0 3 315.388 4.146 20 0 DIADHN CC(C)(C)[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(F)cc1 ZINC000657859794 1039772181 /nfs/dbraw/zinc/77/21/81/1039772181.db2.gz XRKWFWPHDAUJQL-WBVHZDCISA-N 0 3 301.409 4.234 20 0 DIADHN Cc1cc([C@H](NCc2cnn(CC(C)C)c2)C2CC2)ccc1F ZINC000541991907 1039776008 /nfs/dbraw/zinc/77/60/08/1039776008.db2.gz GQMJSQPYMBJMPN-LJQANCHMSA-N 0 3 315.436 4.228 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2C)c(OC)c1 ZINC000089597836 1039776388 /nfs/dbraw/zinc/77/63/88/1039776388.db2.gz XPAQSVIMCBWJGA-CKNLXJGOSA-N 0 3 311.425 4.288 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2C)c(OC)c1 ZINC000089597835 1039776696 /nfs/dbraw/zinc/77/66/96/1039776696.db2.gz XPAQSVIMCBWJGA-PJSUUKDQSA-N 0 3 311.425 4.288 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552717 1039785355 /nfs/dbraw/zinc/78/53/55/1039785355.db2.gz OZKMNXVKBPXFHR-HUUCEWRRSA-N 0 3 316.445 4.499 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2CCc3ccc(F)cc32)s1 ZINC000089552360 1039786377 /nfs/dbraw/zinc/78/63/77/1039786377.db2.gz KOUDLUDBQFXAKZ-BONVTDFDSA-N 0 3 304.434 4.491 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1ccc(F)cn1 ZINC000090035212 1039786966 /nfs/dbraw/zinc/78/69/66/1039786966.db2.gz RTINOMWSUNTDHP-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)N[C@H](C)Cc1ccsc1 ZINC000090036990 1039787854 /nfs/dbraw/zinc/78/78/54/1039787854.db2.gz JIISQJIGJYTXNN-ZIAGYGMSSA-N 0 3 313.470 4.400 20 0 DIADHN COC(=O)c1cccc(CNC(C)(C)c2ccc(Cl)cc2)c1 ZINC000609145683 1039789762 /nfs/dbraw/zinc/78/97/62/1039789762.db2.gz AKOHUDXBTIIION-UHFFFAOYSA-N 0 3 317.816 4.152 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657860646 1039791394 /nfs/dbraw/zinc/79/13/94/1039791394.db2.gz BJVZCJMZGMQSOW-RYUDHWBXSA-N 0 3 306.356 4.440 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@H]1CCCC1(C)C)c1ccsc1 ZINC000542104281 1039792104 /nfs/dbraw/zinc/79/21/04/1039792104.db2.gz FUCWICZOILVHAD-CVEARBPZSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@@H](Cc1ccc(C(F)(F)F)cc1)NCc1cscn1 ZINC000657861756 1039794208 /nfs/dbraw/zinc/79/42/08/1039794208.db2.gz WHSJRCSWRCZDSY-ZDUSSCGKSA-N 0 3 314.376 4.273 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1ccc(Br)o1 ZINC000542131242 1039800273 /nfs/dbraw/zinc/80/02/73/1039800273.db2.gz UEZKFWQJKAWMDK-SNVBAGLBSA-N 0 3 314.248 4.167 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CCCc3sccc32)cc1 ZINC000090843907 1039818408 /nfs/dbraw/zinc/81/84/08/1039818408.db2.gz VUYMOTOJIFUWPV-SJORKVTESA-N 0 3 301.455 4.011 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](CO)c2ccc(CC)cc2)cc1 ZINC000090844124 1039819127 /nfs/dbraw/zinc/81/91/27/1039819127.db2.gz QAWPOAFZBGLWJQ-FOIQADDNSA-N 0 3 313.441 4.032 20 0 DIADHN c1ccc([C@H]2CN(C[C@@H]3CCC4(CCCC4)O3)CCS2)cc1 ZINC000669930133 1039824533 /nfs/dbraw/zinc/82/45/33/1039824533.db2.gz WLTIIECLYWGEAE-ZWKOTPCHSA-N 0 3 317.498 4.268 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@H]1CCC2(CCCC2)O1 ZINC000669932956 1039834660 /nfs/dbraw/zinc/83/46/60/1039834660.db2.gz WHYFZMIVBRTJQB-MGPQQGTHSA-N 0 3 305.384 4.141 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(C[C@H]2CCC3(CCCC3)O2)C1 ZINC000669933647 1039835116 /nfs/dbraw/zinc/83/51/16/1039835116.db2.gz PTYNVQKPVLCINN-LSDHHAIUSA-N 0 3 321.411 4.246 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)Nc1c(C)cccc1C)C1CC1 ZINC000091263794 1039837674 /nfs/dbraw/zinc/83/76/74/1039837674.db2.gz GKUDJUHLIVBOKS-NRFANRHFSA-N 0 3 322.452 4.291 20 0 DIADHN CC(C)[C@@H](NCc1cccc(Br)c1)c1cccnc1 ZINC000091312663 1039844238 /nfs/dbraw/zinc/84/42/38/1039844238.db2.gz HQAPKTIKWAKANE-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1occc1C ZINC000491487702 1039850073 /nfs/dbraw/zinc/85/00/73/1039850073.db2.gz SSDIVDCFUQLIEP-XFXZXTDPSA-N 0 3 307.340 4.383 20 0 DIADHN COc1ccc(CN[C@H](c2cccnc2)C(C)C)cc1Cl ZINC000091398621 1039851757 /nfs/dbraw/zinc/85/17/57/1039851757.db2.gz MEOLZLSWCXKTHA-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN CC[C@@H](N[C@H](CCO)c1ccccc1)c1cc(C)ccc1OC ZINC000091727591 1039853108 /nfs/dbraw/zinc/85/31/08/1039853108.db2.gz FGRRCSWJAKZJBW-RTBURBONSA-N 0 3 313.441 4.168 20 0 DIADHN C[C@@H](NCC1(CCO)CCCCC1)c1nc2c(s1)CCCC2 ZINC000091734781 1039854533 /nfs/dbraw/zinc/85/45/33/1039854533.db2.gz HEEHXRVDMOQETR-CQSZACIVSA-N 0 3 322.518 4.005 20 0 DIADHN CCc1ncc(CN(CCCCOC)Cc2ccccc2)s1 ZINC000092507029 1039869446 /nfs/dbraw/zinc/86/94/46/1039869446.db2.gz JJIAGUNOVGMBFL-UHFFFAOYSA-N 0 3 318.486 4.134 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(F)cn1)c1ccsc1 ZINC000092738953 1039879846 /nfs/dbraw/zinc/87/98/46/1039879846.db2.gz QWVKFVQIGFJOOI-DYVFJYSZSA-N 0 3 321.465 4.016 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(F)cn1)c1ccsc1 ZINC000092738952 1039880305 /nfs/dbraw/zinc/88/03/05/1039880305.db2.gz QWVKFVQIGFJOOI-SUMWQHHRSA-N 0 3 321.465 4.016 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@@H](C)c3ccsc3)cc2)n1 ZINC000669939137 1039882177 /nfs/dbraw/zinc/88/21/77/1039882177.db2.gz LLJBYUWBAIHVIH-HNNXBMFYSA-N 0 3 311.454 4.401 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CC1)c1ccc(F)cn1 ZINC000092748053 1039883788 /nfs/dbraw/zinc/88/37/88/1039883788.db2.gz SYHOXPRHUIUPMV-CYBMUJFWSA-N 0 3 302.418 4.196 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCCN2Cc2cnc[nH]2)cc1 ZINC000092965606 1039890671 /nfs/dbraw/zinc/89/06/71/1039890671.db2.gz SKCIMDOASRFDMV-HNNXBMFYSA-N 0 3 309.335 4.156 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(c3ccccc3)CCC2)c1 ZINC000536214202 1039899562 /nfs/dbraw/zinc/89/95/62/1039899562.db2.gz LXUBBQWWIHMBKU-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN CCc1ncc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)s1 ZINC000093512792 1039901846 /nfs/dbraw/zinc/90/18/46/1039901846.db2.gz WOGMSETZTJYYNY-QGZVFWFLSA-N 0 3 315.486 4.109 20 0 DIADHN C[C@@H]1C[C@@H](NCc2c(Cl)ccc(Cl)c2Cl)CCO1 ZINC000219909084 1039902721 /nfs/dbraw/zinc/90/27/21/1039902721.db2.gz YERYWMKHGIWCJB-BDAKNGLRSA-N 0 3 308.636 4.304 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC000093870874 1039903722 /nfs/dbraw/zinc/90/37/22/1039903722.db2.gz CMKXEPSQRNLDCM-LLVKDONJSA-N 0 3 306.397 4.064 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1F)[C@@H]1OCCc2sccc21 ZINC000492148691 1039924651 /nfs/dbraw/zinc/92/46/51/1039924651.db2.gz BYMKEIKWDXLOKU-JKDFXYPNSA-N 0 3 305.418 4.240 20 0 DIADHN Cc1noc(C)c1[C@@H](C)N[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000926571558 1039926772 /nfs/dbraw/zinc/92/67/72/1039926772.db2.gz WKDMGPHZLYQPOX-XPLICSAQSA-N 0 3 308.784 4.291 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cccnc2Cl)C12CCCC2 ZINC000151971097 1039927559 /nfs/dbraw/zinc/92/75/59/1039927559.db2.gz LXVWZIIWFGUADO-NWANDNLSSA-N 0 3 308.853 4.123 20 0 DIADHN CN(CC(=O)Nc1ccccc1Oc1ccccc1)C1CCCC1 ZINC000139528406 1039927780 /nfs/dbraw/zinc/92/77/80/1039927780.db2.gz SHUTZRUUUHZYHW-UHFFFAOYSA-N 0 3 324.424 4.292 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000492194809 1039933402 /nfs/dbraw/zinc/93/34/02/1039933402.db2.gz LJONQZHYIQWPJI-MRXNPFEDSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1noc(C)c1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC000140541318 1039934626 /nfs/dbraw/zinc/93/46/26/1039934626.db2.gz IGAUMWQCIQEDRV-OAHLLOKOSA-N 0 3 304.821 4.152 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN(C)C1Cc2ccccc2C1 ZINC000141181457 1039938754 /nfs/dbraw/zinc/93/87/54/1039938754.db2.gz SLZZMPTXDUZJPX-UHFFFAOYSA-N 0 3 318.420 4.249 20 0 DIADHN CCC[C@H](O)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000492236536 1039940437 /nfs/dbraw/zinc/94/04/37/1039940437.db2.gz IGXWPTSRTBUFKH-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1nc(Cc2ccccc2)no1 ZINC000141470339 1039943339 /nfs/dbraw/zinc/94/33/39/1039943339.db2.gz GCPSJNSNKRRNRP-MRXNPFEDSA-N 0 3 313.445 4.061 20 0 DIADHN CCSc1cccc(CNCc2cnc3ccc(C)cn23)c1 ZINC000492308800 1039948205 /nfs/dbraw/zinc/94/82/05/1039948205.db2.gz CKIZOGHIJQXVHP-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN Cc1nnc(CN(CC2CCCCC2)CC2CCCCC2)o1 ZINC000144094963 1039949431 /nfs/dbraw/zinc/94/94/31/1039949431.db2.gz LVIVHVBJHPFCTA-UHFFFAOYSA-N 0 3 305.466 4.341 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3ccnc(OC)c3)ccc2c1 ZINC000171140648 1039951224 /nfs/dbraw/zinc/95/12/24/1039951224.db2.gz SMCFUOKXLCADJM-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1ncc([C@H](C)NC[C@H](O)c2ccc(C(C)(C)C)cc2)s1 ZINC000090417329 1039956134 /nfs/dbraw/zinc/95/61/34/1039956134.db2.gz VTFACLMTJJLBFN-LRDDRELGSA-N 0 3 318.486 4.133 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C\c1cc2ccccc2o1 ZINC000492823979 1040023705 /nfs/dbraw/zinc/02/37/05/1040023705.db2.gz OXTKXNGIHPZOSC-QXMHVHEDSA-N 0 3 320.392 4.146 20 0 DIADHN CCc1cc(CCCN2CC[C@@H](C)C[C@@H]2c2ccco2)on1 ZINC000685417911 1040035856 /nfs/dbraw/zinc/03/58/56/1040035856.db2.gz DMMRQOLWYGRYMJ-RHSMWYFYSA-N 0 3 302.418 4.236 20 0 DIADHN CCC[C@@H]([NH2+]Cc1ncccc1[O-])c1cccc(C(F)(F)F)c1 ZINC000685422060 1040041676 /nfs/dbraw/zinc/04/16/76/1040041676.db2.gz JQXFOLCFOOWORI-CQSZACIVSA-N 0 3 324.346 4.437 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000685425597 1040043136 /nfs/dbraw/zinc/04/31/36/1040043136.db2.gz MKWSLOXQIOAFSL-SFHVURJKSA-N 0 3 316.832 4.462 20 0 DIADHN Oc1ccc(CN2CCC([C@@H](F)c3ccccc3F)CC2)cc1 ZINC000685436819 1040046024 /nfs/dbraw/zinc/04/60/24/1040046024.db2.gz CBGIFIMWDMAXEG-LJQANCHMSA-N 0 3 317.379 4.454 20 0 DIADHN COc1cccc(CN2CCC3(CCC3)CC2)c1OC(F)F ZINC000685444370 1040050631 /nfs/dbraw/zinc/05/06/31/1040050631.db2.gz WSXJGRMJPNUSEH-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN Cc1cc(CN2CCC(c3cccnc3)CC2)ccc1Cl ZINC000685456276 1040057350 /nfs/dbraw/zinc/05/73/50/1040057350.db2.gz LGFNKJVAPQZEID-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1cccc(F)c1 ZINC000493008540 1040058667 /nfs/dbraw/zinc/05/86/67/1040058667.db2.gz KXKAXOIGYVYTGD-RDJZCZTQSA-N 0 3 320.383 4.258 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1ccccc1F ZINC000685463890 1040062340 /nfs/dbraw/zinc/06/23/40/1040062340.db2.gz XYDDBZJHYBUHBY-KRWDZBQOSA-N 0 3 301.409 4.283 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H](C[C@H]3CCOC3)C2)s1 ZINC000685464063 1040062837 /nfs/dbraw/zinc/06/28/37/1040062837.db2.gz CEAAJLKIRREQLO-LSDHHAIUSA-N 0 3 322.518 4.079 20 0 DIADHN CC[C@@H](CCO)N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039056 1040068082 /nfs/dbraw/zinc/06/80/82/1040068082.db2.gz XSRFMBUWJXQFQQ-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@@H]4CCC[C@H]4C3)cs2)c1 ZINC000176265057 1040070083 /nfs/dbraw/zinc/07/00/83/1040070083.db2.gz APDGBZXMTZPVKT-GJZGRUSLSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)Cc2ccc(Cl)cc2Cl)o1 ZINC000628211157 1040087358 /nfs/dbraw/zinc/08/73/58/1040087358.db2.gz YMLKFXLGZFPYOH-SECBINFHSA-N 0 3 313.228 4.319 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1ccsc1Br ZINC000309786951 1040092766 /nfs/dbraw/zinc/09/27/66/1040092766.db2.gz SVXQLCQMPJRNCB-SSDOTTSWSA-N 0 3 316.186 4.331 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCCC34CC4)cs2)cc1 ZINC000657896256 1040096126 /nfs/dbraw/zinc/09/61/26/1040096126.db2.gz RXJIOGIHRJUGMV-MRXNPFEDSA-N 0 3 314.454 4.241 20 0 DIADHN C[C@H](NCc1cccnc1N(C)C)c1cccc2ccccc21 ZINC000177213466 1040112163 /nfs/dbraw/zinc/11/21/63/1040112163.db2.gz PVUGETXZRHYJAH-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@H](N[C@@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000177277038 1040115152 /nfs/dbraw/zinc/11/51/52/1040115152.db2.gz VYWVSTYGQNVIEA-IFXJQAMLSA-N 0 3 305.425 4.051 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc2ccccc21 ZINC000177332112 1040118991 /nfs/dbraw/zinc/11/89/91/1040118991.db2.gz WKUVXFKWRNBSCF-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN CC(C)[C@@H]1CCN1Cc1sccc1NC(=O)OC(C)(C)C ZINC000892404114 1040120268 /nfs/dbraw/zinc/12/02/68/1040120268.db2.gz YDMTXFWAEFZVLW-ZDUSSCGKSA-N 0 3 310.463 4.325 20 0 DIADHN c1ccc(OC[C@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657912271 1040127293 /nfs/dbraw/zinc/12/72/93/1040127293.db2.gz AUCFXIWQJWRDOO-QUCCMNQESA-N 0 3 323.436 4.108 20 0 DIADHN CCCC(C)(C)CC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000493211167 1040133555 /nfs/dbraw/zinc/13/35/55/1040133555.db2.gz ORJGBJTWPAQKDK-OAHLLOKOSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCn1ccc2cc(Cl)ccc21 ZINC000685569977 1040135026 /nfs/dbraw/zinc/13/50/26/1040135026.db2.gz LFPTXEYBEBFYGY-AWEZNQCLSA-N 0 3 313.832 4.383 20 0 DIADHN Cc1nc(C)c(CN(CC(C)C)[C@@H]2CCCc3cccnc32)o1 ZINC000685573156 1040139232 /nfs/dbraw/zinc/13/92/32/1040139232.db2.gz MEVCBUNVVDWAPK-QGZVFWFLSA-N 0 3 313.445 4.222 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000493228713 1040142320 /nfs/dbraw/zinc/14/23/20/1040142320.db2.gz LWOORMIKKYFABL-RDJZCZTQSA-N 0 3 322.811 4.303 20 0 DIADHN Clc1cccc(Cl)c1[C@H](NC[C@@H]1CCCO1)C1CC1 ZINC000685587688 1040147364 /nfs/dbraw/zinc/14/73/64/1040147364.db2.gz JGRIKUKNKQALFG-XHDPSFHLSA-N 0 3 300.229 4.213 20 0 DIADHN CC1(C)CN(Cc2csc3ccccc23)[C@@H]1[C@H]1CCCO1 ZINC000685587381 1040148097 /nfs/dbraw/zinc/14/80/97/1040148097.db2.gz PSADDQPYOZRKHQ-NVXWUHKLSA-N 0 3 301.455 4.291 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC3(CCC3)[C@@H]1[C@H]1CCCO1)CCC2 ZINC000685605516 1040156220 /nfs/dbraw/zinc/15/62/20/1040156220.db2.gz XAPJTPUZUWKRMR-QRVBRYPASA-N 0 3 315.432 4.237 20 0 DIADHN Cc1cn[nH]c1CNC(c1cccc(C)c1)c1cccc(C)c1 ZINC000685618731 1040161820 /nfs/dbraw/zinc/16/18/20/1040161820.db2.gz KUQPHAXXFXJLQA-UHFFFAOYSA-N 0 3 305.425 4.214 20 0 DIADHN Cc1cc(CNCc2ccccc2C2CC2)cc(N2CCCC2)n1 ZINC000685628552 1040169606 /nfs/dbraw/zinc/16/96/06/1040169606.db2.gz ILCATNJWWHWYIM-UHFFFAOYSA-N 0 3 321.468 4.157 20 0 DIADHN Clc1cscc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000685628764 1040170036 /nfs/dbraw/zinc/17/00/36/1040170036.db2.gz ZDVYQSLLFNYSKZ-ZBFHGGJFSA-N 0 3 307.846 4.411 20 0 DIADHN FCC1(CF)CC(N[C@H]2COc3c2ccc(Cl)c3Cl)C1 ZINC000657921194 1040173754 /nfs/dbraw/zinc/17/37/54/1040173754.db2.gz BSMVCXXJZSZLQX-NSHDSACASA-N 0 3 322.182 4.104 20 0 DIADHN C[C@H](NCc1c(F)cccc1OC(F)F)C(C)(C)C(F)F ZINC000657923631 1040175881 /nfs/dbraw/zinc/17/58/81/1040175881.db2.gz WYTFHQMAIFWPNA-QMMMGPOBSA-N 0 3 311.294 4.197 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](N[C@@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657923093 1040176055 /nfs/dbraw/zinc/17/60/55/1040176055.db2.gz VMMPNIRHHABFAG-BUIXCFAXSA-N 0 3 315.848 4.358 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@@H]3CCCn4nccc43)c2)cc1 ZINC000657925445 1040178844 /nfs/dbraw/zinc/17/88/44/1040178844.db2.gz DHQWZTNJDDZLRO-HXUWFJFHSA-N 0 3 317.436 4.483 20 0 DIADHN COc1cc(CN[C@H](CC(C)(C)C)c2ccccc2)sn1 ZINC000399239342 1040186583 /nfs/dbraw/zinc/18/65/83/1040186583.db2.gz CCDUBPUZRVNWSY-OAHLLOKOSA-N 0 3 304.459 4.419 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1cccnc1 ZINC000685660238 1040200137 /nfs/dbraw/zinc/20/01/37/1040200137.db2.gz GIWOUMIWSZUWTE-BBRMVZONSA-N 0 3 308.347 4.153 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cccc3C)cc(N2CCCC2)n1 ZINC000685661339 1040201298 /nfs/dbraw/zinc/20/12/98/1040201298.db2.gz GVXKCHJAUOBNGL-FQEVSTJZSA-N 0 3 321.468 4.076 20 0 DIADHN C[C@@H](NCc1occc1Br)C1CCC(F)(F)CC1 ZINC000690774869 1040216320 /nfs/dbraw/zinc/21/63/20/1040216320.db2.gz CZNMLHBWXBNMAF-SECBINFHSA-N 0 3 322.193 4.346 20 0 DIADHN Cc1cnc([C@@H](NCCCOc2ccccc2C)C2CC2)s1 ZINC000185275317 1040220292 /nfs/dbraw/zinc/22/02/92/1040220292.db2.gz PFOXWDZTGHWUET-KRWDZBQOSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1nnc(CN[C@@H]2CCCC[C@H]2c2ccccc2Cl)s1 ZINC000657972963 1040233885 /nfs/dbraw/zinc/23/38/85/1040233885.db2.gz UITHYCJKTRFZAP-DZGCQCFKSA-N 0 3 321.877 4.316 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000294387656 1040239263 /nfs/dbraw/zinc/23/92/63/1040239263.db2.gz JJQJKSYMCBCGPI-IAQYHMDHSA-N 0 3 303.431 4.041 20 0 DIADHN Cc1cc(CN[C@H]2CCCn3nccc32)ccc1-c1ccccc1 ZINC000657979256 1040247748 /nfs/dbraw/zinc/24/77/48/1040247748.db2.gz IDZYEYWTVGUWGU-FQEVSTJZSA-N 0 3 317.436 4.483 20 0 DIADHN COc1cc(F)cc(CNCc2cccc(-c3ccccn3)c2)c1 ZINC000093970763 1040251587 /nfs/dbraw/zinc/25/15/87/1040251587.db2.gz WVYIAEIFDXPWMI-UHFFFAOYSA-N 0 3 322.383 4.186 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H]1COC2(CCC2)C1 ZINC000657993434 1040256076 /nfs/dbraw/zinc/25/60/76/1040256076.db2.gz HUZVAHFKJWQLEB-UKRRQHHQSA-N 0 3 313.363 4.290 20 0 DIADHN Cc1ccc([C@@H](NC2CC(CF)(CF)C2)c2ccccn2)cc1 ZINC000657998553 1040259078 /nfs/dbraw/zinc/25/90/78/1040259078.db2.gz MUFFCNMYDCOPMX-GOSISDBHSA-N 0 3 316.395 4.157 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1cc2cnccc2o1 ZINC000658032608 1040275637 /nfs/dbraw/zinc/27/56/37/1040275637.db2.gz UWSTVMMWNWASBW-PBHICJAKSA-N 0 3 321.424 4.450 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCCSCC2)c2ccco2)cc1 ZINC000658032234 1040276093 /nfs/dbraw/zinc/27/60/93/1040276093.db2.gz QCOYGVGHRCLZAH-MAUKXSAKSA-N 0 3 317.454 4.253 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccnc1N1CCCC1)CCC2 ZINC000658103380 1040311669 /nfs/dbraw/zinc/31/16/69/1040311669.db2.gz JFADVNHTFFPDBE-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cccnc1N1CCCC1 ZINC000658103130 1040311700 /nfs/dbraw/zinc/31/17/00/1040311700.db2.gz HFDFANWGIBCJKG-VQIMIIECSA-N 0 3 321.468 4.328 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccnc1N1CCCC1)[C@H](C)C2 ZINC000658104170 1040313058 /nfs/dbraw/zinc/31/30/58/1040313058.db2.gz PEXLSIMIJTYPSG-UZLBHIALSA-N 0 3 321.468 4.013 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccnc1N1CCCC1)[C@@H](C)C2 ZINC000658104168 1040313988 /nfs/dbraw/zinc/31/39/88/1040313988.db2.gz PEXLSIMIJTYPSG-OXJNMPFZSA-N 0 3 321.468 4.013 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1cccnc1N1CCCC1)CC2 ZINC000658106535 1040315248 /nfs/dbraw/zinc/31/52/48/1040315248.db2.gz QKLISBIIZJLYBD-LJQANCHMSA-N 0 3 321.468 4.076 20 0 DIADHN C[C@H](NC[C@@H](OC1CCCC1)C(F)(F)F)c1cccs1 ZINC000658123070 1040319287 /nfs/dbraw/zinc/31/92/87/1040319287.db2.gz JAZKCTMMSJJCAG-GXFFZTMASA-N 0 3 307.381 4.289 20 0 DIADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccc(Oc3ccccc3)cn2)C1 ZINC000658159787 1040333084 /nfs/dbraw/zinc/33/30/84/1040333084.db2.gz BGJFLABRAMBOPU-MPGHIAIKSA-N 0 3 312.413 4.092 20 0 DIADHN COC[C@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1cccc(OC)c1 ZINC000658161050 1040334074 /nfs/dbraw/zinc/33/40/74/1040334074.db2.gz IRIWPDHVBNVSJO-XMCHAPAWSA-N 0 3 311.425 4.221 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cccc(CO)c1)c1ccccc1F ZINC000658169029 1040338810 /nfs/dbraw/zinc/33/88/10/1040338810.db2.gz XWXYLZCWZGORTL-AUUYWEPGSA-N 0 3 301.405 4.366 20 0 DIADHN COC[C@H](N[C@@H](C)c1sccc1C)c1cccc(OC)c1 ZINC000658164157 1040339174 /nfs/dbraw/zinc/33/91/74/1040339174.db2.gz MTTUEXMOVAXCCE-BBRMVZONSA-N 0 3 305.443 4.103 20 0 DIADHN C[C@H](NCCO[C@@H]1CC1(F)F)c1ccc(-c2ccccc2)o1 ZINC000658169694 1040341771 /nfs/dbraw/zinc/34/17/71/1040341771.db2.gz OSXSNLRAOGMWSQ-BLLLJJGKSA-N 0 3 307.340 4.021 20 0 DIADHN C[C@@H](NCCO[C@H]1CC1(F)F)c1cc2cc(Cl)ccc2o1 ZINC000658169670 1040343397 /nfs/dbraw/zinc/34/33/97/1040343397.db2.gz OMYAOWAHZGATFF-OTYXRUKQSA-N 0 3 315.747 4.161 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cccc(CO)c1)c1ccccc1F ZINC000658169031 1040344107 /nfs/dbraw/zinc/34/41/07/1040344107.db2.gz XWXYLZCWZGORTL-KUHUBIRLSA-N 0 3 301.405 4.366 20 0 DIADHN CCC[C@@H](NC[C@@H]1C[C@H](c2ccccc2)CO1)c1ccccn1 ZINC000658205624 1040355254 /nfs/dbraw/zinc/35/52/54/1040355254.db2.gz CNQZTVALAHFEDG-GBESFXJTSA-N 0 3 310.441 4.085 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H]2C[C@H]21)c1nc(C(F)(F)F)cs1 ZINC000658205509 1040355373 /nfs/dbraw/zinc/35/53/73/1040355373.db2.gz HTQVZHFPMZQRIQ-XWLWVQCSSA-N 0 3 304.381 4.249 20 0 DIADHN COCc1csc(CN[C@H](c2ccc(C)o2)C2CCC2)c1 ZINC000658210748 1040358808 /nfs/dbraw/zinc/35/88/08/1040358808.db2.gz WMCZYEUJSZOXMT-KRWDZBQOSA-N 0 3 305.443 4.427 20 0 DIADHN C[C@]1(CCN[C@@H](c2ccccc2)c2ccccn2)CC1(F)F ZINC000658221692 1040363054 /nfs/dbraw/zinc/36/30/54/1040363054.db2.gz OHMMWVFIKUKEMQ-IRXDYDNUSA-N 0 3 302.368 4.196 20 0 DIADHN COc1ccnc(CN[C@H](C)CC(C)(C)c2ccccc2)c1F ZINC000658222066 1040364775 /nfs/dbraw/zinc/36/47/75/1040364775.db2.gz GHOIKFQZZMJMNI-CQSZACIVSA-N 0 3 316.420 4.075 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccc(-c2ccccc2)cn1 ZINC000658225602 1040366091 /nfs/dbraw/zinc/36/60/91/1040366091.db2.gz ZXIOSQJEYQYDFR-SUMWQHHRSA-N 0 3 302.368 4.445 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H](c1cccs1)C1CCCC1 ZINC000658214634 1040372136 /nfs/dbraw/zinc/37/21/36/1040372136.db2.gz XIGNODRCNBNIOQ-SFHVURJKSA-N 0 3 315.486 4.380 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2c(C3CC3)cnn2C)cc1 ZINC000658214223 1040372451 /nfs/dbraw/zinc/37/24/51/1040372451.db2.gz XLVQFHJLBODSQK-HNNXBMFYSA-N 0 3 311.473 4.491 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1c(C3CCC3)cnn1C)[C@@H](C)C2 ZINC000658267909 1040393963 /nfs/dbraw/zinc/39/39/63/1040393963.db2.gz IHQBCUQWYPFLHE-VBKZILBWSA-N 0 3 309.457 4.019 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1c(C2CCC2)cnn1C ZINC000658267086 1040394496 /nfs/dbraw/zinc/39/44/96/1040394496.db2.gz BZPXAUWUTIOGHU-IBGZPJMESA-N 0 3 323.484 4.472 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1c(C2CCC2)cnn1C ZINC000658269591 1040399967 /nfs/dbraw/zinc/39/99/67/1040399967.db2.gz WBBIEPICKAWRII-VBKZILBWSA-N 0 3 309.457 4.101 20 0 DIADHN C[C@@H](NC[C@H]1CCOC1(C)C)c1csc(-c2ccccc2)n1 ZINC000658329887 1040413710 /nfs/dbraw/zinc/41/37/10/1040413710.db2.gz ICBTZRKIBDSYAN-UKRRQHHQSA-N 0 3 316.470 4.276 20 0 DIADHN CO[C@@]1(C)C[C@H]1N[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000658330630 1040415361 /nfs/dbraw/zinc/41/53/61/1040415361.db2.gz FOOSJLAOEPXXDY-ZWZTZDBGSA-N 0 3 308.472 4.008 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)N[C@H](C)c1nccn1C ZINC000658335893 1040427552 /nfs/dbraw/zinc/42/75/52/1040427552.db2.gz IYEWGWOPZNNVSQ-OCCSQVGLSA-N 0 3 323.893 4.295 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccccc1 ZINC000658362113 1040439240 /nfs/dbraw/zinc/43/92/40/1040439240.db2.gz PDLOXCHUBFSBCX-AHIWAGSCSA-N 0 3 321.367 4.061 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000658361883 1040440584 /nfs/dbraw/zinc/44/05/84/1040440584.db2.gz PGVSACPTCPKXBR-IGNZVWTISA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000658359717 1040440970 /nfs/dbraw/zinc/44/09/70/1040440970.db2.gz HKQXHPMYSVPKME-FASAQXTFSA-N 0 3 315.844 4.429 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1cc2c(s1)CCC2)c1ccccc1 ZINC000658361515 1040441096 /nfs/dbraw/zinc/44/10/96/1040441096.db2.gz NVPGFEZVMOELBQ-LXIYXOSZSA-N 0 3 301.455 4.010 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000658363528 1040444790 /nfs/dbraw/zinc/44/47/90/1040444790.db2.gz WHDNFAVFTYDDQI-FASAQXTFSA-N 0 3 315.844 4.429 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)o1)c1cccc(Cl)c1F ZINC000628240218 1040458787 /nfs/dbraw/zinc/45/87/87/1040458787.db2.gz VGVOIDBVEIAGCL-UHFFFAOYSA-N 0 3 322.811 4.412 20 0 DIADHN CN1CCCc2cc(CNCc3cc(Cl)cs3)ccc21 ZINC000193070173 1040488815 /nfs/dbraw/zinc/48/88/15/1040488815.db2.gz VEAGLZIXSGBOAL-UHFFFAOYSA-N 0 3 306.862 4.074 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2nc3ccccc3s2)c(C)c1 ZINC000828241633 1040495160 /nfs/dbraw/zinc/49/51/60/1040495160.db2.gz UJTVJOIHDGRVKG-CQSZACIVSA-N 0 3 311.454 4.201 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2cc(C)ccc2OC)c1 ZINC000658672450 1040505426 /nfs/dbraw/zinc/50/54/26/1040505426.db2.gz RZEHQUBFGWVOOK-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cnc2ccccc2n1 ZINC000628231751 1040518451 /nfs/dbraw/zinc/51/84/51/1040518451.db2.gz ZQCYZTFRDSJRAS-VLIAUNLRSA-N 0 3 303.409 4.043 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cnc2ccccc2n1 ZINC000628239047 1040529230 /nfs/dbraw/zinc/52/92/30/1040529230.db2.gz AJFFKTABIDUYTD-VFNWGFHPSA-N 0 3 317.436 4.433 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1ccc(C(C)(C)O)cc1 ZINC000924540578 1040573927 /nfs/dbraw/zinc/57/39/27/1040573927.db2.gz FGINMYWMCKBDLV-CYBMUJFWSA-N 0 3 303.833 4.418 20 0 DIADHN c1ccc(C(NC[C@@H]2CC[C@@H](C3CC3)O2)c2ccccc2)cc1 ZINC001167688843 1040583041 /nfs/dbraw/zinc/58/30/41/1040583041.db2.gz GYILCLQXYMLNOC-PMACEKPBSA-N 0 3 307.437 4.323 20 0 DIADHN c1nn(-c2ccccc2)cc1CNC1(c2ccccc2)CCCC1 ZINC000236633021 1040617669 /nfs/dbraw/zinc/61/76/69/1040617669.db2.gz OKXMYCRUFLXBQB-UHFFFAOYSA-N 0 3 317.436 4.431 20 0 DIADHN CC[C@H](C[C@@](C)(O)CC)N[C@H](c1ccccc1)c1ccccn1 ZINC000924547852 1040626283 /nfs/dbraw/zinc/62/62/83/1040626283.db2.gz AAJKVMUDUAIWMN-RLLQIKCJSA-N 0 3 312.457 4.090 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1CCCCCCC1 ZINC000177350324 1040633127 /nfs/dbraw/zinc/63/31/27/1040633127.db2.gz CZKWNPNUDLSBHO-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN CCn1cnc(CNCC2(c3cccc(Cl)c3)CCCC2)c1 ZINC001648924580 1040644519 /nfs/dbraw/zinc/64/45/19/1040644519.db2.gz ILVCYEQXNJHRSC-UHFFFAOYSA-N 0 3 317.864 4.158 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000177457974 1040675872 /nfs/dbraw/zinc/67/58/72/1040675872.db2.gz SVCICYOQAWXCRN-KBXCAEBGSA-N 0 3 323.864 4.061 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H](C)C2(CO)CC2)c2cccnc2)cc1 ZINC000926691727 1040683436 /nfs/dbraw/zinc/68/34/36/1040683436.db2.gz JQPWTISEHMKPTP-JXFKEZNVSA-N 0 3 324.468 4.045 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2cnc(C3CC3)o2)CCC1 ZINC000628310936 1040684552 /nfs/dbraw/zinc/68/45/52/1040684552.db2.gz MCTGWZBGTDPCNV-UHFFFAOYSA-N 0 3 318.367 4.042 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C(C)C)c(Br)c1 ZINC001140760980 1040701805 /nfs/dbraw/zinc/70/18/05/1040701805.db2.gz ZIIJYBJPHIAPFT-HNNXBMFYSA-N 0 3 312.251 4.078 20 0 DIADHN CCC[C@H](NCCCn1nc(C)cc1C)c1ncccc1Cl ZINC000924557190 1040708096 /nfs/dbraw/zinc/70/80/96/1040708096.db2.gz LRJORTQYJNXKFB-INIZCTEOSA-N 0 3 320.868 4.069 20 0 DIADHN Cc1cc(C)cc([C@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)c1 ZINC000926703164 1040712579 /nfs/dbraw/zinc/71/25/79/1040712579.db2.gz DEHQUPBRXSBBMG-QZTJIDSGSA-N 0 3 316.395 4.423 20 0 DIADHN FC(F)(F)c1cc(CN2CCC[C@H]2[C@H]2CCCOC2)cs1 ZINC000628321196 1040714702 /nfs/dbraw/zinc/71/47/02/1040714702.db2.gz QNIFQGOFHGQTBD-STQMWFEESA-N 0 3 319.392 4.158 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCSCc1ccccc1F ZINC000924562301 1040746746 /nfs/dbraw/zinc/74/67/46/1040746746.db2.gz BTXZSCWPJYNRMQ-LLVKDONJSA-N 0 3 308.422 4.015 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C(=O)N3CCCC3)cc2)cc1 ZINC000177846950 1040798382 /nfs/dbraw/zinc/79/83/82/1040798382.db2.gz UUNDWTROHJWNSP-KRWDZBQOSA-N 0 3 322.452 4.082 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccc1Cl)c1cc2n(n1)CCC2 ZINC000414062327 1040803635 /nfs/dbraw/zinc/80/36/35/1040803635.db2.gz BLUAYLFPBSSPFY-CYBMUJFWSA-N 0 3 317.864 4.111 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC(C)C)nc2)c(C)c1 ZINC000414064802 1040810344 /nfs/dbraw/zinc/81/03/44/1040810344.db2.gz GEWZGWAFITXPTE-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414069708 1040814198 /nfs/dbraw/zinc/81/41/98/1040814198.db2.gz JLTZIMPOSPGDGX-IIAWOOMASA-N 0 3 316.470 4.418 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC)c(F)c2)c(C)c1 ZINC000414066181 1040814486 /nfs/dbraw/zinc/81/44/86/1040814486.db2.gz PIVHNPAHOCDILN-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000414078742 1040831898 /nfs/dbraw/zinc/83/18/98/1040831898.db2.gz RDUQLSLCPFRRED-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2)s1)[C@H]1CC1(C)C ZINC000414084689 1040836993 /nfs/dbraw/zinc/83/69/93/1040836993.db2.gz FQSAUEANUHTWBV-KGLIPLIRSA-N 0 3 301.459 4.119 20 0 DIADHN CCC[C@H](CCO)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414089180 1040842052 /nfs/dbraw/zinc/84/20/52/1040842052.db2.gz CIPGUBWCXNPJGG-HUUCEWRRSA-N 0 3 318.486 4.259 20 0 DIADHN C[C@@H](N[C@@H]1CCCOCC1)c1csc(-c2ccccc2)n1 ZINC000414096252 1040849543 /nfs/dbraw/zinc/84/95/43/1040849543.db2.gz IDRPRSQTPOTSOE-UKRRQHHQSA-N 0 3 302.443 4.030 20 0 DIADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414094587 1040851902 /nfs/dbraw/zinc/85/19/02/1040851902.db2.gz GLRCGSLYIARVCZ-GJZGRUSLSA-N 0 3 323.465 4.487 20 0 DIADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414111261 1040874914 /nfs/dbraw/zinc/87/49/14/1040874914.db2.gz MMSAVGYKOHMNGM-OFQRWUPVSA-N 0 3 318.486 4.258 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(OC(F)F)cc1)CC2 ZINC000173228369 1040879202 /nfs/dbraw/zinc/87/92/02/1040879202.db2.gz NGSWTCKIIITYDD-QGZVFWFLSA-N 0 3 319.351 4.074 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(CC1CCC1)C(C)C ZINC000444770646 1040919105 /nfs/dbraw/zinc/91/91/05/1040919105.db2.gz FERRZAWVOVLWTA-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@@H]43)co2)cc1 ZINC000173815175 1040921617 /nfs/dbraw/zinc/92/16/17/1040921617.db2.gz SRFKTHZNZZNKBN-GUYCJALGSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@H](N[C@@H](CO)C1CCCC1)c1csc(-c2ccccc2)n1 ZINC000414154080 1040930279 /nfs/dbraw/zinc/93/02/79/1040930279.db2.gz YYOMLBMQMHQDAI-BBRMVZONSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H](N[C@H](CO)C1CCCC1)c1csc(-c2ccccc2)n1 ZINC000414154083 1040932199 /nfs/dbraw/zinc/93/21/99/1040932199.db2.gz YYOMLBMQMHQDAI-XJKSGUPXSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1csc(-c2ccccc2)n1 ZINC000414155053 1040932670 /nfs/dbraw/zinc/93/26/70/1040932670.db2.gz UWSXJFIRFFFWHK-CYBMUJFWSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H]1CCN(Cc2cc(-c3ccco3)on2)[C@H]2CCCC[C@@H]12 ZINC000613416474 1040936372 /nfs/dbraw/zinc/93/63/72/1040936372.db2.gz VGGYXURJZQGXBQ-KBMXLJTQSA-N 0 3 300.402 4.335 20 0 DIADHN Cc1ncc(CN[C@H]2CCCc3sccc32)n1-c1ccccc1 ZINC000174289012 1040965290 /nfs/dbraw/zinc/96/52/90/1040965290.db2.gz IUQBIPJJGQHXGO-SFHVURJKSA-N 0 3 323.465 4.409 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)CCO3)c1 ZINC000178155670 1040965679 /nfs/dbraw/zinc/96/56/79/1040965679.db2.gz IXIGVHAKXIDCLA-LSDHHAIUSA-N 0 3 311.425 4.432 20 0 DIADHN c1csc(-c2noc(CN3CCC[C@@H]3C3CCCCC3)n2)c1 ZINC000613481159 1040989345 /nfs/dbraw/zinc/98/93/45/1040989345.db2.gz TUEPJMCSMMTTQP-CQSZACIVSA-N 0 3 317.458 4.343 20 0 DIADHN COCC[C@@H](C)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000414200189 1040990148 /nfs/dbraw/zinc/99/01/48/1040990148.db2.gz AXAAQSNYHVQNHF-OLZOCXBDSA-N 0 3 322.449 4.272 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)C[C@@H]1COc2ccccc2O1 ZINC000613487059 1041001322 /nfs/dbraw/zinc/00/13/22/1041001322.db2.gz WBVQNHPDPKSKET-XJKSGUPXSA-N 0 3 317.816 4.173 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1ccnc(Cl)c1Cl ZINC000850354353 1041007213 /nfs/dbraw/zinc/00/72/13/1041007213.db2.gz ZMSYTDOCUVGLLR-UHFFFAOYSA-N 0 3 319.276 4.055 20 0 DIADHN CC1(C)CN(CC[C@H](O)c2ccccc2)[C@@H]1c1cccs1 ZINC000808759036 1041014453 /nfs/dbraw/zinc/01/44/53/1041014453.db2.gz KHGRVTQBVSALHY-DOTOQJQBSA-N 0 3 301.455 4.255 20 0 DIADHN CC1(C)CN(CC[C@H](O)c2ccccc2)[C@H]1c1cccs1 ZINC000808759037 1041014980 /nfs/dbraw/zinc/01/49/80/1041014980.db2.gz KHGRVTQBVSALHY-RDJZCZTQSA-N 0 3 301.455 4.255 20 0 DIADHN COc1cncc(CNC2(c3ccc(Cl)cc3)CCCC2)c1 ZINC000808736394 1041020016 /nfs/dbraw/zinc/02/00/16/1041020016.db2.gz QOABGLYLUUEANH-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CC[C@H](NCc1cnn(C)c1C1CC1)c1cccc(Cl)c1 ZINC000892567195 1041025373 /nfs/dbraw/zinc/02/53/73/1041025373.db2.gz CGUVVHBAWMRRQW-INIZCTEOSA-N 0 3 303.837 4.192 20 0 DIADHN Cc1cccc(CN(C)Cc2cc3c(c(Cl)c2)OCO3)c1 ZINC000613506839 1041029972 /nfs/dbraw/zinc/02/99/72/1041029972.db2.gz QQWGMGVFIGDFAL-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@@H](C)c1nccs1 ZINC000414228161 1041032297 /nfs/dbraw/zinc/03/22/97/1041032297.db2.gz XIQHAHHEJDEPIM-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H](NCc1cnccc1C(C)(C)C)c1ccc2c(c1)COC2 ZINC000414239751 1041041422 /nfs/dbraw/zinc/04/14/22/1041041422.db2.gz YXWKVKVXZQZDBM-CQSZACIVSA-N 0 3 310.441 4.260 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@H](C)c1ccccc1C ZINC000414260640 1041059777 /nfs/dbraw/zinc/05/97/77/1041059777.db2.gz INPGARLPAOASNK-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN CC1(CC(=O)OCC2CCN(Cc3ccco3)CC2)CCCC1 ZINC000808994415 1041063817 /nfs/dbraw/zinc/06/38/17/1041063817.db2.gz PVRFINHXJOLTER-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN Cc1ccc(CCCC(=O)Nc2cccc(CN(C)C)c2)s1 ZINC000175600531 1041079843 /nfs/dbraw/zinc/07/98/43/1041079843.db2.gz BKSXVBVHTRANKE-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN Cc1occc1CN[C@H]1CCCc2cc(OC(F)F)ccc21 ZINC000414294816 1041086826 /nfs/dbraw/zinc/08/68/26/1041086826.db2.gz FBMFIJHHPNMOAC-INIZCTEOSA-N 0 3 307.340 4.357 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@H](C)c1ccc(C)cc1 ZINC000414296593 1041090070 /nfs/dbraw/zinc/09/00/70/1041090070.db2.gz RSCHUQKYUARTEZ-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN CCc1ncc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000414316577 1041113493 /nfs/dbraw/zinc/11/34/93/1041113493.db2.gz UQLKMVUURORIFK-QGZVFWFLSA-N 0 3 315.436 4.445 20 0 DIADHN c1cc2c(s1)CCC[C@H]2NCc1ccc2c(c1)OCCCO2 ZINC000102196960 1041121730 /nfs/dbraw/zinc/12/17/30/1041121730.db2.gz KNYMONMRRWDNEG-OAHLLOKOSA-N 0 3 315.438 4.077 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2NCc1ccc2c(c1)OCCCO2 ZINC000102196964 1041122507 /nfs/dbraw/zinc/12/25/07/1041122507.db2.gz KNYMONMRRWDNEG-HNNXBMFYSA-N 0 3 315.438 4.077 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3sccc32)cc1OC ZINC000102196543 1041122973 /nfs/dbraw/zinc/12/29/73/1041122973.db2.gz MTBUGCBUIVLAGN-SWLSCSKDSA-N 0 3 317.454 4.494 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000414326627 1041137167 /nfs/dbraw/zinc/13/71/67/1041137167.db2.gz FDEQZIFOJUGZRK-GOSISDBHSA-N 0 3 315.848 4.323 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CCC[C@]23CCOC3)cc1 ZINC001137955157 1041147696 /nfs/dbraw/zinc/14/76/96/1041147696.db2.gz JYNSOCODZJSIRL-CQSZACIVSA-N 0 3 317.376 4.053 20 0 DIADHN NCc1nn(-c2ccc(-c3ccccc3F)cc2)c2ccccc12 ZINC001167700410 1041172192 /nfs/dbraw/zinc/17/21/92/1041172192.db2.gz GSVFNQFBQUDMLS-UHFFFAOYSA-N 0 3 317.367 4.290 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NCCc1nccs1)CC2 ZINC000134364284 1041179069 /nfs/dbraw/zinc/17/90/69/1041179069.db2.gz IIUWIKIRLWULRC-LBPRGKRZSA-N 0 3 313.253 4.270 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1cc(Br)ccc1F ZINC000310927893 1041184889 /nfs/dbraw/zinc/18/48/89/1041184889.db2.gz HATRBDKQZOPIHE-PSASIEDQSA-N 0 3 322.168 4.427 20 0 DIADHN CC1(C)CC2(CC(N[C@H](c3ccco3)c3ccccc3)C2)CO1 ZINC000924581180 1041193817 /nfs/dbraw/zinc/19/38/17/1041193817.db2.gz PAMGCQYSBJGAQP-IPCDKGFNSA-N 0 3 311.425 4.306 20 0 DIADHN CC(C)C[C@H](NCc1cnc([C@@H](C)O)s1)c1cccs1 ZINC000809608853 1041195368 /nfs/dbraw/zinc/19/53/68/1041195368.db2.gz VSWKENVTPXGWSW-YPMHNXCESA-N 0 3 310.488 4.135 20 0 DIADHN C[C@@H](N[C@H](C)C(C)(C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000393974609 1041197184 /nfs/dbraw/zinc/19/71/84/1041197184.db2.gz SPLYGKGOQQDDQJ-HTQZYQBOSA-N 0 3 310.652 4.457 20 0 DIADHN C[C@H](O)c1ncc(CN2CCC[C@@H]2CCC2CCCC2)s1 ZINC000809596419 1041199220 /nfs/dbraw/zinc/19/92/20/1041199220.db2.gz ZKTQJENBPQFEOY-DZGCQCFKSA-N 0 3 308.491 4.131 20 0 DIADHN CCc1ccc([C@H](NCc2cnc([C@@H](C)O)s2)C(C)C)cc1 ZINC000809601565 1041202352 /nfs/dbraw/zinc/20/23/52/1041202352.db2.gz GGLFJLMOZXEBDC-CXAGYDPISA-N 0 3 318.486 4.246 20 0 DIADHN Cc1cc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)cc(C)c1O ZINC000809664274 1041221961 /nfs/dbraw/zinc/22/19/61/1041221961.db2.gz CKTJMUZDEGVPGB-DZGCQCFKSA-N 0 3 314.256 4.025 20 0 DIADHN CC(C)(C)[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(F)cc1 ZINC000375894962 1041226670 /nfs/dbraw/zinc/22/66/70/1041226670.db2.gz IBZHQRXWOMZILJ-NVXWUHKLSA-N 0 3 301.409 4.303 20 0 DIADHN CCCCCC[C@@H](CC)N[C@@H](CC(=O)OC)c1ccccn1 ZINC001170585700 1041228698 /nfs/dbraw/zinc/22/86/98/1041228698.db2.gz GEZPKHHZFHEZEW-WBVHZDCISA-N 0 3 306.450 4.024 20 0 DIADHN Cc1ccc(CN(C2CC2)C2CC(c3ccccc3C)C2)nn1 ZINC000414398799 1041236009 /nfs/dbraw/zinc/23/60/09/1041236009.db2.gz RTKRPSXAFXSMJT-UHFFFAOYSA-N 0 3 307.441 4.004 20 0 DIADHN N#Cc1ccccc1CN1CCC[C@@H](Cc2ccc(F)cc2)C1 ZINC000039306251 1041236619 /nfs/dbraw/zinc/23/66/19/1041236619.db2.gz WYXQOHLVCTWOQF-KRWDZBQOSA-N 0 3 308.400 4.152 20 0 DIADHN O[C@H](CCNCc1nc(-c2ccccc2)cs1)c1ccccc1 ZINC000809748366 1041244222 /nfs/dbraw/zinc/24/42/22/1041244222.db2.gz PTIRGARIALXPOJ-GOSISDBHSA-N 0 3 324.449 4.023 20 0 DIADHN Cc1cc(Br)cc(CN(C)Cc2ccc(O)cc2)c1 ZINC000809753866 1041246368 /nfs/dbraw/zinc/24/63/68/1041246368.db2.gz UEELZYSFEXJZHL-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN FC(F)SCc1ccc(CN2CCC[C@@H](C3CC3)C2)o1 ZINC000809787272 1041257452 /nfs/dbraw/zinc/25/74/52/1041257452.db2.gz XPMGBLRLRZSGOU-GFCCVEGCSA-N 0 3 301.402 4.357 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc(C3CC3)cc2)c1 ZINC000177608093 1041282126 /nfs/dbraw/zinc/28/21/26/1041282126.db2.gz JSEAAFZJTCUSSD-AWEZNQCLSA-N 0 3 308.425 4.373 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCc1ccccc1C ZINC001170592220 1041288505 /nfs/dbraw/zinc/28/85/05/1041288505.db2.gz AICXFITYLAWKMH-UHFFFAOYSA-N 0 3 303.833 4.332 20 0 DIADHN Fc1ccc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)cc1 ZINC001170594565 1041294990 /nfs/dbraw/zinc/29/49/90/1041294990.db2.gz UTOYUDNVVVYQLF-KRWDZBQOSA-N 0 3 305.780 4.132 20 0 DIADHN CN(C)c1cccc(CN(C2CC2)[C@@H]2CCCc3ccccc32)n1 ZINC001167709507 1041305503 /nfs/dbraw/zinc/30/55/03/1041305503.db2.gz YWWIJJPPFOVBTJ-HXUWFJFHSA-N 0 3 321.468 4.190 20 0 DIADHN O[C@H](CNC1c2ccccc2-c2ccccc21)c1ccsc1 ZINC000305848495 1041317927 /nfs/dbraw/zinc/31/79/27/1041317927.db2.gz UBJMRJMTBNLCPY-GOSISDBHSA-N 0 3 307.418 4.141 20 0 DIADHN COc1ccc(CN(C)CCCOc2ccccc2C)cc1F ZINC000613565616 1041318996 /nfs/dbraw/zinc/31/89/96/1041318996.db2.gz LPOPUYPOCARGHW-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000589705098 1041346986 /nfs/dbraw/zinc/34/69/86/1041346986.db2.gz AKOJLKHENPSTPZ-FVXMCEBTSA-N 0 3 321.420 4.308 20 0 DIADHN CCCCCC[C@@H](CC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001170601856 1041365841 /nfs/dbraw/zinc/36/58/41/1041365841.db2.gz UPHDAHYRRXTXRU-GFCCVEGCSA-N 0 3 317.399 4.469 20 0 DIADHN CC(C)C[C@@H](NCc1cccc2c1OCCO2)c1cccs1 ZINC000236623509 1041377726 /nfs/dbraw/zinc/37/77/26/1041377726.db2.gz ZQAAQGCBDVDJPG-OAHLLOKOSA-N 0 3 317.454 4.396 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000092409405 1041391773 /nfs/dbraw/zinc/39/17/73/1041391773.db2.gz PUPMNUIPOWPPLC-ULFGMLNVSA-N 0 3 317.864 4.123 20 0 DIADHN Cn1ncc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c1C1CC1 ZINC000892757806 1041395490 /nfs/dbraw/zinc/39/54/90/1041395490.db2.gz MDGGDQSLXIODAH-GOSISDBHSA-N 0 3 315.436 4.314 20 0 DIADHN CC(C)(C)[C@H](NCc1cn2ccnc2s1)c1ccc(F)cc1 ZINC000892756518 1041395767 /nfs/dbraw/zinc/39/57/67/1041395767.db2.gz BBHAEHBUZLYGNI-OAHLLOKOSA-N 0 3 317.433 4.412 20 0 DIADHN Cn1ncc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c1C1CC1 ZINC000892757807 1041396060 /nfs/dbraw/zinc/39/60/60/1041396060.db2.gz MDGGDQSLXIODAH-SFHVURJKSA-N 0 3 315.436 4.314 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCCOC1CCCC1)CCS2 ZINC000111634350 1041413646 /nfs/dbraw/zinc/41/36/46/1041413646.db2.gz QAZBTRPEZXVAQM-OAHLLOKOSA-N 0 3 311.878 4.426 20 0 DIADHN Cc1cc(F)ncc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000892903854 1041418199 /nfs/dbraw/zinc/41/81/99/1041418199.db2.gz XYAOBJIEZTZEMV-GOSISDBHSA-N 0 3 302.368 4.299 20 0 DIADHN Cc1cc(F)ncc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000892903855 1041418785 /nfs/dbraw/zinc/41/87/85/1041418785.db2.gz XYAOBJIEZTZEMV-SFHVURJKSA-N 0 3 302.368 4.299 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CC[C@@H](CC(C)C)C1 ZINC000466744237 1041425310 /nfs/dbraw/zinc/42/53/10/1041425310.db2.gz SVHZMAFCWXSGQV-CABCVRRESA-N 0 3 322.880 4.343 20 0 DIADHN Clc1ccc2[nH]cc(CN3CCC(OC4CCC4)CC3)c2c1 ZINC001137708480 1041433275 /nfs/dbraw/zinc/43/32/75/1041433275.db2.gz VOXQOJWFPATKTA-UHFFFAOYSA-N 0 3 318.848 4.355 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2cnc(Cl)c(C)c2)C1 ZINC000769232764 1041434336 /nfs/dbraw/zinc/43/43/36/1041434336.db2.gz KWENVEZOTZJHGR-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2Br)c1cccnc1 ZINC000112542407 1041436552 /nfs/dbraw/zinc/43/65/52/1041436552.db2.gz XAFFQKLJNZWPCM-ZBEGNZNMSA-N 0 3 317.230 4.182 20 0 DIADHN CSCCCCCNCc1ccsc1Br ZINC000388982793 1041437775 /nfs/dbraw/zinc/43/77/75/1041437775.db2.gz PYCSUITWNAYOCU-UHFFFAOYSA-N 0 3 308.310 4.134 20 0 DIADHN CC(C)Cc1ccc(CNC2(c3ccccc3)CCOCC2)cn1 ZINC000892953736 1041438580 /nfs/dbraw/zinc/43/85/80/1041438580.db2.gz HRINTSJTTCRJEZ-UHFFFAOYSA-N 0 3 324.468 4.076 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2cccc3cc[nH]c32)c1 ZINC000236759640 1041441580 /nfs/dbraw/zinc/44/15/80/1041441580.db2.gz CJTKZGNJWVXWEP-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)CN(CCC2CCC2)C1 ZINC000659852021 1041451388 /nfs/dbraw/zinc/45/13/88/1041451388.db2.gz LGGAEMMQUMPOJW-PHIMTYICSA-N 0 3 303.290 4.239 20 0 DIADHN COc1cccc(-c2csc(CNCCCCSC)n2)c1 ZINC000892999671 1041453251 /nfs/dbraw/zinc/45/32/51/1041453251.db2.gz STVIPWZXTQBPRG-UHFFFAOYSA-N 0 3 322.499 4.052 20 0 DIADHN CC(C)=CCCN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000659854219 1041454226 /nfs/dbraw/zinc/45/42/26/1041454226.db2.gz YQZAWVAJDBGRFB-GHMZBOCLSA-N 0 3 303.290 4.405 20 0 DIADHN CCc1nc(C)c(CN(Cc2cccc(OC)c2)CC(C)C)o1 ZINC000644660126 1041458471 /nfs/dbraw/zinc/45/84/71/1041458471.db2.gz PCVIQEYFMQJUSI-UHFFFAOYSA-N 0 3 316.445 4.212 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C(C)(C)O ZINC000394007368 1041474719 /nfs/dbraw/zinc/47/47/19/1041474719.db2.gz ZJSSJDLJJXFZOP-OQPBUACISA-N 0 3 308.224 4.333 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C(C)(C)O ZINC000394007370 1041475431 /nfs/dbraw/zinc/47/54/31/1041475431.db2.gz ZJSSJDLJJXFZOP-SDBXPKJASA-N 0 3 308.224 4.333 20 0 DIADHN FC(F)Oc1ccc(Cl)cc1CN[C@H]1CCC(F)(F)C1 ZINC000312807403 1041480063 /nfs/dbraw/zinc/48/00/63/1041480063.db2.gz LGAPLVGBMDZBHK-JTQLQIEISA-N 0 3 311.706 4.219 20 0 DIADHN Clc1ccccc1-c1nnc(CN2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000177551778 1041482700 /nfs/dbraw/zinc/48/27/00/1041482700.db2.gz JXQDBIHQTJSUDM-CHWSQXEVSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000395124614 1041491419 /nfs/dbraw/zinc/49/14/19/1041491419.db2.gz BHYMXCQVDUKCQO-NSHDSACASA-N 0 3 315.804 4.081 20 0 DIADHN CCC[C@@H](CNCc1cc2ccccc2cc1OC)OCC ZINC001203248936 1041502561 /nfs/dbraw/zinc/50/25/61/1041502561.db2.gz KYCBWULMAYELAH-SFHVURJKSA-N 0 3 301.430 4.143 20 0 DIADHN Fc1ccccc1-c1cnc(CN(C2CC2)C2CCCC2)o1 ZINC000159045601 1041514955 /nfs/dbraw/zinc/51/49/55/1041514955.db2.gz SIEKWUYJKVIBPZ-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)s1 ZINC000811467029 1041521343 /nfs/dbraw/zinc/52/13/43/1041521343.db2.gz CUEOSMHGXQZZFU-TZMCWYRMSA-N 0 3 323.483 4.151 20 0 DIADHN CCN(C#N)CCN[C@H](CCCc1ccccc1)c1ccccc1 ZINC001167728538 1041523064 /nfs/dbraw/zinc/52/30/64/1041523064.db2.gz PJZTUBYTRVWFGH-OAQYLSRUSA-N 0 3 321.468 4.143 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000180322584 1041529656 /nfs/dbraw/zinc/52/96/56/1041529656.db2.gz WASJJEVKXUFYPW-KPZWWZAWSA-N 0 3 301.409 4.439 20 0 DIADHN Clc1nccc(CNCCc2cccc3[nH]ccc32)c1Cl ZINC000811501045 1041531894 /nfs/dbraw/zinc/53/18/94/1041531894.db2.gz QBVXTLSDQZDKNJ-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cc(C2CC2)no1 ZINC000659938579 1041548817 /nfs/dbraw/zinc/54/88/17/1041548817.db2.gz BHYBYUKDSXYZRG-IUODEOHRSA-N 0 3 300.377 4.069 20 0 DIADHN c1c(CN2CC[C@H](CSc3ccccc3)C2)onc1C1CC1 ZINC000659962088 1041562749 /nfs/dbraw/zinc/56/27/49/1041562749.db2.gz WPDCKNQOKPMPCO-AWEZNQCLSA-N 0 3 314.454 4.166 20 0 DIADHN FC(F)(F)[C@H]1CN(CCCC2CCC2)C[C@H]1C(F)(F)F ZINC000659971806 1041565869 /nfs/dbraw/zinc/56/58/69/1041565869.db2.gz ZOFYAEYNSTXZRA-PHIMTYICSA-N 0 3 303.290 4.239 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000246059654 1041568756 /nfs/dbraw/zinc/56/87/56/1041568756.db2.gz ZAQYQBPFESIUMF-VODVUFLWSA-N 0 3 303.837 4.419 20 0 DIADHN CC[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1cccs1 ZINC000182625522 1041570178 /nfs/dbraw/zinc/57/01/78/1041570178.db2.gz SNGIOUFPUIOBIC-KRWDZBQOSA-N 0 3 315.486 4.063 20 0 DIADHN Cc1cccc(CN(C)CC2CC(F)(F)C2)c1Br ZINC001141092584 1041583408 /nfs/dbraw/zinc/58/34/08/1041583408.db2.gz VUOKDMPKTKUSSV-UHFFFAOYSA-N 0 3 318.205 4.235 20 0 DIADHN CC(C)(NC[C@@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccc1F ZINC001170651804 1041587854 /nfs/dbraw/zinc/58/78/54/1041587854.db2.gz YGKSPKJGGKOPEJ-FZMZJTMJSA-N 0 3 319.342 4.150 20 0 DIADHN Cc1nc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)c(C)o1 ZINC000660042363 1041589782 /nfs/dbraw/zinc/58/97/82/1041589782.db2.gz NIGONVPKAUHRAJ-INIZCTEOSA-N 0 3 309.413 4.152 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2)C2CCCC2)sn1 ZINC000660071433 1041598484 /nfs/dbraw/zinc/59/84/84/1041598484.db2.gz SAZXJGQDXGGUGQ-QGZVFWFLSA-N 0 3 302.443 4.173 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)cc(F)c2C1)c1c(F)cccc1F ZINC001170669695 1041602634 /nfs/dbraw/zinc/60/26/34/1041602634.db2.gz MRTUJRIQVKQGNY-GWCFXTLKSA-N 0 3 323.333 4.451 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@H](O)c1ccc(F)cc1Cl ZINC000184969905 1041603116 /nfs/dbraw/zinc/60/31/16/1041603116.db2.gz FIUFAVGBQNCOKH-YGRLFVJLSA-N 0 3 313.825 4.267 20 0 DIADHN CC[C@H](CC(F)F)CN1CCC[C@H]1c1nc2ccccc2n1C ZINC000660096496 1041610586 /nfs/dbraw/zinc/61/05/86/1041610586.db2.gz VQWWXJTVQBQEBP-CJNGLKHVSA-N 0 3 321.415 4.392 20 0 DIADHN FC[C@@H](N[C@@H]1CCc2cc(F)cc(F)c2C1)c1ccccc1 ZINC001170674439 1041612844 /nfs/dbraw/zinc/61/28/44/1041612844.db2.gz PQEIRZDGHICAKA-CRAIPNDOSA-N 0 3 305.343 4.123 20 0 DIADHN C[C@@H](c1cccs1)N(C)C[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000257353101 1041614244 /nfs/dbraw/zinc/61/42/44/1041614244.db2.gz PISOPRIQDUDSIB-UONOGXRCSA-N 0 3 324.490 4.140 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2ccccn2)cc1 ZINC000660106299 1041616563 /nfs/dbraw/zinc/61/65/63/1041616563.db2.gz BFUBPGDZBUOVDM-ASKNOMKYSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCOC2(CCCCC2)C1)c1cc([O-])cc(F)c1 ZINC000925564335 1041619070 /nfs/dbraw/zinc/61/90/70/1041619070.db2.gz YLHARDFJJPFFNZ-XJKSGUPXSA-N 0 3 307.409 4.064 20 0 DIADHN CCC[C@@H](NCCc1cnc(C)s1)c1ncccc1Cl ZINC000925572367 1041627144 /nfs/dbraw/zinc/62/71/44/1041627144.db2.gz IHJWGXOKIBAKTL-CQSZACIVSA-N 0 3 309.866 4.173 20 0 DIADHN Cc1csc([C@H](NCCCN(C)c2ccccc2)C2CC2)n1 ZINC000828193539 1041637726 /nfs/dbraw/zinc/63/77/26/1041637726.db2.gz TXIQCOJNYSSPOD-QGZVFWFLSA-N 0 3 315.486 4.019 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2ccc(O)cc21 ZINC000812717774 1041640680 /nfs/dbraw/zinc/64/06/80/1041640680.db2.gz FJSRBBXEKVKKBJ-LJQANCHMSA-N 0 3 310.441 4.016 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2c(O)cccc21 ZINC000812719839 1041641455 /nfs/dbraw/zinc/64/14/55/1041641455.db2.gz WLMQRVWAYHOVAU-SFHVURJKSA-N 0 3 310.441 4.016 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cc(C(C)(C)C)ccn1 ZINC001170685844 1041642379 /nfs/dbraw/zinc/64/23/79/1041642379.db2.gz HEOAKVPPZKKXPD-AWEZNQCLSA-N 0 3 320.440 4.252 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@H](N1CCC(C(F)(F)F)CC1)C2 ZINC001170688935 1041653860 /nfs/dbraw/zinc/65/38/60/1041653860.db2.gz GQWAXAAZGDUGLF-ZDUSSCGKSA-N 0 3 319.317 4.096 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCSc1cccc(Cl)c1 ZINC000660195682 1041660342 /nfs/dbraw/zinc/66/03/42/1041660342.db2.gz ARXYXQBNQFPXIM-SNVBAGLBSA-N 0 3 310.850 4.388 20 0 DIADHN Fc1ccc(-c2cnc(CN(CC3CC3)C3CCC3)o2)cc1 ZINC000584755592 1041662851 /nfs/dbraw/zinc/66/28/51/1041662851.db2.gz VOSRZTZWWQNDRL-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN CSc1cccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000660203351 1041667909 /nfs/dbraw/zinc/66/79/09/1041667909.db2.gz SCJYVKXXJGTPNV-MRXNPFEDSA-N 0 3 303.475 4.019 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](C)c1ccc(F)c2ccccc21 ZINC000584851263 1041691225 /nfs/dbraw/zinc/69/12/25/1041691225.db2.gz WRRARVXNBGOGOR-KBPBESRZSA-N 0 3 309.388 4.489 20 0 DIADHN COc1ccc2oc([C@@H](C)NCc3cccc(N)c3)c(C)c2c1 ZINC000660266042 1041696138 /nfs/dbraw/zinc/69/61/38/1041696138.db2.gz MQXZCLYLZZZKSX-CYBMUJFWSA-N 0 3 310.397 4.183 20 0 DIADHN Cc1nnc([C@@H](C)NC[C@@H]2CC[C@@H](c3ccccc3)C2)s1 ZINC000660280251 1041702322 /nfs/dbraw/zinc/70/23/22/1041702322.db2.gz NSOREODBLHXWLH-XNRPHZJLSA-N 0 3 301.459 4.081 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3COC(C)(C)C3)oc21 ZINC000417859193 1041705688 /nfs/dbraw/zinc/70/56/88/1041705688.db2.gz QZVSPXBNKKGMPU-JSGCOSHPSA-N 0 3 303.402 4.050 20 0 DIADHN CC1(C)C[C@@H](N2CCC(=Cc3ccc(F)c(F)c3)CC2)CO1 ZINC000660297751 1041708026 /nfs/dbraw/zinc/70/80/26/1041708026.db2.gz PTLRASSCMMMAGA-OAHLLOKOSA-N 0 3 307.384 4.012 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)CCC(=O)c1ccccc1 ZINC001141231585 1041717508 /nfs/dbraw/zinc/71/75/08/1041717508.db2.gz YLZOVDNZZYHVRK-UHFFFAOYSA-N 0 3 301.817 4.353 20 0 DIADHN CC(C)Cc1ccc(CNCc2cccc3cccnc32)cn1 ZINC000893330668 1041719146 /nfs/dbraw/zinc/71/91/46/1041719146.db2.gz BCJLNKVALAFLEZ-UHFFFAOYSA-N 0 3 305.425 4.118 20 0 DIADHN CCc1ccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)s1 ZINC000747863051 1041721752 /nfs/dbraw/zinc/72/17/52/1041721752.db2.gz JUKNAPYKSDXMER-UHFFFAOYSA-N 0 3 313.426 4.089 20 0 DIADHN Cc1cccc(C2(NCc3cnn(C)c3C3CC3)CCCC2)c1 ZINC000893335680 1041727665 /nfs/dbraw/zinc/72/76/65/1041727665.db2.gz AUTFSSVIEULISN-UHFFFAOYSA-N 0 3 309.457 4.165 20 0 DIADHN Cc1cc(CNCc2sc3ccc(Cl)cc3c2C)n(C)n1 ZINC000418161660 1041734385 /nfs/dbraw/zinc/73/43/85/1041734385.db2.gz ZYROPFFOVWZHBT-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)NCc1cc(-c2ccccc2)on1 ZINC000813876893 1041741329 /nfs/dbraw/zinc/74/13/29/1041741329.db2.gz CDXBURRMZVCRJC-AWEZNQCLSA-N 0 3 310.372 4.202 20 0 DIADHN CCOc1ccc(C[C@@H](C)CN[C@H](C)c2nccs2)cc1 ZINC000651489841 1041747583 /nfs/dbraw/zinc/74/75/83/1041747583.db2.gz MGMTUAWWMPISKQ-ZIAGYGMSSA-N 0 3 304.459 4.071 20 0 DIADHN C[C@H]1CCC[C@H](CNCc2csc(-c3ccccn3)n2)C1 ZINC000123622389 1041752890 /nfs/dbraw/zinc/75/28/90/1041752890.db2.gz RJIIIGNGPLBRKH-KBPBESRZSA-N 0 3 301.459 4.121 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(Cl)c(Cl)c1)CC1CC1 ZINC001167755141 1041752986 /nfs/dbraw/zinc/75/29/86/1041752986.db2.gz CLAAHJKINXJALP-UHFFFAOYSA-N 0 3 315.244 4.052 20 0 DIADHN O[C@@H](CN[C@@H]1CCCc2occc21)c1ccc2ccccc2c1 ZINC000192848490 1041755317 /nfs/dbraw/zinc/75/53/17/1041755317.db2.gz FELWMYYOLWGGQC-MOPGFXCFSA-N 0 3 307.393 4.133 20 0 DIADHN Cc1cc(CN2CCN(c3cccc(F)c3)C[C@H]2C)c(C)s1 ZINC001170723204 1041763305 /nfs/dbraw/zinc/76/33/05/1041763305.db2.gz OVSILJMKXGQFMG-CYBMUJFWSA-N 0 3 318.461 4.215 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CN1CC[C@]2(CC2(F)F)C1 ZINC000652063750 1041772052 /nfs/dbraw/zinc/77/20/52/1041772052.db2.gz UOTHFBNHHPTJDT-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc2c(c1)CC(C)(C)O2 ZINC000759847566 1041774136 /nfs/dbraw/zinc/77/41/36/1041774136.db2.gz CKJHNIVUKIJPLI-GOSISDBHSA-N 0 3 323.436 4.186 20 0 DIADHN CSc1cccc(CN[C@H](c2ccccc2)C(C)(C)CO)c1 ZINC000660427962 1041785357 /nfs/dbraw/zinc/78/53/57/1041785357.db2.gz YRUJIHJMCHAMRD-GOSISDBHSA-N 0 3 315.482 4.258 20 0 DIADHN CC/C(C)=C(\C)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000780928702 1041790411 /nfs/dbraw/zinc/79/04/11/1041790411.db2.gz WETROTWNOSBHDS-PIOKWQJESA-N 0 3 314.473 4.076 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000194868536 1041796164 /nfs/dbraw/zinc/79/61/64/1041796164.db2.gz OLSUDEPZABQMHR-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN Fc1ccc(CN2CCC(OCCc3ccccc3)CC2)cc1 ZINC000195214853 1041803613 /nfs/dbraw/zinc/80/36/13/1041803613.db2.gz XOBQRRVGLCAJHC-UHFFFAOYSA-N 0 3 313.416 4.049 20 0 DIADHN O=C(Oc1ccc(-c2ccccc2)cc1)C1CCN(C2CC2)CC1 ZINC000195229235 1041804108 /nfs/dbraw/zinc/80/41/08/1041804108.db2.gz RRUVWELQKISWAL-UHFFFAOYSA-N 0 3 321.420 4.133 20 0 DIADHN Clc1ccc2[nH]cc(CN3CCC4(CCCOC4)CC3)c2c1 ZINC001137716137 1041811957 /nfs/dbraw/zinc/81/19/57/1041811957.db2.gz VCHSIKVKPKUUMN-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN CCC1(CC)[C@H](N(C)Cc2cc(C)nc(Cl)c2)C[C@@H]1OC ZINC001167767644 1041824288 /nfs/dbraw/zinc/82/42/88/1041824288.db2.gz ZISHRWSXSGLQLF-CABCVRRESA-N 0 3 310.869 4.069 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1N(C)Cc1cc(C)nc(Cl)c1 ZINC001167767647 1041824407 /nfs/dbraw/zinc/82/44/07/1041824407.db2.gz ZISHRWSXSGLQLF-LSDHHAIUSA-N 0 3 310.869 4.069 20 0 DIADHN C[C@@H]1CCN(Cc2cccc(SC(=O)N(C)C)c2)CC1(C)C ZINC000759923435 1041840702 /nfs/dbraw/zinc/84/07/02/1041840702.db2.gz QUARLAVKQUFDRV-CQSZACIVSA-N 0 3 320.502 4.328 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N[C@H]3CC4CCC3CC4)o2)c1 ZINC000814652797 1041844482 /nfs/dbraw/zinc/84/44/82/1041844482.db2.gz LTQUTMVWDGTZMU-VXTDNTOSSA-N 0 3 311.429 4.274 20 0 DIADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)o1 ZINC000429785762 1041848638 /nfs/dbraw/zinc/84/86/38/1041848638.db2.gz CLPXBIYIHCAXNF-QWHCGFSZSA-N 0 3 306.356 4.428 20 0 DIADHN O[C@H](CN1CC[C@H](c2cccc(Cl)c2)C1)c1cccc(F)c1 ZINC000814666931 1041850853 /nfs/dbraw/zinc/85/08/53/1041850853.db2.gz LMMZCBCSWWVCQN-MAUKXSAKSA-N 0 3 319.807 4.002 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)NC[C@H]1CCCCN1C ZINC001134556127 1041850891 /nfs/dbraw/zinc/85/08/91/1041850891.db2.gz NMZQHJAXJPKTPJ-IEBWSBKVSA-N 0 3 310.526 4.364 20 0 DIADHN C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CC[C@H]1CCCCO1 ZINC000660704737 1041853980 /nfs/dbraw/zinc/85/39/80/1041853980.db2.gz CFISMQRFLJVMII-TZMCWYRMSA-N 0 3 321.852 4.168 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCC[C@@H]1CCOC1 ZINC000660708485 1041854990 /nfs/dbraw/zinc/85/49/90/1041854990.db2.gz PRKZBODYMGSYLI-CHWSQXEVSA-N 0 3 321.852 4.026 20 0 DIADHN CC1(C)[C@@H]2C[C@H](n3c4ccccc4nc3[C@H]3CCCCN3)C[C@@H]21 ZINC001170761992 1041860809 /nfs/dbraw/zinc/86/08/09/1041860809.db2.gz PZLPZSFLMVIBLT-JYYAWHABSA-N 0 3 309.457 4.458 20 0 DIADHN CCCC[C@H](CC)CN(C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764374 1041861014 /nfs/dbraw/zinc/86/10/14/1041861014.db2.gz OBKYMFMVARUXDC-RDJZCZTQSA-N 0 3 300.450 4.042 20 0 DIADHN CC[C@@H](CN[C@H](Cc1ccccc1)c1ncco1)CC(F)F ZINC000660926147 1041872604 /nfs/dbraw/zinc/87/26/04/1041872604.db2.gz MDCXAZXGIWPZLI-UKRRQHHQSA-N 0 3 308.372 4.229 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001170768854 1041874688 /nfs/dbraw/zinc/87/46/88/1041874688.db2.gz IQDKEQNJFLUYAW-ONIAQPFYSA-N 0 3 303.446 4.036 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2ccco2)c2ccccc2)cc1 ZINC000027197676 1041881966 /nfs/dbraw/zinc/88/19/66/1041881966.db2.gz UYHVWFUMWHIHIR-AZUAARDMSA-N 0 3 307.393 4.001 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(-c2ccccc2)cn1 ZINC000651690610 1041883176 /nfs/dbraw/zinc/88/31/76/1041883176.db2.gz NMQPGEJVDFTTPG-INIZCTEOSA-N 0 3 310.441 4.358 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccc(-c2ccccc2)cn1 ZINC000651690611 1041884241 /nfs/dbraw/zinc/88/42/41/1041884241.db2.gz NMQPGEJVDFTTPG-MRXNPFEDSA-N 0 3 310.441 4.358 20 0 DIADHN COC[C@H](CC(C)(C)C)N[C@H](c1ccccc1)c1ccncc1 ZINC000651704231 1041887999 /nfs/dbraw/zinc/88/79/99/1041887999.db2.gz AMMVKJMEVUKFSP-RBUKOAKNSA-N 0 3 312.457 4.212 20 0 DIADHN Cc1ccc(CNC2(c3ccccc3)CCOCC2)c(Cl)c1 ZINC000651714886 1041890053 /nfs/dbraw/zinc/89/00/53/1041890053.db2.gz QXWJTACFJCFHQO-UHFFFAOYSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](CC(C)C)c1ccc(F)cc1 ZINC000652146230 1041902265 /nfs/dbraw/zinc/90/22/65/1041902265.db2.gz MNWYRIBQIAFIQX-GOSISDBHSA-N 0 3 303.425 4.053 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@@H](O)c1cc2ccccc2o1)C1CC1 ZINC000815073552 1041910809 /nfs/dbraw/zinc/91/08/09/1041910809.db2.gz PTPWTQADGGSBRM-XJKSGUPXSA-N 0 3 311.381 4.285 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2cc3cnccc3o2)CC12CCC2 ZINC000651795675 1041915560 /nfs/dbraw/zinc/91/55/60/1041915560.db2.gz NYBJDHQXCUEKIH-HNNXBMFYSA-N 0 3 324.346 4.382 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc(C2CCC2)cc1 ZINC000815124485 1041916348 /nfs/dbraw/zinc/91/63/48/1041916348.db2.gz AJMAPMFRKPOZJC-IBGZPJMESA-N 0 3 307.441 4.220 20 0 DIADHN Cc1cc(F)ccc1CN(C)C[C@@H](O)c1cc2ccccc2o1 ZINC000815101674 1041919072 /nfs/dbraw/zinc/91/90/72/1041919072.db2.gz GMEDSWHBWBAIOP-QGZVFWFLSA-N 0 3 313.372 4.046 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000815152421 1041921193 /nfs/dbraw/zinc/92/11/93/1041921193.db2.gz SDLOMHZQGMKPOB-WPRPVWTQSA-N 0 3 311.269 4.315 20 0 DIADHN CCCCC(=O)N(CCC)C1CCN(Cc2ccccc2)CC1 ZINC001134883324 1041923544 /nfs/dbraw/zinc/92/35/44/1041923544.db2.gz ZUTYBZAWMILHGK-UHFFFAOYSA-N 0 3 316.489 4.080 20 0 DIADHN CC1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)COC1 ZINC000164362941 1041934477 /nfs/dbraw/zinc/93/44/77/1041934477.db2.gz IRWYSDUCWQAOAO-SECBINFHSA-N 0 3 306.258 4.058 20 0 DIADHN Cc1ccc2ncc(CNC3(c4ccccc4C)CCC3)n2c1 ZINC000651862566 1041936066 /nfs/dbraw/zinc/93/60/66/1041936066.db2.gz XBAUQHUYMQZMNG-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN FC(F)(F)COCCCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661444964 1041937729 /nfs/dbraw/zinc/93/77/29/1041937729.db2.gz CZALJNQCTUQJKM-AWEZNQCLSA-N 0 3 317.351 4.166 20 0 DIADHN c1cnn(CCCCCN2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC000661448039 1041939375 /nfs/dbraw/zinc/93/93/75/1041939375.db2.gz YQNJXYRORYIKAG-SFHVURJKSA-N 0 3 313.445 4.264 20 0 DIADHN C[C@H](NCc1cnc[nH]1)c1ccc(O[C@@H](C)c2ccccc2)cc1 ZINC000661460025 1041940369 /nfs/dbraw/zinc/94/03/69/1041940369.db2.gz XMXNXWUBJZRRJL-HOTGVXAUSA-N 0 3 321.424 4.401 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000661518894 1041947340 /nfs/dbraw/zinc/94/73/40/1041947340.db2.gz IEQLQNUYZOSEPR-SUMWQHHRSA-N 0 3 321.449 4.023 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1ncc(-c2ccc(F)cc2)o1 ZINC000661526845 1041948231 /nfs/dbraw/zinc/94/82/31/1041948231.db2.gz KBLSRBYRFNTURQ-SCLBCKFNSA-N 0 3 322.383 4.299 20 0 DIADHN CC[C@@H](OCCNCc1cc2cnccc2o1)c1ccccc1 ZINC000651908597 1041949212 /nfs/dbraw/zinc/94/92/12/1041949212.db2.gz IYLIVABYYUYRJD-GOSISDBHSA-N 0 3 310.397 4.085 20 0 DIADHN CCC[C@H](N[C@H](CO)CCF)c1ccc(Cl)cc1Cl ZINC000652304756 1041997325 /nfs/dbraw/zinc/99/73/25/1041997325.db2.gz QGXFKWUPARFHNC-FZMZJTMJSA-N 0 3 308.224 4.145 20 0 DIADHN C[C@H](N[C@H](c1ccc(F)cc1)C1CCCC1)c1cnn(C)c1 ZINC000164405688 1041950518 /nfs/dbraw/zinc/95/05/18/1041950518.db2.gz FDPNNHJMBNSRPS-UGSOOPFHSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccccc1Cn1cccn1 ZINC000815556527 1041961447 /nfs/dbraw/zinc/96/14/47/1041961447.db2.gz XRZZAUPPCJRXFL-INIZCTEOSA-N 0 3 323.415 4.230 20 0 DIADHN CC(C)CCCCCCN[C@@H](c1nnc[nH]1)c1ccc(F)cc1 ZINC000815552963 1041961719 /nfs/dbraw/zinc/96/17/19/1041961719.db2.gz OHHNXYSXJAPATJ-QGZVFWFLSA-N 0 3 318.440 4.229 20 0 DIADHN C[C@@H]1CN(Cc2sc3ccccc3c2Cl)C[C@H](C)[C@H]1O ZINC000661626832 1041962739 /nfs/dbraw/zinc/96/27/39/1041962739.db2.gz WYOGKDKLVWFTRQ-CDMJZVDBSA-N 0 3 309.862 4.003 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(F)cc2C)cc1OC ZINC000815558867 1041963077 /nfs/dbraw/zinc/96/30/77/1041963077.db2.gz QLZRAXTWTVKCBT-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](CCCO)c1ccccc1 ZINC000815582665 1041967384 /nfs/dbraw/zinc/96/73/84/1041967384.db2.gz MHXJYBLSBBINRY-KXBFYZLASA-N 0 3 301.405 4.299 20 0 DIADHN C[C@H](N[C@H]1CCc2sc(Br)cc21)c1ccc[nH]1 ZINC000815599233 1041970275 /nfs/dbraw/zinc/97/02/75/1041970275.db2.gz OBSPBRUKMJHDED-KWQFWETISA-N 0 3 311.248 4.177 20 0 DIADHN CC(C)C[C@H](NCc1cnn2ccccc12)c1ccc(F)cc1 ZINC000652198338 1041976608 /nfs/dbraw/zinc/97/66/08/1041976608.db2.gz COPCNOPXCYWWPK-SFHVURJKSA-N 0 3 311.404 4.350 20 0 DIADHN COc1ccc([C@H](NCCCC(C)(F)F)c2ccccn2)cc1 ZINC000652202888 1041976659 /nfs/dbraw/zinc/97/66/59/1041976659.db2.gz XFSZUUDQCDCUTG-KRWDZBQOSA-N 0 3 320.383 4.205 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C2CC2)C2CCCCC2)c1 ZINC000048008842 1041977463 /nfs/dbraw/zinc/97/74/63/1041977463.db2.gz QLMOWKJMJCRLHT-UHFFFAOYSA-N 0 3 300.446 4.039 20 0 DIADHN Fc1cc(CN2CCC([C@H]3CCOC3)CC2)ccc1C(F)F ZINC000893521743 1042001017 /nfs/dbraw/zinc/00/10/17/1042001017.db2.gz RMHUVNVJZWAZKR-AWEZNQCLSA-N 0 3 313.363 4.012 20 0 DIADHN COc1ccc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)cc1Cl ZINC000661878195 1042015130 /nfs/dbraw/zinc/01/51/30/1042015130.db2.gz MHAYWCBLUHEWGN-NVXWUHKLSA-N 0 3 323.864 4.130 20 0 DIADHN CC(C)(NCc1ccc(Cl)c([N+](=O)[O-])c1)c1ccccc1 ZINC000313974545 1042017792 /nfs/dbraw/zinc/01/77/92/1042017792.db2.gz FEJIIYIEKNYPED-UHFFFAOYSA-N 0 3 304.777 4.273 20 0 DIADHN O=C1CCCC12CCN(CCSc1cccc(Cl)c1)CC2 ZINC000661959187 1042029502 /nfs/dbraw/zinc/02/95/02/1042029502.db2.gz BPGHCYVHTHOGLR-UHFFFAOYSA-N 0 3 323.889 4.267 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC(F)CC1)c1nnc(-c2cccs2)o1 ZINC000662001903 1042039266 /nfs/dbraw/zinc/03/92/66/1042039266.db2.gz AYVQAMXJWGTTNB-OKZRHMCRSA-N 0 3 323.437 4.366 20 0 DIADHN C[C@H](NCc1cc(-c2ccccc2)on1)C1CCC(F)CC1 ZINC000662003986 1042040029 /nfs/dbraw/zinc/04/00/29/1042040029.db2.gz WCTSJTIHZHTNGU-HLIUYOAVSA-N 0 3 302.393 4.348 20 0 DIADHN Clc1cccc(CC2(NCc3cnc4ccccc4n3)CC2)c1 ZINC000628300952 1042042163 /nfs/dbraw/zinc/04/21/63/1042042163.db2.gz CQZCKPRBWBEJRP-UHFFFAOYSA-N 0 3 323.827 4.148 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CCC[C@@H](C2CCC2)C1 ZINC000662040612 1042046627 /nfs/dbraw/zinc/04/66/27/1042046627.db2.gz VELDIPKMFYCYJS-WMLDXEAASA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@H](C2CCC2)C1 ZINC000662040650 1042046909 /nfs/dbraw/zinc/04/69/09/1042046909.db2.gz VJBNNAILISWVAL-WMZOPIPTSA-N 0 3 314.473 4.143 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@H](C4CCC4)C3)nn2)cc1 ZINC000662041831 1042047499 /nfs/dbraw/zinc/04/74/99/1042047499.db2.gz CPQOLSRDTGWZGA-KRWDZBQOSA-N 0 3 323.440 4.281 20 0 DIADHN CCCCC[C@H](C(=O)NC[C@H](c1ccccc1)N(C)C)C(C)C ZINC001135563835 1042051639 /nfs/dbraw/zinc/05/16/39/1042051639.db2.gz MMTOQOCESWXVFA-RBUKOAKNSA-N 0 3 318.505 4.258 20 0 DIADHN COc1ccc(-c2cc(CNC3(CC(C)C)CC3)on2)cc1 ZINC000662067351 1042053309 /nfs/dbraw/zinc/05/33/09/1042053309.db2.gz XCTFDSWRUNNOMF-UHFFFAOYSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1sc2[nH]c([C@H](C)NC3(CC(C)C)CC3)nc(=O)c2c1C ZINC000662071289 1042056503 /nfs/dbraw/zinc/05/65/03/1042056503.db2.gz ZUHHSXXCRLFXCP-NSHDSACASA-N 0 3 319.474 4.243 20 0 DIADHN CCN(Cc1ccno1)[C@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC000662154838 1042073832 /nfs/dbraw/zinc/07/38/32/1042073832.db2.gz CXDZXCCCFUEZCP-GFCCVEGCSA-N 0 3 312.335 4.147 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1C[C@H](C)[C@H]1C ZINC000816647666 1042076023 /nfs/dbraw/zinc/07/60/23/1042076023.db2.gz WOFPBNSRTYUXEV-GXTWGEPZSA-N 0 3 317.864 4.042 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000662158779 1042076273 /nfs/dbraw/zinc/07/62/73/1042076273.db2.gz XJZDKFRIKMWEBV-SMDDNHRTSA-N 0 3 303.406 4.099 20 0 DIADHN CCCCN(Cc1ncc(CN(C)C)s1)[C@@H]1CCC[C@H](C)C1 ZINC000816658433 1042076187 /nfs/dbraw/zinc/07/61/87/1042076187.db2.gz MPOGHJRFFURYOL-JKSUJKDBSA-N 0 3 323.550 4.386 20 0 DIADHN CCCCN(Cc1ncc(CN(C)C)s1)[C@@H]1CCC[C@@H](C)C1 ZINC000816658432 1042076639 /nfs/dbraw/zinc/07/66/39/1042076639.db2.gz MPOGHJRFFURYOL-HZPDHXFCSA-N 0 3 323.550 4.386 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(CN3CCCCC3)c2)cc1 ZINC001135678310 1042079470 /nfs/dbraw/zinc/07/94/70/1042079470.db2.gz CENBFVHWAIKALQ-UHFFFAOYSA-N 0 3 308.425 4.233 20 0 DIADHN CC[C@H](C)CCCCC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001135742481 1042087823 /nfs/dbraw/zinc/08/78/23/1042087823.db2.gz NWOYRGGCGBGRSJ-WMZOPIPTSA-N 0 3 304.478 4.012 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC000817282313 1042099832 /nfs/dbraw/zinc/09/98/32/1042099832.db2.gz ZAMJXGHGCGEVEL-HOCLYGCPSA-N 0 3 315.379 4.001 20 0 DIADHN O[C@@H](CN1CCC[C@@H](CC(F)(F)F)C1)c1ccc(Cl)cc1 ZINC000817281715 1042100072 /nfs/dbraw/zinc/10/00/72/1042100072.db2.gz OGNNUMIYWPJWDB-FZMZJTMJSA-N 0 3 321.770 4.038 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2cncc3ccccc32)C1 ZINC000817282244 1042100294 /nfs/dbraw/zinc/10/02/94/1042100294.db2.gz XFPKDMSWUBJYRV-CYBMUJFWSA-N 0 3 308.347 4.399 20 0 DIADHN FC(F)(F)c1ccncc1CN[C@H]1CCCc2ccccc21 ZINC000663312669 1042109856 /nfs/dbraw/zinc/10/98/56/1042109856.db2.gz ZISGXQBKJRCMSI-INIZCTEOSA-N 0 3 306.331 4.268 20 0 DIADHN Cc1c([C@H](C)NCc2ccc(C)cc2)cnn1-c1ccc(F)cc1 ZINC000062420858 1042111053 /nfs/dbraw/zinc/11/10/53/1042111053.db2.gz WTDMMDATHMJKES-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H](C)C(C)(C)c2ccccc2)cs1 ZINC000165213223 1042111932 /nfs/dbraw/zinc/11/19/32/1042111932.db2.gz DIHUFXQUNZTZPA-KBPBESRZSA-N 0 3 318.486 4.306 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)C(C)(C)c2ccccc2)cs1 ZINC000165213345 1042111958 /nfs/dbraw/zinc/11/19/58/1042111958.db2.gz DIHUFXQUNZTZPA-KGLIPLIRSA-N 0 3 318.486 4.306 20 0 DIADHN Cc1ccc(OC[C@H](C)N[C@H](C)c2ccncc2Cl)cc1 ZINC000817574753 1042115369 /nfs/dbraw/zinc/11/53/69/1042115369.db2.gz QZGVVNUFTZVECN-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc(OC[C@@H](C)N[C@@H](C)c2ccncc2Cl)cc1 ZINC000817574752 1042115446 /nfs/dbraw/zinc/11/54/46/1042115446.db2.gz QZGVVNUFTZVECN-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@H](C)C[C@@H](O)c1ccco1 ZINC000817578232 1042116158 /nfs/dbraw/zinc/11/61/58/1042116158.db2.gz IHYDWPZHUNBMOB-TYNCELHUSA-N 0 3 323.820 4.104 20 0 DIADHN Brc1csc([C@@H](NCCC2CCC2)C2CC2)n1 ZINC000817579682 1042116310 /nfs/dbraw/zinc/11/63/10/1042116310.db2.gz DMYPPAZWVAHQTG-LBPRGKRZSA-N 0 3 315.280 4.137 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccncc1Cl ZINC000817577522 1042116461 /nfs/dbraw/zinc/11/64/61/1042116461.db2.gz ALBCNKDMGUSNEC-PEBVRCNWSA-N 0 3 322.811 4.037 20 0 DIADHN CC1(CCN[C@@H](c2nc(Br)cs2)C2CC2)CC1 ZINC000817583978 1042117459 /nfs/dbraw/zinc/11/74/59/1042117459.db2.gz PLMPJTNLIHGFGF-LLVKDONJSA-N 0 3 315.280 4.137 20 0 DIADHN CC1(CCN[C@H](c2nc(Br)cs2)C2CC2)CC1 ZINC000817583979 1042117484 /nfs/dbraw/zinc/11/74/84/1042117484.db2.gz PLMPJTNLIHGFGF-NSHDSACASA-N 0 3 315.280 4.137 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc(Cl)c(N)c(Cl)c2)cc(C)n1 ZINC000817589005 1042119471 /nfs/dbraw/zinc/11/94/71/1042119471.db2.gz KUQZGUKYJPMWQU-NSHDSACASA-N 0 3 324.255 4.438 20 0 DIADHN O=C(Nc1ccc2oc3ccccc3c2c1)C1(NC2CC2)CCC1 ZINC000662434792 1042124586 /nfs/dbraw/zinc/12/45/86/1042124586.db2.gz ZWRQFOOPCHQTKG-UHFFFAOYSA-N 0 3 320.392 4.199 20 0 DIADHN COCc1csc(CN[C@]2(c3ccccc3)CC2(C)C)c1 ZINC000663341660 1042125427 /nfs/dbraw/zinc/12/54/27/1042125427.db2.gz PQHCLKKPMCJSBH-SFHVURJKSA-N 0 3 301.455 4.310 20 0 DIADHN CCn1nccc1CNC/C(C)=C/c1cccc(C(F)(F)F)c1 ZINC000663349251 1042129334 /nfs/dbraw/zinc/12/93/34/1042129334.db2.gz ULXNFKHOVQHHAC-UKTHLTGXSA-N 0 3 323.362 4.115 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000817974897 1042134672 /nfs/dbraw/zinc/13/46/72/1042134672.db2.gz HEORYMQKTAHHRX-RBUKOAKNSA-N 0 3 322.452 4.457 20 0 DIADHN Nc1ccnc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)c1 ZINC000925768086 1042137972 /nfs/dbraw/zinc/13/79/72/1042137972.db2.gz SGBOMUPJZUEFIJ-GOSISDBHSA-N 0 3 315.848 4.338 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000278869069 1042138754 /nfs/dbraw/zinc/13/87/54/1042138754.db2.gz YKLDAFJVHMEZQP-JTQLQIEISA-N 0 3 312.310 4.399 20 0 DIADHN CCCN(CCC)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000066216308 1042139102 /nfs/dbraw/zinc/13/91/02/1042139102.db2.gz IYQGDWJLFDESBD-UHFFFAOYSA-N 0 3 303.233 4.054 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000067449736 1042141390 /nfs/dbraw/zinc/14/13/90/1042141390.db2.gz CZBFIEDJFSZOHS-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN CC(C)(C)[C@@H]1CN(C(=O)c2cccc3c2CNC3)c2ccccc21 ZINC000662544455 1042141410 /nfs/dbraw/zinc/14/14/10/1042141410.db2.gz AKECHYKNUAUZNN-GOSISDBHSA-N 0 3 320.436 4.080 20 0 DIADHN Cc1ccc2ncc(CN3CCC(c4ccccn4)CC3)cc2c1 ZINC000663383454 1042142964 /nfs/dbraw/zinc/14/29/64/1042142964.db2.gz RNNODNMUKOISRS-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN Clc1cccc2c1C[C@@H](NCc1cccnc1Cl)CC2 ZINC001170897841 1042147251 /nfs/dbraw/zinc/14/72/51/1042147251.db2.gz SSRHHUUURKTFKC-ZDUSSCGKSA-N 0 3 307.224 4.036 20 0 DIADHN CCCCc1ccc([C@H](NCCOC)c2cccs2)cc1 ZINC000818742334 1042159355 /nfs/dbraw/zinc/15/93/55/1042159355.db2.gz IACYVQPSSONTEO-SFHVURJKSA-N 0 3 303.471 4.416 20 0 DIADHN CCn1nccc1CN[C@@H](C)c1cccc(-c2ccccc2)c1 ZINC000663467380 1042178079 /nfs/dbraw/zinc/17/80/79/1042178079.db2.gz HXCKTKZNPNZMDA-INIZCTEOSA-N 0 3 305.425 4.421 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cncc(Cl)c2)C1(CC)CC ZINC001167821014 1042185975 /nfs/dbraw/zinc/18/59/75/1042185975.db2.gz ZPVBEUQPNINQLX-CVEARBPZSA-N 0 3 310.869 4.151 20 0 DIADHN CC[C@@H](NCc1cnccc1C(F)(F)F)c1ccc(OC)cc1 ZINC000663473615 1042185888 /nfs/dbraw/zinc/18/58/88/1042185888.db2.gz IOVDXZKLBNFGKL-MRXNPFEDSA-N 0 3 324.346 4.350 20 0 DIADHN CCC(CC)(CN[C@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1 ZINC000663477425 1042187282 /nfs/dbraw/zinc/18/72/82/1042187282.db2.gz DZWXFDXWGYIHNE-YOEHRIQHSA-N 0 3 315.436 4.376 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnccc1C(F)(F)F)CC2 ZINC000663475618 1042188444 /nfs/dbraw/zinc/18/84/44/1042188444.db2.gz ZDSPWBJUIWWBRE-MRXNPFEDSA-N 0 3 306.331 4.186 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@H]1CCC[C@H](C)C1 ZINC000026467527 1042188692 /nfs/dbraw/zinc/18/86/92/1042188692.db2.gz QDUOEZQGYPPFPL-HOTGVXAUSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000165914926 1042188841 /nfs/dbraw/zinc/18/88/41/1042188841.db2.gz PABRPKRGYKHQHH-VTJXTGGHSA-N 0 3 301.455 4.144 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCC[C@H](C)C2)c(Cl)c1 ZINC000026470229 1042196064 /nfs/dbraw/zinc/19/60/64/1042196064.db2.gz UYUMZRKNZJDWKJ-WFASDCNBSA-N 0 3 322.880 4.406 20 0 DIADHN Cc1cccc(CN2CCC[C@H]2c2cccc3c2OCCO3)c1 ZINC000303228577 1042199346 /nfs/dbraw/zinc/19/93/46/1042199346.db2.gz XJRYZRURWKQHJL-SFHVURJKSA-N 0 3 309.409 4.103 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2ccc(Cl)cc2)s1 ZINC000303328756 1042201529 /nfs/dbraw/zinc/20/15/29/1042201529.db2.gz SZYSCBHMZXOVGZ-GFCCVEGCSA-N 0 3 324.877 4.485 20 0 DIADHN CCCCc1ccc(C(=O)NCc2ccccc2CN(C)C)cc1 ZINC000007941726 1042203260 /nfs/dbraw/zinc/20/32/60/1042203260.db2.gz VMJOOUNNKXGDIM-UHFFFAOYSA-N 0 3 324.468 4.021 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCCc3ccc(C)cc32)c1 ZINC000166157400 1042203272 /nfs/dbraw/zinc/20/32/72/1042203272.db2.gz WFMKGZPHMLGTCR-FQEVSTJZSA-N 0 3 308.425 4.121 20 0 DIADHN COc1cccc2c1CN([C@H]1CCc3cccc(Cl)c3C1)C2 ZINC001170943583 1042205107 /nfs/dbraw/zinc/20/51/07/1042205107.db2.gz LXYPXZJONIYXGB-HNNXBMFYSA-N 0 3 313.828 4.222 20 0 DIADHN C[C@H]1CCC[C@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000026475541 1042205757 /nfs/dbraw/zinc/20/57/57/1042205757.db2.gz ZWEIXTHMUKAXFS-HOTGVXAUSA-N 0 3 316.489 4.433 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1nc3ccccc3s1)CC2 ZINC001170947758 1042217742 /nfs/dbraw/zinc/21/77/42/1042217742.db2.gz AZKVTAHRVNTADU-CYBMUJFWSA-N 0 3 312.413 4.083 20 0 DIADHN Fc1cccc(/C=C\CN[C@H](c2ccccc2)[C@H]2CCCO2)c1 ZINC001648933084 1042220766 /nfs/dbraw/zinc/22/07/66/1042220766.db2.gz GVYMSTXRMZYECF-SRNHVLPCSA-N 0 3 311.400 4.349 20 0 DIADHN CCCCOc1ccccc1CNCCn1cnc2ccccc21 ZINC001648935572 1042221631 /nfs/dbraw/zinc/22/16/31/1042221631.db2.gz UMEHQSCSKKCXPP-UHFFFAOYSA-N 0 3 323.440 4.005 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](Cc1ccccc1C)c1ccco1 ZINC000820810567 1042229550 /nfs/dbraw/zinc/22/95/50/1042229550.db2.gz ZJPFWCISYCNGRV-IBGZPJMESA-N 0 3 324.424 4.309 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCCOc1cc(C)ccc1C ZINC000663598068 1042246467 /nfs/dbraw/zinc/24/64/67/1042246467.db2.gz PBCLUSKINFKJJL-CQSZACIVSA-N 0 3 302.418 4.028 20 0 DIADHN Cc1ccc(-c2ccccc2)c(NCCN2CCSCC2)c1 ZINC001167836689 1042248330 /nfs/dbraw/zinc/24/83/30/1042248330.db2.gz CNPOMWCVDLIULV-UHFFFAOYSA-N 0 3 312.482 4.123 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)Cc1ccc2nonc2c1 ZINC000821354541 1042248381 /nfs/dbraw/zinc/24/83/81/1042248381.db2.gz HKVVHIQPWGIGJV-LLVKDONJSA-N 0 3 301.777 4.069 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)CC2(C)CCCCC2)N(C)C)cc1 ZINC001136449122 1042250540 /nfs/dbraw/zinc/25/05/40/1042250540.db2.gz WXZIDTGIJZTNAY-GOSISDBHSA-N 0 3 316.489 4.074 20 0 DIADHN CC1(C)OC[C@H](CN2CC3(CCCCC3)[C@H]2c2ccccc2)O1 ZINC001170966630 1042252717 /nfs/dbraw/zinc/25/27/17/1042252717.db2.gz CDDQFVIHGACSNC-ZWKOTPCHSA-N 0 3 315.457 4.145 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN[C@@H]1CCCc2sccc21 ZINC000893639020 1042272316 /nfs/dbraw/zinc/27/23/16/1042272316.db2.gz AJMKYAIATBZSHI-MRXNPFEDSA-N 0 3 303.475 4.310 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1ccc(C(F)(F)F)o1)CC2 ZINC001170975541 1042273918 /nfs/dbraw/zinc/27/39/18/1042273918.db2.gz HZNNOPFSDDHEMQ-LLVKDONJSA-N 0 3 313.294 4.085 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)F)c(F)c2)s1 ZINC000168070851 1042274431 /nfs/dbraw/zinc/27/44/31/1042274431.db2.gz XKLXJIOJPHJBKQ-UHFFFAOYSA-N 0 3 301.333 4.087 20 0 DIADHN Cc1cnc([C@H](C)CNCc2ncc(C(C)(C)C)s2)s1 ZINC000279137583 1042276214 /nfs/dbraw/zinc/27/62/14/1042276214.db2.gz NMMQYHQOQPPMRK-SNVBAGLBSA-N 0 3 309.504 4.099 20 0 DIADHN CC[C@@H]1CCC[C@@H]1[NH2+][C@@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000279172745 1042279204 /nfs/dbraw/zinc/27/92/04/1042279204.db2.gz CAJNTSKFIITEEZ-UHTWSYAYSA-N 0 3 319.474 4.243 20 0 DIADHN CCCOc1ccc(CNCc2ccc(OC)c(Cl)c2)cc1 ZINC000236828954 1042282710 /nfs/dbraw/zinc/28/27/10/1042282710.db2.gz WVEUTSMEEWOHGV-UHFFFAOYSA-N 0 3 319.832 4.427 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2sccc2C)ccc1OC ZINC000168812438 1042283687 /nfs/dbraw/zinc/28/36/87/1042283687.db2.gz VHCCQZUJOGJDIC-ZDUSSCGKSA-N 0 3 305.443 4.315 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(O)c1)c1ccc(OC)c(OC)c1 ZINC000319844965 1042288289 /nfs/dbraw/zinc/28/82/89/1042288289.db2.gz MPJDGFZWAMIDGI-GUYCJALGSA-N 0 3 315.413 4.211 20 0 DIADHN COc1c(F)cccc1CN(C)CCc1ccc(Cl)cc1 ZINC001143529978 1042288304 /nfs/dbraw/zinc/28/83/04/1042288304.db2.gz JNIISZOEDKXQAI-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN CCC[C@@H](CC)N[C@@H](C)c1ncc(Br)cc1F ZINC000187320236 1042311347 /nfs/dbraw/zinc/31/13/47/1042311347.db2.gz QWZRZENCHVIIHZ-GXSJLCMTSA-N 0 3 303.219 4.213 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(C(C)(C)C)cc1)C(=O)N1CCCCC1 ZINC000319871564 1042291484 /nfs/dbraw/zinc/29/14/84/1042291484.db2.gz VYCRBQOCKZYMFE-JKSUJKDBSA-N 0 3 316.489 4.036 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000658359713 1042292092 /nfs/dbraw/zinc/29/20/92/1042292092.db2.gz HKQXHPMYSVPKME-BKTGTZMESA-N 0 3 315.844 4.429 20 0 DIADHN Oc1ccc(C2=CCN(CC[C@H]3CC3(Cl)Cl)CC2)cc1 ZINC000822570542 1042292319 /nfs/dbraw/zinc/29/23/19/1042292319.db2.gz MBBTXAXSTMDKAE-AWEZNQCLSA-N 0 3 312.240 4.065 20 0 DIADHN c1sc(SCCN2CCCCC2)nc1-c1ccccc1 ZINC000822617804 1042294018 /nfs/dbraw/zinc/29/40/18/1042294018.db2.gz YOOKPYBKEAIPJB-UHFFFAOYSA-N 0 3 304.484 4.388 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCC[C@H]1CC1(Cl)Cl ZINC000822822609 1042301749 /nfs/dbraw/zinc/30/17/49/1042301749.db2.gz RPOXCHMLVXURNI-ZJUUUORDSA-N 0 3 306.208 4.069 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)C1CC1)CCO2 ZINC000320118508 1042307559 /nfs/dbraw/zinc/30/75/59/1042307559.db2.gz XRUUMGDZWKHWPP-UYAOXDASSA-N 0 3 309.409 4.260 20 0 DIADHN Fc1cccc2c1C[C@@H](N1Cc3ccc(Cl)cc3C1)CC2 ZINC001171005317 1042312547 /nfs/dbraw/zinc/31/25/47/1042312547.db2.gz NJUYUJXYTJSJQV-INIZCTEOSA-N 0 3 301.792 4.352 20 0 DIADHN N=CNc1ccc(OCc2ccccc2)cc1OC(F)(F)F ZINC001167871613 1042314633 /nfs/dbraw/zinc/31/46/33/1042314633.db2.gz RRHSWYBJWCWSJH-UHFFFAOYSA-N 0 3 310.275 4.183 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCc3c(Cl)cccc3C2)n1 ZINC001171017361 1042324734 /nfs/dbraw/zinc/32/47/34/1042324734.db2.gz HSXRONZOIJIANV-AAEUAGOBSA-N 0 3 306.862 4.313 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3cnccc3c2)cc1F ZINC000324496221 1042330749 /nfs/dbraw/zinc/33/07/49/1042330749.db2.gz JYNALQPYBLOLHS-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1ccc2ccccc2c1 ZINC000823585085 1042332808 /nfs/dbraw/zinc/33/28/08/1042332808.db2.gz UKDIBNPUOCTVGQ-AWEZNQCLSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H](NCc1ccc(O)c(F)c1)c1cccc(C(F)(F)F)c1 ZINC000319117207 1042333427 /nfs/dbraw/zinc/33/34/27/1042333427.db2.gz ZGPIJGCPZQEQKF-JTQLQIEISA-N 0 3 313.294 4.401 20 0 DIADHN C[C@H]([NH2+]Cc1ccc([O-])c(F)c1)c1cccc(C(F)(F)F)c1 ZINC000319117207 1042333435 /nfs/dbraw/zinc/33/34/35/1042333435.db2.gz ZGPIJGCPZQEQKF-JTQLQIEISA-N 0 3 313.294 4.401 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1ccc2ccccc2c1 ZINC000823585087 1042333588 /nfs/dbraw/zinc/33/35/88/1042333588.db2.gz UKDIBNPUOCTVGQ-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1ccc2c(c1)CCCC2 ZINC000823596713 1042335058 /nfs/dbraw/zinc/33/50/58/1042335058.db2.gz SUICSSXKOLWYTL-CQSZACIVSA-N 0 3 309.457 4.027 20 0 DIADHN Cc1cc(N[C@H](C)c2ccc(SC(C)C)cc2)nc(N)n1 ZINC000728908858 1042337426 /nfs/dbraw/zinc/33/74/26/1042337426.db2.gz FSFVSCYUXRPAGY-GFCCVEGCSA-N 0 3 302.447 4.041 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cccnc1 ZINC000112537878 1042341307 /nfs/dbraw/zinc/34/13/07/1042341307.db2.gz FQPFIDFJKDFBTI-PXAZEXFGSA-N 0 3 318.367 4.411 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)c1ccc(C(C)(C)O)cc1 ZINC000925847955 1042348783 /nfs/dbraw/zinc/34/87/83/1042348783.db2.gz WWWKAKVHACJMIN-CQSZACIVSA-N 0 3 313.416 4.393 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCc3ccc(O)cc3)oc21 ZINC000236991836 1042373829 /nfs/dbraw/zinc/37/38/29/1042373829.db2.gz GFOYOSGGAXMGNF-ZDUSSCGKSA-N 0 3 311.381 4.388 20 0 DIADHN CC1(C)C[C@H](CNCc2c(Cl)ccnc2Cl)C(C)(C)O1 ZINC001207658915 1042376969 /nfs/dbraw/zinc/37/69/69/1042376969.db2.gz ZJCHJEDDNSKXTD-SNVBAGLBSA-N 0 3 317.260 4.072 20 0 DIADHN O=C(CCNCc1cc(Cl)cc(Cl)c1)Nc1ccccc1 ZINC000237700865 1042378964 /nfs/dbraw/zinc/37/89/64/1042378964.db2.gz SAIMURSMAJRZQK-UHFFFAOYSA-N 0 3 323.223 4.112 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC[C@H]1CCSC1 ZINC001203383895 1042379083 /nfs/dbraw/zinc/37/90/83/1042379083.db2.gz DXNSWAYIULADNO-JTQLQIEISA-N 0 3 321.820 4.174 20 0 DIADHN FC(F)(F)SCCNCc1cnc(C2CCCC2)s1 ZINC000178618279 1042380726 /nfs/dbraw/zinc/38/07/26/1042380726.db2.gz CXCHNPHNIBFPBV-UHFFFAOYSA-N 0 3 310.410 4.143 20 0 DIADHN CCCN(Cc1cc(OC)ccc1Br)CC1CC1 ZINC000087798071 1042380819 /nfs/dbraw/zinc/38/08/19/1042380819.db2.gz BSHOBMVKKWGZSB-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN C[C@@]1(CNCc2c(Cl)ccc(Cl)c2Cl)CCOC1 ZINC000397344648 1042381120 /nfs/dbraw/zinc/38/11/20/1042381120.db2.gz DVTHCCAEFVSNTK-ZDUSSCGKSA-N 0 3 308.636 4.163 20 0 DIADHN C[C@H]1CSCCN(Cc2cc(Cl)nc3ccccc23)C1 ZINC000753834776 1042382367 /nfs/dbraw/zinc/38/23/67/1042382367.db2.gz UQGNSUARKLUHBB-GFCCVEGCSA-N 0 3 306.862 4.073 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](CC)c1ccncc1)CCC2 ZINC000163713781 1042384767 /nfs/dbraw/zinc/38/47/67/1042384767.db2.gz QDCXKEGTXNBBIE-ZIAGYGMSSA-N 0 3 301.459 4.219 20 0 DIADHN CCCCCN(Cc1nnc(-c2ccc(C)cc2)o1)C(C)C ZINC000051709157 1042385229 /nfs/dbraw/zinc/38/52/29/1042385229.db2.gz FCBRMMQGPVJRSG-UHFFFAOYSA-N 0 3 301.434 4.446 20 0 DIADHN CCCCOCCN[C@@H](C)c1oc2ccc(OC)cc2c1C ZINC000531707883 1042392824 /nfs/dbraw/zinc/39/28/24/1042392824.db2.gz KYVRFJIVPOGAAX-AWEZNQCLSA-N 0 3 305.418 4.217 20 0 DIADHN CSCc1cccc(CNCc2c(C)nn(C(C)C)c2C)c1 ZINC000893889075 1042395848 /nfs/dbraw/zinc/39/58/48/1042395848.db2.gz UGHRRICPZXNXBM-UHFFFAOYSA-N 0 3 317.502 4.234 20 0 DIADHN Cc1noc2ncc(CN([C@@H](C)c3ccccc3)C3CC3)cc12 ZINC000893927580 1042396577 /nfs/dbraw/zinc/39/65/77/1042396577.db2.gz DFVMTRYGXHAHEQ-AWEZNQCLSA-N 0 3 307.397 4.257 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1cnc(F)cc1C ZINC000893928369 1042396747 /nfs/dbraw/zinc/39/67/47/1042396747.db2.gz JXLUIEBUNIYTHY-UHFFFAOYSA-N 0 3 312.310 4.146 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@@H]3c3ccccn3)cc21 ZINC000893946480 1042397345 /nfs/dbraw/zinc/39/73/45/1042397345.db2.gz ASSKSNDJZVFCKN-MRXNPFEDSA-N 0 3 310.422 4.339 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@H]3c3ccccn3)cc21 ZINC000893946479 1042397892 /nfs/dbraw/zinc/39/78/92/1042397892.db2.gz ASSKSNDJZVFCKN-INIZCTEOSA-N 0 3 310.422 4.339 20 0 DIADHN C[C@@H](CNCc1c(Cl)cccc1OC(F)F)CC(F)F ZINC000647225955 1042400709 /nfs/dbraw/zinc/40/07/09/1042400709.db2.gz JUKABSASGYOSDN-MRVPVSSYSA-N 0 3 313.722 4.322 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000925930058 1042401724 /nfs/dbraw/zinc/40/17/24/1042401724.db2.gz SFNYVNWNJFNNEI-SJORKVTESA-N 0 3 302.368 4.257 20 0 DIADHN CCn1cc(CN2CCC(C(F)F)CC2)c(-c2ccccc2)n1 ZINC000894032474 1042403715 /nfs/dbraw/zinc/40/37/15/1042403715.db2.gz JZKVTMICXLUHBO-UHFFFAOYSA-N 0 3 319.399 4.047 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cccc3c2NCCC3)n1 ZINC000894030209 1042404618 /nfs/dbraw/zinc/40/46/18/1042404618.db2.gz GRJHTOSLNFBDAA-IBGZPJMESA-N 0 3 307.441 4.085 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cccc3c2NCCC3)n1 ZINC000894030210 1042404755 /nfs/dbraw/zinc/40/47/55/1042404755.db2.gz GRJHTOSLNFBDAA-LJQANCHMSA-N 0 3 307.441 4.085 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)o1 ZINC000894088244 1042407207 /nfs/dbraw/zinc/40/72/07/1042407207.db2.gz JKGXYCCLMAAFHN-GXTWGEPZSA-N 0 3 323.483 4.151 20 0 DIADHN CC[C@H](N[C@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000924859882 1042408125 /nfs/dbraw/zinc/40/81/25/1042408125.db2.gz YOXJOGTWEJLYQV-QAPCUYQASA-N 0 3 306.413 4.069 20 0 DIADHN Cc1nnc([C@H](C)N[C@H](C)[C@H](C)c2ccc(Cl)cc2)s1 ZINC000279957277 1042409368 /nfs/dbraw/zinc/40/93/68/1042409368.db2.gz PFXOFSJSBZBKNS-AXFHLTTASA-N 0 3 309.866 4.343 20 0 DIADHN CC(C)[C@@H](NCc1ncccc1O)c1ccc(Cl)cc1F ZINC000575590937 1042414448 /nfs/dbraw/zinc/41/44/48/1042414448.db2.gz XRHZGPAEDQYZDQ-MRXNPFEDSA-N 0 3 308.784 4.067 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccc([S@](C)=O)cc1 ZINC000246285302 1042417395 /nfs/dbraw/zinc/41/73/95/1042417395.db2.gz BWSPFNYQPWHBMT-SAZGQGMLSA-N 0 3 319.495 4.214 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000295957334 1042439725 /nfs/dbraw/zinc/43/97/25/1042439725.db2.gz LVVVWNTXMXYITR-QMTHXVAHSA-N 0 3 310.228 4.028 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2cccc(F)c2C)c(C)o1 ZINC000411519574 1042455099 /nfs/dbraw/zinc/45/50/99/1042455099.db2.gz NDYPWVFTRAVMFK-CYBMUJFWSA-N 0 3 318.392 4.023 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(CCc2cncs2)CC1 ZINC000411672955 1042459859 /nfs/dbraw/zinc/45/98/59/1042459859.db2.gz KHJHWBWMWXKLRR-UHFFFAOYSA-N 0 3 324.852 4.300 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCOc2ccc(Cl)cc2)on1 ZINC000052009542 1042461386 /nfs/dbraw/zinc/46/13/86/1042461386.db2.gz WYZRVRLWXWYRPD-MRXNPFEDSA-N 0 3 320.820 4.242 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2ccccc2C)c(Cl)c1 ZINC000120503180 1042461558 /nfs/dbraw/zinc/46/15/58/1042461558.db2.gz YYJMKPYXGRPEQF-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000411890094 1042462304 /nfs/dbraw/zinc/46/23/04/1042462304.db2.gz XUOXQOYROICXFX-FUHWJXTLSA-N 0 3 310.441 4.371 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC(OC2CCC2)CC1 ZINC000419299007 1042463290 /nfs/dbraw/zinc/46/32/90/1042463290.db2.gz HIFZBLAFMZVHEP-SFHVURJKSA-N 0 3 305.849 4.361 20 0 DIADHN COC1(C(F)(F)F)CCN([C@H](C)c2cccc(C)c2)CC1 ZINC000419309924 1042465330 /nfs/dbraw/zinc/46/53/30/1042465330.db2.gz BTDBFUGPGRQSMR-CYBMUJFWSA-N 0 3 301.352 4.099 20 0 DIADHN CCN(CC)Cc1cc(Cl)ccc1OCc1cccnc1 ZINC000614325859 1042466156 /nfs/dbraw/zinc/46/61/56/1042466156.db2.gz OUILXBKVXRWEFO-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN Fc1ccc(Br)c(F)c1CNCCC1CC=CC1 ZINC000724201067 1042468077 /nfs/dbraw/zinc/46/80/77/1042468077.db2.gz DSSKHCUXGVZONH-UHFFFAOYSA-N 0 3 316.189 4.173 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC(C)(C)c1ccccc1F ZINC000924883740 1042470418 /nfs/dbraw/zinc/47/04/18/1042470418.db2.gz SVLZGWJNSAVIAN-HNNXBMFYSA-N 0 3 302.393 4.067 20 0 DIADHN CN(Cc1nnc(-c2ccccc2)o1)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000419332049 1042471269 /nfs/dbraw/zinc/47/12/69/1042471269.db2.gz SMIVQVHIIPIJTQ-JKSUJKDBSA-N 0 3 313.445 4.383 20 0 DIADHN Clc1ccc([C@H]2CCCN2C[C@@H]2CCOC2)cc1Cl ZINC000052105729 1042474795 /nfs/dbraw/zinc/47/47/95/1042474795.db2.gz CEPAGQVWDUTDJJ-XHDPSFHLSA-N 0 3 300.229 4.167 20 0 DIADHN CC1CCC(N(Cc2nnc(-c3ccccc3)o2)C2CC2)CC1 ZINC000052104033 1042475157 /nfs/dbraw/zinc/47/51/57/1042475157.db2.gz QCCMYXLZJYQORY-UHFFFAOYSA-N 0 3 311.429 4.280 20 0 DIADHN N#CC(C(=O)CCCc1cccs1)c1ccc2ccccc2n1 ZINC000047376457 1042478574 /nfs/dbraw/zinc/47/85/74/1042478574.db2.gz GATAUNZBBGRPOR-INIZCTEOSA-N 0 3 320.417 4.495 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C/c2ccc(Cl)s2)c1 ZINC000047453843 1042493604 /nfs/dbraw/zinc/49/36/04/1042493604.db2.gz SQEHUGMPZOTEFS-VQHVLOKHSA-N 0 3 320.845 4.115 20 0 DIADHN CC[C@@H](NCc1cnc2onc(C)c2c1)c1cccc(Cl)c1 ZINC000894173009 1042494992 /nfs/dbraw/zinc/49/49/92/1042494992.db2.gz DFGYHPTXZZVTIK-MRXNPFEDSA-N 0 3 315.804 4.426 20 0 DIADHN CC(C)c1ccc(CCC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000052666272 1042506687 /nfs/dbraw/zinc/50/66/87/1042506687.db2.gz BUQDKUPNGMFYKC-UHFFFAOYSA-N 0 3 324.468 4.443 20 0 DIADHN Cc1cc(C)c(CNCc2cnn(-c3ccccc3C)c2)c(C)c1 ZINC001648951152 1042515039 /nfs/dbraw/zinc/51/50/39/1042515039.db2.gz OEDJRTSWPOPGAH-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN CC(C)[C@H](C(=O)Nc1ccc2ccccc2c1)N1CCCCC1 ZINC000729296137 1042517736 /nfs/dbraw/zinc/51/77/36/1042517736.db2.gz IKCBWTADGOSYNM-LJQANCHMSA-N 0 3 310.441 4.289 20 0 DIADHN CCN(Cc1nc(-c2ccc(F)cc2)no1)C1CCCCC1 ZINC000052873414 1042520319 /nfs/dbraw/zinc/52/03/19/1042520319.db2.gz WRUVRJOOUNRANZ-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000052869942 1042520949 /nfs/dbraw/zinc/52/09/49/1042520949.db2.gz AMKMUBKSBHTDGF-HLLBOEOZSA-N 0 3 316.489 4.423 20 0 DIADHN CCN(CC(=O)Nc1c(C)cc(C)cc1Cl)C1CCCC1 ZINC000052908529 1042521268 /nfs/dbraw/zinc/52/12/68/1042521268.db2.gz MRZKVCZKKWVGDS-UHFFFAOYSA-N 0 3 308.853 4.160 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)C1CCCC1 ZINC000052908425 1042521503 /nfs/dbraw/zinc/52/15/03/1042521503.db2.gz YUDFENWAFXDHSV-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1cccc2c1NCCC2 ZINC000894216708 1042529787 /nfs/dbraw/zinc/52/97/87/1042529787.db2.gz SBLDCFCQYPZVEF-SFHVURJKSA-N 0 3 308.425 4.048 20 0 DIADHN C[C@H](COCc1ccccc1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000513376492 1042536804 /nfs/dbraw/zinc/53/68/04/1042536804.db2.gz HYVMHBKFYNQHBA-FZKQIMNGSA-N 0 3 317.379 4.147 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)s2)ccc1OC(C)C ZINC000513418868 1042538913 /nfs/dbraw/zinc/53/89/13/1042538913.db2.gz DGHUICHQEHKGKR-UHFFFAOYSA-N 0 3 319.470 4.484 20 0 DIADHN COc1ccc2oc(CN(C)Cc3ccc(C)s3)cc2c1 ZINC000513402642 1042539038 /nfs/dbraw/zinc/53/90/38/1042539038.db2.gz YISAOVRBSCUDOV-UHFFFAOYSA-N 0 3 301.411 4.443 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN(C)C1Cc2ccccc2C1 ZINC000513429217 1042540017 /nfs/dbraw/zinc/54/00/17/1042540017.db2.gz CWXZPRQSXBCVGB-UHFFFAOYSA-N 0 3 324.449 4.311 20 0 DIADHN Fc1cccc([C@H]2CCN(CC3=Cc4ccccc4OC3)C2)c1 ZINC000513472959 1042546032 /nfs/dbraw/zinc/54/60/32/1042546032.db2.gz OYZYLELJABUDQD-SFHVURJKSA-N 0 3 309.384 4.091 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc3c2OCO3)s1 ZINC000513479252 1042546092 /nfs/dbraw/zinc/54/60/92/1042546092.db2.gz JNDUKZUWCWOUPZ-AWEZNQCLSA-N 0 3 301.411 4.122 20 0 DIADHN CCc1ncc(CN2CCC(C)(c3ccccc3)CC2)s1 ZINC000513643214 1042557378 /nfs/dbraw/zinc/55/73/78/1042557378.db2.gz SKPPAOFWMWXRKD-UHFFFAOYSA-N 0 3 300.471 4.259 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000513683835 1042558974 /nfs/dbraw/zinc/55/89/74/1042558974.db2.gz MWGQPUVHXFIVQF-MLGOLLRUSA-N 0 3 315.438 4.181 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1CCCOc1ccc(F)cc1 ZINC000679546921 1042560810 /nfs/dbraw/zinc/56/08/10/1042560810.db2.gz WLXYQMHYRBBIFT-STQMWFEESA-N 0 3 319.342 4.257 20 0 DIADHN C[C@@]1(Cc2cccc(F)c2)CCCN1Cc1cnc(C2CC2)o1 ZINC000628321431 1042561734 /nfs/dbraw/zinc/56/17/34/1042561734.db2.gz HAMFPVAXVPDQNF-IBGZPJMESA-N 0 3 314.404 4.288 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(C(F)(F)F)cc1 ZINC000280097576 1042564389 /nfs/dbraw/zinc/56/43/89/1042564389.db2.gz MWMWHMJGTMHDMY-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cccnc1Cl)[C@H](O)c1ccccc1 ZINC000280212711 1042567018 /nfs/dbraw/zinc/56/70/18/1042567018.db2.gz UFPXGNYECIHJHL-RRQGHBQHSA-N 0 3 318.848 4.288 20 0 DIADHN Cc1cc([C@@H](NCc2ccnn2C(C)C)C2CC2)ccc1F ZINC000280214386 1042567033 /nfs/dbraw/zinc/56/70/33/1042567033.db2.gz INYQQJZTFRLCBW-SFHVURJKSA-N 0 3 301.409 4.152 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1cccc(C(F)(F)F)c1 ZINC000280243441 1042568211 /nfs/dbraw/zinc/56/82/11/1042568211.db2.gz WCTCYTXOBSPBGR-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@H](N[C@@H](CO)c1cccc(Cl)c1Cl)C1CC(F)(F)C1 ZINC000628352147 1042599800 /nfs/dbraw/zinc/59/98/00/1042599800.db2.gz YHZGNGFOLWLFMT-UFBFGSQYSA-N 0 3 324.198 4.050 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN1CC[C@@H](C)[C@H](F)C1 ZINC000643376258 1042604298 /nfs/dbraw/zinc/60/42/98/1042604298.db2.gz KJCARBMBKKSYTD-NVXWUHKLSA-N 0 3 317.452 4.195 20 0 DIADHN C[C@H]1CCCC[C@H]1c1noc(C2CN(C3CCCCC3)C2)n1 ZINC000420506137 1042608647 /nfs/dbraw/zinc/60/86/47/1042608647.db2.gz RIURUZHFVJRTON-XJKSGUPXSA-N 0 3 303.450 4.095 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCSC2(CCC2)CC1 ZINC000414473637 1042613611 /nfs/dbraw/zinc/61/36/11/1042613611.db2.gz BHUFZEPAXWLYBK-UHFFFAOYSA-N 0 3 308.516 4.272 20 0 DIADHN Cc1nc(CN2CCC[C@@]2(C)Cc2ccc(F)cc2)oc1C ZINC000420934432 1042615571 /nfs/dbraw/zinc/61/55/71/1042615571.db2.gz XISXKXAQGVCLOM-SFHVURJKSA-N 0 3 302.393 4.028 20 0 DIADHN CCS(=O)(=O)c1ccc(CN(C)C2CCCCCCC2)cc1 ZINC001307449463 1042617998 /nfs/dbraw/zinc/61/79/98/1042617998.db2.gz CTZXEHVFTAMNCI-UHFFFAOYSA-N 0 3 323.502 4.025 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@@H]2CCC[C@@H]2C2CC2)s1 ZINC000414486396 1042619571 /nfs/dbraw/zinc/61/95/71/1042619571.db2.gz QRCQRUFLHFMVSS-WDEREUQCSA-N 0 3 304.381 4.078 20 0 DIADHN CC1(C)[C@@H](c2ccccc2)CCN1Cc1nnc(-c2ccco2)o1 ZINC000421019504 1042622444 /nfs/dbraw/zinc/62/24/44/1042622444.db2.gz MJDOATWPZSGPDQ-OAHLLOKOSA-N 0 3 323.396 4.098 20 0 DIADHN CC[C@@H](NCc1cn(-c2ccccc2)nc1C)c1ccccc1 ZINC000414491787 1042623059 /nfs/dbraw/zinc/62/30/59/1042623059.db2.gz DUWCGIQVMAQURP-HXUWFJFHSA-N 0 3 305.425 4.422 20 0 DIADHN Cc1[nH]nc2ncc(CN(C(C)C)[C@H](C)c3ccsc3)cc12 ZINC000421373916 1042633254 /nfs/dbraw/zinc/63/32/54/1042633254.db2.gz OAKFNHHHXHWXCU-CYBMUJFWSA-N 0 3 314.458 4.299 20 0 DIADHN Cc1cc(CNCc2ccc(C(F)(F)F)cc2C)cc(C)n1 ZINC000421372285 1042633720 /nfs/dbraw/zinc/63/37/20/1042633720.db2.gz FPVXQQHNAQAPPA-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(OCC(F)F)cc2)cn1 ZINC000353169706 1042637185 /nfs/dbraw/zinc/63/71/85/1042637185.db2.gz PASWRPSREWEAHQ-UHFFFAOYSA-N 0 3 320.383 4.059 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccncc1Cl)c1ccco1 ZINC000421825546 1042653726 /nfs/dbraw/zinc/65/37/26/1042653726.db2.gz ODNYTIDQJJOWKI-CZUORRHYSA-N 0 3 321.852 4.062 20 0 DIADHN COc1ccc([C@H](NCc2sccc2C)C2CC2)c(F)c1 ZINC000421826117 1042653800 /nfs/dbraw/zinc/65/38/00/1042653800.db2.gz RAYSDAIIBDQFSP-QGZVFWFLSA-N 0 3 305.418 4.445 20 0 DIADHN C[C@H](NCC1(c2cccc(F)c2)CC1)c1ccncc1Cl ZINC000421828475 1042654535 /nfs/dbraw/zinc/65/45/35/1042654535.db2.gz CXVHLASQDQSEPO-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccncc1Cl ZINC000421852126 1042656982 /nfs/dbraw/zinc/65/69/82/1042656982.db2.gz HMLBSPUBUDTVAF-SIIHOXLZSA-N 0 3 316.832 4.306 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1cc(C)nc(C)c1 ZINC000421863199 1042658055 /nfs/dbraw/zinc/65/80/55/1042658055.db2.gz XBIARJYRTALBMC-LJQANCHMSA-N 0 3 310.441 4.219 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1ccncc1Cl ZINC000421859795 1042658364 /nfs/dbraw/zinc/65/83/64/1042658364.db2.gz TWBDKQSMQAAIDV-UXIGCNINSA-N 0 3 304.796 4.471 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@H](C)c3ccncc3Cl)C2)c1 ZINC000421849320 1042660346 /nfs/dbraw/zinc/66/03/46/1042660346.db2.gz BTLLPVZABKLOQN-BPLDGKMQSA-N 0 3 316.832 4.340 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](C)c2csc(-c3ccccc3)n2)CCO1 ZINC000421849166 1042660528 /nfs/dbraw/zinc/66/05/28/1042660528.db2.gz BJLKQYJFEBXCCF-NUEKZKHPSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1cc(CN[C@H]2CCCOc3cc(C)c(C)cc32)cc(C)n1 ZINC000421882653 1042660838 /nfs/dbraw/zinc/66/08/38/1042660838.db2.gz PXXCZULUSMMYKH-IBGZPJMESA-N 0 3 310.441 4.319 20 0 DIADHN COc1cc([C@@H](C)NCc2cc(C)nc(C)c2)ccc1SC ZINC000421888584 1042660940 /nfs/dbraw/zinc/66/09/40/1042660940.db2.gz TYSZFXARACQODO-CQSZACIVSA-N 0 3 316.470 4.280 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(SC(F)F)ccc21)c1cn[nH]c1 ZINC000271755835 1042667769 /nfs/dbraw/zinc/66/77/69/1042667769.db2.gz YSAVHWWLPXZTEO-MEBBXXQBSA-N 0 3 323.412 4.453 20 0 DIADHN CCCCC[C@H](NCc1cc(=O)c(OC)co1)c1ccccc1 ZINC000271845912 1042670333 /nfs/dbraw/zinc/67/03/33/1042670333.db2.gz VMMBXNFMKJKGHN-KRWDZBQOSA-N 0 3 315.413 4.060 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC1(c2ccccc2)CCC1 ZINC000271849881 1042670478 /nfs/dbraw/zinc/67/04/78/1042670478.db2.gz IJLMYHNGUFSZQK-UHFFFAOYSA-N 0 3 311.473 4.424 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1ccc(Cl)nc1 ZINC000271863480 1042671070 /nfs/dbraw/zinc/67/10/70/1042671070.db2.gz KZCCEHQGTFDVBN-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc(Cl)c(N)c(Cl)c2)cn1 ZINC000271864543 1042671299 /nfs/dbraw/zinc/67/12/99/1042671299.db2.gz OUZKJZBTKVHUNM-SNVBAGLBSA-N 0 3 310.228 4.130 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1cccc2cc[nH]c21 ZINC000271956475 1042672805 /nfs/dbraw/zinc/67/28/05/1042672805.db2.gz FNTZVTZQOFDIEI-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN COCc1nc(CN[C@H]2CCCC[C@H]2c2ccccc2)cs1 ZINC000271926358 1042672854 /nfs/dbraw/zinc/67/28/54/1042672854.db2.gz SPCNUNJGGDXAOP-IRXDYDNUSA-N 0 3 316.470 4.106 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1OC(F)F)c1cccc(CO)c1 ZINC000271957700 1042673504 /nfs/dbraw/zinc/67/35/04/1042673504.db2.gz LCDMJMGONSYPJO-STQMWFEESA-N 0 3 321.367 4.192 20 0 DIADHN COc1cc(C)c([C@H](C)NC2(c3ccccc3)CC2)cc1OC ZINC000272026430 1042675525 /nfs/dbraw/zinc/67/55/25/1042675525.db2.gz SUOMACMIVDVKNJ-HNNXBMFYSA-N 0 3 311.425 4.352 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000423352102 1042707848 /nfs/dbraw/zinc/70/78/48/1042707848.db2.gz JRCHUHBFDYAUTE-MOPGFXCFSA-N 0 3 324.472 4.281 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cccc2c1CCCN2 ZINC000423421669 1042710194 /nfs/dbraw/zinc/71/01/94/1042710194.db2.gz YJPRJJYQJFDMJN-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1cc(F)cc(F)c1 ZINC000423451749 1042712767 /nfs/dbraw/zinc/71/27/67/1042712767.db2.gz VGVYGEWUKPXWBZ-LBPRGKRZSA-N 0 3 302.368 4.174 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CC(C)(C)Oc3ccc(N)cc31)CC2 ZINC000423436346 1042713415 /nfs/dbraw/zinc/71/34/15/1042713415.db2.gz LRVBOHWCBSHOFQ-RTBURBONSA-N 0 3 322.452 4.457 20 0 DIADHN c1ccc2c(c1)CCSC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423435310 1042713608 /nfs/dbraw/zinc/71/36/08/1042713608.db2.gz DNUYMNAIDYEUJG-FQEVSTJZSA-N 0 3 324.493 4.165 20 0 DIADHN CC1(C)C[C@@H](N[C@H]2CCc3ccccc32)c2cc(N)ccc2O1 ZINC000423426975 1042713623 /nfs/dbraw/zinc/71/36/23/1042713623.db2.gz PZYOKAYITAZUGC-ZWKOTPCHSA-N 0 3 308.425 4.148 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCOc3c(Cl)cccc32)c1 ZINC000423471818 1042715145 /nfs/dbraw/zinc/71/51/45/1042715145.db2.gz FHEQSELAKHGYGO-KRWDZBQOSA-N 0 3 316.832 4.234 20 0 DIADHN COc1ccc2oc([C@@H](C)NCc3cc(C)cc(N)c3)c(C)c2c1 ZINC000423484524 1042716725 /nfs/dbraw/zinc/71/67/25/1042716725.db2.gz UMWQYDOZMNYCPR-CQSZACIVSA-N 0 3 324.424 4.491 20 0 DIADHN N[C@@H](CC(=O)N1CCCC2(CCCCC2)CC1)c1ccccc1 ZINC000423790583 1042721363 /nfs/dbraw/zinc/72/13/63/1042721363.db2.gz XTUUXQBNWQATMZ-SFHVURJKSA-N 0 3 314.473 4.040 20 0 DIADHN Cc1cc(F)c(CNC2CCC3(CCOCC3)CC2)c(F)c1 ZINC000424145497 1042726780 /nfs/dbraw/zinc/72/67/80/1042726780.db2.gz NSHBQQPXBHCVIB-UHFFFAOYSA-N 0 3 309.400 4.102 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2CCO[C@@H]2c2ccccc2)c(F)c1 ZINC000424180785 1042727720 /nfs/dbraw/zinc/72/77/20/1042727720.db2.gz WKWKZQFEKJWQIE-DNVCBOLYSA-N 0 3 317.379 4.141 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2CCO[C@H]2c2ccccc2)c(F)c1 ZINC000424197219 1042729309 /nfs/dbraw/zinc/72/93/09/1042729309.db2.gz WKWKZQFEKJWQIE-KXBFYZLASA-N 0 3 317.379 4.141 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]1C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000424300364 1042732182 /nfs/dbraw/zinc/73/21/82/1042732182.db2.gz UMVPNELYLDOVHM-LSJOCFKGSA-N 0 3 304.381 4.249 20 0 DIADHN C[C@H](NC(=O)CCCC1CCCC1)[C@H](c1ccccc1)N(C)C ZINC001269735234 1042755387 /nfs/dbraw/zinc/75/53/87/1042755387.db2.gz XNKWMGSVYBLQRS-OXJNMPFZSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCOC[C@@H](C)C1 ZINC000513981641 1042765974 /nfs/dbraw/zinc/76/59/74/1042765974.db2.gz PLBQGQYWAVJKAO-INIZCTEOSA-N 0 3 313.441 4.095 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CC[C@H](C)C2)cc1 ZINC000514004229 1042766772 /nfs/dbraw/zinc/76/67/72/1042766772.db2.gz DXSJDUBPAFRWRF-QFBILLFUSA-N 0 3 308.425 4.017 20 0 DIADHN OC[C@@H]1CCCN([C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000514710906 1042788386 /nfs/dbraw/zinc/78/83/86/1042788386.db2.gz NWUUFVOHMBSIQW-DNVCBOLYSA-N 0 3 315.844 4.134 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](CC(F)(F)F)c1ccccc1C ZINC000425336726 1042793229 /nfs/dbraw/zinc/79/32/29/1042793229.db2.gz LSKVFZGWJBRZNU-BFHYXJOUSA-N 0 3 303.393 4.472 20 0 DIADHN Clc1csc(CN2CC3(CCC3)[C@H]2C2CCOCC2)c1 ZINC000425373653 1042799494 /nfs/dbraw/zinc/79/94/94/1042799494.db2.gz QITHGHMIRSLKCS-OAHLLOKOSA-N 0 3 311.878 4.183 20 0 DIADHN CC(C)c1ncc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)s1 ZINC000425373687 1042800354 /nfs/dbraw/zinc/80/03/54/1042800354.db2.gz QMGKDGMNELLZAI-INIZCTEOSA-N 0 3 320.502 4.048 20 0 DIADHN CO[C@@H](CN1CCc2sccc2[C@@H]1c1cccs1)C1CC1 ZINC000425388754 1042806061 /nfs/dbraw/zinc/80/60/61/1042806061.db2.gz SUHVTQFZSMJPFD-WMLDXEAASA-N 0 3 319.495 4.182 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@H]1C1CCCC1 ZINC000515745334 1042833128 /nfs/dbraw/zinc/83/31/28/1042833128.db2.gz AGJHIUZPZGNOLB-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1cc(C)no1 ZINC000425532559 1042837274 /nfs/dbraw/zinc/83/72/74/1042837274.db2.gz CAKVTSLHTSLPEC-UHFFFAOYSA-N 0 3 312.335 4.066 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@H](CC(F)(F)F)C1 ZINC000664632507 1042857855 /nfs/dbraw/zinc/85/78/55/1042857855.db2.gz FREQVSBBMQBTCJ-CYBMUJFWSA-N 0 3 324.346 4.009 20 0 DIADHN CC[C@H]([NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1)c1cccc(C)c1 ZINC000516883119 1042858453 /nfs/dbraw/zinc/85/84/53/1042858453.db2.gz JRQMSOOPNCOZCB-KRWDZBQOSA-N 0 3 324.403 4.160 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1cccc(C)c1 ZINC000516883119 1042858458 /nfs/dbraw/zinc/85/84/58/1042858458.db2.gz JRQMSOOPNCOZCB-KRWDZBQOSA-N 0 3 324.403 4.160 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cccc(Cl)c2)cc1O ZINC000289937027 1042861098 /nfs/dbraw/zinc/86/10/98/1042861098.db2.gz VWBGPDDFJHWVKW-NEPJUHHUSA-N 0 3 305.805 4.466 20 0 DIADHN CC[C@H](CN[C@@H]1CCCOc2c(Cl)c(Cl)ccc21)OC ZINC000353536799 1042881437 /nfs/dbraw/zinc/88/14/37/1042881437.db2.gz CFUPSWYNVXTRFW-ZWNOBZJWSA-N 0 3 318.244 4.222 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H](c1cccs1)C1CC1 ZINC000517542050 1042884336 /nfs/dbraw/zinc/88/43/36/1042884336.db2.gz KSICPLZEWOPPAX-LJQANCHMSA-N 0 3 323.465 4.483 20 0 DIADHN Cc1ccc(CN[C@H](C)COc2ccccc2C(F)(F)F)o1 ZINC000517534328 1042884890 /nfs/dbraw/zinc/88/48/90/1042884890.db2.gz LFSOFQVMRAKZEF-LLVKDONJSA-N 0 3 313.319 4.164 20 0 DIADHN c1cc2cccc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)c2[nH]1 ZINC000517550799 1042885355 /nfs/dbraw/zinc/88/53/55/1042885355.db2.gz MBRMNJRZIFKJST-ICSRJNTNSA-N 0 3 306.409 4.178 20 0 DIADHN CC(C)c1ccccc1OCCNCc1cccc(F)c1F ZINC000517709984 1042893923 /nfs/dbraw/zinc/89/39/23/1042893923.db2.gz WFLZQDSETJXBQQ-UHFFFAOYSA-N 0 3 305.368 4.257 20 0 DIADHN COC[C@H](Cc1ccccc1)N(C)Cc1cc(Cl)cs1 ZINC000425995041 1042895616 /nfs/dbraw/zinc/89/56/16/1042895616.db2.gz STEKCLRSCQBTIV-HNNXBMFYSA-N 0 3 309.862 4.091 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2ccc(F)cc2)no1)C1CC1 ZINC000517896373 1042902279 /nfs/dbraw/zinc/90/22/79/1042902279.db2.gz DKMPPOAPIFXNEN-STQMWFEESA-N 0 3 317.408 4.275 20 0 DIADHN CCN(CCc1nccs1)Cc1ccc(C(C)C)nc1C ZINC000293708442 1042904463 /nfs/dbraw/zinc/90/44/63/1042904463.db2.gz DLDCZAYJPNWTDZ-UHFFFAOYSA-N 0 3 303.475 4.035 20 0 DIADHN CO[C@H](CNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC000518759731 1042920151 /nfs/dbraw/zinc/92/01/51/1042920151.db2.gz FFLXVKCNGHFBLT-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1C[C@H]1CCCCO1 ZINC000519000879 1042928226 /nfs/dbraw/zinc/92/82/26/1042928226.db2.gz WBSCKQJITOHOHZ-UONOGXRCSA-N 0 3 311.828 4.055 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC[S@](=O)c1ccccc1 ZINC000519193710 1042937382 /nfs/dbraw/zinc/93/73/82/1042937382.db2.gz RLSSOARJEZZUQX-KNQAVFIVSA-N 0 3 315.482 4.267 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1cc(C)ccc1C)C1CC1 ZINC000519190235 1042937475 /nfs/dbraw/zinc/93/74/75/1042937475.db2.gz FKLOZVXSSHCUKI-HOCLYGCPSA-N 0 3 302.462 4.141 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc(CC)nc2)cc1 ZINC000519229320 1042939634 /nfs/dbraw/zinc/93/96/34/1042939634.db2.gz SRVNQYNUFMHMEB-FQEVSTJZSA-N 0 3 310.441 4.380 20 0 DIADHN CC(C)SCCNCc1ccc(-c2ccc([N+](=O)[O-])cc2)o1 ZINC000519369991 1042945878 /nfs/dbraw/zinc/94/58/78/1042945878.db2.gz KXSOEVPVAHLRSS-UHFFFAOYSA-N 0 3 320.414 4.086 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CCCCC[C@@H]1/C=C/c1cccs1 ZINC000519476829 1042950667 /nfs/dbraw/zinc/95/06/67/1042950667.db2.gz BQHSEJKYUWCLEB-BOLDSZDNSA-N 0 3 314.454 4.307 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CCCCC[C@@H]1/C=C/c1cccs1 ZINC000519476829 1042950670 /nfs/dbraw/zinc/95/06/70/1042950670.db2.gz BQHSEJKYUWCLEB-BOLDSZDNSA-N 0 3 314.454 4.307 20 0 DIADHN Cc1ccc(CCN(Cc2ccco2)C[C@@H]2CCCCO2)cc1 ZINC000607988202 1042955901 /nfs/dbraw/zinc/95/59/01/1042955901.db2.gz HFJVWEXKYLFGCQ-IBGZPJMESA-N 0 3 313.441 4.202 20 0 DIADHN CCc1onc(C)c1CN1CCCCC[C@@H]1c1c(C)n[nH]c1C ZINC000894485198 1042961908 /nfs/dbraw/zinc/96/19/08/1042961908.db2.gz MBINFOUXVRLEOQ-MRXNPFEDSA-N 0 3 316.449 4.003 20 0 DIADHN CO[C@@H](CN1CC(C)(C)[C@@H]1c1cccnc1)C1CCCCC1 ZINC000664819689 1042961960 /nfs/dbraw/zinc/96/19/60/1042961960.db2.gz MTSMRVLUTIPVAQ-ROUUACIJSA-N 0 3 302.462 4.060 20 0 DIADHN C[C@H](CCN1CC(C)(C)[C@H]1c1cccnc1)OCc1ccccc1 ZINC000664820886 1042964027 /nfs/dbraw/zinc/96/40/27/1042964027.db2.gz BNZOHJVWHJFVHS-YLJYHZDGSA-N 0 3 324.468 4.460 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cccc3ccccc32)cc1 ZINC000008268917 1042964593 /nfs/dbraw/zinc/96/45/93/1042964593.db2.gz UTSSGSZKMKYUNV-MRXNPFEDSA-N 0 3 318.420 4.438 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccc(F)cc1)c1cccc2ccccc21 ZINC000008269063 1042964767 /nfs/dbraw/zinc/96/47/67/1042964767.db2.gz SCQJTJSRXIMRAO-CQSZACIVSA-N 0 3 322.383 4.268 20 0 DIADHN COc1cccc(CCCN2CC(C)(C)[C@H]2c2ccncc2)c1 ZINC000664832102 1042968957 /nfs/dbraw/zinc/96/89/57/1042968957.db2.gz CHAILTOSDAKOJW-LJQANCHMSA-N 0 3 310.441 4.106 20 0 DIADHN Cc1ncoc1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000894656967 1042971350 /nfs/dbraw/zinc/97/13/50/1042971350.db2.gz WDJDQBHVBCOLBO-HAQNSBGRSA-N 0 3 311.212 4.326 20 0 DIADHN Clc1nccc2cc(CN3CCC[C@@H]3[C@@H]3CCCO3)sc21 ZINC000894689513 1042973857 /nfs/dbraw/zinc/97/38/57/1042973857.db2.gz GLCNVQWXWAOASO-KGLIPLIRSA-N 0 3 322.861 4.093 20 0 DIADHN Cc1nnc([C@@H](C)N[C@@H](C)Cc2ccc(-c3ccccc3)cc2)[nH]1 ZINC000894778875 1042977027 /nfs/dbraw/zinc/97/70/27/1042977027.db2.gz TZUFTKIQAXODKG-LSDHHAIUSA-N 0 3 320.440 4.062 20 0 DIADHN O=C(CCN1CC[C@H](c2ccc(F)cc2)C1)c1ccc(F)cc1 ZINC000519611575 1042986458 /nfs/dbraw/zinc/98/64/58/1042986458.db2.gz YXJQPGFACFVOPY-INIZCTEOSA-N 0 3 315.363 4.027 20 0 DIADHN CO[C@@H](CNC1(c2cccc(Cl)c2)CC1)c1ccccc1 ZINC000519642593 1042997792 /nfs/dbraw/zinc/99/77/92/1042997792.db2.gz BZZYFQPGJLEYGO-KRWDZBQOSA-N 0 3 301.817 4.306 20 0 DIADHN C[C@H](NCc1cc(C(F)(F)F)n[nH]1)c1cccc2ccccc21 ZINC000440811919 1043010924 /nfs/dbraw/zinc/01/09/24/1043010924.db2.gz IKBHBHYGWFMRQC-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN FC(F)(F)c1cc(CN[C@H](c2ccccc2)C2CCC2)[nH]n1 ZINC000440829014 1043011299 /nfs/dbraw/zinc/01/12/99/1043011299.db2.gz HYLZZOIWNQSKFI-OAHLLOKOSA-N 0 3 309.335 4.060 20 0 DIADHN Cc1ccc(CNCc2cn(C)nc2C2CC2)c2ccccc12 ZINC000894893756 1043014116 /nfs/dbraw/zinc/01/41/16/1043014116.db2.gz IJDHKRUMKVGSPI-UHFFFAOYSA-N 0 3 305.425 4.049 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnn(CC(F)(F)F)c2)cc1 ZINC000349803857 1043014185 /nfs/dbraw/zinc/01/41/85/1043014185.db2.gz ZNKKAWSZDXKQTL-MRXNPFEDSA-N 0 3 323.362 4.091 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1ccsc1)c1ccc(F)cc1Cl ZINC000159944491 1043018369 /nfs/dbraw/zinc/01/83/69/1043018369.db2.gz KOGRSCFDLIBWEG-ZUZCIYMTSA-N 0 3 313.825 4.099 20 0 DIADHN Cc1ccc2nc(CN(Cc3ccccc3)CC(C)C)cn2c1 ZINC000520170474 1043020520 /nfs/dbraw/zinc/02/05/20/1043020520.db2.gz WQFCZLYVZYWCDV-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN CCN(CC)[C@H](CNCc1c(F)cccc1F)c1ccsc1 ZINC000520203256 1043023346 /nfs/dbraw/zinc/02/33/46/1043023346.db2.gz SOLBZEVNJPCUJU-QGZVFWFLSA-N 0 3 324.440 4.199 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccccc1OC(C)C ZINC000520204862 1043023997 /nfs/dbraw/zinc/02/39/97/1043023997.db2.gz KENIORIYYFJVMJ-DHZHZOJOSA-N 0 3 311.425 4.285 20 0 DIADHN Fc1cccc(Cl)c1CNCCc1ccc2[nH]ccc2c1 ZINC000441197955 1043026431 /nfs/dbraw/zinc/02/64/31/1043026431.db2.gz MUSJMSMOUZXPFH-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000441184466 1043026812 /nfs/dbraw/zinc/02/68/12/1043026812.db2.gz VKMYHCHOPGDQQC-RDTXWAMCSA-N 0 3 301.409 4.022 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000441184463 1043027125 /nfs/dbraw/zinc/02/71/25/1043027125.db2.gz VKMYHCHOPGDQQC-KDOFPFPSSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)N(C)CCC1CC1 ZINC000441221416 1043027679 /nfs/dbraw/zinc/02/76/79/1043027679.db2.gz GVEFSYSXBYKLQA-HUUCEWRRSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000441222714 1043028049 /nfs/dbraw/zinc/02/80/49/1043028049.db2.gz BSKWJMPGOSIXJV-YUELXQCFSA-N 0 3 311.828 4.289 20 0 DIADHN CCOc1ccccc1OCCN(CC)Cc1cccc(F)c1 ZINC000441186506 1043028153 /nfs/dbraw/zinc/02/81/53/1043028153.db2.gz DGQINQUFIUZKHM-UHFFFAOYSA-N 0 3 317.404 4.125 20 0 DIADHN CN(Cc1ccn(-c2ccc(F)cc2)n1)C1CCCCCC1 ZINC000441254448 1043031176 /nfs/dbraw/zinc/03/11/76/1043031176.db2.gz ZCNSVLGQUJVDMD-UHFFFAOYSA-N 0 3 301.409 4.166 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000028918528 1043033098 /nfs/dbraw/zinc/03/30/98/1043033098.db2.gz MFVFCPYTPFVBEW-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)CCCC(C)(C)C)c1ccco1 ZINC000520383847 1043041026 /nfs/dbraw/zinc/04/10/26/1043041026.db2.gz DURKLBTUOXXBRY-LSDHHAIUSA-N 0 3 308.466 4.041 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000794805362 1043049333 /nfs/dbraw/zinc/04/93/33/1043049333.db2.gz XBDPQDJFDUDNOP-ROUUACIJSA-N 0 3 323.358 4.124 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(OC)c(Cl)c1 ZINC000112522787 1043050185 /nfs/dbraw/zinc/05/01/85/1043050185.db2.gz PONVWEUMVUGBGN-ZDUSSCGKSA-N 0 3 319.832 4.346 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc(OC)c(Cl)c2)cc1 ZINC000112524735 1043050238 /nfs/dbraw/zinc/05/02/38/1043050238.db2.gz PXOABUZDHIPSLD-ZDUSSCGKSA-N 0 3 319.832 4.346 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1ccsc1)c1cc(F)ccc1F ZINC000794803013 1043051928 /nfs/dbraw/zinc/05/19/28/1043051928.db2.gz PSYXTMKCMRHBDI-JKSUJKDBSA-N 0 3 311.397 4.047 20 0 DIADHN CCCCOc1cc(F)ccc1N(C(=N)N)c1ccc(F)cc1 ZINC001167990933 1043053014 /nfs/dbraw/zinc/05/30/14/1043053014.db2.gz BZDVQYYIGUJEGJ-UHFFFAOYSA-N 0 3 319.355 4.175 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@H](C)c2cccc(F)c2)cc1 ZINC000173857689 1043053339 /nfs/dbraw/zinc/05/33/39/1043053339.db2.gz MIPOIMCLMAUPFM-CQSZACIVSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccncc1 ZINC000174002177 1043057630 /nfs/dbraw/zinc/05/76/30/1043057630.db2.gz QXYQTKCAONVXGK-STQMWFEESA-N 0 3 324.346 4.435 20 0 DIADHN CN(Cc1nnc(-c2ccc(Cl)cc2)o1)C(C1CC1)C1CC1 ZINC000050989535 1043063495 /nfs/dbraw/zinc/06/34/95/1043063495.db2.gz ZFNQTCDFNJYQGS-UHFFFAOYSA-N 0 3 317.820 4.010 20 0 DIADHN CC[C@@H](NCc1cn(C)nc1-c1ccccc1)c1cccc(F)c1 ZINC000188446619 1043065349 /nfs/dbraw/zinc/06/53/49/1043065349.db2.gz AAUZLRORIYWSOC-LJQANCHMSA-N 0 3 323.415 4.467 20 0 DIADHN CC(C)c1ccc(-c2noc(C3CCN(C4CC4)CC3)n2)cc1 ZINC000579281763 1043067764 /nfs/dbraw/zinc/06/77/64/1043067764.db2.gz DPBRJPFIRXEHAE-UHFFFAOYSA-N 0 3 311.429 4.202 20 0 DIADHN CC(C)N(Cc1ccc2oc(=O)oc2c1)[C@H](C)c1ccsc1 ZINC000895034810 1043068368 /nfs/dbraw/zinc/06/83/68/1043068368.db2.gz WOJGZXMKBHCEIX-GFCCVEGCSA-N 0 3 317.410 4.419 20 0 DIADHN Cc1cc(CNC2(c3cccc(F)c3)CCOCC2)ccc1F ZINC000174648431 1043070025 /nfs/dbraw/zinc/07/00/25/1043070025.db2.gz YBBONZNJOJJPRP-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)NCc1cccnc1F ZINC000794833533 1043074162 /nfs/dbraw/zinc/07/41/62/1043074162.db2.gz GFXDHNXPSVVACF-MRXNPFEDSA-N 0 3 304.434 4.127 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1cc(F)cc(F)c1 ZINC000120487873 1043080784 /nfs/dbraw/zinc/08/07/84/1043080784.db2.gz KOLMKSGCJYBYMC-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H](O)c1ccc(Cl)cc1 ZINC000120511764 1043082598 /nfs/dbraw/zinc/08/25/98/1043082598.db2.gz NTRSRBBHEFWYMJ-DYVFJYSZSA-N 0 3 319.832 4.123 20 0 DIADHN Cc1cc(CCN[C@@H](c2ccccc2)c2ccc(F)cc2)on1 ZINC000520439379 1043086163 /nfs/dbraw/zinc/08/61/63/1043086163.db2.gz QMJNVUPETSBRFU-IBGZPJMESA-N 0 3 310.372 4.044 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(OC(F)(F)F)c1)c1cccnc1 ZINC000175704421 1043089313 /nfs/dbraw/zinc/08/93/13/1043089313.db2.gz DSFRCFJTPSTCAU-RYUDHWBXSA-N 0 3 310.319 4.392 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(F)c(F)c2)cc1OC ZINC000175989797 1043092448 /nfs/dbraw/zinc/09/24/48/1043092448.db2.gz HYQRHQJBUPDUTF-UHFFFAOYSA-N 0 3 321.367 4.007 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cccc(Cl)c2C)c1 ZINC000865407670 1043093237 /nfs/dbraw/zinc/09/32/37/1043093237.db2.gz KZPMXOFRGGNWCF-ZDUSSCGKSA-N 0 3 316.832 4.458 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccc(CC(F)(F)F)cc2)cc1 ZINC000865429360 1043098058 /nfs/dbraw/zinc/09/80/58/1043098058.db2.gz RYBJZOHSSYZTPP-CYBMUJFWSA-N 0 3 323.358 4.135 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cnc2c(F)cccc2c1 ZINC000865492288 1043104768 /nfs/dbraw/zinc/10/47/68/1043104768.db2.gz QSYJJHJVDOBUBC-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1noc(C)c1CCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000177316942 1043106733 /nfs/dbraw/zinc/10/67/33/1043106733.db2.gz LRYSKPDERIPJRK-HXUWFJFHSA-N 0 3 324.399 4.352 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCOC3(CCCC3)C2)n1 ZINC000865496975 1043107110 /nfs/dbraw/zinc/10/71/10/1043107110.db2.gz XCGVHARBXCKVPB-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cnc2c(F)cccc2c1 ZINC000865520089 1043107799 /nfs/dbraw/zinc/10/77/99/1043107799.db2.gz XRDJAMBLQMTWRR-ZDUSSCGKSA-N 0 3 309.388 4.182 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000865511225 1043108179 /nfs/dbraw/zinc/10/81/79/1043108179.db2.gz XXMPHUZXRHVYQX-LRTDBIEQSA-N 0 3 321.873 4.237 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1nnc(-c2ccccc2)o1 ZINC000177544462 1043109628 /nfs/dbraw/zinc/10/96/28/1043109628.db2.gz DPHDRLIMFHIGDK-CRAIPNDOSA-N 0 3 319.408 4.115 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1nnc(-c2ccccc2)o1 ZINC000177544491 1043110295 /nfs/dbraw/zinc/11/02/95/1043110295.db2.gz DPHDRLIMFHIGDK-YJBOKZPZSA-N 0 3 319.408 4.115 20 0 DIADHN Cc1csc([C@@H](C)CNCc2nc(C(C)(C)C)cs2)n1 ZINC000865528207 1043110387 /nfs/dbraw/zinc/11/03/87/1043110387.db2.gz WAPWMWRAGWJLMB-JTQLQIEISA-N 0 3 309.504 4.099 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(N2CCOC2=O)cc1)c1ccsc1 ZINC000177756757 1043111343 /nfs/dbraw/zinc/11/13/43/1043111343.db2.gz JERRQGCRGLLAKZ-STQMWFEESA-N 0 3 316.426 4.117 20 0 DIADHN C[C@@H]1CC(CCNCc2c(Cl)cncc2Cl)C[C@@H](C)O1 ZINC000865556491 1043113029 /nfs/dbraw/zinc/11/30/29/1043113029.db2.gz FBPCRWSROFHEOJ-GHMZBOCLSA-N 0 3 317.260 4.072 20 0 DIADHN Cc1cc2cc([C@H](C)NCc3cccc(CO)c3)oc2cc1C ZINC000177839487 1043115037 /nfs/dbraw/zinc/11/50/37/1043115037.db2.gz XXZJAVCPEJHFLI-HNNXBMFYSA-N 0 3 309.409 4.393 20 0 DIADHN C[C@@H](N[C@@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccsc1 ZINC000177940254 1043116728 /nfs/dbraw/zinc/11/67/28/1043116728.db2.gz VLHCRYHMBNKYOL-KZULUSFZSA-N 0 3 315.438 4.321 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2cccnc2-n2cccn2)cc1 ZINC000177902017 1043117018 /nfs/dbraw/zinc/11/70/18/1043117018.db2.gz ZEUVQPYUAGRQFO-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN Fc1ccc(-n2ccc(CN3CC[C@H](c4ccccc4)C3)n2)cc1 ZINC000441538550 1043117352 /nfs/dbraw/zinc/11/73/52/1043117352.db2.gz VUKKUGZJDSGMRJ-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN O[C@H]1C[C@@H](NCc2ccc(CC(F)(F)F)cc2)c2ccccc21 ZINC000865626792 1043118696 /nfs/dbraw/zinc/11/86/96/1043118696.db2.gz YPJXGXUZMMEROU-SJORKVTESA-N 0 3 321.342 4.059 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC000178124431 1043120843 /nfs/dbraw/zinc/12/08/43/1043120843.db2.gz TZAVSAGLARGBAN-RYGJVYDSSA-N 0 3 311.425 4.266 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc(CSC)c1)CC2 ZINC000188717228 1043126054 /nfs/dbraw/zinc/12/60/54/1043126054.db2.gz BTZYAXATZBMNAS-IBGZPJMESA-N 0 3 313.466 4.335 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178262888 1043126104 /nfs/dbraw/zinc/12/61/04/1043126104.db2.gz PABRPKRGYKHQHH-WIEQDCTASA-N 0 3 301.455 4.144 20 0 DIADHN C[C@H](NCc1csc(-c2ccccn2)n1)[C@]12C[C@H]1CCCC2 ZINC000865703831 1043126466 /nfs/dbraw/zinc/12/64/66/1043126466.db2.gz MEMAODAJHCPQDG-IYOUNJFTSA-N 0 3 313.470 4.264 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(CSC)c2)cc1 ZINC000188721482 1043127106 /nfs/dbraw/zinc/12/71/06/1043127106.db2.gz YQECISCYXHFPJM-AWEZNQCLSA-N 0 3 301.455 4.409 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000188725350 1043127187 /nfs/dbraw/zinc/12/71/87/1043127187.db2.gz GHJKJZIXWOVHSG-CYBMUJFWSA-N 0 3 315.438 4.129 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCCOc3ccccc31)CCC2 ZINC000178316618 1043127332 /nfs/dbraw/zinc/12/73/32/1043127332.db2.gz QOQBXVHLKQNVBO-HOCLYGCPSA-N 0 3 314.454 4.332 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCCOc3ccccc31)CCC2 ZINC000178316592 1043127723 /nfs/dbraw/zinc/12/77/23/1043127723.db2.gz QOQBXVHLKQNVBO-ZBFHGGJFSA-N 0 3 314.454 4.332 20 0 DIADHN c1cc(-c2nc(CNCC[C@@H]3CCCS3)cs2)cs1 ZINC000865725365 1043128711 /nfs/dbraw/zinc/12/87/11/1043128711.db2.gz YDPXUOQJBIDLHD-ZDUSSCGKSA-N 0 3 310.513 4.247 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1ccc([C@H](C)O)cc1 ZINC000178545932 1043132441 /nfs/dbraw/zinc/13/24/41/1043132441.db2.gz BLHQZTUKMIVEMC-KXBFYZLASA-N 0 3 311.425 4.052 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc([C@H](C)O)cc2)cc1 ZINC000178552664 1043133024 /nfs/dbraw/zinc/13/30/24/1043133024.db2.gz MJFQFLHNZUGSOY-HOTGVXAUSA-N 0 3 313.441 4.378 20 0 DIADHN CSCCN1CC=C(c2ccccc2C(F)(F)F)CC1 ZINC000536350437 1043142544 /nfs/dbraw/zinc/14/25/44/1043142544.db2.gz TVXLPGYQKDOVMU-UHFFFAOYSA-N 0 3 301.377 4.158 20 0 DIADHN Cc1ccc2cc(CNCc3nccc4ccccc43)ccc2n1 ZINC000189291195 1043145706 /nfs/dbraw/zinc/14/57/06/1043145706.db2.gz NATPZQKJILTVSY-UHFFFAOYSA-N 0 3 313.404 4.381 20 0 DIADHN C[C@H](NCc1ccnc(Cl)c1)c1ccc(OC(F)F)cc1 ZINC000179606419 1043149088 /nfs/dbraw/zinc/14/90/88/1043149088.db2.gz KEEYZWWLVROEND-JTQLQIEISA-N 0 3 312.747 4.187 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1CCCN(C)CC1 ZINC000180590431 1043155008 /nfs/dbraw/zinc/15/50/08/1043155008.db2.gz HWYWZHCTEJWGTE-UHFFFAOYSA-N 0 3 317.477 4.103 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@@H](c2ccccc2)c2ccccn2)s1 ZINC000183198536 1043157630 /nfs/dbraw/zinc/15/76/30/1043157630.db2.gz CJGXMWOIYXMGDB-KSSFIOAISA-N 0 3 323.465 4.329 20 0 DIADHN C[C@@H](CCc1cccnc1)N[C@@H](c1ccco1)c1ccccc1 ZINC000866219808 1043160805 /nfs/dbraw/zinc/16/08/05/1043160805.db2.gz YNUPQSJYAYXANI-OXJNMPFZSA-N 0 3 306.409 4.375 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H](C)c2ccc(C3CCC3)cc2)cc1 ZINC000866227509 1043162698 /nfs/dbraw/zinc/16/26/98/1043162698.db2.gz DBPITALJAIEYBD-HNNXBMFYSA-N 0 3 322.452 4.165 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000162407405 1043164090 /nfs/dbraw/zinc/16/40/90/1043164090.db2.gz FHRKGILYJALWCU-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1nc(CN[C@H](C)CCc2cncc3ccccc32)cs1 ZINC000866237879 1043164153 /nfs/dbraw/zinc/16/41/53/1043164153.db2.gz IAPZZUVWTRUQFU-CYBMUJFWSA-N 0 3 311.454 4.111 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1cc2ccccc2[nH]1 ZINC000866247514 1043165752 /nfs/dbraw/zinc/16/57/52/1043165752.db2.gz AOGQPZMYTIQZBZ-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000183517095 1043167203 /nfs/dbraw/zinc/16/72/03/1043167203.db2.gz KAAUUICFDFRDOA-MZPVMMEZSA-N 0 3 320.795 4.055 20 0 DIADHN COc1ccc([C@H](C)CN2CCC[C@@H]2c2csc(C)n2)cc1 ZINC000189937818 1043169960 /nfs/dbraw/zinc/16/99/60/1043169960.db2.gz DOTBMFXMSCMOKI-FZKQIMNGSA-N 0 3 316.470 4.401 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)CCc3cccnc3)co2)cc1 ZINC000866276106 1043170594 /nfs/dbraw/zinc/17/05/94/1043170594.db2.gz LEQLVVIWQWTWJU-INIZCTEOSA-N 0 3 321.424 4.156 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000163287160 1043174158 /nfs/dbraw/zinc/17/41/58/1043174158.db2.gz MOQMAAWZQAOTHV-ZFWWWQNUSA-N 0 3 303.406 4.005 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H](c2ccccn2)C(C)C)n1 ZINC000866306900 1043175440 /nfs/dbraw/zinc/17/54/40/1043175440.db2.gz ONSQPVIYCQKRGG-FQEVSTJZSA-N 0 3 320.440 4.063 20 0 DIADHN Cc1ccc(Br)cc1CN[C@H](C)c1ccncc1 ZINC000190157451 1043175437 /nfs/dbraw/zinc/17/54/37/1043175437.db2.gz QLCTWLCPNQJDOR-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccc(Br)cc1CN[C@H](C)c1cccnc1 ZINC000190157410 1043175691 /nfs/dbraw/zinc/17/56/91/1043175691.db2.gz XDWYZCGRIKFLIW-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](CN(C)[C@@H](C)c1nc2ccccc2o1)c1nccs1 ZINC000183986512 1043176552 /nfs/dbraw/zinc/17/65/52/1043176552.db2.gz YOWOTNZLWCATJV-RYUDHWBXSA-N 0 3 301.415 4.081 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc([S@@](C)=O)cc1 ZINC000183992763 1043177480 /nfs/dbraw/zinc/17/74/80/1043177480.db2.gz ZHEMYPCFYKJESR-VERVWZFWSA-N 0 3 323.408 4.212 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3cnn(C)c3c2)C2CCC2)o1 ZINC000579421563 1043180180 /nfs/dbraw/zinc/18/01/80/1043180180.db2.gz MZYDVVGKRAXHFW-LJQANCHMSA-N 0 3 309.413 4.106 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(OCC(F)(F)F)cc2)c1 ZINC000184264973 1043180482 /nfs/dbraw/zinc/18/04/82/1043180482.db2.gz UDOQQVCEMWIWEW-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](C)c2cccc(OC(F)F)c2)c1 ZINC000184280346 1043181206 /nfs/dbraw/zinc/18/12/06/1043181206.db2.gz YHBMJKAYPFUTMR-STQMWFEESA-N 0 3 306.356 4.403 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)c2cncc(C)c2)cc1OC ZINC000184278879 1043181218 /nfs/dbraw/zinc/18/12/18/1043181218.db2.gz OFYNQCAIFFHGAC-HUUCEWRRSA-N 0 3 314.429 4.127 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H](C)c2cccc(OC(F)F)c2)c1 ZINC000184280324 1043181577 /nfs/dbraw/zinc/18/15/77/1043181577.db2.gz YHBMJKAYPFUTMR-QWHCGFSZSA-N 0 3 306.356 4.403 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(Br)cc2)c1 ZINC000184322469 1043183041 /nfs/dbraw/zinc/18/30/41/1043183041.db2.gz PHLZZRUZCWXHAY-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CSCc1ccc(CN[C@@H](c2ccccn2)C(C)C)cc1 ZINC000866359507 1043187717 /nfs/dbraw/zinc/18/77/17/1043187717.db2.gz TYVPCKWUSVLRMD-GOSISDBHSA-N 0 3 300.471 4.432 20 0 DIADHN Cc1nn(C)cc1CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000185140126 1043195397 /nfs/dbraw/zinc/19/53/97/1043195397.db2.gz BIFYYDRQTTXBPY-CYBMUJFWSA-N 0 3 313.832 4.386 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(OC(C)(C)C)cc1 ZINC000185220686 1043197623 /nfs/dbraw/zinc/19/76/23/1043197623.db2.gz PXRDNVRAWTTWND-OAHLLOKOSA-N 0 3 313.441 4.207 20 0 DIADHN CCSc1cccc(CNCc2ccc([C@@H](C)O)cc2)c1 ZINC000185488282 1043202897 /nfs/dbraw/zinc/20/28/97/1043202897.db2.gz HKNXPKAYBIISEL-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN CCSc1cccc(CN[C@H](CCCO)c2ccccc2)c1 ZINC000185550961 1043203029 /nfs/dbraw/zinc/20/30/29/1043203029.db2.gz DTCQUEJLVLYLIK-LJQANCHMSA-N 0 3 315.482 4.402 20 0 DIADHN CC[C@@H](Cc1cn(C)c2ccccc12)N[C@@H](C)c1cc(C)on1 ZINC000866453382 1043205055 /nfs/dbraw/zinc/20/50/55/1043205055.db2.gz WJQAIFYDUQTVBX-HOCLYGCPSA-N 0 3 311.429 4.147 20 0 DIADHN CC[C@@H](Cc1cn(C)c2ccccc12)N[C@H](C)c1cc(C)on1 ZINC000866453384 1043205144 /nfs/dbraw/zinc/20/51/44/1043205144.db2.gz WJQAIFYDUQTVBX-ZBFHGGJFSA-N 0 3 311.429 4.147 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc(C)s1)c1ccc2c(c1)CCO2 ZINC000866454157 1043205673 /nfs/dbraw/zinc/20/56/73/1043205673.db2.gz GIPLVFWCOICUMB-XHDPSFHLSA-N 0 3 302.443 4.188 20 0 DIADHN CN(CCc1ccccc1)Cc1cc(-c2ccc(F)cc2)no1 ZINC000520528433 1043209711 /nfs/dbraw/zinc/20/97/11/1043209711.db2.gz OJGKPDSMHCPTDB-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2sccc2OC)cc1 ZINC000186103737 1043214877 /nfs/dbraw/zinc/21/48/77/1043214877.db2.gz PDLKTAWQSXCXOZ-CYBMUJFWSA-N 0 3 305.443 4.144 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H]2CCC23CCOCC3)cs1 ZINC000866529798 1043217294 /nfs/dbraw/zinc/21/72/94/1043217294.db2.gz SIERAOPDCHRMOY-KGLIPLIRSA-N 0 3 308.491 4.124 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H](C)Cc2ccc(O)cc2)cs1 ZINC000186320032 1043220658 /nfs/dbraw/zinc/22/06/58/1043220658.db2.gz JRYUZNWGNIMCJU-QWHCGFSZSA-N 0 3 304.459 4.254 20 0 DIADHN C[C@@H](NCC(C)(C)C(C)(F)F)c1ncc(-c2ccccc2)n1C ZINC000866545264 1043221894 /nfs/dbraw/zinc/22/18/94/1043221894.db2.gz FBBIGOYLYVIMGO-CYBMUJFWSA-N 0 3 321.415 4.419 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H](C)c2nc3c(s2)CCCC3)n1 ZINC000186577785 1043229974 /nfs/dbraw/zinc/22/99/74/1043229974.db2.gz WGYPMUPYNYLPOC-JQWIXIFHSA-N 0 3 321.515 4.241 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1cc(F)cc(F)c1 ZINC000186611826 1043231255 /nfs/dbraw/zinc/23/12/55/1043231255.db2.gz ARKXZGMDSLQJIQ-LBPRGKRZSA-N 0 3 305.368 4.043 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)C(F)(F)F)c1cncc(F)c1 ZINC000186841643 1043235852 /nfs/dbraw/zinc/23/58/52/1043235852.db2.gz BJSGDHYWCQJBJJ-IAQYHMDHSA-N 0 3 312.310 4.217 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](C)c1cc2cnccc2o1 ZINC000866622001 1043238319 /nfs/dbraw/zinc/23/83/19/1043238319.db2.gz LAVHAFZCKXVIRO-PXAZEXFGSA-N 0 3 308.381 4.175 20 0 DIADHN CC(C)CC[C@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000427610070 1043239380 /nfs/dbraw/zinc/23/93/80/1043239380.db2.gz SOROVKFAVBNRJR-QWRGUYRKSA-N 0 3 317.246 4.459 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2cnccc2o1)c1ccc2c(c1)COC2 ZINC000866622330 1043239954 /nfs/dbraw/zinc/23/99/54/1043239954.db2.gz YWMMLMYXIKMXRW-OLZOCXBDSA-N 0 3 308.381 4.270 20 0 DIADHN CCc1ccccc1NC(=O)CN1CCC[C@H]1C(C)(C)CC ZINC000441638538 1043241542 /nfs/dbraw/zinc/24/15/42/1043241542.db2.gz XTZKJIQLWQHRGK-KRWDZBQOSA-N 0 3 302.462 4.088 20 0 DIADHN CN(Cc1nc2ccccc2n1C(F)F)C[C@@H]1CC=CCC1 ZINC000520650235 1043248740 /nfs/dbraw/zinc/24/87/40/1043248740.db2.gz OSGKTJUIHGDCJR-CYBMUJFWSA-N 0 3 305.372 4.220 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccs1)CCCS2 ZINC000187695957 1043249531 /nfs/dbraw/zinc/24/95/31/1043249531.db2.gz OOKXYMAPKAGVFC-OAHLLOKOSA-N 0 3 305.468 4.474 20 0 DIADHN CCC[C@@H](N[C@H](CCO)c1ccc(OC)cc1)c1ccsc1 ZINC000187763922 1043249695 /nfs/dbraw/zinc/24/96/95/1043249695.db2.gz ZAYYFUAOGKVSEP-QZTJIDSGSA-N 0 3 319.470 4.311 20 0 DIADHN CCSc1cc(CN[C@H](C)c2ccc(OC)cc2)ccn1 ZINC000187798970 1043252084 /nfs/dbraw/zinc/25/20/84/1043252084.db2.gz XRNRHGAHJMZCAZ-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(OC(F)F)cc2)ccc1C ZINC000237222566 1043256165 /nfs/dbraw/zinc/25/61/65/1043256165.db2.gz FGMHFYIXQODXTN-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN CC1(C)[C@H](N)c2ccccc2N1C(=O)c1cccc(C(F)F)c1 ZINC000867988479 1043268815 /nfs/dbraw/zinc/26/88/15/1043268815.db2.gz MLZJPGWTGJGNCU-OAHLLOKOSA-N 0 3 316.351 4.063 20 0 DIADHN CC1(C)[C@H](N)c2ccccc2N1C(=O)c1sccc1C1CC1 ZINC000867990598 1043269593 /nfs/dbraw/zinc/26/95/93/1043269593.db2.gz FGWLBWGJJRVBTH-MRXNPFEDSA-N 0 3 312.438 4.064 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487398870 1043270694 /nfs/dbraw/zinc/27/06/94/1043270694.db2.gz BLCOYFVJANILGN-WCQYABFASA-N 0 3 321.371 4.025 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1ccc(NC(=O)C2CC2)cc1 ZINC000192632485 1043272105 /nfs/dbraw/zinc/27/21/05/1043272105.db2.gz RYHAHPFANNYJPB-UGSOOPFHSA-N 0 3 324.424 4.356 20 0 DIADHN C[C@@H](N[C@@H](CCc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192755750 1043275218 /nfs/dbraw/zinc/27/52/18/1043275218.db2.gz TWVOHFKPYXGBGG-XLIONFOSSA-N 0 3 319.452 4.445 20 0 DIADHN Cc1cccc(-c2cccc(CN[C@H](C)c3ccnn3C)c2)c1 ZINC000192806356 1043275275 /nfs/dbraw/zinc/27/52/75/1043275275.db2.gz GFHLVZUWMBBTNP-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN c1cnc2ccc(CNCc3ccc(OCC4CC4)cc3)cc2c1 ZINC000192976794 1043278208 /nfs/dbraw/zinc/27/82/08/1043278208.db2.gz VAKDDFPFFOETGJ-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(-c3ccccc3)cc21)c1ccnn1C ZINC000193315820 1043281787 /nfs/dbraw/zinc/28/17/87/1043281787.db2.gz KRWGGMSUNHOOEJ-FOIQADDNSA-N 0 3 317.436 4.425 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000427694087 1043295209 /nfs/dbraw/zinc/29/52/09/1043295209.db2.gz IKRKSKCTHAHHAB-HBNTYKKESA-N 0 3 317.246 4.459 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc2c(c1)OCCO2 ZINC000194834070 1043295611 /nfs/dbraw/zinc/29/56/11/1043295611.db2.gz NIEVGABSEUPUGQ-CYBMUJFWSA-N 0 3 315.438 4.031 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ncc(Br)cc1F ZINC000427699504 1043298670 /nfs/dbraw/zinc/29/86/70/1043298670.db2.gz XPMPMVMEOCVOGS-OPQQBVKSSA-N 0 3 315.230 4.213 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cnc(F)c(C)c1)CC2 ZINC000895135320 1043307094 /nfs/dbraw/zinc/30/70/94/1043307094.db2.gz IYNAPOUVRZABOP-UHFFFAOYSA-N 0 3 323.415 4.169 20 0 DIADHN CCSc1cc(CN[C@H]2c3cc(F)ccc3C[C@@H]2C)ccn1 ZINC000427873041 1043312245 /nfs/dbraw/zinc/31/22/45/1043312245.db2.gz YVKWQAJXENMTNU-KPZWWZAWSA-N 0 3 316.445 4.356 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cnc(F)cc1C)CC2 ZINC000895136445 1043314667 /nfs/dbraw/zinc/31/46/67/1043314667.db2.gz VMPRDRIQQJQXTI-UHFFFAOYSA-N 0 3 323.415 4.169 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(N3CCCC3)nc2)c2ccccc21 ZINC000268236785 1043315694 /nfs/dbraw/zinc/31/56/94/1043315694.db2.gz WAZCIAKONAXZDN-HNAYVOBHSA-N 0 3 307.441 4.020 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@@H](C)c2cccnc2Cl)c1 ZINC000122783440 1043327850 /nfs/dbraw/zinc/32/78/50/1043327850.db2.gz QEDKDDLDPNIFDB-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN CC[C@@H](NCc1cc(F)cc(F)c1)c1ccc(OC)c(OC)c1 ZINC000268762363 1043328902 /nfs/dbraw/zinc/32/89/02/1043328902.db2.gz OOVVGGUUBPEYDY-MRXNPFEDSA-N 0 3 321.367 4.223 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](C)c2ccc3c(c2)COC3)c1 ZINC000268777737 1043329252 /nfs/dbraw/zinc/32/92/52/1043329252.db2.gz WITFQFTUJYEWJF-ZIAGYGMSSA-N 0 3 324.424 4.087 20 0 DIADHN CC(C)c1nc(CN(CC2CCC2)[C@H](C)c2ccccc2)n[nH]1 ZINC000428234112 1043330239 /nfs/dbraw/zinc/33/02/39/1043330239.db2.gz XPZZNVFTBXQGPN-OAHLLOKOSA-N 0 3 312.461 4.291 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(N3CCCCC3)nc2)s1 ZINC000268788839 1043331570 /nfs/dbraw/zinc/33/15/70/1043331570.db2.gz TWEFOFVPRSJGDS-HNNXBMFYSA-N 0 3 315.486 4.293 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cccc(C(=O)NC3CC3)c2)c1 ZINC000268847210 1043335606 /nfs/dbraw/zinc/33/56/06/1043335606.db2.gz SAKOMYIXMULASU-MRXNPFEDSA-N 0 3 322.452 4.046 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2csc(C)n2)cc1 ZINC000268864945 1043337413 /nfs/dbraw/zinc/33/74/13/1043337413.db2.gz FIOVZTKPCWBMBP-OLZOCXBDSA-N 0 3 304.459 4.132 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2csc(C)n2)cc1 ZINC000268864946 1043339346 /nfs/dbraw/zinc/33/93/46/1043339346.db2.gz FIOVZTKPCWBMBP-QWHCGFSZSA-N 0 3 304.459 4.132 20 0 DIADHN CC1(C)Cc2occc2[C@H](N[C@H](CCCO)c2ccccc2)C1 ZINC000268949083 1043344487 /nfs/dbraw/zinc/34/44/87/1043344487.db2.gz BUWXBXZZJPATKA-QZTJIDSGSA-N 0 3 313.441 4.397 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1ccc2ccccc2n1 ZINC000268957290 1043346069 /nfs/dbraw/zinc/34/60/69/1043346069.db2.gz ZEUNJRXCSRJUJM-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN CCn1cc([C@H](C)NCc2cc(C)cc3c(C)c(C)[nH]c32)cn1 ZINC000268960402 1043347624 /nfs/dbraw/zinc/34/76/24/1043347624.db2.gz ZXODVXVYLGUCHF-HNNXBMFYSA-N 0 3 310.445 4.160 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(N)ccc21)c1ccc(Cl)c(F)c1 ZINC000665270536 1043348317 /nfs/dbraw/zinc/34/83/17/1043348317.db2.gz ZUVCWTGLZXOCGO-DYZYQPBXSA-N 0 3 304.796 4.399 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000269048730 1043354706 /nfs/dbraw/zinc/35/47/06/1043354706.db2.gz VKZPLIQLKAWKAO-JBACZVJFSA-N 0 3 323.436 4.192 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2sc(C(C)(C)C)nc2C)o1 ZINC000269057734 1043355166 /nfs/dbraw/zinc/35/51/66/1043355166.db2.gz SNGKJOWYKIAVLP-YPMHNXCESA-N 0 3 322.474 4.035 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H](C)CC3)cs2)cc1 ZINC000521091760 1043362400 /nfs/dbraw/zinc/36/24/00/1043362400.db2.gz GIPYSRGFEOIXMW-AWEZNQCLSA-N 0 3 316.470 4.441 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H](c2cccs2)N2CCCC2)cs1 ZINC000269403284 1043365892 /nfs/dbraw/zinc/36/58/92/1043365892.db2.gz HAQUDSLBTSEVIM-IUODEOHRSA-N 0 3 321.515 4.001 20 0 DIADHN CC(C)CCN(Cc1nc(-c2ccco2)no1)C1CCCC1 ZINC000521188965 1043370249 /nfs/dbraw/zinc/37/02/49/1043370249.db2.gz SSTKDHWCZLQRMA-UHFFFAOYSA-N 0 3 303.406 4.120 20 0 DIADHN CCCN(CC(=O)N1CCCC[C@H]1C)[C@H](CC)c1ccccc1 ZINC000521193500 1043370585 /nfs/dbraw/zinc/37/05/85/1043370585.db2.gz GMIXXXXMWPWWHI-IEBWSBKVSA-N 0 3 316.489 4.251 20 0 DIADHN CCCN(CC(=O)N1CCCC[C@H]1C)[C@@H](CC)c1ccccc1 ZINC000521193501 1043370830 /nfs/dbraw/zinc/37/08/30/1043370830.db2.gz GMIXXXXMWPWWHI-MJGOQNOKSA-N 0 3 316.489 4.251 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1)[C@H]1CCc2ccccc21 ZINC000521193620 1043370931 /nfs/dbraw/zinc/37/09/31/1043370931.db2.gz LELZKDZFVPANLE-IBGZPJMESA-N 0 3 308.425 4.025 20 0 DIADHN COC[C@@H]1CCN([C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)C1 ZINC000269801558 1043373582 /nfs/dbraw/zinc/37/35/82/1043373582.db2.gz UMQVTIUFJFXPHD-CHWSQXEVSA-N 0 3 320.820 4.024 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@@H](C2CCC2)C1 ZINC000665284855 1043384283 /nfs/dbraw/zinc/38/42/83/1043384283.db2.gz WWRVPLGOFPTAHU-QGZVFWFLSA-N 0 3 314.473 4.179 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@H](C)c1cc(F)ccc1F ZINC000270667036 1043392423 /nfs/dbraw/zinc/39/24/23/1043392423.db2.gz VFJLRGNYSXFPDZ-UEKVPHQBSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000270686730 1043395104 /nfs/dbraw/zinc/39/51/04/1043395104.db2.gz UWFLLQVJFSSEJG-GBOPCIDUSA-N 0 3 305.443 4.066 20 0 DIADHN Clc1cccc(CN2CCCC[C@@H]2CNc2ccccn2)c1 ZINC000557876573 1043408411 /nfs/dbraw/zinc/40/84/11/1043408411.db2.gz UCQUAIQNXCOGQF-QGZVFWFLSA-N 0 3 315.848 4.202 20 0 DIADHN CC(C)(C)c1csc(CNC2CCC(C(F)F)CC2)n1 ZINC000442784024 1043419402 /nfs/dbraw/zinc/41/94/02/1043419402.db2.gz OSEJUBKMTZFORE-UHFFFAOYSA-N 0 3 302.434 4.354 20 0 DIADHN COc1ccc(C(C)(C)CN[C@@H](C)c2csc(C)n2)cc1 ZINC000271464504 1043421672 /nfs/dbraw/zinc/42/16/72/1043421672.db2.gz KPFGKRKBJOLHKT-LBPRGKRZSA-N 0 3 304.459 4.089 20 0 DIADHN CC[C@@H](CCC(F)(F)F)NCc1nc(C)c2ccccc2n1 ZINC000872030906 1043426494 /nfs/dbraw/zinc/42/64/94/1043426494.db2.gz KOYDTOOYVJVMEE-LBPRGKRZSA-N 0 3 311.351 4.149 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000271505181 1043426641 /nfs/dbraw/zinc/42/66/41/1043426641.db2.gz MPGJELIGSYLNJL-HBUWYVDXSA-N 0 3 318.848 4.032 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CC(C)(C)Sc2ccccc21 ZINC000872031888 1043427928 /nfs/dbraw/zinc/42/79/28/1043427928.db2.gz NNTMKXUSBWZSTQ-WBMJQRKESA-N 0 3 315.486 4.395 20 0 DIADHN CC(C)COC[C@H](N[C@@H]1CCOC2(CCCC2)C1)c1ccco1 ZINC000872031249 1043428250 /nfs/dbraw/zinc/42/82/50/1043428250.db2.gz NITRZVNCXJHGGD-SJORKVTESA-N 0 3 321.461 4.075 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CC(C)(C)Sc2ccccc21 ZINC000872031885 1043428260 /nfs/dbraw/zinc/42/82/60/1043428260.db2.gz NNTMKXUSBWZSTQ-BLLLJJGKSA-N 0 3 315.486 4.395 20 0 DIADHN CC(C)COC[C@H](N[C@@H]1CCO[C@@H](C(C)(C)C)C1)c1ccco1 ZINC000872032134 1043428345 /nfs/dbraw/zinc/42/83/45/1043428345.db2.gz XMJVVNJPARSIOE-SOLBZPMBSA-N 0 3 323.477 4.177 20 0 DIADHN Cc1cccc([C@@H](O)CN[C@H](C)c2cc(-c3ccccc3)no2)c1 ZINC000925554726 1043429070 /nfs/dbraw/zinc/42/90/70/1043429070.db2.gz BFTOXFKCAPEYHA-BEFAXECRSA-N 0 3 322.408 4.034 20 0 DIADHN CC(C)COC[C@H](N[C@H]1CCO[C@H](C(C)(C)C)C1)c1ccco1 ZINC000872032132 1043429456 /nfs/dbraw/zinc/42/94/56/1043429456.db2.gz XMJVVNJPARSIOE-BQFCYCMXSA-N 0 3 323.477 4.177 20 0 DIADHN CC(C)[C@@H](C[NH2+][C@@H](C)c1cccc([O-])c1F)c1cccnc1 ZINC000872041983 1043433244 /nfs/dbraw/zinc/43/32/44/1043433244.db2.gz LTUSZELENJDDEZ-XJKSGUPXSA-N 0 3 302.393 4.017 20 0 DIADHN C[C@H](N[C@H](c1cccc(Cl)c1)C1CCCC1)c1cnnn1C ZINC000925579361 1043433478 /nfs/dbraw/zinc/43/34/78/1043433478.db2.gz DNRNGRJDHLXKAS-SJCJKPOMSA-N 0 3 318.852 4.051 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cnccc2C)cc1OC ZINC000271544869 1043434635 /nfs/dbraw/zinc/43/46/35/1043434635.db2.gz SXXNJXNFMIXAEX-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H]3CCO[C@@H](C)C3)cs2)cc1 ZINC000271539986 1043434815 /nfs/dbraw/zinc/43/48/15/1043434815.db2.gz SJPPZJGXGXTFLC-GJZGRUSLSA-N 0 3 316.470 4.023 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc(O)c(OCC)c2)c1 ZINC000271538475 1043434983 /nfs/dbraw/zinc/43/49/83/1043434983.db2.gz YCGBYCQWFKTLKI-CQSZACIVSA-N 0 3 315.413 4.040 20 0 DIADHN C[C@@H]([NH2+][C@H]1CCOC2(CCCCC2)C1)c1cccc([O-])c1F ZINC000872049015 1043435357 /nfs/dbraw/zinc/43/53/57/1043435357.db2.gz ODERCVDKNMSWDS-KGLIPLIRSA-N 0 3 307.409 4.064 20 0 DIADHN CC(C)OC1CCC(N[C@H]2COCc3cccc(Cl)c32)CC1 ZINC000925609427 1043438748 /nfs/dbraw/zinc/43/87/48/1043438748.db2.gz BDZMMMOLEQGUEM-DQPZFDDXSA-N 0 3 323.864 4.237 20 0 DIADHN Cc1cc(C[NH+](C)C)cc(N[C@H](C)c2cccc([O-])c2F)c1 ZINC000872056947 1043439141 /nfs/dbraw/zinc/43/91/41/1043439141.db2.gz BDZUPEXADSHVMR-CYBMUJFWSA-N 0 3 302.393 4.074 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000271582234 1043439520 /nfs/dbraw/zinc/43/95/20/1043439520.db2.gz WZUPGIGQOUYSMD-GXZWQRSESA-N 0 3 301.455 4.144 20 0 DIADHN CC[C@@H](CCC(F)(F)F)NCc1cc(COC(C)(C)C)on1 ZINC000872062467 1043444345 /nfs/dbraw/zinc/44/43/45/1043444345.db2.gz HLLNUOUCIHZVRK-NSHDSACASA-N 0 3 322.371 4.200 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000271613431 1043445086 /nfs/dbraw/zinc/44/50/86/1043445086.db2.gz AYQWFBDFUYQZOX-CORIIIEPSA-N 0 3 323.387 4.040 20 0 DIADHN Cc1cccc([C@@H](NC2CC3(C2)CO[C@@H](C)C3)c2ccccn2)c1 ZINC000925663492 1043449532 /nfs/dbraw/zinc/44/95/32/1043449532.db2.gz UFZSAKQRVXSFFM-SKKUXFBHSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2c[nH]c3c2cccc3F)C1 ZINC001137056427 1043458044 /nfs/dbraw/zinc/45/80/44/1043458044.db2.gz USWNERVEVPTFRM-INIZCTEOSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1cc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)c(C)s1 ZINC000777572432 1043459319 /nfs/dbraw/zinc/45/93/19/1043459319.db2.gz JOYWNNIGEAXHPC-LBPRGKRZSA-N 0 3 312.442 4.001 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1ccncc1)c1ccc(Cl)cc1 ZINC000283088630 1043459646 /nfs/dbraw/zinc/45/96/46/1043459646.db2.gz LCXXCXGMUBYTBV-DYVFJYSZSA-N 0 3 304.821 4.116 20 0 DIADHN O=C(CCN1CC[C@H](c2cccc(F)c2)C1)c1ccc(F)cc1 ZINC000558228035 1043462155 /nfs/dbraw/zinc/46/21/55/1043462155.db2.gz ZVJYGOFHPQHOEW-INIZCTEOSA-N 0 3 315.363 4.027 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCc1cc(N)ccn1 ZINC000925770758 1043466613 /nfs/dbraw/zinc/46/66/13/1043466613.db2.gz IPGANPJMGVNQRX-CYBMUJFWSA-N 0 3 309.413 4.132 20 0 DIADHN CC(C)(C)C[C@H](NCc1cc(N)ccn1)c1ccc(F)cc1 ZINC000925770052 1043467114 /nfs/dbraw/zinc/46/71/14/1043467114.db2.gz FMDMSAMZBUQJOT-KRWDZBQOSA-N 0 3 301.409 4.070 20 0 DIADHN CCC[C@@H](NCc1ccc2oc(=O)[nH]c2c1)c1ccsc1 ZINC000872123509 1043468302 /nfs/dbraw/zinc/46/83/02/1043468302.db2.gz WKSBEMSRXZXHPT-CYBMUJFWSA-N 0 3 302.399 4.226 20 0 DIADHN CC[C@@H](NCc1cc(N)ccn1)c1ccc(Cl)cc1Cl ZINC000925772275 1043469994 /nfs/dbraw/zinc/46/99/94/1043469994.db2.gz RKTUCCWHANVFPZ-OAHLLOKOSA-N 0 3 310.228 4.212 20 0 DIADHN COc1ccc2oc(CN3CCC=C(c4ccncc4)C3)cc2c1 ZINC000348838058 1043471975 /nfs/dbraw/zinc/47/19/75/1043471975.db2.gz LTWVXHXDKPUBRV-UHFFFAOYSA-N 0 3 320.392 4.126 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cc1C ZINC000558255339 1043473423 /nfs/dbraw/zinc/47/34/23/1043473423.db2.gz FFSMCYYSANPCHW-OXQOHEQNSA-N 0 3 314.448 4.396 20 0 DIADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000558254951 1043474536 /nfs/dbraw/zinc/47/45/36/1043474536.db2.gz CYIBDDVWKCIOGJ-GJZGRUSLSA-N 0 3 311.454 4.216 20 0 DIADHN c1ccc(OCC2CC2)c(CN2CCC=C(c3ccncc3)C2)c1 ZINC000348847020 1043474699 /nfs/dbraw/zinc/47/46/99/1043474699.db2.gz HMGUMSBPBIEZKJ-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@]1(CO)CCCc2ccccc21 ZINC000443063194 1043475005 /nfs/dbraw/zinc/47/50/05/1043475005.db2.gz DAMSFYXKLVFQES-OAQYLSRUSA-N 0 3 321.420 4.055 20 0 DIADHN C[C@H](NC1CC(Nc2ccccc2)C1)c1ccncc1Cl ZINC000872132171 1043476235 /nfs/dbraw/zinc/47/62/35/1043476235.db2.gz CQFCDMRYKSKFSB-GRTSSRMGSA-N 0 3 301.821 4.029 20 0 DIADHN Fc1cccc(C[C@H](N[C@H]2C[C@@H]2C(F)F)c2cccc(F)c2)c1 ZINC000558257690 1043476240 /nfs/dbraw/zinc/47/62/40/1043476240.db2.gz NGJJNTODQOWGSE-ULQDDVLXSA-N 0 3 323.333 4.492 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(-c3ccccc3)cc2F)[C@@H](C)C1 ZINC000443069088 1043477260 /nfs/dbraw/zinc/47/72/60/1043477260.db2.gz OQPKNWVJXUFRQX-HNAYVOBHSA-N 0 3 313.416 4.492 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777576068 1043477651 /nfs/dbraw/zinc/47/76/51/1043477651.db2.gz UVFCHGSFZBLQGU-GOSISDBHSA-N 0 3 320.440 4.275 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(-c3ccccc3)cc2F)[C@H](C)C1 ZINC000443069086 1043478083 /nfs/dbraw/zinc/47/80/83/1043478083.db2.gz OQPKNWVJXUFRQX-BEFAXECRSA-N 0 3 313.416 4.492 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1cncs1 ZINC000558276926 1043479816 /nfs/dbraw/zinc/47/98/16/1043479816.db2.gz FGOLTYVHRAMBGV-UHOFOFEASA-N 0 3 302.443 4.040 20 0 DIADHN c1ccc(-c2noc([C@H]3CCCCN3CC3CCCC3)n2)cc1 ZINC000521801043 1043480053 /nfs/dbraw/zinc/48/00/53/1043480053.db2.gz WEGJTNCVQYOFQM-QGZVFWFLSA-N 0 3 311.429 4.454 20 0 DIADHN C[C@H]1CC[C@@H](NCc2nnc(-c3ccccc3)[nH]2)c2ccccc21 ZINC000777576613 1043481874 /nfs/dbraw/zinc/48/18/74/1043481874.db2.gz XFTDJAFKKBSSSW-KBXCAEBGSA-N 0 3 318.424 4.200 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](NCc2ccsc2)c2ccccc21 ZINC000283439909 1043485717 /nfs/dbraw/zinc/48/57/17/1043485717.db2.gz TUDJEJSIIIFOJE-SJORKVTESA-N 0 3 315.438 4.020 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(C)c(C(=O)OC)o1 ZINC000521824009 1043487319 /nfs/dbraw/zinc/48/73/19/1043487319.db2.gz YWXFQNMYVGDYMJ-KRWDZBQOSA-N 0 3 315.413 4.348 20 0 DIADHN CCCOc1ccc(CNCc2ccc(C(C)(C)C)cc2)cn1 ZINC000521842787 1043488310 /nfs/dbraw/zinc/48/83/10/1043488310.db2.gz QNWGNFCOMHZMJH-UHFFFAOYSA-N 0 3 312.457 4.458 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N(C)Cc1ccccc1 ZINC000521822957 1043488376 /nfs/dbraw/zinc/48/83/76/1043488376.db2.gz FOIQNLQPBQBEBX-FQEVSTJZSA-N 0 3 324.468 4.118 20 0 DIADHN CCn1ncc2c1CCC[C@@H]2N[C@@H](C)c1cc2ccccc2[nH]1 ZINC000925886923 1043488671 /nfs/dbraw/zinc/48/86/71/1043488671.db2.gz NGOFZFMKECIYEO-GUYCJALGSA-N 0 3 308.429 4.113 20 0 DIADHN COc1ccsc1CN1CCCCC[C@@H]1c1c(C)n[nH]c1C ZINC000349143424 1043493916 /nfs/dbraw/zinc/49/39/16/1043493916.db2.gz BBLZWGXOJMMGTD-CQSZACIVSA-N 0 3 319.474 4.214 20 0 DIADHN COc1ccsc1CN1CCCCC[C@H]1c1c(C)n[nH]c1C ZINC000349143423 1043494072 /nfs/dbraw/zinc/49/40/72/1043494072.db2.gz BBLZWGXOJMMGTD-AWEZNQCLSA-N 0 3 319.474 4.214 20 0 DIADHN Cc1cccc(CN(CCc2ccccn2)Cc2ccncc2)c1 ZINC000349244220 1043498956 /nfs/dbraw/zinc/49/89/56/1043498956.db2.gz OEODZCWXWQMZRT-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccsc2)c(C)c1OC ZINC000558398828 1043499241 /nfs/dbraw/zinc/49/92/41/1043499241.db2.gz ZTUHTGWRHSJZOO-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3c1cccc3F)CCC2 ZINC001137059652 1043500084 /nfs/dbraw/zinc/50/00/84/1043500084.db2.gz BIIDSPZUJGZXFJ-UHFFFAOYSA-N 0 3 324.399 4.264 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccc(Cl)cn1 ZINC000558410262 1043504361 /nfs/dbraw/zinc/50/43/61/1043504361.db2.gz NYXPHUOZHNSECT-GOEBONIOSA-N 0 3 300.833 4.188 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1CN[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000558418589 1043506213 /nfs/dbraw/zinc/50/62/13/1043506213.db2.gz JNGHOKMCJJIVPL-USXIJHARSA-N 0 3 321.317 4.272 20 0 DIADHN COC[C@H](N[C@H]1CS[C@@H](C(C)C)C1)c1cccc(Cl)c1 ZINC000558426451 1043508984 /nfs/dbraw/zinc/50/89/84/1043508984.db2.gz LHDPSFUSERQFOF-OWCLPIDISA-N 0 3 313.894 4.147 20 0 DIADHN CC(C)COc1ccc(CN2CCC[C@H]2c2cccnc2)cc1 ZINC000521942458 1043511889 /nfs/dbraw/zinc/51/18/89/1043511889.db2.gz MYMOXDMKTMGWFP-FQEVSTJZSA-N 0 3 310.441 4.454 20 0 DIADHN c1ccc(OCC2CC2)c(CNCc2cccc3ncccc23)c1 ZINC000873058563 1043512929 /nfs/dbraw/zinc/51/29/29/1043512929.db2.gz QJRDSBWXQMSUEP-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN Cc1ccc(F)cc1-c1noc([C@H](C)N2[C@H](C)CC[C@@H]2C)n1 ZINC000443209627 1043512722 /nfs/dbraw/zinc/51/27/22/1043512722.db2.gz GDTJPZNSEPBNMI-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CNCc1ncc(Cl)s1 ZINC000349598541 1043514220 /nfs/dbraw/zinc/51/42/20/1043514220.db2.gz MOSGZQGEKDBLIC-LBPRGKRZSA-N 0 3 322.861 4.015 20 0 DIADHN Cc1ccc2c(c1C)OC[C@@H]2NCc1cccc2ncccc12 ZINC000873044979 1043514445 /nfs/dbraw/zinc/51/44/45/1043514445.db2.gz CUSHKXVVQGEXPP-IBGZPJMESA-N 0 3 304.393 4.075 20 0 DIADHN Clc1cccc(Cl)c1[C@H](NC1CCOCC1)C1CC1 ZINC000558469938 1043514973 /nfs/dbraw/zinc/51/49/73/1043514973.db2.gz KKQRDGNAKBYNCG-OAHLLOKOSA-N 0 3 300.229 4.213 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1cccc(Cl)c1Cl ZINC000558533115 1043532575 /nfs/dbraw/zinc/53/25/75/1043532575.db2.gz DOAXLYCPCWBRCL-OAHLLOKOSA-N 0 3 304.261 4.270 20 0 DIADHN CCn1cncc1CN(C1CC1)C1CC(c2ccccc2C)C1 ZINC000417800657 1043521196 /nfs/dbraw/zinc/52/11/96/1043521196.db2.gz YHYVECLWOJPYJB-UHFFFAOYSA-N 0 3 309.457 4.122 20 0 DIADHN CC1(NCc2cnc(-c3ccccc3F)s2)CC(F)(F)C1 ZINC000873197559 1043525900 /nfs/dbraw/zinc/52/59/00/1043525900.db2.gz GUVAFGIYKHSHSW-UHFFFAOYSA-N 0 3 312.360 4.227 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N(C)C[C@H]2CC=CCC2)cc1 ZINC000522030347 1043526494 /nfs/dbraw/zinc/52/64/94/1043526494.db2.gz FGPSHCMZZURLDC-GJZGRUSLSA-N 0 3 318.486 4.024 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(N2CCCC2)o1 ZINC001137069835 1043530850 /nfs/dbraw/zinc/53/08/50/1043530850.db2.gz PCPBSCSSEQOABB-LJQANCHMSA-N 0 3 310.441 4.389 20 0 DIADHN CC[C@H](NCc1cc(N)cc(F)c1)c1ccc(OC(F)F)cc1 ZINC000873287868 1043531861 /nfs/dbraw/zinc/53/18/61/1043531861.db2.gz NCGYBKZOXKRBTI-INIZCTEOSA-N 0 3 324.346 4.250 20 0 DIADHN c1cc(N2CCCC2)oc1CN(Cc1ccccc1)C1CCC1 ZINC001137070222 1043532181 /nfs/dbraw/zinc/53/21/81/1043532181.db2.gz FTGKYHQXYXNGQV-UHFFFAOYSA-N 0 3 310.441 4.435 20 0 DIADHN C[C@H](NCc1cc(N)cc(F)c1)c1ccc(C(F)(F)F)cc1 ZINC000873287036 1043532236 /nfs/dbraw/zinc/53/22/36/1043532236.db2.gz BBUNMGCHJASBCV-JTQLQIEISA-N 0 3 312.310 4.278 20 0 DIADHN Cc1ccc([C@H](NCC[C@H]2CCO[C@@H](C)C2)c2ccccn2)cc1 ZINC000926133296 1043534405 /nfs/dbraw/zinc/53/44/05/1043534405.db2.gz BSENFTDTDOESNH-WFXMLNOXSA-N 0 3 324.468 4.274 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2sc(C)nc2C)cc1 ZINC000350011947 1043538336 /nfs/dbraw/zinc/53/83/36/1043538336.db2.gz ADZOTMDHWNIJKB-KRWDZBQOSA-N 0 3 316.470 4.496 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(F)c2)c(C)c1 ZINC000522107459 1043540377 /nfs/dbraw/zinc/54/03/77/1043540377.db2.gz HVTISQJWOFUMEC-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCO[C@@H](C3CC3)C2)c2ccccn2)c1 ZINC000926182933 1043540454 /nfs/dbraw/zinc/54/04/54/1043540454.db2.gz LCHCJZSTBWBTFZ-TYPHKJRUSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@@H](c1cccnc1)N(C)Cc1cccc(-c2ccncc2)c1 ZINC000350349171 1043544396 /nfs/dbraw/zinc/54/43/96/1043544396.db2.gz BXSXCONVEOFPQZ-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN COc1ccc([C@@H]2CCCCCN2Cc2conc2C)cc1 ZINC000350404325 1043544597 /nfs/dbraw/zinc/54/45/97/1043544597.db2.gz ZRHAZVDYCXIKJY-SFHVURJKSA-N 0 3 300.402 4.109 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1cccc(-c2ccncc2)c1 ZINC000350355427 1043545191 /nfs/dbraw/zinc/54/51/91/1043545191.db2.gz NMSMUUMBLAFQPO-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccnn1C1CCC1 ZINC000926269909 1043554184 /nfs/dbraw/zinc/55/41/84/1043554184.db2.gz ATJFJHLWFYOANZ-DOTOQJQBSA-N 0 3 317.477 4.141 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000926288935 1043559395 /nfs/dbraw/zinc/55/93/95/1043559395.db2.gz UKVNUWVQGYLINS-OAHLLOKOSA-N 0 3 312.461 4.281 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccnn1C1CCC1)c1ccccc1 ZINC000926301019 1043559440 /nfs/dbraw/zinc/55/94/40/1043559440.db2.gz YYWCJBJDXUOCNQ-BEFAXECRSA-N 0 3 313.445 4.037 20 0 DIADHN COCCCC[C@H](N[C@@H](C)c1ccns1)c1ccccc1 ZINC000926330258 1043564500 /nfs/dbraw/zinc/56/45/00/1043564500.db2.gz JWLQQPKBLWICNZ-HOCLYGCPSA-N 0 3 304.459 4.352 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)CCSc1ccncc1 ZINC001307811759 1043565076 /nfs/dbraw/zinc/56/50/76/1043565076.db2.gz QDCWBEYKOBNDOR-QGZVFWFLSA-N 0 3 316.470 4.265 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1c2cc(F)ccc2C[C@H]1C ZINC000474074077 1043568097 /nfs/dbraw/zinc/56/80/97/1043568097.db2.gz JUQWCHGDVVRMQX-ZNOIYHFQSA-N 0 3 311.400 4.481 20 0 DIADHN CCC(CC)CN1CCCC[C@@]12CC(=O)N(CC1CCCC1)C2 ZINC001275073466 1043570035 /nfs/dbraw/zinc/57/00/35/1043570035.db2.gz DUFPDEFCQCIULQ-FQEVSTJZSA-N 0 3 320.521 4.070 20 0 DIADHN CCCN(C)[C@@H](CN[C@@H](C)c1ncco1)c1ccc(Cl)cc1 ZINC000926399039 1043572165 /nfs/dbraw/zinc/57/21/65/1043572165.db2.gz TZDRWCYJKOZUAB-BBRMVZONSA-N 0 3 321.852 4.062 20 0 DIADHN CCC[C@@H](C)CN1CCCC[C@]12CC(=O)N(CC1CCCC1)C2 ZINC001275081943 1043572533 /nfs/dbraw/zinc/57/25/33/1043572533.db2.gz JRIYFKRNRJVHGY-YLJYHZDGSA-N 0 3 320.521 4.070 20 0 DIADHN O=C(CCN1CCC(c2cccc(F)c2)CC1)c1ccccc1 ZINC000777595739 1043574268 /nfs/dbraw/zinc/57/42/68/1043574268.db2.gz CBXPCUJUKYWUSO-UHFFFAOYSA-N 0 3 311.400 4.278 20 0 DIADHN CC(C)n1cc(CN2CCC(CCc3ccccc3)CC2)cn1 ZINC001137077922 1043576374 /nfs/dbraw/zinc/57/63/74/1043576374.db2.gz OYDAMGCMSPGHPZ-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2CCCSC2)c2ccccn2)cc1 ZINC000926431700 1043577395 /nfs/dbraw/zinc/57/73/95/1043577395.db2.gz QSZCNKAAKUKZDW-VQIMIIECSA-N 0 3 312.482 4.212 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc2oc3ccccc3c2c1 ZINC000522214032 1043578598 /nfs/dbraw/zinc/57/85/98/1043578598.db2.gz IJSNYYHYOVHMSE-KRWDZBQOSA-N 0 3 322.408 4.399 20 0 DIADHN C[C@H](NC[C@@H]1COc2ccccc21)c1cc(-c2ccccc2)no1 ZINC000926440751 1043578747 /nfs/dbraw/zinc/57/87/47/1043578747.db2.gz RTXWVOAYOVKKNC-GOEBONIOSA-N 0 3 320.392 4.168 20 0 DIADHN c1cn2cc(CN3CCC[C@@H]3CC3CCCCC3)nc2s1 ZINC000522221503 1043582303 /nfs/dbraw/zinc/58/23/03/1043582303.db2.gz NETJHAJPUJLNHE-MRXNPFEDSA-N 0 3 303.475 4.331 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C[C@H]1C ZINC001204768625 1043582790 /nfs/dbraw/zinc/58/27/90/1043582790.db2.gz LQCXJUMRKUUEJA-CHWSQXEVSA-N 0 3 309.475 4.181 20 0 DIADHN COCC1(CCN[C@@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000926482367 1043584004 /nfs/dbraw/zinc/58/40/04/1043584004.db2.gz LVOZQDXHOFQPFH-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN COC1(CCN[C@@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000926483185 1043584277 /nfs/dbraw/zinc/58/42/77/1043584277.db2.gz UOXRYWFRIGVAFD-IBGZPJMESA-N 0 3 310.441 4.028 20 0 DIADHN C[C@@H](NCc1cc2cc(F)ccc2o1)c1ccc2n[nH]cc2c1 ZINC000353109014 1043584338 /nfs/dbraw/zinc/58/43/38/1043584338.db2.gz IXTJROXPCWALHI-LLVKDONJSA-N 0 3 309.344 4.299 20 0 DIADHN COCC1(CCN[C@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000926482368 1043584539 /nfs/dbraw/zinc/58/45/39/1043584539.db2.gz LVOZQDXHOFQPFH-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN C[C@H](NCc1ccc(C[S@@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000353121312 1043585606 /nfs/dbraw/zinc/58/56/06/1043585606.db2.gz DFUQBFSVUOKASE-YEJXKQKISA-N 0 3 321.873 4.069 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2n[nH]cc2c1)c1ccccc1OC ZINC000353970830 1043586133 /nfs/dbraw/zinc/58/61/33/1043586133.db2.gz GPLYXXLCMGFXDS-CXAGYDPISA-N 0 3 309.413 4.373 20 0 DIADHN COC1(CN[C@H](c2ccc(C)cc2)c2cccnc2)CCCC1 ZINC000926494600 1043586852 /nfs/dbraw/zinc/58/68/52/1043586852.db2.gz JDAWZSFFJVEPFF-LJQANCHMSA-N 0 3 310.441 4.028 20 0 DIADHN FC(F)Cn1cc(CN2CCCC3(CCCCC3)CC2)cn1 ZINC000353143073 1043588848 /nfs/dbraw/zinc/58/88/48/1043588848.db2.gz SNPLWHGZKULMFL-UHFFFAOYSA-N 0 3 311.420 4.085 20 0 DIADHN C[C@H](NCc1cc(F)cc2cccnc21)c1ccc2n[nH]cc2c1 ZINC000353175023 1043589885 /nfs/dbraw/zinc/58/98/85/1043589885.db2.gz REAZMNVRWRPELI-LBPRGKRZSA-N 0 3 320.371 4.101 20 0 DIADHN Fc1ccc(CN2CCC=C(c3ccncc3)C2)c2ncccc12 ZINC000353186842 1043590192 /nfs/dbraw/zinc/59/01/92/1043590192.db2.gz DGOCOSVTIIJANI-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1ncsc1CCN[C@H](c1ccc(C)cc1)c1cccnc1 ZINC000926516499 1043590417 /nfs/dbraw/zinc/59/04/17/1043590417.db2.gz VYYMXDALNNIMOU-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN Cc1ncsc1CCN[C@@H](c1cccc(C)c1)c1ccccn1 ZINC000926515828 1043591899 /nfs/dbraw/zinc/59/18/99/1043591899.db2.gz MYBQMPRJLVVFMP-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)c1ccc3n[nH]cc3c1)CCC2 ZINC000353971693 1043593585 /nfs/dbraw/zinc/59/35/85/1043593585.db2.gz LPIKFNCHGXDCOM-BMIGLBTASA-N 0 3 312.442 4.056 20 0 DIADHN CC(C)c1ccc([C@@H](NCCC2(CO)CC2)c2cccnc2)cc1 ZINC000926535339 1043595083 /nfs/dbraw/zinc/59/50/83/1043595083.db2.gz RMUCNYYTMJHDFG-HXUWFJFHSA-N 0 3 324.468 4.047 20 0 DIADHN Fc1cc(Br)cc(CN[C@@H]2CCc3ccccc32)c1 ZINC000093981777 1043596088 /nfs/dbraw/zinc/59/60/88/1043596088.db2.gz ILMDYPXBYFJTSS-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1cnc([C@@H]2CCCO2)s1)C1CC1 ZINC000353312497 1043597447 /nfs/dbraw/zinc/59/74/47/1043597447.db2.gz XTQAIFCQJNVVMB-XEZPLFJOSA-N 0 3 308.491 4.394 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1cnc([C@H]2CCCO2)s1)C1CC1 ZINC000353312490 1043597720 /nfs/dbraw/zinc/59/77/20/1043597720.db2.gz XTQAIFCQJNVVMB-HEHGZKQESA-N 0 3 308.491 4.394 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1cnc([C@@H]2CCCO2)s1)C1CC1 ZINC000353312499 1043598309 /nfs/dbraw/zinc/59/83/09/1043598309.db2.gz XTQAIFCQJNVVMB-ZENOOKHLSA-N 0 3 308.491 4.394 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3cccc(C)n23)s1 ZINC000353332910 1043598930 /nfs/dbraw/zinc/59/89/30/1043598930.db2.gz RACQOUFKTDDMRZ-INIZCTEOSA-N 0 3 311.454 4.350 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN(CC2CC2)CC2CC2)co1 ZINC000443578532 1043602875 /nfs/dbraw/zinc/60/28/75/1043602875.db2.gz FZKSGJCUWWAXCL-UHFFFAOYSA-N 0 3 318.367 4.242 20 0 DIADHN COc1ccc([C@@H](Cc2ccncc2)NCc2ccsc2)cc1 ZINC000353460715 1043608459 /nfs/dbraw/zinc/60/84/59/1043608459.db2.gz LBGIZNXCRRWAOV-LJQANCHMSA-N 0 3 324.449 4.225 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H]2CCCc3ccccc32)c1 ZINC000353454587 1043608620 /nfs/dbraw/zinc/60/86/20/1043608620.db2.gz KRRIDHVPXRIIER-WBMJQRKESA-N 0 3 315.438 4.263 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc(C2CCC2)cc1 ZINC000353499217 1043611035 /nfs/dbraw/zinc/61/10/35/1043611035.db2.gz CFSBLBDONDHJAR-UHFFFAOYSA-N 0 3 324.468 4.388 20 0 DIADHN CCN(C/C(C)=C/c1ccccc1COC)Cc1ccncc1 ZINC000353488926 1043611624 /nfs/dbraw/zinc/61/16/24/1043611624.db2.gz AAPBLQFGISAEIN-GHRIWEEISA-N 0 3 310.441 4.153 20 0 DIADHN CCC[C@H](NC[C@H](C)c1ccccn1)c1ncccc1Cl ZINC000926676848 1043615776 /nfs/dbraw/zinc/61/57/76/1043615776.db2.gz MXAYVIQXYHIPBR-BBRMVZONSA-N 0 3 303.837 4.365 20 0 DIADHN Cc1cccc([C@H](NCCCOC(C)(C)C)c2ccccn2)c1 ZINC000926695586 1043617649 /nfs/dbraw/zinc/61/76/49/1043617649.db2.gz BXOIRNNYCYETHC-IBGZPJMESA-N 0 3 312.457 4.274 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CCC[C@@H](C)O2)c2cccnc2)cc1 ZINC000926704253 1043618550 /nfs/dbraw/zinc/61/85/50/1043618550.db2.gz HSLXDCJLMCBDJS-AHRSYUTCSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cccc3cc[nH]c31)CCC2 ZINC000353561643 1043619356 /nfs/dbraw/zinc/61/93/56/1043619356.db2.gz JRTZAKUMHKKVIV-MRXNPFEDSA-N 0 3 311.454 4.442 20 0 DIADHN CC(C)N(Cc1cnn(CC(F)F)c1)[C@H](C)c1ccccc1 ZINC000353583438 1043620756 /nfs/dbraw/zinc/62/07/56/1043620756.db2.gz BGLJCZWXMJFTTL-CQSZACIVSA-N 0 3 307.388 4.120 20 0 DIADHN CCOc1cccc(CN(C)[C@H]2CCCc3nc(C)sc32)c1 ZINC000353587406 1043621134 /nfs/dbraw/zinc/62/11/34/1043621134.db2.gz YTNXZAYITWKRFJ-KRWDZBQOSA-N 0 3 316.470 4.360 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC(OCC2CC2)CC1 ZINC000443618278 1043623438 /nfs/dbraw/zinc/62/34/38/1043623438.db2.gz MVFHYQOMHYHWOY-GOSISDBHSA-N 0 3 305.849 4.218 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3c(F)cccc3F)n2)C(C)(C)C1 ZINC000443624689 1043627305 /nfs/dbraw/zinc/62/73/05/1043627305.db2.gz JBJZRBSAUSZVPS-NSHDSACASA-N 0 3 306.356 4.240 20 0 DIADHN Cc1cccc([C@@H](NCC[C@H]2CCSC2)c2ccccn2)c1 ZINC000926779332 1043632430 /nfs/dbraw/zinc/63/24/30/1043632430.db2.gz QSWQRUXHDJGLMO-QFBILLFUSA-N 0 3 312.482 4.212 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1csc(-c2ccccn2)n1 ZINC000094324038 1043633164 /nfs/dbraw/zinc/63/31/64/1043633164.db2.gz QVBCEHFHCRANCT-HNNXBMFYSA-N 0 3 301.459 4.264 20 0 DIADHN COC(C)(C)CCN[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926793736 1043633743 /nfs/dbraw/zinc/63/37/43/1043633743.db2.gz BMEAUNZGANLEOC-VIFPVBQESA-N 0 3 324.774 4.220 20 0 DIADHN CCC[C@@H](NC[C@@H]1C[C@H]1C1CCOCC1)c1ncccc1Cl ZINC000926797200 1043633818 /nfs/dbraw/zinc/63/38/18/1043633818.db2.gz RFQRDQFOYZMRLX-YQQAZPJKSA-N 0 3 322.880 4.229 20 0 DIADHN FC(F)(F)c1cccc(CN2CCCC3(CCOCC3)C2)c1 ZINC000353692448 1043634185 /nfs/dbraw/zinc/63/41/85/1043634185.db2.gz VTRHFMMWDJSGIP-UHFFFAOYSA-N 0 3 313.363 4.098 20 0 DIADHN COC(=O)c1csc([C@H](C)NCc2ccc(C3CC3)cc2)c1 ZINC000353694601 1043634353 /nfs/dbraw/zinc/63/43/53/1043634353.db2.gz ZCNGIRLSOMSODS-LBPRGKRZSA-N 0 3 315.438 4.263 20 0 DIADHN CC(F)(F)C(C)(C)CN[C@@H]1COCc2cccc(Cl)c21 ZINC000926802743 1043634932 /nfs/dbraw/zinc/63/49/32/1043634932.db2.gz XOBKOXHDLYZRFG-GFCCVEGCSA-N 0 3 303.780 4.182 20 0 DIADHN COCC1CC(N[C@H](c2ccc(C(C)C)cc2)c2cccnc2)C1 ZINC000926810720 1043636199 /nfs/dbraw/zinc/63/61/99/1043636199.db2.gz VSJSPRLZVNBHHD-VYHPPPRESA-N 0 3 324.468 4.309 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@H]2c2ccc(F)cc2)cc1 ZINC000125573362 1043637014 /nfs/dbraw/zinc/63/70/14/1043637014.db2.gz RHXZBYLSYLLZAF-IBGZPJMESA-N 0 3 313.372 4.088 20 0 DIADHN CC[C@H](NC[C@H]1C(C)=CCC[C@H]1C)c1nnc2n1CCCCC2 ZINC000443638040 1043637324 /nfs/dbraw/zinc/63/73/24/1043637324.db2.gz CSERELOXLZRUOW-IKGGRYGDSA-N 0 3 316.493 4.038 20 0 DIADHN CC[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cccc(OC)n1 ZINC000926811086 1043637648 /nfs/dbraw/zinc/63/76/48/1043637648.db2.gz WGIUSEJMAVEWJU-FRRDWIJNSA-N 0 3 314.351 4.028 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@]3(CCCOC3)C2)c1 ZINC000353743431 1043638216 /nfs/dbraw/zinc/63/82/16/1043638216.db2.gz BUZLGNCFBYNSHY-INIZCTEOSA-N 0 3 313.363 4.098 20 0 DIADHN COCC(C)(C)CCN[C@H](c1cccc(C)c1)c1ccccn1 ZINC000926821393 1043639937 /nfs/dbraw/zinc/63/99/37/1043639937.db2.gz IRHFFFODVUWHJH-LJQANCHMSA-N 0 3 312.457 4.132 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000443644904 1043640498 /nfs/dbraw/zinc/64/04/98/1043640498.db2.gz ZIANCGZMMABUIP-CHWSQXEVSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)NCc1cc(N(C)C)ccn1 ZINC000926882265 1043647876 /nfs/dbraw/zinc/64/78/76/1043647876.db2.gz ONKFPFOZMHIPMC-ZDUSSCGKSA-N 0 3 309.413 4.053 20 0 DIADHN CC(=O)Oc1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1 ZINC000125690164 1043650349 /nfs/dbraw/zinc/65/03/49/1043650349.db2.gz IZSIREQHNFDFNV-UHFFFAOYSA-N 0 3 317.816 4.290 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000926897425 1043650347 /nfs/dbraw/zinc/65/03/47/1043650347.db2.gz JAFKEONLPOCYSK-XDEPDNQISA-N 0 3 319.445 4.283 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@H](C)c1cccnc1Cl ZINC000125699238 1043650661 /nfs/dbraw/zinc/65/06/61/1043650661.db2.gz OCNHRFRFRLJRID-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000926894710 1043650827 /nfs/dbraw/zinc/65/08/27/1043650827.db2.gz PHSAINGVNKWYKJ-AUUYWEPGSA-N 0 3 310.441 4.111 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2sc(C)nc2C)cc1C ZINC000926903453 1043652370 /nfs/dbraw/zinc/65/23/70/1043652370.db2.gz LBWUZAVWGJBAKB-RYUDHWBXSA-N 0 3 304.459 4.489 20 0 DIADHN Cc1cscc1CNCc1ccc(OC(F)(F)F)cc1 ZINC000094877751 1043652674 /nfs/dbraw/zinc/65/26/74/1043652674.db2.gz BMGRSHMTNIVRAZ-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN OC[C@H](CN[C@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000353888070 1043654073 /nfs/dbraw/zinc/65/40/73/1043654073.db2.gz YQDNIYCXXLFCBO-ONGXEEELSA-N 0 3 320.285 4.040 20 0 DIADHN Cc1ccc([C@@H](NC[C@]23CCC[C@H]2OCC3)c2ccccn2)cc1 ZINC000926916934 1043654052 /nfs/dbraw/zinc/65/40/52/1043654052.db2.gz UZZCUQRJRTXHBO-NJDAHSKKSA-N 0 3 322.452 4.028 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@H](C(C)(C)C)CC2)cc1 ZINC000353897164 1043654682 /nfs/dbraw/zinc/65/46/82/1043654682.db2.gz HEPMZWLSHWHEJZ-KKSFZXQISA-N 0 3 307.503 4.072 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1nccc2ccccc21 ZINC000926918112 1043655348 /nfs/dbraw/zinc/65/53/48/1043655348.db2.gz GQDFXWIMNVNENX-CABCVRRESA-N 0 3 318.420 4.409 20 0 DIADHN Cc1nc([C@H](C)NCCc2cnc3ccccc3c2)c(C)s1 ZINC000926919251 1043656353 /nfs/dbraw/zinc/65/63/53/1043656353.db2.gz KVXATKFQNVGEKY-LBPRGKRZSA-N 0 3 311.454 4.201 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccn2)cc1 ZINC000926946230 1043661039 /nfs/dbraw/zinc/66/10/39/1043661039.db2.gz AEJLWRYTCNKCEL-BJJXKVORSA-N 0 3 324.321 4.098 20 0 DIADHN C[C@@H](N[C@H]1CCCC[C@@H]1O)c1cc(F)c(Cl)cc1Cl ZINC000051769944 1043664998 /nfs/dbraw/zinc/66/49/98/1043664998.db2.gz ZSEUXGWMNFQBGU-ULOPGQLASA-N 0 3 306.208 4.087 20 0 DIADHN C[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cccc(O)c1F ZINC000926976492 1043666031 /nfs/dbraw/zinc/66/60/31/1043666031.db2.gz IMSQFJFABRPUMP-SGIREYDYSA-N 0 3 321.342 4.231 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2cnc(C3CCC3)s2)C1(C)C ZINC000353963870 1043667314 /nfs/dbraw/zinc/66/73/14/1043667314.db2.gz YLOHEFYPMWMFIZ-PBHICJAKSA-N 0 3 308.491 4.046 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2ccc(F)cc2)c2ccccn2)CCS1 ZINC000927028900 1043668436 /nfs/dbraw/zinc/66/84/36/1043668436.db2.gz BKIJPMCBXGPJNI-SKDZVZGDSA-N 0 3 316.445 4.184 20 0 DIADHN C[C@H](N[C@H]1CCS[C@H](C)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000927028732 1043668814 /nfs/dbraw/zinc/66/88/14/1043668814.db2.gz NFOPONHDCCEBLA-YCPHGPKFSA-N 0 3 315.486 4.022 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1cccc(F)c1F ZINC000353986626 1043669810 /nfs/dbraw/zinc/66/98/10/1043669810.db2.gz KBQNHVPTROGAIC-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2cc3ccccc3o2)c2cccnc2)CCO1 ZINC000354021793 1043673867 /nfs/dbraw/zinc/67/38/67/1043673867.db2.gz ZOAATGMTKPZBJE-WIBUTAKZSA-N 0 3 322.408 4.074 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(Cc2cnn(C)c2)C1 ZINC000354026443 1043675001 /nfs/dbraw/zinc/67/50/01/1043675001.db2.gz TXRSBPDJAMOAHZ-LRDDRELGSA-N 0 3 323.362 4.022 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H]1CCCc2cccnc21 ZINC000927060977 1043675696 /nfs/dbraw/zinc/67/56/96/1043675696.db2.gz HXOLEWVVGRXYOE-ZWKOTPCHSA-N 0 3 308.425 4.135 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cccc(OC(F)F)c1)c1cnn(C)c1 ZINC000927065443 1043678737 /nfs/dbraw/zinc/67/87/37/1043678737.db2.gz HIRCVMOUYBSKIW-MLGOLLRUSA-N 0 3 323.387 4.069 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065417 1043678801 /nfs/dbraw/zinc/67/88/01/1043678801.db2.gz HAXWPZVGAWDPMG-CXAGYDPISA-N 0 3 303.475 4.190 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1cnn(C)c1 ZINC000927065441 1043679188 /nfs/dbraw/zinc/67/91/88/1043679188.db2.gz HIRCVMOUYBSKIW-BLLLJJGKSA-N 0 3 323.387 4.069 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2ccc(OC)cc2)C2CCC2)cn1 ZINC000927065717 1043679943 /nfs/dbraw/zinc/67/99/43/1043679943.db2.gz HLXVLNVMBXUFRT-IFXJQAMLSA-N 0 3 313.445 4.104 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927069003 1043680850 /nfs/dbraw/zinc/68/08/50/1043680850.db2.gz UPXIYVBFMZZKKM-DNVCBOLYSA-N 0 3 315.461 4.255 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C2CC2)[C@@H]2CCCOC2)cs1 ZINC000927069396 1043681623 /nfs/dbraw/zinc/68/16/23/1043681623.db2.gz MGUPZKRQHLMUHZ-BJJXKVORSA-N 0 3 308.491 4.122 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cc(C3CC3)nn2C)c2ccccc21 ZINC000927100024 1043687484 /nfs/dbraw/zinc/68/74/84/1043687484.db2.gz FQRLMXZZDMSTQM-QUCCMNQESA-N 0 3 309.457 4.272 20 0 DIADHN Cc1nccn1CCNCc1c(Cl)cccc1SC(C)C ZINC000354215560 1043688937 /nfs/dbraw/zinc/68/89/37/1043688937.db2.gz JHCCZWFNQOPQJU-UHFFFAOYSA-N 0 3 323.893 4.135 20 0 DIADHN C[C@@H]1CCN(Cc2cncn2C)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000354317716 1043692673 /nfs/dbraw/zinc/69/26/73/1043692673.db2.gz HGUFFLCVKSHIPE-MLGOLLRUSA-N 0 3 323.362 4.022 20 0 DIADHN C[C@H](NCC[C@@H]1OCCc2ccccc21)c1cccnc1Cl ZINC000927117831 1043693375 /nfs/dbraw/zinc/69/33/75/1043693375.db2.gz XLVXELARBUIDCH-GUYCJALGSA-N 0 3 316.832 4.090 20 0 DIADHN CCC[C@H](NCc1ccc(OC)c(OC)c1C)c1cccnc1 ZINC000927125262 1043694656 /nfs/dbraw/zinc/69/46/56/1043694656.db2.gz QNNIQEFFQULTAB-KRWDZBQOSA-N 0 3 314.429 4.038 20 0 DIADHN CC[C@@H](C)N(Cc1cc2c(cc[nH]c2=O)o1)Cc1ccccc1 ZINC000876544136 1043694776 /nfs/dbraw/zinc/69/47/76/1043694776.db2.gz OAALQXDYWSRFSE-CQSZACIVSA-N 0 3 310.397 4.334 20 0 DIADHN CCc1ccc([C@H](C)Nc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC000777615722 1043700701 /nfs/dbraw/zinc/70/07/01/1043700701.db2.gz VBPOWLCUOLZFAK-KRWDZBQOSA-N 0 3 323.484 4.174 20 0 DIADHN CC(C)COC1CCN(Cc2cnc3c(F)cccc3c2)CC1 ZINC000876627660 1043703236 /nfs/dbraw/zinc/70/32/36/1043703236.db2.gz TXTJNMKABITJLY-UHFFFAOYSA-N 0 3 316.420 4.011 20 0 DIADHN Clc1nccc2oc(CN3CC[C@H](c4ccco4)C3)cc21 ZINC000876724434 1043705356 /nfs/dbraw/zinc/70/53/56/1043705356.db2.gz XBTGLWYIDIOIKF-NSHDSACASA-N 0 3 302.761 4.064 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876731728 1043706812 /nfs/dbraw/zinc/70/68/12/1043706812.db2.gz SNVOVRLOCVVKQY-GOSISDBHSA-N 0 3 310.397 4.363 20 0 DIADHN FC(F)C1CCN(Cc2cc3nc(Cl)ccc3s2)CC1 ZINC000876743410 1043707941 /nfs/dbraw/zinc/70/79/41/1043707941.db2.gz GLMYAFGOCQIOGE-UHFFFAOYSA-N 0 3 316.804 4.427 20 0 DIADHN CSC(C)(C)CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000876892965 1043721126 /nfs/dbraw/zinc/72/11/26/1043721126.db2.gz MUGKKXXUVKMQIO-KRWDZBQOSA-N 0 3 300.471 4.292 20 0 DIADHN COc1ccc([C@H](C)CCNCc2nc(Cl)cs2)cc1 ZINC000877044250 1043737347 /nfs/dbraw/zinc/73/73/47/1043737347.db2.gz USHHLEAFZVULEX-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](CNCc2nc(Cl)cs2)C1 ZINC000877081013 1043742229 /nfs/dbraw/zinc/74/22/29/1043742229.db2.gz JFJGJDFQDOIBOL-BDAKNGLRSA-N 0 3 312.788 4.255 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2cc(C)ccc2C)cn1 ZINC000126343532 1043744306 /nfs/dbraw/zinc/74/43/06/1043744306.db2.gz OJNWAQMDUDONGB-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@@H](N[C@H](C)C1CCSCC1)c1nc(C(F)(F)F)cs1 ZINC000355122501 1043745735 /nfs/dbraw/zinc/74/57/35/1043745735.db2.gz MHIYAMKNJYSJHR-RKDXNWHRSA-N 0 3 324.437 4.344 20 0 DIADHN CC[C@H](C)N(CC[S@](=O)c1ccccc1)Cc1ccccc1 ZINC000355274883 1043754524 /nfs/dbraw/zinc/75/45/24/1043754524.db2.gz FMGJADDKLQGSLU-JTSKRJEESA-N 0 3 315.482 4.095 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cnc3c(F)cccc3c2)C1 ZINC000877342176 1043757018 /nfs/dbraw/zinc/75/70/18/1043757018.db2.gz KDZSYHPOGAGRDQ-LIRRHRJNSA-N 0 3 321.399 4.352 20 0 DIADHN COCCCC[C@H](NCc1cc(O)cc(F)c1)c1ccccc1 ZINC000355284099 1043757353 /nfs/dbraw/zinc/75/73/53/1043757353.db2.gz RGQZNXLWQFOTEG-IBGZPJMESA-N 0 3 317.404 4.179 20 0 DIADHN Cc1cnc([C@H](C)NCC[C@H](C)OCc2ccccc2)s1 ZINC000355285523 1043757886 /nfs/dbraw/zinc/75/78/86/1043757886.db2.gz VQNFWLJBGTVYNO-ZFWWWQNUSA-N 0 3 304.459 4.098 20 0 DIADHN CSCc1cccc(CNCc2cccc(Cl)c2N)c1 ZINC000877462410 1043764248 /nfs/dbraw/zinc/76/42/48/1043764248.db2.gz PQJYQIVNGKAKNQ-UHFFFAOYSA-N 0 3 306.862 4.075 20 0 DIADHN Fc1ccc2occ(CN3CCC(OC4CCC4)CC3)c2c1 ZINC001141846969 1043764980 /nfs/dbraw/zinc/76/49/80/1043764980.db2.gz RRRMNJYXJKNUSJ-UHFFFAOYSA-N 0 3 303.377 4.105 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000877520540 1043769735 /nfs/dbraw/zinc/76/97/35/1043769735.db2.gz AKNVTHSFJJZLBN-DYVFJYSZSA-N 0 3 308.381 4.117 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1cnc2c(F)cccc2c1 ZINC000877530991 1043770328 /nfs/dbraw/zinc/77/03/28/1043770328.db2.gz HGIVUVBVDAWMSY-QGZVFWFLSA-N 0 3 324.403 4.051 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cc2c(ccnc2Cl)o1 ZINC000877533076 1043770704 /nfs/dbraw/zinc/77/07/04/1043770704.db2.gz YXZZGVDEGNDILI-HNNXBMFYSA-N 0 3 315.804 4.459 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000877520541 1043771119 /nfs/dbraw/zinc/77/11/19/1043771119.db2.gz AKNVTHSFJJZLBN-GUYCJALGSA-N 0 3 308.381 4.117 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc3c(ccnc3Cl)o2)C1 ZINC000877548079 1043772529 /nfs/dbraw/zinc/77/25/29/1043772529.db2.gz QLYSBJSSTGFVNA-NSHDSACASA-N 0 3 310.850 4.056 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000355666015 1043782934 /nfs/dbraw/zinc/78/29/34/1043782934.db2.gz YBHVWIUFZAUWTL-DZGCQCFKSA-N 0 3 308.491 4.253 20 0 DIADHN c1cnc2c(c1)CC[C@@H]2N[C@H]1CCc2cccc3cccc1c32 ZINC000895929935 1043783689 /nfs/dbraw/zinc/78/36/89/1043783689.db2.gz ZODPPHOQOKTWOM-OALUTQOASA-N 0 3 300.405 4.499 20 0 DIADHN c1cc2cccc3c2c(c1)CC[C@H]3NCc1cnc(C2CC2)nc1 ZINC000895933874 1043785861 /nfs/dbraw/zinc/78/58/61/1043785861.db2.gz HMHARNCUUJOGED-LJQANCHMSA-N 0 3 315.420 4.284 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000895933122 1043786454 /nfs/dbraw/zinc/78/64/54/1043786454.db2.gz AJBKZJYOERLJEW-LJQANCHMSA-N 0 3 317.436 4.228 20 0 DIADHN COc1ncc(CN[C@H]2CCc3cccc4cccc2c43)s1 ZINC000895934194 1043786575 /nfs/dbraw/zinc/78/65/75/1043786575.db2.gz JGPJZPHJSSFKND-INIZCTEOSA-N 0 3 310.422 4.082 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cccc4cccc2c43)sn1 ZINC000895933526 1043786701 /nfs/dbraw/zinc/78/67/01/1043786701.db2.gz DDTRNQRDHUSEDG-MRXNPFEDSA-N 0 3 310.422 4.082 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCC[C@H]1CCCCO1 ZINC000355758518 1043787783 /nfs/dbraw/zinc/78/77/83/1043787783.db2.gz ANTCLIGQNCAVEY-OAHLLOKOSA-N 0 3 301.386 4.121 20 0 DIADHN CC(C)(C)OC1CCC(NCc2nc(Cl)cs2)CC1 ZINC000877681734 1043788745 /nfs/dbraw/zinc/78/87/45/1043788745.db2.gz BOQMXCJURNELOK-UHFFFAOYSA-N 0 3 302.871 4.012 20 0 DIADHN CC(C)(NC[C@@H]1CCSC1)c1nc2cc(Cl)ccc2o1 ZINC000877669131 1043788864 /nfs/dbraw/zinc/78/88/64/1043788864.db2.gz VKHHEIDXCPAEDW-JTQLQIEISA-N 0 3 310.850 4.059 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)N[C@@H](CF)c1ccc(F)cc1 ZINC000877759207 1043794377 /nfs/dbraw/zinc/79/43/77/1043794377.db2.gz YMZJCHDQAGUPRL-QOKQMOMRSA-N 0 3 319.332 4.149 20 0 DIADHN C[C@@H](N[C@@H](C)c1nnc2n1CCCCC2)[C@@H](C)C1CCCCC1 ZINC000474475197 1043795736 /nfs/dbraw/zinc/79/57/36/1043795736.db2.gz IIZMGPVXHLJDDC-OAGGEKHMSA-N 0 3 318.509 4.260 20 0 DIADHN FC(F)(F)c1ccc(CNC[C@H]2CC2(Cl)Cl)s1 ZINC000877805189 1043799214 /nfs/dbraw/zinc/79/92/14/1043799214.db2.gz IIYZMQHAOCJQAB-ZCFIWIBFSA-N 0 3 304.164 4.050 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)c(C)nn1 ZINC000929204726 1043800841 /nfs/dbraw/zinc/80/08/41/1043800841.db2.gz LRCZXUPRCZYKSF-QGZVFWFLSA-N 0 3 303.837 4.234 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3cnc(C(C)C)s3)C2)n1 ZINC000877812497 1043801380 /nfs/dbraw/zinc/80/13/80/1043801380.db2.gz BMBNLEOOQBVFBY-LBPRGKRZSA-N 0 3 307.488 4.021 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@@H]2[C@@H](c3ccccc3)C2(F)F)on1 ZINC000929289073 1043807106 /nfs/dbraw/zinc/80/71/06/1043807106.db2.gz UPDNYLCIVNGYFU-HLLBOEOZSA-N 0 3 318.367 4.169 20 0 DIADHN CCn1cc(CN[C@@H]2CCc3cccc4cccc2c43)c(C)n1 ZINC000896075358 1043819774 /nfs/dbraw/zinc/81/97/74/1043819774.db2.gz DYGVYRZAZLXJOK-LJQANCHMSA-N 0 3 305.425 4.142 20 0 DIADHN CSC1(CN(Cc2ccco2)Cc2ccc(O)cc2)CCC1 ZINC000356219627 1043825468 /nfs/dbraw/zinc/82/54/68/1043825468.db2.gz NDVYKHAQMFWBEQ-UHFFFAOYSA-N 0 3 317.454 4.273 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@H]2C2CC2)ccc1OC(F)F ZINC000356298715 1043829910 /nfs/dbraw/zinc/82/99/10/1043829910.db2.gz PPQPSLAFUXXXSS-MRXNPFEDSA-N 0 3 323.383 4.061 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cnc(C(C)C)s1 ZINC000356307485 1043833027 /nfs/dbraw/zinc/83/30/27/1043833027.db2.gz MNTPSYNWOVPAHO-LRDDRELGSA-N 0 3 322.449 4.271 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCSc2ccncc2)s1 ZINC000929702194 1043834844 /nfs/dbraw/zinc/83/48/44/1043834844.db2.gz IMIOPYAUPXNGMV-ZDUSSCGKSA-N 0 3 321.515 4.194 20 0 DIADHN CO[C@H]1C[C@@H](CN(Cc2ccco2)Cc2ccc(C)s2)C1 ZINC000877997381 1043834924 /nfs/dbraw/zinc/83/49/24/1043834924.db2.gz MYEFKXKBUDOAPI-FZNQNYSPSA-N 0 3 305.443 4.077 20 0 DIADHN C[C@H](CN(C)Cc1cscc1C(F)(F)F)c1nccs1 ZINC000356429179 1043839135 /nfs/dbraw/zinc/83/91/35/1043839135.db2.gz ATVKNDFGFWSNBO-SECBINFHSA-N 0 3 320.405 4.459 20 0 DIADHN CC(C)OC1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC000878084159 1043845683 /nfs/dbraw/zinc/84/56/83/1043845683.db2.gz BWHRMOVJMASLSJ-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN FC1(F)[C@@H]2C[C@@H](CN[C@@H](c3ccccc3)c3ccccn3)C[C@@H]21 ZINC000929862317 1043847044 /nfs/dbraw/zinc/84/70/44/1043847044.db2.gz RQKIXDSHIHQQRU-SCNOPHJPSA-N 0 3 314.379 4.052 20 0 DIADHN Cc1nc(C)c(CN[C@H](Cc2ccccc2)c2ccccc2)[nH]1 ZINC000929860929 1043847678 /nfs/dbraw/zinc/84/76/78/1043847678.db2.gz MVARDDPAJNYMAS-LJQANCHMSA-N 0 3 305.425 4.100 20 0 DIADHN Cc1ccncc1CNCc1ccc(Oc2ccccc2)cc1 ZINC000474608862 1043848255 /nfs/dbraw/zinc/84/82/55/1043848255.db2.gz UKNJMEJVKSGXPK-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN FC(F)(F)C1CCN(C[C@H]2CC[C@@H](c3ccccc3)O2)CC1 ZINC000878149616 1043850987 /nfs/dbraw/zinc/85/09/87/1043850987.db2.gz ZVQUKBRCDLYRET-CVEARBPZSA-N 0 3 313.363 4.181 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3Cl)cc1O ZINC000289636491 1043857309 /nfs/dbraw/zinc/85/73/09/1043857309.db2.gz HNDJDTOAUGFGIK-MEDUHNTESA-N 0 3 317.816 4.392 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3Cl)cc1O ZINC000289636484 1043857833 /nfs/dbraw/zinc/85/78/33/1043857833.db2.gz HNDJDTOAUGFGIK-BDJLRTHQSA-N 0 3 317.816 4.392 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1nccc2ccccc21 ZINC000289651287 1043857877 /nfs/dbraw/zinc/85/78/77/1043857877.db2.gz IFOAUHPDCJYORC-HNNXBMFYSA-N 0 3 306.409 4.232 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC(C)(C)CC(F)(F)F)c1 ZINC000878208822 1043858508 /nfs/dbraw/zinc/85/85/08/1043858508.db2.gz YXLNCLAYLOMUJL-UHFFFAOYSA-N 0 3 316.367 4.055 20 0 DIADHN COCCSc1ccc([C@@H](C)N[C@@H](C)c2cccnc2)cc1 ZINC000356669111 1043860957 /nfs/dbraw/zinc/86/09/57/1043860957.db2.gz CKCMSMYTAUQPPG-CABCVRRESA-N 0 3 316.470 4.232 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CCC(c2c[nH]c3ncccc23)CC1 ZINC000929982237 1043864179 /nfs/dbraw/zinc/86/41/79/1043864179.db2.gz TUOBPYRKPAOQSW-ZDUSSCGKSA-N 0 3 324.403 4.038 20 0 DIADHN FC1(F)C[C@]2(CCN(Cc3nccc4ccccc43)C2)C1(F)F ZINC000896226731 1043865939 /nfs/dbraw/zinc/86/59/39/1043865939.db2.gz ICPKKPZWDMYILN-HNNXBMFYSA-N 0 3 324.321 4.101 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000289730388 1043868229 /nfs/dbraw/zinc/86/82/29/1043868229.db2.gz LSEVELSHIHOLOT-AWEZNQCLSA-N 0 3 315.413 4.040 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2ccc(Br)nc2)c1 ZINC000289767451 1043874860 /nfs/dbraw/zinc/87/48/60/1043874860.db2.gz NGHBUEXENMHGFT-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CCc1ccc([C@@H](C)NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)cc1 ZINC000561062300 1043877329 /nfs/dbraw/zinc/87/73/29/1043877329.db2.gz CZMXKUMHQUTLJE-BRWVUGGUSA-N 0 3 324.468 4.166 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](C)c1ccc(-c2ccccc2F)s1 ZINC000519752484 1043877816 /nfs/dbraw/zinc/87/78/16/1043877816.db2.gz FKYIEAMIYCLBQJ-LBPRGKRZSA-N 0 3 315.417 4.437 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H]1CCC[C@@H](c2ccccc2)O1 ZINC000878363467 1043879178 /nfs/dbraw/zinc/87/91/78/1043879178.db2.gz BAXFQIQDPWGHFV-VHSSKADRSA-N 0 3 314.429 4.252 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc(C)nc(N3CCCC3)c1)CC2 ZINC000289809086 1043881682 /nfs/dbraw/zinc/88/16/82/1043881682.db2.gz OKLJMDCOVZXEQL-FQEVSTJZSA-N 0 3 321.468 4.076 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H](C)C2CCCC2)co1 ZINC000878418091 1043888736 /nfs/dbraw/zinc/88/87/36/1043888736.db2.gz IFGAJDJUIXMMQI-ZDUSSCGKSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCCOC1CCSCC1 ZINC000930199877 1043889202 /nfs/dbraw/zinc/88/92/02/1043889202.db2.gz XYADQQMIEKTFDT-OAHLLOKOSA-N 0 3 323.502 4.037 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2C[C@H](c3ccccc3)C[C@@H]2C)c1 ZINC000522467428 1043889670 /nfs/dbraw/zinc/88/96/70/1043889670.db2.gz MASJJTDUMPVMNX-JENIJYKNSA-N 0 3 322.452 4.200 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccc(Br)nc1 ZINC000289885420 1043891676 /nfs/dbraw/zinc/89/16/76/1043891676.db2.gz SVLWQNHNPALGRH-XHDPSFHLSA-N 0 3 317.230 4.182 20 0 DIADHN Cc1cccc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000289893047 1043893053 /nfs/dbraw/zinc/89/30/53/1043893053.db2.gz SSDUQWYJDXNNHD-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)cc1)c1ccc(F)nc1 ZINC000930209553 1043893979 /nfs/dbraw/zinc/89/39/79/1043893979.db2.gz GOZGHVONKFBINT-WDEREUQCSA-N 0 3 323.209 4.395 20 0 DIADHN Cc1nc(C)c(CN[C@@H](CCc2ccccc2)c2ccccc2)[nH]1 ZINC000930207783 1043894667 /nfs/dbraw/zinc/89/46/67/1043894667.db2.gz FPHIDJHGBRYDEY-FQEVSTJZSA-N 0 3 319.452 4.490 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H]1CCC2(CCCCCC2)O1 ZINC000930222669 1043898817 /nfs/dbraw/zinc/89/88/17/1043898817.db2.gz OJAOLDKPJJBPGI-CJNGLKHVSA-N 0 3 306.450 4.214 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CCCC23CCC3)o1 ZINC000878518297 1043898982 /nfs/dbraw/zinc/89/89/82/1043898982.db2.gz KBMSWNFKVVAFLJ-UHFFFAOYSA-N 0 3 319.408 4.408 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(C)(C)C(C)(C)C)c1ccsc1 ZINC000561144916 1043903207 /nfs/dbraw/zinc/90/32/07/1043903207.db2.gz RHDQZOZHDYJDOV-OAHLLOKOSA-N 0 3 324.534 4.320 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000878613855 1043905068 /nfs/dbraw/zinc/90/50/68/1043905068.db2.gz XYCSTRXDCZNHTF-IAGOWNOFSA-N 0 3 314.429 4.036 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001137134312 1043905627 /nfs/dbraw/zinc/90/56/27/1043905627.db2.gz OCJXGCZLNVWTGZ-UHFFFAOYSA-N 0 3 309.400 4.485 20 0 DIADHN Cc1cc2cc([C@@H](C)NCC[S@](=O)C(C)(C)C)oc2cc1C ZINC000127268825 1043911264 /nfs/dbraw/zinc/91/12/64/1043911264.db2.gz BBPSSJRYGRWRIK-JLCFBVMHSA-N 0 3 321.486 4.247 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1O ZINC000290047455 1043914479 /nfs/dbraw/zinc/91/44/79/1043914479.db2.gz BUMGOTUPTHOAAR-CYBMUJFWSA-N 0 3 310.397 4.041 20 0 DIADHN Cc1ccsc1CNCc1cc(O)cc(C(F)(F)F)c1 ZINC000290077174 1043916242 /nfs/dbraw/zinc/91/62/42/1043916242.db2.gz YQMZHSKIGIKESP-UHFFFAOYSA-N 0 3 301.333 4.071 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000035601287 1043918091 /nfs/dbraw/zinc/91/80/91/1043918091.db2.gz OZBLOQIRYVBPRM-MEDUHNTESA-N 0 3 310.224 4.378 20 0 DIADHN CC(C)[C@H](CNCc1nnc(C(C)(C)C)s1)c1ccccc1 ZINC000474813092 1043925111 /nfs/dbraw/zinc/92/51/11/1043925111.db2.gz CCCRSMRCNFKHNZ-HNNXBMFYSA-N 0 3 317.502 4.365 20 0 DIADHN Fc1ccc2[nH]cc(C3CCN(Cc4cncs4)CC3)c2c1 ZINC000290153119 1043925706 /nfs/dbraw/zinc/92/57/06/1043925706.db2.gz LFOZLVBUNXVMOZ-UHFFFAOYSA-N 0 3 315.417 4.143 20 0 DIADHN Cc1ccc(-c2nc(CNCCCc3ccc(C)nc3)co2)cc1 ZINC000474808410 1043925956 /nfs/dbraw/zinc/92/59/56/1043925956.db2.gz BAEGLJSVJLEPEB-UHFFFAOYSA-N 0 3 321.424 4.076 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2ccc(Oc3cccnc3)cc2)[nH]1 ZINC000930495422 1043931684 /nfs/dbraw/zinc/93/16/84/1043931684.db2.gz CPZQWWOOOGNLOT-ZDUSSCGKSA-N 0 3 322.412 4.065 20 0 DIADHN Clc1cc(CN[C@@H]2CCO[C@H]2c2ccccc2)cc(Cl)n1 ZINC000879086770 1043943089 /nfs/dbraw/zinc/94/30/89/1043943089.db2.gz AFRSSMWVGRVSDT-CJNGLKHVSA-N 0 3 323.223 4.008 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001137140778 1043944125 /nfs/dbraw/zinc/94/41/25/1043944125.db2.gz PTSBESNVVYNIRL-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN c1ccc(CSCCCN(Cc2cccnc2)C2CC2)cc1 ZINC000357390854 1043947291 /nfs/dbraw/zinc/94/72/91/1043947291.db2.gz MXLKGNQKHQBIDM-UHFFFAOYSA-N 0 3 312.482 4.370 20 0 DIADHN CC(C)OCCCNC(C)(C)c1nc2cc(Cl)ccc2o1 ZINC000879158978 1043948616 /nfs/dbraw/zinc/94/86/16/1043948616.db2.gz ORJBZZDGDHCCFW-UHFFFAOYSA-N 0 3 310.825 4.121 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000290420816 1043950124 /nfs/dbraw/zinc/95/01/24/1043950124.db2.gz USTYXKIBLMCANS-ABAIWWIYSA-N 0 3 304.340 4.021 20 0 DIADHN C[C@H](CN(C)C/C=C/c1ccc(F)c(F)c1)c1nccs1 ZINC000290471631 1043953108 /nfs/dbraw/zinc/95/31/08/1043953108.db2.gz UUJXKRZWOWIWHQ-AAOUONPWSA-N 0 3 308.397 4.170 20 0 DIADHN Cc1ccsc1CN(CCc1ccccn1)Cc1ccncc1 ZINC000290464162 1043953294 /nfs/dbraw/zinc/95/32/94/1043953294.db2.gz NEKCUXLNEJTAIW-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN COC[C@H](C)N(C)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000179365532 1043955315 /nfs/dbraw/zinc/95/53/15/1043955315.db2.gz ILKJFWDDGPWXIP-LBPRGKRZSA-N 0 3 316.426 4.023 20 0 DIADHN Oc1cccc2sc(CN[C@H](c3ccccn3)C3CC3)cc21 ZINC000896610956 1043958768 /nfs/dbraw/zinc/95/87/68/1043958768.db2.gz ZRJBKTGXKFRJPU-SFHVURJKSA-N 0 3 310.422 4.243 20 0 DIADHN Cc1ccc(CCCN[C@H](c2ccco2)c2ccccc2)cn1 ZINC000474872867 1043959975 /nfs/dbraw/zinc/95/99/75/1043959975.db2.gz NWKULLSFUHLFFP-FQEVSTJZSA-N 0 3 306.409 4.295 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000561516595 1043963300 /nfs/dbraw/zinc/96/33/00/1043963300.db2.gz VIRSXCVOFQUDSL-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN CCOc1ccc(CCC2CCN(Cc3ccccn3)CC2)cc1 ZINC000648055345 1043965541 /nfs/dbraw/zinc/96/55/41/1043965541.db2.gz CYGQWWHOEAFUSY-UHFFFAOYSA-N 0 3 324.468 4.325 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2Cc2ccc(F)cc2)sc1C ZINC000357628025 1043972154 /nfs/dbraw/zinc/97/21/54/1043972154.db2.gz BYMVLHITKSWRHE-MRXNPFEDSA-N 0 3 304.434 4.106 20 0 DIADHN C[C@@H](NCc1coc(C(C)(C)C)n1)C1CCC(F)(F)CC1 ZINC000930890914 1043972473 /nfs/dbraw/zinc/97/24/73/1043972473.db2.gz DCMYBKQSAKXEQT-LLVKDONJSA-N 0 3 300.393 4.276 20 0 DIADHN COc1ccc([C@H]2CCN(CCCCC(F)(F)F)C2)cc1F ZINC000626125904 1043972604 /nfs/dbraw/zinc/97/26/04/1043972604.db2.gz BUDFKZAROZZPSA-ZDUSSCGKSA-N 0 3 319.342 4.356 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3sccc32)cc1O ZINC000290736206 1043981359 /nfs/dbraw/zinc/98/13/59/1043981359.db2.gz PPNZOHROCAVVAL-SMDDNHRTSA-N 0 3 303.427 4.191 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2cnccc2C)cc1OC ZINC000357778290 1043985662 /nfs/dbraw/zinc/98/56/62/1043985662.db2.gz NBHFFVLKQUOWOX-LSDHHAIUSA-N 0 3 314.429 4.209 20 0 DIADHN CC(C)c1ccccc1CN[C@H](c1ccncc1)C1(CO)CCC1 ZINC000931079515 1043990064 /nfs/dbraw/zinc/99/00/64/1043990064.db2.gz RDKCIXYMBJSUMP-HXUWFJFHSA-N 0 3 324.468 4.199 20 0 DIADHN Cc1ccc2c(ccc(C)c2CN[C@H]2CCCn3nccc32)c1 ZINC000879788315 1043991477 /nfs/dbraw/zinc/99/14/77/1043991477.db2.gz XGALCUDVUMEODJ-IBGZPJMESA-N 0 3 305.425 4.278 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2[nH]nc3c2cccc3C)c1 ZINC001137149263 1044007131 /nfs/dbraw/zinc/00/71/31/1044007131.db2.gz LYPXWXWMGFDTEC-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc([C@H](C)NC2CC3(CCC3)C2)c(Br)c1 ZINC001205007851 1044024529 /nfs/dbraw/zinc/02/45/29/1044024529.db2.gz ODBMKBBVGRJWBO-NSHDSACASA-N 0 3 324.262 4.441 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001648969008 1044013119 /nfs/dbraw/zinc/01/31/19/1044013119.db2.gz JZWNGSDFDBPEKE-LSDHHAIUSA-N 0 3 311.425 4.260 20 0 DIADHN CN(Cc1cc(F)c(Br)cc1F)C1CCCC1 ZINC001141915559 1044015441 /nfs/dbraw/zinc/01/54/41/1044015441.db2.gz HDILUZXVHTZBRF-UHFFFAOYSA-N 0 3 304.178 4.102 20 0 DIADHN CO[C@@H](C)CCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291084003 1044020870 /nfs/dbraw/zinc/02/08/70/1044020870.db2.gz CFXFLJGSJDOODY-RYUDHWBXSA-N 0 3 308.422 4.025 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@H](OC(C)C)c2ccccc2)s1 ZINC000639383139 1044021801 /nfs/dbraw/zinc/02/18/01/1044021801.db2.gz RPIQLHJKMWIYEZ-CJNGLKHVSA-N 0 3 304.459 4.268 20 0 DIADHN Clc1ccccc1CCCN1CCC(c2ncco2)CC1 ZINC000931446604 1044027720 /nfs/dbraw/zinc/02/77/20/1044027720.db2.gz LRVHQZQBPYEYFA-UHFFFAOYSA-N 0 3 304.821 4.140 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCC(c2ncco2)CC1 ZINC000931448809 1044028493 /nfs/dbraw/zinc/02/84/93/1044028493.db2.gz MIQMAQIYAHBTPZ-GFCCVEGCSA-N 0 3 322.355 4.217 20 0 DIADHN CC[C@@H](OCCN1CC[C@H](c2nccs2)C1)c1ccccc1 ZINC000880321005 1044028409 /nfs/dbraw/zinc/02/84/09/1044028409.db2.gz MBHZWJOIOBIYHK-DLBZAZTESA-N 0 3 316.470 4.100 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@H](c3nccs3)C2)c1 ZINC000880315755 1044028864 /nfs/dbraw/zinc/02/88/64/1044028864.db2.gz UKNDSKZGDKJQHV-LBPRGKRZSA-N 0 3 312.360 4.151 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2C[C@@H]3CCC[C@@H]3C2)n1 ZINC000561930772 1044029112 /nfs/dbraw/zinc/02/91/12/1044029112.db2.gz YMDWSHLPASLJOG-TXEJJXNPSA-N 0 3 320.408 4.320 20 0 DIADHN c1coc(C2CCN(CCOc3ccc4ccccc4c3)CC2)n1 ZINC000931456279 1044030372 /nfs/dbraw/zinc/03/03/72/1044030372.db2.gz WAHCWDOATFNEMO-UHFFFAOYSA-N 0 3 322.408 4.086 20 0 DIADHN C[C@H](O)CCCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000475022339 1044033956 /nfs/dbraw/zinc/03/39/56/1044033956.db2.gz HTOUYWNQEMDOLQ-BBRMVZONSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1ncccc1CNC(C)(C)c1ccc(C(F)(F)F)cc1 ZINC000880385126 1044035345 /nfs/dbraw/zinc/03/53/45/1044035345.db2.gz HLSYYMBYZGHPII-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN C[C@@H]1c2sccc2CCN1Cc1cc2ccccc2[nH]c1=O ZINC000880377773 1044035227 /nfs/dbraw/zinc/03/52/27/1044035227.db2.gz DZPOTALYBNFXAE-GFCCVEGCSA-N 0 3 310.422 4.121 20 0 DIADHN Cc1ccncc1CNC(C)(C)c1ccc(C(F)(F)F)cc1 ZINC000880383666 1044035957 /nfs/dbraw/zinc/03/59/57/1044035957.db2.gz IHFVEZYJFWVWOP-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN Cc1ncc(CN2CCCSC[C@H]2c2ccccc2)s1 ZINC000880388724 1044037317 /nfs/dbraw/zinc/03/73/17/1044037317.db2.gz UEIMESZFXJXMRY-INIZCTEOSA-N 0 3 304.484 4.132 20 0 DIADHN Cc1ccc(CCNCc2nc(-c3ccccc3)cs2)cc1 ZINC000522537941 1044038143 /nfs/dbraw/zinc/03/81/43/1044038143.db2.gz MWKCBYCMAHULNL-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN C[C@H](NCCCCCCO)c1csc(-c2ccccc2F)n1 ZINC000291207725 1044038691 /nfs/dbraw/zinc/03/86/91/1044038691.db2.gz MNLIZYQSVMAQSB-ZDUSSCGKSA-N 0 3 322.449 4.153 20 0 DIADHN c1sc(CN[C@@H]2CCC[C@]23CCCO3)nc1-c1ccccc1 ZINC000880501382 1044044860 /nfs/dbraw/zinc/04/48/60/1044044860.db2.gz FJOFMAAHARLKAC-AEFFLSMTSA-N 0 3 314.454 4.001 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](O)c2cccc(OC(C)C)c2)o1 ZINC000128454449 1044044975 /nfs/dbraw/zinc/04/49/75/1044044975.db2.gz NJAXMFSJEWBAGI-KDOFPFPSSA-N 0 3 317.429 4.013 20 0 DIADHN Cc1cccnc1[C@H](N[C@H]1CCCc2c(O)cccc21)C(C)C ZINC000291248224 1044046057 /nfs/dbraw/zinc/04/60/57/1044046057.db2.gz PEMQCSIOOSBYJQ-PKOBYXMFSA-N 0 3 310.441 4.460 20 0 DIADHN c1cn(C[C@H](NCc2ccccc2C2CC2)c2ccccc2)cn1 ZINC000880569666 1044049646 /nfs/dbraw/zinc/04/96/46/1044049646.db2.gz NZDMIHCPBOENOZ-NRFANRHFSA-N 0 3 317.436 4.292 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CCCC(CC)(CC)CC1 ZINC001296444345 1044052174 /nfs/dbraw/zinc/05/21/74/1044052174.db2.gz GETSJSUYBWLLPW-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN C[C@H](O)C[C@H](C)CN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291278021 1044052542 /nfs/dbraw/zinc/05/25/42/1044052542.db2.gz RACIPQWBCNNJFO-AVGNSLFASA-N 0 3 322.449 4.007 20 0 DIADHN C[C@@H](CN[C@@H](C)c1csc(-c2ccccc2F)n1)C[C@H](C)O ZINC000291278020 1044053254 /nfs/dbraw/zinc/05/32/54/1044053254.db2.gz RACIPQWBCNNJFO-AGIUHOORSA-N 0 3 322.449 4.007 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)c(F)c1 ZINC000562058210 1044056138 /nfs/dbraw/zinc/05/61/38/1044056138.db2.gz UGSYJVCVMOFAKW-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000358357668 1044060071 /nfs/dbraw/zinc/06/00/71/1044060071.db2.gz RAIDYDMNDUXIEF-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCCc4c(O)cccc43)ccc2[nH]1 ZINC000291328473 1044060217 /nfs/dbraw/zinc/06/02/17/1044060217.db2.gz VILABFGIXATIJM-IBGZPJMESA-N 0 3 306.409 4.349 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000358357666 1044060301 /nfs/dbraw/zinc/06/03/01/1044060301.db2.gz RAIDYDMNDUXIEF-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2nc(C(C)C)cs2)cc1 ZINC000128571091 1044060723 /nfs/dbraw/zinc/06/07/23/1044060723.db2.gz VNFHVFMQCSIJBX-AWEZNQCLSA-N 0 3 318.486 4.386 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](c2cccnc2)C2CC2)cc1F ZINC000358374997 1044060856 /nfs/dbraw/zinc/06/08/56/1044060856.db2.gz GNUCBLRBZIQFAA-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1cnc2ccsc2c1 ZINC000358368738 1044061070 /nfs/dbraw/zinc/06/10/70/1044061070.db2.gz PIUDVJNBMLQLOI-XIKOKIGWSA-N 0 3 309.438 4.493 20 0 DIADHN Fc1cc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)cc(F)c1F ZINC000562081993 1044063490 /nfs/dbraw/zinc/06/34/90/1044063490.db2.gz AOVDQDFISKLRAQ-PBHICJAKSA-N 0 3 321.342 4.114 20 0 DIADHN CCCC[C@@H](CC)CC(=O)Nc1cc(CN(C)C)ccc1OC ZINC000522667112 1044063930 /nfs/dbraw/zinc/06/39/30/1044063930.db2.gz RHXZQWAYQQYQQK-OAHLLOKOSA-N 0 3 320.477 4.302 20 0 DIADHN CCC[C@H](N[C@H](CO)c1sccc1C)c1ccc(OC)cc1 ZINC000358411382 1044067990 /nfs/dbraw/zinc/06/79/90/1044067990.db2.gz XDDMCSVJHQDSAP-DLBZAZTESA-N 0 3 319.470 4.230 20 0 DIADHN CC(=O)C1(c2ccccc2)CCN(Cc2cc(C)cs2)CC1 ZINC001137158195 1044068082 /nfs/dbraw/zinc/06/80/82/1044068082.db2.gz HSUPHUFNSUTAGZ-UHFFFAOYSA-N 0 3 313.466 4.179 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)c2)[C@H]1[C@@H]1CCCO1 ZINC000562204473 1044082210 /nfs/dbraw/zinc/08/22/10/1044082210.db2.gz CVMQTWIXFAVYCX-PMACEKPBSA-N 0 3 322.452 4.138 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccccn3)c2)[C@@H]1[C@@H]1CCCO1 ZINC000562204475 1044082488 /nfs/dbraw/zinc/08/24/88/1044082488.db2.gz CVMQTWIXFAVYCX-VQTJNVASSA-N 0 3 322.452 4.138 20 0 DIADHN FCc1ccccc1CN1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC000931910800 1044085961 /nfs/dbraw/zinc/08/59/61/1044085961.db2.gz SUMXLGZUCGCFLC-CYBMUJFWSA-N 0 3 305.290 4.023 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@@H]1CCCc2ccc(C)cc21 ZINC000291646657 1044093524 /nfs/dbraw/zinc/09/35/24/1044093524.db2.gz CDXLYGFUDGUYBG-HXUWFJFHSA-N 0 3 308.425 4.121 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000358756628 1044099139 /nfs/dbraw/zinc/09/91/39/1044099139.db2.gz PJIAZPSYPQLEFM-MJGOQNOKSA-N 0 3 313.420 4.068 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(c2ccn(C)n2)CC1 ZINC000932145181 1044103349 /nfs/dbraw/zinc/10/33/49/1044103349.db2.gz FVVIJVJAPROREP-CYBMUJFWSA-N 0 3 303.837 4.014 20 0 DIADHN Clc1ccc(CN2CCC3(CCc4ccccc43)CC2)cn1 ZINC001137164141 1044105689 /nfs/dbraw/zinc/10/56/89/1044105689.db2.gz JQFXLWZNDVXGGB-UHFFFAOYSA-N 0 3 312.844 4.215 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000281258685 1044114791 /nfs/dbraw/zinc/11/47/91/1044114791.db2.gz GVZJBBOXTPUMNI-YJYMSZOUSA-N 0 3 315.436 4.325 20 0 DIADHN COC(C)(C)CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000346551737 1044116950 /nfs/dbraw/zinc/11/69/50/1044116950.db2.gz KKNKJVSYWRGDGJ-CYBMUJFWSA-N 0 3 304.459 4.276 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000281299236 1044118747 /nfs/dbraw/zinc/11/87/47/1044118747.db2.gz JBHOVSMEZDTTOM-IBGZPJMESA-N 0 3 315.436 4.461 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CN(c1ccnc2ccccc21)CC3 ZINC000346591103 1044119476 /nfs/dbraw/zinc/11/94/76/1044119476.db2.gz HLWMXWOCAMEIJR-UHFFFAOYSA-N 0 3 317.367 4.418 20 0 DIADHN Cc1cc(CN[C@H](c2ccccn2)C2CC2)c2ccccc2n1 ZINC000932380840 1044122540 /nfs/dbraw/zinc/12/25/40/1044122540.db2.gz WZUZEGHFJDEUCS-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN COCCCC[C@H](N[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000359035387 1044123455 /nfs/dbraw/zinc/12/34/55/1044123455.db2.gz KHVCGPGSXXQQCZ-KXBFYZLASA-N 0 3 316.420 4.429 20 0 DIADHN Cc1cccc(CN(C)CCCc2cc(-c3ccccc3)no2)n1 ZINC000346640278 1044125919 /nfs/dbraw/zinc/12/59/19/1044125919.db2.gz JXYYZVXFBZREKQ-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3ccncc3Cl)C2)cc1 ZINC000562517226 1044126162 /nfs/dbraw/zinc/12/61/62/1044126162.db2.gz BDJKWGKYSGDPTD-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN FC1(F)CC(CN(Cc2nc3ccccc3s2)C2CC2)C1 ZINC000932397442 1044126332 /nfs/dbraw/zinc/12/63/32/1044126332.db2.gz UFIVZBSRJLUMHS-UHFFFAOYSA-N 0 3 308.397 4.306 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1ccc3[nH]cnc3c1)CCC2 ZINC000562521421 1044126393 /nfs/dbraw/zinc/12/63/93/1044126393.db2.gz WSWNFQZHKWYFLI-MRXNPFEDSA-N 0 3 313.351 4.008 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1ccc3nc[nH]c3c1)CCC2 ZINC000562521421 1044126398 /nfs/dbraw/zinc/12/63/98/1044126398.db2.gz WSWNFQZHKWYFLI-MRXNPFEDSA-N 0 3 313.351 4.008 20 0 DIADHN Cc1ccc([C@H]2CCCN2[C@@H](C)C(=O)N(C(C)C)C(C)C)s1 ZINC000359078474 1044128689 /nfs/dbraw/zinc/12/86/89/1044128689.db2.gz SRQRKVNCMPKSOE-JKSUJKDBSA-N 0 3 322.518 4.227 20 0 DIADHN Fc1cncc([C@H](N[C@H]2CCCc3sccc32)C2CC2)c1 ZINC000281507252 1044140510 /nfs/dbraw/zinc/14/05/10/1044140510.db2.gz HBTRLBHSAAFWRV-DOTOQJQBSA-N 0 3 302.418 4.401 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2ccc(-c3ccccc3)cc2)c(=O)[nH]1 ZINC000346807080 1044142095 /nfs/dbraw/zinc/14/20/95/1044142095.db2.gz LNDMAAPQFDEBGZ-AWEZNQCLSA-N 0 3 319.408 4.008 20 0 DIADHN COc1ccc(CN(Cc2cncc(F)c2)[C@H](C)C2CC2)cc1 ZINC000346814480 1044146682 /nfs/dbraw/zinc/14/66/82/1044146682.db2.gz BXOOUMLGFIHLJJ-CQSZACIVSA-N 0 3 314.404 4.030 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3cnc([C@H]4CCCO4)s3)C2)C1 ZINC000359290857 1044150870 /nfs/dbraw/zinc/15/08/70/1044150870.db2.gz CHFRDBRFCJHVJD-UNEWFSDZSA-N 0 3 306.475 4.007 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000359313682 1044154643 /nfs/dbraw/zinc/15/46/43/1044154643.db2.gz BWFCYEHQODZNIT-HZPDHXFCSA-N 0 3 320.440 4.242 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@@H]2CCCC[C@@H]2C)c(C)c1C ZINC000444041075 1044156468 /nfs/dbraw/zinc/15/64/68/1044156468.db2.gz WGRWBRWBLSRDKF-XHDPSFHLSA-N 0 3 308.491 4.122 20 0 DIADHN Fc1cncc([C@H](NCc2ccc3ncccc3c2)C2CC2)c1 ZINC000281551754 1044160979 /nfs/dbraw/zinc/16/09/79/1044160979.db2.gz JGZSYMBCFFMJHN-LJQANCHMSA-N 0 3 307.372 4.010 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2NC[C@@H]1CCCO1 ZINC000359384160 1044162659 /nfs/dbraw/zinc/16/26/59/1044162659.db2.gz VANIULBKROOTBY-WCBMZHEXSA-N 0 3 306.258 4.201 20 0 DIADHN CC[C@H](NCCNc1ccccn1)c1cccc(Cl)c1F ZINC000359415164 1044164092 /nfs/dbraw/zinc/16/40/92/1044164092.db2.gz ITWLRJXJMRFIAM-AWEZNQCLSA-N 0 3 307.800 4.027 20 0 DIADHN C[C@H](c1ccccc1F)N(C)CCCOc1ccc(C#N)cc1 ZINC000320435616 1044172589 /nfs/dbraw/zinc/17/25/89/1044172589.db2.gz JYMQKCWPMFBRJT-OAHLLOKOSA-N 0 3 312.388 4.159 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)CC2 ZINC000562876607 1044173177 /nfs/dbraw/zinc/17/31/77/1044173177.db2.gz CYRRNHXFTPYQIT-WOJBJXKFSA-N 0 3 312.432 4.014 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000359537741 1044174381 /nfs/dbraw/zinc/17/43/81/1044174381.db2.gz AKVCZQBACAYCIJ-CFLQYTFWSA-N 0 3 314.473 4.143 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2c2cccnc2)cc1 ZINC000346972056 1044177581 /nfs/dbraw/zinc/17/75/81/1044177581.db2.gz CDLHPTWKWALIGG-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN CC[C@@H](NCc1ccc(C(F)F)cc1)c1c(C)nn(C)c1C ZINC000359571737 1044178575 /nfs/dbraw/zinc/17/85/75/1044178575.db2.gz LYOWFUJBEYZQII-OAHLLOKOSA-N 0 3 307.388 4.215 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCc1csc(C)n1)[C@@H]2C ZINC000359650631 1044185519 /nfs/dbraw/zinc/18/55/19/1044185519.db2.gz OMDZUVWHMFFYDS-CHWSQXEVSA-N 0 3 316.470 4.010 20 0 DIADHN CCN(C[C@]1(C)CC1(Cl)Cl)[C@H](C)c1cccc(O)c1 ZINC000828000252 1044188435 /nfs/dbraw/zinc/18/84/35/1044188435.db2.gz SIINRXZTPPPGFU-RISCZKNCSA-N 0 3 302.245 4.359 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2nccn2-c2ccccc2)C1 ZINC000359746038 1044196817 /nfs/dbraw/zinc/19/68/17/1044196817.db2.gz GVLJJBRZUGTYKV-NVXWUHKLSA-N 0 3 315.486 4.026 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1F)c1ccc(F)c(Cl)c1 ZINC000130374554 1044201448 /nfs/dbraw/zinc/20/14/48/1044201448.db2.gz RBJHIKICCZELAN-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccco1)NCc1ccc(Cl)cc1Cl ZINC000130391828 1044201606 /nfs/dbraw/zinc/20/16/06/1044201606.db2.gz CHEZUUMWVYUHQT-IINYFYTJSA-N 0 3 314.212 4.188 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000359789646 1044201684 /nfs/dbraw/zinc/20/16/84/1044201684.db2.gz AIALWYJXHAQEEM-KRWDZBQOSA-N 0 3 310.372 4.180 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1F)c1ccc(F)c(Cl)c1 ZINC000130374308 1044201875 /nfs/dbraw/zinc/20/18/75/1044201875.db2.gz RBJHIKICCZELAN-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](C[C@H](O)c1ccco1)NCc1ccc(-c2ccccc2)o1 ZINC000130586176 1044207957 /nfs/dbraw/zinc/20/79/57/1044207957.db2.gz QKQHNDORFJSZAX-YOEHRIQHSA-N 0 3 311.381 4.141 20 0 DIADHN C[C@@H](NCCCCCCO)c1csc(-c2ccccc2)n1 ZINC000840733584 1044212899 /nfs/dbraw/zinc/21/28/99/1044212899.db2.gz SGVXACPWRRPNFM-CQSZACIVSA-N 0 3 304.459 4.013 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)(F)F)cc2)cc1OC ZINC000292899279 1044225078 /nfs/dbraw/zinc/22/50/78/1044225078.db2.gz GMIVXJUUHICJIU-UHFFFAOYSA-N 0 3 321.367 4.105 20 0 DIADHN N#CC(C(=O)CC1(CSc2ccccc2)CC1)c1ccccn1 ZINC000187475423 1044227604 /nfs/dbraw/zinc/22/76/04/1044227604.db2.gz SRCCITBLWCEGKT-INIZCTEOSA-N 0 3 322.433 4.220 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)c1ccccc1C ZINC000934169177 1044227817 /nfs/dbraw/zinc/22/78/17/1044227817.db2.gz NTAOPOOOHRYYFI-INIZCTEOSA-N 0 3 310.441 4.107 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1ccc(C)nc1C ZINC000360052676 1044229668 /nfs/dbraw/zinc/22/96/68/1044229668.db2.gz IWFMTNZJHCFFEH-HXUWFJFHSA-N 0 3 310.441 4.216 20 0 DIADHN Cc1cccc(C2=CCN(Cc3ccc4c(c3)COC4)CC2)c1 ZINC000778229502 1044232896 /nfs/dbraw/zinc/23/28/96/1044232896.db2.gz XBYJACUHMGNCHR-UHFFFAOYSA-N 0 3 305.421 4.315 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)[C@@H]2CCCOC2)o1 ZINC000563254696 1044238224 /nfs/dbraw/zinc/23/82/24/1044238224.db2.gz DRLQBJBBQGJNBV-CQSZACIVSA-N 0 3 303.377 4.005 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Oc3cccc(Cl)c3)C2)c(C)n1 ZINC000360140416 1044240110 /nfs/dbraw/zinc/24/01/10/1044240110.db2.gz OPMCFWWSMNAOLS-GOSISDBHSA-N 0 3 316.832 4.005 20 0 DIADHN COCC1(CCNCc2csc(-c3cccs3)n2)CCC1 ZINC000293027958 1044240407 /nfs/dbraw/zinc/24/04/07/1044240407.db2.gz RITDBMPRTSJAOU-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN CCSc1ccc(CN[C@H](C)c2cccc(CO)c2)cc1 ZINC000883245714 1044242926 /nfs/dbraw/zinc/24/29/26/1044242926.db2.gz QEOXKAYRVKOHHF-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN C[C@H](NCCc1ccns1)c1nc(-c2ccccc2)cs1 ZINC000883248072 1044244365 /nfs/dbraw/zinc/24/43/65/1044244365.db2.gz GNUREZPIMJVPTA-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cc2ccncc2s1 ZINC000883256851 1044247286 /nfs/dbraw/zinc/24/72/86/1044247286.db2.gz KJNGHQCIPNRKKO-UHFFFAOYSA-N 0 3 324.449 4.472 20 0 DIADHN CC[C@@H](NCc1ccccc1OCCOC)c1ccc(C)cc1 ZINC000360242873 1044251349 /nfs/dbraw/zinc/25/13/49/1044251349.db2.gz RQWPRLIYHJKVFY-LJQANCHMSA-N 0 3 313.441 4.261 20 0 DIADHN Oc1cccc(CNCc2ccc(OCc3ccccc3)cc2)c1 ZINC000131380362 1044257710 /nfs/dbraw/zinc/25/77/10/1044257710.db2.gz SYJMWEFUTZPIMC-UHFFFAOYSA-N 0 3 319.404 4.261 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC2(CC1)OCCCO2 ZINC000293217382 1044262273 /nfs/dbraw/zinc/26/22/73/1044262273.db2.gz YMZJMCFCYRTLOF-MRXNPFEDSA-N 0 3 309.837 4.020 20 0 DIADHN Fc1cc2cccnc2c(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934688428 1044270359 /nfs/dbraw/zinc/27/03/59/1044270359.db2.gz QYZBVKJKIQZPTN-SFHVURJKSA-N 0 3 307.372 4.010 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H]2CCCC[C@H]12)c1ncc(Br)s1 ZINC000883322627 1044275118 /nfs/dbraw/zinc/27/51/18/1044275118.db2.gz NWMWBQPFCARLLS-VPOLOUISSA-N 0 3 315.280 4.135 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1ccc2[nH]ccc2c1 ZINC000883330034 1044275845 /nfs/dbraw/zinc/27/58/45/1044275845.db2.gz WNQIGWJTWYSXRW-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN CC[C@H](N[C@H]1CCCOc2c(OC)cccc21)c1ccncc1 ZINC000883331045 1044276876 /nfs/dbraw/zinc/27/68/76/1044276876.db2.gz QVUCKLHMUTUZCS-IRXDYDNUSA-N 0 3 312.413 4.045 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1ccc2cc[nH]c2c1 ZINC000883329684 1044277032 /nfs/dbraw/zinc/27/70/32/1044277032.db2.gz PODHEVYPDRAZTP-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H](NCCC(=O)N(C)c1ccccc1)c1ccccc1Cl ZINC000360495479 1044278495 /nfs/dbraw/zinc/27/84/95/1044278495.db2.gz LQFZVQOZXFOOTF-CQSZACIVSA-N 0 3 316.832 4.044 20 0 DIADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000475149516 1044284536 /nfs/dbraw/zinc/28/45/36/1044284536.db2.gz PEISVXBJYUGOCW-HZSPNIEDSA-N 0 3 301.459 4.072 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360577502 1044284803 /nfs/dbraw/zinc/28/48/03/1044284803.db2.gz CLLKKLWDXWGIJF-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC000934838707 1044285182 /nfs/dbraw/zinc/28/51/82/1044285182.db2.gz NPRRMSXWRVKIDP-OWCLPIDISA-N 0 3 320.477 4.172 20 0 DIADHN Fc1ccc([C@H](C[C@@H]2CCOC2)NCc2ccsc2)cc1 ZINC000293462532 1044286507 /nfs/dbraw/zinc/28/65/07/1044286507.db2.gz QETVBLMZLZYKQY-GUYCJALGSA-N 0 3 305.418 4.145 20 0 DIADHN c1nnc([C@@H]([NH2+][C@@H]2CCCc3ccccc32)C2CCCCC2)[n-]1 ZINC000293464269 1044286739 /nfs/dbraw/zinc/28/67/39/1044286739.db2.gz QFQGXCXWOFPRHQ-MSOLQXFVSA-N 0 3 310.445 4.093 20 0 DIADHN Fc1ccc([C@@H](C[C@H]2CCOC2)NCc2ccsc2)cc1 ZINC000293462528 1044287111 /nfs/dbraw/zinc/28/71/11/1044287111.db2.gz QETVBLMZLZYKQY-CXAGYDPISA-N 0 3 305.418 4.145 20 0 DIADHN CC[C@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1cccs1 ZINC000360596081 1044289415 /nfs/dbraw/zinc/28/94/15/1044289415.db2.gz GXXYHOCHAFZIQD-JKSUJKDBSA-N 0 3 316.470 4.008 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)cc1C ZINC000360602193 1044292195 /nfs/dbraw/zinc/29/21/95/1044292195.db2.gz ZHICXSNJLNNLIE-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1sccc1CN[C@H](C)c1cccc(N2CCCC2=O)c1 ZINC000293535081 1044292920 /nfs/dbraw/zinc/29/29/20/1044292920.db2.gz JSWQSLKGZXGOGD-CYBMUJFWSA-N 0 3 314.454 4.034 20 0 DIADHN CC1(C)CC[C@H](N[C@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000360662518 1044297412 /nfs/dbraw/zinc/29/74/12/1044297412.db2.gz GLFKFSJLLXZKBB-RBUKOAKNSA-N 0 3 313.416 4.261 20 0 DIADHN CC1(C)CC[C@@H](N[C@@H](CO)c2cccc(F)c2)c2ccccc21 ZINC000360662053 1044297583 /nfs/dbraw/zinc/29/75/83/1044297583.db2.gz DMBITSIMVSDODF-MOPGFXCFSA-N 0 3 313.416 4.261 20 0 DIADHN Fc1cccc2c1CC[C@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387295 1044299607 /nfs/dbraw/zinc/29/96/07/1044299607.db2.gz VFXKRETWJXNGMN-UXHICEINSA-N 0 3 321.399 4.041 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](Cn2ccnc2)c2ccccc2)cc1 ZINC000883386279 1044299878 /nfs/dbraw/zinc/29/98/78/1044299878.db2.gz CSAHYHFJBLPUMC-YLJYHZDGSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](Cn2ccnc2)c2ccccc2)c1 ZINC000883386913 1044300277 /nfs/dbraw/zinc/30/02/77/1044300277.db2.gz OPDYEOKTOWHRAK-FXAWDEMLSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883386604 1044300313 /nfs/dbraw/zinc/30/03/13/1044300313.db2.gz JHVAKRIWGVASHY-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN COc1ccc([C@H](NCc2ccnc(F)c2C)C2CCC2)cc1 ZINC000897079519 1044300477 /nfs/dbraw/zinc/30/04/77/1044300477.db2.gz JXWZDBCCXFBIKV-GOSISDBHSA-N 0 3 314.404 4.169 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](Cn2ccnc2)c2ccccc2)cs1 ZINC000883387988 1044302222 /nfs/dbraw/zinc/30/22/22/1044302222.db2.gz JYIAOWDQNBEURK-CRAIPNDOSA-N 0 3 311.454 4.345 20 0 DIADHN Cc1ccc(CN[C@H]2CC3(CCOCC3)Oc3ccccc32)cc1 ZINC000883395513 1044303133 /nfs/dbraw/zinc/30/31/33/1044303133.db2.gz WDPSCRNCEXBMOZ-IBGZPJMESA-N 0 3 323.436 4.158 20 0 DIADHN CCc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)s1 ZINC000883398184 1044303320 /nfs/dbraw/zinc/30/33/20/1044303320.db2.gz FVJCVNIVYCDDHD-GOSISDBHSA-N 0 3 311.454 4.038 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)cs1 ZINC000883387991 1044304472 /nfs/dbraw/zinc/30/44/72/1044304472.db2.gz JYIAOWDQNBEURK-YJBOKZPZSA-N 0 3 311.454 4.345 20 0 DIADHN CCc1ccc([C@H](CC)N[C@H](CO)c2ccc(F)cc2)cc1 ZINC000563701876 1044306239 /nfs/dbraw/zinc/30/62/39/1044306239.db2.gz FSNOQGQKFVGZDY-RBUKOAKNSA-N 0 3 301.405 4.162 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1cncc2ccccc21 ZINC000780793940 1044308295 /nfs/dbraw/zinc/30/82/95/1044308295.db2.gz YXLVYWUMCBIWSC-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1cnc2ccccc2c1 ZINC000883464149 1044311317 /nfs/dbraw/zinc/31/13/17/1044311317.db2.gz GYTJJZFRJHAYFA-LJQANCHMSA-N 0 3 303.409 4.270 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccnc(Br)c2)c1C ZINC000293736615 1044319256 /nfs/dbraw/zinc/31/92/56/1044319256.db2.gz HFJLENHMVQFFHJ-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1nccn1CC(F)(F)F ZINC001257476963 1044321533 /nfs/dbraw/zinc/32/15/33/1044321533.db2.gz RKNRGXQLQVOKFP-CHWSQXEVSA-N 0 3 305.388 4.140 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360920317 1044329068 /nfs/dbraw/zinc/32/90/68/1044329068.db2.gz PQGFMEOXMLVUGN-WOJBJXKFSA-N 0 3 324.468 4.167 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1cccc(O)c1 ZINC000192845154 1044329550 /nfs/dbraw/zinc/32/95/50/1044329550.db2.gz ZDWHQUAQHJBWKA-NWDGAFQWSA-N 0 3 307.340 4.405 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(O)c1)c1ccc(OC(F)F)cc1 ZINC000192845125 1044330546 /nfs/dbraw/zinc/33/05/46/1044330546.db2.gz ZDWHQUAQHJBWKA-RYUDHWBXSA-N 0 3 307.340 4.405 20 0 DIADHN Cc1ccnc(OC2CCN(Cc3ccc4occc4c3)CC2)c1 ZINC000348234207 1044336484 /nfs/dbraw/zinc/33/64/84/1044336484.db2.gz WGLMYMGUJBCQIA-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CC[C@H](C(F)(F)F)[C@@H]2C)c1 ZINC000475280079 1044339014 /nfs/dbraw/zinc/33/90/14/1044339014.db2.gz MWEFUGKGENKXDK-WFASDCNBSA-N 0 3 323.362 4.158 20 0 DIADHN CC[C@H](NCc1cn(C(C)C)nc1C)c1ccc(OC)cc1 ZINC000360974422 1044340178 /nfs/dbraw/zinc/34/01/78/1044340178.db2.gz NRKHXAIOLYYKTL-SFHVURJKSA-N 0 3 301.434 4.022 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)cs1 ZINC000360988574 1044341618 /nfs/dbraw/zinc/34/16/18/1044341618.db2.gz ZGBRSBKQUAEKDE-SUNYJGFJSA-N 0 3 317.502 4.102 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cnc3ccccc3c1)CCC2 ZINC000360994342 1044341980 /nfs/dbraw/zinc/34/19/80/1044341980.db2.gz FATIIDDFHSQNHD-HXUWFJFHSA-N 0 3 304.393 4.108 20 0 DIADHN Brc1ccc(C2(NCc3cccnc3)CCC2)cc1 ZINC000102426851 1044344737 /nfs/dbraw/zinc/34/47/37/1044344737.db2.gz CFQCMUKPGMROMM-UHFFFAOYSA-N 0 3 317.230 4.013 20 0 DIADHN C[C@@H]1CC[C@H](CNC(c2ccc(F)cc2)c2ccc(F)cc2)O1 ZINC000475322051 1044353009 /nfs/dbraw/zinc/35/30/09/1044353009.db2.gz XNUWLHJZOCKPPC-FZKQIMNGSA-N 0 3 317.379 4.211 20 0 DIADHN C[C@H](O)CCCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000475313316 1044356645 /nfs/dbraw/zinc/35/66/45/1044356645.db2.gz DSMPZESMYDTASD-XJKSGUPXSA-N 0 3 315.379 4.393 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@@H](C)c3cnn(C)c3C)ccc2c1 ZINC000192855094 1044356912 /nfs/dbraw/zinc/35/69/12/1044356912.db2.gz YYNXGTFJAMVIOQ-KBPBESRZSA-N 0 3 323.440 4.302 20 0 DIADHN C[C@H](O)CCCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000475313313 1044357465 /nfs/dbraw/zinc/35/74/65/1044357465.db2.gz DSMPZESMYDTASD-BBRMVZONSA-N 0 3 315.379 4.393 20 0 DIADHN C[C@@H](O)CCCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000475313315 1044357776 /nfs/dbraw/zinc/35/77/76/1044357776.db2.gz DSMPZESMYDTASD-CZUORRHYSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1sccc1CNCc1ccc(N2CCCCCC2)nc1 ZINC000293949392 1044358409 /nfs/dbraw/zinc/35/84/09/1044358409.db2.gz BQTKFGKBMYMKSI-UHFFFAOYSA-N 0 3 315.486 4.122 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN[C@H](C)c1ccccc1 ZINC000003566758 1044360418 /nfs/dbraw/zinc/36/04/18/1044360418.db2.gz XATWPBBURDRXFJ-MRXNPFEDSA-N 0 3 310.441 4.408 20 0 DIADHN Cc1cccc2ncc(CN(Cc3ccccc3)C3CCC3)n21 ZINC001137199765 1044360572 /nfs/dbraw/zinc/36/05/72/1044360572.db2.gz ATSUTWUFZVESHY-UHFFFAOYSA-N 0 3 305.425 4.197 20 0 DIADHN [O-]c1cccnc1C[NH2+]Cc1ccc(Oc2cccc(F)c2)cc1 ZINC000475327693 1044360641 /nfs/dbraw/zinc/36/06/41/1044360641.db2.gz FBLOORWHZZNOCM-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cn(C)nc2C(F)F)c2ccccc21 ZINC000361120589 1044362596 /nfs/dbraw/zinc/36/25/96/1044362596.db2.gz BXRPCOVPKQQCTA-CVEARBPZSA-N 0 3 319.399 4.332 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cn(C)nc2C(F)F)c2ccccc21 ZINC000361120600 1044363766 /nfs/dbraw/zinc/36/37/66/1044363766.db2.gz BXRPCOVPKQQCTA-JKSUJKDBSA-N 0 3 319.399 4.332 20 0 DIADHN CSc1ccc(CN[C@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000564415981 1044376534 /nfs/dbraw/zinc/37/65/34/1044376534.db2.gz XRPNXXBSPIBMSA-OAHLLOKOSA-N 0 3 324.490 4.002 20 0 DIADHN CC[C@@](C)(O)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361228682 1044377238 /nfs/dbraw/zinc/37/72/38/1044377238.db2.gz KNOAGXZIHPUNIJ-NOZJJQNGSA-N 0 3 308.274 4.183 20 0 DIADHN O=C(Nc1ccccc1CN1CCCCC1)NC1CCCCC1 ZINC001202615326 1044377375 /nfs/dbraw/zinc/37/73/75/1044377375.db2.gz HGAHWJQOJZSLLV-UHFFFAOYSA-N 0 3 315.461 4.127 20 0 DIADHN Cc1csc(C2(N[C@@H]3C[C@H](OC(C)C)C3(C)C)CCC2)n1 ZINC000361235990 1044378219 /nfs/dbraw/zinc/37/82/19/1044378219.db2.gz GRXJPMAIUPEMMB-KGLIPLIRSA-N 0 3 308.491 4.012 20 0 DIADHN COCCOc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000107313459 1044379185 /nfs/dbraw/zinc/37/91/85/1044379185.db2.gz RAQCFBDNKOPPSI-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCCC2)c1)[C@@H](C)C(C)C ZINC000564668471 1044397936 /nfs/dbraw/zinc/39/79/36/1044397936.db2.gz VPHUAZAHKZZDKC-KRWDZBQOSA-N 0 3 316.489 4.179 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](C)c2ccc(F)cc2)c1 ZINC000192908321 1044401225 /nfs/dbraw/zinc/40/12/25/1044401225.db2.gz WRPMFMAPCNXOSV-OLZOCXBDSA-N 0 3 300.377 4.196 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](C)c2ccc(F)cc2)c1 ZINC000192908336 1044401287 /nfs/dbraw/zinc/40/12/87/1044401287.db2.gz WRPMFMAPCNXOSV-QWHCGFSZSA-N 0 3 300.377 4.196 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)C[C@@H](N)c1ccccc1 ZINC000564985833 1044407862 /nfs/dbraw/zinc/40/78/62/1044407862.db2.gz QTYGNITWMIDNGR-GOSISDBHSA-N 0 3 310.441 4.304 20 0 DIADHN Clc1cccc(-c2nnc(CN(CC3CC3)C3CCC3)o2)c1 ZINC000361580714 1044419187 /nfs/dbraw/zinc/41/91/87/1044419187.db2.gz CEBGMUDYMKDEHT-UHFFFAOYSA-N 0 3 317.820 4.155 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1ccc(Br)o1 ZINC000361572107 1044419604 /nfs/dbraw/zinc/41/96/04/1044419604.db2.gz QBJAJTNRIITBBT-SNVBAGLBSA-N 0 3 306.269 4.006 20 0 DIADHN Cc1ccc2c(c1)CN(CCCN1Cc3ccc(C)cc3C1)C2 ZINC000361600593 1044421379 /nfs/dbraw/zinc/42/13/79/1044421379.db2.gz GWOLLCCVDQJEEO-UHFFFAOYSA-N 0 3 306.453 4.025 20 0 DIADHN CCOC1(C)CCN(Cc2oc(-c3ccccc3)nc2C)CC1 ZINC000639651763 1044422387 /nfs/dbraw/zinc/42/23/87/1044422387.db2.gz GYVFGXCAZGMNLC-UHFFFAOYSA-N 0 3 314.429 4.041 20 0 DIADHN COc1ccc(CN2CCSCC[C@@H]2C)cc1OCC(C)C ZINC000361637686 1044427362 /nfs/dbraw/zinc/42/73/62/1044427362.db2.gz AOPBAYZCUFPXSI-HNNXBMFYSA-N 0 3 323.502 4.057 20 0 DIADHN COCCN(Cc1cnc(C(C)C)s1)C[C@H]1CC=CCC1 ZINC000361676880 1044432800 /nfs/dbraw/zinc/43/28/00/1044432800.db2.gz BNGLJXZZXDVHMY-HNNXBMFYSA-N 0 3 308.491 4.071 20 0 DIADHN C[C@@H](NC[C@@H]1SCCc2ccccc21)c1cn2ccccc2n1 ZINC000565491656 1044436538 /nfs/dbraw/zinc/43/65/38/1044436538.db2.gz MLHPFZSBCPBESL-KDOFPFPSSA-N 0 3 323.465 4.016 20 0 DIADHN C[C@H](CSC(C)(C)C)NCc1cccc(C(F)(F)F)n1 ZINC000294677516 1044448285 /nfs/dbraw/zinc/44/82/85/1044448285.db2.gz BHZZQHREJGRTTF-SNVBAGLBSA-N 0 3 306.397 4.110 20 0 DIADHN COc1ccccc1[C@H](C)NCc1ccc(-n2ccnc2)cc1C ZINC000361861529 1044453513 /nfs/dbraw/zinc/45/35/13/1044453513.db2.gz CDIZAKJMFWPGJU-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccccc1 ZINC000565763138 1044455142 /nfs/dbraw/zinc/45/51/42/1044455142.db2.gz HALZORJYBBZEHG-KSSFIOAISA-N 0 3 302.849 4.294 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000361877943 1044455585 /nfs/dbraw/zinc/45/55/85/1044455585.db2.gz VJRBFARXXJJNSQ-DYVFJYSZSA-N 0 3 323.358 4.480 20 0 DIADHN Cc1cccc(NC(=O)c2oc3ccccc3c2CN(C)C)c1C ZINC000114111512 1044456793 /nfs/dbraw/zinc/45/67/93/1044456793.db2.gz XORCHBDOIFSVEB-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1nn(C(C)C)cc1CNCc1ccc2[nH]c(C)c(C)c2c1 ZINC000361893055 1044457869 /nfs/dbraw/zinc/45/78/69/1044457869.db2.gz HVHPLCHUXYEYTC-UHFFFAOYSA-N 0 3 310.445 4.160 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(SC)s2)cc1F ZINC000566038925 1044470971 /nfs/dbraw/zinc/47/09/71/1044470971.db2.gz RFQFDGFVQOCRHT-JTQLQIEISA-N 0 3 311.447 4.469 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(C)s2)c2ccccc21 ZINC000362032302 1044471962 /nfs/dbraw/zinc/47/19/62/1044471962.db2.gz KNKZHSPRRPDGEO-XJKSGUPXSA-N 0 3 314.454 4.205 20 0 DIADHN FC(F)(F)CCN(CC[C@H]1CCCO1)Cc1ccccc1 ZINC000362122914 1044478408 /nfs/dbraw/zinc/47/84/08/1044478408.db2.gz BMQQYWQHYJNJQM-OAHLLOKOSA-N 0 3 301.352 4.010 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CCC[C@H](F)C3)cs2)cc1 ZINC000566217128 1044479014 /nfs/dbraw/zinc/47/90/14/1044479014.db2.gz LUUCTGSNCIODMH-UONOGXRCSA-N 0 3 308.397 4.320 20 0 DIADHN Cc1cccnc1CCCNCc1nc(-c2ccccc2)cs1 ZINC000295038414 1044483707 /nfs/dbraw/zinc/48/37/07/1044483707.db2.gz OMWVAFUOKPILLS-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN CC[C@H](N[C@@H]1CCOc2c(OC)cccc21)c1cccs1 ZINC000362199124 1044487227 /nfs/dbraw/zinc/48/72/27/1044487227.db2.gz ILFVNQRQXIOXMB-UONOGXRCSA-N 0 3 303.427 4.321 20 0 DIADHN CCc1nc(C)c(CN2C[C@@H](C)C[C@@H]2c2cccc(OC)c2)o1 ZINC000428357838 1044489505 /nfs/dbraw/zinc/48/95/05/1044489505.db2.gz FVRIQTDGBHYPJT-SUMWQHHRSA-N 0 3 314.429 4.137 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1F)c1nccn1-c1ccccc1 ZINC000566401817 1044489831 /nfs/dbraw/zinc/48/98/31/1044489831.db2.gz PEENLEVIEJWHRA-JKSUJKDBSA-N 0 3 323.415 4.293 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000566401760 1044490035 /nfs/dbraw/zinc/49/00/35/1044490035.db2.gz PEENLEVIEJWHRA-CVEARBPZSA-N 0 3 323.415 4.293 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cncc(F)c2)C2CC2)cc1 ZINC000119209021 1044492372 /nfs/dbraw/zinc/49/23/72/1044492372.db2.gz SWNUDMLCSWQJTI-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN c1ccc([C@@H]2CCC[C@@H](NCc3nnc(C4CC4)s3)C2)cc1 ZINC000840892407 1044492501 /nfs/dbraw/zinc/49/25/01/1044492501.db2.gz ZQYTUTYXCKQSNQ-HZPDHXFCSA-N 0 3 313.470 4.232 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)cn1 ZINC000119339588 1044494507 /nfs/dbraw/zinc/49/45/07/1044494507.db2.gz DYBRRZXKRUEIBX-SCLBCKFNSA-N 0 3 301.409 4.234 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000362276244 1044496730 /nfs/dbraw/zinc/49/67/30/1044496730.db2.gz BUOJOFUDZSBTFJ-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2csc(-c3ccccc3)n2)CC1 ZINC000475552665 1044497897 /nfs/dbraw/zinc/49/78/97/1044497897.db2.gz CLOBRLAZTUGVEX-SFHVURJKSA-N 0 3 316.470 4.201 20 0 DIADHN C[C@@H]1CC[C@@H](CNCc2csc(COc3ccccc3)n2)C1 ZINC000475560169 1044502081 /nfs/dbraw/zinc/50/20/81/1044502081.db2.gz HGKRXVIBIUFPDF-HUUCEWRRSA-N 0 3 316.470 4.248 20 0 DIADHN COCCCC[C@@H](NCc1ccccc1F)c1ccccc1 ZINC000348320792 1044506745 /nfs/dbraw/zinc/50/67/45/1044506745.db2.gz CLZPELBEZUVTAF-LJQANCHMSA-N 0 3 301.405 4.473 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2cc(C)sc2C)cc1 ZINC000120369505 1044507469 /nfs/dbraw/zinc/50/74/69/1044507469.db2.gz SHJMXOBLIYTBCO-UGSOOPFHSA-N 0 3 319.470 4.148 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000295309462 1044508232 /nfs/dbraw/zinc/50/82/32/1044508232.db2.gz VUXASNBQJWTWER-XUJVJEKNSA-N 0 3 323.362 4.129 20 0 DIADHN CCC(CC)N(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000566761179 1044513271 /nfs/dbraw/zinc/51/32/71/1044513271.db2.gz HIXFJZUDNCCAHA-UHFFFAOYSA-N 0 3 317.260 4.442 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)c2ccccc2CN(C)C)c2ccccc21 ZINC000487498376 1044514278 /nfs/dbraw/zinc/51/42/78/1044514278.db2.gz JZTXHZGCTCOHIO-IBGZPJMESA-N 0 3 322.452 4.148 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c(C)s1 ZINC000566815177 1044518994 /nfs/dbraw/zinc/51/89/94/1044518994.db2.gz XYXPGFZAACFAPP-UGSOOPFHSA-N 0 3 320.477 4.458 20 0 DIADHN COCC1CCC(N[C@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000295390017 1044521450 /nfs/dbraw/zinc/52/14/50/1044521450.db2.gz LEPSBLDHPJIPAM-CNBHCMJISA-N 0 3 324.468 4.274 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2ccc3ncsc3c2)s1 ZINC000362516889 1044521628 /nfs/dbraw/zinc/52/16/28/1044521628.db2.gz UOKVRORIBDICAK-VIFPVBQESA-N 0 3 303.456 4.220 20 0 DIADHN C=Cn1cc(CN2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC000475579265 1044521820 /nfs/dbraw/zinc/52/18/20/1044521820.db2.gz ULVQVNFXESAYIC-UHFFFAOYSA-N 0 3 301.821 4.017 20 0 DIADHN CCCCOc1cccc(CN[C@@H](C)c2cccc(CO)c2)c1 ZINC000121274807 1044522400 /nfs/dbraw/zinc/52/24/00/1044522400.db2.gz YUCRQXFLTICUFJ-INIZCTEOSA-N 0 3 313.441 4.209 20 0 DIADHN Cn1cc(-c2ccc(CN3CC[C@H]3c3ccccc3)s2)cn1 ZINC000566868317 1044522936 /nfs/dbraw/zinc/52/29/36/1044522936.db2.gz ABTWVQZRLXQXJI-KRWDZBQOSA-N 0 3 309.438 4.096 20 0 DIADHN Cc1nccn1CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000566884561 1044525001 /nfs/dbraw/zinc/52/50/01/1044525001.db2.gz PDMXCRFBZSXMFA-MRXNPFEDSA-N 0 3 324.255 4.239 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1cc(O)cc(F)c1 ZINC000567001318 1044533815 /nfs/dbraw/zinc/53/38/15/1044533815.db2.gz OVOSPEBPSKKFGE-SFHVURJKSA-N 0 3 303.377 4.123 20 0 DIADHN COCCC[C@@H](NCc1cccc(OC)c1)c1ccc(F)cc1 ZINC000282012245 1044535199 /nfs/dbraw/zinc/53/51/99/1044535199.db2.gz RIGTUVWKMPIPEH-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1nccc2ccccc21 ZINC000567033848 1044536872 /nfs/dbraw/zinc/53/68/72/1044536872.db2.gz DHGWWWQGEFDDKP-XOBRGWDASA-N 0 3 303.409 4.432 20 0 DIADHN CC(C)(C)[C@@H](NCN1CC2(CCCC2)OC1=O)c1ccccc1 ZINC000778568074 1044539746 /nfs/dbraw/zinc/53/97/46/1044539746.db2.gz WYEUCFHPNUPKHV-INIZCTEOSA-N 0 3 316.445 4.086 20 0 DIADHN O=C(CCN[C@@H]1CCc2sc(Cl)cc21)Nc1ccccc1 ZINC000567043621 1044539897 /nfs/dbraw/zinc/53/98/97/1044539897.db2.gz NXBZEMLKIDSGOO-CYBMUJFWSA-N 0 3 320.845 4.007 20 0 DIADHN Cc1cc(C)n(-c2ccc(Nc3ccc4nc(C)[nH]c4c3)cc2)n1 ZINC001213075360 1044541152 /nfs/dbraw/zinc/54/11/52/1044541152.db2.gz QENJCZOPHUTIRP-UHFFFAOYSA-N 0 3 317.396 4.417 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(Br)cn1 ZINC000567208341 1044551331 /nfs/dbraw/zinc/55/13/31/1044551331.db2.gz OEEVSXGCJFDFFV-MWLCHTKSSA-N 0 3 319.193 4.073 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1ccc(Br)cn1 ZINC000567208340 1044551812 /nfs/dbraw/zinc/55/18/12/1044551812.db2.gz OEEVSXGCJFDFFV-KOLCDFICSA-N 0 3 319.193 4.073 20 0 DIADHN Cc1cccc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c1F ZINC000295739008 1044555186 /nfs/dbraw/zinc/55/51/86/1044555186.db2.gz ORDAMAXFBMYUGO-VQIMIIECSA-N 0 3 313.416 4.392 20 0 DIADHN CC(C)(O)c1ccc(CNCc2ccc3sccc3c2)cc1 ZINC000295798355 1044559484 /nfs/dbraw/zinc/55/94/84/1044559484.db2.gz VUHNCQHODVUYPZ-UHFFFAOYSA-N 0 3 311.450 4.419 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3CCCCC3(F)F)n2)cc1 ZINC000295783008 1044559723 /nfs/dbraw/zinc/55/97/23/1044559723.db2.gz CSLHWOXEWFAEMI-CQSZACIVSA-N 0 3 321.371 4.091 20 0 DIADHN Cc1ncc(CN[C@H](C)c2ccc(Cl)c3ccccc32)n1C ZINC000567368189 1044561139 /nfs/dbraw/zinc/56/11/39/1044561139.db2.gz OEYVEVNORTYUEG-GFCCVEGCSA-N 0 3 313.832 4.386 20 0 DIADHN C[C@H]1C[C@H](NCCCc2cccc(C(F)(F)F)c2)c2nccn21 ZINC000295812232 1044561332 /nfs/dbraw/zinc/56/13/32/1044561332.db2.gz CUVLIJRTVWOUOV-WFASDCNBSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@@H]1CSC[C@@H]1N[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000295824777 1044563513 /nfs/dbraw/zinc/56/35/13/1044563513.db2.gz UZOGTPWKVIXAKS-ICCXJUOJSA-N 0 3 307.356 4.160 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1ccc(CSC(F)F)o1)C1CC1 ZINC000840960543 1044566338 /nfs/dbraw/zinc/56/63/38/1044566338.db2.gz KCIBTNZDJVLIFU-BONVTDFDSA-N 0 3 319.417 4.029 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@@H](F)C1)c1cccc(Cl)c1F ZINC000567470598 1044566994 /nfs/dbraw/zinc/56/69/94/1044566994.db2.gz HPOAUDVDNNMRLP-GYSYKLTISA-N 0 3 303.780 4.037 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCCc3ccccc3C2)c1 ZINC000353417999 1044570600 /nfs/dbraw/zinc/57/06/00/1044570600.db2.gz YEBVZOISAPBYOU-UHFFFAOYSA-N 0 3 309.438 4.087 20 0 DIADHN C/C(=C/c1ccc(C)cc1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000778593282 1044572691 /nfs/dbraw/zinc/57/26/91/1044572691.db2.gz ZGIFIXWKZYDWMB-VBKFSLOCSA-N 0 3 308.425 4.099 20 0 DIADHN Clc1cccc([C@H]2CCCN2CCO[C@H]2CCCCO2)c1 ZINC000444590948 1044572570 /nfs/dbraw/zinc/57/25/70/1044572570.db2.gz AUOLDJDHHVXRPQ-SJORKVTESA-N 0 3 309.837 4.020 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(Cn3cccn3)cc2)cs1 ZINC000295939317 1044576012 /nfs/dbraw/zinc/57/60/12/1044576012.db2.gz KUQYDIZYMKZJJZ-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc(N(C)C(C)C)nc1 ZINC000567662896 1044580915 /nfs/dbraw/zinc/58/09/15/1044580915.db2.gz JGQOFFJSYBPDEU-LJQANCHMSA-N 0 3 309.457 4.012 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2csc(C)c2)cc1OC ZINC000296014886 1044581229 /nfs/dbraw/zinc/58/12/29/1044581229.db2.gz PBWWNHKZVFNAAY-ZDUSSCGKSA-N 0 3 305.443 4.315 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)cs1 ZINC000296039690 1044584729 /nfs/dbraw/zinc/58/47/29/1044584729.db2.gz QNBBTFYHOFHSRN-KGLIPLIRSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@H]1CC(C)(C)C[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000193141640 1044585139 /nfs/dbraw/zinc/58/51/39/1044585139.db2.gz MMIBKRQWWFTUSE-GXTWGEPZSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@H]1C[C@@H](NCCc2cc(Cl)cc(Cl)c2)c2nccn21 ZINC000296019894 1044585361 /nfs/dbraw/zinc/58/53/61/1044585361.db2.gz PKLKMFLRNFRKCT-IINYFYTJSA-N 0 3 310.228 4.028 20 0 DIADHN COc1cc(C)cc(CN[C@@H](c2cccs2)C2CC2)c1OC ZINC000885910058 1044591136 /nfs/dbraw/zinc/59/11/36/1044591136.db2.gz CSZIVXHYUOTMNB-QGZVFWFLSA-N 0 3 317.454 4.315 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCc3n[nH]c(C)c3C)oc21 ZINC000885903778 1044592425 /nfs/dbraw/zinc/59/24/25/1044592425.db2.gz YAJXKKSEJQWHCH-CYBMUJFWSA-N 0 3 313.401 4.022 20 0 DIADHN Cc1n[nH]c(CN[C@@H](c2ccc(F)cc2)C2CCCC2)c1C ZINC000885951577 1044593101 /nfs/dbraw/zinc/59/31/01/1044593101.db2.gz LANRYDJNFBHQHE-GOSISDBHSA-N 0 3 301.409 4.187 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)NCc1nc(C(C)(C)C)cs1 ZINC000136406688 1044594927 /nfs/dbraw/zinc/59/49/27/1044594927.db2.gz LOUKWPPJSASZDR-CYBMUJFWSA-N 0 3 318.486 4.257 20 0 DIADHN CCN(Cc1csc(-c2ncccn2)n1)[C@@H]1CCCC[C@@H]1C ZINC000444666519 1044595709 /nfs/dbraw/zinc/59/57/09/1044595709.db2.gz WXYHVMSABACOIC-DZGCQCFKSA-N 0 3 316.474 4.001 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2ccc(Cl)cc2)c2nccn21 ZINC000296196677 1044596075 /nfs/dbraw/zinc/59/60/75/1044596075.db2.gz YVQZILVWFSAHRC-WFASDCNBSA-N 0 3 303.837 4.110 20 0 DIADHN CO[C@H]1CCC[C@H](NCc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000886005486 1044599086 /nfs/dbraw/zinc/59/90/86/1044599086.db2.gz BZESJJBAPZCNFZ-WMZOPIPTSA-N 0 3 314.429 4.087 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)c1C ZINC000886001797 1044599544 /nfs/dbraw/zinc/59/95/44/1044599544.db2.gz JMCHVCSOAVDGEQ-GLZQIGESSA-N 0 3 303.837 4.167 20 0 DIADHN Cc1[nH]nc(CNC2(c3cccc(C(F)(F)F)c3)CCC2)c1C ZINC000886001529 1044600093 /nfs/dbraw/zinc/60/00/93/1044600093.db2.gz BZLMPSIQTLBLLR-UHFFFAOYSA-N 0 3 323.362 4.214 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000568070596 1044601043 /nfs/dbraw/zinc/60/10/43/1044601043.db2.gz WGKSRKUPEPFLHZ-JTQLQIEISA-N 0 3 303.456 4.197 20 0 DIADHN CC(C)[C@@H](NCCO[C@@H]1CCCCO1)c1ccccc1Cl ZINC000444684145 1044602527 /nfs/dbraw/zinc/60/25/27/1044602527.db2.gz KRFFVYBMMJDKRP-IAGOWNOFSA-N 0 3 311.853 4.170 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc3[nH]c(C)c(C)c3c2)ccn1 ZINC000568238080 1044610664 /nfs/dbraw/zinc/61/06/64/1044610664.db2.gz MOXRYQDYFBVZCH-CQSZACIVSA-N 0 3 309.413 4.039 20 0 DIADHN C[C@H]1C[C@@H](NCCCCc2ccc(Cl)s2)c2nccn21 ZINC000296422885 1044616646 /nfs/dbraw/zinc/61/66/46/1044616646.db2.gz LNNQQPFZTGXQRL-WCQYABFASA-N 0 3 309.866 4.216 20 0 DIADHN c1c(CN2CCC(c3ccccn3)CC2)onc1-c1ccccc1 ZINC000363449285 1044617857 /nfs/dbraw/zinc/61/78/57/1044617857.db2.gz JUXSRFQQFIBMJT-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCOC(C)(C)C ZINC000444780511 1044620352 /nfs/dbraw/zinc/62/03/52/1044620352.db2.gz MWXLPBXCLADYAJ-AWEZNQCLSA-N 0 3 303.368 4.393 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@@H]1CC[C@H](C)O1 ZINC000444782507 1044620478 /nfs/dbraw/zinc/62/04/78/1044620478.db2.gz IVCPMCLUPKMBRF-YDHLFZDLSA-N 0 3 301.352 4.146 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CCC[C@@H](C(C)C)C3)o2)cc1 ZINC000444772538 1044623498 /nfs/dbraw/zinc/62/34/98/1044623498.db2.gz NXPBFUOHXSSTQR-DOTOQJQBSA-N 0 3 313.445 4.474 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)c(F)c1 ZINC000568424673 1044624414 /nfs/dbraw/zinc/62/44/14/1044624414.db2.gz GSSXANZJCHLFLC-QGZVFWFLSA-N 0 3 319.399 4.142 20 0 DIADHN FC[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccc(F)cc1 ZINC000886178642 1044624576 /nfs/dbraw/zinc/62/45/76/1044624576.db2.gz AZSVIUWQPJDZIL-IRXDYDNUSA-N 0 3 309.400 4.308 20 0 DIADHN CSCC1CCC(NCc2coc(-c3cccs3)n2)CC1 ZINC000296530442 1044625247 /nfs/dbraw/zinc/62/52/47/1044625247.db2.gz SPGMTWOCYIQGFX-UHFFFAOYSA-N 0 3 322.499 4.415 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCCC34CCC4)co2)cc1 ZINC000444774308 1044625577 /nfs/dbraw/zinc/62/55/77/1044625577.db2.gz IJIBWPQHUJEZCV-UHFFFAOYSA-N 0 3 312.413 4.259 20 0 DIADHN CCN(Cc1ccc(Cl)c(Cl)c1)Cc1ncccc1O ZINC000568445001 1044625855 /nfs/dbraw/zinc/62/58/55/1044625855.db2.gz JHYAKSKCNCRGSU-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CCN(Cc1nnc(-c2ccc(Cl)cc2)o1)C1CCCC1 ZINC000057567486 1044626103 /nfs/dbraw/zinc/62/61/03/1044626103.db2.gz IYRIVFUIMBGTIF-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN c1ccc2c(c1)CC[C@@]1(CCN(c3ccnc4ccccc43)C1)O2 ZINC000568497257 1044630397 /nfs/dbraw/zinc/63/03/97/1044630397.db2.gz MZXDZKSBUBMJCQ-NRFANRHFSA-N 0 3 316.404 4.209 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CCCC34CCC4)nc2c1 ZINC000444791983 1044630639 /nfs/dbraw/zinc/63/06/39/1044630639.db2.gz OFRAOPMCRXKCTR-UHFFFAOYSA-N 0 3 310.319 4.365 20 0 DIADHN CC(C)c1cnc(CNC[C@]2(C)CCOC3(CCC3)C2)s1 ZINC000886343140 1044634342 /nfs/dbraw/zinc/63/43/42/1044634342.db2.gz QZLHBUWTALIZHJ-MRXNPFEDSA-N 0 3 308.491 4.096 20 0 DIADHN COc1cc(CN[C@H]2CCc3c2cccc3F)ccc1SC ZINC000886362846 1044635616 /nfs/dbraw/zinc/63/56/16/1044635616.db2.gz PXDJAUAIRHOFEN-INIZCTEOSA-N 0 3 317.429 4.333 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](NC(=O)OC(C)(C)C)C(C)C)c(C)o1 ZINC000219269399 1044635910 /nfs/dbraw/zinc/63/59/10/1044635910.db2.gz ZHGHWAFGIZIECX-BBRMVZONSA-N 0 3 324.465 4.096 20 0 DIADHN Cc1ccc([C@@H]2[C@H](C)CCCN2CCOCC(F)(F)F)cc1 ZINC000523452725 1044636205 /nfs/dbraw/zinc/63/62/05/1044636205.db2.gz YRMLGMIUFVEGNR-ZBFHGGJFSA-N 0 3 315.379 4.347 20 0 DIADHN CCc1ccc2nccc(NCc3c(C)cc(C)[nH]c3=O)c2c1 ZINC000296613111 1044636236 /nfs/dbraw/zinc/63/62/36/1044636236.db2.gz UGELQTFLMWHICR-UHFFFAOYSA-N 0 3 307.397 4.127 20 0 DIADHN c1ccc2c(c1)sc1c2CCC[C@H]1NCc1n[nH]c(C2CC2)n1 ZINC000296625934 1044636364 /nfs/dbraw/zinc/63/63/64/1044636364.db2.gz XDKGGDWQQKQNHZ-CQSZACIVSA-N 0 3 324.453 4.064 20 0 DIADHN COc1cc(CN[C@@H]2CCc3c2cccc3F)ccc1SC ZINC000886364150 1044636463 /nfs/dbraw/zinc/63/64/63/1044636463.db2.gz PXDJAUAIRHOFEN-MRXNPFEDSA-N 0 3 317.429 4.333 20 0 DIADHN Cc1ccccc1NC(=O)CN1C[C@H](c2ccccc2C)C[C@@H]1C ZINC000523441148 1044638153 /nfs/dbraw/zinc/63/81/53/1044638153.db2.gz DBLBVFIZCJBSIC-ZWKOTPCHSA-N 0 3 322.452 4.120 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H](c2cccs2)C2CC2)cn1 ZINC000568657833 1044639908 /nfs/dbraw/zinc/63/99/08/1044639908.db2.gz HAUIIKFIQXDHLK-OAHLLOKOSA-N 0 3 301.459 4.077 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)Oc2ccccc21)c1ccc2c(c1)CCO2 ZINC000296652656 1044640534 /nfs/dbraw/zinc/64/05/34/1044640534.db2.gz YZOLKMTZJGPGKD-HBUWYVDXSA-N 0 3 309.409 4.184 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)c1ccsc1 ZINC000296736334 1044645355 /nfs/dbraw/zinc/64/53/55/1044645355.db2.gz JFIUJAJXKQUORY-ADYAMRHXSA-N 0 3 307.484 4.287 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000296910150 1044650445 /nfs/dbraw/zinc/65/04/45/1044650445.db2.gz PHVHOPXHEIUXMT-SQWLQELKSA-N 0 3 301.459 4.049 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000296910139 1044651222 /nfs/dbraw/zinc/65/12/22/1044651222.db2.gz PHVHOPXHEIUXMT-FMKPAKJESA-N 0 3 301.459 4.049 20 0 DIADHN CCN(Cc1ccc(OCC2CC2)cc1)[C@@H](C)c1cccnc1 ZINC000568918184 1044658694 /nfs/dbraw/zinc/65/86/94/1044658694.db2.gz VPRHBXRAULUACA-INIZCTEOSA-N 0 3 310.441 4.454 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H]1CCc2cccnc21 ZINC000886547394 1044658847 /nfs/dbraw/zinc/65/88/47/1044658847.db2.gz KTKVWTBIQLXQFR-PUECVXPYSA-N 0 3 316.832 4.088 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c3cccc(Cl)c3[nH]c21)c1cn[nH]c1 ZINC000886557343 1044660091 /nfs/dbraw/zinc/66/00/91/1044660091.db2.gz YWPDCVZKZFPJKB-MEBBXXQBSA-N 0 3 314.820 4.273 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000071212363 1044661101 /nfs/dbraw/zinc/66/11/01/1044661101.db2.gz NMICPGWYYSDPMN-VIFPVBQESA-N 0 3 311.248 4.065 20 0 DIADHN FC(F)(F)[C@@H](CN[C@@H]1CCc2cccnc21)c1ccccc1 ZINC000886594173 1044666403 /nfs/dbraw/zinc/66/64/03/1044666403.db2.gz HBSFKLOOZJTSOA-LSDHHAIUSA-N 0 3 306.331 4.005 20 0 DIADHN C[C@@H](NC1CC(c2ccccc2)C1)c1nccn1-c1ccccc1 ZINC000569019405 1044666563 /nfs/dbraw/zinc/66/65/63/1044666563.db2.gz WYNXXLWHTVVQRL-IPJUCJBFSA-N 0 3 317.436 4.469 20 0 DIADHN COC[C@@H](NC[C@@H](OC)c1ccccc1)c1cccc(Cl)c1 ZINC000569030199 1044668708 /nfs/dbraw/zinc/66/87/08/1044668708.db2.gz FKJZXVABJRQKQR-QZTJIDSGSA-N 0 3 319.832 4.005 20 0 DIADHN COC(=O)c1cncc([C@H](C)N[C@H](C)c2cccc(C3CC3)c2)c1 ZINC000886616043 1044670388 /nfs/dbraw/zinc/67/03/88/1044670388.db2.gz TVHMSWCJRXFYJU-KGLIPLIRSA-N 0 3 324.424 4.157 20 0 DIADHN CC(C)[C@@H](NCc1ccc(Cl)c(Cl)c1)c1cnn(C)c1 ZINC000886637961 1044673028 /nfs/dbraw/zinc/67/30/28/1044673028.db2.gz JJCJDAMIRZSCGH-OAHLLOKOSA-N 0 3 312.244 4.214 20 0 DIADHN FC(F)n1cc(CN[C@H]2CCc3cccnc32)c2ccccc21 ZINC000886643235 1044673172 /nfs/dbraw/zinc/67/31/72/1044673172.db2.gz PAQGYQZSBSXVOU-HNNXBMFYSA-N 0 3 313.351 4.209 20 0 DIADHN CC(C)[C@H](NCc1cc(Cl)cc(Cl)c1)c1cnn(C)c1 ZINC000886634992 1044673381 /nfs/dbraw/zinc/67/33/81/1044673381.db2.gz RWYVPTANCOJAIF-HNNXBMFYSA-N 0 3 312.244 4.214 20 0 DIADHN CCCCCC(CCCCC)N1CCC[C@H](S(C)(=O)=O)C1 ZINC001257553560 1044673908 /nfs/dbraw/zinc/67/39/08/1044673908.db2.gz LTYVHYUHZIJCNJ-KRWDZBQOSA-N 0 3 317.539 4.025 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)cc1C ZINC000364688499 1044674578 /nfs/dbraw/zinc/67/45/78/1044674578.db2.gz BDJJJHARPZXERD-IUODEOHRSA-N 0 3 316.470 4.497 20 0 DIADHN CCOCC(C)(C)NCc1ccc(-c2cccc(F)c2C)o1 ZINC000569144613 1044677723 /nfs/dbraw/zinc/67/77/23/1044677723.db2.gz GYVLIWHCZXRBMA-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN Cc1nc(C2CCN([C@@H](C)c3ccc(F)c(F)c3)CC2)[nH]c1C ZINC000886666533 1044677888 /nfs/dbraw/zinc/67/78/88/1044677888.db2.gz UUVNTOFNFATESI-ZDUSSCGKSA-N 0 3 319.399 4.245 20 0 DIADHN C[C@H]1C[C@H](NC2(c3ccc(Cl)cc3Cl)CC2)CCO1 ZINC001168083072 1044681760 /nfs/dbraw/zinc/68/17/60/1044681760.db2.gz HCNDDDKMQCFMEO-CMPLNLGQSA-N 0 3 300.229 4.140 20 0 DIADHN CC(C)N(CCSCc1ccccc1)Cc1ccccn1 ZINC000569186333 1044683303 /nfs/dbraw/zinc/68/33/03/1044683303.db2.gz UZAXBZZAYFKFBO-UHFFFAOYSA-N 0 3 300.471 4.225 20 0 DIADHN Cc1cc([C@@H](C)NC(Cc2ccccc2)Cc2ccccc2)no1 ZINC000569200217 1044683699 /nfs/dbraw/zinc/68/36/99/1044683699.db2.gz WYVUVIJNEUMAOE-QGZVFWFLSA-N 0 3 320.436 4.488 20 0 DIADHN Fc1ccc(CN[C@H]2CCCc3cn[nH]c32)c(Cl)c1Cl ZINC000365325810 1044686876 /nfs/dbraw/zinc/68/68/76/1044686876.db2.gz KZUQHDCGGDZWGV-NSHDSACASA-N 0 3 314.191 4.023 20 0 DIADHN C[C@H](NCC1(c2cccc(F)c2)CC1)c1cccnc1Cl ZINC000155302380 1044696571 /nfs/dbraw/zinc/69/65/71/1044696571.db2.gz GIGHVQHISLGSPN-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@H](C(C)(C)C)CC1 ZINC000523862468 1044697826 /nfs/dbraw/zinc/69/78/26/1044697826.db2.gz STQMQVNNDJIUHL-INIZCTEOSA-N 0 3 302.462 4.082 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CCCCC23CCCC3)cs1 ZINC000365836128 1044705395 /nfs/dbraw/zinc/70/53/95/1044705395.db2.gz HNAOXFHBTGXLQE-HIFRSBDPSA-N 0 3 308.491 4.443 20 0 DIADHN C[C@H](NC1CCC=CCC1)c1ncc(Br)s1 ZINC000886813802 1044708683 /nfs/dbraw/zinc/70/86/83/1044708683.db2.gz VLZHOCKJSOENFX-VIFPVBQESA-N 0 3 301.253 4.055 20 0 DIADHN CCCN(CC(=O)Nc1c(Cl)cccc1Cl)CC1CC1 ZINC000078664811 1044709597 /nfs/dbraw/zinc/70/95/97/1044709597.db2.gz QFSJWYSGGPYAMV-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CC[C@H](OC(F)F)C1 ZINC000569828894 1044714618 /nfs/dbraw/zinc/71/46/18/1044714618.db2.gz LWORWWXBKXTPBN-CABZTGNLSA-N 0 3 309.278 4.080 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2csc(-c3ccccn3)n2)C1 ZINC000475628885 1044716018 /nfs/dbraw/zinc/71/60/18/1044716018.db2.gz STQLVGWATVWMND-CQSZACIVSA-N 0 3 315.486 4.463 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2csc(-c3ccccn3)n2)C1 ZINC000475628884 1044716999 /nfs/dbraw/zinc/71/69/99/1044716999.db2.gz STQLVGWATVWMND-AWEZNQCLSA-N 0 3 315.486 4.463 20 0 DIADHN Cc1ccnc([C@H](C)NCCn2ccc3cc(Cl)ccc32)c1 ZINC000569949127 1044721738 /nfs/dbraw/zinc/72/17/38/1044721738.db2.gz UWLFPUIYBXCMOU-AWEZNQCLSA-N 0 3 313.832 4.349 20 0 DIADHN Fc1ccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)cc1F ZINC000445441041 1044724021 /nfs/dbraw/zinc/72/40/21/1044724021.db2.gz CARUEDBBMXTJIC-OAHLLOKOSA-N 0 3 319.355 4.094 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCCc1cnccc1C ZINC000570084347 1044730900 /nfs/dbraw/zinc/73/09/00/1044730900.db2.gz BLBFOFHWLKICPV-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H]2CCCC23CCOCC3)cs1 ZINC000367088515 1044732072 /nfs/dbraw/zinc/73/20/72/1044732072.db2.gz WWYZRWQNORFMFZ-HIFRSBDPSA-N 0 3 308.491 4.266 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2c(C)nsc2C)cc1 ZINC000570151492 1044735202 /nfs/dbraw/zinc/73/52/02/1044735202.db2.gz SMZIBWPMVFOLQC-QGZVFWFLSA-N 0 3 302.443 4.106 20 0 DIADHN c1csc([C@@H](NCc2cnc3n2CCCC3)C2CCCC2)c1 ZINC000367436887 1044742350 /nfs/dbraw/zinc/74/23/50/1044742350.db2.gz HPMFEMFAHPZINX-SFHVURJKSA-N 0 3 315.486 4.302 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1ccccn1 ZINC000090035101 1044747285 /nfs/dbraw/zinc/74/72/85/1044747285.db2.gz IGFHFCNKPBITSZ-DCGLDWPTSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cc(C)cc(OC)c2)s1 ZINC000090723702 1044748809 /nfs/dbraw/zinc/74/88/09/1044748809.db2.gz OFIXWVPQNCUWLN-LBPRGKRZSA-N 0 3 304.459 4.182 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cc(C)cc(OC)c2)s1 ZINC000090723701 1044749633 /nfs/dbraw/zinc/74/96/33/1044749633.db2.gz OFIXWVPQNCUWLN-GFCCVEGCSA-N 0 3 304.459 4.182 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2cccs2)c1 ZINC000090719007 1044749706 /nfs/dbraw/zinc/74/97/06/1044749706.db2.gz CKWVECXEDXCMCL-CQSZACIVSA-N 0 3 305.443 4.014 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1)c1cccc(Cl)c1Cl ZINC000221735112 1044750037 /nfs/dbraw/zinc/75/00/37/1044750037.db2.gz NWUHQOLRMUAIQF-XHDPSFHLSA-N 0 3 310.224 4.378 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1cnn(C)c1 ZINC000090974878 1044752050 /nfs/dbraw/zinc/75/20/50/1044752050.db2.gz CUSISPBKQYELFJ-BDJLRTHQSA-N 0 3 323.362 4.241 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC(n2cc(Cl)cn2)CC1 ZINC000367856852 1044755265 /nfs/dbraw/zinc/75/52/65/1044755265.db2.gz UQFFSXXNAFUQTO-GFCCVEGCSA-N 0 3 307.800 4.074 20 0 DIADHN CCc1ccc(CNCc2ccc3c(c2)CC(C)(C)O3)s1 ZINC000222008939 1044758571 /nfs/dbraw/zinc/75/85/71/1044758571.db2.gz SSAOIOOPKUVUCB-UHFFFAOYSA-N 0 3 301.455 4.314 20 0 DIADHN COC(=O)CCCN(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000524189450 1044762827 /nfs/dbraw/zinc/76/28/27/1044762827.db2.gz OELVHIYVNKAIPJ-HNNXBMFYSA-N 0 3 317.454 4.265 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N(C)Cc1ccccn1 ZINC000445575856 1044765250 /nfs/dbraw/zinc/76/52/50/1044765250.db2.gz RUDWWDVMWNEDRD-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000445574628 1044765281 /nfs/dbraw/zinc/76/52/81/1044765281.db2.gz NOENXBQTAFBELD-CEFQPYBMSA-N 0 3 314.256 4.147 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)c1 ZINC000570600804 1044769015 /nfs/dbraw/zinc/76/90/15/1044769015.db2.gz VORUTMIEVBNRLX-OCBCSQNSSA-N 0 3 316.832 4.231 20 0 DIADHN COCc1ccccc1CN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000122090695 1044771293 /nfs/dbraw/zinc/77/12/93/1044771293.db2.gz NMDUKRQHDGDUAA-LJQANCHMSA-N 0 3 323.436 4.369 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2)n1)[C@@H]1C[C@H]1c1ccccc1 ZINC000570690661 1044773376 /nfs/dbraw/zinc/77/33/76/1044773376.db2.gz VOFHVDWBXFVEBT-NDXORKPFSA-N 0 3 317.436 4.154 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1ccc2sccc2c1 ZINC000570731934 1044779189 /nfs/dbraw/zinc/77/91/89/1044779189.db2.gz ZVEIBZLWACTEGY-UHFFFAOYSA-N 0 3 313.470 4.049 20 0 DIADHN Clc1ccc(C2(N[C@H]3CCCc4n[nH]cc43)CCC2)cc1 ZINC000368609533 1044782444 /nfs/dbraw/zinc/78/24/44/1044782444.db2.gz YYXPJBCRLPCNAH-HNNXBMFYSA-N 0 3 301.821 4.110 20 0 DIADHN CO[C@@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)C12CCC2 ZINC001202749102 1044784108 /nfs/dbraw/zinc/78/41/08/1044784108.db2.gz GOSNXVGGFUAGKN-NWDGAFQWSA-N 0 3 318.219 4.180 20 0 DIADHN c1c2ccccc2sc1CN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000570859156 1044787498 /nfs/dbraw/zinc/78/74/98/1044787498.db2.gz VSTCHDPPRDPGJL-WMZOPIPTSA-N 0 3 313.466 4.435 20 0 DIADHN CC[C@H]1CC[C@H](N[C@@H](c2cnn(C)c2)c2cccc(F)c2)CC1 ZINC000570976921 1044793197 /nfs/dbraw/zinc/79/31/97/1044793197.db2.gz GAIDDFBBEGUNOB-NIKGAXFTSA-N 0 3 315.436 4.207 20 0 DIADHN C[C@]1(CCCO)CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC000571006984 1044795039 /nfs/dbraw/zinc/79/50/39/1044795039.db2.gz RXLWWOBCQYFVIJ-OAHLLOKOSA-N 0 3 302.245 4.120 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000124934793 1044797396 /nfs/dbraw/zinc/79/73/96/1044797396.db2.gz TUGLNPCOIWMSCD-LBPRGKRZSA-N 0 3 318.367 4.116 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000571126379 1044800537 /nfs/dbraw/zinc/80/05/37/1044800537.db2.gz DZVRNEJYHBHLRW-BBRMVZONSA-N 0 3 317.408 4.276 20 0 DIADHN CC(C)O[C@H](CN(Cc1ccccn1)C(C)C)c1ccccc1 ZINC000571393054 1044810441 /nfs/dbraw/zinc/81/04/41/1044810441.db2.gz MKFKXFSBOJVIDG-HXUWFJFHSA-N 0 3 312.457 4.458 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCN(c3ccccc3)C2)cs1 ZINC000369650472 1044811729 /nfs/dbraw/zinc/81/17/29/1044811729.db2.gz UUALRVCTWKFYSH-GOEBONIOSA-N 0 3 315.486 4.019 20 0 DIADHN Cc1nc2ccc(Nc3c(O)cccc3C(F)(F)F)cc2[nH]1 ZINC001213075735 1044828437 /nfs/dbraw/zinc/82/84/37/1044828437.db2.gz ZYTWTSSDKZJLEG-UHFFFAOYSA-N 0 3 307.275 4.339 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCCC2(CCCC2)C1 ZINC000445858840 1044836899 /nfs/dbraw/zinc/83/68/99/1044836899.db2.gz ZVLRUHAKZFEDKE-UHFFFAOYSA-N 0 3 309.457 4.088 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000459414802 1044839024 /nfs/dbraw/zinc/83/90/24/1044839024.db2.gz RDEMUJJLEWOKFX-QFUCXCTJSA-N 0 3 323.436 4.080 20 0 DIADHN COC1(CCNCc2csc(-c3ccc(C)cc3)n2)CCC1 ZINC000572102180 1044842232 /nfs/dbraw/zinc/84/22/32/1044842232.db2.gz SUDQUORYMWHHFP-UHFFFAOYSA-N 0 3 316.470 4.167 20 0 DIADHN CCn1c2ccccc2nc1CN[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000572082482 1044843740 /nfs/dbraw/zinc/84/37/40/1044843740.db2.gz HWHDUMZDCXGNMX-JCNLHEQBSA-N 0 3 323.415 4.231 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc(-n3ccnc3)nc2)cc1C ZINC000370670114 1044850251 /nfs/dbraw/zinc/85/02/51/1044850251.db2.gz WMEWKTOUMTXBMU-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN CCc1cc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)on1 ZINC000572335147 1044854873 /nfs/dbraw/zinc/85/48/73/1044854873.db2.gz UCNJSDFCJYBGLF-TVYUQYBPSA-N 0 3 310.850 4.234 20 0 DIADHN Cc1nc(Cc2ccccc2)sc1[C@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000572390713 1044857557 /nfs/dbraw/zinc/85/75/57/1044857557.db2.gz UXHXPNFKGMGBBR-BPNCWPANSA-N 0 3 322.424 4.347 20 0 DIADHN COc1ccc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)cc1O ZINC000264237584 1044862137 /nfs/dbraw/zinc/86/21/37/1044862137.db2.gz DPRYOAYHXNRWIF-UHFFFAOYSA-N 0 3 324.424 4.097 20 0 DIADHN Cc1nc2ccc(Nc3c(N)cccc3C(F)(F)F)cc2[nH]1 ZINC001213076150 1044869812 /nfs/dbraw/zinc/86/98/12/1044869812.db2.gz HVAVUSDPVZOSHX-UHFFFAOYSA-N 0 3 306.291 4.216 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCC[C@@H]3c3ccccc3)nc2c1 ZINC000445993889 1044870487 /nfs/dbraw/zinc/87/04/87/1044870487.db2.gz OELVRZBUPBKURQ-HZPDHXFCSA-N 0 3 310.372 4.393 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](c1ccccc1)C1CC1 ZINC000371422193 1044879177 /nfs/dbraw/zinc/87/91/77/1044879177.db2.gz DTKIVBLZZUVRDL-AZUAARDMSA-N 0 3 309.409 4.260 20 0 DIADHN COc1cncc(/C=C/CCN[C@H](C)c2c(F)cccc2F)c1 ZINC000572874142 1044882168 /nfs/dbraw/zinc/88/21/68/1044882168.db2.gz VNHRVVBPVAMLCK-VUUYWXRKSA-N 0 3 318.367 4.123 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2ccc(Cl)cn2)C1 ZINC000572981426 1044886519 /nfs/dbraw/zinc/88/65/19/1044886519.db2.gz JAMVZEYITXTMKC-CABCVRRESA-N 0 3 300.833 4.421 20 0 DIADHN COc1cccc(CNCc2ccc(-c3ccncc3)cc2)c1 ZINC000371761943 1044888949 /nfs/dbraw/zinc/88/89/49/1044888949.db2.gz ACXJPHQDESSNKR-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1cnn(C2CCCC2)c1 ZINC000337394728 1044888947 /nfs/dbraw/zinc/88/89/47/1044888947.db2.gz MPFJZSLUDBBXTC-OAHLLOKOSA-N 0 3 320.440 4.397 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccc2c[nH]nc2c1 ZINC000573249693 1044899476 /nfs/dbraw/zinc/89/94/76/1044899476.db2.gz VORNANIJQVCEAM-GOSISDBHSA-N 0 3 309.413 4.155 20 0 DIADHN COc1ccccc1CCN(C)[C@H](C)c1ccc(F)cc1F ZINC000524665831 1044900549 /nfs/dbraw/zinc/90/05/49/1044900549.db2.gz DLYSGILLWNJGFZ-CYBMUJFWSA-N 0 3 305.368 4.209 20 0 DIADHN COC[C@H]1CCCCN([C@@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000372097473 1044906417 /nfs/dbraw/zinc/90/64/17/1044906417.db2.gz ZQSVVASXJWOYOT-HOTGVXAUSA-N 0 3 314.429 4.151 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@H](C)[C@H]1Oc2ccccc2[C@@H]1C ZINC000428435668 1044914145 /nfs/dbraw/zinc/91/41/45/1044914145.db2.gz FTEXYFUDUDLBKH-WRSAYESZSA-N 0 3 314.429 4.149 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC2(C1)CCCCC2 ZINC000372399902 1044914877 /nfs/dbraw/zinc/91/48/77/1044914877.db2.gz XRXBYLRLSLDFNO-HNNXBMFYSA-N 0 3 306.475 4.151 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC[C@H](OCC(F)(F)F)C2)c1 ZINC000524755822 1044917813 /nfs/dbraw/zinc/91/78/13/1044917813.db2.gz GYHBXHPFZOBVOL-HIFRSBDPSA-N 0 3 301.352 4.099 20 0 DIADHN C/C(=C\c1ccc(C)cc1)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000778856909 1044921540 /nfs/dbraw/zinc/92/15/40/1044921540.db2.gz AMVQYOTWCAQBPF-SFQUDFHCSA-N 0 3 322.452 4.407 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)C(C)C ZINC000573784467 1044925053 /nfs/dbraw/zinc/92/50/53/1044925053.db2.gz DTPCEUOIGQWKKE-IBGZPJMESA-N 0 3 323.440 4.117 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000372897511 1044931648 /nfs/dbraw/zinc/93/16/48/1044931648.db2.gz NCHHCVAHGTXGFF-MAUKXSAKSA-N 0 3 319.807 4.347 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)CCC2 ZINC000133292016 1044933359 /nfs/dbraw/zinc/93/33/59/1044933359.db2.gz WBOKONTXMZQPQZ-XPTSAGLGSA-N 0 3 308.397 4.458 20 0 DIADHN CCCN(Cc1ccc2c(n1)CCC2)[C@H]1CCCc2cccnc21 ZINC000574538919 1044957236 /nfs/dbraw/zinc/95/72/36/1044957236.db2.gz GVKFBGGEJPHZDP-FQEVSTJZSA-N 0 3 321.468 4.255 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1ccc(Cl)c(Cl)c1 ZINC000524979923 1044958915 /nfs/dbraw/zinc/95/89/15/1044958915.db2.gz FJXPATWLQSRCCN-CYBMUJFWSA-N 0 3 324.251 4.376 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@H]1CCCC[C@@H]1C)c1ccco1 ZINC000574597383 1044959291 /nfs/dbraw/zinc/95/92/91/1044959291.db2.gz VPNMUUYDNIQMDD-MWDXBVQZSA-N 0 3 320.477 4.041 20 0 DIADHN Cc1cccn2c(CNC(C)(C)c3ccc(Cl)cc3)cnc12 ZINC000574710294 1044967987 /nfs/dbraw/zinc/96/79/87/1044967987.db2.gz YPUIIOPLSJPFMS-UHFFFAOYSA-N 0 3 313.832 4.321 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)CCc2ccc(OC(C)C)cc2)no1 ZINC000574746001 1044969646 /nfs/dbraw/zinc/96/96/46/1044969646.db2.gz DCNZHECDTYLJJO-ZBFHGGJFSA-N 0 3 316.445 4.442 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000574773243 1044971503 /nfs/dbraw/zinc/97/15/03/1044971503.db2.gz JULMSUOOJKWMBA-HNNXBMFYSA-N 0 3 323.415 4.425 20 0 DIADHN Cc1ccc(-c2noc(-c3ccc(CN4CCCCC4)o3)n2)cc1 ZINC001212840240 1044972432 /nfs/dbraw/zinc/97/24/32/1044972432.db2.gz DLOJECZCDIUSIQ-UHFFFAOYSA-N 0 3 323.396 4.291 20 0 DIADHN CCOc1ccc(CNCc2cc(F)ccc2C)cc1Cl ZINC000575067441 1044982899 /nfs/dbraw/zinc/98/28/99/1044982899.db2.gz RYGSSNUSWHQBNW-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN CCCN(CC(C)C)[C@@H](C)c1nc(Cc2ccccc2)no1 ZINC000411196742 1044983432 /nfs/dbraw/zinc/98/34/32/1044983432.db2.gz BPXAWRQATCVSSW-HNNXBMFYSA-N 0 3 301.434 4.089 20 0 DIADHN Cc1nc([C@H](C)N2CCC(c3cccc4ccccc43)CC2)n[nH]1 ZINC000411225806 1044983712 /nfs/dbraw/zinc/98/37/12/1044983712.db2.gz RYGCXCHHJMKBTC-AWEZNQCLSA-N 0 3 320.440 4.207 20 0 DIADHN CCC[N@H+](CC(C)C)[C@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000411195670 1044984524 /nfs/dbraw/zinc/98/45/24/1044984524.db2.gz AFJJVLUJGZCHOC-GFCCVEGCSA-N 0 3 321.490 4.443 20 0 DIADHN CCC[N@@H+](CC(C)C)[C@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000411195670 1044984532 /nfs/dbraw/zinc/98/45/32/1044984532.db2.gz AFJJVLUJGZCHOC-GFCCVEGCSA-N 0 3 321.490 4.443 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000925183463 1044984814 /nfs/dbraw/zinc/98/48/14/1044984814.db2.gz IVWCFHHCPHEGNO-MNOVXSKESA-N 0 3 308.809 4.063 20 0 DIADHN C[C@H](NCc1cccc(COCC(F)(F)F)c1)c1ccncc1 ZINC000136608047 1044987371 /nfs/dbraw/zinc/98/73/71/1044987371.db2.gz GJBVCAHEEBKGJO-ZDUSSCGKSA-N 0 3 324.346 4.011 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ncc(-c3ccccc3F)o2)C1 ZINC000525119789 1044989262 /nfs/dbraw/zinc/98/92/62/1044989262.db2.gz OJCFMENOOWDQFZ-UONOGXRCSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C)[C@H]1C)c1cccc2ccccc21 ZINC000525120726 1044989989 /nfs/dbraw/zinc/98/99/89/1044989989.db2.gz QLRGZPDPAVYYEZ-IXDOHACOSA-N 0 3 324.468 4.137 20 0 DIADHN Fc1ccc(CN(CCOCC2CC2)Cc2ccccc2)cc1 ZINC000525119459 1044990136 /nfs/dbraw/zinc/99/01/36/1044990136.db2.gz HYRVNDUWMPBMDW-UHFFFAOYSA-N 0 3 313.416 4.255 20 0 DIADHN Oc1ccc(CN(Cc2cc(F)c(F)c(F)c2)CC2CC2)cc1 ZINC000575239835 1044992200 /nfs/dbraw/zinc/99/22/00/1044992200.db2.gz VNBHQDIPQDCMAE-UHFFFAOYSA-N 0 3 321.342 4.222 20 0 DIADHN COc1ccnc(CN(C2CC2)C2CC(c3ccccc3C)C2)c1 ZINC000525122430 1044992590 /nfs/dbraw/zinc/99/25/90/1044992590.db2.gz FKMSZIVZXYAWLL-UHFFFAOYSA-N 0 3 322.452 4.309 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)cc1O ZINC000575310699 1044994316 /nfs/dbraw/zinc/99/43/16/1044994316.db2.gz LWVAAMJLQYOUGI-ROUUACIJSA-N 0 3 311.425 4.375 20 0 DIADHN CC1(O)CCN([C@@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411321072 1044996047 /nfs/dbraw/zinc/99/60/47/1044996047.db2.gz UCDPROVXLXAJCB-SFHVURJKSA-N 0 3 315.844 4.276 20 0 DIADHN Cc1ccc2c(c1)[nH]cc2C1=CCN(CCc2cncs2)CC1 ZINC000411341179 1044998966 /nfs/dbraw/zinc/99/89/66/1044998966.db2.gz PHDKYWMPCCIYLC-UHFFFAOYSA-N 0 3 323.465 4.265 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCc1cncs1 ZINC000411408080 1045004810 /nfs/dbraw/zinc/00/48/10/1045004810.db2.gz OAZYBIAXQSQRQC-GFCCVEGCSA-N 0 3 309.504 4.267 20 0 DIADHN CC(C)(C)OC(=O)CCN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000411455450 1045008416 /nfs/dbraw/zinc/00/84/16/1045008416.db2.gz LSRKNDIUTILTGX-ZDUSSCGKSA-N 0 3 317.351 4.002 20 0 DIADHN C[C@H](NCCc1cncs1)c1cc(Cl)ccc1Cl ZINC000411440017 1045009341 /nfs/dbraw/zinc/00/93/41/1045009341.db2.gz APFWZBJWSDMZMI-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc2ncc(CN[C@H](C)c3ccc4c(c3)OCO4)cc2c1 ZINC000411463813 1045010700 /nfs/dbraw/zinc/01/07/00/1045010700.db2.gz SSUIFMPBGNOAHD-CQSZACIVSA-N 0 3 320.392 4.123 20 0 DIADHN CC1(C)CC[C@@H](CN[C@H](CC(F)(F)F)c2ccc(F)cc2)O1 ZINC000411459596 1045011345 /nfs/dbraw/zinc/01/13/45/1045011345.db2.gz PXAFGRJHTPEUGN-UONOGXRCSA-N 0 3 319.342 4.366 20 0 DIADHN Cc1ccc2ncc(CN[C@H](CCCO)c3ccccc3)cc2c1 ZINC000411470197 1045011685 /nfs/dbraw/zinc/01/16/85/1045011685.db2.gz IIVMJLWBWDNXCC-HXUWFJFHSA-N 0 3 320.436 4.147 20 0 DIADHN CCCc1ccc([C@H](NCc2ccc(CO)o2)C(C)C)cc1 ZINC000525195122 1045012783 /nfs/dbraw/zinc/01/27/83/1045012783.db2.gz RRQBDKVOVFFWEG-LJQANCHMSA-N 0 3 301.430 4.211 20 0 DIADHN CC[C@H](NCc1cnc2ccc(C)cc2c1)c1c(C)nn(C)c1C ZINC000411515672 1045013151 /nfs/dbraw/zinc/01/31/51/1045013151.db2.gz IFRNAMDIXZVBTA-SFHVURJKSA-N 0 3 322.456 4.134 20 0 DIADHN Cc1sc([C@H](C)NCC[C@@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000411539312 1045017835 /nfs/dbraw/zinc/01/78/35/1045017835.db2.gz MBLLRGPSKZTELX-MNOVXSKESA-N 0 3 324.877 4.193 20 0 DIADHN C[C@@H]1CN([C@H](c2ccccc2)c2ccc(Cl)cc2)CC[C@H]1O ZINC000411570995 1045018117 /nfs/dbraw/zinc/01/81/17/1045018117.db2.gz OLKGMQJMJCRNPP-NIKGAXFTSA-N 0 3 315.844 4.132 20 0 DIADHN CCS[C@@H]1CCC[C@@H]1NCc1ccc(-c2ncco2)cc1F ZINC000411716966 1045025930 /nfs/dbraw/zinc/02/59/30/1045025930.db2.gz GPKQVEQRTXTLOV-JKSUJKDBSA-N 0 3 320.433 4.245 20 0 DIADHN CCCCN(Cc1nnc2n1CCCCC2)[C@@H]1CCC[C@@H](C)C1 ZINC000576006880 1045025847 /nfs/dbraw/zinc/02/58/47/1045025847.db2.gz WUJYUKHZQBWEQC-IAGOWNOFSA-N 0 3 318.509 4.185 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](CC)C1 ZINC000446724377 1045026252 /nfs/dbraw/zinc/02/62/52/1045026252.db2.gz CQIUUQLPVIJQSY-BZUAXINKSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCOc1cc(C)cc(C)c1 ZINC000411722825 1045026707 /nfs/dbraw/zinc/02/67/07/1045026707.db2.gz AUGNXXRFSYXJNK-UHFFFAOYSA-N 0 3 316.445 4.202 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@H](C(C)C)C1 ZINC000446728040 1045026761 /nfs/dbraw/zinc/02/67/61/1045026761.db2.gz ZMZMJDKQAPHFQP-CVEARBPZSA-N 0 3 302.462 4.115 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](C(C)C)C1 ZINC000446728042 1045026985 /nfs/dbraw/zinc/02/69/85/1045026985.db2.gz ZMZMJDKQAPHFQP-HZPDHXFCSA-N 0 3 302.462 4.115 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCc3ccc(OC)cc32)cc1 ZINC000151621745 1045028609 /nfs/dbraw/zinc/02/86/09/1045028609.db2.gz JMRMJQFWAFHICI-HXUWFJFHSA-N 0 3 311.425 4.009 20 0 DIADHN O[C@H]1CCCN([C@@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411771903 1045030046 /nfs/dbraw/zinc/03/00/46/1045030046.db2.gz PMIABNSKJZRGLE-OALUTQOASA-N 0 3 315.844 4.276 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000411824218 1045030923 /nfs/dbraw/zinc/03/09/23/1045030923.db2.gz WTUQHKBLNHNJAO-DIFFPNOSSA-N 0 3 319.836 4.047 20 0 DIADHN O[C@@H]1CCCN([C@@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411771901 1045031053 /nfs/dbraw/zinc/03/10/53/1045031053.db2.gz PMIABNSKJZRGLE-MOPGFXCFSA-N 0 3 315.844 4.276 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1 ZINC000151639960 1045031131 /nfs/dbraw/zinc/03/11/31/1045031131.db2.gz BFYOPIKMHJVPRS-INIZCTEOSA-N 0 3 316.470 4.155 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCCOc3ccccc32)cc1 ZINC000151637457 1045031514 /nfs/dbraw/zinc/03/15/14/1045031514.db2.gz MFBBHEUVZPXZOG-IBGZPJMESA-N 0 3 311.425 4.227 20 0 DIADHN CO[C@H](C)CN(C)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000576074434 1045031504 /nfs/dbraw/zinc/03/15/04/1045031504.db2.gz GJEUVVGOANPYOR-GFCCVEGCSA-N 0 3 316.426 4.023 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@H](CC)c1ccccc1 ZINC000411885546 1045032362 /nfs/dbraw/zinc/03/23/62/1045032362.db2.gz QRUFMUUAYGVTDB-MAUKXSAKSA-N 0 3 310.441 4.317 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCSC2(CCC2)CC1 ZINC000411872274 1045032441 /nfs/dbraw/zinc/03/24/41/1045032441.db2.gz USUJFDWDIVKDOJ-ZDUSSCGKSA-N 0 3 302.443 4.250 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@H]2c2ccc(C)cc2)cs1 ZINC000576078155 1045032497 /nfs/dbraw/zinc/03/24/97/1045032497.db2.gz QDDOOTJGOAQEBN-IRXDYDNUSA-N 0 3 316.470 4.024 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000411879303 1045032615 /nfs/dbraw/zinc/03/26/15/1045032615.db2.gz GWAVAEUARSZJCP-FUHWJXTLSA-N 0 3 310.441 4.371 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000411884820 1045033017 /nfs/dbraw/zinc/03/30/17/1045033017.db2.gz GWAVAEUARSZJCP-AEFFLSMTSA-N 0 3 310.441 4.371 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)OC3(CCOCC3)C2)cc1 ZINC000576101914 1045033160 /nfs/dbraw/zinc/03/31/60/1045033160.db2.gz WWVWWWJVODRXGD-IAGOWNOFSA-N 0 3 317.473 4.141 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@H](C)OC3(CCOCC3)C2)cc1 ZINC000576101913 1045033354 /nfs/dbraw/zinc/03/33/54/1045033354.db2.gz WWVWWWJVODRXGD-DLBZAZTESA-N 0 3 317.473 4.141 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCSC2(CCC2)CC1 ZINC000411872273 1045034341 /nfs/dbraw/zinc/03/43/41/1045034341.db2.gz USUJFDWDIVKDOJ-CYBMUJFWSA-N 0 3 302.443 4.250 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1csc(-c2ccccc2)n1)C1CC1 ZINC000411938838 1045036898 /nfs/dbraw/zinc/03/68/98/1045036898.db2.gz DZFRFZBISLSKHG-UGSOOPFHSA-N 0 3 316.470 4.103 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(C)c1C ZINC000525328249 1045037112 /nfs/dbraw/zinc/03/71/12/1045037112.db2.gz HQUHIZXVIFWCAQ-KBXCAEBGSA-N 0 3 302.462 4.143 20 0 DIADHN COC(=O)c1cccc(CNCc2ccc(C(C)(C)C)cc2)c1 ZINC000576194392 1045041212 /nfs/dbraw/zinc/04/12/12/1045041212.db2.gz BAXKGAKULBHCBS-UHFFFAOYSA-N 0 3 311.425 4.061 20 0 DIADHN COCCCCCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000152381505 1045042532 /nfs/dbraw/zinc/04/25/32/1045042532.db2.gz ZGDPJINJGGWMPG-SFHVURJKSA-N 0 3 318.848 4.231 20 0 DIADHN C[C@H]1CSCCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000576209200 1045042356 /nfs/dbraw/zinc/04/23/56/1045042356.db2.gz YERJALUWLMKEEQ-CYBMUJFWSA-N 0 3 310.532 4.376 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@H]2c2ccccc2)cc1 ZINC000411995841 1045042807 /nfs/dbraw/zinc/04/28/07/1045042807.db2.gz FIUIVQDZHXHFDJ-HXUWFJFHSA-N 0 3 307.437 4.349 20 0 DIADHN CC(C)N(Cc1csc(-c2ccccn2)n1)CC1CCC1 ZINC000193205970 1045046366 /nfs/dbraw/zinc/04/63/66/1045046366.db2.gz DJQRLNHNAOEMOP-UHFFFAOYSA-N 0 3 301.459 4.216 20 0 DIADHN CC(C)C[C@@H](C)CN[C@H](c1nc(-c2cccnc2)no1)C(C)C ZINC000576266390 1045046676 /nfs/dbraw/zinc/04/66/76/1045046676.db2.gz YKFSECDYMWIGEK-ZBFHGGJFSA-N 0 3 316.449 4.101 20 0 DIADHN CCO[C@H](CNCc1cnc(CC(C)C)s1)c1ccccc1 ZINC000446830209 1045048332 /nfs/dbraw/zinc/04/83/32/1045048332.db2.gz PRLSAPWZUZQRKF-QGZVFWFLSA-N 0 3 318.486 4.209 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1ccc(N2CCCC2)nc1 ZINC000152835841 1045049514 /nfs/dbraw/zinc/04/95/14/1045049514.db2.gz DEGCCPOILOYNGR-QFBILLFUSA-N 0 3 321.468 4.328 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1cc2ccccc2o1 ZINC000576323725 1045052987 /nfs/dbraw/zinc/05/29/87/1045052987.db2.gz UKQMINMPPQWCRM-ZDUSSCGKSA-N 0 3 305.381 4.170 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC)c2cccc(F)c2)cn1 ZINC000446840238 1045054780 /nfs/dbraw/zinc/05/47/80/1045054780.db2.gz XSOUTCNLYSJSGE-KRWDZBQOSA-N 0 3 302.393 4.250 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H]1CCCC(C)(C)C1)c1ccco1 ZINC000576424078 1045057213 /nfs/dbraw/zinc/05/72/13/1045057213.db2.gz XFQRAIVPMOZJMK-HRCADAONSA-N 0 3 320.477 4.041 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1c2ccccc2O[C@H]1C ZINC000576487661 1045058935 /nfs/dbraw/zinc/05/89/35/1045058935.db2.gz VNYFALQMBWRHPM-IHVRCWNASA-N 0 3 323.436 4.348 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(CCc3ccccc3)CC2)cn1 ZINC000428457000 1045067124 /nfs/dbraw/zinc/06/71/24/1045067124.db2.gz GUBYAYNTZQNVRB-KRWDZBQOSA-N 0 3 309.457 4.191 20 0 DIADHN Cc1ncc(CN(C)CCc2cc(Cl)cc(Cl)c2)s1 ZINC000447173243 1045107258 /nfs/dbraw/zinc/10/72/58/1045107258.db2.gz XIXXEINWNQBSAT-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN CC1(C)Cc2cc(CN3CC[C@@H](CC(F)(F)F)C3)ccc2O1 ZINC000446976573 1045078589 /nfs/dbraw/zinc/07/85/89/1045078589.db2.gz WZSOUOCXDSOWRO-ZDUSSCGKSA-N 0 3 313.363 4.174 20 0 DIADHN CC(C)CCn1cccc1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000446976444 1045080103 /nfs/dbraw/zinc/08/01/03/1045080103.db2.gz WTYYVKYBBPGHTP-AWEZNQCLSA-N 0 3 302.384 4.309 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccs3)o2)[C@@H]2CCC[C@@H]21 ZINC000576883548 1045086470 /nfs/dbraw/zinc/08/64/70/1045086470.db2.gz ACKGVRJBAWMCQT-QWHCGFSZSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1ccc2cc(CN(C)Cc3ccccc3N(C)C)ccc2n1 ZINC000576907422 1045088618 /nfs/dbraw/zinc/08/86/18/1045088618.db2.gz DYUCFCGVNSRMHH-UHFFFAOYSA-N 0 3 319.452 4.241 20 0 DIADHN CC(C)Oc1cccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)c1 ZINC000447058890 1045089983 /nfs/dbraw/zinc/08/99/83/1045089983.db2.gz IZEVVAXRYZVCMN-UHFFFAOYSA-N 0 3 315.461 4.126 20 0 DIADHN CCC[C@@H]1CCC[C@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412573118 1045093862 /nfs/dbraw/zinc/09/38/62/1045093862.db2.gz KXJZFRAMXCGOIK-HUUCEWRRSA-N 0 3 306.425 4.042 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1coc(-c2ccccc2)n1 ZINC000447069818 1045095950 /nfs/dbraw/zinc/09/59/50/1045095950.db2.gz QMZCYTGSBXVWHC-IBGZPJMESA-N 0 3 314.429 4.123 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1cccc(OC(F)F)c1 ZINC000525637373 1045104414 /nfs/dbraw/zinc/10/44/14/1045104414.db2.gz KARKUPDURFOBDU-ZDUSSCGKSA-N 0 3 303.352 4.235 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@@H]3COCC[C@@H]32)c1 ZINC000447311257 1045116923 /nfs/dbraw/zinc/11/69/23/1045116923.db2.gz AIBFKCKRQYRRMB-CJNGLKHVSA-N 0 3 314.256 4.037 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@H](c3ncc[nH]3)C2)c1 ZINC000447314552 1045118849 /nfs/dbraw/zinc/11/88/49/1045118849.db2.gz NCFOQNQHRLUDTK-ZDUSSCGKSA-N 0 3 324.255 4.139 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000577393091 1045121858 /nfs/dbraw/zinc/12/18/58/1045121858.db2.gz PDVCSEJBGWFZPS-HNNXBMFYSA-N 0 3 323.415 4.425 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC000447380066 1045124841 /nfs/dbraw/zinc/12/48/41/1045124841.db2.gz DEPQXXZHLKSIBG-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN(C[C@H](O)c2ccccc2)C2CC2)o1 ZINC000048055516 1045127232 /nfs/dbraw/zinc/12/72/32/1045127232.db2.gz DDNRISAHKHWCFH-CCKFTAQKSA-N 0 3 311.425 4.101 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](c4ccco4)C3)o2)cc1 ZINC000600685711 1045128593 /nfs/dbraw/zinc/12/85/93/1045128593.db2.gz JRSYRQAMDFGBEO-ZBFHGGJFSA-N 0 3 323.396 4.189 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC000447386120 1045129385 /nfs/dbraw/zinc/12/93/85/1045129385.db2.gz QAJHTSXIAAOLLS-INIZCTEOSA-N 0 3 316.489 4.397 20 0 DIADHN Brc1ccsc1CN1CC[C@@H](c2ccco2)C1 ZINC000600687417 1045129996 /nfs/dbraw/zinc/12/99/96/1045129996.db2.gz LVYPZQLCFSILGJ-SNVBAGLBSA-N 0 3 312.232 4.093 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](c4ccco4)C3)o2)cc1 ZINC000600685706 1045130071 /nfs/dbraw/zinc/13/00/71/1045130071.db2.gz JRSYRQAMDFGBEO-GDBMZVCRSA-N 0 3 323.396 4.189 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1cc(C(C)=O)no1 ZINC000577505025 1045132040 /nfs/dbraw/zinc/13/20/40/1045132040.db2.gz BHIMHJLKDGDFGQ-MJGOQNOKSA-N 0 3 312.413 4.035 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CC[C@@](C)(CF)C1 ZINC001256838324 1045134876 /nfs/dbraw/zinc/13/48/76/1045134876.db2.gz NHWLKBSNICMDBN-WFASDCNBSA-N 0 3 314.242 4.062 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N1CCCC2(CCCCC2)C1 ZINC000600747218 1045135041 /nfs/dbraw/zinc/13/50/41/1045135041.db2.gz LALPDSIKUBKUDR-QGZVFWFLSA-N 0 3 314.473 4.368 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC[C@@H](F)CC1 ZINC001256839258 1045136783 /nfs/dbraw/zinc/13/67/83/1045136783.db2.gz ZPFSDLWLEPHQJE-TZMCWYRMSA-N 0 3 314.242 4.204 20 0 DIADHN CCc1cccc2c(CCNCc3c(F)cccc3F)c[nH]c21 ZINC000600809409 1045140603 /nfs/dbraw/zinc/14/06/03/1045140603.db2.gz GFORNUUBAZCATF-UHFFFAOYSA-N 0 3 314.379 4.341 20 0 DIADHN CNC(=O)c1ccc(CN[C@H]2C[C@H](C(C)C)c3ccccc32)cc1 ZINC000447536709 1045141876 /nfs/dbraw/zinc/14/18/76/1045141876.db2.gz PLVIVDNCZPZDAL-UXHICEINSA-N 0 3 322.452 4.020 20 0 DIADHN CSc1ccc(OCCN2CC[C@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000470958315 1045142912 /nfs/dbraw/zinc/14/29/12/1045142912.db2.gz JNAGIIIVDQOTOW-FZMZJTMJSA-N 0 3 319.392 4.060 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H]2C[C@@H](C(C)C)c3ccccc32)c1 ZINC000447544156 1045143414 /nfs/dbraw/zinc/14/34/14/1045143414.db2.gz NWACRGJTNFULKE-VQTJNVASSA-N 0 3 322.452 4.020 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCN2CCCC(C)(C)C2)cs1 ZINC000447549826 1045145554 /nfs/dbraw/zinc/14/55/54/1045145554.db2.gz GBCAHYSYTGALGP-CQSZACIVSA-N 0 3 309.523 4.039 20 0 DIADHN Cc1ccc([C@H](CN[C@@H](C)c2cc(C)oc2C)N2CCCC2)o1 ZINC000447563781 1045151611 /nfs/dbraw/zinc/15/16/11/1045151611.db2.gz SJXASPKLLWKPAC-YJBOKZPZSA-N 0 3 316.445 4.286 20 0 DIADHN CCc1ccc(CNCc2ccccc2N(C)C(C)C)s1 ZINC000526086190 1045165479 /nfs/dbraw/zinc/16/54/79/1045165479.db2.gz POJLNBFDVCICEE-UHFFFAOYSA-N 0 3 302.487 4.445 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccnn1C1CCC1)c1ccc(OC)cc1 ZINC000924568176 1045178354 /nfs/dbraw/zinc/17/83/54/1045178354.db2.gz WXNYJJKJJFCHOS-RDTXWAMCSA-N 0 3 313.445 4.419 20 0 DIADHN c1coc([C@@H](N[C@@H]2CC[C@H]3COCC[C@H]3C2)c2ccccc2)c1 ZINC000924580400 1045180493 /nfs/dbraw/zinc/18/04/93/1045180493.db2.gz KBQDYXRAFJWCND-GNBUJSLZSA-N 0 3 311.425 4.164 20 0 DIADHN CC(C)n1cc([C@H](C)NC2CCC(c3ccccc3)CC2)nn1 ZINC000924603363 1045181317 /nfs/dbraw/zinc/18/13/17/1045181317.db2.gz RWWSMJBELIXMFJ-ZLPCBKJTSA-N 0 3 312.461 4.236 20 0 DIADHN CC[C@@H](N[C@@H](c1cccs1)C1CC1)c1cccc(OC)n1 ZINC000924592113 1045181637 /nfs/dbraw/zinc/18/16/37/1045181637.db2.gz QJYQROMJNATVAW-CXAGYDPISA-N 0 3 302.443 4.344 20 0 DIADHN Fc1ccc(C2(CNCc3nc(-c4ccccc4)c[nH]3)CC2)cc1 ZINC000447843323 1045182659 /nfs/dbraw/zinc/18/26/59/1045182659.db2.gz MFZPWYXFUTWEPO-UHFFFAOYSA-N 0 3 321.399 4.037 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@H]4C[C@H]43)n2)cc1F ZINC000577869241 1045183544 /nfs/dbraw/zinc/18/35/44/1045183544.db2.gz ZYRKZMOPKQQXCM-GMZLATJGSA-N 0 3 315.392 4.023 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@@H]3CCC[C@H]4C[C@H]43)n2)cc1F ZINC000577869239 1045183558 /nfs/dbraw/zinc/18/35/58/1045183558.db2.gz ZYRKZMOPKQQXCM-FAXLKDOZSA-N 0 3 315.392 4.023 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000447852343 1045184129 /nfs/dbraw/zinc/18/41/29/1045184129.db2.gz ZYHSFMDBVYJMQI-HZPDHXFCSA-N 0 3 315.486 4.231 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)NCc1ccc(N(C)C)nc1 ZINC000924640787 1045185974 /nfs/dbraw/zinc/18/59/74/1045185974.db2.gz ZSPLFHQAJTZSAY-CYBMUJFWSA-N 0 3 309.413 4.053 20 0 DIADHN COc1cc(CN[C@H](C)c2cc3ccccc3c(OC)c2)ccn1 ZINC000924629541 1045186152 /nfs/dbraw/zinc/18/61/52/1045186152.db2.gz UNDPZWCTWPQAOD-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1C/C=C\c1ccc(F)c(F)c1 ZINC000577901916 1045186424 /nfs/dbraw/zinc/18/64/24/1045186424.db2.gz RZABWAGOHVBYCZ-MAVJXHJTSA-N 0 3 317.383 4.155 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2ccccc2Cl)s1 ZINC000447941871 1045189865 /nfs/dbraw/zinc/18/98/65/1045189865.db2.gz SOBBHSFIUNLODN-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN CC(C)(C)c1cnc(CNCC2(c3ccccc3)CC2)s1 ZINC000447941988 1045190011 /nfs/dbraw/zinc/19/00/11/1045190011.db2.gz GNBCMQBCTPFQQG-UHFFFAOYSA-N 0 3 300.471 4.262 20 0 DIADHN Cc1cc(C)c(CNCc2ccnn2-c2ccccc2)c(C)c1 ZINC000447941616 1045190276 /nfs/dbraw/zinc/19/02/76/1045190276.db2.gz RMGLMVKFBAHJSN-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](C)c2cc3ccccc3[nH]2)c1 ZINC000924700334 1045190391 /nfs/dbraw/zinc/19/03/91/1045190391.db2.gz VXBGCZKMZXPDJU-CQSZACIVSA-N 0 3 321.424 4.367 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2cccc(Cl)c2)s1 ZINC000447943250 1045190598 /nfs/dbraw/zinc/19/05/98/1045190598.db2.gz WSTGYUINMAOGHA-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@]1(CC)OCCc2ccccc21 ZINC000577921552 1045190737 /nfs/dbraw/zinc/19/07/37/1045190737.db2.gz JQGAGAIQPGJRRG-IIBYNOLFSA-N 0 3 324.468 4.173 20 0 DIADHN C[C@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnnc1 ZINC000924694074 1045191040 /nfs/dbraw/zinc/19/10/40/1045191040.db2.gz AZAHDWLOVTYQRM-GUYCJALGSA-N 0 3 301.409 4.444 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](C)c2cc3ccccc3[nH]2)c1 ZINC000924700083 1045191649 /nfs/dbraw/zinc/19/16/49/1045191649.db2.gz VXBGCZKMZXPDJU-AWEZNQCLSA-N 0 3 321.424 4.367 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1F)c1ccc(Cl)cc1 ZINC000048331006 1045192071 /nfs/dbraw/zinc/19/20/71/1045192071.db2.gz YRVNAVFVYHLEHE-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2cc(F)cc(F)c2)s1 ZINC000447934839 1045191998 /nfs/dbraw/zinc/19/19/98/1045191998.db2.gz BKKIPXBOROSDNV-UHFFFAOYSA-N 0 3 310.413 4.051 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cnc(N(C)C)s2)c2ccccc21 ZINC000447970491 1045192238 /nfs/dbraw/zinc/19/22/38/1045192238.db2.gz DQLJYAXPZNLAEZ-IAGOWNOFSA-N 0 3 315.486 4.183 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2CCc3ccccc3C2)s1 ZINC000447935724 1045192299 /nfs/dbraw/zinc/19/22/99/1045192299.db2.gz JGMGISQAMDUVHV-HNNXBMFYSA-N 0 3 300.471 4.088 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccc(C)cc1)C1CCC1)C(F)(F)F ZINC000779039851 1045193823 /nfs/dbraw/zinc/19/38/23/1045193823.db2.gz GGOYWQUNSZJFBR-LSDHHAIUSA-N 0 3 301.352 4.003 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)c1C ZINC000447984652 1045194569 /nfs/dbraw/zinc/19/45/69/1045194569.db2.gz APIYIOLMSCMADG-UYAOXDASSA-N 0 3 311.473 4.494 20 0 DIADHN COC[C@@H](C)CN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000527106713 1045195766 /nfs/dbraw/zinc/19/57/66/1045195766.db2.gz NSLPNIGSZOPISY-XHDPSFHLSA-N 0 3 302.245 4.413 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@@](C)(c3ccccc3)C2)[n-]1 ZINC000527105567 1045196029 /nfs/dbraw/zinc/19/60/29/1045196029.db2.gz HLCIOHRFDWDOGW-URLQWDBASA-N 0 3 312.461 4.089 20 0 DIADHN COC[C@@H](C)CN1CCC[C@H]1c1nc2ccccc2n1C(C)C ZINC000527116345 1045198274 /nfs/dbraw/zinc/19/82/74/1045198274.db2.gz PEITZLFAOCAJDU-YJBOKZPZSA-N 0 3 315.461 4.037 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)cc1 ZINC000924786434 1045198791 /nfs/dbraw/zinc/19/87/91/1045198791.db2.gz UCJGJHALSULJAF-OAHLLOKOSA-N 0 3 313.441 4.164 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2cc3ccccc3s2)c1 ZINC000527193740 1045201712 /nfs/dbraw/zinc/20/17/12/1045201712.db2.gz MLDCMMCUBNUTNV-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN Fc1cc(CNCc2cccc3cc[nH]c32)ccc1OC(F)F ZINC000527211762 1045202395 /nfs/dbraw/zinc/20/23/95/1045202395.db2.gz LIJHBEJTSSFOCT-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN COC(=O)C(C)(C)CCN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000527218935 1045204720 /nfs/dbraw/zinc/20/47/20/1045204720.db2.gz YGYPBDYBGWZUID-OAHLLOKOSA-N 0 3 309.837 4.066 20 0 DIADHN COC(=O)C(C)(C)CCN1CCC[C@@H]1c1ccccc1Cl ZINC000527218854 1045204944 /nfs/dbraw/zinc/20/49/44/1045204944.db2.gz AXHZTHFOAXTVFL-OAHLLOKOSA-N 0 3 309.837 4.066 20 0 DIADHN CCc1ccc([C@H](NCCc2nccs2)c2cccnc2)cc1 ZINC000924875914 1045207183 /nfs/dbraw/zinc/20/71/83/1045207183.db2.gz FPODCLIIMIXEMX-IBGZPJMESA-N 0 3 323.465 4.022 20 0 DIADHN COc1cc([C@@H](C)NCc2c(C)nn(C)c2C)cc2ccccc21 ZINC000924892312 1045208106 /nfs/dbraw/zinc/20/81/06/1045208106.db2.gz HVPVMPNOCDROSC-CYBMUJFWSA-N 0 3 323.440 4.050 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@H](C)c2ccnnc2)C2CC2)cc1 ZINC000924895620 1045209616 /nfs/dbraw/zinc/20/96/16/1045209616.db2.gz GYLRXXRSJLSPRR-QRWLVFNGSA-N 0 3 309.457 4.477 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC[C@]2(CC=CCC2)C1 ZINC000527325154 1045215740 /nfs/dbraw/zinc/21/57/40/1045215740.db2.gz LYZYUSNSQUVTBV-OAQYLSRUSA-N 0 3 321.468 4.254 20 0 DIADHN CC(C)Cn1cc(CNC2(c3ccccc3)CCCCC2)cn1 ZINC000578157542 1045216412 /nfs/dbraw/zinc/21/64/12/1045216412.db2.gz AKCBWDKKHTUGEN-UHFFFAOYSA-N 0 3 311.473 4.488 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2ccc(C(C)(C)O)cc2)cn1 ZINC000925044349 1045221225 /nfs/dbraw/zinc/22/12/25/1045221225.db2.gz FFQZTNQGGYOSQA-CABCVRRESA-N 0 3 315.461 4.103 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000779057988 1045222453 /nfs/dbraw/zinc/22/24/53/1045222453.db2.gz NRRBMUSNKRIWFV-UYAOXDASSA-N 0 3 324.399 4.148 20 0 DIADHN CC[C@H](NC[C@H]1Cc2ccccc2O1)c1ccc(F)cc1F ZINC000578263981 1045225449 /nfs/dbraw/zinc/22/54/49/1045225449.db2.gz CKYMTVRPENXASW-PBHICJAKSA-N 0 3 303.352 4.009 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000527484677 1045226539 /nfs/dbraw/zinc/22/65/39/1045226539.db2.gz IWHKRCXAUYXIJH-OLZOCXBDSA-N 0 3 318.486 4.245 20 0 DIADHN c1nnc([C@@H]([NH2+]C2CCC3(CCCCC3)CC2)c2ccccc2)[n-]1 ZINC000527506081 1045229264 /nfs/dbraw/zinc/22/92/64/1045229264.db2.gz YUXXBHSLKVGDOE-SFHVURJKSA-N 0 3 324.472 4.377 20 0 DIADHN Cc1cccc([C@H](NCCCC(F)(F)F)c2ccccn2)c1 ZINC000925191055 1045235051 /nfs/dbraw/zinc/23/50/51/1045235051.db2.gz NHJLTRYIEMGOFU-INIZCTEOSA-N 0 3 308.347 4.412 20 0 DIADHN CN(C)C[C@@H](NCc1ccc2ccccc2c1)c1ccc(F)cc1 ZINC000578360785 1045235545 /nfs/dbraw/zinc/23/55/45/1045235545.db2.gz FHIYKRCPHSNEJC-OAQYLSRUSA-N 0 3 322.427 4.371 20 0 DIADHN CCC[C@@H](CN(CCOC)Cc1cncs1)c1ccccc1 ZINC000448355269 1045235862 /nfs/dbraw/zinc/23/58/62/1045235862.db2.gz NKICATREMGWOKU-KRWDZBQOSA-N 0 3 318.486 4.175 20 0 DIADHN C[C@H](NC1CC(OC(C)(C)C)C1)c1nc2ccccc2s1 ZINC000779063970 1045237143 /nfs/dbraw/zinc/23/71/43/1045237143.db2.gz GCKTYYBAXOTUHU-HIFPTAJRSA-N 0 3 304.459 4.293 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2ccnc(C)c2)CC1 ZINC000527537444 1045237395 /nfs/dbraw/zinc/23/73/95/1045237395.db2.gz CBSFTWMRSYYGOA-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CCCc1nc(CN(CCC)[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)cs1 ZINC000578374352 1045237771 /nfs/dbraw/zinc/23/77/71/1045237771.db2.gz PFZBGFDRCLFUQB-USXIJHARSA-N 0 3 322.518 4.121 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC[C@H](C)c1ccccc1)C2 ZINC000527617616 1045241884 /nfs/dbraw/zinc/24/18/84/1045241884.db2.gz BKWLBUPSVJTXFV-INIZCTEOSA-N 0 3 309.457 4.152 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2ccc3cc[nH]c3c2)cc1 ZINC000527631584 1045243197 /nfs/dbraw/zinc/24/31/97/1045243197.db2.gz BUMJJLPKJGANGS-UHFFFAOYSA-N 0 3 321.424 4.052 20 0 DIADHN c1cc2ccc(CNCc3ccnc(OC4CCCC4)c3)cc2[nH]1 ZINC000527637669 1045243499 /nfs/dbraw/zinc/24/34/99/1045243499.db2.gz NWNMONUCZFAQCU-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CO[C@H](CNCc1ccsc1C(F)(F)F)c1ccccc1 ZINC000527643653 1045244228 /nfs/dbraw/zinc/24/42/28/1045244228.db2.gz WMJXZPOSZMHWOG-CYBMUJFWSA-N 0 3 315.360 4.244 20 0 DIADHN COc1cc(CNCc2sccc2C)cc(C(F)(F)F)c1 ZINC000527645346 1045245060 /nfs/dbraw/zinc/24/50/60/1045245060.db2.gz KMINJQUEGRCGEO-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN c1cc2ccc(CNCc3ccccc3N3CCCCC3)cc2[nH]1 ZINC000527691615 1045250161 /nfs/dbraw/zinc/25/01/61/1045250161.db2.gz OSZNPJADXXZCGO-UHFFFAOYSA-N 0 3 319.452 4.448 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)c(Cl)c1 ZINC000578514147 1045250332 /nfs/dbraw/zinc/25/03/32/1045250332.db2.gz DBLBRGYDKUDDMA-KRWDZBQOSA-N 0 3 305.849 4.039 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC000527687372 1045252877 /nfs/dbraw/zinc/25/28/77/1045252877.db2.gz JUOAPPOKIQRNAL-HZMBPMFUSA-N 0 3 317.807 4.302 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](c2ccc(Cl)cc2F)C(C)C)n1 ZINC000578570980 1045256210 /nfs/dbraw/zinc/25/62/10/1045256210.db2.gz NYECRWRMUHILIP-QGZVFWFLSA-N 0 3 323.843 4.279 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc2cn(C)nc2c1 ZINC000527761874 1045256942 /nfs/dbraw/zinc/25/69/42/1045256942.db2.gz IKAKPPHMTPLSCX-UHFFFAOYSA-N 0 3 319.408 4.172 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1nc(Br)cs1 ZINC001258061719 1045258797 /nfs/dbraw/zinc/25/87/97/1045258797.db2.gz OTYVZCMOHPHUGD-MNOVXSKESA-N 0 3 319.312 4.456 20 0 DIADHN CCC(NCc1ncc(C(F)(F)F)s1)(C1CC1)C1CC1 ZINC000527766132 1045260308 /nfs/dbraw/zinc/26/03/08/1045260308.db2.gz XLBFAHUEOOGXLG-UHFFFAOYSA-N 0 3 304.381 4.220 20 0 DIADHN C[C@@H](NC1CC(C(F)(F)F)C1)c1nc2c(s1)CCCC2 ZINC000578660921 1045261689 /nfs/dbraw/zinc/26/16/89/1045261689.db2.gz BRPYFEUMNLJBIZ-XNWIYYODSA-N 0 3 304.381 4.013 20 0 DIADHN C[C@H](NC1CC(C(F)(F)F)C1)c1nc2c(s1)CCCC2 ZINC000578660920 1045262291 /nfs/dbraw/zinc/26/22/91/1045262291.db2.gz BRPYFEUMNLJBIZ-IDKOKCKLSA-N 0 3 304.381 4.013 20 0 DIADHN c1ccc([C@@H](NCc2ccnc3ccccc23)C2CCC2)nc1 ZINC000527770836 1045262226 /nfs/dbraw/zinc/26/22/26/1045262226.db2.gz GXMFOLWQTNPRLF-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC000527796090 1045264104 /nfs/dbraw/zinc/26/41/04/1045264104.db2.gz VNDZBSLNAMSCKB-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN COCc1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000527795427 1045264296 /nfs/dbraw/zinc/26/42/96/1045264296.db2.gz NYIUHYKIZHFYGM-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN C[C@@H](NCCc1ccsc1)c1cc(-c2ccccc2)n(C)n1 ZINC000527846061 1045265392 /nfs/dbraw/zinc/26/53/92/1045265392.db2.gz KDXIEIYHQXNQOJ-CQSZACIVSA-N 0 3 311.454 4.042 20 0 DIADHN Cc1cccc([C@H](NCc2cn(C)nc2C(F)F)C(C)C)c1 ZINC000527805722 1045266036 /nfs/dbraw/zinc/26/60/36/1045266036.db2.gz ACRSCFKMBVMBDH-OAHLLOKOSA-N 0 3 307.388 4.153 20 0 DIADHN COc1ccc([C@H](NCc2cccc3ccoc32)C2CC2)cn1 ZINC000527843698 1045267223 /nfs/dbraw/zinc/26/72/23/1045267223.db2.gz WGZJYLHWERMPSV-GOSISDBHSA-N 0 3 308.381 4.077 20 0 DIADHN COc1ccc([C@@H](NCc2cccc3ccoc32)C2CC2)cn1 ZINC000527843700 1045267570 /nfs/dbraw/zinc/26/75/70/1045267570.db2.gz WGZJYLHWERMPSV-SFHVURJKSA-N 0 3 308.381 4.077 20 0 DIADHN CCc1ccccc1-c1nc(CN[C@H]2CCO[C@H](C)C2)cs1 ZINC001168100641 1045268295 /nfs/dbraw/zinc/26/82/95/1045268295.db2.gz CZMZKYRUFDEHFI-HIFRSBDPSA-N 0 3 316.470 4.030 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2ccc(C(=O)NC)cc2)cc1 ZINC000527853297 1045269065 /nfs/dbraw/zinc/26/90/65/1045269065.db2.gz ULDQMFQOAMFMKG-HOTGVXAUSA-N 0 3 324.468 4.411 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000578782641 1045271194 /nfs/dbraw/zinc/27/11/94/1045271194.db2.gz MKYBLODKJUOSCR-GUYCJALGSA-N 0 3 324.896 4.320 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cc1F ZINC000527850333 1045272530 /nfs/dbraw/zinc/27/25/30/1045272530.db2.gz RXFHNFOFILOTRX-MRXNPFEDSA-N 0 3 323.770 4.404 20 0 DIADHN C[C@@H](N[C@H](C)c1cc(F)cc(F)c1)c1ccc2n[nH]cc2c1 ZINC000578798601 1045273181 /nfs/dbraw/zinc/27/31/81/1045273181.db2.gz WIUJCHXDSNFOPL-GHMZBOCLSA-N 0 3 301.340 4.253 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2cccn(CC)c2=O)cc1 ZINC000527897322 1045276135 /nfs/dbraw/zinc/27/61/35/1045276135.db2.gz SWNRWZOGNMHNMS-CVEARBPZSA-N 0 3 312.457 4.233 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccccc2)s1)c1cnn(C)c1 ZINC000527923889 1045276778 /nfs/dbraw/zinc/27/67/78/1045276778.db2.gz CIYBMYGHXAVWMA-KRWDZBQOSA-N 0 3 311.454 4.390 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2c3c(nn2C)CCCC3)c1 ZINC000527902306 1045280083 /nfs/dbraw/zinc/28/00/83/1045280083.db2.gz NZWXZZUDXZXPIU-OAHLLOKOSA-N 0 3 311.473 4.273 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1ccncc1Br ZINC001258067880 1045283066 /nfs/dbraw/zinc/28/30/66/1045283066.db2.gz TXFBUUBVUWJKMC-CHWSQXEVSA-N 0 3 313.283 4.395 20 0 DIADHN C[C@@H](NCC[C@H]1CCCOC1)c1csc(-c2ccccc2)n1 ZINC000527927900 1045283491 /nfs/dbraw/zinc/28/34/91/1045283491.db2.gz RQXKHDOKNOCQDI-HUUCEWRRSA-N 0 3 316.470 4.277 20 0 DIADHN FC(F)(F)[C@H](CN[C@H]1CCCCc2ccccc21)n1cccn1 ZINC000527939437 1045283958 /nfs/dbraw/zinc/28/39/58/1045283958.db2.gz OZYIGIQPKIZBPT-HOTGVXAUSA-N 0 3 323.362 4.044 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](n2cccn2)C(F)(F)F)c(C)s1 ZINC000527932192 1045286003 /nfs/dbraw/zinc/28/60/03/1045286003.db2.gz LAVGCANWANQHRC-GWCFXTLKSA-N 0 3 317.380 4.016 20 0 DIADHN Clc1cccc(-c2nnc(CN[C@H]3CC4CCC3CC4)o2)c1 ZINC000579071797 1045292714 /nfs/dbraw/zinc/29/27/14/1045292714.db2.gz JRMAHZHGWOEIHV-QOZQQMKHSA-N 0 3 317.820 4.058 20 0 DIADHN O=C(CCN1CC[C@H](c2ccccc2F)C1)c1ccc(F)cc1 ZINC000579089425 1045292966 /nfs/dbraw/zinc/29/29/66/1045292966.db2.gz VDNAOUJCBSPFNR-HNNXBMFYSA-N 0 3 315.363 4.027 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](N[C@@H](C)c2ncccc2F)C1 ZINC000528036055 1045295392 /nfs/dbraw/zinc/29/53/92/1045295392.db2.gz OFZVYGQJDOPFRJ-ARFHVFGLSA-N 0 3 313.420 4.301 20 0 DIADHN C[C@H](NC1CC(c2ccc(Cl)cc2)C1)c1ncccc1F ZINC000528035796 1045295968 /nfs/dbraw/zinc/29/59/68/1045295968.db2.gz KJKGNNRCGRFOSD-ZOODHJKOSA-N 0 3 304.796 4.471 20 0 DIADHN CC[C@@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1ccc(F)cc1 ZINC000528043109 1045296827 /nfs/dbraw/zinc/29/68/27/1045296827.db2.gz KJJBKYKPQIJCEY-MJGOQNOKSA-N 0 3 314.404 4.421 20 0 DIADHN CC[C@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1ccc(F)cc1 ZINC000528043110 1045297467 /nfs/dbraw/zinc/29/74/67/1045297467.db2.gz KJJBKYKPQIJCEY-PKOBYXMFSA-N 0 3 314.404 4.421 20 0 DIADHN Cc1ncsc1CN[C@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000579278286 1045304513 /nfs/dbraw/zinc/30/45/13/1045304513.db2.gz NYCJKTRPMWWRGF-INIZCTEOSA-N 0 3 311.454 4.408 20 0 DIADHN CC(C)=CCC[C@H](C)NCC(=O)c1cccc(Br)c1 ZINC001258078202 1045309195 /nfs/dbraw/zinc/30/91/95/1045309195.db2.gz SNKMKTIBVUWSLE-ZDUSSCGKSA-N 0 3 324.262 4.356 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)cc1F ZINC000579386082 1045312031 /nfs/dbraw/zinc/31/20/31/1045312031.db2.gz FSCQCTKWZLDDEW-RYUDHWBXSA-N 0 3 313.376 4.122 20 0 DIADHN C[C@H]1C[C@H](NCc2csc(-c3cccc(Cl)c3)n2)CCO1 ZINC001168105609 1045321753 /nfs/dbraw/zinc/32/17/53/1045321753.db2.gz XAUVRPWRPLMPEP-SMDDNHRTSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(-c3cccc(Cl)c3)n2)CCO1 ZINC001168105600 1045321715 /nfs/dbraw/zinc/32/17/15/1045321715.db2.gz XAUVRPWRPLMPEP-BXUZGUMPSA-N 0 3 322.861 4.121 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2ccc(F)c(F)c2)s1 ZINC000579552759 1045323332 /nfs/dbraw/zinc/32/33/32/1045323332.db2.gz FIOGIBWONUUIGS-UHFFFAOYSA-N 0 3 310.413 4.051 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000579621420 1045326373 /nfs/dbraw/zinc/32/63/73/1045326373.db2.gz IOMUNOLJHUZKIP-IRXDYDNUSA-N 0 3 314.473 4.006 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000579621419 1045326597 /nfs/dbraw/zinc/32/65/97/1045326597.db2.gz IOMUNOLJHUZKIP-IAGOWNOFSA-N 0 3 314.473 4.006 20 0 DIADHN C[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1cccc(Cl)c1 ZINC000579658942 1045329441 /nfs/dbraw/zinc/32/94/41/1045329441.db2.gz ZXRFVPZSPFGYHD-ZDUSSCGKSA-N 0 3 316.832 4.144 20 0 DIADHN CO[C@@H](C)CN(Cc1cnc(-c2ccccc2F)s1)C(C)C ZINC000449027079 1045334482 /nfs/dbraw/zinc/33/44/82/1045334482.db2.gz HBYZTAVAVDYOAY-ZDUSSCGKSA-N 0 3 322.449 4.195 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cc3cccnc3o1)CCC2 ZINC000449034582 1045335386 /nfs/dbraw/zinc/33/53/86/1045335386.db2.gz JXDIAUTXTIKTQR-HNNXBMFYSA-N 0 3 313.426 4.102 20 0 DIADHN CSC[C@H]1CCCN1Cc1cnc(-c2ccccc2F)s1 ZINC000449037633 1045337006 /nfs/dbraw/zinc/33/70/06/1045337006.db2.gz NPGJAQYXTUORDO-GFCCVEGCSA-N 0 3 322.474 4.277 20 0 DIADHN c1c2cccnc2oc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000449022473 1045337553 /nfs/dbraw/zinc/33/75/53/1045337553.db2.gz XSDYNVTZAZORJC-SFHVURJKSA-N 0 3 322.408 4.009 20 0 DIADHN CC(C)[C@@H](NCc1cc(CO)ccc1F)c1ccc(Cl)cc1 ZINC000528346314 1045339397 /nfs/dbraw/zinc/33/93/97/1045339397.db2.gz WJDGMFYPOQNKAJ-GOSISDBHSA-N 0 3 321.823 4.458 20 0 DIADHN Fc1ccc(CN[C@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000188967238 1045342117 /nfs/dbraw/zinc/34/21/17/1045342117.db2.gz XRMYASXUGTUVRU-LJQANCHMSA-N 0 3 306.384 4.294 20 0 DIADHN c1csc([C@H](NCc2ccnn2C2CCCC2)C2CC2)c1 ZINC000449070644 1045344416 /nfs/dbraw/zinc/34/44/16/1045344416.db2.gz KYRVXUFWTWCTQT-QGZVFWFLSA-N 0 3 301.459 4.301 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCO2 ZINC000189376191 1045346118 /nfs/dbraw/zinc/34/61/18/1045346118.db2.gz GJTHWNFMJNUKLM-KBXCAEBGSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cccc2cc(CNCc3cccc4[nH]ccc43)oc21 ZINC000076401213 1045350376 /nfs/dbraw/zinc/35/03/76/1045350376.db2.gz GVIHZCOMXTWDCL-UHFFFAOYSA-N 0 3 306.365 4.213 20 0 DIADHN CCc1nc([C@@H](C)NC[C@H](C)c2cc(F)cc(F)c2)cs1 ZINC000449153903 1045352471 /nfs/dbraw/zinc/35/24/71/1045352471.db2.gz GGEBXBDVRZNCRA-WDEREUQCSA-N 0 3 310.413 4.438 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-n3ccnc3C)cc2)cs1 ZINC000449193102 1045357753 /nfs/dbraw/zinc/35/77/53/1045357753.db2.gz QJCYVWSYAUFGHW-AWEZNQCLSA-N 0 3 311.454 4.401 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2cccc(C)c2F)cc1 ZINC000449189770 1045358421 /nfs/dbraw/zinc/35/84/21/1045358421.db2.gz MQQXDEDVZIRTGI-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN FC(F)(F)c1ncccc1CN[C@@H](c1cccs1)C1CC1 ZINC000528435240 1045358990 /nfs/dbraw/zinc/35/89/90/1045358990.db2.gz ZPUYABHRVXJZPL-CYBMUJFWSA-N 0 3 312.360 4.403 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CNCc2csc(C)c2)n1 ZINC000449184168 1045360288 /nfs/dbraw/zinc/36/02/88/1045360288.db2.gz DEKSPSWTTAAKBN-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN Clc1cc(CN[C@H]2CCO[C@@H](C3CC3)C2)c(Cl)s1 ZINC000449251851 1045366260 /nfs/dbraw/zinc/36/62/60/1045366260.db2.gz QXAHQLNAIIWKBE-WDEREUQCSA-N 0 3 306.258 4.102 20 0 DIADHN C/C(Cl)=C\CN[C@H](c1cncc(Br)c1)C1CC1 ZINC000528496446 1045366404 /nfs/dbraw/zinc/36/64/04/1045366404.db2.gz HNRCLUPIPSZYFA-FAFAAHBOSA-N 0 3 315.642 4.027 20 0 DIADHN COc1ccc(O)c([C@@H](C)NCc2cc(Cl)ccc2F)c1 ZINC000189844412 1045369866 /nfs/dbraw/zinc/36/98/66/1045369866.db2.gz JBZKAARDTYLVCQ-SNVBAGLBSA-N 0 3 309.768 4.044 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)Cc2ccccc2C)c(CN(C)C)c1 ZINC000528564701 1045375434 /nfs/dbraw/zinc/37/54/34/1045375434.db2.gz GLBKDFUUMFLUGF-QGZVFWFLSA-N 0 3 324.468 4.182 20 0 DIADHN CC(C)[C@H](NCc1cccc(Br)c1)c1ccccn1 ZINC000076903567 1045376977 /nfs/dbraw/zinc/37/69/77/1045376977.db2.gz CVJXHVQHQMRIRY-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN Cc1cccc2ncc(CN[C@@H](C)c3cccc(C4CC4)c3)n21 ZINC000449346810 1045378838 /nfs/dbraw/zinc/37/88/38/1045378838.db2.gz AJMIVUSIHNMVFW-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1c[nH]nc1-c1ccccc1 ZINC000449348846 1045380157 /nfs/dbraw/zinc/38/01/57/1045380157.db2.gz HHVCLWGAPILUAR-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3ccccc3)C2)nc1Cl ZINC001307490183 1045390647 /nfs/dbraw/zinc/39/06/47/1045390647.db2.gz MXTSFCHJKDWTFI-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1ccccc1-c1cccc(CNC2(CF)CCOCC2)c1 ZINC000449444351 1045393276 /nfs/dbraw/zinc/39/32/76/1045393276.db2.gz AIDXTRLFEJHBSQ-UHFFFAOYSA-N 0 3 313.416 4.270 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1ncc(Br)s1 ZINC000449443976 1045393604 /nfs/dbraw/zinc/39/36/04/1045393604.db2.gz XKEKPRNEIPTZGH-JTQLQIEISA-N 0 3 303.269 4.130 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000449449508 1045394908 /nfs/dbraw/zinc/39/49/08/1045394908.db2.gz LTQILVYHXDBFMN-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1cccc(-n2cccn2)c1 ZINC000449457655 1045395357 /nfs/dbraw/zinc/39/53/57/1045395357.db2.gz GQQSLJTVHXITIZ-DZGCQCFKSA-N 0 3 323.362 4.035 20 0 DIADHN Oc1cccc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)c1 ZINC000449453325 1045395551 /nfs/dbraw/zinc/39/55/51/1045395551.db2.gz WQUPIPTUDLUNKF-KRWDZBQOSA-N 0 3 305.780 4.426 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1ccc2ncccc2c1 ZINC000449463925 1045399405 /nfs/dbraw/zinc/39/94/05/1045399405.db2.gz XLYRDTOEHWSFKZ-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1cnc2ccccc2c1 ZINC000449462995 1045399523 /nfs/dbraw/zinc/39/95/23/1045399523.db2.gz VIALVPLAJRGYQS-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1cnc2ccccc2c1 ZINC000449462993 1045399959 /nfs/dbraw/zinc/39/99/59/1045399959.db2.gz VIALVPLAJRGYQS-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN CSc1ccc(OCCN2CCC(OC(C)(C)C)CC2)cc1 ZINC000119106209 1045401502 /nfs/dbraw/zinc/40/15/02/1045401502.db2.gz TYKBTWOJYWYGKY-UHFFFAOYSA-N 0 3 323.502 4.067 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(C3CCCC3)s2)C[C@@H]1C ZINC000449490881 1045402500 /nfs/dbraw/zinc/40/25/00/1045402500.db2.gz DJVIFDDLWCUPQU-BBRMVZONSA-N 0 3 308.491 4.048 20 0 DIADHN CCO[C@H]1CCN(Cc2c(Cl)ccc3cccnc32)C[C@H]1C ZINC000449498428 1045403598 /nfs/dbraw/zinc/40/35/98/1045403598.db2.gz LHZADKPZUFGWLA-DYVFJYSZSA-N 0 3 318.848 4.135 20 0 DIADHN CC(C)c1cnc(CN2CC3(CCOCC3)[C@@H]3CCC[C@@H]32)s1 ZINC000449524112 1045407458 /nfs/dbraw/zinc/40/74/58/1045407458.db2.gz ZYPPFAPXTHBQQX-CABCVRRESA-N 0 3 320.502 4.048 20 0 DIADHN CCn1ccnc1[C@H](C)NC1CC(c2ccc(Cl)cc2)C1 ZINC000449563546 1045414974 /nfs/dbraw/zinc/41/49/74/1045414974.db2.gz WFGZHJPOZFFDSC-YGONEPDPSA-N 0 3 303.837 4.153 20 0 DIADHN Cc1ccccc1C[C@H](C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000528783798 1045415031 /nfs/dbraw/zinc/41/50/31/1045415031.db2.gz NNHFCHDXHSFCMH-KRWDZBQOSA-N 0 3 324.468 4.182 20 0 DIADHN C[C@@H]1C[C@H](NC2CC(c3ccccc3Cl)C2)c2nccn21 ZINC000449572812 1045415563 /nfs/dbraw/zinc/41/55/63/1045415563.db2.gz OEFVLAHKQQOGQT-OCLKLICZSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449574057 1045415598 /nfs/dbraw/zinc/41/55/98/1045415598.db2.gz SVEYSQIBKBMYLJ-WNOSKKOXSA-N 0 3 315.848 4.468 20 0 DIADHN CCc1ncc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)o1 ZINC000449611705 1045418659 /nfs/dbraw/zinc/41/86/59/1045418659.db2.gz XQMRQBMXIUVTJV-ZMLRMANQSA-N 0 3 310.850 4.234 20 0 DIADHN CN1CCC[C@@H](NCc2cc3c(cccc3F)[nH]2)c2ccccc21 ZINC000449647488 1045423798 /nfs/dbraw/zinc/42/37/98/1045423798.db2.gz DNNKRTUVWYOAAT-GOSISDBHSA-N 0 3 323.415 4.368 20 0 DIADHN CN1CCC[C@H](NCc2cc(Cl)cs2)c2ccccc21 ZINC000449652583 1045424447 /nfs/dbraw/zinc/42/44/47/1045424447.db2.gz QIUFYYNTGFHFEN-HNNXBMFYSA-N 0 3 306.862 4.462 20 0 DIADHN CC(C)Sc1ccccc1C(=O)Nc1ccc2c(c1)CNC2 ZINC000449665844 1045425609 /nfs/dbraw/zinc/42/56/09/1045425609.db2.gz OANPWECOPUVDKQ-UHFFFAOYSA-N 0 3 312.438 4.043 20 0 DIADHN C[C@@H](c1ccccc1C(F)(F)F)N1CCC[C@]2(CCOC2)C1 ZINC000449678211 1045426409 /nfs/dbraw/zinc/42/64/09/1045426409.db2.gz DZKAWBUXTQIZAS-BBRMVZONSA-N 0 3 313.363 4.269 20 0 DIADHN Cc1cccc(C2(C(=O)Nc3ccc4c(c3)CNC4)CCCC2)c1 ZINC000449671412 1045426953 /nfs/dbraw/zinc/42/69/53/1045426953.db2.gz KGEDFTQZLLJNEE-UHFFFAOYSA-N 0 3 320.436 4.049 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)NCc1cnc(C2CC2)o1 ZINC000449707904 1045429107 /nfs/dbraw/zinc/42/91/07/1045429107.db2.gz SMVGTZZEEKYSAH-NSHDSACASA-N 0 3 324.346 4.292 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)NCc1cnc(C2CC2)o1 ZINC000449703433 1045430254 /nfs/dbraw/zinc/43/02/54/1045430254.db2.gz GCNRDAOICXLOQR-NSHDSACASA-N 0 3 324.346 4.292 20 0 DIADHN COCC1(CNCc2ncc(-c3ccccc3)s2)CCCC1 ZINC000449742918 1045433545 /nfs/dbraw/zinc/43/35/45/1045433545.db2.gz SDMIIQUAECRSRZ-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN OCC1([C@@H](NCc2ccc(Cl)cc2)c2cccs2)CCC1 ZINC000449767258 1045435734 /nfs/dbraw/zinc/43/57/34/1045435734.db2.gz PTKPEMINTUCHPQ-INIZCTEOSA-N 0 3 321.873 4.395 20 0 DIADHN Cc1cc(CN[C@H](c2cccs2)C2(CO)CCC2)c(C)s1 ZINC000449769522 1045435767 /nfs/dbraw/zinc/43/57/67/1045435767.db2.gz VBTIOMVYLYZZSX-MRXNPFEDSA-N 0 3 321.511 4.420 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2cc(C)ccc2C)cc1 ZINC000120365984 1045467077 /nfs/dbraw/zinc/46/70/77/1045467077.db2.gz SUUZCCDFTZIZAU-OXQOHEQNSA-N 0 3 313.441 4.086 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1CCc1cscn1 ZINC000450269431 1045482553 /nfs/dbraw/zinc/48/25/53/1045482553.db2.gz INMZZNZZZNUYLX-CYBMUJFWSA-N 0 3 324.852 4.185 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC000529522390 1045489679 /nfs/dbraw/zinc/48/96/79/1045489679.db2.gz VVXBQVFBBFLXNZ-UHFFFAOYSA-N 0 3 318.420 4.263 20 0 DIADHN Nc1ncccc1CN1CCC(c2cccc3ccccc32)CC1 ZINC000450319036 1045489695 /nfs/dbraw/zinc/48/96/95/1045489695.db2.gz PKDXKSVLOSQORH-UHFFFAOYSA-N 0 3 317.436 4.197 20 0 DIADHN C[C@H](CN(C)Cc1ccsc1C(F)(F)F)c1nccs1 ZINC000529544976 1045492400 /nfs/dbraw/zinc/49/24/00/1045492400.db2.gz XSQGHRLLHHNZIC-SECBINFHSA-N 0 3 320.405 4.459 20 0 DIADHN CN(C[C@H]1CCCCC1(F)F)C[C@H]1OCCc2ccccc21 ZINC000450336841 1045494812 /nfs/dbraw/zinc/49/48/12/1045494812.db2.gz BTKOHLRFXAVLFY-NVXWUHKLSA-N 0 3 309.400 4.058 20 0 DIADHN C[C@@]12CCN(Cc3ccc4cc[nH]c4c3)C[C@@H]1C2(Cl)Cl ZINC000529553856 1045496852 /nfs/dbraw/zinc/49/68/52/1045496852.db2.gz HCGRXMIYAZBVPZ-LSDHHAIUSA-N 0 3 309.240 4.184 20 0 DIADHN CCc1ccc2nccc(N3CCC(OCC4CC4)CC3)c2c1 ZINC000450367140 1045497830 /nfs/dbraw/zinc/49/78/30/1045497830.db2.gz XXSWHCWDBGWKKT-UHFFFAOYSA-N 0 3 310.441 4.193 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)C2CC(F)(F)C2)c2ccco2)cc1 ZINC000529594947 1045498211 /nfs/dbraw/zinc/49/82/11/1045498211.db2.gz BBUITFAEFLXIJN-SJKOYZFVSA-N 0 3 321.367 4.401 20 0 DIADHN CO[C@H](Cc1ccccc1)CN(C)[C@H](C)c1sc(C)nc1C ZINC000450386738 1045499581 /nfs/dbraw/zinc/49/95/81/1045499581.db2.gz FYBDOAYJKMKDGS-RHSMWYFYSA-N 0 3 318.486 4.010 20 0 DIADHN O=C(CCCN1CCC[C@@H]1c1ccco1)c1ccc(F)cc1 ZINC000450404035 1045501895 /nfs/dbraw/zinc/50/18/95/1045501895.db2.gz BRQHHGWKNXZHOC-MRXNPFEDSA-N 0 3 301.361 4.219 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)Nc1cccc(Cl)c1 ZINC000007378952 1045504935 /nfs/dbraw/zinc/50/49/35/1045504935.db2.gz VANRQOSREAYLFQ-CHWSQXEVSA-N 0 3 302.805 4.018 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc(-n2ccnc2)cc1 ZINC000302799141 1045507552 /nfs/dbraw/zinc/50/75/52/1045507552.db2.gz OEQQYTSVQDUHRK-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN C[C@H](CCOCc1ccccc1)NCc1cc(F)c(F)cc1F ZINC000389967395 1045509630 /nfs/dbraw/zinc/50/96/30/1045509630.db2.gz OMRRRKYTHRSFEA-CYBMUJFWSA-N 0 3 323.358 4.189 20 0 DIADHN CC(C)c1ccc(CCN2CCc3nc(C4CC4)ncc3C2)cc1 ZINC000529665760 1045510482 /nfs/dbraw/zinc/51/04/82/1045510482.db2.gz MBLONYCPOJEDOY-UHFFFAOYSA-N 0 3 321.468 4.078 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@H](c2ncc[nH]2)C1 ZINC000450560291 1045519984 /nfs/dbraw/zinc/51/99/84/1045519984.db2.gz FDQHXQUTBIAXDD-LBPRGKRZSA-N 0 3 324.255 4.139 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2CCCCC2(F)F)sc1C ZINC000450574663 1045522377 /nfs/dbraw/zinc/52/23/77/1045522377.db2.gz AOUZXAUWWNIUHH-GFCCVEGCSA-N 0 3 302.434 4.410 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCCCOCc1ccccc1 ZINC000390203134 1045523552 /nfs/dbraw/zinc/52/35/52/1045523552.db2.gz RHZPUPWKTSGGGN-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN Cc1ncc(CN(C)CCc2cccc(C(F)(F)F)c2)s1 ZINC000628149238 1045531651 /nfs/dbraw/zinc/53/16/51/1045531651.db2.gz QXQAVZWOUDZCCW-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN N#Cc1ccc(CNCc2cccc(OC3CCCC3)c2)cc1 ZINC000077506922 1045535269 /nfs/dbraw/zinc/53/52/69/1045535269.db2.gz RAKQSZOPORRGBK-UHFFFAOYSA-N 0 3 306.409 4.169 20 0 DIADHN C[C@H]1C[C@H](C)CN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000007811931 1045535509 /nfs/dbraw/zinc/53/55/09/1045535509.db2.gz AXYWPMXCDCQJKN-IRXDYDNUSA-N 0 3 322.452 4.270 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@@H](C3CCOCC3)C2)cs1 ZINC000628186808 1045539006 /nfs/dbraw/zinc/53/90/06/1045539006.db2.gz WWDHJOFRYHLYSO-CYBMUJFWSA-N 0 3 319.392 4.015 20 0 DIADHN CC[C@@H](NCc1ccccc1OC1CC1)c1ccc(OC)cc1 ZINC000450661086 1045539528 /nfs/dbraw/zinc/53/95/28/1045539528.db2.gz MXYXCTAFIVQQIX-LJQANCHMSA-N 0 3 311.425 4.477 20 0 DIADHN CCCCOc1ccc(CCNCc2cnc(C3CC3)o2)cc1 ZINC000628204201 1045542805 /nfs/dbraw/zinc/54/28/05/1045542805.db2.gz OMGZFESUWVSSGS-UHFFFAOYSA-N 0 3 314.429 4.063 20 0 DIADHN c1ccc([C@H](NCc2ccccc2OC2CC2)[C@@H]2CCOC2)cc1 ZINC000450677376 1045543053 /nfs/dbraw/zinc/54/30/53/1045543053.db2.gz YYEMMOZQOFGAMQ-NQIIRXRSSA-N 0 3 323.436 4.095 20 0 DIADHN CC[C@H](NCCc1cccc(C(F)(F)F)c1)c1nccs1 ZINC000628218017 1045546966 /nfs/dbraw/zinc/54/69/66/1045546966.db2.gz QFGALCUETICTHQ-ZDUSSCGKSA-N 0 3 314.376 4.445 20 0 DIADHN Cc1nc(C)c(CNCCCc2ccc(Cl)cc2Cl)o1 ZINC000628225406 1045548005 /nfs/dbraw/zinc/54/80/05/1045548005.db2.gz YMWXOZWGBZFDML-UHFFFAOYSA-N 0 3 313.228 4.321 20 0 DIADHN CC(C)COC[C@H](NC[C@H]1CCC(F)(F)C1)c1ccco1 ZINC000450717020 1045551960 /nfs/dbraw/zinc/55/19/60/1045551960.db2.gz KRXPYJWBVIRTQN-KBPBESRZSA-N 0 3 301.377 4.018 20 0 DIADHN Fc1ccc(CCCCCNCc2cnc(C3CC3)o2)cc1 ZINC000628242011 1045553663 /nfs/dbraw/zinc/55/36/63/1045553663.db2.gz OUYLQFSMGKPLEC-UHFFFAOYSA-N 0 3 302.393 4.194 20 0 DIADHN Cc1cccc(CN(CCCCCCO)Cc2ccco2)c1 ZINC000750793783 1045555732 /nfs/dbraw/zinc/55/57/32/1045555732.db2.gz CXCFRIGPIZKGAJ-UHFFFAOYSA-N 0 3 301.430 4.143 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2[nH]ccc2c1)c1ccccc1 ZINC000628253815 1045555973 /nfs/dbraw/zinc/55/59/73/1045555973.db2.gz YIZKWCYSHSIWNX-IBGZPJMESA-N 0 3 308.425 4.017 20 0 DIADHN C[C@H]1C[C@H](NCC(=O)c2ccc(Br)cc2)C[C@@H](C)C1 ZINC001258152778 1045557026 /nfs/dbraw/zinc/55/70/26/1045557026.db2.gz WCGMUXROTMPARO-JYAVWHMHSA-N 0 3 324.262 4.046 20 0 DIADHN CC(C)c1nnc(CNC2(Cc3ccccc3)CCCC2)s1 ZINC000450742410 1045557673 /nfs/dbraw/zinc/55/76/73/1045557673.db2.gz BEEVKGIGERJJJT-UHFFFAOYSA-N 0 3 315.486 4.307 20 0 DIADHN CCC[C@@H](NCC/C=C\c1ccccc1)c1nnc2ccccn21 ZINC000450724218 1045557943 /nfs/dbraw/zinc/55/79/43/1045557943.db2.gz FUXRJAQGTMYGCI-UNIWTGHVSA-N 0 3 320.440 4.264 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2ccc(Cl)cc2Cl)C1(C)C ZINC001460116778 1045559526 /nfs/dbraw/zinc/55/95/26/1045559526.db2.gz BPPZSLOAIHHQHF-HIFRSBDPSA-N 0 3 302.245 4.287 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1ccccc1Cl)c1cccs1 ZINC000750839361 1045562429 /nfs/dbraw/zinc/56/24/29/1045562429.db2.gz VWVLIKQDUOXVNY-GOEBONIOSA-N 0 3 321.873 4.490 20 0 DIADHN Cc1c(C(=O)Nc2cccc3c2CNC3)oc2c1c(C)ccc2C ZINC001122221686 1045563142 /nfs/dbraw/zinc/56/31/42/1045563142.db2.gz HJRVGXKKWXOAKT-UHFFFAOYSA-N 0 3 320.392 4.214 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccc3[nH]ccc3c1)CC2 ZINC000628324391 1045567663 /nfs/dbraw/zinc/56/76/63/1045567663.db2.gz RNGMRIFIVCCGRS-UHFFFAOYSA-N 0 3 312.310 4.034 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2C[C@@H](C)C(F)(F)[C@@H](C)C2)c1 ZINC000628390689 1045573862 /nfs/dbraw/zinc/57/38/62/1045573862.db2.gz MNDBVYRMPXLIIA-OKILXGFUSA-N 0 3 319.399 4.108 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000008118451 1045575467 /nfs/dbraw/zinc/57/54/67/1045575467.db2.gz CMSJPZUNFAUQIE-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@H]1CN(Cc2cc3cc(Cl)cnc3o2)C[C@H](C)C1(F)F ZINC000628392867 1045575639 /nfs/dbraw/zinc/57/56/39/1045575639.db2.gz XBYYFLXHTJJQRO-UWVGGRQHSA-N 0 3 314.763 4.204 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3cn4ccccc4n3)C2)cc1 ZINC000475668150 1045579000 /nfs/dbraw/zinc/57/90/00/1045579000.db2.gz DNXARJONKBBBBU-KOMQPUFPSA-N 0 3 311.816 4.024 20 0 DIADHN O[C@@H](CCNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC000721330554 1045583922 /nfs/dbraw/zinc/58/39/22/1045583922.db2.gz VKDJZQYCQVNTRO-HNNXBMFYSA-N 0 3 310.224 4.207 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@@H](O)C(C)(C)C ZINC001253727971 1045588102 /nfs/dbraw/zinc/58/81/02/1045588102.db2.gz JFHRWCJHCLBUPQ-CQSZACIVSA-N 0 3 311.878 4.394 20 0 DIADHN c1ccc(CCN(Cc2cccnc2)CC2=CCCCC2)nc1 ZINC000450915666 1045594466 /nfs/dbraw/zinc/59/44/66/1045594466.db2.gz PYMBDLZEVBJPGJ-UHFFFAOYSA-N 0 3 307.441 4.022 20 0 DIADHN CSc1ccccc1CN1CCC(F)(c2ccccn2)CC1 ZINC000450928639 1045595358 /nfs/dbraw/zinc/59/53/58/1045595358.db2.gz GYMFOJJPBVNLBH-UHFFFAOYSA-N 0 3 316.445 4.264 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC(F)(c2ccccn2)CC1 ZINC000450945092 1045597947 /nfs/dbraw/zinc/59/79/47/1045597947.db2.gz WABQWLIHEIRULF-CQSZACIVSA-N 0 3 302.368 4.243 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000800268192 1045599005 /nfs/dbraw/zinc/59/90/05/1045599005.db2.gz HYSWPULEVORXKF-MFKMUULPSA-N 0 3 318.244 4.220 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2Cc3ccc(Cl)cc3C2)s1 ZINC000800286677 1045606664 /nfs/dbraw/zinc/60/66/64/1045606664.db2.gz PRDXKWHVISUCQQ-AWEZNQCLSA-N 0 3 320.889 4.351 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@H](O)c1ccc(F)cc1F ZINC000800395153 1045615102 /nfs/dbraw/zinc/61/51/02/1045615102.db2.gz PVDYZLFFHRIWMX-BZNIZROVSA-N 0 3 323.408 4.071 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@@H](O)c1c(F)cccc1F ZINC000800397856 1045615291 /nfs/dbraw/zinc/61/52/91/1045615291.db2.gz XUHGDYZGMKQVDY-IAQYHMDHSA-N 0 3 323.408 4.071 20 0 DIADHN CSC1(C(=O)C(C#N)c2nc3cc(Cl)ccc3s2)CC1 ZINC000190877936 1045624351 /nfs/dbraw/zinc/62/43/51/1045624351.db2.gz OISQAJRQOSNJJC-SECBINFHSA-N 0 3 322.842 4.022 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](NC(=O)OC(C)(C)C)C(C)C)oc1C ZINC000800478755 1045624890 /nfs/dbraw/zinc/62/48/90/1045624890.db2.gz QRVDKJCANRZKKY-UKRRQHHQSA-N 0 3 324.465 4.096 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2cccc(OC(C)C)c2)oc1C ZINC000800478499 1045625159 /nfs/dbraw/zinc/62/51/59/1045625159.db2.gz JFPFYNXPVBDIMH-KSSFIOAISA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc(Cl)c(F)c2)oc1C ZINC000800482342 1045625321 /nfs/dbraw/zinc/62/53/21/1045625321.db2.gz FQNOUPJVZDLGPX-BONVTDFDSA-N 0 3 311.784 4.073 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc(Cl)c(F)c2)oc1C ZINC000800482343 1045625924 /nfs/dbraw/zinc/62/59/24/1045625924.db2.gz FQNOUPJVZDLGPX-MEBBXXQBSA-N 0 3 311.784 4.073 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2COC3(CCCCCC3)O2)oc1C ZINC000800488155 1045628306 /nfs/dbraw/zinc/62/83/06/1045628306.db2.gz OUERXBTWRFRRJV-GOEBONIOSA-N 0 3 307.434 4.013 20 0 DIADHN CCc1noc(C)c1CN1[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]1C ZINC000451140212 1045628914 /nfs/dbraw/zinc/62/89/14/1045628914.db2.gz BSUOPPDRBRUZSM-HOSYDEDBSA-N 0 3 304.356 4.097 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2cc(F)cc(Cl)c2)oc1C ZINC000800491043 1045630036 /nfs/dbraw/zinc/63/00/36/1045630036.db2.gz NFQIHWKNWUQJDP-BMIGLBTASA-N 0 3 311.784 4.073 20 0 DIADHN Cc1cc(Cl)nc(CN[C@@H]2c3ccccc3CC[C@@H]2C)c1 ZINC000800496877 1045634026 /nfs/dbraw/zinc/63/40/26/1045634026.db2.gz YQISQVUKSBLXLY-UGSOOPFHSA-N 0 3 300.833 4.457 20 0 DIADHN C[C@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1cccnc1Cl ZINC000800496406 1045634069 /nfs/dbraw/zinc/63/40/69/1045634069.db2.gz VCJIFQATDANSMR-IINYFYTJSA-N 0 3 307.224 4.206 20 0 DIADHN CCC1(NCc2ncc(-c3ccc(OC)cc3)o2)CCCC1 ZINC000451272825 1045656972 /nfs/dbraw/zinc/65/69/72/1045656972.db2.gz HDFPLFQRONZASJ-UHFFFAOYSA-N 0 3 300.402 4.163 20 0 DIADHN C[C@H](CCO)NC(c1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000779396734 1045660343 /nfs/dbraw/zinc/66/03/43/1045660343.db2.gz PJKHEYOYIICRDZ-GFCCVEGCSA-N 0 3 324.251 4.443 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1csc(Cl)c1 ZINC000191140722 1045660626 /nfs/dbraw/zinc/66/06/26/1045660626.db2.gz YQJMQVVBKOAUKX-ZANVPECISA-N 0 3 316.253 4.439 20 0 DIADHN CCOc1ccc(CN(C)C[C@H]2CCC3(CCCC3)O2)cc1 ZINC000530413228 1045660814 /nfs/dbraw/zinc/66/08/14/1045660814.db2.gz LROIEHRBKBJLNR-GOSISDBHSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CNCc2cc3cc(F)ccc3o2)c1 ZINC000800896607 1045664962 /nfs/dbraw/zinc/66/49/62/1045664962.db2.gz NTSZDXUXJWWURW-SFHVURJKSA-N 0 3 313.372 4.012 20 0 DIADHN COc1ccc2nc(CN(C3CC3)C3CCCC3)sc2c1 ZINC000530447287 1045665569 /nfs/dbraw/zinc/66/55/69/1045665569.db2.gz NHGDKQOJNGOACK-UHFFFAOYSA-N 0 3 302.443 4.212 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@@H]2CCC3(CCCC3)O2)s1 ZINC000530462891 1045668831 /nfs/dbraw/zinc/66/88/31/1045668831.db2.gz NTRBXWOEDWMMNF-GJZGRUSLSA-N 0 3 322.518 4.499 20 0 DIADHN Clc1cccc2c1OCCC[C@@H]2NC/C=C/c1ccncc1 ZINC000487535607 1045668866 /nfs/dbraw/zinc/66/88/66/1045668866.db2.gz IGMDGWMBPZZMAS-WVMJFEGXSA-N 0 3 314.816 4.252 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCC(C)(C)CC2)c1 ZINC000752282930 1045671131 /nfs/dbraw/zinc/67/11/31/1045671131.db2.gz XCPNJIDIRCWMTL-UHFFFAOYSA-N 0 3 306.475 4.082 20 0 DIADHN Cc1sc([C@@H](C)N[C@@H](C)CCO)nc1-c1ccc(Cl)cc1 ZINC000779406901 1045673216 /nfs/dbraw/zinc/67/32/16/1045673216.db2.gz NFNMYJOIEFDCAZ-WDEREUQCSA-N 0 3 324.877 4.193 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@@H](C)c2ccc3ccccc3n2)c1 ZINC000800994975 1045679659 /nfs/dbraw/zinc/67/96/59/1045679659.db2.gz YTUPHBZUQMGKQY-KKSFZXQISA-N 0 3 320.436 4.236 20 0 DIADHN CC(C)c1cccc(C(=O)N(CCN(C)C)Cc2ccccc2)c1 ZINC001124474702 1045703336 /nfs/dbraw/zinc/70/33/36/1045703336.db2.gz IWRXNKSEUIONBG-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN Cc1cccc(CNCc2cccc(C)c2Br)c1O ZINC000191505366 1045721059 /nfs/dbraw/zinc/72/10/59/1045721059.db2.gz GLUSPWBMJMBYJV-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1ccc2c(c1)Cc1ccccc1-2 ZINC001124475229 1045704929 /nfs/dbraw/zinc/70/49/29/1045704929.db2.gz BFRPRWYWOXBZCN-HXUWFJFHSA-N 0 3 320.436 4.069 20 0 DIADHN FC(F)(F)Cc1ccccc1-c1ccc([C@@H]2CNCCO2)cc1 ZINC000629754409 1045709474 /nfs/dbraw/zinc/70/94/74/1045709474.db2.gz QVDJPOJCQDDCNY-KRWDZBQOSA-N 0 3 321.342 4.119 20 0 DIADHN FC(F)(F)c1cc(-c2cccc([C@@H]3CNCCO3)c2)cs1 ZINC000629754615 1045709903 /nfs/dbraw/zinc/70/99/03/1045709903.db2.gz YEAUCNSQQGEWEW-ZDUSSCGKSA-N 0 3 313.344 4.095 20 0 DIADHN COc1ccc(CN2CCC(C(=O)c3ccccc3)CC2)c(C)c1 ZINC000752857293 1045711059 /nfs/dbraw/zinc/71/10/59/1045711059.db2.gz SIDGILLYIZWKBO-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2coc(-c3ccccc3)n2)o1 ZINC000530774395 1045726474 /nfs/dbraw/zinc/72/64/74/1045726474.db2.gz UYHFCDZZWABDFW-AWEZNQCLSA-N 0 3 310.397 4.354 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2coc(-c3ccccc3)n2)o1 ZINC000530774397 1045728143 /nfs/dbraw/zinc/72/81/43/1045728143.db2.gz UYHFCDZZWABDFW-CQSZACIVSA-N 0 3 310.397 4.354 20 0 DIADHN CN(Cc1cc2c(c(Cl)c1)OCO2)[C@H]1CCc2ccccc21 ZINC000630033178 1045731142 /nfs/dbraw/zinc/73/11/42/1045731142.db2.gz QNQURNIIOZJDQN-INIZCTEOSA-N 0 3 315.800 4.188 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccn1)C(C)C)c1ccc(Cl)cc1 ZINC000801531123 1045732836 /nfs/dbraw/zinc/73/28/36/1045732836.db2.gz LYSSEFONOVCCRS-ROUUACIJSA-N 0 3 318.848 4.409 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CNCc2cccc(Cl)c2)c1 ZINC000073012136 1045744450 /nfs/dbraw/zinc/74/44/50/1045744450.db2.gz CYEVWQXYMFPUIC-QGZVFWFLSA-N 0 3 314.816 4.311 20 0 DIADHN FC(F)(F)[C@@H]1CCN(C[C@@H]2CC2(Cl)Cl)CC12CCC2 ZINC000801591183 1045749189 /nfs/dbraw/zinc/74/91/89/1045749189.db2.gz ZMRNSKRKRKCUOI-VHSXEESVSA-N 0 3 316.194 4.235 20 0 DIADHN FC(F)(F)c1ccc(-c2csc([C@@H]3CCCCN3)n2)nc1 ZINC000630381449 1045756298 /nfs/dbraw/zinc/75/62/98/1045756298.db2.gz QSURQFRTTUZOSU-NSHDSACASA-N 0 3 313.348 4.039 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1nnc(-c2ccccc2Cl)o1 ZINC000452016424 1045759757 /nfs/dbraw/zinc/75/97/57/1045759757.db2.gz WMEXEDTXODURPD-LLVKDONJSA-N 0 3 305.809 4.153 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](CF)c2ccc(F)cc2)cc1 ZINC001203426989 1045769460 /nfs/dbraw/zinc/76/94/60/1045769460.db2.gz CGIZUPCKCXHKBE-KUHUBIRLSA-N 0 3 319.395 4.456 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cnc(Cl)c(C)c3)C2)cc1 ZINC000753589348 1045771211 /nfs/dbraw/zinc/77/12/11/1045771211.db2.gz KDAJUTYYDAOIBD-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)Nc2c(C)cccc2C)c1 ZINC000452085446 1045772018 /nfs/dbraw/zinc/77/20/18/1045772018.db2.gz LXOJTHMRYAOLQM-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN Brc1ccoc1CNCC1CC(c2ccccc2)C1 ZINC000801730552 1045783848 /nfs/dbraw/zinc/78/38/48/1045783848.db2.gz KQHALUAWYVPVAI-UHFFFAOYSA-N 0 3 320.230 4.326 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc(C)c(OC)cc1C)c1ccccc1 ZINC000753678499 1045789233 /nfs/dbraw/zinc/78/92/33/1045789233.db2.gz JJRDFVUYIPVHLN-VQIMIIECSA-N 0 3 313.441 4.350 20 0 DIADHN C[C@@H](NCCCNc1cccc(F)c1)c1cccnc1Cl ZINC000753767755 1045800239 /nfs/dbraw/zinc/80/02/39/1045800239.db2.gz MCEQDLQSYCYPAG-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1ccc(CC(F)(F)F)cc1 ZINC000631065537 1045806670 /nfs/dbraw/zinc/80/66/70/1045806670.db2.gz WVDPQNSHWUWQHE-MRXNPFEDSA-N 0 3 321.342 4.274 20 0 DIADHN C[C@]1(NCc2ccc(C(F)F)nc2)CCCc2ccccc21 ZINC000631072521 1045812987 /nfs/dbraw/zinc/81/29/87/1045812987.db2.gz GAOWWKYCZLQSSU-SFHVURJKSA-N 0 3 302.368 4.361 20 0 DIADHN Cc1scc(CNCc2cn(C)nc2-c2ccccc2)c1C ZINC000631109271 1045817203 /nfs/dbraw/zinc/81/72/03/1045817203.db2.gz BUOQXJHFLBBHSN-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccc(C(F)F)nc1 ZINC000631103080 1045818686 /nfs/dbraw/zinc/81/86/86/1045818686.db2.gz YCTJICYPDNNZKW-SJKOYZFVSA-N 0 3 302.368 4.432 20 0 DIADHN C[C@@H](O)[C@@H](NCc1ccc(CC(F)(F)F)cc1)c1ccccc1 ZINC000631178841 1045826920 /nfs/dbraw/zinc/82/69/20/1045826920.db2.gz NTGZYPWXAMGKRG-CXAGYDPISA-N 0 3 323.358 4.003 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C/c3cccc(F)c3)n2)cc1 ZINC000531348306 1045831201 /nfs/dbraw/zinc/83/12/01/1045831201.db2.gz MDXOQQIMMHQFIM-DHZHZOJOSA-N 0 3 323.371 4.108 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(F)cc2)C2CCCCC2)n1C ZINC000631226468 1045838853 /nfs/dbraw/zinc/83/88/53/1045838853.db2.gz BHIBOZKFHMMSRD-LJQANCHMSA-N 0 3 315.436 4.279 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2ccnc(N(C)C)c2)cc1 ZINC000754057639 1045839763 /nfs/dbraw/zinc/83/97/63/1045839763.db2.gz KFEVPXXSIOMDLE-UHFFFAOYSA-N 0 3 311.473 4.296 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1ccc(Cl)cc1 ZINC000631244021 1045841761 /nfs/dbraw/zinc/84/17/61/1045841761.db2.gz VODPCSNOMJHMBJ-ZDUSSCGKSA-N 0 3 301.817 4.264 20 0 DIADHN C[C@@H](NCc1ccccc1C1CC1)c1ccc2[nH]c(=O)oc2c1 ZINC000631249530 1045842279 /nfs/dbraw/zinc/84/22/79/1045842279.db2.gz HAPIBOOYCCRBQX-GFCCVEGCSA-N 0 3 308.381 4.262 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000631249667 1045842557 /nfs/dbraw/zinc/84/25/57/1045842557.db2.gz IGZGLKZRXICWMT-VIFPVBQESA-N 0 3 320.439 4.168 20 0 DIADHN C[C@H](NCc1cccc(C2CC2)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000631248764 1045842737 /nfs/dbraw/zinc/84/27/37/1045842737.db2.gz XJJKKDLKGHYXSQ-LBPRGKRZSA-N 0 3 308.381 4.262 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)cc1C ZINC000631250025 1045843649 /nfs/dbraw/zinc/84/36/49/1045843649.db2.gz KZYDRRXLBHGDIJ-CQSZACIVSA-N 0 3 310.397 4.309 20 0 DIADHN CC[C@@H](NCc1ccnc(N(C)C)c1)c1ccc(Cl)cc1 ZINC000754124740 1045849416 /nfs/dbraw/zinc/84/94/16/1045849416.db2.gz UBGPEOHLLJXGHI-MRXNPFEDSA-N 0 3 303.837 4.042 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCC2(C)OCCCO2)cc1 ZINC000754123855 1045850108 /nfs/dbraw/zinc/85/01/08/1045850108.db2.gz QJRZMIWCVRJJGM-INIZCTEOSA-N 0 3 321.461 4.059 20 0 DIADHN Brc1ccc(CN[C@@H]2CCCc3ncccc32)s1 ZINC000631573616 1045874522 /nfs/dbraw/zinc/87/45/22/1045874522.db2.gz OJRGSHQYAADJGR-CYBMUJFWSA-N 0 3 323.259 4.073 20 0 DIADHN CC(C)(C)c1nnc(CNC(C2CCCC2)C2CCCC2)o1 ZINC000754143445 1045854317 /nfs/dbraw/zinc/85/43/17/1045854317.db2.gz MQPAVUFXMLSIHW-UHFFFAOYSA-N 0 3 305.466 4.206 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ncccc21)c1ccc(OC(F)F)cc1 ZINC000631568771 1045870255 /nfs/dbraw/zinc/87/02/55/1045870255.db2.gz YLRCVAZCIJCNKD-PXAZEXFGSA-N 0 3 318.367 4.411 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(C2CCC2)cc1 ZINC000631567163 1045871186 /nfs/dbraw/zinc/87/11/86/1045871186.db2.gz VCPRIVNFIFIVLL-KUHUBIRLSA-N 0 3 309.457 4.416 20 0 DIADHN Fc1cccc2c1SCC[C@H]2N[C@@H]1CCCc2ncccc21 ZINC000631567130 1045871465 /nfs/dbraw/zinc/87/14/65/1045871465.db2.gz UUSOBBXTRYXDIZ-IAGOWNOFSA-N 0 3 314.429 4.425 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H]1CCCOc2ccccc21 ZINC000631577130 1045874422 /nfs/dbraw/zinc/87/44/22/1045874422.db2.gz PJAJLKHAQNQYRQ-RTBURBONSA-N 0 3 309.409 4.186 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2ccc(C3CCC3)cc2)c1 ZINC000631572708 1045875567 /nfs/dbraw/zinc/87/55/67/1045875567.db2.gz DTGBKXLIBXEGGI-HNNXBMFYSA-N 0 3 322.452 4.165 20 0 DIADHN CC[C@H](N[C@@H](CC)c1cccc(OC)c1OC)c1ccncc1 ZINC000631580589 1045876666 /nfs/dbraw/zinc/87/66/66/1045876666.db2.gz BADGNEDQZSQFSV-IRXDYDNUSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ncccc21)c1cccc(N2CCCC2)c1 ZINC000631591848 1045878877 /nfs/dbraw/zinc/87/88/77/1045878877.db2.gz ZLGZHUCDKBHKLD-HRAATJIYSA-N 0 3 321.468 4.410 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001461622482 1045884611 /nfs/dbraw/zinc/88/46/11/1045884611.db2.gz FPUYLHJBHMGJJJ-FXPVBKGRSA-N 0 3 316.189 4.006 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1ccc(C(F)F)cc1 ZINC000631619264 1045889104 /nfs/dbraw/zinc/88/91/04/1045889104.db2.gz SCLCOGHMWSZNRS-KRWDZBQOSA-N 0 3 303.352 4.410 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)[C@H]1CCO[C@H](C)C1 ZINC001168141420 1045895279 /nfs/dbraw/zinc/89/52/79/1045895279.db2.gz JGMXKGIJXLPOII-RISCZKNCSA-N 0 3 302.245 4.383 20 0 DIADHN COc1ccc(CN[C@@H](CC2CC2)c2ccccc2)c(OC)c1 ZINC000531699191 1045900521 /nfs/dbraw/zinc/90/05/21/1045900521.db2.gz XPVIPEMVKABDTP-IBGZPJMESA-N 0 3 311.425 4.335 20 0 DIADHN CCC[C@H](CCO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000631668591 1045900578 /nfs/dbraw/zinc/90/05/78/1045900578.db2.gz ZSSGITVWWVKXCI-DZGCQCFKSA-N 0 3 304.459 4.012 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@@]1(C)CC1(Cl)Cl)CCC2 ZINC000828300538 1045902302 /nfs/dbraw/zinc/90/23/02/1045902302.db2.gz NBCBTUXEVHPJBX-DGCLKSJQSA-N 0 3 319.301 4.345 20 0 DIADHN CCn1nc(C)c(CN[C@H](C)c2cc(C)c(F)c(C)c2)c1C ZINC000531709843 1045903104 /nfs/dbraw/zinc/90/31/04/1045903104.db2.gz HAYMVUWYEJADBN-CYBMUJFWSA-N 0 3 303.425 4.127 20 0 DIADHN FC1CC2(C1)CCN(Cc1c(Cl)cncc1Cl)CC2 ZINC001137603646 1045904520 /nfs/dbraw/zinc/90/45/20/1045904520.db2.gz AGOPDTREGJBHMQ-UHFFFAOYSA-N 0 3 303.208 4.103 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2c(F)cccc2F)s1 ZINC000754660749 1045904636 /nfs/dbraw/zinc/90/46/36/1045904636.db2.gz FWIBRVASLIVXQJ-GHMZBOCLSA-N 0 3 324.396 4.013 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1cccc(OC(F)F)c1 ZINC000902067122 1045905135 /nfs/dbraw/zinc/90/51/35/1045905135.db2.gz KRVKOJYJDCUJHR-CYBMUJFWSA-N 0 3 320.383 4.489 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc3cccnc3c1)CCC2 ZINC000631685048 1045905018 /nfs/dbraw/zinc/90/50/18/1045905018.db2.gz WALKUDNSFPPVCJ-LJQANCHMSA-N 0 3 304.393 4.108 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2C)s1 ZINC000754654433 1045906133 /nfs/dbraw/zinc/90/61/33/1045906133.db2.gz DETGMCWIZUUTFF-GJZGRUSLSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2C)s1 ZINC000754654436 1045906179 /nfs/dbraw/zinc/90/61/79/1045906179.db2.gz DETGMCWIZUUTFF-HUUCEWRRSA-N 0 3 316.470 4.351 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1Cl)N1CCCC1)c1ccco1 ZINC000531692825 1045906720 /nfs/dbraw/zinc/90/67/20/1045906720.db2.gz KABXYIYOLJOUAH-WMLDXEAASA-N 0 3 318.848 4.421 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(C(C)C)cc2)s1 ZINC000754665538 1045906992 /nfs/dbraw/zinc/90/69/92/1045906992.db2.gz WOMKOTVCABMYOO-CQSZACIVSA-N 0 3 316.470 4.469 20 0 DIADHN CSc1ccc(NC(=O)CN[C@H](C)c2ccc(C)s2)cc1 ZINC000754667889 1045907182 /nfs/dbraw/zinc/90/71/82/1045907182.db2.gz BXJDLZCGRHTVRC-GFCCVEGCSA-N 0 3 320.483 4.068 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2Cl)s1 ZINC000754668465 1045907322 /nfs/dbraw/zinc/90/73/22/1045907322.db2.gz PFLUSKOJKCHUBT-RYUDHWBXSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(C)ccc2C)s1 ZINC000754670380 1045907948 /nfs/dbraw/zinc/90/79/48/1045907948.db2.gz VHLNFKYEJRYWBA-GJZGRUSLSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc3ccccc32)s1 ZINC000754668403 1045908550 /nfs/dbraw/zinc/90/85/50/1045908550.db2.gz OTWGWACYVSGMPF-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc(C(C)C)c2)s1 ZINC000754667191 1045908618 /nfs/dbraw/zinc/90/86/18/1045908618.db2.gz FOBXNLJOJGWVNT-AWEZNQCLSA-N 0 3 316.470 4.469 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2C)s1 ZINC000754670814 1045909280 /nfs/dbraw/zinc/90/92/80/1045909280.db2.gz OPSNSBZCZKEUDX-HUUCEWRRSA-N 0 3 316.470 4.351 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@H](C)c1ccc(C)s1)C1CCCCC1 ZINC000754674044 1045909738 /nfs/dbraw/zinc/90/97/38/1045909738.db2.gz ZJJSKUSVRSFLJJ-HUUCEWRRSA-N 0 3 322.518 4.277 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(Cl)cc2C)s1 ZINC000754674963 1045909798 /nfs/dbraw/zinc/90/97/98/1045909798.db2.gz NWEPZAFNOHMTSJ-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(F)c2)s1 ZINC000754670458 1045910818 /nfs/dbraw/zinc/91/08/18/1045910818.db2.gz WDLHIFPQHOEODS-QWHCGFSZSA-N 0 3 320.433 4.182 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc2ncccc12 ZINC001137630513 1045910906 /nfs/dbraw/zinc/91/09/06/1045910906.db2.gz ATLHMDVSWFHNBR-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc3ccccc3c2)s1 ZINC000754670061 1045910886 /nfs/dbraw/zinc/91/08/86/1045910886.db2.gz KLYHPRNGPQXVSJ-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN CC(C)C[C@H](NCc1cccc2c1CCOC2)c1ccccn1 ZINC000631729892 1045911394 /nfs/dbraw/zinc/91/13/94/1045911394.db2.gz TUOUBFGJIUTWMG-FQEVSTJZSA-N 0 3 310.441 4.031 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc3c2CCOC3)cc1 ZINC000631730031 1045911947 /nfs/dbraw/zinc/91/19/47/1045911947.db2.gz MJGKUFRXIWEFED-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN Cc1nc([C@@H](C)NCC2([C@@H]3CCCCO3)CCC2)c(C)s1 ZINC000631730917 1045912924 /nfs/dbraw/zinc/91/29/24/1045912924.db2.gz FFINZIDSQYDQDZ-DOMZBBRYSA-N 0 3 308.491 4.150 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2ccnc2)cc1)c1ccsc1 ZINC000453069547 1045915407 /nfs/dbraw/zinc/91/54/07/1045915407.db2.gz BXGHYVVEBIAIOW-GOSISDBHSA-N 0 3 311.454 4.234 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccsc1 ZINC000631737489 1045915758 /nfs/dbraw/zinc/91/57/58/1045915758.db2.gz PQOFZEUTAQIQBJ-GWCFXTLKSA-N 0 3 302.399 4.397 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccc3c2OCO3)c2sccc21 ZINC000453065691 1045915665 /nfs/dbraw/zinc/91/56/65/1045915665.db2.gz JZODLYGRVTUNLH-FZMZJTMJSA-N 0 3 301.411 4.205 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1C(F)(F)F)c1cccc(-c2ccncc2)c1 ZINC000631755108 1045916810 /nfs/dbraw/zinc/91/68/10/1045916810.db2.gz YKRFHAZTRYEMOQ-HFBAOOFYSA-N 0 3 306.331 4.350 20 0 DIADHN C[C@@H](NCC(=O)NCCC(C)(C)C)c1csc2ccccc21 ZINC000754734483 1045920033 /nfs/dbraw/zinc/92/00/33/1045920033.db2.gz RPOWIXZAEANHSO-CYBMUJFWSA-N 0 3 318.486 4.104 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1)c1csc2ccccc21 ZINC000754729300 1045921059 /nfs/dbraw/zinc/92/10/59/1045921059.db2.gz AUJYYWABMGKUNZ-ZDUSSCGKSA-N 0 3 310.422 4.191 20 0 DIADHN C[C@H](NCC(=O)NCCC(C)(C)C)c1csc2ccccc21 ZINC000754734487 1045921131 /nfs/dbraw/zinc/92/11/31/1045921131.db2.gz RPOWIXZAEANHSO-ZDUSSCGKSA-N 0 3 318.486 4.104 20 0 DIADHN C[C@@H](NCc1ccnc(N(C)C)c1)c1csc2ccccc21 ZINC000754734034 1045921520 /nfs/dbraw/zinc/92/15/20/1045921520.db2.gz FFORDTXSWQXUDQ-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2csc3ccccc32)c1 ZINC000754746560 1045922389 /nfs/dbraw/zinc/92/23/89/1045922389.db2.gz ZRRMFWNVSDQKNC-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN Cc1c([C@H](C)NCc2cccc3[nH]ccc32)cnn1C(C)(C)C ZINC000631806968 1045922595 /nfs/dbraw/zinc/92/25/95/1045922595.db2.gz GDOIQOXTNLNAEP-ZDUSSCGKSA-N 0 3 310.445 4.279 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc(-c3ccccc3)nn2C)cc1 ZINC000453060502 1045923024 /nfs/dbraw/zinc/92/30/24/1045923024.db2.gz RFSIXIREDITHMY-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2csc3ccccc32)c1 ZINC000754737635 1045923170 /nfs/dbraw/zinc/92/31/70/1045923170.db2.gz XOWIJSXCDQVOTH-ZDUSSCGKSA-N 0 3 324.449 4.112 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H]2CCCn3nccc32)cc1 ZINC000631810513 1045923885 /nfs/dbraw/zinc/92/38/85/1045923885.db2.gz URVBRSVKESRTCZ-QAPCUYQASA-N 0 3 313.445 4.248 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1cccc(-c2ccncc2)c1 ZINC000631810302 1045924455 /nfs/dbraw/zinc/92/44/55/1045924455.db2.gz YFIINEJLQUJRMZ-DNVCBOLYSA-N 0 3 318.424 4.131 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1cc2c(s1)CCCC2 ZINC000631810103 1045925083 /nfs/dbraw/zinc/92/50/83/1045925083.db2.gz QHGAYEHVANWUEU-TZMCWYRMSA-N 0 3 301.459 4.009 20 0 DIADHN CCC(CC)(CN[C@H]1CCn2ccnc21)c1ccc(Cl)cc1 ZINC000453081515 1045926660 /nfs/dbraw/zinc/92/66/60/1045926660.db2.gz BZMCHPJPHSGPQO-INIZCTEOSA-N 0 3 317.864 4.329 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](CC)c2ccncc2)cc1OC ZINC000453086338 1045930197 /nfs/dbraw/zinc/93/01/97/1045930197.db2.gz VGJBKGLFOYSUSJ-WMLDXEAASA-N 0 3 314.429 4.291 20 0 DIADHN O[C@@H](CNC1c2ccccc2-c2ccccc21)c1ccccc1 ZINC000192754060 1045931000 /nfs/dbraw/zinc/93/10/00/1045931000.db2.gz CYSDQHIEDYCJLK-FQEVSTJZSA-N 0 3 301.389 4.080 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(c3cccs3)CCCCC2)c2nccn21 ZINC000453112599 1045940904 /nfs/dbraw/zinc/94/09/04/1045940904.db2.gz QXKNLQJOHMMEOT-HUUCEWRRSA-N 0 3 315.486 4.442 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828903784 1045941039 /nfs/dbraw/zinc/94/10/39/1045941039.db2.gz JTLIAJLLLXOLRZ-QMTHXVAHSA-N 0 3 318.292 4.181 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]c3ccc(Cl)cc23)c1 ZINC001137712530 1045942582 /nfs/dbraw/zinc/94/25/82/1045942582.db2.gz HBQYVXBULHKNRR-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN C[C@H]1C[C@@H](NCCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000453119199 1045943554 /nfs/dbraw/zinc/94/35/54/1045943554.db2.gz BMEGDZXTIJPYRA-XHDPSFHLSA-N 0 3 324.255 4.418 20 0 DIADHN CC[C@H](NCc1ccc(F)cc1CSC)c1ccncc1 ZINC000453120123 1045944430 /nfs/dbraw/zinc/94/44/30/1045944430.db2.gz CJZVJXYVROQCCP-KRWDZBQOSA-N 0 3 304.434 4.325 20 0 DIADHN CCOCC1CCN(Cc2c[nH]c3ccc(Cl)cc23)CC1 ZINC001137713900 1045944820 /nfs/dbraw/zinc/94/48/20/1045944820.db2.gz CYWJBPLEUJSOKG-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN CCC(CC)c1cc(CN[C@@H](C)CCCc2cccnc2)on1 ZINC000453125447 1045946052 /nfs/dbraw/zinc/94/60/52/1045946052.db2.gz VSUJWNYPCFTCMV-HNNXBMFYSA-N 0 3 315.461 4.474 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1ccc(Oc2ccccc2)cn1 ZINC000453136236 1045955955 /nfs/dbraw/zinc/95/59/55/1045955955.db2.gz UJMZPDBKIFPTAA-YOEHRIQHSA-N 0 3 314.454 4.420 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1Cc1ccc(-n2cccn2)cc1 ZINC001137759393 1045957966 /nfs/dbraw/zinc/95/79/66/1045957966.db2.gz QIZHEUGLZIYTPA-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN CC1(C)Cc2cc(CNCc3ccc4ncccc4c3)ccc2O1 ZINC000192978809 1045958627 /nfs/dbraw/zinc/95/86/27/1045958627.db2.gz MWQOTHIVZCPSLZ-UHFFFAOYSA-N 0 3 318.420 4.238 20 0 DIADHN Cc1cc(Cl)cc(C[NH2+]Cc2ccc3ncccc3c2)c1[O-] ZINC000192976568 1045959240 /nfs/dbraw/zinc/95/92/40/1045959240.db2.gz FPNPNFUIHMALQI-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1ncoc1-c1ccccc1 ZINC000453156657 1045960955 /nfs/dbraw/zinc/96/09/55/1045960955.db2.gz ZZJIAQQNTKLEFU-INIZCTEOSA-N 0 3 321.424 4.238 20 0 DIADHN C/C(=C\C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1)C(C)(C)C ZINC000802377467 1045963390 /nfs/dbraw/zinc/96/33/90/1045963390.db2.gz JBJZYFINLTWSNJ-SICOMBFOSA-N 0 3 322.880 4.051 20 0 DIADHN C/C(=C/C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1)C(C)(C)C ZINC000802377465 1045964054 /nfs/dbraw/zinc/96/40/54/1045964054.db2.gz JBJZYFINLTWSNJ-DVFVSVACSA-N 0 3 322.880 4.051 20 0 DIADHN C[C@@H](NCc1ccc(Br)c(Cl)c1)c1ccc[nH]1 ZINC000755210667 1045964835 /nfs/dbraw/zinc/96/48/35/1045964835.db2.gz JTXBLIGOPXKJFX-SECBINFHSA-N 0 3 313.626 4.281 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1ccc(Oc2ccccc2)cn1 ZINC000453170446 1045964965 /nfs/dbraw/zinc/96/49/65/1045964965.db2.gz GNEUZUFTXDQFHQ-PNHOKKKMSA-N 0 3 324.424 4.092 20 0 DIADHN CCC[C@H]1CCCCN1Cc1cc2c(C)nn(C)c2nc1Cl ZINC000755245263 1045967016 /nfs/dbraw/zinc/96/70/16/1045967016.db2.gz NXWINOXCAQSAHO-AWEZNQCLSA-N 0 3 320.868 4.085 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H]1CC[C@H](C)c2ccsc21 ZINC000453174279 1045968197 /nfs/dbraw/zinc/96/81/97/1045968197.db2.gz KFASRHNJXXAGDH-LRDDRELGSA-N 0 3 317.502 4.335 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2csc(C)c2)c2ccccc21 ZINC000453184937 1045971137 /nfs/dbraw/zinc/97/11/37/1045971137.db2.gz UXGLTCVHTZCXHM-SUMWQHHRSA-N 0 3 314.454 4.205 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@H](c2cccnc2)C1 ZINC000829500781 1045979573 /nfs/dbraw/zinc/97/95/73/1045979573.db2.gz QYLSRJDPXLIAFB-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccnc(OC(F)F)c2)c2sccc21 ZINC000453218705 1045989541 /nfs/dbraw/zinc/98/95/41/1045989541.db2.gz VVRYMACSLLSMNO-GWCFXTLKSA-N 0 3 324.396 4.473 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccnc(OC(F)F)c2)c2sccc21 ZINC000453218708 1045990498 /nfs/dbraw/zinc/99/04/98/1045990498.db2.gz VVRYMACSLLSMNO-ZWNOBZJWSA-N 0 3 324.396 4.473 20 0 DIADHN COC1(CN[C@H](C)c2nc(-c3ccccc3)cs2)CCCC1 ZINC000453223190 1045991792 /nfs/dbraw/zinc/99/17/92/1045991792.db2.gz LPTBHPZFDBLGBC-CQSZACIVSA-N 0 3 316.470 4.420 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCCN(C3CCCCC3)C2=O)cs1 ZINC000453237815 1045998498 /nfs/dbraw/zinc/99/84/98/1045998498.db2.gz ZUDIRBKXHLQHHI-PBHICJAKSA-N 0 3 320.502 4.031 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccsc1 ZINC000453239461 1045999147 /nfs/dbraw/zinc/99/91/47/1045999147.db2.gz WYHGWTMQQLKNKC-SJORKVTESA-N 0 3 306.450 4.231 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccccc2-n2ccnn2)cc1F ZINC000453260696 1046007921 /nfs/dbraw/zinc/00/79/21/1046007921.db2.gz QZEAMANFYWTKDC-CABCVRRESA-N 0 3 324.403 4.127 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(Cl)cc(Cl)c1)[C@H](O)C(F)F ZINC000453265424 1046009895 /nfs/dbraw/zinc/00/98/95/1046009895.db2.gz BYUNPGZJRQLURP-QILRFPOHSA-N 0 3 312.187 4.049 20 0 DIADHN CO[C@H]1CCCN(Cc2cc3cc(C)ccc3nc2Cl)CC1 ZINC001137922639 1046015365 /nfs/dbraw/zinc/01/53/65/1046015365.db2.gz FRRCZNZFKHMYFV-INIZCTEOSA-N 0 3 318.848 4.198 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1ncc(C(F)(F)F)cn1 ZINC000453290057 1046018039 /nfs/dbraw/zinc/01/80/39/1046018039.db2.gz AIHHONTVPZRYSP-OLZOCXBDSA-N 0 3 315.383 4.190 20 0 DIADHN COc1ncc(CN[C@H]2CC(C)(C)c3ccccc32)cc1Cl ZINC000453296810 1046020362 /nfs/dbraw/zinc/02/03/62/1046020362.db2.gz QVRCATXDIJDQAE-INIZCTEOSA-N 0 3 316.832 4.256 20 0 DIADHN CC/C=C\CN[C@@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000453328557 1046024268 /nfs/dbraw/zinc/02/42/68/1046024268.db2.gz UFJUPDGTGXXDJQ-QZDDGCDVSA-N 0 3 321.486 4.020 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000453303830 1046025694 /nfs/dbraw/zinc/02/56/94/1046025694.db2.gz AWIXDADKMNYNAF-BTDLBPIBSA-N 0 3 321.827 4.323 20 0 DIADHN CC[C@](C)(CN[C@@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453305235 1046027440 /nfs/dbraw/zinc/02/74/40/1046027440.db2.gz QBVRJGLSWPMCMS-HNAYVOBHSA-N 0 3 314.429 4.340 20 0 DIADHN CC[C@](C)(CN[C@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453305234 1046027542 /nfs/dbraw/zinc/02/75/42/1046027542.db2.gz QBVRJGLSWPMCMS-DNVCBOLYSA-N 0 3 314.429 4.340 20 0 DIADHN CCCO[C@@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137955203 1046029383 /nfs/dbraw/zinc/02/93/83/1046029383.db2.gz LYOUARGWNIHBJF-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN FC(F)(F)Sc1ccc(CN2CC[C@]3(CCCO3)C2)cc1 ZINC001137953269 1046031256 /nfs/dbraw/zinc/03/12/56/1046031256.db2.gz RXKWMKWTDBZDCR-CQSZACIVSA-N 0 3 317.376 4.053 20 0 DIADHN C[C@@H](C1CC1)N(C(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000633843690 1046033467 /nfs/dbraw/zinc/03/34/67/1046033467.db2.gz LUYRQKYIMJMINM-INIZCTEOSA-N 0 3 322.452 4.194 20 0 DIADHN CC(C)[C@@H]1C[C@H](CN[C@@H](c2ccccc2)c2ccccn2)CCO1 ZINC000453320260 1046036182 /nfs/dbraw/zinc/03/61/82/1046036182.db2.gz OOLBCGRGMDAQDO-QMMLZNLJSA-N 0 3 324.468 4.212 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000902621851 1046036443 /nfs/dbraw/zinc/03/64/43/1046036443.db2.gz QRMLVKHMBXVAQZ-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN CC(C)(O)c1ccc(CNC(C)(C)c2ccc(F)cc2)cc1 ZINC000830221021 1046049584 /nfs/dbraw/zinc/04/95/84/1046049584.db2.gz JSEARFULUBJMFC-UHFFFAOYSA-N 0 3 301.405 4.078 20 0 DIADHN COc1cc([C@@H](C)NCc2cnc(C)cc2C)ccc1SC ZINC000453349055 1046053304 /nfs/dbraw/zinc/05/33/04/1046053304.db2.gz HGBVQNPEPBCJNL-CQSZACIVSA-N 0 3 316.470 4.280 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](CSc1ccccc1)C(C)C ZINC000453356098 1046054707 /nfs/dbraw/zinc/05/47/07/1046054707.db2.gz OYBUFMNQNQTOIT-DOTOQJQBSA-N 0 3 317.502 4.371 20 0 DIADHN CC[C@@H](N[C@@H](C)c1csc(-c2ccccc2F)n1)[C@@H](O)CC ZINC000453381663 1046056580 /nfs/dbraw/zinc/05/65/80/1046056580.db2.gz RVWWHUCTGAAWPP-PEYYIBSZSA-N 0 3 322.449 4.149 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1ccc(F)cc1OC(F)F ZINC000453384639 1046059762 /nfs/dbraw/zinc/05/97/62/1046059762.db2.gz AOCARINCQCNBKQ-LERXQTSPSA-N 0 3 317.351 4.035 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3c(F)ccc(C)c3Cl)C[C@@H]21 ZINC001138026405 1046061455 /nfs/dbraw/zinc/06/14/55/1046061455.db2.gz REAYRRBXIBNGRK-DVOMOZLQSA-N 0 3 311.828 4.034 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3c(F)ccc(C)c3Cl)C[C@@H]21 ZINC001138026406 1046061827 /nfs/dbraw/zinc/06/18/27/1046061827.db2.gz REAYRRBXIBNGRK-WWGRRREGSA-N 0 3 311.828 4.034 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(C(F)(F)F)s1 ZINC000902793899 1046070756 /nfs/dbraw/zinc/07/07/56/1046070756.db2.gz ONDGMGZMIXNOKQ-SNVBAGLBSA-N 0 3 315.360 4.110 20 0 DIADHN Oc1ccc(CN2CCC[C@H](C(F)(F)F)C2)c2ccccc12 ZINC001138059540 1046078422 /nfs/dbraw/zinc/07/84/22/1046078422.db2.gz FTMIECBIOPQWMS-ZDUSSCGKSA-N 0 3 309.331 4.320 20 0 DIADHN c1c2ccccc2[nH]c1CNCc1ccccc1N1CCCCC1 ZINC000830623232 1046084253 /nfs/dbraw/zinc/08/42/53/1046084253.db2.gz FRYHQZXZFQOELY-UHFFFAOYSA-N 0 3 319.452 4.448 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCOC(C)(C)C2)c2ccccn2)cc1 ZINC000634682782 1046085660 /nfs/dbraw/zinc/08/56/60/1046085660.db2.gz AZEWVPOTEBICOH-HKUYNNGSSA-N 0 3 310.441 4.027 20 0 DIADHN COC[C@@H](NCCC1CC(F)(F)C1)c1cccc(Cl)c1F ZINC000453422612 1046086132 /nfs/dbraw/zinc/08/61/32/1046086132.db2.gz MVYHGUAZNPQYTK-CYBMUJFWSA-N 0 3 321.770 4.192 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@@H](C)c1ncc(Br)s1 ZINC000453445852 1046086392 /nfs/dbraw/zinc/08/63/92/1046086392.db2.gz ZVKAEENFXKLTFY-BDAKNGLRSA-N 0 3 305.285 4.381 20 0 DIADHN CCOc1cccc(CN2CCCc3ccc(OC)cc3C2)c1 ZINC001138102508 1046089020 /nfs/dbraw/zinc/08/90/20/1046089020.db2.gz PIEZPAKJAHLHIH-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN CCOc1cccc(CN2CC[C@H](Oc3ccccc3C)C2)c1 ZINC001138100589 1046089733 /nfs/dbraw/zinc/08/97/33/1046089733.db2.gz ZVOHPAGTJQRDDK-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H]2c2cccc(OC)c2)c1 ZINC001138101067 1046090729 /nfs/dbraw/zinc/09/07/29/1046090729.db2.gz IGNLZXGWKYUYEP-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN OCC1([C@@H](NCc2cccc(F)c2)c2ccccc2)CCCC1 ZINC000453596366 1046092180 /nfs/dbraw/zinc/09/21/80/1046092180.db2.gz AJMRGHWVMIOHTR-IBGZPJMESA-N 0 3 313.416 4.209 20 0 DIADHN CC(C)CO[C@@H]1CCN(Cc2ccc(Cl)c3cccnc23)C1 ZINC000532091617 1046093865 /nfs/dbraw/zinc/09/38/65/1046093865.db2.gz KLQTUOVXHLVAIE-OAHLLOKOSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1cc(Br)c(CNC[C@@H](C)C(F)(F)F)s1 ZINC000453572755 1046094271 /nfs/dbraw/zinc/09/42/71/1046094271.db2.gz OFYCOVGQSUXGAR-ZCFIWIBFSA-N 0 3 316.186 4.107 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2ccc3ncccc3c2)cc1 ZINC001138110723 1046095759 /nfs/dbraw/zinc/09/57/59/1046095759.db2.gz XNTWBJGRPNRERC-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1c(C)noc1C ZINC000902888081 1046101903 /nfs/dbraw/zinc/10/19/03/1046101903.db2.gz PXNSHLUQARHZKM-UGSOOPFHSA-N 0 3 324.428 4.279 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3CC34CCCCC4)n2)cc1 ZINC000532168471 1046107558 /nfs/dbraw/zinc/10/75/58/1046107558.db2.gz ZESQCROILQBPBZ-INIZCTEOSA-N 0 3 311.429 4.236 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3c(c2)OCO3)cc(C)c1F ZINC000532187953 1046109765 /nfs/dbraw/zinc/10/97/65/1046109765.db2.gz PEVKFENVVDVXOV-ZDUSSCGKSA-N 0 3 301.361 4.022 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1N[C@H](c1nccn1C)c1ccccc1 ZINC000532198811 1046112744 /nfs/dbraw/zinc/11/27/44/1046112744.db2.gz OHLWHQIDRXCYCZ-NXHRZFHOSA-N 0 3 311.473 4.314 20 0 DIADHN CN(Cc1ccc(N2CCCCC2)o1)[C@@H]1CCc2ccccc21 ZINC001138180190 1046113308 /nfs/dbraw/zinc/11/33/08/1046113308.db2.gz XYDXKBPFXNKJOK-LJQANCHMSA-N 0 3 310.441 4.389 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000532204609 1046114740 /nfs/dbraw/zinc/11/47/40/1046114740.db2.gz RIFWSRJTLKVBJK-CABCVRRESA-N 0 3 316.445 4.005 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000532249803 1046120795 /nfs/dbraw/zinc/12/07/95/1046120795.db2.gz KOOJYGFIZVCWSM-HRAATJIYSA-N 0 3 309.453 4.258 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1ccccc1C)c1ccc(Cl)s1 ZINC000532249340 1046121534 /nfs/dbraw/zinc/12/15/34/1046121534.db2.gz RGQURJXSUJIQIR-KGLIPLIRSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000532248408 1046122341 /nfs/dbraw/zinc/12/23/41/1046122341.db2.gz ILAHWPSYUURXPL-CXAGYDPISA-N 0 3 323.358 4.398 20 0 DIADHN C[C@@H](NC[C@H]1CCCCS1)c1ccc(C(F)(F)F)cn1 ZINC000902947223 1046123696 /nfs/dbraw/zinc/12/36/96/1046123696.db2.gz FIKPPOUOWWXDHC-ZYHUDNBSSA-N 0 3 304.381 4.037 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)[C@H](C)c2ccccc2)o1 ZINC000532236059 1046123806 /nfs/dbraw/zinc/12/38/06/1046123806.db2.gz MPACEUIFZFLKIS-MPGHIAIKSA-N 0 3 301.430 4.311 20 0 DIADHN COc1cccc(CN[C@H]2CCCC(F)(F)C2)c1OC(C)C ZINC000532269956 1046125610 /nfs/dbraw/zinc/12/56/10/1046125610.db2.gz LGNVMNGKMRVCSO-AWEZNQCLSA-N 0 3 313.388 4.150 20 0 DIADHN CC[C@@H]1CN(Cc2nc(C)cs2)CC[C@@H]1c1ccccc1 ZINC000532288175 1046128336 /nfs/dbraw/zinc/12/83/36/1046128336.db2.gz FZZJJRGNVZPWCN-WBVHZDCISA-N 0 3 300.471 4.467 20 0 DIADHN Cc1nn(Cc2ccc(F)cc2)c(Cl)c1CNCC(C)(C)C ZINC000195653632 1046129628 /nfs/dbraw/zinc/12/96/28/1046129628.db2.gz ALFAMNQOUWBZPK-UHFFFAOYSA-N 0 3 323.843 4.168 20 0 DIADHN FC1(F)CC(N[C@@H](CCc2ccccc2)c2cccnc2)C1 ZINC000902972827 1046134366 /nfs/dbraw/zinc/13/43/66/1046134366.db2.gz IZJUHPVATVAYFD-KRWDZBQOSA-N 0 3 302.368 4.143 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H](NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001138282050 1046135687 /nfs/dbraw/zinc/13/56/87/1046135687.db2.gz ZQQROHCWQQLPEH-SJORKVTESA-N 0 3 322.537 4.362 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(OC)c(OC)c2)c1 ZINC000193899516 1046136022 /nfs/dbraw/zinc/13/60/22/1046136022.db2.gz VAYJYSULBQLQEI-ZIAGYGMSSA-N 0 3 315.413 4.124 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3ccccc32)cc1OC ZINC000073052575 1046136449 /nfs/dbraw/zinc/13/64/49/1046136449.db2.gz XHTOKDSBMOXWCH-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2cccc3nccnc23)cc1 ZINC001138298964 1046142250 /nfs/dbraw/zinc/14/22/50/1046142250.db2.gz JZSGFSJTTPMUKB-SFHVURJKSA-N 0 3 307.372 4.106 20 0 DIADHN COc1c(C)cccc1CN[C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000903043253 1046146865 /nfs/dbraw/zinc/14/68/65/1046146865.db2.gz SSOGMZAUGJSBST-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2ccc(C)c(F)c2)c1 ZINC000903057441 1046151072 /nfs/dbraw/zinc/15/10/72/1046151072.db2.gz CBOOQUONYMRQFY-AWEZNQCLSA-N 0 3 315.388 4.048 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3c[nH]c4ccc(Br)cc34)C[C@@H]21 ZINC001138320050 1046151702 /nfs/dbraw/zinc/15/17/02/1046151702.db2.gz OPTKBTKTTVPHSZ-OKILXGFUSA-N 0 3 319.246 4.018 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)N[C@@H]2CCCc3occc32)c1 ZINC000903065957 1046152114 /nfs/dbraw/zinc/15/21/14/1046152114.db2.gz JJJSLMIHLWXPRZ-SCLBCKFNSA-N 0 3 313.397 4.071 20 0 DIADHN CCC[C@H](NCC[S@](=O)CC)c1ccc(Cl)cc1Cl ZINC000756926548 1046152581 /nfs/dbraw/zinc/15/25/81/1046152581.db2.gz UQDSDWUUOGFJKE-IFXJQAMLSA-N 0 3 322.301 4.193 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@H](C)c1cnc(C)s1 ZINC000903077533 1046156529 /nfs/dbraw/zinc/15/65/29/1046156529.db2.gz KZJYUIXCHNYIJI-XWCIJXRUSA-N 0 3 316.470 4.434 20 0 DIADHN CCc1cccc2c(CN3CCC4(CC(F)C4)CC3)c[nH]c21 ZINC001138360917 1046156527 /nfs/dbraw/zinc/15/65/27/1046156527.db2.gz JUUULJWJKSOGJE-UHFFFAOYSA-N 0 3 300.421 4.444 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2cc3ccccc3o2)c1 ZINC000903075694 1046157107 /nfs/dbraw/zinc/15/71/07/1046157107.db2.gz BVIJQNIEQGJQBO-AWEZNQCLSA-N 0 3 323.392 4.347 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2cnn(CC3CCC3)c2)s1 ZINC000903076897 1046157322 /nfs/dbraw/zinc/15/73/22/1046157322.db2.gz WITBEWJIYYYZTL-KBPBESRZSA-N 0 3 303.475 4.465 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2cnn(CC3CCC3)c2)s1 ZINC000903076898 1046157400 /nfs/dbraw/zinc/15/74/00/1046157400.db2.gz WITBEWJIYYYZTL-KGLIPLIRSA-N 0 3 303.475 4.465 20 0 DIADHN CCc1cccc2c(CN3CCC4(CCOCC4)CC3)c[nH]c21 ZINC001138365223 1046157710 /nfs/dbraw/zinc/15/77/10/1046157710.db2.gz IIJNCAWFCIRONU-UHFFFAOYSA-N 0 3 312.457 4.123 20 0 DIADHN CCn1c(C)cc2c1CCC[C@@H]2NCc1cc(F)cc(OC)c1 ZINC000648441843 1046160897 /nfs/dbraw/zinc/16/08/97/1046160897.db2.gz KOSJQMPJNGRKIQ-SFHVURJKSA-N 0 3 316.420 4.131 20 0 DIADHN C[C@H](c1ccco1)N(C[C@H](O)c1cccc(Cl)c1)C1CC1 ZINC000086233083 1046161307 /nfs/dbraw/zinc/16/13/07/1046161307.db2.gz LUEBVDQGAGAKSW-WBMJQRKESA-N 0 3 305.805 4.192 20 0 DIADHN [O-]c1cccnc1C[N@H+](CCc1ccccc1)Cc1ccccc1 ZINC001138394128 1046162834 /nfs/dbraw/zinc/16/28/34/1046162834.db2.gz GGSGOXMXBCAKDN-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN [O-]c1cccnc1C[N@@H+](CCc1ccccc1)Cc1ccccc1 ZINC001138394128 1046162845 /nfs/dbraw/zinc/16/28/45/1046162845.db2.gz GGSGOXMXBCAKDN-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN CC1(C)CCC[C@@H](NCC(=O)c2ccc(Br)cc2)C1 ZINC001258275678 1046163223 /nfs/dbraw/zinc/16/32/23/1046163223.db2.gz PKRKTUVMYZETAG-CQSZACIVSA-N 0 3 324.262 4.190 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CCC2(CC(F)(F)C2)CC1 ZINC001138398195 1046164392 /nfs/dbraw/zinc/16/43/92/1046164392.db2.gz PWYOFYKWSADKRC-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN CN(Cc1cccc(Oc2ccccc2)c1)Cc1ncccc1O ZINC001138395593 1046164942 /nfs/dbraw/zinc/16/49/42/1046164942.db2.gz IJCUQTSWQCAOGP-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cccc2ncccc12)C(C)C ZINC000634961698 1046166571 /nfs/dbraw/zinc/16/65/71/1046166571.db2.gz BAYQIUXNZRKIDJ-IBGZPJMESA-N 0 3 305.425 4.425 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2nc3cc(F)ccc3o2)CCO1 ZINC000634974658 1046167642 /nfs/dbraw/zinc/16/76/42/1046167642.db2.gz HOEVNKFCYBHUCS-PBHICJAKSA-N 0 3 320.408 4.040 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CC[C@@H](OCc2ccccc2)C1 ZINC001138404083 1046168102 /nfs/dbraw/zinc/16/81/02/1046168102.db2.gz YRBXMMNKCWTEBK-GOSISDBHSA-N 0 3 320.436 4.267 20 0 DIADHN Fc1ccc2oc(CNC3CCC4(CC4(F)F)CC3)nc2c1 ZINC000634985866 1046170074 /nfs/dbraw/zinc/17/00/74/1046170074.db2.gz FHDVLDMCENRRKK-UHFFFAOYSA-N 0 3 310.319 4.025 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](Oc4ccc(F)cc4)C3)cc2c1 ZINC001138416386 1046172986 /nfs/dbraw/zinc/17/29/86/1046172986.db2.gz XIDVESLUXMEWGU-LJQANCHMSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](Oc4cccc(F)c4)C3)cc2c1 ZINC001138421048 1046174665 /nfs/dbraw/zinc/17/46/65/1046174665.db2.gz XQSLLBGHXKBUPT-IBGZPJMESA-N 0 3 324.399 4.269 20 0 DIADHN COc1c(F)cc(CN2CC[C@H](Cc3ccccc3)C2)cc1F ZINC001138424215 1046175541 /nfs/dbraw/zinc/17/55/41/1046175541.db2.gz PAGUAGGZLRBWQU-OAHLLOKOSA-N 0 3 317.379 4.038 20 0 DIADHN FC(F)(F)[C@H](CN[C@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000903153642 1046178310 /nfs/dbraw/zinc/17/83/10/1046178310.db2.gz UJYNCJXVPHLWGE-WBVHZDCISA-N 0 3 320.358 4.468 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cc(F)c(OC)c(F)c1 ZINC001138427158 1046178437 /nfs/dbraw/zinc/17/84/37/1046178437.db2.gz NDAUBZHYFXWWNX-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000903157369 1046178567 /nfs/dbraw/zinc/17/85/67/1046178567.db2.gz FESNLJFXUVLHPC-SWLSCSKDSA-N 0 3 317.399 4.311 20 0 DIADHN Cc1cscc1CN[C@@H](c1ccccc1F)C1CCOCC1 ZINC000903157870 1046178928 /nfs/dbraw/zinc/17/89/28/1046178928.db2.gz BXVSBODYFPCMOD-GOSISDBHSA-N 0 3 319.445 4.453 20 0 DIADHN COc1c(C)cc(CN2CCCC[C@H]2c2cccnc2)cc1C ZINC001138430839 1046180739 /nfs/dbraw/zinc/18/07/39/1046180739.db2.gz NYPHJTGWFQKRKZ-IBGZPJMESA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000475738789 1046190256 /nfs/dbraw/zinc/19/02/56/1046190256.db2.gz KUZMTKVRXKREOB-HYVNUMGLSA-N 0 3 316.489 4.423 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3F)C2)cc1Cl ZINC001138473785 1046193884 /nfs/dbraw/zinc/19/38/84/1046193884.db2.gz BXANUYMJSDLKNJ-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc(CN2CCC3(CC[C@H](F)C3)CC2)cc1Cl ZINC001138475957 1046194845 /nfs/dbraw/zinc/19/48/45/1046194845.db2.gz VMQSZBLHGRIVQN-AWEZNQCLSA-N 0 3 311.828 4.453 20 0 DIADHN CN(C)c1ccc(CN2CCC[C@@H]2c2cccc(F)c2)s1 ZINC001138493028 1046198683 /nfs/dbraw/zinc/19/86/83/1046198683.db2.gz QCTJJTIQFSKWII-MRXNPFEDSA-N 0 3 304.434 4.290 20 0 DIADHN O=c1[nH]cc(CN[C@@H]2CCCc3c(F)cccc32)c2ccccc12 ZINC000903234541 1046202930 /nfs/dbraw/zinc/20/29/30/1046202930.db2.gz KOQGEMQUIFMWEP-LJQANCHMSA-N 0 3 322.383 4.247 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1ccccn1)C1CCOCC1 ZINC000903243631 1046204906 /nfs/dbraw/zinc/20/49/06/1046204906.db2.gz FYPZRYFVJIXLQM-HXUWFJFHSA-N 0 3 324.468 4.213 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cnn(CC3CCC3)c2)s1 ZINC000903239446 1046205585 /nfs/dbraw/zinc/20/55/85/1046205585.db2.gz FQJZKCGDJOCDHD-CYBMUJFWSA-N 0 3 303.475 4.158 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCO2)c1ccc(Cl)nc1 ZINC000903238661 1046205725 /nfs/dbraw/zinc/20/57/25/1046205725.db2.gz RSMOZEBSHYVBAL-VXGBXAGGSA-N 0 3 302.805 4.082 20 0 DIADHN COc1ccc2[nH]cc(CN(C)Cc3ccc(Cl)cc3)c2c1 ZINC001138510416 1046207112 /nfs/dbraw/zinc/20/71/12/1046207112.db2.gz BVVVTOFFAUYXKF-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](C)c2ccc(Br)cc2)no1 ZINC000903247002 1046207400 /nfs/dbraw/zinc/20/74/00/1046207400.db2.gz SHSNTKCSMYXXFA-PWSUYJOCSA-N 0 3 323.234 4.200 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CC[C@@H](C3CC3)O2)c2cccnc2)cc1 ZINC000903253708 1046209785 /nfs/dbraw/zinc/20/97/85/1046209785.db2.gz REWXBKDIURUMKS-ACRUOGEOSA-N 0 3 322.452 4.027 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3cccnc3)CC2)s1 ZINC001138525976 1046211073 /nfs/dbraw/zinc/21/10/73/1046211073.db2.gz OORJEPNWWCHVMB-UHFFFAOYSA-N 0 3 316.470 4.139 20 0 DIADHN CCCc1ccc(CN2CCC(C(=O)OC(C)(C)C)CC2)s1 ZINC001138525905 1046211217 /nfs/dbraw/zinc/21/12/17/1046211217.db2.gz LXTHLVZRLGEBEF-UHFFFAOYSA-N 0 3 323.502 4.254 20 0 DIADHN CCCc1ccc(CN2CC[C@H](C(=O)c3ccccc3)C2)s1 ZINC001138527101 1046211490 /nfs/dbraw/zinc/21/14/90/1046211490.db2.gz DVXKGQQGZIOXAS-INIZCTEOSA-N 0 3 313.466 4.405 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3cnc(-c4ccccc4)s3)C[C@@H]21 ZINC001138526716 1046211721 /nfs/dbraw/zinc/21/17/21/1046211721.db2.gz CBSCEOFWXKDBBS-HIFRSBDPSA-N 0 3 320.408 4.287 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1C1CC1)c1ccc(Oc2ccccc2)cn1 ZINC000903259564 1046211782 /nfs/dbraw/zinc/21/17/82/1046211782.db2.gz GNEUZUFTXDQFHQ-XMCHAPAWSA-N 0 3 324.424 4.092 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnn(CCF)c1)CCC2 ZINC000903274493 1046217176 /nfs/dbraw/zinc/21/71/76/1046217176.db2.gz NHCFZTJVNNMCIN-IBGZPJMESA-N 0 3 315.436 4.143 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC2(CCCCC2)CC1 ZINC001138561181 1046226195 /nfs/dbraw/zinc/22/61/95/1046226195.db2.gz DWDRHYAAWPWKFN-UHFFFAOYSA-N 0 3 323.484 4.478 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CN[C@@H](C)c1cc[nH]c(=O)c1 ZINC000925264724 1046229973 /nfs/dbraw/zinc/22/99/73/1046229973.db2.gz VQZCWYNONAJKLI-GJZGRUSLSA-N 0 3 314.429 4.124 20 0 DIADHN C[C@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cncs1 ZINC000903305551 1046230968 /nfs/dbraw/zinc/23/09/68/1046230968.db2.gz MRQKZENYKYIBHU-BONVTDFDSA-N 0 3 315.417 4.492 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1ccc(Cl)s1 ZINC000903309628 1046232513 /nfs/dbraw/zinc/23/25/13/1046232513.db2.gz WKSLNGVOXOEGKR-GFCCVEGCSA-N 0 3 309.862 4.361 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H]1CCSc2ccccc21 ZINC000903307207 1046233081 /nfs/dbraw/zinc/23/30/81/1046233081.db2.gz HAESBWDHBNPVGK-GOSISDBHSA-N 0 3 313.466 4.122 20 0 DIADHN COc1ccc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c[nH]c2c1 ZINC001138592293 1046235092 /nfs/dbraw/zinc/23/50/92/1046235092.db2.gz QWRADYAKARIPBA-GASCZTMLSA-N 0 3 318.420 4.263 20 0 DIADHN c1ccc(N2CCCC2)c(CN2CCCC[C@H]2c2cccnc2)c1 ZINC001138612781 1046241300 /nfs/dbraw/zinc/24/13/00/1046241300.db2.gz YFZSMERIUXCRMN-FQEVSTJZSA-N 0 3 321.468 4.409 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccccc2N2CCCC2)cn1 ZINC001138613420 1046242750 /nfs/dbraw/zinc/24/27/50/1046242750.db2.gz JCCHPHVFISZNGD-FQEVSTJZSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1cccnc1[C@H](N[C@H](C)c1cccc(CO)c1)C(C)(C)C ZINC000903331634 1046243776 /nfs/dbraw/zinc/24/37/76/1046243776.db2.gz ZQKWQOFDUCFXBO-BEFAXECRSA-N 0 3 312.457 4.320 20 0 DIADHN CSC(C)(C)C[C@@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC001258335847 1046245303 /nfs/dbraw/zinc/24/53/03/1046245303.db2.gz QUHFPMHMQVFOHA-LLVKDONJSA-N 0 3 320.424 4.452 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000903334712 1046246825 /nfs/dbraw/zinc/24/68/25/1046246825.db2.gz FNEGWIFDHPAMJK-JLTOFOAXSA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000903337262 1046248864 /nfs/dbraw/zinc/24/88/64/1046248864.db2.gz BUWYVPSJTIONLD-OXJNMPFZSA-N 0 3 324.424 4.045 20 0 DIADHN Fc1ccccc1-n1cccc1CN1CC[C@H](c2ccccn2)C1 ZINC001138632720 1046249236 /nfs/dbraw/zinc/24/92/36/1046249236.db2.gz CULOPDVVNOVUSV-INIZCTEOSA-N 0 3 321.399 4.001 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000903338196 1046251210 /nfs/dbraw/zinc/25/12/10/1046251210.db2.gz GPXAZPQCTRCITH-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN CC(C)c1ccc(NC2CCN(CCC(F)(F)F)CC2)cn1 ZINC000903340336 1046252962 /nfs/dbraw/zinc/25/29/62/1046252962.db2.gz UAFKEUZMWKHINH-UHFFFAOYSA-N 0 3 315.383 4.034 20 0 DIADHN Cc1nc2ccc(CN[C@H](C)c3ccc4c(c3)CCO4)cc2s1 ZINC000897417839 1046254573 /nfs/dbraw/zinc/25/45/73/1046254573.db2.gz BEDRCOJIJVHSPK-GFCCVEGCSA-N 0 3 324.449 4.390 20 0 DIADHN CCn1nc(C)c(CN2CCC3(C=Cc4ccccc43)CC2)c1C ZINC001138642436 1046254938 /nfs/dbraw/zinc/25/49/38/1046254938.db2.gz BRAPRJDUOQLUMM-UHFFFAOYSA-N 0 3 321.468 4.080 20 0 DIADHN CCC[C@@H](NC[C@]12C[C@H]1COC21CCC1)c1ncccc1Cl ZINC000903369178 1046257012 /nfs/dbraw/zinc/25/70/12/1046257012.db2.gz ZJKNDGLFRPQEMO-YSVLISHTSA-N 0 3 320.864 4.125 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2Cn2cc(C)cnc2=S)c1 ZINC000758351673 1046263238 /nfs/dbraw/zinc/26/32/38/1046263238.db2.gz SGSUYFMACKVEOX-QGZVFWFLSA-N 0 3 313.470 4.332 20 0 DIADHN C[C@@H](NCc1ccc(CCO)cc1)c1cccc(C(F)(F)F)c1 ZINC000903398068 1046264682 /nfs/dbraw/zinc/26/46/82/1046264682.db2.gz UFDVZWFOSATOSB-CYBMUJFWSA-N 0 3 323.358 4.091 20 0 DIADHN CCCOC1CCN(Cc2c(Cl)[nH]c3ccccc32)CC1 ZINC001138688260 1046269571 /nfs/dbraw/zinc/26/95/71/1046269571.db2.gz XBGQJKWPSTVNCP-UHFFFAOYSA-N 0 3 306.837 4.212 20 0 DIADHN CC(C)c1ccc([C@@H](NCC[C@@H](O)C2CC2)c2cccnc2)cc1 ZINC000903412908 1046269803 /nfs/dbraw/zinc/26/98/03/1046269803.db2.gz YHZPPAHLPHBUMF-NHCUHLMSSA-N 0 3 324.468 4.045 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2c[nH]nc2C)cc2ccccc21 ZINC000903414737 1046271894 /nfs/dbraw/zinc/27/18/94/1046271894.db2.gz XLLMOBIFPHXVPW-CHWSQXEVSA-N 0 3 309.413 4.292 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(c2cccnc2)CC1 ZINC001138722570 1046276233 /nfs/dbraw/zinc/27/62/33/1046276233.db2.gz YAQXKLBIYCITHF-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccncc2)C2CC2)cc1F ZINC000903428182 1046276519 /nfs/dbraw/zinc/27/65/19/1046276519.db2.gz NAGJGKDBGIXLAE-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@H](Oc2ccncc2C)C1 ZINC001138723065 1046276974 /nfs/dbraw/zinc/27/69/74/1046276974.db2.gz CUELJAAMLBFQSB-HNNXBMFYSA-N 0 3 316.832 4.005 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1cnc2ccsc2c1 ZINC000903428731 1046276999 /nfs/dbraw/zinc/27/69/99/1046276999.db2.gz PVYOCLZGLQCJNE-KZULUSFZSA-N 0 3 309.438 4.493 20 0 DIADHN CC[C@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903429184 1046277020 /nfs/dbraw/zinc/27/70/20/1046277020.db2.gz SLMVDOAYXXHRGO-RBUKOAKNSA-N 0 3 324.424 4.060 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](c2ccncc2)C2CC2)s1 ZINC000903428175 1046277485 /nfs/dbraw/zinc/27/74/85/1046277485.db2.gz MWVCVLWTKGPLBU-WBMJQRKESA-N 0 3 301.459 4.211 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)c(Cl)c1CNCCCF ZINC000758534299 1046277753 /nfs/dbraw/zinc/27/77/53/1046277753.db2.gz CJHRNXXLTLDRBF-UHFFFAOYSA-N 0 3 323.843 4.272 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(Cc2ccncc2)CC1 ZINC001138721686 1046278066 /nfs/dbraw/zinc/27/80/66/1046278066.db2.gz FSFRPGOVTITIEI-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Cn1nc(-c2ccccc2)c(CN[C@H]2CC23CCCC3)c1Cl ZINC000758525647 1046278262 /nfs/dbraw/zinc/27/82/62/1046278262.db2.gz NNVGEIZSUNXUGK-HNNXBMFYSA-N 0 3 315.848 4.163 20 0 DIADHN Cc1nc2c(s1)CN([C@H](C)Cc1ccc(Cl)cc1)CC2 ZINC001168179300 1046281818 /nfs/dbraw/zinc/28/18/18/1046281818.db2.gz IWSMNFBZCLOVBO-LLVKDONJSA-N 0 3 306.862 4.094 20 0 DIADHN CCc1cccc2cc(CN3CC4CC(C4)C3)c(Cl)nc21 ZINC001138730677 1046282387 /nfs/dbraw/zinc/28/23/87/1046282387.db2.gz IVUFKFPPHHRNOK-UHFFFAOYSA-N 0 3 300.833 4.292 20 0 DIADHN CC1(C)CCc2cc(CN[C@H](c3cccnc3)C3CC3)ccc2O1 ZINC000758626861 1046282689 /nfs/dbraw/zinc/28/26/89/1046282689.db2.gz YEIIVLXDTKOQOZ-FQEVSTJZSA-N 0 3 322.452 4.426 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccnc(Br)c1 ZINC001138735568 1046284086 /nfs/dbraw/zinc/28/40/86/1046284086.db2.gz YMCPRNWZZZGRHP-OAHLLOKOSA-N 0 3 319.246 4.427 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C1CC2(C1)CCCCC2 ZINC000666272276 1046288978 /nfs/dbraw/zinc/28/89/78/1046288978.db2.gz TZKVQXCCPQVDTH-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN Cc1cc(CN(C)C[C@@H]2CCCO[C@H]2c2ccccc2)sn1 ZINC000637990528 1046289635 /nfs/dbraw/zinc/28/96/35/1046289635.db2.gz DOAXWWSDZMJGQW-WMZOPIPTSA-N 0 3 316.470 4.051 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1ccc(C(F)F)nc1 ZINC000637990470 1046290007 /nfs/dbraw/zinc/29/00/07/1046290007.db2.gz XOFQURIBYMDFKU-UHFFFAOYSA-N 0 3 310.775 4.347 20 0 DIADHN CCCN(Cc1cnn(Cc2ccccc2)c1)Cc1ccccc1 ZINC001138768321 1046290691 /nfs/dbraw/zinc/29/06/91/1046290691.db2.gz PULFBSAUEFHDAV-UHFFFAOYSA-N 0 3 319.452 4.344 20 0 DIADHN Cc1ccc(C2(O)CCN(Cc3ccc4occc4c3)CC2)cc1 ZINC001138822990 1046300727 /nfs/dbraw/zinc/30/07/27/1046300727.db2.gz WUAYWAUYBHLZFP-UHFFFAOYSA-N 0 3 321.420 4.225 20 0 DIADHN Cc1cccnc1O[C@@H]1CCCN(Cc2ccc3occc3c2)C1 ZINC001138825873 1046301005 /nfs/dbraw/zinc/30/10/05/1046301005.db2.gz GOJYCVKVOYFCCM-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2ccc(OC)cc2)c1 ZINC000089725337 1046303099 /nfs/dbraw/zinc/30/30/99/1046303099.db2.gz WEZJTYXTCKUOMH-CRAIPNDOSA-N 0 3 324.424 4.227 20 0 DIADHN C[C@@H](N[C@@H](c1nnc[nH]1)C1CCCCC1)c1cccc(Cl)c1 ZINC000638289917 1046307938 /nfs/dbraw/zinc/30/79/38/1046307938.db2.gz BZMXUQUPHZTGPK-MLGOLLRUSA-N 0 3 318.852 4.430 20 0 DIADHN CC[C@@H](N[C@H](c1nc[nH]n1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293845 1046308145 /nfs/dbraw/zinc/30/81/45/1046308145.db2.gz LZLQNWHQLLWWCH-MSOLQXFVSA-N 0 3 312.461 4.475 20 0 DIADHN CC[C@@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293845 1046308159 /nfs/dbraw/zinc/30/81/59/1046308159.db2.gz LZLQNWHQLLWWCH-MSOLQXFVSA-N 0 3 312.461 4.475 20 0 DIADHN Nc1c(F)cccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000638283904 1046309110 /nfs/dbraw/zinc/30/91/10/1046309110.db2.gz NMVQLUZKOKCFNV-KRWDZBQOSA-N 0 3 304.796 4.302 20 0 DIADHN C[C@@](CO)(NCc1cc(-c2ccccc2)cs1)c1ccccc1 ZINC000237653563 1046310959 /nfs/dbraw/zinc/31/09/59/1046310959.db2.gz HYJXJXPNNRFFAT-FQEVSTJZSA-N 0 3 323.461 4.412 20 0 DIADHN c1csc([C@@H](N[C@H](c2nc[nH]n2)C2CCCCC2)C2CC2)c1 ZINC000638318625 1046311796 /nfs/dbraw/zinc/31/17/96/1046311796.db2.gz MNRCBNZQWURICO-HOTGVXAUSA-N 0 3 316.474 4.229 20 0 DIADHN c1csc([C@@H]([NH2+][C@H](c2nnc[n-]2)C2CCCCC2)C2CC2)c1 ZINC000638318625 1046311813 /nfs/dbraw/zinc/31/18/13/1046311813.db2.gz MNRCBNZQWURICO-HOTGVXAUSA-N 0 3 316.474 4.229 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cnc3ccccc3n2)C1 ZINC001138866922 1046316490 /nfs/dbraw/zinc/31/64/90/1046316490.db2.gz XVICGOYAALNLLP-CQSZACIVSA-N 0 3 323.827 4.273 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CCC3(CCCC3)CC2)cc1 ZINC001138878672 1046316566 /nfs/dbraw/zinc/31/65/66/1046316566.db2.gz GOKBOIMIOSSMCK-UHFFFAOYSA-N 0 3 313.420 4.372 20 0 DIADHN CC(C)(C)[C@H](NCc1cccn(C(F)F)c1=O)c1ccccc1 ZINC000638358380 1046316927 /nfs/dbraw/zinc/31/69/27/1046316927.db2.gz WQGFMFKTJLDQGF-OAHLLOKOSA-N 0 3 320.383 4.120 20 0 DIADHN C[C@@H](NCc1cccnc1Oc1cccc(F)c1)[C@@H]1CC1(F)F ZINC000638361396 1046317766 /nfs/dbraw/zinc/31/77/66/1046317766.db2.gz PHFFVTXQHSUZOB-ABAIWWIYSA-N 0 3 322.330 4.146 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@H]3C2)cc1 ZINC001138882863 1046319834 /nfs/dbraw/zinc/31/98/34/1046319834.db2.gz ZRXROZADRHLPPO-ZBFHGGJFSA-N 0 3 313.420 4.228 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)NCc1cc(C2CC2)no1 ZINC000638429492 1046322583 /nfs/dbraw/zinc/32/25/83/1046322583.db2.gz GSFIILUOKKCFSY-NSHDSACASA-N 0 3 324.346 4.292 20 0 DIADHN Clc1ccc(OCCCCNCc2cc(C3CC3)no2)cc1 ZINC000638441278 1046322733 /nfs/dbraw/zinc/32/27/33/1046322733.db2.gz USAZJGGLKSGDDZ-UHFFFAOYSA-N 0 3 320.820 4.154 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cnc3[nH]ccc3c1Cl)CC2 ZINC001138934156 1046330847 /nfs/dbraw/zinc/33/08/47/1046330847.db2.gz UIKNISLAHTXOJY-ZDUSSCGKSA-N 0 3 321.827 4.272 20 0 DIADHN CC[C@H](NCC1CCSCC1)c1nc(C(F)(F)F)cs1 ZINC000638701602 1046335897 /nfs/dbraw/zinc/33/58/97/1046335897.db2.gz RJTXNOUDIYMRAI-JTQLQIEISA-N 0 3 324.437 4.346 20 0 DIADHN CCCN(Cc1cc(Br)cnc1Cl)CC(C)C ZINC001138946673 1046336075 /nfs/dbraw/zinc/33/60/75/1046336075.db2.gz UKFUEYNWMQFHIX-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H](O)c1ccccc1Cl ZINC000090077202 1046336863 /nfs/dbraw/zinc/33/68/63/1046336863.db2.gz IIZFKOYSXNGTIJ-DYVFJYSZSA-N 0 3 319.832 4.123 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC001138957837 1046337347 /nfs/dbraw/zinc/33/73/47/1046337347.db2.gz OUXMJUKLGJNZHL-RHSMWYFYSA-N 0 3 323.864 4.128 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2nnc[nH]2)C2CCCCC2)ccc1F ZINC000638742165 1046337668 /nfs/dbraw/zinc/33/76/68/1046337668.db2.gz XWOUIHKMYBKNHX-CXAGYDPISA-N 0 3 316.424 4.224 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3cccc(OC)c3o2)cc1 ZINC000321626630 1046337759 /nfs/dbraw/zinc/33/77/59/1046337759.db2.gz SQUZNDQSOAGMHA-ZDUSSCGKSA-N 0 3 311.381 4.301 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001138952980 1046338166 /nfs/dbraw/zinc/33/81/66/1046338166.db2.gz ICQKXPKTUSNJSH-BETUJISGSA-N 0 3 323.827 4.255 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CC[C@H]2OCCC[C@H]2C1 ZINC001138957838 1046338462 /nfs/dbraw/zinc/33/84/62/1046338462.db2.gz OUXMJUKLGJNZHL-WMLDXEAASA-N 0 3 323.864 4.128 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CCC2(CCCO2)CC1 ZINC001138959938 1046342234 /nfs/dbraw/zinc/34/22/34/1046342234.db2.gz MZCPQJJMAGTIFY-UHFFFAOYSA-N 0 3 323.864 4.272 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1ccc2cnccc2c1 ZINC000903948176 1046342401 /nfs/dbraw/zinc/34/24/01/1046342401.db2.gz MOLIMKKTOOZQNG-LJQANCHMSA-N 0 3 303.409 4.270 20 0 DIADHN CCC(=O)Oc1ccc(CN(CC)Cc2cccc(F)c2)cc1 ZINC001138965524 1046344326 /nfs/dbraw/zinc/34/43/26/1046344326.db2.gz UPJGOMBRMSVKOT-UHFFFAOYSA-N 0 3 315.388 4.163 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Br)[C@H]1CC1(F)F ZINC000666445710 1046347117 /nfs/dbraw/zinc/34/71/17/1046347117.db2.gz XHCKONUJIGZJFV-GMSGAONNSA-N 0 3 324.596 4.236 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Br)[C@@H]1CC1(F)F ZINC000666445713 1046348146 /nfs/dbraw/zinc/34/81/46/1046348146.db2.gz XHCKONUJIGZJFV-XVKPBYJWSA-N 0 3 324.596 4.236 20 0 DIADHN OC[C@@H](CC1CCCCC1)NCc1ccc(Cl)cc1Cl ZINC001202883741 1046351408 /nfs/dbraw/zinc/35/14/08/1046351408.db2.gz MENCCQHRRHEVDY-OAHLLOKOSA-N 0 3 316.272 4.414 20 0 DIADHN CCCOc1ccccc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001138998200 1046352506 /nfs/dbraw/zinc/35/25/06/1046352506.db2.gz HRCHSTHJHSQTIV-UHFFFAOYSA-N 0 3 315.457 4.201 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@@H]4CCO[C@H]4C3)s2)c1 ZINC001139017486 1046358086 /nfs/dbraw/zinc/35/80/86/1046358086.db2.gz PSSCFAFTRRXKRN-OCCSQVGLSA-N 0 3 305.468 4.087 20 0 DIADHN c1csc(-c2ccc(CN3CCCC4(COC4)C3)s2)c1 ZINC001139017215 1046358556 /nfs/dbraw/zinc/35/85/56/1046358556.db2.gz LPSQZHFHZXIUID-UHFFFAOYSA-N 0 3 305.468 4.089 20 0 DIADHN c1csc(-c2ccc(CN3CCC[C@@]4(CCO4)C3)s2)c1 ZINC001139023199 1046361925 /nfs/dbraw/zinc/36/19/25/1046361925.db2.gz QCPJOZYAZCXLLT-MRXNPFEDSA-N 0 3 305.468 4.232 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139022640 1046362278 /nfs/dbraw/zinc/36/22/78/1046362278.db2.gz JPGIJZZVAXBYMF-ZDUSSCGKSA-N 0 3 305.468 4.278 20 0 DIADHN CC1CN(Cc2ccc(-c3ccc(Br)cc3)o2)C1 ZINC001139031519 1046364193 /nfs/dbraw/zinc/36/41/93/1046364193.db2.gz QQIVULXCDSRWBU-UHFFFAOYSA-N 0 3 306.203 4.161 20 0 DIADHN C[C@H](NCc1ccncc1OCCC(C)(C)C)[C@@H]1CC1(F)F ZINC000639184220 1046368801 /nfs/dbraw/zinc/36/88/01/1046368801.db2.gz LOSGODKPRLAELY-JSGCOSHPSA-N 0 3 312.404 4.030 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC2(CCOCC2)CC1 ZINC000653665061 1046369881 /nfs/dbraw/zinc/36/98/81/1046369881.db2.gz OBMQWMGOSRLIND-UHFFFAOYSA-N 0 3 317.473 4.211 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)[C@H](C)c1cnc(C)nc1C ZINC000639186757 1046370000 /nfs/dbraw/zinc/37/00/00/1046370000.db2.gz FSKYGLNSTMUCJV-KUHUBIRLSA-N 0 3 313.445 4.246 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CCC[C@]2(CCO2)C1 ZINC001139070283 1046378812 /nfs/dbraw/zinc/37/88/12/1046378812.db2.gz JAOKPGWZRFVBBL-NRFANRHFSA-N 0 3 323.436 4.117 20 0 DIADHN CC[C@@H](NCc1cccn(C(F)F)c1=O)c1cc(C)ccc1C ZINC000639353602 1046381204 /nfs/dbraw/zinc/38/12/04/1046381204.db2.gz VCQTUWYTFLKOAM-MRXNPFEDSA-N 0 3 320.383 4.101 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001139071594 1046382827 /nfs/dbraw/zinc/38/28/27/1046382827.db2.gz CZRNHTKWAUXSKO-YOEHRIQHSA-N 0 3 324.896 4.131 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@H](O)C[C@H]3c3ccccc3)[nH]c2c1 ZINC000639368718 1046383932 /nfs/dbraw/zinc/38/39/32/1046383932.db2.gz YMEHOFQQNIJHHY-CTNGQTDRSA-N 0 3 320.436 4.174 20 0 DIADHN O[C@H]1CCN(Cc2cc3ccccc3o2)[C@@H](c2ccccc2)C1 ZINC000639367962 1046385017 /nfs/dbraw/zinc/38/50/17/1046385017.db2.gz VLOADEQGBIZDEF-PKOBYXMFSA-N 0 3 307.393 4.131 20 0 DIADHN O[C@@H]1CCN(Cc2cc3ccccc3o2)[C@H](c2ccccc2)C1 ZINC000639367961 1046385867 /nfs/dbraw/zinc/38/58/67/1046385867.db2.gz VLOADEQGBIZDEF-MJGOQNOKSA-N 0 3 307.393 4.131 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001139101593 1046387108 /nfs/dbraw/zinc/38/71/08/1046387108.db2.gz YOOKWSNZYZOABA-CYBMUJFWSA-N 0 3 324.255 4.323 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1c(C)n[nH]c1C ZINC001139100518 1046387393 /nfs/dbraw/zinc/38/73/93/1046387393.db2.gz LPHFWELMTUFZHW-MRXNPFEDSA-N 0 3 301.459 4.086 20 0 DIADHN O[C@H](C[C@@H]1CCCN1Cc1ccccc1Cl)c1ccco1 ZINC000246623554 1046389159 /nfs/dbraw/zinc/38/91/59/1046389159.db2.gz NVLKGKOGTQUSFC-GOEBONIOSA-N 0 3 305.805 4.021 20 0 DIADHN CCn1ccc2ccc(CN3CC(C)(C)OC(C)(C)C3)cc21 ZINC001139120299 1046389820 /nfs/dbraw/zinc/38/98/20/1046389820.db2.gz PKZMVYDODYQQJQ-UHFFFAOYSA-N 0 3 300.446 4.051 20 0 DIADHN Clc1ccc(-c2ccc(CNC[C@@H]3CCC=CO3)o2)cc1 ZINC000780570521 1046392893 /nfs/dbraw/zinc/39/28/93/1046392893.db2.gz OXODXDFHAOWFJM-HNNXBMFYSA-N 0 3 303.789 4.382 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@H]1CCC=CO1 ZINC000780568214 1046392941 /nfs/dbraw/zinc/39/29/41/1046392941.db2.gz MTKHEUOEMINWQO-LJQANCHMSA-N 0 3 309.409 4.145 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000780568150 1046393004 /nfs/dbraw/zinc/39/30/04/1046393004.db2.gz XBPGHDZTBMTZPO-CQSZACIVSA-N 0 3 301.361 4.176 20 0 DIADHN CCn1ccc2c1cccc2CN1CCc2cccc(OC)c2C1 ZINC001139151782 1046394717 /nfs/dbraw/zinc/39/47/17/1046394717.db2.gz KBXUMXFAIJZGPI-UHFFFAOYSA-N 0 3 320.436 4.228 20 0 DIADHN COc1cccc2cc(CNC(C)(C)CC(F)(F)F)oc21 ZINC000780588409 1046395557 /nfs/dbraw/zinc/39/55/57/1046395557.db2.gz WINGVCQFNMQAGQ-UHFFFAOYSA-N 0 3 301.308 4.262 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639439778 1046401734 /nfs/dbraw/zinc/40/17/34/1046401734.db2.gz IYLOCQVEYNJRSB-AWEZNQCLSA-N 0 3 309.413 4.366 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2ccc3cccnc3c2)cc1 ZINC001139169216 1046402818 /nfs/dbraw/zinc/40/28/18/1046402818.db2.gz KGMMCAIHJYGSMA-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccc2cccnc2c1 ZINC001139171667 1046403097 /nfs/dbraw/zinc/40/30/97/1046403097.db2.gz YVFMUWPVPVHHMN-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1F)c1cccc(Cl)c1 ZINC000037998373 1046403390 /nfs/dbraw/zinc/40/33/90/1046403390.db2.gz YOHKAZVIJQTTGI-BONVTDFDSA-N 0 3 311.759 4.002 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ccnc(Cl)c2)cc1 ZINC000748806672 1046404935 /nfs/dbraw/zinc/40/49/35/1046404935.db2.gz OUEQTYGBSRZEEH-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)Cc2cccc3c2CCOC3)s1 ZINC000639481824 1046405152 /nfs/dbraw/zinc/40/51/52/1046405152.db2.gz FUOGDRVQGFKPQA-CYBMUJFWSA-N 0 3 316.470 4.026 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCN(c4ccccc4C)CC3)c21 ZINC001139192202 1046406297 /nfs/dbraw/zinc/40/62/97/1046406297.db2.gz MHRRYCBHXWVWGM-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3c(ccn3C)c2)cn1 ZINC001139193073 1046407003 /nfs/dbraw/zinc/40/70/03/1046407003.db2.gz WBXCQVAQAIVMDO-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000639514210 1046407275 /nfs/dbraw/zinc/40/72/75/1046407275.db2.gz CNNDANNKQRGPHZ-IYBDPMFKSA-N 0 3 319.408 4.122 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cl)C1CCCCCCC1 ZINC000748805558 1046408352 /nfs/dbraw/zinc/40/83/52/1046408352.db2.gz KYLGZAQIJOVODE-UHFFFAOYSA-N 0 3 308.853 4.323 20 0 DIADHN COc1cccc2c1CCN(Cc1c[nH]c3cccc(C)c13)C2 ZINC001139198921 1046408877 /nfs/dbraw/zinc/40/88/77/1046408877.db2.gz KJBZCXZIWJRSSJ-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CC(C)Cc1ncc(CN[C@H](C)c2ccc(N(C)C)cc2)s1 ZINC000090668346 1046409630 /nfs/dbraw/zinc/40/96/30/1046409630.db2.gz PHBMYWSRSUVDPC-CQSZACIVSA-N 0 3 317.502 4.258 20 0 DIADHN CC[C@H]1COCCN1Cc1c2ccccc2[nH]c1-c1ccccc1 ZINC001139199241 1046411246 /nfs/dbraw/zinc/41/12/46/1046411246.db2.gz CRJUZYFLVFXDRR-KRWDZBQOSA-N 0 3 320.436 4.446 20 0 DIADHN Cn1ccc2ccc(CN3CCCC[C@H]3c3cccnc3)cc21 ZINC001139205665 1046412552 /nfs/dbraw/zinc/41/25/52/1046412552.db2.gz PFJJVOPFLZKRHU-IBGZPJMESA-N 0 3 305.425 4.301 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc(-n3cccn3)cc2)c(C)n1 ZINC000639567459 1046412655 /nfs/dbraw/zinc/41/26/55/1046412655.db2.gz PWTMNCFOTSUBJM-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN c1cc2c(cccc2CN[C@H](c2ccccc2)[C@@H]2CCCO2)[nH]1 ZINC000171132798 1046413799 /nfs/dbraw/zinc/41/37/99/1046413799.db2.gz LXFLDCHAIVOZPP-VQTJNVASSA-N 0 3 306.409 4.178 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C(C)C)o1 ZINC000171146955 1046414167 /nfs/dbraw/zinc/41/41/67/1046414167.db2.gz LGUYMLCXWXKUSQ-SJORKVTESA-N 0 3 313.445 4.351 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cnc(OC)c2ccccc12 ZINC000639583056 1046414342 /nfs/dbraw/zinc/41/43/42/1046414342.db2.gz JYCXIFYBUMGPEM-CQSZACIVSA-N 0 3 321.424 4.052 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CC[C@@H]3CCC[C@@H]32)o1 ZINC000639597071 1046416857 /nfs/dbraw/zinc/41/68/57/1046416857.db2.gz AECDKBYFIMENQN-YJBOKZPZSA-N 0 3 319.408 4.264 20 0 DIADHN C[C@H]1CCC[C@@H]1NCc1nnc(-c2cccc3ccccc32)o1 ZINC000639612057 1046417144 /nfs/dbraw/zinc/41/71/44/1046417144.db2.gz DWLLJCIOYIAVGB-GUYCJALGSA-N 0 3 307.397 4.168 20 0 DIADHN CN(Cc1nc(-c2ccsc2)no1)C1CCCCCCC1 ZINC000748982453 1046419042 /nfs/dbraw/zinc/41/90/42/1046419042.db2.gz KIDQXQFWDZNLTQ-UHFFFAOYSA-N 0 3 305.447 4.343 20 0 DIADHN CO[C@@H]1CCN(Cc2ccccc2Oc2cccc(Cl)c2)C1 ZINC001139259929 1046420185 /nfs/dbraw/zinc/42/01/85/1046420185.db2.gz KSVPGBBGSMQDIT-QGZVFWFLSA-N 0 3 317.816 4.353 20 0 DIADHN O[C@H]1CCCN(Cc2ccccc2Oc2cccc(Cl)c2)C1 ZINC001139260583 1046420492 /nfs/dbraw/zinc/42/04/92/1046420492.db2.gz VOMXUHOONHETQM-INIZCTEOSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@H](NCC1([C@H](O)c2ccccc2)CC1)c1ccc(F)cc1F ZINC000090771224 1046421562 /nfs/dbraw/zinc/42/15/62/1046421562.db2.gz AWSYFHBOVDDYMG-SCLBCKFNSA-N 0 3 317.379 4.129 20 0 DIADHN Clc1nc(-c2ccccc2)[nH]c1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001139278513 1046423836 /nfs/dbraw/zinc/42/38/36/1046423836.db2.gz CQIDCMHQTLDYCR-GASCZTMLSA-N 0 3 315.848 4.352 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cnccc1C)CC2 ZINC000639715091 1046424278 /nfs/dbraw/zinc/42/42/78/1046424278.db2.gz LOUCWNGMSHOVQW-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)c2ccccc2N=C3C)nc1 ZINC000639729600 1046425503 /nfs/dbraw/zinc/42/55/03/1046425503.db2.gz QINROPKGYQTDCW-UHFFFAOYSA-N 0 3 319.452 4.284 20 0 DIADHN CCc1nc(C)c(CN2CCC3(CC2)c2ccccc2N=C3C)o1 ZINC000639729994 1046425579 /nfs/dbraw/zinc/42/55/79/1046425579.db2.gz VTGOXQWPZICHPD-UHFFFAOYSA-N 0 3 323.440 4.185 20 0 DIADHN FC[C@H]1[C@@H]2CN(Cc3ccccc3Oc3ccc(F)cc3)C[C@H]12 ZINC001139303600 1046427609 /nfs/dbraw/zinc/42/76/09/1046427609.db2.gz XKRNHESCDHNVSL-NNZMDNLPSA-N 0 3 315.363 4.265 20 0 DIADHN CC[C@@H](C)C[C@H](CO)NCc1ccc(F)c(Cl)c1Cl ZINC001202921773 1046429498 /nfs/dbraw/zinc/42/94/98/1046429498.db2.gz JKMRXDYDMWMDSN-MWLCHTKSSA-N 0 3 308.224 4.019 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000090920851 1046430262 /nfs/dbraw/zinc/43/02/62/1046430262.db2.gz JRWDRRPICJZGHC-DOTOQJQBSA-N 0 3 322.811 4.039 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc3cc(O)ccc3c1)CC2 ZINC001139337197 1046431425 /nfs/dbraw/zinc/43/14/25/1046431425.db2.gz MIAWCACZRGBGNH-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1cccc(F)c1)c1ccccc1Cl ZINC000749133442 1046431860 /nfs/dbraw/zinc/43/18/60/1046431860.db2.gz ZLGKMBNBQJFQSQ-NVGCLXPQSA-N 0 3 307.796 4.252 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(N2CCCCC2)nc1 ZINC001139343839 1046433459 /nfs/dbraw/zinc/43/34/59/1046433459.db2.gz FOSXHLIHSKKEPW-UHFFFAOYSA-N 0 3 309.457 4.012 20 0 DIADHN Brc1cscc1CN1CC2CCC(CC2)C1 ZINC001139350206 1046434877 /nfs/dbraw/zinc/43/48/77/1046434877.db2.gz ZLUFEOAHOWPDDX-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN Fc1ccc([C@@H]2CCCN2C[C@H]2CCOC2)cc1C(F)(F)F ZINC000639935966 1046438568 /nfs/dbraw/zinc/43/85/68/1046438568.db2.gz OCGLLJHTOGBGPM-ABAIWWIYSA-N 0 3 317.326 4.018 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139367414 1046438969 /nfs/dbraw/zinc/43/89/69/1046438969.db2.gz MUSCSCNKHHKYPA-CYBMUJFWSA-N 0 3 323.893 4.411 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CNCc2c(C)cccc2C)c1 ZINC000091060551 1046439863 /nfs/dbraw/zinc/43/98/63/1046439863.db2.gz YROQIXFMDWAFGZ-GOSISDBHSA-N 0 3 308.425 4.274 20 0 DIADHN CN(Cc1ccc(-c2cnccn2)cc1)Cc1cccc(Cl)c1 ZINC001139388518 1046440485 /nfs/dbraw/zinc/44/04/85/1046440485.db2.gz AMUIYTKNAMDZDH-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN FC(F)[C@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC000781338166 1046440663 /nfs/dbraw/zinc/44/06/63/1046440663.db2.gz QGQLQNHAZNNXLX-JTQLQIEISA-N 0 3 311.319 4.386 20 0 DIADHN COc1ccc(Cl)c(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c1 ZINC001137265456 1046441560 /nfs/dbraw/zinc/44/15/60/1046441560.db2.gz GGWCSABOROZFEH-OKILXGFUSA-N 0 3 313.828 4.435 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCc1ccc(-n2ccnc2)cc1 ZINC001648986950 1046443450 /nfs/dbraw/zinc/44/34/50/1046443450.db2.gz XISPUBMFHQXYGF-UHFFFAOYSA-N 0 3 323.440 4.361 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1nc2c(s1)CCC2 ZINC000719599653 1046444621 /nfs/dbraw/zinc/44/46/21/1046444621.db2.gz RHDNUEMTLGEDNV-LLVKDONJSA-N 0 3 306.862 4.006 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1nc2c(s1)CCC2 ZINC000719599655 1046444830 /nfs/dbraw/zinc/44/48/30/1046444830.db2.gz RHDNUEMTLGEDNV-NSHDSACASA-N 0 3 306.862 4.006 20 0 DIADHN Cc1c(CN2CCC[C@@H](c3ccccc3)CC2)nc2ccccn12 ZINC001137273141 1046451564 /nfs/dbraw/zinc/45/15/64/1046451564.db2.gz DXIGZPUDGYWOFV-LJQANCHMSA-N 0 3 319.452 4.412 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)cc1)Cc1ccc(=O)[nH]c1 ZINC001139467828 1046452682 /nfs/dbraw/zinc/45/26/82/1046452682.db2.gz IIMOUCQZPWGTPX-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2ccc(F)cc2)cc1OC ZINC000124063932 1046452933 /nfs/dbraw/zinc/45/29/33/1046452933.db2.gz MPKWTOUELOKCES-KRWDZBQOSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](c1ccc(CN[C@H]2CCCn3nccc32)cc1)C(F)(F)F ZINC000640218895 1046453633 /nfs/dbraw/zinc/45/36/33/1046453633.db2.gz APCTXXGWJMODRF-DOMZBBRYSA-N 0 3 323.362 4.174 20 0 DIADHN COCc1ccc(CN[C@@H]2CCCOc3ccc(C)cc32)cc1 ZINC000124421511 1046456314 /nfs/dbraw/zinc/45/63/14/1046456314.db2.gz VGNISKPHHNAURK-LJQANCHMSA-N 0 3 311.425 4.145 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cccs2)cc1OC ZINC000124684349 1046458633 /nfs/dbraw/zinc/45/86/33/1046458633.db2.gz WJDOANDWLMAYKS-HNNXBMFYSA-N 0 3 303.427 4.102 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCC[C@H](C3CC3)C2)o1 ZINC000677047181 1046458736 /nfs/dbraw/zinc/45/87/36/1046458736.db2.gz OVNWNQKPTUDUHV-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC001137282337 1046459905 /nfs/dbraw/zinc/45/99/05/1046459905.db2.gz SEFJSSBJYANXQY-IBGZPJMESA-N 0 3 322.383 4.027 20 0 DIADHN CC(C)(C)OC(=O)n1cc(CN2CCCCC2)c2ccccc21 ZINC001139526360 1046463476 /nfs/dbraw/zinc/46/34/76/1046463476.db2.gz RYLDPXWAVWKKPS-UHFFFAOYSA-N 0 3 314.429 4.410 20 0 DIADHN CN(Cc1cn(C(=O)OC(C)(C)C)c2ccccc12)C1CC1 ZINC001139526078 1046463795 /nfs/dbraw/zinc/46/37/95/1046463795.db2.gz MKZPXRUTYQSXAO-UHFFFAOYSA-N 0 3 300.402 4.019 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1OCCOC)c1ccccc1 ZINC000125310252 1046466620 /nfs/dbraw/zinc/46/66/20/1046466620.db2.gz MXIVRRKEWMYKGS-IBGZPJMESA-N 0 3 313.441 4.261 20 0 DIADHN Cc1cc(CN(C)CCc2cccc(Cl)c2)cnc1Cl ZINC001139544848 1046468075 /nfs/dbraw/zinc/46/80/75/1046468075.db2.gz CKFHRRSDHCIRQE-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2CCC(F)(F)C2)cc1Cl ZINC000677150834 1046469648 /nfs/dbraw/zinc/46/96/48/1046469648.db2.gz ULRWUCZOMZKAFY-VIFPVBQESA-N 0 3 310.171 4.279 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CCC[C@@H](c2ccccc2)C1 ZINC001139555787 1046469916 /nfs/dbraw/zinc/46/99/16/1046469916.db2.gz ZXPUTSOTKYWUCY-LJQANCHMSA-N 0 3 317.436 4.456 20 0 DIADHN C[C@H]1COCCN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139571572 1046473997 /nfs/dbraw/zinc/47/39/97/1046473997.db2.gz CDBHUMNYRFUUGC-OAHLLOKOSA-N 0 3 315.844 4.475 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139577305 1046476472 /nfs/dbraw/zinc/47/64/72/1046476472.db2.gz VSJULODBDYCBRR-CQSZACIVSA-N 0 3 315.844 4.474 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccc(F)cc1)c1ccccc1Cl ZINC000247372889 1046478712 /nfs/dbraw/zinc/47/87/12/1046478712.db2.gz SFLRPEWSFZHOSF-NVGCLXPQSA-N 0 3 307.796 4.252 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC[C@@H](Cc3ccccc3)C2)c1 ZINC001139589409 1046478752 /nfs/dbraw/zinc/47/87/52/1046478752.db2.gz CTRSSBSVOCBSPV-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1c(C)cccc1Cl ZINC000826865256 1046481797 /nfs/dbraw/zinc/48/17/97/1046481797.db2.gz LLAXZFOSLFZRSI-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN COc1cc(CN(C)C/C=C/c2ccccc2)ccc1Cl ZINC001139614587 1046486561 /nfs/dbraw/zinc/48/65/61/1046486561.db2.gz KPTAPFXSNVGNEF-RMKNXTFCSA-N 0 3 301.817 4.494 20 0 DIADHN COc1cc(CN2CCCC3(CC(F)C3)CC2)ccc1Cl ZINC001139614352 1046486953 /nfs/dbraw/zinc/48/69/53/1046486953.db2.gz BCHSSEWSMVAFID-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN COc1cc(CN2CC[C@H](c3ccc(F)cc3)C2)ccc1Cl ZINC001139615082 1046487260 /nfs/dbraw/zinc/48/72/60/1046487260.db2.gz ZBXRWIOMGQLHQD-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN CCOc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1CC ZINC001139620093 1046488545 /nfs/dbraw/zinc/48/85/45/1046488545.db2.gz OEWLAGIIEJHNKK-IYBDPMFKSA-N 0 3 309.400 4.125 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(-c3cccnc3)cc2)cc1 ZINC001139641464 1046492399 /nfs/dbraw/zinc/49/23/99/1046492399.db2.gz CEERAIQFKCBCCW-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CCCc1ccc(CN[C@@H]2CCN(C(C)=O)c3ccccc32)cc1 ZINC000677426379 1046496447 /nfs/dbraw/zinc/49/64/47/1046496447.db2.gz POEJVBFRTWZKSF-HXUWFJFHSA-N 0 3 322.452 4.227 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CC[C@H](c2cccc(F)c2)C1 ZINC001139677263 1046497817 /nfs/dbraw/zinc/49/78/17/1046497817.db2.gz MKKMFTMDIWOULD-KRWDZBQOSA-N 0 3 323.415 4.080 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(C(F)(F)F)cc2)N(Cc2cncn2C)C1 ZINC000129076031 1046499056 /nfs/dbraw/zinc/49/90/56/1046499056.db2.gz VGHLUUNVCVHMMC-LRDDRELGSA-N 0 3 323.362 4.022 20 0 DIADHN Cc1ccc2ncc(CN(Cc3ccccc3)C(C)(C)C)n2c1 ZINC001137303792 1046504266 /nfs/dbraw/zinc/50/42/66/1046504266.db2.gz UVRBYSDNQCJXBM-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN CCC1(CN2CC[C@@H](c3cccc(Cl)c3Cl)C2)COC1 ZINC001202962652 1046504460 /nfs/dbraw/zinc/50/44/60/1046504460.db2.gz LZEZRIVIOXPTDC-GFCCVEGCSA-N 0 3 314.256 4.209 20 0 DIADHN Cc1ccc2ncc(CN(Cc3ccccc3)CC(C)C)n2c1 ZINC001137304808 1046506823 /nfs/dbraw/zinc/50/68/23/1046506823.db2.gz MBDKFGOLVAPZRI-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN CCn1cc(CNCc2cscc2C)c(-c2ccccc2)n1 ZINC000641749553 1046509159 /nfs/dbraw/zinc/50/91/59/1046509159.db2.gz DQSZEPUIWOEQFQ-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN c1ccc(C2CCN(Cc3cnc4cccnc4c3)CC2)cc1 ZINC001139737852 1046509460 /nfs/dbraw/zinc/50/94/60/1046509460.db2.gz BHTZZNUDXYMIPR-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN c1csc(C2CCN(Cc3cnc4cccnc4c3)CC2)c1 ZINC001139742376 1046509700 /nfs/dbraw/zinc/50/97/00/1046509700.db2.gz YOJAXVJJJXYHKE-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN Nc1cnccc1CN[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000641741441 1046510708 /nfs/dbraw/zinc/51/07/08/1046510708.db2.gz JRCPKLPEUSIXEB-GOSISDBHSA-N 0 3 315.848 4.338 20 0 DIADHN COc1ncc(Cl)cc1CN(C)C(C)(C)Cc1ccccc1 ZINC001139750379 1046511348 /nfs/dbraw/zinc/51/13/48/1046511348.db2.gz CKAICLMESGUTIO-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](c2ccccc2)C2CCC2)c1 ZINC000641773498 1046512186 /nfs/dbraw/zinc/51/21/86/1046512186.db2.gz IVQJYNCZEYYLON-HXUWFJFHSA-N 0 3 322.452 4.067 20 0 DIADHN c1ccc2c(c1)oc1ccc(CN3CC4(C3)CCOCC4)cc12 ZINC001139754037 1046513970 /nfs/dbraw/zinc/51/39/70/1046513970.db2.gz NIUNSVMDBAUJEW-UHFFFAOYSA-N 0 3 307.393 4.198 20 0 DIADHN FC(F)[C@H]1CCN(Cc2ccc(OCc3ccccc3)cc2)C1 ZINC000677736406 1046521546 /nfs/dbraw/zinc/52/15/46/1046521546.db2.gz PYAQAQZPIONDNV-KRWDZBQOSA-N 0 3 317.379 4.353 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1ncc(Br)s1 ZINC000782357542 1046523595 /nfs/dbraw/zinc/52/35/95/1046523595.db2.gz HNIVRGZZQJZQGO-MNOVXSKESA-N 0 3 317.296 4.354 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000677761421 1046524222 /nfs/dbraw/zinc/52/42/22/1046524222.db2.gz YSHNSONZRMMZRJ-MRXNPFEDSA-N 0 3 316.489 4.186 20 0 DIADHN COc1ncc(CN(Cc2ccccc2)C2CCCCC2)s1 ZINC001139813042 1046524540 /nfs/dbraw/zinc/52/45/40/1046524540.db2.gz ITYQJNSXBYDCNY-UHFFFAOYSA-N 0 3 316.470 4.487 20 0 DIADHN COCc1ccc(CN(C)[C@@H](C)c2ccc(OC)cc2)s1 ZINC001139827831 1046525790 /nfs/dbraw/zinc/52/57/90/1046525790.db2.gz ADEUXXQQCPLGTI-ZDUSSCGKSA-N 0 3 305.443 4.096 20 0 DIADHN CC[C@](C)(NCc1cncn1Cc1ccccc1)c1ccccc1 ZINC000782529446 1046533630 /nfs/dbraw/zinc/53/36/30/1046533630.db2.gz OOOGQRIVXMJKQM-NRFANRHFSA-N 0 3 319.452 4.346 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(N2CCCC2)nc1 ZINC000782539809 1046534420 /nfs/dbraw/zinc/53/44/20/1046534420.db2.gz OJJHZRBQIMZUKL-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN COc1c(C)cc(CNCc2cccc(CSC)c2)cc1C ZINC000782535700 1046534558 /nfs/dbraw/zinc/53/45/58/1046534558.db2.gz UGXOLWRMLZYRCM-UHFFFAOYSA-N 0 3 315.482 4.465 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc3ccccc3n2C)cc1 ZINC001139871438 1046537872 /nfs/dbraw/zinc/53/78/72/1046537872.db2.gz FHZAIYDVILBJPE-HNNXBMFYSA-N 0 3 308.425 4.380 20 0 DIADHN CC(C)(C)OC(=O)O[C@@H]1CCN(Cc2ccccc2C2CC2)C1 ZINC001139878845 1046539784 /nfs/dbraw/zinc/53/97/84/1046539784.db2.gz AESHLGCFXFHPJI-MRXNPFEDSA-N 0 3 317.429 4.090 20 0 DIADHN Cc1cccc(F)c1CN[C@@H](c1ccccc1)C1CCOCC1 ZINC000782762858 1046542954 /nfs/dbraw/zinc/54/29/54/1046542954.db2.gz DMVQWDDPRFMJIU-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001139884726 1046543250 /nfs/dbraw/zinc/54/32/50/1046543250.db2.gz CYHRATIBZIOTDY-BETUJISGSA-N 0 3 319.317 4.491 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(C(C)C)cc2)cc1 ZINC000782951685 1046547028 /nfs/dbraw/zinc/54/70/28/1046547028.db2.gz BXVZQNAYQXGQLV-UHFFFAOYSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cn3cc(C)cnc3=S)C2)cc1 ZINC000782951067 1046547792 /nfs/dbraw/zinc/54/77/92/1046547792.db2.gz BLPGIODYNNSRBB-DOTOQJQBSA-N 0 3 313.470 4.065 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cncc3ccccc32)cn1 ZINC001139897215 1046550295 /nfs/dbraw/zinc/55/02/95/1046550295.db2.gz YJGGWQJRDUCGPI-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN COc1cccc(-c2n[nH]cc2CN(C)Cc2ccc(C)cc2)c1 ZINC000678122421 1046558174 /nfs/dbraw/zinc/55/81/74/1046558174.db2.gz NVJACJUMRJFTIX-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN Cn1ncc2cc(CN3CCC[C@H]3c3ccc(F)cc3)ccc21 ZINC001139948438 1046558158 /nfs/dbraw/zinc/55/81/58/1046558158.db2.gz DBHVWIMCJCHISA-IBGZPJMESA-N 0 3 309.388 4.050 20 0 DIADHN CN(CCN1CCCC[C@@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC001202988102 1046559246 /nfs/dbraw/zinc/55/92/46/1046559246.db2.gz FLOYIVXSCBKZDH-QGZVFWFLSA-N 0 3 318.461 4.081 20 0 DIADHN Brc1cccc2[nH]cc(CN3CCC34CCCC4)c21 ZINC001139962841 1046559514 /nfs/dbraw/zinc/55/95/14/1046559514.db2.gz GOIDKECLJSBYCX-UHFFFAOYSA-N 0 3 319.246 4.449 20 0 DIADHN C[C@@H]1CCCN(Cc2c[nH]c3cccc(Br)c23)C1 ZINC001139965577 1046561121 /nfs/dbraw/zinc/56/11/21/1046561121.db2.gz SWDYRHGTZLGOKC-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCOC3(CCCC3)C2)cs1 ZINC000136714690 1046561645 /nfs/dbraw/zinc/56/16/45/1046561645.db2.gz HLQVYAWYMKJIFF-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN Clc1ncc(CN(Cc2ccccc2)C2CCCCC2)cn1 ZINC001139988421 1046561642 /nfs/dbraw/zinc/56/16/42/1046561642.db2.gz WYKQXCBZLGGZRP-UHFFFAOYSA-N 0 3 315.848 4.465 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2n[nH]c3ccccc32)cc1 ZINC000678127230 1046561762 /nfs/dbraw/zinc/56/17/62/1046561762.db2.gz LWJACVOBXBZWNL-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2[nH]nc3ccccc32)cc1 ZINC000678127230 1046561779 /nfs/dbraw/zinc/56/17/79/1046561779.db2.gz LWJACVOBXBZWNL-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2nn(C)c3ccccc23)c1 ZINC000678140912 1046569667 /nfs/dbraw/zinc/56/96/67/1046569667.db2.gz WAGVTHBQPFIQDY-IBGZPJMESA-N 0 3 305.425 4.219 20 0 DIADHN c1ccc([C@@H]2CCN2Cc2ccccc2-c2cncnc2)cc1 ZINC001140022698 1046569971 /nfs/dbraw/zinc/56/99/71/1046569971.db2.gz QTNCKRSRPKQRJN-FQEVSTJZSA-N 0 3 301.393 4.091 20 0 DIADHN COc1ccc([C@H](N[C@H]2CC[C@@H]2C)c2ccccc2OC)cc1 ZINC000783264329 1046571158 /nfs/dbraw/zinc/57/11/58/1046571158.db2.gz MXKHOWFTWNZQQU-DCPHZVHLSA-N 0 3 311.425 4.181 20 0 DIADHN COCCN(Cc1cnc(C2CCCC2)s1)C1CCCC1 ZINC000678155430 1046574809 /nfs/dbraw/zinc/57/48/09/1046574809.db2.gz SCSUJQLEMTUENI-UHFFFAOYSA-N 0 3 308.491 4.192 20 0 DIADHN COCCN(C)Cc1ccc(-c2ccccc2C(F)(F)F)cc1 ZINC001140044637 1046576314 /nfs/dbraw/zinc/57/63/14/1046576314.db2.gz MFINKYGIFDHUHW-UHFFFAOYSA-N 0 3 323.358 4.451 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1n[nH]c2ccc(OC)cc21 ZINC001140069372 1046580135 /nfs/dbraw/zinc/58/01/35/1046580135.db2.gz TZCFPNWGPLWNAT-HXUWFJFHSA-N 0 3 321.424 4.081 20 0 DIADHN COc1c(Cl)cccc1CN(C)CCCc1ccccc1 ZINC001140067068 1046582149 /nfs/dbraw/zinc/58/21/49/1046582149.db2.gz DHLMLEWEOKQOSC-UHFFFAOYSA-N 0 3 303.833 4.413 20 0 DIADHN COc1ccc2oc(CN3CCC(c4ccccn4)CC3)cc2c1 ZINC000678212360 1046586293 /nfs/dbraw/zinc/58/62/93/1046586293.db2.gz BLQKEDUPXBXVMJ-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@@H](CC(C)C)c1ccccc1 ZINC000642253406 1046586793 /nfs/dbraw/zinc/58/67/93/1046586793.db2.gz JPELICNGENCIQP-AEFFLSMTSA-N 0 3 304.478 4.010 20 0 DIADHN c1ccc(C2CCN(Cc3cnc4ccccc4c3)CC2)nc1 ZINC000678212581 1046587668 /nfs/dbraw/zinc/58/76/68/1046587668.db2.gz JOVXGIUWJLLHIG-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3cnccc32)cc1F ZINC000783390076 1046588926 /nfs/dbraw/zinc/58/89/26/1046588926.db2.gz KTOUOVDCFSXINR-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CC[C@H](NCc1cccc(Cl)c1)c1ccc2c(c1)OCCO2 ZINC000236946639 1046592854 /nfs/dbraw/zinc/59/28/54/1046592854.db2.gz HFTPQDOOKLNORQ-INIZCTEOSA-N 0 3 317.816 4.352 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC[C@H](n4ccnc4)C3)o2)cc1 ZINC000678235167 1046595759 /nfs/dbraw/zinc/59/57/59/1046595759.db2.gz PGXXXOFJMCTDAI-SFHVURJKSA-N 0 3 321.424 4.289 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)NC2CCC(C)CC2)c(C)s1 ZINC000195585594 1046596467 /nfs/dbraw/zinc/59/64/67/1046596467.db2.gz JNEXAZFNWHDKPT-JNHKXMDRSA-N 0 3 322.518 4.099 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)CN1C[C@H]1CCOc2ccccc21 ZINC000678236340 1046597609 /nfs/dbraw/zinc/59/76/09/1046597609.db2.gz YVFWCXHRUWOKFZ-HGHGUNKESA-N 0 3 323.436 4.015 20 0 DIADHN c1ccc([C@@H]2CN(Cc3cnc4ccccc4c3)CCCO2)cc1 ZINC000678238734 1046597754 /nfs/dbraw/zinc/59/77/54/1046597754.db2.gz MWOJCISKUWWBBK-NRFANRHFSA-N 0 3 318.420 4.198 20 0 DIADHN CSCc1cnc(CN[C@@H](C)[C@@H]2CC3CCC2CC3)s1 ZINC001203005888 1046598592 /nfs/dbraw/zinc/59/85/92/1046598592.db2.gz JNOIIIYHTNNAFU-CLTVBZQCSA-N 0 3 310.532 4.311 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1Cc1ccc2[nH]cnc2c1 ZINC000678241090 1046600379 /nfs/dbraw/zinc/60/03/79/1046600379.db2.gz PUKYFQCHWVMREN-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2cccc3cnccc32)cc1 ZINC001140144789 1046600681 /nfs/dbraw/zinc/60/06/81/1046600681.db2.gz PLPSSPOJYGGMMR-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN Fc1cc(CN2CC[C@H](c3ccco3)C2)ccc1OC(F)F ZINC000678262291 1046601925 /nfs/dbraw/zinc/60/19/25/1046601925.db2.gz LLIMUOBBIPCUQY-LBPRGKRZSA-N 0 3 311.303 4.010 20 0 DIADHN CCCc1ccc(CNCc2ccc(OCC)c(OC)c2)cc1 ZINC000642342518 1046601908 /nfs/dbraw/zinc/60/19/08/1046601908.db2.gz XSWCLVOMNZFCAP-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN c1coc([C@@H]2CCN(Cc3nc(-c4ccccc4)cs3)C2)c1 ZINC000678262724 1046604671 /nfs/dbraw/zinc/60/46/71/1046604671.db2.gz UZLKWBKOAYRITC-OAHLLOKOSA-N 0 3 310.422 4.393 20 0 DIADHN c1coc([C@H]2CCN(Cc3cnc(C4CCCC4)s3)C2)c1 ZINC000678262735 1046604945 /nfs/dbraw/zinc/60/49/45/1046604945.db2.gz VKCCWXYODJAUDJ-AWEZNQCLSA-N 0 3 302.443 4.383 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)OCCCO3)c(C)c1 ZINC000322127950 1046605045 /nfs/dbraw/zinc/60/50/45/1046605045.db2.gz SUPBAGHWHKFPJB-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN2CCCOC[C@@H]2C)o1 ZINC000678274522 1046606060 /nfs/dbraw/zinc/60/60/60/1046606060.db2.gz PHNPPDBEBUZSKG-AWEZNQCLSA-N 0 3 303.377 4.005 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1ncccc1C(F)(F)F ZINC000678270047 1046606364 /nfs/dbraw/zinc/60/63/64/1046606364.db2.gz RVWHODLHTFLHPM-UKRRQHHQSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1ncccc1C(F)(F)F ZINC000678270048 1046607082 /nfs/dbraw/zinc/60/70/82/1046607082.db2.gz RVWHODLHTFLHPM-ZFWWWQNUSA-N 0 3 320.358 4.478 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN2CCCOC[C@H]2C)o1 ZINC000678274523 1046607399 /nfs/dbraw/zinc/60/73/99/1046607399.db2.gz PHNPPDBEBUZSKG-CQSZACIVSA-N 0 3 303.377 4.005 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2ncccc2C(F)(F)F)cc1 ZINC000678275186 1046607455 /nfs/dbraw/zinc/60/74/55/1046607455.db2.gz NQDPHDPQIKMVTE-AWEZNQCLSA-N 0 3 322.374 4.472 20 0 DIADHN C[C@H]1COCCCN1Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC000678275032 1046607569 /nfs/dbraw/zinc/60/75/69/1046607569.db2.gz SPQPXIPZWTXQRN-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN C[C@@H]1COCCCN1Cc1ccc(-c2ccccc2F)s1 ZINC000678274955 1046607627 /nfs/dbraw/zinc/60/76/27/1046607627.db2.gz SERHGTJAXBMVJZ-CYBMUJFWSA-N 0 3 305.418 4.165 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCOc3ccccc32)[C@H](c2ccccc2)CO1 ZINC000678279120 1046609594 /nfs/dbraw/zinc/60/95/94/1046609594.db2.gz HZWZDKUTNRLSKY-KPFFTGBYSA-N 0 3 323.436 4.015 20 0 DIADHN CC[C@H](C)Oc1cc(CN(C)CCOC(C)(C)C)ccc1OC ZINC000678284205 1046611193 /nfs/dbraw/zinc/61/11/93/1046611193.db2.gz SJEDJBWCLAMLAZ-HNNXBMFYSA-N 0 3 323.477 4.119 20 0 DIADHN O[C@H](CN1CC2(CCC2)[C@H]1c1ccccc1)c1ccc(F)cc1 ZINC000834378081 1046612535 /nfs/dbraw/zinc/61/25/35/1046612535.db2.gz LJUVYFGPVAKPKZ-RTBURBONSA-N 0 3 311.400 4.086 20 0 DIADHN CCCC[C@H](CC)CNC(=O)Nc1cccc(CN(C)C)c1 ZINC000642506631 1046615601 /nfs/dbraw/zinc/61/56/01/1046615601.db2.gz HKVYQGGXKYQNOD-HNNXBMFYSA-N 0 3 305.466 4.086 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@H](Oc3ccc(Cl)cc3)C2)[nH]1 ZINC001137330880 1046615849 /nfs/dbraw/zinc/61/58/49/1046615849.db2.gz FSGMIFUTLNYRQG-QGZVFWFLSA-N 0 3 318.848 4.328 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)C[C@H]1COc2ccccc2O1 ZINC000642524709 1046616654 /nfs/dbraw/zinc/61/66/54/1046616654.db2.gz PIJZJTFDDGMQOW-CJNGLKHVSA-N 0 3 317.816 4.173 20 0 DIADHN C1=CCN(Cc2c[nH]c3cc(OCc4ccccc4)ccc23)C1 ZINC001140227288 1046618765 /nfs/dbraw/zinc/61/87/65/1046618765.db2.gz QKLNOIGAMYOPHH-UHFFFAOYSA-N 0 3 304.393 4.119 20 0 DIADHN CO[C@H](CN[C@H](CC(F)(F)F)c1ccccc1)CC(C)C ZINC000419222668 1046619052 /nfs/dbraw/zinc/61/90/52/1046619052.db2.gz BTWBYGOZBKBLNK-LSDHHAIUSA-N 0 3 303.368 4.331 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1c[nH]c2cccc(O)c12 ZINC001140233660 1046620769 /nfs/dbraw/zinc/62/07/69/1046620769.db2.gz SNAOLLMYCDIEEE-QGZVFWFLSA-N 0 3 322.408 4.219 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cc(Cl)cc2cccnc21 ZINC000678362523 1046625360 /nfs/dbraw/zinc/62/53/60/1046625360.db2.gz GALMYHKAMSXBHU-ZDUSSCGKSA-N 0 3 311.816 4.442 20 0 DIADHN C[C@@H](Cc1ccc(-c2ccccc2)cc1)N[C@H]1CCn2ccnc21 ZINC000834541156 1046628450 /nfs/dbraw/zinc/62/84/50/1046628450.db2.gz PZLODZAYYBCGCU-JXFKEZNVSA-N 0 3 317.436 4.216 20 0 DIADHN C[C@H](Cc1ccc(-c2ccccc2)cc1)N[C@H]1CCn2ccnc21 ZINC000834541159 1046628494 /nfs/dbraw/zinc/62/84/94/1046628494.db2.gz PZLODZAYYBCGCU-UZLBHIALSA-N 0 3 317.436 4.216 20 0 DIADHN Cc1ccc2c(c1)c(CN(C)C1CC1)cn2C(=O)OC(C)(C)C ZINC001140256174 1046629265 /nfs/dbraw/zinc/62/92/65/1046629265.db2.gz LDKRBNLRXQNXPY-UHFFFAOYSA-N 0 3 314.429 4.327 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OC(F)(F)F)cc2)c(C)n1 ZINC000678411754 1046630387 /nfs/dbraw/zinc/63/03/87/1046630387.db2.gz NHTUGTZVCSMUAI-LBPRGKRZSA-N 0 3 324.346 4.448 20 0 DIADHN Cc1cc(C)cc([C@H](NCCc2cscn2)c2cccnc2)c1 ZINC000925286962 1046631856 /nfs/dbraw/zinc/63/18/56/1046631856.db2.gz UUTQYFCZABSGEZ-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(Br)cc2)c(C)n1 ZINC000678414366 1046631983 /nfs/dbraw/zinc/63/19/83/1046631983.db2.gz CQIZKZZLXCXYOB-LBPRGKRZSA-N 0 3 319.246 4.312 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](C)c2ncc(-c3ccccc3)n2C)C1 ZINC000834602758 1046637285 /nfs/dbraw/zinc/63/72/85/1046637285.db2.gz UVMNHBMHNFLBQE-FVQBIDKESA-N 0 3 315.486 4.022 20 0 DIADHN COc1cc(CN[C@@H](c2cccs2)C2CC2)cc(OC)c1 ZINC000642973517 1046637557 /nfs/dbraw/zinc/63/75/57/1046637557.db2.gz CBVIHRWRXAYTPU-QGZVFWFLSA-N 0 3 303.427 4.006 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(Br)cs1 ZINC000834619776 1046639902 /nfs/dbraw/zinc/63/99/02/1046639902.db2.gz LYLCJILQACKHHQ-IVZWLZJFSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](NCC1(C)CCCC1)c1nc(Br)cs1 ZINC000834640325 1046642818 /nfs/dbraw/zinc/64/28/18/1046642818.db2.gz JSZQGXJWQPRXLV-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN COCCN(Cc1cnc(-c2ccc(Cl)cc2)s1)C(C)C ZINC001140296464 1046643357 /nfs/dbraw/zinc/64/33/57/1046643357.db2.gz NEPLRFAGDMDTCY-UHFFFAOYSA-N 0 3 324.877 4.320 20 0 DIADHN FC(F)(F)CCNC1(c2cccc(Br)c2)CCC1 ZINC000533047542 1046643620 /nfs/dbraw/zinc/64/36/20/1046643620.db2.gz VDNJLBOKONPUAK-UHFFFAOYSA-N 0 3 322.168 4.370 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)o1 ZINC000678463732 1046644072 /nfs/dbraw/zinc/64/40/72/1046644072.db2.gz WMNPITLBDXKWCZ-GOEBONIOSA-N 0 3 309.413 4.405 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CCC1)C(=O)Nc1ccccc1 ZINC000533046403 1046644323 /nfs/dbraw/zinc/64/43/23/1046644323.db2.gz SATCCHLLGXQZLN-HNAYVOBHSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccc3c2OCCO3)c2sccc21 ZINC000834650464 1046645213 /nfs/dbraw/zinc/64/52/13/1046645213.db2.gz LYFHLYYXFOSAEH-WFASDCNBSA-N 0 3 315.438 4.248 20 0 DIADHN CCN(Cc1ccccn1)Cc1ccccc1-c1cccnc1 ZINC001140320164 1046653531 /nfs/dbraw/zinc/65/35/31/1046653531.db2.gz DJURCBIAOMLUCS-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN c1ccc(-c2cccnc2)c(CN2CCOC3(CCCCC3)C2)c1 ZINC001140320730 1046654221 /nfs/dbraw/zinc/65/42/21/1046654221.db2.gz PGIXTZCWBQRYHM-UHFFFAOYSA-N 0 3 322.452 4.284 20 0 DIADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1nc(Br)cs1 ZINC000834729459 1046657133 /nfs/dbraw/zinc/65/71/33/1046657133.db2.gz GJWCEJKWSLBWNR-AGVGLQIMSA-N 0 3 315.280 4.135 20 0 DIADHN Cc1ccc2[nH]nc(CN(C)Cc3cccc4cccnc43)c2c1 ZINC001140330625 1046658586 /nfs/dbraw/zinc/65/85/86/1046658586.db2.gz HNUAUJAAHOZDFW-UHFFFAOYSA-N 0 3 316.408 4.052 20 0 DIADHN Cc1ccc2n[nH]c(CN(C)Cc3cccc4cccnc43)c2c1 ZINC001140330625 1046658591 /nfs/dbraw/zinc/65/85/91/1046658591.db2.gz HNUAUJAAHOZDFW-UHFFFAOYSA-N 0 3 316.408 4.052 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140329877 1046659097 /nfs/dbraw/zinc/65/90/97/1046659097.db2.gz CUPJGAZSUBSTIV-AWEZNQCLSA-N 0 3 309.413 4.073 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2[nH]nc3ccc(C)cc32)cc1 ZINC001140329877 1046659104 /nfs/dbraw/zinc/65/91/04/1046659104.db2.gz CUPJGAZSUBSTIV-AWEZNQCLSA-N 0 3 309.413 4.073 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2n[nH]c3ccc(C)cc32)c1 ZINC001140329990 1046659383 /nfs/dbraw/zinc/65/93/83/1046659383.db2.gz HXFWUIJFMCVTFW-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2[nH]nc3ccc(C)cc32)c1 ZINC001140329990 1046659385 /nfs/dbraw/zinc/65/93/85/1046659385.db2.gz HXFWUIJFMCVTFW-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc2cnn(C)c2c1 ZINC000643317673 1046659591 /nfs/dbraw/zinc/65/95/91/1046659591.db2.gz PMAQLWJPLHBAEA-AWEZNQCLSA-N 0 3 319.408 4.479 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC4(CC[C@H](F)C4)CC3)c2c1 ZINC001137341387 1046662862 /nfs/dbraw/zinc/66/28/62/1046662862.db2.gz LBQNFAQZTSTCQU-HNNXBMFYSA-N 0 3 304.384 4.411 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1cc2ccccc2o1 ZINC000678586276 1046663900 /nfs/dbraw/zinc/66/39/00/1046663900.db2.gz XSAQONWJJGQOGO-JLTOFOAXSA-N 0 3 307.393 4.397 20 0 DIADHN C[C@H](c1ccccc1)[C@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000678591017 1046666342 /nfs/dbraw/zinc/66/63/42/1046666342.db2.gz LZANRLFAKDOQBH-VBHSOAQHSA-N 0 3 321.823 4.294 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2NCc1cccc2c1CCCN2 ZINC000643532194 1046671894 /nfs/dbraw/zinc/67/18/94/1046671894.db2.gz DUVULCZUZBLNJY-LJQANCHMSA-N 0 3 314.379 4.100 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2Cc2ccccc2)nc2ccccc12 ZINC000533221662 1046672270 /nfs/dbraw/zinc/67/22/70/1046672270.db2.gz CVAJFVZNTXKNDR-GOSISDBHSA-N 0 3 317.436 4.145 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H](C)c2ccc(C)nc2C)n1 ZINC000678598100 1046672577 /nfs/dbraw/zinc/67/25/77/1046672577.db2.gz ALAYGHXWNAAXMB-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCOC3(CCCCC3)C2)c(C)s1 ZINC000678601260 1046673839 /nfs/dbraw/zinc/67/38/39/1046673839.db2.gz ZNWPAGCLKLUBHC-WFASDCNBSA-N 0 3 308.491 4.292 20 0 DIADHN CCC(CC)N(CC)Cc1sc(N2CCCC2)nc1Cl ZINC001140366226 1046674306 /nfs/dbraw/zinc/67/43/06/1046674306.db2.gz VLKMWDSDKACFNS-UHFFFAOYSA-N 0 3 315.914 4.407 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000678606038 1046675821 /nfs/dbraw/zinc/67/58/21/1046675821.db2.gz DQNVPBGFCRWTDB-HRAATJIYSA-N 0 3 324.468 4.311 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000678606047 1046675997 /nfs/dbraw/zinc/67/59/97/1046675997.db2.gz DQNVPBGFCRWTDB-KKSFZXQISA-N 0 3 324.468 4.311 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H]2CCc3cc(C)ccc32)c2ccccc21 ZINC000678608571 1046676628 /nfs/dbraw/zinc/67/66/28/1046676628.db2.gz QWLDGXSMYCYQAV-WOJBJXKFSA-N 0 3 320.436 4.070 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H]2CCc3cc(C)ccc32)c2ccccc21 ZINC000678608569 1046676835 /nfs/dbraw/zinc/67/68/35/1046676835.db2.gz QWLDGXSMYCYQAV-VQTJNVASSA-N 0 3 320.436 4.070 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)c(C)s1 ZINC000678606908 1046677342 /nfs/dbraw/zinc/67/73/42/1046677342.db2.gz XYVPHDFGSYDLAY-FZKQIMNGSA-N 0 3 300.471 4.202 20 0 DIADHN C[C@H](c1ccco1)N(C)CCC(=O)Nc1cccc2ccccc21 ZINC000533247766 1046678976 /nfs/dbraw/zinc/67/89/76/1046678976.db2.gz DNSPPQFDFLKRMD-OAHLLOKOSA-N 0 3 322.408 4.454 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1c2ccccc2CC[C@@H]1C ZINC000678609178 1046679137 /nfs/dbraw/zinc/67/91/37/1046679137.db2.gz HEYYPJPLIDWICW-DCPHZVHLSA-N 0 3 323.436 4.432 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N(C)CC(C)(C)C ZINC000533278921 1046682397 /nfs/dbraw/zinc/68/23/97/1046682397.db2.gz DBSNJEJXINGZLG-CQSZACIVSA-N 0 3 304.478 4.116 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N(C)CC(C)(C)C ZINC000533278920 1046682510 /nfs/dbraw/zinc/68/25/10/1046682510.db2.gz DBSNJEJXINGZLG-AWEZNQCLSA-N 0 3 304.478 4.116 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1ccc2n[nH]cc2c1 ZINC001140386134 1046682941 /nfs/dbraw/zinc/68/29/41/1046682941.db2.gz BJOZKYGZRNKUDL-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN CC(C)(C)Nc1nc(Cl)c(CN2C[C@@H]3CCC[C@@H]3C2)s1 ZINC001140390481 1046685861 /nfs/dbraw/zinc/68/58/61/1046685861.db2.gz QMIKZNFMGIXFIH-PHIMTYICSA-N 0 3 313.898 4.239 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC000533288431 1046687671 /nfs/dbraw/zinc/68/76/71/1046687671.db2.gz IJYFKRVELOYWEF-QGZVFWFLSA-N 0 3 302.462 4.205 20 0 DIADHN CC1(C)CC[C@H](NCc2nc3cc(Br)ccc3o2)C1 ZINC000643884941 1046689042 /nfs/dbraw/zinc/68/90/42/1046689042.db2.gz AFDDQOIYNLGBEJ-NSHDSACASA-N 0 3 323.234 4.259 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2CN(C)Cc3ccccc32)C2CC2)cc1 ZINC000678695505 1046689518 /nfs/dbraw/zinc/68/95/18/1046689518.db2.gz JRTCVKVCKAUPIS-LEWJYISDSA-N 0 3 306.453 4.222 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CCCC3(CCC3)C2)cn1 ZINC001140403446 1046690484 /nfs/dbraw/zinc/69/04/84/1046690484.db2.gz GUXJAWJFIVVQNG-UHFFFAOYSA-N 0 3 311.404 4.049 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1cccc(N(C)C)c1 ZINC000678745711 1046693236 /nfs/dbraw/zinc/69/32/36/1046693236.db2.gz SCNVCXALHUPHIC-LJQANCHMSA-N 0 3 312.457 4.392 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2[nH]nc3ccccc32)c1 ZINC001137348801 1046693616 /nfs/dbraw/zinc/69/36/16/1046693616.db2.gz PTYMWUFODLBYQV-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2c3ccccc3CC[C@@H]2C)cn1 ZINC000678765753 1046694874 /nfs/dbraw/zinc/69/48/74/1046694874.db2.gz DYTKDMWXJVQPCU-YWZLYKJASA-N 0 3 310.441 4.282 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H]1c2ccccc2CC[C@@H]1C ZINC000678767836 1046696295 /nfs/dbraw/zinc/69/62/95/1046696295.db2.gz DUBGYJRPEBPOOB-DJJJIMSYSA-N 0 3 310.441 4.120 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2n[nH]c3ccccc32)C1 ZINC001137349655 1046696937 /nfs/dbraw/zinc/69/69/37/1046696937.db2.gz DAEBIYNERMROKM-ZDUSSCGKSA-N 0 3 311.816 4.206 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2[nH]nc3ccccc32)C1 ZINC001137349655 1046696944 /nfs/dbraw/zinc/69/69/44/1046696944.db2.gz DAEBIYNERMROKM-ZDUSSCGKSA-N 0 3 311.816 4.206 20 0 DIADHN C[C@@]1(CN[C@@H]2CCCOc3ccc(F)cc32)CC1(Cl)Cl ZINC000834885223 1046698358 /nfs/dbraw/zinc/69/83/58/1046698358.db2.gz UDAGYUSFSJSVEA-OCCSQVGLSA-N 0 3 318.219 4.213 20 0 DIADHN CC[C@@H](NCCc1cccc(-c2ccccc2)c1)c1nccn1C ZINC000678770507 1046698536 /nfs/dbraw/zinc/69/85/36/1046698536.db2.gz OXIBVLIYPKROSG-HXUWFJFHSA-N 0 3 319.452 4.371 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1cnn(C2CCCC2)c1 ZINC000834885763 1046698783 /nfs/dbraw/zinc/69/87/83/1046698783.db2.gz XVEVKVQENGNOGS-FZMZJTMJSA-N 0 3 316.276 4.233 20 0 DIADHN c1ccc2sc([C@H]3CCCN(Cc4ccncc4)C3)nc2c1 ZINC000533334304 1046699392 /nfs/dbraw/zinc/69/93/92/1046699392.db2.gz UEGYLYLKYSNLCU-HNNXBMFYSA-N 0 3 309.438 4.071 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C(C)(C)C)c(C)o1 ZINC000533352294 1046703700 /nfs/dbraw/zinc/70/37/00/1046703700.db2.gz KSWOGNYCUOULOG-QGZVFWFLSA-N 0 3 315.413 4.252 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC000533377033 1046706652 /nfs/dbraw/zinc/70/66/52/1046706652.db2.gz UWIQUJXDQHIEEB-FQEVSTJZSA-N 0 3 308.425 4.065 20 0 DIADHN CCC[C@H](C)CN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000678819472 1046709934 /nfs/dbraw/zinc/70/99/34/1046709934.db2.gz ZELPZEJARPIEEI-GARJFASQSA-N 0 3 305.306 4.485 20 0 DIADHN c1ccc(C[C@@H]2CCCN(Cc3nc4c(s3)CCC4)C2)cc1 ZINC000678823419 1046711663 /nfs/dbraw/zinc/71/16/63/1046711663.db2.gz RSXIHLNPFOEMBG-INIZCTEOSA-N 0 3 312.482 4.087 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1ccc(Cl)nc1 ZINC000834902575 1046712169 /nfs/dbraw/zinc/71/21/69/1046712169.db2.gz HGOSJRWGDZSQPQ-BBRMVZONSA-N 0 3 315.848 4.357 20 0 DIADHN CCc1nn(C)cc1CN1CCCCC[C@H]1c1ccc(C)o1 ZINC000678832296 1046714517 /nfs/dbraw/zinc/71/45/17/1046714517.db2.gz GCNNTKMYAHQXDC-KRWDZBQOSA-N 0 3 301.434 4.001 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@H](C)c3cccnc3Cl)C2)c1 ZINC000834904874 1046716295 /nfs/dbraw/zinc/71/62/95/1046716295.db2.gz PKQNNOHJMCGREB-BPLDGKMQSA-N 0 3 316.832 4.340 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2ccc(C)nc2)cc1Cl ZINC000796697012 1046718462 /nfs/dbraw/zinc/71/84/62/1046718462.db2.gz UOZWMMUHJAMKNX-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1nc(Br)cs1 ZINC000834910692 1046718945 /nfs/dbraw/zinc/71/89/45/1046718945.db2.gz WDXPFVCKFBKEQJ-IUCAKERBSA-N 0 3 305.285 4.381 20 0 DIADHN COc1ccccc1[C@@H](O)CN[C@H](CCC(C)C)c1ccoc1 ZINC000796700873 1046719830 /nfs/dbraw/zinc/71/98/30/1046719830.db2.gz FSBKSFHXMQXOGU-MSOLQXFVSA-N 0 3 317.429 4.089 20 0 DIADHN CC(C)CC[C@@H](NC[C@@H](O)c1ccccc1F)c1ccoc1 ZINC000796703783 1046720894 /nfs/dbraw/zinc/72/08/94/1046720894.db2.gz WBBMEGKFBKKFMV-QZTJIDSGSA-N 0 3 305.393 4.219 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H](C)c2ccc(C)s2)c1 ZINC000796702249 1046721059 /nfs/dbraw/zinc/72/10/59/1046721059.db2.gz KRYRJPPKZGSKNM-WDEREUQCSA-N 0 3 309.456 4.316 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2nc3ccccc3s2)C1 ZINC000533426439 1046721490 /nfs/dbraw/zinc/72/14/90/1046721490.db2.gz FMZUCWYZGFKGCN-CQSZACIVSA-N 0 3 324.449 4.294 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)N(C)CC1CCC1 ZINC000533440357 1046721646 /nfs/dbraw/zinc/72/16/46/1046721646.db2.gz OXXNCKCBNRCBOQ-HUUCEWRRSA-N 0 3 302.462 4.259 20 0 DIADHN c1csc(-c2ccccc2CN2CCc3ccccc3C2)n1 ZINC001140449397 1046727971 /nfs/dbraw/zinc/72/79/71/1046727971.db2.gz MYMXQCCQDAEWFD-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3nc(Cl)ccc3C)C2)c1 ZINC001140448538 1046728122 /nfs/dbraw/zinc/72/81/22/1046728122.db2.gz LJHNOUDXENKHRR-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CC(C)C(NCc1nnc(-c2ccc(Cl)cc2)o1)C(C)C ZINC000678900251 1046728927 /nfs/dbraw/zinc/72/89/27/1046728927.db2.gz HWSTXVGMYBURHT-UHFFFAOYSA-N 0 3 307.825 4.160 20 0 DIADHN Clc1cccc2[nH]cc(CN3CCC4(CCCOC4)CC3)c21 ZINC001140446979 1046728964 /nfs/dbraw/zinc/72/89/64/1046728964.db2.gz QOCYQZQJCDWYMT-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN C[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(-c2ccncc2)cc1 ZINC000796778153 1046730705 /nfs/dbraw/zinc/73/07/05/1046730705.db2.gz FVKQZBCWGVYNKH-IFXJQAMLSA-N 0 3 318.424 4.200 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CC)c2nc(C)cs2)C12CCCC2 ZINC000678926505 1046735333 /nfs/dbraw/zinc/73/53/33/1046735333.db2.gz CQEVADQERIHMAG-RBSFLKMASA-N 0 3 308.491 4.230 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccc(C)c(C)c3)CC2)o1 ZINC000644701413 1046739229 /nfs/dbraw/zinc/73/92/29/1046739229.db2.gz NKYLBLSXQVIVKR-UHFFFAOYSA-N 0 3 312.457 4.363 20 0 DIADHN CC(C)N(C(=O)[C@H](C)N[C@@H](c1ccccc1)C1CCC1)C(C)C ZINC000533513238 1046739172 /nfs/dbraw/zinc/73/91/72/1046739172.db2.gz KRFPVZHPRKQGKU-LPHOPBHVSA-N 0 3 316.489 4.151 20 0 DIADHN CC(C)CC[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1ccoc1 ZINC000796814961 1046739376 /nfs/dbraw/zinc/73/93/76/1046739376.db2.gz BHMDAKTZOVADLO-IAGOWNOFSA-N 0 3 323.383 4.358 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)o1 ZINC000644785759 1046741515 /nfs/dbraw/zinc/74/15/15/1046741515.db2.gz PMEOFRYPECSGQQ-OAHLLOKOSA-N 0 3 322.861 4.311 20 0 DIADHN CC1(C)CN(C[C@H]2CCOc3ccccc32)[C@@H]1c1cccnc1 ZINC000644835493 1046743359 /nfs/dbraw/zinc/74/33/59/1046743359.db2.gz OKEAJPYFQMSCDU-VQIMIIECSA-N 0 3 308.425 4.031 20 0 DIADHN Cc1csc(C2(NCCOc3cccc(F)c3)CCCC2)n1 ZINC000533523388 1046743659 /nfs/dbraw/zinc/74/36/59/1046743659.db2.gz WOEUPBGNDHTSOI-UHFFFAOYSA-N 0 3 320.433 4.029 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc(F)cc(OC)c2)cc1 ZINC001140483690 1046744167 /nfs/dbraw/zinc/74/41/67/1046744167.db2.gz YLBLHNYVRNPFDS-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN C[C@H](NCCOc1c(Cl)cccc1Cl)c1ccccn1 ZINC000678983875 1046749375 /nfs/dbraw/zinc/74/93/75/1046749375.db2.gz ZISFSSISHUIKJQ-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000035100586 1046749725 /nfs/dbraw/zinc/74/97/25/1046749725.db2.gz YAAQHJKQSMREHM-MEDUHNTESA-N 0 3 310.224 4.378 20 0 DIADHN CCc1nn(C)cc1CN[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000678971339 1046751502 /nfs/dbraw/zinc/75/15/02/1046751502.db2.gz TYUKOOBOZDAICL-IFXJQAMLSA-N 0 3 323.484 4.372 20 0 DIADHN Fc1ccc(C[C@@H](N[C@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678996974 1046753003 /nfs/dbraw/zinc/75/30/03/1046753003.db2.gz ARJZLFQLYDITQG-MAUKXSAKSA-N 0 3 319.807 4.141 20 0 DIADHN Fc1ccc(C[C@@H](N[C@@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678996973 1046753660 /nfs/dbraw/zinc/75/36/60/1046753660.db2.gz ARJZLFQLYDITQG-CRAIPNDOSA-N 0 3 319.807 4.141 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c(Cl)sc(Cl)c21)C(C)(C)O ZINC000679016403 1046758314 /nfs/dbraw/zinc/75/83/14/1046758314.db2.gz NERUYYITZPUIRA-VXNVDRBHSA-N 0 3 308.274 4.181 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](C)[C@@H]2CCCCO2)o1 ZINC000679029440 1046760319 /nfs/dbraw/zinc/76/03/19/1046760319.db2.gz QCYGJVZKWCTIDA-KDOFPFPSSA-N 0 3 317.404 4.441 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H](C)C1CCCCC1 ZINC000645076305 1046763165 /nfs/dbraw/zinc/76/31/65/1046763165.db2.gz KNWZJWBFYWBVPF-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@H](CSC(C)(C)C)NCc1c(F)cccc1N1CCCC1 ZINC000797002620 1046768341 /nfs/dbraw/zinc/76/83/41/1046768341.db2.gz PJYFZNYDRSALDH-CQSZACIVSA-N 0 3 324.509 4.436 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000797057022 1046781553 /nfs/dbraw/zinc/78/15/53/1046781553.db2.gz DPLXEMFSPHHZKH-ZNZDAUKMSA-N 0 3 319.395 4.436 20 0 DIADHN Cc1ccccc1-c1noc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)n1 ZINC000645249266 1046781849 /nfs/dbraw/zinc/78/18/49/1046781849.db2.gz NNWYIMGHFHLKSV-CVEARBPZSA-N 0 3 311.429 4.056 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@@H](C)c2ccc(F)cc2F)cc1 ZINC000797061762 1046783366 /nfs/dbraw/zinc/78/33/66/1046783366.db2.gz ORRBJKVWYNWARP-ZJNRKIDTSA-N 0 3 305.368 4.046 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000797065205 1046784332 /nfs/dbraw/zinc/78/43/32/1046784332.db2.gz KLLAVIXHQJUDHW-AGRHKRQWSA-N 0 3 319.395 4.436 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000797065570 1046784812 /nfs/dbraw/zinc/78/48/12/1046784812.db2.gz LWYBWIUVVZRBNR-VNBZBWLYSA-N 0 3 323.358 4.185 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000797065569 1046785517 /nfs/dbraw/zinc/78/55/17/1046785517.db2.gz LWYBWIUVVZRBNR-SEDUGSJDSA-N 0 3 323.358 4.185 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC2(C[C@H]1C)CCOCC2 ZINC000645384872 1046787099 /nfs/dbraw/zinc/78/70/99/1046787099.db2.gz VEGSATOZSNWHEB-MRXNPFEDSA-N 0 3 310.441 4.247 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CCCNCc1csc(Cl)c1Cl ZINC000432254779 1046787553 /nfs/dbraw/zinc/78/75/53/1046787553.db2.gz PRPXEKOFMTYVCO-ZYHUDNBSSA-N 0 3 322.301 4.476 20 0 DIADHN CCSc1cccc(NC(=O)c2ccc(CN(C)C)cc2)c1 ZINC000071019597 1046793299 /nfs/dbraw/zinc/79/32/99/1046793299.db2.gz XQLOUHLYNFEJMF-UHFFFAOYSA-N 0 3 314.454 4.113 20 0 DIADHN Clc1ccc2c(c1)nccc2SCCN1CC=CCC1 ZINC000679322708 1046809807 /nfs/dbraw/zinc/80/98/07/1046809807.db2.gz MKHFBGIYNHDQAZ-UHFFFAOYSA-N 0 3 304.846 4.242 20 0 DIADHN C[C@H]1C[C@@H](CN(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC000679325718 1046810563 /nfs/dbraw/zinc/81/05/63/1046810563.db2.gz OTBINDYQGVPZCP-RYUDHWBXSA-N 0 3 302.245 4.240 20 0 DIADHN CCCN(CCC)CC(=O)Nc1ccccc1SC(F)F ZINC000302810227 1046811230 /nfs/dbraw/zinc/81/12/30/1046811230.db2.gz LUZOTBVDLBDTMH-UHFFFAOYSA-N 0 3 316.417 4.062 20 0 DIADHN Cc1n[nH]cc1[C@H](C)NCc1cccc(COc2ccccc2)c1 ZINC000679333533 1046813284 /nfs/dbraw/zinc/81/32/84/1046813284.db2.gz OCBZXOSNSBFKJJ-HNNXBMFYSA-N 0 3 321.424 4.148 20 0 DIADHN Oc1cc(CN2CCC[C@H]2c2ccccc2)ccc1OC(F)F ZINC001140627996 1046818621 /nfs/dbraw/zinc/81/86/21/1046818621.db2.gz GOVNHLIQIUOXGL-HNNXBMFYSA-N 0 3 319.351 4.331 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccccc1Cl)c1ccc(F)cn1 ZINC000679355236 1046825041 /nfs/dbraw/zinc/82/50/41/1046825041.db2.gz QZKYISLKDDLSJG-WBVHZDCISA-N 0 3 322.811 4.303 20 0 DIADHN CC[C@H](NCCC[C@H]1CCOC1)c1nc(C(F)(F)F)cs1 ZINC000645853728 1046825891 /nfs/dbraw/zinc/82/58/91/1046825891.db2.gz DYGNBXHFHYVICW-QWRGUYRKSA-N 0 3 322.396 4.019 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1ccc2c(c1)OCO2 ZINC001140638930 1046828198 /nfs/dbraw/zinc/82/81/98/1046828198.db2.gz GZWHNFQUNANQBH-UHFFFAOYSA-N 0 3 311.406 4.262 20 0 DIADHN Oc1ccc(CN2CCC(c3nc4ccccc4s3)CC2)cc1 ZINC000679391417 1046836145 /nfs/dbraw/zinc/83/61/45/1046836145.db2.gz XWMYQSKDHJPPJK-UHFFFAOYSA-N 0 3 324.449 4.382 20 0 DIADHN Fc1ccccc1CN1CCC[C@@H](c2nc3ccccc3[nH]2)C1 ZINC000036686158 1046839894 /nfs/dbraw/zinc/83/98/94/1046839894.db2.gz QGPSJMKSLKVWOD-OAHLLOKOSA-N 0 3 309.388 4.082 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](c2cccnc2)C1 ZINC000645982184 1046841989 /nfs/dbraw/zinc/84/19/89/1046841989.db2.gz CNQOWCYUFLOCHC-RDTXWAMCSA-N 0 3 302.368 4.300 20 0 DIADHN Fc1cccc(C2(NCc3ccc(-n4cccn4)cc3)CCC2)c1 ZINC000646004410 1046844330 /nfs/dbraw/zinc/84/43/30/1046844330.db2.gz XPQPTDRXMCLVGX-UHFFFAOYSA-N 0 3 321.399 4.180 20 0 DIADHN CCCC[C@H](CC)CN1CCC12CN(C(=O)CC1(C)CCC1)C2 ZINC001276325395 1046845045 /nfs/dbraw/zinc/84/50/45/1046845045.db2.gz BVUZLVABNANWOU-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccc(F)cc2)cc(Cl)n1 ZINC000797589733 1046858882 /nfs/dbraw/zinc/85/88/82/1046858882.db2.gz HKLZZBWIWFNCQO-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN Cc1cc(CN2CC[C@H](Cc3ccc(F)cc3)C2)cc(Cl)n1 ZINC000797591752 1046864475 /nfs/dbraw/zinc/86/44/75/1046864475.db2.gz URBCJXGZHSPXRI-OAHLLOKOSA-N 0 3 318.823 4.247 20 0 DIADHN C[C@H](c1ccco1)N(C)C/C=C/CN(C)[C@H](C)c1ccco1 ZINC000249842991 1046864768 /nfs/dbraw/zinc/86/47/68/1046864768.db2.gz YXWYPCNKAGUWMS-DOOVEFGSSA-N 0 3 302.418 4.115 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CCSc1ccccc1 ZINC000679544145 1046865843 /nfs/dbraw/zinc/86/58/43/1046865843.db2.gz GQIQNAKAFAYBRG-CHWSQXEVSA-N 0 3 303.393 4.442 20 0 DIADHN Brc1ccc(C2=CCN(Cc3cc[nH]c3)CC2)cc1 ZINC001140709831 1046866666 /nfs/dbraw/zinc/86/66/66/1046866666.db2.gz DVPVRYWVZMNJFL-UHFFFAOYSA-N 0 3 317.230 4.067 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3ccc(F)cc32)cc(Cl)n1 ZINC000797605823 1046871359 /nfs/dbraw/zinc/87/13/59/1046871359.db2.gz WMROUXFYVYFHBF-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN Nc1ccc(Cl)cc1CN1CCC(c2ccccc2)CC1 ZINC001140713802 1046873066 /nfs/dbraw/zinc/87/30/66/1046873066.db2.gz FVQXCZUXDDTHLS-UHFFFAOYSA-N 0 3 300.833 4.302 20 0 DIADHN Clc1ncc2ccccc2c1CN1CCC2(CCCO2)CC1 ZINC001140722182 1046873831 /nfs/dbraw/zinc/87/38/31/1046873831.db2.gz NUYVNLUNYZUURR-UHFFFAOYSA-N 0 3 316.832 4.033 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1n[nH]c2ccccc21)\c1ccccc1 ZINC000679582586 1046876330 /nfs/dbraw/zinc/87/63/30/1046876330.db2.gz DELOELCAGGIOJD-UJGDBWEASA-N 0 3 303.409 4.241 20 0 DIADHN C(=C/[C@@H]1CCCN1Cc1[nH]nc2ccccc21)\c1ccccc1 ZINC000679582586 1046876342 /nfs/dbraw/zinc/87/63/42/1046876342.db2.gz DELOELCAGGIOJD-UJGDBWEASA-N 0 3 303.409 4.241 20 0 DIADHN Cc1cccc(C2=CCN(Cc3[nH]nc4ccccc43)CC2)c1 ZINC000679582772 1046878383 /nfs/dbraw/zinc/87/83/83/1046878383.db2.gz MHLCAFUTQOYXBA-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccnc2ccccc12 ZINC000679584538 1046880445 /nfs/dbraw/zinc/88/04/45/1046880445.db2.gz SPMSOJSMGJQYEO-TZMCWYRMSA-N 0 3 308.347 4.398 20 0 DIADHN COc1ccc([C@@H](C)N(C)C[C@@]2(C)CC2(Cl)Cl)cc1 ZINC000827287658 1046883233 /nfs/dbraw/zinc/88/32/33/1046883233.db2.gz OATBIAPNJFKZRE-BXUZGUMPSA-N 0 3 302.245 4.272 20 0 DIADHN Cn1cnc2ccc(CN3CCC[C@H]3c3ccccc3F)cc21 ZINC001140746831 1046883707 /nfs/dbraw/zinc/88/37/07/1046883707.db2.gz NWVSQZYGJVYDPY-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN CCC(CC)N(C)Cc1ccc(OC)cc1Br ZINC001140760740 1046886812 /nfs/dbraw/zinc/88/68/12/1046886812.db2.gz RZTCYHVXNCUGLA-UHFFFAOYSA-N 0 3 300.240 4.078 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccc(C)nc1N1CCCC1 ZINC000679723082 1046894119 /nfs/dbraw/zinc/89/41/19/1046894119.db2.gz IHKZBCOQZDGNJD-OAHLLOKOSA-N 0 3 315.486 4.211 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(C)nc2N2CCCC2)c1 ZINC000679723755 1046894770 /nfs/dbraw/zinc/89/47/70/1046894770.db2.gz OKMAUCVUMABMOX-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN FC[C@@H]1CN(Cc2coc3ccccc23)C[C@H]1C(F)(F)F ZINC001140782569 1046894878 /nfs/dbraw/zinc/89/48/78/1046894878.db2.gz IUAKKAJRSHNAGD-ZWNOBZJWSA-N 0 3 301.283 4.013 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@@H](Sc2ccncc2)C1 ZINC001140782071 1046895094 /nfs/dbraw/zinc/89/50/94/1046895094.db2.gz DUOAMXXBCLPEIA-GOSISDBHSA-N 0 3 316.445 4.286 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2coc3ccccc23)C1 ZINC001140782794 1046895154 /nfs/dbraw/zinc/89/51/54/1046895154.db2.gz WFYJGARPKGFNOB-CQSZACIVSA-N 0 3 301.283 4.157 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2coc3ccccc23)C1 ZINC001140782793 1046895492 /nfs/dbraw/zinc/89/54/92/1046895492.db2.gz WFYJGARPKGFNOB-AWEZNQCLSA-N 0 3 301.283 4.157 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C)nc2N2CCCC2)cc1 ZINC000679723425 1046896449 /nfs/dbraw/zinc/89/64/49/1046896449.db2.gz NFCOOPQUCBZMCB-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc(C)nc2N2CCCC2)c1 ZINC000679724041 1046897118 /nfs/dbraw/zinc/89/71/18/1046897118.db2.gz QAKSLTHCLKRBDF-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(C)nc2N2CCCC2)c1 ZINC000679724040 1046898699 /nfs/dbraw/zinc/89/86/99/1046898699.db2.gz QAKSLTHCLKRBDF-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN COc1ccccc1O[C@@H]1CCN(Cc2coc3ccccc23)C1 ZINC001140785379 1046899576 /nfs/dbraw/zinc/89/95/76/1046899576.db2.gz BXNHTINFJDBWBH-MRXNPFEDSA-N 0 3 323.392 4.095 20 0 DIADHN CC[N@H+](CCc1ccc(OC)cc1)Cc1cc([O-])cc(Cl)c1 ZINC001140790734 1046903204 /nfs/dbraw/zinc/90/32/04/1046903204.db2.gz JATOXUQNUDMYSO-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN CC[N@@H+](CCc1ccc(OC)cc1)Cc1cc([O-])cc(Cl)c1 ZINC001140790734 1046903216 /nfs/dbraw/zinc/90/32/16/1046903216.db2.gz JATOXUQNUDMYSO-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN Cc1ccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)c(Cl)c1 ZINC001140799397 1046904639 /nfs/dbraw/zinc/90/46/39/1046904639.db2.gz CBPWFVCPRVPOSA-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1Cc1cnc2cc[nH]cc1-2 ZINC001140805821 1046907426 /nfs/dbraw/zinc/90/74/26/1046907426.db2.gz MEAVZOFBHZPUGH-KRWDZBQOSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1Cc1c[nH]c2ccncc12 ZINC001140805821 1046907441 /nfs/dbraw/zinc/90/74/41/1046907441.db2.gz MEAVZOFBHZPUGH-KRWDZBQOSA-N 0 3 313.351 4.178 20 0 DIADHN CCCc1ccc(CNCc2cn(C)nc2-c2ccccc2)cc1 ZINC000679850632 1046908182 /nfs/dbraw/zinc/90/81/82/1046908182.db2.gz FAXYQZAIDUIVNI-UHFFFAOYSA-N 0 3 319.452 4.329 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2cnc3cc[nH]cc2-3)c(F)c1 ZINC001140805303 1046908454 /nfs/dbraw/zinc/90/84/54/1046908454.db2.gz AAUJSIYVZAZYAX-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2c[nH]c3ccncc23)c(F)c1 ZINC001140805303 1046908461 /nfs/dbraw/zinc/90/84/61/1046908461.db2.gz AAUJSIYVZAZYAX-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2cnc3cc[nH]cc2-3)c(F)c1 ZINC001140805302 1046908694 /nfs/dbraw/zinc/90/86/94/1046908694.db2.gz AAUJSIYVZAZYAX-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2c[nH]c3ccncc23)c(F)c1 ZINC001140805302 1046908700 /nfs/dbraw/zinc/90/87/00/1046908700.db2.gz AAUJSIYVZAZYAX-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN CCCCCn1cc(CNCc2cccc3cnccc32)c(C)n1 ZINC000679931861 1046913775 /nfs/dbraw/zinc/91/37/75/1046913775.db2.gz GRFFPRXVDQDYMX-UHFFFAOYSA-N 0 3 322.456 4.220 20 0 DIADHN CO[C@H]1CCCN(Cc2nc3ccccc3c(C)c2Cl)CC1 ZINC000679954217 1046918468 /nfs/dbraw/zinc/91/84/68/1046918468.db2.gz TUBKXXYGOJWINY-AWEZNQCLSA-N 0 3 318.848 4.198 20 0 DIADHN C[C@@H]1COc2ccccc2CN1Cc1cccc2cnccc21 ZINC000680001190 1046926480 /nfs/dbraw/zinc/92/64/80/1046926480.db2.gz VRZNAGGWXGSQCE-OAHLLOKOSA-N 0 3 304.393 4.018 20 0 DIADHN Cc1n[nH]cc1CN1CCCCC[C@H]1/C=C\c1cccs1 ZINC000680003021 1046926758 /nfs/dbraw/zinc/92/67/58/1046926758.db2.gz KRSQLMXWSQQNSC-QWGSZXSUSA-N 0 3 301.459 4.238 20 0 DIADHN Cc1ccc(NC(=O)/C(F)=C/C2CCCCC2)c(CN(C)C)c1 ZINC000798170534 1046932553 /nfs/dbraw/zinc/93/25/53/1046932553.db2.gz PWFRKNHYCPGQGV-ATVHPVEESA-N 0 3 318.436 4.429 20 0 DIADHN Cc1c[nH]c(CN2CCC3(CCc4ccc(C)cc43)CC2)c1C ZINC001140862091 1046934142 /nfs/dbraw/zinc/93/41/42/1046934142.db2.gz TXUSXAZQYQTAFN-UHFFFAOYSA-N 0 3 308.469 4.420 20 0 DIADHN Cc1c[nH]c(CN2CCC[C@@]3(C[C@H](c4ccccc4)CO3)C2)c1C ZINC001140860644 1046934374 /nfs/dbraw/zinc/93/43/74/1046934374.db2.gz RMLKOFNZHYBHTO-PZJWPPBQSA-N 0 3 324.468 4.170 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CN[C@H]1CC12CCCC2 ZINC000796361794 1046934993 /nfs/dbraw/zinc/93/49/93/1046934993.db2.gz ICCJVZVXBGFOSU-LBPRGKRZSA-N 0 3 310.228 4.063 20 0 DIADHN C[C@H](CNCc1c(F)cccc1OC(F)(F)F)CC(F)F ZINC000647226506 1046957043 /nfs/dbraw/zinc/95/70/43/1046957043.db2.gz KGKBZWDOYOINMA-QMMMGPOBSA-N 0 3 315.257 4.105 20 0 DIADHN Nc1cccc(F)c1CN1CCC(Cc2ccccc2F)CC1 ZINC001140875538 1046938765 /nfs/dbraw/zinc/93/87/65/1046938765.db2.gz RAMSKYWKGQBCGA-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccc(OC(F)(F)F)c(F)c2)CC1 ZINC001140886309 1046941083 /nfs/dbraw/zinc/94/10/83/1046941083.db2.gz TWQSYJOITZINAU-CQSZACIVSA-N 0 3 323.305 4.438 20 0 DIADHN Fc1cc(CN2CCC23CCCC3)ccc1OC(F)(F)F ZINC001140885865 1046941184 /nfs/dbraw/zinc/94/11/84/1046941184.db2.gz KAVNSRGCVMDQJI-UHFFFAOYSA-N 0 3 303.299 4.243 20 0 DIADHN Fc1cc(CN2CCC[C@H](F)CC2)ccc1OC(F)(F)F ZINC001140886283 1046941373 /nfs/dbraw/zinc/94/13/73/1046941373.db2.gz SGIXMAYWYBOYPE-NSHDSACASA-N 0 3 309.278 4.048 20 0 DIADHN CCc1nnc(CN2CCC[C@@H]2[C@H](CC)c2ccccc2)s1 ZINC000680051602 1046942417 /nfs/dbraw/zinc/94/24/17/1046942417.db2.gz STTRBDPRNUIUQS-HZPDHXFCSA-N 0 3 315.486 4.259 20 0 DIADHN Fc1cc(CN2CC3CCC2CC3)ccc1OC(F)(F)F ZINC001140886969 1046942691 /nfs/dbraw/zinc/94/26/91/1046942691.db2.gz NTJJSQRAGWKPLY-UHFFFAOYSA-N 0 3 303.299 4.099 20 0 DIADHN Cc1cc(F)c(CNCCc2ccnc3ccccc23)c(F)c1 ZINC001203075106 1046943066 /nfs/dbraw/zinc/94/30/66/1046943066.db2.gz WCWWHZOCJGSYQE-UHFFFAOYSA-N 0 3 312.363 4.154 20 0 DIADHN FC(F)Oc1ccc(CN2CCCC23CC3)cc1OCC1CC1 ZINC001140903895 1046945354 /nfs/dbraw/zinc/94/53/54/1046945354.db2.gz ZJJWZUVYZAVWDH-UHFFFAOYSA-N 0 3 323.383 4.205 20 0 DIADHN CC(C)c1cc(-c2nc([C@@H]3CCCN3)no2)c(O)c(C(C)C)c1 ZINC000647147502 1046945549 /nfs/dbraw/zinc/94/55/49/1046945549.db2.gz SNCHKTCJLALHHU-HNNXBMFYSA-N 0 3 315.417 4.114 20 0 DIADHN Fc1cccc(O[C@H]2CCN(CCCCC(F)(F)F)C2)c1 ZINC000680109547 1046949155 /nfs/dbraw/zinc/94/91/55/1046949155.db2.gz IXPSCQSYVKOIIG-AWEZNQCLSA-N 0 3 305.315 4.011 20 0 DIADHN CC(C)Cn1nccc1CN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000647190124 1046952451 /nfs/dbraw/zinc/95/24/51/1046952451.db2.gz JELXBTJUTVQZCI-LIRRHRJNSA-N 0 3 315.436 4.091 20 0 DIADHN Cc1cccc(C2(NCc3ccc(N4CCCC4)nc3)CCC2)c1 ZINC000647236886 1046959051 /nfs/dbraw/zinc/95/90/51/1046959051.db2.gz RQNXPIPGANOCGF-UHFFFAOYSA-N 0 3 321.468 4.159 20 0 DIADHN FC1CCC(CNCc2csc(-c3cccs3)n2)CC1 ZINC000647252982 1046960961 /nfs/dbraw/zinc/96/09/61/1046960961.db2.gz GIZAEWNPBONABJ-UHFFFAOYSA-N 0 3 310.463 4.490 20 0 DIADHN Cc1cc(CN(C)CCC(c2ccccc2)c2ccccc2)[nH]n1 ZINC001203081463 1046962643 /nfs/dbraw/zinc/96/26/43/1046962643.db2.gz UWNCSZDEFSMIAG-UHFFFAOYSA-N 0 3 319.452 4.372 20 0 DIADHN Cc1cc(CN(C)CCC(c2ccccc2)c2ccccc2)n[nH]1 ZINC001203081463 1046962652 /nfs/dbraw/zinc/96/26/52/1046962652.db2.gz UWNCSZDEFSMIAG-UHFFFAOYSA-N 0 3 319.452 4.372 20 0 DIADHN c1ccc([C@H]2CCN2Cc2cccc(-c3ncccn3)c2)cc1 ZINC001140981827 1046967630 /nfs/dbraw/zinc/96/76/30/1046967630.db2.gz XQVVJDCOPSHBQG-LJQANCHMSA-N 0 3 301.393 4.091 20 0 DIADHN C[C@@H](CN[C@H](c1ccc(F)cc1)c1cccnc1)c1ccncc1 ZINC000680342458 1046968313 /nfs/dbraw/zinc/96/83/13/1046968313.db2.gz RDYYOGJLGZVUGW-MGPUTAFESA-N 0 3 321.399 4.098 20 0 DIADHN C[C@@H](CN[C@@H](c1ccc(F)cc1)c1ccccn1)c1ccncc1 ZINC000680341660 1046968403 /nfs/dbraw/zinc/96/84/03/1046968403.db2.gz ATDVLDDLYPWRGA-YWZLYKJASA-N 0 3 321.399 4.098 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1cccc(-c2ncccn2)c1 ZINC001140981855 1046968675 /nfs/dbraw/zinc/96/86/75/1046968675.db2.gz YJLJOJHRYQTJPP-INIZCTEOSA-N 0 3 315.420 4.090 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cn1)c1ccncc1 ZINC000680341789 1046968703 /nfs/dbraw/zinc/96/87/03/1046968703.db2.gz DOXVZUVCICXURA-MGPUTAFESA-N 0 3 321.399 4.098 20 0 DIADHN C[C@@H](NCCCc1cccc(Cl)c1)c1cc2n(n1)CCCC2 ZINC000647292496 1046969530 /nfs/dbraw/zinc/96/95/30/1046969530.db2.gz RICJBAHDFPFSOO-CQSZACIVSA-N 0 3 317.864 4.156 20 0 DIADHN CCCCn1cc(CN[C@@H](CC(C)C)c2ccncc2)c(C)n1 ZINC000647295171 1046969571 /nfs/dbraw/zinc/96/95/71/1046969571.db2.gz AUPZQROXGVMMBN-IBGZPJMESA-N 0 3 314.477 4.264 20 0 DIADHN CC[C@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccc(Cl)s1 ZINC000680349946 1046969523 /nfs/dbraw/zinc/96/95/23/1046969523.db2.gz BWOCPDUWVQQHMU-JTQLQIEISA-N 0 3 321.833 4.224 20 0 DIADHN Cc1nc([C@@H](C)NCCCNc2cccc(F)c2)c(C)s1 ZINC000680353774 1046972207 /nfs/dbraw/zinc/97/22/07/1046972207.db2.gz NPHALPIDPKUIHB-LLVKDONJSA-N 0 3 307.438 4.052 20 0 DIADHN Cc1nc([C@@H](C)NCCCNc2ccccc2F)c(C)s1 ZINC000680353674 1046972448 /nfs/dbraw/zinc/97/24/48/1046972448.db2.gz KMERHEHJKXQAKB-LLVKDONJSA-N 0 3 307.438 4.052 20 0 DIADHN c1cc(-c2ccccn2)sc1CN1CCc2ccccc2C1 ZINC001140998981 1046973779 /nfs/dbraw/zinc/97/37/79/1046973779.db2.gz KAUUEGIWYJVRNR-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN C[C@@H](CN[C@H](c1ccc(F)cc1)c1ccccn1)CC(F)F ZINC000647319059 1046975636 /nfs/dbraw/zinc/97/56/36/1046975636.db2.gz KNVMDYYQNHOTOO-SJKOYZFVSA-N 0 3 308.347 4.191 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)NC2(c3ccccc3Cl)CC2)n1 ZINC000647315431 1046975918 /nfs/dbraw/zinc/97/59/18/1046975918.db2.gz BWZDYNBEDCYONZ-CQSZACIVSA-N 0 3 317.864 4.327 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1ccc(CO)cc1)CCS2 ZINC000647322314 1046977443 /nfs/dbraw/zinc/97/74/43/1046977443.db2.gz QOZPSYIFSNHFPU-SFHVURJKSA-N 0 3 313.466 4.122 20 0 DIADHN CC[C@@H](NCCCOC(C)(C)C)c1nc(C(F)(F)F)cs1 ZINC000680401933 1046979831 /nfs/dbraw/zinc/97/98/31/1046979831.db2.gz KOFWCBNIGAVBFY-SNVBAGLBSA-N 0 3 324.412 4.408 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2ccc3cccc(O)c3n2)cc1 ZINC001141021676 1046981659 /nfs/dbraw/zinc/98/16/59/1046981659.db2.gz JGSMTLLDAXRHOZ-CQSZACIVSA-N 0 3 322.408 4.142 20 0 DIADHN Oc1cccc2ccc(CN3CC[C@H](c4cccc(F)c4)C3)nc12 ZINC001141022206 1046981826 /nfs/dbraw/zinc/98/18/26/1046981826.db2.gz PVXZTYSQXDQJQY-INIZCTEOSA-N 0 3 322.383 4.069 20 0 DIADHN CN(Cc1ccc2cccc(O)c2n1)[C@H]1CCc2ccccc21 ZINC001141025906 1046982661 /nfs/dbraw/zinc/98/26/61/1046982661.db2.gz UTCCVKBBALDXNS-SFHVURJKSA-N 0 3 304.393 4.060 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc2cccc(O)c2n1 ZINC001141024254 1046983136 /nfs/dbraw/zinc/98/31/36/1046983136.db2.gz JVVNNSDRDDQEPM-UHFFFAOYSA-N 0 3 306.409 4.271 20 0 DIADHN Oc1cccc2ccc(CN(Cc3ccccc3)C3CC3)nc12 ZINC001141024369 1046983709 /nfs/dbraw/zinc/98/37/09/1046983709.db2.gz OAPWQBDCIFOJEH-UHFFFAOYSA-N 0 3 304.393 4.105 20 0 DIADHN c1ccc([C@@H]2CCCCN2Cc2ccc3c(c2)OCCO3)cc1 ZINC001141036459 1046987064 /nfs/dbraw/zinc/98/70/64/1046987064.db2.gz CXIPUOYOLVAIJG-SFHVURJKSA-N 0 3 309.409 4.185 20 0 DIADHN CC(C)(C)c1ccc([C@H](O)CN[C@H]2CCCc3cccnc32)cc1 ZINC000798929122 1046987296 /nfs/dbraw/zinc/98/72/96/1046987296.db2.gz LPUMEWSDZUVMPO-RBUKOAKNSA-N 0 3 324.468 4.080 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cnccc2C)CC1 ZINC001141036037 1046987356 /nfs/dbraw/zinc/98/73/56/1046987356.db2.gz UQENEYHCMUBBSR-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN C[C@H](NCC1CCC(F)CC1)c1ncc(Br)s1 ZINC000647350216 1046989341 /nfs/dbraw/zinc/98/93/41/1046989341.db2.gz ZXASVQTYDVZWMF-IDKOKCKLSA-N 0 3 321.259 4.085 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NC1(c2cccc(Cl)c2)CCC1 ZINC000647349419 1046991683 /nfs/dbraw/zinc/99/16/83/1046991683.db2.gz ONCWJUWZIAZIQY-MRXNPFEDSA-N 0 3 315.848 4.120 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@H](c2ccccn2)C1 ZINC000798963463 1046993267 /nfs/dbraw/zinc/99/32/67/1046993267.db2.gz CCNMBRMCQGFNEM-INIZCTEOSA-N 0 3 320.392 4.456 20 0 DIADHN CC[C@@H]1CCN(Cc2cc3cc(OC)ccc3nc2Cl)C1 ZINC001141066576 1046996445 /nfs/dbraw/zinc/99/64/45/1046996445.db2.gz JXUCWOBDSJICOC-GFCCVEGCSA-N 0 3 304.821 4.129 20 0 DIADHN Cc1cnn(CCNC(c2ccccc2C)c2ccccc2C)c1 ZINC000680636037 1046996839 /nfs/dbraw/zinc/99/68/39/1046996839.db2.gz VSWMTXXDHZRSDA-UHFFFAOYSA-N 0 3 319.452 4.188 20 0 DIADHN CCCCc1nc(CN(C)CCc2ccc(Cl)cc2)c[nH]1 ZINC001141072041 1046998311 /nfs/dbraw/zinc/99/83/11/1046998311.db2.gz MLRZGRWJXDOEFY-UHFFFAOYSA-N 0 3 305.853 4.080 20 0 DIADHN CCCCc1nc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c[nH]1 ZINC001141072257 1046998835 /nfs/dbraw/zinc/99/88/35/1046998835.db2.gz SJSJQTKLLZQGBK-HNNXBMFYSA-N 0 3 317.864 4.395 20 0 DIADHN CCCCc1nc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c[nH]1 ZINC001141072258 1046999439 /nfs/dbraw/zinc/99/94/39/1046999439.db2.gz SJSJQTKLLZQGBK-OAHLLOKOSA-N 0 3 317.864 4.395 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2cccc(Br)c2)ccn1 ZINC001137419611 1047001284 /nfs/dbraw/zinc/00/12/84/1047001284.db2.gz CQHALLQXTMSGHV-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN CC(C)(C)OC(=O)Nc1cnccc1CN1CCCCCCC1 ZINC001141086580 1047002421 /nfs/dbraw/zinc/00/24/21/1047002421.db2.gz JIFWVRYZJRLJPR-UHFFFAOYSA-N 0 3 319.449 4.195 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2ccccc2C)s1 ZINC000303933367 1047007882 /nfs/dbraw/zinc/00/78/82/1047007882.db2.gz RDKXKVXVPWNUOM-OAHLLOKOSA-N 0 3 318.486 4.394 20 0 DIADHN Clc1cc(CN2CC[C@@H](c3ccccn3)C2)c2ccccc2n1 ZINC000799190715 1047008256 /nfs/dbraw/zinc/00/82/56/1047008256.db2.gz SIZXUHKLZUJFLM-CQSZACIVSA-N 0 3 323.827 4.273 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cn(C)nc1C)c1ccc(Cl)cc1 ZINC000533756150 1047011438 /nfs/dbraw/zinc/01/14/38/1047011438.db2.gz KNRPYNGIDJOWHE-PXAZEXFGSA-N 0 3 305.853 4.259 20 0 DIADHN CC(C)(C)c1cccc(CN2CCC3(CC(F)(F)CO3)CC2)c1 ZINC001141102556 1047012674 /nfs/dbraw/zinc/01/26/74/1047012674.db2.gz GAVNQPNFIOHGON-UHFFFAOYSA-N 0 3 323.427 4.374 20 0 DIADHN CC(C)(C)c1cccc(CN2CCC(Oc3cccnc3)CC2)c1 ZINC001141103632 1047015025 /nfs/dbraw/zinc/01/50/25/1047015025.db2.gz FRJPDECRWIFMON-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN CC(=O)n1ccc2cc(Nc3ccc4nc(C)[nH]c4c3)ccc21 ZINC001213076738 1047019695 /nfs/dbraw/zinc/01/96/95/1047019695.db2.gz ZBMYGLHWGJTAQD-UHFFFAOYSA-N 0 3 304.353 4.230 20 0 DIADHN Fc1ccc(-c2cc(CN3CC(c4ccccc4)C3)ccn2)cc1 ZINC001141118048 1047019724 /nfs/dbraw/zinc/01/97/24/1047019724.db2.gz IGGVEECXUGVNGW-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](c2ccccn2)C1 ZINC000647950323 1047022856 /nfs/dbraw/zinc/02/28/56/1047022856.db2.gz STDVGYYNORFUBH-HUUCEWRRSA-N 0 3 307.397 4.163 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2F)s1)c1cn[nH]c1 ZINC000533802022 1047023298 /nfs/dbraw/zinc/02/32/98/1047023298.db2.gz DTKLRONBEYUXNH-NSHDSACASA-N 0 3 301.390 4.128 20 0 DIADHN COc1ccc2nccc(CN(C)Cc3cccc(F)c3)c2c1 ZINC001141146848 1047026700 /nfs/dbraw/zinc/02/67/00/1047026700.db2.gz JTBBJFLPOWRVTH-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN COc1ccc2nccc(CN3Cc4ccccc4[C@H](C)C3)c2c1 ZINC001141147303 1047027007 /nfs/dbraw/zinc/02/70/07/1047027007.db2.gz XOHSIPPAZKETHO-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN C[C@@H]([C@@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cn[nH]c1 ZINC001203099139 1047030678 /nfs/dbraw/zinc/03/06/78/1047030678.db2.gz HSEVHEAKSVVOSF-OXJNMPFZSA-N 0 3 321.424 4.050 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1NC(=O)[C@@H](N)C(C)(C)C ZINC000648076542 1047035592 /nfs/dbraw/zinc/03/55/92/1047035592.db2.gz WMZSOFFPFKDEBJ-QGZVFWFLSA-N 0 3 312.413 4.099 20 0 DIADHN CC1(C)CCc2cc(CN3CCC[C@H](C(F)F)C3)ccc2O1 ZINC001141183562 1047038060 /nfs/dbraw/zinc/03/80/60/1047038060.db2.gz MMGGKICDKJPWSG-HNNXBMFYSA-N 0 3 309.400 4.267 20 0 DIADHN C[C@@H]1CCN1Cc1ccc(-c2ccc(C(F)(F)F)cc2)nc1 ZINC001141216564 1047048564 /nfs/dbraw/zinc/04/85/64/1047048564.db2.gz WEAVDLBVSHCGOT-GFCCVEGCSA-N 0 3 306.331 4.362 20 0 DIADHN c1c2cccnc2oc1CN1CCS[C@H](c2ccccc2)C1 ZINC000648374263 1047048961 /nfs/dbraw/zinc/04/89/61/1047048961.db2.gz YPVQHROVQNIWAT-KRWDZBQOSA-N 0 3 310.422 4.118 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1csc(-c2ccccc2)n1 ZINC001141214568 1047049695 /nfs/dbraw/zinc/04/96/95/1047049695.db2.gz XMUGFBJABWVRGD-MRXNPFEDSA-N 0 3 316.470 4.200 20 0 DIADHN CCn1c(C)cc2c1CCC[C@H]2NCc1cc(F)cc(OC)c1 ZINC000648441842 1047054978 /nfs/dbraw/zinc/05/49/78/1047054978.db2.gz KOSJQMPJNGRKIQ-GOSISDBHSA-N 0 3 316.420 4.131 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)Cc1ccc2c(c1)OCCO2 ZINC001141230381 1047055957 /nfs/dbraw/zinc/05/59/57/1047055957.db2.gz UGVVNQPVMGPAHI-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN CC(C)(NCc1ccc(C(F)F)cc1)C(=O)Nc1ccccc1 ZINC000680866890 1047056732 /nfs/dbraw/zinc/05/67/32/1047056732.db2.gz WZYIWJMTNKRCFX-UHFFFAOYSA-N 0 3 318.367 4.131 20 0 DIADHN Cc1cnccc1O[C@@H]1CCN(Cc2ccc(Cl)cc2C)C1 ZINC001141234049 1047057511 /nfs/dbraw/zinc/05/75/11/1047057511.db2.gz FKHVVZRBDGIGDC-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1n[nH]cc1CN1C[C@@H](C)C[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000536663635 1047057196 /nfs/dbraw/zinc/05/71/96/1047057196.db2.gz RHTJSLOLSBKDIQ-MEDUHNTESA-N 0 3 323.362 4.320 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccccn3)C2)ccc1Cl ZINC001141237274 1047057626 /nfs/dbraw/zinc/05/76/26/1047057626.db2.gz KAWWNHNUQGHPAQ-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN COc1cc(CN[C@@H](C)c2cnccc2C)cc2ccccc21 ZINC000648493967 1047058973 /nfs/dbraw/zinc/05/89/73/1047058973.db2.gz DLFUSQZSMVQANM-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)Cc1ccc2c(c1)OCO2 ZINC001141233601 1047059068 /nfs/dbraw/zinc/05/90/68/1047059068.db2.gz QYYCMHNTSIGVCE-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN CCC1(O)CCC(NCc2cc(Cl)sc2Cl)CC1 ZINC000648493069 1047059321 /nfs/dbraw/zinc/05/93/21/1047059321.db2.gz HPMYCAFBKNYIJA-UHFFFAOYSA-N 0 3 308.274 4.228 20 0 DIADHN Cc1cc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)ccc1Cl ZINC001141237905 1047060353 /nfs/dbraw/zinc/06/03/53/1047060353.db2.gz YWBYJLBHRGOFNC-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2scnc2C)C12CCCCC2 ZINC000536681144 1047061735 /nfs/dbraw/zinc/06/17/35/1047061735.db2.gz PPBRFFRFEBYNCF-HOTGVXAUSA-N 0 3 308.491 4.011 20 0 DIADHN COC[C@@H]1CCCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000536673212 1047062912 /nfs/dbraw/zinc/06/29/12/1047062912.db2.gz AMIZXCVIEQVYIC-CQSZACIVSA-N 0 3 308.491 4.049 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@@H]1CCCN(c2ccccc2)C1 ZINC000536669816 1047063983 /nfs/dbraw/zinc/06/39/83/1047063983.db2.gz AHNFEGOGBNTFAX-OAHLLOKOSA-N 0 3 304.821 4.034 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)CCO1 ZINC000648563118 1047067846 /nfs/dbraw/zinc/06/78/46/1047067846.db2.gz SDHQWOUGHRTZJQ-GGPKGHCWSA-N 0 3 310.441 4.027 20 0 DIADHN C[C@@H]1c2ccsc2CCN1CCCOc1ccc(F)cc1 ZINC000680926313 1047068094 /nfs/dbraw/zinc/06/80/94/1047068094.db2.gz VPKKYLUFUZPSRO-CYBMUJFWSA-N 0 3 305.418 4.275 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2ccccc2C(F)(F)F)c1 ZINC001141266450 1047068767 /nfs/dbraw/zinc/06/87/67/1047068767.db2.gz UGSSAUMTNCZICS-UHFFFAOYSA-N 0 3 322.374 4.403 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@@H](c3ccccc3)C2)c(C)s1 ZINC000680937132 1047070640 /nfs/dbraw/zinc/07/06/40/1047070640.db2.gz FPPWOKHJEHRQNJ-JCURWCKSSA-N 0 3 316.470 4.331 20 0 DIADHN Cc1csc(CN2CCCC[C@@H]2Cc2ccc(F)cc2)n1 ZINC000536682751 1047073097 /nfs/dbraw/zinc/07/30/97/1047073097.db2.gz GVKVAWAWIHWAAM-MRXNPFEDSA-N 0 3 304.434 4.188 20 0 DIADHN c1ccc2c(c1)SC[C@H]2CNCc1nc2c(s1)CCCC2 ZINC000648611372 1047073914 /nfs/dbraw/zinc/07/39/14/1047073914.db2.gz VGAQDTZNAXVNDE-GFCCVEGCSA-N 0 3 316.495 4.001 20 0 DIADHN C[C@H]1CN(C[C@H](O)c2ccccc2Cl)[C@@H]1c1ccccc1 ZINC000799997772 1047080190 /nfs/dbraw/zinc/08/01/90/1047080190.db2.gz UIRPSQMGXMQLLX-KKXDTOCCSA-N 0 3 301.817 4.066 20 0 DIADHN O[C@@H](CN(Cc1cccc(F)c1)C1CC1)c1ccccc1Cl ZINC000799993657 1047080792 /nfs/dbraw/zinc/08/07/92/1047080792.db2.gz DDDAPMMBLVQXSO-SFHVURJKSA-N 0 3 319.807 4.177 20 0 DIADHN C[C@H]1CN(C[C@H](O)c2ccccc2Cl)[C@H]1c1ccccc1 ZINC000799997768 1047080982 /nfs/dbraw/zinc/08/09/82/1047080982.db2.gz UIRPSQMGXMQLLX-DOPJRALCSA-N 0 3 301.817 4.066 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccc(F)cc1)c1ccccc1Cl ZINC000799992968 1047081411 /nfs/dbraw/zinc/08/14/11/1047081411.db2.gz RDLZRBNIFTUKMZ-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN Oc1ccc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)cc1 ZINC000648715587 1047085265 /nfs/dbraw/zinc/08/52/65/1047085265.db2.gz MPZAZPHGKIFSBC-KRWDZBQOSA-N 0 3 305.780 4.426 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1ccc2cnccc2c1 ZINC000648719316 1047085469 /nfs/dbraw/zinc/08/54/69/1047085469.db2.gz MHKNUXOXUSTZTI-MLGOLLRUSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)c1ccncc1 ZINC000681051748 1047086387 /nfs/dbraw/zinc/08/63/87/1047086387.db2.gz HCRWSITVIWAFKC-UWVGGRQHSA-N 0 3 315.364 4.011 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1[C@H](CC)CC[C@@H]1C ZINC000536745339 1047088989 /nfs/dbraw/zinc/08/89/89/1047088989.db2.gz OXTOOJIGCZVDEE-WMLDXEAASA-N 0 3 302.462 4.013 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cncc(C)c1)c1ccccc1Cl ZINC000681053202 1047089722 /nfs/dbraw/zinc/08/97/22/1047089722.db2.gz MBYRANCIIBPIML-SUMWQHHRSA-N 0 3 304.821 4.082 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2nccc3ccccc32)o1 ZINC000681084629 1047093078 /nfs/dbraw/zinc/09/30/78/1047093078.db2.gz RZRWFAVQJMYZOK-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN COc1cc(F)cc(C[C@H](C)N[C@H](C)c2nc(C)sc2C)c1 ZINC000648870587 1047096119 /nfs/dbraw/zinc/09/61/19/1047096119.db2.gz HVULGQYFPADDEG-WDEREUQCSA-N 0 3 322.449 4.189 20 0 DIADHN CSc1cc(CNCc2ccc(C(C)(C)C)s2)ccn1 ZINC000536757197 1047098224 /nfs/dbraw/zinc/09/82/24/1047098224.db2.gz UEGYBRLJBSDPTK-UHFFFAOYSA-N 0 3 306.500 4.452 20 0 DIADHN C[C@H](NCCCOc1ccccc1)c1nc2c(s1)CCCC2 ZINC000536770449 1047099948 /nfs/dbraw/zinc/09/99/48/1047099948.db2.gz FKRHDVHVFOMZFV-AWEZNQCLSA-N 0 3 316.470 4.142 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)[C@@H](c2ccccc2)N(C)C)c(C)s1 ZINC000681138199 1047101483 /nfs/dbraw/zinc/10/14/83/1047101483.db2.gz DTMUTHHDVHGKNP-JCGVRSQUSA-N 0 3 317.502 4.102 20 0 DIADHN COc1ccc(COCCN[C@@H](C)c2ccccc2Cl)cc1 ZINC000536826113 1047104470 /nfs/dbraw/zinc/10/44/70/1047104470.db2.gz JRGLKJIIYLKXHO-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cncc2ccccc21)c1ccccc1 ZINC000827526856 1047108725 /nfs/dbraw/zinc/10/87/25/1047108725.db2.gz QEARYOAUGCEDLE-LEWJYISDSA-N 0 3 320.436 4.083 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](C)c2cc(C)c(F)c(C)c2)cc1 ZINC000536831767 1047113618 /nfs/dbraw/zinc/11/36/18/1047113618.db2.gz HZMWANCGJFDNHB-HNAYVOBHSA-N 0 3 315.432 4.389 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000536855488 1047113764 /nfs/dbraw/zinc/11/37/64/1047113764.db2.gz AITTUOGFZJYDCI-UXHICEINSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H](C)c1ccc(F)cc1Cl ZINC000536861797 1047114630 /nfs/dbraw/zinc/11/46/30/1047114630.db2.gz DUSVYUDBEHZQDO-BDAKNGLRSA-N 0 3 313.722 4.097 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](c1nc2ccccc2[nH]1)C(C)C ZINC000536876471 1047117892 /nfs/dbraw/zinc/11/78/92/1047117892.db2.gz LGKHFMYHTHNOPC-WMLDXEAASA-N 0 3 303.450 4.055 20 0 DIADHN CC(C)c1nnc(CN[C@@H](Cc2ccc(F)cc2)C(C)C)s1 ZINC000536854696 1047118179 /nfs/dbraw/zinc/11/81/79/1047118179.db2.gz WVRPLZIKMWHTOT-HNNXBMFYSA-N 0 3 321.465 4.158 20 0 DIADHN c1ccc([C@H]2CN(Cc3ccccc3OC3CC3)CCCO2)cc1 ZINC000649287251 1047119363 /nfs/dbraw/zinc/11/93/63/1047119363.db2.gz XHDOZJVFOYPZBD-OAQYLSRUSA-N 0 3 323.436 4.191 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1csc(Br)c1 ZINC000536885581 1047120229 /nfs/dbraw/zinc/12/02/29/1047120229.db2.gz LICZBDDYBKFVSY-JTQLQIEISA-N 0 3 308.310 4.084 20 0 DIADHN CC(C)Oc1ccc2nccc(N3CC[C@H](C(F)F)C3)c2c1 ZINC000649355454 1047121098 /nfs/dbraw/zinc/12/10/98/1047121098.db2.gz RRZXPLDXUCNWJV-LBPRGKRZSA-N 0 3 306.356 4.113 20 0 DIADHN COc1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)ccn1 ZINC000536948931 1047126492 /nfs/dbraw/zinc/12/64/92/1047126492.db2.gz GQNLXTXUBNPKQZ-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN CCCc1cccc(CN2CC(c3nc4ccccc4[nH]3)C2)c1 ZINC000681484793 1047126854 /nfs/dbraw/zinc/12/68/54/1047126854.db2.gz LNJXGRNZWDWVAK-UHFFFAOYSA-N 0 3 305.425 4.115 20 0 DIADHN C[C@@H](NCc1ccc(NC(=O)C2CC2)cc1)c1ccc(F)cc1 ZINC001116292727 1047127132 /nfs/dbraw/zinc/12/71/32/1047127132.db2.gz CKFIWPJLAXTVQZ-CYBMUJFWSA-N 0 3 312.388 4.025 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1nc2ccccc2n1C ZINC001116326696 1047130865 /nfs/dbraw/zinc/13/08/65/1047130865.db2.gz ACGSHUPXZGIWQR-UHFFFAOYSA-N 0 3 307.441 4.026 20 0 DIADHN CC(C)[C@@H](NCCC(=O)N1CCCCC1)c1ccccc1Cl ZINC000537015364 1047131587 /nfs/dbraw/zinc/13/15/87/1047131587.db2.gz WQLLRHPMAJTVRJ-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN CC[C@@H]1C[C@@H](C)CC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000681600247 1047133439 /nfs/dbraw/zinc/13/34/39/1047133439.db2.gz AANCAJJQNSYPNC-JJRVBVJISA-N 0 3 308.466 4.294 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000537029790 1047133912 /nfs/dbraw/zinc/13/39/12/1047133912.db2.gz MRHKCWGVNIAAHE-ZENOOKHLSA-N 0 3 310.869 4.074 20 0 DIADHN O=C(Nc1cccc(Cl)c1)C(C[C@H]1CCCO1)C(F)(F)F ZINC000649476957 1047136103 /nfs/dbraw/zinc/13/61/03/1047136103.db2.gz XQNGJTIVMWGVLT-NEPJUHHUSA-N 0 3 321.726 4.026 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1cnc(C(F)(F)F)nc1 ZINC001141453403 1047136321 /nfs/dbraw/zinc/13/63/21/1047136321.db2.gz SXICFMINNVIGOT-UHFFFAOYSA-N 0 3 323.362 4.154 20 0 DIADHN O=C(Nc1ccccc1Cl)C(C[C@@H]1CCCO1)C(F)(F)F ZINC000649476567 1047136584 /nfs/dbraw/zinc/13/65/84/1047136584.db2.gz KNKGLEBIQRFIEN-UWVGGRQHSA-N 0 3 321.726 4.026 20 0 DIADHN Cc1cc(C(C)(C)C)cc(C)c1CCN[C@@H](C)c1nccn1C ZINC000537104534 1047136674 /nfs/dbraw/zinc/13/66/74/1047136674.db2.gz DZYAUGHLTAJCQP-INIZCTEOSA-N 0 3 313.489 4.228 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1nccn1C ZINC000537094096 1047137964 /nfs/dbraw/zinc/13/79/64/1047137964.db2.gz NKZQETPKJQKFMP-JKSUJKDBSA-N 0 3 317.502 4.258 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000537118208 1047138707 /nfs/dbraw/zinc/13/87/07/1047138707.db2.gz YIDVHDKBWJGKDA-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)Nc2c(C)cccc2C)c1 ZINC000537105331 1047139524 /nfs/dbraw/zinc/13/95/24/1047139524.db2.gz ZLHCAXTWNUQQQE-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](C)c2cc(C)cc(C)c2)c1 ZINC000537115499 1047139646 /nfs/dbraw/zinc/13/96/46/1047139646.db2.gz ANTSYOPRNDAHKM-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(c2cccnc2)CC1 ZINC001116436741 1047140034 /nfs/dbraw/zinc/14/00/34/1047140034.db2.gz GQMFSNGCICVGQA-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN CCCN(Cc1ccc(C)cc1)Cc1ccc([S@](C)=O)cc1 ZINC001116438668 1047140362 /nfs/dbraw/zinc/14/03/62/1047140362.db2.gz WZDYEJBJYIJRLI-QFIPXVFZSA-N 0 3 315.482 4.145 20 0 DIADHN CC[C@H](OCCN1CCC[C@H]1c1ccccn1)c1ccccc1 ZINC000649549266 1047141184 /nfs/dbraw/zinc/14/11/84/1047141184.db2.gz ZZZCCFOAFFHRIM-PMACEKPBSA-N 0 3 310.441 4.386 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1cc2n(n1)CCC2 ZINC000649547108 1047141557 /nfs/dbraw/zinc/14/15/57/1047141557.db2.gz LOFLJERXEUVYKO-KBPBESRZSA-N 0 3 317.864 4.107 20 0 DIADHN Clc1ccccc1NC1CCN(Cc2ccsc2)CC1 ZINC001116443739 1047142000 /nfs/dbraw/zinc/14/20/00/1047142000.db2.gz FNEOPFJVMFPVOH-UHFFFAOYSA-N 0 3 306.862 4.478 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2c(F)cccc2N2CCCC2)C1 ZINC001116445726 1047142434 /nfs/dbraw/zinc/14/24/34/1047142434.db2.gz WPQAQCBFMIXVFF-LSDHHAIUSA-N 0 3 322.493 4.142 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H](c2ccccc2)C2CCC2)cn1 ZINC000649558870 1047142965 /nfs/dbraw/zinc/14/29/65/1047142965.db2.gz FFKOFXYAAUGCET-HNNXBMFYSA-N 0 3 321.346 4.126 20 0 DIADHN COCc1ccc(CN2C[C@H]3CCCC[C@]32c2ccccc2)o1 ZINC001116449072 1047143838 /nfs/dbraw/zinc/14/38/38/1047143838.db2.gz JQINYOSJFCZWQX-XLIONFOSSA-N 0 3 311.425 4.327 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)CCC3)CCCO2 ZINC001116459659 1047144917 /nfs/dbraw/zinc/14/49/17/1047144917.db2.gz KQNLJHGEDKRFHD-IBGZPJMESA-N 0 3 311.400 4.318 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](c2cccs2)C2CC2)c1 ZINC000537167814 1047145673 /nfs/dbraw/zinc/14/56/73/1047145673.db2.gz GSEYHMSHRWYNIQ-GOSISDBHSA-N 0 3 314.454 4.044 20 0 DIADHN Cc1ccc([C@@H](C)NC(=O)c2ccc(NC3=NCCC3)cc2)cc1 ZINC001141499621 1047148781 /nfs/dbraw/zinc/14/87/81/1047148781.db2.gz LRJQYAPCIAYNTK-OAHLLOKOSA-N 0 3 321.424 4.090 20 0 DIADHN CC[C@H](OCCN[C@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000649583907 1047149217 /nfs/dbraw/zinc/14/92/17/1047149217.db2.gz CNZRQZBSDMUYOA-APWZRJJASA-N 0 3 315.461 4.283 20 0 DIADHN COc1ccc([C@H](C)CN2CCc3[nH]c4ccccc4c3C2)cc1 ZINC000537206946 1047150044 /nfs/dbraw/zinc/15/00/44/1047150044.db2.gz HOIOJXHQGXNIES-OAHLLOKOSA-N 0 3 320.436 4.338 20 0 DIADHN COc1ccc([C@@H](C)CN2CCc3[nH]c4ccccc4c3C2)cc1 ZINC000537206945 1047150920 /nfs/dbraw/zinc/15/09/20/1047150920.db2.gz HOIOJXHQGXNIES-HNNXBMFYSA-N 0 3 320.436 4.338 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1ccc2c(c1)ncn2C ZINC000537206102 1047152313 /nfs/dbraw/zinc/15/23/13/1047152313.db2.gz RRYVZYAEVPKKNQ-INIZCTEOSA-N 0 3 311.454 4.144 20 0 DIADHN COc1ccc(CN2CCC(C)(F)CC2)cc1OC1CCCC1 ZINC001141514285 1047153651 /nfs/dbraw/zinc/15/36/51/1047153651.db2.gz WBQRCVMWNPLXQP-UHFFFAOYSA-N 0 3 321.436 4.341 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1cc2ccccc2o1 ZINC001141519221 1047158510 /nfs/dbraw/zinc/15/85/10/1047158510.db2.gz YSMKZAHUYGTOJN-UHFFFAOYSA-N 0 3 323.396 4.103 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cnc(-c2ccncc2)s1 ZINC000681988945 1047158653 /nfs/dbraw/zinc/15/86/53/1047158653.db2.gz LTKQDLNMYSLBNF-UHFFFAOYSA-N 0 3 315.364 4.026 20 0 DIADHN CC(C)c1ocnc1CN[C@@H](Cc1ccc(F)cc1)C(C)C ZINC001116576847 1047158634 /nfs/dbraw/zinc/15/86/34/1047158634.db2.gz HRCAWKUFCACJGL-INIZCTEOSA-N 0 3 304.409 4.294 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](c1ccccc1C)C1CC1 ZINC000537289546 1047159963 /nfs/dbraw/zinc/15/99/63/1047159963.db2.gz GGVNMWRLLKRNBI-OAQYLSRUSA-N 0 3 322.452 4.237 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)N[C@@H](C)c1ccc(F)cc1Cl ZINC000305749464 1047161875 /nfs/dbraw/zinc/16/18/75/1047161875.db2.gz QWIYVSVSXYNBKW-JRPNMDOOSA-N 0 3 311.784 4.235 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](N[C@H](C)CSC(C)(C)C)CCC2 ZINC001116629140 1047162891 /nfs/dbraw/zinc/16/28/91/1047162891.db2.gz JMFSWEXBZGDOGH-HIFRSBDPSA-N 0 3 309.523 4.351 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)ncn1 ZINC000649684834 1047163715 /nfs/dbraw/zinc/16/37/15/1047163715.db2.gz DEMOJGYOCSVLKN-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN COC[C@@H](N[C@@H](C)Cc1ccccc1Cl)c1ccc(C)o1 ZINC001116613978 1047163755 /nfs/dbraw/zinc/16/37/55/1047163755.db2.gz CXJRPDBKQJKWSH-BLLLJJGKSA-N 0 3 307.821 4.150 20 0 DIADHN C[C@@H](NCCC(=O)NC(C)(C)C)c1cc2cc(Cl)ccc2o1 ZINC000537308323 1047165255 /nfs/dbraw/zinc/16/52/55/1047165255.db2.gz KRBVUKBCEXRUQP-LLVKDONJSA-N 0 3 322.836 4.042 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccc3ncccc3c1)CC2 ZINC000649709700 1047167104 /nfs/dbraw/zinc/16/71/04/1047167104.db2.gz WXKLTZOWRXVRJK-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN Cc1noc(C)c1CN1CCc2ccc(Cl)cc2[C@H](C)C1 ZINC000649747191 1047171103 /nfs/dbraw/zinc/17/11/03/1047171103.db2.gz SGAKATOZWVMGIV-LLVKDONJSA-N 0 3 304.821 4.107 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@@H](C)c2cccc(F)c2F)cc1 ZINC000537345096 1047172765 /nfs/dbraw/zinc/17/27/65/1047172765.db2.gz VPYFEBNLVBKGSM-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CCC3(CC3(F)F)CC2)c1 ZINC000649773075 1047173701 /nfs/dbraw/zinc/17/37/01/1047173701.db2.gz IAFRLPLXISJMGS-UHFFFAOYSA-N 0 3 317.326 4.218 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC3(CC3(F)F)CC2)cc1 ZINC000649778280 1047174455 /nfs/dbraw/zinc/17/44/55/1047174455.db2.gz SDLKGSARTUNZIF-UHFFFAOYSA-N 0 3 321.289 4.206 20 0 DIADHN Cc1nc(C)c(CN2CCCC[C@@H]2Cc2ccccc2F)o1 ZINC000649795004 1047176709 /nfs/dbraw/zinc/17/67/09/1047176709.db2.gz ZOJLDHMSVPPOMB-MRXNPFEDSA-N 0 3 302.393 4.028 20 0 DIADHN Clc1cc(Cl)c2nc(CNC3(C4CCC4)CC3)cn2c1 ZINC000649808269 1047178008 /nfs/dbraw/zinc/17/80/08/1047178008.db2.gz YNEPYNLQWDNVKA-UHFFFAOYSA-N 0 3 310.228 4.063 20 0 DIADHN Fc1ccc(-c2nc(CNC3(C4CCC4)CC3)cs2)cc1 ZINC000649807037 1047178560 /nfs/dbraw/zinc/17/85/60/1047178560.db2.gz JNQOHOCTHOQVQY-UHFFFAOYSA-N 0 3 302.418 4.372 20 0 DIADHN Cn1cc(-c2ccc(CN3CC[C@@H]3c3ccccc3)cc2)cn1 ZINC001116861720 1047183961 /nfs/dbraw/zinc/18/39/61/1047183961.db2.gz YQKZTKRCUZKIMQ-HXUWFJFHSA-N 0 3 303.409 4.034 20 0 DIADHN CCC[C@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1ccsc1 ZINC000682376522 1047186593 /nfs/dbraw/zinc/18/65/93/1047186593.db2.gz SMZJJMDFTPXHFO-DLBZAZTESA-N 0 3 320.502 4.113 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN([C@H](C)C1CC1)C1CC1 ZINC000031653970 1047186532 /nfs/dbraw/zinc/18/65/32/1047186532.db2.gz MMSCJBJTMAUMFA-CQSZACIVSA-N 0 3 300.446 4.011 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1n[nH]c2ccccc21 ZINC000682408764 1047191630 /nfs/dbraw/zinc/19/16/30/1047191630.db2.gz WJANKPQKKWRFEO-UHFFFAOYSA-N 0 3 304.397 4.066 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1[nH]nc2ccccc21 ZINC000682408764 1047191635 /nfs/dbraw/zinc/19/16/35/1047191635.db2.gz WJANKPQKKWRFEO-UHFFFAOYSA-N 0 3 304.397 4.066 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000476051313 1047192762 /nfs/dbraw/zinc/19/27/62/1047192762.db2.gz QNUQLFZNZGFOOU-WBVHZDCISA-N 0 3 302.462 4.212 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C(C)C)cc(F)c1OC ZINC001137500577 1047200628 /nfs/dbraw/zinc/20/06/28/1047200628.db2.gz IJLQMSDSVMIIRL-UHFFFAOYSA-N 0 3 317.404 4.254 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(OC)c(Br)c1 ZINC000399557389 1047224054 /nfs/dbraw/zinc/22/40/54/1047224054.db2.gz CTDVXZOJJLMBHD-AAEUAGOBSA-N 0 3 312.251 4.078 20 0 DIADHN COc1cc(CCC2CCN(C/C=C\Cl)CC2)cc(OC)c1 ZINC000839263832 1047208129 /nfs/dbraw/zinc/20/81/29/1047208129.db2.gz KFRWFQZUYGCKTJ-BAQGIRSFSA-N 0 3 323.864 4.101 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H](c2ccnn2C)C(C)C)cs1 ZINC000682644906 1047209226 /nfs/dbraw/zinc/20/92/26/1047209226.db2.gz DVPWKHOPOLCQGD-ZIAGYGMSSA-N 0 3 320.506 4.090 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cccc(OC(F)F)c2)cn1 ZINC000397093779 1047212476 /nfs/dbraw/zinc/21/24/76/1047212476.db2.gz LUFIMPOAQDGQFE-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cccc(OC(F)F)c2)cn1 ZINC000397093778 1047212908 /nfs/dbraw/zinc/21/29/08/1047212908.db2.gz LUFIMPOAQDGQFE-CYBMUJFWSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc(Br)cc2)cn1 ZINC000397091278 1047213193 /nfs/dbraw/zinc/21/31/93/1047213193.db2.gz VVAJMIJMKZSCLV-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CN(Cc1cc(Br)cc(Cl)c1F)C(C)(C)C ZINC001141598786 1047215142 /nfs/dbraw/zinc/21/51/42/1047215142.db2.gz LPRMKXQUTFHWBO-UHFFFAOYSA-N 0 3 308.622 4.472 20 0 DIADHN C[C@@H](N[C@@H]1COc2cc(F)cc(F)c21)C1CCC(F)(F)CC1 ZINC000682733713 1047217625 /nfs/dbraw/zinc/21/76/25/1047217625.db2.gz GJFCBJHBLBVFAO-NOZJJQNGSA-N 0 3 317.326 4.202 20 0 DIADHN CC1(C)C[C@@H](N(Cc2ccccc2)Cc2ccncc2)C(C)(C)O1 ZINC000537467315 1047225952 /nfs/dbraw/zinc/22/59/52/1047225952.db2.gz LGNVLEQNZYWMQE-LJQANCHMSA-N 0 3 324.468 4.430 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc(Cl)cs1 ZINC000760410788 1047227630 /nfs/dbraw/zinc/22/76/30/1047227630.db2.gz CCQUODJFLMNTHB-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN C[C@@H](CO)N(C)Cc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001117593555 1047233756 /nfs/dbraw/zinc/23/37/56/1047233756.db2.gz BCBWYMNDFFGBGP-JTQLQIEISA-N 0 3 314.212 4.066 20 0 DIADHN CCC(CC)[C@H](NCc1c(C)cnn1COC)c1cccs1 ZINC001117660694 1047235131 /nfs/dbraw/zinc/23/51/31/1047235131.db2.gz BKFFEAUBOBUWGJ-KRWDZBQOSA-N 0 3 321.490 4.124 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC[C@H](c2ccccn2)C1 ZINC001141628937 1047237809 /nfs/dbraw/zinc/23/78/09/1047237809.db2.gz PBBABSIVANZDMI-INIZCTEOSA-N 0 3 321.399 4.149 20 0 DIADHN CCCCC[C@H](NCc1nc2ccccc2c(=O)[nH]1)C(C)(C)C ZINC000839543933 1047244830 /nfs/dbraw/zinc/24/48/30/1047244830.db2.gz FAGBPGTXIDFUSK-INIZCTEOSA-N 0 3 315.461 4.420 20 0 DIADHN CC[C@H](NCC[C@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000839542982 1047246398 /nfs/dbraw/zinc/24/63/98/1047246398.db2.gz YBAWOOHDNDQVHG-ROUUACIJSA-N 0 3 305.368 4.129 20 0 DIADHN CCN(Cc1csc(-c2ccccc2OC)n1)C(C)(C)C ZINC001117805463 1047246405 /nfs/dbraw/zinc/24/64/05/1047246405.db2.gz WUBRSFUNNMZZLP-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN Cc1ccc(CN(Cc2ccc(-n3ccnc3)cc2C)C2CC2)o1 ZINC000683002576 1047250610 /nfs/dbraw/zinc/25/06/10/1047250610.db2.gz MTWJLCCPBJIWOL-UHFFFAOYSA-N 0 3 321.424 4.247 20 0 DIADHN C[C@H](N[C@@H]1CCCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000682999472 1047250812 /nfs/dbraw/zinc/25/08/12/1047250812.db2.gz QJQBAZNLJVXIPJ-SWLSCSKDSA-N 0 3 317.408 4.495 20 0 DIADHN Cc1ccnc(CN(CC(C)C)[C@@H]2CCCc3cccnc32)c1 ZINC000683023559 1047261545 /nfs/dbraw/zinc/26/15/45/1047261545.db2.gz FWCYETCCDGIMSZ-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN COc1cccc(C2=CCN(C/C=C/c3ccccc3)CC2)c1 ZINC000476254054 1047264330 /nfs/dbraw/zinc/26/43/30/1047264330.db2.gz GIYLMQXZFHTNNL-RMKNXTFCSA-N 0 3 305.421 4.498 20 0 DIADHN CCCCCn1cc(CNCc2ccc3cc[nH]c3c2)c(C)n1 ZINC000527682613 1047269758 /nfs/dbraw/zinc/26/97/58/1047269758.db2.gz FEDUHTUWEGAKFS-UHFFFAOYSA-N 0 3 310.445 4.153 20 0 DIADHN CN(Cc1c(Br)ccc2ccccc21)CC1CC1 ZINC001141715081 1047273228 /nfs/dbraw/zinc/27/32/28/1047273228.db2.gz LTXSRCVHTRYCRO-UHFFFAOYSA-N 0 3 304.231 4.444 20 0 DIADHN C[C@H](N[C@H](Cn1ccnc1)C(C)(C)C)c1ccc(F)cc1Cl ZINC000385952171 1047276021 /nfs/dbraw/zinc/27/60/21/1047276021.db2.gz FMUCJEIEFDBVPB-BLLLJJGKSA-N 0 3 323.843 4.441 20 0 DIADHN CC(C)N(Cc1ccc(Br)c(F)c1F)C(C)C ZINC001141741300 1047284893 /nfs/dbraw/zinc/28/48/93/1047284893.db2.gz KHWMGLDHGAIOEX-UHFFFAOYSA-N 0 3 306.194 4.346 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2ccc(O)c(F)c2)c1 ZINC000389233196 1047290057 /nfs/dbraw/zinc/29/00/57/1047290057.db2.gz JAANBVURYUZKEI-CYBMUJFWSA-N 0 3 303.377 4.169 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)[NH2+]Cc2ccc([O-])c(F)c2)c1 ZINC000389233196 1047290065 /nfs/dbraw/zinc/29/00/65/1047290065.db2.gz JAANBVURYUZKEI-CYBMUJFWSA-N 0 3 303.377 4.169 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@H](C)c1cncs1 ZINC000897932799 1047298483 /nfs/dbraw/zinc/29/84/83/1047298483.db2.gz QASHONXUUQQUQI-YTRUQHMWSA-N 0 3 324.396 4.499 20 0 DIADHN CCC[C@](C)(NCc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000897925263 1047299608 /nfs/dbraw/zinc/29/96/08/1047299608.db2.gz FVBLYWCIHWKDJW-DYVFJYSZSA-N 0 3 304.459 4.002 20 0 DIADHN FC(F)SCc1ccc(CNC[C@H]2CCCC2(F)F)o1 ZINC000390655776 1047300084 /nfs/dbraw/zinc/30/00/84/1047300084.db2.gz OPQWISIIXJIAHX-SECBINFHSA-N 0 3 311.344 4.260 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc2ccccc2c1)c1ccccc1F ZINC000390575421 1047300676 /nfs/dbraw/zinc/30/06/76/1047300676.db2.gz BYWQJDFAEDKRRO-XOBRGWDASA-N 0 3 309.384 4.363 20 0 DIADHN O=[N+]([O-])c1ccc(Cl)cc1CNC[C@H]1CCCCC1(F)F ZINC000390844810 1047301892 /nfs/dbraw/zinc/30/18/92/1047301892.db2.gz ARDDVEFJDOASER-LLVKDONJSA-N 0 3 318.751 4.163 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2ccc(-c3ccccc3)cc2)c2nccn21 ZINC000651271451 1047308677 /nfs/dbraw/zinc/30/86/77/1047308677.db2.gz NQAPFISCHJVGCH-OXQOHEQNSA-N 0 3 317.436 4.388 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1C[C@]1(C)CC1(Cl)Cl ZINC000827957577 1047309807 /nfs/dbraw/zinc/30/98/07/1047309807.db2.gz OIBXUUHRASFRBX-KBPBESRZSA-N 0 3 301.261 4.136 20 0 DIADHN CC[C@@H](NCc1ccc(-n2cccn2)cc1)c1ccccc1OC ZINC000393401843 1047310477 /nfs/dbraw/zinc/31/04/77/1047310477.db2.gz YHXVLAVZQXUNOF-LJQANCHMSA-N 0 3 321.424 4.122 20 0 DIADHN Cc1cc(N[C@H](C)c2ccccc2Oc2ccccc2)nc(N)n1 ZINC000725792667 1047314288 /nfs/dbraw/zinc/31/42/88/1047314288.db2.gz NMZPVUFKJLKAPQ-CQSZACIVSA-N 0 3 320.396 4.333 20 0 DIADHN Clc1ccc(CN[C@H]2COc3ccccc3C2)c(Cl)c1 ZINC000328073078 1047316034 /nfs/dbraw/zinc/31/60/34/1047316034.db2.gz BYSGRJDDSPBILL-CQSZACIVSA-N 0 3 308.208 4.087 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cccc4c3OCO4)C2)c1 ZINC001141958733 1047317709 /nfs/dbraw/zinc/31/77/09/1047317709.db2.gz WJPZFHZJKAKRSD-AWEZNQCLSA-N 0 3 315.800 4.058 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725814922 1047317810 /nfs/dbraw/zinc/31/78/10/1047317810.db2.gz XGDWHJFFHDPSSS-NVXWUHKLSA-N 0 3 318.461 4.079 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cc4cnccc4o3)CC2)c1 ZINC000651644313 1047320045 /nfs/dbraw/zinc/32/00/45/1047320045.db2.gz MUBIUVZXZJXBMZ-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN C[C@H](NC1CCC(C)(O)CC1)c1csc(-c2ccccc2)n1 ZINC000840723790 1047320780 /nfs/dbraw/zinc/32/07/80/1047320780.db2.gz GAOOWTJAXRHMOL-UQHVZDHRSA-N 0 3 316.470 4.154 20 0 DIADHN FC(F)(F)c1cnc(CN2C[C@@H]3CCCC[C@H]3C2)c(Cl)c1 ZINC001142539023 1047322496 /nfs/dbraw/zinc/32/24/96/1047322496.db2.gz RNBSYCJPZXMUGG-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000840746043 1047324232 /nfs/dbraw/zinc/32/42/32/1047324232.db2.gz XJERZDNVWCATRZ-WBMJQRKESA-N 0 3 316.470 4.497 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1ccc(-c2ccccc2)cn1 ZINC000651738203 1047324136 /nfs/dbraw/zinc/32/41/36/1047324136.db2.gz FBUZSONREKSDBU-IFXJQAMLSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@@H](NCc1cccc2[nH]ccc21)c1cnn(CC2CCC2)c1 ZINC000898178044 1047324947 /nfs/dbraw/zinc/32/49/47/1047324947.db2.gz WVXSXWJAYWOGKX-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN C[C@H](N[C@@H](CO)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651741301 1047325468 /nfs/dbraw/zinc/32/54/68/1047325468.db2.gz FHWXCKUCACGLQC-MAUKXSAKSA-N 0 3 312.457 4.196 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)co1 ZINC000840758487 1047327119 /nfs/dbraw/zinc/32/71/19/1047327119.db2.gz CXTBJIKRGUMMPS-UKRRQHHQSA-N 0 3 304.821 4.316 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1ccc(-c2ccccc2)cn1 ZINC000651789976 1047328628 /nfs/dbraw/zinc/32/86/28/1047328628.db2.gz GYLJJXUSDZBRLI-ZDUSSCGKSA-N 0 3 302.368 4.445 20 0 DIADHN COCc1cc([C@H](C)NCc2csc(C)c2C)ccc1OC ZINC000399880688 1047329270 /nfs/dbraw/zinc/32/92/70/1047329270.db2.gz KRYKBLFZFYLQTM-ZDUSSCGKSA-N 0 3 319.470 4.371 20 0 DIADHN CC1(C)Cc2cc(CN3CCC4(CC4(F)F)CC3)ccc2O1 ZINC000651953737 1047333624 /nfs/dbraw/zinc/33/36/24/1047333624.db2.gz UNSMWWCAXWXAQA-UHFFFAOYSA-N 0 3 307.384 4.021 20 0 DIADHN C[C@@]1(O)CCCN(Cc2sccc2Oc2ccccc2)CC1 ZINC001141994469 1047334508 /nfs/dbraw/zinc/33/45/08/1047334508.db2.gz MSJMZGICRASMNT-GOSISDBHSA-N 0 3 317.454 4.277 20 0 DIADHN CC[C@H](OCCNCc1cnc(C2CC2)s1)c1ccccc1 ZINC000651908322 1047334681 /nfs/dbraw/zinc/33/46/81/1047334681.db2.gz BEPCGEFZJAOZJZ-KRWDZBQOSA-N 0 3 316.470 4.278 20 0 DIADHN CCCc1cccc(CNCc2cccc(NC(=O)OC)c2)c1 ZINC000796490196 1047335490 /nfs/dbraw/zinc/33/54/90/1047335490.db2.gz OYXKRDIOWJKHIH-UHFFFAOYSA-N 0 3 312.413 4.107 20 0 DIADHN c1cc([C@@H](NCc2ccc(OCC3CC3)cc2)C2CC2)ccn1 ZINC000898321963 1047335659 /nfs/dbraw/zinc/33/56/59/1047335659.db2.gz FTOWJLCQKIFEOX-FQEVSTJZSA-N 0 3 308.425 4.111 20 0 DIADHN c1cc([C@H](NCc2ccc(OCC3CC3)cc2)C2CC2)ccn1 ZINC000898321964 1047335772 /nfs/dbraw/zinc/33/57/72/1047335772.db2.gz FTOWJLCQKIFEOX-HXUWFJFHSA-N 0 3 308.425 4.111 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnn1-c1ccc(F)cc1)CC2 ZINC000651959183 1047336530 /nfs/dbraw/zinc/33/65/30/1047336530.db2.gz BOLPQVZKMWBTSW-FQEVSTJZSA-N 0 3 321.399 4.097 20 0 DIADHN Fc1ccc(CN[C@@H](c2ccncc2)C2CC2)cc1C(F)(F)F ZINC000898324928 1047337072 /nfs/dbraw/zinc/33/70/72/1047337072.db2.gz MBCFBXBTYIBIIA-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN CC1(C)Cc2cc(CN[C@@H](c3ccncc3)C3CC3)ccc2O1 ZINC000898324103 1047337158 /nfs/dbraw/zinc/33/71/58/1047337158.db2.gz BRTHLNQZSWWRMZ-LJQANCHMSA-N 0 3 308.425 4.036 20 0 DIADHN Fc1cc(CN[C@H](c2ccncc2)C2CC2)ccc1OC(F)F ZINC000898324480 1047337618 /nfs/dbraw/zinc/33/76/18/1047337618.db2.gz IALFSBWYWIGNQV-INIZCTEOSA-N 0 3 322.330 4.063 20 0 DIADHN C[C@H](NC1(C2CCC2)CCC1)c1nc(Br)cs1 ZINC000840810505 1047339307 /nfs/dbraw/zinc/33/93/07/1047339307.db2.gz AMXVFTZZGZXLDC-VIFPVBQESA-N 0 3 315.280 4.279 20 0 DIADHN CC(C)C[C@@H](NCc1ccccc1CO)c1ccc(F)cc1 ZINC000652143019 1047344673 /nfs/dbraw/zinc/34/46/73/1047344673.db2.gz LMIYCUPWRWVVQF-LJQANCHMSA-N 0 3 301.405 4.195 20 0 DIADHN C[C@@H](NCc1cnccc1C(C)(C)C)c1ccc2c(c1)CCO2 ZINC000840855087 1047345637 /nfs/dbraw/zinc/34/56/37/1047345637.db2.gz IHMWKYWPJFGGBJ-CQSZACIVSA-N 0 3 310.441 4.165 20 0 DIADHN CSc1ccc(CN2CC[C@H](Oc3ccccc3C)C2)s1 ZINC001142025557 1047350953 /nfs/dbraw/zinc/35/09/53/1047350953.db2.gz BCUKJRGRAUIXCQ-AWEZNQCLSA-N 0 3 319.495 4.432 20 0 DIADHN CSc1ccc(CN2CCC(Cc3ccncc3)CC2)s1 ZINC001142029289 1047353409 /nfs/dbraw/zinc/35/34/09/1047353409.db2.gz VKOKCQHBRYFOBQ-UHFFFAOYSA-N 0 3 318.511 4.320 20 0 DIADHN Cc1occc1CN[C@@H](C)[C@H](O)c1c(Cl)cccc1Cl ZINC000840901724 1047356640 /nfs/dbraw/zinc/35/66/40/1047356640.db2.gz OCSTXPLAIFRVFI-VFZGTOFNSA-N 0 3 314.212 4.107 20 0 DIADHN CCC[C@H](C)N1Cc2ccc(NC(=S)NCC(C)C)cc2C1 ZINC000726494711 1047362997 /nfs/dbraw/zinc/36/29/97/1047362997.db2.gz MADSGJFZUIOOMO-AWEZNQCLSA-N 0 3 319.518 4.133 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCC3(CCC3)CC2)c1 ZINC000796513078 1047364212 /nfs/dbraw/zinc/36/42/12/1047364212.db2.gz KAUFHQMFJUMYPQ-UHFFFAOYSA-N 0 3 318.486 4.226 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000106860287 1047364814 /nfs/dbraw/zinc/36/48/14/1047364814.db2.gz KKFDWAHZZUKHTP-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@H](NC/C=C/c1ccccc1OC)c1ccccc1OC ZINC000726607587 1047372002 /nfs/dbraw/zinc/37/20/02/1047372002.db2.gz UGSXHEJAZHCNJD-SXAWMYDMSA-N 0 3 311.425 4.458 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC3(C2)CCOC3)cc1 ZINC001142095221 1047376536 /nfs/dbraw/zinc/37/65/36/1047376536.db2.gz HOHWJLQVPTUGHP-UHFFFAOYSA-N 0 3 313.828 4.229 20 0 DIADHN Fc1cccc(C=C2CCN(C[C@@H]3C[C@H]4CCC[C@@H]4O3)CC2)c1 ZINC001168302668 1047377059 /nfs/dbraw/zinc/37/70/59/1047377059.db2.gz PDGLRZIZPMJRQU-HOJAQTOUSA-N 0 3 315.432 4.263 20 0 DIADHN CCOCOc1ccc(CNCc2ccc3[nH]c(C)cc3c2)cc1 ZINC000796527117 1047378208 /nfs/dbraw/zinc/37/82/08/1047378208.db2.gz AHXHHHCQEVLFRU-UHFFFAOYSA-N 0 3 324.424 4.139 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@@H](C)C(F)(F)CC1 ZINC001142162893 1047392428 /nfs/dbraw/zinc/39/24/28/1047392428.db2.gz FHJAUVFYXFENJV-GFCCVEGCSA-N 0 3 313.436 4.122 20 0 DIADHN O[C@@H](c1ccccc1)[C@H]1CCCCN1Cc1ccc(F)c(F)c1 ZINC000726972648 1047396236 /nfs/dbraw/zinc/39/62/36/1047396236.db2.gz CHBMCGFUKNUAPN-MOPGFXCFSA-N 0 3 317.379 4.053 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CC3(C2)CCCC3)cc1 ZINC001142187555 1047396316 /nfs/dbraw/zinc/39/63/16/1047396316.db2.gz CROQZZWHUBDBGP-UHFFFAOYSA-N 0 3 301.430 4.018 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC(OCC2CC2)CC1 ZINC000653561836 1047399139 /nfs/dbraw/zinc/39/91/39/1047399139.db2.gz XLJVWJNZRKENSJ-UHFFFAOYSA-N 0 3 317.473 4.210 20 0 DIADHN OC[C@@H](NC/C=C\c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000533922254 1047399452 /nfs/dbraw/zinc/39/94/52/1047399452.db2.gz KPFPZBJNCRIXSZ-DANOYGIBSA-N 0 3 321.342 4.042 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)OCCCO3)cc1 ZINC001142262449 1047419339 /nfs/dbraw/zinc/41/93/39/1047419339.db2.gz DGVONVAVFKFBAF-IBGZPJMESA-N 0 3 323.436 4.493 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2ccc3c(c2)OCCCO3)cc1 ZINC001142266608 1047419396 /nfs/dbraw/zinc/41/93/96/1047419396.db2.gz DIPNVYZZSLRALF-SFHVURJKSA-N 0 3 309.409 4.185 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@H](OC)C(C)(C)C1 ZINC000653748330 1047420061 /nfs/dbraw/zinc/42/00/61/1047420061.db2.gz VUZXROXSGHASLN-SFHVURJKSA-N 0 3 305.462 4.066 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2ccc3c(c2)OCCCO3)c1 ZINC001142266806 1047420123 /nfs/dbraw/zinc/42/01/23/1047420123.db2.gz LFMDUSBNUVLRBE-HNNXBMFYSA-N 0 3 315.438 4.247 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3c(c2)OCCCO3)cc1 ZINC001142262450 1047420517 /nfs/dbraw/zinc/42/05/17/1047420517.db2.gz DGVONVAVFKFBAF-LJQANCHMSA-N 0 3 323.436 4.493 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC2(CC2(F)F)CC1 ZINC000653778657 1047421757 /nfs/dbraw/zinc/42/17/57/1047421757.db2.gz BTQZDGGGYDBKQQ-UHFFFAOYSA-N 0 3 301.327 4.019 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)Nc1ccc2c3c(cccc31)CC2 ZINC001142285845 1047422121 /nfs/dbraw/zinc/42/21/21/1047422121.db2.gz MNQDFIIAHXPHJV-IBGZPJMESA-N 0 3 322.452 4.141 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1ccccc1OC ZINC000117875258 1047426097 /nfs/dbraw/zinc/42/60/97/1047426097.db2.gz GEEXEPVFWRFGFX-UHFFFAOYSA-N 0 3 313.441 4.124 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000212438991 1047428007 /nfs/dbraw/zinc/42/80/07/1047428007.db2.gz RXMXYQPKQDTZFP-LBPRGKRZSA-N 0 3 307.796 4.476 20 0 DIADHN CCCc1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)cc1 ZINC001142348570 1047434122 /nfs/dbraw/zinc/43/41/22/1047434122.db2.gz BOLRMLSJRHYDLX-HUUCEWRRSA-N 0 3 303.343 4.219 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NCCC(C)(C)CC)nc1C ZINC000612525883 1047435405 /nfs/dbraw/zinc/43/54/05/1047435405.db2.gz UYEUCRCIWWTRNS-LBPRGKRZSA-N 0 3 312.479 4.105 20 0 DIADHN CCCNC(=O)[C@H]1CCCCN1Cc1c(C)ccc2ccccc21 ZINC001142380440 1047437838 /nfs/dbraw/zinc/43/78/38/1047437838.db2.gz QCBRQZHTFAASDD-HXUWFJFHSA-N 0 3 324.468 4.029 20 0 DIADHN C[C@H](c1ccco1)N(Cc1ccc(-n2ccnc2)cc1)C1CC1 ZINC000086232977 1047439588 /nfs/dbraw/zinc/43/95/88/1047439588.db2.gz ORGVIRBFUWAZTD-OAHLLOKOSA-N 0 3 307.397 4.191 20 0 DIADHN CSc1ncc(CN2CCC3(CCCC3)CC2)c(Cl)n1 ZINC001142411876 1047441282 /nfs/dbraw/zinc/44/12/82/1047441282.db2.gz DIWWZORJZLXACW-UHFFFAOYSA-N 0 3 311.882 4.008 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNCC[C@H](C)c1ccccc1 ZINC000749917807 1047447378 /nfs/dbraw/zinc/44/73/78/1047447378.db2.gz BIGLXZVNFOYWIA-AWEZNQCLSA-N 0 3 319.880 4.480 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C[C@@H]1CCCO1 ZINC000119477034 1047459268 /nfs/dbraw/zinc/45/92/68/1047459268.db2.gz ZIJLDRBOTCSPEU-HOCLYGCPSA-N 0 3 316.470 4.290 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)CC2CCCCCC2)N(C)C)cc1 ZINC001142539828 1047466471 /nfs/dbraw/zinc/46/64/71/1047466471.db2.gz ZJPYCDBWFWURHM-LJQANCHMSA-N 0 3 316.489 4.074 20 0 DIADHN CCn1nc(C)c(CN2CC[C@H](C)[C@H]2c2cccc(F)c2)c1C ZINC000537621586 1047467412 /nfs/dbraw/zinc/46/74/12/1047467412.db2.gz ZIRJAJHRUBANBS-DJJJIMSYSA-N 0 3 315.436 4.242 20 0 DIADHN CC(C)Oc1cccc(CN(C)[C@H](CCO)c2ccccc2)c1 ZINC000537624108 1047474892 /nfs/dbraw/zinc/47/48/92/1047474892.db2.gz RKNBJXIMEPDWFR-HXUWFJFHSA-N 0 3 313.441 4.029 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2ccc(F)cc21)c1c(C)nn(C)c1C ZINC000089214308 1047477070 /nfs/dbraw/zinc/47/70/70/1047477070.db2.gz DKZZBBJVEVTDOW-MSOLQXFVSA-N 0 3 315.436 4.294 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2cccc3nc[nH]c32)c(F)c1 ZINC001142692381 1047482538 /nfs/dbraw/zinc/48/25/38/1047482538.db2.gz BADISNUTNOBVRX-KRWDZBQOSA-N 0 3 313.351 4.178 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nc(C2CC2)no1 ZINC000120090942 1047483514 /nfs/dbraw/zinc/48/35/14/1047483514.db2.gz IJECRIIKACEOMS-DLBZAZTESA-N 0 3 311.429 4.105 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089913012 1047493884 /nfs/dbraw/zinc/49/38/84/1047493884.db2.gz BEWBYRXNLVOWTK-LRDDRELGSA-N 0 3 317.433 4.347 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089910165 1047493895 /nfs/dbraw/zinc/49/38/95/1047493895.db2.gz RLCUUVWGLOJJFL-LRDDRELGSA-N 0 3 317.433 4.347 20 0 DIADHN COC(=O)[C@@H]1CCCN1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168338301 1047497410 /nfs/dbraw/zinc/49/74/10/1047497410.db2.gz ZLQYXUPQJREMJO-PREGVCBESA-N 0 3 321.848 4.004 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1nccn1C(F)F ZINC000120599731 1047498069 /nfs/dbraw/zinc/49/80/69/1047498069.db2.gz ZFJMIHATBFZKQL-OAHLLOKOSA-N 0 3 307.388 4.121 20 0 DIADHN Fc1ccc(CCN(Cc2cnc(C3CC3)s2)C2CC2)cc1 ZINC000537630011 1047497980 /nfs/dbraw/zinc/49/79/80/1047497980.db2.gz DXOIDCFJAZKXGK-UHFFFAOYSA-N 0 3 316.445 4.367 20 0 DIADHN C[C@@H]1CN(Cc2cnn(C(C)(C)C)c2)CC[C@@H]1c1ccccc1 ZINC000537632779 1047510517 /nfs/dbraw/zinc/51/05/17/1047510517.db2.gz QFYRYELYXFVGQA-APWZRJJASA-N 0 3 311.473 4.264 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc(OC)cc1)c1c(C)noc1C ZINC000090886215 1047511488 /nfs/dbraw/zinc/51/14/88/1047511488.db2.gz FIIZEXOLONDHKR-IRXDYDNUSA-N 0 3 302.418 4.492 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2cc(OC)ccc21)c1c(C)noc1C ZINC000090886380 1047512449 /nfs/dbraw/zinc/51/24/49/1047512449.db2.gz RFDZBNNZKBKAGV-QZTJIDSGSA-N 0 3 314.429 4.418 20 0 DIADHN Clc1cccc(C2(N[C@H]3CCCc4n[nH]cc43)CCC2)c1 ZINC000842924955 1047513776 /nfs/dbraw/zinc/51/37/76/1047513776.db2.gz AZKBVCMEBUELDV-HNNXBMFYSA-N 0 3 301.821 4.110 20 0 DIADHN COc1cc(C)c(CN(C)CCC(=O)c2ccccc2)c(C)c1 ZINC001142935009 1047519144 /nfs/dbraw/zinc/51/91/44/1047519144.db2.gz LDCTVRAXHXMMKB-UHFFFAOYSA-N 0 3 311.425 4.017 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN2CCCO[C@@H](C)C2)o1 ZINC000537636712 1047526762 /nfs/dbraw/zinc/52/67/62/1047526762.db2.gz LSVANNIEZUQBDY-ZDUSSCGKSA-N 0 3 303.377 4.005 20 0 DIADHN CCc1ccc(CN2CCC(OCCc3ccccc3)CC2)o1 ZINC000092195458 1047530174 /nfs/dbraw/zinc/53/01/74/1047530174.db2.gz KASKLQYKFOERQU-UHFFFAOYSA-N 0 3 313.441 4.066 20 0 DIADHN C[C@@H](NC(=O)CN(C)CC1CCCC1)c1ccc2ccccc2c1 ZINC000060211410 1047535336 /nfs/dbraw/zinc/53/53/36/1047535336.db2.gz HGIIDMPOHUADLQ-MRXNPFEDSA-N 0 3 324.468 4.139 20 0 DIADHN Fc1ccc2c(c1)[nH]cc2C1CCN(Cc2cncs2)CC1 ZINC000092668413 1047536967 /nfs/dbraw/zinc/53/69/67/1047536967.db2.gz AIEVQHGCNMLVFB-UHFFFAOYSA-N 0 3 315.417 4.143 20 0 DIADHN Oc1ccc(CNCc2cccc(Oc3ccccc3)c2)cc1 ZINC000237972540 1047541746 /nfs/dbraw/zinc/54/17/46/1047541746.db2.gz PCQXQEZDRJZXBD-UHFFFAOYSA-N 0 3 305.377 4.474 20 0 DIADHN c1c2cccnc2[nH]c1CN1CCC(Sc2ccccc2)CC1 ZINC001143221874 1047541981 /nfs/dbraw/zinc/54/19/81/1047541981.db2.gz OSCNRNYATXQPGQ-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN Fc1ccc(CC2CCN(Cc3cc4cccnc4[nH]3)CC2)cc1 ZINC001143223297 1047542096 /nfs/dbraw/zinc/54/20/96/1047542096.db2.gz GWSZDVKQTSCCFD-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2cccs2)s1 ZINC000093049300 1047543071 /nfs/dbraw/zinc/54/30/71/1047543071.db2.gz ZTPFBBIZHJKNTL-UHFFFAOYSA-N 0 3 303.456 4.140 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001143244180 1047543834 /nfs/dbraw/zinc/54/38/34/1047543834.db2.gz QJIUGVUHGOCGLK-CYBMUJFWSA-N 0 3 311.269 4.348 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@H](C(C)(C)C)CC2)cc1 ZINC000093439035 1047546284 /nfs/dbraw/zinc/54/62/84/1047546284.db2.gz HEPMZWLSHWHEJZ-HRAATJIYSA-N 0 3 307.503 4.072 20 0 DIADHN CC[C@@H](CCc1ccccc1)NCc1snc(OC)c1Cl ZINC001203136478 1047546917 /nfs/dbraw/zinc/54/69/17/1047546917.db2.gz PGSQHZMZKLTOEK-ZDUSSCGKSA-N 0 3 324.877 4.306 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000093419021 1047547021 /nfs/dbraw/zinc/54/70/21/1047547021.db2.gz DEVSBGXYAQPCRE-CQSZACIVSA-N 0 3 308.491 4.049 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)Cc2cccc3c[nH]nc32)s1 ZINC000093612451 1047549758 /nfs/dbraw/zinc/54/97/58/1047549758.db2.gz CQHWBLPEXHNYTQ-LBPRGKRZSA-N 0 3 314.458 4.083 20 0 DIADHN CC(=O)Nc1ccc(CN(C)Cc2cc3ccccc3o2)cc1 ZINC000093689171 1047550921 /nfs/dbraw/zinc/55/09/21/1047550921.db2.gz BVEUSHUOILJGPA-UHFFFAOYSA-N 0 3 308.381 4.023 20 0 DIADHN COc1cc(CN2CCC(SC)CC2)ccc1C(F)(F)F ZINC001143349899 1047554257 /nfs/dbraw/zinc/55/42/57/1047554257.db2.gz WYOYLFPLQVTRNZ-UHFFFAOYSA-N 0 3 319.392 4.041 20 0 DIADHN Cc1cc(NC(=O)c2oc3ccccc3c2CN(C)C)cs1 ZINC001149514043 1047564218 /nfs/dbraw/zinc/56/42/18/1047564218.db2.gz WGBJOCBJISGIPJ-UHFFFAOYSA-N 0 3 314.410 4.117 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(SC)cc1 ZINC000058876000 1047574742 /nfs/dbraw/zinc/57/47/42/1047574742.db2.gz UZWOHCSEWMLQCX-UHFFFAOYSA-N 0 3 301.455 4.057 20 0 DIADHN Cc1cc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)c(C)s1 ZINC000666958445 1047577016 /nfs/dbraw/zinc/57/70/16/1047577016.db2.gz JYWOUHAGIRQIDY-INIZCTEOSA-N 0 3 316.470 4.339 20 0 DIADHN COc1ccc(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)c(F)c1 ZINC000404641712 1047580615 /nfs/dbraw/zinc/58/06/15/1047580615.db2.gz DDCVEIJOOFWVQO-GXTWGEPZSA-N 0 3 305.315 4.045 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1csc(C(C)C)n1 ZINC000237140928 1047581172 /nfs/dbraw/zinc/58/11/72/1047581172.db2.gz MZHKRGRJGNBWCA-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)/C=C/CCc2ccccc2)c1 ZINC001151010982 1047589751 /nfs/dbraw/zinc/58/97/51/1047589751.db2.gz FFSKYCCZVCRRFP-XYOKQWHBSA-N 0 3 322.452 4.184 20 0 DIADHN CC(C)=C[C@@H]1[C@H](C(=O)Nc2cc(C)cc(CN(C)C)c2)C1(C)C ZINC001151013197 1047590379 /nfs/dbraw/zinc/59/03/79/1047590379.db2.gz ZBFNZPHKDJFMOX-QZTJIDSGSA-N 0 3 314.473 4.234 20 0 DIADHN CCN(CC)CC(=O)N[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC001151424159 1047595626 /nfs/dbraw/zinc/59/56/26/1047595626.db2.gz SPUMNGHXTPQWHK-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN CN(CCCC(=O)c1ccc(F)cc1)Cc1cccc(F)c1 ZINC000315274249 1047602740 /nfs/dbraw/zinc/60/27/40/1047602740.db2.gz SPLPSRUOYCVMEW-UHFFFAOYSA-N 0 3 303.352 4.060 20 0 DIADHN Cc1nc(CCN[C@H](c2ccc(C)cc2)c2cccnc2)cs1 ZINC000924629798 1047604132 /nfs/dbraw/zinc/60/41/32/1047604132.db2.gz ZBADYHFAYQKILW-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc2ccsc21)N1CCCCC1 ZINC001151936991 1047604615 /nfs/dbraw/zinc/60/46/15/1047604615.db2.gz SOJSMLPAYUTHHB-INIZCTEOSA-N 0 3 316.470 4.350 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nc(C(C)C)no1 ZINC000477222320 1047605173 /nfs/dbraw/zinc/60/51/73/1047605173.db2.gz OSFSYJUZZDYWEN-DLBZAZTESA-N 0 3 313.445 4.351 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000385906501 1047610338 /nfs/dbraw/zinc/61/03/38/1047610338.db2.gz DSDHPUHPCBVRBY-HNNXBMFYSA-N 0 3 308.400 4.498 20 0 DIADHN Brc1ccc(CN2CCC[C@H]2C2CCC2)s1 ZINC000477606875 1047627264 /nfs/dbraw/zinc/62/72/64/1047627264.db2.gz YCRJHBFBRMKHFD-LBPRGKRZSA-N 0 3 300.265 4.275 20 0 DIADHN Cc1ccc(CN2CCCN(c3ccccc3)CC2)cc1Cl ZINC001204381641 1047621473 /nfs/dbraw/zinc/62/14/73/1047621473.db2.gz IOAWCRWPBGHEDH-UHFFFAOYSA-N 0 3 314.860 4.361 20 0 DIADHN CCCOc1ccc(CN2CCC(OC(C)C)CC2)cc1C ZINC001204381272 1047621868 /nfs/dbraw/zinc/62/18/68/1047621868.db2.gz BMRBGFCHRZKVDU-UHFFFAOYSA-N 0 3 305.462 4.173 20 0 DIADHN CCN(C)c1ccc(CN(C)Cc2cccc3ccccc32)cn1 ZINC001204381652 1047622568 /nfs/dbraw/zinc/62/25/68/1047622568.db2.gz IURMTEYSZCFVSI-UHFFFAOYSA-N 0 3 319.452 4.323 20 0 DIADHN OCCCCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000477644179 1047629010 /nfs/dbraw/zinc/62/90/10/1047629010.db2.gz LCALOCYXLDGTTM-HNNXBMFYSA-N 0 3 301.352 4.005 20 0 DIADHN Cc1ccc(-c2nc(CN3CCC[C@@H]3C3CCC3)cs2)o1 ZINC000477646713 1047629281 /nfs/dbraw/zinc/62/92/81/1047629281.db2.gz QRDLMMLNFDCIHZ-OAHLLOKOSA-N 0 3 302.443 4.476 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000537774257 1047638409 /nfs/dbraw/zinc/63/84/09/1047638409.db2.gz MZUVACDUVUKJBT-IFXJQAMLSA-N 0 3 317.404 4.009 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)NCc1nnc(C(C)C)s1 ZINC000537957111 1047647048 /nfs/dbraw/zinc/64/70/48/1047647048.db2.gz RIKYSSUPJVSEMJ-HNNXBMFYSA-N 0 3 303.475 4.081 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1cnc2ccsc2c1 ZINC000537971204 1047651626 /nfs/dbraw/zinc/65/16/26/1047651626.db2.gz CVCVNTMZPITHTD-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN c1ccc([C@@H](Nc2ncccc2CN2CCCC2)C2CC2)cc1 ZINC001154646290 1047653572 /nfs/dbraw/zinc/65/35/72/1047653572.db2.gz BXTKKDBQRTWNHR-LJQANCHMSA-N 0 3 307.441 4.241 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](CO)c2sccc2C)cc1 ZINC000538020071 1047655682 /nfs/dbraw/zinc/65/56/82/1047655682.db2.gz ALZTYMKNRCCDIC-WMLDXEAASA-N 0 3 319.470 4.230 20 0 DIADHN Cc1coc2ccc(NC(=O)[C@@H](C(C)C)N3CCCCC3)cc12 ZINC001154777813 1047656817 /nfs/dbraw/zinc/65/68/17/1047656817.db2.gz WPQVAODMKHVQDI-GOSISDBHSA-N 0 3 314.429 4.190 20 0 DIADHN Cn1ccc2cc(CNC(C)(C)c3ccnc(Cl)c3)ccc21 ZINC001154805566 1047658164 /nfs/dbraw/zinc/65/81/64/1047658164.db2.gz BRHPHPWHVDJHOR-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN Cc1nc2c(cccc2CCN[C@@H](C)c2cccnc2Cl)o1 ZINC000926970917 1047661329 /nfs/dbraw/zinc/66/13/29/1047661329.db2.gz BZVIVRCTXNZPCX-NSHDSACASA-N 0 3 315.804 4.078 20 0 DIADHN COc1ncc(CN[C@@H](C)c2cccc(C)c2C)cc1Cl ZINC000538186945 1047661899 /nfs/dbraw/zinc/66/18/99/1047661899.db2.gz DFMRFNQTDQSWKM-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CC[C@H](C)[C@@H](NCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000538196143 1047662682 /nfs/dbraw/zinc/66/26/82/1047662682.db2.gz ZOAMRSFIWNOANR-KBXCAEBGSA-N 0 3 322.880 4.029 20 0 DIADHN COC[C@@H](NCCCSCC(C)C)c1ccc(F)c(F)c1 ZINC000538193837 1047662692 /nfs/dbraw/zinc/66/26/92/1047662692.db2.gz DZQJANBYPBUOLR-MRXNPFEDSA-N 0 3 317.445 4.021 20 0 DIADHN Cc1ccc(CN(C)Cc2cn(C)nc2-c2ccccc2)cc1 ZINC000538307998 1047666247 /nfs/dbraw/zinc/66/62/47/1047666247.db2.gz HGOJKZDDTPFEKB-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN C[C@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1cnccn1 ZINC000538308704 1047669683 /nfs/dbraw/zinc/66/96/83/1047669683.db2.gz SYMHLNMYYQVHDZ-MRXNPFEDSA-N 0 3 311.473 4.085 20 0 DIADHN Clc1ccc(C2(NCc3ccsc3)CCOCC2)cc1 ZINC000538399827 1047672225 /nfs/dbraw/zinc/67/22/25/1047672225.db2.gz NMGXYWOBLQWUFM-UHFFFAOYSA-N 0 3 307.846 4.197 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1nccc2c(Cl)cccc21 ZINC001155254920 1047673026 /nfs/dbraw/zinc/67/30/26/1047673026.db2.gz PGUYCLXARWGZAX-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN Cc1cccc2c(C)cc(-n3c4ccccc4nc3[C@@H](C)N)nc12 ZINC001155247226 1047673093 /nfs/dbraw/zinc/67/30/93/1047673093.db2.gz DSBVSEDHMVTCJH-CQSZACIVSA-N 0 3 316.408 4.210 20 0 DIADHN Cc1cccc2c(C)cc(-n3c4ccccc4nc3[C@H](C)N)nc12 ZINC001155247225 1047673183 /nfs/dbraw/zinc/67/31/83/1047673183.db2.gz DSBVSEDHMVTCJH-AWEZNQCLSA-N 0 3 316.408 4.210 20 0 DIADHN Clc1ccc2ccc(N[C@H]3CNCc4ccsc43)nc2c1 ZINC001155562731 1047684986 /nfs/dbraw/zinc/68/49/86/1047684986.db2.gz WQSFHTPOTTWHAL-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cccc2nc(N[C@H]3CNCc4ccsc43)ccc12 ZINC001155562667 1047685105 /nfs/dbraw/zinc/68/51/05/1047685105.db2.gz UXFOBZWNTNIJCD-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CC1)c1ccc(-n2cccn2)cc1 ZINC000538555164 1047687866 /nfs/dbraw/zinc/68/78/66/1047687866.db2.gz OUFUOBHELLGYNH-HNNXBMFYSA-N 0 3 321.399 4.351 20 0 DIADHN Clc1cccc(Cl)c1C1(N[C@H]2CCCOCC2)CC1 ZINC000538589611 1047690055 /nfs/dbraw/zinc/69/00/55/1047690055.db2.gz NQXDFXANRKCBOP-NSHDSACASA-N 0 3 300.229 4.141 20 0 DIADHN COc1cc(C)nc(CN(C2CC2)[C@H]2CCc3ccccc32)c1 ZINC000538615014 1047691203 /nfs/dbraw/zinc/69/12/03/1047691203.db2.gz ZIEWFWHNCQRQAC-FQEVSTJZSA-N 0 3 308.425 4.051 20 0 DIADHN Cc1ccc([C@@H]2[C@@H](C)CCCN2Cc2cnc3cnccn23)cc1 ZINC000538720481 1047699133 /nfs/dbraw/zinc/69/91/33/1047699133.db2.gz BUMLZRCNHPWPCE-JXFKEZNVSA-N 0 3 320.440 4.011 20 0 DIADHN CCC1(O)CCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000538718510 1047699420 /nfs/dbraw/zinc/69/94/20/1047699420.db2.gz WZHDFCNTMGITOE-UHFFFAOYSA-N 0 3 311.425 4.216 20 0 DIADHN Clc1cccc(CN2CC[C@H](C3CCOCC3)C2)c1Cl ZINC000538724256 1047700274 /nfs/dbraw/zinc/70/02/74/1047700274.db2.gz ZVWGPGDUYCUCRI-ZDUSSCGKSA-N 0 3 314.256 4.242 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CC[C@H](C)C[C@H]1c1ccco1 ZINC000538723490 1047700711 /nfs/dbraw/zinc/70/07/11/1047700711.db2.gz HLCPHXWMLRERTH-KSSFIOAISA-N 0 3 315.461 4.111 20 0 DIADHN C[C@H]1SCCN(Cc2ccc3[nH]cnc3c2)[C@@H]1c1ccccc1 ZINC000538720818 1047700761 /nfs/dbraw/zinc/70/07/61/1047700761.db2.gz XWRYXYCZUHHWLF-KUHUBIRLSA-N 0 3 323.465 4.242 20 0 DIADHN C[C@H]1SCCN(Cc2ccc3nc[nH]c3c2)[C@@H]1c1ccccc1 ZINC000538720818 1047700763 /nfs/dbraw/zinc/70/07/63/1047700763.db2.gz XWRYXYCZUHHWLF-KUHUBIRLSA-N 0 3 323.465 4.242 20 0 DIADHN CCCOc1cccc(CN(C)[C@@H](CCO)c2ccccc2)c1 ZINC000538728713 1047701643 /nfs/dbraw/zinc/70/16/43/1047701643.db2.gz SDPTZGVSGFUSMF-FQEVSTJZSA-N 0 3 313.441 4.031 20 0 DIADHN CC[C@H]1CN(Cc2c[nH]nc2-c2cccc(Cl)c2)CCS1 ZINC000538725732 1047706463 /nfs/dbraw/zinc/70/64/63/1047706463.db2.gz SMWBEKBHLLERSV-HNNXBMFYSA-N 0 3 321.877 4.058 20 0 DIADHN CCC(CC)CN(CC)Cc1nc2cc(Cl)ccc2n1C ZINC001307413407 1047706900 /nfs/dbraw/zinc/70/69/00/1047706900.db2.gz OQHZBVIRSJINAK-UHFFFAOYSA-N 0 3 307.869 4.485 20 0 DIADHN C[C@H]1CN(c2nc(N3CCCC3)cc3ccccc32)C2(CC2)C1 ZINC001156426249 1047712375 /nfs/dbraw/zinc/71/23/75/1047712375.db2.gz QMPZPCRFLCWONT-OAHLLOKOSA-N 0 3 307.441 4.214 20 0 DIADHN COc1ccccc1/C=C\CN(CCC(F)(F)F)CC1CC1 ZINC000538812835 1047714535 /nfs/dbraw/zinc/71/45/35/1047714535.db2.gz JUYBEBUXOMLQGE-XQRVVYSFSA-N 0 3 313.363 4.373 20 0 DIADHN COc1ccc(CN(CCC(F)(F)F)CC2CC2)cc1C ZINC000538812954 1047714884 /nfs/dbraw/zinc/71/48/84/1047714884.db2.gz RLVYGDYPRFUOGG-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN Cc1cnc(NC[C@@H](c2ccccc2)N2CCCC2)c(Cl)c1 ZINC001156522520 1047715369 /nfs/dbraw/zinc/71/53/69/1047715369.db2.gz BIGUYOBQBUVUOX-KRWDZBQOSA-N 0 3 315.848 4.292 20 0 DIADHN Brc1ccc(CN2CCCC3(CCCC3)CC2)cn1 ZINC001206660819 1047718534 /nfs/dbraw/zinc/71/85/34/1047718534.db2.gz JUSAZVAJROIINQ-UHFFFAOYSA-N 0 3 323.278 4.390 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H]2CC(C)(C)c3ccccc32)C1 ZINC000539055416 1047728313 /nfs/dbraw/zinc/72/83/13/1047728313.db2.gz ACHITHOIHXSXSR-WOJBJXKFSA-N 0 3 306.453 4.185 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@H](C)c2ccccc2F)cc1 ZINC000539051326 1047728549 /nfs/dbraw/zinc/72/85/49/1047728549.db2.gz FIKCITBDMQDFEE-PJIJBLCYSA-N 0 3 317.404 4.261 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccc2c(c1)CCC2 ZINC000539056207 1047729431 /nfs/dbraw/zinc/72/94/31/1047729431.db2.gz ZULHLPMKEWNYCF-YCRPNKLZSA-N 0 3 306.453 4.013 20 0 DIADHN COc1ccc(CN[C@H](C)c2cnc3ccsc3c2)c(C)c1 ZINC000539064326 1047730527 /nfs/dbraw/zinc/73/05/27/1047730527.db2.gz GSLFZIXZQPTPGL-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1nc(NC2c3ccccc3-c3ccccc32)cc(N(C)C)n1 ZINC001156965795 1047730809 /nfs/dbraw/zinc/73/08/09/1047730809.db2.gz AWEKTPNXZLTBKX-UHFFFAOYSA-N 0 3 316.408 4.033 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cnc3ccsc3c2)c(C)c1 ZINC000539064327 1047730927 /nfs/dbraw/zinc/73/09/27/1047730927.db2.gz GSLFZIXZQPTPGL-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3cc(C)ccc32)c(OC)c1 ZINC000539044297 1047732086 /nfs/dbraw/zinc/73/20/86/1047732086.db2.gz OJKRUUSZBWKTLP-KUHUBIRLSA-N 0 3 311.425 4.350 20 0 DIADHN CC(C)N1CC[C@H](N[C@@H](C)c2ccc(-c3ccccc3)cc2)C1=O ZINC000539085727 1047733165 /nfs/dbraw/zinc/73/31/65/1047733165.db2.gz UDRMRYDWFBESTI-JXFKEZNVSA-N 0 3 322.452 4.014 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000539068193 1047734270 /nfs/dbraw/zinc/73/42/70/1047734270.db2.gz MIAPIZWCXOTOQZ-IYOUNJFTSA-N 0 3 303.833 4.442 20 0 DIADHN CC[C@H](N[C@H](CO)c1sccc1C)c1cccc(Cl)c1 ZINC000539121287 1047738311 /nfs/dbraw/zinc/73/83/11/1047738311.db2.gz RZDYLYYPDDVVLZ-LSDHHAIUSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1ccc([C@@H](NCc2nc3ccc(C)cc3[nH]2)C2CC2)cc1 ZINC000539215151 1047740805 /nfs/dbraw/zinc/74/08/05/1047740805.db2.gz JHGJOJVBZXYAJF-HXUWFJFHSA-N 0 3 305.425 4.421 20 0 DIADHN CCCCn1cc(CN[C@H](C)c2ccc(CC)s2)c(C)n1 ZINC000539217580 1047742132 /nfs/dbraw/zinc/74/21/32/1047742132.db2.gz YIPLNOPIZSFHOV-CQSZACIVSA-N 0 3 305.491 4.466 20 0 DIADHN COC(=O)c1cc(CN[C@H](CC(C)C)c2ccccc2)c(C)o1 ZINC000539243385 1047743716 /nfs/dbraw/zinc/74/37/16/1047743716.db2.gz JDAFXPADSFLNND-QGZVFWFLSA-N 0 3 315.413 4.252 20 0 DIADHN CCC(CC)[C@H](NCC(=O)NC(C)(C)CC)c1cccs1 ZINC000539278207 1047743746 /nfs/dbraw/zinc/74/37/46/1047743746.db2.gz HKFLBPQXQRFILZ-INIZCTEOSA-N 0 3 310.507 4.120 20 0 DIADHN CCC(CC)[C@H](NCC(=O)N1CCC[C@@H](C)C1)c1cccs1 ZINC000539277150 1047744451 /nfs/dbraw/zinc/74/44/51/1047744451.db2.gz NYBRETORULJJCS-KDOFPFPSSA-N 0 3 322.518 4.074 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2cc(C)cc(C)c2)c(C)s1 ZINC000539321089 1047746462 /nfs/dbraw/zinc/74/64/62/1047746462.db2.gz WYOMHIOGLHVAIH-CYBMUJFWSA-N 0 3 317.454 4.311 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccc(OCC2CC2)c(F)c1 ZINC000539328669 1047747413 /nfs/dbraw/zinc/74/74/13/1047747413.db2.gz WGBHKIYAOQYNHS-WDEREUQCSA-N 0 3 319.342 4.464 20 0 DIADHN CCOC(=O)CCCCCN[C@@H](C)c1c(F)cccc1Cl ZINC000539376329 1047750219 /nfs/dbraw/zinc/75/02/19/1047750219.db2.gz BYEZOJVXLYPYSC-LBPRGKRZSA-N 0 3 315.816 4.253 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@H](C)C1)c1ncc(-c2ccccc2)n1C ZINC000927029547 1047751970 /nfs/dbraw/zinc/75/19/70/1047751970.db2.gz PYMYFAVFCKVHHB-IJEWVQPXSA-N 0 3 315.486 4.022 20 0 DIADHN C[C@H](N[C@H]1CCS[C@H](C)C1)c1ncc(-c2ccccc2)n1C ZINC000927029549 1047752128 /nfs/dbraw/zinc/75/21/28/1047752128.db2.gz PYMYFAVFCKVHHB-YCPHGPKFSA-N 0 3 315.486 4.022 20 0 DIADHN CC(C)(N[C@H]1CCNc2ccccc21)c1ccnc(Cl)c1 ZINC001157840255 1047758466 /nfs/dbraw/zinc/75/84/66/1047758466.db2.gz TZZFNDHMSHALQI-HNNXBMFYSA-N 0 3 301.821 4.117 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCOCC1CCC1 ZINC000566594947 1047764828 /nfs/dbraw/zinc/76/48/28/1047764828.db2.gz WBQVGOJURAHACB-LBPRGKRZSA-N 0 3 310.507 4.216 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCCCOc1ccc(C)cc1 ZINC000924697076 1047764994 /nfs/dbraw/zinc/76/49/94/1047764994.db2.gz SFJBBDHFAWMTJQ-QGZVFWFLSA-N 0 3 314.429 4.118 20 0 DIADHN COc1ccc(CN(Cc2ccc(OC)c(F)c2)C2CC2)cc1 ZINC000061785581 1047770950 /nfs/dbraw/zinc/77/09/50/1047770950.db2.gz KEPQCNANEASGBP-UHFFFAOYSA-N 0 3 315.388 4.008 20 0 DIADHN C[C@@H](N)c1ccn(-c2cc(-c3ccccc3)c3ccccc3n2)n1 ZINC001158350580 1047776636 /nfs/dbraw/zinc/77/66/36/1047776636.db2.gz MISDCUAYAHGZRC-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@H](CCO)CNCc1c(Cl)cccc1Oc1ccccc1 ZINC000478261943 1047777576 /nfs/dbraw/zinc/77/75/76/1047777576.db2.gz URJNXEJUTBTQAF-CQSZACIVSA-N 0 3 319.832 4.240 20 0 DIADHN Cc1cccc(COc2ccc(CNCc3ccn(C)c3)cc2)c1 ZINC000478316676 1047780816 /nfs/dbraw/zinc/78/08/16/1047780816.db2.gz QUJJBWKOLFSLAL-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN Cn1c(CNCCC2=CCCCC2)nc2ccc(Cl)cc21 ZINC000478342570 1047780900 /nfs/dbraw/zinc/78/09/00/1047780900.db2.gz PQEWXHGXDVVDAX-UHFFFAOYSA-N 0 3 303.837 4.207 20 0 DIADHN C[C@@H]1C[C@@H](Nc2cc(Cl)nc3c2CCNC3)c2ccccc21 ZINC001158471496 1047783165 /nfs/dbraw/zinc/78/31/65/1047783165.db2.gz HACFAAROBBZWGC-IAQYHMDHSA-N 0 3 313.832 4.041 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cnc(-c2ccccc2)s1 ZINC000552648836 1047784178 /nfs/dbraw/zinc/78/41/78/1047784178.db2.gz YWRPPXUPNWIJTD-AWEZNQCLSA-N 0 3 306.500 4.384 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000478435863 1047786149 /nfs/dbraw/zinc/78/61/49/1047786149.db2.gz VYFROQKGTIATAH-RSUWNVLCSA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)=CCC[C@@H](C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001158582261 1047790084 /nfs/dbraw/zinc/79/00/84/1047790084.db2.gz XULJWACKQLVIAH-OAHLLOKOSA-N 0 3 313.445 4.156 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001158703857 1047795469 /nfs/dbraw/zinc/79/54/69/1047795469.db2.gz ZVFGRJDLJKRHGA-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc(C)c1F ZINC000927069756 1047795746 /nfs/dbraw/zinc/79/57/46/1047795746.db2.gz NEKNZODQCWFTOY-KDOFPFPSSA-N 0 3 303.425 4.224 20 0 DIADHN Cc1cnc(CN(C)[C@@H](Cc2ccc(F)cc2)C(C)C)s1 ZINC000552812995 1047795782 /nfs/dbraw/zinc/79/57/82/1047795782.db2.gz RMSQDYHTJNSAPL-INIZCTEOSA-N 0 3 306.450 4.290 20 0 DIADHN Cc1cc(C)nc(NC[C@@H](O)c2c(Cl)cccc2Cl)c1 ZINC001159033447 1047807963 /nfs/dbraw/zinc/80/79/63/1047807963.db2.gz GYPFPNFMYLIADP-CYBMUJFWSA-N 0 3 311.212 4.151 20 0 DIADHN CC(C)[C@@H](Nc1cc(CN(C)C)ccn1)c1ccc(F)cc1 ZINC001159061997 1047810485 /nfs/dbraw/zinc/81/04/85/1047810485.db2.gz AMNYZPLETFVOQX-GOSISDBHSA-N 0 3 301.409 4.092 20 0 DIADHN CCOCCCCN[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000924712529 1047821053 /nfs/dbraw/zinc/82/10/53/1047821053.db2.gz ZGSPQHOXNOHMAC-SNVBAGLBSA-N 0 3 324.774 4.221 20 0 DIADHN CC[C@H](N[C@H](C)c1nc2ccccc2n1C(F)F)[C@H]1CCCO1 ZINC000553102957 1047822295 /nfs/dbraw/zinc/82/22/95/1047822295.db2.gz QSGHFFBMDIMQCA-TYNCELHUSA-N 0 3 323.387 4.040 20 0 DIADHN NCc1cc(Nc2c(F)cccc2OCc2ccccc2)ccn1 ZINC001159296224 1047826873 /nfs/dbraw/zinc/82/68/73/1047826873.db2.gz HOEKJSLIKZVILX-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN CCC[C@H](C(=O)NC[C@@H](c1cccs1)N1CCCC1)C(C)C ZINC000478941160 1047828233 /nfs/dbraw/zinc/82/82/33/1047828233.db2.gz TUKXSNRTDBAONW-HOTGVXAUSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccc2c(c1)OCO2 ZINC000479162372 1047843089 /nfs/dbraw/zinc/84/30/89/1047843089.db2.gz CYXPSLLCYGEUMJ-MEDUHNTESA-N 0 3 315.800 4.407 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)c(F)c2)Cc2ccccc21 ZINC001197552446 1047844686 /nfs/dbraw/zinc/84/46/86/1047844686.db2.gz KBKIYJPMLZSGSP-XIKOKIGWSA-N 0 3 319.807 4.132 20 0 DIADHN CCOc1cc(CN[C@@H]2C[C@@H](C)c3ccccc32)ccc1OC ZINC000553421966 1047848105 /nfs/dbraw/zinc/84/81/05/1047848105.db2.gz NQHADGSBWKMRLX-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN CNc1ncc2cc(Nc3ccnc(C4CCC4)c3)ccc2n1 ZINC001174532122 1047861335 /nfs/dbraw/zinc/86/13/35/1047861335.db2.gz HSSBDILISVIHCC-UHFFFAOYSA-N 0 3 305.385 4.078 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cc(C3CC3)nn2C)c2sccc21 ZINC000927100391 1047862008 /nfs/dbraw/zinc/86/20/08/1047862008.db2.gz MLFMWHPXYGSKRN-XHDPSFHLSA-N 0 3 301.459 4.087 20 0 DIADHN CC(C)Cc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)cn1 ZINC001182918675 1047863879 /nfs/dbraw/zinc/86/38/79/1047863879.db2.gz QJLXASATZKYVTG-FQEVSTJZSA-N 0 3 316.489 4.061 20 0 DIADHN Fc1cccc(/C=C/CN2CCC([C@@H]3CCCCO3)CC2)c1 ZINC001182918635 1047864019 /nfs/dbraw/zinc/86/40/19/1047864019.db2.gz PQPVBKVYZQCRIR-OQBYNLHGSA-N 0 3 303.421 4.120 20 0 DIADHN Cc1ccccc1NC(=O)c1ccccc1Nc1ccncc1C ZINC001174538672 1047864680 /nfs/dbraw/zinc/86/46/80/1047864680.db2.gz KRHCCXWTMNVZFZ-UHFFFAOYSA-N 0 3 317.392 4.116 20 0 DIADHN Cc1cnccc1Nc1ccc(NC(=O)OC(C)(C)C)c(C)c1 ZINC001174538144 1047864834 /nfs/dbraw/zinc/86/48/34/1047864834.db2.gz BZLLDJWEGJRICB-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN Cc1cnccc1Nc1cc2ccccc2nc1C(F)(F)F ZINC001174537841 1047864895 /nfs/dbraw/zinc/86/48/95/1047864895.db2.gz UFSOVVQJWVJKMX-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Cc1cnccc1Nc1cc2ncccc2c(C(F)(F)F)c1 ZINC001174538664 1047865188 /nfs/dbraw/zinc/86/51/88/1047865188.db2.gz KFVLJUQCSXOTDZ-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN CSc1ccc(Nc2ccncc2C)cc1Br ZINC001174538222 1047866129 /nfs/dbraw/zinc/86/61/29/1047866129.db2.gz AODKIJUYGXGJPQ-UHFFFAOYSA-N 0 3 309.232 4.040 20 0 DIADHN Cc1cnccc1Nc1cc(F)ccc1NC(=O)OC(C)(C)C ZINC001174539597 1047866863 /nfs/dbraw/zinc/86/68/63/1047866863.db2.gz MFLZLSODXHSUTD-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN Cc1cnccc1Nc1cnc2ccc(C(F)(F)F)cc2c1 ZINC001174537592 1047866945 /nfs/dbraw/zinc/86/69/45/1047866945.db2.gz MECMDEYPTMJZEB-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4ccn(C)c4c3)cccc21 ZINC001174517710 1047877992 /nfs/dbraw/zinc/87/79/92/1047877992.db2.gz SXDHGRALLUZPPQ-GOSISDBHSA-N 0 3 321.424 4.307 20 0 DIADHN COCCOc1ccc(CNCc2cccc(C(C)C)c2)cc1 ZINC000479396781 1047879855 /nfs/dbraw/zinc/87/98/55/1047879855.db2.gz QWNQBYMPKASIHK-UHFFFAOYSA-N 0 3 313.441 4.125 20 0 DIADHN COCCN(Cc1cccs1)Cc1cc2ccncc2s1 ZINC000667484938 1047884564 /nfs/dbraw/zinc/88/45/64/1047884564.db2.gz ATGDPZGXXVMYMH-UHFFFAOYSA-N 0 3 318.467 4.006 20 0 DIADHN COC(=O)c1csc(CN[C@H]2CCCc3c(C)cccc32)c1 ZINC001183053012 1047885278 /nfs/dbraw/zinc/88/52/78/1047885278.db2.gz FQGAYDJQDSPWEP-KRWDZBQOSA-N 0 3 315.438 4.010 20 0 DIADHN COCCN(CCOC1CCCCC1)Cc1ccc(C)c(C)c1 ZINC001197854978 1047889527 /nfs/dbraw/zinc/88/95/27/1047889527.db2.gz WELYWAPVLNFMAW-UHFFFAOYSA-N 0 3 319.489 4.101 20 0 DIADHN CC1(F)CCN(Cc2ccc(-c3ccncc3)cc2F)CC1 ZINC001183146648 1047893937 /nfs/dbraw/zinc/89/39/37/1047893937.db2.gz ZGWMITPIMNZWQX-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2coc(-c3ccccc3F)n2)C1 ZINC001197901000 1047894888 /nfs/dbraw/zinc/89/48/88/1047894888.db2.gz FKXSJGHJJJSWEY-ZIAGYGMSSA-N 0 3 302.393 4.349 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cccc(C)c3F)C2)cc1F ZINC001183169125 1047898976 /nfs/dbraw/zinc/89/89/76/1047898976.db2.gz BIWLMWIFMWCJRU-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cccc(C)c3F)C2)cc1F ZINC001183169121 1047900029 /nfs/dbraw/zinc/90/00/29/1047900029.db2.gz BIWLMWIFMWCJRU-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN NCc1cnccc1N(c1ccc(Cl)cc1)c1ccccc1N ZINC001159707188 1047906483 /nfs/dbraw/zinc/90/64/83/1047906483.db2.gz XVBJDCZROBBUEH-UHFFFAOYSA-N 0 3 324.815 4.246 20 0 DIADHN NCc1cnccc1Nc1ccc(-c2cccc(Cl)c2)cc1 ZINC001159713605 1047906665 /nfs/dbraw/zinc/90/66/65/1047906665.db2.gz UOCZYUDTVUZADI-UHFFFAOYSA-N 0 3 309.800 4.026 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc([C@H]2C[C@H]2C)o1)c1ccco1 ZINC000553659702 1047907515 /nfs/dbraw/zinc/90/75/15/1047907515.db2.gz BQJNPKBVUSKYTK-HYVNUMGLSA-N 0 3 316.445 4.169 20 0 DIADHN CC[C@H](NC[C@@H](OC)C1CCCC1)c1cc(F)ccc1OC ZINC001197968625 1047910790 /nfs/dbraw/zinc/91/07/90/1047910790.db2.gz KTQLNFQRRSGPMA-FUHWJXTLSA-N 0 3 309.425 4.080 20 0 DIADHN CC[C@@H](NC[C@H]1CCCC2(CCC2)O1)c1cc(F)ccc1OC ZINC001197970778 1047912841 /nfs/dbraw/zinc/91/28/41/1047912841.db2.gz ZDNYHKGEUCOYLK-NVXWUHKLSA-N 0 3 321.436 4.367 20 0 DIADHN Cc1ccc(Nc2ccc(N3CCN(C)CC3)cc2C)cc1C ZINC001174633220 1047917695 /nfs/dbraw/zinc/91/76/95/1047917695.db2.gz FRJPLLXJHLXLHX-UHFFFAOYSA-N 0 3 309.457 4.107 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@@H]3C(C)=CCC[C@H]3C)CCO2)cc1 ZINC001183362910 1047919514 /nfs/dbraw/zinc/91/95/14/1047919514.db2.gz FTKJPMSGDRQOAP-AHRSYUTCSA-N 0 3 315.457 4.061 20 0 DIADHN C=Cn1cc(CN2CCC(Cc3ccc(Cl)cc3)CC2)cn1 ZINC001183372014 1047926017 /nfs/dbraw/zinc/92/60/17/1047926017.db2.gz WTPXUHNHSXJMKK-UHFFFAOYSA-N 0 3 315.848 4.092 20 0 DIADHN COC[C@@H](C)Oc1ccc(CN(C)[C@@H](C)c2cccs2)cc1 ZINC000667510472 1047926174 /nfs/dbraw/zinc/92/61/74/1047926174.db2.gz JWRFZNNIBVVDAD-CABCVRRESA-N 0 3 319.470 4.355 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000553717665 1047926757 /nfs/dbraw/zinc/92/67/57/1047926757.db2.gz HZKWDNPNJUDFCN-PBHICJAKSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc([C@H]2CCCCN2CCc2ccc(Cl)cc2)[nH]n1 ZINC001198131764 1047927102 /nfs/dbraw/zinc/92/71/02/1047927102.db2.gz SXUURPBOBWUUEM-QGZVFWFLSA-N 0 3 303.837 4.141 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](c2ncccn2)C1 ZINC001198164885 1047931821 /nfs/dbraw/zinc/93/18/21/1047931821.db2.gz NEHLTEJHTRYJPP-ZBFHGGJFSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@@H]1CN(Cc2cccc(COc3ccccc3)c2)CCS1 ZINC001183463023 1047932169 /nfs/dbraw/zinc/93/21/69/1047932169.db2.gz AGMHRYYNYZOCII-MRXNPFEDSA-N 0 3 313.466 4.203 20 0 DIADHN CC(C)(NCc1ccc(Cl)cc1F)[C@@H](O)c1ccccc1 ZINC001183500617 1047936607 /nfs/dbraw/zinc/93/66/07/1047936607.db2.gz QZMHVSSDIHKRIR-INIZCTEOSA-N 0 3 307.796 4.081 20 0 DIADHN Nc1c(F)cccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001159870944 1047938743 /nfs/dbraw/zinc/93/87/43/1047938743.db2.gz WKXFQBAQUHXXAE-SJORKVTESA-N 0 3 323.415 4.141 20 0 DIADHN CCC(CC)N(CCOC)Cc1coc(-c2ccc(C)cc2)n1 ZINC000479612623 1047939816 /nfs/dbraw/zinc/93/98/16/1047939816.db2.gz CBLGDUDBJPZMAU-UHFFFAOYSA-N 0 3 316.445 4.287 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@@H](C)C(F)(F)F)s2)cc1 ZINC000479594207 1047939900 /nfs/dbraw/zinc/93/99/00/1047939900.db2.gz RWWQUMCDCWIWMZ-LLVKDONJSA-N 0 3 314.376 4.407 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1cscc1Cl ZINC001159872048 1047940837 /nfs/dbraw/zinc/94/08/37/1047940837.db2.gz KQZRGVMWVZWLRY-UHFFFAOYSA-N 0 3 323.849 4.071 20 0 DIADHN Cc1ccc([C@H](C)CN2CCN([C@@H](C)c3ccccc3)CC2)cc1 ZINC001183532593 1047942205 /nfs/dbraw/zinc/94/22/05/1047942205.db2.gz FDDWMQGSLISRLQ-UXHICEINSA-N 0 3 322.496 4.477 20 0 DIADHN c1ccc2sc(CN3CCCSC[C@@H]3C3CC3)nc2c1 ZINC001198270644 1047943814 /nfs/dbraw/zinc/94/38/14/1047943814.db2.gz MTJGRNKKUFVZGO-CQSZACIVSA-N 0 3 304.484 4.014 20 0 DIADHN Cc1scc(CN2CCN([C@H](C)c3ccccc3)CC2)c1C ZINC001183535870 1047944428 /nfs/dbraw/zinc/94/44/28/1047944428.db2.gz ZFDWTSZEACTMAL-MRXNPFEDSA-N 0 3 314.498 4.244 20 0 DIADHN c1cncc(CN(Cc2ccc3c(c2)OCO3)C2CCCCC2)c1 ZINC000479634762 1047944922 /nfs/dbraw/zinc/94/49/22/1047944922.db2.gz DHTTXGKFRLKMTR-UHFFFAOYSA-N 0 3 324.424 4.145 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3cc(C)cc(Cl)c3)C2)n1 ZINC001183672892 1047952624 /nfs/dbraw/zinc/95/26/24/1047952624.db2.gz OKWSTCXTESFWJP-AWEZNQCLSA-N 0 3 306.862 4.403 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(OCC(C)C)CC3)cc21 ZINC000667535550 1047953909 /nfs/dbraw/zinc/95/39/09/1047953909.db2.gz FQQAQMOSYWPKEX-UHFFFAOYSA-N 0 3 300.446 4.113 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4c(c3)CCCO4)cccc21 ZINC001174722497 1047955418 /nfs/dbraw/zinc/95/54/18/1047955418.db2.gz KYWJQNSVYNZSPE-SFHVURJKSA-N 0 3 324.424 4.140 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000479696109 1047956263 /nfs/dbraw/zinc/95/62/63/1047956263.db2.gz XLIAJTFZQLMVJF-MPYGFJLXSA-N 0 3 307.393 4.266 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@]1(C)CCCc2ccccc21 ZINC000553877921 1047956471 /nfs/dbraw/zinc/95/64/71/1047956471.db2.gz BLQYUCMHRYOUMT-FQEVSTJZSA-N 0 3 310.441 4.048 20 0 DIADHN COc1ccncc1CN(C)[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000553885835 1047962532 /nfs/dbraw/zinc/96/25/32/1047962532.db2.gz LVEHCJZODDAXNR-UONOGXRCSA-N 0 3 318.848 4.368 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000553908891 1047964711 /nfs/dbraw/zinc/96/47/11/1047964711.db2.gz BHBMRKXKTILMSS-WMLDXEAASA-N 0 3 324.896 4.178 20 0 DIADHN CC1(C)CCC(CN2CCC(C(=O)C(F)(F)F)CC2)CC1 ZINC000775859046 1047966000 /nfs/dbraw/zinc/96/60/00/1047966000.db2.gz OZJBEBMINCTJSU-UHFFFAOYSA-N 0 3 305.384 4.046 20 0 DIADHN NCc1cnccc1Nc1c(Cl)cccc1-c1ccccc1 ZINC001160005547 1047966692 /nfs/dbraw/zinc/96/66/92/1047966692.db2.gz IZXWAZLUXQRDRY-UHFFFAOYSA-N 0 3 309.800 4.026 20 0 DIADHN [O-]c1cccc(Nc2ccccc2C2=CC[NH2+]CC2)c1Cl ZINC001160023092 1047971629 /nfs/dbraw/zinc/97/16/29/1047971629.db2.gz FZJZSEDGWVLDFW-UHFFFAOYSA-N 0 3 300.789 4.166 20 0 DIADHN C[C@H](NC[C@@]1(c2ccccc2)CCCO1)c1ccncc1Cl ZINC000927119997 1047972307 /nfs/dbraw/zinc/97/23/07/1047972307.db2.gz NINQUBLTSWOYCV-KBXCAEBGSA-N 0 3 316.832 4.092 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@H](Cc2nccs2)C1 ZINC001198572417 1047974980 /nfs/dbraw/zinc/97/49/80/1047974980.db2.gz HDOHMWRPZWHPEU-CYBMUJFWSA-N 0 3 306.862 4.170 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CNC(C)(C)/C=C/Cl)cc1 ZINC001183898336 1047976981 /nfs/dbraw/zinc/97/69/81/1047976981.db2.gz PYZRRKQRYVBVPG-ZHACJKMWSA-N 0 3 309.837 4.263 20 0 DIADHN C[C@H](NCc1ccccc1C1CC1)c1cnn(CC2CCC2)c1 ZINC001198553252 1047977839 /nfs/dbraw/zinc/97/78/39/1047977839.db2.gz NKEAQOJZTSSFBK-HNNXBMFYSA-N 0 3 309.457 4.411 20 0 DIADHN C[C@@H](NCc1ccccc1C1CC1)c1cnn(CC2CCC2)c1 ZINC001198553254 1047978492 /nfs/dbraw/zinc/97/84/92/1047978492.db2.gz NKEAQOJZTSSFBK-OAHLLOKOSA-N 0 3 309.457 4.411 20 0 DIADHN CC(C)CN(Cc1ccc2c(c1)CCCO2)Cc1ccccn1 ZINC001183930679 1047978956 /nfs/dbraw/zinc/97/89/56/1047978956.db2.gz VTDQKCVFCXTMMK-UHFFFAOYSA-N 0 3 310.441 4.065 20 0 DIADHN c1ccc2oc(CN[C@@H]3CCC[C@@H](c4cccnc4)C3)nc2c1 ZINC001183909640 1047980517 /nfs/dbraw/zinc/98/05/17/1047980517.db2.gz POYQZDIWKZVTII-GDBMZVCRSA-N 0 3 307.397 4.039 20 0 DIADHN Cc1ccc(CN(CCC[C@H]2CCOC2)Cc2ccco2)s1 ZINC000645781499 1047980782 /nfs/dbraw/zinc/98/07/82/1047980782.db2.gz SEVQRHXIKVVAAJ-INIZCTEOSA-N 0 3 319.470 4.468 20 0 DIADHN CC[C@H](c1ccccc1)N(Cc1cc(C(=O)OC)co1)C(C)C ZINC001174818570 1047982359 /nfs/dbraw/zinc/98/23/59/1047982359.db2.gz JVNHAKNFTOHJPE-GOSISDBHSA-N 0 3 315.413 4.428 20 0 DIADHN Brc1c2ccccc2oc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001198604904 1047983657 /nfs/dbraw/zinc/98/36/57/1047983657.db2.gz UFGXHPYLSBXXHV-TXEJJXNPSA-N 0 3 320.230 4.427 20 0 DIADHN C[C@H](COCC1CCCCC1)N[C@H](C)c1cc2cnccc2o1 ZINC001183919465 1047983889 /nfs/dbraw/zinc/98/38/89/1047983889.db2.gz LGKLPLHCMRPIOT-HUUCEWRRSA-N 0 3 316.445 4.464 20 0 DIADHN Cc1c(C)c(C)c(CN2CCOC[C@@H]2CC(C)C)c(C)c1C ZINC001174827966 1047985593 /nfs/dbraw/zinc/98/55/93/1047985593.db2.gz WIVQKBPLWXFPIF-IBGZPJMESA-N 0 3 303.490 4.476 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN1CCCOC[C@@H]1C ZINC000669708710 1047986865 /nfs/dbraw/zinc/98/68/65/1047986865.db2.gz SJBCCUXHJAUKFZ-ZVHGMHCTSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1noc(CN2CCC[C@@]2(C)Cc2cccc3ccccc32)n1 ZINC001174799170 1047988844 /nfs/dbraw/zinc/98/88/44/1047988844.db2.gz SDSALZCOBWGNOD-FQEVSTJZSA-N 0 3 321.424 4.128 20 0 DIADHN CCc1nc(CNC2(Cc3cccc(F)c3)CCC2)cs1 ZINC000479874676 1047989573 /nfs/dbraw/zinc/98/95/73/1047989573.db2.gz PNDKQRLQSMDJML-UHFFFAOYSA-N 0 3 304.434 4.100 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001203243803 1047989703 /nfs/dbraw/zinc/98/97/03/1047989703.db2.gz RVTKIZJZGOXIHH-LEWJYISDSA-N 0 3 309.453 4.412 20 0 DIADHN COc1cc(CN(C)[C@@H]2CC[C@](C)(c3ccccc3)C2)sn1 ZINC001183991587 1047991935 /nfs/dbraw/zinc/99/19/35/1047991935.db2.gz LUUSIIOQZWGWGT-QAPCUYQASA-N 0 3 316.470 4.094 20 0 DIADHN CCOC(=O)[C@@H]1[C@H](C)C[C@H](C)N(Cc2ccccc2Cl)[C@@H]1C ZINC001198667476 1047995385 /nfs/dbraw/zinc/99/53/85/1047995385.db2.gz MWKSZMCYJISDAU-UMPJEAMMSA-N 0 3 323.864 4.138 20 0 DIADHN C[C@]12CN(Cc3cc(Cl)cc(Cl)c3N)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC001184053959 1047996054 /nfs/dbraw/zinc/99/60/54/1047996054.db2.gz CYDHJEXKZICWLV-PUKHHJTISA-N 0 3 323.267 4.220 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CNCc1cccc2c[nH]nc21 ZINC000153349014 1047996181 /nfs/dbraw/zinc/99/61/81/1047996181.db2.gz YZXVNCZWGLVKIY-HNNXBMFYSA-N 0 3 323.440 4.338 20 0 DIADHN COc1ccc(CN(C)Cc2cc3ccncc3s2)cc1C ZINC000667570682 1047999588 /nfs/dbraw/zinc/99/95/88/1047999588.db2.gz DYTLTUDCKHATEG-UHFFFAOYSA-N 0 3 312.438 4.245 20 0 DIADHN Cn1cccc1CN1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001203270944 1047999448 /nfs/dbraw/zinc/99/94/48/1047999448.db2.gz UCRKRUGWCJFMST-MRXNPFEDSA-N 0 3 308.347 4.381 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCO[C@@H](c3ccccc3)CC1)CC2 ZINC001198704485 1047999795 /nfs/dbraw/zinc/99/97/95/1047999795.db2.gz BDARKYWSATYJRG-VQTJNVASSA-N 0 3 311.400 4.277 20 0 DIADHN C/C=C\c1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@H]2C)cc1 ZINC000554093047 1048001392 /nfs/dbraw/zinc/00/13/92/1048001392.db2.gz ULNBCXLVILGCBQ-NXZZQMMXSA-N 0 3 321.468 4.437 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc4cccnc43)cccc21 ZINC001174861220 1048004857 /nfs/dbraw/zinc/00/48/57/1048004857.db2.gz LOTILANKTLLXSM-SFHVURJKSA-N 0 3 319.408 4.364 20 0 DIADHN CCc1ccc2c(c1)CCN(Cc1cccc3cccnc31)C2 ZINC001198819231 1048010319 /nfs/dbraw/zinc/01/03/19/1048010319.db2.gz DRFIOEQCORNIKU-UHFFFAOYSA-N 0 3 302.421 4.356 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccc(C[C@H]2CCC[NH2+]C2)cc1 ZINC001160265146 1048015779 /nfs/dbraw/zinc/01/57/79/1048015779.db2.gz KGQVGOISXIRVNG-CQSZACIVSA-N 0 3 316.832 4.331 20 0 DIADHN [O-]c1cccc(Nc2ccc(C[C@@H]3CCC[NH2+]C3)cc2)c1Cl ZINC001160265331 1048016024 /nfs/dbraw/zinc/01/60/24/1048016024.db2.gz OKGDUJNIROHQNM-AWEZNQCLSA-N 0 3 316.832 4.331 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CCCc1ccc(C)cc1 ZINC001174898877 1048016441 /nfs/dbraw/zinc/01/64/41/1048016441.db2.gz OBIQDYYEHKLXDI-UHFFFAOYSA-N 0 3 312.457 4.080 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)C[C@H]1C[C@@H]1c1ccccc1 ZINC001184238476 1048016398 /nfs/dbraw/zinc/01/63/98/1048016398.db2.gz CWADOOIMPQCMKP-UYAOXDASSA-N 0 3 317.436 4.312 20 0 DIADHN C[C@@H](NCc1ccc(Oc2cnccn2)cc1)c1ccccc1 ZINC001198942897 1048018528 /nfs/dbraw/zinc/01/85/28/1048018528.db2.gz IYVPPKLBELFFQK-OAHLLOKOSA-N 0 3 305.381 4.120 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@@H](n4ccnc4)C3)oc21 ZINC000667587377 1048020017 /nfs/dbraw/zinc/02/00/17/1048020017.db2.gz PNDKIDOUVFJJQX-QGZVFWFLSA-N 0 3 309.413 4.029 20 0 DIADHN CCN(CC)[C@H](CNCc1cc(C)co1)c1ccccc1Cl ZINC001199001234 1048025860 /nfs/dbraw/zinc/02/58/60/1048025860.db2.gz MIDKQWKIMPKBQS-GOSISDBHSA-N 0 3 320.864 4.414 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc2c(c1)CC(C)(C)O2 ZINC000773426484 1048031630 /nfs/dbraw/zinc/03/16/30/1048031630.db2.gz DHWYOIJCSOUXFX-AWEZNQCLSA-N 0 3 310.441 4.208 20 0 DIADHN c1ccc(SCCCNCc2nc3c(s2)CCCC3)cc1 ZINC001199102192 1048037387 /nfs/dbraw/zinc/03/73/87/1048037387.db2.gz WEBMEPRPXVOFIP-UHFFFAOYSA-N 0 3 318.511 4.294 20 0 DIADHN CCc1ccc2c(c1)CN(Cc1cc(C)nc3c1CCCC3)CC2 ZINC001184418893 1048038901 /nfs/dbraw/zinc/03/89/01/1048038901.db2.gz UDTHSXYRDSEPQQ-UHFFFAOYSA-N 0 3 320.480 4.390 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccc(-c2ccccc2)o1 ZINC000480064646 1048041032 /nfs/dbraw/zinc/04/10/32/1048041032.db2.gz BETHOIHDUQVUOM-NVXWUHKLSA-N 0 3 324.424 4.493 20 0 DIADHN CCC(CC)CCCN(C)Cc1cc(C(=O)OC)sc1C ZINC001174947491 1048045816 /nfs/dbraw/zinc/04/58/16/1048045816.db2.gz CWSIHZHFORKKFW-UHFFFAOYSA-N 0 3 311.491 4.491 20 0 DIADHN CC(=O)c1c(F)cccc1NC[C@@H](c1ccc(C)cc1)N(C)C ZINC000064114215 1048046484 /nfs/dbraw/zinc/04/64/84/1048046484.db2.gz UWBMMQCBBDQKCM-SFHVURJKSA-N 0 3 314.404 4.052 20 0 DIADHN CN(Cc1ccccc1Br)C1CCC(F)(F)CC1 ZINC000554311311 1048065825 /nfs/dbraw/zinc/06/58/25/1048065825.db2.gz STGRCLUAVKNBHT-UHFFFAOYSA-N 0 3 318.205 4.459 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccc(Cl)cc2C)cn1 ZINC001199285576 1048048613 /nfs/dbraw/zinc/04/86/13/1048048613.db2.gz FHQHHJGTNVGZSZ-UHFFFAOYSA-N 0 3 317.864 4.179 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2ccccc2Cl)N(C)C)o1 ZINC000480082148 1048052527 /nfs/dbraw/zinc/05/25/27/1048052527.db2.gz PLIYTPKUXHYCIE-BBRMVZONSA-N 0 3 306.837 4.195 20 0 DIADHN O=c1[nH]c2cccc(CNCc3csc4ccccc34)c2o1 ZINC001199309533 1048053426 /nfs/dbraw/zinc/05/34/26/1048053426.db2.gz JWFICBSFWQNTAA-UHFFFAOYSA-N 0 3 310.378 4.038 20 0 DIADHN CCCOc1ccc(CNCc2cccc(OCC)c2)c(C)c1 ZINC001199329150 1048057488 /nfs/dbraw/zinc/05/74/88/1048057488.db2.gz OFKLAFIYXRSKQC-UHFFFAOYSA-N 0 3 313.441 4.472 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1ccnn1-c1ccncc1 ZINC000667626258 1048066442 /nfs/dbraw/zinc/06/64/42/1048066442.db2.gz OEBHRKNTKGZHTF-FQEVSTJZSA-N 0 3 320.440 4.096 20 0 DIADHN Cc1cccc(C)c1OCCN[C@H](C)c1ccc(Cl)cn1 ZINC000480165170 1048075266 /nfs/dbraw/zinc/07/52/66/1048075266.db2.gz NKOKERBRPVXQFB-CQSZACIVSA-N 0 3 304.821 4.081 20 0 DIADHN CCCCOc1ccccc1CNCCOc1cccc(F)c1 ZINC001199563818 1048075732 /nfs/dbraw/zinc/07/57/32/1048075732.db2.gz LRZUDJRTHVGNIV-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN C[C@@H](NCCOc1ccccc1Cl)c1ccc(Cl)cn1 ZINC000480169182 1048075837 /nfs/dbraw/zinc/07/58/37/1048075837.db2.gz ORYVTSAJWXPQKS-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CC[C@@H](C)C(=O)Nc1cccc(CNC/C=C\c2ccccc2)c1 ZINC001199571447 1048076405 /nfs/dbraw/zinc/07/64/05/1048076405.db2.gz PMBBNSOUJHILKR-SAYIFAPPSA-N 0 3 322.452 4.474 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCC[C@@H](C)C3)c(Cl)nc21 ZINC000776000599 1048083000 /nfs/dbraw/zinc/08/30/00/1048083000.db2.gz YLSQUZZJPPGABN-CYBMUJFWSA-N 0 3 320.868 4.288 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000554373129 1048083686 /nfs/dbraw/zinc/08/36/86/1048083686.db2.gz ZUGYHUACVDPGGG-DNVCBOLYSA-N 0 3 300.421 4.088 20 0 DIADHN CCOc1cc(F)c(Nc2ccccc2CN(C)C)cc1F ZINC001175058339 1048084529 /nfs/dbraw/zinc/08/45/29/1048084529.db2.gz MHRNXLMTZVRHDE-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000554371441 1048084843 /nfs/dbraw/zinc/08/48/43/1048084843.db2.gz NGICNJLARYXTAU-CJNGLKHVSA-N 0 3 306.450 4.149 20 0 DIADHN CC(C)COc1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC001199688309 1048088133 /nfs/dbraw/zinc/08/81/33/1048088133.db2.gz AGBZBIMWCSJLND-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN CC[C@H](NCc1nnc(-c2cccc(F)c2)o1)C1CCCCC1 ZINC000776020745 1048089262 /nfs/dbraw/zinc/08/92/62/1048089262.db2.gz YPOCBJBDUHQMGJ-INIZCTEOSA-N 0 3 317.408 4.324 20 0 DIADHN C[C@H](CO)N[C@@H](C)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480203575 1048091689 /nfs/dbraw/zinc/09/16/89/1048091689.db2.gz QXUQHTMYEBZEHQ-NEPJUHHUSA-N 0 3 323.795 4.303 20 0 DIADHN CC(C)(NCc1cc2ccc(F)cc2[nH]c1=O)c1ccccc1 ZINC001199700502 1048093946 /nfs/dbraw/zinc/09/39/46/1048093946.db2.gz INLSEAFGMCVJQL-UHFFFAOYSA-N 0 3 310.372 4.104 20 0 DIADHN Cc1cccc2[nH]c(CN(C)[C@@H](CCO)c3ccccc3)cc21 ZINC000667642943 1048100950 /nfs/dbraw/zinc/10/09/50/1048100950.db2.gz PAEDDZFQCONTLO-FQEVSTJZSA-N 0 3 308.425 4.032 20 0 DIADHN CCCn1cncc1CN[C@H](c1ccc(F)cc1)C1CCCC1 ZINC001199784794 1048101154 /nfs/dbraw/zinc/10/11/54/1048101154.db2.gz XGZGHHMNHHKRPQ-IBGZPJMESA-N 0 3 315.436 4.453 20 0 DIADHN C[C@H](NC[C@@H](c1ccsc1)N(C)C)c1ccccc1Cl ZINC000480249827 1048103433 /nfs/dbraw/zinc/10/34/33/1048103433.db2.gz QKQNYPRIQACYJC-LRDDRELGSA-N 0 3 308.878 4.355 20 0 DIADHN C[C@@H]1Cc2ccccc2N1CCNCc1cccc(Cl)c1F ZINC001199802427 1048104812 /nfs/dbraw/zinc/10/48/12/1048104812.db2.gz ZWBNYESOOCJDFT-CYBMUJFWSA-N 0 3 318.823 4.020 20 0 DIADHN CN(C)c1ncc(CN[C@H](c2cccc(F)c2)C(C)(C)C)s1 ZINC001199813470 1048105741 /nfs/dbraw/zinc/10/57/41/1048105741.db2.gz VSKDWNVOJBIWFJ-OAHLLOKOSA-N 0 3 321.465 4.225 20 0 DIADHN COc1ccc(C)cc1CNCc1cccc(-c2cccnc2)c1 ZINC001199830754 1048107164 /nfs/dbraw/zinc/10/71/64/1048107164.db2.gz SCZAWOLWKHIBNM-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1ccsc1CNCc1ccc2ccc(F)c(F)c2n1 ZINC001199842232 1048107857 /nfs/dbraw/zinc/10/78/57/1048107857.db2.gz HEPYBSSRDJWWDD-UHFFFAOYSA-N 0 3 304.365 4.173 20 0 DIADHN Cc1ccc(N[C@H]2CCN(Cc3cccc(Cl)c3)C2)nc1C ZINC001161180947 1048112416 /nfs/dbraw/zinc/11/24/16/1048112416.db2.gz KZEICJBMMKTSOI-KRWDZBQOSA-N 0 3 315.848 4.038 20 0 DIADHN [O-]c1cc(F)c(Nc2cccc(C[NH+]3CCCC3)c2)c(F)c1 ZINC001175100348 1048115556 /nfs/dbraw/zinc/11/55/56/1048115556.db2.gz QLYGHMCIOBKPNJ-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN COc1ccc(C2(NCc3ccc(OCC4CC4)cc3)CC2)cc1 ZINC000480354635 1048127960 /nfs/dbraw/zinc/12/79/60/1048127960.db2.gz IGTPZMYZVTWEMU-UHFFFAOYSA-N 0 3 323.436 4.263 20 0 DIADHN Fc1ccc(C2(NCc3ccc(OCC4CC4)cc3)CC2)cc1 ZINC000480364684 1048130104 /nfs/dbraw/zinc/13/01/04/1048130104.db2.gz ZPTBCPKVOUKAFC-UHFFFAOYSA-N 0 3 311.400 4.393 20 0 DIADHN CC(C)(CNCc1nccn1-c1ccccc1)c1ccccc1F ZINC000480363006 1048130331 /nfs/dbraw/zinc/13/03/31/1048130331.db2.gz JVEMHCJKTXMTJX-UHFFFAOYSA-N 0 3 323.415 4.079 20 0 DIADHN C[C@@H](O)C[C@@H](CNCc1ccsc1Cl)c1ccccc1 ZINC000480358095 1048130397 /nfs/dbraw/zinc/13/03/97/1048130397.db2.gz RVSRVVMUPUOKHT-DOMZBBRYSA-N 0 3 309.862 4.046 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(O)c2)cc(C)c1OC(F)F ZINC000105461700 1048135948 /nfs/dbraw/zinc/13/59/48/1048135948.db2.gz XLFRVTBSBPGGBU-ZDUSSCGKSA-N 0 3 321.367 4.461 20 0 DIADHN CC(C)c1nc(CCNCc2ccsc2Cl)cs1 ZINC000480396818 1048136935 /nfs/dbraw/zinc/13/69/35/1048136935.db2.gz PISCTYHKGIWTSW-UHFFFAOYSA-N 0 3 300.880 4.314 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1C[C@@H]1CCC=CO1 ZINC000774840484 1048144205 /nfs/dbraw/zinc/14/42/05/1048144205.db2.gz QMFSWNUAGHFIQC-KGLIPLIRSA-N 0 3 309.812 4.179 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1C[C@@H]1CCC=CO1 ZINC000774840480 1048144259 /nfs/dbraw/zinc/14/42/59/1048144259.db2.gz QMFSWNUAGHFIQC-KBPBESRZSA-N 0 3 309.812 4.179 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1C[C@H]1CCC=CO1 ZINC000774840491 1048144397 /nfs/dbraw/zinc/14/43/97/1048144397.db2.gz QMFSWNUAGHFIQC-ZIAGYGMSSA-N 0 3 309.812 4.179 20 0 DIADHN FC(F)(F)c1cccc2c1CCN(CCc1ccccc1)C2 ZINC001175156780 1048146775 /nfs/dbraw/zinc/14/67/75/1048146775.db2.gz SLPGQNKPQRCDQN-UHFFFAOYSA-N 0 3 305.343 4.306 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2Cc2cnc3n2CCC3)cc1 ZINC000667683660 1048157605 /nfs/dbraw/zinc/15/76/05/1048157605.db2.gz XLCJJYUQDIMHPI-LJQANCHMSA-N 0 3 309.457 4.290 20 0 DIADHN COc1ccccc1-c1cc(CN[C@@H](C)C(C)(C)C(F)F)on1 ZINC000658355107 1048160033 /nfs/dbraw/zinc/16/00/33/1048160033.db2.gz AQLYWCSPXRJEKE-NSHDSACASA-N 0 3 324.371 4.120 20 0 DIADHN C[C@H]1C[C@@H](CO)CCN1Cc1sc2ccccc2c1Cl ZINC000554749140 1048176859 /nfs/dbraw/zinc/17/68/59/1048176859.db2.gz NYLRHDRILCQYCD-RYUDHWBXSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1cccc(C2(Nc3cccc(N)n3)CCC(F)(F)CC2)c1 ZINC001161828430 1048181235 /nfs/dbraw/zinc/18/12/35/1048181235.db2.gz ZGCIRBICINBFTN-UHFFFAOYSA-N 0 3 317.383 4.489 20 0 DIADHN C[C@H](NC[C@@H]1CC1(Cl)Cl)c1ccccc1OC(F)F ZINC000774883261 1048183596 /nfs/dbraw/zinc/18/35/96/1048183596.db2.gz LSYAXKLMIDGHNT-IUCAKERBSA-N 0 3 310.171 4.132 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)C[C@@H]1CCC2(CCCC2)O1 ZINC000554808976 1048193572 /nfs/dbraw/zinc/19/35/72/1048193572.db2.gz UMDSRXBVOZKDBH-ZFWWWQNUSA-N 0 3 309.400 4.449 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(OCC3CC3)cc2)c1 ZINC000480636057 1048201751 /nfs/dbraw/zinc/20/17/51/1048201751.db2.gz BKLARDMXBASMCB-HNNXBMFYSA-N 0 3 311.425 4.335 20 0 DIADHN CC(C)Cc1ccc([C@@H]([NH2+]Cc2ncccc2[O-])C2CC2)cc1 ZINC000554860171 1048203673 /nfs/dbraw/zinc/20/36/73/1048203673.db2.gz AMARKHFYZWYZKD-HXUWFJFHSA-N 0 3 310.441 4.227 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)cc1Cl ZINC000774914004 1048212646 /nfs/dbraw/zinc/21/26/46/1048212646.db2.gz UIXCVJVDBUDBCY-NVXWUHKLSA-N 0 3 319.832 4.330 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1cc2cc(F)ccc2o1 ZINC000554889452 1048216860 /nfs/dbraw/zinc/21/68/60/1048216860.db2.gz WKUSRGZSTYSXTN-INIZCTEOSA-N 0 3 313.376 4.249 20 0 DIADHN CC(C)C[C@@H](CO)N(C)Cc1cnc(C2CCCCC2)s1 ZINC000554887065 1048217835 /nfs/dbraw/zinc/21/78/35/1048217835.db2.gz NHWYMRCHBLSPAM-HNNXBMFYSA-N 0 3 310.507 4.030 20 0 DIADHN Cc1nc(NCC2(C)CCC(F)(F)CC2)cc(N2CCCC2)n1 ZINC001162028444 1048218328 /nfs/dbraw/zinc/21/83/28/1048218328.db2.gz VCKSQQGXSLOBCE-UHFFFAOYSA-N 0 3 324.419 4.013 20 0 DIADHN CCC[C@H](Cc1ccccc1)N[C@@H](C)c1c(F)cncc1F ZINC000554878425 1048219451 /nfs/dbraw/zinc/21/94/51/1048219451.db2.gz QQBIPBRWKGKMHU-DZGCQCFKSA-N 0 3 304.384 4.422 20 0 DIADHN CN(C)CCSc1oc(-c2ccccc2)nc1C(F)(F)F ZINC001185792377 1048230168 /nfs/dbraw/zinc/23/01/68/1048230168.db2.gz CESWWRFJXKHEKP-UHFFFAOYSA-N 0 3 316.348 4.014 20 0 DIADHN CCc1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)n[nH]1 ZINC000776155788 1048235748 /nfs/dbraw/zinc/23/57/48/1048235748.db2.gz IDONACBGRUGEDG-KRWDZBQOSA-N 0 3 303.425 4.378 20 0 DIADHN CCc1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)[nH]n1 ZINC000776155788 1048235755 /nfs/dbraw/zinc/23/57/55/1048235755.db2.gz IDONACBGRUGEDG-KRWDZBQOSA-N 0 3 303.425 4.378 20 0 DIADHN Clc1cnn([C@@H]2CCN(CCCc3ccccc3Cl)C2)c1 ZINC000554960509 1048239563 /nfs/dbraw/zinc/23/95/63/1048239563.db2.gz NTRBQLGBHWGCPI-OAHLLOKOSA-N 0 3 324.255 4.070 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCO[C@@H]2CC(C)C)c(F)c1 ZINC000480772355 1048242704 /nfs/dbraw/zinc/24/27/04/1048242704.db2.gz WSHYPQLQGFUIKH-MORSLUCNSA-N 0 3 309.425 4.079 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCC=CO2)c2cccs2)cc1 ZINC000774955235 1048243642 /nfs/dbraw/zinc/24/36/42/1048243642.db2.gz NGLXYXIYPWAUJR-DOTOQJQBSA-N 0 3 303.402 4.259 20 0 DIADHN [O-]c1ccc(F)c(Nc2ccc(CC[NH+]3CCCC3)cc2)c1F ZINC001175264829 1048243998 /nfs/dbraw/zinc/24/39/98/1048243998.db2.gz ITCZVERZBGPZPQ-UHFFFAOYSA-N 0 3 318.367 4.052 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(C)c(Cl)c2)C1 ZINC001205340851 1048247870 /nfs/dbraw/zinc/24/78/70/1048247870.db2.gz MSXGMCSJJDUYJR-OAHLLOKOSA-N 0 3 323.864 4.060 20 0 DIADHN CC(=O)c1cc(Cl)ccc1Nc1cccc(CN(C)C)c1 ZINC001201400296 1048249549 /nfs/dbraw/zinc/24/95/49/1048249549.db2.gz VXHPNPRHOCECBK-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN CN(C)Cc1cccc(Nc2ccccc2C(=O)C(F)(F)F)c1 ZINC001201403023 1048251510 /nfs/dbraw/zinc/25/15/10/1048251510.db2.gz IMABKGNQQWKSIQ-UHFFFAOYSA-N 0 3 322.330 4.237 20 0 DIADHN CN(Cc1ccc(NCC(C)(C)CC(F)(F)F)nc1)C1CC1 ZINC001162254614 1048251919 /nfs/dbraw/zinc/25/19/19/1048251919.db2.gz MFMMFOGFFWSPGM-UHFFFAOYSA-N 0 3 315.383 4.066 20 0 DIADHN CO[C@H](CN(C)Cc1ccccc1SC)c1ccccc1 ZINC000555016367 1048253553 /nfs/dbraw/zinc/25/35/53/1048253553.db2.gz MFXJUJKYGRWHRA-QGZVFWFLSA-N 0 3 301.455 4.228 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CC[C@@H](C2CCOCC2)C1 ZINC000480875163 1048261189 /nfs/dbraw/zinc/26/11/89/1048261189.db2.gz LZWGZJRCNMVBQN-GXTWGEPZSA-N 0 3 311.828 4.289 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CO[C@@H](C3CC3)C2)c2cccs2)cc1 ZINC000667768586 1048262914 /nfs/dbraw/zinc/26/29/14/1048262914.db2.gz VDBPDCCNTQNVFU-JZXOWHBKSA-N 0 3 317.429 4.134 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccccc2OC(C)C)cc1 ZINC000774973948 1048263416 /nfs/dbraw/zinc/26/34/16/1048263416.db2.gz YYIIQXHTZCPCLN-APWZRJJASA-N 0 3 313.441 4.166 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2cccc(C)c2C)cn1 ZINC000480879181 1048266090 /nfs/dbraw/zinc/26/60/90/1048266090.db2.gz GZALBSZBUBJVTC-QGZVFWFLSA-N 0 3 311.473 4.395 20 0 DIADHN Cc1cc2cc(NCc3cnc4cccc(C)n34)ccc2cn1 ZINC001162354327 1048266393 /nfs/dbraw/zinc/26/63/93/1048266393.db2.gz PZJZVUIILBVHCL-UHFFFAOYSA-N 0 3 302.381 4.111 20 0 DIADHN C[C@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1cc(F)ccc1F ZINC000480896302 1048266689 /nfs/dbraw/zinc/26/66/89/1048266689.db2.gz IVXRMRYMULRMKA-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)n1cnc2cnc(NCCP(C(C)C)C(C)C)nc21 ZINC001162361727 1048267119 /nfs/dbraw/zinc/26/71/19/1048267119.db2.gz CMEVQKRDPDAJSM-UHFFFAOYSA-N 0 3 321.409 4.118 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(C)ccc32)cc1Cl ZINC000776170246 1048267714 /nfs/dbraw/zinc/26/77/14/1048267714.db2.gz IVLFDKSSWROPFF-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN CC(C)P(CCNC(F)(F)c1cncc(Cl)n1)C(C)C ZINC001162362038 1048269204 /nfs/dbraw/zinc/26/92/04/1048269204.db2.gz RAHMKDNSDNLLJE-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN Cc1ncccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201470004 1048271671 /nfs/dbraw/zinc/27/16/71/1048271671.db2.gz IFMACUYKGIJEJB-MOPGFXCFSA-N 0 3 305.425 4.123 20 0 DIADHN Cc1cc(N=C2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)sn1 ZINC001201469777 1048271719 /nfs/dbraw/zinc/27/17/19/1048271719.db2.gz AJRYVAQJCVDVBT-SJORKVTESA-N 0 3 311.454 4.184 20 0 DIADHN Cc1ccc(N)cc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477639 1048297380 /nfs/dbraw/zinc/29/73/80/1048297380.db2.gz JWBGAJJTAOKXRJ-UXHICEINSA-N 0 3 319.452 4.310 20 0 DIADHN Oc1ccc(F)c(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201478126 1048297440 /nfs/dbraw/zinc/29/74/40/1048297440.db2.gz WTNCDYWBSIESPX-SJORKVTESA-N 0 3 324.399 4.264 20 0 DIADHN CC1(C)[C@@H](c2ccc(C(F)(F)F)cc2)CCN1Cc1cc[nH]n1 ZINC001175411493 1048299466 /nfs/dbraw/zinc/29/94/66/1048299466.db2.gz SETOMGNDEJJIHI-OAHLLOKOSA-N 0 3 323.362 4.197 20 0 DIADHN COc1ccc([C@H]2CN(C[C@@H]3CCCCC3(C)C)CCO2)cc1 ZINC001175437520 1048307428 /nfs/dbraw/zinc/30/74/28/1048307428.db2.gz YVYKKYKSYAUYEE-PKOBYXMFSA-N 0 3 317.473 4.285 20 0 DIADHN c1nc(CN[C@@H]2CCCC[C@@H]2Cc2ccccc2)oc1C1CC1 ZINC000481164842 1048316919 /nfs/dbraw/zinc/31/69/19/1048316919.db2.gz APWJBCYEDKYIBO-QZTJIDSGSA-N 0 3 310.441 4.443 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nc(-c2cccs2)no1 ZINC000481175854 1048320535 /nfs/dbraw/zinc/32/05/35/1048320535.db2.gz NEQHECGDVXCCRD-VOAKCMCISA-N 0 3 305.447 4.273 20 0 DIADHN CC1=C(c2ccco2)CN(CC2=Cc3ccccc3OC2)CC1 ZINC000555341625 1048320852 /nfs/dbraw/zinc/32/08/52/1048320852.db2.gz JWJBJSZPZGPJAR-UHFFFAOYSA-N 0 3 307.393 4.235 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNCc1cc(Cl)ccc1Cl ZINC001201587607 1048324025 /nfs/dbraw/zinc/32/40/25/1048324025.db2.gz QQYPJCMYMHMZFR-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN CN1CCCC[C@H]1c1ccc(NC[C@@H]2CCC(F)(F)C2)nc1 ZINC001162648872 1048326796 /nfs/dbraw/zinc/32/67/96/1048326796.db2.gz VNYYENQUSVOOMV-HIFRSBDPSA-N 0 3 309.404 4.086 20 0 DIADHN Clc1ccc(N2CC[C@H](NCc3ccccc3Cl)C2)cc1 ZINC001201634145 1048327501 /nfs/dbraw/zinc/32/75/01/1048327501.db2.gz OSHXBIPCKCRCGY-HNNXBMFYSA-N 0 3 321.251 4.362 20 0 DIADHN Fc1cccc(F)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175504817 1048338069 /nfs/dbraw/zinc/33/80/69/1048338069.db2.gz FNWRLLQVGCVSFK-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN Fc1cccc(F)c1N=C1CCN(Cc2ccccc2)CC1 ZINC001175504817 1048338082 /nfs/dbraw/zinc/33/80/82/1048338082.db2.gz FNWRLLQVGCVSFK-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN Fc1ccc2[nH]c(=NC3=CCN(Cc4ccccc4)CC3)oc2c1 ZINC001175504659 1048338917 /nfs/dbraw/zinc/33/89/17/1048338917.db2.gz AMUFVGBCWZTYQL-UHFFFAOYSA-N 0 3 323.371 4.169 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@H]1CCCCS1 ZINC001201627146 1048338911 /nfs/dbraw/zinc/33/89/11/1048338911.db2.gz SMYIQSAPQUJGIC-GFCCVEGCSA-N 0 3 320.285 4.377 20 0 DIADHN Cc1cnc(Cl)cc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505301 1048339230 /nfs/dbraw/zinc/33/92/30/1048339230.db2.gz TZXMLDUQZSUPMC-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN CCCC[C@@H]1CN(CCC(C)C)CCN1C(=O)OC(C)(C)C ZINC001201626954 1048339493 /nfs/dbraw/zinc/33/94/93/1048339493.db2.gz QLNQWFXAXMUFNJ-MRXNPFEDSA-N 0 3 312.498 4.144 20 0 DIADHN Cc1ccc([C@@]2(C)CN(CCCc3ccccc3)CCO2)cc1 ZINC001201673340 1048340912 /nfs/dbraw/zinc/34/09/12/1048340912.db2.gz GDKXZMDCAKTVHU-OAQYLSRUSA-N 0 3 309.453 4.175 20 0 DIADHN c1nc(C2CC2)c(N=C2CCN(Cc3ccccc3)CC2)s1 ZINC001175505349 1048343075 /nfs/dbraw/zinc/34/30/75/1048343075.db2.gz MMEASZCHMQFONW-UHFFFAOYSA-N 0 3 311.454 4.222 20 0 DIADHN Cc1cc(F)cc(NC2=CCN(Cc3ccccc3)CC2)c1O ZINC001175506946 1048345318 /nfs/dbraw/zinc/34/53/18/1048345318.db2.gz PHZDHLBOMXQFTO-UHFFFAOYSA-N 0 3 312.388 4.041 20 0 DIADHN Cc1noc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc12 ZINC001175506781 1048346337 /nfs/dbraw/zinc/34/63/37/1048346337.db2.gz MRWIRZXFZVOWAY-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN COc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1C ZINC001175506446 1048346819 /nfs/dbraw/zinc/34/68/19/1048346819.db2.gz AGZAEQLSKFHGNQ-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ncccc1-c1ccccc1 ZINC001162758435 1048350168 /nfs/dbraw/zinc/35/01/68/1048350168.db2.gz IRITWWGPWXBKIL-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccccc1OC1CCCC1 ZINC001162777060 1048356385 /nfs/dbraw/zinc/35/63/85/1048356385.db2.gz JYBQSVWELSTUJZ-CQSZACIVSA-N 0 3 321.424 4.367 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc2c(c1)NCCC2(C)C ZINC001162779301 1048358222 /nfs/dbraw/zinc/35/82/22/1048358222.db2.gz WUFCJELHJLOPHS-CYBMUJFWSA-N 0 3 320.440 4.138 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNC/C=C\c1ccccc1 ZINC001201693126 1048360691 /nfs/dbraw/zinc/36/06/91/1048360691.db2.gz JVIPTCHFIWATLX-YVMONPNESA-N 0 3 323.465 4.385 20 0 DIADHN Oc1cc(CNC/C=C/c2ccccc2)cc(C(F)(F)F)c1 ZINC001201692514 1048361550 /nfs/dbraw/zinc/36/15/50/1048361550.db2.gz BEBXOFYCZLSDQM-QPJJXVBHSA-N 0 3 307.315 4.214 20 0 DIADHN CCCCCCN1CCC2(CC1)CC(=O)c1cc(C)ccc1O2 ZINC001201754262 1048365980 /nfs/dbraw/zinc/36/59/80/1048365980.db2.gz UJKHQBDHOOHRLY-UHFFFAOYSA-N 0 3 315.457 4.375 20 0 DIADHN Cc1ccc2c(c1)[C@@H](Nc1ccc(CN(C)C3CC3)cn1)CC2 ZINC001162824967 1048367139 /nfs/dbraw/zinc/36/71/39/1048367139.db2.gz KNZWKCWOPZFQPG-IBGZPJMESA-N 0 3 307.441 4.084 20 0 DIADHN COc1ccc([C@@H](C)NCCc2c(F)cccc2F)c(F)c1 ZINC000481322911 1048367722 /nfs/dbraw/zinc/36/77/22/1048367722.db2.gz NXVULXOQCBBBKC-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CC(C)(C)c1nc(CCNCc2ccc(F)cc2F)cs1 ZINC001201802903 1048375122 /nfs/dbraw/zinc/37/51/22/1048375122.db2.gz FPKUQWUIJCIWQR-UHFFFAOYSA-N 0 3 310.413 4.051 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(Cl)c1N)CCC2 ZINC001201777525 1048376334 /nfs/dbraw/zinc/37/63/34/1048376334.db2.gz IRGBZOBMXSCKCE-QGZVFWFLSA-N 0 3 316.832 4.098 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000481357234 1048380710 /nfs/dbraw/zinc/38/07/10/1048380710.db2.gz CZFVMUSFZOPIHN-OXJNMPFZSA-N 0 3 322.452 4.413 20 0 DIADHN CCOc1cc(CNCc2cc(C)cc(Cl)c2)ccc1OC ZINC000555549519 1048385742 /nfs/dbraw/zinc/38/57/42/1048385742.db2.gz HMWLXTHPCMZGSU-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN C[C@@H](NCc1cccc2ncccc12)c1cc2cnccc2o1 ZINC001175637885 1048385722 /nfs/dbraw/zinc/38/57/22/1048385722.db2.gz DVNKRMXSIUOHPK-CYBMUJFWSA-N 0 3 303.365 4.227 20 0 DIADHN CSc1ccc(CNCC2(c3ccccc3Cl)CC2)o1 ZINC001201882079 1048388839 /nfs/dbraw/zinc/38/88/39/1048388839.db2.gz QKFUHYALIGBZFF-UHFFFAOYSA-N 0 3 307.846 4.476 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1NCc1ccnn1CC1CC1 ZINC001201911023 1048400023 /nfs/dbraw/zinc/40/00/23/1048400023.db2.gz LEZJKIWDXVBJSL-PZJWPPBQSA-N 0 3 323.484 4.342 20 0 DIADHN C[C@H](NCc1cnc(C2CCCCC2)s1)c1cc[nH]c(=O)c1 ZINC000555608306 1048405393 /nfs/dbraw/zinc/40/53/93/1048405393.db2.gz DWYYNZRIJZNATO-LBPRGKRZSA-N 0 3 317.458 4.142 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CC(N2CCC(F)(F)CC2)C1 ZINC001201981595 1048405602 /nfs/dbraw/zinc/40/56/02/1048405602.db2.gz PENVBBPDDUOISJ-INIZCTEOSA-N 0 3 314.464 4.174 20 0 DIADHN Cc1cnc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)nc1 ZINC000555671974 1048418543 /nfs/dbraw/zinc/41/85/43/1048418543.db2.gz MKCFYOCICKWGAL-GOSISDBHSA-N 0 3 317.864 4.185 20 0 DIADHN CCCCN(Cc1nc([C@H](C)OCC)no1)[C@@H]1CCC[C@@H](C)C1 ZINC000555679082 1048418551 /nfs/dbraw/zinc/41/85/51/1048418551.db2.gz JETMCSUBWAMCJF-OWCLPIDISA-N 0 3 323.481 4.348 20 0 DIADHN Cc1oncc1CN1CC[C@@H](c2ccccc2Cl)C1(C)C ZINC001175716362 1048421685 /nfs/dbraw/zinc/42/16/85/1048421685.db2.gz UKCMCBHHPNNBLX-HNNXBMFYSA-N 0 3 304.821 4.405 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Nc1ccc(F)c(Cl)c1 ZINC001187699736 1048429586 /nfs/dbraw/zinc/42/95/86/1048429586.db2.gz JIDCDOVEBYHQAK-UHFFFAOYSA-N 0 3 321.783 4.185 20 0 DIADHN C[C@H]1CCCCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000481562596 1048431971 /nfs/dbraw/zinc/43/19/71/1048431971.db2.gz BLTWCCIKKUNMJM-LBPRGKRZSA-N 0 3 306.356 4.242 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCCC[C@@H](C)C1 ZINC000481581755 1048435043 /nfs/dbraw/zinc/43/50/43/1048435043.db2.gz XUIMBUQVSIRJJF-HZPDHXFCSA-N 0 3 302.462 4.259 20 0 DIADHN CCc1ccc(CN2CCN(Cc3ccc(C)c(C)c3)CC2)cc1 ZINC001175749003 1048435075 /nfs/dbraw/zinc/43/50/75/1048435075.db2.gz KMVHSZRZRHNJLF-UHFFFAOYSA-N 0 3 322.496 4.184 20 0 DIADHN CNc1ccc(C)cc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC001202066202 1048437305 /nfs/dbraw/zinc/43/73/05/1048437305.db2.gz OWPTZDCBLQMDOM-MRXNPFEDSA-N 0 3 322.374 4.472 20 0 DIADHN Nc1cc(C(F)(F)F)c(Nc2ccnc(C3CCC3)c2)cn1 ZINC001175710454 1048438242 /nfs/dbraw/zinc/43/82/42/1048438242.db2.gz BIIDUVSJDFEEFO-UHFFFAOYSA-N 0 3 308.307 4.089 20 0 DIADHN c1csc(-c2ccnc(N[C@H]3CNCc4ccsc43)c2)c1 ZINC001163221234 1048438507 /nfs/dbraw/zinc/43/85/07/1048438507.db2.gz OXTIXTAKYRJGAS-ZDUSSCGKSA-N 0 3 313.451 4.128 20 0 DIADHN Clc1cnc(N[C@@H]2CNCc3ccsc32)c2ccccc12 ZINC001163220924 1048439249 /nfs/dbraw/zinc/43/92/49/1048439249.db2.gz XJGZHECJDWCSID-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN C[C@@H]1CCCCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000481610662 1048439666 /nfs/dbraw/zinc/43/96/66/1048439666.db2.gz QZFREPBCQQHSDZ-GFCCVEGCSA-N 0 3 306.356 4.242 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccc(Oc3ccccc3)nc1)CNC2 ZINC001163228629 1048441023 /nfs/dbraw/zinc/44/10/23/1048441023.db2.gz MILIFEGWGDXPIZ-INIZCTEOSA-N 0 3 323.421 4.192 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccc(-c3ccccc3)nc1)CNC2 ZINC001163229841 1048441666 /nfs/dbraw/zinc/44/16/66/1048441666.db2.gz RKGVKIODIQHAGW-KRWDZBQOSA-N 0 3 307.422 4.067 20 0 DIADHN CCc1cc(C)cc(CC)c1N[C@H]1CNCc2ccsc21 ZINC001163229031 1048441745 /nfs/dbraw/zinc/44/17/45/1048441745.db2.gz OLDLPIFCJBIRCJ-INIZCTEOSA-N 0 3 300.471 4.438 20 0 DIADHN CCOc1cccc(CN[C@@H](C)CSc2ccc(C)cc2)n1 ZINC001202040298 1048442114 /nfs/dbraw/zinc/44/21/14/1048442114.db2.gz MWPALIQCPROCIC-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN Fc1cc(N[C@H]2CNCc3ccsc32)cc(C(F)F)c1F ZINC001163236699 1048443250 /nfs/dbraw/zinc/44/32/50/1048443250.db2.gz GROZHHYAJHCPOD-NSHDSACASA-N 0 3 316.323 4.220 20 0 DIADHN Cc1nc(CNCCCCCc2ccc(F)cc2)sc1C ZINC001202083952 1048444722 /nfs/dbraw/zinc/44/47/22/1048444722.db2.gz NVETUATUGYFRPN-UHFFFAOYSA-N 0 3 306.450 4.402 20 0 DIADHN C[C@@H](NCc1ccc2nccnc2c1)c1ccc2ncsc2c1 ZINC001202074368 1048453366 /nfs/dbraw/zinc/45/33/66/1048453366.db2.gz MWAGXOWCHVQGIM-GFCCVEGCSA-N 0 3 320.421 4.090 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481679328 1048453715 /nfs/dbraw/zinc/45/37/15/1048453715.db2.gz YIMCSFBCVCOUTJ-QRWLVFNGSA-N 0 3 311.400 4.408 20 0 DIADHN COc1ccc(C)cc1CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481706295 1048464482 /nfs/dbraw/zinc/46/44/82/1048464482.db2.gz IMMGMUXCCQJQMO-NRFANRHFSA-N 0 3 323.436 4.025 20 0 DIADHN CCOc1ccc(CN2CCC[C@H](C(F)(F)F)[C@H]2C)cc1 ZINC000481752200 1048478573 /nfs/dbraw/zinc/47/85/73/1048478573.db2.gz SWVKJBWCPYMCOE-DOMZBBRYSA-N 0 3 301.352 4.248 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CCO[C@H](C(F)(F)F)CC2)c(C)c1 ZINC001175874603 1048488461 /nfs/dbraw/zinc/48/84/61/1048488461.db2.gz RSMUEZMBRBQXDN-CABCVRRESA-N 0 3 315.379 4.326 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc(C)c1N ZINC001175939458 1048489980 /nfs/dbraw/zinc/48/99/80/1048489980.db2.gz YOYUFGYLLWFKDD-KRWDZBQOSA-N 0 3 311.429 4.014 20 0 DIADHN C[C@@H]1CC[C@H](CNCc2ncc(Br)cc2Cl)C1 ZINC001202250730 1048490307 /nfs/dbraw/zinc/49/03/07/1048490307.db2.gz JBBXHFNEHCQXIU-ZJUUUORDSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1cc2ccncc2s1 ZINC000667959042 1048492410 /nfs/dbraw/zinc/49/24/10/1048492410.db2.gz CEKLTAUTZCOZFX-JQWIXIFHSA-N 0 3 314.376 4.459 20 0 DIADHN CC(C)n1ccnc1CN[C@H](C)C1(c2ccccc2)CCCC1 ZINC000481848626 1048500078 /nfs/dbraw/zinc/50/00/78/1048500078.db2.gz OWUBXHXKJQYYLG-QGZVFWFLSA-N 0 3 311.473 4.454 20 0 DIADHN CCOc1ccc(NC(=O)[C@H](C)N(C)C[C@@H](C)C(C)(C)C)cc1 ZINC001175983811 1048516963 /nfs/dbraw/zinc/51/69/63/1048516963.db2.gz QXXBGZAQWAPFBC-CABCVRRESA-N 0 3 320.477 4.026 20 0 DIADHN C[C@H](CN(C)CC(=O)NCCCC1CCCCC1)C(C)(C)C ZINC001175984634 1048517213 /nfs/dbraw/zinc/51/72/13/1048517213.db2.gz WPGLANSFAKGOKJ-MRXNPFEDSA-N 0 3 310.526 4.077 20 0 DIADHN Fc1ccc(CC2CCN(CCC(F)(F)F)CC2)c(F)c1 ZINC001202352864 1048529535 /nfs/dbraw/zinc/52/95/35/1048529535.db2.gz TUAOFVHQGSCJSU-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN Fc1ccc(F)c(CNCCn2ccc3cc(Cl)ccc32)c1 ZINC001202366498 1048532506 /nfs/dbraw/zinc/53/25/06/1048532506.db2.gz NVAVSUDUSNGBHL-UHFFFAOYSA-N 0 3 320.770 4.363 20 0 DIADHN COc1cccc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)c1OC ZINC000503888586 1048537514 /nfs/dbraw/zinc/53/75/14/1048537514.db2.gz CRINDEXEVMAUKU-IFXJQAMLSA-N 0 3 311.425 4.035 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCC(F)(F)F)cc1OC ZINC001202415468 1048544520 /nfs/dbraw/zinc/54/45/20/1048544520.db2.gz LSDCVKJTASBPLV-CYBMUJFWSA-N 0 3 317.351 4.183 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H](C)CC(C)(C)O ZINC001202455273 1048556883 /nfs/dbraw/zinc/55/68/83/1048556883.db2.gz FPTRVZKGBZGYSN-GFCCVEGCSA-N 0 3 315.910 4.480 20 0 DIADHN FC(F)(F)CCCN1CCC[C@@H](OCc2ccccc2)C1 ZINC001202434096 1048559974 /nfs/dbraw/zinc/55/99/74/1048559974.db2.gz YSQFTSYNZUFZPD-OAHLLOKOSA-N 0 3 301.352 4.010 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(OCC3CC3)nc2)cc1 ZINC000482111252 1048560379 /nfs/dbraw/zinc/56/03/79/1048560379.db2.gz AFQPNGBPVSFVBB-HNNXBMFYSA-N 0 3 310.441 4.284 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CNCc2cccs2)c1 ZINC000691667993 1048567362 /nfs/dbraw/zinc/56/73/62/1048567362.db2.gz ZLJXZBOWVLBHNQ-UHFFFAOYSA-N 0 3 318.442 4.385 20 0 DIADHN c1cc2cncc(N[C@H](c3ccccc3)c3ccncc3)c2[nH]1 ZINC001164074536 1048576349 /nfs/dbraw/zinc/57/63/49/1048576349.db2.gz LLRMXYDVIPGZRE-GOSISDBHSA-N 0 3 300.365 4.159 20 0 DIADHN CC[C@](C)(NCc1cccc2c1OCCCO2)c1ccccc1 ZINC000107717440 1048590355 /nfs/dbraw/zinc/59/03/55/1048590355.db2.gz UMSBEWYIGBHFJS-FQEVSTJZSA-N 0 3 311.425 4.263 20 0 DIADHN [O-]c1ccc(Nc2cccc(C[NH+]3CCCC3)c2)c(F)c1F ZINC001176195733 1048592035 /nfs/dbraw/zinc/59/20/35/1048592035.db2.gz KGGZWKBNROHERZ-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc(Cl)c3)cccc21 ZINC001176223715 1048609183 /nfs/dbraw/zinc/60/91/83/1048609183.db2.gz WUAXFFOGPSNENG-INIZCTEOSA-N 0 3 302.805 4.469 20 0 DIADHN C[C@]1(O)CCCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000482459617 1048611554 /nfs/dbraw/zinc/61/15/54/1048611554.db2.gz WASZTYVYMOOBCD-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN C[C@H]1C[C@@H](CF)N(c2nc(N3CCCC3)cc3ccccc32)C1 ZINC001164260661 1048614467 /nfs/dbraw/zinc/61/44/67/1048614467.db2.gz QSUIFSPGRBWQRY-HOCLYGCPSA-N 0 3 313.420 4.019 20 0 DIADHN CC(=O)c1cc(Cl)c(F)cc1Nc1ccc(CN(C)C)cc1 ZINC001176291582 1048620717 /nfs/dbraw/zinc/62/07/17/1048620717.db2.gz GOLSSQMXKCUZGF-UHFFFAOYSA-N 0 3 320.795 4.487 20 0 DIADHN COCC1=CCN(Cc2ccc(-c3cccc(F)c3)s2)CC1 ZINC000482508615 1048622819 /nfs/dbraw/zinc/62/28/19/1048622819.db2.gz NUZWMILWWSVVLK-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1cc3ccc(C)cc3[nH]1)CCC2 ZINC000850240383 1048627056 /nfs/dbraw/zinc/62/70/56/1048627056.db2.gz PZVHYDQPFNWXTD-OAHLLOKOSA-N 0 3 311.454 4.408 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1ccc(Br)cc1F ZINC000159248877 1048627418 /nfs/dbraw/zinc/62/74/18/1048627418.db2.gz HHMGJAAOPAFNGK-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1ccc(Br)cc1F ZINC000159248752 1048628248 /nfs/dbraw/zinc/62/82/48/1048628248.db2.gz HHMGJAAOPAFNGK-JTQLQIEISA-N 0 3 318.230 4.054 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1CO[C@@H](C2CC2)C1 ZINC000668071683 1048632037 /nfs/dbraw/zinc/63/20/37/1048632037.db2.gz QTUKFJJVYCJDCW-VNQPRFMTSA-N 0 3 313.363 4.146 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccccc1)c1cc(F)ccc1OC ZINC001176304797 1048640901 /nfs/dbraw/zinc/64/09/01/1048640901.db2.gz PIIXADDWQSPJPU-HKUYNNGSSA-N 0 3 317.404 4.263 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(F)nc1)c1ccc(OC(F)F)cc1 ZINC000930198252 1048641626 /nfs/dbraw/zinc/64/16/26/1048641626.db2.gz ZFQWANJFQVTYML-QWRGUYRKSA-N 0 3 310.319 4.234 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1C[C@H](O)c2ccccc21 ZINC000850375988 1048645046 /nfs/dbraw/zinc/64/50/46/1048645046.db2.gz VGDBNNAFLYRAFY-GZOWUJKJSA-N 0 3 323.436 4.004 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cc(Cl)cs1)c1ccccc1 ZINC000850396520 1048646418 /nfs/dbraw/zinc/64/64/18/1048646418.db2.gz XKFFWDHVTWFGSZ-HOTGVXAUSA-N 0 3 309.862 4.249 20 0 DIADHN CCCOc1ccc(CN[C@@H]2c3cc(F)ccc3C[C@@H]2C)cn1 ZINC000482621441 1048647070 /nfs/dbraw/zinc/64/70/70/1048647070.db2.gz SMPCJMWZCZZKIE-DJJJIMSYSA-N 0 3 314.404 4.033 20 0 DIADHN CO[C@H](CNCc1ccc(CSC(F)F)o1)C1CCCC1 ZINC000850400206 1048647900 /nfs/dbraw/zinc/64/79/00/1048647900.db2.gz BOVWKDYYFKEBIL-CQSZACIVSA-N 0 3 319.417 4.030 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668091633 1048649145 /nfs/dbraw/zinc/64/91/45/1048649145.db2.gz KYFZSDNMCBIGMD-JOYOIKCWSA-N 0 3 300.349 4.069 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668091632 1048649249 /nfs/dbraw/zinc/64/92/49/1048649249.db2.gz KYFZSDNMCBIGMD-CABZTGNLSA-N 0 3 300.349 4.069 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000850424356 1048651556 /nfs/dbraw/zinc/65/15/56/1048651556.db2.gz DVSZTOKJZCYYQT-RYUDHWBXSA-N 0 3 316.470 4.497 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc3c(c2)CCCO3)cc1 ZINC000850427696 1048653935 /nfs/dbraw/zinc/65/39/35/1048653935.db2.gz ZHDUVTDQMXUABL-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)cn1 ZINC000850433709 1048656877 /nfs/dbraw/zinc/65/68/77/1048656877.db2.gz LWXYIAYGDFGQEN-LSDHHAIUSA-N 0 3 313.445 4.201 20 0 DIADHN CC(C)c1nc(CCN(C)Cc2ccc(C(C)(C)C)cc2)no1 ZINC001204396586 1048672597 /nfs/dbraw/zinc/67/25/97/1048672597.db2.gz HTVWNTCPPSVUHG-UHFFFAOYSA-N 0 3 315.461 4.165 20 0 DIADHN c1csc(-c2ccc(CN[C@H]3CCCc4n[nH]cc43)cc2)c1 ZINC000850504129 1048673093 /nfs/dbraw/zinc/67/30/93/1048673093.db2.gz VIPHCNBPSHAOHL-INIZCTEOSA-N 0 3 309.438 4.305 20 0 DIADHN CCOCOc1ccc(CNCc2cccc(C(C)C)c2)cc1 ZINC000775330901 1048673988 /nfs/dbraw/zinc/67/39/88/1048673988.db2.gz WXBJUGWEQWCJDX-UHFFFAOYSA-N 0 3 313.441 4.473 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](O)c1cccc2ccccc21 ZINC000850517605 1048674762 /nfs/dbraw/zinc/67/47/62/1048674762.db2.gz XOFCOOZVADCROZ-QRWLVFNGSA-N 0 3 320.436 4.181 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](O)c1cccc2ccccc21 ZINC000850517422 1048675044 /nfs/dbraw/zinc/67/50/44/1048675044.db2.gz TXZKWJOKQJKCEC-YJYMSZOUSA-N 0 3 324.424 4.083 20 0 DIADHN Cc1c(Cl)ccc(-c2ccc(CN(C)C3CC3)cn2)c1F ZINC001206272398 1048677089 /nfs/dbraw/zinc/67/70/89/1048677089.db2.gz NKHSNFWFSMNQCK-UHFFFAOYSA-N 0 3 304.796 4.444 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000850524101 1048677313 /nfs/dbraw/zinc/67/73/13/1048677313.db2.gz UQUOYHCUIGQDOU-JKSUJKDBSA-N 0 3 324.424 4.236 20 0 DIADHN O[C@@H]1C[C@@H](N[C@H]2CCCc3ccc(Cl)cc32)c2ccccc21 ZINC000850527879 1048680925 /nfs/dbraw/zinc/68/09/25/1048680925.db2.gz IBYNJWMRFVEBRL-IPMKNSEASA-N 0 3 313.828 4.485 20 0 DIADHN CCC[C@H](N[C@@H]1C[C@@H](O)c2ccccc21)c1ccc(OC)cc1 ZINC000850528642 1048680953 /nfs/dbraw/zinc/68/09/53/1048680953.db2.gz JJJDUTSHPYARKT-XUVXKRRUSA-N 0 3 311.425 4.304 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](O)c2ccccc21)c1ccc2c(c1)CCCO2 ZINC000850528374 1048681154 /nfs/dbraw/zinc/68/11/54/1048681154.db2.gz LBPNCRZXQJFZLS-UFYCRDLUSA-N 0 3 323.436 4.231 20 0 DIADHN CC[C@H](N[C@H]1C[C@@H](O)c2ccccc21)c1ccc2c(c1)CCCO2 ZINC000850528373 1048681604 /nfs/dbraw/zinc/68/16/04/1048681604.db2.gz LBPNCRZXQJFZLS-SLFFLAALSA-N 0 3 323.436 4.231 20 0 DIADHN CCCCCC/C(=C\c1ccccc1)CNCC1OCCCO1 ZINC001203235285 1048682088 /nfs/dbraw/zinc/68/20/88/1048682088.db2.gz OKVJROKXYXEYRN-XDJHFCHBSA-N 0 3 317.473 4.393 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850529200 1048682228 /nfs/dbraw/zinc/68/22/28/1048682228.db2.gz OHKLOESCZVUBHL-KPOBHBOGSA-N 0 3 311.425 4.304 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@@H]2CCC[C@H](C(C)C)CC2)s1 ZINC001205480545 1048689057 /nfs/dbraw/zinc/68/90/57/1048689057.db2.gz DMCWTSUPSFQHSI-MELADBBJSA-N 0 3 324.490 4.185 20 0 DIADHN COC(=O)C[C@@H](NCc1ccc(Cl)cc1Cl)C(C)(C)C ZINC001176463234 1048689179 /nfs/dbraw/zinc/68/91/79/1048689179.db2.gz CUNZHELMEKFUGP-CYBMUJFWSA-N 0 3 318.244 4.061 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCC2SCCS2)c1 ZINC000850561501 1048692820 /nfs/dbraw/zinc/69/28/20/1048692820.db2.gz MXGYBHJJBIHMKO-JTQLQIEISA-N 0 3 319.442 4.053 20 0 DIADHN c1ccn(-c2cccc(NCC3CN(Cc4ccccc4)C3)c2)c1 ZINC001165455110 1048690150 /nfs/dbraw/zinc/69/01/50/1048690150.db2.gz SUYFHDUVOYZQGJ-UHFFFAOYSA-N 0 3 317.436 4.021 20 0 DIADHN COc1ccc2oc([C@H](C)NCC3SCCS3)c(C)c2c1 ZINC000850559599 1048690621 /nfs/dbraw/zinc/69/06/21/1048690621.db2.gz DENZMASQLQXKLO-NSHDSACASA-N 0 3 323.483 4.207 20 0 DIADHN CN1CCC[C@@H]1CSc1ncc(-c2ccc(Cl)cc2)o1 ZINC001165451825 1048690468 /nfs/dbraw/zinc/69/04/68/1048690468.db2.gz DTESTBQPUIKGIS-CYBMUJFWSA-N 0 3 308.834 4.181 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@@H](C)[C@H]1C ZINC000482862176 1048691280 /nfs/dbraw/zinc/69/12/80/1048691280.db2.gz CVIPZNSWBYWDNU-BRWVUGGUSA-N 0 3 322.452 4.411 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@@H](C)[C@@H]3C)cs2)c1 ZINC000482846049 1048692310 /nfs/dbraw/zinc/69/23/10/1048692310.db2.gz SWWUQZBHDITIIT-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](C)[C@H]1C ZINC000482862915 1048693463 /nfs/dbraw/zinc/69/34/63/1048693463.db2.gz FERCMSKXVQOWGN-JONQDZQNSA-N 0 3 302.462 4.257 20 0 DIADHN CC1(C)CC(N[C@H](c2nc(Br)cs2)C2CC2)C1 ZINC000850575724 1048699543 /nfs/dbraw/zinc/69/95/43/1048699543.db2.gz CWMARPPYZOPWKR-NSHDSACASA-N 0 3 315.280 4.135 20 0 DIADHN CC1(C)CC(N[C@@H](c2nc(Br)cs2)C2CC2)C1 ZINC000850575723 1048700008 /nfs/dbraw/zinc/70/00/08/1048700008.db2.gz CWMARPPYZOPWKR-LLVKDONJSA-N 0 3 315.280 4.135 20 0 DIADHN CC(C)c1ccccc1C(=O)N(C)CCN(C)Cc1ccccc1 ZINC001190262819 1048711638 /nfs/dbraw/zinc/71/16/38/1048711638.db2.gz CILOLGZFHTYKLK-UHFFFAOYSA-N 0 3 324.468 4.014 20 0 DIADHN CCN(CC(=O)Nc1ccc(C)c(Cl)c1)C1CCCCC1 ZINC001190324473 1048718898 /nfs/dbraw/zinc/71/88/98/1048718898.db2.gz GCCRQFREZBOAHJ-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cnc2ccccc2c1 ZINC000776496606 1048718874 /nfs/dbraw/zinc/71/88/74/1048718874.db2.gz AWPFDESVHUSGSE-XOBRGWDASA-N 0 3 324.399 4.240 20 0 DIADHN CCN(Cc1nc(-c2ccc(F)c(C)c2)no1)C1CCCCC1 ZINC001190324477 1048719583 /nfs/dbraw/zinc/71/95/83/1048719583.db2.gz GCLJPOXCDYDAAD-UHFFFAOYSA-N 0 3 317.408 4.339 20 0 DIADHN CCOC(=O)N[C@H]1CCCN([C@@H](C)c2ccc(C(C)C)cc2)C1 ZINC001190332083 1048719954 /nfs/dbraw/zinc/71/99/54/1048719954.db2.gz OZGJCLUOEIETLU-YJBOKZPZSA-N 0 3 318.461 4.082 20 0 DIADHN CO[C@@]1(C)CCCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC000483005952 1048720129 /nfs/dbraw/zinc/72/01/29/1048720129.db2.gz KZTHDXPRKJQLHS-INIZCTEOSA-N 0 3 316.272 4.427 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1ccc(F)c(Cl)c1 ZINC001190325396 1048720231 /nfs/dbraw/zinc/72/02/31/1048720231.db2.gz NKFUEOWWPOMRBS-UHFFFAOYSA-N 0 3 314.832 4.176 20 0 DIADHN CCO[C@H](CN(C)Cc1cc(Cl)cc(Cl)c1)C1CC1 ZINC001190383990 1048724205 /nfs/dbraw/zinc/72/42/05/1048724205.db2.gz VKOQPLTZCLTTEH-OAHLLOKOSA-N 0 3 302.245 4.240 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@@H]1CCC(C)(C)C1 ZINC000483096049 1048732670 /nfs/dbraw/zinc/73/26/70/1048732670.db2.gz CAJCQIYYLIBOKR-QGZVFWFLSA-N 0 3 316.489 4.260 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCC(C)(C)C2)c(Cl)c1 ZINC000483100476 1048734480 /nfs/dbraw/zinc/73/44/80/1048734480.db2.gz GNEDRYSRNMRJOY-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN COC(=O)c1ccc(F)c(CN(CC2CCCCC2)C2CC2)c1 ZINC001190426188 1048736600 /nfs/dbraw/zinc/73/66/00/1048736600.db2.gz CCWAMNIGTVVMEC-UHFFFAOYSA-N 0 3 319.420 4.157 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(C(=O)OC(C)C)cc2)c1 ZINC001190436792 1048739470 /nfs/dbraw/zinc/73/94/70/1048739470.db2.gz LFOOKWQHHIHWQQ-UHFFFAOYSA-N 0 3 311.425 4.192 20 0 DIADHN CCN(CC1CCC1)[C@H](C)C(=O)Nc1cccc(Cl)c1C ZINC000483116787 1048740275 /nfs/dbraw/zinc/74/02/75/1048740275.db2.gz HHWUEMZMYODENN-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)N(C)c1ccc(CN2CCC[C@@H]2c2cccs2)cn1 ZINC000483145171 1048747436 /nfs/dbraw/zinc/74/74/36/1048747436.db2.gz OIZKIRHVCSVHLT-MRXNPFEDSA-N 0 3 315.486 4.325 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN(C1CC1)C1CCCCC1 ZINC001190518878 1048748765 /nfs/dbraw/zinc/74/87/65/1048748765.db2.gz JTKLOFHKZCCORU-UHFFFAOYSA-N 0 3 323.484 4.423 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@]3(CCOC3)OC(C)(C)C2)cc1 ZINC000483180344 1048749038 /nfs/dbraw/zinc/74/90/38/1048749038.db2.gz DHAWQDPGIBRZAA-OXQOHEQNSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1ccc(F)cc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC001190528540 1048749250 /nfs/dbraw/zinc/74/92/50/1048749250.db2.gz LXJGSTZUWKSKLQ-FQEVSTJZSA-N 0 3 313.416 4.315 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483195319 1048752563 /nfs/dbraw/zinc/75/25/63/1048752563.db2.gz LPGAFSSQBNNZBQ-CYBMUJFWSA-N 0 3 312.335 4.467 20 0 DIADHN CCc1onc(C)c1CN(Cc1cccc(OC)c1)CC(C)C ZINC001190559682 1048753604 /nfs/dbraw/zinc/75/36/04/1048753604.db2.gz BRQBBAHTHZUREV-UHFFFAOYSA-N 0 3 316.445 4.212 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@](C)(CC)c1ccccc1 ZINC000775397387 1048755493 /nfs/dbraw/zinc/75/54/93/1048755493.db2.gz WKUWSTZTBQKTTO-OAQYLSRUSA-N 0 3 324.468 4.411 20 0 DIADHN CC[C@](C)(NCc1cc(C(=O)OC)sc1C)c1ccccc1 ZINC000775397906 1048755836 /nfs/dbraw/zinc/75/58/36/1048755836.db2.gz YHKHXZPBMPUZGO-SFHVURJKSA-N 0 3 317.454 4.258 20 0 DIADHN CC(=O)OCc1cccc(Cl)c1Nc1ccnc(C2CC2)c1 ZINC001212776290 1048757356 /nfs/dbraw/zinc/75/73/56/1048757356.db2.gz MXWGYDYPUZPOQX-UHFFFAOYSA-N 0 3 316.788 4.419 20 0 DIADHN CCCN(CC)[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001190604912 1048763974 /nfs/dbraw/zinc/76/39/74/1048763974.db2.gz IIMLNXHNGPXTEI-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN C[C@@H](N(C)Cc1nc2cc(C(F)(F)F)ccc2o1)C1(C)CC1 ZINC000483217620 1048759685 /nfs/dbraw/zinc/75/96/85/1048759685.db2.gz DKRKBCYEWGJGQI-SNVBAGLBSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@H](C(C)(C)C)C1 ZINC000483250270 1048764608 /nfs/dbraw/zinc/76/46/08/1048764608.db2.gz NLDTXYFQEKJBHI-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN c1ccc(-c2nnc(CN(CC3CC3)CC3CCC3)s2)cc1 ZINC000483261389 1048767504 /nfs/dbraw/zinc/76/75/04/1048767504.db2.gz MFVQZEIZDNNJKO-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN Cc1nocc1CN1CCC[C@@]1(C)Cc1ccc(Cl)s1 ZINC001176663029 1048768601 /nfs/dbraw/zinc/76/86/01/1048768601.db2.gz CNUQATFJPJNULG-HNNXBMFYSA-N 0 3 310.850 4.295 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccsc3Cl)C2)cc1 ZINC000483279227 1048769756 /nfs/dbraw/zinc/76/97/56/1048769756.db2.gz QIGDXFCDNPYJSU-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccc(OCC2CC2)cc1 ZINC000483273926 1048770914 /nfs/dbraw/zinc/77/09/14/1048770914.db2.gz ZZPUCMVVCQRALE-OAHLLOKOSA-N 0 3 311.425 4.374 20 0 DIADHN CC(C)CCOC1CCN([C@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC001190699012 1048772091 /nfs/dbraw/zinc/77/20/91/1048772091.db2.gz DFSXKAKWCDUWRK-MOPGFXCFSA-N 0 3 305.437 4.209 20 0 DIADHN Fc1ccccc1-c1cnc(CN(CC2CC2)C2CCC2)o1 ZINC000483289011 1048773320 /nfs/dbraw/zinc/77/33/20/1048773320.db2.gz KRJQWHRERSFJOC-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN Cc1cccc(CCN[C@H](c2ccccc2)c2ccccn2)c1 ZINC000194610887 1048773939 /nfs/dbraw/zinc/77/39/39/1048773939.db2.gz KLLJGUPVRSVLRV-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN(CC1CC1)C1CCC1 ZINC000483307650 1048776299 /nfs/dbraw/zinc/77/62/99/1048776299.db2.gz NFTFSPHUFYLCKH-UHFFFAOYSA-N 0 3 302.443 4.476 20 0 DIADHN CC(C)c1ccc([C@H](C)N2C[C@@H](C)O[C@]3(CCCOC3)C2)cc1 ZINC000483369660 1048782820 /nfs/dbraw/zinc/78/28/20/1048782820.db2.gz DUCITUAPGLCVBJ-FUHIMQAGSA-N 0 3 317.473 4.141 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483340352 1048783394 /nfs/dbraw/zinc/78/33/94/1048783394.db2.gz RNVSEYNROFRSDW-IATRGZMQSA-N 0 3 317.408 4.351 20 0 DIADHN COCOc1ccc(F)cc1Nc1ccnc(C2CCC2)c1 ZINC001176719482 1048785303 /nfs/dbraw/zinc/78/53/03/1048785303.db2.gz QHIXJTDDAUEQGD-UHFFFAOYSA-N 0 3 302.349 4.215 20 0 DIADHN CC1=C(C)CN(Cc2cccc(C(=O)Nc3ccccc3)c2)CC1 ZINC000483378531 1048786559 /nfs/dbraw/zinc/78/65/59/1048786559.db2.gz RIWZTYOLHDQHAK-UHFFFAOYSA-N 0 3 320.436 4.481 20 0 DIADHN Cc1ccc(CN2CCC(c3ccc4[nH]ccc4c3)CC2)cn1 ZINC001176788079 1048787438 /nfs/dbraw/zinc/78/74/38/1048787438.db2.gz PBXMZZYCIIDUIV-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1cc(-c2noc(CN(CC(C)C)C(C)C)n2)ccc1F ZINC000483404908 1048788196 /nfs/dbraw/zinc/78/81/96/1048788196.db2.gz JRZMCAKNPIFWQW-UHFFFAOYSA-N 0 3 305.397 4.051 20 0 DIADHN C[C@@H](NCc1oc2ccccc2c1Br)C1(C)CC1 ZINC001176767877 1048788686 /nfs/dbraw/zinc/78/86/86/1048788686.db2.gz XDCWWMBRPNSIGM-SNVBAGLBSA-N 0 3 308.219 4.474 20 0 DIADHN CSCC(C)(C)NCc1nc(-c2ccc(C)cc2)cs1 ZINC001176797986 1048790193 /nfs/dbraw/zinc/79/01/93/1048790193.db2.gz IXEUWQBQMWAPNE-UHFFFAOYSA-N 0 3 306.500 4.350 20 0 DIADHN CC(C)CN(Cc1nc2cc(C(F)(F)F)ccc2o1)C1CC1 ZINC000483411390 1048791535 /nfs/dbraw/zinc/79/15/35/1048791535.db2.gz RPZXUSMEBJFREB-UHFFFAOYSA-N 0 3 312.335 4.467 20 0 DIADHN CCc1ccc(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)cc1 ZINC001176932505 1048802571 /nfs/dbraw/zinc/80/25/71/1048802571.db2.gz LWSHAIHSVRCQAB-IIBYNOLFSA-N 0 3 309.453 4.124 20 0 DIADHN CCc1ccc(CN[C@]2(CO)CC[C@H](C)c3ccccc32)cc1 ZINC001176932506 1048804869 /nfs/dbraw/zinc/80/48/69/1048804869.db2.gz LWSHAIHSVRCQAB-KKSFZXQISA-N 0 3 309.453 4.124 20 0 DIADHN Fc1ccc(NCCc2ccsc2)cc1CN1CCCC1 ZINC001166864472 1048805403 /nfs/dbraw/zinc/80/54/03/1048805403.db2.gz CJVABYJXBSSSQC-UHFFFAOYSA-N 0 3 304.434 4.138 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCN(CCCC(C)C)CC1 ZINC001190993999 1048812377 /nfs/dbraw/zinc/81/23/77/1048812377.db2.gz FOENPPABVHHWIN-LJQANCHMSA-N 0 3 324.459 4.470 20 0 DIADHN CCCCCNCc1cc(OC(F)F)ccc1Br ZINC001177081506 1048816662 /nfs/dbraw/zinc/81/66/62/1048816662.db2.gz KIOORIJKRAHTKX-UHFFFAOYSA-N 0 3 322.193 4.330 20 0 DIADHN CCN(Cc1ccn(CCc2ccccc2)n1)C1CCCCC1 ZINC001166997168 1048823083 /nfs/dbraw/zinc/82/30/83/1048823083.db2.gz OQOFICGJSOWOAQ-UHFFFAOYSA-N 0 3 311.473 4.280 20 0 DIADHN C[C@H](CN[C@@H](C)c1cc(F)ccc1F)Oc1ccccc1F ZINC000483606674 1048823781 /nfs/dbraw/zinc/82/37/81/1048823781.db2.gz NWJSJDFDSVOSBO-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCCCCCCO ZINC000852128767 1048824911 /nfs/dbraw/zinc/82/49/11/1048824911.db2.gz ZHTFTXQYMXQSEO-CYBMUJFWSA-N 0 3 323.868 4.152 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccco2)N2CCCCC2)c(F)c1 ZINC001177117357 1048826491 /nfs/dbraw/zinc/82/64/91/1048826491.db2.gz RWFAGUVBAHQIIW-SFHVURJKSA-N 0 3 316.420 4.044 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1CCOCc1ccccc1 ZINC001167007863 1048827856 /nfs/dbraw/zinc/82/78/56/1048827856.db2.gz VZYZSPMGRMUPNZ-QGZVFWFLSA-N 0 3 301.455 4.274 20 0 DIADHN COc1ccc(CN2CCS[C@H](C)[C@H]2c2ccccc2)cc1 ZINC001191030052 1048828181 /nfs/dbraw/zinc/82/81/81/1048828181.db2.gz LKDDIXYCCYVTIW-BEFAXECRSA-N 0 3 313.466 4.374 20 0 DIADHN c1cc2ccc(CN3CCSC[C@@H]3c3ccccc3)cc2[nH]1 ZINC001191039878 1048833278 /nfs/dbraw/zinc/83/32/78/1048833278.db2.gz SVYPMRAWDMPXPI-LJQANCHMSA-N 0 3 308.450 4.458 20 0 DIADHN COc1ccc(CN(Cc2cccc(Cl)c2)C(C)C)cn1 ZINC001167037604 1048835943 /nfs/dbraw/zinc/83/59/43/1048835943.db2.gz DFKQFUTVIFYZSS-UHFFFAOYSA-N 0 3 304.821 4.154 20 0 DIADHN CNc1ccccc1CNCc1ccc2cc(OC)ccc2c1 ZINC001177157952 1048839996 /nfs/dbraw/zinc/83/99/96/1048839996.db2.gz ONWFUSOUUQJLKM-UHFFFAOYSA-N 0 3 306.409 4.180 20 0 DIADHN c1oc(-c2ccccc2)nc1CNCCCCc1ccccc1 ZINC001177153684 1048841420 /nfs/dbraw/zinc/84/14/20/1048841420.db2.gz WAARKKKOYVFIHJ-UHFFFAOYSA-N 0 3 306.409 4.454 20 0 DIADHN Cc1ccccc1[C@H](O)CN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426009 1048841794 /nfs/dbraw/zinc/84/17/94/1048841794.db2.gz FTMMCGWEWDJMEH-MJGOQNOKSA-N 0 3 311.425 4.239 20 0 DIADHN O[C@@H](CCN1CC2(CCCC2)[C@@H]1c1ccco1)c1ccccc1 ZINC000852427448 1048842135 /nfs/dbraw/zinc/84/21/35/1048842135.db2.gz YSUXTQVERSWDOH-HKUYNNGSSA-N 0 3 311.425 4.320 20 0 DIADHN COc1ccc(F)c(CNC[C@H](Nc2ccccc2)C(C)C)c1 ZINC001177186101 1048845013 /nfs/dbraw/zinc/84/50/13/1048845013.db2.gz DFWFVIRIBNNTFL-IBGZPJMESA-N 0 3 316.420 4.061 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001191047710 1048845009 /nfs/dbraw/zinc/84/50/09/1048845009.db2.gz HRCIAJLALXRIPZ-WFASDCNBSA-N 0 3 304.796 4.425 20 0 DIADHN COCc1ccccc1CNCc1c(C)cc(Cl)cc1C ZINC001177176017 1048846045 /nfs/dbraw/zinc/84/60/45/1048846045.db2.gz USUDEXLONOSAHT-UHFFFAOYSA-N 0 3 303.833 4.393 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(Oc3cnccn3)cc2)c1 ZINC001203214064 1048847056 /nfs/dbraw/zinc/84/70/56/1048847056.db2.gz BPDJPBSZLVTEKK-UHFFFAOYSA-N 0 3 319.408 4.176 20 0 DIADHN COc1cc(Cl)c(CNC[C@H]2C(C)(C)C2(F)F)cc1Cl ZINC001203222954 1048847751 /nfs/dbraw/zinc/84/77/51/1048847751.db2.gz QIQBAYMQHGPNIR-LBPRGKRZSA-N 0 3 324.198 4.383 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nccc(C)c1Br ZINC001177186646 1048849571 /nfs/dbraw/zinc/84/95/71/1048849571.db2.gz UKLOYKXOWDQDMJ-QWHCGFSZSA-N 0 3 311.267 4.211 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nccc(C)c1Br ZINC001177186644 1048850266 /nfs/dbraw/zinc/85/02/66/1048850266.db2.gz UKLOYKXOWDQDMJ-CHWSQXEVSA-N 0 3 311.267 4.211 20 0 DIADHN C[C@@H](NCc1nc(Cl)ccc1Cl)C1CCC(F)CC1 ZINC000852650290 1048852095 /nfs/dbraw/zinc/85/20/95/1048852095.db2.gz BUBKOOZCUNBNMC-KPPDAEKUSA-N 0 3 305.224 4.395 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCOc1ccc(F)cc1 ZINC001177240440 1048852996 /nfs/dbraw/zinc/85/29/96/1048852996.db2.gz FSUDDOVODSEVNB-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Cc1ccc(CN2CCC[C@@]3(C[C@H](c4ccccc4)CO3)C2)o1 ZINC001203292732 1048857394 /nfs/dbraw/zinc/85/73/94/1048857394.db2.gz WIFWBJRKKGQPNE-AZUAARDMSA-N 0 3 311.425 4.127 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001191123517 1048857990 /nfs/dbraw/zinc/85/79/90/1048857990.db2.gz WRHXAOSXJZKCMY-OAHLLOKOSA-N 0 3 306.475 4.002 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2C[C@H](O)c1ccccc1C(F)(F)F ZINC000852701267 1048859040 /nfs/dbraw/zinc/85/90/40/1048859040.db2.gz OQCPWCXRNXOSGH-IAYQEVDDSA-N 0 3 313.363 4.002 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OCc2cc(Cl)ccc23)o1 ZINC001203301102 1048862582 /nfs/dbraw/zinc/86/25/82/1048862582.db2.gz BQMMRFPHBNLNOD-UHFFFAOYSA-N 0 3 317.816 4.263 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccco1)Oc1ccc(Br)cc1 ZINC000483842821 1048869617 /nfs/dbraw/zinc/86/96/17/1048869617.db2.gz TUJUXCVIPNDAKE-NEPJUHHUSA-N 0 3 324.218 4.160 20 0 DIADHN CCCN1CCC[C@H]1c1nc(-c2cccc3ccccc32)no1 ZINC000483834276 1048869911 /nfs/dbraw/zinc/86/99/11/1048869911.db2.gz JXQYGAXJCLQOPA-KRWDZBQOSA-N 0 3 307.397 4.437 20 0 DIADHN Fc1cc(F)cc(CNCCOc2cccc3ccccc32)c1 ZINC001177338297 1048872476 /nfs/dbraw/zinc/87/24/76/1048872476.db2.gz VASJLPSKEVIPKY-UHFFFAOYSA-N 0 3 313.347 4.287 20 0 DIADHN C[C@@H]1OC2(CCN(Cc3ccccc3F)CC2)c2ccccc21 ZINC001203359549 1048881684 /nfs/dbraw/zinc/88/16/84/1048881684.db2.gz CWLZAXMFRTYILU-HNNXBMFYSA-N 0 3 311.400 4.408 20 0 DIADHN CC[C@]1(C)CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)C1 ZINC001191212855 1048886559 /nfs/dbraw/zinc/88/65/59/1048886559.db2.gz CTSZFTQZOPCPNJ-IJEWVQPXSA-N 0 3 319.411 4.436 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1N(C)C)c1ccc2c(c1)CCCO2 ZINC000853240132 1048891656 /nfs/dbraw/zinc/89/16/56/1048891656.db2.gz GJBXWJDBYBHLDG-HOTGVXAUSA-N 0 3 324.468 4.489 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000853242346 1048892042 /nfs/dbraw/zinc/89/20/42/1048892042.db2.gz PKGNUNHDVWKGOT-NEPJUHHUSA-N 0 3 302.443 4.188 20 0 DIADHN CC(C)(NCc1cccc2nsnc21)c1cccc(Cl)c1 ZINC000775447081 1048896151 /nfs/dbraw/zinc/89/61/51/1048896151.db2.gz WYWOULAEKGUWAX-UHFFFAOYSA-N 0 3 317.845 4.370 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)CCOc1ccc(Cl)c(F)c1 ZINC000484088627 1048898769 /nfs/dbraw/zinc/89/87/69/1048898769.db2.gz VHBBVPSJVLIXCP-KRWDZBQOSA-N 0 3 322.811 4.336 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cc3c([nH]c2=O)CCCC3)cc1 ZINC001203427328 1048900079 /nfs/dbraw/zinc/90/00/79/1048900079.db2.gz FBLQIZSOSPKKRI-UHFFFAOYSA-N 0 3 324.468 4.253 20 0 DIADHN COc1ccccc1CN1CCC[C@@H](Oc2ccccc2C)C1 ZINC001203432629 1048900414 /nfs/dbraw/zinc/90/04/14/1048900414.db2.gz XLKMVVSVYHHLDU-GOSISDBHSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(Br)nc2)s1 ZINC001177496088 1048900269 /nfs/dbraw/zinc/90/02/69/1048900269.db2.gz AKWVLAPSGPXHGE-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cc(C)nc(Cl)c3)C2)cc1 ZINC000797602852 1048900606 /nfs/dbraw/zinc/90/06/06/1048900606.db2.gz XOOVMZYASLYHHH-QGZVFWFLSA-N 0 3 300.833 4.341 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(CCCC(C)(F)F)CC1 ZINC001191259005 1048901183 /nfs/dbraw/zinc/90/11/83/1048901183.db2.gz RGXVBXDNLUIJSD-QGZVFWFLSA-N 0 3 310.432 4.191 20 0 DIADHN CC(C)CCOc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC001203446723 1048904331 /nfs/dbraw/zinc/90/43/31/1048904331.db2.gz HPZBZRQNOIMLQB-HUUCEWRRSA-N 0 3 301.352 4.152 20 0 DIADHN CC(C)n1cc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC001177524421 1048906215 /nfs/dbraw/zinc/90/62/15/1048906215.db2.gz CWENBDAOERCCRA-KRWDZBQOSA-N 0 3 303.425 4.480 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(F)cc1N(C)C ZINC000484134221 1048906613 /nfs/dbraw/zinc/90/66/13/1048906613.db2.gz QEQSMXMBPXKNTJ-AWEZNQCLSA-N 0 3 300.421 4.305 20 0 DIADHN CS[C@H]1C[C@H](NCc2c(Cl)cc(Cl)cc2N(C)C)C1 ZINC001203288489 1048906828 /nfs/dbraw/zinc/90/68/28/1048906828.db2.gz BUZCHNZGOINWOP-XYPYZODXSA-N 0 3 319.301 4.043 20 0 DIADHN CCCCC1CCN(Cc2ccc(OC)c(OC)c2OC)CC1 ZINC001203457735 1048907137 /nfs/dbraw/zinc/90/71/37/1048907137.db2.gz GNVUVYALIGXFGJ-UHFFFAOYSA-N 0 3 321.461 4.115 20 0 DIADHN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001191233234 1048907155 /nfs/dbraw/zinc/90/71/55/1048907155.db2.gz MACYKOLCGVCPIG-JXFKEZNVSA-N 0 3 322.452 4.413 20 0 DIADHN CSc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001203470148 1048908215 /nfs/dbraw/zinc/90/82/15/1048908215.db2.gz BOTSLKMLBTWHKM-IBGZPJMESA-N 0 3 323.465 4.136 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCC3(CCC3)O2)c(Cl)c1Cl ZINC001203472487 1048908447 /nfs/dbraw/zinc/90/84/47/1048908447.db2.gz VJDFLTLWTJDQRE-NSHDSACASA-N 0 3 318.219 4.324 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1nc2c(s1)CCCC2 ZINC000776688079 1048910309 /nfs/dbraw/zinc/91/03/09/1048910309.db2.gz ZYRSDMNJKWREEW-CQSZACIVSA-N 0 3 300.471 4.051 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@H]2CCC3(CCC3)O2)c1 ZINC001203470312 1048910443 /nfs/dbraw/zinc/91/04/43/1048910443.db2.gz DXRGRTAVPFESLU-GOSISDBHSA-N 0 3 315.457 4.199 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccccc1OCC1CC1 ZINC000484179316 1048911546 /nfs/dbraw/zinc/91/15/46/1048911546.db2.gz YCLLMUKTPUUBKC-BTYIYWSLSA-N 0 3 307.437 4.499 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@@H]1COc2c1ccc(Cl)c2Cl ZINC000484292231 1048920240 /nfs/dbraw/zinc/92/02/40/1048920240.db2.gz CALBDQJTHMKIFD-NOZJJQNGSA-N 0 3 312.196 4.241 20 0 DIADHN COc1ccc(OC)c(CN2CCC(c3cccs3)CC2)c1 ZINC001203527204 1048921302 /nfs/dbraw/zinc/92/13/02/1048921302.db2.gz NWVVEDQTMLYXAC-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CN(CCc1cccs1)Cc1ccc(-c2ncco2)cc1F ZINC001191315765 1048923969 /nfs/dbraw/zinc/92/39/69/1048923969.db2.gz BTFGQGXQLIOGRN-UHFFFAOYSA-N 0 3 316.401 4.217 20 0 DIADHN Fc1cccc(F)c1CCCN1CCS[C@@H]2CCCC[C@@H]21 ZINC001191358580 1048924395 /nfs/dbraw/zinc/92/43/95/1048924395.db2.gz NJIKCBQRKSGPKS-DLBZAZTESA-N 0 3 311.441 4.257 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cc(F)ccc1F ZINC000192930994 1048924440 /nfs/dbraw/zinc/92/44/40/1048924440.db2.gz ZHHHMMKGHONPJZ-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1cc(F)ccc1F ZINC000192930955 1048924702 /nfs/dbraw/zinc/92/47/02/1048924702.db2.gz ZHHHMMKGHONPJZ-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN CCOc1ccccc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001203544135 1048924879 /nfs/dbraw/zinc/92/48/79/1048924879.db2.gz WLFXMRMUQABNKS-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)C(=O)CN1[C@H](C)CCC[C@@H]1C ZINC001191315725 1048925161 /nfs/dbraw/zinc/92/51/61/1048925161.db2.gz AXOYIQATTUSIME-ZNMIVQPWSA-N 0 3 322.880 4.122 20 0 DIADHN Cc1cccc(C2(NCc3ccc4c(n3)CCC4)CCCC2)c1 ZINC001177631316 1048925656 /nfs/dbraw/zinc/92/56/56/1048925656.db2.gz FTLSYVMITBAIEH-UHFFFAOYSA-N 0 3 306.453 4.438 20 0 DIADHN C[C@H](COCc1ccccc1)CN1CC[C@H]1c1cccc(F)c1 ZINC001191390256 1048928927 /nfs/dbraw/zinc/92/89/27/1048928927.db2.gz JXDVNBDDZBFGOE-JXFKEZNVSA-N 0 3 313.416 4.425 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(F)cc1C)c1cc(F)ccc1F ZINC000775453990 1048932441 /nfs/dbraw/zinc/93/24/41/1048932441.db2.gz JNLDXUXPAMKFFJ-ROUUACIJSA-N 0 3 323.358 4.187 20 0 DIADHN O[C@@H](CNC1(c2cccc(Cl)c2)CC1)c1cccc(Cl)c1 ZINC000775453030 1048934805 /nfs/dbraw/zinc/93/48/05/1048934805.db2.gz AJLPPUNKMJAFIG-INIZCTEOSA-N 0 3 322.235 4.306 20 0 DIADHN C[C@@H](COc1ccc(F)cc1)NCc1ccc(F)cc1Cl ZINC001177775322 1048936882 /nfs/dbraw/zinc/93/68/82/1048936882.db2.gz YCNFLNJBGUDPFG-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CCOc1ccc(CNCc2cccc3ncccc23)cc1F ZINC001203582971 1048937836 /nfs/dbraw/zinc/93/78/36/1048937836.db2.gz MOPCYGRJQFOROH-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN CCCOc1cccc(CNCc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001203597811 1048939194 /nfs/dbraw/zinc/93/91/94/1048939194.db2.gz LLJYZAOLDRMMIT-HNNXBMFYSA-N 0 3 311.425 4.089 20 0 DIADHN C[C@H](CNCc1nc2c(s1)C[C@H](C)CC2)c1ccc(F)cc1 ZINC001177742328 1048939658 /nfs/dbraw/zinc/93/96/58/1048939658.db2.gz HKFXQOJCPXNSGI-CHWSQXEVSA-N 0 3 318.461 4.300 20 0 DIADHN CC[C@@H]1CN([C@@H](CC(C)C)C(=O)OC)CC[C@H]1c1ccccc1 ZINC001191453994 1048942982 /nfs/dbraw/zinc/94/29/82/1048942982.db2.gz KHXYSPLAXXDOPS-QRQLOZEOSA-N 0 3 317.473 4.090 20 0 DIADHN CCNc1nc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)cs1 ZINC001191475966 1048948770 /nfs/dbraw/zinc/94/87/70/1048948770.db2.gz QKCFYIQLPYEERP-ZBFHGGJFSA-N 0 3 315.486 4.028 20 0 DIADHN C[C@H](N[C@H]1CN(C2CC2)C[C@@H]1C)c1cc(Cl)sc1Cl ZINC000484503151 1048955506 /nfs/dbraw/zinc/95/55/06/1048955506.db2.gz LKDAWQLUMLQVHB-AUTRQRHGSA-N 0 3 319.301 4.188 20 0 DIADHN CC(C)c1nc(CNC[C@@H](C)Oc2ccccc2)c2ccccn21 ZINC001177884687 1048956461 /nfs/dbraw/zinc/95/64/61/1048956461.db2.gz HHXHHYFUIIIFSV-MRXNPFEDSA-N 0 3 323.440 4.015 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H](C)Oc1ccccc1 ZINC001177885058 1048956533 /nfs/dbraw/zinc/95/65/33/1048956533.db2.gz MVYSXXXMXVNXQK-CQSZACIVSA-N 0 3 303.833 4.460 20 0 DIADHN COc1cc(Cl)ccc1CNCc1cc2ccncc2s1 ZINC001177893060 1048958789 /nfs/dbraw/zinc/95/87/89/1048958789.db2.gz FUZUSELVADYPMR-UHFFFAOYSA-N 0 3 318.829 4.248 20 0 DIADHN COc1cccc([C@@H]2CN(C[C@@H]3CCCCC3(C)C)CCO2)c1 ZINC001191560293 1048965788 /nfs/dbraw/zinc/96/57/88/1048965788.db2.gz ZGFKCPBQQMAOEU-HKUYNNGSSA-N 0 3 317.473 4.285 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)CC12CCC(CC1)C2 ZINC001191616528 1048967714 /nfs/dbraw/zinc/96/77/14/1048967714.db2.gz CEWIZVWQJASJFI-UHFFFAOYSA-N 0 3 314.473 4.144 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000775465427 1048968457 /nfs/dbraw/zinc/96/84/57/1048968457.db2.gz XNYJIZMSORUTJL-AWEZNQCLSA-N 0 3 311.404 4.249 20 0 DIADHN CC[C@H](CNCc1cccc(C)c1F)Oc1ccccc1F ZINC001177950579 1048969173 /nfs/dbraw/zinc/96/91/73/1048969173.db2.gz WXPJATOSQZGCTG-OAHLLOKOSA-N 0 3 305.368 4.220 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N(C)[C@@H]1CCN(C)C1 ZINC001191631612 1048969900 /nfs/dbraw/zinc/96/99/00/1048969900.db2.gz UHKQYZJEUOGEKP-OAHLLOKOSA-N 0 3 317.477 4.101 20 0 DIADHN CN(C)Cc1csc(CNCC2CCC(C(C)(C)C)CC2)n1 ZINC001203706780 1048971591 /nfs/dbraw/zinc/97/15/91/1048971591.db2.gz RRVZIKHMJNPLJU-UHFFFAOYSA-N 0 3 323.550 4.147 20 0 DIADHN Fc1ccccc1[C@H](NCc1cccc2nccn21)C1CCCC1 ZINC001177995499 1048978076 /nfs/dbraw/zinc/97/80/76/1048978076.db2.gz KKJVAPDEMNTFCT-HXUWFJFHSA-N 0 3 323.415 4.495 20 0 DIADHN Fc1ccccc1[C@@H](NCc1cccc2nccn21)C1CCCC1 ZINC001177995498 1048978940 /nfs/dbraw/zinc/97/89/40/1048978940.db2.gz KKJVAPDEMNTFCT-FQEVSTJZSA-N 0 3 323.415 4.495 20 0 DIADHN COc1ccc(CN2CCC[C@H](C(F)(F)F)C2)c(C)c1C ZINC001203720119 1048979613 /nfs/dbraw/zinc/97/96/13/1048979613.db2.gz POQOZJCHPBUBAH-AWEZNQCLSA-N 0 3 301.352 4.086 20 0 DIADHN COc1ccc(Br)cc1CN1CC2CCC(CC2)C1 ZINC001203781630 1048995003 /nfs/dbraw/zinc/99/50/03/1048995003.db2.gz QTHZCEBIGUBPKM-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cncnc1)C1CCC(C)CC1 ZINC000112711517 1048995357 /nfs/dbraw/zinc/99/53/57/1048995357.db2.gz ZVTMEWAUENOWBK-UPQHMETBSA-N 0 3 309.457 4.442 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H](NCc2nc3c(s2)COCC3)CC1 ZINC001203781505 1048995503 /nfs/dbraw/zinc/99/55/03/1048995503.db2.gz AUKMWJMFBXFLKY-KGLIPLIRSA-N 0 3 322.518 4.300 20 0 DIADHN COc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)cc1F ZINC001203789998 1048997709 /nfs/dbraw/zinc/99/77/09/1048997709.db2.gz SQWWFHAEPZWQNW-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)cc1F ZINC001203794035 1048997951 /nfs/dbraw/zinc/99/79/51/1048997951.db2.gz VPPOBFVWEVZWIP-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C[C@H]2CCC(C)(C)c3ccccc32)n1 ZINC001178204617 1048997956 /nfs/dbraw/zinc/99/79/56/1048997956.db2.gz KPUBAZWRIIPCAS-OAHLLOKOSA-N 0 3 310.441 4.040 20 0 DIADHN CC1(C)CC[C@@H](NCc2nc(Cl)ccc2Br)C1 ZINC001178208275 1049000115 /nfs/dbraw/zinc/00/01/15/1049000115.db2.gz KTTICZLMAULZFL-SECBINFHSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(Br)c(C)c2)c1 ZINC001178244024 1049000692 /nfs/dbraw/zinc/00/06/92/1049000692.db2.gz GYWYQPRKPHDFPJ-UHFFFAOYSA-N 0 3 305.219 4.381 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(Br)cc2C)c1 ZINC001178244347 1049001588 /nfs/dbraw/zinc/00/15/88/1049001588.db2.gz MILOBMANTWSBRU-UHFFFAOYSA-N 0 3 305.219 4.381 20 0 DIADHN Cc1cnc(CN[C@H]2CCCC[C@@H]2Oc2ccccc2C)s1 ZINC000484653606 1049002346 /nfs/dbraw/zinc/00/23/46/1049002346.db2.gz QHOZGGCDACQSBQ-RDJZCZTQSA-N 0 3 316.470 4.240 20 0 DIADHN Cc1cc([C@@H](NCc2cccc3c2OCC3)C2CC2)ccc1F ZINC001178218230 1049004151 /nfs/dbraw/zinc/00/41/51/1049004151.db2.gz JOTXWASTXAHPMJ-IBGZPJMESA-N 0 3 311.400 4.310 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@@H]4CCO[C@H]4C3)c2)cc1 ZINC001203810376 1049004827 /nfs/dbraw/zinc/00/48/27/1049004827.db2.gz IJYUGKAFHDBYDT-XLIONFOSSA-N 0 3 309.409 4.090 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3cc(Cl)cc(Cl)c3)C[C@@H]21 ZINC001203808191 1049005238 /nfs/dbraw/zinc/00/52/38/1049005238.db2.gz HOUVKOLXNABFON-UHOFOFEASA-N 0 3 314.256 4.240 20 0 DIADHN c1ccc(Oc2cccc(CN3CCC[C@@]4(CCO4)C3)c2)cc1 ZINC001203815585 1049007466 /nfs/dbraw/zinc/00/74/66/1049007466.db2.gz QHROERKROCGRSA-HXUWFJFHSA-N 0 3 309.409 4.234 20 0 DIADHN COc1cccc(CN2CCCC[C@@H]2c2cccc(OC)c2)c1 ZINC001203822661 1049009021 /nfs/dbraw/zinc/00/90/21/1049009021.db2.gz XZABPMOGSVXQMV-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)(C)SCCNCc1ccc2ccc(F)c(F)c2n1 ZINC001178272526 1049009812 /nfs/dbraw/zinc/00/98/12/1049009812.db2.gz XWNRBDMBWDVAIE-UHFFFAOYSA-N 0 3 310.413 4.134 20 0 DIADHN Cc1ccc(CNCC2([C@H](O)c3ccccc3)CC2)c(Cl)c1 ZINC001178272511 1049010112 /nfs/dbraw/zinc/01/01/12/1049010112.db2.gz XKFFSOLCGUBAHM-GOSISDBHSA-N 0 3 315.844 4.252 20 0 DIADHN COc1ccc(CN2CCCC[C@H]2c2ccccc2)cc1OC ZINC001203837274 1049013117 /nfs/dbraw/zinc/01/31/17/1049013117.db2.gz VCHOOQNGUQENQN-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@H](C)C[C@@H](C)O)cc1Cl ZINC001178294797 1049013160 /nfs/dbraw/zinc/01/31/60/1049013160.db2.gz AMXQIANYWMVLKV-GHMZBOCLSA-N 0 3 320.260 4.030 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(C)cc1F ZINC001178305192 1049014560 /nfs/dbraw/zinc/01/45/60/1049014560.db2.gz UZSLQCLHTLMUIX-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN COc1cc(CN2CCC[C@H]3C[C@H]32)ccc1OCc1ccccc1 ZINC001203851472 1049016563 /nfs/dbraw/zinc/01/65/63/1049016563.db2.gz VAMXSULZTGIHGY-RBUKOAKNSA-N 0 3 323.436 4.259 20 0 DIADHN COc1cc(CN2CC3CC(C3)C2)ccc1OCc1ccccc1 ZINC001203851092 1049017299 /nfs/dbraw/zinc/01/72/99/1049017299.db2.gz FLRMNYFFQNYIGD-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@@H]3CC[C@H](C4CC4)O3)o2)cc1 ZINC001203870632 1049018117 /nfs/dbraw/zinc/01/81/17/1049018117.db2.gz BFMNDRNPTAIVLR-FUHWJXTLSA-N 0 3 315.388 4.133 20 0 DIADHN CCN(Cc1ccc(Br)cc1)Cc1cccc(O)c1 ZINC001203864518 1049019773 /nfs/dbraw/zinc/01/97/73/1049019773.db2.gz YHQRBPJOBXMWKW-UHFFFAOYSA-N 0 3 320.230 4.177 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(Br)nc2)cc1 ZINC001178377059 1049023157 /nfs/dbraw/zinc/02/31/57/1049023157.db2.gz CNULIGOQJKTJDT-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN COC(=O)[C@@H]1CCN(Cc2cccc(C)c2)[C@H](c2ccccc2)C1 ZINC001203892321 1049024580 /nfs/dbraw/zinc/02/45/80/1049024580.db2.gz HTMXLZFZUHPRKF-UXHICEINSA-N 0 3 323.436 4.121 20 0 DIADHN COC(=O)[C@H]1CCN(Cc2cccc(C)c2)[C@H](c2ccccc2)C1 ZINC001203892319 1049026133 /nfs/dbraw/zinc/02/61/33/1049026133.db2.gz HTMXLZFZUHPRKF-PMACEKPBSA-N 0 3 323.436 4.121 20 0 DIADHN CSc1ccc(CNC2(CCOCc3ccccc3)CC2)o1 ZINC001178398529 1049027953 /nfs/dbraw/zinc/02/79/53/1049027953.db2.gz QXCJLXQNRUZCBT-UHFFFAOYSA-N 0 3 317.454 4.231 20 0 DIADHN Cc1occc1CNCCOCc1ccc(Cl)cc1Cl ZINC001178360635 1049028038 /nfs/dbraw/zinc/02/80/38/1049028038.db2.gz NXYUXESEUQQYGX-UHFFFAOYSA-N 0 3 314.212 4.201 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2cccc(OC3CC3)c2F)C1(C)C ZINC001178430912 1049030331 /nfs/dbraw/zinc/03/03/31/1049030331.db2.gz MVODYDBEXHNPKJ-SJORKVTESA-N 0 3 321.436 4.049 20 0 DIADHN Cc1ccc(OC[C@@H](C)N[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000775497840 1049031623 /nfs/dbraw/zinc/03/16/23/1049031623.db2.gz WHFMFFHNMRAMOO-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN C=C/C=C/CCN(CCC)CC(=O)Nc1ccc(Cl)cc1 ZINC001192013343 1049032466 /nfs/dbraw/zinc/03/24/66/1049032466.db2.gz MHGJIRVKYRVJQA-AATRIKPKSA-N 0 3 306.837 4.123 20 0 DIADHN COCCCN(Cc1ccccc1Br)C(C)(C)C ZINC001192052887 1049035848 /nfs/dbraw/zinc/03/58/48/1049035848.db2.gz OYTWKBNLUGKEBP-UHFFFAOYSA-N 0 3 314.267 4.086 20 0 DIADHN CSc1ccc(CNCc2ccc(-c3cnco3)cc2)cc1 ZINC001203944187 1049038859 /nfs/dbraw/zinc/03/88/59/1049038859.db2.gz IIKMGUAOXXAAHQ-UHFFFAOYSA-N 0 3 310.422 4.353 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1nc2c(o1)CCCC2 ZINC001192115937 1049039767 /nfs/dbraw/zinc/03/97/67/1049039767.db2.gz HRLFLKCVIDPKKD-QGZVFWFLSA-N 0 3 312.457 4.397 20 0 DIADHN CCOC1CCN(Cc2ccc(Oc3ccccc3)cc2)CC1 ZINC001203947755 1049039919 /nfs/dbraw/zinc/03/99/19/1049039919.db2.gz YAKOPQOUQOVANZ-UHFFFAOYSA-N 0 3 311.425 4.480 20 0 DIADHN CSCCCCCCNCc1c(Cl)n(C)nc1C(C)C ZINC001178468917 1049042751 /nfs/dbraw/zinc/04/27/51/1049042751.db2.gz GVOZERMZJFDXNO-UHFFFAOYSA-N 0 3 317.930 4.210 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@]3(C)CCO[C@H]3C3CC3)o2)cc1 ZINC001178463030 1049043757 /nfs/dbraw/zinc/04/37/57/1049043757.db2.gz LKNUDENVXCSMHD-PMACEKPBSA-N 0 3 311.425 4.302 20 0 DIADHN C[C@@](O)(CNCc1ccc(Cl)cc1Cl)C1CCCCC1 ZINC001178483386 1049045709 /nfs/dbraw/zinc/04/57/09/1049045709.db2.gz SRMKPQWGDIVAPZ-MRXNPFEDSA-N 0 3 316.272 4.414 20 0 DIADHN CC1(C)CC(CNCc2nc(Cl)ccc2Br)C1 ZINC001178504819 1049046838 /nfs/dbraw/zinc/04/68/38/1049046838.db2.gz FUEMDGGZLRWIFU-UHFFFAOYSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@H]1CCc2nc(CNC3(C4CCCCC4)CC3)sc2C1 ZINC001203987660 1049050658 /nfs/dbraw/zinc/05/06/58/1049050658.db2.gz UJOIZIBUKLZLDI-ZDUSSCGKSA-N 0 3 304.503 4.470 20 0 DIADHN CSc1ccc(CNCc2ccc(OCC(F)F)cc2)cc1 ZINC001178519600 1049050733 /nfs/dbraw/zinc/05/07/33/1049050733.db2.gz ZJWOKEGHKQYEBD-UHFFFAOYSA-N 0 3 323.408 4.342 20 0 DIADHN Cn1nc(CNC2CCC3(CCCC3)CC2)cc1C(F)(F)F ZINC001203987647 1049051890 /nfs/dbraw/zinc/05/18/90/1049051890.db2.gz TZMQBXPPNVGVLY-UHFFFAOYSA-N 0 3 315.383 4.032 20 0 DIADHN C[C@@H]1CCc2nc(CNC3(C4CCCCC4)CC3)sc2C1 ZINC001203987658 1049052184 /nfs/dbraw/zinc/05/21/84/1049052184.db2.gz UJOIZIBUKLZLDI-CYBMUJFWSA-N 0 3 304.503 4.470 20 0 DIADHN CC[C@@H](NCc1cc(Cl)c(OC(C)C)cc1Cl)[C@H](C)O ZINC001178709237 1049079373 /nfs/dbraw/zinc/07/93/73/1049079373.db2.gz DNJGWARCODCWPV-IINYFYTJSA-N 0 3 320.260 4.030 20 0 DIADHN C[C@](O)(CCNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC001178542388 1049053886 /nfs/dbraw/zinc/05/38/86/1049053886.db2.gz JMVRPUVVQUMSGO-KRWDZBQOSA-N 0 3 324.251 4.381 20 0 DIADHN COc1ccc(CN2CCc3sccc3C2)c2ccccc12 ZINC001203992554 1049053948 /nfs/dbraw/zinc/05/39/48/1049053948.db2.gz KLWYGHBDZODUFR-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN COc1ccc(CN(C)Cc2c(OC)ccc3ccccc32)cc1 ZINC001203989476 1049054471 /nfs/dbraw/zinc/05/44/71/1049054471.db2.gz YUMYCCPVRYFYPD-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H]3C[C@H]4CCC[C@H]43)n2)c1 ZINC001204002986 1049059400 /nfs/dbraw/zinc/05/94/00/1049059400.db2.gz ZPCLIRZNHRBEHL-DAXOMENPSA-N 0 3 314.454 4.097 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccco2)o1 ZINC000173309088 1049059998 /nfs/dbraw/zinc/05/99/98/1049059998.db2.gz XCMWDMWDJAFWQZ-CHWSQXEVSA-N 0 3 303.406 4.024 20 0 DIADHN FC(F)(F)c1ccccc1C1CCN(Cc2ccc[nH]2)CC1 ZINC001204009754 1049060618 /nfs/dbraw/zinc/06/06/18/1049060618.db2.gz DBSXDYGQNPBLPU-UHFFFAOYSA-N 0 3 308.347 4.413 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)ccc1Cl ZINC000780759227 1049062059 /nfs/dbraw/zinc/06/20/59/1049062059.db2.gz DMZXCVQBDSCXIP-NVXWUHKLSA-N 0 3 319.832 4.330 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1ccc(-c2ccccc2F)o1 ZINC001178571338 1049064216 /nfs/dbraw/zinc/06/42/16/1049064216.db2.gz OPIPAMNJCQKCBL-CQSZACIVSA-N 0 3 319.420 4.363 20 0 DIADHN COC(=O)c1ccc([C@@H]2CCCCN2Cc2ccsc2)cc1 ZINC001204040895 1049068102 /nfs/dbraw/zinc/06/81/02/1049068102.db2.gz BZRNWRBESRZFTM-KRWDZBQOSA-N 0 3 315.438 4.262 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccc(C)cc3)CC2)s1 ZINC001204035415 1049069328 /nfs/dbraw/zinc/06/93/28/1049069328.db2.gz UYJUIYXKSDZNQB-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN Clc1ccc2c(c1)COC21CCN(Cc2ccsc2)CC1 ZINC001204043147 1049070237 /nfs/dbraw/zinc/07/02/37/1049070237.db2.gz WIHONFNMFGKCRF-UHFFFAOYSA-N 0 3 319.857 4.423 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2cccc3c2CCC3)c2ccccc21 ZINC001204047276 1049071139 /nfs/dbraw/zinc/07/11/39/1049071139.db2.gz BSLGLESKLYDRHR-AOMKIAJQSA-N 0 3 321.464 4.050 20 0 DIADHN CCN1CCN([C@H](C)c2ccc(Cl)c(Cl)c2)C[C@H]1C ZINC001192271333 1049071754 /nfs/dbraw/zinc/07/17/54/1049071754.db2.gz GKSBSZTWHCFRIS-VXGBXAGGSA-N 0 3 301.261 4.080 20 0 DIADHN CN(Cc1ccsc1)[C@H]1CCCC[C@@H]1NC(=O)OC(C)(C)C ZINC001204042978 1049071982 /nfs/dbraw/zinc/07/19/82/1049071982.db2.gz RACOTUQZPWXDQW-GJZGRUSLSA-N 0 3 324.490 4.016 20 0 DIADHN COc1cc2ccccc2cc1CNC[C@H]1OCc2ccccc21 ZINC001204063896 1049076013 /nfs/dbraw/zinc/07/60/13/1049076013.db2.gz NICBUEVQENMCMM-OAQYLSRUSA-N 0 3 319.404 4.210 20 0 DIADHN CC1(C)CC[C@H]1NCc1c(F)cc(Br)cc1F ZINC001178694625 1049076512 /nfs/dbraw/zinc/07/65/12/1049076512.db2.gz QEPHXCWCPYDGLS-GFCCVEGCSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2C[C@@H]2Cc2ccccc2)c(F)c1 ZINC001204063486 1049076515 /nfs/dbraw/zinc/07/65/15/1049076515.db2.gz HFPXNZYIMDUABR-HOTGVXAUSA-N 0 3 301.380 4.242 20 0 DIADHN CC(C)(CNCc1ccc(Cl)o1)Oc1cc(F)cc(F)c1 ZINC001178700634 1049076993 /nfs/dbraw/zinc/07/69/93/1049076993.db2.gz WHYWFMYBOZWAMN-UHFFFAOYSA-N 0 3 315.747 4.158 20 0 DIADHN c1ccc2c(c1)CO[C@H]2CNCc1ccccc1OC1CCCC1 ZINC001204064163 1049077180 /nfs/dbraw/zinc/07/71/80/1049077180.db2.gz RTFZGVCIQGSYAA-NRFANRHFSA-N 0 3 323.436 4.369 20 0 DIADHN CCC[C@@]1(NCc2ccc(Cl)c(C)c2Cl)CCOC1 ZINC001178700659 1049077440 /nfs/dbraw/zinc/07/74/40/1049077440.db2.gz WXOOPPSOLWYYST-OAHLLOKOSA-N 0 3 302.245 4.351 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H]3C[C@@H](C)Oc4ccccc43)ccc2O1 ZINC001202495168 1049077744 /nfs/dbraw/zinc/07/77/44/1049077744.db2.gz NPYSVVKEJMZWRI-HBUWYVDXSA-N 0 3 309.409 4.012 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3ccncc3)C2)cc1Cl ZINC001204080379 1049079386 /nfs/dbraw/zinc/07/93/86/1049079386.db2.gz KEPFHSFNBCOCRO-AWEZNQCLSA-N 0 3 307.224 4.378 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1c(Cl)ccc2cccnc21)OC ZINC001202495613 1049083360 /nfs/dbraw/zinc/08/33/60/1049083360.db2.gz PCYMLUSDGHUHCG-WBMJQRKESA-N 0 3 306.837 4.039 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccnc2ccccc12 ZINC001204090651 1049083920 /nfs/dbraw/zinc/08/39/20/1049083920.db2.gz IXSXGXWWGRGJFM-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H]1CCCC(C)(C)O1 ZINC001192305663 1049084283 /nfs/dbraw/zinc/08/42/83/1049084283.db2.gz ZJKOVCMBUKKNMR-JKIFEVAISA-N 0 3 305.437 4.481 20 0 DIADHN Cc1ccnc(CNCC(C)(C)C(C)(C)C)c1Br ZINC001178796391 1049090802 /nfs/dbraw/zinc/09/08/02/1049090802.db2.gz ZFSRXOLIFRQDAU-UHFFFAOYSA-N 0 3 313.283 4.314 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@H](c2ccccc2)C2CC2)c1 ZINC001178807311 1049093697 /nfs/dbraw/zinc/09/36/97/1049093697.db2.gz ZELKFOLANVELSW-GOSISDBHSA-N 0 3 301.817 4.329 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@@H]1CCc2ccccc21 ZINC001178826902 1049095944 /nfs/dbraw/zinc/09/59/44/1049095944.db2.gz JUCWIYIVLRWATR-INIZCTEOSA-N 0 3 313.416 4.434 20 0 DIADHN c1cn(-c2ccccc2)nc1CNCC[C@@H]1CCc2ccccc21 ZINC001178828302 1049097261 /nfs/dbraw/zinc/09/72/61/1049097261.db2.gz YPPJYRDSBHSNFJ-SFHVURJKSA-N 0 3 317.436 4.082 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc([C@H](C)O)cc2)cc1 ZINC000173346691 1049097747 /nfs/dbraw/zinc/09/77/47/1049097747.db2.gz KHASZMOQMHIDPP-KBPBESRZSA-N 0 3 301.455 4.313 20 0 DIADHN FC(F)(F)c1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1 ZINC001204158545 1049099947 /nfs/dbraw/zinc/09/99/47/1049099947.db2.gz XVVXPEOQGPBSDV-BETUJISGSA-N 0 3 305.290 4.183 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CNCCC(C)(F)F ZINC001178818478 1049100944 /nfs/dbraw/zinc/10/09/44/1049100944.db2.gz ZMVFFSYVYFVBPR-CYBMUJFWSA-N 0 3 316.411 4.443 20 0 DIADHN CC(C)c1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)cc1 ZINC001204164957 1049101838 /nfs/dbraw/zinc/10/18/38/1049101838.db2.gz HYOVCYHEPKLTHE-HUUCEWRRSA-N 0 3 303.343 4.390 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C(C)C)cc2)cc1OC ZINC001204165599 1049102111 /nfs/dbraw/zinc/10/21/11/1049102111.db2.gz GAUMKJFGIDUODH-UHFFFAOYSA-N 0 3 313.441 4.459 20 0 DIADHN CC(=O)N(C(C)C)C1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC001204167859 1049102714 /nfs/dbraw/zinc/10/27/14/1049102714.db2.gz DSDRFCYLGWTDOF-UHFFFAOYSA-N 0 3 316.489 4.031 20 0 DIADHN C[C@H]1CC[C@H](CNCc2cc(Cl)ccc2OC2CCCC2)O1 ZINC001178881221 1049105266 /nfs/dbraw/zinc/10/52/66/1049105266.db2.gz AYUSEDCQIHEBOZ-SUMWQHHRSA-N 0 3 323.864 4.319 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2c2ccccc2)ccc1OC ZINC001204186989 1049106860 /nfs/dbraw/zinc/10/68/60/1049106860.db2.gz LWCFYUJJEOMUIE-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN CCOc1cc(CN(CC)Cc2ccc(F)cc2)ccc1OC ZINC001204190004 1049107655 /nfs/dbraw/zinc/10/76/55/1049107655.db2.gz KEFNDMNMCPYADY-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2c2ccccc2)ccc1OC ZINC001204186987 1049107743 /nfs/dbraw/zinc/10/77/43/1049107743.db2.gz LWCFYUJJEOMUIE-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN CCCC(CCC)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622682 1049107910 /nfs/dbraw/zinc/10/79/10/1049107910.db2.gz LENRIOZAUNWKGT-UHFFFAOYSA-N 0 3 301.434 4.482 20 0 DIADHN CCC[C@H]1CCC[C@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622691 1049108563 /nfs/dbraw/zinc/10/85/63/1049108563.db2.gz LIPIXRSDATXGSB-DOTOQJQBSA-N 0 3 313.445 4.482 20 0 DIADHN C[C@H]1CCCC[C@@H]1Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904621362 1049108751 /nfs/dbraw/zinc/10/87/51/1049108751.db2.gz VCYHFKROWYMSJQ-WMLDXEAASA-N 0 3 313.445 4.167 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2cn(C3CC3)cn2)cc1 ZINC001204203755 1049108747 /nfs/dbraw/zinc/10/87/47/1049108747.db2.gz ASKXQOWMNGYANT-UXHICEINSA-N 0 3 309.457 4.295 20 0 DIADHN CCC[C@](C)(CC)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622227 1049108812 /nfs/dbraw/zinc/10/88/12/1049108812.db2.gz DTOJSXOEEWWWAK-SFHVURJKSA-N 0 3 301.434 4.266 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCc1ccc2cncn2c1 ZINC001178917077 1049109322 /nfs/dbraw/zinc/10/93/22/1049109322.db2.gz SXJVXQLBCHYGER-UHFFFAOYSA-N 0 3 319.364 4.009 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CCC1)c1ccc2c(c1)CCO2 ZINC000776897748 1049109652 /nfs/dbraw/zinc/10/96/52/1049109652.db2.gz WQAFOPHXZTXUCR-AWEZNQCLSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1c(Cl)ccc(CN[C@H]2C[C@@H](OC(C)C)C2)c1Cl ZINC001178916372 1049110231 /nfs/dbraw/zinc/11/02/31/1049110231.db2.gz FAGWQNUGKVQNRR-BETUJISGSA-N 0 3 302.245 4.347 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1ccc(F)cc1F ZINC001204211902 1049111105 /nfs/dbraw/zinc/11/11/05/1049111105.db2.gz JIXPTDZCXGVJTQ-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN C[C@@H]1CN(Cc2cnn(C3CCC3)c2)CC[C@@H]1c1ccccc1 ZINC001204206023 1049112202 /nfs/dbraw/zinc/11/22/02/1049112202.db2.gz YFGFOSXBSAFIGG-UZLBHIALSA-N 0 3 309.457 4.234 20 0 DIADHN FC(F)(F)c1nc(CNC[C@H]2CCC[C@@H]2C2CC2)cs1 ZINC001178950107 1049112476 /nfs/dbraw/zinc/11/24/76/1049112476.db2.gz LDBFNXBTEVQAOB-ZYHUDNBSSA-N 0 3 304.381 4.078 20 0 DIADHN FC(F)(F)c1nc(CNC[C@@H]2CCC[C@@H]2C2CC2)cs1 ZINC001178950102 1049112975 /nfs/dbraw/zinc/11/29/75/1049112975.db2.gz LDBFNXBTEVQAOB-CMPLNLGQSA-N 0 3 304.381 4.078 20 0 DIADHN Cc1ccc(NC(=O)C=C2CCC(C)CC2)c(CN(C)C)c1 ZINC000114691549 1049114356 /nfs/dbraw/zinc/11/43/56/1049114356.db2.gz IYYWCPCTSFFQDA-UHFFFAOYSA-N 0 3 300.446 4.132 20 0 DIADHN CC1=C(C)C[C@H](c2nc(-c3ccc(CN(C)C)cc3)no2)CC1 ZINC000904627284 1049115344 /nfs/dbraw/zinc/11/53/44/1049115344.db2.gz UUOOOUBLTUHGSS-QGZVFWFLSA-N 0 3 311.429 4.402 20 0 DIADHN CCc1cccc2cc(CN[C@@H]3Cc4ccccc4[C@@H]3OC)oc21 ZINC001178966562 1049115694 /nfs/dbraw/zinc/11/56/94/1049115694.db2.gz GDWLGUSIBFULCP-CTNGQTDRSA-N 0 3 321.420 4.397 20 0 DIADHN CCC[C@@H](NCCC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC001192575899 1049117480 /nfs/dbraw/zinc/11/74/80/1049117480.db2.gz XSMSSFFPCXNECC-OAHLLOKOSA-N 0 3 313.388 4.127 20 0 DIADHN C[C@@H](NC[C@H](O)[C@@H](C)c1ccccc1)c1cc(Cl)ccc1F ZINC001192574935 1049118398 /nfs/dbraw/zinc/11/83/98/1049118398.db2.gz LSIVPXDCHVDIQE-JCGVRSQUSA-N 0 3 321.823 4.294 20 0 DIADHN Cn1cc(-c2ccc(C3CCN(Cc4ccoc4)CC3)cc2)cn1 ZINC001204247304 1049121089 /nfs/dbraw/zinc/12/10/89/1049121089.db2.gz GYFNNMUCCRKPIK-UHFFFAOYSA-N 0 3 321.424 4.060 20 0 DIADHN Cc1noc2ncc(CN3CCc4ccccc4[C@@H]3C(C)C)cc12 ZINC001204261734 1049123365 /nfs/dbraw/zinc/12/33/65/1049123365.db2.gz OFPCIIOBJVEIHN-IBGZPJMESA-N 0 3 321.424 4.287 20 0 DIADHN CC1(C)CO[C@@H](CN[C@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC001192681228 1049128356 /nfs/dbraw/zinc/12/83/56/1049128356.db2.gz HGBHJZBRXDRGLW-ZIAGYGMSSA-N 0 3 319.342 4.224 20 0 DIADHN CC[C@@H](NCc1cc(F)ccc1C)c1ccc2c(c1)OCCO2 ZINC001192681050 1049128585 /nfs/dbraw/zinc/12/85/85/1049128585.db2.gz CMWZIODBBYQZSA-QGZVFWFLSA-N 0 3 315.388 4.146 20 0 DIADHN CC(C)(C)C[C@H](NC[C@@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001192689370 1049129943 /nfs/dbraw/zinc/12/99/43/1049129943.db2.gz GZAWWPFDTBMLIG-QWHCGFSZSA-N 0 3 323.786 4.330 20 0 DIADHN CO[C@@H](CNC1(c2cccc(F)c2)CC1)c1ccc(Cl)cc1 ZINC001192690177 1049129989 /nfs/dbraw/zinc/12/99/89/1049129989.db2.gz PLQXRTXCSGZYTL-KRWDZBQOSA-N 0 3 319.807 4.446 20 0 DIADHN CC[C@@H](NCCSc1ccncc1)c1cc(F)ccc1F ZINC001192690583 1049130154 /nfs/dbraw/zinc/13/01/54/1049130154.db2.gz VTSHAWRQXFSBQI-MRXNPFEDSA-N 0 3 308.397 4.193 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541559 1049130589 /nfs/dbraw/zinc/13/05/89/1049130589.db2.gz BBLPSVHSVHBNNI-ROUUACIJSA-N 0 3 323.358 4.268 20 0 DIADHN Fc1cccc(C2(NCCOc3ccc(Cl)c(F)c3)CC2)c1 ZINC001192689457 1049130904 /nfs/dbraw/zinc/13/09/04/1049130904.db2.gz IDGPMQJFNAGBBY-UHFFFAOYSA-N 0 3 323.770 4.276 20 0 DIADHN Cn1cc(CNCc2ccc3occc3c2)c(-c2cccs2)n1 ZINC001179105199 1049132050 /nfs/dbraw/zinc/13/20/50/1049132050.db2.gz IBMWMWNJBLZFMI-UHFFFAOYSA-N 0 3 323.421 4.185 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC001204294524 1049132716 /nfs/dbraw/zinc/13/27/16/1049132716.db2.gz RVFONTIVJUISHR-QUCCMNQESA-N 0 3 317.473 4.257 20 0 DIADHN C[C@H](COc1c(F)cccc1F)NCc1cc2ccccc2o1 ZINC001179123598 1049133861 /nfs/dbraw/zinc/13/38/61/1049133861.db2.gz VQOUCOIYTRKNJC-GFCCVEGCSA-N 0 3 317.335 4.268 20 0 DIADHN C[C@H]1[C@H](C)N(Cc2cc(Cl)cc3ccccc32)CCN1C ZINC001192745703 1049134776 /nfs/dbraw/zinc/13/47/76/1049134776.db2.gz OSXOPCAVPVZVFF-KBPBESRZSA-N 0 3 302.849 4.018 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001179138710 1049135025 /nfs/dbraw/zinc/13/50/25/1049135025.db2.gz CVVIKACQCUVJIM-NSHDSACASA-N 0 3 317.399 4.153 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCCOCc1ccccc1 ZINC001192758338 1049137487 /nfs/dbraw/zinc/13/74/87/1049137487.db2.gz SKSXWIAYSSNCKU-QGZVFWFLSA-N 0 3 313.441 4.341 20 0 DIADHN CCOc1cccc(CN(CCOC)[C@@H](C)c2ccccc2)c1 ZINC001204312965 1049138581 /nfs/dbraw/zinc/13/85/81/1049138581.db2.gz HJHLWTSYDNBJKN-KRWDZBQOSA-N 0 3 313.441 4.295 20 0 DIADHN CC[C@@](O)(CN[C@@H](c1ccccc1Cl)C(C)C)C(F)(F)F ZINC001192831753 1049139457 /nfs/dbraw/zinc/13/94/57/1049139457.db2.gz DYVHWRCGTYANSX-ZIAGYGMSSA-N 0 3 323.786 4.330 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC[C@H](C(F)(F)F)[C@H]1C ZINC000775548698 1049139613 /nfs/dbraw/zinc/13/96/13/1049139613.db2.gz VNGWMKGAHCPHMO-RISCZKNCSA-N 0 3 324.346 4.411 20 0 DIADHN Clc1ccc(CNCc2ccc3c[nH]nc3c2)cc1Cl ZINC001179173029 1049139923 /nfs/dbraw/zinc/13/99/23/1049139923.db2.gz GINAXQPFCOEPKO-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN Cc1cc(CNC2CC(C)(C)C2)c(Br)cc1F ZINC001179205327 1049141271 /nfs/dbraw/zinc/14/12/71/1049141271.db2.gz LSGYJGNCUQSNJJ-UHFFFAOYSA-N 0 3 300.215 4.175 20 0 DIADHN Cc1cc(C)cc(CNCc2c[nH]nc2-c2ccccc2F)c1 ZINC001179222675 1049142572 /nfs/dbraw/zinc/14/25/72/1049142572.db2.gz NBRCMZIJACFYJE-UHFFFAOYSA-N 0 3 309.388 4.122 20 0 DIADHN Cc1ccc(CN(C)CCc2ccc(Cl)cc2)nc1Cl ZINC001192848776 1049143658 /nfs/dbraw/zinc/14/36/58/1049143658.db2.gz PRVYLUJQRMTWNM-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(OC)c(F)c2)c(C)c1 ZINC001179194161 1049143843 /nfs/dbraw/zinc/14/38/43/1049143843.db2.gz QFRZHWBAGDOHPF-UHFFFAOYSA-N 0 3 317.404 4.176 20 0 DIADHN CCC(CC)(CNCc1ncc(Cl)n1C)c1ccc(F)cc1 ZINC001179228902 1049146022 /nfs/dbraw/zinc/14/60/22/1049146022.db2.gz VYAUXDLBKABAQP-UHFFFAOYSA-N 0 3 323.843 4.060 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc(C)nc3c2CCCC3)n1 ZINC001204347467 1049146786 /nfs/dbraw/zinc/14/67/86/1049146786.db2.gz KEDBQMCMJMQVNP-NRFANRHFSA-N 0 3 321.468 4.309 20 0 DIADHN C[C@H](NCc1nccn1-c1ccccc1)C(C)(C)c1ccccc1 ZINC001192900280 1049147011 /nfs/dbraw/zinc/14/70/11/1049147011.db2.gz BESYELZVVPGNKJ-KRWDZBQOSA-N 0 3 319.452 4.328 20 0 DIADHN Cc1ccc(-c2nc(CNCCCc3ccco3)cs2)cc1 ZINC001179248440 1049147157 /nfs/dbraw/zinc/14/71/57/1049147157.db2.gz RRDKXRAKDOBFGW-UHFFFAOYSA-N 0 3 312.438 4.434 20 0 DIADHN C[C@H](O)[C@@H](NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001179252396 1049147387 /nfs/dbraw/zinc/14/73/87/1049147387.db2.gz HQQDYZLBBSLLSP-HRAATJIYSA-N 0 3 309.453 4.241 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccc(C)cc1 ZINC001192910683 1049148301 /nfs/dbraw/zinc/14/83/01/1049148301.db2.gz WIFJGNHNENVUBV-KSSFIOAISA-N 0 3 314.404 4.202 20 0 DIADHN Cc1c(Cl)ccc(CNCC2(O)CCC(C)CC2)c1Cl ZINC001179257442 1049149294 /nfs/dbraw/zinc/14/92/94/1049149294.db2.gz BATLBXBGZRNNOW-UHFFFAOYSA-N 0 3 316.272 4.333 20 0 DIADHN Cc1ccc([C@@H](C)NC(=O)[C@@H]2CCCCN2C2CCCC2)s1 ZINC000857441872 1049149366 /nfs/dbraw/zinc/14/93/66/1049149366.db2.gz XUBJOVBAWWMLBO-ZBFHGGJFSA-N 0 3 320.502 4.031 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-c3ccc(Cl)cc3)o2)[C@H](C)O1 ZINC001179347701 1049152327 /nfs/dbraw/zinc/15/23/27/1049152327.db2.gz AXVWQCGOQPKKFE-WQGACYEGSA-N 0 3 305.805 4.256 20 0 DIADHN Cc1cccc2cc(CN[C@@H]3[C@H](C)O[C@@H](C)[C@H]3C)c(Cl)nc12 ZINC001179348129 1049155036 /nfs/dbraw/zinc/15/50/36/1049155036.db2.gz PDDUTACSVQHAIK-XREXNNHRSA-N 0 3 318.848 4.098 20 0 DIADHN CC[C@@]1(C)COCCN1CCCSc1ccccc1Cl ZINC001192929703 1049156132 /nfs/dbraw/zinc/15/61/32/1049156132.db2.gz OZDNSHFRBGCXLD-INIZCTEOSA-N 0 3 313.894 4.323 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1Cc2ccccc2C(C)(C)C1 ZINC001192938063 1049156739 /nfs/dbraw/zinc/15/67/39/1049156739.db2.gz CRPVCYFYWMUAED-QFBILLFUSA-N 0 3 301.474 4.375 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1Cc2ccccc2C(C)(C)C1 ZINC001192938061 1049156872 /nfs/dbraw/zinc/15/68/72/1049156872.db2.gz CRPVCYFYWMUAED-APWZRJJASA-N 0 3 301.474 4.375 20 0 DIADHN CCCn1cc(CN[C@H]2CCc3cc(Cl)ccc32)c(C)n1 ZINC001179338565 1049158159 /nfs/dbraw/zinc/15/81/59/1049158159.db2.gz JRHOXKNFQBXNAC-KRWDZBQOSA-N 0 3 303.837 4.032 20 0 DIADHN COc1ccc2oc(CNCc3ccc4cccnc4c3)cc2c1 ZINC001179343533 1049159034 /nfs/dbraw/zinc/15/90/34/1049159034.db2.gz JHDVVFYUPMMKRV-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN CCOC[C@@]12CCC[C@@H]1CN(Cc1ccc(F)cc1Cl)C2 ZINC001204438381 1049161701 /nfs/dbraw/zinc/16/17/01/1049161701.db2.gz UPGQQZLBUUJYSA-PBHICJAKSA-N 0 3 311.828 4.118 20 0 DIADHN Fc1ccc(CN2CCC[C@H]2Cc2ccccn2)c(Cl)c1 ZINC001204436256 1049162126 /nfs/dbraw/zinc/16/21/26/1049162126.db2.gz BFMFUPPFOLSIRH-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN COc1cc(CN(Cc2ccc3c(c2)CCC3)C2CC2)ccc1O ZINC001193043758 1049163478 /nfs/dbraw/zinc/16/34/78/1049163478.db2.gz JIDBWEGEPQLWDW-UHFFFAOYSA-N 0 3 323.436 4.054 20 0 DIADHN CC(C)(C)CN(Cc1nccn1CC(F)F)CC(C)(C)C ZINC001192976464 1049164422 /nfs/dbraw/zinc/16/44/22/1049164422.db2.gz OXPKALFIDRTPNV-UHFFFAOYSA-N 0 3 301.425 4.042 20 0 DIADHN CN(Cc1cc2cnccc2o1)Cc1cccc(C(F)(F)F)c1 ZINC001204441362 1049164440 /nfs/dbraw/zinc/16/44/40/1049164440.db2.gz KQINCKVFSZYAOD-UHFFFAOYSA-N 0 3 320.314 4.479 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC2(C1)CCCCC2 ZINC001204451343 1049164638 /nfs/dbraw/zinc/16/46/38/1049164638.db2.gz HRWMDQJWNRYAOT-UHFFFAOYSA-N 0 3 309.457 4.255 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ccc(Cl)s3)C2)c1 ZINC001204444317 1049164703 /nfs/dbraw/zinc/16/47/03/1049164703.db2.gz JTNBBMXXSYOFDW-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2F)OCCc2ccccc21 ZINC001179447850 1049166035 /nfs/dbraw/zinc/16/60/35/1049166035.db2.gz UGDNEKTXXWIQDY-GOSISDBHSA-N 0 3 319.807 4.057 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCC(=O)Nc2cccc(C)c2C)c1 ZINC001193011789 1049166192 /nfs/dbraw/zinc/16/61/92/1049166192.db2.gz LOQAICIOZYIFTB-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001204455438 1049166451 /nfs/dbraw/zinc/16/64/51/1049166451.db2.gz IVCUPUJMXKBICZ-PXNSSMCTSA-N 0 3 309.457 4.254 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](C(=O)c3ccccc3)C2)cc1 ZINC001204457146 1049166832 /nfs/dbraw/zinc/16/68/32/1049166832.db2.gz BPYHEONZPQMLNK-LJQANCHMSA-N 0 3 323.436 4.179 20 0 DIADHN CC(C)(C)C1=CCN(Cc2ccc([Si](C)(C)C)cc2)CC1 ZINC001193020430 1049168238 /nfs/dbraw/zinc/16/82/38/1049168238.db2.gz ANYRGITZPOKHMB-UHFFFAOYSA-N 0 3 301.550 4.410 20 0 DIADHN CCN(Cc1ccc(OC)c(OC)c1)[C@@H](C)c1cccc(F)c1 ZINC000485043936 1049170389 /nfs/dbraw/zinc/17/03/89/1049170389.db2.gz TZIRQCNBMNBAMF-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc2oc(=O)[nH]c2c1 ZINC001179525366 1049173078 /nfs/dbraw/zinc/17/30/78/1049173078.db2.gz NHAJAYNOXYEFCV-UHFFFAOYSA-N 0 3 322.364 4.132 20 0 DIADHN CC[C@H]1CCC[C@H](CNCc2noc3c2CC(C)(C)CC3)C1 ZINC001179501713 1049175752 /nfs/dbraw/zinc/17/57/52/1049175752.db2.gz DONOGIPSSNUORK-GJZGRUSLSA-N 0 3 304.478 4.496 20 0 DIADHN CCCOc1ccc(CNC2(C)CC(F)(F)C2)cc1OCC ZINC001179502363 1049175904 /nfs/dbraw/zinc/17/59/04/1049175904.db2.gz OGEJCMAMYYICAL-UHFFFAOYSA-N 0 3 313.388 4.152 20 0 DIADHN C[C@H](NCCc1cccc(F)c1)c1nc(C(C)(C)C)cs1 ZINC001193083854 1049176321 /nfs/dbraw/zinc/17/63/21/1049176321.db2.gz YGNXBROOTDCPSK-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2ccc(Cl)c(C)c2)cc1 ZINC001204497949 1049176816 /nfs/dbraw/zinc/17/68/16/1049176816.db2.gz VHCNUYXWRSMGKG-UHFFFAOYSA-N 0 3 317.816 4.067 20 0 DIADHN C[C@@H](CN1C[C@@H](C)OC(C)(C)C1)c1ccc(C(F)(F)F)cc1 ZINC001204514719 1049178128 /nfs/dbraw/zinc/17/81/28/1049178128.db2.gz AOEYCIJQUKJIAS-QWHCGFSZSA-N 0 3 315.379 4.308 20 0 DIADHN CCc1cnc(CNCC2(c3cccc(F)c3)CCC2)s1 ZINC001179537995 1049178816 /nfs/dbraw/zinc/17/88/16/1049178816.db2.gz WGHJZUXHDSGDQA-UHFFFAOYSA-N 0 3 304.434 4.056 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cc(F)c(F)c(F)c1 ZINC001204531627 1049182240 /nfs/dbraw/zinc/18/22/40/1049182240.db2.gz ZFRUESFTVAFNMH-GFCCVEGCSA-N 0 3 323.358 4.176 20 0 DIADHN CCCCN(CC)[C@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000485103332 1049182762 /nfs/dbraw/zinc/18/27/62/1049182762.db2.gz UCIWMMYAEPCLBV-CQSZACIVSA-N 0 3 310.869 4.406 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4o3)CC2)oc1C ZINC001204532011 1049183021 /nfs/dbraw/zinc/18/30/21/1049183021.db2.gz PVCTYJUYSMXBDY-UHFFFAOYSA-N 0 3 310.397 4.417 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4n3C)CC2)oc1C ZINC001204532783 1049185647 /nfs/dbraw/zinc/18/56/47/1049185647.db2.gz HFGPQVUNXYSDIO-UHFFFAOYSA-N 0 3 323.440 4.163 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3F)c2c(n1)CCCC2 ZINC001179615862 1049185943 /nfs/dbraw/zinc/18/59/43/1049185943.db2.gz IIBKDTNLENQIBI-LJQANCHMSA-N 0 3 310.416 4.185 20 0 DIADHN CC(C)COC[C@@H](NCCCc1cccc(F)c1)c1ccco1 ZINC001193224208 1049188703 /nfs/dbraw/zinc/18/87/03/1049188703.db2.gz IBIVSNUBNDTUIK-GOSISDBHSA-N 0 3 319.420 4.355 20 0 DIADHN COc1cc(CN2CCC(c3ccc(F)cc3)CC2)ccc1F ZINC001204561884 1049189948 /nfs/dbraw/zinc/18/99/48/1049189948.db2.gz XIZPNULFTMMZJL-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN C[C@@H](COCC1CCCCC1)NCc1scnc1C1CC1 ZINC001179637112 1049190261 /nfs/dbraw/zinc/19/02/61/1049190261.db2.gz SWLJJPCPILAEDI-ZDUSSCGKSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cc(C3CC3)nn2C)c(C)c1 ZINC001179662424 1049193748 /nfs/dbraw/zinc/19/37/48/1049193748.db2.gz LKNPSONIZXKQGN-AATRIKPKSA-N 0 3 309.457 4.026 20 0 DIADHN Cc1nc[nH]c1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001204588085 1049193848 /nfs/dbraw/zinc/19/38/48/1049193848.db2.gz AEVXSRFSWUHBBW-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1nn(C)cc1CN1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001204583072 1049194377 /nfs/dbraw/zinc/19/43/77/1049194377.db2.gz ZGIAULODQCCMQH-INIZCTEOSA-N 0 3 323.362 4.084 20 0 DIADHN C[C@@]1(CNCc2cc(C(F)(F)F)ccc2Cl)CCCCO1 ZINC001179700504 1049194293 /nfs/dbraw/zinc/19/42/93/1049194293.db2.gz OGHPVXWYGNVAIB-AWEZNQCLSA-N 0 3 321.770 4.408 20 0 DIADHN COc1ccc(-c2nc(CNCC(C)(C)C3CC3)cs2)cc1 ZINC001179680979 1049197159 /nfs/dbraw/zinc/19/71/59/1049197159.db2.gz FWBNVLXLXSFYMM-UHFFFAOYSA-N 0 3 316.470 4.345 20 0 DIADHN CC(C)(CNCc1nc(Cl)ccc1Br)C1CC1 ZINC001179681888 1049197377 /nfs/dbraw/zinc/19/73/77/1049197377.db2.gz SOSVPZNSJGYAII-UHFFFAOYSA-N 0 3 317.658 4.023 20 0 DIADHN Cc1ccc(CN(C)C[C@H](O)c2ccc(F)cc2Cl)s1 ZINC000775592500 1049202685 /nfs/dbraw/zinc/20/26/85/1049202685.db2.gz UYIMLYHVTWCYPP-HNNXBMFYSA-N 0 3 313.825 4.014 20 0 DIADHN COc1ccc(Br)cc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001203777045 1049203429 /nfs/dbraw/zinc/20/34/29/1049203429.db2.gz MQEGCAJGOFXNAX-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cccc(COc2ccc(CNC/C=C/Cl)cc2)c1 ZINC001179747944 1049203305 /nfs/dbraw/zinc/20/33/05/1049203305.db2.gz RZTUTUOFMOCAKA-XCVCLJGOSA-N 0 3 317.816 4.116 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(C)c(Br)c2)c1 ZINC001193384718 1049205139 /nfs/dbraw/zinc/20/51/39/1049205139.db2.gz LKKLHYWGCYTSKK-UHFFFAOYSA-N 0 3 321.262 4.211 20 0 DIADHN CCC[C@H](NCC(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000485210782 1049206093 /nfs/dbraw/zinc/20/60/93/1049206093.db2.gz ZIZDDDMHPJXYAE-IBGZPJMESA-N 0 3 310.441 4.373 20 0 DIADHN Cc1cccc(OC[C@H](C)N[C@@H]2CCc3ccc(Cl)nc32)c1 ZINC000857901596 1049206258 /nfs/dbraw/zinc/20/62/58/1049206258.db2.gz LZPUOHYCQMHCCS-XJKSGUPXSA-N 0 3 316.832 4.088 20 0 DIADHN CC(C)CO[C@H]1CCN(Cc2cc3nc(Cl)ccc3s2)C1 ZINC001204631798 1049207303 /nfs/dbraw/zinc/20/73/03/1049207303.db2.gz CPYODSUXEINCDS-LBPRGKRZSA-N 0 3 324.877 4.197 20 0 DIADHN FC1(F)CCCC[C@H]1CN[C@@H]1CCc2ccc(Cl)nc21 ZINC000857913698 1049210521 /nfs/dbraw/zinc/21/05/21/1049210521.db2.gz MRGZAMLZRNJLRB-NWDGAFQWSA-N 0 3 300.780 4.137 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc(F)ccc2N(C)C)cc1C ZINC001179829947 1049210637 /nfs/dbraw/zinc/21/06/37/1049210637.db2.gz IVOXSNTYOLUTCE-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN C[C@H](NCc1cccc2cc[nH]c21)c1cc(F)ccc1N(C)C ZINC001179830281 1049211628 /nfs/dbraw/zinc/21/16/28/1049211628.db2.gz OGPFOBMMIAEZIN-ZDUSSCGKSA-N 0 3 311.404 4.224 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CCCC2(CCCCC2)CC1 ZINC001193430985 1049212051 /nfs/dbraw/zinc/21/20/51/1049212051.db2.gz WNALYWWMSZFUNB-KRWDZBQOSA-N 0 3 314.473 4.450 20 0 DIADHN Cc1ccccc1[C@H]1CN(C[C@H]2CCc3ccccc3C2)CCO1 ZINC001204648267 1049212387 /nfs/dbraw/zinc/21/23/87/1049212387.db2.gz PQJDCTSFNSMWLB-PGRDOPGGSA-N 0 3 321.464 4.173 20 0 DIADHN CCOC(=O)CC(C)(C)CN1CCC[C@H]1c1cccc(Cl)c1 ZINC001204658133 1049214428 /nfs/dbraw/zinc/21/44/28/1049214428.db2.gz PHMKYARACHEYHA-INIZCTEOSA-N 0 3 323.864 4.456 20 0 DIADHN C(=C/c1ccccc1)\CN1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858015350 1049214944 /nfs/dbraw/zinc/21/49/44/1049214944.db2.gz UDLRDRHVHNEJGV-WWPOYFSUSA-N 0 3 320.436 4.126 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001193495800 1049215743 /nfs/dbraw/zinc/21/57/43/1049215743.db2.gz CVQMFAWUNFYXMF-IRXDYDNUSA-N 0 3 310.441 4.054 20 0 DIADHN Nc1c(F)cccc1CN1CC[C@H](CSc2ccccc2)C1 ZINC001179855078 1049215911 /nfs/dbraw/zinc/21/59/11/1049215911.db2.gz NQSKJPFYXJORKZ-AWEZNQCLSA-N 0 3 316.445 4.022 20 0 DIADHN Cc1ccc(CN(Cc2ccc3[nH]c(=O)[nH]c3c2)C2CC2)cc1C ZINC001179898816 1049220890 /nfs/dbraw/zinc/22/08/90/1049220890.db2.gz VGRTZYDAPRKJNU-UHFFFAOYSA-N 0 3 321.424 4.050 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccccc2OCC(C)C)C1(C)C ZINC001179897874 1049220995 /nfs/dbraw/zinc/22/09/95/1049220995.db2.gz AKKPDMJABBPFSI-RTBURBONSA-N 0 3 319.489 4.357 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CCN1C/C=C/c1cccc(F)c1 ZINC001179899035 1049221051 /nfs/dbraw/zinc/22/10/51/1049221051.db2.gz YAKMVMINCWPMRL-WGLAMXGCSA-N 0 3 324.443 4.045 20 0 DIADHN CC(C)COc1ccc(C(C)(C)NCc2ccnc(F)c2)cc1 ZINC000858131560 1049221847 /nfs/dbraw/zinc/22/18/47/1049221847.db2.gz ZCQZGXSYBFMYRQ-UHFFFAOYSA-N 0 3 316.420 4.280 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CCCC2(CCCC2)C1 ZINC001193516524 1049221988 /nfs/dbraw/zinc/22/19/88/1049221988.db2.gz COOZWFVJGSYZER-GOSISDBHSA-N 0 3 314.473 4.368 20 0 DIADHN CC[C@H](NCc1ccnc(F)c1)c1ccc(OC(F)F)cc1 ZINC000858150929 1049222573 /nfs/dbraw/zinc/22/25/73/1049222573.db2.gz NQQZKVSKXCKCOY-AWEZNQCLSA-N 0 3 310.319 4.063 20 0 DIADHN Cc1cc(CNC[C@]2(C)CC2(F)F)c(Br)cc1F ZINC000858191569 1049225802 /nfs/dbraw/zinc/22/58/02/1049225802.db2.gz AJHKAFMXIIQNIK-LBPRGKRZSA-N 0 3 322.168 4.032 20 0 DIADHN COc1cccc(-c2nc(CN3CCCCC[C@H]3C)co2)c1 ZINC001193574067 1049225852 /nfs/dbraw/zinc/22/58/52/1049225852.db2.gz DDPIQJXRLAKPCC-CQSZACIVSA-N 0 3 300.402 4.115 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1cn(C)nc1Cl ZINC001179873816 1049226502 /nfs/dbraw/zinc/22/65/02/1049226502.db2.gz QFUARSQPFGEXTG-QGZVFWFLSA-N 0 3 319.880 4.225 20 0 DIADHN Cc1sc(CN(C)CCOC(C)(C)C)cc1Br ZINC001179881875 1049228441 /nfs/dbraw/zinc/22/84/41/1049228441.db2.gz LAWKLCKLWYHHQR-UHFFFAOYSA-N 0 3 320.296 4.066 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(Cc2ccc3nccnc3c2)C1 ZINC001179936658 1049229514 /nfs/dbraw/zinc/22/95/14/1049229514.db2.gz HINCMLJGKJILEP-VLIAUNLRSA-N 0 3 321.399 4.352 20 0 DIADHN CCn1nc(CN2CCC[C@@]3(CC=CCC3)C2)c2ccccc21 ZINC001179931726 1049230116 /nfs/dbraw/zinc/23/01/16/1049230116.db2.gz RIGHRODWCKJKQY-FQEVSTJZSA-N 0 3 309.457 4.379 20 0 DIADHN CCC(CC)(CNC(=O)OC(C)(C)C)CN(C)Cc1ccoc1 ZINC001204697563 1049233013 /nfs/dbraw/zinc/23/30/13/1049233013.db2.gz RNXZLEVBFJIXAC-UHFFFAOYSA-N 0 3 324.465 4.043 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CN1CCCCC1 ZINC001204707136 1049234236 /nfs/dbraw/zinc/23/42/36/1049234236.db2.gz WSSGOVPUNOBINR-UHFFFAOYSA-N 0 3 311.473 4.215 20 0 DIADHN C[C@H]1CCN(C[C@H](O)c2c(F)cccc2F)CC12CCCCC2 ZINC001193637240 1049235298 /nfs/dbraw/zinc/23/52/98/1049235298.db2.gz SKFDSEOUJJYVJD-YOEHRIQHSA-N 0 3 323.427 4.291 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3cccc(Cl)c3)o2)CC1 ZINC001204710504 1049235432 /nfs/dbraw/zinc/23/54/32/1049235432.db2.gz JBKGJWIMLOBCGH-UHFFFAOYSA-N 0 3 319.832 4.337 20 0 DIADHN C[C@@H]1CO[C@@H]2CN(Cc3ccc(-c4cccc(Cl)c4)o3)C[C@H]12 ZINC001204710318 1049235608 /nfs/dbraw/zinc/23/56/08/1049235608.db2.gz FMNJEWOIVNMQFK-XHBKTUGNSA-N 0 3 317.816 4.067 20 0 DIADHN COCCC1CN(C/C(C)=C\c2ccccc2C(F)(F)F)C1 ZINC000668471724 1049236052 /nfs/dbraw/zinc/23/60/52/1049236052.db2.gz IYBVTLJEWKDDQA-LCYFTJDESA-N 0 3 313.363 4.077 20 0 DIADHN CCCCCCOc1ccc(CNCc2ccc[nH]c2=O)cc1 ZINC000858304124 1049237154 /nfs/dbraw/zinc/23/71/54/1049237154.db2.gz HCPVSEOYGXVHJX-UHFFFAOYSA-N 0 3 314.429 4.036 20 0 DIADHN CC(C)n1cncc1CNCc1cn(C(F)F)c2ccccc12 ZINC000858294196 1049237348 /nfs/dbraw/zinc/23/73/48/1049237348.db2.gz MGLNKAPDXBIHSU-UHFFFAOYSA-N 0 3 318.371 4.104 20 0 DIADHN Cc1nc(F)ccc1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC000858296082 1049237962 /nfs/dbraw/zinc/23/79/62/1049237962.db2.gz ODDIZSXSJYFPGA-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN CCN(Cc1coc2ccccc12)C[C@H]1COc2ccccc2O1 ZINC001179914404 1049238256 /nfs/dbraw/zinc/23/82/56/1049238256.db2.gz XEOWVRVLSOBPQE-INIZCTEOSA-N 0 3 323.392 4.095 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@@H]1C ZINC001193604887 1049238980 /nfs/dbraw/zinc/23/89/80/1049238980.db2.gz IIIGWJUJXLKVJI-SJORKVTESA-N 0 3 322.452 4.413 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccnn2CC(C)C)c1C ZINC001179906241 1049239744 /nfs/dbraw/zinc/23/97/44/1049239744.db2.gz VDOICPPQTTWTBB-FQEVSTJZSA-N 0 3 311.473 4.493 20 0 DIADHN Cc1cc(C)cc(CCN2CCC(C(=O)c3ccccc3)CC2)c1 ZINC001179952775 1049239775 /nfs/dbraw/zinc/23/97/75/1049239775.db2.gz RSQYFWBWJUYFSP-UHFFFAOYSA-N 0 3 321.464 4.441 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc2c(c1)CCC2 ZINC000195613816 1049241183 /nfs/dbraw/zinc/24/11/83/1049241183.db2.gz GLAMPJVJXSJYBW-KGLIPLIRSA-N 0 3 309.409 4.316 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1sncc1Br ZINC001193648540 1049241177 /nfs/dbraw/zinc/24/11/77/1049241177.db2.gz GXTKPGGPYOBHDI-PWSUYJOCSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1cccc2ncc(CN[C@H]3CCc4ccc(Cl)cc43)n21 ZINC000858320900 1049241235 /nfs/dbraw/zinc/24/12/35/1049241235.db2.gz MGGBUKOMYKWZBC-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN CNc1ccccc1CN1CCN(c2ccc(C)c(C)c2)C[C@H]1C ZINC001204729265 1049242353 /nfs/dbraw/zinc/24/23/53/1049242353.db2.gz KCWQHWLGVVYARR-GOSISDBHSA-N 0 3 323.484 4.056 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2CCc3ccc(Cl)cc32)c1C ZINC000858320942 1049242937 /nfs/dbraw/zinc/24/29/37/1049242937.db2.gz NZKAIJZABQUXLY-SFHVURJKSA-N 0 3 317.864 4.340 20 0 DIADHN C[C@H](N[C@@H]1CCC(C)(C)C[C@H]1C)c1cn(-c2ccccc2)nn1 ZINC001193662092 1049243874 /nfs/dbraw/zinc/24/38/74/1049243874.db2.gz MBYOKRZBXGBPKO-HLLBOEOZSA-N 0 3 312.461 4.133 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C[C@H]1C)c1cn(-c2ccccc2)nn1 ZINC001193662093 1049244814 /nfs/dbraw/zinc/24/48/14/1049244814.db2.gz MBYOKRZBXGBPKO-VYDXJSESSA-N 0 3 312.461 4.133 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(F)ccc1Br ZINC001193676578 1049246037 /nfs/dbraw/zinc/24/60/37/1049246037.db2.gz YZAKLHKHLGVSBU-IINYFYTJSA-N 0 3 300.215 4.209 20 0 DIADHN CC(C)CCOC1CCN(Cc2cc3ccccc3n2C)CC1 ZINC001179988271 1049246664 /nfs/dbraw/zinc/24/66/64/1049246664.db2.gz CTNLHGTZQXPXCM-UHFFFAOYSA-N 0 3 314.473 4.205 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1F)CCc1ccccc1F ZINC001204736647 1049247472 /nfs/dbraw/zinc/24/74/72/1049247472.db2.gz OIFMCEIUIZMYNK-XQRVVYSFSA-N 0 3 305.343 4.292 20 0 DIADHN COc1ccc2nc(CN(C)[C@H]3CCC(C)(C)C3)sc2c1 ZINC001205639694 1049247858 /nfs/dbraw/zinc/24/78/58/1049247858.db2.gz IGJGWDGOYDOYNW-LBPRGKRZSA-N 0 3 304.459 4.315 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204746059 1049250364 /nfs/dbraw/zinc/25/03/64/1049250364.db2.gz SWJDHAKDZVEJIJ-ZDUSSCGKSA-N 0 3 303.789 4.011 20 0 DIADHN Cc1cc(F)ncc1CN1CCCC[C@H]1Cc1ccc(F)cc1 ZINC001204749562 1049250587 /nfs/dbraw/zinc/25/05/87/1049250587.db2.gz AHRBYEMLUXAYOO-SFHVURJKSA-N 0 3 316.395 4.265 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204745990 1049251546 /nfs/dbraw/zinc/25/15/46/1049251546.db2.gz OZVWDDIFVRJNKX-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cn(C(C)C)nc2C)C1(C)C ZINC001179963230 1049251662 /nfs/dbraw/zinc/25/16/62/1049251662.db2.gz XZQPHGVQSPTDPP-ROUUACIJSA-N 0 3 321.509 4.188 20 0 DIADHN CCC[C@H](N[C@H](C)c1nc(C)cs1)[C@H](O)c1ccccc1 ZINC000858412371 1049255430 /nfs/dbraw/zinc/25/54/30/1049255430.db2.gz VBMRKSVZMMPYPH-VNQPRFMTSA-N 0 3 304.459 4.004 20 0 DIADHN CCN(CCc1ccccc1)Cc1ccnn1-c1ccccc1C ZINC001180017560 1049256931 /nfs/dbraw/zinc/25/69/31/1049256931.db2.gz GCHXJORKKITVRP-UHFFFAOYSA-N 0 3 319.452 4.245 20 0 DIADHN CCCC[C@@H](C(=O)OC)N1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC001193754155 1049258963 /nfs/dbraw/zinc/25/89/63/1049258963.db2.gz RBYXOPBAGUKKND-RDGPPVDQSA-N 0 3 315.457 4.129 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21)c1cccnc1Cl ZINC000858456896 1049259891 /nfs/dbraw/zinc/25/98/91/1049259891.db2.gz FJCMHTCMVRDAIM-AKVMBCECSA-N 0 3 319.235 4.377 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@H]1CCc1ccccc1 ZINC001180043723 1049262960 /nfs/dbraw/zinc/26/29/60/1049262960.db2.gz XJQZNCMUTQGTBY-HNNXBMFYSA-N 0 3 317.864 4.223 20 0 DIADHN CCN(Cc1cnc2onc(C)c2c1)[C@@H](C)c1ccc(F)cc1 ZINC001180042828 1049264529 /nfs/dbraw/zinc/26/45/29/1049264529.db2.gz KDGNSONHRZDFSE-ZDUSSCGKSA-N 0 3 313.376 4.253 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1cn(C)nc1C1CCCC1 ZINC001180057127 1049265365 /nfs/dbraw/zinc/26/53/65/1049265365.db2.gz BEMUNBRGRQMZTI-CQSZACIVSA-N 0 3 317.502 4.202 20 0 DIADHN Cc1cnc(CCN2CC[C@](F)(c3ccccc3C)C2)c(C)c1 ZINC001193796078 1049265501 /nfs/dbraw/zinc/26/55/01/1049265501.db2.gz DKLIDWLKCZBNPB-HXUWFJFHSA-N 0 3 312.432 4.120 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@@H](C)c2cc(C)sc2C)cc1 ZINC000858495815 1049266200 /nfs/dbraw/zinc/26/62/00/1049266200.db2.gz DJJQVMZEAVJOHD-ZDUSSCGKSA-N 0 3 316.470 4.198 20 0 DIADHN CCCOc1ccc(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001204794722 1049267904 /nfs/dbraw/zinc/26/79/04/1049267904.db2.gz SHTJPCPCAWRWBR-UHFFFAOYSA-N 0 3 301.777 4.254 20 0 DIADHN CCCOc1ccc(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001204794722 1049267914 /nfs/dbraw/zinc/26/79/14/1049267914.db2.gz SHTJPCPCAWRWBR-UHFFFAOYSA-N 0 3 301.777 4.254 20 0 DIADHN CCC[C@H](NCc1ccc(N(C)C(C)=O)cc1)c1ccsc1 ZINC000858497963 1049268552 /nfs/dbraw/zinc/26/85/52/1049268552.db2.gz JWUQJWNEEHHGKX-SFHVURJKSA-N 0 3 316.470 4.362 20 0 DIADHN CCn1cc([C@H](C)NCc2cn(C(F)F)c3ccccc23)cn1 ZINC000858535929 1049270749 /nfs/dbraw/zinc/27/07/49/1049270749.db2.gz GMSILVQKBSMQFL-LBPRGKRZSA-N 0 3 318.371 4.104 20 0 DIADHN CC[C@H](NCc1cn(C(F)F)c2ccccc12)c1cnn(C)c1 ZINC000858537650 1049270848 /nfs/dbraw/zinc/27/08/48/1049270848.db2.gz WMGPEUGIOLXHLL-HNNXBMFYSA-N 0 3 318.371 4.011 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCc3ccc(Cl)cc32)n(C)n1 ZINC000858545453 1049272649 /nfs/dbraw/zinc/27/26/49/1049272649.db2.gz OUOROCCZTDGRGC-LRDDRELGSA-N 0 3 303.837 4.110 20 0 DIADHN CC[C@@H]1Cc2c(Br)cccc2CN1CCC1CC1 ZINC001193860444 1049277380 /nfs/dbraw/zinc/27/73/80/1049277380.db2.gz SBVUIFJPVSMPQR-CQSZACIVSA-N 0 3 308.263 4.386 20 0 DIADHN COc1c(Cl)cc(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001204830079 1049279202 /nfs/dbraw/zinc/27/92/02/1049279202.db2.gz IEPIGLKIMCFUAX-UHFFFAOYSA-N 0 3 308.168 4.128 20 0 DIADHN COc1c(Cl)cc(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001204830079 1049279217 /nfs/dbraw/zinc/27/92/17/1049279217.db2.gz IEPIGLKIMCFUAX-UHFFFAOYSA-N 0 3 308.168 4.128 20 0 DIADHN COCC1(C2CCC2)CN(C[C@@H](C)c2cccc(Cl)c2)C1 ZINC000668514644 1049279335 /nfs/dbraw/zinc/27/93/35/1049279335.db2.gz MWYJIHTTZAOIQZ-CQSZACIVSA-N 0 3 307.865 4.192 20 0 DIADHN CC(C)Oc1cccc(CN(C)Cc2ccc3nccnc3c2)c1 ZINC001180136455 1049279398 /nfs/dbraw/zinc/27/93/98/1049279398.db2.gz CODKNEDEZPNDIJ-UHFFFAOYSA-N 0 3 321.424 4.049 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@H](c2ccccc2C)C[C@@H]1C ZINC001180143912 1049280373 /nfs/dbraw/zinc/28/03/73/1049280373.db2.gz YCWXTBCBWZHKRG-UONOGXRCSA-N 0 3 317.864 4.312 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3ccc(Cl)cc32)cc1O ZINC000858581395 1049280478 /nfs/dbraw/zinc/28/04/78/1049280478.db2.gz DBZJHGFPQLPTBP-BDJLRTHQSA-N 0 3 317.816 4.392 20 0 DIADHN CCOC(=O)CC1CCN(Cc2cc(C(C)(C)C)oc2C)CC1 ZINC001204834075 1049281257 /nfs/dbraw/zinc/28/12/57/1049281257.db2.gz SRQAJGRVAYRCOV-UHFFFAOYSA-N 0 3 321.461 4.051 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1CCC(C)(C)C1CC1 ZINC001193913218 1049282118 /nfs/dbraw/zinc/28/21/18/1049282118.db2.gz HCESOEBWILUYCQ-ROUUACIJSA-N 0 3 315.457 4.191 20 0 DIADHN Clc1cccc(C2=CCN(C[C@@H]3C[C@@H]4CCC[C@@H]4O3)CC2)c1 ZINC001193990645 1049285273 /nfs/dbraw/zinc/28/52/73/1049285273.db2.gz PBAQAKQCKOBYGG-WDSOQIARSA-N 0 3 317.860 4.387 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N(CC)CCc1nccs1 ZINC000485621393 1049291138 /nfs/dbraw/zinc/29/11/38/1049291138.db2.gz PNIYMKVFBCWEIM-OAHLLOKOSA-N 0 3 310.413 4.437 20 0 DIADHN CSc1ccccc1CN(C)C[C@H]1CCC2(CCCC2)O1 ZINC001193978146 1049291563 /nfs/dbraw/zinc/29/15/63/1049291563.db2.gz ZSWGRLAMZPPYQJ-MRXNPFEDSA-N 0 3 305.487 4.332 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1nc2c(s1)CCCC2 ZINC001180123515 1049292093 /nfs/dbraw/zinc/29/20/93/1049292093.db2.gz BLGQJHNCDWVKBK-GDBMZVCRSA-N 0 3 312.482 4.400 20 0 DIADHN c1cc(OC2CCCCC2)cc(-c2cnc([C@@H]3CCCN3)nc2)c1 ZINC000906159778 1049292716 /nfs/dbraw/zinc/29/27/16/1049292716.db2.gz UMAHQLBGBYHONQ-IBGZPJMESA-N 0 3 323.440 4.280 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC001204888129 1049299959 /nfs/dbraw/zinc/29/99/59/1049299959.db2.gz DMRPIQCKKUXEIH-LXZKKBNFSA-N 0 3 321.436 4.244 20 0 DIADHN C[C@H](CCSc1ccccc1)N1CCO[C@@H](C(C)(C)C)C1 ZINC001194021070 1049301958 /nfs/dbraw/zinc/30/19/58/1049301958.db2.gz WOQSECIAFJUFEK-NVXWUHKLSA-N 0 3 307.503 4.304 20 0 DIADHN CO[C@H]1C[C@@H](CNC2(c3c(Cl)cccc3Cl)CCC2)C1 ZINC001194029265 1049302086 /nfs/dbraw/zinc/30/20/86/1049302086.db2.gz DGCZQLCWAWFHMA-TXEJJXNPSA-N 0 3 314.256 4.387 20 0 DIADHN COc1cccc(C[N@@H+](Cc2ccc([O-])c(F)c2)CC(C)C)c1 ZINC001180154551 1049302484 /nfs/dbraw/zinc/30/24/84/1049302484.db2.gz SZTSNEKPBUBINS-UHFFFAOYSA-N 0 3 317.404 4.198 20 0 DIADHN COc1cccc(C[N@H+](Cc2ccc([O-])c(F)c2)CC(C)C)c1 ZINC001180154551 1049302493 /nfs/dbraw/zinc/30/24/93/1049302493.db2.gz SZTSNEKPBUBINS-UHFFFAOYSA-N 0 3 317.404 4.198 20 0 DIADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2cccc3scnc32)cc1 ZINC000906204141 1049303801 /nfs/dbraw/zinc/30/38/01/1049303801.db2.gz HWPYMFKYBYJDBL-KPZWWZAWSA-N 0 3 310.422 4.013 20 0 DIADHN CC[C@@H]1CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)C[C@@H](C)O1 ZINC001204899541 1049303803 /nfs/dbraw/zinc/30/38/03/1049303803.db2.gz RHVKLTBZCQKMTL-WOSRLPQWSA-N 0 3 315.379 4.308 20 0 DIADHN Cc1cccc(CCC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001180168289 1049304291 /nfs/dbraw/zinc/30/42/91/1049304291.db2.gz BWRRYOPMJXJJSH-UHFFFAOYSA-N 0 3 307.418 4.343 20 0 DIADHN C[C@@H](CCN1CCO[C@@](C)(c2ccccc2)C1)c1ccccc1 ZINC001180230161 1049312700 /nfs/dbraw/zinc/31/27/00/1049312700.db2.gz ZFWAVMURGHGPNA-GHTZIAJQSA-N 0 3 309.453 4.428 20 0 DIADHN c1c(CN2CCCC3(CCCCC3)C2)[nH]nc1-c1ccccn1 ZINC001204924684 1049313277 /nfs/dbraw/zinc/31/32/77/1049313277.db2.gz ZYRHSAYKSIPNDC-UHFFFAOYSA-N 0 3 310.445 4.018 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC[C@H](Oc2ncccc2F)C1 ZINC001194066804 1049313429 /nfs/dbraw/zinc/31/34/29/1049313429.db2.gz QJTCADGLBJEROK-FUHWJXTLSA-N 0 3 314.404 4.215 20 0 DIADHN Fc1cc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)ccn1 ZINC000859172579 1049317477 /nfs/dbraw/zinc/31/74/77/1049317477.db2.gz YHRNAMOPRJEBGP-INIZCTEOSA-N 0 3 309.388 4.082 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000485708565 1049319500 /nfs/dbraw/zinc/31/95/00/1049319500.db2.gz ASFRQFGDRHMPJQ-YOEHRIQHSA-N 0 3 308.466 4.438 20 0 DIADHN Cc1ccc2ncc(CN[C@H](C)c3ccc4ncsc4c3)n2c1 ZINC000485710538 1049319762 /nfs/dbraw/zinc/31/97/62/1049319762.db2.gz NQKXDQBQFPKXNE-CYBMUJFWSA-N 0 3 322.437 4.103 20 0 DIADHN C[C@]1(CN2CCn3cccc3[C@@H]2c2ccccc2)CCCS1 ZINC001180245421 1049321305 /nfs/dbraw/zinc/32/13/05/1049321305.db2.gz XAZRGURRRZBGOF-RBUKOAKNSA-N 0 3 312.482 4.179 20 0 DIADHN C[C@H](NCc1ccc(F)c(F)c1)c1ccc2ncsc2c1 ZINC000485701901 1049321795 /nfs/dbraw/zinc/32/17/95/1049321795.db2.gz MLPGCXDLJWCSAG-JTQLQIEISA-N 0 3 304.365 4.425 20 0 DIADHN CC1(C)CN(CCCCc2cccc(C(F)(F)F)c2)CCO1 ZINC001180271761 1049325184 /nfs/dbraw/zinc/32/51/84/1049325184.db2.gz RZEUIPUBEJJFNJ-UHFFFAOYSA-N 0 3 315.379 4.139 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@H](c2ccc(F)cc2)CC1 ZINC001204969341 1049326614 /nfs/dbraw/zinc/32/66/14/1049326614.db2.gz XDVWSXLLIWVASR-HNNXBMFYSA-N 0 3 316.395 4.438 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CC[C@H](C2CCCCC2)C1 ZINC000668535769 1049326902 /nfs/dbraw/zinc/32/69/02/1049326902.db2.gz LDRCELGCGHOWEK-DOTOQJQBSA-N 0 3 320.502 4.397 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(O)ccc2Cl)C12CCCCC2 ZINC001194092920 1049327012 /nfs/dbraw/zinc/32/70/12/1049327012.db2.gz RXLCJMMHXYTQPY-IAGOWNOFSA-N 0 3 323.864 4.263 20 0 DIADHN Fc1ccc(CNC[C@H](c2ccccc2)C(F)(F)F)cc1F ZINC000485732518 1049328510 /nfs/dbraw/zinc/32/85/10/1049328510.db2.gz MNHDGLDBRYRTMJ-CYBMUJFWSA-N 0 3 315.285 4.401 20 0 DIADHN Cc1c(Br)cncc1CN1CC[C@@H](C(C)(C)C)C1 ZINC001180317711 1049332189 /nfs/dbraw/zinc/33/21/89/1049332189.db2.gz MSECKYYPTWFHHV-CYBMUJFWSA-N 0 3 311.267 4.021 20 0 DIADHN CCCCCCOC(=O)N(C1CCCC1)C1CCN(C)CC1 ZINC001194181331 1049334405 /nfs/dbraw/zinc/33/44/05/1049334405.db2.gz PTWAFVQULLPABP-UHFFFAOYSA-N 0 3 310.482 4.042 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(Cl)cc2F)C12CCCC2 ZINC001194177165 1049335516 /nfs/dbraw/zinc/33/55/16/1049335516.db2.gz ULYQLKCJCCRVDC-CVEARBPZSA-N 0 3 311.828 4.307 20 0 DIADHN CCCCCCOC(=O)Nc1ccccc1CN1CCCC1 ZINC001194185238 1049337442 /nfs/dbraw/zinc/33/74/42/1049337442.db2.gz HMVKDPFPDVCKCS-UHFFFAOYSA-N 0 3 304.434 4.411 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCC23CCC3)c(Br)c1 ZINC001205007503 1049338947 /nfs/dbraw/zinc/33/89/47/1049338947.db2.gz KMWYWNMJLSFCPR-NHYWBVRUSA-N 0 3 324.262 4.441 20 0 DIADHN c1ccc([C@H](NCc2cncc3ccccc32)C2CCC2)nc1 ZINC000859564964 1049340220 /nfs/dbraw/zinc/34/02/20/1049340220.db2.gz TXGGJSCPJHZVBJ-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1c(C2CCC2)cnn1C ZINC001205006983 1049340709 /nfs/dbraw/zinc/34/07/09/1049340709.db2.gz BRKLFZWKVQAMMX-UHFFFAOYSA-N 0 3 317.864 4.016 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cnn(C)c1 ZINC001205015159 1049341971 /nfs/dbraw/zinc/34/19/71/1049341971.db2.gz DODFCKGBKWNOBU-UHFFFAOYSA-N 0 3 319.452 4.074 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NCCOC1CCC1 ZINC000777119426 1049344044 /nfs/dbraw/zinc/34/40/44/1049344044.db2.gz AQSYOTCYRYQKSC-MRXNPFEDSA-N 0 3 309.837 4.021 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)N[C@H](C)[C@H](C)CC)n2)cc1 ZINC001205656993 1049347358 /nfs/dbraw/zinc/34/73/58/1049347358.db2.gz WLNWSKJLHBIWGF-MCIONIFRSA-N 0 3 301.434 4.384 20 0 DIADHN COc1ccc(CN2CCC(CCc3ccc(C)cc3)CC2)o1 ZINC001205039268 1049349961 /nfs/dbraw/zinc/34/99/61/1049349961.db2.gz JFHOUNFZXKENNM-UHFFFAOYSA-N 0 3 313.441 4.441 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc(-c2cnco2)cc1 ZINC000906525019 1049351176 /nfs/dbraw/zinc/35/11/76/1049351176.db2.gz IELNIEHORJEPSI-GOSISDBHSA-N 0 3 320.392 4.214 20 0 DIADHN Cc1c(Br)cncc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001180403646 1049351553 /nfs/dbraw/zinc/35/15/53/1049351553.db2.gz MFPATKGBVVFWEH-KGLIPLIRSA-N 0 3 323.278 4.165 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1c(C(F)(F)F)cnn1C ZINC001205053417 1049355530 /nfs/dbraw/zinc/35/55/30/1049355530.db2.gz UUIQHJXSKMMCKI-UHFFFAOYSA-N 0 3 319.415 4.333 20 0 DIADHN CC[C@@H](CN1CCN(c2ccccc2F)CC1)c1ccccc1 ZINC001180372705 1049356204 /nfs/dbraw/zinc/35/62/04/1049356204.db2.gz VZGXKIOCGOZKQI-KRWDZBQOSA-N 0 3 312.432 4.142 20 0 DIADHN Cc1ccc(OCCN(C)C/C=C\c2ccc(F)c(F)c2)cc1 ZINC001180438023 1049357482 /nfs/dbraw/zinc/35/74/82/1049357482.db2.gz UJBZXIYIQAAOSV-ARJAWSKDSA-N 0 3 317.379 4.297 20 0 DIADHN COc1ccc(CN(C)Cc2cc3cc(Cl)cnc3o2)cc1 ZINC001180422273 1049360320 /nfs/dbraw/zinc/36/03/20/1049360320.db2.gz GXWGCKAGDGCEDD-UHFFFAOYSA-N 0 3 316.788 4.122 20 0 DIADHN CN(Cc1ccc(-c2cccc(F)c2)s1)CC1=CCCOC1 ZINC000668568323 1049366105 /nfs/dbraw/zinc/36/61/05/1049366105.db2.gz BKMSRXSEPQNBJU-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN Fc1c(CN2CC[C@H]3CCCC[C@@H]3C2)cccc1OC1CC1 ZINC001205083148 1049369323 /nfs/dbraw/zinc/36/93/23/1049369323.db2.gz UURNMGBWKORNIT-HUUCEWRRSA-N 0 3 303.421 4.379 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@@H]1Cc1ccccc1 ZINC001180520366 1049370251 /nfs/dbraw/zinc/37/02/51/1049370251.db2.gz FZIRIPKWESQEAB-OAHLLOKOSA-N 0 3 315.848 4.148 20 0 DIADHN CNc1ccc(C)cc1CN(C)Cc1ccc(OC(F)F)cc1 ZINC001180521846 1049371122 /nfs/dbraw/zinc/37/11/22/1049371122.db2.gz LRELOCMLZSCWDV-UHFFFAOYSA-N 0 3 320.383 4.270 20 0 DIADHN CC[C@H](C)N(Cc1cc(-c2ccccn2)n[nH]1)Cc1ccccc1 ZINC001205094432 1049373688 /nfs/dbraw/zinc/37/36/88/1049373688.db2.gz VCXGHVYYWJQWNF-INIZCTEOSA-N 0 3 320.440 4.272 20 0 DIADHN Nc1ccnc2cc(-c3ccc(O)cc3C(F)(F)F)ccc12 ZINC001205097788 1049375345 /nfs/dbraw/zinc/37/53/45/1049375345.db2.gz IQBFIYDHJSEBIK-UHFFFAOYSA-N 0 3 304.271 4.208 20 0 DIADHN COC[C@@H](Nc1ccnc2ccccc21)c1ccc(Cl)cc1 ZINC000486014957 1049377642 /nfs/dbraw/zinc/37/76/42/1049377642.db2.gz HGGUQFNEVQWHQW-GOSISDBHSA-N 0 3 312.800 4.110 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(Cl)cc2Cl)C12CCC2 ZINC001194444440 1049378327 /nfs/dbraw/zinc/37/83/27/1049378327.db2.gz BKNVWDVFSCNWKR-HUUCEWRRSA-N 0 3 314.256 4.431 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cccc3c2NCCC3)cc1 ZINC001180540852 1049379500 /nfs/dbraw/zinc/37/95/00/1049379500.db2.gz VCOFNDCZWAOEOK-HNNXBMFYSA-N 0 3 310.441 4.246 20 0 DIADHN CC(C)O[C@@H](CN(C)Cc1ccc(F)cc1)c1ccccc1 ZINC001180565948 1049380298 /nfs/dbraw/zinc/38/02/98/1049380298.db2.gz KZJWYMINZVTEKX-IBGZPJMESA-N 0 3 301.405 4.424 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCN(C)C2(CCCCC2)C1 ZINC001194476731 1049381417 /nfs/dbraw/zinc/38/14/17/1049381417.db2.gz BXJKFNGDHBNVDA-HNNXBMFYSA-N 0 3 306.881 4.351 20 0 DIADHN Cc1cc(C)cc(OCC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001180543642 1049381447 /nfs/dbraw/zinc/38/14/47/1049381447.db2.gz KZHLXLQLFLRYET-UHFFFAOYSA-N 0 3 323.417 4.097 20 0 DIADHN CC(C)c1cccc(CN(C)C[C@@H]2COc3ccccc3O2)c1 ZINC001180566791 1049383485 /nfs/dbraw/zinc/38/34/85/1049383485.db2.gz WGQIFEPNKIBCMN-GOSISDBHSA-N 0 3 311.425 4.082 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCSC[C@H]1C1CC1 ZINC001194519895 1049385208 /nfs/dbraw/zinc/38/52/08/1049385208.db2.gz VXOPEUHYTHYQRL-KRWDZBQOSA-N 0 3 305.487 4.146 20 0 DIADHN CC(C)OC(=O)C[C@@H]1CCCN(Cc2cccc(C3CC3)c2)C1 ZINC001180586219 1049387261 /nfs/dbraw/zinc/38/72/61/1049387261.db2.gz STKJQONFAAHHLA-KRWDZBQOSA-N 0 3 315.457 4.118 20 0 DIADHN CCCc1ccc(CN2CCC[C@H](CC(=O)OC(C)C)C2)s1 ZINC001180584061 1049387336 /nfs/dbraw/zinc/38/73/36/1049387336.db2.gz GJRLIVIKEISVHO-OAHLLOKOSA-N 0 3 323.502 4.254 20 0 DIADHN CC(C)CCC[C@H](C)N[C@H](CC(N)=O)c1ccccc1Cl ZINC001180697278 1049397613 /nfs/dbraw/zinc/39/76/13/1049397613.db2.gz FTLBJGRYEDMHMH-XJKSGUPXSA-N 0 3 310.869 4.061 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1cccc(C2CC2)c1 ZINC001205161775 1049398700 /nfs/dbraw/zinc/39/87/00/1049398700.db2.gz IFNTURYCQUVEMX-UHFFFAOYSA-N 0 3 309.409 4.315 20 0 DIADHN CC[C@@](C)(CC(=O)OC)NCc1ccc(Cl)c(C)c1Cl ZINC001180699069 1049400400 /nfs/dbraw/zinc/40/04/00/1049400400.db2.gz UQOOFNHMFGDMID-HNNXBMFYSA-N 0 3 318.244 4.123 20 0 DIADHN Cc1cc(F)c(CN2CCC[C@@H]2Cc2ccccc2)c(F)c1 ZINC001205178021 1049403964 /nfs/dbraw/zinc/40/39/64/1049403964.db2.gz PRPBNCXHEORQLF-MRXNPFEDSA-N 0 3 301.380 4.480 20 0 DIADHN Cc1ccc(F)cc1CN(C)Cc1ccc(OC(F)F)cc1 ZINC001205177376 1049404123 /nfs/dbraw/zinc/40/41/23/1049404123.db2.gz BZTRIXXHPJUPIQ-UHFFFAOYSA-N 0 3 309.331 4.368 20 0 DIADHN Cc1cccc(C2=CCN(C[C@H]3C[C@]3(C)Br)CC2)c1 ZINC001180768545 1049404912 /nfs/dbraw/zinc/40/49/12/1049404912.db2.gz GRWCIJSUDGSDKE-SJORKVTESA-N 0 3 320.274 4.258 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Sc3ccncc3)C2)ccc1F ZINC001205187334 1049405227 /nfs/dbraw/zinc/40/52/27/1049405227.db2.gz YNGUPAAAZQHHAS-QGZVFWFLSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cc(CN2CCC[C@H](Sc3ccncc3)C2)ccc1F ZINC001205187333 1049405623 /nfs/dbraw/zinc/40/56/23/1049405623.db2.gz YNGUPAAAZQHHAS-KRWDZBQOSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)cs1 ZINC001194615520 1049406584 /nfs/dbraw/zinc/40/65/84/1049406584.db2.gz GUAVYPRBFRBZRS-ZDUSSCGKSA-N 0 3 315.417 4.232 20 0 DIADHN Cc1cc(CN2CCC3(Cc4ccccc4O3)CC2)ccc1F ZINC001205193300 1049407804 /nfs/dbraw/zinc/40/78/04/1049407804.db2.gz WEQUUDKMADZETN-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN CC(C)(NC[C@@H]1CC2(CO1)CCCCC2)c1ccccc1F ZINC001194682668 1049411339 /nfs/dbraw/zinc/41/13/39/1049411339.db2.gz DXYZKHOGFKNWIN-HNNXBMFYSA-N 0 3 305.437 4.390 20 0 DIADHN O=c1[nH]c2cccc(CN3CCCC[C@@H]3c3ccccc3)c2o1 ZINC001205229029 1049413181 /nfs/dbraw/zinc/41/31/81/1049413181.db2.gz BKLNDCODNWGUPZ-QGZVFWFLSA-N 0 3 308.381 4.261 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2C[C@@H](c3ccccc3)[C@@](F)(CO)C2)C1 ZINC001194731145 1049414484 /nfs/dbraw/zinc/41/44/84/1049414484.db2.gz BGIPMPYWAQUPCM-JYBIWHBTSA-N 0 3 319.464 4.003 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C[C@H](O)c1c(F)cccc1F ZINC001194791107 1049422166 /nfs/dbraw/zinc/42/21/66/1049422166.db2.gz GFVMAWLHWAQERP-WWGRRREGSA-N 0 3 311.416 4.145 20 0 DIADHN CCC(CC)N(CC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC001194782139 1049422458 /nfs/dbraw/zinc/42/24/58/1049422458.db2.gz NHOXWVFKOPTULC-UHFFFAOYSA-N 0 3 317.260 4.442 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccccc1C(F)(F)F)CC2 ZINC000668615236 1049423446 /nfs/dbraw/zinc/42/34/46/1049423446.db2.gz KVKNYHLISMQIQC-INIZCTEOSA-N 0 3 307.315 4.188 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1CC2(CCC2)CO1 ZINC001194792463 1049423880 /nfs/dbraw/zinc/42/38/80/1049423880.db2.gz SGHKFNGLJVLPAB-DOTOQJQBSA-N 0 3 303.446 4.037 20 0 DIADHN CC[C@H](C)COC(=O)Nc1ccccc1CN1CCCCC1 ZINC001194800430 1049429118 /nfs/dbraw/zinc/42/91/18/1049429118.db2.gz JJLRNQLFXIWNBE-HNNXBMFYSA-N 0 3 304.434 4.267 20 0 DIADHN CC[C@H]1CCN(Cc2cccc(Br)c2OC)[C@@H]1C ZINC001181041640 1049430070 /nfs/dbraw/zinc/43/00/70/1049430070.db2.gz AOSBVLCYCVGBOC-NEPJUHHUSA-N 0 3 312.251 4.078 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C3CC3)C2)c(Br)c1 ZINC001194808555 1049432401 /nfs/dbraw/zinc/43/24/01/1049432401.db2.gz YYTNGQVYUWYSBY-CYBMUJFWSA-N 0 3 324.262 4.080 20 0 DIADHN CSc1ccc(CN2CCC([C@@H]3CCCCO3)CC2)s1 ZINC000668628459 1049432991 /nfs/dbraw/zinc/43/29/91/1049432991.db2.gz XSUFYCPYOXIWOG-HNNXBMFYSA-N 0 3 311.516 4.251 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC[C@@H](C)O[C@@H](C)C3)s2)cc1 ZINC000668626123 1049433067 /nfs/dbraw/zinc/43/30/67/1049433067.db2.gz RCMUPWBGTYLPGY-CABCVRRESA-N 0 3 316.470 4.118 20 0 DIADHN COc1ccc(CN2Cc3ccccc3OC(C)(C)C2)cc1C ZINC001194816738 1049433663 /nfs/dbraw/zinc/43/36/63/1049433663.db2.gz GDOVPJVDDLUMOO-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)N(C)[C@H](C)c1ccc(Cl)cc1 ZINC001194873524 1049440394 /nfs/dbraw/zinc/44/03/94/1049440394.db2.gz IKIKGBRESLRNHT-HUUCEWRRSA-N 0 3 324.896 4.370 20 0 DIADHN Cc1cccc(C2=CCN(Cc3ccc(-c4cc[nH]n4)o3)CC2)c1 ZINC001205360204 1049450974 /nfs/dbraw/zinc/45/09/74/1049450974.db2.gz OGQLCVJRLWEKNJ-UHFFFAOYSA-N 0 3 319.408 4.267 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(F)c3n[nH]cc32)c1F ZINC001205371794 1049454293 /nfs/dbraw/zinc/45/42/93/1049454293.db2.gz XTVABLZGAOWFOX-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN CSCCCCCCNCc1cncc(Cl)c1Cl ZINC001205389001 1049456976 /nfs/dbraw/zinc/45/69/76/1049456976.db2.gz PVGNFZNDSVIXNN-UHFFFAOYSA-N 0 3 307.290 4.401 20 0 DIADHN C[C@H](NCc1cc2ccc(F)cc2[nH]1)c1ccc(F)c(F)c1 ZINC000486706442 1049457186 /nfs/dbraw/zinc/45/71/86/1049457186.db2.gz GAHUZJBTJVQEAM-JTQLQIEISA-N 0 3 304.315 4.436 20 0 DIADHN COCCOc1cccc(CN[C@@H](C)c2cc3ccccc3[nH]2)c1 ZINC000775622453 1049457984 /nfs/dbraw/zinc/45/79/84/1049457984.db2.gz WASWRKXMSFNBPH-HNNXBMFYSA-N 0 3 324.424 4.044 20 0 DIADHN COc1ccc(C2(NCc3cc4ccc(F)cc4[nH]3)CC2)cc1 ZINC000486710026 1049459953 /nfs/dbraw/zinc/45/99/53/1049459953.db2.gz IUPLDGFNCXELRP-UHFFFAOYSA-N 0 3 310.372 4.095 20 0 DIADHN Fc1ccc2cc(CN[C@H](c3ccccc3)[C@H]3CCCO3)[nH]c2c1 ZINC000486708788 1049459969 /nfs/dbraw/zinc/45/99/69/1049459969.db2.gz KJUJGGSCEWAPBX-WOJBJXKFSA-N 0 3 324.399 4.317 20 0 DIADHN c1coc([C@H]2N(Cc3cccc4[nH]ccc43)CC23CCOCC3)c1 ZINC000668673153 1049461090 /nfs/dbraw/zinc/46/10/90/1049461090.db2.gz JEAYONWKJMFVIT-LJQANCHMSA-N 0 3 322.408 4.115 20 0 DIADHN CO[C@@H](CNCc1cc(F)c(Cl)cc1F)C1CCCCC1 ZINC001181337029 1049463060 /nfs/dbraw/zinc/46/30/60/1049463060.db2.gz MTRSMIQGGIDZTC-INIZCTEOSA-N 0 3 317.807 4.303 20 0 DIADHN CCc1ccc([C@H]2CN(C[C@H]3CCc4ccccc43)CCO2)cc1 ZINC001205411595 1049464131 /nfs/dbraw/zinc/46/41/31/1049464131.db2.gz IRAMAAXNFMGQTE-IFMALSPDSA-N 0 3 321.464 4.352 20 0 DIADHN CSc1ccccc1CN(C)CCc1ccc(F)cc1F ZINC001181361538 1049466117 /nfs/dbraw/zinc/46/61/17/1049466117.db2.gz RQIBKNFZFWXWJV-UHFFFAOYSA-N 0 3 307.409 4.361 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000486779245 1049468864 /nfs/dbraw/zinc/46/88/64/1049468864.db2.gz NZZVBNLOFXYEMP-WMLDXEAASA-N 0 3 302.462 4.143 20 0 DIADHN Fc1cccc(OC(F)F)c1CNC[C@H]1C[C@H]1c1ccccc1 ZINC001181383660 1049470613 /nfs/dbraw/zinc/47/06/13/1049470613.db2.gz YBAZEPOIMGMVIT-KGLIPLIRSA-N 0 3 321.342 4.320 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@H]1CCC(C)C ZINC000486796789 1049474258 /nfs/dbraw/zinc/47/42/58/1049474258.db2.gz UOOKKHKEOWFPDV-SFHVURJKSA-N 0 3 316.489 4.397 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H]1CS[C@H](C(C)(C)C)C1 ZINC001205453712 1049474593 /nfs/dbraw/zinc/47/45/93/1049474593.db2.gz NPURGDUUYCBEKP-LRDDRELGSA-N 0 3 319.470 4.451 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000486796891 1049474815 /nfs/dbraw/zinc/47/48/15/1049474815.db2.gz VRIMEJYNYVKPTG-GXTWGEPZSA-N 0 3 305.447 4.199 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@H]2C[C@@H]3CCC[C@@H]32)n1 ZINC001181399763 1049475629 /nfs/dbraw/zinc/47/56/29/1049475629.db2.gz MMBSHGFCBDBDKJ-HUBLWGQQSA-N 0 3 302.418 4.227 20 0 DIADHN Cc1ncsc1CN1CCC(Cc2cc(F)ccc2F)CC1 ZINC001195094910 1049476228 /nfs/dbraw/zinc/47/62/28/1049476228.db2.gz XEJLIKZSXWXMOV-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN COc1ccc([C@H](NCc2cccc(OC)c2F)C(C)C)cc1 ZINC000192662718 1049476692 /nfs/dbraw/zinc/47/66/92/1049476692.db2.gz UFAAWKVFCJRCAS-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(OC)c2F)C(C)C)cc1 ZINC000192662703 1049477148 /nfs/dbraw/zinc/47/71/48/1049477148.db2.gz UFAAWKVFCJRCAS-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN C[C@@H](N[C@H](C)[C@H]1COC(C)(C)O1)c1cc(Cl)ccc1Cl ZINC001205470869 1049479639 /nfs/dbraw/zinc/47/96/39/1049479639.db2.gz DOIZBEZQMYXIPR-GPCCPHFNSA-N 0 3 318.244 4.184 20 0 DIADHN CC[C@@H](C)[C@@H](CNC(C)(C)c1cccc(Cl)c1F)OC ZINC001205477762 1049481127 /nfs/dbraw/zinc/48/11/27/1049481127.db2.gz JCHFPGOBAYXZGN-BXUZGUMPSA-N 0 3 301.833 4.365 20 0 DIADHN C[C@H](CN1CCC[C@@H](OCC2CC2)C1)c1cccc(Cl)c1 ZINC001205486816 1049482412 /nfs/dbraw/zinc/48/24/12/1049482412.db2.gz VGVNMTBDJNHGTJ-RDTXWAMCSA-N 0 3 307.865 4.335 20 0 DIADHN C[C@@H](CN1CCC[C@H](OCC2CC2)C1)c1cccc(Cl)c1 ZINC001205486815 1049482916 /nfs/dbraw/zinc/48/29/16/1049482916.db2.gz VGVNMTBDJNHGTJ-KSSFIOAISA-N 0 3 307.865 4.335 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@](C)(O)[C@H](C)C1 ZINC001204298981 1049484331 /nfs/dbraw/zinc/48/43/31/1049484331.db2.gz YXZXVCIFFPLRFN-XLIONFOSSA-N 0 3 319.489 4.239 20 0 DIADHN CC(C)(C)OC(=O)N1CCN(CCC2=CCCCC2)CC1(C)C ZINC001195186262 1049485481 /nfs/dbraw/zinc/48/54/81/1049485481.db2.gz AWZRGLFFMATMJS-UHFFFAOYSA-N 0 3 322.493 4.208 20 0 DIADHN Cn1cc(CN2CCC(c3ccsc3)CC2)c(C(C)(C)C)n1 ZINC000486904486 1049490128 /nfs/dbraw/zinc/49/01/28/1049490128.db2.gz BBNSQAXMHYCCGA-UHFFFAOYSA-N 0 3 317.502 4.159 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@@H](C)c1ccccc1Cl ZINC001195214376 1049497531 /nfs/dbraw/zinc/49/75/31/1049497531.db2.gz UIUOKYMGDKCWTD-RZAIGCCYSA-N 0 3 301.817 4.303 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@@H](C2CCC2)C1 ZINC000668718465 1049499719 /nfs/dbraw/zinc/49/97/19/1049499719.db2.gz NNOJWLQCQDFKBP-ZBFHGGJFSA-N 0 3 306.475 4.007 20 0 DIADHN FC(F)(F)c1cccc(C2(NC/C=C\c3ccncc3)CC2)c1 ZINC000486980245 1049501938 /nfs/dbraw/zinc/50/19/38/1049501938.db2.gz IDODTMOLXWAUEL-IHWYPQMZSA-N 0 3 318.342 4.393 20 0 DIADHN Clc1cncc(CN[C@H]2C[C@H](c3ccccc3)C2)c1Cl ZINC001205576555 1049502268 /nfs/dbraw/zinc/50/22/68/1049502268.db2.gz ZSDMAQNPDFWMEC-MQMHXKEQSA-N 0 3 307.224 4.424 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OCC2CCCCC2)C1 ZINC001205579909 1049503193 /nfs/dbraw/zinc/50/31/93/1049503193.db2.gz RJDRMIWUFAKKMA-AEFFLSMTSA-N 0 3 302.462 4.204 20 0 DIADHN c1cc2cc(CN3CCC4(Cc5ccccc5O4)CC3)ccc2[nH]1 ZINC001205600143 1049507033 /nfs/dbraw/zinc/50/70/33/1049507033.db2.gz YQYYHEPVFUEHQS-UHFFFAOYSA-N 0 3 318.420 4.138 20 0 DIADHN c1cn2c(CNCc3ccccc3)c(-c3ccccc3)nc2s1 ZINC000014203096 1049507382 /nfs/dbraw/zinc/50/73/82/1049507382.db2.gz LHAZJUAFIDBPEN-UHFFFAOYSA-N 0 3 319.433 4.353 20 0 DIADHN COc1cccc(C2(NCc3cc(C)c(O)c(C)c3)CCC2)c1 ZINC000668726006 1049508400 /nfs/dbraw/zinc/50/84/00/1049508400.db2.gz KLJRNFMYTAPIEH-UHFFFAOYSA-N 0 3 311.425 4.187 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001205609594 1049510669 /nfs/dbraw/zinc/51/06/69/1049510669.db2.gz WOGYPGPXRMNECD-FQEVSTJZSA-N 0 3 309.453 4.413 20 0 DIADHN COc1ncc(CN2CCC[C@](C)(c3ccccc3)CC2)s1 ZINC001181698680 1049511772 /nfs/dbraw/zinc/51/17/72/1049511772.db2.gz WBVFUQTUPYQEGU-SFHVURJKSA-N 0 3 316.470 4.096 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)[C@H](C)[C@H]3C)co2)cc1 ZINC001195290415 1049513360 /nfs/dbraw/zinc/51/33/60/1049513360.db2.gz WMJJOEOSYQXYJY-SOUVJXGZSA-N 0 3 314.429 4.217 20 0 DIADHN COc1ccc(-c2ccc(CNCc3ccncc3)cc2)cc1 ZINC000174575158 1049514099 /nfs/dbraw/zinc/51/40/99/1049514099.db2.gz YGYPPLQSYYTUBG-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cccnc3C)cc2)cc1 ZINC000174575984 1049514708 /nfs/dbraw/zinc/51/47/08/1049514708.db2.gz OYRCHBGAMUXLPM-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCCCOc1ccccc1CN(C)[C@@H]1C[C@@H](OC)C12CCC2 ZINC001181797007 1049519293 /nfs/dbraw/zinc/51/92/93/1049519293.db2.gz SEKRJXPBLSRPHV-RTBURBONSA-N 0 3 317.473 4.255 20 0 DIADHN c1coc(-c2ncc(CNCc3cccc4cc[nH]c43)s2)c1 ZINC000487161698 1049522503 /nfs/dbraw/zinc/52/25/03/1049522503.db2.gz UPECYKQUIWUILS-UHFFFAOYSA-N 0 3 309.394 4.174 20 0 DIADHN CC[C@@](C)(CN(CCC(F)(F)F)Cc1ccccc1)OC ZINC001205656589 1049524284 /nfs/dbraw/zinc/52/42/84/1049524284.db2.gz PYRWBZFMEPRRND-HNNXBMFYSA-N 0 3 303.368 4.256 20 0 DIADHN CC[C@](C)(CN(CCC(F)(F)F)Cc1ccccc1)OC ZINC001205656591 1049524415 /nfs/dbraw/zinc/52/44/15/1049524415.db2.gz PYRWBZFMEPRRND-OAHLLOKOSA-N 0 3 303.368 4.256 20 0 DIADHN C[C@@]1(Cc2cccc(F)c2)CCCN1Cc1ccc(Cl)nc1 ZINC001195393459 1049525008 /nfs/dbraw/zinc/52/50/08/1049525008.db2.gz JDDBIPCXENHFEJ-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN COc1cc(CN2CC(c3ccc(Cl)cc3)C2)ccc1C ZINC001181829398 1049527682 /nfs/dbraw/zinc/52/76/82/1049527682.db2.gz ZHTRNMKOKVBCJK-UHFFFAOYSA-N 0 3 301.817 4.256 20 0 DIADHN CCCOc1ccc(CN2CC[C@H](Oc3ccccc3)C2)cc1 ZINC001204309352 1049528853 /nfs/dbraw/zinc/52/88/53/1049528853.db2.gz SJVLNGZNVSPFIB-FQEVSTJZSA-N 0 3 311.425 4.129 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1ccc(F)c(OC)c1 ZINC001205692757 1049535529 /nfs/dbraw/zinc/53/55/29/1049535529.db2.gz KDUPCDOAQHENJB-SFHVURJKSA-N 0 3 317.404 4.426 20 0 DIADHN C[C@H]1CCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C[C@H]1O ZINC001205692077 1049536395 /nfs/dbraw/zinc/53/63/95/1049536395.db2.gz CBWLSELDYOYDCG-IFXJQAMLSA-N 0 3 315.844 4.210 20 0 DIADHN c1nocc1CN1CCC(c2ccccc2)(c2ccccc2)CC1 ZINC001205697528 1049538246 /nfs/dbraw/zinc/53/82/46/1049538246.db2.gz XWJLNIOPDLYWQW-UHFFFAOYSA-N 0 3 318.420 4.257 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C3CCCC3)cc2)cc1 ZINC001205710448 1049540075 /nfs/dbraw/zinc/54/00/75/1049540075.db2.gz BYXNUJWIJUAONM-UHFFFAOYSA-N 0 3 323.436 4.421 20 0 DIADHN CC(C)(NCc1cnc(-c2ccco2)s1)c1cccs1 ZINC000487266356 1049540251 /nfs/dbraw/zinc/54/02/51/1049540251.db2.gz AWDHNLITZWHADR-UHFFFAOYSA-N 0 3 304.440 4.490 20 0 DIADHN Clc1ccccc1CC1CN(Cc2ccc3cc[nH]c3c2)C1 ZINC001205707203 1049541428 /nfs/dbraw/zinc/54/14/28/1049541428.db2.gz ZRHIEUMJHWWKEN-UHFFFAOYSA-N 0 3 310.828 4.496 20 0 DIADHN CC(C)[C@H](NC/C=C/c1ccncc1)c1ccc(F)cc1F ZINC000487291968 1049546368 /nfs/dbraw/zinc/54/63/68/1049546368.db2.gz FYXRYROVBBTGLY-WHLIDYPQSA-N 0 3 302.368 4.360 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1cnc(-c2ccco2)s1 ZINC000487307854 1049548551 /nfs/dbraw/zinc/54/85/51/1049548551.db2.gz GWOPSXKEYHNDKP-PXAZEXFGSA-N 0 3 310.422 4.426 20 0 DIADHN C[C@@H](NCc1nccn1CCCc1ccccc1)[C@H]1CC1(C)C ZINC000487309728 1049549034 /nfs/dbraw/zinc/54/90/34/1049549034.db2.gz LPPVEHDFKHPDRD-SJLPKXTDSA-N 0 3 311.473 4.040 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1n[nH]c(C)c1C ZINC001205750216 1049552168 /nfs/dbraw/zinc/55/21/68/1049552168.db2.gz IYAPJBPFBRRHND-SCLBCKFNSA-N 0 3 313.445 4.008 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NCc2sccc2Cl)C1 ZINC000487330525 1049554309 /nfs/dbraw/zinc/55/43/09/1049554309.db2.gz VMZRPFQGUVDVNK-HIFRSBDPSA-N 0 3 320.889 4.327 20 0 DIADHN Cc1[nH]nc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)c1C ZINC001181985210 1049555464 /nfs/dbraw/zinc/55/54/64/1049555464.db2.gz CVDKICIIZGXGKI-HNNXBMFYSA-N 0 3 321.877 4.047 20 0 DIADHN Fc1ccc(C2(NC/C=C/c3ccncc3)CCCC2)c(F)c1 ZINC000487555092 1049556587 /nfs/dbraw/zinc/55/65/87/1049556587.db2.gz DYCJFVPKBIXSBG-ONEGZZNKSA-N 0 3 314.379 4.432 20 0 DIADHN COCc1cc([C@H](C)NCc2ccc(F)c(C)c2)ccc1OC ZINC000119409443 1049557263 /nfs/dbraw/zinc/55/72/63/1049557263.db2.gz PADFOPPICYQUMS-AWEZNQCLSA-N 0 3 317.404 4.140 20 0 DIADHN CCc1cnc(CN2[C@H](C)C[C@@H](c3ccccc3)[C@@H]2C)s1 ZINC001182052832 1049566732 /nfs/dbraw/zinc/56/67/32/1049566732.db2.gz LCNBIVRXBKJAPG-JKIFEVAISA-N 0 3 300.471 4.472 20 0 DIADHN CCc1cnc(CN2[C@H](C)C[C@@H](c3ccccc3)[C@H]2C)s1 ZINC001182052830 1049566824 /nfs/dbraw/zinc/56/68/24/1049566824.db2.gz LCNBIVRXBKJAPG-CKEIUWERSA-N 0 3 300.471 4.472 20 0 DIADHN C[C@@H]1CN(C[C@@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)[C@H]1C ZINC001182062064 1049568604 /nfs/dbraw/zinc/56/86/04/1049568604.db2.gz KDSMRJUWVLMOSP-QLFBSQMISA-N 0 3 312.498 4.046 20 0 DIADHN C[C@@H]1CN(c2cccc(F)c2)CCN1Cc1cccc2ccoc21 ZINC001182114989 1049572095 /nfs/dbraw/zinc/57/20/95/1049572095.db2.gz DUYYPCCMXXTBHK-OAHLLOKOSA-N 0 3 324.399 4.283 20 0 DIADHN Cc1ccc(CN2CCc3ccccc3[C@@H](C)C2)c(Cl)n1 ZINC001195809146 1049573482 /nfs/dbraw/zinc/57/34/82/1049573482.db2.gz IHXSDWHNWCBAAM-ZDUSSCGKSA-N 0 3 300.833 4.205 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCC(C)(F)F)cc1F ZINC001195938407 1049584937 /nfs/dbraw/zinc/58/49/37/1049584937.db2.gz LKHQQOGXYNQMMZ-CQSZACIVSA-N 0 3 301.352 4.407 20 0 DIADHN CCN(Cc1ccc(Br)cc1)Cc1ccncc1C ZINC001205862638 1049585785 /nfs/dbraw/zinc/58/57/85/1049585785.db2.gz JHMVLOODRSBNEA-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CCOC[C@@H]1CCCN(CCc2cc(Cl)ccc2Cl)C1 ZINC000487928199 1049585888 /nfs/dbraw/zinc/58/58/88/1049585888.db2.gz IDFARVIZEHLSQB-CYBMUJFWSA-N 0 3 316.272 4.284 20 0 DIADHN c1cc(CN2CCC3(CCCCC3)CC2)c2c(c1)OCCO2 ZINC001195937808 1049586948 /nfs/dbraw/zinc/58/69/48/1049586948.db2.gz GHPPAWOITDOALU-UHFFFAOYSA-N 0 3 301.430 4.004 20 0 DIADHN Cc1cnccc1CN(C)[C@H](C)c1cccc(Br)c1 ZINC001205873922 1049589523 /nfs/dbraw/zinc/58/95/23/1049589523.db2.gz XEGLHRVLMJKLKR-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(OC(F)F)cc1)CC2 ZINC001195912233 1049590553 /nfs/dbraw/zinc/59/05/53/1049590553.db2.gz YHZQPMYZRAEQOR-UHFFFAOYSA-N 0 3 303.352 4.155 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)N[C@H](C)C(C)C)c1ccccc1 ZINC001182232448 1049591586 /nfs/dbraw/zinc/59/15/86/1049591586.db2.gz NAZUWMCFQFBSDP-SJLPKXTDSA-N 0 3 304.478 4.010 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@@H](c2ccccc2)C1 ZINC001205907320 1049597600 /nfs/dbraw/zinc/59/76/00/1049597600.db2.gz FYTDHFNNSBDASS-OAHLLOKOSA-N 0 3 315.848 4.320 20 0 DIADHN COC(=O)c1cc(CN[C@@H]2CCCc3ccc(C)cc32)cs1 ZINC001205927339 1049605344 /nfs/dbraw/zinc/60/53/44/1049605344.db2.gz WALICVPCGCMGTN-MRXNPFEDSA-N 0 3 315.438 4.010 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1nc(Br)cs1 ZINC001182298589 1049610382 /nfs/dbraw/zinc/61/03/82/1049610382.db2.gz NTQRMSGTWPBACS-UWVGGRQHSA-N 0 3 317.296 4.210 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1C[C@H](O)C[C@@H]1c1ccccc1 ZINC001182299148 1049610099 /nfs/dbraw/zinc/61/00/99/1049610099.db2.gz VVLWAFHHHBVBPG-QZTJIDSGSA-N 0 3 313.441 4.193 20 0 DIADHN CC1(C)CC[C@@H](CN(Cc2ccccc2)[C@@H]2COC(C)(C)C2)O1 ZINC001196083678 1049610825 /nfs/dbraw/zinc/61/08/25/1049610825.db2.gz RVSWCRFUVWBVQT-ROUUACIJSA-N 0 3 317.473 4.014 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC(c3ncc[nH]3)CC2)c1 ZINC000488201675 1049612302 /nfs/dbraw/zinc/61/23/02/1049612302.db2.gz SXTABJHHTDQQLD-UHFFFAOYSA-N 0 3 324.255 4.139 20 0 DIADHN CSc1ccc(F)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001205963256 1049613618 /nfs/dbraw/zinc/61/36/18/1049613618.db2.gz VKVNUVRZKCMRGO-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN CC[C@H](NC[C@H](C)CC(C)(C)C)c1nnc2n1CCCCC2 ZINC001196095677 1049614419 /nfs/dbraw/zinc/61/44/19/1049614419.db2.gz ZZBYRFLORAVPOD-CABCVRRESA-N 0 3 306.498 4.118 20 0 DIADHN COc1ccc(-c2cc(F)ccc2SC)cc1CN(C)C ZINC001205984982 1049619193 /nfs/dbraw/zinc/61/91/93/1049619193.db2.gz ZQYYOMDNXIRANF-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OC[C@@H](C)c2ccccc2)C1 ZINC001206010449 1049628195 /nfs/dbraw/zinc/62/81/95/1049628195.db2.gz HBMZDFCDIODIFE-GGPKGHCWSA-N 0 3 324.468 4.427 20 0 DIADHN CSCCCN[C@@H](C)c1cc(F)c(Br)cc1F ZINC001196226429 1049632379 /nfs/dbraw/zinc/63/23/79/1049632379.db2.gz DIVFRCIECSWDNW-QMMMGPOBSA-N 0 3 324.234 4.131 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc3c(c2)CCC3)cc1F ZINC001196248432 1049632266 /nfs/dbraw/zinc/63/22/66/1049632266.db2.gz ZKYQBYUTFHRYPZ-UHFFFAOYSA-N 0 3 313.416 4.348 20 0 DIADHN Fc1ccc(C2CCN(CCc3ccccc3Cl)CC2)nc1 ZINC001196263656 1049634964 /nfs/dbraw/zinc/63/49/64/1049634964.db2.gz QPMBAFUPCLAXTG-UHFFFAOYSA-N 0 3 318.823 4.296 20 0 DIADHN COc1cccnc1CN[C@@H]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC001182389547 1049641321 /nfs/dbraw/zinc/64/13/21/1049641321.db2.gz ZTKCCPZLCQMFKJ-HZPDHXFCSA-N 0 3 316.832 4.170 20 0 DIADHN COc1cccnc1CN[C@@H]1CCC[C@H]1c1ccc(Cl)cc1 ZINC001182389549 1049641372 /nfs/dbraw/zinc/64/13/72/1049641372.db2.gz ZTKCCPZLCQMFKJ-JKSUJKDBSA-N 0 3 316.832 4.170 20 0 DIADHN CC1(C)CCC[C@H](CNCc2ncc(C(F)(F)F)s2)C1 ZINC001182387258 1049641444 /nfs/dbraw/zinc/64/14/44/1049641444.db2.gz CZRAPZJLNLIKBE-JTQLQIEISA-N 0 3 306.397 4.468 20 0 DIADHN Cc1ccc(CN(CC(=O)NCCC(C)(C)C)C(C)(C)C)cc1 ZINC001196339809 1049645779 /nfs/dbraw/zinc/64/57/79/1049645779.db2.gz XJGXLPONESLRQK-UHFFFAOYSA-N 0 3 318.505 4.148 20 0 DIADHN CCOC1(C)CCN(Cc2cc(Cl)nc3ccccc23)CC1 ZINC000794642126 1049648194 /nfs/dbraw/zinc/64/81/94/1049648194.db2.gz PVURTIXSCOYPEY-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1nc2ccccc2o1)[C@H](O)c1ccccc1 ZINC000794609770 1049648373 /nfs/dbraw/zinc/64/83/73/1049648373.db2.gz FTOURXRCLAIAPJ-ZOCIIQOWSA-N 0 3 324.424 4.237 20 0 DIADHN CCn1cnc(Cl)c1CN1CC[C@@H](c2ccccc2)C1(C)C ZINC001182433486 1049649327 /nfs/dbraw/zinc/64/93/27/1049649327.db2.gz JEPMQCVHOKWSGP-HNNXBMFYSA-N 0 3 317.864 4.325 20 0 DIADHN CNc1ccc(C)cc1CN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC001205773572 1049653154 /nfs/dbraw/zinc/65/31/54/1049653154.db2.gz ODMHVTBCDZNEOM-CABCVRRESA-N 0 3 313.272 4.052 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCCNc3ccccc31)CCC2 ZINC000774356792 1049656942 /nfs/dbraw/zinc/65/69/42/1049656942.db2.gz XFDSKEPWGCQXGW-NVXWUHKLSA-N 0 3 313.470 4.366 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2CCOc3ccc(F)cc32)CS1 ZINC000488452759 1049658308 /nfs/dbraw/zinc/65/83/08/1049658308.db2.gz BGZDELRTSUWOAX-NOLJZWGESA-N 0 3 309.450 4.159 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCc2ccsc2)[n-]1 ZINC000488480846 1049661487 /nfs/dbraw/zinc/66/14/87/1049661487.db2.gz QCHXKQPADBBICT-YNEHKIRRSA-N 0 3 306.479 4.052 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2nc3ccccc3n2C)c1 ZINC000774435163 1049662763 /nfs/dbraw/zinc/66/27/63/1049662763.db2.gz QMXNOLVDGTWUBI-MRXNPFEDSA-N 0 3 323.440 4.001 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@@H](C(=O)OC)[C@@H](C)C2)cc1 ZINC001196507320 1049664501 /nfs/dbraw/zinc/66/45/01/1049664501.db2.gz XIRRDJGCUIIXIR-MAUKXSAKSA-N 0 3 317.473 4.005 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2ccc(F)cc2C)o1 ZINC000488513329 1049665475 /nfs/dbraw/zinc/66/54/75/1049665475.db2.gz HHZWFWRSEGAMAP-UHFFFAOYSA-N 0 3 313.376 4.058 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCc2cccc(F)c2)[n-]1 ZINC000488507702 1049666274 /nfs/dbraw/zinc/66/62/74/1049666274.db2.gz RNJXFOGYTDMRMD-BFHYXJOUSA-N 0 3 318.440 4.129 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCc2cccc(F)c2)[n-]1 ZINC000488507704 1049666872 /nfs/dbraw/zinc/66/68/72/1049666872.db2.gz RNJXFOGYTDMRMD-MELADBBJSA-N 0 3 318.440 4.129 20 0 DIADHN CC1(C)Cc2n[nH]cc2CN([C@@H]2CCCc3ccc(F)cc32)C1 ZINC001196535643 1049667391 /nfs/dbraw/zinc/66/73/91/1049667391.db2.gz MOWPVLVPJQZAJN-GOSISDBHSA-N 0 3 313.420 4.011 20 0 DIADHN CCC1CN(Cc2cccc(OCc3ccc(F)cc3F)c2)C1 ZINC001206150611 1049668926 /nfs/dbraw/zinc/66/89/26/1049668926.db2.gz BHQDHZMAXXDPEX-UHFFFAOYSA-N 0 3 317.379 4.386 20 0 DIADHN Cc1cncc(CN[C@H](C)c2cc(Cl)c(N)c(Cl)c2)c1 ZINC000774458616 1049670369 /nfs/dbraw/zinc/67/03/69/1049670369.db2.gz OQMSONROHNZRAQ-SNVBAGLBSA-N 0 3 310.228 4.130 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@H](Oc3ccccc3C)C2)s1 ZINC001206171443 1049675037 /nfs/dbraw/zinc/67/50/37/1049675037.db2.gz MVMHLLAMQQRIES-INIZCTEOSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1ccc(O[C@@H]2CCN(Cc3cccc(C(C)(C)C)c3)C2)nc1 ZINC001196576000 1049675704 /nfs/dbraw/zinc/67/57/04/1049675704.db2.gz ZZNNZDSTCRKDPR-LJQANCHMSA-N 0 3 324.468 4.341 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](O)c2cc3ccccc3s2)o1 ZINC000120162265 1049676735 /nfs/dbraw/zinc/67/67/35/1049676735.db2.gz PTZXSBGBELITNX-OCCSQVGLSA-N 0 3 301.411 4.187 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](O)c2cc3ccccc3s2)o1 ZINC000120162412 1049677409 /nfs/dbraw/zinc/67/74/09/1049677409.db2.gz PTZXSBGBELITNX-JSGCOSHPSA-N 0 3 301.411 4.187 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC001182499908 1049679371 /nfs/dbraw/zinc/67/93/71/1049679371.db2.gz QRDHUJFFLKUCOH-KSZLIROESA-N 0 3 301.792 4.034 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001182499914 1049679667 /nfs/dbraw/zinc/67/96/67/1049679667.db2.gz QRDHUJFFLKUCOH-SQNIBIBYSA-N 0 3 301.792 4.034 20 0 DIADHN CCN(CC(C)C)[C@@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000488591542 1049680468 /nfs/dbraw/zinc/68/04/68/1049680468.db2.gz GJUZTSNZVYVSKA-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCCC[C@H]2C2CCCCC2)[nH]1 ZINC001206231718 1049685100 /nfs/dbraw/zinc/68/51/00/1049685100.db2.gz BCJFJIUTQJATKJ-JKSUJKDBSA-N 0 3 318.509 4.331 20 0 DIADHN COC1(CN2CCc3cccc(Cl)c3C2)CCCCCC1 ZINC001206232602 1049685218 /nfs/dbraw/zinc/68/52/18/1049685218.db2.gz LYROSSRTHDMQKL-UHFFFAOYSA-N 0 3 307.865 4.438 20 0 DIADHN C[C@@]1(Cc2ccccc2F)CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC001182543250 1049685324 /nfs/dbraw/zinc/68/53/24/1049685324.db2.gz KKSICVWQRGHSCK-IBGZPJMESA-N 0 3 317.379 4.268 20 0 DIADHN C[C@@]1(Cc2ccccc2F)CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC001182543250 1049685334 /nfs/dbraw/zinc/68/53/34/1049685334.db2.gz KKSICVWQRGHSCK-IBGZPJMESA-N 0 3 317.379 4.268 20 0 DIADHN CCCN(CC)[C@@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000488732112 1049690025 /nfs/dbraw/zinc/69/00/25/1049690025.db2.gz ZMTAPXIGWIRQBU-KRWDZBQOSA-N 0 3 324.468 4.336 20 0 DIADHN CN(C)Cc1cnc(CN2CC[C@@H](CC(C)(C)C)C2(C)C)s1 ZINC001206256064 1049690858 /nfs/dbraw/zinc/69/08/58/1049690858.db2.gz JJOAKBNONJNNEP-AWEZNQCLSA-N 0 3 323.550 4.241 20 0 DIADHN Clc1cncc(CN2CCSC3(CCC3)CC2)c1Cl ZINC001206276649 1049696852 /nfs/dbraw/zinc/69/68/52/1049696852.db2.gz MQBNZAHUURIGJS-UHFFFAOYSA-N 0 3 317.285 4.250 20 0 DIADHN CCSc1cccc(CN2CCC[C@H](OC3CCC3)C2)c1 ZINC001182634352 1049692314 /nfs/dbraw/zinc/69/23/14/1049692314.db2.gz LAZFSVRLTVIVRW-KRWDZBQOSA-N 0 3 305.487 4.332 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1ccccc1F)c1cc(F)ccc1F ZINC000794797672 1049696179 /nfs/dbraw/zinc/69/61/79/1049696179.db2.gz PPUOSKGPRXYEFX-ROUUACIJSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000794797140 1049696391 /nfs/dbraw/zinc/69/63/91/1049696391.db2.gz ZUHVTHQDCJBWIS-MSOLQXFVSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@]2(CC2(F)F)C1 ZINC001182684541 1049697991 /nfs/dbraw/zinc/69/79/91/1049697991.db2.gz NBBRSFNXBHDDDY-KRWDZBQOSA-N 0 3 309.400 4.343 20 0 DIADHN Cc1cc(Br)c2c(c1)CCN(C[C@@H]1C[C@H]3C[C@H]3C1)C2 ZINC001196869603 1049699464 /nfs/dbraw/zinc/69/94/64/1049699464.db2.gz NMUMPNBUDSHAKE-YOWGUQMCSA-N 0 3 320.274 4.162 20 0 DIADHN COc1ccc(CN(C)C[C@@H]2CCC3(CCCCCC3)O2)cc1 ZINC001196869363 1049700073 /nfs/dbraw/zinc/70/00/73/1049700073.db2.gz JUABNYOEBLCBMN-IBGZPJMESA-N 0 3 317.473 4.399 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc3ccccc3c2)cc1 ZINC000102706037 1049702744 /nfs/dbraw/zinc/70/27/44/1049702744.db2.gz WEQKISGWJQIEQR-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN CC[C@@H]1COC(C)(C)CN1Cc1ccc(CC(F)(F)F)cc1 ZINC001182753463 1049706508 /nfs/dbraw/zinc/70/65/08/1049706508.db2.gz IIQVUOXGJDFHQN-OAHLLOKOSA-N 0 3 315.379 4.181 20 0 DIADHN COCc1cc(C)c(-c2ccc(CN(C)C3CC3)cn2)c(C)c1 ZINC001206311642 1049707300 /nfs/dbraw/zinc/70/73/00/1049707300.db2.gz OMYCWGWLSALOCL-UHFFFAOYSA-N 0 3 310.441 4.106 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2ccco2)cc1 ZINC001206308179 1049708170 /nfs/dbraw/zinc/70/81/70/1049708170.db2.gz PDOSYDGGNJJOQH-ASKNOMKYSA-N 0 3 311.425 4.403 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN2C[C@H]3CCCC[C@H]32)s1 ZINC001182743159 1049710814 /nfs/dbraw/zinc/71/08/14/1049710814.db2.gz MUTAONJOSVKIQH-IAQYHMDHSA-N 0 3 320.408 4.463 20 0 DIADHN C[C@H]1c2ccsc2CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000774545943 1049712009 /nfs/dbraw/zinc/71/20/09/1049712009.db2.gz CSULTRLLEKKPBE-XHDPSFHLSA-N 0 3 307.846 4.054 20 0 DIADHN COc1cc(CN2CC[C@@H](c3cc(C)ccn3)C2)ccc1Cl ZINC000570293170 1049714610 /nfs/dbraw/zinc/71/46/10/1049714610.db2.gz XLHXPVHMMLWSSH-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN Fc1ccc(CCN2CCC[C@H](CC(F)(F)F)C2)c(F)c1 ZINC001206341608 1049716117 /nfs/dbraw/zinc/71/61/17/1049716117.db2.gz AQTSZLQEJVEQOP-LLVKDONJSA-N 0 3 307.306 4.172 20 0 DIADHN COc1ccccc1[C@H](C)NCCOc1ccc(Cl)c(F)c1 ZINC001197136050 1049720604 /nfs/dbraw/zinc/72/06/04/1049720604.db2.gz WAMVGTFBUYRHJU-LBPRGKRZSA-N 0 3 323.795 4.217 20 0 DIADHN Cc1cnc(CCN2CCc3ccc(Cl)c(C)c3C2)c(C)c1 ZINC001197176928 1049726233 /nfs/dbraw/zinc/72/62/33/1049726233.db2.gz GSHNMSPVTQPTQA-UHFFFAOYSA-N 0 3 314.860 4.261 20 0 DIADHN CC(C)O[C@H](CN1CCC2(CCCCO2)CC1)c1ccccc1 ZINC001197256473 1049733365 /nfs/dbraw/zinc/73/33/65/1049733365.db2.gz QWVKDGBICYVZLG-LJQANCHMSA-N 0 3 317.473 4.188 20 0 DIADHN Clc1cncc(CNC[C@H]2C[C@@H]2c2ccccc2)c1Cl ZINC001206440926 1049735467 /nfs/dbraw/zinc/73/54/67/1049735467.db2.gz URLFSDNWGOBJST-TZMCWYRMSA-N 0 3 307.224 4.282 20 0 DIADHN COc1ccccc1CCCN1CCc2cc(F)ccc2[C@H]1C ZINC001197494043 1049755858 /nfs/dbraw/zinc/75/58/58/1049755858.db2.gz BKXPKYFAGVSYIC-OAHLLOKOSA-N 0 3 313.416 4.386 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1CCCOCc1ccccc1 ZINC001197494435 1049756504 /nfs/dbraw/zinc/75/65/04/1049756504.db2.gz CJMHEIBLXYNXQI-MRXNPFEDSA-N 0 3 313.416 4.352 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2C2CC2)CC[C@@H](C(F)(F)F)O1 ZINC001197497401 1049756982 /nfs/dbraw/zinc/75/69/82/1049756982.db2.gz RMSXDBVUTXCHLU-WBMJQRKESA-N 0 3 313.363 4.106 20 0 DIADHN CC(C)[C@@H]1CC[C@H]1N[C@@H](CO)c1cccc(Cl)c1Cl ZINC001206721945 1049782931 /nfs/dbraw/zinc/78/29/31/1049782931.db2.gz YVDXOVOJECCIHW-GDLCADMTSA-N 0 3 302.245 4.051 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)C1(C)CCCC1 ZINC000489891449 1049787192 /nfs/dbraw/zinc/78/71/92/1049787192.db2.gz VOSUKGAHXZYVNS-UHFFFAOYSA-N 0 3 302.462 4.321 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3ccncc3Cl)C2)cc1 ZINC000490052756 1049797321 /nfs/dbraw/zinc/79/73/21/1049797321.db2.gz HXIGOVITAROMCA-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3ccncc3Cl)C2)cc1 ZINC000490052758 1049797869 /nfs/dbraw/zinc/79/78/69/1049797869.db2.gz HXIGOVITAROMCA-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN CN(Cc1ccc(Br)cn1)C(C)(C)c1ccccc1 ZINC000671245920 1049798044 /nfs/dbraw/zinc/79/80/44/1049798044.db2.gz SRYMTDYINIPSIQ-UHFFFAOYSA-N 0 3 319.246 4.211 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3nc(-c4ccco4)no3)C2)cc1 ZINC000490077517 1049798531 /nfs/dbraw/zinc/79/85/31/1049798531.db2.gz FDRMLSZTPKVJFM-ZBFHGGJFSA-N 0 3 323.396 4.016 20 0 DIADHN Oc1ccc(C2=CCN(CCc3ccc(F)c(F)c3)CC2)cc1 ZINC000490087992 1049800949 /nfs/dbraw/zinc/80/09/49/1049800949.db2.gz KIYFYVXSPQUUNZ-UHFFFAOYSA-N 0 3 315.363 4.002 20 0 DIADHN CC[C@H]1CCCCN1Cc1c(C)nn(C(=O)OC(C)(C)C)c1C ZINC001206812869 1049805672 /nfs/dbraw/zinc/80/56/72/1049805672.db2.gz RGCQLRHRTYIKRA-HNNXBMFYSA-N 0 3 321.465 4.048 20 0 DIADHN CN(Cc1cccc(Br)c1F)C[C@@H]1CC1(C)C ZINC001206809941 1049805924 /nfs/dbraw/zinc/80/59/24/1049805924.db2.gz NZRRVTKCEDWCDI-NSHDSACASA-N 0 3 300.215 4.066 20 0 DIADHN CN(Cc1cccc(Br)c1F)C[C@H]1CC1(C)C ZINC001206809935 1049806568 /nfs/dbraw/zinc/80/65/68/1049806568.db2.gz NZRRVTKCEDWCDI-LLVKDONJSA-N 0 3 300.215 4.066 20 0 DIADHN CC(C)(C)OC1CC(NCc2nc3cc(Cl)ccc3s2)C1 ZINC000490164814 1049808863 /nfs/dbraw/zinc/80/88/63/1049808863.db2.gz QNCBTXUXKKFEAW-UHFFFAOYSA-N 0 3 324.877 4.385 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC[C@@H]4CCC[C@@H]43)no2)cc1 ZINC001206836334 1049810840 /nfs/dbraw/zinc/81/08/40/1049810840.db2.gz KRUJFGBQFSWZAB-GUYCJALGSA-N 0 3 300.377 4.245 20 0 DIADHN c1c(CN2CC[C@H](c3ccccc3)C2)noc1-c1ccccc1 ZINC001206843011 1049810927 /nfs/dbraw/zinc/81/09/27/1049810927.db2.gz SFSJFWWIWNLQSJ-SFHVURJKSA-N 0 3 304.393 4.331 20 0 DIADHN Cc1ccnc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)c1 ZINC001206854164 1049814424 /nfs/dbraw/zinc/81/44/24/1049814424.db2.gz SXJAOABBCFHQQP-AWEZNQCLSA-N 0 3 300.833 4.205 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3ccc(F)cc3F)CC2)c1 ZINC001206854039 1049815235 /nfs/dbraw/zinc/81/52/35/1049815235.db2.gz MGUWCKOURKYUSV-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1ncc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)s1 ZINC001207064268 1049829760 /nfs/dbraw/zinc/82/97/60/1049829760.db2.gz OUKGTRDYHQFQAA-CYBMUJFWSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cc(F)ccc1C)CCC2 ZINC000490398971 1049830743 /nfs/dbraw/zinc/83/07/43/1049830743.db2.gz HCGRPADLKUHWOF-INIZCTEOSA-N 0 3 304.434 4.408 20 0 DIADHN C[C@@H](CN1CCC[C@H](CF)C1)c1cccc(Br)c1 ZINC001207315165 1049879371 /nfs/dbraw/zinc/87/93/71/1049879371.db2.gz QIFMRVXDNFRQBV-QWHCGFSZSA-N 0 3 314.242 4.234 20 0 DIADHN CCc1nccc(CN(C)[C@H](C)c2cccc3ccccc32)n1 ZINC001207090080 1049835174 /nfs/dbraw/zinc/83/51/74/1049835174.db2.gz UNYWRZNQOGSQHQ-OAHLLOKOSA-N 0 3 305.425 4.385 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC001207130851 1049839703 /nfs/dbraw/zinc/83/97/03/1049839703.db2.gz HBBRGIRALKJGPW-QGZVFWFLSA-N 0 3 303.352 4.278 20 0 DIADHN C[C@H]1CN(Cc2ccc(Br)c3cccnc23)C[C@@H]1C ZINC000490571089 1049842882 /nfs/dbraw/zinc/84/28/82/1049842882.db2.gz URKAUXPNYIJQHJ-RYUDHWBXSA-N 0 3 319.246 4.085 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1c(C)noc1C ZINC001207139237 1049843734 /nfs/dbraw/zinc/84/37/34/1049843734.db2.gz QQWMOCNINMJHOX-INIZCTEOSA-N 0 3 302.443 4.350 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Br)c3cccnc23)C[C@H]1C ZINC000490571091 1049844051 /nfs/dbraw/zinc/84/40/51/1049844051.db2.gz URKAUXPNYIJQHJ-VXGBXAGGSA-N 0 3 319.246 4.085 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc(F)c(COC)c2)c1 ZINC000151202948 1049851648 /nfs/dbraw/zinc/85/16/48/1049851648.db2.gz HWRYPGVPISYKSC-AWEZNQCLSA-N 0 3 317.404 4.222 20 0 DIADHN Cc1cnc2c(c1)CN(C[C@H]1C[C@@H]1c1ccc(Cl)cc1)CC2 ZINC001207194854 1049857517 /nfs/dbraw/zinc/85/75/17/1049857517.db2.gz CGWARFVTPMUALC-CRAIPNDOSA-N 0 3 312.844 4.205 20 0 DIADHN c1ccc2c(c1)ccnc2CNCc1ccc2c(c1)CCCC2 ZINC000490753024 1049863763 /nfs/dbraw/zinc/86/37/63/1049863763.db2.gz CKJUYNDDYLMGNR-UHFFFAOYSA-N 0 3 302.421 4.403 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc(C)c(F)c2)cc1 ZINC000029655090 1049875722 /nfs/dbraw/zinc/87/57/22/1049875722.db2.gz VQTOIXZDSJSQER-UHFFFAOYSA-N 0 3 309.388 4.122 20 0 DIADHN Oc1ccc(Cl)c(CNC2CC(c3ccc(F)cc3F)C2)c1 ZINC001207335451 1049880798 /nfs/dbraw/zinc/88/07/98/1049880798.db2.gz ZMJGLNSPJAAILB-UHFFFAOYSA-N 0 3 323.770 4.360 20 0 DIADHN CC(C)CN(Cc1coc(-c2ccc(F)c(F)c2)n1)C1CC1 ZINC000491055073 1049882709 /nfs/dbraw/zinc/88/27/09/1049882709.db2.gz FCPWBRVJTNLRSG-UHFFFAOYSA-N 0 3 306.356 4.240 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2C[C@@H]3CCCC[C@H]23)c(Cl)n1 ZINC001207341824 1049882825 /nfs/dbraw/zinc/88/28/25/1049882825.db2.gz VFQPUNZQMXETTE-ZMLRMANQSA-N 0 3 318.770 4.422 20 0 DIADHN CC[C@](C)(O)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000491056017 1049883565 /nfs/dbraw/zinc/88/35/65/1049883565.db2.gz AZTSPWMHUZODLA-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C2CC2)C1 ZINC000491136888 1049885796 /nfs/dbraw/zinc/88/57/96/1049885796.db2.gz DRWZSNLCWJQPSF-SMDDNHRTSA-N 0 3 317.820 4.183 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@@H](C2CC2)C1 ZINC000491134606 1049886200 /nfs/dbraw/zinc/88/62/00/1049886200.db2.gz VUEPWDIGDCHTHU-SMDDNHRTSA-N 0 3 317.820 4.183 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C2CC2)C1 ZINC000491141201 1049886543 /nfs/dbraw/zinc/88/65/43/1049886543.db2.gz QHMAWHVMPCGRTL-YQQAZPJKSA-N 0 3 314.473 4.259 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)C[C@H]1CCO[C@H](C)C1 ZINC000491092823 1049886586 /nfs/dbraw/zinc/88/65/86/1049886586.db2.gz TZBDRAOFBLHANI-RDBSUJKOSA-N 0 3 304.459 4.104 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@H](C2CC2)C1 ZINC000491141198 1049886822 /nfs/dbraw/zinc/88/68/22/1049886822.db2.gz QHMAWHVMPCGRTL-UXLLHSPISA-N 0 3 314.473 4.259 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@H](C4CC4)C3)cs2)c1 ZINC000491164068 1049889864 /nfs/dbraw/zinc/88/98/64/1049889864.db2.gz YWKAOQPZXGFPNJ-HNNXBMFYSA-N 0 3 314.454 4.051 20 0 DIADHN CCN(CCc1cccc(OC)c1)Cc1cccc(F)c1F ZINC001207434785 1049893338 /nfs/dbraw/zinc/89/33/38/1049893338.db2.gz WAZCZMXUWXVEFH-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](NCc2ncc(Cl)s2)C1 ZINC001207440218 1049893510 /nfs/dbraw/zinc/89/35/10/1049893510.db2.gz XEEZXNYQCJICSA-CJNGLKHVSA-N 0 3 306.862 4.397 20 0 DIADHN COc1ccc(CCN2CCC[C@H]2c2ccc(F)cc2F)cc1 ZINC001207477075 1049894881 /nfs/dbraw/zinc/89/48/81/1049894881.db2.gz SMYSDOXAQHEOJZ-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2ccc(C)nc2C)cc1 ZINC000586795534 1049900335 /nfs/dbraw/zinc/90/03/35/1049900335.db2.gz WIJLKWULKDOOLJ-CQSZACIVSA-N 0 3 300.471 4.412 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2c(F)cccc2F)cc1 ZINC001207516428 1049901929 /nfs/dbraw/zinc/90/19/29/1049901929.db2.gz FALPJTRCUIZJAZ-KRWDZBQOSA-N 0 3 305.343 4.484 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2cc(F)ccc2F)cc1 ZINC001207515607 1049902319 /nfs/dbraw/zinc/90/23/19/1049902319.db2.gz VKFHBRQTRZILNA-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN Fc1ccc(CCN2CCSC[C@H]2c2ccc(F)cc2)cc1 ZINC001207515080 1049902641 /nfs/dbraw/zinc/90/26/41/1049902641.db2.gz IWGWDMFQSJUAKT-SFHVURJKSA-N 0 3 319.420 4.297 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC(c3ccn(C)n3)CC2)c(C)c1 ZINC001207518133 1049903263 /nfs/dbraw/zinc/90/32/63/1049903263.db2.gz CYDMOYOVLBTEAT-WAYWQWQTSA-N 0 3 323.484 4.238 20 0 DIADHN CC(=O)[C@H]1CCCCN1CC(c1ccccc1)c1ccccc1 ZINC001207525923 1049904607 /nfs/dbraw/zinc/90/46/07/1049904607.db2.gz JCHBLXAGGJXWLA-OAQYLSRUSA-N 0 3 307.437 4.262 20 0 DIADHN Cc1cccc(CCN(C)Cc2ncccc2C(F)(F)F)c1 ZINC001207534664 1049906593 /nfs/dbraw/zinc/90/65/93/1049906593.db2.gz MFTVWPXDFVPUHO-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1F)NCc1nc2c(s1)CCC2 ZINC001207548909 1049907986 /nfs/dbraw/zinc/90/79/86/1049907986.db2.gz ONFSUIZYWFONRH-NSHDSACASA-N 0 3 322.424 4.021 20 0 DIADHN CCC[C@H](NCc1ncccc1Br)[C@@H]1CC1(C)C ZINC001207799252 1049940381 /nfs/dbraw/zinc/94/03/81/1049940381.db2.gz YNAFNBAOWHKETF-AAEUAGOBSA-N 0 3 311.267 4.149 20 0 DIADHN Clc1ccc2c(n1)CCN(CCCCc1ccccc1)C2 ZINC001207612107 1049916806 /nfs/dbraw/zinc/91/68/06/1049916806.db2.gz UNKJADBFWUTBTC-UHFFFAOYSA-N 0 3 300.833 4.116 20 0 DIADHN CC(C)(C)OC(=O)NCC1(C)CN(CCCC2CCCCC2)C1 ZINC001207608839 1049917137 /nfs/dbraw/zinc/91/71/37/1049917137.db2.gz XCEPQTHCCKHOTN-UHFFFAOYSA-N 0 3 324.509 4.194 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN[C@H](C)c1cn[nH]c1 ZINC000571120720 1049920594 /nfs/dbraw/zinc/92/05/94/1049920594.db2.gz XCVLKNIQBVVMPZ-HUMZTAOYSA-N 0 3 309.335 4.183 20 0 DIADHN COc1ccc(Br)c(CN(C)C2CCCCC2)c1 ZINC000087797800 1049924364 /nfs/dbraw/zinc/92/43/64/1049924364.db2.gz LBNKRLMSKFENPE-UHFFFAOYSA-N 0 3 312.251 4.222 20 0 DIADHN COc1ccc(Br)c(CN2CCCCCCC2)c1 ZINC000087797853 1049925294 /nfs/dbraw/zinc/92/52/94/1049925294.db2.gz DIDMVCCJRFOJEF-UHFFFAOYSA-N 0 3 312.251 4.224 20 0 DIADHN Clc1cccc(Oc2ccnc(CN[C@H]3CCSC3)c2)c1 ZINC001207720139 1049930811 /nfs/dbraw/zinc/93/08/11/1049930811.db2.gz VNEAAGPFZHMEQA-ZDUSSCGKSA-N 0 3 320.845 4.122 20 0 DIADHN Cc1ccnc(C2CCN(Cc3cccc(Cl)c3C)CC2)n1 ZINC001207735628 1049932022 /nfs/dbraw/zinc/93/20/22/1049932022.db2.gz MAWMJIRRDLECQY-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H](N[C@H](C(N)=O)c2ccc(Cl)cc2)C1 ZINC001207793796 1049939014 /nfs/dbraw/zinc/93/90/14/1049939014.db2.gz VHJHFDIJCHGWTM-COXVUDFISA-N 0 3 322.880 4.061 20 0 DIADHN C[C@@H](NCc1noc2c1CCCC2)[C@@H](C)c1ccc(Cl)cc1 ZINC000571568750 1049940099 /nfs/dbraw/zinc/94/00/99/1049940099.db2.gz RCNSHUAMAREXDU-CHWSQXEVSA-N 0 3 318.848 4.489 20 0 DIADHN CC(C)c1ccc(C[C@H](C)CN2CCC(=O)[C@@H](C)CC2)cc1 ZINC001207931585 1049957856 /nfs/dbraw/zinc/95/78/56/1049957856.db2.gz HNZIZOKDRGXGFM-IRXDYDNUSA-N 0 3 301.474 4.290 20 0 DIADHN CC(C)c1ccc(C[C@H](C)CN2CCc3ccncc3C2)cc1 ZINC001207937545 1049960118 /nfs/dbraw/zinc/96/01/18/1049960118.db2.gz RIURGXJLWLIHFG-KRWDZBQOSA-N 0 3 308.469 4.442 20 0 DIADHN CC(C)Oc1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000588177593 1049973050 /nfs/dbraw/zinc/97/30/50/1049973050.db2.gz HPHUHHAAMCEQKR-UHFFFAOYSA-N 0 3 309.409 4.039 20 0 DIADHN COc1ccc([C@H]2CCCN2CCc2c(F)cccc2F)cc1 ZINC001208120122 1049975199 /nfs/dbraw/zinc/97/51/99/1049975199.db2.gz YNNXTSRZJWUXQN-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN CS[C@@H](C)CCN(Cc1ccccc1)Cc1ccccn1 ZINC001208138559 1049976260 /nfs/dbraw/zinc/97/62/60/1049976260.db2.gz KZDYSPHJFKPTCJ-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN CS[C@@H](C)CCN(Cc1ccccc1)Cc1cccnc1 ZINC001208141164 1049977548 /nfs/dbraw/zinc/97/75/48/1049977548.db2.gz IKQHMGBCEVLAKL-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN Cc1ccc([C@H](C)CCN2CC[C@@H](OC(=O)OC(C)(C)C)C2)o1 ZINC001208152602 1049977586 /nfs/dbraw/zinc/97/75/86/1049977586.db2.gz SLIKPQNUCODWKA-UKRRQHHQSA-N 0 3 323.433 4.108 20 0 DIADHN CS[C@H](C)CCN1CCc2cccc(C(F)(F)F)c2C1 ZINC001208152509 1049977730 /nfs/dbraw/zinc/97/77/30/1049977730.db2.gz HUDPFIOSOFJBQC-LLVKDONJSA-N 0 3 303.393 4.205 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCC(=O)[C@@H](C)C23CCCC3)o1 ZINC001208159554 1049978733 /nfs/dbraw/zinc/97/87/33/1049978733.db2.gz BTFPYCPTJHPKJD-GDBMZVCRSA-N 0 3 303.446 4.305 20 0 DIADHN Fc1cccc(CCN2CCC(Sc3ccncc3)CC2)c1 ZINC001208198051 1049984242 /nfs/dbraw/zinc/98/42/42/1049984242.db2.gz CRSATUMIEJMWKK-UHFFFAOYSA-N 0 3 316.445 4.020 20 0 DIADHN Fc1cccc(CCN2CCC[C@@H]2c2cc(F)cc(F)c2)c1 ZINC001208204688 1049985780 /nfs/dbraw/zinc/98/57/80/1049985780.db2.gz XUSDXSXWQHXFKK-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN CCc1cccc(CNCc2ccc(OC(F)F)c(OC)c2)c1 ZINC000572046698 1049990213 /nfs/dbraw/zinc/99/02/13/1049990213.db2.gz KQZHOBDLQYULHI-UHFFFAOYSA-N 0 3 321.367 4.149 20 0 DIADHN COc1cccc(CN(C)CCSc2ccccc2F)c1 ZINC000588783598 1049996416 /nfs/dbraw/zinc/99/64/16/1049996416.db2.gz YLHNCOPTFHVLRW-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)[C@H]1CCCc2ccccc21 ZINC000588779753 1049996479 /nfs/dbraw/zinc/99/64/79/1049996479.db2.gz GLBLWXPXQBXNHC-NRFANRHFSA-N 0 3 317.436 4.448 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cn2cnc3cc(C)c(C)cc32)n1 ZINC000164892967 1049998029 /nfs/dbraw/zinc/99/80/29/1049998029.db2.gz MWORXFKZGQIQEK-IBGZPJMESA-N 0 3 320.440 4.151 20 0 DIADHN C[C@H](c1nc(-c2ccc3c(c2)CCC3)no1)N1[C@H](C)CC[C@@H]1C ZINC000572293216 1049999165 /nfs/dbraw/zinc/99/91/65/1049999165.db2.gz MCVZVYCYCJJQFM-HZSPNIEDSA-N 0 3 311.429 4.159 20 0 DIADHN C[C@@]1(F)CCCN(CCCc2ccc(C(F)(F)F)cc2)C1 ZINC001208685468 1050001474 /nfs/dbraw/zinc/00/14/74/1050001474.db2.gz BHGOJDHTFLBBCF-OAHLLOKOSA-N 0 3 303.343 4.462 20 0 DIADHN c1cc2cc(CNCc3ccnc(OC4CCCC4)c3)ccc2[nH]1 ZINC000572497299 1050004010 /nfs/dbraw/zinc/00/40/10/1050004010.db2.gz JUUHQFILKWIPOA-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CC(C)c1cccc(CC(=O)Nc2ccccc2CN(C)C)c1 ZINC000572458936 1050004321 /nfs/dbraw/zinc/00/43/21/1050004321.db2.gz BDBNARMUAZLGQJ-UHFFFAOYSA-N 0 3 310.441 4.053 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000572463420 1050004546 /nfs/dbraw/zinc/00/45/46/1050004546.db2.gz MTTDWAICAQTFBL-HUUCEWRRSA-N 0 3 301.434 4.193 20 0 DIADHN Cc1noc([C@@H]2CCCN2CC2(c3ccccc3)CCCC2)n1 ZINC001208777553 1050009131 /nfs/dbraw/zinc/00/91/31/1050009131.db2.gz KTEJQIWDEJCCKN-KRWDZBQOSA-N 0 3 311.429 4.027 20 0 DIADHN CN1CC=C(Nc2cn(Cc3ccccc3)c3ccccc23)CC1 ZINC001208795055 1050010811 /nfs/dbraw/zinc/01/08/11/1050010811.db2.gz VPOVFSNIYHYPRG-UHFFFAOYSA-N 0 3 317.436 4.321 20 0 DIADHN c1ccc(C2(CN3CCC[C@@H]3c3ncccn3)CCCC2)cc1 ZINC001208780980 1050011038 /nfs/dbraw/zinc/01/10/38/1050011038.db2.gz WFLROXGWZWGLEF-GOSISDBHSA-N 0 3 307.441 4.126 20 0 DIADHN Brc1cccc(CCCN2Cc3ccccc3C2)c1 ZINC001208820600 1050013060 /nfs/dbraw/zinc/01/30/60/1050013060.db2.gz PCNYGBWIKFISFM-UHFFFAOYSA-N 0 3 316.242 4.398 20 0 DIADHN Cc1cc(Br)c(Cl)cc1NC1=CCN(C)CC1 ZINC001208837975 1050014691 /nfs/dbraw/zinc/01/46/91/1050014691.db2.gz MDINNJZDVBWHBM-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN Clc1ccc(CCCN2CCOC[C@@H]2C2CC2)cc1Cl ZINC001208836094 1050014866 /nfs/dbraw/zinc/01/48/66/1050014866.db2.gz VJMLFFVSVCXCDJ-MRXNPFEDSA-N 0 3 314.256 4.037 20 0 DIADHN Clc1ccc(CCCN2CCc3ncccc3C2)cc1Cl ZINC001208837125 1050015499 /nfs/dbraw/zinc/01/54/99/1050015499.db2.gz MMBYMESBZDRITM-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN Cc1cc(-c2ccc(NC3=CCN(C)CC3)c(C)c2)ccc1N ZINC001208859785 1050017731 /nfs/dbraw/zinc/01/77/31/1050017731.db2.gz ZRAJSVFVDSVINH-UHFFFAOYSA-N 0 3 307.441 4.184 20 0 DIADHN C[C@H](CN1CCC2(CCc3ccccc3O2)CC1)C(F)(F)F ZINC001208892072 1050020725 /nfs/dbraw/zinc/02/07/25/1050020725.db2.gz NQZCTKHWIIECQN-CYBMUJFWSA-N 0 3 313.363 4.045 20 0 DIADHN C[C@H](CN1CCC(OCc2ccccc2F)CC1)C(F)(F)F ZINC001208893388 1050021041 /nfs/dbraw/zinc/02/10/41/1050021041.db2.gz JKSDBRWIKAJOJA-GFCCVEGCSA-N 0 3 319.342 4.005 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2nc3c(s2)C[C@H](C)CC3)o1 ZINC000498358703 1050025532 /nfs/dbraw/zinc/02/55/32/1050025532.db2.gz HYALFQXUSMZBFB-CHWSQXEVSA-N 0 3 318.486 4.280 20 0 DIADHN CN1CC=C(Nc2cc(OCc3ccccc3)ccc2F)CC1 ZINC001208930093 1050025512 /nfs/dbraw/zinc/02/55/12/1050025512.db2.gz GQAFIVQZKOADKT-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000056491702 1050026051 /nfs/dbraw/zinc/02/60/51/1050026051.db2.gz OBKDXSAUCQGAOJ-FOIQADDNSA-N 0 3 313.416 4.332 20 0 DIADHN O=C(OCCN1CCCC[C@H]1c1ccccc1)c1ccccc1 ZINC001209040337 1050031213 /nfs/dbraw/zinc/03/12/13/1050031213.db2.gz MLSYKVSFFGGOIO-IBGZPJMESA-N 0 3 309.409 4.071 20 0 DIADHN CN(CCc1c(Cl)cccc1Cl)C[C@H]1CCCCO1 ZINC001209110826 1050035910 /nfs/dbraw/zinc/03/59/10/1050035910.db2.gz DSESTFMOKFOETB-GFCCVEGCSA-N 0 3 302.245 4.037 20 0 DIADHN C[C@]1(CO)CCCN(CCc2c(Cl)cccc2Cl)CC1 ZINC001209104840 1050034889 /nfs/dbraw/zinc/03/48/89/1050034889.db2.gz IUWIVYHJNOHQBF-INIZCTEOSA-N 0 3 316.272 4.020 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001209104848 1050035438 /nfs/dbraw/zinc/03/54/38/1050035438.db2.gz IWXVSXKPKSKBBI-WBMJQRKESA-N 0 3 314.256 4.037 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@H](c2ccncc2)C1 ZINC001209112446 1050036119 /nfs/dbraw/zinc/03/61/19/1050036119.db2.gz QYXYYIPKHZREOY-AWEZNQCLSA-N 0 3 321.251 4.420 20 0 DIADHN CCN(CCc1c(Cl)cccc1Cl)Cc1ccccn1 ZINC001209108657 1050036384 /nfs/dbraw/zinc/03/63/84/1050036384.db2.gz PHUITXRKPMJVSU-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CN(CCc1c(Cl)cccc1Cl)C[C@@H]1CCCCO1 ZINC001209110831 1050036704 /nfs/dbraw/zinc/03/67/04/1050036704.db2.gz DSESTFMOKFOETB-LBPRGKRZSA-N 0 3 302.245 4.037 20 0 DIADHN FC(F)(F)c1ccc(CCN2CCOc3ccccc3C2)cc1 ZINC001209129929 1050038632 /nfs/dbraw/zinc/03/86/32/1050038632.db2.gz YJMWVJWSIHALOP-UHFFFAOYSA-N 0 3 321.342 4.143 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCc1cc(F)cc(F)c1 ZINC001209133291 1050038731 /nfs/dbraw/zinc/03/87/31/1050038731.db2.gz AYLPDLWRVYGRCV-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1cccc(C=C2CCN(Cc3ccc4[nH]cnc4c3)CC2)c1 ZINC000572646624 1050039039 /nfs/dbraw/zinc/03/90/39/1050039039.db2.gz BXVJZTLRNXPHGJ-UHFFFAOYSA-N 0 3 321.399 4.381 20 0 DIADHN Fc1cccc(C=C2CCN(Cc3ccc4nc[nH]c4c3)CC2)c1 ZINC000572646624 1050039045 /nfs/dbraw/zinc/03/90/45/1050039045.db2.gz BXVJZTLRNXPHGJ-UHFFFAOYSA-N 0 3 321.399 4.381 20 0 DIADHN COCC(C)(C)CCCN[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000572671551 1050039677 /nfs/dbraw/zinc/03/96/77/1050039677.db2.gz JMRHIVDRVCNVRT-SNVBAGLBSA-N 0 3 324.412 4.265 20 0 DIADHN c1ccc(CN(CCCc2cccnc2)Cc2ccncc2)cc1 ZINC001209173206 1050042108 /nfs/dbraw/zinc/04/21/08/1050042108.db2.gz WWDSJOBYVWNXIU-UHFFFAOYSA-N 0 3 317.436 4.112 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCc2cccnc2)c(F)c1 ZINC001209181815 1050042935 /nfs/dbraw/zinc/04/29/35/1050042935.db2.gz GKEMZRHICHHHGB-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2CCCc2ccncc2)c1 ZINC001209189018 1050043085 /nfs/dbraw/zinc/04/30/85/1050043085.db2.gz CVOCQOCWIRSDNT-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN Fc1ccc([C@H]2CSCCN2CCc2cccs2)cc1 ZINC001209226813 1050045323 /nfs/dbraw/zinc/04/53/23/1050045323.db2.gz GVGFPSIVTHYREE-MRXNPFEDSA-N 0 3 307.459 4.220 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2ccccc2F)cc1F ZINC001209244715 1050046599 /nfs/dbraw/zinc/04/65/99/1050046599.db2.gz TYAKCURIIYPQJJ-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN CN(C/C=C/c1ccccc1)CCc1cc(F)c(F)cc1F ZINC001209252216 1050047137 /nfs/dbraw/zinc/04/71/37/1050047137.db2.gz ILHLRASCKIFMKG-VMPITWQZSA-N 0 3 305.343 4.292 20 0 DIADHN Fc1cccc2c1CCN(CCCCOCc1ccccc1)C2 ZINC001209265085 1050048888 /nfs/dbraw/zinc/04/88/88/1050048888.db2.gz LSNGFGDEISDGSE-UHFFFAOYSA-N 0 3 313.416 4.181 20 0 DIADHN Fc1ccc2c(c1)CCN(CCCCOCc1ccccc1)C2 ZINC001209262138 1050049107 /nfs/dbraw/zinc/04/91/07/1050049107.db2.gz AUEFAUZHTBLBTF-UHFFFAOYSA-N 0 3 313.416 4.181 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCCC(F)(F)CC1 ZINC001209280346 1050049506 /nfs/dbraw/zinc/04/95/06/1050049506.db2.gz NUEWGJTYDNJGTC-UHFFFAOYSA-N 0 3 307.306 4.369 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(CCOc2ccccc2)C1 ZINC001209298938 1050051077 /nfs/dbraw/zinc/05/10/77/1050051077.db2.gz QFCMNCGROKMZGV-OAHLLOKOSA-N 0 3 301.817 4.208 20 0 DIADHN Clc1cccc([C@@H]2CCN(CCOc3ccccc3)C2)c1 ZINC001209298591 1050051574 /nfs/dbraw/zinc/05/15/74/1050051574.db2.gz CKQJBVVQTAAQHO-MRXNPFEDSA-N 0 3 301.817 4.208 20 0 DIADHN Fc1ccc(C2CCN(CCOc3ccccc3)CC2)c(F)c1 ZINC001209300092 1050051788 /nfs/dbraw/zinc/05/17/88/1050051788.db2.gz MQZSCDQZLSTMLW-UHFFFAOYSA-N 0 3 317.379 4.223 20 0 DIADHN c1nc2cc(CNCc3ccccc3OC3CCCC3)ccc2[nH]1 ZINC000589641093 1050052193 /nfs/dbraw/zinc/05/21/93/1050052193.db2.gz KBIZBVBZHYMKPO-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN c1nc2ccc(CNCc3ccccc3OC3CCCC3)cc2[nH]1 ZINC000589641093 1050052195 /nfs/dbraw/zinc/05/21/95/1050052195.db2.gz KBIZBVBZHYMKPO-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(OC(C)(C)C)cc1 ZINC000589645194 1050052478 /nfs/dbraw/zinc/05/24/78/1050052478.db2.gz BHRXCRVLHMAXMI-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2n[nH]c3ccccc23)c1 ZINC000589641911 1050052894 /nfs/dbraw/zinc/05/28/94/1050052894.db2.gz UVDIRTUEUZLCHG-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2[nH]nc3ccccc32)c1 ZINC000589641911 1050052898 /nfs/dbraw/zinc/05/28/98/1050052898.db2.gz UVDIRTUEUZLCHG-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2n[nH]c3ccccc23)cc1 ZINC000589641776 1050052911 /nfs/dbraw/zinc/05/29/11/1050052911.db2.gz PLHZTUYTHLIWMT-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2[nH]nc3ccccc32)cc1 ZINC000589641776 1050052916 /nfs/dbraw/zinc/05/29/16/1050052916.db2.gz PLHZTUYTHLIWMT-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3cccnc3c2)cc1OC ZINC000589645010 1050053397 /nfs/dbraw/zinc/05/33/97/1050053397.db2.gz PICKZUJEYUWQEW-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1cc(CN[C@@H](C)c2sc(-c3ccccc3)nc2C)n[nH]1 ZINC000589647025 1050053750 /nfs/dbraw/zinc/05/37/50/1050053750.db2.gz XMAUAXFJCJTESY-LBPRGKRZSA-N 0 3 312.442 4.001 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ncc(C)c(OC)c1C ZINC000589705801 1050055919 /nfs/dbraw/zinc/05/59/19/1050055919.db2.gz CJGOBKWIKKSQAC-UHFFFAOYSA-N 0 3 316.420 4.258 20 0 DIADHN CCn1ccnc1CNCCc1c(C)cc(C(C)(C)C)cc1C ZINC000589830807 1050060279 /nfs/dbraw/zinc/06/02/79/1050060279.db2.gz ARUWHTTZPAYKSD-UHFFFAOYSA-N 0 3 313.489 4.150 20 0 DIADHN Cc1ccc2[nH]cc(CN3C[C@@H](CF)[C@H](C(F)(F)F)C3)c2c1 ZINC001209491460 1050062587 /nfs/dbraw/zinc/06/25/87/1050062587.db2.gz RWHZJQMRGWCVIC-BXUZGUMPSA-N 0 3 314.326 4.056 20 0 DIADHN Cc1cccc2c(CN3CCOC[C@@H]3C3CCCCC3)c[nH]c21 ZINC001209492096 1050063568 /nfs/dbraw/zinc/06/35/68/1050063568.db2.gz BUHVQFIHNMMIMP-LJQANCHMSA-N 0 3 312.457 4.257 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1cc2c(cn1)OCCC2 ZINC001209500909 1050064531 /nfs/dbraw/zinc/06/45/31/1050064531.db2.gz GAKOJFJLNRZXSA-IBGZPJMESA-N 0 3 308.425 4.052 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2cccc(Br)c2)[nH]1 ZINC001209577338 1050069581 /nfs/dbraw/zinc/06/95/81/1050069581.db2.gz PUNPQRCYAWNTGB-GFCCVEGCSA-N 0 3 307.235 4.279 20 0 DIADHN Cc1cc(Cl)ncc1CN1CC[C@H](c2ccc(F)cc2)C1 ZINC001209636571 1050073021 /nfs/dbraw/zinc/07/30/21/1050073021.db2.gz WQXANGYOVXSIGS-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN Clc1ccc(CN[C@@H](CN2CCCCC2)c2ccccc2)o1 ZINC000590075071 1050074400 /nfs/dbraw/zinc/07/44/00/1050074400.db2.gz OENVVPITRDNHPT-KRWDZBQOSA-N 0 3 318.848 4.250 20 0 DIADHN O=C(Nc1ccccc1)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000590078982 1050074758 /nfs/dbraw/zinc/07/47/58/1050074758.db2.gz MZSMFUTUZVDBDH-LJQANCHMSA-N 0 3 323.440 4.035 20 0 DIADHN C1=CCN(Cc2c[nH]c3cccc(OCc4ccccc4)c23)C1 ZINC001209670003 1050075924 /nfs/dbraw/zinc/07/59/24/1050075924.db2.gz FXKUMTWCYXHPEB-UHFFFAOYSA-N 0 3 304.393 4.119 20 0 DIADHN Fc1ccc2c(CN3CCC(Oc4ccccc4)CC3)c[nH]c2c1 ZINC001209690725 1050077065 /nfs/dbraw/zinc/07/70/65/1050077065.db2.gz YEYJTLSIVIOITJ-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN Cn1cc(CN2CCC[C@H]2c2ccccc2F)c2cccnc21 ZINC001209748920 1050079846 /nfs/dbraw/zinc/07/98/46/1050079846.db2.gz OSTLOMVXVLFPEL-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1[nH]nc2ccc(Cl)cc21 ZINC001209761751 1050081598 /nfs/dbraw/zinc/08/15/98/1050081598.db2.gz NNSZGYWRFHQVBD-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccccc2)cc1C ZINC001209765406 1050082149 /nfs/dbraw/zinc/08/21/49/1050082149.db2.gz NTIBUQJDRGIKNX-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccsc1)c1ccc(C(=O)OC)cc1 ZINC000590254409 1050083531 /nfs/dbraw/zinc/08/35/31/1050083531.db2.gz GNCPCVCVKMTGAD-LRDDRELGSA-N 0 3 303.427 4.337 20 0 DIADHN Cc1cnc(=S)n(CN[C@H](c2ccccc2)C(C)(C)C)c1 ZINC000758302073 1050095882 /nfs/dbraw/zinc/09/58/82/1050095882.db2.gz XVLGJQZWVUORSZ-OAHLLOKOSA-N 0 3 301.459 4.256 20 0 DIADHN CCCC[C@H](CNC(=O)OC(C)(C)C)N[C@H](C)c1ccoc1 ZINC000224566188 1050103635 /nfs/dbraw/zinc/10/36/35/1050103635.db2.gz HOFHBXWWJZGVAY-UKRRQHHQSA-N 0 3 310.438 4.014 20 0 DIADHN Clc1ccc(-c2cnc(CN3CCC[C@H]3C3CC3)o2)cc1 ZINC000590789877 1050107580 /nfs/dbraw/zinc/10/75/80/1050107580.db2.gz YSCDRUXWGJHNMI-HNNXBMFYSA-N 0 3 302.805 4.369 20 0 DIADHN CN1CCc2ccc(Nc3cc(C(F)(F)F)ccc3O)cc2C1 ZINC001209985072 1050116779 /nfs/dbraw/zinc/11/67/79/1050116779.db2.gz UBMPHBQWKGRVHW-UHFFFAOYSA-N 0 3 322.330 4.143 20 0 DIADHN CC(C)N(Cc1cccnc1)Cc1cccc(OC(F)(F)F)c1 ZINC000573046242 1050117359 /nfs/dbraw/zinc/11/73/59/1050117359.db2.gz WEMJISAVLWHHAL-UHFFFAOYSA-N 0 3 324.346 4.391 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C(C)C)N1CCCCC1 ZINC000591103670 1050124017 /nfs/dbraw/zinc/12/40/17/1050124017.db2.gz NXUKEBWWJCUIOJ-INIZCTEOSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ncc(CN2CCC(c3ccc(F)c(F)c3)CC2)s1 ZINC000591379000 1050143670 /nfs/dbraw/zinc/14/36/70/1050143670.db2.gz LUHNLCFOPGTEDZ-UHFFFAOYSA-N 0 3 308.397 4.109 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC000591378301 1050143863 /nfs/dbraw/zinc/14/38/63/1050143863.db2.gz JHTPLNLSJKIYDH-KRWDZBQOSA-N 0 3 301.409 4.022 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@H]2C[C@H](O)c2cccs2)c1 ZINC000770290724 1050147647 /nfs/dbraw/zinc/14/76/47/1050147647.db2.gz JJGDHCOJJMYEMD-RDJZCZTQSA-N 0 3 319.445 4.284 20 0 DIADHN Cc1ccc(NC(=S)Nc2ccccc2F)c(CN(C)C)c1 ZINC000733751076 1050153049 /nfs/dbraw/zinc/15/30/49/1050153049.db2.gz DZJOPDFZVZNSIA-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)[C@@H]1C[C@@H]1c1ccccc1 ZINC000770350907 1050153870 /nfs/dbraw/zinc/15/38/70/1050153870.db2.gz QJQWWBBTOISCST-UYAOXDASSA-N 0 3 303.409 4.065 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)[C@@H]1C[C@H]1c1ccccc1 ZINC000770350896 1050155160 /nfs/dbraw/zinc/15/51/60/1050155160.db2.gz QJQWWBBTOISCST-AZUAARDMSA-N 0 3 303.409 4.065 20 0 DIADHN Clc1cccc(CC2CCN(Cc3ccccn3)CC2)c1 ZINC001204055838 1050155111 /nfs/dbraw/zinc/15/51/11/1050155111.db2.gz MQJVZBPNASAHAW-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1cccc(Cl)c1C)C1CC1 ZINC000591475726 1050158527 /nfs/dbraw/zinc/15/85/27/1050158527.db2.gz ZFKVYWUCGLIREL-GXTWGEPZSA-N 0 3 322.880 4.486 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCc2ncc(C)cc2C)s1 ZINC000573364550 1050162859 /nfs/dbraw/zinc/16/28/59/1050162859.db2.gz GJXXVCXTJUIQSG-HNNXBMFYSA-N 0 3 317.502 4.261 20 0 DIADHN Cc1cc(Cl)ccc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC001299306253 1050163057 /nfs/dbraw/zinc/16/30/57/1050163057.db2.gz KIZXUMVFCBQASQ-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000282578552 1050166443 /nfs/dbraw/zinc/16/64/43/1050166443.db2.gz ZKTVSBMVOQGURB-WMZOPIPTSA-N 0 3 313.420 4.152 20 0 DIADHN CCC1(CC)CCCN(C(=O)c2cccc(CN(C)C)c2)CC1 ZINC000347976341 1050167761 /nfs/dbraw/zinc/16/77/61/1050167761.db2.gz WBZBFEZDJWWPQG-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN F[C@@H]1CCN([C@@H]2CCc3c(Cl)cccc3C2)CCC1(F)F ZINC001171038395 1050175482 /nfs/dbraw/zinc/17/54/82/1050175482.db2.gz WYSWVBTWRJEHEJ-IUODEOHRSA-N 0 3 317.782 4.267 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1ccc(F)c2Br)c1ccc[nH]1 ZINC000824050833 1050177865 /nfs/dbraw/zinc/17/78/65/1050177865.db2.gz LZVSMARPGXTWAU-LKFCYVNXSA-N 0 3 323.209 4.254 20 0 DIADHN CCN(CCOc1ccc(C(F)(F)F)cc1)Cc1ccncc1 ZINC000348082259 1050178460 /nfs/dbraw/zinc/17/84/60/1050178460.db2.gz YAWANIYRSUEQSU-UHFFFAOYSA-N 0 3 324.346 4.001 20 0 DIADHN CC(C)(NC[C@H](O)c1ccccc1)c1cccc(Cl)c1F ZINC000770685803 1050183800 /nfs/dbraw/zinc/18/38/00/1050183800.db2.gz AGKQLUULQKESJW-HNNXBMFYSA-N 0 3 307.796 4.037 20 0 DIADHN O[C@@H]1C[C@H](c2ccccc2)N(C/C=C/c2ccc(Cl)cc2)C1 ZINC001171049553 1050187894 /nfs/dbraw/zinc/18/78/94/1050187894.db2.gz ONDZDINRJLSWDT-NYQZOWANSA-N 0 3 313.828 4.161 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136861074 1050202000 /nfs/dbraw/zinc/20/20/00/1050202000.db2.gz NRDXJZXELDNZEQ-CQSZACIVSA-N 0 3 306.837 4.212 20 0 DIADHN Fc1cc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@H]32)c(F)cn1 ZINC000824370545 1050204289 /nfs/dbraw/zinc/20/42/89/1050204289.db2.gz LFJKJBVZJLHWAH-JFIYKMOQSA-N 0 3 314.379 4.128 20 0 DIADHN c1nn(CN2CCC[C@@]3(CC=CCC3)C2)cc1-c1ccccc1 ZINC000733992771 1050210759 /nfs/dbraw/zinc/21/07/59/1050210759.db2.gz VVLGLKVYKIWYRZ-FQEVSTJZSA-N 0 3 307.441 4.330 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1c[nH]cn1 ZINC001136871975 1050211087 /nfs/dbraw/zinc/21/10/87/1050211087.db2.gz XVWKKMTVMFNOIT-UHFFFAOYSA-N 0 3 305.425 4.064 20 0 DIADHN COc1cccc2c1CN([C@H]1CCc3c(Cl)cccc3C1)C2 ZINC001171057714 1050214164 /nfs/dbraw/zinc/21/41/64/1050214164.db2.gz ZUNLUAVXLFMAPQ-HNNXBMFYSA-N 0 3 313.828 4.222 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c(F)cccc32)cc(Cl)n1 ZINC000824468158 1050215371 /nfs/dbraw/zinc/21/53/71/1050215371.db2.gz DLDVRCSXNZAVFT-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN c1cc2cc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)ccc2[nH]1 ZINC000573577734 1050217005 /nfs/dbraw/zinc/21/70/05/1050217005.db2.gz XKLDUIOTXJGHSK-VQTJNVASSA-N 0 3 306.409 4.178 20 0 DIADHN Cc1ccn2c(CN3CCC[C@@H]3c3cccc(C)c3)cnc2c1 ZINC001136885073 1050220952 /nfs/dbraw/zinc/22/09/52/1050220952.db2.gz FAOBHFRHTRMANO-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN C[C@@H](CCc1ccc(F)cc1)NCc1cc(F)cnc1Cl ZINC000824543572 1050224732 /nfs/dbraw/zinc/22/47/32/1050224732.db2.gz LKDHTESPQYHEOQ-NSHDSACASA-N 0 3 310.775 4.124 20 0 DIADHN C[C@@H]1[C@H](NCc2cc(F)cnc2Cl)C[C@H]1c1ccccc1 ZINC000824538657 1050226014 /nfs/dbraw/zinc/22/60/14/1050226014.db2.gz RKEHWCYATXYEAV-IUIKQTSFSA-N 0 3 304.796 4.156 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1nonc1C ZINC000734148650 1050232525 /nfs/dbraw/zinc/23/25/25/1050232525.db2.gz FFAZRIVJQSZJMD-QGZVFWFLSA-N 0 3 301.434 4.001 20 0 DIADHN Fc1cccc(Cl)c1CCCN(Cc1ccccn1)C1CC1 ZINC000573645450 1050234227 /nfs/dbraw/zinc/23/42/27/1050234227.db2.gz HVHDTBNULPAJRN-UHFFFAOYSA-N 0 3 318.823 4.471 20 0 DIADHN COc1ccc([C@H](NCc2ccc3c(n2)CCC3)C(C)C)cc1 ZINC000573661376 1050234248 /nfs/dbraw/zinc/23/42/48/1050234248.db2.gz WVVGIGWTOFEEHP-HXUWFJFHSA-N 0 3 310.441 4.066 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@@H](C)c2nc(C)sc2C)CCO1 ZINC000679360846 1050235502 /nfs/dbraw/zinc/23/55/02/1050235502.db2.gz LSCSOBDRYBVKPZ-XZJROXQQSA-N 0 3 310.507 4.394 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cnn(-c2ccccc2)c1 ZINC000760903258 1050237073 /nfs/dbraw/zinc/23/70/73/1050237073.db2.gz PVNPKDWLDUEXRF-JSGCOSHPSA-N 0 3 311.351 4.254 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC001168401295 1050239732 /nfs/dbraw/zinc/23/97/32/1050239732.db2.gz IKJSIJLQJCGLBL-KBPBESRZSA-N 0 3 322.880 4.343 20 0 DIADHN COc1cccc2cc(CNC[C@@]3(C)CC3(Cl)Cl)oc21 ZINC000824766152 1050240411 /nfs/dbraw/zinc/24/04/11/1050240411.db2.gz SCJQTUUGOVAVGY-CQSZACIVSA-N 0 3 314.212 4.115 20 0 DIADHN C[C@@]1(CNCc2ccnc(Cl)c2Cl)CC1(Cl)Cl ZINC000824774227 1050242600 /nfs/dbraw/zinc/24/26/00/1050242600.db2.gz RVCBSMHFUDNAMV-JTQLQIEISA-N 0 3 314.043 4.062 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CCOCC1)c1ccc(F)cn1 ZINC000771392548 1050248720 /nfs/dbraw/zinc/24/87/20/1050248720.db2.gz XCBJIRUJWBBQMP-AUUYWEPGSA-N 0 3 314.404 4.039 20 0 DIADHN c1nc(CNC(CCc2ccccc2)CCc2ccccc2)co1 ZINC000573752263 1050252040 /nfs/dbraw/zinc/25/20/40/1050252040.db2.gz XZLWRCZXQIEWDY-UHFFFAOYSA-N 0 3 320.436 4.398 20 0 DIADHN CCCN(CCc1ccccn1)Cc1nc2ccccc2s1 ZINC001136956364 1050253279 /nfs/dbraw/zinc/25/32/79/1050253279.db2.gz OUDJCLBKLZWURY-UHFFFAOYSA-N 0 3 311.454 4.146 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3nc4ccccc4s3)C2)c1 ZINC001136958044 1050254087 /nfs/dbraw/zinc/25/40/87/1050254087.db2.gz UPPUWGFYPHHROF-OAHLLOKOSA-N 0 3 324.449 4.294 20 0 DIADHN Cc1ccc2c(CN3CCN(c4ccccc4C)CC3)c[nH]c2c1 ZINC001136969076 1050255227 /nfs/dbraw/zinc/25/52/27/1050255227.db2.gz CCNCBNAYOUHPLT-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccc2c(CN3C[C@@H](CF)[C@H](C(F)(F)F)C3)c[nH]c2c1 ZINC001136969607 1050255450 /nfs/dbraw/zinc/25/54/50/1050255450.db2.gz UYNXCCXCMWVVKV-BXUZGUMPSA-N 0 3 314.326 4.056 20 0 DIADHN Cc1ccc2c(CN3CCC4(CCC(C)(C)O4)CC3)c[nH]c2c1 ZINC001136975602 1050260738 /nfs/dbraw/zinc/26/07/38/1050260738.db2.gz NUFMLGJQJHKULM-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2c[nH]c3cccc(F)c23)C1 ZINC001136987451 1050276353 /nfs/dbraw/zinc/27/63/53/1050276353.db2.gz YDKURHJZERWAAS-OAHLLOKOSA-N 0 3 322.383 4.012 20 0 DIADHN Clc1ccc(CN[C@@H](c2ccccc2)C2CCOCC2)o1 ZINC000734508963 1050278418 /nfs/dbraw/zinc/27/84/18/1050278418.db2.gz MDGBSVDWAGFJDO-KRWDZBQOSA-N 0 3 305.805 4.191 20 0 DIADHN Nc1c(F)cccc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC001210520221 1050279078 /nfs/dbraw/zinc/27/90/78/1050279078.db2.gz XSZZPQVHJCEKES-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN C[C@H](CNCc1csc(COc2ccccc2)n1)C(C)(C)C ZINC000734510759 1050281502 /nfs/dbraw/zinc/28/15/02/1050281502.db2.gz ZDALNIGPIQFMPZ-CQSZACIVSA-N 0 3 318.486 4.494 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cncc(Cl)c2)cc1 ZINC001136998862 1050282717 /nfs/dbraw/zinc/28/27/17/1050282717.db2.gz HCFVUFGKWHKEGZ-QGZVFWFLSA-N 0 3 304.796 4.081 20 0 DIADHN C[C@H]1CCC(C)(C)N1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001171077347 1050285702 /nfs/dbraw/zinc/28/57/02/1050285702.db2.gz DRLALAJFJUPIEY-JTQLQIEISA-N 0 3 315.244 4.195 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2cccc3ccccc32)o1 ZINC000825198804 1050285876 /nfs/dbraw/zinc/28/58/76/1050285876.db2.gz XVEBGXDIEAGTAJ-UHFFFAOYSA-N 0 3 323.392 4.494 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@H](Cc4ccccc4)C3)nc12 ZINC000592322588 1050286939 /nfs/dbraw/zinc/28/69/39/1050286939.db2.gz GDWCWMCULMCDQJ-LJQANCHMSA-N 0 3 319.452 4.097 20 0 DIADHN COc1cccc(CN2CCCC[C@H]2c2ccccc2OC)c1 ZINC000592333256 1050290649 /nfs/dbraw/zinc/29/06/49/1050290649.db2.gz ZHTAOFMYAYRFEV-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)N(Cc1ncc(C(F)(F)F)[nH]1)[C@@H](C)c1ccccc1 ZINC001137020314 1050295275 /nfs/dbraw/zinc/29/52/75/1050295275.db2.gz LFNJGERBWPXYKZ-LBPRGKRZSA-N 0 3 311.351 4.400 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@H](CC(F)(F)F)C2)cc1F ZINC000573962035 1050297700 /nfs/dbraw/zinc/29/77/00/1050297700.db2.gz ZKEGLERWXSDWAF-YYRQZUAOSA-N 0 3 305.290 4.252 20 0 DIADHN CC(C)(C)OC1CC(N2CCC(c3cccc(F)c3F)CC2)C1 ZINC000573984395 1050299883 /nfs/dbraw/zinc/29/98/83/1050299883.db2.gz WPQSEJOCQQMUCJ-UHFFFAOYSA-N 0 3 323.427 4.490 20 0 DIADHN Cc1cc(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)cs1 ZINC000592525688 1050313512 /nfs/dbraw/zinc/31/35/12/1050313512.db2.gz RJIGIBHLQFBLQU-UHFFFAOYSA-N 0 3 309.475 4.000 20 0 DIADHN CC(C)(C)c1ccc([C@H](O)CNCc2cccc(F)c2F)cc1 ZINC000734848142 1050323996 /nfs/dbraw/zinc/32/39/96/1050323996.db2.gz BIVAADNWWFPQOS-QGZVFWFLSA-N 0 3 319.395 4.086 20 0 DIADHN CCc1nn(C)c(Cl)c1CNCCCCCc1ccccc1 ZINC000734841008 1050325120 /nfs/dbraw/zinc/32/51/20/1050325120.db2.gz BQXLGDUGHJPSBV-UHFFFAOYSA-N 0 3 319.880 4.139 20 0 DIADHN CCC(=CC(=O)N[C@H](CN1CCCCC1)c1ccccc1)CC ZINC001143679721 1050334431 /nfs/dbraw/zinc/33/44/31/1050334431.db2.gz QTNAMRBHODLTSR-LJQANCHMSA-N 0 3 314.473 4.076 20 0 DIADHN Cc1cncc(CN2CCC(Cc3cc(F)ccc3F)CC2)c1 ZINC001171097601 1050342799 /nfs/dbraw/zinc/34/27/99/1050342799.db2.gz FGWQKPCFJKJFOB-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1ccc(NC2=CC[C@@H]([NH+]3CCCC3)CC2)c(Cl)c1[O-] ZINC001210711757 1050343247 /nfs/dbraw/zinc/34/32/47/1050343247.db2.gz MDJUEGQPMIEVFW-CQSZACIVSA-N 0 3 306.837 4.298 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1Cl)CC1CCOCC1 ZINC001143710276 1050343888 /nfs/dbraw/zinc/34/38/88/1050343888.db2.gz OFZVIHMURLESPA-UHFFFAOYSA-N 0 3 321.770 4.217 20 0 DIADHN O[C@@H](CN(Cc1ccc(F)cc1)C1CC1)c1ccccc1Cl ZINC000058562843 1050344035 /nfs/dbraw/zinc/34/40/35/1050344035.db2.gz HWDUFDFCJRMPNQ-SFHVURJKSA-N 0 3 319.807 4.177 20 0 DIADHN CCOc1ccc(CN(C)Cn2cnc3cc(C)c(C)cc32)cc1 ZINC000058592931 1050345754 /nfs/dbraw/zinc/34/57/54/1050345754.db2.gz UYCZWJHMFLIAGG-UHFFFAOYSA-N 0 3 323.440 4.141 20 0 DIADHN Cc1ccc(OC2CCN(C[C@H]3CC3(Cl)Cl)CC2)cc1 ZINC000735167973 1050351773 /nfs/dbraw/zinc/35/17/73/1050351773.db2.gz DBVDQPIBSXRVJC-CYBMUJFWSA-N 0 3 314.256 4.032 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc(F)cc(F)c2C)cc1 ZINC001143767801 1050352647 /nfs/dbraw/zinc/35/26/47/1050352647.db2.gz NCORUWUDTSWSGE-CYBMUJFWSA-N 0 3 305.368 4.475 20 0 DIADHN Cc1c(F)cc(F)cc1CN(Cc1ccccc1)CC(C)(C)O ZINC001143769685 1050354245 /nfs/dbraw/zinc/35/42/45/1050354245.db2.gz RPVJKLJNCBZLPC-UHFFFAOYSA-N 0 3 319.395 4.046 20 0 DIADHN Fc1ccccc1SCCN1CCCc2sccc2C1 ZINC000592983596 1050358088 /nfs/dbraw/zinc/35/80/88/1050358088.db2.gz LTOGUFBWLYEEBW-UHFFFAOYSA-N 0 3 307.459 4.428 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC(F)(F)F)cc2F)C2(CC2)C1 ZINC001143793577 1050361276 /nfs/dbraw/zinc/36/12/76/1050361276.db2.gz JCEYVMXEKRTATI-SNVBAGLBSA-N 0 3 303.299 4.099 20 0 DIADHN C[C@]1(O)CCCCN(Cc2ccc(C(F)(F)F)c(Cl)c2)C1 ZINC001143804488 1050366186 /nfs/dbraw/zinc/36/61/86/1050366186.db2.gz SFKWNZVWNPBBHO-AWEZNQCLSA-N 0 3 321.770 4.096 20 0 DIADHN Cc1nc(C(F)(F)F)c(COc2cc(C)nc(C)c2C)s1 ZINC000574092706 1050371282 /nfs/dbraw/zinc/37/12/82/1050371282.db2.gz UERPFYWRNHCIFR-UHFFFAOYSA-N 0 3 316.348 4.370 20 0 DIADHN C[C@](O)(CNCc1sccc1Cl)c1cccc(Cl)c1 ZINC000785512495 1050385773 /nfs/dbraw/zinc/38/57/73/1050385773.db2.gz AWUGFGXZIWYTHJ-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN COc1ccc(CN2CCC23CCCC3)cc1OC(F)(F)F ZINC001143871118 1050388112 /nfs/dbraw/zinc/38/81/12/1050388112.db2.gz URBSJYGJLTVCJE-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN CCC[C@H]([NH2+]Cc1nnc(-c2ccccc2)[n-]1)c1ccsc1 ZINC000785564731 1050392857 /nfs/dbraw/zinc/39/28/57/1050392857.db2.gz BKMPYEAXYPEPAU-HNNXBMFYSA-N 0 3 312.442 4.164 20 0 DIADHN CCC[C@H](N[C@@H]1CCCc2nc(C)ncc21)c1ccsc1 ZINC000785567052 1050393517 /nfs/dbraw/zinc/39/35/17/1050393517.db2.gz ZMQVRODKAOKVBH-DOTOQJQBSA-N 0 3 301.459 4.355 20 0 DIADHN CC(C)(C)OC(=O)NCCCCCCN1Cc2ccccc2C1 ZINC000844295826 1050394766 /nfs/dbraw/zinc/39/47/66/1050394766.db2.gz NUVOPRZYJZUQDK-UHFFFAOYSA-N 0 3 318.461 4.087 20 0 DIADHN O[C@@H](CNCc1ccc(Cl)s1)c1cc(F)cc(Cl)c1 ZINC000785616749 1050398902 /nfs/dbraw/zinc/39/89/02/1050398902.db2.gz WSJAISMBVQSJTL-LBPRGKRZSA-N 0 3 320.216 4.017 20 0 DIADHN Cc1c(CN2CCC(n3ccnc3)CC2)cccc1C(F)(F)F ZINC001143930021 1050403457 /nfs/dbraw/zinc/40/34/57/1050403457.db2.gz IDQMPEFYYBBNLZ-UHFFFAOYSA-N 0 3 323.362 4.047 20 0 DIADHN Cc1ccccc1OCCN1CCS[C@H](c2ccccc2)C1 ZINC000735563544 1050408803 /nfs/dbraw/zinc/40/88/03/1050408803.db2.gz WEZZPCYGEFTTAI-IBGZPJMESA-N 0 3 313.466 4.164 20 0 DIADHN CN(C)CCN(C(=O)CCCC1CCCCC1)C1CCCCC1 ZINC001143964075 1050419202 /nfs/dbraw/zinc/41/92/02/1050419202.db2.gz DOOPCAZVDUCHFF-UHFFFAOYSA-N 0 3 322.537 4.460 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC[C@@]3(CC3(F)F)C2)cc1 ZINC000844439045 1050422973 /nfs/dbraw/zinc/42/29/73/1050422973.db2.gz ZZWKGRFHTHFZSY-CYBMUJFWSA-N 0 3 321.289 4.206 20 0 DIADHN COc1ccc(F)c(CN(C)C23CC4CC(CC(C4)C2)C3)c1F ZINC001143977111 1050423404 /nfs/dbraw/zinc/42/34/04/1050423404.db2.gz WDCBEOGLXKIPEE-UHFFFAOYSA-N 0 3 321.411 4.374 20 0 DIADHN Cc1cc(CN2CCC[C@@]3(CC3(F)F)C2)c2ccccc2n1 ZINC000844437020 1050423806 /nfs/dbraw/zinc/42/38/06/1050423806.db2.gz KPVSSGXQWNEWNZ-QGZVFWFLSA-N 0 3 302.368 4.164 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC[C@]3(CC3(F)F)C2)c1 ZINC000844444936 1050429583 /nfs/dbraw/zinc/42/95/83/1050429583.db2.gz VROMCLJMMMDKJB-ZDUSSCGKSA-N 0 3 321.289 4.206 20 0 DIADHN CCOc1c(F)cc(CN(C)CCc2cccc(F)c2)cc1F ZINC001144032126 1050438025 /nfs/dbraw/zinc/43/80/25/1050438025.db2.gz JWEZOAWNHGSOSR-UHFFFAOYSA-N 0 3 323.358 4.177 20 0 DIADHN Cc1ccc(CN(Cc2cccc3c2OCCO3)C(C)C)s1 ZINC000058922497 1050448331 /nfs/dbraw/zinc/44/83/31/1050448331.db2.gz PDHBXWUAJMTMKZ-UHFFFAOYSA-N 0 3 317.454 4.238 20 0 DIADHN CN(Cc1cccc2ccccc21)Cc1cccc2c1OCCO2 ZINC000058907937 1050448817 /nfs/dbraw/zinc/44/88/17/1050448817.db2.gz JANNTPXYMSTXJD-UHFFFAOYSA-N 0 3 319.404 4.243 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3ccnc(Cl)c3)C2)cc1 ZINC000786123838 1050457381 /nfs/dbraw/zinc/45/73/81/1050457381.db2.gz ATSCNOUHHAFWBI-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1C[C@@H](c2ccc(C)cc2)C[C@H]1C ZINC000786126177 1050457440 /nfs/dbraw/zinc/45/74/40/1050457440.db2.gz PZJYIUXQOCSHOW-CJNGLKHVSA-N 0 3 317.864 4.068 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3ccc(Cl)nc3)C2)cc1 ZINC000786127465 1050457577 /nfs/dbraw/zinc/45/75/77/1050457577.db2.gz ANLVFEQKBGGKNX-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CC[C@@H](O)C[C@@H]3C)c2)cc1 ZINC001144090688 1050459684 /nfs/dbraw/zinc/45/96/84/1050459684.db2.gz OKBLVCSDVMAXHW-FUHWJXTLSA-N 0 3 311.425 4.133 20 0 DIADHN CO[C@H](C)C1CN(Cc2cccc(Oc3ccc(C)cc3)c2)C1 ZINC001144090513 1050461477 /nfs/dbraw/zinc/46/14/77/1050461477.db2.gz LNOOZVIYXVTMIB-MRXNPFEDSA-N 0 3 311.425 4.254 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NCc1sccc1C)CCO2 ZINC000178676731 1050468139 /nfs/dbraw/zinc/46/81/39/1050468139.db2.gz GWCFRZVCMPBFJT-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc(O)c2C)cc1 ZINC001144118995 1050470725 /nfs/dbraw/zinc/47/07/25/1050470725.db2.gz OOUOUUNLJGNDTR-LJQANCHMSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1c(O)cccc1CN1CCC(c2nc3ccccc3o2)CC1 ZINC001144107581 1050475169 /nfs/dbraw/zinc/47/51/69/1050475169.db2.gz LHCVGUIFXXSCRT-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000786444672 1050480993 /nfs/dbraw/zinc/48/09/93/1050480993.db2.gz HSTRLQAHWLKUMY-GUYCJALGSA-N 0 3 313.372 4.265 20 0 DIADHN c1csc(-c2nc(CNC[C@H]3CCCSC3)cs2)c1 ZINC000574550947 1050495257 /nfs/dbraw/zinc/49/52/57/1050495257.db2.gz FXWOZYJPSHTJIC-LLVKDONJSA-N 0 3 310.513 4.104 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCc2c1ccc(OC)c2F ZINC000574550808 1050495830 /nfs/dbraw/zinc/49/58/30/1050495830.db2.gz DBNNICJTSVBIAH-YVEFUNNKSA-N 0 3 314.404 4.130 20 0 DIADHN CCN(Cc1n[nH]c2ccc(F)cc21)Cc1ccc(Cl)cc1 ZINC001144202286 1050497848 /nfs/dbraw/zinc/49/78/48/1050497848.db2.gz LOFIPAMRBPLBQX-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)C[C@@H]1CC1(Cl)Cl ZINC000736946583 1050498092 /nfs/dbraw/zinc/49/80/92/1050498092.db2.gz QPRLJUUVSPHTGV-JQWIXIFHSA-N 0 3 306.664 4.397 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCC(=O)NC2CCCCC2)cc1 ZINC000594381241 1050505578 /nfs/dbraw/zinc/50/55/78/1050505578.db2.gz JAZQOCWGUWISQA-UHFFFAOYSA-N 0 3 316.489 4.084 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@H](c2ccncc2)C1 ZINC001170062773 1050509493 /nfs/dbraw/zinc/50/94/93/1050509493.db2.gz YTRZACBOGLIMPA-INIZCTEOSA-N 0 3 316.395 4.172 20 0 DIADHN COc1cc(CN2CCC[C@H]2C(C)C)ccc1Br ZINC001144234138 1050512137 /nfs/dbraw/zinc/51/21/37/1050512137.db2.gz OPFGCDVJUGEYQT-AWEZNQCLSA-N 0 3 312.251 4.078 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc(-n2cccc2)cc1 ZINC001144245822 1050515221 /nfs/dbraw/zinc/51/52/21/1050515221.db2.gz HUAUEKHOHDHWJZ-UHFFFAOYSA-N 0 3 318.420 4.182 20 0 DIADHN Fc1cccc(Cl)c1CC1CCN(Cc2ccccn2)CC1 ZINC001204059537 1050516931 /nfs/dbraw/zinc/51/69/31/1050516931.db2.gz DCHYOSDFXPQSQF-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN COC[C@H](N[C@@H](C)COc1ccccc1)c1ccc(Cl)cc1 ZINC000786815449 1050521325 /nfs/dbraw/zinc/52/13/25/1050521325.db2.gz RQONIKSCMWGRRS-KSSFIOAISA-N 0 3 319.832 4.085 20 0 DIADHN COC[C@H](NCCSC(C)(C)C)c1ccc(Cl)cc1 ZINC000786848085 1050521595 /nfs/dbraw/zinc/52/15/95/1050521595.db2.gz HJWJLGJFRWNUPL-AWEZNQCLSA-N 0 3 301.883 4.149 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@H](N[C@@H](C)c1ccsc1)CCC2 ZINC000594504722 1050522168 /nfs/dbraw/zinc/52/21/68/1050522168.db2.gz ULZDJHNVDCPAKF-YVEFUNNKSA-N 0 3 315.438 4.263 20 0 DIADHN CC(C)(c1noc([C@H]2CC[C@@H](C3CC3)N2)n1)C1CCCCC1 ZINC000844915653 1050522554 /nfs/dbraw/zinc/52/25/54/1050522554.db2.gz HCNMJHWCZWTORP-LSDHHAIUSA-N 0 3 303.450 4.131 20 0 DIADHN CCS[C@H]1CCCCN(Cn2ccc(-c3ccccc3)n2)C1 ZINC000738227245 1050528564 /nfs/dbraw/zinc/52/85/64/1050528564.db2.gz LEDWMMLKWQFIEF-KRWDZBQOSA-N 0 3 315.486 4.115 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1cccc(F)c1)c1csc(Cl)c1 ZINC000786924176 1050528804 /nfs/dbraw/zinc/52/88/04/1050528804.db2.gz XBWIWWJAHQUEJA-AMJWSMQMSA-N 0 3 313.825 4.313 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2cccnc2Cl)C1 ZINC000738313031 1050529313 /nfs/dbraw/zinc/52/93/13/1050529313.db2.gz UGIJTXWFPXWISU-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2cccnc2Cl)c1C ZINC000787002216 1050536587 /nfs/dbraw/zinc/53/65/87/1050536587.db2.gz UGBLJVFAHAKRCV-OAHLLOKOSA-N 0 3 318.848 4.472 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cc(F)cc(Cl)c1)c1ccccc1F ZINC000787023568 1050539714 /nfs/dbraw/zinc/53/97/14/1050539714.db2.gz CYKABTNOWJKGGE-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2cc(F)cc(Cl)c2)c(C)o1 ZINC000787036274 1050542693 /nfs/dbraw/zinc/54/26/93/1050542693.db2.gz PGXKEAJVDNOSFV-QLJPJBMISA-N 0 3 311.784 4.073 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2cc(F)ccc2Br)C1 ZINC001168405338 1050543739 /nfs/dbraw/zinc/54/37/39/1050543739.db2.gz XIUWRUYTMWJXRE-GFCCVEGCSA-N 0 3 314.242 4.456 20 0 DIADHN CCCCCC[C@H](C)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000787015867 1050545684 /nfs/dbraw/zinc/54/56/84/1050545684.db2.gz AYMRMMLUIIUYJL-GJZGRUSLSA-N 0 3 315.465 4.185 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccc(O)cc2C(F)(F)F)CC1 ZINC001144382334 1050553767 /nfs/dbraw/zinc/55/37/67/1050553767.db2.gz WEHLAMDHVXECMW-AWEZNQCLSA-N 0 3 305.315 4.125 20 0 DIADHN Cc1nc(F)ccc1CN(C)CCCOc1ccc(Cl)cc1 ZINC000787126627 1050556905 /nfs/dbraw/zinc/55/69/05/1050556905.db2.gz UVOWKTMEOJFNHV-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(O)cc2C(F)(F)F)cc1 ZINC001144386175 1050558097 /nfs/dbraw/zinc/55/80/97/1050558097.db2.gz UIEUHBXKYXESNO-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1ccc(-c2noc(C3CCN(C4CC4)CC3)n2)c(Cl)c1 ZINC001211432372 1050564432 /nfs/dbraw/zinc/56/44/32/1050564432.db2.gz ACQUBAAHALBKHN-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN COc1ccc(CNCc2cccc(C(C)C)c2)c(C)c1OC ZINC000574789289 1050567818 /nfs/dbraw/zinc/56/78/18/1050567818.db2.gz KWDJQMZRHHBIEO-UHFFFAOYSA-N 0 3 313.441 4.425 20 0 DIADHN CC[C@H](C)[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000574844638 1050568084 /nfs/dbraw/zinc/56/80/84/1050568084.db2.gz QBRPOIOVOBBJGE-SJCJKPOMSA-N 0 3 304.821 4.318 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]c3nc(Cl)ccc13)CC2 ZINC001144416350 1050568088 /nfs/dbraw/zinc/56/80/88/1050568088.db2.gz GOOYKVVMZPAJNP-CYBMUJFWSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1nc2ccc(Nc3cccc4c3OCC[C@H]4N(C)C)cc2o1 ZINC001213068558 1050575797 /nfs/dbraw/zinc/57/57/97/1050575797.db2.gz QNMPTYYGJBMJBU-QGZVFWFLSA-N 0 3 323.396 4.265 20 0 DIADHN Cc1nc2ccc(Nc3ccc(Br)cc3)cc2[nH]1 ZINC001213073196 1050577738 /nfs/dbraw/zinc/57/77/38/1050577738.db2.gz IUTGDDRPONGBCT-UHFFFAOYSA-N 0 3 302.175 4.377 20 0 DIADHN COCc1csc(CNCc2ccc(CSC)cc2)c1 ZINC000594940140 1050578500 /nfs/dbraw/zinc/57/85/00/1050578500.db2.gz HWLBGKOCANPWIW-UHFFFAOYSA-N 0 3 307.484 4.047 20 0 DIADHN Cc1nc2ccc(Nc3ccccc3OC3CCOCC3)cc2[nH]1 ZINC001213076271 1050579790 /nfs/dbraw/zinc/57/97/90/1050579790.db2.gz OESVEWRYZDCWHG-UHFFFAOYSA-N 0 3 323.396 4.173 20 0 DIADHN CSCc1cnc(CN[C@H](C)Cc2ccc(F)cc2C)s1 ZINC000594958002 1050581211 /nfs/dbraw/zinc/58/12/11/1050581211.db2.gz XPJIDTRAUFMOFA-GFCCVEGCSA-N 0 3 324.490 4.174 20 0 DIADHN CSCC1(CCNCc2csc(-c3ccsc3)n2)CC1 ZINC000594962540 1050583444 /nfs/dbraw/zinc/58/34/44/1050583444.db2.gz HJTZFSGLLKKLNB-UHFFFAOYSA-N 0 3 324.540 4.495 20 0 DIADHN CSCc1cnc(CN[C@H](C)C2CCC(F)CC2)s1 ZINC000594990597 1050590076 /nfs/dbraw/zinc/59/00/76/1050590076.db2.gz UMEAPONCXVGZJR-VOMCLLRMSA-N 0 3 302.484 4.013 20 0 DIADHN Cc1ccc(CN[C@](C)(CNC(=O)OC(C)(C)C)CC(C)C)o1 ZINC000227024222 1050601216 /nfs/dbraw/zinc/60/12/16/1050601216.db2.gz PXEJBXAGAIFSQF-SFHVURJKSA-N 0 3 324.465 4.007 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@H](c3cccnc3)C2)c1 ZINC000574875165 1050604665 /nfs/dbraw/zinc/60/46/65/1050604665.db2.gz WAPQCVJASATNRM-HNNXBMFYSA-N 0 3 306.331 4.090 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)C(C)(C)CNC3=O)ccn1 ZINC001213168852 1050606828 /nfs/dbraw/zinc/60/68/28/1050606828.db2.gz WMFMGRXCGPRNGY-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)CC(=O)NC3(C)C)ccn1 ZINC001213168861 1050607108 /nfs/dbraw/zinc/60/71/08/1050607108.db2.gz WRLFKPMIEDAHEV-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN CC(=O)c1cc2c(cc1Nc1ccnc(C(C)(C)C)c1)OCO2 ZINC001213168512 1050607395 /nfs/dbraw/zinc/60/73/95/1050607395.db2.gz KBHODKVMWBPVLF-UHFFFAOYSA-N 0 3 312.369 4.054 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc3c2C(C)(C)C(=O)NC3)ccn1 ZINC001213168711 1050607559 /nfs/dbraw/zinc/60/75/59/1050607559.db2.gz PWTPILKPGYODME-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCCCCC3)o2)cc1 ZINC000065280880 1050609352 /nfs/dbraw/zinc/60/93/52/1050609352.db2.gz ZMUAEDJHUVJMKN-UHFFFAOYSA-N 0 3 300.402 4.116 20 0 DIADHN CCSC1(CN[C@@H](c2ccc(F)cc2)c2cccnc2)CC1 ZINC000595093843 1050611882 /nfs/dbraw/zinc/61/18/82/1050611882.db2.gz PCZUGEMIPNWAEN-KRWDZBQOSA-N 0 3 316.445 4.185 20 0 DIADHN CCCCCCN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000740876531 1050636849 /nfs/dbraw/zinc/63/68/49/1050636849.db2.gz YMDDSUGWOARVJF-SFHVURJKSA-N 0 3 303.425 4.161 20 0 DIADHN Clc1cnc2oc(CN3CCC(OC4CCC4)CC3)cc2c1 ZINC000669573103 1050643251 /nfs/dbraw/zinc/64/32/51/1050643251.db2.gz AMWXTDDYUWXANU-UHFFFAOYSA-N 0 3 320.820 4.015 20 0 DIADHN CC[C@@H](OCCNCc1sc(C)nc1C)c1ccccc1 ZINC000846019989 1050646096 /nfs/dbraw/zinc/64/60/96/1050646096.db2.gz BYFJDKLYUUMYFY-MRXNPFEDSA-N 0 3 304.459 4.017 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000575202629 1050647316 /nfs/dbraw/zinc/64/73/16/1050647316.db2.gz SDDMWONVGFFXLH-KXBFYZLASA-N 0 3 300.421 4.088 20 0 DIADHN C[C@H]1CC(C)(C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC001171207444 1050650132 /nfs/dbraw/zinc/65/01/32/1050650132.db2.gz ANZYTBKDQSOMFW-INIZCTEOSA-N 0 3 322.452 4.413 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@@]2(C)C[C@@]2(F)Cl)s1 ZINC000846038711 1050655411 /nfs/dbraw/zinc/65/54/11/1050655411.db2.gz QUOIVEVMEXGFRT-KBPBESRZSA-N 0 3 304.862 4.235 20 0 DIADHN C[C@@]1(CCNCc2coc(-c3ccccc3)n2)C[C@]1(F)Cl ZINC000846041154 1050658322 /nfs/dbraw/zinc/65/83/22/1050658322.db2.gz ZAUUSFIAHQHSMW-HZPDHXFCSA-N 0 3 308.784 4.136 20 0 DIADHN COc1cccc2cc(CN3CCC[C@]4(CC4(F)F)C3)oc21 ZINC000846107746 1050661721 /nfs/dbraw/zinc/66/17/21/1050661721.db2.gz JZFLNFANJRWCAH-INIZCTEOSA-N 0 3 307.340 4.063 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@]3(CC3(F)F)C2)c1 ZINC000846109326 1050663806 /nfs/dbraw/zinc/66/38/06/1050663806.db2.gz UQXDOBRNBLPDSR-KRWDZBQOSA-N 0 3 317.383 4.006 20 0 DIADHN Cc1nc([C@@H](C)NCCC[C@@H]2CC2(Cl)Cl)c(C)s1 ZINC000846111885 1050664081 /nfs/dbraw/zinc/66/40/81/1050664081.db2.gz WQBKMZYYHJSQJO-LDYMZIIASA-N 0 3 307.290 4.385 20 0 DIADHN Fc1cccc(-c2ccc(CN3C[C@H]4[C@@H](C3)C4(F)F)s2)c1 ZINC000846113184 1050666364 /nfs/dbraw/zinc/66/63/64/1050666364.db2.gz VZERVODVOAEHKO-OKILXGFUSA-N 0 3 309.356 4.251 20 0 DIADHN Cc1ccc([C@]2(C)CN(Cc3cccc4cc[nH]c43)CCO2)cc1 ZINC001144717511 1050667395 /nfs/dbraw/zinc/66/73/95/1050667395.db2.gz VGIKAUOMSMNXAF-NRFANRHFSA-N 0 3 320.436 4.224 20 0 DIADHN Cc1cccc(N2CCN(Cc3cccc4cc[nH]c43)CC2)c1C ZINC001144717408 1050668213 /nfs/dbraw/zinc/66/82/13/1050668213.db2.gz PRXCSYVMGUFVPK-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc4cc[nH]c43)CC2)c(C)c1 ZINC001144717198 1050668655 /nfs/dbraw/zinc/66/86/55/1050668655.db2.gz GOGGYVGNZNAYKY-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN COC(=O)CC(C)(C)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000741255371 1050669849 /nfs/dbraw/zinc/66/98/49/1050669849.db2.gz TZHRFOPWFXCDJP-UHFFFAOYSA-N 0 3 321.804 4.031 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC000846105215 1050672353 /nfs/dbraw/zinc/67/23/53/1050672353.db2.gz RMNXYOIFGKMBKN-GOSISDBHSA-N 0 3 317.379 4.470 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC001171216471 1050677238 /nfs/dbraw/zinc/67/72/38/1050677238.db2.gz GQRBPOGGPSYCPL-CXAGYDPISA-N 0 3 316.832 4.408 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2ccc3scnc3c2)C1 ZINC001144737101 1050693289 /nfs/dbraw/zinc/69/32/89/1050693289.db2.gz NAZIQKCLHNRWMU-MRXNPFEDSA-N 0 3 324.449 4.258 20 0 DIADHN CN1CCc2ccc(Nc3ccccc3N3CCCC3)cc2C1 ZINC001213615296 1050697923 /nfs/dbraw/zinc/69/79/23/1050697923.db2.gz PXBQWFFASLHJEI-UHFFFAOYSA-N 0 3 307.441 4.018 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C(C)C)[C@H](O)c2ccccc2)s1 ZINC000788541358 1050700732 /nfs/dbraw/zinc/70/07/32/1050700732.db2.gz WCOLFWUYQKUUCY-ZWKOTPCHSA-N 0 3 318.486 4.114 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1ccc3scnc3c1)CC2 ZINC001144743256 1050701723 /nfs/dbraw/zinc/70/17/23/1050701723.db2.gz GYZUADLSVAWWGD-UHFFFAOYSA-N 0 3 314.454 4.022 20 0 DIADHN C[C@H](CN[C@H]1COCc2cccc(Cl)c21)c1ccc(F)cc1 ZINC000788595689 1050710558 /nfs/dbraw/zinc/71/05/58/1050710558.db2.gz UWABQWAAFZRKFH-PXAZEXFGSA-N 0 3 319.807 4.444 20 0 DIADHN CCC(CC)CN[C@@H](C)c1nc(Br)ccc1F ZINC000788596249 1050712124 /nfs/dbraw/zinc/71/21/24/1050712124.db2.gz YFEFIVVROKYSFR-VIFPVBQESA-N 0 3 303.219 4.070 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccccc1Cl ZINC000788604223 1050713267 /nfs/dbraw/zinc/71/32/67/1050713267.db2.gz GYTVLBINNNGWJX-YVEFUNNKSA-N 0 3 304.821 4.489 20 0 DIADHN CCc1ccc(NC(=O)CN2C[C@@H](C)C[C@H]2c2ccccc2)cc1 ZINC001170086897 1050713951 /nfs/dbraw/zinc/71/39/51/1050713951.db2.gz ZHFSICBEXJOAQN-JXFKEZNVSA-N 0 3 322.452 4.271 20 0 DIADHN Clc1cccc2c1[C@@H](NCCCc1cccs1)COC2 ZINC000788688612 1050725345 /nfs/dbraw/zinc/72/53/45/1050725345.db2.gz FSUXNZABCPNVDW-HNNXBMFYSA-N 0 3 307.846 4.195 20 0 DIADHN Clc1ccc2c(n1)[C@H](NCCc1cc3ccccc3o1)CC2 ZINC000788666135 1050728011 /nfs/dbraw/zinc/72/80/11/1050728011.db2.gz QHIKLMIOLQWIOB-OAHLLOKOSA-N 0 3 312.800 4.301 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)cc1Cl)c1ccccn1 ZINC000180091913 1050732332 /nfs/dbraw/zinc/73/23/32/1050732332.db2.gz SAQUURHNPMHBSL-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN Cc1ccccc1[C@H](O)CN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000741778081 1050738357 /nfs/dbraw/zinc/73/83/57/1050738357.db2.gz UKAIBBNKHZZJQE-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](O)c1ccccc1C ZINC000741781137 1050739818 /nfs/dbraw/zinc/73/98/18/1050739818.db2.gz LGBMCKLVMIFLCO-LJQANCHMSA-N 0 3 301.405 4.080 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](O)c1ccccc1C ZINC000741781135 1050740743 /nfs/dbraw/zinc/74/07/43/1050740743.db2.gz LGBMCKLVMIFLCO-IBGZPJMESA-N 0 3 301.405 4.080 20 0 DIADHN C[C@@H]1CN(Cc2ccnc(Cl)c2)CC[C@@H]1c1ccccc1 ZINC000741806903 1050742963 /nfs/dbraw/zinc/74/29/63/1050742963.db2.gz FTRSTEKQVACDIK-PBHICJAKSA-N 0 3 300.833 4.361 20 0 DIADHN Cc1cnc([C@H](C)CN[C@H]2COCc3cccc(Cl)c32)s1 ZINC000788741831 1050743651 /nfs/dbraw/zinc/74/36/51/1050743651.db2.gz VXIKZMGYFYUBRY-YGRLFVJLSA-N 0 3 322.861 4.070 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(Cl)nc2)C12CCCCC2 ZINC000741809367 1050744991 /nfs/dbraw/zinc/74/49/91/1050744991.db2.gz HFYJDXDPWFIMLG-HZPDHXFCSA-N 0 3 322.880 4.295 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000788776921 1050753748 /nfs/dbraw/zinc/75/37/48/1050753748.db2.gz QJSFYFTVMLJODO-IUODEOHRSA-N 0 3 324.346 4.440 20 0 DIADHN Cc1nc2ccc(Nc3ccc4c(C)cc(=O)oc4c3)cc2[nH]1 ZINC001213073085 1050755331 /nfs/dbraw/zinc/75/53/31/1050755331.db2.gz CVLGENTZZFACIX-UHFFFAOYSA-N 0 3 305.337 4.030 20 0 DIADHN CN(C)[C@H](CNc1ccnc2c(F)cccc12)c1ccsc1 ZINC000788833674 1050759251 /nfs/dbraw/zinc/75/92/51/1050759251.db2.gz DGFZZVACLXWTQP-MRXNPFEDSA-N 0 3 315.417 4.150 20 0 DIADHN c1ccc([C@@H](NCc2cccc3c2OCCCO3)C2CCC2)cc1 ZINC000596670905 1050759596 /nfs/dbraw/zinc/75/95/96/1050759596.db2.gz MUOJKMFLGHAKFX-HXUWFJFHSA-N 0 3 323.436 4.479 20 0 DIADHN CC1(C(=O)OCc2ccccc2)CCN(Cc2ccccc2)CC1 ZINC000772725662 1050763302 /nfs/dbraw/zinc/76/33/02/1050763302.db2.gz ZMJAAKCDXNZHEL-UHFFFAOYSA-N 0 3 323.436 4.032 20 0 DIADHN CC[C@H](NCc1ccc2nonc2c1)c1cccc(Cl)c1 ZINC000846843368 1050769151 /nfs/dbraw/zinc/76/91/51/1050769151.db2.gz QJASVJLKINXMEP-AWEZNQCLSA-N 0 3 301.777 4.117 20 0 DIADHN C[C@@H](NCc1ccc2nonc2c1)c1ccc(C(F)(F)F)cc1 ZINC000846843019 1050770087 /nfs/dbraw/zinc/77/00/87/1050770087.db2.gz LLXDPFNAKKBNAU-SNVBAGLBSA-N 0 3 321.302 4.092 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCC1(Br)CC1 ZINC000846842305 1050770521 /nfs/dbraw/zinc/77/05/21/1050770521.db2.gz HCAFGKDQWABDQY-LBPRGKRZSA-N 0 3 312.251 4.052 20 0 DIADHN CCOc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)ccc1O ZINC000772957233 1050775951 /nfs/dbraw/zinc/77/59/51/1050775951.db2.gz CUCZGAIFWNTYSS-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN COC(=O)CC[C@H](N[C@@H]1CCc2cc(C)ccc21)c1ccccc1 ZINC000772956995 1050782274 /nfs/dbraw/zinc/78/22/74/1050782274.db2.gz DHYNLEJAPUJPCL-VQTJNVASSA-N 0 3 323.436 4.266 20 0 DIADHN CC(C)Oc1ccc(CN2CCC=C(c3ccccn3)C2)cc1 ZINC000846922348 1050783134 /nfs/dbraw/zinc/78/31/34/1050783134.db2.gz WEUAAQHETOEHOZ-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN COC(=O)CC[C@@H](N[C@H]1CCc2cc(C)ccc21)c1ccccc1 ZINC000772956994 1050783226 /nfs/dbraw/zinc/78/32/26/1050783226.db2.gz DHYNLEJAPUJPCL-UXHICEINSA-N 0 3 323.436 4.266 20 0 DIADHN COC(=O)c1c(F)cccc1Nc1ccnc(C2CCC2)c1 ZINC001214048235 1050803412 /nfs/dbraw/zinc/80/34/12/1050803412.db2.gz XECAWDJMPOJGRU-UHFFFAOYSA-N 0 3 300.333 4.018 20 0 DIADHN CCc1ccc(CNCc2ccc(C(=O)OC)c(Cl)c2)s1 ZINC000597051302 1050803786 /nfs/dbraw/zinc/80/37/86/1050803786.db2.gz UJBCDNRWNGHUCB-UHFFFAOYSA-N 0 3 323.845 4.040 20 0 DIADHN C[C@@H](NCc1ccc(F)c(F)c1)c1cccc(-n2ccnc2)c1 ZINC000742392565 1050829308 /nfs/dbraw/zinc/82/93/08/1050829308.db2.gz QVFMFJAGIZQYIC-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN CCn1ccc(CN(C)Cc2ccccc2N2CCCCC2)c1 ZINC000789478116 1050832129 /nfs/dbraw/zinc/83/21/29/1050832129.db2.gz KPYVKYZWRXNJLV-UHFFFAOYSA-N 0 3 311.473 4.130 20 0 DIADHN COc1ccc(CNCc2cnc3ccccc3c2)cc1Cl ZINC000742369369 1050832148 /nfs/dbraw/zinc/83/21/48/1050832148.db2.gz BONFPPPUGXVXEN-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(SC(F)F)cc2)c1 ZINC000789505989 1050844426 /nfs/dbraw/zinc/84/44/26/1050844426.db2.gz VZIBWVMTXQCXQI-UHFFFAOYSA-N 0 3 310.413 4.455 20 0 DIADHN COc1ccc(Cl)c2c1C[C@H](N[C@H](C)c1nccs1)CC2 ZINC001171290062 1050846506 /nfs/dbraw/zinc/84/65/06/1050846506.db2.gz WDKABNAOLHDVPC-GHMZBOCLSA-N 0 3 322.861 4.013 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2CCCCCCC2)c(F)c1 ZINC000839823995 1050850478 /nfs/dbraw/zinc/85/04/78/1050850478.db2.gz OFJVINBROCOQDP-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN Clc1ccc([C@H](N[C@@H]2C[C@H]3CC[C@@H]2O3)c2ccccc2)cc1 ZINC000180549461 1050854569 /nfs/dbraw/zinc/85/45/69/1050854569.db2.gz UCDUWQBRHQMZJM-AKHDSKFASA-N 0 3 313.828 4.339 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(F)cc(Br)cc2F)C1 ZINC001170112776 1050854723 /nfs/dbraw/zinc/85/47/23/1050854723.db2.gz LKKJYYGZXZXKET-WPRPVWTQSA-N 0 3 304.178 4.006 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c(F)cc(Br)cc2F)C1 ZINC001170112773 1050855740 /nfs/dbraw/zinc/85/57/40/1050855740.db2.gz LKKJYYGZXZXKET-PSASIEDQSA-N 0 3 304.178 4.006 20 0 DIADHN CCOc1ccccc1CN[C@H]1CCCc2c(OC)cccc21 ZINC000669613690 1050856871 /nfs/dbraw/zinc/85/68/71/1050856871.db2.gz XYDKFJZTKATHLI-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN COc1ccc(C)cc1CN[C@@H]1CCCc2c(OC)cccc21 ZINC000669615909 1050862770 /nfs/dbraw/zinc/86/27/70/1050862770.db2.gz WRXYRMGYSLYMDB-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cl)[C@@H]1CCC[C@H]1C(C)(C)C ZINC001171298217 1050864672 /nfs/dbraw/zinc/86/46/72/1050864672.db2.gz RIHPPMDTTFHDMF-CZUORRHYSA-N 0 3 322.880 4.425 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCCC[C@@H]1CC(C)C ZINC001147276996 1050873207 /nfs/dbraw/zinc/87/32/07/1050873207.db2.gz ODNACEQEEKKACG-GOSISDBHSA-N 0 3 310.526 4.316 20 0 DIADHN Cc1ccc(F)cc1CN1C[C@@H](C(=O)OC(C)(C)C)CC[C@H]1C ZINC001171306270 1050882670 /nfs/dbraw/zinc/88/26/70/1050882670.db2.gz GLBAYGDFWCUHIN-CABCVRRESA-N 0 3 321.436 4.076 20 0 DIADHN Cc1ccc(C(=O)N(c2ccccc2)C2CCN(C)CC2)c(C)c1 ZINC000601961643 1050884611 /nfs/dbraw/zinc/88/46/11/1050884611.db2.gz GQEWWHWNDWWIJF-UHFFFAOYSA-N 0 3 322.452 4.044 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(Cl)cc3O)cccc21 ZINC001214413837 1050895665 /nfs/dbraw/zinc/89/56/65/1050895665.db2.gz PMPNFHNBVKVVEL-OAHLLOKOSA-N 0 3 318.804 4.174 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](c2nc3ccccc3n2C)c2ccccc2)C1 ZINC001170124922 1050905620 /nfs/dbraw/zinc/90/56/20/1050905620.db2.gz YQQVYRALRJSNMB-SYNHAJSKSA-N 0 3 319.452 4.441 20 0 DIADHN CCCCC[C@H](C)CC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000742673218 1050913780 /nfs/dbraw/zinc/91/37/80/1050913780.db2.gz YVELYPLVPTWNES-KRWDZBQOSA-N 0 3 310.526 4.172 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000742673219 1050914629 /nfs/dbraw/zinc/91/46/29/1050914629.db2.gz YVELYPLVPTWNES-QGZVFWFLSA-N 0 3 310.526 4.172 20 0 DIADHN CC(C)P(CCNC(=O)c1cn(C)c2ccccc12)C(C)C ZINC001148195654 1050921908 /nfs/dbraw/zinc/92/19/08/1050921908.db2.gz GKIQTLAFXALCLD-UHFFFAOYSA-N 0 3 318.401 4.207 20 0 DIADHN COc1cccc2cc(CN[C@@H]3CCc4cc(F)ccc43)oc21 ZINC000742717911 1050931024 /nfs/dbraw/zinc/93/10/24/1050931024.db2.gz PMYBWJJBMPIOEI-QGZVFWFLSA-N 0 3 311.356 4.358 20 0 DIADHN COc1cccc(-c2ccc(CN[C@@H](C)c3c[nH]nc3C)cc2)c1 ZINC000180643002 1050942238 /nfs/dbraw/zinc/94/22/38/1050942238.db2.gz SBNLFXXDKCZGHP-AWEZNQCLSA-N 0 3 321.424 4.245 20 0 DIADHN COC(=O)c1cccc(Nc2ccnc(C3CCC3)c2)c1F ZINC001214576738 1050948402 /nfs/dbraw/zinc/94/84/02/1050948402.db2.gz LBHHEEWAIHSVHO-UHFFFAOYSA-N 0 3 300.333 4.018 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1CC[C@H](C2CCCC2)C1 ZINC001171332945 1050953243 /nfs/dbraw/zinc/95/32/43/1050953243.db2.gz VDYCOAREXOBQDO-HIFRSBDPSA-N 0 3 320.864 4.179 20 0 DIADHN COc1cc(C)ccc1C(=O)NCCP(C(C)C)C(C)C ZINC001148576082 1050956941 /nfs/dbraw/zinc/95/69/41/1050956941.db2.gz AIQZZJDPEAYZKD-UHFFFAOYSA-N 0 3 309.390 4.032 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000180695110 1050959145 /nfs/dbraw/zinc/95/91/45/1050959145.db2.gz LMXGBBBFWUYAJD-ZIAGYGMSSA-N 0 3 306.413 4.192 20 0 DIADHN Cc1ccc2nc(CN[C@H](C)Cc3ccccc3Cl)cn2c1 ZINC000790516479 1050969669 /nfs/dbraw/zinc/96/96/69/1050969669.db2.gz DKZFXLSAPPVFOV-CQSZACIVSA-N 0 3 313.832 4.017 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000790715709 1050988788 /nfs/dbraw/zinc/98/87/88/1050988788.db2.gz SMEOVWYUKBKGGA-DZGCQCFKSA-N 0 3 306.450 4.078 20 0 DIADHN Cc1ccc([C@H](O)CN(C)Cc2ccc(Cl)c(Cl)c2)cc1 ZINC000743250023 1051004134 /nfs/dbraw/zinc/00/41/34/1051004134.db2.gz UGUKJOXTLBBMES-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccsc1)c1cccc(Cl)c1 ZINC000743260761 1051008104 /nfs/dbraw/zinc/00/81/04/1051008104.db2.gz IJTGXKMNXQNSID-HOTGVXAUSA-N 0 3 307.846 4.272 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(OCC2CC2)cc1 ZINC000790961275 1051010591 /nfs/dbraw/zinc/01/05/91/1051010591.db2.gz OVMFULIBXTZBHH-UHFFFAOYSA-N 0 3 324.468 4.221 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1cccc(F)c1)c1cccc(Cl)c1 ZINC000743262246 1051011118 /nfs/dbraw/zinc/01/11/18/1051011118.db2.gz SDIUMBDEZSBSKQ-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN CCC(CC)CN(CC)CN1C(=O)C[C@H]1c1ccc(Cl)cc1 ZINC000743352716 1051014004 /nfs/dbraw/zinc/01/40/04/1051014004.db2.gz NGJUXGRPOYYLGJ-KRWDZBQOSA-N 0 3 322.880 4.329 20 0 DIADHN CC1(C)CN([C@H]2CCc3c(F)cc(F)cc3C2)CCC1(F)F ZINC001171366979 1051015364 /nfs/dbraw/zinc/01/53/64/1051015364.db2.gz RBTOIUOMWAUCOM-ZDUSSCGKSA-N 0 3 315.354 4.189 20 0 DIADHN CC1(C)CN([C@@H]2CCc3c(F)cc(F)cc3C2)CCC1(F)F ZINC001171366978 1051015394 /nfs/dbraw/zinc/01/53/94/1051015394.db2.gz RBTOIUOMWAUCOM-CYBMUJFWSA-N 0 3 315.354 4.189 20 0 DIADHN CCCCN(CN1C(=O)C[C@H]1c1ccc(Cl)cc1)[C@H](C)CC ZINC000743354407 1051016884 /nfs/dbraw/zinc/01/68/84/1051016884.db2.gz PDVGAVVIGHQMCI-PBHICJAKSA-N 0 3 322.880 4.472 20 0 DIADHN CCCCN(CN1C(=O)[C@H](C)[C@@H]1c1ccccc1)[C@H](C)CC ZINC000743353564 1051016996 /nfs/dbraw/zinc/01/69/96/1051016996.db2.gz DTVGSAVELHFAEM-JFIYKMOQSA-N 0 3 302.462 4.064 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)NCc1ccsc1Cl ZINC000790980384 1051017983 /nfs/dbraw/zinc/01/79/83/1051017983.db2.gz MYWMRPCFPVSPJR-MWLCHTKSSA-N 0 3 301.864 4.065 20 0 DIADHN CCCCN(CCCC)CN1C(=O)[C@@H](C)[C@H]1c1ccccc1 ZINC000743354897 1051017994 /nfs/dbraw/zinc/01/79/94/1051017994.db2.gz YELVUEYLXWTGMW-WMZOPIPTSA-N 0 3 302.462 4.066 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1cc2ccccc2o1 ZINC000773009923 1051021147 /nfs/dbraw/zinc/02/11/47/1051021147.db2.gz FDKNHRHNJMIKCF-MLGOLLRUSA-N 0 3 315.800 4.470 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cn2ccc(-c3cccs3)n2)C1 ZINC000743398062 1051022089 /nfs/dbraw/zinc/02/20/89/1051022089.db2.gz FXOZLLCYNVJJOJ-UONOGXRCSA-N 0 3 321.515 4.175 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cn2cc(-c3ccccc3)cn2)C1 ZINC000743398419 1051022246 /nfs/dbraw/zinc/02/22/46/1051022246.db2.gz HRRQDOKLJCXDFI-ROUUACIJSA-N 0 3 315.486 4.114 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@H]1CCc2ccc(Cl)cc21 ZINC000773029667 1051028932 /nfs/dbraw/zinc/02/89/32/1051028932.db2.gz ZIAPOBWIRBHCTA-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000773045284 1051037463 /nfs/dbraw/zinc/03/74/63/1051037463.db2.gz IAQCUGAZZDQHJJ-CNOZUTPLSA-N 0 3 323.358 4.267 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)s1 ZINC000773041380 1051037767 /nfs/dbraw/zinc/03/77/67/1051037767.db2.gz PPWOJXDZZAJHEJ-MELADBBJSA-N 0 3 307.507 4.284 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000773045102 1051038208 /nfs/dbraw/zinc/03/82/08/1051038208.db2.gz XSNGCVNHDYTHML-CNOZUTPLSA-N 0 3 323.358 4.267 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](CC)Oc1ccccc1C ZINC000773048213 1051040194 /nfs/dbraw/zinc/04/01/94/1051040194.db2.gz QAUOAXBJJUXNEZ-GOEBONIOSA-N 0 3 316.445 4.362 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(C[C@H]2CC2(Cl)Cl)C1 ZINC000743854519 1051077603 /nfs/dbraw/zinc/07/76/03/1051077603.db2.gz AXKOEIUWCVASRC-QKCSRTOESA-N 0 3 302.220 4.402 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NCc2c(C)cccc2C)s1 ZINC000773067782 1051045102 /nfs/dbraw/zinc/04/51/02/1051045102.db2.gz KTNZPOHYUZQHLX-CQSZACIVSA-N 0 3 318.486 4.108 20 0 DIADHN CC[C@@H](NC[C@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC000773070561 1051047225 /nfs/dbraw/zinc/04/72/25/1051047225.db2.gz RMSOSRXKFATZGX-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@@H](C)c2csc(Cl)c2)cc1 ZINC000797020640 1051050547 /nfs/dbraw/zinc/05/05/47/1051050547.db2.gz WMFPHUYFSOLUDF-NHYWBVRUSA-N 0 3 309.862 4.348 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)NCc1cccc(F)n1 ZINC000773088611 1051057255 /nfs/dbraw/zinc/05/72/55/1051057255.db2.gz PMOVXPNAXBHMDS-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN COCCCC[C@H](NCc1ccc(Cl)nc1)c1ccccc1 ZINC000791612723 1051062319 /nfs/dbraw/zinc/06/23/19/1051062319.db2.gz VQMXSAYGHUVGRF-KRWDZBQOSA-N 0 3 318.848 4.383 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2ccc[nH]2)cc1OCC ZINC000773115568 1051065169 /nfs/dbraw/zinc/06/51/69/1051065169.db2.gz GHCXCJSDVHRECM-ZIAGYGMSSA-N 0 3 302.418 4.224 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Br)ccc21)c1ccc[nH]1 ZINC000773116288 1051067832 /nfs/dbraw/zinc/06/78/32/1051067832.db2.gz IRCRERRFLGBYDO-ZUZCIYMTSA-N 0 3 305.219 4.115 20 0 DIADHN CSc1ccccc1CN(C)Cc1cccc2nsnc21 ZINC000791605027 1051068291 /nfs/dbraw/zinc/06/82/91/1051068291.db2.gz ZGNOBIZWCDRCOU-UHFFFAOYSA-N 0 3 315.467 4.045 20 0 DIADHN CC[C@@H](NC[C@](C)(O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000773122977 1051071757 /nfs/dbraw/zinc/07/17/57/1051071757.db2.gz XNHLQSUUASAEDQ-MSOLQXFVSA-N 0 3 323.358 4.052 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cccc(Cl)n1 ZINC000743850874 1051074356 /nfs/dbraw/zinc/07/43/56/1051074356.db2.gz DQVPSOAZZRSRBB-GXTWGEPZSA-N 0 3 304.796 4.252 20 0 DIADHN ClC1(Cl)C[C@H]1CN1CCC(OCC2CCCCC2)CC1 ZINC000743854029 1051077830 /nfs/dbraw/zinc/07/78/30/1051077830.db2.gz JNOOOBHIIYFHQF-AWEZNQCLSA-N 0 3 320.304 4.242 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(C[C@H](O)c2ccccc2F)C1 ZINC000743856139 1051079571 /nfs/dbraw/zinc/07/95/71/1051079571.db2.gz HDJKCYDYLQYAOW-VMDGZTHMSA-N 0 3 317.379 4.081 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N2CCC3(CCCC3)C2)c1 ZINC000743864980 1051084102 /nfs/dbraw/zinc/08/41/02/1051084102.db2.gz ZMXZOBMDPCCVGS-AWEZNQCLSA-N 0 3 318.486 4.002 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2cccc(Cl)c2)[C@H](c2ccco2)C1 ZINC000743875301 1051085846 /nfs/dbraw/zinc/08/58/46/1051085846.db2.gz LODZEQWUZGBYIT-COXVUDFISA-N 0 3 319.832 4.440 20 0 DIADHN C[C@H](N[C@H]1CCc2c(F)cccc2C1)c1c(F)cccc1F ZINC001171406251 1051091909 /nfs/dbraw/zinc/09/19/09/1051091909.db2.gz DCNKGXCRDOKALA-AAEUAGOBSA-N 0 3 305.343 4.312 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2ncc(Br)s2)C1 ZINC000714945674 1051097660 /nfs/dbraw/zinc/09/76/60/1051097660.db2.gz KSZZVCYQWGNRPH-QWRGUYRKSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@H](Cc1ccco1)N[C@@H](C)c1ccccc1Br ZINC000036993860 1051104900 /nfs/dbraw/zinc/10/49/00/1051104900.db2.gz VVJGPDUMCVPGBL-NEPJUHHUSA-N 0 3 308.219 4.324 20 0 DIADHN CC[C@@H]1C[C@H](N(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC001170225920 1051161441 /nfs/dbraw/zinc/16/14/41/1051161441.db2.gz DNOWKUBIOBGYAJ-CHWSQXEVSA-N 0 3 302.245 4.383 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1Nc1cnc(C)n1C ZINC001215225234 1051123109 /nfs/dbraw/zinc/12/31/09/1051123109.db2.gz NVKVLWSMFKMLML-UHFFFAOYSA-N 0 3 323.396 4.060 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H]2COc2ccc(Cl)cc2)c1 ZINC000792196647 1051125744 /nfs/dbraw/zinc/12/57/44/1051125744.db2.gz QOLRVVBSXRPLGS-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN CCN(CCc1ccccn1)Cc1cnc(-c2ccccc2)s1 ZINC000744194888 1051129171 /nfs/dbraw/zinc/12/91/71/1051129171.db2.gz ZGFCUZVUMJXZIE-UHFFFAOYSA-N 0 3 323.465 4.270 20 0 DIADHN CC[C@@H]1C[C@H](NC2c3ccccc3Oc3ccccc32)CCO1 ZINC001170181912 1051145619 /nfs/dbraw/zinc/14/56/19/1051145619.db2.gz KOGNSRCMJGGACO-HUUCEWRRSA-N 0 3 309.409 4.429 20 0 DIADHN CO[C@H](CNC1(c2ccccc2Cl)CC1)Cc1ccccc1 ZINC001171469607 1051148240 /nfs/dbraw/zinc/14/82/40/1051148240.db2.gz HROQGCXQZIDLTG-INIZCTEOSA-N 0 3 315.844 4.176 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@H](N1CCCC(F)(F)CC1)CC2 ZINC001171532709 1051152289 /nfs/dbraw/zinc/15/22/89/1051152289.db2.gz FHGUEAZOFVOELO-LLVKDONJSA-N 0 3 319.317 4.082 20 0 DIADHN CCCC[C@@H](C(=O)OC)N1CCC[C@@](C)(c2ccccc2)CC1 ZINC001170196360 1051154050 /nfs/dbraw/zinc/15/40/50/1051154050.db2.gz ZTOGMSHRPBXPEW-AZUAARDMSA-N 0 3 317.473 4.162 20 0 DIADHN CCc1ccc(N2CCN(CCC=C(C)C)CC2)cc1Cl ZINC001170235280 1051157938 /nfs/dbraw/zinc/15/79/38/1051157938.db2.gz XTBGZSUOCDTLTL-UHFFFAOYSA-N 0 3 306.881 4.381 20 0 DIADHN CC[C@@H]1C[C@@H](N2CCc3c(cccc3C(F)(F)F)C2)CCO1 ZINC001170235883 1051158203 /nfs/dbraw/zinc/15/82/03/1051158203.db2.gz PPPCMAIUZYPEFM-UONOGXRCSA-N 0 3 313.363 4.021 20 0 DIADHN CCC1CCC(N(C)Cc2nnc(-c3cccc(F)c3)o2)CC1 ZINC000744390443 1051169726 /nfs/dbraw/zinc/16/97/26/1051169726.db2.gz GYVMQYRMNHRPPV-UHFFFAOYSA-N 0 3 317.408 4.276 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1C[C@@H](CF)[C@H](C(F)(F)F)C1 ZINC001170266798 1051170041 /nfs/dbraw/zinc/17/00/41/1051170041.db2.gz PPDKLWLVEFIELM-RAIGVLPGSA-N 0 3 323.761 4.351 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)Cc1ccccc1Cl ZINC001170250892 1051173519 /nfs/dbraw/zinc/17/35/19/1051173519.db2.gz VFJVFNYKSIIYMP-LBPRGKRZSA-N 0 3 307.796 4.209 20 0 DIADHN CC[C@](C)(NCCOc1ccc(C)cc1)c1nc(C)cs1 ZINC000744424164 1051174898 /nfs/dbraw/zinc/17/48/98/1051174898.db2.gz GODOJCKQZNADHS-KRWDZBQOSA-N 0 3 304.459 4.054 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC001171664253 1051187459 /nfs/dbraw/zinc/18/74/59/1051187459.db2.gz VNEBOOHKDYJZNL-ZDUSSCGKSA-N 0 3 306.812 4.293 20 0 DIADHN COC[C@H](CN1CCCC[C@H]1c1nc2ccccc2[nH]1)C(C)C ZINC001170352146 1051187753 /nfs/dbraw/zinc/18/77/53/1051187753.db2.gz RISQAHUCKZIFMY-YJBOKZPZSA-N 0 3 315.461 4.009 20 0 DIADHN COC[C@H](CN1CCCC[C@@H]1c1nc2ccccc2[nH]1)C(C)C ZINC001170352144 1051188154 /nfs/dbraw/zinc/18/81/54/1051188154.db2.gz RISQAHUCKZIFMY-MAUKXSAKSA-N 0 3 315.461 4.009 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCc2ccc(F)cc2[C@H](C)C1 ZINC001171670183 1051189914 /nfs/dbraw/zinc/18/99/14/1051189914.db2.gz BSTBKNDHICJWNI-JXXFODFXSA-N 0 3 319.464 4.383 20 0 DIADHN CC1(C)CCc2onc(CN3CCCc4ccccc4CC3)c2C1 ZINC001170342240 1051193283 /nfs/dbraw/zinc/19/32/83/1051193283.db2.gz JVKNCKINTSCMNF-UHFFFAOYSA-N 0 3 324.468 4.180 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170400623 1051200997 /nfs/dbraw/zinc/20/09/97/1051200997.db2.gz VXTOUKUGTDMBGD-MCCGJVOXSA-N 0 3 320.235 4.282 20 0 DIADHN O[C@@H](CN1CCC12CCCC2)c1ccc(Cl)cc1Cl ZINC001171764303 1051206735 /nfs/dbraw/zinc/20/67/35/1051206735.db2.gz IZKXWJOAKOTZTF-AWEZNQCLSA-N 0 3 300.229 4.045 20 0 DIADHN CC[C@@H](Cc1ccc2c(c1)OCO2)N[C@H](CF)c1ccccc1 ZINC001170418578 1051209015 /nfs/dbraw/zinc/20/90/15/1051209015.db2.gz WDFNCURNHKKORM-DLBZAZTESA-N 0 3 315.388 4.037 20 0 DIADHN Fc1cccc2c1C[C@H](N[C@H](c1ccccc1)c1ccccn1)C2 ZINC001171778811 1051213225 /nfs/dbraw/zinc/21/32/25/1051213225.db2.gz XEGBNPQMHXBYFS-DYESRHJHSA-N 0 3 318.395 4.067 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1cc(Cl)c(F)cc1F)C2 ZINC001171780770 1051218015 /nfs/dbraw/zinc/21/80/15/1051218015.db2.gz QAYGIFCRPSICGV-LLVKDONJSA-N 0 3 311.734 4.014 20 0 DIADHN CCN(Cc1cccc(F)c1F)[C@@H]1Cc2cccc(F)c2C1 ZINC001171810226 1051224490 /nfs/dbraw/zinc/22/44/90/1051224490.db2.gz QERGHAHZPCUGJP-CQSZACIVSA-N 0 3 305.343 4.093 20 0 DIADHN Fc1ccc([C@H]2CCCN2[C@@H]2Cc3cccc(F)c3C2)c(F)c1 ZINC001171808793 1051225970 /nfs/dbraw/zinc/22/59/70/1051225970.db2.gz DRJKETKLIJDUIQ-AUUYWEPGSA-N 0 3 317.354 4.408 20 0 DIADHN C[C@@]12CCN(Cc3cnc4ccccc4c3)C[C@@H]1C2(Cl)Cl ZINC000792909968 1051227305 /nfs/dbraw/zinc/22/73/05/1051227305.db2.gz UWCASHZMKSMNDI-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN CCCCOC(=O)[C@@H](C)N1CCC[C@@]1(CC)Cc1ccccc1 ZINC001171876161 1051227301 /nfs/dbraw/zinc/22/73/01/1051227301.db2.gz UWXIDGRKFPPEMB-XLIONFOSSA-N 0 3 317.473 4.206 20 0 DIADHN COC[C@H](NCCSC1CCCCC1)c1cccc(OC)c1 ZINC001171815179 1051227475 /nfs/dbraw/zinc/22/74/75/1051227475.db2.gz GEWZZIQMAPWSET-SFHVURJKSA-N 0 3 323.502 4.038 20 0 DIADHN CCOc1ccccc1CN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC000792914240 1051228765 /nfs/dbraw/zinc/22/87/65/1051228765.db2.gz XCZUCTRZARULKY-LSDHHAIUSA-N 0 3 314.256 4.101 20 0 DIADHN Fc1cccc(Cl)c1CC1CCN(Cc2cccnc2)CC1 ZINC001204075581 1051232676 /nfs/dbraw/zinc/23/26/76/1051232676.db2.gz KTWCQVFLENQOQP-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN Cc1cnccc1CNCc1ccc(OCc2ccccc2)cc1 ZINC000305718629 1051236159 /nfs/dbraw/zinc/23/61/59/1051236159.db2.gz QFCNURVTDKSXPJ-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN COCc1cc(C)c(Nc2ccc3c(c2)CN(C)CC3)c(C)c1 ZINC001215533100 1051236406 /nfs/dbraw/zinc/23/64/06/1051236406.db2.gz QVHWZZWMQDQCIA-UHFFFAOYSA-N 0 3 310.441 4.181 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CCCC2(CCCC2)CC1 ZINC001171911060 1051238265 /nfs/dbraw/zinc/23/82/65/1051238265.db2.gz FETPBRLKGNYCRS-OAHLLOKOSA-N 0 3 318.436 4.199 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1ccn(-c2c(F)cccc2F)n1 ZINC001171915357 1051239361 /nfs/dbraw/zinc/23/93/61/1051239361.db2.gz CYDBIOYVVCLCER-GFCCVEGCSA-N 0 3 307.388 4.065 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001171917255 1051242413 /nfs/dbraw/zinc/24/24/13/1051242413.db2.gz VMRAFHCDPOLMJY-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN CC(=O)[C@@H]1CCCCN1[C@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC001171964933 1051250272 /nfs/dbraw/zinc/25/02/72/1051250272.db2.gz KWKLZVPXGOGKRC-WBMJQRKESA-N 0 3 313.363 4.080 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CC2(C1)CC(F)(F)C2 ZINC001171971368 1051260402 /nfs/dbraw/zinc/26/04/02/1051260402.db2.gz UURHLBJMWHCZTE-LLVKDONJSA-N 0 3 319.317 4.368 20 0 DIADHN COCc1ccc(Cl)c(Nc2ccccc2CN(C)C)c1 ZINC001215597826 1051266366 /nfs/dbraw/zinc/26/63/66/1051266366.db2.gz HEJQDTGUMGDXIX-UHFFFAOYSA-N 0 3 304.821 4.292 20 0 DIADHN CCC[C@@H](NCc1ccsc1Cl)[C@H](O)c1ccccc1 ZINC000793236315 1051268123 /nfs/dbraw/zinc/26/81/23/1051268123.db2.gz CQSCGZRNXBPEDQ-HUUCEWRRSA-N 0 3 309.862 4.393 20 0 DIADHN CCC[C@H](NCc1cscc1Cl)[C@@H](O)c1ccccc1 ZINC000793245458 1051274394 /nfs/dbraw/zinc/27/43/94/1051274394.db2.gz XYHFGIHTIASEFI-HOTGVXAUSA-N 0 3 309.862 4.393 20 0 DIADHN CCN(Cc1cc2cnn(C(C)C)c2nc1Cl)CC1CCC1 ZINC000793353020 1051292727 /nfs/dbraw/zinc/29/27/27/1051292727.db2.gz PSXGLCZLRTZDPP-UHFFFAOYSA-N 0 3 320.868 4.288 20 0 DIADHN Oc1cc(Nc2cccc(CN3CCCCC3)c2F)ccc1F ZINC001215676571 1051292846 /nfs/dbraw/zinc/29/28/46/1051292846.db2.gz DZIFJJMBTOWQSL-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Fc1ccc(Nc2cccc(CN3CCCCC3)c2F)cn1 ZINC001215675886 1051292962 /nfs/dbraw/zinc/29/29/62/1051292962.db2.gz KLVYQZMTSSOQRB-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Fc1ccncc1Nc1cccc(CN2CCCCC2)c1F ZINC001215675779 1051293601 /nfs/dbraw/zinc/29/36/01/1051293601.db2.gz QTABBBLXINOAPF-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Nc1cc2ccccc2n1-c1cccc(CN2CCCCC2)c1F ZINC001215675718 1051294228 /nfs/dbraw/zinc/29/42/28/1051294228.db2.gz PGMPJHKENFUMRN-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Fc1cc(Nc2cccc(CN3CCCCC3)c2F)ccn1 ZINC001215675543 1051294326 /nfs/dbraw/zinc/29/43/26/1051294326.db2.gz BBHNCHUZYMPOQD-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1nn(C)c(Cl)c1CN[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000793386433 1051294623 /nfs/dbraw/zinc/29/46/23/1051294623.db2.gz PZHUCQHHFGGTGS-MQMHXKEQSA-N 0 3 324.255 4.071 20 0 DIADHN CN(c1ccccc1N)c1cccc(CN2CCCCC2)c1F ZINC001215677437 1051296060 /nfs/dbraw/zinc/29/60/60/1051296060.db2.gz PMMKQLIXWDYIOL-UHFFFAOYSA-N 0 3 313.420 4.162 20 0 DIADHN Nc1cccc2ccn(-c3cccc(CN4CCCCC4)c3F)c21 ZINC001215678991 1051296469 /nfs/dbraw/zinc/29/64/69/1051296469.db2.gz ZOAPJFXSWZITNA-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CSc2ccccc2C1 ZINC001172187378 1051308282 /nfs/dbraw/zinc/30/82/82/1051308282.db2.gz LHPAVTWFVCGMGI-AWEZNQCLSA-N 0 3 307.821 4.286 20 0 DIADHN Cc1ccc(CN[C@H]2CSc3ccccc3C2)c(F)c1F ZINC001172187209 1051309142 /nfs/dbraw/zinc/30/91/42/1051309142.db2.gz KDEKIZHJESYUER-CQSZACIVSA-N 0 3 305.393 4.080 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C2CCCC2)n(C)n1 ZINC000793526190 1051309236 /nfs/dbraw/zinc/30/92/36/1051309236.db2.gz KQSNGJAPIASLTP-IFXJQAMLSA-N 0 3 315.436 4.450 20 0 DIADHN CCCC[C@H](N[C@H](C)c1cc(C)nn1C)c1ccc(OC)cc1 ZINC000793529274 1051310086 /nfs/dbraw/zinc/31/00/86/1051310086.db2.gz WMPDQZGNDYHOHC-QAPCUYQASA-N 0 3 315.461 4.319 20 0 DIADHN CCCC[C@@H](NCc1cccc2c1OCCO2)c1ccccc1 ZINC000746047883 1051310816 /nfs/dbraw/zinc/31/08/16/1051310816.db2.gz SEIHDIUFZNUBKV-GOSISDBHSA-N 0 3 311.425 4.479 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)n(C)n1 ZINC000793537760 1051313147 /nfs/dbraw/zinc/31/31/47/1051313147.db2.gz AHPDDPHMXYPXRZ-UFJXABKHSA-N 0 3 317.864 4.430 20 0 DIADHN Fc1cc(Nc2cccnc2F)ccc1CN1CCCCC1 ZINC001215709751 1051317290 /nfs/dbraw/zinc/31/72/90/1051317290.db2.gz FSOFGMMUYQJAKQ-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1nc(Nc2ccc(CN3CCCCC3)c(F)c2)cs1 ZINC001215711337 1051318504 /nfs/dbraw/zinc/31/85/04/1051318504.db2.gz ODINWUZNQDIKIW-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN C[C@H](NC1C[C@H]2CC[C@@H](C1)O2)c1c(Cl)cccc1Cl ZINC001172219619 1051319839 /nfs/dbraw/zinc/31/98/39/1051319839.db2.gz ZBEYBBJJVOELHB-YEJSDXFRSA-N 0 3 300.229 4.354 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CC(C)(C)CCc3ccccc32)n(C)n1 ZINC000793566130 1051321901 /nfs/dbraw/zinc/32/19/01/1051321901.db2.gz MJJLRAPISCWIJH-QAPCUYQASA-N 0 3 311.473 4.483 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CC(C)(C)CCc3ccccc32)n(C)n1 ZINC000793566131 1051321987 /nfs/dbraw/zinc/32/19/87/1051321987.db2.gz MJJLRAPISCWIJH-YJBOKZPZSA-N 0 3 311.473 4.483 20 0 DIADHN CC(C)N(Cc1ccco1)C[C@@H](O)c1ccc2ccccc2c1 ZINC000746109536 1051323422 /nfs/dbraw/zinc/32/34/22/1051323422.db2.gz SJYLCBZHDULZBN-HXUWFJFHSA-N 0 3 309.409 4.377 20 0 DIADHN C[C@@]12CCN(Cc3nccc4ccccc43)C[C@@H]1C2(Cl)Cl ZINC000793609780 1051326697 /nfs/dbraw/zinc/32/66/97/1051326697.db2.gz BXJDAJLPGVTRCN-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000746142867 1051327171 /nfs/dbraw/zinc/32/71/71/1051327171.db2.gz CYJLVQLDZKINJK-GOSISDBHSA-N 0 3 323.358 4.050 20 0 DIADHN Cc1cc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)cc(Cl)n1 ZINC000793618630 1051329827 /nfs/dbraw/zinc/32/98/27/1051329827.db2.gz WEDOXOJBFIDNIQ-WCQYABFASA-N 0 3 319.663 4.059 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](F)CC1CCCCC1 ZINC000793616608 1051329900 /nfs/dbraw/zinc/32/99/00/1051329900.db2.gz VEFJOJDVQCEAKC-QGZVFWFLSA-N 0 3 320.452 4.304 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2Cc3ccccc32)c2ccccc2)nc1 ZINC000183554199 1051332408 /nfs/dbraw/zinc/33/24/08/1051332408.db2.gz TXOLWHOBSOGLRP-UTKZUKDTSA-N 0 3 318.395 4.240 20 0 DIADHN c1coc([C@@H](N[C@@H]2CCOC3(CCCC3)C2)c2ccccc2)c1 ZINC000183799405 1051342213 /nfs/dbraw/zinc/34/22/13/1051342213.db2.gz CDIQQMOWVNFWIX-MJGOQNOKSA-N 0 3 311.425 4.450 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1cnc(Cl)cc1Cl ZINC001172325188 1051343046 /nfs/dbraw/zinc/34/30/46/1051343046.db2.gz RSQBNMRQHWQWKE-WDEREUQCSA-N 0 3 305.249 4.072 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1cc(F)c(F)cc1Cl ZINC001172324216 1051343443 /nfs/dbraw/zinc/34/34/43/1051343443.db2.gz FWHPUUMIEFWNPJ-WDEREUQCSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172325311 1051343763 /nfs/dbraw/zinc/34/37/63/1051343763.db2.gz UFFNLAWJEAVKMT-HUUCEWRRSA-N 0 3 318.486 4.493 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1cc(Cl)c(F)cc1F ZINC001172325183 1051344143 /nfs/dbraw/zinc/34/41/43/1051344143.db2.gz RRGDLMGBZAAYHM-QWRGUYRKSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N[C@H](c1ccccc1)c1ccccn1 ZINC001172327245 1051346284 /nfs/dbraw/zinc/34/62/84/1051346284.db2.gz MLLYKZDRFHSHAN-ABSDTBQOSA-N 0 3 312.457 4.354 20 0 DIADHN C[C@@H]([C@H](C)NCc1nnc(-c2ccco2)o1)C1CCCCC1 ZINC000185505067 1051347922 /nfs/dbraw/zinc/34/79/22/1051347922.db2.gz BBBZJNSOOPONJR-STQMWFEESA-N 0 3 303.406 4.024 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@@H]1CCCCO1 ZINC000379150664 1051352338 /nfs/dbraw/zinc/35/23/38/1051352338.db2.gz BZZWAHIRDYRTCB-DYVFJYSZSA-N 0 3 303.377 4.133 20 0 DIADHN C[C@@H]1CC[C@H](N2CCc3c(cnn3-c3ccccc3)C2)C[C@@H]1C ZINC001172375553 1051355055 /nfs/dbraw/zinc/35/50/55/1051355055.db2.gz VZFFLJLSWQDNRW-GJYPPUQNSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC001172328710 1051357329 /nfs/dbraw/zinc/35/73/29/1051357329.db2.gz DDOIPGXKFCSSHR-OLZOCXBDSA-N 0 3 318.383 4.126 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)N1CCN(c2cccc(Cl)c2)CC1 ZINC001172329245 1051357402 /nfs/dbraw/zinc/35/74/02/1051357402.db2.gz GIRHYMKFMREWHY-JKSUJKDBSA-N 0 3 324.896 4.056 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001172335093 1051358634 /nfs/dbraw/zinc/35/86/34/1051358634.db2.gz OBNOLHUYDLEZPJ-ZIAGYGMSSA-N 0 3 320.408 4.164 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnc3ccccn13)CC2 ZINC000189618935 1051359037 /nfs/dbraw/zinc/35/90/37/1051359037.db2.gz IPETWFNZNFKOSU-IBGZPJMESA-N 0 3 305.425 4.235 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001172339147 1051360646 /nfs/dbraw/zinc/36/06/46/1051360646.db2.gz FTTGURXZQSKEGK-XHSDSOJGSA-N 0 3 315.461 4.336 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N[C@H](c1ncccc1Cl)C1CC1 ZINC001172353805 1051370079 /nfs/dbraw/zinc/37/00/79/1051370079.db2.gz YAXVWABYJXCLHL-WWGRRREGSA-N 0 3 310.869 4.369 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N[C@@H](c1ncccc1Cl)C1CC1 ZINC001172353802 1051370789 /nfs/dbraw/zinc/37/07/89/1051370789.db2.gz YAXVWABYJXCLHL-HEHGZKQESA-N 0 3 310.869 4.369 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCSc2c(F)cccc21 ZINC000193894517 1051374208 /nfs/dbraw/zinc/37/42/08/1051374208.db2.gz BIUKUFFDKCICFH-QGZVFWFLSA-N 0 3 317.429 4.299 20 0 DIADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@H]1C ZINC001172363752 1051376633 /nfs/dbraw/zinc/37/66/33/1051376633.db2.gz PAEGPXHIWGNZBZ-LALPHHSUSA-N 0 3 313.363 4.302 20 0 DIADHN CC[C@@H](CC(C)C)NCc1ncc(Cl)cc1Br ZINC001172395380 1051397049 /nfs/dbraw/zinc/39/70/49/1051397049.db2.gz LNVQNWGPVVCPQI-NSHDSACASA-N 0 3 319.674 4.412 20 0 DIADHN CCCCCCC(=O)N(C)[C@H]1CCCN(Cc2ccccc2)C1 ZINC000746358058 1051381675 /nfs/dbraw/zinc/38/16/75/1051381675.db2.gz XVVWQKQGEOVRSR-IBGZPJMESA-N 0 3 316.489 4.080 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1sccc1Br ZINC000383177088 1051382654 /nfs/dbraw/zinc/38/26/54/1051382654.db2.gz IVSKWDGJDAPKFY-JBLDHEPKSA-N 0 3 318.280 4.119 20 0 DIADHN COc1cc(SC)c(Nc2ccnc(C3CCC3)c2)cn1 ZINC001215812693 1051385892 /nfs/dbraw/zinc/38/58/92/1051385892.db2.gz SLKBFYCLHIKZLJ-UHFFFAOYSA-N 0 3 301.415 4.218 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccc(Br)cc1F ZINC000385700172 1051387324 /nfs/dbraw/zinc/38/73/24/1051387324.db2.gz JELRKMOZHCZHIM-WCBMZHEXSA-N 0 3 322.168 4.427 20 0 DIADHN CSc1cccc(F)c1Nc1ccc2c(c1)CN(C)CC2 ZINC001215826967 1051392524 /nfs/dbraw/zinc/39/25/24/1051392524.db2.gz XPDKPBFTLXFMBN-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN CCCCCC[C@H](CCC)N[C@@H]1c2ccccc2CNC1=O ZINC001172391637 1051395049 /nfs/dbraw/zinc/39/50/49/1051395049.db2.gz SAZVODXXEAGCFD-FUHWJXTLSA-N 0 3 302.462 4.086 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H](C)COCc2ccccc2)c(C)s1 ZINC000389901498 1051400899 /nfs/dbraw/zinc/40/08/99/1051400899.db2.gz CGCZTUVYQLSZNC-UONOGXRCSA-N 0 3 318.486 4.263 20 0 DIADHN Cc1nc([C@H](C)NCCCCOCc2ccccc2)c(C)s1 ZINC000390590022 1051403028 /nfs/dbraw/zinc/40/30/28/1051403028.db2.gz OTCYXNKJRPWLBT-AWEZNQCLSA-N 0 3 318.486 4.408 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1c(F)ccc(Cl)c1F ZINC001172458015 1051411711 /nfs/dbraw/zinc/41/17/11/1051411711.db2.gz VEWUUEAYZKIWSY-LLVKDONJSA-N 0 3 305.796 4.159 20 0 DIADHN CC[C@]1(C)CCCN(Cc2cccc(SC(=O)N(C)C)c2)C1 ZINC000752300943 1051411953 /nfs/dbraw/zinc/41/19/53/1051411953.db2.gz MLTVOGPXQLUHDG-GOSISDBHSA-N 0 3 320.502 4.472 20 0 DIADHN CC(C)COCC[C@H](C)N[C@H](c1ccccc1)c1ccccn1 ZINC001172459978 1051413500 /nfs/dbraw/zinc/41/35/00/1051413500.db2.gz NIKNJCDJZARWPB-FXAWDEMLSA-N 0 3 312.457 4.212 20 0 DIADHN O[C@@H](CN1CC[C@H]1c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000746457249 1051420883 /nfs/dbraw/zinc/42/08/83/1051420883.db2.gz MDZKOJCYQBGMCU-IRXDYDNUSA-N 0 3 321.342 4.186 20 0 DIADHN Cc1cc(CNC[C@H](O)c2ccc(-c3ccccc3)cc2)c(C)o1 ZINC000766469543 1051428050 /nfs/dbraw/zinc/42/80/50/1051428050.db2.gz CQMUMIIASSGEQA-NRFANRHFSA-N 0 3 321.420 4.387 20 0 DIADHN CCCCCC[C@H](CCCC)N1CCC[C@H](S(C)(=O)=O)C1 ZINC001172512204 1051429201 /nfs/dbraw/zinc/42/92/01/1051429201.db2.gz NSAFKWVXOSAWPF-IRXDYDNUSA-N 0 3 317.539 4.025 20 0 DIADHN CCCCCC[C@@H](CCCC)N1CCC(S(C)(=O)=O)CC1 ZINC001172512922 1051430724 /nfs/dbraw/zinc/43/07/24/1051430724.db2.gz VVYFAAUNJOAQJD-MRXNPFEDSA-N 0 3 317.539 4.025 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1cccnc1Cl ZINC000766477391 1051434640 /nfs/dbraw/zinc/43/46/40/1051434640.db2.gz BWKAWZIBXGXWCU-UHFFFAOYSA-N 0 3 300.789 4.122 20 0 DIADHN c1coc(CN2CCN([C@@H]3CCC[C@@H](c4ccccc4)C3)CC2)c1 ZINC001172551219 1051434981 /nfs/dbraw/zinc/43/49/81/1051434981.db2.gz FYIFNLIONXSXPB-WOJBJXKFSA-N 0 3 324.468 4.124 20 0 DIADHN CNC(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)c(Cl)c1 ZINC001215881006 1051436810 /nfs/dbraw/zinc/43/68/10/1051436810.db2.gz IUDPTHJLUDYDNL-UHFFFAOYSA-N 0 3 317.820 4.136 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC001129572899 1051438300 /nfs/dbraw/zinc/43/83/00/1051438300.db2.gz PVVBPKMGNRUNFG-SFHVURJKSA-N 0 3 322.537 4.338 20 0 DIADHN Cc1ccc([C@H](C)Nc2cccc(N3CCCC[C@@H]3C)n2)nc1 ZINC001168852738 1051450178 /nfs/dbraw/zinc/45/01/78/1051450178.db2.gz UWMTZJLBAKNJHB-HOTGVXAUSA-N 0 3 310.445 4.337 20 0 DIADHN CSc1ncc(Cl)cc1Nc1ccccc1CN(C)C ZINC001215916142 1051453175 /nfs/dbraw/zinc/45/31/75/1051453175.db2.gz LKAUZMNDGPSQGX-UHFFFAOYSA-N 0 3 307.850 4.262 20 0 DIADHN Fc1cnc(CN[C@H]2CCC[C@@H](c3ccccc3)C2)c(F)c1 ZINC001172540580 1051453903 /nfs/dbraw/zinc/45/39/03/1051453903.db2.gz OUJDDJLBGOSFOO-ZBFHGGJFSA-N 0 3 302.368 4.176 20 0 DIADHN COc1ccccc1C(=O)CN[C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172540514 1051453982 /nfs/dbraw/zinc/45/39/82/1051453982.db2.gz ODVWDHYQAHIZEI-QZTJIDSGSA-N 0 3 323.436 4.194 20 0 DIADHN Cc1ccc([C@H](C)Nc2ccc(F)c(CN3CCCC3)c2)nc1 ZINC001168860844 1051454381 /nfs/dbraw/zinc/45/43/81/1051454381.db2.gz OAKSNSCNHYIQAK-HNNXBMFYSA-N 0 3 313.420 4.298 20 0 DIADHN COC(=O)[C@@H]1CCC[C@@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001172604101 1051461474 /nfs/dbraw/zinc/46/14/74/1051461474.db2.gz LVBVGRNEWNNFDC-ZIAGYGMSSA-N 0 3 321.848 4.041 20 0 DIADHN COC(=O)[C@H]1CCC[C@@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001172604100 1051461501 /nfs/dbraw/zinc/46/15/01/1051461501.db2.gz LVBVGRNEWNNFDC-UONOGXRCSA-N 0 3 321.848 4.041 20 0 DIADHN CCO[C@@H]1CCN([C@H](C)CCc2c(F)cc(Cl)cc2F)C1 ZINC001172579403 1051462910 /nfs/dbraw/zinc/46/29/10/1051462910.db2.gz YWXBNESFBQGDAC-DGCLKSJQSA-N 0 3 317.807 4.050 20 0 DIADHN CCO[C@@H]1CCN([C@@H](C)CCc2c(F)cc(Cl)cc2F)C1 ZINC001172579404 1051463531 /nfs/dbraw/zinc/46/35/31/1051463531.db2.gz YWXBNESFBQGDAC-WCQYABFASA-N 0 3 317.807 4.050 20 0 DIADHN C[C@@H](c1ccc(Oc2ccccc2)cc1)N1CCN(C)[C@H](C)C1 ZINC001168911277 1051465914 /nfs/dbraw/zinc/46/59/14/1051465914.db2.gz XETWRZZUGXIERB-SJORKVTESA-N 0 3 310.441 4.176 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Cl)c2n[nH]cc21 ZINC001215945040 1051473001 /nfs/dbraw/zinc/47/30/01/1051473001.db2.gz OZOYXTYELIFWPQ-UHFFFAOYSA-N 0 3 300.793 4.022 20 0 DIADHN CCc1cccc(CN(Cc2ccc(O)c(OC)c2)C2CC2)c1 ZINC001168948527 1051473545 /nfs/dbraw/zinc/47/35/45/1051473545.db2.gz DYSBNOQVXOTFHQ-UHFFFAOYSA-N 0 3 311.425 4.128 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1sncc1Br ZINC001168980648 1051476839 /nfs/dbraw/zinc/47/68/39/1051476839.db2.gz LEABRMKXGLFSOX-CMPLNLGQSA-N 0 3 317.296 4.354 20 0 DIADHN COC(=O)c1cccc(CN2CCCC[C@@H]2c2ccccc2)c1 ZINC001168957710 1051479263 /nfs/dbraw/zinc/47/92/63/1051479263.db2.gz HNVYSLVMJBIFFA-LJQANCHMSA-N 0 3 309.409 4.200 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](N1CCCC[C@H]1c1cccnc1)C2 ZINC001172718388 1051481598 /nfs/dbraw/zinc/48/15/98/1051481598.db2.gz KVICVUOPRSUQMN-OALUTQOASA-N 0 3 312.844 4.429 20 0 DIADHN CC(C)n1cc([C@H](C)NC/C=C\c2ccc(Cl)cc2)cn1 ZINC001168997655 1051485248 /nfs/dbraw/zinc/48/52/48/1051485248.db2.gz XAZXPWHRGPWALT-WSNITJDQSA-N 0 3 303.837 4.481 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172708163 1051491455 /nfs/dbraw/zinc/49/14/55/1051491455.db2.gz CENBIEQVGSCSSA-XHDPSFHLSA-N 0 3 311.816 4.049 20 0 DIADHN CCc1nc([C@H](C)NCC2([C@@H]3CCCCO3)CCC2)cs1 ZINC001168991146 1051491750 /nfs/dbraw/zinc/49/17/50/1051491750.db2.gz ARPFUJYOPCHCJT-ZFWWWQNUSA-N 0 3 308.491 4.096 20 0 DIADHN C[C@@H](c1nccs1)N1CCN(CC2CCC(C)(C)CC2)CC1 ZINC001169023696 1051492769 /nfs/dbraw/zinc/49/27/69/1051492769.db2.gz HYWJLZKSVIEODY-HNNXBMFYSA-N 0 3 321.534 4.038 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@H]2Cc3ccc(Cl)cc3C2)c1 ZINC001172709324 1051494245 /nfs/dbraw/zinc/49/42/45/1051494245.db2.gz YGQVMCFBHZMDFA-AWEZNQCLSA-N 0 3 311.734 4.014 20 0 DIADHN Fc1cccnc1[C@@H](N[C@@H]1Cc2ccc(Cl)cc2C1)C1CC1 ZINC001172712584 1051495891 /nfs/dbraw/zinc/49/58/91/1051495891.db2.gz OQFXDSQDSQZGPM-WBVHZDCISA-N 0 3 316.807 4.082 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)NCc1ccc(Cl)cc1Cl ZINC001172757369 1051498178 /nfs/dbraw/zinc/49/81/78/1051498178.db2.gz WVFKJKZJQJWDIS-LLVKDONJSA-N 0 3 318.244 4.203 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@H]1c1cccc(F)c1 ZINC001172758950 1051498952 /nfs/dbraw/zinc/49/89/52/1051498952.db2.gz LEIGGSXNECJZLR-PBHICJAKSA-N 0 3 307.409 4.083 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3c(O)cccc3Cl)cccc21 ZINC001215986638 1051504681 /nfs/dbraw/zinc/50/46/81/1051504681.db2.gz JNPSBNOSSHASOC-AWEZNQCLSA-N 0 3 318.804 4.174 20 0 DIADHN CC(=O)Nc1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1Cl ZINC001213074315 1051508131 /nfs/dbraw/zinc/50/81/31/1051508131.db2.gz OESPWVWSCCASCV-UHFFFAOYSA-N 0 3 314.776 4.227 20 0 DIADHN Cc1nc2ccc(Nc3ccc(C(=O)OC(C)C)cc3)cc2[nH]1 ZINC001213074206 1051510001 /nfs/dbraw/zinc/51/00/01/1051510001.db2.gz JERMIQTZSMDQDA-UHFFFAOYSA-N 0 3 309.369 4.180 20 0 DIADHN Cc1ccc2c(c1)C[C@H](NCc1nccc(Cl)c1Cl)CC2 ZINC001172831761 1051520979 /nfs/dbraw/zinc/52/09/79/1051520979.db2.gz OKXFBGRINGQHIC-CQSZACIVSA-N 0 3 321.251 4.344 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCC(=O)c1ccc(F)cc1 ZINC001172864449 1051523963 /nfs/dbraw/zinc/52/39/63/1051523963.db2.gz XALIQBORIJJXRW-NSHDSACASA-N 0 3 323.770 4.022 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCC(=O)c1ccc(F)cc1 ZINC001172864445 1051524574 /nfs/dbraw/zinc/52/45/74/1051524574.db2.gz XALIQBORIJJXRW-LLVKDONJSA-N 0 3 323.770 4.022 20 0 DIADHN Clc1ccc(C2=CCN(CCOC3CC3)CC2)cc1Cl ZINC001169137151 1051526296 /nfs/dbraw/zinc/52/62/96/1051526296.db2.gz QBQMOBKWDLAONH-UHFFFAOYSA-N 0 3 312.240 4.262 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1cn(-c2ccccc2)nn1)C1CCC1 ZINC001169111834 1051531526 /nfs/dbraw/zinc/53/15/26/1051531526.db2.gz LKWYGOMZTFPIJK-CRAIPNDOSA-N 0 3 312.461 4.277 20 0 DIADHN CCc1ccc([C@@H](COC)NCC[C@@H]2CCc3ccccc32)o1 ZINC001169110705 1051531836 /nfs/dbraw/zinc/53/18/36/1051531836.db2.gz DBTDKHQJVBUMJV-QFBILLFUSA-N 0 3 313.441 4.239 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)n1nc(CN)c2ccccc21 ZINC001172845464 1051532492 /nfs/dbraw/zinc/53/24/92/1051532492.db2.gz MPXMLTGTRYUWAS-LLVKDONJSA-N 0 3 317.795 4.091 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CC[C@]2(C1)CCCCO2 ZINC001172846827 1051534855 /nfs/dbraw/zinc/53/48/55/1051534855.db2.gz IHJHYMWTWDTZCM-DYVFJYSZSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1ccncc1Cl ZINC001172861531 1051548265 /nfs/dbraw/zinc/54/82/65/1051548265.db2.gz SQFQQJLPXMQXJS-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCc2cc(O)ccc2C1 ZINC001172864140 1051549674 /nfs/dbraw/zinc/54/96/74/1051549674.db2.gz OLFXZWOSFNQXRY-GFCCVEGCSA-N 0 3 319.807 4.174 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1cccnc1Cl ZINC001172863807 1051550043 /nfs/dbraw/zinc/55/00/43/1051550043.db2.gz QDENKKAJFODGDP-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@@H]2CCCC(F)(F)C2)nc1 ZINC001169214420 1051552474 /nfs/dbraw/zinc/55/24/74/1051552474.db2.gz YJGFLTXMHSJURB-HUUCEWRRSA-N 0 3 309.404 4.228 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CC[C@@]2(CC2(F)F)C1 ZINC001172870054 1051554136 /nfs/dbraw/zinc/55/41/36/1051554136.db2.gz SXYFVUZLXCBJKT-IINYFYTJSA-N 0 3 303.755 4.141 20 0 DIADHN COc1ncccc1CN[C@H](C)CSc1ccc(Cl)cc1 ZINC001172872806 1051557558 /nfs/dbraw/zinc/55/75/58/1051557558.db2.gz FEXCGUOUWQMEKI-GFCCVEGCSA-N 0 3 322.861 4.014 20 0 DIADHN Cc1c(C)c(-n2ccc([C@@H](C)N)n2)ccc1OCc1ccccc1 ZINC001169234321 1051558976 /nfs/dbraw/zinc/55/89/76/1051558976.db2.gz GTFCYKWVNMLAAP-MRXNPFEDSA-N 0 3 321.424 4.088 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N(C)Cc1cccnc1 ZINC001172875093 1051558920 /nfs/dbraw/zinc/55/89/20/1051558920.db2.gz IEGCCMQDODNOSL-CYBMUJFWSA-N 0 3 306.862 4.348 20 0 DIADHN c1cn(-c2ccccc2)c(CNCC2CC(c3ccccc3)C2)n1 ZINC000669996776 1051559301 /nfs/dbraw/zinc/55/93/01/1051559301.db2.gz JULYRZBMKGPIDV-UHFFFAOYSA-N 0 3 317.436 4.156 20 0 DIADHN C[C@H](N)c1ccn(-c2c(Cl)cc(C(F)(F)F)cc2Cl)n1 ZINC001169233830 1051559738 /nfs/dbraw/zinc/55/97/38/1051559738.db2.gz SRBYGLSTXFAMHL-LURJTMIESA-N 0 3 324.133 4.218 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N(C)Cc1ccccn1 ZINC001172878898 1051560585 /nfs/dbraw/zinc/56/05/85/1051560585.db2.gz WSFIXRJRYSCJTO-ZDUSSCGKSA-N 0 3 306.862 4.348 20 0 DIADHN Cc1c(C)c(-n2ccc([C@H](C)N)n2)ccc1OCc1ccccc1 ZINC001169234320 1051560753 /nfs/dbraw/zinc/56/07/53/1051560753.db2.gz GTFCYKWVNMLAAP-INIZCTEOSA-N 0 3 321.424 4.088 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N(C)Cc1ccccn1 ZINC001172878897 1051560719 /nfs/dbraw/zinc/56/07/19/1051560719.db2.gz WSFIXRJRYSCJTO-CYBMUJFWSA-N 0 3 306.862 4.348 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NCc1ccc(F)cn1 ZINC001172881325 1051563556 /nfs/dbraw/zinc/56/35/56/1051563556.db2.gz DPQSGJQNHFGMIX-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN CC[C@@H](OCCN(C)Cc1ccn(CC)c1)c1ccccc1 ZINC001169253100 1051563995 /nfs/dbraw/zinc/56/39/95/1051563995.db2.gz PARGHWZNTJTJIB-LJQANCHMSA-N 0 3 300.446 4.108 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NCc1cc(F)ccn1 ZINC001172880332 1051564403 /nfs/dbraw/zinc/56/44/03/1051564403.db2.gz RDPQNPHUERNVSI-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN Cc1ccc(CCCNc2cccc(N3CCCC[C@H]3C)n2)cn1 ZINC001169257202 1051564440 /nfs/dbraw/zinc/56/44/40/1051564440.db2.gz YVGGMJBJSIFQDA-QGZVFWFLSA-N 0 3 324.472 4.209 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NC1(c2cccnc2)CC1 ZINC001172880787 1051564696 /nfs/dbraw/zinc/56/46/96/1051564696.db2.gz VIRJYAWDULCYKT-CYBMUJFWSA-N 0 3 318.873 4.495 20 0 DIADHN CN(C)Cc1ccc(-c2nc(-c3cccc4ccoc43)no2)cc1 ZINC001216084721 1051570923 /nfs/dbraw/zinc/57/09/23/1051570923.db2.gz YXBFSKSJALGAKU-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN COC[C@@H](C)N(Cc1sccc1C)Cc1cccc(OC)c1 ZINC001204023906 1051583170 /nfs/dbraw/zinc/58/31/70/1051583170.db2.gz QZPNXMCPJIOLGT-OAHLLOKOSA-N 0 3 319.470 4.102 20 0 DIADHN Cc1ccc(F)c(CNC2CCC3(CCOCC3)CC2)c1F ZINC001173039368 1051589858 /nfs/dbraw/zinc/58/98/58/1051589858.db2.gz HCHAIRZIINKLSK-UHFFFAOYSA-N 0 3 309.400 4.102 20 0 DIADHN CCNc1nc(CN[C@H]2CCC[C@H]2Cc2ccccc2)cs1 ZINC001169366207 1051591250 /nfs/dbraw/zinc/59/12/50/1051591250.db2.gz LEVVIIXTCAVZKI-RDJZCZTQSA-N 0 3 315.486 4.076 20 0 DIADHN CCCOC1CCC(NCc2c(Cl)cncc2Cl)CC1 ZINC001173090558 1051597974 /nfs/dbraw/zinc/59/79/74/1051597974.db2.gz XPRQAGKTXMCRPO-UHFFFAOYSA-N 0 3 317.260 4.216 20 0 DIADHN Cc1ccc([C@H](NCCNc2ccccn2)c2ccccc2)cc1 ZINC000493247108 1051604264 /nfs/dbraw/zinc/60/42/64/1051604264.db2.gz KCMBPCGWVRBODU-OAQYLSRUSA-N 0 3 317.436 4.181 20 0 DIADHN COC(C)(C)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493245511 1051604553 /nfs/dbraw/zinc/60/45/53/1051604553.db2.gz COBVQXBYSJNGIA-QGZVFWFLSA-N 0 3 303.833 4.444 20 0 DIADHN CC(C)[C@@H](O)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493276510 1051605263 /nfs/dbraw/zinc/60/52/63/1051605263.db2.gz KDCNWJRQXGBQAS-ROUUACIJSA-N 0 3 321.823 4.175 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N3CCCC[C@H](C)C3)n2)ccc1F ZINC001169394997 1051605704 /nfs/dbraw/zinc/60/57/04/1051605704.db2.gz CIXRZVLFMBDRRL-GXTWGEPZSA-N 0 3 317.408 4.367 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C)c(F)c2)cc1OC ZINC000088254655 1051619431 /nfs/dbraw/zinc/61/94/31/1051619431.db2.gz AYZBHUZJBJRTAB-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2[C@H]2CCc3ncsc3C2)c1 ZINC001173135318 1051619454 /nfs/dbraw/zinc/61/94/54/1051619454.db2.gz BHACEOSVXYVNTB-BLLLJJGKSA-N 0 3 320.408 4.116 20 0 DIADHN Fc1ccc([C@@H]2CCCN2[C@@H]2CCc3ncsc3C2)c(F)c1 ZINC001173141184 1051623592 /nfs/dbraw/zinc/62/35/92/1051623592.db2.gz NCOCUKJIBHJOIH-WBMJQRKESA-N 0 3 320.408 4.116 20 0 DIADHN CC[C@H](NC(=O)CN1CCCCC[C@@H]1C)c1ccc(Cl)cc1 ZINC001169462875 1051624859 /nfs/dbraw/zinc/62/48/59/1051624859.db2.gz RHSLUPSRDJEVMJ-YOEHRIQHSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000520838372 1051630172 /nfs/dbraw/zinc/63/01/72/1051630172.db2.gz YYJFGIGHHDZFTB-SUMWQHHRSA-N 0 3 303.837 4.486 20 0 DIADHN C[C@@]1(F)CCN(C2CCC(Oc3ccccc3)CC2)C[C@H]1F ZINC001173199504 1051631136 /nfs/dbraw/zinc/63/11/36/1051631136.db2.gz FLWHZFKNMVQINB-XAWIXRBMSA-N 0 3 309.400 4.149 20 0 DIADHN C[C@]1(F)CCN(C2CCC(Oc3ccccc3)CC2)C[C@H]1F ZINC001173199503 1051631214 /nfs/dbraw/zinc/63/12/14/1051631214.db2.gz FLWHZFKNMVQINB-SYNTWQANSA-N 0 3 309.400 4.149 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCC[C@@H]3Cc3ccccc3)nc2c1 ZINC000493526149 1051631280 /nfs/dbraw/zinc/63/12/80/1051631280.db2.gz MTQJFQAUJUGACS-WBVHZDCISA-N 0 3 324.399 4.468 20 0 DIADHN C[C@@H](NC1CCC(Oc2ccccc2)CC1)c1cscn1 ZINC001173198530 1051631356 /nfs/dbraw/zinc/63/13/56/1051631356.db2.gz VJSXETPQTKELLA-VQCLRJIVSA-N 0 3 302.443 4.184 20 0 DIADHN COC(=O)c1oc([C@@H](C)N2CCC(C)(C(C)C)CC2)cc1C ZINC001169506632 1051631518 /nfs/dbraw/zinc/63/15/18/1051631518.db2.gz AHPZUAKQWMPSBB-CQSZACIVSA-N 0 3 307.434 4.194 20 0 DIADHN C[C@H](c1ccsc1)N1CCN([C@H]2C=CCCCCC2)CC1 ZINC001169536783 1051636469 /nfs/dbraw/zinc/63/64/69/1051636469.db2.gz DWRNCWUPFYUNRJ-AEFFLSMTSA-N 0 3 304.503 4.316 20 0 DIADHN NCc1ccc2c(n1)N(c1ccc(-c3cccs3)cc1)CCC2 ZINC001169590710 1051652110 /nfs/dbraw/zinc/65/21/10/1051652110.db2.gz LBFHTXHXRSZYDT-UHFFFAOYSA-N 0 3 321.449 4.353 20 0 DIADHN Cc1csc2ccc(N3CCCc4ccc(CN)nc43)cc12 ZINC001169592231 1051652127 /nfs/dbraw/zinc/65/21/27/1051652127.db2.gz JKEQXHNAFXWCBU-UHFFFAOYSA-N 0 3 309.438 4.148 20 0 DIADHN CSC[C@H](O)CN(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000493766737 1051652240 /nfs/dbraw/zinc/65/22/40/1051652240.db2.gz KRSDOVWQHFOARW-GOEBONIOSA-N 0 3 321.511 4.035 20 0 DIADHN CCc1ccc(C[C@@H](C)N2CCc3ccc(C(C)=O)cc3C2)cc1 ZINC001173249641 1051653612 /nfs/dbraw/zinc/65/36/12/1051653612.db2.gz YNDIFBGZYLKXGI-MRXNPFEDSA-N 0 3 321.464 4.441 20 0 DIADHN [O-]c1cc(Nc2cccc(C[NH+]3CCCC3)c2)ccc1Cl ZINC001212592177 1051662095 /nfs/dbraw/zinc/66/20/95/1051662095.db2.gz BWQDQIJXTAUIMG-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN CC[C@@H]1CC[C@@H](N[C@@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001173263568 1051663411 /nfs/dbraw/zinc/66/34/11/1051663411.db2.gz MXWLTYMIHOVFCJ-HCKVZZMMSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@@H]1CC[C@@H](NCc2coc(-c3ccc(OC)cc3)n2)C1 ZINC001173271703 1051669395 /nfs/dbraw/zinc/66/93/95/1051669395.db2.gz HTIGCJVGUDZLFX-UKRRQHHQSA-N 0 3 300.402 4.019 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H](C)[C@H](OC)c1ccccc1 ZINC000493978515 1051676012 /nfs/dbraw/zinc/67/60/12/1051676012.db2.gz SSJLSZKRDPNFBB-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN CC[C@H](CN[C@H](CC)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000494037538 1051684876 /nfs/dbraw/zinc/68/48/76/1051684876.db2.gz HFEVQSYGFVWIOE-SJLPKXTDSA-N 0 3 316.420 4.427 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCc3ccc(Cl)cc32)ccn1 ZINC000805833649 1051687215 /nfs/dbraw/zinc/68/72/15/1051687215.db2.gz DCJJZPPNNWVKBC-MEDUHNTESA-N 0 3 302.805 4.082 20 0 DIADHN Cc1ccc([C@H](O)CN2CC(C)(C)[C@H]2c2cccs2)cc1 ZINC000805839242 1051689558 /nfs/dbraw/zinc/68/95/58/1051689558.db2.gz OVLMMJZBBLPHLF-NVXWUHKLSA-N 0 3 301.455 4.173 20 0 DIADHN CC1(C)CCN(Cc2cccc(C(F)(F)F)c2)CCS1 ZINC001169873661 1051723532 /nfs/dbraw/zinc/72/35/32/1051723532.db2.gz WXGCUHMQSMJVQP-UHFFFAOYSA-N 0 3 303.393 4.423 20 0 DIADHN CN(Cc1ccc2ccccc2n1)Cc1cccc2[nH]ccc21 ZINC000494109345 1051692969 /nfs/dbraw/zinc/69/29/69/1051692969.db2.gz SPPQJIAFBFOCHJ-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](C)c3c2cccc3C)cc1OC ZINC000152534874 1051693056 /nfs/dbraw/zinc/69/30/56/1051693056.db2.gz NLHWIWVHCQVHNY-YOEHRIQHSA-N 0 3 311.425 4.350 20 0 DIADHN CCCC[C@@H](C)OC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000805897136 1051697860 /nfs/dbraw/zinc/69/78/60/1051697860.db2.gz INDRKXXHWYJDRQ-MRXNPFEDSA-N 0 3 303.446 4.021 20 0 DIADHN CCCc1csc(CNC2(Cc3ccccc3)CCC2)n1 ZINC000494166194 1051700677 /nfs/dbraw/zinc/70/06/77/1051700677.db2.gz XSWRUOAPVVTDDQ-UHFFFAOYSA-N 0 3 300.471 4.351 20 0 DIADHN CCCc1csc(CNC[C@H](c2cccnc2)C(C)C)n1 ZINC000494194933 1051702601 /nfs/dbraw/zinc/70/26/01/1051702601.db2.gz LCMMTRRACRZJAW-INIZCTEOSA-N 0 3 303.475 4.020 20 0 DIADHN CCCc1csc(CN[C@H]2CCO[C@@H](C(CC)CC)C2)n1 ZINC000494192153 1051703686 /nfs/dbraw/zinc/70/36/86/1051703686.db2.gz OQXKOMLPTWJIMJ-GOEBONIOSA-N 0 3 310.507 4.169 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(C(C)(C)C)cc1)CC1CCC1 ZINC001169821491 1051706002 /nfs/dbraw/zinc/70/60/02/1051706002.db2.gz LEJQCFOIUQZAOK-UHFFFAOYSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1cnccc1CN(C)CCOc1ccccc1C(C)(C)C ZINC001169834799 1051709262 /nfs/dbraw/zinc/70/92/62/1051709262.db2.gz ZCSNDAKPNGUTRD-UHFFFAOYSA-N 0 3 312.457 4.198 20 0 DIADHN COc1c(Nc2ccncc2C)cccc1OCc1ccccc1 ZINC001216354057 1051716193 /nfs/dbraw/zinc/71/61/93/1051716193.db2.gz UUKHYYDWBUADSE-UHFFFAOYSA-N 0 3 320.392 4.143 20 0 DIADHN Cc1cc(CN(C)[C@H](C)c2ccncc2)ccc1Br ZINC000183712936 1051717562 /nfs/dbraw/zinc/71/75/62/1051717562.db2.gz CLJDRNBXYXKVRK-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN CC[C@H](NC1Cc2cc(F)c(F)cc2C1)c1c(F)cccc1F ZINC001173477545 1051725367 /nfs/dbraw/zinc/72/53/67/1051725367.db2.gz QWCCOKGWUVUCCM-KRWDZBQOSA-N 0 3 323.333 4.451 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H]2CCc3nc(Cl)ccc32)c1 ZINC000806135139 1051734804 /nfs/dbraw/zinc/73/48/04/1051734804.db2.gz GWPFJQCDFQENDD-LRDDRELGSA-N 0 3 316.832 4.472 20 0 DIADHN COc1ccc2oc(CN3C[C@@H](C)C[C@@H]3c3cccnc3)cc2c1 ZINC000184570485 1051738980 /nfs/dbraw/zinc/73/89/80/1051738980.db2.gz RAGCHDNHZRZWCL-IFXJQAMLSA-N 0 3 322.408 4.420 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806128985 1051742115 /nfs/dbraw/zinc/74/21/15/1051742115.db2.gz ADQLZAFDWCKHEF-MLGOLLRUSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000184639841 1051743715 /nfs/dbraw/zinc/74/37/15/1051743715.db2.gz VITULZFGPDBHRR-TYNCELHUSA-N 0 3 303.381 4.105 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806130165 1051745069 /nfs/dbraw/zinc/74/50/69/1051745069.db2.gz RCDXCJVLGWCZRX-MRXNPFEDSA-N 0 3 316.832 4.299 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000806172232 1051746051 /nfs/dbraw/zinc/74/60/51/1051746051.db2.gz IFYOSMBAVBVSSR-PMACEKPBSA-N 0 3 312.432 4.014 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000806176802 1051748977 /nfs/dbraw/zinc/74/89/77/1051748977.db2.gz PSOHCFNKBCCXKH-RBUKOAKNSA-N 0 3 315.844 4.349 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N[C@H](C)c1nccn1-c1ccccc1 ZINC000806176688 1051749121 /nfs/dbraw/zinc/74/91/21/1051749121.db2.gz TZERXGLQOVDGEU-HZPDHXFCSA-N 0 3 323.415 4.293 20 0 DIADHN COC[C@H](N[C@@H]1CC[C@@H](SC)C1)c1cccc(Cl)c1F ZINC000806142785 1051750918 /nfs/dbraw/zinc/75/09/18/1051750918.db2.gz QSSOCARPOOUFBE-GYSYKLTISA-N 0 3 317.857 4.040 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1ccno1 ZINC001173552143 1051751608 /nfs/dbraw/zinc/75/16/08/1051751608.db2.gz XTKSWHVRWVONGY-LLVKDONJSA-N 0 3 313.228 4.435 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CCc3nc(Cl)ccc31)CC2 ZINC000806145074 1051751713 /nfs/dbraw/zinc/75/17/13/1051751713.db2.gz CBERDQKOMOAKPB-ZBFHGGJFSA-N 0 3 302.780 4.139 20 0 DIADHN Cc1cc(CN[C@H]2CCc3nc(Cl)ccc32)ccc1Cl ZINC000806158645 1051761523 /nfs/dbraw/zinc/76/15/23/1051761523.db2.gz NVIVGESCAYWXQO-AWEZNQCLSA-N 0 3 307.224 4.474 20 0 DIADHN FC(F)c1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806160150 1051762329 /nfs/dbraw/zinc/76/23/29/1051762329.db2.gz QUVZXVVHJUZBCE-CYBMUJFWSA-N 0 3 308.759 4.450 20 0 DIADHN FC(F)c1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806160151 1051762465 /nfs/dbraw/zinc/76/24/65/1051762465.db2.gz QUVZXVVHJUZBCE-ZDUSSCGKSA-N 0 3 308.759 4.450 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC000806161721 1051762969 /nfs/dbraw/zinc/76/29/69/1051762969.db2.gz SLFCEWIQKXUQBV-OAHLLOKOSA-N 0 3 318.873 4.375 20 0 DIADHN C[C@@H](CN[C@@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccccc1 ZINC000185437078 1051766544 /nfs/dbraw/zinc/76/65/44/1051766544.db2.gz QABSZIVUUUMJAU-MGPUTAFESA-N 0 3 323.415 4.042 20 0 DIADHN Cc1ccc(C[NH+]2CCCC2)cc1NCc1cc([O-])cc(F)c1 ZINC000185600702 1051777265 /nfs/dbraw/zinc/77/72/65/1051777265.db2.gz NPIFIPQWYHEFFA-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN CC1(C)CN(C2CCC3(CCc4ccccc43)CC2)CCC1=O ZINC001173689586 1051780120 /nfs/dbraw/zinc/78/01/20/1051780120.db2.gz JBMCPPONZDEMKO-UHFFFAOYSA-N 0 3 311.469 4.114 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)CCC(=O)C1CC1 ZINC000767354851 1051792553 /nfs/dbraw/zinc/79/25/53/1051792553.db2.gz UDZKXQJHXQOJRS-SNVBAGLBSA-N 0 3 300.229 4.355 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1sccc1OC)c1ccco1 ZINC000186136517 1051796845 /nfs/dbraw/zinc/79/68/45/1051796845.db2.gz TYORXMPKHGEURM-UONOGXRCSA-N 0 3 322.474 4.083 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1sccc1OC)c1ccco1 ZINC000186136550 1051796903 /nfs/dbraw/zinc/79/69/03/1051796903.db2.gz TYORXMPKHGEURM-ZIAGYGMSSA-N 0 3 322.474 4.083 20 0 DIADHN CCc1ccccc1CN(C)[C@@H](CO)c1ccc(Cl)cc1 ZINC001170508340 1051805426 /nfs/dbraw/zinc/80/54/26/1051805426.db2.gz UYNZHPZJMKLZTC-SFHVURJKSA-N 0 3 303.833 4.068 20 0 DIADHN COc1ccccc1[C@@H](CN[C@@H](C)c1ccc(C)o1)OC(C)C ZINC000186383158 1051813090 /nfs/dbraw/zinc/81/30/90/1051813090.db2.gz OCWYEPULDMKABC-HNAYVOBHSA-N 0 3 317.429 4.414 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1cc(COC(C)(C)C)on1 ZINC000186420632 1051815468 /nfs/dbraw/zinc/81/54/68/1051815468.db2.gz FOJRYTCZTJLRFD-PBHICJAKSA-N 0 3 306.450 4.072 20 0 DIADHN CC(C)CCn1cccc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186448231 1051816404 /nfs/dbraw/zinc/81/64/04/1051816404.db2.gz KISUMNMLERJAFW-NRFANRHFSA-N 0 3 324.468 4.166 20 0 DIADHN CCOc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc1 ZINC001173854020 1051828693 /nfs/dbraw/zinc/82/86/93/1051828693.db2.gz MNTUQOAZUMKTSA-SFHVURJKSA-N 0 3 312.413 4.214 20 0 DIADHN CC(C)COC[C@@H](N[C@H](C)C1CCSCC1)c1ccco1 ZINC000187129390 1051837593 /nfs/dbraw/zinc/83/75/93/1051837593.db2.gz LFKNXFMIRVEOCO-GDBMZVCRSA-N 0 3 311.491 4.115 20 0 DIADHN Cc1ncc(Nc2ccc(OCc3ccccc3F)cc2)n1C ZINC001216657082 1051853613 /nfs/dbraw/zinc/85/36/13/1051853613.db2.gz RYBHEQAFYDWIMT-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CCOC(CN[C@H](c1oc2ccccc2c1C)C(C)C)OCC ZINC000767816193 1051854399 /nfs/dbraw/zinc/85/43/99/1051854399.db2.gz DIOZPLLMKCNZMS-SFHVURJKSA-N 0 3 319.445 4.427 20 0 DIADHN CCOC(CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C)OCC ZINC000767818132 1051854687 /nfs/dbraw/zinc/85/46/87/1051854687.db2.gz NPJVKHSJSQECLP-XGWLTEMNSA-N 0 3 311.853 4.026 20 0 DIADHN CCOC(CNC1(c2cccc(Cl)c2)CCCC1)OCC ZINC000767816180 1051854757 /nfs/dbraw/zinc/85/47/57/1051854757.db2.gz DBJZBIXXOMYBFW-UHFFFAOYSA-N 0 3 311.853 4.098 20 0 DIADHN CCOC[C@@H](NCc1ccc(-c2ccncc2)cc1F)C(C)C ZINC000188863365 1051858366 /nfs/dbraw/zinc/85/83/66/1051858366.db2.gz ZCSUAPGKWYJSRD-LJQANCHMSA-N 0 3 316.420 4.038 20 0 DIADHN FC(F)(F)CCN1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000767877620 1051862517 /nfs/dbraw/zinc/86/25/17/1051862517.db2.gz VBEVYDBMZKDASF-NSHDSACASA-N 0 3 311.269 4.447 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc(CF)cc2)o1 ZINC000767940738 1051866279 /nfs/dbraw/zinc/86/62/79/1051866279.db2.gz LXVLNJGPGDPZIQ-KRWDZBQOSA-N 0 3 303.377 4.233 20 0 DIADHN C[C@@H](NCc1ccc2nonc2c1)c1ccc(Cl)c(Cl)c1 ZINC001169978481 1051872856 /nfs/dbraw/zinc/87/28/56/1051872856.db2.gz BTYMQKPLFPIGNZ-SECBINFHSA-N 0 3 322.195 4.380 20 0 DIADHN CC(C)COC[C@@H](N[C@@H](C)CCc1ccco1)c1ccco1 ZINC000152588340 1051893241 /nfs/dbraw/zinc/89/32/41/1051893241.db2.gz XCRLRDKXIOYGEQ-DOTOQJQBSA-N 0 3 305.418 4.197 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cncc3ccccc32)c1 ZINC000768211648 1051894568 /nfs/dbraw/zinc/89/45/68/1051894568.db2.gz ANMOEIBURXXXQV-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1cncc2ccccc21 ZINC000768211668 1051897218 /nfs/dbraw/zinc/89/72/18/1051897218.db2.gz BTXPCGJNRSWLGM-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(F)cc3C)c2C1 ZINC001173967967 1051898923 /nfs/dbraw/zinc/89/89/23/1051898923.db2.gz KOKPRWGDEAFLPH-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Cc2ccncc2)cc1 ZINC001173929576 1051901547 /nfs/dbraw/zinc/90/15/47/1051901547.db2.gz HTYYKOFCLYYOAE-UHFFFAOYSA-N 0 3 317.436 4.478 20 0 DIADHN CN(C)Cc1ccccc1Nc1ncc(C(F)(F)F)cc1F ZINC001173929456 1051901827 /nfs/dbraw/zinc/90/18/27/1051901827.db2.gz QPINYEFPNTXKPW-UHFFFAOYSA-N 0 3 313.298 4.045 20 0 DIADHN CN(C)Cc1ccccc1N(c1ccccc1N)C1CCCC1 ZINC001173930940 1051902040 /nfs/dbraw/zinc/90/20/40/1051902040.db2.gz CFJIMUGUXJZTTC-UHFFFAOYSA-N 0 3 309.457 4.411 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Br)c(F)c1 ZINC001173930157 1051902433 /nfs/dbraw/zinc/90/24/33/1051902433.db2.gz TWXAWULEKAVGET-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CC(C)Oc1c(F)cccc1Nc1ccccc1CN(C)C ZINC001173931221 1051902461 /nfs/dbraw/zinc/90/24/61/1051902461.db2.gz XNDYHPNACPIBPT-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(Cl)cc2ncoc21 ZINC001173932589 1051905771 /nfs/dbraw/zinc/90/57/71/1051905771.db2.gz XKTYQENCXXUCRN-UHFFFAOYSA-N 0 3 301.777 4.286 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(Br)c1F ZINC001173932352 1051905823 /nfs/dbraw/zinc/90/58/23/1051905823.db2.gz SSHVXLBTVAYTME-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN Cc1cscc1CN[C@H](c1ccc2[nH]c(=O)oc2c1)C(C)C ZINC000494507938 1051910779 /nfs/dbraw/zinc/91/07/79/1051910779.db2.gz IJJNIMNJKIZEDE-INIZCTEOSA-N 0 3 316.426 4.390 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C1CCCCCCC1)c1ccco1 ZINC000807680877 1051919654 /nfs/dbraw/zinc/91/96/54/1051919654.db2.gz XKASMTCQNCCFCY-RDJZCZTQSA-N 0 3 320.477 4.186 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000768319408 1051922003 /nfs/dbraw/zinc/92/20/03/1051922003.db2.gz NQNDLFBKFAVMMK-OAHLLOKOSA-N 0 3 320.868 4.286 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnn3ccccc13)CC2 ZINC000189616681 1051922879 /nfs/dbraw/zinc/92/28/79/1051922879.db2.gz UCSPPKCHFPEAFG-LJQANCHMSA-N 0 3 305.425 4.235 20 0 DIADHN Cc1ccc(Nc2ccc(N3CCN(C)CC3)cc2C)c(C)c1 ZINC001174015325 1051934946 /nfs/dbraw/zinc/93/49/46/1051934946.db2.gz KLJIEDVGQRKQKV-UHFFFAOYSA-N 0 3 309.457 4.107 20 0 DIADHN CCN(Cc1ccc(C(F)(F)F)cc1)Cc1cccc(O)c1 ZINC000768512057 1051935645 /nfs/dbraw/zinc/93/56/45/1051935645.db2.gz GBBLXNJDEJDLQB-UHFFFAOYSA-N 0 3 309.331 4.433 20 0 DIADHN CC(C)CN(Cc1c(Cl)nc(Cl)n1C)C1CCCC1 ZINC000768649308 1051951369 /nfs/dbraw/zinc/95/13/69/1051951369.db2.gz BCQXYTQAJADMJB-UHFFFAOYSA-N 0 3 304.265 4.128 20 0 DIADHN CC(C)(CNCc1nccn1-c1ccccc1)c1cccs1 ZINC000191059921 1051959872 /nfs/dbraw/zinc/95/98/72/1051959872.db2.gz PYBPYGHYPHSAOG-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CC(C)N(C)c1ccc(CNC(C)(C)c2cccc(F)c2)cn1 ZINC000191138478 1051963594 /nfs/dbraw/zinc/96/35/94/1051963594.db2.gz XRCMMLDIMXJYJR-UHFFFAOYSA-N 0 3 315.436 4.090 20 0 DIADHN CC(C)(C)[C@@H](NCN1CC2(CCCC2)CC1=O)c1ccccc1 ZINC000747451622 1051972130 /nfs/dbraw/zinc/97/21/30/1051972130.db2.gz IRAWGHDLWREVKC-SFHVURJKSA-N 0 3 314.473 4.114 20 0 DIADHN CC(C)c1cn(CNC2(c3ccccc3)CCC2)c(=S)n1C ZINC000747455284 1051972816 /nfs/dbraw/zinc/97/28/16/1051972816.db2.gz YZURVHSFCJGEPU-UHFFFAOYSA-N 0 3 315.486 4.306 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(F)c(C)c1)CCS2 ZINC000191490169 1051974845 /nfs/dbraw/zinc/97/48/45/1051974845.db2.gz RJZSEELSMWWMJN-KRWDZBQOSA-N 0 3 317.429 4.469 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2OC1)c1ccc(F)cc1F ZINC000494602601 1051980728 /nfs/dbraw/zinc/98/07/28/1051980728.db2.gz JMYKFIGZNBWHGF-WFASDCNBSA-N 0 3 303.352 4.009 20 0 DIADHN CCC1CCN(Cc2ccc(NC(=O)OC(C)(C)C)nc2)CC1 ZINC000768930896 1051984133 /nfs/dbraw/zinc/98/41/33/1051984133.db2.gz GIMIVZKISZBDOC-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](OC)c1ccccc1)c1c(C)noc1C ZINC000494598508 1051985435 /nfs/dbraw/zinc/98/54/35/1051985435.db2.gz XWOZPOFFFUDUSG-QANKJYHBSA-N 0 3 302.418 4.108 20 0 DIADHN Cc1cc(C)cc([C@H](N[C@@H]2CCCSC2)c2cccnc2)c1 ZINC000769010000 1051994743 /nfs/dbraw/zinc/99/47/43/1051994743.db2.gz AGQFFXXFYHRGIN-RTBURBONSA-N 0 3 312.482 4.273 20 0 DIADHN CCCCNC(=O)c1ccc(Nc2ccnc(C3CC3)c2)cc1 ZINC001174122966 1052001180 /nfs/dbraw/zinc/00/11/80/1052001180.db2.gz ZTMBUXDALZTGAL-UHFFFAOYSA-N 0 3 309.413 4.233 20 0 DIADHN CN(CCc1nsc2ccccc21)Cc1cc(F)cc(F)c1 ZINC001170000804 1052003514 /nfs/dbraw/zinc/00/35/14/1052003514.db2.gz JEXGFMBAZXQPQE-UHFFFAOYSA-N 0 3 318.392 4.249 20 0 DIADHN O[C@H](c1ccccc1)[C@H]1CCCCN1Cc1cc(F)cc(F)c1 ZINC000769242630 1052008289 /nfs/dbraw/zinc/00/82/89/1052008289.db2.gz JZYYSSVCZOIJQA-RTBURBONSA-N 0 3 317.379 4.053 20 0 DIADHN O[C@@H](c1ccccc1)[C@@H]1CCCCN1Cc1cc(F)cc(F)c1 ZINC000769242623 1052009831 /nfs/dbraw/zinc/00/98/31/1052009831.db2.gz JZYYSSVCZOIJQA-OALUTQOASA-N 0 3 317.379 4.053 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cnc(Cl)c(C)c3)C2)cc1 ZINC000769245492 1052013782 /nfs/dbraw/zinc/01/37/82/1052013782.db2.gz UKRCJRGVECAQGJ-MRXNPFEDSA-N 0 3 316.832 4.042 20 0 DIADHN Cn1cnc(CNCC2(c3ccc(Cl)cc3)CCCCC2)c1 ZINC000808385895 1052015315 /nfs/dbraw/zinc/01/53/15/1052015315.db2.gz TZCRTJRVXFKFSH-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN COc1ccc(CNCc2ccccc2C(C)C)c(C)c1OC ZINC000808408836 1052019002 /nfs/dbraw/zinc/01/90/02/1052019002.db2.gz NJBATBWMTNLKPW-UHFFFAOYSA-N 0 3 313.441 4.425 20 0 DIADHN COc1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001174153950 1052024652 /nfs/dbraw/zinc/02/46/52/1052024652.db2.gz XTVJRFICBOBDRO-UHFFFAOYSA-N 0 3 302.805 4.080 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cncc3ccccc31)C2 ZINC000769328367 1052024997 /nfs/dbraw/zinc/02/49/97/1052024997.db2.gz GSGSCKALECVQHT-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1cnc(-c2ccco2)s1 ZINC000193015133 1052025251 /nfs/dbraw/zinc/02/52/51/1052025251.db2.gz VCHQHMPYWVXDTK-HIFRSBDPSA-N 0 3 320.458 4.088 20 0 DIADHN O[C@H](c1ccccc1)[C@@H](NCc1cccs1)c1ccccc1 ZINC000808449632 1052025271 /nfs/dbraw/zinc/02/52/71/1052025271.db2.gz DXTMZWFLJBJCSG-RBUKOAKNSA-N 0 3 309.434 4.313 20 0 DIADHN COc1cc(CNCc2cc(Cl)cs2)c2ccccc2n1 ZINC000193129224 1052029852 /nfs/dbraw/zinc/02/98/52/1052029852.db2.gz JTUKTGHFRNZHON-UHFFFAOYSA-N 0 3 318.829 4.248 20 0 DIADHN C[C@H](NCc1cc(Cl)cs1)c1ccc(-n2cccn2)cc1 ZINC000193133608 1052030932 /nfs/dbraw/zinc/03/09/32/1052030932.db2.gz QHLAAUMOVFTMIZ-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N(Cc1cnn(C)c1)C1CC1 ZINC000195110703 1052037130 /nfs/dbraw/zinc/03/71/30/1052037130.db2.gz SGYDJDJWAMDYRR-HNNXBMFYSA-N 0 3 305.425 4.299 20 0 DIADHN Cc1cccn2c(CN3CCC(c4cccc(F)c4)CC3)cnc12 ZINC000769390359 1052038845 /nfs/dbraw/zinc/03/88/45/1052038845.db2.gz VWOSYVJGWSGIFO-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCCC[C@H]3C)c(Cl)nc21 ZINC000769404014 1052039488 /nfs/dbraw/zinc/03/94/88/1052039488.db2.gz HMVQUUVSYXYEPM-CYBMUJFWSA-N 0 3 320.868 4.430 20 0 DIADHN O=C(NC1CCCC1)c1cccc(Nc2ccnc(C3CC3)c2)c1 ZINC001174196539 1052045044 /nfs/dbraw/zinc/04/50/44/1052045044.db2.gz RNHJLRGWALSDAQ-UHFFFAOYSA-N 0 3 321.424 4.375 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](COC)c1ccc(Cl)cc1 ZINC000494769559 1052056410 /nfs/dbraw/zinc/05/64/10/1052056410.db2.gz WGBUQOMTRWPVKZ-QWHCGFSZSA-N 0 3 309.759 4.348 20 0 DIADHN Cc1cc(CN2C[C@H](c3ccccc3)C[C@@H]2C)cnc1Cl ZINC000769445498 1052056243 /nfs/dbraw/zinc/05/62/43/1052056243.db2.gz JVAJWNZXEDTADP-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000769454986 1052057595 /nfs/dbraw/zinc/05/75/95/1052057595.db2.gz CHQCCYZWDVYCAP-CYBMUJFWSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N2CCCc3ccccc32)cc1 ZINC000195539070 1052059418 /nfs/dbraw/zinc/05/94/18/1052059418.db2.gz DCYYNEHUZICHPN-DLBZAZTESA-N 0 3 322.452 4.014 20 0 DIADHN C[C@@H]1C[C@H](Nc2ccc(N3CCN(C)CC3)cc2)c2ccccc21 ZINC000808714427 1052075226 /nfs/dbraw/zinc/07/52/26/1052075226.db2.gz ZZFKLLTXBMAFJS-IERDGZPVSA-N 0 3 321.468 4.099 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1ccc(F)cc1Cl ZINC000808720641 1052077946 /nfs/dbraw/zinc/07/79/46/1052077946.db2.gz BHBDUIOGUXNUBL-PRXAMGSTSA-N 0 3 307.796 4.252 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1nccc2ccccc21 ZINC000769538744 1052078329 /nfs/dbraw/zinc/07/83/29/1052078329.db2.gz WAJOUBYNMKIMOC-HXUWFJFHSA-N 0 3 305.425 4.301 20 0 DIADHN COc1ccccc1CCCNC1(c2nc(C)cs2)CCC1 ZINC000494795665 1052103223 /nfs/dbraw/zinc/10/32/23/1052103223.db2.gz RJCQDDLFUNPICW-UHFFFAOYSA-N 0 3 316.470 4.062 20 0 DIADHN CS[C@@H]1CCCCN(Cc2cnc(-c3ccco3)s2)C1 ZINC000194435742 1052115689 /nfs/dbraw/zinc/11/56/89/1052115689.db2.gz IZEKBFXFCACVMB-GFCCVEGCSA-N 0 3 308.472 4.121 20 0 DIADHN Cc1nc2ccc(NC3=CCCN(Cc4ccccc4)C3)cc2o1 ZINC001174396553 1052118180 /nfs/dbraw/zinc/11/81/80/1052118180.db2.gz GYLHWVBNJJNSKM-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN c1noc2ccc(NC3=CCCN(Cc4ccccc4)C3)cc12 ZINC001174395188 1052119098 /nfs/dbraw/zinc/11/90/98/1052119098.db2.gz TVOZJHYPCHZDCH-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCN(CC)c1cccc(C)c1 ZINC000769871140 1052123010 /nfs/dbraw/zinc/12/30/10/1052123010.db2.gz XRJSLTZFSPODNU-HNNXBMFYSA-N 0 3 315.461 4.031 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCOc1cccc2ccccc21 ZINC000769873263 1052123781 /nfs/dbraw/zinc/12/37/81/1052123781.db2.gz KRKCKAKKONDOJT-CQSZACIVSA-N 0 3 324.424 4.428 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](O)c1ccc2ccccc2c1 ZINC000769873458 1052123939 /nfs/dbraw/zinc/12/39/39/1052123939.db2.gz IFZULCDVCHKYMU-BFUOFWGJSA-N 0 3 324.424 4.083 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@@H](C)c2c(CC)noc2C)C1(C)C ZINC000769872467 1052124483 /nfs/dbraw/zinc/12/44/83/1052124483.db2.gz GJQHSNKYVOKGRK-APHBMKBZSA-N 0 3 308.466 4.180 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](CC)Oc1cccc(F)c1 ZINC000769873136 1052124962 /nfs/dbraw/zinc/12/49/62/1052124962.db2.gz OZZUHAHHAFXULS-SWLSCSKDSA-N 0 3 320.408 4.193 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](CC)Oc1ccccc1F ZINC000769875757 1052125509 /nfs/dbraw/zinc/12/55/09/1052125509.db2.gz PRMZPVYQEPYRBS-JSGCOSHPSA-N 0 3 320.408 4.193 20 0 DIADHN CC[C@H](C)[C@H](NC)c1nnc(-c2ccc(C(F)(F)F)cc2)o1 ZINC001217722751 1052126668 /nfs/dbraw/zinc/12/66/68/1052126668.db2.gz DOCPQKAVHSWCKE-CABZTGNLSA-N 0 3 313.323 4.062 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000769874793 1052126711 /nfs/dbraw/zinc/12/67/11/1052126711.db2.gz MBFWMOOWTXQRGA-MEDUHNTESA-N 0 3 322.836 4.237 20 0 DIADHN CCCCCCc1nnc([C@]2(Cc3ccccc3)CCCN2)o1 ZINC001217735324 1052131148 /nfs/dbraw/zinc/13/11/48/1052131148.db2.gz LJODDBRXXCMOTQ-LJQANCHMSA-N 0 3 313.445 4.014 20 0 DIADHN Cc1nc2ccc(CNC(C)(C)c3ccc(C)nc3)cc2s1 ZINC000494842290 1052148747 /nfs/dbraw/zinc/14/87/47/1052148747.db2.gz NAROWMRTBNWZIQ-UHFFFAOYSA-N 0 3 311.454 4.333 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccccc1-n1ccnn1)c1ccccc1F ZINC000655783356 1052152840 /nfs/dbraw/zinc/15/28/40/1052152840.db2.gz DUMAOYBWHGGWBL-RDTXWAMCSA-N 0 3 324.403 4.208 20 0 DIADHN Cc1ccc2ncc(CNCc3ccc(C4CCC4)cc3)n2c1 ZINC000494843418 1052154501 /nfs/dbraw/zinc/15/45/01/1052154501.db2.gz AZJIGAFHYSLVIC-UHFFFAOYSA-N 0 3 305.425 4.200 20 0 DIADHN CC1(C)N[C@@H](COC2c3ccccc3C=Cc3ccccc32)CO1 ZINC001217865325 1052157932 /nfs/dbraw/zinc/15/79/32/1052157932.db2.gz KXIMDBDDYJNJAR-KRWDZBQOSA-N 0 3 321.420 4.001 20 0 DIADHN CC1(C)N[C@H](COC2c3ccccc3C=Cc3ccccc32)CO1 ZINC001217865327 1052158289 /nfs/dbraw/zinc/15/82/89/1052158289.db2.gz KXIMDBDDYJNJAR-QGZVFWFLSA-N 0 3 321.420 4.001 20 0 DIADHN CC1(C)N[C@H](CO[C@H](/C=C/c2ccccc2)c2ccccc2)CO1 ZINC001217891619 1052165917 /nfs/dbraw/zinc/16/59/17/1052165917.db2.gz AARDONVJYBDEOI-CUVMAEJSSA-N 0 3 323.436 4.182 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)C/C=C\c1ccncc1)CCC2 ZINC000494935275 1052171375 /nfs/dbraw/zinc/17/13/75/1052171375.db2.gz YNGHJAGVZUUVFV-OGZRUICASA-N 0 3 313.470 4.123 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)C/C=C/c1ccncc1)CCC2 ZINC000494935276 1052171951 /nfs/dbraw/zinc/17/19/51/1052171951.db2.gz YNGHJAGVZUUVFV-SQRWCISFSA-N 0 3 313.470 4.123 20 0 DIADHN ClC(Cl)(O[C@@H]1CNCc2ccccc21)c1ccccc1 ZINC001217942258 1052174655 /nfs/dbraw/zinc/17/46/55/1052174655.db2.gz WVWLNCJOLGWBGT-OAHLLOKOSA-N 0 3 308.208 4.136 20 0 DIADHN C[C@@H]1SCCN(C/C=C\c2ccncc2)[C@@H]1c1ccccc1 ZINC000494962762 1052183511 /nfs/dbraw/zinc/18/35/11/1052183511.db2.gz ZKCCCVNMBIHAMA-MIGHCRNESA-N 0 3 310.466 4.273 20 0 DIADHN Clc1ccc([C@@H]2CSCCN2C[C@H]2CCSC2)cc1 ZINC000494964910 1052187446 /nfs/dbraw/zinc/18/74/46/1052187446.db2.gz UASMBKAJBFRCOO-DOMZBBRYSA-N 0 3 313.919 4.183 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001218066983 1052191849 /nfs/dbraw/zinc/19/18/49/1052191849.db2.gz PKPILGBVNULGQS-IAGOWNOFSA-N 0 3 305.780 4.086 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1ccc(-c2ccccc2)cc1Cl ZINC001218067026 1052192224 /nfs/dbraw/zinc/19/22/24/1052192224.db2.gz PUHBZJJUFMFJSQ-DOTOQJQBSA-N 0 3 305.780 4.086 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1cccc2ccc(Cl)c(Cl)c21 ZINC001218064018 1052192457 /nfs/dbraw/zinc/19/24/57/1052192457.db2.gz FOGRJNIIGPCIPU-VXGBXAGGSA-N 0 3 314.187 4.225 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3cccnc3Cl)C2)c1 ZINC000784495680 1052206735 /nfs/dbraw/zinc/20/67/35/1052206735.db2.gz XVJSZOBUUOETQP-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2nc3ccccc3n2C)c2ccccc2)[C@H]1C ZINC000495091681 1052214335 /nfs/dbraw/zinc/21/43/35/1052214335.db2.gz KLHXTAXOTHQIFK-HCCHORAYSA-N 0 3 319.452 4.297 20 0 DIADHN Cc1ccc([C@H](Oc2cccc3c2CNC3)C(F)(F)F)cc1 ZINC001218302910 1052220012 /nfs/dbraw/zinc/22/00/12/1052220012.db2.gz KVMHTNLCGNRUHI-INIZCTEOSA-N 0 3 307.315 4.281 20 0 DIADHN Cc1ccc([C@@H](Oc2cccc3c2CNC3)C(F)(F)F)cc1 ZINC001218302911 1052220461 /nfs/dbraw/zinc/22/04/61/1052220461.db2.gz KVMHTNLCGNRUHI-MRXNPFEDSA-N 0 3 307.315 4.281 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1c(Cl)cncc1Cl ZINC001218328751 1052225919 /nfs/dbraw/zinc/22/59/19/1052225919.db2.gz OZKSKJCJODQWDV-VIFPVBQESA-N 0 3 309.196 4.132 20 0 DIADHN CCSc1cc(CNCc2cc(C)c(C)cc2C)ccn1 ZINC000495155401 1052237394 /nfs/dbraw/zinc/23/73/94/1052237394.db2.gz IIJBPEDLZVIVHT-UHFFFAOYSA-N 0 3 300.471 4.409 20 0 DIADHN Cc1cccc(-c2ccccc2)c1O[C@@H]1CNCCC1(F)F ZINC001218447853 1052239973 /nfs/dbraw/zinc/23/99/73/1052239973.db2.gz LWJARNJGOLKTTE-MRXNPFEDSA-N 0 3 303.352 4.038 20 0 DIADHN FC1(F)CCNC[C@H]1Oc1cc(Cl)c(Cl)c(Cl)c1 ZINC001218453267 1052241962 /nfs/dbraw/zinc/24/19/62/1052241962.db2.gz SMHWSMQJMJBMJB-SECBINFHSA-N 0 3 316.562 4.023 20 0 DIADHN CCSc1cc(CNC/C=C\c2ccccc2OC)ccn1 ZINC000495170156 1052245368 /nfs/dbraw/zinc/24/53/68/1052245368.db2.gz FPCSRXXNRFRZFG-VURMDHGXSA-N 0 3 314.454 4.005 20 0 DIADHN Clc1ccc2sc(O[C@@H]3CNCc4ccccc43)nc2c1 ZINC001218483927 1052246160 /nfs/dbraw/zinc/24/61/60/1052246160.db2.gz ANNCTIFKJXOKJI-CQSZACIVSA-N 0 3 316.813 4.173 20 0 DIADHN Fc1ccc(O[C@@H]2CNCc3ccccc32)c(C(F)(F)F)c1 ZINC001218485688 1052246417 /nfs/dbraw/zinc/24/64/17/1052246417.db2.gz DWZKOWXZRGNMEP-OAHLLOKOSA-N 0 3 311.278 4.068 20 0 DIADHN Clc1nc2ccccc2cc1O[C@@H]1CNCc2ccccc21 ZINC001218486190 1052246913 /nfs/dbraw/zinc/24/69/13/1052246913.db2.gz COAFZUHWTMRIHM-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1O[C@H]1CNCc2ccccc21 ZINC001218486394 1052247169 /nfs/dbraw/zinc/24/71/69/1052247169.db2.gz DRAMDOOXYXXPCF-HNNXBMFYSA-N 0 3 311.278 4.068 20 0 DIADHN C/C=C/c1ccc(OCC)c(O[C@H]2CNCc3ccccc32)c1 ZINC001218485767 1052247258 /nfs/dbraw/zinc/24/72/58/1052247258.db2.gz FHQQUYFCXFPFBF-BEGIUABZSA-N 0 3 309.409 4.342 20 0 DIADHN Fc1c(O[C@H]2CNCc3ccccc32)cccc1C(F)(F)F ZINC001218489055 1052247377 /nfs/dbraw/zinc/24/73/77/1052247377.db2.gz ZSSDKJDKJURTCZ-AWEZNQCLSA-N 0 3 311.278 4.068 20 0 DIADHN Clc1cccc2c(O[C@@H]3CNCc4ccccc43)ccnc12 ZINC001218489574 1052247741 /nfs/dbraw/zinc/24/77/41/1052247741.db2.gz PADKWCZEWOHZND-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN CC(=O)c1c(O[C@@H]2CNCc3ccccc32)ccc2ccccc21 ZINC001218487334 1052248169 /nfs/dbraw/zinc/24/81/69/1052248169.db2.gz NZPHCZVYQKFREN-HXUWFJFHSA-N 0 3 317.388 4.266 20 0 DIADHN Cc1nc(-c2ccc(O[C@H]3CNCc4ccccc43)cc2)cs1 ZINC001218489745 1052249274 /nfs/dbraw/zinc/24/92/74/1052249274.db2.gz VDHSTZVHIXLDPP-IBGZPJMESA-N 0 3 322.433 4.342 20 0 DIADHN O=Nc1c(O[C@@H]2CNCc3ccccc32)ccc2ccccc21 ZINC001218490347 1052249974 /nfs/dbraw/zinc/24/99/74/1052249974.db2.gz YMOLNGYPCQAPBR-GOSISDBHSA-N 0 3 304.349 4.461 20 0 DIADHN Clc1cnc2cccc(O[C@@H]3CNCc4ccccc43)c2c1 ZINC001218490962 1052250012 /nfs/dbraw/zinc/25/00/12/1052250012.db2.gz SYKJGOJVMOVXHA-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)[C@@H](N)Cc2ccc(C)cc2C)cc1 ZINC001218809082 1052255213 /nfs/dbraw/zinc/25/52/13/1052255213.db2.gz LUMXIQCRFMDBLV-QRWLVFNGSA-N 0 3 324.468 4.325 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)c2cc3c(s2)CNCC3)cc1 ZINC001218808590 1052255746 /nfs/dbraw/zinc/25/57/46/1052255746.db2.gz GVNGFEOUOCURIO-GFCCVEGCSA-N 0 3 314.454 4.160 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)[C@@H](N)CCCc2ccccc2)cc1 ZINC001218808656 1052255759 /nfs/dbraw/zinc/25/57/59/1052255759.db2.gz IFBBMPPERWEIQN-JXFKEZNVSA-N 0 3 324.468 4.489 20 0 DIADHN CCCCCCc1ccc(NC(=O)C[C@H]2CCNC[C@@H]2F)cc1 ZINC001218837847 1052258165 /nfs/dbraw/zinc/25/81/65/1052258165.db2.gz JRLPYSVDVGMBSG-AEFFLSMTSA-N 0 3 320.452 4.086 20 0 DIADHN CC(C)c1cccc2cc(C(=O)Nc3cccc4c3CNC4)[nH]c21 ZINC001218992817 1052266645 /nfs/dbraw/zinc/26/66/45/1052266645.db2.gz ALCBMTKDFUZAHY-UHFFFAOYSA-N 0 3 319.408 4.147 20 0 DIADHN CCCCCc1ccc(C(=O)Nc2cccc3c2CNC3)cc1 ZINC001218993000 1052266870 /nfs/dbraw/zinc/26/68/70/1052266870.db2.gz DNXGCZSLOCTPFE-UHFFFAOYSA-N 0 3 308.425 4.275 20 0 DIADHN CCC(CC)(CNCc1csc(-c2ccccn2)n1)SC ZINC000230707860 1052271993 /nfs/dbraw/zinc/27/19/93/1052271993.db2.gz DMGABQZPMPNMGJ-UHFFFAOYSA-N 0 3 321.515 4.217 20 0 DIADHN C[C@H](CNCc1cccc(Cl)c1Cl)c1nccs1 ZINC000230694898 1052272144 /nfs/dbraw/zinc/27/21/44/1052272144.db2.gz MVVNOJHXPPGROL-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H]2CCCOCC2)c1Cl ZINC000310937289 1052274696 /nfs/dbraw/zinc/27/46/96/1052274696.db2.gz IRXDAYIARXLTEV-VIFPVBQESA-N 0 3 308.636 4.306 20 0 DIADHN Cc1ccc(Cl)cc1CNCc1c[nH]nc1-c1ccccc1 ZINC000188868653 1052274878 /nfs/dbraw/zinc/27/48/78/1052274878.db2.gz FQVVTDQHQFSCDQ-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN N[C@@H](CC1CCCC1)C(=O)Nc1cccc(-c2cccs2)c1 ZINC001219274341 1052280994 /nfs/dbraw/zinc/28/09/94/1052280994.db2.gz ZHKLGKSCEWUBNW-INIZCTEOSA-N 0 3 314.454 4.261 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2cc(C)ccc2C)s1 ZINC000303302220 1052321596 /nfs/dbraw/zinc/32/15/96/1052321596.db2.gz MZWDNNAHBYPUOD-HNNXBMFYSA-N 0 3 318.486 4.449 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)[C@H]1CCC[C@H](c2ccccc2)N1 ZINC001220251689 1052324848 /nfs/dbraw/zinc/32/48/48/1052324848.db2.gz BTAKLTOVSVVJBF-QXAKKESOSA-N 0 3 316.489 4.201 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc(OC(C)(C)C)nc1 ZINC000495375695 1052326634 /nfs/dbraw/zinc/32/66/34/1052326634.db2.gz ZUSAGBSDADHRDL-GOSISDBHSA-N 0 3 310.441 4.344 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CCC[C@@]2(CC=CCC2)C1 ZINC000303547329 1052331042 /nfs/dbraw/zinc/33/10/42/1052331042.db2.gz JFMURYMXWZWDNG-PXNSSMCTSA-N 0 3 312.457 4.144 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N1CCC[C@]2(CC=CCC2)C1 ZINC000303547334 1052331369 /nfs/dbraw/zinc/33/13/69/1052331369.db2.gz JFMURYMXWZWDNG-YLJYHZDGSA-N 0 3 312.457 4.144 20 0 DIADHN COC[C@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000303555026 1052331710 /nfs/dbraw/zinc/33/17/10/1052331710.db2.gz JOGIXUHNKPUMNJ-LBPRGKRZSA-N 0 3 309.862 4.413 20 0 DIADHN Clc1ccc2nc(CN3CCC[C@@]4(CC=CCC4)C3)cn2c1 ZINC000303618984 1052333887 /nfs/dbraw/zinc/33/38/87/1052333887.db2.gz WTUQSCGJXLGSAL-SFHVURJKSA-N 0 3 315.848 4.310 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCCC(=O)OC(C)(C)C ZINC000495400871 1052336315 /nfs/dbraw/zinc/33/63/15/1052336315.db2.gz GPMUVFFHXMVQFA-ZDUSSCGKSA-N 0 3 303.402 4.124 20 0 DIADHN COc1ccc2c(c1)[C@H](NC/C=C\c1ccccc1)CCCO2 ZINC000495409882 1052338204 /nfs/dbraw/zinc/33/82/04/1052338204.db2.gz QRQFDZAFWLXEBL-FVXMCEBTSA-N 0 3 309.409 4.212 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1coc(-c2cccc(F)c2)n1 ZINC000303689225 1052338258 /nfs/dbraw/zinc/33/82/58/1052338258.db2.gz PBFHJYZBKIALRX-KRWDZBQOSA-N 0 3 302.393 4.491 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2ccc(Cl)cc2)c1 ZINC000495610184 1052383110 /nfs/dbraw/zinc/38/31/10/1052383110.db2.gz BLJPBPCQPSXTJA-LBPRGKRZSA-N 0 3 318.804 4.369 20 0 DIADHN C[C@@H](NCCc1ccc2ccccc2n1)c1ccc(F)cc1F ZINC000495702509 1052404808 /nfs/dbraw/zinc/40/48/08/1052404808.db2.gz NTZNHDALGJBHJL-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN COc1cc(Cl)cc(-c2ccc(OC)c(CN(C)C)c2)c1 ZINC001205140999 1052405849 /nfs/dbraw/zinc/40/58/49/1052405849.db2.gz RZSYYRXTMAUVEN-UHFFFAOYSA-N 0 3 305.805 4.086 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(F)=C1CCCC1)c1ccsc1 ZINC000762596433 1052406004 /nfs/dbraw/zinc/40/60/04/1052406004.db2.gz ILSTUZOIPIATAE-HNNXBMFYSA-N 0 3 324.465 4.045 20 0 DIADHN O[C@H](CN1CCCC2(CCCCC2)C1)c1c(F)cccc1F ZINC000732214630 1052420767 /nfs/dbraw/zinc/42/07/67/1052420767.db2.gz MXBGPEZPSDQXOV-MRXNPFEDSA-N 0 3 309.400 4.045 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2NCc1c[nH]nc1-c1ccccc1 ZINC000236595646 1052427561 /nfs/dbraw/zinc/42/75/61/1052427561.db2.gz UQAQQNGKJSEMRW-INIZCTEOSA-N 0 3 309.438 4.305 20 0 DIADHN CC(C)C[C@H](NC(=O)C[C@H](N)c1ccccc1)c1cccs1 ZINC000236596613 1052427767 /nfs/dbraw/zinc/42/77/67/1052427767.db2.gz OBLXLUOGOMZROO-HOTGVXAUSA-N 0 3 316.470 4.042 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)C(=O)C[C@H](N)c1ccccc1 ZINC000237406855 1052440221 /nfs/dbraw/zinc/44/02/21/1052440221.db2.gz UJRCFQHOKRMXQV-PBHICJAKSA-N 0 3 322.408 4.042 20 0 DIADHN Nc1cc(Br)ccc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC000094047352 1052440338 /nfs/dbraw/zinc/44/03/38/1052440338.db2.gz BDZKQRDNZNPREF-WBMJQRKESA-N 0 3 323.278 4.186 20 0 DIADHN CCCCCC[C@H](C)NC(=O)N1CCN(C2CCCCC2)CC1 ZINC001222084042 1052440546 /nfs/dbraw/zinc/44/05/46/1052440546.db2.gz GRNCWAXYLLEODX-KRWDZBQOSA-N 0 3 323.525 4.005 20 0 DIADHN O=C(CCNCc1ccc(-c2ccccc2)o1)Nc1ccccc1 ZINC000238046136 1052449032 /nfs/dbraw/zinc/44/90/32/1052449032.db2.gz NDVYLWPCXXZAHP-UHFFFAOYSA-N 0 3 320.392 4.065 20 0 DIADHN CC[C@@H](NCc1scnc1C)c1ccc2c(c1)CCCO2 ZINC000153627775 1052456947 /nfs/dbraw/zinc/45/69/47/1052456947.db2.gz QVKSROJNWBNAEZ-OAHLLOKOSA-N 0 3 302.443 4.017 20 0 DIADHN CC[C@H](NCc1scnc1C)c1ccc2c(c1)CCCO2 ZINC000153627682 1052457171 /nfs/dbraw/zinc/45/71/71/1052457171.db2.gz QVKSROJNWBNAEZ-HNNXBMFYSA-N 0 3 302.443 4.017 20 0 DIADHN CCCCOc1ccc(-c2cc(CN(C)C)ccn2)c(F)c1F ZINC001222201088 1052459974 /nfs/dbraw/zinc/45/99/74/1052459974.db2.gz CLALEVXVWSTICL-UHFFFAOYSA-N 0 3 320.383 4.267 20 0 DIADHN CCN(Cc1cccc(Cl)c1)C[C@@H](O)c1ccccc1F ZINC000915687334 1052469133 /nfs/dbraw/zinc/46/91/33/1052469133.db2.gz ARECVJXEKJMJCL-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN C[C@@H](NCC[C@H](O)c1ccccc1)c1cc(Cl)ccc1F ZINC001168498302 1052473614 /nfs/dbraw/zinc/47/36/14/1052473614.db2.gz QMGRMYFVODNPAB-PXAZEXFGSA-N 0 3 307.796 4.253 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763037593 1052492657 /nfs/dbraw/zinc/49/26/57/1052492657.db2.gz SXFPZIOHXXOWCR-CJNGLKHVSA-N 0 3 317.408 4.324 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763048365 1052493433 /nfs/dbraw/zinc/49/34/33/1052493433.db2.gz GHDZLFUWOYOSSC-JKSUJKDBSA-N 0 3 317.408 4.180 20 0 DIADHN Cc1cc(Br)cc(CN(C)C2CC(C)C2)c1F ZINC001235718571 1052496263 /nfs/dbraw/zinc/49/62/63/1052496263.db2.gz AJQTUVLCUVDOGG-UHFFFAOYSA-N 0 3 300.215 4.127 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCc2c1cc(F)cc2F ZINC000154628305 1052509408 /nfs/dbraw/zinc/50/94/08/1052509408.db2.gz IDMAQJGFZBVILT-ZWKOTPCHSA-N 0 3 303.327 4.368 20 0 DIADHN Cc1noc(C2CCN(Cc3ccc(C(C)(C)C)cc3)CC2)n1 ZINC000054679127 1052519814 /nfs/dbraw/zinc/51/98/14/1052519814.db2.gz HCUHGGVTTXKKGA-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000106859729 1052523750 /nfs/dbraw/zinc/52/37/50/1052523750.db2.gz AVIKZJICSGUYOL-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)c1 ZINC000107072401 1052543960 /nfs/dbraw/zinc/54/39/60/1052543960.db2.gz BZNUQAPMQMEQGJ-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)C(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000670268628 1052543976 /nfs/dbraw/zinc/54/39/76/1052543976.db2.gz VRIUSJUFGMSIFU-HIFRSBDPSA-N 0 3 316.449 4.101 20 0 DIADHN F[C@]1(c2ccccc2)CCN(Cc2cccc3cnccc32)C1 ZINC000670272134 1052549163 /nfs/dbraw/zinc/54/91/63/1052549163.db2.gz LUMSVVQAKAGYDW-HXUWFJFHSA-N 0 3 306.384 4.306 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2ccccc2CC(F)(F)F)C1 ZINC000638084754 1052569804 /nfs/dbraw/zinc/56/98/04/1052569804.db2.gz LYYUABUPQGNWPF-CQSZACIVSA-N 0 3 315.379 4.040 20 0 DIADHN C=Cc1ccc(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001223150332 1052573026 /nfs/dbraw/zinc/57/30/26/1052573026.db2.gz BDMMUHKHLDKVQG-QFBILLFUSA-N 0 3 308.425 4.077 20 0 DIADHN C=Cc1ccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001223152211 1052573295 /nfs/dbraw/zinc/57/32/95/1052573295.db2.gz UTSCCZLYBCLETF-XLIONFOSSA-N 0 3 322.452 4.467 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H]1CCc2ccc(Cl)cc21 ZINC000763395634 1052622266 /nfs/dbraw/zinc/62/22/66/1052622266.db2.gz NBFWSEGIVQEMNT-QZTJIDSGSA-N 0 3 313.832 4.093 20 0 DIADHN C=C(O[C@@H]1CCCN([C@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223639629 1052623043 /nfs/dbraw/zinc/62/30/43/1052623043.db2.gz SXPUVLBZUFSXPH-VQIMIIECSA-N 0 3 308.425 4.295 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@@H](c2ccccc2)C2CC2)c(C)c1 ZINC000123569605 1052631995 /nfs/dbraw/zinc/63/19/95/1052631995.db2.gz QGQCURGPUDKRRD-NRFANRHFSA-N 0 3 322.452 4.291 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N(C)CC1CCCCC1 ZINC000220306264 1052639071 /nfs/dbraw/zinc/63/90/71/1052639071.db2.gz MIXLKQHDIMUDGU-GFCCVEGCSA-N 0 3 305.447 4.371 20 0 DIADHN Clc1ccc(Br)cc1CN[C@@H]1CCCSC1 ZINC000124356957 1052639965 /nfs/dbraw/zinc/63/99/65/1052639965.db2.gz XBSLLLAGBYWBPZ-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000125124763 1052645958 /nfs/dbraw/zinc/64/59/58/1052645958.db2.gz GIRLFGMVLSTBHV-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN Cn1ccc(CN[C@H](Cc2ccccc2)c2ccccc2F)c1 ZINC000125809974 1052654866 /nfs/dbraw/zinc/65/48/66/1052654866.db2.gz QWOZINQWUSCYOD-HXUWFJFHSA-N 0 3 308.400 4.238 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](OC(C)(C)c2ccccc2)C1 ZINC001223956687 1052655441 /nfs/dbraw/zinc/65/54/41/1052655441.db2.gz UPENAKQYHZHRAO-FUHWJXTLSA-N 0 3 310.441 4.169 20 0 DIADHN C[C@@H](O[C@H]1CC[C@H](N2CCOCC2)CC1)c1ccc(Cl)cc1 ZINC001223965164 1052656162 /nfs/dbraw/zinc/65/61/62/1052656162.db2.gz YMTXHBKDQWEERI-ZTFGCOKTSA-N 0 3 323.864 4.061 20 0 DIADHN C[C@@H](O[C@H]1CC[C@@H](N2CCOCC2)CC1)c1ccc(Cl)cc1 ZINC001223965157 1052656226 /nfs/dbraw/zinc/65/62/26/1052656226.db2.gz YMTXHBKDQWEERI-OLMNPRSZSA-N 0 3 323.864 4.061 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(F)c(Cl)c2)c(CN(C)C)c1 ZINC000125881025 1052656383 /nfs/dbraw/zinc/65/63/83/1052656383.db2.gz UTUSQSYABWNSKJ-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Fc1ccccc1-c1ccc(CNCCSC(F)(F)F)o1 ZINC000916838675 1052658310 /nfs/dbraw/zinc/65/83/10/1052658310.db2.gz QIGNTWSYHXHKSV-UHFFFAOYSA-N 0 3 319.323 4.428 20 0 DIADHN C[C@H](NC1CCCCCC1)c1ncc(Br)s1 ZINC000126369953 1052668894 /nfs/dbraw/zinc/66/88/94/1052668894.db2.gz CBZLHLSRZXUBMK-VIFPVBQESA-N 0 3 303.269 4.279 20 0 DIADHN Fc1ccc(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)c(Cl)c1 ZINC001224117689 1052669468 /nfs/dbraw/zinc/66/94/68/1052669468.db2.gz BTINJJXFXFSLSW-BBRMVZONSA-N 0 3 316.807 4.120 20 0 DIADHN C[C@H](NCCc1ccco1)c1ccc(Br)cc1F ZINC000126720187 1052673687 /nfs/dbraw/zinc/67/36/87/1052673687.db2.gz GKNQYFRZPVNCGR-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C[C@@H](O)c2c(F)cccc2F)cc1 ZINC000916968079 1052675392 /nfs/dbraw/zinc/67/53/92/1052675392.db2.gz CBMZWVPCFBDXOH-ZWKOTPCHSA-N 0 3 317.379 4.144 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccccc1Cl)c1ccc(F)cc1 ZINC000917012727 1052680347 /nfs/dbraw/zinc/68/03/47/1052680347.db2.gz LEKLAAKIOHNJPH-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1C[C@@H](O)c1c(F)cccc1F ZINC000917012912 1052680518 /nfs/dbraw/zinc/68/05/18/1052680518.db2.gz MZDOMLYTCGDKGB-QZTJIDSGSA-N 0 3 317.379 4.144 20 0 DIADHN CC[C@H](NCc1ccc(N(CC)CC)nc1)c1cccs1 ZINC000126821326 1052681526 /nfs/dbraw/zinc/68/15/26/1052681526.db2.gz ZYNAIMDGKNXZOZ-HNNXBMFYSA-N 0 3 303.475 4.230 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000126874996 1052683774 /nfs/dbraw/zinc/68/37/74/1052683774.db2.gz JHXFTGKSCGSAGU-CQSZACIVSA-N 0 3 317.864 4.432 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000127030696 1052685515 /nfs/dbraw/zinc/68/55/15/1052685515.db2.gz JLSYKYASQOYYDB-UPJWGTAASA-N 0 3 305.447 4.227 20 0 DIADHN Cc1cccnc1CN(CC(C)C)[C@H]1CCCc2cccnc21 ZINC000127015190 1052685816 /nfs/dbraw/zinc/68/58/16/1052685816.db2.gz JDEOIYORNXWVMU-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN C[C@H](NCCSc1ccccc1)c1nc2ccccc2n1C ZINC000127309812 1052692484 /nfs/dbraw/zinc/69/24/84/1052692484.db2.gz DZCHGNZEQDSKAO-AWEZNQCLSA-N 0 3 311.454 4.016 20 0 DIADHN C[C@]1(CNCc2csc(-c3ccc(F)cc3)n2)CCCS1 ZINC000127902070 1052703764 /nfs/dbraw/zinc/70/37/64/1052703764.db2.gz IQQASHZUKKTXNK-MRXNPFEDSA-N 0 3 322.474 4.325 20 0 DIADHN C[C@H](NCCc1nccs1)c1cccc(Cl)c1Cl ZINC000127852859 1052703872 /nfs/dbraw/zinc/70/38/72/1052703872.db2.gz OQSBKIBYYYCVLX-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(Cl)c(Cl)c1)c1cnccn1 ZINC000128083227 1052708507 /nfs/dbraw/zinc/70/85/07/1052708507.db2.gz JIWAYJNPEXZUDM-NSHDSACASA-N 0 3 324.255 4.412 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(Cl)c(Cl)c1)c1cnccn1 ZINC000128083421 1052709101 /nfs/dbraw/zinc/70/91/01/1052709101.db2.gz JIWAYJNPEXZUDM-LLVKDONJSA-N 0 3 324.255 4.412 20 0 DIADHN CCN(CC(=O)Nc1ccccc1C(F)(F)F)[C@H](C)C(C)C ZINC000067661211 1052709215 /nfs/dbraw/zinc/70/92/15/1052709215.db2.gz MCWCLFXDYKHCBY-GFCCVEGCSA-N 0 3 316.367 4.010 20 0 DIADHN C/C=C/COc1ccc(CC[C@@H](C)NCc2nccs2)cc1 ZINC000128166558 1052710843 /nfs/dbraw/zinc/71/08/43/1052710843.db2.gz ZINJJVBTYVMKLC-NHZBNJEXSA-N 0 3 316.470 4.209 20 0 DIADHN CCCC[C@@H](CC)CO[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001224707967 1052718891 /nfs/dbraw/zinc/71/88/91/1052718891.db2.gz SGPPLUBUTBIDRV-KZNAEPCWSA-N 0 3 304.478 4.450 20 0 DIADHN C(CCC1CCCCC1)CO[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001224766453 1052723622 /nfs/dbraw/zinc/72/36/22/1052723622.db2.gz IJIWPTMYDQOQSW-MXVIHJGJSA-N 0 3 323.521 4.397 20 0 DIADHN Cc1ccccc1CCO[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001224870672 1052732096 /nfs/dbraw/zinc/73/20/96/1052732096.db2.gz NKTBPEOPBZJXDV-QUCCMNQESA-N 0 3 324.468 4.175 20 0 DIADHN Cc1cccc([C@H]2CCCN2C[C@@H](O)c2c(F)cccc2F)c1 ZINC000917641083 1052746966 /nfs/dbraw/zinc/74/69/66/1052746966.db2.gz WBZYSQSVKDRJQK-QZTJIDSGSA-N 0 3 317.379 4.144 20 0 DIADHN CCOc1ccccc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001225213322 1052756413 /nfs/dbraw/zinc/75/64/13/1052756413.db2.gz ULWXRRCMPRJVSF-SFHVURJKSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1cccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1C ZINC001225219806 1052757591 /nfs/dbraw/zinc/75/75/91/1052757591.db2.gz KZOREEWEACUSHW-ROUUACIJSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1cc(C)cc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001225245128 1052762926 /nfs/dbraw/zinc/76/29/26/1052762926.db2.gz POMDAHVCQKAWRN-ROUUACIJSA-N 0 3 310.441 4.303 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937563 1052765735 /nfs/dbraw/zinc/76/57/35/1052765735.db2.gz GEFXADIWSIDVTD-GJZGRUSLSA-N 0 3 318.505 4.328 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccc(Br)s1 ZINC000129018165 1052767318 /nfs/dbraw/zinc/76/73/18/1052767318.db2.gz PQSPVZOBWQCOBP-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN Fc1ccc(O[C@@H]2CCCN(Cc3ccccc3)C2)cc1F ZINC001225311541 1052772129 /nfs/dbraw/zinc/77/21/29/1052772129.db2.gz HVTQSNGEPKKETE-MRXNPFEDSA-N 0 3 303.352 4.008 20 0 DIADHN Clc1cc(Br)ccc1CN[C@H]1CCCSC1 ZINC000129246768 1052781243 /nfs/dbraw/zinc/78/12/43/1052781243.db2.gz PIIWRNURCSMZSN-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N(C)CC1CCCC1 ZINC000069227616 1052782365 /nfs/dbraw/zinc/78/23/65/1052782365.db2.gz RTHNNDCBABDXRX-HNNXBMFYSA-N 0 3 316.489 4.260 20 0 DIADHN C[C@@H](NCc1cnn(-c2ccccc2)c1)c1cc2c(s1)CCC2 ZINC000129494548 1052791715 /nfs/dbraw/zinc/79/17/15/1052791715.db2.gz WBPGGDMJXAYZEC-CQSZACIVSA-N 0 3 323.465 4.273 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccncc1F)Nc1ccccc1 ZINC000556075924 1052796625 /nfs/dbraw/zinc/79/66/25/1052796625.db2.gz HWMIOSJBFYNQSF-KBXCAEBGSA-N 0 3 301.409 4.008 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2cccc(Cl)c2)c1 ZINC000069645260 1052797535 /nfs/dbraw/zinc/79/75/35/1052797535.db2.gz CEVOHEBCAORXCE-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN CN(CCC1CCOCC1)Cc1cc(C(F)(F)F)ccc1F ZINC000129671973 1052801872 /nfs/dbraw/zinc/80/18/72/1052801872.db2.gz GJPHGKYKQINPBB-UHFFFAOYSA-N 0 3 319.342 4.093 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(C)cc2)ccc1OC(F)F ZINC000069755889 1052801922 /nfs/dbraw/zinc/80/19/22/1052801922.db2.gz YUROQOYKIFTWMJ-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CC(=O)c1ccccc1O[C@@H]1CN(Cc2ccccc2)CC[C@@H]1C ZINC001225570165 1052803218 /nfs/dbraw/zinc/80/32/18/1052803218.db2.gz UWKHSKCUWUDIPU-HRAATJIYSA-N 0 3 323.436 4.179 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](C)c1ccncc1F ZINC000556120242 1052804226 /nfs/dbraw/zinc/80/42/26/1052804226.db2.gz MLPVLZMZRQOHJA-ZIAGYGMSSA-N 0 3 304.434 4.442 20 0 DIADHN Fc1ccc(CNCc2ccc(Cl)c3cccnc23)cc1 ZINC000129974800 1052815870 /nfs/dbraw/zinc/81/58/70/1052815870.db2.gz UUVQICWJIYWESP-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC(F)F)cc2)Cc2ccccc21 ZINC000918734789 1052827405 /nfs/dbraw/zinc/82/74/05/1052827405.db2.gz DLQJGVOKKCTBHH-ZDUSSCGKSA-N 0 3 303.352 4.407 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)F)cc2)Cc2ccccc21 ZINC000918734788 1052827925 /nfs/dbraw/zinc/82/79/25/1052827925.db2.gz DLQJGVOKKCTBHH-CYBMUJFWSA-N 0 3 303.352 4.407 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@@H]1CCC[C@@H]1F ZINC000556257183 1052828348 /nfs/dbraw/zinc/82/83/48/1052828348.db2.gz VXTOTRNSJDDITJ-DDTOSNHZSA-N 0 3 305.422 4.055 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1F ZINC001225774399 1052828917 /nfs/dbraw/zinc/82/89/17/1052828917.db2.gz KIKLPILQZVNFGF-RDJZCZTQSA-N 0 3 314.404 4.134 20 0 DIADHN O=C(NC1CCCCCCC1)c1cccc(CN2CCCC2)c1 ZINC000918753187 1052830022 /nfs/dbraw/zinc/83/00/22/1052830022.db2.gz PJGSMKNZXFUUHK-UHFFFAOYSA-N 0 3 314.473 4.125 20 0 DIADHN CC(C)(C)OCCNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556385911 1052844801 /nfs/dbraw/zinc/84/48/01/1052844801.db2.gz KFBSEPDGPXQMJB-UHFFFAOYSA-N 0 3 324.877 4.368 20 0 DIADHN CC(=O)c1ccc(F)cc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001225948601 1052851615 /nfs/dbraw/zinc/85/16/15/1052851615.db2.gz ICTPUIRYGAGGNE-SFHVURJKSA-N 0 3 315.388 4.100 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000347476158 1052852452 /nfs/dbraw/zinc/85/24/52/1052852452.db2.gz HYTXOUKPYPJKJR-LRDDRELGSA-N 0 3 305.372 4.019 20 0 DIADHN C[C@H]1CN(Cc2ccccc2)C[C@@H]1Oc1ccc(F)c(F)c1F ZINC001225957834 1052853325 /nfs/dbraw/zinc/85/33/25/1052853325.db2.gz WVPAEFGELJGPBO-LRDDRELGSA-N 0 3 321.342 4.003 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cnc(OC)c(Cl)c1 ZINC000556586462 1052867839 /nfs/dbraw/zinc/86/78/39/1052867839.db2.gz UQPGVJQABGNXBK-GFCCVEGCSA-N 0 3 304.821 4.157 20 0 DIADHN CCc1cccc(CC)c1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001226177541 1052874716 /nfs/dbraw/zinc/87/47/16/1052874716.db2.gz OOSWNLJZANXQHF-VQIMIIECSA-N 0 3 324.468 4.421 20 0 DIADHN Cn1ccnc1[C@@H](NC1CC(c2ccc(Cl)cc2)C1)C1CC1 ZINC000556911467 1052897004 /nfs/dbraw/zinc/89/70/04/1052897004.db2.gz NGJDGBZXWQBNRC-PREGVCBESA-N 0 3 315.848 4.060 20 0 DIADHN Cc1cc(Cl)cc(CN2CC3(CCOCC3)[C@H]2C2CC2)c1 ZINC000556950527 1052903121 /nfs/dbraw/zinc/90/31/21/1052903121.db2.gz BLDNKSOCKVLOOG-QGZVFWFLSA-N 0 3 305.849 4.039 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2cc(C)ccc2C)cc1 ZINC000195215493 1052909497 /nfs/dbraw/zinc/90/94/97/1052909497.db2.gz TWFLIDNWFANFEN-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN Nc1ncc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)s1 ZINC000557009692 1052911538 /nfs/dbraw/zinc/91/15/38/1052911538.db2.gz XPFKNTHTWAIBOH-BLIJAFNYSA-N 0 3 313.470 4.183 20 0 DIADHN Nc1cc(O[C@@H](c2ccccc2)C(Cl)(Cl)Cl)ccn1 ZINC001226655319 1052919213 /nfs/dbraw/zinc/91/92/13/1052919213.db2.gz FPMDCJDQMGQLEX-LBPRGKRZSA-N 0 3 317.603 4.154 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930118002 1052920347 /nfs/dbraw/zinc/92/03/47/1052920347.db2.gz AHHXRSHXAJDTIM-KBRIMQKVSA-N 0 3 302.368 4.123 20 0 DIADHN FC(F)(F)SCCNCc1ccccc1OC1CCCC1 ZINC000920180712 1052925349 /nfs/dbraw/zinc/92/53/49/1052925349.db2.gz XLZZYFVONUQELP-UHFFFAOYSA-N 0 3 319.392 4.351 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cccc3c2CCC3)C1 ZINC001226796198 1052932800 /nfs/dbraw/zinc/93/28/00/1052932800.db2.gz VFTZRSDCSFTBMA-AEFFLSMTSA-N 0 3 322.452 4.175 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNCc1ccccc1C ZINC000920413079 1052938514 /nfs/dbraw/zinc/93/85/14/1052938514.db2.gz LPMMRJSTBWNFNG-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN Cl/C=C\CN1CCC[C@@H]1Cc1ccc(Br)cc1 ZINC000920780803 1052949660 /nfs/dbraw/zinc/94/96/60/1052949660.db2.gz YRBOUNXIJZVHCB-UCEWNTHNSA-N 0 3 314.654 4.209 20 0 DIADHN CC(=O)c1ccc(C)cc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001227114566 1052962220 /nfs/dbraw/zinc/96/22/20/1052962220.db2.gz KQKFSPXJTKSWHH-IBGZPJMESA-N 0 3 311.425 4.269 20 0 DIADHN CC(=O)c1ccc(C)cc1OC(C(=O)c1ccco1)c1ccco1 ZINC001227115420 1052962355 /nfs/dbraw/zinc/96/23/55/1052962355.db2.gz URVAXAJWTXNFKG-LJQANCHMSA-N 0 3 324.332 4.387 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N[C@@H](C)c2ccccc2C)cc1 ZINC000195538958 1052973177 /nfs/dbraw/zinc/97/31/77/1052973177.db2.gz NKIMVIQMDUTZQM-BZSNNMDCSA-N 0 3 324.468 4.220 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)NCCC2=CCCCC2)cc1 ZINC000195538996 1052973183 /nfs/dbraw/zinc/97/31/83/1052973183.db2.gz CHNOHMQZBOIHAT-DLBZAZTESA-N 0 3 314.473 4.041 20 0 DIADHN CC(=O)c1cccc(F)c1O[C@@H](CCN(C)C)c1ccccc1 ZINC001227341523 1052982680 /nfs/dbraw/zinc/98/26/80/1052982680.db2.gz OLEOBFIEOXROPB-SFHVURJKSA-N 0 3 315.388 4.100 20 0 DIADHN CCSCCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000609850540 1052994774 /nfs/dbraw/zinc/99/47/74/1052994774.db2.gz XDMVDAWMLATGAE-CQSZACIVSA-N 0 3 314.292 4.339 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cccc3c2CCCC3)C1 ZINC001227688199 1053008346 /nfs/dbraw/zinc/00/83/46/1053008346.db2.gz OPWSQSNSQGMPRM-SJLPKXTDSA-N 0 3 322.452 4.175 20 0 DIADHN C#CCCOc1ccc(CNCc2ccc(F)c(Cl)c2)cc1 ZINC000237745989 1053024354 /nfs/dbraw/zinc/02/43/54/1053024354.db2.gz LSVYZVIFLRIGKA-UHFFFAOYSA-N 0 3 317.791 4.171 20 0 DIADHN Cc1csc(CNCc2cc(Br)cs2)c1 ZINC000379131838 1053032003 /nfs/dbraw/zinc/03/20/03/1053032003.db2.gz PKBBMHCNDBYZNI-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1cc(Cl)cc(C[NH+]2CCC(OC3CCCC3)CC2)c1[O-] ZINC000179950561 1053037581 /nfs/dbraw/zinc/03/75/81/1053037581.db2.gz KLXQSGNNDFTLGX-UHFFFAOYSA-N 0 3 323.864 4.278 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(C)c([N+](=O)[O-])c2)C2CC2)cc1 ZINC000237893608 1053037906 /nfs/dbraw/zinc/03/79/06/1053037906.db2.gz AIKBRLSNVZDOGC-LJQANCHMSA-N 0 3 310.397 4.453 20 0 DIADHN Cc1cc2cc(CNC[C@](C)(O)c3cccs3)oc2cc1C ZINC000237910041 1053039269 /nfs/dbraw/zinc/03/92/69/1053039269.db2.gz HSIHLGMRFAQTPF-SFHVURJKSA-N 0 3 315.438 4.108 20 0 DIADHN Cc1ccc2c(c1)onc2OC1CCN(Cc2ccccc2)CC1 ZINC001228086316 1053042858 /nfs/dbraw/zinc/04/28/58/1053042858.db2.gz YGZMEUJZOPOZOQ-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CC(=O)c1c(F)cccc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001228099361 1053043639 /nfs/dbraw/zinc/04/36/39/1053043639.db2.gz JMFRASMZQJCRHG-KRWDZBQOSA-N 0 3 315.388 4.100 20 0 DIADHN Cc1cc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)ccc1C(C)C ZINC001228201211 1053052288 /nfs/dbraw/zinc/05/22/88/1053052288.db2.gz NKVWUTHTPORKMM-HDICACEKSA-N 0 3 317.473 4.141 20 0 DIADHN CCc1cccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001228240510 1053056009 /nfs/dbraw/zinc/05/60/09/1053056009.db2.gz NTGDACNEEGILKA-LPHOPBHVSA-N 0 3 310.441 4.248 20 0 DIADHN CC(=O)c1ccc(C)c(O[C@H]2CN(Cc3ccccc3)C[C@@H]2C)c1 ZINC001228351477 1053081155 /nfs/dbraw/zinc/08/11/55/1053081155.db2.gz IZRAQWPWQPPGAL-KKSFZXQISA-N 0 3 323.436 4.097 20 0 DIADHN Fc1ccccc1[C@H](N[C@H]1CCCc2n[nH]cc21)C1CCCC1 ZINC000353392754 1053081366 /nfs/dbraw/zinc/08/13/66/1053081366.db2.gz HLNWHNJJGHWNNA-PKOBYXMFSA-N 0 3 313.420 4.447 20 0 DIADHN C[C@H](Oc1ccc2ccccc2c1CN(C)C)c1cccnc1 ZINC001228439299 1053104291 /nfs/dbraw/zinc/10/42/91/1053104291.db2.gz GJMFDAIGXAXHRH-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN COC(C)(C)C[C@@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228440878 1053105103 /nfs/dbraw/zinc/10/51/03/1053105103.db2.gz HCGKDLFDNLSNHF-OAHLLOKOSA-N 0 3 315.457 4.484 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1nc(C(C)(C)C)cs1 ZINC001350933710 1053119914 /nfs/dbraw/zinc/11/99/14/1053119914.db2.gz ZFDAALBPKOKSCL-QWHCGFSZSA-N 0 3 303.475 4.117 20 0 DIADHN CCOc1ccc(CN(C)C/C=C\c2ccccc2)cc1OC ZINC001317724163 1053129114 /nfs/dbraw/zinc/12/91/14/1053129114.db2.gz MPELOLVYKHOKGQ-FLIBITNWSA-N 0 3 311.425 4.239 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1cccc(Cl)c1)c1cccc(F)c1 ZINC001317892355 1053138962 /nfs/dbraw/zinc/13/89/62/1053138962.db2.gz RBHZNDZAOANAAS-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN CC(C)c1csc(NC2CCN(Cc3ccccc3)CC2)n1 ZINC000545317503 1053139074 /nfs/dbraw/zinc/13/90/74/1053139074.db2.gz KVSZAAGTBULORW-UHFFFAOYSA-N 0 3 315.486 4.343 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000353440471 1053141775 /nfs/dbraw/zinc/14/17/75/1053141775.db2.gz KINFXRSPHKDQCV-WBVHZDCISA-N 0 3 303.837 4.427 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(N2CCCC2)c1)c1ccc(F)nc1 ZINC001351177280 1053145228 /nfs/dbraw/zinc/14/52/28/1053145228.db2.gz PCRUZRQWHJPELC-GJZGRUSLSA-N 0 3 313.420 4.233 20 0 DIADHN Cc1cc(CN[C@H](Cc2ccccc2)c2cccs2)c(C)nn1 ZINC001351286828 1053162619 /nfs/dbraw/zinc/16/26/19/1053162619.db2.gz HMZBWJHIIRRUOE-GOSISDBHSA-N 0 3 323.465 4.229 20 0 DIADHN COc1ccc([C@@H](C)CN2CCC[C@@H]2c2csc(C)n2)cc1 ZINC000249786408 1053194785 /nfs/dbraw/zinc/19/47/85/1053194785.db2.gz DOTBMFXMSCMOKI-SCLBCKFNSA-N 0 3 316.470 4.401 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000249966070 1053196586 /nfs/dbraw/zinc/19/65/86/1053196586.db2.gz KAPJSYOTHLFXBD-LPEHRKFASA-N 0 3 303.219 4.068 20 0 DIADHN C[C@@H](Oc1ccc2c(c1)CCN(C)C2)c1ccc(F)c(F)c1 ZINC001228944533 1053211050 /nfs/dbraw/zinc/21/10/50/1053211050.db2.gz OJZGGHGKYIYABX-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN C[C@H](Oc1ccc2c(c1)CCN(C)C2)c1ccc(F)c(F)c1 ZINC001228944534 1053211655 /nfs/dbraw/zinc/21/16/55/1053211655.db2.gz OJZGGHGKYIYABX-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN Fc1ccc([C@H]2CCN2CCCc2ccc3c(c2)CCO3)cc1 ZINC001474734585 1053215870 /nfs/dbraw/zinc/21/58/70/1053215870.db2.gz BYEMVURKTOKBDJ-LJQANCHMSA-N 0 3 311.400 4.140 20 0 DIADHN Cc1cc(-c2nnc(CNC(C)(C)CC(C)(C)C)o2)c(C)o1 ZINC000089892772 1053217730 /nfs/dbraw/zinc/21/77/30/1053217730.db2.gz GVQZCPMNMTWZMQ-UHFFFAOYSA-N 0 3 305.422 4.251 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nnc(-c2cc(C)oc2C)o1)C1CC1 ZINC000089893187 1053219633 /nfs/dbraw/zinc/21/96/33/1053219633.db2.gz IAEKTKPQTNLBGS-WCQYABFASA-N 0 3 317.433 4.345 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NC(=O)[C@@H]1CCCN1C(C)C ZINC001360378591 1053219830 /nfs/dbraw/zinc/21/98/30/1053219830.db2.gz UYKWZEUNIFZYOS-MOPGFXCFSA-N 0 3 316.489 4.071 20 0 DIADHN Cc1cnc2ccccc2c1N1CCO[C@@H](c2cccc(F)c2)C1 ZINC001319130810 1053221140 /nfs/dbraw/zinc/22/11/40/1053221140.db2.gz MPOGAZTUSWCALT-LJQANCHMSA-N 0 3 322.383 4.260 20 0 DIADHN CCCCCC[C@H](C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001351808459 1053226090 /nfs/dbraw/zinc/22/60/90/1053226090.db2.gz RFEQHDZGIAZYMT-KRWDZBQOSA-N 0 3 304.478 4.188 20 0 DIADHN CN(C)CCN(C(=O)C[C@@H]1CCCCC1(C)C)c1ccccc1 ZINC001351808376 1053227111 /nfs/dbraw/zinc/22/71/11/1053227111.db2.gz OLVRXAHUMXHYRT-KRWDZBQOSA-N 0 3 316.489 4.188 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1cccc(N2CCCC2=O)c1 ZINC000090468822 1053233661 /nfs/dbraw/zinc/23/36/61/1053233661.db2.gz VKHNUGBFRCGZPO-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(CCc1ccccc1)C(C)C ZINC001319315095 1053235059 /nfs/dbraw/zinc/23/50/59/1053235059.db2.gz KPQKYSJHYINLCO-UHFFFAOYSA-N 0 3 324.468 4.195 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(F)cc2N(C)C)c1 ZINC000090723906 1053241275 /nfs/dbraw/zinc/24/12/75/1053241275.db2.gz UZIFBBFXVIYXIC-CQSZACIVSA-N 0 3 316.420 4.060 20 0 DIADHN C[C@H](CN[C@@H](Cc1ccccc1)c1ncco1)c1ccccc1 ZINC000847099068 1053242781 /nfs/dbraw/zinc/24/27/81/1053242781.db2.gz RZXMRCAGIWIXQV-APWZRJJASA-N 0 3 306.409 4.352 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCC(F)(F)F)c(OC)c1 ZINC001351926109 1053243654 /nfs/dbraw/zinc/24/36/54/1053243654.db2.gz BAKMCGLUDDOSEA-AWEZNQCLSA-N 0 3 317.351 4.183 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(Nc2ccccc2Cl)CC1 ZINC001319470637 1053244994 /nfs/dbraw/zinc/24/49/94/1053244994.db2.gz IVOMSOAUJZGHDL-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3c2cccc3F)cc1F ZINC001319496446 1053246845 /nfs/dbraw/zinc/24/68/45/1053246845.db2.gz IZLPISBFDYMNQA-QGZVFWFLSA-N 0 3 303.352 4.093 20 0 DIADHN CC(C)(C)Oc1ccc(CCNCc2cccnc2Cl)cc1 ZINC001319497641 1053247187 /nfs/dbraw/zinc/24/71/87/1053247187.db2.gz NJEYJLLWPXJSJJ-UHFFFAOYSA-N 0 3 318.848 4.245 20 0 DIADHN FC(F)(F)CCN(Cc1c[nH]nc1-c1ccccc1)CC1CC1 ZINC000091901344 1053274297 /nfs/dbraw/zinc/27/42/97/1053274297.db2.gz JWQMMVRHJOAUPV-UHFFFAOYSA-N 0 3 323.362 4.241 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC(C)(C)Sc3ccccc32)cnn1C ZINC001352083724 1053275505 /nfs/dbraw/zinc/27/55/05/1053275505.db2.gz DNCDHWZKNYUBNI-LRDDRELGSA-N 0 3 315.486 4.395 20 0 DIADHN CCCC[C@@H](CC)CCNC(C)(C)c1nnc2n1CCCC2 ZINC000449463605 1053275757 /nfs/dbraw/zinc/27/57/57/1053275757.db2.gz WMPBVERYGGMCEC-OAHLLOKOSA-N 0 3 306.498 4.046 20 0 DIADHN C[C@H]1CN(Cc2ccccn2)CC[C@H]1Nc1cccc(Cl)c1 ZINC000252734438 1053276595 /nfs/dbraw/zinc/27/65/95/1053276595.db2.gz MSSSFVGTPXHTFE-KBXCAEBGSA-N 0 3 315.848 4.058 20 0 DIADHN COc1ccccc1/C=C\CN(C)Cc1cc2ccccc2[nH]1 ZINC001319827931 1053278653 /nfs/dbraw/zinc/27/86/53/1053278653.db2.gz BADMUWMVXPVYPJ-YFHOEESVSA-N 0 3 306.409 4.322 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCC[S@@](=O)C(C)(C)C ZINC000181764863 1053289196 /nfs/dbraw/zinc/28/91/96/1053289196.db2.gz CTESISWSVHNPGV-MCMMXHMISA-N 0 3 321.486 4.193 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1ccsc1 ZINC000382193834 1053290248 /nfs/dbraw/zinc/29/02/48/1053290248.db2.gz ZMAWJFFWELXBNF-GWCFXTLKSA-N 0 3 301.415 4.132 20 0 DIADHN C[C@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1ccc(F)cc1 ZINC001229302375 1053295151 /nfs/dbraw/zinc/29/51/51/1053295151.db2.gz IIZOWZMOEMFGOB-AWEZNQCLSA-N 0 3 315.388 4.100 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)Cc1ccccc1)CC(C)(C)C ZINC000618372555 1053298569 /nfs/dbraw/zinc/29/85/69/1053298569.db2.gz URPXQXYIOLKFSX-KRWDZBQOSA-N 0 3 318.505 4.039 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@H]1CCCN1Cc1cc(Cl)ccc1Cl ZINC000253095796 1053316715 /nfs/dbraw/zinc/31/67/15/1053316715.db2.gz PMICEFAILSRIAB-NUEKZKHPSA-N 0 3 314.256 4.119 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cccc3cc[nH]c31)CCC2 ZINC001320244462 1053318387 /nfs/dbraw/zinc/31/83/87/1053318387.db2.gz ASOSCJDMIYETRA-QGZVFWFLSA-N 0 3 308.429 4.113 20 0 DIADHN CC1(C)C(=O)CCC[C@@H]1Oc1cccc(CN2CCCCC2)c1 ZINC001229449138 1053324244 /nfs/dbraw/zinc/32/42/44/1053324244.db2.gz YNYDTIPBYDDURU-IBGZPJMESA-N 0 3 315.457 4.199 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(OCCOC)c2)s1 ZINC000163028677 1053334878 /nfs/dbraw/zinc/33/48/78/1053334878.db2.gz YGINPMGDDJAVRJ-AWEZNQCLSA-N 0 3 319.470 4.187 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)COc1ccccc1F ZINC001320462351 1053336245 /nfs/dbraw/zinc/33/62/45/1053336245.db2.gz TUSSPKPHIBQMRL-VXGBXAGGSA-N 0 3 309.406 4.014 20 0 DIADHN CC(C)CN(C(=O)C[C@@H](C)CC(C)(C)C)C1CCN(C)CC1 ZINC000618678185 1053347371 /nfs/dbraw/zinc/34/73/71/1053347371.db2.gz HVYCMVKWSIMATR-MRXNPFEDSA-N 0 3 310.526 4.028 20 0 DIADHN CCNc1ccccc1CNCc1cccc(Br)c1 ZINC001200068018 1053348534 /nfs/dbraw/zinc/34/85/34/1053348534.db2.gz QOENJRUGAFLYNN-UHFFFAOYSA-N 0 3 319.246 4.171 20 0 DIADHN Fc1cccc(N2CCC(NCc3ccsc3Cl)CC2)c1 ZINC001320707885 1053355778 /nfs/dbraw/zinc/35/57/78/1053355778.db2.gz YLBYHYPNHHOBFV-UHFFFAOYSA-N 0 3 324.852 4.299 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccc(Br)o2)CS1 ZINC001320721826 1053357861 /nfs/dbraw/zinc/35/78/61/1053357861.db2.gz CEPXIIJFOUGUKT-KOLCDFICSA-N 0 3 318.280 4.052 20 0 DIADHN CCc1ccc(F)cc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001545810985 1053366145 /nfs/dbraw/zinc/36/61/45/1053366145.db2.gz JINFBWAHYUTSQL-UHFFFAOYSA-N 0 3 314.404 4.010 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CC[C@H](C)[C@H](C)C2)c1 ZINC001545808801 1053367223 /nfs/dbraw/zinc/36/72/23/1053367223.db2.gz KBZLIKIEYHBTLV-ZMSDIMECSA-N 0 3 302.462 4.067 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1CCCC[C@H]1CC)c1ccc(F)cc1 ZINC001320887636 1053372434 /nfs/dbraw/zinc/37/24/34/1053372434.db2.gz FZJNTQQXBBYRDQ-QGTPRVQTSA-N 0 3 320.452 4.046 20 0 DIADHN Cn1cc(-c2ccc(CNCc3cccc(Cl)c3)cc2)cn1 ZINC001321032060 1053384157 /nfs/dbraw/zinc/38/41/57/1053384157.db2.gz DAWAQLDIZNPKRK-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN Cc1ccc(COc2ccccc2CN(C)C)c(OC(C)C)c1 ZINC001229700992 1053387582 /nfs/dbraw/zinc/38/75/82/1053387582.db2.gz GJUQHEGYLMKUDL-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN CN(C)Cc1ccccc1O[C@H](c1ccccc1)C(F)(F)F ZINC001229699968 1053388261 /nfs/dbraw/zinc/38/82/61/1053388261.db2.gz SYAGACAOFOJUNB-MRXNPFEDSA-N 0 3 309.331 4.431 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000093419297 1053401957 /nfs/dbraw/zinc/40/19/57/1053401957.db2.gz SAXUMLPXPKOOGY-CYBMUJFWSA-N 0 3 322.499 4.120 20 0 DIADHN Cc1cnc2ccccc2c1N(C)Cc1cnc(C(C)C)nc1 ZINC001321346334 1053409292 /nfs/dbraw/zinc/40/92/92/1053409292.db2.gz DKBUWSFNWXWIDY-UHFFFAOYSA-N 0 3 306.413 4.093 20 0 DIADHN C[C@H](NCCc1c(F)cccc1Cl)c1ccc(Cl)cn1 ZINC001321387774 1053411429 /nfs/dbraw/zinc/41/14/29/1053411429.db2.gz WKWMOSUQYRBBBE-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN C[C@H](NCCCOC(F)(F)F)c1nc(C(C)(C)C)cs1 ZINC001352889545 1053425989 /nfs/dbraw/zinc/42/59/89/1053425989.db2.gz IWYKUUYZZQTMFF-VIFPVBQESA-N 0 3 310.385 4.018 20 0 DIADHN Cc1cc(C)c(CN2CCC(Nc3ccccc3)CC2)c(C)n1 ZINC001352886505 1053426107 /nfs/dbraw/zinc/42/61/07/1053426107.db2.gz DXXLPRJCUKAQRK-UHFFFAOYSA-N 0 3 309.457 4.083 20 0 DIADHN COc1ccc(CN2CCC(Nc3cccc(C)c3)CC2)cc1 ZINC000093607793 1053428463 /nfs/dbraw/zinc/42/84/63/1053428463.db2.gz DUUGCWWYCUNESI-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1cccc(Cl)c1)c1ccccc1F ZINC001321752384 1053432431 /nfs/dbraw/zinc/43/24/31/1053432431.db2.gz OMPVKYRUDRCPHU-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN CC(C)C1CCN(Cc2cnc(-c3ccccn3)s2)CC1 ZINC000093682619 1053435995 /nfs/dbraw/zinc/43/59/95/1053435995.db2.gz UPOSVDHLPVMQQC-UHFFFAOYSA-N 0 3 301.459 4.073 20 0 DIADHN O=c1[nH]ccc2oc(CNCCc3ccc4ccccc4c3)cc21 ZINC001200173941 1053439218 /nfs/dbraw/zinc/43/92/18/1053439218.db2.gz STQWNKCCAJRMSF-UHFFFAOYSA-N 0 3 318.376 4.019 20 0 DIADHN CN1CC[C@H](NCc2c(F)cccc2Cl)[C@@H]1c1ccccc1 ZINC000670988728 1053453857 /nfs/dbraw/zinc/45/38/57/1053453857.db2.gz FOGWDBGPKBAPEV-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)NC[C@H](C)N(C)Cc1ccccc1 ZINC001435167032 1053460582 /nfs/dbraw/zinc/46/05/82/1053460582.db2.gz YJQDAFKOUHYVMH-PKOBYXMFSA-N 0 3 318.505 4.086 20 0 DIADHN Cn1cc(-c2ccc(CN[C@@H]3CCCc4sccc43)cc2)cn1 ZINC001322447187 1053504618 /nfs/dbraw/zinc/50/46/18/1053504618.db2.gz XKFBSVWLOGHDPQ-GOSISDBHSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1ccc(F)cc1CNCc1cccc(OCC(F)F)c1 ZINC001200281568 1053520149 /nfs/dbraw/zinc/52/01/49/1053520149.db2.gz MSPDCNQUIKBBDA-UHFFFAOYSA-N 0 3 309.331 4.068 20 0 DIADHN FC(F)c1cccc(CN[C@H]2CCc3nc(Cl)ccc32)c1 ZINC001322702048 1053537076 /nfs/dbraw/zinc/53/70/76/1053537076.db2.gz BXPCKOLEJQOBDV-ZDUSSCGKSA-N 0 3 308.759 4.450 20 0 DIADHN CC(C)Oc1cccc(CN[C@H]2CCc3nc(Cl)ccc32)c1 ZINC001322702696 1053537396 /nfs/dbraw/zinc/53/73/96/1053537396.db2.gz LWZOVAXVHNRYOS-INIZCTEOSA-N 0 3 316.832 4.299 20 0 DIADHN Cc1occc1CN[C@@H](C)CC(=O)Nc1cc(Cl)ccc1C ZINC000619766429 1053551496 /nfs/dbraw/zinc/55/14/96/1053551496.db2.gz FEECLTJBXHMUGJ-LBPRGKRZSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1cccnc1CN1CCC[C@@H]1COc1ccc(Cl)cc1 ZINC001323379892 1053577451 /nfs/dbraw/zinc/57/74/51/1053577451.db2.gz PMKHHIOWBUOEIN-MRXNPFEDSA-N 0 3 316.832 4.087 20 0 DIADHN CC1(C)Cc2cc(CNCc3ccc(Cl)s3)ccc2O1 ZINC000070940992 1053577276 /nfs/dbraw/zinc/57/72/76/1053577276.db2.gz NLBRZZXNFLOWGW-UHFFFAOYSA-N 0 3 307.846 4.405 20 0 DIADHN Clc1cccc(-c2nc(CN3C[C@@H]4CCC[C@@H]4C3)co2)c1 ZINC000153181201 1053590257 /nfs/dbraw/zinc/59/02/57/1053590257.db2.gz KIFXOROUOSUTHV-OKILXGFUSA-N 0 3 302.805 4.227 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153291409 1053601987 /nfs/dbraw/zinc/60/19/87/1053601987.db2.gz JAFSTQPCODTPRJ-FPMFFAJLSA-N 0 3 317.820 4.183 20 0 DIADHN c1ccc2c(c1)CC[C@H]2NCc1ccnc(OC2CCCC2)c1 ZINC000153344488 1053604477 /nfs/dbraw/zinc/60/44/77/1053604477.db2.gz PAHMZJHXOWVFKV-LJQANCHMSA-N 0 3 308.425 4.180 20 0 DIADHN CN1CC[C@H](NCc2sccc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001323864658 1053607633 /nfs/dbraw/zinc/60/76/33/1053607633.db2.gz AMOIACWDNHSSKS-HOCLYGCPSA-N 0 3 324.852 4.076 20 0 DIADHN FC(F)(F)c1cccc(Cl)c1OC1CCN(C2CC2)CC1 ZINC001230827184 1053610123 /nfs/dbraw/zinc/61/01/23/1053610123.db2.gz ZQZFXUIWDBPACB-UHFFFAOYSA-N 0 3 319.754 4.364 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000620139904 1053612778 /nfs/dbraw/zinc/61/27/78/1053612778.db2.gz YUDUHQLYLDECHM-CQSZACIVSA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc3cccc(OC)c3o1)CC2 ZINC001323988455 1053615762 /nfs/dbraw/zinc/61/57/62/1053615762.db2.gz AQNVBRZIACGOSR-GOSISDBHSA-N 0 3 323.392 4.227 20 0 DIADHN CCc1noc(C)c1CN1C[C@H]2CCCC[C@]21c1ccccc1 ZINC001324058934 1053620503 /nfs/dbraw/zinc/62/05/03/1053620503.db2.gz DDHXDIGQZCVSAI-XLIONFOSSA-N 0 3 310.441 4.447 20 0 DIADHN CCCCC[C@H]1CCCCN1C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001230895607 1053621173 /nfs/dbraw/zinc/62/11/73/1053621173.db2.gz JMVGKNIVBHWSAL-IRXDYDNUSA-N 0 3 323.525 4.004 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001435628804 1053621827 /nfs/dbraw/zinc/62/18/27/1053621827.db2.gz JZYGRZAQIFHCAW-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN COc1cc(CN[C@@H](CC(C)C)c2ccccc2C)sn1 ZINC000660198045 1053627628 /nfs/dbraw/zinc/62/76/28/1053627628.db2.gz SKUVEFXYLGHERG-INIZCTEOSA-N 0 3 304.459 4.337 20 0 DIADHN CC1(C)Cc2cc(CNCc3cccc(Cl)c3)ccc2O1 ZINC000071170395 1053631421 /nfs/dbraw/zinc/63/14/21/1053631421.db2.gz BLIBHHYTSVNCIN-UHFFFAOYSA-N 0 3 301.817 4.343 20 0 DIADHN CC(=O)c1cc(O[C@@H](CCN(C)C)c2ccccc2)ccc1F ZINC001230957051 1053633890 /nfs/dbraw/zinc/63/38/90/1053633890.db2.gz KNUGQJAVTLLRMM-IBGZPJMESA-N 0 3 315.388 4.100 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2F)no1)N1[C@H](C)CC[C@H]1C ZINC001324245614 1053638328 /nfs/dbraw/zinc/63/83/28/1053638328.db2.gz OERHMLRVSVIKAB-GMTAPVOTSA-N 0 3 323.799 4.463 20 0 DIADHN CCc1sc(-c2nnc(CN3CCC[C@H]3C(C)C)o2)cc1C ZINC000620279039 1053639131 /nfs/dbraw/zinc/63/91/31/1053639131.db2.gz AEPVALMPTITRNB-ZDUSSCGKSA-N 0 3 319.474 4.289 20 0 DIADHN CC[C@@H](CC(=O)Nc1ccc(C)cc1CN(C)C)C(C)(C)C ZINC001353710065 1053649023 /nfs/dbraw/zinc/64/90/23/1053649023.db2.gz PBPJZUCDTSBMKK-INIZCTEOSA-N 0 3 304.478 4.458 20 0 DIADHN C[C@H](NCc1cccn1C1CC1)c1ccc(OC(F)F)cc1 ZINC001324427099 1053652832 /nfs/dbraw/zinc/65/28/32/1053652832.db2.gz ZOZSTDDSDOQCTI-LBPRGKRZSA-N 0 3 306.356 4.275 20 0 DIADHN CCN1CCC(Oc2ccc(C(=O)c3ccc(C)cc3)cc2)CC1 ZINC001231024879 1053654505 /nfs/dbraw/zinc/65/45/05/1053654505.db2.gz SZVUFTUAJPFBBZ-UHFFFAOYSA-N 0 3 323.436 4.089 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(NC(=O)C3CC3)cc2)cs1 ZINC001324542972 1053661629 /nfs/dbraw/zinc/66/16/29/1053661629.db2.gz OSFVIBWJVVYQLH-CYBMUJFWSA-N 0 3 314.454 4.256 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc3c(c2)OCCO3)cc1 ZINC000620434964 1053661768 /nfs/dbraw/zinc/66/17/68/1053661768.db2.gz ZIRVVJQMZKQDBO-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2csc(-c3ccccc3)n2)n1 ZINC000414160182 1053670847 /nfs/dbraw/zinc/67/08/47/1053670847.db2.gz ZGTKEQMSJWVMGP-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN O=C(Nc1ccc(CNCc2ccc(C3CC3)cc2)cc1)C1CC1 ZINC001324747256 1053677376 /nfs/dbraw/zinc/67/73/76/1053677376.db2.gz MLTAXXYKFPPAEN-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCc2ccc(F)cc2F)n1 ZINC001325159728 1053702236 /nfs/dbraw/zinc/70/22/36/1053702236.db2.gz XKZFOXQHXDCBSW-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN C[N@@H+]1CCC[C@H](Oc2ccccc2Oc2ccccc2[O-])CC1 ZINC001231223399 1053706017 /nfs/dbraw/zinc/70/60/17/1053706017.db2.gz PYDHEYKKPLOQTO-HNNXBMFYSA-N 0 3 313.397 4.048 20 0 DIADHN C[N@H+]1CCC[C@H](Oc2ccccc2Oc2ccccc2[O-])CC1 ZINC001231223399 1053706034 /nfs/dbraw/zinc/70/60/34/1053706034.db2.gz PYDHEYKKPLOQTO-HNNXBMFYSA-N 0 3 313.397 4.048 20 0 DIADHN COc1ccccc1[C@H](C)NCc1cc(C)nc2ccccc12 ZINC000264376438 1053710380 /nfs/dbraw/zinc/71/03/80/1053710380.db2.gz NFVQFNVOBZAPSX-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Fc1ccc(SCCN2CCC[C@@H]2c2ccncc2)cc1 ZINC000153856745 1053718643 /nfs/dbraw/zinc/71/86/43/1053718643.db2.gz WLFSGMBCNRCMOT-QGZVFWFLSA-N 0 3 302.418 4.150 20 0 DIADHN c1cc(-c2ccccc2)oc1CNCCN1Cc2ccccc2C1 ZINC000620755387 1053728790 /nfs/dbraw/zinc/72/87/90/1053728790.db2.gz ZGGPEFVAGIWQOW-UHFFFAOYSA-N 0 3 318.420 4.052 20 0 DIADHN O[C@@H](CNC1c2ccccc2-c2ccccc21)c1ccc(F)cc1 ZINC000183694530 1053732688 /nfs/dbraw/zinc/73/26/88/1053732688.db2.gz NRBSMXHGYDZGQM-FQEVSTJZSA-N 0 3 319.379 4.219 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001435970164 1053739975 /nfs/dbraw/zinc/73/99/75/1053739975.db2.gz GGXTXIAAHRWQDU-QRQLOZEOSA-N 0 3 318.505 4.256 20 0 DIADHN C[C@H](NC(=O)C[C@H]1CCCC[C@H]1C)[C@@H](c1ccccc1)N(C)C ZINC001435971410 1053740036 /nfs/dbraw/zinc/74/00/36/1053740036.db2.gz WCELFBDSWCGRAV-HNAWSFBYSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)CC1 ZINC001435970848 1053740271 /nfs/dbraw/zinc/74/02/71/1053740271.db2.gz NQVGLSBIRQHNNN-PBWTXFEYSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)CC1 ZINC001435970846 1053740316 /nfs/dbraw/zinc/74/03/16/1053740316.db2.gz NQVGLSBIRQHNNN-CAMMJAKZSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)cc1Cl ZINC001231423437 1053745660 /nfs/dbraw/zinc/74/56/60/1053745660.db2.gz AQGLCGCKMGSBEZ-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN CCOC(=O)CCC1CCN(Cc2ccc(C)c(Cl)c2)CC1 ZINC001231422084 1053747276 /nfs/dbraw/zinc/74/72/76/1053747276.db2.gz KACYTUFLGXJMEB-UHFFFAOYSA-N 0 3 323.864 4.204 20 0 DIADHN CCC[C@H](C)CC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001435994492 1053747648 /nfs/dbraw/zinc/74/76/48/1053747648.db2.gz ICXZAKUTCYDFFX-PKOBYXMFSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccncc3)CC2)cc1Cl ZINC001231424118 1053748316 /nfs/dbraw/zinc/74/83/16/1053748316.db2.gz PSWHLNDBDCONKD-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CN(Cc1ccc(-c2cccs2)cc1)C[C@@H]1CCCCO1 ZINC001231429896 1053750265 /nfs/dbraw/zinc/75/02/65/1053750265.db2.gz ACZXWGFACSRODY-KRWDZBQOSA-N 0 3 301.455 4.416 20 0 DIADHN CCC(CC)N(C)Cc1c(C(F)(F)F)nc2sccn12 ZINC001231437300 1053750742 /nfs/dbraw/zinc/75/07/42/1053750742.db2.gz SICDAGLRKSWGDV-UHFFFAOYSA-N 0 3 305.369 4.035 20 0 DIADHN CN(C)c1ccc2cc(CN3CCCC[C@@H](F)C3)ccc2c1 ZINC001231439335 1053754066 /nfs/dbraw/zinc/75/40/66/1053754066.db2.gz LORBLAVYBXRFLD-GOSISDBHSA-N 0 3 300.421 4.230 20 0 DIADHN CN(C)c1ccc2cc(CN(C)C3CC(F)(F)C3)ccc2c1 ZINC001231439965 1053754730 /nfs/dbraw/zinc/75/47/30/1053754730.db2.gz SHYJUFOZTRADGB-UHFFFAOYSA-N 0 3 304.384 4.135 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ccc(F)c(C)c3F)C2)c1 ZINC001231478684 1053762385 /nfs/dbraw/zinc/76/23/85/1053762385.db2.gz MJVKLMZIEAFVHS-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN C(=C/c1ccc(CN2CC3(C2)CCCOC3)cc1)\c1ccccc1 ZINC001231487136 1053766790 /nfs/dbraw/zinc/76/67/90/1053766790.db2.gz VBHKPZGUTWXWRY-BQYQJAHWSA-N 0 3 319.448 4.469 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(OCC(F)(F)F)c2)cc1 ZINC000155243601 1053768933 /nfs/dbraw/zinc/76/89/33/1053768933.db2.gz BZMZSCJMOBJVTQ-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN C[C@H](NCc1ccc(C2OCCO2)cc1)c1cc2ccccc2o1 ZINC000268898995 1053771591 /nfs/dbraw/zinc/77/15/91/1053771591.db2.gz PVSZQWXQEBKCPV-AWEZNQCLSA-N 0 3 323.392 4.329 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cccc(N3CCCC3=O)c2)c1 ZINC000155255457 1053772325 /nfs/dbraw/zinc/77/23/25/1053772325.db2.gz ROUWONGVBOVSHT-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H]2CCCc3ccccc3C2)cs1 ZINC001200572517 1053777218 /nfs/dbraw/zinc/77/72/18/1053777218.db2.gz MLVFNCVIQXJBGU-CZUORRHYSA-N 0 3 300.471 4.304 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1c[nH]c2cnccc12 ZINC001231518928 1053778601 /nfs/dbraw/zinc/77/86/01/1053778601.db2.gz QUURINSOZWYKBV-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN CCOc1cc(CN2CCCC[C@H]2C)ccc1OC(=O)C(C)C ZINC001231555100 1053783997 /nfs/dbraw/zinc/78/39/97/1053783997.db2.gz IUTIURDCEKVPDT-OAHLLOKOSA-N 0 3 319.445 4.021 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cc(Br)c[nH]1 ZINC001231573859 1053786352 /nfs/dbraw/zinc/78/63/52/1053786352.db2.gz DRLSFHYDZDQJTM-HNNXBMFYSA-N 0 3 307.235 4.360 20 0 DIADHN Cc1nc2c(cccc2CCNCc2csc(C(C)C)n2)o1 ZINC001354180689 1053790735 /nfs/dbraw/zinc/79/07/35/1053790735.db2.gz GMIOPSDSGOEZJW-UHFFFAOYSA-N 0 3 315.442 4.048 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000620936562 1053798692 /nfs/dbraw/zinc/79/86/92/1053798692.db2.gz NZHSAPMMBSUKQN-IRXDYDNUSA-N 0 3 321.468 4.058 20 0 DIADHN CCc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)nc1 ZINC001231642902 1053812557 /nfs/dbraw/zinc/81/25/57/1053812557.db2.gz SJEGWGTZJWHOGQ-SFHVURJKSA-N 0 3 316.445 4.150 20 0 DIADHN CCc1ccc(CN2CCC[C@H](c3cccc(OC)c3)C2)nc1 ZINC001231642745 1053814414 /nfs/dbraw/zinc/81/44/14/1053814414.db2.gz PESDGDPCYQNOAJ-SFHVURJKSA-N 0 3 310.441 4.032 20 0 DIADHN CCc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)nc1 ZINC001231640524 1053814984 /nfs/dbraw/zinc/81/49/84/1053814984.db2.gz HRUCSEJMGBZANJ-OAHLLOKOSA-N 0 3 300.833 4.287 20 0 DIADHN CSC[C@H](C)NCc1cc(Cl)c(C)cc1Br ZINC001200623199 1053825174 /nfs/dbraw/zinc/82/51/74/1053825174.db2.gz WLWRZWUNEDPQIW-VIFPVBQESA-N 0 3 322.699 4.252 20 0 DIADHN Cc1ccc(CN(C)CC2CCOCC2)c(Cl)c1Cl ZINC001231661618 1053826075 /nfs/dbraw/zinc/82/60/75/1053826075.db2.gz UQOYIYVOFCJFSZ-UHFFFAOYSA-N 0 3 302.245 4.160 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cc3cc[nH]c3cn2)C1 ZINC001231668886 1053829934 /nfs/dbraw/zinc/82/99/34/1053829934.db2.gz MTJWVQTYTXEASP-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](C)c2ccnc(OC)c2)cc1 ZINC000671106200 1053832776 /nfs/dbraw/zinc/83/27/76/1053832776.db2.gz TUJVIBNGQFVRMK-GJZGRUSLSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1sccc1Br ZINC000157122600 1053838933 /nfs/dbraw/zinc/83/89/33/1053838933.db2.gz LRABNAQATHBCPC-BDAKNGLRSA-N 0 3 306.294 4.057 20 0 DIADHN CC(C)Oc1ncccc1CN1CCC[C@@H](c2ccccc2)C1 ZINC001231758076 1053850511 /nfs/dbraw/zinc/85/05/11/1053850511.db2.gz KZUHLGJQZSTJLF-GOSISDBHSA-N 0 3 310.441 4.248 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccccc1SC)CCC2 ZINC001231787708 1053857678 /nfs/dbraw/zinc/85/76/78/1053857678.db2.gz RANYZUSQHMLGTK-UHFFFAOYSA-N 0 3 313.466 4.366 20 0 DIADHN CCCCc1ccc(CN2CCC(Oc3ccncc3)CC2)cc1 ZINC001231780572 1053858428 /nfs/dbraw/zinc/85/84/28/1053858428.db2.gz VQWLKSWVCZUCOW-UHFFFAOYSA-N 0 3 324.468 4.468 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001231798038 1053861731 /nfs/dbraw/zinc/86/17/31/1053861731.db2.gz JEBWYBUQZIZTBI-SJLPKXTDSA-N 0 3 307.441 4.074 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001231798031 1053862093 /nfs/dbraw/zinc/86/20/93/1053862093.db2.gz JEBWYBUQZIZTBI-AEFFLSMTSA-N 0 3 307.441 4.074 20 0 DIADHN CCCOC1CCN(Cc2ccc(OC(C)(C)C)cc2)CC1 ZINC001231805295 1053864228 /nfs/dbraw/zinc/86/42/28/1053864228.db2.gz KMKCSCGUNWYABP-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN c1ccc(OCC2CC2)c(CNCc2cccc3cnccc32)c1 ZINC001200661858 1053864662 /nfs/dbraw/zinc/86/46/62/1053864662.db2.gz TUBMXLXXXJSKNM-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN Clc1cccc2c1[C@H](NC[C@@H]1CCc3ccccc31)COC2 ZINC001327605526 1053865942 /nfs/dbraw/zinc/86/59/42/1053865942.db2.gz URHCSFBDOBAZGU-KBXCAEBGSA-N 0 3 313.828 4.231 20 0 DIADHN Clc1cccc2c1[C@@H](NC[C@@H]1CCc3ccccc31)COC2 ZINC001327605528 1053866692 /nfs/dbraw/zinc/86/66/92/1053866692.db2.gz URHCSFBDOBAZGU-KSSFIOAISA-N 0 3 313.828 4.231 20 0 DIADHN CC[C@@]12C[C@@H](CO1)N(Cc1ccccc1-c1ccccc1C)C2 ZINC001231809056 1053868873 /nfs/dbraw/zinc/86/88/73/1053868873.db2.gz DDTCLIFIIFPRBT-RXVVDRJESA-N 0 3 307.437 4.415 20 0 DIADHN Fc1ccc(-c2ccccc2CN2CCOC[C@@H]2C2CC2)cc1 ZINC001231825887 1053881331 /nfs/dbraw/zinc/88/13/31/1053881331.db2.gz ZFBWWVZXSNMSAA-HXUWFJFHSA-N 0 3 311.400 4.104 20 0 DIADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1nc(-c2ccccc2)cs1 ZINC000158080077 1053884614 /nfs/dbraw/zinc/88/46/14/1053884614.db2.gz LFIXKLCMULZACJ-CJNGLKHVSA-N 0 3 318.486 4.258 20 0 DIADHN CCN(CC(C)(C)O)[C@@H](C)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000158186180 1053893168 /nfs/dbraw/zinc/89/31/68/1053893168.db2.gz URGBOSSYQZSLEO-LBPRGKRZSA-N 0 3 322.836 4.149 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc(SC)cc2)ccn1 ZINC000671123849 1053897760 /nfs/dbraw/zinc/89/77/60/1053897760.db2.gz DOZOALBZGPEXJR-CHWSQXEVSA-N 0 3 302.443 4.224 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccnc(C(F)F)c3)C2)cc1 ZINC001231890750 1053905261 /nfs/dbraw/zinc/90/52/61/1053905261.db2.gz DMKIQJNUTICYPD-HNNXBMFYSA-N 0 3 318.367 4.017 20 0 DIADHN COc1cccc2c(CN3CCC4(CC(F)(F)C4)CC3)c[nH]c21 ZINC001231894285 1053909434 /nfs/dbraw/zinc/90/94/34/1053909434.db2.gz QOUSBZOPRSFQKT-UHFFFAOYSA-N 0 3 320.383 4.188 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2C[C@H]3CCCC[C@@H]3C2)cn1 ZINC001231901747 1053912223 /nfs/dbraw/zinc/91/22/23/1053912223.db2.gz UUEZTMDFTUZLHM-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2C[C@@H]3CCCC[C@@H]3C2)cn1 ZINC001231901748 1053913180 /nfs/dbraw/zinc/91/31/80/1053913180.db2.gz UUEZTMDFTUZLHM-PHIMTYICSA-N 0 3 318.770 4.376 20 0 DIADHN COc1cc(C)c(CN(C)[C@H](C)c2cccs2)cc1OC ZINC000158654493 1053914217 /nfs/dbraw/zinc/91/42/17/1053914217.db2.gz DMIXDQFXSKGRAE-CYBMUJFWSA-N 0 3 305.443 4.267 20 0 DIADHN Cc1cnccc1CN1CC(Cc2ccccc2C(F)(F)F)C1 ZINC001328219648 1053917238 /nfs/dbraw/zinc/91/72/38/1053917238.db2.gz IXLNZHNYACWSOJ-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN Cc1cc(CN2CCC[C@H](CCc3ccccc3)C2)cnc1F ZINC001231929682 1053918362 /nfs/dbraw/zinc/91/83/62/1053918362.db2.gz IFXPKOPAUUENEA-GOSISDBHSA-N 0 3 312.432 4.374 20 0 DIADHN Fc1ccc(C2(NCc3cncc(Cl)c3)CCCC2)cc1 ZINC000621265442 1053926237 /nfs/dbraw/zinc/92/62/37/1053926237.db2.gz BFJUPSRLMSRENG-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3ccc4ccnc(Cl)c4c3)C[C@H]2C1 ZINC001231976325 1053926575 /nfs/dbraw/zinc/92/65/75/1053926575.db2.gz NJKMPPCUPGILLG-OKILXGFUSA-N 0 3 322.786 4.365 20 0 DIADHN CCC[C@@H](NC[C@H](c1ccco1)N(CC)CC)c1ccccn1 ZINC000158866357 1053926588 /nfs/dbraw/zinc/92/65/88/1053926588.db2.gz HSLXDQMPKJBALQ-SJLPKXTDSA-N 0 3 315.461 4.189 20 0 DIADHN CSC1CCN(Cc2ccc3ccnc(Cl)c3c2)CC1 ZINC001231981010 1053930969 /nfs/dbraw/zinc/93/09/69/1053930969.db2.gz HVOVMOZCVZXEJG-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccc3ccnc(Cl)c3c1)C2 ZINC001231981371 1053931126 /nfs/dbraw/zinc/93/11/26/1053931126.db2.gz ISGXEVRLRYCERD-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc(N2CCC(C)CC2)nc1 ZINC000159010941 1053931452 /nfs/dbraw/zinc/93/14/52/1053931452.db2.gz OHNGAVLNRBBEOT-GOSISDBHSA-N 0 3 323.484 4.477 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc(C)nc(C)c2)cc1 ZINC001232000365 1053932534 /nfs/dbraw/zinc/93/25/34/1053932534.db2.gz JPLFIVZVFDCOOJ-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cc(CN2CCC[C@H](Oc3ccccc3C)C2)cc(C)n1 ZINC001232000297 1053932761 /nfs/dbraw/zinc/93/27/61/1053932761.db2.gz IUCWHQMGNMLRRV-IBGZPJMESA-N 0 3 310.441 4.050 20 0 DIADHN COc1ccccc1C1CCN(Cc2cc(C)nc(C)c2)CC1 ZINC001232000961 1053933695 /nfs/dbraw/zinc/93/36/95/1053933695.db2.gz GQKCISAUNPYMHB-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CN(Cc1cc(Cl)cnc1F)C12CC3CC(CC(C3)C1)C2 ZINC001231993583 1053933750 /nfs/dbraw/zinc/93/37/50/1053933750.db2.gz VIJGVHCBCVGIIQ-UHFFFAOYSA-N 0 3 308.828 4.275 20 0 DIADHN Cc1cc([C@@H](NCc2cncc(Cl)c2)C2CC2)ccc1F ZINC000621275495 1053939828 /nfs/dbraw/zinc/93/98/28/1053939828.db2.gz XTTKAVSZIHCGIR-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](OC)c1ccc(F)cc1 ZINC001200749726 1053940052 /nfs/dbraw/zinc/94/00/52/1053940052.db2.gz CBDAAGMNFGUVRA-GOSISDBHSA-N 0 3 305.368 4.005 20 0 DIADHN COc1cccc2[nH]cc(CN(C)[C@@H](C)c3ccccc3OC)c21 ZINC001232036217 1053942626 /nfs/dbraw/zinc/94/26/26/1053942626.db2.gz CMDNWIXKAKPNRF-AWEZNQCLSA-N 0 3 324.424 4.378 20 0 DIADHN CCOc1cncc(CN[C@H](C)c2cccc3ccccc32)c1 ZINC000621325657 1053945141 /nfs/dbraw/zinc/94/51/41/1053945141.db2.gz FPWXIWGDNSZQTN-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN COc1cccc2[nH]cc(CN3CCC[C@@H]3c3ccc(C)nc3)c21 ZINC001232038496 1053947445 /nfs/dbraw/zinc/94/74/45/1053947445.db2.gz MYQHVEIIBNVHBP-GOSISDBHSA-N 0 3 321.424 4.217 20 0 DIADHN CCOc1cncc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)c1 ZINC000621342966 1053951007 /nfs/dbraw/zinc/95/10/07/1053951007.db2.gz QBJOTGOSHLSCEN-IBGZPJMESA-N 0 3 314.404 4.169 20 0 DIADHN CN(Cc1cc2ncccc2[nH]1)Cc1ccc2ccccc2c1 ZINC001232068016 1053952207 /nfs/dbraw/zinc/95/22/07/1053952207.db2.gz OFUQYIRYDAUETN-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CCOc1cncc(CN[C@H]2c3cccc(F)c3CC[C@H]2C)c1 ZINC000621339613 1053952527 /nfs/dbraw/zinc/95/25/27/1053952527.db2.gz FZEUNTAFOIGRAC-BFUOFWGJSA-N 0 3 314.404 4.033 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2cc3ncccc3[nH]2)CC1 ZINC001232065238 1053953286 /nfs/dbraw/zinc/95/32/86/1053953286.db2.gz PERXOMCZYROKGX-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Cc1ccc(CCN(C)Cc2cccc(C(F)(F)F)n2)cc1 ZINC001232071555 1053954209 /nfs/dbraw/zinc/95/42/09/1053954209.db2.gz IOFUGQGCWNBSRL-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2cccc3ccccc32)[nH]1 ZINC001232078371 1053956555 /nfs/dbraw/zinc/95/65/55/1053956555.db2.gz SZOJIJWXYQXLON-CQSZACIVSA-N 0 3 322.408 4.148 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)c(C)n1 ZINC001232083739 1053958117 /nfs/dbraw/zinc/95/81/17/1053958117.db2.gz KBSMJBNXXSNNTM-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccc(C)nc2C)CC1 ZINC001232084525 1053958677 /nfs/dbraw/zinc/95/86/77/1053958677.db2.gz SQECWOKQNCMYMM-UHFFFAOYSA-N 0 3 320.480 4.487 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC[C@@H]1CC1(F)F ZINC000621377763 1053958987 /nfs/dbraw/zinc/95/89/87/1053958987.db2.gz FSZOABIIQITUTJ-MRVPVSSYSA-N 0 3 311.706 4.076 20 0 DIADHN FC1(F)C[C@H]1CCNCc1csc(-c2cccs2)n1 ZINC000621379410 1053961076 /nfs/dbraw/zinc/96/10/76/1053961076.db2.gz UNNCRKGOYUFHSY-SECBINFHSA-N 0 3 300.399 4.007 20 0 DIADHN c1c2ccncc2[nH]c1CN1CCC(Sc2ccccc2)CC1 ZINC001232096044 1053962571 /nfs/dbraw/zinc/96/25/71/1053962571.db2.gz RTKQMVZZGPONJW-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN Cc1ccccc1O[C@H](C)CNCc1ccc(F)cc1Cl ZINC001200774947 1053963233 /nfs/dbraw/zinc/96/32/33/1053963233.db2.gz RQFPKQUTYYIGJX-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc3ccncc3[nH]2)cc1 ZINC001232093924 1053963516 /nfs/dbraw/zinc/96/35/16/1053963516.db2.gz FMNAFVXDLZGBSN-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN c1nc(-c2cccnc2)oc1CN1CCC(c2ccccc2)CC1 ZINC001232133371 1053967762 /nfs/dbraw/zinc/96/77/62/1053967762.db2.gz WXUUCDHQPDMYGA-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN C[C@H]1CCCN(Cc2c(Br)[nH]c3ccccc32)C1 ZINC001232139157 1053968484 /nfs/dbraw/zinc/96/84/84/1053968484.db2.gz BZYLCHOJLUWTCX-NSHDSACASA-N 0 3 307.235 4.162 20 0 DIADHN CN(C)Cc1cc(F)cc(CN2Cc3cccc(Cl)c3C2)c1 ZINC001232149289 1053972204 /nfs/dbraw/zinc/97/22/04/1053972204.db2.gz LGGXBYKVHRAFOW-UHFFFAOYSA-N 0 3 318.823 4.057 20 0 DIADHN CC1CCN(Cc2c(Br)[nH]c3ccccc32)CC1 ZINC001232140447 1053972380 /nfs/dbraw/zinc/97/23/80/1053972380.db2.gz UKMVAEPAODUBDQ-UHFFFAOYSA-N 0 3 307.235 4.162 20 0 DIADHN Cn1cc(CN2CCC(CCc3ccccc3)CC2)c(C2CC2)n1 ZINC001232171378 1053979754 /nfs/dbraw/zinc/97/97/54/1053979754.db2.gz RDFTWVRZPKOWBJ-UHFFFAOYSA-N 0 3 323.484 4.142 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2C[C@@H]3CCCC[C@@]32C)c1 ZINC001329360873 1053984576 /nfs/dbraw/zinc/98/45/76/1053984576.db2.gz JXEGKAJIKKHBBB-YJBOKZPZSA-N 0 3 318.486 4.225 20 0 DIADHN Fc1cccc2c(CN3CC[C@H](Oc4ccccc4)C3)ccnc12 ZINC001232209171 1053988397 /nfs/dbraw/zinc/98/83/97/1053988397.db2.gz LLOBNZJKPPADIA-KRWDZBQOSA-N 0 3 322.383 4.027 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccnc2c(F)cccc12 ZINC001232213418 1053993001 /nfs/dbraw/zinc/99/30/01/1053993001.db2.gz HGHMACCRLFJCLM-AWEZNQCLSA-N 0 3 306.384 4.321 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCN(Cc3cnsc3)C2)cc1 ZINC001232230453 1053995610 /nfs/dbraw/zinc/99/56/10/1053995610.db2.gz JYAIJEOZZFPDOQ-CYBMUJFWSA-N 0 3 312.360 4.151 20 0 DIADHN CC[C@@H](CNCc1cnc(Cl)c(F)c1)Oc1ccccc1C ZINC001200811553 1053997241 /nfs/dbraw/zinc/99/72/41/1053997241.db2.gz FRZYTQMYZFBHJL-AWEZNQCLSA-N 0 3 322.811 4.130 20 0 DIADHN CN(CCc1ccccc1F)Cc1cccn1Cc1ccccc1 ZINC001232238527 1053997826 /nfs/dbraw/zinc/99/78/26/1053997826.db2.gz MVJQLBVRPKUJGE-UHFFFAOYSA-N 0 3 322.427 4.350 20 0 DIADHN c1cc(CN2CCC(OC3CCC3)CC2)n(Cc2ccccc2)c1 ZINC001232233199 1053998376 /nfs/dbraw/zinc/99/83/76/1053998376.db2.gz BKGOIOWYSKBWJG-UHFFFAOYSA-N 0 3 324.468 4.070 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1ccnc(C(F)(F)F)c1)CC2 ZINC001232239923 1054002470 /nfs/dbraw/zinc/00/24/70/1054002470.db2.gz BSRPDKAJRCECPE-CYBMUJFWSA-N 0 3 316.342 4.205 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CCC[C@H]2c2ccccc2)c2cccnc12 ZINC001232278339 1054010413 /nfs/dbraw/zinc/01/04/13/1054010413.db2.gz CYNZRBWAQGVBQI-SFHVURJKSA-N 0 3 304.393 4.278 20 0 DIADHN [O-]c1ccc(C[N@H+]2CCC[C@H]2c2ccccc2)c2cccnc12 ZINC001232278339 1054010426 /nfs/dbraw/zinc/01/04/26/1054010426.db2.gz CYNZRBWAQGVBQI-SFHVURJKSA-N 0 3 304.393 4.278 20 0 DIADHN Oc1ccc(CN2CCC[C@@H]2c2ccccc2F)c2cccnc12 ZINC001232276483 1054011278 /nfs/dbraw/zinc/01/12/78/1054011278.db2.gz WUEASMRUGWZUMZ-GOSISDBHSA-N 0 3 322.383 4.417 20 0 DIADHN C[C@H](NC1(c2ccc(C(F)(F)F)cc2)CCC1)c1cn[nH]c1 ZINC000621768363 1054013646 /nfs/dbraw/zinc/01/36/46/1054013646.db2.gz NUQAVNXWUSLODV-NSHDSACASA-N 0 3 309.335 4.159 20 0 DIADHN Cc1sc(CN2C[C@H]3CCC[C@@H]3C2)cc1Br ZINC001232290404 1054015913 /nfs/dbraw/zinc/01/59/13/1054015913.db2.gz XIHAVKSXXQKHIO-GHMZBOCLSA-N 0 3 300.265 4.051 20 0 DIADHN Cc1nc(Br)ccc1CN1CCC2(CCCC2)CC1 ZINC001232319526 1054019211 /nfs/dbraw/zinc/01/92/11/1054019211.db2.gz GMWLTUBPIZBSLP-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc2cnn(C)c2c1 ZINC001330069043 1054019396 /nfs/dbraw/zinc/01/93/96/1054019396.db2.gz DYVMVLNRIBYEMA-ZDUSSCGKSA-N 0 3 311.454 4.146 20 0 DIADHN COc1ccc(CN(Cc2cccc(C)c2C)C2CC2)c(C)n1 ZINC001232337808 1054022643 /nfs/dbraw/zinc/02/26/43/1054022643.db2.gz OWOJYOBCSZYRSJ-UHFFFAOYSA-N 0 3 310.441 4.180 20 0 DIADHN CSc1cc(C)c(CN2CCC3(CC(F)(F)C3)CC2)cn1 ZINC001232341640 1054024919 /nfs/dbraw/zinc/02/49/19/1054024919.db2.gz CKGVHQOKWSFNCL-UHFFFAOYSA-N 0 3 312.429 4.123 20 0 DIADHN Nc1ccc(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)cn1 ZINC001232369823 1054031203 /nfs/dbraw/zinc/03/12/03/1054031203.db2.gz OQLOUZKFJIZJTF-OAHLLOKOSA-N 0 3 321.346 4.020 20 0 DIADHN COc1ccc(OC(C)C)c(CN(C)CCc2cccs2)c1 ZINC001232391957 1054035875 /nfs/dbraw/zinc/03/58/75/1054035875.db2.gz GVZSJHOFQFGPCO-UHFFFAOYSA-N 0 3 319.470 4.218 20 0 DIADHN CCOCC1CCN(Cc2cccc(C)c2OC(C)C)CC1 ZINC001232395340 1054039460 /nfs/dbraw/zinc/03/94/60/1054039460.db2.gz TXCZVOOGDSPQIB-UHFFFAOYSA-N 0 3 305.462 4.031 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(C(F)(F)F)o1 ZINC001232444836 1054044912 /nfs/dbraw/zinc/04/49/12/1054044912.db2.gz IBAFSSJMFRUDBX-UHFFFAOYSA-N 0 3 312.335 4.148 20 0 DIADHN CCN(Cc1cc(OCc2ccccc2)no1)C1CCCCC1 ZINC001232449380 1054046160 /nfs/dbraw/zinc/04/61/60/1054046160.db2.gz ZCTHWGDCKYPJRA-UHFFFAOYSA-N 0 3 314.429 4.408 20 0 DIADHN Fc1cccc2c1CC[C@H]2N1CCC(OC2CCCC2)CC1 ZINC001330693330 1054046510 /nfs/dbraw/zinc/04/65/10/1054046510.db2.gz AHJRZJNOEHSRIZ-LJQANCHMSA-N 0 3 303.421 4.237 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccc(C(F)(F)F)o1 ZINC001232444890 1054047684 /nfs/dbraw/zinc/04/76/84/1054047684.db2.gz DHSBOCJDOBGYJK-GORDUTHDSA-N 0 3 310.319 4.229 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CCC[C@H]4CCCC[C@H]43)c21 ZINC001232461016 1054049355 /nfs/dbraw/zinc/04/93/55/1054049355.db2.gz XMIZZKPKCLNRQK-IUODEOHRSA-N 0 3 303.837 4.371 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CCCc4ccccc4C3)c21 ZINC001232457890 1054051131 /nfs/dbraw/zinc/05/11/31/1054051131.db2.gz AMFPZZZVZWLVRT-UHFFFAOYSA-N 0 3 311.816 4.165 20 0 DIADHN CCc1csc(CN2CCC[C@H](c3ccccc3)CC2)n1 ZINC001232474552 1054053054 /nfs/dbraw/zinc/05/30/54/1054053054.db2.gz CRMQNMOVGIQSRN-INIZCTEOSA-N 0 3 300.471 4.475 20 0 DIADHN Cc1ncc(CN2CCSC[C@H]2c2cccc3ccccc32)[nH]1 ZINC001232467155 1054054391 /nfs/dbraw/zinc/05/43/91/1054054391.db2.gz CQMBHANTMSTJGO-IBGZPJMESA-N 0 3 323.465 4.161 20 0 DIADHN Cc1cccc(F)c1CN1CCC(Sc2ccncc2)CC1 ZINC001232484002 1054055834 /nfs/dbraw/zinc/05/58/34/1054055834.db2.gz RKEWYCJTZWQSEF-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cccc(F)c1CN1CCC(n2cnc3ccccc32)CC1 ZINC001232488105 1054057089 /nfs/dbraw/zinc/05/70/89/1054057089.db2.gz ZRHFABCNAVWMTF-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN COc1cc(Cl)ccc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001232501664 1054059819 /nfs/dbraw/zinc/05/98/19/1054059819.db2.gz ZGQUQWMSANNFCR-OAHLLOKOSA-N 0 3 311.828 4.453 20 0 DIADHN COc1cc(Cl)ccc1CN(C)CCc1cccc(F)c1 ZINC001232500526 1054060253 /nfs/dbraw/zinc/06/02/53/1054060253.db2.gz AXXVUIZXQMMAGX-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Nc1ccc(F)cc1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001232511170 1054060481 /nfs/dbraw/zinc/06/04/81/1054060481.db2.gz WUHPSFAVVCFOTC-GFCCVEGCSA-N 0 3 304.796 4.051 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1cc(F)ccc1N ZINC001232511662 1054061306 /nfs/dbraw/zinc/06/13/06/1054061306.db2.gz PYULIYJUOXXZSS-QGZVFWFLSA-N 0 3 316.445 4.467 20 0 DIADHN Cc1noc(C)c1CCN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000164739184 1054061811 /nfs/dbraw/zinc/06/18/11/1054061811.db2.gz WXNSBHQLZFATLT-UHFFFAOYSA-N 0 3 313.228 4.273 20 0 DIADHN Nc1c(Br)cccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001232528848 1054064565 /nfs/dbraw/zinc/06/45/65/1054064565.db2.gz PCTZUGAWMFTFNW-CHWSQXEVSA-N 0 3 323.278 4.043 20 0 DIADHN C[C@@H]1CCCCCN1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232539769 1054065995 /nfs/dbraw/zinc/06/59/95/1054065995.db2.gz OLZCVJVRFUZAOY-CQSZACIVSA-N 0 3 319.449 4.193 20 0 DIADHN C[C@H]1CCCCN(Cc2cccnc2NC(=O)OC(C)(C)C)C1 ZINC001232538614 1054066768 /nfs/dbraw/zinc/06/67/68/1054066768.db2.gz YYYJGDMFYIWWJO-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN C[C@@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)[C@H]1C ZINC001232543081 1054069418 /nfs/dbraw/zinc/06/94/18/1054069418.db2.gz WAXFFHSVOFZXQI-KGLIPLIRSA-N 0 3 319.449 4.049 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN(Cc3cc4ccoc4cn3)C2)cc1 ZINC001232554069 1054070238 /nfs/dbraw/zinc/07/02/38/1054070238.db2.gz INGGFRDALXCAOK-INIZCTEOSA-N 0 3 324.399 4.422 20 0 DIADHN C[C@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)CC1 ZINC001232585779 1054075722 /nfs/dbraw/zinc/07/57/22/1054075722.db2.gz PEVQHLFTGBIIOT-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)[C@H]1C ZINC001232589317 1054076068 /nfs/dbraw/zinc/07/60/68/1054076068.db2.gz BPRHFROQJQWFEC-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1nccc2ccccc21 ZINC001331531819 1054081496 /nfs/dbraw/zinc/08/14/96/1054081496.db2.gz DNQQSPDFNCGWRC-JLTOFOAXSA-N 0 3 324.399 4.240 20 0 DIADHN c1cc2ccc(CN3CCC[C@@H](Oc4cccnc4)C3)cc2s1 ZINC001232612876 1054081501 /nfs/dbraw/zinc/08/15/01/1054081501.db2.gz QFEGVLOVGVFRKG-GOSISDBHSA-N 0 3 324.449 4.340 20 0 DIADHN c1cc2ccc(CN3CCC[C@H](Oc4ccncc4)C3)cc2s1 ZINC001232615595 1054081795 /nfs/dbraw/zinc/08/17/95/1054081795.db2.gz YMIYHLUPCDSKSP-SFHVURJKSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccco1 ZINC000184583213 1054087726 /nfs/dbraw/zinc/08/77/26/1054087726.db2.gz BKTKOWPYIHEUHK-BEFAXECRSA-N 0 3 307.393 4.331 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccccn1 ZINC000184579713 1054087868 /nfs/dbraw/zinc/08/78/68/1054087868.db2.gz UVCLWOGRVJDGDX-IIBYNOLFSA-N 0 3 318.420 4.133 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccoc1 ZINC000184580099 1054088683 /nfs/dbraw/zinc/08/86/83/1054088683.db2.gz ODVCCIAAYOOOAL-FOIQADDNSA-N 0 3 307.393 4.331 20 0 DIADHN CC(C)c1ocnc1CN[C@@H](Cc1cccnc1)C1CCCC1 ZINC001331806721 1054090515 /nfs/dbraw/zinc/09/05/15/1054090515.db2.gz JNPPQFUCONEFHB-KRWDZBQOSA-N 0 3 313.445 4.084 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cncc4cnccc43)C2)c1 ZINC001232652956 1054093854 /nfs/dbraw/zinc/09/38/54/1054093854.db2.gz PAYTTYWAWUMHGS-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(SC)cc2)ccc1O ZINC000298148249 1054097278 /nfs/dbraw/zinc/09/72/78/1054097278.db2.gz RHDUUXLPOKJQST-CYBMUJFWSA-N 0 3 317.454 4.364 20 0 DIADHN CCCCC1CCN(Cc2ccc(C(=O)OC)c(F)c2)CC1 ZINC001232704168 1054105290 /nfs/dbraw/zinc/10/52/90/1054105290.db2.gz ONMDCBAPADJKFH-UHFFFAOYSA-N 0 3 307.409 4.015 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2cccc3ncsc32)C1 ZINC001232730383 1054107154 /nfs/dbraw/zinc/10/71/54/1054107154.db2.gz LXAGGBPTZFEHCF-ZDUSSCGKSA-N 0 3 318.339 4.020 20 0 DIADHN CCN(Cc1ccc2ncsc2c1)Cc1cccc(F)c1 ZINC001232743261 1054112489 /nfs/dbraw/zinc/11/24/89/1054112489.db2.gz PDOGKPXLEQPFRU-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN CCN(Cc1ccc2ncsc2c1)Cc1cccc(OC)c1 ZINC001232742873 1054113920 /nfs/dbraw/zinc/11/39/20/1054113920.db2.gz FOTFXDOKMGYZAN-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN Cc1c(CN2CCC[C@H](Cc3ccccc3)C2)ccnc1Cl ZINC001232752230 1054116065 /nfs/dbraw/zinc/11/60/65/1054116065.db2.gz FIWUODOKCIGXMH-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(C)c(F)cc3F)C2)cc1 ZINC001232786364 1054118751 /nfs/dbraw/zinc/11/87/51/1054118751.db2.gz MCKBAFMPTWWZKJ-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN COc1cc(Cl)cc(C[N@H+](C)Cc2ccc(C)s2)c1[O-] ZINC000184688293 1054120865 /nfs/dbraw/zinc/12/08/65/1054120865.db2.gz DLRMDAZNDRIJFH-UHFFFAOYSA-N 0 3 311.834 4.056 20 0 DIADHN COc1cc(Cl)cc(C[N@@H+](C)Cc2ccc(C)s2)c1[O-] ZINC000184688293 1054120874 /nfs/dbraw/zinc/12/08/74/1054120874.db2.gz DLRMDAZNDRIJFH-UHFFFAOYSA-N 0 3 311.834 4.056 20 0 DIADHN COc1cc(Cl)cc(CN(C)Cc2ccc(C)s2)c1O ZINC000184688293 1054120884 /nfs/dbraw/zinc/12/08/84/1054120884.db2.gz DLRMDAZNDRIJFH-UHFFFAOYSA-N 0 3 311.834 4.056 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]c3cc(Cl)ncc23)Cc2ccccc21 ZINC001232819726 1054124308 /nfs/dbraw/zinc/12/43/08/1054124308.db2.gz XZNGCLRXWQBLHT-GFCCVEGCSA-N 0 3 311.816 4.336 20 0 DIADHN Clc1cc2[nH]cc(CN3CCC[C@H]4CCCC[C@H]43)c2cn1 ZINC001232822333 1054124654 /nfs/dbraw/zinc/12/46/54/1054124654.db2.gz OIIKRKVTBLABIP-MLGOLLRUSA-N 0 3 303.837 4.371 20 0 DIADHN CCCNC(=O)c1cccc(CNCc2ccccc2C(C)C)c1 ZINC000848529290 1054126571 /nfs/dbraw/zinc/12/65/71/1054126571.db2.gz VGOMXXIOJJPPHM-UHFFFAOYSA-N 0 3 324.468 4.240 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](c1ccccc1)C1CCCCC1 ZINC000848529004 1054126704 /nfs/dbraw/zinc/12/67/04/1054126704.db2.gz RCDPUDRCORANER-KRWDZBQOSA-N 0 3 317.864 4.485 20 0 DIADHN COc1cc(Cl)cc(C[NH2+]C(C)(C)c2cccc(F)c2)c1[O-] ZINC000184708403 1054128394 /nfs/dbraw/zinc/12/83/94/1054128394.db2.gz WVMYWNGKCXDQHR-UHFFFAOYSA-N 0 3 323.795 4.218 20 0 DIADHN CC1(C)CN(Cc2c[nH]c3ccc(O)cc23)Cc2ccccc21 ZINC001232871698 1054135014 /nfs/dbraw/zinc/13/50/14/1054135014.db2.gz OGGMRYSJDXGTMN-UHFFFAOYSA-N 0 3 306.409 4.167 20 0 DIADHN CCCN(Cc1ccc(F)c(F)c1Cl)C1CCOCC1 ZINC001232880206 1054138460 /nfs/dbraw/zinc/13/84/60/1054138460.db2.gz ZPZQABIFJZLKGM-UHFFFAOYSA-N 0 3 303.780 4.009 20 0 DIADHN Cc1cc(O)cc(CN2CC[C@H](Sc3ccc(F)cc3)C2)c1 ZINC001232881787 1054138595 /nfs/dbraw/zinc/13/85/95/1054138595.db2.gz JTJFCILDHGYCOK-SFHVURJKSA-N 0 3 317.429 4.206 20 0 DIADHN c1ccc(-c2cncc(CN3CCc4ccccc4CC3)c2)cc1 ZINC001232900581 1054143332 /nfs/dbraw/zinc/14/33/32/1054143332.db2.gz DOUMTLDAXHKESQ-UHFFFAOYSA-N 0 3 314.432 4.349 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H]1CC(C)(C)CCc2ccccc21 ZINC000848551120 1054144835 /nfs/dbraw/zinc/14/48/35/1054144835.db2.gz DNKMYDZIHWITEB-MRXNPFEDSA-N 0 3 317.864 4.267 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2cncc(-c3ccccc3)c2)C1 ZINC001232900893 1054144877 /nfs/dbraw/zinc/14/48/77/1054144877.db2.gz HSAOBDCVYWHEDS-INIZCTEOSA-N 0 3 302.368 4.226 20 0 DIADHN FC1(F)CCCN(Cc2cncc(-c3ccccc3)c2)CC1 ZINC001232899761 1054144963 /nfs/dbraw/zinc/14/49/63/1054144963.db2.gz TZIOJDXDTHNUGP-UHFFFAOYSA-N 0 3 302.368 4.370 20 0 DIADHN CCCCCN1CCN(Cc2cncc(-c3ccccc3)c2)CC1 ZINC001232901429 1054146994 /nfs/dbraw/zinc/14/69/94/1054146994.db2.gz KUHMERCNLYINGQ-UHFFFAOYSA-N 0 3 323.484 4.056 20 0 DIADHN FC12C[C@@H]3C[C@H](C1)CC(NCc1ccn(C4CCCC4)n1)(C3)C2 ZINC001333272521 1054147846 /nfs/dbraw/zinc/14/78/46/1054147846.db2.gz VTBCUURZBGHPPF-MYMYQCDVSA-N 0 3 317.452 4.149 20 0 DIADHN CCSc1ccccc1CN[C@@H](CCO)c1cccs1 ZINC001333309347 1054150051 /nfs/dbraw/zinc/15/00/51/1054150051.db2.gz AIJKQWBNPOTQCZ-AWEZNQCLSA-N 0 3 307.484 4.073 20 0 DIADHN CC[C@H](NCc1cccc(C)c1OC)c1ccc2c(c1)CCO2 ZINC000848560962 1054151900 /nfs/dbraw/zinc/15/19/00/1054151900.db2.gz STXLVXYCACCIJX-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2ccc3ncoc3c2)CC1 ZINC001232942792 1054153800 /nfs/dbraw/zinc/15/38/00/1054153800.db2.gz KJANKIYILHPEOD-UHFFFAOYSA-N 0 3 324.399 4.422 20 0 DIADHN C[C@@H](NCc1ccc(NC(=O)C2CC2)cc1)c1cccc(F)c1 ZINC001333399501 1054153802 /nfs/dbraw/zinc/15/38/02/1054153802.db2.gz XHBUNNRRHVPTHN-CYBMUJFWSA-N 0 3 312.388 4.025 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc(OC(F)F)nc2)c1 ZINC001232952709 1054155183 /nfs/dbraw/zinc/15/51/83/1054155183.db2.gz NEVFDIDVMNMFLQ-MRXNPFEDSA-N 0 3 318.367 4.329 20 0 DIADHN CN(Cc1cccc(SC(F)(F)F)c1)C[C@@H]1CCCCO1 ZINC001232947877 1054157016 /nfs/dbraw/zinc/15/70/16/1054157016.db2.gz KRXYYGYBRCNEGL-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2ccc(C3CC3)cc2)cc1 ZINC001232982296 1054166515 /nfs/dbraw/zinc/16/65/15/1054166515.db2.gz AMLRGCQDSBTQSP-NRFANRHFSA-N 0 3 307.437 4.355 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](c3ccccc3Cl)C2)cn1 ZINC001233007600 1054170336 /nfs/dbraw/zinc/17/03/36/1054170336.db2.gz QBAOPENZKHXAGR-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@H]1CCCN(Cc2cnn(-c3cccc(C(F)(F)F)c3)c2)C1 ZINC001233037497 1054175571 /nfs/dbraw/zinc/17/55/71/1054175571.db2.gz ANHOBFMWVSOVET-ZDUSSCGKSA-N 0 3 323.362 4.123 20 0 DIADHN CCOc1c(Cl)cc(CN(C)CCSC)cc1Cl ZINC001233107667 1054185110 /nfs/dbraw/zinc/18/51/10/1054185110.db2.gz MOIFDLVNUUSVIK-UHFFFAOYSA-N 0 3 308.274 4.187 20 0 DIADHN CN(Cc1n[nH]c2cc(F)ccc21)Cc1ccc2ccccc2c1 ZINC001233128049 1054187930 /nfs/dbraw/zinc/18/79/30/1054187930.db2.gz FPKHXRMPVDCXJB-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)c1ccc(C(C)C)c(Cl)c1 ZINC000622356791 1054188454 /nfs/dbraw/zinc/18/84/54/1054188454.db2.gz ZCAUPBFFYNJHFP-UHFFFAOYSA-N 0 3 324.896 4.123 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2[nH]nc3cc(F)ccc32)cc1 ZINC001233125407 1054189604 /nfs/dbraw/zinc/18/96/04/1054189604.db2.gz VRNSUBXTUXIMLI-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN COc1cccc(CC2CCN(Cc3cnc(C)c(C)c3)CC2)c1 ZINC001233161199 1054192563 /nfs/dbraw/zinc/19/25/63/1054192563.db2.gz WLGDIWZAPWYCOK-UHFFFAOYSA-N 0 3 324.468 4.162 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(Cc2cnc(C)c(C)c2)C1 ZINC001233163788 1054192941 /nfs/dbraw/zinc/19/29/41/1054192941.db2.gz MBPWLAHEEPCQCM-IBGZPJMESA-N 0 3 310.441 4.050 20 0 DIADHN CO[C@H]1CCCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233208109 1054200169 /nfs/dbraw/zinc/20/01/69/1054200169.db2.gz VRLMCQHHGGKHLW-IBGZPJMESA-N 0 3 315.388 4.229 20 0 DIADHN C[C@H]1CN(Cc2cccc(Oc3ccc(F)cc3)c2)CCCO1 ZINC001233208342 1054200677 /nfs/dbraw/zinc/20/06/77/1054200677.db2.gz ZVBJAVNJIBWFBN-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN C[C@H]1COCCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233207069 1054201881 /nfs/dbraw/zinc/20/18/81/1054201881.db2.gz GIESGFPLLDYTRW-OAHLLOKOSA-N 0 3 315.388 4.086 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233212059 1054203698 /nfs/dbraw/zinc/20/36/98/1054203698.db2.gz ZAQLPTOHFGLHET-INIZCTEOSA-N 0 3 313.372 4.029 20 0 DIADHN COc1cc(Br)cc(CN(C)Cc2ccccc2)c1 ZINC001233214245 1054204878 /nfs/dbraw/zinc/20/48/78/1054204878.db2.gz XTSQUNJGKZIMQN-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN FC(F)(F)Cc1ncc(CNC/C=C/c2ccccc2)s1 ZINC001334955559 1054205872 /nfs/dbraw/zinc/20/58/72/1054205872.db2.gz DLMDPFJPITXEHB-QPJJXVBHSA-N 0 3 312.360 4.051 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)cc1)[C@H]1CCOC1 ZINC001233220229 1054206786 /nfs/dbraw/zinc/20/67/86/1054206786.db2.gz QAWFLQROTXKGJW-SFHVURJKSA-N 0 3 301.817 4.228 20 0 DIADHN Cc1cccc(-c2ccc(CN3C[C@H]4CC[C@@H](C3)C4=O)cc2)c1 ZINC001233224415 1054208917 /nfs/dbraw/zinc/20/89/17/1054208917.db2.gz CGZUDPRTHVHJAP-BGYRXZFFSA-N 0 3 305.421 4.073 20 0 DIADHN Cc1cccc([C@H](NC2CC3(CC3(F)F)C2)c2ccccn2)c1 ZINC001335283053 1054211458 /nfs/dbraw/zinc/21/14/58/1054211458.db2.gz ICGQMUSOABAVSS-NXYGQSRBSA-N 0 3 314.379 4.257 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc(C)c(O)c2)cc1 ZINC001233234062 1054214449 /nfs/dbraw/zinc/21/44/49/1054214449.db2.gz JWMUZZCTNJCLLO-LJQANCHMSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1ccc(Cl)cc1CN(C)CCC(=O)c1ccccc1 ZINC001233250370 1054215289 /nfs/dbraw/zinc/21/52/89/1054215289.db2.gz HWVIZSRKHHXVQD-UHFFFAOYSA-N 0 3 301.817 4.353 20 0 DIADHN COc1ccc(CN(C)Cc2cc(Cl)ccc2C)cc1OC ZINC001233249652 1054215783 /nfs/dbraw/zinc/21/57/83/1054215783.db2.gz DNDDDDSNSYGSSY-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@H]1c1cc(C)cc(C)c1 ZINC001233258319 1054218693 /nfs/dbraw/zinc/21/86/93/1054218693.db2.gz ZIEWRJBNHRZLCT-SFHVURJKSA-N 0 3 311.425 4.355 20 0 DIADHN COCOc1cccc(CN2CCC[C@H]2c2cccc(F)c2)c1 ZINC001233281685 1054221152 /nfs/dbraw/zinc/22/11/52/1054221152.db2.gz MKWIVBGORDYDCR-IBGZPJMESA-N 0 3 315.388 4.146 20 0 DIADHN Clc1ccc(CN(Cc2ccccc2)C2CCOCC2)o1 ZINC001233316598 1054227585 /nfs/dbraw/zinc/22/75/85/1054227585.db2.gz QEDLQVDJMYWOGO-UHFFFAOYSA-N 0 3 305.805 4.114 20 0 DIADHN Cc1cc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)sn1 ZINC001233312800 1054228092 /nfs/dbraw/zinc/22/80/92/1054228092.db2.gz MGQMGXLSWFKUMH-NSHDSACASA-N 0 3 306.862 4.267 20 0 DIADHN Cc1cnsc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC001233318576 1054231818 /nfs/dbraw/zinc/23/18/18/1054231818.db2.gz IYRPLERVMIJZIW-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN CCN(Cc1cc2cccnc2s1)Cc1cccc(OC)c1 ZINC001233348468 1054243399 /nfs/dbraw/zinc/24/33/99/1054243399.db2.gz CNOVPMCPDFFENP-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN FC(F)(F)CCCN1CCC(c2nc3c(s2)CCC3)CC1 ZINC001336785206 1054247354 /nfs/dbraw/zinc/24/73/54/1054247354.db2.gz PKTKGUXXZVHIJY-UHFFFAOYSA-N 0 3 318.408 4.154 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC2(C1)CCOCC2 ZINC001233352688 1054247942 /nfs/dbraw/zinc/24/79/42/1054247942.db2.gz PLXLRRFTCSBKDH-UHFFFAOYSA-N 0 3 311.878 4.064 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001336912023 1054250994 /nfs/dbraw/zinc/25/09/94/1054250994.db2.gz PZIUAABUWDPOMR-FMKPAKJESA-N 0 3 306.475 4.007 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1ccc(N)cc1Cl ZINC001233376429 1054252399 /nfs/dbraw/zinc/25/23/99/1054252399.db2.gz IISRJORJQWJRCA-UHFFFAOYSA-N 0 3 309.240 4.250 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(Nc3ccccc3)CC2)cc1 ZINC001337009521 1054252732 /nfs/dbraw/zinc/25/27/32/1054252732.db2.gz CJJRSKLIBDHWSK-PLNGDYQASA-N 0 3 310.416 4.415 20 0 DIADHN Nc1cc(F)c(F)cc1CN(Cc1ccccc1)C1CCC1 ZINC001233382581 1054257127 /nfs/dbraw/zinc/25/71/27/1054257127.db2.gz AQLAQMPDTURJTQ-UHFFFAOYSA-N 0 3 302.368 4.102 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2c1cc(F)cc2F)c1ccc(F)cc1 ZINC001337156337 1054257969 /nfs/dbraw/zinc/25/79/69/1054257969.db2.gz JLODEKLRTGVRFQ-ROUUACIJSA-N 0 3 321.342 4.069 20 0 DIADHN CC[C@@H](NC(=O)[C@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001439323217 1054263786 /nfs/dbraw/zinc/26/37/86/1054263786.db2.gz NZTSQSIRGSXUJC-WOJBJXKFSA-N 0 3 324.468 4.280 20 0 DIADHN COc1ccccc1C1(N[C@@H](C)c2ccc3c(c2)CCO3)CCC1 ZINC001337306637 1054263954 /nfs/dbraw/zinc/26/39/54/1054263954.db2.gz AWJNHNRVVVJDJU-HNNXBMFYSA-N 0 3 323.436 4.360 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC3(CCCC3)CC2)c1 ZINC001233430377 1054269910 /nfs/dbraw/zinc/26/99/10/1054269910.db2.gz KZLWANFBCJLKLL-UHFFFAOYSA-N 0 3 318.461 4.428 20 0 DIADHN CC[C@H](C)N(C)Cc1cc(Br)c(Cl)cc1F ZINC001233439766 1054272863 /nfs/dbraw/zinc/27/28/63/1054272863.db2.gz QRHXMOKWBRMJMY-QMMMGPOBSA-N 0 3 308.622 4.472 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccc2nc(Cl)ccc2c1 ZINC001233444280 1054272905 /nfs/dbraw/zinc/27/29/05/1054272905.db2.gz KCFJOYDAMFKURD-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc2nc(Cl)ccc2c1 ZINC001233442423 1054273140 /nfs/dbraw/zinc/27/31/40/1054273140.db2.gz SHMCPVGRTMFPND-UHFFFAOYSA-N 0 3 311.816 4.305 20 0 DIADHN CC(=O)c1cc(Cl)c2ccccc2c1O[C@H](C)CN(C)C ZINC001233542922 1054292040 /nfs/dbraw/zinc/29/20/40/1054292040.db2.gz UZYKHXWBAWOAOW-LLVKDONJSA-N 0 3 305.805 4.025 20 0 DIADHN CCCN(Cc1cc2cnn(C(C)C)c2nc1Cl)C(C)C ZINC000848923021 1054300113 /nfs/dbraw/zinc/30/01/13/1054300113.db2.gz CFQSIJSFEWSWOM-UHFFFAOYSA-N 0 3 308.857 4.286 20 0 DIADHN CN(C)c1ncc(CN[C@H]2CCc3cccc4cccc2c43)s1 ZINC001338326395 1054302330 /nfs/dbraw/zinc/30/23/30/1054302330.db2.gz GYYHCIHDRPYNQG-KRWDZBQOSA-N 0 3 323.465 4.139 20 0 DIADHN CN(CCCc1ccccc1)Cc1cn2c(cccc2Cl)n1 ZINC001233591485 1054303257 /nfs/dbraw/zinc/30/32/57/1054303257.db2.gz DVXABFRLYMAJSO-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)[nH]n1 ZINC001338447672 1054306583 /nfs/dbraw/zinc/30/65/83/1054306583.db2.gz OTMFVVLCWLRDQA-HXUWFJFHSA-N 0 3 303.409 4.162 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)n[nH]1 ZINC001338447672 1054306596 /nfs/dbraw/zinc/30/65/96/1054306596.db2.gz OTMFVVLCWLRDQA-HXUWFJFHSA-N 0 3 303.409 4.162 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc3occc3c2)C1 ZINC001233677528 1054329051 /nfs/dbraw/zinc/32/90/51/1054329051.db2.gz RAJVKUBWWQFZMP-WMLDXEAASA-N 0 3 308.381 4.042 20 0 DIADHN COc1cc(CN(C)Cc2cc(C)c(C)s2)cc(OC)c1 ZINC001233684054 1054332178 /nfs/dbraw/zinc/33/21/78/1054332178.db2.gz DPUVGHVFGIRDAI-UHFFFAOYSA-N 0 3 305.443 4.014 20 0 DIADHN CCCCCc1ccc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)cc1 ZINC001440431064 1054351625 /nfs/dbraw/zinc/35/16/25/1054351625.db2.gz AWWQQMGPVKVERV-HOTGVXAUSA-N 0 3 302.462 4.231 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@H]2CCCN2CCC)cc1 ZINC001440439660 1054352870 /nfs/dbraw/zinc/35/28/70/1054352870.db2.gz QPKZXMQMLMHONS-GOSISDBHSA-N 0 3 302.462 4.232 20 0 DIADHN C[C@@H](C(=O)Nc1cc(Cl)cc(Cl)c1)N1[C@H](C)CC[C@H]1C ZINC001440753633 1054367317 /nfs/dbraw/zinc/36/73/17/1054367317.db2.gz IAFXPILVNOCNNQ-MXWKQRLJSA-N 0 3 315.244 4.193 20 0 DIADHN CCc1cccc(C)c1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001233930082 1054392209 /nfs/dbraw/zinc/39/22/09/1054392209.db2.gz MAMCWECHIMGFHL-FUHWJXTLSA-N 0 3 310.441 4.167 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2csc(-c3ccccn3)n2)C1 ZINC000171883278 1054396593 /nfs/dbraw/zinc/39/65/93/1054396593.db2.gz BMAPKZZKINDZSZ-UKRRQHHQSA-N 0 3 301.459 4.216 20 0 DIADHN Clc1ccc(OCc2nc(CNCC3CCC3)cs2)cc1 ZINC000172116037 1054411810 /nfs/dbraw/zinc/41/18/10/1054411810.db2.gz PLRSVGOFHDYWAD-UHFFFAOYSA-N 0 3 322.861 4.265 20 0 DIADHN FC(F)(F)CCCN1CCC[C@@H](c2nc3c(s2)CCC3)C1 ZINC001343095155 1054437910 /nfs/dbraw/zinc/43/79/10/1054437910.db2.gz CPXZOCKTDYDJRZ-LLVKDONJSA-N 0 3 318.408 4.154 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CNC/C=C\C1CC1 ZINC001343190148 1054438616 /nfs/dbraw/zinc/43/86/16/1054438616.db2.gz XXYFMYWGFGXMGY-PLNGDYQASA-N 0 3 308.447 4.151 20 0 DIADHN CCN(Cc1csc(-c2ccccc2OC)n1)CC(C)C ZINC000172658158 1054445769 /nfs/dbraw/zinc/44/57/69/1054445769.db2.gz FQEGPOOVSMPRMZ-UHFFFAOYSA-N 0 3 304.459 4.297 20 0 DIADHN Cc1cccc(N(C)c2ccc(CN3CC[C@]34CCOC4)cc2)c1 ZINC001234178560 1054448875 /nfs/dbraw/zinc/44/88/75/1054448875.db2.gz GUKRXGXUPJLCQN-OAQYLSRUSA-N 0 3 322.452 4.128 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(N(C)c3cccc(C)c3)cc2)C1 ZINC001234180482 1054449268 /nfs/dbraw/zinc/44/92/68/1054449268.db2.gz RYLWGRIZYUHDNL-KRWDZBQOSA-N 0 3 324.468 4.230 20 0 DIADHN CN(CCCOC(C)(C)C)[C@]1(c2ccccc2)CCCCC1=O ZINC000671243504 1054459066 /nfs/dbraw/zinc/45/90/66/1054459066.db2.gz BMIKYIOTGKTJBZ-FQEVSTJZSA-N 0 3 317.473 4.162 20 0 DIADHN CN(C)CCN(C(=O)C=C1CCC(C)(C)CC1)c1ccccc1 ZINC001357343775 1054462031 /nfs/dbraw/zinc/46/20/31/1054462031.db2.gz HKUTWJSZINLTJO-UHFFFAOYSA-N 0 3 314.473 4.108 20 0 DIADHN CC(C)(C)c1cnc(CNCCCCOc2ccccc2)s1 ZINC001344110015 1054463341 /nfs/dbraw/zinc/46/33/41/1054463341.db2.gz RIPRWVWQPWIAHK-UHFFFAOYSA-N 0 3 318.486 4.389 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC2(CCOCC2)CC1 ZINC000671252575 1054463641 /nfs/dbraw/zinc/46/36/41/1054463641.db2.gz WMLSQEDVIUYTFM-KRWDZBQOSA-N 0 3 309.400 4.309 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)c1ccc(C)cc1O ZINC000185205903 1054470400 /nfs/dbraw/zinc/47/04/00/1054470400.db2.gz MNHPJGKCZDXBHC-GFCCVEGCSA-N 0 3 305.805 4.213 20 0 DIADHN CCC[C@@H](Oc1c(F)cc(CN(C)C)cc1OC)C(C)(C)C ZINC001234243799 1054472168 /nfs/dbraw/zinc/47/21/68/1054472168.db2.gz KPFYHPPFZDUTOS-MRXNPFEDSA-N 0 3 311.441 4.490 20 0 DIADHN O=C(CCCc1ccc(C2CC2)cc1)Nc1cccc2c1CNC2 ZINC001344590212 1054475851 /nfs/dbraw/zinc/47/58/51/1054475851.db2.gz KVOYWXQJIGQEBJ-UHFFFAOYSA-N 0 3 320.436 4.129 20 0 DIADHN Cc1ccccc1N(C)c1ccc(CN2CC[C@@]23CCOC3)cc1 ZINC001234269514 1054479891 /nfs/dbraw/zinc/47/98/91/1054479891.db2.gz OBJHWMFCYBUDSG-NRFANRHFSA-N 0 3 322.452 4.128 20 0 DIADHN C[NH+](C)CC[C@@H](Oc1c(Cl)ccc([O-])c1F)c1ccccc1 ZINC001234264243 1054480313 /nfs/dbraw/zinc/48/03/13/1054480313.db2.gz OOWATACCSXNFIA-OAHLLOKOSA-N 0 3 323.795 4.257 20 0 DIADHN CN(c1ccc(F)cc1)c1ccc(CN2CCSCC2)cc1 ZINC001234279087 1054484250 /nfs/dbraw/zinc/48/42/50/1054484250.db2.gz KRYHBWJEJDOSLF-UHFFFAOYSA-N 0 3 316.445 4.142 20 0 DIADHN CCN(Cc1cccc(Br)c1)C[C@@H](C)C(F)(F)F ZINC000441137544 1054491711 /nfs/dbraw/zinc/49/17/11/1054491711.db2.gz CNZGQGKXUBCHCI-SNVBAGLBSA-N 0 3 324.184 4.469 20 0 DIADHN CC(C)c1cc(Br)cc(O[C@@H]2CN3CCC2CC3)c1 ZINC001234312127 1054495271 /nfs/dbraw/zinc/49/52/71/1054495271.db2.gz VDSYTHNHBJPKRY-MRXNPFEDSA-N 0 3 324.262 4.046 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2ccc(C(C)(C)C)cc2)c1 ZINC000173893254 1054495426 /nfs/dbraw/zinc/49/54/26/1054495426.db2.gz YVOWTAYQIXQRGC-HNNXBMFYSA-N 0 3 324.468 4.195 20 0 DIADHN CC(=O)c1cc(O[C@@H](CCN(C)C)c2ccccc2)ccc1C ZINC001234323230 1054501392 /nfs/dbraw/zinc/50/13/92/1054501392.db2.gz ZATWRPBHQDRZLK-FQEVSTJZSA-N 0 3 311.425 4.269 20 0 DIADHN O=[N+]([O-])c1ccc(CN[C@@H](c2ccccc2)C2CC2)cc1Cl ZINC000185269743 1054502430 /nfs/dbraw/zinc/50/24/30/1054502430.db2.gz RRYLQRHOCBYMEO-KRWDZBQOSA-N 0 3 316.788 4.489 20 0 DIADHN Cn1cccc1CNCc1ccc(OCc2ccccc2F)cc1 ZINC000174436839 1054507582 /nfs/dbraw/zinc/50/75/82/1054507582.db2.gz CEVNJAGZGMKDNJ-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000174436514 1054508785 /nfs/dbraw/zinc/50/87/85/1054508785.db2.gz DLQGYJJQHRYMFB-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1 ZINC001445606327 1054511218 /nfs/dbraw/zinc/51/12/18/1054511218.db2.gz NVMNBWZWJLAAAJ-JYJNAYRXSA-N 0 3 302.462 4.034 20 0 DIADHN c1cc(CN2CCC[C@@H](CCCc3ccccc3)C2)sn1 ZINC001473292183 1054511418 /nfs/dbraw/zinc/51/14/18/1054511418.db2.gz UPZJCJJSRBREJO-QGZVFWFLSA-N 0 3 300.471 4.378 20 0 DIADHN Cc1nccnc1CN1CCC[C@H](CCCc2ccccc2)C1 ZINC001473291021 1054515001 /nfs/dbraw/zinc/51/50/01/1054515001.db2.gz IGJLSMIPUJUBKJ-IBGZPJMESA-N 0 3 309.457 4.020 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(-n2cccn2)cc1 ZINC000175017796 1054518665 /nfs/dbraw/zinc/51/86/65/1054518665.db2.gz GQFWTHIVROYFGW-JLTOFOAXSA-N 0 3 321.399 4.350 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(-n2cccn2)cc1 ZINC000175017828 1054518923 /nfs/dbraw/zinc/51/89/23/1054518923.db2.gz GQFWTHIVROYFGW-VLIAUNLRSA-N 0 3 321.399 4.350 20 0 DIADHN COc1ncc([C@H](C)N[C@H](C)c2ccc(C)cc2)cc1Cl ZINC000671294833 1054526473 /nfs/dbraw/zinc/52/64/73/1054526473.db2.gz RZOSMHRMOKOPHY-OLZOCXBDSA-N 0 3 304.821 4.464 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H](C)CCC(C)(C)C)c1 ZINC001446186826 1054530406 /nfs/dbraw/zinc/53/04/06/1054530406.db2.gz WJZDSHJYJNHNJY-OAHLLOKOSA-N 0 3 304.478 4.458 20 0 DIADHN Fc1ccc(CNC2CC3(CC3(F)F)C2)c(Cl)c1Cl ZINC001346847641 1054550678 /nfs/dbraw/zinc/55/06/78/1054550678.db2.gz SFAVKGAVWFGQDK-UHFFFAOYSA-N 0 3 310.146 4.410 20 0 DIADHN O=C(Nc1ccc(C2CC2)cc1)[C@@H]1CCCCN1C1CCCC1 ZINC001446982590 1054550661 /nfs/dbraw/zinc/55/06/61/1054550661.db2.gz CYJZMISOSWKJQL-IBGZPJMESA-N 0 3 312.457 4.300 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3cccc(Cl)c3)CC2)[nH]1 ZINC001234600972 1054553525 /nfs/dbraw/zinc/55/35/25/1054553525.db2.gz JQXJGOCNLXWKTD-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC[C@@H]1c1ccccc1 ZINC001447345292 1054561655 /nfs/dbraw/zinc/56/16/55/1054561655.db2.gz OZRGQBWZRBIACL-LJQANCHMSA-N 0 3 316.489 4.252 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CC[C@@H](C)[C@@](C)(O)CC1 ZINC001238261893 1054565647 /nfs/dbraw/zinc/56/56/47/1054565647.db2.gz DNWMABHMVQUYIN-WBMJQRKESA-N 0 3 316.272 4.285 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1[nH]c(C)nc1C ZINC001234654363 1054569278 /nfs/dbraw/zinc/56/92/78/1054569278.db2.gz IBYJWLWCBWCQDD-MRXNPFEDSA-N 0 3 301.459 4.086 20 0 DIADHN CCc1ccc(CNCc2ccc(OC)c(OC3CC3)c2)s1 ZINC000623357397 1054571088 /nfs/dbraw/zinc/57/10/88/1054571088.db2.gz MMTUMWVGAQJZNM-UHFFFAOYSA-N 0 3 317.454 4.150 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCC[C@H]3C2)c(OC(C)C)c1 ZINC001234695780 1054579303 /nfs/dbraw/zinc/57/93/03/1054579303.db2.gz FNAVNKORWAFQJT-CVEARBPZSA-N 0 3 303.446 4.104 20 0 DIADHN Clc1ccsc1CN[C@@H](CN1CCCC1)c1ccccc1 ZINC000192991613 1054592107 /nfs/dbraw/zinc/59/21/07/1054592107.db2.gz JBRSSHFKCTXNPC-INIZCTEOSA-N 0 3 320.889 4.328 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccsc2)cc1OC1CC1 ZINC000623515482 1054611959 /nfs/dbraw/zinc/61/19/59/1054611959.db2.gz BOWZNBBQPJXLNO-GFCCVEGCSA-N 0 3 303.427 4.149 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccsc2)cc1OC1CC1 ZINC000623515483 1054612664 /nfs/dbraw/zinc/61/26/64/1054612664.db2.gz BOWZNBBQPJXLNO-LBPRGKRZSA-N 0 3 303.427 4.149 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2c(C)c(C)n[nH]c2=O)cc1 ZINC001348109774 1054612750 /nfs/dbraw/zinc/61/27/50/1054612750.db2.gz CBJBTDFCHDHRJV-IUODEOHRSA-N 0 3 313.445 4.163 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1cccc2n[nH]cc21 ZINC000623530894 1054614468 /nfs/dbraw/zinc/61/44/68/1054614468.db2.gz UHIUINBNMJIXDK-CYBMUJFWSA-N 0 3 317.845 4.445 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CNCc2ccc(Cl)cc2)c1 ZINC000073012654 1054615401 /nfs/dbraw/zinc/61/54/01/1054615401.db2.gz BIZFLEGPGMTGRH-QGZVFWFLSA-N 0 3 314.816 4.311 20 0 DIADHN CN(Cc1ccccc1)Cc1cccc(C(=O)OC2CCCC2)c1 ZINC001348330767 1054630511 /nfs/dbraw/zinc/63/05/11/1054630511.db2.gz VOAWYLRXKRTMGR-UHFFFAOYSA-N 0 3 323.436 4.418 20 0 DIADHN C[C@H](NCCOc1cccc2ccccc21)c1cncc(F)c1 ZINC000177912863 1054636576 /nfs/dbraw/zinc/63/65/76/1054636576.db2.gz SYNZKFPDEJUQTJ-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCO1)c1nc(-c2ccccc2)cs1 ZINC000177952040 1054639572 /nfs/dbraw/zinc/63/95/72/1054639572.db2.gz QDBIJXJTAKEGBM-XEZPLFJOSA-N 0 3 302.443 4.028 20 0 DIADHN C/C(=C/C(=O)Nc1ccccc1CN(C)C)c1ccccc1C ZINC001450217998 1054642103 /nfs/dbraw/zinc/64/21/03/1054642103.db2.gz GVYAZYCDYWAXKZ-SSZFMOIBSA-N 0 3 308.425 4.099 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CCC1CCCCC1 ZINC001450362511 1054646336 /nfs/dbraw/zinc/64/63/36/1054646336.db2.gz FJKGJUWQMYRZGD-UHFFFAOYSA-N 0 3 317.477 4.403 20 0 DIADHN COCc1csc(CNC(C)(C)c2ccc(OC)c(F)c2)c1 ZINC000623676070 1054646815 /nfs/dbraw/zinc/64/68/15/1054646815.db2.gz BYSYNAMPXYLWSB-UHFFFAOYSA-N 0 3 323.433 4.067 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1CCN(C2CC2)C[C@H](C)C1 ZINC001450406111 1054648407 /nfs/dbraw/zinc/64/84/07/1054648407.db2.gz FKFNHXKVDGNEJF-PXNSSMCTSA-N 0 3 322.537 4.316 20 0 DIADHN COc1cccc(C[C@@H](NCc2ccoc2)c2ccccc2)c1 ZINC000178474962 1054651509 /nfs/dbraw/zinc/65/15/09/1054651509.db2.gz BFBIMKABFDPXGX-HXUWFJFHSA-N 0 3 307.393 4.362 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000178559920 1054654809 /nfs/dbraw/zinc/65/48/09/1054654809.db2.gz OGTNDCFGLFBYTF-CQSZACIVSA-N 0 3 301.405 4.292 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1ccc(Br)cn1 ZINC000623696326 1054656806 /nfs/dbraw/zinc/65/68/06/1054656806.db2.gz AMSCBOJMDMJWFL-UHFFFAOYSA-N 0 3 321.209 4.005 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H]1CCc2cc(Cl)ccc21 ZINC000623705736 1054659509 /nfs/dbraw/zinc/65/95/09/1054659509.db2.gz NSUPMBZZMLRCJI-INIZCTEOSA-N 0 3 311.816 4.073 20 0 DIADHN Cc1cc(NC(=O)CN2[C@H](C)CC[C@H]2C)ccc1-c1ccccc1 ZINC001450719053 1054659967 /nfs/dbraw/zinc/65/99/67/1054659967.db2.gz XQLYGRYCVQIJOD-IAGOWNOFSA-N 0 3 322.452 4.473 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CCCC(C)(C)C1 ZINC000178991392 1054661606 /nfs/dbraw/zinc/66/16/06/1054661606.db2.gz BSIARDFYCXNVMN-MRXNPFEDSA-N 0 3 302.462 4.212 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccc(Oc3cccnc3)cc1)C2 ZINC001235118522 1054662258 /nfs/dbraw/zinc/66/22/58/1054662258.db2.gz FBYHKQXHCHCLFY-QGZVFWFLSA-N 0 3 316.351 4.105 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@H](CO)c1ccsc1 ZINC000349413303 1054662739 /nfs/dbraw/zinc/66/27/39/1054662739.db2.gz MVXLHEQOJRDUQE-IAGOWNOFSA-N 0 3 301.455 4.066 20 0 DIADHN Clc1ccsc1CN1CCC2(CNc3ccccc32)CC1 ZINC001235139622 1054665598 /nfs/dbraw/zinc/66/55/98/1054665598.db2.gz KEHHALHXCBZRHU-UHFFFAOYSA-N 0 3 318.873 4.361 20 0 DIADHN CCCCC[C@H](C)CC(=O)Nc1ccc(CN(C)C)cc1OC ZINC001451094010 1054667644 /nfs/dbraw/zinc/66/76/44/1054667644.db2.gz OGZLTZKVYFIIDY-HNNXBMFYSA-N 0 3 320.477 4.302 20 0 DIADHN c1cc(-c2csc(CN3CC[C@@H]4CCCO[C@@H]4C3)c2)cs1 ZINC001235174849 1054672936 /nfs/dbraw/zinc/67/29/36/1054672936.db2.gz CJLFXCJRKDIGBU-SUMWQHHRSA-N 0 3 319.495 4.478 20 0 DIADHN Cc1cncc(CN[C@H](Cc2ccccc2)c2ccccc2)c1 ZINC000179245350 1054673952 /nfs/dbraw/zinc/67/39/52/1054673952.db2.gz YGAYNOAONATPCG-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN C[C@@H]1CN(C)[C@@H](C)CN1Cc1cc(-c2ccsc2)cs1 ZINC001235178221 1054676243 /nfs/dbraw/zinc/67/62/43/1054676243.db2.gz JPSOWAJDPVQVIV-QWHCGFSZSA-N 0 3 306.500 4.001 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccoc1 ZINC000179524702 1054685834 /nfs/dbraw/zinc/68/58/34/1054685834.db2.gz YZBUMRUYNOGYBD-WCQYABFASA-N 0 3 308.784 4.002 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2cccc(C)c2C)c1 ZINC000179583704 1054690731 /nfs/dbraw/zinc/69/07/31/1054690731.db2.gz KNMGZINNNLLHPX-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc4cccc(F)c4[nH]3)C2)c1 ZINC001235253353 1054692207 /nfs/dbraw/zinc/69/22/07/1054692207.db2.gz REXRDSULOWZYMN-MRXNPFEDSA-N 0 3 324.399 4.305 20 0 DIADHN CCN(Cc1cc2cccc(F)c2[nH]1)Cc1ccc(F)cc1 ZINC001235248939 1054693176 /nfs/dbraw/zinc/69/31/76/1054693176.db2.gz LRIYDZLLFUAYBT-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN CCc1cccc(CN(C)CCc2ccc(C(F)(F)F)cc2)n1 ZINC001235269844 1054694807 /nfs/dbraw/zinc/69/48/07/1054694807.db2.gz HJBFESGZAXKLQJ-UHFFFAOYSA-N 0 3 322.374 4.337 20 0 DIADHN C[C@H](C(=O)N[C@@H](C1CCC1)C1CCCCC1)N1CCCCCC1 ZINC001452185502 1054696881 /nfs/dbraw/zinc/69/68/81/1054696881.db2.gz KQDOWFNUQKDBMQ-VQIMIIECSA-N 0 3 320.521 4.116 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cc(C)cnc2F)CC1 ZINC001235332033 1054699387 /nfs/dbraw/zinc/69/93/87/1054699387.db2.gz NZGYZWKOKPXJMF-UHFFFAOYSA-N 0 3 324.443 4.318 20 0 DIADHN CCCN(C)CC(=O)N(CC(C)C)[C@@H](C)c1ccccc1Cl ZINC001452419320 1054703322 /nfs/dbraw/zinc/70/33/22/1054703322.db2.gz ZGCQLPOTWPQHFG-HNNXBMFYSA-N 0 3 324.896 4.227 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000179803854 1054704277 /nfs/dbraw/zinc/70/42/77/1054704277.db2.gz WIQAALNAGLXRSG-SFHVURJKSA-N 0 3 317.452 4.443 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](CC(C)(C)C)c2ccccc2)c1 ZINC000179802093 1054704464 /nfs/dbraw/zinc/70/44/64/1054704464.db2.gz MGANYBIQLHMDLF-IBGZPJMESA-N 0 3 324.468 4.313 20 0 DIADHN Cc1cc(NC(=O)[C@@H](C(C)C)N2CCCCC2)ccc1Cl ZINC001452590326 1054706626 /nfs/dbraw/zinc/70/66/26/1054706626.db2.gz KUUQAWPVENUPSS-MRXNPFEDSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccccc1)Cc1ccnc(N)c1 ZINC001235351368 1054707999 /nfs/dbraw/zinc/70/79/99/1054707999.db2.gz XSAXOXCBWRAZNI-KRWDZBQOSA-N 0 3 317.436 4.427 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)/C(C)=C/C2CCC(C)CC2)CC1 ZINC001452654114 1054711614 /nfs/dbraw/zinc/71/16/14/1054711614.db2.gz ICRIPTOEXHIGDI-BMRADRMJSA-N 0 3 320.521 4.092 20 0 DIADHN CCOc1cc(O)cc(CN2CCC[C@@H]2c2cccc(C)c2)c1 ZINC001235388103 1054712175 /nfs/dbraw/zinc/71/21/75/1054712175.db2.gz ZZKBTCWLLUDVCD-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN CCOc1cc(O)cc(CN(C)CCc2ccccc2Cl)c1 ZINC001235409632 1054714305 /nfs/dbraw/zinc/71/43/05/1054714305.db2.gz MXQMVHREQLHCHV-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1CC[C@@H](C)C(F)(F)CC1 ZINC001235419134 1054714462 /nfs/dbraw/zinc/71/44/62/1054714462.db2.gz XGWSAICQJWVLPR-CQSZACIVSA-N 0 3 312.404 4.044 20 0 DIADHN CC(C)CC[C@@H](NCc1nnc(-c2ccco2)o1)C(C)(C)C ZINC000179901236 1054714416 /nfs/dbraw/zinc/71/44/16/1054714416.db2.gz KWVRTEUSLYLXJB-CQSZACIVSA-N 0 3 305.422 4.270 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN(C)[C@@H]1CCc2ccccc21 ZINC001235434357 1054717637 /nfs/dbraw/zinc/71/76/37/1054717637.db2.gz OZUDPAGIHVJDQA-LJQANCHMSA-N 0 3 310.441 4.297 20 0 DIADHN COc1cc(CN2CCC[C@@H](c3ccccc3)C2)cc(F)c1F ZINC001235445099 1054722618 /nfs/dbraw/zinc/72/26/18/1054722618.db2.gz HHCQFOIETWUOHB-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4cc(Cl)ccc4[nH]c32)[nH]n1 ZINC000623753690 1054725842 /nfs/dbraw/zinc/72/58/42/1054725842.db2.gz CGPPEKLYUVREJQ-INIZCTEOSA-N 0 3 314.820 4.020 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4cc(Cl)ccc4[nH]c32)n[nH]1 ZINC000623753690 1054725852 /nfs/dbraw/zinc/72/58/52/1054725852.db2.gz CGPPEKLYUVREJQ-INIZCTEOSA-N 0 3 314.820 4.020 20 0 DIADHN COc1cncc(CN2CC[C@H](c3ccccc3Cl)C2)c1C ZINC001235463094 1054729683 /nfs/dbraw/zinc/72/96/83/1054729683.db2.gz ABWLUMXHVGMGPV-AWEZNQCLSA-N 0 3 316.832 4.042 20 0 DIADHN COc1ccc(CN2[C@@H](C)CCC2(C)C)c(Br)c1 ZINC001473544194 1054737380 /nfs/dbraw/zinc/73/73/80/1054737380.db2.gz ODVCPONDNMCGSP-NSHDSACASA-N 0 3 312.251 4.221 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC001473544020 1054737619 /nfs/dbraw/zinc/73/76/19/1054737619.db2.gz LJKCSJNMGFIDCN-LLVKDONJSA-N 0 3 306.356 4.383 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC[C@@H]1CC1CCC1 ZINC001453884721 1054749893 /nfs/dbraw/zinc/74/98/93/1054749893.db2.gz PMGRUJWWRDKEAV-GOSISDBHSA-N 0 3 308.510 4.070 20 0 DIADHN Oc1cccc2c1CCN(Cc1ccccc1-c1ccncc1)C2 ZINC001235613152 1054752933 /nfs/dbraw/zinc/75/29/33/1054752933.db2.gz RRGCKSHNYXVJJL-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2cccn2C(=O)OC(C)(C)C)CC1 ZINC001235635976 1054761124 /nfs/dbraw/zinc/76/11/24/1054761124.db2.gz KOXCKBQKWFDFEH-SHTZXODSSA-N 0 3 306.450 4.282 20 0 DIADHN FC(F)(F)C1(CNCc2cnn(-c3ccccc3)c2)CCCC1 ZINC000623786697 1054761245 /nfs/dbraw/zinc/76/12/45/1054761245.db2.gz DZTKGIQOVFZGOK-UHFFFAOYSA-N 0 3 323.362 4.085 20 0 DIADHN Cc1cccnc1CN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000180558188 1054768998 /nfs/dbraw/zinc/76/89/98/1054768998.db2.gz HXRJZYGDNIHTAS-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H](C)CC(=O)OC(C)(C)C ZINC001473985171 1054776533 /nfs/dbraw/zinc/77/65/33/1054776533.db2.gz GNLZWXOKKDUSOL-GFCCVEGCSA-N 0 3 311.853 4.112 20 0 DIADHN CC(C)(C)n1cc(CN[C@@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000623832143 1054784226 /nfs/dbraw/zinc/78/42/26/1054784226.db2.gz MULJUXRQNJYVQT-QGZVFWFLSA-N 0 3 317.864 4.459 20 0 DIADHN Cc1csc([C@H](C)NC[C@@H](c2cccs2)N2CCCC2)n1 ZINC000623848784 1054786680 /nfs/dbraw/zinc/78/66/80/1054786680.db2.gz KKNPDJMJKUFAJN-KBPBESRZSA-N 0 3 321.515 4.001 20 0 DIADHN Nc1cccnc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001235716099 1054786983 /nfs/dbraw/zinc/78/69/83/1054786983.db2.gz YTFZEIOQKQCFCH-UHFFFAOYSA-N 0 3 317.436 4.197 20 0 DIADHN CCC[C@H](NCc1ccnc(OC)c1)c1cc(C)sc1C ZINC000623859595 1054789437 /nfs/dbraw/zinc/78/94/37/1054789437.db2.gz TXMZDKMBRSTHIU-INIZCTEOSA-N 0 3 304.459 4.400 20 0 DIADHN Fc1cccc(/C=C\CNCc2ccnc(C(F)(F)F)c2)c1 ZINC001474153108 1054789849 /nfs/dbraw/zinc/78/98/49/1054789849.db2.gz VCQFFYILEFDJTF-RQOWECAXSA-N 0 3 310.294 4.043 20 0 DIADHN Fc1ccc(OCCCCN[C@H]2CCCc3scnc32)cc1 ZINC000623861464 1054791958 /nfs/dbraw/zinc/79/19/58/1054791958.db2.gz YUDHNOABAQTOBH-HNNXBMFYSA-N 0 3 320.433 4.108 20 0 DIADHN CCC[C@@H](NCc1ccc(N(C)C)nc1)c1cc(C)sc1C ZINC000623861022 1054792208 /nfs/dbraw/zinc/79/22/08/1054792208.db2.gz MDFGOTIHSUXVGW-QGZVFWFLSA-N 0 3 317.502 4.457 20 0 DIADHN Cc1ccc(OCCCCN[C@H]2CCCc3scnc32)cc1 ZINC000623866175 1054793574 /nfs/dbraw/zinc/79/35/74/1054793574.db2.gz NIVNFTBUTVGSDM-INIZCTEOSA-N 0 3 316.470 4.278 20 0 DIADHN Cc1csc([C@@H](C)NCCCCOc2ccc(C)cc2)n1 ZINC000623865992 1054794879 /nfs/dbraw/zinc/79/48/79/1054794879.db2.gz HSMHHBANARFDMB-OAHLLOKOSA-N 0 3 304.459 4.270 20 0 DIADHN c1cn(CCCN[C@H]2CCCc3scnc32)c2ccccc12 ZINC000623851072 1054795051 /nfs/dbraw/zinc/79/50/51/1054795051.db2.gz ZZNWMSUGMMQBRF-HNNXBMFYSA-N 0 3 311.454 4.155 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](CC)c2cc(C)ccc2C)c1 ZINC000623876379 1054797695 /nfs/dbraw/zinc/79/76/95/1054797695.db2.gz RYQIDXXEEILJGG-FQEVSTJZSA-N 0 3 324.468 4.294 20 0 DIADHN CC(C)(CN[C@@H]1CCCc2scnc21)c1ccccc1F ZINC000623882206 1054801570 /nfs/dbraw/zinc/80/15/70/1054801570.db2.gz NJODGFCSEYQWAA-CQSZACIVSA-N 0 3 304.434 4.227 20 0 DIADHN CC(C)(CN[C@@H]1CCCc2scnc21)c1cccc(F)c1 ZINC000623894981 1054805169 /nfs/dbraw/zinc/80/51/69/1054805169.db2.gz HFRCVVSGHLSQRV-CQSZACIVSA-N 0 3 304.434 4.227 20 0 DIADHN CCOc1cc(CN2CC[C@@H](c3ccccc3F)C2)ccc1F ZINC001235802301 1054806229 /nfs/dbraw/zinc/80/62/29/1054806229.db2.gz VSYUOYUHPATRSS-OAHLLOKOSA-N 0 3 317.379 4.353 20 0 DIADHN Clc1ccc(CN2CCN[C@H](c3ccc(Cl)cc3)C2)cc1 ZINC001235790827 1054806469 /nfs/dbraw/zinc/80/64/69/1054806469.db2.gz RKNBTZGXZBNUOU-KRWDZBQOSA-N 0 3 321.251 4.140 20 0 DIADHN Cc1cccc2c(CCN[C@H]3CCCc4scnc43)c[nH]c21 ZINC000623898219 1054807196 /nfs/dbraw/zinc/80/71/96/1054807196.db2.gz CJNXBFQGLBWNIW-HNNXBMFYSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1cc2ccccc2nc1NC[C@@H](c1ccsc1)N(C)C ZINC000532269309 1054810952 /nfs/dbraw/zinc/81/09/52/1054810952.db2.gz JIARGLHDULIOOZ-KRWDZBQOSA-N 0 3 311.454 4.320 20 0 DIADHN c1ccc([C@H]2CSCCN2Cc2ccc3c(c2)NCCC3)cc1 ZINC001235813827 1054812290 /nfs/dbraw/zinc/81/22/90/1054812290.db2.gz ZOYLSQCZPILHJE-HXUWFJFHSA-N 0 3 324.493 4.335 20 0 DIADHN Cc1csc([C@@H](C)NCC2(Cc3ccc(F)cc3)CC2)n1 ZINC000623908230 1054814447 /nfs/dbraw/zinc/81/44/47/1054814447.db2.gz TXKZEDMAXUNECY-CYBMUJFWSA-N 0 3 304.434 4.264 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623905052 1054814317 /nfs/dbraw/zinc/81/43/17/1054814317.db2.gz QEMYCJMPZKGOQO-RDTXWAMCSA-N 0 3 315.486 4.023 20 0 DIADHN COc1ccc(C(C)(C)CN[C@H]2CCCc3scnc32)cc1 ZINC000623911344 1054814532 /nfs/dbraw/zinc/81/45/32/1054814532.db2.gz YDBHZUDXLYRKJG-HNNXBMFYSA-N 0 3 316.470 4.097 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623905050 1054814799 /nfs/dbraw/zinc/81/47/99/1054814799.db2.gz QEMYCJMPZKGOQO-KDOFPFPSSA-N 0 3 315.486 4.023 20 0 DIADHN COc1ccc(CCN[C@@H]2CCCc3scnc32)c(Cl)c1 ZINC000623905918 1054815401 /nfs/dbraw/zinc/81/54/01/1054815401.db2.gz LOQOKKLACQYNEI-CQSZACIVSA-N 0 3 322.861 4.015 20 0 DIADHN CNc1ccc(CN(C)Cc2ccccc2C(F)(F)F)cc1 ZINC001235839740 1054818106 /nfs/dbraw/zinc/81/81/06/1054818106.db2.gz WTDVSGSKALQDAW-UHFFFAOYSA-N 0 3 308.347 4.379 20 0 DIADHN CN(Cc1cccc2c1CCN2)Cc1ccccc1C(F)(F)F ZINC001235839788 1054820260 /nfs/dbraw/zinc/82/02/60/1054820260.db2.gz YRJWPYJFLULRPW-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3sc(C)nc3c2)c1 ZINC001235864601 1054825959 /nfs/dbraw/zinc/82/59/59/1054825959.db2.gz FLGJLKJGLWPUIL-UHFFFAOYSA-N 0 3 312.438 4.245 20 0 DIADHN CCC[C@H](NCc1cccc(N(C)C)n1)c1cc(C)sc1C ZINC000623925200 1054827592 /nfs/dbraw/zinc/82/75/92/1054827592.db2.gz ZXXKOXMQAFVMRL-KRWDZBQOSA-N 0 3 317.502 4.457 20 0 DIADHN C[C@@]1(Br)C[C@@H]1CNC1(c2ccccc2Cl)CC1 ZINC001474594974 1054835245 /nfs/dbraw/zinc/83/52/45/1054835245.db2.gz ODFYFVDQJMNAKH-ZWNOBZJWSA-N 0 3 314.654 4.092 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2nc(C)cs2)CCC1 ZINC000623960178 1054837323 /nfs/dbraw/zinc/83/73/23/1054837323.db2.gz NGMSUHPIWYWRLR-AWEZNQCLSA-N 0 3 316.470 4.233 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)n1 ZINC000623959003 1054837852 /nfs/dbraw/zinc/83/78/52/1054837852.db2.gz DAVGKMCQSDRCFZ-VBNZEHGJSA-N 0 3 320.433 4.162 20 0 DIADHN Cc1ccc(CN(Cc2ccc3c(c2)CCCO3)CC2CC2)cn1 ZINC001474596599 1054841682 /nfs/dbraw/zinc/84/16/82/1054841682.db2.gz KSWPNZIRZBXBAW-UHFFFAOYSA-N 0 3 322.452 4.127 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCc2cc(F)c(Cl)cc21)c1ccccc1 ZINC000624016907 1054857577 /nfs/dbraw/zinc/85/75/77/1054857577.db2.gz KBOXRSJZODVREY-NBHSMZAVSA-N 0 3 319.807 4.178 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1-c1cc(CN(C)C)ccn1 ZINC001235944869 1054863452 /nfs/dbraw/zinc/86/34/52/1054863452.db2.gz NKAYWROEEWYVNO-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN FC(F)(F)[C@@H](CN[C@@H](CC1CC1)c1ccccc1)n1cccn1 ZINC000624042732 1054865861 /nfs/dbraw/zinc/86/58/61/1054865861.db2.gz ABIUDDCGVWNJEI-JKSUJKDBSA-N 0 3 323.362 4.118 20 0 DIADHN FC(F)(F)[C@H](CN[C@@H](CC1CC1)c1ccccc1)n1cccn1 ZINC000624042730 1054866063 /nfs/dbraw/zinc/86/60/63/1054866063.db2.gz ABIUDDCGVWNJEI-HOTGVXAUSA-N 0 3 323.362 4.118 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2c(c1)NCCC2 ZINC001235962291 1054872090 /nfs/dbraw/zinc/87/20/90/1054872090.db2.gz LTCKTVKMOCCJOE-HNNXBMFYSA-N 0 3 310.441 4.246 20 0 DIADHN CN(CCCCCO)Cc1cc(F)ccc1Oc1ccccc1 ZINC001235961393 1054872405 /nfs/dbraw/zinc/87/24/05/1054872405.db2.gz AQOQODMEWSHXIH-UHFFFAOYSA-N 0 3 317.404 4.212 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2[nH]ccc2n1 ZINC001236003160 1054888454 /nfs/dbraw/zinc/88/84/54/1054888454.db2.gz SQQFQILNJZEAGJ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1ccc(-c2cccc(CN3CCCCC3)c2F)nc1F ZINC001236001805 1054889577 /nfs/dbraw/zinc/88/95/77/1054889577.db2.gz DNBOTIRJUCSHBJ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COc1cc(C)cnc1-c1cccc(CN2CCCCC2)c1F ZINC001236006341 1054890726 /nfs/dbraw/zinc/89/07/26/1054890726.db2.gz YFQSNAVWXRABOX-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccnc(C2CC2)n1 ZINC001236003707 1054891035 /nfs/dbraw/zinc/89/10/35/1054891035.db2.gz FHFMDIDBLTYUPH-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN CC(C)c1nccc(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236005393 1054891631 /nfs/dbraw/zinc/89/16/31/1054891631.db2.gz PZMMIHPHHSCGEA-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cncc2cccnc21 ZINC001236011469 1054894943 /nfs/dbraw/zinc/89/49/43/1054894943.db2.gz GPFIHEFWCHEOPO-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1ccc(-c2cccc(CN3CCCCC3)c2F)c(F)n1 ZINC001236012657 1054896889 /nfs/dbraw/zinc/89/68/89/1054896889.db2.gz QYMZDVYTPNCDSO-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN COc1c(F)nccc1-c1cccc(CN2CCCCC2)c1F ZINC001236012232 1054898146 /nfs/dbraw/zinc/89/81/46/1054898146.db2.gz PFLDUTFDZHRJQZ-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2ncncc2c1 ZINC001236012983 1054898295 /nfs/dbraw/zinc/89/82/95/1054898295.db2.gz WNBLTYLLRKMBCS-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1ccc(F)nc1-c1cccc(CN2CCCCC2)c1F ZINC001236017014 1054900537 /nfs/dbraw/zinc/90/05/37/1054900537.db2.gz KJUMNDDOJYELJV-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1cc(-c2cccc(CN3CCCCC3)c2F)cc(F)n1 ZINC001236016840 1054900925 /nfs/dbraw/zinc/90/09/25/1054900925.db2.gz WMCOAHDLAVETRT-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC(C)COc1ccc2cc(-c3ccnc(CN)c3)ccc2c1 ZINC001236031420 1054903709 /nfs/dbraw/zinc/90/37/09/1054903709.db2.gz MXIKGEYYHMZWAN-UHFFFAOYSA-N 0 3 306.409 4.395 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H]2CCOc3ccccc32)cs1 ZINC000181346341 1054910630 /nfs/dbraw/zinc/91/06/30/1054910630.db2.gz DCUXEHKBHMWPMX-ZIAGYGMSSA-N 0 3 316.470 4.483 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H]2CCOc3ccccc32)cs1 ZINC000181346298 1054910769 /nfs/dbraw/zinc/91/07/69/1054910769.db2.gz DCUXEHKBHMWPMX-KGLIPLIRSA-N 0 3 316.470 4.483 20 0 DIADHN c1cc(-c2ccc(C3=NCCC3)cc2)n(Cc2ccccc2)n1 ZINC001236047674 1054911896 /nfs/dbraw/zinc/91/18/96/1054911896.db2.gz HDLHNJVTKMLFBF-UHFFFAOYSA-N 0 3 301.393 4.181 20 0 DIADHN CCC[C@@H](NCc1cnn(C)c1C(F)(F)F)c1ccccc1 ZINC000181786734 1054936361 /nfs/dbraw/zinc/93/63/61/1054936361.db2.gz WRBDTVRDHIQCKH-CQSZACIVSA-N 0 3 311.351 4.070 20 0 DIADHN CCC[C@@H](NCc1ccc(C(=O)OC)s1)c1ccccc1 ZINC000181779651 1054937104 /nfs/dbraw/zinc/93/71/04/1054937104.db2.gz XSCKBUOVAHQCOY-OAHLLOKOSA-N 0 3 303.427 4.166 20 0 DIADHN CN(C)Cc1cccc(-c2cc(C(F)(F)F)ccc2Cl)n1 ZINC001236101215 1054946495 /nfs/dbraw/zinc/94/64/95/1054946495.db2.gz NDBGCOGCCPYBMB-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN O=C(CCN1CCC[C@@H]1c1cccs1)c1ccc(F)cc1 ZINC000181940384 1054949334 /nfs/dbraw/zinc/94/93/34/1054949334.db2.gz KQOGLPWWQQOCGR-OAHLLOKOSA-N 0 3 303.402 4.297 20 0 DIADHN CC(C)[C@H](NC[C@H](C)Cc1ccccc1)c1nc(C2CC2)no1 ZINC000182076140 1054958386 /nfs/dbraw/zinc/95/83/86/1054958386.db2.gz CBDBTKJWKJPISL-PBHICJAKSA-N 0 3 313.445 4.113 20 0 DIADHN CC[C@H](N[C@H]1CCCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000624220188 1054967732 /nfs/dbraw/zinc/96/77/32/1054967732.db2.gz MFSKRUCIBONAPI-IRXDYDNUSA-N 0 3 303.837 4.192 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC000624220302 1054973812 /nfs/dbraw/zinc/97/38/12/1054973812.db2.gz PNYWRLNBJYTQHF-SGTLLEGYSA-N 0 3 315.848 4.475 20 0 DIADHN C[C@H](N[C@@H]1CO[C@@H](C2CC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000624222882 1054976252 /nfs/dbraw/zinc/97/62/52/1054976252.db2.gz IRAOWJTWXFITFJ-DKICVRJWSA-N 0 3 324.424 4.092 20 0 DIADHN CC[C@H](NCc1cc(-c2ccc(Cl)cc2)no1)[C@@H]1CCCO1 ZINC000182310174 1054981154 /nfs/dbraw/zinc/98/11/54/1054981154.db2.gz HGSDDLWTFYDUPB-RDJZCZTQSA-N 0 3 320.820 4.042 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(F)cc2Cl)cc1 ZINC000182339452 1054983358 /nfs/dbraw/zinc/98/33/58/1054983358.db2.gz OJOCAZXZLJLQIY-LBPRGKRZSA-N 0 3 320.795 4.077 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2c[nH]c3ccccc32)cn1 ZINC001236189201 1054984220 /nfs/dbraw/zinc/98/42/20/1054984220.db2.gz BDEJQEBZDQLXOZ-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN CC[C@H](NCCOc1cc(C)ccc1C)c1c(C)noc1C ZINC000182417342 1054984840 /nfs/dbraw/zinc/98/48/40/1054984840.db2.gz WHOXXSRATRLSJN-INIZCTEOSA-N 0 3 302.418 4.028 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3ccccc3c2)c1N ZINC001236184929 1054985362 /nfs/dbraw/zinc/98/53/62/1054985362.db2.gz XRHNIAPIGVWDJT-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN COc1ncc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)cc1C ZINC001236192214 1054989913 /nfs/dbraw/zinc/98/99/13/1054989913.db2.gz OMHYYOWGSSTEQM-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN c1[nH]c2ccccc2c1[C@H]1CCCN1Cc1cccc2c1OCO2 ZINC001236191582 1054991572 /nfs/dbraw/zinc/99/15/72/1054991572.db2.gz MTSGSYUAKMGZHV-GOSISDBHSA-N 0 3 320.392 4.234 20 0 DIADHN COc1cc(O)ccc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236194853 1054993043 /nfs/dbraw/zinc/99/30/43/1054993043.db2.gz WWCYKGZEIFPIEN-IBGZPJMESA-N 0 3 322.408 4.219 20 0 DIADHN COCc1ccc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)o1 ZINC001236193034 1054993158 /nfs/dbraw/zinc/99/31/58/1054993158.db2.gz RXTSXDUSONDINU-LJQANCHMSA-N 0 3 310.397 4.244 20 0 DIADHN c1csc(C2(NCCCOCc3ccccc3)CCCC2)n1 ZINC000182534108 1054996210 /nfs/dbraw/zinc/99/62/10/1054996210.db2.gz IZTDQTCBKRUCNS-UHFFFAOYSA-N 0 3 316.470 4.109 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182736172 1055005271 /nfs/dbraw/zinc/00/52/71/1055005271.db2.gz SVMXGJCJWMFWFH-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN O=c1[nH]cccc1CN[C@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000624258211 1055005889 /nfs/dbraw/zinc/00/58/89/1055005889.db2.gz CTXRZMYWSJOKCY-HNNXBMFYSA-N 0 3 322.330 4.047 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CCCC1)c1nccn1C ZINC000182931737 1055018208 /nfs/dbraw/zinc/01/82/08/1055018208.db2.gz PGDGAYSDBYNYJU-OAHLLOKOSA-N 0 3 315.486 4.176 20 0 DIADHN CC(C)c1cc(-c2ccc(CN3CCCCC3)c(F)c2)ncn1 ZINC001236230461 1055019241 /nfs/dbraw/zinc/01/92/41/1055019241.db2.gz BEPSVOQGQUMJQB-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Cc1c[nH]c2ncnc(-c3ccc(CN4CCCCC4)c(F)c3)c12 ZINC001236232732 1055020709 /nfs/dbraw/zinc/02/07/09/1055020709.db2.gz JYTOOGJFXSTGBB-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN Fc1cc(-c2cc3[nH]ccc3cn2)ccc1CN1CCCCC1 ZINC001236233145 1055023630 /nfs/dbraw/zinc/02/36/30/1055023630.db2.gz LKRVNQUNGJTUNY-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN COc1ccc2sc(CN3CCc4ccc(N)cc4C3)cc2c1 ZINC001236234846 1055023867 /nfs/dbraw/zinc/02/38/67/1055023867.db2.gz SSBSBJUBVGVIRO-UHFFFAOYSA-N 0 3 324.449 4.050 20 0 DIADHN Fc1cc(-c2nccc3ncccc32)ccc1CN1CCCCC1 ZINC001236235177 1055025634 /nfs/dbraw/zinc/02/56/34/1055025634.db2.gz XEHRFOSRAFTUER-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3c(c1)CCCN3)CCS2 ZINC001236238472 1055026058 /nfs/dbraw/zinc/02/60/58/1055026058.db2.gz ARJKDVNBIDVXFK-UHFFFAOYSA-N 0 3 310.466 4.153 20 0 DIADHN Cc1nc(C)c(F)c(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236245518 1055030277 /nfs/dbraw/zinc/03/02/77/1055030277.db2.gz UBCPIGNWFVKHIQ-UHFFFAOYSA-N 0 3 317.383 4.025 20 0 DIADHN Fc1cc(-c2cccc3cncnc32)ccc1CN1CCCCC1 ZINC001236249198 1055031063 /nfs/dbraw/zinc/03/10/63/1055031063.db2.gz PSXWBDNWRMPEON-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cnc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236248185 1055032139 /nfs/dbraw/zinc/03/21/39/1055032139.db2.gz GDEYSQXXJHPVDB-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1cnc(C)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236250448 1055033523 /nfs/dbraw/zinc/03/35/23/1055033523.db2.gz GVOBVXRGORFBDG-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2cccc3c2NCC3)ccc1CN1CCCCC1 ZINC001236249890 1055033654 /nfs/dbraw/zinc/03/36/54/1055033654.db2.gz DHOQUNUHGVJJKY-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Cc1cc(F)nc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236249560 1055033848 /nfs/dbraw/zinc/03/38/48/1055033848.db2.gz VCULPXLIQLZPNT-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1cc(-c2cccc3cnncc32)ccc1CN1CCCCC1 ZINC001236250645 1055033891 /nfs/dbraw/zinc/03/38/91/1055033891.db2.gz ISKFQKCXYVXQOT-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cc(-c2cnc3ncccc3c2)ccc1CN1CCCCC1 ZINC001236249670 1055034346 /nfs/dbraw/zinc/03/43/46/1055034346.db2.gz AFCRYCUIRNBKED-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1nccc(C)c1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236252238 1055034891 /nfs/dbraw/zinc/03/48/91/1055034891.db2.gz ZBTOPXXMXHNNFK-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2ccnc3ncccc23)ccc1CN1CCCCC1 ZINC001236251597 1055035592 /nfs/dbraw/zinc/03/55/92/1055035592.db2.gz SANYFTQYMYVQFX-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CN(Cc1ccc(C2=CC[C@@H](C(F)(F)F)CC2)nc1)C1CC1 ZINC001236252272 1055035952 /nfs/dbraw/zinc/03/59/52/1055035952.db2.gz OXKTXZDODFALOO-CQSZACIVSA-N 0 3 310.363 4.422 20 0 DIADHN Cc1nc2[nH]ccc2nc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236251586 1055036375 /nfs/dbraw/zinc/03/63/75/1055036375.db2.gz ROTZSZIVBDSWHH-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Fc1ccc([C@H](NCCc2cccs2)c2ccccc2)nc1 ZINC000183298364 1055040413 /nfs/dbraw/zinc/04/04/13/1055040413.db2.gz PEWJBZOBVKZJDC-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN c1coc([C@@H](NCCCCNc2ccccn2)c2ccccc2)c1 ZINC000183547813 1055048980 /nfs/dbraw/zinc/04/89/80/1055048980.db2.gz SOWNAYDTGNVJAJ-FQEVSTJZSA-N 0 3 321.424 4.246 20 0 DIADHN C[C@H](N[C@@H]1C=C[C@H](CO)C1)c1cc2c(ccc3ccccc23)o1 ZINC000183584493 1055049226 /nfs/dbraw/zinc/04/92/26/1055049226.db2.gz OSYUIZUZYYEASO-OFQRWUPVSA-N 0 3 307.393 4.174 20 0 DIADHN OCCC1(CN[C@H](c2ccco2)c2ccccc2)CCCCC1 ZINC000183705299 1055054043 /nfs/dbraw/zinc/05/40/43/1055054043.db2.gz LDVIGFMLMSPTCX-IBGZPJMESA-N 0 3 313.441 4.292 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)c1cccc(C)c1C ZINC000183737350 1055056853 /nfs/dbraw/zinc/05/68/53/1055056853.db2.gz PMGFYQNVBNPEAD-KRWDZBQOSA-N 0 3 324.468 4.464 20 0 DIADHN Cc1cnc(C(C)(C)NCCCOc2ccccc2C)s1 ZINC000183918574 1055061239 /nfs/dbraw/zinc/06/12/39/1055061239.db2.gz GTBNLRKWRNILCU-UHFFFAOYSA-N 0 3 304.459 4.054 20 0 DIADHN COc1ccc(C2=CCSCC2)cc1CN1CCCCC1 ZINC001236370450 1055077859 /nfs/dbraw/zinc/07/78/59/1055077859.db2.gz HPVLVNCHPBZOSC-UHFFFAOYSA-N 0 3 303.471 4.201 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1cccc(C(F)(F)F)c1 ZINC000367617456 1055079274 /nfs/dbraw/zinc/07/92/74/1055079274.db2.gz NITDJDBGZBXJOD-QMTHXVAHSA-N 0 3 309.335 4.157 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1nc(-c2cccnc2)no1)C1CCC1 ZINC000184889624 1055083249 /nfs/dbraw/zinc/08/32/49/1055083249.db2.gz XJJDNSUQBQSQJU-XJKSGUPXSA-N 0 3 314.433 4.141 20 0 DIADHN C[C@H](NCc1nnc(C2CC2)n1C1CC1)[C@@H](C)C1CCCCC1 ZINC000185512231 1055093027 /nfs/dbraw/zinc/09/30/27/1055093027.db2.gz WTVWHTCSHUVFMR-KGLIPLIRSA-N 0 3 316.493 4.185 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)o1)[C@H](C)C1CCCCC1 ZINC000185518790 1055094025 /nfs/dbraw/zinc/09/40/25/1055094025.db2.gz AJCCIMYOTBYISP-LSDHHAIUSA-N 0 3 313.445 4.431 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000194374471 1055122744 /nfs/dbraw/zinc/12/27/44/1055122744.db2.gz UDCPDGNXBZSEKL-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN CCCc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ncn1 ZINC001236470851 1055128902 /nfs/dbraw/zinc/12/89/02/1055128902.db2.gz MBMNMPXJVQNYCR-UXHICEINSA-N 0 3 319.452 4.249 20 0 DIADHN CCc1nc(C)cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236470351 1055129995 /nfs/dbraw/zinc/12/99/95/1055129995.db2.gz DOQVOIVGIRQYKI-MOPGFXCFSA-N 0 3 319.452 4.168 20 0 DIADHN CSc1cncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236471272 1055131161 /nfs/dbraw/zinc/13/11/61/1055131161.db2.gz QLINIDXMNZWEGU-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN CSc1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1 ZINC001236471689 1055131220 /nfs/dbraw/zinc/13/12/20/1055131220.db2.gz IKVLNQPMRKWAFS-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN COc1cnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(C)c1 ZINC001236471395 1055131258 /nfs/dbraw/zinc/13/12/58/1055131258.db2.gz CJYPTKUHVDCSCA-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN CC(C)c1ccnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236472017 1055132397 /nfs/dbraw/zinc/13/23/97/1055132397.db2.gz SGXJGTDIRKZJAZ-MOPGFXCFSA-N 0 3 319.452 4.420 20 0 DIADHN Brc1sccc1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000381659182 1055175647 /nfs/dbraw/zinc/17/56/47/1055175647.db2.gz UJXBBEYHUNXHQF-VXGBXAGGSA-N 0 3 300.265 4.179 20 0 DIADHN CC[C@H](NCc1ccc(NC(C)=O)cc1)c1ccc(F)cc1 ZINC000195038852 1055143057 /nfs/dbraw/zinc/14/30/57/1055143057.db2.gz QHSXODDPACWDHS-SFHVURJKSA-N 0 3 300.377 4.025 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc(OC)c(O)c2)cc1 ZINC000195039345 1055143235 /nfs/dbraw/zinc/14/32/35/1055143235.db2.gz JGWVPXBOCBUAHD-CQSZACIVSA-N 0 3 315.413 4.040 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](C)c2csc(C)c2)c1 ZINC000381780613 1055182237 /nfs/dbraw/zinc/18/22/37/1055182237.db2.gz RBBAINXHMDABSS-STQMWFEESA-N 0 3 302.443 4.427 20 0 DIADHN CC(C)Oc1cc(-c2cccc(CN3CCCC3)c2F)ccn1 ZINC001236569632 1055189664 /nfs/dbraw/zinc/18/96/64/1055189664.db2.gz ISCHSRBRSKJFKN-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN Cc1nc(C)c(CN(Cc2ccccc2)C2CCSCC2)o1 ZINC001236598355 1055201073 /nfs/dbraw/zinc/20/10/73/1055201073.db2.gz WRBNOWAQPKJZRZ-UHFFFAOYSA-N 0 3 316.470 4.189 20 0 DIADHN Cc1nc(C)c(CN2CCC(n3ccc4ccccc43)CC2)o1 ZINC001236595146 1055201205 /nfs/dbraw/zinc/20/12/05/1055201205.db2.gz NHVHLCGCDULUHP-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN COC(=O)c1cccc(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)c1Cl ZINC000382288496 1055207322 /nfs/dbraw/zinc/20/73/22/1055207322.db2.gz ZZLXFYOIQJAECP-CABCVRRESA-N 0 3 321.848 4.137 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H](c3ccccc3)C2)n1 ZINC001236639730 1055208672 /nfs/dbraw/zinc/20/86/72/1055208672.db2.gz RSIOWRJDTLCPCQ-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN CCCOC1CCN(Cc2cc3ccccc3cc2OC)CC1 ZINC001236675669 1055226195 /nfs/dbraw/zinc/22/61/95/1055226195.db2.gz KQXPJKSYRWNSOO-UHFFFAOYSA-N 0 3 313.441 4.239 20 0 DIADHN Cc1ccc(SCCN[C@H](C)c2cccnc2Cl)cc1 ZINC000764635792 1055226585 /nfs/dbraw/zinc/22/65/85/1055226585.db2.gz PVKBESSGPCSHDC-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2cccc3occc32)C1 ZINC001236735237 1055242720 /nfs/dbraw/zinc/24/27/20/1055242720.db2.gz OKLBKVYNIGKMMX-AWEZNQCLSA-N 0 3 301.283 4.157 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@H](O)c1ccc(Cl)cc1 ZINC000764747494 1055242829 /nfs/dbraw/zinc/24/28/29/1055242829.db2.gz IIEBGPKWYHSDEG-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccco1)c1ccc(Oc2ccccc2)cc1 ZINC000764768472 1055248147 /nfs/dbraw/zinc/24/81/47/1055248147.db2.gz JYJUJSDJJUFUGD-BEFAXECRSA-N 0 3 323.392 4.456 20 0 DIADHN Cc1cccnc1OC1CCN(Cc2cccc3occc32)CC1 ZINC001236747271 1055252797 /nfs/dbraw/zinc/25/27/97/1055252797.db2.gz UUDUHOOZHJXGBU-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001537269669 1055269916 /nfs/dbraw/zinc/26/99/16/1055269916.db2.gz CMBNPCGPWAVCSX-AEFFLSMTSA-N 0 3 316.489 4.112 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2cccc(C)c2Cl)C[C@H]1C ZINC001236856554 1055292494 /nfs/dbraw/zinc/29/24/94/1055292494.db2.gz RFZLNNIOMCOBHC-HUUCEWRRSA-N 0 3 323.864 4.060 20 0 DIADHN CC(C)N(Cc1n[nH]c2cc(N)ccc21)[C@@H](C)c1ccccc1 ZINC001236859784 1055294388 /nfs/dbraw/zinc/29/43/88/1055294388.db2.gz MTCGQRSYBMDDRR-AWEZNQCLSA-N 0 3 308.429 4.117 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cccc(C)c1Cl ZINC001236859495 1055295784 /nfs/dbraw/zinc/29/57/84/1055295784.db2.gz VDLKEBIMPDXKCG-UHFFFAOYSA-N 0 3 302.849 4.498 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1nc2ccccc2cc1Cl ZINC001236872769 1055299489 /nfs/dbraw/zinc/29/94/89/1055299489.db2.gz BIBQLUADRGBLLO-HNNXBMFYSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@](C)(O)c2ccccc2Cl)o1 ZINC000764980659 1055303280 /nfs/dbraw/zinc/30/32/80/1055303280.db2.gz PAXSSUKNFPIQDR-DFHBCGBQSA-N 0 3 319.832 4.054 20 0 DIADHN CCN(CCN[C@H](C)c1cccnc1Cl)c1ccccc1C ZINC000764964507 1055307967 /nfs/dbraw/zinc/30/79/67/1055307967.db2.gz QWOSKNYTOOJCJV-OAHLLOKOSA-N 0 3 317.864 4.221 20 0 DIADHN Cc1cc([C@H]2CCCN2c2c(C)cnc3c(C)cccc32)no1 ZINC000765003009 1055310784 /nfs/dbraw/zinc/31/07/84/1055310784.db2.gz ZDIBKLJIRISSQH-QGZVFWFLSA-N 0 3 307.397 4.490 20 0 DIADHN Clc1cc(-n2ccnc2)ccc1CN1CCC12CCCC2 ZINC001236916076 1055314670 /nfs/dbraw/zinc/31/46/70/1055314670.db2.gz JCISQDXLSLTDSU-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)[C@@H](C)C(C)(C)C ZINC001587517769 1055316505 /nfs/dbraw/zinc/31/65/05/1055316505.db2.gz SAGVFAIAVGDBAW-LSDHHAIUSA-N 0 3 304.478 4.313 20 0 DIADHN CCN(C)Cc1ccc(-c2ccc(OC(F)(F)F)cc2)nc1 ZINC001236975954 1055322453 /nfs/dbraw/zinc/32/24/53/1055322453.db2.gz FZMWPGJENUFXEI-UHFFFAOYSA-N 0 3 310.319 4.099 20 0 DIADHN CCCO[C@@H]1CCN(Cc2ccc(-c3cccc(C)c3C)nc2)C1 ZINC001236986358 1055323425 /nfs/dbraw/zinc/32/34/25/1055323425.db2.gz VHIQVGRYLKSSEA-LJQANCHMSA-N 0 3 324.468 4.366 20 0 DIADHN Cc1cccc(-c2ccc(CN3CC[C@H](OC(C)C)C3)cn2)c1C ZINC001236989582 1055325117 /nfs/dbraw/zinc/32/51/17/1055325117.db2.gz PDCASEXGWXQJDU-IBGZPJMESA-N 0 3 324.468 4.365 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc(OC(C)C)c1)CC2 ZINC000765094439 1055327931 /nfs/dbraw/zinc/32/79/31/1055327931.db2.gz GHDLVGABAXXWEU-FQEVSTJZSA-N 0 3 311.425 4.260 20 0 DIADHN Cc1cccc(-c2ccc(CN3CCCOC(C)(C)C3)cn2)c1C ZINC001236991917 1055328017 /nfs/dbraw/zinc/32/80/17/1055328017.db2.gz GQSKYRVAMROBIZ-UHFFFAOYSA-N 0 3 324.468 4.366 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@H]4CCCO[C@H]4C3)c2)cc1 ZINC001236992025 1055328343 /nfs/dbraw/zinc/32/83/43/1055328343.db2.gz SMPZSOQIUSQYGY-FPOVZHCZSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@]4(C3)CCCCO4)c2)cc1 ZINC001236990390 1055328823 /nfs/dbraw/zinc/32/88/23/1055328823.db2.gz FNESCAPGYUKVOS-NRFANRHFSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@H]4OCCC[C@H]4C3)c2)cc1 ZINC001236991267 1055330660 /nfs/dbraw/zinc/33/06/60/1055330660.db2.gz MBMRAIOLNVPJCM-PZJWPPBQSA-N 0 3 322.452 4.058 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(-c3ccccc3)c2)cc1 ZINC000325429298 1055335396 /nfs/dbraw/zinc/33/53/96/1055335396.db2.gz ZBUSUBFJTJRSFH-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN COC1CCN(Cc2ccc(-c3ccccc3Cl)nc2)CC1 ZINC001237014074 1055340662 /nfs/dbraw/zinc/34/06/62/1055340662.db2.gz WKQYZKKHEADJNK-UHFFFAOYSA-N 0 3 316.832 4.013 20 0 DIADHN C[C@H](C(=O)Nc1ccc2sccc2c1)N1[C@H](C)CC[C@@H]1C ZINC001587649537 1055341780 /nfs/dbraw/zinc/34/17/80/1055341780.db2.gz KRATVSIKJGCPEA-FRRDWIJNSA-N 0 3 302.443 4.101 20 0 DIADHN C[C@H]1CCN1Cc1cccc(-c2ccc(OC(F)(F)F)cc2)n1 ZINC001237025007 1055342493 /nfs/dbraw/zinc/34/24/93/1055342493.db2.gz ZKRKHQFCZFJCCP-LBPRGKRZSA-N 0 3 322.330 4.241 20 0 DIADHN FCC1CCN(Cc2ccc(-c3ccc(F)cc3F)nc2)CC1 ZINC001237018697 1055344127 /nfs/dbraw/zinc/34/41/27/1055344127.db2.gz XSZWWQYHWAHPBQ-UHFFFAOYSA-N 0 3 320.358 4.208 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CCC(OC2CCC2)CC1 ZINC001237022658 1055344362 /nfs/dbraw/zinc/34/43/62/1055344362.db2.gz KHPXXOOLVIZLKC-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2C3CCC2CC3)cc1F ZINC001237036573 1055345857 /nfs/dbraw/zinc/34/58/57/1055345857.db2.gz NSOPGOUOUGZZIL-UHFFFAOYSA-N 0 3 320.408 4.300 20 0 DIADHN COc1ccc(CNCc2ccccc2C(F)(F)F)c(C)c1 ZINC000765260319 1055347383 /nfs/dbraw/zinc/34/73/83/1055347383.db2.gz JQNJZPKTJDNYAP-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN CC(C)C[C@@H](NC[C@H](O)c1cccc(Cl)c1)c1ccccn1 ZINC000765258465 1055347935 /nfs/dbraw/zinc/34/79/35/1055347935.db2.gz LWNRUWIVVBSDTL-MSOLQXFVSA-N 0 3 318.848 4.145 20 0 DIADHN C[C@@H](NC[C@H](O)c1cccc(Cl)c1)c1cc2ccccc2o1 ZINC000765263183 1055348850 /nfs/dbraw/zinc/34/88/50/1055348850.db2.gz PERROIPFWOXFQB-WBMJQRKESA-N 0 3 315.800 4.470 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000765270910 1055352276 /nfs/dbraw/zinc/35/22/76/1055352276.db2.gz MRDSVLFJYBYNEP-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN Oc1cc2ccccc2c(CN2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001237066431 1055359325 /nfs/dbraw/zinc/35/93/25/1055359325.db2.gz TUVFOCICAMPOAI-MRXNPFEDSA-N 0 3 309.331 4.115 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000765358624 1055369405 /nfs/dbraw/zinc/36/94/05/1055369405.db2.gz JSEAYMYAGSZYOA-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN OCc1ccc2c(c1)CCN(Cc1ccc(C3CCC3)cc1)C2 ZINC001237104170 1055370550 /nfs/dbraw/zinc/37/05/50/1055370550.db2.gz CXFBVHDQEIXESI-UHFFFAOYSA-N 0 3 307.437 4.005 20 0 DIADHN COc1cccc2c(CN3CCC(F)(F)C(C)(C)C3)ccnc12 ZINC001237105249 1055372891 /nfs/dbraw/zinc/37/28/91/1055372891.db2.gz DLARNTDVFFDMIU-UHFFFAOYSA-N 0 3 320.383 4.111 20 0 DIADHN COc1cccc2c(CN3CC[C@H]4CCCC[C@H]4C3)ccnc12 ZINC001237117579 1055375008 /nfs/dbraw/zinc/37/50/08/1055375008.db2.gz RYDDAMGLUNVNQR-CVEARBPZSA-N 0 3 310.441 4.256 20 0 DIADHN Clc1cccc2c(CN3CC[C@]4(C3)CCCCO4)ccnc12 ZINC001237149473 1055384762 /nfs/dbraw/zinc/38/47/62/1055384762.db2.gz HYGCTVAJWPUTAT-SFHVURJKSA-N 0 3 316.832 4.033 20 0 DIADHN COc1ccc([C@@H](NCc2ccnn2C2CCC2)C(C)C)cc1 ZINC000921512666 1055386047 /nfs/dbraw/zinc/38/60/47/1055386047.db2.gz MHAUKLSXEBZHTL-IBGZPJMESA-N 0 3 313.445 4.104 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@H]1CCc3cc(C)ccc31)CCO2 ZINC000765430494 1055386260 /nfs/dbraw/zinc/38/62/60/1055386260.db2.gz YPLZYQFGOMGOSM-PMACEKPBSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1c(CN2CCC[C@@H](c3ccccn3)C2)ccc(F)c1F ZINC001237157807 1055386545 /nfs/dbraw/zinc/38/65/45/1055386545.db2.gz DDJVTJQSWOGVRW-OAHLLOKOSA-N 0 3 302.368 4.048 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ccc(F)c(F)c3C)C2)c1 ZINC001237164337 1055387938 /nfs/dbraw/zinc/38/79/38/1055387938.db2.gz APFDFLHNIPFRPG-INIZCTEOSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1)c1ccccc1OC(F)F ZINC000765435657 1055389289 /nfs/dbraw/zinc/38/92/89/1055389289.db2.gz CLXPCVITMBHKRJ-UONOGXRCSA-N 0 3 321.367 4.406 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccc(F)c(F)c1C ZINC001237168555 1055389555 /nfs/dbraw/zinc/38/95/55/1055389555.db2.gz WIOYYBZZJGXTKG-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN Cc1c(CN2CCC3(CCOC3(C)C)CC2)ccc(F)c1F ZINC001237166892 1055391258 /nfs/dbraw/zinc/39/12/58/1055391258.db2.gz MSZAXASMUXYKJQ-UHFFFAOYSA-N 0 3 309.400 4.054 20 0 DIADHN Cc1c(CN2CC[C@@H](c3ccc(F)cc3)C2)ccc(F)c1F ZINC001237163482 1055391734 /nfs/dbraw/zinc/39/17/34/1055391734.db2.gz RWTVHWMAKFIMJT-OAHLLOKOSA-N 0 3 305.343 4.402 20 0 DIADHN Cc1c(CN2CC[C@H](c3cccc(F)c3)C2)ccc(F)c1F ZINC001237163737 1055391879 /nfs/dbraw/zinc/39/18/79/1055391879.db2.gz UNLMNRSDLQSIMV-HNNXBMFYSA-N 0 3 305.343 4.402 20 0 DIADHN Cc1c(F)c(F)ccc1CN(Cc1ccccc1)CC(C)(C)O ZINC001237165592 1055392774 /nfs/dbraw/zinc/39/27/74/1055392774.db2.gz IINBZZXMFHUVRF-UHFFFAOYSA-N 0 3 319.395 4.046 20 0 DIADHN [S-]c1ccoc1C[NH+]1CCC(n2ccc3ccccc32)CC1 ZINC001237185605 1055393729 /nfs/dbraw/zinc/39/37/29/1055393729.db2.gz ZVFPEAVIXYQDER-UHFFFAOYSA-N 0 3 312.438 4.360 20 0 DIADHN Fc1cccc(CC2CC[NH+](Cc3occc3[S-])CC2)c1 ZINC001237184702 1055394451 /nfs/dbraw/zinc/39/44/51/1055394451.db2.gz OSGFVOXMSZKPOX-UHFFFAOYSA-N 0 3 305.418 4.162 20 0 DIADHN COc1cccc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c1Cl ZINC001237195461 1055402237 /nfs/dbraw/zinc/40/22/37/1055402237.db2.gz HUDXCLCEZVWFFZ-GASCZTMLSA-N 0 3 313.828 4.435 20 0 DIADHN Cc1sccc1CN1CC[C@@H]2Nc3ccc(Cl)cc3[C@@H]2C1 ZINC001237245807 1055406232 /nfs/dbraw/zinc/40/62/32/1055406232.db2.gz AEXXMJJVHCUZCP-RDJZCZTQSA-N 0 3 318.873 4.494 20 0 DIADHN Cc1csc([C@H](NC[C@H]2CC3(CO2)CCCCC3)C2CC2)n1 ZINC001168541530 1055406181 /nfs/dbraw/zinc/40/61/81/1055406181.db2.gz JQZFZJNUKDEXNT-HZPDHXFCSA-N 0 3 320.502 4.232 20 0 DIADHN Cc1ccc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@H]3C2)cc1 ZINC001237234623 1055406535 /nfs/dbraw/zinc/40/65/35/1055406535.db2.gz MVUJZJQQQDBWLZ-MJGOQNOKSA-N 0 3 312.844 4.432 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](C)c1ccc3c(c1)CCO3)CCC2 ZINC000765502471 1055409805 /nfs/dbraw/zinc/40/98/05/1055409805.db2.gz STIZRCLVFGDECM-NHYWBVRUSA-N 0 3 314.454 4.115 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)c1ccc3c(c1)CCO3)CCC2 ZINC000765502464 1055410546 /nfs/dbraw/zinc/41/05/46/1055410546.db2.gz STIZRCLVFGDECM-ABAIWWIYSA-N 0 3 314.454 4.115 20 0 DIADHN Clc1ccnc2ccc(CN3CC[C@@H](c4ccccn4)C3)cc12 ZINC001237268044 1055410987 /nfs/dbraw/zinc/41/09/87/1055410987.db2.gz FULJAFPSKFFEKF-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3ccc4nccc(Cl)c4c3)C[C@@H]21 ZINC001237265152 1055411013 /nfs/dbraw/zinc/41/10/13/1055411013.db2.gz QBEHYMRZFVBRIL-OCCSQVGLSA-N 0 3 322.786 4.365 20 0 DIADHN COc1cc(CN2CCC[C@@H](C(F)F)C2)ccc1C(F)F ZINC001237273400 1055413527 /nfs/dbraw/zinc/41/35/27/1055413527.db2.gz SKWDTFMTXRGGQA-LLVKDONJSA-N 0 3 305.315 4.110 20 0 DIADHN FC(F)(F)c1cnccc1CN1CC[C@H](c2ccccc2)C1 ZINC001237284404 1055415746 /nfs/dbraw/zinc/41/57/46/1055415746.db2.gz CZUOXUIBWIJVEG-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NC(=O)[C@H](CC)N(C)C)C(C)C)cc1 ZINC001588067167 1055424404 /nfs/dbraw/zinc/42/44/04/1055424404.db2.gz JVJZIPZRPMIVSO-ZYSHUDEJSA-N 0 3 318.505 4.354 20 0 DIADHN Cc1cc(F)cc(C)c1CN1CC[C@H](C(=O)c2ccccc2)C1 ZINC001237324844 1055426478 /nfs/dbraw/zinc/42/64/78/1055426478.db2.gz FFXGVGFBMKXRIB-KRWDZBQOSA-N 0 3 311.400 4.147 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CCNc4ccccc43)CC2)cn1 ZINC001237320217 1055427297 /nfs/dbraw/zinc/42/72/97/1055427297.db2.gz KGZWKBSTRBCNBB-UHFFFAOYSA-N 0 3 321.468 4.048 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CC[C@@](C)(CF)C1 ZINC001237338328 1055431505 /nfs/dbraw/zinc/43/15/05/1055431505.db2.gz NJUGWBYXVPPZLT-SFHVURJKSA-N 0 3 322.424 4.215 20 0 DIADHN Cc1ccc(CN2CCC3(Cc4ccccc4N3)CC2)cc1F ZINC001237333562 1055431696 /nfs/dbraw/zinc/43/16/96/1055431696.db2.gz LEYKNADCHJKGNZ-UHFFFAOYSA-N 0 3 310.416 4.137 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@@H](Oc2ccncc2)C1 ZINC001237349968 1055434142 /nfs/dbraw/zinc/43/41/42/1055434142.db2.gz DYWSCYAQGXFVSV-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN CCOC(=O)C[C@H]1CCCN(Cc2c(C)cc(Cl)cc2C)C1 ZINC001237352785 1055436149 /nfs/dbraw/zinc/43/61/49/1055436149.db2.gz JGQNXISPTUQHOX-OAHLLOKOSA-N 0 3 323.864 4.122 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@H](Oc2cccnc2)C1 ZINC001237356012 1055437102 /nfs/dbraw/zinc/43/71/02/1055437102.db2.gz SZPLEOIRMLJHBC-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(c2ncccn2)CC1 ZINC001237351335 1055437438 /nfs/dbraw/zinc/43/74/38/1055437438.db2.gz XSTRPZQJTWZZRK-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3cc(OC)ccc3c2)c1 ZINC000015370316 1055442270 /nfs/dbraw/zinc/44/22/70/1055442270.db2.gz LXTRKSTWRZNSGP-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)Nc1cccc(F)c1)c1ccccc1 ZINC000015056981 1055442612 /nfs/dbraw/zinc/44/26/12/1055442612.db2.gz GCBQILNKKWIIFM-RDTXWAMCSA-N 0 3 314.404 4.140 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cnc(-c3ccccc3)o1)CC2 ZINC001237369187 1055442834 /nfs/dbraw/zinc/44/28/34/1055442834.db2.gz RBPAGFXGVOXICR-INIZCTEOSA-N 0 3 314.404 4.446 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccc(F)c2cccnc12 ZINC001237422834 1055449888 /nfs/dbraw/zinc/44/98/88/1055449888.db2.gz KTBIQZMLNLNJIC-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN CC1(C)CN(Cc2ccc(F)c3cccnc23)CCC1(F)F ZINC001237425826 1055451537 /nfs/dbraw/zinc/45/15/37/1055451537.db2.gz PGTVSKGZPODRDM-UHFFFAOYSA-N 0 3 308.347 4.241 20 0 DIADHN Fc1ccc(CN2CC[C@H](Oc3ccccc3)C2)c2ncccc12 ZINC001237428179 1055453111 /nfs/dbraw/zinc/45/31/11/1055453111.db2.gz DWFNCGDSIWSNDX-KRWDZBQOSA-N 0 3 322.383 4.027 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001237463427 1055456192 /nfs/dbraw/zinc/45/61/92/1055456192.db2.gz XBJYRHYFBMAGCG-BLLLJJGKSA-N 0 3 303.837 4.371 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001237463431 1055456954 /nfs/dbraw/zinc/45/69/54/1055456954.db2.gz XBJYRHYFBMAGCG-LRDDRELGSA-N 0 3 303.837 4.371 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2cccc(C)c2OC)c1 ZINC001237515195 1055464840 /nfs/dbraw/zinc/46/48/40/1055464840.db2.gz XEJOIWQQVIIMCN-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc2ncnc(Cl)c2c1 ZINC001237577641 1055475368 /nfs/dbraw/zinc/47/53/68/1055475368.db2.gz IGTGWZRZBASBMW-VMPITWQZSA-N 0 3 323.827 4.428 20 0 DIADHN Cc1ccc(-c2nc(CNCCc3ccncc3C)cs2)cc1 ZINC001620864871 1055491705 /nfs/dbraw/zinc/49/17/05/1055491705.db2.gz RJYCHPVHIYKKQZ-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN CCc1n[nH]cc1CN(CCc1ccccc1)Cc1ccccc1 ZINC001237659873 1055497106 /nfs/dbraw/zinc/49/71/06/1055497106.db2.gz RFAAKJADOGFDKK-UHFFFAOYSA-N 0 3 319.452 4.217 20 0 DIADHN Cc1nc2ccc(-c3ccccc3)cn2c1CN1CC2CC(C2)C1 ZINC001237661936 1055498196 /nfs/dbraw/zinc/49/81/96/1055498196.db2.gz KPISRVRAUFEUPQ-UHFFFAOYSA-N 0 3 317.436 4.152 20 0 DIADHN COc1cc(Cl)c(CNC[C@@H]2CCCCS2)cc1Cl ZINC001620873809 1055502150 /nfs/dbraw/zinc/50/21/50/1055502150.db2.gz SIZMSYXRRDIECL-NSHDSACASA-N 0 3 320.285 4.377 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1nccc2c1CCCC2 ZINC001620876863 1055503853 /nfs/dbraw/zinc/50/38/53/1055503853.db2.gz LKDRGKZMWMYFRD-YCRPNKLZSA-N 0 3 306.453 4.374 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cc(O)cc(Cl)c1 ZINC001620877263 1055504939 /nfs/dbraw/zinc/50/49/39/1055504939.db2.gz WLSYFSSFNGNJJA-KPZWWZAWSA-N 0 3 301.817 4.459 20 0 DIADHN CC[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccc(C)c(F)c1 ZINC001620884456 1055508707 /nfs/dbraw/zinc/50/87/07/1055508707.db2.gz GPLBORPRLQACAS-KRWDZBQOSA-N 0 3 324.403 4.160 20 0 DIADHN Cc1cc(C)c(Cl)c(CN2CCC3(C[C@H](F)CO3)CC2)c1 ZINC001237702998 1055510410 /nfs/dbraw/zinc/51/04/10/1055510410.db2.gz XCMZYMNANHJBHY-HNNXBMFYSA-N 0 3 311.828 4.050 20 0 DIADHN COc1cc(C)c(CN2CC[C@](CF)(C(F)(F)F)C2)c(C)c1 ZINC001142933459 1055510683 /nfs/dbraw/zinc/51/06/83/1055510683.db2.gz QEBSBXDHJHVVJR-OAHLLOKOSA-N 0 3 319.342 4.036 20 0 DIADHN CC(C)(C)C[C@H](NCc1cnn(CCF)c1)c1ccc(F)cc1 ZINC000921546555 1055511042 /nfs/dbraw/zinc/51/10/42/1055511042.db2.gz FGYPIPVAHLMVKA-KRWDZBQOSA-N 0 3 321.415 4.259 20 0 DIADHN Cc1cncc(CNCc2cccc(COc3ccccc3)c2)c1 ZINC001620885370 1055511732 /nfs/dbraw/zinc/51/17/32/1055511732.db2.gz VVEPSOWPUAUHTH-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CCn1cnc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)c1 ZINC001620887811 1055513359 /nfs/dbraw/zinc/51/33/59/1055513359.db2.gz UTWFGOCDMOLKQG-UHFFFAOYSA-N 0 3 313.489 4.268 20 0 DIADHN CC[C@H](NCc1ccc(Cl)c(C)c1Cl)[C@H]1CCCO1 ZINC001620889209 1055513821 /nfs/dbraw/zinc/51/38/21/1055513821.db2.gz KUUUVQOYIWQFIJ-UONOGXRCSA-N 0 3 302.245 4.349 20 0 DIADHN C[C@H](NCc1ccc(OCc2ccccc2)cc1)c1ccc[nH]1 ZINC001620889085 1055514190 /nfs/dbraw/zinc/51/41/90/1055514190.db2.gz FVEUCWWNUGTARK-INIZCTEOSA-N 0 3 306.409 4.445 20 0 DIADHN Cc1cc(CN2CCC(OC(C)C)CC2)ccc1OC(C)C ZINC001237712789 1055514135 /nfs/dbraw/zinc/51/41/35/1055514135.db2.gz ROPLXMXGHXATSG-UHFFFAOYSA-N 0 3 305.462 4.172 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(Cc2ccncc2)CC1 ZINC001237733202 1055514929 /nfs/dbraw/zinc/51/49/29/1055514929.db2.gz BTSPADUNBIPYKO-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cccc(C(F)(F)F)c1 ZINC000024644662 1055516221 /nfs/dbraw/zinc/51/62/21/1055516221.db2.gz KIHIWYZAXWSVRZ-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN CCc1cc(Br)ccc1CN(C)C1CC(F)(F)C1 ZINC001237738375 1055518926 /nfs/dbraw/zinc/51/89/26/1055518926.db2.gz CQPFPLLXLSMQAL-UHFFFAOYSA-N 0 3 318.205 4.241 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1c2c(nn1C)CCCC2 ZINC001620894523 1055519793 /nfs/dbraw/zinc/51/97/93/1055519793.db2.gz VNJVAYDDVHQDBT-YCRPNKLZSA-N 0 3 323.484 4.102 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cnc(C4CC4)s3)C2)c1 ZINC001237791912 1055528249 /nfs/dbraw/zinc/52/82/49/1055528249.db2.gz JWISKMUGQIZQOJ-OAHLLOKOSA-N 0 3 314.454 4.019 20 0 DIADHN Fc1cccc(F)c1CN[C@@H]1CC[C@@H](Cc2ccccc2)C1 ZINC001620906646 1055530966 /nfs/dbraw/zinc/53/09/66/1055530966.db2.gz LESAYJXBDLXLHH-JKSUJKDBSA-N 0 3 301.380 4.466 20 0 DIADHN COc1ccc(F)cc1CN[C@H](C)CSc1ccc(C)cc1 ZINC001620905382 1055531902 /nfs/dbraw/zinc/53/19/02/1055531902.db2.gz OIPJLXJZUCWSJA-CQSZACIVSA-N 0 3 319.445 4.413 20 0 DIADHN CCOc1cc(F)cc(CNCc2cccc(CSC)c2)c1 ZINC001620906889 1055532020 /nfs/dbraw/zinc/53/20/20/1055532020.db2.gz SUGXOKGZBKZSPV-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN Fc1cccc(F)c1CN[C@H]1CC[C@H](Cc2ccccc2)C1 ZINC001620906643 1055532160 /nfs/dbraw/zinc/53/21/60/1055532160.db2.gz LESAYJXBDLXLHH-CVEARBPZSA-N 0 3 301.380 4.466 20 0 DIADHN C[C@@H](CCC1CC1)NCc1cc(Br)cnc1Cl ZINC001620910788 1055535036 /nfs/dbraw/zinc/53/50/36/1055535036.db2.gz HHKWOYPPODOHSM-VIFPVBQESA-N 0 3 317.658 4.166 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000386901495 1055535459 /nfs/dbraw/zinc/53/54/59/1055535459.db2.gz IORQIHMTDKGBFO-RISCZKNCSA-N 0 3 319.836 4.047 20 0 DIADHN CCOC[C@H](NCc1cc(Cl)c(OC)cc1Cl)C(C)C ZINC001620920483 1055543647 /nfs/dbraw/zinc/54/36/47/1055543647.db2.gz QYNHOBVGXJPIAT-AWEZNQCLSA-N 0 3 320.260 4.153 20 0 DIADHN C[C@H]1CC[C@@H](CNCc2nc3cc(Br)ccc3o2)C1 ZINC001620924030 1055544726 /nfs/dbraw/zinc/54/47/26/1055544726.db2.gz FGADRFLHTKYMDM-WDEREUQCSA-N 0 3 323.234 4.116 20 0 DIADHN COc1cccc(CN2CC3CCC(CC3)C2)c1Br ZINC001237869327 1055545243 /nfs/dbraw/zinc/54/52/43/1055545243.db2.gz RZQOQIAMFRUNEX-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc(F)cc1CNCCCOc1cccc(C)c1C ZINC001620924088 1055545957 /nfs/dbraw/zinc/54/59/57/1055545957.db2.gz IGSWBKOEHXDLLP-UHFFFAOYSA-N 0 3 317.404 4.010 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN(C)[C@H]1CC[C@H](C)CC1 ZINC001237877200 1055546436 /nfs/dbraw/zinc/54/64/36/1055546436.db2.gz FSZXHOPSFWCNPN-HDJSIYSDSA-N 0 3 301.434 4.029 20 0 DIADHN COc1cccc(C2(NCc3ccc(CSC)cc3)CC2)c1 ZINC001620932428 1055546803 /nfs/dbraw/zinc/54/68/03/1055546803.db2.gz KGSKQUDFQSTEKP-UHFFFAOYSA-N 0 3 313.466 4.337 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCC[C@@](C)(F)C3)cc21 ZINC001237881189 1055548748 /nfs/dbraw/zinc/54/87/48/1055548748.db2.gz NKKGSMJAOKRJPS-LJQANCHMSA-N 0 3 302.437 4.012 20 0 DIADHN CO[C@H]([C@H](C)NCc1cc(C(F)(F)F)ccc1Cl)C1CC1 ZINC001620937438 1055551674 /nfs/dbraw/zinc/55/16/74/1055551674.db2.gz PGSHKCRYZDPSQR-LKFCYVNXSA-N 0 3 321.770 4.262 20 0 DIADHN FC(F)(F)c1ccc(-c2n[nH]cc2CN2CCC[C@@H]3C[C@@H]32)cc1 ZINC001237901413 1055553648 /nfs/dbraw/zinc/55/36/48/1055553648.db2.gz VLIQGEOQYHUXKT-DOMZBBRYSA-N 0 3 321.346 4.080 20 0 DIADHN CCCOc1cc(F)ccc1CN(C)CCc1cccs1 ZINC001237904501 1055554906 /nfs/dbraw/zinc/55/49/06/1055554906.db2.gz ITDHZPZKPZYCKZ-UHFFFAOYSA-N 0 3 307.434 4.351 20 0 DIADHN COc1ccc(CNC[C@]2(C)CC2(Cl)Cl)c(Cl)c1 ZINC001620941488 1055555836 /nfs/dbraw/zinc/55/58/36/1055555836.db2.gz XILXQLNDICMOKT-LBPRGKRZSA-N 0 3 308.636 4.022 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001538168704 1055557503 /nfs/dbraw/zinc/55/75/03/1055557503.db2.gz XTVSQHVJPHLEPE-VIQWUECVSA-N 0 3 322.537 4.316 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000387344999 1055565633 /nfs/dbraw/zinc/56/56/33/1055565633.db2.gz WYKICAXBMQKWNG-ZDUSSCGKSA-N 0 3 317.816 4.320 20 0 DIADHN COC(=O)c1cccc([C@H]2CCCN2Cc2ccsc2C)c1 ZINC001237977129 1055569608 /nfs/dbraw/zinc/56/96/08/1055569608.db2.gz WVNNEWUAYBMYDS-QGZVFWFLSA-N 0 3 315.438 4.180 20 0 DIADHN Oc1ccc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)cs2)cc1 ZINC001237977479 1055569985 /nfs/dbraw/zinc/56/99/85/1055569985.db2.gz IKERIMGSKXVFFY-HUUCEWRRSA-N 0 3 314.454 4.138 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2ccsc2C)C1 ZINC001237975319 1055570187 /nfs/dbraw/zinc/57/01/87/1055570187.db2.gz ZQRVCIGJCUOMHM-OAHLLOKOSA-N 0 3 319.495 4.432 20 0 DIADHN COC(=O)C[C@@H](C)N(Cc1ccsc1C)Cc1ccccc1 ZINC001237973338 1055570993 /nfs/dbraw/zinc/57/09/93/1055570993.db2.gz CYAQTSDHRZCKHW-CQSZACIVSA-N 0 3 317.454 4.010 20 0 DIADHN OC[C@@H](CNCc1cc(Cl)sc1Cl)C1CCCCC1 ZINC001620952086 1055571143 /nfs/dbraw/zinc/57/11/43/1055571143.db2.gz HGUXBDSRTBAEMA-GFCCVEGCSA-N 0 3 322.301 4.333 20 0 DIADHN Oc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@H]43)cs2)cc1 ZINC001237982769 1055572799 /nfs/dbraw/zinc/57/27/99/1055572799.db2.gz IWYRZDRYYLQOGE-CXAGYDPISA-N 0 3 314.454 4.280 20 0 DIADHN c1nn(CC2CCC2)cc1CNCc1cc2ccccc2s1 ZINC000921594073 1055579648 /nfs/dbraw/zinc/57/96/48/1055579648.db2.gz RWDFMJQTVMDWFE-UHFFFAOYSA-N 0 3 311.454 4.188 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2coc(-c3cccs3)n2)C1 ZINC000921595358 1055581488 /nfs/dbraw/zinc/58/14/88/1055581488.db2.gz LFTXDAQYGAMHGL-WCQYABFASA-N 0 3 308.472 4.167 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cncc(Cl)c1Cl)CC2 ZINC001238004419 1055581681 /nfs/dbraw/zinc/58/16/81/1055581681.db2.gz DYNAIPSAJHFZAL-UHFFFAOYSA-N 0 3 321.198 4.400 20 0 DIADHN Cc1ccc(CN(C)Cc2ccccc2Oc2cnccn2)cc1 ZINC001238013439 1055583426 /nfs/dbraw/zinc/58/34/26/1055583426.db2.gz HEIBZHBZJVXLHZ-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OCC3CCCCC3)c2)CCCO1 ZINC001238064797 1055598918 /nfs/dbraw/zinc/59/89/18/1055598918.db2.gz CEMFFTJEVTZNFO-QGZVFWFLSA-N 0 3 317.473 4.257 20 0 DIADHN CC(C)N(Cc1cc(F)cc(Br)c1F)CC1CC1 ZINC001238074382 1055599842 /nfs/dbraw/zinc/59/98/42/1055599842.db2.gz DVVNEOYGBGBRSE-UHFFFAOYSA-N 0 3 318.205 4.348 20 0 DIADHN CCOc1cc(CN2CCC3(CC(F)(F)C3)CC2)ccc1C ZINC001238068414 1055601189 /nfs/dbraw/zinc/60/11/89/1055601189.db2.gz OYXIIQSYQHBETI-UHFFFAOYSA-N 0 3 309.400 4.405 20 0 DIADHN CCCCCCC(=O)NC[C@@H]1CCCCN1Cc1ccccc1 ZINC001595442250 1055601621 /nfs/dbraw/zinc/60/16/21/1055601621.db2.gz ZYAPZZZDALQJGJ-IBGZPJMESA-N 0 3 316.489 4.128 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3cc(O)ccc3C)C2)cc1 ZINC001238099403 1055606328 /nfs/dbraw/zinc/60/63/28/1055606328.db2.gz PESRJVSIMUPWPZ-FQEVSTJZSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1cc([C@H](C)NCCc2c[nH]c3cc(F)c(Cl)cc23)no1 ZINC000624290652 1055608949 /nfs/dbraw/zinc/60/89/49/1055608949.db2.gz GJYFWBVXANFSLA-JTQLQIEISA-N 0 3 321.783 4.150 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1cccc2cncn21 ZINC001238111937 1055609727 /nfs/dbraw/zinc/60/97/27/1055609727.db2.gz ZDIJTUWKQOKNDE-SFHVURJKSA-N 0 3 323.465 4.393 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1cccc2cncn21 ZINC001238112968 1055610320 /nfs/dbraw/zinc/61/03/20/1055610320.db2.gz GOMZJPSMBOYWGB-IBGZPJMESA-N 0 3 309.388 4.201 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@H](Oc3cccnc3)C2)c1C ZINC001238217583 1055622069 /nfs/dbraw/zinc/62/20/69/1055622069.db2.gz BLVKKSNMXSWPLB-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN CC(C)c1cc(CN2CC[C@H]3OCCC[C@H]3C2)ccc1Cl ZINC001238216895 1055622766 /nfs/dbraw/zinc/62/27/66/1055622766.db2.gz GLVZIUNWFHTPSG-MAUKXSAKSA-N 0 3 307.865 4.464 20 0 DIADHN CC(C)c1cc(CN2CCC3(C[C@@H]3CCO)CC2)ccc1Cl ZINC001238216727 1055623168 /nfs/dbraw/zinc/62/31/68/1055623168.db2.gz DTWDLQGMXQCRQR-INIZCTEOSA-N 0 3 321.892 4.448 20 0 DIADHN CC(C)c1cc(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)ccc1Cl ZINC001238223997 1055624940 /nfs/dbraw/zinc/62/49/40/1055624940.db2.gz QLJLSZSJQAUCBJ-DHSIGJKJSA-N 0 3 307.865 4.463 20 0 DIADHN Cc1nnc([C@H]2CCCN(Cc3ccc(Cl)c(C)c3C)C2)o1 ZINC001238225299 1055625156 /nfs/dbraw/zinc/62/51/56/1055625156.db2.gz XEXHBTBVNMZKAM-HNNXBMFYSA-N 0 3 319.836 4.028 20 0 DIADHN Cc1nnc([C@@H]2CCCN(Cc3ccc(Cl)c(C)c3C)C2)o1 ZINC001238225304 1055625360 /nfs/dbraw/zinc/62/53/60/1055625360.db2.gz XEXHBTBVNMZKAM-OAHLLOKOSA-N 0 3 319.836 4.028 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000030270193 1055626990 /nfs/dbraw/zinc/62/69/90/1055626990.db2.gz HAMBKGYGWCFXOT-HOTGVXAUSA-N 0 3 312.457 4.137 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccccc1)c1cc(F)ccc1F ZINC000338567727 1055630004 /nfs/dbraw/zinc/63/00/04/1055630004.db2.gz BDCKXFNOGGFISD-ZWKOTPCHSA-N 0 3 305.368 4.393 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)NCCN2CCCCC2)CC1 ZINC001595800833 1055635754 /nfs/dbraw/zinc/63/57/54/1055635754.db2.gz CDTINCXGSCZNKU-UHFFFAOYSA-N 0 3 322.537 4.221 20 0 DIADHN CC(C)Oc1c(F)cccc1CN(C)CC1CC(F)(F)C1 ZINC001238321670 1055637830 /nfs/dbraw/zinc/63/78/30/1055637830.db2.gz BNBAMLJDUUBIGU-UHFFFAOYSA-N 0 3 301.352 4.090 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(OCc3ccccc3)c2)[C@@H](C)C1 ZINC001238370224 1055645180 /nfs/dbraw/zinc/64/51/80/1055645180.db2.gz STJBUIIKTDPMDF-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1cncc(OCc2ccccc2)c1 ZINC001238370217 1055646001 /nfs/dbraw/zinc/64/60/01/1055646001.db2.gz STJBUIIKTDPMDF-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN c1ccc(COc2cncc(CN3CCC4(CCC4)CC3)c2)cc1 ZINC001238368140 1055646119 /nfs/dbraw/zinc/64/61/19/1055646119.db2.gz SDCHXUXJZJPJBY-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN c1ccc(COc2cncc(CN3CCC34CCCC4)c2)cc1 ZINC001238366698 1055646597 /nfs/dbraw/zinc/64/65/97/1055646597.db2.gz IMENYOLNRHSYSX-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1cncc(OCc2ccccc2)c1 ZINC001238370434 1055646967 /nfs/dbraw/zinc/64/69/67/1055646967.db2.gz KCFKAISRCWVDJD-CALCHBBNSA-N 0 3 310.441 4.424 20 0 DIADHN c1ccc(COc2cncc(CN3CC[C@@H]4CCC[C@H]4C3)c2)cc1 ZINC001238366500 1055647094 /nfs/dbraw/zinc/64/70/94/1055647094.db2.gz FSVXBHSFTMZUEZ-PMACEKPBSA-N 0 3 322.452 4.283 20 0 DIADHN Fc1cc(CN2C[C@H]3CC[C@@H]2C3)cc(OCc2ccccc2)c1 ZINC001238381859 1055647834 /nfs/dbraw/zinc/64/78/34/1055647834.db2.gz BOOUUKNWYLFCSD-QFBILLFUSA-N 0 3 311.400 4.389 20 0 DIADHN CCOc1ccc(CN2CCCC[C@@H]2CCOC)c(Cl)c1 ZINC001238400540 1055650239 /nfs/dbraw/zinc/65/02/39/1055650239.db2.gz SRQKERQUOVQWNL-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN CC(C)c1cccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)c1 ZINC001538535386 1055652282 /nfs/dbraw/zinc/65/22/82/1055652282.db2.gz ZIHIUOHLNTWZGJ-GOSISDBHSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1cc(CN2CC[C@@H](Cc3ccccc3)C2)ncc1Cl ZINC001238418693 1055655059 /nfs/dbraw/zinc/65/50/59/1055655059.db2.gz QJWHWDRYVNNXJD-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1cnc(CN2CC[C@@H](c3ccccc3Cl)C2)c(C)c1 ZINC001238430100 1055657659 /nfs/dbraw/zinc/65/76/59/1055657659.db2.gz HSULXWPHYUYVNK-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(C)c(Cl)cn3)C2)cc1 ZINC001238430070 1055657760 /nfs/dbraw/zinc/65/77/60/1055657760.db2.gz MHDILSAOQQBZOE-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1ccc(F)c(OC(C)C)c1 ZINC001238460995 1055662899 /nfs/dbraw/zinc/66/28/99/1055662899.db2.gz SADHPKNRXQPQKX-INIZCTEOSA-N 0 3 309.425 4.004 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1ccc(OC(C)C)cc1F ZINC001238451993 1055663614 /nfs/dbraw/zinc/66/36/14/1055663614.db2.gz QJNFMINMIQOOGD-KRWDZBQOSA-N 0 3 309.425 4.002 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CC(F)C3)CC2)ccc1F ZINC001238454912 1055663856 /nfs/dbraw/zinc/66/38/56/1055663856.db2.gz RRFJTXMCSITVMG-UHFFFAOYSA-N 0 3 309.400 4.327 20 0 DIADHN CC(C)Oc1cncc(CN2CCC[C@H](Cc3ccccc3)C2)c1 ZINC001238466732 1055665770 /nfs/dbraw/zinc/66/57/70/1055665770.db2.gz NMYYLNMNVLODQD-LJQANCHMSA-N 0 3 324.468 4.324 20 0 DIADHN COc1c(CN(C)CCCc2ccccc2)ccc(F)c1F ZINC001238476853 1055667896 /nfs/dbraw/zinc/66/78/96/1055667896.db2.gz IRLXHTLUQGDTAV-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN Cc1ccc(OC(C)C)cc1CN1C[C@H]2CC[C@@H](C1)C2(F)F ZINC001238481395 1055669055 /nfs/dbraw/zinc/66/90/55/1055669055.db2.gz OHPIQIHYFOECFQ-IYBDPMFKSA-N 0 3 309.400 4.259 20 0 DIADHN COc1cnc(CN(C)C23CC4CC(CC(C4)C2)C3)c(Cl)c1 ZINC001238489900 1055670699 /nfs/dbraw/zinc/67/06/99/1055670699.db2.gz UYRVZVZAPGYRBR-UHFFFAOYSA-N 0 3 320.864 4.144 20 0 DIADHN COc1cnc(CN2CCC[C@@H]2C2CCCCC2)c(Cl)c1 ZINC001238488652 1055671287 /nfs/dbraw/zinc/67/12/87/1055671287.db2.gz BNDBXSLBTFQHEU-QGZVFWFLSA-N 0 3 308.853 4.288 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(F)c3cccnc23)cc1 ZINC000042122003 1055674735 /nfs/dbraw/zinc/67/47/35/1055674735.db2.gz PWZYWRZVADIALB-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](c1ccccc1)C1CCC1 ZINC000104700931 1055677722 /nfs/dbraw/zinc/67/77/22/1055677722.db2.gz IRAAFAMTRWMCLZ-OAQYLSRUSA-N 0 3 322.452 4.373 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1ccc(Cl)c(C)c1F)C2 ZINC001238517670 1055678210 /nfs/dbraw/zinc/67/82/10/1055678210.db2.gz YHZGHRZPANTMBQ-PBHICJAKSA-N 0 3 311.828 4.036 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](c1ccccc1)C1CCC1 ZINC000104701320 1055678825 /nfs/dbraw/zinc/67/88/25/1055678825.db2.gz KRGAJLTUYSNCHT-NRFANRHFSA-N 0 3 322.452 4.319 20 0 DIADHN Fc1ccc(CN2CC(c3ccccc3)C2)cc1-c1ccncc1 ZINC001238554144 1055684524 /nfs/dbraw/zinc/68/45/24/1055684524.db2.gz CVMWIJYBCZZNTG-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2CCC(C)=C(C)C2)c1 ZINC001596233004 1055685722 /nfs/dbraw/zinc/68/57/22/1055685722.db2.gz KQWTUTCVFQLFEK-KRWDZBQOSA-N 0 3 300.446 4.132 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC2CCC(CC2)C1 ZINC001238603528 1055693675 /nfs/dbraw/zinc/69/36/75/1055693675.db2.gz CVOKPYXTMNTPLC-UHFFFAOYSA-N 0 3 322.452 4.379 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@H](C3CCCCC3)C2)cnc1F ZINC001238602913 1055694735 /nfs/dbraw/zinc/69/47/35/1055694735.db2.gz KSOGLEPVWSZFKK-KRWDZBQOSA-N 0 3 320.452 4.410 20 0 DIADHN Cc1cc(CN2CC[C@]3(CC3(F)F)C2)cnc1-c1ccccc1 ZINC001238613570 1055696797 /nfs/dbraw/zinc/69/67/97/1055696797.db2.gz AICFIGMQHPKOQE-SFHVURJKSA-N 0 3 314.379 4.288 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(O)cc3)CC2)cnc1C1CC1 ZINC001238624755 1055701769 /nfs/dbraw/zinc/70/17/69/1055701769.db2.gz MICQRRWOTUPHPX-UHFFFAOYSA-N 0 3 322.452 4.353 20 0 DIADHN Cc1cc(OC(C)C)cc(C)c1CN1CC(c2cccnc2)C1 ZINC001238663792 1055712560 /nfs/dbraw/zinc/71/25/60/1055712560.db2.gz CKLRHBUMJHFJFG-UHFFFAOYSA-N 0 3 310.441 4.085 20 0 DIADHN Cc1cc(OC(C)C)cc(C)c1CN1CC[C@H](C(F)(F)F)C1 ZINC001238664523 1055713370 /nfs/dbraw/zinc/71/33/70/1055713370.db2.gz MIWWJAWQEYDWPO-AWEZNQCLSA-N 0 3 315.379 4.475 20 0 DIADHN CSc1cc(C)cc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)c1 ZINC001238675327 1055716802 /nfs/dbraw/zinc/71/68/02/1055716802.db2.gz LRRPTCJRUQTXFO-TZMCWYRMSA-N 0 3 321.383 4.297 20 0 DIADHN CSc1cc(C)cc(CN(C)CCC(=O)c2ccccc2)c1 ZINC001238685419 1055720191 /nfs/dbraw/zinc/72/01/91/1055720191.db2.gz MQUYOGVFPVZAIR-UHFFFAOYSA-N 0 3 313.466 4.422 20 0 DIADHN C[C@H](NC[C@@H]1CCCC12CC2)c1ncc(Br)s1 ZINC000624314515 1055723113 /nfs/dbraw/zinc/72/31/13/1055723113.db2.gz JXOPYCJNYSZLIB-UWVGGRQHSA-N 0 3 315.280 4.137 20 0 DIADHN COCC[C@H]1CCCCN1Cc1ccc(F)cc1OC(C)C ZINC001238695015 1055723209 /nfs/dbraw/zinc/72/32/09/1055723209.db2.gz GBNCDOSNRPTUOT-QGZVFWFLSA-N 0 3 309.425 4.004 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001538812930 1055725424 /nfs/dbraw/zinc/72/54/24/1055725424.db2.gz OANHIWWHWMIOKS-AWEZNQCLSA-N 0 3 315.244 4.052 20 0 DIADHN CCCCCCc1ccc(CN2CCC(C(=O)OC)CC2)s1 ZINC001238701984 1055726434 /nfs/dbraw/zinc/72/64/34/1055726434.db2.gz APYINJHZWUZUDK-UHFFFAOYSA-N 0 3 323.502 4.256 20 0 DIADHN Cc1cc(C)c(CNCc2cccc(-c3cccnc3)c2)cn1 ZINC001620968847 1055729048 /nfs/dbraw/zinc/72/90/48/1055729048.db2.gz OHJPTUWIJCQYLF-UHFFFAOYSA-N 0 3 303.409 4.050 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cccc(OC(F)(F)F)c1 ZINC000105486241 1055729888 /nfs/dbraw/zinc/72/98/88/1055729888.db2.gz IZUGMWLZIPMCBM-OAHLLOKOSA-N 0 3 323.314 4.068 20 0 DIADHN CSc1ncc(C)cc1CN1CCC(c2ccccc2)CC1 ZINC001238711149 1055731551 /nfs/dbraw/zinc/73/15/51/1055731551.db2.gz SUJDFJUKLBCHBQ-UHFFFAOYSA-N 0 3 312.482 4.492 20 0 DIADHN O=C(C1=CCCCCC1)N(CCN1CCCC1)c1ccccc1 ZINC001565858794 1055732875 /nfs/dbraw/zinc/73/28/75/1055732875.db2.gz OYIXKNKNERVTAP-UHFFFAOYSA-N 0 3 312.457 4.006 20 0 DIADHN Cc1cc(CN(C)Cc2cccc(O)c2)cc(C)c1OC(C)C ZINC001238755926 1055743008 /nfs/dbraw/zinc/74/30/08/1055743008.db2.gz VABIXZUKVUSBMQ-UHFFFAOYSA-N 0 3 313.441 4.428 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001238768645 1055744692 /nfs/dbraw/zinc/74/46/92/1055744692.db2.gz YTEIFELCPSLZPP-QGZVFWFLSA-N 0 3 305.437 4.416 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1cccnc1OCc1ccccc1 ZINC001238773316 1055746212 /nfs/dbraw/zinc/74/62/12/1055746212.db2.gz VLBRZTWANSCNRR-CALCHBBNSA-N 0 3 310.441 4.424 20 0 DIADHN C[C@H]1CCCCCN1Cc1cccnc1OCc1ccccc1 ZINC001238774374 1055746324 /nfs/dbraw/zinc/74/63/24/1055746324.db2.gz QFACNOYLDCYDOF-KRWDZBQOSA-N 0 3 310.441 4.425 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238777383 1055746369 /nfs/dbraw/zinc/74/63/69/1055746369.db2.gz KMQSFKIGBYEKCW-IAGOWNOFSA-N 0 3 310.441 4.139 20 0 DIADHN CC1(C)CCN(Cc2cccnc2OCc2ccccc2)CC1 ZINC001238772626 1055747213 /nfs/dbraw/zinc/74/72/13/1055747213.db2.gz FHOHOJMVLJBDPO-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC[C@H]3CCC[C@@H]32)cc1 ZINC001238776232 1055748561 /nfs/dbraw/zinc/74/85/61/1055748561.db2.gz FGWKRXHPZWZSGQ-QUCCMNQESA-N 0 3 322.452 4.425 20 0 DIADHN CC[C@@H]1CCCN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238777598 1055748592 /nfs/dbraw/zinc/74/85/92/1055748592.db2.gz LKIDARMKDLGTJF-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc(F)cc(CN(CCCCCO)C(C)C)c1Cl ZINC001238784915 1055752808 /nfs/dbraw/zinc/75/28/08/1055752808.db2.gz SQUAOBMKYUZKSJ-UHFFFAOYSA-N 0 3 301.833 4.161 20 0 DIADHN Fc1cc(-c2cnn(C(F)F)c2)ccc1CN1CCCCC1 ZINC001238869471 1055773519 /nfs/dbraw/zinc/77/35/19/1055773519.db2.gz KVTHBXYYBOFSNR-UHFFFAOYSA-N 0 3 309.335 4.070 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CCC=CCCC2)c1 ZINC001566424623 1055773642 /nfs/dbraw/zinc/77/36/42/1055773642.db2.gz XZEMHYWPUMLRER-QGZVFWFLSA-N 0 3 300.446 4.132 20 0 DIADHN COc1ccc(-c2cnccc2/C=C/N(C)C)cc1OC(C)C ZINC001238932555 1055794808 /nfs/dbraw/zinc/79/48/08/1055794808.db2.gz AGYXYLNKUZBCQN-PKNBQFBNSA-N 0 3 312.413 4.077 20 0 DIADHN CCOCc1cncc(-c2ccc(CN(CC)CC)c(F)c2)c1 ZINC001238938523 1055798615 /nfs/dbraw/zinc/79/86/15/1055798615.db2.gz CDSVXJBXWADBDS-UHFFFAOYSA-N 0 3 316.420 4.266 20 0 DIADHN CCOCc1cncc(-c2cccc(CN3CCCC3)c2F)c1 ZINC001238939970 1055799046 /nfs/dbraw/zinc/79/90/46/1055799046.db2.gz NRBFCYKHDIUPAY-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN Fc1ccc2[nH]cc(C3CCN(Cc4cccnc4)CC3)c2c1 ZINC000347548714 1055801266 /nfs/dbraw/zinc/80/12/66/1055801266.db2.gz ZQFLKFWJUJHQNK-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N1[C@@H](C)CC[C@@H]1C ZINC001567385368 1055801521 /nfs/dbraw/zinc/80/15/21/1055801521.db2.gz HMQRQAJHJWIECG-QZWWFDLISA-N 0 3 314.473 4.178 20 0 DIADHN CC1CCC(N(C)Cc2coc(-c3cccc(F)c3)n2)CC1 ZINC000058505641 1055802506 /nfs/dbraw/zinc/80/25/06/1055802506.db2.gz AMKNGSRNKVJODP-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cccc(CNCc2cccc(NC(=O)CC(C)C)c2)c1 ZINC000064411755 1055804053 /nfs/dbraw/zinc/80/40/53/1055804053.db2.gz YDHFJKLRZBZYTP-UHFFFAOYSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1ccc(CNCc2cccc(NC(=O)CC(C)C)c2)s1 ZINC000064411748 1055804883 /nfs/dbraw/zinc/80/48/83/1055804883.db2.gz GMZRKVFBWUGLIU-UHFFFAOYSA-N 0 3 316.470 4.331 20 0 DIADHN CC[C@@H](NCc1cnn(-c2ccccc2C)c1)c1ccccc1 ZINC001620973987 1055806934 /nfs/dbraw/zinc/80/69/34/1055806934.db2.gz XHYRLTCRMJLLLP-LJQANCHMSA-N 0 3 305.425 4.422 20 0 DIADHN Cc1cc(NC(=O)[C@@H]2CCCN2CC(C)C)cc(C)c1Cl ZINC001567996183 1055826606 /nfs/dbraw/zinc/82/66/06/1055826606.db2.gz MZCNRAMXKZPEGX-HNNXBMFYSA-N 0 3 308.853 4.016 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCC[C@H]1c1cccc2ccccc21 ZINC000060040088 1055828390 /nfs/dbraw/zinc/82/83/90/1055828390.db2.gz UTMNQEQSYRWXRX-IBGZPJMESA-N 0 3 324.468 4.282 20 0 DIADHN CC(C)c1csc(CN[C@@H]2Cc3[nH]c4ccccc4c3C2)n1 ZINC000282220469 1055833768 /nfs/dbraw/zinc/83/37/68/1055833768.db2.gz HXFUQEVCJZZEBD-LBPRGKRZSA-N 0 3 311.454 4.005 20 0 DIADHN Cc1cc(C)cc(NC(=O)c2cccc(CN3CCCC3)c2)c1 ZINC000060549997 1055841723 /nfs/dbraw/zinc/84/17/23/1055841723.db2.gz MKLZWEBMYJPIAL-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN O=C(Nc1ccc2sccc2c1)Nc1ccc2c(c1)CNC2 ZINC001239187502 1055842148 /nfs/dbraw/zinc/84/21/48/1055842148.db2.gz AANCJGRURQWAQQ-UHFFFAOYSA-N 0 3 309.394 4.149 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)Nc1ccc2ccccc2c1 ZINC001239187640 1055842224 /nfs/dbraw/zinc/84/22/24/1055842224.db2.gz KDHJLGDVXYAZQP-UHFFFAOYSA-N 0 3 303.365 4.087 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)Nc1ccc(Cl)cc1Cl ZINC001239187648 1055843204 /nfs/dbraw/zinc/84/32/04/1055843204.db2.gz KTBCMTPSDWELCH-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1cccc(-c2ccccc2)c1 ZINC001568712587 1055844730 /nfs/dbraw/zinc/84/47/30/1055844730.db2.gz ADQJEFNDHPGVPN-HXUWFJFHSA-N 0 3 322.452 4.413 20 0 DIADHN O=C(Nc1cc(Cl)cc(Cl)c1)Nc1cccc2c1CNC2 ZINC001239190948 1055845983 /nfs/dbraw/zinc/84/59/83/1055845983.db2.gz YKEXETDFUTUYNN-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CCc1nc([C@@H](C)N[C@H]2Cc3[nH]c4ccccc4c3C2)cs1 ZINC000282229594 1055849099 /nfs/dbraw/zinc/84/90/99/1055849099.db2.gz IMANHNZEFLBKHQ-VXGBXAGGSA-N 0 3 311.454 4.005 20 0 DIADHN CCCNC(=O)C1CCN([C@@H](CC)c2ccc(Cl)cc2)CC1 ZINC000060876361 1055854456 /nfs/dbraw/zinc/85/44/56/1055854456.db2.gz TUZBSSKETUYXPM-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN CCOc1ccccc1CNCCSc1ccc(C)cc1 ZINC000061012207 1055857708 /nfs/dbraw/zinc/85/77/08/1055857708.db2.gz TVUKINYHJLDJQP-UHFFFAOYSA-N 0 3 301.455 4.276 20 0 DIADHN CCCCc1ccc(NC(=O)N2CCN[C@@H](CCCC)C2)cc1 ZINC001239292793 1055860457 /nfs/dbraw/zinc/86/04/57/1055860457.db2.gz DAQGPZLUDMXPBQ-SFHVURJKSA-N 0 3 317.477 4.025 20 0 DIADHN CCN(CC(=O)Nc1ccccc1C(C)(C)C)C1CCCC1 ZINC000061256142 1055863477 /nfs/dbraw/zinc/86/34/77/1055863477.db2.gz DEMGKSDSNRWIJZ-UHFFFAOYSA-N 0 3 302.462 4.187 20 0 DIADHN CC(C)Oc1ncc(Cl)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001239331431 1055873470 /nfs/dbraw/zinc/87/34/70/1055873470.db2.gz CAJZPTXXQUAJDU-UHFFFAOYSA-N 0 3 316.832 4.177 20 0 DIADHN CCOC(=O)c1nc(CN[C@H]2CCCC[C@H]2C(C)(C)C)cs1 ZINC000108367697 1055875124 /nfs/dbraw/zinc/87/51/24/1055875124.db2.gz KJDPWPYTGKBHAH-KGLIPLIRSA-N 0 3 324.490 4.014 20 0 DIADHN CC[C@H](C)CC(=O)NC[C@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001570061928 1055885797 /nfs/dbraw/zinc/88/57/97/1055885797.db2.gz HRPCWENSLDWFFA-QFBILLFUSA-N 0 3 318.505 4.040 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C)CC1)c1ccc2ccccc2c1 ZINC000063309149 1055887708 /nfs/dbraw/zinc/88/77/08/1055887708.db2.gz OTLTWLFEXQGKKC-IRXDYDNUSA-N 0 3 324.468 4.139 20 0 DIADHN CC[C@@H](C)c1cccc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)c1 ZINC001539703921 1055888413 /nfs/dbraw/zinc/88/84/13/1055888413.db2.gz HEDAHJQNHXQUSL-AUUYWEPGSA-N 0 3 320.452 4.351 20 0 DIADHN CC(C)CN(Cc1nnc(-c2ccccc2Cl)o1)C(C)C ZINC000063495907 1055893762 /nfs/dbraw/zinc/89/37/62/1055893762.db2.gz NOSCNYNKHOWAEZ-UHFFFAOYSA-N 0 3 307.825 4.256 20 0 DIADHN CCCCN(C(=O)c1ccc2c(c1)CNC2)[C@H](C)c1ccccc1 ZINC000347620790 1055897989 /nfs/dbraw/zinc/89/79/89/1055897989.db2.gz JPNHUXLSHBGICN-MRXNPFEDSA-N 0 3 322.452 4.293 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)NCc1ccc(C)c2ccccc12 ZINC001570365119 1055899524 /nfs/dbraw/zinc/89/95/24/1055899524.db2.gz NKAPRNKYQCRGPB-FQEVSTJZSA-N 0 3 324.468 4.029 20 0 DIADHN CCOC1CCC(NC2(c3c(F)cccc3F)CCC2)CC1 ZINC000624345427 1055913362 /nfs/dbraw/zinc/91/33/62/1055913362.db2.gz HPNHUHXHDGOVBX-UHFFFAOYSA-N 0 3 309.400 4.281 20 0 DIADHN Clc1ccc(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c(Cl)c1 ZINC001203553534 1055918606 /nfs/dbraw/zinc/91/86/06/1055918606.db2.gz HIJSEEWVMQTEKZ-XEGUGMAKSA-N 0 3 300.229 4.041 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671739440 1055921542 /nfs/dbraw/zinc/92/15/42/1055921542.db2.gz YLVWUUWZOSWDAS-JTQLQIEISA-N 0 3 302.761 4.038 20 0 DIADHN Cc1cc(C)c(CNCc2cc(F)ccc2N(C)C)c(C)c1 ZINC001620751989 1055925446 /nfs/dbraw/zinc/92/54/46/1055925446.db2.gz OFTXDGAZGMZQKF-UHFFFAOYSA-N 0 3 300.421 4.107 20 0 DIADHN C[C@H]1CCCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001615640193 1055926245 /nfs/dbraw/zinc/92/62/45/1055926245.db2.gz STKLJOAEOWMZRA-ZIBATOQPSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@@H]1CCCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001615640191 1055926598 /nfs/dbraw/zinc/92/65/98/1055926598.db2.gz STKLJOAEOWMZRA-JRPNMDOOSA-N 0 3 302.245 4.147 20 0 DIADHN O=C(CN(C1CC1)C1CCCC1)Nc1cccc2ccccc21 ZINC000064807893 1055928483 /nfs/dbraw/zinc/92/84/83/1055928483.db2.gz BJWOEJJYMMEMDY-UHFFFAOYSA-N 0 3 308.425 4.185 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1(C)C ZINC001615650999 1055928618 /nfs/dbraw/zinc/92/86/18/1055928618.db2.gz WMHXAGQWRNEGJS-XHDPSFHLSA-N 0 3 317.260 4.024 20 0 DIADHN COc1ccc(CNC[C@@H](C)c2c(Cl)cccc2Cl)o1 ZINC001620760930 1055932256 /nfs/dbraw/zinc/93/22/56/1055932256.db2.gz YLUIIFQFKBBKEZ-SNVBAGLBSA-N 0 3 314.212 4.488 20 0 DIADHN COc1ccc(CNCc2ccc3[nH]c(C)c(C)c3c2)cc1OC ZINC000065013691 1055935578 /nfs/dbraw/zinc/93/55/78/1055935578.db2.gz LKGVXVIPZHDLQY-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN C[C@@H](NCc1cccc2nccn21)c1ccc(Cl)c(Cl)c1 ZINC001620772916 1055940190 /nfs/dbraw/zinc/94/01/90/1055940190.db2.gz DRALQQUFMZLWIX-LLVKDONJSA-N 0 3 320.223 4.492 20 0 DIADHN CCOc1ccc(-c2noc(CN(CC)[C@H](C)C(C)C)n2)cc1 ZINC000065298513 1055943272 /nfs/dbraw/zinc/94/32/72/1055943272.db2.gz XMPBPVWHFUVMQO-CQSZACIVSA-N 0 3 317.433 4.002 20 0 DIADHN Cc1cc(CNCC2(c3ccc(C(C)(C)C)cc3)CCC2)on1 ZINC001620781307 1055948829 /nfs/dbraw/zinc/94/88/29/1055948829.db2.gz ZJRSRLQOCRDGGI-UHFFFAOYSA-N 0 3 312.457 4.492 20 0 DIADHN CC(C)[C@H]1C[C@H](N[C@@H](C)c2nc(C(C)(C)C)cs2)CCO1 ZINC001620783364 1055951642 /nfs/dbraw/zinc/95/16/42/1055951642.db2.gz IHFISYQCBGNOCT-BFHYXJOUSA-N 0 3 310.507 4.295 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2nc(C(C)(C)C)cs2)CCO1 ZINC001620783366 1055952632 /nfs/dbraw/zinc/95/26/32/1055952632.db2.gz IHFISYQCBGNOCT-MELADBBJSA-N 0 3 310.507 4.295 20 0 DIADHN CCCC[C@@](C)(CO)NCc1cc(Cl)cc(Cl)c1OC ZINC001620985096 1055956062 /nfs/dbraw/zinc/95/60/62/1055956062.db2.gz SXPTWVMJBUAEAL-HNNXBMFYSA-N 0 3 320.260 4.033 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@@H]1CCC[C@H](F)C1 ZINC001620984614 1055957094 /nfs/dbraw/zinc/95/70/94/1055957094.db2.gz YJCAIIKQHZTBMU-UONOGXRCSA-N 0 3 321.827 4.204 20 0 DIADHN Cc1cnc(CCNCc2ccc(Oc3ccccc3)o2)c(C)c1 ZINC001620986970 1055960081 /nfs/dbraw/zinc/96/00/81/1055960081.db2.gz DVVVNLNUTRJXTF-UHFFFAOYSA-N 0 3 322.408 4.416 20 0 DIADHN CCOc1ccc(CNCc2cccc(CC)c2)cc1OCC ZINC001620992521 1055963626 /nfs/dbraw/zinc/96/36/26/1055963626.db2.gz NGLVNBYPPIWFHG-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN CCC[C@@H](C)[C@@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001620994049 1055963695 /nfs/dbraw/zinc/96/36/95/1055963695.db2.gz AWJVKAMHGZDZPC-QMTHXVAHSA-N 0 3 323.786 4.246 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccccc32)cc(C(F)(F)F)c1 ZINC001620997332 1055965415 /nfs/dbraw/zinc/96/54/15/1055965415.db2.gz GAMNYDMQMSHOII-QGZVFWFLSA-N 0 3 321.342 4.491 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671751554 1055967967 /nfs/dbraw/zinc/96/79/67/1055967967.db2.gz JUJSNQRMPODOPH-WBMJQRKESA-N 0 3 308.381 4.180 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751515 1055968526 /nfs/dbraw/zinc/96/85/26/1055968526.db2.gz ARHJZHCIRPBSFT-PEBVRCNWSA-N 0 3 308.381 4.433 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751598 1055968697 /nfs/dbraw/zinc/96/86/97/1055968697.db2.gz UYAZRQNHZVELRS-MEBBXXQBSA-N 0 3 312.344 4.011 20 0 DIADHN COC(=O)CC[C@H](NCc1cccc(C2CC2)c1)c1ccccc1 ZINC001649091645 1055970134 /nfs/dbraw/zinc/97/01/34/1055970134.db2.gz TUTBQUKMDRMVCT-FQEVSTJZSA-N 0 3 323.436 4.348 20 0 DIADHN CC(C)(CCN1CCc2nc[nH]c2[C@@H]1c1ccccc1)C1CC1 ZINC001620597907 1055971642 /nfs/dbraw/zinc/97/16/42/1055971642.db2.gz KHFPHVSHZVUYGP-IBGZPJMESA-N 0 3 309.457 4.184 20 0 DIADHN Cc1cccnc1[C@H](C)NC[C@H]1CCC[C@H](c2ccccc2)O1 ZINC001620664505 1055977442 /nfs/dbraw/zinc/97/74/42/1055977442.db2.gz HINUBDHDEUXXGB-QXAKKESOSA-N 0 3 310.441 4.351 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cnccc1C(F)(F)F ZINC001621013035 1055978346 /nfs/dbraw/zinc/97/83/46/1055978346.db2.gz NUMNSVVTMMCBGB-UKTHLTGXSA-N 0 3 306.331 4.294 20 0 DIADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC001621011631 1055978535 /nfs/dbraw/zinc/97/85/35/1055978535.db2.gz NVDMQQCIDWULKQ-OLZOCXBDSA-N 0 3 307.743 4.016 20 0 DIADHN c1ccc2c(c1)cccc2CCCN1CCCC[C@]12CCOC2 ZINC001620677956 1055978733 /nfs/dbraw/zinc/97/87/33/1055978733.db2.gz BDSKYMCVIRVZTC-OAQYLSRUSA-N 0 3 309.453 4.417 20 0 DIADHN CCCCCNCc1c(C)nn(Cc2ccc(C)cc2)c1Cl ZINC001620694996 1055980916 /nfs/dbraw/zinc/98/09/16/1055980916.db2.gz JLLJQZPEMTZTSP-UHFFFAOYSA-N 0 3 319.880 4.481 20 0 DIADHN CC(C)(NCc1cccc(O)c1)c1cccc(C(F)(F)F)c1 ZINC000067011484 1055985637 /nfs/dbraw/zinc/98/56/37/1055985637.db2.gz NFBCDMXSLZHMPU-UHFFFAOYSA-N 0 3 309.331 4.436 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cccc3nsnc31)CC2 ZINC001621023572 1055988470 /nfs/dbraw/zinc/98/84/70/1055988470.db2.gz QFCDOFWRJGVJFR-CQSZACIVSA-N 0 3 315.829 4.122 20 0 DIADHN Clc1ncccc1CN[C@H]1C[C@H](Sc2ccccc2)C1 ZINC001621023273 1055988700 /nfs/dbraw/zinc/98/87/00/1055988700.db2.gz DUMGENRSAJYROW-CTYIDZIISA-N 0 3 304.846 4.148 20 0 DIADHN FC(F)(F)CCNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC001620815253 1056009016 /nfs/dbraw/zinc/00/90/16/1056009016.db2.gz RCXCGPVFJCWLHS-UHFFFAOYSA-N 0 3 305.649 4.401 20 0 DIADHN Cc1cc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c(C)o1 ZINC001621032900 1055994514 /nfs/dbraw/zinc/99/45/14/1055994514.db2.gz SGDNLJFSSXJSPW-SJORKVTESA-N 0 3 305.805 4.170 20 0 DIADHN CCOc1cc(CNCc2ccc(Cl)cc2C)ccc1OC ZINC001620798819 1055994920 /nfs/dbraw/zinc/99/49/20/1055994920.db2.gz YOXGGURNIDHDPP-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN c1cc(CNCc2ccnc(OCC3CC3)c2)cc(C2CC2)c1 ZINC001649101497 1055998503 /nfs/dbraw/zinc/99/85/03/1055998503.db2.gz KSQIAKWZXXNUDL-UHFFFAOYSA-N 0 3 308.425 4.038 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCO[C@H]2c2ccc(Cl)cc2)o1 ZINC001621042006 1056001707 /nfs/dbraw/zinc/00/17/07/1056001707.db2.gz JPNRMABUGXTVMP-SJORKVTESA-N 0 3 305.805 4.251 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2C(F)(F)F)ccc1Oc1cccnc1 ZINC001621042353 1056002319 /nfs/dbraw/zinc/00/23/19/1056002319.db2.gz WEQWWYADMZKPBY-HUUCEWRRSA-N 0 3 322.330 4.223 20 0 DIADHN COC(OC)[C@H](C)NCc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001621043457 1056004704 /nfs/dbraw/zinc/00/47/04/1056004704.db2.gz GZMYVZOUTNPIKU-ZDUSSCGKSA-N 0 3 319.832 4.104 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2nc(C(C)(C)C)cs2)o1 ZINC001620813457 1056006973 /nfs/dbraw/zinc/00/69/73/1056006973.db2.gz LJGWHSXSJMBMTE-GFCCVEGCSA-N 0 3 306.475 4.453 20 0 DIADHN Cc1nc(CNC[C@H]2CCCO[C@H]2c2ccc(C)cc2)cs1 ZINC001620816106 1056008935 /nfs/dbraw/zinc/00/89/35/1056008935.db2.gz RVBVMAJLDPGZMH-AEFFLSMTSA-N 0 3 316.470 4.017 20 0 DIADHN CN(Cc1ccccc1)c1ccc(-c2cncc3cc[nH]c32)cn1 ZINC001239661884 1056009953 /nfs/dbraw/zinc/00/99/53/1056009953.db2.gz GZRRXNZQNJWLDP-UHFFFAOYSA-N 0 3 314.392 4.261 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cc(C(F)(F)F)cc(Cl)n2)CS1 ZINC001621056346 1056014719 /nfs/dbraw/zinc/01/47/19/1056014719.db2.gz VTKUFEKBQRVHFG-WCBMZHEXSA-N 0 3 324.799 4.128 20 0 DIADHN C[C@@H](N[C@@H](C)c1c(F)cncc1F)C1CCC(F)(F)CC1 ZINC000671770711 1056015348 /nfs/dbraw/zinc/01/53/48/1056015348.db2.gz IITYMMXSMZLQLO-ZJUUUORDSA-N 0 3 304.331 4.224 20 0 DIADHN Brc1cccc(CNC2(c3ccccc3)CC2)c1 ZINC000068980189 1056017299 /nfs/dbraw/zinc/01/72/99/1056017299.db2.gz WQWHLROGCVYMHQ-UHFFFAOYSA-N 0 3 302.215 4.228 20 0 DIADHN COc1cc(CNC2(c3ccccc3)CC2)ccc1OC(F)F ZINC000068980253 1056018079 /nfs/dbraw/zinc/01/80/79/1056018079.db2.gz DUTCSEXFNXMRMO-UHFFFAOYSA-N 0 3 319.351 4.076 20 0 DIADHN CN(C)C1(C(=O)Nc2cccc(Oc3ccccc3)c2)CCCC1 ZINC001540400076 1056026171 /nfs/dbraw/zinc/02/61/71/1056026171.db2.gz IIZBLDIJOUIQSY-UHFFFAOYSA-N 0 3 324.424 4.292 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001583140096 1056027097 /nfs/dbraw/zinc/02/70/97/1056027097.db2.gz TXFIANNXJOBWJY-SFHVURJKSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC001621325164 1056032388 /nfs/dbraw/zinc/03/23/88/1056032388.db2.gz IOJZFPHDTCAMPC-MSOLQXFVSA-N 0 3 313.489 4.482 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)[C@H](C)C(CC)CC)N(C)C)cc1 ZINC001540450699 1056032299 /nfs/dbraw/zinc/03/22/99/1056032299.db2.gz CCOLZCRFURRCOS-DNVCBOLYSA-N 0 3 318.505 4.040 20 0 DIADHN CCc1ccc([C@H](CNC(=O)C[C@H](CC)C(C)C)N(C)C)cc1 ZINC001540451135 1056032497 /nfs/dbraw/zinc/03/24/97/1056032497.db2.gz NLYQXAORJBWEHN-HKUYNNGSSA-N 0 3 318.505 4.040 20 0 DIADHN CCCC[C@@H](CC)C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C ZINC001540451263 1056032810 /nfs/dbraw/zinc/03/28/10/1056032810.db2.gz QFBCHKYDNAULJY-MJGOQNOKSA-N 0 3 318.505 4.184 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)[C@@H](C)C(CC)CC)N(C)C)cc1 ZINC001540450700 1056033218 /nfs/dbraw/zinc/03/32/18/1056033218.db2.gz CCOLZCRFURRCOS-HNAYVOBHSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCCc3ccccc32)c(CN(C)C)c1 ZINC000072841003 1056034896 /nfs/dbraw/zinc/03/48/96/1056034896.db2.gz WTZVQEGCAPINSM-LJQANCHMSA-N 0 3 322.452 4.115 20 0 DIADHN CC(C)CC1(C(=O)NC[C@@H](c2ccccc2)N(C)C)CCCC1 ZINC001540462952 1056035591 /nfs/dbraw/zinc/03/55/91/1056035591.db2.gz RUGRYMRZCPIGEG-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1ccc(CN(C(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)C(C)C)s1 ZINC001583197434 1056038621 /nfs/dbraw/zinc/03/86/21/1056038621.db2.gz HFYRDZABHFSBNK-DZKIICNBSA-N 0 3 322.518 4.055 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc(C(F)F)c2F)c1 ZINC001540547777 1056042852 /nfs/dbraw/zinc/04/28/52/1056042852.db2.gz AILNLDHVFASHBH-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN CO[C@H]1C[C@@H](N(C)Cc2cscc2C(F)(F)F)C12CCC2 ZINC001621338649 1056043528 /nfs/dbraw/zinc/04/35/28/1056043528.db2.gz NEBFZPFSRDDQLO-OLZOCXBDSA-N 0 3 319.392 4.156 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CCCCCCCC2)s1 ZINC001621340462 1056046053 /nfs/dbraw/zinc/04/60/53/1056046053.db2.gz MRUPJUAXRIKLOW-UHFFFAOYSA-N 0 3 301.887 4.019 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(F)c(C(F)F)c2)c1 ZINC001540627500 1056052892 /nfs/dbraw/zinc/05/28/92/1056052892.db2.gz CVRBDGRXUIOXLH-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(NC(C)=O)cc2)c1F ZINC001239758163 1056062366 /nfs/dbraw/zinc/06/23/66/1056062366.db2.gz PTBGQCIDBMQPIN-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(C)ccc3OC)c(C)c2)CC1 ZINC001239766193 1056065386 /nfs/dbraw/zinc/06/53/86/1056065386.db2.gz PURNEHITEUMHGV-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN CC(=O)Nc1ccccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001239782281 1056071627 /nfs/dbraw/zinc/07/16/27/1056071627.db2.gz OPHIXHDQBRCPLA-UHFFFAOYSA-N 0 3 312.388 4.047 20 0 DIADHN CSc1cc(NC(=O)[C@H](C(C)C)N2CCCCC2)ccc1C ZINC001583403172 1056075748 /nfs/dbraw/zinc/07/57/48/1056075748.db2.gz QMGYCQUCIIBDDR-KRWDZBQOSA-N 0 3 320.502 4.166 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N(CCC(C)C)CCC(C)C ZINC001540789456 1056075870 /nfs/dbraw/zinc/07/58/70/1056075870.db2.gz GRPPOKRXFXCKEK-SFHVURJKSA-N 0 3 310.526 4.172 20 0 DIADHN CSc1cc(NC(=O)[C@@H](C(C)C)N2CCCCC2)ccc1C ZINC001583403173 1056075845 /nfs/dbraw/zinc/07/58/45/1056075845.db2.gz QMGYCQUCIIBDDR-QGZVFWFLSA-N 0 3 320.502 4.166 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)CC1CCCCC1)CC(C)(C)C ZINC001583431765 1056080190 /nfs/dbraw/zinc/08/01/90/1056080190.db2.gz VBWBFZNTVFYOIO-QGZVFWFLSA-N 0 3 324.553 4.419 20 0 DIADHN COc1cccc(-c2ccc3c(c2)C(C(C)C)=NCC3)c1OC ZINC001239818136 1056088414 /nfs/dbraw/zinc/08/84/14/1056088414.db2.gz JSFKGYABUBBBDN-UHFFFAOYSA-N 0 3 309.409 4.372 20 0 DIADHN Nc1nc2cc(-c3ccccc3OCc3ccccc3)ccc2[nH]1 ZINC001239831626 1056097383 /nfs/dbraw/zinc/09/73/83/1056097383.db2.gz ZNNDCHIKDIVNCT-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN Nc1nc2ccc(-c3ccccc3OCc3ccccc3)cc2[nH]1 ZINC001239831626 1056097398 /nfs/dbraw/zinc/09/73/98/1056097398.db2.gz ZNNDCHIKDIVNCT-UHFFFAOYSA-N 0 3 315.376 4.391 20 0 DIADHN CCc1cc(CN[C@H](C)c2ccc(OC(C)(C)C)cc2)n[nH]1 ZINC000765803017 1056116231 /nfs/dbraw/zinc/11/62/31/1056116231.db2.gz OCGRSJKLZHUDFT-CYBMUJFWSA-N 0 3 301.434 4.000 20 0 DIADHN CC(C)c1ccccc1-c1cncc([C@H]2CN3CCC2CC3)n1 ZINC001239866150 1056116607 /nfs/dbraw/zinc/11/66/07/1056116607.db2.gz WFSKSYPBLXTXQD-SFHVURJKSA-N 0 3 307.441 4.076 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc3c(s2)CCCC3)C1(CC)CC ZINC001620819458 1056125160 /nfs/dbraw/zinc/12/51/60/1056125160.db2.gz UGMDEUADODJNSV-HZPDHXFCSA-N 0 3 322.518 4.095 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc3c(s2)CCCC3)C1(CC)CC ZINC001620819456 1056125405 /nfs/dbraw/zinc/12/54/05/1056125405.db2.gz UGMDEUADODJNSV-CVEARBPZSA-N 0 3 322.518 4.095 20 0 DIADHN COc1ccc(-c2cccnc2-c2ccccc2)cc1CN(C)C ZINC001239884006 1056131400 /nfs/dbraw/zinc/13/14/00/1056131400.db2.gz QQJDLIJWTJJYLG-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(C)cnc3C)c(C)c2)CC1 ZINC001239898622 1056142399 /nfs/dbraw/zinc/14/23/99/1056142399.db2.gz OHFGVCMEDXHUOT-UHFFFAOYSA-N 0 3 307.441 4.178 20 0 DIADHN CC[C@@H](C(=O)N[C@H](CC1CCCCC1)c1ccccc1)N(C)C ZINC001542687435 1056150532 /nfs/dbraw/zinc/15/05/32/1056150532.db2.gz RQJJOHOOIGOXSI-MOPGFXCFSA-N 0 3 316.489 4.155 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@](C)(O)c2cccc(Cl)c2)o1 ZINC000765812423 1056158001 /nfs/dbraw/zinc/15/80/01/1056158001.db2.gz BMHSJBWNRJLWTC-YVEFUNNKSA-N 0 3 307.821 4.054 20 0 DIADHN CCOc1cc(CN[C@@H]2C[C@H]2C2CCCCC2)c(F)cc1OC ZINC001620820165 1056170381 /nfs/dbraw/zinc/17/03/81/1056170381.db2.gz LTEAKQUVGNAJJZ-DOTOQJQBSA-N 0 3 321.436 4.291 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1cccc(Cl)c1)c1ccccc1F ZINC000765814707 1056171657 /nfs/dbraw/zinc/17/16/57/1056171657.db2.gz IAWYWADAKUXIPB-PXAZEXFGSA-N 0 3 307.796 4.037 20 0 DIADHN CCOCc1ccccc1CNCc1cccc(OC(F)F)c1 ZINC000057960605 1056185099 /nfs/dbraw/zinc/18/50/99/1056185099.db2.gz XVXXRPLNSOXQEW-UHFFFAOYSA-N 0 3 321.367 4.114 20 0 DIADHN C[C@@H](CNc1nc(C2CCCCC2)ns1)N1CCC[C@@H](C)C1 ZINC001611793926 1056191386 /nfs/dbraw/zinc/19/13/86/1056191386.db2.gz JWDBUHJILFTLGK-KGLIPLIRSA-N 0 3 322.522 4.118 20 0 DIADHN C[C@@H](CN1CCC[C@H](C)C1)Nc1nc(C2CCCCC2)ns1 ZINC001611860919 1056199364 /nfs/dbraw/zinc/19/93/64/1056199364.db2.gz MNGHBQLSGYPVSQ-KBPBESRZSA-N 0 3 322.522 4.118 20 0 DIADHN Fc1cccc(C2(NC[C@@H]3CC4(CO3)CCCCC4)CC2)c1 ZINC001168622753 1056215341 /nfs/dbraw/zinc/21/53/41/1056215341.db2.gz OFDCMYHGVIOKFY-KRWDZBQOSA-N 0 3 303.421 4.144 20 0 DIADHN Fc1cccc(C2(NC[C@H]3CC4(CO3)CCCCC4)CC2)c1 ZINC001168622754 1056215638 /nfs/dbraw/zinc/21/56/38/1056215638.db2.gz OFDCMYHGVIOKFY-QGZVFWFLSA-N 0 3 303.421 4.144 20 0 DIADHN CCCCNC(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001239988513 1056227123 /nfs/dbraw/zinc/22/71/23/1056227123.db2.gz XCZVBTKGTOTFKG-UHFFFAOYSA-N 0 3 320.436 4.466 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2ccc(F)cc2)cc1F ZINC000785446317 1056231491 /nfs/dbraw/zinc/23/14/91/1056231491.db2.gz DBZWWRAJQHSIDA-UHFFFAOYSA-N 0 3 305.368 4.388 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(F)cc2OC)c1F ZINC001240001053 1056240073 /nfs/dbraw/zinc/24/00/73/1056240073.db2.gz YWRGDYWDAYEDBS-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN c1nn(Cc2ccccc2)cc1-c1ccc(C2=NCCC2)cc1 ZINC001240003766 1056242611 /nfs/dbraw/zinc/24/26/11/1056242611.db2.gz JNRZECAUIOZWFL-UHFFFAOYSA-N 0 3 301.393 4.181 20 0 DIADHN c1c[nH]c([C@H](NC[C@@H]2CCC3(CCCC3)O2)C2CCCCC2)n1 ZINC000655851823 1056255885 /nfs/dbraw/zinc/25/58/85/1056255885.db2.gz HNHXRGXRWWPMLO-DLBZAZTESA-N 0 3 317.477 4.113 20 0 DIADHN S=C1Cc2ccc(-c3ccc(C[NH+]4CCCC4)cc3)cc2[N-]1 ZINC001240062438 1056297411 /nfs/dbraw/zinc/29/74/11/1056297411.db2.gz XBMPXVSPLPQVLM-UHFFFAOYSA-N 0 3 308.450 4.245 20 0 DIADHN COc1nsc(CN[C@@H](C)[C@@H](C)c2ccccc2)c1Cl ZINC001620823040 1056299475 /nfs/dbraw/zinc/29/94/75/1056299475.db2.gz AYGUGNQKWLJXST-MNOVXSKESA-N 0 3 310.850 4.087 20 0 DIADHN CCCCNC(=O)c1cccc(-c2nc(C)cc3[nH]ccc32)c1 ZINC001240083985 1056309992 /nfs/dbraw/zinc/30/99/92/1056309992.db2.gz ISVVSLHSQYKPEM-UHFFFAOYSA-N 0 3 307.397 4.068 20 0 DIADHN C[C@H]1CCCCN1c1cccc(N[C@H]2CCNc3ccccc32)n1 ZINC001168640439 1056312817 /nfs/dbraw/zinc/31/28/17/1056312817.db2.gz INHPUNPCMFMFIJ-YJBOKZPZSA-N 0 3 322.456 4.429 20 0 DIADHN c1ccc2c(c1)NCC[C@H]2Nc1cc(CN2CCCCC2)ccn1 ZINC001168640973 1056313554 /nfs/dbraw/zinc/31/35/54/1056313554.db2.gz QVILOGQUQMNBIV-LJQANCHMSA-N 0 3 322.456 4.036 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1sc(C)nc1C ZINC000765849880 1056325879 /nfs/dbraw/zinc/32/58/79/1056325879.db2.gz RPADUQPLCUJSMU-GFCCVEGCSA-N 0 3 303.475 4.067 20 0 DIADHN COc1ccc(-c2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001240102528 1056327370 /nfs/dbraw/zinc/32/73/70/1056327370.db2.gz YEFVYMWBVBPRBT-UHFFFAOYSA-N 0 3 316.404 4.070 20 0 DIADHN CC[C@H](NCc1ccccc1N(C)CC)c1ccc(OC)cc1 ZINC000765851058 1056327779 /nfs/dbraw/zinc/32/77/79/1056327779.db2.gz XTYIIBIXRUFZIF-IBGZPJMESA-N 0 3 312.457 4.392 20 0 DIADHN CN(C)Cc1cccc(-c2cccc(OCc3ccccc3)c2)n1 ZINC001240120969 1056340477 /nfs/dbraw/zinc/34/04/77/1056340477.db2.gz AAPLGQOLKGZHRB-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CN(C)Cc1ccnc(-c2cccc(OCc3ccccc3)c2)c1 ZINC001240121414 1056341578 /nfs/dbraw/zinc/34/15/78/1056341578.db2.gz QTCUTFKPOPTUJF-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F)c1ncc[nH]1 ZINC000353621339 1056344397 /nfs/dbraw/zinc/34/43/97/1056344397.db2.gz MFIRAKGQGSERAO-NJZAAPMLSA-N 0 3 323.362 4.415 20 0 DIADHN COC[C@@H](N[C@H](C)C1CCC(C(F)(F)F)CC1)c1ccco1 ZINC000474811482 1056357963 /nfs/dbraw/zinc/35/79/63/1056357963.db2.gz RUCFTDHINHCDLL-BLYZHGLHSA-N 0 3 319.367 4.314 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000156135923 1056382181 /nfs/dbraw/zinc/38/21/81/1056382181.db2.gz QSBFDHDFMSLLTJ-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NCC1(C(F)(F)F)CC1 ZINC000353948937 1056385336 /nfs/dbraw/zinc/38/53/36/1056385336.db2.gz YKQHEXJSVHKFSF-LLVKDONJSA-N 0 3 305.340 4.295 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@@H]2CCCc3cccnc32)nc1 ZINC001168655156 1056390123 /nfs/dbraw/zinc/39/01/23/1056390123.db2.gz KWBVMPNYYZUEEO-MSOLQXFVSA-N 0 3 322.456 4.123 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc2[nH]c(=O)oc21)C(C)C ZINC000921731170 1056391478 /nfs/dbraw/zinc/39/14/78/1056391478.db2.gz CZWMCYSNBRMNKA-KRWDZBQOSA-N 0 3 310.397 4.329 20 0 DIADHN CCCN(C)CC(=O)N[C@@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC001544259641 1056393926 /nfs/dbraw/zinc/39/39/26/1056393926.db2.gz IAGNIQOBPZNQTQ-INIZCTEOSA-N 0 3 324.896 4.275 20 0 DIADHN CCCC[C@H](C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C)C(C)C ZINC001544274472 1056400398 /nfs/dbraw/zinc/40/03/98/1056400398.db2.gz ZCRLDRDCSGFBOL-OALUTQOASA-N 0 3 318.505 4.176 20 0 DIADHN Cc1ccc([C@H](CNC(=O)[C@H](C)[C@H](C)C(C)(C)C)N(C)C)cc1 ZINC001544274077 1056400702 /nfs/dbraw/zinc/40/07/02/1056400702.db2.gz UQUFQFHKVQULGW-RYRKJORJSA-N 0 3 318.505 4.032 20 0 DIADHN C[C@@H](C(=O)N1CCCCCc2ccccc21)N1CCCCCC1 ZINC001544296686 1056403894 /nfs/dbraw/zinc/40/38/94/1056403894.db2.gz AGBXXHNULKFBCN-KRWDZBQOSA-N 0 3 314.473 4.011 20 0 DIADHN Cn1ncc2ccc(-c3ccc(F)c(CN4CCCCC4)c3)cc21 ZINC001240311346 1056423745 /nfs/dbraw/zinc/42/37/45/1056423745.db2.gz XJEBZPMNQLMPRS-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Fc1cc(-c2cccc3n[nH]cc32)ccc1CN1CCCCC1 ZINC001240317868 1056426671 /nfs/dbraw/zinc/42/66/71/1056426671.db2.gz VUMSNKZXMGXQKC-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2cc(C)cc(C)c2)cc1 ZINC001168656562 1056445748 /nfs/dbraw/zinc/44/57/48/1056445748.db2.gz FITNZLJFMQAGKV-UHFFFAOYSA-N 0 3 324.468 4.329 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)N(CCN(C)C)C1CCCCC1 ZINC001584968089 1056452688 /nfs/dbraw/zinc/45/26/88/1056452688.db2.gz QSAGCVTVOYBWGM-UHFFFAOYSA-N 0 3 324.553 4.418 20 0 DIADHN CCCC[C@@H](C(=O)N(CCN(C)C)C1CCCCC1)C(C)C ZINC001584968671 1056453384 /nfs/dbraw/zinc/45/33/84/1056453384.db2.gz XZJWBTYFSFVQJA-GOSISDBHSA-N 0 3 310.526 4.172 20 0 DIADHN CSc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000347664015 1056454838 /nfs/dbraw/zinc/45/48/38/1056454838.db2.gz OOVCHYHSSKWDBL-QGZVFWFLSA-N 0 3 321.873 4.275 20 0 DIADHN Fc1ccc2nc(C3=CCCN(Cc4ccccc4)C3)ncc2c1 ZINC001240385963 1056466079 /nfs/dbraw/zinc/46/60/79/1056466079.db2.gz SWAOAEFVBPGNJF-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CC(C)Oc1cccc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240385900 1056466524 /nfs/dbraw/zinc/46/65/24/1056466524.db2.gz PTMQVTZQVDRRJG-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN CC(C)Oc1ccnc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240385925 1056466620 /nfs/dbraw/zinc/46/66/20/1056466620.db2.gz QUWYDAIXYNICCW-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Cc1cc(F)c(CNC[C@@H](c2cccnc2)C(C)C)c(F)c1 ZINC001620827157 1056469801 /nfs/dbraw/zinc/46/98/01/1056469801.db2.gz FSOZEIBIKUWLTJ-OAHLLOKOSA-N 0 3 304.384 4.198 20 0 DIADHN S=C1Cc2ccc(C3=CCC[N@H+](Cc4ccccc4)C3)cc2[N-]1 ZINC001240388364 1056470985 /nfs/dbraw/zinc/47/09/85/1056470985.db2.gz AHGKIYVQENCEQR-UHFFFAOYSA-N 0 3 320.461 4.271 20 0 DIADHN S=C1Cc2ccc(C3=CCC[N@@H+](Cc4ccccc4)C3)cc2[N-]1 ZINC001240388364 1056470988 /nfs/dbraw/zinc/47/09/88/1056470988.db2.gz AHGKIYVQENCEQR-UHFFFAOYSA-N 0 3 320.461 4.271 20 0 DIADHN CC(C)COCCN1CCC(Oc2ccc(C(C)C)cc2)CC1 ZINC001615184747 1056472187 /nfs/dbraw/zinc/47/21/87/1056472187.db2.gz PDPLDZZKEWWTIM-UHFFFAOYSA-N 0 3 319.489 4.326 20 0 DIADHN COC/C(C)=C\CN1CCC(Oc2ccc(C(C)C)cc2)CC1 ZINC001615185179 1056472285 /nfs/dbraw/zinc/47/22/85/1056472285.db2.gz XJBIWPIOSLPJDZ-MFOYZWKCSA-N 0 3 317.473 4.246 20 0 DIADHN CC[C@H](CN1CCC[C@@H]1c1cccc(Br)c1)OC ZINC001615200957 1056475876 /nfs/dbraw/zinc/47/58/76/1056475876.db2.gz RJOIYEMFJNKXHE-HUUCEWRRSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(Cc2cccnc2)CC1 ZINC001168666613 1056476819 /nfs/dbraw/zinc/47/68/19/1056476819.db2.gz KAYQHBKYOSKION-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CCN(CC1(Br)CC1)[C@H](C)c1cccc(OC)c1 ZINC001615230541 1056480128 /nfs/dbraw/zinc/48/01/28/1056480128.db2.gz YXRZQKYQDSWKOP-GFCCVEGCSA-N 0 3 312.251 4.006 20 0 DIADHN CN1CCN(Cc2ccc(-c3cccc(C(F)F)c3)cc2)CC1 ZINC001240402951 1056484404 /nfs/dbraw/zinc/48/44/04/1056484404.db2.gz ZZGDIUSLGVNVSP-UHFFFAOYSA-N 0 3 316.395 4.039 20 0 DIADHN O=c1[nH]c2cccc(CN[C@H](CC3CC3)c3ccccc3)c2o1 ZINC000921785357 1056487135 /nfs/dbraw/zinc/48/71/35/1056487135.db2.gz DOQLVNVODZVAEK-QGZVFWFLSA-N 0 3 308.381 4.164 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccn(-c3ccccc3F)n2)C1 ZINC001615302642 1056490225 /nfs/dbraw/zinc/49/02/25/1056490225.db2.gz HTFIUCPBDPVCOU-HOCLYGCPSA-N 0 3 301.409 4.022 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001615318105 1056491298 /nfs/dbraw/zinc/49/12/98/1056491298.db2.gz DQENFEGKVOLGFG-VDZJLULYSA-N 0 3 305.437 4.241 20 0 DIADHN COc1ccccc1C[C@H]1CCCN1Cc1cc(F)ccc1F ZINC001615314107 1056491436 /nfs/dbraw/zinc/49/14/36/1056491436.db2.gz UPGMROVSNVABLP-QGZVFWFLSA-N 0 3 317.379 4.181 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001615318101 1056491708 /nfs/dbraw/zinc/49/17/08/1056491708.db2.gz DQENFEGKVOLGFG-HHXXYDBFSA-N 0 3 305.437 4.241 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCN(c3ccc(Cl)cn3)CC2)C1 ZINC001615337143 1056493940 /nfs/dbraw/zinc/49/39/40/1056493940.db2.gz IEWSWUZZCQYHNZ-CVEARBPZSA-N 0 3 321.896 4.073 20 0 DIADHN COc1ccc(CN(C)C(C2CC2)C2CC2)c(Br)c1 ZINC001615351372 1056496418 /nfs/dbraw/zinc/49/64/18/1056496418.db2.gz LLTAJFMPMLWYGH-UHFFFAOYSA-N 0 3 324.262 4.078 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1cc(C)cc(C)c1)C1CC1 ZINC001615468749 1056512338 /nfs/dbraw/zinc/51/23/38/1056512338.db2.gz BQIXMWKPLXXUNL-HOTGVXAUSA-N 0 3 302.462 4.141 20 0 DIADHN Cc1cccc2c1CCN(C[C@H]1CC[C@H](c3ccccc3)O1)C2 ZINC001615465923 1056512396 /nfs/dbraw/zinc/51/23/96/1056512396.db2.gz LUROZIAFRVRCRW-TZIWHRDSSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1cccc([C@H](NCc2cnn(CCF)c2)C(C)(C)C)c1 ZINC000921819405 1056513739 /nfs/dbraw/zinc/51/37/39/1056513739.db2.gz ZCXNTCQRKGCUQP-KRWDZBQOSA-N 0 3 303.425 4.038 20 0 DIADHN CSCc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000921818618 1056514227 /nfs/dbraw/zinc/51/42/27/1056514227.db2.gz IFCFGZSQLQTOEJ-QGZVFWFLSA-N 0 3 317.502 4.160 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000014934940 1056514311 /nfs/dbraw/zinc/51/43/11/1056514311.db2.gz STOXUIDSEQSFFP-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1ccnn1C1CCC1 ZINC000921816168 1056515040 /nfs/dbraw/zinc/51/50/40/1056515040.db2.gz GHOBTOZNEWAUCN-BFUOFWGJSA-N 0 3 313.420 4.160 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1cc(C)cc(C)c1)C1CC1 ZINC001615468750 1056516457 /nfs/dbraw/zinc/51/64/57/1056516457.db2.gz BQIXMWKPLXXUNL-HZPDHXFCSA-N 0 3 302.462 4.141 20 0 DIADHN COc1ccccc1CCN(C)Cc1ccc(C)cc1Cl ZINC001615478191 1056517003 /nfs/dbraw/zinc/51/70/03/1056517003.db2.gz ZNPZGBKVVQJBOD-UHFFFAOYSA-N 0 3 303.833 4.332 20 0 DIADHN Cc1ccccc1[C@H](NCc1c2c(nn1C)CCC2)C(C)(C)C ZINC000921822810 1056522810 /nfs/dbraw/zinc/52/28/10/1056522810.db2.gz LOCRINBPQXFSOS-IBGZPJMESA-N 0 3 311.473 4.094 20 0 DIADHN CCCC[C@@H](CC)CN1CCC[C@H]1C(=O)OCc1ccccc1 ZINC001615497247 1056523670 /nfs/dbraw/zinc/52/36/70/1056523670.db2.gz XNDONOULNHMFNU-MJGOQNOKSA-N 0 3 317.473 4.411 20 0 DIADHN C[C@@H]1CN([C@H]2C=CCCCCC2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001615524537 1056525871 /nfs/dbraw/zinc/52/58/71/1056525871.db2.gz UGHCLZTWRZPRMD-ZACQAIPSSA-N 0 3 322.493 4.205 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCN(CC2CC(C)C2)CC1 ZINC001615510992 1056526402 /nfs/dbraw/zinc/52/64/02/1056526402.db2.gz ZYWMFLLTCHNXCD-ZOJXTTQQSA-N 0 3 322.443 4.080 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)[C@@H](O)c1cccc(F)c1 ZINC001620828270 1056531924 /nfs/dbraw/zinc/53/19/24/1056531924.db2.gz NKHAKACTPRHSAK-KZULUSFZSA-N 0 3 319.420 4.236 20 0 DIADHN Cc1cc2cc(-c3ccc(F)c(CN4CCCC4)c3)cnc2[nH]1 ZINC001240488506 1056534777 /nfs/dbraw/zinc/53/47/77/1056534777.db2.gz BYTUGAYGGHCGKN-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN Fc1ccccc1C1CCN(C[C@@H]2CCC3(CCC3)CO2)CC1 ZINC001615569510 1056535517 /nfs/dbraw/zinc/53/55/17/1056535517.db2.gz MECFRAYCYQLYBR-KRWDZBQOSA-N 0 3 317.448 4.354 20 0 DIADHN CCOc1ncccc1-c1cccc(CN(CC)CC)c1F ZINC001240497810 1056537668 /nfs/dbraw/zinc/53/76/68/1056537668.db2.gz VKLROSBPOJJSBF-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1ccc(Cl)cc1C ZINC001615595804 1056543305 /nfs/dbraw/zinc/54/33/05/1056543305.db2.gz LKTCMBJWRLNMGR-OAHLLOKOSA-N 0 3 308.853 4.242 20 0 DIADHN Fc1ccc(CN[C@H]2Cc3cccc(Cl)c3C2)c(F)c1F ZINC001168684020 1056550313 /nfs/dbraw/zinc/55/03/13/1056550313.db2.gz CPBXRJZYABMZLR-NSHDSACASA-N 0 3 311.734 4.014 20 0 DIADHN C[C@@H]1C[C@@H](C)N(CC(=O)Nc2ccc(-c3ccccc3)cc2)C1 ZINC001615636261 1056551338 /nfs/dbraw/zinc/55/13/38/1056551338.db2.gz VWWAMJQFQYGUMQ-HZPDHXFCSA-N 0 3 308.425 4.022 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@H]2Cc3cccc(Cl)c3C2)c1 ZINC001168684861 1056551821 /nfs/dbraw/zinc/55/18/21/1056551821.db2.gz MFAZGWYTNDOLMZ-LBPRGKRZSA-N 0 3 311.734 4.014 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(Cc2csc(C)c2)CC1 ZINC001615668950 1056558883 /nfs/dbraw/zinc/55/88/83/1056558883.db2.gz PDFFXYBCHXQDKN-IBGZPJMESA-N 0 3 314.498 4.325 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1cnn(-c2ccccc2)c1 ZINC000765948052 1056561148 /nfs/dbraw/zinc/56/11/48/1056561148.db2.gz YNTXXNFYMNGHTD-VGMNTSGFSA-N 0 3 303.409 4.236 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCc2cscn2)o1 ZINC001615669347 1056561465 /nfs/dbraw/zinc/56/14/65/1056561465.db2.gz UHSCRGPFQBGQDR-BBRMVZONSA-N 0 3 304.459 4.314 20 0 DIADHN COc1ccc(-c2cccc(N3CCCC3)c2)cc1CN(C)C ZINC001240537517 1056562182 /nfs/dbraw/zinc/56/21/82/1056562182.db2.gz SNUOHYUDBLMZON-UHFFFAOYSA-N 0 3 310.441 4.024 20 0 DIADHN CSCCN(Cc1ccccc1Br)CC(C)C ZINC001615851070 1056589195 /nfs/dbraw/zinc/58/91/95/1056589195.db2.gz NKPLGFNIUYYYEA-UHFFFAOYSA-N 0 3 316.308 4.270 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(CC)cn2)C12CCCCC2 ZINC001615720740 1056566966 /nfs/dbraw/zinc/56/69/66/1056566966.db2.gz NGRJTCVWFZKOJH-MOPGFXCFSA-N 0 3 316.489 4.204 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(CC)cn2)C12CCCCC2 ZINC001615720742 1056567843 /nfs/dbraw/zinc/56/78/43/1056567843.db2.gz NGRJTCVWFZKOJH-OALUTQOASA-N 0 3 316.489 4.204 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@@H](O)c1ccccc1C ZINC000765949781 1056580612 /nfs/dbraw/zinc/58/06/12/1056580612.db2.gz CVUBWNHPJOXMLW-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC[C@H]4CCC[C@@H]43)o2)cc1 ZINC000075769200 1056589243 /nfs/dbraw/zinc/58/92/43/1056589243.db2.gz HZCNFNWJIOHYTC-CJNGLKHVSA-N 0 3 300.377 4.245 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(C(C)C)nc2C)C12CCC2 ZINC001615871096 1056590342 /nfs/dbraw/zinc/59/03/42/1056590342.db2.gz RJXJDIQKFPJSKX-OALUTQOASA-N 0 3 316.489 4.293 20 0 DIADHN CCOC(=O)/C=C/CNC(CC)(CC)c1ccc(Cl)cc1 ZINC001615882597 1056591432 /nfs/dbraw/zinc/59/14/32/1056591432.db2.gz JQJOJCFKNOSADF-BQYQJAHWSA-N 0 3 309.837 4.064 20 0 DIADHN CC(C)CCC1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC001615900791 1056593401 /nfs/dbraw/zinc/59/34/01/1056593401.db2.gz RFVZWJCMXPPCSG-UHFFFAOYSA-N 0 3 303.446 4.106 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)C[C@@H]1CCCC(C)(C)O1)CCC2 ZINC001615961254 1056601459 /nfs/dbraw/zinc/60/14/59/1056601459.db2.gz NBPZLGOOXCVYNZ-ZFWWWQNUSA-N 0 3 322.518 4.362 20 0 DIADHN CCC(=CC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C)CC ZINC001585690844 1056605116 /nfs/dbraw/zinc/60/51/16/1056605116.db2.gz YYYFFSKLPBIHLM-IBGZPJMESA-N 0 3 316.489 4.006 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1nc(-c2ccccc2F)no1 ZINC001616066543 1056610426 /nfs/dbraw/zinc/61/04/26/1056610426.db2.gz HKRNXPBSTSEDNB-CQSZACIVSA-N 0 3 317.408 4.276 20 0 DIADHN CCc1ccc([C@@H](NCc2cc(CO)ccc2F)C(C)C)cc1 ZINC001616135801 1056612028 /nfs/dbraw/zinc/61/20/28/1056612028.db2.gz COVXEGIHHOVLIO-FQEVSTJZSA-N 0 3 315.432 4.367 20 0 DIADHN CCC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001616159690 1056615808 /nfs/dbraw/zinc/61/58/08/1056615808.db2.gz RRVUAJKWVRHNDZ-UONOGXRCSA-N 0 3 308.853 4.179 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000678611613 1056620256 /nfs/dbraw/zinc/62/02/56/1056620256.db2.gz NAJWOFZCZPCDNJ-MMPTUQATSA-N 0 3 308.422 4.017 20 0 DIADHN COc1cc(-c2ccc(CN3CCCC3)c(F)c2)cc(F)c1F ZINC001240663214 1056631591 /nfs/dbraw/zinc/63/15/91/1056631591.db2.gz JTNHPUACOGTCKI-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC001616308097 1056631699 /nfs/dbraw/zinc/63/16/99/1056631699.db2.gz BDAQHJPIRMPVPB-SFHVURJKSA-N 0 3 315.436 4.412 20 0 DIADHN CC[C@@H](NC(=O)CN1CC[C@@](C)(CC)C1)c1ccc(Cl)cc1 ZINC001616338776 1056636882 /nfs/dbraw/zinc/63/68/82/1056636882.db2.gz PPSCIZYREMWVGJ-SJLPKXTDSA-N 0 3 322.880 4.029 20 0 DIADHN CC[C@]1(C)CCN(Cc2ccc(OCc3ccccc3)nc2)C1 ZINC001616347810 1056639580 /nfs/dbraw/zinc/63/95/80/1056639580.db2.gz RKCZJQKXSMDBJJ-HXUWFJFHSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc([C@H](NCc2cnn(C3CCC3)c2)C2CC2)ccc1F ZINC000921936972 1056646037 /nfs/dbraw/zinc/64/60/37/1056646037.db2.gz IPONIXZELYYKPQ-LJQANCHMSA-N 0 3 313.420 4.297 20 0 DIADHN Oc1ccc(F)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001240698018 1056647234 /nfs/dbraw/zinc/64/72/34/1056647234.db2.gz PTOOAJGKOWXWMT-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CCCC[C@H](CC)CC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001128188568 1056648779 /nfs/dbraw/zinc/64/87/79/1056648779.db2.gz FXRPVAUGGYXVKI-FUHIMQAGSA-N 0 3 318.505 4.401 20 0 DIADHN CC[C@H](CC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C)C(C)(C)C ZINC001128188403 1056648850 /nfs/dbraw/zinc/64/88/50/1056648850.db2.gz DPEJRIFKKRBCNN-KVSKMBFKSA-N 0 3 318.505 4.256 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@@H]2C[C@@H]2c2ccccc2)cs1 ZINC000338773852 1056651107 /nfs/dbraw/zinc/65/11/07/1056651107.db2.gz GJJXAXBAAFENEO-UTJXIGIESA-N 0 3 316.470 4.132 20 0 DIADHN CN(Cc1ccc(-c2ncco2)cc1F)C[C@@H]1CC=CCC1 ZINC001616548242 1056675395 /nfs/dbraw/zinc/67/53/95/1056675395.db2.gz FMMCKZBLNGEPFY-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN CC(=O)Nc1cccc2c1CCN(Cc1c(C)cc(C)cc1C)C2 ZINC000064953705 1056692082 /nfs/dbraw/zinc/69/20/82/1056692082.db2.gz MBYAGDWZBZBRIV-UHFFFAOYSA-N 0 3 322.452 4.129 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccccc2F)no1)C1CCCCC1 ZINC001616637124 1056692419 /nfs/dbraw/zinc/69/24/19/1056692419.db2.gz RZGUTTQDYOQGST-MRXNPFEDSA-N 0 3 317.408 4.324 20 0 DIADHN Cc1nc(CN[C@H](C)[C@H](C)c2ccccc2)nc2ccccc12 ZINC001616644547 1056693529 /nfs/dbraw/zinc/69/35/29/1056693529.db2.gz HIZDFKXUSSCUNE-LSDHHAIUSA-N 0 3 305.425 4.220 20 0 DIADHN Cc1nc(CN[C@@H](C)[C@@H](C)c2ccccc2)nc2ccccc12 ZINC001616644544 1056694263 /nfs/dbraw/zinc/69/42/63/1056694263.db2.gz HIZDFKXUSSCUNE-CABCVRRESA-N 0 3 305.425 4.220 20 0 DIADHN C[C@@H](NCc1csc(-c2ccccn2)n1)[C@H](C)c1ccccc1 ZINC001616646273 1056694282 /nfs/dbraw/zinc/69/42/82/1056694282.db2.gz PVJOFFLCLLMYLB-LSDHHAIUSA-N 0 3 323.465 4.487 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001616653428 1056695732 /nfs/dbraw/zinc/69/57/32/1056695732.db2.gz HJFAPNOYSBMMKB-PBHICJAKSA-N 0 3 307.384 4.107 20 0 DIADHN CO[C@H](CN[C@H](c1ccc(F)cc1F)C1CC1)c1ccccc1 ZINC001616653338 1056696148 /nfs/dbraw/zinc/69/61/48/1056696148.db2.gz GZOZOPKFBAEVKD-MOPGFXCFSA-N 0 3 317.379 4.393 20 0 DIADHN [O-]c1ccc(-c2ccc(C[NH+]3CCCCC3)c(F)c2)cc1F ZINC001240789726 1056699653 /nfs/dbraw/zinc/69/96/53/1056699653.db2.gz BPPGWCWXSIIXNB-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN COC(=O)/C(C)=C/CN[C@H](CCc1ccccc1)c1ccccc1 ZINC001616699162 1056702658 /nfs/dbraw/zinc/70/26/58/1056702658.db2.gz HLIGXBAUSICSBO-XBVMLYLOSA-N 0 3 323.436 4.069 20 0 DIADHN CC[C@@H](NCCCC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC001616714689 1056704888 /nfs/dbraw/zinc/70/48/88/1056704888.db2.gz QDQIOKJIVTUWDW-OAHLLOKOSA-N 0 3 313.388 4.127 20 0 DIADHN C[C@@H](CCCC(C)(C)O)Nc1cccc(N2CCCC[C@H]2C)n1 ZINC001168698604 1056708060 /nfs/dbraw/zinc/70/80/60/1056708060.db2.gz LHABNIJPIGFMDZ-JKSUJKDBSA-N 0 3 319.493 4.202 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C)cc1)C(=O)Nc1ccc(C)cc1 ZINC001616753359 1056712217 /nfs/dbraw/zinc/71/22/17/1056712217.db2.gz INWZVJQVEXAZJM-LPHOPBHVSA-N 0 3 310.441 4.371 20 0 DIADHN O=C(Nc1ccc(CNCc2ccc3occc3c2)cc1)C1CC1 ZINC001117136612 1056713175 /nfs/dbraw/zinc/71/31/75/1056713175.db2.gz KFMQJRPKLCGUJO-UHFFFAOYSA-N 0 3 320.392 4.071 20 0 DIADHN FCc1ccccc1CN1CC=C(c2ccc(Cl)nc2)CC1 ZINC001616778888 1056714962 /nfs/dbraw/zinc/71/49/62/1056714962.db2.gz KSYZPTZADDLHJU-UHFFFAOYSA-N 0 3 316.807 4.494 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@H](C)c2cc(C)cc(C)c2)c1 ZINC001616787412 1056717988 /nfs/dbraw/zinc/71/79/88/1056717988.db2.gz ZWGGNFDMFAQOHH-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1cnc(Cl)c(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c1 ZINC000922002481 1056723146 /nfs/dbraw/zinc/72/31/46/1056723146.db2.gz YZSSSBGNNUINED-SJORKVTESA-N 0 3 316.832 4.053 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnc3c(ccn3C)c2)c1F ZINC001240845777 1056725524 /nfs/dbraw/zinc/72/55/24/1056725524.db2.gz LZAHEBVKUNFFFB-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN COC[C@H](NC[C@@H]1Cc2ccccc21)c1cccc(Cl)c1F ZINC000672603577 1056735443 /nfs/dbraw/zinc/73/54/43/1056735443.db2.gz QRHVSTYOGRUPJT-GUYCJALGSA-N 0 3 319.807 4.096 20 0 DIADHN CC[C@H](C)[C@@H](C)N1CCO[C@H](c2ccc(OC(F)F)cc2)C1 ZINC001616921662 1056740356 /nfs/dbraw/zinc/74/03/56/1056740356.db2.gz RKSQVIYFIDCIQE-ZENOOKHLSA-N 0 3 313.388 4.096 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2cc(C)ccc2C)ccc1C ZINC001616942065 1056743134 /nfs/dbraw/zinc/74/31/34/1056743134.db2.gz CJPJNVOTNMIHSB-INIZCTEOSA-N 0 3 311.425 4.249 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000672616716 1056744709 /nfs/dbraw/zinc/74/47/09/1056744709.db2.gz FGDHTDMLXBSRAF-VQTJNVASSA-N 0 3 312.432 4.014 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnn(CC(C)C)c2)cc1F ZINC001240893972 1056747408 /nfs/dbraw/zinc/74/74/08/1056747408.db2.gz OPELLHQWQPTGLW-UHFFFAOYSA-N 0 3 303.425 4.187 20 0 DIADHN c1ccc([C@H]2CCCCN2Cc2cccc3nsnc32)cc1 ZINC001616975122 1056750021 /nfs/dbraw/zinc/75/00/21/1056750021.db2.gz USCNWHXBACGAFT-QGZVFWFLSA-N 0 3 309.438 4.419 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCOC(C)(C)C)cc1 ZINC001616981077 1056752065 /nfs/dbraw/zinc/75/20/65/1056752065.db2.gz IPIPXBZOIAVIDW-AWEZNQCLSA-N 0 3 303.368 4.393 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCc2cscn2)cc1 ZINC001616981206 1056753129 /nfs/dbraw/zinc/75/31/29/1056753129.db2.gz KCQDDTWNKALUBZ-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H]1CCC[C@H](C(C)C)CC1 ZINC001617059111 1056769355 /nfs/dbraw/zinc/76/93/55/1056769355.db2.gz ZHOQRTWSVWVGRS-ZWKOTPCHSA-N 0 3 310.526 4.220 20 0 DIADHN CCCCCC(C)(C)CNCc1c(Cl)nc(Cl)n1C ZINC001620833721 1056769529 /nfs/dbraw/zinc/76/95/29/1056769529.db2.gz IDZBFTJTEWMMEW-UHFFFAOYSA-N 0 3 306.281 4.423 20 0 DIADHN C[C@H](C(=O)N1CCCCCc2ccccc21)N1[C@H](C)CC[C@H]1C ZINC001586636434 1056771633 /nfs/dbraw/zinc/77/16/33/1056771633.db2.gz OODPTZFRDHVNPZ-BRWVUGGUSA-N 0 3 314.473 4.007 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CC[C@@H](C)C(C)(C)C1 ZINC001617081631 1056774301 /nfs/dbraw/zinc/77/43/01/1056774301.db2.gz REUAEDNHKIVRNW-SJLPKXTDSA-N 0 3 316.489 4.104 20 0 DIADHN CCc1ccc([C@H](C)C(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000113911615 1056793741 /nfs/dbraw/zinc/79/37/41/1056793741.db2.gz VEXMAPMDFZFWDN-INIZCTEOSA-N 0 3 324.468 4.361 20 0 DIADHN CC(C)[C@@H]1CCCN(C[C@H](O)c2ccccc2C(F)(F)F)C1 ZINC001617275210 1056804644 /nfs/dbraw/zinc/80/46/44/1056804644.db2.gz WFVHFCGDFLZNGJ-CJNGLKHVSA-N 0 3 315.379 4.107 20 0 DIADHN CCCOc1ccc(CNCc2cc(C)cc(C)c2)cc1OC ZINC000065890416 1056809479 /nfs/dbraw/zinc/80/94/79/1056809479.db2.gz XPVLFPQSWJNCDB-UHFFFAOYSA-N 0 3 313.441 4.391 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1cc(C(F)(F)F)co1 ZINC001617303681 1056810244 /nfs/dbraw/zinc/81/02/44/1056810244.db2.gz VFRPEHLUNBPSHN-OLZOCXBDSA-N 0 3 307.381 4.452 20 0 DIADHN CCCCC[C@H](NC(=O)[C@@H](C)N1CCCCCC1)C(C)(C)C ZINC001617324125 1056815293 /nfs/dbraw/zinc/81/52/93/1056815293.db2.gz YEKZXSVYMDVMKM-SJORKVTESA-N 0 3 310.526 4.362 20 0 DIADHN CC[C@H]1CCN(Cc2nc3cc(Br)ccc3o2)[C@H]1C ZINC001617360677 1056819921 /nfs/dbraw/zinc/81/99/21/1056819921.db2.gz OWUXSGDWRGUOJN-QWRGUYRKSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCN([C@H](C)c2ccsc2)CC1 ZINC001617381653 1056823660 /nfs/dbraw/zinc/82/36/60/1056823660.db2.gz JPLSKIQCHDTFSQ-IAGOWNOFSA-N 0 3 314.498 4.496 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCN([C@@H](C)c2ccsc2)CC1 ZINC001617381652 1056824149 /nfs/dbraw/zinc/82/41/49/1056824149.db2.gz JPLSKIQCHDTFSQ-DLBZAZTESA-N 0 3 314.498 4.496 20 0 DIADHN CCC[C@H](CC)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001128354080 1056824432 /nfs/dbraw/zinc/82/44/32/1056824432.db2.gz ZCZCWTONANJFPH-RBUKOAKNSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2ccc(F)c3cccnc23)C1 ZINC001617427901 1056830481 /nfs/dbraw/zinc/83/04/81/1056830481.db2.gz AERITLBQUMCOCF-LIRRHRJNSA-N 0 3 321.399 4.352 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2ccc(F)c3cccnc23)C1 ZINC001617427898 1056831249 /nfs/dbraw/zinc/83/12/49/1056831249.db2.gz AERITLBQUMCOCF-IFXJQAMLSA-N 0 3 321.399 4.352 20 0 DIADHN Cc1nc(CN[C@H]2CCCO[C@@H]2CC(C)C)sc1C(C)(C)C ZINC001617430252 1056833138 /nfs/dbraw/zinc/83/31/38/1056833138.db2.gz UKCRSAJTPWBSSE-LSDHHAIUSA-N 0 3 324.534 4.432 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(CCCc2c(F)cccc2F)C1 ZINC001617430395 1056833275 /nfs/dbraw/zinc/83/32/75/1056833275.db2.gz XEEXLISEDPNZHH-KUHUBIRLSA-N 0 3 316.395 4.376 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCCc2c(F)cccc2F)C1 ZINC001617430393 1056833387 /nfs/dbraw/zinc/83/33/87/1056833387.db2.gz XEEXLISEDPNZHH-AUUYWEPGSA-N 0 3 316.395 4.376 20 0 DIADHN CC(C)c1ncc(CN(C)CC[C@H]2C[C@H](C)C[C@H](C)C2)cn1 ZINC001617448826 1056834283 /nfs/dbraw/zinc/83/42/83/1056834283.db2.gz HETKEVSLBORIAL-FVQHAEBGSA-N 0 3 303.494 4.494 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001587001366 1056847971 /nfs/dbraw/zinc/84/79/71/1056847971.db2.gz KBBFKAYDIZYUCB-FQEVSTJZSA-N 0 3 322.452 4.413 20 0 DIADHN COc1ccc(C)cc1CNC[C@H](OC)c1ccccc1Cl ZINC001620835222 1056850758 /nfs/dbraw/zinc/85/07/58/1056850758.db2.gz ZORHMFWHKIMTPL-SFHVURJKSA-N 0 3 319.832 4.134 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H](OC)c1ccccc1Cl ZINC001620835221 1056851045 /nfs/dbraw/zinc/85/10/45/1056851045.db2.gz ZORHMFWHKIMTPL-GOSISDBHSA-N 0 3 319.832 4.134 20 0 DIADHN Cc1ccc(F)c(CN2CCCC[C@@H]2[C@@H]2CCCC[C@@H]2O)c1F ZINC001617627651 1056859496 /nfs/dbraw/zinc/85/94/96/1056859496.db2.gz JCHXVOBJNZVRFI-QGTPRVQTSA-N 0 3 323.427 4.179 20 0 DIADHN CCC(CC)[C@@H](c1ccc(Cl)cc1)N(C)CC(=O)N(C)CC ZINC001617654683 1056864592 /nfs/dbraw/zinc/86/45/92/1056864592.db2.gz UADUFNWQUBJBTC-SFHVURJKSA-N 0 3 324.896 4.227 20 0 DIADHN CCOc1cc(F)c(-c2ncccc2CN2CCCC2)cc1F ZINC001241074330 1056866137 /nfs/dbraw/zinc/86/61/37/1056866137.db2.gz QQXODKZIMMQCKT-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)[C@@H]1CCC(C)(C)C1 ZINC001617686355 1056869577 /nfs/dbraw/zinc/86/95/77/1056869577.db2.gz CNHLZHNIESPGPQ-CQSZACIVSA-N 0 3 308.853 4.097 20 0 DIADHN CCC1CCC(N(CCO)Cc2ccc(F)cc2Cl)CC1 ZINC001617707155 1056870424 /nfs/dbraw/zinc/87/04/24/1056870424.db2.gz FFKRLEQSLWYOLZ-UHFFFAOYSA-N 0 3 313.844 4.242 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1-c1ccc2c(n1)CNCC2 ZINC001241079156 1056871221 /nfs/dbraw/zinc/87/12/21/1056871221.db2.gz XTGULAACPUBQBT-UHFFFAOYSA-N 0 3 304.368 4.200 20 0 DIADHN CC(C)[C@H]1CCCN(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000114779634 1056875056 /nfs/dbraw/zinc/87/50/56/1056875056.db2.gz CJGUINKXZYAOSX-KRWDZBQOSA-N 0 3 317.477 4.038 20 0 DIADHN CCC1(CC)[C@H](NCc2c(F)ccc(C)c2F)[C@@H](C)[C@H]1OC ZINC001617736208 1056876448 /nfs/dbraw/zinc/87/64/48/1056876448.db2.gz ZPMDRUHAXINWKO-CSMYWGQOSA-N 0 3 311.416 4.203 20 0 DIADHN CCC1(CC)[C@H](NCc2c(F)ccc(C)c2F)[C@H](C)[C@H]1OC ZINC001617736210 1056876627 /nfs/dbraw/zinc/87/66/27/1056876627.db2.gz ZPMDRUHAXINWKO-JCURWCKSSA-N 0 3 311.416 4.203 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N1CCC(F)(c2ccccn2)CC1 ZINC001617783241 1056881141 /nfs/dbraw/zinc/88/11/41/1056881141.db2.gz KHQFBHLHXLZECJ-KRWDZBQOSA-N 0 3 314.379 4.169 20 0 DIADHN Cc1ccccc1C1CCN([C@@H](C)c2cnc(C)nc2C)CC1 ZINC000682075437 1056886555 /nfs/dbraw/zinc/88/65/55/1056886555.db2.gz SDVBAVAMWKUGQC-INIZCTEOSA-N 0 3 309.457 4.342 20 0 DIADHN C[C@H]1CC[C@H](c2ccccc2)N(CCn2cc(Cl)cn2)C1 ZINC001617836621 1056891749 /nfs/dbraw/zinc/89/17/49/1056891749.db2.gz JIDFVMMEAYVLQD-WMLDXEAASA-N 0 3 303.837 4.010 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000682160385 1056892959 /nfs/dbraw/zinc/89/29/59/1056892959.db2.gz SYHIAIZUMXFYMC-SGMGOOAPSA-N 0 3 304.484 4.355 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(C(F)(F)F)ccc1F ZINC001241101286 1056894251 /nfs/dbraw/zinc/89/42/51/1056894251.db2.gz NOUFPYSMXTXMRZ-SOFGYWHQSA-N 0 3 310.294 4.439 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H]2C[C@H](C)CC[C@@H]2C(C)C)cs1 ZINC000682377082 1056895406 /nfs/dbraw/zinc/89/54/06/1056895406.db2.gz VOBIIRZREVMJJT-CLWVCHIJSA-N 0 3 310.507 4.401 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H](C)c1cnn(CC)c1 ZINC001617910579 1056900381 /nfs/dbraw/zinc/90/03/81/1056900381.db2.gz KOQCJGZQDSWESJ-OAHLLOKOSA-N 0 3 307.441 4.469 20 0 DIADHN CC(C)(C)C1CCC(CN2CC[S@@](=O)C(C)(C)CC2)CC1 ZINC001617939739 1056904601 /nfs/dbraw/zinc/90/46/01/1056904601.db2.gz HLGJRYKDGFJRQJ-CPAJTMIGSA-N 0 3 313.551 4.072 20 0 DIADHN CN(Cc1c(Cl)ccc2cccnc21)[C@H](CO)CC(C)(C)C ZINC001617954974 1056908520 /nfs/dbraw/zinc/90/85/20/1056908520.db2.gz KXNOOQWVDUPWDC-AWEZNQCLSA-N 0 3 320.864 4.117 20 0 DIADHN CCc1cccc(CN(C)[C@H](CO)c2ccc(Cl)cc2)c1 ZINC001618092673 1056923373 /nfs/dbraw/zinc/92/33/73/1056923373.db2.gz VYMYDENVMZRTSC-GOSISDBHSA-N 0 3 303.833 4.068 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc(OC)c(OC)c1 ZINC000057600533 1056928223 /nfs/dbraw/zinc/92/82/23/1056928223.db2.gz JWWNBMBTMLABEL-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN C[C@@H](NCC(=O)N[C@H](C)c1ccccc1)c1cccc(C2CC2)c1 ZINC001618178337 1056930203 /nfs/dbraw/zinc/93/02/03/1056930203.db2.gz WSYYZNYWLSQRHL-HZPDHXFCSA-N 0 3 322.452 4.092 20 0 DIADHN C[C@@H](NCc1c[nH]nc1-c1cccs1)c1ccc(F)cc1 ZINC000035052660 1056932522 /nfs/dbraw/zinc/93/25/22/1056932522.db2.gz CPYHCITVFQRUPH-LLVKDONJSA-N 0 3 301.390 4.128 20 0 DIADHN c1cc(CN[C@@H](c2ccccc2)C2CCCC2)n(CC2CC2)n1 ZINC000657336751 1056934617 /nfs/dbraw/zinc/93/46/17/1056934617.db2.gz WAIFLEGHMMSKRT-FQEVSTJZSA-N 0 3 309.457 4.314 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cc(COC)cs2)c1 ZINC000657336714 1056935346 /nfs/dbraw/zinc/93/53/46/1056935346.db2.gz YQOMZMORPRBNAW-CYBMUJFWSA-N 0 3 305.443 4.144 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H](C)CCC(C)(C)C)c1ccco1 ZINC000673290345 1056939282 /nfs/dbraw/zinc/93/92/82/1056939282.db2.gz QWZHKDYQNCXIKP-HRCADAONSA-N 0 3 322.493 4.287 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C(CC)(CC)CC)c1ccco1 ZINC000673290976 1056941911 /nfs/dbraw/zinc/94/19/11/1056941911.db2.gz SRDYBQGPDRESAZ-CABCVRRESA-N 0 3 308.466 4.041 20 0 DIADHN CCOc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c(C)c1 ZINC000657386416 1056945097 /nfs/dbraw/zinc/94/50/97/1056945097.db2.gz BHEUEFRFWZYIBT-SFHVURJKSA-N 0 3 315.461 4.004 20 0 DIADHN CC1(C)CN([C@@H]2C[C@H]2c2cccc(F)c2)Cc2ccccc2O1 ZINC001618289151 1056946012 /nfs/dbraw/zinc/94/60/12/1056946012.db2.gz XLXBFNLIAXDFGX-ZWKOTPCHSA-N 0 3 311.400 4.355 20 0 DIADHN CCOc1ccc(-c2ccc3c(c2)CN(C)CC3)c(F)c1F ZINC001241179651 1056948340 /nfs/dbraw/zinc/94/83/40/1056948340.db2.gz LXNVZWOMNOGPFT-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN CCOc1ccc(-c2cncc(CN3CCCC3)c2)c(F)c1F ZINC001241180814 1056949587 /nfs/dbraw/zinc/94/95/87/1056949587.db2.gz OYNJPESQFQNJFT-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCN1CCCC[C@H]1c1ccc(-c2ccccc2C(C)=O)nc1 ZINC001241205518 1056957492 /nfs/dbraw/zinc/95/74/92/1056957492.db2.gz XOIWYPWUIQDXJU-FQEVSTJZSA-N 0 3 308.425 4.498 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCCc1cccc(Cl)c1 ZINC000925356376 1056972395 /nfs/dbraw/zinc/97/23/95/1056972395.db2.gz WDTIRWQXVOJXLY-OAHLLOKOSA-N 0 3 304.821 4.236 20 0 DIADHN Cc1cc(OCc2ccccc2)ccc1-c1cccc(CN)n1 ZINC001241254319 1056982521 /nfs/dbraw/zinc/98/25/21/1056982521.db2.gz VOCAZYOLBGFZGW-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CCCOc1ccc(CNC2(c3ccccc3)CC2)cc1OC ZINC000068979877 1056991189 /nfs/dbraw/zinc/99/11/89/1056991189.db2.gz HQLSZUYLAJGCRK-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000358738786 1056991366 /nfs/dbraw/zinc/99/13/66/1056991366.db2.gz BKWYZACSIVEFBD-AEFFLSMTSA-N 0 3 315.436 4.314 20 0 DIADHN C[C@@H](CNCc1csc(-c2cccs2)n1)c1ccccn1 ZINC000657533166 1056992280 /nfs/dbraw/zinc/99/22/80/1056992280.db2.gz PXLRNTLEKSMWEA-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000117349443 1056997410 /nfs/dbraw/zinc/99/74/10/1056997410.db2.gz KAPYBBFCOOQODM-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN([C@@H]2Cc3cccc(Cl)c3C2)C1 ZINC001168721845 1057000667 /nfs/dbraw/zinc/00/06/67/1057000667.db2.gz RXSSIDPSUFFAHV-BXUZGUMPSA-N 0 3 321.745 4.031 20 0 DIADHN CN1CC=C(c2nc3ccccc3c(Oc3ccccc3)n2)CC1 ZINC001241316562 1057010622 /nfs/dbraw/zinc/01/06/22/1057010622.db2.gz AGHWCRLYWKVWDJ-UHFFFAOYSA-N 0 3 317.392 4.141 20 0 DIADHN CSCCNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000070185572 1057017909 /nfs/dbraw/zinc/01/79/09/1057017909.db2.gz VBHOIGSSMORPCN-UHFFFAOYSA-N 0 3 304.440 4.009 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccnc2ccccc12 ZINC000378870790 1057018864 /nfs/dbraw/zinc/01/88/64/1057018864.db2.gz NCLWLKMWHPZQJI-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cncc(CN[C@@H](C)c2ccc(OC(C)C)cc2)c1C ZINC000631045563 1057019722 /nfs/dbraw/zinc/01/97/22/1057019722.db2.gz BJRMBRKHLPOBSG-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN COC(=O)c1coc(CN(C(C)C)[C@H](C)c2ccccc2)c1 ZINC000428849365 1057022941 /nfs/dbraw/zinc/02/29/41/1057022941.db2.gz KLFQQHQFWSXLOF-CQSZACIVSA-N 0 3 301.386 4.038 20 0 DIADHN CSCc1cnc(CNCC2CCC(SC)CC2)s1 ZINC000922421072 1057023465 /nfs/dbraw/zinc/02/34/65/1057023465.db2.gz ORBWGMORZPEJIE-UHFFFAOYSA-N 0 3 316.561 4.018 20 0 DIADHN FC(F)(F)c1ccc(CNCCOC2CCCCC2)s1 ZINC000428867624 1057028664 /nfs/dbraw/zinc/02/86/64/1057028664.db2.gz OOPRLWUWCITMQS-UHFFFAOYSA-N 0 3 307.381 4.206 20 0 DIADHN COc1ncc(C)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001241367451 1057029156 /nfs/dbraw/zinc/02/91/56/1057029156.db2.gz MIETTYSDFCBBPV-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2cnc3cccnc3c2)ccc1CN1CCCC1 ZINC001241386092 1057033874 /nfs/dbraw/zinc/03/38/74/1057033874.db2.gz YFEYHFOTCINZMB-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN Cc1cc(CN[C@H](c2ccccn2)C2CC2)cc2cccnc12 ZINC000922584318 1057035202 /nfs/dbraw/zinc/03/52/02/1057035202.db2.gz HRYMEQQTTYSIPA-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3ccccn3)C3CC3)ccc2n1 ZINC000922584102 1057035716 /nfs/dbraw/zinc/03/57/16/1057035716.db2.gz AOYJCHZAAGBRPM-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN CCOCOc1ccc(CN[C@@H](C)c2ccc(F)cc2C)cc1 ZINC000922667115 1057046066 /nfs/dbraw/zinc/04/60/66/1057046066.db2.gz ILABODNAOSBAGQ-HNNXBMFYSA-N 0 3 317.404 4.358 20 0 DIADHN COc1ccc([C@H](C)NCc2c[nH]c(-c3ccccc3)n2)cc1C ZINC000922672270 1057047741 /nfs/dbraw/zinc/04/77/41/1057047741.db2.gz PFNRLFALAWCMAI-HNNXBMFYSA-N 0 3 321.424 4.245 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc(-c3ccccc3)[nH]2)cc1C ZINC000922672270 1057047748 /nfs/dbraw/zinc/04/77/48/1057047748.db2.gz PFNRLFALAWCMAI-HNNXBMFYSA-N 0 3 321.424 4.245 20 0 DIADHN Cn1ncc2c1cccc2-c1ccc(CN2CCCCC2)c(F)c1 ZINC001241452020 1057052095 /nfs/dbraw/zinc/05/20/95/1057052095.db2.gz CKXBDMUGYBUDOO-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CCCCCCN1CCN([C@@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168733565 1057052395 /nfs/dbraw/zinc/05/23/95/1057052395.db2.gz BLIMOOYWDUGTQA-QGZVFWFLSA-N 0 3 320.908 4.005 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1Cl)CC(C)C ZINC000058466310 1057054496 /nfs/dbraw/zinc/05/44/96/1057054496.db2.gz BOMPFWUQONRODZ-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN COc1cncc(CN[C@H](C)c2cc3ccccc3s2)c1C ZINC000631067621 1057057296 /nfs/dbraw/zinc/05/72/96/1057057296.db2.gz PGYPXCUSLHJRBJ-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc3cn(C)nc32)c1F ZINC001241471219 1057059111 /nfs/dbraw/zinc/05/91/11/1057059111.db2.gz LGAHKKYLZNKUME-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCN(CC)CC(=O)N[C@@H](CCC(C)C)c1ccc(Cl)cc1 ZINC001626085386 1057061998 /nfs/dbraw/zinc/06/19/98/1057061998.db2.gz JAGJZPGDDPVADP-KRWDZBQOSA-N 0 3 324.896 4.275 20 0 DIADHN Clc1cncc(Cl)c1CN[C@@H]1CCSC2(CCC2)C1 ZINC000922841960 1057066454 /nfs/dbraw/zinc/06/64/54/1057066454.db2.gz GEMCRGMSWJEPKW-SNVBAGLBSA-N 0 3 317.285 4.296 20 0 DIADHN Fc1cc(-c2ccc3nccnc3c2)ccc1CN1CCCCC1 ZINC001241498778 1057069880 /nfs/dbraw/zinc/06/98/80/1057069880.db2.gz HVAINPQILFMWCA-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1cc(C2=CCN(Cc3ccccc3)CC2)nc(C(C)C)n1 ZINC001241502177 1057070398 /nfs/dbraw/zinc/07/03/98/1057070398.db2.gz CDQXEIUCXOLOQP-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN CC(C)(C)c1ccnc(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501643 1057070419 /nfs/dbraw/zinc/07/04/19/1057070419.db2.gz QKECAEVZVDFXBK-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN Cc1cc2ncnc(C3=CCN(Cc4ccccc4)CC3)c2s1 ZINC001241502137 1057070600 /nfs/dbraw/zinc/07/06/00/1057070600.db2.gz ALQGDNLQKPRDRW-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1nc(C2=CCN(Cc3ccccc3)CC2)nc2ccccc12 ZINC001241501821 1057070626 /nfs/dbraw/zinc/07/06/26/1057070626.db2.gz VJNWASFKAWFQGA-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CCCc1cc(C2=CCN(Cc3ccccc3)CC2)nc(C)n1 ZINC001241502523 1057071872 /nfs/dbraw/zinc/07/18/72/1057071872.db2.gz ZNVKLIVONLZSPI-UHFFFAOYSA-N 0 3 307.441 4.027 20 0 DIADHN CCc1cc(CN[C@@H]2CCCSc3ccc(Cl)cc32)n[nH]1 ZINC000766003009 1057072040 /nfs/dbraw/zinc/07/20/40/1057072040.db2.gz NHLXOLUOPBRVAM-OAHLLOKOSA-N 0 3 321.877 4.342 20 0 DIADHN CCCCc1nc(C)cc(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241502217 1057072021 /nfs/dbraw/zinc/07/20/21/1057072021.db2.gz DVASZOWRBMDHNC-UHFFFAOYSA-N 0 3 321.468 4.417 20 0 DIADHN c1ccc(CN2CC=C(c3cc(OCC4CC4)ccn3)CC2)cc1 ZINC001241503318 1057072743 /nfs/dbraw/zinc/07/27/43/1057072743.db2.gz NVEGDCMXYKCJOJ-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN CSc1ncc(C2=CCN(Cc3ccccc3)CC2)cc1C ZINC001241503407 1057073443 /nfs/dbraw/zinc/07/34/43/1057073443.db2.gz RBZVGZGWNZACMN-UHFFFAOYSA-N 0 3 310.466 4.401 20 0 DIADHN COC(=O)c1cccc(C2=CCN(Cc3ccccc3)CC2)c1C ZINC001241503458 1057073812 /nfs/dbraw/zinc/07/38/12/1057073812.db2.gz SNUJEFYKLDGAFX-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN Cc1c2cc[nH]c2ncc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504147 1057073896 /nfs/dbraw/zinc/07/38/96/1057073896.db2.gz OZQDZJFOWFTYKJ-UHFFFAOYSA-N 0 3 303.409 4.112 20 0 DIADHN COCOc1ccc(C)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504751 1057075048 /nfs/dbraw/zinc/07/50/48/1057075048.db2.gz JEMXFGKOKPGAGR-UHFFFAOYSA-N 0 3 323.436 4.267 20 0 DIADHN Fc1cc2nccnc2c(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241506580 1057077915 /nfs/dbraw/zinc/07/79/15/1057077915.db2.gz HPHJRKKZAWWIGY-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N1Cc2ccccc2-c2ccccc21 ZINC000673642154 1057086076 /nfs/dbraw/zinc/08/60/76/1057086076.db2.gz QAKIKNAVHBBLMS-HZPDHXFCSA-N 0 3 320.436 4.073 20 0 DIADHN COc1ccc([C@@H](NCc2ccc3c(n2)CCC3)C2CCC2)cc1 ZINC000922888524 1057090894 /nfs/dbraw/zinc/09/08/94/1057090894.db2.gz XXVDXIVSGHAELF-NRFANRHFSA-N 0 3 322.452 4.210 20 0 DIADHN CN1CCC=C(c2ccc(NC(=O)Oc3ccccc3)cc2)C1 ZINC001241571658 1057094717 /nfs/dbraw/zinc/09/47/17/1057094717.db2.gz FZTDGLRQKRXOLW-UHFFFAOYSA-N 0 3 308.381 4.016 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@H]1C)[C@@H](C)c1ccc(C)o1 ZINC000673651044 1057099159 /nfs/dbraw/zinc/09/91/59/1057099159.db2.gz IGJSGIYBARCOAS-INMHGKMJSA-N 0 3 320.477 4.151 20 0 DIADHN CCc1nn(C)cc1CN[C@H](C)c1ccc(F)c2ccccc21 ZINC000673707396 1057116616 /nfs/dbraw/zinc/11/66/16/1057116616.db2.gz ABJIHLMEVUFFQR-CYBMUJFWSA-N 0 3 311.404 4.126 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3c(c2)CCC3)cc(Cl)n1 ZINC001168741057 1057120041 /nfs/dbraw/zinc/12/00/41/1057120041.db2.gz GKQWXJSIWVNPME-CYBMUJFWSA-N 0 3 300.833 4.383 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1cc2ccncc2s1 ZINC000657932377 1057125230 /nfs/dbraw/zinc/12/52/30/1057125230.db2.gz LHWNIIAIEQGPMU-CXAGYDPISA-N 0 3 323.465 4.134 20 0 DIADHN CCO[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000625375602 1057131401 /nfs/dbraw/zinc/13/14/01/1057131401.db2.gz UASWCEUUFOZOFF-JKSUJKDBSA-N 0 3 315.379 4.393 20 0 DIADHN Fc1ccccc1-c1nc(CN2C[C@@H]3CCCC[C@@H]3C2)co1 ZINC000625457339 1057142772 /nfs/dbraw/zinc/14/27/72/1057142772.db2.gz LFKSGBLIHZIHEU-OKILXGFUSA-N 0 3 300.377 4.103 20 0 DIADHN c1ccc2c(c1)sc1ccc(-c3ncc4c(n3)CCNC4)cc12 ZINC001241711537 1057144349 /nfs/dbraw/zinc/14/43/49/1057144349.db2.gz FBABQVDTKLKPCY-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCCc3nc(C)ncc32)s1 ZINC000766041723 1057146869 /nfs/dbraw/zinc/14/68/69/1057146869.db2.gz AWWQHWDRXLSASQ-NHYWBVRUSA-N 0 3 301.459 4.137 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H]1CC(C)(C)c2ccccc21 ZINC000766041695 1057148599 /nfs/dbraw/zinc/14/85/99/1057148599.db2.gz AOVSZDZPMGTJQK-RTBURBONSA-N 0 3 307.441 4.175 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H](C)c1cc2c(s1)CCC2 ZINC000766041957 1057153655 /nfs/dbraw/zinc/15/36/55/1057153655.db2.gz FTIZHHRDAPXHBZ-NHYWBVRUSA-N 0 3 313.470 4.063 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H]1CCc2cc(Cl)ccc21 ZINC000766043527 1057154723 /nfs/dbraw/zinc/15/47/23/1057154723.db2.gz IOBQLBWRBBKOIY-ROUUACIJSA-N 0 3 313.832 4.093 20 0 DIADHN CCN1CCN(c2ccc(-c3cc(C)cc(C)c3OC)cc2)CC1 ZINC001241746067 1057154964 /nfs/dbraw/zinc/15/49/64/1057154964.db2.gz SSQIZJMGQNXNPO-UHFFFAOYSA-N 0 3 324.468 4.121 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4cc[nH]c4c3C)cc2)CC1 ZINC001241745891 1057154977 /nfs/dbraw/zinc/15/49/77/1057154977.db2.gz MUVKYSNTBRREAU-UHFFFAOYSA-N 0 3 319.452 4.285 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](c2nc(C)no2)C1 ZINC000057861981 1057157550 /nfs/dbraw/zinc/15/75/50/1057157550.db2.gz PZQAOGBFQWDNJT-HOCLYGCPSA-N 0 3 319.836 4.362 20 0 DIADHN CCCn1nc(C)cc1-c1ncc(C2=CCN(CC)CC2)cc1C ZINC001241762325 1057160503 /nfs/dbraw/zinc/16/05/03/1057160503.db2.gz GTPYWAQJISRZMG-UHFFFAOYSA-N 0 3 324.472 4.081 20 0 DIADHN COc1cc(C)c(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001241772164 1057161368 /nfs/dbraw/zinc/16/13/68/1057161368.db2.gz CHFIEYATKYJOHG-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCCn1nc(C)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001241765249 1057161464 /nfs/dbraw/zinc/16/14/64/1057161464.db2.gz LLSGRPWJMCEJGB-UHFFFAOYSA-N 0 3 315.436 4.394 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H]1CCc2c1cccc2Cl ZINC000766046667 1057168200 /nfs/dbraw/zinc/16/82/00/1057168200.db2.gz ZPCZSEBUXGWWSW-ROUUACIJSA-N 0 3 313.832 4.093 20 0 DIADHN OCc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ccc1F ZINC001241796257 1057168477 /nfs/dbraw/zinc/16/84/77/1057168477.db2.gz PIPGGJHFNRGMTJ-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN COc1cc(C(F)(F)F)ccc1-c1cnccc1/C=C/N(C)C ZINC001241813270 1057173158 /nfs/dbraw/zinc/17/31/58/1057173158.db2.gz XLQSNTXKICODBK-VQHVLOKHSA-N 0 3 322.330 4.308 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccc2cnccc2c1 ZINC000391937982 1057177850 /nfs/dbraw/zinc/17/78/50/1057177850.db2.gz LXNDVKLPBSRSBI-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)Oc1ccc(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001241828024 1057179594 /nfs/dbraw/zinc/17/95/94/1057179594.db2.gz XLQKCXSUDSOIFA-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN CC(C)Oc1ccc(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001241828024 1057179596 /nfs/dbraw/zinc/17/95/96/1057179596.db2.gz XLQKCXSUDSOIFA-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN Cc1ncsc1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000625654101 1057183894 /nfs/dbraw/zinc/18/38/94/1057183894.db2.gz BKLKXRSZQZXLDB-ZDUSSCGKSA-N 0 3 308.397 4.109 20 0 DIADHN C[C@@H]1CN(CCc2nsc3ccccc32)C[C@@H](C)C1(F)F ZINC000625654472 1057184142 /nfs/dbraw/zinc/18/41/42/1057184142.db2.gz UBVMXYPQPWJQAO-VXGBXAGGSA-N 0 3 310.413 4.062 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)ccn1 ZINC000625656075 1057185095 /nfs/dbraw/zinc/18/50/95/1057185095.db2.gz SFLLRAWKCLNCSP-MRXNPFEDSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)(F)Oc1cccc(CN2[C@@H]3CC[C@H]2CC(F)(F)C3)c1 ZINC000625675778 1057187507 /nfs/dbraw/zinc/18/75/07/1057187507.db2.gz AWBXMZNYRNQHTC-TXEJJXNPSA-N 0 3 321.289 4.347 20 0 DIADHN C[C@@H](NCCN1Cc2ccccc2C1)c1ccccc1Cl ZINC000674047432 1057189988 /nfs/dbraw/zinc/18/99/88/1057189988.db2.gz MJSFXCALGKYDPF-CQSZACIVSA-N 0 3 300.833 4.006 20 0 DIADHN C[C@@H](CNCc1nc(-c2ccccc2)cs1)c1ccncc1 ZINC000674069058 1057198570 /nfs/dbraw/zinc/19/85/70/1057198570.db2.gz BKCJGWWRYRZUQQ-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@@H](C)C1CCCC1)c1ccsc1 ZINC000674080963 1057200215 /nfs/dbraw/zinc/20/02/15/1057200215.db2.gz RCPUUHOARVMCJD-YOEHRIQHSA-N 0 3 322.518 4.074 20 0 DIADHN COc1cc(Cl)ccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001241894216 1057202481 /nfs/dbraw/zinc/20/24/81/1057202481.db2.gz WLCOSSVFAWAWIQ-UHFFFAOYSA-N 0 3 302.805 4.005 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1cccc(C(F)F)c1)N1CCCCC1 ZINC000171491497 1057231288 /nfs/dbraw/zinc/23/12/88/1057231288.db2.gz ULEBABREMRZESQ-HNNXBMFYSA-N 0 3 310.388 4.073 20 0 DIADHN Fc1ccc(C2(NCc3cnn4ccccc34)CCCC2)cc1 ZINC000171763990 1057240895 /nfs/dbraw/zinc/24/08/95/1057240895.db2.gz GRECRKOXUJBCAB-UHFFFAOYSA-N 0 3 309.388 4.033 20 0 DIADHN CCCCC[C@H](C(=O)NC[C@@H]1N(C)CCCC1(C)C)C(C)C ZINC001128745953 1057254441 /nfs/dbraw/zinc/25/44/41/1057254441.db2.gz RLZFZDCWCHIJAM-IRXDYDNUSA-N 0 3 310.526 4.076 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658101700 1057267341 /nfs/dbraw/zinc/26/73/41/1057267341.db2.gz RGVOSXUUYABRDM-UZLBHIALSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1ccc(/C=C\CC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000674683860 1057272859 /nfs/dbraw/zinc/27/28/59/1057272859.db2.gz LWYATVXNCLNZFW-SREVYHEPSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1c[nH]c(-c3ccccc3)n1)CC2 ZINC000674717231 1057275674 /nfs/dbraw/zinc/27/56/74/1057275674.db2.gz OAYZGQRNXUVDPZ-IBGZPJMESA-N 0 3 303.409 4.162 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(-c3ccccc3)[nH]1)CC2 ZINC000674717231 1057275678 /nfs/dbraw/zinc/27/56/78/1057275678.db2.gz OAYZGQRNXUVDPZ-IBGZPJMESA-N 0 3 303.409 4.162 20 0 DIADHN Cc1ccc(CCNCc2coc(-c3ccc(C)cc3)n2)cc1 ZINC000674717937 1057276533 /nfs/dbraw/zinc/27/65/33/1057276533.db2.gz VQVWLFDUIDUDPR-UHFFFAOYSA-N 0 3 306.409 4.291 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nc(-c2cccc(Cl)c2)no1 ZINC000674767058 1057282025 /nfs/dbraw/zinc/28/20/25/1057282025.db2.gz WTPLHGWLHGJCMX-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN [O-]c1ccc(-c2ccc([C@H]3CC[NH2+]C3)cc2)cc1C(F)(F)F ZINC001242166779 1057285247 /nfs/dbraw/zinc/28/52/47/1057285247.db2.gz XPKCTWJTCMWJJH-AWEZNQCLSA-N 0 3 307.315 4.155 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1F ZINC001242199661 1057291020 /nfs/dbraw/zinc/29/10/20/1057291020.db2.gz OHBQAXPXXASFLQ-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN COC[C@H](N[C@H]1CCCc2ccccc21)c1cccc(OC)c1 ZINC000658162098 1057297972 /nfs/dbraw/zinc/29/79/72/1057297972.db2.gz BTFJAJFCEUVNQH-PMACEKPBSA-N 0 3 311.425 4.050 20 0 DIADHN [O-]c1cc(F)ccc1-c1ccc2[nH]cc(CC[NH+]3CCCC3)c2c1 ZINC001242221731 1057299027 /nfs/dbraw/zinc/29/90/27/1057299027.db2.gz WOWWFVHIBUDHIX-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN c1cc2cc(-c3cncc(CN4CCCCC4)c3)ccc2cn1 ZINC001242247405 1057311117 /nfs/dbraw/zinc/31/11/17/1057311117.db2.gz RMUUUCIFMFYNBX-UHFFFAOYSA-N 0 3 303.409 4.283 20 0 DIADHN c1cnc2cc(-c3cncc(CN4CCCCC4)c3)ccc2c1 ZINC001242251724 1057312495 /nfs/dbraw/zinc/31/24/95/1057312495.db2.gz ODMHXWHVLPKZCG-UHFFFAOYSA-N 0 3 303.409 4.283 20 0 DIADHN CCc1noc(C)c1CN(Cc1cccc(OC)c1)CC(C)C ZINC000675123628 1057316576 /nfs/dbraw/zinc/31/65/76/1057316576.db2.gz DCPKZMXLPFQJEV-UHFFFAOYSA-N 0 3 316.445 4.212 20 0 DIADHN FC(F)(F)c1ccncc1-c1cncc(CN2CCCCC2)c1 ZINC001242278710 1057317542 /nfs/dbraw/zinc/31/75/42/1057317542.db2.gz DURFVLLVVJUMGB-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN CC(C)(C)c1nc(CNCC(C)(C)[C@@H]2CCCCO2)cs1 ZINC000675156698 1057322338 /nfs/dbraw/zinc/32/23/38/1057322338.db2.gz SGKLLDLWIMAZAV-AWEZNQCLSA-N 0 3 310.507 4.126 20 0 DIADHN CC(C)(C)c1nc(CNCC(C)(C)[C@H]2CCCCO2)cs1 ZINC000675156699 1057322854 /nfs/dbraw/zinc/32/28/54/1057322854.db2.gz SGKLLDLWIMAZAV-CQSZACIVSA-N 0 3 310.507 4.126 20 0 DIADHN CC(C)Cc1ccc([C@H](C)NCc2c(C3CC3)cnn2C)cc1 ZINC000658215681 1057324177 /nfs/dbraw/zinc/32/41/77/1057324177.db2.gz LKMRETVAMWMGIY-HNNXBMFYSA-N 0 3 311.473 4.347 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccc(F)cc1Br ZINC000658220556 1057326223 /nfs/dbraw/zinc/32/62/23/1057326223.db2.gz QNDMDSSTKIUFQY-QPUJVOFHSA-N 0 3 322.168 4.284 20 0 DIADHN Cc1c(F)c(F)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001242307346 1057329952 /nfs/dbraw/zinc/32/99/52/1057329952.db2.gz LFPQRMLXHHDQKK-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCOc1cc(Nc2ccc3c(c2)CN(C)CC3)c(F)cc1F ZINC001212533391 1057335329 /nfs/dbraw/zinc/33/53/29/1057335329.db2.gz HHPPYGMTKKSTFE-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@H](C)c2cn(-c3ccccc3)nn2)cc1 ZINC000675446333 1057370048 /nfs/dbraw/zinc/37/00/48/1057370048.db2.gz QQMSECFQOUAQAY-DLBZAZTESA-N 0 3 320.440 4.030 20 0 DIADHN C[C@H](N[C@@H](CCO)c1cccs1)c1ccc(F)c(Cl)c1 ZINC000766137349 1057372687 /nfs/dbraw/zinc/37/26/87/1057372687.db2.gz TZBRTYUBLCKLCT-HZMBPMFUSA-N 0 3 313.825 4.315 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](Cn1cccn1)c1ccccc1 ZINC000766138545 1057375396 /nfs/dbraw/zinc/37/53/96/1057375396.db2.gz PQGTVAMHIDQRLY-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H]2CCSc3c(Cl)cccc32)o1 ZINC000766140906 1057375737 /nfs/dbraw/zinc/37/57/37/1057375737.db2.gz LXTWQBRWTXIROS-KGLIPLIRSA-N 0 3 323.845 4.102 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H]2CCSc3c(Cl)cccc32)o1 ZINC000766140904 1057376318 /nfs/dbraw/zinc/37/63/18/1057376318.db2.gz LXTWQBRWTXIROS-KBPBESRZSA-N 0 3 323.845 4.102 20 0 DIADHN Cc1nc(Br)ccc1Nc1ccnc(C2CC2)c1 ZINC001212564379 1057378909 /nfs/dbraw/zinc/37/89/09/1057378909.db2.gz SFGPZCOHIQUYCP-UHFFFAOYSA-N 0 3 304.191 4.169 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2cc(Cl)cc(Cl)c2)o1 ZINC000766142590 1057379774 /nfs/dbraw/zinc/37/97/74/1057379774.db2.gz PWWDDGBXMMDQIY-QMTHXVAHSA-N 0 3 314.212 4.279 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(C)o1)c1cc(Cl)ccc1OC ZINC000766144831 1057380803 /nfs/dbraw/zinc/38/08/03/1057380803.db2.gz XUKKCFMRGRDBOA-HUUCEWRRSA-N 0 3 323.820 4.024 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(C)o1)c1cc(Cl)ccc1OC ZINC000766144837 1057381230 /nfs/dbraw/zinc/38/12/30/1057381230.db2.gz XUKKCFMRGRDBOA-LSDHHAIUSA-N 0 3 323.820 4.024 20 0 DIADHN Fc1ccc(F)c(CN[C@H](c2ccccc2)C2CCOCC2)c1 ZINC001168758042 1057382708 /nfs/dbraw/zinc/38/27/08/1057382708.db2.gz NMMVRBCHWUQSEN-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(C(C)(C)C)s1 ZINC000675628009 1057390223 /nfs/dbraw/zinc/39/02/23/1057390223.db2.gz XWZCLMHUXDUIQS-UHFFFAOYSA-N 0 3 316.470 4.360 20 0 DIADHN OCc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001242534516 1057396190 /nfs/dbraw/zinc/39/61/90/1057396190.db2.gz HSORLRNNTUSSTJ-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN CCC[C@@H](C)N[C@@H](C)c1nnn(-c2cccc(Cl)c2)c1C ZINC000675723126 1057404080 /nfs/dbraw/zinc/40/40/80/1057404080.db2.gz XHSQJDSGHHIAIJ-NEPJUHHUSA-N 0 3 306.841 4.068 20 0 DIADHN CCC[C@H](C)N[C@@H](C)c1nnn(-c2cccc(Cl)c2)c1C ZINC000675723128 1057404441 /nfs/dbraw/zinc/40/44/41/1057404441.db2.gz XHSQJDSGHHIAIJ-RYUDHWBXSA-N 0 3 306.841 4.068 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc(C)c2C)c(C)c1 ZINC000675727407 1057404984 /nfs/dbraw/zinc/40/49/84/1057404984.db2.gz YELPLHSCMMFPNN-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1cc(C)ccc1C ZINC000675726250 1057405028 /nfs/dbraw/zinc/40/50/28/1057405028.db2.gz MEKCGHJZPQBOIA-MAUKXSAKSA-N 0 3 311.425 4.486 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCOc3c(OC)cccc32)cc1 ZINC000675725763 1057405258 /nfs/dbraw/zinc/40/52/58/1057405258.db2.gz GQTGKPXQPWOGKO-KBXCAEBGSA-N 0 3 311.425 4.432 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H]1CCCc2ccccc21 ZINC000675724601 1057405371 /nfs/dbraw/zinc/40/53/71/1057405371.db2.gz DSVCXWKPCZRVJG-ZWKOTPCHSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H](NCc1ccc(OC[C@@H]2CCCO2)cc1)c1ccsc1 ZINC000675747681 1057408928 /nfs/dbraw/zinc/40/89/28/1057408928.db2.gz FSZAUDLZXXPJHA-KDOFPFPSSA-N 0 3 317.454 4.157 20 0 DIADHN CC[C@@H](NCCN1Cc2ccccc2C1)c1ccc(F)cc1F ZINC000675780791 1057410579 /nfs/dbraw/zinc/41/05/79/1057410579.db2.gz SUTXPMHCTGPUNG-LJQANCHMSA-N 0 3 316.395 4.021 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc2c(c1)CCC2 ZINC000675772590 1057411370 /nfs/dbraw/zinc/41/13/70/1057411370.db2.gz RFWQYCDJBQUMGP-KUHUBIRLSA-N 0 3 323.436 4.358 20 0 DIADHN CC(C)COC[C@H](N[C@@H](C)Cc1cccs1)c1ccco1 ZINC000675800614 1057413588 /nfs/dbraw/zinc/41/35/88/1057413588.db2.gz SDHGSJVKPFCPOY-HOCLYGCPSA-N 0 3 307.459 4.276 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000675784181 1057414407 /nfs/dbraw/zinc/41/44/07/1057414407.db2.gz HAVWEIXOBONKNM-PCCBWWKXSA-N 0 3 307.437 4.492 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CSCc3ccccc31)CC2 ZINC000675783054 1057414577 /nfs/dbraw/zinc/41/45/77/1057414577.db2.gz SFNJCCIWNQKMJB-MOPGFXCFSA-N 0 3 311.450 4.260 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CN2CCCCC2)c2ccccc2)o1 ZINC000675809246 1057417422 /nfs/dbraw/zinc/41/74/22/1057417422.db2.gz DZSBCESLOQNOTN-HKUYNNGSSA-N 0 3 312.457 4.466 20 0 DIADHN Cc1cccn2cc(CN(Cc3ccccc3)[C@@H](C)C3CC3)nc12 ZINC000675810965 1057417546 /nfs/dbraw/zinc/41/75/46/1057417546.db2.gz SGTRHOLEFONPNH-KRWDZBQOSA-N 0 3 319.452 4.443 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(-n2cccn2)cc1)c1ccccn1 ZINC000675815754 1057418855 /nfs/dbraw/zinc/41/88/55/1057418855.db2.gz DYFGUCZNAAOHOG-JXFKEZNVSA-N 0 3 320.440 4.459 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@](C)(CO)c1ccccc1 ZINC000675889514 1057427325 /nfs/dbraw/zinc/42/73/25/1057427325.db2.gz CTHWJNGUEROEND-OAQYLSRUSA-N 0 3 305.421 4.146 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](Cn1cccn1)c1ccccc1)CC2 ZINC000675899697 1057427748 /nfs/dbraw/zinc/42/77/48/1057427748.db2.gz KAVZPMYVDGXKEC-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](Cn2cccn2)c2ccccc2)cc1 ZINC000675900071 1057427800 /nfs/dbraw/zinc/42/78/00/1057427800.db2.gz PDJXZQAUUULGBO-XLIONFOSSA-N 0 3 305.425 4.284 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1cccc(C)c1C ZINC000675886468 1057429819 /nfs/dbraw/zinc/42/98/19/1057429819.db2.gz GYOIFJVFNRMJJI-YJBOKZPZSA-N 0 3 311.425 4.486 20 0 DIADHN CN(C)C(=O)Nc1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001242639564 1057432879 /nfs/dbraw/zinc/43/28/79/1057432879.db2.gz ZIKAUWNAUWQEAD-UHFFFAOYSA-N 0 3 307.397 4.030 20 0 DIADHN c1ccc2c(c1)oc1ccc(-c3ccc4c(n3)OCCNC4)cc12 ZINC001242640027 1057432938 /nfs/dbraw/zinc/43/29/38/1057432938.db2.gz HZSKAYCLIYXBPU-UHFFFAOYSA-N 0 3 316.360 4.130 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCOc3cc(OC)ccc32)s1 ZINC000675904216 1057433414 /nfs/dbraw/zinc/43/34/14/1057433414.db2.gz UCFWRTAONKZTNL-LRDDRELGSA-N 0 3 317.454 4.494 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1cccc(C)c1C ZINC000675902878 1057433742 /nfs/dbraw/zinc/43/37/42/1057433742.db2.gz KCVRFJNJQQJADF-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](CC)Cc2cccs2)o1 ZINC000675902664 1057433916 /nfs/dbraw/zinc/43/39/16/1057433916.db2.gz XYBSYVLSKSSXBN-BBRMVZONSA-N 0 3 307.459 4.202 20 0 DIADHN C[C@@H](CC1CCC1)NCc1nccn1CCCc1ccccc1 ZINC000675930604 1057436603 /nfs/dbraw/zinc/43/66/03/1057436603.db2.gz XOXGWLJWIVFCJM-KRWDZBQOSA-N 0 3 311.473 4.184 20 0 DIADHN CCOc1cncc(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001242660020 1057438303 /nfs/dbraw/zinc/43/83/03/1057438303.db2.gz CXOLNWANJICLEL-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1ccc(-c2ccc3c(c2)COC32CNC2)cc1C(F)(F)F ZINC001242671383 1057441640 /nfs/dbraw/zinc/44/16/40/1057441640.db2.gz DYYQSPWUTYTRLU-UHFFFAOYSA-N 0 3 319.326 4.010 20 0 DIADHN CCCCOc1ccc(CNCc2ccc3c(c2)COC3)cc1 ZINC000676239204 1057457980 /nfs/dbraw/zinc/45/79/80/1057457980.db2.gz LYPFRKGGJJCYLE-UHFFFAOYSA-N 0 3 311.425 4.186 20 0 DIADHN Clc1cscc1CNCCc1ccc2ccccc2n1 ZINC000676415331 1057471848 /nfs/dbraw/zinc/47/18/48/1057471848.db2.gz YCIBQPPRASEQLT-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3c2cccc3C)c(C)s1 ZINC000676492314 1057476576 /nfs/dbraw/zinc/47/65/76/1057476576.db2.gz MFCAXTGDKPVDHN-GFCCVEGCSA-N 0 3 313.470 4.443 20 0 DIADHN Cc1nc([C@H](C)NC[C@H](C)c2ccc(F)cc2F)c(C)s1 ZINC000676493149 1057477405 /nfs/dbraw/zinc/47/74/05/1057477405.db2.gz SQVIRRDPWNBHEG-UWVGGRQHSA-N 0 3 310.413 4.492 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H](C)c2ccc(F)cc2F)c(C)s1 ZINC000676493150 1057477461 /nfs/dbraw/zinc/47/74/61/1057477461.db2.gz SQVIRRDPWNBHEG-VHSXEESVSA-N 0 3 310.413 4.492 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc(OC(C)C)cc2)c(C)s1 ZINC000676488304 1057478471 /nfs/dbraw/zinc/47/84/71/1057478471.db2.gz DSQFTGCEPIBRDA-ZDUSSCGKSA-N 0 3 318.486 4.440 20 0 DIADHN Cc1csc(CCCCN[C@@H](C)c2nc(C)sc2C)n1 ZINC000676489357 1057478556 /nfs/dbraw/zinc/47/85/56/1057478556.db2.gz IZBJCAPGDOKIMP-NSHDSACASA-N 0 3 309.504 4.198 20 0 DIADHN Cc1nc([C@H](C)NCCCCN(C)c2ccccc2)c(C)s1 ZINC000676488092 1057478574 /nfs/dbraw/zinc/47/85/74/1057478574.db2.gz AWNPYHBXXPSARV-AWEZNQCLSA-N 0 3 317.502 4.327 20 0 DIADHN Cc1nc([C@@H](C)NCCCCN(C)c2ccccc2)c(C)s1 ZINC000676488093 1057478620 /nfs/dbraw/zinc/47/86/20/1057478620.db2.gz AWNPYHBXXPSARV-CQSZACIVSA-N 0 3 317.502 4.327 20 0 DIADHN COc1ccc(-c2cc(F)c(Cl)c(F)c2)cc1CN(C)C ZINC001242797879 1057480211 /nfs/dbraw/zinc/48/02/11/1057480211.db2.gz LDDYVUYKSOCFFZ-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN CCc1cccc(C)c1-c1cccc2cc([C@H]3CNCCO3)cn21 ZINC001242816993 1057489611 /nfs/dbraw/zinc/48/96/11/1057489611.db2.gz HSDKKYAYANHBSU-HXUWFJFHSA-N 0 3 320.436 4.138 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1ccc(OC(F)F)c(F)c1 ZINC000681990247 1057493808 /nfs/dbraw/zinc/49/38/08/1057493808.db2.gz ZFBZDYRJDOBZPP-UHFFFAOYSA-N 0 3 315.257 4.248 20 0 DIADHN CCCn1nccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001242846401 1057495654 /nfs/dbraw/zinc/49/56/54/1057495654.db2.gz ZCIZYFGWEWRLRU-UHFFFAOYSA-N 0 3 301.409 4.085 20 0 DIADHN CN(Cc1ccc(-c2ccccc2N2CCCCC2)nc1)C1CC1 ZINC001242874603 1057508769 /nfs/dbraw/zinc/50/87/69/1057508769.db2.gz TVOYHKAMOGORTE-UHFFFAOYSA-N 0 3 321.468 4.333 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccccc1N1CCCCC1 ZINC001242875620 1057509524 /nfs/dbraw/zinc/50/95/24/1057509524.db2.gz LJTAVRINVQACRC-RVDMUPIBSA-N 0 3 307.441 4.271 20 0 DIADHN Cc1ccc(-c2cc(CN3CC[C@H]4CC[C@@H](C3)S4)on2)cc1 ZINC000625772443 1057514415 /nfs/dbraw/zinc/51/44/15/1057514415.db2.gz KNQMFFNUKUXRNV-SJORKVTESA-N 0 3 314.454 4.120 20 0 DIADHN CCc1ccc([C@H](CC(C)C)NCc2cccc(C(N)=O)c2)cc1 ZINC000671658497 1057520089 /nfs/dbraw/zinc/52/00/89/1057520089.db2.gz RTCKNVWMIXLMDU-FQEVSTJZSA-N 0 3 324.468 4.225 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC)nc2C)cc1F ZINC001242930791 1057520874 /nfs/dbraw/zinc/52/08/74/1057520874.db2.gz XXYRMNRNIIBDGQ-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN C[C@@]1(NCc2cccc3ncccc23)CCOc2ccccc21 ZINC000923024372 1057521584 /nfs/dbraw/zinc/52/15/84/1057521584.db2.gz IAOXRYDJXIVXPL-HXUWFJFHSA-N 0 3 304.393 4.022 20 0 DIADHN C[C@]1(NCc2ccnc3ccccc23)CCOc2ccccc21 ZINC000923027092 1057522036 /nfs/dbraw/zinc/52/20/36/1057522036.db2.gz XYAHYRQWMSSIRP-FQEVSTJZSA-N 0 3 304.393 4.022 20 0 DIADHN CC(C)SCCN[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000282534579 1057525467 /nfs/dbraw/zinc/52/54/67/1057525467.db2.gz QUUHTMNIRMOGLZ-NSHDSACASA-N 0 3 313.417 4.224 20 0 DIADHN CCN(CC)Cc1cccc(-c2cncc(SC)c2)c1F ZINC001242951944 1057528347 /nfs/dbraw/zinc/52/83/47/1057528347.db2.gz LNVOPSNLWBDEGJ-UHFFFAOYSA-N 0 3 304.434 4.451 20 0 DIADHN CSc1cncc(-c2cccc(CN3CCCC3)c2F)c1 ZINC001242952021 1057528420 /nfs/dbraw/zinc/52/84/20/1057528420.db2.gz NECRLQBTTONLAE-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN NCc1cc(-c2ccc3ccc(-c4ccccc4)nc3c2)ccn1 ZINC001242968134 1057531002 /nfs/dbraw/zinc/53/10/02/1057531002.db2.gz DDTXWIMLGVJCON-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN NCc1ccc(-c2cc(C(F)(F)F)ccc2C(F)(F)F)cn1 ZINC001243033471 1057551720 /nfs/dbraw/zinc/55/17/20/1057551720.db2.gz VQYNKWWFTGZAIN-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN COc1ccc(-c2ccc(F)c([O-])c2)cc1C[NH+]1CCCCC1 ZINC001243047354 1057557081 /nfs/dbraw/zinc/55/70/81/1057557081.db2.gz PRPCBAWMKZEFHE-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)c1cccc2c1CCNC2 ZINC000322308009 1057566191 /nfs/dbraw/zinc/56/61/91/1057566191.db2.gz XDDOUAUBUMHNGF-UHFFFAOYSA-N 0 3 308.425 4.016 20 0 DIADHN c1nc(C2CC2)c(CNCCOc2cccc3ccccc32)s1 ZINC000486980615 1057570674 /nfs/dbraw/zinc/57/06/74/1057570674.db2.gz JVGXSUHKNIYXPU-UHFFFAOYSA-N 0 3 324.449 4.342 20 0 DIADHN C[C@H](NCc1scnc1C1CC1)c1cccc(-n2cccn2)c1 ZINC000487322412 1057572792 /nfs/dbraw/zinc/57/27/92/1057572792.db2.gz LFPADZQPHCTYBS-ZDUSSCGKSA-N 0 3 324.453 4.057 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1scnc1C1CC1 ZINC000487556814 1057575067 /nfs/dbraw/zinc/57/50/67/1057575067.db2.gz ITUSMHAREURTQN-GTNSWQLSSA-N 0 3 320.433 4.025 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccccc2OCOC)c1F ZINC001243187118 1057597280 /nfs/dbraw/zinc/59/72/80/1057597280.db2.gz FNBSQHJCRJNSEB-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN COCOc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1 ZINC001243211290 1057606221 /nfs/dbraw/zinc/60/62/21/1057606221.db2.gz NCZJMIFYHAVUKG-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN CCCOc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1F ZINC001243218281 1057608987 /nfs/dbraw/zinc/60/89/87/1057608987.db2.gz HTXHZAVZNXHYLM-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCCOc1ccc(-c2ccc(OC)c(CN(C)C)c2)cc1F ZINC001243223258 1057611384 /nfs/dbraw/zinc/61/13/84/1057611384.db2.gz GOQBTVFFVYIGRN-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN COc1c(F)cc(-c2ccc(CN3CCCC3)c(F)c2)cc1F ZINC001243228861 1057613657 /nfs/dbraw/zinc/61/36/57/1057613657.db2.gz VNZGQZKEZCFUHT-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(C)sc2C)cc1OC ZINC000049686994 1057615218 /nfs/dbraw/zinc/61/52/18/1057615218.db2.gz IBXPYZIIXSUKQH-GFCCVEGCSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1ccccc1[C@@H](NCc1nc2ccccc2nc1C)C1CC1 ZINC000271984968 1057616310 /nfs/dbraw/zinc/61/63/10/1057616310.db2.gz FBWWDHODXODWFO-NRFANRHFSA-N 0 3 317.436 4.488 20 0 DIADHN CCCCOc1ccc(F)cc1-c1cccc(CN(C)C)n1 ZINC001243238723 1057617270 /nfs/dbraw/zinc/61/72/70/1057617270.db2.gz KYQIIZGZBQTISJ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN c1nc(C2CC2)c(CN2CCC[C@H](Nc3ccccc3)C2)s1 ZINC000494923924 1057618007 /nfs/dbraw/zinc/61/80/07/1057618007.db2.gz MTUNXOMIEPDIRW-INIZCTEOSA-N 0 3 313.470 4.097 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2scnc2C2CC2)cc1 ZINC000494357281 1057618551 /nfs/dbraw/zinc/61/85/51/1057618551.db2.gz OTFHKGVZMWTPTO-INIZCTEOSA-N 0 3 314.454 4.366 20 0 DIADHN c1nc(C2CC2)c(CN2CCSC3(CCCCC3)C2)s1 ZINC000494954319 1057619261 /nfs/dbraw/zinc/61/92/61/1057619261.db2.gz RCZNIWRFAYFILQ-UHFFFAOYSA-N 0 3 308.516 4.272 20 0 DIADHN c1nn2ccccc2c1CNCc1cccc(-c2ccccc2)c1 ZINC000512852485 1057619663 /nfs/dbraw/zinc/61/96/63/1057619663.db2.gz VPVNGROUOBLZTF-UHFFFAOYSA-N 0 3 313.404 4.291 20 0 DIADHN CCCCOc1cccc(F)c1-c1cccc(CN(C)C)n1 ZINC001243273555 1057630903 /nfs/dbraw/zinc/63/09/03/1057630903.db2.gz QZURHUZKIGMAOC-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCOc1cc(F)c(F)cc1-c1ccc2c(N)ccnc2c1 ZINC001243277083 1057632518 /nfs/dbraw/zinc/63/25/18/1057632518.db2.gz QDMRWBRTAFSPLB-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN COc1cccc(OC)c1-c1ccccc1-c1cc(N)ccn1 ZINC001243319843 1057644793 /nfs/dbraw/zinc/64/47/93/1057644793.db2.gz GCDSZAZDRWGEPF-UHFFFAOYSA-N 0 3 306.365 4.015 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@@H](c4ccccc4)CC3)nc12 ZINC000727587124 1057658196 /nfs/dbraw/zinc/65/81/96/1057658196.db2.gz YAQKFBLVFYUBHI-LJQANCHMSA-N 0 3 319.452 4.412 20 0 DIADHN CCC[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1ccsc1 ZINC000526947857 1057660137 /nfs/dbraw/zinc/66/01/37/1057660137.db2.gz VWURPHYKCPJNKA-MSOLQXFVSA-N 0 3 300.471 4.366 20 0 DIADHN CCC/C(C)=C\C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000727676553 1057664898 /nfs/dbraw/zinc/66/48/98/1057664898.db2.gz WTYAWSCDCZHVCQ-QQSJCBEGSA-N 0 3 314.473 4.076 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc2c(c1)OCO2 ZINC000057621723 1057667352 /nfs/dbraw/zinc/66/73/52/1057667352.db2.gz BFMTZLFLEVFOPG-UHFFFAOYSA-N 0 3 303.789 4.091 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2csc3ccccc23)C1 ZINC000534530511 1057672504 /nfs/dbraw/zinc/67/25/04/1057672504.db2.gz FCTITRKZZZBNBO-SFHVURJKSA-N 0 3 308.450 4.178 20 0 DIADHN CCOc1cc(C)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001243407012 1057673476 /nfs/dbraw/zinc/67/34/76/1057673476.db2.gz CFFWPRPEPLAREL-UHFFFAOYSA-N 0 3 310.441 4.442 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H]2CN(C)Cc3ccccc32)c2ccccc21 ZINC000536837952 1057673859 /nfs/dbraw/zinc/67/38/59/1057673859.db2.gz GISCUCGQPNTGQU-GQWLDOHISA-N 0 3 306.453 4.401 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H]2CCc3ccc(Cl)cc32)C1 ZINC000536847047 1057674983 /nfs/dbraw/zinc/67/49/83/1057674983.db2.gz RFVJHSXOZNRFTO-OALUTQOASA-N 0 3 312.844 4.104 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1C[C@H]1CC1(Cl)Cl ZINC000727931918 1057680801 /nfs/dbraw/zinc/68/08/01/1057680801.db2.gz UDMBRFSOHRFFMZ-YPMHNXCESA-N 0 3 300.229 4.026 20 0 DIADHN C[C@@H](N[C@@H](C)C(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000286263306 1057687613 /nfs/dbraw/zinc/68/76/13/1057687613.db2.gz VBVOIAAADOXEGR-JKSUJKDBSA-N 0 3 305.425 4.281 20 0 DIADHN C[C@@H](N[C@H](C)C(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000286263304 1057687725 /nfs/dbraw/zinc/68/77/25/1057687725.db2.gz VBVOIAAADOXEGR-HZPDHXFCSA-N 0 3 305.425 4.281 20 0 DIADHN COc1ccc(-c2cccc(OC(C)C)c2F)cc1CN(C)C ZINC001243448541 1057687941 /nfs/dbraw/zinc/68/79/41/1057687941.db2.gz ARUJWBJYKJLECH-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCc2cc(C)ccc21 ZINC000766189945 1057694418 /nfs/dbraw/zinc/69/44/18/1057694418.db2.gz VIBHKWOJQKKQAX-MOPGFXCFSA-N 0 3 309.409 4.104 20 0 DIADHN CCCN(Cc1cc(Cl)nc(Cl)c1)C[C@@H]1CCCCO1 ZINC000730260534 1057709441 /nfs/dbraw/zinc/70/94/41/1057709441.db2.gz BAAQHPVJAUNNND-ZDUSSCGKSA-N 0 3 317.260 4.170 20 0 DIADHN COc1ccc(-c2cccc([O-])c2F)c(C[NH+]2CCCCC2)c1 ZINC001243545857 1057709890 /nfs/dbraw/zinc/70/98/90/1057709890.db2.gz HSLSMJMXCSMXEY-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COc1ccc(-c2c(C)ccnc2F)c(CN2CCCCC2)c1 ZINC001243549789 1057710982 /nfs/dbraw/zinc/71/09/82/1057710982.db2.gz HSTGXBHYNHPJCY-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CSc1ccc(-c2cncc(CN3CCCCC3)c2)c(C)n1 ZINC001243590018 1057726043 /nfs/dbraw/zinc/72/60/43/1057726043.db2.gz STGOPMYSPMPIBG-UHFFFAOYSA-N 0 3 313.470 4.160 20 0 DIADHN C[C@H](c1cccnc1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000731147584 1057735154 /nfs/dbraw/zinc/73/51/54/1057735154.db2.gz RMITYYVVZCAHEK-OAHLLOKOSA-N 0 3 303.409 4.413 20 0 DIADHN CCN(C(=O)OC(C)(C)C)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000731149507 1057735359 /nfs/dbraw/zinc/73/53/59/1057735359.db2.gz KMZXRWQXVLYLNN-NVXWUHKLSA-N 0 3 318.461 4.079 20 0 DIADHN CCCOc1c(F)cc(F)c(-c2cncc(CN(C)C)c2)c1F ZINC001243624471 1057738053 /nfs/dbraw/zinc/73/80/53/1057738053.db2.gz WKUFIGPVHFQROM-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000175751883 1057740533 /nfs/dbraw/zinc/74/05/33/1057740533.db2.gz NMQCFKRLMZPLHC-LLVKDONJSA-N 0 3 310.319 4.139 20 0 DIADHN CSc1ccc(-c2cccc(N3CCN(C)CC3)c2)c(C)c1 ZINC001243670632 1057749839 /nfs/dbraw/zinc/74/98/39/1057749839.db2.gz LNXPIBFVADCZCG-UHFFFAOYSA-N 0 3 312.482 4.136 20 0 DIADHN Cc1ccc(OC(C)C)cc1-c1cccc(N2CCN(C)CC2)c1 ZINC001243668468 1057749875 /nfs/dbraw/zinc/74/98/75/1057749875.db2.gz GMTDAALIIOSJIK-UHFFFAOYSA-N 0 3 324.468 4.201 20 0 DIADHN CC(C)Oc1ccc2cc(-c3ccc4c(n3)CNCC4)ccc2c1 ZINC001243673986 1057750808 /nfs/dbraw/zinc/75/08/08/1057750808.db2.gz MILOBWPRBANDFD-UHFFFAOYSA-N 0 3 318.420 4.335 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC(C)(C)O2)c1cccc(O)c1 ZINC000731678995 1057751643 /nfs/dbraw/zinc/75/16/43/1057751643.db2.gz VREFDYCTVHQZFU-CQSZACIVSA-N 0 3 311.425 4.347 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2ncsc2c1)c1ccc(F)nc1 ZINC001119822195 1057756100 /nfs/dbraw/zinc/75/61/00/1057756100.db2.gz GBXSMKSGDRHMCH-GHMZBOCLSA-N 0 3 301.390 4.242 20 0 DIADHN C[C@H]1CCCN(Cn2c3ccccc3nc2SC(F)F)C1 ZINC000732408693 1057775099 /nfs/dbraw/zinc/77/50/99/1057775099.db2.gz NTOWKHDWRYDXBC-NSHDSACASA-N 0 3 311.401 4.040 20 0 DIADHN Cc1cnc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001243786787 1057779235 /nfs/dbraw/zinc/77/92/35/1057779235.db2.gz LNQUFJTWCKBPSH-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COc1cccc2c1OC[C@@H](N[C@@H](C)c1ccccc1Cl)C2 ZINC000732919798 1057791181 /nfs/dbraw/zinc/79/11/81/1057791181.db2.gz XHTODPGEWNYTOR-JSGCOSHPSA-N 0 3 317.816 4.003 20 0 DIADHN Fc1ncc(C2CC2)cc1-c1cc(CN2CCCCC2)ccn1 ZINC001243848434 1057791952 /nfs/dbraw/zinc/79/19/52/1057791952.db2.gz YJTUBHVCOPJTJR-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN C[C@H]1CCN(Cn2ccc(-c3cc(Cl)ccc3Cl)n2)C1 ZINC000732978737 1057794925 /nfs/dbraw/zinc/79/49/25/1057794925.db2.gz PIUYOHGBTDFGMV-NSHDSACASA-N 0 3 310.228 4.156 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCCCn2cccn2)cc1F ZINC000732989740 1057796167 /nfs/dbraw/zinc/79/61/67/1057796167.db2.gz VCDPCIDJOQAZFQ-GOSISDBHSA-N 0 3 319.399 4.169 20 0 DIADHN CN(C)Cc1ccnc(-c2cnc(F)c(-c3ccccc3)c2)c1 ZINC001243887851 1057800037 /nfs/dbraw/zinc/80/00/37/1057800037.db2.gz SJJHFZAVOOGHIB-UHFFFAOYSA-N 0 3 307.372 4.011 20 0 DIADHN Cc1cc(F)cc(C)c1-c1cccc2cc([C@@H]3CNCCO3)cn21 ZINC001243924639 1057809686 /nfs/dbraw/zinc/80/96/86/1057809686.db2.gz DPBLOFUFOIWCCJ-IBGZPJMESA-N 0 3 324.399 4.023 20 0 DIADHN Cc1nc2ccc(-c3cncc(CN4CCCCC4)c3)cc2o1 ZINC001243963646 1057821129 /nfs/dbraw/zinc/82/11/29/1057821129.db2.gz NWQCNDWNLRSDDQ-UHFFFAOYSA-N 0 3 307.397 4.184 20 0 DIADHN Nc1nc2cc(-c3ccc(C(F)(F)F)cc3Cl)ccc2[nH]1 ZINC001243982344 1057829017 /nfs/dbraw/zinc/82/90/17/1057829017.db2.gz KUPIFQYKNSWQDH-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2ccc(-c3ccc(C(F)(F)F)cc3Cl)cc2[nH]1 ZINC001243982344 1057829021 /nfs/dbraw/zinc/82/90/21/1057829021.db2.gz KUPIFQYKNSWQDH-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Fc1ccc(-c2ccc3c(c2)NCC3)cc1CN1CCCCC1 ZINC001244022957 1057841321 /nfs/dbraw/zinc/84/13/21/1057841321.db2.gz BEKWGHWCCWUXDH-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN c1ccc(N2CCCC2)c(-c2cncc(CN3CCCCC3)c2)c1 ZINC001244183803 1057893951 /nfs/dbraw/zinc/89/39/51/1057893951.db2.gz WRCRZZKXODJIAT-UHFFFAOYSA-N 0 3 321.468 4.335 20 0 DIADHN Cc1ncc(C(F)(F)F)cc1-c1ccc2c(N)ccnc2c1 ZINC001244262688 1057915268 /nfs/dbraw/zinc/91/52/68/1057915268.db2.gz OQIZBTLICKHAQB-UHFFFAOYSA-N 0 3 303.287 4.206 20 0 DIADHN Cc1ncc(C(F)(F)F)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001244263108 1057915890 /nfs/dbraw/zinc/91/58/90/1057915890.db2.gz ZANRHSHPIVVYOY-UHFFFAOYSA-N 0 3 306.331 4.064 20 0 DIADHN Clc1cc(C2CC2)ccc1-c1ccc2c(c1)COC21CNC1 ZINC001244275419 1057921008 /nfs/dbraw/zinc/92/10/08/1057921008.db2.gz RMAFFPYOTBRCFP-UHFFFAOYSA-N 0 3 311.812 4.213 20 0 DIADHN COc1cc(F)c(-c2ccc(CN(C)C3CC3)cn2)cc1Cl ZINC001244348932 1057939134 /nfs/dbraw/zinc/93/91/34/1057939134.db2.gz WHGHDEDANBECGZ-UHFFFAOYSA-N 0 3 320.795 4.144 20 0 DIADHN Nc1ccnc2cc(-c3ccc(N)c(C(F)(F)F)c3)ccc12 ZINC001244367521 1057945545 /nfs/dbraw/zinc/94/55/45/1057945545.db2.gz OUOJUTXZVGQINP-UHFFFAOYSA-N 0 3 303.287 4.085 20 0 DIADHN Cc1cnc(-c2cc(Cl)ccc2NC(=O)C(C)(C)C)cc1N ZINC001244373619 1057946480 /nfs/dbraw/zinc/94/64/80/1057946480.db2.gz BAVYDJDBUVZHQN-UHFFFAOYSA-N 0 3 317.820 4.277 20 0 DIADHN Nc1ccc(F)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001244399496 1057950574 /nfs/dbraw/zinc/95/05/74/1057950574.db2.gz MGTXFVPXQMVZLE-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN CC(C)c1cc(-c2ccc3c(n2)OCCNC3)ccc1Cl ZINC001244435138 1057957255 /nfs/dbraw/zinc/95/72/55/1057957255.db2.gz DRLUAUYRVAJOHI-UHFFFAOYSA-N 0 3 302.805 4.007 20 0 DIADHN CC[N@@H+]1CCc2cc(-c3cc(C)c([O-])c(Cl)c3)ccc2C1 ZINC001244537503 1057989149 /nfs/dbraw/zinc/98/91/49/1057989149.db2.gz LXBWENMCQJOICH-UHFFFAOYSA-N 0 3 301.817 4.399 20 0 DIADHN CC[N@H+]1CCc2cc(-c3cc(C)c([O-])c(Cl)c3)ccc2C1 ZINC001244537503 1057989154 /nfs/dbraw/zinc/98/91/54/1057989154.db2.gz LXBWENMCQJOICH-UHFFFAOYSA-N 0 3 301.817 4.399 20 0 DIADHN Cc1cc(-c2ccc([C@@H]3CC[N@@H+](C)C3)cc2)cc(Cl)c1[O-] ZINC001244554654 1057994269 /nfs/dbraw/zinc/99/42/69/1057994269.db2.gz KWEFRRIWGXCITJ-OAHLLOKOSA-N 0 3 301.817 4.440 20 0 DIADHN Cc1cc(-c2ccc([C@@H]3CC[N@H+](C)C3)cc2)cc(Cl)c1[O-] ZINC001244554654 1057994276 /nfs/dbraw/zinc/99/42/76/1057994276.db2.gz KWEFRRIWGXCITJ-OAHLLOKOSA-N 0 3 301.817 4.440 20 0 DIADHN OCc1c(F)cccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001244564076 1057996135 /nfs/dbraw/zinc/99/61/35/1057996135.db2.gz XKOHIQXZPFVONN-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(Cl)c2CO)c1F ZINC001244577415 1057999954 /nfs/dbraw/zinc/99/99/54/1057999954.db2.gz DRRBWWMRTPIAAK-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN Cc1nc(-c2ccc(CO)c(C(F)(F)F)c2)cc2[nH]ccc21 ZINC001244583980 1058001537 /nfs/dbraw/zinc/00/15/37/1058001537.db2.gz CMIKYGPTIVVHTH-UHFFFAOYSA-N 0 3 306.287 4.049 20 0 DIADHN CC(=O)c1cc(-c2ccc(CN3CCCC[C@H]3C)cn2)cs1 ZINC001244624445 1058012700 /nfs/dbraw/zinc/01/27/00/1058012700.db2.gz QKNIEBPDQASRQS-CYBMUJFWSA-N 0 3 314.454 4.387 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2cnccc2N)c1F ZINC001244641508 1058017925 /nfs/dbraw/zinc/01/79/25/1058017925.db2.gz PADVSEIZNZIOBH-UHFFFAOYSA-N 0 3 308.356 4.188 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(NCc3ccccc3)cc2)c1 ZINC001244642376 1058018105 /nfs/dbraw/zinc/01/81/05/1058018105.db2.gz BXIDUQGYTIILRT-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN Nc1ccc(-c2ccc3c(N)ccnc3c2)c(C(F)(F)F)c1 ZINC001244759788 1058042774 /nfs/dbraw/zinc/04/27/74/1058042774.db2.gz NYXORECZBBUPRM-UHFFFAOYSA-N 0 3 303.287 4.085 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(Cl)cc2C(F)(F)F)n1 ZINC001244772525 1058045509 /nfs/dbraw/zinc/04/55/09/1058045509.db2.gz DEPOHRXAOQGECZ-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1-c1cccnc1OC1CNC1 ZINC001244834345 1058055989 /nfs/dbraw/zinc/05/59/89/1058055989.db2.gz GPLPADMOIPOUAQ-UHFFFAOYSA-N 0 3 316.404 4.075 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(O)c2OC)c1F ZINC001244992758 1058088329 /nfs/dbraw/zinc/08/83/29/1058088329.db2.gz LMLADYDHETZOND-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1cc(OC(C)C)c(-c2ccc3c(c2)COC32CNC2)cc1C ZINC001245030546 1058098879 /nfs/dbraw/zinc/09/88/79/1058098879.db2.gz BRUQMLDLTLGNKN-UHFFFAOYSA-N 0 3 323.436 4.086 20 0 DIADHN OCc1cc(Cl)cc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001245092888 1058111257 /nfs/dbraw/zinc/11/12/57/1058111257.db2.gz CVONSVRJFSFYTQ-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@@H]2COC[C@H](C1)N2C[C@@H](C)CCC ZINC001278401590 1058135619 /nfs/dbraw/zinc/13/56/19/1058135619.db2.gz UXZYUXRPWCUDEC-ZGXWSNOMSA-N 0 3 324.553 4.024 20 0 DIADHN Cc1nc([C@H]2CCCCN2CCC2CCOCC2)sc1C ZINC001548840976 1058264561 /nfs/dbraw/zinc/26/45/61/1058264561.db2.gz MWDKVOVXGFKLLU-MRXNPFEDSA-N 0 3 308.491 4.104 20 0 DIADHN O=C1CCCCc2ccc(-c3cc(N4CCCC4)ccn3)cc21 ZINC001245600040 1058276199 /nfs/dbraw/zinc/27/61/99/1058276199.db2.gz XHOYBBWPQNQYJB-UHFFFAOYSA-N 0 3 306.409 4.258 20 0 DIADHN FC1(F)CC(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@H]32)C1 ZINC001549082035 1058282077 /nfs/dbraw/zinc/28/20/77/1058282077.db2.gz LWGBNNLXVJLIRV-IKGGRYGDSA-N 0 3 307.384 4.026 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2N[C@@H](C)c1cnn2c1CCCC2 ZINC001549192572 1058285833 /nfs/dbraw/zinc/28/58/33/1058285833.db2.gz FJVIAAZAERAAIV-KXBFYZLASA-N 0 3 309.457 4.256 20 0 DIADHN CC1(C)CCc2onc(CN[C@H]3CCC[C@@H](C(F)F)C3)c2C1 ZINC001549919373 1058309535 /nfs/dbraw/zinc/30/95/35/1058309535.db2.gz FZIGGSKLSMGIIY-NEPJUHHUSA-N 0 3 312.404 4.103 20 0 DIADHN Oc1ccc2c(c1)[C@H](N[C@@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243261 1058326515 /nfs/dbraw/zinc/32/65/15/1058326515.db2.gz JKBVHEQLGHBYRD-WOJBJXKFSA-N 0 3 308.425 4.296 20 0 DIADHN FC(F)(F)c1ccc(Cl)cc1-c1ccc2c(n1)CNCC2 ZINC001245694948 1058361239 /nfs/dbraw/zinc/36/12/39/1058361239.db2.gz SCLJZEFPJVSTMB-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN CC(C)Oc1cc(F)c(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001245707874 1058380668 /nfs/dbraw/zinc/38/06/68/1058380668.db2.gz SFWMQQKQGNAIIT-UHFFFAOYSA-N 0 3 322.811 4.390 20 0 DIADHN FC(F)(F)c1cccc(-c2ccc3c(n2)CNCC3)c1Cl ZINC001245708482 1058381079 /nfs/dbraw/zinc/38/10/79/1058381079.db2.gz AAINQGFUUCPWKH-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](c3ccccc3)O[C@@H](C)C2)s1 ZINC001551259150 1058382089 /nfs/dbraw/zinc/38/20/89/1058382089.db2.gz PTSIFASZFHSYPW-GUYCJALGSA-N 0 3 316.470 4.112 20 0 DIADHN Nc1nc2cc(-c3cccc(C(F)(F)F)c3Cl)ccc2[nH]1 ZINC001245710962 1058382721 /nfs/dbraw/zinc/38/27/21/1058382721.db2.gz QTGYUQNNYZURDW-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2ccc(-c3cccc(C(F)(F)F)c3Cl)cc2[nH]1 ZINC001245710962 1058382740 /nfs/dbraw/zinc/38/27/40/1058382740.db2.gz QTGYUQNNYZURDW-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Cc1cc(C)nc(CN2CC[C@H](c3ccccc3)O[C@@H](C)C2)c1 ZINC001551396017 1058391651 /nfs/dbraw/zinc/39/16/51/1058391651.db2.gz OPUBLMDUURTAON-FXAWDEMLSA-N 0 3 310.441 4.051 20 0 DIADHN Cc1cc(F)c(-c2ccc3c(n2)CCNC3)c(Cl)c1Cl ZINC001245734521 1058415529 /nfs/dbraw/zinc/41/55/29/1058415529.db2.gz YOQUZWFQUJGEHK-UHFFFAOYSA-N 0 3 311.187 4.149 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001528502892 1058440274 /nfs/dbraw/zinc/44/02/74/1058440274.db2.gz FTUHTCGRBXUZOP-APWZRJJASA-N 0 3 310.526 4.362 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001528502896 1058440925 /nfs/dbraw/zinc/44/09/25/1058440925.db2.gz FTUHTCGRBXUZOP-QFBILLFUSA-N 0 3 310.526 4.362 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC[C@H](c2ccccc2)C1 ZINC001552664416 1058444694 /nfs/dbraw/zinc/44/46/94/1058444694.db2.gz GSZWWVSLHILSBR-ZWKOTPCHSA-N 0 3 322.452 4.271 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NC1(c2ccccn2)CCC1 ZINC001552972610 1058460694 /nfs/dbraw/zinc/46/06/94/1058460694.db2.gz LEOSVRZBQQSZBM-BEFAXECRSA-N 0 3 322.452 4.383 20 0 DIADHN C[C@H]1CN(Cc2cc3cnccc3o2)CC[C@@H](c2ccccc2)O1 ZINC001553967757 1058511720 /nfs/dbraw/zinc/51/17/20/1058511720.db2.gz CYLUDNQKUVVNKN-YWZLYKJASA-N 0 3 322.408 4.180 20 0 DIADHN CCOc1c(F)cc(-c2cncc(CN(C)C)c2)cc1Cl ZINC001245823202 1058516194 /nfs/dbraw/zinc/51/61/94/1058516194.db2.gz QHVOFCSSYBYNHQ-UHFFFAOYSA-N 0 3 308.784 4.001 20 0 DIADHN CC[C@H](C)c1cccc(NC(=O)N(CCN(C)C)CC(C)C)c1 ZINC001563083176 1058535041 /nfs/dbraw/zinc/53/50/41/1058535041.db2.gz AIYJHXWCVYOQBO-INIZCTEOSA-N 0 3 319.493 4.252 20 0 DIADHN NCc1cc(-c2cc(F)cc(C(F)(F)F)c2Cl)ccn1 ZINC001245841250 1058546779 /nfs/dbraw/zinc/54/67/79/1058546779.db2.gz BLJGUDLMZUIVRM-UHFFFAOYSA-N 0 3 304.674 4.019 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)[C@H]2CCCN2CC(C)C)cc1 ZINC001556484267 1058599050 /nfs/dbraw/zinc/59/90/50/1058599050.db2.gz SGZZMMQMLSGXCE-GOSISDBHSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1ccc(C(=O)Nc2ccccc2CN(C)C)c2ccccc12 ZINC001556765097 1058638573 /nfs/dbraw/zinc/63/85/73/1058638573.db2.gz IHTWKRWTXLHUQC-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H](CC)CC(C)C ZINC001556804453 1058646456 /nfs/dbraw/zinc/64/64/56/1058646456.db2.gz BSHIOXIOXVPPEX-CQSZACIVSA-N 0 3 305.466 4.115 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)CC1CCCCCC1 ZINC001556949423 1058672827 /nfs/dbraw/zinc/67/28/27/1058672827.db2.gz JHIFWFAZJZKUMJ-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN CCCCC[C@@H](C)CC(=O)Nc1ccc(CN(C)C)cc1F ZINC001557008836 1058683938 /nfs/dbraw/zinc/68/39/38/1058683938.db2.gz SSDYQDJTIGJIFR-CQSZACIVSA-N 0 3 308.441 4.432 20 0 DIADHN CCCC[C@H](CC)CC(=O)Nc1ccc(CN(C)C)cc1F ZINC001557008731 1058684551 /nfs/dbraw/zinc/68/45/51/1058684551.db2.gz NZBCWKXNSRYFRO-AWEZNQCLSA-N 0 3 308.441 4.432 20 0 DIADHN CCCCCCN(C)CC(=O)NC(C1CCCC1)C1CCCC1 ZINC001558477346 1058876949 /nfs/dbraw/zinc/87/69/49/1058876949.db2.gz UFDKEQVHKMJASR-UHFFFAOYSA-N 0 3 322.537 4.364 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(OC(C)(C)C)c1)N1[C@H](C)CC[C@H]1C ZINC001558593189 1058889701 /nfs/dbraw/zinc/88/97/01/1058889701.db2.gz WFQMWRUFESFHHI-KFWWJZLASA-N 0 3 318.461 4.064 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H](C)[C@H](C)C(C)(C)C)c1ccco1 ZINC001558980459 1058933303 /nfs/dbraw/zinc/93/33/03/1058933303.db2.gz QXINBBJRLZDHTL-XUWVNRHRSA-N 0 3 322.493 4.143 20 0 DIADHN Cc1cnc(SCCN(C)Cc2ccc(F)cc2)c(C)c1 ZINC001559439408 1059232378 /nfs/dbraw/zinc/23/23/78/1059232378.db2.gz JYHOTBPKYZRTJM-UHFFFAOYSA-N 0 3 304.434 4.062 20 0 DIADHN CCSC[C@H](C)N1CC[C@@H]2Nc3ccc(Cl)cc3[C@H]2C1 ZINC001247003037 1059232285 /nfs/dbraw/zinc/23/22/85/1059232285.db2.gz MPIFPBUIODHUFA-PEYYIBSZSA-N 0 3 310.894 4.065 20 0 DIADHN C[C@H](N)c1nc2cc(F)cc(-c3ccc(C(F)(F)F)cc3)c2[nH]1 ZINC001247015901 1059246239 /nfs/dbraw/zinc/24/62/39/1059246239.db2.gz VPMGQUXAWGBEMF-QMMMGPOBSA-N 0 3 323.293 4.408 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001523468810 1059250595 /nfs/dbraw/zinc/25/05/95/1059250595.db2.gz MTZPTQFQRUSQLP-OGWHTMIXSA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccc2nc([C@H]3CCCCN3)n(Cc3ccccc3)c2c1 ZINC001247040818 1059260043 /nfs/dbraw/zinc/26/00/43/1059260043.db2.gz CEVMVKVVBOOSLU-QGZVFWFLSA-N 0 3 309.388 4.038 20 0 DIADHN Cc1ccc(CN(C)CCCCc2ccccc2)nc1Cl ZINC001559487018 1059261052 /nfs/dbraw/zinc/26/10/52/1059261052.db2.gz OHLBUGPKPOMJGS-UHFFFAOYSA-N 0 3 302.849 4.498 20 0 DIADHN CC(C)[C@@H](C)n1c2ccccc2nc1[C@H](N)Cc1ccccc1 ZINC001247045859 1059270223 /nfs/dbraw/zinc/27/02/23/1059270223.db2.gz IYRSMLWQCJVOHW-NVXWUHKLSA-N 0 3 307.441 4.496 20 0 DIADHN Cc1cccc(CCCN2C[C@@H](c3ccccc3)O[C@@H](C)C2)c1 ZINC001559496392 1059275891 /nfs/dbraw/zinc/27/58/91/1059275891.db2.gz MJQKNKASAWIAIY-RXVVDRJESA-N 0 3 309.453 4.390 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1CCCC(C)(C)O1 ZINC001559509916 1059301513 /nfs/dbraw/zinc/30/15/13/1059301513.db2.gz OBEPMIKODOGTQG-HNNXBMFYSA-N 0 3 315.379 4.485 20 0 DIADHN CCC(CC)N(Cc1ccn(-c2ccc(F)cc2F)n1)C1CC1 ZINC001559515757 1059309956 /nfs/dbraw/zinc/30/99/56/1059309956.db2.gz TWFJJRJHLIZJMD-UHFFFAOYSA-N 0 3 319.399 4.304 20 0 DIADHN Cc1cc(-c2noc(CN3CCC[C@H]3CC(C)C)n2)ccc1F ZINC001559519897 1059316338 /nfs/dbraw/zinc/31/63/38/1059316338.db2.gz RTBHQVVKUDFTET-HNNXBMFYSA-N 0 3 317.408 4.195 20 0 DIADHN c1cc(C2CCCCC2)ccc1CN1CCN(C2CCC2)CC1 ZINC001559537585 1059338437 /nfs/dbraw/zinc/33/84/37/1059338437.db2.gz AEFBMHTZBKWYSV-UHFFFAOYSA-N 0 3 312.501 4.404 20 0 DIADHN C[C@H]1SCCN(CCOCC2CCCC2)[C@H]1c1ccccc1 ZINC001559542778 1059343891 /nfs/dbraw/zinc/34/38/91/1059343891.db2.gz GCQCZAVXRUKQGT-VQIMIIECSA-N 0 3 319.514 4.372 20 0 DIADHN C[C@H]1SCCN(CCOCC2CCCC2)[C@@H]1c1ccccc1 ZINC001559542775 1059344957 /nfs/dbraw/zinc/34/49/57/1059344957.db2.gz GCQCZAVXRUKQGT-APWZRJJASA-N 0 3 319.514 4.372 20 0 DIADHN CC(C)C[C@@H]1COCCN1CCCSCc1ccccc1 ZINC001559546648 1059353779 /nfs/dbraw/zinc/35/37/79/1059353779.db2.gz BFXGNRVTBWWOER-GOSISDBHSA-N 0 3 307.503 4.057 20 0 DIADHN Cc1c(Cl)cccc1-c1noc(C[C@@H](N)c2cccs2)n1 ZINC001247258739 1059361541 /nfs/dbraw/zinc/36/15/41/1059361541.db2.gz CFZVZCDLFVWCBZ-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN Cc1cc(-c2noc(C[C@@H](N)c3cccs3)n2)ccc1Cl ZINC001247259281 1059363018 /nfs/dbraw/zinc/36/30/18/1059363018.db2.gz QFBBNDHXRBVTHV-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN Cc1ccc(Cl)cc1-c1noc(C[C@@H](N)c2cccs2)n1 ZINC001247259266 1059363162 /nfs/dbraw/zinc/36/31/62/1059363162.db2.gz PSKZDRCIAMOWNK-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1Cc1cnn(-c2ccccc2)n1 ZINC001559552024 1059365820 /nfs/dbraw/zinc/36/58/20/1059365820.db2.gz SQZOWFWPTONUTL-IBGZPJMESA-N 0 3 312.461 4.058 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@H](O)c2cccc(C)c2)s1 ZINC001559555351 1059368700 /nfs/dbraw/zinc/36/87/00/1059368700.db2.gz ALDDVUWVJWTFNW-ZBFHGGJFSA-N 0 3 318.486 4.049 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(C(C)C)nc2CC)C1(C)C ZINC001559557005 1059371163 /nfs/dbraw/zinc/37/11/63/1059371163.db2.gz ODICYMWUQSPLQM-OALUTQOASA-N 0 3 318.505 4.403 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C(C)C)nc2CC)C1(C)C ZINC001559557007 1059372754 /nfs/dbraw/zinc/37/27/54/1059372754.db2.gz ODICYMWUQSPLQM-RTBURBONSA-N 0 3 318.505 4.403 20 0 DIADHN CCSc1ccccc1CN(Cc1ccccc1)C[C@H](C)O ZINC001559558609 1059375218 /nfs/dbraw/zinc/37/52/18/1059375218.db2.gz ZSWHDIKKJORJBK-INIZCTEOSA-N 0 3 315.482 4.182 20 0 DIADHN Cc1ccc2ncc(CN3CCS[C@@H](C(C)C)C3)cc2c1 ZINC001559563771 1059385951 /nfs/dbraw/zinc/38/59/51/1059385951.db2.gz CEUZLQKGSIVXTA-GOSISDBHSA-N 0 3 300.471 4.117 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cccc(OC(F)(F)F)c2)CCS1 ZINC001559564725 1059386925 /nfs/dbraw/zinc/38/69/25/1059386925.db2.gz UTTOLKJXBDFWNE-AWEZNQCLSA-N 0 3 319.392 4.159 20 0 DIADHN CCCCOC(=O)[C@H](C)N1CCC[C@@H]1[C@@H](CC)c1ccccc1 ZINC001559565881 1059393656 /nfs/dbraw/zinc/39/36/56/1059393656.db2.gz UFTGNVISHHXCPA-YTQUADARSA-N 0 3 317.473 4.376 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(CCCOC(F)(F)F)C1 ZINC001559574051 1059404800 /nfs/dbraw/zinc/40/48/00/1059404800.db2.gz RHYVKZZEDCRPNO-RISCZKNCSA-N 0 3 305.315 4.135 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(CCCOC(F)(F)F)C1 ZINC001559574052 1059405621 /nfs/dbraw/zinc/40/56/21/1059405621.db2.gz RHYVKZZEDCRPNO-SMDDNHRTSA-N 0 3 305.315 4.135 20 0 DIADHN Cc1cnc(CN2CCC[C@@]3(CCCc4ccccc43)C2)s1 ZINC001559583540 1059416425 /nfs/dbraw/zinc/41/64/25/1059416425.db2.gz KGRUCEPWOPYDEG-IBGZPJMESA-N 0 3 312.482 4.322 20 0 DIADHN CCCC[C@H](CC)CN1CCN(c2cc(F)ccc2F)CC1 ZINC001559590156 1059425840 /nfs/dbraw/zinc/42/58/40/1059425840.db2.gz IPIXEUYHJOKQFS-HNNXBMFYSA-N 0 3 310.432 4.303 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CCN(c3ccccc3O)CC2)c(C)c1 ZINC001559594657 1059427697 /nfs/dbraw/zinc/42/76/97/1059427697.db2.gz SFXYXNHWWNGTIB-GOSISDBHSA-N 0 3 324.468 4.201 20 0 DIADHN CC[C@H](CN1CCC[C@H]1c1ccccc1Br)OC ZINC001559598480 1059432738 /nfs/dbraw/zinc/43/27/38/1059432738.db2.gz XIBTZGRHTVXBAK-DOMZBBRYSA-N 0 3 312.251 4.011 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2ccccc2C(C)C)cc1 ZINC001559608989 1059443247 /nfs/dbraw/zinc/44/32/47/1059443247.db2.gz KAOVMIHWMZCFSH-UHFFFAOYSA-N 0 3 311.425 4.229 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(F)cc2OC)no1 ZINC001247417561 1059468782 /nfs/dbraw/zinc/46/87/82/1059468782.db2.gz NFDMKLDABKDKFX-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN O[C@@H](c1ccccc1)[C@H]1CCCCN1Cc1sccc1Cl ZINC001559644304 1059469122 /nfs/dbraw/zinc/46/91/22/1059469122.db2.gz XLJSTHQYLRFNSB-WBVHZDCISA-N 0 3 321.873 4.490 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc3ccccc3n2)no1 ZINC001247418137 1059470920 /nfs/dbraw/zinc/47/09/20/1059470920.db2.gz XBZIEGVQAMDSDQ-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(OC)c(F)c2)no1 ZINC001247417979 1059471213 /nfs/dbraw/zinc/47/12/13/1059471213.db2.gz CVFSFUQEOONNLI-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CC(C)C[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559649297 1059475532 /nfs/dbraw/zinc/47/55/32/1059475532.db2.gz CPMGCCDVYQHRGS-GJZGRUSLSA-N 0 3 322.880 4.425 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1ccc(C)cc1C ZINC001559649549 1059476392 /nfs/dbraw/zinc/47/63/92/1059476392.db2.gz JDBXVNBGEDATBI-KRWDZBQOSA-N 0 3 302.462 4.287 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1ccc(OCC)cc1 ZINC001559649555 1059476848 /nfs/dbraw/zinc/47/68/48/1059476848.db2.gz JENHUBZKICQQHU-KRWDZBQOSA-N 0 3 318.461 4.069 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CC[C@](C)(CC)C1 ZINC001559651458 1059478467 /nfs/dbraw/zinc/47/84/67/1059478467.db2.gz IMFIONKQYZAPLA-IBGZPJMESA-N 0 3 315.413 4.232 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H]2CCC2CCCC2)c1 ZINC001559652649 1059483313 /nfs/dbraw/zinc/48/33/13/1059483313.db2.gz QHAMWFNCBUHMKV-LJQANCHMSA-N 0 3 314.473 4.368 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CCN(c2ccc(F)cc2Cl)CC1 ZINC001559659727 1059489730 /nfs/dbraw/zinc/48/97/30/1059489730.db2.gz YDAUTTKOHWALBJ-HUUCEWRRSA-N 0 3 324.871 4.427 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N(C)CCC1CC1)c1ccc2ccccc2c1 ZINC001559660833 1059489916 /nfs/dbraw/zinc/48/99/16/1059489916.db2.gz DWWPAJVSETWYAN-HOTGVXAUSA-N 0 3 324.468 4.137 20 0 DIADHN CN(Cc1cn(C)nc1C(F)(F)F)CC1CCC(C)(C)CC1 ZINC001559666601 1059496579 /nfs/dbraw/zinc/49/65/79/1059496579.db2.gz QWLQCTXEJNIZOB-UHFFFAOYSA-N 0 3 317.399 4.087 20 0 DIADHN CCC[C@H](NC[C@H](O)CC1CCCC1)c1ccc(F)cc1F ZINC001559681867 1059509651 /nfs/dbraw/zinc/50/96/51/1059509651.db2.gz SGBSOCXZHLHSAE-QAPCUYQASA-N 0 3 311.416 4.337 20 0 DIADHN CC[C@@H](NCC(=O)c1ccccc1F)C(C)(C)c1ccccc1 ZINC001559685358 1059513265 /nfs/dbraw/zinc/51/32/65/1059513265.db2.gz YCOJPSBMHLVUGF-LJQANCHMSA-N 0 3 313.416 4.354 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(F)cc1OC(F)F)CC(C)C ZINC001559687132 1059517562 /nfs/dbraw/zinc/51/75/62/1059517562.db2.gz AMUNJRFMYRRSCP-YPMHNXCESA-N 0 3 319.367 4.139 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1csc(Cc2ccccc2)n1 ZINC001559688932 1059518803 /nfs/dbraw/zinc/51/88/03/1059518803.db2.gz XLHOOVYRJYFQRH-YOEHRIQHSA-N 0 3 300.471 4.497 20 0 DIADHN CC(C)COCCN[C@@H](Cc1ccccc1)c1ccccc1F ZINC001559694182 1059523434 /nfs/dbraw/zinc/52/34/34/1059523434.db2.gz BXDQCTSIVJWFAZ-FQEVSTJZSA-N 0 3 315.432 4.372 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(F)c3cccnc23)c1 ZINC001559698472 1059525162 /nfs/dbraw/zinc/52/51/62/1059525162.db2.gz YFNLHVMFCYUCNY-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)cs1)c1cccc(Cl)c1 ZINC001559698519 1059527208 /nfs/dbraw/zinc/52/72/08/1059527208.db2.gz ZLPJSPIYIXADHM-OAHLLOKOSA-N 0 3 323.845 4.429 20 0 DIADHN C[C@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1CCC1=CCCCC1 ZINC001559704624 1059531704 /nfs/dbraw/zinc/53/17/04/1059531704.db2.gz RTSUUAMRDPPOSH-HOTGVXAUSA-N 0 3 322.493 4.207 20 0 DIADHN C[C@@H](NCc1cncc(C(F)(F)F)c1)c1ccc2c(c1)CCC2 ZINC001559703638 1059532931 /nfs/dbraw/zinc/53/29/31/1059532931.db2.gz IHOBXJRYLOTTNJ-GFCCVEGCSA-N 0 3 320.358 4.440 20 0 DIADHN CC(C)(C)[C@@H]1CCN(C/C=C\c2ccc(Cl)cc2)C[C@H]1O ZINC001559715489 1059540827 /nfs/dbraw/zinc/54/08/27/1059540827.db2.gz CREPEEJLQUWAEM-OSNZYPHYSA-N 0 3 307.865 4.082 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1N[C@H](C)c1nnnn1C1CCCCC1 ZINC001559724834 1059554912 /nfs/dbraw/zinc/55/49/12/1059554912.db2.gz IDBYUBBJFMGNHK-BFYDXBDKSA-N 0 3 319.497 4.188 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC[C@H](CC(=O)OC(C)C)C1 ZINC001559723635 1059556259 /nfs/dbraw/zinc/55/62/59/1059556259.db2.gz WWJSBZBFXRBBAE-QAPCUYQASA-N 0 3 321.436 4.331 20 0 DIADHN Cc1ccccc1C1CCN(CC2(Br)CC2)CC1 ZINC001559735724 1059565266 /nfs/dbraw/zinc/56/52/66/1059565266.db2.gz SFMTZWHRUMSQOV-UHFFFAOYSA-N 0 3 308.263 4.102 20 0 DIADHN Fc1ccc(CN[C@@H](c2cccnc2)C2CC2)c2ncccc12 ZINC001559741203 1059576122 /nfs/dbraw/zinc/57/61/22/1059576122.db2.gz XITNFORUWFANJS-GOSISDBHSA-N 0 3 307.372 4.010 20 0 DIADHN C[C@H](O)[C@H]1C[C@H](C)CCN1Cc1c(Cl)oc2ccccc21 ZINC001559757597 1059588773 /nfs/dbraw/zinc/58/87/73/1059588773.db2.gz HBANQHVXBMEWPB-TYNCELHUSA-N 0 3 307.821 4.068 20 0 DIADHN O=C(CN[C@H]1CCC[C@H]1Cc1ccccc1)c1ccccc1F ZINC001559758537 1059589373 /nfs/dbraw/zinc/58/93/73/1059589373.db2.gz FCTXJIKFBSTKJD-LPHOPBHVSA-N 0 3 311.400 4.009 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC[C@H](C(C)C)C1 ZINC001559758679 1059592744 /nfs/dbraw/zinc/59/27/44/1059592744.db2.gz HLLBUSGHCUXANR-CABCVRRESA-N 0 3 322.880 4.343 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCOCC2(CCCC2)C1 ZINC001559766080 1059600781 /nfs/dbraw/zinc/60/07/81/1059600781.db2.gz XMXKGBVNZLQSBZ-QGZVFWFLSA-N 0 3 305.849 4.220 20 0 DIADHN CC1CC(CN[C@H](c2nc3ccccc3n2C)c2ccccc2)C1 ZINC001559770219 1059606789 /nfs/dbraw/zinc/60/67/89/1059606789.db2.gz AZRYJVJNUXGNSR-PMTKGXAQSA-N 0 3 319.452 4.298 20 0 DIADHN CC[C@@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)[C@@H]1C ZINC001559769852 1059607697 /nfs/dbraw/zinc/60/76/97/1059607697.db2.gz UJQARPSVEJMKCM-VXGBXAGGSA-N 0 3 306.356 4.240 20 0 DIADHN CC(C)(C)c1cccc(CN2CC[C@H](OCc3ccncc3)C2)c1 ZINC001559787439 1059622520 /nfs/dbraw/zinc/62/25/20/1059622520.db2.gz UZKUDYBGEIYNQY-FQEVSTJZSA-N 0 3 324.468 4.170 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(Cl)cc1Cl)C1CC1 ZINC001559791422 1059624841 /nfs/dbraw/zinc/62/48/41/1059624841.db2.gz CQMJKZYSNFVJCA-MEBBXXQBSA-N 0 3 302.245 4.459 20 0 DIADHN CC1(C)OC[C@H](CN2CCCC[C@H]2c2cccc(Cl)c2)O1 ZINC001559795340 1059629756 /nfs/dbraw/zinc/62/97/56/1059629756.db2.gz DUDQWPRZIQARNO-HOTGVXAUSA-N 0 3 309.837 4.019 20 0 DIADHN CCOC(=O)[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1cccc(Cl)c1 ZINC001559795638 1059630095 /nfs/dbraw/zinc/63/00/95/1059630095.db2.gz KNUWOWDVVZDXMJ-USXIJHARSA-N 0 3 321.848 4.066 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1CC/C=C\c1ccccc1 ZINC001559801330 1059636502 /nfs/dbraw/zinc/63/65/02/1059636502.db2.gz WORHBOUMVXCZSC-VPLQDYMCSA-N 0 3 315.457 4.288 20 0 DIADHN C[C@H](CN1CCCC[C@H]1C(=O)OCc1ccccc1)C(C)(C)C ZINC001559804256 1059638488 /nfs/dbraw/zinc/63/84/88/1059638488.db2.gz FRPYHOHQBJFFPM-AEFFLSMTSA-N 0 3 317.473 4.267 20 0 DIADHN Cc1ccc2sc(CN3CCCCC[C@H]3C[C@H](C)O)nc2c1 ZINC001559827341 1059662006 /nfs/dbraw/zinc/66/20/06/1059662006.db2.gz KZJJARGOVCVRJT-GJZGRUSLSA-N 0 3 318.486 4.120 20 0 DIADHN O=C(CN1CCCCCCCC1)Nc1ccc2ccccc2c1 ZINC001559841721 1059671013 /nfs/dbraw/zinc/67/10/13/1059671013.db2.gz RVFFXHVBEZJUMU-UHFFFAOYSA-N 0 3 310.441 4.435 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CCCCCCCC1 ZINC001559841341 1059671832 /nfs/dbraw/zinc/67/18/32/1059671832.db2.gz GQATVDGSGDXYOH-UHFFFAOYSA-N 0 3 301.459 4.361 20 0 DIADHN Clc1cncc(Cl)c1CN1CCCc2ccccc2CC1 ZINC001559841806 1059672324 /nfs/dbraw/zinc/67/23/24/1059672324.db2.gz USEZPAVYTHIXLZ-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2CCC[C@H](OC(=O)N(C)C)C2)cc1 ZINC001559848088 1059673444 /nfs/dbraw/zinc/67/34/44/1059673444.db2.gz JIZXFAXBQQAEOC-QAPCUYQASA-N 0 3 318.461 4.034 20 0 DIADHN CCC[C@H](C)CCCN1[C@H](C)CN(C(=O)C(C)(C)C)C[C@@H]1C ZINC001559861230 1059682277 /nfs/dbraw/zinc/68/22/77/1059682277.db2.gz CUFQVPZYGGHTJF-YESZJQIVSA-N 0 3 310.526 4.170 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC001559863346 1059682426 /nfs/dbraw/zinc/68/24/26/1059682426.db2.gz XLLZRWUJDPLZRB-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cccnc1C(F)(F)F ZINC001559863228 1059682567 /nfs/dbraw/zinc/68/25/67/1059682567.db2.gz RWDBUOSGPQEPKG-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1ccc(-c2ccccn2)nc1 ZINC001559860820 1059683115 /nfs/dbraw/zinc/68/31/15/1059683115.db2.gz PNMPQTZVYZQVHJ-UHFFFAOYSA-N 0 3 317.436 4.477 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCOC[C@H](C2CCC2)C1 ZINC001559862663 1059683224 /nfs/dbraw/zinc/68/32/24/1059683224.db2.gz XNNLXYLTZBPSNN-LJQANCHMSA-N 0 3 317.473 4.067 20 0 DIADHN Clc1ccc(CN2CC[C@@]3(C2)CCc2ccccc2O3)cc1 ZINC001559875696 1059696098 /nfs/dbraw/zinc/69/60/98/1059696098.db2.gz DVKGSZUNBOQGNQ-LJQANCHMSA-N 0 3 313.828 4.310 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@]3(C)CCCC[C@H]3C)o2)cc1 ZINC001559893163 1059710023 /nfs/dbraw/zinc/71/00/23/1059710023.db2.gz KPWMQRJJQTWBED-KUHUBIRLSA-N 0 3 314.429 4.409 20 0 DIADHN Cc1nn(C)c(Cl)c1CN(C)[C@H]1CCC[C@H]1c1ccccc1 ZINC001559898986 1059714661 /nfs/dbraw/zinc/71/46/61/1059714661.db2.gz QZNZGAITGJCMPJ-RDJZCZTQSA-N 0 3 317.864 4.150 20 0 DIADHN CCSc1ccccc1CN1C[C@H](O)C[C@H]1c1ccccc1 ZINC001559901111 1059717589 /nfs/dbraw/zinc/71/75/89/1059717589.db2.gz IUERVWKPSMHUKC-MSOLQXFVSA-N 0 3 313.466 4.107 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC(C)(C)C[C@@H]3C)co2)cc1 ZINC001559914138 1059729531 /nfs/dbraw/zinc/72/95/31/1059729531.db2.gz ZENHVEPAHAAFHE-AWEZNQCLSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1ccc(F)c(C[C@@H]2CCCN2CCOC2CCCCC2)c1 ZINC001559920636 1059734307 /nfs/dbraw/zinc/73/43/07/1059734307.db2.gz QRDQUFQTZYCKFI-KRWDZBQOSA-N 0 3 323.427 4.321 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1ccc2ccccc2n1 ZINC001559927200 1059740541 /nfs/dbraw/zinc/74/05/41/1059740541.db2.gz KJUUUSKLTUIIAR-UHFFFAOYSA-N 0 3 320.436 4.444 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CC[C@@H](C2CCCC2)C1 ZINC001559925139 1059741013 /nfs/dbraw/zinc/74/10/13/1059741013.db2.gz MCDMPLNMFUFXHL-OAHLLOKOSA-N 0 3 320.864 4.099 20 0 DIADHN COC(=O)c1sccc1CN1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC001559935412 1059749948 /nfs/dbraw/zinc/74/99/48/1059749948.db2.gz ITAKIWBGQAZQOB-HIFRSBDPSA-N 0 3 321.486 4.183 20 0 DIADHN Fc1ccccc1C1(NC[C@@H]2CCCC3(CCC3)O2)CCC1 ZINC001559941450 1059756920 /nfs/dbraw/zinc/75/69/20/1059756920.db2.gz RQSNEAONNFAITC-HNNXBMFYSA-N 0 3 303.421 4.286 20 0 DIADHN CO[C@H](CNC1(c2ccccc2F)CCC1)c1ccc(F)cc1 ZINC001559941042 1059758537 /nfs/dbraw/zinc/75/85/37/1059758537.db2.gz JGLQOOSFZMFYNW-GOSISDBHSA-N 0 3 317.379 4.321 20 0 DIADHN CC(C)(C)OC(=O)N1CC(N(CCCC2CCCC2)C2CC2)C1 ZINC001559955429 1059765343 /nfs/dbraw/zinc/76/53/43/1059765343.db2.gz TYJNLDCXCARDOQ-UHFFFAOYSA-N 0 3 322.493 4.041 20 0 DIADHN CN1CCN(Cc2cc(Cl)sc2Cl)C[C@H]1C(C)(C)C ZINC001559956391 1059766090 /nfs/dbraw/zinc/76/60/90/1059766090.db2.gz VAWVGWBECAWMIN-NSHDSACASA-N 0 3 321.317 4.217 20 0 DIADHN Cc1cc(CN2CCc3ccc(F)cc3[C@@H](C)C2)cc(Cl)n1 ZINC001559958971 1059768206 /nfs/dbraw/zinc/76/82/06/1059768206.db2.gz NETDOFCINVQFKO-LBPRGKRZSA-N 0 3 318.823 4.344 20 0 DIADHN CCc1ccc(NC(=O)CNC2(c3ccccc3C)CCC2)cc1 ZINC001559963484 1059768584 /nfs/dbraw/zinc/76/85/84/1059768584.db2.gz UCJDCMHAEHSPIH-UHFFFAOYSA-N 0 3 322.452 4.165 20 0 DIADHN COc1ccc(Cl)c(N2CCN(C[C@@H](C)CC(C)C)CC2)c1 ZINC001559965405 1059772190 /nfs/dbraw/zinc/77/21/90/1059772190.db2.gz BPRLRYGCDPXOLT-HNNXBMFYSA-N 0 3 324.896 4.153 20 0 DIADHN C[C@H](c1cccnc1)N1CCC2(CCc3ccccc3C2)CC1 ZINC001559965846 1059774278 /nfs/dbraw/zinc/77/42/78/1059774278.db2.gz MCAZJAAKUBEGSV-QGZVFWFLSA-N 0 3 306.453 4.414 20 0 DIADHN CC(C)c1ccc2c(c1)CN(CCSc1ccncc1)CC2 ZINC001559980325 1059782921 /nfs/dbraw/zinc/78/29/21/1059782921.db2.gz XITBWNPAIRWCMO-UHFFFAOYSA-N 0 3 312.482 4.355 20 0 DIADHN O[C@@H](CN1CCC(C2CCC2)CC1)c1ccc(F)cc1Cl ZINC001559983160 1059789789 /nfs/dbraw/zinc/78/97/89/1059789789.db2.gz IQYBEEHBPAHFNE-KRWDZBQOSA-N 0 3 311.828 4.025 20 0 DIADHN CN(CCCCCF)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC001559989368 1059792557 /nfs/dbraw/zinc/79/25/57/1059792557.db2.gz MCKGISWYPQVCIU-UHFFFAOYSA-N 0 3 317.330 4.153 20 0 DIADHN COC(=O)[C@H](c1ccccc1C)N1CCC(C)(C)CC(C)(C)C1 ZINC001559986925 1059793315 /nfs/dbraw/zinc/79/33/15/1059793315.db2.gz AQKRYLPJBPBAAZ-KRWDZBQOSA-N 0 3 317.473 4.357 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2C(=O)CN(C)CC(C)(C)C)o1 ZINC001565952622 1059793484 /nfs/dbraw/zinc/79/34/84/1059793484.db2.gz CDHYNWRGXHLBEG-MRXNPFEDSA-N 0 3 320.477 4.010 20 0 DIADHN CCCCCN1Cc2ccccc2[C@H](C(=O)OC(C)(C)C)C1 ZINC001559991391 1059797418 /nfs/dbraw/zinc/79/74/18/1059797418.db2.gz RYPGAKUVRMKDII-QGZVFWFLSA-N 0 3 303.446 4.118 20 0 DIADHN CCCCCN1Cc2ccccc2[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559991390 1059798728 /nfs/dbraw/zinc/79/87/28/1059798728.db2.gz RYPGAKUVRMKDII-KRWDZBQOSA-N 0 3 303.446 4.118 20 0 DIADHN Cc1ccc(CN2CCC[C@H](CCF)C2)c(Br)c1 ZINC001559998330 1059803705 /nfs/dbraw/zinc/80/37/05/1059803705.db2.gz ASGTWFJKPUEANS-CYBMUJFWSA-N 0 3 314.242 4.329 20 0 DIADHN C[C@H](Cc1ccccc1)N(Cc1ccno1)Cc1ccccc1 ZINC001560015657 1059821012 /nfs/dbraw/zinc/82/10/12/1059821012.db2.gz KRFOLVHSOGTJOG-QGZVFWFLSA-N 0 3 306.409 4.308 20 0 DIADHN Cc1ccc(N2CCN(Cc3cc(C)ccc3C)CC2)c(C)c1 ZINC001560018744 1059831889 /nfs/dbraw/zinc/83/18/89/1059831889.db2.gz DLUNYNZBVUSZBG-UHFFFAOYSA-N 0 3 308.469 4.242 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@@H]4CCCC[C@H]4C3)o2)cc1 ZINC001248442223 1059835031 /nfs/dbraw/zinc/83/50/31/1059835031.db2.gz KXBAJIRSZGPQOT-HOCLYGCPSA-N 0 3 314.404 4.493 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(Cl)cn2ccnc12 ZINC001248447009 1059839504 /nfs/dbraw/zinc/83/95/04/1059839504.db2.gz CBTDIWJNHAUOSK-UHFFFAOYSA-N 0 3 313.832 4.400 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cc(Cl)cn2ccnc12 ZINC001248455645 1059841033 /nfs/dbraw/zinc/84/10/33/1059841033.db2.gz BNRGXUCYXVJGTR-UHFFFAOYSA-N 0 3 313.832 4.318 20 0 DIADHN CC(C)CCO[C@@H]1CCN(C/C=C\c2ccc(Cl)cc2)C1 ZINC001560033629 1059846208 /nfs/dbraw/zinc/84/62/08/1059846208.db2.gz ZCEYPGMBWRULSY-DDKKJVIOSA-N 0 3 307.865 4.490 20 0 DIADHN C[C@@H]1CN(Cc2cccc(-c3ccc(Cl)cc3)n2)CCCO1 ZINC001248504491 1059856411 /nfs/dbraw/zinc/85/64/11/1059856411.db2.gz FRNFXWDAAUGOIM-CQSZACIVSA-N 0 3 316.832 4.013 20 0 DIADHN Cc1cccc([C@H](NCC(=O)N[C@H](C)c2ccccc2)C(C)C)c1 ZINC001560054657 1059867132 /nfs/dbraw/zinc/86/71/32/1059867132.db2.gz GHPIVXLRTIFCGX-DYESRHJHSA-N 0 3 324.468 4.159 20 0 DIADHN CC1(C)CCC2(CCN(Cc3c4ccoc4ccc3F)CC2)O1 ZINC001248541632 1059872672 /nfs/dbraw/zinc/87/26/72/1059872672.db2.gz HERRCLYNASPKNA-UHFFFAOYSA-N 0 3 317.404 4.496 20 0 DIADHN Fc1ccc2occc2c1CN1CCC(Cc2ccncc2)CC1 ZINC001248541865 1059873406 /nfs/dbraw/zinc/87/34/06/1059873406.db2.gz NHCOWQFEWKXQMZ-UHFFFAOYSA-N 0 3 324.399 4.422 20 0 DIADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001560065346 1059879992 /nfs/dbraw/zinc/87/99/92/1059879992.db2.gz KMFGNWGRPWLBHD-JWXAPSBJSA-N 0 3 323.864 4.030 20 0 DIADHN CCC[C@@H](CC1CCCC1)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001525443985 1059891712 /nfs/dbraw/zinc/89/17/12/1059891712.db2.gz XURGRNMERVQDJR-ROUUACIJSA-N 0 3 322.537 4.220 20 0 DIADHN CC(=O)OCc1cc(Nc2ccnc(C3CCC3)c2)ccc1C ZINC001248572874 1059893784 /nfs/dbraw/zinc/89/37/84/1059893784.db2.gz ASRDWPIAXVLCME-UHFFFAOYSA-N 0 3 310.397 4.464 20 0 DIADHN Cc1ccc2c(CN3CCOC4(CCCCC4)C3)cccc2n1 ZINC001248573314 1059895110 /nfs/dbraw/zinc/89/51/10/1059895110.db2.gz IKNZPOVXGWIAPO-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN Cc1ccc2c(CN3CCOC[C@@H]3C3CCCCC3)cccc2n1 ZINC001248578087 1059896269 /nfs/dbraw/zinc/89/62/69/1059896269.db2.gz XFSFCGBOTKWCCY-OAQYLSRUSA-N 0 3 324.468 4.324 20 0 DIADHN Cc1ccc2c(CN3CCC(=O)[C@H](C)C34CCCC4)cccc2n1 ZINC001248577512 1059897741 /nfs/dbraw/zinc/89/77/41/1059897741.db2.gz JMIGQPWEMHGVRG-INIZCTEOSA-N 0 3 322.452 4.267 20 0 DIADHN CO[C@@H](CN1CCc2ccc(Cl)c(C)c2C1)C1CCCC1 ZINC001560089320 1059899621 /nfs/dbraw/zinc/89/96/21/1059899621.db2.gz IGBPFWHRGZYZHL-SFHVURJKSA-N 0 3 307.865 4.212 20 0 DIADHN Clc1cccc(CN[C@@H]2CCC[C@]23CCCO3)c1Cl ZINC001560099697 1059908132 /nfs/dbraw/zinc/90/81/32/1059908132.db2.gz SVZDKLWEBYWBPR-HIFRSBDPSA-N 0 3 300.229 4.185 20 0 DIADHN FC[C@H]1CCN([C@@H]2CCCc3ccc(Br)cc32)C1 ZINC001560103774 1059910275 /nfs/dbraw/zinc/91/02/75/1059910275.db2.gz YYMNLGFNHLHQRP-IAQYHMDHSA-N 0 3 312.226 4.118 20 0 DIADHN Cc1cccc(Br)c1CN1CCC[C@H](F)CC1 ZINC001248603298 1059910678 /nfs/dbraw/zinc/91/06/78/1059910678.db2.gz GUWHIPJEIPNTSK-LBPRGKRZSA-N 0 3 300.215 4.082 20 0 DIADHN FC[C@H]1CCN([C@H]2CCCc3ccc(Br)cc32)C1 ZINC001560103773 1059911419 /nfs/dbraw/zinc/91/14/19/1059911419.db2.gz YYMNLGFNHLHQRP-ABAIWWIYSA-N 0 3 312.226 4.118 20 0 DIADHN C[C@@]1(Cc2cc(F)c(F)c(F)c2)CCCN1Cc1ccncc1 ZINC001560109229 1059916471 /nfs/dbraw/zinc/91/64/71/1059916471.db2.gz WRJMQIYGRYMCRV-SFHVURJKSA-N 0 3 320.358 4.096 20 0 DIADHN Cc1cccc([C@H](C)N2CC[C@@H](C(F)(F)F)O[C@@H](C)C2)c1 ZINC001560117911 1059922970 /nfs/dbraw/zinc/92/29/70/1059922970.db2.gz KCROAQRWFDFCEY-YDHLFZDLSA-N 0 3 301.352 4.098 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001560121836 1059924055 /nfs/dbraw/zinc/92/40/55/1059924055.db2.gz KYEDSFKRXIDRLV-UGSOOPFHSA-N 0 3 303.352 4.179 20 0 DIADHN COc1c(CN(CC2CC2)C2CC2)ccc(Cl)c1Cl ZINC001248646512 1059925962 /nfs/dbraw/zinc/92/59/62/1059925962.db2.gz UEHFPYMQARSISU-UHFFFAOYSA-N 0 3 300.229 4.376 20 0 DIADHN CCCC[C@@H](C(=O)Nc1cc(C)on1)N(C)C[C@H](C)C(C)(C)C ZINC001560128198 1059928812 /nfs/dbraw/zinc/92/88/12/1059928812.db2.gz CIZJAPHBFBOTKH-ZFWWWQNUSA-N 0 3 323.481 4.094 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)[C@H](C)C(C)C)c1ccc(Cl)cc1 ZINC001525553089 1059933258 /nfs/dbraw/zinc/93/32/58/1059933258.db2.gz MDCJEQLAZJJDNV-PBHICJAKSA-N 0 3 324.896 4.131 20 0 DIADHN COCc1cccc(CN2Cc3ccccc3OC3(CCC3)C2)c1 ZINC001560134361 1059936614 /nfs/dbraw/zinc/93/66/14/1059936614.db2.gz CPGJNNFRLATFAW-UHFFFAOYSA-N 0 3 323.436 4.150 20 0 DIADHN Cc1ccc(F)cc1CN1CC[C@H](c2c(F)cccc2F)C1 ZINC001560129900 1059936905 /nfs/dbraw/zinc/93/69/05/1059936905.db2.gz BQHGAIDEXHMAHX-ZDUSSCGKSA-N 0 3 305.343 4.402 20 0 DIADHN CC[C@@H](NC[C@@H]1CCC2(CCC2)CO1)c1cc(F)ccc1OC ZINC001560158540 1059951756 /nfs/dbraw/zinc/95/17/56/1059951756.db2.gz OHNZFXJQULUTEZ-DOTOQJQBSA-N 0 3 321.436 4.224 20 0 DIADHN CCC[C@@H]1CCc2ccccc2N1C(=O)[C@H]1CCCN1C(C)C ZINC001533637772 1059952958 /nfs/dbraw/zinc/95/29/58/1059952958.db2.gz GRBKPKIHIIMGMI-IEBWSBKVSA-N 0 3 314.473 4.007 20 0 DIADHN COc1ccccc1Oc1cccc(CN2CCC[C@H]3C[C@H]32)c1 ZINC001248760252 1059969797 /nfs/dbraw/zinc/96/97/97/1059969797.db2.gz JTLOOAFYMFGVLH-FUHWJXTLSA-N 0 3 309.409 4.472 20 0 DIADHN CC1(C)C[C@H](c2ccccc2)CN1Cc1nc2c(o1)CCCC2 ZINC001560190917 1059975051 /nfs/dbraw/zinc/97/50/51/1059975051.db2.gz WMGMXHQHLXBLKT-INIZCTEOSA-N 0 3 310.441 4.322 20 0 DIADHN Cn1ccc(-c2ccc(CN(Cc3ccccc3)C3CC3)cc2)n1 ZINC001248766689 1059975321 /nfs/dbraw/zinc/97/53/21/1059975321.db2.gz BSTVOMNOTKRYNT-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN Cc1ncc(Br)cc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001248782390 1059975792 /nfs/dbraw/zinc/97/57/92/1059975792.db2.gz YYQIWRMOIBKEAY-ZIAGYGMSSA-N 0 3 323.278 4.165 20 0 DIADHN Cc1nccc(CN2CCC[C@@]2(C)Cc2ccc(Cl)s2)n1 ZINC001560190164 1059976432 /nfs/dbraw/zinc/97/64/32/1059976432.db2.gz HHGFXSIMGDUXPK-INIZCTEOSA-N 0 3 321.877 4.097 20 0 DIADHN CC[C@]1(C(=O)OC(C)(C)C)CCCN(Cc2ccc(F)cc2)C1 ZINC001560191847 1059977026 /nfs/dbraw/zinc/97/70/26/1059977026.db2.gz SQBMACUXTDTTBR-IBGZPJMESA-N 0 3 321.436 4.160 20 0 DIADHN CC1(C)C[C@@H](c2ccccc2)CN1Cc1nc2c(o1)CCCC2 ZINC001560190918 1059977189 /nfs/dbraw/zinc/97/71/89/1059977189.db2.gz WMGMXHQHLXBLKT-MRXNPFEDSA-N 0 3 310.441 4.322 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2ccc(Cl)s2)ncn1 ZINC001560190330 1059977752 /nfs/dbraw/zinc/97/77/52/1059977752.db2.gz XWYOXBOGCKCBQF-MRXNPFEDSA-N 0 3 321.877 4.097 20 0 DIADHN Cc1cc(CN2CCC(O)(c3ccc(Cl)cc3)CC2)cs1 ZINC001248800614 1059982420 /nfs/dbraw/zinc/98/24/20/1059982420.db2.gz CAGKRXSDUGGBMZ-UHFFFAOYSA-N 0 3 321.873 4.194 20 0 DIADHN Cc1ccc(C)c(C2CCN(Cc3cc(C)nnc3C)CC2)c1 ZINC001560205157 1059985840 /nfs/dbraw/zinc/98/58/40/1059985840.db2.gz MZBWFKNAZVTBAC-UHFFFAOYSA-N 0 3 309.457 4.090 20 0 DIADHN COc1cccc(O[C@@H]2CCCN(Cc3csc(C)c3)C2)c1 ZINC001248803274 1059985865 /nfs/dbraw/zinc/98/58/65/1059985865.db2.gz PSDFWJDTMOCGBF-GOSISDBHSA-N 0 3 317.454 4.109 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2csc(C)c2)cc1OC ZINC001248803113 1059988476 /nfs/dbraw/zinc/98/84/76/1059988476.db2.gz GYCHYXPFYNEEIF-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN COC(=O)c1ccc([C@H]2CCCN2Cc2csc(C)c2)cc1 ZINC001248804705 1059988892 /nfs/dbraw/zinc/98/88/92/1059988892.db2.gz RKHWVNOVVZAPKY-QGZVFWFLSA-N 0 3 315.438 4.180 20 0 DIADHN Clc1ccc(/C=C\CN2CC[C@H](Cc3nccs3)C2)cc1 ZINC001560214256 1059991609 /nfs/dbraw/zinc/99/16/09/1059991609.db2.gz JCJPMHJUEMKDDA-DJYNDVHFSA-N 0 3 318.873 4.374 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cnc2ccccc2c1Cl ZINC001248813399 1059991758 /nfs/dbraw/zinc/99/17/58/1059991758.db2.gz WHDFZFMSMXMLFN-MRXNPFEDSA-N 0 3 318.848 4.278 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2ccc(F)c3cc[nH]c32)C1 ZINC001248815218 1059992355 /nfs/dbraw/zinc/99/23/55/1059992355.db2.gz PWJXDJYFQJAQRZ-CQSZACIVSA-N 0 3 318.289 4.031 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cnc2ccccc2c1Cl ZINC001248812475 1059994793 /nfs/dbraw/zinc/99/47/93/1059994793.db2.gz JWTCJNQAOVTNBU-OAHLLOKOSA-N 0 3 318.848 4.279 20 0 DIADHN Fc1ccc(C2CCN(Cc3ccc4n3CCC4)CC2)c(F)c1 ZINC001248825381 1060002419 /nfs/dbraw/zinc/00/24/19/1060002419.db2.gz AZKGMRIAANWWOC-UHFFFAOYSA-N 0 3 316.395 4.092 20 0 DIADHN Clc1ccc(C2=CCN(Cc3ccc4n3CCC4)CC2)cc1 ZINC001248820784 1060002494 /nfs/dbraw/zinc/00/24/94/1060002494.db2.gz CEPXLZJXGLYHBK-UHFFFAOYSA-N 0 3 312.844 4.377 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2ccc3n2CCC3)C1 ZINC001248823644 1060003979 /nfs/dbraw/zinc/00/39/79/1060003979.db2.gz GJUHCMRORSDAPY-CQSZACIVSA-N 0 3 300.833 4.077 20 0 DIADHN CC(C)CC[C@H](C)NCc1cc(Br)cnc1Cl ZINC001560247090 1060008428 /nfs/dbraw/zinc/00/84/28/1060008428.db2.gz DJOBUBGENCEVSE-JTQLQIEISA-N 0 3 319.674 4.412 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1CCC[C@@H]2CCC[C@@H]21 ZINC001248846886 1060011477 /nfs/dbraw/zinc/01/14/77/1060011477.db2.gz OWYNYMQPCWGXLD-ZBEGNZNMSA-N 0 3 323.362 4.346 20 0 DIADHN C[C@H]1CCCN(Cc2coc(-c3ccc(F)cc3)n2)C[C@H]1C ZINC001248853264 1060021892 /nfs/dbraw/zinc/02/18/92/1060021892.db2.gz ULSRTPMVFXSACN-UONOGXRCSA-N 0 3 302.393 4.349 20 0 DIADHN CCC1CCN(Cc2ccc(OCc3ccccc3)cn2)CC1 ZINC001248861610 1060026356 /nfs/dbraw/zinc/02/63/56/1060026356.db2.gz WGGDNYGDNYBIRO-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN COc1ccc(F)cc1CNC[C@@H](Nc1ccccc1)C(C)C ZINC001560258188 1060026775 /nfs/dbraw/zinc/02/67/75/1060026775.db2.gz XHRFFZDQGKMSJL-GOSISDBHSA-N 0 3 316.420 4.061 20 0 DIADHN Cc1ccnc(CNCCC2CCCCC2)c1Br ZINC001560264003 1060033860 /nfs/dbraw/zinc/03/38/60/1060033860.db2.gz OWCRJEXYIGXIPI-UHFFFAOYSA-N 0 3 311.267 4.213 20 0 DIADHN C[C@H]1CCC[C@H](NCc2ncc(Br)cc2Cl)C1 ZINC001560264046 1060034186 /nfs/dbraw/zinc/03/41/86/1060034186.db2.gz RBVKQUYLYQZMNJ-ONGXEEELSA-N 0 3 317.658 4.166 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccc(Cl)c(C)c2)c1 ZINC001560271696 1060047045 /nfs/dbraw/zinc/04/70/45/1060047045.db2.gz XIYWNEJHWGOEHW-UHFFFAOYSA-N 0 3 316.832 4.287 20 0 DIADHN Cc1cccc(CNCCOc2cccc3ccccc32)c1F ZINC001560274599 1060049298 /nfs/dbraw/zinc/04/92/98/1060049298.db2.gz LCEBGDRYMXMQIF-UHFFFAOYSA-N 0 3 309.384 4.456 20 0 DIADHN COc1ccc(C)cc1CNCCOc1ccc(C(C)C)cc1 ZINC001560282356 1060061599 /nfs/dbraw/zinc/06/15/99/1060061599.db2.gz JDTCJJULFSDHGW-UHFFFAOYSA-N 0 3 313.441 4.296 20 0 DIADHN CN(CCCNCc1ccsc1Cl)c1ccccc1F ZINC001560285006 1060063234 /nfs/dbraw/zinc/06/32/34/1060063234.db2.gz YBYSWGDDVMLFOP-UHFFFAOYSA-N 0 3 312.841 4.157 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccccc2C(F)(F)F)cc1 ZINC001560290897 1060071477 /nfs/dbraw/zinc/07/14/77/1060071477.db2.gz UMIDNHYGJORMCO-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN Cc1nc(CNCCCc2cccc(C(F)(F)F)c2)cs1 ZINC001560293425 1060073340 /nfs/dbraw/zinc/07/33/40/1060073340.db2.gz GEKNYDOKCNWLJD-UHFFFAOYSA-N 0 3 314.376 4.193 20 0 DIADHN CCOc1cccc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC001560294043 1060076167 /nfs/dbraw/zinc/07/61/67/1060076167.db2.gz LBWKRPIULLVSCZ-VQTJNVASSA-N 0 3 311.425 4.095 20 0 DIADHN Clc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC001560294440 1060078048 /nfs/dbraw/zinc/07/80/48/1060078048.db2.gz ZQVLFBAHWOAXFB-ROUUACIJSA-N 0 3 301.817 4.350 20 0 DIADHN Cc1cccc(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1C ZINC001560295938 1060079144 /nfs/dbraw/zinc/07/91/44/1060079144.db2.gz VBMMYDGZFRBPBW-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1ccc(CCNCc2nc3c(s2)C[C@H](C)CC3)c(C)c1 ZINC001560296190 1060079190 /nfs/dbraw/zinc/07/91/90/1060079190.db2.gz HHEMLYDMUWSPBT-CQSZACIVSA-N 0 3 314.498 4.217 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(F)c(F)c2N(C)C)c(C)c1 ZINC001560296716 1060079625 /nfs/dbraw/zinc/07/96/25/1060079625.db2.gz DSQYVZZTMNXAST-UHFFFAOYSA-N 0 3 318.411 4.246 20 0 DIADHN Cc1ccnc(CNC[C@H]2CCCC[C@H]2C)c1Br ZINC001560301724 1060087169 /nfs/dbraw/zinc/08/71/69/1060087169.db2.gz CPZXEAQKTIDASZ-DGCLKSJQSA-N 0 3 311.267 4.068 20 0 DIADHN c1c(CNCC2(c3ccccc3)CCCCCC2)nn2c1CCC2 ZINC001560307520 1060096240 /nfs/dbraw/zinc/09/62/40/1060096240.db2.gz BOZMUCVOLMFYHZ-UHFFFAOYSA-N 0 3 323.484 4.211 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccccc1C(F)(F)F ZINC001560315019 1060101916 /nfs/dbraw/zinc/10/19/16/1060101916.db2.gz QYLVPSDKCWOFPW-UHFFFAOYSA-N 0 3 322.374 4.451 20 0 DIADHN CCCn1nc(C)c(CNCc2cc3c(s2)CCCC3)c1C ZINC001560319006 1060103890 /nfs/dbraw/zinc/10/38/90/1060103890.db2.gz LXASFLOCGXIIOK-UHFFFAOYSA-N 0 3 317.502 4.140 20 0 DIADHN FC(F)COc1cccc(CNCc2ccc3[nH]ccc3c2)c1 ZINC001560322675 1060108960 /nfs/dbraw/zinc/10/89/60/1060108960.db2.gz OTEUKMBWOLWPII-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN COc1cccc(OC)c1CNCCCc1ccc(Cl)cc1 ZINC001560322016 1060110497 /nfs/dbraw/zinc/11/04/97/1060110497.db2.gz LYBCNCRRGWMBFU-UHFFFAOYSA-N 0 3 319.832 4.080 20 0 DIADHN CCCC1CCC(CN[C@@H](CO)c2c(F)cccc2F)CC1 ZINC001560331193 1060117780 /nfs/dbraw/zinc/11/77/80/1060117780.db2.gz PBVBSYLRKJTXSL-KVULBXGLSA-N 0 3 311.416 4.194 20 0 DIADHN COc1ccc([C@@H](C)CC[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001560333613 1060121482 /nfs/dbraw/zinc/12/14/82/1060121482.db2.gz AGQZJZCIOVCVTF-ZDUSSCGKSA-N 0 3 319.832 4.338 20 0 DIADHN Cc1cccc(O[C@H](C)CNCc2cccc(Cl)c2F)c1 ZINC001560341526 1060128309 /nfs/dbraw/zinc/12/83/09/1060128309.db2.gz KIKGWKOEWJFWDM-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ccc(CNC[C@H](C)Oc2ccccc2F)c(Cl)c1 ZINC001560353157 1060138125 /nfs/dbraw/zinc/13/81/25/1060138125.db2.gz CEHQQFRRHNGTAX-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1csc(CNC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)n1 ZINC001560354847 1060142581 /nfs/dbraw/zinc/14/25/81/1060142581.db2.gz FEXUTHIQEDSMAI-FUHWJXTLSA-N 0 3 316.470 4.017 20 0 DIADHN Clc1sc(CN2CCN3CCCC[C@@H]3C2)c2ccccc12 ZINC001249068344 1060142702 /nfs/dbraw/zinc/14/27/02/1060142702.db2.gz SXRPMHDGYJEGSK-CYBMUJFWSA-N 0 3 320.889 4.225 20 0 DIADHN c1cc2cc(-c3noc([C@@H]4CCCN4CC4CC4)n3)ccc2o1 ZINC001249072850 1060145945 /nfs/dbraw/zinc/14/59/45/1060145945.db2.gz DIWAHDNRAUSGCZ-HNNXBMFYSA-N 0 3 309.369 4.030 20 0 DIADHN Fc1ccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)c(Cl)c1 ZINC001249073255 1060146683 /nfs/dbraw/zinc/14/66/83/1060146683.db2.gz TVQRQGJATKHWKX-AWEZNQCLSA-N 0 3 321.783 4.076 20 0 DIADHN COC[C@@H](NC[C@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC001560362576 1060148114 /nfs/dbraw/zinc/14/81/14/1060148114.db2.gz PYLYVLDHGRELRX-CRAIPNDOSA-N 0 3 301.430 4.134 20 0 DIADHN Cc1cccc(CN[C@H]2CCN(c3cccc(Cl)c3)C2)c1F ZINC001560363402 1060150923 /nfs/dbraw/zinc/15/09/23/1060150923.db2.gz VLBIZVADDTUGBR-INIZCTEOSA-N 0 3 318.823 4.156 20 0 DIADHN C[C@]1(CNCc2ccc(F)c(Cl)c2Cl)CCCC[C@@H]1O ZINC001560368760 1060154781 /nfs/dbraw/zinc/15/47/81/1060154781.db2.gz CWRBXVWNOMLVJI-SWLSCSKDSA-N 0 3 320.235 4.163 20 0 DIADHN C[C@]1(CNCc2ccc(Cl)cc2Cl)CCCC[C@H]1O ZINC001560368079 1060155824 /nfs/dbraw/zinc/15/58/24/1060155824.db2.gz DYJGBDPVQHEDLU-HUUCEWRRSA-N 0 3 302.245 4.024 20 0 DIADHN CC[C@H](CNCc1cc(C)ns1)Oc1cccc(Cl)c1 ZINC001560371220 1060157344 /nfs/dbraw/zinc/15/73/44/1060157344.db2.gz MORFQKPISNMDOP-CYBMUJFWSA-N 0 3 310.850 4.052 20 0 DIADHN Cc1ccc(-c2ccc(-c3noc([C@@H]4C[C@@H]5C[C@@H]5N4)n3)cc2)cc1 ZINC001249145840 1060168517 /nfs/dbraw/zinc/16/85/17/1060168517.db2.gz UJXICYDFPACAAT-BZSNNMDCSA-N 0 3 317.392 4.135 20 0 DIADHN CC1(C)CC[C@H](NCc2c(F)cc(Br)cc2F)C1 ZINC001560401328 1060185680 /nfs/dbraw/zinc/18/56/80/1060185680.db2.gz SQXJMCUVFKHFMN-JTQLQIEISA-N 0 3 318.205 4.396 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC1(C)Cc2ccccc2C1 ZINC001560402579 1060187408 /nfs/dbraw/zinc/18/74/08/1060187408.db2.gz XDZHVXPZIAGPNE-UHFFFAOYSA-N 0 3 324.449 4.359 20 0 DIADHN C[C@H](CCc1ccccc1)CNCc1nc(C2CC2)cs1 ZINC001560408920 1060193679 /nfs/dbraw/zinc/19/36/79/1060193679.db2.gz DDWWLXWFDMWMQY-CQSZACIVSA-N 0 3 300.471 4.379 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cnn(-c2ccccc2)c1 ZINC001560415808 1060199970 /nfs/dbraw/zinc/19/99/70/1060199970.db2.gz BCQBVWMSLCREPJ-HRAATJIYSA-N 0 3 317.436 4.286 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CC[C@@H](c2ccccc2)C1 ZINC001249263851 1060206914 /nfs/dbraw/zinc/20/69/14/1060206914.db2.gz ZCALQOAPVQDMJM-QGZVFWFLSA-N 0 3 321.449 4.195 20 0 DIADHN C[C@H]1CN(Cc2csc(-c3ccncc3)n2)CCC[C@@H]1C ZINC001249263667 1060209435 /nfs/dbraw/zinc/20/94/35/1060209435.db2.gz RLHMEAIDUOPQRI-KBPBESRZSA-N 0 3 301.459 4.073 20 0 DIADHN Cc1ccc(CN[C@@H](C)C[C@H](O)c2cccs2)c(Cl)c1 ZINC001560424186 1060209594 /nfs/dbraw/zinc/20/95/94/1060209594.db2.gz MGDXMOAZEAOJDA-WFASDCNBSA-N 0 3 309.862 4.312 20 0 DIADHN Cc1ccc(CN[C@H](C)C[C@@H](O)c2cccs2)c(Cl)c1 ZINC001560424184 1060210595 /nfs/dbraw/zinc/21/05/95/1060210595.db2.gz MGDXMOAZEAOJDA-IUODEOHRSA-N 0 3 309.862 4.312 20 0 DIADHN Cc1ccc(CN[C@@H](C)C[C@@H](O)c2cccs2)c(Cl)c1 ZINC001560424185 1060210908 /nfs/dbraw/zinc/21/09/08/1060210908.db2.gz MGDXMOAZEAOJDA-SWLSCSKDSA-N 0 3 309.862 4.312 20 0 DIADHN Cc1ccc(CNC2(CCOCc3ccccc3)CC2)c(F)c1 ZINC001560427160 1060212777 /nfs/dbraw/zinc/21/27/77/1060212777.db2.gz PRHDIJLPSYUNPL-UHFFFAOYSA-N 0 3 313.416 4.363 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001249299054 1060212828 /nfs/dbraw/zinc/21/28/28/1060212828.db2.gz LROGCMBVGODVDE-PBHICJAKSA-N 0 3 313.470 4.360 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001249299452 1060215562 /nfs/dbraw/zinc/21/55/62/1060215562.db2.gz UBEUNOGGRQBYLG-ZBFHGGJFSA-N 0 3 313.470 4.217 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CCCCCCCC1 ZINC001249309993 1060217775 /nfs/dbraw/zinc/21/77/75/1060217775.db2.gz DZTVWFQEUBBVBM-UHFFFAOYSA-N 0 3 301.459 4.361 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1cccc3c1CCC3)CCO2 ZINC001560434232 1060224436 /nfs/dbraw/zinc/22/44/36/1060224436.db2.gz PBESQONNWURQOB-FQEVSTJZSA-N 0 3 307.437 4.351 20 0 DIADHN COc1cc(CN[C@H](C)c2cccc(O)c2)cc2ccccc21 ZINC001560437978 1060226898 /nfs/dbraw/zinc/22/68/98/1060226898.db2.gz HIVPRMOUTMILPM-CQSZACIVSA-N 0 3 307.393 4.405 20 0 DIADHN COc1nsc(CNCC[C@@H](C)CC(C)(C)C)c1Cl ZINC001560440886 1060228564 /nfs/dbraw/zinc/22/85/64/1060228564.db2.gz JDHFRVYKBAQKAW-SNVBAGLBSA-N 0 3 304.887 4.357 20 0 DIADHN COc1cc(C)ccc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC001560445152 1060233047 /nfs/dbraw/zinc/23/30/47/1060233047.db2.gz MQZJAVIDVXICFP-MRXNPFEDSA-N 0 3 323.358 4.439 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN(C)CCc1ccc(Cl)cc1 ZINC001249407870 1060235799 /nfs/dbraw/zinc/23/57/99/1060235799.db2.gz RNGIYDNZCLMQDR-CQSZACIVSA-N 0 3 319.880 4.262 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC001249408564 1060239013 /nfs/dbraw/zinc/23/90/13/1060239013.db2.gz GTAXXKVLSUGFBJ-HOCLYGCPSA-N 0 3 315.436 4.062 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCc2ccccc2[C@H]1CC ZINC001249409064 1060240603 /nfs/dbraw/zinc/24/06/03/1060240603.db2.gz RKSFVXXZUYAKJC-HNAYVOBHSA-N 0 3 311.473 4.443 20 0 DIADHN CC(C)N(CCN(C)C)C(=O)c1ccc(C(C)(C)C)c(Cl)c1 ZINC001526504884 1060241108 /nfs/dbraw/zinc/24/11/08/1060241108.db2.gz XNQQJNAZMWOPOZ-UHFFFAOYSA-N 0 3 324.896 4.050 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001249409269 1060243383 /nfs/dbraw/zinc/24/33/83/1060243383.db2.gz WHCXSAFUPFZRNB-ZBFHGGJFSA-N 0 3 307.457 4.038 20 0 DIADHN CCCNc1ccc(CNCc2cc(O)cc(Cl)c2)cc1 ZINC001560462080 1060252925 /nfs/dbraw/zinc/25/29/25/1060252925.db2.gz AAEBZGFXUSYRHS-UHFFFAOYSA-N 0 3 304.821 4.157 20 0 DIADHN C[C@@H](CC(C)(C)C)NCc1nc(Cl)ccc1Br ZINC001560496186 1060257165 /nfs/dbraw/zinc/25/71/65/1060257165.db2.gz GJLYSLIIIJBLSZ-VIFPVBQESA-N 0 3 319.674 4.412 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1ccn(Cc2ccccc2)n1 ZINC001560468515 1060257692 /nfs/dbraw/zinc/25/76/92/1060257692.db2.gz CGOWDWWTWDPXRF-YLJYHZDGSA-N 0 3 309.457 4.013 20 0 DIADHN CN(Cc1cc(C(C)(C)C)c[nH]1)Cc1ccc(-n2ccnc2)cc1 ZINC001249480314 1060262020 /nfs/dbraw/zinc/26/20/20/1060262020.db2.gz NXCXXAKCEWAKDQ-UHFFFAOYSA-N 0 3 322.456 4.130 20 0 DIADHN CCSc1ncc2cccc(CN3CC[C@H]4CCC[C@H]4C3)n21 ZINC001249498677 1060267836 /nfs/dbraw/zinc/26/78/36/1060267836.db2.gz PDTIBFQARCSVQQ-CABCVRRESA-N 0 3 315.486 4.068 20 0 DIADHN CCc1cnc(CNCC2(c3ccc(C)cc3C)CC2)s1 ZINC001560486928 1060279627 /nfs/dbraw/zinc/27/96/27/1060279627.db2.gz VNSSXPVZCVHOCA-UHFFFAOYSA-N 0 3 300.471 4.144 20 0 DIADHN CC[C@]1(CNCc2cscc2Cl)OCCc2ccccc21 ZINC001560493973 1060288666 /nfs/dbraw/zinc/28/86/66/1060288666.db2.gz UXQNDIYXWNMZGX-QGZVFWFLSA-N 0 3 321.873 4.369 20 0 DIADHN CCC(C)(C)OCCNCc1ccccc1OC1CCCC1 ZINC001560496507 1060290405 /nfs/dbraw/zinc/29/04/05/1060290405.db2.gz XAQPIKQKPUBDPQ-UHFFFAOYSA-N 0 3 305.462 4.303 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@H]1CC[C@H](C)O1 ZINC001560496236 1060290729 /nfs/dbraw/zinc/29/07/29/1060290729.db2.gz JFCAKKAVMRYRFK-HNAYVOBHSA-N 0 3 311.425 4.019 20 0 DIADHN CC(C)c1cc(F)ccc1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249558729 1060291179 /nfs/dbraw/zinc/29/11/79/1060291179.db2.gz AQFHHZOAFRNWMZ-KRWDZBQOSA-N 0 3 318.436 4.130 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@H]1CC[C@@H](C)O1 ZINC001560496235 1060291751 /nfs/dbraw/zinc/29/17/51/1060291751.db2.gz JFCAKKAVMRYRFK-DNVCBOLYSA-N 0 3 311.425 4.019 20 0 DIADHN Cc1cccc2cccc(NC3=CC[C@H](N4CCOCC4)CC3)c12 ZINC001249561785 1060293375 /nfs/dbraw/zinc/29/33/75/1060293375.db2.gz STEWUVBVUPKZBS-IBGZPJMESA-N 0 3 322.452 4.329 20 0 DIADHN c1cc2c(cccc2NC2=CC[C@@H](N3CCOCC3)CC2)s1 ZINC001249568969 1060295961 /nfs/dbraw/zinc/29/59/61/1060295961.db2.gz RRAKAPIJCPRPDK-OAHLLOKOSA-N 0 3 314.454 4.082 20 0 DIADHN CC(C)C[C@@H]1C[C@H]1NCc1ccc(Sc2ccccn2)o1 ZINC001560501054 1060296223 /nfs/dbraw/zinc/29/62/23/1060296223.db2.gz PTAGCIDORMCKIP-UKRRQHHQSA-N 0 3 302.443 4.350 20 0 DIADHN CCC[C@H](CNCc1cc(Cl)cc(Cl)c1OC)OCC ZINC001560544597 1060351788 /nfs/dbraw/zinc/35/17/88/1060351788.db2.gz BPRNXIQSHYXSSN-CYBMUJFWSA-N 0 3 320.260 4.297 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@H]1CCC[C@H](F)C1 ZINC001560515599 1060313372 /nfs/dbraw/zinc/31/33/72/1060313372.db2.gz CTQDPTIDWYDDGG-IUCAKERBSA-N 0 3 322.168 4.098 20 0 DIADHN CCCC[C@@](C)(CO)NCc1ccc(Oc2ccccc2)o1 ZINC001560516125 1060315193 /nfs/dbraw/zinc/31/51/93/1060315193.db2.gz UQYVBCGPCSOUDO-SFHVURJKSA-N 0 3 303.402 4.103 20 0 DIADHN F[C@H]1CCC[C@H](NCc2cc(Cl)ccc2Br)C1 ZINC001560515757 1060315231 /nfs/dbraw/zinc/31/52/31/1060315231.db2.gz IHQZEGNGLUNKLK-RYUDHWBXSA-N 0 3 320.633 4.473 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccccc1OCC(F)F)CC2 ZINC001560521766 1060320033 /nfs/dbraw/zinc/32/00/33/1060320033.db2.gz OYJFJYKZSYYZSK-KRWDZBQOSA-N 0 3 317.379 4.416 20 0 DIADHN CC[C@H](OCCNCc1cc(F)c(F)c(F)c1)c1ccccc1 ZINC001560524735 1060323370 /nfs/dbraw/zinc/32/33/70/1060323370.db2.gz YYBBOFSNSNNXED-KRWDZBQOSA-N 0 3 323.358 4.361 20 0 DIADHN Cc1ccc(CNC[C@@H](OC2CCCC2)C(F)(F)F)c(C)c1 ZINC001560526824 1060327774 /nfs/dbraw/zinc/32/77/74/1060327774.db2.gz IXFRCQQQESKHRG-MRXNPFEDSA-N 0 3 315.379 4.283 20 0 DIADHN Cc1ccc(CNC[C@H](O)c2cccc3ccccc32)c(F)c1 ZINC001560529115 1060329441 /nfs/dbraw/zinc/32/94/41/1060329441.db2.gz RZVZVUOBNBITGD-FQEVSTJZSA-N 0 3 309.384 4.111 20 0 DIADHN Fc1ccc(Cl)cc1CNC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC001560534661 1060337612 /nfs/dbraw/zinc/33/76/12/1060337612.db2.gz LCINDHNVBXMVMI-RDJZCZTQSA-N 0 3 319.807 4.141 20 0 DIADHN CCN1CCc2ccc(CN3CCC[C@H]3c3ccc(C)nc3)cc21 ZINC001249665099 1060340993 /nfs/dbraw/zinc/34/09/93/1060340993.db2.gz RFIRHMWXVPAAOU-FQEVSTJZSA-N 0 3 321.468 4.110 20 0 DIADHN CCN1CCc2ccc(CN(C)[C@H](C)c3ccccc3OC)cc21 ZINC001249665502 1060341869 /nfs/dbraw/zinc/34/18/69/1060341869.db2.gz WXDYRRSXFVTXOJ-MRXNPFEDSA-N 0 3 324.468 4.271 20 0 DIADHN CCN1CCc2ccc(CN3CCCC[C@H]3c3cccnc3)cc21 ZINC001249668192 1060342051 /nfs/dbraw/zinc/34/20/51/1060342051.db2.gz SWFQHJHVKHQZAE-FQEVSTJZSA-N 0 3 321.468 4.191 20 0 DIADHN CC(C)[C@@H](NCc1ncc(Br)cc1Cl)C1CC1 ZINC001560539008 1060344916 /nfs/dbraw/zinc/34/49/16/1060344916.db2.gz LMBGPRACNZIDSI-CYBMUJFWSA-N 0 3 317.658 4.022 20 0 DIADHN Cn1c2ccccc2c(Br)c1CN[C@@]1(C)CC1(C)C ZINC001560540363 1060346651 /nfs/dbraw/zinc/34/66/51/1060346651.db2.gz MCHSGCVVLWRJSN-INIZCTEOSA-N 0 3 321.262 4.219 20 0 DIADHN c1csc(-c2nc(CNC[C@@H]3CC[C@@H]4C[C@@H]4C3)cs2)c1 ZINC001560542180 1060349044 /nfs/dbraw/zinc/34/90/44/1060349044.db2.gz WTIPWVGHTMUJOA-UPJWGTAASA-N 0 3 304.484 4.397 20 0 DIADHN CC(C)(NCc1ccc(C2CCC2)cc1)C(=O)Nc1ccccc1 ZINC001560546553 1060354366 /nfs/dbraw/zinc/35/43/66/1060354366.db2.gz ZYGGDOFCQKYJKV-UHFFFAOYSA-N 0 3 322.452 4.461 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cccc3c1OCC3)CCC2 ZINC001560549700 1060357866 /nfs/dbraw/zinc/35/78/66/1060357866.db2.gz OAKYIGYJSSTCPH-GOSISDBHSA-N 0 3 313.828 4.442 20 0 DIADHN C[C@@H](NC/C=C\c1ccc(F)cc1F)c1cc2cnccc2o1 ZINC001560567307 1060377473 /nfs/dbraw/zinc/37/74/73/1060377473.db2.gz COBBQYMXXCAJAH-ZZKXABKFSA-N 0 3 314.335 4.470 20 0 DIADHN C=C/C=C\CCNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC001560573804 1060387911 /nfs/dbraw/zinc/38/79/11/1060387911.db2.gz SHGJWBMUAYZKIL-PLNGDYQASA-N 0 3 315.848 4.115 20 0 DIADHN CCN(c1ccccc1)c1ccc(CNC[C@H]2CC(C)=NO2)cc1 ZINC001560574470 1060388550 /nfs/dbraw/zinc/38/85/50/1060388550.db2.gz FSGKTLRYDWTNDR-HXUWFJFHSA-N 0 3 323.440 4.099 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CC2(c3cccc(F)c3)CCC2)c1 ZINC001560582246 1060398303 /nfs/dbraw/zinc/39/83/03/1060398303.db2.gz YLZOBPHCZICIQJ-UHFFFAOYSA-N 0 3 319.807 4.396 20 0 DIADHN Cc1cc(F)c(Nc2cccc3c2OCC[C@H]3N(C)C)cc1F ZINC001249798542 1060406539 /nfs/dbraw/zinc/40/65/39/1060406539.db2.gz YIOHSLWTIHCXSQ-QGZVFWFLSA-N 0 3 318.367 4.402 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1ccc(Cl)cc1Br ZINC001560594386 1060407399 /nfs/dbraw/zinc/40/73/99/1060407399.db2.gz KTOQHBBEBVTDBR-PKINLEFWSA-N 0 3 314.654 4.237 20 0 DIADHN Cc1cc(Br)cc(CNC(C)(C)/C=C\Cl)c1 ZINC001560594914 1060407420 /nfs/dbraw/zinc/40/74/20/1060407420.db2.gz FAIWZAOVALEOPM-PLNGDYQASA-N 0 3 302.643 4.378 20 0 DIADHN O=C(CCC1CCCCC1)N1CCN(CC2CCCCC2)CC1 ZINC001527014363 1060414972 /nfs/dbraw/zinc/41/49/72/1060414972.db2.gz XXZVCNSOZHSBAN-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1ncccc1C ZINC001560617979 1060429901 /nfs/dbraw/zinc/42/99/01/1060429901.db2.gz HBDBYMVAEFPFHP-VMEIHUARSA-N 0 3 310.441 4.291 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H]1OCc2ccccc21 ZINC001560620971 1060432087 /nfs/dbraw/zinc/43/20/87/1060432087.db2.gz RIICVMSVLHOTHT-KRWDZBQOSA-N 0 3 317.816 4.100 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2ccc(C3CC3)cc2)c2ccccc21 ZINC001560619155 1060432243 /nfs/dbraw/zinc/43/22/43/1060432243.db2.gz KKRFQAXWABDTGG-ZHRRBRCNSA-N 0 3 321.464 4.439 20 0 DIADHN Cc1cc(CN2CC[C@H](CSc3ccccc3)C2)cnc1F ZINC001560627816 1060437330 /nfs/dbraw/zinc/43/73/30/1060437330.db2.gz KPIXIJGXDKPAMD-HNNXBMFYSA-N 0 3 316.445 4.143 20 0 DIADHN Oc1ccc(CN2CC[C@H](CSc3ccccc3)C2)cc1F ZINC001560627444 1060437843 /nfs/dbraw/zinc/43/78/43/1060437843.db2.gz AWSOYDGQCHKMFV-HNNXBMFYSA-N 0 3 317.429 4.146 20 0 DIADHN Fc1ccc(CN(Cc2ccc3c(c2)CCCO3)C2CC2)cc1 ZINC001560626905 1060438971 /nfs/dbraw/zinc/43/89/71/1060438971.db2.gz HDKDZVNWLKNPDU-UHFFFAOYSA-N 0 3 311.400 4.315 20 0 DIADHN CN1CCN(Cc2ccc(C3CC3)cc2)[C@H](c2ccccc2)C1 ZINC001560627244 1060439213 /nfs/dbraw/zinc/43/92/13/1060439213.db2.gz UFLDYNDCHGAPBK-NRFANRHFSA-N 0 3 306.453 4.053 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001560635701 1060448684 /nfs/dbraw/zinc/44/86/84/1060448684.db2.gz LCNLOMKVDQUETE-RYUDHWBXSA-N 0 3 321.408 4.402 20 0 DIADHN Cc1ccc(CCN2CCO[C@@]3(CCc4ccccc43)C2)cc1C ZINC001560637562 1060452355 /nfs/dbraw/zinc/45/23/55/1060452355.db2.gz HJXKJYLTQDWEJB-QFIPXVFZSA-N 0 3 321.464 4.020 20 0 DIADHN Cc1scc(CN(CCCO)Cc2cccc(Cl)c2)c1C ZINC001560640731 1060461360 /nfs/dbraw/zinc/46/13/60/1060461360.db2.gz LNNIIIGVSRGRNK-UHFFFAOYSA-N 0 3 323.889 4.403 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2scnc2C2CC2)C1(CC)CC ZINC001560644255 1060462508 /nfs/dbraw/zinc/46/25/08/1060462508.db2.gz LCDCOTZULSOYJY-HOTGVXAUSA-N 0 3 322.518 4.436 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001560647308 1060469966 /nfs/dbraw/zinc/46/99/66/1060469966.db2.gz UUXLVNDLKKCODM-RISCZKNCSA-N 0 3 321.408 4.402 20 0 DIADHN Cc1ccc(C(=O)Cc2ccc(C3CCN(C)CC3)cc2)cc1 ZINC001249910407 1060474067 /nfs/dbraw/zinc/47/40/67/1060474067.db2.gz VTQIYQWZYCEIIV-UHFFFAOYSA-N 0 3 307.437 4.230 20 0 DIADHN CCOc1cccc(CN2CCCc3cc(OC)ccc3C2)c1 ZINC001560653852 1060478466 /nfs/dbraw/zinc/47/84/66/1060478466.db2.gz ULPNHBPFCSSOGK-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cccn1C(F)F ZINC001560656114 1060479758 /nfs/dbraw/zinc/47/97/58/1060479758.db2.gz SUICTSGELRGLBG-GXTWGEPZSA-N 0 3 308.347 4.400 20 0 DIADHN COc1cc(CN2CCC3(CCCCC3)CC2)cnc1Cl ZINC001249916591 1060479965 /nfs/dbraw/zinc/47/99/65/1060479965.db2.gz FLMGFMFEJRIMLK-UHFFFAOYSA-N 0 3 308.853 4.290 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC001560658665 1060483601 /nfs/dbraw/zinc/48/36/01/1060483601.db2.gz GHOFBNKNYDHOJE-INIZCTEOSA-N 0 3 318.823 4.390 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2nccc3c2CCCC3)cc1 ZINC001560663527 1060490639 /nfs/dbraw/zinc/49/06/39/1060490639.db2.gz NSOKJKMXOWKFFQ-FQEVSTJZSA-N 0 3 308.425 4.003 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1cccc(C)c1C ZINC001560666254 1060493448 /nfs/dbraw/zinc/49/34/48/1060493448.db2.gz AXTQEVFXAVXJGY-QZTJIDSGSA-N 0 3 316.489 4.390 20 0 DIADHN Cc1csc2nc(C)c(CN(C)CCC3CCCCC3)n12 ZINC001560667397 1060494433 /nfs/dbraw/zinc/49/44/33/1060494433.db2.gz HIJURRRAMYOGNU-UHFFFAOYSA-N 0 3 305.491 4.415 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@@H](C)CC2CCCCC2)cc1 ZINC001560669101 1060495732 /nfs/dbraw/zinc/49/57/32/1060495732.db2.gz DERJYJKPKDYGNO-KRWDZBQOSA-N 0 3 316.489 4.472 20 0 DIADHN CN(C)c1cc(F)cc(CN(C)Cc2cccc3cccnc32)c1 ZINC001249955451 1060499166 /nfs/dbraw/zinc/49/91/66/1060499166.db2.gz MPQSAFJQWAFQLT-UHFFFAOYSA-N 0 3 323.415 4.072 20 0 DIADHN COc1ccc(CN2CCC(CC(C)C)CC2)cc1OC1CC1 ZINC001560671745 1060499399 /nfs/dbraw/zinc/49/93/99/1060499399.db2.gz NCOHKVQHFOCDTR-UHFFFAOYSA-N 0 3 317.473 4.495 20 0 DIADHN Brc1ccc([C@H]2CCCN2CCC[C@H]2CCO2)cc1 ZINC001560675794 1060510068 /nfs/dbraw/zinc/51/00/68/1060510068.db2.gz NIYAJXIDMFYDGU-JKSUJKDBSA-N 0 3 324.262 4.155 20 0 DIADHN Brc1ccc([C@H]2CCCN2CCC[C@@H]2CCO2)cc1 ZINC001560675793 1060511438 /nfs/dbraw/zinc/51/14/38/1060511438.db2.gz NIYAJXIDMFYDGU-HZPDHXFCSA-N 0 3 324.262 4.155 20 0 DIADHN C[C@H]1CN(Cc2ccnc(OCc3ccccc3)c2)C2(CC2)C1 ZINC001249978980 1060516119 /nfs/dbraw/zinc/51/61/19/1060516119.db2.gz VIVRQZZVFNIADH-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN CC(C)C[C@H]1CCCCCN1Cc1cn2cccc(F)c2n1 ZINC001560677595 1060517635 /nfs/dbraw/zinc/51/76/35/1060517635.db2.gz GMZIWUJARGQGGJ-MRXNPFEDSA-N 0 3 303.425 4.264 20 0 DIADHN C[N@H+](CCCOc1cccc(Cl)c1)Cc1ccc([O-])c(F)c1 ZINC001560680722 1060524189 /nfs/dbraw/zinc/52/41/89/1060524189.db2.gz MFEQTNHXBGCHQD-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN C[N@@H+](CCCOc1cccc(Cl)c1)Cc1ccc([O-])c(F)c1 ZINC001560680722 1060524206 /nfs/dbraw/zinc/52/42/06/1060524206.db2.gz MFEQTNHXBGCHQD-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN CN(CCc1ccccc1F)Cc1cnc2c(F)cccc2c1 ZINC001560683356 1060525579 /nfs/dbraw/zinc/52/55/79/1060525579.db2.gz UZOWXPOJMUQNMT-UHFFFAOYSA-N 0 3 312.363 4.188 20 0 DIADHN Cc1cc(Cl)cc(CN(CCCO)Cc2ccccc2)c1 ZINC001560683810 1060529013 /nfs/dbraw/zinc/52/90/13/1060529013.db2.gz NLYIRIJUUQMQCP-UHFFFAOYSA-N 0 3 303.833 4.033 20 0 DIADHN CC(C)Cc1ccc(CN2CCCO[C@@H](c3ccccc3)C2)cn1 ZINC001560686561 1060531563 /nfs/dbraw/zinc/53/15/63/1060531563.db2.gz GHOABMMKZDJLKN-OAQYLSRUSA-N 0 3 324.468 4.244 20 0 DIADHN CC[C@H]1CN(Cc2ccc(OC)c(C(C)(C)C)c2)CCS1 ZINC001560684812 1060532722 /nfs/dbraw/zinc/53/27/22/1060532722.db2.gz ALOQFDSISAUAOM-HNNXBMFYSA-N 0 3 307.503 4.320 20 0 DIADHN CC[C@H]1CN(Cc2cc3ccccc3c(OC)c2)CCS1 ZINC001560685195 1060533407 /nfs/dbraw/zinc/53/34/07/1060533407.db2.gz MQIGRALRQWYCJN-INIZCTEOSA-N 0 3 301.455 4.176 20 0 DIADHN CN(CCCCc1ccccc1)Cc1c(C2CCC2)cnn1C ZINC001560691871 1060541513 /nfs/dbraw/zinc/54/15/13/1060541513.db2.gz AJZPYEGUHHQJEU-UHFFFAOYSA-N 0 3 311.473 4.142 20 0 DIADHN Fc1ccc2ccc(CN3CC[C@@H](c4ccco4)C3)nc2c1F ZINC001560697313 1060550010 /nfs/dbraw/zinc/55/00/10/1060550010.db2.gz VDXLSTPOVJJTDG-CYBMUJFWSA-N 0 3 314.335 4.096 20 0 DIADHN COc1ccc([C@@H](C)NC2CC(C)(C)C2)c(Br)c1 ZINC001560707301 1060563489 /nfs/dbraw/zinc/56/34/89/1060563489.db2.gz HGTWNVFKQZJPKX-SNVBAGLBSA-N 0 3 312.251 4.297 20 0 DIADHN Cc1c(F)ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c1F ZINC001250053548 1060570291 /nfs/dbraw/zinc/57/02/91/1060570291.db2.gz GFKOGCWGPCLNSW-INIZCTEOSA-N 0 3 318.367 4.402 20 0 DIADHN c1cc(CN2CCN(CCCC3CCCCC3)CC2)cs1 ZINC001560715168 1060575533 /nfs/dbraw/zinc/57/55/33/1060575533.db2.gz RVJWVQCFBKSZQT-UHFFFAOYSA-N 0 3 306.519 4.226 20 0 DIADHN CCOc1cc(OC)ccc1CN1CCCC2(CC(F)C2)CC1 ZINC001250062373 1060576115 /nfs/dbraw/zinc/57/61/15/1060576115.db2.gz FQGOSIQJSCGPFI-UHFFFAOYSA-N 0 3 321.436 4.198 20 0 DIADHN CC[C@@H](C)N(Cc1c2c(nn1C)CCCC2)Cc1ccccc1 ZINC001560719579 1060582316 /nfs/dbraw/zinc/58/23/16/1060582316.db2.gz NUHQSKXGFCKYFT-MRXNPFEDSA-N 0 3 311.473 4.100 20 0 DIADHN CCCN(Cc1cnc2ccc(C)cn12)Cc1ccc(C)cc1 ZINC001560721636 1060586032 /nfs/dbraw/zinc/58/60/32/1060586032.db2.gz BKNRQNFMWSAFGE-UHFFFAOYSA-N 0 3 307.441 4.363 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](NC1CC(c3ccccc3)C1)CCC2 ZINC001560735276 1060604336 /nfs/dbraw/zinc/60/43/36/1060604336.db2.gz XFDINEWIQFQXBD-ACBHZAAOSA-N 0 3 309.457 4.377 20 0 DIADHN COc1nc2ccccc2cc1CN1CC[C@H](C)C2(CCC2)C1 ZINC001560743745 1060611146 /nfs/dbraw/zinc/61/11/46/1060611146.db2.gz CJSWIFGSVGORTJ-HNNXBMFYSA-N 0 3 310.441 4.256 20 0 DIADHN Cc1cc(F)c(N(C)c2cc(N)ccn2)c(Cl)c1Cl ZINC001250108814 1060616096 /nfs/dbraw/zinc/61/60/96/1060616096.db2.gz IVMADMFPSWUGPS-UHFFFAOYSA-N 0 3 300.164 4.186 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(F)c(Cl)c2)cc(C)c1O ZINC001560757547 1060622740 /nfs/dbraw/zinc/62/27/40/1060622740.db2.gz GCBPPEGJXHMXBC-UHFFFAOYSA-N 0 3 307.796 4.434 20 0 DIADHN C[C@H]1CCCCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001560763055 1060627284 /nfs/dbraw/zinc/62/72/84/1060627284.db2.gz BSOXOPYQDOMEDO-ZDUSSCGKSA-N 0 3 309.475 4.325 20 0 DIADHN CSc1ccc(F)c(Nc2ccc3c(c2)CN(C)CC3)c1 ZINC001250125615 1060630140 /nfs/dbraw/zinc/63/01/40/1060630140.db2.gz MAISCRPBNWFGEW-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN CCc1cc(OC)ccc1CN1CCc2c(C)cc(C)cc2C1 ZINC001560766167 1060632083 /nfs/dbraw/zinc/63/20/83/1060632083.db2.gz GSOCQBLZNUTXEO-UHFFFAOYSA-N 0 3 309.453 4.433 20 0 DIADHN CCOC(=O)[C@H]1CCCN1CC1CCC2(CCCCC2)CC1 ZINC001560773769 1060636780 /nfs/dbraw/zinc/63/67/80/1060636780.db2.gz LXRSOHJQJJVIBJ-QGZVFWFLSA-N 0 3 307.478 4.155 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)c2c(n1)CCCC2 ZINC001560797763 1060657933 /nfs/dbraw/zinc/65/79/33/1060657933.db2.gz NWFZXCWFSDLKKB-IUODEOHRSA-N 0 3 312.379 4.042 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1cc2c(cccc2O)s1 ZINC001560798098 1060658814 /nfs/dbraw/zinc/65/88/14/1060658814.db2.gz XPEZDTIYINQGFK-JOYOIKCWSA-N 0 3 315.360 4.380 20 0 DIADHN Fc1cc(F)c(CNC[C@@H]2CCc3ccccc3C2)c(F)c1F ZINC001560800961 1060660775 /nfs/dbraw/zinc/66/07/75/1060660775.db2.gz BRIDZVWCHSZNNX-LLVKDONJSA-N 0 3 323.333 4.138 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCCC[C@@H]2C2CC2)c(F)c1F ZINC001560801582 1060661136 /nfs/dbraw/zinc/66/11/36/1060661136.db2.gz STQJNMISGGUURQ-QMTHXVAHSA-N 0 3 301.327 4.301 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC(c2ccncc2)CC1 ZINC001250181168 1060662696 /nfs/dbraw/zinc/66/26/96/1060662696.db2.gz BPCZYLRSEOWRCB-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1Cc1cccc2ccoc21 ZINC001560802345 1060662724 /nfs/dbraw/zinc/66/27/24/1060662724.db2.gz RIHXAHBGHAXYBF-OAHLLOKOSA-N 0 3 324.399 4.283 20 0 DIADHN CCOc1cc(F)cc(CN(C)Cc2cc(C)cc(C)c2)c1 ZINC001560808405 1060669001 /nfs/dbraw/zinc/66/90/01/1060669001.db2.gz JSIZLBNMCVWYMP-UHFFFAOYSA-N 0 3 301.405 4.473 20 0 DIADHN Fc1ccccc1N1CCCN(Cc2coc3ccccc23)CC1 ZINC001560816667 1060677092 /nfs/dbraw/zinc/67/70/92/1060677092.db2.gz AEJVNZHEFWEIIH-UHFFFAOYSA-N 0 3 324.399 4.284 20 0 DIADHN CC(C)O[C@@H](CN1CCN(C)Cc2ccccc21)c1ccccc1 ZINC001560849665 1060707314 /nfs/dbraw/zinc/70/73/14/1060707314.db2.gz ZMILFBZBIRQOOM-NRFANRHFSA-N 0 3 324.468 4.105 20 0 DIADHN CN1CCN(CCCc2ccc3sc4ccccc4c3c2)CC1 ZINC001250228561 1060707655 /nfs/dbraw/zinc/70/76/55/1060707655.db2.gz DJUODVNUGIPRNI-UHFFFAOYSA-N 0 3 324.493 4.235 20 0 DIADHN Clc1cccc(Cl)c1[C@H](N[C@H]1C[C@@H]2COC[C@@H]21)C1CC1 ZINC001560866696 1060727210 /nfs/dbraw/zinc/72/72/10/1060727210.db2.gz MUEGOAHFBQGQHI-PSHZPRKYSA-N 0 3 312.240 4.069 20 0 DIADHN Clc1ccc(CC2CN(Cc3cc4cccnc4o3)C2)cc1 ZINC001560876114 1060734575 /nfs/dbraw/zinc/73/45/75/1060734575.db2.gz WAVAOAYAAPYJHC-UHFFFAOYSA-N 0 3 312.800 4.156 20 0 DIADHN Fc1cccc(F)c1CC1CN(Cc2cccc3ccoc32)C1 ZINC001560875218 1060735746 /nfs/dbraw/zinc/73/57/46/1060735746.db2.gz GDPHGCOKACQMQD-UHFFFAOYSA-N 0 3 313.347 4.386 20 0 DIADHN CN(Cc1cccc(Br)c1F)C1CC(C)(C)C1 ZINC001560877964 1060737346 /nfs/dbraw/zinc/73/73/46/1060737346.db2.gz IQAQFQVAWUZQHH-UHFFFAOYSA-N 0 3 300.215 4.209 20 0 DIADHN C[C@@H]1CN(C/C=C\c2cccc(F)c2)CCN1Cc1ccccc1 ZINC001560884823 1060745737 /nfs/dbraw/zinc/74/57/37/1060745737.db2.gz IIKKUDXVSOHICK-OEPRFQHASA-N 0 3 324.443 4.045 20 0 DIADHN CC(C)C1CCC(CN2CCN(C(=O)CC(C)(C)C)CC2)CC1 ZINC001560922745 1060786899 /nfs/dbraw/zinc/78/68/99/1060786899.db2.gz GESVELLQFQOLIN-UHFFFAOYSA-N 0 3 322.537 4.029 20 0 DIADHN COc1cccc(OC)c1CNC1CC(c2ccc(C)cc2)C1 ZINC001560923398 1060788260 /nfs/dbraw/zinc/78/82/60/1060788260.db2.gz AVSLHCIQIZWMLA-UHFFFAOYSA-N 0 3 311.425 4.048 20 0 DIADHN Cc1ccc(CC2CN(Cc3cc4ccncc4s3)C2)cc1 ZINC001560935133 1060797382 /nfs/dbraw/zinc/79/73/82/1060797382.db2.gz LHCQGJPITIHQOL-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN c1cc(CN2CCC[C@H](C3CCC3)C2)n(CCc2ccccc2)n1 ZINC001560937653 1060801293 /nfs/dbraw/zinc/80/12/93/1060801293.db2.gz JZBPJPMEMBUYDP-FQEVSTJZSA-N 0 3 323.484 4.138 20 0 DIADHN Cc1cccc(CC2CN(C/C=C/c3ccc(F)c(F)c3)C2)c1 ZINC001560943156 1060807771 /nfs/dbraw/zinc/80/77/71/1060807771.db2.gz KOPHCQHCQACUEN-ZZXKWVIFSA-N 0 3 313.391 4.461 20 0 DIADHN Cc1nc(-c2cccc(CN3CCCCC3)c2F)ccc1F ZINC001250487424 1060842417 /nfs/dbraw/zinc/84/24/17/1060842417.db2.gz KPBQFSFAUACTRV-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCOc1cc(CN2CC([C@@H]3CCC[C@@H](C)C3)C2)ccc1O ZINC001560978935 1060842797 /nfs/dbraw/zinc/84/27/97/1060842797.db2.gz XLWQARUKHGBHDE-GDBMZVCRSA-N 0 3 303.446 4.049 20 0 DIADHN Clc1nccc2oc(CNCc3cccc4[nH]ccc43)cc21 ZINC001560981631 1060845134 /nfs/dbraw/zinc/84/51/34/1060845134.db2.gz RNGIOWLVNXJQLO-UHFFFAOYSA-N 0 3 311.772 4.252 20 0 DIADHN CO[C@@H](CNCc1ccc(Cl)cc1OC(C)C)CC(C)C ZINC001560989578 1060853544 /nfs/dbraw/zinc/85/35/44/1060853544.db2.gz ILQCJKFUUDWXTJ-MRXNPFEDSA-N 0 3 313.869 4.278 20 0 DIADHN CC[C@H](NCc1ccc(Cl)cc1F)[C@H](O)c1ccccc1 ZINC001560999548 1060858619 /nfs/dbraw/zinc/85/86/19/1060858619.db2.gz XWOAEXBRJPYATD-DLBZAZTESA-N 0 3 307.796 4.081 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC001561006721 1060866212 /nfs/dbraw/zinc/86/62/12/1060866212.db2.gz YXGSIPQLPAXOSO-AWEZNQCLSA-N 0 3 319.317 4.255 20 0 DIADHN Cc1csc2nc(C)c(CNC(C)(C)c3ccc(F)cc3)n12 ZINC001561008458 1060868711 /nfs/dbraw/zinc/86/87/11/1060868711.db2.gz ARABRWJLCBOLOT-UHFFFAOYSA-N 0 3 317.433 4.177 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC[C@H](c2ccn(C)n2)C1 ZINC001561013392 1060870796 /nfs/dbraw/zinc/87/07/96/1060870796.db2.gz SKOVUDLXVOUVQG-HNNXBMFYSA-N 0 3 317.864 4.016 20 0 DIADHN Cc1csc(C[C@H]2CCCN(Cc3ccc(C)cc3F)C2)n1 ZINC001561011442 1060871220 /nfs/dbraw/zinc/87/12/20/1060871220.db2.gz KOKUEPIAFVKRDV-OAHLLOKOSA-N 0 3 318.461 4.354 20 0 DIADHN COc1cccc(CN2CC[C@H]3CCC[C@H]3C2)c1OCC1CC1 ZINC001250525716 1060873107 /nfs/dbraw/zinc/87/31/07/1060873107.db2.gz VTJVFIYRDNZAGS-SJORKVTESA-N 0 3 315.457 4.106 20 0 DIADHN CSc1ccc(CN2CC[C@H](Cc3nc(C)cs3)C2)s1 ZINC001561020657 1060877802 /nfs/dbraw/zinc/87/78/02/1060877802.db2.gz MVMGJPZIVXXRIZ-GFCCVEGCSA-N 0 3 324.540 4.300 20 0 DIADHN CSc1ccc(CN2CC[C@@H](Cc3nc(C)cs3)C2)s1 ZINC001561020658 1060878211 /nfs/dbraw/zinc/87/82/11/1060878211.db2.gz MVMGJPZIVXXRIZ-LBPRGKRZSA-N 0 3 324.540 4.300 20 0 DIADHN Fc1cccc2cc(CN3CCC(F)(C4CC4)CC3)cnc12 ZINC001561033813 1060886862 /nfs/dbraw/zinc/88/68/62/1060886862.db2.gz XDQZFPHHGGUNPR-UHFFFAOYSA-N 0 3 302.368 4.088 20 0 DIADHN Cc1cccc(C)c1-c1ccc(C2(N3CCN(C)CC3)CC2)cc1 ZINC001250547710 1060887866 /nfs/dbraw/zinc/88/78/66/1060887866.db2.gz YPAGIHSEYPVZAS-UHFFFAOYSA-N 0 3 320.480 4.207 20 0 DIADHN C[C@@H](NC1CC(C(F)F)C1)c1nc2ccccc2n1C(F)F ZINC001561058586 1060904251 /nfs/dbraw/zinc/90/42/51/1060904251.db2.gz WHOABDOTOUUFAB-XNWIYYODSA-N 0 3 315.314 4.126 20 0 DIADHN C[C@H]1CN(CCCCc2ccccc2)[C@@H](c2ccccc2)CO1 ZINC001473191114 1060942163 /nfs/dbraw/zinc/94/21/63/1060942163.db2.gz XBSVDJQVVVXTJS-GHTZIAJQSA-N 0 3 309.453 4.471 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)CC(=O)Nc1cccc(C)c1 ZINC001473194666 1060954856 /nfs/dbraw/zinc/95/48/56/1060954856.db2.gz ILQMYUKFHHKODP-FQEVSTJZSA-N 0 3 324.468 4.195 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1cc(Cl)cs1 ZINC001462137145 1060957062 /nfs/dbraw/zinc/95/70/62/1060957062.db2.gz KPLDSIPMENOQNA-UHFFFAOYSA-N 0 3 309.862 4.440 20 0 DIADHN CCCC1CCN([C@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC001473202086 1060964155 /nfs/dbraw/zinc/96/41/55/1060964155.db2.gz FYGLOYHUYBXABM-IBGZPJMESA-N 0 3 314.473 4.003 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](CN2CCC(C3CCCC3)CC2)O1 ZINC001473201534 1060964350 /nfs/dbraw/zinc/96/43/50/1060964350.db2.gz AGDGMDDRDDUQPY-HOTGVXAUSA-N 0 3 319.411 4.389 20 0 DIADHN C[C@H](NCc1ccsc1Br)[C@@H]1C[C@H]1C1CC1 ZINC001462150951 1060971817 /nfs/dbraw/zinc/97/18/17/1060971817.db2.gz YXFSCXOUTZTGHB-UWJYBYFXSA-N 0 3 300.265 4.035 20 0 DIADHN Fc1ccc(OCCN2CC[C@H]2Cc2ccccc2)cc1Cl ZINC001473210960 1060979086 /nfs/dbraw/zinc/97/90/86/1060979086.db2.gz FVZVPOWUOLUFJC-HNNXBMFYSA-N 0 3 319.807 4.175 20 0 DIADHN C[C@@H](c1nccc2ccccc21)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001462154381 1060979699 /nfs/dbraw/zinc/97/96/99/1060979699.db2.gz HKQRSJWSZAFWSH-AWEZNQCLSA-N 0 3 322.456 4.448 20 0 DIADHN CCCc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)s1 ZINC001462159387 1060983654 /nfs/dbraw/zinc/98/36/54/1060983654.db2.gz WHJIDQOJKZWMSO-UHFFFAOYSA-N 0 3 305.491 4.353 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC001473218598 1060989452 /nfs/dbraw/zinc/98/94/52/1060989452.db2.gz UBSFQDFRHUKFOW-CABCVRRESA-N 0 3 321.436 4.331 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1ccncc1F ZINC001473216119 1060993008 /nfs/dbraw/zinc/99/30/08/1060993008.db2.gz SZDHUSNCTMUABR-STQMWFEESA-N 0 3 306.812 4.498 20 0 DIADHN COCCN(Cc1csc(C(F)(F)F)c1)[C@@H]1CC[C@@H](C)C1 ZINC001462173865 1060994110 /nfs/dbraw/zinc/99/41/10/1060994110.db2.gz DSWPMRUHFJUBTN-DGCLKSJQSA-N 0 3 321.408 4.404 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC001473218601 1060997150 /nfs/dbraw/zinc/99/71/50/1060997150.db2.gz UBSFQDFRHUKFOW-LSDHHAIUSA-N 0 3 321.436 4.331 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCSC[C@H]1c1cnn(C)c1 ZINC001462184099 1061005255 /nfs/dbraw/zinc/00/52/55/1061005255.db2.gz NYOVXDAAGLOFMY-QAPCUYQASA-N 0 3 321.534 4.117 20 0 DIADHN CCc1cnc(CN2C[C@@H](c3ccc(C)cc3)C[C@H]2C)s1 ZINC001462184738 1061006791 /nfs/dbraw/zinc/00/67/91/1061006791.db2.gz PZCBVGFEHJSYQL-ZBFHGGJFSA-N 0 3 300.471 4.392 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@]1(C)Br)c1ccccc1Cl ZINC001462190395 1061011007 /nfs/dbraw/zinc/01/10/07/1061011007.db2.gz MIPFCEGRZQJOPY-NRUUGDAUSA-N 0 3 302.643 4.164 20 0 DIADHN COC[C@@H](CC(C)C)NCc1ccc(-c2ccco2)cc1F ZINC001462189460 1061012728 /nfs/dbraw/zinc/01/27/28/1061012728.db2.gz ISILWECLLYHUQI-MRXNPFEDSA-N 0 3 305.393 4.236 20 0 DIADHN CCOC(=O)C1(N(C)CCCc2cccc(C)c2)CCCCC1 ZINC001473237623 1061016953 /nfs/dbraw/zinc/01/69/53/1061016953.db2.gz JSPNWOROSLMZRR-UHFFFAOYSA-N 0 3 317.473 4.125 20 0 DIADHN COc1cccc(-c2c[nH]c([C@H]3CCCN3CC3CCC3)n2)c1 ZINC001473244381 1061021139 /nfs/dbraw/zinc/02/11/39/1061021139.db2.gz RMOIQPUHVMYWJR-GOSISDBHSA-N 0 3 311.429 4.022 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1CCCc1c(F)cccc1F ZINC001473255729 1061032042 /nfs/dbraw/zinc/03/20/42/1061032042.db2.gz JAQGYGVQKXHETH-SFHVURJKSA-N 0 3 304.384 4.073 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NCC(=O)NCC2CC2)C(C)C)cc1 ZINC001473257041 1061033453 /nfs/dbraw/zinc/03/34/53/1061033453.db2.gz YXVFQGTWSADSOO-FOIQADDNSA-N 0 3 316.489 4.013 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@H]2CCCC[C@@H]2C)cc(Cl)c1[O-] ZINC001462218526 1061034082 /nfs/dbraw/zinc/03/40/82/1061034082.db2.gz UGWGCCFSUWCMHC-WFASDCNBSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@H]2CCCC[C@@H]2C)cc(Cl)c1[O-] ZINC001462218526 1061034094 /nfs/dbraw/zinc/03/40/94/1061034094.db2.gz UGWGCCFSUWCMHC-WFASDCNBSA-N 0 3 311.853 4.455 20 0 DIADHN Cc1cccc(C)c1CN1CCN(c2ccc(Cl)cc2)CC1 ZINC001473257456 1061034552 /nfs/dbraw/zinc/03/45/52/1061034552.db2.gz PSQDQEOZUIXHAA-UHFFFAOYSA-N 0 3 314.860 4.279 20 0 DIADHN COC(=O)c1csc(CN[C@H](c2ccccc2)C2CCC2)c1 ZINC001473271764 1061044610 /nfs/dbraw/zinc/04/46/10/1061044610.db2.gz HHTFLHJUAYFPCH-QGZVFWFLSA-N 0 3 315.438 4.166 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1cncc(Br)c1 ZINC001473273025 1061044669 /nfs/dbraw/zinc/04/46/69/1061044669.db2.gz MJWBVSDHWOEPDP-SWLSCSKDSA-N 0 3 311.267 4.245 20 0 DIADHN COC(=O)c1csc(CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC001473271763 1061046949 /nfs/dbraw/zinc/04/69/49/1061046949.db2.gz HHTFLHJUAYFPCH-KRWDZBQOSA-N 0 3 315.438 4.166 20 0 DIADHN CC[C@H](NC[C@H](O)c1cccc(OC)c1)c1ccccc1Cl ZINC001250734525 1061047015 /nfs/dbraw/zinc/04/70/15/1061047015.db2.gz NDWJBZVVGCKNMO-ROUUACIJSA-N 0 3 319.832 4.123 20 0 DIADHN Cc1conc1CN(CCc1ccc(F)cc1)C1CCCC1 ZINC001473276391 1061047536 /nfs/dbraw/zinc/04/75/36/1061047536.db2.gz DBRDQIDVANEVJX-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN O=C(CN1CCCC12CCCCC2)Nc1ccc(Cl)c(F)c1 ZINC001473287499 1061058590 /nfs/dbraw/zinc/05/85/90/1061058590.db2.gz MGEOTIGLRUMCAH-UHFFFAOYSA-N 0 3 324.827 4.216 20 0 DIADHN CCCOc1ccc2c(c1)CCN(C[C@@H]1CCCC(C)(C)O1)C2 ZINC001473292492 1061063535 /nfs/dbraw/zinc/06/35/35/1061063535.db2.gz YAQDQLCNDPLYJL-IBGZPJMESA-N 0 3 317.473 4.181 20 0 DIADHN Cc1cc(CN2CCCC[C@H]2CCCc2ccccc2)nn1C ZINC001473300379 1061064600 /nfs/dbraw/zinc/06/46/00/1061064600.db2.gz ZGZUBLJWBWVIDA-FQEVSTJZSA-N 0 3 311.473 4.106 20 0 DIADHN CC[C@H](NCc1ccc(C)c(C(=O)OC)c1)c1ccc(F)cc1 ZINC001473301149 1061068180 /nfs/dbraw/zinc/06/81/80/1061068180.db2.gz HGSJUNDQBXXAAN-SFHVURJKSA-N 0 3 315.388 4.162 20 0 DIADHN C[C@H](CC1CCC1)NCc1nc2ccc(Br)cc2o1 ZINC001462278502 1061070732 /nfs/dbraw/zinc/07/07/32/1061070732.db2.gz FRHACUNRKRZDGF-SNVBAGLBSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@H](NC[C@@H]1C[C@]1(C)Br)c1ccc(F)cc1Cl ZINC001462279551 1061073795 /nfs/dbraw/zinc/07/37/95/1061073795.db2.gz YWWKQOZFVZZGGW-RVBZMBCESA-N 0 3 320.633 4.303 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccccc2CC(F)(F)F)CCCO1 ZINC001462279842 1061074170 /nfs/dbraw/zinc/07/41/70/1061074170.db2.gz CEVYQDNZTDDZRU-INIZCTEOSA-N 0 3 315.379 4.038 20 0 DIADHN COc1cccc(OCCN2CC=C(c3cccc(C)c3)CC2)c1 ZINC001473311065 1061075572 /nfs/dbraw/zinc/07/55/72/1061075572.db2.gz WLXCBVWAZIFFPU-UHFFFAOYSA-N 0 3 323.436 4.172 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccn(-c2cccc(F)c2)n1 ZINC001473314262 1061080588 /nfs/dbraw/zinc/08/05/88/1061080588.db2.gz UCDXUTCYVLVFQD-HOTGVXAUSA-N 0 3 323.415 4.293 20 0 DIADHN Cc1cc(CN2Cc3ccccc3O[C@H](C)C2)cc2cccnc12 ZINC001462287809 1061081634 /nfs/dbraw/zinc/08/16/34/1061081634.db2.gz BMOWYXOAXMKLGZ-MRXNPFEDSA-N 0 3 318.420 4.326 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nc2cc(Br)ccc2[nH]1 ZINC001473318295 1061082769 /nfs/dbraw/zinc/08/27/69/1061082769.db2.gz RMDPSCJZCOMMAU-PWSUYJOCSA-N 0 3 322.250 4.088 20 0 DIADHN Clc1ccc(-c2cccc(CN3CC4(C3)CCOC4)c2)cc1 ZINC001462294120 1061083675 /nfs/dbraw/zinc/08/36/75/1061083675.db2.gz JXGDSXWXXGNOPK-UHFFFAOYSA-N 0 3 313.828 4.229 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1cc(C)cc(C)n1 ZINC001473327192 1061088239 /nfs/dbraw/zinc/08/82/39/1061088239.db2.gz QUFAZDLEEQSJPP-KSSFIOAISA-N 0 3 318.848 4.218 20 0 DIADHN Cc1cccc([C@H](NCc2cccc(N(C)C)n2)C(C)(C)C)c1 ZINC001473328317 1061090199 /nfs/dbraw/zinc/09/01/99/1061090199.db2.gz XMOCKXNIPFDEJY-IBGZPJMESA-N 0 3 311.473 4.333 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccnn1C)[C@H]1CCC[C@@H](C)C1 ZINC001473331706 1061092105 /nfs/dbraw/zinc/09/21/05/1061092105.db2.gz MCMASJFRXVBQHG-OXFYSEKESA-N 0 3 311.473 4.386 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC001462306086 1061093920 /nfs/dbraw/zinc/09/39/20/1061093920.db2.gz JSURJYKNIZKJCA-ZBFHGGJFSA-N 0 3 303.494 4.421 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)C(=O)N[C@H](C)c2ccccc2)c1C ZINC001473335210 1061095254 /nfs/dbraw/zinc/09/52/54/1061095254.db2.gz WNFUMFLBHKXRSO-KZNAEPCWSA-N 0 3 324.468 4.220 20 0 DIADHN COc1cc2c(cc1CN1CC[C@H](C(C)(C)C)C[C@@H]1C)OCO2 ZINC001462307726 1061097186 /nfs/dbraw/zinc/09/71/86/1061097186.db2.gz PEMYCGGMCCIBSM-ZFWWWQNUSA-N 0 3 319.445 4.071 20 0 DIADHN CC[C@H](NCc1ccc(OC(C)=O)cc1)c1ccc(C)c(F)c1 ZINC001473339206 1061099859 /nfs/dbraw/zinc/09/98/59/1061099859.db2.gz UJXSGEHCQPAPNM-IBGZPJMESA-N 0 3 315.388 4.300 20 0 DIADHN Clc1ccc2c(c1)CN(CC1([C@@H]3CCCCO3)CCC1)CC2 ZINC001473341908 1061100100 /nfs/dbraw/zinc/10/01/00/1061100100.db2.gz IMKABBAJMWEZOB-SFHVURJKSA-N 0 3 319.876 4.438 20 0 DIADHN Cc1nnsc1CN1CC[C@H](Cc2ccc(C(C)C)cc2)C1 ZINC001473354635 1061107877 /nfs/dbraw/zinc/10/78/77/1061107877.db2.gz LYURXEBWYCFNER-MRXNPFEDSA-N 0 3 315.486 4.035 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3ccnc(F)c3)C2)cc1 ZINC001473354589 1061109152 /nfs/dbraw/zinc/10/91/52/1061109152.db2.gz LJYFMPROAOVREC-GOSISDBHSA-N 0 3 312.432 4.409 20 0 DIADHN C[C@H](NCC1CCCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC001473361224 1061114227 /nfs/dbraw/zinc/11/42/27/1061114227.db2.gz IPBISAHOTXIYFQ-ZDUSSCGKSA-N 0 3 317.408 4.497 20 0 DIADHN Cc1cc(C)cc(CCN2CCN(Cc3ccccc3)[C@@H](C)C2)c1 ZINC001462337628 1061115707 /nfs/dbraw/zinc/11/57/07/1061115707.db2.gz FHGVAHLQYSTFNO-FQEVSTJZSA-N 0 3 322.496 4.052 20 0 DIADHN CC(C)C[C@@H](C)CN(Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC001473370720 1061116755 /nfs/dbraw/zinc/11/67/55/1061116755.db2.gz ACHMKWZDXAHXNR-GDBMZVCRSA-N 0 3 317.395 4.094 20 0 DIADHN CN(Cc1cnn(C)c1Cl)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001462345932 1061119605 /nfs/dbraw/zinc/11/96/05/1061119605.db2.gz AQNKEKYSULGAOK-IAGOWNOFSA-N 0 3 317.864 4.232 20 0 DIADHN C[C@H]1CCCC[C@H]1CN1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001473377737 1061123050 /nfs/dbraw/zinc/12/30/50/1061123050.db2.gz HKMNBUSUEFOOJT-KBPBESRZSA-N 0 3 300.421 4.491 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCC[C@H]3C)co2)cc1 ZINC001473380817 1061124998 /nfs/dbraw/zinc/12/49/98/1061124998.db2.gz KFYQPSFNWJFEEC-DYVFJYSZSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2ncc(-c3ccccc3F)o2)C1 ZINC001473393478 1061132549 /nfs/dbraw/zinc/13/25/49/1061132549.db2.gz VNTGGMOISNTJHW-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@H]1CC[C@](CO)(N(C)Cc2c(Cl)oc3ccccc32)C1 ZINC001473413895 1061147947 /nfs/dbraw/zinc/14/79/47/1061147947.db2.gz LKAHLYMAJOMSIW-SJCJKPOMSA-N 0 3 307.821 4.069 20 0 DIADHN C[C@@H]1CC[C@@](CO)(N(C)Cc2c(Cl)oc3ccccc32)C1 ZINC001473413896 1061148568 /nfs/dbraw/zinc/14/85/68/1061148568.db2.gz LKAHLYMAJOMSIW-SJKOYZFVSA-N 0 3 307.821 4.069 20 0 DIADHN COc1ccc(CN(CC2CC2)C2CCC2)c(Br)c1 ZINC001473422686 1061152718 /nfs/dbraw/zinc/15/27/18/1061152718.db2.gz ABQZAZYUEKNZKK-UHFFFAOYSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@H]1CCc2nc(CN3CCCC[C@@H]3C3CCC3)sc2C1 ZINC001462407026 1061154111 /nfs/dbraw/zinc/15/41/11/1061154111.db2.gz AEGWGMDLMFUWCT-XJKSGUPXSA-N 0 3 304.503 4.423 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CCC23CCCC3)s1 ZINC001462410523 1061156672 /nfs/dbraw/zinc/15/66/72/1061156672.db2.gz ZNYUQWHJURBBEN-UHFFFAOYSA-N 0 3 302.418 4.468 20 0 DIADHN Cc1ccc([C@H](NCCc2cccc(F)c2)c2ccccn2)cc1 ZINC001473443681 1061161209 /nfs/dbraw/zinc/16/12/09/1061161209.db2.gz IEQBWSJFWQSALM-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN CCc1cccc(CN2CCCN(c3ccccc3F)CC2)c1 ZINC001473448621 1061165217 /nfs/dbraw/zinc/16/52/17/1061165217.db2.gz AOIONUWRGXRRDD-UHFFFAOYSA-N 0 3 312.432 4.100 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@@H]1CC1(Cl)Cl ZINC001462425777 1061166117 /nfs/dbraw/zinc/16/61/17/1061166117.db2.gz IBGJMBXGMCDMCE-QMMMGPOBSA-N 0 3 304.604 4.370 20 0 DIADHN Fc1cncc(F)c1CN1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 ZINC001473452651 1061167502 /nfs/dbraw/zinc/16/75/02/1061167502.db2.gz SCOBPIRBBYJBIA-CLCXKQKWSA-N 0 3 314.379 4.128 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2nc3ccccc3s2)C1(C)C ZINC001473458027 1061171882 /nfs/dbraw/zinc/17/18/82/1061171882.db2.gz GEGBLEQBQQTQOR-JKSUJKDBSA-N 0 3 318.486 4.370 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC001473471423 1061179469 /nfs/dbraw/zinc/17/94/69/1061179469.db2.gz IGCJSXROKXCKOX-JKSUJKDBSA-N 0 3 311.429 4.279 20 0 DIADHN C[C@@H](CCN1CCc2nc(C(C)(C)C)ncc2C1)c1ccccc1 ZINC001462450615 1061180958 /nfs/dbraw/zinc/18/09/58/1061180958.db2.gz CRVSINKQXWQYHT-INIZCTEOSA-N 0 3 323.484 4.326 20 0 DIADHN COc1cccc2c1CN([C@@H](C)c1ccc3c(c1)CCC3)CC2 ZINC001473478997 1061183432 /nfs/dbraw/zinc/18/34/32/1061183432.db2.gz MTNWBULWFGPVCE-HNNXBMFYSA-N 0 3 307.437 4.303 20 0 DIADHN CC(C)c1ccc(CN2CCN(CCCCCF)CC2)cc1 ZINC001473494225 1061189584 /nfs/dbraw/zinc/18/95/84/1061189584.db2.gz OATKGQCGYXAYML-UHFFFAOYSA-N 0 3 306.469 4.067 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)[C@H](CO)c1ccc(Cl)cc1 ZINC001473494197 1061189959 /nfs/dbraw/zinc/18/99/59/1061189959.db2.gz MYEIQUGKGGGJLM-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1CC1CCC(C)(C)CC1 ZINC001473500147 1061193625 /nfs/dbraw/zinc/19/36/25/1061193625.db2.gz YAJNZIRAKNRMPJ-IYBDPMFKSA-N 0 3 322.537 4.170 20 0 DIADHN C[C@@H](NCCN1CCc2ccccc21)c1ccc(F)cc1Cl ZINC001473501243 1061197793 /nfs/dbraw/zinc/19/77/93/1061197793.db2.gz BYHPYGALVGFWHT-CYBMUJFWSA-N 0 3 318.823 4.192 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3ncccc3Cl)C2)cc1 ZINC001473509629 1061203824 /nfs/dbraw/zinc/20/38/24/1061203824.db2.gz KWCMNKBRIDLQDB-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN CCCCN(Cc1nc(CC2CC2)no1)[C@@H]1CCC[C@@H](C)C1 ZINC001473510189 1061204479 /nfs/dbraw/zinc/20/44/79/1061204479.db2.gz OJRQOAAQFGOGJR-GDBMZVCRSA-N 0 3 305.466 4.203 20 0 DIADHN CN(Cc1nc2ccccc2[nH]1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001473539681 1061231554 /nfs/dbraw/zinc/23/15/54/1061231554.db2.gz SQNBRYXTQWBYNX-APWZRJJASA-N 0 3 305.425 4.331 20 0 DIADHN CN(Cc1nc2ccccc2[nH]1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001473539683 1061231864 /nfs/dbraw/zinc/23/18/64/1061231864.db2.gz SQNBRYXTQWBYNX-QFBILLFUSA-N 0 3 305.425 4.331 20 0 DIADHN Cc1ccc(N(C(=O)[C@@H](C)N2CCCCCC2)C2CCC2)cc1 ZINC001455785201 1061232912 /nfs/dbraw/zinc/23/29/12/1061232912.db2.gz HDVBPNALFQZSHA-QGZVFWFLSA-N 0 3 314.473 4.145 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@@H](C2CCC2)CC1 ZINC001462524961 1061234943 /nfs/dbraw/zinc/23/49/43/1061234943.db2.gz AVWVHVMBSXCMIK-CYBMUJFWSA-N 0 3 307.869 4.343 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1nc(C)c(C(C)(C)C)s1 ZINC001473547967 1061237219 /nfs/dbraw/zinc/23/72/19/1061237219.db2.gz AOJUZDGQXNGZBK-ZIAGYGMSSA-N 0 3 310.507 4.186 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cccc3c2OC(F)(F)O3)C1 ZINC001473556217 1061241735 /nfs/dbraw/zinc/24/17/35/1061241735.db2.gz LUBFFFAJGQURPB-GFCCVEGCSA-N 0 3 311.372 4.266 20 0 DIADHN CC[C@@H](NCc1nc(C)c(Br)s1)[C@H]1CC1(C)C ZINC001473562389 1061247734 /nfs/dbraw/zinc/24/77/34/1061247734.db2.gz IUUGPMHNWWONDR-NXEZZACHSA-N 0 3 317.296 4.128 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N1CCC[C@@H](CC(F)(F)F)C1 ZINC001473563959 1061247892 /nfs/dbraw/zinc/24/78/92/1061247892.db2.gz HFHJPDQCXCLDDJ-NHYWBVRUSA-N 0 3 301.327 4.477 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@@H](CC(F)(F)F)C2)c2ccccc12 ZINC001473564535 1061248827 /nfs/dbraw/zinc/24/88/27/1061248827.db2.gz LJRVGFKIMNBWRJ-LBPRGKRZSA-N 0 3 324.346 4.105 20 0 DIADHN c1cc(-c2nc(CN3CC[C@H](C4CCCC4)C3)cs2)co1 ZINC001473566794 1061252134 /nfs/dbraw/zinc/25/21/34/1061252134.db2.gz DQJWJEHIIAYLJG-AWEZNQCLSA-N 0 3 302.443 4.415 20 0 DIADHN C[C@@H]1[C@H](C)CN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@H]1C ZINC001473566459 1061253908 /nfs/dbraw/zinc/25/39/08/1061253908.db2.gz SJRIFACTUMXLMH-ZACQAIPSSA-N 0 3 322.452 4.268 20 0 DIADHN CCCCN1CCOc2ccc(NC(=O)OC(C)(C)C)cc2C1 ZINC001462548475 1061254378 /nfs/dbraw/zinc/25/43/78/1061254378.db2.gz QEXJETMAKJBCSM-UHFFFAOYSA-N 0 3 320.433 4.028 20 0 DIADHN CC1(C)CCc2onc(CNC[C@H]3C[C@H]3c3ccccc3)c2C1 ZINC001462562030 1061260962 /nfs/dbraw/zinc/26/09/62/1061260962.db2.gz WJSXEYTYWHBXGV-CVEARBPZSA-N 0 3 310.441 4.083 20 0 DIADHN C[C@H](CNCc1nc2c(s1)CCCC2)c1cc(F)cc(F)c1 ZINC001462561896 1061261697 /nfs/dbraw/zinc/26/16/97/1061261697.db2.gz FMUFQUYVGFNXTD-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN C[C@@H](CNCc1nc2c(s1)CCCC2)c1cc(F)cc(F)c1 ZINC001462562390 1061262440 /nfs/dbraw/zinc/26/24/40/1061262440.db2.gz FMUFQUYVGFNXTD-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN C[C@@H](CCc1ccsc1)NCc1nc2c(s1)CCCC2 ZINC001462562500 1061266112 /nfs/dbraw/zinc/26/61/12/1061266112.db2.gz GEZSKUFVHHWDAB-LBPRGKRZSA-N 0 3 306.500 4.194 20 0 DIADHN CC1(C)CCc2onc(CNC[C@@H]3C[C@@H]3c3ccccc3)c2C1 ZINC001462562036 1061266646 /nfs/dbraw/zinc/26/66/46/1061266646.db2.gz WJSXEYTYWHBXGV-JKSUJKDBSA-N 0 3 310.441 4.083 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](O)CNC1(c2ccccc2Cl)CC1 ZINC001473579865 1061268594 /nfs/dbraw/zinc/26/85/94/1061268594.db2.gz LZSUFOYGLXEZPG-KBXCAEBGSA-N 0 3 315.844 4.083 20 0 DIADHN FC(F)(F)[C@H]1CC[C@@H](CNC2(c3ccccc3Cl)CC2)O1 ZINC001473579469 1061268751 /nfs/dbraw/zinc/26/87/51/1061268751.db2.gz GCFQMOHWCCVOPX-GXFFZTMASA-N 0 3 319.754 4.029 20 0 DIADHN Clc1ccc(CCNCc2cc(C3CC3)no2)cc1Cl ZINC001462575019 1061271275 /nfs/dbraw/zinc/27/12/75/1061271275.db2.gz CBRVSMYOGSEEEF-UHFFFAOYSA-N 0 3 311.212 4.191 20 0 DIADHN CC[C@@H]1CN(CCn2ccc3cc(Cl)ccc32)[C@@H](CC)CO1 ZINC001473616900 1061289619 /nfs/dbraw/zinc/28/96/19/1061289619.db2.gz CHHCFJDPZWDDSA-DLBZAZTESA-N 0 3 320.864 4.184 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)Cc1ccc(Cl)nc1 ZINC001473615411 1061292801 /nfs/dbraw/zinc/29/28/01/1061292801.db2.gz BFYRSNOZJPGMLK-CYBMUJFWSA-N 0 3 306.862 4.348 20 0 DIADHN O=C(CN1CCC2(CCCCC2)CC1)NCCC1CCCCC1 ZINC001473626525 1061299648 /nfs/dbraw/zinc/29/96/48/1061299648.db2.gz YZVLODVFXYSPLB-UHFFFAOYSA-N 0 3 320.521 4.119 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)CCc1ccccc1F ZINC001462625415 1061304877 /nfs/dbraw/zinc/30/48/77/1061304877.db2.gz GQQDGVVXGAQUSI-ZDUSSCGKSA-N 0 3 305.368 4.084 20 0 DIADHN Cc1c(Br)cccc1CN1CCC[C@H](CCF)C1 ZINC001473643016 1061310938 /nfs/dbraw/zinc/31/09/38/1061310938.db2.gz MLEZYJMBZADSHZ-CYBMUJFWSA-N 0 3 314.242 4.329 20 0 DIADHN C[Si](C)(C)c1ccc(CN[C@H](c2ccccn2)C2CCC2)cc1 ZINC001473655866 1061317390 /nfs/dbraw/zinc/31/73/90/1061317390.db2.gz PHMULNAXVUCMLL-FQEVSTJZSA-N 0 3 324.544 4.258 20 0 DIADHN FC1(F)Oc2cccc(CN3CCC[C@@H](C4CCC4)C3)c2O1 ZINC001473656878 1061322401 /nfs/dbraw/zinc/32/24/01/1061322401.db2.gz AFTZRJPSDNTKJM-CYBMUJFWSA-N 0 3 309.356 4.020 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)Nc1cccc2ccccc21 ZINC001473664475 1061329182 /nfs/dbraw/zinc/32/91/82/1061329182.db2.gz UWYDZUAUBDMSKW-DOTOQJQBSA-N 0 3 310.441 4.431 20 0 DIADHN C[C@H](CN1CCc2nc(C(C)(C)C)ncc2C1)C1CCCCC1 ZINC001473664430 1061330555 /nfs/dbraw/zinc/33/05/55/1061330555.db2.gz XAHZBWZKPXFVIT-OAHLLOKOSA-N 0 3 315.505 4.349 20 0 DIADHN CC(C)O[C@@H](CN1CC2(CCCC2)OC[C@@H]1C)c1ccccc1 ZINC001473669910 1061331138 /nfs/dbraw/zinc/33/11/38/1061331138.db2.gz YCGNJHWQASOZME-HKUYNNGSSA-N 0 3 317.473 4.186 20 0 DIADHN CC(C)O[C@@H](CN1CC2(CCCC2)OC[C@H]1C)c1ccccc1 ZINC001473669912 1061333581 /nfs/dbraw/zinc/33/35/81/1061333581.db2.gz YCGNJHWQASOZME-MJGOQNOKSA-N 0 3 317.473 4.186 20 0 DIADHN CCn1nc(C)c(CN(C)[C@H](C)c2ccc3c(c2)CCC3)c1C ZINC001473695871 1061352885 /nfs/dbraw/zinc/35/28/85/1061352885.db2.gz FERVVAABLMQCLO-OAHLLOKOSA-N 0 3 311.473 4.202 20 0 DIADHN C[C@H](CN1CCc2nc[nH]c2[C@@H]1c1ccc(F)cc1)C(C)(C)C ZINC001473699310 1061354479 /nfs/dbraw/zinc/35/44/79/1061354479.db2.gz GQIWINAYQXNYDB-ACJLOTCBSA-N 0 3 315.436 4.179 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1ccc(F)cc1F ZINC001473713462 1061364433 /nfs/dbraw/zinc/36/44/33/1061364433.db2.gz RQGDTVYNWXUROY-PJSAGSTRSA-N 0 3 303.352 4.146 20 0 DIADHN Cc1cc(CN2C[C@H]3[C@H](C[C@@H]3c3ccccc3)C2)cc(Cl)n1 ZINC001473713251 1061364803 /nfs/dbraw/zinc/36/48/03/1061364803.db2.gz NJUYYVXPLDYPQM-KURKYZTESA-N 0 3 312.844 4.279 20 0 DIADHN CC[C@@H](NCc1csc(C(=O)OC)c1)c1cc(C)ccc1C ZINC001473718765 1061366246 /nfs/dbraw/zinc/36/62/46/1061366246.db2.gz VSLLVIVGPKLMGH-MRXNPFEDSA-N 0 3 317.454 4.392 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@H]2[C@H](c3ccccc3)C2(F)F)C1 ZINC001473723881 1061371359 /nfs/dbraw/zinc/37/13/59/1061371359.db2.gz MKDYWDQDDDLBHM-IHRRRGAJSA-N 0 3 319.317 4.310 20 0 DIADHN COc1ccc(Cl)c(CN2CCC[C@H](OC3CCC3)CC2)c1 ZINC001473727452 1061376150 /nfs/dbraw/zinc/37/61/50/1061376150.db2.gz IISLTNZYIHHKTK-INIZCTEOSA-N 0 3 323.864 4.272 20 0 DIADHN C[C@@]1(Cc2ccc(F)c(F)c2)CCCN1Cc1cncs1 ZINC001473729457 1061377923 /nfs/dbraw/zinc/37/79/23/1061377923.db2.gz CYHOJNUNAJHXRU-INIZCTEOSA-N 0 3 308.397 4.019 20 0 DIADHN CC(C)c1cccc(CN2Cc3cccnc3N3CCC[C@H]3C2)c1 ZINC001473735768 1061380619 /nfs/dbraw/zinc/38/06/19/1061380619.db2.gz JILNBFKRWJFZAA-FQEVSTJZSA-N 0 3 321.468 4.190 20 0 DIADHN Cc1cncc([C@@H]2CCCN2C[C@@H]2CC[C@H](c3ccccc3)O2)c1 ZINC001473733466 1061381171 /nfs/dbraw/zinc/38/11/71/1061381171.db2.gz CFNTWXNMPLXHHT-PCCBWWKXSA-N 0 3 322.452 4.447 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCN([C@@H](C)c2ccccc2)CC1 ZINC001473753649 1061389825 /nfs/dbraw/zinc/38/98/25/1061389825.db2.gz AUMKMFSKLOGDKV-OALUTQOASA-N 0 3 308.469 4.435 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CC/C=C/c2ccccc2)CC1 ZINC001473756407 1061394886 /nfs/dbraw/zinc/39/48/86/1061394886.db2.gz XGRFCHIGMQBYPD-GPVYEGESSA-N 0 3 320.480 4.469 20 0 DIADHN FC(F)(F)CCN1CCC[C@H](c2cc(-c3ccccc3)n[nH]2)C1 ZINC001473762136 1061400658 /nfs/dbraw/zinc/40/06/58/1061400658.db2.gz RMWWYWRQBLBEJO-AWEZNQCLSA-N 0 3 323.362 4.209 20 0 DIADHN COC(C)(C)[C@@H](C)NCc1nc(-c2ccccc2Cl)cs1 ZINC001473816393 1061423143 /nfs/dbraw/zinc/42/31/43/1061423143.db2.gz ADWNAHDZZJTTJW-LLVKDONJSA-N 0 3 324.877 4.367 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1ccc2c(c1)OCCO2)\c1ccccc1 ZINC001473844263 1061431347 /nfs/dbraw/zinc/43/13/47/1061431347.db2.gz YFMZFGKGXQLMGE-QIBOZCLASA-N 0 3 321.420 4.136 20 0 DIADHN FC1(C2CC2)CCN(Cc2cnc(-c3ccccc3)o2)CC1 ZINC001473854850 1061435263 /nfs/dbraw/zinc/43/52/63/1061435263.db2.gz JAKGRYGWAUPVMH-UHFFFAOYSA-N 0 3 300.377 4.056 20 0 DIADHN Fc1cccc(Cl)c1CN1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC001473871183 1061442358 /nfs/dbraw/zinc/44/23/58/1061442358.db2.gz UVDKAOAYKCMLPW-ZIAGYGMSSA-N 0 3 311.828 4.118 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC001473873725 1061445257 /nfs/dbraw/zinc/44/52/57/1061445257.db2.gz BONPLXNNHDLGEA-IAQYHMDHSA-N 0 3 304.340 4.064 20 0 DIADHN CC(C)c1ocnc1CN1CCCC[C@@H]1Cc1ccc(O)cc1 ZINC001473874997 1061445809 /nfs/dbraw/zinc/44/58/09/1061445809.db2.gz KCLXXYSCQBZBKG-MRXNPFEDSA-N 0 3 314.429 4.101 20 0 DIADHN Cc1cccc(OCCNCc2ccccc2OCC(C)C)c1 ZINC001473915277 1061462893 /nfs/dbraw/zinc/46/28/93/1061462893.db2.gz OZHYSTBIAOGDKM-UHFFFAOYSA-N 0 3 313.441 4.198 20 0 DIADHN CCCn1cc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c(C)n1 ZINC001473915333 1061463213 /nfs/dbraw/zinc/46/32/13/1061463213.db2.gz SOXSJNXUJZHSGN-GOSISDBHSA-N 0 3 317.864 4.496 20 0 DIADHN CCC[C@@H](NCc1ccc(N2CCCC2)nc1)c1ccccc1 ZINC001473915198 1061464062 /nfs/dbraw/zinc/46/40/62/1061464062.db2.gz LHLZDFVVCDKMRJ-LJQANCHMSA-N 0 3 309.457 4.313 20 0 DIADHN CC[C@@H](NCc1cnc(Cl)c(C)c1)c1ccc(OC)cc1 ZINC001473915305 1061464174 /nfs/dbraw/zinc/46/41/74/1061464174.db2.gz QUZDCBWWAGTSQS-MRXNPFEDSA-N 0 3 304.821 4.293 20 0 DIADHN Fc1ccccc1OCCCNCc1cccc(Cl)c1F ZINC001473918219 1061466482 /nfs/dbraw/zinc/46/64/82/1061466482.db2.gz LZRAAUJPVFMOQY-UHFFFAOYSA-N 0 3 311.759 4.177 20 0 DIADHN CCCCC[C@@H](C)NCc1cn(-c2ccc(F)cc2Cl)nn1 ZINC001473918583 1061467804 /nfs/dbraw/zinc/46/78/04/1061467804.db2.gz BXXBAZHOAHDDCW-GFCCVEGCSA-N 0 3 324.831 4.118 20 0 DIADHN CC[C@@H](C)Oc1cc(CNCc2cccc(C)c2)ccc1OC ZINC001473921382 1061470017 /nfs/dbraw/zinc/47/00/17/1061470017.db2.gz JLYLXDCISYXKLZ-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@@H]2CCCc3ccccc32)c1 ZINC001473922113 1061470055 /nfs/dbraw/zinc/47/00/55/1061470055.db2.gz YDOFJNWNXRAHAN-HNNXBMFYSA-N 0 3 301.817 4.255 20 0 DIADHN CCc1cnc(CNCCc2ccc(C(C)(C)C)cc2)s1 ZINC001473930522 1061478305 /nfs/dbraw/zinc/47/83/05/1061478305.db2.gz JZXKHQKBVJIJQE-UHFFFAOYSA-N 0 3 302.487 4.335 20 0 DIADHN COc1cccc(CN[C@H](C)COc2c(C)cccc2C)c1F ZINC001473955266 1061496789 /nfs/dbraw/zinc/49/67/89/1061496789.db2.gz ZRQNJPMSGPWQEX-OAHLLOKOSA-N 0 3 317.404 4.008 20 0 DIADHN CCc1cccc(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1 ZINC001473962291 1061503067 /nfs/dbraw/zinc/50/30/67/1061503067.db2.gz CFFGXFIYFGSXFB-VQTJNVASSA-N 0 3 313.416 4.398 20 0 DIADHN COc1cc(CNCc2ccccc2COC(C)C)ccc1F ZINC001473964112 1061505817 /nfs/dbraw/zinc/50/58/17/1061505817.db2.gz INUVSZVZHJIQPA-UHFFFAOYSA-N 0 3 317.404 4.049 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCC(C)(C)c1cccc(C)c1 ZINC001473965607 1061507473 /nfs/dbraw/zinc/50/74/73/1061507473.db2.gz BJORUNPNJLUADZ-UHFFFAOYSA-N 0 3 305.853 4.001 20 0 DIADHN C[C@H]1CCc2nc(CNCCc3ccccc3Cl)sc2C1 ZINC001473967134 1061509824 /nfs/dbraw/zinc/50/98/24/1061509824.db2.gz PZLBIQOCUCLEHZ-LBPRGKRZSA-N 0 3 320.889 4.254 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2ncc(C(C)C)s2)cc1 ZINC001473966535 1061510402 /nfs/dbraw/zinc/51/04/02/1061510402.db2.gz MAUGXOAQHYIFIK-AWEZNQCLSA-N 0 3 318.486 4.386 20 0 DIADHN CN(C)c1ccc(CCNCc2c(F)ccc(F)c2Cl)cc1 ZINC001473971581 1061514656 /nfs/dbraw/zinc/51/46/56/1061514656.db2.gz WBURGCWDYMWQQD-UHFFFAOYSA-N 0 3 324.802 4.017 20 0 DIADHN CCCc1ccc([C@@H](NCc2cnc(CC)nc2)C(C)C)cc1 ZINC001473983288 1061526291 /nfs/dbraw/zinc/52/62/91/1061526291.db2.gz YKUQSGFMCLVBCT-FQEVSTJZSA-N 0 3 311.473 4.478 20 0 DIADHN CC1(NCc2nc3cc(Br)ccc3o2)CCCC1 ZINC001473985192 1061529506 /nfs/dbraw/zinc/52/95/06/1061529506.db2.gz HIKKRMMTLXAHNM-UHFFFAOYSA-N 0 3 309.207 4.013 20 0 DIADHN CCOc1cc(F)cc(CNCc2cc3c(s2)CCCC3)c1 ZINC001473987265 1061529879 /nfs/dbraw/zinc/52/98/79/1061529879.db2.gz KRNQALPNZQIDKV-UHFFFAOYSA-N 0 3 319.445 4.455 20 0 DIADHN FC(F)COc1cccc(CNCc2ccc3cc[nH]c3c2)c1 ZINC001473989516 1061532875 /nfs/dbraw/zinc/53/28/75/1061532875.db2.gz BVMDYNWBGCXHLV-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN CC1(CNCc2nc(Cl)ccc2Br)CCCC1 ZINC001473994455 1061537577 /nfs/dbraw/zinc/53/75/77/1061537577.db2.gz QPQPONBXKPONMU-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H](C)C(=O)Nc2ccccc2)c(C)c1 ZINC001473995204 1061538114 /nfs/dbraw/zinc/53/81/14/1061538114.db2.gz FHCRIGVCLYIPBI-IBWPDUFMSA-N 0 3 322.452 4.242 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(C(C)(C)C)s1 ZINC001473995068 1061538560 /nfs/dbraw/zinc/53/85/60/1061538560.db2.gz DBOCJMZYGVXCQU-OAHLLOKOSA-N 0 3 315.486 4.311 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)c(C)n1 ZINC001474003819 1061544290 /nfs/dbraw/zinc/54/42/90/1061544290.db2.gz UBBLXTFXUFBPSU-NXOUGTEYSA-N 0 3 317.864 4.352 20 0 DIADHN COc1cc(Cl)ccc1CNCc1cccc2ncccc12 ZINC001474008720 1061549324 /nfs/dbraw/zinc/54/93/24/1061549324.db2.gz KHKMXWJIYRIEGN-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN O[C@@H](CNCc1cc2cc(F)ccc2o1)c1ccccc1Cl ZINC001474012468 1061552203 /nfs/dbraw/zinc/55/22/03/1061552203.db2.gz NMZRXCYVTZSZCF-INIZCTEOSA-N 0 3 319.763 4.049 20 0 DIADHN O[C@@H](CNC1(c2ccccc2Cl)CCC1)c1ccccc1 ZINC001252010077 1061554352 /nfs/dbraw/zinc/55/43/52/1061554352.db2.gz KFRVKETXWYLWPA-KRWDZBQOSA-N 0 3 301.817 4.042 20 0 DIADHN Fc1cccc(/C=C\CN[C@H](Cn2cccn2)c2ccccc2)c1 ZINC001474017143 1061558891 /nfs/dbraw/zinc/55/88/91/1061558891.db2.gz LCMWIHAAUWUPNT-DASIIQCKSA-N 0 3 321.399 4.067 20 0 DIADHN Fc1cccc(/C=C/CN[C@H](Cn2cccn2)c2ccccc2)c1 ZINC001474017145 1061559584 /nfs/dbraw/zinc/55/95/84/1061559584.db2.gz LCMWIHAAUWUPNT-MUPIXQSSSA-N 0 3 321.399 4.067 20 0 DIADHN Cc1ccccc1O[C@@H](C)CNCc1cc(Cl)ccc1F ZINC001474025599 1061567022 /nfs/dbraw/zinc/56/70/22/1061567022.db2.gz UZTOLLAKHMKHAK-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN CCSCC[C@H](C)NCc1nc(-c2cccs2)oc1C ZINC001474025577 1061568201 /nfs/dbraw/zinc/56/82/01/1061568201.db2.gz UKBOHRNLFZUSJI-NSHDSACASA-N 0 3 310.488 4.333 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ncc(C(C)C)s2)C1(CC)CC ZINC001474029695 1061568886 /nfs/dbraw/zinc/56/88/86/1061568886.db2.gz XCFTXIMKSGCPPY-LSDHHAIUSA-N 0 3 310.507 4.340 20 0 DIADHN CC[C@@H](CNCc1ccc(F)cc1F)Oc1ccccc1C ZINC001474028953 1061569292 /nfs/dbraw/zinc/56/92/92/1061569292.db2.gz VVYDNIJSYCLTNU-INIZCTEOSA-N 0 3 305.368 4.220 20 0 DIADHN Cc1ccsc1[C@@H](CO)NC/C=C\c1c(C)cc(C)cc1C ZINC001474034685 1061576449 /nfs/dbraw/zinc/57/64/49/1061576449.db2.gz DZLCRKFVKWDBFQ-OOFWQKGWSA-N 0 3 315.482 4.318 20 0 DIADHN CN(C)[C@H](CNCc1ccc(F)cc1F)c1ccc(Cl)cc1 ZINC001474033013 1061577320 /nfs/dbraw/zinc/57/73/20/1061577320.db2.gz YDKIZCPRIRDZCX-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN CS[C@H]1CC[C@H](NCc2csc(-c3cccs3)n2)C1 ZINC001474033126 1061578198 /nfs/dbraw/zinc/57/81/98/1061578198.db2.gz ZHJYPFMGSWZECI-JQWIXIFHSA-N 0 3 310.513 4.245 20 0 DIADHN CCC1(CNCc2nc3cc(Br)ccc3o2)CCC1 ZINC001474038245 1061581761 /nfs/dbraw/zinc/58/17/61/1061581761.db2.gz SZXPOIPFDNZYTP-UHFFFAOYSA-N 0 3 323.234 4.260 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2ccc(F)c(F)c2F)C1(C)C ZINC001474038523 1061583335 /nfs/dbraw/zinc/58/33/35/1061583335.db2.gz XPPCJRZDZOJYHR-ZIAGYGMSSA-N 0 3 315.379 4.177 20 0 DIADHN Cc1cccc(CNC[C@@H](O)c2cc3ccccc3s2)c1F ZINC001474050736 1061598777 /nfs/dbraw/zinc/59/87/77/1061598777.db2.gz AUDGJSWSKMNEFM-OAHLLOKOSA-N 0 3 315.413 4.172 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](NCc1cnsc1)C(C)(C)C ZINC001474070424 1061616307 /nfs/dbraw/zinc/61/63/07/1061616307.db2.gz QLJUACIULHVIQJ-MRXNPFEDSA-N 0 3 318.486 4.343 20 0 DIADHN Cc1cccc2cc(CN[C@H](CO)CC(C)(C)C)c(Cl)nc12 ZINC001474077394 1061624122 /nfs/dbraw/zinc/62/41/22/1061624122.db2.gz VWGPSMATJLEFBW-HNNXBMFYSA-N 0 3 320.864 4.083 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1ccc(OC)cc1F ZINC001474080999 1061627553 /nfs/dbraw/zinc/62/75/53/1061627553.db2.gz LXONWGKMMFQKNE-OAHLLOKOSA-N 0 3 319.445 4.495 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNCc1c(C)cccc1C ZINC001474081954 1061629915 /nfs/dbraw/zinc/62/99/15/1061629915.db2.gz IQYYEQZZDUVLOZ-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)c(C)c1)c1ccc(F)cc1 ZINC001474083333 1061629981 /nfs/dbraw/zinc/62/99/81/1061629981.db2.gz SNJDIPGYSMYSPB-SFHVURJKSA-N 0 3 315.388 4.162 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1cccc2cccnc21 ZINC001474093606 1061638820 /nfs/dbraw/zinc/63/88/20/1061638820.db2.gz TYCBJYFOQSPQPI-SFHVURJKSA-N 0 3 322.383 4.377 20 0 DIADHN CC(C)(C)c1nc(CCNCc2ccccc2Cl)cs1 ZINC001474097568 1061642476 /nfs/dbraw/zinc/64/24/76/1061642476.db2.gz SFATXAORHWDGMY-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC/C=C\c1cccc(F)c1 ZINC001474103536 1061651851 /nfs/dbraw/zinc/65/18/51/1061651851.db2.gz UPBILEUMQRMGBD-LEJCZOIISA-N 0 3 315.436 4.450 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1ccc3cnccc3c1)CCO2 ZINC001474105289 1061653148 /nfs/dbraw/zinc/65/31/48/1061653148.db2.gz XMSSVLMHZQJKGC-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1c2ccccc2sc1[C@@H](C)NCc1cnn2c1CCC2 ZINC001474104666 1061654164 /nfs/dbraw/zinc/65/41/64/1061654164.db2.gz YNIKLDMABLBONC-CYBMUJFWSA-N 0 3 311.454 4.203 20 0 DIADHN C[C@]1(CNCc2cc(Cl)sc2Cl)CCO[C@H]1C1CC1 ZINC001474107291 1061657299 /nfs/dbraw/zinc/65/72/99/1061657299.db2.gz VYCNYXBHXRHAAB-GXTWGEPZSA-N 0 3 320.285 4.350 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C2(Cc3cccc(F)c3)CCC2)c1 ZINC001474119619 1061668698 /nfs/dbraw/zinc/66/86/98/1061668698.db2.gz KLZKUMPVHOLRDL-UHFFFAOYSA-N 0 3 319.807 4.440 20 0 DIADHN CC(C)SCCNCc1ccc(-c2c(F)cccc2F)o1 ZINC001474139059 1061686600 /nfs/dbraw/zinc/68/66/00/1061686600.db2.gz SADIZZIYHBWPLL-UHFFFAOYSA-N 0 3 311.397 4.456 20 0 DIADHN Cc1ccc(CNC[C@H](OCC2CC2)c2ccc(Cl)cc2)o1 ZINC001474144230 1061690625 /nfs/dbraw/zinc/69/06/25/1061690625.db2.gz HQSMQQHCQCPIBC-SFHVURJKSA-N 0 3 319.832 4.499 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1c(F)cccc1-n1cccn1 ZINC001474149680 1061693394 /nfs/dbraw/zinc/69/33/94/1061693394.db2.gz QNTYPTSSZUUPMR-SSZFMOIBSA-N 0 3 321.399 4.205 20 0 DIADHN FC(F)(F)c1ccc(CNCCOCC2CCCC2)s1 ZINC001474169468 1061715130 /nfs/dbraw/zinc/71/51/30/1061715130.db2.gz IOLHRWKHNCTMTJ-UHFFFAOYSA-N 0 3 307.381 4.063 20 0 DIADHN CCc1nc(CNCC2(Cc3cccc(Cl)c3)CC2)cs1 ZINC001474174305 1061721839 /nfs/dbraw/zinc/72/18/39/1061721839.db2.gz OYSOBAFTQFWJKR-UHFFFAOYSA-N 0 3 320.889 4.471 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc2scnc2c1 ZINC001474178109 1061723666 /nfs/dbraw/zinc/72/36/66/1061723666.db2.gz ASSJEMUHLNYWFJ-UHFFFAOYSA-N 0 3 311.454 4.279 20 0 DIADHN CC(C)(C)c1ccc(-c2nnc(C34CCCN3CCC4)o2)cc1 ZINC001252822720 1061729390 /nfs/dbraw/zinc/72/93/90/1061729390.db2.gz GWMVAGNVBXEBQG-UHFFFAOYSA-N 0 3 311.429 4.119 20 0 DIADHN CC[C@H](NCc1nccc(C)c1Br)[C@H]1CC1(C)C ZINC001474184849 1061734113 /nfs/dbraw/zinc/73/41/13/1061734113.db2.gz ZDHPNSBZKPWXST-NEPJUHHUSA-N 0 3 311.267 4.067 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1ccccc1F)c1ccc(F)cc1 ZINC001252846238 1061740196 /nfs/dbraw/zinc/74/01/96/1061740196.db2.gz IPQGOHGZRFCRIQ-OALUTQOASA-N 0 3 317.379 4.225 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2ccc(Cl)cc2Cl)C1 ZINC001474194924 1061744006 /nfs/dbraw/zinc/74/40/06/1061744006.db2.gz FVTPBIPNCDGRKA-JOCQHMNTSA-N 0 3 302.245 4.429 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@@]2(C)C[C@@]2(F)Cl)n1 ZINC001474202509 1061752940 /nfs/dbraw/zinc/75/29/40/1061752940.db2.gz YIRJJEUDRMZCOZ-KBPBESRZSA-N 0 3 304.862 4.235 20 0 DIADHN Cc1cnc2ccccc2c1NCc1coc(-c2cccs2)n1 ZINC001252956624 1061761791 /nfs/dbraw/zinc/76/17/91/1061761791.db2.gz JZFOSURXLSWDCU-UHFFFAOYSA-N 0 3 321.405 4.294 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001474213707 1061769930 /nfs/dbraw/zinc/76/99/30/1061769930.db2.gz ZQVWCHDJNZCJEF-CRAIPNDOSA-N 0 3 303.446 4.055 20 0 DIADHN CC(C)Cc1ccccc1CNCc1cn(C)nc1C(C)(C)C ZINC001474218072 1061773834 /nfs/dbraw/zinc/77/38/34/1061773834.db2.gz UZAOQNPJDQFIDD-UHFFFAOYSA-N 0 3 313.489 4.206 20 0 DIADHN Cc1cc(CN[C@@]2(C)CC2(C)C)c(Br)cc1F ZINC001474218000 1061774791 /nfs/dbraw/zinc/77/47/91/1061774791.db2.gz SBWMUHRSHNEIJI-AWEZNQCLSA-N 0 3 300.215 4.175 20 0 DIADHN Fc1ccc(F)c(CNCC[C@@H]2CC2(Cl)Cl)c1Cl ZINC001474218931 1061777434 /nfs/dbraw/zinc/77/74/34/1061777434.db2.gz UKIQRDOLGMAKPD-SSDOTTSWSA-N 0 3 314.590 4.292 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CNCc1cc(C)cc(C)c1 ZINC001474219083 1061779330 /nfs/dbraw/zinc/77/93/30/1061779330.db2.gz WBKVLNVBAQJBRZ-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cccc2c1OCCCO2 ZINC001474221102 1061781528 /nfs/dbraw/zinc/78/15/28/1061781528.db2.gz ZNCQEBCMWZLOON-IBGZPJMESA-N 0 3 323.436 4.324 20 0 DIADHN CCCC[C@H](CC)COC[C@@H](O)CN1CC[C@H]1c1ccccc1 ZINC001253003927 1061783085 /nfs/dbraw/zinc/78/30/85/1061783085.db2.gz AEAHRONGXJDSCK-IHPCNDPISA-N 0 3 319.489 4.027 20 0 DIADHN CO[C@H](CNCc1cccc(OC2CC2)c1F)C1CCCCC1 ZINC001474223398 1061785605 /nfs/dbraw/zinc/78/56/05/1061785605.db2.gz ZGSGCZYRKKRHJL-GOSISDBHSA-N 0 3 321.436 4.052 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CNCCOC(C)C ZINC001474226943 1061788550 /nfs/dbraw/zinc/78/85/50/1061788550.db2.gz OCKFSCKYAPZYBS-UHFFFAOYSA-N 0 3 313.441 4.185 20 0 DIADHN COc1ccc(CNCC(C)(C)F)cc1OCc1ccccc1 ZINC001474231371 1061791662 /nfs/dbraw/zinc/79/16/62/1061791662.db2.gz XFNMAHPGDGZVKD-UHFFFAOYSA-N 0 3 317.404 4.112 20 0 DIADHN COc1cccc2cc(CN[C@@H]3c4ccccc4C[C@@H]3C)oc21 ZINC001253095868 1061803906 /nfs/dbraw/zinc/80/39/06/1061803906.db2.gz ORCGKZDJBHVCPU-DJJJIMSYSA-N 0 3 307.393 4.465 20 0 DIADHN Cc1ccc(CN2CC[C@H](NCc3sccc3Cl)C2)cc1 ZINC001474241798 1061804526 /nfs/dbraw/zinc/80/45/26/1061804526.db2.gz AABWVELYIYIUPH-HNNXBMFYSA-N 0 3 320.889 4.074 20 0 DIADHN CCOc1ccc(Cl)cc1CNC1([C@H]2CCCCO2)CCC1 ZINC001474245359 1061812713 /nfs/dbraw/zinc/81/27/13/1061812713.db2.gz ZLLCLRJWIAVXRL-QGZVFWFLSA-N 0 3 323.864 4.320 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCOc3c(OC)cccc32)cc1 ZINC001474252771 1061819488 /nfs/dbraw/zinc/81/94/88/1061819488.db2.gz XNIKPMBIPKCUMY-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN COc1cc(CNCc2ccc(C(C)(C)C)s2)cc(OC)c1 ZINC001474257493 1061824999 /nfs/dbraw/zinc/82/49/99/1061824999.db2.gz IHBXBASJRKDMJO-UHFFFAOYSA-N 0 3 319.470 4.353 20 0 DIADHN Cc1ccc(-c2ccc(CNC3([C@H]4CCCCO4)CC3)o2)cc1 ZINC001474277797 1061844832 /nfs/dbraw/zinc/84/48/32/1061844832.db2.gz BTECHTVXCARJLH-LJQANCHMSA-N 0 3 311.425 4.446 20 0 DIADHN CC1(C)C(C)(C)C1(C)NCc1cscc1Br ZINC001474289822 1061859368 /nfs/dbraw/zinc/85/93/68/1061859368.db2.gz NOHMYCQOOZRNJU-UHFFFAOYSA-N 0 3 302.281 4.425 20 0 DIADHN CCCCC1(NCc2cccc(F)c2Br)CC1 ZINC001474295410 1061865240 /nfs/dbraw/zinc/86/52/40/1061865240.db2.gz UERSKHGHHOEHLG-UHFFFAOYSA-N 0 3 300.215 4.401 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1cc2ccccc2[nH]c1=O ZINC001474304431 1061872344 /nfs/dbraw/zinc/87/23/44/1061872344.db2.gz SAQHHXIJZNQXAO-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN Cc1cccc(C)c1CN[C@@H]1C[C@@]2(CCOC2)Oc2ccccc21 ZINC001474305660 1061872562 /nfs/dbraw/zinc/87/25/62/1061872562.db2.gz SPLOJRPXWUFHIB-TZIWHRDSSA-N 0 3 323.436 4.076 20 0 DIADHN CCOc1ccc(CN2CC[C@H](CSc3ccccc3)C2)o1 ZINC001474309360 1061878289 /nfs/dbraw/zinc/87/82/89/1061878289.db2.gz NZOUDWKEFJIONU-HNNXBMFYSA-N 0 3 317.454 4.293 20 0 DIADHN COc1ncc(CN(C)[C@H]2CCC[C@@H]2c2ccc(C)cc2)s1 ZINC001474313021 1061880223 /nfs/dbraw/zinc/88/02/23/1061880223.db2.gz SGQCHFNQIUNUCZ-SJORKVTESA-N 0 3 316.470 4.228 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001474316396 1061888838 /nfs/dbraw/zinc/88/88/38/1061888838.db2.gz AORUQJAMQMSDIK-VXGBXAGGSA-N 0 3 321.408 4.402 20 0 DIADHN CCCCC[C@@H](O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001253547250 1061922164 /nfs/dbraw/zinc/92/21/64/1061922164.db2.gz GJYVVKSANBLDJV-CYBMUJFWSA-N 0 3 323.786 4.390 20 0 DIADHN CC[C@@H]1CN(C)c2ccccc2CN1Cc1ccc(C)cc1F ZINC001474332017 1061924856 /nfs/dbraw/zinc/92/48/56/1061924856.db2.gz YZDDHLMFHCLLQN-GOSISDBHSA-N 0 3 312.432 4.365 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1ccnc(OC)c1F ZINC001474344892 1061945622 /nfs/dbraw/zinc/94/56/22/1061945622.db2.gz WPOZCEGDWWXJFR-KRWDZBQOSA-N 0 3 316.420 4.073 20 0 DIADHN CC[C@@H]1CCC[C@H](CN(C)CC(=O)Nc2cccc(C)c2C)C1 ZINC001474349038 1061955534 /nfs/dbraw/zinc/95/55/34/1061955534.db2.gz SRKSMISIYUNPLI-MSOLQXFVSA-N 0 3 316.489 4.390 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@@H]2CCCC[C@H]2C)c1C ZINC001474348790 1061956745 /nfs/dbraw/zinc/95/67/45/1061956745.db2.gz ONNQNZATTOBXBR-WBVHZDCISA-N 0 3 302.462 4.000 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@@H]2CCCC[C@@H]2C)c1C ZINC001474348789 1061957785 /nfs/dbraw/zinc/95/77/85/1061957785.db2.gz ONNQNZATTOBXBR-RDJZCZTQSA-N 0 3 302.462 4.000 20 0 DIADHN Cn1c(CN2CCC(OC3CCCC3)CC2)cc2ccccc21 ZINC001474348844 1061958045 /nfs/dbraw/zinc/95/80/45/1061958045.db2.gz PNXOGKVXZDTBGY-UHFFFAOYSA-N 0 3 312.457 4.102 20 0 DIADHN CC[C@@]1(C)CCN(Cc2sc(C)cc2Br)C1 ZINC001474348121 1061958703 /nfs/dbraw/zinc/95/87/03/1061958703.db2.gz FASCDSKQTWVQRW-ZDUSSCGKSA-N 0 3 302.281 4.441 20 0 DIADHN O[C@@H](c1ccccc1)[C@H]1CCCCN1Cc1cc(Cl)cs1 ZINC001474351139 1061963334 /nfs/dbraw/zinc/96/33/34/1061963334.db2.gz RZIFAQSUCIMGRY-SJORKVTESA-N 0 3 321.873 4.490 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@@H]1C(C)=CCC[C@@H]1C ZINC001474352742 1061964474 /nfs/dbraw/zinc/96/44/74/1061964474.db2.gz UVVBJTYTIOGRMV-FUHWJXTLSA-N 0 3 314.473 4.112 20 0 DIADHN Fc1ccc(CN(Cc2cccc3c2OCC3)CC2CC2)cc1 ZINC001474356601 1061975055 /nfs/dbraw/zinc/97/50/55/1061975055.db2.gz NBPAAMWCJHAWGV-UHFFFAOYSA-N 0 3 311.400 4.173 20 0 DIADHN CC(C)[C@@H]1CN(CCCc2c(F)cccc2Cl)CCS1 ZINC001474360015 1061977763 /nfs/dbraw/zinc/97/77/63/1061977763.db2.gz IJTDVNSHRMCHFH-INIZCTEOSA-N 0 3 315.885 4.485 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@H](c2ccccc2C)C[C@@H]1C ZINC001474359681 1061978853 /nfs/dbraw/zinc/97/88/53/1061978853.db2.gz BMQLQLYHTFLEIG-LSDHHAIUSA-N 0 3 317.864 4.243 20 0 DIADHN c1cc(CN2CCCCC[C@H]2c2ccccc2)n(CC2CC2)n1 ZINC001474365234 1061999477 /nfs/dbraw/zinc/99/94/77/1061999477.db2.gz YXEJYLFDSUKMBM-FQEVSTJZSA-N 0 3 309.457 4.410 20 0 DIADHN C[C@H]1CN(Cc2cccc(F)c2OCC(F)(F)F)CC[C@@H]1C ZINC001474370295 1062006562 /nfs/dbraw/zinc/00/65/62/1062006562.db2.gz RSEVHYPGEWCUCS-RYUDHWBXSA-N 0 3 319.342 4.245 20 0 DIADHN C[C@H]1CCN(Cc2ccc(Sc3ccccn3)o2)C[C@H]1C ZINC001474370030 1062009420 /nfs/dbraw/zinc/00/94/20/1062009420.db2.gz NYIPLZZZHBKWDM-UONOGXRCSA-N 0 3 302.443 4.304 20 0 DIADHN C[C@H]1SCCN(Cc2ccc(-c3ccc(F)cc3)o2)[C@@H]1C ZINC001474369812 1062011330 /nfs/dbraw/zinc/01/13/30/1062011330.db2.gz PEXBHBFMKZYGST-CHWSQXEVSA-N 0 3 305.418 4.412 20 0 DIADHN CCCN(Cc1c2c(nn1C)CCC2)[C@@H](CC)c1ccccc1 ZINC001474373941 1062016402 /nfs/dbraw/zinc/01/64/02/1062016402.db2.gz HXWQFCUCLCIGJP-IBGZPJMESA-N 0 3 311.473 4.272 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@@H](C)c2nccc3ccccc32)on1 ZINC001474378253 1062024627 /nfs/dbraw/zinc/02/46/27/1062024627.db2.gz NELUTQLKMOYXGO-WMLDXEAASA-N 0 3 307.397 4.429 20 0 DIADHN CCC1(C)CCN(Cc2ccc(OC(F)F)cc2F)CC1 ZINC001474383517 1062028881 /nfs/dbraw/zinc/02/88/81/1062028881.db2.gz BSKRBXOBLXPUDE-UHFFFAOYSA-N 0 3 301.352 4.439 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)ncc1Br ZINC001474390416 1062043082 /nfs/dbraw/zinc/04/30/82/1062043082.db2.gz CZDJFTZNAYSMFT-NOLJZWGESA-N 0 3 323.278 4.163 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)c(F)c1)c1cccc(CO)c1 ZINC001254205898 1062047392 /nfs/dbraw/zinc/04/73/92/1062047392.db2.gz ICVPOLBGNYUQIM-NEPJUHHUSA-N 0 3 307.796 4.383 20 0 DIADHN OC[C@@H](NC1CCC(F)(F)CC1)c1ccc(Cl)cc1Cl ZINC001254213365 1062050891 /nfs/dbraw/zinc/05/08/91/1062050891.db2.gz WWNWAYDKAHZIIP-CYBMUJFWSA-N 0 3 324.198 4.194 20 0 DIADHN Brc1cccc2c(CN3CCCCCC3)ccnc12 ZINC001474399683 1062051510 /nfs/dbraw/zinc/05/15/10/1062051510.db2.gz IQLHWEIMURJVGU-UHFFFAOYSA-N 0 3 319.246 4.373 20 0 DIADHN Cc1ccc(N2CCN(C3CCC(F)(F)CC3)CC2)cc1C ZINC001254217377 1062054812 /nfs/dbraw/zinc/05/48/12/1062054812.db2.gz XDSYCWDGAVBPJM-UHFFFAOYSA-N 0 3 308.416 4.003 20 0 DIADHN Fc1ccc([C@@H]2CSCCN2C2CCC(F)(F)CC2)cc1 ZINC001254223487 1062057527 /nfs/dbraw/zinc/05/75/27/1062057527.db2.gz MIKYBHVUXOLGFA-HNNXBMFYSA-N 0 3 315.404 4.494 20 0 DIADHN c1ccc(N2CCN(Cc3cccc(CC4CCC4)c3)CC2)cc1 ZINC001474400276 1062057879 /nfs/dbraw/zinc/05/78/79/1062057879.db2.gz LQCUJEDGRAOVJP-UHFFFAOYSA-N 0 3 320.480 4.351 20 0 DIADHN C[C@@H]1CCCCN1Cc1ccc(Oc2ccc(F)cc2)nc1 ZINC001474404761 1062061017 /nfs/dbraw/zinc/06/10/17/1062061017.db2.gz SSUZEDWMAKVGMQ-CQSZACIVSA-N 0 3 300.377 4.387 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1(c3ccccc3OC)CCC1)CC2 ZINC001254231904 1062061688 /nfs/dbraw/zinc/06/16/88/1062061688.db2.gz YQWIPWQEFPXRTG-LJQANCHMSA-N 0 3 323.436 4.360 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)c(C2CCCC2)n1 ZINC001474403813 1062061606 /nfs/dbraw/zinc/06/16/06/1062061606.db2.gz GWARSZGTDZBSHI-DOTOQJQBSA-N 0 3 301.478 4.090 20 0 DIADHN CC[C@H](C)[C@H](CN(Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1)OC ZINC001474403178 1062064105 /nfs/dbraw/zinc/06/41/05/1062064105.db2.gz ZVBPFAWQMQISNA-CHWQCYJSSA-N 0 3 305.462 4.429 20 0 DIADHN COc1cc(CN2CC[C@@H]3CCCC[C@H]3C2)c(Cl)cc1O ZINC001474405284 1062069505 /nfs/dbraw/zinc/06/95/05/1062069505.db2.gz RSYBDZCGCAZAMB-STQMWFEESA-N 0 3 309.837 4.066 20 0 DIADHN C[C@H]1CCC[C@@H](CCN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001459307031 1062077031 /nfs/dbraw/zinc/07/70/31/1062077031.db2.gz AGENDAPOFCIWLF-ROUUACIJSA-N 0 3 323.484 4.447 20 0 DIADHN Cc1ccc(CN(CCc2nsc3ccccc23)C2CC2)o1 ZINC001459316869 1062083850 /nfs/dbraw/zinc/08/38/50/1062083850.db2.gz QKUWSJNUDQRKTQ-UHFFFAOYSA-N 0 3 312.438 4.405 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001459318327 1062087566 /nfs/dbraw/zinc/08/75/66/1062087566.db2.gz PVJHCCYLTJOHPO-BODMPHMZSA-N 0 3 305.849 4.435 20 0 DIADHN Clc1cc(Cl)cc(C2=CCN([C@H]3CCCOC3)CC2)c1 ZINC001254373663 1062088804 /nfs/dbraw/zinc/08/88/04/1062088804.db2.gz AURTXTIARQXSOP-INIZCTEOSA-N 0 3 312.240 4.262 20 0 DIADHN CC[C@@H](C)CCC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001459324723 1062089837 /nfs/dbraw/zinc/08/98/37/1062089837.db2.gz LOBRRTGJIVKNFO-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCCN1[C@H]1CCCOC1 ZINC001254388297 1062090105 /nfs/dbraw/zinc/09/01/05/1062090105.db2.gz UKNPUIOMARNSMK-XJKSGUPXSA-N 0 3 313.363 4.411 20 0 DIADHN CCOC1CC(N[C@H](CC(F)(F)F)c2ccc(C)cc2)C1 ZINC001474420172 1062089968 /nfs/dbraw/zinc/08/99/68/1062089968.db2.gz OSLAPWQSFUDKRQ-YMAMQOFZSA-N 0 3 301.352 4.146 20 0 DIADHN CC[C@H](C)CCC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001459324732 1062090129 /nfs/dbraw/zinc/09/01/29/1062090129.db2.gz LOBRRTGJIVKNFO-PKOBYXMFSA-N 0 3 318.505 4.086 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCCN1[C@@H]1CCCOC1 ZINC001254388296 1062090830 /nfs/dbraw/zinc/09/08/30/1062090830.db2.gz UKNPUIOMARNSMK-CZUORRHYSA-N 0 3 313.363 4.411 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCCN1[C@H]1CCCOC1 ZINC001254388294 1062092128 /nfs/dbraw/zinc/09/21/28/1062092128.db2.gz UKNPUIOMARNSMK-BBRMVZONSA-N 0 3 313.363 4.411 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cc3ccccc3n2C)C1 ZINC001474423979 1062096933 /nfs/dbraw/zinc/09/69/33/1062096933.db2.gz FCVYFYHGQIDRHH-MGPUTAFESA-N 0 3 305.425 4.156 20 0 DIADHN CCCN(CC(=O)OCc1ccccc1)CC1CCCCC1 ZINC001459343019 1062101953 /nfs/dbraw/zinc/10/19/53/1062101953.db2.gz BCDQVUFNIVDDET-UHFFFAOYSA-N 0 3 303.446 4.022 20 0 DIADHN C=C/C=C\CCN(C)Cc1c(C)nn(-c2ccccc2C)c1C ZINC001459352603 1062107949 /nfs/dbraw/zinc/10/79/49/1062107949.db2.gz CRHAFZVMGPLANH-FPLPWBNLSA-N 0 3 309.457 4.362 20 0 DIADHN CC(C)=C(C)CC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001467339749 1062112633 /nfs/dbraw/zinc/11/26/33/1062112633.db2.gz CWDITGZOSZEORE-IBGZPJMESA-N 0 3 316.489 4.006 20 0 DIADHN CCOc1ccc2c(c1)CN(CCCc1ccsc1)CC2 ZINC001459361658 1062114120 /nfs/dbraw/zinc/11/41/20/1062114120.db2.gz BJWHKCWOBIYHOK-UHFFFAOYSA-N 0 3 301.455 4.138 20 0 DIADHN CNc1ccc(C)cc1CN1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC001474436743 1062115363 /nfs/dbraw/zinc/11/53/63/1062115363.db2.gz MYHNHVBUPYGRAV-JSGCOSHPSA-N 0 3 300.368 4.200 20 0 DIADHN CN(Cc1cnc(Cl)s1)C1CCc2ccccc2CC1 ZINC001254456866 1062116035 /nfs/dbraw/zinc/11/60/35/1062116035.db2.gz VVNBIZSNYKXHLP-UHFFFAOYSA-N 0 3 306.862 4.176 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN(Cc1ccccc1F)C(C)C ZINC001459373447 1062118260 /nfs/dbraw/zinc/11/82/60/1062118260.db2.gz CAZBFVBPXPXERE-LJQANCHMSA-N 0 3 319.395 4.217 20 0 DIADHN Cc1cccc(CC[C@@H](C)N[C@@H]2COc3cc(F)cc(F)c32)c1 ZINC001474439319 1062118733 /nfs/dbraw/zinc/11/87/33/1062118733.db2.gz NKDVKVCSFVBOJV-CXAGYDPISA-N 0 3 317.379 4.318 20 0 DIADHN C[C@H]1CCCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)CC1 ZINC001459385870 1062120528 /nfs/dbraw/zinc/12/05/28/1062120528.db2.gz LGARNFHVUWELOE-HNNXBMFYSA-N 0 3 302.462 4.045 20 0 DIADHN C[C@@H]1Cc2cc(CN3CC[C@@H](C(F)(F)F)C3(C)C)ccc2O1 ZINC001474445628 1062123280 /nfs/dbraw/zinc/12/32/80/1062123280.db2.gz HNBWCSWCEOEHIR-IAQYHMDHSA-N 0 3 313.363 4.173 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1nccnc1Cl ZINC001254483209 1062124493 /nfs/dbraw/zinc/12/44/93/1062124493.db2.gz PKAHGAZFKQMBQW-OAHLLOKOSA-N 0 3 303.837 4.021 20 0 DIADHN C[C@H]1Cc2cc(CN3CC[C@H](C(F)(F)F)C3(C)C)ccc2O1 ZINC001474445629 1062124864 /nfs/dbraw/zinc/12/48/64/1062124864.db2.gz HNBWCSWCEOEHIR-NHYWBVRUSA-N 0 3 313.363 4.173 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H]1C(=O)Nc2cccc(C)c21 ZINC001254483846 1062125826 /nfs/dbraw/zinc/12/58/26/1062125826.db2.gz WVKQDPJJUDWMQJ-PXNSSMCTSA-N 0 3 322.452 4.379 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@H]1CCC(C)(C)CO1 ZINC001459395482 1062129121 /nfs/dbraw/zinc/12/91/21/1062129121.db2.gz QKPMSEKXNVGXHG-OAHLLOKOSA-N 0 3 315.379 4.342 20 0 DIADHN Cc1ccccc1-n1nccc1CN1CCCC2(CCCC2)C1 ZINC001474454363 1062131514 /nfs/dbraw/zinc/13/15/14/1062131514.db2.gz XKDZGCCIVAUCGX-UHFFFAOYSA-N 0 3 309.457 4.337 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@@H](C)C[C@H]3C)c2)CC1 ZINC001474462015 1062139906 /nfs/dbraw/zinc/13/99/06/1062139906.db2.gz YECMFDQIFZGFBS-MBOZVWFJSA-N 0 3 315.505 4.065 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3C[C@@H](C)CC[C@@H]3C)c2)CC1 ZINC001474462057 1062141282 /nfs/dbraw/zinc/14/12/82/1062141282.db2.gz ZQZHPJCZRGRZFF-ABSDTBQOSA-N 0 3 315.505 4.065 20 0 DIADHN COc1ccc(CN(C)C[C@@H]2CC3(CO2)CCCCC3)cc1C ZINC001459437204 1062144932 /nfs/dbraw/zinc/14/49/32/1062144932.db2.gz JDYRGLVFMDRBFZ-SFHVURJKSA-N 0 3 317.473 4.175 20 0 DIADHN CCn1cc(CN2CCC(c3ccc(Cl)cc3)CC2)c(C)n1 ZINC001474466175 1062146942 /nfs/dbraw/zinc/14/69/42/1062146942.db2.gz BVTBKLPVYXJKGP-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN Cn1nc(CN(CCc2ccccc2)C2CCCC2)cc1Cl ZINC001459445689 1062148056 /nfs/dbraw/zinc/14/80/56/1062148056.db2.gz KJYQKWXQCJJVAT-UHFFFAOYSA-N 0 3 317.864 4.061 20 0 DIADHN Cc1cc(CN2CCC[C@H](Cc3ccccc3)C2)cc(Cl)n1 ZINC001459449477 1062149368 /nfs/dbraw/zinc/14/93/68/1062149368.db2.gz ONVYLLAHYPDWTR-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCCO[C@H](c3ccccc3)C2)c1 ZINC001459458903 1062152114 /nfs/dbraw/zinc/15/21/14/1062152114.db2.gz RJCJMXJQEYUPTG-ZCNNSNEGSA-N 0 3 311.400 4.145 20 0 DIADHN CC(C)C1CCC(CN2CCC=C(Br)C2)CC1 ZINC001474474662 1062154811 /nfs/dbraw/zinc/15/48/11/1062154811.db2.gz QUVLGAGWHPBZLL-UHFFFAOYSA-N 0 3 300.284 4.433 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001459462996 1062156212 /nfs/dbraw/zinc/15/62/12/1062156212.db2.gz GWNJEOOCTRNXSV-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CCC[C@@H](CN2CCC[C@@H]2C(=O)OCc2ccccc2)C1 ZINC001459475078 1062157386 /nfs/dbraw/zinc/15/73/86/1062157386.db2.gz JGINXNNXFZILMZ-BHIYHBOVSA-N 0 3 315.457 4.021 20 0 DIADHN CCC[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1C)OC ZINC001474479409 1062157801 /nfs/dbraw/zinc/15/78/01/1062157801.db2.gz IQCDPVVYNCTJCB-DZGCQCFKSA-N 0 3 303.368 4.393 20 0 DIADHN CC(C)[C@@H]1CCCCN1CC(=O)Nc1cccc2ccccc21 ZINC001459492488 1062168879 /nfs/dbraw/zinc/16/88/79/1062168879.db2.gz RVFIZTSGXRVGBX-IBGZPJMESA-N 0 3 310.441 4.289 20 0 DIADHN Cn1c(CN[C@H](c2ccccc2)C(C)(C)CO)cc2ccccc21 ZINC001474490048 1062170241 /nfs/dbraw/zinc/17/02/41/1062170241.db2.gz OYFJLALSLOOZLA-HXUWFJFHSA-N 0 3 322.452 4.028 20 0 DIADHN C[C@H](CN1CCN(c2ccccc2F)C[C@H]1C)c1ccccc1 ZINC001474492711 1062170479 /nfs/dbraw/zinc/17/04/79/1062170479.db2.gz UPBSVCFSPQIBOL-IAGOWNOFSA-N 0 3 312.432 4.140 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(-c2ccco2)cc1F ZINC001474493112 1062172356 /nfs/dbraw/zinc/17/23/56/1062172356.db2.gz GKLOPZQLSRPWEN-INIZCTEOSA-N 0 3 305.393 4.381 20 0 DIADHN Fc1cccc(CCN(Cc2cncc(Cl)c2)C2CC2)c1 ZINC001459506651 1062172693 /nfs/dbraw/zinc/17/26/93/1062172693.db2.gz KSSRMRSWJCECQB-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN COC(=O)c1cccc(CN2C[C@@H](C)CCC[C@@H]2C)c1Cl ZINC001459512652 1062177173 /nfs/dbraw/zinc/17/71/73/1062177173.db2.gz SHHWJCXGTHRKGE-STQMWFEESA-N 0 3 309.837 4.137 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1cc(F)cc(OC)c1 ZINC001474501559 1062183162 /nfs/dbraw/zinc/18/31/62/1062183162.db2.gz XATXRHUEYORUIR-IBGZPJMESA-N 0 3 317.404 4.426 20 0 DIADHN C[C@H]1CC[C@H](C)N(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001459539181 1062193870 /nfs/dbraw/zinc/19/38/70/1062193870.db2.gz GXOMVSMDGZNFNF-PGUXBMHVSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2cc(=O)[nH]c(C3CC3)n2)cc1 ZINC001459540053 1062197130 /nfs/dbraw/zinc/19/71/30/1062197130.db2.gz HZXZEPWMXXZGFA-SFHVURJKSA-N 0 3 323.440 4.095 20 0 DIADHN CO[C@@H](CNCc1c(F)cccc1SC)C1CCCCC1 ZINC001474515072 1062198729 /nfs/dbraw/zinc/19/87/29/1062198729.db2.gz OUIZCEVVPXNPTO-INIZCTEOSA-N 0 3 311.466 4.233 20 0 DIADHN CO[C@H](CNCc1c(F)cccc1SC)C1CCCCC1 ZINC001474515074 1062198983 /nfs/dbraw/zinc/19/89/83/1062198983.db2.gz OUIZCEVVPXNPTO-MRXNPFEDSA-N 0 3 311.466 4.233 20 0 DIADHN CC(C)[C@H]1CCCN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001459548049 1062199920 /nfs/dbraw/zinc/19/99/20/1062199920.db2.gz GPVCLMFQWJUTIO-HXUWFJFHSA-N 0 3 322.452 4.413 20 0 DIADHN CC(C)[C@H](CO)N(Cc1ccccc1)Cc1ccccc1Cl ZINC001459559324 1062206040 /nfs/dbraw/zinc/20/60/40/1062206040.db2.gz FLDWKLYDQLIDBW-IBGZPJMESA-N 0 3 317.860 4.359 20 0 DIADHN CC/C=C/CCN1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001459558204 1062208931 /nfs/dbraw/zinc/20/89/31/1062208931.db2.gz HPZVTJAVOQJZBR-APHBUQMISA-N 0 3 306.881 4.375 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCN([C@H]2C=CCCC2)CC1 ZINC001459559675 1062209598 /nfs/dbraw/zinc/20/95/98/1062209598.db2.gz JLLYSIMRQWLWKQ-QAPCUYQASA-N 0 3 304.865 4.127 20 0 DIADHN Clc1ccc(C2CN(Cc3ccc(-n4cccn4)cc3)C2)cc1 ZINC001474528868 1062213196 /nfs/dbraw/zinc/21/31/96/1062213196.db2.gz YXRHNSOLIODQDK-UHFFFAOYSA-N 0 3 323.827 4.125 20 0 DIADHN CCc1ccc([C@H]2C[C@H](C)CCN2CCOCC2CCC2)o1 ZINC001459571264 1062216162 /nfs/dbraw/zinc/21/61/62/1062216162.db2.gz FHSXDKWPVWLCKN-CRAIPNDOSA-N 0 3 305.462 4.432 20 0 DIADHN Cc1cc(Cl)cc(CN(C)C[C@@H]2OCCc3ccccc32)c1 ZINC001474533799 1062218896 /nfs/dbraw/zinc/21/88/96/1062218896.db2.gz CUBHCOXPMMIOQT-IBGZPJMESA-N 0 3 315.844 4.394 20 0 DIADHN CN(C)Cc1ccc(-c2nnc([C@@H]3C[C@H]3c3ccccc3)o2)cc1 ZINC001254683178 1062229156 /nfs/dbraw/zinc/22/91/56/1062229156.db2.gz OSMWZZPTJCOBQE-ZWKOTPCHSA-N 0 3 319.408 4.069 20 0 DIADHN CN(C)Cc1ccc(-c2nnc([C@H]3C[C@@H]3c3ccccc3)o2)cc1 ZINC001254683175 1062230845 /nfs/dbraw/zinc/23/08/45/1062230845.db2.gz OSMWZZPTJCOBQE-MSOLQXFVSA-N 0 3 319.408 4.069 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN(CCC(F)(F)F)CC1CC1 ZINC001459613054 1062243152 /nfs/dbraw/zinc/24/31/52/1062243152.db2.gz JRBAJWPFMCSPSC-HIFRSBDPSA-N 0 3 307.400 4.246 20 0 DIADHN CCSc1ccccc1C[C@@H](C)NCc1oc(CC)nc1C ZINC001474547210 1062243276 /nfs/dbraw/zinc/24/32/76/1062243276.db2.gz AYRZYDIIYSNOGP-CYBMUJFWSA-N 0 3 318.486 4.378 20 0 DIADHN CC(C)[C@H]1N(Cc2ccc(OC(F)F)c(F)c2)CC12CCC2 ZINC001474552107 1062248871 /nfs/dbraw/zinc/24/88/71/1062248871.db2.gz ZQPSAVIVUGNADQ-OAHLLOKOSA-N 0 3 313.363 4.438 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1ncccc1Cl ZINC001459631218 1062265511 /nfs/dbraw/zinc/26/55/11/1062265511.db2.gz LEFRGZHPCAZGKE-GDBMZVCRSA-N 0 3 300.833 4.188 20 0 DIADHN Cc1cc(CN2CCC(OC3CCCCC3)CC2)cc(C)n1 ZINC001474564485 1062267740 /nfs/dbraw/zinc/26/77/40/1062267740.db2.gz JIVIMSFPUZOGKM-UHFFFAOYSA-N 0 3 302.462 4.012 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1ncccc1Cl ZINC001459631222 1062268322 /nfs/dbraw/zinc/26/83/22/1062268322.db2.gz LEFRGZHPCAZGKE-HOCLYGCPSA-N 0 3 300.833 4.188 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)nc1Cl ZINC001459636923 1062269399 /nfs/dbraw/zinc/26/93/99/1062269399.db2.gz SYIGEQFCBXQGAV-DOTOQJQBSA-N 0 3 314.860 4.497 20 0 DIADHN COC(=O)c1cccc(CN2CCc3ccccc3[C@@H]2C(C)C)c1 ZINC001459647173 1062275196 /nfs/dbraw/zinc/27/51/96/1062275196.db2.gz HXLAUIQEFYFLHB-FQEVSTJZSA-N 0 3 323.436 4.229 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC001459656738 1062278367 /nfs/dbraw/zinc/27/83/67/1062278367.db2.gz SRZGNZTZVDKYML-HNNXBMFYSA-N 0 3 321.436 4.078 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(Cl)cc2C)cc1 ZINC001459654747 1062281500 /nfs/dbraw/zinc/28/15/00/1062281500.db2.gz NRBBGPCZZBQBCH-GOSISDBHSA-N 0 3 315.844 4.474 20 0 DIADHN C[C@H]1CN(CCC2CCCCCC2)CCN1C(=O)OC(C)(C)C ZINC001459669199 1062286443 /nfs/dbraw/zinc/28/64/43/1062286443.db2.gz HYEOKKJJRXWKCJ-INIZCTEOSA-N 0 3 324.509 4.288 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1cc(O)cc(Cl)c1 ZINC001474589874 1062298804 /nfs/dbraw/zinc/29/88/04/1062298804.db2.gz DQPZUGMUVLHROS-UHFFFAOYSA-N 0 3 319.832 4.255 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1cc2ccccc2n1C ZINC001474590205 1062301489 /nfs/dbraw/zinc/30/14/89/1062301489.db2.gz PXYWTYMNNJPOBR-UHFFFAOYSA-N 0 3 322.452 4.388 20 0 DIADHN CCC[C@H](C)CCCN1CCN(Cc2csc(CC)n2)CC1 ZINC001459682135 1062302389 /nfs/dbraw/zinc/30/23/89/1062302389.db2.gz QTILZMLENRFNBY-INIZCTEOSA-N 0 3 323.550 4.040 20 0 DIADHN Cc1cc(C)nc(CN[C@@H]2C[C@H](OCc3ccccc3)C2(C)C)c1 ZINC000723664419 1062303582 /nfs/dbraw/zinc/30/35/82/1062303582.db2.gz HUJHTLKIANNSSK-UXHICEINSA-N 0 3 324.468 4.172 20 0 DIADHN C[C@]1(Cc2cccc(F)c2)CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC001474594613 1062308686 /nfs/dbraw/zinc/30/86/86/1062308686.db2.gz YAYXJFPFJROYBP-LJQANCHMSA-N 0 3 317.379 4.268 20 0 DIADHN C[C@]1(Cc2cccc(F)c2)CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC001474594613 1062308707 /nfs/dbraw/zinc/30/87/07/1062308707.db2.gz YAYXJFPFJROYBP-LJQANCHMSA-N 0 3 317.379 4.268 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc(C(F)F)ccc1F ZINC001468414605 1062315480 /nfs/dbraw/zinc/31/54/80/1062315480.db2.gz GXJGOBGQNGTRII-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN CC(C)C(CN1CC(O)(c2cccc3ccccc32)C1)C(C)C ZINC001474603682 1062318987 /nfs/dbraw/zinc/31/89/87/1062318987.db2.gz PHFRBYBRNLTOKH-UHFFFAOYSA-N 0 3 311.469 4.271 20 0 DIADHN C[C@H](c1nccc2ccccc21)N1C[C@@H](C)OC2(CCCC2)C1 ZINC001474613573 1062328655 /nfs/dbraw/zinc/32/86/55/1062328655.db2.gz GVPDSJNPNQZDHN-HZPDHXFCSA-N 0 3 310.441 4.329 20 0 DIADHN O[C@@H](CNC1(c2ccc(Cl)cc2)CCCCC1)C(F)(F)F ZINC001459717295 1062329313 /nfs/dbraw/zinc/32/93/13/1062329313.db2.gz WNPSYFZRTMJJBI-ZDUSSCGKSA-N 0 3 321.770 4.012 20 0 DIADHN C[C@@H](c1nccc2ccccc21)N1C[C@@H](C)OC2(CCCC2)C1 ZINC001474613571 1062331004 /nfs/dbraw/zinc/33/10/04/1062331004.db2.gz GVPDSJNPNQZDHN-CVEARBPZSA-N 0 3 310.441 4.329 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1Cc1cnnn1-c1ccccc1 ZINC001459720298 1062332820 /nfs/dbraw/zinc/33/28/20/1062332820.db2.gz HYUBWRXJYWUODB-KRWDZBQOSA-N 0 3 312.461 4.058 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C=CC1CCCCC1 ZINC001468497674 1062334424 /nfs/dbraw/zinc/33/44/24/1062334424.db2.gz FBNCTHUWKKTAKL-KHPPLWFESA-N 0 3 315.461 4.179 20 0 DIADHN COCC1(C2CCC2)CN([C@H]2C[C@H](C)Sc3sccc32)C1 ZINC001474617857 1062337670 /nfs/dbraw/zinc/33/76/70/1062337670.db2.gz IFULDYNAAJCTDB-WFASDCNBSA-N 0 3 323.527 4.422 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2cccc(OC3CC3)c2F)CS1 ZINC001474619138 1062339886 /nfs/dbraw/zinc/33/98/86/1062339886.db2.gz OEMLHRJDXGJYNR-CZUORRHYSA-N 0 3 323.477 4.377 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC(C4CCC4)CC3)n12 ZINC001474621397 1062343560 /nfs/dbraw/zinc/34/35/60/1062343560.db2.gz HAHZDUTXLKADHM-UHFFFAOYSA-N 0 3 303.475 4.025 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1nccc(OC)c1F ZINC001474632463 1062357870 /nfs/dbraw/zinc/35/78/70/1062357870.db2.gz PISMTUVRLYFWIK-INIZCTEOSA-N 0 3 316.420 4.120 20 0 DIADHN CC[C@H](CN1CCc2nc(C(C)(C)C)ncc2C1)c1ccccc1 ZINC001474638952 1062365297 /nfs/dbraw/zinc/36/52/97/1062365297.db2.gz SAECZAQWMPATQT-MRXNPFEDSA-N 0 3 323.484 4.326 20 0 DIADHN CC[C@H](C)[C@H](CNC(C)(C)c1nc2ccccc2s1)OC ZINC001474645739 1062369581 /nfs/dbraw/zinc/36/95/81/1062369581.db2.gz SWJKNWQRMFZZFZ-JSGCOSHPSA-N 0 3 306.475 4.182 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(F)cc1)CC12CCC(CC1)C2 ZINC001459760667 1062370301 /nfs/dbraw/zinc/37/03/01/1062370301.db2.gz NXMCKZQGFAKLOD-UHFFFAOYSA-N 0 3 318.436 4.055 20 0 DIADHN Fc1ccccc1C=C1CCN(C[C@@H]2CC3(CCC3)CO2)CC1 ZINC001459762214 1062371087 /nfs/dbraw/zinc/37/10/87/1062371087.db2.gz ITXNCINPOXJWQP-SFHVURJKSA-N 0 3 315.432 4.264 20 0 DIADHN Fc1cc(Cl)ccc1CNCCc1nsc2ccccc12 ZINC001474648377 1062377535 /nfs/dbraw/zinc/37/75/35/1062377535.db2.gz YRTQXNVBSNWOJY-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN CCN1CCN(Cc2ccc(Cl)c3ccccc23)C[C@H]1C ZINC001459768310 1062381468 /nfs/dbraw/zinc/38/14/68/1062381468.db2.gz HTOWORXAMMMLCJ-CQSZACIVSA-N 0 3 302.849 4.019 20 0 DIADHN c1c(CN2CCC[C@H]2CCC2CCCC2)nn2c1CCCC2 ZINC001459771688 1062384716 /nfs/dbraw/zinc/38/47/16/1062384716.db2.gz NDCVABHZWOOZFZ-SFHVURJKSA-N 0 3 301.478 4.154 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C[C@H]1C ZINC001459778765 1062393193 /nfs/dbraw/zinc/39/31/93/1062393193.db2.gz YMWUJNWEEUQMEX-UEKVPHQBSA-N 0 3 302.245 4.005 20 0 DIADHN CCOCCN1CCc2ccccc2[C@H](c2ccccc2)[C@@H]1C ZINC001459784886 1062397303 /nfs/dbraw/zinc/39/73/03/1062397303.db2.gz GREQKLVEXDDKSJ-UWJYYQICSA-N 0 3 309.453 4.102 20 0 DIADHN COc1ccc([C@H]2CN(C[C@H]3CCCC(C)(C)C3)CCO2)cc1 ZINC001474669374 1062397988 /nfs/dbraw/zinc/39/79/88/1062397988.db2.gz AWWCNRLVFUUUPE-QFBILLFUSA-N 0 3 317.473 4.285 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](c1nc2ccccc2[nH]1)C1CCCCC1 ZINC001474676816 1062408279 /nfs/dbraw/zinc/40/82/79/1062408279.db2.gz WLUCEPDQYRALNV-HBUWYVDXSA-N 0 3 315.461 4.197 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC001474680371 1062409927 /nfs/dbraw/zinc/40/99/27/1062409927.db2.gz WDFORMDPADUZCH-QXAKKESOSA-N 0 3 315.819 4.422 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001474680370 1062410538 /nfs/dbraw/zinc/41/05/38/1062410538.db2.gz WDFORMDPADUZCH-QRQLOZEOSA-N 0 3 315.819 4.422 20 0 DIADHN C[C@@H](CNCc1noc2cc(F)ccc12)c1cc(F)cc(F)c1 ZINC001474679911 1062410661 /nfs/dbraw/zinc/41/06/61/1062410661.db2.gz KUXZJUILKOPCCT-JTQLQIEISA-N 0 3 320.314 4.138 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001474680367 1062411583 /nfs/dbraw/zinc/41/15/83/1062411583.db2.gz WDFORMDPADUZCH-BHIYHBOVSA-N 0 3 315.819 4.422 20 0 DIADHN Clc1ccccc1O[C@@H]1CCN(CCCc2ccsc2)C1 ZINC001459810674 1062415665 /nfs/dbraw/zinc/41/56/65/1062415665.db2.gz SPYQPYDDCWEXIT-OAHLLOKOSA-N 0 3 321.873 4.487 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2c(C)nsc2C)c1 ZINC001459813035 1062418104 /nfs/dbraw/zinc/41/81/04/1062418104.db2.gz HUBQLHCRBZFXKL-XIKOKIGWSA-N 0 3 316.470 4.352 20 0 DIADHN C[C@H]1CN(Cc2cnc3c(F)cccc3c2)Cc2ccccc21 ZINC001474697044 1062423820 /nfs/dbraw/zinc/42/38/20/1062423820.db2.gz RHEVNJXUKMMMJR-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN c1ccc([C@H](NCc2ccc(-c3ccccn3)nc2)C2CC2)cc1 ZINC001459823968 1062428411 /nfs/dbraw/zinc/42/84/11/1062428411.db2.gz FXDSCWPOOIMGJP-NRFANRHFSA-N 0 3 315.420 4.385 20 0 DIADHN CCOC(=O)/C=C/CN[C@H](CCc1ccccc1)c1ccccc1 ZINC001459845783 1062443401 /nfs/dbraw/zinc/44/34/01/1062443401.db2.gz VAOQQJBVBBCSFY-CBHXRGPZSA-N 0 3 323.436 4.069 20 0 DIADHN CC[C@H](NC[C@@H](O)[C@H](C)c1ccccc1)c1ccc(F)cc1F ZINC001459844631 1062444418 /nfs/dbraw/zinc/44/44/18/1062444418.db2.gz OAPATBOWZVITTN-ZNZDAUKMSA-N 0 3 319.395 4.170 20 0 DIADHN COC1CCC(N2CCc3ccc(C(F)(F)F)cc3C2)CC1 ZINC001255461117 1062451485 /nfs/dbraw/zinc/45/14/85/1062451485.db2.gz GKFLRKRLZFWCGU-UHFFFAOYSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1ccc(N2CC[C@@H](N[C@@H](C)c3cccc(C)c3C)C2=O)cc1 ZINC001459859596 1062455635 /nfs/dbraw/zinc/45/56/35/1062455635.db2.gz CYHWAIUIFNIRMC-FXAWDEMLSA-N 0 3 322.452 4.068 20 0 DIADHN CC(C)c1ccc([C@@H](NCC(=O)NCC(C)(C)C)C(C)C)cc1 ZINC001459867916 1062460323 /nfs/dbraw/zinc/46/03/23/1062460323.db2.gz YQUNEJQHRGUJMZ-IBGZPJMESA-N 0 3 318.505 4.259 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@H](C2CCCC2)C1 ZINC001474752941 1062463104 /nfs/dbraw/zinc/46/31/04/1062463104.db2.gz QABGKPHTGULPDD-AWEZNQCLSA-N 0 3 307.869 4.343 20 0 DIADHN COC(=O)/C(C)=C\CN[C@@H](CC1CCCCC1)c1ccccc1 ZINC001459877248 1062467297 /nfs/dbraw/zinc/46/72/97/1062467297.db2.gz MVKBMQCGFKVQBR-AGWAZZAUSA-N 0 3 315.457 4.407 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001459887813 1062472426 /nfs/dbraw/zinc/47/24/26/1062472426.db2.gz BCUCITIPOPHRDV-CYBMUJFWSA-N 0 3 319.351 4.476 20 0 DIADHN COC/C(C)=C/CN[C@@H](Cc1ccccc1)c1ccccc1F ZINC001459885553 1062473025 /nfs/dbraw/zinc/47/30/25/1062473025.db2.gz RRHDNVCDQIOARI-GRFAERAESA-N 0 3 313.416 4.292 20 0 DIADHN FC(F)(F)C1CCC(N2CCC[C@H](C(F)(F)F)C2)CC1 ZINC001255518150 1062473802 /nfs/dbraw/zinc/47/38/02/1062473802.db2.gz AEYBAHQNLIKVSS-YVNMAJEFSA-N 0 3 303.290 4.382 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H]1CCOC(C)(C)C1 ZINC001255529424 1062475749 /nfs/dbraw/zinc/47/57/49/1062475749.db2.gz IOBASDUVKRTZHG-LSDHHAIUSA-N 0 3 315.461 4.212 20 0 DIADHN CN(Cc1cccc(Cl)c1Cl)[C@H]1CCOC(C)(C)C1 ZINC001255548537 1062478120 /nfs/dbraw/zinc/47/81/20/1062478120.db2.gz DWPYXIVSKKOVMT-LBPRGKRZSA-N 0 3 302.245 4.383 20 0 DIADHN CC1(C)C[C@H](N2CCc3ccc(C(F)(F)F)cc3C2)CCO1 ZINC001255549747 1062479723 /nfs/dbraw/zinc/47/97/23/1062479723.db2.gz OJVNUQWTVDJTJR-OAHLLOKOSA-N 0 3 313.363 4.021 20 0 DIADHN COC[C@@H](C)N1CC=C(c2cc(Cl)cc(Cl)c2)CC1 ZINC001255568403 1062482597 /nfs/dbraw/zinc/48/25/97/1062482597.db2.gz UFEHOEUPOUQICI-LLVKDONJSA-N 0 3 300.229 4.117 20 0 DIADHN CCc1nc([C@H](C)NCC[C@H]2CCc3ccccc32)cs1 ZINC001459900245 1062483569 /nfs/dbraw/zinc/48/35/69/1062483569.db2.gz JQASJFZPHSEJJB-DZGCQCFKSA-N 0 3 300.471 4.476 20 0 DIADHN COC[C@@H](C)N1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC001255578094 1062484832 /nfs/dbraw/zinc/48/48/32/1062484832.db2.gz MTTZZTRJXDRQEV-DOMZBBRYSA-N 0 3 301.352 4.267 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCOCC(C)C)cc1 ZINC001459908730 1062490092 /nfs/dbraw/zinc/49/00/92/1062490092.db2.gz VMWGNXNZFFNNKJ-OAHLLOKOSA-N 0 3 303.368 4.251 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H](c1ccccc1F)C1CCOCC1 ZINC001255636304 1062491714 /nfs/dbraw/zinc/49/17/14/1062491714.db2.gz ALGSHKRJWWETEH-IAQYHMDHSA-N 0 3 319.342 4.224 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 ZINC001255633793 1062492408 /nfs/dbraw/zinc/49/24/08/1062492408.db2.gz OYJRDHFPNZZHCX-RRQGHBQHSA-N 0 3 323.358 4.392 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H](c1ccccc1F)C1CCOCC1 ZINC001255636306 1062492496 /nfs/dbraw/zinc/49/24/96/1062492496.db2.gz ALGSHKRJWWETEH-XHDPSFHLSA-N 0 3 319.342 4.224 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCCCC1)c1nc(-c2cccnc2)no1 ZINC001459923189 1062497813 /nfs/dbraw/zinc/49/78/13/1062497813.db2.gz TUHHYIFWSWEUEE-ZIAGYGMSSA-N 0 3 314.433 4.141 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC(Oc2cccc(F)c2)CC1 ZINC001255658495 1062500319 /nfs/dbraw/zinc/50/03/19/1062500319.db2.gz QPURJVSCVIPWIM-LLVKDONJSA-N 0 3 305.315 4.010 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC(OCc2ccccc2F)CC1 ZINC001255658507 1062501402 /nfs/dbraw/zinc/50/14/02/1062501402.db2.gz QYCACDDZZUGNTO-LBPRGKRZSA-N 0 3 319.342 4.148 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nccn3-c3ccccc3)C2)cc1 ZINC001459932561 1062505262 /nfs/dbraw/zinc/50/52/62/1062505262.db2.gz KUSRCVZFLDNWNO-IBGZPJMESA-N 0 3 317.436 4.170 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCOCC1CCCC1)[C@H]2C ZINC001459933420 1062506565 /nfs/dbraw/zinc/50/65/65/1062506565.db2.gz RKBUKQMPOPNASZ-CVEARBPZSA-N 0 3 317.473 4.210 20 0 DIADHN O=C(CN[C@H]1CCC[C@H](C(F)(F)F)C1)c1ccc(Cl)cc1 ZINC001255688827 1062517120 /nfs/dbraw/zinc/51/71/20/1062517120.db2.gz FDUMTENCWADRHK-AAEUAGOBSA-N 0 3 319.754 4.233 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)c1F ZINC001255689156 1062518364 /nfs/dbraw/zinc/51/83/64/1062518364.db2.gz JJFFONDLWZITQK-VHSXEESVSA-N 0 3 323.305 4.184 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001459949037 1062519062 /nfs/dbraw/zinc/51/90/62/1062519062.db2.gz CBRXSBOAZGVSGQ-MAUKXSAKSA-N 0 3 316.489 4.433 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255689181 1062519122 /nfs/dbraw/zinc/51/91/22/1062519122.db2.gz JRKWLCMUBYBAQO-VHSXEESVSA-N 0 3 323.305 4.184 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3CCCC[C@H](C)C3)n2)cc1F ZINC001459962997 1062529162 /nfs/dbraw/zinc/52/91/62/1062529162.db2.gz SPCFJTVGEUBSGQ-JSGCOSHPSA-N 0 3 317.408 4.367 20 0 DIADHN COc1ccc2c(c1)CN([C@H]1CCC[C@@H](C(F)(F)F)C1)CC2 ZINC001255704386 1062529560 /nfs/dbraw/zinc/52/95/60/1062529560.db2.gz FHINAIDIYQOHJZ-CABCVRRESA-N 0 3 313.363 4.174 20 0 DIADHN CCC[C@H](C)CCCN[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC001459979953 1062539962 /nfs/dbraw/zinc/53/99/62/1062539962.db2.gz NHGUKEOEFBNOSK-KXBFYZLASA-N 0 3 317.452 4.455 20 0 DIADHN CCSc1ccccc1CN1CCC[C@H](OCC2CC2)C1 ZINC001459983377 1062545451 /nfs/dbraw/zinc/54/54/51/1062545451.db2.gz CYHWOXDFKMESTM-KRWDZBQOSA-N 0 3 305.487 4.190 20 0 DIADHN C[C@@H]1C(=O)CCN([C@@H]2CCC[C@H](C(F)(F)F)C2)C12CCCC2 ZINC001255726833 1062548141 /nfs/dbraw/zinc/54/81/41/1062548141.db2.gz SILPQAWDRPWPDZ-HZSPNIEDSA-N 0 3 317.395 4.331 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N(C)Cc1cccc(O)c1 ZINC001255736563 1062559892 /nfs/dbraw/zinc/55/98/92/1062559892.db2.gz ACVROKOHWFRCMQ-CYBMUJFWSA-N 0 3 305.418 4.144 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N(C)Cc1cccc(O)c1 ZINC001255736564 1062562230 /nfs/dbraw/zinc/56/22/30/1062562230.db2.gz ACVROKOHWFRCMQ-ZDUSSCGKSA-N 0 3 305.418 4.144 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CCc2cc(O)ccc2C1 ZINC001255743891 1062564132 /nfs/dbraw/zinc/56/41/32/1062564132.db2.gz XIDUIDCRTRZWLH-ZDUSSCGKSA-N 0 3 317.429 4.070 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CC[C@@H](C(F)(F)F)C1 ZINC001255745492 1062569322 /nfs/dbraw/zinc/56/93/22/1062569322.db2.gz VCTOAIBCZNBNDV-GHMZBOCLSA-N 0 3 307.356 4.191 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@H](C(C)(C)C)C1 ZINC001460023201 1062579162 /nfs/dbraw/zinc/57/91/62/1062579162.db2.gz PTSMUBWYPOUNPS-AWEZNQCLSA-N 0 3 322.880 4.345 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CCC[C@@H]2c2cnccn2)cc1 ZINC001255767788 1062588025 /nfs/dbraw/zinc/58/80/25/1062588025.db2.gz QRHXFKBKILODIK-MAUKXSAKSA-N 0 3 313.470 4.103 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CCN(C3CCCC3)CC2)cc1 ZINC001255767482 1062589509 /nfs/dbraw/zinc/58/95/09/1062589509.db2.gz MPRAQVZFMBLXGK-KRWDZBQOSA-N 0 3 318.530 4.036 20 0 DIADHN COc1cc(F)c(CN[C@H](C)Cc2ccc(C)cc2)c(F)c1 ZINC001255776046 1062600688 /nfs/dbraw/zinc/60/06/88/1062600688.db2.gz DMQVOCWLSVDJJS-CYBMUJFWSA-N 0 3 305.368 4.003 20 0 DIADHN CC[C@@H](C(=O)N1CCN(C(C)(C)CC)CC1)C1CCC(C)CC1 ZINC001469659174 1062600649 /nfs/dbraw/zinc/60/06/49/1062600649.db2.gz QUOZFWDQZZEZKU-DAWZGUTISA-N 0 3 322.537 4.172 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nccc(Cl)c2Cl)cc1 ZINC001255781895 1062603594 /nfs/dbraw/zinc/60/35/94/1062603594.db2.gz STNCSPFSHRCHIT-GFCCVEGCSA-N 0 3 309.240 4.418 20 0 DIADHN CCN(CCC1CCCCCC1)CC(=O)N1CCCCCC1 ZINC001460075129 1062618129 /nfs/dbraw/zinc/61/81/29/1062618129.db2.gz ZFMRLKHVCVRYQR-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1COc2cc(Cl)ccc21 ZINC001255837692 1062620621 /nfs/dbraw/zinc/62/06/21/1062620621.db2.gz HAGMYMXKOMPYMC-BZNIZROVSA-N 0 3 305.780 4.133 20 0 DIADHN COC(=O)c1cc(CN2C[C@@H](C)C[C@@H]2c2ccccc2)cs1 ZINC001460080603 1062621354 /nfs/dbraw/zinc/62/13/54/1062621354.db2.gz YJPSCCBJOOCBPU-XJKSGUPXSA-N 0 3 315.438 4.118 20 0 DIADHN COC(=O)c1cc(CN2C[C@H](C)C[C@H]2c2ccccc2)cs1 ZINC001460080598 1062621799 /nfs/dbraw/zinc/62/17/99/1062621799.db2.gz YJPSCCBJOOCBPU-CJNGLKHVSA-N 0 3 315.438 4.118 20 0 DIADHN CCOc1ccc(CN2C[C@@H](C)CC[C@H]2c2ccccc2)nc1 ZINC001460079107 1062622036 /nfs/dbraw/zinc/62/20/36/1062622036.db2.gz AAXIIBIIVLFXCN-JXFKEZNVSA-N 0 3 310.441 4.454 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001255840566 1062628267 /nfs/dbraw/zinc/62/82/67/1062628267.db2.gz FZVRQTLSQCBRDL-BDJLRTHQSA-N 0 3 321.342 4.148 20 0 DIADHN Cc1cc(C)nc(CN2CCC(c3ccc(F)c(F)c3)CC2)c1 ZINC001460095309 1062630296 /nfs/dbraw/zinc/63/02/96/1062630296.db2.gz QAKASUHYSSFNRQ-UHFFFAOYSA-N 0 3 316.395 4.356 20 0 DIADHN Fc1ccc(CN(CCc2cscn2)CC2CC2)c(Cl)c1 ZINC001460097581 1062633692 /nfs/dbraw/zinc/63/36/92/1062633692.db2.gz GWCCIPMKCPPFNH-UHFFFAOYSA-N 0 3 324.852 4.390 20 0 DIADHN c1ccc2ncc(CN3CCCc4ccccc4CC3)cc2c1 ZINC001460106542 1062642892 /nfs/dbraw/zinc/64/28/92/1062642892.db2.gz AIYDCQBUXQTVFN-UHFFFAOYSA-N 0 3 302.421 4.226 20 0 DIADHN Fc1cccc(Br)c1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001460119559 1062649485 /nfs/dbraw/zinc/64/94/85/1062649485.db2.gz PGCLRTMAVXMBCS-TXEJJXNPSA-N 0 3 312.226 4.210 20 0 DIADHN O=C(CNC1CCCCCC1)c1ccc(F)c(C(F)(F)F)c1 ZINC001255883558 1062655782 /nfs/dbraw/zinc/65/57/82/1062655782.db2.gz SZMWIJQGRBWMMP-UHFFFAOYSA-N 0 3 317.326 4.340 20 0 DIADHN COc1cccc(-c2nc(CNC3CCCCCC3)co2)c1 ZINC001255886788 1062655966 /nfs/dbraw/zinc/65/59/66/1062655966.db2.gz CZWHBTDOCRBHFD-UHFFFAOYSA-N 0 3 300.402 4.163 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2ccc(F)c(C)c2)ccc1C ZINC001460140056 1062657205 /nfs/dbraw/zinc/65/72/05/1062657205.db2.gz YSWPUDDJKKKYBX-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN CCCC[C@@H](C)N(CCCC)CC(=O)Nc1ncccc1C ZINC001460147452 1062663939 /nfs/dbraw/zinc/66/39/39/1062663939.db2.gz VPGVQRQFEKVNSV-MRXNPFEDSA-N 0 3 305.466 4.009 20 0 DIADHN CC(C)[N@H+](Cc1cc(=O)[n-]c(C2CC2)n1)[C@H](C)c1ccccc1 ZINC001460178132 1062681642 /nfs/dbraw/zinc/68/16/42/1062681642.db2.gz YBUCWAGZONMBPZ-CQSZACIVSA-N 0 3 311.429 4.031 20 0 DIADHN CC(C)[N@@H+](Cc1cc(=O)[n-]c(C2CC2)n1)[C@H](C)c1ccccc1 ZINC001460178132 1062681651 /nfs/dbraw/zinc/68/16/51/1062681651.db2.gz YBUCWAGZONMBPZ-CQSZACIVSA-N 0 3 311.429 4.031 20 0 DIADHN C[C@@H](CNCc1nc(-c2ccccc2)c[nH]1)c1cccc(F)c1 ZINC001256042853 1062686171 /nfs/dbraw/zinc/68/61/71/1062686171.db2.gz RJXNHUSQOSQBRW-AWEZNQCLSA-N 0 3 309.388 4.109 20 0 DIADHN CCC[C@@]1(CO)CCN(CCc2c(Cl)cccc2Cl)C1 ZINC001460186578 1062687854 /nfs/dbraw/zinc/68/78/54/1062687854.db2.gz QGHQSAKWGQGFNO-MRXNPFEDSA-N 0 3 316.272 4.020 20 0 DIADHN CCc1ccc(CN(C)[C@H]2C[C@H](Oc3ccccc3)C2(C)C)nc1 ZINC001460192809 1062691443 /nfs/dbraw/zinc/69/14/43/1062691443.db2.gz ACQHCUOGKCZHFK-PMACEKPBSA-N 0 3 324.468 4.322 20 0 DIADHN Cc1ccnc(CN(C)[C@@H]2C[C@H](Oc3ccccc3)C2(C)C)c1 ZINC001460199090 1062697108 /nfs/dbraw/zinc/69/71/08/1062697108.db2.gz WOICGBPVNUKYAZ-MOPGFXCFSA-N 0 3 310.441 4.068 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)CN2[C@@H](C)CC[C@@H]2C)cc1 ZINC001470082591 1062699830 /nfs/dbraw/zinc/69/98/30/1062699830.db2.gz KXAGAAAPYIZOQK-HOTGVXAUSA-N 0 3 316.489 4.034 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1ccc2ccc(Cl)cc2n1 ZINC001460223969 1062706663 /nfs/dbraw/zinc/70/66/63/1062706663.db2.gz SZVKZZUGTIXRMY-QGZVFWFLSA-N 0 3 318.848 4.279 20 0 DIADHN CCC[C@H](N[C@@H](C)c1nc(-c2cccs2)no1)C1CCC1 ZINC001460224571 1062709512 /nfs/dbraw/zinc/70/95/12/1062709512.db2.gz NGRMIHGDFAAHCG-AAEUAGOBSA-N 0 3 305.447 4.418 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCC2CCOCC2)c(F)c1 ZINC001460237368 1062716667 /nfs/dbraw/zinc/71/66/67/1062716667.db2.gz AMZJERYQSUUCHT-SFHVURJKSA-N 0 3 309.400 4.309 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@@H](C2CCC2)C1 ZINC001460238389 1062717304 /nfs/dbraw/zinc/71/73/04/1062717304.db2.gz DGAJFEWMKNHGCA-DZGCQCFKSA-N 0 3 320.864 4.097 20 0 DIADHN C[C@@](Cc1ccccc1)(NCc1cncc(F)c1)c1ccccc1 ZINC001460238608 1062718133 /nfs/dbraw/zinc/71/81/33/1062718133.db2.gz DWRHFTCUZVUYMS-NRFANRHFSA-N 0 3 320.411 4.468 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1CCCC(=O)OC(C)(C)C ZINC001460237375 1062718973 /nfs/dbraw/zinc/71/89/73/1062718973.db2.gz ATTTVUJQHMQWFP-QGZVFWFLSA-N 0 3 321.436 4.393 20 0 DIADHN C[C@@H]1CN([C@@H]2CCc3ccc(F)cc32)CCN1Cc1ccccc1 ZINC001460242929 1062720143 /nfs/dbraw/zinc/72/01/43/1062720143.db2.gz UZWLZZAFJZWPHS-IIBYNOLFSA-N 0 3 324.443 4.019 20 0 DIADHN C[C@@H]1CN([C@H]2CCc3ccc(F)cc32)CCN1Cc1ccccc1 ZINC001460242926 1062721244 /nfs/dbraw/zinc/72/12/44/1062721244.db2.gz UZWLZZAFJZWPHS-IERDGZPVSA-N 0 3 324.443 4.019 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1CC1(Br)CC1 ZINC001460241546 1062721733 /nfs/dbraw/zinc/72/17/33/1062721733.db2.gz XYMIXAGFJWPZNR-CQSZACIVSA-N 0 3 312.226 4.199 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2c(F)cccc2F)c1 ZINC001256152681 1062731897 /nfs/dbraw/zinc/73/18/97/1062731897.db2.gz XELRLQKUQBZPHA-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN COc1cccc(C[C@@H](C)N2CCc3c(Cl)cccc3C2)c1 ZINC001256167469 1062738183 /nfs/dbraw/zinc/73/81/83/1062738183.db2.gz MEATZPXWYKSCFD-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2ccn(-c3ccc(Cl)cc3)n2)[C@H]1C ZINC001460262845 1062739032 /nfs/dbraw/zinc/73/90/32/1062739032.db2.gz FZCNVXRVDLUKAG-MCIONIFRSA-N 0 3 303.837 4.002 20 0 DIADHN COc1cccc(C[C@H](C)N(C)Cc2cccc(F)c2F)c1 ZINC001256168537 1062740512 /nfs/dbraw/zinc/74/05/12/1062740512.db2.gz GFSYXEMVBRHZIJ-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN COc1cccc(C[C@@H](C)N2CCc3cccc(Cl)c3C2)c1 ZINC001256170428 1062740554 /nfs/dbraw/zinc/74/05/54/1062740554.db2.gz VDMJXZARMAFOIO-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)NCOc1ccccc1 ZINC001256181966 1062742941 /nfs/dbraw/zinc/74/29/41/1062742941.db2.gz ZNTZLRHGAHXVHA-ZDUSSCGKSA-N 0 3 320.230 4.006 20 0 DIADHN COc1ccc(CN2CC[C@@H]3CCCC[C@@H]32)c(Br)c1 ZINC001460299728 1062767909 /nfs/dbraw/zinc/76/79/09/1062767909.db2.gz IGBQGMNCZRUHNI-LRDDRELGSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@H](NCc1c(F)cc(Br)cc1F)C1CCC1 ZINC000475096009 1062771621 /nfs/dbraw/zinc/77/16/21/1062771621.db2.gz UWJYRPZDKPAIDD-QMMMGPOBSA-N 0 3 304.178 4.006 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccccc1N1CCCC1 ZINC001256332955 1062779242 /nfs/dbraw/zinc/77/92/42/1062779242.db2.gz YJCYYCDWBVYBIN-DZGCQCFKSA-N 0 3 312.379 4.060 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)CCC(C)C)co2)cc1 ZINC001256357712 1062781861 /nfs/dbraw/zinc/78/18/61/1062781861.db2.gz LECAEIYZQURWTN-AWEZNQCLSA-N 0 3 302.418 4.265 20 0 DIADHN CC(C)CC[C@H](C)NCc1cn(Cc2ccc(Cl)cc2)cn1 ZINC001256358182 1062784279 /nfs/dbraw/zinc/78/42/79/1062784279.db2.gz CVYBJWDIVVNLOC-HNNXBMFYSA-N 0 3 319.880 4.499 20 0 DIADHN CC(C)CC[C@H](C)NCc1cn(Cc2cccc(Cl)c2)cn1 ZINC001256359954 1062785881 /nfs/dbraw/zinc/78/58/81/1062785881.db2.gz ASZJMYGJGLIDTL-HNNXBMFYSA-N 0 3 319.880 4.499 20 0 DIADHN CC(C)CC[C@@H](C)NCc1cn(Cc2ccccc2Cl)cn1 ZINC001256361514 1062789302 /nfs/dbraw/zinc/78/93/02/1062789302.db2.gz SISCDNRBYYTGDD-OAHLLOKOSA-N 0 3 319.880 4.499 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@H]1c1cc(F)ccc1F ZINC001256416317 1062809751 /nfs/dbraw/zinc/80/97/51/1062809751.db2.gz AEXYJMGFTKHZOR-UGSOOPFHSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccccn1)N(Cc1ccccc1)Cc1ccccn1 ZINC001256409868 1062811464 /nfs/dbraw/zinc/81/14/64/1062811464.db2.gz GLHWMZPICFLSDM-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN CC[C@H](C)[C@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001460402916 1062834581 /nfs/dbraw/zinc/83/45/81/1062834581.db2.gz CNYFGYIIJAOPKW-QWRGUYRKSA-N 0 3 313.367 4.448 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001460402908 1062836904 /nfs/dbraw/zinc/83/69/04/1062836904.db2.gz CNYFGYIIJAOPKW-GHMZBOCLSA-N 0 3 313.367 4.448 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC3(CCCC3)CC2)c(C)c1 ZINC001460410976 1062842445 /nfs/dbraw/zinc/84/24/45/1062842445.db2.gz XSTOBJFYNNNTBT-UHFFFAOYSA-N 0 3 314.473 4.288 20 0 DIADHN C=C/C=C\CCN1Cc2ccccc2[C@H](C(=O)OC(C)(C)C)C1 ZINC001460417216 1062848016 /nfs/dbraw/zinc/84/80/16/1062848016.db2.gz LFSZXALWZRBAIM-HPFMTEOYSA-N 0 3 313.441 4.060 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2cc(O)ccc2Cl)C12CCC2 ZINC001460426067 1062849649 /nfs/dbraw/zinc/84/96/49/1062849649.db2.gz DNDDRONKGAGGLY-JKSUJKDBSA-N 0 3 323.864 4.262 20 0 DIADHN CC1(C)CO[C@H](c2cccc(F)c2)CN1C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001460444401 1062860296 /nfs/dbraw/zinc/86/02/96/1062860296.db2.gz QKEKBEBEEQPIKQ-RRIFGTCXSA-N 0 3 317.448 4.414 20 0 DIADHN CCc1ccc(NC(=O)CN2CC(C)(C)CCC2(C)C)cc1 ZINC001460461111 1062865410 /nfs/dbraw/zinc/86/54/10/1062865410.db2.gz IGDFKBKVLLMLQT-UHFFFAOYSA-N 0 3 302.462 4.088 20 0 DIADHN Cc1nc(CN2CCCC[C@H]2CC2CCC2)nc2ccccc12 ZINC001460484874 1062875815 /nfs/dbraw/zinc/87/58/15/1062875815.db2.gz WCRKEADMFUHESE-KRWDZBQOSA-N 0 3 309.457 4.483 20 0 DIADHN Cc1cc2oc(=O)cc(CN3CC[C@H]4C[C@H]4C3)c2cc1C(C)C ZINC001460521977 1062898065 /nfs/dbraw/zinc/89/80/65/1062898065.db2.gz YDRLMTZNQXGKPM-GJZGRUSLSA-N 0 3 311.425 4.067 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccccc1C)C(=O)N(C)c1ccc(C)cc1 ZINC001460562209 1062911414 /nfs/dbraw/zinc/91/14/14/1062911414.db2.gz XYGQMXKYKQLNPD-YLJYHZDGSA-N 0 3 324.468 4.396 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN(C)C[C@H](C)C1CCCCC1 ZINC001460600344 1062924545 /nfs/dbraw/zinc/92/45/45/1062924545.db2.gz RLIJBMHLUSJZKH-DLBZAZTESA-N 0 3 310.526 4.028 20 0 DIADHN C[C@@H](CN(C)CC(=O)Nc1cccc(Cl)c1)C1CCCCC1 ZINC001460594732 1062925624 /nfs/dbraw/zinc/92/56/24/1062925624.db2.gz BBVIBYYRYPLGMX-AWEZNQCLSA-N 0 3 322.880 4.427 20 0 DIADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1cc(Cl)cc(Cl)c1F ZINC001460622315 1062940556 /nfs/dbraw/zinc/94/05/56/1062940556.db2.gz NIKJCJYOUDBTNM-PJPCKVBYSA-N 0 3 306.208 4.034 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2ccc(F)c(Cl)c2)on1 ZINC001460637476 1062952575 /nfs/dbraw/zinc/95/25/75/1062952575.db2.gz MRWBFMFBWJTGAP-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN C[C@H](Cc1ccncc1)N(Cc1ccccc1)Cc1ccccn1 ZINC001256735359 1062955670 /nfs/dbraw/zinc/95/56/70/1062955670.db2.gz GQJQOZYUQAUMHO-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@H](Cc1ccncc1)N(Cc1ccccc1)Cc1cccnc1 ZINC001256740183 1062960902 /nfs/dbraw/zinc/96/09/02/1062960902.db2.gz FADDLWKSUPNGMK-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001256747644 1062964295 /nfs/dbraw/zinc/96/42/95/1062964295.db2.gz HFVZQLJBQTVXHS-CYBMUJFWSA-N 0 3 320.358 4.090 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC001460654507 1062964698 /nfs/dbraw/zinc/96/46/98/1062964698.db2.gz OBGXLIPXSDCBRP-WBVHZDCISA-N 0 3 305.487 4.004 20 0 DIADHN CC(C)c1ccc(CN2CCc3nccc(N)c3C2)c(C(C)C)c1 ZINC001460662238 1062966696 /nfs/dbraw/zinc/96/66/96/1062966696.db2.gz HJZBTPYYHJVNCF-UHFFFAOYSA-N 0 3 323.484 4.469 20 0 DIADHN Cc1c(Cl)ccc2c1CN(CCCc1cccnc1)CC2 ZINC001460664438 1062967456 /nfs/dbraw/zinc/96/74/56/1062967456.db2.gz CUQBDOFKUWPODK-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@H]1CCO[C@H](c2ccccc2)C1 ZINC001256785968 1062977598 /nfs/dbraw/zinc/97/75/98/1062977598.db2.gz MOYJTRLODCZGIA-QOKNQOGYSA-N 0 3 321.424 4.149 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCO[C@H](c3ccccc3)C2)c(F)c1 ZINC001256788979 1062978941 /nfs/dbraw/zinc/97/89/41/1062978941.db2.gz CWGIVUXOZGPSFH-KSSFIOAISA-N 0 3 321.342 4.114 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@@]2(C)C2CCCCC2)cc1 ZINC001460676899 1062981212 /nfs/dbraw/zinc/98/12/12/1062981212.db2.gz SZODOCZCUJDGLY-FQEVSTJZSA-N 0 3 314.473 4.232 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@@]2(C)c2ccccc2)s1 ZINC001460699625 1062995151 /nfs/dbraw/zinc/99/51/51/1062995151.db2.gz JPWDKXDBNNAXDP-SFHVURJKSA-N 0 3 315.438 4.046 20 0 DIADHN C[C@@H](Cc1ccccc1Br)NCOc1ccccc1 ZINC001256833246 1063006596 /nfs/dbraw/zinc/00/65/96/1063006596.db2.gz KDBPZJLLNOVUEZ-ZDUSSCGKSA-N 0 3 320.230 4.006 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC[C@@H](CF)C1 ZINC001256845049 1063013457 /nfs/dbraw/zinc/01/34/57/1063013457.db2.gz UDIMFIJTBKZNKN-STQMWFEESA-N 0 3 314.242 4.062 20 0 DIADHN C[C@H](CN(C)C(=O)c1cccc(CN2CCCC2)c1)C(C)(C)C ZINC001471740263 1063018754 /nfs/dbraw/zinc/01/87/54/1063018754.db2.gz NQSNIUYGXMVNBR-MRXNPFEDSA-N 0 3 316.489 4.037 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCN(CCC=C(C)C)CC1 ZINC001460731491 1063023192 /nfs/dbraw/zinc/02/31/92/1063023192.db2.gz ORRCZNLSZYNCQT-IBGZPJMESA-N 0 3 304.453 4.251 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N[C@H](CC)c1cccc2ccccc21 ZINC001471916122 1063032518 /nfs/dbraw/zinc/03/25/18/1063032518.db2.gz BKQIMROZRLVVTQ-UXHICEINSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3c(F)cccc3F)C2)cc1C ZINC001460746104 1063037757 /nfs/dbraw/zinc/03/77/57/1063037757.db2.gz FQUIGJFXVZPSRR-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@@H](CN(C)CC(=O)Nc1ccc2ccccc2c1)C(C)(C)C ZINC001460753647 1063042120 /nfs/dbraw/zinc/04/21/20/1063042120.db2.gz YNOJDTMOESJLFP-HNNXBMFYSA-N 0 3 312.457 4.392 20 0 DIADHN OC1(C[C@H]2CCCCN2Cc2cc(F)ccc2F)CCCCC1 ZINC001460760316 1063043065 /nfs/dbraw/zinc/04/30/65/1063043065.db2.gz LAUSMSSLTOGDCU-QGZVFWFLSA-N 0 3 323.427 4.405 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(C[C@@H]2CCCC3(CCC3)O2)C1 ZINC001460765951 1063046523 /nfs/dbraw/zinc/04/65/23/1063046523.db2.gz OUTMUDMLGMHFCY-CABCVRRESA-N 0 3 321.411 4.246 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3cccc4cccc2c43)nc1 ZINC001460815607 1063075590 /nfs/dbraw/zinc/07/55/90/1063075590.db2.gz JOUPZCUDNGKARO-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN Cc1cnc(CN2CC[C@@H](c3ccc(Cl)cc3)C2(C)C)o1 ZINC001460811575 1063076201 /nfs/dbraw/zinc/07/62/01/1063076201.db2.gz ADKPDQGPZJIAHK-HNNXBMFYSA-N 0 3 304.821 4.405 20 0 DIADHN C[C@@]12CSC[C@@H]1CN(CCc1cc(Cl)cc(Cl)c1)C2 ZINC001460898431 1063123801 /nfs/dbraw/zinc/12/38/01/1063123801.db2.gz GHNXWQGTQHVWQF-SWLSCSKDSA-N 0 3 316.297 4.221 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@@H]2c2cccc(Cl)c2)C1 ZINC001257072857 1063099615 /nfs/dbraw/zinc/09/96/15/1063099615.db2.gz XOAPNNXGZVRQDO-DJIMGWMZSA-N 0 3 321.848 4.209 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N(C)[C@H](C)c2ccccc2Cl)C1 ZINC001257071314 1063100096 /nfs/dbraw/zinc/10/00/96/1063100096.db2.gz QVPJOFSYIQDQMR-MCIONIFRSA-N 0 3 309.837 4.065 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCc2ccsc2C1 ZINC001460887357 1063114415 /nfs/dbraw/zinc/11/44/15/1063114415.db2.gz GJDVPKMJWOJXSY-UHFFFAOYSA-N 0 3 301.455 4.192 20 0 DIADHN FC(F)(F)CC1C[C@H]2CC[C@@H](C1)N2CCSC(F)(F)F ZINC001460879923 1063115868 /nfs/dbraw/zinc/11/58/68/1063115868.db2.gz JSHQFSJXKXCGLY-PBINXNQUSA-N 0 3 321.330 4.435 20 0 DIADHN c1cc2c(s1)CN(C[C@H]1CCC[C@@H](c3ccccc3)O1)CC2 ZINC001460891773 1063120276 /nfs/dbraw/zinc/12/02/76/1063120276.db2.gz SLIRTUGEZHQNRV-MSOLQXFVSA-N 0 3 313.466 4.417 20 0 DIADHN COc1cc(C)nc(CN2CCC(c3cc(C)cc(C)c3)CC2)c1 ZINC001460898392 1063122217 /nfs/dbraw/zinc/12/22/17/1063122217.db2.gz RRRHBSAODFTYQH-UHFFFAOYSA-N 0 3 324.468 4.395 20 0 DIADHN CCC[C@H]1CCCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001460897133 1063123458 /nfs/dbraw/zinc/12/34/58/1063123458.db2.gz DECGIDLCOWXPAZ-INIZCTEOSA-N 0 3 303.837 4.290 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC(C)(C)[C@H]3CCC[C@H]32)c1 ZINC001460897495 1063124193 /nfs/dbraw/zinc/12/41/93/1063124193.db2.gz RRUPXDIZFLMSRH-GOEBONIOSA-N 0 3 321.848 4.137 20 0 DIADHN CCC[C@](C)(NCc1cccc2c1OCCO2)c1ccccc1 ZINC001460928869 1063139246 /nfs/dbraw/zinc/13/92/46/1063139246.db2.gz ISMZXOTZIBAAKT-FQEVSTJZSA-N 0 3 311.425 4.263 20 0 DIADHN CCC[C@@](C)(NCc1cccc2c1OCCO2)c1ccccc1 ZINC001460928872 1063139766 /nfs/dbraw/zinc/13/97/66/1063139766.db2.gz ISMZXOTZIBAAKT-HXUWFJFHSA-N 0 3 311.425 4.263 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@H](Cc2nccs2)C1 ZINC001460929701 1063140297 /nfs/dbraw/zinc/14/02/97/1063140297.db2.gz NQVHXWVYOIZIKH-STQMWFEESA-N 0 3 306.862 4.422 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1c(F)ccc(Br)c1F ZINC001460932023 1063143335 /nfs/dbraw/zinc/14/33/35/1063143335.db2.gz VXFOVPPRIYUSPH-NOZJJQNGSA-N 0 3 316.189 4.172 20 0 DIADHN Fc1ccc(CNCc2cc3ccnc(Cl)c3s2)cc1 ZINC001461002180 1063176993 /nfs/dbraw/zinc/17/69/93/1063176993.db2.gz UMHKLIKNJCQTIZ-UHFFFAOYSA-N 0 3 306.793 4.379 20 0 DIADHN CCC(CC)NCc1coc(-c2cccc(Br)c2)n1 ZINC001257294249 1063182791 /nfs/dbraw/zinc/18/27/91/1063182791.db2.gz NTACKGSQASPVSR-UHFFFAOYSA-N 0 3 323.234 4.382 20 0 DIADHN CCC(CC)N1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001257293880 1063183184 /nfs/dbraw/zinc/18/31/84/1063183184.db2.gz DXKPYGZFPLRUKK-KRWDZBQOSA-N 0 3 302.462 4.285 20 0 DIADHN CNc1ccc(C)cc1CNCc1cccc(C(F)(F)F)c1 ZINC001461012962 1063188807 /nfs/dbraw/zinc/18/88/07/1063188807.db2.gz LHWUTJWFLHWSBS-UHFFFAOYSA-N 0 3 308.347 4.345 20 0 DIADHN CC(C)(C)c1csc(CNCCCOC2CCCCC2)n1 ZINC001461017082 1063191340 /nfs/dbraw/zinc/19/13/40/1063191340.db2.gz LYUINOOOIWWZOG-UHFFFAOYSA-N 0 3 310.507 4.270 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN[C@@H](c1ccccc1)C1CC1 ZINC001461024571 1063196453 /nfs/dbraw/zinc/19/64/53/1063196453.db2.gz WPNHFXPOYTZSOK-SJORKVTESA-N 0 3 314.454 4.236 20 0 DIADHN CCCC(CCC)NCc1coc(-c2ccc(OC)cc2)n1 ZINC001257333658 1063213536 /nfs/dbraw/zinc/21/35/36/1063213536.db2.gz SUEBZMNRWKIMRF-UHFFFAOYSA-N 0 3 302.418 4.409 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNC[C@@H]1C[C@@H]1C ZINC001461051163 1063218442 /nfs/dbraw/zinc/21/84/42/1063218442.db2.gz GYRDKXAOVMNNAJ-ZFWWWQNUSA-N 0 3 317.864 4.197 20 0 DIADHN CCCCOc1cccc(CNCc2ccc(C)c(OC)c2)c1 ZINC001461053600 1063222239 /nfs/dbraw/zinc/22/22/39/1063222239.db2.gz OLUINGDLLQANLL-UHFFFAOYSA-N 0 3 313.441 4.472 20 0 DIADHN CCc1cccc(Cl)c1CNCCOc1cccc(F)c1 ZINC001461080138 1063238235 /nfs/dbraw/zinc/23/82/35/1063238235.db2.gz YYXYWKFVQBADAB-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1ccc(F)cc1Cl ZINC001257389089 1063248963 /nfs/dbraw/zinc/24/89/63/1063248963.db2.gz QMAHLASCYNDPTP-LBPRGKRZSA-N 0 3 315.816 4.081 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1cc(Cl)ccc1F ZINC001257390445 1063249235 /nfs/dbraw/zinc/24/92/35/1063249235.db2.gz XSWYHVMSCWFVNR-LBPRGKRZSA-N 0 3 315.816 4.081 20 0 DIADHN C[C@@H](COc1ccccc1F)NCc1ccc(F)cc1Cl ZINC001461096152 1063250863 /nfs/dbraw/zinc/25/08/63/1063250863.db2.gz IAGVRHUBQHQLBM-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCSc2ccccc2C1 ZINC001257403332 1063250737 /nfs/dbraw/zinc/25/07/37/1063250737.db2.gz SIDVZPASULIYTI-HNNXBMFYSA-N 0 3 321.486 4.106 20 0 DIADHN CCCC[C@H](CC)NCC(=O)c1cccc(Br)c1 ZINC001257409161 1063257926 /nfs/dbraw/zinc/25/79/26/1063257926.db2.gz UXARDMNZRZDSTO-AWEZNQCLSA-N 0 3 312.251 4.190 20 0 DIADHN COc1cc(F)cc(CNCc2ccc(OC(C)(C)C)cc2)c1 ZINC001461106563 1063257697 /nfs/dbraw/zinc/25/76/97/1063257697.db2.gz WPGHQOPBMFZMQN-UHFFFAOYSA-N 0 3 317.404 4.301 20 0 DIADHN C[C@H](COc1ccccc1)NCc1csc(C(F)(F)F)c1 ZINC001461114026 1063264382 /nfs/dbraw/zinc/26/43/82/1063264382.db2.gz FRYKYHSQBHTUDV-LLVKDONJSA-N 0 3 315.360 4.324 20 0 DIADHN Cc1ccc(CNCCN2CCCc3ccccc32)c(Cl)c1 ZINC001461114003 1063265109 /nfs/dbraw/zinc/26/51/09/1063265109.db2.gz FKZANBAPWFEAFI-UHFFFAOYSA-N 0 3 314.860 4.191 20 0 DIADHN CCn1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)c(C)n1 ZINC001461115102 1063265969 /nfs/dbraw/zinc/26/59/69/1063265969.db2.gz MTWYWRPDAXTGQY-LJQANCHMSA-N 0 3 315.436 4.372 20 0 DIADHN Cc1scc(CN[C@H](c2ccccc2)[C@H]2CCCO2)c1C ZINC001461113960 1063266056 /nfs/dbraw/zinc/26/60/56/1063266056.db2.gz MDNWFBALSJYACI-QZTJIDSGSA-N 0 3 301.455 4.375 20 0 DIADHN CC[C@@H](C)NCc1c(Br)cccc1Br ZINC001257432118 1063273663 /nfs/dbraw/zinc/27/36/63/1063273663.db2.gz QXLBMHWIBXARDW-MRVPVSSYSA-N 0 3 321.056 4.100 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@H]1CCCCO1 ZINC001461124801 1063273813 /nfs/dbraw/zinc/27/38/13/1063273813.db2.gz AXJFODGPVONACH-GOSISDBHSA-N 0 3 322.468 4.111 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H]1CCCCN1C1CCCCC1 ZINC001257454868 1063285509 /nfs/dbraw/zinc/28/55/09/1063285509.db2.gz PVQKCXGOIVBOEZ-GOSISDBHSA-N 0 3 314.473 4.429 20 0 DIADHN CCn1cnc(CNCC2(c3ccccc3)CCCCCC2)c1 ZINC001461139683 1063287073 /nfs/dbraw/zinc/28/70/73/1063287073.db2.gz NDSAAEWDNCSMBK-UHFFFAOYSA-N 0 3 311.473 4.285 20 0 DIADHN O[C@@H](CNCc1ccc(F)c(Cl)c1Cl)C1CCCCC1 ZINC001461140367 1063287456 /nfs/dbraw/zinc/28/74/56/1063287456.db2.gz WWGOVSGXKZWMIQ-ZDUSSCGKSA-N 0 3 320.235 4.163 20 0 DIADHN COc1cc(CNCc2cc(C)ccc2C)cc(Cl)c1OC ZINC001461154774 1063295264 /nfs/dbraw/zinc/29/52/64/1063295264.db2.gz CPLNOWLGLJLFSD-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001257479815 1063299567 /nfs/dbraw/zinc/29/95/67/1063299567.db2.gz AKHYZAGWBPDJJE-CHWSQXEVSA-N 0 3 307.400 4.435 20 0 DIADHN C[C@@H](CNCc1csc(C(C)(C)C)n1)c1cccc(F)c1 ZINC001461161518 1063302769 /nfs/dbraw/zinc/30/27/69/1063302769.db2.gz JMJDFQIFIMLEFF-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257485502 1063308279 /nfs/dbraw/zinc/30/82/79/1063308279.db2.gz NFJQWGJZVCSAEA-NWDGAFQWSA-N 0 3 317.399 4.325 20 0 DIADHN CCC[C@H](C)CC[C@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001257485503 1063308445 /nfs/dbraw/zinc/30/84/45/1063308445.db2.gz NFJQWGJZVCSAEA-RYUDHWBXSA-N 0 3 317.399 4.325 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257485341 1063309064 /nfs/dbraw/zinc/30/90/64/1063309064.db2.gz KUXIHWKPAVDRTI-NWDGAFQWSA-N 0 3 317.399 4.325 20 0 DIADHN CCCCC[C@H](C)NCC(=O)c1cccc(Br)c1 ZINC001257498744 1063311785 /nfs/dbraw/zinc/31/17/85/1063311785.db2.gz PHPAPIFBCRKYOE-LBPRGKRZSA-N 0 3 312.251 4.190 20 0 DIADHN CCCCC[C@H](C)NCC(=O)c1ccc(Br)cc1 ZINC001257498750 1063312367 /nfs/dbraw/zinc/31/23/67/1063312367.db2.gz PPRYPFOLQDXWHP-LBPRGKRZSA-N 0 3 312.251 4.190 20 0 DIADHN CCn1cnc(Cl)c1CNCCc1cccc2ccccc21 ZINC001461177339 1063314836 /nfs/dbraw/zinc/31/48/36/1063314836.db2.gz GWTZBNJANMUCBD-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN CCCCC[C@H](C)N1CCn2c(CN(C)C(C)C)cnc2[C@H]1C ZINC001257509530 1063318730 /nfs/dbraw/zinc/31/87/30/1063318730.db2.gz HFVJYJOVJRPXCS-DLBZAZTESA-N 0 3 320.525 4.069 20 0 DIADHN CC(C)(NCc1ccc2scnc2c1)c1cccc(F)c1 ZINC001461201899 1063327645 /nfs/dbraw/zinc/32/76/45/1063327645.db2.gz JHZZKVVXWYWVGM-UHFFFAOYSA-N 0 3 300.402 4.460 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CC=CCC3)cs2)cc1 ZINC001461219306 1063342653 /nfs/dbraw/zinc/34/26/53/1063342653.db2.gz QHFSBARLZVNFMZ-AWEZNQCLSA-N 0 3 300.427 4.017 20 0 DIADHN O=C(N[C@H]1CCCN2CCCC[C@@H]12)c1ccc(C2=CCCC2)cc1 ZINC001461229342 1063350559 /nfs/dbraw/zinc/35/05/59/1063350559.db2.gz JVDGXSMDCUJQJK-PMACEKPBSA-N 0 3 324.468 4.001 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H]1CCCSC1 ZINC001257663968 1063360704 /nfs/dbraw/zinc/36/07/04/1063360704.db2.gz JVOLXVYSRWOMSB-ZIAGYGMSSA-N 0 3 303.475 4.150 20 0 DIADHN Cc1ccc(CNC[C@H](C)Oc2ccccc2Cl)c(F)c1 ZINC001461255505 1063369900 /nfs/dbraw/zinc/36/99/00/1063369900.db2.gz WHIODKJSJVSDJA-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Fc1ccc(CNC[C@H]2SCCc3ccccc32)c(F)c1F ZINC001461258128 1063373105 /nfs/dbraw/zinc/37/31/05/1063373105.db2.gz SFXLMMLZVCXVJY-OAHLLOKOSA-N 0 3 323.383 4.224 20 0 DIADHN Fc1ccc(CNC[C@@H]2SCCc3ccccc32)c(F)c1F ZINC001461258126 1063373356 /nfs/dbraw/zinc/37/33/56/1063373356.db2.gz SFXLMMLZVCXVJY-HNNXBMFYSA-N 0 3 323.383 4.224 20 0 DIADHN CCC1(CNCc2c(C)nn(-c3ccc(F)cc3)c2Cl)CC1 ZINC001461270730 1063381151 /nfs/dbraw/zinc/38/11/51/1063381151.db2.gz UTDWVRQMBXVBED-UHFFFAOYSA-N 0 3 321.827 4.253 20 0 DIADHN CCOc1ccccc1CNC[C@H](OC)c1ccccc1Cl ZINC001461280489 1063387071 /nfs/dbraw/zinc/38/70/71/1063387071.db2.gz IDYAIVYELZFLRV-SFHVURJKSA-N 0 3 319.832 4.216 20 0 DIADHN COc1ccc(-c2csc(CNCc3cccnc3C)c2)cc1 ZINC001461287859 1063392249 /nfs/dbraw/zinc/39/22/49/1063392249.db2.gz RUDMBTXLJKJEQK-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN Cc1nc(CNC2CCC(OCc3ccccc3)CC2)cs1 ZINC001257818437 1063393759 /nfs/dbraw/zinc/39/37/59/1063393759.db2.gz GFGHFZRTXQICQD-UHFFFAOYSA-N 0 3 316.470 4.069 20 0 DIADHN c1cn(C2CCCC2)nc1CNC1CCC(C2CCC2)CC1 ZINC001461298384 1063399934 /nfs/dbraw/zinc/39/99/34/1063399934.db2.gz XNIIUFWUTKQLPA-UHFFFAOYSA-N 0 3 301.478 4.447 20 0 DIADHN c1cc2c(o1)CCN(C1CCC(OCc3ccccc3)CC1)C2 ZINC001257833615 1063401269 /nfs/dbraw/zinc/40/12/69/1063401269.db2.gz CNSSZIWBANSVSX-UHFFFAOYSA-N 0 3 311.425 4.166 20 0 DIADHN CCCCN(Cc1cc(Br)ccc1F)C1CC1 ZINC001473106183 1063407091 /nfs/dbraw/zinc/40/70/91/1063407091.db2.gz LDTCJIQTYUGDNN-UHFFFAOYSA-N 0 3 300.215 4.353 20 0 DIADHN CC(C)(C)c1ccc([C@H]2CCCN2C[C@H](O)C(F)(F)F)cc1 ZINC001473109470 1063410111 /nfs/dbraw/zinc/41/01/11/1063410111.db2.gz DFMZZADILIKORK-CABCVRRESA-N 0 3 315.379 4.044 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1CC(=O)N(C)[C@@H](C)CC(C)C ZINC001473112437 1063413306 /nfs/dbraw/zinc/41/33/06/1063413306.db2.gz OJFYSCODAYKGST-HKUYNNGSSA-N 0 3 316.489 4.025 20 0 DIADHN CCN(CC(=O)Nc1ccc(Oc2ccccc2)cc1)C(C)C ZINC001473115508 1063416323 /nfs/dbraw/zinc/41/63/23/1063416323.db2.gz PXBWCQBXMFIWJB-UHFFFAOYSA-N 0 3 312.413 4.148 20 0 DIADHN Cc1ccccc1CCCN(Cc1ccco1)C[C@H]1CCCO1 ZINC001473119342 1063421468 /nfs/dbraw/zinc/42/14/68/1063421468.db2.gz MDHHCVDLBSDISK-HXUWFJFHSA-N 0 3 313.441 4.202 20 0 DIADHN CN(Cc1cccc(Cl)c1Cl)C1CCC2(COC2)CC1 ZINC001257908352 1063436598 /nfs/dbraw/zinc/43/65/98/1063436598.db2.gz DZCFHUMGIHNESW-UHFFFAOYSA-N 0 3 314.256 4.384 20 0 DIADHN CCCN(Cc1ccn(-c2ccc(F)cc2F)n1)CC(C)C ZINC001473131915 1063436733 /nfs/dbraw/zinc/43/67/33/1063436733.db2.gz QFGRRMUYBXDGCJ-UHFFFAOYSA-N 0 3 307.388 4.019 20 0 DIADHN CC(C)N(Cc1ccccc1F)Cc1cccc2c1CCOC2 ZINC001473129297 1063436770 /nfs/dbraw/zinc/43/67/70/1063436770.db2.gz DDSBJFUKZPOIAH-UHFFFAOYSA-N 0 3 313.416 4.309 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)NCCC(C)(C)C ZINC001473132328 1063441657 /nfs/dbraw/zinc/44/16/57/1063441657.db2.gz WIPYHVHSODFBAH-KRWDZBQOSA-N 0 3 304.478 4.012 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1C[C@H]3CCC[C@@H]3O1)C2 ZINC001473137401 1063443401 /nfs/dbraw/zinc/44/34/01/1063443401.db2.gz UOAJNQPNLXPESJ-UXPWSPDFSA-N 0 3 313.485 4.300 20 0 DIADHN CN(CCC1CCCCC1)[C@H]1CCCN(c2ccccc2)C1=O ZINC001473137123 1063443817 /nfs/dbraw/zinc/44/38/17/1063443817.db2.gz KSHDIVIAPJMVBC-IBGZPJMESA-N 0 3 314.473 4.084 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1ccc(F)cc1F ZINC001257946103 1063444710 /nfs/dbraw/zinc/44/47/10/1063444710.db2.gz BIFMPFORBTZNFW-HZMBPMFUSA-N 0 3 323.770 4.273 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Cl)[C@@H](O)Cc1ccccc1 ZINC001461365841 1063447905 /nfs/dbraw/zinc/44/79/05/1063447905.db2.gz SBEZAFKQBQYXLN-PXAZEXFGSA-N 0 3 324.251 4.075 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](N1CCC[C@@H]1c1ccccc1)CO2 ZINC001257965079 1063450722 /nfs/dbraw/zinc/45/07/22/1063450722.db2.gz UTGSKVQTOQPPJC-QZTJIDSGSA-N 0 3 313.828 4.481 20 0 DIADHN COC(=O)CCCCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC001473149097 1063452797 /nfs/dbraw/zinc/45/27/97/1063452797.db2.gz NRFDQEBDTRDRCN-CYBMUJFWSA-N 0 3 309.837 4.209 20 0 DIADHN COC(=O)CCCCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC001473149098 1063453310 /nfs/dbraw/zinc/45/33/10/1063453310.db2.gz NRFDQEBDTRDRCN-ZDUSSCGKSA-N 0 3 309.837 4.209 20 0 DIADHN COc1ccc(CNCCCNc2cccc(F)c2)c(Cl)c1 ZINC001461376968 1063457818 /nfs/dbraw/zinc/45/78/18/1063457818.db2.gz KNWHDAIJJHZENX-UHFFFAOYSA-N 0 3 322.811 4.080 20 0 DIADHN Fc1cccc(F)c1CN(CC[C@H]1CCCO1)C1CCCC1 ZINC001473156510 1063458035 /nfs/dbraw/zinc/45/80/35/1063458035.db2.gz WEOABMKBBQASMT-OAHLLOKOSA-N 0 3 309.400 4.279 20 0 DIADHN CC(C)c1ccc(CNCc2ccc(N3CCOC3=O)cc2)cc1 ZINC001461379816 1063459742 /nfs/dbraw/zinc/45/97/42/1063459742.db2.gz HWDCHIMPWLGILB-UHFFFAOYSA-N 0 3 324.424 4.056 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC001461381500 1063461745 /nfs/dbraw/zinc/46/17/45/1063461745.db2.gz LWSMYYCTFZKQAB-RYUDHWBXSA-N 0 3 317.807 4.302 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2c3ccccc3CC[C@@H]2C)c1 ZINC001461384995 1063465453 /nfs/dbraw/zinc/46/54/53/1063465453.db2.gz DXRZLLJZWIEFGH-XOBRGWDASA-N 0 3 311.425 4.117 20 0 DIADHN CC[C@H]1CCCN(Cc2coc(-c3cccc(OC)c3)n2)CC1 ZINC001473161024 1063465550 /nfs/dbraw/zinc/46/55/50/1063465550.db2.gz IPYGWBRIVQUOOB-HNNXBMFYSA-N 0 3 314.429 4.362 20 0 DIADHN CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)CC1 ZINC001473160508 1063466392 /nfs/dbraw/zinc/46/63/92/1063466392.db2.gz SMTKHBDBPGXURW-CABCVRRESA-N 0 3 322.880 4.488 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(c3ccccc3F)CC2)OC1 ZINC001473171694 1063477168 /nfs/dbraw/zinc/47/71/68/1063477168.db2.gz GABQBHOBHVEGQD-MRXNPFEDSA-N 0 3 305.437 4.210 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001473173726 1063479650 /nfs/dbraw/zinc/47/96/50/1063479650.db2.gz MXJYLSPCRHRJBY-UONOGXRCSA-N 0 3 319.399 4.161 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1cc(C(=O)OC)ccc1F ZINC001473178346 1063486881 /nfs/dbraw/zinc/48/68/81/1063486881.db2.gz BVWFMIDRKNPJDV-SUMWQHHRSA-N 0 3 307.409 4.013 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@H]2CCC3(CCC3)O2)s1 ZINC001473180700 1063488059 /nfs/dbraw/zinc/48/80/59/1063488059.db2.gz QDBZHZPYPJIKOL-UONOGXRCSA-N 0 3 308.491 4.108 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1cn(Cc2ccccc2)cn1 ZINC001258061679 1063491624 /nfs/dbraw/zinc/49/16/24/1063491624.db2.gz OQAXWRJJIHISNL-ZWKOTPCHSA-N 0 3 313.489 4.482 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CCC[C@@](C)(CC)C1 ZINC001473186824 1063493058 /nfs/dbraw/zinc/49/30/58/1063493058.db2.gz MZNRGYKDCFECQI-IEBWSBKVSA-N 0 3 302.462 4.224 20 0 DIADHN Cc1ccc(F)c(CN2CC[C@@H](Cc3ccccc3)C2)c1F ZINC001473185377 1063494587 /nfs/dbraw/zinc/49/45/87/1063494587.db2.gz OOKWHBKCEVBWRU-INIZCTEOSA-N 0 3 301.380 4.338 20 0 DIADHN C[C@H]1CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)[C@H]1C ZINC001473188333 1063496017 /nfs/dbraw/zinc/49/60/17/1063496017.db2.gz ZKMGCQNFBOKIRL-XDQVBPFNSA-N 0 3 305.384 4.045 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCN(CCCC2CC2)CC1 ZINC001473188793 1063497522 /nfs/dbraw/zinc/49/75/22/1063497522.db2.gz ILTFACYDBIEYPK-OAHLLOKOSA-N 0 3 306.881 4.209 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258074841 1063499514 /nfs/dbraw/zinc/49/95/14/1063499514.db2.gz OCMBBKZTZUZVED-NEPJUHHUSA-N 0 3 317.399 4.181 20 0 DIADHN Cc1ccc(CNC[C@H](C)Oc2ccc(Cl)cc2)c(F)c1 ZINC001461434733 1063499971 /nfs/dbraw/zinc/49/99/71/1063499971.db2.gz MMUZSCCFMRNNGW-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN CC(C)=CCC[C@H](C)N1CCN(c2noc3ccccc32)CC1 ZINC001258084931 1063507675 /nfs/dbraw/zinc/50/76/75/1063507675.db2.gz CZOUDDNFBYQZTI-INIZCTEOSA-N 0 3 313.445 4.085 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@](C)(CO)c2ccccc2)c(C)c1 ZINC001461440021 1063507876 /nfs/dbraw/zinc/50/78/76/1063507876.db2.gz RSXCVULVFJEKOS-OGECGYFKSA-N 0 3 309.453 4.122 20 0 DIADHN CC(C)=CCC[C@H](C)N1CC[C@H]2[C@H]1CC(=O)N2c1ccsc1 ZINC001258094359 1063513621 /nfs/dbraw/zinc/51/36/21/1063513621.db2.gz ZNYRHUIDCXRTHB-BHYGNILZSA-N 0 3 318.486 4.063 20 0 DIADHN CSc1ccc(CCNCc2c(F)cc(C)cc2F)cc1 ZINC001461459981 1063519332 /nfs/dbraw/zinc/51/93/32/1063519332.db2.gz FJBUZTXDGCNUDN-UHFFFAOYSA-N 0 3 307.409 4.327 20 0 DIADHN CCOC(=O)CCC[C@H](C)NCc1c(Cl)cccc1Cl ZINC001258098701 1063519482 /nfs/dbraw/zinc/51/94/82/1063519482.db2.gz ZVCWIBHMYQJSFB-NSHDSACASA-N 0 3 318.244 4.205 20 0 DIADHN CCSCCCNCc1cc(C)c(F)cc1Br ZINC001461458576 1063521054 /nfs/dbraw/zinc/52/10/54/1063521054.db2.gz MZBPLWVSNLJRMF-UHFFFAOYSA-N 0 3 320.271 4.129 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(-c3c(F)cccc3F)o2)O1 ZINC001461458074 1063521491 /nfs/dbraw/zinc/52/14/91/1063521491.db2.gz XVDLTGPMSYXMKP-ZDUSSCGKSA-N 0 3 321.367 4.272 20 0 DIADHN CCOC(=O)CCC[C@H](C)N[C@@H](C)c1c(F)cccc1Cl ZINC001258109783 1063523484 /nfs/dbraw/zinc/52/34/84/1063523484.db2.gz QEVONSOSJYMGBZ-RYUDHWBXSA-N 0 3 315.816 4.252 20 0 DIADHN Fc1ccc([C@@H]2CC[C@H](NCc3nc4ccccc4o3)C2)cc1 ZINC001461478192 1063529562 /nfs/dbraw/zinc/52/95/62/1063529562.db2.gz FIJARRWKIVZNSK-ZBFHGGJFSA-N 0 3 310.372 4.393 20 0 DIADHN C[C@@H]1CC(NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@@H](C)C1 ZINC001258154239 1063534112 /nfs/dbraw/zinc/53/41/12/1063534112.db2.gz UYTMTZZVGZWWEM-RYUDHWBXSA-N 0 3 313.363 4.302 20 0 DIADHN COc1ccc(-c2nc(CNC3C[C@@H](C)C[C@H](C)C3)co2)cc1 ZINC001258155123 1063535833 /nfs/dbraw/zinc/53/58/33/1063535833.db2.gz ARDXYDDUKXJUEH-KBPBESRZSA-N 0 3 314.429 4.265 20 0 DIADHN Clc1ccc([C@@H](CNCc2ccns2)OCC2CC2)cc1 ZINC001461497143 1063538358 /nfs/dbraw/zinc/53/83/58/1063538358.db2.gz DVYFPDRUBZDHPI-MRXNPFEDSA-N 0 3 322.861 4.054 20 0 DIADHN CC[C@@H]1C[C@H]1CNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC001461500409 1063540323 /nfs/dbraw/zinc/54/03/23/1063540323.db2.gz MGBWYESZARBRNL-CVEARBPZSA-N 0 3 317.864 4.029 20 0 DIADHN Fc1c(CNC[C@@H]2CCCCC2(F)F)cccc1OC1CC1 ZINC001461527863 1063558988 /nfs/dbraw/zinc/55/89/88/1063558988.db2.gz HFIBZMFQTBLYDS-ZDUSSCGKSA-N 0 3 313.363 4.282 20 0 DIADHN CSCC[C@H](C)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001258205197 1063560137 /nfs/dbraw/zinc/56/01/37/1063560137.db2.gz GMDQLNCIGJSIHR-ZFWWWQNUSA-N 0 3 306.500 4.158 20 0 DIADHN CSCC[C@@H](C)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001258205196 1063561029 /nfs/dbraw/zinc/56/10/29/1063561029.db2.gz GMDQLNCIGJSIHR-HIFRSBDPSA-N 0 3 306.500 4.158 20 0 DIADHN CSCC[C@H](C)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001258223514 1063565539 /nfs/dbraw/zinc/56/55/39/1063565539.db2.gz DPUPLWSBOBZPBU-DZGCQCFKSA-N 0 3 303.475 4.165 20 0 DIADHN CSCC[C@H](C)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001258223521 1063566718 /nfs/dbraw/zinc/56/67/18/1063566718.db2.gz DPUPLWSBOBZPBU-ZFWWWQNUSA-N 0 3 303.475 4.165 20 0 DIADHN c1ccc(OC2CCCC2)c(CNCCOCC2CCCC2)c1 ZINC001461545772 1063574152 /nfs/dbraw/zinc/57/41/52/1063574152.db2.gz AILKNPPQHCBECE-UHFFFAOYSA-N 0 3 317.473 4.304 20 0 DIADHN Cn1cc(CN[C@H]2CC[C@@H](c3cccc(Cl)c3)C2)c(Cl)n1 ZINC001461587020 1063595642 /nfs/dbraw/zinc/59/56/42/1063595642.db2.gz UEHDBGOXPUPGNG-DOMZBBRYSA-N 0 3 324.255 4.153 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCC(=O)[C@@H](C)CC1 ZINC001258306112 1063601009 /nfs/dbraw/zinc/60/10/09/1063601009.db2.gz RIFVWAFUGOCBQK-GJZGRUSLSA-N 0 3 323.502 4.371 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)Nc1ccc(CN)nc1 ZINC001258307397 1063602898 /nfs/dbraw/zinc/60/28/98/1063602898.db2.gz SWPATPSPWFACDG-CYBMUJFWSA-N 0 3 319.474 4.036 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H](F)C2)c(Br)cc1F ZINC001461602838 1063605449 /nfs/dbraw/zinc/60/54/49/1063605449.db2.gz WPKKVYZJZSNWIY-NWDGAFQWSA-N 0 3 318.205 4.267 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1Cl)[C@](C)(O)c1ccccc1 ZINC001461615166 1063613042 /nfs/dbraw/zinc/61/30/42/1063613042.db2.gz GJFVAANEZUKOGK-PXAZEXFGSA-N 0 3 324.251 4.379 20 0 DIADHN CSC(C)(C)C[C@@H](C)N1CCCC[C@H]1C(=O)OC(C)(C)C ZINC001258339751 1063617604 /nfs/dbraw/zinc/61/76/04/1063617604.db2.gz AGDGNJRBPNHNEX-KGLIPLIRSA-N 0 3 315.523 4.103 20 0 DIADHN CSC(C)(C)C[C@H](C)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001258333952 1063617770 /nfs/dbraw/zinc/61/77/70/1063617770.db2.gz QLCHJFWWBQHZCD-GWCFXTLKSA-N 0 3 315.429 4.298 20 0 DIADHN CSC(C)(C)C[C@@H](C)N1CCO[C@@](C)(c2ccc(C)cc2)C1 ZINC001258340619 1063618533 /nfs/dbraw/zinc/61/85/33/1063618533.db2.gz GBJMLCUEPSSCJJ-VQIMIIECSA-N 0 3 321.530 4.463 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1ccc(Cl)c(C)c1Cl ZINC001461622941 1063619230 /nfs/dbraw/zinc/61/92/30/1063619230.db2.gz IESVHYUIBVFSKJ-ZIAGYGMSSA-N 0 3 302.245 4.349 20 0 DIADHN CCC[C@@H](C)[C@H](CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC001461622678 1063619599 /nfs/dbraw/zinc/61/95/99/1063619599.db2.gz ZOQTWYSALZSLIY-PBHICJAKSA-N 0 3 318.486 4.005 20 0 DIADHN CCC[C@@H](C)[C@@H](CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC001461622680 1063619848 /nfs/dbraw/zinc/61/98/48/1063619848.db2.gz ZOQTWYSALZSLIY-RHSMWYFYSA-N 0 3 318.486 4.005 20 0 DIADHN Cc1nc(CNCCc2ccc(C3CCCCC3)cc2)[nH]c1C ZINC001461632415 1063626418 /nfs/dbraw/zinc/62/64/18/1063626418.db2.gz OFNFTJJMPDXBTB-UHFFFAOYSA-N 0 3 311.473 4.407 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)c(F)c1 ZINC001461642907 1063630047 /nfs/dbraw/zinc/63/00/47/1063630047.db2.gz DDBSKSKORSVQDY-IAGOWNOFSA-N 0 3 321.436 4.050 20 0 DIADHN CCCCC(CCCC)N1CCc2onc(COCC)c2C1 ZINC001258387306 1063630815 /nfs/dbraw/zinc/63/08/15/1063630815.db2.gz NAUUXDMOSORFOJ-UHFFFAOYSA-N 0 3 308.466 4.318 20 0 DIADHN COc1ccc(CC[C@@H](C)n2c3ccccc3nc2[C@@H](C)N)cc1 ZINC001258387660 1063631566 /nfs/dbraw/zinc/63/15/66/1063631566.db2.gz GYBFALJJVKMXCK-HUUCEWRRSA-N 0 3 323.440 4.258 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nc(C(C)(C)C)cs2)nc1 ZINC001461645360 1063632476 /nfs/dbraw/zinc/63/24/76/1063632476.db2.gz LIAJLRPUCHWYST-UHFFFAOYSA-N 0 3 317.502 4.211 20 0 DIADHN c1sc(C2CC2)nc1CNCC1CCc2ccccc2CC1 ZINC001461652839 1063639269 /nfs/dbraw/zinc/63/92/69/1063639269.db2.gz NLFDMYNVJVTDGU-UHFFFAOYSA-N 0 3 312.482 4.305 20 0 DIADHN CCC[C@@H](CNCc1cc2cccc(C)c2nc1Cl)OCC ZINC001461658638 1063643104 /nfs/dbraw/zinc/64/31/04/1063643104.db2.gz HLNVTQKFBWGYCE-INIZCTEOSA-N 0 3 320.864 4.491 20 0 DIADHN COc1ccc(CC[C@@H](C)N(C)Cc2ccc(F)cc2F)cc1 ZINC001258406517 1063643457 /nfs/dbraw/zinc/64/34/57/1063643457.db2.gz RVMXJIRFYOZJLV-CQSZACIVSA-N 0 3 319.395 4.427 20 0 DIADHN c1ccc(S[C@H]2C[C@H](NCc3nccc4c3CCCC4)C2)cc1 ZINC001461669330 1063648651 /nfs/dbraw/zinc/64/86/51/1063648651.db2.gz RTGZUXKVWFZHNM-SAABIXHNSA-N 0 3 324.493 4.373 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1Br ZINC001461675919 1063651159 /nfs/dbraw/zinc/65/11/59/1063651159.db2.gz IRDXGRKECVHVQY-UONOGXRCSA-N 0 3 300.215 4.128 20 0 DIADHN CC(C)(F)CNCc1cn(-c2ccccc2)nc1-c1ccccc1 ZINC001461676234 1063651580 /nfs/dbraw/zinc/65/15/80/1063651580.db2.gz CKDHNCXORHIDKD-UHFFFAOYSA-N 0 3 323.415 4.377 20 0 DIADHN CCOc1cccc(F)c1CNC[C@@]1(O)CCCC(C)(C)CC1 ZINC001461684474 1063656875 /nfs/dbraw/zinc/65/68/75/1063656875.db2.gz NPWBQTDNGUSYQC-LJQANCHMSA-N 0 3 323.452 4.035 20 0 DIADHN CCOC(=O)CC[C@H](C)N[C@H](C)c1ccc(Cl)cc1Cl ZINC001258473429 1063668961 /nfs/dbraw/zinc/66/89/61/1063668961.db2.gz UCTSVZUKOBJKQE-WDEREUQCSA-N 0 3 318.244 4.376 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCCC[C@H]1c1ccccc1F ZINC001258495523 1063675896 /nfs/dbraw/zinc/67/58/96/1063675896.db2.gz JFYIFKBKKLMAQP-PBHICJAKSA-N 0 3 307.409 4.085 20 0 DIADHN COc1cccc(-c2csc(CNC[C@@]3(C)CC3(C)C)n2)c1 ZINC001461729866 1063684166 /nfs/dbraw/zinc/68/41/66/1063684166.db2.gz SEWNEFRHRPIADX-GOSISDBHSA-N 0 3 316.470 4.345 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H]2CC(C)(C)CO2)c1Cl ZINC001461732563 1063686361 /nfs/dbraw/zinc/68/63/61/1063686361.db2.gz SJEPVRCCVCSZPP-GFCCVEGCSA-N 0 3 302.245 4.207 20 0 DIADHN Cc1cccn2c(CNCc3ccc(C(C)(C)C)s3)cnc12 ZINC001461736352 1063688207 /nfs/dbraw/zinc/68/82/07/1063688207.db2.gz SBMRIUPZJHPTJW-UHFFFAOYSA-N 0 3 313.470 4.292 20 0 DIADHN CC(C)[C@@H](Cc1ccccc1)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001461760011 1063701253 /nfs/dbraw/zinc/70/12/53/1063701253.db2.gz KZAXIPKTLIIVIA-GOSISDBHSA-N 0 3 303.833 4.403 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCC(C)(C)C3)cs2)c1 ZINC001258599788 1063701313 /nfs/dbraw/zinc/70/13/13/1063701313.db2.gz IHYJVOLHHUVACN-CQSZACIVSA-N 0 3 316.470 4.487 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCC(C)(C)C3)co2)c1 ZINC001258599711 1063701743 /nfs/dbraw/zinc/70/17/43/1063701743.db2.gz GZVSCHKZHVOUOO-AWEZNQCLSA-N 0 3 300.402 4.019 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCC(C)(C)C3)cs2)c1 ZINC001258599787 1063703085 /nfs/dbraw/zinc/70/30/85/1063703085.db2.gz IHYJVOLHHUVACN-AWEZNQCLSA-N 0 3 316.470 4.487 20 0 DIADHN Cc1cc(CO)cc(C)c1CNCc1cc(Cl)cc(Cl)c1 ZINC001461769060 1063706518 /nfs/dbraw/zinc/70/65/18/1063706518.db2.gz NLMCNLHCIHEQCI-UHFFFAOYSA-N 0 3 324.251 4.392 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H]2c3ccccc3CC[C@H]2O)cc1C ZINC001461783580 1063714308 /nfs/dbraw/zinc/71/43/08/1063714308.db2.gz SYLJQVLJFOHNFP-OOBCZCDPSA-N 0 3 321.464 4.263 20 0 DIADHN C[C@@H](Cc1cccnc1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001258629513 1063717229 /nfs/dbraw/zinc/71/72/29/1063717229.db2.gz CATCIRVXNRHZSC-MAUKXSAKSA-N 0 3 320.440 4.050 20 0 DIADHN C[C@@H]1CC2(CC(NCc3ccc(F)c(Cl)c3Cl)C2)CO1 ZINC001461789855 1063718508 /nfs/dbraw/zinc/71/85/08/1063718508.db2.gz SBFXJKQNSOWNPJ-IWPPJYEWSA-N 0 3 318.219 4.180 20 0 DIADHN CCCCC[C@H](CCC)n1nc(-c2ccncc2)cc1CN ZINC001258667695 1063735654 /nfs/dbraw/zinc/73/56/54/1063735654.db2.gz RVOAEWQKLPBALS-INIZCTEOSA-N 0 3 300.450 4.325 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@H]1C[C@H]1Cc1ccccc1 ZINC001461822512 1063737023 /nfs/dbraw/zinc/73/70/23/1063737023.db2.gz UATOXFFAZXDSRR-ZIAGYGMSSA-N 0 3 321.251 4.357 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H]1OCc2ccccc21 ZINC001461825937 1063738923 /nfs/dbraw/zinc/73/89/23/1063738923.db2.gz OQIVAEVKVPPHFX-FQEVSTJZSA-N 0 3 311.425 4.227 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCC(=O)C(C)(C)C ZINC001258673333 1063742392 /nfs/dbraw/zinc/74/23/92/1063742392.db2.gz QQMQEFYVRPFHLV-JTQLQIEISA-N 0 3 302.245 4.129 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1ncccc1F ZINC001258674098 1063742698 /nfs/dbraw/zinc/74/26/98/1063742698.db2.gz YUYGLUQOQONJHW-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1cccnc1F ZINC001258676030 1063744916 /nfs/dbraw/zinc/74/49/16/1063744916.db2.gz WWOOQVLRRQJCJS-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)c(F)c1 ZINC001461842206 1063745190 /nfs/dbraw/zinc/74/51/90/1063745190.db2.gz FJNIIAHPAPIDNK-KXBFYZLASA-N 0 3 313.416 4.460 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CCC[C@]2(CCO2)C1 ZINC001258684295 1063749802 /nfs/dbraw/zinc/74/98/02/1063749802.db2.gz RBJTWKGDJCOUDL-LRDDRELGSA-N 0 3 314.256 4.179 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N[C@H]1c2cc(C)ccc2NC1=O ZINC001258702254 1063761394 /nfs/dbraw/zinc/76/13/94/1063761394.db2.gz SBYZVJZLOKBSDQ-PXNSSMCTSA-N 0 3 322.452 4.379 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N[C@@H]1c2cc(C)ccc2NC1=O ZINC001258702253 1063761502 /nfs/dbraw/zinc/76/15/02/1063761502.db2.gz SBYZVJZLOKBSDQ-FXAWDEMLSA-N 0 3 322.452 4.379 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N[C@H]1c2cc(C)ccc2NC1=O ZINC001258702255 1063762419 /nfs/dbraw/zinc/76/24/19/1063762419.db2.gz SBYZVJZLOKBSDQ-XLIONFOSSA-N 0 3 322.452 4.379 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)NCc1ncc(F)cc1F ZINC001258706032 1063763304 /nfs/dbraw/zinc/76/33/04/1063763304.db2.gz MQSHKRFCSPRPNM-INIZCTEOSA-N 0 3 304.384 4.251 20 0 DIADHN CCCN(CCC(C)(C)SC)CC(=O)Nc1ccccc1C ZINC001461875135 1063766624 /nfs/dbraw/zinc/76/66/24/1063766624.db2.gz UIFZZYMXABDZNJ-UHFFFAOYSA-N 0 3 322.518 4.177 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCN(c2ncc(C)s2)CC1 ZINC001461874695 1063767901 /nfs/dbraw/zinc/76/79/01/1063767901.db2.gz QJSTZKHSAZGCIN-MRXNPFEDSA-N 0 3 321.534 4.346 20 0 DIADHN CCOc1cc(C[N@H+](CCC(C)C)C2CC2)cc(Cl)c1[O-] ZINC001461879997 1063768980 /nfs/dbraw/zinc/76/89/80/1063768980.db2.gz GNVJSFHLQYYFRD-UHFFFAOYSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](CCC(C)C)C2CC2)cc(Cl)c1[O-] ZINC001461879997 1063768991 /nfs/dbraw/zinc/76/89/91/1063768991.db2.gz GNVJSFHLQYYFRD-UHFFFAOYSA-N 0 3 311.853 4.455 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N1CCO[C@@H](c2ccccn2)C1 ZINC001258714790 1063770526 /nfs/dbraw/zinc/77/05/26/1063770526.db2.gz SLJUBKXNBKQMRQ-PZJWPPBQSA-N 0 3 324.468 4.256 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2cccs2)cc1C ZINC001461881953 1063773251 /nfs/dbraw/zinc/77/32/51/1063773251.db2.gz MBCBSOJLTNWACB-CYBMUJFWSA-N 0 3 303.427 4.036 20 0 DIADHN FC(F)n1cccc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC001461893730 1063782844 /nfs/dbraw/zinc/78/28/44/1063782844.db2.gz GJTFFRUAIQIGGS-INIZCTEOSA-N 0 3 304.384 4.338 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001461894792 1063783034 /nfs/dbraw/zinc/78/30/34/1063783034.db2.gz FTYCBFYPCRPMGL-DOMZBBRYSA-N 0 3 315.848 4.319 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N[C@@H]1COc2ccc(F)cc21 ZINC001258738599 1063786259 /nfs/dbraw/zinc/78/62/59/1063786259.db2.gz ATLLAZWPUGPDBM-BDJLRTHQSA-N 0 3 305.780 4.133 20 0 DIADHN COc1cccc(OC)c1CN[C@H](C)Cc1cccc(Cl)c1 ZINC001258738712 1063788060 /nfs/dbraw/zinc/78/80/60/1063788060.db2.gz YLTYFGMNZVDROT-CYBMUJFWSA-N 0 3 319.832 4.078 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N[C@@H]1COc2ccc(F)cc21 ZINC001258738601 1063788146 /nfs/dbraw/zinc/78/81/46/1063788146.db2.gz ATLLAZWPUGPDBM-MEDUHNTESA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cc(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)cnc1F ZINC001461906292 1063788790 /nfs/dbraw/zinc/78/87/90/1063788790.db2.gz VRMYDLFIMNRCIW-CZUORRHYSA-N 0 3 302.368 4.046 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2Cc2n[nH]c(C)c2C)o1 ZINC001461906839 1063791809 /nfs/dbraw/zinc/79/18/09/1063791809.db2.gz WYJFSQOFHVINTE-KRWDZBQOSA-N 0 3 301.434 4.299 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N1CC[C@@](CF)(C(F)(F)F)C1 ZINC001258744949 1063794762 /nfs/dbraw/zinc/79/47/62/1063794762.db2.gz HDWJTORRDRIOBD-RISCZKNCSA-N 0 3 323.761 4.495 20 0 DIADHN CCC[C@H](CN1CCC[C@@H]1c1nc2ccccc2n1CC)OC ZINC001461910523 1063795568 /nfs/dbraw/zinc/79/55/68/1063795568.db2.gz NQCUEYYHRXMZQO-CRAIPNDOSA-N 0 3 315.461 4.008 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@H]1C1CCC1 ZINC001461916337 1063797934 /nfs/dbraw/zinc/79/79/34/1063797934.db2.gz OLPDMCDXWMXXNK-HNNXBMFYSA-N 0 3 324.262 4.222 20 0 DIADHN CN(CCC1CCCCC1)Cc1ccnn1-c1ccc(F)cc1 ZINC001461914929 1063798593 /nfs/dbraw/zinc/79/85/93/1063798593.db2.gz FPZWXZRTSVGYGT-UHFFFAOYSA-N 0 3 315.436 4.414 20 0 DIADHN CCOC(=O)CC(C)(C)CN(Cc1ccccc1F)C1CCC1 ZINC001461923303 1063805813 /nfs/dbraw/zinc/80/58/13/1063805813.db2.gz AKYIFYKCUIVTPJ-UHFFFAOYSA-N 0 3 321.436 4.160 20 0 DIADHN CC(C)CO[C@H]1CCN([C@H]2C[C@H](C)Sc3sccc32)C1 ZINC001461929283 1063813971 /nfs/dbraw/zinc/81/39/71/1063813971.db2.gz RXCHMXWVXLPVDO-YDHLFZDLSA-N 0 3 311.516 4.420 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cnc3ccccc3n2)c(C)c1 ZINC001461938298 1063822841 /nfs/dbraw/zinc/82/28/41/1063822841.db2.gz LTYIYSJVVNTPFK-MRXNPFEDSA-N 0 3 305.425 4.440 20 0 DIADHN Cc1cc(CN2CC[C@@H](OCCCc3ccccc3)C2)c(C)o1 ZINC001461970093 1063848323 /nfs/dbraw/zinc/84/83/23/1063848323.db2.gz RUAUDDPBFQPXEQ-HXUWFJFHSA-N 0 3 313.441 4.120 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Br)nc2)[C@H]2CCCC[C@H]12 ZINC001461976009 1063852594 /nfs/dbraw/zinc/85/25/94/1063852594.db2.gz FFHHEZMDZNLYIQ-YUELXQCFSA-N 0 3 323.278 4.245 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Br)nc2)[C@@H]2CCCC[C@@H]12 ZINC001461976005 1063854420 /nfs/dbraw/zinc/85/44/20/1063854420.db2.gz FFHHEZMDZNLYIQ-VHDGCEQUSA-N 0 3 323.278 4.245 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCC(=O)c1ccc(Cl)cc1 ZINC001258837700 1063859157 /nfs/dbraw/zinc/85/91/57/1063859157.db2.gz BWTDBZQVSUHEEI-KRWDZBQOSA-N 0 3 301.817 4.134 20 0 DIADHN CC[C@H](Cc1ccccc1)NCC(=O)c1ccc(Cl)cc1 ZINC001258837701 1063859219 /nfs/dbraw/zinc/85/92/19/1063859219.db2.gz BWTDBZQVSUHEEI-QGZVFWFLSA-N 0 3 301.817 4.134 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1c(F)ccc(OC)c1F ZINC001258838891 1063860425 /nfs/dbraw/zinc/86/04/25/1063860425.db2.gz MGIKXYQEJPXFHD-AWEZNQCLSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001258839575 1063860509 /nfs/dbraw/zinc/86/05/09/1063860509.db2.gz GXZDQDHKXBZUKF-NVXWUHKLSA-N 0 3 317.379 4.399 20 0 DIADHN CCOc1ccc(CN2CCC(Cc3ccc(C)cc3)CC2)o1 ZINC001461994337 1063866166 /nfs/dbraw/zinc/86/61/66/1063866166.db2.gz GZFQXAMCHPOWKH-UHFFFAOYSA-N 0 3 313.441 4.441 20 0 DIADHN Cc1ccnc(CN2C[C@H](C)[C@H]3CCCC[C@H]32)c1Br ZINC001461998097 1063870511 /nfs/dbraw/zinc/87/05/11/1063870511.db2.gz UUGDPDLLBQDWSV-GZBFAFLISA-N 0 3 323.278 4.163 20 0 DIADHN Cc1ccnc(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)c1Br ZINC001461998106 1063870807 /nfs/dbraw/zinc/87/08/07/1063870807.db2.gz UUGDPDLLBQDWSV-KCQAQPDRSA-N 0 3 323.278 4.163 20 0 DIADHN CC(C)C1CCC(CN2CCN(c3ccccc3F)CC2)CC1 ZINC001462007028 1063876771 /nfs/dbraw/zinc/87/67/71/1063876771.db2.gz IWULYZZVCFEGDR-UHFFFAOYSA-N 0 3 318.480 4.410 20 0 DIADHN FC(F)COc1ccccc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001462019539 1063882983 /nfs/dbraw/zinc/88/29/83/1063882983.db2.gz WCXVWPIZFPYEIM-GJZGRUSLSA-N 0 3 309.400 4.343 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1cccc2c1CCOC2 ZINC001462035330 1063894473 /nfs/dbraw/zinc/89/44/73/1063894473.db2.gz CBGPIUMEHQRRNZ-CYBMUJFWSA-N 0 3 317.379 4.231 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc(OC)cc2C)o1 ZINC001462044279 1063897507 /nfs/dbraw/zinc/89/75/07/1063897507.db2.gz GVVFFSCXOLEMHM-SFHVURJKSA-N 0 3 315.413 4.080 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)N[C@@H](C)Cc2ccno2)cc1 ZINC001462052414 1063903800 /nfs/dbraw/zinc/90/38/00/1063903800.db2.gz MDKKKDIPPIHSJA-WFASDCNBSA-N 0 3 312.335 4.197 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)N[C@H](C)Cc2ccno2)cc1 ZINC001462052401 1063904671 /nfs/dbraw/zinc/90/46/71/1063904671.db2.gz MDKKKDIPPIHSJA-DOMZBBRYSA-N 0 3 312.335 4.197 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CC[C@H](C)C(C)(C)C1 ZINC001462060892 1063908253 /nfs/dbraw/zinc/90/82/53/1063908253.db2.gz OPYJMRPRIWSFAG-LBPRGKRZSA-N 0 3 317.502 4.450 20 0 DIADHN C[C@@H]1c2ccsc2CCN1Cc1ccc(-c2cnn(C)c2)cc1 ZINC001261183148 1063910853 /nfs/dbraw/zinc/91/08/53/1063910853.db2.gz IXWMOZJOIGXPSD-CQSZACIVSA-N 0 3 323.465 4.268 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnn(-c3ccccc3)c2C2CC2)C[C@H]1C ZINC001462063546 1063911289 /nfs/dbraw/zinc/91/12/89/1063911289.db2.gz OEYNNEYZNMAUHV-INMHGKMJSA-N 0 3 324.472 4.059 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(Cl)c(C)c2)C1 ZINC001462070892 1063913532 /nfs/dbraw/zinc/91/35/32/1063913532.db2.gz XZABMXFVXYUAEJ-HNNXBMFYSA-N 0 3 323.864 4.060 20 0 DIADHN COc1ccc2oc(CN3CCc4cccc(C)c4C3)cc2c1 ZINC001462079776 1063918635 /nfs/dbraw/zinc/91/86/35/1063918635.db2.gz BIHYTNOITLCFDS-UHFFFAOYSA-N 0 3 307.393 4.308 20 0 DIADHN CCOC(=O)c1ccc(-c2ccc(CNCC=C(C)C)o2)cc1 ZINC001462089329 1063924773 /nfs/dbraw/zinc/92/47/73/1063924773.db2.gz JGMMJDGRCHADIQ-UHFFFAOYSA-N 0 3 313.397 4.179 20 0 DIADHN CC1(C)CCCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C1 ZINC001462095637 1063925454 /nfs/dbraw/zinc/92/54/54/1063925454.db2.gz SXBDVQNTJVIGOK-UHFFFAOYSA-N 0 3 319.342 4.389 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(OC)c(C(C)(C)C)c2)c1 ZINC001462099656 1063929879 /nfs/dbraw/zinc/92/98/79/1063929879.db2.gz VILOFBIRHHFOQK-UHFFFAOYSA-N 0 3 314.473 4.446 20 0 DIADHN CC(C)[C@@H](C[NH2+]Cc1ccc([O-])c(F)c1)Cc1ccccc1 ZINC001462119246 1063938364 /nfs/dbraw/zinc/93/83/64/1063938364.db2.gz IESQXTLFEMALFK-QGZVFWFLSA-N 0 3 301.405 4.136 20 0 DIADHN FC1(F)CC12CC(NCc1csc(-c3ccsc3)n1)C2 ZINC001261600570 1063940084 /nfs/dbraw/zinc/94/00/84/1063940084.db2.gz JMCDXDWABLNEBF-UHFFFAOYSA-N 0 3 312.410 4.149 20 0 DIADHN CC[C@](C)(O)CN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000570203816 1063950870 /nfs/dbraw/zinc/95/08/70/1063950870.db2.gz MOESYFGGOFNVPK-CABCVRRESA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](NCCSc1ccncc1)c1nc2ccccc2s1 ZINC001262257357 1063960524 /nfs/dbraw/zinc/96/05/24/1063960524.db2.gz ITUVYZUPWCJADX-LBPRGKRZSA-N 0 3 315.467 4.134 20 0 DIADHN c1ccc(OC2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC001262672022 1063970771 /nfs/dbraw/zinc/97/07/71/1063970771.db2.gz DUWMWYPEDRHQMT-UHFFFAOYSA-N 0 3 304.393 4.283 20 0 DIADHN CC(C)C[C@@H]1C[C@H]1NCc1cc(F)ccc1Br ZINC000385806174 1064026887 /nfs/dbraw/zinc/02/68/87/1064026887.db2.gz HLCJMSQCIBWTMU-QMTHXVAHSA-N 0 3 300.215 4.112 20 0 DIADHN c1ccc([C@H]2CN(Cc3ccc4ccccc4n3)CCCO2)cc1 ZINC000605574558 1064051777 /nfs/dbraw/zinc/05/17/77/1064051777.db2.gz XDZHMMWJYOHESJ-OAQYLSRUSA-N 0 3 318.420 4.198 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)Nc1ccc(Cl)c(Cl)c1 ZINC000386663315 1064056962 /nfs/dbraw/zinc/05/69/62/1064056962.db2.gz MTGXFDILDVEUOI-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CCN(Cc1ccc(Br)c(F)c1F)CC(C)C ZINC000701274976 1064244984 /nfs/dbraw/zinc/24/49/84/1064244984.db2.gz QDCPRXYNCFNMQF-UHFFFAOYSA-N 0 3 306.194 4.205 20 0 DIADHN C[C@@H]1C[C@@H](CC(=O)N(CCN(C)C)C2CCCC2)CC(C)(C)C1 ZINC001265738412 1064266276 /nfs/dbraw/zinc/26/62/76/1064266276.db2.gz VPXJXFXPNCFLFX-SJORKVTESA-N 0 3 322.537 4.172 20 0 DIADHN FC1(CCNCc2ccc(Cl)cc2Br)CCC1 ZINC000702532308 1064309257 /nfs/dbraw/zinc/30/92/57/1064309257.db2.gz LTKHRQXCUCXOKM-UHFFFAOYSA-N 0 3 320.633 4.474 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1cscn1 ZINC001279389412 1064345851 /nfs/dbraw/zinc/34/58/51/1064345851.db2.gz QTBPIFULYXSPAY-NXHRZFHOSA-N 0 3 321.534 4.008 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CN(CCCC(C)C)C[C@@H](C1)O2 ZINC001279520627 1064354331 /nfs/dbraw/zinc/35/43/31/1064354331.db2.gz VMDRAIFXKLLHPS-SLFFLAALSA-N 0 3 324.553 4.024 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc3sccc3c2)c1 ZINC001290420149 1064692458 /nfs/dbraw/zinc/69/24/58/1064692458.db2.gz SGTRUQXPCCEFEL-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CC[C@@H](C(=O)N1CCCc2cc(C(C)C)ccc21)N(CC)CC ZINC001291691894 1064740059 /nfs/dbraw/zinc/74/00/59/1064740059.db2.gz JUBAGGDHISSCDG-SFHVURJKSA-N 0 3 316.489 4.210 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001291908842 1064750599 /nfs/dbraw/zinc/75/05/99/1064750599.db2.gz FITBEMHDDUSTRJ-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN C[C@@H]1CC(C(=O)N(CCN(C)C)CC2CCCCC2)C[C@@H](C)C1 ZINC001292112174 1064760360 /nfs/dbraw/zinc/76/03/60/1064760360.db2.gz HSUGAKZJUINLMP-IRXDYDNUSA-N 0 3 322.537 4.029 20 0 DIADHN C[C@H]1CCCC[C@@H]1CC(=O)N(CCN(C)C)CC1CCCCC1 ZINC001292112348 1064760572 /nfs/dbraw/zinc/76/05/72/1064760572.db2.gz IWAYLOJAYWPCSN-PKOBYXMFSA-N 0 3 322.537 4.173 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001292113190 1064762711 /nfs/dbraw/zinc/76/27/11/1064762711.db2.gz ZCCROQXJEKXIEA-ZWKOTPCHSA-N 0 3 322.537 4.029 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001292113173 1064762829 /nfs/dbraw/zinc/76/28/29/1064762829.db2.gz YKQKWRSKZYPQEO-KRWDZBQOSA-N 0 3 324.553 4.419 20 0 DIADHN CCCCC[C@@H](CC)C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001292262532 1064765602 /nfs/dbraw/zinc/76/56/02/1064765602.db2.gz XVNLTSWSNVTJTG-MJGOQNOKSA-N 0 3 318.505 4.320 20 0 DIADHN CCCCC[C@H](C)CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001292262247 1064766285 /nfs/dbraw/zinc/76/62/85/1064766285.db2.gz TYWWJQJJKPLDMC-PKOBYXMFSA-N 0 3 318.505 4.320 20 0 DIADHN CC(C)CCc1ccc(NC(=O)C2(N(C)C)CCCC2)cc1 ZINC001292370224 1064770507 /nfs/dbraw/zinc/77/05/07/1064770507.db2.gz VGGTYBOPMBPDCO-UHFFFAOYSA-N 0 3 302.462 4.088 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC[C@@H]1CCC(C)C ZINC001295005040 1064893669 /nfs/dbraw/zinc/89/36/69/1064893669.db2.gz RUKLYUCBVSAJNP-GOSISDBHSA-N 0 3 310.526 4.316 20 0 DIADHN CN(CC(=O)N[C@@H]1CCC[C@H](C(C)(C)C)CC1)CC(C)(C)C ZINC001296515851 1064947546 /nfs/dbraw/zinc/94/75/46/1064947546.db2.gz QLTFXMZCCLNTKK-JKSUJKDBSA-N 0 3 310.526 4.076 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccc(F)cc1)C(C)C ZINC001296999166 1064967960 /nfs/dbraw/zinc/96/79/60/1064967960.db2.gz PNHIEZPLHZIFHP-LJQANCHMSA-N 0 3 322.468 4.151 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cccc(-c2ccccc2)c1 ZINC001297528371 1064982781 /nfs/dbraw/zinc/98/27/81/1064982781.db2.gz OIJWPPMMSMKKIK-HZPDHXFCSA-N 0 3 308.425 4.165 20 0 DIADHN C[C@@H](CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1)CC(C)(C)C ZINC001298814386 1065022983 /nfs/dbraw/zinc/02/29/83/1065022983.db2.gz UYNAXARENAVZLV-WMLDXEAASA-N 0 3 322.468 4.007 20 0 DIADHN CCCCCC[C@@H](C)C(=O)Nc1ccc(CN(C)C)cc1OC ZINC001299107117 1065032844 /nfs/dbraw/zinc/03/28/44/1065032844.db2.gz YXRHPJMJWYEPNS-OAHLLOKOSA-N 0 3 320.477 4.302 20 0 DIADHN Cc1ccccc1CSCCN(C)Cc1ccc(F)cc1 ZINC001307246836 1065314086 /nfs/dbraw/zinc/31/40/86/1065314086.db2.gz OJUIWPGVMHTNRF-UHFFFAOYSA-N 0 3 303.446 4.499 20 0 DIADHN CCCN(CCC)Cc1ccc(OC)cc1Br ZINC001307413649 1065374477 /nfs/dbraw/zinc/37/44/77/1065374477.db2.gz DYVLAPRFXBJYKV-UHFFFAOYSA-N 0 3 300.240 4.080 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)CCCOCc1ccccc1 ZINC001307419019 1065380435 /nfs/dbraw/zinc/38/04/35/1065380435.db2.gz RJHQVRXUCXZHDV-INIZCTEOSA-N 0 3 301.405 4.425 20 0 DIADHN Cc1cc(CN2CCC[C@H]2Cc2ccccc2Cl)sn1 ZINC001307430872 1065390803 /nfs/dbraw/zinc/39/08/03/1065390803.db2.gz ONERSXFXUXAAKZ-AWEZNQCLSA-N 0 3 306.862 4.312 20 0 DIADHN CC[C@@H](C)N(CCc1ccccc1F)Cc1cnc(Cl)cn1 ZINC001307438399 1065399589 /nfs/dbraw/zinc/39/95/89/1065399589.db2.gz LCPPZDXTTNHZMK-CYBMUJFWSA-N 0 3 321.827 4.112 20 0 DIADHN CCOC(=O)N1CCCN([C@H](C)c2ccc(C(C)C)cc2)CC1 ZINC001307440643 1065402389 /nfs/dbraw/zinc/40/23/89/1065402389.db2.gz PSIREGPZIFAWMH-MRXNPFEDSA-N 0 3 318.461 4.035 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CCN(CC2CC(C)(C)C2)CC1 ZINC001307461628 1065415716 /nfs/dbraw/zinc/41/57/16/1065415716.db2.gz FFJZMDWMSYJJQP-AWEZNQCLSA-N 0 3 322.443 4.080 20 0 DIADHN CCc1cc2oc(=O)cc(CN3CCCC3(C)C)c2cc1Cl ZINC001307470306 1065419287 /nfs/dbraw/zinc/41/92/87/1065419287.db2.gz BOCMEGWHHFKQTG-UHFFFAOYSA-N 0 3 319.832 4.383 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CCC[C@H](C(C)(C)C)CC1 ZINC001307473284 1065421355 /nfs/dbraw/zinc/42/13/55/1065421355.db2.gz ORHNONTUTMRBML-CABCVRRESA-N 0 3 320.452 4.301 20 0 DIADHN CCC[C@@H](C)N1CCN([C@@H](CC)c2ccc(F)cc2F)CC1 ZINC001307473659 1065421922 /nfs/dbraw/zinc/42/19/22/1065421922.db2.gz ZAACNGXUXMYXSE-KDOFPFPSSA-N 0 3 310.432 4.222 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@@H](C)CCC[C@@H]2C)co1 ZINC001307476899 1065423627 /nfs/dbraw/zinc/42/36/27/1065423627.db2.gz AVHBYRVRPDDMEG-GJZGRUSLSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(C)c(CN2CCN(Cc3ccccc3)C[C@H]2C)c1 ZINC001307479954 1065426186 /nfs/dbraw/zinc/42/61/86/1065426186.db2.gz SBYSFAZDYIWUPT-LJQANCHMSA-N 0 3 308.469 4.010 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(CC(=O)Nc2ccc3ccccc3c2)C1 ZINC001307479121 1065426416 /nfs/dbraw/zinc/42/64/16/1065426416.db2.gz OOQHGYOBMFNCFM-HZPDHXFCSA-N 0 3 310.441 4.289 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)CC[C@H]3C)co2)cc1 ZINC001307492190 1065436732 /nfs/dbraw/zinc/43/67/32/1065436732.db2.gz HRZYSXVWQWFOCZ-HUUCEWRRSA-N 0 3 314.429 4.361 20 0 DIADHN COc1ccc(C(C)C)cc1CN(C)[C@H]1C[C@@](C)(OC)C1(C)C ZINC001307492578 1065437224 /nfs/dbraw/zinc/43/72/24/1065437224.db2.gz CNANXVJAPIBAIC-AZUAARDMSA-N 0 3 319.489 4.454 20 0 DIADHN CCc1ccccc1CCN1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC001307497752 1065442251 /nfs/dbraw/zinc/44/22/51/1065442251.db2.gz QYMVPLDCXPOUFX-DYESRHJHSA-N 0 3 309.453 4.254 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(Cc2ccc(F)cc2)CC1 ZINC001307501832 1065445830 /nfs/dbraw/zinc/44/58/30/1065445830.db2.gz ZVWXSRPZSNKBCE-HXUWFJFHSA-N 0 3 312.432 4.095 20 0 DIADHN Cc1cc(CN2CC[C@@H](CSc3ccccc3)C2)sn1 ZINC001307505509 1065448493 /nfs/dbraw/zinc/44/84/93/1065448493.db2.gz HNIUAIUKLFMJDI-CQSZACIVSA-N 0 3 304.484 4.066 20 0 DIADHN CN(CCN1CCCc2ccccc21)Cc1cc2ccccc2[nH]1 ZINC001307514621 1065452948 /nfs/dbraw/zinc/45/29/48/1065452948.db2.gz VKLNXCHZIBGQSL-UHFFFAOYSA-N 0 3 319.452 4.053 20 0 DIADHN C[C@]1(CCc2ccccc2)CCN(Cc2cc(C3CC3)no2)C1 ZINC001307518766 1065458203 /nfs/dbraw/zinc/45/82/03/1065458203.db2.gz WSNYDYNUDQCHMS-FQEVSTJZSA-N 0 3 310.441 4.397 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2ccccc2F)CC1 ZINC001307524906 1065463754 /nfs/dbraw/zinc/46/37/54/1065463754.db2.gz QBWDDHNSRVPLOJ-UHFFFAOYSA-N 0 3 301.380 4.420 20 0 DIADHN CC(C)=CCN1CCN(C(=O)OC(C)(C)C)CC12CCCCC2 ZINC001307548407 1065476868 /nfs/dbraw/zinc/47/68/68/1065476868.db2.gz TYEJXGJCQBUSFI-UHFFFAOYSA-N 0 3 322.493 4.208 20 0 DIADHN CSc1ccc(NC(=O)CN2CCCC[C@@H]2CC(C)C)cc1 ZINC001307558841 1065481544 /nfs/dbraw/zinc/48/15/44/1065481544.db2.gz SXLQVROLYWGMAM-MRXNPFEDSA-N 0 3 320.502 4.248 20 0 DIADHN C[C@@H]1CC(CCN2CC(c3nc4ccccc4[nH]3)C2)C[C@@H](C)C1 ZINC001307557185 1065481670 /nfs/dbraw/zinc/48/16/70/1065481670.db2.gz WDBKHYWTXJUQFA-GJZGRUSLSA-N 0 3 311.473 4.425 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCCC[C@H]1CC(C)C ZINC001307558199 1065482410 /nfs/dbraw/zinc/48/24/10/1065482410.db2.gz NQVWPQUBXFVFMP-INIZCTEOSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCCC[C@@H]1CC(C)C ZINC001307558200 1065482735 /nfs/dbraw/zinc/48/27/35/1065482735.db2.gz NQVWPQUBXFVFMP-MRXNPFEDSA-N 0 3 322.880 4.488 20 0 DIADHN CCC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001307562823 1065484073 /nfs/dbraw/zinc/48/40/73/1065484073.db2.gz GTWCSLLPZQEZPE-UONOGXRCSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCC(C)(C)CO3)CCCC2)n1 ZINC001307562527 1065484124 /nfs/dbraw/zinc/48/41/24/1065484124.db2.gz SQFKUXXECCWGSO-AWEZNQCLSA-N 0 3 308.491 4.016 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)Cc2ccc(O)cc2)c1Cl ZINC001307579489 1065493212 /nfs/dbraw/zinc/49/32/12/1065493212.db2.gz NJSJXKUDGUARQM-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@H]1CCC2(CCC2)CO1 ZINC001307587698 1065498309 /nfs/dbraw/zinc/49/83/09/1065498309.db2.gz DAGYFISYPOFDRF-IIDMSEBBSA-N 0 3 317.448 4.483 20 0 DIADHN Cc1cc(C)cc(C2=CCN(C[C@@H]3CC[C@@H](C4CC4)O3)CC2)c1 ZINC001307585930 1065498490 /nfs/dbraw/zinc/49/84/90/1065498490.db2.gz PTEFAWOEQWEUGP-SFTDATJTSA-N 0 3 311.469 4.350 20 0 DIADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CC[C@@H](O)c1ccccc1 ZINC001307588477 1065500066 /nfs/dbraw/zinc/50/00/66/1065500066.db2.gz KJXQUGLMDXVAAQ-BXTJHSDWSA-N 0 3 313.416 4.257 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)CC1(O)CCCC1 ZINC001307604364 1065509479 /nfs/dbraw/zinc/50/94/79/1065509479.db2.gz ORIIFTGIIPHNFX-LLVKDONJSA-N 0 3 302.245 4.291 20 0 DIADHN CN(Cc1coc(-c2ccccc2F)n1)C[C@@H]1CC=CCC1 ZINC001307602579 1065509521 /nfs/dbraw/zinc/50/95/21/1065509521.db2.gz JJHHELFDJHSGBQ-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN CCC[C@@H](NC[C@H]1CC2(CCC2)CO1)c1ccc(F)cc1F ZINC001307613384 1065516480 /nfs/dbraw/zinc/51/64/80/1065516480.db2.gz LUAUWYFYFNTNTQ-RHSMWYFYSA-N 0 3 309.400 4.355 20 0 DIADHN CC[C@@](O)(CN[C@H](c1cccc(F)c1)C(C)(C)C)C(F)(F)F ZINC001307613299 1065517239 /nfs/dbraw/zinc/51/72/39/1065517239.db2.gz QJJADGSUKAAEPP-UKRRQHHQSA-N 0 3 321.358 4.206 20 0 DIADHN CO[C@@H](CN[C@H](c1ccc(F)cc1F)C1CC1)C1CCCC1 ZINC001307616956 1065521003 /nfs/dbraw/zinc/52/10/03/1065521003.db2.gz PNECDNGLJRGBIY-ROUUACIJSA-N 0 3 309.400 4.211 20 0 DIADHN CC[C@H](NCCN(C)Cc1ccccc1)c1cc(F)ccc1F ZINC001307626280 1065524285 /nfs/dbraw/zinc/52/42/85/1065524285.db2.gz ZGCOVRSTRPVKCI-IBGZPJMESA-N 0 3 318.411 4.138 20 0 DIADHN CC(C)C[C@@H](NCC(=O)NCC(C)(C)C)c1ccc(Cl)cc1 ZINC001307626367 1065525169 /nfs/dbraw/zinc/52/51/69/1065525169.db2.gz ZUEOOYPIZPZWDS-MRXNPFEDSA-N 0 3 324.896 4.179 20 0 DIADHN CC[C@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1ccc(Cl)cc1 ZINC001307630403 1065528388 /nfs/dbraw/zinc/52/83/88/1065528388.db2.gz QNPFXOJMPKCHBP-PBHICJAKSA-N 0 3 324.896 4.274 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)N[C@H](C)c1ccccc1)c1ccc(C)cc1 ZINC001307643446 1065535877 /nfs/dbraw/zinc/53/58/77/1065535877.db2.gz PQPPCTWLEXZEQT-FUHIMQAGSA-N 0 3 324.468 4.302 20 0 DIADHN COC[C@@H](NCCSc1ccc(Cl)cc1)c1ccccc1 ZINC001307641908 1065536724 /nfs/dbraw/zinc/53/67/24/1065536724.db2.gz DEWOTOSJFQPJHC-QGZVFWFLSA-N 0 3 321.873 4.409 20 0 DIADHN Fc1cccc(CN2CCN(CC/C=C\c3ccccc3)CC2)c1 ZINC001307646535 1065538868 /nfs/dbraw/zinc/53/88/68/1065538868.db2.gz ISCQKINHBWIHQW-WTKPLQERSA-N 0 3 324.443 4.047 20 0 DIADHN Fc1ccc(OCCN2CCC[C@@H]2c2ccncc2)cc1Cl ZINC001307647364 1065539265 /nfs/dbraw/zinc/53/92/65/1065539265.db2.gz SFJUKPOFBCRTDA-QGZVFWFLSA-N 0 3 320.795 4.090 20 0 DIADHN CC[C@@]1(C)COCCN1CCCOc1cccc2ccccc21 ZINC001307646517 1065539714 /nfs/dbraw/zinc/53/97/14/1065539714.db2.gz YSUVZKZHQARFSG-FQEVSTJZSA-N 0 3 313.441 4.110 20 0 DIADHN CCOC(=O)[C@H](C)[C@H](C)N[C@H](C)c1cc(Cl)ccc1Cl ZINC001307656301 1065547798 /nfs/dbraw/zinc/54/77/98/1065547798.db2.gz DBMGVSPDFMGYSW-OUAUKWLOSA-N 0 3 318.244 4.232 20 0 DIADHN CC[C@@H](NC[C@@H](COC)C(C)C)c1nc(C(F)(F)F)cs1 ZINC001307667590 1065551414 /nfs/dbraw/zinc/55/14/14/1065551414.db2.gz CQAJBCPJUSPKHV-WDEREUQCSA-N 0 3 324.412 4.121 20 0 DIADHN CC(C)COC[C@@H](NC[C@H]1CC2CCC1CC2)c1ccco1 ZINC001307668094 1065551549 /nfs/dbraw/zinc/55/15/49/1065551549.db2.gz AGNAIYNDAQEPHR-OPQOLIRYSA-N 0 3 305.462 4.409 20 0 DIADHN CC[C@H](NC[C@H](COC)C(C)C)c1nc(C(F)(F)F)cs1 ZINC001307667588 1065551857 /nfs/dbraw/zinc/55/18/57/1065551857.db2.gz CQAJBCPJUSPKHV-MNOVXSKESA-N 0 3 324.412 4.121 20 0 DIADHN c1ccc(CC[C@H]2CN(CC3CCC4(CC4)CC3)CCO2)cc1 ZINC001307665733 1065552489 /nfs/dbraw/zinc/55/24/89/1065552489.db2.gz LYLWIPXFFWACPI-FQEVSTJZSA-N 0 3 313.485 4.290 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccs1)C(=O)N(C)c1ccc(C)cc1 ZINC001307685726 1065561675 /nfs/dbraw/zinc/56/16/75/1065561675.db2.gz HMWNLEMWHOSPDR-GOEBONIOSA-N 0 3 316.470 4.149 20 0 DIADHN CC(C)c1ccc(CN2CC[C@@H](C)C[C@@H]2C(N)=O)c(C(C)C)c1 ZINC001307705746 1065574958 /nfs/dbraw/zinc/57/49/58/1065574958.db2.gz NMZHMUVOALOUCA-DNVCBOLYSA-N 0 3 316.489 4.019 20 0 DIADHN CCOC(=O)/C=C\CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC001307707628 1065577639 /nfs/dbraw/zinc/57/76/39/1065577639.db2.gz HHJZLEORHSBDRM-OYVUYXNMSA-N 0 3 317.816 4.263 20 0 DIADHN Cc1ccccc1[C@@]1(F)CCN(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)C1 ZINC001307716386 1065580975 /nfs/dbraw/zinc/58/09/75/1065580975.db2.gz BYODVZOQMAACGV-XLNGHYISSA-N 0 3 309.375 4.157 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001307713108 1065581790 /nfs/dbraw/zinc/58/17/90/1065581790.db2.gz MSXWFZFFMBGOJM-JJRVBVJISA-N 0 3 322.518 4.072 20 0 DIADHN CC1(C)CC[C@H](CN2CC=C(c3cccc(Cl)c3)CC2)O1 ZINC001307735074 1065594650 /nfs/dbraw/zinc/59/46/50/1065594650.db2.gz CFNXUZJGJCQJJV-QGZVFWFLSA-N 0 3 305.849 4.387 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2c(F)cccc2F)[C@H](C)[C@H]1OC ZINC001307744584 1065601122 /nfs/dbraw/zinc/60/11/22/1065601122.db2.gz LQPAYUHYRVFKOR-JQHQGBHXSA-N 0 3 311.416 4.455 20 0 DIADHN CCS[C@@H]1CCC[C@@H]1NCc1ccc(Cl)nc1Cl ZINC001307753791 1065606562 /nfs/dbraw/zinc/60/65/62/1065606562.db2.gz JNKZZEUCUVRSLO-WDEREUQCSA-N 0 3 305.274 4.152 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2sccc2Cl)C1(C)C ZINC001307756927 1065607914 /nfs/dbraw/zinc/60/79/14/1065607914.db2.gz KRLLZWSTWDKGQU-ZIAGYGMSSA-N 0 3 301.883 4.475 20 0 DIADHN CC(C)C[C@@H](C)CN1CCc2ccc(Br)cc2C1 ZINC001307768736 1065613253 /nfs/dbraw/zinc/61/32/53/1065613253.db2.gz XGWPPWUTUXZFKB-CYBMUJFWSA-N 0 3 310.279 4.489 20 0 DIADHN CCC[C@@H](NCc1nc(-c2ccc(F)c(F)c2)no1)C(C)(C)C ZINC001307777184 1065617711 /nfs/dbraw/zinc/61/77/11/1065617711.db2.gz YIZBMBOBZIMSTL-CQSZACIVSA-N 0 3 323.387 4.319 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H]1C[C@@H](OC(C)C)C1(C)C ZINC001307777628 1065618122 /nfs/dbraw/zinc/61/81/22/1065618122.db2.gz HSRQVFPFQUYCHY-JKSUJKDBSA-N 0 3 311.853 4.030 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1ccnc(Br)c1 ZINC001307787252 1065619017 /nfs/dbraw/zinc/61/90/17/1065619017.db2.gz SZLCYNBIPKOVNM-HNNXBMFYSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1ccc(CN(C)CCc2c(Cl)cccc2Cl)nc1 ZINC001307795962 1065625880 /nfs/dbraw/zinc/62/58/80/1065625880.db2.gz SQAUQMUQPDUHRG-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN OC[C@@]1(F)CN(CCCC2CCCCC2)C[C@H]1c1ccccc1 ZINC001307805590 1065629698 /nfs/dbraw/zinc/62/96/98/1065629698.db2.gz QRNRKRKPNAZRFN-PMACEKPBSA-N 0 3 319.464 4.147 20 0 DIADHN CC1(C)CN(Cc2ccc3ncccc3c2)Cc2ccccc2O1 ZINC001307813174 1065632949 /nfs/dbraw/zinc/63/29/49/1065632949.db2.gz LCILZYLZLHWFDT-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)C[C@@H]1CCCC(C)(C)O1 ZINC001307812491 1065634266 /nfs/dbraw/zinc/63/42/66/1065634266.db2.gz UCEWLIUBSQQHTB-RDJZCZTQSA-N 0 3 305.462 4.426 20 0 DIADHN Cc1cnccc1CN(C)[C@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC001307822157 1065638179 /nfs/dbraw/zinc/63/81/79/1065638179.db2.gz SSNLJUCUTWYEOA-OALUTQOASA-N 0 3 310.441 4.068 20 0 DIADHN CN(Cc1nc2c(o1)CCCC2)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001307831248 1065641962 /nfs/dbraw/zinc/64/19/62/1065641962.db2.gz AIHKZSJTGBWQOL-AEFFLSMTSA-N 0 3 310.441 4.322 20 0 DIADHN COc1cccc(CCCN2CCc3cccc(Cl)c3C2)c1 ZINC001307832465 1065643540 /nfs/dbraw/zinc/64/35/40/1065643540.db2.gz MOPODBVUNACRLD-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C)CCCc2cccnc2)c1F ZINC001307832516 1065643840 /nfs/dbraw/zinc/64/38/40/1065643840.db2.gz OOFONHXSFGYUQQ-AWEZNQCLSA-N 0 3 304.384 4.169 20 0 DIADHN Clc1ccc(-n2ccc(CNC3(C4CCC4)CCC3)n2)cc1 ZINC001307832434 1065644428 /nfs/dbraw/zinc/64/44/28/1065644428.db2.gz KICGYBKKUTWRPY-UHFFFAOYSA-N 0 3 315.848 4.338 20 0 DIADHN C[C@H]1CCC(C)(C)N1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001307834531 1065644471 /nfs/dbraw/zinc/64/44/71/1065644471.db2.gz KTFQRIHUTZYTFH-AWEZNQCLSA-N 0 3 302.462 4.186 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1nc2cc(Br)ccc2o1 ZINC001307834926 1065645556 /nfs/dbraw/zinc/64/55/56/1065645556.db2.gz RHGUOEYROAKODZ-SNVBAGLBSA-N 0 3 323.234 4.353 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1c(Cl)oc2ccccc21)C1CC1 ZINC001307844902 1065648397 /nfs/dbraw/zinc/64/83/97/1065648397.db2.gz MEJVDOKFVQXLKD-GTNSWQLSSA-N 0 3 307.821 4.379 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](CC(C)(C)C)C2)c1C ZINC001307847843 1065650519 /nfs/dbraw/zinc/65/05/19/1065650519.db2.gz NUCVFYLXVZDIHB-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)CCOCCN1CCC[C@H]1Cc1cc(F)ccc1F ZINC001307849573 1065652275 /nfs/dbraw/zinc/65/22/75/1065652275.db2.gz KDLIHJNBFOEEJX-KRWDZBQOSA-N 0 3 311.416 4.034 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC001307874312 1065664674 /nfs/dbraw/zinc/66/46/74/1065664674.db2.gz MEUQPWAKZHFLAA-CYBMUJFWSA-N 0 3 310.869 4.343 20 0 DIADHN C[C@H](c1ccccc1)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001307884274 1065666700 /nfs/dbraw/zinc/66/67/00/1065666700.db2.gz LHRPBLBUTMSWPT-GFCCVEGCSA-N 0 3 305.324 4.201 20 0 DIADHN CC(C)(C)OC(=O)CC1(NCC=C(Cl)Cl)CCCCC1 ZINC001307879780 1065666768 /nfs/dbraw/zinc/66/67/68/1065666768.db2.gz VIYUFXUOSZKWGS-UHFFFAOYSA-N 0 3 322.276 4.330 20 0 DIADHN CC1CC(CN(C)[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001307908683 1065679030 /nfs/dbraw/zinc/67/90/30/1065679030.db2.gz OWGCKDZUBKMTQG-QIMFLAQGSA-N 0 3 302.245 4.005 20 0 DIADHN CCC[C@H](C)[C@@H](CO)NCc1nc(C2CCCCC2)cs1 ZINC001307895568 1065671020 /nfs/dbraw/zinc/67/10/20/1065671020.db2.gz WCEZBVFHKFEBQL-DZGCQCFKSA-N 0 3 310.507 4.078 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCCC[C@H]1C1CCC1 ZINC001307903550 1065673916 /nfs/dbraw/zinc/67/39/16/1065673916.db2.gz ZROTUPXRPHLGBZ-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)C(F)(F)C3(F)F)cc1C ZINC001307902932 1065674310 /nfs/dbraw/zinc/67/43/10/1065674310.db2.gz GQNXHLTUAVSJJS-UHFFFAOYSA-N 0 3 301.327 4.170 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)C[C@H]1CC[C@H](C2CC2)O1 ZINC001307901681 1065674496 /nfs/dbraw/zinc/67/44/96/1065674496.db2.gz MTKNIUPJXLVJBG-SJLPKXTDSA-N 0 3 313.441 4.439 20 0 DIADHN c1ccc([C@@H]2CN(CCOC3CCCCC3)CCS2)cc1 ZINC001307903983 1065676269 /nfs/dbraw/zinc/67/62/69/1065676269.db2.gz ILJRYVGIPZCRTN-SFHVURJKSA-N 0 3 305.487 4.126 20 0 DIADHN CC1CC(CN(C)[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001307908681 1065678297 /nfs/dbraw/zinc/67/82/97/1065678297.db2.gz OWGCKDZUBKMTQG-MGULZYLOSA-N 0 3 302.245 4.005 20 0 DIADHN COc1ncc(CN2CCC(c3ccco3)CC2)c2ccccc21 ZINC001307915045 1065680485 /nfs/dbraw/zinc/68/04/85/1065680485.db2.gz RGRZQBAUJHXZHG-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN c1coc(C2CCN(CCc3nsc4ccccc43)CC2)c1 ZINC001307916340 1065680813 /nfs/dbraw/zinc/68/08/13/1065680813.db2.gz ZMLVHTPEEJDFLA-UHFFFAOYSA-N 0 3 312.438 4.311 20 0 DIADHN CC[C@H](NC[C@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC001307929484 1065687085 /nfs/dbraw/zinc/68/70/85/1065687085.db2.gz ZGRVCZNMEQANKX-RDJZCZTQSA-N 0 3 318.509 4.262 20 0 DIADHN CC1(C)CCC[C@@H](CN(Cc2ccccc2F)CC2CC2)O1 ZINC001307941142 1065690535 /nfs/dbraw/zinc/69/05/35/1065690535.db2.gz RTAIOKLYNFPHBY-KRWDZBQOSA-N 0 3 305.437 4.385 20 0 DIADHN Cc1cc(CN2CC[C@H](C(=O)OC(C)(C)C)[C@@H](C)C2)c(C)s1 ZINC001307939049 1065691329 /nfs/dbraw/zinc/69/13/29/1065691329.db2.gz NWEBEZVGUURKKT-LRDDRELGSA-N 0 3 323.502 4.165 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC(c2ccc(F)cn2)CC1 ZINC001307945397 1065692297 /nfs/dbraw/zinc/69/22/97/1065692297.db2.gz PCQLGWLGCZIFFZ-ZDUSSCGKSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(c2ccc(F)cn2)CC1 ZINC001307945899 1065692596 /nfs/dbraw/zinc/69/25/96/1065692596.db2.gz RUULSZTXVRMKIH-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CCC[C@H](C2CCCCC2)C1 ZINC001307958169 1065700721 /nfs/dbraw/zinc/70/07/21/1065700721.db2.gz MOQWOTHVYCTMTP-AEFFLSMTSA-N 0 3 314.473 4.306 20 0 DIADHN C[C@@H](c1ccc2c(c1)CCC2)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC001307968402 1065704543 /nfs/dbraw/zinc/70/45/43/1065704543.db2.gz GHKKTKMZOCPKAO-HNNXBMFYSA-N 0 3 323.484 4.054 20 0 DIADHN CCC(CC)CCCN(C)[C@@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC001307968908 1065705071 /nfs/dbraw/zinc/70/50/71/1065705071.db2.gz MHJWRCQFRXRLKU-SJORKVTESA-N 0 3 318.505 4.401 20 0 DIADHN Cc1cccc([C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)C(C)C)c1 ZINC001307986473 1065712718 /nfs/dbraw/zinc/71/27/18/1065712718.db2.gz AJJZZLZGBKUXLX-PXNSSMCTSA-N 0 3 318.505 4.175 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3ccccc3Cl)CC2)c1 ZINC001308005651 1065721327 /nfs/dbraw/zinc/72/13/27/1065721327.db2.gz JXNVFRFJMUUKEG-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1c(Cl)ccc2c1CN(CC[C@H](O)c1ccccc1)CC2 ZINC001308022580 1065729321 /nfs/dbraw/zinc/72/93/21/1065729321.db2.gz PJXJJGWGAHYEHE-IBGZPJMESA-N 0 3 315.844 4.130 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CC[C@]2(C)C2CCCCC2)c1 ZINC001308026772 1065731317 /nfs/dbraw/zinc/73/13/17/1065731317.db2.gz PQFVDEFAUZSEQD-LJQANCHMSA-N 0 3 319.420 4.157 20 0 DIADHN C[C@@]1(C(F)(F)F)CCN(CCc2nsc3ccccc32)C1 ZINC001308055557 1065743539 /nfs/dbraw/zinc/74/35/39/1065743539.db2.gz SCMOPKGCMFYHGK-CQSZACIVSA-N 0 3 314.376 4.113 20 0 DIADHN CC/C=C/CCN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001308059048 1065743764 /nfs/dbraw/zinc/74/37/64/1065743764.db2.gz VMXMNVORCKRCLH-UEKDZROGSA-N 0 3 304.453 4.251 20 0 DIADHN OC1(C[C@H]2CCCCN2Cc2ccc(F)c(F)c2)CCCCC1 ZINC001308065808 1065746500 /nfs/dbraw/zinc/74/65/00/1065746500.db2.gz IHUCBSIRMXYOIM-MRXNPFEDSA-N 0 3 323.427 4.405 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2COC(C)(C)C)cc1Cl ZINC001308064032 1065748673 /nfs/dbraw/zinc/74/86/73/1065748673.db2.gz PEJDDZQWEIWCFJ-CQSZACIVSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1csc(C[C@@H]2CCCN(Cc3ccc(F)cc3)C2)n1 ZINC001308086082 1065755454 /nfs/dbraw/zinc/75/54/54/1065755454.db2.gz VAZIPOGQVLVNBE-HNNXBMFYSA-N 0 3 304.434 4.045 20 0 DIADHN CC[C@H](NCCSC(F)(F)F)c1cc(F)ccc1OC ZINC001308090093 1065756919 /nfs/dbraw/zinc/75/69/19/1065756919.db2.gz LANCDHPAFCBXSY-NSHDSACASA-N 0 3 311.344 4.128 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(c2ncccn2)CC1 ZINC001308091468 1065760527 /nfs/dbraw/zinc/76/05/27/1065760527.db2.gz UCZHJQCZTNORMP-KRWDZBQOSA-N 0 3 315.848 4.461 20 0 DIADHN FC(F)Oc1ccccc1CN1CCCSC[C@H]1C1CC1 ZINC001308121277 1065769738 /nfs/dbraw/zinc/76/97/38/1065769738.db2.gz FIRJRRULUZQHNH-AWEZNQCLSA-N 0 3 313.413 4.006 20 0 DIADHN Cc1cc(C)cc(C2CCN([C@H](C)c3cnc(C)cn3)CC2)c1 ZINC001308135311 1065775751 /nfs/dbraw/zinc/77/57/51/1065775751.db2.gz ILTADQPAJJZWCF-QGZVFWFLSA-N 0 3 309.457 4.342 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N(C)CC1CCC1 ZINC001308135679 1065776014 /nfs/dbraw/zinc/77/60/14/1065776014.db2.gz IYUGDXYQQAPKBN-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN Fc1ccc(Cl)cc1CN1CCCC[C@H]([C@H]2CCOC2)C1 ZINC001308144552 1065779332 /nfs/dbraw/zinc/77/93/32/1065779332.db2.gz AOSWJUXPZGGEGA-KBPBESRZSA-N 0 3 311.828 4.118 20 0 DIADHN CCCCCCN(CCC(=O)OC(C)(C)C)Cc1ccco1 ZINC001308146069 1065780914 /nfs/dbraw/zinc/78/09/14/1065780914.db2.gz WQSUITDWJSQRAN-UHFFFAOYSA-N 0 3 309.450 4.394 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2ccccc2C2CC2)c2ccccc21 ZINC001308157991 1065783746 /nfs/dbraw/zinc/78/37/46/1065783746.db2.gz KTPFKJSJHUHEIY-OPAMFIHVSA-N 0 3 321.464 4.439 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2ccc(Cl)cc2)c2ccccc21 ZINC001308158468 1065784691 /nfs/dbraw/zinc/78/46/91/1065784691.db2.gz QTDGNOPSDRKHJM-IFXJQAMLSA-N 0 3 315.844 4.215 20 0 DIADHN Cc1ccc(CN2CCC[C@@]2(CO)c2ccccc2)c(Cl)c1 ZINC001308162985 1065787618 /nfs/dbraw/zinc/78/76/18/1065787618.db2.gz KAIFQVXFCNRIMJ-LJQANCHMSA-N 0 3 315.844 4.132 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1ccc(OC(F)F)cc1)C2 ZINC001308173894 1065790044 /nfs/dbraw/zinc/79/00/44/1065790044.db2.gz PWGZPNQXWLTSOQ-UHFFFAOYSA-N 0 3 317.379 4.463 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNC1CCCC1 ZINC001308183264 1065794347 /nfs/dbraw/zinc/79/43/47/1065794347.db2.gz QGRBKMZKPDVTNC-UHFFFAOYSA-N 0 3 317.864 4.234 20 0 DIADHN CCn1cnc(CNCCc2c(C)cc(C(C)(C)C)cc2C)c1 ZINC001308183804 1065794918 /nfs/dbraw/zinc/79/49/18/1065794918.db2.gz PNEJRIOQRUBRIW-UHFFFAOYSA-N 0 3 313.489 4.150 20 0 DIADHN CC(C)Oc1ccc(CCNCc2csc(C(C)C)n2)cc1 ZINC001308184720 1065795156 /nfs/dbraw/zinc/79/51/56/1065795156.db2.gz MSIKMDJFVBMWTK-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccc(OC(F)(F)F)cc1 ZINC001308188139 1065798670 /nfs/dbraw/zinc/79/86/70/1065798670.db2.gz LXFCKOHXHCKWER-UHFFFAOYSA-N 0 3 313.294 4.323 20 0 DIADHN CCc1cccc(CNCc2ccc(Br)s2)c1 ZINC001308187756 1065799448 /nfs/dbraw/zinc/79/94/48/1065799448.db2.gz DOUGDQUWZXJRCB-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2ccc(F)c(C)c2)c1C ZINC001308187755 1065799829 /nfs/dbraw/zinc/79/98/29/1065799829.db2.gz DLNJXEDYHLSPOX-UHFFFAOYSA-N 0 3 315.432 4.496 20 0 DIADHN CCOCc1ccccc1CNCc1cccc(Cl)c1C ZINC001308190499 1065801333 /nfs/dbraw/zinc/80/13/33/1065801333.db2.gz CFHQBDKCAGMBLA-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1cccc2c1OC(C)(C)C2 ZINC001308200862 1065810142 /nfs/dbraw/zinc/81/01/42/1065810142.db2.gz OYWNKXSHRCANTH-CRAIPNDOSA-N 0 3 317.473 4.085 20 0 DIADHN CCCOc1ccc(CNCc2c(C)cc(Cl)cc2C)cn1 ZINC001308206239 1065814110 /nfs/dbraw/zinc/81/41/10/1065814110.db2.gz BDLGDUMQWFKHAW-UHFFFAOYSA-N 0 3 318.848 4.430 20 0 DIADHN CCN1CCN(c2ccc(NCc3ccc(C)cc3C)cc2)CC1 ZINC001308214953 1065822750 /nfs/dbraw/zinc/82/27/50/1065822750.db2.gz CXNNUPJODJZDLO-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN CCc1ncc(CNCCOc2ccc3ccccc3c2)s1 ZINC001308213241 1065823018 /nfs/dbraw/zinc/82/30/18/1065823018.db2.gz GRXXODSKQUSCOO-UHFFFAOYSA-N 0 3 312.438 4.027 20 0 DIADHN CCn1ccnc1CNCC1(c2cccc(Cl)c2)CCCC1 ZINC001308224281 1065832126 /nfs/dbraw/zinc/83/21/26/1065832126.db2.gz FMMASGQGVDGMSC-UHFFFAOYSA-N 0 3 317.864 4.158 20 0 DIADHN CCc1ccccc1Oc1ccc(CN[C@H](C)CO)c(Cl)c1 ZINC001308223289 1065832425 /nfs/dbraw/zinc/83/24/25/1065832425.db2.gz WKCJNVNMNFEGDR-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN CC(C)CC(=O)Nc1cccc(CNC/C=C\c2ccccc2)c1 ZINC001308226967 1065833872 /nfs/dbraw/zinc/83/38/72/1065833872.db2.gz ZXABSSLVVQJMCM-XFFZJAGNSA-N 0 3 322.452 4.474 20 0 DIADHN CCCCOc1ccccc1CN[C@H](C)COc1ccccc1 ZINC001308229260 1065836868 /nfs/dbraw/zinc/83/68/68/1065836868.db2.gz YBYMZNFBJMFWTK-QGZVFWFLSA-N 0 3 313.441 4.423 20 0 DIADHN c1ccc([C@H](NCc2cccc3c2CCC3)[C@H]2CCCO2)cc1 ZINC001308228595 1065836909 /nfs/dbraw/zinc/83/69/09/1065836909.db2.gz WKWJZDCYLUDXGC-RTWAWAEBSA-N 0 3 307.437 4.185 20 0 DIADHN CC[C@@H](C)NC(=O)[C@H](C)NCc1ccccc1-c1ccccc1C ZINC001308236358 1065843067 /nfs/dbraw/zinc/84/30/67/1065843067.db2.gz XQMMEQQYCUJOMH-SJORKVTESA-N 0 3 324.468 4.055 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1ccc(OCC(F)F)cc1 ZINC001308243089 1065849172 /nfs/dbraw/zinc/84/91/72/1065849172.db2.gz IITJXMACHXMWKM-QGZVFWFLSA-N 0 3 321.342 4.247 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc2c(c1)OC(F)(F)O2 ZINC001308244154 1065849401 /nfs/dbraw/zinc/84/94/01/1065849401.db2.gz ZNIZZCFNCFPNFN-OAHLLOKOSA-N 0 3 317.335 4.094 20 0 DIADHN COc1ccccc1CN[C@@H](CN1CCCCC1)c1ccccc1 ZINC001308245252 1065850058 /nfs/dbraw/zinc/85/00/58/1065850058.db2.gz VXSXZBXUZVYVED-FQEVSTJZSA-N 0 3 324.468 4.012 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCc1ccc2ccccc2c1 ZINC001308250086 1065853757 /nfs/dbraw/zinc/85/37/57/1065853757.db2.gz QOVSQAFYJMNPEV-UHFFFAOYSA-N 0 3 313.832 4.111 20 0 DIADHN C[C@@H](COCC(F)(F)F)NCc1ccc(Cl)cc1Cl ZINC001308250002 1065854269 /nfs/dbraw/zinc/85/42/69/1065854269.db2.gz MZHZQJFUCUXWMR-QMMMGPOBSA-N 0 3 316.150 4.050 20 0 DIADHN c1ccc2c(c1)CSC[C@H]2NCc1ccc2ncccc2c1 ZINC001308259500 1065861419 /nfs/dbraw/zinc/86/14/19/1065861419.db2.gz WNFLKGKMJQKYCN-LJQANCHMSA-N 0 3 306.434 4.313 20 0 DIADHN c1ccc2c(c1)CSC[C@@H]2NCc1ccc2ncccc2c1 ZINC001308259499 1065862143 /nfs/dbraw/zinc/86/21/43/1065862143.db2.gz WNFLKGKMJQKYCN-IBGZPJMESA-N 0 3 306.434 4.313 20 0 DIADHN C[C@@H]1CCc2ccccc2N1CCNCc1ccsc1Cl ZINC001308262961 1065863352 /nfs/dbraw/zinc/86/33/52/1065863352.db2.gz DGJUWUOKOLYNTQ-CYBMUJFWSA-N 0 3 320.889 4.332 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001308266745 1065865638 /nfs/dbraw/zinc/86/56/38/1065865638.db2.gz WPTIIYRMSJRXKH-QMTHXVAHSA-N 0 3 323.786 4.246 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2c(Cl)cncc2Cl)C1 ZINC001308269214 1065868469 /nfs/dbraw/zinc/86/84/69/1065868469.db2.gz QLTAOOCJMBIYCU-NXEZZACHSA-N 0 3 305.274 4.152 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2CCCO[C@H]2C(C)(C)C)c(F)c1 ZINC001308269885 1065869799 /nfs/dbraw/zinc/86/97/99/1065869799.db2.gz URIXTMJRZRHSIG-CXAGYDPISA-N 0 3 311.416 4.204 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCN(c3ccc(F)cc3C)C2)o1 ZINC001308271253 1065870696 /nfs/dbraw/zinc/87/06/96/1065870696.db2.gz LJAUAJAYLYALMV-MRXNPFEDSA-N 0 3 316.420 4.048 20 0 DIADHN CC(C)Cc1ncc(CNCCOC2CCCCCC2)s1 ZINC001308270658 1065871035 /nfs/dbraw/zinc/87/10/35/1065871035.db2.gz JQWONBIGDJECFQ-UHFFFAOYSA-N 0 3 310.507 4.171 20 0 DIADHN CSCC[C@H](C)NCc1cc(C)c(F)cc1Br ZINC001308277443 1065876102 /nfs/dbraw/zinc/87/61/02/1065876102.db2.gz GRLYLGMMRCUJMD-JTQLQIEISA-N 0 3 320.271 4.128 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@H](C)Cc1c(C)noc1C ZINC001308278478 1065878193 /nfs/dbraw/zinc/87/81/93/1065878193.db2.gz BKEGGHKOWXXBES-LLVKDONJSA-N 0 3 322.836 4.064 20 0 DIADHN Cc1ccnc(CN[C@H]2C[C@H](C)C[C@H](C)C2)c1Br ZINC001308278771 1065878218 /nfs/dbraw/zinc/87/82/18/1065878218.db2.gz HHIZEDQRNBCTMD-PJXYFTJBSA-N 0 3 311.267 4.067 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)c3cnn(C)c3)s2)cc1 ZINC001308283553 1065880380 /nfs/dbraw/zinc/88/03/80/1065880380.db2.gz YGDYLOGQPNOCDY-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)C1(C)C ZINC001308297821 1065892025 /nfs/dbraw/zinc/89/20/25/1065892025.db2.gz LYCYIUOFYYAURN-CHWSQXEVSA-N 0 3 321.770 4.262 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C\c2ccc(F)cc2F)cc1F ZINC001308298617 1065894335 /nfs/dbraw/zinc/89/43/35/1065894335.db2.gz UXDQCZDMMFDESG-VSQXVHSFSA-N 0 3 321.342 4.477 20 0 DIADHN CCc1nc(CN[C@H](Cc2ccccc2OC)C(C)C)cs1 ZINC001308304157 1065898381 /nfs/dbraw/zinc/89/83/81/1065898381.db2.gz WAOCRIXBTHXFNA-MRXNPFEDSA-N 0 3 318.486 4.071 20 0 DIADHN Cc1cc(C)nc(NCc2cccc(C)c2Br)c1 ZINC001308339762 1065924940 /nfs/dbraw/zinc/92/49/40/1065924940.db2.gz JYGHHDCGPDHBAF-UHFFFAOYSA-N 0 3 305.219 4.381 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1ccc(Cl)cn1 ZINC001308341302 1065926028 /nfs/dbraw/zinc/92/60/28/1065926028.db2.gz FFFADRLPURDLMJ-AWEZNQCLSA-N 0 3 306.862 4.396 20 0 DIADHN CC(C)C[C@@H](C)NCc1cnn(Cc2ccccc2)c1Cl ZINC001308343825 1065928058 /nfs/dbraw/zinc/92/80/58/1065928058.db2.gz WMJABIIUJZHGSS-CQSZACIVSA-N 0 3 305.853 4.109 20 0 DIADHN CCC(C)(C)c1ccc(CNCc2cccc3nccn32)cc1 ZINC001308343777 1065928193 /nfs/dbraw/zinc/92/81/93/1065928193.db2.gz ULXWFCVSVSMESL-UHFFFAOYSA-N 0 3 307.441 4.312 20 0 DIADHN CC(C)Cc1ccc(CN[C@H]2CN(C)Cc3ccccc32)cc1 ZINC001308363046 1065941033 /nfs/dbraw/zinc/94/10/33/1065941033.db2.gz VFVSURKXLLGFBA-NRFANRHFSA-N 0 3 308.469 4.161 20 0 DIADHN Cc1ccc2c(CCNCc3nc4cc(F)ccc4o3)c[nH]c2c1 ZINC001308377428 1065950477 /nfs/dbraw/zinc/95/04/77/1065950477.db2.gz ZNTNHOPZBTXPBT-UHFFFAOYSA-N 0 3 323.371 4.089 20 0 DIADHN Cc1scc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c1C ZINC001308384274 1065954803 /nfs/dbraw/zinc/95/48/03/1065954803.db2.gz CBMRFMBIENNHBR-KRWDZBQOSA-N 0 3 322.905 4.411 20 0 DIADHN CCCn1cc(CN[C@H](c2ccc(F)cc2)C(C)C)c(C)n1 ZINC001308386247 1065954908 /nfs/dbraw/zinc/95/49/08/1065954908.db2.gz WNCCTTFYFVZXHU-SFHVURJKSA-N 0 3 303.425 4.228 20 0 DIADHN CCCn1cc(CN[C@@H](c2ccc(F)cc2)C(C)C)c(C)n1 ZINC001308386245 1065955025 /nfs/dbraw/zinc/95/50/25/1065955025.db2.gz WNCCTTFYFVZXHU-GOSISDBHSA-N 0 3 303.425 4.228 20 0 DIADHN OC[C@@H](CC1CCCC1)NCc1ccc(Cl)cc1Cl ZINC001308386508 1065956105 /nfs/dbraw/zinc/95/61/05/1065956105.db2.gz ZPBBBMPAWPXAAO-CQSZACIVSA-N 0 3 302.245 4.024 20 0 DIADHN Cc1nn(C)c(CNCC[C@H]2C[C@@H](C)CC(C)(C)C2)c1Cl ZINC001308390268 1065957633 /nfs/dbraw/zinc/95/76/33/1065957633.db2.gz HEUXCLYTRZBXAC-OCCSQVGLSA-N 0 3 311.901 4.324 20 0 DIADHN COc1cnc(F)c(CNCC[C@H]2C[C@H](C)CC(C)(C)C2)c1 ZINC001308390539 1065958332 /nfs/dbraw/zinc/95/83/32/1065958332.db2.gz IZWVQZNIHHSVJO-KBPBESRZSA-N 0 3 308.441 4.171 20 0 DIADHN CCc1ccc([C@H](O)CNCc2ccc(-c3ccccc3)o2)cc1 ZINC001308401292 1065963376 /nfs/dbraw/zinc/96/33/76/1065963376.db2.gz OHMIQVAJFUUNLB-HXUWFJFHSA-N 0 3 321.420 4.332 20 0 DIADHN c1nn2ccccc2c1CNCc1cccc(C2CCCC2)c1 ZINC001308401992 1065965272 /nfs/dbraw/zinc/96/52/72/1065965272.db2.gz YAOAFBOVCZSINC-UHFFFAOYSA-N 0 3 305.425 4.282 20 0 DIADHN Clc1cccc(C2(CNCc3ncc[nH]3)CCCCC2)c1 ZINC001308410521 1065969334 /nfs/dbraw/zinc/96/93/34/1065969334.db2.gz JSSRALJMDONXRY-UHFFFAOYSA-N 0 3 303.837 4.055 20 0 DIADHN Cc1cccc(CNC[C@H]2CCCC2(F)F)c1Br ZINC001308409805 1065970442 /nfs/dbraw/zinc/97/04/42/1065970442.db2.gz WTTWZBXOHLPLOA-GFCCVEGCSA-N 0 3 318.205 4.283 20 0 DIADHN C=Cc1ccc(CCNCc2nc3c(s2)C[C@H](C)CC3)cc1 ZINC001308414113 1065972352 /nfs/dbraw/zinc/97/23/52/1065972352.db2.gz WLOYFNMPQKNUJM-CQSZACIVSA-N 0 3 312.482 4.243 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC(C)(C)CO ZINC001308418312 1065974893 /nfs/dbraw/zinc/97/48/93/1065974893.db2.gz SBFGNBQTBOLMSY-UHFFFAOYSA-N 0 3 315.910 4.339 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H]3CCCc4c(F)cccc43)ccc2O1 ZINC001308420706 1065977212 /nfs/dbraw/zinc/97/72/12/1065977212.db2.gz KHGZLDVUGBEDCJ-ORAYPTAESA-N 0 3 311.400 4.316 20 0 DIADHN CC1(CNCc2c(F)cncc2Br)CCCCC1 ZINC001308422322 1065978519 /nfs/dbraw/zinc/97/85/19/1065978519.db2.gz CLFWQBNZCFYHNW-UHFFFAOYSA-N 0 3 315.230 4.043 20 0 DIADHN C[C@]1(NCc2cnn(Cc3ccccc3)c2Cl)CC=CCC1 ZINC001308433230 1065984269 /nfs/dbraw/zinc/98/42/69/1065984269.db2.gz IORVPDLMYJXBTI-SFHVURJKSA-N 0 3 315.848 4.173 20 0 DIADHN CO[C@@H](CNCc1c(Cl)ccc2cccnc21)CC(C)C ZINC001308441755 1065989927 /nfs/dbraw/zinc/98/99/27/1065989927.db2.gz QKGNHTRHLDIYIP-CQSZACIVSA-N 0 3 306.837 4.039 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@H]2CC23CC3)cc1Cl ZINC001308444268 1065992901 /nfs/dbraw/zinc/99/29/01/1065992901.db2.gz FCXYBNBJWYCKTN-AWEZNQCLSA-N 0 3 300.229 4.423 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)NCc2ccc(C)cc2Cl)cc1 ZINC001308446588 1065993252 /nfs/dbraw/zinc/99/32/52/1065993252.db2.gz ABVDFSHRTYKVGL-KBXCAEBGSA-N 0 3 303.833 4.169 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2cccc(Cl)c2Br)C1 ZINC001308447280 1065995501 /nfs/dbraw/zinc/99/55/01/1065995501.db2.gz LLDXWLMBHREMEK-WDEREUQCSA-N 0 3 320.633 4.473 20 0 DIADHN CC(C)COc1ccccc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001308450580 1065996763 /nfs/dbraw/zinc/99/67/63/1065996763.db2.gz JDYANMLRXQXOHV-GOSISDBHSA-N 0 3 317.473 4.303 20 0 DIADHN CC[C@H](OCCNCc1cnc(Cl)c(F)c1)c1ccccc1 ZINC001308455188 1065998260 /nfs/dbraw/zinc/99/82/60/1065998260.db2.gz FHBVWRIKUGRYKH-INIZCTEOSA-N 0 3 322.811 4.132 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H]1C(C)(C)C1(F)F ZINC001308474875 1066014288 /nfs/dbraw/zinc/01/42/88/1066014288.db2.gz JNECLOFBYITHMC-GFCCVEGCSA-N 0 3 303.780 4.120 20 0 DIADHN CSc1ccc(CN[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)o1 ZINC001308464791 1066006829 /nfs/dbraw/zinc/00/68/29/1066006829.db2.gz BSKXMTNUALVIQC-ZIAGYGMSSA-N 0 3 309.475 4.217 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNCCc2ccoc2)c1 ZINC001308465165 1066006927 /nfs/dbraw/zinc/00/69/27/1066006927.db2.gz MOJILKUVAIHWLN-UHFFFAOYSA-N 0 3 303.711 4.284 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H]2CCC[C@@H](C)O2)c1Cl ZINC001308467519 1066007908 /nfs/dbraw/zinc/00/79/08/1066007908.db2.gz AMQGOEJFKHQGCY-ZWNOBZJWSA-N 0 3 302.245 4.349 20 0 DIADHN CCCOc1ccc(CNCc2cccc(OC)c2C)c(C)c1 ZINC001308472961 1066012834 /nfs/dbraw/zinc/01/28/34/1066012834.db2.gz ZQTYABRDNFTJOL-UHFFFAOYSA-N 0 3 313.441 4.391 20 0 DIADHN CCCc1cccc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)c1 ZINC001308474460 1066013307 /nfs/dbraw/zinc/01/33/07/1066013307.db2.gz ATNVVNPVKUCOTN-RTWAWAEBSA-N 0 3 311.469 4.487 20 0 DIADHN CCC[C@@H](CNCc1ccc(F)c(Cl)c1Cl)OCC ZINC001308474598 1066013422 /nfs/dbraw/zinc/01/34/22/1066013422.db2.gz DJOOPTXUZUOJFO-NSHDSACASA-N 0 3 308.224 4.427 20 0 DIADHN CCCc1cccc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)c1 ZINC001308474462 1066013676 /nfs/dbraw/zinc/01/36/76/1066013676.db2.gz ATNVVNPVKUCOTN-SFTDATJTSA-N 0 3 311.469 4.487 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](c1ccccc1)[C@H](O)C(C)C ZINC001308474895 1066014445 /nfs/dbraw/zinc/01/44/45/1066014445.db2.gz CHOKFVBPMVGJGG-RBUKOAKNSA-N 0 3 317.860 4.496 20 0 DIADHN CC[C@](C)(NCc1cc(OC)cc(OC)c1)c1ccc(F)cc1 ZINC001308489103 1066022527 /nfs/dbraw/zinc/02/25/27/1066022527.db2.gz VWLFBUNZTOZDGK-IBGZPJMESA-N 0 3 317.404 4.258 20 0 DIADHN Cc1scc(CNCc2ccc3c(c2)OCCCCO3)c1C ZINC001308486997 1066023634 /nfs/dbraw/zinc/02/36/34/1066023634.db2.gz VRKYTXVPFNVLTC-UHFFFAOYSA-N 0 3 317.454 4.206 20 0 DIADHN Cc1cccc(CN[C@H](CCO)c2ccc(Cl)c(F)c2)c1C ZINC001308490583 1066024086 /nfs/dbraw/zinc/02/40/86/1066024086.db2.gz BPQUBSLSKWAHEJ-GOSISDBHSA-N 0 3 321.823 4.309 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](C)c3cc4cnccc4o3)cc21 ZINC001308498676 1066029569 /nfs/dbraw/zinc/02/95/69/1066029569.db2.gz BQDHZZMRIDNXFQ-ZDUSSCGKSA-N 0 3 305.381 4.468 20 0 DIADHN Clc1ccc2cccnc2c1CNC[C@H]1CCC2(CCC2)O1 ZINC001308502353 1066033024 /nfs/dbraw/zinc/03/30/24/1066033024.db2.gz ZPNMVVDCQPOVCT-CQSZACIVSA-N 0 3 316.832 4.080 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cccc(F)c1N ZINC001308513169 1066039106 /nfs/dbraw/zinc/03/91/06/1066039106.db2.gz JQJGPSALMXFFMA-UHFFFAOYSA-N 0 3 308.400 4.413 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001308512195 1066039331 /nfs/dbraw/zinc/03/93/31/1066039331.db2.gz BYSKURGTAQKQIT-ZDUSSCGKSA-N 0 3 301.817 4.262 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)cc2C)c(C)c1OC ZINC001308512883 1066039471 /nfs/dbraw/zinc/03/94/71/1066039471.db2.gz KFZGXQHFWOYKST-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)C[C@H](C)O3)cc1C ZINC001308514328 1066040395 /nfs/dbraw/zinc/04/03/95/1066040395.db2.gz WLUDJWGOISSPHF-GJZGRUSLSA-N 0 3 311.425 4.178 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1ccnn1C(C)C ZINC001308514870 1066040654 /nfs/dbraw/zinc/04/06/54/1066040654.db2.gz WVDDAMMAQCUZFV-UHFFFAOYSA-N 0 3 307.441 4.469 20 0 DIADHN CCOc1ccc(CNCCc2ccccc2SCC)o1 ZINC001308514297 1066041143 /nfs/dbraw/zinc/04/11/43/1066041143.db2.gz WCHUUIANBNHPKY-UHFFFAOYSA-N 0 3 305.443 4.123 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@H]1CC(C)(C)CO1 ZINC001308519346 1066041730 /nfs/dbraw/zinc/04/17/30/1066041730.db2.gz HHJOHSZQHQNLSW-ZDUSSCGKSA-N 0 3 320.458 4.006 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNC[C@@]12C[C@@H]1CCCC2 ZINC001308521534 1066043264 /nfs/dbraw/zinc/04/32/64/1066043264.db2.gz DJFUYZVJPBHLMF-XHDPSFHLSA-N 0 3 317.326 4.394 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1csc(-c2cccs2)n1 ZINC001308522083 1066044466 /nfs/dbraw/zinc/04/44/66/1066044466.db2.gz OTHJUXVFBGZIMC-RFHZTLPTSA-N 0 3 304.484 4.253 20 0 DIADHN CCc1cccc(F)c1CNC[C@@]1(c2ccccc2)CCCO1 ZINC001308530777 1066049613 /nfs/dbraw/zinc/04/96/13/1066049613.db2.gz UZYNOWIVZSVISO-HXUWFJFHSA-N 0 3 313.416 4.184 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@]1(C)CCCCO1 ZINC001308538245 1066055501 /nfs/dbraw/zinc/05/55/01/1066055501.db2.gz IABMKHKKSHZAPG-OAHLLOKOSA-N 0 3 318.244 4.051 20 0 DIADHN Fc1ccc(Oc2ccc(Cl)cc2)c(CN[C@H]2CCOC2)c1 ZINC001308550661 1066063362 /nfs/dbraw/zinc/06/33/62/1066063362.db2.gz HRPVDBQQZMXRJH-HNNXBMFYSA-N 0 3 321.779 4.150 20 0 DIADHN CCc1ccc(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)s1 ZINC001308550342 1066064259 /nfs/dbraw/zinc/06/42/59/1066064259.db2.gz CXLWKRPEGAKLLG-AUUYWEPGSA-N 0 3 315.482 4.185 20 0 DIADHN CC(C)Cc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1 ZINC001308554890 1066066283 /nfs/dbraw/zinc/06/62/83/1066066283.db2.gz DVNCFKNMJRKITN-UHFFFAOYSA-N 0 3 320.436 4.429 20 0 DIADHN CCOC(OCC)[C@@H](C)NCc1ccc(-c2cccs2)cc1 ZINC001308558285 1066067203 /nfs/dbraw/zinc/06/72/03/1066067203.db2.gz HTCVVZRSSHZNEC-CQSZACIVSA-N 0 3 319.470 4.292 20 0 DIADHN Cc1cc(Br)cc(CN(C)Cc2ccccc2)c1 ZINC001308560910 1066070791 /nfs/dbraw/zinc/07/07/91/1066070791.db2.gz WXOLXVSYTPMORE-UHFFFAOYSA-N 0 3 304.231 4.390 20 0 DIADHN COc1ccc(F)c(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)c1 ZINC001308565098 1066075009 /nfs/dbraw/zinc/07/50/09/1066075009.db2.gz PTNDATBNYDGKEF-KXBFYZLASA-N 0 3 313.416 4.460 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@H]2CCC2CCCC2)[nH]1 ZINC001308569078 1066078273 /nfs/dbraw/zinc/07/82/73/1066078273.db2.gz DBCNYOXGWVMWIW-KRWDZBQOSA-N 0 3 318.461 4.126 20 0 DIADHN COc1nccc(CN2CCC[C@@H]2CCC2CCCC2)c1F ZINC001308569623 1066079073 /nfs/dbraw/zinc/07/90/73/1066079073.db2.gz HTKWSIVRMKVZAM-MRXNPFEDSA-N 0 3 306.425 4.164 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1ccc(OC)c(OC)c1F ZINC001308570127 1066081461 /nfs/dbraw/zinc/08/14/61/1066081461.db2.gz OCIHWPSEIJAXLA-HNNXBMFYSA-N 0 3 309.425 4.388 20 0 DIADHN CNc1ccccc1CN1CCS[C@@H](C)[C@H]1c1ccccc1 ZINC001308573513 1066086382 /nfs/dbraw/zinc/08/63/82/1066086382.db2.gz KQABCRMUVYEGBP-KXBFYZLASA-N 0 3 312.482 4.407 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC[C@@]4(CC=CCC4)C3)n12 ZINC001308573979 1066087557 /nfs/dbraw/zinc/08/75/57/1066087557.db2.gz UOBKXTJKCPWGPV-SFHVURJKSA-N 0 3 315.486 4.335 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2Cc2cccc3c2OCC3)o1 ZINC001308577831 1066094415 /nfs/dbraw/zinc/09/44/15/1066094415.db2.gz MCVMZGBVIIRPPP-KDOFPFPSSA-N 0 3 311.425 4.496 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)CCCO3)n1 ZINC001308578112 1066094728 /nfs/dbraw/zinc/09/47/28/1066094728.db2.gz XUGXXPFGFKRYJT-IBGZPJMESA-N 0 3 308.425 4.052 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3)[C@H](CC)C2)cn1 ZINC001308579080 1066094833 /nfs/dbraw/zinc/09/48/33/1066094833.db2.gz SYNWWUBYRJEGIF-MJGOQNOKSA-N 0 3 309.457 4.055 20 0 DIADHN Nc1ncccc1CN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC001308581246 1066096273 /nfs/dbraw/zinc/09/62/73/1066096273.db2.gz AKYUUQHENOJWFW-HYSAVQALSA-N 0 3 307.441 4.122 20 0 DIADHN Cc1cnn(C)c1CN(Cc1ccccc1)[C@H]1CCCC1(C)C ZINC001308581527 1066096493 /nfs/dbraw/zinc/09/64/93/1066096493.db2.gz HYRQNXPXHNHJMM-IBGZPJMESA-N 0 3 311.473 4.309 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H]2CCCC[C@H]2C)c(C)c1 ZINC001308579437 1066096941 /nfs/dbraw/zinc/09/69/41/1066096941.db2.gz ARIQHGAITAHZMT-CRAIPNDOSA-N 0 3 316.489 4.308 20 0 DIADHN C[C@@H](N(C)Cc1cccc(F)c1OC(F)(F)F)C1(C)CC1 ZINC001308580039 1066097569 /nfs/dbraw/zinc/09/75/69/1066097569.db2.gz JWOBVXBEOZKHNJ-SNVBAGLBSA-N 0 3 305.315 4.345 20 0 DIADHN COc1ccccc1C[C@@H]1CCCN1Cc1cccn1C(F)F ZINC001308585413 1066101503 /nfs/dbraw/zinc/10/15/03/1066101503.db2.gz ZRVQWZMEYLFRRF-HNNXBMFYSA-N 0 3 320.383 4.099 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cc(C)nc2c1CCCC2 ZINC001308592493 1066105032 /nfs/dbraw/zinc/10/50/32/1066105032.db2.gz LIBSFKDNDFKFBB-UHFFFAOYSA-N 0 3 324.468 4.300 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1cc(OC)cnc1F ZINC001308592741 1066106026 /nfs/dbraw/zinc/10/60/26/1066106026.db2.gz AHAYDZQIKHSSKX-KRWDZBQOSA-N 0 3 316.420 4.073 20 0 DIADHN CCC[C@H]1CCCN(Cc2cc(OC)c(OC)cc2Cl)C1 ZINC001308594494 1066107048 /nfs/dbraw/zinc/10/70/48/1066107048.db2.gz NYLNOTHMSXVVRF-ZDUSSCGKSA-N 0 3 311.853 4.369 20 0 DIADHN Brc1cccc2c1CCN(C[C@@H]1CC=CCC1)C2 ZINC001308596603 1066109185 /nfs/dbraw/zinc/10/91/85/1066109185.db2.gz WESJZLVBIRFARH-CYBMUJFWSA-N 0 3 306.247 4.164 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@@H](c4ccccc4)C3)sc2C1 ZINC001308597658 1066109225 /nfs/dbraw/zinc/10/92/25/1066109225.db2.gz WQOYXYGZPHRKRT-GDBMZVCRSA-N 0 3 312.482 4.257 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(F)nc2C)C12CCCCC2 ZINC001308599259 1066110563 /nfs/dbraw/zinc/11/05/63/1066110563.db2.gz CTLLNERNKPNJFB-IAGOWNOFSA-N 0 3 320.452 4.089 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(F)nc2C)C12CCCCC2 ZINC001308599260 1066111063 /nfs/dbraw/zinc/11/10/63/1066111063.db2.gz CTLLNERNKPNJFB-IRXDYDNUSA-N 0 3 320.452 4.089 20 0 DIADHN COc1ccc2oc(CN3Cc4ccccc4C[C@@H]3C)cc2c1 ZINC001308602133 1066111576 /nfs/dbraw/zinc/11/15/76/1066111576.db2.gz ZPBBIYZOQXURNL-AWEZNQCLSA-N 0 3 307.393 4.388 20 0 DIADHN COc1ccc2oc(CN3Cc4ccccc4C[C@H]3C)cc2c1 ZINC001308602134 1066111920 /nfs/dbraw/zinc/11/19/20/1066111920.db2.gz ZPBBIYZOQXURNL-CQSZACIVSA-N 0 3 307.393 4.388 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001308602293 1066112038 /nfs/dbraw/zinc/11/20/38/1066112038.db2.gz YVMQNXUXRXDMRD-UHFFFAOYSA-N 0 3 311.353 4.092 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001308605523 1066112915 /nfs/dbraw/zinc/11/29/15/1066112915.db2.gz ORLRLZZBBOMTPO-DOMZBBRYSA-N 0 3 315.848 4.319 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001308610318 1066116431 /nfs/dbraw/zinc/11/64/31/1066116431.db2.gz VQRKVPLAJGKWRW-MSOLQXFVSA-N 0 3 323.484 4.447 20 0 DIADHN CCc1cccc2cc(CN(CCCO)Cc3ccccc3)oc21 ZINC001308614684 1066118967 /nfs/dbraw/zinc/11/89/67/1066118967.db2.gz DAIKEPYZAVJULR-UHFFFAOYSA-N 0 3 323.436 4.380 20 0 DIADHN C[C@H]1Cc2cc(CN3CCC(Nc4ccccc4)CC3)ccc2O1 ZINC001308615697 1066120664 /nfs/dbraw/zinc/12/06/64/1066120664.db2.gz LCGJTJKQYMNJJR-INIZCTEOSA-N 0 3 322.452 4.087 20 0 DIADHN c1cn(C2CC2)c(CN(CCc2ccccc2)C2CCCC2)n1 ZINC001308615845 1066121021 /nfs/dbraw/zinc/12/10/21/1066121021.db2.gz UIEUVQQOWMDOLC-UHFFFAOYSA-N 0 3 309.457 4.205 20 0 DIADHN CC[C@H]1CCCN(Cc2cccc(F)c2OCC(F)(F)F)C1 ZINC001308620413 1066122405 /nfs/dbraw/zinc/12/24/05/1066122405.db2.gz UYILNZRRKZSGFP-LBPRGKRZSA-N 0 3 319.342 4.389 20 0 DIADHN CCCN(Cc1cnc(Cl)n1C)[C@H](CC)c1ccccc1 ZINC001308622107 1066122925 /nfs/dbraw/zinc/12/29/25/1066122925.db2.gz IKKCYQUQMFSJBI-MRXNPFEDSA-N 0 3 305.853 4.437 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CN(C)Cc1nc2c(s1)CCCC2 ZINC001308629201 1066125943 /nfs/dbraw/zinc/12/59/43/1066125943.db2.gz MMTTZLQIAVYRTD-CABCVRRESA-N 0 3 304.503 4.446 20 0 DIADHN CC(C)CCCCN1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001308633613 1066128784 /nfs/dbraw/zinc/12/87/84/1066128784.db2.gz KKKHYLAXRWFODR-HZPDHXFCSA-N 0 3 312.498 4.142 20 0 DIADHN COc1ccc(F)cc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001308633671 1066128891 /nfs/dbraw/zinc/12/88/91/1066128891.db2.gz MKPXNORMCZAUAX-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN CC(C)CCCCN1C[C@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC001308633611 1066129052 /nfs/dbraw/zinc/12/90/52/1066129052.db2.gz KKKHYLAXRWFODR-HOTGVXAUSA-N 0 3 312.498 4.142 20 0 DIADHN Cc1cccc(CN(CCc2ccc(F)cc2)C2CC2)c1F ZINC001308633412 1066129102 /nfs/dbraw/zinc/12/91/02/1066129102.db2.gz BLZCOULIPWNXAI-UHFFFAOYSA-N 0 3 301.380 4.480 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2cccc3c2OCO3)cc1 ZINC001308635339 1066130235 /nfs/dbraw/zinc/13/02/35/1066130235.db2.gz SSKIMTLDXYIANH-SFHVURJKSA-N 0 3 309.409 4.451 20 0 DIADHN Cc1oc2ccccc2c1CN1CCN(c2ccc(C)cc2)CC1 ZINC001308634628 1066130300 /nfs/dbraw/zinc/13/03/00/1066130300.db2.gz IGZLGSPDVFIIRP-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN COc1c(C)cnc(CN[C@H]2c3ccccc3CC[C@H]2C)c1C ZINC001308639627 1066132784 /nfs/dbraw/zinc/13/27/84/1066132784.db2.gz STWZAVSOUNDVHO-BFUOFWGJSA-N 0 3 310.441 4.120 20 0 DIADHN CO[C@H](CC(C)C)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC001308661780 1066143714 /nfs/dbraw/zinc/14/37/14/1066143714.db2.gz COKOYIRVNPXHMG-CYBMUJFWSA-N 0 3 304.261 4.486 20 0 DIADHN c1ccc([C@H]2CSCCN2Cc2cccc3c2NCCC3)cc1 ZINC001308648328 1066136547 /nfs/dbraw/zinc/13/65/47/1066136547.db2.gz FZUUEFYFHXPPMK-LJQANCHMSA-N 0 3 324.493 4.335 20 0 DIADHN COc1cccc(CN(C)Cc2ccccc2CC(F)(F)F)c1 ZINC001308652389 1066138446 /nfs/dbraw/zinc/13/84/46/1066138446.db2.gz MTFCFIKHVGCKCV-UHFFFAOYSA-N 0 3 323.358 4.432 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCN([C@H](C)c2nccs2)CC1 ZINC001308668540 1066146857 /nfs/dbraw/zinc/14/68/57/1066146857.db2.gz OXUZRHLSYUTBCO-BRWVUGGUSA-N 0 3 321.534 4.038 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CC[C@H](c3ccccc3)C1)CO2 ZINC001308684661 1066154613 /nfs/dbraw/zinc/15/46/13/1066154613.db2.gz KSVDXVMFJJBZMI-LXZKKBNFSA-N 0 3 315.363 4.324 20 0 DIADHN CC[C@@H](CCC(F)(F)F)N[C@H]1COc2cc(F)cc(F)c21 ZINC001308687479 1066181042 /nfs/dbraw/zinc/18/10/42/1066181042.db2.gz UNWGRKYLAIDBEO-ONGXEEELSA-N 0 3 309.278 4.109 20 0 DIADHN CC[C@@H]1CCC[C@@H](Nc2cccc(N3CCN(CC)CC3)c2)C1 ZINC001308705453 1066189631 /nfs/dbraw/zinc/18/96/31/1066189631.db2.gz XFFLFBCTGILLAI-QZTJIDSGSA-N 0 3 315.505 4.209 20 0 DIADHN CCN1CCN(c2cccc(NC[C@@H]3CCC[C@@H](C)C3)c2)CC1 ZINC001308704788 1066190039 /nfs/dbraw/zinc/19/00/39/1066190039.db2.gz UNFBZTBUVMEJPI-QZTJIDSGSA-N 0 3 315.505 4.067 20 0 DIADHN CC[C@H]1CCC[C@H](Nc2cccc(N3CCN(CC)CC3)c2)C1 ZINC001308705454 1066190056 /nfs/dbraw/zinc/19/00/56/1066190056.db2.gz XFFLFBCTGILLAI-ROUUACIJSA-N 0 3 315.505 4.209 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC001308722323 1066199333 /nfs/dbraw/zinc/19/93/33/1066199333.db2.gz YFUXCXOXUZRYEE-OAHLLOKOSA-N 0 3 316.395 4.020 20 0 DIADHN Cc1scc(CN[C@@H](c2ccccc2)C(C)(C)CO)c1C ZINC001308730205 1066206829 /nfs/dbraw/zinc/20/68/29/1066206829.db2.gz DJPYRJOCTLJOJJ-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCOC[C@H]1[C@H]1CCCO1 ZINC001308755383 1066226967 /nfs/dbraw/zinc/22/69/67/1066226967.db2.gz GKTPUDNTRXUVBL-RBUKOAKNSA-N 0 3 321.505 4.007 20 0 DIADHN COc1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)c(C)c1 ZINC001308761432 1066234053 /nfs/dbraw/zinc/23/40/53/1066234053.db2.gz URAGMNXXZSVPED-CABCVRRESA-N 0 3 314.256 4.019 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccnn2-c2ccc(F)cc2)[C@@H]1C(C)C ZINC001308762524 1066235393 /nfs/dbraw/zinc/23/53/93/1066235393.db2.gz DSWYWCBRZIQWLU-RBUKOAKNSA-N 0 3 315.436 4.124 20 0 DIADHN COc1cc(CN2C[C@H](C(C)C)[C@@H]2C(C)C)c(Cl)cc1O ZINC001308762541 1066235609 /nfs/dbraw/zinc/23/56/09/1066235609.db2.gz DZRXPCUZRQDMLB-DYVFJYSZSA-N 0 3 311.853 4.167 20 0 DIADHN C[C@@H](c1nccc2ccccc21)N1CCN(C)Cc2ccccc21 ZINC001308781224 1066256130 /nfs/dbraw/zinc/25/61/30/1066256130.db2.gz DLAJXHHYRWAKIG-INIZCTEOSA-N 0 3 317.436 4.248 20 0 DIADHN Fc1nccc(Cl)c1CNCC[C@H]1CCc2ccccc21 ZINC001308790447 1066265957 /nfs/dbraw/zinc/26/59/57/1066265957.db2.gz BPSGYNCLQIPDHS-CYBMUJFWSA-N 0 3 304.796 4.084 20 0 DIADHN Cc1cc(Br)c(CN2CCC[C@H](C3CC3)C2)s1 ZINC001308798579 1066276130 /nfs/dbraw/zinc/27/61/30/1066276130.db2.gz HFEKHDUWCBRMHH-LBPRGKRZSA-N 0 3 314.292 4.441 20 0 DIADHN CC1(C)CN(Cc2cccc(Oc3ccccn3)c2)[C@@H]1C1CC1 ZINC001308802399 1066278024 /nfs/dbraw/zinc/27/80/24/1066278024.db2.gz MLRKCZNWNLYRSB-LJQANCHMSA-N 0 3 308.425 4.494 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1Cc1cc(-c2ccccn2)n[nH]1 ZINC001308805299 1066281947 /nfs/dbraw/zinc/28/19/47/1066281947.db2.gz VNRGEJSKNKKTDT-CABCVRRESA-N 0 3 312.461 4.118 20 0 DIADHN Fc1cccc2cc(CN3CC(Cc4ccccc4)C3)cnc12 ZINC001308807063 1066285347 /nfs/dbraw/zinc/28/53/47/1066285347.db2.gz NSEISLGOCJCRPL-UHFFFAOYSA-N 0 3 306.384 4.048 20 0 DIADHN CCOC[C@@H]1CCCCN1CCCc1c(F)cccc1Cl ZINC001308813474 1066288481 /nfs/dbraw/zinc/28/84/81/1066288481.db2.gz SYLVCXIXSDKSQA-AWEZNQCLSA-N 0 3 313.844 4.303 20 0 DIADHN CCOC[C@H]1CCCCN1CCCc1c(F)cccc1Cl ZINC001308813475 1066289726 /nfs/dbraw/zinc/28/97/26/1066289726.db2.gz SYLVCXIXSDKSQA-CQSZACIVSA-N 0 3 313.844 4.303 20 0 DIADHN CCOc1cc(C[NH2+][C@@H](CC)[C@H]2CC2(C)C)cc(Cl)c1[O-] ZINC001308822360 1066298521 /nfs/dbraw/zinc/29/85/21/1066298521.db2.gz BNUCSWPGNYUEKJ-OCCSQVGLSA-N 0 3 311.853 4.359 20 0 DIADHN CC1(C)[C@H](c2ccccc2)CCN1Cc1cn2cccc(F)c2n1 ZINC001308825508 1066301490 /nfs/dbraw/zinc/30/14/90/1066301490.db2.gz YRBWQMPCILGCNP-KRWDZBQOSA-N 0 3 323.415 4.242 20 0 DIADHN C[C@H](CN1CCC[C@@H](C)[C@@H]1C(=O)OC(C)(C)C)c1ccccc1 ZINC001308828260 1066304364 /nfs/dbraw/zinc/30/43/64/1066304364.db2.gz BCYXZEYWNRYFLA-JFIYKMOQSA-N 0 3 317.473 4.232 20 0 DIADHN Cc1nn(C)c(CN2CC[C@@H]([C@H]3CCCC3(C)C)C2)c1Cl ZINC001308835556 1066311666 /nfs/dbraw/zinc/31/16/66/1066311666.db2.gz XVGFCGFCTLHQIQ-ZIAGYGMSSA-N 0 3 309.885 4.030 20 0 DIADHN CC1(C)C[C@H](NC[C@@H]2CC2(Cl)Cl)c2cc(F)ccc2O1 ZINC001308852439 1066332503 /nfs/dbraw/zinc/33/25/03/1066332503.db2.gz YYPSMFAJBQNELH-CABZTGNLSA-N 0 3 318.219 4.211 20 0 DIADHN c1coc(C2CCN(Cc3ccc(OCC4CC4)cc3)CC2)c1 ZINC001308855028 1066336134 /nfs/dbraw/zinc/33/61/34/1066336134.db2.gz MULNDCKVULJQTM-UHFFFAOYSA-N 0 3 311.425 4.448 20 0 DIADHN Fc1ccc(/C=C\CN2CCC([C@@H]3CCCCO3)CC2)cc1 ZINC001308864156 1066344672 /nfs/dbraw/zinc/34/46/72/1066344672.db2.gz LCULMSBQXVOIEE-LLHWWHOWSA-N 0 3 303.421 4.120 20 0 DIADHN CCCSC[C@@H](C)NCc1sc(C)cc1Br ZINC001308869952 1066351677 /nfs/dbraw/zinc/35/16/77/1066351677.db2.gz TVNNLWNGKIZWSD-SECBINFHSA-N 0 3 322.337 4.440 20 0 DIADHN COC(=O)c1cc(CN[C@H]2CCCc3c(C)cccc32)cs1 ZINC001308879286 1066357178 /nfs/dbraw/zinc/35/71/78/1066357178.db2.gz YAOUSRSWCOIHLJ-INIZCTEOSA-N 0 3 315.438 4.010 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@H](C4CCCCC4)C3)cn2c1 ZINC001308879706 1066360910 /nfs/dbraw/zinc/36/09/10/1066360910.db2.gz ZTYNKZZXANZABP-SFHVURJKSA-N 0 3 311.473 4.435 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001308885597 1066365472 /nfs/dbraw/zinc/36/54/72/1066365472.db2.gz IWWOGZVVCHNTKQ-DLGQBQFBSA-N 0 3 318.408 4.426 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001308884989 1066366321 /nfs/dbraw/zinc/36/63/21/1066366321.db2.gz BELAZCGZQFPRCL-WOMSROEHSA-N 0 3 313.420 4.190 20 0 DIADHN C[C@H](CCN1CCC[C@]1(C)C(=O)OC(C)(C)C)c1ccccc1 ZINC001308889846 1066370769 /nfs/dbraw/zinc/37/07/69/1066370769.db2.gz QMQDAGXYOBTSGM-OXQOHEQNSA-N 0 3 317.473 4.376 20 0 DIADHN FC(F)n1cccc1CNCc1ccnc(-c2ccccc2)c1 ZINC001308891039 1066372348 /nfs/dbraw/zinc/37/23/48/1066372348.db2.gz KUUJXPNXHXOEMQ-UHFFFAOYSA-N 0 3 313.351 4.235 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@@H](c2ccc(OC)cc2)C1 ZINC001308900814 1066381209 /nfs/dbraw/zinc/38/12/09/1066381209.db2.gz AJCHUCYHTJJGEK-YVWKXTFCSA-N 0 3 317.473 4.285 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC001308904739 1066383856 /nfs/dbraw/zinc/38/38/56/1066383856.db2.gz RKBICRBVSHBJKR-GJZGRUSLSA-N 0 3 315.438 4.257 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1noc2ccc(F)cc21 ZINC001308906758 1066385767 /nfs/dbraw/zinc/38/57/67/1066385767.db2.gz RCQJTFHSQJTRDH-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN CCc1oc(CN2CCC[C@@H](C3CCC3)CC2)cc1C(=O)OC ZINC001308912284 1066389071 /nfs/dbraw/zinc/38/90/71/1066389071.db2.gz VSWADGVUIAJZFY-OAHLLOKOSA-N 0 3 319.445 4.031 20 0 DIADHN COC(=O)c1ccc(CN2CC([C@@H]3CCC[C@H](C)C3)C2)cc1C ZINC001308912480 1066389657 /nfs/dbraw/zinc/38/96/57/1066389657.db2.gz QFENXOHUBDCGSF-WMLDXEAASA-N 0 3 315.457 4.040 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1noc2cc(F)ccc21 ZINC001308912928 1066391507 /nfs/dbraw/zinc/39/15/07/1066391507.db2.gz IGMSAWGSZIBUFR-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN CCC[C@H](C)CCNCc1c(Cl)n(C)nc1C(F)(F)F ZINC001308920864 1066399281 /nfs/dbraw/zinc/39/92/81/1066399281.db2.gz BSIDKVQDFPIWRI-VIFPVBQESA-N 0 3 311.779 4.008 20 0 DIADHN C[C@H](CCc1ccccc1F)NCc1nc2c(s1)CCCC2 ZINC001308932356 1066407166 /nfs/dbraw/zinc/40/71/66/1066407166.db2.gz PXDUJYUMMNRERO-CYBMUJFWSA-N 0 3 318.461 4.272 20 0 DIADHN FC(F)(F)c1ccc(CNC2(C3CC3)CCC2)c(Cl)n1 ZINC001308937051 1066408765 /nfs/dbraw/zinc/40/87/65/1066408765.db2.gz LOMBRJJKGSTWHE-UHFFFAOYSA-N 0 3 304.743 4.176 20 0 DIADHN CC(C)(C)c1cnc(CN2CCCC[C@H]([C@@H]3CCOC3)C2)s1 ZINC001308974815 1066427742 /nfs/dbraw/zinc/42/77/42/1066427742.db2.gz LVMYVPTVCRHQNQ-LSDHHAIUSA-N 0 3 322.518 4.079 20 0 DIADHN CC(C)(C)c1cnc(CN2CCCC[C@H]([C@H]3CCOC3)C2)s1 ZINC001308974813 1066428347 /nfs/dbraw/zinc/42/83/47/1066428347.db2.gz LVMYVPTVCRHQNQ-GJZGRUSLSA-N 0 3 322.518 4.079 20 0 DIADHN CCC[C@](C)(NCc1cnc2nc(C)cc(C)n12)c1ccccc1 ZINC001308977268 1066429367 /nfs/dbraw/zinc/42/93/67/1066429367.db2.gz JOHFZKGKRIUVOF-FQEVSTJZSA-N 0 3 322.456 4.151 20 0 DIADHN C[C@@H](N[C@H]1CCC12CCC2)c1nc2ccccc2n1C(F)F ZINC001308990539 1066434738 /nfs/dbraw/zinc/43/47/38/1066434738.db2.gz YLGXXZKECQQSGD-RISCZKNCSA-N 0 3 305.372 4.415 20 0 DIADHN C=Cc1ccccc1NC(=O)N(CCC)CCN1CCCCC1 ZINC001311111835 1066599525 /nfs/dbraw/zinc/59/95/25/1066599525.db2.gz VEJSPMCKCREXQI-UHFFFAOYSA-N 0 3 315.461 4.059 20 0 DIADHN CC[C@@H](NCc1cnc(C(C)C)s1)[C@H](O)c1ccccc1F ZINC000133164949 1126076644 /nfs/dbraw/zinc/07/66/44/1126076644.db2.gz UYTVGUXSWCRZLM-HZPDHXFCSA-N 0 3 322.449 4.007 20 0 DIADHN COC(=O)c1ccc(CN2CCCCC[C@H]2c2ccco2)cc1 ZINC000078830584 185117381 /nfs/dbraw/zinc/11/73/81/185117381.db2.gz GEWOGXRPFGVMOM-KRWDZBQOSA-N 0 3 313.397 4.184 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000079214931 185161592 /nfs/dbraw/zinc/16/15/92/185161592.db2.gz DASHRWRZYGCBNH-LJQANCHMSA-N 0 3 309.457 4.086 20 0 DIADHN C[C@@H](NCCc1nc(C2CCCCC2)cs1)c1ccncc1 ZINC000090046519 185279780 /nfs/dbraw/zinc/27/97/80/185279780.db2.gz YHQHHZANLUONSK-CQSZACIVSA-N 0 3 315.486 4.479 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C)c1cnn(C)c1 ZINC000090114943 185282709 /nfs/dbraw/zinc/28/27/09/185282709.db2.gz LHZGTIJMPHDCPN-IYVPYFHTSA-N 0 3 303.837 4.121 20 0 DIADHN Cc1sc(CCN[C@@H](C)c2ccccn2)nc1-c1ccccc1 ZINC000090399957 185294295 /nfs/dbraw/zinc/29/42/95/185294295.db2.gz XOLXZBQYJPJVHH-AWEZNQCLSA-N 0 3 323.465 4.407 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@H](C)c2c(C)noc2C)s1 ZINC000090727626 185311431 /nfs/dbraw/zinc/31/14/31/185311431.db2.gz GJASYOGDNSAOON-MWLCHTKSSA-N 0 3 307.463 4.073 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](C)c1ccccc1OC(F)F ZINC000090728085 185311452 /nfs/dbraw/zinc/31/14/52/185311452.db2.gz RCWJEBHIANQQOM-GHMZBOCLSA-N 0 3 324.371 4.347 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](C)c1nc2c(s1)CCCC2 ZINC000090728435 185311553 /nfs/dbraw/zinc/31/15/53/185311553.db2.gz FMTSNOMKNLFSRT-ZYHUDNBSSA-N 0 3 319.474 4.081 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc(SC)c(OC)c2)CC1 ZINC000109332448 185560680 /nfs/dbraw/zinc/56/06/80/185560680.db2.gz XTUJSSWSESJRDR-MRXNPFEDSA-N 0 3 323.502 4.198 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccn(C)c2)cc1Cl ZINC000284295122 535019107 /nfs/dbraw/zinc/01/91/07/535019107.db2.gz KLRAYIDXEGIYLB-CYBMUJFWSA-N 0 3 306.837 4.317 20 0 DIADHN CC(C)[C@H](CN[C@H]1CCn2ccnc21)c1ccc(Cl)cc1 ZINC000297257347 535023713 /nfs/dbraw/zinc/02/37/13/535023713.db2.gz JSIVFVYRHGIWOL-HOTGVXAUSA-N 0 3 303.837 4.011 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCCC[C@@H]3C)co2)cc1 ZINC000072141403 191049688 /nfs/dbraw/zinc/04/96/88/191049688.db2.gz UMNCUOPQHGZJSJ-SUMWQHHRSA-N 0 3 300.402 4.019 20 0 DIADHN CCCCC[C@H](C)NCc1coc(-c2ccc(OC)cc2)n1 ZINC000072182919 191072228 /nfs/dbraw/zinc/07/22/28/191072228.db2.gz FXSIBNIZMXKODW-AWEZNQCLSA-N 0 3 302.418 4.409 20 0 DIADHN COc1ccc(C2(NCc3ccc(Cl)nc3)CCCC2)cc1 ZINC000072583798 191197229 /nfs/dbraw/zinc/19/72/29/191197229.db2.gz NSOMRHNNCXPVFK-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CC(C)=CCC[C@H](C)NC(=O)Nc1ccccc1CCN(C)C ZINC000297871739 535367503 /nfs/dbraw/zinc/36/75/03/535367503.db2.gz QGPDCVNIQVDMOD-INIZCTEOSA-N 0 3 317.477 4.047 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@H](C)c3cn4ccccc4n3)c21 ZINC000514873793 370697443 /nfs/dbraw/zinc/69/74/43/370697443.db2.gz WYJPUOAACZSTKX-OAHLLOKOSA-N 0 3 318.424 4.017 20 0 DIADHN CSC(C)(C)CN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091349984 193045496 /nfs/dbraw/zinc/04/54/96/193045496.db2.gz UVTDSCNBJMUKOG-JTQLQIEISA-N 0 3 311.882 4.019 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccncc1 ZINC000091412701 193052817 /nfs/dbraw/zinc/05/28/17/193052817.db2.gz MGFSQPBNUXAWJZ-YMRXKLBXSA-N 0 3 320.795 4.055 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000091412747 193053339 /nfs/dbraw/zinc/05/33/39/193053339.db2.gz KLRNGZOKRQJROJ-MZPVMMEZSA-N 0 3 320.795 4.055 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2CN[C@@H](C)c2nccs2)cc1 ZINC000091731303 193097875 /nfs/dbraw/zinc/09/78/75/193097875.db2.gz BISGUOQQGULURU-XIRDDKMYSA-N 0 3 316.470 4.270 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H](CO)C3CCCC3)oc21 ZINC000563783282 335006813 /nfs/dbraw/zinc/00/68/13/335006813.db2.gz DEEHOPCWQLNIOD-CJNGLKHVSA-N 0 3 317.429 4.033 20 0 DIADHN C[C@@H](NCc1cc(Cl)cs1)c1ccc2c(c1)OCCO2 ZINC000093094059 193202744 /nfs/dbraw/zinc/20/27/44/193202744.db2.gz NZJRENUTFOTCKP-SNVBAGLBSA-N 0 3 309.818 4.024 20 0 DIADHN Cc1ccc(C)c([C@H](NCC(C)(C)CCO)c2ccccc2)c1 ZINC000391596161 335015726 /nfs/dbraw/zinc/01/57/26/335015726.db2.gz RDVVEGVANIPERF-HXUWFJFHSA-N 0 3 311.469 4.391 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC000017316954 182082728 /nfs/dbraw/zinc/08/27/28/182082728.db2.gz QIPMTBJEUNXGDL-OAQYLSRUSA-N 0 3 319.452 4.111 20 0 DIADHN CCCN[C@@H](C(=O)Nc1ccc(C)c(Cl)c1)c1ccccc1 ZINC000018937989 182103123 /nfs/dbraw/zinc/10/31/23/182103123.db2.gz MOHBEMHAQWUCBM-QGZVFWFLSA-N 0 3 316.832 4.328 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1)c1nc2ccccc2s1 ZINC000019904143 182162168 /nfs/dbraw/zinc/16/21/68/182162168.db2.gz VUZVGTPWJZZZKK-LBPRGKRZSA-N 0 3 300.402 4.329 20 0 DIADHN Cc1ncsc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC000019956427 182170313 /nfs/dbraw/zinc/17/03/13/182170313.db2.gz MVADCUSGCIXVON-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN Brc1cccc(CN[C@H]2CCc3ccccc32)c1 ZINC000020129639 182190202 /nfs/dbraw/zinc/19/02/02/182190202.db2.gz RDCBNNQSZXQOIA-INIZCTEOSA-N 0 3 302.215 4.226 20 0 DIADHN C[C@H](NCc1cccc(Br)c1)c1cccc(F)c1 ZINC000020147757 182192491 /nfs/dbraw/zinc/19/24/91/182192491.db2.gz MRAYIQSDABDMRX-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(Cl)cc2)cc1OC ZINC000021808616 182292257 /nfs/dbraw/zinc/29/22/57/182292257.db2.gz ZOWGDXNAERIBRC-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN COc1cc(CN[C@H](C)c2cccs2)ccc1OC(C)C ZINC000022154488 182311563 /nfs/dbraw/zinc/31/15/63/182311563.db2.gz YIJBRLPOLAZISJ-CYBMUJFWSA-N 0 3 305.443 4.395 20 0 DIADHN CN1CCC[C@H](N[C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000022795496 182334639 /nfs/dbraw/zinc/33/46/39/182334639.db2.gz ATBWRPNTOXXOBL-RBUKOAKNSA-N 0 3 314.860 4.113 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000190675526 335034855 /nfs/dbraw/zinc/03/48/55/335034855.db2.gz ZYCVWSKQQWTPRX-AWEZNQCLSA-N 0 3 317.864 4.430 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(C)s2)cc1OC ZINC000040490951 183079915 /nfs/dbraw/zinc/07/99/15/183079915.db2.gz IJYDINFJNSPXIZ-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN C[C@H](NCCc1ccsc1)c1ccccc1Br ZINC000042599014 183289822 /nfs/dbraw/zinc/28/98/22/183289822.db2.gz RFOVORRVRIJGMP-NSHDSACASA-N 0 3 310.260 4.404 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC000104702568 194029358 /nfs/dbraw/zinc/02/93/58/194029358.db2.gz QIXOEMANKUIQRK-FQEVSTJZSA-N 0 3 308.425 4.276 20 0 DIADHN Brc1cccc2c1CC[C@H]2NCc1cccs1 ZINC000105491893 194106858 /nfs/dbraw/zinc/10/68/58/194106858.db2.gz CLLOUWJVTKXYCP-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN Cn1cncc1CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000105493907 194107866 /nfs/dbraw/zinc/10/78/66/194107866.db2.gz AIJSAQSEAIJBOF-KRWDZBQOSA-N 0 3 303.837 4.095 20 0 DIADHN Cc1ccc2cc([C@@H](C)N[C@H]3CCN(c4ccccn4)C3)oc2c1 ZINC000426037026 335042916 /nfs/dbraw/zinc/04/29/16/335042916.db2.gz SNNHKMUDYPVODA-WBVHZDCISA-N 0 3 321.424 4.066 20 0 DIADHN Cc1ccc(CNCc2ccc(F)c(Br)c2)s1 ZINC000048068721 183618365 /nfs/dbraw/zinc/61/83/65/183618365.db2.gz NWAXTOKISZRHJB-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN Cc1csc(CCNCc2cc(Cl)cc(Cl)c2)n1 ZINC000058062535 184072847 /nfs/dbraw/zinc/07/28/47/184072847.db2.gz ONYOKVXMQSDFNB-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(Br)c2)cn1 ZINC000062050817 184202866 /nfs/dbraw/zinc/20/28/66/184202866.db2.gz HHIPWBAZOKMXFG-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Br)cc2)cn1 ZINC000062051123 184202974 /nfs/dbraw/zinc/20/29/74/184202974.db2.gz DYKUBXIOGVAGEJ-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(F)c(Br)c1 ZINC000063099578 184270756 /nfs/dbraw/zinc/27/07/56/184270756.db2.gz QGAJVTUXHZKZJQ-SSDOTTSWSA-N 0 3 314.120 4.191 20 0 DIADHN C[C@@H](NCc1ccsc1)c1ccc(Br)s1 ZINC000063152529 184273859 /nfs/dbraw/zinc/27/38/59/184273859.db2.gz DMRGNIKKSWLVGI-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN CC(C)(Cc1ccc(C(F)(F)F)cc1)NCc1ccccn1 ZINC000190685442 335045988 /nfs/dbraw/zinc/04/59/88/335045988.db2.gz LBMJXBICTXKQOE-UHFFFAOYSA-N 0 3 308.347 4.211 20 0 DIADHN Brc1cncc([C@@H](NCc2ccsc2)C2CC2)c1 ZINC000284066014 532979524 /nfs/dbraw/zinc/97/95/24/532979524.db2.gz QFNVHULWBSPSPL-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2ccccc2-n2cccn2)C1 ZINC000119644258 195008544 /nfs/dbraw/zinc/00/85/44/195008544.db2.gz JSQRRNWGUVELCM-KRWDZBQOSA-N 0 3 321.424 4.269 20 0 DIADHN Cc1cccn2c(CNCc3ccc4sccc4c3)cnc12 ZINC000563844175 335047636 /nfs/dbraw/zinc/04/76/36/335047636.db2.gz XYAXWTQMVIHSCQ-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN CO[C@@H](C)CN(Cc1cnc(-c2ccsc2)s1)C(C)C ZINC000353802543 335051728 /nfs/dbraw/zinc/05/17/28/335051728.db2.gz BDTJMODZPOQRQB-LBPRGKRZSA-N 0 3 310.488 4.117 20 0 DIADHN C[C@H](C1CCN(C)CC1)N(C)C(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC000498069069 335052884 /nfs/dbraw/zinc/05/28/84/335052884.db2.gz BJWYNKDEQOUXDK-BRWVUGGUSA-N 0 3 322.537 4.028 20 0 DIADHN CCOCc1ccc(CN[C@@H](CC)c2cccc(OC)c2)cc1 ZINC000151616286 196703070 /nfs/dbraw/zinc/70/30/70/196703070.db2.gz OSAZTQVXXZODGU-FQEVSTJZSA-N 0 3 313.441 4.473 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNC1CC(F)(F)C1 ZINC000289402034 533414410 /nfs/dbraw/zinc/41/44/10/533414410.db2.gz AKULIAVGPNVPFI-UXBLZVDNSA-N 0 3 303.299 4.079 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2nc(-c3ccccc3)cs2)CCO1 ZINC000271500254 129925819 /nfs/dbraw/zinc/92/58/19/129925819.db2.gz KKNRQRFCXQCSKF-DOTOQJQBSA-N 0 3 316.470 4.103 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(NC(C)=O)cc2)c1 ZINC000272757698 130061312 /nfs/dbraw/zinc/06/13/12/130061312.db2.gz PGTMFHBKUFCQKU-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN COCC[C@H](NC1CC(c2ccc(F)cc2)C1)c1ccco1 ZINC000272828499 130067941 /nfs/dbraw/zinc/06/79/41/130067941.db2.gz DZICOYZWNGMPOU-PREGVCBESA-N 0 3 303.377 4.032 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc(OC)c(C)c2)ccc1F ZINC000272921750 130075545 /nfs/dbraw/zinc/07/55/45/130075545.db2.gz MXEOSTGUSVRKHX-AWEZNQCLSA-N 0 3 317.404 4.140 20 0 DIADHN Fc1ccc([C@@H](NCCCC(F)(F)F)c2ccccc2)nc1 ZINC000273355193 130110790 /nfs/dbraw/zinc/11/07/90/130110790.db2.gz NRSMOMKOJRCKOP-HNNXBMFYSA-N 0 3 312.310 4.242 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@H]1CCCc2c(Cl)cccc21 ZINC000273374701 130114086 /nfs/dbraw/zinc/11/40/86/130114086.db2.gz GXTCIALAOMYCCF-IRXDYDNUSA-N 0 3 315.848 4.118 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)cs1 ZINC000273426361 130121183 /nfs/dbraw/zinc/12/11/83/130121183.db2.gz KFNPQFKFIKFDNO-CXMBCZLWSA-N 0 3 320.433 4.162 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](NCc3cccc(F)c3F)CCO2)cc1 ZINC000273517099 130130877 /nfs/dbraw/zinc/13/08/77/130130877.db2.gz RZVCOCUTPWXIEQ-WBVHZDCISA-N 0 3 321.342 4.114 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](NCc3cccc(F)c3F)CCO2)cc1 ZINC000273517096 130131115 /nfs/dbraw/zinc/13/11/15/130131115.db2.gz RZVCOCUTPWXIEQ-DOTOQJQBSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cccc2cc[nH]c21 ZINC000274283567 130223633 /nfs/dbraw/zinc/22/36/33/130223633.db2.gz INZGIRXUBHRANB-CYBMUJFWSA-N 0 3 310.445 4.279 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2cn(C)nc2C(F)F)C1 ZINC000274501053 130241836 /nfs/dbraw/zinc/24/18/36/130241836.db2.gz RUIVLGKVBSFFBI-UONOGXRCSA-N 0 3 319.399 4.044 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccccc1SC(F)F ZINC000274604410 130248979 /nfs/dbraw/zinc/24/89/79/130248979.db2.gz NXVKAOUGONWSMH-SNVBAGLBSA-N 0 3 316.417 4.107 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1ccc(Cl)cn1 ZINC000274754508 130258685 /nfs/dbraw/zinc/25/86/85/130258685.db2.gz IJICZGJRJGTSCW-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1ccc(C(C)(C)O)cc1 ZINC000274750685 130259779 /nfs/dbraw/zinc/25/97/79/130259779.db2.gz FDUOTLLQHGXIGP-UHFFFAOYSA-N 0 3 303.833 4.166 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@H](C)c1ccc(Cl)cn1 ZINC000274953297 130277832 /nfs/dbraw/zinc/27/78/32/130277832.db2.gz VMMSJOHUCSFOOR-PSTGCABASA-N 0 3 322.811 4.037 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)c(C)n1 ZINC000274985898 130281550 /nfs/dbraw/zinc/28/15/50/130281550.db2.gz KUTUPQZZTAGQBQ-BZNIZROVSA-N 0 3 323.362 4.090 20 0 DIADHN C[C@@H](CCC(=O)Nc1ccccc1CCN(C)C)c1ccccc1 ZINC000275552161 130334710 /nfs/dbraw/zinc/33/47/10/130334710.db2.gz CJSWVEZCLXOHEV-KRWDZBQOSA-N 0 3 324.468 4.313 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1ccccc1CCN(C)C)c1ccccc1 ZINC000275653838 130355434 /nfs/dbraw/zinc/35/54/34/130355434.db2.gz NBAFJJUSVDJGIM-HXUWFJFHSA-N 0 3 324.468 4.169 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc([S@@](C)=O)cc1 ZINC000275753604 130377267 /nfs/dbraw/zinc/37/72/67/130377267.db2.gz SABJAOHFAMIVON-AMXDTQDGSA-N 0 3 323.408 4.212 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C)nc1)CCCS2 ZINC000275849083 130399781 /nfs/dbraw/zinc/39/97/81/130399781.db2.gz KUDMXWFSGPTOOG-QGZVFWFLSA-N 0 3 314.454 4.115 20 0 DIADHN C[C@@H](c1nc(-c2ccc3cc[nH]c3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000276852938 130554042 /nfs/dbraw/zinc/55/40/42/130554042.db2.gz BJFVPHIUKRGQQV-UPJWGTAASA-N 0 3 310.401 4.152 20 0 DIADHN Cc1noc(C[C@H](NCc2c(C)cccc2C)c2ccccc2)n1 ZINC000277262387 130595652 /nfs/dbraw/zinc/59/56/52/130595652.db2.gz RBEYVBFMTRHDHY-IBGZPJMESA-N 0 3 321.424 4.068 20 0 DIADHN C[C@H](NC[C@H]1CCSC1)c1nc(-c2ccccc2)cs1 ZINC000278278531 130677552 /nfs/dbraw/zinc/67/75/52/130677552.db2.gz AGYDUPLTINSCBR-QWHCGFSZSA-N 0 3 304.484 4.214 20 0 DIADHN c1ccc(-c2cccc(CN[C@H](c3cccnc3)C3CC3)c2)nc1 ZINC000340103800 130874942 /nfs/dbraw/zinc/87/49/42/130874942.db2.gz CAQHSYFAAWQUSZ-NRFANRHFSA-N 0 3 315.420 4.385 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(SC(F)F)cc2)[C@@H](C)C1 ZINC000281779614 130884457 /nfs/dbraw/zinc/88/44/57/130884457.db2.gz SYINPPIHLRKHKK-WCQYABFASA-N 0 3 301.402 4.001 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@@H]1CSC1(C)C ZINC000283475780 130995205 /nfs/dbraw/zinc/99/52/05/130995205.db2.gz WRPRYXMCFYTBKL-ZWNOBZJWSA-N 0 3 319.499 4.058 20 0 DIADHN C[C@@H](OCCCN[C@@H](C)c1ccncc1F)c1ccccc1 ZINC000340178890 131008673 /nfs/dbraw/zinc/00/86/73/131008673.db2.gz CITNUYWABYJZML-LSDHHAIUSA-N 0 3 302.393 4.039 20 0 DIADHN Clc1ccc(-c2ncc(CN[C@H]3CCSC3)s2)cc1 ZINC000283814777 131026241 /nfs/dbraw/zinc/02/62/41/131026241.db2.gz DKPOZRXFRGQYCE-LBPRGKRZSA-N 0 3 310.875 4.059 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cnc(OC)c(Cl)c2)s1 ZINC000284155892 131053536 /nfs/dbraw/zinc/05/35/36/131053536.db2.gz DUHXTTUNFRMYHC-SNVBAGLBSA-N 0 3 310.850 4.218 20 0 DIADHN COc1ccc([C@@H](CN(C)C)N[C@H](C)c2sccc2C)cc1 ZINC000284353954 131073288 /nfs/dbraw/zinc/07/32/88/131073288.db2.gz MOVHIZSXAOEBGY-RHSMWYFYSA-N 0 3 318.486 4.019 20 0 DIADHN COc1ccc([C@@H](CN(C)C)N[C@H](C)c2ccc(C)s2)cc1 ZINC000284448214 131081301 /nfs/dbraw/zinc/08/13/01/131081301.db2.gz UBDUADLRONAWQR-RHSMWYFYSA-N 0 3 318.486 4.019 20 0 DIADHN Fc1ccc([C@@H](NCc2ccnc(OC(F)F)c2)C2CC2)cc1 ZINC000284478625 131084505 /nfs/dbraw/zinc/08/45/05/131084505.db2.gz WGJFSNWOFQDOLU-INIZCTEOSA-N 0 3 322.330 4.063 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)c1cc[nH]c1C1CC1 ZINC000284716129 131106394 /nfs/dbraw/zinc/10/63/94/131106394.db2.gz FKCRSQAKROVZOI-UHFFFAOYSA-N 0 3 323.440 4.049 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCCCCF)c1ccccc1 ZINC000285237936 131150161 /nfs/dbraw/zinc/15/01/61/131150161.db2.gz HOJLSGYYFFRQCX-SFHVURJKSA-N 0 3 311.404 4.002 20 0 DIADHN C[C@H](NCCC(=O)N(C)c1ccccc1)c1cccc(C2CC2)c1 ZINC000340202885 131153258 /nfs/dbraw/zinc/15/32/58/131153258.db2.gz YDRLPHUFIGYWRN-INIZCTEOSA-N 0 3 322.452 4.268 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc[nH]c2C(C)C)c1 ZINC000285287053 131154486 /nfs/dbraw/zinc/15/44/86/131154486.db2.gz UUOAOUUOUQCKRO-UHFFFAOYSA-N 0 3 313.445 4.232 20 0 DIADHN CCCn1nc(C)c(CNCc2ccc(CSC)cc2)c1C ZINC000285359727 131161001 /nfs/dbraw/zinc/16/10/01/131161001.db2.gz BIXHKUNUJDDQLC-UHFFFAOYSA-N 0 3 317.502 4.063 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)cc2)c(C)c1OC ZINC000285456503 131169505 /nfs/dbraw/zinc/16/95/05/131169505.db2.gz ABBALCIRUWPPCT-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000285492323 131174522 /nfs/dbraw/zinc/17/45/22/131174522.db2.gz AJEFWLINGYTVNW-ABSDTBQOSA-N 0 3 310.441 4.209 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc3[nH]c(C)cc3c2)c1 ZINC000285505045 131174908 /nfs/dbraw/zinc/17/49/08/131174908.db2.gz ASTMJDGIAGGUFY-AWEZNQCLSA-N 0 3 321.424 4.286 20 0 DIADHN COCCC[C@H](C)N[C@H](C)c1ccc(Br)cc1F ZINC000285511273 131176195 /nfs/dbraw/zinc/17/61/95/131176195.db2.gz BEBOZSFELXZBMI-WDEREUQCSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3ccc4c(c3)COC4)ccc2[nH]1 ZINC000285513538 131176273 /nfs/dbraw/zinc/17/62/73/131176273.db2.gz BHRUSOSCOUELAT-AWEZNQCLSA-N 0 3 306.409 4.357 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccc2c(c1)OCC(=O)N2)c1ccccc1 ZINC000285539208 131178635 /nfs/dbraw/zinc/17/86/35/131178635.db2.gz FWVMAWKQPKEFSN-VLIAUNLRSA-N 0 3 324.424 4.065 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cc(C(=O)OC)cs2)s1 ZINC000285547528 131179846 /nfs/dbraw/zinc/17/98/46/131179846.db2.gz GIPBCQWDYRIYLR-SNVBAGLBSA-N 0 3 309.456 4.009 20 0 DIADHN COc1ccsc1[C@H](C)NCc1ccc(CSC)cc1 ZINC000285662351 131190889 /nfs/dbraw/zinc/19/08/89/131190889.db2.gz MITMDSJXICHJRU-LBPRGKRZSA-N 0 3 307.484 4.471 20 0 DIADHN COCCC[C@H](CNCc1cscn1)c1ccc(Cl)cc1 ZINC000285697481 131194089 /nfs/dbraw/zinc/19/40/89/131194089.db2.gz VTAGVYRXVLROFH-CQSZACIVSA-N 0 3 324.877 4.097 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2sc(C)nc2C)cc1 ZINC000285724682 131196506 /nfs/dbraw/zinc/19/65/06/131196506.db2.gz QKPVGEUOAZTVEE-NSHDSACASA-N 0 3 306.500 4.474 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCSc1ccccc1F ZINC000285804645 131203224 /nfs/dbraw/zinc/20/32/24/131203224.db2.gz VMJXZNQVCSPWSN-UHFFFAOYSA-N 0 3 308.422 4.048 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)c1 ZINC000285930188 131210282 /nfs/dbraw/zinc/21/02/82/131210282.db2.gz CVFSLIOCJISPFH-POZUXBRTSA-N 0 3 314.404 4.100 20 0 DIADHN CCS[C@H]1CCC[C@H]1NCc1csc(-c2ccoc2)n1 ZINC000285958640 131211997 /nfs/dbraw/zinc/21/19/97/131211997.db2.gz DEQXOZBZQDAXCJ-KGLIPLIRSA-N 0 3 308.472 4.167 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccccc1-n1ccnn1 ZINC000286049590 131217104 /nfs/dbraw/zinc/21/71/04/131217104.db2.gz KEJPYCZYQKORSF-BBRMVZONSA-N 0 3 324.453 4.057 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cccc(O)c2)c(C)c1OC ZINC000286036641 131217258 /nfs/dbraw/zinc/21/72/58/131217258.db2.gz KJJXSMUKGZCISR-KGLIPLIRSA-N 0 3 315.413 4.130 20 0 DIADHN CCOC1CC(CN2CCC[C@H]2c2nc3ccccc3s2)C1 ZINC000286082009 131218669 /nfs/dbraw/zinc/21/86/69/131218669.db2.gz SYBNVCKQRAITPE-XUJLQICISA-N 0 3 316.470 4.248 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CC(=O)N2C)c1cccc(C)c1 ZINC000286292556 131229355 /nfs/dbraw/zinc/22/93/55/131229355.db2.gz WGPKAEQERPPFSP-HNAYVOBHSA-N 0 3 322.452 4.316 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccccc2-n2ccnn2)ccc1F ZINC000286354861 131232125 /nfs/dbraw/zinc/23/21/25/131232125.db2.gz ZLAFBVPIJRHUDB-CABCVRRESA-N 0 3 324.403 4.127 20 0 DIADHN CCC(CC)(NCc1c(C)cnn1C)c1ccc(Cl)cc1 ZINC000286415591 131235550 /nfs/dbraw/zinc/23/55/50/131235550.db2.gz IBYJTFOFBSBFCQ-UHFFFAOYSA-N 0 3 305.853 4.187 20 0 DIADHN CCOc1cc(CNCc2ccc3occc3c2)ccc1OC ZINC000286763585 131249218 /nfs/dbraw/zinc/24/92/18/131249218.db2.gz ZKDBUQFVFOAUHL-UHFFFAOYSA-N 0 3 311.381 4.130 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](C)CCOCc2ccccc2)cs1 ZINC000286932489 131256657 /nfs/dbraw/zinc/25/66/57/131256657.db2.gz GHVBGYWJEWMCKA-UONOGXRCSA-N 0 3 304.459 4.098 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCCSC(C)C ZINC000286932598 131256719 /nfs/dbraw/zinc/25/67/19/131256719.db2.gz GJEVOUMOEBMYFX-LBPRGKRZSA-N 0 3 321.515 4.306 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H](CCO)c1ccc(Cl)cc1 ZINC000286967597 131258015 /nfs/dbraw/zinc/25/80/15/131258015.db2.gz IFQCYBREEKVIJX-IUODEOHRSA-N 0 3 309.862 4.484 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000287201816 131268950 /nfs/dbraw/zinc/26/89/50/131268950.db2.gz WIGULDQPMSVISP-QZTJIDSGSA-N 0 3 301.817 4.041 20 0 DIADHN OCC[C@H](N[C@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000287201820 131269322 /nfs/dbraw/zinc/26/93/22/131269322.db2.gz WIGULDQPMSVISP-ROUUACIJSA-N 0 3 301.817 4.041 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2cccc(-n3ccnn3)c2)ccc1F ZINC000287817708 131301846 /nfs/dbraw/zinc/30/18/46/131301846.db2.gz VANDOFAAHOCIEQ-HUUCEWRRSA-N 0 3 324.403 4.127 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H](c2ccccc2)C(C)(C)C)s1 ZINC000289203811 131365506 /nfs/dbraw/zinc/36/55/06/131365506.db2.gz HXPZQIGMBDJUKD-WFASDCNBSA-N 0 3 304.459 4.073 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)cc(C)c1O ZINC000289259142 131369380 /nfs/dbraw/zinc/36/93/80/131369380.db2.gz MLHVMFMZTORDEB-MOPGFXCFSA-N 0 3 311.425 4.019 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)cnn1C ZINC000289326397 131374241 /nfs/dbraw/zinc/37/42/41/131374241.db2.gz VFZZLIJQGLXZMX-BFUOFWGJSA-N 0 3 322.456 4.246 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCc3c4cc(C)ccc4[nH]c32)cnn1C ZINC000289326399 131374354 /nfs/dbraw/zinc/37/43/54/131374354.db2.gz VFZZLIJQGLXZMX-DJJJIMSYSA-N 0 3 322.456 4.246 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(Oc2cccnc2)cc1 ZINC000289426197 131385261 /nfs/dbraw/zinc/38/52/61/131385261.db2.gz NCUZJCVJSNBUPR-GFCCVEGCSA-N 0 3 310.319 4.477 20 0 DIADHN Cc1cn[nH]c1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000289460015 131387774 /nfs/dbraw/zinc/38/77/74/131387774.db2.gz HZZWOAXPLWKWBS-IBGZPJMESA-N 0 3 303.409 4.162 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCO1)c1ccc(Oc2cccnc2)cc1 ZINC000289460046 131388224 /nfs/dbraw/zinc/38/82/24/131388224.db2.gz PZONLWAQFDMQAA-QAPCUYQASA-N 0 3 312.413 4.094 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cc(C)nc(N2CCCC2)c1 ZINC000289560006 131396374 /nfs/dbraw/zinc/39/63/74/131396374.db2.gz AIPJZOBUHSRLRK-HNNXBMFYSA-N 0 3 315.486 4.211 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000289579037 131398237 /nfs/dbraw/zinc/39/82/37/131398237.db2.gz AJQDVHOYAZNSHP-NFAWXSAZSA-N 0 3 318.486 4.116 20 0 DIADHN Cc1nnc(CCN[C@@H](C)c2ccc(Cl)cc2Cl)s1 ZINC000289690671 131407906 /nfs/dbraw/zinc/40/79/06/131407906.db2.gz ICIAZQPMBRBGKC-QMMMGPOBSA-N 0 3 316.257 4.047 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000340456115 131812062 /nfs/dbraw/zinc/81/20/62/131812062.db2.gz XAXJSXDBDJIFGE-GJZGRUSLSA-N 0 3 324.403 4.127 20 0 DIADHN Cc1cc(CN[C@@H](c2cccs2)C(C)(C)CO)ccc1F ZINC000296021481 131935733 /nfs/dbraw/zinc/93/57/33/131935733.db2.gz NLCSYEDCGJBCMN-INIZCTEOSA-N 0 3 307.434 4.045 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN(CC2CCC(=O)CC2)C2CC2)o1 ZINC000296288434 131954413 /nfs/dbraw/zinc/95/44/13/131954413.db2.gz HRMACAKXLYKWOJ-ACJLOTCBSA-N 0 3 301.430 4.127 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H](c2ccccc2)C2CC2)ccn1 ZINC000296335561 131957309 /nfs/dbraw/zinc/95/73/09/131957309.db2.gz HOXZPFYMWJTRBB-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN CC[C@H](NCc1cnc(OC)c(Cl)c1)c1ccc(F)cc1 ZINC000296625980 131977752 /nfs/dbraw/zinc/97/77/52/131977752.db2.gz XDVTXFWJJNEUDD-HNNXBMFYSA-N 0 3 308.784 4.124 20 0 DIADHN COc1ccc([C@@H](CN(C)C)N[C@@H](C)c2csc(C)c2)cc1 ZINC000297259514 132006593 /nfs/dbraw/zinc/00/65/93/132006593.db2.gz JXPJHIIZXAHYDJ-KBXCAEBGSA-N 0 3 318.486 4.019 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H]1CCCc2c(F)cc(F)cc21 ZINC000297275420 132007069 /nfs/dbraw/zinc/00/70/69/132007069.db2.gz KYDVFSCVESKSSD-SGTLLEGYSA-N 0 3 302.368 4.396 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2C[C@H](c3ccccc3Cl)C2)c2nccn21 ZINC000297334898 132010438 /nfs/dbraw/zinc/01/04/38/132010438.db2.gz OEFVLAHKQQOGQT-JXFSHQFZSA-N 0 3 301.821 4.078 20 0 DIADHN CC(F)(F)CCCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000297325162 132010485 /nfs/dbraw/zinc/01/04/85/132010485.db2.gz NPRXCQCHXLJXAT-MRXNPFEDSA-N 0 3 308.347 4.335 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(Oc2ccccc2)cn1)C(C)(C)O ZINC000297442526 132015757 /nfs/dbraw/zinc/01/57/57/132015757.db2.gz WGQWHDJQPIIZAV-KBXCAEBGSA-N 0 3 314.429 4.074 20 0 DIADHN CN(Cc1cn(C)nc1C(F)F)[C@H]1CCC[C@H]1c1ccccc1 ZINC000297501633 132019201 /nfs/dbraw/zinc/01/92/01/132019201.db2.gz LLLHHCIVMHRXDF-HOTGVXAUSA-N 0 3 319.399 4.126 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCCCOc1ccc(Cl)cc1 ZINC000297540151 132021957 /nfs/dbraw/zinc/02/19/57/132021957.db2.gz DZBJXMVTJVMBQQ-CQSZACIVSA-N 0 3 321.852 4.066 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2ccncc2)cc(Cl)c1O ZINC000340573739 132023628 /nfs/dbraw/zinc/02/36/28/132023628.db2.gz FLWRBQIDBFJMEY-HNNXBMFYSA-N 0 3 320.820 4.080 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2cccc(Cl)c2)c2nccn21 ZINC000297657137 132027605 /nfs/dbraw/zinc/02/76/05/132027605.db2.gz KLXVSVVZZPOHPR-WFASDCNBSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@H](CN[C@H]1CCn2ccnc21)c1c(Cl)cccc1Cl ZINC000297737809 132031536 /nfs/dbraw/zinc/03/15/36/132031536.db2.gz NWTMVEABHISPSM-MFKMUULPSA-N 0 3 310.228 4.028 20 0 DIADHN Clc1ccc2c(n1)CCC[C@@H]2NCc1cccc2cccnc21 ZINC000297831187 132035899 /nfs/dbraw/zinc/03/58/99/132035899.db2.gz RUEMVSYLPVUFEE-INIZCTEOSA-N 0 3 323.827 4.450 20 0 DIADHN CC(C)[C@@H](NCc1cc(F)cc2c1OCOC2)c1ccccc1 ZINC000298102768 132046468 /nfs/dbraw/zinc/04/64/68/132046468.db2.gz SELMPXKMSHRSOT-GOSISDBHSA-N 0 3 315.388 4.179 20 0 DIADHN Cc1cc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)c2ccccc2n1 ZINC000298215660 132050890 /nfs/dbraw/zinc/05/08/90/132050890.db2.gz FDEIUDSMEMCUAI-DLBZAZTESA-N 0 3 302.368 4.020 20 0 DIADHN CSc1ccccc1NC(=O)CCN[C@@H](C)c1cccs1 ZINC000298748995 132076397 /nfs/dbraw/zinc/07/63/97/132076397.db2.gz CETKGZQPOCHJFS-LBPRGKRZSA-N 0 3 320.483 4.149 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2cccs2)cc(Cl)c1O ZINC000299250800 132094859 /nfs/dbraw/zinc/09/48/59/132094859.db2.gz COJXDUUDELLHLJ-JTQLQIEISA-N 0 3 311.834 4.357 20 0 DIADHN COc1cc(CNC(C)(C)c2ccccc2)ccc1OC(F)F ZINC000299469576 132107373 /nfs/dbraw/zinc/10/73/73/132107373.db2.gz OKDMMVFLGSSJOJ-UHFFFAOYSA-N 0 3 321.367 4.322 20 0 DIADHN CC[C@H](NCc1ccc(F)cc1)c1ccc(OC)c(OC)c1 ZINC000120161282 132139793 /nfs/dbraw/zinc/13/97/93/132139793.db2.gz LUGOPMVMZSFGEP-INIZCTEOSA-N 0 3 303.377 4.084 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc21)c1ccc2c(c1)OCCCO2 ZINC000120475319 132142132 /nfs/dbraw/zinc/14/21/32/132142132.db2.gz XMUHEVGBJSGDMQ-KDOFPFPSSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](C)c3ccccc3C)c(C)n2n1 ZINC000120371052 132142240 /nfs/dbraw/zinc/14/22/40/132142240.db2.gz OSACEGQUVIPEBU-HUUCEWRRSA-N 0 3 308.429 4.066 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000120862524 132146861 /nfs/dbraw/zinc/14/68/61/132146861.db2.gz QXGYDQDYVVUROQ-ZDUSSCGKSA-N 0 3 305.368 4.352 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1cc(F)cc(F)c1 ZINC000120862681 132147248 /nfs/dbraw/zinc/14/72/48/132147248.db2.gz QXGYDQDYVVUROQ-CYBMUJFWSA-N 0 3 305.368 4.352 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1cccc2ccccc21 ZINC000121056232 132151699 /nfs/dbraw/zinc/15/16/99/132151699.db2.gz PKNLEACGAAKFAZ-AUUYWEPGSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(C(F)(F)F)cc1 ZINC000122284180 132162406 /nfs/dbraw/zinc/16/24/06/132162406.db2.gz QLFZPQHCBCQKPV-XHDPSFHLSA-N 0 3 323.362 4.167 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000122524493 132165742 /nfs/dbraw/zinc/16/57/42/132165742.db2.gz LQMCUIMUXVEEAD-SJLPKXTDSA-N 0 3 315.436 4.314 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)OCCO2 ZINC000122558966 132166089 /nfs/dbraw/zinc/16/60/89/132166089.db2.gz SYYMITWCVHTFOP-LLVKDONJSA-N 0 3 321.779 4.101 20 0 DIADHN Cc1ccccc1CN[C@@H](CC(=O)OC(C)C)c1ccccc1 ZINC000340774203 132186710 /nfs/dbraw/zinc/18/67/10/132186710.db2.gz HSYRSLUGQTXMBR-IBGZPJMESA-N 0 3 311.425 4.168 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(Cc2ccc3ncccc3c2)C1 ZINC000563861478 335068649 /nfs/dbraw/zinc/06/86/49/335068649.db2.gz ISRPYZKGHBKPGZ-FQEVSTJZSA-N 0 3 306.384 4.306 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2[nH]c(C)cc2c1 ZINC000580340360 335082225 /nfs/dbraw/zinc/08/22/25/335082225.db2.gz OPOZEAYMUOCJGG-IBGZPJMESA-N 0 3 324.399 4.401 20 0 DIADHN CCSCCNCc1ccc(Oc2cc(C)ccc2C)nc1 ZINC000525875228 335089196 /nfs/dbraw/zinc/08/91/96/335089196.db2.gz ORMMDKOTTBLFRV-UHFFFAOYSA-N 0 3 316.470 4.333 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425558709 335089639 /nfs/dbraw/zinc/08/96/39/335089639.db2.gz YCAJBYAVPDAHBK-ACJLOTCBSA-N 0 3 321.411 4.307 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](CCO)c1ccccc1 ZINC000442761720 335090303 /nfs/dbraw/zinc/09/03/03/335090303.db2.gz CQWORNWOGKGHLE-WMLDXEAASA-N 0 3 301.455 4.183 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@H](CCO)c1ccccc1 ZINC000442761718 335090422 /nfs/dbraw/zinc/09/04/22/335090422.db2.gz CQWORNWOGKGHLE-RHSMWYFYSA-N 0 3 301.455 4.183 20 0 DIADHN CCOc1ccc([C@@H](NC[C@H](C)COC)c2ccccc2)cc1 ZINC000525874440 335091324 /nfs/dbraw/zinc/09/13/24/335091324.db2.gz SJWKLBWBWSAIMU-JXFKEZNVSA-N 0 3 313.441 4.047 20 0 DIADHN C[C@H](NCC(C)(C)Cc1ccccc1)c1cn2ccccc2n1 ZINC000570534660 332769719 /nfs/dbraw/zinc/76/97/19/332769719.db2.gz WFLHOKGTGDEYEY-INIZCTEOSA-N 0 3 307.441 4.254 20 0 DIADHN C[C@H](CCCCNC(=O)OC(C)(C)C)N[C@@H](C)c1ccco1 ZINC000289781548 332780051 /nfs/dbraw/zinc/78/00/51/332780051.db2.gz NXHZIDQQPLPECI-KGLIPLIRSA-N 0 3 310.438 4.014 20 0 DIADHN Cc1nocc1CN[C@@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000570691061 332801754 /nfs/dbraw/zinc/80/17/54/332801754.db2.gz DRDZKBCDEUEZQU-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]1C(F)F)[C@H](C)Oc1ccc(Cl)cc1Cl ZINC000570788478 332815937 /nfs/dbraw/zinc/81/59/37/332815937.db2.gz YZINHCLSEYCETA-ZQCZZBHPSA-N 0 3 324.198 4.392 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc(OC)c(F)c1)CC2 ZINC000173230671 332825698 /nfs/dbraw/zinc/82/56/98/332825698.db2.gz ZMRHSPVZIKBIKJ-KPZWWZAWSA-N 0 3 315.388 4.181 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C(F)(F)F)cc1)CC2 ZINC000173233333 332825954 /nfs/dbraw/zinc/82/59/54/332825954.db2.gz ISODYMZBYPAWQY-KRWDZBQOSA-N 0 3 321.342 4.491 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C(F)(F)F)cc1)CC2 ZINC000173233315 332825964 /nfs/dbraw/zinc/82/59/64/332825964.db2.gz ISODYMZBYPAWQY-QGZVFWFLSA-N 0 3 321.342 4.491 20 0 DIADHN CCCn1c(C)nnc1CNC(C)(C)CC1CCCCCC1 ZINC000444794221 332848723 /nfs/dbraw/zinc/84/87/23/332848723.db2.gz RGRMWOVDLWNNAX-UHFFFAOYSA-N 0 3 306.498 4.225 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3cnccc3C)ccc2c1 ZINC000323335154 332891991 /nfs/dbraw/zinc/89/19/91/332891991.db2.gz WOADSSMBWVMDPO-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H]1C[C@H]1[C@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1 ZINC000183115573 331521519 /nfs/dbraw/zinc/52/15/19/331521519.db2.gz ICMPDECFJDKTTL-UPRAQXHNSA-N 0 3 315.844 4.319 20 0 DIADHN Cc1c([C@@H](C)NCCCOc2cccc3ccccc32)cnn1C ZINC000180299037 331565753 /nfs/dbraw/zinc/56/57/53/331565753.db2.gz SCKGGCHPCWHOEW-OAHLLOKOSA-N 0 3 323.440 4.001 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2cccs2)n1 ZINC000123510945 331565776 /nfs/dbraw/zinc/56/57/76/331565776.db2.gz XHRNJKGIWJAVNJ-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN Cc1cc(Cl)c(C(=O)Nc2cccc(CN(C)C)c2)cc1C ZINC000180453775 331570400 /nfs/dbraw/zinc/57/04/00/331570400.db2.gz JEHOXFCKVSJZIU-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1ccc([C@@H](NCCc2cscn2)c2ccccc2)cc1 ZINC000180790675 331577390 /nfs/dbraw/zinc/57/73/90/331577390.db2.gz FVKBTDGONILFMX-IBGZPJMESA-N 0 3 308.450 4.373 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCCOC(F)(F)F ZINC000398182160 331599967 /nfs/dbraw/zinc/59/99/67/331599967.db2.gz SGKNJZSETUZYRC-SNVBAGLBSA-N 0 3 301.308 4.182 20 0 DIADHN C[C@H](NCCCOC(F)F)c1cc(F)c(Cl)cc1Cl ZINC000398199732 331600723 /nfs/dbraw/zinc/60/07/23/331600723.db2.gz NYGBPBNQPYVAJF-ZETCQYMHSA-N 0 3 316.150 4.412 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2ccc(F)cc2F)cc1 ZINC000530567708 331606772 /nfs/dbraw/zinc/60/67/72/331606772.db2.gz VLQSYHZPMOVADN-RBUKOAKNSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H]1CCCC[C@@H]1C ZINC000530658790 331616278 /nfs/dbraw/zinc/61/62/78/331616278.db2.gz FLSUOYZTVVVIAR-YOEHRIQHSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H]1CCCC[C@@H]1C ZINC000530658789 331616414 /nfs/dbraw/zinc/61/64/14/331616414.db2.gz FLSUOYZTVVVIAR-WMLDXEAASA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H]1CCCC[C@H]1C ZINC000530658787 331616558 /nfs/dbraw/zinc/61/65/58/331616558.db2.gz FLSUOYZTVVVIAR-PBHICJAKSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Br)ccc21)c1cccnc1 ZINC000040226495 331618534 /nfs/dbraw/zinc/61/85/34/331618534.db2.gz LKXIUGILAVHZGR-ZBEGNZNMSA-N 0 3 317.230 4.182 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000530735887 331622727 /nfs/dbraw/zinc/62/27/27/331622727.db2.gz UCRMCMPBXVLROA-ZYHUDNBSSA-N 0 3 307.306 4.423 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc2[nH]c(C)c(C)c2c1 ZINC000530773745 331625267 /nfs/dbraw/zinc/62/52/67/331625267.db2.gz XXGMYIDEYKAUMG-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2cccc(F)c2F)cc1 ZINC000530806572 331627422 /nfs/dbraw/zinc/62/74/22/331627422.db2.gz WLGZCVSEOIUSBC-HKUYNNGSSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2cccc(F)c2F)cc1 ZINC000530806573 331627729 /nfs/dbraw/zinc/62/77/29/331627729.db2.gz WLGZCVSEOIUSBC-IEBWSBKVSA-N 0 3 317.379 4.283 20 0 DIADHN CCS[C@H](c1nc(-c2ccc(CN(C)C)cc2)no1)C(C)C ZINC000530871937 331631812 /nfs/dbraw/zinc/63/18/12/331631812.db2.gz SNXVCBSENCOWJW-HNNXBMFYSA-N 0 3 319.474 4.248 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1csc(Br)c1 ZINC000309408175 331666404 /nfs/dbraw/zinc/66/64/04/331666404.db2.gz BJECMEFEGAHEHY-QMMMGPOBSA-N 0 3 316.186 4.331 20 0 DIADHN CC[C@H](NCCNc1cccc(C)n1)c1ccc(Cl)s1 ZINC000537954954 331675367 /nfs/dbraw/zinc/67/53/67/331675367.db2.gz AZAVFYJOTBWKMD-LBPRGKRZSA-N 0 3 309.866 4.258 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(OC(C)C)cc1 ZINC000079721035 331682799 /nfs/dbraw/zinc/68/27/99/331682799.db2.gz QVQMRIFDTCWPSZ-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(F)cc1N(C)C ZINC000539062120 331688470 /nfs/dbraw/zinc/68/84/70/331688470.db2.gz SNHXEFXSKCEMJK-WCQYABFASA-N 0 3 300.368 4.120 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1sccc1C)c1ccc(Cl)cc1 ZINC000539119250 331692766 /nfs/dbraw/zinc/69/27/66/331692766.db2.gz AAZRBVXNERZTAP-CABCVRRESA-N 0 3 309.862 4.484 20 0 DIADHN COCC1(NCc2ccc(-c3ccccc3F)s2)CCC1 ZINC000556407702 331700971 /nfs/dbraw/zinc/70/09/71/331700971.db2.gz JATJWIUSWXRKFQ-UHFFFAOYSA-N 0 3 305.418 4.213 20 0 DIADHN CCOc1ccc(CNCc2ccc(OCC)c(Cl)c2)cc1 ZINC000556413166 331702118 /nfs/dbraw/zinc/70/21/18/331702118.db2.gz FSEBSGKNYCMHIB-UHFFFAOYSA-N 0 3 319.832 4.427 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)no1 ZINC000556585367 331717315 /nfs/dbraw/zinc/71/73/15/331717315.db2.gz KTMOSELFWYBURI-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC000556611018 331720239 /nfs/dbraw/zinc/72/02/39/331720239.db2.gz WTDLQRULTKYPTL-ROUUACIJSA-N 0 3 319.452 4.213 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@H]2CC=C(C)CC2)c1 ZINC000556828788 331737888 /nfs/dbraw/zinc/73/78/88/331737888.db2.gz CBXPVOLCIQFOLY-KRWDZBQOSA-N 0 3 324.424 4.471 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccccc2-n2ccnn2)c1C ZINC000556872271 331745536 /nfs/dbraw/zinc/74/55/36/331745536.db2.gz XCULZNQRBUKWML-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000525495308 331751821 /nfs/dbraw/zinc/75/18/21/331751821.db2.gz PQIIKTPNBHGYBZ-UHFFFAOYSA-N 0 3 324.468 4.144 20 0 DIADHN Cc1cncc([C@@H](C)NCC(C)(C)Oc2cc(F)cc(F)c2)c1 ZINC000556911911 331751887 /nfs/dbraw/zinc/75/18/87/331751887.db2.gz MMZRALHMKKLINK-CYBMUJFWSA-N 0 3 320.383 4.176 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2ccccc2)C1)c1c(F)cncc1F ZINC000556917013 331753677 /nfs/dbraw/zinc/75/36/77/331753677.db2.gz LMJQXDXOHLBQHJ-NWANDNLSSA-N 0 3 302.368 4.347 20 0 DIADHN CCCN(CCC)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000568374257 331774133 /nfs/dbraw/zinc/77/41/33/331774133.db2.gz IIKHOXBXYZZACX-NSHDSACASA-N 0 3 317.260 4.442 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(C2CC2)c1 ZINC000565783447 331799034 /nfs/dbraw/zinc/79/90/34/331799034.db2.gz LHGNTVUTYIDSQK-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN CC[C@@H](NCc1nc(-c2cccs2)oc1C)C1CCOCC1 ZINC000557710250 331810194 /nfs/dbraw/zinc/81/01/94/331810194.db2.gz SNEPNKQARAOHAS-CQSZACIVSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H]3CCCSC3)s2)cc1 ZINC000557833613 331819919 /nfs/dbraw/zinc/81/99/19/331819919.db2.gz PWVIPZVFBIKFJN-CQSZACIVSA-N 0 3 304.484 4.104 20 0 DIADHN COc1cc(CN[C@H]2CC[C@@H]2SC)ccc1OCCC(C)C ZINC000557858140 331822780 /nfs/dbraw/zinc/82/27/80/331822780.db2.gz VFADYGIXIVXECI-YJBOKZPZSA-N 0 3 323.502 4.104 20 0 DIADHN Fc1ccc([C@H]2OCC[C@@H]2NCc2cccc(Cl)c2)cc1F ZINC000557881523 331823957 /nfs/dbraw/zinc/82/39/57/331823957.db2.gz FZFDTUYHUCGJLG-DLBZAZTESA-N 0 3 323.770 4.238 20 0 DIADHN Fc1ccc([C@H]2OCC[C@@H]2NCc2ccccc2Cl)cc1F ZINC000557903823 331824877 /nfs/dbraw/zinc/82/48/77/331824877.db2.gz CCTWSQPWAUSLSY-DLBZAZTESA-N 0 3 323.770 4.238 20 0 DIADHN CC1(CN2CCC(c3nc(C(F)(F)F)cs3)CC2)CC1 ZINC000565873514 331839516 /nfs/dbraw/zinc/83/95/16/331839516.db2.gz LQBDZJWBXFJUDU-UHFFFAOYSA-N 0 3 304.381 4.141 20 0 DIADHN CCCN[C@H](C)C(=O)Nc1ccc(Oc2ccc(C)cc2)cc1 ZINC000558068047 331849540 /nfs/dbraw/zinc/84/95/40/331849540.db2.gz CCPJIKSCYHAGFV-OAHLLOKOSA-N 0 3 312.413 4.114 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000558165947 331880311 /nfs/dbraw/zinc/88/03/11/331880311.db2.gz PSANXBXQEOGJKB-YZGWKJHDSA-N 0 3 311.400 4.323 20 0 DIADHN CCCC[C@@H](C)[C@@H](C)NCc1ccncc1OCC(F)F ZINC000558167066 331881906 /nfs/dbraw/zinc/88/19/06/331881906.db2.gz WWMJLDOLSUQWCS-CHWSQXEVSA-N 0 3 300.393 4.030 20 0 DIADHN Cn1c(CN[C@H]2CC[C@H]2C(C)(C)C)nc2cc(Cl)ccc21 ZINC000558260348 331890688 /nfs/dbraw/zinc/89/06/88/331890688.db2.gz XWUDOPAGZDUSDO-OLZOCXBDSA-N 0 3 305.853 4.141 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCCNc3ccccc32)c1 ZINC000558400556 331902581 /nfs/dbraw/zinc/90/25/81/331902581.db2.gz SZCWZIUJRIEMOS-LJQANCHMSA-N 0 3 323.440 4.072 20 0 DIADHN COc1ccc([C@H](C)NCCSc2ccc(C)cc2)cc1O ZINC000558499388 331913700 /nfs/dbraw/zinc/91/37/00/331913700.db2.gz XXEDKWDBMXTUGF-AWEZNQCLSA-N 0 3 317.454 4.152 20 0 DIADHN C[C@H](NCCCN(C)c1ccccc1)c1nccc2ccccc21 ZINC000558503461 331914361 /nfs/dbraw/zinc/91/43/61/331914361.db2.gz QGWZBKZWSHGPRW-KRWDZBQOSA-N 0 3 319.452 4.412 20 0 DIADHN Cc1csc([C@H](C)CN[C@H]2CCCOc3cc(F)ccc32)n1 ZINC000186570247 332934491 /nfs/dbraw/zinc/93/44/91/332934491.db2.gz YRYYPECMGGOXOD-ABAIWWIYSA-N 0 3 320.433 4.198 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCC23CCOCC3)c2ccccn2)c1 ZINC000558622598 331927968 /nfs/dbraw/zinc/92/79/68/331927968.db2.gz NGYMMYYSBRZICG-PMACEKPBSA-N 0 3 322.452 4.028 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1ccc(Cl)s1 ZINC000040495520 331936973 /nfs/dbraw/zinc/93/69/73/331936973.db2.gz OQAZDHCUZUYRMC-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)ccc1F ZINC000517976936 331982664 /nfs/dbraw/zinc/98/26/64/331982664.db2.gz BQBNZYTWPBLEKJ-RYUDHWBXSA-N 0 3 313.376 4.122 20 0 DIADHN CC[C@@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000559150599 331983405 /nfs/dbraw/zinc/98/34/05/331983405.db2.gz XBISOIWFUKPCSH-VPOLOUISSA-N 0 3 322.396 4.159 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cccs2)cc(OC)c1OC ZINC000127284104 332000713 /nfs/dbraw/zinc/00/07/13/332000713.db2.gz BRBAQOJCVLXEQW-RYUDHWBXSA-N 0 3 321.442 4.186 20 0 DIADHN Cc1c([C@H](C)NCCc2ccc(F)cc2F)cnn1C(C)(C)C ZINC000518274194 332004360 /nfs/dbraw/zinc/00/43/60/332004360.db2.gz QTKDOABHDUZWTD-LBPRGKRZSA-N 0 3 321.415 4.118 20 0 DIADHN CC(C)(C)c1n[nH]cc1CNCCc1csc2ccccc12 ZINC000518274744 332005062 /nfs/dbraw/zinc/00/50/62/332005062.db2.gz VSORRJKVZHDCQM-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN CCn1c(CNCC(C)(C)c2ccc(C)cc2)nc2ccccc21 ZINC000518277258 332005173 /nfs/dbraw/zinc/00/51/73/332005173.db2.gz ANRSVTOVGHPFSU-UHFFFAOYSA-N 0 3 321.468 4.432 20 0 DIADHN CCOc1cccc([C@@H](C)NC/C=C\c2ccccc2OC)c1 ZINC000518280375 332006190 /nfs/dbraw/zinc/00/61/90/332006190.db2.gz IPXUVHCKMZTHIM-JXMNSVBMSA-N 0 3 311.425 4.458 20 0 DIADHN CCCC[C@@H](CC)C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000518290647 332007870 /nfs/dbraw/zinc/00/78/70/332007870.db2.gz KNMGBECVSMMAIQ-IAGOWNOFSA-N 0 3 318.505 4.448 20 0 DIADHN Cc1sccc1CN[C@@H]1CCCO[C@@H]1c1ccc(C)cc1 ZINC000559272750 332011635 /nfs/dbraw/zinc/01/16/35/332011635.db2.gz AXNXFAWKYLUDOP-QZTJIDSGSA-N 0 3 301.455 4.375 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc(CN(C)C)c(F)c2)c1 ZINC000559282975 332014995 /nfs/dbraw/zinc/01/49/95/332014995.db2.gz JCLKZEBPEDSVKE-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN Fc1ccc(CNc2ccnc3ccccc32)c(C(F)(F)F)c1 ZINC000518372536 332019675 /nfs/dbraw/zinc/01/96/75/332019675.db2.gz CPSVNBGDECOJMX-UHFFFAOYSA-N 0 3 320.289 4.427 20 0 DIADHN FC1(F)CCC(CNCc2conc2Cc2ccccc2)CC1 ZINC000559303329 332020290 /nfs/dbraw/zinc/02/02/90/332020290.db2.gz QKGCBJMWICJRKK-UHFFFAOYSA-N 0 3 320.383 4.181 20 0 DIADHN Cc1cccn2c(CNCc3ccc(C(C)(F)F)cc3)cnc12 ZINC000559308077 332021777 /nfs/dbraw/zinc/02/17/77/332021777.db2.gz DDSFBHKTHPSADR-UHFFFAOYSA-N 0 3 315.367 4.044 20 0 DIADHN C[C@H]1C[C@@H](NCCOc2ccccc2)c2ccsc2S1 ZINC000130203065 332023294 /nfs/dbraw/zinc/02/32/94/332023294.db2.gz FUGOURCZMBBTKH-SWLSCSKDSA-N 0 3 305.468 4.342 20 0 DIADHN C[C@H]1C[C@H](NCCOc2ccccc2)c2ccsc2S1 ZINC000130202848 332023331 /nfs/dbraw/zinc/02/33/31/332023331.db2.gz FUGOURCZMBBTKH-WFASDCNBSA-N 0 3 305.468 4.342 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNCCC1(OC)CCC1 ZINC000559312165 332023595 /nfs/dbraw/zinc/02/35/95/332023595.db2.gz DULJQROPIMAGCQ-UHFFFAOYSA-N 0 3 318.244 4.051 20 0 DIADHN C[C@H](CN1CCCCC1)N[C@H]1C[C@H](C)Sc2sccc21 ZINC000130307655 332023885 /nfs/dbraw/zinc/02/38/85/332023885.db2.gz GWWMDZIWDSFSOQ-IPYPFGDCSA-N 0 3 310.532 4.138 20 0 DIADHN CC1(C)CCC[C@]1(O)CNCc1csc(Cl)c1Cl ZINC000559322453 332025498 /nfs/dbraw/zinc/02/54/98/332025498.db2.gz NOPCDKNXZNSGCO-ZDUSSCGKSA-N 0 3 308.274 4.086 20 0 DIADHN Cc1ccc(C)c(NC(=O)CNC(C)(C)c2ccccc2C)c1 ZINC000559327203 332026178 /nfs/dbraw/zinc/02/61/78/332026178.db2.gz WQDNXVIIJUEKOJ-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N[C@@H]3CC4CCC3CC4)o2)cc1 ZINC000559341275 332029966 /nfs/dbraw/zinc/02/99/66/332029966.db2.gz KLTBEHQXBMRERS-PBMMVSLJSA-N 0 3 311.429 4.274 20 0 DIADHN Cc1cc(C)cc(OCCCN2CCC(OCC(C)C)CC2)c1 ZINC000520041694 332030629 /nfs/dbraw/zinc/03/06/29/332030629.db2.gz FIAIQYXEFRTRIV-UHFFFAOYSA-N 0 3 319.489 4.209 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2nc3ccccc3s2)o1 ZINC000409447003 332031120 /nfs/dbraw/zinc/03/11/20/332031120.db2.gz YYGBLCQZXYNYBI-JSGCOSHPSA-N 0 3 312.438 4.345 20 0 DIADHN COC(C)(C)CCN1CCCC[C@H]1c1nc2ccccc2o1 ZINC000409447303 332031418 /nfs/dbraw/zinc/03/14/18/332031418.db2.gz AYCFZNXWJGQJBI-HNNXBMFYSA-N 0 3 302.418 4.170 20 0 DIADHN CC(C)N(CCc1nccs1)C[C@H]1CCC2(CCCCC2)O1 ZINC000409447278 332031444 /nfs/dbraw/zinc/03/14/44/332031444.db2.gz RTNVPGLYQLAFOR-MRXNPFEDSA-N 0 3 322.518 4.278 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1cncc(Br)c1 ZINC000131650856 332031993 /nfs/dbraw/zinc/03/19/93/332031993.db2.gz GHDXRYXPHHSJJH-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN COc1cc2ccccc2cc1CNCC1(C(F)(F)F)CC1 ZINC000449319256 332033285 /nfs/dbraw/zinc/03/32/85/332033285.db2.gz GCNHFLIEMMWCFZ-UHFFFAOYSA-N 0 3 309.331 4.281 20 0 DIADHN C/C(=C\c1ccccc1)CNC[C@H](c1ccco1)N1CCCC1 ZINC000409476515 332034855 /nfs/dbraw/zinc/03/48/55/332034855.db2.gz SLISYXVDAVFERM-DQSGYLJSSA-N 0 3 310.441 4.110 20 0 DIADHN COc1ccc(Br)c(CN[C@H]2CCC[C@@H](C)C2)c1 ZINC000087787443 332037728 /nfs/dbraw/zinc/03/77/28/332037728.db2.gz LSOSLCJJDYLPAI-YPMHNXCESA-N 0 3 312.251 4.126 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](C)[C@H]1OCCc2sccc21 ZINC000426308009 332042328 /nfs/dbraw/zinc/04/23/28/332042328.db2.gz BNTVTKRTDNLWFW-SUNYJGFJSA-N 0 3 301.455 4.410 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1)[C@@H]1OCCc2sccc21 ZINC000426310568 332043432 /nfs/dbraw/zinc/04/34/32/332043432.db2.gz NUHGTEIHQDGXMX-JKDFXYPNSA-N 0 3 305.418 4.240 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1)[C@H]1OCCc2sccc21 ZINC000426310571 332043438 /nfs/dbraw/zinc/04/34/38/332043438.db2.gz NUHGTEIHQDGXMX-XWCIJXRUSA-N 0 3 305.418 4.240 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1oc(CC)nc1C ZINC000426328038 332056911 /nfs/dbraw/zinc/05/69/11/332056911.db2.gz XAQSAAPJVDUSAR-KRWDZBQOSA-N 0 3 300.446 4.437 20 0 DIADHN CC[C@@H](N[C@H](C(=O)N1CCCCC1)c1ccccc1)C(C)(C)C ZINC000518484260 332064106 /nfs/dbraw/zinc/06/41/06/332064106.db2.gz PAZNUGPDYLDZDH-MSOLQXFVSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@@H](N[C@H]1CCc2sc(Cl)cc21)c1cccc(CO)c1 ZINC000573449318 332066214 /nfs/dbraw/zinc/06/62/14/332066214.db2.gz NVLKCFDHKNGPCR-YGRLFVJLSA-N 0 3 307.846 4.232 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)oc1C ZINC000426347297 332066624 /nfs/dbraw/zinc/06/66/24/332066624.db2.gz SLBXXDFJWZBUED-JKDFXYPNSA-N 0 3 305.443 4.311 20 0 DIADHN CCCc1nc(C)c(CN[C@H](Cc2ccccc2C)C2CC2)o1 ZINC000426350142 332069318 /nfs/dbraw/zinc/06/93/18/332069318.db2.gz GNXKRRXUDNLHOX-GOSISDBHSA-N 0 3 312.457 4.355 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]1c1ccccc1)c1nc2c(s1)CCCC2 ZINC000569387674 332073075 /nfs/dbraw/zinc/07/30/75/332073075.db2.gz BBXWUQPKTGGYAH-BPUTZDHNSA-N 0 3 312.482 4.476 20 0 DIADHN CC(C)SCCNCc1ccc(OC(F)F)c(Cl)c1 ZINC000569405696 332076843 /nfs/dbraw/zinc/07/68/43/332076843.db2.gz PMWAXCBHDQRNOY-UHFFFAOYSA-N 0 3 309.809 4.173 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC1CC(c3cc(F)cc(F)c3)C1)CO2 ZINC000569410431 332078068 /nfs/dbraw/zinc/07/80/68/332078068.db2.gz XUDRFTZMDXUODD-VUWQGNQKSA-N 0 3 319.326 4.073 20 0 DIADHN Cc1ccnc([C@@H](C)NCC2(c3ccc(Cl)cc3)CC2)c1 ZINC000518581876 332084130 /nfs/dbraw/zinc/08/41/30/332084130.db2.gz UAUPXDNROHSFCD-CQSZACIVSA-N 0 3 300.833 4.426 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000518589211 332088796 /nfs/dbraw/zinc/08/87/96/332088796.db2.gz XREMRYYFVLANQO-RWMBFGLXSA-N 0 3 305.290 4.400 20 0 DIADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccc(-c3ccccc3)o2)[nH]n1 ZINC000090528652 332089489 /nfs/dbraw/zinc/08/94/89/332089489.db2.gz VIBZTOOCXFRDOT-DZGCQCFKSA-N 0 3 309.413 4.260 20 0 DIADHN CC(C)N1CC[C@@H](N[C@@H](C)c2cccc(-c3ccccc3)c2)C1=O ZINC000537981607 332943414 /nfs/dbraw/zinc/94/34/14/332943414.db2.gz SIHYHNFTZKJTHT-OXJNMPFZSA-N 0 3 322.452 4.014 20 0 DIADHN CC(C)N1CC[C@H](N[C@@H](C)c2cccc(-c3ccccc3)c2)C1=O ZINC000537981606 332943507 /nfs/dbraw/zinc/94/35/07/332943507.db2.gz SIHYHNFTZKJTHT-JXFKEZNVSA-N 0 3 322.452 4.014 20 0 DIADHN C(=C\c1ccccc1)\CN[C@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000520945757 332101114 /nfs/dbraw/zinc/10/11/14/332101114.db2.gz IYVZIOBHWLIAQO-PAKAJVGYSA-N 0 3 321.420 4.212 20 0 DIADHN C(=C/c1ccccc1)\CN[C@@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000520945756 332101118 /nfs/dbraw/zinc/10/11/18/332101118.db2.gz IYVZIOBHWLIAQO-HXRGIAOBSA-N 0 3 321.420 4.212 20 0 DIADHN Cc1cccc2oc(CCNCc3ccccc3Cl)nc21 ZINC000410354713 332114234 /nfs/dbraw/zinc/11/42/34/332114234.db2.gz QCXYFQWFFNWJJO-UHFFFAOYSA-N 0 3 300.789 4.122 20 0 DIADHN Cc1ccc(CCCCC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000569512929 332117443 /nfs/dbraw/zinc/11/74/43/332117443.db2.gz FGLAOMPGNXOOQV-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN c1ccc(O[C@@H]2CCCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000410375259 332119536 /nfs/dbraw/zinc/11/95/36/332119536.db2.gz WVRPQEUSHSWLMQ-HXUWFJFHSA-N 0 3 318.420 4.278 20 0 DIADHN CN(CCCC(C)(C)C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000410377683 332120410 /nfs/dbraw/zinc/12/04/10/332120410.db2.gz FTODKUOVDZGTIZ-SFHVURJKSA-N 0 3 317.452 4.407 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCSC2)c2ccc(F)cc2)cc1 ZINC000163762523 332123856 /nfs/dbraw/zinc/12/38/56/332123856.db2.gz ZUIKAPUYBKBIGK-SJLPKXTDSA-N 0 3 317.429 4.019 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2F)ccc1Oc1cccnc1 ZINC000559358952 332127095 /nfs/dbraw/zinc/12/70/95/332127095.db2.gz MVVNTOMDXMGSGA-DLBZAZTESA-N 0 3 300.377 4.163 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1cccs1)C1CCCC1 ZINC000092372013 332127297 /nfs/dbraw/zinc/12/72/97/332127297.db2.gz QLANISRGPAOEAV-KRWDZBQOSA-N 0 3 303.475 4.065 20 0 DIADHN CC(C)[C@@H](CO)N[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000092393142 332127445 /nfs/dbraw/zinc/12/74/45/332127445.db2.gz YYIOZGJFONFWJX-LDYMZIIASA-N 0 3 310.652 4.314 20 0 DIADHN Cc1cccnc1CCNCc1cnc(C2CCCCC2)s1 ZINC000518688248 332127957 /nfs/dbraw/zinc/12/79/57/332127957.db2.gz PDUCKRYKHDXRRV-UHFFFAOYSA-N 0 3 315.486 4.227 20 0 DIADHN Cc1nc(CN[C@@H]2CCCC23CCC3)sc1Br ZINC000449399599 332128053 /nfs/dbraw/zinc/12/80/53/332128053.db2.gz BYLXCAATAKKMHL-SNVBAGLBSA-N 0 3 315.280 4.026 20 0 DIADHN c1cnn(-c2ccccc2CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000132886919 332134355 /nfs/dbraw/zinc/13/43/55/332134355.db2.gz NUAYEUSUQYUAMQ-FQEVSTJZSA-N 0 3 303.409 4.113 20 0 DIADHN CN(CCCc1cc(-c2ccccc2)no1)Cc1cccc(O)c1 ZINC000092664223 332138553 /nfs/dbraw/zinc/13/85/53/332138553.db2.gz CPUZKIWTHVKXHM-UHFFFAOYSA-N 0 3 322.408 4.112 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1cccc(Br)c1 ZINC000133386896 332139144 /nfs/dbraw/zinc/13/91/44/332139144.db2.gz IJAJGJDAWFJZTD-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000093593769 332140424 /nfs/dbraw/zinc/14/04/24/332140424.db2.gz ZGPCYEIKMXGSHO-CQSZACIVSA-N 0 3 314.454 4.019 20 0 DIADHN C[C@@H](C1CC1)N(C)Cc1ccc(Br)cc1Cl ZINC000133682295 332141202 /nfs/dbraw/zinc/14/12/02/332141202.db2.gz VYXKVUDVTDLFQB-VIFPVBQESA-N 0 3 302.643 4.333 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000426789500 332153486 /nfs/dbraw/zinc/15/34/86/332153486.db2.gz VJHNEMCKVYKQPP-ZIAGYGMSSA-N 0 3 302.443 4.334 20 0 DIADHN CC(C)[C@@H]1OCCC[C@@H]1CN[C@@H](c1ccccc1)c1ccccn1 ZINC000180931155 332165221 /nfs/dbraw/zinc/16/52/21/332165221.db2.gz RXXQDNAEPQAQFE-GIVPXCGWSA-N 0 3 324.468 4.212 20 0 DIADHN CCc1noc(C)c1CN1CCC(c2ccc(F)cc2C)CC1 ZINC000428718687 332165812 /nfs/dbraw/zinc/16/58/12/332165812.db2.gz HXQLXCCKXZZEKI-UHFFFAOYSA-N 0 3 316.420 4.373 20 0 DIADHN CCc1nc(C)c(CN[C@@H]2CCCC[C@@H]2Cc2ccccc2)o1 ZINC000428422106 332169491 /nfs/dbraw/zinc/16/94/91/332169491.db2.gz MSALZIBLEVBEPF-QZTJIDSGSA-N 0 3 312.457 4.437 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1cccnc1C ZINC000584259624 332171646 /nfs/dbraw/zinc/17/16/46/332171646.db2.gz VYBYWJDJHKTRIV-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccc(CCCN(C)[C@@H](C)c2nc3ccccc3o2)cc1 ZINC000181007993 332172924 /nfs/dbraw/zinc/17/29/24/332172924.db2.gz VSDJUFMJZVUDFB-HNNXBMFYSA-N 0 3 324.424 4.462 20 0 DIADHN CCOCCC1(CNCc2ccc(Oc3ccccc3)o2)CC1 ZINC000181033618 332173335 /nfs/dbraw/zinc/17/33/35/332173335.db2.gz FMJGGMLEYOMYBX-UHFFFAOYSA-N 0 3 315.413 4.368 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1cccc(Cl)c1F ZINC000584261580 332173916 /nfs/dbraw/zinc/17/39/16/332173916.db2.gz LOEVVMFHVLUPFQ-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN CC(C)Cc1ncc(CN2CC[C@H](Nc3ccccc3)C2)s1 ZINC000092758479 332187359 /nfs/dbraw/zinc/18/73/59/332187359.db2.gz QRHQVMUEIAVQEC-INIZCTEOSA-N 0 3 315.486 4.028 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OC(C)(C)C)nc2)s1 ZINC000181346233 332191520 /nfs/dbraw/zinc/19/15/20/332191520.db2.gz KEYWMLDUZNSGEN-ZDUSSCGKSA-N 0 3 304.459 4.480 20 0 DIADHN COCCCNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000215636415 332193933 /nfs/dbraw/zinc/19/39/33/332193933.db2.gz OXYUCYTZYDPPBP-UHFFFAOYSA-N 0 3 314.212 4.380 20 0 DIADHN Cc1nccnc1[C@H](C)NC[C@@H]1C[C@@H](C(C)C)c2ccccc21 ZINC000448027168 332197188 /nfs/dbraw/zinc/19/71/88/332197188.db2.gz LRXLRKIKELRNDW-BXWFABGCSA-N 0 3 309.457 4.363 20 0 DIADHN Cc1csc([C@H](NCCCOc2ccccc2C)C2CC2)n1 ZINC000181628772 332204903 /nfs/dbraw/zinc/20/49/03/332204903.db2.gz FFDSDUJSQRQTAN-QGZVFWFLSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1ncsc1CCN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000181656184 332205734 /nfs/dbraw/zinc/20/57/34/332205734.db2.gz BIMOUVOFYIKLKZ-MRXNPFEDSA-N 0 3 310.413 4.259 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H](C)CC(C)(C)O)o1 ZINC000449453605 332206852 /nfs/dbraw/zinc/20/68/52/332206852.db2.gz XFOPWNVHFSMUPP-ZDUSSCGKSA-N 0 3 305.393 4.033 20 0 DIADHN Cc1ncc(CNCc2cc(-c3ccccc3)cs2)s1 ZINC000040997860 332208117 /nfs/dbraw/zinc/20/81/17/332208117.db2.gz SLCZHMMTZNXTIN-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCCc2ccc(Br)cc21 ZINC000554376671 332210541 /nfs/dbraw/zinc/21/05/41/332210541.db2.gz DZHXJAXGNLSSHW-XQQFMLRXSA-N 0 3 316.189 4.070 20 0 DIADHN CCN(CCN[C@@H](C)c1cccc(Cl)c1)CCC(F)(F)F ZINC000426063198 335098884 /nfs/dbraw/zinc/09/88/84/335098884.db2.gz RXXDPKOHCVOWJE-LBPRGKRZSA-N 0 3 322.802 4.265 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537958697 332211595 /nfs/dbraw/zinc/21/15/95/332211595.db2.gz DYGFPVBPMDYWHZ-XZJROXQQSA-N 0 3 309.400 4.135 20 0 DIADHN COc1cc(C)nc(CN(Cc2ccccc2)[C@@H](C)C2CC2)c1 ZINC000533841784 332217998 /nfs/dbraw/zinc/21/79/98/332217998.db2.gz WGRFGQNPUGFHAJ-INIZCTEOSA-N 0 3 310.441 4.199 20 0 DIADHN COc1cc(C)nc(CN(Cc2ccccc2)[C@H](C)C2CC2)c1 ZINC000533841785 332218070 /nfs/dbraw/zinc/21/80/70/332218070.db2.gz WGRFGQNPUGFHAJ-MRXNPFEDSA-N 0 3 310.441 4.199 20 0 DIADHN CCOC1(CN[C@H](C)c2cc3cccc(OC)c3o2)CCCC1 ZINC000391773898 335095192 /nfs/dbraw/zinc/09/51/92/335095192.db2.gz RZCSFUZQYOJKSJ-CQSZACIVSA-N 0 3 317.429 4.441 20 0 DIADHN CCN(CCc1nccs1)Cc1ccccc1OCC(C)C ZINC000584303780 332234531 /nfs/dbraw/zinc/23/45/31/332234531.db2.gz PKFIQDFHHRGOBN-UHFFFAOYSA-N 0 3 318.486 4.243 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2NC/C=C\c2ccccc2)cc1F ZINC000584309005 332236657 /nfs/dbraw/zinc/23/66/57/332236657.db2.gz LJJLHBWUBUSUJS-IMOOKLAQSA-N 0 3 315.363 4.098 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2NC/C=C\c2ccccc2)cc1F ZINC000584309006 332236701 /nfs/dbraw/zinc/23/67/01/332236701.db2.gz LJJLHBWUBUSUJS-IXXMMVOQSA-N 0 3 315.363 4.098 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)c2ccc(F)cc2)s1 ZINC000536417943 332241676 /nfs/dbraw/zinc/24/16/76/332241676.db2.gz GHWXYCZICJLBLU-YVEFUNNKSA-N 0 3 307.434 4.315 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1nccn1C)c1ccc(Cl)cc1 ZINC000538216757 332244962 /nfs/dbraw/zinc/24/49/62/332244962.db2.gz ANESGSZLYOOLHP-AWEZNQCLSA-N 0 3 319.880 4.482 20 0 DIADHN CCC[C@@H](NCCOCCOC)c1ccc(Cl)c(Cl)c1 ZINC000358238582 332247968 /nfs/dbraw/zinc/24/79/68/332247968.db2.gz XKEBEGVHCWLFCX-OAHLLOKOSA-N 0 3 320.260 4.087 20 0 DIADHN CC[C@H](NCCSCC(F)(F)F)c1cc(F)ccc1F ZINC000358298135 332259390 /nfs/dbraw/zinc/25/93/90/332259390.db2.gz HESMXQLFDTVGQI-LBPRGKRZSA-N 0 3 313.335 4.301 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H](C)c1cc2ccccc2o1 ZINC000537973006 332260901 /nfs/dbraw/zinc/26/09/01/332260901.db2.gz NLRSCNLWCCYPEX-MNOVXSKESA-N 0 3 301.308 4.051 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000426067730 335097447 /nfs/dbraw/zinc/09/74/47/335097447.db2.gz URJHDTYKXFUNNI-UWVGGRQHSA-N 0 3 306.397 4.404 20 0 DIADHN CCc1nc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)cs1 ZINC000531104588 332263860 /nfs/dbraw/zinc/26/38/60/332263860.db2.gz OYXJUBREOBVXTK-ZBFHGGJFSA-N 0 3 300.471 4.159 20 0 DIADHN C[C@@H](N[C@@H](C)CCCC(F)(F)F)c1nc2c(s1)CCC2 ZINC000426067731 335097566 /nfs/dbraw/zinc/09/75/66/335097566.db2.gz URJHDTYKXFUNNI-VHSXEESVSA-N 0 3 306.397 4.404 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](C)C(=O)N1c2ccccc2C[C@H]1C ZINC000559721489 332277662 /nfs/dbraw/zinc/27/76/62/332277662.db2.gz ANVFYIQACQERHO-IXDOHACOSA-N 0 3 322.452 4.012 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCCCN3C(C)C)CCCC2)n1 ZINC000559793867 332281783 /nfs/dbraw/zinc/28/17/83/332281783.db2.gz RBECLQUPNCDGNM-INIZCTEOSA-N 0 3 321.534 4.073 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCCCN3C(C)C)CCCC2)n1 ZINC000559793868 332281827 /nfs/dbraw/zinc/28/18/27/332281827.db2.gz RBECLQUPNCDGNM-MRXNPFEDSA-N 0 3 321.534 4.073 20 0 DIADHN CN1CC[C@H](NCc2cccc(Cl)c2F)[C@@H]1c1ccccc1 ZINC000559795054 332282353 /nfs/dbraw/zinc/28/23/53/332282353.db2.gz HUPYHVKJGDUIRI-WMZOPIPTSA-N 0 3 318.823 4.014 20 0 DIADHN C[C@@H](c1cccs1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000531109636 332282806 /nfs/dbraw/zinc/28/28/06/332282806.db2.gz DQPPODJYWYVMSJ-LBPRGKRZSA-N 0 3 313.426 4.012 20 0 DIADHN CC(C)CCSCc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000531109624 332282824 /nfs/dbraw/zinc/28/28/24/332282824.db2.gz BWGQMYRJWXIRNU-UHFFFAOYSA-N 0 3 319.474 4.078 20 0 DIADHN C[C@@H](NC1CC(C(C)(C)C)C1)c1ccc(Br)cn1 ZINC000559880008 332287946 /nfs/dbraw/zinc/28/79/46/332287946.db2.gz ZAKJPUUTBNJQHB-XSRFYTQQSA-N 0 3 311.267 4.319 20 0 DIADHN Cc1cccc2c(CCN[C@H](C)c3cn4ccccc4n3)c[nH]c21 ZINC000559906908 332291233 /nfs/dbraw/zinc/29/12/33/332291233.db2.gz HJGNADNRHSWTNR-OAHLLOKOSA-N 0 3 318.424 4.017 20 0 DIADHN CC1=CC[C@H](N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)CC1 ZINC000559914051 332292521 /nfs/dbraw/zinc/29/25/21/332292521.db2.gz GAMDRHMRUJHCCM-VQTJNVASSA-N 0 3 320.436 4.455 20 0 DIADHN CC(C)c1ccc(NC(=O)C[C@@H](C)N[C@@H]2CCC[C@@H]2F)cc1 ZINC000559915852 332292734 /nfs/dbraw/zinc/29/27/34/332292734.db2.gz MZUBTJABGVEFGE-XOKHGSTOSA-N 0 3 306.425 4.007 20 0 DIADHN Cc1cc([C@H](C)NCCCCc2ccc(Cl)s2)nn1C ZINC000559916644 332292743 /nfs/dbraw/zinc/29/27/43/332292743.db2.gz VBYDHYGTWDBIPZ-LBPRGKRZSA-N 0 3 311.882 4.117 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccc(OC(C)C)cc2)ccn1 ZINC000559918781 332293050 /nfs/dbraw/zinc/29/30/50/332293050.db2.gz VROBCWZQDZPBGC-GJZGRUSLSA-N 0 3 314.429 4.289 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]CC[C@H](C)c2ccccc2)[n-]1 ZINC000559958479 332296979 /nfs/dbraw/zinc/29/69/79/332296979.db2.gz OMDOYFULOVTAHN-KKUMJFAQSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)NCC[C@H](C)c2ccccc2)[nH]1 ZINC000559958479 332296980 /nfs/dbraw/zinc/29/69/80/332296980.db2.gz OMDOYFULOVTAHN-KKUMJFAQSA-N 0 3 300.450 4.163 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@@H](C)c1nc2ccccc2n1C ZINC000426066236 335099685 /nfs/dbraw/zinc/09/96/85/335099685.db2.gz IBWGMVAQTJUGKV-RYUDHWBXSA-N 0 3 313.367 4.345 20 0 DIADHN C=Cn1cc(CN[C@@H](C)Cc2c(Cl)cccc2Cl)cn1 ZINC000560021352 332302525 /nfs/dbraw/zinc/30/25/25/332302525.db2.gz DMSWDSRMCUHBPU-NSHDSACASA-N 0 3 310.228 4.011 20 0 DIADHN CCC1CCC(NCc2csc(-c3ccccn3)n2)CC1 ZINC000048327178 332303258 /nfs/dbraw/zinc/30/32/58/332303258.db2.gz QRSTXPQYPJARIV-UHFFFAOYSA-N 0 3 301.459 4.264 20 0 DIADHN CCOc1ccc(CNCc2cccc(Br)c2)cc1 ZINC000048817505 332305584 /nfs/dbraw/zinc/30/55/84/332305584.db2.gz CHKPGTTUOGKSHT-UHFFFAOYSA-N 0 3 320.230 4.138 20 0 DIADHN COCC1(NCc2ccc(-c3ccccc3)cc2OC)CCC1 ZINC000560070382 332308564 /nfs/dbraw/zinc/30/85/64/332308564.db2.gz KZURGWWQCDYTQE-UHFFFAOYSA-N 0 3 311.425 4.021 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)c1 ZINC000560115247 332311901 /nfs/dbraw/zinc/31/19/01/332311901.db2.gz FWVOUBWTWINRLO-YNVMFWSZSA-N 0 3 310.441 4.025 20 0 DIADHN COc1ccc2[nH]cc(C3=CCN(CCCCCF)CC3)c2c1 ZINC000560161956 332315853 /nfs/dbraw/zinc/31/58/53/332315853.db2.gz KHHOCHSJPVLNGQ-UHFFFAOYSA-N 0 3 316.420 4.405 20 0 DIADHN c1csc(CN(Cc2ccncc2)C2Cc3ccccc3C2)c1 ZINC000560176722 332317078 /nfs/dbraw/zinc/31/70/78/332317078.db2.gz JKNTUDXPSFMKES-UHFFFAOYSA-N 0 3 320.461 4.313 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)N[C@@H]2COc3ccc(C)cc32)cc1 ZINC000560243703 332322343 /nfs/dbraw/zinc/32/23/43/332322343.db2.gz FORWGZHIFKVMQJ-VXXKDZQQSA-N 0 3 323.436 4.219 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)N[C@H]2COc3ccc(C)cc32)cc1 ZINC000560243701 332322472 /nfs/dbraw/zinc/32/24/72/332322472.db2.gz FORWGZHIFKVMQJ-IVGZAAIESA-N 0 3 323.436 4.219 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)NCc2cc(F)ccc2F)cc1 ZINC000560244946 332322932 /nfs/dbraw/zinc/32/29/32/332322932.db2.gz GEOMUFVBHBQPQO-NXOUGTEYSA-N 0 3 317.379 4.255 20 0 DIADHN C[C@H](NCc1ccnc(OC(F)F)c1)c1cc2c(s1)CCC2 ZINC000560268237 332325759 /nfs/dbraw/zinc/32/57/59/332325759.db2.gz RTAXLGKUANVEOM-JTQLQIEISA-N 0 3 324.396 4.084 20 0 DIADHN Cc1ccccc1C[C@H](C)N(C)Cc1cnc(C(C)(C)C)nc1 ZINC000560284595 332327623 /nfs/dbraw/zinc/32/76/23/332327623.db2.gz FPHLKJURDXGZTJ-INIZCTEOSA-N 0 3 311.473 4.146 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NCCOc1ccccc1 ZINC000049933980 332330387 /nfs/dbraw/zinc/33/03/87/332330387.db2.gz FNSRNYFGEBQPNN-INIZCTEOSA-N 0 3 303.402 4.031 20 0 DIADHN CCCc1csc(CN2CC[C@@H](Cc3ccccc3)C2)n1 ZINC000426081098 335101952 /nfs/dbraw/zinc/10/19/52/335101952.db2.gz ZKIYADNELPZVMW-INIZCTEOSA-N 0 3 300.471 4.160 20 0 DIADHN Cc1cnc(CNCCCc2c(Cl)cccc2Cl)s1 ZINC000560456410 332340707 /nfs/dbraw/zinc/34/07/07/332340707.db2.gz JJNHTLKCJQFVIE-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2C[C@H](C)C[C@@H](c3ccccc3)C2)s1 ZINC000391792741 335102454 /nfs/dbraw/zinc/10/24/54/335102454.db2.gz YFTORAFEMATLOT-DLTLXFJOSA-N 0 3 315.486 4.470 20 0 DIADHN COc1ccc([C@H](C)NC/C=C/c2ccccc2)c(C)c1OC ZINC000560525727 332350735 /nfs/dbraw/zinc/35/07/35/332350735.db2.gz WIPNCFAZVSCOOO-KXKDPZRNSA-N 0 3 311.425 4.376 20 0 DIADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000387550153 332351338 /nfs/dbraw/zinc/35/13/38/332351338.db2.gz SWRSAQUZDKYVFL-GOSISDBHSA-N 0 3 310.441 4.316 20 0 DIADHN c1c[nH]c([C@H](NCCCNc2ccccc2)C2CCCCC2)n1 ZINC000560530570 332352503 /nfs/dbraw/zinc/35/25/03/332352503.db2.gz RHKQQDVAGXNQHW-GOSISDBHSA-N 0 3 312.461 4.123 20 0 DIADHN Cc1cccc2ncc(CN3CCC[C@@H](c4ccccc4)C3)n21 ZINC000560542857 332355901 /nfs/dbraw/zinc/35/59/01/332355901.db2.gz YZEXAVWXFOWKLX-GOSISDBHSA-N 0 3 305.425 4.022 20 0 DIADHN CCCCOc1ccc(CN2CCC[C@H](COCC)C2)cc1 ZINC000560559661 332360036 /nfs/dbraw/zinc/36/00/36/332360036.db2.gz QSKGFGAVHRXIAC-SFHVURJKSA-N 0 3 305.462 4.114 20 0 DIADHN OCC1([C@@H](NCc2cc(Cl)cs2)c2ccccc2)CCC1 ZINC000560571536 332362539 /nfs/dbraw/zinc/36/25/39/332362539.db2.gz WWLGWYDCSLOUCJ-INIZCTEOSA-N 0 3 321.873 4.395 20 0 DIADHN CC(C)C[C@@H](NCCc1ccc(F)c(F)c1F)c1ccccn1 ZINC000566343059 332363576 /nfs/dbraw/zinc/36/35/76/332363576.db2.gz LYAQNQDXVRHOAH-MRXNPFEDSA-N 0 3 322.374 4.418 20 0 DIADHN Clc1ccc([C@H](NCc2cnn3ccccc23)C2CC2)cc1 ZINC000560575061 332363698 /nfs/dbraw/zinc/36/36/98/332363698.db2.gz LNVORKXQZXAHQJ-GOSISDBHSA-N 0 3 311.816 4.229 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1 ZINC000560575897 332363718 /nfs/dbraw/zinc/36/37/18/332363718.db2.gz TYTAJORNBXEZAB-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN C[C@@H]1C[C@H]1CNCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000560604380 332367273 /nfs/dbraw/zinc/36/72/73/332367273.db2.gz MQTOHKRMSDFHDY-KBMXLJTQSA-N 0 3 312.482 4.161 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@@H](CC4CC4)C3)s2)c1 ZINC000560619399 332370567 /nfs/dbraw/zinc/37/05/67/332370567.db2.gz RHGXWPYGXHSWFS-ZDUSSCGKSA-N 0 3 304.484 4.494 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@@H](O)CC1 ZINC000560628177 332371540 /nfs/dbraw/zinc/37/15/40/332371540.db2.gz ARYQEHWNCQPXMW-GFCCVEGCSA-N 0 3 309.862 4.210 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccncc1F)Oc1ccccc1C ZINC000583386271 332378530 /nfs/dbraw/zinc/37/85/30/332378530.db2.gz OGFBLSNEBOUAOX-LSDHHAIUSA-N 0 3 302.393 4.037 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000120299214 332385357 /nfs/dbraw/zinc/38/53/57/332385357.db2.gz FZFONRTXTZJJKT-ACJLOTCBSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1N(C)C)c1ccc2n[nH]cc2c1 ZINC000583397067 332385903 /nfs/dbraw/zinc/38/59/03/332385903.db2.gz FWMZQPDNCXQZAW-KGLIPLIRSA-N 0 3 308.429 4.041 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCCC[C@@H]2C)cc(Cl)c1[O-] ZINC000560853541 332390783 /nfs/dbraw/zinc/39/07/83/332390783.db2.gz WTFOZTYSHGYAEC-JSGCOSHPSA-N 0 3 311.853 4.360 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CN[C@H](C)c1ccc(F)cc1Cl ZINC000560907195 332393047 /nfs/dbraw/zinc/39/30/47/332393047.db2.gz AIJQWKSSGRMMOH-IAQYHMDHSA-N 0 3 301.833 4.173 20 0 DIADHN Cc1ccc([C@H](C)NCCOc2ncc(Cl)cc2Cl)o1 ZINC000560908314 332393277 /nfs/dbraw/zinc/39/32/77/332393277.db2.gz GAZSXCSDKKHTCD-JTQLQIEISA-N 0 3 315.200 4.019 20 0 DIADHN Cc1ccc(-c2cc(CN[C@H]3CC(C)(C)OC3(C)C)on2)cc1 ZINC000560902422 332393477 /nfs/dbraw/zinc/39/34/77/332393477.db2.gz XUQMQOBXKNFGIA-KRWDZBQOSA-N 0 3 314.429 4.086 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](CC)CSC)cc1OCC ZINC000560948557 332396299 /nfs/dbraw/zinc/39/62/99/332396299.db2.gz VCXDFSXZAMIZDE-HNNXBMFYSA-N 0 3 311.491 4.057 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@@H](c3nccs3)C2)cc1 ZINC000092788806 332397297 /nfs/dbraw/zinc/39/72/97/332397297.db2.gz KLJIYMPHDXJRQC-BQTXGFLSSA-N 0 3 302.418 4.175 20 0 DIADHN CC(C)c1ccc(OC2CCN(CCOCC3CC3)CC2)cc1 ZINC000525633898 332405070 /nfs/dbraw/zinc/40/50/70/332405070.db2.gz JMGOVDYXEJRAJD-UHFFFAOYSA-N 0 3 317.473 4.080 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@H](C)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000428435663 332411224 /nfs/dbraw/zinc/41/12/24/332411224.db2.gz FTEXYFUDUDLBKH-WPKBUWHJSA-N 0 3 314.429 4.149 20 0 DIADHN [O-]c1cc(C[NH2+]CCCc2cccs2)cc(C(F)(F)F)c1 ZINC000564411280 332422236 /nfs/dbraw/zinc/42/22/36/332422236.db2.gz PVQQGBGMNSXEBD-UHFFFAOYSA-N 0 3 315.360 4.195 20 0 DIADHN C[C@H](CCC(F)(F)F)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449586426 332432078 /nfs/dbraw/zinc/43/20/78/332432078.db2.gz MZHBSFIZMLZAJA-XCBNKYQSSA-N 0 3 311.269 4.412 20 0 DIADHN C[C@@H](NC[C@H]1Cc2ccccc21)c1ncc(-c2ccccc2)o1 ZINC000561347957 332439952 /nfs/dbraw/zinc/43/99/52/332439952.db2.gz LKIDIAMRFCXXEC-RHSMWYFYSA-N 0 3 304.393 4.332 20 0 DIADHN CC(C)Sc1ccc([C@H](C)[NH2+]Cc2ncccc2[O-])cc1 ZINC000569625716 332443865 /nfs/dbraw/zinc/44/38/65/332443865.db2.gz PQCKHIAJLGRXEF-ZDUSSCGKSA-N 0 3 302.443 4.139 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)N(C)c1ccccc1)c1ccccc1 ZINC000561436969 332450715 /nfs/dbraw/zinc/45/07/15/332450715.db2.gz MMBFVEOHIQSSGM-QFBILLFUSA-N 0 3 310.441 4.025 20 0 DIADHN COC[C@@H](NC[C@H](OC(C)C)c1ccccc1)c1ccccc1 ZINC000428313051 332454670 /nfs/dbraw/zinc/45/46/70/332454670.db2.gz HEZZVOAEYDVGKB-UXHICEINSA-N 0 3 313.441 4.130 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)cc2)c(C)c1 ZINC000561522997 332459717 /nfs/dbraw/zinc/45/97/17/332459717.db2.gz PKSARIFMBLRTDH-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(N(C)C)nc2)C2CCC2)cc1 ZINC000427602532 332460457 /nfs/dbraw/zinc/46/04/57/332460457.db2.gz GOJMOOPDXCSJJL-FQEVSTJZSA-N 0 3 309.457 4.087 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc3c2OCO3)C2CCC2)cc1 ZINC000427617268 332468307 /nfs/dbraw/zinc/46/83/07/332468307.db2.gz FETWGQMWYBBNRE-IBGZPJMESA-N 0 3 309.409 4.355 20 0 DIADHN CCC1(CC)[C@H](NCc2oc(C(C)C)nc2C)[C@H](C)[C@H]1OC ZINC000428960203 332472790 /nfs/dbraw/zinc/47/27/90/332472790.db2.gz AWUXRMPWMFPDJH-APHBMKBZSA-N 0 3 308.466 4.036 20 0 DIADHN CCCc1ccc(CN2CCC(OCCOC(C)C)CC2)cc1 ZINC000449726676 332502442 /nfs/dbraw/zinc/50/24/42/332502442.db2.gz LRWNIJWALGOKSB-UHFFFAOYSA-N 0 3 319.489 4.045 20 0 DIADHN Cc1cc(C(C)(C)NCc2cccn2C)ccc1Br ZINC000564416666 332510611 /nfs/dbraw/zinc/51/06/11/332510611.db2.gz AVKIQDVKVBWMET-UHFFFAOYSA-N 0 3 321.262 4.121 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)cc(C)c2)c(C)c1OC ZINC000561929271 332517857 /nfs/dbraw/zinc/51/78/57/332517857.db2.gz VVSQOFSIEVWJTH-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN CC(NCCc1ccncc1)(c1ccccc1)c1ccccc1 ZINC000562038868 332535471 /nfs/dbraw/zinc/53/54/71/332535471.db2.gz XSFPDIAPIGGQPM-UHFFFAOYSA-N 0 3 302.421 4.177 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@H](c1ccc(Br)cc1)C1CC1 ZINC000562064776 332540624 /nfs/dbraw/zinc/54/06/24/332540624.db2.gz NGAUTSAGFKFHBM-AVGNSLFASA-N 0 3 316.189 4.143 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1cccc(-n2ccnn2)c1 ZINC000562066931 332541160 /nfs/dbraw/zinc/54/11/60/332541160.db2.gz YSXSGWICBUTFLO-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H](NCCSCc1cccc2ccccc21)c1cnccn1 ZINC000151160579 332542179 /nfs/dbraw/zinc/54/21/79/332542179.db2.gz NGFDNSRQNVNTPV-OAHLLOKOSA-N 0 3 323.465 4.214 20 0 DIADHN CC[C@H](NC[C@H]1CC(C)=C[C@H](C)C1)c1nnc2n1CCCCC2 ZINC000562078530 332543023 /nfs/dbraw/zinc/54/30/23/332543023.db2.gz KVZVRLFHPRQGOX-UAGQMJEPSA-N 0 3 316.493 4.038 20 0 DIADHN COCc1cc(CN[C@H](C)c2cc(C)cc(C)c2)ccc1F ZINC000151169091 332547383 /nfs/dbraw/zinc/54/73/83/332547383.db2.gz ICIZMFXEHOVDIP-OAHLLOKOSA-N 0 3 301.405 4.440 20 0 DIADHN CCOC[C@H](C)N[C@@H]1C[C@@H](C)c2c1cccc2Br ZINC000427864268 332548765 /nfs/dbraw/zinc/54/87/65/332548765.db2.gz DSNOZWFTRZUARY-UHIISALHSA-N 0 3 312.251 4.012 20 0 DIADHN C[C@H](CCn1cccn1)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC000427886284 332554618 /nfs/dbraw/zinc/55/46/18/332554618.db2.gz ZDGJJVHVZLRYAV-NEPJUHHUSA-N 0 3 312.244 4.319 20 0 DIADHN COCc1cc(CN[C@H]2c3cc(F)ccc3C[C@@H]2C)ccc1F ZINC000151231348 332564666 /nfs/dbraw/zinc/56/46/66/332564666.db2.gz GZNBOSNMCBKPGE-HXPMCKFVSA-N 0 3 317.379 4.134 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3cnn(C)c3c2)C2CC2)cc1 ZINC000562280732 332567549 /nfs/dbraw/zinc/56/75/49/332567549.db2.gz QVNQYAXQDRYQIG-HXUWFJFHSA-N 0 3 305.425 4.123 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@H]2CCC[C@@H](F)C2)cc1Cl ZINC000566797028 332569309 /nfs/dbraw/zinc/56/93/09/332569309.db2.gz QSBMFSZLVNXAPB-TUAOUCFPSA-N 0 3 315.816 4.289 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(Cn3ccnc3)c2)cc1C ZINC000151327464 332571887 /nfs/dbraw/zinc/57/18/87/332571887.db2.gz ADNXQMBZCQFJSU-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN Cc1ccc(CC[C@H](C)NC2(C(=O)Nc3ccccc3)CC2)cc1 ZINC000427960544 332573554 /nfs/dbraw/zinc/57/35/54/332573554.db2.gz UZYWKARWTWIULH-KRWDZBQOSA-N 0 3 322.452 4.077 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1cccc(C(F)(F)F)c1 ZINC000427960369 332573572 /nfs/dbraw/zinc/57/35/72/332573572.db2.gz ULAQCVBUHQWDKO-SMDDNHRTSA-N 0 3 301.352 4.314 20 0 DIADHN CCOc1ccc([C@H](C)NC[C@@H]2CCC(C)(C)O2)cc1OCC ZINC000427974059 332578315 /nfs/dbraw/zinc/57/83/15/332578315.db2.gz PHBVBSMLUKADIZ-HOCLYGCPSA-N 0 3 321.461 4.092 20 0 DIADHN CC[C@H](NC1(C(=O)Nc2ccccc2)CC1)c1ccc(C)cc1 ZINC000427974606 332578463 /nfs/dbraw/zinc/57/84/63/332578463.db2.gz QGQXPQGVRGNKEX-SFHVURJKSA-N 0 3 308.425 4.207 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@H]3CCC(C)(C)O3)c(C)c2c1 ZINC000427981657 332580658 /nfs/dbraw/zinc/58/06/58/332580658.db2.gz WPJCSCJUFXWAMC-UKRRQHHQSA-N 0 3 317.429 4.358 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCC(C)(F)F)CC2)c(C)c1 ZINC000562528927 332602316 /nfs/dbraw/zinc/60/23/16/332602316.db2.gz LAOLHBUKOQECOX-UHFFFAOYSA-N 0 3 309.400 4.243 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2cccc(F)c2)C[C@H](C)O1 ZINC000562653578 332612697 /nfs/dbraw/zinc/61/26/97/332612697.db2.gz FBKUYDDGTNYFEE-BWMZKYQQSA-N 0 3 313.416 4.461 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2ccccc2)c2cccc(F)c2)C[C@H](C)O1 ZINC000562653580 332612763 /nfs/dbraw/zinc/61/27/63/332612763.db2.gz FBKUYDDGTNYFEE-VVVONTASSA-N 0 3 313.416 4.461 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2cn3cc(Cl)ccc3n2)o1 ZINC000525695344 332619003 /nfs/dbraw/zinc/61/90/03/332619003.db2.gz SAYHREXIVOJOFQ-GFCCVEGCSA-N 0 3 317.820 4.000 20 0 DIADHN CCc1cc(CN[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)on1 ZINC000562734816 332621547 /nfs/dbraw/zinc/62/15/47/332621547.db2.gz RTEPQLMWASXSTR-CZUORRHYSA-N 0 3 304.821 4.316 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cnc(OC)c(Cl)c1 ZINC000562847437 332631962 /nfs/dbraw/zinc/63/19/62/332631962.db2.gz UCBQLJFBLULGKE-WCBMZHEXSA-N 0 3 310.747 4.125 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccnc(OC(F)F)c2)cc1 ZINC000569701996 332664746 /nfs/dbraw/zinc/66/47/46/332664746.db2.gz KKQDGHIROVACAZ-ZDUSSCGKSA-N 0 3 320.383 4.486 20 0 DIADHN CC(F)(F)CCNCc1cc2cc(Br)ccc2o1 ZINC000565242714 332665940 /nfs/dbraw/zinc/66/59/40/332665940.db2.gz QXEIOTAVIZWZAI-UHFFFAOYSA-N 0 3 318.161 4.330 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccncc1 ZINC000569772252 332677854 /nfs/dbraw/zinc/67/78/54/332677854.db2.gz IEZPPUAJUAIZKI-BBRMVZONSA-N 0 3 308.347 4.153 20 0 DIADHN C[C@H](NCc1cc(F)c(F)cc1Br)C1(C)CC1 ZINC000569833881 332684737 /nfs/dbraw/zinc/68/47/37/332684737.db2.gz HDEODSGYWDNZKA-QMMMGPOBSA-N 0 3 304.178 4.006 20 0 DIADHN C[C@@H](NCc1cc(F)c(F)cc1Br)C1(C)CC1 ZINC000569833878 332684769 /nfs/dbraw/zinc/68/47/69/332684769.db2.gz HDEODSGYWDNZKA-MRVPVSSYSA-N 0 3 304.178 4.006 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1cc(F)ccc1F ZINC000570012249 332702048 /nfs/dbraw/zinc/70/20/48/332702048.db2.gz KWELEARONGWXFT-DIFFPNOSSA-N 0 3 323.408 4.165 20 0 DIADHN COCCOc1ccc([C@@H](C)NCc2ccc(Cl)cc2)cc1 ZINC000152910018 332708416 /nfs/dbraw/zinc/70/84/16/332708416.db2.gz XDVDHEDQIWILAF-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN COC(=O)c1ccc(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)cc1 ZINC000448268507 332715784 /nfs/dbraw/zinc/71/57/84/332715784.db2.gz UMSFKFIYQDYJDW-WOJBJXKFSA-N 0 3 323.436 4.447 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cccc(C)n1 ZINC000570389163 332748840 /nfs/dbraw/zinc/74/88/40/332748840.db2.gz QHLGHFQNGQHNOA-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1cc2ccccc2s1 ZINC000177987623 333000644 /nfs/dbraw/zinc/00/06/44/333000644.db2.gz YADCXABJLWHRAV-SWLSCSKDSA-N 0 3 311.454 4.363 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1cc(C)ccc1OC ZINC000178408584 333010597 /nfs/dbraw/zinc/01/05/97/333010597.db2.gz OCEKSOPHZBHCOH-KSSFIOAISA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)cc1F ZINC000178406057 333010612 /nfs/dbraw/zinc/01/06/12/333010612.db2.gz BHEPCBMSRGCPRH-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCc3cc(F)ccc32)c1 ZINC000178518779 333014343 /nfs/dbraw/zinc/01/43/43/333014343.db2.gz ZVUWWVFTECFBCB-HXPMCKFVSA-N 0 3 312.388 4.122 20 0 DIADHN CCCOCc1ccccc1CNCc1ccc2[nH]ccc2c1 ZINC000580215773 333015725 /nfs/dbraw/zinc/01/57/25/333015725.db2.gz DGAINFRYRHZBAQ-UHFFFAOYSA-N 0 3 308.425 4.384 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc2c(c1)OCO2 ZINC000178664707 333018107 /nfs/dbraw/zinc/01/81/07/333018107.db2.gz HCTHKKBGKLUJFF-NSHDSACASA-N 0 3 305.324 4.204 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1ccc2[nH]cnc2c1 ZINC000518764552 333018840 /nfs/dbraw/zinc/01/88/40/333018840.db2.gz SDKHGSAGEYMHSC-QGZVFWFLSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1ccc2nc[nH]c2c1 ZINC000518764552 333018841 /nfs/dbraw/zinc/01/88/41/333018841.db2.gz SDKHGSAGEYMHSC-QGZVFWFLSA-N 0 3 309.413 4.268 20 0 DIADHN CC[C@H](Nc1cccc(OCCN(C)C)c1)c1cccc(F)c1 ZINC000323356301 333026559 /nfs/dbraw/zinc/02/65/59/333026559.db2.gz AXMRXYKJPWVXTQ-IBGZPJMESA-N 0 3 316.420 4.329 20 0 DIADHN CC(C)OCCCCN[C@@H](C)c1ccccc1Br ZINC000180459062 333027822 /nfs/dbraw/zinc/02/78/22/333027822.db2.gz FYFJZNNRQDMXFL-ZDUSSCGKSA-N 0 3 314.267 4.305 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccccc1F ZINC000180537297 333028108 /nfs/dbraw/zinc/02/81/08/333028108.db2.gz ASFMVRXCANPKKB-SJCJKPOMSA-N 0 3 315.392 4.118 20 0 DIADHN CC(C)OCCCCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000181602794 333031293 /nfs/dbraw/zinc/03/12/93/333031293.db2.gz YNAJMWJKTACWER-UHFFFAOYSA-N 0 3 305.393 4.381 20 0 DIADHN CSc1cc(CN[C@H](C)c2ccc(OC(F)F)cc2)ccn1 ZINC000540136043 333037077 /nfs/dbraw/zinc/03/70/77/333037077.db2.gz KTUJCIZSQAOMBF-LLVKDONJSA-N 0 3 324.396 4.256 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@H]1CCCSC1 ZINC000580239936 333039123 /nfs/dbraw/zinc/03/91/23/333039123.db2.gz VJWPUFVJUXANGU-CQSZACIVSA-N 0 3 303.427 4.305 20 0 DIADHN CC[C@H](CN[C@H](Cc1cccnc1)c1cccc(Cl)c1)OC ZINC000580249935 333041131 /nfs/dbraw/zinc/04/11/31/333041131.db2.gz SCCLEGOYZLGQHH-QZTJIDSGSA-N 0 3 318.848 4.033 20 0 DIADHN COc1cncc(CN(Cc2cccc(F)c2)C2CCCC2)c1 ZINC000580252706 333041219 /nfs/dbraw/zinc/04/12/19/333041219.db2.gz FAYHZOGCPAVVFH-UHFFFAOYSA-N 0 3 314.404 4.174 20 0 DIADHN COC(=O)c1sccc1CNC(C)(C)CCc1ccccc1 ZINC000378700848 333046048 /nfs/dbraw/zinc/04/60/48/333046048.db2.gz JKIPREFJUOGCBR-UHFFFAOYSA-N 0 3 317.454 4.036 20 0 DIADHN CCC[C@H](CN[C@@H](C)c1ccc(OC(F)(F)F)cc1)OC ZINC000378829518 333055458 /nfs/dbraw/zinc/05/54/58/333055458.db2.gz JFVDHMCFGXOKEL-SMDDNHRTSA-N 0 3 305.340 4.051 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cccnc2)ccc1OC(F)F ZINC000171976720 333056627 /nfs/dbraw/zinc/05/66/27/333056627.db2.gz IDAYSBZCJZXQEV-VXGBXAGGSA-N 0 3 322.355 4.103 20 0 DIADHN CC(C)[C@H](NCc1cccc2c1OCO2)c1ccc(F)cc1 ZINC000378881001 333058705 /nfs/dbraw/zinc/05/87/05/333058705.db2.gz GUIWMKVPECSTLV-KRWDZBQOSA-N 0 3 301.361 4.041 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000460347202 333067067 /nfs/dbraw/zinc/06/70/67/333067067.db2.gz IYPPFRVTBGIXNQ-CHWSQXEVSA-N 0 3 305.447 4.247 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(CCc2ccccc2Cl)C1 ZINC000460359894 333071208 /nfs/dbraw/zinc/07/12/08/333071208.db2.gz CIKZUBFEYMXKCW-KSSFIOAISA-N 0 3 300.833 4.361 20 0 DIADHN FC(F)(F)C[C@@H](NCCC1CCOCC1)c1ccccc1 ZINC000313916719 333076264 /nfs/dbraw/zinc/07/62/64/333076264.db2.gz VBOOGXVSJLSLIF-OAHLLOKOSA-N 0 3 301.352 4.086 20 0 DIADHN Cc1ccnc(NC2CCN(Cc3ccccc3)CC2)c1Cl ZINC000460401408 333079080 /nfs/dbraw/zinc/07/90/80/333079080.db2.gz COTVABVDWSGUPU-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H](C)c1cccc(Cl)c1 ZINC000314075440 333085886 /nfs/dbraw/zinc/08/58/86/333085886.db2.gz UNSRSKLFBPXIHL-ZDUSSCGKSA-N 0 3 313.832 4.349 20 0 DIADHN CC(C)c1ccc([C@@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000314153067 333090185 /nfs/dbraw/zinc/09/01/85/333090185.db2.gz JATLNVAKESRIFE-QAPCUYQASA-N 0 3 300.446 4.357 20 0 DIADHN CCCN[C@H](c1ccc(OC)c(OC)c1)c1ccccc1Cl ZINC000314253585 333096393 /nfs/dbraw/zinc/09/63/93/333096393.db2.gz REQYCTJWFGGYPR-GOSISDBHSA-N 0 3 319.832 4.446 20 0 DIADHN CCOc1ccccc1CNC[C@H](C)Sc1ccccc1 ZINC000462740857 333104299 /nfs/dbraw/zinc/10/42/99/333104299.db2.gz JQKIXFVGYPTTQP-HNNXBMFYSA-N 0 3 301.455 4.356 20 0 DIADHN CO[C@H](CNCc1ccc(C)cc1C)c1ccc(Cl)cc1 ZINC000462743330 333105285 /nfs/dbraw/zinc/10/52/85/333105285.db2.gz RXSVMWITARGRMY-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN CCN(Cc1nnc(-c2cc3c(s2)CCCC3)o1)CC(C)C ZINC000461394847 333105564 /nfs/dbraw/zinc/10/55/64/333105564.db2.gz PUMNLUBFCVOWJP-UHFFFAOYSA-N 0 3 319.474 4.155 20 0 DIADHN CC(C)n1cc(CN2CCC[C@@H](c3ccc(F)cc3)CC2)cn1 ZINC000497152064 333105871 /nfs/dbraw/zinc/10/58/71/333105871.db2.gz WBQLRJKRXLDYOY-QGZVFWFLSA-N 0 3 315.436 4.373 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000314406223 333108790 /nfs/dbraw/zinc/10/87/90/333108790.db2.gz VWTAREAHCOVGMS-DGCLKSJQSA-N 0 3 305.315 4.045 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](F)C1)c1nc2ccc(Cl)cc2n1C ZINC000516650505 333109379 /nfs/dbraw/zinc/10/93/79/333109379.db2.gz OJFNGMQPMCXIMY-CYZMBNFOSA-N 0 3 309.816 4.158 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](F)C1)c1nc2ccc(Cl)cc2n1C ZINC000516650506 333109385 /nfs/dbraw/zinc/10/93/85/333109385.db2.gz OJFNGMQPMCXIMY-DRZSPHRISA-N 0 3 309.816 4.158 20 0 DIADHN COc1ccc([C@H](C)NCCSc2ccccc2)c(OC)c1 ZINC000314437564 333115043 /nfs/dbraw/zinc/11/50/43/333115043.db2.gz BGFMRBIMSCCLAB-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCCN(CC(C)(C)C)C2=O)c(C)s1 ZINC000460670623 333122648 /nfs/dbraw/zinc/12/26/48/333122648.db2.gz PISBLJMJQLRHRF-CZUORRHYSA-N 0 3 322.518 4.053 20 0 DIADHN C[C@H](NCC[S@](=O)C(C)(C)C)c1ccc2ccccc2c1 ZINC000177346765 333127614 /nfs/dbraw/zinc/12/76/14/333127614.db2.gz RVFTWIOOMZSDHC-QKKBWIMNSA-N 0 3 303.471 4.038 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@](CO)(C(C)C)C3)cc2c1C ZINC000516670766 333133021 /nfs/dbraw/zinc/13/30/21/333133021.db2.gz RVTIEWJORJUBDJ-HXUWFJFHSA-N 0 3 314.473 4.015 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000177982021 333133895 /nfs/dbraw/zinc/13/38/95/333133895.db2.gz ZTOIALUIYMCNDF-DMPWYTOCSA-N 0 3 315.482 4.453 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(-n3cccn3)cc2)ccc1C ZINC000177986836 333134013 /nfs/dbraw/zinc/13/40/13/333134013.db2.gz MQEOONSCNXEPJX-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@@H](NCc1cccc(CN2CCCC2=O)c1)c1ccccc1 ZINC000177992584 333134185 /nfs/dbraw/zinc/13/41/85/333134185.db2.gz HACCQTKKWPGSKD-HXUWFJFHSA-N 0 3 322.452 4.050 20 0 DIADHN Cc1csc(N(C(=O)C2[C@@H](C)C2(F)F)c2ccccc2)n1 ZINC000460765944 333137600 /nfs/dbraw/zinc/13/76/00/333137600.db2.gz GUJMEYSAUXKVPV-PWSUYJOCSA-N 0 3 308.353 4.017 20 0 DIADHN CCC[C@@H](N[C@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000314531870 333139628 /nfs/dbraw/zinc/13/96/28/333139628.db2.gz BPCJUUSCTIAZHG-RHSMWYFYSA-N 0 3 322.880 4.172 20 0 DIADHN CCN(Cc1c(OC(C)C)ccc2ccccc21)[C@@H]1CCOC1 ZINC000463037495 333143652 /nfs/dbraw/zinc/14/36/52/333143652.db2.gz TXTWBCZECXRRKL-QGZVFWFLSA-N 0 3 313.441 4.238 20 0 DIADHN COCCN(Cc1cccs1)C[C@@H]1C[C@H]1c1ccccc1 ZINC000463082826 333159664 /nfs/dbraw/zinc/15/96/64/333159664.db2.gz PEPXUFDRFISOPN-WMZOPIPTSA-N 0 3 301.455 4.000 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2Cc2cccc(C(N)=O)c2)cc1 ZINC000533932370 333179069 /nfs/dbraw/zinc/17/90/69/333179069.db2.gz DBWWGCOXKKBYOH-FQEVSTJZSA-N 0 3 322.452 4.246 20 0 DIADHN C[C@@H](NCCCOCC(F)(F)F)c1nc(C(C)(C)C)cs1 ZINC000184459803 333197220 /nfs/dbraw/zinc/19/72/20/333197220.db2.gz VGLKCHMAVCHJMB-SNVBAGLBSA-N 0 3 324.412 4.060 20 0 DIADHN C[C@H](NCCC1CCOCC1)c1cccc(Cl)c1Cl ZINC000388073675 333201669 /nfs/dbraw/zinc/20/16/69/333201669.db2.gz NRLDWWYBEDFAJC-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)CN(C)Cc2ccccc2)c(C)o1 ZINC000309864351 333203811 /nfs/dbraw/zinc/20/38/11/333203811.db2.gz DZIBDPRVQWKIIC-ZBFHGGJFSA-N 0 3 300.446 4.068 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N(C)C[C@@H]1CCCOC1 ZINC000151984803 333206675 /nfs/dbraw/zinc/20/66/75/333206675.db2.gz BXGGFXAUMHVEQH-RYUDHWBXSA-N 0 3 302.245 4.413 20 0 DIADHN O[C@@H](CNCc1cccc(OC2CCCC2)c1)C1CCCCC1 ZINC000518783575 333215059 /nfs/dbraw/zinc/21/50/59/333215059.db2.gz OPOANWUWFZANQD-FQEVSTJZSA-N 0 3 317.473 4.039 20 0 DIADHN CC[C@H](NCCc1ccccc1)c1nc(C(F)(F)F)cs1 ZINC000185935864 333216046 /nfs/dbraw/zinc/21/60/46/333216046.db2.gz ASHDJQZLAUPPDS-LBPRGKRZSA-N 0 3 314.376 4.445 20 0 DIADHN Clc1ccccc1OCCN(Cc1cccs1)C1CC1 ZINC000497201983 333220638 /nfs/dbraw/zinc/22/06/38/333220638.db2.gz XNYBYVKPLWNWEW-UHFFFAOYSA-N 0 3 307.846 4.445 20 0 DIADHN COc1cccc(C[C@H](C)NCc2ccc(OC)cc2Cl)c1 ZINC000497321402 333236117 /nfs/dbraw/zinc/23/61/17/333236117.db2.gz XKRYRIKZVNBABS-ZDUSSCGKSA-N 0 3 319.832 4.078 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cc(C)ccc1OC)CC2 ZINC000390154817 333236712 /nfs/dbraw/zinc/23/67/12/333236712.db2.gz KDYGUKCCDWGTHS-LIRRHRJNSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1cc(C)ccc1OC)CC2 ZINC000390154814 333236734 /nfs/dbraw/zinc/23/67/34/333236734.db2.gz KDYGUKCCDWGTHS-KUHUBIRLSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@@H]2CC(C)C)c(C)c1 ZINC000500456216 333243157 /nfs/dbraw/zinc/24/31/57/333243157.db2.gz KCGRMCUGUQCCCC-QGZVFWFLSA-N 0 3 302.462 4.061 20 0 DIADHN C/C=C/c1ccc(NC(=O)N[C@H](CN(C)C)c2ccccc2)cc1 ZINC000497413806 333247680 /nfs/dbraw/zinc/24/76/80/333247680.db2.gz BIHDXNWJJAWWMI-RRGNZLCHSA-N 0 3 323.440 4.144 20 0 DIADHN COC(=O)c1cc(CN(C)[C@@H](c2ccccc2)C(C)C)oc1C ZINC000497420377 333248552 /nfs/dbraw/zinc/24/85/52/333248552.db2.gz SRIZNDISRLCFAG-GOSISDBHSA-N 0 3 315.413 4.204 20 0 DIADHN C[C@@H](Nc1cccc(OCCN(C)C)c1)c1ccc(F)cc1F ZINC000390214698 333248630 /nfs/dbraw/zinc/24/86/30/333248630.db2.gz FXTOIGPALLIVLC-CYBMUJFWSA-N 0 3 320.383 4.078 20 0 DIADHN COc1ccc([C@H](Cc2ccncc2)NCc2cccs2)cc1 ZINC000514085752 333250521 /nfs/dbraw/zinc/25/05/21/333250521.db2.gz XGJDOFIRJRTIEZ-IBGZPJMESA-N 0 3 324.449 4.225 20 0 DIADHN Cc1cc(CNC[C@H](C)C(F)(F)F)sc1Br ZINC000390226420 333250705 /nfs/dbraw/zinc/25/07/05/333250705.db2.gz BGISZMVPAJZIIH-ZETCQYMHSA-N 0 3 316.186 4.107 20 0 DIADHN COc1cccc(CNCCCC2CCCC2)c1OC(F)F ZINC000319059097 333251129 /nfs/dbraw/zinc/25/11/29/333251129.db2.gz LGLDNPMTOUXOAE-UHFFFAOYSA-N 0 3 313.388 4.357 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N(C)C1CCCCC1 ZINC000497467208 333253864 /nfs/dbraw/zinc/25/38/64/333253864.db2.gz OLWXJRIMQKJRAA-HXUWFJFHSA-N 0 3 316.489 4.107 20 0 DIADHN CCn1nc(CN[C@@H](C)CCc2ccccc2)c2ccccc21 ZINC000390270952 333256497 /nfs/dbraw/zinc/25/64/97/333256497.db2.gz RCIHJTHYUQUCHN-INIZCTEOSA-N 0 3 307.441 4.167 20 0 DIADHN CC(C)[C@H](NCCCOC1CCCCC1)c1ccc(F)cn1 ZINC000497523006 333258643 /nfs/dbraw/zinc/25/86/43/333258643.db2.gz JUAPVCVQKNJPFT-SFHVURJKSA-N 0 3 308.441 4.247 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](c1ccc(F)cc1)C(C)C ZINC000390293765 333260038 /nfs/dbraw/zinc/26/00/38/333260038.db2.gz IOYLCFFNZQGZJP-IBGZPJMESA-N 0 3 311.404 4.269 20 0 DIADHN CC(C)NC(=O)CCN[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497530214 333260233 /nfs/dbraw/zinc/26/02/33/333260233.db2.gz QKZIOGDCMUBVHB-SFHVURJKSA-N 0 3 316.445 4.024 20 0 DIADHN c1c2ccccc2oc1[C@H](NC1CCSCC1)c1cccnc1 ZINC000498788478 333263421 /nfs/dbraw/zinc/26/34/21/333263421.db2.gz SOKCHSPXGSVDDF-LJQANCHMSA-N 0 3 324.449 4.402 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000390328906 333267286 /nfs/dbraw/zinc/26/72/86/333267286.db2.gz ZIOZZWHWMZOHRY-GJZGRUSLSA-N 0 3 311.429 4.206 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000501388251 333272559 /nfs/dbraw/zinc/27/25/59/333272559.db2.gz OHOHIHUHECCUKK-HNAYVOBHSA-N 0 3 301.405 4.318 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](c1ccccc1)c1cccc(F)c1 ZINC000501401389 333272924 /nfs/dbraw/zinc/27/29/24/333272924.db2.gz VRRKCLRNUNRUKK-HNAYVOBHSA-N 0 3 301.405 4.318 20 0 DIADHN C[C@H](CCc1ccccc1)N[C@H](C)c1nc2ccccc2n1C ZINC000390393945 333276223 /nfs/dbraw/zinc/27/62/23/333276223.db2.gz FAQICQLIMBTRNJ-HZPDHXFCSA-N 0 3 307.441 4.245 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000497696831 333279904 /nfs/dbraw/zinc/27/99/04/333279904.db2.gz QWSLJPVJLULXQV-UKRRQHHQSA-N 0 3 300.471 4.304 20 0 DIADHN CCOc1cc(C[NH2+]CCC2=CCCCC2)cc(Cl)c1[O-] ZINC000497705004 333280418 /nfs/dbraw/zinc/28/04/18/333280418.db2.gz CLNRNLWMSAYVGD-UHFFFAOYSA-N 0 3 309.837 4.424 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000497710132 333280951 /nfs/dbraw/zinc/28/09/51/333280951.db2.gz DAHCECCCXNWQCI-STQMWFEESA-N 0 3 318.461 4.443 20 0 DIADHN Cc1ccccc1C[C@H](C)N[C@H](C)c1nc2c(s1)CCC2 ZINC000502015139 333282854 /nfs/dbraw/zinc/28/28/54/333282854.db2.gz HCOBTHPIDDORCG-UONOGXRCSA-N 0 3 300.471 4.222 20 0 DIADHN CC[C@@H](NC[C@H]1COc2ccccc21)c1cc(C)ccc1OC ZINC000582114362 333284947 /nfs/dbraw/zinc/28/49/47/333284947.db2.gz KYZJIBMAAGJRJJ-MAUKXSAKSA-N 0 3 311.425 4.221 20 0 DIADHN CC[C@@H](NC[C@@H]1COc2ccccc21)c1cc(C)ccc1OC ZINC000582114361 333285004 /nfs/dbraw/zinc/28/50/04/333285004.db2.gz KYZJIBMAAGJRJJ-CRAIPNDOSA-N 0 3 311.425 4.221 20 0 DIADHN CC[C@H](CNC(c1ccc(F)cc1)c1ccc(F)cc1)OC ZINC000582114949 333287972 /nfs/dbraw/zinc/28/79/72/333287972.db2.gz PLVTXUNBFKLBJS-QGZVFWFLSA-N 0 3 305.368 4.069 20 0 DIADHN Brc1sccc1CNc1ccnc2ccccc21 ZINC000388382460 333289323 /nfs/dbraw/zinc/28/93/23/333289323.db2.gz SMIHCXZKZSJOQQ-UHFFFAOYSA-N 0 3 319.227 4.093 20 0 DIADHN COc1ccc([C@@H](C)NCc2c(C)cc(C)cc2C)cc1OC ZINC000390527695 333290698 /nfs/dbraw/zinc/29/06/98/333290698.db2.gz WGCLWFPCWSWIDQ-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000390537488 333292713 /nfs/dbraw/zinc/29/27/13/333292713.db2.gz LNXWWSIZPZCYEW-JKSUJKDBSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@@H](CCn1cccn1)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000497814145 333295884 /nfs/dbraw/zinc/29/58/84/333295884.db2.gz WBJYAXLITJDVIS-RYUDHWBXSA-N 0 3 312.244 4.319 20 0 DIADHN CC(C)[C@H](NCCOc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000497814681 333296015 /nfs/dbraw/zinc/29/60/15/333296015.db2.gz YVICEHOCFDGAPE-KRWDZBQOSA-N 0 3 322.811 4.240 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](c1ccc(F)cn1)C(C)C ZINC000497819177 333296382 /nfs/dbraw/zinc/29/63/82/333296382.db2.gz ZAXPHXNERPHEEA-LJQANCHMSA-N 0 3 316.420 4.285 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2cc(Cl)cs2)cc1 ZINC000192989683 333296974 /nfs/dbraw/zinc/29/69/74/333296974.db2.gz LPIYXQCSRACUCK-UHFFFAOYSA-N 0 3 322.861 4.286 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3cccs3)CCCCC2)nn1C ZINC000502467125 333298048 /nfs/dbraw/zinc/29/80/48/333298048.db2.gz ZBIQSXHECDDSEZ-OAHLLOKOSA-N 0 3 317.502 4.343 20 0 DIADHN CC(C)(C)[C@@H](N[C@H]1CCN(C2CC2)C1)c1cc2ccccc2o1 ZINC000497835428 333298651 /nfs/dbraw/zinc/29/86/51/333298651.db2.gz HGUCPGMZKGBBQY-KXBFYZLASA-N 0 3 312.457 4.346 20 0 DIADHN FC(F)Oc1ccccc1CNC[C@@H]1CCCCC1(F)F ZINC000390559321 333299549 /nfs/dbraw/zinc/29/95/49/333299549.db2.gz AHITVITYKDUQFT-LBPRGKRZSA-N 0 3 305.315 4.203 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H]2CCCCC2(F)F)ccc1F ZINC000390562536 333300494 /nfs/dbraw/zinc/30/04/94/333300494.db2.gz AVTVSWBGEXXMTD-YPMHNXCESA-N 0 3 301.352 4.310 20 0 DIADHN COc1cc(CNC[C@@H]2CCCC2(F)F)ccc1OC(C)C ZINC000390570226 333301701 /nfs/dbraw/zinc/30/17/01/333301701.db2.gz OHBNPYXEBJORJY-AWEZNQCLSA-N 0 3 313.388 4.007 20 0 DIADHN CC(C)CN[C@@H](c1ccco1)c1ccc(Br)cc1 ZINC000497858907 333302606 /nfs/dbraw/zinc/30/26/06/333302606.db2.gz RLALAHVRFDKGLY-OAHLLOKOSA-N 0 3 308.219 4.377 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@@H](c1ccc(F)cn1)C(C)C ZINC000497861661 333302958 /nfs/dbraw/zinc/30/29/58/333302958.db2.gz XHGXCRQDPHPRKI-DNVCBOLYSA-N 0 3 316.420 4.283 20 0 DIADHN CC(C)[C@H](NCCc1c(F)cccc1F)c1ccc(F)cn1 ZINC000497867883 333303531 /nfs/dbraw/zinc/30/35/31/333303531.db2.gz LZBPJFFWTVRYMM-KRWDZBQOSA-N 0 3 308.347 4.028 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CCC2CCCC2)c1 ZINC000497876305 333304257 /nfs/dbraw/zinc/30/42/57/333304257.db2.gz ZDXUNCGKCGJTNA-UHFFFAOYSA-N 0 3 302.462 4.437 20 0 DIADHN Fc1ccc([C@H](NCCc2ccncc2)c2ccccc2)cc1 ZINC000390599412 333304511 /nfs/dbraw/zinc/30/45/11/333304511.db2.gz UCRXAXSYZKOFLJ-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1csc(Br)c1 ZINC000390608365 333305940 /nfs/dbraw/zinc/30/59/40/333305940.db2.gz WEUYNDKIZRNNTM-SECBINFHSA-N 0 3 310.207 4.036 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ncc(-c2ccccc2F)o1 ZINC000525785462 333311054 /nfs/dbraw/zinc/31/10/54/333311054.db2.gz CILJQMQCMVRANQ-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCCCC2(F)F)c(OC)c1 ZINC000390707200 333316546 /nfs/dbraw/zinc/31/65/46/333316546.db2.gz VNXMBJHNGZCGFA-QWHCGFSZSA-N 0 3 313.388 4.180 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NC[C@H]2CCCCC2(F)F)c1 ZINC000390705062 333316886 /nfs/dbraw/zinc/31/68/86/333316886.db2.gz UXQXWZHADFIXGV-CHWSQXEVSA-N 0 3 313.388 4.180 20 0 DIADHN C[C@@H](N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1cccc(O)c1 ZINC000194937516 333317916 /nfs/dbraw/zinc/31/79/16/333317916.db2.gz WHEFBDCUBSDMEB-GUXCAODWSA-N 0 3 311.425 4.211 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cc3ccccc3[nH]c2=O)cc1 ZINC000110107406 333326411 /nfs/dbraw/zinc/32/64/11/333326411.db2.gz RXPJKBUXBVBEHC-OAHLLOKOSA-N 0 3 306.409 4.030 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000531206568 333330964 /nfs/dbraw/zinc/33/09/64/333330964.db2.gz WOSVVWCSMLXIJH-SFHVURJKSA-N 0 3 315.461 4.086 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1cnc(C2CCCCC2)s1 ZINC000531213070 333334983 /nfs/dbraw/zinc/33/49/83/333334983.db2.gz DFWPWLBFSCSTKD-UKRRQHHQSA-N 0 3 310.507 4.078 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H](C)[C@@H]3C)cs2)cc1 ZINC000531214406 333335146 /nfs/dbraw/zinc/33/51/46/333335146.db2.gz WAYXBNQGJIDSTK-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN CC[C@H](NC[C@]1(C)CCOC1)c1ccc(Cl)cc1Cl ZINC000397295848 333337635 /nfs/dbraw/zinc/33/76/35/333337635.db2.gz NAISPFTWYKMWKW-GJZGRUSLSA-N 0 3 302.245 4.461 20 0 DIADHN C/C(=C/c1nc(-c2ccc(CN(C)C)cc2)no1)c1ccccc1 ZINC000531348266 333345262 /nfs/dbraw/zinc/34/52/62/333345262.db2.gz WIZXORWWIWPISC-SQFISAMPSA-N 0 3 319.408 4.359 20 0 DIADHN Cc1cccc([C@@H](C)c2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC000531348366 333345415 /nfs/dbraw/zinc/34/54/15/333345415.db2.gz AFTPGQIPGRPLJG-OAHLLOKOSA-N 0 3 321.424 4.258 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)Cc3ccncc3)o2)cc1 ZINC000531391350 333349085 /nfs/dbraw/zinc/34/90/85/333349085.db2.gz KEPWURONYXKMCB-INIZCTEOSA-N 0 3 306.409 4.371 20 0 DIADHN COc1cc(C)c(CNC(C)(C)c2cccs2)cc1OC ZINC000531438521 333352989 /nfs/dbraw/zinc/35/29/89/333352989.db2.gz OIGJRJKWRDPFRN-UHFFFAOYSA-N 0 3 305.443 4.099 20 0 DIADHN FC(F)(F)c1cnc(NCCN2CCCCCC2)c(Cl)c1 ZINC000040433116 333353150 /nfs/dbraw/zinc/35/31/50/333353150.db2.gz ZJQLHEYBMZWGQT-UHFFFAOYSA-N 0 3 321.774 4.042 20 0 DIADHN C[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)c1nccs1 ZINC000184291082 333354735 /nfs/dbraw/zinc/35/47/35/333354735.db2.gz CZZGPBWOELASGY-GFCCVEGCSA-N 0 3 316.401 4.436 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2ccncc2)cc1Cl ZINC000188190848 333357809 /nfs/dbraw/zinc/35/78/09/333357809.db2.gz YSZMSRQQDCBSIZ-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN COCCC(C)(C)CN[C@H](C)c1ccccc1Br ZINC000184463120 333359419 /nfs/dbraw/zinc/35/94/19/333359419.db2.gz MWRVFNHNUDUINF-GFCCVEGCSA-N 0 3 314.267 4.162 20 0 DIADHN CCOC(C)(C)CN[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000323528515 333365321 /nfs/dbraw/zinc/36/53/21/333365321.db2.gz JVMNZZLVPPYCSM-LJQANCHMSA-N 0 3 313.441 4.189 20 0 DIADHN C[C@H]1C[C@@H](NCC(=O)Nc2ccc(Cl)cc2)c2ccccc21 ZINC000521502892 333368343 /nfs/dbraw/zinc/36/83/43/333368343.db2.gz HYKOFCIFOXNLFW-YVEFUNNKSA-N 0 3 314.816 4.117 20 0 DIADHN C[C@H](NCc1c2c(nn1C)CCCC2)c1cccc2ccccc21 ZINC000461103054 333374890 /nfs/dbraw/zinc/37/48/90/333374890.db2.gz QXQVDFYLYAERSF-HNNXBMFYSA-N 0 3 319.452 4.303 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc(C(C)(C)O)cc2)cc1 ZINC000186619782 333375607 /nfs/dbraw/zinc/37/56/07/333375607.db2.gz KINNSNZFAVQNOU-AWEZNQCLSA-N 0 3 315.482 4.487 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccccc2OC(F)F)CS1 ZINC000488426523 333376126 /nfs/dbraw/zinc/37/61/26/333376126.db2.gz TUFQYLWSANTJOP-OCCSQVGLSA-N 0 3 315.429 4.298 20 0 DIADHN C[C@H](CN[C@H](C)c1cnc2ccsc2c1)c1nccs1 ZINC000186725074 333377513 /nfs/dbraw/zinc/37/75/13/333377513.db2.gz BJYRFFMCSPYSIM-GHMZBOCLSA-N 0 3 303.456 4.207 20 0 DIADHN Cc1csc([C@H](N[C@H]2CS[C@@H](C(C)(C)C)C2)C2CC2)n1 ZINC000488451880 333378809 /nfs/dbraw/zinc/37/88/09/333378809.db2.gz FVJIYTRTAXBVQE-MGPQQGTHSA-N 0 3 310.532 4.412 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cccc(F)c1 ZINC000186839799 333379741 /nfs/dbraw/zinc/37/97/41/333379741.db2.gz MVEXCBLKRKSYSU-BLLLJJGKSA-N 0 3 301.361 4.356 20 0 DIADHN CC(C)CCOC1CCN(CCCOc2ccc(F)cc2)CC1 ZINC000521425991 333380904 /nfs/dbraw/zinc/38/09/04/333380904.db2.gz WKPGNNIAEFATMF-UHFFFAOYSA-N 0 3 323.452 4.122 20 0 DIADHN CC(C)CCCN[C@@H](C)c1ncc(Br)cc1F ZINC000186963929 333382522 /nfs/dbraw/zinc/38/25/22/333382522.db2.gz UNEBPSUDEZWSRD-JTQLQIEISA-N 0 3 303.219 4.070 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CCCCC1)c1cnc2ccsc2c1 ZINC000188657099 333384231 /nfs/dbraw/zinc/38/42/31/333384231.db2.gz JSYSZPIVRPUDCR-SCLBCKFNSA-N 0 3 318.486 4.278 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2sc(C(C)(C)C)nc2C)cs1 ZINC000221962133 333384888 /nfs/dbraw/zinc/38/48/88/333384888.db2.gz GLIJTBWBCUFVLM-JTQLQIEISA-N 0 3 323.531 4.407 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@@H]1CCCN1Cc1ccc(Cl)c(Cl)c1 ZINC000228483440 333386892 /nfs/dbraw/zinc/38/68/92/333386892.db2.gz UDFJOWYFJILVNU-UHOFOFEASA-N 0 3 314.256 4.119 20 0 DIADHN C[C@@H](N[C@@H]1CCSc2ccccc21)c1ccc2c(c1)OCO2 ZINC000121765065 333388131 /nfs/dbraw/zinc/38/81/31/333388131.db2.gz IYYMIGSVFMMVPZ-IUODEOHRSA-N 0 3 313.422 4.303 20 0 DIADHN CC(C)[C@H](C)N(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000522775700 333389059 /nfs/dbraw/zinc/38/90/59/333389059.db2.gz XKFJSPDVCKORIL-WLRWDXFRSA-N 0 3 322.301 4.077 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](c2ccco2)N2CCCC2)s1 ZINC000021816447 333390581 /nfs/dbraw/zinc/39/05/81/333390581.db2.gz CQJWRAVTBGXAKU-HUUCEWRRSA-N 0 3 304.459 4.137 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1cnc(C2CC2)s1 ZINC000563106181 333391292 /nfs/dbraw/zinc/39/12/92/333391292.db2.gz CHVRLABABUGUMI-GFCCVEGCSA-N 0 3 304.434 4.223 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2cnc(CCc3ccccc3)s2)C1 ZINC000563121665 333392760 /nfs/dbraw/zinc/39/27/60/333392760.db2.gz ARGAXEQDAOJJMD-HZPDHXFCSA-N 0 3 318.461 4.299 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](F)C1)c1sccc1Br ZINC000563125561 333394605 /nfs/dbraw/zinc/39/46/05/333394605.db2.gz PQDXYXYRPGQUED-GUBZILKMSA-N 0 3 306.244 4.442 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cc1 ZINC000319667298 333394620 /nfs/dbraw/zinc/39/46/20/333394620.db2.gz RVXFKMKGKIICSM-LSDHHAIUSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc2ccccc2c1 ZINC000122327805 333394693 /nfs/dbraw/zinc/39/46/93/333394693.db2.gz IOICCACFWQROET-IFXJQAMLSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccccc1C(F)(F)F ZINC000122324667 333394795 /nfs/dbraw/zinc/39/47/95/333394795.db2.gz CHGXYFBFOGCTHB-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1ccc2ccccc2c1OC(F)F ZINC000563126369 333394863 /nfs/dbraw/zinc/39/48/63/333394863.db2.gz RVAXTPUGQDTGMU-YRGRVCCFSA-N 0 3 321.367 4.269 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccccc1C(F)(F)F ZINC000122324880 333394922 /nfs/dbraw/zinc/39/49/22/333394922.db2.gz CHGXYFBFOGCTHB-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)CCc2ccc(O)cc2)c(F)c1 ZINC000319686741 333396641 /nfs/dbraw/zinc/39/66/41/333396641.db2.gz KHTLQMPPIKUEFT-KGLIPLIRSA-N 0 3 317.404 4.212 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)CCc2ccc(O)cc2)c(F)c1 ZINC000319686739 333396671 /nfs/dbraw/zinc/39/66/71/333396671.db2.gz KHTLQMPPIKUEFT-KBPBESRZSA-N 0 3 317.404 4.212 20 0 DIADHN Fc1cc(Br)cc(CNC[C@H]2CCCC2(F)F)c1 ZINC000390871581 333401367 /nfs/dbraw/zinc/40/13/67/333401367.db2.gz QDTSHDMXNACHLG-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CCOC1(CNCc2nc(-c3ccccc3)cs2)CCCC1 ZINC000319784080 333405765 /nfs/dbraw/zinc/40/57/65/333405765.db2.gz PMHCMTAJMJTYSU-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN COc1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)ccn1 ZINC000538323059 333411358 /nfs/dbraw/zinc/41/13/58/333411358.db2.gz GEHQWXCTJARYJP-XHBKTUGNSA-N 0 3 316.832 4.231 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2cnc(-c3ccc(C)cc3)s2)C1(C)C ZINC000531478977 333414663 /nfs/dbraw/zinc/41/46/63/333414663.db2.gz KQSFVCKEAOAOQF-HZPDHXFCSA-N 0 3 316.470 4.022 20 0 DIADHN CN(C)CCOc1cccc(N[C@@H]2CCCc3ccccc32)c1 ZINC000319931325 333418687 /nfs/dbraw/zinc/41/86/87/333418687.db2.gz APNSTMPQXCLZLV-HXUWFJFHSA-N 0 3 310.441 4.117 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NC[C@@H](c2ccco2)N2CCCC2)c1 ZINC000319962433 333419458 /nfs/dbraw/zinc/41/94/58/333419458.db2.gz IWKNNKLVCDBPCM-MJGOQNOKSA-N 0 3 312.457 4.384 20 0 DIADHN c1cc2c(c(NC3CCN(c4ccncc4)CC3)c1)CCCC2 ZINC000320014229 333421077 /nfs/dbraw/zinc/42/10/77/333421077.db2.gz NWIDISPUSZSRNA-UHFFFAOYSA-N 0 3 307.441 4.041 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(Br)c2)ccn1 ZINC000391148587 333421360 /nfs/dbraw/zinc/42/13/60/333421360.db2.gz VQLLMSDENCZILD-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc2c(c1)OCO2 ZINC000021520843 333423930 /nfs/dbraw/zinc/42/39/30/333423930.db2.gz KSUWVDXRMIHKFV-RYUDHWBXSA-N 0 3 303.789 4.481 20 0 DIADHN c1ccc([C@H]2CCCC[C@H]2NCc2nc3ccccc3[nH]2)cc1 ZINC000320093063 333424680 /nfs/dbraw/zinc/42/46/80/333424680.db2.gz DGRPAUMUONGXLF-IAGOWNOFSA-N 0 3 305.425 4.379 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccc2c(c1)OCO2 ZINC000061326613 333427381 /nfs/dbraw/zinc/42/73/81/333427381.db2.gz WTCIOPAXNKGVLU-FZMZJTMJSA-N 0 3 301.411 4.205 20 0 DIADHN COc1ccc(C2(NCc3ccc(Cl)cc3C)CC2)cc1 ZINC000463732674 333427475 /nfs/dbraw/zinc/42/74/75/333427475.db2.gz AAHWFLSBKXAKBZ-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN FC1(F)CCC[C@H](CNCc2ccccc2Br)C1 ZINC000302480613 333450014 /nfs/dbraw/zinc/45/00/14/333450014.db2.gz QWRCKELXAQOCNR-NSHDSACASA-N 0 3 318.205 4.364 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(F)cc1F ZINC000337565551 333453042 /nfs/dbraw/zinc/45/30/42/333453042.db2.gz XVLYVSUJKHZRBO-BFHYXJOUSA-N 0 3 305.315 4.166 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@@H]1OC(F)F)c1ccc(F)cc1F ZINC000337565555 333453066 /nfs/dbraw/zinc/45/30/66/333453066.db2.gz XVLYVSUJKHZRBO-MJBXVCDLSA-N 0 3 305.315 4.166 20 0 DIADHN CC(C)c1ccc(CN[C@@H](C)c2ccc(NC(N)=O)cc2)cc1 ZINC000123020986 333465623 /nfs/dbraw/zinc/46/56/23/333465623.db2.gz JIRASHIEGDMNFW-AWEZNQCLSA-N 0 3 311.429 4.151 20 0 DIADHN CCCOc1ccccc1Oc1ncccc1CNCC1CC1 ZINC000066973580 335143891 /nfs/dbraw/zinc/14/38/91/335143891.db2.gz KSYBFOSEKWHHOM-UHFFFAOYSA-N 0 3 312.413 4.162 20 0 DIADHN C[C@H](NCCC(C)(F)F)c1ccc(F)cc1Br ZINC000379154351 335145451 /nfs/dbraw/zinc/14/54/51/335145451.db2.gz DKXOUXGOMWQHBB-QMMMGPOBSA-N 0 3 310.157 4.284 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCCc3ccccc32)c1 ZINC000531682919 333540505 /nfs/dbraw/zinc/54/05/05/333540505.db2.gz SEWGLALGQPRCEA-IBGZPJMESA-N 0 3 308.425 4.202 20 0 DIADHN FC(F)C1CCN(Cc2cccc(OCC(F)(F)F)c2)CC1 ZINC000531726742 333544743 /nfs/dbraw/zinc/54/47/43/333544743.db2.gz HHTZGYQXBMEDHI-UHFFFAOYSA-N 0 3 323.305 4.105 20 0 DIADHN CC(C)[C@@H](NCc1nccn1CCCc1ccccc1)C1CC1 ZINC000531721922 333551012 /nfs/dbraw/zinc/55/10/12/333551012.db2.gz ZCOVLUKCCQOHDS-HXUWFJFHSA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccoc1)Oc1ccccc1Br ZINC000531737788 333551911 /nfs/dbraw/zinc/55/19/11/333551911.db2.gz VBVICTSLOSHPSM-RYUDHWBXSA-N 0 3 324.218 4.160 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCCOCC1CC1)CCCO2 ZINC000531762600 333554479 /nfs/dbraw/zinc/55/44/79/333554479.db2.gz GJGLODHLOPTFMG-SFHVURJKSA-N 0 3 303.446 4.040 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@H]2CCO[C@H]2C2CC2)cc1 ZINC000534094765 333560226 /nfs/dbraw/zinc/56/02/26/333560226.db2.gz NAZMYYUKQYZVGL-FPOVZHCZSA-N 0 3 323.436 4.019 20 0 DIADHN Cn1ccc(CNCc2ccccc2Oc2cccc(F)c2)c1 ZINC000534265842 333569469 /nfs/dbraw/zinc/56/94/69/333569469.db2.gz FTLPUDRAWGPZHH-UHFFFAOYSA-N 0 3 310.372 4.246 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC2CCC3(CCOCC3)CC2)o1 ZINC000343346073 335148285 /nfs/dbraw/zinc/14/82/85/335148285.db2.gz HTXCCKIHVMKMJA-RHSMWYFYSA-N 0 3 303.446 4.232 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1ccc(Cl)c(F)c1 ZINC000534328882 333577295 /nfs/dbraw/zinc/57/72/95/333577295.db2.gz QJUXILIBQOAYLB-GFCCVEGCSA-N 0 3 306.812 4.396 20 0 DIADHN Fc1cc(CNCCCn2ccc3ccccc32)cc(F)c1F ZINC000320838617 335151720 /nfs/dbraw/zinc/15/17/20/335151720.db2.gz FTHQXEUNZVVUOO-UHFFFAOYSA-N 0 3 318.342 4.239 20 0 DIADHN Cc1ccc(OCCCCN[C@@H](C)c2ccncc2F)cc1 ZINC000563670958 333653844 /nfs/dbraw/zinc/65/38/44/333653844.db2.gz NUNDLFAUMQCTRU-HNNXBMFYSA-N 0 3 302.393 4.039 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@H]1NCc1ccc2c(c1)COC2 ZINC000571084652 333656352 /nfs/dbraw/zinc/65/63/52/333656352.db2.gz WKPPJVHGGZCWTQ-HXUWFJFHSA-N 0 3 318.420 4.365 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccc3cnn(C)c3c2)c2sccc21 ZINC000571156594 333671481 /nfs/dbraw/zinc/67/14/81/333671481.db2.gz CDRGGQHRYFEKQR-MLGOLLRUSA-N 0 3 311.454 4.363 20 0 DIADHN CC1(C)CC[C@H](CN[C@@H](CC(F)(F)F)c2ccc(F)cc2)O1 ZINC000411459594 333674190 /nfs/dbraw/zinc/67/41/90/333674190.db2.gz PXAFGRJHTPEUGN-KGLIPLIRSA-N 0 3 319.342 4.366 20 0 DIADHN C[C@@H](NCCc1cncs1)c1nc(-c2ccccc2)cs1 ZINC000411528524 333688642 /nfs/dbraw/zinc/68/86/42/333688642.db2.gz HDOTUGJNBZGVJD-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCc1ccccn1 ZINC000411647005 333701204 /nfs/dbraw/zinc/70/12/04/333701204.db2.gz QNJHBDCDYPPQET-INIZCTEOSA-N 0 3 308.347 4.216 20 0 DIADHN Fc1cccc(Br)c1CNC[C@@H]1CCC(F)(F)C1 ZINC000430008140 333701382 /nfs/dbraw/zinc/70/13/82/333701382.db2.gz UHMUDBIIBISYLM-SECBINFHSA-N 0 3 322.168 4.113 20 0 DIADHN OCC1([C@H](NCCC(F)(F)F)c2ccccc2)CCCCC1 ZINC000412007546 333722402 /nfs/dbraw/zinc/72/24/02/333722402.db2.gz JSTISWMBUQKBJX-OAHLLOKOSA-N 0 3 315.379 4.213 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccc(F)c2)c(C)c1OC ZINC000571319421 333730177 /nfs/dbraw/zinc/73/01/77/333730177.db2.gz SEVFXZNDRMNHMW-UHFFFAOYSA-N 0 3 317.404 4.176 20 0 DIADHN CC(C)[C@@H](NC1CCC(C(F)F)CC1)c1nc(C2CC2)no1 ZINC000442829623 335156363 /nfs/dbraw/zinc/15/63/63/335156363.db2.gz VRZBKROSQQWBOI-KGPNIALWSA-N 0 3 313.392 4.058 20 0 DIADHN CC(C)(C)Oc1cccc(CNC2CCC(C(F)F)CC2)n1 ZINC000442827699 335157639 /nfs/dbraw/zinc/15/76/39/335157639.db2.gz OIDFZGKBADMZKF-UHFFFAOYSA-N 0 3 312.404 4.172 20 0 DIADHN CC1CCC(CC(=O)Nc2ccc(CN(C)C)cc2F)CC1 ZINC000412558516 333763904 /nfs/dbraw/zinc/76/39/04/333763904.db2.gz LIJWDQXLCDDPKS-UHFFFAOYSA-N 0 3 306.425 4.042 20 0 DIADHN CCC1(C(=O)Nc2ccc(CN(C)C)cc2F)CCCCC1 ZINC000412561984 333764026 /nfs/dbraw/zinc/76/40/26/333764026.db2.gz VIVNYWBSZUOFGU-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN Clc1ccc(C2(N[C@H]3CCCSC3)CC2)cc1Cl ZINC000545981180 333778666 /nfs/dbraw/zinc/77/86/66/333778666.db2.gz SCBJQUCUWPSBMT-NSHDSACASA-N 0 3 302.270 4.468 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)on1 ZINC000571450906 333790767 /nfs/dbraw/zinc/79/07/67/333790767.db2.gz GYWOTUBMUZPEHA-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N(C)C)c1ccc(Cl)cc1 ZINC000022149656 333808575 /nfs/dbraw/zinc/80/85/75/333808575.db2.gz MAIUTIICHJRAIX-IUODEOHRSA-N 0 3 308.878 4.355 20 0 DIADHN CC[C@@H](NCc1csc(C2CCCC2)n1)C1CCOCC1 ZINC000343394143 335161566 /nfs/dbraw/zinc/16/15/66/335161566.db2.gz ZGEGHEYHWFDOHP-MRXNPFEDSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@H](NCc1csc(C2CCCC2)n1)C1CCOCC1 ZINC000343394141 335161622 /nfs/dbraw/zinc/16/16/22/335161622.db2.gz ZGEGHEYHWFDOHP-INIZCTEOSA-N 0 3 308.491 4.096 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1NC(C)(C)C)N1[C@H](C)CC[C@H]1C ZINC000413744508 333870160 /nfs/dbraw/zinc/87/01/60/333870160.db2.gz HRTSDXLURMNIQS-KFWWJZLASA-N 0 3 317.477 4.097 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414069709 333891036 /nfs/dbraw/zinc/89/10/36/333891036.db2.gz JLTZIMPOSPGDGX-IJEWVQPXSA-N 0 3 316.470 4.418 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC(F)F)cc2)c(C)c1 ZINC000414074619 333892090 /nfs/dbraw/zinc/89/20/90/333892090.db2.gz XDDGCACRQJIYMQ-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000414099768 333896296 /nfs/dbraw/zinc/89/62/96/333896296.db2.gz KXNRXTMRPQZVMW-UMVBOHGHSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](NCCCc1ccccn1)c1csc(-c2ccccc2)n1 ZINC000414104984 333896947 /nfs/dbraw/zinc/89/69/47/333896947.db2.gz ZNWRLRSWOZKJJY-HNNXBMFYSA-N 0 3 323.465 4.489 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccn(C)n1 ZINC000414127251 333899444 /nfs/dbraw/zinc/89/94/44/333899444.db2.gz GCYFJUPGOAYTRE-WHOFXGATSA-N 0 3 323.362 4.036 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(Br)c(C)c2)c1 ZINC000414145531 333902685 /nfs/dbraw/zinc/90/26/85/333902685.db2.gz OALXIBJYQGLEOQ-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(Br)c(C)c2)c1 ZINC000414145538 333902688 /nfs/dbraw/zinc/90/26/88/333902688.db2.gz OALXIBJYQGLEOQ-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Clc1ccc([C@H](NCc2ccc3cncn3c2)C2CC2)cc1 ZINC000414145895 333902790 /nfs/dbraw/zinc/90/27/90/333902790.db2.gz BJWKSQOUOSPNBM-GOSISDBHSA-N 0 3 311.816 4.229 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000414153688 333904227 /nfs/dbraw/zinc/90/42/27/333904227.db2.gz KFCVBZVXCCZQDQ-KGLIPLIRSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@H](N[C@@H](c1cccc(Cl)c1)c1ccccn1)[C@H]1C[C@H]1C ZINC000414116123 333905979 /nfs/dbraw/zinc/90/59/79/333905979.db2.gz QFXJSMAMICMNAK-IHHFWDAISA-N 0 3 300.833 4.459 20 0 DIADHN C[C@H](NC1(C2CCC2)CCC1)c1ncc(Br)s1 ZINC000414197392 333912585 /nfs/dbraw/zinc/91/25/85/333912585.db2.gz WJDHGUYWZHPIQO-VIFPVBQESA-N 0 3 315.280 4.279 20 0 DIADHN COCC[C@@H](C)CN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000414200184 333913116 /nfs/dbraw/zinc/91/31/16/333913116.db2.gz AXAAQSNYHVQNHF-CHWSQXEVSA-N 0 3 322.449 4.272 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC[C@H]1O)c1csc(-c2ccccc2)n1 ZINC000414157954 333914145 /nfs/dbraw/zinc/91/41/45/333914145.db2.gz YRVIFHUCASBGPP-UKPHBRMFSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1cncc(F)c1 ZINC000414164586 333915847 /nfs/dbraw/zinc/91/58/47/333915847.db2.gz AYBDJTIJGFDRFG-DDHOLCJHSA-N 0 3 308.759 4.220 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1N[C@H](C)c1cnc2ccsc2c1 ZINC000414221696 333924176 /nfs/dbraw/zinc/92/41/76/333924176.db2.gz LKEXQFQRTIKQBQ-LLSQANQASA-N 0 3 324.449 4.259 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](N[C@@H](C)c2nccs2)C1 ZINC000414225067 333925041 /nfs/dbraw/zinc/92/50/41/333925041.db2.gz RVHKAPVEGRGKSH-KKUMJFAQSA-N 0 3 301.459 4.224 20 0 DIADHN C[C@@H](NCC1(c2c(F)cccc2F)CCC1)c1ccc(F)cn1 ZINC000414234152 333927396 /nfs/dbraw/zinc/92/73/96/333927396.db2.gz ITNSZYSKBPXJKZ-GFCCVEGCSA-N 0 3 320.358 4.271 20 0 DIADHN C[C@@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)CC(F)(F)F ZINC000414257173 333928771 /nfs/dbraw/zinc/92/87/71/333928771.db2.gz FEZXRQSGHIPVKZ-YPMHNXCESA-N 0 3 303.372 4.209 20 0 DIADHN CCc1ncc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000414316572 333938483 /nfs/dbraw/zinc/93/84/83/333938483.db2.gz UQLKMVUURORIFK-KRWDZBQOSA-N 0 3 315.436 4.445 20 0 DIADHN Fc1ccc([C@@H](C[C@H]2CCOC2)N[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414341702 333942462 /nfs/dbraw/zinc/94/24/62/333942462.db2.gz IFKVOTFNZSIPSG-BNEJOLLZSA-N 0 3 315.388 4.032 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](CO)C1)c1nc(-c2ccccc2)cs1 ZINC000414350483 333946212 /nfs/dbraw/zinc/94/62/12/333946212.db2.gz ZGQBHPYWXVASPK-DZKIICNBSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H]1C[C@H](NCc2ccccc2-n2ccnc2)c2ccccc21 ZINC000343475286 335168005 /nfs/dbraw/zinc/16/80/05/335168005.db2.gz JZQGVXDALPUYQY-KXBFYZLASA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccccc2-n2ccnc2)c2ccccc21 ZINC000343475284 335168018 /nfs/dbraw/zinc/16/80/18/335168018.db2.gz JZQGVXDALPUYQY-DNVCBOLYSA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc(-n3ccnc3)c2)c2ccccc21 ZINC000343474237 335168189 /nfs/dbraw/zinc/16/81/89/335168189.db2.gz IRRISIIRTCJVQX-FOIQADDNSA-N 0 3 303.409 4.210 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(N3CCCCC3)nc2)c1 ZINC000266498028 333974097 /nfs/dbraw/zinc/97/40/97/333974097.db2.gz USMPILWXLYJMGW-KRWDZBQOSA-N 0 3 309.457 4.231 20 0 DIADHN COC1(C)CCN(Cc2ccc(C(F)(F)F)c(C)c2)CC1 ZINC000414435068 333974172 /nfs/dbraw/zinc/97/41/72/333974172.db2.gz RGGRAETYRASTJI-UHFFFAOYSA-N 0 3 301.352 4.015 20 0 DIADHN CC[C@H](NCc1cnn(-c2ccc(OC)cc2)c1)c1ccccc1 ZINC000414494726 333996089 /nfs/dbraw/zinc/99/60/89/333996089.db2.gz LMFHDQDXRITBDY-FQEVSTJZSA-N 0 3 321.424 4.122 20 0 DIADHN CCc1nocc1CN[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000414497485 333998456 /nfs/dbraw/zinc/99/84/56/333998456.db2.gz FYKIPDIDPARBEZ-CZUORRHYSA-N 0 3 304.821 4.316 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1ccc(C(F)(F)F)s1)C1CC1 ZINC000414497792 333998670 /nfs/dbraw/zinc/99/86/70/333998670.db2.gz GQHAWHMKZSAWKH-ZANVPECISA-N 0 3 307.381 4.060 20 0 DIADHN CC[C@@H](CNCc1ncc(C(F)(F)F)s1)CC(F)(F)F ZINC000414506034 334001232 /nfs/dbraw/zinc/00/12/32/334001232.db2.gz BQRQWVVOQWYCTB-SSDOTTSWSA-N 0 3 320.302 4.230 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(F)c(Cl)c2Cl)[C@@H](C)O1 ZINC000414509343 334002028 /nfs/dbraw/zinc/00/20/28/334002028.db2.gz CGBMZWMKPGKSHU-KKZNHRDASA-N 0 3 306.208 4.036 20 0 DIADHN c1ccc([C@H]2CN(Cc3cnc(C4CC4)nc3)CC23CCC3)cc1 ZINC000414510169 334002468 /nfs/dbraw/zinc/00/24/68/334002468.db2.gz HKVLWRLWQXVRRR-LJQANCHMSA-N 0 3 319.452 4.124 20 0 DIADHN CC(C)Oc1ccccc1CN1CC2(CCOCC2)[C@H]1C(C)C ZINC000414528399 334007856 /nfs/dbraw/zinc/00/78/56/334007856.db2.gz ODQKUPMPVDWFLI-LJQANCHMSA-N 0 3 317.473 4.111 20 0 DIADHN COC1([C@@H](C)NCc2cnc(-c3ccc(C)cc3)s2)CCC1 ZINC000414530436 334009248 /nfs/dbraw/zinc/00/92/48/334009248.db2.gz XKRICIHNROSDPO-CQSZACIVSA-N 0 3 316.470 4.166 20 0 DIADHN CSc1ccccc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000270347562 334011899 /nfs/dbraw/zinc/01/18/99/334011899.db2.gz AYYAVFMJRGAWLT-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC[C@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@@H](O)C(C)C ZINC000414536749 334012622 /nfs/dbraw/zinc/01/26/22/334012622.db2.gz XWFKQWZJPVRGOJ-IRXDYDNUSA-N 0 3 318.486 4.004 20 0 DIADHN CC[C@@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@@H](O)C(C)C ZINC000414536750 334012741 /nfs/dbraw/zinc/01/27/41/334012741.db2.gz XWFKQWZJPVRGOJ-SJORKVTESA-N 0 3 318.486 4.004 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)s1 ZINC000414557921 334016833 /nfs/dbraw/zinc/01/68/33/334016833.db2.gz OCPMRNWSYHQYFG-SFHVURJKSA-N 0 3 313.466 4.410 20 0 DIADHN Cc1cc(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)cs1 ZINC000414559789 334018293 /nfs/dbraw/zinc/01/82/93/334018293.db2.gz QJOAQFJGPDCIMG-SFHVURJKSA-N 0 3 313.466 4.410 20 0 DIADHN CC(C)(CNCc1ccccc1OC(F)(F)F)c1cccnc1 ZINC000414563671 334020727 /nfs/dbraw/zinc/02/07/27/334020727.db2.gz WPGUPEFUSVFJLX-UHFFFAOYSA-N 0 3 324.346 4.048 20 0 DIADHN Cc1ccsc1CN1CC2(CCOCC2)[C@H]1c1ccccc1 ZINC000414566131 334021362 /nfs/dbraw/zinc/02/13/62/334021362.db2.gz WXPDURFRYSIGHL-GOSISDBHSA-N 0 3 313.466 4.410 20 0 DIADHN CC[C@H](NCC(=O)Nc1c(C)cccc1Cl)c1ccccc1 ZINC000271652262 334024894 /nfs/dbraw/zinc/02/48/94/334024894.db2.gz JKBGLOZYXVZQFE-INIZCTEOSA-N 0 3 316.832 4.328 20 0 DIADHN OC[C@H]1CCC[C@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000414577682 334025924 /nfs/dbraw/zinc/02/59/24/334025924.db2.gz OZFYKPPOAQFFNG-KBPBESRZSA-N 0 3 319.832 4.241 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1F ZINC000437087057 334026469 /nfs/dbraw/zinc/02/64/69/334026469.db2.gz MWCYUIUELGXXPL-ZWNOBZJWSA-N 0 3 307.331 4.462 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000271878034 334027996 /nfs/dbraw/zinc/02/79/96/334027996.db2.gz OQKGAISIHZGDQF-LBTNJELSSA-N 0 3 319.424 4.030 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1sc(C)nc1C ZINC000271875983 334028012 /nfs/dbraw/zinc/02/80/12/334028012.db2.gz OAWUZEKPGNXJRY-USBNGQNGSA-N 0 3 322.449 4.326 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCc2cccc(F)c2F)s1 ZINC000343518369 335174169 /nfs/dbraw/zinc/17/41/69/335174169.db2.gz BMBRLEQCLCGPQD-LLVKDONJSA-N 0 3 310.413 4.274 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](NCc3cc(F)ccc3F)CCO2)cc1 ZINC000273463562 334047118 /nfs/dbraw/zinc/04/71/18/334047118.db2.gz IBRRRCIRERIVER-WMZOPIPTSA-N 0 3 321.342 4.114 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1ccsc1 ZINC000437573704 334051548 /nfs/dbraw/zinc/05/15/48/334051548.db2.gz ADUBMQYJZNHXCM-UHFFFAOYSA-N 0 3 303.456 4.222 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H](CCCO)C1 ZINC000452129931 334085751 /nfs/dbraw/zinc/08/57/51/334085751.db2.gz NOBOUGOUWLOEMX-VXGBXAGGSA-N 0 3 302.245 4.149 20 0 DIADHN CC(C)c1ccc(NC(=O)N2C[C@@H](C)NC[C@H]2C)c(C(C)C)c1 ZINC000414826682 334109021 /nfs/dbraw/zinc/10/90/21/334109021.db2.gz JHQLCMURIIXSKE-HUUCEWRRSA-N 0 3 317.477 4.148 20 0 DIADHN CCN(Cc1cn(C(C)C)nc1C)[C@H](C)Cc1ccsc1 ZINC000343614779 335177585 /nfs/dbraw/zinc/17/75/85/335177585.db2.gz IBTPGSYPIPQFCX-CQSZACIVSA-N 0 3 305.491 4.287 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1nc(-c2ccccc2)cs1 ZINC000287323328 334157286 /nfs/dbraw/zinc/15/72/86/334157286.db2.gz FNKLLEGNBBWSMN-SGMGOOAPSA-N 0 3 304.484 4.212 20 0 DIADHN Cc1cnc([C@H](C)CN[C@@H](C)c2nccc3ccccc32)s1 ZINC000290710494 334174260 /nfs/dbraw/zinc/17/42/60/334174260.db2.gz NWKIWBJQADRCFK-OCCSQVGLSA-N 0 3 311.454 4.454 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(Cl)c(F)c1 ZINC000414918744 334178336 /nfs/dbraw/zinc/17/83/36/334178336.db2.gz STVMWSYAJWMNKH-KRWDZBQOSA-N 0 3 304.796 4.229 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCCc3cc(N)ccc32)cc1 ZINC000414919078 334179402 /nfs/dbraw/zinc/17/94/02/334179402.db2.gz UEWISVUMRVAQDV-HXUWFJFHSA-N 0 3 310.441 4.223 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H]1CCCc2cc(N)ccc21 ZINC000414928288 334191038 /nfs/dbraw/zinc/19/10/38/334191038.db2.gz MSYIVNCZQAUPBO-IBGZPJMESA-N 0 3 306.409 4.491 20 0 DIADHN COc1ccc2oc(CN[C@H]3CCCc4cc(N)ccc43)cc2c1 ZINC000414932006 334195406 /nfs/dbraw/zinc/19/54/06/334195406.db2.gz QPACMLHVNPKKKU-IBGZPJMESA-N 0 3 322.408 4.191 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1ccc[nH]1)c1ccc(Cl)cc1 ZINC000514093454 334271828 /nfs/dbraw/zinc/27/18/28/334271828.db2.gz GBBYCELJNRQHMH-DLBZAZTESA-N 0 3 304.821 4.193 20 0 DIADHN CC[C@H](NCc1cccc(Cn2ccnc2)c1)c1cccc(F)c1 ZINC000187752705 334271954 /nfs/dbraw/zinc/27/19/54/334271954.db2.gz ZWBLJQIVKFYFTM-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(F)c(Cl)c1 ZINC000414941017 334202758 /nfs/dbraw/zinc/20/27/58/334202758.db2.gz YCOYKPIGXJWPAL-QGZVFWFLSA-N 0 3 304.796 4.229 20 0 DIADHN c1ccc(OCC2CC2)c(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414968528 334215523 /nfs/dbraw/zinc/21/55/23/334215523.db2.gz CWHYREUHRRICFL-UHFFFAOYSA-N 0 3 322.452 4.123 20 0 DIADHN CC(C)Oc1ccccc1CNCc1ccc2c(c1)CCCN2 ZINC000414969985 334216298 /nfs/dbraw/zinc/21/62/98/334216298.db2.gz GBFMRMDYZRXOOB-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc(Cl)cc1 ZINC000022154012 334218962 /nfs/dbraw/zinc/21/89/62/334218962.db2.gz WCGXHASDTQNMMP-RYUDHWBXSA-N 0 3 303.789 4.481 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1)c1ccc2c(c1)OCO2 ZINC000022154020 334219134 /nfs/dbraw/zinc/21/91/34/334219134.db2.gz WCGXHASDTQNMMP-NWDGAFQWSA-N 0 3 303.789 4.481 20 0 DIADHN c1nc(C2CCC2)sc1CNCc1ccc2c(c1)CCCN2 ZINC000414972688 334220386 /nfs/dbraw/zinc/22/03/86/334220386.db2.gz BHYUPBXDKZHNIN-UHFFFAOYSA-N 0 3 313.470 4.059 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NC[C@H]1CCC3(CCCCC3)O1)CCC2 ZINC000340498162 334221348 /nfs/dbraw/zinc/22/13/48/334221348.db2.gz KOYNMJPOYFSCOE-MJGOQNOKSA-N 0 3 315.457 4.241 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414979211 334225184 /nfs/dbraw/zinc/22/51/84/334225184.db2.gz UFRXHHSRCHICNK-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN CCn1cc([C@@H](C)NC2CC(c3ccc(F)c(Cl)c3)C2)cn1 ZINC000467448349 334236600 /nfs/dbraw/zinc/23/66/00/334236600.db2.gz LJOCZLWGLNTBRO-NUYPLMSZSA-N 0 3 321.827 4.292 20 0 DIADHN CC(C)C1CCC(CN[C@H](C)c2nnc3n2CCCCC3)CC1 ZINC000323722436 334264490 /nfs/dbraw/zinc/26/44/90/334264490.db2.gz LEDOOGPJLFOAEF-KLAILNCOSA-N 0 3 318.509 4.118 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)CN1Cc1cccc2cc[nH]c21 ZINC000514092032 334264777 /nfs/dbraw/zinc/26/47/77/334264777.db2.gz BFTPCYKXRDYQCB-DNVCBOLYSA-N 0 3 306.409 4.130 20 0 DIADHN C[C@@H](NCc1cccnc1N(C)C)c1cc2ccccc2s1 ZINC000187767106 334271618 /nfs/dbraw/zinc/27/16/18/334271618.db2.gz GXVAETHILSXYMU-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN CCSc1ccc([C@@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000534497419 334277727 /nfs/dbraw/zinc/27/77/27/334277727.db2.gz VSWAGUKJJJMMEL-PBHICJAKSA-N 0 3 318.486 4.345 20 0 DIADHN CCSc1ccc([C@H](C)NCCC(=O)OC(C)(C)C)cc1 ZINC000534496272 334277759 /nfs/dbraw/zinc/27/77/59/334277759.db2.gz CKTYTEINVXXJBV-ZDUSSCGKSA-N 0 3 309.475 4.181 20 0 DIADHN COC[C@H](NCCC1CC(F)(F)C1)c1cccc(Cl)c1 ZINC000453426042 334279659 /nfs/dbraw/zinc/27/96/59/334279659.db2.gz OJGREGVQASADHE-AWEZNQCLSA-N 0 3 303.780 4.053 20 0 DIADHN CC(C)N(C(=O)CN1CCC[C@@H]1c1ccccc1)C1CCCC1 ZINC000531903955 334281191 /nfs/dbraw/zinc/28/11/91/334281191.db2.gz DTSOBPCZTGXUPD-LJQANCHMSA-N 0 3 314.473 4.003 20 0 DIADHN c1ccc(C[C@@H]2c3ccccc3CCN2Cc2cccnc2)cc1 ZINC000534552926 334282256 /nfs/dbraw/zinc/28/22/56/334282256.db2.gz QUOUZSVPZKPLRP-JOCHJYFZSA-N 0 3 314.432 4.424 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H]3CCC(C)(C)O3)oc21 ZINC000187853918 334283495 /nfs/dbraw/zinc/28/34/95/334283495.db2.gz HKIPXGQNAGXCRH-GXTWGEPZSA-N 0 3 303.402 4.050 20 0 DIADHN CC(C)N(CC(=O)Nc1cccc2c1N=S=N2)C1CCCC1 ZINC000110796231 334295214 /nfs/dbraw/zinc/29/52/14/334295214.db2.gz XOSGHOJUOQXCIH-UHFFFAOYSA-N 0 3 318.446 4.005 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N(C)CCCc2ccccc2)c1C ZINC000534601378 334296448 /nfs/dbraw/zinc/29/64/48/334296448.db2.gz RZIDQVOAWNUMOE-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN Cc1ccc(CN[C@H](CO)c2ccc(C(F)(F)F)cc2)c(C)c1 ZINC000534612278 334301607 /nfs/dbraw/zinc/30/16/07/334301607.db2.gz GKWRTQMCLOGQQP-QGZVFWFLSA-N 0 3 323.358 4.145 20 0 DIADHN CC[C@H](NCC(O)(CC)CC)c1ccccc1Br ZINC000531949837 334303958 /nfs/dbraw/zinc/30/39/58/334303958.db2.gz HBKNWVAOMZKOLC-AWEZNQCLSA-N 0 3 314.267 4.041 20 0 DIADHN CC[C@H](COC)NCc1ccc(Oc2cc(C)ccc2C)nc1 ZINC000531947148 334305175 /nfs/dbraw/zinc/30/51/75/334305175.db2.gz GQOORDWFCYEIEJ-QGZVFWFLSA-N 0 3 314.429 4.005 20 0 DIADHN COc1ccc2[nH]cc(C3=CCN([C@@H]4C=CCCC4)CC3)c2c1 ZINC000111190969 334309633 /nfs/dbraw/zinc/30/96/33/334309633.db2.gz MEZHDOONNZBCBN-MRXNPFEDSA-N 0 3 308.425 4.374 20 0 DIADHN C[C@@H](NCCc1ccccc1O)c1ccccc1Br ZINC000531961161 334311630 /nfs/dbraw/zinc/31/16/30/334311630.db2.gz JPKSYLTWHHBSTD-GFCCVEGCSA-N 0 3 320.230 4.048 20 0 DIADHN C[C@H](NCCc1ccccc1O)c1ccccc1Br ZINC000531961162 334311724 /nfs/dbraw/zinc/31/17/24/334311724.db2.gz JPKSYLTWHHBSTD-LBPRGKRZSA-N 0 3 320.230 4.048 20 0 DIADHN COCc1ccc(CNCC(C)(C)c2cccc(Cl)c2)o1 ZINC000531957013 334313253 /nfs/dbraw/zinc/31/32/53/334313253.db2.gz PZLACFZXXMVPHH-UHFFFAOYSA-N 0 3 307.821 4.147 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](OC)c2ccccc2)cc1Cl ZINC000531957529 334313397 /nfs/dbraw/zinc/31/33/97/334313397.db2.gz SCJBVSZSSPPROI-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)CC(=O)N(CC)CC)cc1 ZINC000531960300 334316433 /nfs/dbraw/zinc/31/64/33/334316433.db2.gz XIFDDDNRNNAQGX-HUUCEWRRSA-N 0 3 322.518 4.096 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1cccc(N(C)C)c1 ZINC000531961061 334317042 /nfs/dbraw/zinc/31/70/42/334317042.db2.gz QJBXYDMSUKVUBX-VLIAUNLRSA-N 0 3 312.432 4.305 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CC1CCC1 ZINC000111298098 334318590 /nfs/dbraw/zinc/31/85/90/334318590.db2.gz MNUOYQAHGCFWSQ-INIZCTEOSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@@H](C(=O)Nc1cc(Cl)ccc1Cl)N(C)CC1CCC1 ZINC000111296803 334318606 /nfs/dbraw/zinc/31/86/06/334318606.db2.gz DAVJXJQXTGVDBS-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccc(Br)cc1 ZINC000303410349 334318920 /nfs/dbraw/zinc/31/89/20/334318920.db2.gz UURGPALYKGQXDU-GFCCVEGCSA-N 0 3 320.230 4.348 20 0 DIADHN COc1cccc2cc([C@@H](C)NCCc3ccsc3)oc21 ZINC000049153270 334322403 /nfs/dbraw/zinc/32/24/03/334322403.db2.gz UNRPJPNSYXZGSV-GFCCVEGCSA-N 0 3 301.411 4.396 20 0 DIADHN CSc1ccc([C@H](C)NC[C@H](O)C2CCCCC2)cc1F ZINC000531965788 334323781 /nfs/dbraw/zinc/32/37/81/334323781.db2.gz YCBSNKGPQKGOSF-LRDDRELGSA-N 0 3 311.466 4.139 20 0 DIADHN C[C@@H](NCC1(c2cccc(Br)c2)CC1)c1ccoc1 ZINC000531966476 334325227 /nfs/dbraw/zinc/32/52/27/334325227.db2.gz IBRQGPHODKUSPO-GFCCVEGCSA-N 0 3 320.230 4.425 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@@H](N[C@H](C)c2ccoc2)C1 ZINC000531967836 334328163 /nfs/dbraw/zinc/32/81/63/334328163.db2.gz VOQJXDZXEHEMMC-RHSMWYFYSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@@H](C)c2ccccc2)c1 ZINC000534704039 334328560 /nfs/dbraw/zinc/32/85/60/334328560.db2.gz DFUCEZBDBUOQIR-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN CCc1nc(CN2C[C@H](c3ccccc3C)C[C@@H]2C)cs1 ZINC000303725914 334329703 /nfs/dbraw/zinc/32/97/03/334329703.db2.gz CDRWHMFEWJBGMQ-LSDHHAIUSA-N 0 3 300.471 4.392 20 0 DIADHN CC(C)[C@H]1CC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)C[C@H]1C ZINC000534793760 334339145 /nfs/dbraw/zinc/33/91/45/334339145.db2.gz PCHKBXGRPHZQNH-YYIAUSFCSA-N 0 3 318.509 4.116 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)C[C@H]1C ZINC000534793759 334339187 /nfs/dbraw/zinc/33/91/87/334339187.db2.gz PCHKBXGRPHZQNH-TWMKSMIVSA-N 0 3 318.509 4.116 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000534874904 334343182 /nfs/dbraw/zinc/34/31/82/334343182.db2.gz RZTZBXLIADRSSU-TXPKVOOTSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCCO2)c1cccs1 ZINC000049529622 334345534 /nfs/dbraw/zinc/34/55/34/334345534.db2.gz ZWFLLZWWUSBHIB-AWEZNQCLSA-N 0 3 303.427 4.150 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccccc2N(C)C)cc1 ZINC000303938480 334346452 /nfs/dbraw/zinc/34/64/52/334346452.db2.gz WWINPNYAFOENNF-FQEVSTJZSA-N 0 3 310.441 4.098 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(OC(C)(C)C)cc1)N1CCCCCC1 ZINC000303926263 334347402 /nfs/dbraw/zinc/34/74/02/334347402.db2.gz OZDZYCGGKZAOCZ-HNNXBMFYSA-N 0 3 318.461 4.067 20 0 DIADHN CC1(C)CCCN(Cc2coc(-c3ccc(F)cc3)n2)CC1 ZINC000303950378 334349779 /nfs/dbraw/zinc/34/97/79/334349779.db2.gz VNWZVQMGFLZSPV-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CCCc3ccc(Cl)cc32)c1C ZINC000534972239 334350997 /nfs/dbraw/zinc/35/09/97/334350997.db2.gz LZFKBTHQTPOXOA-SFHVURJKSA-N 0 3 317.864 4.340 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@@H]1CCCC[C@@H]1n1cccn1 ZINC000531979741 334351206 /nfs/dbraw/zinc/35/12/06/334351206.db2.gz NQAJIDOWUVHNGN-JQHSSLGASA-N 0 3 313.445 4.035 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000534973570 334351256 /nfs/dbraw/zinc/35/12/56/334351256.db2.gz VPNLZZFZPOYWLZ-GTNSWQLSSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000534976870 334351614 /nfs/dbraw/zinc/35/16/14/334351614.db2.gz QXNAESCDHOQNLH-MOPGFXCFSA-N 0 3 315.844 4.349 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1ccccc1OC(F)F ZINC000531983333 334357354 /nfs/dbraw/zinc/35/73/54/334357354.db2.gz HUDJDZORLKFXSV-CYBMUJFWSA-N 0 3 321.367 4.057 20 0 DIADHN Brc1ccccc1CNCCCOc1ccccc1 ZINC000049825195 334358587 /nfs/dbraw/zinc/35/85/87/334358587.db2.gz MYZGHIUAPVQKTG-UHFFFAOYSA-N 0 3 320.230 4.008 20 0 DIADHN C[C@H](NCc1ccc(C(=O)OC(C)(C)C)cc1)c1ccccc1 ZINC000531988125 334361556 /nfs/dbraw/zinc/36/15/56/334361556.db2.gz YVIQQYXMRUYTQA-HNNXBMFYSA-N 0 3 311.425 4.493 20 0 DIADHN c1ncc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)s1 ZINC000535068418 334363496 /nfs/dbraw/zinc/36/34/96/334363496.db2.gz FKZDLETWTFVMAF-GFCCVEGCSA-N 0 3 315.467 4.132 20 0 DIADHN CCCn1nc(C)c(CN(C)[C@@H]2CCCc3ccccc32)c1C ZINC000535068762 334363735 /nfs/dbraw/zinc/36/37/35/334363735.db2.gz SPMJEUWMLRYIHO-HXUWFJFHSA-N 0 3 311.473 4.419 20 0 DIADHN COCc1ccc(CN2CCSC[C@H]2c2ccccc2)cc1 ZINC000535068841 334363780 /nfs/dbraw/zinc/36/37/80/334363780.db2.gz PVIRDIQFNLERIR-IBGZPJMESA-N 0 3 313.466 4.123 20 0 DIADHN COc1ccc(OC2CCN(Cc3sccc3C)CC2)cc1 ZINC000535071520 334364067 /nfs/dbraw/zinc/36/40/67/334364067.db2.gz LMEKFAHWFMTQDU-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000531994144 334367324 /nfs/dbraw/zinc/36/73/24/334367324.db2.gz RNZNSLNMEPITKW-GUYCJALGSA-N 0 3 323.358 4.398 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](C)c2cnn(C(C)C)c2)C1(C)C ZINC000531995065 334369352 /nfs/dbraw/zinc/36/93/52/334369352.db2.gz VMGDYFUHRXCHPR-DJIMGWMZSA-N 0 3 307.482 4.098 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCCOc1ccc(F)cc1F ZINC000531994729 334369384 /nfs/dbraw/zinc/36/93/84/334369384.db2.gz MAJUZUYSAALDRH-ZDUSSCGKSA-N 0 3 321.367 4.011 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@H](C)c2cnn(C(C)C)c2)C1(C)C ZINC000531995066 334369435 /nfs/dbraw/zinc/36/94/35/334369435.db2.gz VMGDYFUHRXCHPR-HYVNUMGLSA-N 0 3 307.482 4.098 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(C)cc1)C1CCCC1 ZINC000187913373 334369893 /nfs/dbraw/zinc/36/98/93/334369893.db2.gz ZSOVJCOHGZGVGN-FQEVSTJZSA-N 0 3 311.473 4.366 20 0 DIADHN Cc1n[nH]cc1CCCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000050182501 334370283 /nfs/dbraw/zinc/37/02/83/334370283.db2.gz TZXSGSQNTFUQSX-NSHDSACASA-N 0 3 312.244 4.308 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1cccc(C(F)(F)F)c1 ZINC000305099770 334372538 /nfs/dbraw/zinc/37/25/38/334372538.db2.gz AOWLZYJFSVYGBG-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN Cc1nc(CN2CCC[C@H]2CCCc2ccccc2)cs1 ZINC000532013652 334374350 /nfs/dbraw/zinc/37/43/50/334374350.db2.gz LWTDLGFPBBOMFX-GOSISDBHSA-N 0 3 300.471 4.439 20 0 DIADHN CCC(C)(C)[C@@H](C)Nc1cccc(CN2CCC(CO)CC2)c1 ZINC000563682189 334377764 /nfs/dbraw/zinc/37/77/64/334377764.db2.gz ZQHPUJKKQBJWOT-MRXNPFEDSA-N 0 3 318.505 4.128 20 0 DIADHN O=C(CN[C@H](c1ccc(Cl)cc1)C1CC1)Nc1ccccc1 ZINC000050273507 334378346 /nfs/dbraw/zinc/37/83/46/334378346.db2.gz ANAHDVBMBLUJDH-SFHVURJKSA-N 0 3 314.816 4.019 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000341176899 334416028 /nfs/dbraw/zinc/41/60/28/334416028.db2.gz SFMCQMBVQVXZQU-CJNGLKHVSA-N 0 3 303.450 4.095 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000341204957 334423524 /nfs/dbraw/zinc/42/35/24/334423524.db2.gz GHZPADBYBCYJGT-MLGOLLRUSA-N 0 3 318.367 4.033 20 0 DIADHN CO[C@@H](C)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000443213662 338447671 /nfs/dbraw/zinc/44/76/71/338447671.db2.gz RNDWPLXQTQVNRW-SJCJKPOMSA-N 0 3 307.796 4.193 20 0 DIADHN CC(C)CC[C@H](NCCN1CCCC1=O)c1ccc(Cl)cc1 ZINC000341438040 334445888 /nfs/dbraw/zinc/44/58/88/334445888.db2.gz XXRQRHBYKMJUQV-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@@H](NCCn1ccnc1)c1ccccc1Oc1ccccc1 ZINC000341642892 334468011 /nfs/dbraw/zinc/46/80/11/334468011.db2.gz PTOBUWCPQAOMQF-MRXNPFEDSA-N 0 3 307.397 4.026 20 0 DIADHN FC1(F)CCC(NCc2nc(-c3ccccc3)cs2)CC1 ZINC000341722189 334473842 /nfs/dbraw/zinc/47/38/42/334473842.db2.gz ORHPGWZYHOSTCA-UHFFFAOYSA-N 0 3 308.397 4.478 20 0 DIADHN Cc1cnn(CCN[C@@H](CC(C)(C)C)c2ccc(F)cc2)c1 ZINC000341902660 334490205 /nfs/dbraw/zinc/49/02/05/334490205.db2.gz AUCBPPAIMYXMMG-KRWDZBQOSA-N 0 3 303.425 4.098 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)NCc3cccn3C)cc2)cs1 ZINC000342219205 334522327 /nfs/dbraw/zinc/52/23/27/334522327.db2.gz BMLJXUDZORZADC-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(-c3ccccn3)c2)ccn1 ZINC000342290677 334525440 /nfs/dbraw/zinc/52/54/40/334525440.db2.gz NBCBAKNSLWWXCP-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN CC[C@@H](NCc1cnccc1C)c1ccc(OC(F)F)cc1 ZINC000342299499 334526334 /nfs/dbraw/zinc/52/63/34/334526334.db2.gz ZWUJGPKRUIAHSX-MRXNPFEDSA-N 0 3 306.356 4.232 20 0 DIADHN CC[C@H](NCc1cnccc1C)c1ccc(OC(F)F)cc1 ZINC000342299493 334526361 /nfs/dbraw/zinc/52/63/61/334526361.db2.gz ZWUJGPKRUIAHSX-INIZCTEOSA-N 0 3 306.356 4.232 20 0 DIADHN Fc1cc2cccnc2c(CNCc2cccc3cc[nH]c32)c1 ZINC000342301529 334526553 /nfs/dbraw/zinc/52/65/53/334526553.db2.gz KHDCMQKDIMUFJC-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN COCC(C)(C)CCCNCc1cnc(C2CCCC2)s1 ZINC000342302446 334526631 /nfs/dbraw/zinc/52/66/31/334526631.db2.gz UOJAXHCAKWSOKR-UHFFFAOYSA-N 0 3 310.507 4.343 20 0 DIADHN OCC[C@H](NCc1ccc2occc2c1)c1ccc(Cl)cc1 ZINC000342271365 334529227 /nfs/dbraw/zinc/52/92/27/334529227.db2.gz CFVHMBBMWBWAQN-KRWDZBQOSA-N 0 3 315.800 4.300 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cc3ccccc3[nH]c1=O)CCC2 ZINC000342328358 334532912 /nfs/dbraw/zinc/53/29/12/334532912.db2.gz XBKVAGZGKDCZSJ-HXUWFJFHSA-N 0 3 318.420 4.416 20 0 DIADHN Fc1ccc(CNCc2cccc3cc[nH]c32)c2ncccc12 ZINC000342344679 334533861 /nfs/dbraw/zinc/53/38/61/334533861.db2.gz ISMXFTHYSRNPHX-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN Cc1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)n(C)n1 ZINC000342572776 334551821 /nfs/dbraw/zinc/55/18/21/334551821.db2.gz GTKGEJWALYQMAB-KRWDZBQOSA-N 0 3 303.425 4.135 20 0 DIADHN CC1(C)CC[C@@H]1N[C@@H]1CCOc2c(Br)cccc21 ZINC000342614776 334554627 /nfs/dbraw/zinc/55/46/27/334554627.db2.gz LXUXZXSPDYNBDB-OLZOCXBDSA-N 0 3 310.235 4.051 20 0 DIADHN CC[C@H](COC)N[C@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000342807057 334578529 /nfs/dbraw/zinc/57/85/29/334578529.db2.gz VTPPEEQRRGKAMX-IUODEOHRSA-N 0 3 320.260 4.086 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000342810516 334579115 /nfs/dbraw/zinc/57/91/15/334579115.db2.gz ABGJHROOGZOOKQ-BLLLJJGKSA-N 0 3 318.367 4.411 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1CCO)c1nc(-c2ccccc2)cs1 ZINC000188041814 334612534 /nfs/dbraw/zinc/61/25/34/334612534.db2.gz OYIRWUXHFXMDJC-IMJJTQAJSA-N 0 3 316.470 4.012 20 0 DIADHN CC[C@H](F)CN1CCC(c2c[nH]c3ccc(OC)cc32)CC1 ZINC000440712277 334614714 /nfs/dbraw/zinc/61/47/14/334614714.db2.gz LLTCPLQNIXOEQG-AWEZNQCLSA-N 0 3 304.409 4.104 20 0 DIADHN Cc1ccc(C)c([C@@H](NCC2(CCO)CC2)c2ccccc2)c1 ZINC000188062070 334624774 /nfs/dbraw/zinc/62/47/74/334624774.db2.gz KONVQUQJJZYATR-FQEVSTJZSA-N 0 3 309.453 4.145 20 0 DIADHN CNCc1ccccc1NC(=O)N1CCC[C@H]1c1ccc(C)cc1 ZINC000415895421 334625304 /nfs/dbraw/zinc/62/53/04/334625304.db2.gz AXMOZAMVDNTEQJ-IBGZPJMESA-N 0 3 323.440 4.083 20 0 DIADHN CNCc1ccccc1NC(=O)N1CCC[C@@H]1c1ccccc1C ZINC000415895829 334625308 /nfs/dbraw/zinc/62/53/08/334625308.db2.gz BZRUUYZZIHFZMI-LJQANCHMSA-N 0 3 323.440 4.083 20 0 DIADHN C[C@@H](NCC1(CCO)CC1)c1cc2c(ccc3ccccc23)o1 ZINC000188064017 334634679 /nfs/dbraw/zinc/63/46/79/334634679.db2.gz ZTJWZIYTCSCBTG-CQSZACIVSA-N 0 3 309.409 4.399 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)C(=O)Nc2ccc3c(c2)CNC3)c1 ZINC000415988505 334637600 /nfs/dbraw/zinc/63/76/00/334637600.db2.gz CYVPGRRDTONPSW-OAHLLOKOSA-N 0 3 323.440 4.132 20 0 DIADHN C[C@@H](NC(=O)c1ccccc1CN(C)C)c1ccc(C2CC2)cc1 ZINC000416292079 334661365 /nfs/dbraw/zinc/66/13/65/334661365.db2.gz QLOAZBIVOZDZJU-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC(C(F)F)CC1 ZINC000443646101 338458954 /nfs/dbraw/zinc/45/89/54/338458954.db2.gz VUTNUWKRPLBGEO-UHFFFAOYSA-N 0 3 307.306 4.491 20 0 DIADHN CCc1cccc(F)c1CN1CCC(OC2CCCC2)CC1 ZINC000474273893 334671035 /nfs/dbraw/zinc/67/10/35/334671035.db2.gz JBNWSVAICZJINL-UHFFFAOYSA-N 0 3 305.437 4.312 20 0 DIADHN COC[C@@H](N[C@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccc(C)o1 ZINC000417309142 334704842 /nfs/dbraw/zinc/70/48/42/334704842.db2.gz IGSQLBXXADEWCS-LXTVHRRPSA-N 0 3 305.443 4.119 20 0 DIADHN COC[C@@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccc(F)cc1 ZINC000417310125 334705038 /nfs/dbraw/zinc/70/50/38/334705038.db2.gz KSDZVJGHSQSTEE-KKBVYLPWSA-N 0 3 319.445 4.357 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417343619 334705929 /nfs/dbraw/zinc/70/59/29/334705929.db2.gz ONSQJROFRPQOFG-MUYACECFSA-N 0 3 303.402 4.103 20 0 DIADHN C[C@@H](N[C@H]1CCOc2c(F)cccc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417332113 334709361 /nfs/dbraw/zinc/70/93/61/334709361.db2.gz NJLBZZPRIQUWGH-UJDVCPFMSA-N 0 3 317.429 4.493 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2cccc3ccccc32)c1 ZINC000112522920 334717306 /nfs/dbraw/zinc/71/73/06/334717306.db2.gz KZTPQCKCUXSQAT-HNNXBMFYSA-N 0 3 318.420 4.050 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1cccc(Cl)c1 ZINC000112577098 334717664 /nfs/dbraw/zinc/71/76/64/334717664.db2.gz ZETRUFZSMLLGJK-ZDUSSCGKSA-N 0 3 305.805 4.078 20 0 DIADHN COC[C@@H](CC(C)C)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000537963145 334721613 /nfs/dbraw/zinc/72/16/13/334721613.db2.gz AJOIJYRJDBAYHE-CABCVRRESA-N 0 3 321.358 4.470 20 0 DIADHN COc1ccc([C@@H](C)NCCCc2cccs2)c(OC)c1 ZINC000537963356 334732646 /nfs/dbraw/zinc/73/26/46/334732646.db2.gz IVDUQLSYGCOZAP-CYBMUJFWSA-N 0 3 305.443 4.049 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@@H]1N[C@@H]1COCc2ccccc21 ZINC000417370858 334764734 /nfs/dbraw/zinc/76/47/34/334764734.db2.gz UROLGEURGGBEGL-VQTJNVASSA-N 0 3 318.420 4.406 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2cnc(C(F)(F)F)s2)C1 ZINC000443982424 338465391 /nfs/dbraw/zinc/46/53/91/338465391.db2.gz CEJMUANQDYIIQZ-NXEZZACHSA-N 0 3 324.437 4.316 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000425343991 334804164 /nfs/dbraw/zinc/80/41/64/334804164.db2.gz IMILHZXGGNKUPK-XQAQDONZSA-N 0 3 309.450 4.159 20 0 DIADHN CCOCCCCN[C@H](CC)c1ccccc1Br ZINC000165164577 334807050 /nfs/dbraw/zinc/80/70/50/334807050.db2.gz XXEVAGGUYLIJMI-OAHLLOKOSA-N 0 3 314.267 4.307 20 0 DIADHN CCc1nn(C)cc1CN[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000157883288 338466310 /nfs/dbraw/zinc/46/63/10/338466310.db2.gz YSZXGAXBYQZTPW-QGZVFWFLSA-N 0 3 305.853 4.123 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)NCC3=CCCOC3)cc2)cs1 ZINC000343254710 334863001 /nfs/dbraw/zinc/86/30/01/334863001.db2.gz MUWWBYPWHMFDMI-CYBMUJFWSA-N 0 3 314.454 4.116 20 0 DIADHN COc1cccc([C@H](C)NCc2cccc(CSC)c2)c1 ZINC000188725454 334873077 /nfs/dbraw/zinc/87/30/77/334873077.db2.gz LYEDCJLHZHYRQK-AWEZNQCLSA-N 0 3 301.455 4.409 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNCCc2ccccn2)c1 ZINC000188984007 334879947 /nfs/dbraw/zinc/87/99/47/334879947.db2.gz QKTUWYJWACTRJF-UHFFFAOYSA-N 0 3 314.738 4.086 20 0 DIADHN CSCc1cccc(CNCc2ccc(-n3ccnc3)cc2)c1 ZINC000189483585 334897520 /nfs/dbraw/zinc/89/75/20/334897520.db2.gz FDMFHSXIGSJQCB-UHFFFAOYSA-N 0 3 323.465 4.025 20 0 DIADHN Cc1oncc1CN[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC000189743102 334910062 /nfs/dbraw/zinc/91/00/62/334910062.db2.gz SWHGWGUFBPSNBA-GDBMZVCRSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ccc([C@H](C)NC2CCN(Cc3ccc(F)cc3)CC2)o1 ZINC000165394258 334916477 /nfs/dbraw/zinc/91/64/77/334916477.db2.gz RJBGSMKCOSESEN-HNNXBMFYSA-N 0 3 316.420 4.042 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000163287273 334921688 /nfs/dbraw/zinc/92/16/88/334921688.db2.gz MOQMAAWZQAOTHV-UKRRQHHQSA-N 0 3 303.406 4.005 20 0 DIADHN CC(C)OCCCCN[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000343715897 335186132 /nfs/dbraw/zinc/18/61/32/335186132.db2.gz DNDGMGJMXSNVAO-JTQLQIEISA-N 0 3 310.385 4.018 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2C[C@@H](C)c3ccccc32)c2ccccc21 ZINC000343707448 335189296 /nfs/dbraw/zinc/18/92/96/335189296.db2.gz KLSLKQSDOAVQQS-UAOJZALGSA-N 0 3 320.436 4.322 20 0 DIADHN C[C@H](NCC[C@@H]1CCO[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343751935 335190766 /nfs/dbraw/zinc/19/07/66/335190766.db2.gz STIZWDGPJMGHQF-OUAUKWLOSA-N 0 3 322.396 4.018 20 0 DIADHN COC1CCC(CN[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000343840228 335196324 /nfs/dbraw/zinc/19/63/24/335196324.db2.gz NVCXCXMLXDBYKW-KPPDAEKUSA-N 0 3 322.396 4.018 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](CCO)c2ccccc2)cc1 ZINC000343979698 335204906 /nfs/dbraw/zinc/20/49/06/335204906.db2.gz OIPGEZUZQCQTOV-KDOFPFPSSA-N 0 3 301.455 4.183 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC[C@@H]1COc3ccccc31)CCS2 ZINC000343987957 335205789 /nfs/dbraw/zinc/20/57/89/335205789.db2.gz OQZYEBKJAFQEGY-MLGOLLRUSA-N 0 3 315.413 4.128 20 0 DIADHN CC[C@H](NC[C@@H]1COc2ccccc21)c1ccc(F)cc1F ZINC000344002468 335207144 /nfs/dbraw/zinc/20/71/44/335207144.db2.gz XRFVWEMQWUPCEL-PXAZEXFGSA-N 0 3 303.352 4.182 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@@H](C2CC2)C1 ZINC000343961255 335208184 /nfs/dbraw/zinc/20/81/84/335208184.db2.gz TVRZHNRHHAHNCG-DOTOQJQBSA-N 0 3 314.473 4.177 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CCCc2ccc(F)cc21)c1ccsc1 ZINC000344030298 335210455 /nfs/dbraw/zinc/21/04/55/335210455.db2.gz DOXHDQOGUQVFNG-ROUUACIJSA-N 0 3 318.461 4.157 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@@H]1N[C@@H](CC1CCC1)c1ccccc1 ZINC000344030909 335210538 /nfs/dbraw/zinc/21/05/38/335210538.db2.gz ZIWSKCCICMIGDG-HBMCJLEFSA-N 0 3 307.437 4.166 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H]2CCOc3c(Cl)cccc32)C12CCC2 ZINC000344038727 335211367 /nfs/dbraw/zinc/21/13/67/335211367.db2.gz BHBIGHLFJKXYAC-BZUAXINKSA-N 0 3 321.848 4.101 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc(C(C)C)cs2)C12CCCC2 ZINC000344077790 335215680 /nfs/dbraw/zinc/21/56/80/335215680.db2.gz QMGXKNVUENYYQP-GJZGRUSLSA-N 0 3 308.491 4.094 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccccc1-n1ccnc1)CCC2 ZINC000344077251 335215698 /nfs/dbraw/zinc/21/56/98/335215698.db2.gz ZBPDWKJBVGWUNE-LJQANCHMSA-N 0 3 321.399 4.179 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1CC(c3ccccc3)C1)CCO2 ZINC000344096402 335217741 /nfs/dbraw/zinc/21/77/41/335217741.db2.gz GVVNQNUAUDYODF-LADRWXRNSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@H]3CCCOC3)cs2)cs1 ZINC000344137485 335220973 /nfs/dbraw/zinc/22/09/73/335220973.db2.gz YREJOXKKMSCVGB-GWCFXTLKSA-N 0 3 308.472 4.010 20 0 DIADHN CCc1noc(C)c1CN(C)C1CCC(C(F)(F)F)CC1 ZINC000344155615 335222441 /nfs/dbraw/zinc/22/24/41/335222441.db2.gz VKNQKJOJIRVITD-UHFFFAOYSA-N 0 3 304.356 4.098 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc3c(c1)CCC3)CCO2 ZINC000344101715 335223027 /nfs/dbraw/zinc/22/30/27/335223027.db2.gz NAZTVEXPZPAYEZ-VLIAUNLRSA-N 0 3 323.436 4.358 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCc3c1cccc3C)CCO2 ZINC000344104778 335223642 /nfs/dbraw/zinc/22/36/42/335223642.db2.gz IXAVDSIVAGUTET-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3c1cccc3C)CCO2 ZINC000344104777 335223672 /nfs/dbraw/zinc/22/36/72/335223672.db2.gz IXAVDSIVAGUTET-OALUTQOASA-N 0 3 309.409 4.104 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccc(Oc2cccnc2)cc1 ZINC000344105456 335223711 /nfs/dbraw/zinc/22/37/11/335223711.db2.gz PQUPILGFFFOUDQ-VCBZYWHSSA-N 0 3 312.413 4.092 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2sc(C)nc2C)c1 ZINC000344107742 335223982 /nfs/dbraw/zinc/22/39/82/335223982.db2.gz ZAHOXMRATZVTMM-PXAZEXFGSA-N 0 3 316.470 4.352 20 0 DIADHN Cc1noc(CCCN[C@H](C)c2cc3cc(Cl)ccc3o2)n1 ZINC000344303213 335238786 /nfs/dbraw/zinc/23/87/86/335238786.db2.gz LYNCCFAPRWNCQF-SNVBAGLBSA-N 0 3 319.792 4.061 20 0 DIADHN CC(C)(C)C[C@H](NC[C@](C)(O)C(F)(F)F)c1ccccc1 ZINC000344306419 335239042 /nfs/dbraw/zinc/23/90/42/335239042.db2.gz WMTDGHNETAIDBQ-ZFWWWQNUSA-N 0 3 303.368 4.067 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@@H]3CCCCS3)s2)o1 ZINC000344335756 335241768 /nfs/dbraw/zinc/24/17/68/335241768.db2.gz RLFXVROLZWEVKB-LBPRGKRZSA-N 0 3 308.472 4.087 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cnccn1 ZINC000366870352 335260515 /nfs/dbraw/zinc/26/05/15/335260515.db2.gz OTXWTDRABZELNK-RAIGVLPGSA-N 0 3 322.239 4.380 20 0 DIADHN CC[C@H](NCc1cccc(OCC(F)(F)F)c1)c1ccncc1 ZINC000344548425 335260674 /nfs/dbraw/zinc/26/06/74/335260674.db2.gz JETKUERQWPXXTJ-INIZCTEOSA-N 0 3 324.346 4.264 20 0 DIADHN CC[C@@H](NCc1cc(Br)cs1)c1ccncc1 ZINC000344589715 335261983 /nfs/dbraw/zinc/26/19/83/335261983.db2.gz VTYVXEDTENFTNZ-CYBMUJFWSA-N 0 3 311.248 4.147 20 0 DIADHN CCC(CC)(CC(=O)OC)NCc1cnc(C2CCCC2)s1 ZINC000344781668 335276756 /nfs/dbraw/zinc/27/67/56/335276756.db2.gz XJOITVROGACYSL-UHFFFAOYSA-N 0 3 324.490 4.012 20 0 DIADHN COC[C@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)C(C)C ZINC000165961592 335294804 /nfs/dbraw/zinc/29/48/04/335294804.db2.gz VPIYJIPRJHUOET-ZFWWWQNUSA-N 0 3 304.459 4.132 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCO[C@H]2CC(C)C)cnn1C(C)C ZINC000345282332 335313199 /nfs/dbraw/zinc/31/31/99/335313199.db2.gz NKKSTYRDMJMDAR-QGTPRVQTSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCO[C@@H]2CC(C)C)cnn1C(C)C ZINC000345282330 335313221 /nfs/dbraw/zinc/31/32/21/335313221.db2.gz NKKSTYRDMJMDAR-JCGIZDLHSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345288354 335314085 /nfs/dbraw/zinc/31/40/85/335314085.db2.gz ZZHJIJRDRIPEHH-GOSISDBHSA-N 0 3 317.864 4.321 20 0 DIADHN COc1cc(CN[C@@H](CC2CC2)c2ccc(Cl)cc2)ccn1 ZINC000345295532 335314670 /nfs/dbraw/zinc/31/46/70/335314670.db2.gz QSFPGAWCDRTHFI-KRWDZBQOSA-N 0 3 316.832 4.375 20 0 DIADHN c1csc([C@H]2CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)n1 ZINC000190951652 335320197 /nfs/dbraw/zinc/32/01/97/335320197.db2.gz LFQPFSUGXYYOPN-HOTGVXAUSA-N 0 3 320.502 4.204 20 0 DIADHN Cc1nn(C)cc1CN[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000345701261 335343098 /nfs/dbraw/zinc/34/30/98/335343098.db2.gz ONTKLEPVOHDRBV-KDOFPFPSSA-N 0 3 309.457 4.118 20 0 DIADHN Cc1nn(C)cc1CN[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000345701257 335343147 /nfs/dbraw/zinc/34/31/47/335343147.db2.gz ONTKLEPVOHDRBV-KBXCAEBGSA-N 0 3 309.457 4.118 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cccc2cccnc21 ZINC000345710455 335349545 /nfs/dbraw/zinc/34/95/45/335349545.db2.gz RKWJFCJEYRFTLH-JLTOFOAXSA-N 0 3 324.399 4.240 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1cc(-n2ccnc2)cs1 ZINC000346148164 335381986 /nfs/dbraw/zinc/38/19/86/335381986.db2.gz WEUURQNWEBWLSD-AWEZNQCLSA-N 0 3 309.438 4.053 20 0 DIADHN OC1(C(F)F)CCN(Cc2ccc(-c3cccs3)cc2)CC1 ZINC000444671839 338481104 /nfs/dbraw/zinc/48/11/04/338481104.db2.gz IWZJBXZRLWYSDU-UHFFFAOYSA-N 0 3 323.408 4.007 20 0 DIADHN CCCCOC1CCN(Cc2cc(C)c3ncccc3c2)CC1 ZINC000346205009 335390139 /nfs/dbraw/zinc/39/01/39/335390139.db2.gz PYRDWJUJAXXTKT-UHFFFAOYSA-N 0 3 312.457 4.324 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1OCC1CC1)c1nccs1 ZINC000346253114 335395020 /nfs/dbraw/zinc/39/50/20/335395020.db2.gz NPMLZFHLPWTFNR-CQSZACIVSA-N 0 3 316.470 4.167 20 0 DIADHN Cc1nccn1CCN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000346353231 335397367 /nfs/dbraw/zinc/39/73/67/335397367.db2.gz RNNNPCCTBWZJOS-INIZCTEOSA-N 0 3 324.255 4.335 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1)Cc1ccccc1C ZINC000346310599 335398619 /nfs/dbraw/zinc/39/86/19/335398619.db2.gz MWILBRZBXAHARB-UHFFFAOYSA-N 0 3 319.452 4.202 20 0 DIADHN CC(C)c1nc([C@H](C)NCC2([C@@H](C)CCO)CCC2)cs1 ZINC000346527212 335418568 /nfs/dbraw/zinc/41/85/68/335418568.db2.gz IOEZGVBOQMODCD-KBPBESRZSA-N 0 3 310.507 4.106 20 0 DIADHN CCc1cccc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347427589 335495636 /nfs/dbraw/zinc/49/56/36/335495636.db2.gz JMMMYWKDABHZOB-SFHVURJKSA-N 0 3 303.833 4.116 20 0 DIADHN OCC[C@@H](NCc1cccc(Cl)c1)c1ccc(Cl)cc1 ZINC000347431065 335496052 /nfs/dbraw/zinc/49/60/52/335496052.db2.gz NOOQAFWHDRMYJB-MRXNPFEDSA-N 0 3 310.224 4.207 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347665178 335514540 /nfs/dbraw/zinc/51/45/40/335514540.db2.gz QDIHCOVEMDEBNL-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN Cc1ccc([C@@H](CNCc2coc3ccccc23)N2CCCC2)o1 ZINC000347847644 335528279 /nfs/dbraw/zinc/52/82/79/335528279.db2.gz GTHSBTGGWXYUSV-GOSISDBHSA-N 0 3 324.424 4.261 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN[C@@H]1CCCNc2ccccc21 ZINC000347925845 335539292 /nfs/dbraw/zinc/53/92/92/335539292.db2.gz SGISFFZPWCNOIK-ATZDWAIDSA-N 0 3 302.462 4.118 20 0 DIADHN FC(F)(F)c1csc(CNC[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC000348264715 335569175 /nfs/dbraw/zinc/56/91/75/335569175.db2.gz VIBZEJKXCNSTAA-NWDGAFQWSA-N 0 3 312.360 4.055 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@@H]3C[C@@H]3C(F)F)cs2)cs1 ZINC000348268866 335569821 /nfs/dbraw/zinc/56/98/21/335569821.db2.gz FELAGDVDICTRTB-BKDNQFJXSA-N 0 3 314.426 4.484 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2N[C@@H]1C[C@H]1C(F)F ZINC000348271415 335569988 /nfs/dbraw/zinc/56/99/88/335569988.db2.gz IYJBFXSKHJTOSY-FRRDWIJNSA-N 0 3 301.764 4.105 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@H]2C[C@@H]2C(F)F)cc1OCC ZINC000348285862 335571742 /nfs/dbraw/zinc/57/17/42/335571742.db2.gz XHTYVLNCMPQYEZ-IHRRRGAJSA-N 0 3 313.388 4.178 20 0 DIADHN CCO[C@H](CN[C@H]1CCCNc2ccccc21)c1ccccc1 ZINC000348354312 335579930 /nfs/dbraw/zinc/57/99/30/335579930.db2.gz JCEIZPRPZDTJIG-VQTJNVASSA-N 0 3 310.441 4.301 20 0 DIADHN Cc1ccc([C@H](NCC[C@H]2CCCCO2)c2ccccn2)cc1 ZINC000348371195 335581392 /nfs/dbraw/zinc/58/13/92/335581392.db2.gz NDCUCCRTXGZDKP-QUCCMNQESA-N 0 3 310.441 4.028 20 0 DIADHN C[C@@H](CCC(F)(F)F)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000348331644 335582615 /nfs/dbraw/zinc/58/26/15/335582615.db2.gz WGWMFSNSGKGTNQ-STQMWFEESA-N 0 3 311.351 4.254 20 0 DIADHN C[C@H](CCC(F)(F)F)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000348331642 335582736 /nfs/dbraw/zinc/58/27/36/335582736.db2.gz WGWMFSNSGKGTNQ-OLZOCXBDSA-N 0 3 311.351 4.254 20 0 DIADHN c1ccc(OC2CCC2)c(CNc2ccnc3ccccc32)c1 ZINC000522798727 335614099 /nfs/dbraw/zinc/61/40/99/335614099.db2.gz DNQZWLDRSDEVCQ-UHFFFAOYSA-N 0 3 304.393 4.200 20 0 DIADHN Fc1ccc2oc(CN3CCC(c4ccncc4)CC3)cc2c1 ZINC000348936762 335622885 /nfs/dbraw/zinc/62/28/85/335622885.db2.gz UPDMHOAXQYNSHP-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1cc(Cl)nc(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)c1 ZINC000367066089 335623546 /nfs/dbraw/zinc/62/35/46/335623546.db2.gz LNOOPXYGOBYZER-GDBMZVCRSA-N 0 3 315.848 4.254 20 0 DIADHN C[C@@H](NCCOc1ccccc1)c1ccccc1OC(F)F ZINC000314644747 335647562 /nfs/dbraw/zinc/64/75/62/335647562.db2.gz MFYVDBCYMAYWFH-CYBMUJFWSA-N 0 3 307.340 4.018 20 0 DIADHN Cc1c2ccccc2n(C)c1CNCc1cccc2cccnc21 ZINC000326877479 335649994 /nfs/dbraw/zinc/64/99/94/335649994.db2.gz BJNCFTSZZZSFQS-UHFFFAOYSA-N 0 3 315.420 4.325 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H](CO)c1cccc(F)c1 ZINC000349376290 335667595 /nfs/dbraw/zinc/66/75/95/335667595.db2.gz FOMUJQDTJWVNLE-OALUTQOASA-N 0 3 313.416 4.143 20 0 DIADHN CCSc1cccc(CN[C@@H](CC)c2c(C)nn(C)c2C)c1 ZINC000349596122 335683211 /nfs/dbraw/zinc/68/32/11/335683211.db2.gz FNKTXJCMEIFDDY-KRWDZBQOSA-N 0 3 317.502 4.390 20 0 DIADHN CCSc1cccc(CNCc2cccc(-n3ccnc3)c2)c1 ZINC000349603603 335684075 /nfs/dbraw/zinc/68/40/75/335684075.db2.gz XIDNAASSWNBXQU-UHFFFAOYSA-N 0 3 323.465 4.274 20 0 DIADHN CCSc1cccc(CN[C@@H](CCO)c2ccccc2)c1 ZINC000349643090 335687642 /nfs/dbraw/zinc/68/76/42/335687642.db2.gz DJKZPVXOZLQSNT-SFHVURJKSA-N 0 3 301.455 4.012 20 0 DIADHN CCSc1cccc(CNCc2ccnc(SC)c2)c1 ZINC000349657233 335689248 /nfs/dbraw/zinc/68/92/48/335689248.db2.gz UBOPHSPIBVJLCJ-UHFFFAOYSA-N 0 3 304.484 4.205 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccccc1C(F)(F)F ZINC000389022777 335693718 /nfs/dbraw/zinc/69/37/18/335693718.db2.gz MSHAQOPMEWWXLN-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000417885738 335717021 /nfs/dbraw/zinc/71/70/21/335717021.db2.gz JBGUAPCAVCPIKR-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN CC[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccc(Cl)c1 ZINC000166558600 335749131 /nfs/dbraw/zinc/74/91/31/335749131.db2.gz ZDKRNAZPWPPJDH-OKZBNKHCSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NCc1nc(C(C)(C)C)cs1 ZINC000094965940 335763319 /nfs/dbraw/zinc/76/33/19/335763319.db2.gz JXGHUAXTOVIFKI-LBPRGKRZSA-N 0 3 306.450 4.301 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CCCC1)c1cscn1 ZINC000535777928 335765212 /nfs/dbraw/zinc/76/52/12/335765212.db2.gz HAISDVUPMOBLIS-CYBMUJFWSA-N 0 3 304.434 4.445 20 0 DIADHN c1ccc(OC2CCN(Cc3cccc4ccccc43)CC2)nc1 ZINC000350615193 335766081 /nfs/dbraw/zinc/76/60/81/335766081.db2.gz BVLFIIFDKKPYRK-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCC1(C(F)(F)F)CC1)CCCO2 ZINC000353987239 335781245 /nfs/dbraw/zinc/78/12/45/335781245.db2.gz OHNUSHJPUBWRIT-AWEZNQCLSA-N 0 3 313.363 4.449 20 0 DIADHN CC(C)C(C)(C)NCc1csc(COc2ccccc2)n1 ZINC000519014696 335782674 /nfs/dbraw/zinc/78/26/74/335782674.db2.gz HCZYAVQCMCDEKR-UHFFFAOYSA-N 0 3 304.459 4.246 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc2cnn(C)c2c1 ZINC000519250184 335823209 /nfs/dbraw/zinc/82/32/09/335823209.db2.gz DEPQQRBASVYIEE-KDOFPFPSSA-N 0 3 305.425 4.220 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000417918634 335854702 /nfs/dbraw/zinc/85/47/02/335854702.db2.gz XEFJCCYOFHXFHN-KRWDZBQOSA-N 0 3 303.837 4.187 20 0 DIADHN Clc1sccc1CN[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC000480310029 335868269 /nfs/dbraw/zinc/86/82/69/335868269.db2.gz YXWZEBZHUBJWPX-HUUCEWRRSA-N 0 3 307.846 4.411 20 0 DIADHN Cc1ccc(CN[C@@H](C)Cc2ccc(Br)cc2)o1 ZINC000036999976 335890765 /nfs/dbraw/zinc/89/07/65/335890765.db2.gz QEIDXKLSHPUTDY-NSHDSACASA-N 0 3 308.219 4.071 20 0 DIADHN CC[C@H](Cc1ccccc1Br)NCc1ccco1 ZINC000532105551 335902726 /nfs/dbraw/zinc/90/27/26/335902726.db2.gz ZXBRUUPCYPHHLD-CYBMUJFWSA-N 0 3 308.219 4.153 20 0 DIADHN Cc1ccc(Cl)cc1N1CC[C@@H](CN[C@@H](C)c2ccoc2)C1 ZINC000532219121 335916617 /nfs/dbraw/zinc/91/66/17/335916617.db2.gz QKLFLHNWCWHVIP-GJZGRUSLSA-N 0 3 318.848 4.419 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@@H](OC)c1ccccc1 ZINC000532212597 335919172 /nfs/dbraw/zinc/91/91/72/335919172.db2.gz GHXCDSRKCWUAQW-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1ccc(C)nc1 ZINC000532226277 335920447 /nfs/dbraw/zinc/92/04/47/335920447.db2.gz SYONYCTTZOCBKH-AWEZNQCLSA-N 0 3 318.848 4.335 20 0 DIADHN CCc1cc(CN[C@@H](C)[C@H](C)c2nc3ccccc3s2)on1 ZINC000532234674 335922862 /nfs/dbraw/zinc/92/28/62/335922862.db2.gz KJCZOGMMQIHZMB-RYUDHWBXSA-N 0 3 315.442 4.129 20 0 DIADHN CCCCOc1cc(CNCCc2ccc(C)o2)ccc1OC ZINC000520204156 335938481 /nfs/dbraw/zinc/93/84/81/335938481.db2.gz QMFVTCUZYYOWQO-UHFFFAOYSA-N 0 3 317.429 4.108 20 0 DIADHN c1ccc([C@@H](Nc2ccnc3ccccc32)c2ccccn2)cc1 ZINC000520308858 335958071 /nfs/dbraw/zinc/95/80/71/335958071.db2.gz QDSQIGVFTUOUMK-OAQYLSRUSA-N 0 3 311.388 4.253 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2cn3ccccc3n2)c1C ZINC000520621257 335995906 /nfs/dbraw/zinc/99/59/06/335995906.db2.gz ZTXNLMRYXJFHEK-QGZVFWFLSA-N 0 3 323.440 4.071 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@H](c2cccnc2)C1 ZINC000583628590 336000673 /nfs/dbraw/zinc/00/06/73/336000673.db2.gz KRBSWIWKHHGUOZ-QAPCUYQASA-N 0 3 319.408 4.287 20 0 DIADHN COc1cc(CN([C@H](C)C2CC2)C2CC2)c(SC)cc1OC ZINC000350971544 336001861 /nfs/dbraw/zinc/00/18/61/336001861.db2.gz MZTOHGVEJHMSTF-GFCCVEGCSA-N 0 3 321.486 4.189 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000354010042 336006055 /nfs/dbraw/zinc/00/60/55/336006055.db2.gz LYQWQQZRQDQVJW-HUUCEWRRSA-N 0 3 308.491 4.395 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cc(C)nn1C)c1ccc(Cl)cc1 ZINC000417952737 336023439 /nfs/dbraw/zinc/02/34/39/336023439.db2.gz CTKKLDVFOHXNRD-PXAZEXFGSA-N 0 3 305.853 4.259 20 0 DIADHN Fc1ccc(Cl)cc1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000192377120 336030449 /nfs/dbraw/zinc/03/04/49/336030449.db2.gz MZQMFODATZLVAQ-KSSFIOAISA-N 0 3 319.807 4.347 20 0 DIADHN OC[C@@H]1CCC[C@@H](N[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000324061699 336053713 /nfs/dbraw/zinc/05/37/13/336053713.db2.gz WLHXUHMYDULXQC-CDHQVMDDSA-N 0 3 313.416 4.056 20 0 DIADHN Cc1ncc(CN2CCC[C@@H](CCCc3ccccc3)C2)cn1 ZINC000351729920 336062888 /nfs/dbraw/zinc/06/28/88/336062888.db2.gz JCZKGAKGQIOXSG-LJQANCHMSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1ccc(-c2csc(CN[C@@H](C)[C@H]3CCCOC3)n2)cc1 ZINC000351735749 336063473 /nfs/dbraw/zinc/06/34/73/336063473.db2.gz NQHJPFPHWKTROR-HOCLYGCPSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@H](F)CCN1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 ZINC000351751609 336064554 /nfs/dbraw/zinc/06/45/54/336064554.db2.gz KERCVJBDIOWHDI-VHSXEESVSA-N 0 3 310.360 4.089 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NC[C@H](C)C(F)(F)F)CCCO2 ZINC000480660135 336067567 /nfs/dbraw/zinc/06/75/67/336067567.db2.gz DZFBZJITCBZNAP-JSGCOSHPSA-N 0 3 301.352 4.305 20 0 DIADHN COc1ccc(C(=O)CCN2CCC[C@H]2c2ccsc2)cc1 ZINC000351854059 336073680 /nfs/dbraw/zinc/07/36/80/336073680.db2.gz GBCVXIHPTPDIPN-KRWDZBQOSA-N 0 3 315.438 4.167 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2c(C)cccc2C)s1 ZINC000520747265 336078789 /nfs/dbraw/zinc/07/87/89/336078789.db2.gz USSNYGFGEURCQZ-OAHLLOKOSA-N 0 3 318.486 4.449 20 0 DIADHN CC[C@H](NCc1cccc(OCCOC)c1)c1cccc(F)c1 ZINC000526107083 336121181 /nfs/dbraw/zinc/12/11/81/336121181.db2.gz PDPIRRGLJAJHSV-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCC[C@H]3c3ccccc3)oc2c1 ZINC000446005690 338517491 /nfs/dbraw/zinc/51/74/91/338517491.db2.gz ZOZHLGWHXZWZHX-HOTGVXAUSA-N 0 3 310.372 4.393 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@@H]2CCCc3ccccc32)cn1 ZINC000351910070 336128604 /nfs/dbraw/zinc/12/86/04/336128604.db2.gz QWCXFWFBAHQJFP-GOSISDBHSA-N 0 3 310.441 4.426 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331875238 336150964 /nfs/dbraw/zinc/15/09/64/336150964.db2.gz FLUDFIVZCKNEMH-ZDEQEGDKSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nnc(-c2cccs2)o1 ZINC000331885053 336151589 /nfs/dbraw/zinc/15/15/89/336151589.db2.gz GONPWLBACHEBKW-LPWJVIDDSA-N 0 3 305.447 4.273 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC[C@@H]2C2CCCCC2)c1 ZINC000331986085 336155116 /nfs/dbraw/zinc/15/51/16/336155116.db2.gz WHERHKYRHNYDEP-GOSISDBHSA-N 0 3 315.461 4.030 20 0 DIADHN CC(C)[C@@H](CNCc1nc(-c2ccccc2)c[nH]1)c1cccnc1 ZINC000194357044 338516046 /nfs/dbraw/zinc/51/60/46/338516046.db2.gz NNLDSWBIICFNSP-GOSISDBHSA-N 0 3 320.440 4.001 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000332119380 336159368 /nfs/dbraw/zinc/15/93/68/336159368.db2.gz HZTGNRARLNHZEE-SUNKGSAMSA-N 0 3 305.809 4.229 20 0 DIADHN NC(=O)C[C@H]1CCCCN1Cc1cc(Cl)cc2cccnc21 ZINC000332230462 336164248 /nfs/dbraw/zinc/16/42/48/336164248.db2.gz PYAWVCWMQAAWTR-OAHLLOKOSA-N 0 3 317.820 4.168 20 0 DIADHN C[C@@H]1CC[C@@H](C(N)=O)CN1Cc1c(Cl)ccc2cccnc21 ZINC000332249417 336165059 /nfs/dbraw/zinc/16/50/59/336165059.db2.gz BYFJDKQSIIWCSK-DGCLKSJQSA-N 0 3 317.820 4.024 20 0 DIADHN C[C@H](NCCCNc1ccccc1F)c1ccc(Cl)cn1 ZINC000480698794 336172305 /nfs/dbraw/zinc/17/23/05/336172305.db2.gz OCENNDPWJOAPFW-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2-n2cccn2)c(C)s1 ZINC000194374792 338516640 /nfs/dbraw/zinc/51/66/40/338516640.db2.gz GJXCLGZVTQIINN-AWEZNQCLSA-N 0 3 311.454 4.401 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000332597258 336175492 /nfs/dbraw/zinc/17/54/92/336175492.db2.gz LIWHANKQEVVRDR-FZMZJTMJSA-N 0 3 319.836 4.047 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1N[C@@H](C)c1nc2ccccc2c(=O)[nH]1 ZINC000332654386 336177821 /nfs/dbraw/zinc/17/78/21/336177821.db2.gz YXCMYOGMIXARPE-OFQRWUPVSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@@H]3c3ccccn3)ccc2n1 ZINC000526114608 336179549 /nfs/dbraw/zinc/17/95/49/336179549.db2.gz RQSSJXOXMUXWLI-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN c1cncc(COc2ccc(CN3CCCC4(CC4)C3)cc2)c1 ZINC000351940630 336181674 /nfs/dbraw/zinc/18/16/74/336181674.db2.gz GMJPHQNEHXILTE-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN CC[C@@H]1CCCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC000351963192 336183744 /nfs/dbraw/zinc/18/37/44/336183744.db2.gz CNAKMAZNXNCVOO-CYBMUJFWSA-N 0 3 309.475 4.048 20 0 DIADHN CC(C)C[C@@H](NCc1ccc2c(c1)oc(=O)n2C)c1ccccc1 ZINC000351986095 336186088 /nfs/dbraw/zinc/18/60/88/336186088.db2.gz FLVPQDKVLKGWEI-QGZVFWFLSA-N 0 3 324.424 4.009 20 0 DIADHN CCSc1cccc(CN(C)Cc2ccc(O)c(OC)c2)c1 ZINC000351996241 336187318 /nfs/dbraw/zinc/18/73/18/336187318.db2.gz NQZFGXZNQJTGQN-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CCSc1cccc(CN(CC)CCc2nccs2)c1 ZINC000352011190 336188666 /nfs/dbraw/zinc/18/86/66/336188666.db2.gz PUNYRIXDMJFTIS-UHFFFAOYSA-N 0 3 306.500 4.320 20 0 DIADHN Cc1cc([C@H]2CCCN2Cc2cccc(-c3cccnc3)c2)on1 ZINC000352091914 336194348 /nfs/dbraw/zinc/19/43/48/336194348.db2.gz MQQFUOHKBSMDGZ-LJQANCHMSA-N 0 3 319.408 4.382 20 0 DIADHN C[C@H]1CC[C@@H](NC(=O)Nc2ccc3c(c2)CNC3)c2ccccc21 ZINC000333284203 336201158 /nfs/dbraw/zinc/20/11/58/336201158.db2.gz ICKSHDXQTANZML-ORAYPTAESA-N 0 3 321.424 4.050 20 0 DIADHN C[C@@H]1CC[C@H](NC(=O)Nc2ccc3c(c2)CNC3)c2ccccc21 ZINC000333284205 336201167 /nfs/dbraw/zinc/20/11/67/336201167.db2.gz ICKSHDXQTANZML-YJYMSZOUSA-N 0 3 321.424 4.050 20 0 DIADHN c1cn(-c2ccccc2)nc1CN[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000526118302 336202056 /nfs/dbraw/zinc/20/20/56/336202056.db2.gz MVJONSDHVMULLK-RTBURBONSA-N 0 3 317.436 4.298 20 0 DIADHN C[C@@H](CNCc1ncoc1-c1ccccc1)c1ccc(F)cc1 ZINC000526118835 336204693 /nfs/dbraw/zinc/20/46/93/336204693.db2.gz GFVIKNCOOLXIEX-AWEZNQCLSA-N 0 3 310.372 4.374 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1cccc(C)n1 ZINC000352240031 336205798 /nfs/dbraw/zinc/20/57/98/336205798.db2.gz BYSMITVQIFTZEG-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)cn1 ZINC000352246142 336206524 /nfs/dbraw/zinc/20/65/24/336206524.db2.gz MROUCFHWHGYQCZ-LBPRGKRZSA-N 0 3 306.356 4.096 20 0 DIADHN CO[C@](C)(CN[C@@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC000324391221 336206645 /nfs/dbraw/zinc/20/66/45/336206645.db2.gz NDHKSLHRCDYZSQ-VQTJNVASSA-N 0 3 313.416 4.320 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCc1ccncc1 ZINC000352342339 336213314 /nfs/dbraw/zinc/21/33/14/336213314.db2.gz RFSJOFVGLLFLAB-INIZCTEOSA-N 0 3 308.347 4.216 20 0 DIADHN COCCCC[C@H](NCc1scnc1C)c1ccccc1 ZINC000352365059 336214363 /nfs/dbraw/zinc/21/43/63/336214363.db2.gz MLKSRNGQYMCEEE-INIZCTEOSA-N 0 3 304.459 4.099 20 0 DIADHN C[C@H](CCN1CCC=C(c2ccco2)C1)OCc1ccccc1 ZINC000352550813 336224242 /nfs/dbraw/zinc/22/42/42/336224242.db2.gz RAXUHDNCNNWQLT-QGZVFWFLSA-N 0 3 311.425 4.364 20 0 DIADHN CCc1nc(CCN[C@H](CC)c2ccccc2F)sc1C ZINC000352555906 336224401 /nfs/dbraw/zinc/22/44/01/336224401.db2.gz STHNKYVYOHGJHV-MRXNPFEDSA-N 0 3 306.450 4.436 20 0 DIADHN Cc1cccc(CN2CCC([C@@H](F)c3ccccc3F)CC2)n1 ZINC000352559677 336224601 /nfs/dbraw/zinc/22/46/01/336224601.db2.gz CUNPHLOKEGYDTI-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN CC[C@H](NC[C@@H](c1ccsc1)N(C)C)c1ccccc1F ZINC000352701673 336231298 /nfs/dbraw/zinc/23/12/98/336231298.db2.gz MIGVMNRZNWIPPM-IRXDYDNUSA-N 0 3 306.450 4.231 20 0 DIADHN Cc1ccc(CN(Cc2cnc3cccc(C)n23)C(C)C)s1 ZINC000352718096 336232107 /nfs/dbraw/zinc/23/21/07/336232107.db2.gz FTARBPCEUKYERG-UHFFFAOYSA-N 0 3 313.470 4.423 20 0 DIADHN COc1cc(CN2CCC[C@H]3CCC[C@H]32)c(SC)cc1OC ZINC000352718843 336232135 /nfs/dbraw/zinc/23/21/35/336232135.db2.gz JBHWOJLNASDGIH-UKRRQHHQSA-N 0 3 321.486 4.190 20 0 DIADHN CCc1ccc(CN[C@H](Cc2ccccn2)c2ccccc2)cn1 ZINC000352734959 336232934 /nfs/dbraw/zinc/23/29/34/336232934.db2.gz DJUORKUAGQLVIF-OAQYLSRUSA-N 0 3 317.436 4.113 20 0 DIADHN CCc1ccc(CNCc2cc(Br)ccc2C)cn1 ZINC000352741189 336233193 /nfs/dbraw/zinc/23/31/93/336233193.db2.gz CKVGVCVWVDWJDC-UHFFFAOYSA-N 0 3 319.246 4.005 20 0 DIADHN Cc1ccn(-c2ccccc2CNCc2ccc3occc3c2)n1 ZINC000352764626 336233665 /nfs/dbraw/zinc/23/36/65/336233665.db2.gz ICEDJRYLXMZSHP-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN CN(C)Cc1cnc(CNC2CCC3(CCCCC3)CC2)s1 ZINC000352742837 336234222 /nfs/dbraw/zinc/23/42/22/336234222.db2.gz QZCNYHVVSLASCO-UHFFFAOYSA-N 0 3 321.534 4.187 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@H](OC)c2ccc(Cl)cc2)cn1 ZINC000352750080 336234759 /nfs/dbraw/zinc/23/47/59/336234759.db2.gz PFHGLVPNUSDLOU-ACJLOTCBSA-N 0 3 318.848 4.163 20 0 DIADHN CC[C@H](NCc1cccc(-n2ccnc2)c1)c1ccccc1F ZINC000352790791 336236796 /nfs/dbraw/zinc/23/67/96/336236796.db2.gz VYPNDFBBWPUUMM-IBGZPJMESA-N 0 3 309.388 4.252 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(Br)cc2)c1 ZINC000042268037 336238163 /nfs/dbraw/zinc/23/81/63/336238163.db2.gz OGDAMOXQKZBZHT-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN Brc1ccccc1CN[C@H]1CCc2ccccc2C1 ZINC000042275148 336238460 /nfs/dbraw/zinc/23/84/60/336238460.db2.gz TWBUSZAUICWGTG-INIZCTEOSA-N 0 3 316.242 4.096 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc([S@](C)=O)cc1 ZINC000352800397 336238674 /nfs/dbraw/zinc/23/86/74/336238674.db2.gz ZLHUOAIRGJSZDI-XMHCIUCPSA-N 0 3 313.422 4.021 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc([S@@](C)=O)cc1 ZINC000352800393 336238729 /nfs/dbraw/zinc/23/87/29/336238729.db2.gz ZLHUOAIRGJSZDI-MCMMXHMISA-N 0 3 313.422 4.021 20 0 DIADHN Cc1ccc([C@@H](C)NCCOc2cccc(Br)c2)o1 ZINC000042314555 336238930 /nfs/dbraw/zinc/23/89/30/336238930.db2.gz GEWNMUWGRGVRIU-GFCCVEGCSA-N 0 3 324.218 4.080 20 0 DIADHN C[C@H]1CCCC[C@@H]1CNCc1csc(-c2ccccn2)n1 ZINC000042859171 336242445 /nfs/dbraw/zinc/24/24/45/336242445.db2.gz WHPUPTUNTPBGMY-UONOGXRCSA-N 0 3 301.459 4.121 20 0 DIADHN C[C@H](NC[C@H]1CCCO[C@@H]1C(C)(C)C)c1cc(F)ccc1F ZINC000532353569 336243785 /nfs/dbraw/zinc/24/37/85/336243785.db2.gz CEVBDOJMBCTFDG-AHIWAGSCSA-N 0 3 311.416 4.457 20 0 DIADHN C[C@H](NC[C@@H]1CCCO[C@H]1C(C)(C)C)c1cc(F)ccc1F ZINC000532353571 336243793 /nfs/dbraw/zinc/24/37/93/336243793.db2.gz CEVBDOJMBCTFDG-GDZNZVCISA-N 0 3 311.416 4.457 20 0 DIADHN CCN(CC)[C@H](CNCc1cc(C)sc1C)c1ccco1 ZINC000532367015 336244509 /nfs/dbraw/zinc/24/45/09/336244509.db2.gz OWZPHXHSHPXHEK-MRXNPFEDSA-N 0 3 306.475 4.131 20 0 DIADHN Cc1ccc(CNCC(C)(C)c2c(F)cccc2Cl)nc1 ZINC000532393481 336245301 /nfs/dbraw/zinc/24/53/01/336245301.db2.gz DIRKUTJYSDBPGS-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cscc2C(F)(F)F)C12CCC2 ZINC000352811954 336245517 /nfs/dbraw/zinc/24/55/17/336245517.db2.gz VAGZMFKSLYHLPS-QWHCGFSZSA-N 0 3 319.392 4.204 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cscc2C(F)(F)F)C12CCC2 ZINC000352811955 336245706 /nfs/dbraw/zinc/24/57/06/336245706.db2.gz VAGZMFKSLYHLPS-STQMWFEESA-N 0 3 319.392 4.204 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNCc1cscc1C(F)(F)F ZINC000352812448 336246082 /nfs/dbraw/zinc/24/60/82/336246082.db2.gz ZFXZJDOQFYKWKR-QMMMGPOBSA-N 0 3 318.364 4.265 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCc1ccncc1 ZINC000532429151 336246790 /nfs/dbraw/zinc/24/67/90/336246790.db2.gz XTYNVUJJHSGRBA-UHFFFAOYSA-N 0 3 318.420 4.090 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N1CCC(C)CC1)c1ccoc1 ZINC000532441935 336247494 /nfs/dbraw/zinc/24/74/94/336247494.db2.gz JMIZMHFREIWCRS-RDJZCZTQSA-N 0 3 318.486 4.465 20 0 DIADHN C[C@H]1C[C@H](N[C@@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000328476747 336247604 /nfs/dbraw/zinc/24/76/04/336247604.db2.gz OTHXUHICKGLIPQ-WHOFXGATSA-N 0 3 317.479 4.267 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCc3c2cccc3Cl)cn1 ZINC000532446362 336247719 /nfs/dbraw/zinc/24/77/19/336247719.db2.gz LYVGCOYPJGLJJP-KRWDZBQOSA-N 0 3 316.832 4.299 20 0 DIADHN CC(C)OCCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000532460128 336248639 /nfs/dbraw/zinc/24/86/39/336248639.db2.gz ICWUTDYKUZMMRZ-LLVKDONJSA-N 0 3 321.795 4.407 20 0 DIADHN c1coc([C@H](CN[C@H]2CCc3ccccc32)N2CCCCC2)c1 ZINC000532461077 336248756 /nfs/dbraw/zinc/24/87/56/336248756.db2.gz UVWTXHQMJLCBSM-OALUTQOASA-N 0 3 310.441 4.084 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000532471131 336249627 /nfs/dbraw/zinc/24/96/27/336249627.db2.gz ZYBXESFCYGIUAB-WDYCEAGBSA-N 0 3 314.473 4.224 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(F)cc1)c1cccc(Cl)c1 ZINC000532496632 336250989 /nfs/dbraw/zinc/25/09/89/336250989.db2.gz XAVBLRGBIRJHEZ-INIZCTEOSA-N 0 3 320.795 4.159 20 0 DIADHN COc1ccsc1CN[C@@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000532479170 336251260 /nfs/dbraw/zinc/25/12/60/336251260.db2.gz QAKCZQDSFVWHJX-QAPCUYQASA-N 0 3 317.454 4.075 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCC[C@@H](C)CC1 ZINC000532487827 336251815 /nfs/dbraw/zinc/25/18/15/336251815.db2.gz YHLLAWRBSLCJCN-HZPDHXFCSA-N 0 3 302.462 4.259 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000532522241 336252191 /nfs/dbraw/zinc/25/21/91/336252191.db2.gz BMAFBOSGDIINQO-GXFFZTMASA-N 0 3 321.795 4.263 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC(C)C)C2CCCC2)c(C)c1 ZINC000532499828 336252231 /nfs/dbraw/zinc/25/22/31/336252231.db2.gz NFDRLXCJFPKDNK-UHFFFAOYSA-N 0 3 316.489 4.451 20 0 DIADHN CC[C@H](C)CN(C)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000532502555 336252378 /nfs/dbraw/zinc/25/23/78/336252378.db2.gz JSYLYWIVYKPDOU-JTQLQIEISA-N 0 3 300.324 4.325 20 0 DIADHN CCN(CC(=O)Nc1cc(Cl)ccc1Cl)[C@@H](C)C(C)C ZINC000532503370 336252615 /nfs/dbraw/zinc/25/26/15/336252615.db2.gz MPMYTTLMAISYGS-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(C)cc2OC)C12CCC2 ZINC000532522618 336252636 /nfs/dbraw/zinc/25/26/36/336252636.db2.gz OUTBHQYINSMDFF-JCGIZDLHSA-N 0 3 303.446 4.002 20 0 DIADHN CO[C@H](CN[C@@H](C)c1sccc1Br)C(C)(C)C ZINC000532531245 336253817 /nfs/dbraw/zinc/25/38/17/336253817.db2.gz ADGOZSRKUYPJRF-GXSJLCMTSA-N 0 3 320.296 4.222 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1ccc(C)cc1)c1ccc(C)o1 ZINC000532548016 336254826 /nfs/dbraw/zinc/25/48/26/336254826.db2.gz QQKPDHNGUQEEAE-MAUKXSAKSA-N 0 3 301.430 4.195 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)N(C)C2CCCCC2)c(C)s1 ZINC000542512013 336255201 /nfs/dbraw/zinc/25/52/01/336255201.db2.gz YLMBJIBQLDNHGS-ZIAGYGMSSA-N 0 3 322.518 4.195 20 0 DIADHN C[C@H](NC[C@@H](c1ccc(Cl)cc1)N(C)C)c1ccsc1 ZINC000532550560 336256081 /nfs/dbraw/zinc/25/60/81/336256081.db2.gz QYRPCPMXVMACCC-LRDDRELGSA-N 0 3 308.878 4.355 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1CCSc1ccccc1F ZINC000532625009 336258010 /nfs/dbraw/zinc/25/80/10/336258010.db2.gz BHEGMCUSXPVRPO-INIZCTEOSA-N 0 3 304.434 4.093 20 0 DIADHN C[C@H](NCCC(C)(F)F)c1cc(Br)ccc1F ZINC000379202420 336259008 /nfs/dbraw/zinc/25/90/08/336259008.db2.gz ZFXSJVCBRKEGIL-QMMMGPOBSA-N 0 3 310.157 4.284 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000192750256 336260574 /nfs/dbraw/zinc/26/05/74/336260574.db2.gz NDHMOZGTLBUZFB-ZIAGYGMSSA-N 0 3 313.397 4.226 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccccc1OC ZINC000192758528 336260718 /nfs/dbraw/zinc/26/07/18/336260718.db2.gz JQXBEVJKQLNUDN-CZUORRHYSA-N 0 3 313.397 4.226 20 0 DIADHN CC(C)(C)c1ncc(CNCCc2ccc(F)c(F)c2)s1 ZINC000190280717 336262309 /nfs/dbraw/zinc/26/23/09/336262309.db2.gz KMGIWSBZFFASDI-UHFFFAOYSA-N 0 3 310.413 4.051 20 0 DIADHN COCCN[C@@H](C)c1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC000043512856 336263514 /nfs/dbraw/zinc/26/35/14/336263514.db2.gz SLYWHIJYGITCCP-QMMMGPOBSA-N 0 3 315.257 4.021 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cc2c(s1)CCCC2 ZINC000580386961 336263747 /nfs/dbraw/zinc/26/37/47/336263747.db2.gz MNOSLQGBOMNHEJ-KRWDZBQOSA-N 0 3 313.466 4.500 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532674893 336266314 /nfs/dbraw/zinc/26/63/14/336266314.db2.gz IQTLSHFSKXRIHE-IYOUNJFTSA-N 0 3 315.388 4.446 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(CC1CC1)C(C)C ZINC000532666128 336266597 /nfs/dbraw/zinc/26/65/97/336266597.db2.gz ZAMFPZAIKSBFKB-UHFFFAOYSA-N 0 3 302.462 4.177 20 0 DIADHN O=C(CN[C@H](c1ccccc1)C1CCCC1)Nc1ccccc1 ZINC000532716843 336268179 /nfs/dbraw/zinc/26/81/79/336268179.db2.gz XGFJSEGXLHMXTK-HXUWFJFHSA-N 0 3 308.425 4.146 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N(C)CC2CCCCC2)c1C ZINC000532755472 336269815 /nfs/dbraw/zinc/26/98/15/336269815.db2.gz ANOXKRUXWFKNLB-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CCCC1)c1ccnn1C ZINC000192850128 336270144 /nfs/dbraw/zinc/27/01/44/336270144.db2.gz BHZKEQNJBGKFJW-OAHLLOKOSA-N 0 3 315.486 4.176 20 0 DIADHN CCN(Cc1ccccn1)[C@H](C)c1cccc(Br)c1 ZINC000104949086 336271913 /nfs/dbraw/zinc/27/19/13/336271913.db2.gz UGNYIEABGULABF-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc2c(c1)CCC2 ZINC000324904037 336272616 /nfs/dbraw/zinc/27/26/16/336272616.db2.gz WDCUOGXRCNNHPC-GJZGRUSLSA-N 0 3 323.436 4.358 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc2c(c1)CCC2 ZINC000324904036 336272755 /nfs/dbraw/zinc/27/27/55/336272755.db2.gz WDCUOGXRCNNHPC-CABCVRRESA-N 0 3 323.436 4.358 20 0 DIADHN [O-]c1cc(C[NH2+]C2CCC(F)(F)CC2)cc(C(F)(F)F)c1 ZINC000352837130 336272808 /nfs/dbraw/zinc/27/28/08/336272808.db2.gz LMXXYXBFIIHDSQ-UHFFFAOYSA-N 0 3 309.278 4.079 20 0 DIADHN CSc1ccc(CN[C@@H]2CCCOc3ccccc32)s1 ZINC000352839429 336274467 /nfs/dbraw/zinc/27/44/67/336274467.db2.gz KFOXGFYYIIVCBA-CQSZACIVSA-N 0 3 305.468 4.474 20 0 DIADHN Fc1cccc(CCN[C@H](c2ccccc2)c2ccccn2)c1 ZINC000192863662 336275415 /nfs/dbraw/zinc/27/54/15/336275415.db2.gz JMUHJRLVKDOBQL-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000526944686 336276001 /nfs/dbraw/zinc/27/60/01/336276001.db2.gz UCWHHSYFKWHCKY-QWHCGFSZSA-N 0 3 318.486 4.245 20 0 DIADHN CCn1cncc1CN[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000417974125 336277664 /nfs/dbraw/zinc/27/76/64/336277664.db2.gz VLTWPWRRMVVETP-KDOFPFPSSA-N 0 3 309.457 4.293 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1[C@H](C)C[C@@H]1C ZINC000526989542 336278789 /nfs/dbraw/zinc/27/87/89/336278789.db2.gz OSNGODSRNCAEJF-TXEJJXNPSA-N 0 3 303.837 4.125 20 0 DIADHN Cc1ccc(CCN[C@H](c2cc(F)ccc2F)C(C)C)cn1 ZINC000527033637 336280014 /nfs/dbraw/zinc/28/00/14/336280014.db2.gz CBRRHGWSSXOFAJ-SFHVURJKSA-N 0 3 304.384 4.198 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000352865435 336283413 /nfs/dbraw/zinc/28/34/13/336283413.db2.gz FGUSDLNDNWQBSJ-ZFWWWQNUSA-N 0 3 308.491 4.395 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2ccc(F)cc2)c1 ZINC000101932676 336283934 /nfs/dbraw/zinc/28/39/34/336283934.db2.gz NLNSQXIVLLHETK-UHFFFAOYSA-N 0 3 301.405 4.431 20 0 DIADHN OCCCCCN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000192924505 336284553 /nfs/dbraw/zinc/28/45/53/336284553.db2.gz WJIICMMWYMGZSK-SFHVURJKSA-N 0 3 321.823 4.321 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@@H](n2cc(C)cn2)C1 ZINC000583666598 336284631 /nfs/dbraw/zinc/28/46/31/336284631.db2.gz IVBGQHBEQHLRMF-MRXNPFEDSA-N 0 3 320.440 4.062 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3ccccc32)c(OC)c1 ZINC000192932374 336285139 /nfs/dbraw/zinc/28/51/39/336285139.db2.gz QDJQOQWFUMFLAG-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccccc1)c1ccccc1OC ZINC000192940967 336285438 /nfs/dbraw/zinc/28/54/38/336285438.db2.gz XHACAMPGBNLKGE-MOPGFXCFSA-N 0 3 312.457 4.039 20 0 DIADHN C[C@H](N[C@@H](c1cccc(F)c1)C1CCCC1)c1ccnn1C ZINC000192953155 336285912 /nfs/dbraw/zinc/28/59/12/336285912.db2.gz YCLJERPRQHDDTN-SCLBCKFNSA-N 0 3 301.409 4.141 20 0 DIADHN C[C@@H](N[C@@H](Cc1ccccc1)c1cccs1)c1ccnn1C ZINC000192999745 336286708 /nfs/dbraw/zinc/28/67/08/336286708.db2.gz XWOYCQYAOXWDAT-ZBFHGGJFSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)c1cccs1)c1ccnn1C ZINC000192999735 336286744 /nfs/dbraw/zinc/28/67/44/336286744.db2.gz XWOYCQYAOXWDAT-HOCLYGCPSA-N 0 3 311.454 4.116 20 0 DIADHN COCCOc1ccc(CNc2cc(C)nc3ccccc32)cc1 ZINC000301091139 336289563 /nfs/dbraw/zinc/28/95/63/336289563.db2.gz OXRHMQZPONVGMK-UHFFFAOYSA-N 0 3 322.408 4.181 20 0 DIADHN CN(C)[C@@H](CNc1ccc2ccccc2n1)c1ccc(F)cc1 ZINC000301096740 336289818 /nfs/dbraw/zinc/28/98/18/336289818.db2.gz OTBYFUSVTFXPKT-SFHVURJKSA-N 0 3 309.388 4.089 20 0 DIADHN Cc1cc(N2CCC(OC3CCCC3)CC2)c2ccccc2n1 ZINC000301099381 336289846 /nfs/dbraw/zinc/28/98/46/336289846.db2.gz HDTHEWMKQIQWGH-UHFFFAOYSA-N 0 3 310.441 4.471 20 0 DIADHN CCCc1cc(N2CCO[C@@H](c3ccco3)C2)c2ccccc2n1 ZINC000301781154 336329452 /nfs/dbraw/zinc/32/94/52/336329452.db2.gz IWWWZXRYSBFICN-HXUWFJFHSA-N 0 3 322.408 4.358 20 0 DIADHN CCc1cc(N2CC[C@@H](C)[C@@H](n3ccnc3)C2)c2ccccc2n1 ZINC000301786010 336329756 /nfs/dbraw/zinc/32/97/56/336329756.db2.gz LCSJZULLTIWXCP-QRWLVFNGSA-N 0 3 320.440 4.081 20 0 DIADHN CCc1cc(N[C@H](C)C[C@@H](O)c2ccco2)c2ccccc2n1 ZINC000301891823 336336600 /nfs/dbraw/zinc/33/66/00/336336600.db2.gz MEVRKCOTPIYHFN-FZKQIMNGSA-N 0 3 310.397 4.314 20 0 DIADHN CCc1c(C)nc2ccccc2c1NCc1c(C)nn(C)c1C ZINC000301982761 336341376 /nfs/dbraw/zinc/34/13/76/336341376.db2.gz ALGIXNWQAYIRIK-UHFFFAOYSA-N 0 3 308.429 4.068 20 0 DIADHN CCc1c(C)nc2ccccc2c1NC[C@H](O)c1ccsc1 ZINC000302015390 336343185 /nfs/dbraw/zinc/34/31/85/336343185.db2.gz BYESUEYYFTUIDW-KRWDZBQOSA-N 0 3 312.438 4.313 20 0 DIADHN CCc1c(C)nc2ccccc2c1N(C)Cc1c(C)noc1C ZINC000302059076 336346144 /nfs/dbraw/zinc/34/61/44/336346144.db2.gz RIAMCFCMWYMVTP-UHFFFAOYSA-N 0 3 309.413 4.347 20 0 DIADHN Cc1ccc(Cl)c2ccc(NC3CCN(C4CC4)CC3)nc12 ZINC000302062214 336346413 /nfs/dbraw/zinc/34/64/13/336346413.db2.gz RNAIYYOCLSVPBG-UHFFFAOYSA-N 0 3 315.848 4.235 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000302065777 336346543 /nfs/dbraw/zinc/34/65/43/336346543.db2.gz UGLSLMOMFYGQKZ-HNNXBMFYSA-N 0 3 320.440 4.213 20 0 DIADHN COCCOc1ccc(CNc2ccnc3ccc(C)cc32)cc1 ZINC000302107879 336348811 /nfs/dbraw/zinc/34/88/11/336348811.db2.gz AQHWVYKFYRENPW-UHFFFAOYSA-N 0 3 322.408 4.181 20 0 DIADHN CCc1c(C)nc2ccccc2c1N[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000302159813 336351493 /nfs/dbraw/zinc/35/14/93/336351493.db2.gz GRJZMNGDEFZWPS-DNVCBOLYSA-N 0 3 310.441 4.475 20 0 DIADHN COc1ccc(CNc2ccnc3ccc(C)cc32)cc1OC ZINC000302162182 336351711 /nfs/dbraw/zinc/35/17/11/336351711.db2.gz PTDLBWGIHVWXME-UHFFFAOYSA-N 0 3 308.381 4.173 20 0 DIADHN CC(=O)c1ccc(CNc2c(C)cnc3c(C)cccc32)nc1C ZINC000302178536 336352649 /nfs/dbraw/zinc/35/26/49/336352649.db2.gz PKOPTNVGGLNLLF-UHFFFAOYSA-N 0 3 319.408 4.370 20 0 DIADHN C[C@H](CN(C)Cc1c[nH]c(-c2ccccc2)n1)c1ccccc1 ZINC000514106338 336361061 /nfs/dbraw/zinc/36/10/61/336361061.db2.gz XQNNSZYCBFHBRY-MRXNPFEDSA-N 0 3 305.425 4.312 20 0 DIADHN C[C@H](CN(C)Cc1cnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000514106338 336361062 /nfs/dbraw/zinc/36/10/62/336361062.db2.gz XQNNSZYCBFHBRY-MRXNPFEDSA-N 0 3 305.425 4.312 20 0 DIADHN Cc1ccc2nccc(N[C@H](C)[C@@H](O)c3ccc(F)cc3)c2c1 ZINC000302680659 336364761 /nfs/dbraw/zinc/36/47/61/336364761.db2.gz RTKHBHYNOAKZMY-BFUOFWGJSA-N 0 3 310.372 4.216 20 0 DIADHN CCN(CC(=O)Nc1ccccc1Cc1ccccc1)CC(C)C ZINC000514113756 336365949 /nfs/dbraw/zinc/36/59/49/336365949.db2.gz YDFVHBOGOFUMDL-UHFFFAOYSA-N 0 3 324.468 4.194 20 0 DIADHN OCCN(C/C=C\c1ccccc1)[C@@H]1CCCc2ccccc21 ZINC000514121146 336371459 /nfs/dbraw/zinc/37/14/59/336371459.db2.gz SHYIMVWIJQRXLQ-PWYGHMFVSA-N 0 3 307.437 4.072 20 0 DIADHN OCCN(C/C=C/c1ccccc1)[C@@H]1CCCc2ccccc21 ZINC000514121147 336371539 /nfs/dbraw/zinc/37/15/39/336371539.db2.gz SHYIMVWIJQRXLQ-TYOLEZHBSA-N 0 3 307.437 4.072 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](OC)c2ccc(Cl)cc2)cc1 ZINC000514121870 336371824 /nfs/dbraw/zinc/37/18/24/336371824.db2.gz AXSZLGQIZLXAJY-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN Cc1cnc(NC2CCN([C@@H](C)c3ccccc3)CC2)s1 ZINC000302882426 336373132 /nfs/dbraw/zinc/37/31/32/336373132.db2.gz JYDLJMQDAXCXHP-AWEZNQCLSA-N 0 3 301.459 4.089 20 0 DIADHN Cc1cnc(NC[C@@H]2CCCN(C)[C@@H]2c2cccs2)s1 ZINC000302887276 336373204 /nfs/dbraw/zinc/37/32/04/336373204.db2.gz NUGYWJDPBVPMFN-JSGCOSHPSA-N 0 3 307.488 4.008 20 0 DIADHN Cc1cnc(NC[C@H]2CCCN(C)[C@H]2c2cccs2)s1 ZINC000302887278 336373257 /nfs/dbraw/zinc/37/32/57/336373257.db2.gz NUGYWJDPBVPMFN-TZMCWYRMSA-N 0 3 307.488 4.008 20 0 DIADHN Cc1cccc(C2=CCN(CCCO[C@@H]3CCCCO3)CC2)c1 ZINC000514129794 336374950 /nfs/dbraw/zinc/37/49/50/336374950.db2.gz BOJWOUHRCMRZBR-HXUWFJFHSA-N 0 3 315.457 4.017 20 0 DIADHN COC[C@H](NC[C@H](OC)c1ccc(Cl)cc1)c1ccccc1 ZINC000514131196 336374958 /nfs/dbraw/zinc/37/49/58/336374958.db2.gz UXGOZUDYUZFQEP-ROUUACIJSA-N 0 3 319.832 4.005 20 0 DIADHN COC[C@@H](NC[C@@H](OC)c1ccc(Cl)cc1)c1ccccc1 ZINC000514131195 336374962 /nfs/dbraw/zinc/37/49/62/336374962.db2.gz UXGOZUDYUZFQEP-QZTJIDSGSA-N 0 3 319.832 4.005 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cccc(O)c1)c1ccc(Cl)cc1 ZINC000514130251 336374966 /nfs/dbraw/zinc/37/49/66/336374966.db2.gz IGMOYUYTOFNRRG-PXAZEXFGSA-N 0 3 305.805 4.084 20 0 DIADHN COC[C@H](NC[C@@H](OC)c1ccc(Cl)cc1)c1ccccc1 ZINC000514131197 336374997 /nfs/dbraw/zinc/37/49/97/336374997.db2.gz UXGOZUDYUZFQEP-ZWKOTPCHSA-N 0 3 319.832 4.005 20 0 DIADHN CC[C@@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1nccn1C ZINC000352966158 336379843 /nfs/dbraw/zinc/37/98/43/336379843.db2.gz HZHNTBKPGVWVBG-ZWKOTPCHSA-N 0 3 313.420 4.236 20 0 DIADHN Fc1ccc(OC2CCN(Cc3cccc4cc[nH]c43)CC2)cc1 ZINC000352978927 336380987 /nfs/dbraw/zinc/38/09/87/336380987.db2.gz YOMOZQGKSLLJQG-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN FC(F)COc1ccc(CN2CC3(CCC3)[C@H]2C2CC2)cc1 ZINC000563921740 336384125 /nfs/dbraw/zinc/38/41/25/336384125.db2.gz GPFWLUZYYUWRKS-QGZVFWFLSA-N 0 3 307.384 4.095 20 0 DIADHN C/C(=C\c1ccccc1)CNC[C@@]1(C)OCCc2sccc21 ZINC000563919854 336384177 /nfs/dbraw/zinc/38/41/77/336384177.db2.gz KAXIBVSCRXVNJG-LBKOFNPBSA-N 0 3 313.466 4.229 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(C)c2C)c(C)c1OC ZINC000563948843 336387987 /nfs/dbraw/zinc/38/79/87/336387987.db2.gz IDOVMTYPFVUICW-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2N[C@@H]1CCCc2ccccc21 ZINC000563948872 336388019 /nfs/dbraw/zinc/38/80/19/336388019.db2.gz IWPRDYUKQIBHBS-QZTJIDSGSA-N 0 3 311.400 4.489 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@@H](OC)C[C@H]2C)cc1 ZINC000443070701 336390082 /nfs/dbraw/zinc/39/00/82/336390082.db2.gz OXDGNVZWOIRTHB-VQIMIIECSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000353063858 336392032 /nfs/dbraw/zinc/39/20/32/336392032.db2.gz OMBXBMFGNTUSGJ-UHFFFAOYSA-N 0 3 314.429 4.334 20 0 DIADHN COc1ccc(CNCc2ccc3c(c2)COC3)c2ccccc12 ZINC000532886383 336393156 /nfs/dbraw/zinc/39/31/56/336393156.db2.gz YZIKYYBHQVWEQM-UHFFFAOYSA-N 0 3 319.404 4.168 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C(C)(C)C1CCCC1)c1ccco1 ZINC000563993469 336393948 /nfs/dbraw/zinc/39/39/48/336393948.db2.gz NGKQIAFMSUMHGA-GOEBONIOSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@@H](NCCO[C@H]1CCCCO1)c1ccc(Cl)c(Cl)c1 ZINC000193083088 336395595 /nfs/dbraw/zinc/39/55/95/336395595.db2.gz CGJUOTBDNFVXFC-ABAIWWIYSA-N 0 3 318.244 4.187 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(OC3CCC3)CC2)cc1F ZINC000418087664 336398864 /nfs/dbraw/zinc/39/88/64/336398864.db2.gz CXNHSNYFKVKNSL-IHWYPQMZSA-N 0 3 307.384 4.012 20 0 DIADHN c1ccc(OCC2CC2)c(CN2CCC(OC3CCC3)CC2)c1 ZINC000418092196 336399263 /nfs/dbraw/zinc/39/92/63/336399263.db2.gz OPDQWNYABZMVTL-UHFFFAOYSA-N 0 3 315.457 4.009 20 0 DIADHN CC(C)COc1ccc(CN2CCC(OC3CCC3)CC2)cc1 ZINC000418098298 336399741 /nfs/dbraw/zinc/39/97/41/336399741.db2.gz YAYSLNKPJYWYBW-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN FC(F)(F)C1(CNCc2cnc(C3CCC3)s2)CCC1 ZINC000353090829 336400329 /nfs/dbraw/zinc/40/03/29/336400329.db2.gz VRGVCSFCWPNXPZ-UHFFFAOYSA-N 0 3 304.381 4.233 20 0 DIADHN Cc1nc2ccc(CN[C@@H](C)c3ccc4n[nH]cc4c3)cc2s1 ZINC000353113065 336402935 /nfs/dbraw/zinc/40/29/35/336402935.db2.gz SOPUPBMBOFPVFX-NSHDSACASA-N 0 3 322.437 4.332 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(-c3cccnc3)c2)cn1 ZINC000353158628 336405173 /nfs/dbraw/zinc/40/51/73/336405173.db2.gz XCDWLGQRJSLPIJ-UHFFFAOYSA-N 0 3 317.436 4.477 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H]1CCOc2c(C)cccc21 ZINC000353222821 336407018 /nfs/dbraw/zinc/40/70/18/336407018.db2.gz HHKYXFFOFVCFNJ-AHKGRUIUSA-N 0 3 309.409 4.130 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cccc2cccnc21 ZINC000353237194 336407621 /nfs/dbraw/zinc/40/76/21/336407621.db2.gz MSKMBBBZZXFWQH-GOSISDBHSA-N 0 3 304.393 4.157 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000353237167 336407647 /nfs/dbraw/zinc/40/76/47/336407647.db2.gz SBZNWPLXMLCENC-KRWDZBQOSA-N 0 3 310.372 4.229 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@@H](C)c1nccc2ccccc21 ZINC000353243566 336407971 /nfs/dbraw/zinc/40/79/71/336407971.db2.gz RIDSNHZCGHKSEY-JSGCOSHPSA-N 0 3 309.413 4.121 20 0 DIADHN Cc1ccncc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000353378704 336414237 /nfs/dbraw/zinc/41/42/37/336414237.db2.gz HQUTVEJFGYHHRB-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC[C@@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1cccc(C)c1 ZINC000353514456 336421898 /nfs/dbraw/zinc/42/18/98/336421898.db2.gz GYKGTYRAIPDMJU-UXHICEINSA-N 0 3 324.468 4.255 20 0 DIADHN CC[C@H](NCc1ccc(F)c(CN(C)C)c1)c1cccc(C)c1 ZINC000353518600 336422059 /nfs/dbraw/zinc/42/20/59/336422059.db2.gz LELJJRJCQNTNCM-FQEVSTJZSA-N 0 3 314.448 4.437 20 0 DIADHN CC1CCC(OC2CCN(Cc3cccc(F)c3)CC2)CC1 ZINC000353522746 336422324 /nfs/dbraw/zinc/42/23/24/336422324.db2.gz WCZXETQVQUGXMB-UHFFFAOYSA-N 0 3 305.437 4.385 20 0 DIADHN CC[C@]1(CN[C@H]2CCCc3occc32)OCCc2ccccc21 ZINC000353568969 336425754 /nfs/dbraw/zinc/42/57/54/336425754.db2.gz QGWMLYRZFXAOFY-AZUAARDMSA-N 0 3 311.425 4.125 20 0 DIADHN CCC[C@H](NCc1ccc2cc[nH]c2n1)c1ccc(OC)cc1 ZINC000353622217 336429491 /nfs/dbraw/zinc/42/94/91/336429491.db2.gz MDWSZELDEPXZIL-SFHVURJKSA-N 0 3 309.413 4.203 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1ccc2cc[nH]c2n1 ZINC000353630271 336430155 /nfs/dbraw/zinc/43/01/55/336430155.db2.gz MNTJNNKALRSVBL-GOSISDBHSA-N 0 3 305.425 4.347 20 0 DIADHN Cc1cc([C@H](C)NC[C@]2(C)OCCc3sccc32)c(C)o1 ZINC000353632371 336430225 /nfs/dbraw/zinc/43/02/25/336430225.db2.gz SKKXNNNNEGLKCW-SJCJKPOMSA-N 0 3 305.443 4.097 20 0 DIADHN C[C@@]1(CN[C@H]2CCCc3sccc32)OCCc2sccc21 ZINC000353650835 336431771 /nfs/dbraw/zinc/43/17/71/336431771.db2.gz RWJDFGHLLFWABH-YOEHRIQHSA-N 0 3 319.495 4.265 20 0 DIADHN CCOC[C@H](C)N[C@@H](CC)c1ccc(OC(F)(F)F)cc1 ZINC000353684488 336434800 /nfs/dbraw/zinc/43/48/00/336434800.db2.gz SNDKUMBDKJYLFC-FZMZJTMJSA-N 0 3 305.340 4.051 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C[S@@](C)=O)cc2)cc1C ZINC000353803343 336441120 /nfs/dbraw/zinc/44/11/20/336441120.db2.gz KFRCTZLLIZUXKB-OPAMFIHVSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1cnn3ccccc13)CCS2 ZINC000353883056 336445879 /nfs/dbraw/zinc/44/58/79/336445879.db2.gz SMBBFSMAXILODL-KRWDZBQOSA-N 0 3 323.465 4.278 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2n[nH]cc2c1)c1ccccc1OC ZINC000353970831 336451741 /nfs/dbraw/zinc/45/17/41/336451741.db2.gz GPLYXXLCMGFXDS-DYVFJYSZSA-N 0 3 309.413 4.373 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1cnn(C2CCCC2)c1 ZINC000353988451 336452979 /nfs/dbraw/zinc/45/29/79/336452979.db2.gz VVHDQHBUFUVLFB-MRXNPFEDSA-N 0 3 324.472 4.166 20 0 DIADHN CCCCOC1CCN(Cc2cc3cc(OC)ccc3o2)CC1 ZINC000353994929 336453071 /nfs/dbraw/zinc/45/30/71/336453071.db2.gz DWHJVAXTGKOGRW-UHFFFAOYSA-N 0 3 317.429 4.223 20 0 DIADHN OCC[C@H](N[C@H]1CCC12CCC2)c1ccc(Cl)c(Cl)c1 ZINC000354018713 336454432 /nfs/dbraw/zinc/45/44/32/336454432.db2.gz RSFJJTOMSRMANQ-GJZGRUSLSA-N 0 3 314.256 4.339 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@]2(C)C[C@H]2c2ccccc2)cn1 ZINC000354115931 336459982 /nfs/dbraw/zinc/45/99/82/336459982.db2.gz IICRAQLKNWQPNM-FXAWDEMLSA-N 0 3 309.457 4.058 20 0 DIADHN c1sc2ccccc2c1CN1CCC(OCC2CC2)CC1 ZINC000354129114 336460866 /nfs/dbraw/zinc/46/08/66/336460866.db2.gz ZRFHETVAMHMFIW-UHFFFAOYSA-N 0 3 301.455 4.292 20 0 DIADHN CC[C@H](NCCSCC(F)(F)F)c1ccc(F)cc1F ZINC000354274521 336465280 /nfs/dbraw/zinc/46/52/80/336465280.db2.gz XXFQLJNSLCQUCH-LBPRGKRZSA-N 0 3 313.335 4.301 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](CC)c1ccc(OC)c(OC)c1 ZINC000354966546 336489285 /nfs/dbraw/zinc/48/92/85/336489285.db2.gz AYMKTECIQZWLQP-OLZOCXBDSA-N 0 3 319.367 4.476 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000354980407 336489976 /nfs/dbraw/zinc/48/99/76/336489976.db2.gz CUKCPXFUNYGMEX-ZWNOBZJWSA-N 0 3 302.340 4.027 20 0 DIADHN O[C@H](CN[C@H](CC(F)(F)F)c1ccccc1)CC1CCCC1 ZINC000355261198 336501098 /nfs/dbraw/zinc/50/10/98/336501098.db2.gz OVYHSDWUSBFAPX-JKSUJKDBSA-N 0 3 315.379 4.211 20 0 DIADHN COCCCC[C@@H](NCc1sccc1OC)c1ccccc1 ZINC000355286756 336502548 /nfs/dbraw/zinc/50/25/48/336502548.db2.gz HCLDRRDKGIDBFU-MRXNPFEDSA-N 0 3 319.470 4.404 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NC[C@@H]3CC[C@@H](C)O3)cc2)cs1 ZINC000355290159 336502773 /nfs/dbraw/zinc/50/27/73/336502773.db2.gz LFQPVIJRFWDQMN-IGCXYCKISA-N 0 3 316.470 4.337 20 0 DIADHN C[C@H](NCC[C@H]1CCCCO1)c1nc2ccccc2n1C(F)F ZINC000355313005 336503850 /nfs/dbraw/zinc/50/38/50/336503850.db2.gz GJMVIBBJMYLZHO-QWHCGFSZSA-N 0 3 323.387 4.041 20 0 DIADHN Cc1nc2ccccc2n1C1CCN(Cc2cccs2)CC1 ZINC000355485474 336511285 /nfs/dbraw/zinc/51/12/85/336511285.db2.gz LUYVVJPGQZCTQI-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN CC[C@H](NCC1(OC)CCC1)c1ccccc1Br ZINC000356784416 336560797 /nfs/dbraw/zinc/56/07/97/336560797.db2.gz SEBKNMAGUMBOAL-AWEZNQCLSA-N 0 3 312.251 4.059 20 0 DIADHN COC1(CN[C@@H]2CCCOc3ccc(C(C)C)cc32)CCC1 ZINC000356852966 336563045 /nfs/dbraw/zinc/56/30/45/336563045.db2.gz RVPIRULRIUPYRP-QGZVFWFLSA-N 0 3 303.446 4.182 20 0 DIADHN CC[C@H](N[C@H](C)COCC(F)(F)F)c1cc(C)ccc1OC ZINC000357784620 336598225 /nfs/dbraw/zinc/59/82/25/336598225.db2.gz MWLKOBYPXGRCHG-OCCSQVGLSA-N 0 3 319.367 4.012 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccc(C)cc21)c1ccc2c(c1)OCCO2 ZINC000358019938 336606813 /nfs/dbraw/zinc/60/68/13/336606813.db2.gz LCBGKGAUTCRRNT-MOPGFXCFSA-N 0 3 323.436 4.494 20 0 DIADHN COCC[C@H](C)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000358024787 336607130 /nfs/dbraw/zinc/60/71/30/336607130.db2.gz RUOKJMMHUNQNHW-NSHDSACASA-N 0 3 324.877 4.287 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)c1ccc2c(c1)COC2 ZINC000358051984 336608725 /nfs/dbraw/zinc/60/87/25/336608725.db2.gz WUKOXAISKGMQPZ-AWEZNQCLSA-N 0 3 304.393 4.116 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000358088173 336610969 /nfs/dbraw/zinc/61/09/69/336610969.db2.gz ACCQWYHDNFXPSD-OSGPJOMKSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000358138933 336612785 /nfs/dbraw/zinc/61/27/85/336612785.db2.gz JIETXGOGFXBCSZ-CXAGYDPISA-N 0 3 316.832 4.408 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCCC(F)(F)F ZINC000358167089 336614501 /nfs/dbraw/zinc/61/45/01/336614501.db2.gz AAQNKZFLRVCPIO-SECBINFHSA-N 0 3 315.364 4.117 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)N[C@H]3CCSC3)cs2)cs1 ZINC000358193478 336616053 /nfs/dbraw/zinc/61/60/53/336616053.db2.gz RBOOCWFOBORZKL-SKDRFNHKSA-N 0 3 310.513 4.336 20 0 DIADHN CC[C@H](N[C@@H]1CCc2c1cccc2Cl)c1c(C)nn(C)c1C ZINC000358201951 336616581 /nfs/dbraw/zinc/61/65/81/336616581.db2.gz LFKGUOQDYASHQN-DLBZAZTESA-N 0 3 317.864 4.419 20 0 DIADHN CO[C@H](CN[C@@H]1CCCOc2ccc(F)cc21)c1ccccc1 ZINC000358212613 336617112 /nfs/dbraw/zinc/61/71/12/336617112.db2.gz AIELHKFHECCPAX-IEBWSBKVSA-N 0 3 315.388 4.017 20 0 DIADHN C[C@H]1C[C@@H](NCCc2ccccc2O)c2ccsc2S1 ZINC000358219353 336617431 /nfs/dbraw/zinc/61/74/31/336617431.db2.gz JOWALORJDZCCCR-SMDDNHRTSA-N 0 3 305.468 4.211 20 0 DIADHN c1ccc2c(c1)OC1(CCC1)C[C@@H]2NCc1ccc2c(c1)COC2 ZINC000358300812 336622660 /nfs/dbraw/zinc/62/26/60/336622660.db2.gz MLUFXPDIWUJWRI-IBGZPJMESA-N 0 3 321.420 4.253 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)COC2)c1cc(C)ccc1OC ZINC000358303455 336622804 /nfs/dbraw/zinc/62/28/04/336622804.db2.gz QYYPZDNEPUOQMU-IBGZPJMESA-N 0 3 311.425 4.275 20 0 DIADHN COC[C@@H](N[C@@H](C)c1csc(-c2csc(C)n2)c1)C1CC1 ZINC000358337601 336624722 /nfs/dbraw/zinc/62/47/22/336624722.db2.gz FRGUSEYKZLAFGB-IINYFYTJSA-N 0 3 322.499 4.256 20 0 DIADHN CC[C@H](N[C@H]1CCN(C)[C@H]1c1ccccc1)c1ccccc1OC ZINC000358357435 336625982 /nfs/dbraw/zinc/62/59/82/336625982.db2.gz WFHQOUGFXNOROX-ZJOUEHCJSA-N 0 3 324.468 4.181 20 0 DIADHN C[C@@H](CO)CCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000358363213 336626332 /nfs/dbraw/zinc/62/63/32/336626332.db2.gz DFFBVIDOKMTIGH-MWLCHTKSSA-N 0 3 322.301 4.431 20 0 DIADHN C[C@H](NCC12CCC(CC1)C2)c1ncc(Br)s1 ZINC000358381471 336627313 /nfs/dbraw/zinc/62/73/13/336627313.db2.gz LYDRIESTLWBQED-JBLZRFIASA-N 0 3 315.280 4.137 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2CCc3c2cccc3C)c2ccccc21 ZINC000358386750 336627628 /nfs/dbraw/zinc/62/76/28/336627628.db2.gz SMBCNORNOYSJME-PMACEKPBSA-N 0 3 320.436 4.070 20 0 DIADHN CC(C)COC1CCN(Cc2ccc(OC(C)C)cc2)CC1 ZINC000171033602 338518303 /nfs/dbraw/zinc/51/83/03/338518303.db2.gz YQDOFNVPFOJPJM-UHFFFAOYSA-N 0 3 305.462 4.111 20 0 DIADHN FC(F)C1(CN[C@H]2CCCOc3ccc(Cl)cc32)CC1 ZINC000358995213 336651924 /nfs/dbraw/zinc/65/19/24/336651924.db2.gz CMKXFMGJHAILCM-LBPRGKRZSA-N 0 3 301.764 4.189 20 0 DIADHN C[C@@H](CN[C@@H]1CCCc2nn(-c3ccccc3)cc21)C(F)(F)F ZINC000359001074 336652270 /nfs/dbraw/zinc/65/22/70/336652270.db2.gz KMNKFLOCRVXYBF-SWLSCSKDSA-N 0 3 323.362 4.038 20 0 DIADHN OC[C@@H](CC1CCC1)N[C@@H]1CCSc2ccc(Cl)cc21 ZINC000359089937 336657262 /nfs/dbraw/zinc/65/72/62/336657262.db2.gz ZCMQEOCQIIMWLF-UKRRQHHQSA-N 0 3 311.878 4.018 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3c(C)cc(C)cc32)cc1 ZINC000359377705 336670101 /nfs/dbraw/zinc/67/01/01/336670101.db2.gz KTGCTLUEIAURCN-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NC[C@H]1CCCO1 ZINC000359384159 336670408 /nfs/dbraw/zinc/67/04/08/336670408.db2.gz VANIULBKROOTBY-SCZZXKLOSA-N 0 3 306.258 4.201 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NC[C@@H](c1ccccc1)N(C)C ZINC000359581053 336678729 /nfs/dbraw/zinc/67/87/29/336678729.db2.gz GQZNXJGLZHMINS-PMACEKPBSA-N 0 3 324.468 4.101 20 0 DIADHN C[C@@H](NC1CCC2(CCOCC2)CC1)c1ccc(Cl)cn1 ZINC000359627112 336680834 /nfs/dbraw/zinc/68/08/34/336680834.db2.gz BKCRBYKULQZYEZ-CYBMUJFWSA-N 0 3 308.853 4.125 20 0 DIADHN COC1(CN[C@@H]2CCSc3c(F)cccc32)CCCCC1 ZINC000359960427 336695555 /nfs/dbraw/zinc/69/55/55/336695555.db2.gz XOENMFJIYKADKP-OAHLLOKOSA-N 0 3 309.450 4.302 20 0 DIADHN CCOc1cccc(CN[C@H]2CCCOc3cc(C)ccc32)c1 ZINC000360020849 336698185 /nfs/dbraw/zinc/69/81/85/336698185.db2.gz CLXRLKAHIPTUQY-IBGZPJMESA-N 0 3 311.425 4.397 20 0 DIADHN COC[C@H](C)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360484375 336712740 /nfs/dbraw/zinc/71/27/40/336712740.db2.gz QKMDVJWXSDFNEQ-PSASIEDQSA-N 0 3 308.274 4.304 20 0 DIADHN CC1(C)CC[C@H](N[C@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000360648465 336717301 /nfs/dbraw/zinc/71/73/01/336717301.db2.gz QYCDWLQJHNGFJS-UFYCRDLUSA-N 0 3 307.437 4.047 20 0 DIADHN C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1cccc(CN(C)C)c1 ZINC000360705855 336719499 /nfs/dbraw/zinc/71/94/99/336719499.db2.gz SZQZCNNUFJRCLG-MAUKXSAKSA-N 0 3 316.489 4.035 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@@H](c3ccccc3F)C2)c1 ZINC000360761559 336721480 /nfs/dbraw/zinc/72/14/80/336721480.db2.gz BTABQYVKGZJNSD-UKRRQHHQSA-N 0 3 303.352 4.096 20 0 DIADHN CCN(CC)[C@H](CN[C@@H]1CCCc2sccc21)c1ccco1 ZINC000360857540 336724562 /nfs/dbraw/zinc/72/45/62/336724562.db2.gz JJSRFHSPQKGVME-HZPDHXFCSA-N 0 3 318.486 4.391 20 0 DIADHN Cc1ccc([C@H](CN[C@H]2CCCOc3ccccc32)N(C)C)cc1 ZINC000360856410 336724650 /nfs/dbraw/zinc/72/46/50/336724650.db2.gz GSUUDSYYGNINNL-PMACEKPBSA-N 0 3 324.468 4.101 20 0 DIADHN CNC(=O)C[C@H](N[C@H]1C[C@H](C)c2c1cccc2C)c1ccccc1 ZINC000360920943 336727473 /nfs/dbraw/zinc/72/74/73/336727473.db2.gz SDJSMOLKQXWOOL-SNRMKQJTSA-N 0 3 322.452 4.010 20 0 DIADHN CO[C@H](C)CCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360933052 336728201 /nfs/dbraw/zinc/72/82/01/336728201.db2.gz IERCUOISWZPNKM-PSASIEDQSA-N 0 3 308.274 4.447 20 0 DIADHN CC[C@H](NCCC(=O)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000360947188 336728995 /nfs/dbraw/zinc/72/89/95/336728995.db2.gz MCEYVBAZDLLKGJ-KRWDZBQOSA-N 0 3 322.880 4.173 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@H]1CCN(C3CC3)C1)CCCS2 ZINC000361059088 336735768 /nfs/dbraw/zinc/73/57/68/336735768.db2.gz LLPULCSNCQVFET-BBRMVZONSA-N 0 3 322.905 4.093 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361191651 336743705 /nfs/dbraw/zinc/74/37/05/336743705.db2.gz TZWHEFBSRIPNCK-ZBEGNZNMSA-N 0 3 321.342 4.148 20 0 DIADHN CCN1CCCC[C@@H]1CN[C@H]1C[C@H](C)Sc2sccc21 ZINC000361340723 336752385 /nfs/dbraw/zinc/75/23/85/336752385.db2.gz HWAMCIZQZOWLNJ-GUTXKFCHSA-N 0 3 310.532 4.138 20 0 DIADHN CC[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccc(F)cc1 ZINC000361481011 336758438 /nfs/dbraw/zinc/75/84/38/336758438.db2.gz IQPUMMGFRUEHKM-LJQANCHMSA-N 0 3 309.388 4.252 20 0 DIADHN Cc1cnc(CN[C@@H](C)Cc2ccc(C(F)(F)F)cc2)s1 ZINC000361754190 336771412 /nfs/dbraw/zinc/77/14/12/336771412.db2.gz MTMALRYMCOZILV-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1N[C@H](CCCO)c1ccccc1 ZINC000361878601 336776359 /nfs/dbraw/zinc/77/63/59/336776359.db2.gz CBADYYVVGPQYMB-XMCHAPAWSA-N 0 3 313.416 4.162 20 0 DIADHN CCC[C@@H](N[C@@H](CCO)c1ccccc1)c1ccc(OC)cc1 ZINC000361887653 336776737 /nfs/dbraw/zinc/77/67/37/336776737.db2.gz PPWDZUHQNRUKHM-UXHICEINSA-N 0 3 313.441 4.250 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H]([C@H]2CCOC2)C1)c1nc(C)cs1 ZINC000361893103 336777187 /nfs/dbraw/zinc/77/71/87/336777187.db2.gz IEIJDANRJULDOM-JONQDZQNSA-N 0 3 308.491 4.088 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2cnc(C3CCC3)s2)C1(C)C ZINC000361907389 336777678 /nfs/dbraw/zinc/77/76/78/336777678.db2.gz XGDDJBLCSCUJMU-LSDHHAIUSA-N 0 3 308.491 4.092 20 0 DIADHN CC[C@H](N[C@@H]1CC[C@@H]1SC)c1ccccc1OC(F)F ZINC000361943580 336779388 /nfs/dbraw/zinc/77/93/88/336779388.db2.gz CFHJRSGBAHCYES-SCRDCRAPSA-N 0 3 301.402 4.223 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@H]2SCCc3ccccc32)s1 ZINC000361967125 336780310 /nfs/dbraw/zinc/78/03/10/336780310.db2.gz QNZNGLKIZQEKEW-BDJLRTHQSA-N 0 3 318.511 4.441 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@H](CO)c2ccccc2)c2ccsc2S1 ZINC000361966970 336780336 /nfs/dbraw/zinc/78/03/36/336780336.db2.gz HZYSQMGDAVTTJX-INWMFGNUSA-N 0 3 319.495 4.039 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)ncn2C)c1ccc2ncsc2c1 ZINC000362514394 336801396 /nfs/dbraw/zinc/80/13/96/336801396.db2.gz YPYJJYNCJYPVTH-GFCCVEGCSA-N 0 3 322.437 4.034 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccccc2-n2cccn2)cc(C)c1O ZINC000362874391 336813813 /nfs/dbraw/zinc/81/38/13/336813813.db2.gz WRSFJOQBNDPBFO-INIZCTEOSA-N 0 3 321.424 4.046 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c(C)n1 ZINC000368447573 336844998 /nfs/dbraw/zinc/84/49/98/336844998.db2.gz STGPGNNMCOACFX-NUTKFTJISA-N 0 3 315.848 4.344 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1nccn1C ZINC000368534138 336847007 /nfs/dbraw/zinc/84/70/07/336847007.db2.gz KCBRAAHMSDQCIL-WHOFXGATSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1cccc(F)c1 ZINC000582271160 336868443 /nfs/dbraw/zinc/86/84/43/336868443.db2.gz MDUNZVNJRKGWSR-PXAZEXFGSA-N 0 3 305.418 4.026 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2C[C@H](c3cccc(Cl)c3)C2)cn1 ZINC000369707751 336869988 /nfs/dbraw/zinc/86/99/88/336869988.db2.gz WCYBNMMNDJQPMP-SUYBPPKGSA-N 0 3 303.837 4.153 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)cc1 ZINC000370033416 336876203 /nfs/dbraw/zinc/87/62/03/336876203.db2.gz PHAVIARUXRHAQQ-WQDJSCAQSA-N 0 3 310.441 4.025 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000582280238 336879515 /nfs/dbraw/zinc/87/95/15/336879515.db2.gz SRXNCAIRFPFFOE-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN c1cnn(Cc2ccccc2CN[C@H]2CCCc3sccc32)c1 ZINC000119276111 336883884 /nfs/dbraw/zinc/88/38/84/336883884.db2.gz DBEKNDAOSJAOGZ-SFHVURJKSA-N 0 3 323.465 4.160 20 0 DIADHN Fc1ccc(CN[C@@H]2CCO[C@H](C3CC3)C2)c(Cl)c1Cl ZINC000370665300 336890278 /nfs/dbraw/zinc/89/02/78/336890278.db2.gz NZRCWDRFBGZGSP-YPMHNXCESA-N 0 3 318.219 4.180 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC(F)(F)F)c2ccccc2)C1(C)C ZINC000389782000 336894036 /nfs/dbraw/zinc/89/40/36/336894036.db2.gz ZDBPTNBGQWHUAB-SOUVJXGZSA-N 0 3 315.379 4.473 20 0 DIADHN C1=C(CCNCc2ccccc2OCc2ccccn2)CCC1 ZINC000120147688 336899935 /nfs/dbraw/zinc/89/99/35/336899935.db2.gz WYJFKMWAHVMONO-UHFFFAOYSA-N 0 3 308.425 4.251 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](c1ccccc1)C1CC1 ZINC000371422195 336903515 /nfs/dbraw/zinc/90/35/15/336903515.db2.gz DTKIVBLZZUVRDL-ICSRJNTNSA-N 0 3 309.409 4.260 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CCO)c2ccc(OC)cc2)cc1 ZINC000120278223 336905228 /nfs/dbraw/zinc/90/52/28/336905228.db2.gz DJFLDUWOPPMSAN-YWZLYKJASA-N 0 3 313.441 4.032 20 0 DIADHN CC[C@@H](N[C@H](CCO)c1ccc(OC)cc1)c1ccc(C)cc1 ZINC000120338011 336908538 /nfs/dbraw/zinc/90/85/38/336908538.db2.gz VLKXHFKSZPFPKJ-WOJBJXKFSA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@@H](NCc1cccc2cccnc21)c1cccc(OC)c1 ZINC000120492655 336912795 /nfs/dbraw/zinc/91/27/95/336912795.db2.gz SZPUJDIIOBAHDI-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)CCOCc1ccccc1 ZINC000389926010 336924950 /nfs/dbraw/zinc/92/49/50/336924950.db2.gz CYUMLVDDBSHPDC-KRWDZBQOSA-N 0 3 313.441 4.089 20 0 DIADHN CC[C@H](NC[C@@H](C)COCc1ccccc1)c1ccc(F)cn1 ZINC000389929060 336925775 /nfs/dbraw/zinc/92/57/75/336925775.db2.gz YMXQONKRJCCWBX-QAPCUYQASA-N 0 3 316.420 4.114 20 0 DIADHN Clc1sc(CN[C@@H]2C=CCCC2)cc1Br ZINC000389974290 336930240 /nfs/dbraw/zinc/93/02/40/336930240.db2.gz DPYJAUDTRSUTAQ-MRVPVSSYSA-N 0 3 306.656 4.362 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000373167127 336950397 /nfs/dbraw/zinc/95/03/97/336950397.db2.gz VIJFOWXXTSBSIX-QILLFSRXSA-N 0 3 301.455 4.485 20 0 DIADHN CC[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000303523329 336961717 /nfs/dbraw/zinc/96/17/17/336961717.db2.gz FOSATTOUILZCOE-RHSMWYFYSA-N 0 3 322.880 4.172 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2cccnc2)CS1 ZINC000373802551 336972815 /nfs/dbraw/zinc/97/28/15/336972815.db2.gz ZLTLPMZAWRHZKG-QYZOEREBSA-N 0 3 312.482 4.291 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)cc1 ZINC000374483059 336990158 /nfs/dbraw/zinc/99/01/58/336990158.db2.gz UOIIOKYKABBSTF-MJGOQNOKSA-N 0 3 313.466 4.276 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)c(C)s1 ZINC000374489098 336990402 /nfs/dbraw/zinc/99/04/02/336990402.db2.gz QTOGFWGUWHEJOP-WMZOPIPTSA-N 0 3 301.455 4.232 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1ncoc1-c1ccccc1 ZINC000374500647 336990886 /nfs/dbraw/zinc/99/08/86/336990886.db2.gz AVXFFZQILOHVRI-GDBMZVCRSA-N 0 3 302.393 4.350 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000375084989 337002842 /nfs/dbraw/zinc/00/28/42/337002842.db2.gz OFIIHLKRVMLMBT-LXZKKBNFSA-N 0 3 317.454 4.185 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCN(Cc3cncs3)C2)cc1 ZINC000375094384 337003201 /nfs/dbraw/zinc/00/32/01/337003201.db2.gz MQAIMIZPKFPFON-LBPRGKRZSA-N 0 3 312.360 4.151 20 0 DIADHN C[C@H](c1cnccn1)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000375117429 337003796 /nfs/dbraw/zinc/00/37/96/337003796.db2.gz ZXIUGHJHSWVGBT-TZMCWYRMSA-N 0 3 321.346 4.046 20 0 DIADHN c1csc(-c2ncc(CN3CCC[C@H]3[C@@H]3CCCO3)s2)c1 ZINC000375318021 337007913 /nfs/dbraw/zinc/00/79/13/337007913.db2.gz HHPAKEXAKJMVHZ-KBPBESRZSA-N 0 3 320.483 4.015 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCCCC2CCOCC2)o1 ZINC000375607295 337014356 /nfs/dbraw/zinc/01/43/56/337014356.db2.gz MAGNAXOMAJAGCU-SFHVURJKSA-N 0 3 321.461 4.160 20 0 DIADHN c1c[nH]c([C@@H](N[C@@H]2CCOC3(CCCC3)C2)C2CCCCC2)n1 ZINC000375788231 337019670 /nfs/dbraw/zinc/01/96/70/337019670.db2.gz QVKHLLUJMGSGGK-SJORKVTESA-N 0 3 317.477 4.113 20 0 DIADHN Cc1cc(CCN[C@H]2CCCOc3ccc(C(C)C)cc32)on1 ZINC000376110495 337027353 /nfs/dbraw/zinc/02/73/53/337027353.db2.gz BPAGJJUIYWNGRY-SFHVURJKSA-N 0 3 314.429 4.152 20 0 DIADHN CSCc1cc(F)ccc1CN[C@@H](C)c1cccc(CO)c1 ZINC000305641214 337031569 /nfs/dbraw/zinc/03/15/69/337031569.db2.gz KAKIZDLLMHYLGF-ZDUSSCGKSA-N 0 3 319.445 4.032 20 0 DIADHN Cc1ccnc(C2CCN(Cc3ccc(C(F)F)cc3)CC2)n1 ZINC000376925057 337043672 /nfs/dbraw/zinc/04/36/72/337043672.db2.gz KZJRUWDBDOYFNM-UHFFFAOYSA-N 0 3 317.383 4.102 20 0 DIADHN C[C@H](NCC1CCCCC1)c1nc(-c2ccncc2)cs1 ZINC000123389955 337045488 /nfs/dbraw/zinc/04/54/88/337045488.db2.gz CPWUEAAIWLEMHD-ZDUSSCGKSA-N 0 3 301.459 4.436 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@H]2CC[C@H](c3ccccc3)O2)s1 ZINC000377589882 337055703 /nfs/dbraw/zinc/05/57/03/337055703.db2.gz UZWLMORXZSLIEN-CSMYWGQOSA-N 0 3 316.470 4.331 20 0 DIADHN C[C@@H](O)c1ncc(CN2CCCC3(CCCCC3)CC2)s1 ZINC000377630831 337056909 /nfs/dbraw/zinc/05/69/09/337056909.db2.gz TXSFXCFBCYUFCK-CQSZACIVSA-N 0 3 308.491 4.133 20 0 DIADHN Cc1ccccc1CN[C@H]1c2ccccc2CC12CCOCC2 ZINC000377716897 337059539 /nfs/dbraw/zinc/05/95/39/337059539.db2.gz AWIXTCLNUDYXIJ-FQEVSTJZSA-N 0 3 307.437 4.179 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCC[C@H]2F)sc1Br ZINC000393942910 337098814 /nfs/dbraw/zinc/09/88/14/337098814.db2.gz AZXWBKABQGIIJU-BBBLOLIVSA-N 0 3 306.244 4.360 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cc(O)cc(C(F)(F)F)c1 ZINC000582456000 337065217 /nfs/dbraw/zinc/06/52/17/337065217.db2.gz WNIPZXLLPDULDF-KRWDZBQOSA-N 0 3 321.342 4.497 20 0 DIADHN COc1ccc([C@@H](C)CNCc2ncoc2-c2ccccc2)cc1 ZINC000378450002 337073147 /nfs/dbraw/zinc/07/31/47/337073147.db2.gz GXNGLMSZMAPKKR-HNNXBMFYSA-N 0 3 322.408 4.244 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000378480463 337073936 /nfs/dbraw/zinc/07/39/36/337073936.db2.gz BAFJMEGTBJVFQQ-PMPSAXMXSA-N 0 3 314.429 4.027 20 0 DIADHN Cc1noc(C)c1CN1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000378643436 337077475 /nfs/dbraw/zinc/07/74/75/337077475.db2.gz AUQYRRWQAJQHSF-CQSZACIVSA-N 0 3 324.346 4.300 20 0 DIADHN c1sc(COc2ccccc2)nc1CNC12CCC(CC1)C2 ZINC000378650582 337077712 /nfs/dbraw/zinc/07/77/12/337077712.db2.gz PGCLWZYRRDMBTN-UHFFFAOYSA-N 0 3 314.454 4.144 20 0 DIADHN CSC1(CNCc2csc(-c3cccs3)n2)CCC1 ZINC000193647773 337078832 /nfs/dbraw/zinc/07/88/32/337078832.db2.gz APHQMLOUFXGHPZ-UHFFFAOYSA-N 0 3 310.513 4.247 20 0 DIADHN CSC1(CN[C@@H](C)c2ccccc2OC(F)F)CCC1 ZINC000193808749 337080306 /nfs/dbraw/zinc/08/03/06/337080306.db2.gz IWHJNKDSFARXNS-NSHDSACASA-N 0 3 301.402 4.224 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC3(SC)CC3)oc21 ZINC000193824484 337080419 /nfs/dbraw/zinc/08/04/19/337080419.db2.gz PMRKHBSJSLCYIL-LBPRGKRZSA-N 0 3 305.443 4.378 20 0 DIADHN COc1cccc([C@H](C)NCc2cc3c(s2)CCCC3)c1 ZINC000537965560 337082130 /nfs/dbraw/zinc/08/21/30/337082130.db2.gz SIQRJHTXBKYAJR-ZDUSSCGKSA-N 0 3 301.455 4.486 20 0 DIADHN CC(C)OCCCN1CCCC[C@H]1c1nc2ccccc2o1 ZINC000360034568 337084000 /nfs/dbraw/zinc/08/40/00/337084000.db2.gz DJJUXGAIJJHWRB-INIZCTEOSA-N 0 3 302.418 4.170 20 0 DIADHN CC(C)C[C@H](NC[C@@H]1OCCc2ccccc21)c1ccccn1 ZINC000360060031 337084718 /nfs/dbraw/zinc/08/47/18/337084718.db2.gz PGTYLNNXNGZEOZ-PMACEKPBSA-N 0 3 310.441 4.072 20 0 DIADHN C[C@@H](NC[C@@H]1OCCc2ccccc21)c1ccc2ccccc2n1 ZINC000360063095 337085017 /nfs/dbraw/zinc/08/50/17/337085017.db2.gz XRTSSHDFJSVHTK-VFNWGFHPSA-N 0 3 318.420 4.199 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccccc2)o1 ZINC000170986379 337085673 /nfs/dbraw/zinc/08/56/73/337085673.db2.gz HUIDBKLLIAXTPE-HOTGVXAUSA-N 0 3 313.445 4.431 20 0 DIADHN c1cnn(Cc2ccc(CN[C@@H]3CCCc4ccccc43)cc2)c1 ZINC000171975538 337090708 /nfs/dbraw/zinc/09/07/08/337090708.db2.gz VMZDJVOBUMVSDD-OAQYLSRUSA-N 0 3 317.436 4.099 20 0 DIADHN CCC[C@@H](CN[C@@H](c1ccccc1)c1ccc(OC)cc1)OC ZINC000393486784 337092597 /nfs/dbraw/zinc/09/25/97/337092597.db2.gz LKSPOWFTCYKJJN-PMACEKPBSA-N 0 3 313.441 4.189 20 0 DIADHN CCC[C@H](CN[C@@H](c1ccccc1)c1ccc(OC)cc1)OC ZINC000393486785 337092700 /nfs/dbraw/zinc/09/27/00/337092700.db2.gz LKSPOWFTCYKJJN-UXHICEINSA-N 0 3 313.441 4.189 20 0 DIADHN Cc1cnc(CNC(Cc2ccccc2)Cc2ccccc2)s1 ZINC000393493029 337092864 /nfs/dbraw/zinc/09/28/64/337092864.db2.gz WUZDUWLRWGHHNU-UHFFFAOYSA-N 0 3 322.477 4.395 20 0 DIADHN CC(F)(F)CCNCc1cc(Br)ccc1Cl ZINC000393944604 337098808 /nfs/dbraw/zinc/09/88/08/337098808.db2.gz OPNLPQSWVBUGEV-UHFFFAOYSA-N 0 3 312.585 4.237 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCC[C@H]2F)sc1Br ZINC000393942906 337098834 /nfs/dbraw/zinc/09/88/34/337098834.db2.gz AZXWBKABQGIIJU-AEJSXWLSSA-N 0 3 306.244 4.360 20 0 DIADHN COc1cncc(/C=C\CCN[C@H](C)c2cccc(F)c2F)c1 ZINC000582663573 337117870 /nfs/dbraw/zinc/11/78/70/337117870.db2.gz UXHBEHIXNUEMGH-ACVFHECNSA-N 0 3 318.367 4.123 20 0 DIADHN Cc1ccc2c(N[C@H](C)[C@H](c3ccccc3)N(C)C)ccnc2n1 ZINC000582698464 337121602 /nfs/dbraw/zinc/12/16/02/337121602.db2.gz LIXNXBNVGYBOFA-DNVCBOLYSA-N 0 3 320.440 4.042 20 0 DIADHN Cc1ccc2c(N[C@@H](C)[C@H](c3ccccc3)N(C)C)ccnc2n1 ZINC000582698465 337121705 /nfs/dbraw/zinc/12/17/05/337121705.db2.gz LIXNXBNVGYBOFA-HNAYVOBHSA-N 0 3 320.440 4.042 20 0 DIADHN Cc1cc(CN2CCC(OCC(C)C)CC2)c2ccccc2n1 ZINC000172415694 337128841 /nfs/dbraw/zinc/12/88/41/337128841.db2.gz CNGNBKWUYKUPGF-UHFFFAOYSA-N 0 3 312.457 4.180 20 0 DIADHN C=Cn1cc(CNCc2cccc(-c3cccc(C)c3)c2)cn1 ZINC000194282646 337129600 /nfs/dbraw/zinc/12/96/00/337129600.db2.gz GGSOAEABWRGFDX-UHFFFAOYSA-N 0 3 303.409 4.249 20 0 DIADHN CC[C@@H](NCc1ccc(NC(N)=O)cc1)c1ccc(Cl)cc1 ZINC000173860802 337138524 /nfs/dbraw/zinc/13/85/24/337138524.db2.gz ZRJRWNNDHXCTHD-MRXNPFEDSA-N 0 3 317.820 4.072 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(C)cc1C)CCO2 ZINC000320996752 337150808 /nfs/dbraw/zinc/15/08/08/337150808.db2.gz NDBLQAMNUZGNNG-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1ccccc1-n1cccn1 ZINC000177184445 337154474 /nfs/dbraw/zinc/15/44/74/337154474.db2.gz UJHNLQKCBXOLBB-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN Clc1ccccc1-c1nnc(CN2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000177551794 337156583 /nfs/dbraw/zinc/15/65/83/337156583.db2.gz JXQDBIHQTJSUDM-STQMWFEESA-N 0 3 317.820 4.012 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C)c1C)c1ccc(OC(F)F)cc1 ZINC000177962848 337159439 /nfs/dbraw/zinc/15/94/39/337159439.db2.gz YOFABRXYVXPZNT-MEDUHNTESA-N 0 3 323.387 4.132 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@@H](C)Oc2cccc(F)c2)s1 ZINC000177980999 337159528 /nfs/dbraw/zinc/15/95/28/337159528.db2.gz VTWAFUNRLDXEDH-GHMZBOCLSA-N 0 3 308.422 4.017 20 0 DIADHN COc1cc(CN[C@@H]2CCSc3c(F)cccc32)ccc1C ZINC000177987164 337159532 /nfs/dbraw/zinc/15/95/32/337159532.db2.gz GVHNVXRTSZRFIB-MRXNPFEDSA-N 0 3 317.429 4.469 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccc(-c3ccncc3)c2)ccn1 ZINC000177843072 337160761 /nfs/dbraw/zinc/16/07/61/337160761.db2.gz LNDFRBFGSDIARO-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1n1cccn1)c1ccc2ccccc2n1 ZINC000178222325 337161505 /nfs/dbraw/zinc/16/15/05/337161505.db2.gz PSZGAEMWNRTNFF-YSSFQJQWSA-N 0 3 320.440 4.266 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)cc1)c1ccc2c(c1)OCCO2 ZINC000178251507 337161787 /nfs/dbraw/zinc/16/17/87/337161787.db2.gz AIBJUPFWXGFXAW-GUYCJALGSA-N 0 3 315.388 4.399 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1sc(C)nc1C)c1ccco1 ZINC000178139367 337162564 /nfs/dbraw/zinc/16/25/64/337162564.db2.gz GILZKVKOEFSDON-SWLSCSKDSA-N 0 3 321.490 4.087 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCCC1)c1ccsc1 ZINC000178162314 337162684 /nfs/dbraw/zinc/16/26/84/337162684.db2.gz OWBJKVNSPJOZPB-ZBFHGGJFSA-N 0 3 304.459 4.219 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1ccc(-n2cncn2)cc1 ZINC000178495159 337163273 /nfs/dbraw/zinc/16/32/73/337163273.db2.gz WVMFPYCXPVJHNC-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1cccs1 ZINC000178322682 337164030 /nfs/dbraw/zinc/16/40/30/337164030.db2.gz WZYIRKNFSPEJFN-CZUORRHYSA-N 0 3 312.442 4.131 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2ccsc2)N2CCCC2)o1 ZINC000178402042 337164381 /nfs/dbraw/zinc/16/43/81/337164381.db2.gz IBAJLEPUQBXUAB-GDBMZVCRSA-N 0 3 304.459 4.137 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000178718165 337164704 /nfs/dbraw/zinc/16/47/04/337164704.db2.gz AQARYTCDIWNKEA-CABCVRRESA-N 0 3 320.440 4.202 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1cccs1 ZINC000178709722 337166202 /nfs/dbraw/zinc/16/62/02/337166202.db2.gz AYIXMURMAGTNSD-RAJNIJHNSA-N 0 3 307.484 4.287 20 0 DIADHN COCc1cccc(CN2CCC(OCC3CCCC3)CC2)c1 ZINC000179229917 337168339 /nfs/dbraw/zinc/16/83/39/337168339.db2.gz PEVNBDZKCJFSCM-UHFFFAOYSA-N 0 3 317.473 4.004 20 0 DIADHN COCc1cccc(CN(C)[C@@H]2CCCc3nc(C)sc32)c1 ZINC000179236883 337168358 /nfs/dbraw/zinc/16/83/58/337168358.db2.gz PDYNINRVBPCECN-QGZVFWFLSA-N 0 3 316.470 4.107 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1cccn1)c1ccccc1F ZINC000180027667 337173247 /nfs/dbraw/zinc/17/32/47/337173247.db2.gz MFFUAVJHCGHGST-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000582802038 337175594 /nfs/dbraw/zinc/17/55/94/337175594.db2.gz VKJMBSVOESTNMD-FVQBIDKESA-N 0 3 308.491 4.395 20 0 DIADHN CC[C@H](NC[C@H](CCO)c1ccccc1)c1ccccc1F ZINC000180607199 337176709 /nfs/dbraw/zinc/17/67/09/337176709.db2.gz QQLCQOPDSCAPSS-LPHOPBHVSA-N 0 3 301.405 4.033 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000180670590 337177054 /nfs/dbraw/zinc/17/70/54/337177054.db2.gz YKDBXVKLJFLBGB-KDOFPFPSSA-N 0 3 315.388 4.171 20 0 DIADHN CC[C@H](N[C@@H](CCC(=O)OC)c1ccccc1)c1cccs1 ZINC000180665856 337177066 /nfs/dbraw/zinc/17/70/66/337177066.db2.gz CFSLKTBIGLVHQE-HOTGVXAUSA-N 0 3 317.454 4.483 20 0 DIADHN CC[C@@H](N[C@H](CCC(=O)OC)c1ccccc1)c1cccs1 ZINC000180665914 337177094 /nfs/dbraw/zinc/17/70/94/337177094.db2.gz CFSLKTBIGLVHQE-HZPDHXFCSA-N 0 3 317.454 4.483 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1cccs1)c1ccccc1 ZINC000180673003 337177161 /nfs/dbraw/zinc/17/71/61/337177161.db2.gz FPNCYOPCOGEKNK-DZGCQCFKSA-N 0 3 303.427 4.093 20 0 DIADHN CC(=O)Nc1ccc(CN2CC=C(c3cccc(C)c3)CC2)cc1 ZINC000180781088 337177904 /nfs/dbraw/zinc/17/79/04/337177904.db2.gz DAWHENANVXUZKJ-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@H]1CCCSC1 ZINC000582807565 337182016 /nfs/dbraw/zinc/18/20/16/337182016.db2.gz IERGQFAWKQTCCS-JTQLQIEISA-N 0 3 320.285 4.235 20 0 DIADHN C[C@@H](NCC1(C2CC2)CCC1)c1ncc(Br)s1 ZINC000181617504 337183464 /nfs/dbraw/zinc/18/34/64/337183464.db2.gz XFWATYWVSNFUAG-SECBINFHSA-N 0 3 315.280 4.137 20 0 DIADHN CC[C@@H](NCc1cncc(C)c1)c1cccc(Br)c1 ZINC000181844449 337185002 /nfs/dbraw/zinc/18/50/02/337185002.db2.gz DSMHDZIIWNJLLN-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@@H](NCCC[C@@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000183999080 337194379 /nfs/dbraw/zinc/19/43/79/337194379.db2.gz NAZDMWWFOXUWOD-KGLIPLIRSA-N 0 3 308.491 4.022 20 0 DIADHN FC(F)c1ccc(CNCc2ccc(-n3ccnc3)cc2)cc1 ZINC000185171462 337202507 /nfs/dbraw/zinc/20/25/07/337202507.db2.gz YJJCTCKDZGSCEZ-UHFFFAOYSA-N 0 3 313.351 4.100 20 0 DIADHN CC(C)C[C@@H](C)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000185372959 337204524 /nfs/dbraw/zinc/20/45/24/337204524.db2.gz DIQWLRPHVNWTNB-SJORKVTESA-N 0 3 316.489 4.010 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@H]2CCC2(C)C)c1 ZINC000582860861 337208815 /nfs/dbraw/zinc/20/88/15/337208815.db2.gz ITCWPAXCVBZXOA-KRWDZBQOSA-N 0 3 312.413 4.161 20 0 DIADHN C[C@@H](NCCCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000395180110 337209320 /nfs/dbraw/zinc/20/93/20/337209320.db2.gz DSCOOQYMAYXYOH-OAHLLOKOSA-N 0 3 304.482 4.016 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2[nH]ccc2c1 ZINC000582856285 337211369 /nfs/dbraw/zinc/21/13/69/337211369.db2.gz NCYMNBYUWDZDKQ-GOSISDBHSA-N 0 3 310.372 4.093 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000395247533 337214687 /nfs/dbraw/zinc/21/46/87/337214687.db2.gz YMORGZWGIMHBNW-GFCCVEGCSA-N 0 3 303.789 4.228 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCCc2cc(C)no2)cc1 ZINC000582921012 337217902 /nfs/dbraw/zinc/21/79/02/337217902.db2.gz GUKVDBHCTJQNFH-INIZCTEOSA-N 0 3 316.445 4.445 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)NCc1cc(C)nn1C ZINC000582919600 337217934 /nfs/dbraw/zinc/21/79/34/337217934.db2.gz IBNCFULUIIOHAF-AWEZNQCLSA-N 0 3 323.893 4.042 20 0 DIADHN CN(CCC1CCCCCC1)Cc1ccc([S@@](C)=O)cc1 ZINC000582991174 337223233 /nfs/dbraw/zinc/22/32/33/337223233.db2.gz CWJCEHVCWMDPTH-OAQYLSRUSA-N 0 3 307.503 4.216 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1CC(C)=C[C@@H](C)C1 ZINC000582995328 337223373 /nfs/dbraw/zinc/22/33/73/337223373.db2.gz DHPSNSNEVLVNQJ-RDTXWAMCSA-N 0 3 314.473 4.166 20 0 DIADHN CC[C@H]1CCCCN1C(=O)CN[C@H](C)c1cccc2ccccc21 ZINC000216614584 337223834 /nfs/dbraw/zinc/22/38/34/337223834.db2.gz CDLUJTIIOLSMAE-AEFFLSMTSA-N 0 3 324.468 4.282 20 0 DIADHN CC[C@H]1CCCCN1C(=O)CN[C@@H](C)c1cccc2ccccc21 ZINC000216614492 337223867 /nfs/dbraw/zinc/22/38/67/337223867.db2.gz CDLUJTIIOLSMAE-WMZOPIPTSA-N 0 3 324.468 4.282 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)CN[C@H](C)c1cccc2ccccc21 ZINC000216614535 337223928 /nfs/dbraw/zinc/22/39/28/337223928.db2.gz CDLUJTIIOLSMAE-SJLPKXTDSA-N 0 3 324.468 4.282 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)CN[C@@H](C)c1cccc2ccccc21 ZINC000216614442 337223950 /nfs/dbraw/zinc/22/39/50/337223950.db2.gz CDLUJTIIOLSMAE-FUHWJXTLSA-N 0 3 324.468 4.282 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCC3(C)C)co2)cc1 ZINC000582973089 337224772 /nfs/dbraw/zinc/22/47/72/337224772.db2.gz NPFRZXCFGNGNNG-MRXNPFEDSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1ccc(-c2ncc(CNCCOCC3CCC3)s2)cc1 ZINC000582998538 337226930 /nfs/dbraw/zinc/22/69/30/337226930.db2.gz OARRHYZYHZLOAP-UHFFFAOYSA-N 0 3 316.470 4.025 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)C1CCC(F)(F)CC1 ZINC000583052073 337230153 /nfs/dbraw/zinc/23/01/53/337230153.db2.gz RWYFWTUCJLYQJG-UHFFFAOYSA-N 0 3 313.436 4.265 20 0 DIADHN CN(Cc1nc2ccc(F)cc2s1)C1CCC(F)(F)CC1 ZINC000584378290 337232841 /nfs/dbraw/zinc/23/28/41/337232841.db2.gz DSSVQXQSCJYPGJ-UHFFFAOYSA-N 0 3 314.376 4.445 20 0 DIADHN CCn1nc(C)c(CN(C)[C@@H](C)Cc2ccc(Cl)cc2)c1C ZINC000583099398 337240674 /nfs/dbraw/zinc/24/06/74/337240674.db2.gz XJKBFLRROZDPBT-ZDUSSCGKSA-N 0 3 319.880 4.236 20 0 DIADHN CCSc1cccc(CN(C)C[C@@H](C)c2nccs2)c1 ZINC000186415664 337256064 /nfs/dbraw/zinc/25/60/64/337256064.db2.gz JPNROEHUTWPGLA-CYBMUJFWSA-N 0 3 306.500 4.491 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3cc(OC)ccc32)cc1 ZINC000321338397 337258004 /nfs/dbraw/zinc/25/80/04/337258004.db2.gz AGJWGPNYEGUFKY-VLIAUNLRSA-N 0 3 311.425 4.432 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1ccc(C)nc1C ZINC000186754598 337259168 /nfs/dbraw/zinc/25/91/68/337259168.db2.gz LMYSDUPRJPFHKZ-UHFFFAOYSA-N 0 3 312.457 4.127 20 0 DIADHN O[C@@H]1CCCC[C@H]1CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000321385271 337260092 /nfs/dbraw/zinc/26/00/92/337260092.db2.gz WCGVBHGHZSDELE-SXLOBPIMSA-N 0 3 313.416 4.056 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2CCCC3(CC3)C2)c1 ZINC000186955686 337261841 /nfs/dbraw/zinc/26/18/41/337261841.db2.gz YJMZUIUNKSDAHJ-UHFFFAOYSA-N 0 3 320.436 4.315 20 0 DIADHN c1ccc([C@@H]2CSCCN2C[C@H]2CCC3(CCCC3)O2)cc1 ZINC000530358166 337263378 /nfs/dbraw/zinc/26/33/78/337263378.db2.gz IFMDSOPYWZQHEV-MSOLQXFVSA-N 0 3 317.498 4.268 20 0 DIADHN CC(C)CSCCN[C@H](C)c1ccccc1OC(F)F ZINC000397473029 337263840 /nfs/dbraw/zinc/26/38/40/337263840.db2.gz YAELRDQQXYEIGW-GFCCVEGCSA-N 0 3 303.418 4.328 20 0 DIADHN Cc1ccc(NCc2nc(-c3ccccc3)c[nH]2)c(CN(C)C)c1 ZINC000187414142 337265843 /nfs/dbraw/zinc/26/58/43/337265843.db2.gz ZKKCUZZDDAAXKL-UHFFFAOYSA-N 0 3 320.440 4.059 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(c3ccncc3)CC2)cc1F ZINC000583196658 337266313 /nfs/dbraw/zinc/26/63/13/337266313.db2.gz OCDMNVWUSMNCEE-OWOJBTEDSA-N 0 3 314.379 4.253 20 0 DIADHN CCOc1c(Cl)cccc1CN1CC[C@@H](c2cccnc2)C1 ZINC000583204599 337270498 /nfs/dbraw/zinc/27/04/98/337270498.db2.gz ZXWRKVVNQNNVJA-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCCc2ccc(OC)cc21 ZINC000321578469 337278178 /nfs/dbraw/zinc/27/81/78/337278178.db2.gz JCPQZRAGAOXLJG-HXUWFJFHSA-N 0 3 311.425 4.009 20 0 DIADHN O[C@H]1CC[C@@H](CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000189302135 337278507 /nfs/dbraw/zinc/27/85/07/337278507.db2.gz IIFOKSKRTDNUFC-WCABBAIRSA-N 0 3 320.285 4.183 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCOc3c(C)cccc32)cc1 ZINC000189367689 337278871 /nfs/dbraw/zinc/27/88/71/337278871.db2.gz UOSFFJWBLPFKOV-IFXJQAMLSA-N 0 3 324.424 4.128 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NC1CCN(c2ccccc2)CC1 ZINC000189460628 337279165 /nfs/dbraw/zinc/27/91/65/337279165.db2.gz LFMXRWSHGFLDLN-FQEVSTJZSA-N 0 3 322.452 4.077 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2C[C@@H](C)c3ccccc32)cn1 ZINC000583227166 337280063 /nfs/dbraw/zinc/28/00/63/337280063.db2.gz WVGZBSCFJJVAJV-DNVCBOLYSA-N 0 3 309.457 4.266 20 0 DIADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1sccc1Br ZINC000397803419 337286966 /nfs/dbraw/zinc/28/69/66/337286966.db2.gz MIQFTJLHNXMEOT-HLTSFMKQSA-N 0 3 306.294 4.055 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](C)c2cc(C)sc2C)cn1 ZINC000190726368 337289095 /nfs/dbraw/zinc/28/90/95/337289095.db2.gz RWQYFXNCQRUGAV-ZDUSSCGKSA-N 0 3 303.475 4.067 20 0 DIADHN C[C@H](NCc1cccc(C2CC2)c1)c1ccc2c(c1)OCCO2 ZINC000321768772 337289819 /nfs/dbraw/zinc/28/98/19/337289819.db2.gz XRHLINJSXBXBPS-AWEZNQCLSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000321793719 337291020 /nfs/dbraw/zinc/29/10/20/337291020.db2.gz VSVVBWFINPAVEO-HOTGVXAUSA-N 0 3 311.425 4.486 20 0 DIADHN CC[C@@H](NCCc1cccc2cccnc21)c1ccc(F)cn1 ZINC000321801499 337291209 /nfs/dbraw/zinc/29/12/09/337291209.db2.gz XFDSGJYVAPJJSK-QGZVFWFLSA-N 0 3 309.388 4.052 20 0 DIADHN CC[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1c(C)noc1C ZINC000191019532 337293164 /nfs/dbraw/zinc/29/31/64/337293164.db2.gz AEAWZNJOXWNFPC-HZPDHXFCSA-N 0 3 306.450 4.214 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2NCC[C@H](C)c1ccccc1 ZINC000191109041 337294177 /nfs/dbraw/zinc/29/41/77/337294177.db2.gz ILSROHNAAMEGHC-RDJZCZTQSA-N 0 3 312.461 4.020 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000191348050 337296129 /nfs/dbraw/zinc/29/61/29/337296129.db2.gz UASRPGAZFXTXPZ-RHSMWYFYSA-N 0 3 316.493 4.185 20 0 DIADHN COc1ccc(CCC2CCN([C@@H](C)c3ccccn3)CC2)cc1 ZINC000532913998 337297448 /nfs/dbraw/zinc/29/74/48/337297448.db2.gz JUWBEROLZFPDHV-KRWDZBQOSA-N 0 3 324.468 4.496 20 0 DIADHN Brc1ccsc1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000393775436 337298303 /nfs/dbraw/zinc/29/83/03/337298303.db2.gz FECCUJWBTOQROG-CMPLNLGQSA-N 0 3 300.265 4.179 20 0 DIADHN Cc1ncc(CNCc2ccc(C3CC3)cc2)n1-c1ccccc1 ZINC000191682473 337300410 /nfs/dbraw/zinc/30/04/10/337300410.db2.gz BFFFSZMWCWSJCT-UHFFFAOYSA-N 0 3 317.436 4.348 20 0 DIADHN CCN(CC)c1ncc(CNCc2ccc(C3CC3)cc2)s1 ZINC000191682819 337300422 /nfs/dbraw/zinc/30/04/22/337300422.db2.gz CCWKZIYJZQFHRH-UHFFFAOYSA-N 0 3 315.486 4.157 20 0 DIADHN Fc1cc(OCCN2CCC[C@H]2c2ccncc2)ccc1Cl ZINC000192134160 337303101 /nfs/dbraw/zinc/30/31/01/337303101.db2.gz QJIAUUYTDISKTH-KRWDZBQOSA-N 0 3 320.795 4.090 20 0 DIADHN CC(C)[C@H](CN(C)Cc1ccccc1)N[C@@H](C)c1ccoc1 ZINC000398080007 337305743 /nfs/dbraw/zinc/30/57/43/337305743.db2.gz GPIUIXKNUDYEKN-LPHOPBHVSA-N 0 3 300.446 4.087 20 0 DIADHN c1cc2c(o1)CCC[C@H]2NC[C@H](c1ccccc1)N1CCCC1 ZINC000321938766 337305876 /nfs/dbraw/zinc/30/58/76/337305876.db2.gz MCFUUSDBCOWGSG-RTBURBONSA-N 0 3 310.441 4.084 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H](C)CCCO ZINC000192635565 337306067 /nfs/dbraw/zinc/30/60/67/337306067.db2.gz FYYFRFQTAUERLI-GFCCVEGCSA-N 0 3 301.883 4.091 20 0 DIADHN CO[C@H]1CCCN(C/C(C)=C\c2cccc(C(F)(F)F)c2)C1 ZINC000583289755 337307065 /nfs/dbraw/zinc/30/70/65/337307065.db2.gz QHAQVFDNDVSTEX-OVHOFWQVSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)no1 ZINC000584391272 337310589 /nfs/dbraw/zinc/31/05/89/337310589.db2.gz MUYFVLBPPDIJBZ-PBHICJAKSA-N 0 3 304.821 4.137 20 0 DIADHN CC[C@@H](O)CCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000398460649 337311454 /nfs/dbraw/zinc/31/14/54/337311454.db2.gz IXROGKCIBXXHRS-RTBURBONSA-N 0 3 301.405 4.056 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000398599887 337311855 /nfs/dbraw/zinc/31/18/55/337311855.db2.gz KMEKXIGKMVCZPR-VHSXEESVSA-N 0 3 308.224 4.334 20 0 DIADHN Cc1nc(CN[C@H](C)[C@@H]2C[C@H]2c2cccs2)nc2ccccc12 ZINC000583742223 337327970 /nfs/dbraw/zinc/32/79/70/337327970.db2.gz WOZPIOFIFGMISR-UHOFOFEASA-N 0 3 323.465 4.282 20 0 DIADHN c1cc2cc(CN3CCCN(c4ccccc4)CC3)ccc2o1 ZINC000583759291 337330722 /nfs/dbraw/zinc/33/07/22/337330722.db2.gz WOGVPLHDJGRHLT-UHFFFAOYSA-N 0 3 306.409 4.145 20 0 DIADHN CCN(C(=O)[C@H](C)N1CCC2(C1)CCCCC2)c1ccccc1 ZINC000584452271 337341462 /nfs/dbraw/zinc/34/14/62/337341462.db2.gz DGPKUADOVKEPLT-KRWDZBQOSA-N 0 3 314.473 4.084 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C1(C)CCCCCC1)c1ccco1 ZINC000584489752 337349433 /nfs/dbraw/zinc/34/94/33/337349433.db2.gz QFEVRLKKMKLQAL-CVEARBPZSA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C1(C)CCCCCC1)c1ccco1 ZINC000584489754 337349447 /nfs/dbraw/zinc/34/94/47/337349447.db2.gz QFEVRLKKMKLQAL-HZPDHXFCSA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C1(C)CCCCCC1)c1ccco1 ZINC000584489755 337349535 /nfs/dbraw/zinc/34/95/35/337349535.db2.gz QFEVRLKKMKLQAL-JKSUJKDBSA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1cc(OC)c(OC)cc1SC ZINC000584497432 337350238 /nfs/dbraw/zinc/35/02/38/337350238.db2.gz XNABKPQEFQFXKE-TZMCWYRMSA-N 0 3 309.475 4.189 20 0 DIADHN CCN(Cc1ccc2c(c1)CC(C)(C)O2)[C@@H](C)c1cccnc1 ZINC000584497283 337350318 /nfs/dbraw/zinc/35/03/18/337350318.db2.gz QEFFGEDPXKNSRQ-HNNXBMFYSA-N 0 3 310.441 4.378 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1coc(-c2ccc(F)cc2)n1 ZINC000219704051 337351312 /nfs/dbraw/zinc/35/13/12/337351312.db2.gz SUJLFWFACJZSHN-SUMWQHHRSA-N 0 3 302.393 4.491 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccc(Cl)s1 ZINC000036971980 337361549 /nfs/dbraw/zinc/36/15/49/337361549.db2.gz LXMTWJODXLTMBP-UHFFFAOYSA-N 0 3 310.850 4.084 20 0 DIADHN C[C@H](NCCc1ccccc1)c1sccc1Br ZINC000037202217 337362011 /nfs/dbraw/zinc/36/20/11/337362011.db2.gz SGDMNSYRGUGJAN-NSHDSACASA-N 0 3 310.260 4.404 20 0 DIADHN FC(F)(F)C[C@H]1CCN(CCCOc2ccc(Cl)cc2)C1 ZINC000584568967 337364919 /nfs/dbraw/zinc/36/49/19/337364919.db2.gz GMRYBGCCGSGTBK-GFCCVEGCSA-N 0 3 321.770 4.383 20 0 DIADHN Fc1ccc([C@H]2OCC[C@@H]2NCc2ccc(Cl)cc2)cc1F ZINC000583889866 337384321 /nfs/dbraw/zinc/38/43/21/337384321.db2.gz FLMZCOMWAQYLFZ-DLBZAZTESA-N 0 3 323.770 4.238 20 0 DIADHN Cc1cccc2c1OC[C@H](N[C@H](C)c1ccc3ccccc3n1)C2 ZINC000583901661 337384812 /nfs/dbraw/zinc/38/48/12/337384812.db2.gz MRWHLOKHWNEKQN-CRAIPNDOSA-N 0 3 318.420 4.198 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N(C)[C@H](C)c1cc2ccccc2o1 ZINC000532923460 337385326 /nfs/dbraw/zinc/38/53/26/337385326.db2.gz WDBVXFIQRZRNBM-LSDHHAIUSA-N 0 3 316.445 4.073 20 0 DIADHN CCN(CCc1ccccc1)[C@@H](C)c1nnc(-c2ccccc2)o1 ZINC000101726628 337386170 /nfs/dbraw/zinc/38/61/70/337386170.db2.gz SCHFYGDPVDFGJH-INIZCTEOSA-N 0 3 321.424 4.362 20 0 DIADHN C[C@H]1C[C@H](Nc2nc3ccccc3[nH]2)CCN1Cc1ccccc1 ZINC000301900371 337386341 /nfs/dbraw/zinc/38/63/41/337386341.db2.gz YZTWYLBVJXQJNG-DOTOQJQBSA-N 0 3 320.440 4.028 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cc(F)cc3cccnc32)CC1 ZINC000108395273 337387840 /nfs/dbraw/zinc/38/78/40/337387840.db2.gz AMSZFGVYAVGDDM-SFHVURJKSA-N 0 3 316.420 4.155 20 0 DIADHN Fc1ccc2c(c1)[nH]cc2C1CCN(Cc2ccccn2)CC1 ZINC000111260659 337389612 /nfs/dbraw/zinc/38/96/12/337389612.db2.gz QNMROBQEBGFISI-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)NCCN1[C@@H](C)CCC[C@@H]1C ZINC000520783605 337390367 /nfs/dbraw/zinc/39/03/67/337390367.db2.gz JEKPVZSHOXRJSI-STQMWFEESA-N 0 3 323.868 4.033 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCCOc1cccc(Cl)c1 ZINC000532925384 337393112 /nfs/dbraw/zinc/39/31/12/337393112.db2.gz YBULSWOLQMZRPT-AWEZNQCLSA-N 0 3 304.821 4.197 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@H]2c2ccsc2)cc1 ZINC000122808231 337397306 /nfs/dbraw/zinc/39/73/06/337397306.db2.gz KRBLKGLOBIVGED-KRWDZBQOSA-N 0 3 301.411 4.011 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@@H]2c2ccsc2)cc1 ZINC000122808009 337397365 /nfs/dbraw/zinc/39/73/65/337397365.db2.gz KRBLKGLOBIVGED-QGZVFWFLSA-N 0 3 301.411 4.011 20 0 DIADHN CC[C@H](NCc1ccc(-n2cccn2)cc1)c1ccc(F)cc1 ZINC000124060021 337403475 /nfs/dbraw/zinc/40/34/75/337403475.db2.gz VSTVFJRXIPKTJY-IBGZPJMESA-N 0 3 309.388 4.252 20 0 DIADHN Cc1nc(CCN[C@@H]2CCSc3ccc(F)cc32)sc1C ZINC000127672503 337421909 /nfs/dbraw/zinc/42/19/09/337421909.db2.gz FWNGNAKAPQEVGF-CQSZACIVSA-N 0 3 322.474 4.268 20 0 DIADHN CC[C@H](NCc1cnn(-c2ccccc2)c1)c1cccc(OC)c1 ZINC000129471033 337429561 /nfs/dbraw/zinc/42/95/61/337429561.db2.gz FPRCNFHPZUAPSD-FQEVSTJZSA-N 0 3 321.424 4.122 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H]1CCCc2ccc(C)cc21 ZINC000133058645 337444158 /nfs/dbraw/zinc/44/41/58/337444158.db2.gz GRZYWWROANDOLK-LJQANCHMSA-N 0 3 311.473 4.021 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc2c(s1)CCC2)c1c(C)nn(C)c1C ZINC000133764062 337446456 /nfs/dbraw/zinc/44/64/56/337446456.db2.gz MLVGGRMSGREWOZ-IAQYHMDHSA-N 0 3 317.502 4.389 20 0 DIADHN CC(C)COC1CCN(Cc2cccc(OC(C)C)c2)CC1 ZINC000054328568 337453355 /nfs/dbraw/zinc/45/33/55/337453355.db2.gz AALLXGQUZMOJCQ-UHFFFAOYSA-N 0 3 305.462 4.111 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCOC3(CCCCC3)C2)cs1 ZINC000136747481 337454944 /nfs/dbraw/zinc/45/49/44/337454944.db2.gz FVJMNNWSIYQGJV-AWEZNQCLSA-N 0 3 322.518 4.412 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CNCc2ccnn2C(C)C)cc1 ZINC000564103958 337454951 /nfs/dbraw/zinc/45/49/51/337454951.db2.gz WFSIRLRYKHWGIE-INIZCTEOSA-N 0 3 315.461 4.145 20 0 DIADHN Cc1cccc(CNCc2ccc(OC3CCCCC3)nc2)c1 ZINC000564106801 337455316 /nfs/dbraw/zinc/45/53/16/337455316.db2.gz COOMDYKQONCUGC-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1C)c1ccc2c(c1)OCCO2 ZINC000237008301 337467237 /nfs/dbraw/zinc/46/72/37/337467237.db2.gz UHDFQSTUUDSGLT-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000246012130 337501445 /nfs/dbraw/zinc/50/14/45/337501445.db2.gz KLRNGZOKRQJROJ-GOPGUHFVSA-N 0 3 320.795 4.055 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccncc1 ZINC000246028379 337504996 /nfs/dbraw/zinc/50/49/96/337504996.db2.gz MGFSQPBNUXAWJZ-JECHBYEQSA-N 0 3 320.795 4.055 20 0 DIADHN c1cn(Cc2ccc(CNCc3cccc(C4CC4)c3)cc2)cn1 ZINC000421323398 337526363 /nfs/dbraw/zinc/52/63/63/337526363.db2.gz GGYDIVUGFWVSTF-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN C[C@H](NCc1cccc(C2CC2)c1)c1ccc([S@@](C)=O)cc1 ZINC000421342438 337527565 /nfs/dbraw/zinc/52/75/65/337527565.db2.gz NLHGZDVHRUYDJM-RCDICMHDSA-N 0 3 313.466 4.152 20 0 DIADHN CC[C@H]1C[C@H](NCc2ccc(-c3ccc(F)cc3C)o2)CCO1 ZINC000421377005 337528701 /nfs/dbraw/zinc/52/87/01/337528701.db2.gz HCOIJRXHANFLKM-CVEARBPZSA-N 0 3 317.404 4.441 20 0 DIADHN CC[C@@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)CCO1 ZINC000421386535 337529915 /nfs/dbraw/zinc/52/99/15/337529915.db2.gz OFVYRFHJADJYPS-CHWSQXEVSA-N 0 3 321.770 4.406 20 0 DIADHN c1csc(CN(CCc2ccncc2)Cc2cccs2)c1 ZINC000073021563 337549320 /nfs/dbraw/zinc/54/93/20/337549320.db2.gz IBGYEJGYKJOWEC-UHFFFAOYSA-N 0 3 314.479 4.450 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H]4CCC[C@H]4C3)o2)cc1C ZINC000246743258 337554785 /nfs/dbraw/zinc/55/47/85/337554785.db2.gz VAPCERLSTCAPHW-XIRDDKMYSA-N 0 3 311.429 4.146 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)OC1(C)C)c1cc(F)c(F)c(F)c1 ZINC000542776578 337582308 /nfs/dbraw/zinc/58/23/08/337582308.db2.gz ZIVWSEWKUVCDSK-ZANVPECISA-N 0 3 301.352 4.101 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1cc(Cl)sc1Cl ZINC000251659909 337583686 /nfs/dbraw/zinc/58/36/86/337583686.db2.gz HYLSPUPASLEBOO-HLFFCZDVSA-N 0 3 306.258 4.273 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2NCc2nnc(C(C)C)s2)cc1 ZINC000572186466 337595725 /nfs/dbraw/zinc/59/57/25/337595725.db2.gz CELPLFLHBWOCAK-JKSUJKDBSA-N 0 3 315.486 4.396 20 0 DIADHN CC[C@@H](NCC(C)(C)C[C@H](C)O)c1cccc(Cl)c1F ZINC000161860478 337598160 /nfs/dbraw/zinc/59/81/60/337598160.db2.gz AVLFIGHNIHBWEG-SMDDNHRTSA-N 0 3 301.833 4.317 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CCCc3ccc(C)cc32)cc1 ZINC000536827311 337603824 /nfs/dbraw/zinc/60/38/24/337603824.db2.gz NWUMZHWTHZTNOR-NHCUHLMSSA-N 0 3 309.453 4.258 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000536826838 337604255 /nfs/dbraw/zinc/60/42/55/337604255.db2.gz LAHZRVKUXPIYHO-IFXJQAMLSA-N 0 3 311.425 4.350 20 0 DIADHN COC[C@H](NCCCc1cccs1)c1ccc(Cl)cc1 ZINC000572313125 337606182 /nfs/dbraw/zinc/60/61/82/337606182.db2.gz JIJMRGMSHMCHIT-INIZCTEOSA-N 0 3 309.862 4.311 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCCc3c2cnn3C)C(C)(C)C)c1 ZINC000162174027 337609871 /nfs/dbraw/zinc/60/98/71/337609871.db2.gz NYAAIHXKLXZITR-PKOBYXMFSA-N 0 3 311.473 4.483 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@@H](C)c2ccncc2Cl)cc1 ZINC000421828615 337646968 /nfs/dbraw/zinc/64/69/68/337646968.db2.gz DOPRYMJTJCMXDY-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@H](C)c2ccncc2Cl)cc1 ZINC000421828617 337646973 /nfs/dbraw/zinc/64/69/73/337646973.db2.gz DOPRYMJTJCMXDY-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3ccncc3Cl)nc21 ZINC000421829526 337647249 /nfs/dbraw/zinc/64/72/49/337647249.db2.gz IHEXHTJSADQJGD-LBPRGKRZSA-N 0 3 315.804 4.078 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1cc2cc(Cl)ccc2o1 ZINC000421853624 337650116 /nfs/dbraw/zinc/65/01/16/337650116.db2.gz JFSJKGMIQDVBQK-GFCCVEGCSA-N 0 3 307.821 4.432 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccncc1Cl)c1cccnc1 ZINC000421833231 337651667 /nfs/dbraw/zinc/65/16/67/337651667.db2.gz NKXGSSUGSXPDPC-CZUORRHYSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2F)CC1)c1ccncc1Cl ZINC000421835073 337651948 /nfs/dbraw/zinc/65/19/48/337651948.db2.gz LZGCYOXIFKIARU-LLVKDONJSA-N 0 3 322.786 4.396 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N[C@@H](C)c1cncc(F)c1 ZINC000421836786 337652267 /nfs/dbraw/zinc/65/22/67/337652267.db2.gz HPSLSOXIUULDEI-MNOVXSKESA-N 0 3 310.775 4.295 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccncc2Cl)C12CCCC2 ZINC000421836468 337652401 /nfs/dbraw/zinc/65/24/01/337652401.db2.gz RCCHQZADPDWPRI-UHOFOFEASA-N 0 3 308.853 4.123 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCC(F)(F)C2)C2CC2)c(F)c1 ZINC000421841062 337653385 /nfs/dbraw/zinc/65/33/85/337653385.db2.gz IAOMVQWMIWORRN-BLLLJJGKSA-N 0 3 313.363 4.453 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1Cl)[C@H]1Oc2ccccc2[C@@H]1C ZINC000421842853 337653631 /nfs/dbraw/zinc/65/36/31/337653631.db2.gz LSBFCMMJNGGPKE-JWXAPSBJSA-N 0 3 316.832 4.339 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1ccncc1Cl ZINC000421843280 337653869 /nfs/dbraw/zinc/65/38/69/337653869.db2.gz QAXRYRJSVQXGNW-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN COc1ccc([C@@H](NCC2(C(F)F)CC2)C(C)C)c(F)c1 ZINC000421849608 337654924 /nfs/dbraw/zinc/65/49/24/337654924.db2.gz CFCJCFRHBWUKSP-AWEZNQCLSA-N 0 3 301.352 4.166 20 0 DIADHN Fc1cc(CN[C@H]2CCc3cccnc3CC2)c(F)cc1Cl ZINC000421850737 337655018 /nfs/dbraw/zinc/65/50/18/337655018.db2.gz DZZWDHPMIHSYJU-ZDUSSCGKSA-N 0 3 322.786 4.050 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)N[C@H](C)c1cc2ccccc2o1 ZINC000422769354 337685788 /nfs/dbraw/zinc/68/57/88/337685788.db2.gz OSUWBTPAJLRAQE-GXTWGEPZSA-N 0 3 309.413 4.007 20 0 DIADHN Cc1cccc([C@H](C)NC(=O)C(C)(C)[C@@H](N)c2ccccc2)c1C ZINC000423209750 337704317 /nfs/dbraw/zinc/70/43/17/337704317.db2.gz VLWWWKMXFDJIAO-LPHOPBHVSA-N 0 3 324.468 4.207 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@H](c1cccnc1)C1CCC1 ZINC000423337995 337712693 /nfs/dbraw/zinc/71/26/93/337712693.db2.gz AQGYAFSDAOMTGJ-PMACEKPBSA-N 0 3 307.441 4.172 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1cccc(F)c1F ZINC000423338881 337712893 /nfs/dbraw/zinc/71/28/93/337712893.db2.gz AZYOSDMEMHREKE-APPDUMDISA-N 0 3 302.368 4.275 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1cccc(OC)c1 ZINC000423352928 337713844 /nfs/dbraw/zinc/71/38/44/337713844.db2.gz LAZJCIAGEJQJGP-WOJBJXKFSA-N 0 3 310.441 4.396 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000423359333 337714345 /nfs/dbraw/zinc/71/43/45/337714345.db2.gz UWWKJKQRJAVJSB-LIRRHRJNSA-N 0 3 310.441 4.396 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1N[C@@H]1CCCc2cc(N)ccc21 ZINC000423363375 337714531 /nfs/dbraw/zinc/71/45/31/337714531.db2.gz YOOFYGRTGMSJEK-TVNIXMEMSA-N 0 3 310.416 4.308 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1cccc2c1CCCN2 ZINC000423412968 337716302 /nfs/dbraw/zinc/71/63/02/337716302.db2.gz JCODSGUNAORYOX-RNODOKPDSA-N 0 3 310.416 4.207 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3c2CCCN3)c(C)c1 ZINC000423427007 337717079 /nfs/dbraw/zinc/71/70/79/337717079.db2.gz QBWCSIKHBDJLON-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCSc3c(F)cccc32)c1 ZINC000423474831 337721491 /nfs/dbraw/zinc/72/14/91/337721491.db2.gz HRYHXNZOAVQQKO-MRXNPFEDSA-N 0 3 302.418 4.043 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)c1 ZINC000423489012 337722496 /nfs/dbraw/zinc/72/24/96/337722496.db2.gz ZXAKJQZTVOBPGO-LBPRGKRZSA-N 0 3 324.346 4.327 20 0 DIADHN C[C@@H]1CCCN(C(=O)C[C@@H](N)c2ccccc2)c2ccccc21 ZINC000423783025 337727869 /nfs/dbraw/zinc/72/78/69/337727869.db2.gz NAKRVQMSMGDCPU-CRAIPNDOSA-N 0 3 308.425 4.007 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000423867157 337728894 /nfs/dbraw/zinc/72/88/94/337728894.db2.gz ADDGPCKYEOXUKR-VQIMIIECSA-N 0 3 324.468 4.207 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000536829573 337733710 /nfs/dbraw/zinc/73/37/10/337733710.db2.gz ZERGYFWFXVPWHS-PONJGIIJSA-N 0 3 315.482 4.453 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000536829576 337733840 /nfs/dbraw/zinc/73/38/40/337733840.db2.gz ZERGYFWFXVPWHS-WCJKSRRJSA-N 0 3 315.482 4.453 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000536832845 337734753 /nfs/dbraw/zinc/73/47/53/337734753.db2.gz MQBWNNLJOHRLJY-XPGWFJOJSA-N 0 3 309.453 4.429 20 0 DIADHN CC[C@@H](N[C@H](c1cccc(C)c1)c1ccccn1)C1CCOCC1 ZINC000536832834 337734836 /nfs/dbraw/zinc/73/48/36/337734836.db2.gz NLROEEVGCPDCSP-TZIWHRDSSA-N 0 3 324.468 4.274 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@H](CO)CC(C)(C)C)c1 ZINC000536837214 337735520 /nfs/dbraw/zinc/73/55/20/337735520.db2.gz FKCOIYPKEUPRFD-STQMWFEESA-N 0 3 315.404 4.044 20 0 DIADHN Cc1ccc(-c2cccc(C[NH2+]Cc3ncccc3[O-])c2)cc1 ZINC000527241139 337740217 /nfs/dbraw/zinc/74/02/17/337740217.db2.gz LXPKAONFSHFEAA-UHFFFAOYSA-N 0 3 304.393 4.052 20 0 DIADHN C[C@H](NCC(=O)N(C)c1ccccc1)c1cc2ccccc2s1 ZINC000527485014 337744433 /nfs/dbraw/zinc/74/44/33/337744433.db2.gz HEHVBAYLJAFAGM-AWEZNQCLSA-N 0 3 324.449 4.215 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@H](OC)c2ccc(F)cc2)o1 ZINC000536853474 337745722 /nfs/dbraw/zinc/74/57/22/337745722.db2.gz QWHZMHULEDGNOR-JCGVRSQUSA-N 0 3 305.393 4.408 20 0 DIADHN Clc1ccc(CCNCc2cccc3c2OCO3)c(Cl)c1 ZINC000036167766 337746122 /nfs/dbraw/zinc/74/61/22/337746122.db2.gz YFHCOAIFRRKGLV-UHFFFAOYSA-N 0 3 324.207 4.054 20 0 DIADHN C[C@@H](NCCOc1ccc(C(C)(C)C)cc1)c1cncc(F)c1 ZINC000536863111 337747015 /nfs/dbraw/zinc/74/70/15/337747015.db2.gz LQLFZQWVCMNEMQ-CQSZACIVSA-N 0 3 316.420 4.248 20 0 DIADHN CCSc1cc(CNCc2ccc(C(F)F)cc2)ccn1 ZINC000527524778 337748982 /nfs/dbraw/zinc/74/89/82/337748982.db2.gz BMDYEYQCSMUVBI-UHFFFAOYSA-N 0 3 308.397 4.421 20 0 DIADHN C[C@@H]1C[C@@H](C)N1Cc1c[nH]nc1-c1ccc(C(F)(F)F)cc1 ZINC000527529539 337749523 /nfs/dbraw/zinc/74/95/23/337749523.db2.gz AERGOFVWSKVNEL-GHMZBOCLSA-N 0 3 309.335 4.078 20 0 DIADHN CCc1ccc([C@H](CC)N[C@@H](CO)c2cccc(F)c2)cc1 ZINC000536870573 337752215 /nfs/dbraw/zinc/75/22/15/337752215.db2.gz PSABKXGTNZTGNI-OALUTQOASA-N 0 3 301.405 4.162 20 0 DIADHN O[C@H]1CCCC[C@H]1CCCNCc1ccsc1C(F)(F)F ZINC000527675872 337754958 /nfs/dbraw/zinc/75/49/58/337754958.db2.gz PNHWWCXOKICLIV-AAEUAGOBSA-N 0 3 321.408 4.188 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc2cc[nH]c2c1 ZINC000527681583 337755395 /nfs/dbraw/zinc/75/53/95/337755395.db2.gz CJZKXLBTULJBQP-ORAYPTAESA-N 0 3 312.388 4.173 20 0 DIADHN CC(C)CC(CC(C)C)NCc1csc(-c2cnn(C)c2)n1 ZINC000527651494 337756172 /nfs/dbraw/zinc/75/61/72/337756172.db2.gz DCZQHAGQUJOHAJ-UHFFFAOYSA-N 0 3 320.506 4.094 20 0 DIADHN Fc1ccc([C@H]2CC[C@@H](NCc3ccncc3Cl)C2)cc1 ZINC000527701839 337757624 /nfs/dbraw/zinc/75/76/24/337757624.db2.gz RYOLVVPHJDMSFU-XJKSGUPXSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1cccc(CCCNCc2c(F)ccc(F)c2Cl)n1 ZINC000527708268 337758075 /nfs/dbraw/zinc/75/80/75/337758075.db2.gz RSTZOUVLJWGPRE-UHFFFAOYSA-N 0 3 310.775 4.044 20 0 DIADHN CCc1nnc(CN[C@H]2CCC[C@@H](c3ccccc3)CC2)s1 ZINC000527710708 337758239 /nfs/dbraw/zinc/75/82/39/337758239.db2.gz LURQLEKJFNARSZ-CVEARBPZSA-N 0 3 315.486 4.307 20 0 DIADHN CC(C)n1cc(CNCc2ccc(-c3ccccc3)s2)cn1 ZINC000527737195 337759822 /nfs/dbraw/zinc/75/98/22/337759822.db2.gz WIJLCQUEYBZUKT-UHFFFAOYSA-N 0 3 311.454 4.482 20 0 DIADHN c1ccc([C@@H](NCc2ccc3ncccc3c2)C2CCC2)nc1 ZINC000527774397 337762889 /nfs/dbraw/zinc/76/28/89/337762889.db2.gz UIUPDRDIRNBVMA-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN Cn1cnc2cc(CN[C@@H]3CCc4cc(Cl)ccc43)ccc21 ZINC000527795371 337764492 /nfs/dbraw/zinc/76/44/92/337764492.db2.gz FMPMDTRNKAPZPL-MRXNPFEDSA-N 0 3 311.816 4.004 20 0 DIADHN Cc1cccc([C@@H](NCc2ccc(N3CCCC3)nc2)C(C)C)c1 ZINC000527809793 337765631 /nfs/dbraw/zinc/76/56/31/337765631.db2.gz KBBSAERVWJUFIX-NRFANRHFSA-N 0 3 323.484 4.477 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H]1CC12CC2)c1nc(C(F)(F)F)cs1 ZINC000527865240 337766263 /nfs/dbraw/zinc/76/62/63/337766263.db2.gz MZOXZRMWTVBSGM-GUBZILKMSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@H](N[C@H]1CCc2cccc(Cl)c2C1)c1ncccc1F ZINC000527827959 337767273 /nfs/dbraw/zinc/76/72/73/337767273.db2.gz LILFOQNNAGFABC-AAEUAGOBSA-N 0 3 304.796 4.082 20 0 DIADHN CCCc1ccc(CN[C@@H](c2ccc(OC)nc2)C2CC2)s1 ZINC000527840763 337767990 /nfs/dbraw/zinc/76/79/90/337767990.db2.gz CTVRKONCOUZRTD-GOSISDBHSA-N 0 3 316.470 4.345 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C)c(Cl)c2)C2CC2)cn1 ZINC000527842326 337768095 /nfs/dbraw/zinc/76/80/95/337768095.db2.gz XCBKZMOESJXZFF-GOSISDBHSA-N 0 3 316.832 4.293 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](C)c2cccc(C(C)C)c2)cc1 ZINC000527847543 337768547 /nfs/dbraw/zinc/76/85/47/337768547.db2.gz HHVGFVDSXSMSSC-MRXNPFEDSA-N 0 3 324.468 4.411 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](c2cccc(C)c2)C(C)C)c1 ZINC000527851402 337768908 /nfs/dbraw/zinc/76/89/08/337768908.db2.gz KIQFIMADTZMERJ-HXUWFJFHSA-N 0 3 324.468 4.232 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)[C@H]2CCCC23CC3)c1 ZINC000527888009 337771841 /nfs/dbraw/zinc/77/18/41/337771841.db2.gz VXAHIYDRPUFIRL-QGZVFWFLSA-N 0 3 300.446 4.047 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12 ZINC000527941667 337773436 /nfs/dbraw/zinc/77/34/36/337773436.db2.gz HRHQHOSDTOCPOI-GDKNAVJSSA-N 0 3 312.844 4.286 20 0 DIADHN COc1cccc([C@@H](CN[C@@H](C)c2ccc(F)c(F)c2)OC)c1 ZINC000527916451 337774671 /nfs/dbraw/zinc/77/46/71/337774671.db2.gz AIKDMZARKRBHAJ-KPZWWZAWSA-N 0 3 321.367 4.012 20 0 DIADHN Cc1ncccc1CN[C@@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000527984282 337777685 /nfs/dbraw/zinc/77/76/85/337777685.db2.gz FLWSAXWKYIIOGS-STQMWFEESA-N 0 3 311.454 4.282 20 0 DIADHN Cn1cc2ccc(CN[C@@H]3CCc4c3cccc4Cl)cc2n1 ZINC000527967063 337780937 /nfs/dbraw/zinc/78/09/37/337780937.db2.gz WVIQOFLRFJCVTD-QGZVFWFLSA-N 0 3 311.816 4.004 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CCC1)c1ccc2c(c1)CCO2 ZINC000527966783 337780967 /nfs/dbraw/zinc/78/09/67/337780967.db2.gz LHRPZQGNLXJWCP-XOBRGWDASA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@@H](NCc1ccc2cn(C)nc2c1)c1cc(C)ccc1OC ZINC000527968258 337781108 /nfs/dbraw/zinc/78/11/08/337781108.db2.gz YTJLBJYBWKVYCW-GOSISDBHSA-N 0 3 323.440 4.131 20 0 DIADHN COc1ccc([C@H](N[C@@H]2C[C@H](C)c3ccccc32)C2CC2)cn1 ZINC000528043410 337785637 /nfs/dbraw/zinc/78/56/37/337785637.db2.gz ONTNFLCUSRIUBZ-CYFNAFFESA-N 0 3 308.425 4.379 20 0 DIADHN Cc1cc([C@H](NCc2cncn2C(C)C)C2CC2)ccc1F ZINC000424165111 337788687 /nfs/dbraw/zinc/78/86/87/337788687.db2.gz YCBMHUUEUISUFT-GOSISDBHSA-N 0 3 301.409 4.152 20 0 DIADHN C[C@H](NCCn1cccn1)c1ccc(-c2ccccc2F)s1 ZINC000536874845 337788750 /nfs/dbraw/zinc/78/87/50/337788750.db2.gz FOBXFSFMXUMNSZ-ZDUSSCGKSA-N 0 3 315.417 4.102 20 0 DIADHN CC(C)n1cncc1CNC1(C(C)(C)c2ccc(F)cc2)CC1 ZINC000424167864 337790500 /nfs/dbraw/zinc/79/05/00/337790500.db2.gz FDQCHDAQTDEMOW-UHFFFAOYSA-N 0 3 315.436 4.203 20 0 DIADHN CCCC[C@H](COC)N[C@H](c1nc2ccccc2[nH]1)C(C)C ZINC000536876461 337792457 /nfs/dbraw/zinc/79/24/57/337792457.db2.gz LGKHFMYHTHNOPC-PBHICJAKSA-N 0 3 303.450 4.055 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN(CC1CC1)CC1CC1 ZINC000533058912 337806781 /nfs/dbraw/zinc/80/67/81/337806781.db2.gz VIZQQLRCRWTARW-UHFFFAOYSA-N 0 3 302.443 4.334 20 0 DIADHN COC(=O)CC1CC(N[C@H](c2ccccc2)c2ccc(C)cc2)C1 ZINC000424260954 337813187 /nfs/dbraw/zinc/81/31/87/337813187.db2.gz OKDSYQJHMVAEOU-VFIGECHUSA-N 0 3 323.436 4.016 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000045094010 337818962 /nfs/dbraw/zinc/81/89/62/337818962.db2.gz OYYHIAAJUKASFT-CYBMUJFWSA-N 0 3 301.377 4.001 20 0 DIADHN Brc1ccc2c(c1)[C@@H](N[C@@H]1CC13CC3)CCS2 ZINC000424315279 337819730 /nfs/dbraw/zinc/81/97/30/337819730.db2.gz DMKGJWSQQUPXDH-WCQYABFASA-N 0 3 310.260 4.128 20 0 DIADHN CC[C@@H](NCCC(C)(C)C(=O)OC(C)(C)C)c1ccc(F)cn1 ZINC000424336865 337822753 /nfs/dbraw/zinc/82/27/53/337822753.db2.gz ZDULZNCWZBALQC-CQSZACIVSA-N 0 3 324.440 4.019 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCC(C)(C)c3ccccc32)c1 ZINC000580492342 337828606 /nfs/dbraw/zinc/82/86/06/337828606.db2.gz FKZDMLQJLOZZFX-UHFFFAOYSA-N 0 3 322.452 4.076 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc(OC(F)F)cc1 ZINC000191659184 337829614 /nfs/dbraw/zinc/82/96/14/337829614.db2.gz AXPPEGPPFWYEID-INIZCTEOSA-N 0 3 319.351 4.161 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N[C@@H](c2ccccc2)C2CCC2)c1 ZINC000424434498 337833189 /nfs/dbraw/zinc/83/31/89/337833189.db2.gz JXVOXDBORCVNBL-IBGZPJMESA-N 0 3 323.440 4.117 20 0 DIADHN Cc1ccc(-c2ccc(CNCCCc3ccccn3)o2)cc1 ZINC000533253726 337837255 /nfs/dbraw/zinc/83/72/55/337837255.db2.gz ZWKQCPNASBFUQH-UHFFFAOYSA-N 0 3 306.409 4.372 20 0 DIADHN Cc1ncsc1CN[C@@H]1CCCC[C@H]1Oc1cccc(C)c1 ZINC000533253907 337837266 /nfs/dbraw/zinc/83/72/66/337837266.db2.gz WAKBPQHTAZOYNS-IAGOWNOFSA-N 0 3 316.470 4.240 20 0 DIADHN Fc1ccc(/C=C/CNC2(c3ccccc3)CCOCC2)cc1 ZINC000533361173 337843463 /nfs/dbraw/zinc/84/34/63/337843463.db2.gz SHPQMYHAGUWINN-SNAWJCMRSA-N 0 3 311.400 4.134 20 0 DIADHN C[C@@H](O)CCN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000119012926 337852635 /nfs/dbraw/zinc/85/26/35/337852635.db2.gz FYBNQVKQDCHRSA-ZIAGYGMSSA-N 0 3 303.377 4.040 20 0 DIADHN COCCOc1ccc([C@@H](C)NCc2ccc(C)c(F)c2)cc1 ZINC000119075473 337854073 /nfs/dbraw/zinc/85/40/73/337854073.db2.gz XOLMWZCCXXSGGK-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(OC)cc1)c1ccco1 ZINC000119110580 337854495 /nfs/dbraw/zinc/85/44/95/337854495.db2.gz HEEFDXVYHJSSDY-CRAIPNDOSA-N 0 3 316.445 4.022 20 0 DIADHN CCc1ccc([C@H](NCc2cccnc2N(C)C)C(C)C)cc1 ZINC000536962363 337858560 /nfs/dbraw/zinc/85/85/60/337858560.db2.gz MJUBRIGOOPLRQE-LJQANCHMSA-N 0 3 311.473 4.197 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1ccc(OC(F)F)cc1 ZINC000119926293 337866344 /nfs/dbraw/zinc/86/63/44/337866344.db2.gz DZWAFQWEYCCLBF-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(N(C)C)c2)cc1Cl ZINC000119859056 337866696 /nfs/dbraw/zinc/86/66/96/337866696.db2.gz FYCUJPAVQGJCFM-CYBMUJFWSA-N 0 3 318.848 4.265 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](CO)CC1)c1ccc(Cl)cc1Cl ZINC000120214933 337871468 /nfs/dbraw/zinc/87/14/68/337871468.db2.gz DCDPNQXVQDIHQH-NQBHXWOUSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(F)cc1F ZINC000120304769 337873746 /nfs/dbraw/zinc/87/37/46/337873746.db2.gz ZNKWDKIHQFBOGF-GUYCJALGSA-N 0 3 320.383 4.046 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1cc(F)ccc1F ZINC000120307210 337873846 /nfs/dbraw/zinc/87/38/46/337873846.db2.gz YHIHBIYYVUFJNU-GUYCJALGSA-N 0 3 320.383 4.046 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H]2CCc3c2cccc3OC)c1 ZINC000120591160 337877900 /nfs/dbraw/zinc/87/79/00/337877900.db2.gz WGNWTPJZGOVGJH-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000090965977 337887572 /nfs/dbraw/zinc/88/75/72/337887572.db2.gz NNDQODBDGBXZBL-MCIONIFRSA-N 0 3 316.470 4.417 20 0 DIADHN CC(C)(C)c1ncc(CNCCCOC2CCCCC2)s1 ZINC000059180870 337888681 /nfs/dbraw/zinc/88/86/81/337888681.db2.gz HOSLSHDTYNXQDQ-UHFFFAOYSA-N 0 3 310.507 4.270 20 0 DIADHN CC[C@@H](CSC)N[C@@H](CC)c1ccccc1OC(F)F ZINC000537092311 337889138 /nfs/dbraw/zinc/88/91/38/337889138.db2.gz RSMAFSGGSYUGIT-AAEUAGOBSA-N 0 3 303.418 4.470 20 0 DIADHN CC(C)[C@H](NCc1cccc2c1OCCCO2)c1ccccc1 ZINC000121634246 337896827 /nfs/dbraw/zinc/89/68/27/337896827.db2.gz MGVVOBJACMSJQJ-IBGZPJMESA-N 0 3 311.425 4.335 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccc(OC)cc1 ZINC000121767672 337899475 /nfs/dbraw/zinc/89/94/75/337899475.db2.gz KQYYSAUYUJHWQQ-DYVFJYSZSA-N 0 3 313.397 4.226 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1cc2c(s1)CCC2 ZINC000122071305 337905921 /nfs/dbraw/zinc/90/59/21/337905921.db2.gz XRAMUJIVDPXQMH-CYBMUJFWSA-N 0 3 301.455 4.234 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1cc2c(s1)CCC2 ZINC000122071152 337905947 /nfs/dbraw/zinc/90/59/47/337905947.db2.gz XRAMUJIVDPXQMH-ZDUSSCGKSA-N 0 3 301.455 4.234 20 0 DIADHN COC(C)(C)C[C@@H](C)N[C@H](C)c1ccc(F)cc1OC(F)F ZINC000122079983 337906045 /nfs/dbraw/zinc/90/60/45/337906045.db2.gz TVQJSTKYRVRFFE-GHMZBOCLSA-N 0 3 319.367 4.281 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](c3ccccc3)C3CC3)c(C)n2n1 ZINC000122211184 337909868 /nfs/dbraw/zinc/90/98/68/337909868.db2.gz LGPWISYPVFHOTL-XOBRGWDASA-N 0 3 320.440 4.148 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)C1CC1 ZINC000537292231 337928458 /nfs/dbraw/zinc/92/84/58/337928458.db2.gz XDFBBKYZRVEPPK-QFBILLFUSA-N 0 3 316.489 4.070 20 0 DIADHN CO[C@@H]1[C@H](C)[C@@H](NCc2cnc(-c3ccsc3)s2)C1(C)C ZINC000537386264 337934462 /nfs/dbraw/zinc/93/44/62/337934462.db2.gz IYCFIZPJBXOEOY-LERXQTSPSA-N 0 3 322.499 4.021 20 0 DIADHN Cc1cc(CN2CCC(OCC3CCCC3)CC2)ccc1F ZINC000537660776 337957293 /nfs/dbraw/zinc/95/72/93/337957293.db2.gz GXSOPIIRKKTXLI-UHFFFAOYSA-N 0 3 305.437 4.305 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](C)c1nc2c(s1)CCCC2 ZINC000537883479 337964425 /nfs/dbraw/zinc/96/44/25/337964425.db2.gz SWQXXFIDRLMCGG-CHWSQXEVSA-N 0 3 304.459 4.287 20 0 DIADHN CC(C)CNCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000061801372 337965263 /nfs/dbraw/zinc/96/52/63/337965263.db2.gz LUDQBWDAAWRWRM-HNNXBMFYSA-N 0 3 300.471 4.161 20 0 DIADHN Clc1ccsc1CNCCc1cccc2cccnc21 ZINC000312400154 337969027 /nfs/dbraw/zinc/96/90/27/337969027.db2.gz PXSXPZBHPISQCN-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN C[C@@H]1CSC[C@@H]1N[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000514910823 337974548 /nfs/dbraw/zinc/97/45/48/337974548.db2.gz CJCGMFDUWGHDID-DIOULYMOSA-N 0 3 307.459 4.318 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@@H]2CCCCC23CCCC3)s1 ZINC000314959652 337976479 /nfs/dbraw/zinc/97/64/79/337976479.db2.gz OGDMWJRMARQOOO-GXTWGEPZSA-N 0 3 322.474 4.083 20 0 DIADHN CCCCOCCN1CCC(c2nc3ccccc3s2)CC1 ZINC000515780151 337997076 /nfs/dbraw/zinc/99/70/76/337997076.db2.gz XBZDAHLMOIDLTR-UHFFFAOYSA-N 0 3 318.486 4.292 20 0 DIADHN COc1ccc(CNC2C[C@H](C)C[C@@H](C)C2)c(Cl)c1OC ZINC000084461645 338002452 /nfs/dbraw/zinc/00/24/52/338002452.db2.gz ZDVOKDIOFGLWRG-VXGBXAGGSA-N 0 3 311.853 4.272 20 0 DIADHN COc1ccc(CNC2C[C@@H](C)C[C@H](C)C2)c(Cl)c1OC ZINC000084461644 338002519 /nfs/dbraw/zinc/00/25/19/338002519.db2.gz ZDVOKDIOFGLWRG-RYUDHWBXSA-N 0 3 311.853 4.272 20 0 DIADHN Cc1nc2ccccn2c1CN(C)[C@H]1CCCc2ccccc21 ZINC000516100195 338004523 /nfs/dbraw/zinc/00/45/23/338004523.db2.gz RSJHKJNBUSQZRW-SFHVURJKSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccc(F)cc2)C[C@H](C)O1 ZINC000516241964 338008447 /nfs/dbraw/zinc/00/84/47/338008447.db2.gz DLQKWUHTARBYEH-BWMZKYQQSA-N 0 3 313.416 4.461 20 0 DIADHN CN1CC[C@@H](NCc2ccccc2F)[C@@H]1c1ccc(Cl)cc1 ZINC000516264975 338009089 /nfs/dbraw/zinc/00/90/89/338009089.db2.gz YTHAGKZIKLNBHC-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000516267954 338009229 /nfs/dbraw/zinc/00/92/29/338009229.db2.gz RHQUSBKSQSIYFM-SYNHAJSKSA-N 0 3 319.452 4.441 20 0 DIADHN CC(C)[C@@H](C)NC(=O)CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000516309189 338009533 /nfs/dbraw/zinc/00/95/33/338009533.db2.gz KNBXKVRRCCCLBO-OXQOHEQNSA-N 0 3 324.468 4.137 20 0 DIADHN COCC1(CCN[C@@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC000516290797 338009997 /nfs/dbraw/zinc/00/99/97/338009997.db2.gz WUFGUDPSIKGGOT-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1ccc(Cl)cc1Cl ZINC000085629232 338010883 /nfs/dbraw/zinc/01/08/83/338010883.db2.gz RDVIBGMGCCBDNX-GGZSPTBLSA-N 0 3 314.256 4.458 20 0 DIADHN C[C@@H](NCc1cc(-c2cccnc2)n[nH]1)c1cccc(C2CC2)c1 ZINC000516393104 338011779 /nfs/dbraw/zinc/01/17/79/338011779.db2.gz PZBZWBLFODRKMA-CQSZACIVSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccco1)C1(c2ccccc2)CCCC1 ZINC000516508235 338014034 /nfs/dbraw/zinc/01/40/34/338014034.db2.gz CPYXUIVTWHSINJ-SJLPKXTDSA-N 0 3 313.441 4.193 20 0 DIADHN Cc1ccc(F)c(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)c1 ZINC000445451884 338016601 /nfs/dbraw/zinc/01/66/01/338016601.db2.gz LRJIKHQZFQQHJY-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCCC(=O)OC(C)(C)C)oc2c1 ZINC000426031799 338019810 /nfs/dbraw/zinc/01/98/10/338019810.db2.gz UQUQBOUQBPNIOA-CYBMUJFWSA-N 0 3 303.402 4.124 20 0 DIADHN CCc1ccc(C2=CCN(C/C=C/c3ccncc3)CC2)cc1 ZINC000426149964 338021402 /nfs/dbraw/zinc/02/14/02/338021402.db2.gz CVRBJSDZYWPAIF-ONEGZZNKSA-N 0 3 304.437 4.447 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)o1 ZINC000426295083 338025131 /nfs/dbraw/zinc/02/51/31/338025131.db2.gz MCLWRKQMRPOVPA-QZTJIDSGSA-N 0 3 312.457 4.437 20 0 DIADHN CCCc1nc(C)c(CN[C@H](CCC)Cc2ccccc2)o1 ZINC000426298321 338025297 /nfs/dbraw/zinc/02/52/97/338025297.db2.gz KHMJUZNDUPQPSF-QGZVFWFLSA-N 0 3 300.446 4.437 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2ccc3c(c2)CCC3)s1 ZINC000426301139 338025510 /nfs/dbraw/zinc/02/55/10/338025510.db2.gz LTIRTGLMPLDZQF-CYBMUJFWSA-N 0 3 315.486 4.044 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](C)[C@H]1OCCc2sccc21 ZINC000426308662 338026055 /nfs/dbraw/zinc/02/60/55/338026055.db2.gz FWNLYFHLSGJDQO-SLEUVZQESA-N 0 3 307.484 4.471 20 0 DIADHN C[C@H](CCCCO)NCc1ccc(-c2ccccc2)cc1F ZINC000426310129 338026176 /nfs/dbraw/zinc/02/61/76/338026176.db2.gz JYJKNBPWSOJZHK-OAHLLOKOSA-N 0 3 301.405 4.133 20 0 DIADHN Cc1cc([C@H](C)NCCc2nnc(C(C)C)s2)cc(C)c1F ZINC000426314353 338026477 /nfs/dbraw/zinc/02/64/77/338026477.db2.gz PQPQYVZLDIZTTO-ZDUSSCGKSA-N 0 3 321.465 4.311 20 0 DIADHN COCC(C)(C)CN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000426326274 338027015 /nfs/dbraw/zinc/02/70/15/338027015.db2.gz XXXSKNHDCQZYQP-LJQANCHMSA-N 0 3 324.424 4.179 20 0 DIADHN CCc1nc(C)c(CN[C@H]2CCC[C@@H]2CCc2ccccc2)o1 ZINC000426332215 338027331 /nfs/dbraw/zinc/02/73/31/338027331.db2.gz CBXRJCSQIWURAH-MSOLQXFVSA-N 0 3 312.457 4.437 20 0 DIADHN Cc1ccoc1CN[C@@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000426331697 338027406 /nfs/dbraw/zinc/02/74/06/338027406.db2.gz ARLLIVJKHRMLRW-OLZOCXBDSA-N 0 3 300.427 4.480 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](Cc2ccc(C)cc2)C2CC2)o1 ZINC000426340163 338027815 /nfs/dbraw/zinc/02/78/15/338027815.db2.gz PFUXOYYIRAQMEV-SFHVURJKSA-N 0 3 312.457 4.355 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2ccc(F)cc2F)o1 ZINC000426349062 338028289 /nfs/dbraw/zinc/02/82/89/338028289.db2.gz CPEFZUBOQBVQFL-LBPRGKRZSA-N 0 3 322.399 4.325 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](Cc2cccc(C)c2)C2CC2)o1 ZINC000426352931 338028638 /nfs/dbraw/zinc/02/86/38/338028638.db2.gz RYWKLWKMFBURAC-SFHVURJKSA-N 0 3 312.457 4.355 20 0 DIADHN COCC(C)(C)CN(CCC(F)(F)F)Cc1ccccc1 ZINC000426373839 338029457 /nfs/dbraw/zinc/02/94/57/338029457.db2.gz VVUWULDZULUFNH-UHFFFAOYSA-N 0 3 303.368 4.114 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1cc(-n2ccnc2)cs1 ZINC000426374156 338029473 /nfs/dbraw/zinc/02/94/73/338029473.db2.gz VVEBFBOIPIDEOS-YBEGLDIGSA-N 0 3 323.465 4.469 20 0 DIADHN CC(=O)Nc1ccc(CN(C)C/C(C)=C\c2ccccc2)cc1 ZINC000426376465 338029562 /nfs/dbraw/zinc/02/95/62/338029562.db2.gz JCWCMGQFQYKIGE-SSZFMOIBSA-N 0 3 308.425 4.180 20 0 DIADHN CCN(C)c1ccc(CN(C)C/C(C)=C\c2ccccc2)cn1 ZINC000426383443 338029764 /nfs/dbraw/zinc/02/97/64/338029764.db2.gz VMOUZIPWRUBIST-LGMDPLHJSA-N 0 3 309.457 4.073 20 0 DIADHN CCN(C)c1ccc(CN(C)C/C(C)=C/c2ccccc2)cn1 ZINC000426383442 338029794 /nfs/dbraw/zinc/02/97/94/338029794.db2.gz VMOUZIPWRUBIST-GHRIWEEISA-N 0 3 309.457 4.073 20 0 DIADHN CCCCOC1CCN(Cc2ccc3nc(C)sc3c2)CC1 ZINC000426413727 338031313 /nfs/dbraw/zinc/03/13/13/338031313.db2.gz YCYHMFODFMWYAC-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@H]3CCC[C@H]32)cs1 ZINC000426526633 338034127 /nfs/dbraw/zinc/03/41/27/338034127.db2.gz UWENPEMYHTUZQK-MLGOLLRUSA-N 0 3 302.418 4.324 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@H]3CCC[C@@H]32)cs1 ZINC000426526634 338034169 /nfs/dbraw/zinc/03/41/69/338034169.db2.gz UWENPEMYHTUZQK-WBMJQRKESA-N 0 3 302.418 4.324 20 0 DIADHN COc1c(O)cccc1CN1C[C@H](c2ccc(C)cc2)C[C@H]1C ZINC000426541918 338034452 /nfs/dbraw/zinc/03/44/52/338034452.db2.gz SOBFVAGNMCIYKP-CRAIPNDOSA-N 0 3 311.425 4.087 20 0 DIADHN CCCc1nc(C)c(CN(C)CCc2ccc(Cl)cc2)o1 ZINC000428219884 338043014 /nfs/dbraw/zinc/04/30/14/338043014.db2.gz PZEUXFWVHMPTOQ-UHFFFAOYSA-N 0 3 306.837 4.263 20 0 DIADHN CC(C)c1nc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)n[nH]1 ZINC000428227797 338043164 /nfs/dbraw/zinc/04/31/64/338043164.db2.gz KKCACEHAOIVEPT-QWHCGFSZSA-N 0 3 320.868 4.206 20 0 DIADHN Cc1cc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)ncn1 ZINC000428231749 338043316 /nfs/dbraw/zinc/04/33/16/338043316.db2.gz WSDCRSKXWWLJQI-ZIAGYGMSSA-N 0 3 303.837 4.062 20 0 DIADHN CCc1nc(C)c(CN(C)[C@@H](C)Cc2ccc(Cl)cc2)o1 ZINC000428231520 338043405 /nfs/dbraw/zinc/04/34/05/338043405.db2.gz KOHZCAJBFWUEPB-LBPRGKRZSA-N 0 3 306.837 4.262 20 0 DIADHN CCc1nc(C)c(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)o1 ZINC000428250265 338044277 /nfs/dbraw/zinc/04/42/77/338044277.db2.gz ZEHABYNEPUZBPZ-DOMZBBRYSA-N 0 3 302.393 4.063 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2oc(CC)nc2C)C12CCCCC2 ZINC000428255855 338044698 /nfs/dbraw/zinc/04/46/98/338044698.db2.gz LWVBGXBESHOLJC-IAGOWNOFSA-N 0 3 320.477 4.105 20 0 DIADHN CC(C)O[C@@H](CN(Cc1cccnc1)C(C)C)c1ccccc1 ZINC000428296422 338046135 /nfs/dbraw/zinc/04/61/35/338046135.db2.gz WURSVTPLTUCWSE-FQEVSTJZSA-N 0 3 312.457 4.458 20 0 DIADHN CC(C)O[C@@H](CN(Cc1ccncc1)C1CC1)c1ccccc1 ZINC000428312844 338046789 /nfs/dbraw/zinc/04/67/89/338046789.db2.gz XNUWXPSDGMWHSN-FQEVSTJZSA-N 0 3 310.441 4.212 20 0 DIADHN CCc1nc(C)c(CN2CCC[C@@H](c3ccc(F)cc3)CC2)o1 ZINC000428320772 338047134 /nfs/dbraw/zinc/04/71/34/338047134.db2.gz VYOXHYRNDMOTCF-OAHLLOKOSA-N 0 3 316.420 4.454 20 0 DIADHN CC(C)O[C@@H](CN(C)CCc1ccccc1O)c1ccccc1 ZINC000428346126 338048059 /nfs/dbraw/zinc/04/80/59/338048059.db2.gz MXMXYBNFIBAATM-FQEVSTJZSA-N 0 3 313.441 4.033 20 0 DIADHN CCc1cnccc1[C@H](C)NC[C@@H](OC(C)C)c1ccccc1 ZINC000428344578 338048128 /nfs/dbraw/zinc/04/81/28/338048128.db2.gz AOXYEYUVJCWZMY-OXJNMPFZSA-N 0 3 312.457 4.461 20 0 DIADHN Cc1ccccc1[C@H](NCc1n[nH]c(C(C)C)n1)C(C)(C)C ZINC000428359836 338048700 /nfs/dbraw/zinc/04/87/00/338048700.db2.gz JPDHPAMTVMNLOG-INIZCTEOSA-N 0 3 300.450 4.114 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1N(C)Cc1oc(C(C)C)nc1C ZINC000428383249 338049631 /nfs/dbraw/zinc/04/96/31/338049631.db2.gz UTOFMQDUNBGDLK-JKSUJKDBSA-N 0 3 308.466 4.132 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1N(C)Cc1oc(C(C)C)nc1C ZINC000428383248 338049684 /nfs/dbraw/zinc/04/96/84/338049684.db2.gz UTOFMQDUNBGDLK-HZPDHXFCSA-N 0 3 308.466 4.132 20 0 DIADHN CC(C)O[C@H](CN1CCC(OC(C)(C)C)CC1)c1ccccc1 ZINC000428392276 338050069 /nfs/dbraw/zinc/05/00/69/338050069.db2.gz YZPSUVMZQOPPRS-LJQANCHMSA-N 0 3 319.489 4.432 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@H](C)Cc2ccccc2)cs1 ZINC000428401236 338050188 /nfs/dbraw/zinc/05/01/88/338050188.db2.gz KYHCJIHBMDRTRM-RDTXWAMCSA-N 0 3 300.471 4.467 20 0 DIADHN Cc1cnc([C@H](C)N(C)CCc2cccc3ccccc32)cn1 ZINC000428427027 338051003 /nfs/dbraw/zinc/05/10/03/338051003.db2.gz ZJVCFMAQFLIIKM-INIZCTEOSA-N 0 3 305.425 4.174 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@H](OC(C)C)c2ccccc2)on1 ZINC000428451403 338052286 /nfs/dbraw/zinc/05/22/86/338052286.db2.gz ATLDHQBLSAIPNG-MJGOQNOKSA-N 0 3 314.429 4.286 20 0 DIADHN CCc1nc(C)c(CN2CCC(CCc3ccccc3)CC2)o1 ZINC000428454971 338052808 /nfs/dbraw/zinc/05/28/08/338052808.db2.gz CJKGEZORXKHTJR-UHFFFAOYSA-N 0 3 312.457 4.390 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)[C@@H]2CCCC[C@H]2O)o1 ZINC000428457404 338052933 /nfs/dbraw/zinc/05/29/33/338052933.db2.gz AOKGFAUCKQYDRY-QZTJIDSGSA-N 0 3 317.404 4.129 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCC[C@H]1Cc1cccc(F)c1 ZINC000428463042 338053444 /nfs/dbraw/zinc/05/34/44/338053444.db2.gz VHHHKRZFSUZJDV-KRWDZBQOSA-N 0 3 316.420 4.453 20 0 DIADHN COc1cccc(CN(C)C[C@@H](OC(C)C)c2ccccc2)c1 ZINC000428465014 338053489 /nfs/dbraw/zinc/05/34/89/338053489.db2.gz SBLYMNKAOSUZPW-HXUWFJFHSA-N 0 3 313.441 4.293 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(c3c[nH]c4ccccc43)CC2)cn1 ZINC000428486383 338054744 /nfs/dbraw/zinc/05/47/44/338054744.db2.gz PDJQTVXOQTTZJA-OAHLLOKOSA-N 0 3 320.440 4.207 20 0 DIADHN CCOc1cccc(CN[C@@H]2CC23CCCC3)c1OC(F)F ZINC000428548165 338055994 /nfs/dbraw/zinc/05/59/94/338055994.db2.gz OESNRLGYDPQKEW-CQSZACIVSA-N 0 3 311.372 4.109 20 0 DIADHN Oc1cccc2c1C[C@H](NCc1c(Cl)oc3ccccc31)C2 ZINC000428555248 338056130 /nfs/dbraw/zinc/05/61/30/338056130.db2.gz AFDVMQSJCNRZOS-GFCCVEGCSA-N 0 3 313.784 4.049 20 0 DIADHN CCOC1(C)CCN(Cc2ccccc2OCC(C)C)CC1 ZINC000428708190 338058947 /nfs/dbraw/zinc/05/89/47/338058947.db2.gz JCUBNVZYLSAEST-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN Cc1ccc(CC2CCN([C@H](C)c3cnccn3)CC2)cc1C ZINC000428729344 338059898 /nfs/dbraw/zinc/05/98/98/338059898.db2.gz HTSPBVJANRJMRU-QGZVFWFLSA-N 0 3 309.457 4.109 20 0 DIADHN Cc1cc(F)ccc1C1CCN(Cc2cnc3ccccn23)CC1 ZINC000428738442 338060036 /nfs/dbraw/zinc/06/00/36/338060036.db2.gz ODOWQORMROUZGV-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN Cc1cccc(CN(C)Cc2cnc3c(cnn3C(C)C)c2)c1C ZINC000428746007 338060320 /nfs/dbraw/zinc/06/03/20/338060320.db2.gz RLGJVZLWDZLNQY-UHFFFAOYSA-N 0 3 322.456 4.261 20 0 DIADHN CC(C)CSCCCNCc1nc2ccc(F)cc2s1 ZINC000428852400 338062303 /nfs/dbraw/zinc/06/23/03/338062303.db2.gz UCJBFLXQMXESOF-UHFFFAOYSA-N 0 3 312.479 4.304 20 0 DIADHN Fc1ccc(OCCNCc2ccc(C(F)(F)F)s2)cc1 ZINC000428852775 338062405 /nfs/dbraw/zinc/06/24/05/338062405.db2.gz XFKYONNYRDQANT-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H](c2cccnc2)C2CC2)s1 ZINC000428872001 338063759 /nfs/dbraw/zinc/06/37/59/338063759.db2.gz NOXOVOQWRGOLQO-CQSZACIVSA-N 0 3 312.360 4.403 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H](c2cccnc2)C2CC2)s1 ZINC000428872000 338063789 /nfs/dbraw/zinc/06/37/89/338063789.db2.gz NOXOVOQWRGOLQO-AWEZNQCLSA-N 0 3 312.360 4.403 20 0 DIADHN Fc1ccc2nc(CNC3CCC(F)(F)CC3)sc2c1 ZINC000428876029 338064170 /nfs/dbraw/zinc/06/41/70/338064170.db2.gz IVUWQZQRTIENMU-UHFFFAOYSA-N 0 3 300.349 4.103 20 0 DIADHN C[C@H](CNCc1ccc(C(F)(F)F)s1)Oc1ccccc1 ZINC000428880193 338064262 /nfs/dbraw/zinc/06/42/62/338064262.db2.gz IXPYCIDTAFUWOI-LLVKDONJSA-N 0 3 315.360 4.324 20 0 DIADHN CS[C@H](CNCc1nc2ccc(F)cc2s1)C(C)(C)C ZINC000428887685 338064712 /nfs/dbraw/zinc/06/47/12/338064712.db2.gz LQMLVXVTOJNJGP-CYBMUJFWSA-N 0 3 312.479 4.303 20 0 DIADHN CCc1nc(C)c(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)o1 ZINC000428939500 338065734 /nfs/dbraw/zinc/06/57/34/338065734.db2.gz NAHIPJWXSKHEBU-BCDXTJNWSA-N 0 3 310.441 4.456 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2oc(C(C)C)nc2C)C12CCCCC2 ZINC000428948720 338066287 /nfs/dbraw/zinc/06/62/87/338066287.db2.gz VABODQZPFFURQX-IAGOWNOFSA-N 0 3 320.477 4.324 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@](C)(c3ccccc3)CC2)cn1 ZINC000428953794 338066470 /nfs/dbraw/zinc/06/64/70/338066470.db2.gz KNPIDYBMJQPHHI-PXNSSMCTSA-N 0 3 309.457 4.290 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@@](C)(c3ccc(F)cc3)C2)o1 ZINC000428973505 338067193 /nfs/dbraw/zinc/06/71/93/338067193.db2.gz YGCSQCJQLUASLB-LJQANCHMSA-N 0 3 316.420 4.238 20 0 DIADHN CCc1ccc([C@H]2CCCN([C@H](C)c3cnc(C)cn3)C2)cc1 ZINC000428973286 338067332 /nfs/dbraw/zinc/06/73/32/338067332.db2.gz CQDIPRIIXJTPNC-APWZRJJASA-N 0 3 309.457 4.288 20 0 DIADHN CC(C)O[C@H](CN[C@H](C)c1ccc2n[nH]cc2c1)c1ccccc1 ZINC000428975839 338067421 /nfs/dbraw/zinc/06/74/21/338067421.db2.gz IGNSMENYAALHFB-FOIQADDNSA-N 0 3 323.440 4.380 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CC[C@@H](Sc3cccc(Cl)c3)C2)O1 ZINC000429120368 338071137 /nfs/dbraw/zinc/07/11/37/338071137.db2.gz GGUPXCHFSRWCPN-IVMMDQJWSA-N 0 3 311.878 4.074 20 0 DIADHN c1ccc(Oc2ccc(CN(C3CCC3)C3CCC3)nn2)cc1 ZINC000429146566 338071917 /nfs/dbraw/zinc/07/19/17/338071917.db2.gz YISYSPMXZRBBLU-UHFFFAOYSA-N 0 3 309.413 4.176 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(Cc3cccnc3)C2)c1 ZINC000429155395 338072037 /nfs/dbraw/zinc/07/20/37/338072037.db2.gz JEHAPPPRJZTQSE-MRXNPFEDSA-N 0 3 304.846 4.102 20 0 DIADHN Fc1cccc(-c2cnc(CN(C3CCC3)C3CCC3)o2)c1 ZINC000429187539 338072787 /nfs/dbraw/zinc/07/27/87/338072787.db2.gz ZVKJUCFHOPEDFF-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN CSC[C@H]1CCCN([C@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000429277514 338075792 /nfs/dbraw/zinc/07/57/92/338075792.db2.gz VVGYDHZXFLHSAT-CABCVRRESA-N 0 3 316.470 4.478 20 0 DIADHN CSC[C@H]1CCCN(Cc2c(C)cc(C)c(C(C)=O)c2C)C1 ZINC000429283925 338076423 /nfs/dbraw/zinc/07/64/23/338076423.db2.gz ISSMPGOXWQDECY-KRWDZBQOSA-N 0 3 319.514 4.389 20 0 DIADHN CSC[C@H]1CCCN(Cc2cccc(C(F)(F)F)c2)C1 ZINC000429301135 338077272 /nfs/dbraw/zinc/07/72/72/338077272.db2.gz KOEASIDQAYMSDU-ZDUSSCGKSA-N 0 3 303.393 4.280 20 0 DIADHN Cc1ccoc1CN(C)CCC(=O)Nc1cccc2ccccc21 ZINC000429384652 338079223 /nfs/dbraw/zinc/07/92/23/338079223.db2.gz GJXNWICQOZGBEZ-UHFFFAOYSA-N 0 3 322.408 4.202 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@@H]2CC23CCCC3)cc1Cl ZINC000429419418 338080247 /nfs/dbraw/zinc/08/02/47/338080247.db2.gz QUNCOYSSVJBBLQ-MEDUHNTESA-N 0 3 309.837 4.341 20 0 DIADHN CCC(C)(C)CN[C@@H](C)c1ccc(-n2cncn2)cc1Cl ZINC000429426208 338080579 /nfs/dbraw/zinc/08/05/79/338080579.db2.gz NGVMQNBYJJFDLU-LBPRGKRZSA-N 0 3 306.841 4.008 20 0 DIADHN CC(C)OCCN[C@H](Cc1ccccc1F)c1ccccc1 ZINC000429437634 338080996 /nfs/dbraw/zinc/08/09/96/338080996.db2.gz ZAPLDPIJGYDDPK-LJQANCHMSA-N 0 3 301.405 4.124 20 0 DIADHN CCC(C)(C)CN[C@@H](C)c1ncc(Br)cc1F ZINC000429460622 338081794 /nfs/dbraw/zinc/08/17/94/338081794.db2.gz ZROKHAXORWRGJJ-VIFPVBQESA-N 0 3 303.219 4.070 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000429459659 338081819 /nfs/dbraw/zinc/08/18/19/338081819.db2.gz YTKFNVYIKBWJLV-HIFRSBDPSA-N 0 3 321.367 4.146 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1ccc(-c2ccccc2)cc1 ZINC000429465724 338081874 /nfs/dbraw/zinc/08/18/74/338081874.db2.gz LESQEFWRYQVNNV-CXAGYDPISA-N 0 3 305.368 4.020 20 0 DIADHN CCCCOc1ccc([C@@H](C)NC2CC(F)(F)C2)cc1OC ZINC000429461224 338081982 /nfs/dbraw/zinc/08/19/82/338081982.db2.gz IBPDFAFGYWYFFS-GFCCVEGCSA-N 0 3 313.388 4.322 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000429581500 338084993 /nfs/dbraw/zinc/08/49/93/338084993.db2.gz ZJXKACDBKSGKKC-ZYHUDNBSSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1ccc(C(=O)CCN2C[C@H](C)C[C@H]2c2cccnc2)cc1 ZINC000429626333 338086382 /nfs/dbraw/zinc/08/63/82/338086382.db2.gz OPHUETGOBBHUMP-APWZRJJASA-N 0 3 308.425 4.046 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000429717668 338088264 /nfs/dbraw/zinc/08/82/64/338088264.db2.gz HZICLLQGAKXJAL-PWIZWCRZSA-N 0 3 324.468 4.322 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCSCC[C@H]2C)c1C ZINC000429760655 338089316 /nfs/dbraw/zinc/08/93/16/338089316.db2.gz NLUOABNPFJMHLC-CQSZACIVSA-N 0 3 305.487 4.142 20 0 DIADHN Fc1cc(Cl)cnc1N[C@@H](CN1CCCC1)c1ccccc1 ZINC000430006189 338093249 /nfs/dbraw/zinc/09/32/49/338093249.db2.gz OKJCEGLSQVCDON-INIZCTEOSA-N 0 3 319.811 4.123 20 0 DIADHN CC1(C)C[C@@H](NCc2cc(Cl)ccc2OCC2CC2)CCO1 ZINC000430032615 338094075 /nfs/dbraw/zinc/09/40/75/338094075.db2.gz OOCJUJVKGGDUFE-INIZCTEOSA-N 0 3 323.864 4.176 20 0 DIADHN c1ccc2c(c1)CO[C@@]21CCN(Cc2ccc3c(c2)CCCC3)C1 ZINC000430061176 338094550 /nfs/dbraw/zinc/09/45/50/338094550.db2.gz PAZYHIBECJESAM-JOCHJYFZSA-N 0 3 319.448 4.197 20 0 DIADHN CC(C)[C@@H]1N(Cc2c[nH]nc2-c2ccsc2)CC12CCC2 ZINC000430090249 338095649 /nfs/dbraw/zinc/09/56/49/338095649.db2.gz PWUQLYNZZCDZSN-INIZCTEOSA-N 0 3 301.459 4.149 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc(-c3cnn(C)c3)s2)CC12CCC2 ZINC000430092304 338095984 /nfs/dbraw/zinc/09/59/84/338095984.db2.gz YTVYYHOFDKCWQS-KRWDZBQOSA-N 0 3 315.486 4.159 20 0 DIADHN CC(C)N(Cc1ccc([S@@](C)=O)cc1)[C@@H](C)c1ccccc1 ZINC000430096963 338096235 /nfs/dbraw/zinc/09/62/35/338096235.db2.gz AVCDOVLUGJQLCZ-KSFYIVLOSA-N 0 3 315.482 4.396 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000430185530 338100057 /nfs/dbraw/zinc/10/00/57/338100057.db2.gz FAFNOPXWYBGLAZ-CYBMUJFWSA-N 0 3 310.532 4.376 20 0 DIADHN CCc1nc(C)c(CNC[C@@H](CC(C)C)c2ccccc2)o1 ZINC000430187202 338100185 /nfs/dbraw/zinc/10/01/85/338100185.db2.gz BQLNVXYQFGMHEZ-QGZVFWFLSA-N 0 3 300.446 4.465 20 0 DIADHN CC[C@H]1CCN1Cc1c(C)nn(-c2ccc(Cl)cc2)c1C ZINC000430194047 338100611 /nfs/dbraw/zinc/10/06/11/338100611.db2.gz SSVKEHLGQCRANB-HNNXBMFYSA-N 0 3 303.837 4.127 20 0 DIADHN CC[C@H]1CCN1Cc1cc(C)c(OCc2ccccn2)c(C)c1 ZINC000430199427 338100908 /nfs/dbraw/zinc/10/09/08/338100908.db2.gz RJXQAWYBCGWEAQ-IBGZPJMESA-N 0 3 310.441 4.262 20 0 DIADHN CSC[C@@H]1CCCN(Cc2c(F)cccc2N2CCCC2)C1 ZINC000430199428 338100919 /nfs/dbraw/zinc/10/09/19/338100919.db2.gz USBLENZLAONBKX-OAHLLOKOSA-N 0 3 322.493 4.001 20 0 DIADHN CCC(C)(C)CCN(CCc1ccccn1)Cc1cccnc1 ZINC000430301778 338104718 /nfs/dbraw/zinc/10/47/18/338104718.db2.gz JIUAIPWMZWWYHC-UHFFFAOYSA-N 0 3 311.473 4.348 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1CCSCc1ccccc1 ZINC000430725589 338112466 /nfs/dbraw/zinc/11/24/66/338112466.db2.gz YBENNADAGAJHCU-SFHVURJKSA-N 0 3 300.471 4.095 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000430738247 338112818 /nfs/dbraw/zinc/11/28/18/338112818.db2.gz AIRZHAQEAYNTCO-SUMWQHHRSA-N 0 3 320.358 4.335 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1cc(Br)ccc1F ZINC000149318212 338114792 /nfs/dbraw/zinc/11/47/92/338114792.db2.gz NVGUZXIJVDPMOW-NSHDSACASA-N 0 3 318.230 4.054 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccccc2OCc2ccncc2)C1 ZINC000430872264 338117662 /nfs/dbraw/zinc/11/76/62/338117662.db2.gz HMAYEXJWUWEPJF-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN COC(=O)c1cc([C@H](C)N[C@H](C)[C@H](C)c2ccccc2)oc1C ZINC000431120909 338123296 /nfs/dbraw/zinc/12/32/96/338123296.db2.gz PFRPXRBIZCBGIJ-MJBXVCDLSA-N 0 3 315.413 4.217 20 0 DIADHN CN(C)[C@@H](CC(=O)Nc1ccc(F)c(Cl)c1)c1ccccc1 ZINC000431505795 338132746 /nfs/dbraw/zinc/13/27/46/338132746.db2.gz KXSVACKWZJNMJN-INIZCTEOSA-N 0 3 320.795 4.111 20 0 DIADHN CN(C)[C@H](CC(=O)N1CCC[C@H]1c1ccccc1)c1ccccc1 ZINC000431521608 338133058 /nfs/dbraw/zinc/13/30/58/338133058.db2.gz GGSXYGNQTLHKQN-VQTJNVASSA-N 0 3 322.452 4.043 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)NCc1csc(Cl)c1Cl ZINC000432235926 338149682 /nfs/dbraw/zinc/14/96/82/338149682.db2.gz NUWORHQJYYWHJE-SSDOTTSWSA-N 0 3 319.257 4.381 20 0 DIADHN CC(C)(CO)CCCNCc1ccc(F)c(Cl)c1Cl ZINC000432240584 338149984 /nfs/dbraw/zinc/14/99/84/338149984.db2.gz FEFSKQLTTRTQAA-UHFFFAOYSA-N 0 3 308.224 4.021 20 0 DIADHN CC(C)c1cnc(CNCCC2CC(OC(C)(C)C)C2)s1 ZINC000432243357 338150227 /nfs/dbraw/zinc/15/02/27/338150227.db2.gz VKHDNPIGIRZAGW-UHFFFAOYSA-N 0 3 310.507 4.340 20 0 DIADHN C[C@]1(CNCc2csc(Cl)c2Cl)CCO[C@H]1C1CC1 ZINC000432248475 338150562 /nfs/dbraw/zinc/15/05/62/338150562.db2.gz WRBNXURPCYQZSI-GXTWGEPZSA-N 0 3 320.285 4.350 20 0 DIADHN CC1(C)[C@H](NCc2csc(Cl)c2Cl)[C@H]2CCCO[C@H]21 ZINC000432248233 338150603 /nfs/dbraw/zinc/15/06/03/338150603.db2.gz VVRNDLQPNNZQAC-YUSALJHKSA-N 0 3 320.285 4.348 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1ccc(F)c(Cl)c1Cl ZINC000432250277 338150847 /nfs/dbraw/zinc/15/08/47/338150847.db2.gz JUQOMYMWJXLNNA-SECBINFHSA-N 0 3 308.224 4.426 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@H]3OCC[C@H]32)c(Cl)c1Cl ZINC000432252209 338151112 /nfs/dbraw/zinc/15/11/12/338151112.db2.gz FAJZUNFHCKMDGK-CYZMBNFOSA-N 0 3 318.219 4.180 20 0 DIADHN C[C@H]1C[C@H](CNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432255149 338151358 /nfs/dbraw/zinc/15/13/58/338151358.db2.gz AIQQIZFKEXGPTA-VHSXEESVSA-N 0 3 306.208 4.037 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432255151 338151461 /nfs/dbraw/zinc/15/14/61/338151461.db2.gz AIQQIZFKEXGPTA-ZJUUUORDSA-N 0 3 306.208 4.037 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432258193 338151576 /nfs/dbraw/zinc/15/15/76/338151576.db2.gz YHZJIRPTQDTELQ-QWRGUYRKSA-N 0 3 320.235 4.427 20 0 DIADHN Clc1scc(CN[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)c1Cl ZINC000432257085 338151622 /nfs/dbraw/zinc/15/16/22/338151622.db2.gz VIPBCAJZVREVHD-MVWJERBFSA-N 0 3 318.269 4.102 20 0 DIADHN C[C@H](NCCC1CC(O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000432416153 338155668 /nfs/dbraw/zinc/15/56/68/338155668.db2.gz PMMQWLMHBSNELS-IDKOKCKLSA-N 0 3 322.663 4.458 20 0 DIADHN CC[C@@H](NCCC1CC(OC(C)(C)C)C1)c1ccc(F)cn1 ZINC000432420554 338155812 /nfs/dbraw/zinc/15/58/12/338155812.db2.gz KZMWWEZAWWMHDV-AVVWSFFYSA-N 0 3 308.441 4.245 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NC(=O)C(C)(C)C(C)(C)C)C1 ZINC000432420535 338155900 /nfs/dbraw/zinc/15/59/00/338155900.db2.gz KUKQQUACRXDWTP-NVXWUHKLSA-N 0 3 316.489 4.010 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2ccc(C(C)C)cc2)cn1 ZINC000432501732 338157718 /nfs/dbraw/zinc/15/77/18/338157718.db2.gz FRFBEASXMXJRGO-CQSZACIVSA-N 0 3 311.429 4.014 20 0 DIADHN CC1(Cc2nc(-c3cccc(CN4CCCCC4)c3)no2)CC1 ZINC000432529639 338158274 /nfs/dbraw/zinc/15/82/74/338158274.db2.gz DEIZUQBZQZBXAZ-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)c2ccccc2CCN1Cc1cocn1 ZINC000432721800 338164127 /nfs/dbraw/zinc/16/41/27/338164127.db2.gz FHWCMZGGSYDKDR-HRAATJIYSA-N 0 3 318.420 4.253 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]([C@@H]3CCOC3)C2)s1 ZINC000432722320 338164275 /nfs/dbraw/zinc/16/42/75/338164275.db2.gz KPCQPCHWFLVGSG-VXGBXAGGSA-N 0 3 319.392 4.015 20 0 DIADHN CCN1CC[C@H]2CC[C@@H](C1)N2Cc1csc(Cl)c1Cl ZINC000432727091 338164386 /nfs/dbraw/zinc/16/43/86/338164386.db2.gz FSBBBUGHERHOIO-NEPJUHHUSA-N 0 3 319.301 4.114 20 0 DIADHN c1c2c(oc1-c1ccccc1)CN(CCC1CCOCC1)CC2 ZINC000432774288 338167101 /nfs/dbraw/zinc/16/71/01/338167101.db2.gz OECZDEAIDQXNGH-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN CC(C)(C)c1nc(CN[C@H](c2cccs2)C2CCCC2)n[nH]1 ZINC000432783921 338167485 /nfs/dbraw/zinc/16/74/85/338167485.db2.gz LWGKCFSNKGDSRP-HNNXBMFYSA-N 0 3 318.490 4.185 20 0 DIADHN CN(Cc1cn2c(n1)CCCC2)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000432793779 338168171 /nfs/dbraw/zinc/16/81/71/338168171.db2.gz RUDSLLMGWXLXAW-PZJWPPBQSA-N 0 3 323.484 4.162 20 0 DIADHN CCC[C@@H](CN(C)Cc1cn2c(n1)CCCC2)c1ccccc1 ZINC000432815240 338168982 /nfs/dbraw/zinc/16/89/82/338168982.db2.gz DVGDWPXVRUCSMV-SFHVURJKSA-N 0 3 311.473 4.235 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1n[nH]c(C(C)(C)C)n1 ZINC000432847990 338170687 /nfs/dbraw/zinc/17/06/87/338170687.db2.gz XMKVSZXJBSRQFL-INIZCTEOSA-N 0 3 314.477 4.288 20 0 DIADHN C[C@H](NCCc1ccccn1)c1cc(Cl)sc1Cl ZINC000151408837 338171460 /nfs/dbraw/zinc/17/14/60/338171460.db2.gz GPQFRPOTLDMPIL-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc(NC(=O)c2sccc2C2CC2)c(CN(C)C)c1 ZINC000432937061 338174221 /nfs/dbraw/zinc/17/42/21/338174221.db2.gz JPBWIGLUKIFMHM-UHFFFAOYSA-N 0 3 314.454 4.248 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN[C@H]2CC3CCC2CC3)co1 ZINC000432986336 338175342 /nfs/dbraw/zinc/17/53/42/338175342.db2.gz QRWHQHCCBLIINH-PPUFBPAQSA-N 0 3 318.367 4.288 20 0 DIADHN COC[C@H](N[C@@H](C)c1ccc(SC(C)C)cc1)[C@@H]1CCCO1 ZINC000433010340 338176187 /nfs/dbraw/zinc/17/61/87/338176187.db2.gz XRUMLGLJSWYAIM-WBAXXEDZSA-N 0 3 323.502 4.032 20 0 DIADHN CCOc1ccc(CN2CCC(c3ncc(C)s3)CC2)cc1 ZINC000433033581 338177444 /nfs/dbraw/zinc/17/74/44/338177444.db2.gz QDGLQXBHFNMLSU-UHFFFAOYSA-N 0 3 316.470 4.230 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@H](C)Oc2ccc(Cl)cc2)cn1 ZINC000151573979 338178774 /nfs/dbraw/zinc/17/87/74/338178774.db2.gz RNMGJKFGRKYYQD-UONOGXRCSA-N 0 3 321.852 4.236 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC4CCC3CC4)co2)cc1 ZINC000433055510 338178932 /nfs/dbraw/zinc/17/89/32/338178932.db2.gz FAAFZQOBOIAVGH-WTNGLUPJSA-N 0 3 312.413 4.019 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@H](c3ccc(CC)cc3)C2)o1 ZINC000433057494 338179130 /nfs/dbraw/zinc/17/91/30/338179130.db2.gz LYMGKQFPJHNKRP-SFHVURJKSA-N 0 3 312.457 4.487 20 0 DIADHN COc1ccc2oc([C@H](C)NCC3(SC)CC3)c(C)c2c1 ZINC000433065482 338179672 /nfs/dbraw/zinc/17/96/72/338179672.db2.gz FKTOZYFJVIBIJO-LBPRGKRZSA-N 0 3 305.443 4.296 20 0 DIADHN CCc1ccc([C@@H](C)NCC2(CO)CC(c3ccccc3)C2)o1 ZINC000433073114 338180320 /nfs/dbraw/zinc/18/03/20/338180320.db2.gz FKLKNVIEHRRNHQ-XRTORIHISA-N 0 3 313.441 4.049 20 0 DIADHN C[C@H](O)CCCN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000433076623 338180655 /nfs/dbraw/zinc/18/06/55/338180655.db2.gz KZODIXHCABVXBG-SCLBCKFNSA-N 0 3 321.823 4.319 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCC3(CO)CC4(CCC4)C3)oc2c1 ZINC000433081457 338180705 /nfs/dbraw/zinc/18/07/05/338180705.db2.gz GJQLLYLPSSJODE-OAHLLOKOSA-N 0 3 313.441 4.335 20 0 DIADHN Cc1ccc(C2(CN[C@@H](C)c3nccnc3C)CCC2)cc1C ZINC000433081506 338180743 /nfs/dbraw/zinc/18/07/43/338180743.db2.gz HDLAFDADRNVHOW-KRWDZBQOSA-N 0 3 309.457 4.174 20 0 DIADHN Cc1cc([C@H](C)NCC2(CO)CC(c3ccccc3)C2)c(C)o1 ZINC000433093572 338181443 /nfs/dbraw/zinc/18/14/43/338181443.db2.gz RHKYFBCWSJNCFQ-IJNIYJAUSA-N 0 3 313.441 4.103 20 0 DIADHN COc1ccc(C)cc1CNC[C@H]1CCCN(c2ccccc2)C1 ZINC000433176423 338184172 /nfs/dbraw/zinc/18/41/72/338184172.db2.gz BNWGEBQLODCYDC-GOSISDBHSA-N 0 3 324.468 4.010 20 0 DIADHN CC1(C)CC(N[C@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000433178465 338184229 /nfs/dbraw/zinc/18/42/29/338184229.db2.gz RXJKKTAJRXVHJW-SFHVURJKSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1cc(F)ccc1CNc1cccc2c1OCC[C@@H]2N(C)C ZINC000433194248 338185288 /nfs/dbraw/zinc/18/52/88/338185288.db2.gz MRDOUSGNTOLZFJ-SFHVURJKSA-N 0 3 314.404 4.131 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3cc(Cl)cs3)cccc21 ZINC000433202152 338185647 /nfs/dbraw/zinc/18/56/47/338185647.db2.gz OXBUCWCIQAHHHF-HNNXBMFYSA-N 0 3 322.861 4.399 20 0 DIADHN Cc1csc(CNCC2(c3ccc(C)c(C)c3)CCC2)n1 ZINC000433204233 338185744 /nfs/dbraw/zinc/18/57/44/338185744.db2.gz FQVQWSDKBLXNDS-UHFFFAOYSA-N 0 3 300.471 4.280 20 0 DIADHN Cc1cc(C)cc(CNc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC000433209956 338186062 /nfs/dbraw/zinc/18/60/62/338186062.db2.gz UDWRIZSCFBTNBF-LJQANCHMSA-N 0 3 310.441 4.301 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)C[C@@H](c1ccccc1)N(C)C ZINC000433225517 338186602 /nfs/dbraw/zinc/18/66/02/338186602.db2.gz ITHKOOBZVHVCOS-FQEVSTJZSA-N 0 3 324.468 4.117 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H]1CCCCN1C1CCCC1 ZINC000433228130 338186659 /nfs/dbraw/zinc/18/66/59/338186659.db2.gz VMKFFRVMCCWPDY-QGZVFWFLSA-N 0 3 320.864 4.384 20 0 DIADHN CCOC(=O)CCCCCN(C)Cc1ccc(F)c(Cl)c1 ZINC000433256478 338188211 /nfs/dbraw/zinc/18/82/11/338188211.db2.gz PISTXLXOVCZWQE-UHFFFAOYSA-N 0 3 315.816 4.034 20 0 DIADHN Cc1cc(C)c(NC(=O)C[C@H](c2ccccc2)N(C)C)c(C)c1 ZINC000433305354 338190813 /nfs/dbraw/zinc/19/08/13/338190813.db2.gz NHHHLOWTSFFPLT-GOSISDBHSA-N 0 3 310.441 4.243 20 0 DIADHN Cc1cc(C)c(NC(=O)C[C@@H](c2ccccc2)N(C)C)c(C)c1 ZINC000433305355 338190847 /nfs/dbraw/zinc/19/08/47/338190847.db2.gz NHHHLOWTSFFPLT-SFHVURJKSA-N 0 3 310.441 4.243 20 0 DIADHN CCc1noc(C)c1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000433321869 338192304 /nfs/dbraw/zinc/19/23/04/338192304.db2.gz WNIQAUQGNVZBTA-AWEZNQCLSA-N 0 3 304.821 4.188 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(-c3cc[nH]n3)o1)[C@H](C)C2 ZINC000433328481 338192644 /nfs/dbraw/zinc/19/26/44/338192644.db2.gz ADNBQTCVYACHQB-YJYMSZOUSA-N 0 3 307.397 4.001 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1ccc(-c2cc[nH]n2)o1 ZINC000433331547 338192848 /nfs/dbraw/zinc/19/28/48/338192848.db2.gz ZYCXUTDRSHIZOW-UHFFFAOYSA-N 0 3 309.413 4.046 20 0 DIADHN C[C@]1(NCc2ccc(-c3cc[nH]n3)o2)CCCc2ccccc21 ZINC000433332623 338192960 /nfs/dbraw/zinc/19/29/60/338192960.db2.gz XFIXKHZQNNGRKC-IBGZPJMESA-N 0 3 307.397 4.011 20 0 DIADHN C[C@@H](NCc1ncc(C(F)(F)F)s1)[C@@H](C)c1ccccc1 ZINC000433335931 338193042 /nfs/dbraw/zinc/19/30/42/338193042.db2.gz SAJFEKKLKGJGQE-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cc(C)cc([C@H](C)NC(=O)C[C@H](c2ccccc2)N(C)C)c1 ZINC000433348834 338193493 /nfs/dbraw/zinc/19/34/93/338193493.db2.gz BDLLXEFZNZFVOA-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN COC[C@@H]1CCCCN(CCc2cccc(Cl)c2Cl)C1 ZINC000434485387 338217742 /nfs/dbraw/zinc/21/77/42/338217742.db2.gz UPVUCHZJQDXZAC-CYBMUJFWSA-N 0 3 316.272 4.284 20 0 DIADHN CCc1sc(C2(N)CCCC2)nc1-c1ccc(OC)cc1 ZINC000434662587 338222648 /nfs/dbraw/zinc/22/26/48/338222648.db2.gz MIDCDGXGFLZBAX-UHFFFAOYSA-N 0 3 302.443 4.109 20 0 DIADHN CN(CCCCCO)Cc1ccc(Oc2ccccc2)c(F)c1 ZINC000434687154 338223535 /nfs/dbraw/zinc/22/35/35/338223535.db2.gz YQJXGSCKKDPCMB-UHFFFAOYSA-N 0 3 317.404 4.212 20 0 DIADHN C[C@@H]1CC(C)(C)C[C@@H]1NCc1nccn1CCc1ccccc1 ZINC000434781406 338225502 /nfs/dbraw/zinc/22/55/02/338225502.db2.gz FTNUWPQEEUPTNU-AEFFLSMTSA-N 0 3 311.473 4.040 20 0 DIADHN CCCNc1ccc(CNC/C=C/c2ccccc2OC)cc1 ZINC000434788141 338225626 /nfs/dbraw/zinc/22/56/26/338225626.db2.gz XVZPFEHSPZPORN-SOFGYWHQSA-N 0 3 310.441 4.320 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000434793593 338225833 /nfs/dbraw/zinc/22/58/33/338225833.db2.gz KYRNKIJZXJLAMY-TWOQFEAHSA-N 0 3 311.425 4.094 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1nc(-c2ccccc2)no1 ZINC000434900212 338227322 /nfs/dbraw/zinc/22/73/22/338227322.db2.gz CKXJRDQSYWEDEE-MAUKXSAKSA-N 0 3 319.408 4.115 20 0 DIADHN COCc1cccc(CN2CCC[C@@H]2c2ccc(OC)cc2)c1 ZINC000434983761 338228733 /nfs/dbraw/zinc/22/87/33/338228733.db2.gz WSKHIAMEEKDNMW-HXUWFJFHSA-N 0 3 311.425 4.179 20 0 DIADHN CN(C)[C@H]1CCOc2c(N[C@H]3CCc4ccccc4C3)cccc21 ZINC000435023565 338229681 /nfs/dbraw/zinc/22/96/81/338229681.db2.gz LNOPJMJEQBPKAT-PXNSSMCTSA-N 0 3 322.452 4.041 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCCC[C@H]3C3CC3)cccc21 ZINC000435023391 338229726 /nfs/dbraw/zinc/22/97/26/338229726.db2.gz JXQBBHCUPMFPGJ-KVSKMBFKSA-N 0 3 314.473 4.453 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(Cl)c(C)c2)CC1 ZINC000435025002 338229862 /nfs/dbraw/zinc/22/98/62/338229862.db2.gz GWEJYSIINAWLCB-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@@H]3CCC[C@@H](C4CC4)C3)cccc21 ZINC000435025690 338229864 /nfs/dbraw/zinc/22/98/64/338229864.db2.gz JVHGIUHIPHUKAJ-GPMSIDNRSA-N 0 3 314.473 4.453 20 0 DIADHN CCn1nccc1C1CCN(C/C(C)=C\c2ccccc2)CC1 ZINC000435033038 338230149 /nfs/dbraw/zinc/23/01/49/338230149.db2.gz HZQILOZDRGKKLQ-ICFOKQHNSA-N 0 3 309.457 4.186 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(C)c(Cl)c2)CC1 ZINC000435039285 338230335 /nfs/dbraw/zinc/23/03/35/338230335.db2.gz DGIMMEOKNKYMFT-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN C[C@@H](CNc1cccc2c1OCC[C@H]2N(C)C)Cc1ccccc1 ZINC000435042943 338230572 /nfs/dbraw/zinc/23/05/72/338230572.db2.gz OKAGMVYTTWTTPB-OXQOHEQNSA-N 0 3 324.468 4.363 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2ccc3c[nH]nc3c2)CC1 ZINC000435044054 338230613 /nfs/dbraw/zinc/23/06/13/338230613.db2.gz DNHYCHJILLQBJO-UHFFFAOYSA-N 0 3 309.388 4.024 20 0 DIADHN CC1(C)CCN(Cc2ccc(OC(F)F)c(F)c2)CCS1 ZINC000435048199 338230782 /nfs/dbraw/zinc/23/07/82/338230782.db2.gz HGDLPPWEHSVSRU-UHFFFAOYSA-N 0 3 319.392 4.145 20 0 DIADHN CC1(C)OCc2cc(CN3CCSC(C)(C)CC3)ccc2O1 ZINC000435049688 338230923 /nfs/dbraw/zinc/23/09/23/338230923.db2.gz HZEXVHSKDPRMNQ-UHFFFAOYSA-N 0 3 321.486 4.049 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(F)(c2ccccc2)CC1 ZINC000435058843 338231315 /nfs/dbraw/zinc/23/13/15/338231315.db2.gz VNJQZPDWVQNAQA-UHFFFAOYSA-N 0 3 315.436 4.168 20 0 DIADHN CC(C)[C@@H](c1ccc(F)cc1)N(C)Cc1cccc2nccn21 ZINC000435268109 338235803 /nfs/dbraw/zinc/23/58/03/338235803.db2.gz CUKWQAZSZPFXDL-IBGZPJMESA-N 0 3 311.404 4.303 20 0 DIADHN CC(C)[C@H](c1ccc(F)cc1)N(C)Cc1cnc(N(C)C)s1 ZINC000435298538 338236769 /nfs/dbraw/zinc/23/67/69/338236769.db2.gz ONCOBSDYYSBQDV-MRXNPFEDSA-N 0 3 321.465 4.177 20 0 DIADHN CCn1nc(CN[C@H]2C[C@H](c3cccc(F)c3)C2)c2ccccc21 ZINC000435726080 338246280 /nfs/dbraw/zinc/24/62/80/338246280.db2.gz LOEJLUYIUZTSPL-JCNLHEQBSA-N 0 3 323.415 4.231 20 0 DIADHN CSc1ccc(CCNCc2nc(-c3ccccc3)c[nH]2)cc1 ZINC000435737933 338247047 /nfs/dbraw/zinc/24/70/47/338247047.db2.gz NCLABXRDEGGBGH-UHFFFAOYSA-N 0 3 323.465 4.131 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1NCc1cnn(C(C)(C)C)c1 ZINC000435739214 338247222 /nfs/dbraw/zinc/24/72/22/338247222.db2.gz FMGJDGNGMBUMAG-QZTJIDSGSA-N 0 3 321.509 4.102 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3ccn(-c4ccccc4)n3)C2)c1 ZINC000435740455 338247310 /nfs/dbraw/zinc/24/73/10/338247310.db2.gz KHQDPDSETLSTCF-RUCARUNLSA-N 0 3 321.399 4.047 20 0 DIADHN CCc1ncc(CN[C@@H]2CCCC[C@H]2OCCC(C)C)s1 ZINC000435742369 338247433 /nfs/dbraw/zinc/24/74/33/338247433.db2.gz UMMLCNJOZPEVJN-HZPDHXFCSA-N 0 3 310.507 4.169 20 0 DIADHN CCCc1csc(CN[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)n1 ZINC000435752299 338247987 /nfs/dbraw/zinc/24/79/87/338247987.db2.gz XLNJQYAIGRQXGQ-YGUOUDRMSA-N 0 3 322.424 4.410 20 0 DIADHN CC1(C)CCc2cc(CN3CCC[C@@H]3c3ccc[nH]3)ccc2O1 ZINC000435772301 338248616 /nfs/dbraw/zinc/24/86/16/338248616.db2.gz OXNDYBLGQLBSNG-GOSISDBHSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1cncc(F)c1 ZINC000435781002 338248824 /nfs/dbraw/zinc/24/88/24/338248824.db2.gz HLAGSMWGANDGRU-CJBNDPTMSA-N 0 3 304.796 4.471 20 0 DIADHN C[C@H]1CCc2nc(CN[C@H]3C[C@H](c4ccccc4)C3)sc2C1 ZINC000435782071 338249024 /nfs/dbraw/zinc/24/90/24/338249024.db2.gz NQUFJLFSCBBNST-BPUTZDHNSA-N 0 3 312.482 4.304 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2C[C@H](c3ccccc3)C2)s1 ZINC000435784926 338249064 /nfs/dbraw/zinc/24/90/64/338249064.db2.gz KNLLBOSSNIXUKD-SHTZXODSSA-N 0 3 300.471 4.476 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435785965 338249252 /nfs/dbraw/zinc/24/92/52/338249252.db2.gz FLXFZTUFUYNMDJ-IYARVYRRSA-N 0 3 319.452 4.482 20 0 DIADHN c1cn2c(CN[C@@H]3C[C@@H](c4ccccc4)C3)c(C3CC3)nc2s1 ZINC000435789624 338249320 /nfs/dbraw/zinc/24/93/20/338249320.db2.gz XZBQASWHSROAAQ-WKILWMFISA-N 0 3 323.465 4.309 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2c[nH]nc2C(C)(C)C)cc1 ZINC000155144125 338253418 /nfs/dbraw/zinc/25/34/18/338253418.db2.gz LRSOXEWRFQMMKL-QGZVFWFLSA-N 0 3 313.445 4.053 20 0 DIADHN Fc1cccc2[nH]c(CNCCOC3CCCCCC3)cc21 ZINC000436235426 338262897 /nfs/dbraw/zinc/26/28/97/338262897.db2.gz YLLSWTQSZMYGGC-UHFFFAOYSA-N 0 3 304.409 4.136 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccc(C(F)(F)F)c2)cn1 ZINC000436240811 338263085 /nfs/dbraw/zinc/26/30/85/338263085.db2.gz RJAGOPAITSQQEW-UHFFFAOYSA-N 0 3 324.346 4.134 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H]1CC[C@H](Cc2ccccc2)C1 ZINC000436252618 338263675 /nfs/dbraw/zinc/26/36/75/338263675.db2.gz JFZBZTGGUNUPJV-MOPGFXCFSA-N 0 3 311.473 4.040 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000436252620 338263755 /nfs/dbraw/zinc/26/37/55/338263755.db2.gz JFZBZTGGUNUPJV-RBUKOAKNSA-N 0 3 311.473 4.040 20 0 DIADHN CC(C)Oc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1 ZINC000436273054 338264884 /nfs/dbraw/zinc/26/48/84/338264884.db2.gz UTISLGYNEIXIPF-UHFFFAOYSA-N 0 3 312.388 4.384 20 0 DIADHN CCOCc1ccccc1CNCc1cc2c(cccc2F)[nH]1 ZINC000436279225 338265353 /nfs/dbraw/zinc/26/53/53/338265353.db2.gz IINSHESZCKGHMM-UHFFFAOYSA-N 0 3 312.388 4.133 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@H](C)c2ccccc2SCC)C1 ZINC000436290092 338266416 /nfs/dbraw/zinc/26/64/16/338266416.db2.gz YTBJZQCHSAEXTI-KFWWJZLASA-N 0 3 321.486 4.181 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1ccc(SC)cc1 ZINC000436295381 338266964 /nfs/dbraw/zinc/26/69/64/338266964.db2.gz GVVYHOQWPQZZRS-CQSZACIVSA-N 0 3 300.471 4.259 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccc(F)cn1 ZINC000436301120 338267449 /nfs/dbraw/zinc/26/74/49/338267449.db2.gz XVAQUTKGXREJBE-NSODJVPESA-N 0 3 306.331 4.096 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@H](C)c1cnn(C(C)C)c1 ZINC000436301683 338267658 /nfs/dbraw/zinc/26/76/58/338267658.db2.gz NCPKFSZRCPIRJH-BHIYHBOVSA-N 0 3 321.509 4.489 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2CCCC[C@H]2OCCC(C)C)c(C)n1 ZINC000436304738 338267842 /nfs/dbraw/zinc/26/78/42/338267842.db2.gz ZCCGOVYGHPLYAB-KFKAGJAMSA-N 0 3 319.493 4.118 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H]1CCCC[C@H]1OCCC(C)C ZINC000436311232 338268448 /nfs/dbraw/zinc/26/84/48/338268448.db2.gz RZIGRKWYMMUHIH-QXAKKESOSA-N 0 3 304.478 4.415 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@H](C)c2oc3ccccc3c2C)C1 ZINC000436312764 338268497 /nfs/dbraw/zinc/26/84/97/338268497.db2.gz VTDNHFKOOCRMDO-KFWWJZLASA-N 0 3 315.413 4.124 20 0 DIADHN Clc1ccc(CN[C@@H]2CC[C@H](Cc3ccccc3)C2)nc1 ZINC000436404867 338271086 /nfs/dbraw/zinc/27/10/86/338271086.db2.gz ATGAMZGZLLYGGS-NVXWUHKLSA-N 0 3 300.833 4.236 20 0 DIADHN COc1cc(CN2CCC(Nc3cccc(C)c3)CC2)ccc1C ZINC000436490924 338273961 /nfs/dbraw/zinc/27/39/61/338273961.db2.gz ZIZYWBYGFIUCRU-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437072657 338299281 /nfs/dbraw/zinc/29/92/81/338299281.db2.gz BWOWDKPEEOTMDD-XAUMDUMWSA-N 0 3 310.441 4.251 20 0 DIADHN Fc1ccccc1C1CC(N[C@@H]2CCOc3c(F)cccc32)C1 ZINC000437071896 338299325 /nfs/dbraw/zinc/29/93/25/338299325.db2.gz BCLZFAWDMZKMCD-PWGQDJSOSA-N 0 3 315.363 4.324 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1ccccc1N(C)C ZINC000437075741 338299495 /nfs/dbraw/zinc/29/94/95/338299495.db2.gz JSSWFDSZEJCVCF-TZMCWYRMSA-N 0 3 302.384 4.380 20 0 DIADHN CCOC(C)(C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000437083851 338299797 /nfs/dbraw/zinc/29/97/97/338299797.db2.gz SMKQSIHSJSXDHO-UHFFFAOYSA-N 0 3 319.395 4.459 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)COC2 ZINC000437086824 338300137 /nfs/dbraw/zinc/30/01/37/338300137.db2.gz SPDBVKRLVBWFKG-ABAIWWIYSA-N 0 3 301.352 4.344 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccccc2N(C)C(C)C)c1 ZINC000437093698 338300509 /nfs/dbraw/zinc/30/05/09/338300509.db2.gz GXLCCLDIHCGMDU-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)cc1OC ZINC000437092130 338300523 /nfs/dbraw/zinc/30/05/23/338300523.db2.gz ZFXZZXOKZUMQSZ-DGCLKSJQSA-N 0 3 319.367 4.331 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](CC(F)(F)F)C(C)C ZINC000437101534 338300991 /nfs/dbraw/zinc/30/09/91/338300991.db2.gz WCYMDIHIMMHYDF-KGLIPLIRSA-N 0 3 301.352 4.249 20 0 DIADHN C[C@@H](N[C@H](CO)Cc1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000437101475 338301123 /nfs/dbraw/zinc/30/11/23/338301123.db2.gz WACLUJWUXXZVFG-APWZRJJASA-N 0 3 321.420 4.201 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CC[C@@H](C3CC3)C2)cn1 ZINC000437137565 338302485 /nfs/dbraw/zinc/30/24/85/338302485.db2.gz UCPPMZHUZXCRIG-GOSISDBHSA-N 0 3 307.441 4.081 20 0 DIADHN CC1=CCCN(Cc2c[nH]nc2-c2ccc(C(F)(F)F)cc2)C1 ZINC000437344769 338307562 /nfs/dbraw/zinc/30/75/62/338307562.db2.gz NPOHQVMPIQZRQV-UHFFFAOYSA-N 0 3 321.346 4.248 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC[C@@H](Cc3ccccc3)C2)cs1 ZINC000437578820 338314349 /nfs/dbraw/zinc/31/43/49/338314349.db2.gz KCRKRWHKLBBWPK-INIZCTEOSA-N 0 3 323.465 4.203 20 0 DIADHN Cc1ccc([C@@H](NCCC(=O)OC(C)C)c2ccccc2)cc1 ZINC000437644241 338317087 /nfs/dbraw/zinc/31/70/87/338317087.db2.gz FHSRXQVBCXIHII-FQEVSTJZSA-N 0 3 311.425 4.016 20 0 DIADHN Oc1cccc(CN(Cc2cc3c(cccc3F)[nH]2)CC2CC2)c1 ZINC000437687958 338318280 /nfs/dbraw/zinc/31/82/80/338318280.db2.gz PKFRMARVJWUPRP-UHFFFAOYSA-N 0 3 324.399 4.425 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](N2CCCC[C@@H]2c2ncc[nH]2)C1 ZINC000437712111 338319177 /nfs/dbraw/zinc/31/91/77/338319177.db2.gz AJKHWCXXSFRAIO-NSHGMRRFSA-N 0 3 309.457 4.447 20 0 DIADHN COCC[C@@H](NC[C@H](C)c1ccc(F)cc1)c1ccc(C)o1 ZINC000437787539 338321541 /nfs/dbraw/zinc/32/15/41/338321541.db2.gz LKLPINWLBKYTSX-SUMWQHHRSA-N 0 3 305.393 4.198 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437792505 338321857 /nfs/dbraw/zinc/32/18/57/338321857.db2.gz APLWNNLUQGKBOZ-AZUAARDMSA-N 0 3 311.473 4.139 20 0 DIADHN COCC[C@H](N[C@H]1CC[C@H](Cc2ccccc2)C1)c1ccco1 ZINC000437807644 338322334 /nfs/dbraw/zinc/32/23/34/338322334.db2.gz YEIOTYLHWROVPM-QYZOEREBSA-N 0 3 313.441 4.358 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H]3Cc3ccccc3)on2)cc1 ZINC000437828277 338322808 /nfs/dbraw/zinc/32/28/08/338322808.db2.gz LLGCHMVQNCODDL-SFHVURJKSA-N 0 3 322.383 4.298 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)Nc1cccc(Cl)c1 ZINC000437914538 338325082 /nfs/dbraw/zinc/32/50/82/338325082.db2.gz KBFDXRYJEWLTOM-UHFFFAOYSA-N 0 3 317.820 4.088 20 0 DIADHN CN(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@@H]1CCCC[C@H]1O ZINC000437951072 338326158 /nfs/dbraw/zinc/32/61/58/338326158.db2.gz GWADEROMAMJSPY-ZIAGYGMSSA-N 0 3 321.770 4.094 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1CC[C@H](c3ccccc3)C1)n2C ZINC000437953539 338326233 /nfs/dbraw/zinc/32/62/33/338326233.db2.gz LAAOJSPBKLEKAP-ZWKOTPCHSA-N 0 3 319.452 4.308 20 0 DIADHN Cn1c2ccccc2nc1CN[C@@H]1CC[C@H](Cc2ccccc2)C1 ZINC000437986740 338327522 /nfs/dbraw/zinc/32/75/22/338327522.db2.gz OWIDMQAZRUHOMH-QZTJIDSGSA-N 0 3 319.452 4.074 20 0 DIADHN CCCC[C@@H](CC)CCN(C)Cc1ccc([S@](C)=O)cc1 ZINC000438108178 338332005 /nfs/dbraw/zinc/33/20/05/338332005.db2.gz XSJOOGKTXKGQHK-IERDGZPVSA-N 0 3 309.519 4.462 20 0 DIADHN FC(F)(F)C1=CCN(CCCCCOc2ccccc2)CC1 ZINC000438123252 338333475 /nfs/dbraw/zinc/33/34/75/338333475.db2.gz SGCWWOPCFGKOHI-UHFFFAOYSA-N 0 3 313.363 4.430 20 0 DIADHN COC[C@@H](NC[C@@H](C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000438176314 338334913 /nfs/dbraw/zinc/33/49/13/338334913.db2.gz KCALWCUXYSNQAY-FZKQIMNGSA-N 0 3 305.368 4.046 20 0 DIADHN COC[C@H](NC[C@@H](C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000438176312 338335007 /nfs/dbraw/zinc/33/50/07/338335007.db2.gz KCALWCUXYSNQAY-ACJLOTCBSA-N 0 3 305.368 4.046 20 0 DIADHN C[C@@H](CNC1(c2nccs2)CCCC1)c1ccc(F)cc1 ZINC000438181379 338335190 /nfs/dbraw/zinc/33/51/90/338335190.db2.gz RSKSJWUYPDCIGK-ZDUSSCGKSA-N 0 3 304.434 4.445 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1ncoc1-c1ccccc1 ZINC000438186554 338335533 /nfs/dbraw/zinc/33/55/33/338335533.db2.gz FUGQJNDSRBBKLD-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000438187381 338335660 /nfs/dbraw/zinc/33/56/60/338335660.db2.gz ILKWDGZPLSKVFW-OXJNMPFZSA-N 0 3 312.457 4.399 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@](C)(c3ccccc3)C2)cs1 ZINC000438213709 338336887 /nfs/dbraw/zinc/33/68/87/338336887.db2.gz KVTKZTBHHIFQHW-CRAIPNDOSA-N 0 3 300.471 4.306 20 0 DIADHN Clc1ccccc1-c1noc([C@@H]2CCCN2C2CCCC2)n1 ZINC000438245630 338337682 /nfs/dbraw/zinc/33/76/82/338337682.db2.gz WZVCSZVIJJCCJK-HNNXBMFYSA-N 0 3 317.820 4.470 20 0 DIADHN Cc1cc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)ccc1F ZINC000438244600 338337716 /nfs/dbraw/zinc/33/77/16/338337716.db2.gz SHTIDSHSGVRCIT-INIZCTEOSA-N 0 3 315.392 4.264 20 0 DIADHN Cc1ccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)cc1F ZINC000438240655 338337762 /nfs/dbraw/zinc/33/77/62/338337762.db2.gz UOQLBBWRGHMWJS-MRXNPFEDSA-N 0 3 315.392 4.264 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCCC3(CCCC3)C2)c1 ZINC000438351152 338340876 /nfs/dbraw/zinc/34/08/76/338340876.db2.gz FVJUMWAOKJHNDV-UHFFFAOYSA-N 0 3 301.459 4.294 20 0 DIADHN CSCc1cccc(CNCc2cccc3cccnc32)c1 ZINC000438361556 338341384 /nfs/dbraw/zinc/34/13/84/338341384.db2.gz VQNKCKYQEBXONY-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN Clc1cnc(CN[C@H]2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000438367431 338341678 /nfs/dbraw/zinc/34/16/78/338341678.db2.gz NJUVVUFDAKGAGO-KBPBESRZSA-N 0 3 306.862 4.298 20 0 DIADHN COc1ccc(CN[C@@H](CC(F)(F)F)C(C)C)cc1Cl ZINC000438470467 338344855 /nfs/dbraw/zinc/34/48/55/338344855.db2.gz PLYMRKBVGNYOLN-LBPRGKRZSA-N 0 3 309.759 4.415 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@@H](CC(F)(F)F)C(C)C ZINC000438470883 338344862 /nfs/dbraw/zinc/34/48/62/338344862.db2.gz ACKXWPQKWKFKRT-AWEZNQCLSA-N 0 3 319.415 4.322 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CN3CC[C@@H](CO)C3)o2)cc1 ZINC000438483686 338345572 /nfs/dbraw/zinc/34/55/72/338345572.db2.gz NTKLKAKRAGRCFK-OAHLLOKOSA-N 0 3 313.441 4.058 20 0 DIADHN FC(F)C1CCN(CCc2cccc(OC(F)(F)F)c2)CC1 ZINC000438621263 338350265 /nfs/dbraw/zinc/35/02/65/338350265.db2.gz RIZWEXGJKINTSA-UHFFFAOYSA-N 0 3 323.305 4.105 20 0 DIADHN CN(Cc1ccncc1)CC(c1ccccc1)c1ccccc1 ZINC000438832948 338352959 /nfs/dbraw/zinc/35/29/59/338352959.db2.gz DDZWEDXAXJXVPF-UHFFFAOYSA-N 0 3 302.421 4.346 20 0 DIADHN CSc1ccc(CN[C@@H]2CCO[C@H]2c2ccccc2)s1 ZINC000438833788 338353138 /nfs/dbraw/zinc/35/31/38/338353138.db2.gz GXWABDKTOHGCAX-ZBFHGGJFSA-N 0 3 305.468 4.090 20 0 DIADHN COc1ccnc(CN(Cc2cccc(F)c2)C2CCCC2)c1 ZINC000438877039 338354621 /nfs/dbraw/zinc/35/46/21/338354621.db2.gz SLIXVVXESUHLEV-UHFFFAOYSA-N 0 3 314.404 4.174 20 0 DIADHN CC(C)[C@H]1CCC[C@@H]1NCc1nccn1CCc1ccccc1 ZINC000438999089 338358048 /nfs/dbraw/zinc/35/80/48/338358048.db2.gz LFWZNTBFPSYOMI-MOPGFXCFSA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1ccccc1OC(F)F ZINC000156402797 338358094 /nfs/dbraw/zinc/35/80/94/338358094.db2.gz RWFMYJRKZNJSCT-NEPJUHHUSA-N 0 3 301.402 4.224 20 0 DIADHN CN(Cc1ccccc1Oc1ccccc1)[C@H]1CC[C@H](O)CC1 ZINC000439277882 338365836 /nfs/dbraw/zinc/36/58/36/338365836.db2.gz VGYHJONSNVPIPE-IYARVYRRSA-N 0 3 311.425 4.214 20 0 DIADHN COCC[C@H](c1ccccc1)N1CCC[C@@H](C(F)(F)F)C1 ZINC000439322072 338367174 /nfs/dbraw/zinc/36/71/74/338367174.db2.gz GHDQWKWYQIYZEK-HUUCEWRRSA-N 0 3 301.352 4.039 20 0 DIADHN C[C@H]1CC[C@H](CN2CCC(F)(c3cccc(Cl)c3)CC2)O1 ZINC000439325132 338367357 /nfs/dbraw/zinc/36/73/57/338367357.db2.gz SZMZQKDMBRLJIF-XJKSGUPXSA-N 0 3 311.828 4.168 20 0 DIADHN CC[C@H]1CN([C@@H](C)c2ccc(C(F)(F)F)cc2)CCCO1 ZINC000439470675 338370477 /nfs/dbraw/zinc/37/04/77/338370477.db2.gz PTXUQDRZBQGWPQ-WFASDCNBSA-N 0 3 301.352 4.267 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccccc2N(C)C)cc1 ZINC000439491855 338371746 /nfs/dbraw/zinc/37/17/46/338371746.db2.gz JSUNMBFYSZFFQI-CQSZACIVSA-N 0 3 300.471 4.325 20 0 DIADHN CCN(CC)C(=O)[C@H](N[C@H](C)C1CCCCC1)c1ccccc1 ZINC000439602959 338374278 /nfs/dbraw/zinc/37/42/78/338374278.db2.gz TYCULWGIDLNXPS-VQIMIIECSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC([C@H]3CCCO3)CC2)s1 ZINC000439767966 338377387 /nfs/dbraw/zinc/37/73/87/338377387.db2.gz PWRYFLODFYLIBS-MRXNPFEDSA-N 0 3 307.503 4.437 20 0 DIADHN CCCCOc1ccccc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000439785996 338377934 /nfs/dbraw/zinc/37/79/34/338377934.db2.gz BXYXSYTXBWMQMU-IBGZPJMESA-N 0 3 317.473 4.257 20 0 DIADHN CCSCc1cccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)c1 ZINC000439813207 338379020 /nfs/dbraw/zinc/37/90/20/338379020.db2.gz YRGHBISAIAFTQL-KKUMJFAQSA-N 0 3 320.502 4.140 20 0 DIADHN C[C@H](NCc1cccc(F)c1Br)C1CCCC1 ZINC000156761870 338383199 /nfs/dbraw/zinc/38/31/99/338383199.db2.gz RUEHJXYCCRKAEU-JTQLQIEISA-N 0 3 300.215 4.257 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000440325986 338385734 /nfs/dbraw/zinc/38/57/34/338385734.db2.gz JPOIYGGEKVJOBI-IAGOWNOFSA-N 0 3 313.470 4.264 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@@H]1CCCc2ccccc21 ZINC000440349241 338385885 /nfs/dbraw/zinc/38/58/85/338385885.db2.gz YYFSGAMJBCQCGF-GOSISDBHSA-N 0 3 321.449 4.372 20 0 DIADHN COC(=O)CC1(CN[C@H](C)c2ccc(SC(C)C)cc2)CC1 ZINC000440592116 338391544 /nfs/dbraw/zinc/39/15/44/338391544.db2.gz FCUUXOLFAVOXAY-CQSZACIVSA-N 0 3 321.486 4.181 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2ccccc2SC)c1 ZINC000440617264 338392726 /nfs/dbraw/zinc/39/27/26/338392726.db2.gz SUDPPZHCQBXCJD-ZDUSSCGKSA-N 0 3 315.438 4.046 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cc(C(F)(F)F)n[nH]1 ZINC000440647201 338394225 /nfs/dbraw/zinc/39/42/25/338394225.db2.gz NQOKGPGEEKOMSR-ZBEGNZNMSA-N 0 3 323.362 4.232 20 0 DIADHN Cc1ccc(NC(=O)N(CCN(C)C)CC2CCCCC2)s1 ZINC000440770068 338396759 /nfs/dbraw/zinc/39/67/59/338396759.db2.gz HIBMLRPFVDYOGT-UHFFFAOYSA-N 0 3 323.506 4.032 20 0 DIADHN CC(C)C[C@H](NCc1cc(C(F)(F)F)n[nH]1)c1cccs1 ZINC000440795775 338397690 /nfs/dbraw/zinc/39/76/90/338397690.db2.gz AVJQBTZGXNRBIQ-NSHDSACASA-N 0 3 317.380 4.367 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C1(CC(C)C)CC1 ZINC000441058261 338401499 /nfs/dbraw/zinc/40/14/99/338401499.db2.gz RXLPHAMKBFQFQD-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN c1cc2cc(CCNCc3ccccc3OCC3CC3)ccc2[nH]1 ZINC000441219489 338405490 /nfs/dbraw/zinc/40/54/90/338405490.db2.gz PTDDRJHRZGNIIP-UHFFFAOYSA-N 0 3 320.436 4.289 20 0 DIADHN COCCC[C@@H](NCc1ccccc1C)c1ccc(F)cc1 ZINC000442632651 338426187 /nfs/dbraw/zinc/42/61/87/338426187.db2.gz FKSROKFFLDNWTB-LJQANCHMSA-N 0 3 301.405 4.392 20 0 DIADHN FC(F)C1CCC(NCc2ccccc2Br)CC1 ZINC000442776330 338430271 /nfs/dbraw/zinc/43/02/71/338430271.db2.gz HEHUZRXMBDTMGQ-UHFFFAOYSA-N 0 3 318.205 4.363 20 0 DIADHN COc1cccc(F)c1[C@@H](C)N[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442798373 338432188 /nfs/dbraw/zinc/43/21/88/338432188.db2.gz WOVFMIARRKMJDM-CHWSQXEVSA-N 0 3 324.399 4.134 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CN[C@H](C)c1cnn(C)c1 ZINC000442864174 338436008 /nfs/dbraw/zinc/43/60/08/338436008.db2.gz ZAGYSMYCTNKMPE-LLVKDONJSA-N 0 3 319.861 4.447 20 0 DIADHN C[C@H](CN[C@@H](C)c1cccc(O)c1)Oc1cccc(Cl)c1 ZINC000442868189 338436388 /nfs/dbraw/zinc/43/63/88/338436388.db2.gz PZQUXKJFXDMHGI-OLZOCXBDSA-N 0 3 305.805 4.164 20 0 DIADHN C=Cn1cc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)cn1 ZINC000194426010 338527444 /nfs/dbraw/zinc/52/74/44/338527444.db2.gz QTSDIUGNQRERDZ-OAQYLSRUSA-N 0 3 315.420 4.428 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@@H](O)CC(C)(C)C)CCCS2 ZINC000446818502 338536691 /nfs/dbraw/zinc/53/66/91/338536691.db2.gz OCMBAPVSZJOPKW-BBRMVZONSA-N 0 3 323.502 4.009 20 0 DIADHN COc1cc(CN[C@H](C)[C@H](OC)c2ccccc2F)ccc1C ZINC000446820790 338536745 /nfs/dbraw/zinc/53/67/45/338536745.db2.gz HOYRAVOEFWHEKT-KUHUBIRLSA-N 0 3 317.404 4.009 20 0 DIADHN C[C@@H]1[C@H](NCc2ccc3c(n2)CCCC3)C[C@@H]1c1ccccc1 ZINC000446895191 338539794 /nfs/dbraw/zinc/53/97/94/338539794.db2.gz AQTPLGDURDMFEA-PAXLWEDBSA-N 0 3 306.453 4.242 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](CC)c2cccc(F)c2)cc1 ZINC000446927859 338540772 /nfs/dbraw/zinc/54/07/72/338540772.db2.gz CRTRWNSVMRQBED-MOPGFXCFSA-N 0 3 301.405 4.162 20 0 DIADHN Cc1cc([C@H](C)NCc2cc(N(C)C)nc3ccccc23)c(C)o1 ZINC000447528548 338554348 /nfs/dbraw/zinc/55/43/48/338554348.db2.gz JMXIOPRPDOAIPF-AWEZNQCLSA-N 0 3 323.440 4.361 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000447553492 338556306 /nfs/dbraw/zinc/55/63/06/338556306.db2.gz RCTNNRCBWZOXEG-JXFKEZNVSA-N 0 3 313.441 4.432 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c(C)o1 ZINC000447575387 338558329 /nfs/dbraw/zinc/55/83/29/338558329.db2.gz SEYABNOCZQMHLQ-FJNPEDAXSA-N 0 3 321.367 4.355 20 0 DIADHN CO[C@H](CN[C@H]1CCCc2occc21)c1cccc(Cl)c1 ZINC000447579968 338558791 /nfs/dbraw/zinc/55/87/91/338558791.db2.gz MSEHBKSKXQMQOM-DOTOQJQBSA-N 0 3 305.805 4.288 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32)c1ccnn1C ZINC000447586840 338559624 /nfs/dbraw/zinc/55/96/24/338559624.db2.gz PBRLRJSFTMISJT-GRDNDAEWSA-N 0 3 309.457 4.371 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc2cc(C)nn2c1C)c1cccs1 ZINC000194565014 338566107 /nfs/dbraw/zinc/56/61/07/338566107.db2.gz UCGQURLWSVDXAX-IUODEOHRSA-N 0 3 314.458 4.210 20 0 DIADHN COc1cc(CNCc2ccc(F)cc2CSC)ccc1C ZINC000447841277 338568819 /nfs/dbraw/zinc/56/88/19/338568819.db2.gz XBUNRLRXEBXRIC-UHFFFAOYSA-N 0 3 319.445 4.296 20 0 DIADHN Cc1nc(CNC[C@@H]2C[C@@H](C(C)C)c3ccccc32)cs1 ZINC000447899804 338571179 /nfs/dbraw/zinc/57/11/79/338571179.db2.gz XAMTWIWYDPPMRH-KSSFIOAISA-N 0 3 300.471 4.468 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CCCO[C@H]2C(C)(C)C)s1 ZINC000447928449 338571523 /nfs/dbraw/zinc/57/15/23/338571523.db2.gz HOGNQXWCZTWMLF-XJKSGUPXSA-N 0 3 324.534 4.372 20 0 DIADHN CC[C@H]1C[C@H](NCc2ccccc2OC2CCCC2)CCO1 ZINC000449087152 338592220 /nfs/dbraw/zinc/59/22/20/338592220.db2.gz FFKQDXRWOSAART-SJORKVTESA-N 0 3 303.446 4.055 20 0 DIADHN COc1ccc(-n2cc(CN[C@H](C)c3ccc(C)cc3)cn2)cc1 ZINC000449087321 338592222 /nfs/dbraw/zinc/59/22/22/338592222.db2.gz FXCRELJMUIPPEG-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1cnc(CN[C@@H](C)CCc2ccc(OC(C)C)cc2)s1 ZINC000449090987 338592514 /nfs/dbraw/zinc/59/25/14/338592514.db2.gz OXNBIEYCFKQGGP-AWEZNQCLSA-N 0 3 318.486 4.350 20 0 DIADHN Cc1noc(C)c1CN[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000449099127 338593362 /nfs/dbraw/zinc/59/33/62/338593362.db2.gz SNPXYUSIFYIKLU-ZBFHGGJFSA-N 0 3 304.821 4.371 20 0 DIADHN CC[C@H]1C[C@@H](NCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000449104736 338593923 /nfs/dbraw/zinc/59/39/23/338593923.db2.gz AWGAXOAGDGQYMO-KBPBESRZSA-N 0 3 303.377 4.133 20 0 DIADHN CCCN[C@H](C)C(=O)Nc1ccc(S[C@@H](C)CC)cc1C ZINC000449111032 338594317 /nfs/dbraw/zinc/59/43/17/338594317.db2.gz IHOXVTHXRBYYJT-UONOGXRCSA-N 0 3 308.491 4.212 20 0 DIADHN CCCN[C@@H](C)C(=O)Nc1ccccc1Oc1ccc(C)cc1 ZINC000449162595 338598252 /nfs/dbraw/zinc/59/82/52/338598252.db2.gz SJECRXQQUCUDGD-HNNXBMFYSA-N 0 3 312.413 4.114 20 0 DIADHN Cc1nc(CNC2CCC(OC3CCCC3)CC2)sc1C ZINC000449167366 338598650 /nfs/dbraw/zinc/59/86/50/338598650.db2.gz JRGWBMFOWAVHBK-UHFFFAOYSA-N 0 3 308.491 4.120 20 0 DIADHN Cc1cnc([C@H](C)N[C@@H](C)Cc2coc3ccccc23)s1 ZINC000449178499 338599690 /nfs/dbraw/zinc/59/96/90/338599690.db2.gz SRECRWZOUDKCHD-AAEUAGOBSA-N 0 3 300.427 4.480 20 0 DIADHN C[C@@H]1CC[C@@H](C)[C@@H](NCc2nnc(-c3ccccc3)s2)C1 ZINC000449183469 338600217 /nfs/dbraw/zinc/60/02/17/338600217.db2.gz FXJYYBPNIUUXOD-NFAWXSAZSA-N 0 3 301.459 4.119 20 0 DIADHN CCc1noc(CC)c1CNC1CCC(OC2CCCC2)CC1 ZINC000449195392 338601418 /nfs/dbraw/zinc/60/14/18/338601418.db2.gz VIHLZHPGOFCQIR-UHFFFAOYSA-N 0 3 320.477 4.159 20 0 DIADHN C[C@H](CNCc1nc(C2CC2)cs1)c1cc(F)cc(F)c1 ZINC000449196303 338601446 /nfs/dbraw/zinc/60/14/46/338601446.db2.gz XDXSSXSKUFPISS-SNVBAGLBSA-N 0 3 308.397 4.192 20 0 DIADHN COc1cccc2c(CN[C@@H](c3cccnc3)C3CC3)cccc12 ZINC000449203494 338602223 /nfs/dbraw/zinc/60/22/23/338602223.db2.gz HSBKYKFJPQZQBC-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCOC3(CCC3)C2)c2ccccn2)c1 ZINC000449206183 338602397 /nfs/dbraw/zinc/60/23/97/338602397.db2.gz MUHPGBSXCRPULP-ICSRJNTNSA-N 0 3 322.452 4.171 20 0 DIADHN CCOCCC1(CNCc2cc(Cl)sc2Cl)CC1 ZINC000449211402 338602742 /nfs/dbraw/zinc/60/27/42/338602742.db2.gz VCGUUGDZKVUKQU-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN CC(C)[C@@H](N[C@H](C)CC(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000449225120 338604055 /nfs/dbraw/zinc/60/40/55/338604055.db2.gz NUDVWBIVJJIOHY-UKRRQHHQSA-N 0 3 316.449 4.243 20 0 DIADHN Clc1cc(CNCCC[C@@H]2CCCCO2)c(Cl)s1 ZINC000449245019 338606095 /nfs/dbraw/zinc/60/60/95/338606095.db2.gz XRXOQUJWKASFFU-NSHDSACASA-N 0 3 308.274 4.494 20 0 DIADHN Cc1cccc(CN[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c1F ZINC000449245477 338606274 /nfs/dbraw/zinc/60/62/74/338606274.db2.gz BEXJCHILCCBPAP-SJLPKXTDSA-N 0 3 321.342 4.032 20 0 DIADHN Clc1cc(CN[C@@H]2CCO[C@@H](C3CC3)C2)c(Cl)s1 ZINC000449251848 338607081 /nfs/dbraw/zinc/60/70/81/338607081.db2.gz QXAHQLNAIIWKBE-GHMZBOCLSA-N 0 3 306.258 4.102 20 0 DIADHN C[C@H](NCc1cc(COC(C)(C)C)on1)[C@H]1CCCC[C@H]1C ZINC000449259575 338607595 /nfs/dbraw/zinc/60/75/95/338607595.db2.gz UNXDOXDJUVUSHT-KEYYUXOJSA-N 0 3 308.466 4.294 20 0 DIADHN CCOC1CC(CCNCc2cc(Cl)sc2Cl)C1 ZINC000449278962 338608966 /nfs/dbraw/zinc/60/89/66/338608966.db2.gz NFKNNFBOWBWYAV-UHFFFAOYSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@@H](CN[C@H](C)c1c(F)cncc1F)c1cc(F)cc(F)c1 ZINC000449322604 338612694 /nfs/dbraw/zinc/61/26/94/338612694.db2.gz YENCGAFRQZGSII-VHSXEESVSA-N 0 3 312.310 4.092 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C3CC3)c2)cc1 ZINC000449349912 338616376 /nfs/dbraw/zinc/61/63/76/338616376.db2.gz KYWMPMXBGDCISP-AWEZNQCLSA-N 0 3 309.409 4.201 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(F)cccc32)cc1OC ZINC000449350267 338616388 /nfs/dbraw/zinc/61/63/88/338616388.db2.gz LSZJJUMEJZSOMJ-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN CC(F)(F)CCNCC1=Cc2cc(Cl)cc(Cl)c2OC1 ZINC000449354303 338617116 /nfs/dbraw/zinc/61/71/16/338617116.db2.gz MCHWSGVDTPYANY-UHFFFAOYSA-N 0 3 322.182 4.404 20 0 DIADHN CCCc1csc(CNC[C@@H]2CSc3ccccc32)n1 ZINC000449367511 338618222 /nfs/dbraw/zinc/61/82/22/338618222.db2.gz WTCGVSCBJBOKSM-GFCCVEGCSA-N 0 3 304.484 4.075 20 0 DIADHN OC1CCC2(CC1)CCN(Cc1cc3cc(F)ccc3o1)CC2 ZINC000449369227 338618357 /nfs/dbraw/zinc/61/83/57/338618357.db2.gz SBJXQXFGPIANSY-UHFFFAOYSA-N 0 3 317.404 4.089 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1cccc(C(F)(F)F)n1 ZINC000449401159 338620997 /nfs/dbraw/zinc/62/09/97/338620997.db2.gz LOWXWKGELQPRGA-VIFPVBQESA-N 0 3 314.273 4.311 20 0 DIADHN Cc1nc(CN[C@H](C)CC(C)(C)C)sc1Br ZINC000449402240 338621297 /nfs/dbraw/zinc/62/12/97/338621297.db2.gz QGVAVLBCOLTHMQ-MRVPVSSYSA-N 0 3 305.285 4.128 20 0 DIADHN Cc1ccccc1C1CC(NCc2cccc(C(F)(F)F)n2)C1 ZINC000449403632 338621303 /nfs/dbraw/zinc/62/13/03/338621303.db2.gz XELLQAGELNJTNG-UHFFFAOYSA-N 0 3 320.358 4.445 20 0 DIADHN CSCc1cnc(CNC2CCC(CSC)CC2)s1 ZINC000449420451 338622882 /nfs/dbraw/zinc/62/28/82/338622882.db2.gz FVJLWYJKIBUXHX-UHFFFAOYSA-N 0 3 316.561 4.018 20 0 DIADHN CCc1cc(OC)ccc1CN[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000449423627 338623039 /nfs/dbraw/zinc/62/30/39/338623039.db2.gz IDJWKNILTRTMSS-AUUYWEPGSA-N 0 3 311.425 4.260 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc3c(cccc3F)[nH]2)c2ccccc2O1 ZINC000449424560 338623231 /nfs/dbraw/zinc/62/32/31/338623231.db2.gz JRXNGEBMIUQPRE-KZULUSFZSA-N 0 3 310.372 4.309 20 0 DIADHN CSCC1CCC(NCc2cnc(C(F)(F)F)s2)CC1 ZINC000449426757 338623341 /nfs/dbraw/zinc/62/33/41/338623341.db2.gz VKEUJDUREJCSJX-UHFFFAOYSA-N 0 3 324.437 4.173 20 0 DIADHN Cc1ccc(O)c(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)n1 ZINC000449448005 338625059 /nfs/dbraw/zinc/62/50/59/338625059.db2.gz DGJZBCHOITXEGC-KRWDZBQOSA-N 0 3 320.795 4.129 20 0 DIADHN Cc1cccnc1CCCNCc1ccccc1OC(F)(F)F ZINC000449485146 338629735 /nfs/dbraw/zinc/62/97/35/338629735.db2.gz PCTRRPJQCZUNID-UHFFFAOYSA-N 0 3 324.346 4.011 20 0 DIADHN CC(C)(NCc1csc(COc2ccccc2)n1)C1CCC1 ZINC000449520361 338633964 /nfs/dbraw/zinc/63/39/64/338633964.db2.gz PBHQTVCAHAHUDU-UHFFFAOYSA-N 0 3 316.470 4.390 20 0 DIADHN Cc1ccncc1CCCN1CC(c2ccc(Cl)cc2)C1 ZINC000449558395 338637619 /nfs/dbraw/zinc/63/76/19/338637619.db2.gz XTGULNBGRUNBMA-UHFFFAOYSA-N 0 3 300.833 4.075 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CC=C(c3ccc(F)cc3)CC2)c2nccn21 ZINC000449573774 338638988 /nfs/dbraw/zinc/63/89/88/338638988.db2.gz SAEKDFULQYCCJZ-XWIAVFTESA-N 0 3 311.404 4.254 20 0 DIADHN CCCc1ncc(CN[C@@H]2CCC[C@H]2c2ccc(F)cc2)o1 ZINC000449612482 338641004 /nfs/dbraw/zinc/64/10/04/338641004.db2.gz MKMAXSSDADKEDU-DLBZAZTESA-N 0 3 302.393 4.192 20 0 DIADHN CC1(C2(NCc3cnc(-c4ccccc4F)s3)CC2)CC1 ZINC000449669440 338645268 /nfs/dbraw/zinc/64/52/68/338645268.db2.gz MYSYGHVGWVCEFK-UHFFFAOYSA-N 0 3 302.418 4.372 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCC[C@@H]2CCOC2)o1 ZINC000449684799 338646032 /nfs/dbraw/zinc/64/60/32/338646032.db2.gz QFMNMNSRIOEZRQ-CYBMUJFWSA-N 0 3 321.367 4.131 20 0 DIADHN Cc1ncc(CNC2(C(C)(C)c3ccc(F)cc3)CC2)s1 ZINC000449701529 338647290 /nfs/dbraw/zinc/64/72/90/338647290.db2.gz STRBCIYSUIDHTF-UHFFFAOYSA-N 0 3 304.434 4.191 20 0 DIADHN Cc1csc(CNC2(C(C)(C)c3ccc(F)cc3)CC2)n1 ZINC000449705087 338647367 /nfs/dbraw/zinc/64/73/67/338647367.db2.gz ZXEGOTLWHIKKNB-UHFFFAOYSA-N 0 3 304.434 4.191 20 0 DIADHN CC[C@H](c1ccccc1F)N1CCC(OCCOC(C)C)CC1 ZINC000449731738 338648439 /nfs/dbraw/zinc/64/84/39/338648439.db2.gz XAHAXVBHSSZPGP-LJQANCHMSA-N 0 3 323.452 4.183 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccccc2C)cc1Cl ZINC000450664177 338696927 /nfs/dbraw/zinc/69/69/27/338696927.db2.gz UMWLNSFVNWKNAI-CYBMUJFWSA-N 0 3 317.816 4.286 20 0 DIADHN COC(=O)c1ccc(CNC(C)(C)c2cccs2)cc1Cl ZINC000450729782 338702064 /nfs/dbraw/zinc/70/20/64/338702064.db2.gz QSUJFXKBWFUISO-UHFFFAOYSA-N 0 3 323.845 4.213 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@@H]1CCCCC1(F)F ZINC000450758266 338704005 /nfs/dbraw/zinc/70/40/05/338704005.db2.gz OBJOLBKJHNXUTP-ZDUSSCGKSA-N 0 3 321.415 4.224 20 0 DIADHN COc1cccc(C2(F)CCN(CC3CC(F)(F)C3)CC2)c1 ZINC000450912531 338712109 /nfs/dbraw/zinc/71/21/09/338712109.db2.gz XPNFUTZKLISMEN-UHFFFAOYSA-N 0 3 313.363 4.001 20 0 DIADHN FC1(c2ccccn2)CCN(Cc2cc3ccccc3o2)CC1 ZINC000450927759 338712724 /nfs/dbraw/zinc/71/27/24/338712724.db2.gz DEGXWPHQGGJMLG-UHFFFAOYSA-N 0 3 310.372 4.289 20 0 DIADHN CCC(=O)CCCN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000450977135 338715265 /nfs/dbraw/zinc/71/52/65/338715265.db2.gz UHHSHCUTFHWCAQ-SFHVURJKSA-N 0 3 309.400 4.308 20 0 DIADHN C[C@H](F)CCN1CCC(c2nc(C(F)(F)F)cs2)CC1 ZINC000451297144 338728769 /nfs/dbraw/zinc/72/87/69/338728769.db2.gz SEKOXIQVFRGRSQ-VIFPVBQESA-N 0 3 310.360 4.089 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1ncc(C2CC2)o1 ZINC000451297416 338728774 /nfs/dbraw/zinc/72/87/74/338728774.db2.gz ODPSJIHUQWIUFU-RYUDHWBXSA-N 0 3 302.340 4.153 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](OCc2ccccc2)C1(C)C)c1cccnc1 ZINC000451490625 338736491 /nfs/dbraw/zinc/73/64/91/338736491.db2.gz GVNIXJKYAWWPDP-IPELMVKDSA-N 0 3 310.441 4.116 20 0 DIADHN C[C@H](N[C@H]1C[C@H](OCc2ccccc2)C1(C)C)c1ccccn1 ZINC000451510508 338737215 /nfs/dbraw/zinc/73/72/15/338737215.db2.gz YDTWXRHUMBQTBJ-SNRMKQJTSA-N 0 3 310.441 4.116 20 0 DIADHN CCc1ccccc1CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000452055054 338752157 /nfs/dbraw/zinc/75/21/57/338752157.db2.gz BFEMKGWAIZRNTF-SFHVURJKSA-N 0 3 315.482 4.304 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2Cl)c1 ZINC000452092763 338753513 /nfs/dbraw/zinc/75/35/13/338753513.db2.gz UBLJVOCCNCHCTR-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@@H](C)Cc1c(C)noc1C ZINC000194896421 338775607 /nfs/dbraw/zinc/77/56/07/338775607.db2.gz LAYXVUHXTKMJSI-NWDGAFQWSA-N 0 3 304.459 4.295 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cccc(O)c1)c1cccc(Cl)c1 ZINC000194928669 338781143 /nfs/dbraw/zinc/78/11/43/338781143.db2.gz KLVCISMMYFFSGC-YVEFUNNKSA-N 0 3 305.805 4.084 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000194964363 338785800 /nfs/dbraw/zinc/78/58/00/338785800.db2.gz NWSXSOKXDGHNKQ-LRDDRELGSA-N 0 3 309.331 4.389 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1csc(C(C)(C)C)n1 ZINC000453081536 338791433 /nfs/dbraw/zinc/79/14/33/338791433.db2.gz CABCIVBCTINWTH-CQSZACIVSA-N 0 3 317.502 4.337 20 0 DIADHN C[C@@H](NCCSCc1cccc2ccccc21)c1ccncn1 ZINC000453081012 338791464 /nfs/dbraw/zinc/79/14/64/338791464.db2.gz DOOHYXSTNAHIGM-OAHLLOKOSA-N 0 3 323.465 4.214 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](CC)c2ccncc2)cc1OC ZINC000453086333 338792129 /nfs/dbraw/zinc/79/21/29/338792129.db2.gz VGJBKGLFOYSUSJ-RHSMWYFYSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H]1CC[C@@H](NCc2ccc(-n3cncn3)cc2)c2sccc21 ZINC000453107219 338794624 /nfs/dbraw/zinc/79/46/24/338794624.db2.gz KRZHLKUIJAIZSP-SUMWQHHRSA-N 0 3 324.453 4.057 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1nccn1CC ZINC000453138912 338798617 /nfs/dbraw/zinc/79/86/17/338798617.db2.gz HRZLFMGAUHQMGO-XJKSGUPXSA-N 0 3 305.853 4.228 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC1(c2ccc(F)cc2Cl)CC1 ZINC000453159672 338801072 /nfs/dbraw/zinc/80/10/72/338801072.db2.gz FEGUQSSPTGAPAE-GFCCVEGCSA-N 0 3 321.827 4.078 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cc(-c2ccccc2)nn1C ZINC000453165837 338801738 /nfs/dbraw/zinc/80/17/38/338801738.db2.gz SQNCKAGXCNYKTK-UKRRQHHQSA-N 0 3 319.399 4.316 20 0 DIADHN CC[C@@H](NCCOCc1cccc(OC)c1)c1ccsc1 ZINC000453184236 338804083 /nfs/dbraw/zinc/80/40/83/338804083.db2.gz UEDUNIQASQVFGN-QGZVFWFLSA-N 0 3 305.443 4.014 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccncn1)c1ccc(Cl)cc1 ZINC000453209625 338808228 /nfs/dbraw/zinc/80/82/28/338808228.db2.gz KPIDPNMPZFNRIV-BBRMVZONSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000453213557 338808778 /nfs/dbraw/zinc/80/87/78/338808778.db2.gz QDQXTSYXUIEVEZ-QWQRMKEZSA-N 0 3 314.454 4.276 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CSc2ccccc21)c1ccc(F)cn1 ZINC000453222164 338809827 /nfs/dbraw/zinc/80/98/27/338809827.db2.gz QWDJAWKDCVSNFN-ACJLOTCBSA-N 0 3 316.445 4.397 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@@H]1CCn2ccnc21 ZINC000453224233 338810216 /nfs/dbraw/zinc/81/02/16/338810216.db2.gz MKRKBGVLBUUXLI-AEFFLSMTSA-N 0 3 317.864 4.228 20 0 DIADHN C[C@H](NC[C@@H]1CCCCC1(F)F)c1cnn(C2CCCC2)c1 ZINC000453240894 338812806 /nfs/dbraw/zinc/81/28/06/338812806.db2.gz CMAHBUNZLDIQGQ-ZFWWWQNUSA-N 0 3 311.420 4.474 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccncc1 ZINC000453250541 338814368 /nfs/dbraw/zinc/81/43/68/338814368.db2.gz ZLVXCXGCVLDKJU-RDTXWAMCSA-N 0 3 324.403 4.208 20 0 DIADHN Cn1ccnc1[C@H](NC[C@H]1CCC[C@H]1c1ccccc1)C1CC1 ZINC000453266094 338816274 /nfs/dbraw/zinc/81/62/74/338816274.db2.gz KJXLXZIEARTEQI-CEXWTWQISA-N 0 3 309.457 4.045 20 0 DIADHN CC[C@H](C)[C@H](CN[C@@H](C)c1ccc(SC)c(OC)c1)OC ZINC000453267918 338816332 /nfs/dbraw/zinc/81/63/32/338816332.db2.gz NQJJWZZTHMFNKR-XEZPLFJOSA-N 0 3 311.491 4.129 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H]3CCOC3(C)C)oc21 ZINC000453280673 338818078 /nfs/dbraw/zinc/81/80/78/338818078.db2.gz HECVLAAIIADKHG-MLGOLLRUSA-N 0 3 303.402 4.050 20 0 DIADHN CC[C@H](NCc1ccnc(C(F)(F)F)c1)c1cccs1 ZINC000453282732 338818345 /nfs/dbraw/zinc/81/83/45/338818345.db2.gz IWGHTBPRVDECML-NSHDSACASA-N 0 3 300.349 4.403 20 0 DIADHN C[C@@H](NCc1ccnc(C(F)(F)F)c1)c1ccc2c(c1)CCC2 ZINC000453282669 338818367 /nfs/dbraw/zinc/81/83/67/338818367.db2.gz IRIBMCALMYHBMB-GFCCVEGCSA-N 0 3 320.358 4.440 20 0 DIADHN C[C@@H](NCC1=CCOCC1)c1ccc(SCC(F)F)cc1 ZINC000453285577 338818793 /nfs/dbraw/zinc/81/87/93/338818793.db2.gz JMPJMARKQWSYAL-GFCCVEGCSA-N 0 3 313.413 4.041 20 0 DIADHN CC[C@H](NCc1ccnc(C(F)(F)F)c1)c1cccc(F)c1 ZINC000453290411 338819407 /nfs/dbraw/zinc/81/94/07/338819407.db2.gz RPMFGAJSBUFOEX-AWEZNQCLSA-N 0 3 312.310 4.480 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCc2ncccc2C)c1 ZINC000453301648 338821100 /nfs/dbraw/zinc/82/11/00/338821100.db2.gz ADKZCWXBWWJCPK-AWEZNQCLSA-N 0 3 320.383 4.193 20 0 DIADHN C[C@H](NCC1(CF)CCOCC1)c1cccc(Cl)c1Cl ZINC000453307767 338821903 /nfs/dbraw/zinc/82/19/03/338821903.db2.gz KRVCWKAHLGNDGF-NSHDSACASA-N 0 3 320.235 4.410 20 0 DIADHN Cc1csc(CN[C@@H]2CCCc3nn(-c4ccccc4)cc32)c1 ZINC000453306539 338821692 /nfs/dbraw/zinc/82/16/92/338821692.db2.gz AOALWINUZHAPBI-GOSISDBHSA-N 0 3 323.465 4.409 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@@H]1CCCC1(F)F)CCS2 ZINC000453313850 338823105 /nfs/dbraw/zinc/82/31/05/338823105.db2.gz DKKZUAWFSVUTJK-SMDDNHRTSA-N 0 3 313.413 4.257 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@H]1CCCC1(F)F)CCS2 ZINC000453313848 338823131 /nfs/dbraw/zinc/82/31/31/338823131.db2.gz DKKZUAWFSVUTJK-RISCZKNCSA-N 0 3 313.413 4.257 20 0 DIADHN CC[C@@H](NCC1(CF)CCOCC1)c1cccc(Cl)c1F ZINC000453316484 338823458 /nfs/dbraw/zinc/82/34/58/338823458.db2.gz WYAZKCIUUWSGMD-CQSZACIVSA-N 0 3 317.807 4.286 20 0 DIADHN CC[C@@H](N[C@H](C)CC(C)(C)O)c1ccccc1Br ZINC000453317531 338823691 /nfs/dbraw/zinc/82/36/91/338823691.db2.gz KZKDSAYAWNNROP-BXUZGUMPSA-N 0 3 314.267 4.039 20 0 DIADHN Cc1csc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000453321322 338824554 /nfs/dbraw/zinc/82/45/54/338824554.db2.gz PSOQQFGNZHGDJS-YJBOKZPZSA-N 0 3 301.455 4.314 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1ccccc1N(C)C ZINC000453338828 338827433 /nfs/dbraw/zinc/82/74/33/338827433.db2.gz BAWITVDVPBKPFT-LPHOPBHVSA-N 0 3 323.484 4.375 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCc1cnc(C)cc1C)CCCO2 ZINC000453340068 338827460 /nfs/dbraw/zinc/82/74/60/338827460.db2.gz BXHNXHHRRHAQBA-LJQANCHMSA-N 0 3 310.441 4.319 20 0 DIADHN COCCC[C@H](NCc1cnc(C)cc1C)c1ccc(F)cc1 ZINC000453343142 338827951 /nfs/dbraw/zinc/82/79/51/338827951.db2.gz DLYQKMQGPQBPSJ-IBGZPJMESA-N 0 3 316.420 4.095 20 0 DIADHN Cc1cc(C)c(CN[C@H]2CCCOc3cc(C)c(C)cc32)cn1 ZINC000453349120 338829147 /nfs/dbraw/zinc/82/91/47/338829147.db2.gz HIHQWCIFNOJNCL-IBGZPJMESA-N 0 3 310.441 4.319 20 0 DIADHN Cc1ccnc([C@H](C)NC2(Cc3cccc(Cl)c3)CC2)c1 ZINC000453354629 338830296 /nfs/dbraw/zinc/83/02/96/338830296.db2.gz IMDXTFSNZMSCBC-AWEZNQCLSA-N 0 3 300.833 4.469 20 0 DIADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1ncc(Br)s1 ZINC000453402546 338838628 /nfs/dbraw/zinc/83/86/28/338838628.db2.gz AGEKNFVBAQLXPG-QPUJVOFHSA-N 0 3 315.280 4.135 20 0 DIADHN C[C@H](NCC(C)(C)C(C)(C)O)c1nc(-c2ccccc2)cs1 ZINC000453368447 338832887 /nfs/dbraw/zinc/83/28/87/338832887.db2.gz QJESARNQAUZZIU-ZDUSSCGKSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H](NCCC1(CO)CC1)c1cc2c(ccc3ccccc23)o1 ZINC000453369359 338832980 /nfs/dbraw/zinc/83/29/80/338832980.db2.gz XHCFSCMISGUWNT-AWEZNQCLSA-N 0 3 309.409 4.399 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](Cc1ccccc1Cl)C1CC1 ZINC000453372033 338833402 /nfs/dbraw/zinc/83/34/02/338833402.db2.gz WMFUREQDUWWCMV-GUYCJALGSA-N 0 3 317.864 4.228 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H]2CCCC[C@@H]2c2ccccc2)c2nccn21 ZINC000453378518 338834566 /nfs/dbraw/zinc/83/45/66/338834566.db2.gz YMEPFURJNKNHBC-OWYHZJEWSA-N 0 3 309.457 4.453 20 0 DIADHN CCc1ncc(CN[C@H](CSc2ccccc2)C(C)C)o1 ZINC000453396683 338837753 /nfs/dbraw/zinc/83/77/53/338837753.db2.gz VCLNXHRIUAFJDH-MRXNPFEDSA-N 0 3 304.459 4.144 20 0 DIADHN CC[C@H](N[C@@H](C)Cc1cc(F)cc(OC)c1)c1ccc(F)cn1 ZINC000453402106 338838715 /nfs/dbraw/zinc/83/87/15/338838715.db2.gz PPJFYVHWAHOVSI-SJCJKPOMSA-N 0 3 320.383 4.040 20 0 DIADHN CCc1ncc(CN[C@@H](Cc2ccccc2Cl)C2CC2)o1 ZINC000453402328 338838717 /nfs/dbraw/zinc/83/87/17/338838717.db2.gz ZXZZBLBQFWOQSG-INIZCTEOSA-N 0 3 304.821 4.001 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](C)Cc1cc(F)cc(OC)c1 ZINC000453406178 338839436 /nfs/dbraw/zinc/83/94/36/338839436.db2.gz TYWOONHMGLFUFE-UONOGXRCSA-N 0 3 316.420 4.074 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCc3ccc(C)cn3)oc21 ZINC000453422990 338841193 /nfs/dbraw/zinc/84/11/93/338841193.db2.gz MAIMLJDXEOCDCY-OAHLLOKOSA-N 0 3 324.424 4.428 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccc(Cl)cn1 ZINC000453425037 338841362 /nfs/dbraw/zinc/84/13/62/338841362.db2.gz NPOYCZRZWPACNA-UXIGCNINSA-N 0 3 322.861 4.367 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)o1 ZINC000453439334 338842270 /nfs/dbraw/zinc/84/22/70/338842270.db2.gz WDVDOWZEJVDFQZ-XJKCOSOUSA-N 0 3 305.443 4.474 20 0 DIADHN CCC[C@@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccccn1 ZINC000453440142 338842382 /nfs/dbraw/zinc/84/23/82/338842382.db2.gz WJBGIGVAVBLTFT-DJIMGWMZSA-N 0 3 316.470 4.494 20 0 DIADHN CC[C@]1(C(C)C)C[C@H]1N[C@H]1CCC(=O)Nc2ccc(F)cc21 ZINC000453458336 338843444 /nfs/dbraw/zinc/84/34/44/338843444.db2.gz VWYPNPBAHMZNAH-YXJHDRRASA-N 0 3 304.409 4.013 20 0 DIADHN Cc1cc(Br)c(CNC[C@H](C)C(F)(F)F)s1 ZINC000453572754 338848528 /nfs/dbraw/zinc/84/85/28/338848528.db2.gz OFYCOVGQSUXGAR-LURJTMIESA-N 0 3 316.186 4.107 20 0 DIADHN CC1(C)C[C@@H](CNCc2nc(-c3ccccc3)cs2)CCO1 ZINC000453584382 338849209 /nfs/dbraw/zinc/84/92/09/338849209.db2.gz QFNVMPABQUWGOD-AWEZNQCLSA-N 0 3 316.470 4.105 20 0 DIADHN CC1(C)C[C@H](CNCc2csc(-c3ccsc3)n2)CCO1 ZINC000453584935 338849211 /nfs/dbraw/zinc/84/92/11/338849211.db2.gz RIDPEVZVAZYLJO-GFCCVEGCSA-N 0 3 322.499 4.166 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000454302546 338860373 /nfs/dbraw/zinc/86/03/73/338860373.db2.gz ORUHOWZSLUORBC-LJQANCHMSA-N 0 3 317.477 4.181 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]([C@H]2CCOC2)C1)c1cccc(F)c1F ZINC000467351709 338881915 /nfs/dbraw/zinc/88/19/15/338881915.db2.gz CGRWKWYZYYXDID-ZQDZILKHSA-N 0 3 309.400 4.211 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)OCCCO3)cc1Cl ZINC000195440838 338899850 /nfs/dbraw/zinc/89/98/50/338899850.db2.gz JLSRUWNHOURIOF-UHFFFAOYSA-N 0 3 317.816 4.100 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCN1CCC(C)CC1 ZINC000195600407 338909762 /nfs/dbraw/zinc/90/97/62/338909762.db2.gz JLKLFNBANHZSPT-AWEZNQCLSA-N 0 3 323.550 4.132 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cc(Cl)ccc2C)cc1 ZINC000195651174 338912420 /nfs/dbraw/zinc/91/24/20/338912420.db2.gz RTGHSMXUCXPHGS-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1CC(C(C)(C)C)C1 ZINC000457623233 338972610 /nfs/dbraw/zinc/97/26/10/338972610.db2.gz MWMLFXNNSAERGU-UHFFFAOYSA-N 0 3 302.462 4.067 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000458267650 338994038 /nfs/dbraw/zinc/99/40/38/338994038.db2.gz PWKVEQMILFRHJZ-UHFFFAOYSA-N 0 3 314.429 4.334 20 0 DIADHN Cc1cc(NC(=O)c2sccc2C2CC2)ccc1CN(C)C ZINC000458294174 338995048 /nfs/dbraw/zinc/99/50/48/338995048.db2.gz VOYPBPSFBOFRMT-UHFFFAOYSA-N 0 3 314.454 4.248 20 0 DIADHN CSc1ccccc1C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000458320317 338995937 /nfs/dbraw/zinc/99/59/37/338995937.db2.gz PWJWBYMNXAOOIX-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CCCC[C@H](C)N(CCCC)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000458645235 339002484 /nfs/dbraw/zinc/00/24/84/339002484.db2.gz YHPOIMIIBFGHKV-IRXDYDNUSA-N 0 3 323.525 4.027 20 0 DIADHN C[C@H]1CCCN(Cc2cn(C3CCCC3)nc2-c2ccccn2)C1 ZINC000459283634 339019177 /nfs/dbraw/zinc/01/91/77/339019177.db2.gz AFOINWIFPLMSNL-INIZCTEOSA-N 0 3 324.472 4.292 20 0 DIADHN C[C@@H](c1cccs1)N(CCc1cn[nH]c1)Cc1ccccc1 ZINC000459325753 339021812 /nfs/dbraw/zinc/02/18/12/339021812.db2.gz LWJWHWIAANJZBY-HNNXBMFYSA-N 0 3 311.454 4.277 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459338702 339022813 /nfs/dbraw/zinc/02/28/13/339022813.db2.gz CMNOUPJUDHIFKI-IBGZPJMESA-N 0 3 309.457 4.492 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2cnc(C3CC3)nc2)cc1 ZINC000459357112 339024189 /nfs/dbraw/zinc/02/41/89/339024189.db2.gz CQHOFFNAMFDTRF-VQTJNVASSA-N 0 3 321.468 4.431 20 0 DIADHN CCOc1cc(C[NH2+]C(C)(C)c2ccccc2)cc(Cl)c1[O-] ZINC000459385739 339026137 /nfs/dbraw/zinc/02/61/37/339026137.db2.gz AGERGYXWZUAVSN-UHFFFAOYSA-N 0 3 319.832 4.469 20 0 DIADHN Cc1ccnc(OC2CCN(CC3CCC(F)(F)CC3)CC2)c1 ZINC000459398214 339027040 /nfs/dbraw/zinc/02/70/40/339027040.db2.gz AKBQEUAZXUKAEI-UHFFFAOYSA-N 0 3 324.415 4.059 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CC2CCC(F)(F)CC2)o1 ZINC000459407854 339027376 /nfs/dbraw/zinc/02/73/76/339027376.db2.gz VXEJFMIXYTVNLZ-HNNXBMFYSA-N 0 3 313.388 4.388 20 0 DIADHN Cc1ncc(-c2ccccc2)cc1NC1CCN(C2CC2)CC1 ZINC000459446275 339029710 /nfs/dbraw/zinc/02/97/10/339029710.db2.gz DUHMEJWTZYBCRQ-UHFFFAOYSA-N 0 3 307.441 4.096 20 0 DIADHN CCOc1cc(C[NH2+]C2CCC(F)(F)CC2)cc(Cl)c1[O-] ZINC000459450662 339030043 /nfs/dbraw/zinc/03/00/43/339030043.db2.gz JYQJFIXQXOXTQG-UHFFFAOYSA-N 0 3 319.779 4.112 20 0 DIADHN c1cn(-c2ccccc2CNCc2ccc(C3CC3)cc2)cn1 ZINC000459465098 339030818 /nfs/dbraw/zinc/03/08/18/339030818.db2.gz IRGRTOBEWYRHCM-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cnc(C2CC2)nc1 ZINC000459472570 339031170 /nfs/dbraw/zinc/03/11/70/339031170.db2.gz CAUOPNUMWUHZJU-IFXJQAMLSA-N 0 3 307.441 4.157 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3cnc(C4CC4)nc3)C2)cc1 ZINC000459510599 339033364 /nfs/dbraw/zinc/03/33/64/339033364.db2.gz WXSCOHUWCZEVQT-BEFAXECRSA-N 0 3 307.441 4.040 20 0 DIADHN FC1(c2cccnc2)CCN(CCc2cccc(Cl)c2)CC1 ZINC000459513369 339033491 /nfs/dbraw/zinc/03/34/91/339033491.db2.gz AJZIBBMCSRWBKB-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN Clc1ccc(CCCCNCc2cnc(C3CC3)nc2)s1 ZINC000459526480 339034050 /nfs/dbraw/zinc/03/40/50/339034050.db2.gz OGAKVNXZXIKRAU-UHFFFAOYSA-N 0 3 321.877 4.181 20 0 DIADHN Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccc(C2CC2)cc1 ZINC000459542713 339034977 /nfs/dbraw/zinc/03/49/77/339034977.db2.gz LOBASLOKIQWZFR-UXHICEINSA-N 0 3 319.452 4.118 20 0 DIADHN C[C@H]1CC[C@@H](c2ccccc2)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459551703 339035401 /nfs/dbraw/zinc/03/54/01/339035401.db2.gz XCGBBYBIQWTRNJ-KXBFYZLASA-N 0 3 307.441 4.327 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)nc1)c1ccc(F)c2ccccc21 ZINC000459563256 339035975 /nfs/dbraw/zinc/03/59/75/339035975.db2.gz CFXWBAZXPPEHQC-ZDUSSCGKSA-N 0 3 321.399 4.497 20 0 DIADHN CCn1cc(CCN2CC3(CCCC3)[C@H]2c2ccccc2)cn1 ZINC000459568852 339036452 /nfs/dbraw/zinc/03/64/52/339036452.db2.gz BUSMVWJJKRXVQF-LJQANCHMSA-N 0 3 309.457 4.063 20 0 DIADHN CCOCCCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459593222 339037633 /nfs/dbraw/zinc/03/76/33/339037633.db2.gz OUEDRXOSEVZCBN-MRXNPFEDSA-N 0 3 321.852 4.170 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000459612089 339038506 /nfs/dbraw/zinc/03/85/06/339038506.db2.gz MDJSTDFIHBWELY-YVEFUNNKSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@@H](CCSc1ccccc1)N[C@@H](C)c1cncc(F)c1 ZINC000459616968 339038661 /nfs/dbraw/zinc/03/86/61/339038661.db2.gz AKYLZXFXDGVFIP-KBPBESRZSA-N 0 3 304.434 4.442 20 0 DIADHN C[C@H](NC1CC(c2ccccc2Cl)C1)c1cncc(F)c1 ZINC000459642991 339039892 /nfs/dbraw/zinc/03/98/92/339039892.db2.gz CIRXAZHMWWPICH-BZUNDVKYSA-N 0 3 304.796 4.471 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cc2ccc(F)cc2[nH]1 ZINC000459646858 339040180 /nfs/dbraw/zinc/04/01/80/339040180.db2.gz CMYZVSNHOZQLQL-ZDUSSCGKSA-N 0 3 312.388 4.475 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2cccc(Br)c2)c1 ZINC000459651102 339040587 /nfs/dbraw/zinc/04/05/87/339040587.db2.gz YNEXEJGTRVYYCY-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000459664619 339041481 /nfs/dbraw/zinc/04/14/81/339041481.db2.gz IUDRGZNUSJSDCQ-CZUORRHYSA-N 0 3 321.827 4.211 20 0 DIADHN Cc1ncc(CN[C@@H](C)CCCOc2ccc(Cl)c(C)c2)o1 ZINC000459674841 339042377 /nfs/dbraw/zinc/04/23/77/339042377.db2.gz LKKLUYXVVQZMMD-ZDUSSCGKSA-N 0 3 322.836 4.282 20 0 DIADHN Cc1ncc(CN[C@@H]2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000459675353 339042389 /nfs/dbraw/zinc/04/23/89/339042389.db2.gz MBODQCSQCQSTQH-DZGCQCFKSA-N 0 3 324.346 4.428 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)NCc1cnc(C)o1 ZINC000459674968 339042421 /nfs/dbraw/zinc/04/24/21/339042421.db2.gz LTYYODZECMVQLI-CYBMUJFWSA-N 0 3 313.228 4.401 20 0 DIADHN Cc1ncc(CN[C@H](C)CCc2cccc(C(F)(F)F)c2)o1 ZINC000459678578 339042640 /nfs/dbraw/zinc/04/26/40/339042640.db2.gz RJCSTNYSNSRAJC-LLVKDONJSA-N 0 3 312.335 4.113 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@H]2Cc2ccc(Cl)cc2)o1 ZINC000459679343 339042684 /nfs/dbraw/zinc/04/26/84/339042684.db2.gz CBDLSLKDQWXXFM-YOEHRIQHSA-N 0 3 304.821 4.137 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000459689614 339043229 /nfs/dbraw/zinc/04/32/29/339043229.db2.gz ZFEHNTNEVYEDRL-CVEARBPZSA-N 0 3 305.372 4.046 20 0 DIADHN FC(F)C1CCC(NCc2ncc(C(F)(F)F)s2)CC1 ZINC000459705686 339043717 /nfs/dbraw/zinc/04/37/17/339043717.db2.gz HEEVBBIZWAHHGO-UHFFFAOYSA-N 0 3 314.323 4.075 20 0 DIADHN C[C@H](NCCCc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000459758051 339046695 /nfs/dbraw/zinc/04/66/95/339046695.db2.gz QHIWMSTWNWWCSD-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2ccc(Cl)cc2)C1)c1ccn(C)n1 ZINC000459761883 339046906 /nfs/dbraw/zinc/04/69/06/339046906.db2.gz NEDWCNFLOIQCGI-DUVNUKRYSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2ccccc2F)s1 ZINC000459771285 339047458 /nfs/dbraw/zinc/04/74/58/339047458.db2.gz HPMWXFVWVOCISC-ZSEWYUTFSA-N 0 3 317.433 4.183 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1ccc([C@H](C)C(F)(F)F)cc1 ZINC000459771835 339047699 /nfs/dbraw/zinc/04/76/99/339047699.db2.gz IRWIRCKNZTYZCW-GLQYFDAESA-N 0 3 301.352 4.010 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1ccc([C@@H](C)C(F)(F)F)cc1 ZINC000459771834 339047719 /nfs/dbraw/zinc/04/77/19/339047719.db2.gz IRWIRCKNZTYZCW-DFBGVHRSSA-N 0 3 301.352 4.010 20 0 DIADHN c1sc(COc2ccccc2)nc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459779114 339048120 /nfs/dbraw/zinc/04/81/20/339048120.db2.gz WXTCYHIDKMBLRZ-COXVUDFISA-N 0 3 314.454 4.000 20 0 DIADHN CCC[C@H](NCc1nnc(-c2cccc(Cl)c2)o1)C1CCC1 ZINC000459792212 339048785 /nfs/dbraw/zinc/04/87/85/339048785.db2.gz IMCOOPVXTPNIPW-HNNXBMFYSA-N 0 3 319.836 4.448 20 0 DIADHN Clc1cccc(-c2cnc(CN[C@H]3CCC[C@@H]4C[C@@H]43)o2)c1 ZINC000459793982 339048998 /nfs/dbraw/zinc/04/89/98/339048998.db2.gz VWRGHSVIYXABFY-UGFHNGPFSA-N 0 3 302.805 4.273 20 0 DIADHN COc1ccc([C@H](NC[C@@H](C)SC)c2ccccc2)cc1 ZINC000166173579 339053093 /nfs/dbraw/zinc/05/30/93/339053093.db2.gz BSBZEHHQEMUDAP-RDTXWAMCSA-N 0 3 301.455 4.126 20 0 DIADHN c1ccc(-c2cnc(CN[C@H]3CCCC[C@@H]3C3CC3)nc2)cc1 ZINC000461087851 339058999 /nfs/dbraw/zinc/05/89/99/339058999.db2.gz SRTFJBGOWLYEFH-MOPGFXCFSA-N 0 3 307.441 4.202 20 0 DIADHN CN(CCCC(=O)OC(C)(C)C)Cc1ccc(C(F)F)cc1 ZINC000461387459 339062916 /nfs/dbraw/zinc/06/29/16/339062916.db2.gz MUVMKFMRLZRILS-UHFFFAOYSA-N 0 3 313.388 4.178 20 0 DIADHN CC(C)(O)CNCc1ccccc1Oc1ccc(F)cc1Cl ZINC000461419647 339063612 /nfs/dbraw/zinc/06/36/12/339063612.db2.gz OZPFVYFILDWJMG-UHFFFAOYSA-N 0 3 323.795 4.132 20 0 DIADHN Fc1ccc(Oc2ccccc2CN[C@H]2CCOC2)c(Cl)c1 ZINC000461433984 339063842 /nfs/dbraw/zinc/06/38/42/339063842.db2.gz RZUXXJXKNJCENU-AWEZNQCLSA-N 0 3 321.779 4.150 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(F)cc1OC(C)(C)C)N(CC)CC ZINC000461482660 339064309 /nfs/dbraw/zinc/06/43/09/339064309.db2.gz CNMODDJWPIJYMK-HNNXBMFYSA-N 0 3 324.440 4.062 20 0 DIADHN CCN(CC)CCSc1ncc(C(F)(F)F)cc1Cl ZINC000462114651 339072277 /nfs/dbraw/zinc/07/22/77/339072277.db2.gz XNNGRWBXXLILRM-UHFFFAOYSA-N 0 3 312.788 4.188 20 0 DIADHN Cc1nc2ccc(Br)cc2c(N2CCCC2)c1C ZINC000462122859 339072529 /nfs/dbraw/zinc/07/25/29/339072529.db2.gz VNPKEECRLVJXTE-UHFFFAOYSA-N 0 3 305.219 4.214 20 0 DIADHN CCN(CCC(C)(C)O)[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000462344153 339076325 /nfs/dbraw/zinc/07/63/25/339076325.db2.gz SSXKLGHXQNNWFE-IBGZPJMESA-N 0 3 315.432 4.398 20 0 DIADHN CC(C)Cn1cc(CN[C@H]2c3ccccc3CCC[C@@H]2C)cn1 ZINC000462542113 339080741 /nfs/dbraw/zinc/08/07/41/339080741.db2.gz BETIKDZNRQRPCB-OXJNMPFZSA-N 0 3 311.473 4.342 20 0 DIADHN COCc1ccc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)o1 ZINC000462543663 339080938 /nfs/dbraw/zinc/08/09/38/339080938.db2.gz UMELNCGKAXULLX-UYAOXDASSA-N 0 3 313.441 4.475 20 0 DIADHN CCN(CC)CC(=O)N(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000462638254 339083053 /nfs/dbraw/zinc/08/30/53/339083053.db2.gz DPRYPHXZNPJETF-GOSISDBHSA-N 0 3 324.468 4.118 20 0 DIADHN CC(C)N(C)CC(=O)N(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000462649180 339083324 /nfs/dbraw/zinc/08/33/24/339083324.db2.gz WEFWPVRJZUZLRD-GOSISDBHSA-N 0 3 324.468 4.117 20 0 DIADHN Fc1cccc(CN[C@H]2CCCN(c3ccccc3Cl)C2)c1 ZINC000462717165 339084766 /nfs/dbraw/zinc/08/47/66/339084766.db2.gz HQGOKKBLSOSEJY-INIZCTEOSA-N 0 3 318.823 4.238 20 0 DIADHN CC(C)(C)OC(=O)CCNCc1cc(-c2ccccc2)cs1 ZINC000462728117 339085078 /nfs/dbraw/zinc/08/50/78/339085078.db2.gz RWEQZOOQRGODAA-UHFFFAOYSA-N 0 3 317.454 4.237 20 0 DIADHN COc1ccc(CN[C@@H](C)CCc2ccc(C)o2)c(Cl)c1 ZINC000462733336 339085423 /nfs/dbraw/zinc/08/54/23/339085423.db2.gz CXVBZZBBHQWDQE-LBPRGKRZSA-N 0 3 307.821 4.361 20 0 DIADHN Cc1ccccc1O[C@@H](C)CNCc1ccccc1OC(F)F ZINC000462735391 339085720 /nfs/dbraw/zinc/08/57/20/339085720.db2.gz DAQPGRONWRHYEB-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN C[C@H](CNCc1cc(F)ccc1F)Oc1ccccc1Cl ZINC000462739460 339086113 /nfs/dbraw/zinc/08/61/13/339086113.db2.gz WOSONFMAAIJZJA-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Cc1ccc(O[C@@H](C)CNCc2ccccc2OC(F)F)cc1 ZINC000462740367 339086120 /nfs/dbraw/zinc/08/61/20/339086120.db2.gz RDABTYSVQILIKX-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@H](CNC/C=C\c1ccccc1)c1ccc(Cl)cc1 ZINC000462740442 339086166 /nfs/dbraw/zinc/08/61/66/339086166.db2.gz HUWVNPWRAYNXIP-GNJLHFKMSA-N 0 3 301.817 4.331 20 0 DIADHN CO[C@H](CNCc1ccccc1Cl)c1ccc(Cl)cc1 ZINC000462739231 339086248 /nfs/dbraw/zinc/08/62/48/339086248.db2.gz NQHJLLOAGCEHMD-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN Cc1ccoc1CN[C@H](COc1ccccc1F)C(C)(C)C ZINC000462743138 339086422 /nfs/dbraw/zinc/08/64/22/339086422.db2.gz JDFULYZTUFXGTB-QGZVFWFLSA-N 0 3 305.393 4.310 20 0 DIADHN CO[C@@H](CNCc1ccc(C)cc1C)c1cccc(Cl)c1 ZINC000462744507 339086470 /nfs/dbraw/zinc/08/64/70/339086470.db2.gz HGOBDMOXAZWHBY-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN CC(C)c1ccc(CCCNCc2cn3ccccc3n2)cc1 ZINC000462747233 339086541 /nfs/dbraw/zinc/08/65/41/339086541.db2.gz WHJZLUKZCWBPDV-UHFFFAOYSA-N 0 3 307.441 4.180 20 0 DIADHN Cc1ccoc1CNCC1(c2cccc(Br)c2)CC1 ZINC000462750072 339086755 /nfs/dbraw/zinc/08/67/55/339086755.db2.gz LZKBTPDVESDRGY-UHFFFAOYSA-N 0 3 320.230 4.172 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H]2C2CCCCC2)c(Cl)c1OC ZINC000462763092 339087530 /nfs/dbraw/zinc/08/75/30/339087530.db2.gz LBPDCIFFXHQDHR-CABCVRRESA-N 0 3 323.864 4.416 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2ccsc21)c1ccc(F)cc1 ZINC000462775311 339087965 /nfs/dbraw/zinc/08/79/65/339087965.db2.gz GDNNSLIIEQGNJN-INIZCTEOSA-N 0 3 315.417 4.150 20 0 DIADHN COc1ccc(F)cc1CNCCCCCOC1CCCCC1 ZINC000462779548 339088116 /nfs/dbraw/zinc/08/81/16/339088116.db2.gz MZMJNPYIRBBLDF-UHFFFAOYSA-N 0 3 323.452 4.444 20 0 DIADHN Cn1cc(CNC2(c3ccc(F)cc3)CCCC2)c(C(F)F)n1 ZINC000462792774 339088875 /nfs/dbraw/zinc/08/88/75/339088875.db2.gz RVOMMGPWXHCFPW-UHFFFAOYSA-N 0 3 323.362 4.056 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000462803518 339089959 /nfs/dbraw/zinc/08/99/59/339089959.db2.gz KBMDPBKRVRQIBN-LRQRDZAKSA-N 0 3 323.436 4.137 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000462816783 339090289 /nfs/dbraw/zinc/09/02/89/339090289.db2.gz RUUNFHQMHJOHRX-WCQGTBRESA-N 0 3 306.397 4.471 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H]2CCN(c3ccccc3F)C2)o1 ZINC000462811008 339090918 /nfs/dbraw/zinc/09/09/18/339090918.db2.gz FFFUZMOQKYANGQ-HUUCEWRRSA-N 0 3 316.420 4.158 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NC[C@](C)(OC)C1CC1 ZINC000462811392 339091032 /nfs/dbraw/zinc/09/10/32/339091032.db2.gz FNQDVWCVPMOJNG-PXAZEXFGSA-N 0 3 311.853 4.204 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000462813826 339091288 /nfs/dbraw/zinc/09/12/88/339091288.db2.gz SQLQTIIIUBGYNY-QUCCMNQESA-N 0 3 323.484 4.273 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000462813828 339091328 /nfs/dbraw/zinc/09/13/28/339091328.db2.gz SQLQTIIIUBGYNY-UYAOXDASSA-N 0 3 323.484 4.273 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NC[C@H]1CC[C@H](c3ccccc3)O1)CCC2 ZINC000462815214 339091408 /nfs/dbraw/zinc/09/14/08/339091408.db2.gz HUKULQVEZPUYHB-HLAWJBBLSA-N 0 3 323.436 4.280 20 0 DIADHN CC(C)C[C@@H](NCc1c2c(nn1C)CCCC2)c1cccs1 ZINC000462820253 339092009 /nfs/dbraw/zinc/09/20/09/339092009.db2.gz UUMAAADVCOUMEG-MRXNPFEDSA-N 0 3 317.502 4.237 20 0 DIADHN C/C(=C/c1ccccc1)CN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000462832011 339093153 /nfs/dbraw/zinc/09/31/53/339093153.db2.gz FYGAKTIQGUYZNU-WJDWOHSUSA-N 0 3 305.421 4.343 20 0 DIADHN CC(C)N(C)CC(=O)N[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462842938 339093861 /nfs/dbraw/zinc/09/38/61/339093861.db2.gz SYMLHSPGMBXPDO-ZDUSSCGKSA-N 0 3 318.848 4.011 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccncc2)C1(C)C ZINC000462843937 339093900 /nfs/dbraw/zinc/09/39/00/339093900.db2.gz KKRGFZCTQAJYBP-AQNXPRMDSA-N 0 3 324.468 4.353 20 0 DIADHN CC(C)N(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@@H]1CCOC1 ZINC000462855212 339094325 /nfs/dbraw/zinc/09/43/25/339094325.db2.gz BKUJRQOQLORZPF-CQSZACIVSA-N 0 3 321.770 4.358 20 0 DIADHN CCC1(C)CCC(Nc2cccc(CN3CC[C@H](O)C3)c2)CC1 ZINC000462865541 339095034 /nfs/dbraw/zinc/09/50/34/339095034.db2.gz BDEXQVFSLWDBJB-SYYJFZTOSA-N 0 3 316.489 4.024 20 0 DIADHN Cc1ccoc1CN[C@H]1C[C@H](c2ccc(Br)cc2)C1 ZINC000462868837 339095316 /nfs/dbraw/zinc/09/53/16/339095316.db2.gz SSLFUNXGOBOGFC-CTYIDZIISA-N 0 3 320.230 4.386 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1Nc1cccc(CN2CC[C@@H](O)C2)c1 ZINC000462878347 339096024 /nfs/dbraw/zinc/09/60/24/339096024.db2.gz RFIHBVTYFFAMHS-MISYRCLQSA-N 0 3 316.489 4.024 20 0 DIADHN CC(C)N(Cc1ccc(-c2ccc(F)cc2)o1)[C@@H]1CCOC1 ZINC000462878828 339096069 /nfs/dbraw/zinc/09/60/69/339096069.db2.gz UMQUHUGOLSYHFF-MRXNPFEDSA-N 0 3 303.377 4.085 20 0 DIADHN C=Cc1ccc(CCNCc2nn(CC)c3ccccc23)cc1 ZINC000462912126 339097831 /nfs/dbraw/zinc/09/78/31/339097831.db2.gz JAEDRTQLLZEGPA-UHFFFAOYSA-N 0 3 305.425 4.032 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2Cc2cn(C)nc2C(F)F)c1 ZINC000462952021 339098780 /nfs/dbraw/zinc/09/87/80/339098780.db2.gz HTTLAPDTMVFNON-INIZCTEOSA-N 0 3 319.399 4.312 20 0 DIADHN C[C@@H](CN[C@@H]1CCOc2c(F)ccc(F)c21)c1ccccc1 ZINC000463015024 339100627 /nfs/dbraw/zinc/10/06/27/339100627.db2.gz WUZYOZSJVNNREH-BLLLJJGKSA-N 0 3 303.352 4.182 20 0 DIADHN CC[C@@H]1CCCN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463074504 339104390 /nfs/dbraw/zinc/10/43/90/339104390.db2.gz FVVOODGGGHWTFK-LLVKDONJSA-N 0 3 323.234 4.212 20 0 DIADHN FC(F)(F)c1cncc(CN2CCC[C@@H]2Cc2ccccc2)c1 ZINC000463077651 339104642 /nfs/dbraw/zinc/10/46/42/339104642.db2.gz KJBUXEUJWZOGPX-QGZVFWFLSA-N 0 3 320.358 4.308 20 0 DIADHN Cc1cc2cc(C(=O)Nc3cccc(CN(C)C)c3)oc2cc1C ZINC000463087369 339105252 /nfs/dbraw/zinc/10/52/52/339105252.db2.gz KTJCRWZUUXNFBX-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463098997 339105662 /nfs/dbraw/zinc/10/56/62/339105662.db2.gz NSFRWXZIPMGGOI-LLVKDONJSA-N 0 3 323.234 4.068 20 0 DIADHN Cc1ccc(Oc2ccc(NC(=O)C(C)C(F)(F)F)cn2)cc1 ZINC000463132640 339106839 /nfs/dbraw/zinc/10/68/39/339106839.db2.gz UDMPUBBTLHFVQE-LLVKDONJSA-N 0 3 324.302 4.319 20 0 DIADHN CC(C)N(Cc1nc2ccc(Br)cc2o1)C(C)C ZINC000463162493 339107648 /nfs/dbraw/zinc/10/76/48/339107648.db2.gz PNHDZKKQTGNDPA-UHFFFAOYSA-N 0 3 311.223 4.209 20 0 DIADHN CCOc1cc(C)ccc1CNC1CCN(c2ccccc2)CC1 ZINC000463188869 339108659 /nfs/dbraw/zinc/10/86/59/339108659.db2.gz FGAVEWRTWIBQTG-UHFFFAOYSA-N 0 3 324.468 4.152 20 0 DIADHN Cc1ccccc1C[C@@H](C)N(C)Cc1cncc(C(F)(F)F)c1 ZINC000463191552 339108754 /nfs/dbraw/zinc/10/87/54/339108754.db2.gz ICTZZQDIBVKDKN-CQSZACIVSA-N 0 3 322.374 4.472 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2Cc2ccc(F)c(F)c2)C1 ZINC000463194280 339108834 /nfs/dbraw/zinc/10/88/34/339108834.db2.gz QSUMUZNGFSWWLH-MWLKLMTCSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2Cc2cc(F)ccc2F)C1 ZINC000463201936 339109221 /nfs/dbraw/zinc/10/92/21/339109221.db2.gz KHBLUYAYFAQWAG-JXIYXGQPSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2Cc2c(F)cccc2F)C1 ZINC000463206705 339109337 /nfs/dbraw/zinc/10/93/37/339109337.db2.gz RZIIQZHUYVAJFY-OHHBCXFOSA-N 0 3 323.427 4.116 20 0 DIADHN CCN(Cc1ncc(-c2ccc(OC)cc2)o1)CC(C)(C)C ZINC000463360176 339115719 /nfs/dbraw/zinc/11/57/19/339115719.db2.gz URWOMPVFSDTCQZ-UHFFFAOYSA-N 0 3 302.418 4.218 20 0 DIADHN CC(C)Oc1ccc(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000463419917 339117092 /nfs/dbraw/zinc/11/70/92/339117092.db2.gz NXNNUIJRWQYBOZ-MRVPVSSYSA-N 0 3 309.715 4.264 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(C[C@H]1CCCC(F)(F)C1)C2 ZINC000463424430 339117214 /nfs/dbraw/zinc/11/72/14/339117214.db2.gz AFMQZUGCGJMDDT-ZDUSSCGKSA-N 0 3 307.384 4.065 20 0 DIADHN c1cnn([C@H]2CCN(Cc3ccccc3Oc3ccccc3)C2)c1 ZINC000463437518 339117675 /nfs/dbraw/zinc/11/76/75/339117675.db2.gz RQFILOSTQVMAAK-SFHVURJKSA-N 0 3 319.408 4.122 20 0 DIADHN CO[C@H](CN(C)[C@H]1CCCC[C@H]1C(F)(F)F)c1ccccc1 ZINC000463444121 339118058 /nfs/dbraw/zinc/11/80/58/339118058.db2.gz QFUGEBVVFYDCOJ-OWCLPIDISA-N 0 3 315.379 4.427 20 0 DIADHN COc1c(Cl)cc(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000463608156 339122621 /nfs/dbraw/zinc/12/26/21/339122621.db2.gz UNYPQCIUJOLKSR-YFKPBYRVSA-N 0 3 316.106 4.139 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@H]1C[C@@H](OC(C)C)C1(C)C ZINC000463573781 339121760 /nfs/dbraw/zinc/12/17/60/339121760.db2.gz GKADMZJNSJEYEF-RRQGHBQHSA-N 0 3 321.509 4.189 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](c2ccc(F)cn2)C(C)C)C1(C)C ZINC000463580417 339122120 /nfs/dbraw/zinc/12/21/20/339122120.db2.gz WSMPMFVSYPZOFS-BBWFWOEESA-N 0 3 308.441 4.100 20 0 DIADHN CC(C)Cn1ccc2cc(NC(=O)C(C)C(F)(F)F)ccc21 ZINC000463651308 339123217 /nfs/dbraw/zinc/12/32/17/339123217.db2.gz TYMZPPICUYWUBY-LLVKDONJSA-N 0 3 312.335 4.434 20 0 DIADHN c1cn(Cc2ccccc2)c(CNCCC2=CCCCCC2)n1 ZINC000463698912 339124042 /nfs/dbraw/zinc/12/40/42/339124042.db2.gz OTLBJPHQDKKDFL-UHFFFAOYSA-N 0 3 309.457 4.302 20 0 DIADHN CCCCOc1cc(CN[C@@H](C)CC(F)(F)F)ccc1OC ZINC000463710527 339124285 /nfs/dbraw/zinc/12/42/85/339124285.db2.gz NQUWHLKKFHVYSE-LBPRGKRZSA-N 0 3 319.367 4.305 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1c[nH]nc1C(C)(C)C ZINC000463729249 339124864 /nfs/dbraw/zinc/12/48/64/339124864.db2.gz LIEOFQJSBCWNQM-UHFFFAOYSA-N 0 3 311.429 4.094 20 0 DIADHN COc1ccc(CN[C@@H](C)CC(F)(F)F)cc1OCC(C)C ZINC000463730328 339124914 /nfs/dbraw/zinc/12/49/14/339124914.db2.gz QRRSSVDRTUYXRA-LBPRGKRZSA-N 0 3 319.367 4.161 20 0 DIADHN CC(C(=O)N1CCc2c1cccc2-c1ccccc1)C(F)(F)F ZINC000463882837 339127894 /nfs/dbraw/zinc/12/78/94/339127894.db2.gz ZJDAIPLUGBFLJF-GFCCVEGCSA-N 0 3 319.326 4.441 20 0 DIADHN COC[C@@H](C)N[C@@H](Cc1ccccc1Cl)c1ccccc1 ZINC000463901806 339128427 /nfs/dbraw/zinc/12/84/27/339128427.db2.gz LMQNZGTYEMLXRN-KDOFPFPSSA-N 0 3 303.833 4.248 20 0 DIADHN C[C@@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)NC(C)(C)C ZINC000463909724 339128643 /nfs/dbraw/zinc/12/86/43/339128643.db2.gz HNZHNFJRZQXFFS-CJNGLKHVSA-N 0 3 322.468 4.196 20 0 DIADHN CC[C@H](C)NC(=O)[C@@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909905 339128719 /nfs/dbraw/zinc/12/87/19/339128719.db2.gz RVYYODXJWINORW-JJRVBVJISA-N 0 3 322.468 4.196 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2OC2CCCC2)CCOC1 ZINC000464056561 339132175 /nfs/dbraw/zinc/13/21/75/339132175.db2.gz VSELLDZKGRWNCM-SFHVURJKSA-N 0 3 323.864 4.178 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)n1 ZINC000464063110 339132592 /nfs/dbraw/zinc/13/25/92/339132592.db2.gz VDFLZPFZXMSKJV-RRFJBIMHSA-N 0 3 322.518 4.126 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H]1C[C@@H](c2ccccc2)C1(C)C ZINC000464079036 339133426 /nfs/dbraw/zinc/13/34/26/339133426.db2.gz SYRRRMJZINOIQO-ROUUACIJSA-N 0 3 311.473 4.211 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2cnc(C(C)(C)C)s2)C1(C)C ZINC000464099143 339134421 /nfs/dbraw/zinc/13/44/21/339134421.db2.gz XMGNVMCWRFKNGL-UONOGXRCSA-N 0 3 310.507 4.122 20 0 DIADHN Cc1ccccc1[C@@H]([C@@H](C)NC(=O)c1c(C)cccc1C)N(C)C ZINC000464423999 339141721 /nfs/dbraw/zinc/14/17/21/339141721.db2.gz FIMSULZRCQJETL-YLJYHZDGSA-N 0 3 324.468 4.033 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cccc3ccsc32)c1 ZINC000464443329 339142213 /nfs/dbraw/zinc/14/22/13/339142213.db2.gz AOXCEYFZQXEQTN-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000464734247 339150485 /nfs/dbraw/zinc/15/04/85/339150485.db2.gz KEJKSNRLJORTMV-YJBOKZPZSA-N 0 3 316.489 4.397 20 0 DIADHN C(CN1CCC[C@@H](c2noc(C3CC3)n2)C1)C1CCCCC1 ZINC000464781143 339153374 /nfs/dbraw/zinc/15/33/74/339153374.db2.gz ZPZUUEWRSCOKBE-MRXNPFEDSA-N 0 3 303.450 4.097 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2ccc(OC(F)F)cc2)CCS1 ZINC000464787118 339153725 /nfs/dbraw/zinc/15/37/25/339153725.db2.gz XDFDOMMGRTYVLI-BXUZGUMPSA-N 0 3 301.402 4.176 20 0 DIADHN CC[C@H]1CN([C@H](C)c2ccc(OC(F)F)cc2)CCS1 ZINC000464787120 339153728 /nfs/dbraw/zinc/15/37/28/339153728.db2.gz XDFDOMMGRTYVLI-RISCZKNCSA-N 0 3 301.402 4.176 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H](C)[C@H](C)C1 ZINC000464833444 339156144 /nfs/dbraw/zinc/15/61/44/339156144.db2.gz QLZSEFFPQMPJAA-IJLUTSLNSA-N 0 3 305.809 4.039 20 0 DIADHN C[C@H]1CN(Cc2cccc(C(=O)Nc3ccccc3)c2)C[C@@H]1C ZINC000464842026 339156857 /nfs/dbraw/zinc/15/68/57/339156857.db2.gz ZYKHQUXUSPQAAR-HOTGVXAUSA-N 0 3 308.425 4.027 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C)C[C@H]2c2ccco2)cc1 ZINC000464850230 339157639 /nfs/dbraw/zinc/15/76/39/339157639.db2.gz MQRPUBRRMRMVDS-YOEHRIQHSA-N 0 3 313.397 4.039 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1nc2cc(F)ccc2o1 ZINC000464875546 339159760 /nfs/dbraw/zinc/15/97/60/339159760.db2.gz DIOIURAGWAEOKP-ZFWWWQNUSA-N 0 3 310.372 4.345 20 0 DIADHN Clc1ccc(-c2cc(CN3C[C@H]4CCC[C@@H]4C3)on2)cc1 ZINC000464882088 339159969 /nfs/dbraw/zinc/15/99/69/339159969.db2.gz XOFGOLFPBAOBSU-ZIAGYGMSSA-N 0 3 302.805 4.227 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1nc2cc(F)ccc2o1 ZINC000464881746 339160035 /nfs/dbraw/zinc/16/00/35/339160035.db2.gz VPNOSBRJGMQABC-BBRMVZONSA-N 0 3 310.372 4.345 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1C[C@@H]2CCC[C@H]2C1 ZINC000464886025 339160329 /nfs/dbraw/zinc/16/03/29/339160329.db2.gz AJFHKCOXKYUUPB-QAETUUGQSA-N 0 3 314.473 4.259 20 0 DIADHN Fc1ccccc1-c1nc(CN2C[C@@H]3CCC[C@@H]3C2)cs1 ZINC000464895460 339160719 /nfs/dbraw/zinc/16/07/19/339160719.db2.gz PWUBVHFEKDVONN-BETUJISGSA-N 0 3 302.418 4.181 20 0 DIADHN CCCC[C@@H](NC(=O)Nc1cccc(CN(C)C)c1)C1CCC1 ZINC000464910608 339161490 /nfs/dbraw/zinc/16/14/90/339161490.db2.gz HZRHNNSYTMXYPQ-GOSISDBHSA-N 0 3 317.477 4.229 20 0 DIADHN CCc1ccc(-c2ccc(CNCC(C)(C)C[C@@H](C)O)o2)cc1 ZINC000464999988 339165074 /nfs/dbraw/zinc/16/50/74/339165074.db2.gz HOPVXFYUYAXZTG-OAHLLOKOSA-N 0 3 315.457 4.396 20 0 DIADHN COc1ccccc1/C=C\CNCc1cc(F)ccc1SC ZINC000465011527 339165325 /nfs/dbraw/zinc/16/53/25/339165325.db2.gz BYUOAJXDJCRDDV-ALCCZGGFSA-N 0 3 317.429 4.359 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](CCO)CC(C)C)o2)cc1 ZINC000465011567 339165458 /nfs/dbraw/zinc/16/54/58/339165458.db2.gz MHMUQTGYRGBCFV-KRWDZBQOSA-N 0 3 315.457 4.389 20 0 DIADHN CCC[C@](C)(O)CNCc1ccc(-c2ccc(CC)cc2)o1 ZINC000465016556 339165568 /nfs/dbraw/zinc/16/55/68/339165568.db2.gz IGCOGNAIBMQIKX-IBGZPJMESA-N 0 3 301.430 4.150 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(F)cc2C)o1)C(C)C ZINC000465019463 339165604 /nfs/dbraw/zinc/16/56/04/339165604.db2.gz RJFOPNJLYSJFPU-SFHVURJKSA-N 0 3 305.393 4.155 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccccc2Cl)o1)C(C)C ZINC000465017766 339165618 /nfs/dbraw/zinc/16/56/18/339165618.db2.gz VFIOGOLMBYMKNL-QGZVFWFLSA-N 0 3 307.821 4.361 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1cc(Cl)cc(Cl)c1 ZINC000465070714 339167630 /nfs/dbraw/zinc/16/76/30/339167630.db2.gz YGZWSFLNLILEEP-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccccc1Br)C(C)(C)C ZINC000465416723 339175659 /nfs/dbraw/zinc/17/56/59/339175659.db2.gz ZVIUGXSFBXOPLY-FZMZJTMJSA-N 0 3 314.267 4.161 20 0 DIADHN CC(C(=O)Nc1ccccc1CCC(F)(F)F)C(F)(F)F ZINC000465119907 339169369 /nfs/dbraw/zinc/16/93/69/339169369.db2.gz JQKUNXNZEAPRBD-QMMMGPOBSA-N 0 3 313.241 4.318 20 0 DIADHN CCC(C)(C)CC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC000465170435 339170645 /nfs/dbraw/zinc/17/06/45/339170645.db2.gz BVBRXKINMCXQDV-GOSISDBHSA-N 0 3 316.489 4.074 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccccc1Br)C(C)(C)C ZINC000465416725 339175673 /nfs/dbraw/zinc/17/56/73/339175673.db2.gz ZVIUGXSFBXOPLY-SMDDNHRTSA-N 0 3 314.267 4.161 20 0 DIADHN CCCCCc1ccc(NC(=O)N[C@@H]2CCN(C)[C@H](C)C2)cc1 ZINC000466044187 339191597 /nfs/dbraw/zinc/19/15/97/339191597.db2.gz STVWOAQUTLAUMW-CRAIPNDOSA-N 0 3 317.477 4.024 20 0 DIADHN C[C@H](NC[C@H](CO)CC1CCCC1)c1csc(Cl)c1 ZINC000467441640 339238856 /nfs/dbraw/zinc/23/88/56/339238856.db2.gz AHTVGIQKHSQHBW-WCQYABFASA-N 0 3 301.883 4.241 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC[C@H](CCCc2ccccc2)C1 ZINC000466220916 339197050 /nfs/dbraw/zinc/19/70/50/339197050.db2.gz UMSHVUGRGQSQSD-HKUYNNGSSA-N 0 3 309.457 4.273 20 0 DIADHN CC[C@@H](CNCc1cn(C(C)C)nc1C)Oc1cccc(C)c1 ZINC000466344975 339200079 /nfs/dbraw/zinc/20/00/79/339200079.db2.gz CUTVHANEGDMALD-SFHVURJKSA-N 0 3 315.461 4.028 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000466362336 339200722 /nfs/dbraw/zinc/20/07/22/339200722.db2.gz LZOJYKINNCDBIJ-RRFJBIMHSA-N 0 3 323.864 4.034 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2ccc(Br)o2)C1 ZINC000466402244 339202662 /nfs/dbraw/zinc/20/26/62/339202662.db2.gz SYQVWBQHHQSXGG-JOCQHMNTSA-N 0 3 320.230 4.386 20 0 DIADHN CC[C@@H]1CCC[C@H](NCc2ccncc2OCC(F)(F)F)C1 ZINC000466418121 339203739 /nfs/dbraw/zinc/20/37/39/339203739.db2.gz VASFRWYQESEBMZ-OCCSQVGLSA-N 0 3 316.367 4.081 20 0 DIADHN C[C@H]1CCC[C@@H]1CNCc1csc(COc2ccccc2)n1 ZINC000466421099 339204011 /nfs/dbraw/zinc/20/40/11/339204011.db2.gz BTUYIWRMAZJEBS-LSDHHAIUSA-N 0 3 316.470 4.248 20 0 DIADHN CC(C)n1nccc1CNC1CC(c2ccc(F)c(Cl)c2)C1 ZINC000466474090 339206516 /nfs/dbraw/zinc/20/65/16/339206516.db2.gz MVKITSAYAFNFFB-UHFFFAOYSA-N 0 3 321.827 4.292 20 0 DIADHN CC(C(=O)Nc1cc(Cl)c(Cl)cc1F)C(F)(F)F ZINC000466588832 339209951 /nfs/dbraw/zinc/20/99/51/339209951.db2.gz KKAUHCCVASPETE-BYPYZUCNSA-N 0 3 304.070 4.269 20 0 DIADHN COc1ccc(-c2cnc(CN3CC[C@@H](CC(C)C)C3)o2)cc1 ZINC000466708266 339213644 /nfs/dbraw/zinc/21/36/44/339213644.db2.gz QHIYJLZZVWFNEN-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN COc1ccc(-c2cnc(CN3CC[C@H](CC(C)C)C3)o2)cc1 ZINC000466708267 339213683 /nfs/dbraw/zinc/21/36/83/339213683.db2.gz QHIYJLZZVWFNEN-OAHLLOKOSA-N 0 3 314.429 4.218 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000466720245 339214215 /nfs/dbraw/zinc/21/42/15/339214215.db2.gz ZZSFVLDUQDVHAO-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@@H](CC(C)C)C2)c(C)c1 ZINC000466742668 339215288 /nfs/dbraw/zinc/21/52/88/339215288.db2.gz ZKSXPOCGAOUCRV-MSOLQXFVSA-N 0 3 316.489 4.307 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](CC(C)C)C2)cc1 ZINC000466747636 339215735 /nfs/dbraw/zinc/21/57/35/339215735.db2.gz LXMLESGHJAEDOU-CABCVRRESA-N 0 3 320.502 4.104 20 0 DIADHN CC/C=C\CCN[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000466816875 339217907 /nfs/dbraw/zinc/21/79/07/339217907.db2.gz ZXESBSIUORDFOU-LLHWWHOWSA-N 0 3 308.425 4.312 20 0 DIADHN CCN(CCc1cccs1)Cc1nc2ccc(F)cc2o1 ZINC000466822906 339218152 /nfs/dbraw/zinc/21/81/52/339218152.db2.gz NUGWPZVZVQMERL-UHFFFAOYSA-N 0 3 304.390 4.093 20 0 DIADHN Cc1ccc(-c2ncc(CNCc3cccc4cc[nH]c43)s2)o1 ZINC000466892298 339220250 /nfs/dbraw/zinc/22/02/50/339220250.db2.gz XWBJYORGGJMTAW-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN COc1ccc(Oc2ccc(CN[C@@H](C)[C@H](C)OC)cc2)cc1 ZINC000466914937 339221257 /nfs/dbraw/zinc/22/12/57/339221257.db2.gz CNSWEMLBQJNAFB-GJZGRUSLSA-N 0 3 315.413 4.001 20 0 DIADHN COc1ccc(-c2cnc(CN3[C@H](C)CCC[C@@H]3C)o2)cc1 ZINC000466922620 339221798 /nfs/dbraw/zinc/22/17/98/339221798.db2.gz BBVJWQAOBWSULB-OKILXGFUSA-N 0 3 300.402 4.113 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1csc(Cc2ccccc2)n1 ZINC000466932861 339222039 /nfs/dbraw/zinc/22/20/39/339222039.db2.gz DRTRXPSMTSCFCQ-RHSMWYFYSA-N 0 3 300.471 4.354 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000466936901 339222245 /nfs/dbraw/zinc/22/22/45/339222245.db2.gz XHXXYRSVFRZNDY-SMDDNHRTSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1nc2ccc(F)cc2o1 ZINC000466942284 339222366 /nfs/dbraw/zinc/22/23/66/339222366.db2.gz ZVTZZPLASROWEQ-BBRMVZONSA-N 0 3 310.372 4.345 20 0 DIADHN Fc1ccc2nc(CN3CC[C@@H](Cc4ccccc4)C3)oc2c1 ZINC000466957084 339222725 /nfs/dbraw/zinc/22/27/25/339222725.db2.gz FEGSSLKHTWGPBK-HNNXBMFYSA-N 0 3 310.372 4.032 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2nc3ccc(F)cc3o2)cc1 ZINC000466951545 339222728 /nfs/dbraw/zinc/22/27/28/339222728.db2.gz AODDBYUSLUUTNO-AWEZNQCLSA-N 0 3 312.388 4.338 20 0 DIADHN CCc1noc(C)c1CN(C)[C@H](CC)Cc1ccc(C)cc1 ZINC000466960752 339222803 /nfs/dbraw/zinc/22/28/03/339222803.db2.gz CMLJHVZMRXOHOF-QGZVFWFLSA-N 0 3 300.446 4.307 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCCc2cccc(F)c2)o1 ZINC000467116657 339226730 /nfs/dbraw/zinc/22/67/30/339226730.db2.gz INJILXBFFDNZDY-SFHVURJKSA-N 0 3 317.404 4.335 20 0 DIADHN Cc1ccc(C(C)(C)NC[C@@H]2CCC3(CCCCC3)O2)cn1 ZINC000467190436 339227630 /nfs/dbraw/zinc/22/76/30/339227630.db2.gz GPGAKVIGDZIDQO-KRWDZBQOSA-N 0 3 302.462 4.097 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1C[C@@H]1CCC2(CCCCC2)O1 ZINC000467197660 339227876 /nfs/dbraw/zinc/22/78/76/339227876.db2.gz UNTRAXQUTVLKQE-WMZOPIPTSA-N 0 3 302.462 4.044 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1csc(-c2ccccc2OC)n1 ZINC000467206737 339228228 /nfs/dbraw/zinc/22/82/28/339228228.db2.gz LPOGFNIWKDGYHB-DZGCQCFKSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@@]1(Cc2ccccc2)CCCN1Cc1nc2ccccc2o1 ZINC000467237960 339228845 /nfs/dbraw/zinc/22/88/45/339228845.db2.gz IWVITOFPMHNRPZ-FQEVSTJZSA-N 0 3 306.409 4.425 20 0 DIADHN COCC[C@H]1CCCCN(Cc2cccc(C(F)(F)F)c2)C1 ZINC000467270807 339229504 /nfs/dbraw/zinc/22/95/04/339229504.db2.gz FJQSUWNSVOIPEH-CQSZACIVSA-N 0 3 315.379 4.344 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000467338635 339232430 /nfs/dbraw/zinc/23/24/30/339232430.db2.gz LMNKASYGTUJMQG-WMLDXEAASA-N 0 3 316.395 4.484 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1c2ccccc2C[C@@H]1C ZINC000467348210 339233082 /nfs/dbraw/zinc/23/30/82/339233082.db2.gz RDKLTMMHJFJPGQ-FOPRYUNUSA-N 0 3 311.400 4.345 20 0 DIADHN CC(C)n1ccc(C[C@H](C)N[C@@H](C)c2cc3ccccc3o2)n1 ZINC000467350449 339233113 /nfs/dbraw/zinc/23/31/13/339233113.db2.gz QJBYPDJRLBXMIJ-GJZGRUSLSA-N 0 3 311.429 4.492 20 0 DIADHN CS[C@H](CN[C@@H](C)c1ccnn1-c1ccccc1)C(C)(C)C ZINC000467351156 339233261 /nfs/dbraw/zinc/23/32/61/339233261.db2.gz YQXKLDBBXBJSHH-WMLDXEAASA-N 0 3 317.502 4.301 20 0 DIADHN CS[C@H](CN[C@H](C)c1ccnn1-c1ccccc1)C(C)(C)C ZINC000467351155 339233263 /nfs/dbraw/zinc/23/32/63/339233263.db2.gz YQXKLDBBXBJSHH-RHSMWYFYSA-N 0 3 317.502 4.301 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000467367257 339234460 /nfs/dbraw/zinc/23/44/60/339234460.db2.gz CFEKMQWQBSYRHZ-LBPRGKRZSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@@H](CN[C@@H](c1cncc(F)c1)C1CC1)Sc1ccccc1 ZINC000467375603 339235114 /nfs/dbraw/zinc/23/51/14/339235114.db2.gz FOEVJKRBUWVLCN-SCLBCKFNSA-N 0 3 316.445 4.442 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1CCCC1)c1cccc(-c2ccccc2)c1 ZINC000467404903 339236747 /nfs/dbraw/zinc/23/67/47/339236747.db2.gz OBBGYMDWDVACGL-SJORKVTESA-N 0 3 322.452 4.015 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@H](C)c2nc3ccccc3n2C)C1 ZINC000467413990 339237206 /nfs/dbraw/zinc/23/72/06/339237206.db2.gz PVHMXIFGECRPJX-BRWVUGGUSA-N 0 3 319.452 4.479 20 0 DIADHN Cc1ccccc1C[C@@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000467413314 339237276 /nfs/dbraw/zinc/23/72/76/339237276.db2.gz MMTDQCYSIAAMMI-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccc(C[C@@H](CO)N[C@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000467415341 339237399 /nfs/dbraw/zinc/23/73/99/339237399.db2.gz DJXNWTKJJMYYAL-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@H](CO)c1cccc(Cl)c1F ZINC000467421325 339237757 /nfs/dbraw/zinc/23/77/57/339237757.db2.gz VILHWEIBWDBZPQ-RHSMWYFYSA-N 0 3 321.823 4.123 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NC1CC(c2ccc(F)c(Cl)c2)C1 ZINC000467434867 339238372 /nfs/dbraw/zinc/23/83/72/339238372.db2.gz WNUCKSUVJPWSDI-GWMXKSKGSA-N 0 3 321.827 4.118 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1cn(C(C)C)nc1C ZINC000467442189 339238901 /nfs/dbraw/zinc/23/89/01/339238901.db2.gz KSZZQYIZMJLQQR-UHFFFAOYSA-N 0 3 317.452 4.366 20 0 DIADHN C[C@@H](CC1CCCC1)NCc1ccncc1OCC(F)(F)F ZINC000467443307 339238943 /nfs/dbraw/zinc/23/89/43/339238943.db2.gz BZTFBIWCMUDACB-LBPRGKRZSA-N 0 3 316.367 4.081 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@@](C)(c2ccccc2)C1 ZINC000467441958 339238956 /nfs/dbraw/zinc/23/89/56/339238956.db2.gz JJYVUNGILIKCEU-HXUWFJFHSA-N 0 3 311.473 4.326 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](C)CC(=O)OC(C)(C)C)cc1 ZINC000467444641 339239024 /nfs/dbraw/zinc/23/90/24/339239024.db2.gz PAPFSHCSSIKZJT-CHWSQXEVSA-N 0 3 309.475 4.179 20 0 DIADHN C[C@@H](NC[C@H](CO)CC1CCCC1)c1ccc2ccccc2n1 ZINC000467461274 339239816 /nfs/dbraw/zinc/23/98/16/339239816.db2.gz WFWCYFYQNLBOAF-NVXWUHKLSA-N 0 3 312.457 4.074 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](c2ccccc2)[C@@H](C)C1 ZINC000467460245 339239897 /nfs/dbraw/zinc/23/98/97/339239897.db2.gz UMAXTDHVCFVPHR-OXJNMPFZSA-N 0 3 311.473 4.398 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@H](c2ccccc2)[C@@H](C)C1 ZINC000467460244 339239937 /nfs/dbraw/zinc/23/99/37/339239937.db2.gz UMAXTDHVCFVPHR-JXFKEZNVSA-N 0 3 311.473 4.398 20 0 DIADHN CN(Cc1cncnc1)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000467515317 339240808 /nfs/dbraw/zinc/24/08/08/339240808.db2.gz YJVFTAZRFNDJSI-SFHVURJKSA-N 0 3 315.848 4.493 20 0 DIADHN FC(F)(F)COc1cnccc1CNCCC1CCCCC1 ZINC000467547609 339241820 /nfs/dbraw/zinc/24/18/20/339241820.db2.gz UOEIBFHAGYBNIS-UHFFFAOYSA-N 0 3 316.367 4.083 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3)C[C@H]2C)s1 ZINC000467550314 339242011 /nfs/dbraw/zinc/24/20/11/339242011.db2.gz JBGYEKCTPJNMHI-ZBFHGGJFSA-N 0 3 300.471 4.474 20 0 DIADHN COc1c(O)cccc1CN1CC[C@@H](c2ccccc2)C[C@@H]1C ZINC000467550947 339242073 /nfs/dbraw/zinc/24/20/73/339242073.db2.gz PLNLAVHTZJLLFD-DOTOQJQBSA-N 0 3 311.425 4.169 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cnn(C(C)(C)C)c1 ZINC000467550740 339242163 /nfs/dbraw/zinc/24/21/63/339242163.db2.gz NIEPINLAIXMHEW-VQIMIIECSA-N 0 3 311.473 4.406 20 0 DIADHN Cn1ccc(CN2CCC(F)(c3cccc(Cl)c3)CC2)c1 ZINC000467553324 339242209 /nfs/dbraw/zinc/24/22/09/339242209.db2.gz JMWAZZBKRALVMM-UHFFFAOYSA-N 0 3 306.812 4.139 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(-c3ccccc3F)o2)CCCO1 ZINC000467556116 339242520 /nfs/dbraw/zinc/24/25/20/339242520.db2.gz XAYYDISCOXELGB-CQSZACIVSA-N 0 3 303.377 4.087 20 0 DIADHN CC[C@H]1CN(Cc2ccc(-c3c(F)cccc3F)o2)CCCO1 ZINC000467562603 339242809 /nfs/dbraw/zinc/24/28/09/339242809.db2.gz BWXDULBRTODPRS-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cnc2ccccn12 ZINC000467562877 339242937 /nfs/dbraw/zinc/24/29/37/339242937.db2.gz DDRZYRZWZDXXSS-FUHWJXTLSA-N 0 3 305.425 4.102 20 0 DIADHN CC[C@H]1CN(Cc2cnc(-c3ccc(C)cc3)s2)CCCO1 ZINC000467567874 339243060 /nfs/dbraw/zinc/24/30/60/339243060.db2.gz SAPHLNDGKNXRRN-INIZCTEOSA-N 0 3 316.470 4.119 20 0 DIADHN CSCCCN(C)Cc1cc(C)c(Br)s1 ZINC000467568503 339243120 /nfs/dbraw/zinc/24/31/20/339243120.db2.gz VJTPLRGGVSONPH-UHFFFAOYSA-N 0 3 308.310 4.004 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cncc(C)c2)C12CCCCC2 ZINC000467732467 339244874 /nfs/dbraw/zinc/24/48/74/339244874.db2.gz HQUWHQYANQQUBE-BPQIPLTHSA-N 0 3 302.462 4.169 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cncc(C)c2)C12CCCCC2 ZINC000467732470 339244948 /nfs/dbraw/zinc/24/49/48/339244948.db2.gz HQUWHQYANQQUBE-KBAYOESNSA-N 0 3 302.462 4.169 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000467741170 339245445 /nfs/dbraw/zinc/24/54/45/339245445.db2.gz JDAUVWHQOXHHJY-IVZWLZJFSA-N 0 3 324.437 4.487 20 0 DIADHN Cc1ccc(CSCCN[C@H](C)c2cncc(C)c2)cc1 ZINC000467747783 339245650 /nfs/dbraw/zinc/24/56/50/339245650.db2.gz RZMDWESMWCPTPK-MRXNPFEDSA-N 0 3 300.471 4.282 20 0 DIADHN C[C@H](NCCCc1ccccc1)c1nc(C(F)(F)F)cs1 ZINC000467745765 339245754 /nfs/dbraw/zinc/24/57/54/339245754.db2.gz YUFOJRVZHRDIOF-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN COc1cccc(C(NC2CC(C)C2)c2cccc(OC)c2)c1 ZINC000467754626 339245867 /nfs/dbraw/zinc/24/58/67/339245867.db2.gz VWWJWACLSZFWSL-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2ccc(F)c(C)c2)cc1 ZINC000467762834 339246093 /nfs/dbraw/zinc/24/60/93/339246093.db2.gz DJPMAVYOWSXCHW-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN CSc1ccc([C@H](C)NC[C@H](c2ccsc2)N(C)C)cc1 ZINC000467838318 339246898 /nfs/dbraw/zinc/24/68/98/339246898.db2.gz HEUWXKVHZDKSFM-SUMWQHHRSA-N 0 3 320.527 4.424 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@H](C)c2ccc(F)c(F)c2)cc1 ZINC000467855803 339247768 /nfs/dbraw/zinc/24/77/68/339247768.db2.gz YTDOIXFYEFGKKW-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc(F)c(F)c1)Oc1ccccc1F ZINC000467854998 339247864 /nfs/dbraw/zinc/24/78/64/339247864.db2.gz XSDZRNSHVSGPFY-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N1CCCCC[C@H]1c1ccccc1 ZINC000468012546 339251180 /nfs/dbraw/zinc/25/11/80/339251180.db2.gz WHAADEZHJLBVJS-LMMKCTJWSA-N 0 3 314.473 4.003 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N1CCCCC[C@@H]1c1ccccc1 ZINC000468012549 339251214 /nfs/dbraw/zinc/25/12/14/339251214.db2.gz WHAADEZHJLBVJS-ZIFCJYIRSA-N 0 3 314.473 4.003 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@H]2COc3ccc(F)cc32)cc1 ZINC000468037047 339252175 /nfs/dbraw/zinc/25/21/75/339252175.db2.gz HFWXPPQPJWJENA-VBHSOAQHSA-N 0 3 315.388 4.050 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)CC(F)(F)F)ccc1SC ZINC000468045525 339252589 /nfs/dbraw/zinc/25/25/89/339252589.db2.gz VHNHDUGOBGCFTI-UWVGGRQHSA-N 0 3 307.381 4.409 20 0 DIADHN CC(C)[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)c1ccc(Cl)cc1 ZINC000468234845 339257022 /nfs/dbraw/zinc/25/70/22/339257022.db2.gz PBGGLNVKBZWSKY-LBTNJELSSA-N 0 3 322.880 4.026 20 0 DIADHN CCOC(=O)CN(CC1CCC(C(F)(F)F)CC1)C(C)(C)C ZINC000468402372 339262098 /nfs/dbraw/zinc/26/20/98/339262098.db2.gz JSQGLZQLLKWDQR-UHFFFAOYSA-N 0 3 323.399 4.019 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3C[C@H](C)C[C@H](C)C3)o2)cc1C ZINC000468271710 339258370 /nfs/dbraw/zinc/25/83/70/339258370.db2.gz ZDPDAUYLHCYVNK-DVOMOZLQSA-N 0 3 313.445 4.392 20 0 DIADHN C[C@H](CCC1CCCC1)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000468361898 339260651 /nfs/dbraw/zinc/26/06/51/339260651.db2.gz MJYWZFLOBJVMQU-CABCVRRESA-N 0 3 304.482 4.014 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1nc2ccccc2n1C1CC1 ZINC000468468601 339263430 /nfs/dbraw/zinc/26/34/30/339263430.db2.gz HDSKKXWXSFAFJC-INIZCTEOSA-N 0 3 319.452 4.400 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1ncoc1-c1ccccc1 ZINC000468506451 339264699 /nfs/dbraw/zinc/26/46/99/339264699.db2.gz KNVIAOYFLZXOKT-MRXNPFEDSA-N 0 3 306.409 4.371 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000468508523 339264804 /nfs/dbraw/zinc/26/48/04/339264804.db2.gz SVIISBCATCTBRN-DLBZAZTESA-N 0 3 304.796 4.300 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccc(F)cc1)c1ccc(C)o1 ZINC000468561099 339266427 /nfs/dbraw/zinc/26/64/27/339266427.db2.gz RYHKDSFNLQJNSC-CXAGYDPISA-N 0 3 305.393 4.026 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccccn3)s2)CC(C)(C)C1 ZINC000468674130 339268298 /nfs/dbraw/zinc/26/82/98/339268298.db2.gz IRVXBICGYNLWML-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC000468693460 339268725 /nfs/dbraw/zinc/26/87/25/339268725.db2.gz JQVCBXUEJYDPKB-MRXNPFEDSA-N 0 3 315.413 4.320 20 0 DIADHN COC[C@H]1CCCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000468696721 339268922 /nfs/dbraw/zinc/26/89/22/339268922.db2.gz NRHGTUXVLSUYTP-ZDUSSCGKSA-N 0 3 322.499 4.120 20 0 DIADHN Cc1c([C@H](C)NCCOC2CCCCCC2)cnn1C(C)(C)C ZINC000468864062 339273010 /nfs/dbraw/zinc/27/30/10/339273010.db2.gz HMOUWONKDYSCDG-HNNXBMFYSA-N 0 3 321.509 4.337 20 0 DIADHN Cc1nc2ccccn2c1CNC1(c2ccc(F)cc2)CCCC1 ZINC000468903546 339274358 /nfs/dbraw/zinc/27/43/58/339274358.db2.gz INGLJPMKGTWLQC-UHFFFAOYSA-N 0 3 323.415 4.341 20 0 DIADHN CCN(CC)CC(=O)N(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000468962391 339276177 /nfs/dbraw/zinc/27/61/77/339276177.db2.gz OTQLBBSXMKUHNU-KRWDZBQOSA-N 0 3 324.468 4.215 20 0 DIADHN C[C@H](NCCOc1ncccc1Cl)c1csc(Cl)c1 ZINC000469054975 339278679 /nfs/dbraw/zinc/27/86/79/339278679.db2.gz UERMNNCTENVALV-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN CC(C)[C@H](CNCc1ncc(Cl)s1)Cc1ccccc1 ZINC000469094257 339280053 /nfs/dbraw/zinc/28/00/53/339280053.db2.gz YRKDNKHGPYOFRE-AWEZNQCLSA-N 0 3 308.878 4.401 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cnn(C(C)C)c2C)C1(CC)CC ZINC000469097408 339280083 /nfs/dbraw/zinc/28/00/83/339280083.db2.gz YFUPCQUDZSQUAH-ZTFGCOKTSA-N 0 3 321.509 4.407 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCc2ccccc2F)s1 ZINC000469169305 339282024 /nfs/dbraw/zinc/28/20/24/339282024.db2.gz XNGSXHMGJYVSDF-ZDUSSCGKSA-N 0 3 306.450 4.389 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cnn(C(C)(C)C)c2C)C[C@H]1OC ZINC000469183891 339282449 /nfs/dbraw/zinc/28/24/49/339282449.db2.gz QRKLVBBPTRNYIK-KBRIMQKVSA-N 0 3 321.509 4.191 20 0 DIADHN CCc1noc(C)c1CN(CC)[C@H](CC)Cc1ccccc1 ZINC000469267022 339284600 /nfs/dbraw/zinc/28/46/00/339284600.db2.gz LMGKIPMMRNWKQG-QGZVFWFLSA-N 0 3 300.446 4.389 20 0 DIADHN CCc1noc(C)c1CN(CC)[C@@H](CC)Cc1ccccc1 ZINC000469267006 339284605 /nfs/dbraw/zinc/28/46/05/339284605.db2.gz LMGKIPMMRNWKQG-KRWDZBQOSA-N 0 3 300.446 4.389 20 0 DIADHN CO[C@@H](CCN[C@@H](C)c1ccc(F)cc1Cl)C(F)(F)F ZINC000469299943 339285511 /nfs/dbraw/zinc/28/55/11/339285511.db2.gz HHCOTEUCTNGARW-UFBFGSQYSA-N 0 3 313.722 4.097 20 0 DIADHN COCCCCCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000469446465 339289618 /nfs/dbraw/zinc/28/96/18/339289618.db2.gz OQQYFPZLVSJHSG-UHFFFAOYSA-N 0 3 309.400 4.261 20 0 DIADHN CC(C)OCCCN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000469446542 339289621 /nfs/dbraw/zinc/28/96/21/339289621.db2.gz PMRSMWMBZWWTDX-UHFFFAOYSA-N 0 3 309.400 4.259 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCCN2C[C@H]2CCOC2)c1 ZINC000469513133 339292274 /nfs/dbraw/zinc/29/22/74/339292274.db2.gz CHXPNSVTRXBWCA-CJNGLKHVSA-N 0 3 313.363 4.269 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCCN2C[C@H]2CCOC2)c1 ZINC000469513134 339292296 /nfs/dbraw/zinc/29/22/96/339292296.db2.gz CHXPNSVTRXBWCA-CZUORRHYSA-N 0 3 313.363 4.269 20 0 DIADHN CN(CCOCC(F)F)Cc1ccccc1-c1ccccc1 ZINC000469585446 339293747 /nfs/dbraw/zinc/29/37/47/339293747.db2.gz RSACWWDLEJGBBV-UHFFFAOYSA-N 0 3 305.368 4.067 20 0 DIADHN CN(C/C=C/c1ccc(Cl)cc1)[C@@H](CO)c1ccccc1 ZINC000469590402 339293848 /nfs/dbraw/zinc/29/38/48/339293848.db2.gz HLXUHEACCDLTFM-QWNKOJSDSA-N 0 3 301.817 4.019 20 0 DIADHN CN(C/C=C/c1ccc(Cl)cc1)[C@H](CO)c1ccccc1 ZINC000469590400 339293853 /nfs/dbraw/zinc/29/38/53/339293853.db2.gz HLXUHEACCDLTFM-DUMMIQTOSA-N 0 3 301.817 4.019 20 0 DIADHN CCCCN(Cc1ccccc1Cl)C[C@@H](O)CC(F)(F)F ZINC000469611288 339294430 /nfs/dbraw/zinc/29/44/30/339294430.db2.gz MHUWRIVPKAMLSK-ZDUSSCGKSA-N 0 3 323.786 4.255 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1ccc(F)cn1 ZINC000469736663 339297399 /nfs/dbraw/zinc/29/73/99/339297399.db2.gz XJWXKHHKRJTXIY-QWHCGFSZSA-N 0 3 306.812 4.498 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](NCCOCC(F)F)C(C)C ZINC000469757856 339297955 /nfs/dbraw/zinc/29/79/55/339297955.db2.gz UOIYYJQKRFLCKS-INIZCTEOSA-N 0 3 311.372 4.310 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1ccn(C(CC)CC)n1 ZINC000469776640 339299045 /nfs/dbraw/zinc/29/90/45/339299045.db2.gz STWFFKSIQKMXGO-DLBZAZTESA-N 0 3 309.523 4.398 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1ccn(C(CC)CC)n1 ZINC000469776647 339299152 /nfs/dbraw/zinc/29/91/52/339299152.db2.gz STWFFKSIQKMXGO-SJORKVTESA-N 0 3 309.523 4.398 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1cc(-c2ccccc2)no1 ZINC000469779722 339299298 /nfs/dbraw/zinc/29/92/98/339299298.db2.gz KSLPYXXYRIFWAN-AEFFLSMTSA-N 0 3 316.470 4.496 20 0 DIADHN COC(C)(C)CCNC(C)(C)c1ccccc1Br ZINC000469779769 339299406 /nfs/dbraw/zinc/29/94/06/339299406.db2.gz LLYIEWPTPQNIAE-UHFFFAOYSA-N 0 3 314.267 4.089 20 0 DIADHN CC(C)c1nc([C@H](C)NCC(C)(C)N2CCC[C@H](C)C2)cs1 ZINC000469782274 339299474 /nfs/dbraw/zinc/29/94/74/339299474.db2.gz ZEDAOGXARMPYDI-GJZGRUSLSA-N 0 3 323.550 4.428 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](C)CN2C[C@H](C)C[C@H](C)C2)cs1 ZINC000469787298 339300007 /nfs/dbraw/zinc/30/00/07/339300007.db2.gz SIGLQTWIKFJPGH-QXSJWSMHSA-N 0 3 323.550 4.284 20 0 DIADHN CC(C)c1nc([C@H](C)NCCC[C@H]2CCCC[C@@H]2O)cs1 ZINC000469797509 339300703 /nfs/dbraw/zinc/30/07/03/339300703.db2.gz CLBBCMZBBKCOQY-LZWOXQAQSA-N 0 3 310.507 4.248 20 0 DIADHN CCn1cc([C@H](C)NCC(C)(C)c2cccc(Cl)c2F)cn1 ZINC000469799415 339300937 /nfs/dbraw/zinc/30/09/37/339300937.db2.gz IZVJNBBUAOFAEB-LBPRGKRZSA-N 0 3 323.843 4.324 20 0 DIADHN Clc1ccc(/C=C\CN2CCC([C@@H]3CCCO3)CC2)cc1 ZINC000469994818 339307458 /nfs/dbraw/zinc/30/74/58/339307458.db2.gz YEFBLODCDDQHKR-PFOXWKGBSA-N 0 3 305.849 4.244 20 0 DIADHN Clc1ccc(/C=C/CN2CCC([C@H]3CCCO3)CC2)cc1 ZINC000469994819 339307460 /nfs/dbraw/zinc/30/74/60/339307460.db2.gz YEFBLODCDDQHKR-ZDBPOJEVSA-N 0 3 305.849 4.244 20 0 DIADHN COc1ccc(C)cc1CN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000469998203 339307803 /nfs/dbraw/zinc/30/78/03/339307803.db2.gz DQDSHFGFCBYWHJ-CQSZACIVSA-N 0 3 301.352 4.166 20 0 DIADHN CC(C(=O)N1CC2(CCCC2)c2cccc(F)c21)C(F)(F)F ZINC000470088587 339310321 /nfs/dbraw/zinc/31/03/21/339310321.db2.gz XBTWYFXEASVHDN-SNVBAGLBSA-N 0 3 315.310 4.183 20 0 DIADHN Fc1ccccc1-c1ccc(CNCCCC2CCOCC2)o1 ZINC000470180598 339313144 /nfs/dbraw/zinc/31/31/44/339313144.db2.gz PVKOZOHZVBYGLL-UHFFFAOYSA-N 0 3 317.404 4.382 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]C[C@@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470359925 339318146 /nfs/dbraw/zinc/31/81/46/339318146.db2.gz VONSJLNLALICEU-YNEHKIRRSA-N 0 3 320.868 4.426 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)NC[C@@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470359925 339318147 /nfs/dbraw/zinc/31/81/47/339318147.db2.gz VONSJLNLALICEU-YNEHKIRRSA-N 0 3 320.868 4.426 20 0 DIADHN CC(C)Cn1ccnc1CNC[C@@H](C)c1cccc(Cl)c1 ZINC000470364714 339318346 /nfs/dbraw/zinc/31/83/46/339318346.db2.gz MFZRYRZLFQPHPP-CQSZACIVSA-N 0 3 305.853 4.086 20 0 DIADHN CCCCCN(C(=O)c1ccc2c(c1)CNC2)[C@@H](C)CCC ZINC000470479950 339320680 /nfs/dbraw/zinc/32/06/80/339320680.db2.gz MNMBYTLIBOKQAM-HNNXBMFYSA-N 0 3 302.462 4.111 20 0 DIADHN Cc1ccc2c(c1)nc(CNC1CC(c3ccccc3C)C1)n2C ZINC000470539471 339321691 /nfs/dbraw/zinc/32/16/91/339321691.db2.gz YKYOSZDENWJTDT-UHFFFAOYSA-N 0 3 319.452 4.226 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H](C)[C@H](C)c1ccccc1)n2C ZINC000470545594 339321866 /nfs/dbraw/zinc/32/18/66/339321866.db2.gz GTJYGAVIGFSLEI-HOTGVXAUSA-N 0 3 307.441 4.164 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1C[C@@H](c3ccccc3)[C@@H]1C)n2C ZINC000470546779 339321901 /nfs/dbraw/zinc/32/19/01/339321901.db2.gz ODGHCKWVIMUGGB-CGTJXYLNSA-N 0 3 319.452 4.164 20 0 DIADHN CCc1ccc(CC)c(NC(=O)c2cccc(CN(C)C)c2)c1 ZINC000470551459 339322060 /nfs/dbraw/zinc/32/20/60/339322060.db2.gz MKRZPILWFBQSSC-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN Cn1cc([C@H](NCc2cccc(C(F)F)c2)C(C)(C)C)cn1 ZINC000470761255 339326975 /nfs/dbraw/zinc/32/69/75/339326975.db2.gz IEZONPRCJLDFSX-HNNXBMFYSA-N 0 3 307.388 4.235 20 0 DIADHN Cc1ccc([C@@H](NCCOC(F)(F)F)c2ccccc2)cc1 ZINC000470764890 339327322 /nfs/dbraw/zinc/32/73/22/339327322.db2.gz KUMFWORXPXHAJJ-INIZCTEOSA-N 0 3 309.331 4.210 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)Cc2ccc3ccccc3c2)c(C)o1 ZINC000470773940 339327455 /nfs/dbraw/zinc/32/74/55/339327455.db2.gz QLXPPNWVHPZXCB-QRWLVFNGSA-N 0 3 323.436 4.304 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCSc1ccc(F)cc1 ZINC000470948461 339331976 /nfs/dbraw/zinc/33/19/76/339331976.db2.gz USNXOMMKJUKDLH-ZWNOBZJWSA-N 0 3 307.356 4.191 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(F)c2cccnc12 ZINC000470950525 339332351 /nfs/dbraw/zinc/33/23/51/339332351.db2.gz RKKVQWJZUGBKID-GWCFXTLKSA-N 0 3 312.310 4.147 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c2ccccc2n1 ZINC000470954454 339332536 /nfs/dbraw/zinc/33/25/36/339332536.db2.gz ZFPBYOWHRQYMGT-SWLSCSKDSA-N 0 3 308.347 4.316 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1cccc(OC(F)F)c1 ZINC000470953509 339332559 /nfs/dbraw/zinc/33/25/59/339332559.db2.gz PHVUWUIJCVUJFX-BXKDBHETSA-N 0 3 309.278 4.061 20 0 DIADHN Cc1ccccc1OCCCN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000470956109 339332842 /nfs/dbraw/zinc/33/28/42/339332842.db2.gz AUKDCLPYVWZGSK-KBPBESRZSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3ccncc3Cl)C2)c(C)c1 ZINC000470999987 339334252 /nfs/dbraw/zinc/33/42/52/339334252.db2.gz DDHNGOPVWGOCFM-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccccc1OCCCNC1(c2nccs2)CCCC1 ZINC000471024015 339334860 /nfs/dbraw/zinc/33/48/60/339334860.db2.gz XORZPZXEQYZCHP-UHFFFAOYSA-N 0 3 316.470 4.280 20 0 DIADHN c1ccc(-c2noc(CCCN3CC[C@H]3c3ccccc3)n2)cc1 ZINC000471164495 339339221 /nfs/dbraw/zinc/33/92/21/339339221.db2.gz GBUISIFAEKJBAZ-SFHVURJKSA-N 0 3 319.408 4.116 20 0 DIADHN CC(C(=O)N(c1ccccc1F)C1CCCC1)C(F)(F)F ZINC000471430634 339344685 /nfs/dbraw/zinc/34/46/85/339344685.db2.gz GKBKHBRJEZMTRX-SNVBAGLBSA-N 0 3 303.299 4.300 20 0 DIADHN Cc1ccc([C@H](C)NCCc2cn(C)c3cc(F)ccc23)o1 ZINC000471577223 339347986 /nfs/dbraw/zinc/34/79/86/339347986.db2.gz HXYWVXIJARQDOM-ZDUSSCGKSA-N 0 3 300.377 4.112 20 0 DIADHN COc1ccsc1[C@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000471583767 339348085 /nfs/dbraw/zinc/34/80/85/339348085.db2.gz BSBCKZLEZXXWCI-NSHDSACASA-N 0 3 323.408 4.417 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@H](C)c2ccc(F)cn2)cc1 ZINC000471600286 339348889 /nfs/dbraw/zinc/34/88/89/339348889.db2.gz BDYSPJJYGZJHOJ-UONOGXRCSA-N 0 3 304.434 4.361 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H](c2ccccc2)N(C)C)o1 ZINC000471602981 339349082 /nfs/dbraw/zinc/34/90/82/339349082.db2.gz QSONNUBLZWUSLO-YZVOILCLSA-N 0 3 300.446 4.184 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1cc(F)cc(F)c1 ZINC000471604589 339349212 /nfs/dbraw/zinc/34/92/12/339349212.db2.gz YCKPSCNJEZEKBB-CKFHNAJUSA-N 0 3 318.411 4.307 20 0 DIADHN C/C=C\C[C@H](CO)N[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000471606420 339349222 /nfs/dbraw/zinc/34/92/22/339349222.db2.gz NNXNANXDTRFUCY-WSXGPOFGSA-N 0 3 316.470 4.096 20 0 DIADHN COc1ccc2oc([C@@H](C)NC3CC(OC(C)C)C3)c(C)c2c1 ZINC000471605036 339349284 /nfs/dbraw/zinc/34/92/84/339349284.db2.gz DPBAGEVHQKOKOM-VQCLRJIVSA-N 0 3 317.429 4.356 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1ccc(F)cc1F ZINC000471608342 339349432 /nfs/dbraw/zinc/34/94/32/339349432.db2.gz CJUNRTIEPWDBQY-CKFHNAJUSA-N 0 3 318.411 4.307 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCCc2c(C)ccc(C)c21 ZINC000471620185 339349841 /nfs/dbraw/zinc/34/98/41/339349841.db2.gz PCXCTGHIIQGWLA-DLBZAZTESA-N 0 3 315.482 4.374 20 0 DIADHN FC(F)COCCN[C@H](c1ccc(Cl)c(Cl)c1)C1CC1 ZINC000471760275 339353407 /nfs/dbraw/zinc/35/34/07/339353407.db2.gz LZHIRSZECYHEFD-AWEZNQCLSA-N 0 3 324.198 4.316 20 0 DIADHN CC[C@H](N[C@@H]1CC[C@H](OC)C1)c1ccccc1Br ZINC000471790428 339354153 /nfs/dbraw/zinc/35/41/53/339354153.db2.gz SDGHZODJDHXYDP-XUJVJEKNSA-N 0 3 312.251 4.057 20 0 DIADHN CN(CCCCO)Cc1ccc(-c2ccccc2Cl)s1 ZINC000471811886 339354902 /nfs/dbraw/zinc/35/49/02/339354902.db2.gz SXNJIPVCGVLZBK-UHFFFAOYSA-N 0 3 309.862 4.273 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CC[C@@H](OC)C1 ZINC000471822074 339355428 /nfs/dbraw/zinc/35/54/28/339355428.db2.gz NROFNZXMQIJQFH-RTBURBONSA-N 0 3 311.425 4.019 20 0 DIADHN CCSc1cccc(CNC[C@@H](c2cccs2)N(C)C)c1 ZINC000471953187 339358157 /nfs/dbraw/zinc/35/81/57/339358157.db2.gz PLVHKIVBLGGTHP-INIZCTEOSA-N 0 3 320.527 4.253 20 0 DIADHN CCOc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)cc1 ZINC000472040317 339360162 /nfs/dbraw/zinc/36/01/62/339360162.db2.gz BVHSNAHZOUHAHD-UHFFFAOYSA-N 0 3 308.425 4.473 20 0 DIADHN CCc1ccc([C@H](CO)NCc2cc3c(ccc(C)c3C)[nH]2)cc1 ZINC000472046720 339360675 /nfs/dbraw/zinc/36/06/75/339360675.db2.gz GTRIWJMFORGITR-NRFANRHFSA-N 0 3 322.452 4.170 20 0 DIADHN CCn1nc(CN[C@H](C)[C@@H](C)c2ccccc2)c2ccccc21 ZINC000472052472 339361009 /nfs/dbraw/zinc/36/10/09/339361009.db2.gz LNOFPLHUNXOGHC-HZPDHXFCSA-N 0 3 307.441 4.338 20 0 DIADHN C[C@H](NC(C)(C)CNCc1ncc(Cl)s1)c1ccccc1 ZINC000472058670 339361485 /nfs/dbraw/zinc/36/14/85/339361485.db2.gz HYKVRQKPVLVNIF-LBPRGKRZSA-N 0 3 323.893 4.016 20 0 DIADHN COc1ccccc1[C@H](CNCc1ccccc1F)OC(C)C ZINC000472058423 339361498 /nfs/dbraw/zinc/36/14/98/339361498.db2.gz GCROLTJQIFLBAG-IBGZPJMESA-N 0 3 317.404 4.090 20 0 DIADHN CCSc1cccc(CN[C@H](C)CC(=O)OC(C)(C)C)c1 ZINC000472059650 339361598 /nfs/dbraw/zinc/36/15/98/339361598.db2.gz OBWXTRSIGABUMH-CYBMUJFWSA-N 0 3 309.475 4.009 20 0 DIADHN CCOc1cccc(CNCc2cccc(SCC)c2)c1 ZINC000472063597 339361716 /nfs/dbraw/zinc/36/17/16/339361716.db2.gz NYHOUPFXMPIKRM-UHFFFAOYSA-N 0 3 301.455 4.487 20 0 DIADHN COc1ccccc1C[C@@H](NCc1ncc(Cl)s1)C(C)C ZINC000472064396 339361982 /nfs/dbraw/zinc/36/19/82/339361982.db2.gz SBICOMUTPXGVFT-CYBMUJFWSA-N 0 3 324.877 4.162 20 0 DIADHN CCSc1cccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000472072060 339362281 /nfs/dbraw/zinc/36/22/81/339362281.db2.gz CIBHASGVMPPGIC-KRWDZBQOSA-N 0 3 317.502 4.409 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](c3cccnc3)C3CC3)cc2c1C ZINC000472072205 339362416 /nfs/dbraw/zinc/36/24/16/339362416.db2.gz CPNMVWMNFZCDFL-HXUWFJFHSA-N 0 3 305.425 4.421 20 0 DIADHN Clc1cnc(CNC2(Cc3ccccc3)CCCC2)s1 ZINC000472077754 339362864 /nfs/dbraw/zinc/36/28/64/339362864.db2.gz XSSIUAHPQRUAEI-UHFFFAOYSA-N 0 3 306.862 4.442 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccc(C)cc1)OC(C)C ZINC000472076880 339362907 /nfs/dbraw/zinc/36/29/07/339362907.db2.gz WHQQWIUMCLJRFZ-HXUWFJFHSA-N 0 3 313.441 4.260 20 0 DIADHN Clc1cnc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)s1 ZINC000472080330 339362929 /nfs/dbraw/zinc/36/29/29/339362929.db2.gz NPGVPSHZDOEQJO-UONOGXRCSA-N 0 3 306.862 4.298 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H](C)Oc1ccc(F)cc1 ZINC000472129618 339363811 /nfs/dbraw/zinc/36/38/11/339363811.db2.gz DVLCXNBSMANDBC-NEPJUHHUSA-N 0 3 309.406 4.014 20 0 DIADHN C/C=C\C[C@@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000472139965 339364087 /nfs/dbraw/zinc/36/40/87/339364087.db2.gz JRLYGMHIGXPHTL-ZRMMWKCHSA-N 0 3 305.805 4.017 20 0 DIADHN COc1ccsc1[C@H](C)NCC1(c2ccccc2OC)CC1 ZINC000472145103 339364242 /nfs/dbraw/zinc/36/42/42/339364242.db2.gz BBRUSYYCCDKUIR-ZDUSSCGKSA-N 0 3 317.454 4.148 20 0 DIADHN CCc1ncc(CN[C@H](C)CSc2ccc(C)cc2)s1 ZINC000472161314 339364992 /nfs/dbraw/zinc/36/49/92/339364992.db2.gz VMASMTQKOUVXEG-CYBMUJFWSA-N 0 3 306.500 4.284 20 0 DIADHN COc1ccsc1[C@H](C)NCCCOc1ccccc1C ZINC000472165932 339365084 /nfs/dbraw/zinc/36/50/84/339365084.db2.gz SBEKOZLHZIBVOL-AWEZNQCLSA-N 0 3 305.443 4.185 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3cccc(Cl)c3)o2)C(C)(C)C1 ZINC000472273197 339368355 /nfs/dbraw/zinc/36/83/55/339368355.db2.gz HYDFVZWKHRZCKN-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3ccc(F)c(F)c3)n2)C(C)(C)C1 ZINC000472289284 339368972 /nfs/dbraw/zinc/36/89/72/339368972.db2.gz AOXUVRWRMFBAHH-NSHDSACASA-N 0 3 306.356 4.240 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ccncc2Cl)cc1 ZINC000472440434 339371911 /nfs/dbraw/zinc/37/19/11/339371911.db2.gz QZWLJAZCKVCTRB-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN COc1c(C)cc(CN2C[C@@H](C)C[C@@H]2c2cccnc2)cc1C ZINC000472603081 339375037 /nfs/dbraw/zinc/37/50/37/339375037.db2.gz RVDONQPRWGNEJY-IFXJQAMLSA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N(C)C[C@H]1CCCO1 ZINC000472670761 339376002 /nfs/dbraw/zinc/37/60/02/339376002.db2.gz SHLYNVRGHRMMII-CABCVRRESA-N 0 3 312.251 4.011 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N(C)C[C@@H]1CCCO1 ZINC000472670765 339376093 /nfs/dbraw/zinc/37/60/93/339376093.db2.gz SHLYNVRGHRMMII-LSDHHAIUSA-N 0 3 312.251 4.011 20 0 DIADHN CC[C@H]1C[C@@H](CN2CC=C(c3c(F)cccc3F)CC2)CCO1 ZINC000473120722 339381342 /nfs/dbraw/zinc/38/13/42/339381342.db2.gz GGZPHDHMLCOODV-HOCLYGCPSA-N 0 3 321.411 4.259 20 0 DIADHN COCC1(C)CCN(Cc2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC000473118836 339381424 /nfs/dbraw/zinc/38/14/24/339381424.db2.gz ALIPTQCWCDXUFV-UHFFFAOYSA-N 0 3 300.446 4.033 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000473121313 339381541 /nfs/dbraw/zinc/38/15/41/339381541.db2.gz WOYSJSCUPVZJKN-IBGZPJMESA-N 0 3 313.828 4.280 20 0 DIADHN Oc1cc(F)cc(CN2CC[C@@H](CSc3ccccc3)C2)c1 ZINC000473141860 339382674 /nfs/dbraw/zinc/38/26/74/339382674.db2.gz QAWNQJUPDSMXPS-CQSZACIVSA-N 0 3 317.429 4.146 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](C)[C@@H](n4ccnc4)C3)cc2c1C ZINC000473147047 339382758 /nfs/dbraw/zinc/38/27/58/339382758.db2.gz VQRMEQWUJGZWOG-YWZLYKJASA-N 0 3 322.456 4.064 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](C)[C@@H](n4ccnc4)C3)cc2c1C ZINC000473147046 339382944 /nfs/dbraw/zinc/38/29/44/339382944.db2.gz VQRMEQWUJGZWOG-QRWLVFNGSA-N 0 3 322.456 4.064 20 0 DIADHN OC[C@H](NCCCc1cccc2ccccc21)c1ccsc1 ZINC000473157009 339383207 /nfs/dbraw/zinc/38/32/07/339383207.db2.gz ASVDDGBUDRWHKW-IBGZPJMESA-N 0 3 311.450 4.157 20 0 DIADHN OC[C@@H](NCCCc1cccc2ccccc21)c1ccsc1 ZINC000473157012 339383221 /nfs/dbraw/zinc/38/32/21/339383221.db2.gz ASVDDGBUDRWHKW-LJQANCHMSA-N 0 3 311.450 4.157 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1sc2c(c1C)CCCC2 ZINC000473217388 339383807 /nfs/dbraw/zinc/38/38/07/339383807.db2.gz UAKHYFWCGQWXLT-CQSZACIVSA-N 0 3 308.491 4.041 20 0 DIADHN COc1c2ccccc2oc1CNc1ccnc2ccccc21 ZINC000473707826 339390602 /nfs/dbraw/zinc/39/06/02/339390602.db2.gz XNIFKJSUFMKFDO-UHFFFAOYSA-N 0 3 304.349 4.024 20 0 DIADHN CN(Cc1ccc(Br)o1)[C@H]1C[C@@H]1c1ccccc1 ZINC000473918958 339394967 /nfs/dbraw/zinc/39/49/67/339394967.db2.gz MRBMHZIPURSKCE-KGLIPLIRSA-N 0 3 306.203 4.030 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NC[C@@H](C)C(F)(F)F ZINC000474060378 339399939 /nfs/dbraw/zinc/39/99/39/339399939.db2.gz ZTYGHIHNJOOMPQ-YGRLFVJLSA-N 0 3 301.352 4.206 20 0 DIADHN OCCC1(NCc2csc(C3CCCCC3)n2)CCCCC1 ZINC000474283343 339408566 /nfs/dbraw/zinc/40/85/66/339408566.db2.gz DRCVTTCPEYJQLN-UHFFFAOYSA-N 0 3 322.518 4.366 20 0 DIADHN CC(C)C[C@H](CN[C@H](C)c1nnc2ccccn21)c1ccccc1 ZINC000474484161 339417322 /nfs/dbraw/zinc/41/73/22/339417322.db2.gz FXWATNZGYVHIOU-SJLPKXTDSA-N 0 3 322.456 4.210 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)c1ccccc1C(C)(C)C ZINC000474625511 339420682 /nfs/dbraw/zinc/42/06/82/339420682.db2.gz AIADXIYWXPUPAS-UHFFFAOYSA-N 0 3 324.468 4.341 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CCC[C@@H](C(C)C)C1 ZINC000474809623 339427486 /nfs/dbraw/zinc/42/74/86/339427486.db2.gz PAKCUTZGCBUDLA-PMPSAXMXSA-N 0 3 304.482 4.041 20 0 DIADHN C[C@@H](NCc1ccc(F)cn1)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000474819612 339428325 /nfs/dbraw/zinc/42/83/25/339428325.db2.gz PGLQGPVKWOMOGO-JIMOISOXSA-N 0 3 310.825 4.217 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000474924018 339431169 /nfs/dbraw/zinc/43/11/69/339431169.db2.gz LVCNQRFNDHNKLL-QWHCGFSZSA-N 0 3 317.408 4.495 20 0 DIADHN Cc1ccncc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000474943208 339432743 /nfs/dbraw/zinc/43/27/43/339432743.db2.gz FRGDQXMJWGBNAI-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@H](CCN1CCC(C(F)(F)F)CC1)OCc1ccccc1 ZINC000475032346 339436169 /nfs/dbraw/zinc/43/61/69/339436169.db2.gz PDEFNQZJZPDESM-CQSZACIVSA-N 0 3 315.379 4.256 20 0 DIADHN C[C@@H]1CC[C@H](CNC(C)(C)c2cccc(C(F)(F)F)c2)O1 ZINC000475056920 339437597 /nfs/dbraw/zinc/43/75/97/339437597.db2.gz OQEFTFCRUFMVIH-BXUZGUMPSA-N 0 3 301.352 4.098 20 0 DIADHN C[C@@H](N[C@@H](C)[C@H]1CCCOC1)c1ccc(Cl)cc1Cl ZINC000475213374 339441454 /nfs/dbraw/zinc/44/14/54/339441454.db2.gz NCUKTYIPLRJQAH-TUAOUCFPSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(-c2ncc(CNCC3(C(F)F)CC3)s2)cc1 ZINC000475209769 339441642 /nfs/dbraw/zinc/44/16/42/339441642.db2.gz IBEHWOQNMVVUTE-UHFFFAOYSA-N 0 3 308.397 4.253 20 0 DIADHN CO[C@H]1CC[C@@H](NCc2c(Cl)cccc2SC(C)C)C1 ZINC000475208763 339441695 /nfs/dbraw/zinc/44/16/95/339441695.db2.gz RBCHGASBOUSQQA-OLZOCXBDSA-N 0 3 313.894 4.498 20 0 DIADHN COc1ccc(CNCC2(C)CCC(OC)CC2)c(Cl)c1 ZINC000475217603 339442099 /nfs/dbraw/zinc/44/20/99/339442099.db2.gz XNWICCOJIBWWON-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN C[C@@H](CC(C)(C)C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000475285138 339444101 /nfs/dbraw/zinc/44/41/01/339444101.db2.gz XYNPEZZWJBJZKZ-FUHWJXTLSA-N 0 3 316.489 4.012 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](c3ccc(F)cc3)C2)cs1 ZINC000475331929 339446975 /nfs/dbraw/zinc/44/69/75/339446975.db2.gz QJHUZNDVGRZHMR-ZFWWWQNUSA-N 0 3 304.434 4.271 20 0 DIADHN COCCC1(NCc2ccc(-c3ccccc3F)o2)CCC1 ZINC000475494074 339452549 /nfs/dbraw/zinc/45/25/49/339452549.db2.gz QKMXUFFVYJXONF-UHFFFAOYSA-N 0 3 303.377 4.135 20 0 DIADHN COCCC1(NCc2ccc(Oc3ccccc3)o2)CCC1 ZINC000475494556 339452592 /nfs/dbraw/zinc/45/25/92/339452592.db2.gz RLTVMKLVTDGNRH-UHFFFAOYSA-N 0 3 301.386 4.121 20 0 DIADHN CCOc1cccc(CN[C@H]2CC[C@H](c3cccc(F)c3)C2)n1 ZINC000475562349 339455481 /nfs/dbraw/zinc/45/54/81/339455481.db2.gz FSUBHMRZVOCNEC-RDJZCZTQSA-N 0 3 314.404 4.045 20 0 DIADHN CC[C@H](C)CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000475641766 339458048 /nfs/dbraw/zinc/45/80/48/339458048.db2.gz UDBZTKZQGYNESS-ZANVPECISA-N 0 3 309.759 4.028 20 0 DIADHN CC[C@@H](C)CN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000475641764 339458058 /nfs/dbraw/zinc/45/80/58/339458058.db2.gz UDBZTKZQGYNESS-RNCFNFMXSA-N 0 3 309.759 4.028 20 0 DIADHN CC(C)(C)n1cc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC000475668611 339458878 /nfs/dbraw/zinc/45/88/78/339458878.db2.gz FIYYTKOMDXCONT-JCNLHEQBSA-N 0 3 317.864 4.327 20 0 DIADHN C[C@@H](NC[C@@H](O)CC1CCCC1)c1cc2cccc(F)c2o1 ZINC000475722754 339460416 /nfs/dbraw/zinc/46/04/16/339460416.db2.gz TVTVXVZDTSUCOC-DOMZBBRYSA-N 0 3 305.393 4.164 20 0 DIADHN C[C@H](NC[C@H](O)CC1CCCC1)c1cc2cccc(F)c2o1 ZINC000475722756 339460420 /nfs/dbraw/zinc/46/04/20/339460420.db2.gz TVTVXVZDTSUCOC-SWLSCSKDSA-N 0 3 305.393 4.164 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1cccc(C(F)(F)F)c1C ZINC000477356959 339493081 /nfs/dbraw/zinc/49/30/81/339493081.db2.gz SJJHGLIVHUSPRI-NSHDSACASA-N 0 3 316.367 4.119 20 0 DIADHN C[C@@H](NCCCO[C@H]1CCCCO1)c1cc2ccccc2o1 ZINC000477671775 339498923 /nfs/dbraw/zinc/49/89/23/339498923.db2.gz NIWCPJIFANTCHC-KDOFPFPSSA-N 0 3 303.402 4.017 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1cccnc1 ZINC000477855556 339502806 /nfs/dbraw/zinc/50/28/06/339502806.db2.gz OWTISGJWSNRHLL-ROUUACIJSA-N 0 3 302.462 4.250 20 0 DIADHN COc1cccc2c1CC[C@H]2NC[C@@H]1CCC2(CCCCC2)O1 ZINC000477861234 339503070 /nfs/dbraw/zinc/50/30/70/339503070.db2.gz WNHDQYKKFDZKAH-MAUKXSAKSA-N 0 3 315.457 4.154 20 0 DIADHN COCc1ccc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000478264142 339511982 /nfs/dbraw/zinc/51/19/82/339511982.db2.gz XKLPCLRIUXEXJO-QGZVFWFLSA-N 0 3 315.388 4.291 20 0 DIADHN CC1(C)C[C@H](NCc2nc(-c3ccccc3)cs2)C(C)(C)O1 ZINC000478321909 339513386 /nfs/dbraw/zinc/51/33/86/339513386.db2.gz ABYNAONRGNOAAU-HNNXBMFYSA-N 0 3 316.470 4.246 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2ccc([C@@H]3C[C@@H]3C)o2)CCO1 ZINC000478325452 339513471 /nfs/dbraw/zinc/51/34/71/339513471.db2.gz UIDYLDLDZNRDKX-KOJWFEOWSA-N 0 3 305.462 4.476 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000478444108 339515575 /nfs/dbraw/zinc/51/55/75/339515575.db2.gz LUKMIWZKXFUFSF-RSUWNVLCSA-N 0 3 317.408 4.351 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@@H](C)NCC=C(C)C)cc1 ZINC000479294140 339530584 /nfs/dbraw/zinc/53/05/84/339530584.db2.gz HQYCSTFTAODDRH-OAHLLOKOSA-N 0 3 303.450 4.177 20 0 DIADHN C[C@H](NCCCc1ccccc1)c1ccc(Br)cn1 ZINC000479317890 339531015 /nfs/dbraw/zinc/53/10/15/339531015.db2.gz FKTABWPHZLHKDV-ZDUSSCGKSA-N 0 3 319.246 4.128 20 0 DIADHN CC(C)c1cccc(CNCc2c[nH]nc2-c2ccccc2)c1 ZINC000479398012 339533076 /nfs/dbraw/zinc/53/30/76/339533076.db2.gz SMOSBZAVZKWMAW-UHFFFAOYSA-N 0 3 305.425 4.490 20 0 DIADHN CC(C)[C@H](O)C1(CN[C@H](c2cc(F)ccc2F)C(C)C)CC1 ZINC000479403196 339533336 /nfs/dbraw/zinc/53/33/36/339533336.db2.gz YUHPFFXBDAJNNU-IRXDYDNUSA-N 0 3 311.416 4.049 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCC1(C(F)F)CC1 ZINC000479451850 339535714 /nfs/dbraw/zinc/53/57/14/339535714.db2.gz YFPQSYFARNBXEO-SECBINFHSA-N 0 3 302.434 4.445 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccc(Br)c(F)c1 ZINC000479450582 339535745 /nfs/dbraw/zinc/53/57/45/339535745.db2.gz IHEVHHQFGSUUME-MRVPVSSYSA-N 0 3 322.168 4.284 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H]2CCCc3nn(C)cc32)c2ccccc21 ZINC000479456051 339535901 /nfs/dbraw/zinc/53/59/01/339535901.db2.gz PECYTTVGRUYFBR-YVWKXTFCSA-N 0 3 309.457 4.272 20 0 DIADHN C[C@@H]1COCC[C@H]1NC1(c2c(Cl)cccc2Cl)CCC1 ZINC000479458386 339536082 /nfs/dbraw/zinc/53/60/82/339536082.db2.gz XDAZKEKGFYTRCH-BXUZGUMPSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCC1)c1cc(Cl)cc(Cl)c1 ZINC000479513505 339538748 /nfs/dbraw/zinc/53/87/48/339538748.db2.gz OGDOQJCMBPCTTK-BONVTDFDSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@@H](N[C@H](CO)CCC(C)(C)C)c1ccccc1OC(F)F ZINC000479547188 339540581 /nfs/dbraw/zinc/54/05/81/339540581.db2.gz XYXOTMUJWRQUFI-OLZOCXBDSA-N 0 3 315.404 4.126 20 0 DIADHN COC[C@@H](NCCCc1ccccc1F)c1ccc(F)c(F)c1 ZINC000479552509 339540750 /nfs/dbraw/zinc/54/07/50/339540750.db2.gz NWQPPCHHFGLJMP-GOSISDBHSA-N 0 3 323.358 4.014 20 0 DIADHN Cc1cc2[nH]c(CN[C@H]3CCc4c3cccc4O)cc2c(C)c1 ZINC000479559277 339541060 /nfs/dbraw/zinc/54/10/60/339541060.db2.gz QBHGLMZNYKTELH-SFHVURJKSA-N 0 3 306.409 4.267 20 0 DIADHN CCCC[C@@H](N[C@@H](C)CC(N)=O)c1ccc(Cl)cc1Cl ZINC000479570452 339541605 /nfs/dbraw/zinc/54/16/05/339541605.db2.gz WXYVXXUQAZDZAV-IINYFYTJSA-N 0 3 317.260 4.078 20 0 DIADHN C[C@H](CNCc1c[nH]nc1-c1ccc(Cl)cc1)C(F)(F)F ZINC000479584198 339542303 /nfs/dbraw/zinc/54/23/03/339542303.db2.gz ATPKRFCIUCICRR-SECBINFHSA-N 0 3 317.742 4.018 20 0 DIADHN COc1cc(CN[C@H]2CCCO[C@H]2CC(C)C)ccc1SC ZINC000479589090 339542553 /nfs/dbraw/zinc/54/25/53/339542553.db2.gz YMVMESSJNGIBPA-HOTGVXAUSA-N 0 3 323.502 4.100 20 0 DIADHN Cc1ccc(CNC[C@@H](C)C(F)(F)F)cc1Br ZINC000479608713 339543703 /nfs/dbraw/zinc/54/37/03/339543703.db2.gz WTHRCDMSDQNRCJ-SECBINFHSA-N 0 3 310.157 4.046 20 0 DIADHN C[C@@H](NC[C@@H](c1cccs1)N1CCCC1)c1cccc(F)c1 ZINC000479853359 339550641 /nfs/dbraw/zinc/55/06/41/339550641.db2.gz SIROFZMHMPOLTN-PBHICJAKSA-N 0 3 318.461 4.375 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@@H]2NCc1ccccc1 ZINC000479876138 339552374 /nfs/dbraw/zinc/55/23/74/339552374.db2.gz SLUCKLDEMWUFPM-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN Clc1cccc(Oc2ccccc2)c1CNC[C@H]1CCOC1 ZINC000479921472 339553435 /nfs/dbraw/zinc/55/34/35/339553435.db2.gz ULNJKRGDSBRUNW-CQSZACIVSA-N 0 3 317.816 4.258 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCCC1)c1ccccc1F ZINC000480092154 339558794 /nfs/dbraw/zinc/55/87/94/339558794.db2.gz WQBNRARQVADQAV-CRAIPNDOSA-N 0 3 316.420 4.297 20 0 DIADHN CC(C)Oc1ccccc1CN[C@@H]1CCO[C@H](C(C)(C)C)C1 ZINC000480126185 339559892 /nfs/dbraw/zinc/55/98/92/339559892.db2.gz QLJMBDSWCWSKPD-AEFFLSMTSA-N 0 3 305.462 4.157 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1ccc(Cl)cn1 ZINC000480159427 339561019 /nfs/dbraw/zinc/56/10/19/339561019.db2.gz LJUDAEBLEGHAFS-ZFWWWQNUSA-N 0 3 308.853 4.268 20 0 DIADHN C[C@H](NCCCOC1CCCCC1)c1cc(F)c(F)c(F)c1 ZINC000480161820 339561209 /nfs/dbraw/zinc/56/12/09/339561209.db2.gz AMURGELYOUJYLE-LBPRGKRZSA-N 0 3 315.379 4.494 20 0 DIADHN C[C@@H](NC[C@H]1SCCc2ccccc21)c1ccc(Cl)cn1 ZINC000480177911 339562306 /nfs/dbraw/zinc/56/23/06/339562306.db2.gz HQJVRFDWCHQZEN-SJKOYZFVSA-N 0 3 318.873 4.416 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1ccn(C2CCCC2)n1 ZINC000480182228 339562431 /nfs/dbraw/zinc/56/24/31/339562431.db2.gz PBXVXMHZAQOKHZ-GFCCVEGCSA-N 0 3 303.372 4.209 20 0 DIADHN C[C@H](NC[C@H]1CCCO[C@@H]1C(C)(C)C)c1ccc(Cl)cn1 ZINC000480182934 339562451 /nfs/dbraw/zinc/56/24/51/339562451.db2.gz PCBYUVWHOLBCNQ-ZENOOKHLSA-N 0 3 310.869 4.227 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000480184299 339562498 /nfs/dbraw/zinc/56/24/98/339562498.db2.gz RLBVIMNGEFQVCN-SJBDTSRBSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(Cn3cccn3)cc2)c1 ZINC000480232513 339564287 /nfs/dbraw/zinc/56/42/87/339564287.db2.gz UCGZTZXAADGPFQ-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H]2c3ccccc3CC[C@H]2C)cn1 ZINC000480253062 339565412 /nfs/dbraw/zinc/56/54/12/339565412.db2.gz VLENNOMKNZSITK-IERDGZPVSA-N 0 3 323.484 4.339 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@@H](C)c3ccc(Cl)cn3)c21 ZINC000480254804 339565622 /nfs/dbraw/zinc/56/56/22/339565622.db2.gz YKBBWUQYEFXGHC-ZDUSSCGKSA-N 0 3 313.832 4.418 20 0 DIADHN C[C@@H](NC[C@H](c1ccsc1)N(C)C)c1cc2ccccc2o1 ZINC000480258119 339565667 /nfs/dbraw/zinc/56/56/67/339565667.db2.gz RFEQMCWLRCETRZ-CZUORRHYSA-N 0 3 314.454 4.448 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](C)c2cc3c(s2)CCC3)c1 ZINC000480263513 339565958 /nfs/dbraw/zinc/56/59/58/339565958.db2.gz MHPWBXKWJUCJFC-LBPRGKRZSA-N 0 3 317.454 4.105 20 0 DIADHN CC(C)=CCCNCc1nc2cc(C(F)(F)F)ccc2n1C ZINC000480305549 339568127 /nfs/dbraw/zinc/56/81/27/339568127.db2.gz FYMMRSZIVZCWKB-UHFFFAOYSA-N 0 3 311.351 4.038 20 0 DIADHN CCOCCC1(CNCc2ccsc2Cl)CCCC1 ZINC000480361024 339570565 /nfs/dbraw/zinc/57/05/65/339570565.db2.gz WIBZZYWXVVQYNY-UHFFFAOYSA-N 0 3 301.883 4.478 20 0 DIADHN Clc1cccc(CNCc2ccc(OCC3CC3)cc2)c1 ZINC000480371675 339571121 /nfs/dbraw/zinc/57/11/21/339571121.db2.gz UOPNLLUEOWHJRW-UHFFFAOYSA-N 0 3 301.817 4.419 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(N(C)C(C)C)nc2)c(C)c1 ZINC000480372018 339571197 /nfs/dbraw/zinc/57/11/97/339571197.db2.gz OWTCRAFEBAOKES-UHFFFAOYSA-N 0 3 311.473 4.141 20 0 DIADHN C[C@@H](NCc1nccn1-c1ccccc1)[C@@H](C)c1ccccc1 ZINC000480391360 339571959 /nfs/dbraw/zinc/57/19/59/339571959.db2.gz XUFZCLPARNEWHY-IAGOWNOFSA-N 0 3 305.425 4.154 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccccc2Cn2cccn2)cc1 ZINC000480422717 339572795 /nfs/dbraw/zinc/57/27/95/339572795.db2.gz ZYVCZZPHRKLVND-QGZVFWFLSA-N 0 3 319.452 4.345 20 0 DIADHN COc1ccccc1/C=C/CN[C@H]1CCCc2c(O)cccc21 ZINC000480462023 339573797 /nfs/dbraw/zinc/57/37/97/339573797.db2.gz PPSAAGXOWNPMSB-IWHGQQBYSA-N 0 3 309.409 4.081 20 0 DIADHN c1ccc2c(c1)CO[C@@]21CCCN(c2ccnc3ccccc32)C1 ZINC000480503756 339575156 /nfs/dbraw/zinc/57/51/56/339575156.db2.gz DBHHHKDPKBUWAQ-OAQYLSRUSA-N 0 3 316.404 4.261 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](C)N(C)c2ccccc2)cc1F ZINC000480615069 339579779 /nfs/dbraw/zinc/57/97/79/339579779.db2.gz TURNGCTUUUQLOV-HUUCEWRRSA-N 0 3 316.420 4.010 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@@H](CCO)C(C)(C)C)c1 ZINC000480686255 339583280 /nfs/dbraw/zinc/58/32/80/339583280.db2.gz DJMNBWWMPZQRQV-DOMZBBRYSA-N 0 3 315.404 4.044 20 0 DIADHN CC(C)CCC[C@@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707060 339584275 /nfs/dbraw/zinc/58/42/75/339584275.db2.gz KCSRRRRRFSZAJB-DNVCBOLYSA-N 0 3 317.452 4.453 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)[C@@H](C)OC)ccc1OC1CCCC1 ZINC000480739354 339585297 /nfs/dbraw/zinc/58/52/97/339585297.db2.gz ZGRWQCNILBDEMU-SOUVJXGZSA-N 0 3 321.461 4.091 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCO[C@H]2CC(C)C)cc1F ZINC000480739322 339585348 /nfs/dbraw/zinc/58/53/48/339585348.db2.gz ZDRLDWAKHVYAJG-OWQGQXMQSA-N 0 3 309.425 4.079 20 0 DIADHN CC(C)(C)OC(=O)C1CCN(CCCCc2ccccc2)CC1 ZINC000480774118 339586849 /nfs/dbraw/zinc/58/68/49/339586849.db2.gz LZLDSDMXIGGRSL-UHFFFAOYSA-N 0 3 317.473 4.063 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000480779143 339587009 /nfs/dbraw/zinc/58/70/09/339587009.db2.gz PFHCAARWNDQDOI-KZNAEPCWSA-N 0 3 301.430 4.399 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2ccc3c(c2)CCC3)cn1 ZINC000480889432 339589704 /nfs/dbraw/zinc/58/97/04/339589704.db2.gz NTDMJUYKOWMROT-MRXNPFEDSA-N 0 3 323.484 4.267 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2cc(C)cc(C)c2)cn1 ZINC000480921239 339590944 /nfs/dbraw/zinc/59/09/44/339590944.db2.gz PKQUCRYKILHFLA-QGZVFWFLSA-N 0 3 311.473 4.395 20 0 DIADHN CCC[C@H](NCc1ccccc1OCCOC)c1ccccc1 ZINC000481036796 339593798 /nfs/dbraw/zinc/59/37/98/339593798.db2.gz LMRWMGOMLUOHMZ-IBGZPJMESA-N 0 3 313.441 4.343 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1ccc(Oc2ccccc2)nn1 ZINC000481182979 339597582 /nfs/dbraw/zinc/59/75/82/339597582.db2.gz QUCKFIOTRFXHLE-CRAIPNDOSA-N 0 3 311.429 4.327 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCC[C@@H](C)[C@@H]3C)o2)cc1 ZINC000481189122 339597985 /nfs/dbraw/zinc/59/79/85/339597985.db2.gz JSRQOVOVMBNXPJ-JKIFEVAISA-N 0 3 314.429 4.265 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccc(OC2CCCC2)nc1 ZINC000481303576 339600287 /nfs/dbraw/zinc/60/02/87/339600287.db2.gz BWFPCMGQHWMQRG-VFNWGFHPSA-N 0 3 322.452 4.426 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1F)c1cnc2ccsc2c1 ZINC000481324846 339601332 /nfs/dbraw/zinc/60/13/32/339601332.db2.gz SRIRJPYXQWUHNY-LLVKDONJSA-N 0 3 318.392 4.468 20 0 DIADHN COc1cccc(CN[C@H](C)[C@@H](OC)c2ccccc2Cl)c1 ZINC000481428820 339604235 /nfs/dbraw/zinc/60/42/35/339604235.db2.gz VKSQDBVIELWWFT-FZKQIMNGSA-N 0 3 319.832 4.214 20 0 DIADHN CC[C@H](N[C@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000481940086 339617596 /nfs/dbraw/zinc/61/75/96/339617596.db2.gz BGFDDFMRDBAEJJ-ZBFHGGJFSA-N 0 3 304.482 4.014 20 0 DIADHN CCCCOCCN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000481950483 339617689 /nfs/dbraw/zinc/61/76/89/339617689.db2.gz BQXAQWOJLLKEKV-ZDUSSCGKSA-N 0 3 301.377 4.064 20 0 DIADHN CC(C)[C@@H](C)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000482024017 339620210 /nfs/dbraw/zinc/62/02/10/339620210.db2.gz CZBSFMYBTCATIA-BEFAXECRSA-N 0 3 307.441 4.297 20 0 DIADHN Cc1ccc(Cl)cc1N1CC[C@H](CN[C@H](C)c2ccco2)C1 ZINC000482097141 339622859 /nfs/dbraw/zinc/62/28/59/339622859.db2.gz ICIOKYURIBJKSD-HUUCEWRRSA-N 0 3 318.848 4.419 20 0 DIADHN Cc1ccc(Cl)cc1N1CC[C@H](CN[C@@H](C)c2ccco2)C1 ZINC000482097142 339622945 /nfs/dbraw/zinc/62/29/45/339622945.db2.gz ICIOKYURIBJKSD-LSDHHAIUSA-N 0 3 318.848 4.419 20 0 DIADHN COc1ccc2oc(CNCCc3cccc(F)c3F)cc2c1 ZINC000482523009 339634503 /nfs/dbraw/zinc/63/45/03/339634503.db2.gz BJPWYMFVWRBDSM-UHFFFAOYSA-N 0 3 317.335 4.052 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000482541717 339635206 /nfs/dbraw/zinc/63/52/06/339635206.db2.gz WFSRPULCZDXMSP-NQBHXWOUSA-N 0 3 322.449 4.332 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H]1CCC[C@H](C)C1 ZINC000482614045 339637415 /nfs/dbraw/zinc/63/74/15/339637415.db2.gz VVOYJEZZUXZUIU-GOEBONIOSA-N 0 3 302.462 4.212 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000482645219 339638817 /nfs/dbraw/zinc/63/88/17/339638817.db2.gz XROCZYBXVJNADA-KPZWWZAWSA-N 0 3 323.383 4.253 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCOc3ccccc32)c(F)c1 ZINC000482813582 339643169 /nfs/dbraw/zinc/64/31/69/339643169.db2.gz GZZIWIBHPGYRRX-UONOGXRCSA-N 0 3 315.388 4.051 20 0 DIADHN Oc1ccc(C2CCN([C@@H]3CCc4ccc(F)cc43)CC2)cc1 ZINC000482825228 339643618 /nfs/dbraw/zinc/64/36/18/339643618.db2.gz VXXFQUCKPONTJM-HXUWFJFHSA-N 0 3 311.400 4.398 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000482864456 339644968 /nfs/dbraw/zinc/64/49/68/339644968.db2.gz HQSZUVYLOKBWLC-IUODEOHRSA-N 0 3 315.404 4.044 20 0 DIADHN CCN1CCCC[C@H]1CN[C@@H]1C[C@H](C)Sc2sccc21 ZINC000482864473 339644989 /nfs/dbraw/zinc/64/49/89/339644989.db2.gz HWAMCIZQZOWLNJ-KCQAQPDRSA-N 0 3 310.532 4.138 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@@H](O)CCC(C)C ZINC000482869268 339645188 /nfs/dbraw/zinc/64/51/88/339645188.db2.gz RRSZLGOHXQGNSB-HOCLYGCPSA-N 0 3 317.395 4.375 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@H](CC)c1ccccc1OC(F)F ZINC000482892161 339646255 /nfs/dbraw/zinc/64/62/55/339646255.db2.gz XPOYAGKQIGCZEG-CABCVRRESA-N 0 3 315.404 4.126 20 0 DIADHN CCC[C@@](C)(O)CN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000482954954 339648253 /nfs/dbraw/zinc/64/82/53/339648253.db2.gz FBJYCMKTGRCMEP-MEBBXXQBSA-N 0 3 321.795 4.143 20 0 DIADHN Cc1ccsc1[C@H](C)NC[C@H](c1c(F)cccc1F)N(C)C ZINC000483142091 339653777 /nfs/dbraw/zinc/65/37/77/339653777.db2.gz LMVROHREAOJTIB-SWLSCSKDSA-N 0 3 324.440 4.288 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@H](N[C@H](C)c2ccco2)C1 ZINC000483165111 339654765 /nfs/dbraw/zinc/65/47/65/339654765.db2.gz BOMJDWZATIQASH-ZBFHGGJFSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCCN(c3cccc(F)c3)C2)o1 ZINC000483170366 339655028 /nfs/dbraw/zinc/65/50/28/339655028.db2.gz RDGVOVZSAHRYND-ZBFHGGJFSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NC[C@H](O)CC(C)(C)C)c1 ZINC000483186104 339655640 /nfs/dbraw/zinc/65/56/40/339655640.db2.gz SWWRJHFYDBWMTM-CHWSQXEVSA-N 0 3 315.404 4.044 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCCOC2CCCCCC2)s1 ZINC000483206305 339656231 /nfs/dbraw/zinc/65/62/31/339656231.db2.gz UKANQRSMVBHYSX-CYBMUJFWSA-N 0 3 310.507 4.404 20 0 DIADHN CC/C=C/CCN[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000483254257 339658249 /nfs/dbraw/zinc/65/82/49/339658249.db2.gz MLUQTWLRWKONKJ-XGACYXMMSA-N 0 3 321.770 4.338 20 0 DIADHN OC[C@@H](N[C@@H]1C=CCCC1)c1ccc(Cl)cc1C(F)(F)F ZINC000483262613 339658601 /nfs/dbraw/zinc/65/86/01/339658601.db2.gz WONPGQBAEFOHES-BXUZGUMPSA-N 0 3 319.754 4.091 20 0 DIADHN CCCC[C@H](CC)N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483362717 339662802 /nfs/dbraw/zinc/66/28/02/339662802.db2.gz AWXGFHYBJWTHLA-WFASDCNBSA-N 0 3 305.397 4.495 20 0 DIADHN FC(F)C1CCN(CCOc2ccc3ccccc3c2)CC1 ZINC000483518903 339667962 /nfs/dbraw/zinc/66/79/62/339667962.db2.gz YBEUWOYDSQRCOM-UHFFFAOYSA-N 0 3 305.368 4.196 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)Oc2cccc(F)c2)s1 ZINC000483544364 339668612 /nfs/dbraw/zinc/66/86/12/339668612.db2.gz NQQQZAPEWZAKIO-NEPJUHHUSA-N 0 3 322.449 4.271 20 0 DIADHN CC(C)C[C@H](NC[C@H](C)Oc1cccc(F)c1)c1ccccn1 ZINC000483548693 339668755 /nfs/dbraw/zinc/66/87/55/339668755.db2.gz WSDRFPVOTVUCID-KXBFYZLASA-N 0 3 316.420 4.365 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1ccc(F)cc1F ZINC000483612031 339669398 /nfs/dbraw/zinc/66/93/98/339669398.db2.gz GTIMJXYSFGIWIT-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN CCCC[C@@H](CC)N[C@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000483654646 339670921 /nfs/dbraw/zinc/67/09/21/339670921.db2.gz ODRZZQRKGSLQQZ-UKRRQHHQSA-N 0 3 317.433 4.365 20 0 DIADHN CO[C@H]1CCCC[C@H]1NCc1ccc(OC(C)C)c(Cl)c1 ZINC000483693916 339671864 /nfs/dbraw/zinc/67/18/64/339671864.db2.gz BRXHPMXLHZROSY-WBVHZDCISA-N 0 3 311.853 4.174 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000483718006 339672518 /nfs/dbraw/zinc/67/25/18/339672518.db2.gz ZZBOFQTZPIPJTF-ZLKJLUDKSA-N 0 3 317.351 4.035 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000483743465 339673695 /nfs/dbraw/zinc/67/36/95/339673695.db2.gz NGGUWQIYHFXKOO-JGGQBBKZSA-N 0 3 313.388 4.205 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC000483748832 339674091 /nfs/dbraw/zinc/67/40/91/339674091.db2.gz WRSKTEHIPGBSEG-AADNMHCOSA-N 0 3 323.864 4.203 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1ccc(Oc2ccccc2F)c(F)c1 ZINC000483753539 339674176 /nfs/dbraw/zinc/67/41/76/339674176.db2.gz ZFYBBBIDJKENQO-CHWSQXEVSA-N 0 3 321.367 4.270 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1cc(F)c(F)c(F)c1)C(C)C ZINC000483837885 339675802 /nfs/dbraw/zinc/67/58/02/339675802.db2.gz JSSYPBJZTPMXNB-IAQYHMDHSA-N 0 3 303.368 4.206 20 0 DIADHN CO[C@]1(C)C[C@H](N[C@@H](C)c2cnc3ccsc3c2)C1(C)C ZINC000483868290 339677344 /nfs/dbraw/zinc/67/73/44/339677344.db2.gz FRLPKEVVACTSDD-XNJJOIOASA-N 0 3 304.459 4.151 20 0 DIADHN CC[C@H](C[C@H](C)CO)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000483869776 339677508 /nfs/dbraw/zinc/67/75/08/339677508.db2.gz XWLBMMYTLCIGCR-SDDRHHMPSA-N 0 3 304.261 4.441 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@H](CCO)CC(C)C ZINC000483870318 339677555 /nfs/dbraw/zinc/67/75/55/339677555.db2.gz NAUVZDXUHBGHPF-UONOGXRCSA-N 0 3 313.869 4.044 20 0 DIADHN COC[C@H](N[C@H](Cc1ccccc1)c1ccccc1F)C1CC1 ZINC000484125680 339681382 /nfs/dbraw/zinc/68/13/82/339681382.db2.gz HPDCFHNSUVDMDB-UXHICEINSA-N 0 3 313.416 4.124 20 0 DIADHN CS[C@H](CO)[C@H](C)N[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000484140830 339681742 /nfs/dbraw/zinc/68/17/42/339681742.db2.gz ZSOQQBOFIOMLKM-GDZNZVCISA-N 0 3 321.511 4.178 20 0 DIADHN C[C@H](NCCNc1ccccn1)c1ccc(Cl)cc1Cl ZINC000484148322 339682003 /nfs/dbraw/zinc/68/20/03/339682003.db2.gz SSAGXSKYIYNBLF-NSHDSACASA-N 0 3 310.228 4.151 20 0 DIADHN CC(C)n1cc([C@H](C)NCC2(c3cccc(Cl)c3)CC2)cn1 ZINC000484149605 339682143 /nfs/dbraw/zinc/68/21/43/339682143.db2.gz WGCYNVHWGUGABT-AWEZNQCLSA-N 0 3 317.864 4.500 20 0 DIADHN CC(C)[C@@H](CO)N[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000484276104 339683622 /nfs/dbraw/zinc/68/36/22/339683622.db2.gz NSGOHEZMJSJOKQ-MLGOLLRUSA-N 0 3 323.383 4.109 20 0 DIADHN C[C@@H](Cc1ccoc1)N[C@H]1COc2c1ccc(Cl)c2Cl ZINC000484292237 339683857 /nfs/dbraw/zinc/68/38/57/339683857.db2.gz CALBDQJTHMKIFD-ZANVPECISA-N 0 3 312.196 4.241 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1nccn1CCc1ccccc1 ZINC000484296833 339684167 /nfs/dbraw/zinc/68/41/67/339684167.db2.gz RLYSXMOPFWFWFY-GOSISDBHSA-N 0 3 311.473 4.350 20 0 DIADHN C[C@H](NCc1nccn1CCc1ccccc1)C1CCCCC1 ZINC000484295384 339684206 /nfs/dbraw/zinc/68/42/06/339684206.db2.gz OGNIQXIWUMWLOK-KRWDZBQOSA-N 0 3 311.473 4.184 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000484369942 339685726 /nfs/dbraw/zinc/68/57/26/339685726.db2.gz GRYHKVGOOGWRKT-JIMOISOXSA-N 0 3 305.365 4.130 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2c(F)cccc2F)C1)c1ccccc1F ZINC000484599581 339690156 /nfs/dbraw/zinc/69/01/56/339690156.db2.gz NFCJZRJFCXOWQK-CHWSQXEVSA-N 0 3 320.358 4.033 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)C(C)(C)c1ccccc1 ZINC000484621148 339690815 /nfs/dbraw/zinc/69/08/15/339690815.db2.gz QVRRGVDBFDDSTI-CQSZACIVSA-N 0 3 300.446 4.255 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@H]3CCCS3)c(C)c2c1 ZINC000484631284 339691000 /nfs/dbraw/zinc/69/10/00/339691000.db2.gz YLPIVTBPNDOZJM-TZMCWYRMSA-N 0 3 305.443 4.296 20 0 DIADHN CN(C)c1ccccc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC000485725410 339711335 /nfs/dbraw/zinc/71/13/35/339711335.db2.gz ODNVMPJGVRQHLM-MRXNPFEDSA-N 0 3 322.374 4.188 20 0 DIADHN COc1ccc([C@@H](NCCOC(C)C)c2ccc(C)cc2)cc1 ZINC000486137870 339721965 /nfs/dbraw/zinc/72/19/65/339721965.db2.gz PLMHWPLKZXDXMU-FQEVSTJZSA-N 0 3 313.441 4.108 20 0 DIADHN Fc1ccc2cc(CN[C@H](c3ccccc3)[C@@H]3CCOC3)[nH]c2c1 ZINC000486678419 339730887 /nfs/dbraw/zinc/73/08/87/339730887.db2.gz AGHKBFAUBQCVSK-OXQOHEQNSA-N 0 3 324.399 4.174 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc2ccc(F)cc2[nH]1 ZINC000486727382 339732587 /nfs/dbraw/zinc/73/25/87/339732587.db2.gz LYRBQPRAZNXZFN-AWEZNQCLSA-N 0 3 302.315 4.374 20 0 DIADHN Fc1ccccc1Oc1cc(CNCc2ccccc2)ccn1 ZINC000486800333 339734921 /nfs/dbraw/zinc/73/49/21/339734921.db2.gz JLNWAZSXOYZSFS-UHFFFAOYSA-N 0 3 308.356 4.303 20 0 DIADHN C[C@H](NC/C=C\c1ccncc1)c1ccccc1C(F)(F)F ZINC000486936371 339738985 /nfs/dbraw/zinc/73/89/85/339738985.db2.gz FKJDNAPXEPMXGE-ZFDPJTLLSA-N 0 3 306.331 4.464 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NC/C=C/c1ccncc1 ZINC000486977413 339741132 /nfs/dbraw/zinc/74/11/32/339741132.db2.gz DXOBMYIXBRQDPQ-WOMSROEHSA-N 0 3 300.402 4.061 20 0 DIADHN CCCOc1ccc(CNCc2cscc2C)cc1OC ZINC000487170006 339745095 /nfs/dbraw/zinc/74/50/95/339745095.db2.gz VQYONSSYISYBBX-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC/C=C\c1ccncc1 ZINC000487280362 339748905 /nfs/dbraw/zinc/74/89/05/339748905.db2.gz XCLFUVYPYFUEMW-QHFJSOCWSA-N 0 3 316.832 4.114 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NCc2cc(Cl)cs2)C1 ZINC000487319623 339751089 /nfs/dbraw/zinc/75/10/89/339751089.db2.gz GWHXDJQIUMUZIG-BBRMVZONSA-N 0 3 320.889 4.327 20 0 DIADHN CCOc1c(Cl)cccc1CNCC[C@@H]1CCO[C@H](C)C1 ZINC000487533389 339755888 /nfs/dbraw/zinc/75/58/88/339755888.db2.gz DUFRSWNXNHWZCU-ZIAGYGMSSA-N 0 3 311.853 4.034 20 0 DIADHN Fc1ccc(CCNCc2sccc2Cl)c(F)c1F ZINC000487533448 339755899 /nfs/dbraw/zinc/75/58/99/339755899.db2.gz DYYYZJXKWTZYTN-UHFFFAOYSA-N 0 3 305.752 4.151 20 0 DIADHN CCCCOc1ccc(NC(=O)[C@@H](CCC)NC(C)C)c(C)c1 ZINC000487537561 339756103 /nfs/dbraw/zinc/75/61/03/339756103.db2.gz NGAKJKKSMNNINV-GOSISDBHSA-N 0 3 320.477 4.279 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2sccc2Cl)CCO1 ZINC000487551791 339756839 /nfs/dbraw/zinc/75/68/39/339756839.db2.gz PICGDFKNHPFCCZ-JSGCOSHPSA-N 0 3 301.883 4.475 20 0 DIADHN Fc1ccc(C2(NC/C=C\c3ccncc3)CCCC2)c(F)c1 ZINC000487555091 339757014 /nfs/dbraw/zinc/75/70/14/339757014.db2.gz DYCJFVPKBIXSBG-ARJAWSKDSA-N 0 3 314.379 4.432 20 0 DIADHN COc1c(O)cccc1CN[C@H]1CCC[C@@H](c2ccccc2)C1 ZINC000488373216 339778906 /nfs/dbraw/zinc/77/89/06/339778906.db2.gz VNKDWWSQZHGTGU-AEFFLSMTSA-N 0 3 311.425 4.217 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2CCCOc3ccccc32)CS1 ZINC000488424155 339781146 /nfs/dbraw/zinc/78/11/46/339781146.db2.gz RLWLZGKUIYDBOU-QRTARXTBSA-N 0 3 305.487 4.410 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCCOc3ccc(F)cc32)CS1 ZINC000488425310 339781310 /nfs/dbraw/zinc/78/13/10/339781310.db2.gz UFNQEFUQCFAVFX-KMFMINBZSA-N 0 3 309.450 4.159 20 0 DIADHN CCc1cc(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)c2ccccc2n1 ZINC000488431276 339781716 /nfs/dbraw/zinc/78/17/16/339781716.db2.gz KRTVEVZRKZYNEN-SJORKVTESA-N 0 3 302.368 4.279 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@H]2CS[C@H](C(C)C)C2)c1 ZINC000488451065 339783184 /nfs/dbraw/zinc/78/31/84/339783184.db2.gz RUWWCBQGCIPWHZ-HIFRSBDPSA-N 0 3 315.429 4.216 20 0 DIADHN Cc1cnc([C@H](N[C@@H]2CS[C@@H](C(C)(C)C)C2)C2CC2)s1 ZINC000488458543 339783612 /nfs/dbraw/zinc/78/36/12/339783612.db2.gz IHHKZUGLDVCEMM-BFHYXJOUSA-N 0 3 310.532 4.412 20 0 DIADHN CCCCCn1cc(CN[C@H]2CS[C@@H](C(C)(C)C)C2)c(C)n1 ZINC000488456583 339783643 /nfs/dbraw/zinc/78/36/43/339783643.db2.gz HMFLABNDCRCTNG-IAGOWNOFSA-N 0 3 323.550 4.392 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1ccc(-c2ccc(C)cc2)o1 ZINC000489077587 339801519 /nfs/dbraw/zinc/80/15/19/339801519.db2.gz KFOZASNWONQKLU-DNVCBOLYSA-N 0 3 301.430 4.142 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)cn1 ZINC000490135654 339826669 /nfs/dbraw/zinc/82/66/69/339826669.db2.gz IFOCTOZGPUZMKH-HRAATJIYSA-N 0 3 323.484 4.259 20 0 DIADHN COC[C@@H](C)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000490183934 339827992 /nfs/dbraw/zinc/82/79/92/339827992.db2.gz DFKAIMJEOMKROT-KBXCAEBGSA-N 0 3 303.833 4.302 20 0 DIADHN CCC[C@@H](C)C(=O)NC[C@@H](c1ccccc1)N1CCC(C)CC1 ZINC000490245020 339829406 /nfs/dbraw/zinc/82/94/06/339829406.db2.gz OGFJQARAPHJPDO-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN c1cnn(-c2ccccc2CNCc2ccc3c(c2)CCCC3)c1 ZINC000490758245 339839523 /nfs/dbraw/zinc/83/95/23/339839523.db2.gz MCSFLOTYYFCVEP-UHFFFAOYSA-N 0 3 317.436 4.041 20 0 DIADHN Fc1cc(C2CC2)ccc1CNC1CCN(c2ccccc2)CC1 ZINC000491594247 339868216 /nfs/dbraw/zinc/86/82/16/339868216.db2.gz ODJAJXPMRKHWCX-UHFFFAOYSA-N 0 3 324.443 4.462 20 0 DIADHN Cc1oc2ccccc2c1CNCCc1nnc(C(C)C)s1 ZINC000492104070 339891599 /nfs/dbraw/zinc/89/15/99/339891599.db2.gz KDPNTEJRXCIHCI-UHFFFAOYSA-N 0 3 315.442 4.048 20 0 DIADHN C[C@@H](NCc1sccc1Cl)[C@H]1OCCc2sccc21 ZINC000492112929 339891861 /nfs/dbraw/zinc/89/18/61/339891861.db2.gz XVAYDYXYPKHFAB-YMTOWFKASA-N 0 3 313.875 4.255 20 0 DIADHN CCCOc1ccc(CN[C@H]2c3cc(C)ccc3C[C@H]2C)cn1 ZINC000492122341 339892023 /nfs/dbraw/zinc/89/20/23/339892023.db2.gz LVAFGIXJKSMQSW-FOIQADDNSA-N 0 3 310.441 4.202 20 0 DIADHN CC[C@H](C)[C@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492123307 339892036 /nfs/dbraw/zinc/89/20/36/339892036.db2.gz XVJIRQWDGZCZOQ-SCLBCKFNSA-N 0 3 319.395 4.051 20 0 DIADHN Cc1cc(CN[C@@H](C)[C@@H]2OCCc3sccc32)c(C)s1 ZINC000492151085 339892860 /nfs/dbraw/zinc/89/28/60/339892860.db2.gz LGNBRBOQHGPLDY-ZBEGNZNMSA-N 0 3 307.484 4.219 20 0 DIADHN CC[C@@H](NC(=O)CN(C(C)C)C(C)C)c1ccc(Cl)cc1 ZINC000492936997 339912970 /nfs/dbraw/zinc/91/29/70/339912970.db2.gz PHVJHAOJLBLIRN-MRXNPFEDSA-N 0 3 310.869 4.026 20 0 DIADHN CC(C)N(CC(=O)Nc1ccccc1Cc1ccccc1)C(C)C ZINC000492973525 339913883 /nfs/dbraw/zinc/91/38/83/339913883.db2.gz SLETVVJBHIWKIY-UHFFFAOYSA-N 0 3 324.468 4.335 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccc(C)cc1)c1ccc(F)cn1 ZINC000493084413 339916775 /nfs/dbraw/zinc/91/67/75/339916775.db2.gz ZQCQGBIHLKYEBM-YOEHRIQHSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1cccc(C)c1)c1ccc(F)cn1 ZINC000493174804 339919026 /nfs/dbraw/zinc/91/90/26/339919026.db2.gz JVISYBQPMCNWMV-WMLDXEAASA-N 0 3 302.393 4.037 20 0 DIADHN Cn1cc([C@H](NC2CCC(C)(C)CC2)c2cccc(F)c2)cn1 ZINC000493178940 339919124 /nfs/dbraw/zinc/91/91/24/339919124.db2.gz UKGIBHUBBFIGQT-GOSISDBHSA-N 0 3 315.436 4.207 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000493228710 339920369 /nfs/dbraw/zinc/92/03/69/339920369.db2.gz LWOORMIKKYFABL-DOTOQJQBSA-N 0 3 322.811 4.303 20 0 DIADHN CC(C)C[C@H](CCO)CNC(c1ccccc1)c1ccccc1 ZINC000493245301 339920870 /nfs/dbraw/zinc/92/08/70/339920870.db2.gz AERYKDMJYZOTDE-SFHVURJKSA-N 0 3 311.469 4.410 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCC[C@H]3Cc3ccccc3)nc2c1 ZINC000493526147 339927582 /nfs/dbraw/zinc/92/75/82/339927582.db2.gz MTQJFQAUJUGACS-RDJZCZTQSA-N 0 3 324.399 4.468 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H]1CCc2ccccc2OC1)C(C)C ZINC000493810477 339934012 /nfs/dbraw/zinc/93/40/12/339934012.db2.gz AGJBVAOSIIHVIL-IEBWSBKVSA-N 0 3 310.441 4.071 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1cc(F)ccc1SC ZINC000493882125 339935639 /nfs/dbraw/zinc/93/56/39/339935639.db2.gz HJAHAMCPTRYUIA-ACJLOTCBSA-N 0 3 319.445 4.413 20 0 DIADHN CCOCc1ccc(CN[C@H](C)[C@@H](OC)c2ccccc2)cc1 ZINC000493881696 339935650 /nfs/dbraw/zinc/93/56/50/339935650.db2.gz FLXXXKRXNCGDCM-OXQOHEQNSA-N 0 3 313.441 4.089 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@H](C)[C@H](OC)c1ccccc1 ZINC000493927647 339936739 /nfs/dbraw/zinc/93/67/39/339936739.db2.gz RSJHVXHZYODLKT-KSMMKXTCSA-N 0 3 317.404 4.261 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494002251 339938590 /nfs/dbraw/zinc/93/85/90/339938590.db2.gz ACELYPNXZXIKAL-WBMJQRKESA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000494037539 339939585 /nfs/dbraw/zinc/93/95/85/339939585.db2.gz HFEVQSYGFVWIOE-WMZOPIPTSA-N 0 3 316.420 4.427 20 0 DIADHN CCCc1csc(CNCC2(CCOCC)CCCC2)n1 ZINC000494186406 339943097 /nfs/dbraw/zinc/94/30/97/339943097.db2.gz RWTCQTLRIRMFKU-UHFFFAOYSA-N 0 3 310.507 4.172 20 0 DIADHN COCc1ccccc1CNCc1ccc2nc(C)sc2c1 ZINC000494313778 339946448 /nfs/dbraw/zinc/94/64/48/339946448.db2.gz PCHKTUFSCXUPJN-UHFFFAOYSA-N 0 3 312.438 4.041 20 0 DIADHN COC[C@H](NC[C@H](C)Oc1ccccc1)c1ccc(Cl)cc1 ZINC000494592084 339954468 /nfs/dbraw/zinc/95/44/68/339954468.db2.gz NLQSKLZWSGJRKB-KSSFIOAISA-N 0 3 319.832 4.085 20 0 DIADHN COC[C@@H](N[C@H]1CCCC(F)(F)C1)c1ccc(Cl)cc1 ZINC000494594300 339954516 /nfs/dbraw/zinc/95/45/16/339954516.db2.gz CELLTBRLRYJNAA-UONOGXRCSA-N 0 3 303.780 4.195 20 0 DIADHN Cc1nc(CNCC2(c3cccc(F)c3F)CCCC2)cs1 ZINC000494833444 339961614 /nfs/dbraw/zinc/96/16/14/339961614.db2.gz BWWJWBIRSWGETI-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC000494845561 339962183 /nfs/dbraw/zinc/96/21/83/339962183.db2.gz NOWSCFAOYXWWEE-WDEREUQCSA-N 0 3 320.424 4.479 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1cnc([C@H]2CCCO2)s1 ZINC000494846869 339962187 /nfs/dbraw/zinc/96/21/87/339962187.db2.gz RLOMPZQHCATHGL-ZYHUDNBSSA-N 0 3 322.396 4.205 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccc(Br)s1 ZINC000494852120 339962657 /nfs/dbraw/zinc/96/26/57/339962657.db2.gz YBTDQNLDOUPZLM-ZJUUUORDSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ncccc1CN[C@@H](c1ccccc1C)C1CCCC1 ZINC000494915729 339964490 /nfs/dbraw/zinc/96/44/90/339964490.db2.gz PXRFJVDKRIOZLF-LJQANCHMSA-N 0 3 310.441 4.420 20 0 DIADHN O[C@H]1CC[C@H](N[C@@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000494974683 339968014 /nfs/dbraw/zinc/96/80/14/339968014.db2.gz VYEQPRVMYSRQNO-FHWLQOOXSA-N 0 3 315.844 4.323 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1ccccc1F)c1ccc(C)o1 ZINC000495419209 339983884 /nfs/dbraw/zinc/98/38/84/339983884.db2.gz AACFXVPTNRCNDX-KDOFPFPSSA-N 0 3 319.420 4.416 20 0 DIADHN COc1ccc(CCCN[C@H](C)c2ccccc2F)cc1OC ZINC000495515171 339986334 /nfs/dbraw/zinc/98/63/34/339986334.db2.gz KUFGEHWFWUMUFV-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)c1ccoc1 ZINC000496022179 339996787 /nfs/dbraw/zinc/99/67/87/339996787.db2.gz CLGNXUQJQLIZBY-SQWLQELKSA-N 0 3 322.449 4.014 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1nc(-c2ccco2)no1 ZINC000497115188 340020653 /nfs/dbraw/zinc/02/06/53/340020653.db2.gz NVHLTIQUSFUWLL-UHFFFAOYSA-N 0 3 305.422 4.224 20 0 DIADHN CCCCCC(=O)NC1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000498676824 340021688 /nfs/dbraw/zinc/02/16/88/340021688.db2.gz SULOXYMESBYIPX-HNNXBMFYSA-N 0 3 320.452 4.048 20 0 DIADHN Fc1ccc(C2=CC[C@H](N[C@H]3CCCc4c[nH]nc43)CC2)cc1 ZINC000498791043 340023372 /nfs/dbraw/zinc/02/33/72/340023372.db2.gz USSMFGWKPKEQGS-ROUUACIJSA-N 0 3 311.404 4.152 20 0 DIADHN Cc1cnccc1CCNC1c2ccccc2-c2ccccc21 ZINC000498796337 340023440 /nfs/dbraw/zinc/02/34/40/340023440.db2.gz UYMKFJOTKSDVBJ-UHFFFAOYSA-N 0 3 300.405 4.292 20 0 DIADHN CC(C)[C@@H](NCCc1cc(F)ccc1F)c1ccc(F)cn1 ZINC000498935266 340026085 /nfs/dbraw/zinc/02/60/85/340026085.db2.gz VOETWSCVHKLURF-QGZVFWFLSA-N 0 3 308.347 4.028 20 0 DIADHN Oc1cccc(CN(Cc2ccc(Cl)cc2)CC2CC2)c1 ZINC000499019750 340027895 /nfs/dbraw/zinc/02/78/95/340027895.db2.gz HCIGUSFWUHTBQJ-UHFFFAOYSA-N 0 3 301.817 4.458 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)C[C@@H](C)CC(C)C ZINC000499550699 340039280 /nfs/dbraw/zinc/03/92/80/340039280.db2.gz VURGVMPHYRWORQ-HNNXBMFYSA-N 0 3 304.478 4.246 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCCCC1CCOCC1 ZINC000499602524 340040579 /nfs/dbraw/zinc/04/05/79/340040579.db2.gz NOWGJVAAUYCLRZ-CQSZACIVSA-N 0 3 309.425 4.082 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@H](c2ccc(F)cc2)CC1 ZINC000499611046 340040857 /nfs/dbraw/zinc/04/08/57/340040857.db2.gz NIPJYEKZOIEZMO-INIZCTEOSA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)C[C@@H](C)CN[C@@H](c1nc(-c2ccncc2)no1)C(C)C ZINC000499608796 340040922 /nfs/dbraw/zinc/04/09/22/340040922.db2.gz GWDRUGMVESIFJS-GDBMZVCRSA-N 0 3 316.449 4.101 20 0 DIADHN CC(C)C[C@@H](C)CN[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000499623821 340041326 /nfs/dbraw/zinc/04/13/26/340041326.db2.gz FRKJLIHTICYOJN-OLZOCXBDSA-N 0 3 305.397 4.209 20 0 DIADHN CC(C)C[C@H](C)CN[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000499623823 340041368 /nfs/dbraw/zinc/04/13/68/340041368.db2.gz FRKJLIHTICYOJN-STQMWFEESA-N 0 3 305.397 4.209 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(CCCCC2CCOCC2)C1 ZINC000499630324 340041640 /nfs/dbraw/zinc/04/16/40/340041640.db2.gz IJLZIZUTHXIELY-MRXNPFEDSA-N 0 3 323.427 4.351 20 0 DIADHN CCC[C@@H](CC1CCCC1)C(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000499811695 340045050 /nfs/dbraw/zinc/04/50/50/340045050.db2.gz WNZZLKIVERXLCI-OALUTQOASA-N 0 3 320.521 4.070 20 0 DIADHN CO[C@H](C)CN1CCC(F)(c2ccccc2C(F)(F)F)CC1 ZINC000499935660 340047264 /nfs/dbraw/zinc/04/72/64/340047264.db2.gz LVNIQIRCLODYCN-GFCCVEGCSA-N 0 3 319.342 4.001 20 0 DIADHN OCCNCc1ccc(N(c2ccccc2)c2ccccc2)cc1 ZINC000499965934 340047877 /nfs/dbraw/zinc/04/78/77/340047877.db2.gz JFNYRHLWRBQAHE-UHFFFAOYSA-N 0 3 318.420 4.238 20 0 DIADHN CCCC[C@H](C)C(=O)NCc1ccccc1CN1CCCCC1 ZINC000500006822 340048486 /nfs/dbraw/zinc/04/84/86/340048486.db2.gz GVZSPJMAVJAMBL-KRWDZBQOSA-N 0 3 316.489 4.115 20 0 DIADHN CSc1ccc([C@H](C)NCCOc2ccccc2F)cc1 ZINC000500225366 340053453 /nfs/dbraw/zinc/05/34/53/340053453.db2.gz IYJOYRXJUHNCFZ-ZDUSSCGKSA-N 0 3 305.418 4.277 20 0 DIADHN Cc1nc(CCNCc2cccc(Oc3ccccc3)c2)c(C)o1 ZINC000500245241 340053941 /nfs/dbraw/zinc/05/39/41/340053941.db2.gz VKEKFBZCAWLBGN-UHFFFAOYSA-N 0 3 322.408 4.416 20 0 DIADHN FC1(F)CCC[C@@H](CNCc2coc(-c3ccccc3)n2)C1 ZINC000500354052 340055586 /nfs/dbraw/zinc/05/55/86/340055586.db2.gz FXPKKGKTBIIEIK-CYBMUJFWSA-N 0 3 306.356 4.257 20 0 DIADHN COc1ccc(CN[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)cc1 ZINC000500394982 340056516 /nfs/dbraw/zinc/05/65/16/340056516.db2.gz GEZFJKZUZRCZCG-ACJLOTCBSA-N 0 3 301.817 4.374 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN[C@@H](C)c1cn[nH]c1 ZINC000500397180 340056663 /nfs/dbraw/zinc/05/66/63/340056663.db2.gz LTEFMOZYPDHRMC-RDQDRAATSA-N 0 3 309.335 4.183 20 0 DIADHN C[C@H]1CCc2nc(CNC[C@@H]3CCCC(F)(F)C3)sc2C1 ZINC000500417392 340057656 /nfs/dbraw/zinc/05/76/56/340057656.db2.gz SRASJSVNSPMCLE-NWDGAFQWSA-N 0 3 314.445 4.183 20 0 DIADHN CCSCCCNCc1csc(-c2ccc(C)cc2)n1 ZINC000500418293 340057766 /nfs/dbraw/zinc/05/77/66/340057766.db2.gz AGSIJQDWELAFGV-UHFFFAOYSA-N 0 3 306.500 4.351 20 0 DIADHN CCSCCCNCc1cn(-c2ccccc2)nc1C(C)C ZINC000500424262 340058027 /nfs/dbraw/zinc/05/80/27/340058027.db2.gz CWWIFLZENVAGGW-UHFFFAOYSA-N 0 3 317.502 4.229 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2cc(F)ccc21)c1ccccc1 ZINC000500444585 340058974 /nfs/dbraw/zinc/05/89/74/340058974.db2.gz VAQAIZSKPXOYKY-IBGZPJMESA-N 0 3 309.388 4.089 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@@H](CO)c1ccc(Cl)cc1 ZINC000500447312 340059074 /nfs/dbraw/zinc/05/90/74/340059074.db2.gz PTIKCKOGWLIJSL-BJRSVTDJSA-N 0 3 301.817 4.067 20 0 DIADHN COc1cccc(Cl)c1CNCCc1cccc2[nH]ccc21 ZINC000500477528 340060074 /nfs/dbraw/zinc/06/00/74/340060074.db2.gz XUSGDGUXGZMVRO-UHFFFAOYSA-N 0 3 314.816 4.162 20 0 DIADHN CC(C)[C@H](NCc1cc(-c2cccnc2)n[nH]1)c1ccc(F)cc1 ZINC000500477326 340060078 /nfs/dbraw/zinc/06/00/78/340060078.db2.gz UKHATGLPDKRGDL-IBGZPJMESA-N 0 3 324.403 4.098 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCC[C@H]2OC(F)F)cc1Cl ZINC000500477236 340060092 /nfs/dbraw/zinc/06/00/92/340060092.db2.gz SBUWWUJZLYVKRX-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cnc2cc(C)ccn12)c1cccc(C)c1 ZINC000500489696 340060480 /nfs/dbraw/zinc/06/04/80/340060480.db2.gz ZTZKNVWHSKVMCZ-DYESRHJHSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1ccc(NC(C)=O)cc1)c1cccc(C)c1 ZINC000500495379 340060536 /nfs/dbraw/zinc/06/05/36/340060536.db2.gz GADDKMYPHVNJIA-NQIIRXRSSA-N 0 3 322.452 4.368 20 0 DIADHN CC[C@H]1C[C@]1(NCc1ccc(NC(C)=O)cc1)c1cccc(C)c1 ZINC000500495378 340060561 /nfs/dbraw/zinc/06/05/61/340060561.db2.gz GADDKMYPHVNJIA-GHTZIAJQSA-N 0 3 322.452 4.368 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cnc2ccccn12)c1cccc(C)c1 ZINC000500495402 340060563 /nfs/dbraw/zinc/06/05/63/340060563.db2.gz GFBNLQZSFSDJSR-OXJNMPFZSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1ccc2c(c1)OCCO2)c1cccc(C)c1 ZINC000500497399 340060747 /nfs/dbraw/zinc/06/07/47/340060747.db2.gz KAXFWAJKWUVQSC-UWJYYQICSA-N 0 3 323.436 4.181 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3ccccc3F)C2)cc1F ZINC000500502454 340060874 /nfs/dbraw/zinc/06/08/74/340060874.db2.gz WXJUYMVNXOAZBJ-SHTZXODSSA-N 0 3 303.352 4.009 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H](NCc2ncnn2C(C)(C)C)CC1 ZINC000500566011 340062486 /nfs/dbraw/zinc/06/24/86/340062486.db2.gz IQJGJLFQXJWOQL-GJZGRUSLSA-N 0 3 306.498 4.118 20 0 DIADHN CC(C)CN(C(=O)C[C@H](C)C1CCCCC1)C1CCN(C)CC1 ZINC000500680438 340065010 /nfs/dbraw/zinc/06/50/10/340065010.db2.gz PZBPJLTYMUWFHM-KRWDZBQOSA-N 0 3 322.537 4.172 20 0 DIADHN CCCCCOc1ccc(CN2CCC(C)(OC)CC2)cc1 ZINC000500773217 340066988 /nfs/dbraw/zinc/06/69/88/340066988.db2.gz OVZDEJJUWTXALY-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN CCCC[C@@H](C)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000500822128 340067824 /nfs/dbraw/zinc/06/78/24/340067824.db2.gz JSKMIZZWIWAOBE-SJORKVTESA-N 0 3 316.489 4.155 20 0 DIADHN COc1ccccc1CN(CCC(C)(C)C)C[C@H]1CCCO1 ZINC000500970804 340071176 /nfs/dbraw/zinc/07/11/76/340071176.db2.gz DQMPCESSKQCRDL-QGZVFWFLSA-N 0 3 305.462 4.112 20 0 DIADHN CC(C)OC[C@H](C)NCCOc1ccccc1-c1ccccc1 ZINC000501376250 340079448 /nfs/dbraw/zinc/07/94/48/340079448.db2.gz FBICPSXRTVQLEF-KRWDZBQOSA-N 0 3 313.441 4.136 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)COC(C)C)ccc1OCC(C)C ZINC000501379160 340079527 /nfs/dbraw/zinc/07/95/27/340079527.db2.gz VADRHXJYQHRXBZ-HZPDHXFCSA-N 0 3 323.477 4.194 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](COc1ccccc1)c1ccccc1 ZINC000501409913 340080273 /nfs/dbraw/zinc/08/02/73/340080273.db2.gz FHFVBSBNGRZHNY-YLJYHZDGSA-N 0 3 313.441 4.210 20 0 DIADHN Cc1ccc(C[C@@H](N[C@@H](C)COC(C)C)c2ccccn2)cc1 ZINC000501428691 340080865 /nfs/dbraw/zinc/08/08/65/340080865.db2.gz YUDRNEZSCZAAKA-FXAWDEMLSA-N 0 3 312.457 4.077 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CNCc1ccn(C)c1 ZINC000501447954 340080969 /nfs/dbraw/zinc/08/09/69/340080969.db2.gz GNBYTTLSMBNOEK-LCYFTJDESA-N 0 3 308.347 4.237 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000501444659 340081144 /nfs/dbraw/zinc/08/11/44/340081144.db2.gz BJVNQUNZEOICMM-STQMWFEESA-N 0 3 319.367 4.092 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H](C)COC(C)C)oc21 ZINC000501449619 340081419 /nfs/dbraw/zinc/08/14/19/340081419.db2.gz KLEATFVZSFBQQZ-UONOGXRCSA-N 0 3 305.418 4.296 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)NC[C@H](C)N1CCCC[C@@H]1C ZINC000501485519 340082143 /nfs/dbraw/zinc/08/21/43/340082143.db2.gz ZBQLOUBEASJAGW-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1ccccc1SC ZINC000501505537 340082305 /nfs/dbraw/zinc/08/23/05/340082305.db2.gz QEYRBHHNKAHHFA-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN Cc1ccc(NC(=O)NC[C@@H](C)N2CCCC[C@H]2C)c(Cl)c1 ZINC000501515524 340082582 /nfs/dbraw/zinc/08/25/82/340082582.db2.gz NOWADFZOCJTWBU-ZIAGYGMSSA-N 0 3 323.868 4.033 20 0 DIADHN CCCn1nccc1C1CCN(Cc2cccc(Cl)c2)CC1 ZINC000501556047 340083467 /nfs/dbraw/zinc/08/34/67/340083467.db2.gz ZFGLSHHUYBNTMZ-UHFFFAOYSA-N 0 3 317.864 4.326 20 0 DIADHN CCCn1nccc1C1CCN(Cc2ccccc2Cl)CC1 ZINC000501560403 340083751 /nfs/dbraw/zinc/08/37/51/340083751.db2.gz HWCPOBALVHMWAY-UHFFFAOYSA-N 0 3 317.864 4.326 20 0 DIADHN Clc1cccc(CN2CCCN(Cc3ccccc3)CC2)c1 ZINC000501758817 340088112 /nfs/dbraw/zinc/08/81/12/340088112.db2.gz JVSSLRDAPPOGSD-UHFFFAOYSA-N 0 3 314.860 4.048 20 0 DIADHN COc1ccc(C(=O)C2CCN([C@H](C)c3ccccc3)CC2)cc1 ZINC000501780453 340088682 /nfs/dbraw/zinc/08/86/82/340088682.db2.gz MEEASQGVJYQAOH-MRXNPFEDSA-N 0 3 323.436 4.351 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](C)[C@@H](C)C3)o2)cc1C ZINC000501796357 340088999 /nfs/dbraw/zinc/08/89/99/340088999.db2.gz REAGKKLWRDASOH-KBMXLJTQSA-N 0 3 313.445 4.392 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCC[C@H]3C)cs2)cc1 ZINC000501900411 340090345 /nfs/dbraw/zinc/09/03/45/340090345.db2.gz QGOWVOLMTJDOGW-CXAGYDPISA-N 0 3 316.470 4.487 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCC[C@H]2C)cs1 ZINC000501912212 340090693 /nfs/dbraw/zinc/09/06/93/340090693.db2.gz AVDMWMPDQGITIU-DOMZBBRYSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@@H](NC[C@@H]1CCc2ccccc2C1)c1nc2c(s1)CCC2 ZINC000502016006 340093071 /nfs/dbraw/zinc/09/30/71/340093071.db2.gz IQXHGNWYTJJYSD-ZIAGYGMSSA-N 0 3 312.482 4.088 20 0 DIADHN CC[C@H](CN[C@@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000502019449 340093187 /nfs/dbraw/zinc/09/31/87/340093187.db2.gz RQOFZBRAOPHUOH-UONOGXRCSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@H](NC1CC(C)(c2ccccc2)C1)c1nc2c(s1)CCC2 ZINC000502018986 340093200 /nfs/dbraw/zinc/09/32/00/340093200.db2.gz QLDRUGVTSZWMGA-XIWWETDGSA-N 0 3 312.482 4.403 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1NCc1cc(Cl)sc1Cl ZINC000502365068 340100752 /nfs/dbraw/zinc/10/07/52/340100752.db2.gz JHZZPTDCZUMJFK-ONGXEEELSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)CCCN[C@@H](C(=O)NCc1ccccc1)c1ccccc1 ZINC000502383769 340101132 /nfs/dbraw/zinc/10/11/32/340101132.db2.gz CQDKJDOENRTWCK-HXUWFJFHSA-N 0 3 324.468 4.070 20 0 DIADHN CC(C)CCCN[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000502383627 340101170 /nfs/dbraw/zinc/10/11/70/340101170.db2.gz CJBNJPJEDYHICG-LJQANCHMSA-N 0 3 310.441 4.392 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@H](C)c2cc(C)c(C)o2)cc1 ZINC000502391481 340101564 /nfs/dbraw/zinc/10/15/64/340101564.db2.gz LWBVYNLIELNEOK-BIENJYKASA-N 0 3 317.429 4.332 20 0 DIADHN COCC[C@H]1CCC[C@H]1NCc1csc(-c2ccccc2)n1 ZINC000502401917 340101869 /nfs/dbraw/zinc/10/18/69/340101869.db2.gz CHYHSFJBBIGJDF-RHSMWYFYSA-N 0 3 316.470 4.105 20 0 DIADHN Cc1ccccc1OCCN[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502453965 340104240 /nfs/dbraw/zinc/10/42/40/340104240.db2.gz LWXWQGNEHMSGLP-INIZCTEOSA-N 0 3 313.445 4.036 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@@H](C)c2cc(C)c(C)o2)c1 ZINC000502457622 340104422 /nfs/dbraw/zinc/10/44/22/340104422.db2.gz XIGBDSQSYRSZRV-KGLIPLIRSA-N 0 3 303.402 4.023 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@H](C)c2cc(C)c(C)o2)c1 ZINC000502457625 340104445 /nfs/dbraw/zinc/10/44/45/340104445.db2.gz XIGBDSQSYRSZRV-UONOGXRCSA-N 0 3 303.402 4.023 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCN(c3c(F)cccc3F)C2)oc1C ZINC000502466130 340104873 /nfs/dbraw/zinc/10/48/73/340104873.db2.gz VYCXFXNNGYVRJM-TZMCWYRMSA-N 0 3 320.383 4.104 20 0 DIADHN COC1(CN[C@H](C)c2cnn(C3CCCC3)c2)CCC(C)CC1 ZINC000502467367 340104966 /nfs/dbraw/zinc/10/49/66/340104966.db2.gz YHZRKZHZLJITOF-KOHRHEQBSA-N 0 3 319.493 4.244 20 0 DIADHN CSc1ccccc1CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000502481889 340105508 /nfs/dbraw/zinc/10/55/08/340105508.db2.gz BBAXFGNTHZEECW-CHWSQXEVSA-N 0 3 301.402 4.049 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2N[C@@H]1CCCC[C@H]1OC(F)F ZINC000502510165 340106501 /nfs/dbraw/zinc/10/65/01/340106501.db2.gz MNWIBRVLSXAJPO-MGPQQGTHSA-N 0 3 317.326 4.092 20 0 DIADHN FC(F)O[C@@H]1CCCC[C@H]1NCc1ccccc1C(F)(F)F ZINC000502511752 340106643 /nfs/dbraw/zinc/10/66/43/340106643.db2.gz PYVMHMUJAOLPNF-CHWSQXEVSA-N 0 3 323.305 4.345 20 0 DIADHN CC(C)[C@H](NCc1ccccc1N(C)C)c1ccc(F)cc1 ZINC000502536687 340107195 /nfs/dbraw/zinc/10/71/95/340107195.db2.gz YLOUAZBFFZQWIF-IBGZPJMESA-N 0 3 300.421 4.379 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CCN(C2CCC2)CC1 ZINC000502541473 340107387 /nfs/dbraw/zinc/10/73/87/340107387.db2.gz QOAVNVOVSLAXEP-GFCCVEGCSA-N 0 3 313.272 4.225 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1ccc(C(C)C)cc1 ZINC000502661096 340109778 /nfs/dbraw/zinc/10/97/78/340109778.db2.gz IERGQOZECNPVCX-GOSISDBHSA-N 0 3 317.477 4.196 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1cccc(C(C)C)c1 ZINC000502681849 340110249 /nfs/dbraw/zinc/11/02/49/340110249.db2.gz UIBLKCUGANKFCO-GOSISDBHSA-N 0 3 317.477 4.196 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2ccco2)N2CCCCC2)oc1C ZINC000502683933 340110332 /nfs/dbraw/zinc/11/03/32/340110332.db2.gz LRUZVALQAKXMTD-NVXWUHKLSA-N 0 3 316.445 4.367 20 0 DIADHN C[C@@H](NCCOC1CCCCCC1)c1cnn(C2CCCC2)c1 ZINC000502688479 340110554 /nfs/dbraw/zinc/11/05/54/340110554.db2.gz ZXYHVUOADXFNNP-MRXNPFEDSA-N 0 3 319.493 4.388 20 0 DIADHN Cc1nc(CCN2CCC[C@@H]2Cc2ccccc2Cl)cs1 ZINC000502690246 340110759 /nfs/dbraw/zinc/11/07/59/340110759.db2.gz RCVYNYWGVIXZCZ-MRXNPFEDSA-N 0 3 320.889 4.355 20 0 DIADHN Cc1cc([C@H](C)N[C@H](CN2CCCC2)c2ccccc2)oc1C ZINC000502694998 340110863 /nfs/dbraw/zinc/11/08/63/340110863.db2.gz CJGVIPWBRXOQPF-QFBILLFUSA-N 0 3 312.457 4.384 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1ccc(C)cc1Cl ZINC000502693996 340110868 /nfs/dbraw/zinc/11/08/68/340110868.db2.gz KWHCFRSOPKBHGJ-CQSZACIVSA-N 0 3 323.868 4.034 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cnn(C3CCCC3)c2)s1 ZINC000502694723 340110878 /nfs/dbraw/zinc/11/08/78/340110878.db2.gz BGTRBBWCQYBZHK-CYBMUJFWSA-N 0 3 303.475 4.473 20 0 DIADHN Fc1cccc(Oc2ccc(CN[C@@H]3CCC34CCC4)cn2)c1 ZINC000502699497 340111247 /nfs/dbraw/zinc/11/12/47/340111247.db2.gz ZFNMARSSHHBWIK-QGZVFWFLSA-N 0 3 312.388 4.435 20 0 DIADHN COc1ccc(COCCCN[C@@H](C)c2cc(C)c(C)o2)cc1 ZINC000502700591 340111309 /nfs/dbraw/zinc/11/13/09/340111309.db2.gz QGDQXVAJSNGHGX-HNNXBMFYSA-N 0 3 317.429 4.163 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2cccs2)N2CCCC2)oc1C ZINC000502707477 340111595 /nfs/dbraw/zinc/11/15/95/340111595.db2.gz LUVHQVCPSNHQMP-GOEBONIOSA-N 0 3 318.486 4.446 20 0 DIADHN Cc1nc(CCN(C)Cc2ccc(Cl)c(Cl)c2)cs1 ZINC000502708249 340111784 /nfs/dbraw/zinc/11/17/84/340111784.db2.gz WXZXUUXPJUREQC-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)c1c[nH]c2ncccc12)c1ccccc1 ZINC000502721324 340112033 /nfs/dbraw/zinc/11/20/33/340112033.db2.gz IUKMLKYPYZTIKS-KDOFPFPSSA-N 0 3 321.424 4.121 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000502867636 340115078 /nfs/dbraw/zinc/11/50/78/340115078.db2.gz YMDOILJQPPUZOX-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1nc(CCN2C[C@H](C)C[C@H]2c2ccccc2F)cs1 ZINC000502869081 340115196 /nfs/dbraw/zinc/11/51/96/340115196.db2.gz VYXCRBUUQRXRHD-PXAZEXFGSA-N 0 3 304.434 4.216 20 0 DIADHN Cc1nc(CCN(Cc2ccc(C)c(C)c2)C2CC2)cs1 ZINC000502912939 340116544 /nfs/dbraw/zinc/11/65/44/340116544.db2.gz FXBWNVICTFFJED-UHFFFAOYSA-N 0 3 300.471 4.275 20 0 DIADHN COc1cccc([C@H](NCC(C)C)c2cc(F)ccc2OC)c1 ZINC000502999611 340118353 /nfs/dbraw/zinc/11/83/53/340118353.db2.gz WJLBXXBVIUTMRY-IBGZPJMESA-N 0 3 317.404 4.178 20 0 DIADHN Brc1ccsc1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000503005830 340118430 /nfs/dbraw/zinc/11/84/30/340118430.db2.gz PZQGKJVPKCLXOJ-OLZOCXBDSA-N 0 3 322.271 4.404 20 0 DIADHN CC[C@](C)(NC[C@H]1C[C@H]1c1ccccc1)c1nc(C)cs1 ZINC000503043372 340119480 /nfs/dbraw/zinc/11/94/80/340119480.db2.gz GWXAVPMQJRVRNN-RYRKJORJSA-N 0 3 300.471 4.470 20 0 DIADHN CC[C@](C)(NC[C@H]1C[C@@H]1c1ccccc1)c1nc(C)cs1 ZINC000503043370 340119563 /nfs/dbraw/zinc/11/95/63/340119563.db2.gz GWXAVPMQJRVRNN-NUJGCVRESA-N 0 3 300.471 4.470 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2C[C@H]2c2ccccc2)sc1C ZINC000503047248 340119654 /nfs/dbraw/zinc/11/96/54/340119654.db2.gz OEEIWBRFCUYJOX-CVEARBPZSA-N 0 3 300.471 4.388 20 0 DIADHN c1ccc2cc(OCCN3CCC[C@@H]3c3ccncc3)ccc2c1 ZINC000503142315 340121881 /nfs/dbraw/zinc/12/18/81/340121881.db2.gz BFKPVOGSZXZAIN-OAQYLSRUSA-N 0 3 318.420 4.451 20 0 DIADHN CC(C)(C)Oc1cccc(CNC[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC000503288277 340124806 /nfs/dbraw/zinc/12/48/06/340124806.db2.gz YAJOQTCZMRHEGD-FUHWJXTLSA-N 0 3 310.441 4.152 20 0 DIADHN CC(C)(C)Oc1cccc(CNC[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000503288279 340124875 /nfs/dbraw/zinc/12/48/75/340124875.db2.gz YAJOQTCZMRHEGD-WMZOPIPTSA-N 0 3 310.441 4.152 20 0 DIADHN Cc1noc(C)c1CCN[C@@]1(c2ccc(Cl)cc2)C[C@H]1C ZINC000503364013 340126264 /nfs/dbraw/zinc/12/62/64/340126264.db2.gz CDXWEUQATFYAPY-DIFFPNOSSA-N 0 3 304.821 4.012 20 0 DIADHN Cc1nc(CCN[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)cs1 ZINC000503409119 340127504 /nfs/dbraw/zinc/12/75/04/340127504.db2.gz VWHJTZYJYJQTRC-BZNIZROVSA-N 0 3 306.862 4.172 20 0 DIADHN C[C@H]1C[C@]1(NCc1ccccc1N(C)C)c1ccc(Cl)cc1 ZINC000503436617 340128207 /nfs/dbraw/zinc/12/82/07/340128207.db2.gz VVRPSNDFZPREMV-IFXJQAMLSA-N 0 3 314.860 4.431 20 0 DIADHN CC[C@@H]1C[C@H](CNC2(c3nc(C)cs3)CCCC2)CCO1 ZINC000503553101 340130239 /nfs/dbraw/zinc/13/02/39/340130239.db2.gz XMCLJWAPNVRKNC-HUUCEWRRSA-N 0 3 308.491 4.016 20 0 DIADHN CC[C@H](NCc1ccc(Oc2ccccc2)o1)[C@@H]1CCCO1 ZINC000503562809 340130759 /nfs/dbraw/zinc/13/07/59/340130759.db2.gz NOXHIZWEBHOTFD-IRXDYDNUSA-N 0 3 301.386 4.119 20 0 DIADHN C[C@@H](CNCc1ccc(-c2ccccc2)cc1F)Cn1ccnc1 ZINC000503569549 340130945 /nfs/dbraw/zinc/13/09/45/340130945.db2.gz UCUQIHFSKSDPNX-INIZCTEOSA-N 0 3 323.415 4.115 20 0 DIADHN CC[C@H]1C[C@H](CNC2(c3ccc(F)cc3F)CCC2)CCO1 ZINC000503572608 340131106 /nfs/dbraw/zinc/13/11/06/340131106.db2.gz GUFFUPYMDGKEEV-HIFRSBDPSA-N 0 3 309.400 4.139 20 0 DIADHN Cc1cc(CN[C@H](c2cnn(C)c2)C(C)(C)C)ccc1Cl ZINC000503575632 340131326 /nfs/dbraw/zinc/13/13/26/340131326.db2.gz HQOGTWZZPQCSDR-MRXNPFEDSA-N 0 3 305.853 4.259 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1CCCc2cn[nH]c21 ZINC000503580852 340131556 /nfs/dbraw/zinc/13/15/56/340131556.db2.gz GKLFVJWXUMETIJ-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000503585709 340131766 /nfs/dbraw/zinc/13/17/66/340131766.db2.gz DYCGASDQYJTCNP-QAPCUYQASA-N 0 3 315.413 4.365 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC[C@@H](c2ccccc2F)C1 ZINC000503588684 340131848 /nfs/dbraw/zinc/13/18/48/340131848.db2.gz LHXZEEAAQHLUDJ-OAHLLOKOSA-N 0 3 321.399 4.067 20 0 DIADHN Cc1cc(CNC[C@H](c2ccco2)N2CCCC2)ccc1Cl ZINC000503592562 340132077 /nfs/dbraw/zinc/13/20/77/340132077.db2.gz NQBNCNKYBHLOCT-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN OCCC1(CNCc2ccc(Oc3ccccc3)o2)CCCC1 ZINC000503601591 340132350 /nfs/dbraw/zinc/13/23/50/340132350.db2.gz GIMRXTCWNRNTCV-UHFFFAOYSA-N 0 3 315.413 4.104 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@H](c4ccccc4)CC3)cn21 ZINC000503622471 340132505 /nfs/dbraw/zinc/13/25/05/340132505.db2.gz OBIDCDSHDOMJIU-IBGZPJMESA-N 0 3 319.452 4.412 20 0 DIADHN COCC[C@H](NC[C@H](C)CCc1ccccc1)c1ccco1 ZINC000503902771 340136139 /nfs/dbraw/zinc/13/61/39/340136139.db2.gz PVTWRRRZIABKLR-AEFFLSMTSA-N 0 3 301.430 4.216 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cc(F)c(F)c(F)c1 ZINC000503914403 340136748 /nfs/dbraw/zinc/13/67/48/340136748.db2.gz XZBIYJWHRRPAIY-BZHVJNSISA-N 0 3 323.305 4.305 20 0 DIADHN CO[C@@H]1CCCN(C/C(C)=C/c2ccccc2C(F)(F)F)C1 ZINC000503929169 340137234 /nfs/dbraw/zinc/13/72/34/340137234.db2.gz OGDNMLKCMPJOLO-NRMKIYEFSA-N 0 3 313.363 4.220 20 0 DIADHN COC1(CNCc2ccc(-c3ccc(F)cc3C)o2)CCC1 ZINC000503934840 340137433 /nfs/dbraw/zinc/13/74/33/340137433.db2.gz CDAQGIYHLDJLPO-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cc(C)ccc1OC ZINC000503939123 340137765 /nfs/dbraw/zinc/13/77/65/340137765.db2.gz XVKCNKBBZBTJBX-SQWLQELKSA-N 0 3 313.388 4.205 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@@H]1CCCC[C@H]1OC(F)F ZINC000503938392 340137770 /nfs/dbraw/zinc/13/77/70/340137770.db2.gz UFVGOSRTNGEDNS-XNRPHZJLSA-N 0 3 313.388 4.286 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCC[C@H]2CCCCO2)o1 ZINC000503947656 340138182 /nfs/dbraw/zinc/13/81/82/340138182.db2.gz UDIJBMSMGONOLC-OAHLLOKOSA-N 0 3 317.404 4.443 20 0 DIADHN Cc1n[nH]cc1CNC1(c2ccc(C(F)(F)F)cc2)CCCC1 ZINC000503954229 340138678 /nfs/dbraw/zinc/13/86/78/340138678.db2.gz XHUXBXVIQNOJBF-UHFFFAOYSA-N 0 3 323.362 4.296 20 0 DIADHN Cc1ncccc1CNC(C)(C)c1ccc(Br)cc1 ZINC000504081549 340140566 /nfs/dbraw/zinc/14/05/66/340140566.db2.gz PPRBJEQEKJKFRD-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN CC[C@@H](CC(=O)N(CCN(C)C)c1ccccc1)c1ccccc1 ZINC000504681131 340151028 /nfs/dbraw/zinc/15/10/28/340151028.db2.gz SXZJGSHXFIEUJB-SFHVURJKSA-N 0 3 324.468 4.165 20 0 DIADHN COc1cc([C@@H](C)NCCOC2CCCCCC2)ccc1F ZINC000504899252 340155076 /nfs/dbraw/zinc/15/50/76/340155076.db2.gz CVDLEHCBPJPCBJ-CQSZACIVSA-N 0 3 309.425 4.224 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](C)Oc2ccc(F)cc2)ccc1F ZINC000504899612 340155242 /nfs/dbraw/zinc/15/52/42/340155242.db2.gz JJEDHXKMUWNZRH-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)Oc2cccc(F)c2)ccc1F ZINC000504900041 340155263 /nfs/dbraw/zinc/15/52/63/340155263.db2.gz QFJWJWMGBYNJMK-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1nn2c(CN([C@H](C)C(C)C)C3CC3)c(C(C)C)nc2s1 ZINC000505228801 340164415 /nfs/dbraw/zinc/16/44/15/340164415.db2.gz CVFJZKDBWGVWML-GFCCVEGCSA-N 0 3 320.506 4.232 20 0 DIADHN CCc1ncc(CN2CCC(Cc3ccccc3)CC2)s1 ZINC000505308593 340166025 /nfs/dbraw/zinc/16/60/25/340166025.db2.gz SEBQUYMHTGRTQC-UHFFFAOYSA-N 0 3 300.471 4.160 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cc(OC)c(OC)cc1SC ZINC000505361836 340167163 /nfs/dbraw/zinc/16/71/63/340167163.db2.gz HSDCPVPNCGBRQT-CQSZACIVSA-N 0 3 309.475 4.190 20 0 DIADHN COc1ccccc1CCCN[C@@H](C)c1cccc(F)c1F ZINC000505464340 340168991 /nfs/dbraw/zinc/16/89/91/340168991.db2.gz KFQZDDPZHMPHDH-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(N2CCCC2)nc1 ZINC000505605709 340172539 /nfs/dbraw/zinc/17/25/39/340172539.db2.gz DWTMNSMWXGHDQR-UHFFFAOYSA-N 0 3 309.457 4.094 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)cc2)cc(Cl)c1OC ZINC000505606243 340172801 /nfs/dbraw/zinc/17/28/01/340172801.db2.gz ILIWBLWJXKFXGD-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(-c3cccnc3)c2)cc1 ZINC000505776796 340177569 /nfs/dbraw/zinc/17/75/69/340177569.db2.gz LVXYMJRAUPNRQD-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cnc2ccccn12)Cc1ccccc1 ZINC000505777268 340177585 /nfs/dbraw/zinc/17/75/85/340177585.db2.gz NZFUMGHQAHABDZ-INIZCTEOSA-N 0 3 305.425 4.135 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@@H]1/C=C/c1ccccc1 ZINC000505827110 340179097 /nfs/dbraw/zinc/17/90/97/340179097.db2.gz UEUASHPYIVUVQG-JXOMPUQVSA-N 0 3 317.436 4.321 20 0 DIADHN O[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000505907100 340181337 /nfs/dbraw/zinc/18/13/37/340181337.db2.gz JPPHBZNMRAFUGU-CQSZACIVSA-N 0 3 307.846 4.025 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1ccc(C)cc1 ZINC000506004551 340183009 /nfs/dbraw/zinc/18/30/09/340183009.db2.gz BUKZTLLLPIXXLD-UHFFFAOYSA-N 0 3 311.454 4.469 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1ccccc1F ZINC000506025231 340183627 /nfs/dbraw/zinc/18/36/27/340183627.db2.gz DLFGJLXBYMVOJO-UHFFFAOYSA-N 0 3 315.417 4.299 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(F)c(C)c2)cc1OC ZINC000506056372 340184484 /nfs/dbraw/zinc/18/44/84/340184484.db2.gz TXOJLXUWZODLIY-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN COc1cc(CN2CCC[C@@H]2c2cccs2)cc(OC)c1 ZINC000506085628 340184919 /nfs/dbraw/zinc/18/49/19/340184919.db2.gz IUBAMYMMEDAIKV-MRXNPFEDSA-N 0 3 303.427 4.102 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2c2cccs2)ccc1OC ZINC000506087573 340185106 /nfs/dbraw/zinc/18/51/06/340185106.db2.gz RWCRUCFTQJBJQR-OAHLLOKOSA-N 0 3 317.454 4.493 20 0 DIADHN Cc1ccsc1CN1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000506085845 340185247 /nfs/dbraw/zinc/18/52/47/340185247.db2.gz KKTYZKSJRBRYJP-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1cccc2cccnc21 ZINC000506129448 340186385 /nfs/dbraw/zinc/18/63/85/340186385.db2.gz XVYOJBBVCLURIA-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1ccc(OCCN(C)Cc2ccc3ncccc3c2)cc1 ZINC000506129331 340186395 /nfs/dbraw/zinc/18/63/95/340186395.db2.gz WAXWJIYIYZVNQJ-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1nn2c(CN(C)[C@@H]3CCC[C@@H](C)C3)c(C(C)C)nc2s1 ZINC000506206668 340188734 /nfs/dbraw/zinc/18/87/34/340188734.db2.gz VQWANOWTMOBBGE-TZMCWYRMSA-N 0 3 320.506 4.233 20 0 DIADHN CCCCOc1ccc(CN(C)[C@H]2CCSC2)cc1OCC ZINC000506218110 340188994 /nfs/dbraw/zinc/18/89/94/340188994.db2.gz LRWILOPERUJTFC-INIZCTEOSA-N 0 3 323.502 4.202 20 0 DIADHN CCCCn1cc(CN[C@@H]2CCc3cc(Cl)ccc32)c(C)n1 ZINC000506219909 340189097 /nfs/dbraw/zinc/18/90/97/340189097.db2.gz UBLQKWHUBNHTAM-GOSISDBHSA-N 0 3 317.864 4.422 20 0 DIADHN CN(Cc1c(OC(F)F)ccc2ccccc21)[C@@H]1CCSC1 ZINC000506234906 340189486 /nfs/dbraw/zinc/18/94/86/340189486.db2.gz TZDGVUXSRDURDS-CYBMUJFWSA-N 0 3 323.408 4.379 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2ccc(F)cc2)cc(OC)c1 ZINC000506262114 340190362 /nfs/dbraw/zinc/19/03/62/340190362.db2.gz NJUXBEVXUJIMFJ-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN COCCOc1ccc(CN2CCC[C@H]2c2ccccc2)cc1 ZINC000506266889 340190539 /nfs/dbraw/zinc/19/05/39/340190539.db2.gz BJYFIQFKQUASKI-FQEVSTJZSA-N 0 3 311.425 4.049 20 0 DIADHN Cc1ncsc1CN1CCC(CCc2ccccc2)CC1 ZINC000506269694 340190658 /nfs/dbraw/zinc/19/06/58/340190658.db2.gz RGURXINVFBYZGB-UHFFFAOYSA-N 0 3 300.471 4.296 20 0 DIADHN CC[C@H](CN1CCN(CCc2ccccc2)CC1)c1ccccc1 ZINC000506270301 340190708 /nfs/dbraw/zinc/19/07/08/340190708.db2.gz UTRWFROMZNATAC-OAQYLSRUSA-N 0 3 322.496 4.041 20 0 DIADHN Clc1ccc(CN2CCCN(Cc3cccs3)CC2)cc1 ZINC000506308016 340191854 /nfs/dbraw/zinc/19/18/54/340191854.db2.gz IGBJRJKQUAGKFW-UHFFFAOYSA-N 0 3 320.889 4.109 20 0 DIADHN CCCn1nc(C)c(CN2CCC(c3ccccc3)CC2)c1C ZINC000506381030 340193753 /nfs/dbraw/zinc/19/37/53/340193753.db2.gz NAHZJRZODDIPEW-UHFFFAOYSA-N 0 3 311.473 4.290 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2cccc(O)c2)CC1 ZINC000506421209 340194454 /nfs/dbraw/zinc/19/44/54/340194454.db2.gz IVKYXRYDFZFXHU-UHFFFAOYSA-N 0 3 318.420 4.471 20 0 DIADHN Cc1cccn2c(CN([C@H](C)c3ccccc3)C3CC3)cnc12 ZINC000506613077 340197630 /nfs/dbraw/zinc/19/76/30/340197630.db2.gz PZAOMZFPVCXOML-MRXNPFEDSA-N 0 3 305.425 4.368 20 0 DIADHN COc1ccccc1/C=C/CN1CCC(c2ccc(O)cc2)CC1 ZINC000506660993 340198859 /nfs/dbraw/zinc/19/88/59/340198859.db2.gz DFRLDRHAURMNOH-GQCTYLIASA-N 0 3 323.436 4.294 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)[C@H]1CCc2ccccc21 ZINC000506688262 340199453 /nfs/dbraw/zinc/19/94/53/340199453.db2.gz GKRHBLHHHKSLFQ-IBGZPJMESA-N 0 3 311.473 4.374 20 0 DIADHN O=C(c1ccccc1)C1CCN(C[C@H]2C[C@H]2c2ccccc2)CC1 ZINC000506687279 340199481 /nfs/dbraw/zinc/19/94/81/340199481.db2.gz DPBXPRZPYSLTGX-RTWAWAEBSA-N 0 3 319.448 4.385 20 0 DIADHN O=C(c1ccccc1)C1CCN(C[C@H]2C[C@@H]2c2ccccc2)CC1 ZINC000506687278 340199569 /nfs/dbraw/zinc/19/95/69/340199569.db2.gz DPBXPRZPYSLTGX-NHCUHLMSSA-N 0 3 319.448 4.385 20 0 DIADHN COc1ccsc1CN1CCC[C@H]1c1cccc(OC)c1 ZINC000506690685 340199748 /nfs/dbraw/zinc/19/97/48/340199748.db2.gz RYUVETCEFOMRRC-HNNXBMFYSA-N 0 3 303.427 4.102 20 0 DIADHN COc1ccc(CN(Cc2cccc3cc[nH]c32)C2CC2)cc1 ZINC000506707958 340199824 /nfs/dbraw/zinc/19/98/24/340199824.db2.gz OXMIWRWKFDTQJE-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc3c[nH]nc32)cc1 ZINC000506707627 340199845 /nfs/dbraw/zinc/19/98/45/340199845.db2.gz QISGISDQAIGNOI-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@@H]3CCC(C)(C)C3)n2)cc1F ZINC000506852590 340202769 /nfs/dbraw/zinc/20/27/69/340202769.db2.gz XLUDNGBFMIHRKJ-TZMCWYRMSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC(C)(C)C3)n2)cc1F ZINC000506852587 340202784 /nfs/dbraw/zinc/20/27/84/340202784.db2.gz XLUDNGBFMIHRKJ-GXTWGEPZSA-N 0 3 317.408 4.413 20 0 DIADHN CN(CCC(=O)Nc1ccccc1)Cc1csc2ccccc12 ZINC000506916478 340203585 /nfs/dbraw/zinc/20/35/85/340203585.db2.gz JKVMGLJNBMLXAJ-UHFFFAOYSA-N 0 3 324.449 4.362 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@@H]1c1cccn1C ZINC000507005810 340205201 /nfs/dbraw/zinc/20/52/01/340205201.db2.gz BLGOUAZKCOCLES-RUDRXDDOSA-N 0 3 324.468 4.412 20 0 DIADHN COCCOc1cccc(CN(Cc2cccs2)C(C)C)c1 ZINC000507012916 340205480 /nfs/dbraw/zinc/20/54/80/340205480.db2.gz MOFPDLSTRIUGJO-UHFFFAOYSA-N 0 3 319.470 4.184 20 0 DIADHN CCN(CCc1ccccc1)Cc1c(C)nn(C(C)(C)C)c1C ZINC000507117781 340208150 /nfs/dbraw/zinc/20/81/50/340208150.db2.gz WGRQWSQYXGAORH-UHFFFAOYSA-N 0 3 313.489 4.320 20 0 DIADHN c1cnn(-c2cccc(CN3CCC[C@@H]3c3ccsc3)c2)c1 ZINC000507155758 340209982 /nfs/dbraw/zinc/20/99/82/340209982.db2.gz PWLRUJZDUKOHPQ-GOSISDBHSA-N 0 3 309.438 4.271 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1sc(C)nc1C ZINC000507161783 340210250 /nfs/dbraw/zinc/21/02/50/340210250.db2.gz SNGPBLIBGAUULW-QGZVFWFLSA-N 0 3 316.470 4.278 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2ccn(-c3ccccc3)n2)C1 ZINC000507178371 340211440 /nfs/dbraw/zinc/21/14/40/340211440.db2.gz ILNIEIIGBNIUSQ-SFHVURJKSA-N 0 3 317.436 4.170 20 0 DIADHN c1cc([C@@H]2CCCN2Cc2cnc(-c3ccccc3)[nH]2)cs1 ZINC000507186976 340211690 /nfs/dbraw/zinc/21/16/90/340211690.db2.gz IAAYWEBYPMQJAG-KRWDZBQOSA-N 0 3 309.438 4.475 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)Cc2ccc(N3CCCC3)nc2)c1 ZINC000507199842 340212762 /nfs/dbraw/zinc/21/27/62/340212762.db2.gz BFXMGXKERBVMFN-SFHVURJKSA-N 0 3 323.484 4.492 20 0 DIADHN C[C@H](NCC1(c2ccccn2)CCC1)c1cc(F)ccc1F ZINC000507225375 340214368 /nfs/dbraw/zinc/21/43/68/340214368.db2.gz COYDYWQHEVKIFQ-ZDUSSCGKSA-N 0 3 302.368 4.132 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@@H](C)c2ccc(C)cc2C)cc1 ZINC000507230722 340214756 /nfs/dbraw/zinc/21/47/56/340214756.db2.gz KOMUIMJAQRWNOK-INIZCTEOSA-N 0 3 310.441 4.455 20 0 DIADHN Cc1cc(CCN[C@H]2CCc3c2cc(Cl)cc3Cl)on1 ZINC000507248417 340215373 /nfs/dbraw/zinc/21/53/73/340215373.db2.gz VBEIUUNHVJSBQB-HNNXBMFYSA-N 0 3 311.212 4.109 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CNC(C)(C)c1ccccc1 ZINC000507313809 340216977 /nfs/dbraw/zinc/21/69/77/340216977.db2.gz FINGKQWWAQPJTC-UHFFFAOYSA-N 0 3 324.468 4.448 20 0 DIADHN CCOC(=O)CC1CCN(Cc2cc3ccccc3s2)CC1 ZINC000507372511 340218324 /nfs/dbraw/zinc/21/83/24/340218324.db2.gz QSFOIMVFKMRHGG-UHFFFAOYSA-N 0 3 317.454 4.067 20 0 DIADHN CC(C)[C@H](NC(=O)CNC(C)(C)c1ccccc1)c1ccccc1 ZINC000507381382 340218356 /nfs/dbraw/zinc/21/83/56/340218356.db2.gz SMXVBYFQFXPACX-FQEVSTJZSA-N 0 3 324.468 4.025 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)cc1CN(C)[C@@H](C)C1CC1 ZINC000507434789 340219395 /nfs/dbraw/zinc/21/93/95/340219395.db2.gz UEVPDGCTEVAKTG-ZDUSSCGKSA-N 0 3 303.837 4.064 20 0 DIADHN COC1(CN[C@H]2CC3(CCCCC3)Oc3ccccc32)CCC1 ZINC000507446569 340220124 /nfs/dbraw/zinc/22/01/24/340220124.db2.gz FHAOIDJYUGUKMT-KRWDZBQOSA-N 0 3 315.457 4.372 20 0 DIADHN CC(C)CC(CC(C)C)N[C@H](C(=O)NC1CC1)c1ccccc1 ZINC000507450073 340220155 /nfs/dbraw/zinc/22/01/55/340220155.db2.gz KFOHELDTQIPTCT-IBGZPJMESA-N 0 3 316.489 4.057 20 0 DIADHN Cc1nocc1CN(CCc1ccccc1F)C1CCCC1 ZINC000507461467 340220685 /nfs/dbraw/zinc/22/06/85/340220685.db2.gz ULGAQGNOBSNDKD-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000507468426 340220968 /nfs/dbraw/zinc/22/09/68/340220968.db2.gz HDZSQKQEVJOXIJ-ZDUSSCGKSA-N 0 3 316.395 4.305 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000507471122 340221277 /nfs/dbraw/zinc/22/12/77/340221277.db2.gz OGICHVAQSRRVNS-UJKGCTCZSA-N 0 3 310.441 4.248 20 0 DIADHN COC1(CN[C@H]2CCc3c2ccc(Cl)c3Cl)CCC1 ZINC000507471542 340221284 /nfs/dbraw/zinc/22/12/84/340221284.db2.gz CAQQXDWRIUDRLZ-ZDUSSCGKSA-N 0 3 300.229 4.139 20 0 DIADHN CCc1cccnc1[C@H](C)NCCOCc1ccc(Cl)cc1 ZINC000507470819 340221402 /nfs/dbraw/zinc/22/14/02/340221402.db2.gz NUEANJGWZFYNLU-AWEZNQCLSA-N 0 3 318.848 4.165 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCn1ccc2ccccc21 ZINC000507475096 340221602 /nfs/dbraw/zinc/22/16/02/340221602.db2.gz ZIITVOTVLDDHIW-INIZCTEOSA-N 0 3 307.441 4.340 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H]1SCCc2ccccc21 ZINC000507482811 340221990 /nfs/dbraw/zinc/22/19/90/340221990.db2.gz FUTLTIDDTSMLDC-KDOFPFPSSA-N 0 3 312.482 4.325 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCn1c(C)nc2ccccc21 ZINC000507486236 340222141 /nfs/dbraw/zinc/22/21/41/340222141.db2.gz OKHOAJRLTRPPCO-HNNXBMFYSA-N 0 3 322.456 4.043 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000507492317 340222556 /nfs/dbraw/zinc/22/25/56/340222556.db2.gz DYOKAMQLVQHIBL-NJSLBKSFSA-N 0 3 316.420 4.210 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000507509809 340223268 /nfs/dbraw/zinc/22/32/68/340223268.db2.gz MCALLEKSVMAXLE-ZIAGYGMSSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCOC2(CCCCC2)C1 ZINC000507515460 340223648 /nfs/dbraw/zinc/22/36/48/340223648.db2.gz UYZGQKMXPMEGEG-DOTOQJQBSA-N 0 3 302.462 4.177 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCSCc1ccccc1F ZINC000507528536 340224559 /nfs/dbraw/zinc/22/45/59/340224559.db2.gz NYIMZKJSTPPLKE-CQSZACIVSA-N 0 3 318.461 4.367 20 0 DIADHN CCc1cccnc1[C@H](C)NCCSCc1ccccc1F ZINC000507528532 340224594 /nfs/dbraw/zinc/22/45/94/340224594.db2.gz NYIMZKJSTPPLKE-AWEZNQCLSA-N 0 3 318.461 4.367 20 0 DIADHN CCc1ccc(NC2CCN(CCC(F)(F)F)CC2)cc1C ZINC000507535537 340224732 /nfs/dbraw/zinc/22/47/32/340224732.db2.gz VTOVTYZNEJWNHM-UHFFFAOYSA-N 0 3 314.395 4.386 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1cc(F)c(Cl)cc1Cl ZINC000300413319 340225506 /nfs/dbraw/zinc/22/55/06/340225506.db2.gz AEGWUZDEIOGFID-QXRSLXQMSA-N 0 3 318.219 4.351 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1cc(Cl)ccc1Cl ZINC000300415287 340225518 /nfs/dbraw/zinc/22/55/18/340225518.db2.gz VCOMLDRWNBLLAT-LNHVQRHZSA-N 0 3 300.229 4.212 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN(C[C@@H]1CCOC1)C1CC1 ZINC000507606571 340226002 /nfs/dbraw/zinc/22/60/02/340226002.db2.gz NNTMKNDGOFUCOM-HNNXBMFYSA-N 0 3 313.397 4.073 20 0 DIADHN CC[C@H]1C[C@@H](CN(Cc2ccc(F)cc2F)C2CC2)CCO1 ZINC000507622848 340226776 /nfs/dbraw/zinc/22/67/76/340226776.db2.gz TWJMNTMCTIMGPJ-GUYCJALGSA-N 0 3 309.400 4.134 20 0 DIADHN c1ccc(C(CN2CCO[C@H]3CCC[C@H]32)c2ccccc2)cc1 ZINC000507660942 340227428 /nfs/dbraw/zinc/22/74/28/340227428.db2.gz QNUKKZDHFXTTRR-RTWAWAEBSA-N 0 3 307.437 4.072 20 0 DIADHN CC(C)c1ncc(CN2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000507664756 340227545 /nfs/dbraw/zinc/22/75/45/340227545.db2.gz HEERGWODIKRDEI-INIZCTEOSA-N 0 3 300.471 4.331 20 0 DIADHN CC[C@H](C)N(Cc1cc(C(=O)OC)c(C)o1)Cc1ccccc1 ZINC000507664513 340227637 /nfs/dbraw/zinc/22/76/37/340227637.db2.gz VXXZBDWOJQJPAE-AWEZNQCLSA-N 0 3 315.413 4.175 20 0 DIADHN CC[C@@H](C)N(CC(=O)N[C@H](C)c1ccccc1)Cc1ccccc1 ZINC000507676224 340228087 /nfs/dbraw/zinc/22/80/87/340228087.db2.gz FFGDWJXWWACCLW-QZTJIDSGSA-N 0 3 324.468 4.165 20 0 DIADHN C[C@@H]1C[C@@H](CN2CC=C(c3ccccc3Cl)CC2)CCO1 ZINC000507693242 340228536 /nfs/dbraw/zinc/22/85/36/340228536.db2.gz YHARRBUVWDLOGF-CABCVRRESA-N 0 3 305.849 4.244 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccccc2)CC(C)(C)C)o1 ZINC000507802612 340232175 /nfs/dbraw/zinc/23/21/75/340232175.db2.gz CXUWQUBGHDJREW-UHFFFAOYSA-N 0 3 315.413 4.115 20 0 DIADHN C[C@@]1(CN[C@H]2CCc3c2cc(Cl)cc3Cl)CCCO1 ZINC000507813850 340232787 /nfs/dbraw/zinc/23/27/87/340232787.db2.gz UCSSXOUGASMTBR-GJZGRUSLSA-N 0 3 300.229 4.139 20 0 DIADHN COc1ccccc1CN1CC=C(c2c[nH]c3ccccc32)CC1 ZINC000507861958 340234508 /nfs/dbraw/zinc/23/45/08/340234508.db2.gz SXGXRFZDXYMDHK-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@@H]3/C=C/c3ccccc3)ccc21 ZINC000507876198 340234812 /nfs/dbraw/zinc/23/48/12/340234812.db2.gz PEMDJTCHROYBGT-SINXNMPMSA-N 0 3 317.436 4.251 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@@H]2/C=C/c2ccccc2)c1C ZINC000507881639 340234994 /nfs/dbraw/zinc/23/49/94/340234994.db2.gz HLPQTPNEMAEAHG-JXOMPUQVSA-N 0 3 309.457 4.198 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2/C=C\c2ccccc2)cc1O ZINC000507883134 340235199 /nfs/dbraw/zinc/23/51/99/340235199.db2.gz UTFHJIIKDMAKER-LECLMCCNSA-N 0 3 309.409 4.079 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000507894728 340235397 /nfs/dbraw/zinc/23/53/97/340235397.db2.gz MEEUOEOTCJMOOT-JKIFEVAISA-N 0 3 303.837 4.181 20 0 DIADHN CCCn1cc(CN(C2CC2)[C@H]2CCCc3ccccc32)cn1 ZINC000507995689 340237018 /nfs/dbraw/zinc/23/70/18/340237018.db2.gz WGILNZFYCAFKJH-FQEVSTJZSA-N 0 3 309.457 4.335 20 0 DIADHN CC(C)N(CC1=Cc2cc(Br)ccc2OC1)C1CC1 ZINC000508024440 340237510 /nfs/dbraw/zinc/23/75/10/340237510.db2.gz GFYGHTRTMPTKPZ-UHFFFAOYSA-N 0 3 322.246 4.098 20 0 DIADHN Cc1ccc(-c2ccc(CNCCc3ccccc3O)o2)cc1 ZINC000508062677 340238601 /nfs/dbraw/zinc/23/86/01/340238601.db2.gz HGIKNNXQCBVQGL-UHFFFAOYSA-N 0 3 307.393 4.293 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC([C@@H](C)O)CC1 ZINC000508159196 340239943 /nfs/dbraw/zinc/23/99/43/340239943.db2.gz FTKZWOMDOCFBNL-GFCCVEGCSA-N 0 3 323.889 4.456 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC([C@H](C)O)CC1 ZINC000508159203 340239966 /nfs/dbraw/zinc/23/99/66/340239966.db2.gz FTKZWOMDOCFBNL-LBPRGKRZSA-N 0 3 323.889 4.456 20 0 DIADHN FC1(F)CC[C@H](CNCc2sccc2Br)C1 ZINC000300792522 340240964 /nfs/dbraw/zinc/24/09/64/340240964.db2.gz CDYCRTVDQTYIJF-QMMMGPOBSA-N 0 3 310.207 4.036 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccccc1-c1ccnn1C ZINC000508265799 340241714 /nfs/dbraw/zinc/24/17/14/340241714.db2.gz SYIVFVDKPFFJPT-MRXNPFEDSA-N 0 3 317.436 4.206 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)CCC(=O)OC(C)(C)C ZINC000508482926 340244585 /nfs/dbraw/zinc/24/45/85/340244585.db2.gz GPSXMJJFAHOTJG-ZDUSSCGKSA-N 0 3 303.402 4.157 20 0 DIADHN CC(C)N(Cc1cccc(C(F)(F)F)c1)CC1=CCCOC1 ZINC000508533616 340246039 /nfs/dbraw/zinc/24/60/39/340246039.db2.gz KPVWGKLZHJUZHY-UHFFFAOYSA-N 0 3 313.363 4.263 20 0 DIADHN CCCN(Cc1c(C)nn(CC)c1C)[C@@H]1CCc2ccccc21 ZINC000508600063 340247478 /nfs/dbraw/zinc/24/74/78/340247478.db2.gz OJJAGULIYVBPKF-HXUWFJFHSA-N 0 3 311.473 4.419 20 0 DIADHN c1ccc(COc2ccccc2CN2CCCSCC2)cc1 ZINC000508619399 340248450 /nfs/dbraw/zinc/24/84/50/340248450.db2.gz GFRFGNARUFEEAG-UHFFFAOYSA-N 0 3 313.466 4.205 20 0 DIADHN CC(C)N(Cc1nc2cc(Br)ccc2o1)C(C)C ZINC000508992260 340257389 /nfs/dbraw/zinc/25/73/89/340257389.db2.gz ULJQXGZQEZDMGL-UHFFFAOYSA-N 0 3 311.223 4.209 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnc(N(C)C)s1 ZINC000508670793 340250193 /nfs/dbraw/zinc/25/01/93/340250193.db2.gz JFJMUTHMXKWGBK-MRXNPFEDSA-N 0 3 303.475 4.182 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnc(N(C)C)s1 ZINC000508670790 340250277 /nfs/dbraw/zinc/25/02/77/340250277.db2.gz JFJMUTHMXKWGBK-INIZCTEOSA-N 0 3 303.475 4.182 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnc2nc(C)cc(C)n12 ZINC000508673812 340250283 /nfs/dbraw/zinc/25/02/83/340250283.db2.gz XLHMYZKVCVAXHU-LJQANCHMSA-N 0 3 322.456 4.319 20 0 DIADHN CCOc1cc(CN2CCCSCC2)ccc1OCC(C)C ZINC000508680771 340250356 /nfs/dbraw/zinc/25/03/56/340250356.db2.gz SZNUSDUVAKUZGL-UHFFFAOYSA-N 0 3 323.502 4.059 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@H](C)C1 ZINC000508675477 340250361 /nfs/dbraw/zinc/25/03/61/340250361.db2.gz GPCJKEPVTZNREX-HOTGVXAUSA-N 0 3 308.425 4.022 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCCSCC3)s2)cc1 ZINC000508679742 340250468 /nfs/dbraw/zinc/25/04/68/340250468.db2.gz QVLOARHWEFJKOS-UHFFFAOYSA-N 0 3 304.484 4.057 20 0 DIADHN CCN(CCc1cccs1)Cc1cnc(N(CC)CC)s1 ZINC000508708026 340251116 /nfs/dbraw/zinc/25/11/16/340251116.db2.gz XUBLAOGNELMECD-UHFFFAOYSA-N 0 3 323.531 4.115 20 0 DIADHN CO[C@@H](C)CN(Cc1ccc(Sc2ccccn2)o1)C(C)C ZINC000508709038 340251120 /nfs/dbraw/zinc/25/11/20/340251120.db2.gz HBANDLXJTCZYDD-AWEZNQCLSA-N 0 3 320.458 4.071 20 0 DIADHN CCCn1nc(C)c(CN(CC)CCc2cccs2)c1C ZINC000508708423 340251175 /nfs/dbraw/zinc/25/11/75/340251175.db2.gz ABJNLXKCGHHKHJ-UHFFFAOYSA-N 0 3 305.491 4.036 20 0 DIADHN CCN(CCc1cccs1)Cc1cc(-c2ccccc2)n[nH]1 ZINC000508732233 340251879 /nfs/dbraw/zinc/25/18/79/340251879.db2.gz FSNPBNYSIDFMGA-UHFFFAOYSA-N 0 3 311.454 4.203 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccccc1-c1ccnn1C ZINC000508738779 340252124 /nfs/dbraw/zinc/25/21/24/340252124.db2.gz BCXSUDGHFLYIBJ-MRXNPFEDSA-N 0 3 317.436 4.034 20 0 DIADHN Cc1nccc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000508795656 340253209 /nfs/dbraw/zinc/25/32/09/340253209.db2.gz OGIVZPRTXOBCCO-CHWSQXEVSA-N 0 3 303.837 4.062 20 0 DIADHN CC[C@H](CN[C@@H](C)c1nc2ccccc2n1C)CC(F)(F)F ZINC000402670785 340253866 /nfs/dbraw/zinc/25/38/66/340253866.db2.gz OAAKBYSQAKTHFM-RYUDHWBXSA-N 0 3 313.367 4.203 20 0 DIADHN CCc1nocc1CN(C)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000508853458 340254304 /nfs/dbraw/zinc/25/43/04/340254304.db2.gz CRFWHKFGCCMBAQ-SFHVURJKSA-N 0 3 304.409 4.075 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCc1scnc1C)[C@@H]2C ZINC000508962470 340256376 /nfs/dbraw/zinc/25/63/76/340256376.db2.gz JWSVIKIPFLTPMS-GXTWGEPZSA-N 0 3 316.470 4.010 20 0 DIADHN Cc1ccc(-c2csc(CN[C@H](CCO)C(C)(C)C)n2)cc1 ZINC000508977642 340256828 /nfs/dbraw/zinc/25/68/28/340256828.db2.gz LBKQNKSGJUYXHC-MRXNPFEDSA-N 0 3 318.486 4.005 20 0 DIADHN CCOC1CC(CN[C@H](C)c2cccc(Cl)c2Cl)C1 ZINC000508992930 340257450 /nfs/dbraw/zinc/25/74/50/340257450.db2.gz XCTCLAXTENUTIB-VOMCLLRMSA-N 0 3 302.245 4.459 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1nc2cc(Br)ccc2o1 ZINC000509023351 340258284 /nfs/dbraw/zinc/25/82/84/340258284.db2.gz VIYPGJWJGJXFEZ-ZJUUUORDSA-N 0 3 311.223 4.115 20 0 DIADHN CC[C@H]1CCCN(CC(=O)N[C@H](C)c2ccc3ccccc3c2)C1 ZINC000509038022 340259019 /nfs/dbraw/zinc/25/90/19/340259019.db2.gz GJTINDMDAWKYQV-SJORKVTESA-N 0 3 324.468 4.139 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)cn1 ZINC000509036464 340259121 /nfs/dbraw/zinc/25/91/21/340259121.db2.gz YJWWXEKUCQCHEM-SFHVURJKSA-N 0 3 315.848 4.460 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000509144062 340262188 /nfs/dbraw/zinc/26/21/88/340262188.db2.gz ZCJZYNYMBNMIJN-CABCVRRESA-N 0 3 307.846 4.020 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3cccc(F)c3C)o2)C1 ZINC000509171571 340262992 /nfs/dbraw/zinc/26/29/92/340262992.db2.gz UYUOQABEWYUEDP-CQSZACIVSA-N 0 3 303.377 4.005 20 0 DIADHN CCOC1CC(CN(Cc2ccco2)Cc2ccc(C)s2)C1 ZINC000509262481 340264738 /nfs/dbraw/zinc/26/47/38/340264738.db2.gz HPRCUAVEWUMAOQ-UHFFFAOYSA-N 0 3 319.470 4.467 20 0 DIADHN CCN(CCCCF)Cc1cc(Br)ccc1F ZINC000509276964 340265138 /nfs/dbraw/zinc/26/51/38/340265138.db2.gz PORCPODVWCKILB-UHFFFAOYSA-N 0 3 306.194 4.160 20 0 DIADHN COCCN(CC[C@H](C)F)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000509280127 340265304 /nfs/dbraw/zinc/26/53/04/340265304.db2.gz VDHGEXYWPSCGGP-QWHCGFSZSA-N 0 3 321.358 4.463 20 0 DIADHN C[C@H]1CCCN(Cc2nc3cc(Br)ccc3o2)CC1 ZINC000509294128 340265457 /nfs/dbraw/zinc/26/54/57/340265457.db2.gz LPVABEZBBPWCDF-NSHDSACASA-N 0 3 323.234 4.212 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3cc(Br)ccc3o2)CC1 ZINC000509294127 340265512 /nfs/dbraw/zinc/26/55/12/340265512.db2.gz LPVABEZBBPWCDF-LLVKDONJSA-N 0 3 323.234 4.212 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CCC1CCCC1)c1ccccc1 ZINC000301994517 340265872 /nfs/dbraw/zinc/26/58/72/340265872.db2.gz GFVXMNHCCLRZSP-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](F)CCN(Cc1cccc(Br)c1)C1CC1 ZINC000509310809 340265950 /nfs/dbraw/zinc/26/59/50/340265950.db2.gz NYHLKIOWOGITIH-NSHDSACASA-N 0 3 300.215 4.162 20 0 DIADHN CCOC1CC(CN2CCC(=Cc3ccccc3F)CC2)C1 ZINC000509375180 340267738 /nfs/dbraw/zinc/26/77/38/340267738.db2.gz XFHKPRJYPOWJCG-UHFFFAOYSA-N 0 3 303.421 4.120 20 0 DIADHN CCOc1cccc(CN[C@H]2CCc3cc(F)c(OC)cc32)c1 ZINC000509418773 340268818 /nfs/dbraw/zinc/26/88/18/340268818.db2.gz UVMAIGVVIDHXEC-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cc(Cl)cs1 ZINC000509417415 340268844 /nfs/dbraw/zinc/26/88/44/340268844.db2.gz QXXYWFKPCLUZCK-CQSZACIVSA-N 0 3 311.809 4.326 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(F)c(C)c1 ZINC000509416308 340268849 /nfs/dbraw/zinc/26/88/49/340268849.db2.gz OPVVHYUPBNDQBQ-QGZVFWFLSA-N 0 3 303.352 4.059 20 0 DIADHN Brc1sccc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000509428379 340269144 /nfs/dbraw/zinc/26/91/44/340269144.db2.gz FFZYHTCZYZAWDH-ZIAGYGMSSA-N 0 3 322.271 4.404 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cccc2cc[nH]c21 ZINC000509426194 340269174 /nfs/dbraw/zinc/26/91/74/340269174.db2.gz QCMWAMHQGWJIKX-QGZVFWFLSA-N 0 3 310.372 4.093 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NC/C=C\c1ccc(F)cc1 ZINC000509429959 340269323 /nfs/dbraw/zinc/26/93/23/340269323.db2.gz JWKVUKVDPFNZAP-LHRDXKRPSA-N 0 3 315.363 4.264 20 0 DIADHN CCN(CCOc1ccccc1OC)[C@H](C)c1ccc(F)cc1 ZINC000516616602 340270122 /nfs/dbraw/zinc/27/01/22/340270122.db2.gz LSMZUAJIMJWJAR-OAHLLOKOSA-N 0 3 317.404 4.296 20 0 DIADHN CN(C)[C@@H](CNc1nc2ccccc2s1)c1cccc(F)c1 ZINC000302220537 340270563 /nfs/dbraw/zinc/27/05/63/340270563.db2.gz GJNLWCQMJUMEGY-HNNXBMFYSA-N 0 3 315.417 4.150 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2OC1)c1ccc(F)c(F)c1 ZINC000509517084 340271924 /nfs/dbraw/zinc/27/19/24/340271924.db2.gz SFPJAKLHQWTYKS-WFASDCNBSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1ccoc1CN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000509555765 340273416 /nfs/dbraw/zinc/27/34/16/340273416.db2.gz BHIWIOOFNPSPHB-UHFFFAOYSA-N 0 3 310.372 4.498 20 0 DIADHN COCC[C@H]1CCCCN(Cc2ccccc2C(F)(F)F)C1 ZINC000509623652 340274843 /nfs/dbraw/zinc/27/48/43/340274843.db2.gz RGXGCNVGZYWWRN-CQSZACIVSA-N 0 3 315.379 4.344 20 0 DIADHN c1csc(CCNCc2ccc(Sc3ccccn3)o2)c1 ZINC000509659448 340275955 /nfs/dbraw/zinc/27/59/55/340275955.db2.gz WFDVKPWQPFWINJ-UHFFFAOYSA-N 0 3 316.451 4.220 20 0 DIADHN Cc1ncsc1CCN1CCSC[C@@H]1c1ccc(C)cc1 ZINC000509696143 340277340 /nfs/dbraw/zinc/27/73/40/340277340.db2.gz HPUYIKDLVXCFIM-MRXNPFEDSA-N 0 3 318.511 4.093 20 0 DIADHN CCc1ccc(NC(=O)c2oc3ccccc3c2CN(C)C)cc1 ZINC000509758214 340278410 /nfs/dbraw/zinc/27/84/10/340278410.db2.gz HPWAINGCDIRNIE-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN Cc1ncsc1CCN(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000509797521 340279370 /nfs/dbraw/zinc/27/93/70/340279370.db2.gz CODVKNSAQZIOCK-WBMJQRKESA-N 0 3 316.470 4.375 20 0 DIADHN Cc1ncsc1CCN(Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000509797519 340279418 /nfs/dbraw/zinc/27/94/18/340279418.db2.gz CODVKNSAQZIOCK-MLGOLLRUSA-N 0 3 316.470 4.375 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCOc2ccccc2)cc1 ZINC000302737070 340280377 /nfs/dbraw/zinc/28/03/77/340280377.db2.gz FXSKYWVKADJXNS-HXUWFJFHSA-N 0 3 311.425 4.301 20 0 DIADHN CC[C@@H]1CCCCN1CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000302740833 340280810 /nfs/dbraw/zinc/28/08/10/340280810.db2.gz PWJUGOCCZXQTQD-FUHWJXTLSA-N 0 3 324.468 4.282 20 0 DIADHN CCOC1CC(CN(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)C1 ZINC000509850287 340281556 /nfs/dbraw/zinc/28/15/56/340281556.db2.gz FUUNIDZWRONGOM-DKVDKTFMSA-N 0 3 303.446 4.183 20 0 DIADHN COCC[C@H](NCc1csc(-c2cccs2)n1)C(C)(C)C ZINC000509957774 340283833 /nfs/dbraw/zinc/28/38/33/340283833.db2.gz LJRSOTAPIWEFDS-AWEZNQCLSA-N 0 3 324.515 4.412 20 0 DIADHN COCC[C@H](NCc1nc(-c2ccccc2)cs1)C(C)(C)C ZINC000509963021 340284114 /nfs/dbraw/zinc/28/41/14/340284114.db2.gz ILZHNHQEONOMHY-INIZCTEOSA-N 0 3 318.486 4.351 20 0 DIADHN C[C@H](N[C@H]1CSC1(C)C)c1cc(Br)cs1 ZINC000308997132 340285303 /nfs/dbraw/zinc/28/53/03/340285303.db2.gz MVVMXCLAPIYEDK-XVKPBYJWSA-N 0 3 306.294 4.055 20 0 DIADHN Fc1cccc(CCNCc2ccccc2OC(F)(F)F)c1 ZINC000302897310 340285478 /nfs/dbraw/zinc/28/54/78/340285478.db2.gz LGEOPVCOQXVREQ-UHFFFAOYSA-N 0 3 313.294 4.057 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN([C@H](C)c2ccccc2F)CC1 ZINC000510075662 340287549 /nfs/dbraw/zinc/28/75/49/340287549.db2.gz VVDVNEYIRZOUAH-CQSZACIVSA-N 0 3 321.436 4.188 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000510079797 340287621 /nfs/dbraw/zinc/28/76/21/340287621.db2.gz UJPNNMSSWDKAGG-FLIBITNWSA-N 0 3 315.457 4.001 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000510082617 340288113 /nfs/dbraw/zinc/28/81/13/340288113.db2.gz DUHNURDUHKOXQC-AWEZNQCLSA-N 0 3 321.436 4.188 20 0 DIADHN CC(C)C[C@H](NCc1cnn(CC(F)F)c1)c1cccs1 ZINC000510094797 340288579 /nfs/dbraw/zinc/28/85/79/340288579.db2.gz ZMBNJFBGZZNJGG-ZDUSSCGKSA-N 0 3 313.417 4.087 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C(C)C)cc2)s1)C[C@H](C)O ZINC000510108414 340289215 /nfs/dbraw/zinc/28/92/15/340289215.db2.gz KWYJCXXRSHITHC-AWEZNQCLSA-N 0 3 318.486 4.136 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1C[C@H]1CCCCO1 ZINC000510171146 340291464 /nfs/dbraw/zinc/29/14/64/340291464.db2.gz SVALNTHCXSGOJV-JZXOWHBKSA-N 0 3 303.446 4.037 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1C[C@@H]1CCCCO1 ZINC000510171148 340291503 /nfs/dbraw/zinc/29/15/03/340291503.db2.gz SVALNTHCXSGOJV-RYRKJORJSA-N 0 3 303.446 4.037 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1ccc(C)cn1 ZINC000510197284 340292274 /nfs/dbraw/zinc/29/22/74/340292274.db2.gz YMVOPUGTEXNPLS-VQIMIIECSA-N 0 3 310.441 4.372 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(Br)cc2)cn1 ZINC000510222349 340292782 /nfs/dbraw/zinc/29/27/82/340292782.db2.gz LUPXHRXFJBEDRI-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN COc1cccc(C2(NCc3ccc(C(F)F)cc3)CC2)c1 ZINC000510241458 340293414 /nfs/dbraw/zinc/29/34/14/340293414.db2.gz NFPDOPUFDFOIMB-UHFFFAOYSA-N 0 3 303.352 4.412 20 0 DIADHN CCCOc1ccc(OCCN2CCC[C@H]2c2ccc[nH]2)cc1 ZINC000510298403 340295371 /nfs/dbraw/zinc/29/53/71/340295371.db2.gz LYMCLMBEZPRCOZ-IBGZPJMESA-N 0 3 314.429 4.019 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N(C)CCCCc1ccccc1 ZINC000510298712 340295445 /nfs/dbraw/zinc/29/54/45/340295445.db2.gz NMVFGIIWPZFMFA-GOSISDBHSA-N 0 3 324.468 4.277 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@H](c3ccccc3)C2)cc1C ZINC000303029998 340296574 /nfs/dbraw/zinc/29/65/74/340296574.db2.gz WZZCFQZOKOAWKI-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN Fc1ccc(CN(C[C@H]2CCCO2)C2CCCC2)c(Cl)c1 ZINC000303032793 340296985 /nfs/dbraw/zinc/29/69/85/340296985.db2.gz XTAPDQWFCVNMTI-MRXNPFEDSA-N 0 3 311.828 4.403 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(C(F)(F)F)CC1)CCC2 ZINC000510378894 340297428 /nfs/dbraw/zinc/29/74/28/340297428.db2.gz QEFNUZZSIGLOTC-OAHLLOKOSA-N 0 3 301.327 4.477 20 0 DIADHN CCCCOC1CCN([C@@H]2CCCc3ccc(F)cc32)CC1 ZINC000510423762 340299042 /nfs/dbraw/zinc/29/90/42/340299042.db2.gz HGPBGWHIZIOKQF-LJQANCHMSA-N 0 3 305.437 4.484 20 0 DIADHN CSc1ccccc1CN1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510438541 340299377 /nfs/dbraw/zinc/29/93/77/340299377.db2.gz SENYJRLPZWYIPL-QGZVFWFLSA-N 0 3 323.465 4.205 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC000510483950 340300756 /nfs/dbraw/zinc/30/07/56/340300756.db2.gz WMVBGZVWUKKCOM-JKSUJKDBSA-N 0 3 318.461 4.067 20 0 DIADHN COc1ccccc1/C=C/CN1CCC(OCCC(C)C)CC1 ZINC000510502733 340301267 /nfs/dbraw/zinc/30/12/67/340301267.db2.gz PSEHEOYXYZKOCQ-SOFGYWHQSA-N 0 3 317.473 4.236 20 0 DIADHN CC1(C)CCCN(Cc2cccc(OCc3ccccn3)c2)C1 ZINC000510502810 340301274 /nfs/dbraw/zinc/30/12/74/340301274.db2.gz QEKKPGSMGXEVEK-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1cc(-c2ccccc2)on1 ZINC000510646961 340304823 /nfs/dbraw/zinc/30/48/23/340304823.db2.gz BRUVSWGWICDJLL-PBHICJAKSA-N 0 3 302.393 4.350 20 0 DIADHN CCc1nc(CN[C@@H](C)CCc2c(F)cccc2F)cs1 ZINC000510656152 340305419 /nfs/dbraw/zinc/30/54/19/340305419.db2.gz KVMMLUIUKNYZRS-NSHDSACASA-N 0 3 310.413 4.095 20 0 DIADHN CC(C)(C)n1cc(CN(C2CC2)[C@H]2CCc3ccccc32)cn1 ZINC000510662496 340305658 /nfs/dbraw/zinc/30/56/58/340305658.db2.gz LTBBVMIVNLDNMK-IBGZPJMESA-N 0 3 309.457 4.290 20 0 DIADHN c1ccc(-n2nc(CN[C@@H]3CC[C@H]3C3CCC3)c3c2CCC3)cc1 ZINC000510685279 340306671 /nfs/dbraw/zinc/30/66/71/340306671.db2.gz KMSDAXUYLIUTNW-PKOBYXMFSA-N 0 3 321.468 4.029 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1coc(-c2cccs2)n1 ZINC000510708106 340307467 /nfs/dbraw/zinc/30/74/67/340307467.db2.gz ZRQWJPRZEXSWOX-OCCSQVGLSA-N 0 3 308.422 4.411 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCC(C)(C)C2CCCC2)c1 ZINC000510738460 340308977 /nfs/dbraw/zinc/30/89/77/340308977.db2.gz RFSNEEORKOMISD-UHFFFAOYSA-N 0 3 317.477 4.086 20 0 DIADHN Cc1cc(CN[C@@H](C)CCc2ccc(C(F)(F)F)cc2)on1 ZINC000510751066 340309578 /nfs/dbraw/zinc/30/95/78/340309578.db2.gz NFHKHWZWSMVMIC-NSHDSACASA-N 0 3 312.335 4.113 20 0 DIADHN CC[C@@H](N[C@H](C)CCc1c(F)cccc1F)c1nccs1 ZINC000510752396 340309622 /nfs/dbraw/zinc/30/96/22/340309622.db2.gz KJKJCFXWVFTNTH-IAQYHMDHSA-N 0 3 310.413 4.483 20 0 DIADHN CC[C@H](N[C@H](C)CCc1c(F)cccc1F)c1nccs1 ZINC000510752395 340309671 /nfs/dbraw/zinc/30/96/71/340309671.db2.gz KJKJCFXWVFTNTH-ABAIWWIYSA-N 0 3 310.413 4.483 20 0 DIADHN Brc1ccc2oc(CN3CCCC34CCC4)nc2c1 ZINC000510780486 340310770 /nfs/dbraw/zinc/31/07/70/340310770.db2.gz MPGSSIYUOFJYJH-UHFFFAOYSA-N 0 3 321.218 4.109 20 0 DIADHN CCOC1CC(CN(CCC(F)(F)F)Cc2ccccc2)C1 ZINC000510793421 340311312 /nfs/dbraw/zinc/31/13/12/340311312.db2.gz XYGLALAPMPQMFT-UHFFFAOYSA-N 0 3 315.379 4.256 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(O)cc1)c1nc(C(C)(C)C)cs1 ZINC000510815880 340311830 /nfs/dbraw/zinc/31/18/30/340311830.db2.gz RWDGOAFZVJNHCK-QWHCGFSZSA-N 0 3 318.486 4.428 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](c1ccccc1)c1ccncc1 ZINC000510824638 340312030 /nfs/dbraw/zinc/31/20/30/340312030.db2.gz CYRFXEKJNJVICD-KKSFZXQISA-N 0 3 318.420 4.097 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](c1ccccc1)c1ccncc1 ZINC000510824637 340312037 /nfs/dbraw/zinc/31/20/37/340312037.db2.gz CYRFXEKJNJVICD-IIBYNOLFSA-N 0 3 318.420 4.097 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000303227711 340314098 /nfs/dbraw/zinc/31/40/98/340314098.db2.gz XLIAJTFZQLMVJF-SBLNNXNXSA-N 0 3 307.393 4.266 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1c(F)cccc1F)c1ccncc1F ZINC000510916943 340314803 /nfs/dbraw/zinc/31/48/03/340314803.db2.gz PLTFQRCOAYEXMY-NWDGAFQWSA-N 0 3 308.347 4.171 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]1C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000510926558 340315004 /nfs/dbraw/zinc/31/50/04/340315004.db2.gz CLCGGDWCCXOBJG-JMJZKYOTSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(C(C)(C)C)cc1 ZINC000510929139 340315140 /nfs/dbraw/zinc/31/51/40/340315140.db2.gz LMHFLOIDXQKELJ-RDTXWAMCSA-N 0 3 311.473 4.446 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1CCc2c1cccc2O ZINC000510929384 340315173 /nfs/dbraw/zinc/31/51/73/340315173.db2.gz FVDWZJZQMHNDNW-IZLCOFNUSA-N 0 3 323.436 4.219 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](c2cccc(C)c2)c2cccc(F)c2)C1 ZINC000510946015 340315904 /nfs/dbraw/zinc/31/59/04/340315904.db2.gz DJNPWUQUGUZUDA-XUVXKRRUSA-N 0 3 313.416 4.381 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@@H](C)c1ccc(C)cc1)C1CCCCC1 ZINC000510999641 340317629 /nfs/dbraw/zinc/31/76/29/340317629.db2.gz PHCMQLFJKRAABF-IRXDYDNUSA-N 0 3 316.489 4.215 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2OC1)c1cccc(F)c1F ZINC000511008350 340318080 /nfs/dbraw/zinc/31/80/80/340318080.db2.gz DSQVLXMBXHCZIV-GXTWGEPZSA-N 0 3 303.352 4.009 20 0 DIADHN CCc1ccccc1NC(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000511015582 340318275 /nfs/dbraw/zinc/31/82/75/340318275.db2.gz NONYJTMSOMKHPZ-UHFFFAOYSA-N 0 3 322.452 4.486 20 0 DIADHN CC[C@@H](CNCc1cn2cc(Cl)ccc2n1)c1ccccc1 ZINC000511017626 340318348 /nfs/dbraw/zinc/31/83/48/340318348.db2.gz QBRVJIOUCMRDHC-AWEZNQCLSA-N 0 3 313.832 4.271 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC([C@@H](F)c3ccccc3F)CC2)O1 ZINC000511073513 340320101 /nfs/dbraw/zinc/32/01/01/340320101.db2.gz KYNHBRCDVDLYMZ-QIIPPGSGSA-N 0 3 309.400 4.116 20 0 DIADHN Fc1ccc(CN(CCc2cccnc2)CC2CC2)c(Cl)c1 ZINC000511090081 340320459 /nfs/dbraw/zinc/32/04/59/340320459.db2.gz HUCDREHDHIERNC-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCN(Cc3ccccn3)C2)c1 ZINC000511096486 340320616 /nfs/dbraw/zinc/32/06/16/340320616.db2.gz PKMNVFBEJLIPDW-OAHLLOKOSA-N 0 3 320.358 4.480 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(CCc2cccnc2)CC1 ZINC000511110895 340321107 /nfs/dbraw/zinc/32/11/07/340321107.db2.gz SMSPVMLEAKBCAS-LJQANCHMSA-N 0 3 316.395 4.186 20 0 DIADHN CCc1ccc(NC(=O)c2ccc(CN(CC)C3CC3)cc2)cc1 ZINC000511122418 340321424 /nfs/dbraw/zinc/32/14/24/340321424.db2.gz QNUPTZDFUIVHPT-UHFFFAOYSA-N 0 3 322.452 4.486 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(C)c(C)c2)cc1O ZINC000511122901 340321427 /nfs/dbraw/zinc/32/14/27/340321427.db2.gz JABGACWXNQXUTI-SFHVURJKSA-N 0 3 311.425 4.355 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@@H](c2ccnn2C)C1 ZINC000511132265 340321782 /nfs/dbraw/zinc/32/17/82/340321782.db2.gz RBYXTVZDEAENEM-UONOGXRCSA-N 0 3 303.837 4.014 20 0 DIADHN FC(F)Cn1cc(CNC2(c3ccccc3)CCCCC2)cn1 ZINC000511146799 340322377 /nfs/dbraw/zinc/32/23/77/340322377.db2.gz QTKVFCAWTLELJR-UHFFFAOYSA-N 0 3 319.399 4.097 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1ccc(OCc2ccccc2)cc1 ZINC000303422273 340325075 /nfs/dbraw/zinc/32/50/75/340325075.db2.gz FJPHRLQGZREAQO-RZQQEMMASA-N 0 3 311.425 4.094 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H]3CCCSC3)c(C)c2c1 ZINC000511363677 340326043 /nfs/dbraw/zinc/32/60/43/340326043.db2.gz AXSTVKOLSFIHJW-QWHCGFSZSA-N 0 3 305.443 4.296 20 0 DIADHN CCC(CC)CN(CC)Cc1csc(-c2ccccn2)n1 ZINC000303457419 340326505 /nfs/dbraw/zinc/32/65/05/340326505.db2.gz KKLDZYMSPJMMLO-UHFFFAOYSA-N 0 3 303.475 4.463 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1cccc(Nc2ccccc2)c1 ZINC000511445204 340327420 /nfs/dbraw/zinc/32/74/20/340327420.db2.gz DEBGAIXKMIZLBV-IBGZPJMESA-N 0 3 323.440 4.243 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC000303546084 340332507 /nfs/dbraw/zinc/33/25/07/340332507.db2.gz KXGCJHUBUBROPU-QFBILLFUSA-N 0 3 316.489 4.012 20 0 DIADHN CCN(Cc1ccc(C)cn1)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000511621192 340332805 /nfs/dbraw/zinc/33/28/05/340332805.db2.gz BMHUWJBBYKNTME-NQIIRXRSSA-N 0 3 323.484 4.047 20 0 DIADHN CN(C[C@H]1C[C@@H]1c1ccccc1)C[C@@H]1OCCc2ccccc21 ZINC000511627983 340332897 /nfs/dbraw/zinc/33/28/97/340332897.db2.gz OHIAKQHUVFOZGP-NRSPTQNISA-N 0 3 307.437 4.036 20 0 DIADHN Cc1ccsc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000511654054 340333934 /nfs/dbraw/zinc/33/39/34/340333934.db2.gz ATOZSCDCEQBQEL-KRWDZBQOSA-N 0 3 301.455 4.238 20 0 DIADHN c1cc2c(cccc2CN(Cc2ccccc2)C[C@@H]2CCCO2)[nH]1 ZINC000511669622 340334726 /nfs/dbraw/zinc/33/47/26/340334726.db2.gz XXDXBXBJHPNYBK-IBGZPJMESA-N 0 3 320.436 4.349 20 0 DIADHN COc1ccc(CN(CCc2cccc(F)c2)C2CC2)cc1F ZINC000303581973 340335708 /nfs/dbraw/zinc/33/57/08/340335708.db2.gz KOIJTTJORBZICG-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN CC(C)(C)CCN1CCN(CCc2ccc3ccccc3c2)CC1 ZINC000511747021 340336881 /nfs/dbraw/zinc/33/68/81/340336881.db2.gz UYNKOXBJGIBUCI-UHFFFAOYSA-N 0 3 324.512 4.436 20 0 DIADHN CCO[C@H](CNC/C=C\c1ccc(F)c(F)c1)c1ccccc1 ZINC000511759703 340337417 /nfs/dbraw/zinc/33/74/17/340337417.db2.gz FSCNIXCIUAHZSI-LIXSYLKWSA-N 0 3 317.379 4.345 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ncc(CN(C)C)s2)CC(C)(C)C1 ZINC000511772607 340337811 /nfs/dbraw/zinc/33/78/11/340337811.db2.gz MTPMPWKDFUZQMV-CABCVRRESA-N 0 3 323.550 4.147 20 0 DIADHN CCCN(Cc1cnn(C)c1C)[C@H](C)c1cc2ccccc2o1 ZINC000511777761 340338034 /nfs/dbraw/zinc/33/80/34/340338034.db2.gz CALACZZCYYDSHX-OAHLLOKOSA-N 0 3 311.429 4.448 20 0 DIADHN Cc1oncc1CN[C@@H]1CCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000511814228 340338978 /nfs/dbraw/zinc/33/89/78/340338978.db2.gz MRBYNFPWJAUQGC-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN CC(C)n1ccc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)n1 ZINC000511838489 340339764 /nfs/dbraw/zinc/33/97/64/340339764.db2.gz OSBADHUIMGFTMH-AQNXPRMDSA-N 0 3 309.457 4.232 20 0 DIADHN CCc1nc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)cs1 ZINC000511842071 340339962 /nfs/dbraw/zinc/33/99/62/340339962.db2.gz IVRPEWPVKGSZEN-RCCFBDPRSA-N 0 3 312.482 4.474 20 0 DIADHN COc1cc(CN2CCC[C@H]3CCC[C@@H]32)ccc1OC(F)F ZINC000511845196 340340181 /nfs/dbraw/zinc/34/01/81/340340181.db2.gz VUCRMXBIJIFEQT-KGLIPLIRSA-N 0 3 311.372 4.061 20 0 DIADHN c1ccc(OCc2ccncc2)c(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000511862266 340340867 /nfs/dbraw/zinc/34/08/67/340340867.db2.gz ZEWQFLXOTRJUMW-AZUAARDMSA-N 0 3 322.452 4.425 20 0 DIADHN CC(C)n1ccnc1CN1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 ZINC000511871976 340341121 /nfs/dbraw/zinc/34/11/21/340341121.db2.gz KNYIXORZYYKXNU-OTWHNJEPSA-N 0 3 309.457 4.232 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@H]32)cc1OC(F)F ZINC000511876240 340341407 /nfs/dbraw/zinc/34/14/07/340341407.db2.gz VIHBAWVOQPKWIZ-UONOGXRCSA-N 0 3 311.372 4.061 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000511877878 340341448 /nfs/dbraw/zinc/34/14/48/340341448.db2.gz WEOLSHHEBGZVHK-QUCCMNQESA-N 0 3 322.452 4.425 20 0 DIADHN CC[C@@H](CSC)NCc1ccc(Sc2ccccn2)o1 ZINC000511906020 340342535 /nfs/dbraw/zinc/34/25/35/340342535.db2.gz HRWSQIWGGNOUMD-LBPRGKRZSA-N 0 3 308.472 4.057 20 0 DIADHN CCc1cnccc1[C@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC000511926310 340343031 /nfs/dbraw/zinc/34/30/31/340343031.db2.gz KNOROWSVMYBDNB-ZFDPJTLLSA-N 0 3 302.368 4.286 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)c1ccc(CN(C)C)cc1 ZINC000511952098 340343565 /nfs/dbraw/zinc/34/35/65/340343565.db2.gz IZCOAGIBXKRNSW-FQEVSTJZSA-N 0 3 324.468 4.362 20 0 DIADHN COCC[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc(C)o1 ZINC000511975875 340344262 /nfs/dbraw/zinc/34/42/62/340344262.db2.gz XMOQYDQWXIMIEA-CWIOURORSA-N 0 3 321.367 4.247 20 0 DIADHN Cc1cnn(C)c1CN[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000511979501 340344415 /nfs/dbraw/zinc/34/44/15/340344415.db2.gz XEIIXUXTUJIDIS-WMLDXEAASA-N 0 3 309.457 4.118 20 0 DIADHN C[C@H]1CCN(Cc2cc3ccccc3nc2N2CCCCC2)C1 ZINC000511979204 340344434 /nfs/dbraw/zinc/34/44/34/340344434.db2.gz SPCXWMWYJHCQKE-INIZCTEOSA-N 0 3 309.457 4.067 20 0 DIADHN COc1cccc2c1CC[C@H]2NC/C=C\c1ccc(F)c(F)c1 ZINC000512023618 340345644 /nfs/dbraw/zinc/34/56/44/340345644.db2.gz LEDUQYGOFTUFQI-DDKKJVIOSA-N 0 3 315.363 4.264 20 0 DIADHN COC[C@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512021874 340345697 /nfs/dbraw/zinc/34/56/97/340345697.db2.gz DZMILYZVRSJQKD-KVLZDYKBSA-N 0 3 321.342 4.094 20 0 DIADHN COc1cccc2c1CC[C@@H]2NC/C=C\c1ccc(F)c(F)c1 ZINC000512023619 340345729 /nfs/dbraw/zinc/34/57/29/340345729.db2.gz LEDUQYGOFTUFQI-JXCVUKRWSA-N 0 3 315.363 4.264 20 0 DIADHN CO[C@@H](CNC/C=C/c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512036731 340346289 /nfs/dbraw/zinc/34/62/89/340346289.db2.gz LFPDWZANSOFDCX-DCKQQPRJSA-N 0 3 321.342 4.094 20 0 DIADHN C[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000512083956 340347984 /nfs/dbraw/zinc/34/79/84/340347984.db2.gz MZUOJIDBEKEPNH-QAVQXKDTSA-N 0 3 317.335 4.058 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccc3ccccc3n2)cc1F ZINC000512099241 340348451 /nfs/dbraw/zinc/34/84/51/340348451.db2.gz LGKZBTNDWBIUKF-ONEGZZNKSA-N 0 3 310.347 4.316 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccc3ccccc3n2)cc1F ZINC000512099240 340348454 /nfs/dbraw/zinc/34/84/54/340348454.db2.gz LGKZBTNDWBIUKF-ARJAWSKDSA-N 0 3 310.347 4.316 20 0 DIADHN COc1ccc([C@H](C)NC/C=C/c2ccc(F)c(F)c2)cc1 ZINC000512102859 340348527 /nfs/dbraw/zinc/34/85/27/340348527.db2.gz CXWAHNZDKPNLFY-OOPCZODUSA-N 0 3 303.352 4.337 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000512182875 340351286 /nfs/dbraw/zinc/35/12/86/340351286.db2.gz TVSGLGLKQSLLBS-YGRLFVJLSA-N 0 3 324.902 4.305 20 0 DIADHN C[C@H]1CC[C@H](c2ccccc2)N(CCOCC(F)(F)F)C1 ZINC000512199529 340352054 /nfs/dbraw/zinc/35/20/54/340352054.db2.gz XMPUWMCHUGBSHD-DZGCQCFKSA-N 0 3 301.352 4.039 20 0 DIADHN CNC(=O)c1cccc(CN2C[C@H](C)CC[C@@H]2c2ccccc2)c1 ZINC000512199233 340352105 /nfs/dbraw/zinc/35/21/05/340352105.db2.gz VOPDQAJGIUFAIT-OXQOHEQNSA-N 0 3 322.452 4.019 20 0 DIADHN CCc1nocc1CN1CCC[C@H](c2ccc(F)cc2)CC1 ZINC000512226544 340353145 /nfs/dbraw/zinc/35/31/45/340353145.db2.gz JBDJMZQMWDCDHH-AWEZNQCLSA-N 0 3 302.393 4.146 20 0 DIADHN CCc1nocc1CN1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC000512226546 340353190 /nfs/dbraw/zinc/35/31/90/340353190.db2.gz JBDJMZQMWDCDHH-CQSZACIVSA-N 0 3 302.393 4.146 20 0 DIADHN CCOCCN(CC)Cc1ccc(Oc2cccnc2)c(C)c1 ZINC000512250627 340354202 /nfs/dbraw/zinc/35/42/02/340354202.db2.gz CUEJUHLAPHCNFZ-UHFFFAOYSA-N 0 3 314.429 4.041 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)[C@@H](C)c1ccccn1 ZINC000512261148 340354512 /nfs/dbraw/zinc/35/45/12/340354512.db2.gz PMXSZBCWRYJUOF-MAUBAPBLSA-N 0 3 310.441 4.324 20 0 DIADHN CCCC[C@H](CC)CNC(=O)c1cccc(CN2CCCC2)c1 ZINC000512286997 340355524 /nfs/dbraw/zinc/35/55/24/340355524.db2.gz FNVOGYBIIHAYSA-KRWDZBQOSA-N 0 3 316.489 4.229 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@H]([C@H](C)O)C1 ZINC000512338428 340357846 /nfs/dbraw/zinc/35/78/46/340357846.db2.gz MEZSUJYCOAKCNO-RYUDHWBXSA-N 0 3 309.862 4.066 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H](C)CSC ZINC000512395822 340360337 /nfs/dbraw/zinc/36/03/37/340360337.db2.gz BNUSUCNYIGHHRM-CQSZACIVSA-N 0 3 301.455 4.203 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H](C)CSC ZINC000512395821 340360398 /nfs/dbraw/zinc/36/03/98/340360398.db2.gz BNUSUCNYIGHHRM-AWEZNQCLSA-N 0 3 301.455 4.203 20 0 DIADHN CCC[C@@](C)(CO)NCc1ccc(-c2ccccc2)cc1OC ZINC000512396433 340360455 /nfs/dbraw/zinc/36/04/55/340360455.db2.gz NRWRHXDNDCEXBS-FQEVSTJZSA-N 0 3 313.441 4.003 20 0 DIADHN C[C@H](c1ccc(F)cc1)N(C)CCC(=O)c1ccc(F)cc1 ZINC000512449287 340362277 /nfs/dbraw/zinc/36/22/77/340362277.db2.gz DYZGWFGSUZVQER-CYBMUJFWSA-N 0 3 303.352 4.231 20 0 DIADHN CCS[C@@H]1CCCCN(CCC(=O)c2ccc(F)cc2)C1 ZINC000512468982 340362881 /nfs/dbraw/zinc/36/28/81/340362881.db2.gz NRZAWPYQLDOXRM-MRXNPFEDSA-N 0 3 309.450 4.006 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@H](C)c1nnc2n1CCCCC2 ZINC000512493739 340364039 /nfs/dbraw/zinc/36/40/39/340364039.db2.gz KAZKAGBJSDAKGX-DLBZAZTESA-N 0 3 318.509 4.428 20 0 DIADHN CSc1cccc(F)c1CNC[C@@H](C)CC1CCOCC1 ZINC000512511386 340364964 /nfs/dbraw/zinc/36/49/64/340364964.db2.gz GUWSGZGHYILDHM-ZDUSSCGKSA-N 0 3 311.466 4.090 20 0 DIADHN CCCN(C)[C@@H](CNCc1ccco1)c1ccc(Cl)cc1 ZINC000512568279 340367248 /nfs/dbraw/zinc/36/72/48/340367248.db2.gz GTBSVTUFMFYFLS-KRWDZBQOSA-N 0 3 306.837 4.106 20 0 DIADHN Cc1cnc(CN(CCc2ccc(F)cc2)C2CCCC2)o1 ZINC000173584880 340368158 /nfs/dbraw/zinc/36/81/58/340368158.db2.gz FUSWTELKJMEUQM-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN COc1ccc(OC(F)F)c(CN2CCC[C@H]2C2CCC2)c1 ZINC000512652879 340371109 /nfs/dbraw/zinc/37/11/09/340371109.db2.gz SHPULFYTEGMXQO-HNNXBMFYSA-N 0 3 311.372 4.061 20 0 DIADHN CCCn1cc(CN(C)CCc2cccc3ccccc32)cn1 ZINC000512664039 340371811 /nfs/dbraw/zinc/37/18/11/340371811.db2.gz VBGXYXPNUJGFJZ-UHFFFAOYSA-N 0 3 307.441 4.121 20 0 DIADHN COCc1ccccc1/C=C(/C)CNC[C@@H](C)C(F)(F)F ZINC000512668849 340372192 /nfs/dbraw/zinc/37/21/92/340372192.db2.gz OIHDYTIPYCUNEL-LLBKUYECSA-N 0 3 301.352 4.024 20 0 DIADHN COc1cccc(CN(C)CCc2ccc(Cl)cc2)c1OC ZINC000512701732 340373588 /nfs/dbraw/zinc/37/35/88/340373588.db2.gz UGEORYCYDXTXOX-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1cc(CN(C)Cc2ccc(F)c(C)c2)ccc1SC ZINC000512700756 340373628 /nfs/dbraw/zinc/37/36/28/340373628.db2.gz BLIQYCXYXRAJND-UHFFFAOYSA-N 0 3 319.445 4.497 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@H](O)[C@H](C)C1 ZINC000512719009 340374273 /nfs/dbraw/zinc/37/42/73/340374273.db2.gz XFZDUIDGRXCFJX-YGRLFVJLSA-N 0 3 309.862 4.066 20 0 DIADHN CC[C@](C)(NCCCc1cccc(OC)c1)c1nc(C)cs1 ZINC000173946071 340378455 /nfs/dbraw/zinc/37/84/55/340378455.db2.gz SADLUIQQDCAEDC-SFHVURJKSA-N 0 3 318.486 4.308 20 0 DIADHN C[C@@H](NCCOc1ccccc1-c1ccccc1)c1ccncc1 ZINC000174008428 340379930 /nfs/dbraw/zinc/37/99/30/340379930.db2.gz IUAFWLKIZWPTPT-QGZVFWFLSA-N 0 3 318.420 4.478 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1ccccc1OCC(C)C)C2 ZINC000512839970 340380185 /nfs/dbraw/zinc/38/01/85/340380185.db2.gz LNHKBMWFFGNXFA-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H](COC(F)F)C1 ZINC000512842978 340380403 /nfs/dbraw/zinc/38/04/03/340380403.db2.gz DMULCQKNJSBHES-SMDDNHRTSA-N 0 3 303.780 4.352 20 0 DIADHN COC[C@H](C)N[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000174069121 340381266 /nfs/dbraw/zinc/38/12/66/340381266.db2.gz PFLQVKUWUPYQSP-STQMWFEESA-N 0 3 304.459 4.112 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNCc1cnn2ccccc12 ZINC000512862040 340381279 /nfs/dbraw/zinc/38/12/79/340381279.db2.gz SCQGYGYSPSETRA-GXDHUFHOSA-N 0 3 311.816 4.181 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000512868911 340381739 /nfs/dbraw/zinc/38/17/39/340381739.db2.gz KEMRMSZMTXZZHQ-QPUJVOFHSA-N 0 3 309.784 4.200 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC000512881067 340382205 /nfs/dbraw/zinc/38/22/05/340382205.db2.gz ZXGKNSRUPLXDKQ-UFBFGSQYSA-N 0 3 309.784 4.200 20 0 DIADHN CCC[C@@]1(NCc2ccc(Cl)c(C(F)(F)F)c2)CCOC1 ZINC000512890161 340382696 /nfs/dbraw/zinc/38/26/96/340382696.db2.gz JJXIVAHKUUGWOP-CQSZACIVSA-N 0 3 321.770 4.408 20 0 DIADHN FC(F)(F)c1ccc(CNCC2(C(F)(F)F)CC2)s1 ZINC000512912718 340383825 /nfs/dbraw/zinc/38/38/25/340383825.db2.gz HGKWCBRKYSULPY-UHFFFAOYSA-N 0 3 303.271 4.199 20 0 DIADHN CC1(C)Cc2cccc(CNCC3(C(F)(F)F)CCC3)c2O1 ZINC000512965861 340385985 /nfs/dbraw/zinc/38/59/85/340385985.db2.gz DSQRFEIXUCJFJM-UHFFFAOYSA-N 0 3 313.363 4.222 20 0 DIADHN CCOc1cccc(CNCc2ccc(CSC)cc2)c1 ZINC000512977960 340386421 /nfs/dbraw/zinc/38/64/21/340386421.db2.gz VKGCZXMIBVQEFO-UHFFFAOYSA-N 0 3 301.455 4.238 20 0 DIADHN Cc1cc(CCNCc2cccc(Oc3ccccc3)c2)on1 ZINC000512977024 340386565 /nfs/dbraw/zinc/38/65/65/340386565.db2.gz FDQGYZMOXLSVBA-UHFFFAOYSA-N 0 3 308.381 4.108 20 0 DIADHN C[C@H](NCc1cc2c(cccc2F)[nH]1)c1ccc2n[nH]cc2c1 ZINC000512983900 340386801 /nfs/dbraw/zinc/38/68/01/340386801.db2.gz YMIIGHOQHXSBII-NSHDSACASA-N 0 3 308.360 4.034 20 0 DIADHN FC(F)(F)C1(CNCc2cccc(Br)c2)CCC1 ZINC000512989589 340387139 /nfs/dbraw/zinc/38/71/39/340387139.db2.gz XKWRNRQZYFIDCK-UHFFFAOYSA-N 0 3 322.168 4.271 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@H](O)C(C)(C)C1 ZINC000513008004 340388202 /nfs/dbraw/zinc/38/82/02/340388202.db2.gz ZYDBZNFGHZQJJL-HNNXBMFYSA-N 0 3 323.889 4.456 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCC2(C(F)(F)F)CCC2)c1 ZINC000513012185 340388280 /nfs/dbraw/zinc/38/82/80/340388280.db2.gz MVFKDXWGODQFNC-UHFFFAOYSA-N 0 3 323.305 4.419 20 0 DIADHN CC(C)COc1ccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc1 ZINC000513018046 340388757 /nfs/dbraw/zinc/38/87/57/340388757.db2.gz VOEBIVMBJJEENS-HNNXBMFYSA-N 0 3 323.440 4.449 20 0 DIADHN C[C@@H](NCc1cc(F)cc(Cl)c1)c1ccc2n[nH]cc2c1 ZINC000513017781 340388763 /nfs/dbraw/zinc/38/87/63/340388763.db2.gz SUEAEULNVMXMSH-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN CCn1cc(CNCc2ccc(-c3cccc(F)c3)s2)cn1 ZINC000513041724 340390287 /nfs/dbraw/zinc/39/02/87/340390287.db2.gz RQHUQNWMBZQTNT-UHFFFAOYSA-N 0 3 315.417 4.060 20 0 DIADHN C[C@@H]1CCN(CCCOCC(F)(F)F)[C@H](c2ccco2)C1 ZINC000174509221 340390408 /nfs/dbraw/zinc/39/04/08/340390408.db2.gz BNRWEUFWSSWFPT-OLZOCXBDSA-N 0 3 305.340 4.022 20 0 DIADHN COc1ccc(CNCc2ccc3[nH]c(C)cc3c2)cc1Cl ZINC000513074914 340392260 /nfs/dbraw/zinc/39/22/60/340392260.db2.gz JLKACAMTVKIIQB-UHFFFAOYSA-N 0 3 314.816 4.428 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](C)c1ccc(Br)cc1F ZINC000513096300 340393081 /nfs/dbraw/zinc/39/30/81/340393081.db2.gz TVZOUSKDNYUNHT-GHMZBOCLSA-N 0 3 318.230 4.052 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N(C)Cc1ccc(OC)c(O)c1 ZINC000174631935 340393442 /nfs/dbraw/zinc/39/34/42/340393442.db2.gz PHYIUEBFBNBZTR-INIZCTEOSA-N 0 3 303.377 4.123 20 0 DIADHN CC(C)n1cc(CN(Cc2ccc(F)cc2)C(C)(C)C)cn1 ZINC000513105990 340393512 /nfs/dbraw/zinc/39/35/12/340393512.db2.gz FRIOCUDRSYPWKT-UHFFFAOYSA-N 0 3 303.425 4.404 20 0 DIADHN CC[NH+](CC)Cc1ccc(NCc2c(F)ccc([O-])c2F)cc1 ZINC000513111623 340393787 /nfs/dbraw/zinc/39/37/87/340393787.db2.gz IVMABFCXUICQBF-UHFFFAOYSA-N 0 3 320.383 4.124 20 0 DIADHN CC[C@@H](N[C@H](C(=O)NCc1ccccc1)c1ccccc1)C(C)C ZINC000513117821 340394114 /nfs/dbraw/zinc/39/41/14/340394114.db2.gz VNCDSLUAEHITBU-UXHICEINSA-N 0 3 324.468 4.068 20 0 DIADHN Cc1cc2cc(CN3CCC[C@@H](n4cccn4)C3)oc2cc1C ZINC000513138935 340394874 /nfs/dbraw/zinc/39/48/74/340394874.db2.gz LFBYRSJDYCOXTB-QGZVFWFLSA-N 0 3 309.413 4.083 20 0 DIADHN CC[C@@H](CNc1ncc(C(F)(F)F)cc1Cl)N1CCCC1 ZINC000513167287 340395720 /nfs/dbraw/zinc/39/57/20/340395720.db2.gz TWDSWUAFPMFMPR-NSHDSACASA-N 0 3 321.774 4.040 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C1CCC(C)(C)CC1 ZINC000513188755 340396122 /nfs/dbraw/zinc/39/61/22/340396122.db2.gz REWHXUIYHJLDDQ-UHFFFAOYSA-N 0 3 302.462 4.088 20 0 DIADHN CCC1CCC(N(C)Cc2cc(F)cc3c2OCOC3)CC1 ZINC000513192503 340396386 /nfs/dbraw/zinc/39/63/86/340396386.db2.gz LMMVQXHORBXPTG-UHFFFAOYSA-N 0 3 307.409 4.093 20 0 DIADHN CN(Cc1nccn1C(F)F)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000513218845 340397785 /nfs/dbraw/zinc/39/77/85/340397785.db2.gz OKUXFWZYPRWOND-JKSUJKDBSA-N 0 3 319.399 4.436 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCS[C@H](C)CC1 ZINC000513236595 340398784 /nfs/dbraw/zinc/39/87/84/340398784.db2.gz MUEFMUMVJSJWHE-ASNKMWSDSA-N 0 3 305.487 4.064 20 0 DIADHN Cc1cc(CN(C)Cc2ccccc2-c2ccnn2C)ccc1F ZINC000513262377 340399904 /nfs/dbraw/zinc/39/99/04/340399904.db2.gz RZHMYFOCAGQNAB-UHFFFAOYSA-N 0 3 323.415 4.167 20 0 DIADHN CCc1nocc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000513391848 340405406 /nfs/dbraw/zinc/40/54/06/340405406.db2.gz GNMKEJLNUBQPSS-UHFFFAOYSA-N 0 3 304.821 4.270 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000513444219 340407949 /nfs/dbraw/zinc/40/79/49/340407949.db2.gz QSAPUPVTHDYSEC-SFHVURJKSA-N 0 3 322.537 4.172 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(OCCC(C)C)CC2)c1 ZINC000175584151 340415300 /nfs/dbraw/zinc/41/53/00/340415300.db2.gz GCKMWHCTHDHQKO-MRXNPFEDSA-N 0 3 305.462 4.283 20 0 DIADHN CO[C@@H](CN[C@@H](c1ncc[nH]1)C1CCCCC1)c1ccccc1 ZINC000513659629 340417716 /nfs/dbraw/zinc/41/77/16/340417716.db2.gz CQIJKNDMWABSOQ-ZWKOTPCHSA-N 0 3 313.445 4.008 20 0 DIADHN c1c[nH]c([C@@H](N[C@H]2CCc3ccccc3C2)C2CCCCC2)n1 ZINC000513660879 340417718 /nfs/dbraw/zinc/41/77/18/340417718.db2.gz LDJWXKJMSAOBNH-OALUTQOASA-N 0 3 309.457 4.178 20 0 DIADHN Cc1ccnc([C@H](C)NCCSCc2ccccc2F)c1 ZINC000513659055 340417776 /nfs/dbraw/zinc/41/77/76/340417776.db2.gz ARJVYMXYXIMZQO-AWEZNQCLSA-N 0 3 304.434 4.113 20 0 DIADHN Cc1cccnc1CCN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000405014430 340424617 /nfs/dbraw/zinc/42/46/17/340424617.db2.gz PJIOVFWRZAPVSH-MRXNPFEDSA-N 0 3 318.873 4.413 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)NCc1ccc(F)cn1 ZINC000513830124 340427265 /nfs/dbraw/zinc/42/72/65/340427265.db2.gz QBJKSDGMCHPULO-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1ccccc1-n1cccn1 ZINC000513867173 340429536 /nfs/dbraw/zinc/42/95/36/340429536.db2.gz BWAOPPUKGYBJFU-IFXJQAMLSA-N 0 3 321.399 4.350 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc(-n2cccn2)cc1 ZINC000513869909 340429772 /nfs/dbraw/zinc/42/97/72/340429772.db2.gz RPRFTUKPRSLGKT-XOBRGWDASA-N 0 3 321.399 4.350 20 0 DIADHN C[C@@H](N[C@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000177277022 340438623 /nfs/dbraw/zinc/43/86/23/340438623.db2.gz VYWVSTYGQNVIEA-KUHUBIRLSA-N 0 3 305.425 4.051 20 0 DIADHN C[C@H](NC[C@H](CCO)c1ccccc1)c1ccccc1Cl ZINC000177363533 340439784 /nfs/dbraw/zinc/43/97/84/340439784.db2.gz CWBJHXWMSSRQGF-HOCLYGCPSA-N 0 3 303.833 4.157 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@@H](C)Oc2ccc(C)cc2)s1 ZINC000178008189 340446139 /nfs/dbraw/zinc/44/61/39/340446139.db2.gz JMMGWVVUQVNBLZ-OLZOCXBDSA-N 0 3 304.459 4.186 20 0 DIADHN C[C@H](NCc1cc(N(C)C)nc2ccccc12)c1ccsc1 ZINC000178194142 340447672 /nfs/dbraw/zinc/44/76/72/340447672.db2.gz ATSQJFBWONDNNQ-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1ccc2ccccc2n1)C(C)C ZINC000178218461 340447828 /nfs/dbraw/zinc/44/78/28/340447828.db2.gz FGCIJYMTBVHSRX-DNVCBOLYSA-N 0 3 300.446 4.337 20 0 DIADHN CC[C@@H](NC[C@@](C)(O)C1CC1)c1ccc(Cl)cc1Cl ZINC000311551335 340447877 /nfs/dbraw/zinc/44/78/77/340447877.db2.gz PQKHFPSBUCKILI-HUUCEWRRSA-N 0 3 302.245 4.195 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1N[C@H](c1cccs1)C1CCCC1 ZINC000178439032 340449469 /nfs/dbraw/zinc/44/94/69/340449469.db2.gz DTPFFGOIGSLPTR-YTQUADARSA-N 0 3 313.466 4.227 20 0 DIADHN CC(C)[C@@](C)(O)CN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000311893366 340450104 /nfs/dbraw/zinc/45/01/04/340450104.db2.gz BCACNTQKWBJYAT-OTYXRUKQSA-N 0 3 308.224 4.190 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC1=CCCCC1)c1ccsc1 ZINC000517351368 340468333 /nfs/dbraw/zinc/46/83/33/340468333.db2.gz NJLJDZVMMKOUNQ-QGZVFWFLSA-N 0 3 320.502 4.138 20 0 DIADHN Fc1ccc(C2(CNCc3ccccc3OC(F)F)CC2)cc1 ZINC000517537306 340471500 /nfs/dbraw/zinc/47/15/00/340471500.db2.gz NGKKDOBBFXPPGE-UHFFFAOYSA-N 0 3 321.342 4.249 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NCc2cc3ccccc3o2)C1 ZINC000517545390 340471750 /nfs/dbraw/zinc/47/17/50/340471750.db2.gz NZIFTQHAFCKGPQ-VQIMIIECSA-N 0 3 320.436 4.358 20 0 DIADHN FC(F)(F)Oc1ccc(CNC2(c3ccccc3)CC2)cc1 ZINC000517582249 340472825 /nfs/dbraw/zinc/47/28/25/340472825.db2.gz LKMJCSHIBLRRLV-UHFFFAOYSA-N 0 3 307.315 4.364 20 0 DIADHN CC(C)=CCC[C@H](C)CCNCc1nncn1-c1ccccc1 ZINC000517610449 340473580 /nfs/dbraw/zinc/47/35/80/340473580.db2.gz BRUIKVRIZWRVQP-KRWDZBQOSA-N 0 3 312.461 4.130 20 0 DIADHN CC(C)Oc1cccc(CNCCO[C@H]2CCCC[C@H]2C)c1 ZINC000520949447 340477392 /nfs/dbraw/zinc/47/73/92/340477392.db2.gz CKXWHUHDZAYORW-APWZRJJASA-N 0 3 305.462 4.159 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)NCc1ccccc1F ZINC000520948163 340477397 /nfs/dbraw/zinc/47/73/97/340477397.db2.gz YVVAZQHQRIONGK-LBPRGKRZSA-N 0 3 322.221 4.309 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccccc2Cl)N(C)C)cc1F ZINC000520956158 340477730 /nfs/dbraw/zinc/47/77/30/340477730.db2.gz CFFRDDAUOCSSLL-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN CC(C)[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(C(F)(F)F)cc1 ZINC000521115066 340480612 /nfs/dbraw/zinc/48/06/12/340480612.db2.gz TUWYOYKCECSTTB-MRXNPFEDSA-N 0 3 324.346 4.293 20 0 DIADHN COc1ccc(COCCN[C@H]2C[C@H](C)c3ccccc32)cc1 ZINC000564147929 340481641 /nfs/dbraw/zinc/48/16/41/340481641.db2.gz LRCPUHQEWXZGCU-YWZLYKJASA-N 0 3 311.425 4.050 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCc1nccs1 ZINC000183057413 340486385 /nfs/dbraw/zinc/48/63/85/340486385.db2.gz GLQBUYALXSWBTF-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN Cc1cncc([C@H](C)NC[C@@H](C)Oc2cccc(Cl)c2)c1 ZINC000184410685 340492701 /nfs/dbraw/zinc/49/27/01/340492701.db2.gz BBOVSWJUCZEDPF-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1sc([C@@H](C)NCC(C)(C)O)nc1-c1ccc(Cl)cc1 ZINC000184943492 340496633 /nfs/dbraw/zinc/49/66/33/340496633.db2.gz HUDHACRZVWUZPA-SNVBAGLBSA-N 0 3 324.877 4.193 20 0 DIADHN C[C@@H](CN[C@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccccc1 ZINC000185437037 340501026 /nfs/dbraw/zinc/50/10/26/340501026.db2.gz QABSZIVUUUMJAU-YWZLYKJASA-N 0 3 323.415 4.042 20 0 DIADHN CCC(CC)(CC)NCc1nnc(-c2ccc(Cl)cc2)n1C ZINC000186400331 340512252 /nfs/dbraw/zinc/51/22/52/340512252.db2.gz DWCHPMFBCALRIF-UHFFFAOYSA-N 0 3 320.868 4.194 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@H](C)c2cncc(F)c2)cc1 ZINC000186469453 340513271 /nfs/dbraw/zinc/51/32/71/340513271.db2.gz KLRSMJSNBMHXGB-UONOGXRCSA-N 0 3 304.434 4.361 20 0 DIADHN CC[C@H](NCc1cc(COC(C)(C)C)on1)C1CCCCC1 ZINC000186825192 340516287 /nfs/dbraw/zinc/51/62/87/340516287.db2.gz YDOQKFUGZOIIOU-KRWDZBQOSA-N 0 3 308.466 4.438 20 0 DIADHN C[C@@H](NCc1csc(-c2ccccc2)n1)C1CCSCC1 ZINC000187095277 340517735 /nfs/dbraw/zinc/51/77/35/340517735.db2.gz BOWAAXVHLIJLKJ-CYBMUJFWSA-N 0 3 318.511 4.431 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1cccnc1)C1CCSCC1 ZINC000187154705 340518073 /nfs/dbraw/zinc/51/80/73/340518073.db2.gz KYRBWQDJGCIEBS-HNAYVOBHSA-N 0 3 312.482 4.292 20 0 DIADHN CC(C)(C)OCCN1CCC(c2nc3ccccc3s2)CC1 ZINC000521820963 340533704 /nfs/dbraw/zinc/53/37/04/340533704.db2.gz KDCOBTIUSKUORD-UHFFFAOYSA-N 0 3 318.486 4.291 20 0 DIADHN c1ccc(OCCNCc2ccccc2OC2CCCC2)cc1 ZINC000521820364 340533715 /nfs/dbraw/zinc/53/37/15/340533715.db2.gz NOYWERHRTGFHAH-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(Br)c(F)c1 ZINC000222935349 340542282 /nfs/dbraw/zinc/54/22/82/340542282.db2.gz ZQUMHJDQGBJLRW-SSDOTTSWSA-N 0 3 314.120 4.019 20 0 DIADHN CCN(C)c1ccc(CNC2(c3ccccc3)CCCC2)cn1 ZINC000191053949 340549458 /nfs/dbraw/zinc/54/94/58/340549458.db2.gz OWUZWEMCGBHXRC-UHFFFAOYSA-N 0 3 309.457 4.097 20 0 DIADHN CC1(C)CCC[C@@H]1NCC(=O)Nc1cccc(Cl)c1Cl ZINC000191307683 340552587 /nfs/dbraw/zinc/55/25/87/340552587.db2.gz YOQDFKHQHZQERE-LBPRGKRZSA-N 0 3 315.244 4.100 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2csc(Cl)c2)s1 ZINC000191317151 340552774 /nfs/dbraw/zinc/55/27/74/340552774.db2.gz CFBRCJCGPBDKAI-VIFPVBQESA-N 0 3 300.880 4.314 20 0 DIADHN Cc1c([C@@H](C)NC[C@H](C)Oc2ccccc2)cnn1C(C)(C)C ZINC000191366366 340553407 /nfs/dbraw/zinc/55/34/07/340553407.db2.gz RWHJXLJPQKSSIB-LSDHHAIUSA-N 0 3 315.461 4.065 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C)c(F)c1)CCS2 ZINC000191490022 340554656 /nfs/dbraw/zinc/55/46/56/340554656.db2.gz QAZDSARYHYRGSO-QGZVFWFLSA-N 0 3 317.429 4.469 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc2c[nH]nc2c1 ZINC000191677590 340557468 /nfs/dbraw/zinc/55/74/68/340557468.db2.gz QUMFWUSZPLSGRP-CYBMUJFWSA-N 0 3 305.381 4.468 20 0 DIADHN CC[C@@H](NCc1ccc(-n2ccnc2)cc1C)c1cccs1 ZINC000192176726 340563985 /nfs/dbraw/zinc/56/39/85/340563985.db2.gz LXJJENXXTXSFSB-QGZVFWFLSA-N 0 3 311.454 4.483 20 0 DIADHN Clc1ccsc1CNCCCc1nc2ccccc2[nH]1 ZINC000193051519 340571938 /nfs/dbraw/zinc/57/19/38/340571938.db2.gz KWLWOXDULICANZ-UHFFFAOYSA-N 0 3 305.834 4.000 20 0 DIADHN C[C@@H](NCc1cc(Cl)cs1)c1cccc(-n2cccn2)c1 ZINC000193134234 340572890 /nfs/dbraw/zinc/57/28/90/340572890.db2.gz GIFDROPZKAWGNE-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CCCNc1ccc(CN[C@H]2CCc3ccc(OC)cc32)cc1 ZINC000193363402 340576786 /nfs/dbraw/zinc/57/67/86/340576786.db2.gz CMUCHKNBGYTPHT-FQEVSTJZSA-N 0 3 310.441 4.294 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCSC2)c2ccccc2)cc1 ZINC000226375995 340583821 /nfs/dbraw/zinc/58/38/21/340583821.db2.gz LXLZKRUFAXWYQF-KDOFPFPSSA-N 0 3 301.430 4.258 20 0 DIADHN C=Cn1cc(CN[C@@H](Cc2ccccc2)c2cccs2)cn1 ZINC000194319136 340591050 /nfs/dbraw/zinc/59/10/50/340591050.db2.gz QTPFZIWDNPGNFP-KRWDZBQOSA-N 0 3 309.438 4.119 20 0 DIADHN CCN(CC)C(=O)C[C@H](C)NC(c1ccccc1)c1ccccc1 ZINC000194653125 340594399 /nfs/dbraw/zinc/59/43/99/340594399.db2.gz KAKLIUXUKJPHBO-KRWDZBQOSA-N 0 3 324.468 4.013 20 0 DIADHN CC1(C(=O)Nc2cccc(CN3CCCCC3)c2)CCCC1 ZINC000522507334 340602960 /nfs/dbraw/zinc/60/29/60/340602960.db2.gz INYSSXVBUOUWSI-UHFFFAOYSA-N 0 3 300.446 4.191 20 0 DIADHN CSC1(CN[C@@H](c2ccccc2)c2ccc(F)cc2)CC1 ZINC000228024016 340605977 /nfs/dbraw/zinc/60/59/77/340605977.db2.gz NSCOXAJDQYEOFU-KRWDZBQOSA-N 0 3 301.430 4.400 20 0 DIADHN CC(C)Oc1ccc(CNCCOC2CCCCCC2)cc1 ZINC000522842350 340607946 /nfs/dbraw/zinc/60/79/46/340607946.db2.gz ROUFXOHXXIDTON-UHFFFAOYSA-N 0 3 305.462 4.303 20 0 DIADHN C[C@H](N[C@H]1CCN(Cc2ccccc2)C1)c1csc(Cl)c1 ZINC000230406999 340620023 /nfs/dbraw/zinc/62/00/23/340620023.db2.gz QKQHBBXCLLVQJW-BBRMVZONSA-N 0 3 320.889 4.327 20 0 DIADHN COc1ccc(OC)c(CN[C@H](C)Cc2ccc(C)cc2C)c1 ZINC000303357480 340635088 /nfs/dbraw/zinc/63/50/88/340635088.db2.gz PEBWUNLFFHUNKS-MRXNPFEDSA-N 0 3 313.441 4.041 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1ccc(-c2cccc(F)c2C)o1 ZINC000523740804 340636943 /nfs/dbraw/zinc/63/69/43/340636943.db2.gz FYGSPHJFFZGOGB-ORAYPTAESA-N 0 3 319.420 4.281 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1ccc(-c2cccc(F)c2C)o1 ZINC000523740802 340636990 /nfs/dbraw/zinc/63/69/90/340636990.db2.gz FYGSPHJFFZGOGB-BFUOFWGJSA-N 0 3 319.420 4.281 20 0 DIADHN CC(C)(C)OC(=O)C1CCN([C@H]2CCCc3ccccc32)CC1 ZINC000524596367 340658646 /nfs/dbraw/zinc/65/86/46/340658646.db2.gz AVTGMRXQDCSIHD-SFHVURJKSA-N 0 3 315.457 4.118 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc3c(c2)OCO3)cc1Cl ZINC000235522392 340660471 /nfs/dbraw/zinc/66/04/71/340660471.db2.gz ZHTJJTCDYXMSNS-LBPRGKRZSA-N 0 3 303.789 4.228 20 0 DIADHN CC(C)(CNCc1ccco1)c1cccc(Br)c1 ZINC000236740777 340664428 /nfs/dbraw/zinc/66/44/28/340664428.db2.gz GWRRQNOIELERJY-UHFFFAOYSA-N 0 3 308.219 4.110 20 0 DIADHN CNCc1ccccc1NC(=O)CCCc1ccc(Cl)cc1 ZINC000237115505 340668222 /nfs/dbraw/zinc/66/82/22/340668222.db2.gz GCVPKUKZKUUINT-UHFFFAOYSA-N 0 3 316.832 4.021 20 0 DIADHN CNCc1ccccc1NC(=O)[C@H]1C[C@@H]1c1ccc(C(C)C)cc1 ZINC000237156679 340668260 /nfs/dbraw/zinc/66/82/60/340668260.db2.gz MBZDKCSHYYDIRV-MOPGFXCFSA-N 0 3 322.452 4.272 20 0 DIADHN COC[C@@H](C)N[C@H](C)c1ccc(OCc2cccc(C)c2)cc1 ZINC000237169588 340668478 /nfs/dbraw/zinc/66/84/78/340668478.db2.gz BFQCDLGEQSKCEC-IAGOWNOFSA-N 0 3 313.441 4.260 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1ccc(OCc2cccc(C)c2)cc1 ZINC000237168862 340668480 /nfs/dbraw/zinc/66/84/80/340668480.db2.gz BFQCDLGEQSKCEC-SJORKVTESA-N 0 3 313.441 4.260 20 0 DIADHN Cc1ccc(CNC[C@@H](c2ccco2)N2CCCCC2)cc1F ZINC000237775278 340673791 /nfs/dbraw/zinc/67/37/91/340673791.db2.gz KIAJFAUHIQMIOF-SFHVURJKSA-N 0 3 316.420 4.044 20 0 DIADHN Cc1ccc(F)c(CNC[C@H](c2ccco2)N2CCCCC2)c1 ZINC000237862466 340674536 /nfs/dbraw/zinc/67/45/36/340674536.db2.gz HDGVWXROLQWWGK-GOSISDBHSA-N 0 3 316.420 4.044 20 0 DIADHN Cc1cc([C@@H](C)Nc2cccc(OCCN(C)C)c2)c(C)o1 ZINC000315629775 340676081 /nfs/dbraw/zinc/67/60/81/340676081.db2.gz XRGDSBPSMWBXBY-CQSZACIVSA-N 0 3 302.418 4.010 20 0 DIADHN CCC(O)(CC)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000315843093 340677117 /nfs/dbraw/zinc/67/71/17/340677117.db2.gz HSWFPTRURBBCLZ-SFHVURJKSA-N 0 3 301.405 4.056 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC)c2ccccc2OC)C12CCC2 ZINC000315902428 340677327 /nfs/dbraw/zinc/67/73/27/340677327.db2.gz NKYXSTRCGSHUJS-CGTJXYLNSA-N 0 3 303.446 4.084 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccccc2C(F)(F)F)C12CCC2 ZINC000316011652 340677712 /nfs/dbraw/zinc/67/77/12/340677712.db2.gz ZFAVVIHTWYGAFU-GJZGRUSLSA-N 0 3 313.363 4.143 20 0 DIADHN Fc1ccc2c(c1)[nH]cc2C1CCN(CCC(F)(F)F)CC1 ZINC000524907597 340684621 /nfs/dbraw/zinc/68/46/21/340684621.db2.gz WAIXLNPNYKOPQX-UHFFFAOYSA-N 0 3 314.326 4.439 20 0 DIADHN Clc1ccc(C2(NCc3cccs3)CCOCC2)cc1 ZINC000525183936 340694060 /nfs/dbraw/zinc/69/40/60/340694060.db2.gz PDZQTUWTGUAFAB-UHFFFAOYSA-N 0 3 307.846 4.197 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cnc4c(C)cccn34)cc2c1C ZINC000525189625 340694176 /nfs/dbraw/zinc/69/41/76/340694176.db2.gz NDJHRJHRYGFNGB-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN CCCN(CCC)CC(=O)N(Cc1cccc(F)c1)C(C)(C)C ZINC000525275482 340698664 /nfs/dbraw/zinc/69/86/64/340698664.db2.gz QCRVWHVJEOYOKH-UHFFFAOYSA-N 0 3 322.468 4.075 20 0 DIADHN CSc1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)cc1 ZINC000572531094 340712026 /nfs/dbraw/zinc/71/20/26/340712026.db2.gz COKAEEZGJLYKRY-MJGOQNOKSA-N 0 3 313.466 4.418 20 0 DIADHN COc1ccc([C@H](N[C@H]2CS[C@H](C)C2)c2ccccc2)cc1 ZINC000397935866 340715010 /nfs/dbraw/zinc/71/50/10/340715010.db2.gz NWAQFPSSDUABRV-LSBZLQRGSA-N 0 3 313.466 4.268 20 0 DIADHN OCc1ccc(F)c(CN[C@H](CC2CCC2)c2ccccc2)c1 ZINC000528442587 340719288 /nfs/dbraw/zinc/71/92/88/340719288.db2.gz ZNEVRVMKKKXJQP-HXUWFJFHSA-N 0 3 313.416 4.339 20 0 DIADHN CC(C)(NCc1cccnc1C(F)(F)F)c1cccs1 ZINC000528445855 340719387 /nfs/dbraw/zinc/71/93/87/340719387.db2.gz BVVCZNZNXZHZDJ-UHFFFAOYSA-N 0 3 300.349 4.187 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(-c2cccs2)cc1 ZINC000537917307 340723206 /nfs/dbraw/zinc/72/32/06/340723206.db2.gz MYUUVPKJPHNRPD-LSDHHAIUSA-N 0 3 311.454 4.351 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@@H]1CCCC12CC2 ZINC000528867979 340737561 /nfs/dbraw/zinc/73/75/61/340737561.db2.gz YIRQLTIEBIHATA-KRWDZBQOSA-N 0 3 312.457 4.110 20 0 DIADHN C[C@H](N[C@H](c1cccc(F)c1)c1ccccn1)C1CC(F)(F)C1 ZINC000529542847 340761666 /nfs/dbraw/zinc/76/16/66/340761666.db2.gz LLOWOXPBWQVTPJ-YVEFUNNKSA-N 0 3 320.358 4.334 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(F)cc1Cl ZINC000037218152 340774863 /nfs/dbraw/zinc/77/48/63/340774863.db2.gz UVEBTKKVXNAYPN-ABAIWWIYSA-N 0 3 310.800 4.026 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1ccccc1Br ZINC000037276867 340783704 /nfs/dbraw/zinc/78/37/04/340783704.db2.gz BJOUSBXMARXFMZ-UGFHNGPFSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1ccccc1CNCc1ccc(F)c(Br)c1 ZINC000037486705 340785537 /nfs/dbraw/zinc/78/55/37/340785537.db2.gz FQHHVYQNWWYMTI-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN COCCC[C@H](Cc1ccccc1)NCc1ccc(F)cc1F ZINC000530278913 340786116 /nfs/dbraw/zinc/78/61/16/340786116.db2.gz FWGFNPVFQJEPLN-GOSISDBHSA-N 0 3 319.395 4.092 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@@H]2N[C@@H]1CCSC1 ZINC000530366258 340789558 /nfs/dbraw/zinc/78/95/58/340789558.db2.gz KOJQFRVYAGMQBM-ZBFHGGJFSA-N 0 3 303.471 4.308 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@@H](C)N[C@H]1CCSC1 ZINC000530371552 340789641 /nfs/dbraw/zinc/78/96/41/340789641.db2.gz HTOGDRJCKKVKNG-PWSUYJOCSA-N 0 3 322.474 4.414 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccc(Cl)cc1 ZINC000537953700 340792958 /nfs/dbraw/zinc/79/29/58/340792958.db2.gz FQLZPENROHUMSX-SCLBCKFNSA-N 0 3 300.833 4.177 20 0 DIADHN Cc1cc2cc([C@@H](C)NCCOCC(F)(F)F)oc2cc1C ZINC000537972213 340794717 /nfs/dbraw/zinc/79/47/17/340794717.db2.gz ASZAIBYDHVCVAU-GFCCVEGCSA-N 0 3 315.335 4.279 20 0 DIADHN CCN(Cc1ccccc1)C(=O)CN[C@@H](C)c1cccc(C)c1C ZINC000537973239 340794750 /nfs/dbraw/zinc/79/47/50/340794750.db2.gz ATTSPTXXVFBHKR-SFHVURJKSA-N 0 3 324.468 4.003 20 0 DIADHN COc1cc(CN[C@H]2CCc3cc(F)ccc32)c2ccccc2n1 ZINC000124799453 340800982 /nfs/dbraw/zinc/80/09/82/340800982.db2.gz YGKRGHIOOQPXJO-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)NCc1cc(C)no1 ZINC000125683466 340805390 /nfs/dbraw/zinc/80/53/90/340805390.db2.gz WXFSDWQEQSYCBU-CYBMUJFWSA-N 0 3 310.850 4.297 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCOc1cccc2ccccc21 ZINC000537987914 340805827 /nfs/dbraw/zinc/80/58/27/340805827.db2.gz UCXPIMIFFDJBOI-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cccc(OC(F)F)c1 ZINC000538003550 340806909 /nfs/dbraw/zinc/80/69/09/340806909.db2.gz ZXBJBFHFYLMAAW-LBPRGKRZSA-N 0 3 306.356 4.096 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(F)c(OC)c1 ZINC000538113400 340809691 /nfs/dbraw/zinc/80/96/91/340809691.db2.gz FXAWMGVMECUJCD-OLZOCXBDSA-N 0 3 302.393 4.204 20 0 DIADHN CCC(CC)[C@H](CCN[C@H](C)c1nc2ccccc2n1C)OC ZINC000538117543 340810337 /nfs/dbraw/zinc/81/03/37/340810337.db2.gz XINXKSLPVHCKGV-KDOFPFPSSA-N 0 3 317.477 4.065 20 0 DIADHN C[C@H](NCC[S@@](=O)c1ccccc1)c1cccc2ccccc21 ZINC000538140228 340811090 /nfs/dbraw/zinc/81/10/90/340811090.db2.gz FJFJTFUBULTAEO-QMHKHESXSA-N 0 3 323.461 4.298 20 0 DIADHN CC[C@@H](NCC1(c2cccc(C)c2)CCCC1)c1nccn1C ZINC000538216788 340813713 /nfs/dbraw/zinc/81/37/13/340813713.db2.gz BZHOTWGXVWAUIG-GOSISDBHSA-N 0 3 311.473 4.281 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccc(Cl)cc2)no1)C(C)(C)C ZINC000538389877 340819970 /nfs/dbraw/zinc/81/99/70/340819970.db2.gz KSCOHWBBXZOKKI-CYBMUJFWSA-N 0 3 307.825 4.304 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1ncoc1-c1ccccc1 ZINC000538393838 340820470 /nfs/dbraw/zinc/82/04/70/340820470.db2.gz HGOQVHBWBVPYLZ-DLBZAZTESA-N 0 3 314.429 4.025 20 0 DIADHN c1ccc([C@H](NCc2ccc3c(c2)OCCCO3)C2CC2)cc1 ZINC000126085569 340822509 /nfs/dbraw/zinc/82/25/09/340822509.db2.gz LBJBTKKYIDRPRZ-FQEVSTJZSA-N 0 3 309.409 4.089 20 0 DIADHN CC(C)[C@@H](NCCC(=O)NC(C)(C)C)c1cc2ccccc2o1 ZINC000538458476 340824609 /nfs/dbraw/zinc/82/46/09/340824609.db2.gz GZNVZSNIIHWETM-GOSISDBHSA-N 0 3 316.445 4.024 20 0 DIADHN CCC(=O)N1CC[C@@H](N[C@H](C)c2cccc(-c3ccccc3)c2)C1 ZINC000126360632 340826346 /nfs/dbraw/zinc/82/63/46/340826346.db2.gz LPOMWXSNKJMYJW-OXQOHEQNSA-N 0 3 322.452 4.015 20 0 DIADHN COCCCCCN[C@@H](C)c1ccc(Br)cc1F ZINC000126435080 340827018 /nfs/dbraw/zinc/82/70/18/340827018.db2.gz RGWNZUIDDMDSAX-NSHDSACASA-N 0 3 318.230 4.056 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccc(Cl)s1 ZINC000538487536 340827673 /nfs/dbraw/zinc/82/76/73/340827673.db2.gz LCAOCNAFDDVPEW-BONVTDFDSA-N 0 3 313.825 4.406 20 0 DIADHN C[C@H](Nc1cccc(Cl)c1)c1ccc(OCCN(C)C)cc1 ZINC000538524292 340831236 /nfs/dbraw/zinc/83/12/36/340831236.db2.gz LSRDPEWJDCAFRQ-AWEZNQCLSA-N 0 3 318.848 4.454 20 0 DIADHN C[C@@H](NCC1=CCCOC1)c1ccccc1Oc1ccccc1 ZINC000126936331 340839908 /nfs/dbraw/zinc/83/99/08/340839908.db2.gz BBFBPDSQYOITLG-MRXNPFEDSA-N 0 3 309.409 4.476 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2cccc(C)c2C)cc1 ZINC000127037270 340841870 /nfs/dbraw/zinc/84/18/70/340841870.db2.gz AWYHDSYPMQLPNM-KRWDZBQOSA-N 0 3 312.457 4.213 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1cc(F)ccc1F ZINC000127456524 340851301 /nfs/dbraw/zinc/85/13/01/340851301.db2.gz KZTIUBVPAULYQV-ZFWWWQNUSA-N 0 3 309.400 4.497 20 0 DIADHN Cn1ccnc1[C@H](NCCc1cc(Cl)cc(Cl)c1)C1CC1 ZINC000127633959 340854374 /nfs/dbraw/zinc/85/43/74/340854374.db2.gz SIAQCMFYGVXRDR-OAHLLOKOSA-N 0 3 324.255 4.010 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCc1nc(C)c(C)s1 ZINC000127793973 340856431 /nfs/dbraw/zinc/85/64/31/340856431.db2.gz SENGHVBFXNQIBI-LLVKDONJSA-N 0 3 324.877 4.315 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000539042039 340866483 /nfs/dbraw/zinc/86/64/83/340866483.db2.gz BJWNIDLUTUWSTM-OALUTQOASA-N 0 3 315.844 4.213 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)[C@@H](OC)c2ccc(F)cc2)cc1 ZINC000539049686 340867550 /nfs/dbraw/zinc/86/75/50/340867550.db2.gz VZHVVSVKMVRLSR-IQUTYRLHSA-N 0 3 317.404 4.261 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)[C@@H](OC)c2ccc(F)cc2)cc1 ZINC000539049685 340867637 /nfs/dbraw/zinc/86/76/37/340867637.db2.gz VZHVVSVKMVRLSR-CKFHNAJUSA-N 0 3 317.404 4.261 20 0 DIADHN CC[C@@H](NCCOc1ncccc1F)c1ccc(Cl)s1 ZINC000539050462 340867731 /nfs/dbraw/zinc/86/77/31/340867731.db2.gz GBQGPJKGAMOFRI-LLVKDONJSA-N 0 3 314.813 4.055 20 0 DIADHN C[C@H](NCCSCC(F)(F)F)c1cc2ccccc2o1 ZINC000539054031 340868328 /nfs/dbraw/zinc/86/83/28/340868328.db2.gz KUXPOZZYMULWGI-JTQLQIEISA-N 0 3 303.349 4.379 20 0 DIADHN COc1ccc([C@@H](C)NCCCSCC(C)C)c(OC)c1 ZINC000539055227 340868576 /nfs/dbraw/zinc/86/85/76/340868576.db2.gz YERVKXGZOHXKHG-CQSZACIVSA-N 0 3 311.491 4.134 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3ccc(C)cc32)c(C)c1 ZINC000539058209 340868935 /nfs/dbraw/zinc/86/89/35/340868935.db2.gz OXOLUSYQDGEHMO-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN CN(C)c1ccccc1CN[C@@H]1CCc2c1cccc2Cl ZINC000539070445 340870337 /nfs/dbraw/zinc/87/03/37/340870337.db2.gz KODCRHNXXCACJX-QGZVFWFLSA-N 0 3 300.833 4.183 20 0 DIADHN C[C@H](NC1CCC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000539071941 340870428 /nfs/dbraw/zinc/87/04/28/340870428.db2.gz ZXIISPDLZHIKMS-QMMMGPOBSA-N 0 3 307.287 4.093 20 0 DIADHN COc1ccc([C@H](C)NCCc2cccc(F)c2F)c(F)c1 ZINC000539079292 340871192 /nfs/dbraw/zinc/87/11/92/340871192.db2.gz XLABRIQNQGIDTM-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)[C@@H](C)OC)ccc1OCCC(C)C ZINC000539090676 340872567 /nfs/dbraw/zinc/87/25/67/340872567.db2.gz BBKARIDNMGWTBF-HRCADAONSA-N 0 3 323.477 4.194 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1Cl)c1ccoc1 ZINC000539092582 340872763 /nfs/dbraw/zinc/87/27/63/340872763.db2.gz CWPQHEONARSXIG-SNVBAGLBSA-N 0 3 300.185 4.316 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(Cl)cc2Cl)cc1 ZINC000067979316 340875355 /nfs/dbraw/zinc/87/53/55/340875355.db2.gz NYIQEOHZDXAQGD-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(C(F)(F)F)cc2)cc1 ZINC000067980030 340875454 /nfs/dbraw/zinc/87/54/54/340875454.db2.gz AXSOWVJUCGBEDV-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nc3ccccc3n2C)c(C)c1 ZINC000539200789 340875992 /nfs/dbraw/zinc/87/59/92/340875992.db2.gz YXXGMQOXOXVERJ-MRXNPFEDSA-N 0 3 307.441 4.083 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000539214121 340876502 /nfs/dbraw/zinc/87/65/02/340876502.db2.gz ZLRHLXALPSSDMK-TZMCWYRMSA-N 0 3 323.868 4.083 20 0 DIADHN Cc1cc([C@H](NCc2ccc3c(c2)COC3)C2CC2)ccc1F ZINC000539216750 340876844 /nfs/dbraw/zinc/87/68/44/340876844.db2.gz JHWOFFVOCKOHKQ-HXUWFJFHSA-N 0 3 311.400 4.405 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](c1ccccc1C)C(C)C ZINC000539263486 340879012 /nfs/dbraw/zinc/87/90/12/340879012.db2.gz VZFPABZBOSXUAJ-NRFANRHFSA-N 0 3 324.468 4.483 20 0 DIADHN CCN(C(=O)CN[C@@H](C)c1cccc(C)c1C)c1ccccc1 ZINC000539285501 340879275 /nfs/dbraw/zinc/87/92/75/340879275.db2.gz CEKSDFSEODTYFH-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN CC[C@H](CSC)N[C@H](COC)c1cccc(C(F)(F)F)c1 ZINC000539297261 340879920 /nfs/dbraw/zinc/87/99/20/340879920.db2.gz QCKITSGILKMMRQ-ZIAGYGMSSA-N 0 3 321.408 4.124 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCCc2cc(F)ccc21 ZINC000539311953 340880518 /nfs/dbraw/zinc/88/05/18/340880518.db2.gz GOOHWPAYXYFNAL-RTBURBONSA-N 0 3 311.400 4.489 20 0 DIADHN CC(C)COc1ccc(C(C)(C)NCCC(F)(F)F)cc1 ZINC000539316844 340880691 /nfs/dbraw/zinc/88/06/91/340880691.db2.gz IZSPJHDPUWTJIC-UHFFFAOYSA-N 0 3 303.368 4.499 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@]3(C)CCCC[C@@H]3O)oc21 ZINC000539495114 340887165 /nfs/dbraw/zinc/88/71/65/340887165.db2.gz DZKADBHLPIUYOW-IXDGSTSKSA-N 0 3 317.429 4.033 20 0 DIADHN Cc1cccc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c1C ZINC000539651329 340894299 /nfs/dbraw/zinc/89/42/99/340894299.db2.gz NTZBQIOUGQICLA-OAHLLOKOSA-N 0 3 309.409 4.372 20 0 DIADHN CCCc1ccc(CNC2(c3ccccc3)CCOCC2)cc1 ZINC000539791413 340899814 /nfs/dbraw/zinc/89/98/14/340899814.db2.gz NFLRDJWDFCQWJW-UHFFFAOYSA-N 0 3 309.453 4.435 20 0 DIADHN c1c2ccccc2oc1CN[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000540068839 340914175 /nfs/dbraw/zinc/91/41/75/340914175.db2.gz UQAYBSHFXDICED-PXNSSMCTSA-N 0 3 307.393 4.300 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2ccccc2C(F)(F)F)c(C)o1 ZINC000540084046 340914398 /nfs/dbraw/zinc/91/43/98/340914398.db2.gz XLBQGOUPSYGRFU-JTQLQIEISA-N 0 3 312.335 4.204 20 0 DIADHN CCCC[C@@H](NCc1ccc(CO)c(F)c1)c1ccccc1 ZINC000540150781 340917644 /nfs/dbraw/zinc/91/76/44/340917644.db2.gz JWUPUENQPRYSTO-LJQANCHMSA-N 0 3 301.405 4.339 20 0 DIADHN COCC[C@@H](NCc1ccc(OC)cc1C)c1ccc(F)cc1 ZINC000540160049 340919017 /nfs/dbraw/zinc/91/90/17/340919017.db2.gz RMGNALDGWOLECA-LJQANCHMSA-N 0 3 317.404 4.010 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000540172167 340920378 /nfs/dbraw/zinc/92/03/78/340920378.db2.gz QEKGRGBYZWQUAW-QMTHXVAHSA-N 0 3 321.770 4.274 20 0 DIADHN COC[C@H](N[C@@H](C)Cc1ccccc1C)c1ccc(F)cc1 ZINC000540186211 340921843 /nfs/dbraw/zinc/92/18/43/340921843.db2.gz OPPDCNSGQHGSNP-KXBFYZLASA-N 0 3 301.405 4.042 20 0 DIADHN Cc1ccncc1[C@H](C)NCCOc1cccc(C(F)(F)F)c1 ZINC000540190662 340922328 /nfs/dbraw/zinc/92/23/28/340922328.db2.gz KBXZGNYZSNCRBV-ZDUSSCGKSA-N 0 3 324.346 4.138 20 0 DIADHN Fc1ccc(NC2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC000540290033 340925570 /nfs/dbraw/zinc/92/55/70/340925570.db2.gz CUAVPUVOLYHODA-UHFFFAOYSA-N 0 3 321.399 4.455 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C)cc2OC)C(C)C)cc1 ZINC000540313466 340926221 /nfs/dbraw/zinc/92/62/21/340926221.db2.gz RILABLKLZPJSRO-HXUWFJFHSA-N 0 3 313.441 4.499 20 0 DIADHN COc1ccc([C@H](NCc2cc(F)cc(OC)c2)C(C)C)cc1 ZINC000540314708 340926400 /nfs/dbraw/zinc/92/64/00/340926400.db2.gz VNNUBBWJWRLUPD-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN CC(C)[C@H](NCCn1cccn1)c1ccc(Cl)c(Cl)c1 ZINC000540316077 340926605 /nfs/dbraw/zinc/92/66/05/340926605.db2.gz QBKSAQUETAYSGV-HNNXBMFYSA-N 0 3 312.244 4.177 20 0 DIADHN CC[C@H](NCC(=O)Nc1cc(C)ccc1C)c1ccc(C)cc1 ZINC000540360740 340928435 /nfs/dbraw/zinc/92/84/35/340928435.db2.gz ZSQFTQFUAGTADJ-SFHVURJKSA-N 0 3 310.441 4.291 20 0 DIADHN CCOC(=O)CCCCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000540415325 340930318 /nfs/dbraw/zinc/93/03/18/340930318.db2.gz ZZRAPMFPRNAKBY-NSHDSACASA-N 0 3 318.244 4.377 20 0 DIADHN CC[C@@H](N[C@H](C)c1ncc(-c2ccccc2)o1)C1CCOCC1 ZINC000540520271 340934728 /nfs/dbraw/zinc/93/47/28/340934728.db2.gz DGRDVHATNCGKPG-RHSMWYFYSA-N 0 3 314.429 4.197 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(Cl)cc1Cl)C(C)(C)C ZINC000540589482 340936639 /nfs/dbraw/zinc/93/66/39/340936639.db2.gz ZAYVTJAIVWYFGI-CYBMUJFWSA-N 0 3 317.260 4.346 20 0 DIADHN Cn1ccc(CN[C@@H]2CCC[C@@H]2c2ccc(Cl)c(Cl)c2)n1 ZINC000540606677 340938301 /nfs/dbraw/zinc/93/83/01/340938301.db2.gz POYRYDROYVYKEK-CZUORRHYSA-N 0 3 324.255 4.153 20 0 DIADHN CCOc1cc(Cl)ccc1CN[C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC000540609175 340938788 /nfs/dbraw/zinc/93/87/88/340938788.db2.gz GCAUAYAZQRHOOG-DJIMGWMZSA-N 0 3 323.864 4.032 20 0 DIADHN COc1cc(F)c([C@@H](C)NCCCc2ccsc2)cc1OC ZINC000541195355 340961173 /nfs/dbraw/zinc/96/11/73/340961173.db2.gz NVSDFHQZBDVQGL-GFCCVEGCSA-N 0 3 323.433 4.188 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(Cn3cccn3)c2)c(C)c1 ZINC000129460809 340962255 /nfs/dbraw/zinc/96/22/55/340962255.db2.gz MQRGMRTVMKKYED-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3c(s2)CCCC3)cc1 ZINC000129497974 340966334 /nfs/dbraw/zinc/96/63/34/340966334.db2.gz MYRIWAHCTMEOHM-CYBMUJFWSA-N 0 3 301.455 4.486 20 0 DIADHN C[C@@]1(NCc2c(Cl)oc3ccccc32)CCO[C@H]1C1CC1 ZINC000541382484 340968996 /nfs/dbraw/zinc/96/89/96/340968996.db2.gz DKGLJUKRRMLOTC-DOTOQJQBSA-N 0 3 305.805 4.133 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccc(SC)c(Cl)c1 ZINC000541560947 340974731 /nfs/dbraw/zinc/97/47/31/340974731.db2.gz XOMUWONAQIUPCC-SNVBAGLBSA-N 0 3 314.882 4.167 20 0 DIADHN C[C@@H](NCc1nc(-c2ccoc2)no1)[C@H](C)C1CCCCC1 ZINC000541601960 340977312 /nfs/dbraw/zinc/97/73/12/340977312.db2.gz YBCBPGBWXBKZSZ-QWHCGFSZSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)COC[C@@H](NCCCc1ccsc1)c1ccco1 ZINC000541627450 340978321 /nfs/dbraw/zinc/97/83/21/340978321.db2.gz XKLYXHPWVGTZCV-MRXNPFEDSA-N 0 3 307.459 4.277 20 0 DIADHN CC(C)COC[C@@H](NC[C@@H](C)c1ccccc1)c1ccco1 ZINC000541626714 340978381 /nfs/dbraw/zinc/97/83/81/340978381.db2.gz DEERAJZILPPOML-SJLPKXTDSA-N 0 3 301.430 4.387 20 0 DIADHN CC(C)C[C@@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1cccs1 ZINC000541627060 340978395 /nfs/dbraw/zinc/97/83/95/340978395.db2.gz RSBFMDCAFUZNQW-JKSUJKDBSA-N 0 3 324.534 4.459 20 0 DIADHN Clc1ccc(CNCCOC2CCCCC2)cc1Cl ZINC000070168120 340989409 /nfs/dbraw/zinc/98/94/09/340989409.db2.gz PXGUBLJQFHCZMB-UHFFFAOYSA-N 0 3 302.245 4.432 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CCCc2c(Cl)cccc21)c1ccco1 ZINC000541746594 340982332 /nfs/dbraw/zinc/98/23/32/340982332.db2.gz JXVRRZDOCZKRAR-SJORKVTESA-N 0 3 318.848 4.203 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCCc2c(Cl)cccc21)c1ccco1 ZINC000541746589 340982369 /nfs/dbraw/zinc/98/23/69/340982369.db2.gz JXVRRZDOCZKRAR-DLBZAZTESA-N 0 3 318.848 4.203 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@@H](C)c1cncs1 ZINC000152616676 340982669 /nfs/dbraw/zinc/98/26/69/340982669.db2.gz MUZATMODQJIZNG-LBPRGKRZSA-N 0 3 310.850 4.225 20 0 DIADHN Cn1cc([C@H](NC/C=C/c2ccc(F)cc2F)C(C)(C)C)cn1 ZINC000541776564 340983822 /nfs/dbraw/zinc/98/38/22/340983822.db2.gz ZKZWIWGKRAUKTE-RTRPANQVSA-N 0 3 319.399 4.089 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2ccc(OC(C)C)cc2)no1 ZINC000541844591 340985339 /nfs/dbraw/zinc/98/53/39/340985339.db2.gz VJUYPNPATJFGKK-RTBURBONSA-N 0 3 314.429 4.196 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H]1CCC(C)(C)C1 ZINC000541959063 340991340 /nfs/dbraw/zinc/99/13/40/340991340.db2.gz XWJIECTUFWZVLF-KRWDZBQOSA-N 0 3 314.473 4.356 20 0 DIADHN CCCC1CCC(NCc2ccc(Br)cn2)CC1 ZINC000070396548 340994598 /nfs/dbraw/zinc/99/45/98/340994598.db2.gz RLMJTLVPSWBGSB-UHFFFAOYSA-N 0 3 311.267 4.293 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1nc(C2CC2)cs1 ZINC000542278720 341003147 /nfs/dbraw/zinc/00/31/47/341003147.db2.gz JPESEGHMQQABNM-PBHICJAKSA-N 0 3 312.482 4.474 20 0 DIADHN C[C@H](CN(C)CCCOc1cccc(Cl)c1)c1nccs1 ZINC000542308809 341004020 /nfs/dbraw/zinc/00/40/20/341004020.db2.gz SABOLEWIPXYZIV-CYBMUJFWSA-N 0 3 324.877 4.301 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc(-c4ccccc4)no3)C2)cc1 ZINC000542318999 341004573 /nfs/dbraw/zinc/00/45/73/341004573.db2.gz ATNVEAPVIOSBPU-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000542318881 341004599 /nfs/dbraw/zinc/00/45/99/341004599.db2.gz AOOITBZYWWVASN-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN CC(C)(NCc1cc(-n2ccnc2)cs1)c1cccc(F)c1 ZINC000542320336 341004786 /nfs/dbraw/zinc/00/47/86/341004786.db2.gz GOOGOCOMIKSIPM-UHFFFAOYSA-N 0 3 315.417 4.098 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N1CC[C@H](C(C)(C)C)C1 ZINC000542320368 341004906 /nfs/dbraw/zinc/00/49/06/341004906.db2.gz QBPJFVMMWWWDDZ-RYUDHWBXSA-N 0 3 305.447 4.227 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000542322013 341005002 /nfs/dbraw/zinc/00/50/02/341005002.db2.gz FJXZMRBYKAVNJG-ZDUSSCGKSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3nc4ccc(F)cc4o3)C2)c1 ZINC000542323097 341005223 /nfs/dbraw/zinc/00/52/23/341005223.db2.gz ZXVSTYVQMCOEOF-OAHLLOKOSA-N 0 3 310.372 4.265 20 0 DIADHN C[C@H]1CN(Cc2cc(-n3ccnc3)cs2)[C@@H]1c1ccccc1 ZINC000542467124 341008428 /nfs/dbraw/zinc/00/84/28/341008428.db2.gz WODHPMUUUUZWFO-KSSFIOAISA-N 0 3 309.438 4.127 20 0 DIADHN Cc1cc(CN(C)CCOCc2ccccc2)cc2cccnc12 ZINC000542465479 341008558 /nfs/dbraw/zinc/00/85/58/341008558.db2.gz CFLOLUBSIYHIMA-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN Fc1ccccc1OC[C@@H](N[C@@H]1CCSC1)c1ccccc1 ZINC000542470212 341008730 /nfs/dbraw/zinc/00/87/30/341008730.db2.gz MYBMONMJFISLAT-NVXWUHKLSA-N 0 3 317.429 4.041 20 0 DIADHN Fc1ccc([C@@H](NCC2CCSCC2)c2ccccn2)cc1 ZINC000542474984 341008923 /nfs/dbraw/zinc/00/89/23/341008923.db2.gz QZBLWYIRUZKUBM-GOSISDBHSA-N 0 3 316.445 4.043 20 0 DIADHN C[C@@H](CN[C@@H](c1ccco1)c1ccccc1)N1CCCC[C@H]1C ZINC000542480583 341009292 /nfs/dbraw/zinc/00/92/92/341009292.db2.gz HEUJXDABURYNKU-FUHIMQAGSA-N 0 3 312.457 4.222 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccccc2F)c2cccnc2)cc1 ZINC000542481209 341009311 /nfs/dbraw/zinc/00/93/11/341009311.db2.gz XKAZSVAOGYXXQW-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN C[C@@H](CN[C@H](c1ccco1)c1ccccc1)N1CCCC[C@H]1C ZINC000542480588 341009342 /nfs/dbraw/zinc/00/93/42/341009342.db2.gz HEUJXDABURYNKU-UWVAXJGDSA-N 0 3 312.457 4.222 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccccc2)c2ccccn2)cc1 ZINC000542480181 341009344 /nfs/dbraw/zinc/00/93/44/341009344.db2.gz WWNBAZIEBIKOAC-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000542483650 341009557 /nfs/dbraw/zinc/00/95/57/341009557.db2.gz SGDBSYWEFPJNSD-HUUCEWRRSA-N 0 3 317.404 4.381 20 0 DIADHN CS[C@@H](CNCc1c(C2CC2)nc2sccn12)C(C)(C)C ZINC000542484296 341009657 /nfs/dbraw/zinc/00/96/57/341009657.db2.gz BQPDBTRHBVCKKJ-ZDUSSCGKSA-N 0 3 323.531 4.141 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1cccc(OC2CCCC2)c1 ZINC000542489033 341010000 /nfs/dbraw/zinc/01/00/00/341010000.db2.gz SHJXMBRHIAWVFF-AWEZNQCLSA-N 0 3 318.461 4.113 20 0 DIADHN Fc1ccc([C@H](NC2CCC(F)(F)CC2)c2ccccn2)cc1 ZINC000542496383 341010436 /nfs/dbraw/zinc/01/04/36/341010436.db2.gz OCRAXWJQCOTUMU-KRWDZBQOSA-N 0 3 320.358 4.478 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](SC)C(C)(C)C)c(OC)c1 ZINC000542498212 341010511 /nfs/dbraw/zinc/01/05/11/341010511.db2.gz HGMASAOUGIVVQO-BLLLJJGKSA-N 0 3 311.491 4.132 20 0 DIADHN COc1cccc(C=C2CCN(CC[C@@H]3CCCCO3)CC2)c1 ZINC000542505829 341010895 /nfs/dbraw/zinc/01/08/95/341010895.db2.gz NFBXAAQOMVLUFU-IBGZPJMESA-N 0 3 315.457 4.134 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1CCO[C@@H]1CCCCO1 ZINC000542514380 341011292 /nfs/dbraw/zinc/01/12/92/341011292.db2.gz BJXFEAYQEYCZHS-DLBZAZTESA-N 0 3 309.837 4.020 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1CCO[C@H]1CCCCO1 ZINC000542514382 341011303 /nfs/dbraw/zinc/01/13/03/341011303.db2.gz BJXFEAYQEYCZHS-IRXDYDNUSA-N 0 3 309.837 4.020 20 0 DIADHN CCc1nc([C@H](C)NC[C@@H]2CCc3ccccc3C2)cs1 ZINC000542575445 341014339 /nfs/dbraw/zinc/01/43/39/341014339.db2.gz ILBGYKABLGFQBY-UONOGXRCSA-N 0 3 300.471 4.161 20 0 DIADHN CC(C)CC1(CN[C@@H](c2ccccc2)c2cncnc2)CCC1 ZINC000542661681 341015858 /nfs/dbraw/zinc/01/58/58/341015858.db2.gz CSMXYUFNTKYVBS-IBGZPJMESA-N 0 3 309.457 4.372 20 0 DIADHN C[C@@H]1CCCC[C@H]1CCN[C@H](c1ccccc1)c1cncnc1 ZINC000542663705 341015947 /nfs/dbraw/zinc/01/59/47/341015947.db2.gz YVKSEENWVKKFSC-FUHIMQAGSA-N 0 3 309.457 4.372 20 0 DIADHN COc1ccc([C@@H](C)NCCC2CCC(OC)CC2)c(F)c1 ZINC000542683612 341017120 /nfs/dbraw/zinc/01/71/20/341017120.db2.gz PSYJFYVQZDWOSH-WLYUNCDWSA-N 0 3 309.425 4.080 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](NCc3ccc(Cl)o3)CCO2)cc1 ZINC000542688520 341017313 /nfs/dbraw/zinc/01/73/13/341017313.db2.gz AKUHDIKHEZOVCQ-DZGCQCFKSA-N 0 3 309.768 4.082 20 0 DIADHN C[C@H]1N(Cc2cccc(Cl)c2Cl)CCC12CCOCC2 ZINC000542708532 341018444 /nfs/dbraw/zinc/01/84/44/341018444.db2.gz CFIUDCSHNUMUNW-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@H](C)c2cccc(Cl)c2)cc1 ZINC000130362238 341019758 /nfs/dbraw/zinc/01/97/58/341019758.db2.gz ACKKLSCHQRPEGW-CYBMUJFWSA-N 0 3 316.832 4.491 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1cnc(C2CC2)s1 ZINC000542774926 341019936 /nfs/dbraw/zinc/01/99/36/341019936.db2.gz GCODKEACSFFYNO-UHFFFAOYSA-N 0 3 306.862 4.348 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)NCc1cnoc1C ZINC000130414244 341021151 /nfs/dbraw/zinc/02/11/51/341021151.db2.gz JQJKNUWTGHINAC-KRWDZBQOSA-N 0 3 312.438 4.427 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2C)cc1 ZINC000542846580 341023371 /nfs/dbraw/zinc/02/33/71/341023371.db2.gz MUEWZOJEQPYABB-CKNLXJGOSA-N 0 3 308.425 4.229 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@H]1CCC[C@H](C)C1 ZINC000130512737 341025365 /nfs/dbraw/zinc/02/53/65/341025365.db2.gz KARKFROAEXUWPQ-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN COc1ccccc1-c1ccc(CN(C)[C@H]2CCSC2)cc1 ZINC000130574833 341028750 /nfs/dbraw/zinc/02/87/50/341028750.db2.gz CHDYEZWVWLGSDA-KRWDZBQOSA-N 0 3 313.466 4.300 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCCc1cn2ccccc2n1 ZINC000130584616 341029551 /nfs/dbraw/zinc/02/95/51/341029551.db2.gz YEHKAYBKTMVSFD-OAHLLOKOSA-N 0 3 319.408 4.282 20 0 DIADHN COC[C@@H](NC1CC(c2cccc(Cl)c2)C1)c1ccc(C)o1 ZINC000543091865 341031378 /nfs/dbraw/zinc/03/13/78/341031378.db2.gz UHSLHQYAYPRRCT-BDVYOWHSSA-N 0 3 319.832 4.465 20 0 DIADHN CCc1ccc(CN2CCC(Oc3cc(C)ccn3)CC2)s1 ZINC000543115165 341032518 /nfs/dbraw/zinc/03/25/18/341032518.db2.gz IPURKDPCAVGPEE-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN Cc1csc(C2(NCCc3cccc(F)c3F)CCCC2)n1 ZINC000543140202 341033761 /nfs/dbraw/zinc/03/37/61/341033761.db2.gz VLEHOLYAJMWJIG-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN Cc1ccc(CCCNC2(c3nccs3)CCCC2)cc1 ZINC000543140535 341033809 /nfs/dbraw/zinc/03/38/09/341033809.db2.gz OPFVOHMISFKHEO-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000543175923 341035293 /nfs/dbraw/zinc/03/52/93/341035293.db2.gz LTNVVBRBBGMZHX-HUUCEWRRSA-N 0 3 320.864 4.264 20 0 DIADHN C[C@@H](C(=O)Nc1cc(Cl)ccc1F)N(C)C[C@H]1CC=CCC1 ZINC000543181803 341035604 /nfs/dbraw/zinc/03/56/04/341035604.db2.gz LFUSPHHMPLBGEV-STQMWFEESA-N 0 3 324.827 4.094 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1CNC[C@@H]1CCCCS1 ZINC000543237421 341037021 /nfs/dbraw/zinc/03/70/21/341037021.db2.gz DRKNZYGOVQDTTI-LBPRGKRZSA-N 0 3 307.356 4.220 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000543228720 341037221 /nfs/dbraw/zinc/03/72/21/341037221.db2.gz XOSSMBMDBYYVMB-HOTGVXAUSA-N 0 3 315.413 4.367 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2cccc(Cl)c2Cl)CCO1 ZINC000543230673 341037285 /nfs/dbraw/zinc/03/72/85/341037285.db2.gz RHXJCOSADHDZGG-NEPJUHHUSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1ccc(C)c(OCCCN(C)C[C@@H](C)c2nccs2)c1 ZINC000543236893 341037473 /nfs/dbraw/zinc/03/74/73/341037473.db2.gz FQVJJBIUPRUTCU-MRXNPFEDSA-N 0 3 318.486 4.264 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3CCCCS3)cs2)cc1 ZINC000543238616 341037542 /nfs/dbraw/zinc/03/75/42/341037542.db2.gz JQAATNUQTJYCPS-INIZCTEOSA-N 0 3 318.511 4.494 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@]2(C)CCO[C@H]2C)o1 ZINC000543243185 341037698 /nfs/dbraw/zinc/03/76/98/341037698.db2.gz HVJKFSJIPXCOCA-SCLBCKFNSA-N 0 3 303.377 4.051 20 0 DIADHN CCc1cnc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)s1 ZINC000543395670 341041964 /nfs/dbraw/zinc/04/19/64/341041964.db2.gz PLIQXWVEUHOBPE-HOCLYGCPSA-N 0 3 300.471 4.159 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3cccc(C)c3)C2)c1OC ZINC000543413902 341042981 /nfs/dbraw/zinc/04/29/81/341042981.db2.gz FVOWGLKJRMHENJ-QGZVFWFLSA-N 0 3 311.425 4.002 20 0 DIADHN CC(C)[C@@H]1OCCC[C@@H]1CN[C@@H](c1ccco1)c1ccccc1 ZINC000543424803 341043931 /nfs/dbraw/zinc/04/39/31/341043931.db2.gz ZBZJLUSTRURJGT-RLLQIKCJSA-N 0 3 313.441 4.410 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCCS2)c2cccnc2)cc1 ZINC000543428576 341044190 /nfs/dbraw/zinc/04/41/90/341044190.db2.gz AOWNPRCPPHHAGE-QZTJIDSGSA-N 0 3 316.445 4.185 20 0 DIADHN CC(C)C[C@H](NCC(=O)Nc1cccc(F)c1)c1cccs1 ZINC000543485042 341046939 /nfs/dbraw/zinc/04/69/39/341046939.db2.gz JACDWQGGRNJQSC-HNNXBMFYSA-N 0 3 320.433 4.203 20 0 DIADHN CC(C)C[C@@H](NCC(=O)Nc1ccccc1F)c1cccs1 ZINC000543485319 341047004 /nfs/dbraw/zinc/04/70/04/341047004.db2.gz NUJZFTGUZPDCII-OAHLLOKOSA-N 0 3 320.433 4.203 20 0 DIADHN COC[C@H](NCCCc1ccc(Cl)cc1)c1ccc(C)o1 ZINC000543488646 341047261 /nfs/dbraw/zinc/04/72/61/341047261.db2.gz RXKDIXCKQFTGIG-INIZCTEOSA-N 0 3 307.821 4.151 20 0 DIADHN Cc1c([C@@H](C)NC[C@H](C)c2ccccc2)nnn1-c1ccccc1 ZINC000543598612 341050221 /nfs/dbraw/zinc/05/02/21/341050221.db2.gz AJCHPMJSUMLPAK-JKSUJKDBSA-N 0 3 320.440 4.030 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@H](C)c1nc(C)cs1 ZINC000543599389 341050401 /nfs/dbraw/zinc/05/04/01/341050401.db2.gz WCMMSZINKKLGLS-JSGCOSHPSA-N 0 3 304.459 4.223 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](c2ccco2)N(C)C)C2CCCC2)o1 ZINC000543604661 341050866 /nfs/dbraw/zinc/05/08/66/341050866.db2.gz CMFUIHLUPOCPDY-APWZRJJASA-N 0 3 316.445 4.305 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1cncnc1)C1CCCC1 ZINC000543625588 341051634 /nfs/dbraw/zinc/05/16/34/341051634.db2.gz MTMCCLZBCGEXQO-IBGZPJMESA-N 0 3 309.457 4.372 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)N[C@H](C)CC(=O)OC(C)(C)C ZINC000543707381 341054477 /nfs/dbraw/zinc/05/44/77/341054477.db2.gz RDXSCXOHOCPQGB-HUUCEWRRSA-N 0 3 321.461 4.245 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccccc2)c(O[C@H]2CCOC2)c1 ZINC000130935035 341054550 /nfs/dbraw/zinc/05/45/50/341054550.db2.gz UCYPUMGIWOELBD-APWZRJJASA-N 0 3 311.425 4.014 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(NC(N)=O)cc1)c1cccs1 ZINC000130961026 341055105 /nfs/dbraw/zinc/05/51/05/341055105.db2.gz MMZKPVVNTIMMJB-OAHLLOKOSA-N 0 3 317.458 4.116 20 0 DIADHN CC(C)[C@@H](NCCc1ccccn1)c1ccc(Cl)cc1F ZINC000543774296 341058056 /nfs/dbraw/zinc/05/80/56/341058056.db2.gz DKEIBRIWOLSZGZ-QGZVFWFLSA-N 0 3 306.812 4.404 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cccc3cccnc32)o1 ZINC000131114410 341061720 /nfs/dbraw/zinc/06/17/20/341061720.db2.gz PJNWZBMHOONARM-GOSISDBHSA-N 0 3 322.408 4.311 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N(C)C[C@H](C)OC)cc1 ZINC000543857286 341061866 /nfs/dbraw/zinc/06/18/66/341061866.db2.gz CTRIYKRDXPYKNO-HOTGVXAUSA-N 0 3 313.441 4.390 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3cncc(C)c3)ccc2c1 ZINC000131120466 341062123 /nfs/dbraw/zinc/06/21/23/341062123.db2.gz ZUBDNEADQDNPPV-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN c1cc(CCCN2CC(c3nc4ccccc4s3)C2)cs1 ZINC000543988001 341065169 /nfs/dbraw/zinc/06/51/69/341065169.db2.gz UGGMFXUQEZQZJP-UHFFFAOYSA-N 0 3 314.479 4.390 20 0 DIADHN OCc1ccc(CN2CCCCC[C@@H]2/C=C/c2cccs2)o1 ZINC000544032942 341067511 /nfs/dbraw/zinc/06/75/11/341067511.db2.gz VVPPJMNUCCPGKF-JOZWUWCOSA-N 0 3 317.454 4.291 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)C[C@H]1CC=CCC1 ZINC000544109342 341070525 /nfs/dbraw/zinc/07/05/25/341070525.db2.gz QXCQINFMXITVBO-INIZCTEOSA-N 0 3 314.473 4.038 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N(C)C[C@@H]2CC=CCC2)c1 ZINC000544112321 341070664 /nfs/dbraw/zinc/07/06/64/341070664.db2.gz ZRHHDLRHFKTAQR-HUUCEWRRSA-N 0 3 318.486 4.024 20 0 DIADHN CC[C@@H]1CN(C(=O)C(C)C(F)(F)F)c2cccc(Cl)c2O1 ZINC000544123409 341071183 /nfs/dbraw/zinc/07/11/83/341071183.db2.gz KWTGUXKUJJIFOT-DTWKUNHWSA-N 0 3 321.726 4.042 20 0 DIADHN C[C@H]1CN(CCCCC(=O)OC(C)(C)C)[C@H]1c1ccccc1 ZINC000544142888 341071963 /nfs/dbraw/zinc/07/19/63/341071963.db2.gz DHNVPAHZBKLTQT-MAUKXSAKSA-N 0 3 303.446 4.191 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNCC[C@H]2CCOC2)c1 ZINC000544165503 341072565 /nfs/dbraw/zinc/07/25/65/341072565.db2.gz CVEGQDURRPLHHH-AWEZNQCLSA-N 0 3 323.864 4.178 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000544188075 341073677 /nfs/dbraw/zinc/07/36/77/341073677.db2.gz OSULGTWWYSMJPL-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H](C(C)(C)C)C3)co2)cc1 ZINC000544193507 341073983 /nfs/dbraw/zinc/07/39/83/341073983.db2.gz PWKPARUWGOQGBO-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN C[C@H](NC1(c2cccc(C(F)(F)F)c2)CCC1)[C@H]1CCCO1 ZINC000544384529 341079546 /nfs/dbraw/zinc/07/95/46/341079546.db2.gz UTMDTQRRLZYUCT-SWLSCSKDSA-N 0 3 313.363 4.242 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)C[C@@H]2CC=CCC2)s1 ZINC000544746035 341092835 /nfs/dbraw/zinc/09/28/35/341092835.db2.gz MCOQKWAYUPRBAS-LLVKDONJSA-N 0 3 304.381 4.258 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)CN[C@H](c1cccs1)C1CC1 ZINC000544397253 341080122 /nfs/dbraw/zinc/08/01/22/341080122.db2.gz AUZZUSDWOMLALH-KSSFIOAISA-N 0 3 322.518 4.120 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@H](c2ccnn2C)C1 ZINC000544409003 341080771 /nfs/dbraw/zinc/08/07/71/341080771.db2.gz XXBKHSJNRZSCIP-CXAGYDPISA-N 0 3 319.399 4.029 20 0 DIADHN Cc1nc(CN[C@@H](Cc2ccc(F)cc2)C(C)C)c(C)s1 ZINC000544442378 341082263 /nfs/dbraw/zinc/08/22/63/341082263.db2.gz NBJFKUPXQMRTJK-INIZCTEOSA-N 0 3 306.450 4.256 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000544448430 341082636 /nfs/dbraw/zinc/08/26/36/341082636.db2.gz UFUZBHSRNBLGCB-CABCVRRESA-N 0 3 310.507 4.120 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](CC(C)C)c2cccs2)c1 ZINC000544449926 341082679 /nfs/dbraw/zinc/08/26/79/341082679.db2.gz SXEXOBWXBZKOBZ-INIZCTEOSA-N 0 3 316.470 4.372 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](CC(C)C)c1cccs1 ZINC000544451860 341082827 /nfs/dbraw/zinc/08/28/27/341082827.db2.gz HVLNGFSJGAUHAF-MRXNPFEDSA-N 0 3 316.470 4.372 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CNC(C)(C)c1cccs1 ZINC000544470080 341083568 /nfs/dbraw/zinc/08/35/68/341083568.db2.gz XHMUKPFUYKITQW-UHFFFAOYSA-N 0 3 322.861 4.173 20 0 DIADHN Cc1c(Cl)c(CN[C@@H](C)[C@@H]2CCCOC2)nc2ccccc12 ZINC000544472601 341083688 /nfs/dbraw/zinc/08/36/88/341083688.db2.gz UTPXPBIRDDQHGY-UONOGXRCSA-N 0 3 318.848 4.101 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC2(CCOCC2)[C@H]1C ZINC000544546419 341085474 /nfs/dbraw/zinc/08/54/74/341085474.db2.gz IHJQJVQZHQXALA-CXAGYDPISA-N 0 3 309.400 4.307 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000131421225 341085735 /nfs/dbraw/zinc/08/57/35/341085735.db2.gz ZUVOWKHOVAUUGQ-KRWDZBQOSA-N 0 3 323.484 4.485 20 0 DIADHN Cc1ccc([C@H](NC[C@H](c2cccs2)N(C)C)C2CCC2)o1 ZINC000544580371 341087019 /nfs/dbraw/zinc/08/70/19/341087019.db2.gz RSIJMDJUERKOHI-CRAIPNDOSA-N 0 3 318.486 4.383 20 0 DIADHN Cc1ccc([C@@H](NCC2([C@@H](O)C(C)C)CC2)C2CCCC2)o1 ZINC000544601561 341088099 /nfs/dbraw/zinc/08/80/99/341088099.db2.gz BJEVQMUYCZRMCQ-ROUUACIJSA-N 0 3 305.462 4.206 20 0 DIADHN Cc1c(CN[C@@H](C)c2ccc(-c3ccccc3)s2)cnn1C ZINC000544602744 341088190 /nfs/dbraw/zinc/08/81/90/341088190.db2.gz CFCQBONTNGJSHJ-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H](CC1CCCCC1)CN(C)Cc1ccc([S@](C)=O)cc1 ZINC000544607558 341088473 /nfs/dbraw/zinc/08/84/73/341088473.db2.gz CFRXQISMOHGPDK-ZHRRBRCNSA-N 0 3 321.530 4.462 20 0 DIADHN C[C@H]1N(Cc2cc(Cl)cc(Cl)c2)CCC12CCOCC2 ZINC000544617168 341089393 /nfs/dbraw/zinc/08/93/93/341089393.db2.gz SRZYCZHQMSZXTP-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN CCC(CC)CC(=O)NC[C@@H]1CCCN(C)[C@@H]1c1cccs1 ZINC000131478557 341090953 /nfs/dbraw/zinc/09/09/53/341090953.db2.gz KNVOCALPUPZCRQ-YJBOKZPZSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H]1CCCc2cc(OC)ccc21 ZINC000544829036 341095267 /nfs/dbraw/zinc/09/52/67/341095267.db2.gz DKJYDSVDJYUEAW-SWLSCSKDSA-N 0 3 301.352 4.393 20 0 DIADHN CC[C@H](NC1CCC(C(=O)OC(C)(C)C)CC1)c1nccs1 ZINC000131546149 341095296 /nfs/dbraw/zinc/09/52/96/341095296.db2.gz WCQRCAASBOXVAA-RUXDESIVSA-N 0 3 324.490 4.084 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](C)c2c(C)cccc2C)c1 ZINC000544895392 341097860 /nfs/dbraw/zinc/09/78/60/341097860.db2.gz AZCIGWSYNMYHTQ-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@@H](C)C(=O)N(C)Cc1ccccc1 ZINC000544895420 341097885 /nfs/dbraw/zinc/09/78/85/341097885.db2.gz CEGOYOPQTIMPIJ-MSOLQXFVSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](C)c2c(C)cccc2C)c1 ZINC000544895393 341097965 /nfs/dbraw/zinc/09/79/65/341097965.db2.gz AZCIGWSYNMYHTQ-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1cccc(Cl)c1C ZINC000544896289 341098151 /nfs/dbraw/zinc/09/81/51/341098151.db2.gz UKJZEMRUMRMJQW-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(-c3ccccn3)s2)CC1(C)C ZINC000544936609 341100319 /nfs/dbraw/zinc/10/03/19/341100319.db2.gz BLHGNDRSXCYSFC-CYBMUJFWSA-N 0 3 301.459 4.073 20 0 DIADHN CCN(CC)[C@@H](CNCc1cnc(C(C)C)s1)c1ccco1 ZINC000131742751 341110630 /nfs/dbraw/zinc/11/06/30/341110630.db2.gz RISPIHIQGLJXSP-HNNXBMFYSA-N 0 3 321.490 4.032 20 0 DIADHN CCCN(Cc1csc(-c2ccccc2OC)n1)CC1CC1 ZINC000072950326 341111359 /nfs/dbraw/zinc/11/13/59/341111359.db2.gz AARCZWZWNOZBJB-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CC2CCSCC2)c1 ZINC000131793149 341111897 /nfs/dbraw/zinc/11/18/97/341111897.db2.gz XWFPSLNFSRFUQI-UHFFFAOYSA-N 0 3 320.502 4.000 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccccc32)cc1OC(F)F ZINC000073052614 341112551 /nfs/dbraw/zinc/11/25/51/341112551.db2.gz GFUSSOJVADOCLL-OAHLLOKOSA-N 0 3 319.351 4.074 20 0 DIADHN COC[C@@H](C)N[C@H]1CCCc2nc(-c3ccc(C)cc3)sc21 ZINC000131878462 341112984 /nfs/dbraw/zinc/11/29/84/341112984.db2.gz BRDXPXFLXMDHAY-HIFRSBDPSA-N 0 3 316.470 4.120 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@@H]3c4ccccc4CCC[C@H]3C)cc12 ZINC000545196221 341113012 /nfs/dbraw/zinc/11/30/12/341113012.db2.gz OKIGBVIMZGEQMI-YJYMSZOUSA-N 0 3 320.440 4.070 20 0 DIADHN FC(F)(F)Cn1cc(CN2CCCC[C@H]2c2ccccc2)cn1 ZINC000545278215 341118776 /nfs/dbraw/zinc/11/87/76/341118776.db2.gz VDPFJBDPHMDEJA-INIZCTEOSA-N 0 3 323.362 4.173 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1c(C)cccc1Cl ZINC000132312355 341120532 /nfs/dbraw/zinc/12/05/32/341120532.db2.gz CCKISRAVSRAYLS-CQSZACIVSA-N 0 3 323.868 4.034 20 0 DIADHN Cc1ncsc1CN[C@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000132428799 341122789 /nfs/dbraw/zinc/12/27/89/341122789.db2.gz PFPDCOZUFCTIPZ-WDEREUQCSA-N 0 3 317.483 4.343 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cnn(-c2ccc(F)cc2)c1 ZINC000545470661 341126561 /nfs/dbraw/zinc/12/65/61/341126561.db2.gz OECRGDNFJJPVBO-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2c(C)cccc2C)c(C)c1 ZINC000545517793 341128033 /nfs/dbraw/zinc/12/80/33/341128033.db2.gz ZJNIUHVPUWPMHG-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCCC(=O)Nc1ccc(F)cc1 ZINC000545517714 341128069 /nfs/dbraw/zinc/12/80/69/341128069.db2.gz UGOMVMNETFNHOM-HNNXBMFYSA-N 0 3 314.404 4.122 20 0 DIADHN C[C@H]1CC[C@@H](c2nc(-c3ccccc3CN3CCCC3)no2)C1 ZINC000545529799 341129167 /nfs/dbraw/zinc/12/91/67/341129167.db2.gz WPLRGOYRZVEATK-LSDHHAIUSA-N 0 3 311.429 4.236 20 0 DIADHN c1ccc2c(c1)nc(CN[C@@H]1CCC[C@@H](C3CC3)C1)n2C1CC1 ZINC000545559831 341130396 /nfs/dbraw/zinc/13/03/96/341130396.db2.gz ZEERUAMQJCVKTK-HZPDHXFCSA-N 0 3 309.457 4.430 20 0 DIADHN COc1ccc(CN[C@@]2(C)CCCc3ccccc32)c(OC)c1 ZINC000545871976 341143732 /nfs/dbraw/zinc/14/37/32/341143732.db2.gz YLTIHCAWVWGYSK-FQEVSTJZSA-N 0 3 311.425 4.045 20 0 DIADHN Cc1ccncc1CCCNCc1c(Cl)cccc1Cl ZINC000564295177 341143932 /nfs/dbraw/zinc/14/39/32/341143932.db2.gz XEDKTRWVVJOCPT-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN Cc1nn(C(C)C)cc1CNCc1cc2c(s1)CCCC2 ZINC000545920025 341146093 /nfs/dbraw/zinc/14/60/93/341146093.db2.gz JRYAUKCXDCPGQJ-UHFFFAOYSA-N 0 3 303.475 4.003 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000545937568 341147164 /nfs/dbraw/zinc/14/71/64/341147164.db2.gz KBTDEEMANDDCAT-UONOGXRCSA-N 0 3 324.453 4.027 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1c3cc(C)ccc3C[C@@H]1C)CCO2 ZINC000545943581 341147722 /nfs/dbraw/zinc/14/77/22/341147722.db2.gz UCCSLPWUDLSMSD-JBYOLUDKSA-N 0 3 323.436 4.350 20 0 DIADHN c1ccc([C@@H](NC2CC(c3ccccc3)C2)c2cncnc2)cc1 ZINC000545982269 341149442 /nfs/dbraw/zinc/14/94/42/341149442.db2.gz WYMPWSPJILNBMQ-DQVLVPHSSA-N 0 3 315.420 4.102 20 0 DIADHN C[C@@H](CN1CCCC[C@H]1c1ccnn1C)c1cccc(Cl)c1 ZINC000545985014 341149688 /nfs/dbraw/zinc/14/96/88/341149688.db2.gz JIUCIKJUBQSRSE-KSSFIOAISA-N 0 3 317.864 4.404 20 0 DIADHN Cc1cccnc1CNC[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000564298063 341150168 /nfs/dbraw/zinc/15/01/68/341150168.db2.gz ARVZXLDBCTUFTP-CYBMUJFWSA-N 0 3 308.347 4.302 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(-c3ccccc3)s2)cn1 ZINC000545996024 341150243 /nfs/dbraw/zinc/15/02/43/341150243.db2.gz CRWIHNOGXNEEPS-UHFFFAOYSA-N 0 3 311.454 4.174 20 0 DIADHN Cn1cc(C(C)(C)NCc2cccc(-c3ccccc3)c2)cn1 ZINC000545996417 341150276 /nfs/dbraw/zinc/15/02/76/341150276.db2.gz NDTFYSFXGIHPFS-UHFFFAOYSA-N 0 3 305.425 4.112 20 0 DIADHN Cc1ncccc1CN1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000546010639 341150942 /nfs/dbraw/zinc/15/09/42/341150942.db2.gz NAEZEZYSJIKHAU-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN COc1ccsc1[C@@H](C)NCCOc1ccc(C)cc1C ZINC000546019500 341151321 /nfs/dbraw/zinc/15/13/21/341151321.db2.gz UQXWYQGSTQOOSJ-CQSZACIVSA-N 0 3 305.443 4.103 20 0 DIADHN Cc1ccc2[nH]c(CN(Cc3ccccc3)C[C@@H](C)O)cc2c1C ZINC000546035185 341152269 /nfs/dbraw/zinc/15/22/69/341152269.db2.gz BRAVSNXRZCNGAW-MRXNPFEDSA-N 0 3 322.452 4.168 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCCc1c[nH]nc1C ZINC000133050597 341152930 /nfs/dbraw/zinc/15/29/30/341152930.db2.gz TYBMTECURVQLKM-CYBMUJFWSA-N 0 3 321.852 4.054 20 0 DIADHN C[C@]1(NCc2cccc(-n3cccn3)c2)CCCc2ccccc21 ZINC000546091916 341153905 /nfs/dbraw/zinc/15/39/05/341153905.db2.gz BEVIJRQGWODUMG-NRFANRHFSA-N 0 3 317.436 4.214 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1cnccc1C ZINC000546165115 341155357 /nfs/dbraw/zinc/15/53/57/341155357.db2.gz SQEBHCYAQVTVNA-IYOUNJFTSA-N 0 3 318.848 4.470 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1cncs1 ZINC000546166719 341155554 /nfs/dbraw/zinc/15/55/54/341155554.db2.gz CZTCODNXLSCQDL-HFAKWTLXSA-N 0 3 310.850 4.223 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC)CC(F)(F)F)cc1OC ZINC000546173756 341156063 /nfs/dbraw/zinc/15/60/63/341156063.db2.gz YCKXTTBGDCNSSP-ZDUSSCGKSA-N 0 3 319.367 4.305 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cnn(Cc2ccccc2)c1 ZINC000546178373 341156155 /nfs/dbraw/zinc/15/61/55/341156155.db2.gz NMYVOGZBCGNRTA-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccccc1Br ZINC000546178865 341156163 /nfs/dbraw/zinc/15/61/63/341156163.db2.gz SLHKYOONGNQWKB-SNVBAGLBSA-N 0 3 310.157 4.270 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2c(C)cccc2C)c(C)s1 ZINC000546227236 341157962 /nfs/dbraw/zinc/15/79/62/341157962.db2.gz FXSFAYJLXRHHOV-CYBMUJFWSA-N 0 3 317.454 4.311 20 0 DIADHN OCC[C@H](NCc1csc(C2CCCC2)n1)C1CCCCC1 ZINC000546227292 341157977 /nfs/dbraw/zinc/15/79/77/341157977.db2.gz SAPIBZYQRNPMOU-KRWDZBQOSA-N 0 3 322.518 4.222 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cc(C)c(O)c(C)c1 ZINC000564301636 341160992 /nfs/dbraw/zinc/16/09/92/341160992.db2.gz XHMWANQPHOADNO-SFHVURJKSA-N 0 3 311.425 4.185 20 0 DIADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000546309830 341162588 /nfs/dbraw/zinc/16/25/88/341162588.db2.gz IIPZUUIBAMCMSV-KSSFIOAISA-N 0 3 301.430 4.394 20 0 DIADHN Cc1cc(CNCc2ccccc2N(C)C(C)C)cc(C)c1O ZINC000564302844 341168549 /nfs/dbraw/zinc/16/85/49/341168549.db2.gz UFAUNZQUXAMMRG-UHFFFAOYSA-N 0 3 312.457 4.143 20 0 DIADHN C[C@]1(NCc2c[nH]c(-c3ccccc3)n2)CCCc2ccccc21 ZINC000546580154 341173314 /nfs/dbraw/zinc/17/33/14/341173314.db2.gz WYZFNRIEZOQWEU-NRFANRHFSA-N 0 3 317.436 4.418 20 0 DIADHN C[C@]1(NCc2cnc(-c3ccccc3)[nH]2)CCCc2ccccc21 ZINC000546580154 341173315 /nfs/dbraw/zinc/17/33/15/341173315.db2.gz WYZFNRIEZOQWEU-NRFANRHFSA-N 0 3 317.436 4.418 20 0 DIADHN COc1ccc(OC)c(CN[C@]2(C)CCCc3ccccc32)c1 ZINC000546581603 341173441 /nfs/dbraw/zinc/17/34/41/341173441.db2.gz GBGAXLDPAVWTPF-HXUWFJFHSA-N 0 3 311.425 4.045 20 0 DIADHN CC(C)n1cc([C@H](C)NCCc2nc(C(C)(C)C)cs2)cn1 ZINC000133421241 341174982 /nfs/dbraw/zinc/17/49/82/341174982.db2.gz UTMHOWGAMDDRHH-ZDUSSCGKSA-N 0 3 320.506 4.111 20 0 DIADHN Cn1ccc(CNCc2ccc(OCc3ccccc3F)cc2)c1 ZINC000546626951 341175875 /nfs/dbraw/zinc/17/58/75/341175875.db2.gz TWGLEGPPOIBOSO-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(Cl)c(C)c1 ZINC000133484950 341176501 /nfs/dbraw/zinc/17/65/01/341176501.db2.gz NHZZEOVABBDONG-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC[C@@H](C)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000546649277 341177134 /nfs/dbraw/zinc/17/71/34/341177134.db2.gz RWPWLCDZQKRHHZ-UKRRQHHQSA-N 0 3 300.471 4.304 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@H](C)[C@@H]1CCCO1 ZINC000546649727 341177136 /nfs/dbraw/zinc/17/71/36/341177136.db2.gz FGNKLUCPEKVEBU-BEFAXECRSA-N 0 3 313.441 4.284 20 0 DIADHN COc1cc(OC)c([C@H](C)NCCCCSC)cc1Cl ZINC000133706059 341182480 /nfs/dbraw/zinc/18/24/80/341182480.db2.gz BHLUXKDUTBVSPX-NSHDSACASA-N 0 3 317.882 4.151 20 0 DIADHN CSCCCCN[C@H](C)c1ccc(F)cc1OC(F)F ZINC000133719174 341183072 /nfs/dbraw/zinc/18/30/72/341183072.db2.gz ZLEIGCVNQLOQLR-SNVBAGLBSA-N 0 3 307.381 4.221 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cccc(Br)c1 ZINC000546881578 341185260 /nfs/dbraw/zinc/18/52/60/341185260.db2.gz ZNIIQNMSGKYYCF-NSHDSACASA-N 0 3 310.157 4.270 20 0 DIADHN COCCOc1cccc(CN[C@H](C)c2c(C)cccc2C)c1 ZINC000546881030 341185305 /nfs/dbraw/zinc/18/53/05/341185305.db2.gz ZACYDKRQVULLMR-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000546935518 341187593 /nfs/dbraw/zinc/18/75/93/341187593.db2.gz PEIWVRGSMQIBBO-FGTMMUONSA-N 0 3 324.468 4.220 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2sccc21)c1c(C)nn(C)c1C ZINC000133791012 341188298 /nfs/dbraw/zinc/18/82/98/341188298.db2.gz ZWPBIRAMPJJHQN-CABCVRRESA-N 0 3 303.475 4.217 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1cnc(-c2ccccc2)s1 ZINC000236618756 341189586 /nfs/dbraw/zinc/18/95/86/341189586.db2.gz YKJSYMHYNSIZGO-GOSISDBHSA-N 0 3 318.486 4.087 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H]2CCC[C@@H]2c2ccccc2)c(C)c1 ZINC000133896321 341198100 /nfs/dbraw/zinc/19/81/00/341198100.db2.gz UKLOYZHTBIFLDU-UYAOXDASSA-N 0 3 322.452 4.168 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H]2CCC[C@H]2c2ccccc2)c(C)c1 ZINC000133896909 341198144 /nfs/dbraw/zinc/19/81/44/341198144.db2.gz UKLOYZHTBIFLDU-ICSRJNTNSA-N 0 3 322.452 4.168 20 0 DIADHN CSCCCCN[C@H](C)c1sccc1Br ZINC000133920150 341200046 /nfs/dbraw/zinc/20/00/46/341200046.db2.gz WPZYCESGVSRKPX-SECBINFHSA-N 0 3 308.310 4.304 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H]2CCC[C@@H]2c2ccccc2)c1 ZINC000133972130 341203577 /nfs/dbraw/zinc/20/35/77/341203577.db2.gz RPLRUQRRTPTUDC-MOPGFXCFSA-N 0 3 322.452 4.168 20 0 DIADHN CC(C)c1nc(CCNCc2ccc([C@@H]3C[C@@H]3C)o2)cs1 ZINC000236893438 341204025 /nfs/dbraw/zinc/20/40/25/341204025.db2.gz XEKDOECMBLDJAC-SWLSCSKDSA-N 0 3 304.459 4.315 20 0 DIADHN CCOc1cccc(CNC(C)(C)c2ccc(F)c(F)c2)c1 ZINC000236920179 341204393 /nfs/dbraw/zinc/20/43/93/341204393.db2.gz XYVNKRDMZAKKMC-UHFFFAOYSA-N 0 3 305.368 4.388 20 0 DIADHN CCC[C@@](C)(CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000236944173 341204748 /nfs/dbraw/zinc/20/47/48/341204748.db2.gz CVHACMWVZQFWJP-KRWDZBQOSA-N 0 3 307.821 4.241 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCc2cscn2)o1 ZINC000076331413 341205646 /nfs/dbraw/zinc/20/56/46/341205646.db2.gz GFFOVLWFPDCFGA-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCc2cscn2)o1 ZINC000076331471 341205721 /nfs/dbraw/zinc/20/57/21/341205721.db2.gz YJHOSDQJBDXTKN-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCc2cscn2)o1 ZINC000076331476 341205735 /nfs/dbraw/zinc/20/57/35/341205735.db2.gz DXOGJRZRPHFHAH-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1ccc(SC)c(OC)c1 ZINC000134080294 341206127 /nfs/dbraw/zinc/20/61/27/341206127.db2.gz DSPRIHYBWPXXKS-ZFWWWQNUSA-N 0 3 311.491 4.273 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnn(-c3ccccc3)c2)cc1 ZINC000237131997 341207840 /nfs/dbraw/zinc/20/78/40/341207840.db2.gz GAKSTEKTSIQPFN-UHFFFAOYSA-N 0 3 319.452 4.460 20 0 DIADHN CCOc1ccc([C@@H](N[C@@H](C)CCOC)c2ccccc2)cc1 ZINC000547367058 341209251 /nfs/dbraw/zinc/20/92/51/341209251.db2.gz MKVBOMMMTZWPQI-JXFKEZNVSA-N 0 3 313.441 4.189 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2nc(-c3ccccc3)cs2)C1(C)C ZINC000237274533 341210475 /nfs/dbraw/zinc/21/04/75/341210475.db2.gz AJGJWNWMXXKKJH-CRAIPNDOSA-N 0 3 316.470 4.103 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2Cc2sccc2Cl)C1 ZINC000547398505 341211031 /nfs/dbraw/zinc/21/10/31/341211031.db2.gz MEWMWXSRHKIYIP-GBOPCIDUSA-N 0 3 313.894 4.163 20 0 DIADHN COc1ccccc1-c1ccc(CNC[C@@H]2CCCCO2)cc1 ZINC000237338609 341212121 /nfs/dbraw/zinc/21/21/21/341212121.db2.gz XQJDAAJYUNPANS-SFHVURJKSA-N 0 3 311.425 4.021 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2nc3c(s2)CCCC3)o1 ZINC000237369604 341212608 /nfs/dbraw/zinc/21/26/08/341212608.db2.gz JYEYSMRAHBEISB-OCCSQVGLSA-N 0 3 316.470 4.071 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](C)c3cnn(C(C)C)c3)cc2c1C ZINC000547436099 341213435 /nfs/dbraw/zinc/21/34/35/341213435.db2.gz QKPRRUUUYUPCLK-HNNXBMFYSA-N 0 3 310.445 4.413 20 0 DIADHN CSCCCCNCc1csc(-c2ccc(F)cc2)n1 ZINC000076764378 341213824 /nfs/dbraw/zinc/21/38/24/341213824.db2.gz XRUWZTWMAHZKGF-UHFFFAOYSA-N 0 3 310.463 4.182 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNC2CCN(c3ccccc3)CC2)o1 ZINC000237570635 341215955 /nfs/dbraw/zinc/21/59/55/341215955.db2.gz DJODEPOTTQGGML-KXBFYZLASA-N 0 3 310.441 4.162 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCCCOCc2ccccc2)o1 ZINC000237659080 341217653 /nfs/dbraw/zinc/21/76/53/341217653.db2.gz QTACTXDZGUPDDG-QFBILLFUSA-N 0 3 313.441 4.490 20 0 DIADHN C[C@]1(CO)CCC[C@@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000237742753 341219342 /nfs/dbraw/zinc/21/93/42/341219342.db2.gz WFDWSEYMONOBAX-ZWKOTPCHSA-N 0 3 319.832 4.241 20 0 DIADHN C[C@H](NCC1CCSCC1)c1ccccc1Br ZINC000134602786 341219415 /nfs/dbraw/zinc/21/94/15/341219415.db2.gz HSVWSAMSQYAMIW-NSHDSACASA-N 0 3 314.292 4.243 20 0 DIADHN CS[C@H]1CCCCN(Cc2nc(-c3ccccc3)oc2C)C1 ZINC000134604244 341219488 /nfs/dbraw/zinc/21/94/88/341219488.db2.gz UQUVXABXACDSRW-INIZCTEOSA-N 0 3 316.470 4.368 20 0 DIADHN Fc1ccc(-c2nc(CNCC3CCSCC3)cs2)cc1 ZINC000134610620 341219621 /nfs/dbraw/zinc/21/96/21/341219621.db2.gz WGNBYHNYROSZFC-UHFFFAOYSA-N 0 3 322.474 4.182 20 0 DIADHN C[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Oc2ccccc2)cc1 ZINC000134621139 341220244 /nfs/dbraw/zinc/22/02/44/341220244.db2.gz OBUWERFKARRRIQ-XYJFISCASA-N 0 3 309.409 4.067 20 0 DIADHN Cn1cccc1CNCCSCc1cccc2ccccc21 ZINC000237879749 341222425 /nfs/dbraw/zinc/22/24/25/341222425.db2.gz OTDMDMHHBGNMFQ-UHFFFAOYSA-N 0 3 310.466 4.201 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C(F)(F)F)C1)c1nc2c(s1)CCC2 ZINC000134725110 341222767 /nfs/dbraw/zinc/22/27/67/341222767.db2.gz DXKQZKITKICNHU-GARJFASQSA-N 0 3 318.408 4.404 20 0 DIADHN CC(C)N(CC(=O)Nc1cccc(Cl)c1Cl)C1CCC1 ZINC000077358848 341223836 /nfs/dbraw/zinc/22/38/36/341223836.db2.gz SSXXTFVVMPUOJZ-UHFFFAOYSA-N 0 3 315.244 4.195 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134780663 341224017 /nfs/dbraw/zinc/22/40/17/341224017.db2.gz ONDTYHMJGSDAAL-NEPJUHHUSA-N 0 3 304.434 4.053 20 0 DIADHN C[C@@H](NCCc1cscn1)c1cccc(Cl)c1Cl ZINC000134851272 341225249 /nfs/dbraw/zinc/22/52/49/341225249.db2.gz VNDKKFAGNJONLW-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CCn1nc(C)c(CN[C@H](c2ccc(C)cc2)C2CCC2)c1C ZINC000547653909 341225540 /nfs/dbraw/zinc/22/55/40/341225540.db2.gz WNKOYCWYUQXXHA-FQEVSTJZSA-N 0 3 311.473 4.459 20 0 DIADHN COc1ccc([C@H](NCc2cc(F)ccc2OC)C(C)C)cc1 ZINC000547654808 341225552 /nfs/dbraw/zinc/22/55/52/341225552.db2.gz SVIOMQFLIWGIPF-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN C[C@@H](NCCCC(C)(C)C)c1ncc(Br)cc1F ZINC000547653857 341225632 /nfs/dbraw/zinc/22/56/32/341225632.db2.gz TVXBAEGHIXSIST-SNVBAGLBSA-N 0 3 317.246 4.460 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCSCc2ccccc2)n1 ZINC000547673462 341226609 /nfs/dbraw/zinc/22/66/09/341226609.db2.gz NEWOIRQUYBYYIX-IBGZPJMESA-N 0 3 312.482 4.460 20 0 DIADHN CC(C)N(Cc1nc(-c2ccc(F)c(F)c2)no1)C1CCCC1 ZINC000135179050 341232866 /nfs/dbraw/zinc/23/28/66/341232866.db2.gz GWTNOFGMRKYJLO-UHFFFAOYSA-N 0 3 321.371 4.168 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccoc1 ZINC000547831538 341236191 /nfs/dbraw/zinc/23/61/91/341236191.db2.gz BVEOOISBADCCRZ-OCCSQVGLSA-N 0 3 324.346 4.228 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000547832615 341236414 /nfs/dbraw/zinc/23/64/14/341236414.db2.gz PCUNFBHPCJXLGY-ZBFHGGJFSA-N 0 3 317.433 4.395 20 0 DIADHN c1cc2cccc(NC3CCN(Cc4ccccc4)CC3)c2[nH]1 ZINC000547854584 341237925 /nfs/dbraw/zinc/23/79/25/341237925.db2.gz RYGXJTATUWZDRJ-UHFFFAOYSA-N 0 3 305.425 4.244 20 0 DIADHN CCCCN(CCC)Cc1nnc(-c2ccccc2Cl)o1 ZINC000078707427 341246458 /nfs/dbraw/zinc/24/64/58/341246458.db2.gz IBIVONYDOOOACC-UHFFFAOYSA-N 0 3 307.825 4.402 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000548159514 341250387 /nfs/dbraw/zinc/25/03/87/341250387.db2.gz MWIBLJOXOPZCQD-GXTWGEPZSA-N 0 3 305.447 4.247 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(F)cc2C)cc1OC ZINC000548160974 341250471 /nfs/dbraw/zinc/25/04/71/341250471.db2.gz QHOOTFGGCYKWFZ-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)C2=CCCCCC2)c1 ZINC000548174997 341251608 /nfs/dbraw/zinc/25/16/08/341251608.db2.gz IKPSOGNDXOAHHM-UHFFFAOYSA-N 0 3 300.446 4.357 20 0 DIADHN c1cc2cccc(N[C@@H]3CCCN(Cc4ccccc4)C3)c2[nH]1 ZINC000548181455 341252046 /nfs/dbraw/zinc/25/20/46/341252046.db2.gz KHUZLBQYHHRREJ-GOSISDBHSA-N 0 3 305.425 4.244 20 0 DIADHN CCCOc1ccc(CNCc2ccnc(SCC)c2)cc1 ZINC000548299727 341255055 /nfs/dbraw/zinc/25/50/55/341255055.db2.gz WHVOCZPUZMFJOM-UHFFFAOYSA-N 0 3 316.470 4.272 20 0 DIADHN Cc1nccn1CCCN1CCC[C@@H]1c1ccccc1Cl ZINC000136369096 341257603 /nfs/dbraw/zinc/25/76/03/341257603.db2.gz CHYCBXMIFZUMEW-QGZVFWFLSA-N 0 3 303.837 4.072 20 0 DIADHN Cc1nccn1CCCN1CCC[C@H]1c1ccccc1Cl ZINC000136369137 341257660 /nfs/dbraw/zinc/25/76/60/341257660.db2.gz CHYCBXMIFZUMEW-KRWDZBQOSA-N 0 3 303.837 4.072 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@@H]1CCCC[C@H]1C ZINC000548402772 341258930 /nfs/dbraw/zinc/25/89/30/341258930.db2.gz ZLCPGGUBHXSHGI-CRAIPNDOSA-N 0 3 316.489 4.260 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1coc(-c2cccc(F)c2)n1 ZINC000548402761 341259078 /nfs/dbraw/zinc/25/90/78/341259078.db2.gz XOXPPVFRNLRAEU-CXAGYDPISA-N 0 3 302.393 4.491 20 0 DIADHN COc1cccc(CN2CCC(c3cccc(OC)c3)CC2)c1 ZINC000548403775 341259172 /nfs/dbraw/zinc/25/91/72/341259172.db2.gz CCEGFIUGZJJEEB-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN O=C(Nc1ccc(Oc2ccccc2)cc1)C12CCCN1CCC2 ZINC000548408195 341259313 /nfs/dbraw/zinc/25/93/13/341259313.db2.gz AUPDZXKHISFYPD-UHFFFAOYSA-N 0 3 322.408 4.046 20 0 DIADHN OCCC1(CNCc2ccc(-c3ccccc3Cl)o2)CCC1 ZINC000136530398 341261440 /nfs/dbraw/zinc/26/14/40/341261440.db2.gz WXEVHMQYWNXQJZ-UHFFFAOYSA-N 0 3 319.832 4.242 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)CCOCC(F)(F)F ZINC000079710481 341264244 /nfs/dbraw/zinc/26/42/44/341264244.db2.gz IBZTXFMIGLXETG-LLVKDONJSA-N 0 3 301.308 4.005 20 0 DIADHN Cc1ccccc1O[C@H]1CCCC[C@@H]1NCc1ncccc1C ZINC000548539687 341265903 /nfs/dbraw/zinc/26/59/03/341265903.db2.gz HXBIIUWFBLLKJI-PXNSSMCTSA-N 0 3 310.441 4.178 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCOC2(CCCCC2)C1 ZINC000136721743 341265987 /nfs/dbraw/zinc/26/59/87/341265987.db2.gz VGVRBYCXXLHIQP-CQSZACIVSA-N 0 3 323.864 4.320 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCOC3(CCCCC3)C2)o1 ZINC000136729310 341266154 /nfs/dbraw/zinc/26/61/54/341266154.db2.gz YEOWFDRDUHBOGZ-INMHGKMJSA-N 0 3 303.446 4.375 20 0 DIADHN C[C@@H]1CCCCCN1Cc1c[nH]nc1-c1c(F)cccc1F ZINC000548584263 341266343 /nfs/dbraw/zinc/26/63/43/341266343.db2.gz OIQMHEQSNRYXEW-GFCCVEGCSA-N 0 3 305.372 4.119 20 0 DIADHN Cc1cc(C[C@@H](C)NCc2ccc(-c3ccc(C)cc3)o2)[nH]n1 ZINC000136781550 341267101 /nfs/dbraw/zinc/26/71/01/341267101.db2.gz CILOTASKAPXHNY-CQSZACIVSA-N 0 3 309.413 4.007 20 0 DIADHN Cc1cc(C[C@@H](C)NCc2ccc(-c3ccc(C)cc3)o2)n[nH]1 ZINC000136781550 341267102 /nfs/dbraw/zinc/26/71/02/341267102.db2.gz CILOTASKAPXHNY-CQSZACIVSA-N 0 3 309.413 4.007 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cccn3C)s2)cc1 ZINC000080250029 341271612 /nfs/dbraw/zinc/27/16/12/341271612.db2.gz ASAVRKSADSGKGZ-UHFFFAOYSA-N 0 3 312.438 4.052 20 0 DIADHN CC[C@@H](N[C@H](C)CCc1ccc(OC)cc1)c1nccs1 ZINC000080258204 341271761 /nfs/dbraw/zinc/27/17/61/341271761.db2.gz WRBRBCXLZRDIDJ-CZUORRHYSA-N 0 3 304.459 4.214 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)Cc1ccc2c(c1)OCCO2 ZINC000548750691 341272168 /nfs/dbraw/zinc/27/21/68/341272168.db2.gz LAGOWSRRGNHDOS-UHFFFAOYSA-N 0 3 319.351 4.027 20 0 DIADHN CC(C)COCCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000548776861 341275339 /nfs/dbraw/zinc/27/53/39/341275339.db2.gz SYVDYVDOBAJCFY-OAHLLOKOSA-N 0 3 318.486 4.148 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC3(CCO3)CC2)cc1 ZINC000548875497 341279223 /nfs/dbraw/zinc/27/92/23/341279223.db2.gz UCXRDNSTWBZWMN-UHFFFAOYSA-N 0 3 323.436 4.021 20 0 DIADHN CCOc1cccc([C@@H](C)NC[C@H]2CCC3(CCCC3)O2)c1 ZINC000548916878 341280817 /nfs/dbraw/zinc/28/08/17/341280817.db2.gz FZPURAIBYPVGOA-CRAIPNDOSA-N 0 3 303.446 4.228 20 0 DIADHN CCc1ccc([C@H]2CCCCN2CCCO[C@H]2CCCCO2)o1 ZINC000548919223 341281061 /nfs/dbraw/zinc/28/10/61/341281061.db2.gz NSAOPILZABCRTN-MJGOQNOKSA-N 0 3 321.461 4.302 20 0 DIADHN CCc1ccc([C@@H]2CCCCN2CCCO[C@@H]2CCCCO2)o1 ZINC000548919224 341281067 /nfs/dbraw/zinc/28/10/67/341281067.db2.gz NSAOPILZABCRTN-PKOBYXMFSA-N 0 3 321.461 4.302 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)[C@H](C)C1CCCCC1 ZINC000548929987 341281297 /nfs/dbraw/zinc/28/12/97/341281297.db2.gz BYZZYQKKACFCFK-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)CCOCCN1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000548934045 341281518 /nfs/dbraw/zinc/28/15/18/341281518.db2.gz OFNCNTWEGCRFLQ-AWEZNQCLSA-N 0 3 307.400 4.104 20 0 DIADHN CC(C)c1cccc2c1CCN(CCCO[C@H]1CCCCO1)C2 ZINC000548935892 341281680 /nfs/dbraw/zinc/28/16/80/341281680.db2.gz AWMCREIBYLSXQG-FQEVSTJZSA-N 0 3 317.473 4.101 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCC(C)(C)C(F)(F)F)cc1 ZINC000548972834 341282518 /nfs/dbraw/zinc/28/25/18/341282518.db2.gz ZOESKNYYJLWZRK-UHFFFAOYSA-N 0 3 311.351 4.063 20 0 DIADHN COc1cc(CNCC(C)(C)C(F)(F)F)ccc1OC(C)C ZINC000548975890 341282696 /nfs/dbraw/zinc/28/26/96/341282696.db2.gz NAPGOYCRWGPUNR-UHFFFAOYSA-N 0 3 319.367 4.161 20 0 DIADHN Cc1cc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c(C)s1 ZINC000548992039 341283142 /nfs/dbraw/zinc/28/31/42/341283142.db2.gz SMUJBPMFMGKPRE-KRWDZBQOSA-N 0 3 322.905 4.411 20 0 DIADHN FC(F)O[C@H]1CCN(Cc2ccc(-c3ccccc3)cc2)C1 ZINC000549077764 341285528 /nfs/dbraw/zinc/28/55/28/341285528.db2.gz RSDSTGFSWONLHM-KRWDZBQOSA-N 0 3 303.352 4.167 20 0 DIADHN COc1cccc(CN(C)C(C)C)c1OCc1ccccc1F ZINC000549169018 341287726 /nfs/dbraw/zinc/28/77/26/341287726.db2.gz SFTUWUSJHDCAHS-UHFFFAOYSA-N 0 3 317.404 4.254 20 0 DIADHN C[C@H](NCC1CC(c2ccccc2)C1)c1nc2ccccc2n1C ZINC000549236844 341289291 /nfs/dbraw/zinc/28/92/91/341289291.db2.gz GBDKMZWPLZFRHM-HJOIGYKYSA-N 0 3 319.452 4.418 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2sccc2Cl)C1 ZINC000549349727 341292006 /nfs/dbraw/zinc/29/20/06/341292006.db2.gz FLHIPUIITQMUJO-GFCCVEGCSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCC[C@H]1C ZINC000245449841 341294816 /nfs/dbraw/zinc/29/48/16/341294816.db2.gz OBLUOMQLPGJMBB-HZPDHXFCSA-N 0 3 308.425 4.165 20 0 DIADHN CO[C@@H](C)c1nc(CN2CCC[C@H]2C2CCCCC2)cs1 ZINC000245466378 341295722 /nfs/dbraw/zinc/29/57/22/341295722.db2.gz JEKBKNVOZSYWGS-BBRMVZONSA-N 0 3 308.491 4.395 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@@H](C)[C@H](C)c1ccccc1 ZINC000245476851 341296243 /nfs/dbraw/zinc/29/62/43/341296243.db2.gz TYHXRFPETVMVPW-ULQDDVLXSA-N 0 3 310.441 4.104 20 0 DIADHN CCCN(CCC)CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC000549541454 341296914 /nfs/dbraw/zinc/29/69/14/341296914.db2.gz RSFSMTQTDKGKKL-MRXNPFEDSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1sccc1CNCc1ccc(OC(F)(F)F)cc1 ZINC000549686743 341300493 /nfs/dbraw/zinc/30/04/93/341300493.db2.gz ARQJKXFQPFEDJY-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3cnn(C)c3)s2)[C@@H]1c1ccccc1 ZINC000549802104 341303370 /nfs/dbraw/zinc/30/33/70/341303370.db2.gz JCSIYWAFYLITLQ-LIRRHRJNSA-N 0 3 323.465 4.342 20 0 DIADHN COc1ccc(CN(C)[C@H](C)C2CCCCC2)c(OC)c1OC ZINC000549808352 341303575 /nfs/dbraw/zinc/30/35/75/341303575.db2.gz NAXQFTMPPLJWJN-CQSZACIVSA-N 0 3 321.461 4.113 20 0 DIADHN COc1ccc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cc1O ZINC000549806255 341303622 /nfs/dbraw/zinc/30/36/22/341303622.db2.gz TWCOSLVWTOWXRX-REPLKXPHSA-N 0 3 323.436 4.311 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000549820930 341304071 /nfs/dbraw/zinc/30/40/71/341304071.db2.gz GWEXIRYHDBMYPY-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(CC(=O)OC)CC1 ZINC000549825783 341304265 /nfs/dbraw/zinc/30/42/65/341304265.db2.gz XSYFXWLGURMUIU-MRXNPFEDSA-N 0 3 309.837 4.066 20 0 DIADHN COc1cccc(CNC2CC(C(C)(C)C)C2)c1OC(F)F ZINC000549859509 341305135 /nfs/dbraw/zinc/30/51/35/341305135.db2.gz GOANYKJXKRNPLZ-UHFFFAOYSA-N 0 3 313.388 4.211 20 0 DIADHN CCc1ccc(CN2CCC(c3ccc(OC)cc3)CC2)nc1 ZINC000549862613 341305362 /nfs/dbraw/zinc/30/53/62/341305362.db2.gz WJFNGIVLUIGROI-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN Cc1ccccc1C(C)(C)NCC(=O)Nc1ccccc1Cl ZINC000549867700 341305560 /nfs/dbraw/zinc/30/55/60/341305560.db2.gz AVEGQDFSERPECM-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN CCOc1cccc(CNCCc2cc3ccccc3s2)n1 ZINC000549876375 341305784 /nfs/dbraw/zinc/30/57/84/341305784.db2.gz JEKMRFZREAGPLF-UHFFFAOYSA-N 0 3 312.438 4.027 20 0 DIADHN COc1ccsc1CNCCc1cc2ccccc2s1 ZINC000549883584 341305804 /nfs/dbraw/zinc/30/58/04/341305804.db2.gz CLKYMIKBWNBOMK-UHFFFAOYSA-N 0 3 303.452 4.304 20 0 DIADHN CCc1nocc1CN(C)CC1CCC(C(F)(F)F)CC1 ZINC000549960056 341307822 /nfs/dbraw/zinc/30/78/22/341307822.db2.gz TXPCGTMTUGKGDP-UHFFFAOYSA-N 0 3 304.356 4.038 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000245651282 341307936 /nfs/dbraw/zinc/30/79/36/341307936.db2.gz KARKFROAEXUWPQ-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1cnccn1 ZINC000549989039 341308241 /nfs/dbraw/zinc/30/82/41/341308241.db2.gz YNBNFCUMCNUFDM-KDOFPFPSSA-N 0 3 317.864 4.438 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2cccc(C)c2)s1 ZINC000550116111 341310315 /nfs/dbraw/zinc/31/03/15/341310315.db2.gz OLIKUOBYRRUHQC-UHFFFAOYSA-N 0 3 320.527 4.382 20 0 DIADHN C[C@@H](CNCc1conc1Cc1ccccc1)c1ccc(F)cc1 ZINC000550121907 341310445 /nfs/dbraw/zinc/31/04/45/341310445.db2.gz BLFGGRFDZXBCQG-HNNXBMFYSA-N 0 3 324.399 4.298 20 0 DIADHN C=Cc1ccc(CCNCc2conc2Cc2ccccc2)cc1 ZINC000550216950 341312599 /nfs/dbraw/zinc/31/25/99/341312599.db2.gz LLTAKSCATKYGIC-UHFFFAOYSA-N 0 3 318.420 4.241 20 0 DIADHN CCn1nc(C)c(CNCCc2cc3ccccc3s2)c1C ZINC000550273350 341314099 /nfs/dbraw/zinc/31/40/99/341314099.db2.gz NUPFNUMSFFFWDC-UHFFFAOYSA-N 0 3 313.470 4.067 20 0 DIADHN OC[C@@H](CNCc1cnc(C2CCCC2)s1)C1CCCCC1 ZINC000550274872 341314148 /nfs/dbraw/zinc/31/41/48/341314148.db2.gz CSPJLORZUXRABM-MRXNPFEDSA-N 0 3 322.518 4.079 20 0 DIADHN CC[C@H](NCc1csc(-c2ccc(Cl)cc2)n1)C(C)(C)O ZINC000550292739 341314536 /nfs/dbraw/zinc/31/45/36/341314536.db2.gz BVGVZJTUGXHGTR-AWEZNQCLSA-N 0 3 324.877 4.103 20 0 DIADHN Cc1cnc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)o1 ZINC000550370627 341315798 /nfs/dbraw/zinc/31/57/98/341315798.db2.gz STKMPJXUTHTWAK-KRWDZBQOSA-N 0 3 306.837 4.383 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](c2ncccc2C)C(C)C)C12CCC2 ZINC000245838964 341315819 /nfs/dbraw/zinc/31/58/19/341315819.db2.gz DBEDDGAFAVAELU-BBWFWOEESA-N 0 3 302.462 4.024 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000245843722 341315962 /nfs/dbraw/zinc/31/59/62/341315962.db2.gz FCGDRRJNEIITPA-PDSMFRHLSA-N 0 3 321.342 4.285 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000245858479 341316510 /nfs/dbraw/zinc/31/65/10/341316510.db2.gz HKYJBDCWVSEILP-HNHYXYPQSA-N 0 3 309.381 4.207 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1ccc(F)cc1Cl ZINC000245876611 341316970 /nfs/dbraw/zinc/31/69/70/341316970.db2.gz MMZDBTPHDATHNB-SZYCXQDTSA-N 0 3 309.812 4.087 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1ccc(F)cc1Cl ZINC000245876601 341317044 /nfs/dbraw/zinc/31/70/44/341317044.db2.gz MMZDBTPHDATHNB-SQWYCQTGSA-N 0 3 309.812 4.087 20 0 DIADHN CC[C@H]1CCCCN1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000245890196 341317439 /nfs/dbraw/zinc/31/74/39/341317439.db2.gz CDXRZKJAKLTDCT-PZJWPPBQSA-N 0 3 323.484 4.025 20 0 DIADHN CC(C)[C@H]1CN(Cc2cnc(-c3ccccc3)s2)CCCO1 ZINC000550558638 341318585 /nfs/dbraw/zinc/31/85/85/341318585.db2.gz MNGNCLOTALZAMI-QGZVFWFLSA-N 0 3 316.470 4.057 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@@H](c1cccs1)c1ccc(Cl)cc1 ZINC000245963291 341320074 /nfs/dbraw/zinc/32/00/74/341320074.db2.gz SVDZGULFEBREQH-SGIREYDYSA-N 0 3 307.846 4.258 20 0 DIADHN CN1CC[C@@H](NCc2ccc(F)cc2Cl)[C@@H]1c1ccccc1 ZINC000550713158 341321868 /nfs/dbraw/zinc/32/18/68/341321868.db2.gz WHQNLTVUKPDUAK-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN COc1ccc(F)cc1N[C@H]1CCN(C)[C@H](c2ccccc2)C1 ZINC000550760182 341322621 /nfs/dbraw/zinc/32/26/21/341322621.db2.gz UOOCJMYTWITIGQ-WMZOPIPTSA-N 0 3 314.404 4.082 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@H](C)c1ccc(SCC)cc1 ZINC000550829178 341323950 /nfs/dbraw/zinc/32/39/50/341323950.db2.gz SYOILJVAPQKOLB-LSDHHAIUSA-N 0 3 322.518 4.002 20 0 DIADHN COc1ccc(CCN[C@H](C)c2ccc(C)o2)c(Cl)c1OC ZINC000550858294 341324788 /nfs/dbraw/zinc/32/47/88/341324788.db2.gz QPUQFHIVBPSDIA-GFCCVEGCSA-N 0 3 323.820 4.152 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc(OC(F)F)cc2)ccn1 ZINC000550885432 341325482 /nfs/dbraw/zinc/32/54/82/341325482.db2.gz HAIAKKVYZMNOQR-NEPJUHHUSA-N 0 3 322.355 4.103 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cccc(OC(C)C)c2)ccn1 ZINC000550892773 341325976 /nfs/dbraw/zinc/32/59/76/341325976.db2.gz SWWNIDXPZSAKLM-LSDHHAIUSA-N 0 3 314.429 4.289 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]1F)c1ccc(F)c(Br)c1 ZINC000550930861 341327044 /nfs/dbraw/zinc/32/70/44/341327044.db2.gz LCIKQHVJPISLQP-OXHMUOHRSA-N 0 3 304.178 4.129 20 0 DIADHN COc1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C(C)C)ccn1 ZINC000550932142 341327181 /nfs/dbraw/zinc/32/71/81/341327181.db2.gz UCVKSFMCYKPNKZ-ACJLOTCBSA-N 0 3 302.393 4.277 20 0 DIADHN CCC[C@@H](NCCc1ccc(Cl)c(OC)c1)c1ccccn1 ZINC000550930612 341327196 /nfs/dbraw/zinc/32/71/96/341327196.db2.gz JRRQQWKJQPZKEM-MRXNPFEDSA-N 0 3 318.848 4.417 20 0 DIADHN FC(F)Oc1cc(CN[C@H]2CCc3c2cccc3Cl)ccn1 ZINC000551002173 341328792 /nfs/dbraw/zinc/32/87/92/341328792.db2.gz SWIWKBLIHZHSIL-AWEZNQCLSA-N 0 3 324.758 4.114 20 0 DIADHN C[C@@H](N[C@@H](C)COCC(F)(F)F)c1cccc(C2CC2)c1 ZINC000551015262 341329288 /nfs/dbraw/zinc/32/92/88/341329288.db2.gz VBNJZMTVPLJFQS-NWDGAFQWSA-N 0 3 301.352 4.182 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(F)c2ccccc21 ZINC000551029718 341329588 /nfs/dbraw/zinc/32/95/88/341329588.db2.gz PKIZHHXLXYDWJY-AWEZNQCLSA-N 0 3 309.384 4.322 20 0 DIADHN c1ccc(CSCCNCc2cnc3ccccc3c2)cc1 ZINC000551061910 341330572 /nfs/dbraw/zinc/33/05/72/341330572.db2.gz ZDIBXPPTWQPSJV-UHFFFAOYSA-N 0 3 308.450 4.258 20 0 DIADHN CCOCc1ccc(N[C@@H]2CCN(C)[C@@H](c3ccccc3)C2)cc1 ZINC000551136151 341332328 /nfs/dbraw/zinc/33/23/28/341332328.db2.gz KCDFNDVODOYJDE-NHCUHLMSSA-N 0 3 324.468 4.471 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@@H](C)c1cc2ccccc2s1 ZINC000551209471 341333966 /nfs/dbraw/zinc/33/39/66/341333966.db2.gz CXZZRBGIMBAIHU-KGLIPLIRSA-N 0 3 318.486 4.104 20 0 DIADHN CCC[C@H](C)[C@@H](CC)N[C@@H](C)c1ncc(Br)cn1 ZINC000551211865 341334012 /nfs/dbraw/zinc/33/40/12/341334012.db2.gz YOPTWUZGKKCQBQ-GMXVVIOVSA-N 0 3 314.271 4.105 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000246213569 341334192 /nfs/dbraw/zinc/33/41/92/341334192.db2.gz YYEDIBXZBWKBBH-HNHYXYPQSA-N 0 3 309.768 4.253 20 0 DIADHN COc1ccncc1CN[C@H]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000551228951 341334580 /nfs/dbraw/zinc/33/45/80/341334580.db2.gz NHMSXUUOOGPWDH-IRXDYDNUSA-N 0 3 316.832 4.170 20 0 DIADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cc2c(s1)CCCC2 ZINC000551242156 341335197 /nfs/dbraw/zinc/33/51/97/341335197.db2.gz SJPCJTMVRVPEPC-VXGBXAGGSA-N 0 3 302.443 4.139 20 0 DIADHN COC[C@@H](NC1(C(C)C)CC1)c1cccc(C(F)(F)F)c1 ZINC000551260442 341335655 /nfs/dbraw/zinc/33/56/55/341335655.db2.gz WXJTYMMWQRJBFU-CQSZACIVSA-N 0 3 301.352 4.171 20 0 DIADHN C[C@H](NCCc1ccccc1C(F)(F)F)c1ccncc1F ZINC000551266884 341336165 /nfs/dbraw/zinc/33/61/65/341336165.db2.gz HDBADNJYBQXOSX-NSHDSACASA-N 0 3 312.310 4.133 20 0 DIADHN Cc1cccc(C(C)(C)CN[C@@H](C)c2cn3ccccc3n2)c1 ZINC000551267413 341336246 /nfs/dbraw/zinc/33/62/46/341336246.db2.gz OQKDSIRZHJLVAA-INIZCTEOSA-N 0 3 307.441 4.271 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C(F)(F)F)cc2C)ccn1 ZINC000551278400 341336947 /nfs/dbraw/zinc/33/69/47/341336947.db2.gz QVXOCZAKSVDYRN-GFCCVEGCSA-N 0 3 324.346 4.268 20 0 DIADHN COc1cc([C@H](C)N[C@H]2c3ccccc3CCC[C@H]2C)ccn1 ZINC000551279763 341337047 /nfs/dbraw/zinc/33/70/47/341337047.db2.gz JFVGTOLLCSYIFL-QEEYODRMSA-N 0 3 310.441 4.455 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCCC3)ccn1 ZINC000551283396 341337067 /nfs/dbraw/zinc/33/70/67/341337067.db2.gz MCLHIKNVOMGLQK-LSDHHAIUSA-N 0 3 310.441 4.381 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@H](c1ccc(Br)s1)C1CC1 ZINC000551306893 341338019 /nfs/dbraw/zinc/33/80/19/341338019.db2.gz AOBIVQAQQCGXOO-NRUUGDAUSA-N 0 3 318.255 4.442 20 0 DIADHN C=Cc1ccc(C(=O)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)cc1 ZINC000551311765 341338076 /nfs/dbraw/zinc/33/80/76/341338076.db2.gz LRZRVHRPNXABFV-UZLBHIALSA-N 0 3 322.452 4.059 20 0 DIADHN C[C@@H](NCC1(Cc2ccc(F)cc2)CC1)c1cn2ccccc2n1 ZINC000551317054 341338529 /nfs/dbraw/zinc/33/85/29/341338529.db2.gz RSKKNTVVKFFCTF-OAHLLOKOSA-N 0 3 323.415 4.147 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CCC(CC(F)(F)F)CC1 ZINC000551403869 341340806 /nfs/dbraw/zinc/34/08/06/341340806.db2.gz QIECDYOYNXNQQQ-INIZCTEOSA-N 0 3 315.379 4.429 20 0 DIADHN Cc1cc([C@H](NCCCCO)c2cccc(Cl)c2)c(C)s1 ZINC000564380453 341343592 /nfs/dbraw/zinc/34/35/92/341343592.db2.gz MWDVDWHYNMDYGA-QGZVFWFLSA-N 0 3 323.889 4.470 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2ccc(OC)nc2)cc1 ZINC000551533823 341344396 /nfs/dbraw/zinc/34/43/96/341344396.db2.gz RKIRUBUWPYPNHJ-OAHLLOKOSA-N 0 3 314.429 4.120 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551557752 341345292 /nfs/dbraw/zinc/34/52/92/341345292.db2.gz ICEXPYOKNYCJOS-CRAIPNDOSA-N 0 3 309.457 4.152 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCC[C@@H]3[C@@H]3CCCO3)o2)cc1 ZINC000551570476 341345845 /nfs/dbraw/zinc/34/58/45/341345845.db2.gz FIUDMNBZCGHOCU-MJGOQNOKSA-N 0 3 315.388 4.229 20 0 DIADHN CC1(C)C[C@@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000551573130 341346054 /nfs/dbraw/zinc/34/60/54/341346054.db2.gz LQODUESLGNPXBB-OAHLLOKOSA-N 0 3 318.367 4.195 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1ccnc(OC(F)F)c1 ZINC000551573454 341346161 /nfs/dbraw/zinc/34/61/61/341346161.db2.gz RQURCSWCRVPHFK-WFASDCNBSA-N 0 3 318.367 4.330 20 0 DIADHN CC(C)[C@H](NCc1cnc2n1CCCC2)c1ccc(Cl)cc1 ZINC000551574740 341346192 /nfs/dbraw/zinc/34/61/92/341346192.db2.gz OUZYKNCNSRZUNL-SFHVURJKSA-N 0 3 317.864 4.360 20 0 DIADHN COCC1(NCc2ccc(-c3ccc(F)cc3C)o2)CCC1 ZINC000551581864 341346397 /nfs/dbraw/zinc/34/63/97/341346397.db2.gz VQHHDLAYYTWPQU-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN CCOc1ccc(CNCc2cccc(OC)c2)cc1Cl ZINC000551582568 341346523 /nfs/dbraw/zinc/34/65/23/341346523.db2.gz YCDZSWMSFRFWGA-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN Cc1cnn(CCN[C@@H](c2oc3ccccc3c2C)C(C)C)c1 ZINC000551704178 341349445 /nfs/dbraw/zinc/34/94/45/341349445.db2.gz PNLYUBIFDIRRBW-GOSISDBHSA-N 0 3 311.429 4.233 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(c2c[nH]nc2C)CC1 ZINC000551722467 341350142 /nfs/dbraw/zinc/35/01/42/341350142.db2.gz IEBBAJSIIZZTTO-GOSISDBHSA-N 0 3 301.409 4.188 20 0 DIADHN C/C=C\C[C@H](CO)N[C@@H](C)c1ccc(OC(C)C)c(Cl)c1 ZINC000551778404 341351061 /nfs/dbraw/zinc/35/10/61/341351061.db2.gz FVEQTITWHSAGDS-PKFHVXLBSA-N 0 3 311.853 4.105 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCCOC(F)(F)F)cc1 ZINC000551781293 341351140 /nfs/dbraw/zinc/35/11/40/341351140.db2.gz ZEGGDUUBIIWQQZ-GFCCVEGCSA-N 0 3 305.340 4.053 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCCN2CC=CCC2)cc1Cl ZINC000551779317 341351189 /nfs/dbraw/zinc/35/11/89/341351189.db2.gz YSMUDMGSJLHQFN-OAHLLOKOSA-N 0 3 322.880 4.040 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2CCc3c2cccc3C)cc1Cl ZINC000551790021 341351760 /nfs/dbraw/zinc/35/17/60/341351760.db2.gz VNYDKSRPSVBJPH-YVEFUNNKSA-N 0 3 316.832 4.390 20 0 DIADHN CC(C)(C)c1ncc(CN[C@]2(C)CCCc3ccccc32)cn1 ZINC000551799474 341352146 /nfs/dbraw/zinc/35/21/46/341352146.db2.gz YSKKNTNMVFUJJV-HXUWFJFHSA-N 0 3 309.457 4.115 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1c(F)cccc1N1CCCC1 ZINC000551828483 341353337 /nfs/dbraw/zinc/35/33/37/341353337.db2.gz QUWMJKVBJBJGBZ-CQSZACIVSA-N 0 3 318.461 4.208 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000246637018 341354921 /nfs/dbraw/zinc/35/49/21/341354921.db2.gz RWAJTVZXSKQUQX-CPUCHLNUSA-N 0 3 303.837 4.181 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@H]1c1ccccn1 ZINC000551941065 341355658 /nfs/dbraw/zinc/35/56/58/341355658.db2.gz DAIDWXHFWVHSEO-UEBLJOKOSA-N 0 3 322.452 4.468 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1cccc2ccccc21 ZINC000246906283 341358541 /nfs/dbraw/zinc/35/85/41/341358541.db2.gz FLFDHLBCCACOCU-DLPLYFIVSA-N 0 3 303.405 4.149 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCCC[C@H]1n1cccn1 ZINC000246985664 341359442 /nfs/dbraw/zinc/35/94/42/341359442.db2.gz JCFDSSZSMMWYCJ-CGTJXYLNSA-N 0 3 313.445 4.035 20 0 DIADHN CCc1ccc(CNCc2ccc(-c3csc(C)n3)cc2)cn1 ZINC000552013331 341360464 /nfs/dbraw/zinc/36/04/64/341360464.db2.gz RFIYKDTXSXDPQA-UHFFFAOYSA-N 0 3 323.465 4.366 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000247075310 341360712 /nfs/dbraw/zinc/36/07/12/341360712.db2.gz QKJRHDHSIAIPLK-XUVXKRRUSA-N 0 3 311.400 4.323 20 0 DIADHN Cc1nc2c(s1)[C@H](NCCO[C@@H]1CCCC[C@H]1C)CCC2 ZINC000247113531 341361170 /nfs/dbraw/zinc/36/11/70/341361170.db2.gz QSCXNQLNSVDYGG-XNRPHZJLSA-N 0 3 308.491 4.014 20 0 DIADHN COC[C@H](N[C@@H](C)[C@H](C)c1ccc(OC)cc1)c1ccc(C)o1 ZINC000247184143 341361847 /nfs/dbraw/zinc/36/18/47/341361847.db2.gz UANASPOZAIGTMT-MPGHIAIKSA-N 0 3 317.429 4.066 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1nccn1Cc1ccccc1 ZINC000247211196 341362301 /nfs/dbraw/zinc/36/23/01/341362301.db2.gz CKCLIZKHSJDZAF-BHIYHBOVSA-N 0 3 309.457 4.084 20 0 DIADHN COc1ccc(OC2CCN(Cc3ccsc3C)CC2)cc1 ZINC000552023194 341362423 /nfs/dbraw/zinc/36/24/23/341362423.db2.gz PSNLSVONVLXQSD-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCCO1)c1ccccc1N(C)C ZINC000247297065 341363079 /nfs/dbraw/zinc/36/30/79/341363079.db2.gz ZLGRIIBXOPXBPH-ZLGUVYLKSA-N 0 3 324.468 4.324 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCCO[C@@H](C)C1 ZINC000552038159 341363981 /nfs/dbraw/zinc/36/39/81/341363981.db2.gz YZFPRPYZBQHRRU-INIZCTEOSA-N 0 3 313.441 4.238 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1ncc[nH]1 ZINC000552044464 341364372 /nfs/dbraw/zinc/36/43/72/341364372.db2.gz CSOVLQASOZDZEY-ZDUSSCGKSA-N 0 3 303.837 4.226 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000247414579 341364375 /nfs/dbraw/zinc/36/43/75/341364375.db2.gz CXJXKUCFSYVPNL-BXUZGUMPSA-N 0 3 310.869 4.262 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2O)c1 ZINC000552046698 341364902 /nfs/dbraw/zinc/36/49/02/341364902.db2.gz ONZSDHUEPWOUJD-ONGXBYRLSA-N 0 3 309.453 4.194 20 0 DIADHN CC(C)COc1ccc(C(C)(C)CN[C@@H](C)c2ncc[nH]2)cc1 ZINC000552049499 341365068 /nfs/dbraw/zinc/36/50/68/341365068.db2.gz XLOQCENHFCCQSZ-HNNXBMFYSA-N 0 3 315.461 4.073 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@H]43)co2)cc1 ZINC000247460112 341365255 /nfs/dbraw/zinc/36/52/55/341365255.db2.gz IHEFAVIZEAYTPZ-RDTXWAMCSA-N 0 3 312.413 4.115 20 0 DIADHN CCc1noc(CC)c1CN[C@@H](C)CCc1ccc(F)cc1F ZINC000552058092 341365745 /nfs/dbraw/zinc/36/57/45/341365745.db2.gz VJKZBZQHGOYFPV-LBPRGKRZSA-N 0 3 322.399 4.189 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@H]4CCC[C@H]43)o2)cc1 ZINC000247509980 341366059 /nfs/dbraw/zinc/36/60/59/341366059.db2.gz MKXFTOJIJLWTMO-RHSMWYFYSA-N 0 3 312.413 4.115 20 0 DIADHN COc1ccc([C@H](C)NCc2c(C)cccc2C)c(C)c1OC ZINC000552063178 341366349 /nfs/dbraw/zinc/36/63/49/341366349.db2.gz RKQKUCBPGREONG-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@@H](c1nccn1C)C1CC1 ZINC000552090090 341368890 /nfs/dbraw/zinc/36/88/90/341368890.db2.gz ABGUMNFGQMBTDN-CRAIPNDOSA-N 0 3 311.473 4.217 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H](c1nccn1C)C1CC1 ZINC000552090427 341369106 /nfs/dbraw/zinc/36/91/06/341369106.db2.gz JQKFONKRUHTXOP-RTBURBONSA-N 0 3 311.473 4.262 20 0 DIADHN C[C@H](NC[C@@H]1CCOC[C@@H]1C)c1cc(F)c(Cl)cc1Cl ZINC000552098755 341369686 /nfs/dbraw/zinc/36/96/86/341369686.db2.gz NRDPLPGCYQXPGF-DCAQKATOSA-N 0 3 320.235 4.456 20 0 DIADHN CCCOc1ccc([C@H](C)NCC2(C(F)(F)F)CC2)cc1 ZINC000552099946 341369815 /nfs/dbraw/zinc/36/98/15/341369815.db2.gz XSYNNHWOBRRDHJ-LBPRGKRZSA-N 0 3 301.352 4.469 20 0 DIADHN Cc1cccc(CN(Cc2cccs2)C[C@H]2CCCO2)c1 ZINC000247887631 341370916 /nfs/dbraw/zinc/37/09/16/341370916.db2.gz DVKQXQAVUSDXJD-QGZVFWFLSA-N 0 3 301.455 4.238 20 0 DIADHN COc1ccc([C@H]2CCCN2CCOc2cccc(C)c2)cc1 ZINC000248009802 341372139 /nfs/dbraw/zinc/37/21/39/341372139.db2.gz ILRQYSVSPNKDQJ-HXUWFJFHSA-N 0 3 311.425 4.220 20 0 DIADHN CC1(C)CN(Cc2cnc(-c3ccco3)s2)[C@H]2CCC[C@@H]21 ZINC000552139311 341372666 /nfs/dbraw/zinc/37/26/66/341372666.db2.gz AJZYETVXQKHCKR-KBPBESRZSA-N 0 3 302.443 4.414 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCN(Cc3ccno3)C2)c1 ZINC000552143039 341373131 /nfs/dbraw/zinc/37/31/31/341373131.db2.gz IKEQMTJQJXSNPA-CYBMUJFWSA-N 0 3 310.319 4.073 20 0 DIADHN Cc1ccc(CN(Cc2cccs2)C[C@@H]2CCCO2)cc1 ZINC000248124426 341373605 /nfs/dbraw/zinc/37/36/05/341373605.db2.gz WMXAGIWJNGOVLB-KRWDZBQOSA-N 0 3 301.455 4.238 20 0 DIADHN Fc1ccccc1[C@@H](Cc1ccccc1)NCCc1ccncc1 ZINC000564388540 341375525 /nfs/dbraw/zinc/37/55/25/341375525.db2.gz AKSIENJJRDPEFX-OAQYLSRUSA-N 0 3 320.411 4.337 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCO[C@H](c3ccccc3)C2)o1 ZINC000248389136 341376373 /nfs/dbraw/zinc/37/63/73/341376373.db2.gz GFXMFJCSGJITBY-CQEAQJRCSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1noc([C@@H]2CCCN([C@H](C)c3ccc(C(C)C)cc3)C2)n1 ZINC000248441056 341377934 /nfs/dbraw/zinc/37/79/34/341377934.db2.gz DMJTZIDKBKDQQU-RDTXWAMCSA-N 0 3 313.445 4.442 20 0 DIADHN CCC[C@H](N[C@H](C)C[S@@](C)=O)c1ccc(Cl)cc1Cl ZINC000248494525 341378821 /nfs/dbraw/zinc/37/88/21/341378821.db2.gz PUFNJXMCYWFHTD-MPSXMAJESA-N 0 3 322.301 4.191 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000248541448 341379399 /nfs/dbraw/zinc/37/93/99/341379399.db2.gz BNVYGHZWQVTPGG-XYPHTWIQSA-N 0 3 316.493 4.041 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C1CCCC1)c1ccc(Cl)cc1 ZINC000552244401 341380879 /nfs/dbraw/zinc/38/08/79/341380879.db2.gz ZDSAFLVOLJSBNN-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2cc(C)ccn2)N2CCCC2)cc1 ZINC000552246017 341380890 /nfs/dbraw/zinc/38/08/90/341380890.db2.gz FTLRSQLOOKWYJD-NQIIRXRSSA-N 0 3 323.484 4.186 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccccc2-n2ccnn2)c1 ZINC000552250052 341381259 /nfs/dbraw/zinc/38/12/59/341381259.db2.gz SZODHURXGUNCSG-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC000552254215 341381649 /nfs/dbraw/zinc/38/16/49/341381649.db2.gz SSCWVKQBBCCKCT-INIZCTEOSA-N 0 3 321.399 4.067 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@H](C)Oc2ccc(Cl)cc2)c1 ZINC000552260438 341381995 /nfs/dbraw/zinc/38/19/95/341381995.db2.gz BHTUAFOCPRSPFB-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cc(F)ccc1CCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000552268338 341382352 /nfs/dbraw/zinc/38/23/52/341382352.db2.gz FLXBDDDEWSWPGP-SFHVURJKSA-N 0 3 315.436 4.311 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCSCc1ccccc1 ZINC000552269574 341382584 /nfs/dbraw/zinc/38/25/84/341382584.db2.gz HWKWEROTEBSCQG-UHFFFAOYSA-N 0 3 318.486 4.440 20 0 DIADHN COc1ccncc1CNC[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000552273427 341382916 /nfs/dbraw/zinc/38/29/16/341382916.db2.gz JVCCQHRUUQKFKT-GFCCVEGCSA-N 0 3 324.346 4.002 20 0 DIADHN COc1cccc(CN2CCC[C@H](c3ccccc3)C2)c1OC ZINC000552274410 341383014 /nfs/dbraw/zinc/38/30/14/341383014.db2.gz SQUIJWPSQGVFIZ-KRWDZBQOSA-N 0 3 311.425 4.083 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@@]2(C)CCCN2Cc2ccccc2)c1 ZINC000552280395 341383648 /nfs/dbraw/zinc/38/36/48/341383648.db2.gz FWGKFEONKGKPBB-WIYYLYMNSA-N 0 3 323.484 4.095 20 0 DIADHN COc1ccc([C@H](C)CN2CC(C)(C)[C@@H]2c2ccncc2)cc1 ZINC000552279386 341383724 /nfs/dbraw/zinc/38/37/24/341383724.db2.gz DWAHLECWKRWNNA-BEFAXECRSA-N 0 3 310.441 4.277 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)CC(F)(F)F)c(C)c1OC ZINC000552280439 341383808 /nfs/dbraw/zinc/38/38/08/341383808.db2.gz HDLKXJURSSIPPF-GXSJLCMTSA-N 0 3 305.340 4.004 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)Cc1ccccc1)c1csc(Cl)c1 ZINC000248682612 341384220 /nfs/dbraw/zinc/38/42/20/341384220.db2.gz DVCWHQQRJKFFKW-HUBLWGQQSA-N 0 3 309.862 4.044 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1csc(Cl)c1 ZINC000248697009 341384455 /nfs/dbraw/zinc/38/44/55/341384455.db2.gz LJPDBWKFMPIVHI-IACUBPJLSA-N 0 3 309.866 4.433 20 0 DIADHN O[C@@H]1CCN(Cc2cnc(C3CCCCC3)s2)C12CCCC2 ZINC000552315777 341385844 /nfs/dbraw/zinc/38/58/44/341385844.db2.gz YRSGXPSWRDUKPV-MRXNPFEDSA-N 0 3 320.502 4.070 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)cc1C ZINC000552316915 341385934 /nfs/dbraw/zinc/38/59/34/341385934.db2.gz SSNMILRSIJEMIG-FQEVSTJZSA-N 0 3 309.453 4.297 20 0 DIADHN c1[nH]nc2cc(CN3CC4(CCC4)[C@@H]3c3ccccc3)ccc12 ZINC000552316536 341385977 /nfs/dbraw/zinc/38/59/77/341385977.db2.gz DNHDPWKEFBWHFK-IBGZPJMESA-N 0 3 303.409 4.290 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccccc1C(C)(C)C ZINC000552321625 341386298 /nfs/dbraw/zinc/38/62/98/341386298.db2.gz YNNXZMJSPJCOMX-HNNXBMFYSA-N 0 3 307.441 4.483 20 0 DIADHN C[C@H](CCc1ccc(F)cc1)N[C@H](C)c1c(F)cncc1F ZINC000552327512 341386864 /nfs/dbraw/zinc/38/68/64/341386864.db2.gz VYBFJPLXEPGYEW-VXGBXAGGSA-N 0 3 308.347 4.171 20 0 DIADHN Cc1cc(CCN[C@H](c2ccc(Cl)cc2F)C(C)C)on1 ZINC000552339038 341387721 /nfs/dbraw/zinc/38/77/21/341387721.db2.gz WROSKUKFRZYKQY-INIZCTEOSA-N 0 3 310.800 4.305 20 0 DIADHN Fc1cc(Br)c(CN[C@@H]2CCC[C@H]3C[C@H]32)cc1F ZINC000552340535 341387862 /nfs/dbraw/zinc/38/78/62/341387862.db2.gz XTPPDVKEBIMRSZ-LLHLLMPMSA-N 0 3 316.189 4.006 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000142093142 341388185 /nfs/dbraw/zinc/38/81/85/341388185.db2.gz JVTTZHTUQNEBGD-HZPDHXFCSA-N 0 3 310.441 4.316 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1N[C@H]1CCCc2c1cnn2C ZINC000248886999 341389488 /nfs/dbraw/zinc/38/94/88/341389488.db2.gz SGAHJKXIINOOHW-NBHGPNQESA-N 0 3 323.484 4.347 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000248911784 341390005 /nfs/dbraw/zinc/39/00/05/341390005.db2.gz YGPNDNVMHMTTTG-LALPHHSUSA-N 0 3 303.381 4.105 20 0 DIADHN C[C@H](NCCCCOc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000552384827 341390459 /nfs/dbraw/zinc/39/04/59/341390459.db2.gz QMEGVWBBAZVIER-ZDUSSCGKSA-N 0 3 322.811 4.384 20 0 DIADHN Cc1cc(CN2CC3(CCOCC3)[C@H]2C2CC2)ccc1Cl ZINC000552390889 341390797 /nfs/dbraw/zinc/39/07/97/341390797.db2.gz NJMTYZTZUAZHDO-QGZVFWFLSA-N 0 3 305.849 4.039 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCCO[C@H]2C(C)C)cs1 ZINC000248988762 341391406 /nfs/dbraw/zinc/39/14/06/341391406.db2.gz KOSYDJMUAKOASB-LZWOXQAQSA-N 0 3 310.507 4.368 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](C)c2cc(Cl)ccc2OC(F)F)C1 ZINC000248994043 341391424 /nfs/dbraw/zinc/39/14/24/341391424.db2.gz GIFQULQEDWDGAS-ADEWGFFLSA-N 0 3 319.779 4.160 20 0 DIADHN CC(C)[C@]1(CO)CCCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000552407867 341391861 /nfs/dbraw/zinc/39/18/61/341391861.db2.gz HRPRLHBCZPTITA-HXUWFJFHSA-N 0 3 313.441 4.177 20 0 DIADHN Cc1cc(C)cc(OCCCN(Cc2ccccn2)C2CC2)c1 ZINC000552465768 341394866 /nfs/dbraw/zinc/39/48/66/341394866.db2.gz RENWPAHCLBUNNF-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCS1)c1sccc1Br ZINC000087792972 341395391 /nfs/dbraw/zinc/39/53/91/341395391.db2.gz IXGKHRLHVSYWCC-BXKDBHETSA-N 0 3 320.321 4.447 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCS1)c1sccc1Br ZINC000087792971 341395484 /nfs/dbraw/zinc/39/54/84/341395484.db2.gz IXGKHRLHVSYWCC-JOYOIKCWSA-N 0 3 320.321 4.447 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CC[C@H]2CCCO2)c1 ZINC000249485582 341398240 /nfs/dbraw/zinc/39/82/40/341398240.db2.gz UHIFFUKDLHGKNH-DYVFJYSZSA-N 0 3 319.495 4.326 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCC(=O)Nc1cc(Cl)ccc1Cl ZINC000552550090 341398418 /nfs/dbraw/zinc/39/84/18/341398418.db2.gz JICNIEAYKWZGBU-MFKMUULPSA-N 0 3 315.244 4.100 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000249966068 341402073 /nfs/dbraw/zinc/40/20/73/341402073.db2.gz KAPJSYOTHLFXBD-IVZWLZJFSA-N 0 3 303.219 4.068 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1cccc(Cl)c1Cl ZINC000249879080 341401724 /nfs/dbraw/zinc/40/17/24/341401724.db2.gz HJXLNIMLUQOUIC-FRJFDASCSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000249963984 341401942 /nfs/dbraw/zinc/40/19/42/341401942.db2.gz JWBQMWQPNVSBMD-CKYFFXLPSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000090084653 341413276 /nfs/dbraw/zinc/41/32/76/341413276.db2.gz JSKNTSATLSBETA-GJZGRUSLSA-N 0 3 301.430 4.394 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@@H](C)Oc2cccc(Cl)c2)cn1 ZINC000090115929 341413600 /nfs/dbraw/zinc/41/36/00/341413600.db2.gz QYVIFUNXUMBIIL-KGLIPLIRSA-N 0 3 321.852 4.236 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)C(C)(C)CO)c1ccccc1F ZINC000090657592 341418204 /nfs/dbraw/zinc/41/82/04/341418204.db2.gz OVBGCPABJRPKDU-MAUKXSAKSA-N 0 3 315.432 4.279 20 0 DIADHN CS[C@H](C)CN[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000090725203 341418903 /nfs/dbraw/zinc/41/89/03/341418903.db2.gz GHFCXGIZMVLEBV-NEPJUHHUSA-N 0 3 323.893 4.236 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000251708833 341419392 /nfs/dbraw/zinc/41/93/92/341419392.db2.gz KJAAAHSZHDEUPV-XBVQOTNRSA-N 0 3 321.848 4.019 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)c(C)c1 ZINC000090859948 341419692 /nfs/dbraw/zinc/41/96/92/341419692.db2.gz URPSQIURLIKNJV-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1ccc(CN[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)cc1 ZINC000553218322 341420281 /nfs/dbraw/zinc/42/02/81/341420281.db2.gz BOOZNVMOHUABJK-ZWKOTPCHSA-N 0 3 301.817 4.268 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cc(F)cc(OC)c2)cc1 ZINC000090972217 341420861 /nfs/dbraw/zinc/42/08/61/341420861.db2.gz IXJKZNUZDGTZOO-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN CC[C@H](NCC[C@H](C)[S@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000252733119 341430200 /nfs/dbraw/zinc/43/02/00/341430200.db2.gz XZSIWBNMSWVSNB-KDDHCUDTSA-N 0 3 322.301 4.191 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)cc1C ZINC000553718011 341434643 /nfs/dbraw/zinc/43/46/43/341434643.db2.gz UIENOCBUHPINOJ-INIZCTEOSA-N 0 3 322.452 4.347 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000553717670 341434658 /nfs/dbraw/zinc/43/46/58/341434658.db2.gz HZKWDNPNJUDFCN-WMLDXEAASA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1nc2ccccc2[nH]1 ZINC000553855894 341437034 /nfs/dbraw/zinc/43/70/34/341437034.db2.gz HJKQKOJROIJSLV-SFHVURJKSA-N 0 3 311.404 4.059 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C)c(C)c2)c(C)c1OC ZINC000553957397 341439160 /nfs/dbraw/zinc/43/91/60/341439160.db2.gz FISPBJQHJMMEED-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1cc(C)c(CN[C@H](CCO)c2ccc(Cl)cc2)c(C)c1 ZINC000554289388 341448763 /nfs/dbraw/zinc/44/87/63/341448763.db2.gz BNEQKRNBAYFOET-LJQANCHMSA-N 0 3 317.860 4.479 20 0 DIADHN CC(C)[C@H](CO)CN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000554372871 341452013 /nfs/dbraw/zinc/45/20/13/341452013.db2.gz ARTYTDUXTLZDPD-FUHWJXTLSA-N 0 3 317.473 4.067 20 0 DIADHN COC[C@H](NCC1(SC)CCC1)c1cccc(Cl)c1F ZINC000554376886 341452508 /nfs/dbraw/zinc/45/25/08/341452508.db2.gz HGICOKMLNCGUCN-ZDUSSCGKSA-N 0 3 317.857 4.042 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1C(F)(F)F)c1cccnc1 ZINC000092740674 341455501 /nfs/dbraw/zinc/45/55/01/341455501.db2.gz OHIHCCMGKQOLAT-QWHCGFSZSA-N 0 3 324.346 4.219 20 0 DIADHN CC(C)COc1ccc(CNCC(C)(C)C(F)(F)F)cc1 ZINC000554655899 341460639 /nfs/dbraw/zinc/46/06/39/341460639.db2.gz SWEVBBQXHUJJJI-UHFFFAOYSA-N 0 3 303.368 4.400 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000253603301 341458681 /nfs/dbraw/zinc/45/86/81/341458681.db2.gz CTOKSNLTHLPCDC-CHWSQXEVSA-N 0 3 304.821 4.473 20 0 DIADHN COc1ccc(CN[C@@H](c2cccs2)C2CC2)c(C)c1OC ZINC000554713920 341461779 /nfs/dbraw/zinc/46/17/79/341461779.db2.gz KVGJATKFIDTDBU-QGZVFWFLSA-N 0 3 317.454 4.315 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCCCO[C@H]1CCCCO1 ZINC000554816052 341465209 /nfs/dbraw/zinc/46/52/09/341465209.db2.gz AZHGDZGZCPLMAC-LPHOPBHVSA-N 0 3 321.461 4.058 20 0 DIADHN CC(C)C[C@@H](CN[C@@H](c1nccn1C)C1CC1)c1ccccc1 ZINC000554870210 341467341 /nfs/dbraw/zinc/46/73/41/341467341.db2.gz ZWDLNCTZYUAQLK-RBUKOAKNSA-N 0 3 311.473 4.291 20 0 DIADHN c1ccc([C@H]2CCCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC000554889934 341468720 /nfs/dbraw/zinc/46/87/20/341468720.db2.gz QLQNMFKUEGKTEH-AEFFLSMTSA-N 0 3 310.441 4.369 20 0 DIADHN COc1cccc(NC2CCN(Cc3ccc(C)s3)CC2)c1 ZINC000093342260 341468750 /nfs/dbraw/zinc/46/87/50/341468750.db2.gz FLXZBKATZPDVER-UHFFFAOYSA-N 0 3 316.470 4.142 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000554998030 341471753 /nfs/dbraw/zinc/47/17/53/341471753.db2.gz GZQXVNQOQJXDPZ-OPRDCNLKSA-N 0 3 307.768 4.015 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000555424657 341482618 /nfs/dbraw/zinc/48/26/18/341482618.db2.gz NNDQODBDGBXZBL-KNCOVGOOSA-N 0 3 316.470 4.417 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H](C)[C@@H]1COc2ccccc21 ZINC000555423743 341482669 /nfs/dbraw/zinc/48/26/69/341482669.db2.gz QESNCXPNBXNLMC-YJBOKZPZSA-N 0 3 324.468 4.422 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc(C(C)(F)F)cc1 ZINC000555590511 341486238 /nfs/dbraw/zinc/48/62/38/341486238.db2.gz IAMLSRGWXIYVKS-UHFFFAOYSA-N 0 3 315.367 4.044 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2cc(C(F)(F)F)ccn2)o1 ZINC000555617528 341487239 /nfs/dbraw/zinc/48/72/39/341487239.db2.gz HNSDODRCGXLQHD-XHDPSFHLSA-N 0 3 324.346 4.149 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccccc2)cc1F)C(C)(C)O ZINC000555627214 341487632 /nfs/dbraw/zinc/48/76/32/341487632.db2.gz JMGVFHUQEMDBHB-SFHVURJKSA-N 0 3 301.405 4.132 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(C)c1)c1ccc(C(=O)OC)cc1 ZINC000556055177 341492950 /nfs/dbraw/zinc/49/29/50/341492950.db2.gz QLDJZFFAMCXHRX-GOSISDBHSA-N 0 3 315.388 4.162 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)cc1)c1ccc(C(=O)OC)cc1 ZINC000556051158 341492960 /nfs/dbraw/zinc/49/29/60/341492960.db2.gz BOSQZTWURXWPAN-QGZVFWFLSA-N 0 3 317.816 4.368 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](C)c1ccncc1F ZINC000556120240 341494895 /nfs/dbraw/zinc/49/48/95/341494895.db2.gz MLPVLZMZRQOHJA-KGLIPLIRSA-N 0 3 304.434 4.442 20 0 DIADHN CCc1cc(CN[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)on1 ZINC000556138033 341495363 /nfs/dbraw/zinc/49/53/63/341495363.db2.gz FIMPAICBNFBNKP-XJKSGUPXSA-N 0 3 304.821 4.316 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](NCc2cnc(C3CC3)o2)C1 ZINC000556177099 341496425 /nfs/dbraw/zinc/49/64/25/341496425.db2.gz QZGGXDNBPZBCHS-HZPDHXFCSA-N 0 3 311.429 4.071 20 0 DIADHN CO[C@@H](CN[C@H]1CC2(CCCCC2)Oc2ccccc21)C1CC1 ZINC000556238411 341498617 /nfs/dbraw/zinc/49/86/17/341498617.db2.gz KAAQPANEUIIBID-HKUYNNGSSA-N 0 3 315.457 4.228 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@@H](C)N[C@@H]1CCC[C@@H]1F ZINC000556260174 341499870 /nfs/dbraw/zinc/49/98/70/341499870.db2.gz JWXZQYODSDETQR-UKPHBRMFSA-N 0 3 306.425 4.007 20 0 DIADHN CCC(CC)c1cc(CN[C@H]2CCc3cccnc3CC2)on1 ZINC000564818054 341510547 /nfs/dbraw/zinc/51/05/47/341510547.db2.gz KCTSTAAGAUEEDQ-INIZCTEOSA-N 0 3 313.445 4.010 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(SCC(F)(F)F)cc1 ZINC000153059205 341522758 /nfs/dbraw/zinc/52/27/58/341522758.db2.gz YQZQDSMVKOXHDV-AAEUAGOBSA-N 0 3 319.392 4.171 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N[C@H]2CO[C@@H](C)C2)cc1 ZINC000565302898 341523175 /nfs/dbraw/zinc/52/31/75/341523175.db2.gz IPUUFFUYKZEWLQ-RLFYNMQTSA-N 0 3 311.425 4.190 20 0 DIADHN Clc1cccc(-c2cnc(CN3C[C@H]4CCC[C@@H]4C3)o2)c1 ZINC000153156478 341527445 /nfs/dbraw/zinc/52/74/45/341527445.db2.gz PDXGJMLZQPBHJB-ZIAGYGMSSA-N 0 3 302.805 4.227 20 0 DIADHN CC(C)n1cc(CN[C@@H](CC2CCCCC2)C(C)(C)C)nn1 ZINC000565445488 341527538 /nfs/dbraw/zinc/52/75/38/341527538.db2.gz XEDGLVDPSUHZRA-KRWDZBQOSA-N 0 3 306.498 4.334 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H](C)c2cccc(CO)c2)cc1 ZINC000305770266 341532416 /nfs/dbraw/zinc/53/24/16/341532416.db2.gz HADXFBRHZCVYGF-HZPDHXFCSA-N 0 3 313.441 4.378 20 0 DIADHN Clc1ccc(-c2cnc(CN3C[C@H]4CCC[C@@H]4C3)o2)cc1 ZINC000153322911 341535284 /nfs/dbraw/zinc/53/52/84/341535284.db2.gz PXXLQXYGVPWXAQ-ZIAGYGMSSA-N 0 3 302.805 4.227 20 0 DIADHN CC[C@@H](NCc1ccc2cnn(C)c2c1)c1ccc(Cl)cc1 ZINC000572571370 341537064 /nfs/dbraw/zinc/53/70/64/341537064.db2.gz KODVXMGTKACKDM-QGZVFWFLSA-N 0 3 313.832 4.468 20 0 DIADHN C[C@@]1(CNCc2cnc(-c3ccc(Cl)cc3)s2)CCCO1 ZINC000565958087 341543687 /nfs/dbraw/zinc/54/36/87/341543687.db2.gz ZKBYTEGPHYCISB-INIZCTEOSA-N 0 3 322.861 4.122 20 0 DIADHN CCC[C@H](NC[C@H]1CSc2ccccc2O1)c1ccccn1 ZINC000566220012 341551065 /nfs/dbraw/zinc/55/10/65/341551065.db2.gz HMFYQLYGRJQNNV-GJZGRUSLSA-N 0 3 314.454 4.066 20 0 DIADHN Cc1cc(SC2CCCCC2)ccc1NC(=O)CNC(C)C ZINC000566507446 341560363 /nfs/dbraw/zinc/56/03/63/341560363.db2.gz BQISKRSCROIACE-UHFFFAOYSA-N 0 3 320.502 4.356 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](N[C@H]1CCC[C@H]2C[C@H]21)c1ccccc1 ZINC000566573581 341562248 /nfs/dbraw/zinc/56/22/48/341562248.db2.gz MZGRJVJEAWCNLO-RNQOJCNYSA-N 0 3 320.436 4.145 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc2[nH]c(C)cc2c1 ZINC000566729584 341566531 /nfs/dbraw/zinc/56/65/31/341566531.db2.gz PZXQDAFUMMCDLN-SFHVURJKSA-N 0 3 324.399 4.401 20 0 DIADHN Cc1cc(C)cc(C[C@H](C)N[C@@H](C)c2cn3ccccc3n2)c1 ZINC000566811116 341568793 /nfs/dbraw/zinc/56/87/93/341568793.db2.gz LWPFDOYIQRCMHG-IRXDYDNUSA-N 0 3 307.441 4.233 20 0 DIADHN COc1cccc2cc([C@@H](C)NCc3cccc(N(C)C)c3)oc21 ZINC000154184050 341586167 /nfs/dbraw/zinc/58/61/67/341586167.db2.gz MDYWZNVHMOIBSF-CQSZACIVSA-N 0 3 324.424 4.358 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(-n3ccnc3)cc2)c1 ZINC000267272353 341590462 /nfs/dbraw/zinc/59/04/62/341590462.db2.gz SOLUHDPWMIPQED-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000567325940 341595222 /nfs/dbraw/zinc/59/52/22/341595222.db2.gz MNTHIYSGRVWMSK-YWZLYKJASA-N 0 3 322.452 4.035 20 0 DIADHN c1ccc(CN2CCC(Nc3cccc4ccncc43)CC2)cc1 ZINC000310023115 341600344 /nfs/dbraw/zinc/60/03/44/341600344.db2.gz ASXIPMUMPSMELM-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN Cc1noc(C)c1CN[C@H](C)CCc1ccc(OC(F)F)cc1 ZINC000268837649 341604759 /nfs/dbraw/zinc/60/47/59/341604759.db2.gz MHVAFKHGHJQEQI-LLVKDONJSA-N 0 3 324.371 4.004 20 0 DIADHN CC(C)=CCC[C@H](C)N[C@H](c1nnc2ccccn21)C(C)C ZINC000155574659 341609588 /nfs/dbraw/zinc/60/95/88/341609588.db2.gz HKQUIRWIGCYTMS-RDJZCZTQSA-N 0 3 300.450 4.151 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCCC[C@@H]1n1cccn1 ZINC000155959610 341615522 /nfs/dbraw/zinc/61/55/22/341615522.db2.gz JCFDSSZSMMWYCJ-JQHSSLGASA-N 0 3 313.445 4.035 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@H](c2ccccc2)C2CCC2)c1 ZINC000156619567 341624088 /nfs/dbraw/zinc/62/40/88/341624088.db2.gz PHIMFNHSPRGSTI-HXUWFJFHSA-N 0 3 322.452 4.019 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](CO)C1)c1cc(Cl)ccc1Cl ZINC000312556696 341626249 /nfs/dbraw/zinc/62/62/49/341626249.db2.gz XXNOKPILVYXFPJ-RWMBFGLXSA-N 0 3 316.272 4.443 20 0 DIADHN CCN(C)c1ccc(CNC(C)(C)c2ccc(Cl)cc2)cn1 ZINC000567904832 341639838 /nfs/dbraw/zinc/63/98/38/341639838.db2.gz POZURGBPCUWPET-UHFFFAOYSA-N 0 3 317.864 4.216 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000157652124 341642869 /nfs/dbraw/zinc/64/28/69/341642869.db2.gz LJIWJYCJSBTEDQ-RCBQFDQVSA-N 0 3 311.828 4.477 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)nn(C)c1C)c1ccc(OC)cc1 ZINC000157727185 341644223 /nfs/dbraw/zinc/64/42/23/341644223.db2.gz SQUBYMRAQHVVLO-MSOLQXFVSA-N 0 3 315.461 4.238 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccccn1 ZINC000158778173 341664356 /nfs/dbraw/zinc/66/43/56/341664356.db2.gz RUHZUXQGCCEMAZ-OLZOCXBDSA-N 0 3 324.346 4.219 20 0 DIADHN COCCN[C@@H](c1cc(C)sc1C)c1cccc(Cl)c1 ZINC000568333946 341665758 /nfs/dbraw/zinc/66/57/58/341665758.db2.gz LYEHFVXBHYGILB-MRXNPFEDSA-N 0 3 309.862 4.344 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H]2c3ccccc3C[C@H]2C)cc1 ZINC000158882165 341666013 /nfs/dbraw/zinc/66/60/13/341666013.db2.gz LTEPSYORCMXJAI-VFNWGFHPSA-N 0 3 322.452 4.448 20 0 DIADHN C[C@@H](N[C@@H](Cc1ccccc1)C1CC1)c1cn2ccccc2n1 ZINC000568539910 341674353 /nfs/dbraw/zinc/67/43/53/341674353.db2.gz MLPQZZBCYOXXOK-QAPCUYQASA-N 0 3 305.425 4.006 20 0 DIADHN Cc1cnccc1CN1CCC(c2c[nH]c3cc(F)ccc32)CC1 ZINC000568591088 341675973 /nfs/dbraw/zinc/67/59/73/341675973.db2.gz IXISHKXEQFJXMI-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN COC[C@@H](N[C@@H](C)CCC(F)(F)F)c1cccc(Cl)c1 ZINC000569167224 341692768 /nfs/dbraw/zinc/69/27/68/341692768.db2.gz UVSWFPFWWRDKGZ-GXFFZTMASA-N 0 3 309.759 4.348 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000569259290 341695835 /nfs/dbraw/zinc/69/58/35/341695835.db2.gz YEKIWVGOLQRFAX-PXAZEXFGSA-N 0 3 301.821 4.240 20 0 DIADHN Cc1ccncc1[C@@H](C)NC[C@@H](Cc1ccccc1)C(F)(F)F ZINC000569294176 341696984 /nfs/dbraw/zinc/69/69/84/341696984.db2.gz LDIXDFRTMVPPFI-GDBMZVCRSA-N 0 3 322.374 4.462 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCSC2)c2ccc(C)cc2)cc1 ZINC000163782316 341701153 /nfs/dbraw/zinc/70/11/53/341701153.db2.gz IXVJJVBWMAJOQV-IEBWSBKVSA-N 0 3 313.466 4.188 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(C)cc1OC)c1ccccc1 ZINC000572946141 341710424 /nfs/dbraw/zinc/71/04/24/341710424.db2.gz GLBPWUKUHROVEX-UZLBHIALSA-N 0 3 313.441 4.432 20 0 DIADHN C[C@H]1C[C@H](NCc2cn(C)nc2-c2ccccc2)c2ccccc21 ZINC000573011933 341713321 /nfs/dbraw/zinc/71/33/21/341713321.db2.gz SPSSZJBDPDKLKD-YWZLYKJASA-N 0 3 317.436 4.425 20 0 DIADHN CCn1c2ccccc2nc1CNCC1CC(c2ccccc2)C1 ZINC000573024463 341713848 /nfs/dbraw/zinc/71/38/48/341713848.db2.gz LYTMRPJNWLLTHV-UHFFFAOYSA-N 0 3 319.452 4.340 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1ccc(OC(C)C)cc1 ZINC000573468847 341729289 /nfs/dbraw/zinc/72/92/89/341729289.db2.gz ALQFCRIZZNGQAZ-PBHICJAKSA-N 0 3 319.470 4.228 20 0 DIADHN C[C@H](N[C@@H]1CCOC1)c1ccc(Oc2ccccc2)c(F)c1 ZINC000574181592 341751094 /nfs/dbraw/zinc/75/10/94/341751094.db2.gz HXZQUZFDNASUOB-DZGCQCFKSA-N 0 3 301.361 4.058 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](C)c1ccncc1CC)CCC2 ZINC000574226422 341752544 /nfs/dbraw/zinc/75/25/44/341752544.db2.gz JNJXSKVIDKFVNT-WFASDCNBSA-N 0 3 315.486 4.391 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNC[C@H]2CCSC2)c1 ZINC000574361817 341756269 /nfs/dbraw/zinc/75/62/69/341756269.db2.gz YJFOYBGNCGAVEY-SECBINFHSA-N 0 3 309.784 4.202 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@@H](c3cccnc3)C2)c1C ZINC000574448727 341758955 /nfs/dbraw/zinc/75/89/55/341758955.db2.gz ASPYJDHPHBYONG-QGZVFWFLSA-N 0 3 303.409 4.241 20 0 DIADHN C[C@H](CNCc1coc(-c2ccccc2)n1)Cc1ccccc1 ZINC000574458823 341759210 /nfs/dbraw/zinc/75/92/10/341759210.db2.gz XVFQSBNWVKJUIY-INIZCTEOSA-N 0 3 306.409 4.310 20 0 DIADHN C[C@H](NC[C@@H]1COc2ccccc21)c1ccc(Cl)c(F)c1 ZINC000574840644 341770501 /nfs/dbraw/zinc/77/05/01/341770501.db2.gz QYKXVMCPRXODIZ-WCQYABFASA-N 0 3 305.780 4.306 20 0 DIADHN Cc1ccc(CNCCC2(F)CCC2)c(Br)c1 ZINC000575831771 341807475 /nfs/dbraw/zinc/80/74/75/341807475.db2.gz BYGIGLZKEFEUTM-UHFFFAOYSA-N 0 3 300.215 4.129 20 0 DIADHN CC[C@H](NC[C@H](c1ccccc1)N(C)C)c1ccccc1OC ZINC000336867548 341809135 /nfs/dbraw/zinc/80/91/35/341809135.db2.gz RQWCPERXGDSBIH-RBUKOAKNSA-N 0 3 312.457 4.039 20 0 DIADHN CC(C)(NCCOC1CCC1)c1cccc(C(F)(F)F)c1 ZINC000575944018 341816287 /nfs/dbraw/zinc/81/62/87/341816287.db2.gz YOFLXTGOAQWIDF-UHFFFAOYSA-N 0 3 301.352 4.099 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H]1CCc2c1cccc2O ZINC000575979179 341818083 /nfs/dbraw/zinc/81/80/83/341818083.db2.gz BAKOKJACEPOTCF-ROUUACIJSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@@H](NCc1cccc(OCC(F)F)c1)c1cccc(F)c1 ZINC000174039619 341823204 /nfs/dbraw/zinc/82/32/04/341823204.db2.gz LBAGPJSAVSVLFV-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cccc(O)c3)cc2)cc1 ZINC000174575648 341829033 /nfs/dbraw/zinc/82/90/33/341829033.db2.gz WECYPPRVDIUXMC-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN CC[C@@H](NCCc1cnccn1)c1ccc(Cl)cc1Cl ZINC000341888652 341834835 /nfs/dbraw/zinc/83/48/35/341834835.db2.gz LNSCFQDTRVCYGN-OAHLLOKOSA-N 0 3 310.228 4.067 20 0 DIADHN C[C@@H](NCCC1(F)CCC1)c1ccc(F)cc1OC(F)F ZINC000576496986 341849267 /nfs/dbraw/zinc/84/92/67/341849267.db2.gz ISEKRUGSDQLUJC-SNVBAGLBSA-N 0 3 305.315 4.360 20 0 DIADHN CO[C@@H](CNCc1cccc(OCc2ccccc2)c1)C(C)C ZINC000379910765 341851705 /nfs/dbraw/zinc/85/17/05/341851705.db2.gz MGDSUJIMACRPLH-FQEVSTJZSA-N 0 3 313.441 4.026 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(OC(F)F)c(F)c2)CS1 ZINC000576724100 341860221 /nfs/dbraw/zinc/86/02/21/341860221.db2.gz KFQFHBNOWCQEED-SMDDNHRTSA-N 0 3 319.392 4.047 20 0 DIADHN COc1ccccc1O[C@@H](C)CNCc1ccc(SC)s1 ZINC000576763000 341862136 /nfs/dbraw/zinc/86/21/36/341862136.db2.gz KIPDRNCRQGSROJ-LBPRGKRZSA-N 0 3 323.483 4.036 20 0 DIADHN CC1(C)CCc2ccccc2[C@H](NCc2ccccc2CO)C1 ZINC000576898693 341868687 /nfs/dbraw/zinc/86/86/87/341868687.db2.gz GAPDQMCWVVKVTI-HXUWFJFHSA-N 0 3 309.453 4.372 20 0 DIADHN COc1cccc2c1CCC[C@H]2NC1(c2cccc(F)c2)CC1 ZINC000576930407 341870212 /nfs/dbraw/zinc/87/02/12/341870212.db2.gz GSWUUQSXNVRHBA-GOSISDBHSA-N 0 3 311.400 4.491 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3cnn(C)c3c2)cc1 ZINC000577034665 341879145 /nfs/dbraw/zinc/87/91/45/341879145.db2.gz QSKFRZBRKBDVGD-UHFFFAOYSA-N 0 3 307.441 4.062 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccccc1C)c1ccc(C)cc1 ZINC000192675081 341880567 /nfs/dbraw/zinc/88/05/67/341880567.db2.gz JBZWXBBIRFTPNU-AEFFLSMTSA-N 0 3 310.441 4.371 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccccc1C)c1ccc(C)cc1 ZINC000192675100 341880676 /nfs/dbraw/zinc/88/06/76/341880676.db2.gz JBZWXBBIRFTPNU-SJLPKXTDSA-N 0 3 310.441 4.371 20 0 DIADHN C[C@H](NC[C@H]1CCCCC(F)(F)C1)c1cn2ccccc2n1 ZINC000580583577 341881659 /nfs/dbraw/zinc/88/16/59/341881659.db2.gz XAHWDSUXDSFKLF-KBPBESRZSA-N 0 3 307.388 4.201 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccc(F)cc1)c1cc2ccccc2s1 ZINC000580617043 341887096 /nfs/dbraw/zinc/88/70/96/341887096.db2.gz ONDCNEQVTYSQEJ-LRDDRELGSA-N 0 3 315.413 4.425 20 0 DIADHN CC(C)C[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000580630522 341889355 /nfs/dbraw/zinc/88/93/55/341889355.db2.gz PJGZBERBDLVUGW-LJQANCHMSA-N 0 3 323.440 4.199 20 0 DIADHN CN(C)[C@H](CNCc1ccc(-c2ccccc2)o1)c1ccccc1 ZINC000577166157 341892945 /nfs/dbraw/zinc/89/29/45/341892945.db2.gz WEJDDDZGHUYOPX-HXUWFJFHSA-N 0 3 320.436 4.339 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000345344397 341894067 /nfs/dbraw/zinc/89/40/67/341894067.db2.gz AUTCGSUDLYHIGB-QMTHXVAHSA-N 0 3 310.228 4.028 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1cncc(C)c1 ZINC000577287124 341902539 /nfs/dbraw/zinc/90/25/39/341902539.db2.gz XHHBMDBQLKAWGS-RIYZIHGNSA-N 0 3 318.367 4.185 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccccc1-n1cccn1 ZINC000177265937 341918321 /nfs/dbraw/zinc/91/83/21/341918321.db2.gz BAUGYVLMQZJNBO-KUHUBIRLSA-N 0 3 321.399 4.350 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccccc1-n1cccn1 ZINC000177315095 341918505 /nfs/dbraw/zinc/91/85/05/341918505.db2.gz VVAWUTVZIKEJPC-AUUYWEPGSA-N 0 3 321.399 4.350 20 0 DIADHN CCCNc1ccc(CN[C@H]2CCC(=O)Nc3ccccc32)cc1 ZINC000577575800 341919609 /nfs/dbraw/zinc/91/96/09/341919609.db2.gz HSQDSQQQYKQKTO-SFHVURJKSA-N 0 3 323.440 4.072 20 0 DIADHN Cc1ccccc1C[C@@H](NCc1nnc(C(C)C)s1)C1CC1 ZINC000577778110 341936356 /nfs/dbraw/zinc/93/63/56/341936356.db2.gz SUFQFZQBPFTJFM-MRXNPFEDSA-N 0 3 315.486 4.081 20 0 DIADHN COCCC[C@@H](C)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000177766440 341937829 /nfs/dbraw/zinc/93/78/29/341937829.db2.gz XRMGVIQVSNEULM-BEFAXECRSA-N 0 3 301.405 4.320 20 0 DIADHN CCOc1ccc([C@H](N[C@@H]2CCSC2)c2ccccc2)cc1 ZINC000177871398 341938985 /nfs/dbraw/zinc/93/89/85/341938985.db2.gz YUTFKCHFASAZPF-IEBWSBKVSA-N 0 3 313.466 4.270 20 0 DIADHN C[C@@H](NCCCC(=O)Nc1ccc(Cl)cc1)c1ccoc1 ZINC000177911752 341939634 /nfs/dbraw/zinc/93/96/34/341939634.db2.gz ASQKMNPGVRQNHD-GFCCVEGCSA-N 0 3 306.793 4.003 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCCc1cscn1)CCCO2 ZINC000178405039 341953534 /nfs/dbraw/zinc/95/35/34/341953534.db2.gz ZXERFWIJHPIYMS-KRWDZBQOSA-N 0 3 316.470 4.313 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3cc(N)ccc32)c(C)c1 ZINC000423359505 518161543 /nfs/dbraw/zinc/16/15/43/518161543.db2.gz KSHDLKCUVCCCSG-XOBRGWDASA-N 0 3 310.441 4.314 20 0 DIADHN C[C@@H](Cc1coc2ccccc12)NCc1nc(C2CC2)cs1 ZINC000449192205 518177717 /nfs/dbraw/zinc/17/77/17/518177717.db2.gz PRGVKOYWPVZKDU-LBPRGKRZSA-N 0 3 312.438 4.488 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H]3CCC(C)(C)C[C@@H]3C)o2)cc1 ZINC000481125613 518188290 /nfs/dbraw/zinc/18/82/90/518188290.db2.gz MCQSCETZDOLNJY-HOCLYGCPSA-N 0 3 313.445 4.349 20 0 DIADHN Cc1ccc(CCN[C@H]2CCSc3ccc(Cl)cc32)nc1 ZINC000453426926 518188780 /nfs/dbraw/zinc/18/87/80/518188780.db2.gz OIMCUHGIIKJYIK-INIZCTEOSA-N 0 3 318.873 4.413 20 0 DIADHN CC(C)(NCc1cccnc1)c1ccc(OC(F)(F)F)cc1 ZINC000188899762 519325502 /nfs/dbraw/zinc/32/55/02/519325502.db2.gz WDEZUDUNAWCYGR-UHFFFAOYSA-N 0 3 310.319 4.005 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)N[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000338009483 519444615 /nfs/dbraw/zinc/44/46/15/519444615.db2.gz WOQMKGNRCWMKPJ-DNVCBOLYSA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)N(C)c1ccc(CNCc2ccc(C(F)F)cc2)cn1 ZINC000191606131 519633089 /nfs/dbraw/zinc/63/30/89/519633089.db2.gz VKYJFDKTBSYSCQ-UHFFFAOYSA-N 0 3 319.399 4.154 20 0 DIADHN CC(C)N(Cc1cccnc1)Cc1cccc(Br)c1 ZINC000339292849 519659189 /nfs/dbraw/zinc/65/91/89/519659189.db2.gz FXMBMFXRKHXVDY-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN CC(=O)Nc1ccc(CNC2(c3cccc(Cl)c3)CC2)cc1 ZINC000124431598 519717459 /nfs/dbraw/zinc/71/74/59/519717459.db2.gz AFJJYCYQFLGRIX-UHFFFAOYSA-N 0 3 314.816 4.077 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NC2(c3ccccc3)CCC2)cc1 ZINC000182191457 519732751 /nfs/dbraw/zinc/73/27/51/519732751.db2.gz AGPYVEVMUMMLAM-OAHLLOKOSA-N 0 3 308.425 4.375 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)cc1 ZINC000182028473 519733198 /nfs/dbraw/zinc/73/31/98/519733198.db2.gz ZKXBBNOLZQSOOU-CWTRNNRKSA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2CCc3cc(F)ccc32)cc1 ZINC000182028491 519733773 /nfs/dbraw/zinc/73/37/73/519733773.db2.gz ZKXBBNOLZQSOOU-BUXKBTBVSA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2CCCc3ccccc32)cc1 ZINC000181909652 519734138 /nfs/dbraw/zinc/73/41/38/519734138.db2.gz FRHJRXFTCSOTCN-XOBRGWDASA-N 0 3 308.425 4.373 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@]2(C)CCO[C@@H]2C2CC2)cs1 ZINC000364225814 519867785 /nfs/dbraw/zinc/86/77/85/519867785.db2.gz IVRNFNXAKIQAJJ-MJEQTWJJSA-N 0 3 308.491 4.122 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](C)c2ccc(Cl)s2)cc1 ZINC000156489538 519891235 /nfs/dbraw/zinc/89/12/35/519891235.db2.gz COVUZVCZXMMGAE-LLVKDONJSA-N 0 3 322.861 4.002 20 0 DIADHN CC(C)n1nccc1CN(C[C@H]1CCCO1)C1CCC(C)CC1 ZINC000337183075 520097860 /nfs/dbraw/zinc/09/78/60/520097860.db2.gz NDALHKWTKKZMMC-FAFZWHIHSA-N 0 3 319.493 4.024 20 0 DIADHN CCCCCOc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000163928794 520257569 /nfs/dbraw/zinc/25/75/69/520257569.db2.gz WSHAZEVBVDWNQS-JKSUJKDBSA-N 0 3 315.461 4.401 20 0 DIADHN CCCO[C@@H]1CCCN([C@@H](C)c2nc3ccccc3o2)CC1 ZINC000181105284 520273381 /nfs/dbraw/zinc/27/33/81/520273381.db2.gz WBBCKBNHYDQJSC-LSDHHAIUSA-N 0 3 302.418 4.170 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000191183581 520372668 /nfs/dbraw/zinc/37/26/68/520372668.db2.gz BIXJWWLFJPVZFO-HNNXBMFYSA-N 0 3 315.461 4.412 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC)c2cccc(OC)c2)cn1 ZINC000156661543 520373038 /nfs/dbraw/zinc/37/30/38/520373038.db2.gz KIBULWZEIYSYJL-SFHVURJKSA-N 0 3 314.429 4.120 20 0 DIADHN CCCCN(Cc1nnc(-c2ccccc2Cl)o1)C(C)C ZINC000124536827 520550809 /nfs/dbraw/zinc/55/08/09/520550809.db2.gz SOHKTCKWIIMXKL-UHFFFAOYSA-N 0 3 307.825 4.401 20 0 DIADHN CC1(CN[C@H](c2cccc(F)c2)c2cccc(Cl)c2)COC1 ZINC000180534088 520736421 /nfs/dbraw/zinc/73/64/21/520736421.db2.gz FTGWMLVTEWMVLC-KRWDZBQOSA-N 0 3 319.807 4.195 20 0 DIADHN CCC[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(OC)cc1 ZINC000154068776 520778089 /nfs/dbraw/zinc/77/80/89/520778089.db2.gz KIABCNRBMFHPNA-MSOLQXFVSA-N 0 3 316.445 4.022 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000157836934 520791585 /nfs/dbraw/zinc/79/15/85/520791585.db2.gz DHDPHIRVFJQVJA-OXQOHEQNSA-N 0 3 320.440 4.459 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccsc1C)c1ccsc1 ZINC000339183850 520840788 /nfs/dbraw/zinc/84/07/88/520840788.db2.gz VAHLNSLAYCBRHI-INIZCTEOSA-N 0 3 308.516 4.291 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2cccn2C)cc1OC ZINC000161695656 520896351 /nfs/dbraw/zinc/89/63/51/520896351.db2.gz CMBGSABNIUPBAZ-HNNXBMFYSA-N 0 3 316.445 4.063 20 0 DIADHN CCN(CC1CC1)[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000157952022 520968174 /nfs/dbraw/zinc/96/81/74/520968174.db2.gz SKNZEOPNSFEOIA-LLVKDONJSA-N 0 3 305.809 4.183 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1csc([C@H](C)OC)n1 ZINC000268901745 521105640 /nfs/dbraw/zinc/10/56/40/521105640.db2.gz BKAKDTWVTUSEKR-GOEBONIOSA-N 0 3 318.486 4.352 20 0 DIADHN CCC[C@H](NCc1ccc(OC)c(OC)c1)c1ccsc1 ZINC000191181255 521163182 /nfs/dbraw/zinc/16/31/82/521163182.db2.gz KYLFRVIQIAUXPR-HNNXBMFYSA-N 0 3 305.443 4.396 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccsc2C)cc1OC ZINC000339180089 521259207 /nfs/dbraw/zinc/25/92/07/521259207.db2.gz PDQFMPYVJNMFEO-LBPRGKRZSA-N 0 3 305.443 4.315 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCc3nccs3)oc21 ZINC000181632965 521438033 /nfs/dbraw/zinc/43/80/33/521438033.db2.gz VGYVSZRCAODTBG-LBPRGKRZSA-N 0 3 316.426 4.181 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCCC[C@@H]2[C@@H]2CCOC2)on1 ZINC000365063932 521633931 /nfs/dbraw/zinc/63/39/31/521633931.db2.gz BPBHTKVJNPRGMK-KBAYOESNSA-N 0 3 320.477 4.263 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2ccc(Br)o2)C1 ZINC000361571506 521728092 /nfs/dbraw/zinc/72/80/92/521728092.db2.gz LWGHQKNNVCOBOX-CMPLNLGQSA-N 0 3 318.280 4.148 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cccc(N2CCOC2=O)c1 ZINC000157965886 521842395 /nfs/dbraw/zinc/84/23/95/521842395.db2.gz YLLBOFATISYJHU-HNNXBMFYSA-N 0 3 324.424 4.056 20 0 DIADHN COCC[C@H]1CCCCN(Cc2csc(-c3ccoc3)n2)C1 ZINC000364143797 521985856 /nfs/dbraw/zinc/98/58/56/521985856.db2.gz BKQQRYCAPACQDG-CQSZACIVSA-N 0 3 320.458 4.042 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCCc2csc(C(C)C)n2)s1 ZINC000156949320 522221677 /nfs/dbraw/zinc/22/16/77/522221677.db2.gz BTTOJLWIDBYTCX-LLVKDONJSA-N 0 3 323.531 4.487 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc(COC)cc2)s1 ZINC000124371663 522223885 /nfs/dbraw/zinc/22/38/85/522223885.db2.gz NHJVWKGVQNRBPI-GFCCVEGCSA-N 0 3 304.459 4.011 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc(COC)cc2)s1 ZINC000124371862 522233893 /nfs/dbraw/zinc/23/38/93/522233893.db2.gz NHJVWKGVQNRBPI-LBPRGKRZSA-N 0 3 304.459 4.011 20 0 DIADHN CC[C@H](NC(=O)C[C@@H](N)c1ccccc1)c1ccc(Cl)s1 ZINC000269478697 522394878 /nfs/dbraw/zinc/39/48/78/522394878.db2.gz FFCXIGWWBFLHAL-OLZOCXBDSA-N 0 3 322.861 4.059 20 0 DIADHN CC[C@H](NCC[S@@](=O)c1ccccc1)c1ccc(Cl)cc1 ZINC000104665874 522601363 /nfs/dbraw/zinc/60/13/63/522601363.db2.gz VOFPBTHTOFNFIX-UWJYYQICSA-N 0 3 321.873 4.189 20 0 DIADHN CC[C@H](NCCc1nccs1)c1ccccc1OC(F)F ZINC000127950766 522602623 /nfs/dbraw/zinc/60/26/23/522602623.db2.gz GBMPIGATHMLIKE-LBPRGKRZSA-N 0 3 312.385 4.028 20 0 DIADHN CC[C@H](NCc1ccc(COC)cc1)c1ccc2c(c1)CCO2 ZINC000124413179 522647347 /nfs/dbraw/zinc/64/73/47/522647347.db2.gz MFULPXIFECEISE-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN COC1CCC(N[C@H](C)c2ccccc2Br)CC1 ZINC000129882366 522691375 /nfs/dbraw/zinc/69/13/75/522691375.db2.gz AUWQNAZSONDZTE-PNESKVBLSA-N 0 3 312.251 4.057 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(OC)c(OC)cc1C)c1ccncc1 ZINC000163643841 522739203 /nfs/dbraw/zinc/73/92/03/522739203.db2.gz NYIVOFLRTBEBAU-PBHICJAKSA-N 0 3 314.429 4.209 20 0 DIADHN CC[C@H](N[C@H]1CCSc2ccc(OC)cc21)c1ccncc1 ZINC000191518457 522758538 /nfs/dbraw/zinc/75/85/38/522758538.db2.gz PCTGGDSVXURYHR-IRXDYDNUSA-N 0 3 314.454 4.368 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337167658 522807277 /nfs/dbraw/zinc/80/72/77/522807277.db2.gz AWWFHAKCGFCQFO-FQEVSTJZSA-N 0 3 320.436 4.008 20 0 DIADHN CC[C@@H](NCCOCc1cccc(OC)c1)c1ccc(F)cc1 ZINC000338237923 522835871 /nfs/dbraw/zinc/83/58/71/522835871.db2.gz NUJORFSIKUHDNF-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN COCC1(CCNCc2csc(Cl)c2Cl)CCC1 ZINC000339448452 522864458 /nfs/dbraw/zinc/86/44/58/522864458.db2.gz QWTSDXZFPJKHOZ-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN CC[C@@H](NCc1ccc(NC(N)=O)cc1)c1ccc(Cl)s1 ZINC000131007650 522877262 /nfs/dbraw/zinc/87/72/62/522877262.db2.gz CGLXDFNGGZZDPB-GFCCVEGCSA-N 0 3 323.849 4.133 20 0 DIADHN CC[C@@H](NCc1ccnc(N2CCCCC2)c1)c1ccccc1 ZINC000162402347 522887442 /nfs/dbraw/zinc/88/74/42/522887442.db2.gz HXRFTMXOQBWPBE-LJQANCHMSA-N 0 3 309.457 4.313 20 0 DIADHN CC[C@@H](N[C@@H](C)COC(C)C)c1ccccc1OC(F)F ZINC000337341701 522908480 /nfs/dbraw/zinc/90/84/80/522908480.db2.gz QTKXJEAEBPUXIH-GXTWGEPZSA-N 0 3 301.377 4.142 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(F)cc2)ccc1SC ZINC000131798075 522908639 /nfs/dbraw/zinc/90/86/39/522908639.db2.gz DFONVFLRFQHRFY-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C(C)(C)C)c1C)c1ccncc1 ZINC000191307135 522917329 /nfs/dbraw/zinc/91/73/29/522917329.db2.gz DUAZUSDDRICVFT-SUMWQHHRSA-N 0 3 300.450 4.143 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc(OC)c(OC)c1)c1ccncc1 ZINC000163689079 522920216 /nfs/dbraw/zinc/92/02/16/522920216.db2.gz BTPBVJHDYZTQLZ-SJORKVTESA-N 0 3 314.429 4.291 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000338025558 522925002 /nfs/dbraw/zinc/92/50/02/522925002.db2.gz XNERCWCZTMSSAK-HZPDHXFCSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2cc(Cl)ccc21)c1c(C)nn(C)c1C ZINC000163493219 522928878 /nfs/dbraw/zinc/92/88/78/522928878.db2.gz CYDPPPZAKQBOEJ-IAGOWNOFSA-N 0 3 317.864 4.419 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccnc1)c1ccc(OC(F)F)cc1 ZINC000158550007 522938613 /nfs/dbraw/zinc/93/86/13/522938613.db2.gz XCXUHUILQNYMRZ-MLGOLLRUSA-N 0 3 306.356 4.485 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H](C)c1sc(C)nc1C ZINC000338006497 523014033 /nfs/dbraw/zinc/01/40/33/523014033.db2.gz CJUDKPQZDPICEN-MEBBXXQBSA-N 0 3 320.433 4.246 20 0 DIADHN CC[C@H]1CCCCN1C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000336903865 523016066 /nfs/dbraw/zinc/01/60/66/523016066.db2.gz CZWARJHDLFISAR-IBGZPJMESA-N 0 3 314.473 4.077 20 0 DIADHN COCCC1(CN[C@H](c2ccccc2)c2ccccn2)CCCC1 ZINC000180770770 523064824 /nfs/dbraw/zinc/06/48/24/523064824.db2.gz ZAYVBKUDDRSYCP-HXUWFJFHSA-N 0 3 324.468 4.358 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000264788116 523386388 /nfs/dbraw/zinc/38/63/88/523386388.db2.gz ACZNKBCDXUGULU-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN CCn1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000264788113 523386404 /nfs/dbraw/zinc/38/64/04/523386404.db2.gz ACZNKBCDXUGULU-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN COCCC[C@@H](C)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000180686876 523466422 /nfs/dbraw/zinc/46/64/22/523466422.db2.gz QAYUGZBHOLIMDO-ZIAGYGMSSA-N 0 3 304.459 4.276 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2c3cccc(F)c3CC[C@@H]2C)cn1 ZINC000163298229 523476603 /nfs/dbraw/zinc/47/66/03/523476603.db2.gz ICVLFKAGIKYVBH-LXIYXOSZSA-N 0 3 301.409 4.016 20 0 DIADHN CC[C@](C)(O)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361228683 523510166 /nfs/dbraw/zinc/51/01/66/523510166.db2.gz KNOAGXZIHPUNIJ-RNCFNFMXSA-N 0 3 308.274 4.183 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1sc(C)nc1C)c1ccc(Cl)cc1 ZINC000338306778 523541858 /nfs/dbraw/zinc/54/18/58/523541858.db2.gz WRSQDXHVODFLKU-BONVTDFDSA-N 0 3 324.877 4.452 20 0 DIADHN CC[C@@](C)(O)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361228684 523676692 /nfs/dbraw/zinc/67/66/92/523676692.db2.gz KNOAGXZIHPUNIJ-TVQRCGJNSA-N 0 3 308.274 4.183 20 0 DIADHN CN1CCC2(CCCN2C(=O)CC(C)(C)C2CCCCC2)CC1 ZINC000366398649 523788502 /nfs/dbraw/zinc/78/85/02/523788502.db2.gz PHDJOJPMNPDQKC-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC(c2nccs2)CC1 ZINC000337372499 523834352 /nfs/dbraw/zinc/83/43/52/523834352.db2.gz DIGDXIKCIRLXMN-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN COc1ccc(CCN(C)[C@H](C)c2sc(C)nc2C)cc1 ZINC000106877379 523940273 /nfs/dbraw/zinc/94/02/73/523940273.db2.gz SNYTWCVDSXUBMO-CYBMUJFWSA-N 0 3 304.459 4.004 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(NC(C)=O)c2)s1 ZINC000155509314 524026630 /nfs/dbraw/zinc/02/66/30/524026630.db2.gz CQGOODFURATYOX-GFCCVEGCSA-N 0 3 302.443 4.120 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)c1 ZINC000177391817 524153634 /nfs/dbraw/zinc/15/36/34/524153634.db2.gz ZNAREWYGUGHXHY-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc(C)s1 ZINC000363834148 524235732 /nfs/dbraw/zinc/23/57/32/524235732.db2.gz UPSJBIKEWKRODV-OCCSQVGLSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2cnc([C@H]3CCCO3)s2)o1 ZINC000339505794 524350391 /nfs/dbraw/zinc/35/03/91/524350391.db2.gz WVPLHJXVWGLWKJ-BLLLJJGKSA-N 0 3 320.458 4.007 20 0 DIADHN C[C@H](CNC(=O)N(c1ccccc1)c1ccccc1)N(C)C1CC1 ZINC000181981791 524396977 /nfs/dbraw/zinc/39/69/77/524396977.db2.gz QWMURJJOXIVPPC-MRXNPFEDSA-N 0 3 323.440 4.017 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccnc(N3CCCC3)c2)c1 ZINC000131355977 524470118 /nfs/dbraw/zinc/47/01/18/524470118.db2.gz YIFHUGAMVBLPDE-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000155903164 524470352 /nfs/dbraw/zinc/47/03/52/524470352.db2.gz ZTOIALUIYMCNDF-ZMPRRUGASA-N 0 3 315.482 4.453 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@H](C)c3cccc(O)c3)cc2)n1 ZINC000181522354 524486416 /nfs/dbraw/zinc/48/64/16/524486416.db2.gz DUGUOZSRLMFIDI-MRXNPFEDSA-N 0 3 321.424 4.046 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@@H]1CCSc2ccccc21 ZINC000270585328 524620526 /nfs/dbraw/zinc/62/05/26/524620526.db2.gz BCBHAALNDKIZHP-BPLDGKMQSA-N 0 3 319.495 4.387 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@H](C)c1ccc(F)cc1F ZINC000270647945 524644180 /nfs/dbraw/zinc/64/41/80/524644180.db2.gz PXHJOAHCQDZJIO-HFAKWTLXSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@H](C)c1cc(F)ccc1F ZINC000270667031 524644260 /nfs/dbraw/zinc/64/42/60/524644260.db2.gz VFJLRGNYSXFPDZ-HFAKWTLXSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@@H](CNCc1nc(C(F)(F)F)cs1)c1ccccc1 ZINC000194477638 524743865 /nfs/dbraw/zinc/74/38/65/524743865.db2.gz CGTVVZZQATWROF-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)ccc1Cl ZINC000181039088 524765636 /nfs/dbraw/zinc/76/56/36/524765636.db2.gz GFJVCPDXBRQGEM-YEJXKQKISA-N 0 3 321.873 4.237 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)c1C ZINC000158814702 524830481 /nfs/dbraw/zinc/83/04/81/524830481.db2.gz XBNXKTHISAMJOP-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(Cl)nc1 ZINC000181436689 524853611 /nfs/dbraw/zinc/85/36/11/524853611.db2.gz KPPZHOZFEQTOQY-MKBNYLNASA-N 0 3 322.811 4.037 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccccc2-n2ccnc2)c1 ZINC000339206233 524872955 /nfs/dbraw/zinc/87/29/55/524872955.db2.gz QKFKTOSNUHQUBV-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN[C@@H]2CCO[C@H](c3ccccc3)C2)o1 ZINC000157344464 524973038 /nfs/dbraw/zinc/97/30/38/524973038.db2.gz GFXMFJCSGJITBY-UQWBFEFOSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1cccc2ncc(CNCc3ccc(C(C)(F)F)cc3)n21 ZINC000339419284 524993110 /nfs/dbraw/zinc/99/31/10/524993110.db2.gz JGNRSXAAALOACY-UHFFFAOYSA-N 0 3 315.367 4.044 20 0 DIADHN C[C@@H]1C[C@@H](NCCN2CCCCCC2)c2ccsc2S1 ZINC000336826731 525265811 /nfs/dbraw/zinc/26/58/11/525265811.db2.gz UISPZALBARJLNJ-UKRRQHHQSA-N 0 3 310.532 4.139 20 0 DIADHN C[C@H](NCCCO[C@@H](C)c1ccccc1)c1cncc(F)c1 ZINC000163388195 525344732 /nfs/dbraw/zinc/34/47/32/525344732.db2.gz JYZVFAZAXJROSN-GJZGRUSLSA-N 0 3 302.393 4.039 20 0 DIADHN C[C@H](NCCCc1cnn(C)c1)c1cccc(Cl)c1Cl ZINC000158223909 525347477 /nfs/dbraw/zinc/34/74/77/525347477.db2.gz ADNDNFULKHRBAW-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN COc1ccc([C@H](NCc2ccc([C@H](C)O)cc2)C(C)C)cc1 ZINC000180045946 525374082 /nfs/dbraw/zinc/37/40/82/525374082.db2.gz AMKJWKUNXUUGCU-MGPUTAFESA-N 0 3 313.441 4.235 20 0 DIADHN C[C@@H](NC1(c2cccc(F)c2)CC1)c1ccc(-n2ccnc2)cc1 ZINC000182213990 525393339 /nfs/dbraw/zinc/39/33/39/525393339.db2.gz CEOVPTHLFJPMJX-OAHLLOKOSA-N 0 3 321.399 4.351 20 0 DIADHN C[C@H](NC[C@](C)(O)c1cccs1)c1csc(Cl)c1 ZINC000191302005 525425473 /nfs/dbraw/zinc/42/54/73/525425473.db2.gz YTRIDIQFSAGBNG-ZANVPECISA-N 0 3 301.864 4.021 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000336835332 525438046 /nfs/dbraw/zinc/43/80/46/525438046.db2.gz AFSLVGJQKIRRCX-KWCYVHTRSA-N 0 3 322.499 4.159 20 0 DIADHN C[C@@H](NCCCSCc1ccccc1)c1ccncc1F ZINC000338338622 525442206 /nfs/dbraw/zinc/44/22/06/525442206.db2.gz CTDXXXWWKHIWCX-CQSZACIVSA-N 0 3 304.434 4.195 20 0 DIADHN Cc1cc([C@@H](NCc2ccc(Cl)nc2)C2CC2)ccc1F ZINC000158724482 525482878 /nfs/dbraw/zinc/48/28/78/525482878.db2.gz LMKRQARALQQQRV-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCCC2)nc1)c1cccc(F)c1 ZINC000266459350 525526325 /nfs/dbraw/zinc/52/63/25/525526325.db2.gz LKNDXZOZDGPWQF-OAHLLOKOSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H](NCc1ccc(SC(=O)N(C)C)cc1)c1ccccc1 ZINC000181352847 525529019 /nfs/dbraw/zinc/52/90/19/525529019.db2.gz UHJWQQJSZWVTSJ-CQSZACIVSA-N 0 3 314.454 4.311 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)n1)c1cccc2ccccc21 ZINC000163141503 525535020 /nfs/dbraw/zinc/53/50/20/525535020.db2.gz DHKMHAOOQIIWLL-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccnc(N2CCCCC2)c1 ZINC000162413968 525538921 /nfs/dbraw/zinc/53/89/21/525538921.db2.gz DPFZWCSIKOJIHY-IIBYNOLFSA-N 0 3 321.468 4.095 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCC2)c1)c1cccc(Cl)c1 ZINC000131441687 525546880 /nfs/dbraw/zinc/54/68/80/525546880.db2.gz BDNBQOYROIMAJH-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccc(NC(=O)C2CC2)cc1 ZINC000121806442 525583370 /nfs/dbraw/zinc/58/33/70/525583370.db2.gz MYIKEXXFEYZFMD-VBKZILBWSA-N 0 3 320.436 4.373 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1ccncc1 ZINC000174019439 525641658 /nfs/dbraw/zinc/64/16/58/525641658.db2.gz NBQJRTQWVLMPCP-SJKOYZFVSA-N 0 3 318.367 4.411 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2O)c1ccc(OC(F)F)cc1 ZINC000130593763 525690087 /nfs/dbraw/zinc/69/00/87/525690087.db2.gz OEUKFTXXJLZMEI-BZNIZROVSA-N 0 3 319.351 4.332 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(=O)oc3cc(C)ccc23)cc1 ZINC000120657281 525730708 /nfs/dbraw/zinc/73/07/08/525730708.db2.gz VZEFKDKFEVOZGF-OAHLLOKOSA-N 0 3 307.393 4.261 20 0 DIADHN CSCc1cnc(CNC[C@]2(C)C[C@@H]2c2ccccc2)s1 ZINC000339444677 525752090 /nfs/dbraw/zinc/75/20/90/525752090.db2.gz NEEDOICJIDZUCP-WBVHZDCISA-N 0 3 318.511 4.290 20 0 DIADHN CSCc1cnc(CNC[C@]2(C)C[C@H]2c2ccccc2)s1 ZINC000339444676 525753218 /nfs/dbraw/zinc/75/32/18/525753218.db2.gz NEEDOICJIDZUCP-RDJZCZTQSA-N 0 3 318.511 4.290 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H]2CCSc3ccc(Cl)cc32)o1 ZINC000268947695 525782368 /nfs/dbraw/zinc/78/23/68/525782368.db2.gz BQOJAZAROTUXRW-KGLIPLIRSA-N 0 3 323.845 4.102 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C(=O)c2ccc(CN(C)C)cc2)cc1 ZINC000337996271 525818626 /nfs/dbraw/zinc/81/86/26/525818626.db2.gz UHGYXLLZXLEXMS-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN Cc1c([C@@H](C)NCCCNc2cccc(F)c2)cnn1C(C)C ZINC000191496552 525894992 /nfs/dbraw/zinc/89/49/92/525894992.db2.gz ZRAFXFAFLDXHRC-CQSZACIVSA-N 0 3 318.440 4.064 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)cnn1C(C)C ZINC000191232811 525902534 /nfs/dbraw/zinc/90/25/34/525902534.db2.gz AFABDVJJDQAAET-KZULUSFZSA-N 0 3 301.409 4.250 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCc3cc(F)ccc32)cnn1C(C)(C)C ZINC000191232923 525926451 /nfs/dbraw/zinc/92/64/51/525926451.db2.gz BJLJNCZXUMCITD-SGTLLEGYSA-N 0 3 315.436 4.424 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)cc1C ZINC000158832214 525934691 /nfs/dbraw/zinc/93/46/91/525934691.db2.gz DZIPHPOOSVJNHL-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN CSc1ccc(CN2CCC(OCCC(C)C)CC2)cc1 ZINC000339196733 525991506 /nfs/dbraw/zinc/99/15/06/525991506.db2.gz BZRZWBFNBSAVEG-UHFFFAOYSA-N 0 3 307.503 4.436 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@](C)(O)c2cccs2)cc1 ZINC000266822851 526061281 /nfs/dbraw/zinc/06/12/81/526061281.db2.gz ZRWCUTXJYGEFEJ-WBMJQRKESA-N 0 3 307.484 4.028 20 0 DIADHN C[C@H](c1cnccn1)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000364138409 526165490 /nfs/dbraw/zinc/16/54/90/526165490.db2.gz IZCMDUFQDBFXLG-OCCSQVGLSA-N 0 3 321.346 4.046 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)[C@@H](C)C2 ZINC000180537716 526211496 /nfs/dbraw/zinc/21/14/96/526211496.db2.gz DVGKYLMNDIAZFE-CKFHNAJUSA-N 0 3 323.440 4.070 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H](C)c2cnn(C3CCCC3)c2)n1 ZINC000337445609 526431085 /nfs/dbraw/zinc/43/10/85/526431085.db2.gz GMZGIOVANJHENP-OCCSQVGLSA-N 0 3 318.490 4.217 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H](C)c2cc(F)c(F)c(F)c2)n1 ZINC000186566181 526431559 /nfs/dbraw/zinc/43/15/59/526431559.db2.gz IMUVMYXNAMWPFS-SCZZXKLOSA-N 0 3 314.376 4.323 20 0 DIADHN Cc1noc(C)c1CNC[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000191158512 526514304 /nfs/dbraw/zinc/51/43/04/526514304.db2.gz MUAGINOAMOBAPO-SNVBAGLBSA-N 0 3 312.335 4.204 20 0 DIADHN c1cncc([C@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)c1 ZINC000336861493 526550163 /nfs/dbraw/zinc/55/01/63/526550163.db2.gz BJIIVNVPCQYCGS-ZWKOTPCHSA-N 0 3 300.446 4.100 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](c1ccc(C(F)(F)F)cc1)C(C)C ZINC000130371721 526554137 /nfs/dbraw/zinc/55/41/37/526554137.db2.gz PXRHPOTZSMNVCN-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H](C)c1cnn(C(C)(C)C)c1C ZINC000191390529 526565144 /nfs/dbraw/zinc/56/51/44/526565144.db2.gz BQPDYXYYYFXJAX-NWDGAFQWSA-N 0 3 318.465 4.006 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H]3CC[C@H]3C3CCC3)o2)cs1 ZINC000337993966 526570694 /nfs/dbraw/zinc/57/06/94/526570694.db2.gz FKTSPMBPDPDHEU-LSDHHAIUSA-N 0 3 302.443 4.380 20 0 DIADHN Cc1noc(CCCN([C@@H](C)c2ccccc2Cl)C2CC2)n1 ZINC000266905960 526601061 /nfs/dbraw/zinc/60/10/61/526601061.db2.gz YRWDNEZUMOIGEE-LBPRGKRZSA-N 0 3 319.836 4.190 20 0 DIADHN Cc1noc(CCCN([C@H](C)c2ccccc2Cl)C2CC2)n1 ZINC000266905956 526602061 /nfs/dbraw/zinc/60/20/61/526602061.db2.gz YRWDNEZUMOIGEE-GFCCVEGCSA-N 0 3 319.836 4.190 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1ccn(C)c1 ZINC000158552971 526606266 /nfs/dbraw/zinc/60/62/66/526606266.db2.gz KSWQUNMWGAAUFZ-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000339194183 526668899 /nfs/dbraw/zinc/66/88/99/526668899.db2.gz AZISIRIPVQQJFZ-CYBMUJFWSA-N 0 3 308.429 4.241 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2cccc3[nH]ccc32)CC1 ZINC000179880377 526789863 /nfs/dbraw/zinc/78/98/63/526789863.db2.gz DYTKLEQPFMZMLW-UHFFFAOYSA-N 0 3 318.420 4.263 20 0 DIADHN O=C(c1cccs1)C1CCN(c2ccnc3ccccc32)CC1 ZINC000364831131 526834692 /nfs/dbraw/zinc/83/46/92/526834692.db2.gz UNJDYMBBFHENHD-UHFFFAOYSA-N 0 3 322.433 4.396 20 0 DIADHN CN(Cc1ccccc1F)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000273391935 536483120 /nfs/dbraw/zinc/48/31/20/536483120.db2.gz FFGIWOAYSPKUCY-PKOBYXMFSA-N 0 3 317.379 4.317 20 0 DIADHN Cc1nc(CN2CCC(C)(c3ccccc3)CC2)sc1C ZINC000338005014 526850253 /nfs/dbraw/zinc/85/02/53/526850253.db2.gz ZZZBIRJOKZWTRF-UHFFFAOYSA-N 0 3 300.471 4.314 20 0 DIADHN Cc1sccc1CN[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000339460877 526917687 /nfs/dbraw/zinc/91/76/87/526917687.db2.gz DMQWVJXHXNVLJK-LBPRGKRZSA-N 0 3 315.417 4.232 20 0 DIADHN Cc1sccc1CN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000339321028 526917703 /nfs/dbraw/zinc/91/77/03/526917703.db2.gz KJNOLRGDTJSKKL-QAPCUYQASA-N 0 3 301.455 4.314 20 0 DIADHN Cc1sccc1CN[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000339460873 526919064 /nfs/dbraw/zinc/91/90/64/526919064.db2.gz DMQWVJXHXNVLJK-GFCCVEGCSA-N 0 3 315.417 4.232 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2c3cccc(F)c3CC[C@@H]2C)c(C)n1 ZINC000163288200 527308490 /nfs/dbraw/zinc/30/84/90/527308490.db2.gz SGEJBRTXDXGKJZ-CENXUZMRSA-N 0 3 313.420 4.207 20 0 DIADHN OC1(CN[C@@H]2CCSc3c(F)cccc32)CCCCCC1 ZINC000106030063 527510495 /nfs/dbraw/zinc/51/04/95/527510495.db2.gz UOJMHCKWTAMERX-OAHLLOKOSA-N 0 3 309.450 4.038 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000180078048 527638408 /nfs/dbraw/zinc/63/84/08/527638408.db2.gz IBKADKJHALIYAX-IBGZPJMESA-N 0 3 315.436 4.197 20 0 DIADHN FC(F)(F)c1cnc(CNCC2CCC(F)(F)CC2)s1 ZINC000337281762 527688973 /nfs/dbraw/zinc/68/89/73/527688973.db2.gz HZMGTMMZRKMAKO-UHFFFAOYSA-N 0 3 314.323 4.077 20 0 DIADHN Cc1nn(C)cc1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000189578687 527693552 /nfs/dbraw/zinc/69/35/52/527693552.db2.gz UFRCZYAPRKQIOY-NRFANRHFSA-N 0 3 317.436 4.173 20 0 DIADHN FC(F)O[C@@H]1CCCC[C@@H]1NCc1cnc(C2CCC2)s1 ZINC000337271422 527694767 /nfs/dbraw/zinc/69/47/67/527694767.db2.gz DAQQOVARHRPPTP-QWHCGFSZSA-N 0 3 316.417 4.051 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000181163675 527700075 /nfs/dbraw/zinc/70/00/75/527700075.db2.gz RKKFEGLHJMMCFD-OCVORMKJSA-N 0 3 317.864 4.430 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cncc(C)c1)c1ccc(Cl)cc1 ZINC000274616112 536514480 /nfs/dbraw/zinc/51/44/80/536514480.db2.gz OLSYCQLRFDYZRV-GUYCJALGSA-N 0 3 304.821 4.082 20 0 DIADHN CCCCCC(=O)NC1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000299533249 534968525 /nfs/dbraw/zinc/96/85/25/534968525.db2.gz HECIIWCTEDCRED-OAHLLOKOSA-N 0 3 320.452 4.048 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272676165 535084972 /nfs/dbraw/zinc/08/49/72/535084972.db2.gz UPTQYHNDDBTQEW-YSIASYRMSA-N 0 3 324.468 4.354 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000340692961 535123350 /nfs/dbraw/zinc/12/33/50/535123350.db2.gz XHVANBRUKZWUCW-OAHLLOKOSA-N 0 3 317.433 4.491 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2ccccc2c1)c1cccnc1 ZINC000293746515 535171739 /nfs/dbraw/zinc/17/17/39/535171739.db2.gz WHVJKOZVXPNQTQ-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccc2c(c1)OCC(=O)N2)c1ccccc1 ZINC000285539203 535225418 /nfs/dbraw/zinc/22/54/18/535225418.db2.gz FWVMAWKQPKEFSN-VBKZILBWSA-N 0 3 324.424 4.065 20 0 DIADHN CC[C@@H](NCc1cc(=O)oc2cc(C)ccc12)c1ccccc1 ZINC000299395029 535805284 /nfs/dbraw/zinc/80/52/84/535805284.db2.gz KSVNLFUZBJYARV-GOSISDBHSA-N 0 3 307.393 4.342 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2occc21)c1ccc(OC)c(OC)c1 ZINC000120786572 535808245 /nfs/dbraw/zinc/80/82/45/535808245.db2.gz PIIINHBNFMZQGP-HZPDHXFCSA-N 0 3 315.413 4.415 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CC(=O)N2C)c1cccc(C)c1 ZINC000286292551 535808700 /nfs/dbraw/zinc/80/87/00/535808700.db2.gz WGPKAEQERPPFSP-DNVCBOLYSA-N 0 3 322.452 4.316 20 0 DIADHN CC[C@H](NCc1cccc2[nH]ccc21)c1ccc(OC)c(OC)c1 ZINC000285350291 535920704 /nfs/dbraw/zinc/92/07/04/535920704.db2.gz ATVZDSDNEDZLAG-KRWDZBQOSA-N 0 3 324.424 4.426 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@@H](C(F)(F)F)C1)c1ccc(F)cc1F ZINC000287874186 535946785 /nfs/dbraw/zinc/94/67/85/535946785.db2.gz YBPGIUPHKLWMMR-LEWSCRJBSA-N 0 3 323.305 4.115 20 0 DIADHN CN(C)Cc1cccc(CN[C@@H](c2ccccc2)C(F)(F)F)c1 ZINC000273335885 536034045 /nfs/dbraw/zinc/03/40/45/536034045.db2.gz LEBBQVDFKFQMTO-KRWDZBQOSA-N 0 3 322.374 4.141 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2cccc(F)c2)cc1 ZINC000284953067 536619840 /nfs/dbraw/zinc/61/98/40/536619840.db2.gz BYXNYNCLOFKJSB-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN COCCCCCN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000297565732 536878982 /nfs/dbraw/zinc/87/89/82/536878982.db2.gz GIODZGPJXJSGBE-INIZCTEOSA-N 0 3 314.429 4.341 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3occc32)c(C)c1OC ZINC000285730661 536904437 /nfs/dbraw/zinc/90/44/37/536904437.db2.gz QRBXMSNGZUMAHH-XJKSGUPXSA-N 0 3 315.413 4.333 20 0 DIADHN Clc1ccc2c(c1)C1(CCN(Cc3ccccc3)CC1)OC2 ZINC000095093336 563529152 /nfs/dbraw/zinc/52/91/52/563529152.db2.gz CBAUIWUNQWUPDH-UHFFFAOYSA-N 0 3 313.828 4.362 20 0 DIADHN Clc1cc(N2CCN(C3CCCC3)CC2)c2sccc2n1 ZINC001165029404 721855505 /nfs/dbraw/zinc/85/55/05/721855505.db2.gz YOWRPCIUSDNRNI-UHFFFAOYSA-N 0 3 321.877 4.014 20 0 DIADHN COc1ccc(CN2CCC3(CCCC3)C2)c(Br)c1 ZINC001140761350 1046886554 /nfs/dbraw/zinc/88/65/54/1046886554.db2.gz KCOVPNCXRXWNDN-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN Cc1ccc(Br)cc1CN(C)Cc1cccs1 ZINC000313763781 1052302592 /nfs/dbraw/zinc/30/25/92/1052302592.db2.gz XWCJWKDQEWDSBX-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@H](C)[C@H](C)C1 ZINC001232528608 1054062545 /nfs/dbraw/zinc/06/25/45/1054062545.db2.gz IAYJSKFRDHOPPW-NWDGAFQWSA-N 0 3 311.267 4.021 20 0 DIADHN COc1cccc(Br)c1CN1CCC[C@@H]1C(C)C ZINC001236677792 1055223845 /nfs/dbraw/zinc/22/38/45/1055223845.db2.gz YSFVMFSGCJXGOO-CQSZACIVSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)sc2Cl)CCO[C@H]1C1CC1 ZINC001474107292 1061655402 /nfs/dbraw/zinc/65/54/02/1061655402.db2.gz VYCNYXBHXRHAAB-JSGCOSHPSA-N 0 3 320.285 4.350 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)c1ccc2c(c1)OCCO2 ZINC000035041761 1035529062 /nfs/dbraw/zinc/52/90/62/1035529062.db2.gz NCTVDBGUPHVEDN-JTQLQIEISA-N 0 3 309.818 4.024 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC4(C3)CCOC4)s2)cc1 ZINC000579725435 1035534259 /nfs/dbraw/zinc/53/42/59/1035534259.db2.gz FSYMPMKCHULEFT-UHFFFAOYSA-N 0 3 319.857 4.291 20 0 DIADHN CC[C@H](Cc1cccs1)[NH2+][C@@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000683109362 1035543372 /nfs/dbraw/zinc/54/33/72/1035543372.db2.gz IQOBNNLPZLLDOE-RWMBFGLXSA-N 0 3 306.479 4.052 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000035100588 1035544101 /nfs/dbraw/zinc/54/41/01/1035544101.db2.gz YAAQHJKQSMREHM-BDJLRTHQSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@@]2(C1)CCCOC2 ZINC001139887726 1035557722 /nfs/dbraw/zinc/55/77/22/1035557722.db2.gz ZDCMUKSUQBEPRF-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccc(OC(F)(F)F)cc2)C1 ZINC001204415822 1035574936 /nfs/dbraw/zinc/57/49/36/1035574936.db2.gz XRPCQWQNCOREJR-LLVKDONJSA-N 0 3 309.278 4.062 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1nc2c(s1)CCCC2 ZINC000683147359 1035607247 /nfs/dbraw/zinc/60/72/47/1035607247.db2.gz ARGWJAIBYVLDFF-SNVBAGLBSA-N 0 3 306.397 4.233 20 0 DIADHN COc1ccc2c(c1)C[N@@H+](Cc1c([O-])ccc3ccccc31)CC2 ZINC000064028449 1035625645 /nfs/dbraw/zinc/62/56/45/1035625645.db2.gz QQZPLGJLBUYACB-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN COc1ccc2c(c1)C[N@H+](Cc1c([O-])ccc3ccccc31)CC2 ZINC000064028449 1035625652 /nfs/dbraw/zinc/62/56/52/1035625652.db2.gz QQZPLGJLBUYACB-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN Cc1noc(C)c1CN[C@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000153943058 1035634276 /nfs/dbraw/zinc/63/42/76/1035634276.db2.gz SLWZZPNMACZQDC-GHMZBOCLSA-N 0 3 315.442 4.183 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccccc1)c1cc2c(s1)CCCC2 ZINC000123589673 1035637785 /nfs/dbraw/zinc/63/77/85/1035637785.db2.gz XDCQHIWKSQMPFA-CZUORRHYSA-N 0 3 301.455 4.011 20 0 DIADHN C[C@H](c1ccccc1F)N(C)C[C@@H](O)Cc1cccc(Cl)c1 ZINC001167062098 1035682129 /nfs/dbraw/zinc/68/21/29/1035682129.db2.gz HDSVGKRMPIPEBR-CJNGLKHVSA-N 0 3 321.823 4.076 20 0 DIADHN COc1ccc2[nH]cc(C3CCN(Cc4ccoc4)CC3)c2c1 ZINC000092474594 1035682711 /nfs/dbraw/zinc/68/27/11/1035682711.db2.gz CIPNRYZNFHRLGX-UHFFFAOYSA-N 0 3 310.397 4.149 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1ccn(-c2cccc(F)c2)n1 ZINC000064557280 1035696638 /nfs/dbraw/zinc/69/66/38/1035696638.db2.gz TYEXAMVJKHHQCJ-UHFFFAOYSA-N 0 3 303.425 4.316 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)CC1CCCCC1 ZINC000029455031 1035700724 /nfs/dbraw/zinc/70/07/24/1035700724.db2.gz AJWGCYZEZSYHME-UHFFFAOYSA-N 0 3 302.462 4.261 20 0 DIADHN Cc1ccccc1[C@H]1CN([C@@H]2CCCc3ccccc32)CCO1 ZINC000607745992 1035708568 /nfs/dbraw/zinc/70/85/68/1035708568.db2.gz CHWOZKFRDJYLHP-NHCUHLMSSA-N 0 3 307.437 4.446 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CN[C@H](C)Cc1ccco1 ZINC000065889688 1035742712 /nfs/dbraw/zinc/74/27/12/1035742712.db2.gz GGKXVCBFZMQGBE-SNVBAGLBSA-N 0 3 314.212 4.316 20 0 DIADHN Cc1nc(COc2ccc(CN[C@H]3CCC[C@@H]3F)cc2)cs1 ZINC000339584955 1035766713 /nfs/dbraw/zinc/76/67/13/1035766713.db2.gz QQZWWQDTRJMQCK-IRXDYDNUSA-N 0 3 320.433 4.011 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@@H]2[C@@H]2CCCOC2)cs1 ZINC000579828801 1035774839 /nfs/dbraw/zinc/77/48/39/1035774839.db2.gz RBHCRJBIDJUVLF-NVXWUHKLSA-N 0 3 322.518 4.123 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1cc2c(c(Cl)c1)OCO2 ZINC000022777454 1035778985 /nfs/dbraw/zinc/77/89/85/1035778985.db2.gz VEIOBCWPVCXTDF-LBPRGKRZSA-N 0 3 303.789 4.228 20 0 DIADHN Fc1cccc([C@H]2CCCN2C[C@H]2COC3(CCCCC3)O2)c1 ZINC001208641090 1035782391 /nfs/dbraw/zinc/78/23/91/1035782391.db2.gz YPRGOOWJCJKYQP-ZWKOTPCHSA-N 0 3 319.420 4.038 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1cc2c(s1)CCC2 ZINC000537946923 1035845717 /nfs/dbraw/zinc/84/57/17/1035845717.db2.gz ARQOJWPVFMNKFD-CXAGYDPISA-N 0 3 312.482 4.074 20 0 DIADHN COc1ccc([C@@H](NCc2cnn(C(C)(C)C)c2)C(C)C)cc1 ZINC000069670068 1035856357 /nfs/dbraw/zinc/85/63/57/1035856357.db2.gz IUPHQQSOAWSLTO-SFHVURJKSA-N 0 3 315.461 4.134 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@H](C)C3CCC3)cs2)cc1 ZINC000119635457 1035861676 /nfs/dbraw/zinc/86/16/76/1035861676.db2.gz QLDLYAVIMZRDCY-CQSZACIVSA-N 0 3 316.470 4.309 20 0 DIADHN CCCCN(Cc1nnc(C2CCC2)n1C)[C@@H]1CCC[C@H](C)C1 ZINC000339650100 1035900647 /nfs/dbraw/zinc/90/06/47/1035900647.db2.gz XBCPQEKMFDILPE-DOTOQJQBSA-N 0 3 318.509 4.263 20 0 DIADHN CCCCN(Cc1nnc(C2CCC2)n1C)[C@H]1CCC[C@H](C)C1 ZINC000339650113 1035901809 /nfs/dbraw/zinc/90/18/09/1035901809.db2.gz XBCPQEKMFDILPE-RDJZCZTQSA-N 0 3 318.509 4.263 20 0 DIADHN C[C@H](CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1)C(F)(F)F ZINC001208891481 1035910932 /nfs/dbraw/zinc/91/09/32/1035910932.db2.gz KCMPMAJOEIMKAZ-BXUZGUMPSA-N 0 3 321.770 4.382 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccnc(OC)c2)cc1 ZINC000339680652 1035911927 /nfs/dbraw/zinc/91/19/27/1035911927.db2.gz HMNOPFDZDNSLNJ-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN COc1cccc([C@@H]2CCCCN2C[C@@H](C)C(F)(F)F)c1 ZINC001208895184 1035920906 /nfs/dbraw/zinc/92/09/06/1035920906.db2.gz ZVBUIOYKMSULRY-DOMZBBRYSA-N 0 3 301.352 4.421 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(C[C@H](C)C(F)(F)F)C2)cc1 ZINC001208895132 1035921283 /nfs/dbraw/zinc/92/12/83/1035921283.db2.gz YJVDQUPUBKWPTP-DZGCQCFKSA-N 0 3 301.352 4.037 20 0 DIADHN CC[C@@H](C)[C@@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037033584 1035924413 /nfs/dbraw/zinc/92/44/13/1035924413.db2.gz JGHDNYWJMNSPFT-MLGOLLRUSA-N 0 3 306.475 4.033 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccc(OCC(F)F)cc1 ZINC000179705228 1035926687 /nfs/dbraw/zinc/92/66/87/1035926687.db2.gz NQRYGUQVNTVMKH-KRWDZBQOSA-N 0 3 320.383 4.006 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2cccn2C)cc1 ZINC000179704073 1035928032 /nfs/dbraw/zinc/92/80/32/1035928032.db2.gz XQDJQTMLJVMZKI-IBGZPJMESA-N 0 3 320.440 4.061 20 0 DIADHN CCN(Cc1ccc(Br)cc1)C[C@@H](C)C(F)(F)F ZINC001208904645 1035930966 /nfs/dbraw/zinc/93/09/66/1035930966.db2.gz GYJDQADMBAZUJI-SNVBAGLBSA-N 0 3 324.184 4.469 20 0 DIADHN CC[C@H](N[C@@H](C)Cn1cccn1)c1ccc(Cl)cc1Cl ZINC000037155938 1035953347 /nfs/dbraw/zinc/95/33/47/1035953347.db2.gz HGVZRLRCCCWADB-NHYWBVRUSA-N 0 3 312.244 4.319 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2ccccc2C)c2ccccc21 ZINC000339756268 1035961153 /nfs/dbraw/zinc/96/11/53/1035961153.db2.gz MPUBAEVYYWKGGQ-DNVCBOLYSA-N 0 3 308.425 4.144 20 0 DIADHN CN(CCSc1ccc(F)cc1)Cc1ccc(F)c(F)c1 ZINC000219457707 1035966150 /nfs/dbraw/zinc/96/61/50/1035966150.db2.gz BCBWAGSTNCMAGX-UHFFFAOYSA-N 0 3 311.372 4.328 20 0 DIADHN CC(C)N(Cc1coc(-c2ccc(F)c(F)c2)n1)CC1CC1 ZINC000533494328 1035980857 /nfs/dbraw/zinc/98/08/57/1035980857.db2.gz ZRCIVUBRQKSDLM-UHFFFAOYSA-N 0 3 306.356 4.240 20 0 DIADHN CO[C@H]1CCN(CCc2ccc(Cl)cc2Cl)[C@H](C)C1 ZINC001209082812 1036008546 /nfs/dbraw/zinc/00/85/46/1036008546.db2.gz DFHUFNWCFLBWDL-RISCZKNCSA-N 0 3 302.245 4.035 20 0 DIADHN COCC[C@H](N[C@H](c1ncccc1C)C(C)C)c1ccccc1 ZINC000339867334 1036009646 /nfs/dbraw/zinc/00/96/46/1036009646.db2.gz PLYYREBICCRGJG-OALUTQOASA-N 0 3 312.457 4.455 20 0 DIADHN COCC[C@@H](N[C@H](c1ncccc1C)C(C)C)c1ccccc1 ZINC000339867333 1036009974 /nfs/dbraw/zinc/00/99/74/1036009974.db2.gz PLYYREBICCRGJG-MOPGFXCFSA-N 0 3 312.457 4.455 20 0 DIADHN Cc1ccc(NC(=O)CCCSC(C)(C)C)c(CN(C)C)c1 ZINC000154832016 1036023980 /nfs/dbraw/zinc/02/39/80/1036023980.db2.gz SZEKZTLEXQZLTH-UHFFFAOYSA-N 0 3 322.518 4.307 20 0 DIADHN COc1ccc(C[C@@H](C)CN(C)Cc2nc3ccccc3o2)cc1 ZINC000535628286 1036025139 /nfs/dbraw/zinc/02/51/39/1036025139.db2.gz KYKWBLCSZXQDCL-OAHLLOKOSA-N 0 3 324.424 4.147 20 0 DIADHN CN(Cc1nc(-c2cccc(Cl)c2)no1)C(C1CC1)C1CC1 ZINC000065243421 1036056496 /nfs/dbraw/zinc/05/64/96/1036056496.db2.gz HFAPZAIBOTYTTH-UHFFFAOYSA-N 0 3 317.820 4.010 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(Cl)cc2Cl)c1 ZINC000047452703 1036074588 /nfs/dbraw/zinc/07/45/88/1036074588.db2.gz MMQHPZYXCDWMJO-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CCC[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000047453024 1036076093 /nfs/dbraw/zinc/07/60/93/1036076093.db2.gz AEJSMMZVSHFIOW-IBGZPJMESA-N 0 3 310.441 4.271 20 0 DIADHN C[C@H](CCCO)N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000180751302 1036086804 /nfs/dbraw/zinc/08/68/04/1036086804.db2.gz YFQPQHASDKVDQA-ACJLOTCBSA-N 0 3 321.823 4.319 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C(C)C)cc1Br ZINC000109497667 1036120459 /nfs/dbraw/zinc/12/04/59/1036120459.db2.gz LLGXTSOGSJDRPB-CQSZACIVSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCCO2)c1ccc(Cl)s1 ZINC000037675075 1036126018 /nfs/dbraw/zinc/12/60/18/1036126018.db2.gz RRFWMSXGXRXIGW-NSHDSACASA-N 0 3 323.845 4.414 20 0 DIADHN C[C@H](NCc1ccc(Br)s1)c1ccsc1 ZINC000050344192 1036184360 /nfs/dbraw/zinc/18/43/60/1036184360.db2.gz AUMKPLKMESRPRZ-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2ccc(Cl)s2)cc1 ZINC000027221775 1036215443 /nfs/dbraw/zinc/21/54/43/1036215443.db2.gz VBDVSDBIYJCWSF-JTQLQIEISA-N 0 3 308.834 4.211 20 0 DIADHN COc1c(Cl)cccc1CN1CCC2(CCOC2(C)C)CC1 ZINC001140063018 1036242532 /nfs/dbraw/zinc/24/25/32/1036242532.db2.gz LEJQSEUFCSRNAH-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N(C)c1ccccc1)c1ccc(C)cc1 ZINC000340154578 1036252983 /nfs/dbraw/zinc/25/29/83/1036252983.db2.gz INDJLYGBVCCFSH-LPHOPBHVSA-N 0 3 310.441 4.087 20 0 DIADHN Oc1ccc(CN2CC[C@@H](c3ccc(F)cc3)C2)c(Cl)c1 ZINC001140084325 1036266914 /nfs/dbraw/zinc/26/69/14/1036266914.db2.gz WWXXKZRCYFKGIZ-CYBMUJFWSA-N 0 3 305.780 4.174 20 0 DIADHN CCOc1ccc2c(c1)CN(CC[C@@H]1CCCC1(F)F)CC2 ZINC001167206414 1036273257 /nfs/dbraw/zinc/27/32/57/1036273257.db2.gz PSSVNPPRNVYOOA-INIZCTEOSA-N 0 3 309.400 4.269 20 0 DIADHN CCOc1ccc2c(c1)CN(CC[C@H]1CCCC1(F)F)CC2 ZINC001167206417 1036274321 /nfs/dbraw/zinc/27/43/21/1036274321.db2.gz PSSVNPPRNVYOOA-MRXNPFEDSA-N 0 3 309.400 4.269 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2occc21)c1ccc(C(=O)OC)cc1 ZINC000340175762 1036275983 /nfs/dbraw/zinc/27/59/83/1036275983.db2.gz PBHSRZLWKIHCJT-IAGOWNOFSA-N 0 3 313.397 4.185 20 0 DIADHN C[C@H]1CN(CCCOc2cccc3ccccc32)CC(C)(C)O1 ZINC001167218508 1036283104 /nfs/dbraw/zinc/28/31/04/1036283104.db2.gz OOIXBHZVIGLPIW-INIZCTEOSA-N 0 3 313.441 4.108 20 0 DIADHN C[C@@]1(CF)CCN(Cc2cnc(-c3ccc(F)cc3)s2)C1 ZINC001140093317 1036303094 /nfs/dbraw/zinc/30/30/94/1036303094.db2.gz ACXWCWAUPBPKFQ-INIZCTEOSA-N 0 3 308.397 4.131 20 0 DIADHN C=Cc1ccccc1Nc1sc(N)c2c1CN(CCC)CC2 ZINC001209942702 1036307835 /nfs/dbraw/zinc/30/78/35/1036307835.db2.gz MHFPGEQUKJZFFF-UHFFFAOYSA-N 0 3 313.470 4.485 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc([C@@H]2C[C@@H]2C)o1)c1ccco1 ZINC000236900014 1036319649 /nfs/dbraw/zinc/31/96/49/1036319649.db2.gz BQJNPKBVUSKYTK-USXIJHARSA-N 0 3 316.445 4.169 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc([C@H]2C[C@@H]2C)o1)c1ccco1 ZINC000236900047 1036319962 /nfs/dbraw/zinc/31/99/62/1036319962.db2.gz BQJNPKBVUSKYTK-BHYGNILZSA-N 0 3 316.445 4.169 20 0 DIADHN CCCN(CC(C)C)[C@@H](C)c1nc(Cc2ccc(F)cc2)no1 ZINC001167255884 1036326235 /nfs/dbraw/zinc/32/62/35/1036326235.db2.gz PNABIILTPHXYOF-AWEZNQCLSA-N 0 3 319.424 4.229 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001266470228 1036351109 /nfs/dbraw/zinc/35/11/09/1036351109.db2.gz DSDQAYULWSZUNY-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2ccc(F)c(Cl)c2)c1 ZINC000144265807 1036360644 /nfs/dbraw/zinc/36/06/44/1036360644.db2.gz GSACJXSTBUIYPF-UHFFFAOYSA-N 0 3 321.783 4.185 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2cc(C)sc2C)c1 ZINC000155427809 1036376848 /nfs/dbraw/zinc/37/68/48/1036376848.db2.gz WATLHMDEXVDUCB-LBPRGKRZSA-N 0 3 302.443 4.174 20 0 DIADHN Brc1cc(CNC/C=C/c2ccccc2)cs1 ZINC000397477304 1036382930 /nfs/dbraw/zinc/38/29/30/1036382930.db2.gz IHSDWLDCTJZUIW-QPJJXVBHSA-N 0 3 308.244 4.314 20 0 DIADHN Fc1cccc(Cl)c1CNC1CCN(c2ccccc2)CC1 ZINC000237749277 1036400749 /nfs/dbraw/zinc/40/07/49/1036400749.db2.gz JSFWYWFNPIBJIO-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN Cc1cnccc1CN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000579916976 1036406206 /nfs/dbraw/zinc/40/62/06/1036406206.db2.gz OOTAZMAXRHUBMF-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN CC(C)[C@H]1CC[C@@H]1NCc1nc(-c2ccccc2F)cs1 ZINC000579918688 1036413030 /nfs/dbraw/zinc/41/30/30/1036413030.db2.gz JQNIXBKMGHCKBX-DOMZBBRYSA-N 0 3 304.434 4.473 20 0 DIADHN CCO[C@@H](CN[C@H](CC(F)(F)F)c1ccc(F)cc1)C1CC1 ZINC000625291441 1036462446 /nfs/dbraw/zinc/46/24/46/1036462446.db2.gz WXMGEKFKKWBWBY-CABCVRRESA-N 0 3 319.342 4.224 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCc3cc(C)cc(C)c32)c1 ZINC000655689336 1036477664 /nfs/dbraw/zinc/47/76/64/1036477664.db2.gz AMGPNUJMQKNLHX-IBGZPJMESA-N 0 3 308.425 4.039 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1ccc(N3CCCC3)nc1)CC2 ZINC000655687195 1036478133 /nfs/dbraw/zinc/47/81/33/1036478133.db2.gz LXQAFBQYIYEDAO-IBGZPJMESA-N 0 3 321.468 4.076 20 0 DIADHN CCCCN(Cc1ccccc1Cl)C[C@@H]1C[C@H]1C(=O)OCC ZINC001167294148 1036485051 /nfs/dbraw/zinc/48/50/51/1036485051.db2.gz HOTYEXXZSWNQDS-JKSUJKDBSA-N 0 3 323.864 4.141 20 0 DIADHN CCN(CCC(C)C)Cc1cc(Br)ccc1F ZINC001167294531 1036485659 /nfs/dbraw/zinc/48/56/59/1036485659.db2.gz RDOAEYXTYIJAAH-UHFFFAOYSA-N 0 3 302.231 4.456 20 0 DIADHN C[C@H](CN[C@@H]1CCCn2ccnc21)c1c(Cl)cccc1Cl ZINC000655704294 1036491741 /nfs/dbraw/zinc/49/17/41/1036491741.db2.gz AEZZTCKZWNTDJD-BXUZGUMPSA-N 0 3 324.255 4.418 20 0 DIADHN Cc1ccc(-c2ncc(CNCc3ccn(C)c3)s2)cc1C ZINC000655743210 1036515285 /nfs/dbraw/zinc/51/52/85/1036515285.db2.gz DOJGUFHWOLMZFZ-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN CCc1ccc(CNCc2cc(Br)cs2)s1 ZINC000067693209 1036548973 /nfs/dbraw/zinc/54/89/73/1036548973.db2.gz ICTGSVDORFUPOC-UHFFFAOYSA-N 0 3 316.289 4.424 20 0 DIADHN COc1c(C)cccc1CN[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000655810258 1036559239 /nfs/dbraw/zinc/55/92/39/1036559239.db2.gz JGTNYUVCKXHAIY-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Clc1cccc(Cl)c1CCCN[C@@H]1CCCn2ccnc21 ZINC000655821366 1036566103 /nfs/dbraw/zinc/56/61/03/1036566103.db2.gz XFHKSRBAJQCEPJ-OAHLLOKOSA-N 0 3 324.255 4.247 20 0 DIADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000655831467 1036574227 /nfs/dbraw/zinc/57/42/27/1036574227.db2.gz DMIJQEHWXYWDEC-GJZGRUSLSA-N 0 3 323.465 4.487 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000655831468 1036574249 /nfs/dbraw/zinc/57/42/49/1036574249.db2.gz DMIJQEHWXYWDEC-HUUCEWRRSA-N 0 3 323.465 4.487 20 0 DIADHN COc1c(C)cccc1CNCc1cc(C)c2ncccc2c1 ZINC000655833022 1036576868 /nfs/dbraw/zinc/57/68/68/1036576868.db2.gz GYDMUSHUIFHVHN-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CC[C@@H](CNCc1cc(F)ccc1F)Oc1cccc(C)c1 ZINC001648824933 1036592176 /nfs/dbraw/zinc/59/21/76/1036592176.db2.gz SVSCLTNUCZJXOH-INIZCTEOSA-N 0 3 305.368 4.220 20 0 DIADHN C[C@@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cccc(C(F)(F)F)c1 ZINC000655840198 1036594944 /nfs/dbraw/zinc/59/49/44/1036594944.db2.gz OGZJCYHVBVGZIZ-NVGVWMPQSA-N 0 3 321.342 4.014 20 0 DIADHN C[C@H](NCc1cnc2ccccn12)c1ccc(C(F)(F)F)cc1 ZINC000121865194 1036604924 /nfs/dbraw/zinc/60/49/24/1036604924.db2.gz KCMAYYFECLONEO-LBPRGKRZSA-N 0 3 319.330 4.204 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCO[C@@H]1CCCC[C@H]1C ZINC001167309931 1036606815 /nfs/dbraw/zinc/60/68/15/1036606815.db2.gz KJPXQICUHVYFPL-NZSAHSFTSA-N 0 3 317.473 4.427 20 0 DIADHN c1nn(-c2ccccc2)cc1CNCc1cc2ccccc2s1 ZINC000122002478 1036624980 /nfs/dbraw/zinc/62/49/80/1036624980.db2.gz KVGZIEKDTVAGFM-UHFFFAOYSA-N 0 3 319.433 4.377 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000340447714 1036640910 /nfs/dbraw/zinc/64/09/10/1036640910.db2.gz FBFOURHYBQADDD-GBIKHYSHSA-N 0 3 306.456 4.125 20 0 DIADHN CC[C@H](NC(=O)[C@H](C)N1CCCCCC1)c1cc(C)ccc1C ZINC001648850968 1036640992 /nfs/dbraw/zinc/64/09/92/1036640992.db2.gz QHWRLLMGUHIZEP-HKUYNNGSSA-N 0 3 316.489 4.135 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2ncc(C(C)(C)C)s2)CCO1 ZINC000447893380 1036646008 /nfs/dbraw/zinc/64/60/08/1036646008.db2.gz MDSJTVQSXKYGMC-UONOGXRCSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000340456116 1036648120 /nfs/dbraw/zinc/64/81/20/1036648120.db2.gz XAXJSXDBDJIFGE-LSDHHAIUSA-N 0 3 324.403 4.127 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCc3ccc(O)cc32)cc1 ZINC000340472073 1036693195 /nfs/dbraw/zinc/69/31/95/1036693195.db2.gz ACOMWWMXGHHJJF-FQEVSTJZSA-N 0 3 311.425 4.348 20 0 DIADHN Cc1csc(C(C)(C)NCCCOc2ccccc2C)n1 ZINC000118849259 1036694465 /nfs/dbraw/zinc/69/44/65/1036694465.db2.gz OZDKHCWQHUCHEN-UHFFFAOYSA-N 0 3 304.459 4.054 20 0 DIADHN C[C@H](NCc1ccc(-n2ccnc2)cc1)c1ccc(F)c(F)c1 ZINC000072671053 1036695338 /nfs/dbraw/zinc/69/53/38/1036695338.db2.gz GNWAWEOOBNSHBH-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](Cc2nccn2C)c2ccccc2)c(C)o1 ZINC000683690039 1036707189 /nfs/dbraw/zinc/70/71/89/1036707189.db2.gz CSPGGCKMOSMSBL-KXBFYZLASA-N 0 3 323.440 4.265 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000683691257 1036715006 /nfs/dbraw/zinc/71/50/06/1036715006.db2.gz XDCROQOSRUJYIP-UXHICEINSA-N 0 3 324.468 4.474 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000121949116 1036716830 /nfs/dbraw/zinc/71/68/30/1036716830.db2.gz AVWJPKYJXWEWBV-RYUDHWBXSA-N 0 3 319.474 4.201 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccc2c(n1)CCCC2 ZINC000683694181 1036719102 /nfs/dbraw/zinc/71/91/02/1036719102.db2.gz LYPJEIWAKJKPNK-YCRPNKLZSA-N 0 3 306.453 4.374 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccc2c(n1)CCCC2 ZINC000683694180 1036719323 /nfs/dbraw/zinc/71/93/23/1036719323.db2.gz LYPJEIWAKJKPNK-VFNWGFHPSA-N 0 3 306.453 4.374 20 0 DIADHN COc1cc(CNCc2ccc(OC(C)(C)C)cc2)ccc1C ZINC000683704503 1036734120 /nfs/dbraw/zinc/73/41/20/1036734120.db2.gz AQRRMCDYXZNSLW-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN Cc1cc(CN(C)Cc2c[nH]nc2-c2cccs2)ccc1F ZINC000683721684 1036768229 /nfs/dbraw/zinc/76/82/29/1036768229.db2.gz LNRLCMUQVODRBI-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000658361886 1036772333 /nfs/dbraw/zinc/77/23/33/1036772333.db2.gz PGVSACPTCPKXBR-JBBXEZCESA-N 0 3 301.817 4.039 20 0 DIADHN CC[C@@H](NC1CC(c2ccccc2Cl)C1)c1nccn1C ZINC000340533598 1036799910 /nfs/dbraw/zinc/79/99/10/1036799910.db2.gz NOAWENYUXWDYGM-SEEARECTSA-N 0 3 303.837 4.060 20 0 DIADHN Cc1oncc1Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001210583311 1036837844 /nfs/dbraw/zinc/83/78/44/1036837844.db2.gz MKSQTUPKVWYJNN-KRWDZBQOSA-N 0 3 319.408 4.304 20 0 DIADHN c1ccc([C@H](NCc2ccc3cnccc3c2)[C@H]2CCCO2)cc1 ZINC000683767488 1036869845 /nfs/dbraw/zinc/86/98/45/1036869845.db2.gz UWNBUUKNIUAZFR-RTWAWAEBSA-N 0 3 318.420 4.245 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccc2cnccc2c1 ZINC000683769113 1036876739 /nfs/dbraw/zinc/87/67/39/1036876739.db2.gz HATJNRVCKXULLC-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@@H](C)NCc2cccs2)cc1 ZINC000047722897 1036882687 /nfs/dbraw/zinc/88/26/87/1036882687.db2.gz QCDFZMLBZXJAMB-CYBMUJFWSA-N 0 3 317.458 4.129 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@H](n3ccnc3)C2)c1 ZINC000683780390 1036905122 /nfs/dbraw/zinc/90/51/22/1036905122.db2.gz GNDBPNYJDKPGLF-HNNXBMFYSA-N 0 3 324.255 4.070 20 0 DIADHN C[C@H]1C[C@H](NCc2cc(Cl)ccc2Br)CS1 ZINC000397856525 1036924252 /nfs/dbraw/zinc/92/42/52/1036924252.db2.gz MMYSNHRIUXMBEV-KWQFWETISA-N 0 3 320.683 4.086 20 0 DIADHN CN(Cc1ccc(C2CCC2)cc1)[C@@H]1CCCc2c1cnn2C ZINC000683784112 1036915252 /nfs/dbraw/zinc/91/52/52/1036915252.db2.gz JEOBHVUUYJUNMD-LJQANCHMSA-N 0 3 309.457 4.197 20 0 DIADHN CN(Cc1ccc(C2CCC2)cc1)[C@H]1CCCc2c1cnn2C ZINC000683784111 1036916224 /nfs/dbraw/zinc/91/62/24/1036916224.db2.gz JEOBHVUUYJUNMD-IBGZPJMESA-N 0 3 309.457 4.197 20 0 DIADHN c1ccc2c(c1)CC[C@H]2N1CC(c2nc3ccccc3s2)C1 ZINC000683785690 1036919542 /nfs/dbraw/zinc/91/95/42/1036919542.db2.gz NRAYPYGENKUTRW-QGZVFWFLSA-N 0 3 306.434 4.383 20 0 DIADHN CCN(CC(=O)Nc1cccc(Cl)c1C)C1CCCCC1 ZINC000027284546 1036932858 /nfs/dbraw/zinc/93/28/58/1036932858.db2.gz VMHXYLQWDNASTF-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN CCCc1noc(C2CCN(Cc3cc(C)cc(C)c3)CC2)n1 ZINC001204524147 1036935716 /nfs/dbraw/zinc/93/57/16/1036935716.db2.gz KKYWLIGLVASFHR-UHFFFAOYSA-N 0 3 313.445 4.019 20 0 DIADHN COc1ccccc1CCN(C)Cc1cnc(-c2ccccc2)o1 ZINC001167364195 1036984133 /nfs/dbraw/zinc/98/41/33/1036984133.db2.gz WKORMZOZQKVDJN-UHFFFAOYSA-N 0 3 322.408 4.025 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1ccc2cnccc2c1 ZINC000683831999 1036992847 /nfs/dbraw/zinc/99/28/47/1036992847.db2.gz IHHQJIUGVXSVDZ-HXUWFJFHSA-N 0 3 305.425 4.301 20 0 DIADHN c1sc2ccccc2c1CNCc1ccnc(N2CCCC2)c1 ZINC000078890042 1037007684 /nfs/dbraw/zinc/00/76/84/1037007684.db2.gz VHMHLZNJIAOQLO-UHFFFAOYSA-N 0 3 323.465 4.186 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Sc3ccncc3)C2)oc1C ZINC001204531895 1037033502 /nfs/dbraw/zinc/03/35/02/1037033502.db2.gz BLRVOKRBQWHIJL-QGZVFWFLSA-N 0 3 302.443 4.048 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1ccccc1Cl ZINC000070988758 1037040016 /nfs/dbraw/zinc/04/00/16/1037040016.db2.gz GSACBUJSVXHNBO-FZKQIMNGSA-N 0 3 301.817 4.305 20 0 DIADHN Cc1nc(C)c(CN2Cc3ccccc3[C@@H](c3ccccc3)C2)o1 ZINC000683898483 1037077248 /nfs/dbraw/zinc/07/72/48/1037077248.db2.gz QBPLUQHEPMSPRQ-HXUWFJFHSA-N 0 3 318.420 4.439 20 0 DIADHN Cc1nc(C)c(CN2Cc3ccccc3[C@H](c3ccccc3)C2)o1 ZINC000683898476 1037079485 /nfs/dbraw/zinc/07/94/85/1037079485.db2.gz QBPLUQHEPMSPRQ-FQEVSTJZSA-N 0 3 318.420 4.439 20 0 DIADHN COc1cc(CNCc2sccc2C)c(SC)cc1OC ZINC000086507392 1037094547 /nfs/dbraw/zinc/09/45/47/1037094547.db2.gz YVZHYZDBBWELNQ-UHFFFAOYSA-N 0 3 323.483 4.085 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1ccccc1 ZINC000658362831 1037107988 /nfs/dbraw/zinc/10/79/88/1037107988.db2.gz SXJVRVHAAYZHLA-AHIWAGSCSA-N 0 3 321.367 4.061 20 0 DIADHN Fc1ccc(C2(NCc3cc4ccccc4[nH]3)CCOCC2)cc1 ZINC000683926553 1037111229 /nfs/dbraw/zinc/11/12/29/1037111229.db2.gz ANBACGHLGXXPOB-UHFFFAOYSA-N 0 3 324.399 4.103 20 0 DIADHN c1c2ccccc2[nH]c1CN[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000683927416 1037113502 /nfs/dbraw/zinc/11/35/02/1037113502.db2.gz VQYRSEXOAHAPGX-WOJBJXKFSA-N 0 3 306.409 4.178 20 0 DIADHN CCCOCc1ccccc1CNCc1cc2ccccc2[nH]1 ZINC000683928031 1037113743 /nfs/dbraw/zinc/11/37/43/1037113743.db2.gz SFGUDAJPXFUCRZ-UHFFFAOYSA-N 0 3 308.425 4.384 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000683928066 1037114412 /nfs/dbraw/zinc/11/44/12/1037114412.db2.gz TTYAXSATLIFOGC-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000683928129 1037117100 /nfs/dbraw/zinc/11/71/00/1037117100.db2.gz WTPTTWKRZFIZEC-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CC(C)SCCN[C@H](C)c1cc(Br)ccc1F ZINC000310259953 1037124271 /nfs/dbraw/zinc/12/42/71/1037124271.db2.gz ZBOYDTSFGNXZKB-SNVBAGLBSA-N 0 3 320.271 4.380 20 0 DIADHN CCCCCCC(=O)N1c2ccc(C)cc2[C@@H]2CN(C)CC[C@H]21 ZINC000197068439 1037132794 /nfs/dbraw/zinc/13/27/94/1037132794.db2.gz KUEWTMVQWCTPPH-PKOBYXMFSA-N 0 3 314.473 4.100 20 0 DIADHN Cc1nc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)cs1 ZINC000683940458 1037139389 /nfs/dbraw/zinc/13/93/89/1037139389.db2.gz RYUSTSUAAFZOPF-KPXOXKRLSA-N 0 3 312.891 4.448 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@H]1CCCN(C)C1 ZINC000617913805 1037143014 /nfs/dbraw/zinc/14/30/14/1037143014.db2.gz WZUXLFZBMGWKCF-HNNXBMFYSA-N 0 3 317.477 4.149 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001167405446 1037150251 /nfs/dbraw/zinc/15/02/51/1037150251.db2.gz FLVFJOZOTKCEGP-MNOVXSKESA-N 0 3 317.260 4.298 20 0 DIADHN C[C@@H]1SCCN(C[C@H]2CCC(C)(C)CO2)[C@H]1c1ccccc1 ZINC001167411946 1037166777 /nfs/dbraw/zinc/16/67/77/1037166777.db2.gz FPRQNDWXWICQEP-CGTJXYLNSA-N 0 3 319.514 4.370 20 0 DIADHN Cc1c(Br)cccc1CN1CC[C@H](c2ccco2)C1 ZINC001167420470 1037208900 /nfs/dbraw/zinc/20/89/00/1037208900.db2.gz GBIDTBFRNTWASJ-AWEZNQCLSA-N 0 3 320.230 4.340 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000565725467 1037219052 /nfs/dbraw/zinc/21/90/52/1037219052.db2.gz GSJQNFMRWNPHFO-GUYCJALGSA-N 0 3 301.409 4.362 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccc(F)cc1)c1cc2ccccc2s1 ZINC000580617045 1037224260 /nfs/dbraw/zinc/22/42/60/1037224260.db2.gz ONDCNEQVTYSQEJ-WBMJQRKESA-N 0 3 315.413 4.425 20 0 DIADHN CC(C)[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)CC1 ZINC001167424769 1037231465 /nfs/dbraw/zinc/23/14/65/1037231465.db2.gz MXJGSZLAOUGRGQ-LSDHHAIUSA-N 0 3 322.880 4.425 20 0 DIADHN CC[C@@H](N[C@@H](C)CCC(C)(C)C)c1nnc2n1CCCCC2 ZINC000565780539 1037288985 /nfs/dbraw/zinc/28/89/85/1037288985.db2.gz AAVLOMCYCBOTMG-LSDHHAIUSA-N 0 3 306.498 4.260 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2CCCc3ccc(SC)cc32)cn1 ZINC000580834548 1037325535 /nfs/dbraw/zinc/32/55/35/1037325535.db2.gz TWGNQMKBVMASJI-ACJLOTCBSA-N 0 3 315.486 4.353 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2CCCc3ccc(SC)cc32)cn1 ZINC000580834551 1037325763 /nfs/dbraw/zinc/32/57/63/1037325763.db2.gz TWGNQMKBVMASJI-UGSOOPFHSA-N 0 3 315.486 4.353 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2cscn2)cc1OC ZINC000568883768 1037340548 /nfs/dbraw/zinc/34/05/48/1037340548.db2.gz SYTWDPPPMQZKHT-UPJWGTAASA-N 0 3 320.458 4.003 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@H](O)C[C@@H]1C ZINC000580908424 1037349864 /nfs/dbraw/zinc/34/98/64/1037349864.db2.gz OADWNONKPNIIPY-JQWIXIFHSA-N 0 3 309.862 4.208 20 0 DIADHN c1ccc(COc2ccc(CN3CCC[C@H]4CCC[C@@H]43)cn2)cc1 ZINC001167439200 1037364493 /nfs/dbraw/zinc/36/44/93/1037364493.db2.gz UBUMBELBEHEGHF-UXHICEINSA-N 0 3 322.452 4.425 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC2(CC1)C[C@@H](O)c1ccccc1O2 ZINC000933076043 1037409326 /nfs/dbraw/zinc/40/93/26/1037409326.db2.gz RXODYVOCXNXQNU-QFBILLFUSA-N 0 3 323.436 4.098 20 0 DIADHN OCCCCCN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC000581035585 1037410708 /nfs/dbraw/zinc/41/07/08/1037410708.db2.gz XQBQACDDRSBSGR-UHFFFAOYSA-N 0 3 316.272 4.335 20 0 DIADHN CCC[C@H](NC(=O)[C@H](C)N[C@H](C)c1ccccc1)c1ccccc1 ZINC000255953980 1037414391 /nfs/dbraw/zinc/41/43/91/1037414391.db2.gz ZTJAKZBQBLMXAR-UWVAXJGDSA-N 0 3 324.468 4.383 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000255959023 1037417195 /nfs/dbraw/zinc/41/71/95/1037417195.db2.gz NFHNGGBEEOADEO-CYZMBNFOSA-N 0 3 305.397 4.269 20 0 DIADHN Cc1csc(CNCc2cccc(OC(F)(F)F)c2)c1 ZINC000393315945 1037461967 /nfs/dbraw/zinc/46/19/67/1037461967.db2.gz WORIFZRCQIPQHI-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCN1Cc1cnc(C2CCCCC2)s1 ZINC000581231621 1037486283 /nfs/dbraw/zinc/48/62/83/1037486283.db2.gz SUINESHJFDNGPR-GDBMZVCRSA-N 0 3 322.518 4.316 20 0 DIADHN C/C=C(/CC)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001625869315 1037499231 /nfs/dbraw/zinc/49/92/31/1037499231.db2.gz BJOOOUXAHWKDKW-WZUFQYTHSA-N 0 3 314.351 4.062 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000276085189 1037499699 /nfs/dbraw/zinc/49/96/99/1037499699.db2.gz AFPMYJGTYZBZHJ-KBPBESRZSA-N 0 3 301.434 4.193 20 0 DIADHN CCN(Cc1cc2cc(OC)ccc2o1)[C@H](C)c1cccnc1 ZINC000581278690 1037513073 /nfs/dbraw/zinc/51/30/73/1037513073.db2.gz XDJLCSYCEUPXAT-CQSZACIVSA-N 0 3 310.397 4.420 20 0 DIADHN Cc1ccc([C@@H](NCc2ncccc2N(C)C)C2CCCC2)cc1 ZINC000581303389 1037523817 /nfs/dbraw/zinc/52/38/17/1037523817.db2.gz WXLOEPWNPMAKKJ-NRFANRHFSA-N 0 3 323.484 4.477 20 0 DIADHN C[C@@H](c1nc(-c2nccc3ccccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276138783 1037535217 /nfs/dbraw/zinc/53/52/17/1037535217.db2.gz BURMZSUYMVYRRK-MCIONIFRSA-N 0 3 322.412 4.219 20 0 DIADHN C/C(=C/C(=O)Nc1cc(CN(C)C)ccc1C)c1ccccc1 ZINC000255293757 1037542995 /nfs/dbraw/zinc/54/29/95/1037542995.db2.gz GBDUUNPISNXCEG-VBKFSLOCSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1nc(C)c([C@H](C)NCc2ccc(OC(C)C)cc2)s1 ZINC000040445688 1037559583 /nfs/dbraw/zinc/55/95/83/1037559583.db2.gz KVELKUHDYWQXEH-LBPRGKRZSA-N 0 3 304.459 4.398 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3noc4c3CCCC4)C2)c(C)c1 ZINC000684212330 1037563875 /nfs/dbraw/zinc/56/38/75/1037563875.db2.gz YXHLOKFJUYCVOI-MRXNPFEDSA-N 0 3 310.441 4.160 20 0 DIADHN Cc1ccnc([C@H]2CCN(CCSc3ccccc3F)C2)c1 ZINC000581378067 1037564824 /nfs/dbraw/zinc/56/48/24/1037564824.db2.gz XYQVWVWEKNCJSQ-HNNXBMFYSA-N 0 3 316.445 4.111 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1cccc(Br)c1 ZINC000035231322 1037575370 /nfs/dbraw/zinc/57/53/70/1037575370.db2.gz XKHHLNAADFVNCO-NSHDSACASA-N 0 3 306.203 4.006 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)c1ccc(C)s1 ZINC000754671344 1037603479 /nfs/dbraw/zinc/60/34/79/1037603479.db2.gz QFCSFVMZXPJOSS-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(Cl)c2)s1 ZINC000754669796 1037605120 /nfs/dbraw/zinc/60/51/20/1037605120.db2.gz SRAJQZAFULJKSE-NWDGAFQWSA-N 0 3 322.861 4.388 20 0 DIADHN COCC[C@@H](N[C@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000341022255 1037621561 /nfs/dbraw/zinc/62/15/61/1037621561.db2.gz VHYKYTHJMJPLOG-VQIMIIECSA-N 0 3 313.441 4.432 20 0 DIADHN COc1cc(F)c(F)cc1CN(C)CCCc1ccccc1 ZINC001143392900 1037628905 /nfs/dbraw/zinc/62/89/05/1037628905.db2.gz GZDKDFLBFYHNRZ-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1cc(C)cc(C)c1 ZINC001167461204 1037632978 /nfs/dbraw/zinc/63/29/78/1037632978.db2.gz BQMPZNVTALICGP-AEFFLSMTSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1nc2ccc(CN3CCC=C(c4ccco4)C3)cc2s1 ZINC000348667596 1037667057 /nfs/dbraw/zinc/66/70/57/1037667057.db2.gz DFSXGDUVIINCMY-UHFFFAOYSA-N 0 3 310.422 4.487 20 0 DIADHN C[C@@H](N[C@H]1CO[C@H](C)C1)c1cc2cc(Br)ccc2o1 ZINC000402375700 1037669991 /nfs/dbraw/zinc/66/99/91/1037669991.db2.gz ISEDCGWKNJEHNK-GIPNMCIBSA-N 0 3 324.218 4.023 20 0 DIADHN CC(C)C1CN(Cc2cn(C3CCCC3)nc2-c2ccccn2)C1 ZINC000656582375 1037670352 /nfs/dbraw/zinc/67/03/52/1037670352.db2.gz WLQDZABZXJOTOA-UHFFFAOYSA-N 0 3 324.472 4.148 20 0 DIADHN CC[C@H]1CCCC[C@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000341176908 1037710283 /nfs/dbraw/zinc/71/02/83/1037710283.db2.gz SFMCQMBVQVXZQU-XJKSGUPXSA-N 0 3 303.450 4.095 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001167467858 1037713384 /nfs/dbraw/zinc/71/33/84/1037713384.db2.gz NAJPPVVETXLSNT-QZTJIDSGSA-N 0 3 305.462 4.109 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2NCc1ccc2c(c1)ncn2C ZINC000341205315 1037743575 /nfs/dbraw/zinc/74/35/75/1037743575.db2.gz VOEMUJAJGAIJRY-KRWDZBQOSA-N 0 3 323.440 4.278 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnc(C)s1)c1cccc(Cl)c1 ZINC000090173390 1037745000 /nfs/dbraw/zinc/74/50/00/1037745000.db2.gz WUXSQBNXAKRHJR-IINYFYTJSA-N 0 3 310.850 4.143 20 0 DIADHN C[C@H](O)C[C@H](C)CNCc1c(Cl)ccc(Cl)c1Cl ZINC000398709103 1037761461 /nfs/dbraw/zinc/76/14/61/1037761461.db2.gz ZMWVOYSYNOUXBI-IUCAKERBSA-N 0 3 310.652 4.143 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(SC)cc1)c1ccccc1 ZINC000341219610 1037761722 /nfs/dbraw/zinc/76/17/22/1037761722.db2.gz NRESOHDTLXPXCY-QGZVFWFLSA-N 0 3 314.454 4.088 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1ccc2ccccc2c1 ZINC000656686174 1037792332 /nfs/dbraw/zinc/79/23/32/1037792332.db2.gz DWQGYNIZEMHEIE-AWEZNQCLSA-N 0 3 305.425 4.302 20 0 DIADHN COCc1csc(CN[C@H]2CCc3ccc(Cl)cc32)c1 ZINC000656685476 1037796191 /nfs/dbraw/zinc/79/61/91/1037796191.db2.gz ROUMCRZIWJOOGI-INIZCTEOSA-N 0 3 307.846 4.325 20 0 DIADHN CC[C@H](C(=O)Nc1cc(C(C)(C)C)ccc1OC)N(CC)CC ZINC000605449340 1037818297 /nfs/dbraw/zinc/81/82/97/1037818297.db2.gz WGKUSMGDRJBLHL-MRXNPFEDSA-N 0 3 320.477 4.052 20 0 DIADHN Cc1ccncc1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000625656815 1037824596 /nfs/dbraw/zinc/82/45/96/1037824596.db2.gz PLOUAXLARDVEQU-HNNXBMFYSA-N 0 3 302.368 4.048 20 0 DIADHN Clc1ccc(CCCCN2CCc3c[nH]nc3C2)cc1Cl ZINC000684361222 1037841049 /nfs/dbraw/zinc/84/10/49/1037841049.db2.gz QAWWUMPVKMRYGC-UHFFFAOYSA-N 0 3 324.255 4.098 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)NC(C)(C)CC)c1cccc(Cl)c1 ZINC000341286374 1037856053 /nfs/dbraw/zinc/85/60/53/1037856053.db2.gz KOIDVMFKXCERAK-DOMZBBRYSA-N 0 3 310.869 4.074 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@@H](OC)C1)c1nc(C(F)(F)F)cs1 ZINC000684381851 1037906061 /nfs/dbraw/zinc/90/60/61/1037906061.db2.gz RLMOPKGAVQFQGE-AXFHLTTASA-N 0 3 322.396 4.160 20 0 DIADHN Cc1ccc(Cl)nc1CN1CC[C@H](c2ccc(F)cc2)C1 ZINC001140444456 1037907678 /nfs/dbraw/zinc/90/76/78/1037907678.db2.gz UDJUTHNURWDQCJ-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN CO[C@@H]1CCC[C@@H](N[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000684389410 1037919651 /nfs/dbraw/zinc/91/96/51/1037919651.db2.gz JKPUNFLRNKVIQM-GGPKGHCWSA-N 0 3 310.441 4.027 20 0 DIADHN CO[C@@H]1CCC[C@H](N[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000684389412 1037920573 /nfs/dbraw/zinc/92/05/73/1037920573.db2.gz JKPUNFLRNKVIQM-NSHGMRRFSA-N 0 3 310.441 4.027 20 0 DIADHN CCCN(Cc1nnc(-c2ccc(Cl)cc2)o1)CC1CC1 ZINC000078664842 1037937346 /nfs/dbraw/zinc/93/73/46/1037937346.db2.gz UPICHESMHDEQCI-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc(C)s1)c1ccc2c(c1)OCCO2 ZINC000090404139 1037958562 /nfs/dbraw/zinc/95/85/62/1037958562.db2.gz PMLSYGYBDQFZFO-BXUZGUMPSA-N 0 3 318.442 4.025 20 0 DIADHN FC(F)(F)CC1CCN(CCCOc2ccccc2)CC1 ZINC000581741468 1037960491 /nfs/dbraw/zinc/96/04/91/1037960491.db2.gz UYWPQEFBGABDDW-UHFFFAOYSA-N 0 3 301.352 4.120 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125535148 1038005313 /nfs/dbraw/zinc/00/53/13/1038005313.db2.gz QRTBVQXGSFZTNV-GOSISDBHSA-N 0 3 322.537 4.317 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3nc4c(s3)CCC4)C2)cc1 ZINC000684429630 1038018631 /nfs/dbraw/zinc/01/86/31/1038018631.db2.gz KQDVQHOWMNJSHT-MQMHXKEQSA-N 0 3 318.873 4.321 20 0 DIADHN CC(C)n1ccc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)n1 ZINC000581843061 1038020876 /nfs/dbraw/zinc/02/08/76/1038020876.db2.gz XYJJGGZHXSRCDB-SFHVURJKSA-N 0 3 313.420 4.329 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccnn1CC1CCC1 ZINC000926190670 1038027297 /nfs/dbraw/zinc/02/72/97/1038027297.db2.gz VJGRBCFYDGMQGG-XJKSGUPXSA-N 0 3 315.486 4.473 20 0 DIADHN C[C@@H]1CCCCCN1Cc1c[nH]c2ncc(Br)cc12 ZINC001140459302 1038030476 /nfs/dbraw/zinc/03/04/76/1038030476.db2.gz VFOMABFRXJTWLJ-LLVKDONJSA-N 0 3 322.250 4.090 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(F)cc2F)C1)c1ccccc1F ZINC000602584910 1038047367 /nfs/dbraw/zinc/04/73/67/1038047367.db2.gz KPUURDXHPCZULG-OCCSQVGLSA-N 0 3 320.358 4.033 20 0 DIADHN FC(F)Oc1ccccc1CNCCSCc1ccccc1 ZINC000060843085 1038056446 /nfs/dbraw/zinc/05/64/46/1038056446.db2.gz XMNQULBPGFHSDK-UHFFFAOYSA-N 0 3 323.408 4.311 20 0 DIADHN COC(=O)c1ccc(CNCc2csc(C)c2C)cc1Cl ZINC000602732354 1038080610 /nfs/dbraw/zinc/08/06/10/1038080610.db2.gz HOLFVFYDZIBFNU-UHFFFAOYSA-N 0 3 323.845 4.095 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)n1C ZINC000581896036 1038100674 /nfs/dbraw/zinc/10/06/74/1038100674.db2.gz XBDVBKXEBZDKLU-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)n1C ZINC000581896037 1038100751 /nfs/dbraw/zinc/10/07/51/1038100751.db2.gz XBDVBKXEBZDKLU-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1cnc2ccsc2c1 ZINC000126529372 1038102950 /nfs/dbraw/zinc/10/29/50/1038102950.db2.gz UAWXQCSDPSNZLA-ZDUSSCGKSA-N 0 3 312.438 4.294 20 0 DIADHN Cc1cccc([C@H](NC2Cc3ccccc3C2)c2ccccn2)c1 ZINC000090945904 1038107860 /nfs/dbraw/zinc/10/78/60/1038107860.db2.gz YSACFQHWFUMZOD-QFIPXVFZSA-N 0 3 314.432 4.236 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)s1 ZINC000090418516 1038114455 /nfs/dbraw/zinc/11/44/55/1038114455.db2.gz YRVHYEPUUFZBDY-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2cccc(N3CCCC3)c2)s1 ZINC000090419243 1038117322 /nfs/dbraw/zinc/11/73/22/1038117322.db2.gz WKXDCKBPQZAGND-KGLIPLIRSA-N 0 3 315.486 4.464 20 0 DIADHN C[C@@H](NCc1cccc(OC(F)F)c1)c1ccc2c(c1)CCO2 ZINC000060950802 1038123294 /nfs/dbraw/zinc/12/32/94/1038123294.db2.gz QKCNOZLGZRWVMC-GFCCVEGCSA-N 0 3 319.351 4.074 20 0 DIADHN Oc1cccnc1CN1CCCC[C@H]1c1cccc(Cl)c1 ZINC000581911547 1038135108 /nfs/dbraw/zinc/13/51/08/1038135108.db2.gz ZSRQYCVSJYGLIZ-INIZCTEOSA-N 0 3 302.805 4.168 20 0 DIADHN C[C@H](Nc1ccc(F)c(CN2CCCC2)c1)c1ccc(F)cn1 ZINC001167489531 1038136854 /nfs/dbraw/zinc/13/68/54/1038136854.db2.gz VRKSHMPIWWQMAG-ZDUSSCGKSA-N 0 3 317.383 4.129 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000341560812 1038137294 /nfs/dbraw/zinc/13/72/94/1038137294.db2.gz GOHGSAODRBRDIC-LSDHHAIUSA-N 0 3 323.465 4.378 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CNCCC(C)C ZINC000061003112 1038160215 /nfs/dbraw/zinc/16/02/15/1038160215.db2.gz CNWVUZNTRBCHIU-UHFFFAOYSA-N 0 3 305.853 4.029 20 0 DIADHN CCCCCNCc1c(C)nn(Cc2ccc(F)cc2)c1Cl ZINC000061002396 1038160450 /nfs/dbraw/zinc/16/04/50/1038160450.db2.gz JRGPJOYILOWCAS-UHFFFAOYSA-N 0 3 323.843 4.312 20 0 DIADHN Fc1cccc2c1OCC[C@@H]2NCCSCc1ccccc1 ZINC000430763460 1038192882 /nfs/dbraw/zinc/19/28/82/1038192882.db2.gz DGHFNXNZFJZVSI-KRWDZBQOSA-N 0 3 317.429 4.172 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(C(=O)OC(C)(C)C)s1 ZINC000598976895 1038208176 /nfs/dbraw/zinc/20/81/76/1038208176.db2.gz SNHSFYLHSZRJSQ-SECBINFHSA-N 0 3 323.380 4.134 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@@H](C)c2cc3ccccc3o2)c1 ZINC000603459930 1038211949 /nfs/dbraw/zinc/21/19/49/1038211949.db2.gz WNYDRGFILZHDQB-AWEZNQCLSA-N 0 3 323.392 4.412 20 0 DIADHN COc1cccc(CNCC2(Sc3ccccc3)CC2)c1F ZINC000669681888 1038213839 /nfs/dbraw/zinc/21/38/39/1038213839.db2.gz WHABXJKIISUYTE-UHFFFAOYSA-N 0 3 317.429 4.249 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2ccc([S@@](C)=O)cc2)c1 ZINC000599032499 1038218342 /nfs/dbraw/zinc/21/83/42/1038218342.db2.gz SKANEYLUQAVHPG-OYHNWAKOSA-N 0 3 315.482 4.398 20 0 DIADHN Cc1csc([C@@H](C)NCCCCCC(=O)OC(C)(C)C)n1 ZINC000599032791 1038219293 /nfs/dbraw/zinc/21/92/93/1038219293.db2.gz XDIHSLPHDSPLEH-CYBMUJFWSA-N 0 3 312.479 4.004 20 0 DIADHN CSC1(CN[C@H](C)c2cc(-c3ccccc3)n(C)n2)CCC1 ZINC000599045084 1038222868 /nfs/dbraw/zinc/22/28/68/1038222868.db2.gz ULVVNDBZUCFUFU-CQSZACIVSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1cccc(CN(C)CCCSCc2ccccc2)n1 ZINC000341690921 1038227344 /nfs/dbraw/zinc/22/73/44/1038227344.db2.gz WTLWGSDHGSJTSA-UHFFFAOYSA-N 0 3 300.471 4.145 20 0 DIADHN Cc1ccc(CCNCc2cc(C(F)(F)F)ccc2F)o1 ZINC000603512038 1038235902 /nfs/dbraw/zinc/23/59/02/1038235902.db2.gz DNKJDHMTRMRQPS-UHFFFAOYSA-N 0 3 301.283 4.078 20 0 DIADHN Cc1ccc(C)c(OC2CCN([C@H](C)c3ccncc3)CC2)c1 ZINC000599207431 1038255944 /nfs/dbraw/zinc/25/59/44/1038255944.db2.gz RQMWQUGJNMITOL-QGZVFWFLSA-N 0 3 310.441 4.303 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(F)cc32)cc1OC ZINC000093116301 1038296260 /nfs/dbraw/zinc/29/62/60/1038296260.db2.gz UEEPSWZXSOBDLY-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN Cc1ccoc1CN1CCC([C@H](O)c2ccc(Cl)cc2)CC1 ZINC000093319667 1038298315 /nfs/dbraw/zinc/29/83/15/1038298315.db2.gz ZOZDFDDGTPCUBG-GOSISDBHSA-N 0 3 319.832 4.187 20 0 DIADHN Cc1nc(Br)ccc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001232323911 1038319204 /nfs/dbraw/zinc/31/92/04/1038319204.db2.gz NKLXFTFTINJVGC-UKRRQHHQSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1cccn2c(CN(C)[C@@H]3CCCc4ccccc43)cnc12 ZINC000535781901 1038336458 /nfs/dbraw/zinc/33/64/58/1038336458.db2.gz JCPHKZHDYQBBKR-LJQANCHMSA-N 0 3 305.425 4.152 20 0 DIADHN CCOc1ccccc1-c1noc([C@H](C)N2[C@@H](C)CC[C@@H]2C)n1 ZINC000277000226 1038347708 /nfs/dbraw/zinc/34/77/08/1038347708.db2.gz ZASMFHUTJAXRPX-IHRRRGAJSA-N 0 3 315.417 4.069 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cnn(Cc2ccccc2)c1 ZINC000072785945 1038362065 /nfs/dbraw/zinc/36/20/65/1038362065.db2.gz IYYPBMIVNUYZEM-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN Cc1noc(C[C@@H](N[C@@H](C)c2ccc(C)cc2)c2ccccc2)n1 ZINC000277110601 1038369849 /nfs/dbraw/zinc/36/98/49/1038369849.db2.gz MLKFSYXRENXTLP-HNAYVOBHSA-N 0 3 321.424 4.321 20 0 DIADHN Cc1ccccc1[C@H](C)N(Cc1n[nH]c(C2CC2)n1)CC(C)C ZINC000342043415 1038370582 /nfs/dbraw/zinc/37/05/82/1038370582.db2.gz GOMWFMZEKASFSF-HNNXBMFYSA-N 0 3 312.461 4.210 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N(CCN(C)C)c1ccccc1 ZINC001125559506 1038379292 /nfs/dbraw/zinc/37/92/92/1038379292.db2.gz FQUUFHNHKULZMV-QGZVFWFLSA-N 0 3 316.489 4.188 20 0 DIADHN CSC1(CN[C@@H](c2cccnc2)c2cc(C)cc(C)c2)CC1 ZINC000926313881 1038390512 /nfs/dbraw/zinc/39/05/12/1038390512.db2.gz AWMPXMVAMBEJGT-SFHVURJKSA-N 0 3 312.482 4.273 20 0 DIADHN CC[C@H](N[C@@H](Cc1nc(C)no1)c1ccccc1)c1ccccc1 ZINC000277164904 1038405554 /nfs/dbraw/zinc/40/55/54/1038405554.db2.gz RKYNGXRVIAHKMX-OALUTQOASA-N 0 3 321.424 4.403 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@@H]1COc2ccccc2C1 ZINC000061555957 1038410379 /nfs/dbraw/zinc/41/03/79/1038410379.db2.gz WQENSRMGWMPRKZ-GFCCVEGCSA-N 0 3 322.235 4.334 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1ccn(-c2ccccc2)n1 ZINC000604394109 1038412318 /nfs/dbraw/zinc/41/23/18/1038412318.db2.gz BFXBHWNMKHFXHR-MJGOQNOKSA-N 0 3 317.436 4.250 20 0 DIADHN COC(=O)c1cccc(CN(Cc2cccc(F)c2)C(C)C)c1 ZINC000604413047 1038412493 /nfs/dbraw/zinc/41/24/93/1038412493.db2.gz LJKSPGDLTWWNST-UHFFFAOYSA-N 0 3 315.388 4.023 20 0 DIADHN COC1CCC(N(C)Cc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000604608447 1038428700 /nfs/dbraw/zinc/42/87/00/1038428700.db2.gz YTWNRAKDNJRHRX-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN COCc1cc(CN[C@H]2CCSc3ccccc32)ccc1F ZINC000151188654 1038435339 /nfs/dbraw/zinc/43/53/39/1038435339.db2.gz LRVAOQGRFLMJAD-KRWDZBQOSA-N 0 3 317.429 4.299 20 0 DIADHN Cc1ccc(CCNCc2csc(-c3ccccc3)n2)cc1 ZINC000604768440 1038446574 /nfs/dbraw/zinc/44/65/74/1038446574.db2.gz BTKIMDSZRMCIJZ-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN Cc1ccc([C@H]2CSCCN2CCOCCC(C)C)cc1 ZINC000604776103 1038447357 /nfs/dbraw/zinc/44/73/57/1038447357.db2.gz HVQQDYBKMFBZRW-GOSISDBHSA-N 0 3 307.503 4.148 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2cc3ccccc3o2)CC1 ZINC000342145946 1038455897 /nfs/dbraw/zinc/45/58/97/1038455897.db2.gz CLALSDOZXGNGLH-UHFFFAOYSA-N 0 3 310.372 4.289 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2cccs2)cc1OC ZINC000604892816 1038462558 /nfs/dbraw/zinc/46/25/58/1038462558.db2.gz BSGZTXAOENUMFH-UHFFFAOYSA-N 0 3 305.443 4.180 20 0 DIADHN CC(C)(NCc1cnn(Cc2ccccc2)c1)c1cccs1 ZINC000604892750 1038463364 /nfs/dbraw/zinc/46/33/64/1038463364.db2.gz YELZOYRNZUEMLE-UHFFFAOYSA-N 0 3 311.454 4.018 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000605000340 1038475476 /nfs/dbraw/zinc/47/54/76/1038475476.db2.gz JOZXFQREXNVGQT-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@H]1CCCN(Cc2ncc(-c3ccc(F)cc3)o2)CC1 ZINC000604998876 1038476192 /nfs/dbraw/zinc/47/61/92/1038476192.db2.gz LDVIJKOGNIVTAZ-AWEZNQCLSA-N 0 3 302.393 4.493 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1coc(-c2ccc(F)cc2)n1 ZINC000605000684 1038476589 /nfs/dbraw/zinc/47/65/89/1038476589.db2.gz RZEMHRLQOAXNIB-KRWDZBQOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000605000618 1038476754 /nfs/dbraw/zinc/47/67/54/1038476754.db2.gz KRJFABQMVAPWBE-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN CC/C=C\CCN(C)Cc1cc(OC)c(OC)cc1SC ZINC000342217150 1038481501 /nfs/dbraw/zinc/48/15/01/1038481501.db2.gz OQNFVWWFHGANIO-FPLPWBNLSA-N 0 3 309.475 4.214 20 0 DIADHN CC/C=C/CCN(C)Cc1cc(OC)c(OC)cc1SC ZINC000342217148 1038481883 /nfs/dbraw/zinc/48/18/83/1038481883.db2.gz OQNFVWWFHGANIO-BQYQJAHWSA-N 0 3 309.475 4.214 20 0 DIADHN Clc1ccc(Cl)c(CNCC[C@@H]2CCOC2)c1Cl ZINC000230164790 1038484000 /nfs/dbraw/zinc/48/40/00/1038484000.db2.gz KOJITJRANXWBAG-SECBINFHSA-N 0 3 308.636 4.163 20 0 DIADHN CCOc1ccccc1CN1CCS[C@H](c2ccccc2)C1 ZINC000605155120 1038493747 /nfs/dbraw/zinc/49/37/47/1038493747.db2.gz JAYVXUXANUBYMZ-IBGZPJMESA-N 0 3 313.466 4.375 20 0 DIADHN CC(C)n1ccnc1CNCCc1ccc(Cl)cc1Cl ZINC000657283221 1038498127 /nfs/dbraw/zinc/49/81/27/1038498127.db2.gz BVXPCMOPTTWBAT-UHFFFAOYSA-N 0 3 312.244 4.103 20 0 DIADHN COC[C@@H](C)Oc1ccc(CNCc2ccc(Cl)cc2)cc1 ZINC000657288797 1038505562 /nfs/dbraw/zinc/50/55/62/1038505562.db2.gz ANMIYXXMLFGORU-CQSZACIVSA-N 0 3 319.832 4.044 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccccc1OC1CCC1 ZINC000605322836 1038506697 /nfs/dbraw/zinc/50/66/97/1038506697.db2.gz JLZGRXNVTRKBEC-JXMROGBWSA-N 0 3 323.436 4.430 20 0 DIADHN OCC[C@@H](NCc1cccc2[nH]ccc21)c1ccc(Cl)cc1 ZINC000342273017 1038510063 /nfs/dbraw/zinc/51/00/63/1038510063.db2.gz FQBAGCOEFGZRBQ-QGZVFWFLSA-N 0 3 314.816 4.035 20 0 DIADHN CC(C)c1ccc([C@H](NCc2cnn3c2CCC3)C(C)C)cc1 ZINC000657293733 1038510160 /nfs/dbraw/zinc/51/01/60/1038510160.db2.gz UUXFQQCYCXJZKA-HXUWFJFHSA-N 0 3 311.473 4.440 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cc2ccncc2s1 ZINC000657295663 1038512810 /nfs/dbraw/zinc/51/28/10/1038512810.db2.gz HCUFKMXPTXFWAI-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN CCSc1cccc(CN[C@H](C)c2ccnc(OC)c2)c1 ZINC000342286990 1038516961 /nfs/dbraw/zinc/51/69/61/1038516961.db2.gz KKVJDEQERXCURX-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN Oc1ccc(CN(Cc2scnc2C2CC2)CC2CC2)cc1 ZINC000349081027 1038517802 /nfs/dbraw/zinc/51/78/02/1038517802.db2.gz UUNHXYUHAYXOGA-UHFFFAOYSA-N 0 3 314.454 4.138 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccc(F)cc1 ZINC000171978107 1038519157 /nfs/dbraw/zinc/51/91/57/1038519157.db2.gz DDEKYBXSVMIZTH-CHWSQXEVSA-N 0 3 312.388 4.122 20 0 DIADHN C[C@H](NCc1ccn(C)c1)c1ccc(Oc2cccnc2)cc1 ZINC000342309050 1038524936 /nfs/dbraw/zinc/52/49/36/1038524936.db2.gz HJCSPYWAVMIQSY-HNNXBMFYSA-N 0 3 307.397 4.063 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1-c1ccccc1)CCC2 ZINC000342306272 1038526913 /nfs/dbraw/zinc/52/69/13/1038526913.db2.gz DFFWNMXNYDYZGA-OAQYLSRUSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](C)c3ccc4c(c3)OCO4)cc21 ZINC000657301363 1038527399 /nfs/dbraw/zinc/52/73/99/1038527399.db2.gz BTGLKACIKDVYBE-ZDUSSCGKSA-N 0 3 308.381 4.056 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(Oc3cccnc3)cc2)cn1 ZINC000342312084 1038528047 /nfs/dbraw/zinc/52/80/47/1038528047.db2.gz KTYMCQGRYDHVLT-INIZCTEOSA-N 0 3 319.408 4.428 20 0 DIADHN CCOCc1ccc(CNCc2ccc(OCC)cc2C)cc1 ZINC000657306195 1038530789 /nfs/dbraw/zinc/53/07/89/1038530789.db2.gz DDNUXJPRCTTWMH-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2nc3cc(F)ccc3o2)C1 ZINC000151882329 1038534351 /nfs/dbraw/zinc/53/43/51/1038534351.db2.gz SCWQJKIQOAHPAR-OLZOCXBDSA-N 0 3 308.422 4.073 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2nc3cc(F)ccc3o2)C1 ZINC000151882091 1038534884 /nfs/dbraw/zinc/53/48/84/1038534884.db2.gz SCWQJKIQOAHPAR-QWHCGFSZSA-N 0 3 308.422 4.073 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1cn2ccsc2n1 ZINC000605732680 1038548713 /nfs/dbraw/zinc/54/87/13/1038548713.db2.gz RWUZELKJZVVZOF-GFCCVEGCSA-N 0 3 319.861 4.112 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cc3ccc(C)cc3[nH]2)c1 ZINC000657313991 1038549757 /nfs/dbraw/zinc/54/97/57/1038549757.db2.gz PHFSGJRNIZXDTJ-UHFFFAOYSA-N 0 3 321.424 4.115 20 0 DIADHN Cc1cc(CN[C@H](Cc2ccccc2)c2sccc2C)[nH]n1 ZINC000605827567 1038551197 /nfs/dbraw/zinc/55/11/97/1038551197.db2.gz ZNXIFHHYUDPAPC-QGZVFWFLSA-N 0 3 311.454 4.162 20 0 DIADHN Cc1cccc2nc(CN3C[C@H](c4ccc(F)cc4)C[C@@H]3C)cn21 ZINC000605759956 1038551438 /nfs/dbraw/zinc/55/14/38/1038551438.db2.gz TXZAQILWIMYSQR-DOTOQJQBSA-N 0 3 323.415 4.160 20 0 DIADHN CC(C)(C)C[C@H](NCc1cnn2c1CCC2)c1ccc(F)cc1 ZINC000657314445 1038557597 /nfs/dbraw/zinc/55/75/97/1038557597.db2.gz WBDBUZGFHPWABG-KRWDZBQOSA-N 0 3 315.436 4.236 20 0 DIADHN CC(C)OCCN[C@@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000349690822 1038558145 /nfs/dbraw/zinc/55/81/45/1038558145.db2.gz GNXQHBRVTLWDRK-CYBMUJFWSA-N 0 3 318.244 4.222 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](F)CC2)cc1OC(F)(F)F ZINC001143436739 1038585138 /nfs/dbraw/zinc/58/51/38/1038585138.db2.gz SMRXYODIFHDIJQ-LLVKDONJSA-N 0 3 309.278 4.048 20 0 DIADHN CC(C)Cc1ccc([C@H](NCc2cnc3n2CCC3)C2CC2)cc1 ZINC000657342463 1038586629 /nfs/dbraw/zinc/58/66/29/1038586629.db2.gz ZDHOQTOYCONOAL-NRFANRHFSA-N 0 3 323.484 4.269 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000657347235 1038588250 /nfs/dbraw/zinc/58/82/50/1038588250.db2.gz VYZOHJNKEAFVKC-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3nc4c(s3)CCC4)C2)c1 ZINC000684767210 1038594244 /nfs/dbraw/zinc/59/42/44/1038594244.db2.gz YZLIBKUSOOKXLJ-MQMHXKEQSA-N 0 3 318.873 4.321 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2ccnn2CC2CC2)cc1 ZINC000657351049 1038594467 /nfs/dbraw/zinc/59/44/67/1038594467.db2.gz ZTEDRURRTGDEBU-UHFFFAOYSA-N 0 3 311.473 4.441 20 0 DIADHN Fc1cccc(CNCCCNc2ccccc2)c1OC(F)F ZINC000657364014 1038606926 /nfs/dbraw/zinc/60/69/26/1038606926.db2.gz HWDIYUOUEWDLKC-UHFFFAOYSA-N 0 3 324.346 4.019 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc3c1OC(C)(C)C3)CC2 ZINC000606469904 1038607212 /nfs/dbraw/zinc/60/72/12/1038607212.db2.gz FSURPXOZJHOEDN-LJQANCHMSA-N 0 3 307.437 4.486 20 0 DIADHN CC[C@@H](NC[C@@H]1OCCc2ccccc21)c1ccc(F)cc1F ZINC000606467842 1038607460 /nfs/dbraw/zinc/60/74/60/1038607460.db2.gz JPLKWDYYBRJHDV-MOPGFXCFSA-N 0 3 317.379 4.320 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cccc2c1OC(C)(C)C2 ZINC000606469628 1038607467 /nfs/dbraw/zinc/60/74/67/1038607467.db2.gz SBCYMCXJJCWLJC-IBGZPJMESA-N 0 3 307.437 4.486 20 0 DIADHN CCC(CC)(NCc1cnn2c1CCC2)c1ccc(Cl)cc1 ZINC000657368185 1038610624 /nfs/dbraw/zinc/61/06/24/1038610624.db2.gz UBAGGTJYSPELFF-UHFFFAOYSA-N 0 3 317.864 4.288 20 0 DIADHN CCCC1CCN(Cc2cc(=O)oc3cc(C)c(C)cc23)CC1 ZINC001167554343 1038610929 /nfs/dbraw/zinc/61/09/29/1038610929.db2.gz OGHAKHQFSPEAIU-UHFFFAOYSA-N 0 3 313.441 4.422 20 0 DIADHN CC(C)c1ncc(CNC[C@@H]2CC[C@H](c3ccccc3)O2)s1 ZINC000342459383 1038617098 /nfs/dbraw/zinc/61/70/98/1038617098.db2.gz YNQQJKVFAMHECE-DOTOQJQBSA-N 0 3 316.470 4.276 20 0 DIADHN CC(C)C[C@H](NCc1cnc2n1CCC2)c1ccc(Cl)cc1 ZINC000657375597 1038619641 /nfs/dbraw/zinc/61/96/41/1038619641.db2.gz ZCFSLQRMMYODAG-KRWDZBQOSA-N 0 3 317.864 4.360 20 0 DIADHN CCC(CC)(CNCc1csc(C(C)(C)C)n1)SC ZINC000230707801 1038622409 /nfs/dbraw/zinc/62/24/09/1038622409.db2.gz XLMLZXGLEPYCLT-UHFFFAOYSA-N 0 3 300.537 4.452 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1cccc(Cl)c1Cl ZINC001167561924 1038629508 /nfs/dbraw/zinc/62/95/08/1038629508.db2.gz CFYNQZJJPDSADL-LLVKDONJSA-N 0 3 317.260 4.300 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1nc2cc(Br)ccc2[nH]1 ZINC001167562676 1038631896 /nfs/dbraw/zinc/63/18/96/1038631896.db2.gz OZNFYURHVDWBIM-LLVKDONJSA-N 0 3 324.266 4.193 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C(C)C)C1CCC1 ZINC000119761802 1038635450 /nfs/dbraw/zinc/63/54/50/1038635450.db2.gz KHLAPGWFMJMNNQ-UHFFFAOYSA-N 0 3 302.462 4.320 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H]1CCc2c1cccc2O ZINC000130599301 1038637619 /nfs/dbraw/zinc/63/76/19/1038637619.db2.gz BUABAMCEJQXYOR-OALUTQOASA-N 0 3 309.409 4.055 20 0 DIADHN Cc1ccc(CNCc2ccc(-c3ccncc3)cc2)cc1F ZINC000606914903 1038640434 /nfs/dbraw/zinc/64/04/34/1038640434.db2.gz UAEKNEZIRCTCKW-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)no1 ZINC000582380761 1038645229 /nfs/dbraw/zinc/64/52/29/1038645229.db2.gz NRDPGLAQFPGKIN-YJBOKZPZSA-N 0 3 319.408 4.115 20 0 DIADHN Cc1c[nH]c(CN[C@H](C)[C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000657422934 1038660250 /nfs/dbraw/zinc/66/02/50/1038660250.db2.gz DMKVPGFSMGBEIA-NWDGAFQWSA-N 0 3 311.351 4.019 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1F)c1ccccc1Cl ZINC000048330955 1038666298 /nfs/dbraw/zinc/66/62/98/1038666298.db2.gz FBWLGVRAYNRBFT-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cccc2[nH]c(CNCc3ccc(OC(C)(C)C)nc3)cc21 ZINC000657430109 1038669092 /nfs/dbraw/zinc/66/90/92/1038669092.db2.gz NIDPRQSITSJFTL-UHFFFAOYSA-N 0 3 323.440 4.338 20 0 DIADHN c1cc(CN[C@H](CC2CCC2)c2ccccc2)n(CC2CC2)n1 ZINC000657429525 1038669101 /nfs/dbraw/zinc/66/91/01/1038669101.db2.gz RDXACJLOPULWAQ-HXUWFJFHSA-N 0 3 309.457 4.314 20 0 DIADHN CCCN(C)CC(=O)N1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000607344393 1038671219 /nfs/dbraw/zinc/67/12/19/1038671219.db2.gz VCSUKKPFDCMGAI-KRWDZBQOSA-N 0 3 322.880 4.126 20 0 DIADHN CC(C)n1ccnc1CNC1CCC(c2ccc(F)cc2)CC1 ZINC000657432422 1038671513 /nfs/dbraw/zinc/67/15/13/1038671513.db2.gz NMRNMWRWCWZWMN-UHFFFAOYSA-N 0 3 315.436 4.419 20 0 DIADHN C[C@H]1CN(Cc2ccc([Si](C)(C)C)cc2)[C@@H]1c1ccccc1 ZINC001167575610 1038672360 /nfs/dbraw/zinc/67/23/60/1038672360.db2.gz FAUNUAIHWCNHEG-JXFKEZNVSA-N 0 3 309.529 4.425 20 0 DIADHN Cc1nc[nH]c1CN1CCC(c2ccc(C(F)(F)F)cc2)CC1 ZINC001204588509 1038672905 /nfs/dbraw/zinc/67/29/05/1038672905.db2.gz JOKPRLSRCLJFBW-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)c1cccc(CN(C)C)c1 ZINC000607384856 1038673738 /nfs/dbraw/zinc/67/37/38/1038673738.db2.gz GELQSEICUGXJQS-HXUWFJFHSA-N 0 3 324.468 4.362 20 0 DIADHN Fc1ccc(CN[C@H]2CCO[C@H](c3ccccc3)C2)c(F)c1F ZINC000657436196 1038675545 /nfs/dbraw/zinc/67/55/45/1038675545.db2.gz PRRWHIAUWLTUOH-HOCLYGCPSA-N 0 3 321.342 4.114 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccnn1CC1CC1)CC2 ZINC000657441187 1038680033 /nfs/dbraw/zinc/68/00/33/1038680033.db2.gz ROUSVCLVQBHHES-HXUWFJFHSA-N 0 3 309.457 4.194 20 0 DIADHN c1cc(O[C@@H]2CCOC2)ccc1CNCc1ccc(C2CC2)cc1 ZINC000657448947 1038689359 /nfs/dbraw/zinc/68/93/59/1038689359.db2.gz YBQCAKABGCRLIF-OAQYLSRUSA-N 0 3 323.436 4.022 20 0 DIADHN CC1(C)CCc2ccccc2[C@H](NCc2cnc3n2CCC3)C1 ZINC000657459393 1038699043 /nfs/dbraw/zinc/69/90/43/1038699043.db2.gz ZVOATTVALGRUDX-GOSISDBHSA-N 0 3 309.457 4.023 20 0 DIADHN CCCn1nccc1CN[C@H](C)c1ccc(F)c2ccccc21 ZINC000657470158 1038707448 /nfs/dbraw/zinc/70/74/48/1038707448.db2.gz YXRYODZRDYWIJJ-CQSZACIVSA-N 0 3 311.404 4.436 20 0 DIADHN c1nn2c(c1CNCc1ccc(C3CCCCC3)cc1)CCC2 ZINC000657473961 1038708136 /nfs/dbraw/zinc/70/81/36/1038708136.db2.gz WTPPIETUDONKLH-UHFFFAOYSA-N 0 3 309.457 4.167 20 0 DIADHN C[C@H]1CN(C[C@H](O)c2ccc(Cl)c(Cl)c2)CC(C)(C)C1 ZINC001168423426 1038710796 /nfs/dbraw/zinc/71/07/96/1038710796.db2.gz JXLHPINZPHCPOY-ABAIWWIYSA-N 0 3 316.272 4.395 20 0 DIADHN c1ccc(CN2CCC(Nc3nc4ccccc4s3)CC2)cc1 ZINC000025369257 1038711336 /nfs/dbraw/zinc/71/13/36/1038711336.db2.gz XYUDASLJSHFSGW-UHFFFAOYSA-N 0 3 323.465 4.373 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1Cc1sc(C)nc1C ZINC000607730925 1038717930 /nfs/dbraw/zinc/71/79/30/1038717930.db2.gz BTLVQWPNEQMDIP-INIZCTEOSA-N 0 3 316.470 4.496 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1C[C@@H](C)CCC[C@@H]1C ZINC000607742688 1038719852 /nfs/dbraw/zinc/71/98/52/1038719852.db2.gz GADJTPHYDWDYFY-STQMWFEESA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)[C@@H]1CCCN(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000114779631 1038722834 /nfs/dbraw/zinc/72/28/34/1038722834.db2.gz CJGUINKXZYAOSX-QGZVFWFLSA-N 0 3 317.477 4.038 20 0 DIADHN CC(C)n1ccnc1CNCC1(Cc2cccc(Cl)c2)CC1 ZINC000657488859 1038722957 /nfs/dbraw/zinc/72/29/57/1038722957.db2.gz UOTFCTJUNNCSHU-UHFFFAOYSA-N 0 3 317.864 4.230 20 0 DIADHN CC(C)(C)OC(=O)N1CCN(CCC2=CCCCC2)C(C)(C)C1 ZINC001167590643 1038728085 /nfs/dbraw/zinc/72/80/85/1038728085.db2.gz ZEMOJGHPABBYPF-UHFFFAOYSA-N 0 3 322.493 4.208 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)c1cnn(CC2CCC2)c1 ZINC000934562228 1038734723 /nfs/dbraw/zinc/73/47/23/1038734723.db2.gz OGDVWFUKIAIBFQ-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC001648916756 1038737149 /nfs/dbraw/zinc/73/71/49/1038737149.db2.gz JJYQXTIKOLTWLU-CYBMUJFWSA-N 0 3 303.471 4.389 20 0 DIADHN Cn1cc(CN2CCCc3ccccc3C2)c(-c2cccs2)n1 ZINC000342721121 1038738556 /nfs/dbraw/zinc/73/85/56/1038738556.db2.gz DNHHOLBVWZCETP-UHFFFAOYSA-N 0 3 323.465 4.097 20 0 DIADHN CO[C@H](CN[C@H]1CCCc2cccnc21)c1ccc(Cl)cc1 ZINC000684957872 1038742761 /nfs/dbraw/zinc/74/27/61/1038742761.db2.gz ZHUCPBWCWYECBR-DLBZAZTESA-N 0 3 316.832 4.090 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@@H](c2cccnc2)C(C)C)c1 ZINC000091374513 1038751015 /nfs/dbraw/zinc/75/10/15/1038751015.db2.gz MEEYTZWNTAKUNT-UYAOXDASSA-N 0 3 323.440 4.249 20 0 DIADHN COCc1csc(CNCc2cc(Cl)cc(Cl)c2)c1 ZINC000657524281 1038760547 /nfs/dbraw/zinc/76/05/47/1038760547.db2.gz NKUARINXQPYWIY-UHFFFAOYSA-N 0 3 316.253 4.491 20 0 DIADHN CCc1nc(CNCCc2c[nH]c3ccc(Cl)cc23)cs1 ZINC000608065213 1038764081 /nfs/dbraw/zinc/76/40/81/1038764081.db2.gz MAHFGHVOJWQGQQ-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1F)Oc1ccc(Cl)cc1 ZINC000608068923 1038764713 /nfs/dbraw/zinc/76/47/13/1038764713.db2.gz MUAPKDSEZNGMPP-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc([C@H]3C[C@@H]3C)o2)C12CCCC2 ZINC000608072955 1038765867 /nfs/dbraw/zinc/76/58/67/1038765867.db2.gz IDKOYNHJQBFKPO-QXRTWZOPSA-N 0 3 303.446 4.230 20 0 DIADHN Cc1ccoc1CNCCOc1cccc(Cl)c1Cl ZINC000608054423 1038767610 /nfs/dbraw/zinc/76/76/10/1038767610.db2.gz RKBCOGZALDMBOB-UHFFFAOYSA-N 0 3 300.185 4.063 20 0 DIADHN C[C@H](CNCc1ccc(F)c(Cl)c1Cl)c1ccccn1 ZINC000657534207 1038768872 /nfs/dbraw/zinc/76/88/72/1038768872.db2.gz FXCYQBWYKRNDGM-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000075022108 1038785398 /nfs/dbraw/zinc/78/53/98/1038785398.db2.gz CDRRYRZUKAEINN-HOCLYGCPSA-N 0 3 302.462 4.177 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccnc(N3CCCC3)c2)cc1 ZINC000075761075 1038823057 /nfs/dbraw/zinc/82/30/57/1038823057.db2.gz GEUZBYPWCOBPQQ-UHFFFAOYSA-N 0 3 323.484 4.269 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N(C)Cc1cccc(C(N)=O)c1 ZINC000063742466 1038826307 /nfs/dbraw/zinc/82/63/07/1038826307.db2.gz SJKLSALUIWZTSH-KRWDZBQOSA-N 0 3 316.832 4.022 20 0 DIADHN CC[C@H](NCc1ccccc1NC(C)=O)c1ccc(F)cc1 ZINC000608375892 1038838172 /nfs/dbraw/zinc/83/81/72/1038838172.db2.gz GOWYEGJOMKPUIP-KRWDZBQOSA-N 0 3 300.377 4.025 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3cccn3)cc2)cc1F ZINC000608385714 1038838269 /nfs/dbraw/zinc/83/82/69/1038838269.db2.gz GREDCCIIDGSIRJ-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnn(CC(F)(F)F)c2)c1 ZINC000507130895 1038849666 /nfs/dbraw/zinc/84/96/66/1038849666.db2.gz ADTPGYUOHBZIOP-MRXNPFEDSA-N 0 3 323.362 4.091 20 0 DIADHN c1cc(-c2ncc(CN3CCC[C@H]3[C@@H]3CCCO3)s2)cs1 ZINC000342817713 1038861455 /nfs/dbraw/zinc/86/14/55/1038861455.db2.gz GHYSHANQDSXXTR-GJZGRUSLSA-N 0 3 320.483 4.015 20 0 DIADHN CCC[C@H](NC(=O)CN1C2CCCC1CCC2)c1ccccc1 ZINC000608499526 1038864380 /nfs/dbraw/zinc/86/43/80/1038864380.db2.gz NNXJJFKDGXOGDV-ACBHZAAOSA-N 0 3 314.473 4.051 20 0 DIADHN Fc1ccc(-c2cnc(CN3C4CCCC3CCC4)o2)cc1 ZINC000608499543 1038868119 /nfs/dbraw/zinc/86/81/19/1038868119.db2.gz OLRFFMBQMAOHEJ-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc3c(C4CCC4)cnn3c2)ccn1 ZINC001213163987 1038869896 /nfs/dbraw/zinc/86/98/96/1038869896.db2.gz FLBRVADUEUEDHH-UHFFFAOYSA-N 0 3 321.428 4.433 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CCC4(CCCC4)C3)o2)cc1 ZINC000174270605 1038912056 /nfs/dbraw/zinc/91/20/56/1038912056.db2.gz ZEZQHKKKLJHKCB-HNNXBMFYSA-N 0 3 311.429 4.372 20 0 DIADHN CC(C)[C@H](NCc1ccc(-n2ccnc2)cc1)c1ccccc1F ZINC000657614941 1038912295 /nfs/dbraw/zinc/91/22/95/1038912295.db2.gz LCKGIUFKTQOZCH-FQEVSTJZSA-N 0 3 323.415 4.498 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1csc(-c2ccco2)n1 ZINC000657615720 1038915769 /nfs/dbraw/zinc/91/57/69/1038915769.db2.gz ICGAZOHXEQOGBX-BLLLJJGKSA-N 0 3 310.422 4.221 20 0 DIADHN COCc1cccc(CN[C@H](c2ccccc2F)C(C)C)c1 ZINC000657616562 1038916980 /nfs/dbraw/zinc/91/69/80/1038916980.db2.gz YWFGMYLOQIJMPK-IBGZPJMESA-N 0 3 301.405 4.459 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153319526 1038928301 /nfs/dbraw/zinc/92/83/01/1038928301.db2.gz PEVZSVHMEDNTRD-BNOWGMLFSA-N 0 3 317.820 4.183 20 0 DIADHN c1ccc(COc2ccccc2CN[C@@H]2COC3(CCC3)C2)cc1 ZINC000657630193 1038928491 /nfs/dbraw/zinc/92/84/91/1038928491.db2.gz XTSDVZOHPSYCGU-IBGZPJMESA-N 0 3 323.436 4.067 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(C(=O)OC)co1 ZINC000608832830 1038928877 /nfs/dbraw/zinc/92/88/77/1038928877.db2.gz RVXHVFLGIFRVCS-KRWDZBQOSA-N 0 3 301.386 4.039 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1cc(F)ccc1F ZINC001167618844 1038939967 /nfs/dbraw/zinc/93/99/67/1038939967.db2.gz HHWTYGMJPAHWNK-ZBFHGGJFSA-N 0 3 301.380 4.418 20 0 DIADHN CCC[C@@H](NCc1sc(C)nc1C)c1ccc(OC)cc1 ZINC000119801950 1038949211 /nfs/dbraw/zinc/94/92/11/1038949211.db2.gz BZBSZCNBFSMKOP-MRXNPFEDSA-N 0 3 304.459 4.400 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC(=O)C[C@@H](N)c1ccccc1 ZINC000237625589 1038957892 /nfs/dbraw/zinc/95/78/92/1038957892.db2.gz MXWTWYUVRDDGIO-RHSMWYFYSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN(C)Cc1cc2ccccc2o1 ZINC001167622664 1038959480 /nfs/dbraw/zinc/95/94/80/1038959480.db2.gz XABPFHGQBKLEHB-CRAIPNDOSA-N 0 3 301.430 4.460 20 0 DIADHN CCc1oc2ccccc2c1CNCc1cc(COC)cs1 ZINC000657659458 1038961086 /nfs/dbraw/zinc/96/10/86/1038961086.db2.gz YATMHZAFOQJHKP-UHFFFAOYSA-N 0 3 315.438 4.493 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNCc1c(C2CC2)cnn1C ZINC000657665729 1038972869 /nfs/dbraw/zinc/97/28/69/1038972869.db2.gz VELGNZWATQDPMM-UKTHLTGXSA-N 0 3 315.848 4.144 20 0 DIADHN Clc1ccccc1C[C@@H](NCC1=CCCOC1)c1ccco1 ZINC000926432228 1038976202 /nfs/dbraw/zinc/97/62/02/1038976202.db2.gz UCARIAXXXXWMEK-QGZVFWFLSA-N 0 3 317.816 4.153 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000120296175 1038980153 /nfs/dbraw/zinc/98/01/53/1038980153.db2.gz YWPFMBVQZBAWGC-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc3cc(C4CC4)nn3c2)ccn1 ZINC001213164553 1038987484 /nfs/dbraw/zinc/98/74/84/1038987484.db2.gz UETJSBKIMXYHOF-UHFFFAOYSA-N 0 3 307.401 4.043 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccs2)c(O[C@@H]2CCOC2)c1 ZINC000120561936 1039001500 /nfs/dbraw/zinc/00/15/00/1039001500.db2.gz BGBMCKQWWHOFRJ-GDBMZVCRSA-N 0 3 317.454 4.075 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)C(C)C ZINC000120647587 1039004006 /nfs/dbraw/zinc/00/40/06/1039004006.db2.gz AZXPVPDPZYSLAO-KDOFPFPSSA-N 0 3 312.413 4.167 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(O)cc32)ccc1C(F)(F)F ZINC000657693927 1039020252 /nfs/dbraw/zinc/02/02/52/1039020252.db2.gz QQAMNZBTQGNTMI-QGZVFWFLSA-N 0 3 321.342 4.497 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2Cc2cccc3nccn32)o1 ZINC000342938652 1039033104 /nfs/dbraw/zinc/03/31/04/1039033104.db2.gz NJLXXEOPSIKBCF-QGZVFWFLSA-N 0 3 309.413 4.353 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(N3CCCC3)nc2)[C@H]2CCCC[C@H]12 ZINC000534337104 1039039062 /nfs/dbraw/zinc/03/90/62/1039039062.db2.gz GXJYWBHFESVZIB-QRQLOZEOSA-N 0 3 313.489 4.082 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](O)c2ccc(Cl)cc2Cl)o1 ZINC000161471131 1039049948 /nfs/dbraw/zinc/04/99/48/1039049948.db2.gz HFRDCKCTQMJZCV-HZMBPMFUSA-N 0 3 314.212 4.279 20 0 DIADHN CC(C)(C)c1cnc(CNC2CCC3(CC3(F)F)CC2)s1 ZINC000657715670 1039080944 /nfs/dbraw/zinc/08/09/44/1039080944.db2.gz DAMBUNOWDGHPAA-UHFFFAOYSA-N 0 3 314.445 4.498 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC001167648622 1039083998 /nfs/dbraw/zinc/08/39/98/1039083998.db2.gz VPJXDOIFHVSSCT-HNNXBMFYSA-N 0 3 321.436 4.078 20 0 DIADHN Cn1ccc(CNCc2ccccc2COCc2ccccc2)c1 ZINC000123236457 1039088501 /nfs/dbraw/zinc/08/85/01/1039088501.db2.gz SFZQGBOBHXEPHR-UHFFFAOYSA-N 0 3 320.436 4.032 20 0 DIADHN CCC(CC)N[C@@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000007388759 1039095999 /nfs/dbraw/zinc/09/59/99/1039095999.db2.gz DIIGBGJUOMSLRP-LJQANCHMSA-N 0 3 310.441 4.453 20 0 DIADHN FC(F)(F)c1cccc(C2(NC/C=C/Cl)CCOCC2)c1 ZINC000278054656 1039113974 /nfs/dbraw/zinc/11/39/74/1039113974.db2.gz DPPPRHIVXCINCN-FARCUNLSSA-N 0 3 319.754 4.053 20 0 DIADHN CC(C)c1ccc(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)cn1 ZINC000278065692 1039120728 /nfs/dbraw/zinc/12/07/28/1039120728.db2.gz WDNYLVDRTGOFSY-HZSPNIEDSA-N 0 3 314.433 4.189 20 0 DIADHN Fc1ccc(CN2CCC(OCc3ccccc3F)CC2)cc1 ZINC000091862630 1039142505 /nfs/dbraw/zinc/14/25/05/1039142505.db2.gz WGMYVZILEFRFAZ-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN CC[C@H](N[C@H]1CCc2ccc(Cl)cc21)c1c(C)nn(C)c1C ZINC000343097307 1039193067 /nfs/dbraw/zinc/19/30/67/1039193067.db2.gz OPFLBAUGJBCLPH-IRXDYDNUSA-N 0 3 317.864 4.419 20 0 DIADHN OCCC[C@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105889 1039198898 /nfs/dbraw/zinc/19/88/98/1039198898.db2.gz WUIZVFSNOPPNBK-RBUKOAKNSA-N 0 3 315.844 4.431 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc2ncccc2c1)c1ccccc1 ZINC000657742223 1039208112 /nfs/dbraw/zinc/20/81/12/1039208112.db2.gz NVTGIPOELRLUCL-SFTDATJTSA-N 0 3 320.436 4.083 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cccc2cc[nH]c21)c1ccccc1 ZINC000657743490 1039212959 /nfs/dbraw/zinc/21/29/59/1039212959.db2.gz ALQLPMSQELKQJW-VQTJNVASSA-N 0 3 308.425 4.016 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000525639022 1039214602 /nfs/dbraw/zinc/21/46/02/1039214602.db2.gz PEMGONHBYGAIDB-KBPBESRZSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000525639023 1039215092 /nfs/dbraw/zinc/21/50/92/1039215092.db2.gz PEMGONHBYGAIDB-KGLIPLIRSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)c(C)s1 ZINC000657745727 1039233110 /nfs/dbraw/zinc/23/31/10/1039233110.db2.gz KBNRLEOJCFKQOG-QZTJIDSGSA-N 0 3 303.471 4.213 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000657747604 1039246248 /nfs/dbraw/zinc/24/62/48/1039246248.db2.gz RFFUXOMSRZDCKC-ZWKOTPCHSA-N 0 3 303.471 4.158 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000657747088 1039248699 /nfs/dbraw/zinc/24/86/99/1039248699.db2.gz OQVVCWQOGZCEIH-IRXDYDNUSA-N 0 3 321.511 4.318 20 0 DIADHN CSc1ccc(C)c(NC(=O)[C@H](C(C)C)N2CCCCC2)c1 ZINC000539444422 1039262702 /nfs/dbraw/zinc/26/27/02/1039262702.db2.gz ILPDNMCAQGMNAG-KRWDZBQOSA-N 0 3 320.502 4.166 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccnc2ccccc12)c1ccccc1 ZINC000657748220 1039263217 /nfs/dbraw/zinc/26/32/17/1039263217.db2.gz UQNGBXRNBOXRNS-NHCUHLMSSA-N 0 3 320.436 4.083 20 0 DIADHN CO[C@@H](C)c1nc(CNC[C@@H](C)CCc2ccccc2)cs1 ZINC000539513305 1039280010 /nfs/dbraw/zinc/28/00/10/1039280010.db2.gz WCEFKFDELMHWAF-GJZGRUSLSA-N 0 3 318.486 4.209 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1C(=O)c1cccc(CN(C)C)c1 ZINC000155865372 1039285925 /nfs/dbraw/zinc/28/59/25/1039285925.db2.gz AIKYHRAXETXVSO-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cccc(Cl)c1)c1ccc(F)cc1F ZINC000174661681 1039291097 /nfs/dbraw/zinc/29/10/97/1039291097.db2.gz CSFWGPMTSZSVLC-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN CC(C)CC[C@@H](C)N[C@@H](C)c1ncc(Br)s1 ZINC000126370853 1039296870 /nfs/dbraw/zinc/29/68/70/1039296870.db2.gz LRVDDBFMCQFSIS-ZJUUUORDSA-N 0 3 305.285 4.381 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2cnccc2c1 ZINC000669833154 1039298022 /nfs/dbraw/zinc/29/80/22/1039298022.db2.gz VGHUMYOMVUQDAW-LJQANCHMSA-N 0 3 322.383 4.160 20 0 DIADHN C[C@H](NCCC1CCCC1)c1ncc(Br)s1 ZINC000126416711 1039298697 /nfs/dbraw/zinc/29/86/97/1039298697.db2.gz OUZZJYXZUDLFHB-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCOC(C)(C)C)oc21 ZINC000539625393 1039302198 /nfs/dbraw/zinc/30/21/98/1039302198.db2.gz QHUVCIOUZKBNST-CYBMUJFWSA-N 0 3 305.418 4.297 20 0 DIADHN COCCCN(c1ccnc(C(C)(C)C)c1)c1ccccc1N ZINC001213168720 1039303408 /nfs/dbraw/zinc/30/34/08/1039303408.db2.gz QMMJBCPHUJSQSQ-UHFFFAOYSA-N 0 3 313.445 4.136 20 0 DIADHN CCN1Cc2ccc(Nc3ccnc(C(C)(C)C)c3)cc2C1=O ZINC001213168771 1039304016 /nfs/dbraw/zinc/30/40/16/1039304016.db2.gz UMPKTOZPGNWFGA-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN Cc1cc(C)cc([C@H](NCCC(C)(F)F)c2cccnc2)c1 ZINC000926495730 1039331572 /nfs/dbraw/zinc/33/15/72/1039331572.db2.gz USVMNYHGTMQTHN-QGZVFWFLSA-N 0 3 304.384 4.423 20 0 DIADHN COc1ccccc1/C=C\CN1CC[C@H](c2ccccc2OC)C1 ZINC000539817830 1039345033 /nfs/dbraw/zinc/34/50/33/1039345033.db2.gz DWFVWFUATOZSHG-CNRGFGOASA-N 0 3 323.436 4.207 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cnc3ccc(Cl)cn23)C1 ZINC000539822494 1039349281 /nfs/dbraw/zinc/34/92/81/1039349281.db2.gz LOGWIOMZVKBVKJ-ZFWWWQNUSA-N 0 3 323.893 4.094 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@]2(CCCOC2)C1 ZINC000539819992 1039349539 /nfs/dbraw/zinc/34/95/39/1039349539.db2.gz VLUMIKDPDUXEAW-SFHVURJKSA-N 0 3 319.832 4.479 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3c[nH]c(C(C)(C)C)c3)n2)cc1 ZINC001213388177 1039350905 /nfs/dbraw/zinc/35/09/05/1039350905.db2.gz YFSJLBUDMORQSE-UHFFFAOYSA-N 0 3 324.428 4.091 20 0 DIADHN CCCCOC1CCN(Cc2cccc(OCCC)c2)CC1 ZINC000539823626 1039355371 /nfs/dbraw/zinc/35/53/71/1039355371.db2.gz NNQNQZIGLFZFBK-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000539829075 1039357794 /nfs/dbraw/zinc/35/77/94/1039357794.db2.gz VKYBXUGMCNWWPD-AWEZNQCLSA-N 0 3 303.475 4.040 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)CC1 ZINC000539827758 1039357869 /nfs/dbraw/zinc/35/78/69/1039357869.db2.gz XVVSKTUKAMYOFX-ZBFHGGJFSA-N 0 3 308.491 4.253 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000661200395 1039361463 /nfs/dbraw/zinc/36/14/63/1039361463.db2.gz APWKGZXYRSRCGZ-FMSGJZPZSA-N 0 3 314.256 4.147 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](OCCC(C)C)C3)cc2c1 ZINC000539835989 1039362151 /nfs/dbraw/zinc/36/21/51/1039362151.db2.gz ZUKJQDWFSBBITC-QGZVFWFLSA-N 0 3 317.429 4.078 20 0 DIADHN Clc1ccccc1C[C@@H](NCC1=CCOCC1)c1ccco1 ZINC000926506590 1039365997 /nfs/dbraw/zinc/36/59/97/1039365997.db2.gz RHCGVZDNWNWVBX-QGZVFWFLSA-N 0 3 317.816 4.153 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@H]2C2CC2)cc1Cl ZINC000583555787 1039374526 /nfs/dbraw/zinc/37/45/26/1039374526.db2.gz DOLIZHQDBGBIIU-QGZVFWFLSA-N 0 3 305.849 4.039 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)CCOc1ccc(F)cc1Cl ZINC000539885403 1039380236 /nfs/dbraw/zinc/38/02/36/1039380236.db2.gz AMFDJCPFDGGDDV-INIZCTEOSA-N 0 3 322.811 4.336 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@H](C)c2ccsc2)s1 ZINC000669850852 1039393662 /nfs/dbraw/zinc/39/36/62/1039393662.db2.gz MNZVNEUUJDHQFX-GHMZBOCLSA-N 0 3 310.488 4.114 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000669851198 1039395543 /nfs/dbraw/zinc/39/55/43/1039395543.db2.gz XHXXFIFOTPHFRN-NEPJUHHUSA-N 0 3 314.454 4.390 20 0 DIADHN Clc1ccccc1CN1CCC(Cc2cccnc2)CC1 ZINC000540043725 1039407719 /nfs/dbraw/zinc/40/77/19/1039407719.db2.gz HEVLVLYJBLRWJJ-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN Clc1ccc(SCCN(Cc2ccncc2)C2CC2)cc1 ZINC000540061850 1039411990 /nfs/dbraw/zinc/41/19/90/1039411990.db2.gz LAUSQODDTMQTMM-UHFFFAOYSA-N 0 3 318.873 4.492 20 0 DIADHN CC[C@H](NCc1cccc(N(C)C)c1)c1cc(C)ccc1OC ZINC000540087240 1039414617 /nfs/dbraw/zinc/41/46/17/1039414617.db2.gz YGBYWUJAJMHIOD-IBGZPJMESA-N 0 3 312.457 4.311 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)NCc2cc(C)ccc2F)cc1 ZINC000540069096 1039415362 /nfs/dbraw/zinc/41/53/62/1039415362.db2.gz ZOMJWXRVYZSVLX-IFXJQAMLSA-N 0 3 317.404 4.009 20 0 DIADHN FC(F)(F)COc1ccccc1CN[C@@H]1CCc2ccccc21 ZINC000129303123 1039420246 /nfs/dbraw/zinc/42/02/46/1039420246.db2.gz AHPCTLJXGQPKKN-MRXNPFEDSA-N 0 3 321.342 4.405 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(F)nc2C)C(C)C)cc1 ZINC000278370099 1039421413 /nfs/dbraw/zinc/42/14/13/1039421413.db2.gz KFYKVJPTMIZSRJ-SFHVURJKSA-N 0 3 302.393 4.025 20 0 DIADHN CCOC[C@H](C)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000540144837 1039423907 /nfs/dbraw/zinc/42/39/07/1039423907.db2.gz LUNXSPXUXFUQNA-KSSFIOAISA-N 0 3 318.848 4.033 20 0 DIADHN CCCCCn1cc(CN[C@H]2c3ccccc3C[C@@H]2C)c(C)n1 ZINC000540139450 1039427352 /nfs/dbraw/zinc/42/73/52/1039427352.db2.gz QCRCOJALWPHQBA-MGPUTAFESA-N 0 3 311.473 4.405 20 0 DIADHN COc1ccc(CN[C@@H]2CSCCc3ccccc32)c(C)c1 ZINC000540161540 1039430515 /nfs/dbraw/zinc/43/05/15/1039430515.db2.gz RHWMFYFFQTYCOG-LJQANCHMSA-N 0 3 313.466 4.124 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3CCOC3(C)C)cs2)cc1 ZINC000657785790 1039435530 /nfs/dbraw/zinc/43/55/30/1039435530.db2.gz NXEMTIUXWQSKBA-HNNXBMFYSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ccc(OCCN[C@H](C)c2ccc3ccccc3n2)cc1 ZINC000540175068 1039436270 /nfs/dbraw/zinc/43/62/70/1039436270.db2.gz FQSAPIHJWAKZRL-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN COC[C@@H](N[C@@H]1CCCc2ccc(C)cc21)c1ccc(F)cc1 ZINC000540187336 1039442777 /nfs/dbraw/zinc/44/27/77/1039442777.db2.gz WIAQHGNEHMMRQS-WOJBJXKFSA-N 0 3 313.416 4.489 20 0 DIADHN C[C@@H](N[C@H](CO)c1cccc(F)c1)c1cccc2ccccc21 ZINC000540183564 1039446673 /nfs/dbraw/zinc/44/66/73/1039446673.db2.gz ITFGPYREDVWZOS-JLTOFOAXSA-N 0 3 309.384 4.363 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000780381116 1039450360 /nfs/dbraw/zinc/45/03/60/1039450360.db2.gz ZKOPHOXASJJUIL-VHRBIJSZSA-N 0 3 321.770 4.036 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c1 ZINC000540219966 1039459702 /nfs/dbraw/zinc/45/97/02/1039459702.db2.gz OBMKXPZBGXSSED-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1 ZINC000540219966 1039459714 /nfs/dbraw/zinc/45/97/14/1039459714.db2.gz OBMKXPZBGXSSED-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H]1CCCc2c(C)cccc21 ZINC000657796398 1039462764 /nfs/dbraw/zinc/46/27/64/1039462764.db2.gz GQJSUPIVDAWTIK-LJQANCHMSA-N 0 3 311.473 4.021 20 0 DIADHN CC(C)[C@H](NCc1ccc(F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000540262878 1039463757 /nfs/dbraw/zinc/46/37/57/1039463757.db2.gz PNTGSYFOOLCPOS-KRWDZBQOSA-N 0 3 314.360 4.159 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(F)(F)F)cc1)c1cccnc1 ZINC000540263222 1039464433 /nfs/dbraw/zinc/46/44/33/1039464433.db2.gz VHZAZEAGRLHQEW-MRXNPFEDSA-N 0 3 324.346 4.467 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1c(C2CCC2)cnn1C ZINC000657796087 1039469057 /nfs/dbraw/zinc/46/90/57/1039469057.db2.gz BWPCVSHTJXCIMQ-IBGZPJMESA-N 0 3 309.457 4.163 20 0 DIADHN Cc1nc([C@H](C)NCC[C@H]2CCc3ccccc3N2)c(C)s1 ZINC000650760796 1039474458 /nfs/dbraw/zinc/47/44/58/1039474458.db2.gz MIFQMKSAPVKZBV-BLLLJJGKSA-N 0 3 315.486 4.228 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cnc2cccc(C)n12 ZINC000657798407 1039477644 /nfs/dbraw/zinc/47/76/44/1039477644.db2.gz OWGQQUHIDQCKMY-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN C[C@@H](NCc1nc2ccc(F)cc2o1)C(C)(C)c1ccccc1 ZINC000540360976 1039484133 /nfs/dbraw/zinc/48/41/33/1039484133.db2.gz LUGCRHIYEJGARW-CYBMUJFWSA-N 0 3 312.388 4.423 20 0 DIADHN CSC1(CNCc2csc(-c3ccccc3)n2)CCC1 ZINC000564530011 1039488625 /nfs/dbraw/zinc/48/86/25/1039488625.db2.gz YCLGJIFNVHSNTM-UHFFFAOYSA-N 0 3 304.484 4.185 20 0 DIADHN COc1cc(CNC2(c3cccc(Cl)c3)CCCC2)ccn1 ZINC000540426810 1039492993 /nfs/dbraw/zinc/49/29/93/1039492993.db2.gz JSNVSARCFNQYLH-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CC(C)CC[C@H](NCc1nc(-c2ccoc2)no1)C(C)(C)C ZINC000540590225 1039520705 /nfs/dbraw/zinc/52/07/05/1039520705.db2.gz XICBXUVENLOQNM-AWEZNQCLSA-N 0 3 305.422 4.270 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@H](c1ccccc1C)C1CC1 ZINC000540590461 1039521454 /nfs/dbraw/zinc/52/14/54/1039521454.db2.gz LYWVRGNEGKSOLE-JXFKEZNVSA-N 0 3 322.452 4.371 20 0 DIADHN Cc1ccoc1CN1CCC(c2noc3cc(F)ccc32)CC1 ZINC000583966749 1039530786 /nfs/dbraw/zinc/53/07/86/1039530786.db2.gz RVQKYZIKCLGTGR-UHFFFAOYSA-N 0 3 314.360 4.248 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cc(C)ccc1F ZINC000540686457 1039542578 /nfs/dbraw/zinc/54/25/78/1039542578.db2.gz MROYPBWOMOKCKM-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NCc3ccn(C)c3)cs2)cs1 ZINC000158516570 1039552275 /nfs/dbraw/zinc/55/22/75/1039552275.db2.gz XLKJVICSXUMOMO-NSHDSACASA-N 0 3 317.483 4.369 20 0 DIADHN COCC1(N[C@H](C)c2sc(-c3ccc(F)cc3)nc2C)CC1 ZINC000540752376 1039557791 /nfs/dbraw/zinc/55/77/91/1039557791.db2.gz ZNGTXZIMQVPHMO-GFCCVEGCSA-N 0 3 320.433 4.087 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccnc2-n2cccn2)cc1 ZINC000151451887 1039559530 /nfs/dbraw/zinc/55/95/30/1039559530.db2.gz RRKJTMLZDSXKSR-MRXNPFEDSA-N 0 3 320.440 4.071 20 0 DIADHN COC[C@H](NC[C@H](C)CC(F)F)c1cccc(Cl)c1F ZINC000647319551 1039564842 /nfs/dbraw/zinc/56/48/42/1039564842.db2.gz CFBNUGPIQYHVKQ-SKDRFNHKSA-N 0 3 309.759 4.048 20 0 DIADHN CCOC1CCC(N[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000657820820 1039572883 /nfs/dbraw/zinc/57/28/83/1039572883.db2.gz KOVJUZHKXLULKS-AFMYVXGZSA-N 0 3 310.441 4.108 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@]1(C)CCCc2ccccc21 ZINC000540906862 1039592854 /nfs/dbraw/zinc/59/28/54/1039592854.db2.gz XMIIZCNCUCMCGB-OAQYLSRUSA-N 0 3 322.452 4.029 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)C[C@H]1CCCC[C@H]1O ZINC000540916763 1039595101 /nfs/dbraw/zinc/59/51/01/1039595101.db2.gz OPRULYXGERWLRQ-NVXWUHKLSA-N 0 3 322.518 4.174 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)c(C)o1 ZINC000278623941 1039601768 /nfs/dbraw/zinc/60/17/68/1039601768.db2.gz GJTIWOGBOCUQBH-HUUCEWRRSA-N 0 3 309.413 4.494 20 0 DIADHN Cc1cc2cc([C@H](C)NCc3ccc(CO)cc3)oc2cc1C ZINC000647322853 1039608504 /nfs/dbraw/zinc/60/85/04/1039608504.db2.gz QUTHXJMIUCWJJU-HNNXBMFYSA-N 0 3 309.409 4.393 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@@]3(CC[C@@H](C)C3)C2)c1C ZINC000541024293 1039609622 /nfs/dbraw/zinc/60/96/22/1039609622.db2.gz MGOYVHBVUSUVLH-CFLQYTFWSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3ncc(-c4cccc(F)c4)o3)C2)C1 ZINC000541024735 1039610864 /nfs/dbraw/zinc/61/08/64/1039610864.db2.gz SCFIMKWPPLGWKI-KUHUBIRLSA-N 0 3 314.404 4.493 20 0 DIADHN CC[C@@H](C)C[C@H](CC)NCc1ncc(Br)s1 ZINC000714922137 1039612937 /nfs/dbraw/zinc/61/29/37/1039612937.db2.gz NLAAZFJYFJDTDZ-ZJUUUORDSA-N 0 3 305.285 4.210 20 0 DIADHN CCC(CC)N(Cc1ccc(S(=O)(=O)C(C)C)cc1)C1CC1 ZINC000541058169 1039615141 /nfs/dbraw/zinc/61/51/41/1039615141.db2.gz AQIJIAFDPNDFDX-UHFFFAOYSA-N 0 3 323.502 4.022 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)c1 ZINC000584136936 1039617054 /nfs/dbraw/zinc/61/70/54/1039617054.db2.gz WGWYHPNOXJGCLM-GOSISDBHSA-N 0 3 316.832 4.375 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657831726 1039619892 /nfs/dbraw/zinc/61/98/92/1039619892.db2.gz ABZHECWZCSHSAD-ZDUSSCGKSA-N 0 3 320.383 4.407 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCC[C@@H](F)C2)c2cccnc2)cc1 ZINC000584172147 1039627052 /nfs/dbraw/zinc/62/70/52/1039627052.db2.gz AFWMRWDHJWHRKR-KURKYZTESA-N 0 3 302.368 4.180 20 0 DIADHN CC(C)CC(CC(C)C)NCc1ncc(Br)s1 ZINC000714945774 1039637733 /nfs/dbraw/zinc/63/77/33/1039637733.db2.gz LEHBTGXUCDPBBC-UHFFFAOYSA-N 0 3 319.312 4.456 20 0 DIADHN CC(C)n1ccc(C[C@H](C)N[C@H](C)c2ccc(F)cc2F)n1 ZINC000541215359 1039637863 /nfs/dbraw/zinc/63/78/63/1039637863.db2.gz XLUJLMVYJXTLJP-QWHCGFSZSA-N 0 3 307.388 4.024 20 0 DIADHN Cc1c(CN2CCCCC[C@@H]2/C=C\c2cccs2)cnn1C ZINC000541247546 1039650936 /nfs/dbraw/zinc/65/09/36/1039650936.db2.gz UCNNASIINDJDKP-DOOKAGJSSA-N 0 3 315.486 4.248 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCc2ccccc2Cl)on1 ZINC000541282113 1039652055 /nfs/dbraw/zinc/65/20/55/1039652055.db2.gz JLIQQKXOSUOMGZ-INIZCTEOSA-N 0 3 304.821 4.406 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H]2CC=CCC2)c(Cl)c1 ZINC000541316089 1039662456 /nfs/dbraw/zinc/66/24/56/1039662456.db2.gz TZZGHOAMDJGJKH-HNNXBMFYSA-N 0 3 320.864 4.183 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C[C@@H]3CC=CCC3)o2)cc1 ZINC000541315775 1039663228 /nfs/dbraw/zinc/66/32/28/1039663228.db2.gz KQHQMWFUVSBWRI-OAHLLOKOSA-N 0 3 312.413 4.138 20 0 DIADHN CCc1noc(C)c1CN1C[C@H](C)C[C@@H]1c1cccc(OC)c1 ZINC000541321847 1039665757 /nfs/dbraw/zinc/66/57/57/1039665757.db2.gz DKZWPHRPSLBRNV-BFUOFWGJSA-N 0 3 314.429 4.137 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3C[C@@H]4CCC[C@@H]4C3)nc2c1 ZINC000151802994 1039674322 /nfs/dbraw/zinc/67/43/22/1039674322.db2.gz JXWVGRPUQNMESW-PHIMTYICSA-N 0 3 310.319 4.079 20 0 DIADHN C[C@@]1(NCc2c(Cl)oc3ccccc32)CCO[C@@H]1C1CC1 ZINC000541382485 1039676038 /nfs/dbraw/zinc/67/60/38/1039676038.db2.gz DKGLJUKRRMLOTC-NVXWUHKLSA-N 0 3 305.805 4.133 20 0 DIADHN CC[C@@H](Cc1ccc(C(F)(F)F)cc1)NCc1nccs1 ZINC000657841456 1039683530 /nfs/dbraw/zinc/68/35/30/1039683530.db2.gz GNCVDJSTWDKBDN-ZDUSSCGKSA-N 0 3 314.376 4.273 20 0 DIADHN C[C@@H](CN[C@H](c1ccco1)c1ccccc1)N1CCC[C@@H](C)C1 ZINC000541546837 1039696049 /nfs/dbraw/zinc/69/60/49/1039696049.db2.gz VSFHMJXERHFJSA-UWVAXJGDSA-N 0 3 312.457 4.079 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1cc2cnccc2o1 ZINC000657845832 1039697039 /nfs/dbraw/zinc/69/70/39/1039697039.db2.gz YCOWRHSYGRZRAS-IJEWVQPXSA-N 0 3 302.418 4.074 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccccc3F)o2)CCO[C@H]1C1CC1 ZINC000541535990 1039699723 /nfs/dbraw/zinc/69/97/23/1039699723.db2.gz ZUBXHHBRIPHIFU-RBUKOAKNSA-N 0 3 315.388 4.133 20 0 DIADHN Cc1cc(CN2CCC3(C2)CCOCC3)cc(C(F)(F)F)c1 ZINC001143454442 1039706749 /nfs/dbraw/zinc/70/67/49/1039706749.db2.gz CBOQIWQDPPWHFY-UHFFFAOYSA-N 0 3 313.363 4.016 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1nc2ccccc2o1 ZINC000541615145 1039715609 /nfs/dbraw/zinc/71/56/09/1039715609.db2.gz CKDNEDXLXWBTOM-KRWDZBQOSA-N 0 3 312.388 4.324 20 0 DIADHN COCC[C@H](NCCCOc1ccc(C)c(C)c1)c1ccco1 ZINC000541603684 1039717448 /nfs/dbraw/zinc/71/74/48/1039717448.db2.gz SRFRLLMLLJJYSQ-SFHVURJKSA-N 0 3 317.429 4.033 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000541627724 1039720135 /nfs/dbraw/zinc/72/01/35/1039720135.db2.gz XLIDGAMEQCYSTH-HIFRSBDPSA-N 0 3 310.507 4.118 20 0 DIADHN CC(C)(NCC(=O)Nc1cccc2ccccc21)c1cccs1 ZINC000541646047 1039721759 /nfs/dbraw/zinc/72/17/59/1039721759.db2.gz UMMIQZFYOBVDHE-UHFFFAOYSA-N 0 3 324.449 4.365 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(Cl)cccc32)cc1O ZINC000541735958 1039734886 /nfs/dbraw/zinc/73/48/86/1039734886.db2.gz KXKHBBLGPAVEQM-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN COc1ccc(C2CCC(N[C@H](C)c3ccon3)CC2)cc1 ZINC000541769294 1039743214 /nfs/dbraw/zinc/74/32/14/1039743214.db2.gz WMKPSVZFFWWLLR-VQCLRJIVSA-N 0 3 300.402 4.060 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(C(F)F)nc2)ccc1C ZINC000657854863 1039744910 /nfs/dbraw/zinc/74/49/10/1039744910.db2.gz CFYTVIGNIGRHMI-LBPRGKRZSA-N 0 3 306.356 4.187 20 0 DIADHN Fc1ccc([C@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657854977 1039747245 /nfs/dbraw/zinc/74/72/45/1039747245.db2.gz ZHIJHHUHFIKFLX-RTBURBONSA-N 0 3 311.400 4.216 20 0 DIADHN Fc1ccccc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC000464766966 1039754403 /nfs/dbraw/zinc/75/44/03/1039754403.db2.gz VMFDXOYFGMHGTE-OAHLLOKOSA-N 0 3 301.430 4.440 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCOC2(CCCCC2)C1 ZINC000136737141 1039764634 /nfs/dbraw/zinc/76/46/34/1039764634.db2.gz PDCHFMLOSMBUFG-CYBMUJFWSA-N 0 3 311.828 4.451 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)c(OC)c1 ZINC000089597837 1039776739 /nfs/dbraw/zinc/77/67/39/1039776739.db2.gz XPAQSVIMCBWJGA-YRVVQQKDSA-N 0 3 311.425 4.288 20 0 DIADHN C[C@@H](NC1Cc2ccccc2C1)c1nc(-c2ccncc2)cs1 ZINC000090045576 1039787777 /nfs/dbraw/zinc/78/77/77/1039787777.db2.gz ZOIOKMNGXRTGQS-CYBMUJFWSA-N 0 3 321.449 4.023 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)N[C@@H](C)Cc1ccsc1 ZINC000090036988 1039788712 /nfs/dbraw/zinc/78/87/12/1039788712.db2.gz JIISQJIGJYTXNN-UONOGXRCSA-N 0 3 313.470 4.400 20 0 DIADHN CCCC[C@@H](COC)N[C@H](C)c1nc(-c2ccncc2)cs1 ZINC000090045855 1039789462 /nfs/dbraw/zinc/78/94/62/1039789462.db2.gz DHRUGAZYLOTXFG-HIFRSBDPSA-N 0 3 319.474 4.061 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCCC1(C)C)c1ccsc1 ZINC000542104284 1039792063 /nfs/dbraw/zinc/79/20/63/1039792063.db2.gz FUCWICZOILVHAD-JKSUJKDBSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000090218743 1039793563 /nfs/dbraw/zinc/79/35/63/1039793563.db2.gz NIHIYHPFVZSEBF-GDBMZVCRSA-N 0 3 302.393 4.037 20 0 DIADHN CCC[C@H](NCCNc1ccc2ccccc2n1)c1ccccn1 ZINC000090229648 1039795807 /nfs/dbraw/zinc/79/58/07/1039795807.db2.gz NGEAISCMFZWOFN-SFHVURJKSA-N 0 3 320.440 4.173 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2NCc1ccnc(OC2CCC2)c1 ZINC000090911884 1039806572 /nfs/dbraw/zinc/80/65/72/1039806572.db2.gz XZPONQQAODSPOP-INIZCTEOSA-N 0 3 314.454 4.242 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](C)c2cc(C)sc2C)cc1 ZINC000090843794 1039819067 /nfs/dbraw/zinc/81/90/67/1039819067.db2.gz MAGUMADRXKMIGR-SCLBCKFNSA-N 0 3 303.471 4.312 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CCCc3sccc32)cc1 ZINC000090843909 1039820426 /nfs/dbraw/zinc/82/04/26/1039820426.db2.gz VUYMOTOJIFUWPV-IRXDYDNUSA-N 0 3 301.455 4.011 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)Nc1c(C)cccc1C)C1CC1 ZINC000091263793 1039838455 /nfs/dbraw/zinc/83/84/55/1039838455.db2.gz GKUDJUHLIVBOKS-OAQYLSRUSA-N 0 3 322.452 4.291 20 0 DIADHN CC(C)[C@@H](NCc1ccc(Br)cc1)c1cccnc1 ZINC000091310335 1039840886 /nfs/dbraw/zinc/84/08/86/1039840886.db2.gz GGTQFBAIKHMVJU-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN CCOc1ccc(CN[C@@H](c2cccnc2)C(C)C)cc1F ZINC000091334214 1039844425 /nfs/dbraw/zinc/84/44/25/1039844425.db2.gz GJCFVCFHVVDIHQ-GOSISDBHSA-N 0 3 302.393 4.106 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccnc(Cl)c2)cc1 ZINC000151635647 1039847533 /nfs/dbraw/zinc/84/75/33/1039847533.db2.gz JHXRWYTZJPEDBN-ZDUSSCGKSA-N 0 3 304.821 4.122 20 0 DIADHN CC[C@H](N[C@H](CCO)c1ccccc1)c1cc(C)ccc1OC ZINC000091727590 1039854708 /nfs/dbraw/zinc/85/47/08/1039854708.db2.gz FGRRCSWJAKZJBW-RBUKOAKNSA-N 0 3 313.441 4.168 20 0 DIADHN FC(F)(F)c1ccccc1C1=CCN(CC2=CCCOC2)CC1 ZINC000092018880 1039865892 /nfs/dbraw/zinc/86/58/92/1039865892.db2.gz XGIFZIONJUMVGP-UHFFFAOYSA-N 0 3 323.358 4.141 20 0 DIADHN COCCCCN(Cc1ccc(Cl)o1)Cc1ccccc1 ZINC000092507026 1039869366 /nfs/dbraw/zinc/86/93/66/1039869366.db2.gz FQPZMHFGKOFQKU-UHFFFAOYSA-N 0 3 307.821 4.362 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1c[nH]nc1-c1ccccc1)CCC2 ZINC000093116230 1039897592 /nfs/dbraw/zinc/89/75/92/1039897592.db2.gz NYCQXDNZSCIUGE-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cnc(C2CCC2)s1 ZINC000093764337 1039904641 /nfs/dbraw/zinc/90/46/41/1039904641.db2.gz MSGQEWIUXMDXPD-UHFFFAOYSA-N 0 3 321.515 4.320 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1ccccc1F ZINC000492148693 1039923547 /nfs/dbraw/zinc/92/35/47/1039923547.db2.gz BYMKEIKWDXLOKU-PRXAMGSTSA-N 0 3 305.418 4.240 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)[C@H]1OCCc2sccc21 ZINC000492155986 1039927494 /nfs/dbraw/zinc/92/74/94/1039927494.db2.gz WZNFDYDZSRSBJW-LKFCYVNXSA-N 0 3 313.875 4.255 20 0 DIADHN CCC[C@@](C)(O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492177727 1039929562 /nfs/dbraw/zinc/92/95/62/1039929562.db2.gz IGUBEQOZQHMDRB-LJQANCHMSA-N 0 3 319.395 4.195 20 0 DIADHN C[C@@H](NCc1ccccc1Cl)[C@H]1OCCc2sccc21 ZINC000492193292 1039930330 /nfs/dbraw/zinc/93/03/30/1039930330.db2.gz NYSYDLFTBXTXGY-BDJLRTHQSA-N 0 3 307.846 4.194 20 0 DIADHN Cc1cccc2cc(C(=O)Nc3cccc(CN(C)C)c3)oc21 ZINC000149222095 1039960799 /nfs/dbraw/zinc/96/07/99/1039960799.db2.gz SPMGNPXGZJUIRW-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN COCC[C@@H](C)CN[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926577776 1039988415 /nfs/dbraw/zinc/98/84/15/1039988415.db2.gz KIPCJHIZTQGSIY-ZJUUUORDSA-N 0 3 324.774 4.077 20 0 DIADHN COCC[C@H](C)CN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926577812 1039988791 /nfs/dbraw/zinc/98/87/91/1039988791.db2.gz LCHJMEDEUMMTPE-KSSFIOAISA-N 0 3 318.848 4.087 20 0 DIADHN COCC[C@H](C)CN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926578397 1039989634 /nfs/dbraw/zinc/98/96/34/1039989634.db2.gz NPYBHICDZBGXAD-KSSFIOAISA-N 0 3 318.848 4.087 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](OC(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000647343611 1040006636 /nfs/dbraw/zinc/00/66/36/1040006636.db2.gz XVEQAEWYYFSVPR-RWMBFGLXSA-N 0 3 308.491 4.019 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1coc(-c2ccc(F)c(F)c2)n1 ZINC000492845354 1040024384 /nfs/dbraw/zinc/02/43/84/1040024384.db2.gz FTXSNOUSXPTRIY-HNNXBMFYSA-N 0 3 306.356 4.288 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(c3ccccn3)CC2)cc1F ZINC000685411055 1040033679 /nfs/dbraw/zinc/03/36/79/1040033679.db2.gz NPVLZTARRBYNKQ-ARJAWSKDSA-N 0 3 314.379 4.253 20 0 DIADHN Oc1ccc(CN2CCC([C@H](F)c3ccccc3F)CC2)cc1 ZINC000685436818 1040047408 /nfs/dbraw/zinc/04/74/08/1040047408.db2.gz CBGIFIMWDMAXEG-IBGZPJMESA-N 0 3 317.379 4.454 20 0 DIADHN CCCc1ccc(CN(CCCOC)Cc2ccncc2)cc1 ZINC000685457490 1040055050 /nfs/dbraw/zinc/05/50/50/1040055050.db2.gz NFXXPLYXJRSZKC-UHFFFAOYSA-N 0 3 312.457 4.073 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000685464072 1040064613 /nfs/dbraw/zinc/06/46/13/1040064613.db2.gz CRAGSAYHYFOJPL-CABCVRRESA-N 0 3 320.502 4.049 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000685464073 1040064662 /nfs/dbraw/zinc/06/46/62/1040064662.db2.gz CRAGSAYHYFOJPL-GJZGRUSLSA-N 0 3 320.502 4.049 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)C/C=C/c1ccc(F)c(F)c1 ZINC000685470092 1040069073 /nfs/dbraw/zinc/06/90/73/1040069073.db2.gz TZYDDPJKKNURIM-WRFKIARRSA-N 0 3 302.368 4.456 20 0 DIADHN CC[C@@H]1C[C@H](CN2CCCn3c(cc4ccccc43)C2)CCO1 ZINC000685473765 1040071445 /nfs/dbraw/zinc/07/14/45/1040071445.db2.gz CPRZYRXOVBYTIC-VQIMIIECSA-N 0 3 312.457 4.052 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1ccccc1CN(C)C ZINC000493108656 1040092714 /nfs/dbraw/zinc/09/27/14/1040092714.db2.gz WZBOATAWJNYKTB-NVXWUHKLSA-N 0 3 316.489 4.035 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCCC34CC4)cs2)cc1 ZINC000657896055 1040094154 /nfs/dbraw/zinc/09/41/54/1040094154.db2.gz RXJIOGIHRJUGMV-INIZCTEOSA-N 0 3 314.454 4.241 20 0 DIADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1ccccc1CN(C)C ZINC000493108657 1040094432 /nfs/dbraw/zinc/09/44/32/1040094432.db2.gz WZBOATAWJNYKTB-RDJZCZTQSA-N 0 3 316.489 4.035 20 0 DIADHN CC[C@@H](N[C@@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657901468 1040094642 /nfs/dbraw/zinc/09/46/42/1040094642.db2.gz YJIMUBJSQVMNBS-NXEZZACHSA-N 0 3 324.437 4.488 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1ccc(-c2ccncc2)cc1 ZINC000657898838 1040094894 /nfs/dbraw/zinc/09/48/94/1040094894.db2.gz GITBRUMUERVEQX-BEFAXECRSA-N 0 3 318.424 4.131 20 0 DIADHN CC[C@@H](NC[C@H](CC)Oc1ccccc1F)c1ccc(F)cn1 ZINC000493105830 1040095533 /nfs/dbraw/zinc/09/55/33/1040095533.db2.gz RXJYQCNFHXJFOT-GOEBONIOSA-N 0 3 320.383 4.258 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1ccc(-c2ccncc2)cc1 ZINC000657898841 1040095966 /nfs/dbraw/zinc/09/59/66/1040095966.db2.gz GITBRUMUERVEQX-HNAYVOBHSA-N 0 3 318.424 4.131 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1cc2cnccc2o1 ZINC000657906692 1040109144 /nfs/dbraw/zinc/10/91/44/1040109144.db2.gz XNPSZXOULFWVIG-WBMJQRKESA-N 0 3 308.381 4.175 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1cc2cnccc2o1 ZINC000657906691 1040109477 /nfs/dbraw/zinc/10/94/77/1040109477.db2.gz XNPSZXOULFWVIG-MLGOLLRUSA-N 0 3 308.381 4.175 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc2ccccc2o1 ZINC000177303172 1040118029 /nfs/dbraw/zinc/11/80/29/1040118029.db2.gz CBCOAAIHPNDEJC-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN Cc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)cc1Cl ZINC000177346722 1040118066 /nfs/dbraw/zinc/11/80/66/1040118066.db2.gz LSFDVTUXFOCPDD-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC/C=C/c1ccccc1 ZINC000177350854 1040118821 /nfs/dbraw/zinc/11/88/21/1040118821.db2.gz LTPPIZJAZSXDNL-YRNVUSSQSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccc(F)c(F)c3)CC2)o1 ZINC000685573291 1040138915 /nfs/dbraw/zinc/13/89/15/1040138915.db2.gz GBPWVGSVGQLAMT-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN CC1(CN[C@H](c2c(Cl)cccc2Cl)C2CC2)COC1 ZINC000685587706 1040148228 /nfs/dbraw/zinc/14/82/28/1040148228.db2.gz LPDDBORLBPLGII-AWEZNQCLSA-N 0 3 300.229 4.071 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2c(c1)CCC2)c1cccs1 ZINC000685585491 1040148403 /nfs/dbraw/zinc/14/84/03/1040148403.db2.gz SADJSNRRXUYUBT-SFHVURJKSA-N 0 3 315.482 4.086 20 0 DIADHN CC1(C)CN(Cc2csc3ccccc23)[C@@H]1[C@@H]1CCCO1 ZINC000685587380 1040148736 /nfs/dbraw/zinc/14/87/36/1040148736.db2.gz PSADDQPYOZRKHQ-DOTOQJQBSA-N 0 3 301.455 4.291 20 0 DIADHN Cc1ccc(CNCc2cc(O)cc(C(F)(F)F)c2)c(C)c1 ZINC000685613071 1040158538 /nfs/dbraw/zinc/15/85/38/1040158538.db2.gz LLSVOGZAEQPJHS-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN Cc1cn[nH]c1CNCc1ccc(C)cc1OCCCC(C)C ZINC000685618517 1040161194 /nfs/dbraw/zinc/16/11/94/1040161194.db2.gz IYEIXQJJPABDTI-UHFFFAOYSA-N 0 3 315.461 4.131 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000657917076 1040161785 /nfs/dbraw/zinc/16/17/85/1040161785.db2.gz VISNYXIDIJOQPX-CZUORRHYSA-N 0 3 312.438 4.335 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000657917074 1040162696 /nfs/dbraw/zinc/16/26/96/1040162696.db2.gz VISNYXIDIJOQPX-BBRMVZONSA-N 0 3 312.438 4.335 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1cc2ccncc2s1 ZINC000657922656 1040175574 /nfs/dbraw/zinc/17/55/74/1040175574.db2.gz LWLJAQXRGCEFCB-YPMHNXCESA-N 0 3 318.467 4.050 20 0 DIADHN Cc1cc([C@H](NCC(C)(C)O)c2cccc(Cl)c2)c(C)s1 ZINC000685647150 1040181354 /nfs/dbraw/zinc/18/13/54/1040181354.db2.gz GSGFKSLFSMNJJV-MRXNPFEDSA-N 0 3 323.889 4.468 20 0 DIADHN Cc1cc([C@@H](N[C@@H](C)CCO)c2cccc(Cl)c2)c(C)s1 ZINC000685647759 1040182842 /nfs/dbraw/zinc/18/28/42/1040182842.db2.gz ILGRAGIMRXWNNT-GTNSWQLSSA-N 0 3 323.889 4.468 20 0 DIADHN Clc1ccc(C2(N[C@@H]3COC4(CCC4)C3)CC2)cc1Cl ZINC000657945470 1040206993 /nfs/dbraw/zinc/20/69/93/1040206993.db2.gz KBYUHWPAGRKLRD-LBPRGKRZSA-N 0 3 312.240 4.284 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccccc1N(C)C ZINC000093947111 1040251648 /nfs/dbraw/zinc/25/16/48/1040251648.db2.gz YIJLJDKBRCMLIH-RDTXWAMCSA-N 0 3 310.441 4.099 20 0 DIADHN COc1cc(F)cc(CNCc2csc3ccccc23)c1 ZINC000093970588 1040252698 /nfs/dbraw/zinc/25/26/98/1040252698.db2.gz NCSBQIKMTDJGAZ-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000030143823 1040253282 /nfs/dbraw/zinc/25/32/82/1040253282.db2.gz QHIMVLUHAUIZLX-JKSUJKDBSA-N 0 3 302.462 4.259 20 0 DIADHN CCOC1CCC(N[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000657994238 1040255733 /nfs/dbraw/zinc/25/57/33/1040255733.db2.gz CFLFVKBCDAFDET-KPPDAEKUSA-N 0 3 322.396 4.160 20 0 DIADHN C[C@@H](NCc1c(F)cccc1N1CCCC1)C(C)(C)C(F)F ZINC000658010001 1040258224 /nfs/dbraw/zinc/25/82/24/1040258224.db2.gz QHUKQLSBCWJRLQ-GFCCVEGCSA-N 0 3 314.395 4.195 20 0 DIADHN CCOC1CCC(N[C@@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000657997292 1040258729 /nfs/dbraw/zinc/25/87/29/1040258729.db2.gz AUOYERLDASJAFJ-GRERDSQWSA-N 0 3 324.468 4.417 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCn3nccc32)C2CC2)cc1Cl ZINC000658027232 1040266966 /nfs/dbraw/zinc/26/69/66/1040266966.db2.gz LBEUIZILQVURMJ-WBVHZDCISA-N 0 3 319.811 4.251 20 0 DIADHN C[C@@H](N[C@H]1COCc2ccccc21)c1cc2ccncc2s1 ZINC000658036515 1040281923 /nfs/dbraw/zinc/28/19/23/1040281923.db2.gz DBCCKHXZGQOALI-WBMJQRKESA-N 0 3 310.422 4.218 20 0 DIADHN COC1CC(CCN[C@H](C)c2csc(-c3ccccc3)n2)C1 ZINC000658061464 1040283992 /nfs/dbraw/zinc/28/39/92/1040283992.db2.gz UBRCBDNAHLXPBS-VQCLRJIVSA-N 0 3 316.470 4.276 20 0 DIADHN Nc1ccc(Cl)cc1CN1CC[C@@H](c2ccccc2F)C1 ZINC001140717436 1040299975 /nfs/dbraw/zinc/29/99/75/1040299975.db2.gz XVXBPMGRBHTEEH-GFCCVEGCSA-N 0 3 304.796 4.051 20 0 DIADHN Nc1ccc(Cl)cc1CN1CC[C@H](c2ccccc2F)C1 ZINC001140717437 1040300601 /nfs/dbraw/zinc/30/06/01/1040300601.db2.gz XVXBPMGRBHTEEH-LBPRGKRZSA-N 0 3 304.796 4.051 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2cccnc2N2CCCC2)c1 ZINC000658099334 1040306104 /nfs/dbraw/zinc/30/61/04/1040306104.db2.gz CGZZUUWRGKPOOS-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@@H]1C[C@H](NC2CCC(C3CCCCC3)CC2)c2ncnn21 ZINC000658099503 1040306569 /nfs/dbraw/zinc/30/65/69/1040306569.db2.gz CKITYXUOEPJODH-MFNRNEDNSA-N 0 3 302.466 4.013 20 0 DIADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1ncc(Br)s1 ZINC000658113964 1040306790 /nfs/dbraw/zinc/30/67/90/1040306790.db2.gz JWUIYIBOIBRJQE-DTWKUNHWSA-N 0 3 305.285 4.381 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1ccc3occc3c1)CC2 ZINC000658117706 1040309121 /nfs/dbraw/zinc/30/91/21/1040309121.db2.gz ZIYNEDDOHTYRMH-QGZVFWFLSA-N 0 3 323.392 4.227 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658101697 1040310848 /nfs/dbraw/zinc/31/08/48/1040310848.db2.gz RGVOSXUUYABRDM-JXFKEZNVSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658105237 1040313656 /nfs/dbraw/zinc/31/36/56/1040313656.db2.gz GVQRAGZQEUWSCI-BEFAXECRSA-N 0 3 307.441 4.020 20 0 DIADHN c1ccc([C@H](CC2CC2)NCc2cccnc2N2CCCC2)cc1 ZINC000658104481 1040313846 /nfs/dbraw/zinc/31/38/46/1040313846.db2.gz VQDQHAOGWWUPGX-FQEVSTJZSA-N 0 3 321.468 4.313 20 0 DIADHN Cc1ccncc1CCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000658123488 1040319009 /nfs/dbraw/zinc/31/90/09/1040319009.db2.gz KOFGYONCNRRHHV-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1ccncc1CCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000658123485 1040319464 /nfs/dbraw/zinc/31/94/64/1040319464.db2.gz KOFGYONCNRRHHV-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@H]1CC[C@@](C)(C[NH2+][C@@H](c2cccs2)c2nnc[n-]2)C1(C)C ZINC000658128410 1040325953 /nfs/dbraw/zinc/32/59/53/1040325953.db2.gz UXDCQTBJKCUMSP-JDFRZJQESA-N 0 3 318.490 4.008 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1CCCCC(=O)OC(C)(C)C ZINC000612079600 1040330778 /nfs/dbraw/zinc/33/07/78/1040330778.db2.gz JDIBNJZGOWBFAI-AWEZNQCLSA-N 0 3 321.436 4.257 20 0 DIADHN CC[C@H](N[C@@H](COC)c1cccc(OC)c1)c1ccc(C)cc1 ZINC000658165513 1040338386 /nfs/dbraw/zinc/33/83/86/1040338386.db2.gz QWYAKFGUKCDIJU-PMACEKPBSA-N 0 3 313.441 4.432 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1ccc2c(c1)CCCC2 ZINC000658211525 1040351595 /nfs/dbraw/zinc/35/15/95/1040351595.db2.gz CZLLJEMOOIAZSY-AWEZNQCLSA-N 0 3 309.457 4.027 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2c(C3CC3)cnn2C)c2ccccc21 ZINC000658211366 1040352727 /nfs/dbraw/zinc/35/27/27/1040352727.db2.gz FGJQOXXDXAEAJS-IEBWSBKVSA-N 0 3 309.457 4.272 20 0 DIADHN COCc1csc(CN[C@H](C)c2cnc3ccsc3c2)c1 ZINC000658210464 1040359053 /nfs/dbraw/zinc/35/90/53/1040359053.db2.gz ZMXHHCDOSPHMCA-LLVKDONJSA-N 0 3 318.467 4.355 20 0 DIADHN C[C@H](NCC[C@@]1(C)CC1(F)F)c1nc(C(F)(F)F)cs1 ZINC000658218444 1040361358 /nfs/dbraw/zinc/36/13/58/1040361358.db2.gz DSFLLIWCSRCBIB-XVKPBYJWSA-N 0 3 314.323 4.248 20 0 DIADHN C[C@@]1(CCN[C@H](c2ccc(F)cc2)c2ccccn2)CC1(F)F ZINC000658219931 1040361658 /nfs/dbraw/zinc/36/16/58/1040361658.db2.gz KHTRNCJLOGJAIR-IAGOWNOFSA-N 0 3 320.358 4.335 20 0 DIADHN C[C@@]1(CCN[C@H](c2ccccc2)c2ccccn2)CC1(F)F ZINC000658221691 1040362868 /nfs/dbraw/zinc/36/28/68/1040362868.db2.gz OHMMWVFIKUKEMQ-IAGOWNOFSA-N 0 3 302.368 4.196 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccc(F)cc1OC(F)F ZINC000658221181 1040362924 /nfs/dbraw/zinc/36/29/24/1040362924.db2.gz SFECKVVDTPMZRH-ISVAXAHUSA-N 0 3 309.278 4.123 20 0 DIADHN C[C@]1(CCN[C@H](c2ccccc2)c2ccc(F)cn2)CC1(F)F ZINC000658223221 1040367104 /nfs/dbraw/zinc/36/71/04/1040367104.db2.gz SWEDVDOODCGNRR-SJORKVTESA-N 0 3 320.358 4.335 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H]1CCc2ccc(O)cc21 ZINC000658235401 1040378464 /nfs/dbraw/zinc/37/84/64/1040378464.db2.gz DDPKHKBETURQIW-MOPGFXCFSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1sccc1Br ZINC000658217242 1040379631 /nfs/dbraw/zinc/37/96/31/1040379631.db2.gz CRCNZUCJOJJFQT-VWYCJHECSA-N 0 3 318.280 4.119 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1c(C3CCC3)cnn1C)[C@H](C)C2 ZINC000658267906 1040393644 /nfs/dbraw/zinc/39/36/44/1040393644.db2.gz IHQBCUQWYPFLHE-JLTOFOAXSA-N 0 3 309.457 4.019 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2c(C3CCC3)cnn2C)cc1 ZINC000658269482 1040398907 /nfs/dbraw/zinc/39/89/07/1040398907.db2.gz OGECRPDHVCVPMM-OAHLLOKOSA-N 0 3 311.473 4.491 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1c(C2CCC2)cnn1C ZINC000658269593 1040400572 /nfs/dbraw/zinc/40/05/72/1040400572.db2.gz WBBIEPICKAWRII-XOBRGWDASA-N 0 3 309.457 4.101 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](O)C(C)C)c1 ZINC000658287713 1040405437 /nfs/dbraw/zinc/40/54/37/1040405437.db2.gz JNIWJBQZXBKHMP-YSSFQJQWSA-N 0 3 313.441 4.104 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](c2ccccc2)[C@H](O)C(C)C)c1 ZINC000658287712 1040406135 /nfs/dbraw/zinc/40/61/35/1040406135.db2.gz JNIWJBQZXBKHMP-RYGJVYDSSA-N 0 3 313.441 4.104 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@@H]1CCCc2sccc21)c1ccccc1 ZINC000658289018 1040406214 /nfs/dbraw/zinc/40/62/14/1040406214.db2.gz VFQDQAXGUHLRQK-QRQLOZEOSA-N 0 3 315.482 4.473 20 0 DIADHN CC(C)[C@@H](O)[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000658288117 1040407043 /nfs/dbraw/zinc/40/70/43/1040407043.db2.gz NFFCOSUAXSHCKG-ZOCIIQOWSA-N 0 3 301.405 4.235 20 0 DIADHN CCc1ccc([C@@H](C)NCC(=O)Nc2c(C)cccc2C)cc1 ZINC000612128167 1040419390 /nfs/dbraw/zinc/41/93/90/1040419390.db2.gz DCMZYIAZXHBKQM-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4c(O)cccc43)CCC2)c1 ZINC000658323925 1040422026 /nfs/dbraw/zinc/42/20/26/1040422026.db2.gz UPFNSPRNYDXRII-LJQANCHMSA-N 0 3 323.436 4.447 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](C)O)cc1 ZINC000658364109 1040434223 /nfs/dbraw/zinc/43/42/23/1040434223.db2.gz ZRERGDJTINVUCV-SUNYJGFJSA-N 0 3 301.455 4.181 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(OC)c2C)cc1F ZINC000658352547 1040436782 /nfs/dbraw/zinc/43/67/82/1040436782.db2.gz IFYJLOKGZQMBGZ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(F)cc2N(C)C)c1C ZINC000658353435 1040437316 /nfs/dbraw/zinc/43/73/16/1040437316.db2.gz MOSKBERDUNSSHN-CQSZACIVSA-N 0 3 316.420 4.060 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](C)O)cc1Cl ZINC000658361646 1040441603 /nfs/dbraw/zinc/44/16/03/1040441603.db2.gz MQDUMGPUZYAVFA-ZJNRKIDTSA-N 0 3 319.832 4.121 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](C)O)cc1Cl ZINC000658361644 1040441659 /nfs/dbraw/zinc/44/16/59/1040441659.db2.gz MQDUMGPUZYAVFA-LXIYXOSZSA-N 0 3 319.832 4.121 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000658363522 1040445098 /nfs/dbraw/zinc/44/50/98/1040445098.db2.gz WHDNFAVFTYDDQI-AGRHKRQWSA-N 0 3 315.844 4.429 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccsc1 ZINC000294466604 1040446449 /nfs/dbraw/zinc/44/64/49/1040446449.db2.gz VALVIAUOUGMUJF-DOMZBBRYSA-N 0 3 303.427 4.321 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1 ZINC000191657364 1040471138 /nfs/dbraw/zinc/47/11/38/1040471138.db2.gz JNDLCNDOSCMLPL-IBGZPJMESA-N 0 3 311.425 4.347 20 0 DIADHN COc1ccc([C@H](NCc2cnc(F)cc2C)C(C)C)cc1 ZINC000892466785 1040491317 /nfs/dbraw/zinc/49/13/17/1040491317.db2.gz BDZWEBUKGRLCCH-GOSISDBHSA-N 0 3 302.393 4.025 20 0 DIADHN Cc1nc(CNCCCO[C@H](C)c2ccccc2)sc1C ZINC000892467402 1040492596 /nfs/dbraw/zinc/49/25/96/1040492596.db2.gz HONHETOCPJHYLD-CQSZACIVSA-N 0 3 304.459 4.017 20 0 DIADHN Cc1cnc(CCN[C@@H](C)c2nc3ccccc3s2)c(C)c1 ZINC000828241632 1040493874 /nfs/dbraw/zinc/49/38/74/1040493874.db2.gz UJTVJOIHDGRVKG-AWEZNQCLSA-N 0 3 311.454 4.201 20 0 DIADHN COC[C@H](NCc1cc(C)c(C)cc1O)c1ccc(Cl)cc1 ZINC000193280288 1040495611 /nfs/dbraw/zinc/49/56/11/1040495611.db2.gz DPHMZARQHCIGEE-KRWDZBQOSA-N 0 3 319.832 4.140 20 0 DIADHN CO[C@@H](C)c1nc(CNC[C@H](C)c2ccc(C)cc2)cs1 ZINC000628226016 1040515029 /nfs/dbraw/zinc/51/50/29/1040515029.db2.gz WZBONKRVTYWEED-KBPBESRZSA-N 0 3 304.459 4.052 20 0 DIADHN CCCOc1ccc(CNC2(c3cccc(OC)c3)CC2)cc1 ZINC000150892858 1040538595 /nfs/dbraw/zinc/53/85/95/1040538595.db2.gz YCVAUIRJVYEKBZ-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN COC(=O)c1cccc(CN(C)C2CCCCCC2)c1Cl ZINC000394488947 1040561437 /nfs/dbraw/zinc/56/14/37/1040561437.db2.gz XTXNWJOGTWDCEZ-UHFFFAOYSA-N 0 3 309.837 4.281 20 0 DIADHN CC(C)(C)[C@@H](CCO)NCc1nc(C2CCCCC2)cs1 ZINC000444684746 1040601020 /nfs/dbraw/zinc/60/10/20/1040601020.db2.gz LUCXXQBUJFQVNF-OAHLLOKOSA-N 0 3 310.507 4.078 20 0 DIADHN C/C(=C\C(=O)Nc1cc(CN(C)C)ccc1C)c1ccccc1 ZINC000177323931 1040622950 /nfs/dbraw/zinc/62/29/50/1040622950.db2.gz GBDUUNPISNXCEG-FOWTUZBSSA-N 0 3 308.425 4.099 20 0 DIADHN Clc1cccc(CC2(CNCc3cnc(C4CC4)o3)CC2)c1 ZINC000628308306 1040671790 /nfs/dbraw/zinc/67/17/90/1040671790.db2.gz HLPAVKKWRYKHLD-UHFFFAOYSA-N 0 3 316.832 4.318 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000177457941 1040677954 /nfs/dbraw/zinc/67/79/54/1040677954.db2.gz SVCICYOQAWXCRN-KSSFIOAISA-N 0 3 323.864 4.061 20 0 DIADHN CCC[C@@H](NCc1cccc(OC(F)F)c1)c1cccnc1 ZINC000185975953 1040710485 /nfs/dbraw/zinc/71/04/85/1040710485.db2.gz LGFFCCLUUNXHSD-MRXNPFEDSA-N 0 3 306.356 4.314 20 0 DIADHN Cc1cc(C)cc([C@@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)c1 ZINC000926703167 1040712664 /nfs/dbraw/zinc/71/26/64/1040712664.db2.gz DEHQUPBRXSBBMG-ZWKOTPCHSA-N 0 3 316.395 4.423 20 0 DIADHN Cc1ccc([C@@H](NC[C@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926705560 1040721020 /nfs/dbraw/zinc/72/10/20/1040721020.db2.gz OWDJLVCSHHBPLS-SJORKVTESA-N 0 3 302.368 4.114 20 0 DIADHN c1csc(CNCCc2cccc(OCc3ccccc3)c2)n1 ZINC000309743859 1040722585 /nfs/dbraw/zinc/72/25/85/1040722585.db2.gz STYSKZGWDSJQOH-UHFFFAOYSA-N 0 3 324.449 4.054 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000924560791 1040736045 /nfs/dbraw/zinc/73/60/45/1040736045.db2.gz ZIJLYYYGWNFRKS-GJZGRUSLSA-N 0 3 313.441 4.334 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1F)c1cc2ccccc2o1 ZINC000177755014 1040770099 /nfs/dbraw/zinc/77/00/99/1040770099.db2.gz NHGXXMRINCOFOP-MEDUHNTESA-N 0 3 317.335 4.095 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)c(F)c1)c1cc2ccccc2o1 ZINC000177753725 1040770329 /nfs/dbraw/zinc/77/03/29/1040770329.db2.gz LBOXOCGNCPGKKJ-BZNIZROVSA-N 0 3 317.335 4.095 20 0 DIADHN CSc1ccc(CN2CCCO[C@H](c3ccccc3)C2)s1 ZINC000685835872 1040772534 /nfs/dbraw/zinc/77/25/34/1040772534.db2.gz GPORQPKETSCGKW-INIZCTEOSA-N 0 3 319.495 4.434 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000892521875 1040789004 /nfs/dbraw/zinc/78/90/04/1040789004.db2.gz IEWYEVSJJNTJHQ-JTQLQIEISA-N 0 3 323.314 4.343 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000892521876 1040789542 /nfs/dbraw/zinc/78/95/42/1040789542.db2.gz IEWYEVSJJNTJHQ-SNVBAGLBSA-N 0 3 323.314 4.343 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@@H]2C2CC2)ccc1OC(C)C ZINC000685850024 1040796743 /nfs/dbraw/zinc/79/67/43/1040796743.db2.gz KCSYMGRXYTVAAJ-IBGZPJMESA-N 0 3 315.457 4.247 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@H]2C2CC2)ccc1OC(C)C ZINC000685850025 1040798494 /nfs/dbraw/zinc/79/84/94/1040798494.db2.gz KCSYMGRXYTVAAJ-LJQANCHMSA-N 0 3 315.457 4.247 20 0 DIADHN COc1cc(CN2CC(C)(C)[C@@H]2C2CC2)ccc1OC(C)C ZINC000685849879 1040800462 /nfs/dbraw/zinc/80/04/62/1040800462.db2.gz GHNKKZQKYCSYQA-SFHVURJKSA-N 0 3 303.446 4.103 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1Cl)c1cc2n(n1)CCC2 ZINC000414076444 1040831209 /nfs/dbraw/zinc/83/12/09/1040831209.db2.gz OSQHVBMPCAQUJP-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc3ccccc3c2)c(C)c1 ZINC000414086684 1040841125 /nfs/dbraw/zinc/84/11/25/1040841125.db2.gz ZTTPXXJRXFFSKK-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000414099766 1040856728 /nfs/dbraw/zinc/85/67/28/1040856728.db2.gz KXNRXTMRPQZVMW-NFAWXSAZSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1csc(-c2ccccn2)n1 ZINC000173189644 1040875200 /nfs/dbraw/zinc/87/52/00/1040875200.db2.gz ISJGAYXLFHRGQQ-CZUORRHYSA-N 0 3 301.459 4.216 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc(C(F)(F)F)c1)CC2 ZINC000173226627 1040878863 /nfs/dbraw/zinc/87/88/63/1040878863.db2.gz FEBHWIDJUPKHPC-KRWDZBQOSA-N 0 3 321.342 4.491 20 0 DIADHN CCC(CC)(CO)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414113402 1040881651 /nfs/dbraw/zinc/88/16/51/1040881651.db2.gz YOZPHZWWCDBPEX-CQSZACIVSA-N 0 3 318.486 4.259 20 0 DIADHN C[C@H](N[C@H]1CSC[C@H]1C)c1csc(-c2ccccc2)n1 ZINC000414122445 1040888697 /nfs/dbraw/zinc/88/86/97/1040888697.db2.gz BBFGOMRQARZRAI-DYEKYZERSA-N 0 3 304.484 4.212 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](O)C1)c1csc(-c2ccccc2)n1 ZINC000414131677 1040905622 /nfs/dbraw/zinc/90/56/22/1040905622.db2.gz YELZKYUAPRWXEY-OFQRWUPVSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1csc(-c2ccccc2)n1 ZINC000414137791 1040914360 /nfs/dbraw/zinc/91/43/60/1040914360.db2.gz PEHBMCSSTWAOJK-FAYQHZLMSA-N 0 3 314.454 4.028 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCO)c1csc(-c2ccccc2)n1 ZINC000414139398 1040917415 /nfs/dbraw/zinc/91/74/15/1040917415.db2.gz QJNGAGCWKFBJHA-OFQRWUPVSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@@H](NCCC[C@@H]1CCOC1)c1csc(-c2ccccc2)n1 ZINC000414143841 1040918437 /nfs/dbraw/zinc/91/84/37/1040918437.db2.gz JAVCZGARTVRTDZ-HUUCEWRRSA-N 0 3 316.470 4.277 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@@H]43)co2)cc1 ZINC000173815163 1040921955 /nfs/dbraw/zinc/92/19/55/1040921955.db2.gz SRFKTHZNZZNKBN-DYVFJYSZSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)c1ccc2c(c1)CCO2 ZINC000178121579 1040928768 /nfs/dbraw/zinc/92/87/68/1040928768.db2.gz COZDXPYVVYNTMX-JHVJFLLYSA-N 0 3 323.436 4.192 20 0 DIADHN C[C@H](NCC(C)(C)C(C)(C)O)c1csc(-c2ccccc2)n1 ZINC000414155055 1040931695 /nfs/dbraw/zinc/93/16/95/1040931695.db2.gz UWSXJFIRFFFWHK-ZDUSSCGKSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414157626 1040938247 /nfs/dbraw/zinc/93/82/47/1040938247.db2.gz YEUNQSKWHODPNM-OLZOCXBDSA-N 0 3 304.459 4.010 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(CC)CC(CC)CC ZINC000613423128 1040941890 /nfs/dbraw/zinc/94/18/90/1040941890.db2.gz AJCJMVGIGMYZEE-UHFFFAOYSA-N 0 3 304.478 4.254 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(N2CCOC2=O)cc1)c1cccs1 ZINC000178173819 1040994208 /nfs/dbraw/zinc/99/42/08/1040994208.db2.gz NMNCVNHVBDYOSI-CHWSQXEVSA-N 0 3 316.426 4.117 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)C[C@@H]1CC1(Cl)Cl ZINC000808760962 1041014357 /nfs/dbraw/zinc/01/43/57/1041014357.db2.gz FXGPPUIZPAQYCG-GXTWGEPZSA-N 0 3 302.245 4.272 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(CC2CCCCC2)C2CC2)c1 ZINC000613502371 1041019310 /nfs/dbraw/zinc/01/93/10/1041019310.db2.gz JCSURNZRTXCCJC-UHFFFAOYSA-N 0 3 314.473 4.287 20 0 DIADHN CC[C@@H](NCc1cnn(C)c1C1CC1)c1cccc(Cl)c1 ZINC000892567196 1041026011 /nfs/dbraw/zinc/02/60/11/1041026011.db2.gz CGUVVHBAWMRRQW-MRXNPFEDSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@H](NCc1cn2ccnc2s1)c1cccc(Cl)c1 ZINC000892569281 1041032188 /nfs/dbraw/zinc/03/21/88/1041032188.db2.gz YYNYRPFIOJUMBK-AWEZNQCLSA-N 0 3 305.834 4.290 20 0 DIADHN C[C@@H](N[C@H]1CS[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000414231994 1041035056 /nfs/dbraw/zinc/03/50/56/1041035056.db2.gz POAFSXYEXVOORC-YRGRVCCFSA-N 0 3 304.484 4.355 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1csc(-c2ccccc2)n1 ZINC000414269055 1041067916 /nfs/dbraw/zinc/06/79/16/1041067916.db2.gz UYJJHYWOQPIEBW-ORIJERBGSA-N 0 3 316.470 4.274 20 0 DIADHN CN1CCN(c2cc(Cl)nc3ccsc32)C2(CCCC2)C1 ZINC001158408586 1041090952 /nfs/dbraw/zinc/09/09/52/1041090952.db2.gz KOUDSJYUNPSZOM-UHFFFAOYSA-N 0 3 321.877 4.014 20 0 DIADHN Cc1cc(F)ncc1CN[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000892602808 1041093424 /nfs/dbraw/zinc/09/34/24/1041093424.db2.gz DTVOYMGFCVJIFL-AWEZNQCLSA-N 0 3 302.393 4.167 20 0 DIADHN COC1([C@H](C)N[C@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000414305154 1041102465 /nfs/dbraw/zinc/10/24/65/1041102465.db2.gz NHHMQDWVMIWGDL-MNOVXSKESA-N 0 3 317.351 4.035 20 0 DIADHN CC(C)[C@@H](CCc1ccccc1)NCc1nnc(C2CC2)s1 ZINC000414322811 1041127973 /nfs/dbraw/zinc/12/79/73/1041127973.db2.gz HIWSYGJNUAEHEJ-MRXNPFEDSA-N 0 3 315.486 4.163 20 0 DIADHN COc1ccccc1[C@@H](CN[C@H](C)c1ccoc1)OC(C)C ZINC000186382298 1041139517 /nfs/dbraw/zinc/13/95/17/1041139517.db2.gz YEQHOURSBCRRCW-RDTXWAMCSA-N 0 3 303.402 4.105 20 0 DIADHN COc1ccccc1[C@@H](CN[C@@H](C)c1ccoc1)OC(C)C ZINC000186382264 1041139557 /nfs/dbraw/zinc/13/95/57/1041139557.db2.gz YEQHOURSBCRRCW-KBXCAEBGSA-N 0 3 303.402 4.105 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1cc(F)cc(F)c1 ZINC000176274069 1041150039 /nfs/dbraw/zinc/15/00/39/1041150039.db2.gz XRJLGXQZHDOTEV-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cc1F ZINC000176312564 1041151761 /nfs/dbraw/zinc/15/17/61/1041151761.db2.gz KRZGSCIHARGHSJ-KGLIPLIRSA-N 0 3 315.388 4.317 20 0 DIADHN C[C@@H](NCC(=O)NC(C)(C)C)c1ccc(Cl)c2ccccc21 ZINC000462476506 1041157535 /nfs/dbraw/zinc/15/75/35/1041157535.db2.gz TVLZASCJXMABMS-GFCCVEGCSA-N 0 3 318.848 4.059 20 0 DIADHN Cc1occc1CNCc1ccccc1CN(C)Cc1ccco1 ZINC000414355967 1041164073 /nfs/dbraw/zinc/16/40/73/1041164073.db2.gz CZFBCJIELUSUKI-UHFFFAOYSA-N 0 3 324.424 4.103 20 0 DIADHN Cc1ccc(-c2ccccc2)cc1-n1nc(CN)c2ccccc21 ZINC001167700439 1041172578 /nfs/dbraw/zinc/17/25/78/1041172578.db2.gz ITYQKLWCLWEBBO-UHFFFAOYSA-N 0 3 313.404 4.460 20 0 DIADHN C[C@H](N[C@H](CO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000102888221 1041174674 /nfs/dbraw/zinc/17/46/74/1041174674.db2.gz TVIZYNVIVHMOLM-BLLLJJGKSA-N 0 3 309.331 4.090 20 0 DIADHN CN(C)Cc1cnc(CN2CCC[C@@H]2CC2CCCCC2)s1 ZINC000809559048 1041187461 /nfs/dbraw/zinc/18/74/61/1041187461.db2.gz YJELXWAIBSBRGK-MRXNPFEDSA-N 0 3 321.534 4.140 20 0 DIADHN C[C@@H](O)c1ncc(CN2CCC[C@@H]2CCC2CCCC2)s1 ZINC000809596422 1041199801 /nfs/dbraw/zinc/19/98/01/1041199801.db2.gz ZKTQJENBPQFEOY-UKRRQHHQSA-N 0 3 308.491 4.131 20 0 DIADHN O=C(Nc1cscc1Cl)c1cccc(CN2CCCC2)c1 ZINC000636439422 1041204618 /nfs/dbraw/zinc/20/46/18/1041204618.db2.gz JZKVTXXMWFMZFR-UHFFFAOYSA-N 0 3 320.845 4.250 20 0 DIADHN O=C(C1CCN(CCCC2CCCCC2)CC1)C(F)(F)F ZINC000809643373 1041209170 /nfs/dbraw/zinc/20/91/70/1041209170.db2.gz SZFYCQGLZFGTBL-UHFFFAOYSA-N 0 3 305.384 4.190 20 0 DIADHN Cc1ccc([C@H](NCC[C@H]2CCSC2)c2ccccn2)cc1 ZINC000926780201 1041210028 /nfs/dbraw/zinc/21/00/28/1041210028.db2.gz XACYQWDMFWHPEZ-LPHOPBHVSA-N 0 3 312.482 4.212 20 0 DIADHN O[C@H](CN(Cc1ccsc1)Cc1ccccc1)c1ccccc1 ZINC000809699773 1041218164 /nfs/dbraw/zinc/21/81/64/1041218164.db2.gz WJRNGTMARDGZJW-HXUWFJFHSA-N 0 3 323.461 4.484 20 0 DIADHN CCc1ncc(CN[C@H](Cc2ccccc2)c2cccs2)cn1 ZINC000414390543 1041223031 /nfs/dbraw/zinc/22/30/31/1041223031.db2.gz JDLMKWUIYDSENU-QGZVFWFLSA-N 0 3 323.465 4.174 20 0 DIADHN CCN(Cc1ccncc1)Cc1cc(C)cc(Br)c1 ZINC000809727313 1041224350 /nfs/dbraw/zinc/22/43/50/1041224350.db2.gz WAIIYMIBDKPEOR-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN COc1cccc2cc(CN3CCC=C(c4ccco4)C3)oc21 ZINC000809688367 1041225362 /nfs/dbraw/zinc/22/53/62/1041225362.db2.gz JAQROPYFIQNODD-UHFFFAOYSA-N 0 3 309.365 4.324 20 0 DIADHN CCCCCC[C@H](CC)N[C@H](CC(=O)OC)c1ccccn1 ZINC001170585697 1041227669 /nfs/dbraw/zinc/22/76/69/1041227669.db2.gz GEZPKHHZFHEZEW-DOTOQJQBSA-N 0 3 306.450 4.024 20 0 DIADHN Cc1cc(CN(Cc2ccc(O)cc2)CC2CC2)cc(Cl)n1 ZINC000809737301 1041238557 /nfs/dbraw/zinc/23/85/57/1041238557.db2.gz FRFSGVAFUKFNMW-UHFFFAOYSA-N 0 3 316.832 4.161 20 0 DIADHN C[C@@H](NCc1nccn1C(F)F)C1(c2ccccc2)CCCC1 ZINC000187575744 1041247331 /nfs/dbraw/zinc/24/73/31/1041247331.db2.gz HEQJIRLZKLARPY-CQSZACIVSA-N 0 3 319.399 4.268 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)C(=O)C[C@H]1NCc2ccccc21 ZINC000659249747 1041276572 /nfs/dbraw/zinc/27/65/72/1041276572.db2.gz KQZBOPUHJDXINF-OXQOHEQNSA-N 0 3 322.452 4.048 20 0 DIADHN CC1(C)CN(C[C@H](O)CCc2ccccc2)[C@H]1c1cccs1 ZINC000557445132 1041280631 /nfs/dbraw/zinc/28/06/31/1041280631.db2.gz FYXAQTJXZZHMAI-AEFFLSMTSA-N 0 3 315.482 4.125 20 0 DIADHN CCCCN(C(=O)C[C@@H]1NCc2ccccc21)c1ccccc1 ZINC000659257240 1041280679 /nfs/dbraw/zinc/28/06/79/1041280679.db2.gz YRRFCATVCZNRNI-IBGZPJMESA-N 0 3 308.425 4.054 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000177579291 1041281698 /nfs/dbraw/zinc/28/16/98/1041281698.db2.gz ZLLPUPCFDBCWMJ-CALCHBBNSA-N 0 3 314.473 4.179 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)C[C@@H]1NCc2ccccc21)C(C)C ZINC000659263070 1041283635 /nfs/dbraw/zinc/28/36/35/1041283635.db2.gz JOOIGBNIWKGSQA-PZJWPPBQSA-N 0 3 322.452 4.043 20 0 DIADHN Fc1cccc(CCN2CC[C@@H](Oc3ccc(Cl)cc3)C2)c1 ZINC001170593437 1041290770 /nfs/dbraw/zinc/29/07/70/1041290770.db2.gz LPRNKLFMOMUIHO-GOSISDBHSA-N 0 3 319.807 4.175 20 0 DIADHN CC(C)n1cncc1CN[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000424148933 1041292141 /nfs/dbraw/zinc/29/21/41/1041292141.db2.gz VINHUCKIAITCFJ-KRWDZBQOSA-N 0 3 303.425 4.480 20 0 DIADHN c1ccc(-c2ncc(CN3CCC[C@H]3c3ccccc3)cn2)cc1 ZINC000613557850 1041296141 /nfs/dbraw/zinc/29/61/41/1041296141.db2.gz MEGGPBPUEBBNPW-FQEVSTJZSA-N 0 3 315.420 4.481 20 0 DIADHN COc1ccc([C@H](Cc2ccccc2)NC/C=C\Cl)cc1 ZINC000810074967 1041306655 /nfs/dbraw/zinc/30/66/55/1041306655.db2.gz BPXLULVSOZEPFE-KYDJUPTOSA-N 0 3 301.817 4.321 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1ccnn1C1CCC1 ZINC000924589669 1041308214 /nfs/dbraw/zinc/30/82/14/1041308214.db2.gz BZXJBKPLLQVEPO-YVEFUNNKSA-N 0 3 301.459 4.472 20 0 DIADHN Cc1cccc(C)c1[C@@H]1CCCN1C(=O)C[C@@H](N)c1ccccc1 ZINC000659337090 1041312562 /nfs/dbraw/zinc/31/25/62/1041312562.db2.gz PBEQNFHYLHROGF-MOPGFXCFSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1cccc(C)c1[C@@H]1CCCN1C(=O)C[C@H](N)c1ccccc1 ZINC000659337094 1041312635 /nfs/dbraw/zinc/31/26/35/1041312635.db2.gz PBEQNFHYLHROGF-OALUTQOASA-N 0 3 322.452 4.057 20 0 DIADHN COC(=O)c1ccc(CNCc2c(C)cc(Cl)cc2C)cc1 ZINC000589193728 1041320629 /nfs/dbraw/zinc/32/06/29/1041320629.db2.gz LNECIMGSDIQODK-UHFFFAOYSA-N 0 3 317.816 4.033 20 0 DIADHN C[C@@H](NCc1ccsc1)c1ccc(N2CCCCC2=O)cc1 ZINC000810179998 1041332028 /nfs/dbraw/zinc/33/20/28/1041332028.db2.gz KXHSCOBCJJAXGO-CQSZACIVSA-N 0 3 314.454 4.116 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1ccc(Br)nc1 ZINC000810217505 1041337353 /nfs/dbraw/zinc/33/73/53/1041337353.db2.gz FSVUWCOMNMVGGO-UJZCVKTISA-N 0 3 317.230 4.208 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NC[C@H]2CCCC[C@@H]2C)nc1C ZINC000589820447 1041354691 /nfs/dbraw/zinc/35/46/91/1041354691.db2.gz POSPXKSEDPXSAC-FPMFFAJLSA-N 0 3 324.490 4.105 20 0 DIADHN CCC[C@H](C)CC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000589879532 1041358704 /nfs/dbraw/zinc/35/87/04/1041358704.db2.gz GPSLQXDEAGBNJV-PKOBYXMFSA-N 0 3 316.489 4.156 20 0 DIADHN CCCCCC[C@H](CC)N1CCc2nc(C3CC3)ncc2C1 ZINC001170602320 1041359648 /nfs/dbraw/zinc/35/96/48/1041359648.db2.gz ZRJVBRIBKUWSED-KRWDZBQOSA-N 0 3 301.478 4.461 20 0 DIADHN CC(C)(C)c1ccc(O[C@H]2CNCCC2(F)F)c(Cl)c1 ZINC001218446962 1041369005 /nfs/dbraw/zinc/36/90/05/1041369005.db2.gz FPUOFTXHZNZJHS-ZDUSSCGKSA-N 0 3 303.780 4.014 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1ccnn1CC1CCC1 ZINC000924597677 1041380060 /nfs/dbraw/zinc/38/00/60/1041380060.db2.gz RJBYTUMLDHWSRM-MGPUTAFESA-N 0 3 309.457 4.485 20 0 DIADHN CC[C@H](NCc1cnc(CC(F)(F)F)s1)c1ccccc1 ZINC000892742942 1041393852 /nfs/dbraw/zinc/39/38/52/1041393852.db2.gz ZJFANMRDZONRAW-ZDUSSCGKSA-N 0 3 314.376 4.489 20 0 DIADHN Clc1ccc(C2(NCc3cn4ccnc4s3)CCC2)cc1 ZINC000892755310 1041395572 /nfs/dbraw/zinc/39/55/72/1041395572.db2.gz IMZKXHNQZFZYGX-UHFFFAOYSA-N 0 3 317.845 4.218 20 0 DIADHN COCCCC1(CNCc2cc(-c3ccccc3)on2)CCC1 ZINC000892786143 1041402153 /nfs/dbraw/zinc/40/21/53/1041402153.db2.gz CVFCWMYIICUWOJ-UHFFFAOYSA-N 0 3 314.429 4.028 20 0 DIADHN CC[C@H](NCc1cc[nH]c1)c1cc(F)cc(Br)c1 ZINC000362516880 1041408800 /nfs/dbraw/zinc/40/88/00/1041408800.db2.gz UJIREEGMNNDOFF-AWEZNQCLSA-N 0 3 311.198 4.157 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)CCO2 ZINC000053148932 1041442900 /nfs/dbraw/zinc/44/29/00/1041442900.db2.gz USQJUYLDLJTMKA-LLVKDONJSA-N 0 3 305.780 4.265 20 0 DIADHN FC(F)(F)[C@H]1C[C@H](C(F)(F)F)CN(CCC2CCC2)C1 ZINC000659852024 1041452845 /nfs/dbraw/zinc/45/28/45/1041452845.db2.gz LGGAEMMQUMPOJW-QWRGUYRKSA-N 0 3 303.290 4.239 20 0 DIADHN OCCC[C@H](NCc1ccc(C(F)F)c(F)c1)c1ccccc1 ZINC000893007163 1041456986 /nfs/dbraw/zinc/45/69/86/1041456986.db2.gz FVQNEWOKAUVPMT-KRWDZBQOSA-N 0 3 323.358 4.367 20 0 DIADHN Cc1nc(CN(CCc2ccccc2F)C2CCCC2)c(C)o1 ZINC000659858523 1041458153 /nfs/dbraw/zinc/45/81/53/1041458153.db2.gz ASXFKTBLRGLTOQ-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN CSc1cccc(CN2CCC(OC3CCCC3)CC2)c1 ZINC000659862574 1041467921 /nfs/dbraw/zinc/46/79/21/1041467921.db2.gz DPCFITQTESCIOB-UHFFFAOYSA-N 0 3 305.487 4.332 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C3CC3)c2)cc1C ZINC001170623008 1041473293 /nfs/dbraw/zinc/47/32/93/1041473293.db2.gz HZCFCLJTYVPAIJ-MRXNPFEDSA-N 0 3 322.452 4.470 20 0 DIADHN Cc1nc(CNC2CC(c3ccc(F)cc3F)C2)sc1C ZINC000893063723 1041473571 /nfs/dbraw/zinc/47/35/71/1041473571.db2.gz GEKPJBMKTKDIKS-UHFFFAOYSA-N 0 3 308.397 4.074 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C3CC3)c2)cc1C ZINC001170623007 1041473808 /nfs/dbraw/zinc/47/38/08/1041473808.db2.gz HZCFCLJTYVPAIJ-INIZCTEOSA-N 0 3 322.452 4.470 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)c(Cl)c1)C(C)(C)C ZINC001170623433 1041478096 /nfs/dbraw/zinc/47/80/96/1041478096.db2.gz PUTQOEVUMVBZRE-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN CC(C)c1nnc(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)s1 ZINC000893101197 1041488410 /nfs/dbraw/zinc/48/84/10/1041488410.db2.gz KGBDMIMDVVYBAU-GHMZBOCLSA-N 0 3 321.412 4.120 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)s1 ZINC000811467027 1041521464 /nfs/dbraw/zinc/52/14/64/1041521464.db2.gz CUEOSMHGXQZZFU-JSGCOSHPSA-N 0 3 323.483 4.151 20 0 DIADHN CCC1(CC)[C@H](NCc2ccnc(Cl)c2Cl)C[C@@H]1OC ZINC000811484957 1041523482 /nfs/dbraw/zinc/52/34/82/1041523482.db2.gz QOICMOVOUQWZSB-NEPJUHHUSA-N 0 3 317.260 4.072 20 0 DIADHN Clc1nccc(CN[C@@H]2C[C@@H]2Cc2ccccc2)c1Cl ZINC000811481237 1041528574 /nfs/dbraw/zinc/52/85/74/1041528574.db2.gz SBXYGMYRQASUSS-UONOGXRCSA-N 0 3 307.224 4.109 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1cc(C2CC2)no1 ZINC000659939774 1041548737 /nfs/dbraw/zinc/54/87/37/1041548737.db2.gz AVTDFTZZWZLIAV-GFCCVEGCSA-N 0 3 304.821 4.268 20 0 DIADHN COc1cccc(SCCN2CCC(C(F)(F)F)CC2)c1 ZINC000659977191 1041566591 /nfs/dbraw/zinc/56/65/91/1041566591.db2.gz XBPIXPKBGYSZII-UHFFFAOYSA-N 0 3 319.392 4.062 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1nc2ccccc2o1)c1ccccc1 ZINC000363857303 1041581340 /nfs/dbraw/zinc/58/13/40/1041581340.db2.gz KQGANFDKGJIPFA-ROUUACIJSA-N 0 3 322.408 4.088 20 0 DIADHN C[C@H]1CN(Cc2cccc(OCc3ccc(F)cc3F)c2)[C@H]1C ZINC001170670622 1041604431 /nfs/dbraw/zinc/60/44/31/1041604431.db2.gz XKSKJVZZZFRIOE-KBPBESRZSA-N 0 3 317.379 4.384 20 0 DIADHN CO[C@H](CNC1(c2nc(C)cs2)CCCC1)C1CCCC1 ZINC000660089850 1041607129 /nfs/dbraw/zinc/60/71/29/1041607129.db2.gz KREDUSMNENJLFG-OAHLLOKOSA-N 0 3 308.491 4.016 20 0 DIADHN CO[C@@H](CN1CCCC[C@@H]1c1nc2ccccc2o1)C1CCC1 ZINC000660102388 1041615590 /nfs/dbraw/zinc/61/55/90/1041615590.db2.gz ZPBZTPDMSLFHFH-AEFFLSMTSA-N 0 3 314.429 4.170 20 0 DIADHN Cc1cc(C)c(-n2c(-c3ccccc3)cnc2[C@H](C)N)c(C)c1 ZINC001170680598 1041631254 /nfs/dbraw/zinc/63/12/54/1041631254.db2.gz APWCYECREAXKTF-INIZCTEOSA-N 0 3 305.425 4.484 20 0 DIADHN CC(C)Cc1ccc(CN[C@H](c2ccccc2)[C@H]2CCOC2)cn1 ZINC000893289860 1041632317 /nfs/dbraw/zinc/63/23/17/1041632317.db2.gz DVRUZSMBVPAIEO-PZJWPPBQSA-N 0 3 324.468 4.148 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2[nH]ccc2c1 ZINC001170682193 1041636966 /nfs/dbraw/zinc/63/69/66/1041636966.db2.gz XOMMWWDGJQNFFF-ZDUSSCGKSA-N 0 3 302.381 4.040 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1cccc(F)c1 ZINC000584687697 1041642466 /nfs/dbraw/zinc/64/24/66/1041642466.db2.gz JDSVISDLBBHZHT-KRWDZBQOSA-N 0 3 301.409 4.283 20 0 DIADHN COC(=O)c1cc(CN(C(C)C)[C@H](C)c2ccccc2)c(C)o1 ZINC000584696842 1041645253 /nfs/dbraw/zinc/64/52/53/1041645253.db2.gz DZEUUGWGFKLZNZ-CQSZACIVSA-N 0 3 315.413 4.346 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNCCCCF ZINC000812773752 1041645819 /nfs/dbraw/zinc/64/58/19/1041645819.db2.gz PWNSHJDNCRIEQC-UHFFFAOYSA-N 0 3 323.843 4.488 20 0 DIADHN CO[C@H](CN[C@H](CC(F)(F)F)c1ccc(F)cc1)C1CCC1 ZINC000660190353 1041657772 /nfs/dbraw/zinc/65/77/72/1041657772.db2.gz TUEINWXGPCTLCW-HUUCEWRRSA-N 0 3 319.342 4.224 20 0 DIADHN c1ccc([C@H](C[C@H]2CCOC2)N[C@H]2COCc3ccccc32)cc1 ZINC000417368990 1041665320 /nfs/dbraw/zinc/66/53/20/1041665320.db2.gz RPCDWGAVKUXENS-CZAAIQMYSA-N 0 3 323.436 4.015 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@H]1N[C@@H]1COCc2ccccc21 ZINC000417370859 1041667087 /nfs/dbraw/zinc/66/70/87/1041667087.db2.gz UROLGEURGGBEGL-WOJBJXKFSA-N 0 3 318.420 4.406 20 0 DIADHN CCc1ccc([C@H](NC[C@@H](C)SC)c2cccnc2)cc1 ZINC000925602829 1041670235 /nfs/dbraw/zinc/67/02/35/1041670235.db2.gz XCMUXQSXFJUKGR-KDOFPFPSSA-N 0 3 300.471 4.075 20 0 DIADHN Fc1ccc2c(c1)CN([C@@H]1CCc3cc(F)cc(F)c3C1)CC2 ZINC001170700832 1041686760 /nfs/dbraw/zinc/68/67/60/1041686760.db2.gz NITDWFDYFXRGNC-QGZVFWFLSA-N 0 3 317.354 4.020 20 0 DIADHN CC1(C)Cc2cc(CN[C@@H]3CCCOc4ccccc43)ccc2O1 ZINC000236696007 1041687512 /nfs/dbraw/zinc/68/75/12/1041687512.db2.gz HGXYDKNKLJPWNX-GOSISDBHSA-N 0 3 323.436 4.404 20 0 DIADHN CN(Cc1ccccc1F)[C@@H]1CCc2cc(F)cc(F)c2C1 ZINC001170699874 1041689747 /nfs/dbraw/zinc/68/97/47/1041689747.db2.gz KDUCKLGFSJHUOQ-OAHLLOKOSA-N 0 3 305.343 4.093 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](C)c1ccc(F)c2ccccc21 ZINC000584851272 1041690812 /nfs/dbraw/zinc/69/08/12/1041690812.db2.gz WRRARVXNBGOGOR-KGLIPLIRSA-N 0 3 309.388 4.489 20 0 DIADHN CO[C@@H](CN[C@H](c1ncc(C)s1)C1CC1)C1CCCCC1 ZINC000660253544 1041691865 /nfs/dbraw/zinc/69/18/65/1041691865.db2.gz IRZFFJRWLRNAIQ-HOTGVXAUSA-N 0 3 308.491 4.088 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3ccc(Cl)cn3)C2)cc1 ZINC000584875079 1041697957 /nfs/dbraw/zinc/69/79/57/1041697957.db2.gz ZBCLQMHZZXXKDI-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN CCn1cncc1CNCc1cccc(-c2cccc(C)c2)c1 ZINC000417898148 1041709525 /nfs/dbraw/zinc/70/95/25/1041709525.db2.gz YKZPJKQTKXVDBF-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCC1CC=CC1)c1ccccc1 ZINC000660315177 1041710135 /nfs/dbraw/zinc/71/01/35/1041710135.db2.gz OERUCYIFFOGWBQ-HXUWFJFHSA-N 0 3 317.436 4.219 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCC1CC=CC1)c1ccccc1 ZINC000660315168 1041710535 /nfs/dbraw/zinc/71/05/35/1041710535.db2.gz OERUCYIFFOGWBQ-FQEVSTJZSA-N 0 3 317.436 4.219 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCCN(C)c3ccccc32)c(C)n1 ZINC000651465723 1041720222 /nfs/dbraw/zinc/72/02/22/1041720222.db2.gz UFGKINROGWYOMK-VQIMIIECSA-N 0 3 309.457 4.320 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(C)c3ccccc32)c(C)n1 ZINC000651465722 1041720662 /nfs/dbraw/zinc/72/06/62/1041720662.db2.gz UFGKINROGWYOMK-QFBILLFUSA-N 0 3 309.457 4.320 20 0 DIADHN Cc1cccc2ncc(CN[C@H](C)c3ccc(C4CC4)cc3)n21 ZINC000418118649 1041726788 /nfs/dbraw/zinc/72/67/88/1041726788.db2.gz WIZXSYOLPOBAFQ-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN C[C@@H](NCc1ccc([S@](C)=O)cc1)c1ccc(C2CC2)cc1 ZINC000418118934 1041728626 /nfs/dbraw/zinc/72/86/26/1041728626.db2.gz WQKGYGHXZLOVJR-PEBXRYMYSA-N 0 3 313.466 4.152 20 0 DIADHN CCOc1ccc(CNCc2ccccc2CC(F)(F)F)cc1 ZINC000623820161 1041732150 /nfs/dbraw/zinc/73/21/50/1041732150.db2.gz MEAYTSUYKRSOFR-UHFFFAOYSA-N 0 3 323.358 4.480 20 0 DIADHN C[C@@H](N[C@H](C)c1cccnc1)c1ccc(OC(F)(F)F)cc1 ZINC000120383528 1041732254 /nfs/dbraw/zinc/73/22/54/1041732254.db2.gz OXLCKPICONTRDI-VXGBXAGGSA-N 0 3 310.319 4.392 20 0 DIADHN CCOc1ccc(C[C@H](C)CN[C@@H](C)c2cscn2)cc1 ZINC000651489957 1041747739 /nfs/dbraw/zinc/74/77/39/1041747739.db2.gz SMPLHVXTGYVGRQ-KBPBESRZSA-N 0 3 304.459 4.071 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H](C)CCCO ZINC000192635551 1041750281 /nfs/dbraw/zinc/75/02/81/1041750281.db2.gz FYYFRFQTAUERLI-LBPRGKRZSA-N 0 3 301.883 4.091 20 0 DIADHN Cc1nc([C@@H](C)NCCCn2ccc3ccccc32)c(C)s1 ZINC000314395903 1041757756 /nfs/dbraw/zinc/75/77/56/1041757756.db2.gz SYUYCCLAZGTJPL-CYBMUJFWSA-N 0 3 313.470 4.456 20 0 DIADHN FC(F)(F)Cc1ccccc1CNCc1ccc2c(c1)COC2 ZINC000623821048 1041762808 /nfs/dbraw/zinc/76/28/08/1041762808.db2.gz DCPNLDGDPPXPPJ-UHFFFAOYSA-N 0 3 321.342 4.111 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N[C@@H](CO)c3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001170725276 1041768149 /nfs/dbraw/zinc/76/81/49/1041768149.db2.gz XFIBWLYANBIDLS-QJZXMWHDSA-N 0 3 314.256 4.051 20 0 DIADHN Oc1ccc(CNC2(c3ccc(C(F)(F)F)cc3)CC2)cc1 ZINC000652056701 1041769653 /nfs/dbraw/zinc/76/96/53/1041769653.db2.gz FUDPDBZLCDWJBJ-UHFFFAOYSA-N 0 3 307.315 4.190 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N[C@@H](Cc3ccccc3)c3nccs3)C[C@@H]21 ZINC001170725841 1041770065 /nfs/dbraw/zinc/77/00/65/1041770065.db2.gz BFTZTODJJUIIII-NXOAAHMSSA-N 0 3 312.482 4.451 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccccc1OC1CCCC1)C2 ZINC000652063599 1041772075 /nfs/dbraw/zinc/77/20/75/1041772075.db2.gz PSGADKIKPGANRD-QGZVFWFLSA-N 0 3 307.384 4.239 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)c2ccsc2)C2CCCC2)o1 ZINC000756595508 1041773274 /nfs/dbraw/zinc/77/32/74/1041773274.db2.gz WFNPBDNTPZZDAQ-RDJZCZTQSA-N 0 3 305.443 4.204 20 0 DIADHN C[C@H](c1ccco1)N(C[C@H](O)c1ccc(Cl)c(F)c1)C1CC1 ZINC000759847209 1041774766 /nfs/dbraw/zinc/77/47/66/1041774766.db2.gz FYDUWPPDJQNKFY-BZNIZROVSA-N 0 3 323.795 4.331 20 0 DIADHN CCC[C@H](C)N1Cc2ccc(NC(=S)NC3CCC3)cc2C1 ZINC000814197055 1041785147 /nfs/dbraw/zinc/78/51/47/1041785147.db2.gz QWARHFQPMFIMPN-ZDUSSCGKSA-N 0 3 317.502 4.030 20 0 DIADHN CC/C(C)=C(/C)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000780928700 1041790598 /nfs/dbraw/zinc/79/05/98/1041790598.db2.gz WETROTWNOSBHDS-MBWHEXEYSA-N 0 3 314.473 4.076 20 0 DIADHN CC/C(C)=C(\C)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000780928698 1041791439 /nfs/dbraw/zinc/79/14/39/1041791439.db2.gz WETROTWNOSBHDS-BMINWGARSA-N 0 3 314.473 4.076 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@H]2C[C@H]2C1)c1nc(C(F)(F)F)cs1 ZINC000660433097 1041792553 /nfs/dbraw/zinc/79/25/53/1041792553.db2.gz TYJSMVYBINCXSA-ZRUFSTJUSA-N 0 3 304.381 4.249 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3ccc(C)cc32)c(OC)c1 ZINC000154195475 1041796634 /nfs/dbraw/zinc/79/66/34/1041796634.db2.gz IJVBGGBFEJCAKF-AUUYWEPGSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1ccc(OCCCCNCc2nc3ccccc3o2)cc1 ZINC000613750438 1041817026 /nfs/dbraw/zinc/81/70/26/1041817026.db2.gz JZDQVVYCUOWWCT-UHFFFAOYSA-N 0 3 310.397 4.085 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N(C)CC1CCC1 ZINC000111297505 1041817526 /nfs/dbraw/zinc/81/75/26/1041817526.db2.gz WVIHXBQCHPVLKE-OAHLLOKOSA-N 0 3 302.462 4.177 20 0 DIADHN CSc1cccc(CN2CCC(F)(c3ccccn3)CC2)c1 ZINC000660496777 1041818642 /nfs/dbraw/zinc/81/86/42/1041818642.db2.gz KBXHYQPPJVNTJN-UHFFFAOYSA-N 0 3 316.445 4.264 20 0 DIADHN COc1cccc(CN2CCC[C@@H](c3ccncc3)C2)c1Cl ZINC000660550141 1041831485 /nfs/dbraw/zinc/83/14/85/1041831485.db2.gz APCNNABFGPNACM-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCN(Cc1nsc2ccccc21)[C@H]1CCOC(C)(C)C1 ZINC000660562229 1041835083 /nfs/dbraw/zinc/83/50/83/1041835083.db2.gz DRTMKAFWHXXKNV-ZDUSSCGKSA-N 0 3 304.459 4.076 20 0 DIADHN c1cncc([C@@H](NCc2ccc3c(c2)OCO3)C2CCCCC2)c1 ZINC000652133299 1041840806 /nfs/dbraw/zinc/84/08/06/1041840806.db2.gz MDMBQSNMLWSYHE-FQEVSTJZSA-N 0 3 324.424 4.222 20 0 DIADHN COc1ccc([C@@H](NCC2CCCCC2)c2ccccn2)cc1 ZINC000652132904 1041841018 /nfs/dbraw/zinc/84/10/18/1041841018.db2.gz AHANNGTYXRZXNO-HXUWFJFHSA-N 0 3 310.441 4.350 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N[C@H]3CC4CCC3CC4)o2)c1 ZINC000814652795 1041844560 /nfs/dbraw/zinc/84/45/60/1041844560.db2.gz LTQUTMVWDGTZMU-GIOUXUJVSA-N 0 3 311.429 4.274 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccccc1C1CC1)c1cccs1 ZINC000651590999 1041848109 /nfs/dbraw/zinc/84/81/09/1041848109.db2.gz BLFMCYYLYSFKBN-GOSISDBHSA-N 0 3 315.482 4.475 20 0 DIADHN O[C@@H](CN1CC[C@@H](c2cccc(Cl)c2)C1)c1cccc(F)c1 ZINC000814666932 1041850506 /nfs/dbraw/zinc/85/05/06/1041850506.db2.gz LMMZCBCSWWVCQN-QAPCUYQASA-N 0 3 319.807 4.002 20 0 DIADHN C[C@H]1CCCCC[C@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654907 1041866210 /nfs/dbraw/zinc/86/62/10/1041866210.db2.gz HBMBUPWBBXVKBL-QFBILLFUSA-N 0 3 316.489 4.024 20 0 DIADHN CC(C)c1ccccc1OCCNCc1cc2cnccc2o1 ZINC000651716889 1041891426 /nfs/dbraw/zinc/89/14/26/1041891426.db2.gz MNLMYQVOAJUHHH-UHFFFAOYSA-N 0 3 310.397 4.120 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1cc2cnccc2o1 ZINC000651729145 1041896379 /nfs/dbraw/zinc/89/63/79/1041896379.db2.gz XQOZQNIICAGCRU-BLVKFPJESA-N 0 3 310.372 4.380 20 0 DIADHN CC[C@]1(C)CCC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000651735446 1041898320 /nfs/dbraw/zinc/89/83/20/1041898320.db2.gz QSYIQNKXZRMMDV-RHSMWYFYSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1ccc(CN(C[C@H](O)c2cccc3ccccc32)C2CC2)o1 ZINC000815018669 1041904444 /nfs/dbraw/zinc/90/44/44/1041904444.db2.gz XKMYONXCIOGCFI-NRFANRHFSA-N 0 3 321.420 4.439 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccnc(Br)c2)cc1 ZINC000815019780 1041904523 /nfs/dbraw/zinc/90/45/23/1041904523.db2.gz NERJAESNXHDRAP-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN CC(C)[C@@H](NCc1ccnc(Br)c1)c1ccccc1 ZINC000815014271 1041905203 /nfs/dbraw/zinc/90/52/03/1041905203.db2.gz IXZFELSTWVYTRC-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2ccccc2Cl)ncn1 ZINC000661211956 1041907244 /nfs/dbraw/zinc/90/72/44/1041907244.db2.gz ZCOKQSWRQMHTJH-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CC[C@H](NCc1ccnc(Br)c1)c1ccc(C)cc1 ZINC000815080715 1041910982 /nfs/dbraw/zinc/91/09/82/1041910982.db2.gz VZZPERWIYQWUAJ-HNNXBMFYSA-N 0 3 319.246 4.393 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651783255 1041911000 /nfs/dbraw/zinc/91/10/00/1041911000.db2.gz VVRHHXGPRYURRV-MRXNPFEDSA-N 0 3 312.457 4.340 20 0 DIADHN COCCCC[C@@H](NCc1cc2cnccc2o1)c1ccccc1 ZINC000651790723 1041912832 /nfs/dbraw/zinc/91/28/32/1041912832.db2.gz JAKVMCXAYAZADI-LJQANCHMSA-N 0 3 324.424 4.475 20 0 DIADHN Cc1sccc1CN[C@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000815119448 1041914661 /nfs/dbraw/zinc/91/46/61/1041914661.db2.gz YBZWHCUWZOXTPP-CYBMUJFWSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1csc(CNCc2cn(-c3cccc(C)c3)nc2C)c1 ZINC000651821072 1041924026 /nfs/dbraw/zinc/92/40/26/1041924026.db2.gz BNHNXHCUYMDQBF-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2ccc(-c3ccccc3)cn2)C1 ZINC000651828932 1041926427 /nfs/dbraw/zinc/92/64/27/1041926427.db2.gz GYTHTSMPQUTEEX-LZQZEXGQSA-N 0 3 310.441 4.355 20 0 DIADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651844712 1041931978 /nfs/dbraw/zinc/93/19/78/1041931978.db2.gz SDZHEGDCUZQGSQ-FRQCXROJSA-N 0 3 312.457 4.196 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)CCOCC1CCCC1 ZINC001170782749 1041942694 /nfs/dbraw/zinc/94/26/94/1041942694.db2.gz IPXIAUUOTDWDBM-GOSISDBHSA-N 0 3 305.462 4.285 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1ncc(-c2cccc(F)c2)o1 ZINC000661525134 1041947485 /nfs/dbraw/zinc/94/74/85/1041947485.db2.gz MOTMKYRZDMGTFW-SCLBCKFNSA-N 0 3 322.383 4.299 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1ncc(-c2ccccc2F)o1 ZINC000661525713 1041948202 /nfs/dbraw/zinc/94/82/02/1041948202.db2.gz RTMYMHIQLDAPPO-SCLBCKFNSA-N 0 3 322.383 4.299 20 0 DIADHN CC[C@H]1CN(CCCC2CCCCC2)CCN1CC(F)F ZINC000561949873 1041949104 /nfs/dbraw/zinc/94/91/04/1041949104.db2.gz MIQYYCINEAMZRO-INIZCTEOSA-N 0 3 302.453 4.008 20 0 DIADHN FCCCCCN1CCc2nc(-c3ccccc3)sc2C1 ZINC000661542698 1041950289 /nfs/dbraw/zinc/95/02/89/1041950289.db2.gz YOYGLKIAGGNLGU-UHFFFAOYSA-N 0 3 304.434 4.308 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2ccc(F)cc2C)cn1 ZINC000815561329 1041963305 /nfs/dbraw/zinc/96/33/05/1041963305.db2.gz DPJVRTRSKDUERG-HNNXBMFYSA-N 0 3 315.436 4.226 20 0 DIADHN COC(C)(C)[C@@H](C)NCc1nc(-c2ccc(C)cc2)cs1 ZINC000815561945 1041964134 /nfs/dbraw/zinc/96/41/34/1041964134.db2.gz TVXWIZWHJSKYAU-CYBMUJFWSA-N 0 3 304.459 4.022 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc(NC(N)=O)cc1 ZINC000815579696 1041965865 /nfs/dbraw/zinc/96/58/65/1041965865.db2.gz SINLFQAUSSXRIU-STQMWFEESA-N 0 3 315.392 4.037 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H](C)c1cccc(C2CC2)c1 ZINC000815581545 1041966244 /nfs/dbraw/zinc/96/62/44/1041966244.db2.gz JDBKYXDRFSGIDE-ORAYPTAESA-N 0 3 307.441 4.391 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CCC[C@H](CCF)C1 ZINC000661692981 1041966543 /nfs/dbraw/zinc/96/65/43/1041966543.db2.gz JPRBBGUYYRWWNI-LSDHHAIUSA-N 0 3 302.393 4.474 20 0 DIADHN C[C@@H](N[C@H]1CCc2sc(Br)cc21)c1ccc[nH]1 ZINC000815599232 1041970189 /nfs/dbraw/zinc/97/01/89/1041970189.db2.gz OBSPBRUKMJHDED-KCJUWKMLSA-N 0 3 311.248 4.177 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000815597926 1041970987 /nfs/dbraw/zinc/97/09/87/1041970987.db2.gz JAFKEONLPOCYSK-OKHZJLECSA-N 0 3 319.445 4.283 20 0 DIADHN Cc1ccccc1-c1noc(CN(C2CC2)C2CCCCC2)n1 ZINC000047903745 1041975186 /nfs/dbraw/zinc/97/51/86/1041975186.db2.gz OOKWFWCGFUGPTN-UHFFFAOYSA-N 0 3 311.429 4.342 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(F)c2ccccc21)C(F)(F)F ZINC000815605449 1041979895 /nfs/dbraw/zinc/97/98/95/1041979895.db2.gz UYAOGWJVQOYBCE-MEBBXXQBSA-N 0 3 315.310 4.207 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@@H](C)COCC2CC2)c1 ZINC000652285765 1041989739 /nfs/dbraw/zinc/98/97/39/1041989739.db2.gz FGJJFDCRTSTBME-QWHCGFSZSA-N 0 3 313.388 4.062 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H](CC)C3CC3)co2)cc1 ZINC000661762927 1041992646 /nfs/dbraw/zinc/99/26/46/1041992646.db2.gz XLDHDRHEPZABTH-KRWDZBQOSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cnc(OC)c(Cl)c2)c1 ZINC000652306825 1041997590 /nfs/dbraw/zinc/99/75/90/1041997590.db2.gz FHIJZNZJROHAFE-LBPRGKRZSA-N 0 3 304.821 4.157 20 0 DIADHN C[C@H](NCCC1(CO)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000652310503 1041999937 /nfs/dbraw/zinc/99/99/37/1041999937.db2.gz JLWOJYDOTJULTM-JTQLQIEISA-N 0 3 320.235 4.336 20 0 DIADHN c1ccc2c(CN3CCC[C@@H]([C@H]4CCCCO4)C3)nsc2c1 ZINC000661874149 1042012301 /nfs/dbraw/zinc/01/23/01/1042012301.db2.gz LDRURFZCIRIDNM-RHSMWYFYSA-N 0 3 316.470 4.077 20 0 DIADHN COc1ccc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)cc1Cl ZINC000661878197 1042014509 /nfs/dbraw/zinc/01/45/09/1042014509.db2.gz MHAYWCBLUHEWGN-WBVHZDCISA-N 0 3 323.864 4.130 20 0 DIADHN O=C1CCCC12CCN([C@H]1CCCc3ccc(F)cc31)CC2 ZINC000661958690 1042029929 /nfs/dbraw/zinc/02/99/29/1042029929.db2.gz WUAFRALALBSANY-KRWDZBQOSA-N 0 3 301.405 4.038 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCC2(CCCC2=O)CC1 ZINC000661953374 1042030509 /nfs/dbraw/zinc/03/05/09/1042030509.db2.gz BRAJSAXSPYXKRC-ZDUSSCGKSA-N 0 3 323.383 4.184 20 0 DIADHN C[C@H](NCc1ncc(-c2cccs2)o1)C1CCC(F)CC1 ZINC000662006166 1042041186 /nfs/dbraw/zinc/04/11/86/1042041186.db2.gz QIBCALLVAUMBQU-HIFPTAJRSA-N 0 3 308.422 4.410 20 0 DIADHN C[C@H](CN1CCC(c2ccon2)CC1)c1cccc(Cl)c1 ZINC000662047755 1042048103 /nfs/dbraw/zinc/04/81/03/1042048103.db2.gz ADKDUVDFFBQNIN-CYBMUJFWSA-N 0 3 304.821 4.311 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC(c2ccon2)CC1 ZINC000662048318 1042048210 /nfs/dbraw/zinc/04/82/10/1042048210.db2.gz RWLGLSZDRIJUKV-KRWDZBQOSA-N 0 3 302.805 4.195 20 0 DIADHN c1cc(C2CCN(CCOc3ccc4ccccc4c3)CC2)no1 ZINC000662045499 1042048378 /nfs/dbraw/zinc/04/83/78/1042048378.db2.gz XWAZUTBGWAIADT-UHFFFAOYSA-N 0 3 322.408 4.086 20 0 DIADHN CCc1nocc1CN1CCC(Cc2ccc(C)c(C)c2)CC1 ZINC001170826128 1042054816 /nfs/dbraw/zinc/05/48/16/1042054816.db2.gz FXAXOCMZNRCSQQ-UHFFFAOYSA-N 0 3 312.457 4.309 20 0 DIADHN Brc1csc(CNCc2cc3ccccc3[nH]2)c1 ZINC000058474001 1042070428 /nfs/dbraw/zinc/07/04/28/1042070428.db2.gz DMKBHOORQIESFE-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(Oc2ccccc2)nn1 ZINC000662130311 1042071008 /nfs/dbraw/zinc/07/10/08/1042071008.db2.gz QMQQWVNGATVUFW-ZXFUBFMLSA-N 0 3 309.413 4.032 20 0 DIADHN c1ccc(C(NCC2SCCS2)c2ccccc2)cc1 ZINC000692856772 1042077596 /nfs/dbraw/zinc/07/75/96/1042077596.db2.gz RMVLIFTXWMUQSA-UHFFFAOYSA-N 0 3 301.480 4.172 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)NC[C@@H](C)N(C)Cc1ccccc1 ZINC001126212956 1042089339 /nfs/dbraw/zinc/08/93/39/1042089339.db2.gz YUQIRPCZBIVNDS-IAGOWNOFSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H](CNC(=O)[C@@H](C)CCC(C)(C)C)N(C)Cc1ccccc1 ZINC001126212954 1042089808 /nfs/dbraw/zinc/08/98/08/1042089808.db2.gz YUQIRPCZBIVNDS-DLBZAZTESA-N 0 3 318.505 4.086 20 0 DIADHN Brc1csc([C@H](NCCC2CCC2)C2CC2)n1 ZINC000817579681 1042116446 /nfs/dbraw/zinc/11/64/46/1042116446.db2.gz DMYPPAZWVAHQTG-GFCCVEGCSA-N 0 3 315.280 4.137 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662212462 1042091070 /nfs/dbraw/zinc/09/10/70/1042091070.db2.gz AFFOTYOIRNOPRW-INIZCTEOSA-N 0 3 306.409 4.083 20 0 DIADHN COc1ccccc1-c1ncc(CN2C3CCCC2CCC3)cn1 ZINC000644832957 1042099486 /nfs/dbraw/zinc/09/94/86/1042099486.db2.gz IOVWCHYYNDDRQX-UHFFFAOYSA-N 0 3 323.440 4.059 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]2CC[C@@H]1O2)c1ccccc1OCc1ccccc1 ZINC000483136074 1042106363 /nfs/dbraw/zinc/10/63/63/1042106363.db2.gz HFXRAXCMRQAVOZ-WELBPKCISA-N 0 3 323.436 4.236 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)[C@H](C)c2ccccc2)cs1 ZINC000165220551 1042114678 /nfs/dbraw/zinc/11/46/78/1042114678.db2.gz ZUFMQOWAZYBQHJ-MELADBBJSA-N 0 3 304.459 4.132 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H](C)C[C@@H](O)c1ccco1 ZINC000817578231 1042115847 /nfs/dbraw/zinc/11/58/47/1042115847.db2.gz IHYDWPZHUNBMOB-SLEUVZQESA-N 0 3 323.820 4.104 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@H](C)c1ccncc1Cl ZINC000817577524 1042116152 /nfs/dbraw/zinc/11/61/52/1042116152.db2.gz ALBCNKDMGUSNEC-QFSBIZTOSA-N 0 3 322.811 4.037 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H]2CCC[C@@H]2c2ccccc2)cs1 ZINC000165235022 1042120813 /nfs/dbraw/zinc/12/08/13/1042120813.db2.gz WPHOJSNNPWPATH-IAOVAPTHSA-N 0 3 316.470 4.276 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C/C2CCCCCC2)c1 ZINC001135964055 1042121692 /nfs/dbraw/zinc/12/16/92/1042121692.db2.gz MQCJVFNPKZQNIW-OUKQBFOZSA-N 0 3 300.446 4.213 20 0 DIADHN CCn1nccc1CNC/C(C)=C/c1ccccc1C(F)(F)F ZINC000663349589 1042128056 /nfs/dbraw/zinc/12/80/56/1042128056.db2.gz LXVJHUBLHWWAOH-JLHYYAGUSA-N 0 3 323.362 4.115 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(-c3ccccc3)nc2)CC12CCC2 ZINC000644835410 1042133003 /nfs/dbraw/zinc/13/30/03/1042133003.db2.gz XOLCASSSERRKLQ-GOSISDBHSA-N 0 3 307.441 4.154 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(Cl)nc1 ZINC000817967224 1042133462 /nfs/dbraw/zinc/13/34/62/1042133462.db2.gz FTEHYKTWFJQZNV-BDJLRTHQSA-N 0 3 301.821 4.045 20 0 DIADHN O=C(c1cccc2c1CNC2)N1CCCCC[C@@H]1c1ccccc1 ZINC000662481963 1042133884 /nfs/dbraw/zinc/13/38/84/1042133884.db2.gz GCLLFTNYSLTQJT-HXUWFJFHSA-N 0 3 320.436 4.047 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CCCSCC2)c1 ZINC000781338416 1042133938 /nfs/dbraw/zinc/13/39/38/1042133938.db2.gz UJFZURJSGBHAAZ-UHFFFAOYSA-N 0 3 307.406 4.237 20 0 DIADHN CC(C)[C@@H](C(=O)N(C)c1ccc2ccccc2c1)N1CCCCC1 ZINC001136086053 1042136958 /nfs/dbraw/zinc/13/69/58/1042136958.db2.gz YAQWLIIWMYBPPU-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN CC(C)[C@H](C(=O)N(C)c1ccc2ccccc2c1)N1CCCCC1 ZINC001136086054 1042137330 /nfs/dbraw/zinc/13/73/30/1042137330.db2.gz YAQWLIIWMYBPPU-HXUWFJFHSA-N 0 3 324.468 4.313 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1nnc(C)o1 ZINC000066900102 1042140025 /nfs/dbraw/zinc/14/00/25/1042140025.db2.gz ZKDHTJGOFSTESS-KRWDZBQOSA-N 0 3 301.434 4.001 20 0 DIADHN CSc1c(Cl)c(C)ccc1-c1cncc(CN(C)C)c1 ZINC001245836182 1042145354 /nfs/dbraw/zinc/14/53/54/1042145354.db2.gz QSALDBYEBQJMNK-UHFFFAOYSA-N 0 3 306.862 4.494 20 0 DIADHN COc1cccc(F)c1CN[C@@H]1CCc2cccc(Cl)c2C1 ZINC001170895436 1042146276 /nfs/dbraw/zinc/14/62/76/1042146276.db2.gz DTBSKQHYFFGUAQ-CYBMUJFWSA-N 0 3 319.807 4.135 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)C[C@@H](O)c2ccco2)c(Cl)c1 ZINC000818331807 1042147459 /nfs/dbraw/zinc/14/74/59/1042147459.db2.gz HVCWDEGKVNENKI-MQIPJXDCSA-N 0 3 323.820 4.104 20 0 DIADHN CCOc1ccc(O)c(CNC2(c3ccc(Cl)cc3)CC2)c1 ZINC000069878359 1042148275 /nfs/dbraw/zinc/14/82/75/1042148275.db2.gz ABZNCYMGCLXASO-UHFFFAOYSA-N 0 3 317.816 4.223 20 0 DIADHN CCCN(C)CC(=O)N[C@@H](c1ccc(CC(C)C)cc1)C(C)C ZINC001136136763 1042150505 /nfs/dbraw/zinc/15/05/05/1042150505.db2.gz TUXKSLKTQWYOHR-HXUWFJFHSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H]2CCCC[C@H]2C)c(CN(C)C)c1 ZINC000603694874 1042157420 /nfs/dbraw/zinc/15/74/20/1042157420.db2.gz UANXRBJVTFCDEC-CVEARBPZSA-N 0 3 302.462 4.212 20 0 DIADHN CCCCc1ccc([C@@H](NCCOC)c2cccs2)cc1 ZINC000818742333 1042159477 /nfs/dbraw/zinc/15/94/77/1042159477.db2.gz IACYVQPSSONTEO-GOSISDBHSA-N 0 3 303.471 4.416 20 0 DIADHN FC(F)(F)C1CCN([C@H]2CCc3cccc(Cl)c3C2)CC1 ZINC001170916427 1042162400 /nfs/dbraw/zinc/16/24/00/1042162400.db2.gz CYNRGKOINAFULP-ZDUSSCGKSA-N 0 3 317.782 4.472 20 0 DIADHN CC(C)N(C(=O)CN(C)C1CCCCCC1)c1ccccc1 ZINC000302922250 1042164206 /nfs/dbraw/zinc/16/42/06/1042164206.db2.gz SHFHHNKUTQKXMJ-UHFFFAOYSA-N 0 3 302.462 4.083 20 0 DIADHN C[C@H]1CN(Cc2cccc3cnccc32)Cc2ccccc2O1 ZINC000644843101 1042165944 /nfs/dbraw/zinc/16/59/44/1042165944.db2.gz UHMKYPXLPYHVLB-HNNXBMFYSA-N 0 3 304.393 4.018 20 0 DIADHN Fc1ccc([C@H](NCc2cnccc2C(F)(F)F)C2CC2)cc1 ZINC000663469260 1042180311 /nfs/dbraw/zinc/18/03/11/1042180311.db2.gz DEOPYUJBRCWMIZ-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnccc1C(F)(F)F)[C@@H](C)C2 ZINC000663470084 1042182042 /nfs/dbraw/zinc/18/20/42/1042182042.db2.gz IOAMHKUHHACIEO-SJCJKPOMSA-N 0 3 320.358 4.432 20 0 DIADHN CC[C@H](NCc1cnccc1C(F)(F)F)c1cccc(OC)c1 ZINC000663474338 1042184557 /nfs/dbraw/zinc/18/45/57/1042184557.db2.gz MXXAQFQCKDXCNU-INIZCTEOSA-N 0 3 324.346 4.350 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnccc2C(F)(F)F)s1 ZINC000663474816 1042186072 /nfs/dbraw/zinc/18/60/72/1042186072.db2.gz RIQZJSOHEUVPEQ-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN CC[C@@H](NCc1cnccc1C(F)(F)F)c1cccs1 ZINC000663474781 1042186278 /nfs/dbraw/zinc/18/62/78/1042186278.db2.gz QMRAEPWUWNUXAT-GFCCVEGCSA-N 0 3 300.349 4.403 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000303123350 1042189744 /nfs/dbraw/zinc/18/97/44/1042189744.db2.gz AKOJLKHENPSTPZ-NTPDMAOXSA-N 0 3 321.420 4.308 20 0 DIADHN FC(F)(F)[C@H]1CCCN([C@@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170933153 1042191462 /nfs/dbraw/zinc/19/14/62/1042191462.db2.gz HUEBGYNZLNSQTG-QWHCGFSZSA-N 0 3 317.782 4.472 20 0 DIADHN COc1c(C)cnc(CN2CCC[C@@H]2c2ccccc2C)c1C ZINC000303193967 1042197659 /nfs/dbraw/zinc/19/76/59/1042197659.db2.gz NBHGQVVGHHIEDM-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000303227062 1042198708 /nfs/dbraw/zinc/19/87/08/1042198708.db2.gz WXBMMQOPZWZEHU-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN CCOCCNCc1ccc(-c2ccc(C(F)(F)F)cc2)o1 ZINC000236906537 1042202742 /nfs/dbraw/zinc/20/27/42/1042202742.db2.gz OYQHXVRAMURFCB-UHFFFAOYSA-N 0 3 313.319 4.092 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@@H](O)c1ccc(F)cc1 ZINC000820155383 1042206469 /nfs/dbraw/zinc/20/64/69/1042206469.db2.gz FDSPBUQZLVMDEH-CQSZACIVSA-N 0 3 313.825 4.096 20 0 DIADHN c1ccc(OCCCN2CCSC[C@@H]2c2ccccc2)cc1 ZINC000303633120 1042209284 /nfs/dbraw/zinc/20/92/84/1042209284.db2.gz FWAYWYCMQZAWQG-LJQANCHMSA-N 0 3 313.466 4.246 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000658359142 1042210967 /nfs/dbraw/zinc/21/09/67/1042210967.db2.gz AKCNASHOHFPXSU-JBBXEZCESA-N 0 3 301.817 4.039 20 0 DIADHN Cc1cccc(OCCN2CCSC[C@H]2c2ccccc2)c1 ZINC000303742319 1042211479 /nfs/dbraw/zinc/21/14/79/1042211479.db2.gz YSFLESOCDUCMQJ-IBGZPJMESA-N 0 3 313.466 4.164 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1ccn(-c2ccccc2)n1 ZINC000303933184 1042212805 /nfs/dbraw/zinc/21/28/05/1042212805.db2.gz RAFDARIGGIKTKP-FQEVSTJZSA-N 0 3 319.452 4.325 20 0 DIADHN N#Cc1cccc(C[NH2+]Cc2cc(-c3ccccc3)ccc2[O-])c1 ZINC000066971918 1042216061 /nfs/dbraw/zinc/21/60/61/1042216061.db2.gz IKNOWYMXUCLRPZ-UHFFFAOYSA-N 0 3 314.388 4.221 20 0 DIADHN C=CCOc1ccc(CNCc2ccc(C#N)cc2)cc1Cl ZINC000066973308 1042216154 /nfs/dbraw/zinc/21/61/54/1042216154.db2.gz UQFKEYGCCCQYHY-UHFFFAOYSA-N 0 3 312.800 4.066 20 0 DIADHN C[C@@H]1C[C@H](NCc2c(Cl)ccc(Cl)c2Cl)CCO1 ZINC000219909150 1042217379 /nfs/dbraw/zinc/21/73/79/1042217379.db2.gz YERYWMKHGIWCJB-RKDXNWHRSA-N 0 3 308.636 4.304 20 0 DIADHN Fc1cccc(/C=C\CN[C@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC001648933082 1042220390 /nfs/dbraw/zinc/22/03/90/1042220390.db2.gz GVYMSTXRMZYECF-QZERDFOCSA-N 0 3 311.400 4.349 20 0 DIADHN Clc1ccc(CNCCn2cnc3ccccc32)c(Cl)c1 ZINC001648935250 1042220739 /nfs/dbraw/zinc/22/07/39/1042220739.db2.gz AZAIGJHBAWJKAA-UHFFFAOYSA-N 0 3 320.223 4.133 20 0 DIADHN CC(=O)Oc1cccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)c1 ZINC001138043671 1042227920 /nfs/dbraw/zinc/22/79/20/1042227920.db2.gz BUGGGPFQAOLHNG-ZDUSSCGKSA-N 0 3 317.816 4.458 20 0 DIADHN CC(C)C[C@H](C)OC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000820773646 1042228175 /nfs/dbraw/zinc/22/81/75/1042228175.db2.gz CKPBVBGPIXUFCN-GJZGRUSLSA-N 0 3 324.490 4.046 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1ccc(C(F)(F)F)nc1Cl ZINC000820847105 1042231798 /nfs/dbraw/zinc/23/17/98/1042231798.db2.gz RIGXOBUZGXBBIT-IUCAKERBSA-N 0 3 324.799 4.300 20 0 DIADHN COC(=O)c1cnc([C@@H](C)N[C@@H]2CCCC23CCCCC3)s1 ZINC000315431529 1042232783 /nfs/dbraw/zinc/23/27/83/1042232783.db2.gz XYZPRNLSVHFLNJ-TZMCWYRMSA-N 0 3 322.474 4.083 20 0 DIADHN COC(=O)c1cnc([C@@H](C)N[C@H]2CCCC23CCCCC3)s1 ZINC000315431523 1042233045 /nfs/dbraw/zinc/23/30/45/1042233045.db2.gz XYZPRNLSVHFLNJ-OCCSQVGLSA-N 0 3 322.474 4.083 20 0 DIADHN CCCN(C(=O)[C@@H](CC)N(C)C)[C@@H](C)c1cc2ccccc2o1 ZINC001136396227 1042235657 /nfs/dbraw/zinc/23/56/57/1042235657.db2.gz NRKPBRDODKLTPH-GOEBONIOSA-N 0 3 316.445 4.073 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2sccc2C(F)F)c1 ZINC000821359558 1042248564 /nfs/dbraw/zinc/24/85/64/1042248564.db2.gz BGXPUTLQATTWST-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN CC1(C)OC[C@@H](CN2CC3(CCCCC3)[C@H]2c2ccccc2)O1 ZINC001170966628 1042252678 /nfs/dbraw/zinc/25/26/78/1042252678.db2.gz CDDQFVIHGACSNC-QZTJIDSGSA-N 0 3 315.457 4.145 20 0 DIADHN Cc1ccc([C@H](CNC(=O)CCCC(C)C)N2CCCC2)cc1 ZINC001136479546 1042261083 /nfs/dbraw/zinc/26/10/83/1042261083.db2.gz NINKVDIXRHCRHW-IBGZPJMESA-N 0 3 316.489 4.074 20 0 DIADHN CSCC[C@@H](C)N[C@@H](C)c1ccc(F)cc1Br ZINC000223745688 1042265498 /nfs/dbraw/zinc/26/54/98/1042265498.db2.gz BRPQNSKYJVRBLZ-ZJUUUORDSA-N 0 3 320.271 4.380 20 0 DIADHN Fc1ccc([C@@H](NCCc2cncs2)c2ccccc2)cc1 ZINC001167845520 1042266197 /nfs/dbraw/zinc/26/61/97/1042266197.db2.gz VZXRQTDECYZOJS-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(SC)cc2)c1 ZINC000038010587 1042267366 /nfs/dbraw/zinc/26/73/66/1042267366.db2.gz OQADWKQFBLANMF-CQSZACIVSA-N 0 3 301.455 4.406 20 0 DIADHN C[C@H](NCc1cccs1)c1ccc(Br)s1 ZINC000038002000 1042267490 /nfs/dbraw/zinc/26/74/90/1042267490.db2.gz ABNSBVVKVVKLTP-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)[C@@H](CCO)c1ccccc1 ZINC000279171478 1042279463 /nfs/dbraw/zinc/27/94/63/1042279463.db2.gz AEEMYFOKQMALEE-KRWDZBQOSA-N 0 3 305.368 4.180 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000168352340 1042280046 /nfs/dbraw/zinc/28/00/46/1042280046.db2.gz HMZKXENVCKHWED-GJZGRUSLSA-N 0 3 324.472 4.105 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000028924757 1042285492 /nfs/dbraw/zinc/28/54/92/1042285492.db2.gz QYAKETXFNSBRIZ-ZDUSSCGKSA-N 0 3 314.454 4.218 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc1F ZINC000178548259 1042286778 /nfs/dbraw/zinc/28/67/78/1042286778.db2.gz WDZQXSKDGTVRDK-CHWSQXEVSA-N 0 3 319.445 4.452 20 0 DIADHN c1ccc(Oc2ccc3nccc(N4CCCOCC4)c3c2)cc1 ZINC000433790399 1042286808 /nfs/dbraw/zinc/28/68/08/1042286808.db2.gz WUFWSAGEKCQUEK-UHFFFAOYSA-N 0 3 320.392 4.254 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(O)c1)c1ccc(OC)c(OC)c1 ZINC000319844966 1042288469 /nfs/dbraw/zinc/28/84/69/1042288469.db2.gz MPJDGFZWAMIDGI-SUMWQHHRSA-N 0 3 315.413 4.211 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CC[C@H]1CC1(Cl)Cl ZINC000822660093 1042296306 /nfs/dbraw/zinc/29/63/06/1042296306.db2.gz XVXLDJZDRDURBA-GXTWGEPZSA-N 0 3 301.261 4.136 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCC(OC2CCCCC2)CC1 ZINC000822592828 1042295448 /nfs/dbraw/zinc/29/54/48/1042295448.db2.gz JZTZAYPHEISEPY-ZDUSSCGKSA-N 0 3 320.304 4.384 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCC[C@H]1CC1(Cl)Cl ZINC000822822607 1042302159 /nfs/dbraw/zinc/30/21/59/1042302159.db2.gz RPOXCHMLVXURNI-UWVGGRQHSA-N 0 3 306.208 4.069 20 0 DIADHN Cc1csc(C2(NCC[C@H]3CC3(Cl)Cl)CCC2)n1 ZINC000822845213 1042303993 /nfs/dbraw/zinc/30/39/93/1042303993.db2.gz IPURSZVFKPOIRG-JTQLQIEISA-N 0 3 305.274 4.004 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccsc2)cc1OC(F)F ZINC000061360599 1042309240 /nfs/dbraw/zinc/30/92/40/1042309240.db2.gz OXPFFZVMPISPAB-SNVBAGLBSA-N 0 3 313.369 4.209 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccsc2)cc1OC(F)F ZINC000061360598 1042309356 /nfs/dbraw/zinc/30/93/56/1042309356.db2.gz OXPFFZVMPISPAB-JTQLQIEISA-N 0 3 313.369 4.209 20 0 DIADHN C[C@H](N[C@H]1CCCC1(C)C)c1ncc(Br)cc1F ZINC000187283484 1042309837 /nfs/dbraw/zinc/30/98/37/1042309837.db2.gz FZTRLTWRMYQTKI-CABZTGNLSA-N 0 3 315.230 4.213 20 0 DIADHN CN(Cc1csc(-c2cccs2)n1)C(C1CC1)C1CC1 ZINC000050989597 1042310035 /nfs/dbraw/zinc/31/00/35/1042310035.db2.gz BCNIJNQUQWIDTD-UHFFFAOYSA-N 0 3 304.484 4.492 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2scnc2C2CC2)CC1 ZINC000435047006 1042310987 /nfs/dbraw/zinc/31/09/87/1042310987.db2.gz ZXYSVKWOHWQNLL-UHFFFAOYSA-N 0 3 316.445 4.481 20 0 DIADHN Cc1ccc(C[C@@H](C)C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000182302731 1042325283 /nfs/dbraw/zinc/32/52/83/1042325283.db2.gz NPNXFRQHLLGFET-QGZVFWFLSA-N 0 3 324.468 4.182 20 0 DIADHN CN(C)[C@H](CNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC000021812078 1042325568 /nfs/dbraw/zinc/32/55/68/1042325568.db2.gz PCVYBYBJHMGEAJ-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCO2)c1cccc(Cl)c1 ZINC000021815790 1042326705 /nfs/dbraw/zinc/32/67/05/1042326705.db2.gz NQHOXJRKLBEYNT-HNNXBMFYSA-N 0 3 303.789 4.310 20 0 DIADHN CC(=O)[C@@H](N[C@H]1CCc2c(Cl)cccc2C1)c1ccccc1 ZINC001171019724 1042327361 /nfs/dbraw/zinc/32/73/61/1042327361.db2.gz ZOUNSNCIWDAPNW-QFBILLFUSA-N 0 3 313.828 4.117 20 0 DIADHN CC(=O)[C@@H](N[C@@H]1CCc2c(Cl)cccc2C1)c1ccccc1 ZINC001171019727 1042327462 /nfs/dbraw/zinc/32/74/62/1042327462.db2.gz ZOUNSNCIWDAPNW-VQIMIIECSA-N 0 3 313.828 4.117 20 0 DIADHN C[C@H](CCc1ccccc1)CNCc1ccn(-c2ccccc2)n1 ZINC001648946038 1042331104 /nfs/dbraw/zinc/33/11/04/1042331104.db2.gz IVLJBMYBPDOEKD-GOSISDBHSA-N 0 3 319.452 4.231 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)c2cccc(C(C)C)c2)N(C)C)cc1 ZINC001625918944 1042333448 /nfs/dbraw/zinc/33/34/48/1042333448.db2.gz ILDBNINIFYBBAK-HXUWFJFHSA-N 0 3 324.468 4.151 20 0 DIADHN OC[C@@H]1c2ccccc2CCN1Cc1ccc(C2CCCC2)cc1 ZINC001167889453 1042335526 /nfs/dbraw/zinc/33/55/26/1042335526.db2.gz MRKWLYCJRKPAHX-JOCHJYFZSA-N 0 3 321.464 4.436 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cccs2)c(OC)c1 ZINC000216350855 1042338431 /nfs/dbraw/zinc/33/84/31/1042338431.db2.gz SNMXLWFKLQJLID-INIZCTEOSA-N 0 3 303.427 4.102 20 0 DIADHN COc1cccc(C2(NCc3cccc(C(F)F)c3)CC2)c1 ZINC000279770480 1042339530 /nfs/dbraw/zinc/33/95/30/1042339530.db2.gz SOKIJRJORNYROD-UHFFFAOYSA-N 0 3 303.352 4.412 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@H](CC)c2ccccc2)c1 ZINC000112530188 1042341077 /nfs/dbraw/zinc/34/10/77/1042341077.db2.gz GPRFYDUCLDPXFL-OXQOHEQNSA-N 0 3 324.468 4.456 20 0 DIADHN CC[C@H](NCc1ccc(OCC(F)(F)F)nc1)c1ccccc1 ZINC000112527241 1042341296 /nfs/dbraw/zinc/34/12/96/1042341296.db2.gz WOSOGVFHWNBVGC-HNNXBMFYSA-N 0 3 324.346 4.264 20 0 DIADHN CC(C)=CCNCc1cccc2c1N(C(=O)OC(C)(C)C)CC2 ZINC000232621367 1042345018 /nfs/dbraw/zinc/34/50/18/1042345018.db2.gz RHCNSWFXQSXECV-UHFFFAOYSA-N 0 3 316.445 4.040 20 0 DIADHN CC(C)c1ccc([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000324894433 1042347581 /nfs/dbraw/zinc/34/75/81/1042347581.db2.gz UIYHIHLDRMSGJM-KBPBESRZSA-N 0 3 323.440 4.391 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)c1c(C)noc1C ZINC000644885302 1042359360 /nfs/dbraw/zinc/35/93/60/1042359360.db2.gz OPFMTGPMYCRHNR-JWAFFJSPSA-N 0 3 314.429 4.192 20 0 DIADHN C[C@@H](NC1(c2cccc(F)c2)CC1)c1ccc(C(C)(C)O)cc1 ZINC000925878112 1042369207 /nfs/dbraw/zinc/36/92/07/1042369207.db2.gz AWYMWGYXBQSEJZ-CQSZACIVSA-N 0 3 313.416 4.393 20 0 DIADHN CCCCCNCc1nc(-c2ccc(Br)cc2)c[nH]1 ZINC000236752795 1042372066 /nfs/dbraw/zinc/37/20/66/1042372066.db2.gz XXGQOXDABOIALB-UHFFFAOYSA-N 0 3 322.250 4.119 20 0 DIADHN Cc1ccccc1CN(C)Cc1cc(Br)cs1 ZINC000048068539 1042399431 /nfs/dbraw/zinc/39/94/31/1042399431.db2.gz AXBHVLRTKKRYNN-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC(OCc2ccccc2)CC1 ZINC000000019203 1042381873 /nfs/dbraw/zinc/38/18/73/1042381873.db2.gz OBBNRADTBJSDGS-UHFFFAOYSA-N 0 3 320.436 4.349 20 0 DIADHN CC[C@@H](NCCC1CCOCC1)c1nc(C(F)(F)F)cs1 ZINC000432858854 1042385392 /nfs/dbraw/zinc/38/53/92/1042385392.db2.gz LHGGOHHOKSUXLR-LLVKDONJSA-N 0 3 322.396 4.019 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CCC[C@](C)(F)C2)c(C)c1 ZINC000295050576 1042399608 /nfs/dbraw/zinc/39/96/08/1042399608.db2.gz APOSBHYYGKKELE-IBGZPJMESA-N 0 3 320.452 4.155 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CCC[C@@](C)(F)C2)c(C)c1 ZINC000295050578 1042399704 /nfs/dbraw/zinc/39/97/04/1042399704.db2.gz APOSBHYYGKKELE-LJQANCHMSA-N 0 3 320.452 4.155 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccc(-c2ncco2)cc1F)C1CC1 ZINC000411171456 1042428798 /nfs/dbraw/zinc/42/87/98/1042428798.db2.gz DWGGNYYRSHKKPN-LBPRGKRZSA-N 0 3 300.377 4.244 20 0 DIADHN CCn1cc(CNC(CC)(CC)c2ccc(Cl)cc2)cn1 ZINC000152181553 1042429261 /nfs/dbraw/zinc/42/92/61/1042429261.db2.gz RWOUMUMOXCDLQV-UHFFFAOYSA-N 0 3 305.853 4.362 20 0 DIADHN C[C@H]1C[C@H](NCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000295957327 1042439482 /nfs/dbraw/zinc/43/94/82/1042439482.db2.gz LVVVWNTXMXYITR-HZMBPMFUSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccc(F)cc1)c1csc(Cl)c1 ZINC000248685742 1042444680 /nfs/dbraw/zinc/44/46/80/1042444680.db2.gz GVZVTLASNIGXGG-AMJWSMQMSA-N 0 3 313.825 4.313 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cnc2ccc(C)cc2c1 ZINC000411509009 1042454026 /nfs/dbraw/zinc/45/40/26/1042454026.db2.gz VUZKAYXINQOIBX-OAHLLOKOSA-N 0 3 305.425 4.351 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCOc2cc(C)ccc2C)on1 ZINC000052009545 1042461394 /nfs/dbraw/zinc/46/13/94/1042461394.db2.gz KBEDEFVMOKDRBM-QGZVFWFLSA-N 0 3 314.429 4.206 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCOc2cc(C)cc(C)c2)on1 ZINC000052009556 1042461456 /nfs/dbraw/zinc/46/14/56/1042461456.db2.gz QPSFJXUXEPBPKT-SFHVURJKSA-N 0 3 314.429 4.206 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2ccccc2C)c(Cl)c1 ZINC000120503309 1042461570 /nfs/dbraw/zinc/46/15/70/1042461570.db2.gz YYJMKPYXGRPEQF-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC(OC2CCC2)CC1 ZINC000419299006 1042463626 /nfs/dbraw/zinc/46/36/26/1042463626.db2.gz HIFZBLAFMZVHEP-GOSISDBHSA-N 0 3 305.849 4.361 20 0 DIADHN CN(CC(=O)Nc1c(F)cccc1F)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000419327846 1042470007 /nfs/dbraw/zinc/47/00/07/1042470007.db2.gz DVAVTBYSTAIYSE-WFASDCNBSA-N 0 3 324.415 4.050 20 0 DIADHN CN(Cc1ccn(CC(F)(F)F)n1)[C@H]1CCC[C@H]1C(C)(C)C ZINC000419333480 1042471309 /nfs/dbraw/zinc/47/13/09/1042471309.db2.gz MDDBCUZXYPSMRA-KGLIPLIRSA-N 0 3 317.399 4.092 20 0 DIADHN COCCCC[C@@H](NCc1cnc(F)cc1C)c1ccccc1 ZINC000894143528 1042471335 /nfs/dbraw/zinc/47/13/35/1042471335.db2.gz XZLHHZRKSQMPHN-GOSISDBHSA-N 0 3 316.420 4.177 20 0 DIADHN Fc1cccc(C[C@@H]2CCCN2Cc2nc3ccccc3o2)c1 ZINC000052034749 1042473600 /nfs/dbraw/zinc/47/36/00/1042473600.db2.gz GQJLAOXEVBWSKG-INIZCTEOSA-N 0 3 310.372 4.174 20 0 DIADHN Fc1cccc(C[C@H]2CCCN2Cc2nc3ccccc3o2)c1 ZINC000052034746 1042473610 /nfs/dbraw/zinc/47/36/10/1042473610.db2.gz GQJLAOXEVBWSKG-MRXNPFEDSA-N 0 3 310.372 4.174 20 0 DIADHN CSc1ccc(CN(CCc2ccc(F)cc2)C2CC2)o1 ZINC001204930940 1042476714 /nfs/dbraw/zinc/47/67/14/1042476714.db2.gz QFDKPBCIZLMFEA-UHFFFAOYSA-N 0 3 305.418 4.348 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)c1 ZINC000576346741 1042482865 /nfs/dbraw/zinc/48/28/65/1042482865.db2.gz OBPPCZIJUVZZGL-GXTWGEPZSA-N 0 3 305.315 4.045 20 0 DIADHN Clc1ccc(-c2nc(CN[C@H]3C[C@H]4C[C@H]4C3)cs2)cc1 ZINC001167924077 1042483144 /nfs/dbraw/zinc/48/31/44/1042483144.db2.gz NNWHGDARGOBKJX-IMRBUKKESA-N 0 3 304.846 4.352 20 0 DIADHN CCc1cnc(CNC2CC(c3ccc(Cl)cc3)C2)s1 ZINC000154236964 1042485973 /nfs/dbraw/zinc/48/59/73/1042485973.db2.gz XPDBQKHEPLGXHD-UHFFFAOYSA-N 0 3 306.862 4.395 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](C)c1ccc(C2CC2)cc1 ZINC000419365715 1042486035 /nfs/dbraw/zinc/48/60/35/1042486035.db2.gz YAZSXVTXLJWKTH-OAHLLOKOSA-N 0 3 308.425 4.162 20 0 DIADHN Fc1cccc(Br)c1CNC1CCC2(CC2)CC1 ZINC000709975450 1042486492 /nfs/dbraw/zinc/48/64/92/1042486492.db2.gz BBCGPINGSOKFJR-UHFFFAOYSA-N 0 3 312.226 4.401 20 0 DIADHN CC[C@H](NCc1cnc2onc(C)c2c1)c1cccc(Cl)c1 ZINC000894173008 1042494893 /nfs/dbraw/zinc/49/48/93/1042494893.db2.gz DFGYHPTXZZVTIK-INIZCTEOSA-N 0 3 315.804 4.426 20 0 DIADHN Cc1cccc(CN(C)Cc2c[nH]nc2-c2ccsc2)c1C ZINC000430101650 1042497784 /nfs/dbraw/zinc/49/77/84/1042497784.db2.gz IYARGKVQGGSLLI-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cn(CC)nc2C)cc1 ZINC000894186065 1042499161 /nfs/dbraw/zinc/49/91/61/1042499161.db2.gz TXWYFKCQZYBCHG-UHFFFAOYSA-N 0 3 315.461 4.025 20 0 DIADHN Cc1cc(C)cc(C2(C(=O)Nc3cccc(CN(C)C)c3)CC2)c1 ZINC000052674925 1042507560 /nfs/dbraw/zinc/50/75/60/1042507560.db2.gz IDTIOOWXJLWATK-UHFFFAOYSA-N 0 3 322.452 4.035 20 0 DIADHN Clc1ccc(N2CCN([C@@H]3C=CCCCCC3)CC2)cc1 ZINC001167938434 1042517714 /nfs/dbraw/zinc/51/77/14/1042517714.db2.gz ZQSAAHDTLAVZAQ-QGZVFWFLSA-N 0 3 304.865 4.351 20 0 DIADHN CC(C)COc1ccc(CN[C@@H]2CCc3c2cccc3O)cc1 ZINC000106469741 1042530993 /nfs/dbraw/zinc/53/09/93/1042530993.db2.gz MFIBOAVVBICJGF-LJQANCHMSA-N 0 3 311.425 4.204 20 0 DIADHN CC(C)c1nc(CCN[C@H]2CCc3c2c(F)ccc3F)cs1 ZINC000513359587 1042535239 /nfs/dbraw/zinc/53/52/39/1042535239.db2.gz OBBBWGDGRHXMNQ-HNNXBMFYSA-N 0 3 322.424 4.364 20 0 DIADHN Cc1ccsc1CN1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000513380764 1042536379 /nfs/dbraw/zinc/53/63/79/1042536379.db2.gz LYDIBOGWHDKICG-AWEZNQCLSA-N 0 3 301.411 4.122 20 0 DIADHN Cc1nocc1CN1CCC(C)(c2cccc(Cl)c2)CC1 ZINC000513398415 1042538381 /nfs/dbraw/zinc/53/83/81/1042538381.db2.gz RZAMCYMDZYAFSU-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3cc(C)ccn23)s1 ZINC000513483051 1042544828 /nfs/dbraw/zinc/54/48/28/1042544828.db2.gz APEOFKBLQBKKLQ-INIZCTEOSA-N 0 3 311.454 4.350 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2CCCSC2)c1 ZINC000513488313 1042547785 /nfs/dbraw/zinc/54/77/85/1042547785.db2.gz SOLMCABBIOZBEB-LLVKDONJSA-N 0 3 309.784 4.344 20 0 DIADHN CCCCN(C(=O)CN(C)C(C)C)[C@@H](CC)c1ccccc1 ZINC000513587404 1042552989 /nfs/dbraw/zinc/55/29/89/1042552989.db2.gz XJIJXTNUZOIUKG-SFHVURJKSA-N 0 3 304.478 4.107 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc(C(=O)OC)cs1)c1cccs1 ZINC000513660125 1042556888 /nfs/dbraw/zinc/55/68/88/1042556888.db2.gz FZUSKDXQGOYUJL-ZYHUDNBSSA-N 0 3 309.456 4.398 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(Cl)cn1)[C@H](O)c1ccccc1 ZINC000280163776 1042566641 /nfs/dbraw/zinc/56/66/41/1042566641.db2.gz QVOIEAKSLIGZQS-DOPJRALCSA-N 0 3 318.848 4.288 20 0 DIADHN CCC[C@H](N[C@H](C)c1cccnc1Cl)[C@@H](O)c1ccccc1 ZINC000280212703 1042567335 /nfs/dbraw/zinc/56/73/35/1042567335.db2.gz UFPXGNYECIHJHL-COXVUDFISA-N 0 3 318.848 4.288 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1cccc(C(F)(F)F)c1 ZINC000280243446 1042567964 /nfs/dbraw/zinc/56/79/64/1042567964.db2.gz WCTCYTXOBSPBGR-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc2c(c1)CCCC2 ZINC000280233569 1042568255 /nfs/dbraw/zinc/56/82/55/1042568255.db2.gz VLPYSPGQJIVTSU-IFXJQAMLSA-N 0 3 309.457 4.027 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc2c(c1)CCCC2 ZINC000280233573 1042568266 /nfs/dbraw/zinc/56/82/66/1042568266.db2.gz VLPYSPGQJIVTSU-KUHUBIRLSA-N 0 3 309.457 4.027 20 0 DIADHN CCOCc1ccc(CN[C@H](c2cccnc2)C2CCC2)cc1 ZINC000280315982 1042570219 /nfs/dbraw/zinc/57/02/19/1042570219.db2.gz OWNBLZMXADYUKC-FQEVSTJZSA-N 0 3 310.441 4.249 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccc3cc[nH]c3c1)CC2 ZINC000628324412 1042570665 /nfs/dbraw/zinc/57/06/65/1042570665.db2.gz SGANZQADCYAOQK-UHFFFAOYSA-N 0 3 312.310 4.034 20 0 DIADHN CO[C@]1(C)CCCN(Cc2nc(-c3ccccc3)cs2)CC1 ZINC000280413632 1042572923 /nfs/dbraw/zinc/57/29/23/1042572923.db2.gz YRFIQXFIUXYIAF-GOSISDBHSA-N 0 3 316.470 4.201 20 0 DIADHN Cc1ccncc1CN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000280415235 1042572966 /nfs/dbraw/zinc/57/29/66/1042572966.db2.gz WMTKNNOAHXALKL-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN Cc1cccc(OCCN[C@@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000513697474 1042575294 /nfs/dbraw/zinc/57/52/94/1042575294.db2.gz NVWBEMDGVVRGTK-GOSISDBHSA-N 0 3 313.445 4.008 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3ccccn3)C2)ccc1C(F)F ZINC000894245341 1042591967 /nfs/dbraw/zinc/59/19/67/1042591967.db2.gz LCUYIOSSBOMUSK-CYBMUJFWSA-N 0 3 306.331 4.148 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC[C@@H](c4ccccc4)C3)o2)cc1 ZINC000030824520 1042595077 /nfs/dbraw/zinc/59/50/77/1042595077.db2.gz KHCLTVZHSVDSPF-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN Cc1occc1CN1CCC(c2nc3cccc(C)c3o2)CC1 ZINC000414439349 1042595177 /nfs/dbraw/zinc/59/51/77/1042595177.db2.gz SFTWLFZPKGKNGE-UHFFFAOYSA-N 0 3 310.397 4.417 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)Cc1cccc(N)c1 ZINC000420371042 1042599714 /nfs/dbraw/zinc/59/97/14/1042599714.db2.gz KAYASBUHUWHFAK-ZDUSSCGKSA-N 0 3 303.475 4.350 20 0 DIADHN C[C@H](N[C@H](CO)c1cccc(Cl)c1Cl)C1CC(F)(F)C1 ZINC000628352146 1042600032 /nfs/dbraw/zinc/60/00/32/1042600032.db2.gz YHZGNGFOLWLFMT-QPUJVOFHSA-N 0 3 324.198 4.050 20 0 DIADHN CC[C@@H](NCc1cccc(N)c1)c1ccccc1OC(F)F ZINC000420381590 1042600088 /nfs/dbraw/zinc/60/00/88/1042600088.db2.gz YCWHPQRNUHISEN-OAHLLOKOSA-N 0 3 306.356 4.111 20 0 DIADHN Clc1ccc(-c2noc(C34CCCN3CCC4)n2)c(Cl)c1 ZINC000420490407 1042602163 /nfs/dbraw/zinc/60/21/63/1042602163.db2.gz AXJVIAWQAARHBD-UHFFFAOYSA-N 0 3 324.211 4.128 20 0 DIADHN CCc1ncc(CN[C@@H]2CC(C)(C)CCc3ccccc32)cn1 ZINC000414458787 1042604745 /nfs/dbraw/zinc/60/47/45/1042604745.db2.gz WOWFNAYNTHTZNQ-GOSISDBHSA-N 0 3 309.457 4.232 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCC2(CCCC2)CC1 ZINC000513730108 1042612964 /nfs/dbraw/zinc/61/29/64/1042612964.db2.gz VMUBMSQSVPBLGO-UHFFFAOYSA-N 0 3 303.494 4.411 20 0 DIADHN O[C@H]1C[C@@H](CN2CCCC[C@@H]2c2cccc(C(F)(F)F)c2)C1 ZINC000420922449 1042615360 /nfs/dbraw/zinc/61/53/60/1042615360.db2.gz ODORUZYMAKGUPP-UHOFOFEASA-N 0 3 313.363 4.003 20 0 DIADHN O=C(CCCN1CCC[C@H](CC(F)(F)F)C1)c1ccccc1 ZINC000420943628 1042616155 /nfs/dbraw/zinc/61/61/55/1042616155.db2.gz IHJZMCPOKKYQGH-CQSZACIVSA-N 0 3 313.363 4.314 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2cnc3ccccc3c2)C1 ZINC000420956447 1042617471 /nfs/dbraw/zinc/61/74/71/1042617471.db2.gz YIEJHNVMUFKRQV-ZDUSSCGKSA-N 0 3 308.347 4.399 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@@H](C2CCCC2)C1 ZINC000420961892 1042617986 /nfs/dbraw/zinc/61/79/86/1042617986.db2.gz JHDSIFLRWCMFLV-RHSMWYFYSA-N 0 3 311.429 4.310 20 0 DIADHN FC(F)Oc1ccc([C@H]2CN(C[C@@H]3CC=CCC3)CCO2)cc1 ZINC000513733975 1042618844 /nfs/dbraw/zinc/61/88/44/1042618844.db2.gz YEYYCSFHOJWOJF-RHSMWYFYSA-N 0 3 323.383 4.018 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@@H]2CCC[C@H]2C2CC2)s1 ZINC000414486395 1042619713 /nfs/dbraw/zinc/61/97/13/1042619713.db2.gz QRCQRUFLHFMVSS-QWRGUYRKSA-N 0 3 304.381 4.078 20 0 DIADHN CC[C@@H](NC1CC2(C1)CCCCC2)c1nnc2n1CCCCC2 ZINC000421321356 1042629712 /nfs/dbraw/zinc/62/97/12/1042629712.db2.gz DMFMYJUASRKSNF-MRXNPFEDSA-N 0 3 316.493 4.158 20 0 DIADHN Cn1cc([C@@H]2CCCN2Cc2ccc(-c3ccccc3)s2)cn1 ZINC000513745885 1042629909 /nfs/dbraw/zinc/62/99/09/1042629909.db2.gz JJKCJCWNZLMJKT-SFHVURJKSA-N 0 3 323.465 4.486 20 0 DIADHN Cc1cc(CNCc2cccc(C3CC3)c2)cc(N2CCCC2)n1 ZINC000421351079 1042631864 /nfs/dbraw/zinc/63/18/64/1042631864.db2.gz BKQDLOPSYDPGBG-UHFFFAOYSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1[nH]nc2ncc(CN(C(C)C)[C@@H](C)c3ccsc3)cc12 ZINC000421373917 1042633284 /nfs/dbraw/zinc/63/32/84/1042633284.db2.gz OAKFNHHHXHWXCU-ZDUSSCGKSA-N 0 3 314.458 4.299 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2cc(C)nc(C)c2)cc1 ZINC000421377160 1042634005 /nfs/dbraw/zinc/63/40/05/1042634005.db2.gz FVBBVTUOZKGSBI-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2csc(-c3ccc(C)cc3)n2)CCO1 ZINC000421383884 1042634933 /nfs/dbraw/zinc/63/49/33/1042634933.db2.gz MFFSYWJDOBYKJY-DOTOQJQBSA-N 0 3 316.470 4.166 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(CC2=Cc3ccccc3OC2)C1 ZINC000421384457 1042634965 /nfs/dbraw/zinc/63/49/65/1042634965.db2.gz FAXBJFSPMZQVAW-ZDUSSCGKSA-N 0 3 311.347 4.127 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CC[C@@H](C2CCCC2)C1 ZINC000421387920 1042635618 /nfs/dbraw/zinc/63/56/18/1042635618.db2.gz JOSMXJPKUVGSQR-GDBMZVCRSA-N 0 3 306.475 4.007 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cccs2)c(C)c1OC ZINC000513753505 1042646743 /nfs/dbraw/zinc/64/67/43/1042646743.db2.gz CXNZQYYKZNMBHC-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccncc1Cl)c1ccco1 ZINC000421825545 1042653295 /nfs/dbraw/zinc/65/32/95/1042653295.db2.gz ODNYTIDQJJOWKI-CJNGLKHVSA-N 0 3 321.852 4.062 20 0 DIADHN C[C@H](NC1CCN(c2ccccc2)CC1)c1ccncc1Cl ZINC000421825314 1042654265 /nfs/dbraw/zinc/65/42/65/1042654265.db2.gz MVEWEFPWCQDGGT-AWEZNQCLSA-N 0 3 315.848 4.055 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000421851864 1042657140 /nfs/dbraw/zinc/65/71/40/1042657140.db2.gz HARCJHIVSPWHII-OASPWFOLSA-N 0 3 319.779 4.160 20 0 DIADHN C[C@@H](NC1(c2cccc(Cl)c2)CC1)c1cccc(CO)c1 ZINC000271951817 1042672815 /nfs/dbraw/zinc/67/28/15/1042672815.db2.gz BNAOMTMWIWWMDH-CYBMUJFWSA-N 0 3 301.817 4.172 20 0 DIADHN Cc1c2cc(F)ccc2oc1CN[C@H](C)c1cccc(CO)c1 ZINC000271959053 1042673720 /nfs/dbraw/zinc/67/37/20/1042673720.db2.gz LHSISKZTMDQMMB-CYBMUJFWSA-N 0 3 313.372 4.223 20 0 DIADHN c1cn(C2CC2)c(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)n1 ZINC000894274138 1042687413 /nfs/dbraw/zinc/68/74/13/1042687413.db2.gz ZCSQULWUZJYVPA-GGPHIMKMSA-N 0 3 307.441 4.129 20 0 DIADHN Cc1csc([C@H](C)NCCc2ccccc2C(F)(F)F)n1 ZINC000623870990 1042691668 /nfs/dbraw/zinc/69/16/68/1042691668.db2.gz RIULZXWLUKBWFQ-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN C[C@@H](NCc1ccc(C2CCCCC2)cc1)c1cnccc1N ZINC000423126508 1042697727 /nfs/dbraw/zinc/69/77/27/1042697727.db2.gz IXKWMVLCKQJPKR-OAHLLOKOSA-N 0 3 309.457 4.393 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1cnn(C2CCCC2)c1 ZINC000423352904 1042707763 /nfs/dbraw/zinc/70/77/63/1042707763.db2.gz APCOPGQRTUIWIS-VLIAUNLRSA-N 0 3 324.472 4.309 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCc2c1c(F)ccc2F ZINC000423358750 1042708139 /nfs/dbraw/zinc/70/81/39/1042708139.db2.gz UGQGCIKCVNMBEW-QZTJIDSGSA-N 0 3 314.379 4.202 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc3c1CCCN3)CCCO2 ZINC000423419425 1042710523 /nfs/dbraw/zinc/71/05/23/1042710523.db2.gz WCTADFZKWDODKF-HXUWFJFHSA-N 0 3 322.452 4.357 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2cccc3c2CCCN3)C1 ZINC000423414960 1042711079 /nfs/dbraw/zinc/71/10/79/1042711079.db2.gz OHSFXRBBZATQBZ-SFHVURJKSA-N 0 3 310.441 4.441 20 0 DIADHN CC[C@H](N[C@@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccncc1 ZINC000423428310 1042713009 /nfs/dbraw/zinc/71/30/09/1042713009.db2.gz GLGJYEVFMPQUKX-DLBZAZTESA-N 0 3 311.429 4.007 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccc(F)cc1 ZINC000423427936 1042713033 /nfs/dbraw/zinc/71/30/33/1042713033.db2.gz QVRYSSGZDCKKKB-SJKOYZFVSA-N 0 3 314.404 4.361 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)CCCN2)c1ccccc1OC ZINC000423436048 1042713073 /nfs/dbraw/zinc/71/30/73/1042713073.db2.gz FFSANLGSPBURTD-SFHVURJKSA-N 0 3 310.441 4.294 20 0 DIADHN CC(C)C[C@@H](NCc1ccc2c(c1)CCCN2)c1ccccn1 ZINC000423439789 1042713405 /nfs/dbraw/zinc/71/34/05/1042713405.db2.gz IDDYLHCHXATDQW-HXUWFJFHSA-N 0 3 309.457 4.317 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)CCCN2)c1ccccc1OC ZINC000423436045 1042713467 /nfs/dbraw/zinc/71/34/67/1042713467.db2.gz FFSANLGSPBURTD-GOSISDBHSA-N 0 3 310.441 4.294 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccc(F)cc1 ZINC000423427937 1042713700 /nfs/dbraw/zinc/71/37/00/1042713700.db2.gz QVRYSSGZDCKKKB-YVEFUNNKSA-N 0 3 314.404 4.361 20 0 DIADHN C[C@H]1CCCN(C(=O)C[C@H](N)c2ccccc2)c2ccccc21 ZINC000423783033 1042721180 /nfs/dbraw/zinc/72/11/80/1042721180.db2.gz NAKRVQMSMGDCPU-YJBOKZPZSA-N 0 3 308.425 4.007 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3nc4ccccc4s3)C2)cc1 ZINC000504501706 1042723173 /nfs/dbraw/zinc/72/31/73/1042723173.db2.gz AXZDZLRPOLIPGA-HNNXBMFYSA-N 0 3 324.449 4.294 20 0 DIADHN CC(C)n1cncc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000424136663 1042727139 /nfs/dbraw/zinc/72/71/39/1042727139.db2.gz RCUJNPFUBIALKB-KRWDZBQOSA-N 0 3 303.837 4.358 20 0 DIADHN Cc1cc(F)c(CN[C@@H]2CCO[C@@H](c3cccs3)C2)c(F)c1 ZINC000424172529 1042728146 /nfs/dbraw/zinc/72/81/46/1042728146.db2.gz NBOPRGYXNVLUST-MLGOLLRUSA-N 0 3 323.408 4.345 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](N[C@H]2C[C@@]2(F)c2ccccc2)C1 ZINC000424190346 1042729242 /nfs/dbraw/zinc/72/92/42/1042729242.db2.gz GBYRFJKCIODPKA-CBBWQLFWSA-N 0 3 301.327 4.334 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cncn2C(C)C)cc1 ZINC000424191938 1042729394 /nfs/dbraw/zinc/72/93/94/1042729394.db2.gz OBDVJMSLDKHYDX-UHFFFAOYSA-N 0 3 315.461 4.278 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@@H]1CCC[C@H](c2cccnc2)C1 ZINC000424201370 1042729613 /nfs/dbraw/zinc/72/96/13/1042729613.db2.gz RVWINXLZUUZZNN-LDTOTXGLSA-N 0 3 310.416 4.335 20 0 DIADHN F[C@@]1(c2ccccc2)C[C@@H]1NC1CCC(OC2CCCC2)CC1 ZINC000424314751 1042733563 /nfs/dbraw/zinc/73/35/63/1042733563.db2.gz CVXDZXBFWAXYJL-DXBWTPJFSA-N 0 3 317.448 4.484 20 0 DIADHN CCC[C@@H](NCCC(C)(C)C(=O)OC(C)(C)C)c1ccccn1 ZINC000424315149 1042733948 /nfs/dbraw/zinc/73/39/48/1042733948.db2.gz BXOIZTXLUFZBPE-OAHLLOKOSA-N 0 3 320.477 4.270 20 0 DIADHN C[C@H](N[C@@H](c1ccc(Cl)cc1)C1CCCC1)c1cnnn1C ZINC000924970463 1042740077 /nfs/dbraw/zinc/74/00/77/1042740077.db2.gz OLVIARKKCHKRIJ-YVEFUNNKSA-N 0 3 318.852 4.051 20 0 DIADHN Clc1cnc(N[C@H](CN2CCCC2)c2ccccc2)s1 ZINC000679634212 1042741342 /nfs/dbraw/zinc/74/13/42/1042741342.db2.gz WZLLLWHGFAAZMZ-CYBMUJFWSA-N 0 3 307.850 4.046 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@H](c2ccccc2)C1 ZINC000513821387 1042757764 /nfs/dbraw/zinc/75/77/64/1042757764.db2.gz IDNWRUPSAHPJQD-YJBOKZPZSA-N 0 3 319.408 4.287 20 0 DIADHN CC(C)COC[C@H](NC[C@@H]1CCCC(F)(F)C1)c1ccco1 ZINC000514235806 1042776250 /nfs/dbraw/zinc/77/62/50/1042776250.db2.gz IWGNSGIGLCZIQY-CABCVRRESA-N 0 3 315.404 4.408 20 0 DIADHN Cc1cc2cc([C@H](C)NCC3([S@@](C)=O)CCC3)oc2cc1C ZINC000281035597 1042776530 /nfs/dbraw/zinc/77/65/30/1042776530.db2.gz NLZATUIBRMFHJJ-RCDICMHDSA-N 0 3 319.470 4.001 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nnc(-c2ccccc2F)s1 ZINC000514241705 1042777038 /nfs/dbraw/zinc/77/70/38/1042777038.db2.gz MOADGCSYDGLXOQ-SDDRHHMPSA-N 0 3 307.438 4.429 20 0 DIADHN CCOC1(C)CCN(Cc2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC000430086921 1042778987 /nfs/dbraw/zinc/77/89/87/1042778987.db2.gz COXATTHTMVGDSV-UHFFFAOYSA-N 0 3 300.446 4.176 20 0 DIADHN CC(C)n1cncc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC000425322154 1042792145 /nfs/dbraw/zinc/79/21/45/1042792145.db2.gz GWJSXLKBAPNZRV-UHFFFAOYSA-N 0 3 315.436 4.058 20 0 DIADHN CC(C)n1cncc1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC000425338428 1042794431 /nfs/dbraw/zinc/79/44/31/1042794431.db2.gz PMWOQEJBHNDRMN-CQSZACIVSA-N 0 3 305.853 4.180 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000514859564 1042795229 /nfs/dbraw/zinc/79/52/29/1042795229.db2.gz XGLSQZBKQLRVFS-HOTGVXAUSA-N 0 3 302.462 4.403 20 0 DIADHN Clc1ccc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)cc1 ZINC000425368284 1042799027 /nfs/dbraw/zinc/79/90/27/1042799027.db2.gz DNLXYXRCYIFZLN-KRWDZBQOSA-N 0 3 305.849 4.121 20 0 DIADHN Fc1ccc(OCCN2CCC[C@@H]2c2ccc(F)cc2)cc1 ZINC000514939452 1042801136 /nfs/dbraw/zinc/80/11/36/1042801136.db2.gz JSGWGXKTPMQILE-GOSISDBHSA-N 0 3 303.352 4.181 20 0 DIADHN COC1(CCN2C[C@H](c3cc(C)ccc3C)OC[C@H]2C)CCC1 ZINC000514953664 1042801684 /nfs/dbraw/zinc/80/16/84/1042801684.db2.gz WFLPPXWQEJAVBG-IEBWSBKVSA-N 0 3 317.473 4.024 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2sc(C)nc2C)C1(C)C ZINC001167958939 1042801900 /nfs/dbraw/zinc/80/19/00/1042801900.db2.gz MTRQSNNHEPWSQY-HOTGVXAUSA-N 0 3 310.507 4.176 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2sc(C)nc2C)C1(C)C ZINC001167958938 1042802129 /nfs/dbraw/zinc/80/21/29/1042802129.db2.gz MTRQSNNHEPWSQY-CVEARBPZSA-N 0 3 310.507 4.176 20 0 DIADHN Cc1ncc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)n1C ZINC000514952526 1042802209 /nfs/dbraw/zinc/80/22/09/1042802209.db2.gz XQSCXDSGVRNAQG-KRWDZBQOSA-N 0 3 303.425 4.135 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H](Nc1ccccc1)C(C)C ZINC000924993918 1042803302 /nfs/dbraw/zinc/80/33/02/1042803302.db2.gz MVZOZCYMPBJTGK-SUMWQHHRSA-N 0 3 301.434 4.079 20 0 DIADHN CCCC[C@@H](NCc1ncc(Br)s1)C1CCC1 ZINC000515021157 1042804629 /nfs/dbraw/zinc/80/46/29/1042804629.db2.gz XCOGRVAJDGTGMX-LLVKDONJSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2CCCC[C@@H]2O)s1 ZINC000515427597 1042818604 /nfs/dbraw/zinc/81/86/04/1042818604.db2.gz UFIUMLVIXCVDDX-YJBOKZPZSA-N 0 3 319.470 4.203 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3ccccc3)o2)[C@@H]2CCCC[C@@H]12 ZINC000515548355 1042825456 /nfs/dbraw/zinc/82/54/56/1042825456.db2.gz RMZRMRJJJKRXMJ-HYVNUMGLSA-N 0 3 311.429 4.137 20 0 DIADHN FC(F)Oc1cc(CN[C@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000515680494 1042831783 /nfs/dbraw/zinc/83/17/83/1042831783.db2.gz MMAYULVFQXZGQM-AWEZNQCLSA-N 0 3 324.758 4.114 20 0 DIADHN C[C@H](CO)N(C)Cc1ccccc1Oc1ccc(F)cc1Cl ZINC000515711780 1042832142 /nfs/dbraw/zinc/83/21/42/1042832142.db2.gz AITFUYRARWMXKU-GFCCVEGCSA-N 0 3 323.795 4.084 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@@H]1C1CCCC1 ZINC000515745335 1042832978 /nfs/dbraw/zinc/83/29/78/1042832978.db2.gz AGJHIUZPZGNOLB-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cc(C)sc2C)cc1O ZINC000289727113 1042857847 /nfs/dbraw/zinc/85/78/47/1042857847.db2.gz LNMJBVFYZIQAAR-VXGBXAGGSA-N 0 3 305.443 4.491 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCC(F)(F)[C@@H](C)C1 ZINC000517059482 1042865412 /nfs/dbraw/zinc/86/54/12/1042865412.db2.gz SKIFXOJKYLCLJG-QWRGUYRKSA-N 0 3 305.315 4.326 20 0 DIADHN CC(C)c1cccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000517066773 1042865756 /nfs/dbraw/zinc/86/57/56/1042865756.db2.gz VUILFYNULYICAA-GOSISDBHSA-N 0 3 312.461 4.339 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@H]2c2ccccc2Cl)[n-]1 ZINC000517071384 1042866243 /nfs/dbraw/zinc/86/62/43/1042866243.db2.gz HYUGTYVPJVPHGL-ZFWWWQNUSA-N 0 3 318.852 4.007 20 0 DIADHN CCc1ccccc1NC(=O)CN([C@H](C)[C@H](C)CC)C1CC1 ZINC000517121343 1042868872 /nfs/dbraw/zinc/86/88/72/1042868872.db2.gz SJFHNMNDLVXIRU-HUUCEWRRSA-N 0 3 302.462 4.087 20 0 DIADHN Clc1cccc([C@@H](Cc2cccnc2)NCc2cccnc2)c1 ZINC000425927216 1042874902 /nfs/dbraw/zinc/87/49/02/1042874902.db2.gz ZEKOBDPUTRHNFU-LJQANCHMSA-N 0 3 323.827 4.204 20 0 DIADHN Cc1ccc2cc([C@@H](C)N[C@@H](C)COCC(F)(F)F)oc2c1 ZINC000425941562 1042880132 /nfs/dbraw/zinc/88/01/32/1042880132.db2.gz BXTFRJFVLSABNZ-NWDGAFQWSA-N 0 3 315.335 4.359 20 0 DIADHN Cc1ccc([C@@H](CNCc2ccc(Cl)o2)N2CCCC2)cc1 ZINC000517553243 1042885968 /nfs/dbraw/zinc/88/59/68/1042885968.db2.gz WUMRGZOJJJTKPM-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN CCN(Cc1ccc(C(=O)OC)cc1)[C@@H](C)c1ccc(F)cc1 ZINC000517624704 1042888759 /nfs/dbraw/zinc/88/87/59/1042888759.db2.gz VLMHIPTWPPIJHP-AWEZNQCLSA-N 0 3 315.388 4.195 20 0 DIADHN Fc1cccnc1CN[C@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000517679976 1042893265 /nfs/dbraw/zinc/89/32/65/1042893265.db2.gz INSDZSNYJAGJEQ-ZFWWWQNUSA-N 0 3 304.796 4.300 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1cccc(C)c1C)C1CC1 ZINC000517898914 1042901407 /nfs/dbraw/zinc/90/14/07/1042901407.db2.gz XIMOOPWHUAAWAP-BBRMVZONSA-N 0 3 302.462 4.141 20 0 DIADHN Cc1sccc1CNCc1ccc(OCC(F)(F)F)cc1 ZINC000294334598 1042907893 /nfs/dbraw/zinc/90/78/93/1042907893.db2.gz YTUCCYCJUUPAGB-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN c1ccc([C@H](NCc2nccc3ccccc32)[C@H]2CCOC2)cc1 ZINC000894371413 1042912827 /nfs/dbraw/zinc/91/28/27/1042912827.db2.gz ATTPAFPZQZLHDG-RXVVDRJESA-N 0 3 318.420 4.102 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc(C(C)(C)O)cc1)C(C)C ZINC000295350192 1042913382 /nfs/dbraw/zinc/91/33/82/1042913382.db2.gz IQLUYTKIZURJPS-SFHVURJKSA-N 0 3 312.457 4.104 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cnc(C(C)(C)C)nc1 ZINC000518804202 1042921460 /nfs/dbraw/zinc/92/14/60/1042921460.db2.gz POHDBGLWGUSPCP-RDTXWAMCSA-N 0 3 309.457 4.187 20 0 DIADHN Cc1[nH]c2ccc(CNc3ccnc4ccccc43)cc2c1C ZINC000518899970 1042924857 /nfs/dbraw/zinc/92/48/57/1042924857.db2.gz PRDABASGODFGCI-UHFFFAOYSA-N 0 3 301.393 4.367 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nc3ccccc3n2C(F)F)C1 ZINC000519227488 1042939577 /nfs/dbraw/zinc/93/95/77/1042939577.db2.gz RJFFIYSIHFHXFW-STQMWFEESA-N 0 3 307.388 4.442 20 0 DIADHN c1ccc(OCC2CC2)c(CN2CCC(c3ccncc3)CC2)c1 ZINC000519230404 1042940034 /nfs/dbraw/zinc/94/00/34/1042940034.db2.gz LZYMEYURWLCXON-UHFFFAOYSA-N 0 3 322.452 4.250 20 0 DIADHN Cc1ncoc1CN1CCC(c2ccc(C(F)(F)F)cc2)CC1 ZINC000894424711 1042957655 /nfs/dbraw/zinc/95/76/55/1042957655.db2.gz LOHHICMNQUVAFU-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CNCc2nc3ccccc3o2)C1 ZINC000638727545 1042959626 /nfs/dbraw/zinc/95/96/26/1042959626.db2.gz FKSHCDRIYBMERJ-RYUDHWBXSA-N 0 3 312.335 4.286 20 0 DIADHN C[C@H](NCC(=O)Nc1cccc(F)c1)c1cccc2ccccc21 ZINC000008269463 1042964541 /nfs/dbraw/zinc/96/45/41/1042964541.db2.gz SBDPYGVJIDCROO-AWEZNQCLSA-N 0 3 322.383 4.268 20 0 DIADHN c1ccc([C@@H]2CCC[C@@H]2CNCc2nnc(C3CC3)s2)cc1 ZINC000894676601 1042972317 /nfs/dbraw/zinc/97/23/17/1042972317.db2.gz OSIQLBUZBHVCDV-CVEARBPZSA-N 0 3 313.470 4.089 20 0 DIADHN c1ccc([C@H]2CCC[C@@H]2CNCc2nnc(C3CC3)s2)cc1 ZINC000894676605 1042972495 /nfs/dbraw/zinc/97/24/95/1042972495.db2.gz OSIQLBUZBHVCDV-HZPDHXFCSA-N 0 3 313.470 4.089 20 0 DIADHN Clc1nccc2cc(CN3CCC[C@H]3[C@@H]3CCCO3)sc21 ZINC000894689512 1042973271 /nfs/dbraw/zinc/97/32/71/1042973271.db2.gz GLCNVQWXWAOASO-KBPBESRZSA-N 0 3 322.861 4.093 20 0 DIADHN Cc1ncoc1CN(C)[C@@H](C)Cc1ccccc1C(F)(F)F ZINC000894763332 1042976354 /nfs/dbraw/zinc/97/63/54/1042976354.db2.gz YTOYPLGDRXNDAP-NSHDSACASA-N 0 3 312.335 4.065 20 0 DIADHN FC(F)(F)[C@H]1CCCC[C@H]1CNCc1nc2ccccc2o1 ZINC000638740201 1042978802 /nfs/dbraw/zinc/97/88/02/1042978802.db2.gz RGVPIOFDUORJDY-RYUDHWBXSA-N 0 3 312.335 4.286 20 0 DIADHN C[C@H]1CC[C@@H](CN(Cc2ccc(F)cc2F)C2CCCC2)O1 ZINC001167984326 1042980800 /nfs/dbraw/zinc/98/08/00/1042980800.db2.gz QYDYDXCUUHLSAF-GUYCJALGSA-N 0 3 309.400 4.277 20 0 DIADHN CCOc1ccc(CNCc2cccc(SCC)c2)cc1 ZINC000472042328 1042980844 /nfs/dbraw/zinc/98/08/44/1042980844.db2.gz QIMNFLPQTJLANY-UHFFFAOYSA-N 0 3 301.455 4.487 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCCO2)c1cccc(F)c1 ZINC000187939131 1042983896 /nfs/dbraw/zinc/98/38/96/1042983896.db2.gz DCCVFZGIZRPAMP-KRWDZBQOSA-N 0 3 315.388 4.228 20 0 DIADHN CC(C)N(CCSc1ccccc1F)Cc1cccnc1 ZINC000342387565 1042984722 /nfs/dbraw/zinc/98/47/22/1042984722.db2.gz FVIZGFQAHFFJCB-UHFFFAOYSA-N 0 3 304.434 4.223 20 0 DIADHN C[C@H](N[C@@H]1CCC(C)(C)C1)c1ncc(Br)cc1F ZINC000427521421 1042989889 /nfs/dbraw/zinc/98/98/89/1042989889.db2.gz JQSKDMOUHORIKU-GXSJLCMTSA-N 0 3 315.230 4.213 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC(C)(C)c1ccc(F)cc1Cl ZINC000188007312 1042996477 /nfs/dbraw/zinc/99/64/77/1042996477.db2.gz OWFUPWJPBALGEX-GFCCVEGCSA-N 0 3 321.827 4.206 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1CCO)c1cc(Cl)sc1Cl ZINC000188038447 1042997971 /nfs/dbraw/zinc/99/79/71/1042997971.db2.gz UGVZDTLCBLRJGF-IQJOONFLSA-N 0 3 308.274 4.257 20 0 DIADHN CN(Cc1cc(C(C)(C)C)[nH]n1)C[C@@H]1CCC2(CCCCC2)O1 ZINC000519761881 1043001585 /nfs/dbraw/zinc/00/15/85/1043001585.db2.gz AVLWGXJEZBHDLB-INIZCTEOSA-N 0 3 319.493 4.021 20 0 DIADHN Cc1cnc([C@@H](N[C@@H](C)Cc2ccccc2F)C2CC2)s1 ZINC000188077080 1043010785 /nfs/dbraw/zinc/01/07/85/1043010785.db2.gz HXBXDHGIHJOBEI-ZBEGNZNMSA-N 0 3 304.434 4.263 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)N[C@H](C)c1ccnnc1 ZINC000925094525 1043013498 /nfs/dbraw/zinc/01/34/98/1043013498.db2.gz BAUIXXSHSKKRRI-CRAIPNDOSA-N 0 3 323.465 4.481 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnn(CC(F)(F)F)c2)cc1 ZINC000349803856 1043013658 /nfs/dbraw/zinc/01/36/58/1043013658.db2.gz ZNKKAWSZDXKQTL-INIZCTEOSA-N 0 3 323.362 4.091 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1)[C@H](CC)c1ccccc1 ZINC000520172521 1043020252 /nfs/dbraw/zinc/02/02/52/1043020252.db2.gz LZFWEZHFTDTFLJ-LJQANCHMSA-N 0 3 310.441 4.488 20 0 DIADHN C[C@H]1CCCC[C@H]1CC(=O)Nc1ccc(CN(C)C)cc1F ZINC000520189836 1043022790 /nfs/dbraw/zinc/02/27/90/1043022790.db2.gz YYAFDRHRPAFATM-ZFWWWQNUSA-N 0 3 306.425 4.042 20 0 DIADHN CC(C)OC(=O)c1ccc(CNC(C)(C)c2ccccc2)cc1 ZINC000441157513 1043024062 /nfs/dbraw/zinc/02/40/62/1043024062.db2.gz PNFUTSQZMVKBGX-UHFFFAOYSA-N 0 3 311.425 4.277 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1ccc2[nH]cnc2c1 ZINC000520216047 1043024754 /nfs/dbraw/zinc/02/47/54/1043024754.db2.gz RPNIZPDPXVMYGE-INIZCTEOSA-N 0 3 309.413 4.203 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1ccc2nc[nH]c2c1 ZINC000520216047 1043024756 /nfs/dbraw/zinc/02/47/56/1043024756.db2.gz RPNIZPDPXVMYGE-INIZCTEOSA-N 0 3 309.413 4.203 20 0 DIADHN CCN(Cc1ccn(-c2ccc(F)cc2)n1)C1CCCCC1 ZINC000441176189 1043024783 /nfs/dbraw/zinc/02/47/83/1043024783.db2.gz PAVJSBJOHICFPH-UHFFFAOYSA-N 0 3 301.409 4.166 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccccc2N(C)C)c1C ZINC000520214264 1043025366 /nfs/dbraw/zinc/02/53/66/1043025366.db2.gz ODPXQQLBJHUIQA-UHFFFAOYSA-N 0 3 321.468 4.449 20 0 DIADHN CSC[C@H](C)N[C@@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925099318 1043029223 /nfs/dbraw/zinc/02/92/23/1043029223.db2.gz XKDJHIJAWAZZMM-YJBOKZPZSA-N 0 3 300.471 4.129 20 0 DIADHN CSC[C@@H](C)N[C@@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925099316 1043029554 /nfs/dbraw/zinc/02/95/54/1043029554.db2.gz XKDJHIJAWAZZMM-QAPCUYQASA-N 0 3 300.471 4.129 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N(C)CCc1ccc2c(c1)CCO2 ZINC000441232539 1043030134 /nfs/dbraw/zinc/03/01/34/1043030134.db2.gz LTRICWHYENJNHL-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2cn3ccccc3n2)cc1 ZINC000441332386 1043037351 /nfs/dbraw/zinc/03/73/51/1043037351.db2.gz QZCDUBVBYKFTIJ-UHFFFAOYSA-N 0 3 307.441 4.052 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)Cc1cn2ccsc2n1 ZINC000441370183 1043041191 /nfs/dbraw/zinc/04/11/91/1043041191.db2.gz GXIVYCVBHARBOG-QGZVFWFLSA-N 0 3 313.470 4.157 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1cc(Cl)c2c(c1)OCCCO2 ZINC000520391160 1043041970 /nfs/dbraw/zinc/04/19/70/1043041970.db2.gz YLGPOSIIHGBFBP-HNNXBMFYSA-N 0 3 309.837 4.122 20 0 DIADHN CCCn1cc(CN[C@@H]2CC(C)(C)CCc3ccccc32)cn1 ZINC000441381167 1043042146 /nfs/dbraw/zinc/04/21/46/1043042146.db2.gz ILSXBZHBERPQPS-LJQANCHMSA-N 0 3 311.473 4.487 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc(Cl)cc2)ccc1F ZINC000112545770 1043048654 /nfs/dbraw/zinc/04/86/54/1043048654.db2.gz UDEXKGNBBJHPEN-LBPRGKRZSA-N 0 3 307.796 4.476 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(CO)c2)c(OC(C)C)c1 ZINC000305624720 1043052191 /nfs/dbraw/zinc/05/21/91/1043052191.db2.gz AZRGKDCXEMYTOD-MRXNPFEDSA-N 0 3 313.441 4.125 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2ccncc2)cc1OCC ZINC000173801901 1043054101 /nfs/dbraw/zinc/05/41/01/1043054101.db2.gz POAQKDCVSYHDCS-HUUCEWRRSA-N 0 3 314.429 4.291 20 0 DIADHN FC(F)COc1ccc(CN[C@@H]2CCCc3occc32)cc1 ZINC000174265180 1043063083 /nfs/dbraw/zinc/06/30/83/1043063083.db2.gz FBTSSKHJPLIVIB-OAHLLOKOSA-N 0 3 307.340 4.091 20 0 DIADHN CC(C)P(CCNC(=O)N[C@@H](C)c1ccccc1)C(C)C ZINC001202516134 1043064251 /nfs/dbraw/zinc/06/42/51/1043064251.db2.gz ZLWIQBBZKNJQQR-HNNXBMFYSA-N 0 3 308.406 4.346 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N1CC[C@]2(CCOC2)C1 ZINC000152292244 1043068934 /nfs/dbraw/zinc/06/89/34/1043068934.db2.gz BKXYHAYGBJVUMD-CVEARBPZSA-N 0 3 324.262 4.013 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@H](C(C)C)[C@H](C)C2)cs1 ZINC000536301387 1043092391 /nfs/dbraw/zinc/09/23/91/1043092391.db2.gz AZRLLSSIZZBUMU-IXYNUQLISA-N 0 3 310.507 4.401 20 0 DIADHN CC(C)(C)c1ccc(CCNCc2cc3c(cc[nH]c3=O)o2)cc1 ZINC000865413091 1043092929 /nfs/dbraw/zinc/09/29/29/1043092929.db2.gz XVZYWGNFFSKEAG-UHFFFAOYSA-N 0 3 324.424 4.163 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1F)c1ccc(Cl)s1 ZINC000049129143 1043094448 /nfs/dbraw/zinc/09/44/48/1043094448.db2.gz UBGXHKNQTMYUJC-PELKAZGASA-N 0 3 317.788 4.064 20 0 DIADHN COc1cncc(CN[C@@H](C)c2csc3ccccc23)c1C ZINC000865454392 1043099049 /nfs/dbraw/zinc/09/90/49/1043099049.db2.gz WVRFEIMWOWNCIK-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN CC(C)c1cccc(NC(=O)CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000441437494 1043102626 /nfs/dbraw/zinc/10/26/26/1043102626.db2.gz HTQXHHOBQPASFD-YJBOKZPZSA-N 0 3 300.446 4.013 20 0 DIADHN CC(C)c1cccc(NC(=O)CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000441437492 1043103822 /nfs/dbraw/zinc/10/38/22/1043103822.db2.gz HTQXHHOBQPASFD-MAUKXSAKSA-N 0 3 300.446 4.013 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000177316929 1043106749 /nfs/dbraw/zinc/10/67/49/1043106749.db2.gz LRYSKPDERIPJRK-FQEVSTJZSA-N 0 3 324.399 4.352 20 0 DIADHN COc1cccc([C@H](C)N[C@H](c2ccccc2)[C@@H]2CCCO2)c1 ZINC000177267098 1043107189 /nfs/dbraw/zinc/10/71/89/1043107189.db2.gz JMNKDIZHQYWSBX-RYGJVYDSSA-N 0 3 311.425 4.266 20 0 DIADHN Cc1cncc(CNCc2ccc(C)c(Br)c2C)c1 ZINC000865511843 1043108140 /nfs/dbraw/zinc/10/81/40/1043108140.db2.gz HQCPDHJYAMORCO-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Fc1cccc2cc(CN[C@@H](c3cccnc3)C3CC3)cnc12 ZINC000865512906 1043108251 /nfs/dbraw/zinc/10/82/51/1043108251.db2.gz QIMURGWERAVSII-GOSISDBHSA-N 0 3 307.372 4.010 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2nc(C(C)(C)C)cs2)CCO1 ZINC000865521802 1043108887 /nfs/dbraw/zinc/10/88/87/1043108887.db2.gz HAPYBDWLMOZWRO-ZIAGYGMSSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@H](NCC[C@@H]1CCCO1)c1nc(-c2ccccc2)cs1 ZINC000177845500 1043115126 /nfs/dbraw/zinc/11/51/26/1043115126.db2.gz WCOZUJKSCHTYQE-ZFWWWQNUSA-N 0 3 302.443 4.030 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(SC(F)F)cc1 ZINC000177842826 1043115363 /nfs/dbraw/zinc/11/53/63/1043115363.db2.gz ZXNHQYZGOVELBZ-LBPRGKRZSA-N 0 3 323.408 4.344 20 0 DIADHN C[C@@H](N[C@@H](CCc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000177906351 1043116777 /nfs/dbraw/zinc/11/67/77/1043116777.db2.gz ZOMBPGVALIFPSQ-UTKZUKDTSA-N 0 3 319.452 4.445 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1ccc(-n2cccn2)cc1 ZINC000177940700 1043117317 /nfs/dbraw/zinc/11/73/17/1043117317.db2.gz LSVAZNXUIKDKCQ-KDOFPFPSSA-N 0 3 307.397 4.194 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2C)c2ccccc12 ZINC000177958886 1043118153 /nfs/dbraw/zinc/11/81/53/1043118153.db2.gz WMZRKBWPCMDCBE-ZIAGYGMSSA-N 0 3 323.440 4.302 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2cccc(-c3ccncc3)c2)cnn1C ZINC000177964635 1043118174 /nfs/dbraw/zinc/11/81/74/1043118174.db2.gz GRGVDCQKEUZSLA-CABCVRRESA-N 0 3 320.440 4.202 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2C)c2ccccc12 ZINC000177958898 1043119219 /nfs/dbraw/zinc/11/92/19/1043119219.db2.gz WMZRKBWPCMDCBE-KGLIPLIRSA-N 0 3 323.440 4.302 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCc3cc(Cl)ccc32)cn1 ZINC000178086770 1043119358 /nfs/dbraw/zinc/11/93/58/1043119358.db2.gz RTLZWAWGXLPQDI-YVEFUNNKSA-N 0 3 303.837 4.456 20 0 DIADHN O[C@@H]1C[C@H](NCc2ccc(CC(F)(F)F)cc2)c2ccccc21 ZINC000865626789 1043119636 /nfs/dbraw/zinc/11/96/36/1043119636.db2.gz YPJXGXUZMMEROU-DLBZAZTESA-N 0 3 321.342 4.059 20 0 DIADHN O[C@H]1C[C@@H](NCc2ccccc2CC(F)(F)F)c2ccccc21 ZINC000865626023 1043119716 /nfs/dbraw/zinc/11/97/16/1043119716.db2.gz HIAZNRMMANXFGX-SJORKVTESA-N 0 3 321.342 4.059 20 0 DIADHN Clc1cncc(Cl)c1CNCC[C@H]1CC1(Cl)Cl ZINC000865636957 1043120707 /nfs/dbraw/zinc/12/07/07/1043120707.db2.gz JECLOHDETZTBHI-ZETCQYMHSA-N 0 3 314.043 4.062 20 0 DIADHN C[C@H](O)[C@@H](NCc1cccc(OC(C)(C)C)c1)c1ccccc1 ZINC000865635300 1043120822 /nfs/dbraw/zinc/12/08/22/1043120822.db2.gz LTOFSMGRDIPKCU-HNAYVOBHSA-N 0 3 313.441 4.076 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCC[C@H]2CCC[C@H]21 ZINC000441445666 1043121329 /nfs/dbraw/zinc/12/13/29/1043121329.db2.gz YZAPKBWMPCODBP-UKRRQHHQSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000441445662 1043121645 /nfs/dbraw/zinc/12/16/45/1043121645.db2.gz YZAPKBWMPCODBP-DZGCQCFKSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@@H](C)CSC(C)(C)C)c(C)c1 ZINC000178133009 1043122811 /nfs/dbraw/zinc/12/28/11/1043122811.db2.gz ORVJYONTODRZIH-HNNXBMFYSA-N 0 3 322.518 4.060 20 0 DIADHN CC1(c2ccc(CNCc3cccc(C4CC4)c3)cc2)OCCO1 ZINC000865668390 1043123907 /nfs/dbraw/zinc/12/39/07/1043123907.db2.gz KLAOLLJZBRWUIF-UHFFFAOYSA-N 0 3 323.436 4.073 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000178254039 1043125297 /nfs/dbraw/zinc/12/52/97/1043125297.db2.gz QGNHUGFRGUKXTM-CABCVRRESA-N 0 3 320.440 4.202 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000178254024 1043125525 /nfs/dbraw/zinc/12/55/25/1043125525.db2.gz QGNHUGFRGUKXTM-HUUCEWRRSA-N 0 3 320.440 4.202 20 0 DIADHN CC(C)(C)c1csc(CNCC2([C@H]3CCCCO3)CCC2)n1 ZINC000865726447 1043128237 /nfs/dbraw/zinc/12/82/37/1043128237.db2.gz OBZLOXRGMYQAKS-OAHLLOKOSA-N 0 3 322.518 4.270 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc1 ZINC000178552643 1043132611 /nfs/dbraw/zinc/13/26/11/1043132611.db2.gz MJFQFLHNZUGSOY-JKSUJKDBSA-N 0 3 313.441 4.378 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000178555183 1043133148 /nfs/dbraw/zinc/13/31/48/1043133148.db2.gz VADPQJONOGFREZ-XIKOKIGWSA-N 0 3 301.817 4.170 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)cc1 ZINC000178554487 1043133439 /nfs/dbraw/zinc/13/34/39/1043133439.db2.gz HNEUERDUOGGWIA-STQMWFEESA-N 0 3 321.367 4.192 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@@H](C)c1cc(F)ccc1F ZINC000120727712 1043133654 /nfs/dbraw/zinc/13/36/54/1043133654.db2.gz SKXHYXLTEUICLY-VBHSOAQHSA-N 0 3 305.368 4.128 20 0 DIADHN CCC[C@@H](CNCc1nn(C)cc1C(F)F)c1ccccc1 ZINC000865808138 1043135154 /nfs/dbraw/zinc/13/51/54/1043135154.db2.gz AUYZJVBWBSNNJY-AWEZNQCLSA-N 0 3 307.388 4.031 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](C)c2ccc(C)s2)s1 ZINC000049160517 1043135792 /nfs/dbraw/zinc/13/57/92/1043135792.db2.gz XQFOHTYORGHYNW-GFCCVEGCSA-N 0 3 309.504 4.210 20 0 DIADHN C[C@@H](NCc1ccc(N(C)c2ccccc2)cc1)c1ccnn1C ZINC000865839863 1043139396 /nfs/dbraw/zinc/13/93/96/1043139396.db2.gz VVIBARIEBBQTRC-MRXNPFEDSA-N 0 3 320.440 4.039 20 0 DIADHN Cc1ccc2cc([C@H](C)NCCC(=O)Nc3ccccc3)oc2c1 ZINC000426012438 1043158228 /nfs/dbraw/zinc/15/82/28/1043158228.db2.gz DZDDHDSTYCIEOT-HNNXBMFYSA-N 0 3 322.408 4.421 20 0 DIADHN C[C@@H](N[C@@H]1CCSc2ccc(F)cc21)c1cccc(CO)c1 ZINC000183239036 1043158543 /nfs/dbraw/zinc/15/85/43/1043158543.db2.gz BLTASGCMOOKJRE-SJKOYZFVSA-N 0 3 317.429 4.206 20 0 DIADHN CC(C)OCc1ccccc1CN[C@@H](c1ccccn1)C(C)C ZINC000866241886 1043163740 /nfs/dbraw/zinc/16/37/40/1043163740.db2.gz HBFHEBIENNKZFV-HXUWFJFHSA-N 0 3 312.457 4.494 20 0 DIADHN Cc1cc(-c2nnc(CN[C@H]3CCCC[C@H]3C(C)C)o2)c(C)o1 ZINC000162530235 1043165793 /nfs/dbraw/zinc/16/57/93/1043165793.db2.gz ACQPHOXFXHXYIQ-HOCLYGCPSA-N 0 3 317.433 4.251 20 0 DIADHN CC(C)n1ccc(CN[C@H](C)CCc2cncc3ccccc32)n1 ZINC000866269115 1043168854 /nfs/dbraw/zinc/16/88/54/1043168854.db2.gz NFCHMUAZHBQMFZ-MRXNPFEDSA-N 0 3 322.456 4.123 20 0 DIADHN O=C(CN(C1CC1)C1CCCC1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001307465148 1043170157 /nfs/dbraw/zinc/17/01/57/1043170157.db2.gz OWWSLLNFOSJGCV-BETUJISGSA-N 0 3 317.395 4.331 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000163287041 1043173934 /nfs/dbraw/zinc/17/39/34/1043173934.db2.gz MOQMAAWZQAOTHV-HIFRSBDPSA-N 0 3 303.406 4.005 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cccc(NC(C)=O)c1 ZINC000190461572 1043179839 /nfs/dbraw/zinc/17/98/39/1043179839.db2.gz DSJOEZSGENGPDQ-UHFFFAOYSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1sc(NC(=O)CN2[C@H](C)C[C@@H]3CCCC[C@@H]32)c(C)c1C ZINC000441489197 1043182130 /nfs/dbraw/zinc/18/21/30/1043182130.db2.gz SGSAGMRBPAVTBR-RLCCDNCMSA-N 0 3 320.502 4.265 20 0 DIADHN C[C@H](C[S@@](C)=O)N[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000184287326 1043182166 /nfs/dbraw/zinc/18/21/66/1043182166.db2.gz OKCVVRDGDQLECK-GPAUDHNESA-N 0 3 315.438 4.004 20 0 DIADHN Cc1sc(NC(=O)CN2[C@H](C)C[C@H]3CCCC[C@@H]32)c(C)c1C ZINC000441489196 1043182960 /nfs/dbraw/zinc/18/29/60/1043182960.db2.gz SGSAGMRBPAVTBR-LYRGGWFBSA-N 0 3 320.502 4.265 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCCc2nc(C)sc21 ZINC000866335435 1043183095 /nfs/dbraw/zinc/18/30/95/1043183095.db2.gz AGFRXEFIKJQPGS-DOTOQJQBSA-N 0 3 314.454 4.115 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(Br)cc2C)c1 ZINC000184402620 1043185365 /nfs/dbraw/zinc/18/53/65/1043185365.db2.gz HJIUBEDZCYWSCW-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000866363034 1043189199 /nfs/dbraw/zinc/18/91/99/1043189199.db2.gz FEJZQBADDVHJMC-OAHLLOKOSA-N 0 3 324.321 4.407 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](C)CCc1cncc2ccccc21 ZINC000866366936 1043189472 /nfs/dbraw/zinc/18/94/72/1043189472.db2.gz DJEPTTZHVHRVDG-HOTGVXAUSA-N 0 3 322.456 4.123 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@@H](C)CCc1cncc2ccccc21 ZINC000866366940 1043189953 /nfs/dbraw/zinc/18/99/53/1043189953.db2.gz DJEPTTZHVHRVDG-JKSUJKDBSA-N 0 3 322.456 4.123 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2ccc(OC(C)(C)C)cc2)cnn1C ZINC000185197283 1043196078 /nfs/dbraw/zinc/19/60/78/1043196078.db2.gz GGSFKLUNBBXBNQ-UONOGXRCSA-N 0 3 315.461 4.318 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000185219079 1043197132 /nfs/dbraw/zinc/19/71/32/1043197132.db2.gz RVIRBFGZZFXEMC-KGLIPLIRSA-N 0 3 315.461 4.318 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(C3(C)OCCO3)cc2)cs1 ZINC000866423408 1043202247 /nfs/dbraw/zinc/20/22/47/1043202247.db2.gz RSGREEHVUBMZDK-CQSZACIVSA-N 0 3 317.454 4.127 20 0 DIADHN CC[C@H](NCc1cccc2c1CCOC2)c1ccccc1OC ZINC000866478045 1043206903 /nfs/dbraw/zinc/20/69/03/1043206903.db2.gz DKMNCVAWQHVROU-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN CC[C@@H](NCc1ccnc(F)c1)c1cccc(Br)c1 ZINC000866475201 1043206991 /nfs/dbraw/zinc/20/69/91/1043206991.db2.gz ZXSOKXGODUUSMF-CQSZACIVSA-N 0 3 323.209 4.224 20 0 DIADHN C[C@@H](CC1CCC1)N[C@@H](C)c1nc(Br)cs1 ZINC000866486445 1043211094 /nfs/dbraw/zinc/21/10/94/1043211094.db2.gz HRUKHNHIIDXGFI-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](CC1CCC1)N[C@@H](C)c1nc(Br)cs1 ZINC000866486443 1043211142 /nfs/dbraw/zinc/21/11/42/1043211142.db2.gz HRUKHNHIIDXGFI-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CC=CC[C@H]2C(F)(F)F)cs1 ZINC000866535166 1043219366 /nfs/dbraw/zinc/21/93/66/1043219366.db2.gz QCBHFBLBXLLGKP-ZMLRMANQSA-N 0 3 304.381 4.247 20 0 DIADHN CC(C)c1nc([C@H](C)NCCCCNc2ccccn2)cs1 ZINC000186313504 1043220182 /nfs/dbraw/zinc/22/01/82/1043220182.db2.gz LZEYJDNKWYFNTJ-AWEZNQCLSA-N 0 3 318.490 4.204 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCCCNc2ccccn2)cs1 ZINC000186313485 1043221284 /nfs/dbraw/zinc/22/12/84/1043221284.db2.gz LZEYJDNKWYFNTJ-CQSZACIVSA-N 0 3 318.490 4.204 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@H]2C[C@H](c3ccccc3)C2(C)C)n1 ZINC000866566007 1043224961 /nfs/dbraw/zinc/22/49/61/1043224961.db2.gz WHDKVYDGROEPTL-ZBFHGGJFSA-N 0 3 319.399 4.030 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H](c2ccccc2)c2ccccn2)n1 ZINC000186579329 1043229796 /nfs/dbraw/zinc/22/97/96/1043229796.db2.gz OKOAAGHCXHXZMC-KBXCAEBGSA-N 0 3 323.465 4.329 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccc(Cl)nc1 ZINC000866609371 1043232753 /nfs/dbraw/zinc/23/27/53/1043232753.db2.gz MHHWLDLJSOJNFM-MVWJERBFSA-N 0 3 304.743 4.283 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccc(Cl)cn1 ZINC000866609363 1043232832 /nfs/dbraw/zinc/23/28/32/1043232832.db2.gz MDQNQWQMJRKFMY-UFGOTCBOSA-N 0 3 304.743 4.283 20 0 DIADHN C[C@H](NCc1cc(COC(C)(C)C)on1)[C@@H](C)c1ccccc1 ZINC000186808587 1043234746 /nfs/dbraw/zinc/23/47/46/1043234746.db2.gz LSOIVBXTBARMDP-CABCVRRESA-N 0 3 316.445 4.272 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccnn1C)c1ccc2cc(OC)ccc2c1 ZINC000866612707 1043236698 /nfs/dbraw/zinc/23/66/98/1043236698.db2.gz MOBGSRXZGMJRSK-AUUYWEPGSA-N 0 3 323.440 4.384 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000441644440 1043241627 /nfs/dbraw/zinc/24/16/27/1043241627.db2.gz HDWICBXLOCSNHK-AGIUHOORSA-N 0 3 314.256 4.413 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CCSc2cc(C)c(C)cc21 ZINC000187161894 1043242386 /nfs/dbraw/zinc/24/23/86/1043242386.db2.gz SKLBCOSFMBRHKA-DYVFJYSZSA-N 0 3 315.486 4.233 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000441653730 1043244911 /nfs/dbraw/zinc/24/49/11/1043244911.db2.gz FUYYKARMANXACS-ZDUSSCGKSA-N 0 3 305.447 4.199 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000441653727 1043245709 /nfs/dbraw/zinc/24/57/09/1043245709.db2.gz FUYYKARMANXACS-CYBMUJFWSA-N 0 3 305.447 4.199 20 0 DIADHN COc1ccccc1CN1CCC2(CCc3ccccc3O2)CC1 ZINC001203438202 1043251268 /nfs/dbraw/zinc/25/12/68/1043251268.db2.gz YJOUECATMCKIQC-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H](c1cnn(C)c1)C(C)(C)C ZINC000339077231 1043260236 /nfs/dbraw/zinc/26/02/36/1043260236.db2.gz HORRAQGXJQNBHI-GOSISDBHSA-N 0 3 314.477 4.124 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc(C(F)F)cc1 ZINC000192348809 1043268862 /nfs/dbraw/zinc/26/88/62/1043268862.db2.gz QMYQEMUBFUTUNT-INIZCTEOSA-N 0 3 303.352 4.497 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000193048510 1043280841 /nfs/dbraw/zinc/28/08/41/1043280841.db2.gz KPYFTJZWHQCMTD-IPELMVKDSA-N 0 3 311.425 4.415 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(-c3ccccc3)cc21)c1ccnn1C ZINC000193315831 1043281751 /nfs/dbraw/zinc/28/17/51/1043281751.db2.gz KRWGGMSUNHOOEJ-YWZLYKJASA-N 0 3 317.436 4.425 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000193364603 1043283557 /nfs/dbraw/zinc/28/35/57/1043283557.db2.gz MFCSCMBNHKJUPV-AWEZNQCLSA-N 0 3 312.413 4.088 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2sc(C)nc2C)cc1 ZINC000193359659 1043285012 /nfs/dbraw/zinc/28/50/12/1043285012.db2.gz GVVUJJHLZMRCEZ-LBPRGKRZSA-N 0 3 303.475 4.433 20 0 DIADHN C[C@@H](NCc1cccc(N)c1)c1ccccc1OC(F)(F)F ZINC000665233628 1043293718 /nfs/dbraw/zinc/29/37/18/1043293718.db2.gz LVTJYBDCDKTNJX-LLVKDONJSA-N 0 3 310.319 4.018 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(O)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000194839699 1043294267 /nfs/dbraw/zinc/29/42/67/1043294267.db2.gz RFPSEGMQASMDEL-ZIAGYGMSSA-N 0 3 324.424 4.153 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H](C)c2cccc(O)c2)n1 ZINC000194915352 1043295083 /nfs/dbraw/zinc/29/50/83/1043295083.db2.gz IHDRJLMLDJCMJG-INIZCTEOSA-N 0 3 321.424 4.046 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1)c1cccc(O)c1 ZINC000194839685 1043295441 /nfs/dbraw/zinc/29/54/41/1043295441.db2.gz RFPSEGMQASMDEL-UONOGXRCSA-N 0 3 324.424 4.153 20 0 DIADHN C[C@@H](NCC1(C)CCCC1)c1ncc(Br)cc1F ZINC000427694937 1043297093 /nfs/dbraw/zinc/29/70/93/1043297093.db2.gz FLENAAKEBXAHDM-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ncc(Br)cc1F ZINC000427699503 1043297699 /nfs/dbraw/zinc/29/76/99/1043297699.db2.gz XPMPMVMEOCVOGS-GIPNMCIBSA-N 0 3 315.230 4.213 20 0 DIADHN CC[C@@H](NC1(C(=O)Nc2ccccc2)CC1)c1ccc(C)cc1 ZINC000427974605 1043319190 /nfs/dbraw/zinc/31/91/90/1043319190.db2.gz QGQXPQGVRGNKEX-GOSISDBHSA-N 0 3 308.425 4.207 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H](C)c1ccc2c(c1)COC2 ZINC000268712670 1043324753 /nfs/dbraw/zinc/32/47/53/1043324753.db2.gz FMBYIHYOPGKTRU-CABCVRRESA-N 0 3 311.425 4.446 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000268730383 1043325716 /nfs/dbraw/zinc/32/57/16/1043325716.db2.gz BVQOFZNGTFPGJX-MRXNPFEDSA-N 0 3 324.468 4.192 20 0 DIADHN Cc1ccc(CN[C@H]2CCc3ccc(OC(F)(F)F)cc32)cn1 ZINC000268757707 1043327695 /nfs/dbraw/zinc/32/76/95/1043327695.db2.gz FXNRJVPJBFSQTH-INIZCTEOSA-N 0 3 322.330 4.066 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc3c(c2)COC3)cc1 ZINC000268764275 1043328635 /nfs/dbraw/zinc/32/86/35/1043328635.db2.gz ISJFZYAVJPLMDG-OAHLLOKOSA-N 0 3 311.425 4.356 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccnc(N2CCCCC2)c1 ZINC000268765836 1043329385 /nfs/dbraw/zinc/32/93/85/1043329385.db2.gz JFDVEWZNEQLSGV-OAHLLOKOSA-N 0 3 315.486 4.293 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000268811110 1043333552 /nfs/dbraw/zinc/33/35/52/1043333552.db2.gz AXODWOSQSMUDQQ-WCJKSRRJSA-N 0 3 315.482 4.453 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3nc(CC)sc32)cc1 ZINC000268828178 1043336336 /nfs/dbraw/zinc/33/63/36/1043336336.db2.gz VGZFTLZKIHQCRT-HNNXBMFYSA-N 0 3 316.470 4.271 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](C)c2cnn(CC)c2)cc1 ZINC000268868430 1043339222 /nfs/dbraw/zinc/33/92/22/1043339222.db2.gz CSHQDZNPOOUOBR-GJZGRUSLSA-N 0 3 301.434 4.104 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)s1 ZINC000268855201 1043339045 /nfs/dbraw/zinc/33/90/45/1043339045.db2.gz QPCUYJBCYAWYAT-MTJIALIYSA-N 0 3 307.484 4.206 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cc(N)ccc21)c1cc(F)ccc1F ZINC000665268727 1043340325 /nfs/dbraw/zinc/34/03/25/1043340325.db2.gz UYBPBDKDQQVDAP-MSOLQXFVSA-N 0 3 302.368 4.275 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1cc(Cl)cc(Cl)c1 ZINC000268914942 1043343099 /nfs/dbraw/zinc/34/30/99/1043343099.db2.gz UWVUIMRQNYJHCW-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1cc(Cl)cc(Cl)c1 ZINC000268914944 1043343211 /nfs/dbraw/zinc/34/32/11/1043343211.db2.gz UWVUIMRQNYJHCW-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@@H](C)c2cncc(F)c2)c1C ZINC000268923581 1043343453 /nfs/dbraw/zinc/34/34/53/1043343453.db2.gz QSKKSPHXUCWPNF-AWEZNQCLSA-N 0 3 311.404 4.478 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@H]3CCc4cc(N)ccc43)oc21 ZINC000665269274 1043343764 /nfs/dbraw/zinc/34/37/64/1043343764.db2.gz CSCLZUVLKNCUSZ-SJCJKPOMSA-N 0 3 322.408 4.362 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H]2CCSc3ccc(Cl)cc32)o1 ZINC000268947693 1043344848 /nfs/dbraw/zinc/34/48/48/1043344848.db2.gz BQOJAZAROTUXRW-KBPBESRZSA-N 0 3 323.845 4.102 20 0 DIADHN OCCC[C@@H](N[C@H]1CCCOc2ccccc21)c1ccccc1 ZINC000268944912 1043345586 /nfs/dbraw/zinc/34/55/86/1043345586.db2.gz AYCAFHRFWCZJRQ-MOPGFXCFSA-N 0 3 311.425 4.004 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](CCCO)c2ccccc2)s1 ZINC000268963884 1043347024 /nfs/dbraw/zinc/34/70/24/1043347024.db2.gz GKBSGYLANLQHEM-CJNGLKHVSA-N 0 3 318.486 4.178 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](CCCO)c2ccccc2)s1 ZINC000268963888 1043347247 /nfs/dbraw/zinc/34/72/47/1043347247.db2.gz GKBSGYLANLQHEM-XJKSGUPXSA-N 0 3 318.486 4.178 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(N)ccc21)c1nccc2ccccc21 ZINC000665270968 1043347310 /nfs/dbraw/zinc/34/73/10/1043347310.db2.gz DQBOYORLZSFJAM-DJJJIMSYSA-N 0 3 303.409 4.155 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1nccc2ccccc21 ZINC000665270967 1043347471 /nfs/dbraw/zinc/34/74/71/1043347471.db2.gz DQBOYORLZSFJAM-BFUOFWGJSA-N 0 3 303.409 4.155 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(N)ccc21)c1ccc(Cl)c(F)c1 ZINC000665270537 1043348031 /nfs/dbraw/zinc/34/80/31/1043348031.db2.gz ZUVCWTGLZXOCGO-QGHHPUGFSA-N 0 3 304.796 4.399 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1ccc(F)c(Cl)c1 ZINC000268998022 1043348476 /nfs/dbraw/zinc/34/84/76/1043348476.db2.gz OELJXRNMIOGXBZ-YVEFUNNKSA-N 0 3 307.796 4.253 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1ccc(C(F)(F)F)cc1 ZINC000269022866 1043350013 /nfs/dbraw/zinc/35/00/13/1043350013.db2.gz BYYOATFLJFJMES-YMRXKLBXSA-N 0 3 321.342 4.014 20 0 DIADHN Fc1ccccc1-c1ccc(CN[C@H]2COc3ccccc3C2)o1 ZINC000520979007 1043350566 /nfs/dbraw/zinc/35/05/66/1043350566.db2.gz ZLJVOZCPKOAJNM-OAHLLOKOSA-N 0 3 323.367 4.179 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](CCO)c2ccccc2)cc1 ZINC000269006239 1043350616 /nfs/dbraw/zinc/35/06/16/1043350616.db2.gz QONYEYOGDKYAPY-OXJNMPFZSA-N 0 3 313.441 4.248 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)NCc1csc([C@@H](C)OC)n1 ZINC000269037521 1043351906 /nfs/dbraw/zinc/35/19/06/1043351906.db2.gz FUKMLALAPDDXQM-ZBFHGGJFSA-N 0 3 318.486 4.270 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000269065705 1043355017 /nfs/dbraw/zinc/35/50/17/1043355017.db2.gz YSUOXVSIFSESQX-JHVJFLLYSA-N 0 3 323.436 4.288 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](CCO)c2ccccc2)cc1F ZINC000269064925 1043355137 /nfs/dbraw/zinc/35/51/37/1043355137.db2.gz VKDAJFGQQMNPGE-SUMWQHHRSA-N 0 3 319.445 4.322 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1cccc(OC(F)F)c1 ZINC000269066541 1043355809 /nfs/dbraw/zinc/35/58/09/1043355809.db2.gz VVUACCGBWRLODL-CXAGYDPISA-N 0 3 321.367 4.062 20 0 DIADHN Cc1ccc(CNCc2c(C)nc3scc(C4CC4)n23)s1 ZINC000269351629 1043362758 /nfs/dbraw/zinc/36/27/58/1043362758.db2.gz JRUQNCRXMKEHJA-UHFFFAOYSA-N 0 3 317.483 4.241 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCO[C@@H]2c2ccccc2)cs1 ZINC000269443538 1043366475 /nfs/dbraw/zinc/36/64/75/1043366475.db2.gz UAZUJFQSBPWKDI-QANKJYHBSA-N 0 3 316.470 4.270 20 0 DIADHN CCCN(Cc1ncc(-c2ccc(OC)cc2)o1)CC(C)C ZINC000521192570 1043371382 /nfs/dbraw/zinc/37/13/82/1043371382.db2.gz DUZOFZXIVIYXND-UHFFFAOYSA-N 0 3 302.418 4.218 20 0 DIADHN Cc1nccn1CCCCSc1nc2ccc(Cl)cc2[nH]1 ZINC000442412142 1043381633 /nfs/dbraw/zinc/38/16/33/1043381633.db2.gz QXWTZLRTEMPTTI-UHFFFAOYSA-N 0 3 320.849 4.294 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CC[C@H](C3CCC3)C2)o1 ZINC000665284886 1043384184 /nfs/dbraw/zinc/38/41/84/1043384184.db2.gz XQSXWBCFLSRBHK-ZDUSSCGKSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@@H](C3CCC3)C2)c(C)c1 ZINC000665286029 1043388141 /nfs/dbraw/zinc/38/81/41/1043388141.db2.gz CNWDKYGQLRZUOS-SJLPKXTDSA-N 0 3 314.473 4.061 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1cccc(CO)c1 ZINC000270544298 1043390368 /nfs/dbraw/zinc/39/03/68/1043390368.db2.gz YIHYONUJUKTSJR-STQMWFEESA-N 0 3 321.367 4.192 20 0 DIADHN CCN(Cc1ccncc1)[C@H](C)c1ccc(OC(F)F)cc1 ZINC000270994590 1043396847 /nfs/dbraw/zinc/39/68/47/1043396847.db2.gz JEDHPKWSPFSLED-CYBMUJFWSA-N 0 3 306.356 4.266 20 0 DIADHN CC(C)N(Cc1c[nH]nc1-c1cccnc1)Cc1cccc(F)c1 ZINC000271051972 1043398661 /nfs/dbraw/zinc/39/86/61/1043398661.db2.gz ATQVCCPXPAJPMS-UHFFFAOYSA-N 0 3 324.403 4.021 20 0 DIADHN COC1CCN(Cc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000271045575 1043399384 /nfs/dbraw/zinc/39/93/84/1043399384.db2.gz QMNWMFNVVXHMLZ-UHFFFAOYSA-N 0 3 303.377 4.005 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(OCC(F)(F)F)cc1 ZINC000271045957 1043400519 /nfs/dbraw/zinc/40/05/19/1043400519.db2.gz VJJBKQYLJSSBIZ-UHFFFAOYSA-N 0 3 324.346 4.045 20 0 DIADHN COc1ccccc1C[C@H](N[C@@H](C)c1cscn1)C(C)C ZINC000271059711 1043402644 /nfs/dbraw/zinc/40/26/44/1043402644.db2.gz BTNBYLIDGCCRCG-ZFWWWQNUSA-N 0 3 304.459 4.070 20 0 DIADHN c1ccc2ncc(CN3CCC(OC4CCCC4)CC3)cc2c1 ZINC000271151822 1043404125 /nfs/dbraw/zinc/40/41/25/1043404125.db2.gz GAPKWAWFQXVRKQ-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(C(F)(F)F)cc1)c1cscn1 ZINC000271124049 1043404480 /nfs/dbraw/zinc/40/44/80/1043404480.db2.gz KFHURIYJCMOPHR-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN COCCC1(CN[C@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000925279627 1043406041 /nfs/dbraw/zinc/40/60/41/1043406041.db2.gz XXGFBBOCZPSFOD-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C)s1 ZINC000271430217 1043416187 /nfs/dbraw/zinc/41/61/87/1043416187.db2.gz NWZLLGJHFUMPOD-JKSUJKDBSA-N 0 3 301.459 4.005 20 0 DIADHN CC(C)COC[C@H](NC1CCC(C(F)F)CC1)c1ccco1 ZINC000442775268 1043417236 /nfs/dbraw/zinc/41/72/36/1043417236.db2.gz AJOWFGWPCYVJKB-NRXISQOPSA-N 0 3 315.404 4.407 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc21)c1ccc(C(F)(F)F)nc1 ZINC000871935190 1043417431 /nfs/dbraw/zinc/41/74/31/1043417431.db2.gz SZHQLNWAJXKQHO-ABAIWWIYSA-N 0 3 306.331 4.439 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)CC2 ZINC000271445385 1043418715 /nfs/dbraw/zinc/41/87/15/1043418715.db2.gz HJVXKIPLUQVHKB-WTGRHJARSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nnc(-c2ccccc2Cl)o1 ZINC000271439965 1043419763 /nfs/dbraw/zinc/41/97/63/1043419763.db2.gz BRLUNKRZLHSZEW-VXGBXAGGSA-N 0 3 305.809 4.153 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1cc(Cl)ccc1Cl ZINC000271446005 1043419794 /nfs/dbraw/zinc/41/97/94/1043419794.db2.gz CYGGKSIFRDVDEU-LKFCYVNXSA-N 0 3 310.228 4.445 20 0 DIADHN CC[C@H](NCc1c[nH]nc1C)c1ccc2cc(OC)ccc2c1 ZINC000271448939 1043420055 /nfs/dbraw/zinc/42/00/55/1043420055.db2.gz FHRZMYIGHOJLEK-IBGZPJMESA-N 0 3 309.413 4.121 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H](C)Oc1cccc(Cl)c1 ZINC000925469075 1043420457 /nfs/dbraw/zinc/42/04/57/1043420457.db2.gz AQRVCZWIZJABDH-GHMZBOCLSA-N 0 3 308.809 4.063 20 0 DIADHN Cc1cc([C@@H](N[C@H](C)c2cnc(C)nc2C)C2CC2)ccc1F ZINC000271471823 1043421429 /nfs/dbraw/zinc/42/14/29/1043421429.db2.gz INZHNRVOYHANMW-YJYMSZOUSA-N 0 3 313.420 4.343 20 0 DIADHN Cc1cc([C@H](N[C@@H](C)c2cnc(C)nc2C)C2CC2)ccc1F ZINC000271471821 1043422032 /nfs/dbraw/zinc/42/20/32/1043422032.db2.gz INZHNRVOYHANMW-ORAYPTAESA-N 0 3 313.420 4.343 20 0 DIADHN CC[C@H](N[C@@H](C)c1cn[nH]c1)c1ccc2cc(OC)ccc2c1 ZINC000271478347 1043424456 /nfs/dbraw/zinc/42/44/56/1043424456.db2.gz JILHDOHJKISQKE-DJJJIMSYSA-N 0 3 309.413 4.373 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000271478241 1043424469 /nfs/dbraw/zinc/42/44/69/1043424469.db2.gz SENGNJBYFUIKQT-CABCVRRESA-N 0 3 323.440 4.150 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000271492075 1043426277 /nfs/dbraw/zinc/42/62/77/1043426277.db2.gz ICYIPWFUAOOJJH-SMDDNHRTSA-N 0 3 305.809 4.153 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2cnccc2C)n1 ZINC000271493044 1043426525 /nfs/dbraw/zinc/42/65/25/1043426525.db2.gz KMAYUACYRZDAAV-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CC(C)(C)Sc2ccccc21 ZINC000872031886 1043428333 /nfs/dbraw/zinc/42/83/33/1043428333.db2.gz NNTMKXUSBWZSTQ-LRDDRELGSA-N 0 3 315.486 4.395 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(-n3cccn3)cc2)c1 ZINC000271518572 1043428631 /nfs/dbraw/zinc/42/86/31/1043428631.db2.gz PANHOLOOSHYCOW-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(SCC(F)F)cc1)c1cn[nH]c1 ZINC000271517427 1043428850 /nfs/dbraw/zinc/42/88/50/1043428850.db2.gz NZMWCMITZBVYDL-WDEREUQCSA-N 0 3 311.401 4.179 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H]3CCO[C@H](C)C3)cs2)cc1 ZINC000271539984 1043434363 /nfs/dbraw/zinc/43/43/63/1043434363.db2.gz SJPPZJGXGXTFLC-CABCVRRESA-N 0 3 316.470 4.023 20 0 DIADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+][C@H](CC)CCC(F)(F)F)[n-]1 ZINC000872065453 1043445832 /nfs/dbraw/zinc/44/58/32/1043445832.db2.gz BLLPSXJGPJWQFD-GMTAPVOTSA-N 0 3 306.376 4.090 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc(O)c(OCC)c2)c1 ZINC000271538472 1043434445 /nfs/dbraw/zinc/43/44/45/1043434445.db2.gz YCGBYCQWFKTLKI-AWEZNQCLSA-N 0 3 315.413 4.040 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(CC)c1)c1ccc(OC(F)F)cc1 ZINC000271551672 1043437121 /nfs/dbraw/zinc/43/71/21/1043437121.db2.gz UXIKUNRSEWMMCD-MLGOLLRUSA-N 0 3 323.387 4.306 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cccc(OCC(F)(F)F)c1 ZINC000271571105 1043438767 /nfs/dbraw/zinc/43/87/67/1043438767.db2.gz XCLWAZFBPRSHMF-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2ccc(F)c(C)c2)C2CC2)cn1 ZINC000271576654 1043439168 /nfs/dbraw/zinc/43/91/68/1043439168.db2.gz WLMNSYAOIORSRB-FZKQIMNGSA-N 0 3 301.409 4.152 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cccc(OC(F)F)c2)C1 ZINC000558116885 1043439843 /nfs/dbraw/zinc/43/98/43/1043439843.db2.gz OWAFLBMCWCDXPS-CXAGYDPISA-N 0 3 318.367 4.266 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cccc(OC(F)F)c2)C1 ZINC000558116887 1043441165 /nfs/dbraw/zinc/44/11/65/1043441165.db2.gz OWAFLBMCWCDXPS-DYVFJYSZSA-N 0 3 318.367 4.266 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000271591969 1043442424 /nfs/dbraw/zinc/44/24/24/1043442424.db2.gz YMJHDNPCIWJTSC-CABCVRRESA-N 0 3 321.424 4.497 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2CCc3cccc(C)c32)c2ccccc21 ZINC000925637580 1043443024 /nfs/dbraw/zinc/44/30/24/1043443024.db2.gz NVYZNWIDWKVQRH-OALUTQOASA-N 0 3 320.436 4.070 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(C(F)(F)F)cs1)[C@H]1CCCOC1 ZINC000872067130 1043447261 /nfs/dbraw/zinc/44/72/61/1043447261.db2.gz OMMXRAVUNYYSCL-VWYCJHECSA-N 0 3 322.396 4.018 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000925685424 1043452967 /nfs/dbraw/zinc/45/29/67/1043452967.db2.gz MWLWKUMMPBFGEW-GJZGRUSLSA-N 0 3 312.457 4.283 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1ccnnc1 ZINC000925680287 1043453187 /nfs/dbraw/zinc/45/31/87/1043453187.db2.gz PYXPGDADQFOJHP-BDJLRTHQSA-N 0 3 321.346 4.297 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(CO)cc2)c2ccccc2S1 ZINC000872081094 1043453586 /nfs/dbraw/zinc/45/35/86/1043453586.db2.gz GCSYJAGYXCIMAU-KRWDZBQOSA-N 0 3 313.466 4.284 20 0 DIADHN CC(C)COC1CCN(C/C=C/c2ccc(F)c(F)c2)CC1 ZINC000521686148 1043456569 /nfs/dbraw/zinc/45/65/69/1043456569.db2.gz SBSBQBYCHCWHFC-ONEGZZNKSA-N 0 3 309.400 4.115 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@H](C)CC1)c1ccc2ccccc2c1 ZINC000521710764 1043456857 /nfs/dbraw/zinc/45/68/57/1043456857.db2.gz OTLTWLFEXQGKKC-DLBZAZTESA-N 0 3 324.468 4.139 20 0 DIADHN C[C@H]([NH2+]C1CCC(OC(C)(C)C)CC1)c1cccc([O-])c1F ZINC000872093558 1043458439 /nfs/dbraw/zinc/45/84/39/1043458439.db2.gz VYODAMLZQBQIBX-HSBZDZAISA-N 0 3 309.425 4.308 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1ccncc1)c1ccc(Cl)cc1 ZINC000283088639 1043459818 /nfs/dbraw/zinc/45/98/18/1043459818.db2.gz LCXXCXGMUBYTBV-SUMWQHHRSA-N 0 3 304.821 4.116 20 0 DIADHN Cc1ncc([C@@H](C)NCc2ccc(C(C)(C)C)s2)c(C)n1 ZINC000872105717 1043460283 /nfs/dbraw/zinc/46/02/83/1043460283.db2.gz FKKRJHFWYOQMDJ-LLVKDONJSA-N 0 3 303.475 4.303 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](NCc2ncc(Cl)s2)C1 ZINC000872114125 1043461881 /nfs/dbraw/zinc/46/18/81/1043461881.db2.gz VTHYKOICHNBQAP-CHWSQXEVSA-N 0 3 321.877 4.316 20 0 DIADHN C[C@H](NCCC[C@@H]1CCOC1=O)c1cc(Cl)sc1Cl ZINC000872112256 1043462827 /nfs/dbraw/zinc/46/28/27/1043462827.db2.gz HYAPAJCAPPYHRN-DTWKUNHWSA-N 0 3 322.257 4.049 20 0 DIADHN C[C@@H](NCc1ccc2oc(=O)[nH]c2c1)c1cccc(C2CC2)c1 ZINC000872122612 1043466646 /nfs/dbraw/zinc/46/66/46/1043466646.db2.gz ODLFZSXWDBLJLJ-GFCCVEGCSA-N 0 3 308.381 4.262 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1cccc2ncccc12 ZINC000872124179 1043468846 /nfs/dbraw/zinc/46/88/46/1043468846.db2.gz GHBKNMWTBKSBKM-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN CCC[C@H](NCc1ccc2oc(=O)[nH]c2c1)c1ccsc1 ZINC000872123510 1043469180 /nfs/dbraw/zinc/46/91/80/1043469180.db2.gz WKSBEMSRXZXHPT-ZDUSSCGKSA-N 0 3 302.399 4.226 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1cccc2ncccc12 ZINC000872124180 1043469793 /nfs/dbraw/zinc/46/97/93/1043469793.db2.gz GHBKNMWTBKSBKM-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C(F)(F)F)nc1)c1ccncc1 ZINC000872129457 1043470890 /nfs/dbraw/zinc/47/08/90/1043470890.db2.gz BZFXAHBUCQMEKU-RISCZKNCSA-N 0 3 309.335 4.297 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc3ncccc13)CCCO2 ZINC000872124744 1043471645 /nfs/dbraw/zinc/47/16/45/1043471645.db2.gz RVXQSKUSKSCQBN-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN CSc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC000777575388 1043472943 /nfs/dbraw/zinc/47/29/43/1043472943.db2.gz PZMGFISRNXOIIJ-ZDUSSCGKSA-N 0 3 324.453 4.044 20 0 DIADHN C[C@H](Cc1ccsc1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000558254950 1043474560 /nfs/dbraw/zinc/47/45/60/1043474560.db2.gz CYIBDDVWKCIOGJ-CABCVRRESA-N 0 3 311.454 4.216 20 0 DIADHN CCc1ccc([C@H](CC)NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777576070 1043477505 /nfs/dbraw/zinc/47/75/05/1043477505.db2.gz UVFCHGSFZBLQGU-SFHVURJKSA-N 0 3 320.440 4.275 20 0 DIADHN CC(C)c1ncc(CN(C)[C@@H](C)CCc2cccc(F)c2)cn1 ZINC000443067586 1043478109 /nfs/dbraw/zinc/47/81/09/1043478109.db2.gz MEDZPAWDWDXRSN-HNNXBMFYSA-N 0 3 315.436 4.192 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@@H]2c2cccnc2)c1 ZINC000348896071 1043478377 /nfs/dbraw/zinc/47/83/77/1043478377.db2.gz SIRCDMOPJMZPMA-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@H]2c2cccnc2)c1 ZINC000348896070 1043478907 /nfs/dbraw/zinc/47/89/07/1043478907.db2.gz SIRCDMOPJMZPMA-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)cc1F ZINC000443086289 1043479621 /nfs/dbraw/zinc/47/96/21/1043479621.db2.gz GCJGYKDWRDBGGE-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@@H](C)c1cnccc1N ZINC000925831085 1043480252 /nfs/dbraw/zinc/48/02/52/1043480252.db2.gz LWDHCGUOGYFHRA-AWEZNQCLSA-N 0 3 305.425 4.061 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)cc1F ZINC000443086287 1043480485 /nfs/dbraw/zinc/48/04/85/1043480485.db2.gz GCJGYKDWRDBGGE-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCCN(C3CCCCC3)C2=O)s1 ZINC000443083860 1043480650 /nfs/dbraw/zinc/48/06/50/1043480650.db2.gz DSQMWQHJMOBWGJ-GDBMZVCRSA-N 0 3 320.502 4.031 20 0 DIADHN Cc1nc(-c2ccc(CNCc3cccs3)cc2)cs1 ZINC000521831874 1043482980 /nfs/dbraw/zinc/48/29/80/1043482980.db2.gz UPCLQZBVBUWKAI-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN CCCC[C@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777579195 1043484588 /nfs/dbraw/zinc/48/45/88/1043484588.db2.gz SWNXSIBUSAOVHR-SFHVURJKSA-N 0 3 320.440 4.493 20 0 DIADHN C[C@@H](c1cccs1)N(CCn1cccn1)Cc1ccccc1 ZINC000521818799 1043484947 /nfs/dbraw/zinc/48/49/47/1043484947.db2.gz LKQWZDFEEMQVKA-INIZCTEOSA-N 0 3 311.454 4.208 20 0 DIADHN CC(=O)c1ccccc1OCCN(Cc1ccccc1C)C1CC1 ZINC000521824015 1043487430 /nfs/dbraw/zinc/48/74/30/1043487430.db2.gz ZBJRBISLDVKHEM-UHFFFAOYSA-N 0 3 323.436 4.241 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1ccc(CSC(F)F)o1 ZINC000124020507 1043488210 /nfs/dbraw/zinc/48/82/10/1043488210.db2.gz ZMHBHKHSFMKMTO-OAHLLOKOSA-N 0 3 321.433 4.012 20 0 DIADHN CCn1ncc2c1CCC[C@H]2N[C@H](C)c1cc2ccccc2[nH]1 ZINC000925886921 1043489571 /nfs/dbraw/zinc/48/95/71/1043489571.db2.gz NGOFZFMKECIYEO-CXAGYDPISA-N 0 3 308.429 4.113 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](OC2CCC2)CC1 ZINC000872280796 1043489957 /nfs/dbraw/zinc/48/99/57/1043489957.db2.gz PLDAXQOKMGIYML-GDBMZVCRSA-N 0 3 314.429 4.312 20 0 DIADHN c1cc(-c2ccccc2)oc1CN[C@@H]1COc2ccccc2C1 ZINC000521848626 1043490062 /nfs/dbraw/zinc/49/00/62/1043490062.db2.gz PKISHSRILCBSHG-KRWDZBQOSA-N 0 3 305.377 4.040 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2csc(C(F)(F)F)c2)o1 ZINC000925121978 1043492700 /nfs/dbraw/zinc/49/27/00/1043492700.db2.gz DFWMKZWUSMFVHO-MWLCHTKSSA-N 0 3 319.348 4.053 20 0 DIADHN COc1ccc(C2(NCc3ccnc4ccccc34)CC2)cc1 ZINC000521860382 1043495221 /nfs/dbraw/zinc/49/52/21/1043495221.db2.gz MHKNOVXENPXUBH-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN c1cc(N2CCCC2)oc1CN1CCC(c2ccccc2)CC1 ZINC001137062627 1043509410 /nfs/dbraw/zinc/50/94/10/1043509410.db2.gz IEYYOZMMEFRLSY-UHFFFAOYSA-N 0 3 310.441 4.259 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000558478768 1043517957 /nfs/dbraw/zinc/51/79/57/1043517957.db2.gz ZTMOOFYQNUJFAI-FGTMMUONSA-N 0 3 303.421 4.310 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc(O)cc(C(F)(F)F)c1 ZINC000558489310 1043519338 /nfs/dbraw/zinc/51/93/38/1043519338.db2.gz XTYNIPXADSQFMA-SNVBAGLBSA-N 0 3 315.257 4.232 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccc(C(C)(C)C)s1 ZINC000873153761 1043519206 /nfs/dbraw/zinc/51/92/06/1043519206.db2.gz GPYNTTHLTVDDIZ-UHFFFAOYSA-N 0 3 316.470 4.294 20 0 DIADHN COc1cccc(C2(CNCc3ncc(C(C)C)s3)CC2)c1 ZINC000558490658 1043519890 /nfs/dbraw/zinc/51/98/90/1043519890.db2.gz AUDNPBUXMJLXCB-UHFFFAOYSA-N 0 3 316.470 4.097 20 0 DIADHN c1nc(C2CCC2)sc1CNCc1cccc2ncccc12 ZINC000873174865 1043522537 /nfs/dbraw/zinc/52/25/37/1043522537.db2.gz XIAOPPWLEGKSTB-UHFFFAOYSA-N 0 3 309.438 4.249 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)cc1 ZINC000873186059 1043523928 /nfs/dbraw/zinc/52/39/28/1043523928.db2.gz ZVBWFGQFNNDCBX-GFCCVEGCSA-N 0 3 324.346 4.350 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)C[C@@H](O)C(F)(F)F ZINC000522016476 1043524332 /nfs/dbraw/zinc/52/43/32/1043524332.db2.gz JWZVIWYZAWCLFY-GFCCVEGCSA-N 0 3 309.397 4.052 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)cc1 ZINC000873184719 1043524360 /nfs/dbraw/zinc/52/43/60/1043524360.db2.gz GYGQPWZOKQSMJE-GFCCVEGCSA-N 0 3 324.346 4.098 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)s1 ZINC000873185502 1043525109 /nfs/dbraw/zinc/52/51/09/1043525109.db2.gz WUQDFUZWXHBVBI-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N(C)C[C@@H]2CC=CCC2)cc1 ZINC000522030348 1043525557 /nfs/dbraw/zinc/52/55/57/1043525557.db2.gz FGPSHCMZZURLDC-HUUCEWRRSA-N 0 3 318.486 4.024 20 0 DIADHN C[C@@H](NCc1cc(N)cc(F)c1)c1ccc(C(F)(F)F)cc1 ZINC000873287037 1043530896 /nfs/dbraw/zinc/53/08/96/1043530896.db2.gz BBUNMGCHJASBCV-SNVBAGLBSA-N 0 3 312.310 4.278 20 0 DIADHN CC(C)[C@H](NCc1cc(N)cc(F)c1)c1ccc(F)c(F)c1 ZINC000873288299 1043530923 /nfs/dbraw/zinc/53/09/23/1043530923.db2.gz BCLIZNLTTGVDMO-KRWDZBQOSA-N 0 3 308.347 4.173 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCc1cc(N)cc(F)c1 ZINC000873288037 1043531654 /nfs/dbraw/zinc/53/16/54/1043531654.db2.gz SJSKUIXKBHXSDI-SNVBAGLBSA-N 0 3 321.465 4.321 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCCCO2)c2ccccn2)c1 ZINC000926120345 1043531780 /nfs/dbraw/zinc/53/17/80/1043531780.db2.gz CXTOCIHYPXRRQW-ICSRJNTNSA-N 0 3 310.441 4.028 20 0 DIADHN CC[C@@H](NCc1cc(N)cc(F)c1)c1ccc(OC(F)F)cc1 ZINC000873287869 1043532299 /nfs/dbraw/zinc/53/22/99/1043532299.db2.gz NCGYBKZOXKRBTI-MRXNPFEDSA-N 0 3 324.346 4.250 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CCCCO2)c2ccccn2)c1 ZINC000926120347 1043532221 /nfs/dbraw/zinc/53/22/21/1043532221.db2.gz CXTOCIHYPXRRQW-QUCCMNQESA-N 0 3 310.441 4.028 20 0 DIADHN Cc1cccc([C@@H](NCCCCOC(C)C)c2ccccn2)c1 ZINC000926129231 1043532457 /nfs/dbraw/zinc/53/24/57/1043532457.db2.gz ADLNEYMAMRETOF-HXUWFJFHSA-N 0 3 312.457 4.274 20 0 DIADHN Nc1cc(F)cc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000873288471 1043533992 /nfs/dbraw/zinc/53/39/92/1043533992.db2.gz GPBZMOHDGGPVBH-KRWDZBQOSA-N 0 3 304.796 4.302 20 0 DIADHN CCc1ccc(CN[C@H]2C[C@H](OCc3ccccc3)C2(C)C)nc1 ZINC000717975838 1043534708 /nfs/dbraw/zinc/53/47/08/1043534708.db2.gz SCTISINBEDZIMU-PMACEKPBSA-N 0 3 324.468 4.118 20 0 DIADHN C[C@H](CN[C@H](C)c1cc(-c2ccccc2)no1)c1nccs1 ZINC000926159765 1043536269 /nfs/dbraw/zinc/53/62/69/1043536269.db2.gz FCVXISWIKBDYBC-CHWSQXEVSA-N 0 3 313.426 4.252 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](C)c2nccs2)c2ccccn2)cc1 ZINC000926162448 1043536337 /nfs/dbraw/zinc/53/63/37/1043536337.db2.gz VMTDDTSMUWBNNY-CRAIPNDOSA-N 0 3 323.465 4.329 20 0 DIADHN C[C@@H](NCCc1ccccc1F)c1nc2ccccc2s1 ZINC000019912782 1043537241 /nfs/dbraw/zinc/53/72/41/1043537241.db2.gz BRMGHHCSACWTGE-GFCCVEGCSA-N 0 3 300.402 4.329 20 0 DIADHN Cc1cccc([C@@H](NCCC[C@H]2CCCCO2)c2ccccn2)c1 ZINC000926173262 1043537990 /nfs/dbraw/zinc/53/79/90/1043537990.db2.gz BMERRVYQAQDTPF-TZIWHRDSSA-N 0 3 324.468 4.418 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H]1CCCc2c(Cl)ccnc21 ZINC000926173709 1043538468 /nfs/dbraw/zinc/53/84/68/1043538468.db2.gz DSAIQRMJUNSIFT-JOYOIKCWSA-N 0 3 306.759 4.433 20 0 DIADHN Clc1cccc([C@H](NC2CCSCC2)c2ccccn2)c1 ZINC000124531276 1043539795 /nfs/dbraw/zinc/53/97/95/1043539795.db2.gz PSQFZBNYWLARPL-KRWDZBQOSA-N 0 3 318.873 4.310 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1cnn(C2CCC2)c1 ZINC000926190100 1043543027 /nfs/dbraw/zinc/54/30/27/1043543027.db2.gz RSVLUSCNSAVOEV-WBMJQRKESA-N 0 3 301.459 4.398 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cccc(OC)c1OC ZINC000191188834 1043543332 /nfs/dbraw/zinc/54/33/32/1043543332.db2.gz MIMCINYLDMJFSU-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1c(C)nn(CC)c1C ZINC000191188482 1043544637 /nfs/dbraw/zinc/54/46/37/1043544637.db2.gz GYAKAGQGFQKIMY-UHFFFAOYSA-N 0 3 310.445 4.023 20 0 DIADHN C[C@H](c1cccnc1)N(C)Cc1cccc(-c2ccccn2)c1 ZINC000350351476 1043545271 /nfs/dbraw/zinc/54/52/71/1043545271.db2.gz IHYCZSLGMGKIEP-MRXNPFEDSA-N 0 3 303.409 4.337 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](C)c2ccncc2)cc1OC ZINC000350514712 1043546763 /nfs/dbraw/zinc/54/67/63/1043546763.db2.gz MRORWXCZENOKOI-HUUCEWRRSA-N 0 3 314.429 4.291 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](C)c2ccncc2)cc1OC ZINC000350514713 1043547218 /nfs/dbraw/zinc/54/72/18/1043547218.db2.gz MRORWXCZENOKOI-LSDHHAIUSA-N 0 3 314.429 4.291 20 0 DIADHN CC(C)c1ccc([C@H](NCC2(CCO)CC2)c2cccnc2)cc1 ZINC000926226922 1043550973 /nfs/dbraw/zinc/55/09/73/1043550973.db2.gz BTQSFWSGLSNTIJ-FQEVSTJZSA-N 0 3 324.468 4.047 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(F)c(Cl)c1 ZINC000074334819 1043552195 /nfs/dbraw/zinc/55/21/95/1043552195.db2.gz KSPVAMBGASKYGD-NSHDSACASA-N 0 3 321.779 4.101 20 0 DIADHN Cc1cc(F)ccc1CN1CCC([C@H](O)c2ccccc2)CC1 ZINC000124602376 1043557871 /nfs/dbraw/zinc/55/78/71/1043557871.db2.gz SAMJIVLEZABHRQ-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCC23CCOCC3)c2ccccn2)c1 ZINC000558622609 1043560303 /nfs/dbraw/zinc/56/03/03/1043560303.db2.gz NGYMMYYSBRZICG-VQTJNVASSA-N 0 3 322.452 4.028 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2ccnn2C2CCC2)cc1 ZINC000926289037 1043560771 /nfs/dbraw/zinc/56/07/71/1043560771.db2.gz WMXPMQIMQQEKKB-OAHLLOKOSA-N 0 3 312.461 4.281 20 0 DIADHN CCC[C@@H](NCCc1cccc(C)n1)c1ncccc1Cl ZINC000926326706 1043563998 /nfs/dbraw/zinc/56/39/98/1043563998.db2.gz SXTONPDILFUPOC-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1ccccc1N(C)C ZINC000474070393 1043566771 /nfs/dbraw/zinc/56/67/71/1043566771.db2.gz AOIZARUUSMFKAL-IBGZPJMESA-N 0 3 324.468 4.273 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1Cl ZINC000124810902 1043570439 /nfs/dbraw/zinc/57/04/39/1043570439.db2.gz RDDBTKGXLRJVHA-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3cnn(C4CCC4)c3)ccc2[nH]1 ZINC000926418903 1043573582 /nfs/dbraw/zinc/57/35/82/1043573582.db2.gz WNOSWXRCNPYFIQ-AWEZNQCLSA-N 0 3 308.429 4.249 20 0 DIADHN CC[C@H](NCCc1cc(C)no1)c1ccccc1Br ZINC000353948718 1043581603 /nfs/dbraw/zinc/58/16/03/1043581603.db2.gz WSAXREGWOOXTSP-HNNXBMFYSA-N 0 3 323.234 4.029 20 0 DIADHN C[C@H](NCCSc1ccc(Cl)cc1)c1ccncc1F ZINC000558733933 1043582899 /nfs/dbraw/zinc/58/28/99/1043582899.db2.gz KMFVAGPRNYKFTB-NSHDSACASA-N 0 3 310.825 4.317 20 0 DIADHN COCC(C)(C)CN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926471059 1043583078 /nfs/dbraw/zinc/58/30/78/1043583078.db2.gz YQAQGQNGMBFORC-KRWDZBQOSA-N 0 3 318.848 4.087 20 0 DIADHN C[C@H](CN1CC[C@@H](C(F)(F)F)C2(CCC2)C1)C(F)(F)F ZINC000558739369 1043584459 /nfs/dbraw/zinc/58/44/59/1043584459.db2.gz HWFRJUILHMTEPF-NXEZZACHSA-N 0 3 303.290 4.239 20 0 DIADHN CSC[C@H]1CCCN1Cc1ccc(Sc2ccccn2)o1 ZINC000428282548 1043587277 /nfs/dbraw/zinc/58/72/77/1043587277.db2.gz QDUMQUIRBASBKH-CYBMUJFWSA-N 0 3 320.483 4.153 20 0 DIADHN CCc1ccc([C@H](NCCC(C)(F)F)c2cccnc2)cc1 ZINC000926494812 1043587362 /nfs/dbraw/zinc/58/73/62/1043587362.db2.gz KFPVKHUWWUNVAH-KRWDZBQOSA-N 0 3 304.384 4.368 20 0 DIADHN Cc1cc2cc(CNCc3c[nH]nc3-c3ccccc3)ccc2[nH]1 ZINC000353135202 1043587593 /nfs/dbraw/zinc/58/75/93/1043587593.db2.gz IZYCRQGRTNXNIT-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN CO[C@H](CN1CC[C@@H](C(F)(F)F)[C@@H]1C)c1ccc(Cl)cc1 ZINC000558743014 1043587595 /nfs/dbraw/zinc/58/75/95/1043587595.db2.gz SKVNHMVIIWSTOB-ZLKJLUDKSA-N 0 3 321.770 4.300 20 0 DIADHN Cc1ccc2[nH]c(C(=O)Nc3ccc(C)cc3CN(C)C)cc2c1 ZINC000522243855 1043589607 /nfs/dbraw/zinc/58/96/07/1043589607.db2.gz CGZTUQSPIQYZKQ-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(-c3ccncc3)c2)cn1 ZINC000353178189 1043590104 /nfs/dbraw/zinc/59/01/04/1043590104.db2.gz MXJWJXJYOLMTIA-UHFFFAOYSA-N 0 3 317.436 4.477 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000353237174 1043593166 /nfs/dbraw/zinc/59/31/66/1043593166.db2.gz SBZNWPLXMLCENC-QGZVFWFLSA-N 0 3 310.372 4.229 20 0 DIADHN CC(C)c1ccc([C@H](NC[C@@H]2CCC[C@H]2O)c2cccnc2)cc1 ZINC000926551080 1043599796 /nfs/dbraw/zinc/59/97/96/1043599796.db2.gz RDJJLCFGYSPNSR-TYPHKJRUSA-N 0 3 324.468 4.045 20 0 DIADHN COc1ncc([C@@H](C)NCC2(C(F)(F)F)CCC2)cc1Cl ZINC000353957267 1043600386 /nfs/dbraw/zinc/60/03/86/1043600386.db2.gz OTIHPLYFWJTJDT-SECBINFHSA-N 0 3 322.758 4.127 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000443578863 1043602212 /nfs/dbraw/zinc/60/22/12/1043602212.db2.gz HOLCEOGXUGBUCX-FRRDWIJNSA-N 0 3 314.256 4.413 20 0 DIADHN C[C@@H](CN[C@H]1COCc2cccc(Cl)c21)CC(F)(F)F ZINC000926584651 1043603002 /nfs/dbraw/zinc/60/30/02/1043603002.db2.gz LNOCAYWKZWJCIM-SKDRFNHKSA-N 0 3 307.743 4.089 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000443578867 1043603084 /nfs/dbraw/zinc/60/30/84/1043603084.db2.gz HOLCEOGXUGBUCX-JHJVBQTASA-N 0 3 314.256 4.413 20 0 DIADHN C[C@@H](O)[C@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000558800756 1043604598 /nfs/dbraw/zinc/60/45/98/1043604598.db2.gz NLBXPMNKXJUABU-OLZOCXBDSA-N 0 3 316.272 4.019 20 0 DIADHN Clc1cccc2c1[C@H](NC[C@H]1CC13CCSCC3)COC2 ZINC000926602308 1043605378 /nfs/dbraw/zinc/60/53/78/1043605378.db2.gz TUVKMEXNZCKUSA-UKRRQHHQSA-N 0 3 323.889 4.034 20 0 DIADHN Cc1cc(C)cc([C@@H](NCCCC2(O)CCC2)c2cccnc2)c1 ZINC000926603882 1043606013 /nfs/dbraw/zinc/60/60/13/1043606013.db2.gz KLLOKKHXPCBLSQ-FQEVSTJZSA-N 0 3 324.468 4.073 20 0 DIADHN Clc1cccc2c1[C@@H](NC[C@H]1CC13CCSCC3)COC2 ZINC000926602307 1043606397 /nfs/dbraw/zinc/60/63/97/1043606397.db2.gz TUVKMEXNZCKUSA-HIFRSBDPSA-N 0 3 323.889 4.034 20 0 DIADHN CCc1ccc([C@H](NCCCC2(O)CCC2)c2cccnc2)cc1 ZINC000926603119 1043606519 /nfs/dbraw/zinc/60/65/19/1043606519.db2.gz AKWCBHLVWWKDBI-FQEVSTJZSA-N 0 3 324.468 4.018 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(CO)c1)c1cc2cccc(F)c2o1 ZINC000474099373 1043607030 /nfs/dbraw/zinc/60/70/30/1043607030.db2.gz PBGKBWLPBRNPKQ-CHWSQXEVSA-N 0 3 313.372 4.476 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccco1)c1ccc(Cl)cc1Cl ZINC000125216247 1043607777 /nfs/dbraw/zinc/60/77/77/1043607777.db2.gz MMHVBZVXWSZALQ-ZIAGYGMSSA-N 0 3 314.212 4.361 20 0 DIADHN Cc1cccc([C@H](NC[C@H](C)CC(F)F)c2ccccn2)c1 ZINC000926626655 1043609294 /nfs/dbraw/zinc/60/92/94/1043609294.db2.gz IRHFMWHPWQPGFR-KDOFPFPSSA-N 0 3 304.384 4.360 20 0 DIADHN CCOc1cc(F)cc(CN[C@H](C)c2ccc(OC)cc2)c1 ZINC001648959927 1043610532 /nfs/dbraw/zinc/61/05/32/1043610532.db2.gz FTKMFLVGTBGSIY-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc3c(F)cccc3c2)cc1 ZINC001648959986 1043613070 /nfs/dbraw/zinc/61/30/70/1043613070.db2.gz IDUZEHXRQOPSAN-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(Cc1cccnc1)C1CC1 ZINC000353518510 1043614135 /nfs/dbraw/zinc/61/41/35/1043614135.db2.gz LEHMMOGMHQWBDT-ATVHPVEESA-N 0 3 322.452 4.296 20 0 DIADHN COc1c(C)cccc1CN[C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000926666341 1043614287 /nfs/dbraw/zinc/61/42/87/1043614287.db2.gz SQNNTYJJZBHNHB-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN C[C@@H](N[C@H]1c2ccccc2C[C@@H]1O)c1csc2ccccc12 ZINC000926667793 1043614350 /nfs/dbraw/zinc/61/43/50/1043614350.db2.gz BFLBQJKWYIAQNK-LWLIDHTLSA-N 0 3 309.434 4.210 20 0 DIADHN Cc1cnc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)s1 ZINC000353542068 1043615859 /nfs/dbraw/zinc/61/58/59/1043615859.db2.gz LPEAEZNEGRXCNG-MRXNPFEDSA-N 0 3 302.418 4.316 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1csc(C(F)(F)F)c1 ZINC000926696973 1043618411 /nfs/dbraw/zinc/61/84/11/1043618411.db2.gz VWGTWISRRCCNRB-ONGXEEELSA-N 0 3 305.365 4.129 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CCC[C@H](C)O2)c2cccnc2)cc1 ZINC000926704254 1043618601 /nfs/dbraw/zinc/61/86/01/1043618601.db2.gz HSLXDCJLMCBDJS-DBVUQKKJSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ccc([C@H](NC[C@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926705558 1043620921 /nfs/dbraw/zinc/62/09/21/1043620921.db2.gz OWDJLVCSHHBPLS-IRXDYDNUSA-N 0 3 302.368 4.114 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000443620917 1043623284 /nfs/dbraw/zinc/62/32/84/1043623284.db2.gz UJPZOMWGSLSEGM-DGCLKSJQSA-N 0 3 306.356 4.240 20 0 DIADHN FC1(F)C[C@H]1CCN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926739261 1043625092 /nfs/dbraw/zinc/62/50/92/1043625092.db2.gz YWKYIXUQOKWQON-CJNGLKHVSA-N 0 3 322.786 4.459 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cc3ccccc3[nH]2)C2CC2)cn1 ZINC000926775439 1043630693 /nfs/dbraw/zinc/63/06/93/1043630693.db2.gz XDXBWYGHWAPHMS-RBZFPXEDSA-N 0 3 321.424 4.373 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2ccc(C(C)(C)O)cc2)s1 ZINC000926784043 1043632450 /nfs/dbraw/zinc/63/24/50/1043632450.db2.gz DLQFRXGORMBKDK-NWDGAFQWSA-N 0 3 304.459 4.091 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CCC[C@H]2C2CCC2)co1 ZINC000443635971 1043633040 /nfs/dbraw/zinc/63/30/40/1043633040.db2.gz AMRZIQIFBBIKKO-INIZCTEOSA-N 0 3 318.367 4.384 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1csc(-c2ccccn2)n1 ZINC000094324039 1043633299 /nfs/dbraw/zinc/63/32/99/1043633299.db2.gz QVBCEHFHCRANCT-OAHLLOKOSA-N 0 3 301.459 4.264 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@H]1C1CCOCC1)c1ncccc1Cl ZINC000926797203 1043634378 /nfs/dbraw/zinc/63/43/78/1043634378.db2.gz RFQRDQFOYZMRLX-ZOBUZTSGSA-N 0 3 322.880 4.229 20 0 DIADHN CC(C)OCCCN(C)Cc1cnc(-c2ccsc2)s1 ZINC000353717578 1043636491 /nfs/dbraw/zinc/63/64/91/1043636491.db2.gz XFMLVWAAUQMCGJ-UHFFFAOYSA-N 0 3 310.488 4.119 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2cnccc2o1)c1ccc(C(C)(C)O)cc1 ZINC000926812911 1043637446 /nfs/dbraw/zinc/63/74/46/1043637446.db2.gz PLGBPRBNBYQSBY-KGLIPLIRSA-N 0 3 324.424 4.467 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C1CCCCCC1)c1ccsc1 ZINC000522349941 1043637734 /nfs/dbraw/zinc/63/77/34/1043637734.db2.gz NNDVHCVDFBCGFJ-QGZVFWFLSA-N 0 3 322.518 4.218 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CCC[C@]2(CCCOC2)C1 ZINC000353746625 1043638555 /nfs/dbraw/zinc/63/85/55/1043638555.db2.gz MHYAPNQTFQGDPA-SFHVURJKSA-N 0 3 320.502 4.193 20 0 DIADHN C[C@@H](NCc1cccc2ncccc12)c1cnn(C2CCC2)c1 ZINC000926835948 1043640126 /nfs/dbraw/zinc/64/01/26/1043640126.db2.gz YAPFVHUZHYDIMX-CQSZACIVSA-N 0 3 306.413 4.007 20 0 DIADHN COc1cccc(C2(NCc3nccc4ccccc43)CCC2)c1 ZINC000895333424 1043640281 /nfs/dbraw/zinc/64/02/81/1043640281.db2.gz AOEVCZBCBRKPEB-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CC(C)(CN[C@H]1COCc2cccc(Cl)c21)CC(F)F ZINC000926842327 1043642370 /nfs/dbraw/zinc/64/23/70/1043642370.db2.gz PXTVPNBFXGPKDP-LBPRGKRZSA-N 0 3 303.780 4.182 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CCC3(CCC3)O2)c2cccnc2)cc1 ZINC000926858772 1043643427 /nfs/dbraw/zinc/64/34/27/1043643427.db2.gz CATCFUTWKGSYIY-UXHICEINSA-N 0 3 322.452 4.171 20 0 DIADHN CC[C@@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cccc(Cl)c1 ZINC000166558927 1043644436 /nfs/dbraw/zinc/64/44/36/1043644436.db2.gz ZDKRNAZPWPPJDH-SQNIBIBYSA-N 0 3 301.817 4.039 20 0 DIADHN CSCC[C@H](C)N(C)Cc1ccc(-c2ccncc2)cc1F ZINC000353813154 1043646070 /nfs/dbraw/zinc/64/60/70/1043646070.db2.gz HPFRZWNWHAKNNJ-AWEZNQCLSA-N 0 3 318.461 4.461 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2Cc2cn(C)nc2C)o1 ZINC000353830057 1043648378 /nfs/dbraw/zinc/64/83/78/1043648378.db2.gz UETDCFHUTGWZPA-KRWDZBQOSA-N 0 3 301.434 4.001 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1cnn(C2CCC2)c1 ZINC000926898514 1043649839 /nfs/dbraw/zinc/64/98/39/1043649839.db2.gz NRIVLQJHQPKKAC-UONOGXRCSA-N 0 3 301.409 4.467 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@]2(CC=CCC2)C1 ZINC000353901047 1043654816 /nfs/dbraw/zinc/65/48/16/1043654816.db2.gz PLVQEPHJSGAOMX-SJLPKXTDSA-N 0 3 318.486 4.317 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@@H](C(C)(C)C)CC2)cc1 ZINC000353897161 1043655182 /nfs/dbraw/zinc/65/51/82/1043655182.db2.gz HEPMZWLSHWHEJZ-IERDGZPVSA-N 0 3 307.503 4.072 20 0 DIADHN CCSc1ccccc1CCN[C@@H]1CCCc2oc(C)nc21 ZINC000926918797 1043655282 /nfs/dbraw/zinc/65/52/82/1043655282.db2.gz IJOFVNRZZLVDLI-OAHLLOKOSA-N 0 3 316.470 4.305 20 0 DIADHN COc1cccc(-c2csc(CNCCC(C)(C)F)n2)c1 ZINC000895370871 1043661361 /nfs/dbraw/zinc/66/13/61/1043661361.db2.gz QIAMTTMKAKFKOK-UHFFFAOYSA-N 0 3 308.422 4.047 20 0 DIADHN Cc1cccc([C@@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccn2)c1 ZINC000926945239 1043661883 /nfs/dbraw/zinc/66/18/83/1043661883.db2.gz MYRWLQBRRVZYAG-ZMSDIMECSA-N 0 3 320.358 4.267 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000926972782 1043663265 /nfs/dbraw/zinc/66/32/65/1043663265.db2.gz HTXXZHGSSWGWOP-JDSLSITLSA-N 0 3 320.383 4.245 20 0 DIADHN Fc1ccc(-n2nccc2CN[C@@H]2CCCc3ccccc32)cc1 ZINC000353943345 1043665160 /nfs/dbraw/zinc/66/51/60/1043665160.db2.gz IAVNLVQJRINYGE-HXUWFJFHSA-N 0 3 321.399 4.179 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000926974477 1043665598 /nfs/dbraw/zinc/66/55/98/1043665598.db2.gz SOCWPWIYAZQNPI-IAOVAPTHSA-N 0 3 316.395 4.344 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cn(-c2ccccc2)nc1C ZINC000353958424 1043667539 /nfs/dbraw/zinc/66/75/39/1043667539.db2.gz SULWUWZPUOOCJN-OAHLLOKOSA-N 0 3 317.502 4.144 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](C)[C@@H]2c2cccc(F)c2)s1 ZINC000353988897 1043669869 /nfs/dbraw/zinc/66/98/69/1043669869.db2.gz YNFGIFOKIMHSPN-PIGZYNQJSA-N 0 3 304.434 4.482 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](C)[C@H]2c2cccc(F)c2)s1 ZINC000353988895 1043669892 /nfs/dbraw/zinc/66/98/92/1043669892.db2.gz YNFGIFOKIMHSPN-DIFFPNOSSA-N 0 3 304.434 4.482 20 0 DIADHN Clc1cccc2c1[C@@H](N[C@@H]1CCSC3(CCC3)C1)COC2 ZINC000927037964 1043672056 /nfs/dbraw/zinc/67/20/56/1043672056.db2.gz OCNXNPFDMMXOCQ-HIFRSBDPSA-N 0 3 323.889 4.319 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353999299 1043672220 /nfs/dbraw/zinc/67/22/20/1043672220.db2.gz ZZDHOGDKOCLHST-QWRGUYRKSA-N 0 3 314.458 4.302 20 0 DIADHN C[C@H](CN1CCN(C/C=C\c2ccccc2)CC1)c1ccccc1 ZINC000033661200 1043675185 /nfs/dbraw/zinc/67/51/85/1043675185.db2.gz LHKXNIAUDSLCCY-NHHWLVAYSA-N 0 3 320.480 4.121 20 0 DIADHN CC[C@H](N[C@H]1CCCc2cccnc21)c1ccc2c(c1)CCO2 ZINC000927060850 1043675717 /nfs/dbraw/zinc/67/57/17/1043675717.db2.gz CZHNEPDVKLMMFY-ROUUACIJSA-N 0 3 308.425 4.135 20 0 DIADHN FC1(F)C[C@]2(CCN(c3ccnc4ccccc43)C2)C1(F)F ZINC000895392328 1043675888 /nfs/dbraw/zinc/67/58/88/1043675888.db2.gz RRBRGCLFWLIIQX-AWEZNQCLSA-N 0 3 310.294 4.106 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H]1CCCc2cccnc21 ZINC000927060976 1043676264 /nfs/dbraw/zinc/67/62/64/1043676264.db2.gz HXOLEWVVGRXYOE-QZTJIDSGSA-N 0 3 308.425 4.135 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2cncc(F)c2)cc1 ZINC000166690469 1043677219 /nfs/dbraw/zinc/67/72/19/1043677219.db2.gz QVDUPSLVRQEAKK-KBPBESRZSA-N 0 3 324.403 4.127 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065420 1043678954 /nfs/dbraw/zinc/67/89/54/1043678954.db2.gz HAXWPZVGAWDPMG-SUMWQHHRSA-N 0 3 303.475 4.190 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065280 1043678987 /nfs/dbraw/zinc/67/89/87/1043678987.db2.gz GREXSZOVOBIZLG-KXBFYZLASA-N 0 3 315.461 4.257 20 0 DIADHN Cc1n[nH]cc1CN1CCC(c2ccccc2C(F)(F)F)CC1 ZINC000354081330 1043680097 /nfs/dbraw/zinc/68/00/97/1043680097.db2.gz FUKIHWTUCIPDPG-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1cnn(C)c1 ZINC000927069574 1043681395 /nfs/dbraw/zinc/68/13/95/1043681395.db2.gz XLXVBXSGVPMUFL-IAGOWNOFSA-N 0 3 303.837 4.048 20 0 DIADHN Clc1ccccc1C[C@H]1CCCCCN1Cc1cncnc1 ZINC000354243944 1043688132 /nfs/dbraw/zinc/68/81/32/1043688132.db2.gz QAIBMPVBJUZYBY-QGZVFWFLSA-N 0 3 315.848 4.117 20 0 DIADHN CCC[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000777614866 1043690728 /nfs/dbraw/zinc/69/07/28/1043690728.db2.gz MALVUBYWCJHJAQ-NRFANRHFSA-N 0 3 323.484 4.392 20 0 DIADHN Cc1cc(C)cc([C@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000777614886 1043690913 /nfs/dbraw/zinc/69/09/13/1043690913.db2.gz MHWLEVNQRDYSCO-SFHVURJKSA-N 0 3 323.484 4.228 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1N[C@@H]1CCc2ccc(Cl)nc21 ZINC000876499197 1043691977 /nfs/dbraw/zinc/69/19/77/1043691977.db2.gz BGIYFTWFGHHIRJ-IJLUTSLNSA-N 0 3 316.754 4.209 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3ccccc3[nH]2)c(C)c1OC ZINC000927123976 1043693148 /nfs/dbraw/zinc/69/31/48/1043693148.db2.gz GBKCOFUXHFZACB-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN CC(C)(C)N(Cc1cc2c(cc[nH]c2=O)o1)Cc1ccccc1 ZINC000876524145 1043694014 /nfs/dbraw/zinc/69/40/14/1043694014.db2.gz LNGNYRZQVLLFPI-UHFFFAOYSA-N 0 3 310.397 4.334 20 0 DIADHN CCc1onc(C)c1CNC1CC(c2cccc(Cl)c2)C1 ZINC000927135837 1043697459 /nfs/dbraw/zinc/69/74/59/1043697459.db2.gz VUHJXEJCFZEEDN-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN CCOc1cc(C[NH2+]CC(C)(C)CC(F)F)cc(Cl)c1[O-] ZINC000895533782 1043699974 /nfs/dbraw/zinc/69/99/74/1043699974.db2.gz CGUAKQJAHWHSPJ-UHFFFAOYSA-N 0 3 321.795 4.215 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(C)cc1 ZINC000354461816 1043701302 /nfs/dbraw/zinc/70/13/02/1043701302.db2.gz TXXUMQMDIFWANP-FUHWJXTLSA-N 0 3 304.478 4.070 20 0 DIADHN Cc1cccc([C@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c1C ZINC000777615740 1043701404 /nfs/dbraw/zinc/70/14/04/1043701404.db2.gz VQBCETHDNUJQIO-SFHVURJKSA-N 0 3 323.484 4.228 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1cc2c(cc[nH]c2=O)o1 ZINC000876731734 1043704576 /nfs/dbraw/zinc/70/45/76/1043704576.db2.gz SNVOVRLOCVVKQY-SFHVURJKSA-N 0 3 310.397 4.363 20 0 DIADHN C[C@H](NCc1ccc(F)cn1)[C@H](C)c1nc2ccccc2s1 ZINC000354507049 1043706644 /nfs/dbraw/zinc/70/66/44/1043706644.db2.gz RLYUULYUKRZDHD-RYUDHWBXSA-N 0 3 315.417 4.112 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc3c(cc[nH]c3=O)o2)c1C ZINC000876765080 1043708217 /nfs/dbraw/zinc/70/82/17/1043708217.db2.gz ZFYSAVIMZYZOLO-SFHVURJKSA-N 0 3 322.408 4.487 20 0 DIADHN Cc1cccc(NC[C@@H](c2cccc(C(F)(F)F)c2)N(C)C)n1 ZINC000354797447 1043721363 /nfs/dbraw/zinc/72/13/63/1043721363.db2.gz WNYHTAAHFXYQLI-HNNXBMFYSA-N 0 3 323.362 4.124 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC[C@H]1CC1(Cl)Cl)CCS2 ZINC000876908395 1043723184 /nfs/dbraw/zinc/72/31/84/1043723184.db2.gz NNPBSXQPOAURHN-KCJUWKMLSA-N 0 3 306.233 4.146 20 0 DIADHN COC[C@H]1CCN(Cc2c(Cl)cccc2SC(C)C)C1 ZINC000474280715 1043723818 /nfs/dbraw/zinc/72/38/18/1043723818.db2.gz XTGNOAMUCBDKHQ-ZDUSSCGKSA-N 0 3 313.894 4.309 20 0 DIADHN COC[C@@H]1CCN(Cc2c(Cl)cccc2SC(C)C)C1 ZINC000474280714 1043724556 /nfs/dbraw/zinc/72/45/56/1043724556.db2.gz XTGNOAMUCBDKHQ-CYBMUJFWSA-N 0 3 313.894 4.309 20 0 DIADHN CCCOCc1ccccc1CNCc1cccc(Cl)c1N ZINC000876943792 1043726820 /nfs/dbraw/zinc/72/68/20/1043726820.db2.gz VHAXPIQQAFWCGZ-UHFFFAOYSA-N 0 3 318.848 4.139 20 0 DIADHN Cn1ncc(CNC(C)(C)c2ccc3ccccc3c2)c1C1CC1 ZINC000895666894 1043730648 /nfs/dbraw/zinc/73/06/48/1043730648.db2.gz YAVPWCHMFXTNQT-UHFFFAOYSA-N 0 3 319.452 4.476 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)NCc1c(F)cccc1Cl ZINC000876987599 1043731345 /nfs/dbraw/zinc/73/13/45/1043731345.db2.gz CBSSMGYTZDYPMM-GIPWTMENSA-N 0 3 321.760 4.292 20 0 DIADHN CCc1nc(CN[C@H](CC2CCCCC2)c2ccccc2)n[nH]1 ZINC000355051201 1043740570 /nfs/dbraw/zinc/74/05/70/1043740570.db2.gz IZQDQTMGIZCVKP-QGZVFWFLSA-N 0 3 312.461 4.168 20 0 DIADHN Cc1cnc([C@H](C)N[C@H](C)CCOCc2ccccc2)s1 ZINC000877080269 1043742836 /nfs/dbraw/zinc/74/28/36/1043742836.db2.gz AESNYNWRZBUHNA-HIFRSBDPSA-N 0 3 304.459 4.098 20 0 DIADHN C[C@@H](CCOCc1ccccc1)NCc1nc(C2CC2)cs1 ZINC000877109635 1043743768 /nfs/dbraw/zinc/74/37/68/1043743768.db2.gz FAIBOBDPLUUXLD-AWEZNQCLSA-N 0 3 316.470 4.106 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@H](C)C2CCC(F)(F)CC2)[nH]1 ZINC000355125237 1043745819 /nfs/dbraw/zinc/74/58/19/1043745819.db2.gz PYAQMJHQHQZDRX-TUAOUCFPSA-N 0 3 314.424 4.183 20 0 DIADHN FC(F)(F)[C@]12CCC[C@H]1CN(Cc1scnc1C1CC1)C2 ZINC000895788387 1043751762 /nfs/dbraw/zinc/75/17/62/1043751762.db2.gz SITIKROAEXDYPA-FZMZJTMJSA-N 0 3 316.392 4.185 20 0 DIADHN c1cn2c(CN3CCCC4(CCC4)C3)c(C3CC3)nc2s1 ZINC000355218913 1043752137 /nfs/dbraw/zinc/75/21/37/1043752137.db2.gz KZDWWHNUEFWASO-UHFFFAOYSA-N 0 3 301.459 4.039 20 0 DIADHN CC[C@@H](NCC[C@@H](C)OCc1ccccc1)c1nc(C)cs1 ZINC000355276583 1043755497 /nfs/dbraw/zinc/75/54/97/1043755497.db2.gz IFEMOPUMNQQYBT-NVXWUHKLSA-N 0 3 318.486 4.488 20 0 DIADHN COCCCC[C@@H](NCc1cc(O)cc(F)c1)c1ccccc1 ZINC000355284100 1043757331 /nfs/dbraw/zinc/75/73/31/1043757331.db2.gz RGQZNXLWQFOTEG-LJQANCHMSA-N 0 3 317.404 4.179 20 0 DIADHN Cc1csc([C@@H](C)NCC[C@@H](C)OCc2ccccc2)n1 ZINC000355285071 1043758558 /nfs/dbraw/zinc/75/85/58/1043758558.db2.gz SKCHTABDGYHAQM-HUUCEWRRSA-N 0 3 304.459 4.098 20 0 DIADHN CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)CC1CC1 ZINC000096970282 1043772896 /nfs/dbraw/zinc/77/28/96/1043772896.db2.gz WMDFBWGQROCGKO-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CCSc1cccc(CNCc2cccc(Cl)c2N)c1 ZINC000877545982 1043773402 /nfs/dbraw/zinc/77/34/02/1043773402.db2.gz FSRYXUOCQSTGOX-UHFFFAOYSA-N 0 3 306.862 4.324 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCc2nccs2)o1 ZINC000115614885 1043777351 /nfs/dbraw/zinc/77/73/51/1043777351.db2.gz NKKVIJQMVCEREZ-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN CC(C)N(Cc1cnc2c(cnn2C)c1)[C@H](C)c1ccsc1 ZINC000877595566 1043778609 /nfs/dbraw/zinc/77/86/09/1043778609.db2.gz FCBLDLOLZHJAHO-CYBMUJFWSA-N 0 3 314.458 4.001 20 0 DIADHN CC[C@H](NCc1ccc(CF)cc1)c1ccc2c(c1)OCCO2 ZINC000777721516 1043783014 /nfs/dbraw/zinc/78/30/14/1043783014.db2.gz NOVXJJFNBNDUFO-KRWDZBQOSA-N 0 3 315.388 4.168 20 0 DIADHN COCc1ccc(CN[C@H]2CCc3cccc4cccc2c43)o1 ZINC000895935151 1043788712 /nfs/dbraw/zinc/78/87/12/1043788712.db2.gz OXHCCXXIIONZQA-IBGZPJMESA-N 0 3 307.393 4.356 20 0 DIADHN C[C@@H](N[C@@H](C)c1nnc2n1CCCCC2)[C@H](C)C1CCCCC1 ZINC000474475199 1043794883 /nfs/dbraw/zinc/79/48/83/1043794883.db2.gz IIZMGPVXHLJDDC-XHSDSOJGSA-N 0 3 318.509 4.260 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000474475198 1043795155 /nfs/dbraw/zinc/79/51/55/1043795155.db2.gz IIZMGPVXHLJDDC-PMPSAXMXSA-N 0 3 318.509 4.260 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)N[C@H](CF)c1ccc(F)cc1 ZINC000877759206 1043795214 /nfs/dbraw/zinc/79/52/14/1043795214.db2.gz YMZJCHDQAGUPRL-MEELVIRWSA-N 0 3 319.332 4.149 20 0 DIADHN CC1(C)CCc2onc(CNC3CCC(C(F)F)CC3)c2C1 ZINC000877809336 1043799604 /nfs/dbraw/zinc/79/96/04/1043799604.db2.gz ATPPWXHZYHYING-UHFFFAOYSA-N 0 3 312.404 4.103 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)cc(C)c1O ZINC000877828011 1043802639 /nfs/dbraw/zinc/80/26/39/1043802639.db2.gz CNLOSUZMULCCJM-LBPRGKRZSA-N 0 3 324.346 4.274 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(C(F)(F)F)nc2)cc(C)c1O ZINC000877827585 1043805740 /nfs/dbraw/zinc/80/57/40/1043805740.db2.gz CNLOSUZMULCCJM-GFCCVEGCSA-N 0 3 324.346 4.274 20 0 DIADHN CCNc1ccccc1CNCc1ccccc1C(F)(F)F ZINC001648964939 1043811672 /nfs/dbraw/zinc/81/16/72/1043811672.db2.gz HIVUYSJIZMTKIE-UHFFFAOYSA-N 0 3 308.347 4.427 20 0 DIADHN CSc1ccc(CN2CCC[C@H](c3nccs3)C2)s1 ZINC000356233745 1043824367 /nfs/dbraw/zinc/82/43/67/1043824367.db2.gz SAUSUNBHBYRWAT-NSHDSACASA-N 0 3 310.513 4.306 20 0 DIADHN CCN(Cc1coc(-c2ccccc2OC)n1)C1CCCC1 ZINC000877967559 1043832157 /nfs/dbraw/zinc/83/21/57/1043832157.db2.gz BHTRVTRDJYNUKJ-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1cc(CNCc2cc(Br)cs2)c(C)s1 ZINC000070599873 1043839282 /nfs/dbraw/zinc/83/92/82/1043839282.db2.gz AXAMKKQNYXYBLA-UHFFFAOYSA-N 0 3 316.289 4.479 20 0 DIADHN C[C@@H]1N(Cc2cscc2C(F)(F)F)CCC12CCOCC2 ZINC000356442671 1043841169 /nfs/dbraw/zinc/84/11/69/1043841169.db2.gz VGPFJJRKLPETFI-NSHDSACASA-N 0 3 319.392 4.158 20 0 DIADHN O=c1cc(CN2CCC[C@@H]2c2ccccc2C(F)(F)F)cc[nH]1 ZINC000878046588 1043843253 /nfs/dbraw/zinc/84/32/53/1043843253.db2.gz FGTOXWGOJJECKG-OAHLLOKOSA-N 0 3 322.330 4.143 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC[C@H]3c3ccccn3)O2)cc1 ZINC000878175296 1043853092 /nfs/dbraw/zinc/85/30/92/1043853092.db2.gz OTUKPQWOZZDGQK-IHPCNDPISA-N 0 3 308.425 4.139 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Br)nc2)c(C)c1 ZINC000289610735 1043855413 /nfs/dbraw/zinc/85/54/13/1043855413.db2.gz GJQZSJYEWUOXAV-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CCCc3cccnc3)nc2c1 ZINC000930037235 1043867084 /nfs/dbraw/zinc/86/70/84/1043867084.db2.gz HSKRENWJJBVMKY-SFHVURJKSA-N 0 3 321.424 4.383 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000289722020 1043868277 /nfs/dbraw/zinc/86/82/77/1043868277.db2.gz OMPBZKYQBGEEAB-CQSZACIVSA-N 0 3 315.461 4.120 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000289730395 1043869499 /nfs/dbraw/zinc/86/94/99/1043869499.db2.gz LSEVELSHIHOLOT-CQSZACIVSA-N 0 3 315.413 4.040 20 0 DIADHN CCC[C@@H](NCc1cc(C)nc(N2CCCC2)c1)c1cccnc1 ZINC000289758958 1043872272 /nfs/dbraw/zinc/87/22/72/1043872272.db2.gz LNCLZTUAXFVLAO-LJQANCHMSA-N 0 3 324.472 4.016 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC000289763476 1043874562 /nfs/dbraw/zinc/87/45/62/1043874562.db2.gz LTCBDBVFQKCPNE-MGPUTAFESA-N 0 3 321.468 4.328 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC000289763474 1043875397 /nfs/dbraw/zinc/87/53/97/1043875397.db2.gz LTCBDBVFQKCPNE-FOIQADDNSA-N 0 3 321.468 4.328 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](c2ccccc2)O1)c1cncc(F)c1 ZINC000878402606 1043885587 /nfs/dbraw/zinc/88/55/87/1043885587.db2.gz HTWFMYYFTYQERI-GDIGMMSISA-N 0 3 314.404 4.182 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](c2ccccc2)O1)c1cncc(F)c1 ZINC000878402611 1043886667 /nfs/dbraw/zinc/88/66/67/1043886667.db2.gz HTWFMYYFTYQERI-ZOCIIQOWSA-N 0 3 314.404 4.182 20 0 DIADHN CC(F)(F)CCCN1CC=C(c2ccc(Cl)nc2)CC1 ZINC000930180519 1043887294 /nfs/dbraw/zinc/88/72/94/1043887294.db2.gz WKAGQZJDKNPERZ-UHFFFAOYSA-N 0 3 300.780 4.260 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccccc2)cnc1Br ZINC000930182238 1043888211 /nfs/dbraw/zinc/88/82/11/1043888211.db2.gz MVULFSABRMJWNQ-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)c(C)nn1 ZINC000930216367 1043895901 /nfs/dbraw/zinc/89/59/01/1043895901.db2.gz GHRBONKXYAGDJT-GOSISDBHSA-N 0 3 315.848 4.378 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(OC)c(O)c1)c1ccc(OC)cc1 ZINC000289939679 1043899584 /nfs/dbraw/zinc/89/95/84/1043899584.db2.gz WATRATJYCOQYBS-DYVFJYSZSA-N 0 3 315.413 4.211 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cccc(Cl)c2)cc1O ZINC000289937038 1043899957 /nfs/dbraw/zinc/89/99/57/1043899957.db2.gz VWBGPDDFJHWVKW-VXGBXAGGSA-N 0 3 305.805 4.466 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](NCc1ccc(N)nc1)C(C)C ZINC000930222794 1043900360 /nfs/dbraw/zinc/90/03/60/1043900360.db2.gz RGDNSRLYWSMIPG-SFHVURJKSA-N 0 3 309.413 4.205 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H]1CCC2(CCCCCC2)O1 ZINC000930222672 1043900383 /nfs/dbraw/zinc/90/03/83/1043900383.db2.gz OJAOLDKPJJBPGI-CZUORRHYSA-N 0 3 306.450 4.214 20 0 DIADHN c1c[nH]c([C@@H]2CCCN(Cc3ccc(Oc4ccccc4)o3)C2)n1 ZINC000356967273 1043901343 /nfs/dbraw/zinc/90/13/43/1043901343.db2.gz OKQHRXFFUNNTRV-OAHLLOKOSA-N 0 3 323.396 4.175 20 0 DIADHN Cc1cc(CNCc2ccc3occc3c2)cc(N2CCCC2)n1 ZINC000289969861 1043901773 /nfs/dbraw/zinc/90/17/73/1043901773.db2.gz YVMLKQLYYNLXKS-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN C[C@H](N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1ccc(F)nc1 ZINC000930232736 1043902179 /nfs/dbraw/zinc/90/21/79/1043902179.db2.gz LYBGYQHLXWEGEC-DAYGRLMNSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)s1 ZINC000289988319 1043904696 /nfs/dbraw/zinc/90/46/96/1043904696.db2.gz YFXKGNBTFCSZAQ-HNNXBMFYSA-N 0 3 315.486 4.211 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)o1 ZINC000930248629 1043906303 /nfs/dbraw/zinc/90/63/03/1043906303.db2.gz LBTOHLQWBPHQPQ-AWEZNQCLSA-N 0 3 312.335 4.408 20 0 DIADHN CCOC1(C)CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC000878639716 1043909570 /nfs/dbraw/zinc/90/95/70/1043909570.db2.gz SIRJUFZTSOFLKD-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN Cc1cc2cc([C@@H](C)NCC[S@@](=O)C(C)(C)C)oc2cc1C ZINC000127268341 1043912015 /nfs/dbraw/zinc/91/20/15/1043912015.db2.gz BBPSSJRYGRWRIK-PEBXRYMYSA-N 0 3 321.486 4.247 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1O ZINC000290047460 1043914144 /nfs/dbraw/zinc/91/41/44/1043914144.db2.gz BUMGOTUPTHOAAR-ZDUSSCGKSA-N 0 3 310.397 4.041 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1C[C@H]3CCC[C@H]3O1)CC2 ZINC000878797525 1043920779 /nfs/dbraw/zinc/92/07/79/1043920779.db2.gz LBLRRIBZDYYEPK-FUHIMQAGSA-N 0 3 324.468 4.084 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2c3ccccc3CC23CCOCC3)o1 ZINC000290163476 1043925234 /nfs/dbraw/zinc/92/52/34/1043925234.db2.gz MBDOSPGEOVYGLI-HNAYVOBHSA-N 0 3 311.425 4.333 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccco1 ZINC000561316093 1043925807 /nfs/dbraw/zinc/92/58/07/1043925807.db2.gz XHAZHAVVURVFMZ-XNJJOIOASA-N 0 3 307.340 4.209 20 0 DIADHN CC(C)c1nnc(CNC[C@@H](c2ccccc2)C(C)C)s1 ZINC000474822609 1043928642 /nfs/dbraw/zinc/92/86/42/1043928642.db2.gz ZELPHLLIKMCWCA-OAHLLOKOSA-N 0 3 303.475 4.191 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001137139449 1043931957 /nfs/dbraw/zinc/93/19/57/1043931957.db2.gz YFDNTWQOKWSZJN-LJQANCHMSA-N 0 3 311.425 4.127 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(F)c3ccccc32)c(C)nn1 ZINC000930495684 1043932343 /nfs/dbraw/zinc/93/23/43/1043932343.db2.gz DVYPTIFUHJEXLC-CQSZACIVSA-N 0 3 309.388 4.237 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2ccc(Oc3cccnc3)cc2)[nH]1 ZINC000930495420 1043932397 /nfs/dbraw/zinc/93/23/97/1043932397.db2.gz CPZQWWOOOGNLOT-CYBMUJFWSA-N 0 3 322.412 4.065 20 0 DIADHN c1nc(C2CC2)sc1CN1CCC2(CCSCC2)CC1 ZINC000639375148 1043935791 /nfs/dbraw/zinc/93/57/91/1043935791.db2.gz QIQSQQLNEZHINA-UHFFFAOYSA-N 0 3 308.516 4.130 20 0 DIADHN c1csc(C[C@@H]2CCCN(Cc3cc4ccccc4[nH]3)C2)n1 ZINC000896537921 1043936675 /nfs/dbraw/zinc/93/66/75/1043936675.db2.gz XSRKRSFOSBHAPD-AWEZNQCLSA-N 0 3 311.454 4.079 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCC[C@@H]1C1CCC1 ZINC000102926564 1043936961 /nfs/dbraw/zinc/93/69/61/1043936961.db2.gz JOSJDFOJVMHZJC-GOSISDBHSA-N 0 3 314.473 4.014 20 0 DIADHN Cc1ccnc(CNC[C@H]2C[C@H]2C2CCCC2)c1Br ZINC001308552773 1043944993 /nfs/dbraw/zinc/94/49/93/1043944993.db2.gz GKZWWCARGFGUOQ-KGLIPLIRSA-N 0 3 323.278 4.068 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC2(CCOC2(C)C)CC1 ZINC001137140771 1043945070 /nfs/dbraw/zinc/94/50/70/1043945070.db2.gz PKASGBMWHJTAMI-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN Fc1cccc(Cl)c1CCN(Cc1cccnc1)C1CC1 ZINC000357389799 1043948031 /nfs/dbraw/zinc/94/80/31/1043948031.db2.gz LYKCAEFLKPDSLB-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3cc(Cl)ccc32)cc1O ZINC000777921021 1043948596 /nfs/dbraw/zinc/94/85/96/1043948596.db2.gz WRHSCUVYBDOVPT-MRXNPFEDSA-N 0 3 317.816 4.174 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1ccccc1OC(F)F ZINC000290481636 1043955536 /nfs/dbraw/zinc/95/55/36/1043955536.db2.gz XKPGJVICIPXCLN-DCGLDWPTSA-N 0 3 321.367 4.061 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000357556421 1043962661 /nfs/dbraw/zinc/96/26/61/1043962661.db2.gz WVZAMGHZLHHICU-RISCZKNCSA-N 0 3 305.809 4.153 20 0 DIADHN FC(F)(F)CCCNCc1csc(-c2cccs2)n1 ZINC000561522546 1043963536 /nfs/dbraw/zinc/96/35/36/1043963536.db2.gz GRGKKWGQQQPDDQ-UHFFFAOYSA-N 0 3 306.378 4.304 20 0 DIADHN CCc1cccc(F)c1CNCc1ccccc1-c1cnn(C)c1 ZINC000474874844 1043966932 /nfs/dbraw/zinc/96/69/32/1043966932.db2.gz FZQSKPUBGIDNGG-UHFFFAOYSA-N 0 3 323.415 4.078 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001308561597 1043967121 /nfs/dbraw/zinc/96/71/21/1043967121.db2.gz FKLPBQUFFSISHA-NSHDSACASA-N 0 3 312.891 4.277 20 0 DIADHN CCOC[C@@H]1CCC[C@H]1NCc1cc(Cl)sc1Cl ZINC000474895882 1043974162 /nfs/dbraw/zinc/97/41/62/1043974162.db2.gz YBHNANAXEKUIKH-GXSJLCMTSA-N 0 3 308.274 4.350 20 0 DIADHN Cc1ccc(NC(=O)c2cscc2Cl)c(CN(C)C)c1 ZINC000631296881 1043976312 /nfs/dbraw/zinc/97/63/12/1043976312.db2.gz QJXQEPABWJPMKI-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2ccnn2C(C)C)cc1 ZINC000474927953 1043980071 /nfs/dbraw/zinc/98/00/71/1043980071.db2.gz SGZRDZWHMXMQCU-OAHLLOKOSA-N 0 3 303.475 4.043 20 0 DIADHN Clc1cccnc1CN[C@H](Cc1cccnc1)C1CCCC1 ZINC000879675735 1043981748 /nfs/dbraw/zinc/98/17/48/1043981748.db2.gz AUJTZJIZDQRTJV-QGZVFWFLSA-N 0 3 315.848 4.021 20 0 DIADHN C[C@H](NCCOCc1ccccc1)c1nccc2ccccc21 ZINC000290763691 1043984041 /nfs/dbraw/zinc/98/40/41/1043984041.db2.gz RTGCZKYPIXPSFQ-INIZCTEOSA-N 0 3 306.409 4.102 20 0 DIADHN CC[C@H](NCC1CCC2(CC2)CC1)c1nnc2n1CCCCC2 ZINC000931033917 1043984849 /nfs/dbraw/zinc/98/48/49/1043984849.db2.gz MRACKUHZTSMPRL-INIZCTEOSA-N 0 3 316.493 4.016 20 0 DIADHN CC[C@@H](N[C@@H](CCO)c1ccccc1)c1cc(C)ccc1OC ZINC000357789815 1043986830 /nfs/dbraw/zinc/98/68/30/1043986830.db2.gz FGRRCSWJAKZJBW-MOPGFXCFSA-N 0 3 313.441 4.168 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290847133 1043992499 /nfs/dbraw/zinc/99/24/99/1043992499.db2.gz JIDYSOAMKFTRSY-VXGBXAGGSA-N 0 3 317.260 4.072 20 0 DIADHN Cc1cccc2c(CN3CCC(c4cccs4)CC3)[nH]nc21 ZINC001137147901 1043998951 /nfs/dbraw/zinc/99/89/51/1043998951.db2.gz KKFXZXPVEOMIMF-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](CC(C)C)c2ccccc2)c1 ZINC000358017731 1044006138 /nfs/dbraw/zinc/00/61/38/1044006138.db2.gz IOCLDWWMJYSNMK-HXUWFJFHSA-N 0 3 324.468 4.313 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2[nH]nc3c2cccc3C)c1 ZINC001137149262 1044006670 /nfs/dbraw/zinc/00/66/70/1044006670.db2.gz LYPXWXWMGFDTEC-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN COCC[C@@H](C)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000358024784 1044009793 /nfs/dbraw/zinc/00/97/93/1044009793.db2.gz RUOKJMMHUNQNHW-LLVKDONJSA-N 0 3 324.877 4.287 20 0 DIADHN COc1ccc(C[C@H](C)NC2(c3nc(C)cs3)CCC2)cc1 ZINC000561826010 1044010157 /nfs/dbraw/zinc/01/01/57/1044010157.db2.gz MGDQVXLRDUTBSU-ZDUSSCGKSA-N 0 3 316.470 4.060 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1ccccc1N(C)C)CCCO2 ZINC000358037904 1044010219 /nfs/dbraw/zinc/01/02/19/1044010219.db2.gz OGWQOADNXSFAAK-IBGZPJMESA-N 0 3 324.468 4.373 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCCOC1CCCC1)CCCO2 ZINC000358031643 1044010392 /nfs/dbraw/zinc/01/03/92/1044010392.db2.gz YROLYTQOYLWIFE-MRXNPFEDSA-N 0 3 309.837 4.103 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCc1ccccc1N(C)C)CCCO2 ZINC000358050391 1044013283 /nfs/dbraw/zinc/01/32/83/1044013283.db2.gz TVPBCMBGQMRLNB-IBGZPJMESA-N 0 3 324.468 4.373 20 0 DIADHN CSc1ccccc1CN[C@H](CCCO)c1ccccc1 ZINC000358073747 1044013980 /nfs/dbraw/zinc/01/39/80/1044013980.db2.gz NAYKYRMDTWGOJY-QGZVFWFLSA-N 0 3 301.455 4.012 20 0 DIADHN Cc1nccnc1[C@@H](C)NCCCSc1ccccc1Cl ZINC000880104903 1044015029 /nfs/dbraw/zinc/01/50/29/1044015029.db2.gz IBDFPLUOXCCLNO-GFCCVEGCSA-N 0 3 321.877 4.271 20 0 DIADHN COC(=O)CC[C@H](N[C@H]1CCc2c1cccc2C)c1ccccc1 ZINC000358081260 1044016329 /nfs/dbraw/zinc/01/63/29/1044016329.db2.gz HRTOLUNOCNEFAY-PMACEKPBSA-N 0 3 323.436 4.266 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cn(C)nc1C(F)F)CC2 ZINC000291059418 1044017936 /nfs/dbraw/zinc/01/79/36/1044017936.db2.gz OZUYGIDMHONHNW-INIZCTEOSA-N 0 3 319.399 4.258 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000561885082 1044019475 /nfs/dbraw/zinc/01/94/75/1044019475.db2.gz LPAISGGMHUBMMQ-JJRVBVJISA-N 0 3 324.896 4.320 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000358097179 1044020154 /nfs/dbraw/zinc/02/01/54/1044020154.db2.gz NPANCJLRRCTAHO-FMKPAKJESA-N 0 3 316.470 4.418 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1ccc2ccccc2n1 ZINC000358099300 1044020328 /nfs/dbraw/zinc/02/03/28/1044020328.db2.gz QBRCQUYXKDWDOH-KBPBESRZSA-N 0 3 314.429 4.006 20 0 DIADHN COC[C@H](N[C@@H]1CCCc2ccc(OC)cc21)c1ccccc1 ZINC000358151805 1044025439 /nfs/dbraw/zinc/02/54/39/1044025439.db2.gz GPGVKCPOIBRKJR-UXHICEINSA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000358152620 1044026121 /nfs/dbraw/zinc/02/61/21/1044026121.db2.gz HBBWGQVQLYLRBT-DZGCQCFKSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCC(c2ncco2)CC1 ZINC000931448810 1044028542 /nfs/dbraw/zinc/02/85/42/1044028542.db2.gz MIQMAQIYAHBTPZ-LBPRGKRZSA-N 0 3 322.355 4.217 20 0 DIADHN C[C@H](NC[C@@H]1CCSC1)c1csc(-c2ccccc2F)n1 ZINC000291169029 1044031960 /nfs/dbraw/zinc/03/19/60/1044031960.db2.gz JTGUDGZEHNPSHQ-RYUDHWBXSA-N 0 3 322.474 4.353 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC000561951988 1044036418 /nfs/dbraw/zinc/03/64/18/1044036418.db2.gz YTLPTFQQQQSKPD-HYSAVQALSA-N 0 3 309.457 4.187 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)Cc2occc21)c1ccc2c(c1)OCO2 ZINC000358225533 1044037336 /nfs/dbraw/zinc/03/73/36/1044037336.db2.gz SLODQOHZNZPZOZ-WFASDCNBSA-N 0 3 313.397 4.373 20 0 DIADHN CN(Cc1coc(-c2cccc(F)c2)n1)C1CCC(F)(F)CC1 ZINC000561963498 1044038718 /nfs/dbraw/zinc/03/87/18/1044038718.db2.gz LHDMKWYKJSJFHH-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN CC1(C)CN(CCCO[C@H]2CCCCO2)[C@@H]1c1cccs1 ZINC000561964454 1044038797 /nfs/dbraw/zinc/03/87/97/1044038797.db2.gz SYJJJLWNGDQEGR-JKSUJKDBSA-N 0 3 309.475 4.064 20 0 DIADHN Cc1cccnc1[C@H](N[C@H]1CCCc2ccc(O)cc21)C(C)C ZINC000291214694 1044040714 /nfs/dbraw/zinc/04/07/14/1044040714.db2.gz NAEQJGJVTDRUBO-RBUKOAKNSA-N 0 3 310.441 4.460 20 0 DIADHN CCCCNC(=O)CCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC000522548698 1044044192 /nfs/dbraw/zinc/04/41/92/1044044192.db2.gz HGWJFGWRXQOGPG-AWEZNQCLSA-N 0 3 322.880 4.172 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](O)c2cccc(OC(C)C)c2)o1 ZINC000128454893 1044044763 /nfs/dbraw/zinc/04/47/63/1044044763.db2.gz NJAXMFSJEWBAGI-RDTXWAMCSA-N 0 3 317.429 4.013 20 0 DIADHN c1sc(C2CC2)nc1CN1CCCC[C@@H](C2CCOCC2)C1 ZINC000880577843 1044049066 /nfs/dbraw/zinc/04/90/66/1044049066.db2.gz LZXUBQDXGMATPS-MRXNPFEDSA-N 0 3 320.502 4.049 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000358301411 1044050091 /nfs/dbraw/zinc/05/00/91/1044050091.db2.gz QUYPNDWQVAXWKQ-UMVBOHGHSA-N 0 3 302.443 4.028 20 0 DIADHN CC(C)c1cc([C@H]2CCCN([C@@H](C)c3ccc(F)nc3)C2)[nH]n1 ZINC000931608701 1044050829 /nfs/dbraw/zinc/05/08/29/1044050829.db2.gz RVQVMMQNCYYZNO-ZFWWWQNUSA-N 0 3 316.424 4.008 20 0 DIADHN CC(C)c1cc([C@H]2CCCN([C@@H](C)c3ccc(F)nc3)C2)n[nH]1 ZINC000931608701 1044050836 /nfs/dbraw/zinc/05/08/36/1044050836.db2.gz RVQVMMQNCYYZNO-ZFWWWQNUSA-N 0 3 316.424 4.008 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)COC3)CCCO2 ZINC000358321238 1044054867 /nfs/dbraw/zinc/05/48/67/1044054867.db2.gz RKJYILFODMBCTE-IBGZPJMESA-N 0 3 309.409 4.029 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(C)cc(OC)c1)CCC2 ZINC000358347508 1044057018 /nfs/dbraw/zinc/05/70/18/1044057018.db2.gz UDYYKRXALUAWEF-FQEVSTJZSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1csc(CN2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)c1 ZINC001137158269 1044057014 /nfs/dbraw/zinc/05/70/14/1044057014.db2.gz KUYIMKGVNYGANE-OKILXGFUSA-N 0 3 321.449 4.086 20 0 DIADHN Cc1csc(CN2CC3(CC(OCc4ccccc4)C3)C2)c1 ZINC001137158459 1044058677 /nfs/dbraw/zinc/05/86/77/1044058677.db2.gz TXWPEFRJJVSMAF-UHFFFAOYSA-N 0 3 313.466 4.238 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCCc4c(O)cccc43)ccc2[nH]1 ZINC000291328475 1044059314 /nfs/dbraw/zinc/05/93/14/1044059314.db2.gz VILABFGIXATIJM-LJQANCHMSA-N 0 3 306.409 4.349 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000358353314 1044059844 /nfs/dbraw/zinc/05/98/44/1044059844.db2.gz KHSJEZPSORFBQE-YJYMSZOUSA-N 0 3 313.420 4.089 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCOC1)c1csc(-c2ccccc2F)n1 ZINC000291338902 1044060984 /nfs/dbraw/zinc/06/09/84/1044060984.db2.gz WGKODLDQVQAMIZ-AVGNSLFASA-N 0 3 320.433 4.025 20 0 DIADHN C[C@H](N[C@@H]1CCOC[C@H]1C)c1csc(-c2ccccc2F)n1 ZINC000291348722 1044062398 /nfs/dbraw/zinc/06/23/98/1044062398.db2.gz XDPXRIOECBIUDF-TYNCELHUSA-N 0 3 320.433 4.025 20 0 DIADHN C[C@H](N[C@H]1CCCOCC1)c1csc(-c2ccccc2F)n1 ZINC000291342242 1044062453 /nfs/dbraw/zinc/06/24/53/1044062453.db2.gz WPCMOHKUDUIVLX-STQMWFEESA-N 0 3 320.433 4.169 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000358368216 1044063031 /nfs/dbraw/zinc/06/30/31/1044063031.db2.gz ODTAAEYGVRIVNU-XOBRGWDASA-N 0 3 324.424 4.045 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3[nH]c(C)c(C)c3c2)cc1O ZINC000562096846 1044064050 /nfs/dbraw/zinc/06/40/50/1044064050.db2.gz WOQAUCHOUUVHPS-AWEZNQCLSA-N 0 3 324.424 4.350 20 0 DIADHN CCCC[C@H](CC)CC(=O)Nc1cc(CN(C)C)ccc1OC ZINC000522667111 1044064396 /nfs/dbraw/zinc/06/43/96/1044064396.db2.gz RHXZQWAYQQYQQK-HNNXBMFYSA-N 0 3 320.477 4.302 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2F)n1 ZINC000291383477 1044069107 /nfs/dbraw/zinc/06/91/07/1044069107.db2.gz ZPMPHNCRUHXXIN-JHJVBQTASA-N 0 3 320.433 4.167 20 0 DIADHN Cc1cc(CN[C@H]2CCc3cccc4cccc2c43)c(C)nn1 ZINC000931806308 1044075388 /nfs/dbraw/zinc/07/53/88/1044075388.db2.gz NIUVRBZCKBMTQJ-IBGZPJMESA-N 0 3 303.409 4.024 20 0 DIADHN CC(C)n1nccc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000280926501 1044083703 /nfs/dbraw/zinc/08/37/03/1044083703.db2.gz QBPRGGOIPWCOQT-GOSISDBHSA-N 0 3 301.409 4.234 20 0 DIADHN Cc1cc2cc([C@@H](C)NCC3([S@](C)=O)CCC3)oc2cc1C ZINC000281035594 1044088977 /nfs/dbraw/zinc/08/89/77/1044088977.db2.gz NLZATUIBRMFHJJ-PEBXRYMYSA-N 0 3 319.470 4.001 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCCC2(CCOCC2)C1 ZINC000562243907 1044089636 /nfs/dbraw/zinc/08/96/36/1044089636.db2.gz SMYUBWBITRGWRG-ZDUSSCGKSA-N 0 3 311.828 4.433 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2cnn(C(C)C)c2)c1 ZINC000346334102 1044093137 /nfs/dbraw/zinc/09/31/37/1044093137.db2.gz NPUVRSZWYMAQFS-DNVCBOLYSA-N 0 3 313.445 4.056 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(c2ccn(C)n2)CC1 ZINC000932142584 1044103071 /nfs/dbraw/zinc/10/30/71/1044103071.db2.gz DYDZZBUEKBRACD-ZDUSSCGKSA-N 0 3 303.837 4.014 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc(Cl)cc2C)c1 ZINC000128806387 1044105006 /nfs/dbraw/zinc/10/50/06/1044105006.db2.gz CJAPCLFJGUCIGY-CYBMUJFWSA-N 0 3 316.832 4.458 20 0 DIADHN c1csc(CN[C@@H]2CCCc3nn(-c4ccccc4)cc32)c1 ZINC000358945782 1044114099 /nfs/dbraw/zinc/11/40/99/1044114099.db2.gz QOQXORBFIMANJX-QGZVFWFLSA-N 0 3 309.438 4.101 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C(C)(C)C)o1 ZINC000932279593 1044114653 /nfs/dbraw/zinc/11/46/53/1044114653.db2.gz QFRGGBCFDYZREI-HOCLYGCPSA-N 0 3 313.445 4.135 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)nc1)[C@@H]1OCCc2sccc21 ZINC000291920010 1044115114 /nfs/dbraw/zinc/11/51/14/1044115114.db2.gz NULDYNUKUHFDHS-USBNGQNGSA-N 0 3 322.861 4.150 20 0 DIADHN Fc1cncc([C@H](NCc2cccc(C(F)(F)F)c2)C2CC2)c1 ZINC000281312330 1044116687 /nfs/dbraw/zinc/11/66/87/1044116687.db2.gz RXOZJVWMKCQOLJ-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](CC(F)(F)F)C2)cc1 ZINC000562471097 1044118305 /nfs/dbraw/zinc/11/83/05/1044118305.db2.gz MBAXDECRVCVEDJ-AWEZNQCLSA-N 0 3 301.352 4.250 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](CCCCOC)c2ccccc2)cn1 ZINC000359038598 1044124751 /nfs/dbraw/zinc/12/47/51/1044124751.db2.gz RPROGOVJEIVUHT-APWZRJJASA-N 0 3 315.461 4.112 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC[C@H](c3ccccc3)C2)[n-]1 ZINC000562522441 1044126800 /nfs/dbraw/zinc/12/68/00/1044126800.db2.gz LTZZKFRYBGUJSL-IRXDYDNUSA-N 0 3 312.461 4.134 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NC1(c2nc(C)cs2)CCC1 ZINC000346661064 1044127995 /nfs/dbraw/zinc/12/79/95/1044127995.db2.gz UZPJUVITPKJFAY-UONOGXRCSA-N 0 3 308.491 4.014 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281398742 1044128473 /nfs/dbraw/zinc/12/84/73/1044128473.db2.gz YLIHLXTTWRPZJX-LJQANCHMSA-N 0 3 314.404 4.249 20 0 DIADHN CC(C)N(Cc1cn(C)nc1C(F)F)[C@H](C)c1ccccc1 ZINC000292055746 1044130952 /nfs/dbraw/zinc/13/09/52/1044130952.db2.gz BQTNRHHVGDGVOY-CYBMUJFWSA-N 0 3 307.388 4.329 20 0 DIADHN CCc1ccc(CN[C@H](c2cccs2)C(C)(C)CO)s1 ZINC000562574368 1044131746 /nfs/dbraw/zinc/13/17/46/1044131746.db2.gz YDKMFQNGDSAVKM-OAHLLOKOSA-N 0 3 309.500 4.221 20 0 DIADHN CC[C@@H](NC1(c2ccccc2)CC1)c1ccc2c(c1)OCCO2 ZINC000346731261 1044134407 /nfs/dbraw/zinc/13/44/07/1044134407.db2.gz JJIIYSOVRYAELD-QGZVFWFLSA-N 0 3 309.409 4.188 20 0 DIADHN Cc1ncc(CN[C@H](C)c2ccc(-c3ccccc3)cc2)c(=O)[nH]1 ZINC000346807081 1044141671 /nfs/dbraw/zinc/14/16/71/1044141671.db2.gz LNDMAAPQFDEBGZ-CQSZACIVSA-N 0 3 319.408 4.008 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NC2(c3ccc(F)cc3)CC2)cc1 ZINC000359201069 1044143697 /nfs/dbraw/zinc/14/36/97/1044143697.db2.gz AWOJSSIKTUJKQO-ZDUSSCGKSA-N 0 3 312.388 4.124 20 0 DIADHN CCOCCCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000359309008 1044152296 /nfs/dbraw/zinc/15/22/96/1044152296.db2.gz QQSKLHZKGDVKRB-JTQLQIEISA-N 0 3 308.274 4.449 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](c1cccs1)C1CC1 ZINC000359268724 1044148524 /nfs/dbraw/zinc/14/85/24/1044148524.db2.gz XGDRETJCLLSCEM-SFHVURJKSA-N 0 3 314.454 4.044 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3cnc([C@@H]4CCCO4)s3)C2)C1 ZINC000359290855 1044151235 /nfs/dbraw/zinc/15/12/35/1044151235.db2.gz CHFRDBRFCJHVJD-KMFMINBZSA-N 0 3 306.475 4.007 20 0 DIADHN COc1ccc(C2(CN[C@H](C)c3csc(C)n3)CCC2)cc1 ZINC000346860888 1044162973 /nfs/dbraw/zinc/16/29/73/1044162973.db2.gz CTDSGIZJEULRIZ-CYBMUJFWSA-N 0 3 316.470 4.233 20 0 DIADHN COc1cccc(CN[C@H]2CCCOc3c(C)cc(C)cc32)c1 ZINC000359520412 1044174463 /nfs/dbraw/zinc/17/44/63/1044174463.db2.gz AKMIGMXOOQZCJS-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN FC1(F)CC[C@@H](CNCc2csc(-c3ccsc3)n2)C1 ZINC000281663851 1044175177 /nfs/dbraw/zinc/17/51/77/1044175177.db2.gz NQRQGPZTPJBANJ-SNVBAGLBSA-N 0 3 314.426 4.397 20 0 DIADHN C[C@@]1(Cc2cccs2)CCCN1Cc1coc(C2CC2)n1 ZINC000932920684 1044176569 /nfs/dbraw/zinc/17/65/69/1044176569.db2.gz DCRUFEOOLQLBPB-KRWDZBQOSA-N 0 3 302.443 4.211 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2c2cccnc2)cc1 ZINC000346972052 1044177774 /nfs/dbraw/zinc/17/77/74/1044177774.db2.gz CDLHPTWKWALIGG-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC000562902259 1044178074 /nfs/dbraw/zinc/17/80/74/1044178074.db2.gz DBPQMDPMORLFDV-SJLPKXTDSA-N 0 3 310.441 4.288 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@@H](c3ccccn3)C2)o1 ZINC000798965794 1044182382 /nfs/dbraw/zinc/18/23/82/1044182382.db2.gz ZOHLEUHRPDYYQE-GFCCVEGCSA-N 0 3 324.396 4.120 20 0 DIADHN Fc1ccc(SCCN2CCC[C@@H]2c2cccnc2)cc1 ZINC000347006045 1044182563 /nfs/dbraw/zinc/18/25/63/1044182563.db2.gz LVHTYQKSGPTHFP-QGZVFWFLSA-N 0 3 302.418 4.150 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCc1csc(C)n1)[C@@H]2C ZINC000359650633 1044185184 /nfs/dbraw/zinc/18/51/84/1044185184.db2.gz OMDZUVWHMFFYDS-QWHCGFSZSA-N 0 3 316.470 4.010 20 0 DIADHN CCc1cnc(CN[C@H]2CCC[C@H](c3ncccc3C)C2)s1 ZINC000281741921 1044187284 /nfs/dbraw/zinc/18/72/84/1044187284.db2.gz VOXQOXJNLJAFLV-GJZGRUSLSA-N 0 3 315.486 4.225 20 0 DIADHN CC[C@@H](NC[C@H](c1ccsc1)N(C)C)c1ccc(F)cc1F ZINC000359666000 1044187465 /nfs/dbraw/zinc/18/74/65/1044187465.db2.gz UZWXJYVJTLVOBH-IAGOWNOFSA-N 0 3 324.440 4.370 20 0 DIADHN COc1ccc([C@H](C)CCN[C@@H]2CCCc3oc(C)nc32)cc1 ZINC000925138077 1044193015 /nfs/dbraw/zinc/19/30/15/1044193015.db2.gz FVFYCGWIQLYMJZ-CXAGYDPISA-N 0 3 314.429 4.152 20 0 DIADHN C[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccc2c(c1)CCCC2 ZINC000882880298 1044198808 /nfs/dbraw/zinc/19/88/08/1044198808.db2.gz LRJQOLFNXWXMPT-CYBMUJFWSA-N 0 3 323.392 4.116 20 0 DIADHN CC[C@H](NCc1cccc(Cl)c1N)c1ccc(OC)cc1 ZINC000882880585 1044199254 /nfs/dbraw/zinc/19/92/54/1044199254.db2.gz ZJPXRYIMOBFNLM-INIZCTEOSA-N 0 3 304.821 4.172 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)NCc1ccc(-c2ccccc2)o1 ZINC000130586383 1044208140 /nfs/dbraw/zinc/20/81/40/1044208140.db2.gz QKQHNDORFJSZAX-PBHICJAKSA-N 0 3 311.381 4.141 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)NCc1ccc(-c2ccccc2)o1 ZINC000130586826 1044208209 /nfs/dbraw/zinc/20/82/09/1044208209.db2.gz QKQHNDORFJSZAX-RHSMWYFYSA-N 0 3 311.381 4.141 20 0 DIADHN CC[C@H](NCc1ccc2oc(=O)oc2c1)c1ccc(Cl)s1 ZINC000883000214 1044212019 /nfs/dbraw/zinc/21/20/19/1044212019.db2.gz RRQRUAQEKJHTOM-JTQLQIEISA-N 0 3 323.801 4.342 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1CCCSC[C@@H]1C1CC1 ZINC000933914621 1044218918 /nfs/dbraw/zinc/21/89/18/1044218918.db2.gz BBDZAVXXKRJHKI-QGZVFWFLSA-N 0 3 314.454 4.059 20 0 DIADHN O=c1oc2ccc(CN[C@@H](CC3CCC3)c3ccccc3)cc2o1 ZINC000883084440 1044221087 /nfs/dbraw/zinc/22/10/87/1044221087.db2.gz RHPXHSTVYYUYCU-KRWDZBQOSA-N 0 3 323.392 4.407 20 0 DIADHN c1cn(-c2ccccc2)c(CNCCCSc2ccccc2)n1 ZINC000359960085 1044221361 /nfs/dbraw/zinc/22/13/61/1044221361.db2.gz VXIGHTCOIPWVRW-UHFFFAOYSA-N 0 3 323.465 4.144 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN2CC[C@@H]3C[C@@H]32)on1 ZINC000778221327 1044225056 /nfs/dbraw/zinc/22/50/56/1044225056.db2.gz CWXHIEDODWBDOT-KDOFPFPSSA-N 0 3 320.392 4.098 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1ccc(C)nc1C ZINC000360052671 1044230961 /nfs/dbraw/zinc/23/09/61/1044230961.db2.gz IWFMTNZJHCFFEH-FQEVSTJZSA-N 0 3 310.441 4.216 20 0 DIADHN Cc1ccc(CN2CCC(OC3CCCCC3)CC2)c(C)n1 ZINC000360070013 1044231940 /nfs/dbraw/zinc/23/19/40/1044231940.db2.gz BZCVOCFFXLPFSB-UHFFFAOYSA-N 0 3 302.462 4.012 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)[C@H]2CCCOC2)o1 ZINC000563254695 1044237254 /nfs/dbraw/zinc/23/72/54/1044237254.db2.gz DRLQBJBBQGJNBV-AWEZNQCLSA-N 0 3 303.377 4.005 20 0 DIADHN CC[C@H](NCc1ccc(N(CC)CC)nc1)c1ccc(C)cc1 ZINC000360140229 1044239408 /nfs/dbraw/zinc/23/94/08/1044239408.db2.gz NNGJUCOCQCNDSE-IBGZPJMESA-N 0 3 311.473 4.477 20 0 DIADHN CCSc1ccc(CNCc2cccc(Cl)c2N)cc1 ZINC000883234335 1044240451 /nfs/dbraw/zinc/24/04/51/1044240451.db2.gz CEBLCFNXYYIMFT-UHFFFAOYSA-N 0 3 306.862 4.324 20 0 DIADHN CC[C@@H](NCc1ccsc1C)c1ccc(OC)c(OC)c1 ZINC000293043417 1044240610 /nfs/dbraw/zinc/24/06/10/1044240610.db2.gz SNCJAFDLJJEMNN-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN CC[C@H](NCc1ccsc1C)c1ccc(OC)c(OC)c1 ZINC000293043415 1044242341 /nfs/dbraw/zinc/24/23/41/1044242341.db2.gz SNCJAFDLJJEMNN-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccc(C(C)(F)F)cc1 ZINC000293070157 1044243069 /nfs/dbraw/zinc/24/30/69/1044243069.db2.gz VJKNUQWVHWKAEU-UHFFFAOYSA-N 0 3 318.367 4.047 20 0 DIADHN c1nc(CN[C@@H]2CCOC23CCCC3)sc1-c1ccccc1 ZINC000934386390 1044244551 /nfs/dbraw/zinc/24/45/51/1044244551.db2.gz ZFUQDDQSGFHFOW-MRXNPFEDSA-N 0 3 314.454 4.001 20 0 DIADHN FC1(F)CCC[C@@H]1CCNCc1csc(-c2ccccn2)n1 ZINC000883267124 1044255690 /nfs/dbraw/zinc/25/56/90/1044255690.db2.gz TYKPPEDWCOYDHN-GFCCVEGCSA-N 0 3 323.412 4.120 20 0 DIADHN C[C@@H](NCc1ccc2cc[nH]c2c1)c1cnn(CC2CCC2)c1 ZINC000934560632 1044259349 /nfs/dbraw/zinc/25/93/49/1044259349.db2.gz FTWIHNUCJBYIDI-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN CCC[C@@H](NCc1ccnc(-c2ccccn2)n1)c1ccccc1 ZINC000883292672 1044260067 /nfs/dbraw/zinc/26/00/67/1044260067.db2.gz WAMMRJMDOKWYRR-GOSISDBHSA-N 0 3 318.424 4.170 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC2(CC1)OCCCO2 ZINC000293217378 1044261274 /nfs/dbraw/zinc/26/12/74/1044261274.db2.gz YMZJMCFCYRTLOF-INIZCTEOSA-N 0 3 309.837 4.020 20 0 DIADHN C[C@@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1ccccn1 ZINC000563485972 1044268205 /nfs/dbraw/zinc/26/82/05/1044268205.db2.gz PBMAQTMAZFIONP-HIFRSBDPSA-N 0 3 308.347 4.153 20 0 DIADHN FC(F)(F)c1cccc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934686003 1044269048 /nfs/dbraw/zinc/26/90/48/1044269048.db2.gz IGYYYZMAOQPQBE-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934695760 1044271753 /nfs/dbraw/zinc/27/17/53/1044271753.db2.gz COJDGXUIIDNUIJ-LJQANCHMSA-N 0 3 310.441 4.500 20 0 DIADHN CC(C)(NCc1ccc(F)nc1)c1ccc(C(F)(F)F)cc1 ZINC000883324005 1044272773 /nfs/dbraw/zinc/27/27/73/1044272773.db2.gz GTYYZCJIRXGDSY-UHFFFAOYSA-N 0 3 312.310 4.264 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1cc2ccccc2[nH]c1=O ZINC001137187118 1044273198 /nfs/dbraw/zinc/27/31/98/1044273198.db2.gz AQVCORRKFQUWJW-JXMROGBWSA-N 0 3 304.393 4.086 20 0 DIADHN c1onc(Cc2ccccc2)c1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000563500453 1044275069 /nfs/dbraw/zinc/27/50/69/1044275069.db2.gz HSPKSUVWTFFSDQ-AZUAARDMSA-N 0 3 310.441 4.324 20 0 DIADHN CC[C@@H](N[C@H]1CCCOc2c(OC)cccc21)c1ccncc1 ZINC000883331046 1044276002 /nfs/dbraw/zinc/27/60/02/1044276002.db2.gz QVUCKLHMUTUZCS-SJORKVTESA-N 0 3 312.413 4.045 20 0 DIADHN COCC1(CCNCc2c(Cl)cccc2Cl)CCC1 ZINC000563502481 1044275936 /nfs/dbraw/zinc/27/59/36/1044275936.db2.gz UDYIBKMVOBKMDR-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN Cc1cccc(CN(CC(C)C)[C@H]2CCCc3cccnc32)n1 ZINC000360499046 1044278193 /nfs/dbraw/zinc/27/81/93/1044278193.db2.gz FFPXTEWJSKQTJO-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2N[C@H](C)c1cccs1 ZINC000883331286 1044278692 /nfs/dbraw/zinc/27/86/92/1044278692.db2.gz VNFXVLCWSBLBGP-OCCSQVGLSA-N 0 3 303.427 4.321 20 0 DIADHN COc1cccc2c1OCCC[C@H]2N[C@@H](C)c1cccs1 ZINC000883331284 1044279878 /nfs/dbraw/zinc/27/98/78/1044279878.db2.gz VNFXVLCWSBLBGP-GXTWGEPZSA-N 0 3 303.427 4.321 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC000934838705 1044285490 /nfs/dbraw/zinc/28/54/90/1044285490.db2.gz NPRRMSXWRVKIDP-BZUAXINKSA-N 0 3 320.477 4.172 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360577499 1044285682 /nfs/dbraw/zinc/28/56/82/1044285682.db2.gz CLLKKLWDXWGIJF-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN COCCC[C@H](NCc1ccc(Cl)nc1)c1ccc(F)cc1 ZINC000281992973 1044286259 /nfs/dbraw/zinc/28/62/59/1044286259.db2.gz PRNNTZZQAZCMDS-INIZCTEOSA-N 0 3 322.811 4.132 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@@H](C)c1cn(C)nc1C)CCC2 ZINC000563625665 1044287834 /nfs/dbraw/zinc/28/78/34/1044287834.db2.gz RSISPXRVRYMXBW-KPZWWZAWSA-N 0 3 315.486 4.179 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1nc(C(F)(F)F)cs1 ZINC000475152583 1044289156 /nfs/dbraw/zinc/28/91/56/1044289156.db2.gz AGHHVPQBLAHBSJ-QWRGUYRKSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H](N[C@H]1CC2(CCOCC2)Oc2ccccc21)c1ccccc1 ZINC000883384536 1044296584 /nfs/dbraw/zinc/29/65/84/1044296584.db2.gz UHPDIQODYCDYHF-LPHOPBHVSA-N 0 3 323.436 4.410 20 0 DIADHN c1ccc2ncc(CN[C@@H](c3cccnc3)C3CCC3)cc2c1 ZINC000360658096 1044297125 /nfs/dbraw/zinc/29/71/25/1044297125.db2.gz QUABAQAEQVWOPR-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387141 1044298956 /nfs/dbraw/zinc/29/89/56/1044298956.db2.gz SCPKWCKPHPFVCI-WOJBJXKFSA-N 0 3 321.399 4.041 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)cc1 ZINC000883386278 1044299702 /nfs/dbraw/zinc/29/97/02/1044299702.db2.gz CSAHYHFJBLPUMC-XLIONFOSSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883386606 1044300166 /nfs/dbraw/zinc/30/01/66/1044300166.db2.gz JHVAKRIWGVASHY-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN COc1ccc([C@@H]([NH2+]Cc2ccc([O-])c(F)c2)C2CCC2)cc1 ZINC000897079863 1044300859 /nfs/dbraw/zinc/30/08/59/1044300859.db2.gz MVVLSWQMSDQWCK-IBGZPJMESA-N 0 3 315.388 4.171 20 0 DIADHN Fc1ccc(CN[C@H](C[C@H]2CCOC2)c2ccc(F)cc2)cc1 ZINC000293582045 1044300977 /nfs/dbraw/zinc/30/09/77/1044300977.db2.gz YPWJHXPBBRLMSU-DNVCBOLYSA-N 0 3 317.379 4.222 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883388330 1044302918 /nfs/dbraw/zinc/30/29/18/1044302918.db2.gz SBPGRXMUEKSIRG-OXQOHEQNSA-N 0 3 323.415 4.423 20 0 DIADHN Cc1cc([C@H](C)N[C@H](Cn2ccnc2)c2ccccc2)cs1 ZINC000883387989 1044303548 /nfs/dbraw/zinc/30/35/48/1044303548.db2.gz JYIAOWDQNBEURK-MAUKXSAKSA-N 0 3 311.454 4.345 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1cc2ccccc2[nH]c1=O ZINC000360718948 1044305083 /nfs/dbraw/zinc/30/50/83/1044305083.db2.gz DIZATLYNMIBQCQ-SMDDNHRTSA-N 0 3 324.346 4.103 20 0 DIADHN Cc1cccc(CN[C@@H](Cn2ccnc2)c2ccccc2)c1C ZINC000883398874 1044306373 /nfs/dbraw/zinc/30/63/73/1044306373.db2.gz XSNIFADPZLZYMU-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN C[C@H](NCc1ccc(C(C)(F)F)cc1)c1cccc(CO)c1 ZINC000293757950 1044324357 /nfs/dbraw/zinc/32/43/57/1044324357.db2.gz QZDRRMOFGZTQEN-ZDUSSCGKSA-N 0 3 305.368 4.141 20 0 DIADHN CCCc1ccc([C@@H](C)N[C@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360910031 1044327229 /nfs/dbraw/zinc/32/72/29/1044327229.db2.gz QWOQGFDBZAPZJS-OXQOHEQNSA-N 0 3 324.468 4.167 20 0 DIADHN S=c1ccn(CN2CCc3ccsc3C2)c2ccccc12 ZINC000132579990 1044328105 /nfs/dbraw/zinc/32/81/05/1044328105.db2.gz YRYFFJWOVHZABT-UHFFFAOYSA-N 0 3 312.463 4.448 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1ccc(OC(F)F)cc1 ZINC000237445777 1044329684 /nfs/dbraw/zinc/32/96/84/1044329684.db2.gz SYNOKDUEJFMWDO-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3cccc(C)n23)cc1 ZINC001137196589 1044332548 /nfs/dbraw/zinc/33/25/48/1044332548.db2.gz PMIQYWJOECLSIO-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](C(F)(F)F)[C@@H]3C)cc2c1 ZINC000475278537 1044339173 /nfs/dbraw/zinc/33/91/73/1044339173.db2.gz KQCZAEDYWGPBGA-IINYFYTJSA-N 0 3 313.319 4.214 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc(C(F)(F)F)cc2)cnn1C ZINC000192851509 1044341686 /nfs/dbraw/zinc/34/16/86/1044341686.db2.gz MFHLOKRJJQBBKC-MNOVXSKESA-N 0 3 311.351 4.159 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000475272880 1044341745 /nfs/dbraw/zinc/34/17/45/1044341745.db2.gz CHQOPJSPAWQAMK-CABCVRRESA-N 0 3 322.518 4.074 20 0 DIADHN c1sc(-c2ccccc2)nc1CNC[C@@H]1CCCSC1 ZINC000564116031 1044342188 /nfs/dbraw/zinc/34/21/88/1044342188.db2.gz WHYAANPBPZOSEH-ZDUSSCGKSA-N 0 3 304.484 4.043 20 0 DIADHN CC[C@H](NCCOCC1CCC1)c1ccccc1OC(F)F ZINC000361034040 1044350785 /nfs/dbraw/zinc/35/07/85/1044350785.db2.gz VMKMEYJDJRNIAG-HNNXBMFYSA-N 0 3 313.388 4.145 20 0 DIADHN Cc1nc(CNCCC2CCCCC2)sc1Br ZINC000719321946 1044353761 /nfs/dbraw/zinc/35/37/61/1044353761.db2.gz KLJCIZKYPBLTIX-UHFFFAOYSA-N 0 3 317.296 4.274 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cnc2cccc(C)n12 ZINC001137198702 1044355090 /nfs/dbraw/zinc/35/50/90/1044355090.db2.gz HNNTUJOXADAHAD-IBGZPJMESA-N 0 3 305.425 4.152 20 0 DIADHN CN(CCCCOC(C)(C)C)Cc1cc(F)c(F)c(F)c1 ZINC000475311115 1044355679 /nfs/dbraw/zinc/35/56/79/1044355679.db2.gz RUNLDMIQJCPTAW-UHFFFAOYSA-N 0 3 303.368 4.131 20 0 DIADHN OCC1([C@@H](NCc2cccc3cc[nH]c32)c2ccccc2)CCC1 ZINC000293950325 1044358088 /nfs/dbraw/zinc/35/80/88/1044358088.db2.gz OZJQFSFVKIPMCQ-FQEVSTJZSA-N 0 3 320.436 4.161 20 0 DIADHN COC1(C[C@H](C)N[C@@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000475329489 1044361877 /nfs/dbraw/zinc/36/18/77/1044361877.db2.gz LYBVQPWKSPHQEJ-PXNSSMCTSA-N 0 3 324.468 4.417 20 0 DIADHN Cc1cccc(CCN[C@@H](c2cccc(F)c2)c2ccccn2)c1 ZINC000564251522 1044364927 /nfs/dbraw/zinc/36/49/27/1044364927.db2.gz YPFKUXPJOZBKHK-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1cc(F)c(CNCC[C@H]2CCc3ccccc32)c(F)c1 ZINC001202602710 1044365615 /nfs/dbraw/zinc/36/56/15/1044365615.db2.gz LCLVETWWPDPPHO-OAHLLOKOSA-N 0 3 301.380 4.483 20 0 DIADHN Clc1ccc(-n2cc(CNCC3=CCCCC3)cn2)cc1 ZINC001202594964 1044367712 /nfs/dbraw/zinc/36/77/12/1044367712.db2.gz DHFOBZWMFCJBBL-UHFFFAOYSA-N 0 3 301.821 4.116 20 0 DIADHN COCCN(Cc1cncs1)C[C@H](C)c1cccc(Cl)c1 ZINC000564407455 1044375578 /nfs/dbraw/zinc/37/55/78/1044375578.db2.gz ZVGKAQXHYYGNGN-ZDUSSCGKSA-N 0 3 324.877 4.049 20 0 DIADHN C[C@H](NCc1cccc2nccn21)c1ccc(C(F)(F)F)cc1 ZINC000564420216 1044375718 /nfs/dbraw/zinc/37/57/18/1044375718.db2.gz WJRWZFGVNWBWLX-LBPRGKRZSA-N 0 3 319.330 4.204 20 0 DIADHN COCCN(Cc1cncs1)C[C@@H](C)c1cccc(Cl)c1 ZINC000564407452 1044376800 /nfs/dbraw/zinc/37/68/00/1044376800.db2.gz ZVGKAQXHYYGNGN-CYBMUJFWSA-N 0 3 324.877 4.049 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@H](c3ccc(F)cc3)C2)c1 ZINC000475354002 1044377834 /nfs/dbraw/zinc/37/78/34/1044377834.db2.gz HDTLLNQYXQNTIB-YOEHRIQHSA-N 0 3 303.352 4.096 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1csc(COC)n1 ZINC000564434516 1044379942 /nfs/dbraw/zinc/37/99/42/1044379942.db2.gz YPIIYUOVZZGQQY-MRXNPFEDSA-N 0 3 318.486 4.181 20 0 DIADHN COC[C@H](NC1CC(c2cc(F)cc(F)c2)C1)c1ccc(C)o1 ZINC000564734259 1044400436 /nfs/dbraw/zinc/40/04/36/1044400436.db2.gz SDKOIYZXYBKKFO-IMRCBTMISA-N 0 3 321.367 4.090 20 0 DIADHN CCOc1ccc(CN[C@@H]2C[C@H](C)c3ccccc32)cc1OC ZINC000564745163 1044401708 /nfs/dbraw/zinc/40/17/08/1044401708.db2.gz JTSNYCUSDVOIRH-KBXCAEBGSA-N 0 3 311.425 4.432 20 0 DIADHN CC1=C[C@@H](C)C[C@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000564760172 1044402033 /nfs/dbraw/zinc/40/20/33/1044402033.db2.gz MCZCGOFMCDPZIU-HIFRSBDPSA-N 0 3 313.470 4.287 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](C)c2cccc(F)c2)c1 ZINC000192941610 1044404355 /nfs/dbraw/zinc/40/43/55/1044404355.db2.gz ONIHBZCQYFVBNR-QWHCGFSZSA-N 0 3 300.377 4.196 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCC[C@H](F)C2)c2ccccc2)nc1 ZINC000564964622 1044408528 /nfs/dbraw/zinc/40/85/28/1044408528.db2.gz PHLAUPVDVHAQIB-QILLFSRXSA-N 0 3 302.368 4.180 20 0 DIADHN OC1CCN(Cc2ccccc2NCCC2CCCCC2)CC1 ZINC000361544648 1044416034 /nfs/dbraw/zinc/41/60/34/1044416034.db2.gz WJQVKCMQFCTPLX-UHFFFAOYSA-N 0 3 316.489 4.026 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2c(C)nsc2C)CC1 ZINC000565161604 1044418646 /nfs/dbraw/zinc/41/86/46/1044418646.db2.gz GXXRELKORPZAIJ-UHFFFAOYSA-N 0 3 303.475 4.015 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(-n3ccnc3)cc1C)C2 ZINC000361605184 1044425010 /nfs/dbraw/zinc/42/50/10/1044425010.db2.gz XJAYRBYEXHOUSK-UHFFFAOYSA-N 0 3 303.409 4.005 20 0 DIADHN [O-]c1cccnc1C[N@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000565331014 1044426948 /nfs/dbraw/zinc/42/69/48/1044426948.db2.gz DDQLCIDXRFOWPO-UHFFFAOYSA-N 0 3 304.393 4.105 20 0 DIADHN [O-]c1cccnc1C[N@@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000565331014 1044426954 /nfs/dbraw/zinc/42/69/54/1044426954.db2.gz DDQLCIDXRFOWPO-UHFFFAOYSA-N 0 3 304.393 4.105 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc(N(C)C)nc2)c2ccccc21 ZINC000565343746 1044427288 /nfs/dbraw/zinc/42/72/88/1044427288.db2.gz RYXLMOPEOKNFST-OALUTQOASA-N 0 3 309.457 4.122 20 0 DIADHN COCCN(Cc1cnc(C2CCC2)s1)C[C@@H]1CC=CCC1 ZINC000361678813 1044431978 /nfs/dbraw/zinc/43/19/78/1044431978.db2.gz RBHMNEAJNNMWQS-OAHLLOKOSA-N 0 3 320.502 4.215 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000361758483 1044441627 /nfs/dbraw/zinc/44/16/27/1044441627.db2.gz ZGHDJYHKBVRMLW-ZSEKCTLFSA-N 0 3 321.873 4.237 20 0 DIADHN C[C@@H](NCc1ccc(COCC(F)(F)F)cc1)c1cccnc1 ZINC000112519389 1044441640 /nfs/dbraw/zinc/44/16/40/1044441640.db2.gz DDVRXMDOMZWFQE-CYBMUJFWSA-N 0 3 324.346 4.011 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1ccc(OCC(F)(F)F)cc1 ZINC000112536080 1044443150 /nfs/dbraw/zinc/44/31/50/1044443150.db2.gz WSVUAYNLCJNUNP-OLZOCXBDSA-N 0 3 324.346 4.435 20 0 DIADHN C[C@H](N[C@@H](C)c1cccnc1)c1ccc(OCC(F)(F)F)cc1 ZINC000112536077 1044443791 /nfs/dbraw/zinc/44/37/91/1044443791.db2.gz WSVUAYNLCJNUNP-STQMWFEESA-N 0 3 324.346 4.435 20 0 DIADHN Clc1ccc([C@@H](NC[C@@H]2CCCO2)c2ccccc2)cc1 ZINC000565670769 1044448594 /nfs/dbraw/zinc/44/85/94/1044448594.db2.gz LZQQKUDBXDYWJW-ROUUACIJSA-N 0 3 301.817 4.198 20 0 DIADHN Cc1ccc2c(cccc2Nc2ccc3c(c2)CN(C)CC3)n1 ZINC001212798660 1044451388 /nfs/dbraw/zinc/45/13/88/1044451388.db2.gz MINYDWZUOCVOIX-UHFFFAOYSA-N 0 3 303.409 4.275 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000361878228 1044456280 /nfs/dbraw/zinc/45/62/80/1044456280.db2.gz ZADLFBSVTFYCCL-DYVFJYSZSA-N 0 3 321.367 4.062 20 0 DIADHN CSc1cccc(NC(=O)CN(C)C2CCCCCCC2)c1 ZINC000303051358 1044456751 /nfs/dbraw/zinc/45/67/51/1044456751.db2.gz VJFKXJHHPHHEDM-UHFFFAOYSA-N 0 3 320.502 4.392 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](O)C1)c1nc(-c2ccccc2)cs1 ZINC000361892065 1044456882 /nfs/dbraw/zinc/45/68/82/1044456882.db2.gz YXEHHZREZWHMTR-LZWOXQAQSA-N 0 3 316.470 4.012 20 0 DIADHN CCc1ccccc1CN[C@H]1CCCOc2ccc(OC)cc21 ZINC000361889900 1044457162 /nfs/dbraw/zinc/45/71/62/1044457162.db2.gz RLCGAPIHLJLRLN-IBGZPJMESA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)[C@H](CO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361920267 1044459982 /nfs/dbraw/zinc/45/99/82/1044459982.db2.gz YGMDPYSOGXQXLX-ZJUUUORDSA-N 0 3 308.274 4.039 20 0 DIADHN CCC[C@H](NC[C@H](O)C(F)F)c1ccc(Cl)c(Cl)c1 ZINC000361965014 1044463096 /nfs/dbraw/zinc/46/30/96/1044463096.db2.gz ATTKANGPMAJYOT-RYUDHWBXSA-N 0 3 312.187 4.050 20 0 DIADHN CC[C@H](NC[C@H](O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000361966295 1044465599 /nfs/dbraw/zinc/46/55/99/1044465599.db2.gz LGZKLBSLNCAKRR-IRXDYDNUSA-N 0 3 321.367 4.146 20 0 DIADHN Cc1ccsc1CNCc1cn(-c2ccc(C)cc2)nc1C ZINC000655717746 1044467219 /nfs/dbraw/zinc/46/72/19/1044467219.db2.gz ILECTWWSWXVVDB-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN Cc1nn(C)c2ncc(CN[C@H](C)c3cccc(C4CC4)c3)cc12 ZINC000294886754 1044469820 /nfs/dbraw/zinc/46/98/20/1044469820.db2.gz VDPRWFWJQFNJID-CYBMUJFWSA-N 0 3 320.440 4.005 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H]2CCc3ccc(C)cc32)c2ccccc21 ZINC000362032459 1044471871 /nfs/dbraw/zinc/47/18/71/1044471871.db2.gz MIQSQYWHWCMBHT-VQTJNVASSA-N 0 3 320.436 4.070 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H]2CCc3ccc(C)cc32)c2ccccc21 ZINC000362032458 1044471995 /nfs/dbraw/zinc/47/19/95/1044471995.db2.gz MIQSQYWHWCMBHT-UXHICEINSA-N 0 3 320.436 4.070 20 0 DIADHN FC1(F)CC(CN[C@H](c2cccc(Cl)c2)c2ccccn2)C1 ZINC000294914992 1044472207 /nfs/dbraw/zinc/47/22/07/1044472207.db2.gz JHULCFPXUOXKKB-MRXNPFEDSA-N 0 3 322.786 4.459 20 0 DIADHN FC(F)(F)c1cccc(CN[C@H]2CCCc3ncccc32)c1 ZINC000631560972 1044473471 /nfs/dbraw/zinc/47/34/71/1044473471.db2.gz JOCMHYYBWBBMQG-INIZCTEOSA-N 0 3 306.331 4.268 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(-c3ccccc3)s2)CC1(C)C ZINC000294960211 1044475408 /nfs/dbraw/zinc/47/54/08/1044475408.db2.gz IEWDNMVFLVATOF-MRXNPFEDSA-N 0 3 316.470 4.057 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cccc(Br)n1 ZINC001205976434 1044477955 /nfs/dbraw/zinc/47/79/55/1044477955.db2.gz RDGMDVMTMHRBMG-OAHLLOKOSA-N 0 3 319.246 4.427 20 0 DIADHN CC[C@H](N[C@@H](C)c1csc(-c2ccccc2F)n1)C(C)(C)O ZINC000294996737 1044478178 /nfs/dbraw/zinc/47/81/78/1044478178.db2.gz LSXZHHOKLGGSSD-NHYWBVRUSA-N 0 3 322.449 4.149 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1nccn1C ZINC000295019259 1044480165 /nfs/dbraw/zinc/48/01/65/1044480165.db2.gz NOAWENYUXWDYGM-XJKCOSOUSA-N 0 3 303.837 4.060 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1ccc(C)s1 ZINC000631570675 1044485383 /nfs/dbraw/zinc/48/53/83/1044485383.db2.gz XLUOFHRSRGIYIN-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN c1ccc([C@H]2CCC[C@@H](NCc3nnc(C4CC4)s3)C2)cc1 ZINC000840892408 1044492845 /nfs/dbraw/zinc/49/28/45/1044492845.db2.gz ZQYTUTYXCKQSNQ-JKSUJKDBSA-N 0 3 313.470 4.232 20 0 DIADHN Cc1ccccc1NC(=O)CCN([C@@H](C)c1ccco1)C1CC1 ZINC000119270563 1044493227 /nfs/dbraw/zinc/49/32/27/1044493227.db2.gz PUHQUPUZDVZBQI-HNNXBMFYSA-N 0 3 312.413 4.142 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000106859805 1044495769 /nfs/dbraw/zinc/49/57/69/1044495769.db2.gz SSBMUBHNCUEUCP-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN COc1ccc2nc(CN([C@@H](C)C3CC3)C3CC3)sc2c1 ZINC000475557773 1044498249 /nfs/dbraw/zinc/49/82/49/1044498249.db2.gz DRFVXLHYSREWHA-NSHDSACASA-N 0 3 302.443 4.068 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2cccc(Cl)c2)cc1 ZINC000120236481 1044502379 /nfs/dbraw/zinc/50/23/79/1044502379.db2.gz VVFRRWYCTONZIE-FZKQIMNGSA-N 0 3 319.832 4.123 20 0 DIADHN Cc1cccc(OCCN2CCSC[C@@H]2c2ccccc2)c1 ZINC000303742644 1044506395 /nfs/dbraw/zinc/50/63/95/1044506395.db2.gz YSFLESOCDUCMQJ-LJQANCHMSA-N 0 3 313.466 4.164 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2cc(C)cc(C)c2)cc1 ZINC000120393371 1044507536 /nfs/dbraw/zinc/50/75/36/1044507536.db2.gz UYIGNCMWIWPTQJ-JXFKEZNVSA-N 0 3 313.441 4.086 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N(C)CCC1CCOCC1 ZINC000120464516 1044507881 /nfs/dbraw/zinc/50/78/81/1044507881.db2.gz GEUBZTKNXAWRPE-OAHLLOKOSA-N 0 3 314.429 4.151 20 0 DIADHN Oc1ccc(CNCc2ccc(SC(F)(F)F)cc2)cc1 ZINC000134234484 1044508357 /nfs/dbraw/zinc/50/83/57/1044508357.db2.gz WEVQMTVPKFMQNR-UHFFFAOYSA-N 0 3 313.344 4.294 20 0 DIADHN CO[C@]1(C)CCCN(Cc2coc(-c3ccc(C)cc3)n2)CC1 ZINC000475568542 1044509312 /nfs/dbraw/zinc/50/93/12/1044509312.db2.gz GWWGARRLQBMCSQ-LJQANCHMSA-N 0 3 314.429 4.041 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc(CO)c(F)c2)c2ccccc21 ZINC000566718576 1044511008 /nfs/dbraw/zinc/51/10/08/1044511008.db2.gz SGORSCWDVKCRCU-AZUAARDMSA-N 0 3 313.416 4.292 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(=O)oc3cc(C)ccc23)cc1 ZINC000120657449 1044512804 /nfs/dbraw/zinc/51/28/04/1044512804.db2.gz VZEFKDKFEVOZGF-HNNXBMFYSA-N 0 3 307.393 4.261 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-n3cccn3)cc2)[C@H](c2ccco2)C1 ZINC000362445277 1044513198 /nfs/dbraw/zinc/51/31/98/1044513198.db2.gz CLXTVGUGRMDBPY-APWZRJJASA-N 0 3 321.424 4.439 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC[C@@](C)(OC)CC1 ZINC000475571736 1044513483 /nfs/dbraw/zinc/51/34/83/1044513483.db2.gz NBQHDMIABMYEMG-QGZVFWFLSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1cnc(CNC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)s1 ZINC000295339043 1044513643 /nfs/dbraw/zinc/51/36/43/1044513643.db2.gz CTWNYKRLFLSTPZ-FUHWJXTLSA-N 0 3 316.470 4.017 20 0 DIADHN CSc1ccc2c(c1)[C@H](NCc1ccccc1CO)CCC2 ZINC000566796970 1044517796 /nfs/dbraw/zinc/51/77/96/1044517796.db2.gz PUEJWTIFVLRSKC-LJQANCHMSA-N 0 3 313.466 4.068 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cn(C(C)(C)C)nc2C)cc1 ZINC000120922141 1044518737 /nfs/dbraw/zinc/51/87/37/1044518737.db2.gz YDOGRHFWAJSEFC-KBPBESRZSA-N 0 3 315.461 4.367 20 0 DIADHN CSc1ccc2c(c1)[C@@H](NCc1ccccc1CO)CCC2 ZINC000566796968 1044519570 /nfs/dbraw/zinc/51/95/70/1044519570.db2.gz PUEJWTIFVLRSKC-IBGZPJMESA-N 0 3 313.466 4.068 20 0 DIADHN C[C@@H]1CCC[C@H](CCN(C)Cc2ccc([S@](C)=O)cc2)C1 ZINC000362488654 1044520900 /nfs/dbraw/zinc/52/09/00/1044520900.db2.gz ZQQJANBEGQBXEG-ZOCZFRKYSA-N 0 3 307.503 4.072 20 0 DIADHN COc1ccc(CN[C@@H]2CCC(C)(C)c3ccccc32)cc1C#N ZINC000237314407 1044521381 /nfs/dbraw/zinc/52/13/81/1044521381.db2.gz ZEOKXYSOSRDYDR-LJQANCHMSA-N 0 3 320.436 4.469 20 0 DIADHN CC1(C)CN(Cc2ccc(C(F)(F)F)cc2)[C@H]1[C@@H]1CCCO1 ZINC000566884532 1044524943 /nfs/dbraw/zinc/52/49/43/1044524943.db2.gz OBSQMFADEMHKNP-GJZGRUSLSA-N 0 3 313.363 4.095 20 0 DIADHN Cc1ccc(C)c(OCCCN(Cc2ccncc2)C2CC2)c1 ZINC000566989981 1044531653 /nfs/dbraw/zinc/53/16/53/1044531653.db2.gz OKWRFMOANKZENA-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1nccc2ccccc21 ZINC000567033847 1044536281 /nfs/dbraw/zinc/53/62/81/1044536281.db2.gz DHGWWWQGEFDDKP-VLIAUNLRSA-N 0 3 303.409 4.432 20 0 DIADHN Cc1nc2ccc(Nc3ccc4c(C)cc(=O)[nH]c4c3)cc2[nH]1 ZINC001213075342 1044536530 /nfs/dbraw/zinc/53/65/30/1044536530.db2.gz PITVCFDSYXWFGI-UHFFFAOYSA-N 0 3 304.353 4.177 20 0 DIADHN CN(C)C[C@H](N[C@@H]1CCCc2ccccc21)c1ccc(F)cc1 ZINC000348327541 1044538158 /nfs/dbraw/zinc/53/81/58/1044538158.db2.gz OJYWPZXEKYEATP-UXHICEINSA-N 0 3 312.432 4.096 20 0 DIADHN O=C(CCN[C@H]1CCc2sc(Cl)cc21)Nc1ccccc1 ZINC000567043622 1044538817 /nfs/dbraw/zinc/53/88/17/1044538817.db2.gz NXBZEMLKIDSGOO-ZDUSSCGKSA-N 0 3 320.845 4.007 20 0 DIADHN Cc1cnccc1CN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000295563139 1044541306 /nfs/dbraw/zinc/54/13/06/1044541306.db2.gz ZFYJANUTSAIISJ-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN C[C@@H](N[C@@H](C)CC1CCOCC1)c1nc(C(F)(F)F)cs1 ZINC000348330199 1044544240 /nfs/dbraw/zinc/54/42/40/1044544240.db2.gz RZKSHXHGUAJDDB-VHSXEESVSA-N 0 3 322.396 4.018 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000925154266 1044544880 /nfs/dbraw/zinc/54/48/80/1044544880.db2.gz AZWMJWXCVROEOO-SCTDSRPQSA-N 0 3 312.482 4.353 20 0 DIADHN CC(C)c1nc(CN[C@@H]2CCCC(F)(F)C2)c2ccccn21 ZINC000567171686 1044547681 /nfs/dbraw/zinc/54/76/81/1044547681.db2.gz NRHSLHLQDQKAJV-CYBMUJFWSA-N 0 3 307.388 4.125 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@H](c3ccc(Cl)cc3)C2)s1 ZINC000567220171 1044550108 /nfs/dbraw/zinc/55/01/08/1044550108.db2.gz ACRIDMRKMQGJIU-DZGCQCFKSA-N 0 3 321.877 4.316 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2cccs2)c2ccc(F)cc2)[C@H](C)O1 ZINC000567214768 1044550880 /nfs/dbraw/zinc/55/08/80/1044550880.db2.gz FUIOBXQGMGDIHW-LMMLAZSQSA-N 0 3 305.418 4.132 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccccc1 ZINC000348337677 1044555625 /nfs/dbraw/zinc/55/56/25/1044555625.db2.gz ULBWFGHLVXNGKV-MOPGFXCFSA-N 0 3 300.421 4.169 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(n1)CCCC3)[C@H](C)C2 ZINC000567280688 1044556291 /nfs/dbraw/zinc/55/62/91/1044556291.db2.gz OLGVYRRIRFNPQG-QVKFZJNVSA-N 0 3 306.453 4.292 20 0 DIADHN CC(F)(F)c1cccc(NC(=O)[C@@H]2CCCN2C2CCCC2)c1 ZINC000444488135 1044562960 /nfs/dbraw/zinc/56/29/60/1044562960.db2.gz RIZOAMSPHSZIKT-INIZCTEOSA-N 0 3 322.399 4.144 20 0 DIADHN CC(C)[C@H]1CC[C@H]1NCc1nccn1CCCc1ccccc1 ZINC000567376127 1044563196 /nfs/dbraw/zinc/56/31/96/1044563196.db2.gz YCCZJIYZGOTAAZ-RTBURBONSA-N 0 3 311.473 4.040 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCC[C@@H]3CCCC[C@H]32)n1 ZINC000444570646 1044569633 /nfs/dbraw/zinc/56/96/33/1044569633.db2.gz IAQFKZVCERBORT-XJKSGUPXSA-N 0 3 315.392 4.030 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1nc(-c2ccccc2F)no1 ZINC000444591658 1044571297 /nfs/dbraw/zinc/57/12/97/1044571297.db2.gz DGLZMOGZQXAVGJ-XJKCOSOUSA-N 0 3 315.392 4.029 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1nc(-c2ccccc2F)no1 ZINC000444591651 1044572001 /nfs/dbraw/zinc/57/20/01/1044572001.db2.gz DGLZMOGZQXAVGJ-IOASZLSFSA-N 0 3 315.392 4.029 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN([C@@H](C)C1CC1)C1CC1 ZINC000031654028 1044574652 /nfs/dbraw/zinc/57/46/52/1044574652.db2.gz BJOVVSRBKYIFGW-AWEZNQCLSA-N 0 3 314.473 4.013 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(Cn3cccn3)cc2)cs1 ZINC000295939307 1044577610 /nfs/dbraw/zinc/57/76/10/1044577610.db2.gz KUQYDIZYMKZJJZ-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN CC(C)(CO)[C@H](NCc1cc(Cl)cs1)c1cccs1 ZINC000296046587 1044583117 /nfs/dbraw/zinc/58/31/17/1044583117.db2.gz PGYDBJOMUWHVNE-CYBMUJFWSA-N 0 3 315.891 4.312 20 0 DIADHN C[C@H]1C[C@H](NCCc2cc(Cl)cc(Cl)c2)c2nccn21 ZINC000296019892 1044583238 /nfs/dbraw/zinc/58/32/38/1044583238.db2.gz PKLKMFLRNFRKCT-HZMBPMFUSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@@H]1C[C@H](NCCc2cc(Cl)cc(Cl)c2)c2nccn21 ZINC000296019899 1044584006 /nfs/dbraw/zinc/58/40/06/1044584006.db2.gz PKLKMFLRNFRKCT-YGRLFVJLSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@@H](NC[C@H]1CCCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000296078124 1044588553 /nfs/dbraw/zinc/58/85/53/1044588553.db2.gz SKVSNXHIIGDZFJ-NVXWUHKLSA-N 0 3 312.413 4.094 20 0 DIADHN CCCOc1cccc(CN2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000567835715 1044588782 /nfs/dbraw/zinc/58/87/82/1044588782.db2.gz PSKHIURVRABUHC-AWEZNQCLSA-N 0 3 301.352 4.250 20 0 DIADHN CC(F)(F)CCCNCc1csc(-c2cccs2)n1 ZINC000296125398 1044592523 /nfs/dbraw/zinc/59/25/23/1044592523.db2.gz UCYVNYFZMQAQQD-UHFFFAOYSA-N 0 3 302.415 4.397 20 0 DIADHN Cc1csc(C2(N[C@H]3CCOC4(CCCCC4)C3)CCC2)n1 ZINC000885961436 1044594116 /nfs/dbraw/zinc/59/41/16/1044594116.db2.gz YCQKMGQFNRFAJD-HNNXBMFYSA-N 0 3 320.502 4.302 20 0 DIADHN Cc1ccccc1CN(Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000523303568 1044594523 /nfs/dbraw/zinc/59/45/23/1044594523.db2.gz DCJQHMSBHQLCSU-FQEVSTJZSA-N 0 3 313.416 4.315 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2ccc(Cl)cc2)c2nccn21 ZINC000296196665 1044595398 /nfs/dbraw/zinc/59/53/98/1044595398.db2.gz YVQZILVWFSAHRC-IUODEOHRSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1[nH]nc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)c1C ZINC000885982129 1044596620 /nfs/dbraw/zinc/59/66/20/1044596620.db2.gz VQLUKMGMTJAULB-ZDUSSCGKSA-N 0 3 310.228 4.110 20 0 DIADHN C[C@@H](NCc1nc(C2CCCCC2)cs1)[C@H]1CCCOC1 ZINC000444675487 1044596910 /nfs/dbraw/zinc/59/69/10/1044596910.db2.gz NMWKVRPCQCJPAN-HIFRSBDPSA-N 0 3 308.491 4.096 20 0 DIADHN c1cn2c(n1)[C@@H](NCCC(c1ccccc1)c1ccccc1)CC2 ZINC000296218002 1044598420 /nfs/dbraw/zinc/59/84/20/1044598420.db2.gz ZRFPKVPJMPJDKY-FQEVSTJZSA-N 0 3 317.436 4.140 20 0 DIADHN CC(C)c1nc(CN[C@@H](c2ccccc2)C2CCCCC2)n[nH]1 ZINC000296239109 1044600282 /nfs/dbraw/zinc/60/02/82/1044600282.db2.gz CKJLECUCEMRSTI-SFHVURJKSA-N 0 3 312.461 4.339 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nc(-c2ccsc2)no1 ZINC000444676206 1044600457 /nfs/dbraw/zinc/60/04/57/1044600457.db2.gz NJRFLIGSADFYBK-GXTWGEPZSA-N 0 3 305.447 4.199 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(c3ccc(F)cc3Cl)CC2)c2nccn21 ZINC000296229584 1044601812 /nfs/dbraw/zinc/60/18/12/1044601812.db2.gz AKUCNSUYTFTGCT-IAQYHMDHSA-N 0 3 319.811 4.003 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN(CC2CCC(=O)CC2)C2CC2)o1 ZINC000296288443 1044605557 /nfs/dbraw/zinc/60/55/57/1044605557.db2.gz HRMACAKXLYKWOJ-SCLBCKFNSA-N 0 3 301.430 4.127 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1cccc(-c2ccncc2)c1 ZINC000568160813 1044607145 /nfs/dbraw/zinc/60/71/45/1044607145.db2.gz SECDLVLBZHLFJB-UHFFFAOYSA-N 0 3 304.393 4.086 20 0 DIADHN Clc1cccc2ccc(CN3CCc4ccccc4CC3)nc12 ZINC001137224583 1044607372 /nfs/dbraw/zinc/60/73/72/1044607372.db2.gz NZYZOONBYLFRRC-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN COc1ncc(CN[C@@H]2C[C@@H](C)c3ccccc32)cc1Cl ZINC000296380473 1044612422 /nfs/dbraw/zinc/61/24/22/1044612422.db2.gz JPCNXUXXSRMHKH-BDJLRTHQSA-N 0 3 302.805 4.082 20 0 DIADHN CC[C@@H](NCc1ccnc(C(F)(F)F)c1)c1ccc(F)cc1 ZINC000296365529 1044612615 /nfs/dbraw/zinc/61/26/15/1044612615.db2.gz IXPYZHFKIZAGOP-CQSZACIVSA-N 0 3 312.310 4.480 20 0 DIADHN CC(C)c1nc(CN[C@H](CC(C)(C)C)c2ccccc2)n[nH]1 ZINC000296375750 1044613091 /nfs/dbraw/zinc/61/30/91/1044613091.db2.gz JINWZXGFHLYRFH-OAHLLOKOSA-N 0 3 300.450 4.195 20 0 DIADHN Cc1[nH]nc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)c1C ZINC000886132070 1044618313 /nfs/dbraw/zinc/61/83/13/1044618313.db2.gz OAVQMABKSADMGF-MRXNPFEDSA-N 0 3 307.800 4.060 20 0 DIADHN Cc1cnccc1CN[C@H]1CCCc2cc(OC(F)F)ccc21 ZINC000296431720 1044619404 /nfs/dbraw/zinc/61/94/04/1044619404.db2.gz NEYFWMAKWMZCJI-KRWDZBQOSA-N 0 3 318.367 4.159 20 0 DIADHN FC(F)(F)c1cc(CN[C@H](c2cccnc2)C2CCC2)ccn1 ZINC000296450604 1044620042 /nfs/dbraw/zinc/62/00/42/1044620042.db2.gz MSPLMXNYEINKFC-INIZCTEOSA-N 0 3 321.346 4.126 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC[C@H](C(C)C)C2)c(C)c1 ZINC000444754573 1044620262 /nfs/dbraw/zinc/62/02/62/1044620262.db2.gz UFANMZXVBQGAJF-ROUUACIJSA-N 0 3 316.489 4.307 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000444765050 1044621343 /nfs/dbraw/zinc/62/13/43/1044621343.db2.gz DEQUQYYMBJJLKF-INIZCTEOSA-N 0 3 311.429 4.137 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C/c1ccccc1Cl ZINC000042752606 1044623092 /nfs/dbraw/zinc/62/30/92/1044623092.db2.gz QPYQACBRFSNZDX-VAWYXSNFSA-N 0 3 314.816 4.054 20 0 DIADHN C[C@@H](NC(=O)CN1CCCC12CCC2)c1ccc2ccccc2c1 ZINC000444773181 1044622987 /nfs/dbraw/zinc/62/29/87/1044622987.db2.gz CWKNFDGVOKFSBE-MRXNPFEDSA-N 0 3 322.452 4.036 20 0 DIADHN C[C@H](NC(=O)CN1CCCC12CCC2)c1ccc2ccccc2c1 ZINC000444773180 1044623920 /nfs/dbraw/zinc/62/39/20/1044623920.db2.gz CWKNFDGVOKFSBE-INIZCTEOSA-N 0 3 322.452 4.036 20 0 DIADHN CC(C)N(Cc1ncc(-c2cccc(F)c2)o1)CC1CCC1 ZINC000444771699 1044624042 /nfs/dbraw/zinc/62/40/42/1044624042.db2.gz QTXFICKRWKRFBA-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)[C@@H]1CC[C@@H](CC)CC1)c1ccco1 ZINC000568431377 1044624241 /nfs/dbraw/zinc/62/42/41/1044624241.db2.gz KYTMGBBTUOTCSI-VQHPVUNQSA-N 0 3 320.477 4.041 20 0 DIADHN Cc1[nH]nc(CNC(C)(C)c2ccc(C(F)(F)F)cc2)c1C ZINC000886188595 1044624546 /nfs/dbraw/zinc/62/45/46/1044624546.db2.gz VZEQDKCZEHHMJO-UHFFFAOYSA-N 0 3 311.351 4.070 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccccc1)c1ccc(F)cc1F ZINC000523388621 1044625176 /nfs/dbraw/zinc/62/51/76/1044625176.db2.gz SJDPBEDUCGRSBV-ACJLOTCBSA-N 0 3 305.368 4.473 20 0 DIADHN C[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)c2ccccc21 ZINC000568447521 1044625018 /nfs/dbraw/zinc/62/50/18/1044625018.db2.gz VLOWSJBBCXHHDS-LBPRGKRZSA-N 0 3 312.800 4.445 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@@H]1CCCCO1 ZINC000444776450 1044625909 /nfs/dbraw/zinc/62/59/09/1044625909.db2.gz CBDBFKLEIKYHJS-ZFWWWQNUSA-N 0 3 301.352 4.147 20 0 DIADHN Cc1cccnc1CCCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000296576747 1044629028 /nfs/dbraw/zinc/62/90/28/1044629028.db2.gz UBHROQYHMGNJKL-AWEZNQCLSA-N 0 3 315.486 4.009 20 0 DIADHN Cc1cc(CNCc2ccc(N3CCCCCC3)nc2)cs1 ZINC000296573906 1044630235 /nfs/dbraw/zinc/63/02/35/1044630235.db2.gz WELBXQQMHMYFOL-UHFFFAOYSA-N 0 3 315.486 4.122 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@]2(C)CCOC3(CCC3)C2)s1 ZINC000886342659 1044632021 /nfs/dbraw/zinc/63/20/21/1044632021.db2.gz GQOFFDPQKPCDCK-KRWDZBQOSA-N 0 3 322.518 4.270 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000296605944 1044633343 /nfs/dbraw/zinc/63/33/43/1044633343.db2.gz YTBVWHWNZSMGID-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2csc(C)c2)cc1 ZINC000296610365 1044633732 /nfs/dbraw/zinc/63/37/32/1044633732.db2.gz ZECOJLJWXADKBO-UHFFFAOYSA-N 0 3 302.443 4.085 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@@H](Nc3ccccc3)C2)cc1F ZINC000568565218 1044635838 /nfs/dbraw/zinc/63/58/38/1044635838.db2.gz GFRLBYUGRREJTK-LAQIPUCWSA-N 0 3 314.379 4.164 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](NC(=O)OC(C)(C)C)C(C)C)c(C)o1 ZINC000219269260 1044636161 /nfs/dbraw/zinc/63/61/61/1044636161.db2.gz ZHGHWAFGIZIECX-CZUORRHYSA-N 0 3 324.465 4.096 20 0 DIADHN CC(C)N(C/C=C\c1ccc(F)c(F)c1)Cc1ccccn1 ZINC000568564211 1044636510 /nfs/dbraw/zinc/63/65/10/1044636510.db2.gz NRSFQOJZXBXORC-WAYWQWQTSA-N 0 3 302.368 4.284 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)cs1 ZINC000296644194 1044638910 /nfs/dbraw/zinc/63/89/10/1044638910.db2.gz CYNXJWSPPZYJPB-KQHSUYLTSA-N 0 3 307.484 4.206 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1ccc2cccc(Cl)c2n1 ZINC001137228930 1044640540 /nfs/dbraw/zinc/64/05/40/1044640540.db2.gz WBEQMEXIHCOCIT-XYPWUTKMSA-N 0 3 316.832 4.030 20 0 DIADHN C[C@@H](N[C@@H]1CCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000296677788 1044643340 /nfs/dbraw/zinc/64/33/40/1044643340.db2.gz GHZZYMSYLBFOBL-ZIAGYGMSSA-N 0 3 300.427 4.030 20 0 DIADHN C[C@H]1C[C@@H](NCC2(c3ccccc3)CCCCC2)c2nccn21 ZINC000568717168 1044647323 /nfs/dbraw/zinc/64/73/23/1044647323.db2.gz OXLHSZPCQMQVKE-FUHWJXTLSA-N 0 3 309.457 4.381 20 0 DIADHN CC(C)[C@H](N[C@H]1CCSc2c(F)cccc21)c1cnn(C)c1 ZINC000886502910 1044647797 /nfs/dbraw/zinc/64/77/97/1044647797.db2.gz TVQCCPOIEHALSH-HOTGVXAUSA-N 0 3 319.449 4.083 20 0 DIADHN OC[C@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccc1 ZINC000886540726 1044656199 /nfs/dbraw/zinc/65/61/99/1044656199.db2.gz JYUYWDGOLXEALQ-MOPGFXCFSA-N 0 3 324.399 4.008 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)CC1(C)CCCCC1)c1ccco1 ZINC000568921880 1044660254 /nfs/dbraw/zinc/66/02/54/1044660254.db2.gz HFFQSFFBTFAOJE-HOTGVXAUSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ccc(CNCc2cccc(O)c2)c(C)c1Br ZINC000719539531 1044661503 /nfs/dbraw/zinc/66/15/03/1044661503.db2.gz UZTLMJSQRMEVFT-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN CC[C@H]1CCCN1Cc1csc(-c2ccc(OC)cc2)n1 ZINC000523609366 1044666535 /nfs/dbraw/zinc/66/65/35/1044666535.db2.gz KTGBIWGWFSOXPT-HNNXBMFYSA-N 0 3 302.443 4.193 20 0 DIADHN COc1ccccc1C[C@@H](C)NCc1ncoc1-c1ccccc1 ZINC000364491961 1044666584 /nfs/dbraw/zinc/66/65/84/1044666584.db2.gz CRALILKWRCFPLQ-OAHLLOKOSA-N 0 3 322.408 4.071 20 0 DIADHN COc1ccc(CN[C@H]2CCSc3ccccc32)cc1F ZINC000037496183 1044666643 /nfs/dbraw/zinc/66/66/43/1044666643.db2.gz LRMPEPJFFJWEPY-HNNXBMFYSA-N 0 3 303.402 4.161 20 0 DIADHN Cc1cnn(CCCCCN(C)[C@H](C)c2cc(F)ccc2F)c1 ZINC000523612032 1044666621 /nfs/dbraw/zinc/66/66/21/1044666621.db2.gz PTVAWLUGLBCSAG-OAHLLOKOSA-N 0 3 321.415 4.333 20 0 DIADHN COC[C@@H](NC[C@H](OC)c1ccccc1)c1cccc(Cl)c1 ZINC000569030195 1044668575 /nfs/dbraw/zinc/66/85/75/1044668575.db2.gz FKJZXVABJRQKQR-MSOLQXFVSA-N 0 3 319.832 4.005 20 0 DIADHN COCCCC[C@H](N[C@H]1CCc2cccnc21)c1ccccc1 ZINC000886602915 1044669018 /nfs/dbraw/zinc/66/90/18/1044669018.db2.gz CGQDXWFVUFJQFT-OALUTQOASA-N 0 3 310.441 4.217 20 0 DIADHN COCCCC[C@H](N[C@@H]1CCc2cccnc21)c1ccccc1 ZINC000886602916 1044669039 /nfs/dbraw/zinc/66/90/39/1044669039.db2.gz CGQDXWFVUFJQFT-RBUKOAKNSA-N 0 3 310.441 4.217 20 0 DIADHN CCSc1ccc(CN[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000886649689 1044674868 /nfs/dbraw/zinc/67/48/68/1044674868.db2.gz GKGCSWQXBJOZCI-QGZVFWFLSA-N 0 3 303.475 4.019 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CCC[C@H]3N[C@@H]1CCCn2nccc21 ZINC000886649501 1044675021 /nfs/dbraw/zinc/67/50/21/1044675021.db2.gz CBMQNFWFLUPYSC-IAGOWNOFSA-N 0 3 324.403 4.006 20 0 DIADHN Cc1cccc2nc(CN3C[C@@H](c4ccccc4)C[C@H]3C)cn21 ZINC000523760498 1044683514 /nfs/dbraw/zinc/68/35/14/1044683514.db2.gz ZBJOZOOSYMMDOO-AEFFLSMTSA-N 0 3 305.425 4.021 20 0 DIADHN COCc1ccccc1CNCc1ccc(Cl)c(Cl)c1 ZINC000037500076 1044683802 /nfs/dbraw/zinc/68/38/02/1044683802.db2.gz VKCHXAPLTBUVGX-UHFFFAOYSA-N 0 3 310.224 4.430 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000569274703 1044689442 /nfs/dbraw/zinc/68/94/42/1044689442.db2.gz NEIAYKHYICFLEU-UGSOOPFHSA-N 0 3 320.839 4.433 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H]2CCCCC23CCCC3)cs1 ZINC000365836131 1044704758 /nfs/dbraw/zinc/70/47/58/1044704758.db2.gz HNAOXFHBTGXLQE-ZFWWWQNUSA-N 0 3 308.491 4.443 20 0 DIADHN C[C@@H](c1nc(-c2cccc(Cl)c2F)no1)N1[C@H](C)CC[C@@H]1C ZINC000445386216 1044707734 /nfs/dbraw/zinc/70/77/34/1044707734.db2.gz SLWKOWRKPDYSJG-AXFHLTTASA-N 0 3 323.799 4.463 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1ccc(C)s1 ZINC000366210638 1044714011 /nfs/dbraw/zinc/71/40/11/1044714011.db2.gz OUKQDGSUJDSDRX-SWLSCSKDSA-N 0 3 303.427 4.240 20 0 DIADHN C[C@@H](CC1CCC1)N[C@@H](C)c1ncc(Br)s1 ZINC000631738262 1044715853 /nfs/dbraw/zinc/71/58/53/1044715853.db2.gz IXGSIJITRKOWIO-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN CCCn1ccc2cc(NC(=O)[C@@H](CC)N(CC)CC)ccc21 ZINC000524038770 1044723759 /nfs/dbraw/zinc/72/37/59/1044723759.db2.gz YFRDDHAHMWPUCC-QGZVFWFLSA-N 0 3 315.461 4.110 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cc[nH]c2)cc1OCCC ZINC000086249570 1044730918 /nfs/dbraw/zinc/73/09/18/1044730918.db2.gz CGZCGILJOQMRCD-OAHLLOKOSA-N 0 3 316.445 4.443 20 0 DIADHN CSc1ccc([C@H](C)NCc2cc(-c3cccnc3)n[nH]2)cc1 ZINC000367087542 1044731526 /nfs/dbraw/zinc/73/15/26/1044731526.db2.gz QEZHXINSKPTCFM-ZDUSSCGKSA-N 0 3 324.453 4.044 20 0 DIADHN C[C@H](NCCCO[C@H]1CCCc2ccccc21)c1nccs1 ZINC000089721630 1044745142 /nfs/dbraw/zinc/74/51/42/1044745142.db2.gz KQLVQKYZGIVKJI-YOEHRIQHSA-N 0 3 316.470 4.278 20 0 DIADHN COc1cc(C)c(CNCc2ccc3sccc3c2)c(C)n1 ZINC000570321575 1044748390 /nfs/dbraw/zinc/74/83/90/1044748390.db2.gz MJSSBDZSRQSQJN-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN COc1ccc([C@H](CCC(C)C)NCc2cnn(C)c2C)cc1 ZINC000090887687 1044750827 /nfs/dbraw/zinc/75/08/27/1044750827.db2.gz JBAVRBQTUOKMFG-IBGZPJMESA-N 0 3 315.461 4.004 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cc(F)cc(F)c1 ZINC000570339581 1044751570 /nfs/dbraw/zinc/75/15/70/1044751570.db2.gz WAMUTIGPDCAXQI-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN CCc1ccc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)s1 ZINC000570380296 1044752690 /nfs/dbraw/zinc/75/26/90/1044752690.db2.gz SSAVXUIZBVSKJA-MRXNPFEDSA-N 0 3 316.470 4.285 20 0 DIADHN CC(C)N(C/C=C\c1ccc(F)c(F)c1)Cc1cccnc1 ZINC000570386318 1044755924 /nfs/dbraw/zinc/75/59/24/1044755924.db2.gz NNUKCJIXRGGMDY-XQRVVYSFSA-N 0 3 302.368 4.284 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3cnn(C(C)C)c32)cc1 ZINC000368166158 1044767387 /nfs/dbraw/zinc/76/73/87/1044767387.db2.gz XSKQHTFQOUKFSL-KDOFPFPSSA-N 0 3 313.445 4.201 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H]1c3ccccc3C[C@H]1C)CCC2 ZINC000368163286 1044768686 /nfs/dbraw/zinc/76/86/86/1044768686.db2.gz RRWDLPRLENAIHE-ZMYBRWDISA-N 0 3 309.457 4.365 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C/C=C\c1ccc(F)c(F)c1 ZINC000570603772 1044768821 /nfs/dbraw/zinc/76/88/21/1044768821.db2.gz HJMRGVOBTXXUQE-DSYXLKISSA-N 0 3 303.352 4.377 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccccc2COC)s1 ZINC000122021195 1044769464 /nfs/dbraw/zinc/76/94/64/1044769464.db2.gz OPXJHRRALONCHL-LBPRGKRZSA-N 0 3 304.459 4.011 20 0 DIADHN COCc1ccccc1CN[C@H]1CCCOc2cc(C)ccc21 ZINC000122038240 1044770299 /nfs/dbraw/zinc/77/02/99/1044770299.db2.gz CPRCDAKPVZOVHF-IBGZPJMESA-N 0 3 311.425 4.145 20 0 DIADHN O=C(Nc1cccc(CN[C@@H]2CCc3ccccc32)c1)C1CCC1 ZINC000122433229 1044773784 /nfs/dbraw/zinc/77/37/84/1044773784.db2.gz WXVRKBKMZDSDGZ-HXUWFJFHSA-N 0 3 320.436 4.202 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCO[C@H](C(C)C)C2)c2ccccn2)cc1 ZINC000368444638 1044776583 /nfs/dbraw/zinc/77/65/83/1044776583.db2.gz ZVCGGYCLMRYBSK-JBACZVJFSA-N 0 3 324.468 4.273 20 0 DIADHN c1[nH]nc2c1[C@H](N[C@H](Cc1ccccc1)c1ccccc1)CCC2 ZINC000368608587 1044781652 /nfs/dbraw/zinc/78/16/52/1044781652.db2.gz QAPTVNSZOKXEKZ-TZIWHRDSSA-N 0 3 317.436 4.361 20 0 DIADHN c1[nH]nc2c1[C@H](N[C@@H](Cc1ccccc1)c1ccccc1)CCC2 ZINC000368608584 1044781953 /nfs/dbraw/zinc/78/19/53/1044781953.db2.gz QAPTVNSZOKXEKZ-CTNGQTDRSA-N 0 3 317.436 4.361 20 0 DIADHN CC[C@H]1CC[C@H](N[C@H](c2cnn(C)c2)c2cccc(F)c2)CC1 ZINC000570976920 1044793448 /nfs/dbraw/zinc/79/34/48/1044793448.db2.gz GAIDDFBBEGUNOB-JVPBZIDWSA-N 0 3 315.436 4.207 20 0 DIADHN COc1c(C)cnc(CN2CCC[C@H]2c2cccc(C)c2)c1C ZINC000524309116 1044795239 /nfs/dbraw/zinc/79/52/39/1044795239.db2.gz LZMJKXCPFRNJJS-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN CN(CCSc1ccccc1)C[C@@H]1OCCc2ccccc21 ZINC000571322364 1044806810 /nfs/dbraw/zinc/80/68/10/1044806810.db2.gz AMXORYYPKKRJDJ-IBGZPJMESA-N 0 3 313.466 4.025 20 0 DIADHN CC[C@@H](NCc1cc(C)nc(N2CCCC2)c1)c1cccs1 ZINC000571453857 1044814882 /nfs/dbraw/zinc/81/48/82/1044814882.db2.gz IKJGVHVHCNMRQT-MRXNPFEDSA-N 0 3 315.486 4.293 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@H]2C2CC2)ccc1SC ZINC000571489066 1044816384 /nfs/dbraw/zinc/81/63/84/1044816384.db2.gz WQZYFBRHIAJSGV-QGZVFWFLSA-N 0 3 303.471 4.182 20 0 DIADHN c1nc2n(c1CNCc1cc(-c3ccccc3)cs1)CCCC2 ZINC000370261546 1044828919 /nfs/dbraw/zinc/82/89/19/1044828919.db2.gz NBDUMJHXNUXUCH-UHFFFAOYSA-N 0 3 323.465 4.238 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1C(F)(F)F)N(C)CC(C)(C)C ZINC000263822292 1044832220 /nfs/dbraw/zinc/83/22/20/1044832220.db2.gz YIWRPBFVCPLXMC-LLVKDONJSA-N 0 3 316.367 4.010 20 0 DIADHN Cc1nsc(C)c1CN1CCC(OCC2CCCCC2)CC1 ZINC000571936609 1044832617 /nfs/dbraw/zinc/83/26/17/1044832617.db2.gz BJTLNHPEMICHQO-UHFFFAOYSA-N 0 3 322.518 4.321 20 0 DIADHN Cc1ncc(CN[C@H](C)c2ccc(Oc3ccccc3)cc2)n1C ZINC000572304010 1044851715 /nfs/dbraw/zinc/85/17/15/1044851715.db2.gz CCPGNFTUNCJYAV-OAHLLOKOSA-N 0 3 321.424 4.372 20 0 DIADHN CC1=C[C@H](C)C[C@@H](CNCc2nc(C(F)(F)F)cs2)C1 ZINC000572447084 1044859256 /nfs/dbraw/zinc/85/92/56/1044859256.db2.gz WVBNDHVEGYQADV-GXSJLCMTSA-N 0 3 304.381 4.244 20 0 DIADHN C[C@H](NCc1ccccc1-c1cnn(C)c1)c1ccccc1F ZINC000371154453 1044860068 /nfs/dbraw/zinc/86/00/68/1044860068.db2.gz HKQGHNKIBXWSFS-AWEZNQCLSA-N 0 3 309.388 4.077 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1Cl)N(C)CC1CCCCC1 ZINC000572589336 1044865000 /nfs/dbraw/zinc/86/50/00/1044865000.db2.gz QTHXEZZIMHIEGD-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(c2nccc3sccc32)CC1 ZINC000572678416 1044870323 /nfs/dbraw/zinc/87/03/23/1044870323.db2.gz QXMXAHXRJKRHSF-HNNXBMFYSA-N 0 3 323.465 4.180 20 0 DIADHN COc1cncc(/C=C\CCN[C@H](C)c2c(F)cccc2F)c1 ZINC000572874140 1044881832 /nfs/dbraw/zinc/88/18/32/1044881832.db2.gz VNHRVVBPVAMLCK-ACVFHECNSA-N 0 3 318.367 4.123 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@H](O)c2ccccc2Cl)s1 ZINC000264467344 1044882348 /nfs/dbraw/zinc/88/23/48/1044882348.db2.gz WIADJMNECQLORK-NHYWBVRUSA-N 0 3 324.877 4.140 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1cncnc1C)c1ccc(OC)cc1 ZINC000572984588 1044885968 /nfs/dbraw/zinc/88/59/68/1044885968.db2.gz IHVYNHBUPAJDRM-HNAYVOBHSA-N 0 3 313.445 4.376 20 0 DIADHN C[C@@H](CC1CCCCC1)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000446114542 1044898224 /nfs/dbraw/zinc/89/82/24/1044898224.db2.gz SXPOAJCTSQMJSL-HNNXBMFYSA-N 0 3 317.477 4.229 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCOCC(C)C ZINC000337442249 1044900655 /nfs/dbraw/zinc/90/06/55/1044900655.db2.gz AMVDJHAGOVOKSU-OAHLLOKOSA-N 0 3 303.368 4.251 20 0 DIADHN Cc1cncc([C@H](C)NCc2cccc(-c3cccnc3)c2)c1 ZINC000372093743 1044906731 /nfs/dbraw/zinc/90/67/31/1044906731.db2.gz HNZXHRCACBTSIN-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN COC[C@H]1CCCCN([C@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000372097472 1044906956 /nfs/dbraw/zinc/90/69/56/1044906956.db2.gz ZQSVVASXJWOYOT-CVEARBPZSA-N 0 3 314.429 4.151 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(Br)cc21)c1cccnc1 ZINC000193157660 1044910077 /nfs/dbraw/zinc/91/00/77/1044910077.db2.gz TYYXKUJROZWXEH-ZBEGNZNMSA-N 0 3 317.230 4.182 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2cc(C)c(C)o2)N2CCCC2)o1 ZINC000337514922 1044911779 /nfs/dbraw/zinc/91/17/79/1044911779.db2.gz LIAJDCWVTBXLCW-NVXWUHKLSA-N 0 3 316.445 4.286 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(OCC2CCCCC2)CC1 ZINC000524755507 1044913784 /nfs/dbraw/zinc/91/37/84/1044913784.db2.gz CYOWDBIOPLYHPO-INIZCTEOSA-N 0 3 302.462 4.204 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000524756318 1044917716 /nfs/dbraw/zinc/91/77/16/1044917716.db2.gz XQJABSOMXASSGI-LSDHHAIUSA-N 0 3 301.352 4.181 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@H](C)[C@@H]1Oc2ccccc2[C@H]1C ZINC000428435660 1044920505 /nfs/dbraw/zinc/92/05/05/1044920505.db2.gz FTEXYFUDUDLBKH-RVZJWNSFSA-N 0 3 314.429 4.149 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cccc(NC(C)=O)c1 ZINC000337650151 1044933320 /nfs/dbraw/zinc/93/33/20/1044933320.db2.gz CLIMGJZZZMROBV-UHFFFAOYSA-N 0 3 316.832 4.321 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCOc3ccccc31)CC2 ZINC000133515840 1044938477 /nfs/dbraw/zinc/93/84/77/1044938477.db2.gz VVIWDAPMXUUZCZ-RTBURBONSA-N 0 3 309.409 4.186 20 0 DIADHN Fc1ccc(-c2nc(CN3CCCC34CCC4)co2)cc1F ZINC000373095092 1044939718 /nfs/dbraw/zinc/93/97/18/1044939718.db2.gz NNUINDWJPIGGLG-UHFFFAOYSA-N 0 3 304.340 4.138 20 0 DIADHN Cc1ncc(CN2CCC(Cc3ccc(F)cc3)CC2)s1 ZINC000337705681 1044941580 /nfs/dbraw/zinc/94/15/80/1044941580.db2.gz ZVKHHCJDONWGRS-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN c1onc(Cc2ccccc2)c1CNCCSc1ccccc1 ZINC000574176316 1044944202 /nfs/dbraw/zinc/94/42/02/1044944202.db2.gz FMCMPLXZRXTEEE-UHFFFAOYSA-N 0 3 324.449 4.147 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000574243842 1044945027 /nfs/dbraw/zinc/94/50/27/1044945027.db2.gz NJFNAXAVJBHWSF-GOEBONIOSA-N 0 3 322.374 4.462 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000574425486 1044951863 /nfs/dbraw/zinc/95/18/63/1044951863.db2.gz UTXJTAJGCGZKMH-LZQZEXGQSA-N 0 3 308.425 4.145 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000574425487 1044953047 /nfs/dbraw/zinc/95/30/47/1044953047.db2.gz UTXJTAJGCGZKMH-MNEFBYGVSA-N 0 3 308.425 4.145 20 0 DIADHN CCc1ccc(CN(C)[C@@H]2CCCc3cc(OC)ccc32)nc1 ZINC000574502155 1044958106 /nfs/dbraw/zinc/95/81/06/1044958106.db2.gz OTEOSIMLPKEBDA-HXUWFJFHSA-N 0 3 310.441 4.162 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@@H](Cc1cccnc1)c1cccc(Cl)c1 ZINC000574657593 1044964334 /nfs/dbraw/zinc/96/43/34/1044964334.db2.gz TVERPVQAGCFDCC-KSZLIROESA-N 0 3 318.823 4.499 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN(CCc2cncs2)C2CC2)o1 ZINC000411130159 1044975815 /nfs/dbraw/zinc/97/58/15/1044975815.db2.gz UXGSEEGTTMLJGZ-MLGOLLRUSA-N 0 3 302.443 4.067 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000925183468 1044983244 /nfs/dbraw/zinc/98/32/44/1044983244.db2.gz IVWCFHHCPHEGNO-WDEREUQCSA-N 0 3 308.809 4.063 20 0 DIADHN C[C@@H](NCc1cccc(COCC(F)(F)F)c1)c1ccncc1 ZINC000136608085 1044987877 /nfs/dbraw/zinc/98/78/77/1044987877.db2.gz GJBVCAHEEBKGJO-CYBMUJFWSA-N 0 3 324.346 4.011 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@@H](c3ccccc3)C2)o1 ZINC000525119538 1044988187 /nfs/dbraw/zinc/98/81/87/1044988187.db2.gz MVPQDVPJSGDYRZ-MRXNPFEDSA-N 0 3 322.383 4.470 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000411252994 1044990830 /nfs/dbraw/zinc/99/08/30/1044990830.db2.gz KZNADXPYGHCLMK-LJQANCHMSA-N 0 3 314.473 4.077 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CC[C@@H](c2ccccc2)[C@H](C)C1 ZINC000525125556 1044992771 /nfs/dbraw/zinc/99/27/71/1044992771.db2.gz FWCDGABIAHWISA-MBOZVWFJSA-N 0 3 322.452 4.139 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cn3ccccc3n2)c1C ZINC000525124268 1044993819 /nfs/dbraw/zinc/99/38/19/1044993819.db2.gz QEMAMMNBHIBNRB-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN CCCc1ccc([C@@H](N[C@@H](C)c2n[nH]c(C)n2)C(C)C)cc1 ZINC000411391340 1045003276 /nfs/dbraw/zinc/00/32/76/1045003276.db2.gz BIYHJTWXZMCNTI-GUYCJALGSA-N 0 3 300.450 4.114 20 0 DIADHN c1ccc([C@@H]2C[C@@H]2N2CC(c3nc4ccccc4s3)C2)cc1 ZINC000411428237 1045005786 /nfs/dbraw/zinc/00/57/86/1045005786.db2.gz RTYGALSHOCDFPW-RDJZCZTQSA-N 0 3 306.434 4.252 20 0 DIADHN Cc1cccc(C)c1OCCCN(Cc1ccncc1)C1CC1 ZINC000575784584 1045007176 /nfs/dbraw/zinc/00/71/76/1045007176.db2.gz XQZYVBDCKHVUQX-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1ccoc1CNC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000525180543 1045008091 /nfs/dbraw/zinc/00/80/91/1045008091.db2.gz WRSYGVUTUUPIMM-MRXNPFEDSA-N 0 3 318.486 4.212 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NCCc2cncs2)cc1 ZINC000411453807 1045009096 /nfs/dbraw/zinc/00/90/96/1045009096.db2.gz JXJXHHBYZQXFCQ-ZDUSSCGKSA-N 0 3 318.339 4.108 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)CCCC1CCCC1 ZINC000525191567 1045009795 /nfs/dbraw/zinc/00/97/95/1045009795.db2.gz FBYANNFYSKAYHF-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cnc2ccc(C)cc2c1 ZINC000411509008 1045012870 /nfs/dbraw/zinc/01/28/70/1045012870.db2.gz VUZKAYXINQOIBX-HNNXBMFYSA-N 0 3 305.425 4.351 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CCCC[C@H]1C1CC1 ZINC000575870523 1045014196 /nfs/dbraw/zinc/01/41/96/1045014196.db2.gz FTMBEAIBLYMRAV-ROUUACIJSA-N 0 3 314.473 4.212 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCCC2=O)cc1)c1cccs1 ZINC000151042585 1045014886 /nfs/dbraw/zinc/01/48/86/1045014886.db2.gz OHUYYXACACUODH-CQSZACIVSA-N 0 3 314.454 4.116 20 0 DIADHN CC(C)[C@H]1CCN([C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000446714977 1045017908 /nfs/dbraw/zinc/01/79/08/1045017908.db2.gz PJEAWFWTCAOXGH-JSGCOSHPSA-N 0 3 319.836 4.429 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@@H]1CNCc2ccccc21 ZINC000575923561 1045018924 /nfs/dbraw/zinc/01/89/24/1045018924.db2.gz WSPJTFFDXTWNPC-LJQANCHMSA-N 0 3 322.452 4.101 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001138393985 1045022849 /nfs/dbraw/zinc/02/28/49/1045022849.db2.gz BLGQCHDUBLHKIH-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CC[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001138393985 1045022861 /nfs/dbraw/zinc/02/28/61/1045022861.db2.gz BLGQCHDUBLHKIH-GFCCVEGCSA-N 0 3 323.223 4.084 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@H](C(F)(F)F)[C@H]3C)cc2c1 ZINC000411659744 1045023398 /nfs/dbraw/zinc/02/33/98/1045023398.db2.gz QAZVRCQPRBSENK-DOMZBBRYSA-N 0 3 308.347 4.316 20 0 DIADHN CC(C)[C@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000446720499 1045024704 /nfs/dbraw/zinc/02/47/04/1045024704.db2.gz VSSOIQPXRGTXGW-ZDUSSCGKSA-N 0 3 306.356 4.098 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C(C)C)C1 ZINC000446728043 1045025575 /nfs/dbraw/zinc/02/55/75/1045025575.db2.gz ZMZMJDKQAPHFQP-JKSUJKDBSA-N 0 3 302.462 4.115 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccnc2-n2ccnc2)cc1 ZINC000151503736 1045026664 /nfs/dbraw/zinc/02/66/64/1045026664.db2.gz VZMONTRROMESGL-MRXNPFEDSA-N 0 3 320.440 4.071 20 0 DIADHN COCc1cccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)c1 ZINC000151625177 1045027945 /nfs/dbraw/zinc/02/79/45/1045027945.db2.gz XJMSCLMJBGDCQP-IBGZPJMESA-N 0 3 323.436 4.369 20 0 DIADHN COCc1cccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)c1 ZINC000151625103 1045028867 /nfs/dbraw/zinc/02/88/67/1045028867.db2.gz XJMSCLMJBGDCQP-LJQANCHMSA-N 0 3 323.436 4.369 20 0 DIADHN Cc1ccc([C@H](NCc2cccnc2N(C)C)C2CCCC2)cc1 ZINC000446757137 1045029936 /nfs/dbraw/zinc/02/99/36/1045029936.db2.gz QYEDCVBEKVMHCW-HXUWFJFHSA-N 0 3 323.484 4.477 20 0 DIADHN CC(=O)c1cc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)on1 ZINC000576049552 1045030461 /nfs/dbraw/zinc/03/04/61/1045030461.db2.gz CRLHFGLSQWBSEG-GGPKGHCWSA-N 0 3 324.424 4.035 20 0 DIADHN CO[C@@H](C)CN(C)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000576074435 1045031205 /nfs/dbraw/zinc/03/12/05/1045031205.db2.gz GJEUVVGOANPYOR-LBPRGKRZSA-N 0 3 316.426 4.023 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@@H]2c2ccc(C)cc2)cs1 ZINC000576078154 1045032518 /nfs/dbraw/zinc/03/25/18/1045032518.db2.gz QDDOOTJGOAQEBN-IAGOWNOFSA-N 0 3 316.470 4.024 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CCCc2c(cnn2C)C1 ZINC000411844190 1045033140 /nfs/dbraw/zinc/03/31/40/1045033140.db2.gz LYVVAVBOLSUIEX-HNNXBMFYSA-N 0 3 305.425 4.083 20 0 DIADHN CC[C@H]1CCN([C@@H](C)c2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000446737682 1045033554 /nfs/dbraw/zinc/03/35/54/1045033554.db2.gz YNOVGQAUMAMBIS-RYUDHWBXSA-N 0 3 305.809 4.183 20 0 DIADHN CCC1(CC)CCN([C@@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000446739191 1045033613 /nfs/dbraw/zinc/03/36/13/1045033613.db2.gz REWVGENLVUXFBM-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3CC[C@@H](C(C)C)C3)n2)cc1F ZINC000446736468 1045033685 /nfs/dbraw/zinc/03/36/85/1045033685.db2.gz UPVOPMUUTYPNTM-DZGCQCFKSA-N 0 3 317.408 4.223 20 0 DIADHN Cc1cnccc1CNCc1ccc(OC2CCCCC2)cc1 ZINC000576103065 1045033868 /nfs/dbraw/zinc/03/38/68/1045033868.db2.gz RRWRIADTBRVAAE-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)N[C@H](C)Cc1c(C)noc1C ZINC000152176898 1045037081 /nfs/dbraw/zinc/03/70/81/1045037081.db2.gz PIMUGONQIXUTGY-GHMZBOCLSA-N 0 3 322.836 4.235 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(C)c1C ZINC000525328252 1045037028 /nfs/dbraw/zinc/03/70/28/1045037028.db2.gz HQUHIZXVIFWCAQ-RDTXWAMCSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H](N[C@@H](C)Cc1c(C)noc1C)c1cc(F)ccc1F ZINC000152104336 1045037141 /nfs/dbraw/zinc/03/71/41/1045037141.db2.gz HAMNOSSKLCTIMZ-BTDLBPIBSA-N 0 3 308.372 4.242 20 0 DIADHN CC[C@@H](N[C@H](C)Cc1c(C)noc1C)c1cc(F)ccc1F ZINC000152104591 1045037491 /nfs/dbraw/zinc/03/74/91/1045037491.db2.gz HAMNOSSKLCTIMZ-BMLIUANNSA-N 0 3 308.372 4.242 20 0 DIADHN CC1=C[C@@H](C)C[C@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000576152050 1045038343 /nfs/dbraw/zinc/03/83/43/1045038343.db2.gz ZNZKNZQFXDXTHC-HIFRSBDPSA-N 0 3 306.450 4.072 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@H](c3ncccc3C)C2)cs1 ZINC000374258167 1045041221 /nfs/dbraw/zinc/04/12/21/1045041221.db2.gz OBJRGFAMHFERRA-LSDHHAIUSA-N 0 3 315.486 4.225 20 0 DIADHN CC(C)O[C@H](CN1CCc2sccc2C1)c1ccccc1 ZINC000428453038 1045042027 /nfs/dbraw/zinc/04/20/27/1045042027.db2.gz DXWPYXDVTJXNRX-QGZVFWFLSA-N 0 3 301.455 4.273 20 0 DIADHN Cc1cc(CN2CCC[C@H](C(F)(F)F)[C@H]2C)cc(C)c1O ZINC000576235765 1045044621 /nfs/dbraw/zinc/04/46/21/1045044621.db2.gz BMMJCPXYMVCIBD-OCCSQVGLSA-N 0 3 301.352 4.172 20 0 DIADHN CC(C)n1ccc(CN2C[C@@H](c3ccccc3)C3(CCC3)C2)n1 ZINC000411987893 1045044664 /nfs/dbraw/zinc/04/46/64/1045044664.db2.gz QEUSXELNCWCHBS-IBGZPJMESA-N 0 3 309.457 4.234 20 0 DIADHN Cc1cc(CN2CCC[C@H](C(F)(F)F)[C@@H]2C)cc(C)c1O ZINC000576235764 1045044724 /nfs/dbraw/zinc/04/47/24/1045044724.db2.gz BMMJCPXYMVCIBD-JSGCOSHPSA-N 0 3 301.352 4.172 20 0 DIADHN Cc1ncccc1CN[C@H](c1ccccc1)C1(CO)CCCCC1 ZINC000412007746 1045045943 /nfs/dbraw/zinc/04/59/43/1045045943.db2.gz KKSLZKASBKHKCZ-HXUWFJFHSA-N 0 3 324.468 4.164 20 0 DIADHN CCOc1cccc(Cl)c1CN1CC[C@@H](c2ccncc2)C1 ZINC000576238074 1045045805 /nfs/dbraw/zinc/04/58/05/1045045805.db2.gz SJHHSRSFQGNPBO-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1F ZINC000152801324 1045049639 /nfs/dbraw/zinc/04/96/39/1045049639.db2.gz QHSZZAXTBKGYFF-AWEZNQCLSA-N 0 3 320.433 4.157 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1Cl)c1cnccn1 ZINC000153154864 1045052105 /nfs/dbraw/zinc/05/21/05/1045052105.db2.gz GXIGYYJVSSMEBW-WDEREUQCSA-N 0 3 310.228 4.065 20 0 DIADHN CCO[C@H](CNCc1cc2cc(F)ccc2o1)c1ccccc1 ZINC000446850041 1045052500 /nfs/dbraw/zinc/05/25/00/1045052500.db2.gz USTYKMUNNSWTSZ-LJQANCHMSA-N 0 3 313.372 4.439 20 0 DIADHN CCO[C@@H](CNCc1cnc(C(C)C)s1)c1ccccc1 ZINC000446850461 1045053026 /nfs/dbraw/zinc/05/30/26/1045053026.db2.gz ZOTGPHBRIPIUER-INIZCTEOSA-N 0 3 304.459 4.134 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC(C)(C)C[C@@H]2C)c(C)c1 ZINC000412045927 1045053126 /nfs/dbraw/zinc/05/31/26/1045053126.db2.gz QMYMSEIWQZVUCM-HOTGVXAUSA-N 0 3 302.462 4.059 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1cnccn1 ZINC000153154748 1045053737 /nfs/dbraw/zinc/05/37/37/1045053737.db2.gz GXIGYYJVSSMEBW-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H]2C[C@H](C)c3c2cccc3C)c1 ZINC000153281691 1045054823 /nfs/dbraw/zinc/05/48/23/1045054823.db2.gz HKFVRYMSBONHES-KXBFYZLASA-N 0 3 322.452 4.083 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@@H]2c2ccsc2)cc1 ZINC000153542681 1045058922 /nfs/dbraw/zinc/05/89/22/1045058922.db2.gz HELLAMHEHUXLFH-QGZVFWFLSA-N 0 3 300.427 4.044 20 0 DIADHN Cc1nc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)[nH]c1C ZINC000576530854 1045064516 /nfs/dbraw/zinc/06/45/16/1045064516.db2.gz VGZDYZJXRVAENW-CTYIDZIISA-N 0 3 323.362 4.081 20 0 DIADHN Clc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000374495354 1045065540 /nfs/dbraw/zinc/06/55/40/1045065540.db2.gz IBIRKJAVFPHLKP-CJNGLKHVSA-N 0 3 307.846 4.269 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)c2cccc(CN(C)C)c2)c2ccccc21 ZINC000446924485 1045070756 /nfs/dbraw/zinc/07/07/56/1045070756.db2.gz GWCZZGZVIWEGCJ-IBGZPJMESA-N 0 3 322.452 4.148 20 0 DIADHN CC[C@H](NCc1ccnc(OC2CCC2)c1)c1cccc(F)c1 ZINC000446921712 1045071447 /nfs/dbraw/zinc/07/14/47/1045071447.db2.gz IKVQMQFNMHNUAY-SFHVURJKSA-N 0 3 314.404 4.393 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2c(c1)CCC2)c1ccccc1 ZINC000576790558 1045080838 /nfs/dbraw/zinc/08/08/38/1045080838.db2.gz YZFVCGHZNOOGOB-HXUWFJFHSA-N 0 3 309.453 4.025 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H]3CC[C@@H](C)C3)cs2)cc1 ZINC000429568445 1045089691 /nfs/dbraw/zinc/08/96/91/1045089691.db2.gz PUHBZUIBFBHEEU-CZUORRHYSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@@H](CC1CCCCC1)NCc1ncc(Br)s1 ZINC000447060710 1045089909 /nfs/dbraw/zinc/08/99/09/1045089909.db2.gz REFHYXBLVWBBBY-JTQLQIEISA-N 0 3 317.296 4.354 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@H]2CCCC(C)(C)C2)c(F)c1 ZINC000412561668 1045090539 /nfs/dbraw/zinc/09/05/39/1045090539.db2.gz UJPPOFJJZFDKEK-AWEZNQCLSA-N 0 3 306.425 4.042 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCCCC2(C)C)c(F)c1 ZINC000412560548 1045091427 /nfs/dbraw/zinc/09/14/27/1045091427.db2.gz PXNPELPFZQPLLG-AWEZNQCLSA-N 0 3 306.425 4.042 20 0 DIADHN C[C@H](CC1CCCC1)C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412561373 1045091894 /nfs/dbraw/zinc/09/18/94/1045091894.db2.gz SQCQTKGEUCGNPS-CYBMUJFWSA-N 0 3 306.425 4.042 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1CN(C)C)c1cc2ccccc2o1 ZINC000577005679 1045093926 /nfs/dbraw/zinc/09/39/26/1045093926.db2.gz NGDHISXBCXUBDG-AWEZNQCLSA-N 0 3 322.408 4.237 20 0 DIADHN C[C@@H]1CN(c2cccc3cnccc32)CCN1Cc1cccs1 ZINC000447102905 1045096657 /nfs/dbraw/zinc/09/66/57/1045096657.db2.gz UKYNIEBSMASEOE-OAHLLOKOSA-N 0 3 323.465 4.007 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ncc(-c2ccccc2F)o1 ZINC000525634533 1045101372 /nfs/dbraw/zinc/10/13/72/1045101372.db2.gz ZVRYMVQBILHJBP-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C)[C@H]1C)c1ccc2ccccc2c1 ZINC000525638264 1045103902 /nfs/dbraw/zinc/10/39/02/1045103902.db2.gz AITIQEUKAUHCTK-YESZJQIVSA-N 0 3 324.468 4.137 20 0 DIADHN CCN(Cc1cccc(OC(F)F)c1)[C@@H](C)c1cccnc1 ZINC000577248291 1045111287 /nfs/dbraw/zinc/11/12/87/1045111287.db2.gz UPSBCFJUSDHFRV-ZDUSSCGKSA-N 0 3 306.356 4.266 20 0 DIADHN C[C@H](Cc1cn(C)c2ccc(F)cc12)N[C@H](C)c1cscn1 ZINC000577267458 1045111562 /nfs/dbraw/zinc/11/15/62/1045111562.db2.gz OWSFRKZITUJTOO-VXGBXAGGSA-N 0 3 317.433 4.056 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)NCc2c[nH]nc2C)cc1 ZINC000525708651 1045112737 /nfs/dbraw/zinc/11/27/37/1045112737.db2.gz JRJJGRNVLHUUNE-CQSZACIVSA-N 0 3 321.424 4.245 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@@H]3COCC[C@H]32)c1 ZINC000447311259 1045116632 /nfs/dbraw/zinc/11/66/32/1045116632.db2.gz AIBFKCKRQYRRMB-CZUORRHYSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1ccc(C)c([C@@H](NC[C@@H](O)c2ccco2)c2ccccc2)c1 ZINC000779006897 1045119999 /nfs/dbraw/zinc/11/99/99/1045119999.db2.gz QWYQBCKOHAGXNI-CTNGQTDRSA-N 0 3 321.420 4.309 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H](C)C2CCCCC2)c(C)c1 ZINC000447381259 1045125662 /nfs/dbraw/zinc/12/56/62/1045125662.db2.gz GKWSLJGMWCLKLK-KRWDZBQOSA-N 0 3 316.489 4.451 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1ccn(C(CC)CC)n1 ZINC000447391261 1045127292 /nfs/dbraw/zinc/12/72/92/1045127292.db2.gz JKSUVIVPBMCQOC-SFHVURJKSA-N 0 3 307.482 4.025 20 0 DIADHN Brc1ccc(CN2CC[C@H](c3ccco3)C2)cc1 ZINC000600687900 1045129547 /nfs/dbraw/zinc/12/95/47/1045129547.db2.gz NDSAEEBSLIYCAU-ZDUSSCGKSA-N 0 3 306.203 4.032 20 0 DIADHN Brc1ccccc1CN1CC[C@@H](c2ccco2)C1 ZINC000600693521 1045129856 /nfs/dbraw/zinc/12/98/56/1045129856.db2.gz SIQONWXHSQSJMV-CYBMUJFWSA-N 0 3 306.203 4.032 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1nc2ccccc2s1 ZINC000447402256 1045129848 /nfs/dbraw/zinc/12/98/48/1045129848.db2.gz HNGXKJFSJMUVBI-KRWDZBQOSA-N 0 3 304.459 4.077 20 0 DIADHN CN(CCSc1ccccc1F)Cc1cc(C(C)(C)C)[nH]n1 ZINC000447404076 1045130178 /nfs/dbraw/zinc/13/01/78/1045130178.db2.gz ICYHZZZLRNLIDM-UHFFFAOYSA-N 0 3 321.465 4.070 20 0 DIADHN C[C@H](NCC1CC(C)(C)C1)c1ncc(Br)cc1F ZINC000525859111 1045131098 /nfs/dbraw/zinc/13/10/98/1045131098.db2.gz CKLBHNBLSIFZEV-VIFPVBQESA-N 0 3 315.230 4.070 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)[C@H]1CCN(C2CC2)C1 ZINC000600726186 1045132907 /nfs/dbraw/zinc/13/29/07/1045132907.db2.gz LKNGRQCDLKAJIW-ZDUSSCGKSA-N 0 3 320.889 4.223 20 0 DIADHN CC(C)N(Cc1ccc(Br)cc1)CC1(F)CC1 ZINC000525977404 1045139476 /nfs/dbraw/zinc/13/94/76/1045139476.db2.gz UFGCNDWFLXWCEH-UHFFFAOYSA-N 0 3 300.215 4.162 20 0 DIADHN C[C@@H](O)[C@@H]1C[C@H](C)CCN1Cc1cnc(C2CCCCC2)s1 ZINC000525963679 1045143088 /nfs/dbraw/zinc/14/30/88/1045143088.db2.gz HJUOEYQZRVNYDN-CPUCHLNUSA-N 0 3 322.518 4.172 20 0 DIADHN C[C@H](O)[C@@H]1C[C@H](C)CCN1Cc1cnc(C2CCCCC2)s1 ZINC000525963681 1045143273 /nfs/dbraw/zinc/14/32/73/1045143273.db2.gz HJUOEYQZRVNYDN-KEYYUXOJSA-N 0 3 322.518 4.172 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(n1)CCCC3)CCC2 ZINC000447558482 1045149445 /nfs/dbraw/zinc/14/94/45/1045149445.db2.gz PRGJZIUDELWINL-OAQYLSRUSA-N 0 3 306.453 4.436 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)c(C)o1 ZINC000447559646 1045149584 /nfs/dbraw/zinc/14/95/84/1045149584.db2.gz AJYCSUIEPAMLJU-SJKOYZFVSA-N 0 3 322.399 4.128 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccnc(OC)c2)c(C)c1 ZINC000577658600 1045151496 /nfs/dbraw/zinc/15/14/96/1045151496.db2.gz QYBYOFYDZCZXES-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCC(=O)c2ccccc2)cc1 ZINC000557178770 1045153201 /nfs/dbraw/zinc/15/32/01/1045153201.db2.gz NVCXSFOHIWBQEQ-FQEVSTJZSA-N 0 3 323.436 4.495 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1C[C@H](c2ccccc2)C[C@H]1C ZINC000577673404 1045156236 /nfs/dbraw/zinc/15/62/36/1045156236.db2.gz VVHXZGAKPYKIRX-ZIFCJYIRSA-N 0 3 322.452 4.200 20 0 DIADHN [O-]c1cccnc1C[NH2+]Cc1ccc(Sc2ccccc2)cc1 ZINC000525999033 1045157174 /nfs/dbraw/zinc/15/71/74/1045157174.db2.gz HXONCNCFEXKKPB-UHFFFAOYSA-N 0 3 322.433 4.228 20 0 DIADHN C[C@@H](NC[C@@H](C)C(C)(C)C)c1ncc(Br)cc1F ZINC000779024536 1045162200 /nfs/dbraw/zinc/16/22/00/1045162200.db2.gz MSADRMIVGGMCMV-NXEZZACHSA-N 0 3 317.246 4.316 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](CC)c2cccc(OC)c2)cc1 ZINC000557266164 1045163826 /nfs/dbraw/zinc/16/38/26/1045163826.db2.gz NXWWDNUQBNPHDN-VQTJNVASSA-N 0 3 313.441 4.032 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@@H]2CCC3(CCCC3)O2)s1 ZINC000577772453 1045169394 /nfs/dbraw/zinc/16/93/94/1045169394.db2.gz UTDDQCWAJBVGBV-HIFRSBDPSA-N 0 3 308.491 4.245 20 0 DIADHN COc1cc(CN(CCC(F)(F)F)CC2CC2)ccc1C ZINC000447733173 1045170941 /nfs/dbraw/zinc/17/09/41/1045170941.db2.gz WXDBVCZHMJIJES-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN Brc1cnc2c(c1)[C@H](NCc1ccccc1)CCC2 ZINC000924543499 1045175693 /nfs/dbraw/zinc/17/56/93/1045175693.db2.gz RNIICIXKBUTURH-OAHLLOKOSA-N 0 3 317.230 4.011 20 0 DIADHN CC1(C)CC2(CC(N[C@H](c3ccccc3)c3ccccn3)C2)CO1 ZINC000924549540 1045176867 /nfs/dbraw/zinc/17/68/67/1045176867.db2.gz VKEXNMJYICWZBB-MOMVYJMYSA-N 0 3 322.452 4.108 20 0 DIADHN C[C@H](NCCSc1ccccc1)c1ccnn1C1CCC1 ZINC000924573283 1045177944 /nfs/dbraw/zinc/17/79/44/1045177944.db2.gz HGAWDCUQOKIETN-AWEZNQCLSA-N 0 3 301.459 4.051 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc3c2OCCO3)C2CCC2)cc1 ZINC000779033073 1045179287 /nfs/dbraw/zinc/17/92/87/1045179287.db2.gz UPCYTNZYGYYYBA-FQEVSTJZSA-N 0 3 323.436 4.397 20 0 DIADHN c1coc([C@@H](N[C@@H]2CC[C@@H]3COCC[C@@H]3C2)c2ccccc2)c1 ZINC000924580402 1045179960 /nfs/dbraw/zinc/17/99/60/1045179960.db2.gz KBQDYXRAFJWCND-MLYOOKFZSA-N 0 3 311.425 4.164 20 0 DIADHN Cc1ccc([C@H](NCc2cccc3c2OCCO3)C2CCC2)cc1 ZINC000779033074 1045180016 /nfs/dbraw/zinc/18/00/16/1045180016.db2.gz UPCYTNZYGYYYBA-HXUWFJFHSA-N 0 3 323.436 4.397 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1ccnn1C1CCC1 ZINC000924589664 1045180617 /nfs/dbraw/zinc/18/06/17/1045180617.db2.gz BZXJBKPLLQVEPO-SJCJKPOMSA-N 0 3 301.459 4.472 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000924592682 1045181472 /nfs/dbraw/zinc/18/14/72/1045181472.db2.gz DFVBJDWLCUANKP-CABCVRRESA-N 0 3 313.445 4.419 20 0 DIADHN CCOc1cccc(CCNCc2ncc(C(C)(C)C)s2)c1 ZINC000447897512 1045188355 /nfs/dbraw/zinc/18/83/55/1045188355.db2.gz NSFLFWXQILQSRK-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN C[C@@H](CNCc1ncc(C(C)(C)C)s1)c1ccc(F)cc1 ZINC000447926842 1045189818 /nfs/dbraw/zinc/18/98/18/1045189818.db2.gz CSGPHMDKJGIKIB-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@]1(CC)OCCc2ccccc21 ZINC000577921553 1045190067 /nfs/dbraw/zinc/19/00/67/1045190067.db2.gz JQGAGAIQPGJRRG-KKSFZXQISA-N 0 3 324.468 4.173 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCc3cccc(C)c32)c1 ZINC000924700460 1045190871 /nfs/dbraw/zinc/19/08/71/1045190871.db2.gz XGCVQKCRXHCQMX-SFHVURJKSA-N 0 3 308.425 4.121 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(F)c(F)c1)c1ccccc1Cl ZINC000048330971 1045191666 /nfs/dbraw/zinc/19/16/66/1045191666.db2.gz MHVFRMJIYDADLB-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2CCc3ccccc3C2)s1 ZINC000447935725 1045191933 /nfs/dbraw/zinc/19/19/33/1045191933.db2.gz JGMGISQAMDUVHV-OAHLLOKOSA-N 0 3 300.471 4.088 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1ccc(Cl)cc1 ZINC000048331008 1045192214 /nfs/dbraw/zinc/19/22/14/1045192214.db2.gz YRVNAVFVYHLEHE-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN CN(Cc1ccc(CF)cc1)Cc1ccccc1N1CCCC1 ZINC000777532380 1045193499 /nfs/dbraw/zinc/19/34/99/1045193499.db2.gz TWUFIVGBVOWNPC-UHFFFAOYSA-N 0 3 312.432 4.388 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@](C)(c3ccccc3)C2)[n-]1 ZINC000527105566 1045196398 /nfs/dbraw/zinc/19/63/98/1045196398.db2.gz HLCIOHRFDWDOGW-QOKNQOGYSA-N 0 3 312.461 4.089 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)NCc1ccc(CO)c(F)c1 ZINC000924761930 1045196836 /nfs/dbraw/zinc/19/68/36/1045196836.db2.gz WQBYYPYUVROHDN-LBPRGKRZSA-N 0 3 313.372 4.223 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H]2CCC[C@@H](O)C2)c2cccnc2)cc1 ZINC000924758890 1045197007 /nfs/dbraw/zinc/19/70/07/1045197007.db2.gz AMNJQHYDZLVYLL-HBMCJLEFSA-N 0 3 324.468 4.188 20 0 DIADHN Cc1ccc2c(CCNCc3ncc(C(C)C)s3)c[nH]c2c1 ZINC000527118400 1045198639 /nfs/dbraw/zinc/19/86/39/1045198639.db2.gz CDPJCFFDCJKUAE-UHFFFAOYSA-N 0 3 313.470 4.389 20 0 DIADHN COc1cccc2c(CN[C@H](C)c3cnn(C(C)C)c3)cccc12 ZINC000578022579 1045199032 /nfs/dbraw/zinc/19/90/32/1045199032.db2.gz ABKZWNAFGIQWBZ-OAHLLOKOSA-N 0 3 323.440 4.477 20 0 DIADHN CCc1ccc([C@H](C)NCCc2cccc(C(F)(F)F)n2)o1 ZINC000578031573 1045201035 /nfs/dbraw/zinc/20/10/35/1045201035.db2.gz XZICDILHTWWRNH-NSHDSACASA-N 0 3 312.335 4.149 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)c2cc3ccccc3s2)c1 ZINC000527193739 1045201558 /nfs/dbraw/zinc/20/15/58/1045201558.db2.gz MLDCMMCUBNUTNV-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN Cc1cncc(CN2CCC[C@H](c3nc4ccccc4s3)C2)c1 ZINC000527191096 1045202981 /nfs/dbraw/zinc/20/29/81/1045202981.db2.gz KGDKYXLIJRIJRC-INIZCTEOSA-N 0 3 323.465 4.379 20 0 DIADHN COC(=O)C(C)(C)CCN1CCC[C@H]1c1cccc(Cl)c1 ZINC000527218860 1045204025 /nfs/dbraw/zinc/20/40/25/1045204025.db2.gz DXNWJWATOITKIR-HNNXBMFYSA-N 0 3 309.837 4.066 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1ccnn1CC1CCC1 ZINC000924857540 1045206103 /nfs/dbraw/zinc/20/61/03/1045206103.db2.gz SUAIJIUSLFKCJC-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN COCCCCCN[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000924873988 1045208169 /nfs/dbraw/zinc/20/81/69/1045208169.db2.gz ZYBLFSIXLVSOGA-SNVBAGLBSA-N 0 3 324.774 4.221 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1cn(C)cn1 ZINC000924895706 1045208805 /nfs/dbraw/zinc/20/88/05/1045208805.db2.gz METZFZGOBGTRGQ-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@@]2(CCOC2)C1 ZINC000578113344 1045210178 /nfs/dbraw/zinc/21/01/78/1045210178.db2.gz LKGOYKHQOWOUDI-GOSISDBHSA-N 0 3 320.502 4.193 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@H](c3ccccc3)C2)nc2ccccc12 ZINC000527351897 1045216333 /nfs/dbraw/zinc/21/63/33/1045216333.db2.gz FUARNAFFOKIFPN-ZWKOTPCHSA-N 0 3 317.436 4.364 20 0 DIADHN c1cc(CN2CCC[C@@H]2c2ccccc2)n(-c2ccccc2)n1 ZINC000448212431 1045218785 /nfs/dbraw/zinc/21/87/85/1045218785.db2.gz KMPAPRZUVRXGIH-HXUWFJFHSA-N 0 3 303.409 4.209 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000779057984 1045222945 /nfs/dbraw/zinc/22/29/45/1045222945.db2.gz NRRBMUSNKRIWFV-AZUAARDMSA-N 0 3 324.399 4.148 20 0 DIADHN CC[C@@H]1CN(Cc2ncc(C)s2)CC[C@@H]1c1ccccc1 ZINC000578228237 1045223251 /nfs/dbraw/zinc/22/32/51/1045223251.db2.gz VLLZZFPBWNUMTD-WBVHZDCISA-N 0 3 300.471 4.467 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)CC1CCC(C)CC1)c1ccco1 ZINC000578256295 1045224976 /nfs/dbraw/zinc/22/49/76/1045224976.db2.gz CAIXEZJHONGJED-MYBQVCMBSA-N 0 3 320.477 4.041 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000527484758 1045226162 /nfs/dbraw/zinc/22/61/62/1045226162.db2.gz WNBFDBAYCSRMHE-UONOGXRCSA-N 0 3 318.486 4.103 20 0 DIADHN CSCCCCN[C@H](c1cccc(C)c1)c1ccccn1 ZINC000925096674 1045226691 /nfs/dbraw/zinc/22/66/91/1045226691.db2.gz CSUBLDLFYTXQNB-GOSISDBHSA-N 0 3 300.471 4.212 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccsc1)c1csc(C(F)(F)F)c1 ZINC000925108298 1045228193 /nfs/dbraw/zinc/22/81/93/1045228193.db2.gz GYMKBEOMYITPCH-GZMMTYOYSA-N 0 3 321.389 4.213 20 0 DIADHN Cc1ccc([C@H](NCCOc2cccnc2)c2ccccc2)cc1 ZINC000779062501 1045230149 /nfs/dbraw/zinc/23/01/49/1045230149.db2.gz WGNCODXXXYQEFP-OAQYLSRUSA-N 0 3 318.420 4.148 20 0 DIADHN Cc1nc(CNC[C@@H](c2ccccc2)C(C)C)nc2ccccc12 ZINC000527521140 1045232894 /nfs/dbraw/zinc/23/28/94/1045232894.db2.gz WCSSZTJJSZIWDD-LJQANCHMSA-N 0 3 319.452 4.468 20 0 DIADHN CCC[C@@H](NCC1(CCO)CCCC1)c1ncccc1Cl ZINC000925189798 1045233452 /nfs/dbraw/zinc/23/34/52/1045233452.db2.gz SZPCXPUOWRPSSF-OAHLLOKOSA-N 0 3 310.869 4.109 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3ccnn3-c3ccccc3)C2)c1 ZINC000448336626 1045235412 /nfs/dbraw/zinc/23/54/12/1045235412.db2.gz GLDUADGIPXLGJU-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN C[C@@H]1C[C@H](C)N1Cc1c[nH]nc1-c1ccc(C(F)(F)F)cc1 ZINC000527529540 1045236599 /nfs/dbraw/zinc/23/65/99/1045236599.db2.gz AERGOFVWSKVNEL-PHIMTYICSA-N 0 3 309.335 4.078 20 0 DIADHN COc1cccc(CN[C@]2(C)CCCc3ccccc32)c1OC ZINC000578389294 1045238794 /nfs/dbraw/zinc/23/87/94/1045238794.db2.gz JUUILBCPDDJKDC-HXUWFJFHSA-N 0 3 311.425 4.045 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC/C=C\c1ccccc1)C2 ZINC000527621203 1045241272 /nfs/dbraw/zinc/24/12/72/1045241272.db2.gz HTAZGWRLXUYCTQ-POHAHGRESA-N 0 3 307.441 4.062 20 0 DIADHN CC[C@H](CN1CCc2nc(C(C)C)ncc2C1)c1ccccc1 ZINC000527619714 1045241859 /nfs/dbraw/zinc/24/18/59/1045241859.db2.gz SMXIVPZXWXUVTE-MRXNPFEDSA-N 0 3 309.457 4.152 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC[C@@H](C)c1ccccc1)C2 ZINC000527617617 1045241908 /nfs/dbraw/zinc/24/19/08/1045241908.db2.gz BKWLBUPSVJTXFV-MRXNPFEDSA-N 0 3 309.457 4.152 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC/C=C/c1ccccc1)C2 ZINC000527621204 1045241936 /nfs/dbraw/zinc/24/19/36/1045241936.db2.gz HTAZGWRLXUYCTQ-UXBLZVDNSA-N 0 3 307.441 4.062 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc3cc[nH]c3c2)cc1OC ZINC000527633482 1045242455 /nfs/dbraw/zinc/24/24/55/1045242455.db2.gz DMNLHXHENZUUNN-AWEZNQCLSA-N 0 3 324.424 4.426 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc3cc[nH]c3c2)[C@H]2CCCO2)cc1 ZINC000527649939 1045247165 /nfs/dbraw/zinc/24/71/65/1045247165.db2.gz RHYMEXDXTOTJPD-WOJBJXKFSA-N 0 3 324.399 4.317 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](c2ccccc2)c2ccc(C)cc2)o1 ZINC000779074744 1045247843 /nfs/dbraw/zinc/24/78/43/1045247843.db2.gz RFSKBUCIGVQJPQ-PZJWPPBQSA-N 0 3 321.420 4.309 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3ccncc3Cl)C2)c1 ZINC000527702655 1045251313 /nfs/dbraw/zinc/25/13/13/1045251313.db2.gz GUKBLEWVQDSISK-CTYIDZIISA-N 0 3 307.224 4.424 20 0 DIADHN Fc1cc(Br)c(CN[C@@H]2CCC23CCC3)cc1F ZINC000578628998 1045259652 /nfs/dbraw/zinc/25/96/52/1045259652.db2.gz DITYYVARBCEBTI-CYBMUJFWSA-N 0 3 316.189 4.150 20 0 DIADHN Cc1ccc(OCc2nc(CNCC3CC=CC3)cs2)cc1 ZINC000527750468 1045259661 /nfs/dbraw/zinc/25/96/61/1045259661.db2.gz LOWOWKWYIKGQPO-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(-c2ccccc2)o1 ZINC000578650598 1045260403 /nfs/dbraw/zinc/26/04/03/1045260403.db2.gz ZROPCPJHASETQB-KBPBESRZSA-N 0 3 308.381 4.459 20 0 DIADHN CN(C)c1ccccc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC000527796161 1045264020 /nfs/dbraw/zinc/26/40/20/1045264020.db2.gz WHURANJNWOMDAY-KRWDZBQOSA-N 0 3 300.833 4.183 20 0 DIADHN CC[C@@H](NCc1ccc2cnn(C)c2c1)c1cc(C)ccc1OC ZINC000578707023 1045267070 /nfs/dbraw/zinc/26/70/70/1045267070.db2.gz KAIFNKPNLLSSAW-GOSISDBHSA-N 0 3 323.440 4.131 20 0 DIADHN C[C@@H](NCC[C@@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000779086956 1045267794 /nfs/dbraw/zinc/26/77/94/1045267794.db2.gz RTVKDCWWLZHJHT-FZKQIMNGSA-N 0 3 321.823 4.428 20 0 DIADHN CNC(=O)c1ccc(CN[C@H](C)c2cccc(C(C)C)c2)cc1 ZINC000527854731 1045268113 /nfs/dbraw/zinc/26/81/13/1045268113.db2.gz XOXBSOOYKUDIKR-OAHLLOKOSA-N 0 3 310.441 4.020 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2cccc(C(C)C)c2)c1 ZINC000527853643 1045268442 /nfs/dbraw/zinc/26/84/42/1045268442.db2.gz QCQAAWOVGHBINT-OAHLLOKOSA-N 0 3 310.441 4.020 20 0 DIADHN C[C@@H](NCC12CC(C1)CO2)c1ccc(Cl)c(Cl)c1Cl ZINC000527976821 1045286855 /nfs/dbraw/zinc/28/68/55/1045286855.db2.gz GTWGHQRJYHEFBG-NQTXRORDSA-N 0 3 320.647 4.476 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)Nc1c(CC)cccc1CC ZINC000578854945 1045277198 /nfs/dbraw/zinc/27/71/98/1045277198.db2.gz RFBFOKGVMDNXHJ-HNNXBMFYSA-N 0 3 304.478 4.260 20 0 DIADHN COc1cccc([C@@H](CN[C@H](C)c2cccc(F)c2F)OC)c1 ZINC000527927178 1045278406 /nfs/dbraw/zinc/27/84/06/1045278406.db2.gz MZKHPBQSOUVIIU-SJKOYZFVSA-N 0 3 321.367 4.012 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1ccc2c(c1)OCCO2 ZINC000578917280 1045281169 /nfs/dbraw/zinc/28/11/69/1045281169.db2.gz ZBJFIPJHCJXFQL-UHFFFAOYSA-N 0 3 319.404 4.243 20 0 DIADHN COc1cccc([C@H](CN[C@@H](C)c2ccc(F)cc2F)OC)c1 ZINC000527918157 1045282156 /nfs/dbraw/zinc/28/21/56/1045282156.db2.gz DXRRIKIBWDLIKI-SGTLLEGYSA-N 0 3 321.367 4.012 20 0 DIADHN COc1cccc(OC(F)(F)F)c1CN[C@H]1CCC12CCC2 ZINC000578919084 1045282431 /nfs/dbraw/zinc/28/24/31/1045282431.db2.gz YFGQQMLOWKMTPH-AWEZNQCLSA-N 0 3 315.335 4.016 20 0 DIADHN COc1cccc([C@@H](CN[C@@H](C)c2ccc(F)cc2F)OC)c1 ZINC000527918155 1045282574 /nfs/dbraw/zinc/28/25/74/1045282574.db2.gz DXRRIKIBWDLIKI-KPZWWZAWSA-N 0 3 321.367 4.012 20 0 DIADHN COc1cccc([C@H](CN[C@H](C)c2cc(F)cc(F)c2)OC)c1 ZINC000527920605 1045283151 /nfs/dbraw/zinc/28/31/51/1045283151.db2.gz OEFBLSKZPCAPOP-XIKOKIGWSA-N 0 3 321.367 4.012 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NC[C@@H](n1cccn1)C(F)(F)F ZINC000527941132 1045284034 /nfs/dbraw/zinc/28/40/34/1045284034.db2.gz XHDMCCQWKYFIKI-VHDGCEQUSA-N 0 3 323.362 4.133 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](c1ccccn1)C1CCC1)CCC2 ZINC000527962424 1045289577 /nfs/dbraw/zinc/28/95/77/1045289577.db2.gz DRNXOTFZWSVAAD-IRXDYDNUSA-N 0 3 313.470 4.355 20 0 DIADHN O=C(CCN1CC[C@@H](c2ccccc2F)C1)c1ccc(F)cc1 ZINC000579089426 1045293832 /nfs/dbraw/zinc/29/38/32/1045293832.db2.gz VDNAOUJCBSPFNR-OAHLLOKOSA-N 0 3 315.363 4.027 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](N[C@@H](C)c2ncccc2F)C1 ZINC000528036057 1045295448 /nfs/dbraw/zinc/29/54/48/1045295448.db2.gz OFZVYGQJDOPFRJ-JYJNAYRXSA-N 0 3 313.420 4.301 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCc3sccc32)C2CC2)cn1 ZINC000528042929 1045296804 /nfs/dbraw/zinc/29/68/04/1045296804.db2.gz JDIVFEIRYOTQEA-CRAIPNDOSA-N 0 3 314.454 4.270 20 0 DIADHN CC[C@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1ccsc1 ZINC000528043994 1045296855 /nfs/dbraw/zinc/29/68/55/1045296855.db2.gz SZSVXDKUNXHOPQ-RDJZCZTQSA-N 0 3 302.443 4.344 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccc(F)c(F)c2)C2CC2)cn1 ZINC000528043658 1045297127 /nfs/dbraw/zinc/29/71/27/1045297127.db2.gz PCALHQYOIJPEPB-BBATYDOGSA-N 0 3 318.367 4.170 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCCc3sccc32)C2CC2)cn1 ZINC000528043032 1045297578 /nfs/dbraw/zinc/29/75/78/1045297578.db2.gz JDIVFEIRYOTQEA-YJBOKZPZSA-N 0 3 314.454 4.270 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2csc(C)c2)C2CC2)cn1 ZINC000528042822 1045297674 /nfs/dbraw/zinc/29/76/74/1045297674.db2.gz JMSAGNGIYMPDJR-YVEFUNNKSA-N 0 3 302.443 4.262 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccc(F)cc2)C2CC2)cn1 ZINC000528044242 1045299210 /nfs/dbraw/zinc/29/92/10/1045299210.db2.gz SKYWNWOXAIRVON-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cccc([C@H](O)CNC(c2ccccc2)c2ccccc2)c1 ZINC000779130093 1045303498 /nfs/dbraw/zinc/30/34/98/1045303498.db2.gz SWNKMYKUGRPUDB-OAQYLSRUSA-N 0 3 317.432 4.408 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)cc1F ZINC000579386081 1045312007 /nfs/dbraw/zinc/31/20/07/1045312007.db2.gz FSCQCTKWZLDDEW-NWDGAFQWSA-N 0 3 313.376 4.122 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1ccc(F)cc1F ZINC000579413215 1045314400 /nfs/dbraw/zinc/31/44/00/1045314400.db2.gz KGZYPKMCWUWOLP-PIGZYNQJSA-N 0 3 323.408 4.165 20 0 DIADHN Cc1cc(CNCc2ccc(C(C)(F)F)cc2)cc(C)c1O ZINC000579505155 1045319046 /nfs/dbraw/zinc/31/90/46/1045319046.db2.gz LFCISGKVCKNDOO-UHFFFAOYSA-N 0 3 305.368 4.411 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(-c3cccc(Cl)c3)n2)CCO1 ZINC001168105607 1045321184 /nfs/dbraw/zinc/32/11/84/1045321184.db2.gz XAUVRPWRPLMPEP-RISCZKNCSA-N 0 3 322.861 4.121 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@H]2Cc2ccc(Cl)cc2)s1 ZINC000579554179 1045323118 /nfs/dbraw/zinc/32/31/18/1045323118.db2.gz XXSXFJZIOBCESF-ZFWWWQNUSA-N 0 3 321.877 4.001 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000579662604 1045329187 /nfs/dbraw/zinc/32/91/87/1045329187.db2.gz URFHROZHXZEYTK-UXHICEINSA-N 0 3 309.453 4.431 20 0 DIADHN COc1cccc(C2=CCN(Cc3cc4cccnc4o3)CC2)c1 ZINC000449015379 1045330960 /nfs/dbraw/zinc/33/09/60/1045330960.db2.gz BOVVHBFDWBESHR-UHFFFAOYSA-N 0 3 320.392 4.126 20 0 DIADHN CCN(Cc1ccccn1)Cc1cccc2c(OC)cccc12 ZINC000449027763 1045334188 /nfs/dbraw/zinc/33/41/88/1045334188.db2.gz MOJVJDCZKATHSA-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3ccnn3C3CCCC3)C2)cc1 ZINC000449025872 1045334249 /nfs/dbraw/zinc/33/42/49/1045334249.db2.gz IJVVIMNPOUXPLR-INIZCTEOSA-N 0 3 313.420 4.127 20 0 DIADHN C[C@@H](CN1CCC(OC(C)(C)C)CC1)c1cc(F)cc(F)c1 ZINC000449022657 1045337514 /nfs/dbraw/zinc/33/75/14/1045337514.db2.gz YUWCZVZROUHMQA-ZDUSSCGKSA-N 0 3 311.416 4.348 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1cc2cccnc2o1 ZINC000449023814 1045337688 /nfs/dbraw/zinc/33/76/88/1045337688.db2.gz VCVNQDZVAGDEEB-KRWDZBQOSA-N 0 3 308.381 4.174 20 0 DIADHN O[C@H](CN(Cc1cccs1)C1CC1)c1cccc(Cl)c1 ZINC000075597127 1045337767 /nfs/dbraw/zinc/33/77/67/1045337767.db2.gz NDPXQATUEUQNGJ-MRXNPFEDSA-N 0 3 307.846 4.100 20 0 DIADHN COc1cccc2c(CN(C)Cc3scnc3C)cccc12 ZINC000449023228 1045338242 /nfs/dbraw/zinc/33/82/42/1045338242.db2.gz OSMLLGLEPSURKF-UHFFFAOYSA-N 0 3 312.438 4.245 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1nnc(-c2cccc(F)c2)o1 ZINC000075712597 1045339596 /nfs/dbraw/zinc/33/95/96/1045339596.db2.gz GPRBCNLOKMMQGP-UHFFFAOYSA-N 0 3 305.397 4.180 20 0 DIADHN CN(CCc1cccs1)Cc1cc(Cl)nc(Cl)c1 ZINC000075965426 1045343181 /nfs/dbraw/zinc/34/31/81/1045343181.db2.gz QDDICPVQKBCMSG-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](NCc1ccc(F)nc1)c1ccc(Cl)cc1 ZINC000189129482 1045343232 /nfs/dbraw/zinc/34/32/32/1045343232.db2.gz PMYDPNSCAJOZKD-XQAQDONZSA-N 0 3 304.796 4.361 20 0 DIADHN CCOC(=O)c1cc(CN[C@@H](C)c2cc(C)sc2C)[nH]c1C ZINC000449077791 1045345374 /nfs/dbraw/zinc/34/53/74/1045345374.db2.gz YTWCNNUMRGYVKA-NSHDSACASA-N 0 3 320.458 4.029 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1ccc(Cl)cc1F ZINC000189413360 1045347215 /nfs/dbraw/zinc/34/72/15/1045347215.db2.gz DDXQURAPPSFBGI-YGRLFVJLSA-N 0 3 313.825 4.142 20 0 DIADHN COc1cccc2c(CNCc3sc(C)nc3C)cccc12 ZINC000449177343 1045354936 /nfs/dbraw/zinc/35/49/36/1045354936.db2.gz SGOYGSJXRLODNL-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN CC(C)c1ccccc1OCCNCc1cc2cccnc2o1 ZINC000449159120 1045355917 /nfs/dbraw/zinc/35/59/17/1045355917.db2.gz XRJTWRKMZVKCLE-UHFFFAOYSA-N 0 3 310.397 4.120 20 0 DIADHN CCn1nnc(C)c1CN[C@@H](CC1CCCC1)c1ccccc1 ZINC000449192170 1045357859 /nfs/dbraw/zinc/35/78/59/1045357859.db2.gz PJXBETLZIBGFSI-SFHVURJKSA-N 0 3 312.461 4.018 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2cccc(C)c2F)cc1 ZINC000449189769 1045358115 /nfs/dbraw/zinc/35/81/15/1045358115.db2.gz MQQXDEDVZIRTGI-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN CCn1nnc(C)c1CN[C@H](CC1CCCC1)c1ccccc1 ZINC000449192169 1045358571 /nfs/dbraw/zinc/35/85/71/1045358571.db2.gz PJXBETLZIBGFSI-GOSISDBHSA-N 0 3 312.461 4.018 20 0 DIADHN Cc1cccc([C@H](N[C@@H](C)Cc2ccoc2)c2ccccn2)c1 ZINC000449201148 1045362517 /nfs/dbraw/zinc/36/25/17/1045362517.db2.gz DERSSYGGGWTGFC-JXFKEZNVSA-N 0 3 306.409 4.293 20 0 DIADHN c1cc2cccc(CNCc3ccnc(OC4CCC4)c3)c2o1 ZINC000449212761 1045362528 /nfs/dbraw/zinc/36/25/28/1045362528.db2.gz VJLMZNXBNDHURG-UHFFFAOYSA-N 0 3 308.381 4.049 20 0 DIADHN c1c2cccnc2oc1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000449214092 1045362589 /nfs/dbraw/zinc/36/25/89/1045362589.db2.gz LPRYIFJDRSKTHH-KXBFYZLASA-N 0 3 322.408 4.085 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN[C@@H]2C=CCCC2)s1 ZINC000449196718 1045362598 /nfs/dbraw/zinc/36/25/98/1045362598.db2.gz SRSGZFIWYBRYDN-LLVKDONJSA-N 0 3 306.381 4.287 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1C1CCCC1)[C@H](C)C2 ZINC000449233710 1045363388 /nfs/dbraw/zinc/36/33/88/1045363388.db2.gz ZVAAJCUUNXJHKH-FOIQADDNSA-N 0 3 309.457 4.330 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H]([NH2+][C@@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000449239978 1045368387 /nfs/dbraw/zinc/36/83/87/1045368387.db2.gz XGWXLRIQNSIQDP-KBAYOESNSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1ccnn1C1CCCC1 ZINC000449268046 1045370346 /nfs/dbraw/zinc/37/03/46/1045370346.db2.gz DBRSLSRHGRTXRD-CQSZACIVSA-N 0 3 303.372 4.065 20 0 DIADHN O[C@@H](CN1CCCCC[C@@H]1c1ccco1)c1cccc(F)c1 ZINC000076803678 1045372936 /nfs/dbraw/zinc/37/29/36/1045372936.db2.gz GJIMSHBEMHRXTG-SJORKVTESA-N 0 3 303.377 4.069 20 0 DIADHN O[C@@H](CN1CCCCC[C@H]1c1ccco1)c1ccc(F)c(F)c1 ZINC000076804538 1045372969 /nfs/dbraw/zinc/37/29/69/1045372969.db2.gz HZAMPFBHGGSSGD-IRXDYDNUSA-N 0 3 321.367 4.209 20 0 DIADHN Cc1cc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)cs1 ZINC000449310756 1045374014 /nfs/dbraw/zinc/37/40/14/1045374014.db2.gz IHLMTBAJZJBUEU-INIZCTEOSA-N 0 3 308.878 4.102 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2cc3cccnc3o2)CC1 ZINC000449291020 1045374266 /nfs/dbraw/zinc/37/42/66/1045374266.db2.gz ILQHEFDSOJCFNC-UHFFFAOYSA-N 0 3 310.372 4.289 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@H](C)c2cc(F)cc(F)c2)cn1 ZINC000449306483 1045374674 /nfs/dbraw/zinc/37/46/74/1045374674.db2.gz WMDANONXCZIPMJ-ZDUSSCGKSA-N 0 3 319.399 4.114 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1cc2cccnc2o1 ZINC000449330242 1045374981 /nfs/dbraw/zinc/37/49/81/1045374981.db2.gz KPEISMLTLJASHP-KRWDZBQOSA-N 0 3 310.397 4.420 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(F)(F)F)cc1)c1ccccn1 ZINC000076902447 1045377280 /nfs/dbraw/zinc/37/72/80/1045377280.db2.gz OFNMZKCTULMMJT-MRXNPFEDSA-N 0 3 324.346 4.467 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(F)cc(F)cc32)cc1 ZINC000449348463 1045378920 /nfs/dbraw/zinc/37/89/20/1045378920.db2.gz GORQXEGIJFFSKC-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN CCCn1nc(C)c(CN[C@@H]2CCCc3c(F)cccc32)c1C ZINC000449352789 1045379586 /nfs/dbraw/zinc/37/95/86/1045379586.db2.gz WKVSFHMQTPCKGP-LJQANCHMSA-N 0 3 315.436 4.216 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c(F)cccc32)cc(OC)c1 ZINC000449347882 1045379716 /nfs/dbraw/zinc/37/97/16/1045379716.db2.gz FCIQZSQRJBOFBA-LJQANCHMSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@H](CN1CC[C@]2(C)[C@H](C1)C2(F)F)c1cc(F)cc(F)c1 ZINC000449336240 1045381027 /nfs/dbraw/zinc/38/10/27/1045381027.db2.gz MERZSKVNMZZDNF-WKPIXPDZSA-N 0 3 301.327 4.045 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NC/C=C/c1ccncc1)CCC2 ZINC000449352534 1045381299 /nfs/dbraw/zinc/38/12/99/1045381299.db2.gz VBLAUBUSXBZXFA-DCKQQPRJSA-N 0 3 300.352 4.040 20 0 DIADHN CC(C)Cn1cc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)cn1 ZINC000449366902 1045382971 /nfs/dbraw/zinc/38/29/71/1045382971.db2.gz YCAUGSZKHCKODG-GZBFAFLISA-N 0 3 317.399 4.090 20 0 DIADHN CC(C)(NC[C@H](O)CC1CCCC1)c1nc2ccccc2s1 ZINC000528604761 1045384513 /nfs/dbraw/zinc/38/45/13/1045384513.db2.gz VCHVWOFCVJNFTD-CQSZACIVSA-N 0 3 318.486 4.062 20 0 DIADHN Cc1nn(C(C)C)cc1CN1[C@H](C)[C@H](CC(F)(F)F)C[C@@H]1C ZINC000449360735 1045386060 /nfs/dbraw/zinc/38/60/60/1045386060.db2.gz IFCJTIODQBFTRT-YUTCNCBUSA-N 0 3 317.399 4.324 20 0 DIADHN Cc1nn(C(C)C)cc1CN1[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]1C ZINC000449360733 1045386793 /nfs/dbraw/zinc/38/67/93/1045386793.db2.gz IFCJTIODQBFTRT-IACUBPJLSA-N 0 3 317.399 4.324 20 0 DIADHN CCO[C@@H]1CCN(Cc2cc3c(cc(C)cc3C)[nH]2)C[C@H]1C ZINC000449493878 1045400804 /nfs/dbraw/zinc/40/08/04/1045400804.db2.gz FQSFYFVRFMZETN-DNVCBOLYSA-N 0 3 300.446 4.032 20 0 DIADHN CCO[C@H]1CCN(Cc2ccc(Cl)c3cccnc23)C[C@@H]1C ZINC000449490091 1045402402 /nfs/dbraw/zinc/40/24/02/1045402402.db2.gz BMBDEERYQFFLMO-GUYCJALGSA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@H]1CCN(Cc2c(Cl)ccc3cccnc32)C[C@@H]1C ZINC000449498429 1045403217 /nfs/dbraw/zinc/40/32/17/1045403217.db2.gz LHZADKPZUFGWLA-GUYCJALGSA-N 0 3 318.848 4.135 20 0 DIADHN CO[C@H]1CCN(Cc2cc3c(cc(C)cc3C)[nH]2)CC1(C)C ZINC000449502944 1045404339 /nfs/dbraw/zinc/40/43/39/1045404339.db2.gz SIGYHJULXRSAFZ-SFHVURJKSA-N 0 3 300.446 4.032 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000168518675 1045405277 /nfs/dbraw/zinc/40/52/77/1045405277.db2.gz GCENKHBNVPIGTK-XDEPDNQISA-N 0 3 319.445 4.283 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC[C@@]2(CCc3ccccc3O2)C1 ZINC000528737845 1045406639 /nfs/dbraw/zinc/40/66/39/1045406639.db2.gz XSBKDQWQDDIFRE-OXJNMPFZSA-N 0 3 308.425 4.002 20 0 DIADHN C[C@H](c1cccnc1)N1CCC[C@]2(CCc3ccccc3O2)C1 ZINC000528737849 1045406844 /nfs/dbraw/zinc/40/68/44/1045406844.db2.gz XSBKDQWQDDIFRE-UZLBHIALSA-N 0 3 308.425 4.002 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000449562511 1045414434 /nfs/dbraw/zinc/41/44/34/1045414434.db2.gz LLCOCXNKKWMZLJ-KSSFIOAISA-N 0 3 313.420 4.329 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449573876 1045416007 /nfs/dbraw/zinc/41/60/07/1045416007.db2.gz SKZPTGFXXLEJMN-LALPHHSUSA-N 0 3 323.362 4.129 20 0 DIADHN CC(C)[C@H](CC1CCCC1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000449666192 1045425297 /nfs/dbraw/zinc/42/52/97/1045425297.db2.gz DFZWZNVTPQNJOT-SFHVURJKSA-N 0 3 300.446 4.081 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)N1CCC[C@]2(CCOC2)C1 ZINC000449678212 1045426100 /nfs/dbraw/zinc/42/61/00/1045426100.db2.gz DZKAWBUXTQIZAS-CJNGLKHVSA-N 0 3 313.363 4.269 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@@H]3C[C@H]3C3CC3)cs2)cc1 ZINC000449743605 1045433718 /nfs/dbraw/zinc/43/37/18/1045433718.db2.gz ZMTZYVAUQNOXJA-BBRMVZONSA-N 0 3 302.418 4.085 20 0 DIADHN CCc1ncc(CN(C)[C@H]2C[C@H](c3cccc(OC)c3)C2)s1 ZINC000449751704 1045434492 /nfs/dbraw/zinc/43/44/92/1045434492.db2.gz AHXKMLZEQGQSEJ-SHTZXODSSA-N 0 3 316.470 4.092 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000449771571 1045435287 /nfs/dbraw/zinc/43/52/87/1045435287.db2.gz FOEXPVSBIKXMGY-ZFWWWQNUSA-N 0 3 308.491 4.253 20 0 DIADHN CC(C)N(Cc1cnc([C@H]2CCCO2)s1)C1CC(C)(C)C1 ZINC000449783931 1045437701 /nfs/dbraw/zinc/43/77/01/1045437701.db2.gz SUBZFIJMQLRJLA-OAHLLOKOSA-N 0 3 308.491 4.394 20 0 DIADHN CCC[C@H]1CCC[C@@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000450101094 1045469326 /nfs/dbraw/zinc/46/93/26/1045469326.db2.gz BYCRFDQKLMPHPJ-IRXDYDNUSA-N 0 3 302.462 4.212 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000450101095 1045469348 /nfs/dbraw/zinc/46/93/48/1045469348.db2.gz BYCRFDQKLMPHPJ-SJORKVTESA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)c1nc(C2CCN(Cc3ccc4cc[nH]c4c3)CC2)no1 ZINC000529527109 1045490636 /nfs/dbraw/zinc/49/06/36/1045490636.db2.gz BJQLWXHASYGWSZ-UHFFFAOYSA-N 0 3 324.428 4.054 20 0 DIADHN C[C@@H]1N(Cc2ccsc2C(F)(F)F)CCC12CCOCC2 ZINC000529545005 1045492067 /nfs/dbraw/zinc/49/20/67/1045492067.db2.gz YSVFYGJPDCXCJR-NSHDSACASA-N 0 3 319.392 4.158 20 0 DIADHN C[C@@H](CN(C)Cc1ccsc1C(F)(F)F)c1nccs1 ZINC000529544977 1045492122 /nfs/dbraw/zinc/49/21/22/1045492122.db2.gz XSQGHRLLHHNZIC-VIFPVBQESA-N 0 3 320.405 4.459 20 0 DIADHN COC[C@H]1CCCCN(Cc2ccsc2C(F)(F)F)C1 ZINC000529545756 1045493185 /nfs/dbraw/zinc/49/31/85/1045493185.db2.gz ZNWFKIAMZHPUCO-NSHDSACASA-N 0 3 307.381 4.015 20 0 DIADHN c1csc([C@H]2CCCN(Cc3ccccc3OC3CC3)C2)n1 ZINC000450335900 1045493685 /nfs/dbraw/zinc/49/36/85/1045493685.db2.gz MVVWDHYQSCLICD-HNNXBMFYSA-N 0 3 314.454 4.064 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1ccccn1)C1CC(F)(F)C1 ZINC000529536096 1045494265 /nfs/dbraw/zinc/49/42/65/1045494265.db2.gz JMBCVCUNSTULST-SUMWQHHRSA-N 0 3 302.368 4.194 20 0 DIADHN O[C@H]1CCCN(Cc2ccc(-c3ccccc3)c(Cl)c2)CC1 ZINC000529580123 1045496717 /nfs/dbraw/zinc/49/67/17/1045496717.db2.gz LKIQDUPUJSZZIZ-KRWDZBQOSA-N 0 3 315.844 4.354 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN(C)[C@@H](C)c1sc(C)nc1C ZINC000450386740 1045499866 /nfs/dbraw/zinc/49/98/66/1045499866.db2.gz FYBDOAYJKMKDGS-YOEHRIQHSA-N 0 3 318.486 4.010 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@H]1[C@H]1CCCOC1 ZINC000529611880 1045500991 /nfs/dbraw/zinc/50/09/91/1045500991.db2.gz ZETYPXOWYAZACG-STQMWFEESA-N 0 3 319.392 4.158 20 0 DIADHN COc1cccc2cc([C@H](C)NCCOC3CCCCC3)oc21 ZINC000389955172 1045509757 /nfs/dbraw/zinc/50/97/57/1045509757.db2.gz OGPWQTQTYUUOCX-AWEZNQCLSA-N 0 3 317.429 4.441 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(CC2=CCCOC2)CC1 ZINC000529668768 1045510616 /nfs/dbraw/zinc/51/06/16/1045510616.db2.gz NAMDDWHKCFTINH-UHFFFAOYSA-N 0 3 303.833 4.084 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307499852 1045511576 /nfs/dbraw/zinc/51/15/76/1045511576.db2.gz KASKIBJIIACHOQ-XJKSGUPXSA-N 0 3 303.837 4.146 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1ccc(F)cc1F ZINC000389992626 1045518061 /nfs/dbraw/zinc/51/80/61/1045518061.db2.gz MIPOQCLKKDCKRT-UHFFFAOYSA-N 0 3 305.368 4.050 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)C[C@H]1c1ccccc1)c1ccccc1 ZINC000077433982 1045519532 /nfs/dbraw/zinc/51/95/32/1045519532.db2.gz AYKULSSEJAPJMQ-AZUAARDMSA-N 0 3 322.452 4.295 20 0 DIADHN Cc1ccc2nccc(N3CCC4(CCC(O)CC4)CC3)c2c1 ZINC000450575458 1045520981 /nfs/dbraw/zinc/52/09/81/1045520981.db2.gz IRSSKKFYWPLSGB-UHFFFAOYSA-N 0 3 310.441 4.065 20 0 DIADHN FC1(F)CCCC[C@H]1CNC1(c2nccs2)CCCC1 ZINC000450574727 1045521180 /nfs/dbraw/zinc/52/11/80/1045521180.db2.gz BAIBTPFETCOHAT-LBPRGKRZSA-N 0 3 300.418 4.328 20 0 DIADHN Cc1ncc(CN(Cc2ccc(F)cc2)C2CCCCC2)o1 ZINC000628117781 1045526480 /nfs/dbraw/zinc/52/64/80/1045526480.db2.gz VBNQKPOFWZDLBM-UHFFFAOYSA-N 0 3 302.393 4.457 20 0 DIADHN Cc1ncc(CNC(C)(C)Cc2ccc(Cl)cc2Cl)o1 ZINC000628227029 1045547637 /nfs/dbraw/zinc/54/76/37/1045547637.db2.gz OEQVPBPEQSTKNJ-UHFFFAOYSA-N 0 3 313.228 4.401 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cnc3ccccc3n2)cc1 ZINC000628240031 1045551432 /nfs/dbraw/zinc/55/14/32/1045551432.db2.gz NZNWMVJFJGWTDH-OAHLLOKOSA-N 0 3 323.465 4.209 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3cnc(C4CC4)o3)CC2)cc1 ZINC000628234595 1045551627 /nfs/dbraw/zinc/55/16/27/1045551627.db2.gz GPUAZLSHBVWYHQ-AWEZNQCLSA-N 0 3 314.404 4.461 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2[nH]ccc2c1)c1ccccc1 ZINC000628253816 1045555552 /nfs/dbraw/zinc/55/55/52/1045555552.db2.gz YIZKWCYSHSIWNX-LJQANCHMSA-N 0 3 308.425 4.017 20 0 DIADHN C[C@@H]1CC(NCC(=O)c2ccc(Br)cc2)C[C@@H](C)C1 ZINC001258152779 1045555993 /nfs/dbraw/zinc/55/59/93/1045555993.db2.gz WCGMUXROTMPARO-RYUDHWBXSA-N 0 3 324.262 4.046 20 0 DIADHN c1ccc([C@H]2CCCC[C@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000450767431 1045559777 /nfs/dbraw/zinc/55/97/77/1045559777.db2.gz UUJWXNUWKCVVAS-HZPDHXFCSA-N 0 3 313.470 4.232 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)o1 ZINC000628260358 1045560505 /nfs/dbraw/zinc/56/05/05/1045560505.db2.gz DRWAQZGBFCTYFQ-HAQNSBGRSA-N 0 3 311.212 4.326 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)o1 ZINC000628323943 1045568322 /nfs/dbraw/zinc/56/83/22/1045568322.db2.gz WATDZFAZRYPZPV-KRWDZBQOSA-N 0 3 304.821 4.234 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2ccccc2Cl)o1 ZINC000628349442 1045570339 /nfs/dbraw/zinc/57/03/39/1045570339.db2.gz ISEIYYHWZLDWKU-QGZVFWFLSA-N 0 3 304.821 4.234 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000008118452 1045575278 /nfs/dbraw/zinc/57/52/78/1045575278.db2.gz CMSJPZUNFAUQIE-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000008118521 1045575708 /nfs/dbraw/zinc/57/57/08/1045575708.db2.gz MFIWDLRVMXHNRM-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1Cl)N1C[C@@H](C)[C@H](CO)C1 ZINC000450848365 1045576877 /nfs/dbraw/zinc/57/68/77/1045576877.db2.gz WXYOSOZBKRTUJA-ZETOZRRWSA-N 0 3 302.245 4.005 20 0 DIADHN O=C(Nc1ccc2occc2c1)c1cccc(CN2CCCC2)c1 ZINC000530003625 1045578297 /nfs/dbraw/zinc/57/82/97/1045578297.db2.gz CJCKDPQMSVOHCZ-UHFFFAOYSA-N 0 3 320.392 4.281 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCCC(F)(F)CC2)c1 ZINC000628422209 1045581143 /nfs/dbraw/zinc/58/11/43/1045581143.db2.gz SHMYJNFYKFZGON-UHFFFAOYSA-N 0 3 305.372 4.006 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCCC(F)(F)CC2)cc1 ZINC000628422284 1045581277 /nfs/dbraw/zinc/58/12/77/1045581277.db2.gz VVBRUMARHBJWIK-UHFFFAOYSA-N 0 3 305.372 4.006 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](C)NC(=O)OC(C)(C)C)c1ccccc1F ZINC000381703486 1045583208 /nfs/dbraw/zinc/58/32/08/1045583208.db2.gz HJCGHPGUWOEFAM-ZENOOKHLSA-N 0 3 324.440 4.168 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000628494956 1045590756 /nfs/dbraw/zinc/59/07/56/1045590756.db2.gz DYPSTFBEJKNIBV-PWFUPBTHSA-N 0 3 306.475 4.173 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(CCc2cscn2)CC1 ZINC000450977571 1045605124 /nfs/dbraw/zinc/60/51/24/1045605124.db2.gz VVLUVGUYCTYJQR-QGZVFWFLSA-N 0 3 322.424 4.248 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(CCc2cscn2)CC1 ZINC000450977570 1045605454 /nfs/dbraw/zinc/60/54/54/1045605454.db2.gz VVLUVGUYCTYJQR-KRWDZBQOSA-N 0 3 322.424 4.248 20 0 DIADHN O[C@@H](CN1CC[C@@H](c2cccc(F)c2)C1)c1ccccc1Cl ZINC000800338786 1045609852 /nfs/dbraw/zinc/60/98/52/1045609852.db2.gz WYBPNHYCFPFZPS-KDOFPFPSSA-N 0 3 319.807 4.002 20 0 DIADHN COCCCCCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000451012849 1045611296 /nfs/dbraw/zinc/61/12/96/1045611296.db2.gz DYHKRVNTRHWMBQ-INIZCTEOSA-N 0 3 321.852 4.170 20 0 DIADHN O[C@@H](CNC1(c2cccc(Cl)c2)CC1)c1ccccc1Cl ZINC000800368201 1045611873 /nfs/dbraw/zinc/61/18/73/1045611873.db2.gz FJEGJPDOUYIRDR-INIZCTEOSA-N 0 3 322.235 4.306 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc([C@@H](C)O)cc1 ZINC000190849625 1045618947 /nfs/dbraw/zinc/61/89/47/1045618947.db2.gz GDBOPMWMUCRUEU-CYBMUJFWSA-N 0 3 323.358 4.357 20 0 DIADHN CCc1nnc(CN[C@@H]2C[C@@H](C)C[C@H](c3ccccc3)C2)s1 ZINC000391847335 1045622389 /nfs/dbraw/zinc/62/23/89/1045622389.db2.gz ZDQYQXORCJNGIV-CWRNSKLLSA-N 0 3 315.486 4.163 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C[C@@H](O)c2ccc(F)cc2)oc1C ZINC000800478809 1045626027 /nfs/dbraw/zinc/62/60/27/1045626027.db2.gz AADGBIYAGBIUFA-IIYDPXPESA-N 0 3 305.393 4.198 20 0 DIADHN CCOc1c(CN(CC2CC2)C2CCC2)c(C)nn1CC(C)C ZINC000475669172 1045628257 /nfs/dbraw/zinc/62/82/57/1045628257.db2.gz HEGOBBBKVSDYOV-UHFFFAOYSA-N 0 3 319.493 4.011 20 0 DIADHN CCCc1nc(C)c(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)o1 ZINC000451139468 1045628937 /nfs/dbraw/zinc/62/89/37/1045628937.db2.gz AANSHMXJLSIWIK-CYZMBNFOSA-N 0 3 318.383 4.487 20 0 DIADHN Cc1cc([C@@H](C)NC[C@](C)(O)c2cccc(Cl)c2)oc1C ZINC000800490084 1045630138 /nfs/dbraw/zinc/63/01/38/1045630138.db2.gz HBOXILKSXXGPBN-PXAZEXFGSA-N 0 3 307.821 4.108 20 0 DIADHN CC(=O)c1ccc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)cc1 ZINC000451146800 1045633389 /nfs/dbraw/zinc/63/33/89/1045633389.db2.gz MCJHAGKFKDFSSP-HWWQOWPSSA-N 0 3 313.363 4.441 20 0 DIADHN CCCCCC[C@H]1CCC[C@@H]1NCc1ncnn1C(C)(C)C ZINC000800498871 1045635638 /nfs/dbraw/zinc/63/56/38/1045635638.db2.gz AQPRDILOFHTLHO-HOTGVXAUSA-N 0 3 306.498 4.262 20 0 DIADHN CSCCNC(C)(C)c1nc(-c2ccccc2F)c(C)s1 ZINC000451165393 1045637634 /nfs/dbraw/zinc/63/76/34/1045637634.db2.gz KPVXFRHYVBWBIE-UHFFFAOYSA-N 0 3 324.490 4.445 20 0 DIADHN c1ccc([C@@H]2CN(Cc3ccncc3)Cc3ccccc32)cc1 ZINC000303862587 1045658570 /nfs/dbraw/zinc/65/85/70/1045658570.db2.gz GVRKGFDOFGNYLF-NRFANRHFSA-N 0 3 300.405 4.229 20 0 DIADHN COc1cc(C)cc(CNCc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000752107380 1045659927 /nfs/dbraw/zinc/65/99/27/1045659927.db2.gz PURFRPPQRDGFIN-UHFFFAOYSA-N 0 3 311.425 4.007 20 0 DIADHN C[C@H](CCO)N[C@@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000779396103 1045661336 /nfs/dbraw/zinc/66/13/36/1045661336.db2.gz HUAYIEZDRVKBKJ-PXAZEXFGSA-N 0 3 324.251 4.443 20 0 DIADHN Fc1cccc([C@@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)c1 ZINC000530465650 1045673661 /nfs/dbraw/zinc/67/36/61/1045673661.db2.gz BUTPZYCVIUJWNN-ROUUACIJSA-N 0 3 303.421 4.454 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000451375431 1045674592 /nfs/dbraw/zinc/67/45/92/1045674592.db2.gz UUEBRTOVLMWVNN-FSIPBHDLSA-N 0 3 300.446 4.177 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(Cl)c1)[C@H](O)c1ccc(F)cc1 ZINC000191292678 1045675689 /nfs/dbraw/zinc/67/56/89/1045675689.db2.gz GVZVTLASNIGXGG-WMFXKJRFSA-N 0 3 313.825 4.313 20 0 DIADHN Cc1cccc([C@H](O)CNC2c3ccccc3-c3ccccc32)c1 ZINC000779411453 1045678622 /nfs/dbraw/zinc/67/86/22/1045678622.db2.gz FSZJHNJRXLYRAP-OAQYLSRUSA-N 0 3 315.416 4.388 20 0 DIADHN CC1(C)[C@H](NCc2nc(C3CCCCC3)cs2)[C@@H]2CCO[C@@H]21 ZINC000451471267 1045688804 /nfs/dbraw/zinc/68/88/04/1045688804.db2.gz OWZXLVQIBVUQJE-XKQJLSEDSA-N 0 3 320.502 4.094 20 0 DIADHN CCO[C@@H]1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)C[C@H]1C ZINC000451522422 1045691909 /nfs/dbraw/zinc/69/19/09/1045691909.db2.gz HENDMXIYAMQIBV-DNVCBOLYSA-N 0 3 317.473 4.061 20 0 DIADHN CCO[C@H]1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)C[C@@H]1C ZINC000451522426 1045692497 /nfs/dbraw/zinc/69/24/97/1045692497.db2.gz HENDMXIYAMQIBV-KXBFYZLASA-N 0 3 317.473 4.061 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2cscc2Cl)C1(C)C ZINC001461281367 1045697865 /nfs/dbraw/zinc/69/78/65/1045697865.db2.gz AJLINBQBDDJRJH-ZIAGYGMSSA-N 0 3 301.883 4.475 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@H](C)C(C)C)cs1 ZINC000530628137 1045701214 /nfs/dbraw/zinc/70/12/14/1045701214.db2.gz BCUDIVFHNGJXJW-CYBMUJFWSA-N 0 3 304.459 4.295 20 0 DIADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2ccc(-c3cnoc3)cc2)cc1 ZINC000629734022 1045705661 /nfs/dbraw/zinc/70/56/61/1045705661.db2.gz LKHOTOLOWNIZQB-VBKZILBWSA-N 0 3 320.392 4.058 20 0 DIADHN Fc1cccc(CNC[C@@H]2SCCc3ccccc32)c1F ZINC000530674446 1045712772 /nfs/dbraw/zinc/71/27/72/1045712772.db2.gz BLTNCHJOQMBDBF-INIZCTEOSA-N 0 3 305.393 4.085 20 0 DIADHN c1ccc([C@@H]2CCCN2CCOc2ccc3ccccc3c2)nc1 ZINC000530724999 1045719907 /nfs/dbraw/zinc/71/99/07/1045719907.db2.gz AQPZZFGHTKGCJE-NRFANRHFSA-N 0 3 318.420 4.451 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2C[C@H](c3ccc(Cl)cc3)C2)c1 ZINC000475672502 1045722020 /nfs/dbraw/zinc/72/20/20/1045722020.db2.gz UAGBFOIPKICEOG-SAZUREKKSA-N 0 3 305.780 4.221 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1ccc(SC(F)F)cc1 ZINC000779433705 1045722629 /nfs/dbraw/zinc/72/26/29/1045722629.db2.gz BPMSRWDSXZLJCL-UHFFFAOYSA-N 0 3 309.381 4.339 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1cccc(Br)n1 ZINC000779433825 1045723821 /nfs/dbraw/zinc/72/38/21/1045723821.db2.gz HIOBYGIWJQADBD-ZDUSSCGKSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1cccc([C@@H](NCCc2ccc(F)cc2)c2ccccn2)c1 ZINC000779438581 1045730269 /nfs/dbraw/zinc/73/02/69/1045730269.db2.gz HOEQEZYKLCHKEQ-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccn1)C(C)C)c1ccc(Cl)cc1 ZINC000801531122 1045732703 /nfs/dbraw/zinc/73/27/03/1045732703.db2.gz LYSSEFONOVCCRS-QZTJIDSGSA-N 0 3 318.848 4.409 20 0 DIADHN CO[C@H](Cc1ccccc1)CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451864301 1045736362 /nfs/dbraw/zinc/73/63/62/1045736362.db2.gz WWVYKMCUNWIHCG-MJGOQNOKSA-N 0 3 311.425 4.064 20 0 DIADHN CO[C@H](Cc1ccccc1)CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451864299 1045737266 /nfs/dbraw/zinc/73/72/66/1045737266.db2.gz WWVYKMCUNWIHCG-IEBWSBKVSA-N 0 3 311.425 4.064 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3ccccc3Cl)n2)cc1 ZINC000530871820 1045743363 /nfs/dbraw/zinc/74/33/63/1045743363.db2.gz ALBNCFSCGRCVMU-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN(C1CC1)C1CCCC1 ZINC000530871435 1045743505 /nfs/dbraw/zinc/74/35/05/1045743505.db2.gz RFKHYSBEFDJYAU-UHFFFAOYSA-N 0 3 301.430 4.195 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCC[C@@]2(CCOC2)C1 ZINC000451909530 1045744537 /nfs/dbraw/zinc/74/45/37/1045744537.db2.gz XEDLZTZIAXDFDM-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnc(-c3ccncc3)s2)s1 ZINC000753365171 1045749586 /nfs/dbraw/zinc/74/95/86/1045749586.db2.gz DIFCDVPTNBQSGE-LBPRGKRZSA-N 0 3 315.467 4.426 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCOCC(F)(F)F)c(F)c1 ZINC000451965811 1045752514 /nfs/dbraw/zinc/75/25/14/1045752514.db2.gz LCJHNEJGQYDXPK-CQSZACIVSA-N 0 3 323.305 4.071 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1cccc(C(C)(C)C)c1 ZINC001168134027 1045756675 /nfs/dbraw/zinc/75/66/75/1045756675.db2.gz IDHZVJGIVDWEKE-LJQANCHMSA-N 0 3 311.473 4.363 20 0 DIADHN CCc1ccc(CN(C)[C@H]2C[C@H](c3cccc(OC)c3)C2)nc1 ZINC000452011452 1045757049 /nfs/dbraw/zinc/75/70/49/1045757049.db2.gz DDAHJJHBBRVWEV-UAPYVXQJSA-N 0 3 310.441 4.031 20 0 DIADHN Clc1ccccc1CCNCc1nc2c(s1)CCCC2 ZINC001648974082 1045758095 /nfs/dbraw/zinc/75/80/95/1045758095.db2.gz VBTLEPGOVSVFKC-UHFFFAOYSA-N 0 3 306.862 4.008 20 0 DIADHN C[C@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1ccccc1Cl ZINC000753539592 1045758560 /nfs/dbraw/zinc/75/85/60/1045758560.db2.gz RQPZWUXMTUOXNH-UONOGXRCSA-N 0 3 308.853 4.037 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCc2ccncc2)c(F)c1 ZINC000451969771 1045758766 /nfs/dbraw/zinc/75/87/66/1045758766.db2.gz KYRGJABJFOWTRG-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3ccc(C(C)=O)cc3)C2)c1 ZINC000452020262 1045759968 /nfs/dbraw/zinc/75/99/68/1045759968.db2.gz REWODXRMTDKRMH-MXVIHJGJSA-N 0 3 323.436 4.276 20 0 DIADHN c1ccc([C@H]2CCCN2CCOc2cccc3ccccc32)nc1 ZINC000530962863 1045762129 /nfs/dbraw/zinc/76/21/29/1045762129.db2.gz KJKUMSWCMUXFEY-HXUWFJFHSA-N 0 3 318.420 4.451 20 0 DIADHN Cc1ccc(CNCc2c(C)cccc2F)c(-c2ccnn2C)c1 ZINC000801724037 1045783157 /nfs/dbraw/zinc/78/31/57/1045783157.db2.gz DNDPHBFHVJIBER-UHFFFAOYSA-N 0 3 323.415 4.133 20 0 DIADHN Cc1ccc(CNCc2c(C)cccc2F)c(-c2cnn(C)c2)c1 ZINC000801724249 1045783938 /nfs/dbraw/zinc/78/39/38/1045783938.db2.gz IVURASPEHGGGKF-UHFFFAOYSA-N 0 3 323.415 4.133 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccccc2N(C)C)cc1C ZINC000753680906 1045788621 /nfs/dbraw/zinc/78/86/21/1045788621.db2.gz YLAZHUAULJFQFR-MRXNPFEDSA-N 0 3 312.457 4.229 20 0 DIADHN CCC(=O)CCN1CCC(Oc2ccc(C(C)C)cc2)CC1 ZINC000753705706 1045793476 /nfs/dbraw/zinc/79/34/76/1045793476.db2.gz WOGMJICCQVGSGY-UHFFFAOYSA-N 0 3 303.446 4.022 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1cccnc1Cl ZINC000753746601 1045798632 /nfs/dbraw/zinc/79/86/32/1045798632.db2.gz WIMMZCZZOAEMNL-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN CC[C@H](NCc1ccc(C(F)F)nc1)c1ccc(OC)cc1 ZINC000631033881 1045800263 /nfs/dbraw/zinc/80/02/63/1045800263.db2.gz BNFZTHZRVMIUER-HNNXBMFYSA-N 0 3 306.356 4.269 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1C ZINC000631037849 1045802317 /nfs/dbraw/zinc/80/23/17/1045802317.db2.gz YOUNESHYTFGWNT-GOSISDBHSA-N 0 3 302.393 4.025 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc(C(F)F)nc1 ZINC000631108969 1045816942 /nfs/dbraw/zinc/81/69/42/1045816942.db2.gz WGJFQYSJYSSRJT-MEDUHNTESA-N 0 3 324.346 4.024 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)c1C ZINC000631101685 1045817659 /nfs/dbraw/zinc/81/76/59/1045817659.db2.gz JLXDNRRDKTWBMF-LJQANCHMSA-N 0 3 314.404 4.087 20 0 DIADHN C[C@@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc2ccccc2c1 ZINC000801874872 1045819799 /nfs/dbraw/zinc/81/97/99/1045819799.db2.gz LAUUJRDKDVLOFB-OAHLLOKOSA-N 0 3 323.436 4.318 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@@H]2C(C)C)cs1 ZINC000531344842 1045827636 /nfs/dbraw/zinc/82/76/36/1045827636.db2.gz PMQNQLYTCDTYEL-MRXNPFEDSA-N 0 3 316.470 4.439 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCCc3c(C)cccc32)c1 ZINC000631176383 1045829128 /nfs/dbraw/zinc/82/91/28/1045829128.db2.gz BSDYARIFQDOSJP-HXUWFJFHSA-N 0 3 308.425 4.121 20 0 DIADHN Cc1ccsc1CN[C@@H](CCO)c1ccc(Cl)c(F)c1 ZINC000631190934 1045830249 /nfs/dbraw/zinc/83/02/49/1045830249.db2.gz KOGYFFCGEUDHOG-AWEZNQCLSA-N 0 3 313.825 4.062 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000801920488 1045841680 /nfs/dbraw/zinc/84/16/80/1045841680.db2.gz QPCNFBOXKPGQTQ-RTBURBONSA-N 0 3 317.379 4.489 20 0 DIADHN Cn1cc(CNCc2cccc3[nH]ccc32)c(C2CCCCC2)n1 ZINC000631307532 1045849637 /nfs/dbraw/zinc/84/96/37/1045849637.db2.gz MBLHPLMTRZYFLA-UHFFFAOYSA-N 0 3 322.456 4.239 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCC2(C)OCCCO2)cc1 ZINC000754123859 1045849575 /nfs/dbraw/zinc/84/95/75/1045849575.db2.gz QJRZMIWCVRJJGM-MRXNPFEDSA-N 0 3 321.461 4.059 20 0 DIADHN C[C@@H](NCC1(C)OCCCO1)c1ccc(-c2ccccc2)s1 ZINC000754125143 1045850637 /nfs/dbraw/zinc/85/06/37/1045850637.db2.gz WJVFRPRQTKTOMM-CQSZACIVSA-N 0 3 317.454 4.219 20 0 DIADHN CC(C)(C)C[C@@H](NCC1(C)OCCCO1)c1ccc(F)cc1 ZINC000754123936 1045851160 /nfs/dbraw/zinc/85/11/60/1045851160.db2.gz RLFPQMITQDATMR-MRXNPFEDSA-N 0 3 309.425 4.046 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NCc1ccc2oc3ccccc3c2c1 ZINC000754134681 1045852245 /nfs/dbraw/zinc/85/22/45/1045852245.db2.gz NPYQIRQVAWZLQN-ZDUSSCGKSA-N 0 3 319.408 4.479 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NCc1ccc2oc3ccccc3c2c1 ZINC000754134677 1045853082 /nfs/dbraw/zinc/85/30/82/1045853082.db2.gz NPYQIRQVAWZLQN-CYBMUJFWSA-N 0 3 319.408 4.479 20 0 DIADHN CC(C)(C)SCCN1CC=C(c2ccc(Cl)nc2)CC1 ZINC000801939557 1045853467 /nfs/dbraw/zinc/85/34/67/1045853467.db2.gz GDMFRZIODJZBBV-UHFFFAOYSA-N 0 3 310.894 4.356 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H]1CS[C@@H](C(C)(C)C)C1)CCC2 ZINC000754148464 1045854254 /nfs/dbraw/zinc/85/42/54/1045854254.db2.gz IQUPBJUTICEEJU-ARFHVFGLSA-N 0 3 321.534 4.351 20 0 DIADHN C[C@H](N[C@H]1CCCc2ncccc21)c1ccc(OC(F)F)cc1 ZINC000631568772 1045871795 /nfs/dbraw/zinc/87/17/95/1045871795.db2.gz YLRCVAZCIJCNKD-SJCJKPOMSA-N 0 3 318.367 4.411 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H]1CCCOc2ccccc21 ZINC000631577129 1045873093 /nfs/dbraw/zinc/87/30/93/1045873093.db2.gz PJAJLKHAQNQYRQ-RBUKOAKNSA-N 0 3 309.409 4.186 20 0 DIADHN Brc1ccc(CN[C@H]2CCCc3ncccc32)cc1 ZINC000631576156 1045873387 /nfs/dbraw/zinc/87/33/87/1045873387.db2.gz GPDJZNTYNJLPPY-INIZCTEOSA-N 0 3 317.230 4.011 20 0 DIADHN CC(C)n1ccc(CN[C@H](C)CCc2c[nH]c3ccccc23)n1 ZINC000631581815 1045877018 /nfs/dbraw/zinc/87/70/18/1045877018.db2.gz OWSBQPPKRQYYKI-OAHLLOKOSA-N 0 3 310.445 4.056 20 0 DIADHN CCC[C@@H](N[C@H](CCCO)c1ccccc1)c1cc(C)ccn1 ZINC000631581567 1045877261 /nfs/dbraw/zinc/87/72/61/1045877261.db2.gz GQPFFZHHRORGIA-RTBURBONSA-N 0 3 312.457 4.335 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccncc1)c1cccc(OC)c1OC ZINC000631580585 1045877938 /nfs/dbraw/zinc/87/79/38/1045877938.db2.gz BADGNEDQZSQFSV-DLBZAZTESA-N 0 3 314.429 4.291 20 0 DIADHN CC[C@](C)(NCc1c[nH]c(-c2ccccc2)n1)c1ccccc1 ZINC000754341955 1045878235 /nfs/dbraw/zinc/87/82/35/1045878235.db2.gz NSOKUFAOSDXLLH-FQEVSTJZSA-N 0 3 305.425 4.492 20 0 DIADHN CC[C@](C)(NCc1cnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000754341955 1045878248 /nfs/dbraw/zinc/87/82/48/1045878248.db2.gz NSOKUFAOSDXLLH-FQEVSTJZSA-N 0 3 305.425 4.492 20 0 DIADHN CCCC[C@@H](NCc1nnc(-c2cccc(F)c2)o1)C1CCC1 ZINC000754356421 1045880252 /nfs/dbraw/zinc/88/02/52/1045880252.db2.gz AQNMWVBVLAMQBK-MRXNPFEDSA-N 0 3 317.408 4.324 20 0 DIADHN CCCC[C@H](NCc1nnc(-c2cccc(F)c2)o1)C1CCC1 ZINC000754356419 1045880873 /nfs/dbraw/zinc/88/08/73/1045880873.db2.gz AQNMWVBVLAMQBK-INIZCTEOSA-N 0 3 317.408 4.324 20 0 DIADHN CCC[C@H](NCc1ccccc1-n1cccn1)c1cc(C)ccn1 ZINC000631599473 1045883239 /nfs/dbraw/zinc/88/32/39/1045883239.db2.gz KGNPCRAYCFHBMH-SFHVURJKSA-N 0 3 320.440 4.207 20 0 DIADHN CC1CCN(CC(=O)N2CCC[C@@H]2CCC2CCCCC2)CC1 ZINC001137526786 1045887167 /nfs/dbraw/zinc/88/71/67/1045887167.db2.gz GSAWXLVVWPZNRQ-LJQANCHMSA-N 0 3 320.521 4.070 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](N[C@H]1CCCc3ncccc31)CCC2 ZINC000631632679 1045892821 /nfs/dbraw/zinc/89/28/21/1045892821.db2.gz ABZAVDXYXWLMPZ-RBUKOAKNSA-N 0 3 314.379 4.404 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000631655762 1045897748 /nfs/dbraw/zinc/89/77/48/1045897748.db2.gz YARGTUIQXXWYPR-JGFGOQIWSA-N 0 3 307.340 4.047 20 0 DIADHN c1ccc(CC[C@H](N[C@@H]2COC3(CCC3)C2)c2ccccn2)cc1 ZINC000631647946 1045898684 /nfs/dbraw/zinc/89/86/84/1045898684.db2.gz YAFIKLQXDRXWAC-ICSRJNTNSA-N 0 3 322.452 4.057 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H](c1ccccn1)C1CCC1 ZINC000631653306 1045898998 /nfs/dbraw/zinc/89/89/98/1045898998.db2.gz WBEQYGANXGVOSM-UYAOXDASSA-N 0 3 308.425 4.209 20 0 DIADHN O=c1[nH]cccc1CN[C@@H](c1ccc(F)cc1)C1CCCCC1 ZINC000631650443 1045899155 /nfs/dbraw/zinc/89/91/55/1045899155.db2.gz GBPLEWWLCHFERX-GOSISDBHSA-N 0 3 314.404 4.338 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1cc2ccccc2o1 ZINC000754582751 1045900101 /nfs/dbraw/zinc/90/01/01/1045900101.db2.gz JBTPJZAMMLYPMN-WBMJQRKESA-N 0 3 315.800 4.470 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000631666333 1045900698 /nfs/dbraw/zinc/90/06/98/1045900698.db2.gz XIEDKPDPTMBFJZ-RBUKOAKNSA-N 0 3 308.425 4.102 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000631666331 1045900807 /nfs/dbraw/zinc/90/08/07/1045900807.db2.gz XIEDKPDPTMBFJZ-MOPGFXCFSA-N 0 3 308.425 4.102 20 0 DIADHN COCCc1nc(C)c([C@H](C)NCc2csc(C)c2C)s1 ZINC000631681861 1045903126 /nfs/dbraw/zinc/90/31/26/1045903126.db2.gz ILPBMXQSVLAJPJ-NSHDSACASA-N 0 3 324.515 4.170 20 0 DIADHN CCN(Cc1cnc(Cl)s1)[C@H](C)Cc1ccc(OC)cc1 ZINC000079321676 1045903752 /nfs/dbraw/zinc/90/37/52/1045903752.db2.gz RQRYPGIGJOLARD-GFCCVEGCSA-N 0 3 324.877 4.258 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(C)c2)s1 ZINC000754660354 1045905378 /nfs/dbraw/zinc/90/53/78/1045905378.db2.gz ULWPYALJVOYTNE-HUUCEWRRSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(C)c2)s1 ZINC000754660358 1045905430 /nfs/dbraw/zinc/90/54/30/1045905430.db2.gz ULWPYALJVOYTNE-LSDHHAIUSA-N 0 3 316.470 4.351 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H](C)c1cnc(C)s1 ZINC000631706845 1045906486 /nfs/dbraw/zinc/90/64/86/1045906486.db2.gz BNMHOAUSVZGZSR-IAQYHMDHSA-N 0 3 302.443 4.188 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000631703460 1045907725 /nfs/dbraw/zinc/90/77/25/1045907725.db2.gz OYNOWQPRRBZYMM-GJRWTSMGSA-N 0 3 312.413 4.090 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cc(F)ccc2F)s1 ZINC000754668424 1045907979 /nfs/dbraw/zinc/90/79/79/1045907979.db2.gz DLGPEGIGNHGFGQ-QWRGUYRKSA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2)s1 ZINC000754667803 1045908706 /nfs/dbraw/zinc/90/87/06/1045908706.db2.gz LUSSFVIYHMIXQL-KGLIPLIRSA-N 0 3 302.443 4.043 20 0 DIADHN Cc1ccc(CN[C@@H](C)CC(=O)Nc2ccccc2C(C)C)o1 ZINC000631728319 1045908827 /nfs/dbraw/zinc/90/88/27/1045908827.db2.gz OIGVYZPLYYFLAS-AWEZNQCLSA-N 0 3 314.429 4.218 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(C)s1 ZINC000754671870 1045909890 /nfs/dbraw/zinc/90/98/90/1045909890.db2.gz RLTCBWDPPBWDOY-ZIAGYGMSSA-N 0 3 316.470 4.297 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2cccc3ncccc23)cc1 ZINC001137628097 1045910033 /nfs/dbraw/zinc/91/00/33/1045910033.db2.gz NSJIWQFLZYMDHW-LJQANCHMSA-N 0 3 318.420 4.026 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2C)cc1 ZINC000531738189 1045910607 /nfs/dbraw/zinc/91/06/07/1045910607.db2.gz VOVJGPRCUJRIBH-KDOFPFPSSA-N 0 3 301.455 4.101 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1ccc(Cl)c(F)c1 ZINC000631730183 1045910634 /nfs/dbraw/zinc/91/06/34/1045910634.db2.gz WQVNNIMRTGSFJG-GFCCVEGCSA-N 0 3 319.807 4.403 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc3c2CCOC3)cc1 ZINC000631730030 1045910697 /nfs/dbraw/zinc/91/06/97/1045910697.db2.gz MJGKUFRXIWEFED-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1cc2ccccc2o1 ZINC000631729967 1045911506 /nfs/dbraw/zinc/91/15/06/1045911506.db2.gz LYHAKWIVFJMARK-CQSZACIVSA-N 0 3 307.393 4.356 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1)c1nc2ccccc2s1 ZINC000754703492 1045914542 /nfs/dbraw/zinc/91/45/42/1045914542.db2.gz JOOCSDVEZLOJLQ-LLVKDONJSA-N 0 3 318.392 4.468 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccc(F)c1 ZINC000631737299 1045914698 /nfs/dbraw/zinc/91/46/98/1045914698.db2.gz LJJASGZNVNHHSI-IAQYHMDHSA-N 0 3 314.360 4.474 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cs1 ZINC000631737478 1045915163 /nfs/dbraw/zinc/91/51/63/1045915163.db2.gz PODQWXDMBRSQGD-QWRGUYRKSA-N 0 3 302.399 4.315 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccc(F)c1 ZINC000631737301 1045916261 /nfs/dbraw/zinc/91/62/61/1045916261.db2.gz LJJASGZNVNHHSI-XHDPSFHLSA-N 0 3 314.360 4.474 20 0 DIADHN CC[C@H](NCc1c(OC)ccc2ccccc21)c1ccncc1 ZINC000453074090 1045918100 /nfs/dbraw/zinc/91/81/00/1045918100.db2.gz OECRGARDMOHSTD-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN COc1ccc(CN[C@H]2CCCC(F)(F)C2)c(Cl)c1OC ZINC000531758723 1045918358 /nfs/dbraw/zinc/91/83/58/1045918358.db2.gz DTXACHSNGLSBHV-NSHDSACASA-N 0 3 319.779 4.025 20 0 DIADHN C[C@@H](NCCc1ccsc1)c1ncc(-c2ccccc2)n1C ZINC000453071908 1045918643 /nfs/dbraw/zinc/91/86/43/1045918643.db2.gz MTPQVKKOCABPOO-CQSZACIVSA-N 0 3 311.454 4.042 20 0 DIADHN C[C@H](NCCc1cccs1)c1ncc(-c2ccccc2)n1C ZINC000453056483 1045920911 /nfs/dbraw/zinc/92/09/11/1045920911.db2.gz LFRUOFOJESAENI-AWEZNQCLSA-N 0 3 311.454 4.042 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc3[nH]ccc31)CCCO2 ZINC000631806648 1045921960 /nfs/dbraw/zinc/92/19/60/1045921960.db2.gz BRSOMRQSAWAFEO-IBGZPJMESA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccc(CN[C@H]2CC[C@@H](C)c3ccsc32)c(OC)c1 ZINC000453061399 1045922137 /nfs/dbraw/zinc/92/21/37/1045922137.db2.gz OAIXVWCXVXXVFY-WBMJQRKESA-N 0 3 317.454 4.494 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3N[C@@H]1CCCn2nccc21 ZINC000631809148 1045922884 /nfs/dbraw/zinc/92/28/84/1045922884.db2.gz GBACPRGBBRIXEU-QZTJIDSGSA-N 0 3 320.440 4.175 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1ccc(OC(C)(C)C)cc1 ZINC000631810610 1045924213 /nfs/dbraw/zinc/92/42/13/1045924213.db2.gz WVALJLGICNFMKG-PBHICJAKSA-N 0 3 313.445 4.246 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2N[C@H]1CCCn2nccc21 ZINC000631810355 1045924826 /nfs/dbraw/zinc/92/48/26/1045924826.db2.gz SITDZHKZWYEECW-HOTGVXAUSA-N 0 3 301.821 4.039 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1cc2c(s1)CCCC2 ZINC000631810097 1045924981 /nfs/dbraw/zinc/92/49/81/1045924981.db2.gz QHGAYEHVANWUEU-GXTWGEPZSA-N 0 3 301.459 4.009 20 0 DIADHN CNc1ccccc1CNCc1cccc(COC(C)(C)C)c1 ZINC000902154962 1045925219 /nfs/dbraw/zinc/92/52/19/1045925219.db2.gz BDUDPIWFYOYOGW-UHFFFAOYSA-N 0 3 312.457 4.333 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000828655405 1045925892 /nfs/dbraw/zinc/92/58/92/1045925892.db2.gz BVRBDKGRJPQVTA-ZANVPECISA-N 0 3 306.208 4.069 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccccc3F)CC2)c(C)o1 ZINC000779603608 1045926371 /nfs/dbraw/zinc/92/63/71/1045926371.db2.gz HVFQWZMGBKAQBK-UHFFFAOYSA-N 0 3 317.404 4.217 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccc(F)cc3)CC2)c(C)o1 ZINC000779604943 1045926511 /nfs/dbraw/zinc/92/65/11/1045926511.db2.gz MMPMQABLZQCXAM-UHFFFAOYSA-N 0 3 317.404 4.217 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccncc1 ZINC000453079656 1045927156 /nfs/dbraw/zinc/92/71/56/1045927156.db2.gz SKZZZJIJQJFEFN-LIRRHRJNSA-N 0 3 323.440 4.232 20 0 DIADHN Cc1cccc(CN2CCC(CC(=O)OC(C)(C)C)CC2)c1F ZINC001137678344 1045929476 /nfs/dbraw/zinc/92/94/76/1045929476.db2.gz JLIIFQMLQIWKPK-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc3oc4ccccc4c3c2)cn1 ZINC000754868392 1045935039 /nfs/dbraw/zinc/93/50/39/1045935039.db2.gz IRKLQYBFGIFINJ-UHFFFAOYSA-N 0 3 319.408 4.344 20 0 DIADHN Cc1cnc([C@H](NC[C@]2(C)CC2(Cl)Cl)C2CC2)s1 ZINC000828808192 1045935440 /nfs/dbraw/zinc/93/54/40/1045935440.db2.gz HLWDFRSQQQMMJA-PWSUYJOCSA-N 0 3 305.274 4.076 20 0 DIADHN C[C@H](CCc1ccco1)N[C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453100945 1045936760 /nfs/dbraw/zinc/93/67/60/1045936760.db2.gz XOHVPFAWUORWGS-CVEARBPZSA-N 0 3 323.440 4.352 20 0 DIADHN CSC[C@@H](C)N[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453105383 1045936842 /nfs/dbraw/zinc/93/68/42/1045936842.db2.gz BLEVIKPPBWTTJN-ZIAGYGMSSA-N 0 3 302.443 4.276 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000828903785 1045941070 /nfs/dbraw/zinc/94/10/70/1045941070.db2.gz JTLIAJLLLXOLRZ-YGRLFVJLSA-N 0 3 318.292 4.181 20 0 DIADHN C[C@H]1C[C@@H](NCC2(c3cccs3)CCCCC2)c2nccn21 ZINC000453112600 1045941491 /nfs/dbraw/zinc/94/14/91/1045941491.db2.gz QXKNLQJOHMMEOT-LSDHHAIUSA-N 0 3 315.486 4.442 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CNCc1ccc2[nH]cnc2c1 ZINC000531789611 1045942575 /nfs/dbraw/zinc/94/25/75/1045942575.db2.gz VJJMGGKQUDQZLZ-OAHLLOKOSA-N 0 3 323.440 4.338 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CNCc1ccc2nc[nH]c2c1 ZINC000531789611 1045942583 /nfs/dbraw/zinc/94/25/83/1045942583.db2.gz VJJMGGKQUDQZLZ-OAHLLOKOSA-N 0 3 323.440 4.338 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cscc2Cl)c1 ZINC000632150719 1045944337 /nfs/dbraw/zinc/94/43/37/1045944337.db2.gz LYBRJHFDMWABQH-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN CN(CCC1CCOCC1)Cc1cc(Cl)ccc1Cl ZINC000531815834 1045950114 /nfs/dbraw/zinc/95/01/14/1045950114.db2.gz KONSZCZXPNDDQY-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CCC[C@H]4C3)cs2)cc1 ZINC000531801417 1045953357 /nfs/dbraw/zinc/95/33/57/1045953357.db2.gz OVFLZQYQQJNFGA-KBPBESRZSA-N 0 3 302.418 4.181 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@H](O)c1cc(F)ccc1F ZINC000755193976 1045962698 /nfs/dbraw/zinc/96/26/98/1045962698.db2.gz IKIGMXVWJALCKJ-CPPNXCSESA-N 0 3 317.379 4.034 20 0 DIADHN C/C(=C\C(C)(C)C)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC000802378509 1045963149 /nfs/dbraw/zinc/96/31/49/1045963149.db2.gz HZQFCDSKYBICQW-BYIUCRAPSA-N 0 3 322.880 4.051 20 0 DIADHN CC(C)(CN[C@H]1CCn2ccnc21)c1c(Cl)cccc1Cl ZINC000453164169 1045963496 /nfs/dbraw/zinc/96/34/96/1045963496.db2.gz TZZYCVNPBVHEBN-ZDUSSCGKSA-N 0 3 324.255 4.202 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453171845 1045966058 /nfs/dbraw/zinc/96/60/58/1045966058.db2.gz XIGDIMCELNCUIM-ZFWWWQNUSA-N 0 3 319.399 4.316 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H]1CC[C@@H](C)c2ccsc21 ZINC000453174281 1045967568 /nfs/dbraw/zinc/96/75/68/1045967568.db2.gz KFASRHNJXXAGDH-WBMJQRKESA-N 0 3 317.502 4.335 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccc(F)cc1)NCc1sccc1Cl ZINC000193195544 1045976817 /nfs/dbraw/zinc/97/68/17/1045976817.db2.gz SKOWCYHRRQLZQV-IINYFYTJSA-N 0 3 313.825 4.142 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2cccc(Cl)c2F)c2nccn21 ZINC000453194910 1045977013 /nfs/dbraw/zinc/97/70/13/1045977013.db2.gz JSWSVMCNLQBQEE-FZMZJTMJSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H](NC[C@H]1CCCCS1)c1ncc(-c2ccccc2)n1C ZINC000453200531 1045980326 /nfs/dbraw/zinc/98/03/26/1045980326.db2.gz ZDWGLEFRQRLXAX-GDBMZVCRSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1ccc(C2(CN[C@H]3C[C@H](C)n4ccnc43)CCC2)cc1C ZINC000453213765 1045987763 /nfs/dbraw/zinc/98/77/63/1045987763.db2.gz JFRZWMVBUZQBLH-WMZOPIPTSA-N 0 3 309.457 4.217 20 0 DIADHN Cc1ccc(C2(CN[C@H]3C[C@@H](C)n4ccnc43)CCC2)cc1C ZINC000453213746 1045988706 /nfs/dbraw/zinc/98/87/06/1045988706.db2.gz JFRZWMVBUZQBLH-AEFFLSMTSA-N 0 3 309.457 4.217 20 0 DIADHN CC(F)(F)CCN[C@@H]1CCc2c1c(Br)ccc2F ZINC000453226297 1045994572 /nfs/dbraw/zinc/99/45/72/1045994572.db2.gz XPINONKKBYHDQS-LLVKDONJSA-N 0 3 322.168 4.210 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c3c(nn2C)CCCC3)c2sccc21 ZINC000453231053 1045996773 /nfs/dbraw/zinc/99/67/73/1045996773.db2.gz GOKIEFDDCQRGTM-WBMJQRKESA-N 0 3 315.486 4.089 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCCN(C3CCCCC3)C2=O)cs1 ZINC000453237816 1045999852 /nfs/dbraw/zinc/99/98/52/1045999852.db2.gz ZUDIRBKXHLQHHI-RHSMWYFYSA-N 0 3 320.502 4.031 20 0 DIADHN C[C@@H]1C[C@@H](NCC[C@H](c2ccccc2)C(F)(F)F)c2nccn21 ZINC000453243028 1046001435 /nfs/dbraw/zinc/00/14/35/1046001435.db2.gz QVJLQZTVYYGZPH-BPLDGKMQSA-N 0 3 323.362 4.215 20 0 DIADHN CNc1ccccc1CNCc1ccccc1N1CCCCC1 ZINC000902467230 1046002418 /nfs/dbraw/zinc/00/24/18/1046002418.db2.gz NUIJJEHLRQLJBZ-UHFFFAOYSA-N 0 3 309.457 4.008 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)ccc1F ZINC000453255121 1046005628 /nfs/dbraw/zinc/00/56/28/1046005628.db2.gz KNLRJNYQAOITRU-SOHPYSCWSA-N 0 3 319.445 4.283 20 0 DIADHN CNc1ccccc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC000902500845 1046008254 /nfs/dbraw/zinc/00/82/54/1046008254.db2.gz XVTSWKVIKODECK-HNNXBMFYSA-N 0 3 308.347 4.164 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc2cc(C)c(C)cc2o1)[C@H](O)C(F)F ZINC000453276375 1046011124 /nfs/dbraw/zinc/01/11/24/1046011124.db2.gz YWCYNNIMPFBAJV-RBOXIYTFSA-N 0 3 311.372 4.105 20 0 DIADHN C[C@H](NCc1ccnc(C(F)(F)F)c1)c1ccc2c(c1)CCC2 ZINC000453282672 1046013324 /nfs/dbraw/zinc/01/33/24/1046013324.db2.gz IRIBMCALMYHBMB-LBPRGKRZSA-N 0 3 320.358 4.440 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000453283494 1046014663 /nfs/dbraw/zinc/01/46/63/1046014663.db2.gz JUCWRDDZDZYRTI-HWPZZCPQSA-N 0 3 324.321 4.263 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(OC(F)(F)F)c2)ccn1 ZINC000453287493 1046016318 /nfs/dbraw/zinc/01/63/18/1046016318.db2.gz LKHKBTZABYBTQG-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN COc1ncc(CN[C@H](C)c2cc3c(s2)CCC3)cc1Cl ZINC000453290239 1046016524 /nfs/dbraw/zinc/01/65/24/1046016524.db2.gz LJXBNYVHIITAQR-SNVBAGLBSA-N 0 3 322.861 4.145 20 0 DIADHN CC[C@H]1CC(=O)N(CN2CCC[C@@H]2c2cccc3ccccc32)C1 ZINC000755915345 1046027648 /nfs/dbraw/zinc/02/76/48/1046027648.db2.gz YRWJRLYAUYYSMN-OXJNMPFZSA-N 0 3 322.452 4.193 20 0 DIADHN CC[C@@](C)(CN[C@H](C)c1nc(-c2ccccc2)cs1)OC ZINC000453309099 1046029262 /nfs/dbraw/zinc/02/92/62/1046029262.db2.gz XJIXVEVWQXEMOS-DYVFJYSZSA-N 0 3 304.459 4.276 20 0 DIADHN CC[C@](C)(CN[C@@H](C)c1nc(-c2ccccc2)cs1)OC ZINC000453309102 1046030494 /nfs/dbraw/zinc/03/04/94/1046030494.db2.gz XJIXVEVWQXEMOS-SUMWQHHRSA-N 0 3 304.459 4.276 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1ncc(-c2ccccc2)n1C ZINC000453313416 1046032459 /nfs/dbraw/zinc/03/24/59/1046032459.db2.gz DCKAXIGVSTUKDR-ZFWWWQNUSA-N 0 3 319.399 4.173 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(C)c(F)c1F ZINC001137969825 1046038048 /nfs/dbraw/zinc/03/80/48/1046038048.db2.gz JZNRTKZTYXUOEI-UHFFFAOYSA-N 0 3 304.384 4.123 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](O)c3ccc(F)cc3)oc2c1 ZINC000756033078 1046039157 /nfs/dbraw/zinc/03/91/57/1046039157.db2.gz TZIFHCHXXSXNNO-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN CC[C@@](C)(NCc1cnc([C@H]2CCCO2)s1)c1ccccc1 ZINC000756025507 1046039289 /nfs/dbraw/zinc/03/92/89/1046039289.db2.gz KBNQSJLTRREHOU-SJLPKXTDSA-N 0 3 316.470 4.410 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](O)c3ccsc3)oc2c1 ZINC000756038777 1046041292 /nfs/dbraw/zinc/04/12/92/1046041292.db2.gz YQXWAUITGOZJHS-WFASDCNBSA-N 0 3 301.411 4.187 20 0 DIADHN CCn1ccnc1CN[C@H](C)CC(C)(C)c1c[nH]c2ccccc21 ZINC000453337553 1046042659 /nfs/dbraw/zinc/04/26/59/1046042659.db2.gz YRWZEAJEJFIXJQ-OAHLLOKOSA-N 0 3 324.472 4.230 20 0 DIADHN Clc1nc2ccccc2cc1CN1CCC2(CCCO2)CC1 ZINC001137977585 1046043698 /nfs/dbraw/zinc/04/36/98/1046043698.db2.gz SWDOXFMFVKIDRY-UHFFFAOYSA-N 0 3 316.832 4.033 20 0 DIADHN C[C@H](c1cnn(C2CCCC2)c1)N1CCC[C@]2(CCSC2)C1 ZINC000453346753 1046046192 /nfs/dbraw/zinc/04/61/92/1046046192.db2.gz GBCKGPSVWPODAY-QAPCUYQASA-N 0 3 319.518 4.278 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1c(F)cccc1-n1cccn1)CC2 ZINC000453344337 1046046266 /nfs/dbraw/zinc/04/62/66/1046046266.db2.gz GYDYLNNRBMHZOJ-LJQANCHMSA-N 0 3 321.399 4.097 20 0 DIADHN COc1ccc(F)c(CN(C)CCc2ccc(Cl)cc2)c1 ZINC001137996385 1046049301 /nfs/dbraw/zinc/04/93/01/1046049301.db2.gz MTGPKFGYXVCJJN-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H]1CCCN(C)c2ccccc21 ZINC000453355348 1046056332 /nfs/dbraw/zinc/05/63/32/1046056332.db2.gz IKISSMLBGRKDRX-ZFWWWQNUSA-N 0 3 316.470 4.379 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1c(F)cccc1-n1cccn1 ZINC000453360736 1046058266 /nfs/dbraw/zinc/05/82/66/1046058266.db2.gz PQWWMZXUNXNEQX-VBKZILBWSA-N 0 3 321.399 4.035 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC[C@H]1O)c1nc(-c2ccccc2)cs1 ZINC000453360248 1046058316 /nfs/dbraw/zinc/05/83/16/1046058316.db2.gz JXAONJCDIMALEW-UKPHBRMFSA-N 0 3 316.470 4.012 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2cnccn2)c2ccccc12 ZINC001138017068 1046060613 /nfs/dbraw/zinc/06/06/13/1046060613.db2.gz WFSLQSQXUKBVPC-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1N[C@H](C)c1nc2c(s1)CCCC2 ZINC000453385445 1046063837 /nfs/dbraw/zinc/06/38/37/1046063837.db2.gz AXSBDAWYHZBHSG-UMVBOHGHSA-N 0 3 308.491 4.020 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H]1CCCN(C)c2ccccc21 ZINC000453366714 1046067431 /nfs/dbraw/zinc/06/74/31/1046067431.db2.gz MJQKGFSGNJXABP-CRAIPNDOSA-N 0 3 310.441 4.317 20 0 DIADHN CCC[C@H](N[C@H](C)c1cccc(-n2ccnc2)c1)c1ccccn1 ZINC000902791920 1046071444 /nfs/dbraw/zinc/07/14/44/1046071444.db2.gz ZULRUYZULPAHLS-UZLBHIALSA-N 0 3 320.440 4.459 20 0 DIADHN C[C@@H]1C[C@@H](NCc2c(F)cccc2-n2cccn2)c2ccccc21 ZINC000453371801 1046071237 /nfs/dbraw/zinc/07/12/37/1046071237.db2.gz UYRILIFPDIKXIM-AUUYWEPGSA-N 0 3 321.399 4.350 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCC[C@H](C3CCOCC3)C2)cs1 ZINC000902800342 1046074279 /nfs/dbraw/zinc/07/42/79/1046074279.db2.gz LXZIPVGCMXOVKT-CWRNSKLLSA-N 0 3 322.518 4.342 20 0 DIADHN COc1cccc2c1CCN(Cc1ccc(O)c3ccccc13)C2 ZINC001138062564 1046079019 /nfs/dbraw/zinc/07/90/19/1046079019.db2.gz QLOPPSKWWSZYLJ-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CC[C@@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453417209 1046082271 /nfs/dbraw/zinc/08/22/71/1046082271.db2.gz ZXGNWVHQVNCRNK-IRWJRLHMSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@H]1CCN1Cc1cnccc1-c1cccc(Br)c1 ZINC001138071136 1046082481 /nfs/dbraw/zinc/08/24/81/1046082481.db2.gz WXBZUCCVAAEXER-LBPRGKRZSA-N 0 3 317.230 4.105 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2cccc(OCc3ccccc3)c2)C1 ZINC001138080255 1046084044 /nfs/dbraw/zinc/08/40/44/1046084044.db2.gz SVXSJJVKPPOGIU-FQEVSTJZSA-N 0 3 323.436 4.067 20 0 DIADHN c1ccc(COc2cccc(CN3CCC[C@@]4(CCO4)C3)c2)cc1 ZINC001138079903 1046085240 /nfs/dbraw/zinc/08/52/40/1046085240.db2.gz FUBFTTAYVMLFGD-OAQYLSRUSA-N 0 3 323.436 4.021 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cccc2ncccc12 ZINC000634687580 1046085489 /nfs/dbraw/zinc/08/54/89/1046085489.db2.gz QILGUUNBCALXRO-CQSZACIVSA-N 0 3 322.456 4.346 20 0 DIADHN C[C@@H](CC(C)(C)C)N[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000453420398 1046087759 /nfs/dbraw/zinc/08/77/59/1046087759.db2.gz KHLPZIAZZVZDMD-GUYCJALGSA-N 0 3 303.425 4.063 20 0 DIADHN Cc1cccc(CN[C@@H](c2cccs2)C(C)(C)CO)c1C ZINC000453583445 1046092983 /nfs/dbraw/zinc/09/29/83/1046092983.db2.gz YFNJBUHGENYTGD-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN CC1(C)C[C@@H](CNCc2csc(-c3ccccc3)n2)CCO1 ZINC000453575111 1046093609 /nfs/dbraw/zinc/09/36/09/1046093609.db2.gz CPOSAYMIKXILKP-AWEZNQCLSA-N 0 3 316.470 4.105 20 0 DIADHN Cc1sccc1CN[C@H](c1ccccc1)C1(CO)CCCC1 ZINC000453612453 1046099116 /nfs/dbraw/zinc/09/91/16/1046099116.db2.gz JVXWOHFUIQFJSX-GOSISDBHSA-N 0 3 315.482 4.440 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3ncccc3c2)cn1 ZINC001138112562 1046099029 /nfs/dbraw/zinc/09/90/29/1046099029.db2.gz QRUSKSXUJHHNQC-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN FC(F)Sc1ccc(CN2CCC[C@H](c3cc[nH]n3)C2)cc1 ZINC000194917969 1046110272 /nfs/dbraw/zinc/11/02/72/1046110272.db2.gz UPIGDMDCILIZLY-ZDUSSCGKSA-N 0 3 323.412 4.104 20 0 DIADHN CC[C@H](N[C@@H](c1nccn1C)c1ccccc1)C1CCCCC1 ZINC000532197742 1046112332 /nfs/dbraw/zinc/11/23/32/1046112332.db2.gz QHPFQZUJBDNIPI-RBUKOAKNSA-N 0 3 311.473 4.458 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1N[C@@H](c1nccn1C)c1ccccc1 ZINC000532198809 1046112485 /nfs/dbraw/zinc/11/24/85/1046112485.db2.gz OHLWHQIDRXCYCZ-KBAYOESNSA-N 0 3 311.473 4.314 20 0 DIADHN COc1ccsc1CNCc1cc(C)cc2c(C)c(C)[nH]c21 ZINC000532213116 1046113997 /nfs/dbraw/zinc/11/39/97/1046113997.db2.gz AKYJPFWWIRLYHU-UHFFFAOYSA-N 0 3 314.454 4.453 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2F)c1ccc2c(c1)CCC(=O)N2 ZINC000532204810 1046114722 /nfs/dbraw/zinc/11/47/22/1046114722.db2.gz JTYPPQXOEZZGMB-BLVKFPJESA-N 0 3 324.399 4.049 20 0 DIADHN CCn1nc(C)c(CN[C@H](CC(C)C)c2cccs2)c1C ZINC000532213547 1046116461 /nfs/dbraw/zinc/11/64/61/1046116461.db2.gz XGCGSDIDOPVZHJ-MRXNPFEDSA-N 0 3 305.491 4.458 20 0 DIADHN COCc1cc(CN[C@H]2CC(C)(C)c3ccccc32)ccc1F ZINC000532225569 1046119564 /nfs/dbraw/zinc/11/95/64/1046119564.db2.gz IWGVLBRQAXPBEV-IBGZPJMESA-N 0 3 313.416 4.484 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1ccnc(Cl)c1 ZINC000195411004 1046119903 /nfs/dbraw/zinc/11/99/03/1046119903.db2.gz FQRDCPRPJKZBFF-UHFFFAOYSA-N 0 3 318.848 4.164 20 0 DIADHN c1csc([C@@H](NCc2cc(-c3ccccc3)n[nH]2)C2CC2)c1 ZINC000532265243 1046126458 /nfs/dbraw/zinc/12/64/58/1046126458.db2.gz MLXNURHOAUZFBI-SFHVURJKSA-N 0 3 309.438 4.379 20 0 DIADHN COC(=O)/C(C)=C/CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001168144070 1046137936 /nfs/dbraw/zinc/13/79/36/1046137936.db2.gz AXEDHOXUIHXVTO-IFXNCWKVSA-N 0 3 313.441 4.064 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1cccc(OC(C)(C)C)n1 ZINC001138285241 1046138237 /nfs/dbraw/zinc/13/82/37/1046138237.db2.gz ZSEZYOAMVSTTMB-UHFFFAOYSA-N 0 3 316.420 4.073 20 0 DIADHN O[C@H](CN(CCC(F)(F)F)CC1CC1)c1ccc(Cl)cc1 ZINC000084137781 1046142178 /nfs/dbraw/zinc/14/21/78/1046142178.db2.gz VTINFTZAZAWYPD-CQSZACIVSA-N 0 3 321.770 4.038 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(C(F)(F)F)s1 ZINC000903037400 1046144320 /nfs/dbraw/zinc/14/43/20/1046144320.db2.gz JWIGZVXBZFZPEZ-SNVBAGLBSA-N 0 3 315.360 4.110 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C(F)(F)F)cn1)[C@H]1CCCCO1 ZINC000903045057 1046146232 /nfs/dbraw/zinc/14/62/32/1046146232.db2.gz PNAUXJZTIZRTAI-OSAQELSMSA-N 0 3 316.367 4.099 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CC[C@@H]2OCC[C@@H]2C1 ZINC001138315148 1046149404 /nfs/dbraw/zinc/14/94/04/1046149404.db2.gz VWEPCZOUDPTIHD-KDOFPFPSSA-N 0 3 312.457 4.076 20 0 DIADHN C[C@H]1CN(Cc2c[nH]c3ccc(Br)cc23)C2(CC2)C1 ZINC001138322056 1046151756 /nfs/dbraw/zinc/15/17/56/1046151756.db2.gz USCJKYYLCWSPNV-LLVKDONJSA-N 0 3 319.246 4.305 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)N[C@H]2CCCc3occc32)c1 ZINC000903065958 1046152098 /nfs/dbraw/zinc/15/20/98/1046152098.db2.gz JJJSLMIHLWXPRZ-UGSOOPFHSA-N 0 3 313.397 4.071 20 0 DIADHN CSC[C@@H]1CCCN1CCc1cc(Cl)cc(Cl)c1 ZINC001168147815 1046154782 /nfs/dbraw/zinc/15/47/82/1046154782.db2.gz XUGATUFRDJQXMQ-AWEZNQCLSA-N 0 3 304.286 4.363 20 0 DIADHN COc1cccc(F)c1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001138380317 1046159063 /nfs/dbraw/zinc/15/90/63/1046159063.db2.gz ZIRWWBFWETZXFX-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CN[C@@H]1CCCc2cn[nH]c21 ZINC000903079778 1046159227 /nfs/dbraw/zinc/15/92/27/1046159227.db2.gz KQTFJBMBZXRMOQ-GFCCVEGCSA-N 0 3 314.191 4.023 20 0 DIADHN CC(C)OC1(CN[C@H](C)c2ccc(C(F)(F)F)cn2)CCC1 ZINC000903084182 1046159478 /nfs/dbraw/zinc/15/94/78/1046159478.db2.gz BJHRNYRAXASZJJ-GFCCVEGCSA-N 0 3 316.367 4.099 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc3nccnc3c2)cc1 ZINC000634952499 1046164539 /nfs/dbraw/zinc/16/45/39/1046164539.db2.gz ATZHKIBMXLKDKM-HNNXBMFYSA-N 0 3 321.424 4.268 20 0 DIADHN COc1ccc(CN[C@@H](C)c2sc(C)nc2C)cc1Cl ZINC000086585672 1046164983 /nfs/dbraw/zinc/16/49/83/1046164983.db2.gz YJYYZDXRNKGYQS-VIFPVBQESA-N 0 3 310.850 4.273 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCC(=O)c1ccc(Cl)cc1 ZINC001168152175 1046165442 /nfs/dbraw/zinc/16/54/42/1046165442.db2.gz KHYZLTOSWSVJBY-GFCCVEGCSA-N 0 3 322.235 4.397 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2ccc3nccnc3c2)c1 ZINC000634952547 1046165865 /nfs/dbraw/zinc/16/58/65/1046165865.db2.gz BUWLMOUXBDZCBO-HNNXBMFYSA-N 0 3 321.424 4.268 20 0 DIADHN C[C@H]1CCCN(Cc2ncccc2NC(=O)OC(C)(C)C)[C@H]1C ZINC001138404638 1046167109 /nfs/dbraw/zinc/16/71/09/1046167109.db2.gz DKZVHTYVNVHXIT-KBPBESRZSA-N 0 3 319.449 4.049 20 0 DIADHN Cc1c(CN2CC[C@H](Oc3ccccc3)C2)[nH]c2ccc(F)cc12 ZINC001138413521 1046172642 /nfs/dbraw/zinc/17/26/42/1046172642.db2.gz UTSNMANYCHOQSU-KRWDZBQOSA-N 0 3 324.399 4.269 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC4(CCC(=O)CC4)CC3)cc2c1 ZINC001138418062 1046174948 /nfs/dbraw/zinc/17/49/48/1046174948.db2.gz PMBBNBYFPHDOEJ-UHFFFAOYSA-N 0 3 310.441 4.202 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1cnn(CC2CCC2)c1 ZINC000903152656 1046175649 /nfs/dbraw/zinc/17/56/49/1046175649.db2.gz OOPFSDRIWPWBAQ-CXAGYDPISA-N 0 3 315.486 4.473 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H]2CCc3cccc(Cl)c3C2)n1 ZINC000666087315 1046181021 /nfs/dbraw/zinc/18/10/21/1046181021.db2.gz CWQGIIUOLCUSFV-DGCLKSJQSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCc3cccc(Cl)c3C2)n1 ZINC000666087314 1046181886 /nfs/dbraw/zinc/18/18/86/1046181886.db2.gz CWQGIIUOLCUSFV-AAEUAGOBSA-N 0 3 306.862 4.313 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(C)c(C)c2)cc1OC ZINC001138440901 1046182519 /nfs/dbraw/zinc/18/25/19/1046182519.db2.gz JYZGGWUJFOATDE-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN CCOc1ccc2ccccc2c1CN1C[C@@H](C)C[C@H]1CF ZINC001138439859 1046182929 /nfs/dbraw/zinc/18/29/29/1046182929.db2.gz ICKAPNZXWZCFTO-HOCLYGCPSA-N 0 3 301.405 4.418 20 0 DIADHN CC[C@H](N[C@@H]1CCc2cccc(Cl)c2C1)c1nccs1 ZINC000666091179 1046183385 /nfs/dbraw/zinc/18/33/85/1046183385.db2.gz XCGPIAVLLIIGOT-DOMZBBRYSA-N 0 3 306.862 4.395 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cccc(Cl)c2C1)c1nccs1 ZINC000666091181 1046184149 /nfs/dbraw/zinc/18/41/49/1046184149.db2.gz XCGPIAVLLIIGOT-SWLSCSKDSA-N 0 3 306.862 4.395 20 0 DIADHN C[C@@H](N[C@@H]1CC2(CCC2)Oc2ccccc21)c1cccc(CO)c1 ZINC000760166385 1046186136 /nfs/dbraw/zinc/18/61/36/1046186136.db2.gz FEMNYWUUHYMCOF-DNVCBOLYSA-N 0 3 323.436 4.276 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCc3cc(C)ccc3C2)cc1 ZINC001138450301 1046187061 /nfs/dbraw/zinc/18/70/61/1046187061.db2.gz VEIOVBJBBOWYBZ-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN Cc1c2ccccc2sc1CN1CCC[C@H]1c1cnccn1 ZINC001138460888 1046188855 /nfs/dbraw/zinc/18/88/55/1046188855.db2.gz VKCUUGRPRDETCW-INIZCTEOSA-N 0 3 309.438 4.337 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1ccncc1NC(=O)C(C)(C)C ZINC001138456847 1046189370 /nfs/dbraw/zinc/18/93/70/1046189370.db2.gz GOPMJLUMTGQQIJ-MRXNPFEDSA-N 0 3 317.477 4.221 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2ccc3occc3c2)c1 ZINC000903200166 1046191442 /nfs/dbraw/zinc/19/14/42/1046191442.db2.gz PLZWJXYPWHENTE-CQSZACIVSA-N 0 3 323.392 4.347 20 0 DIADHN CC[C@H](NCc1cc(N(C)C)ccn1)c1cccc(Cl)c1 ZINC000903203984 1046193597 /nfs/dbraw/zinc/19/35/97/1046193597.db2.gz ZOJYFJDIABMYAO-KRWDZBQOSA-N 0 3 303.837 4.042 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccc(OC)c(Cl)c1 ZINC001138475034 1046194903 /nfs/dbraw/zinc/19/49/03/1046194903.db2.gz MXJROEUDSJRCNZ-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(O)c2)cc1C ZINC000903235275 1046201656 /nfs/dbraw/zinc/20/16/56/1046201656.db2.gz WYNPBOYCBBSMBU-KGLIPLIRSA-N 0 3 315.413 4.130 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001138502873 1046202063 /nfs/dbraw/zinc/20/20/63/1046202063.db2.gz JREIRZFFSXSNDQ-PMACEKPBSA-N 0 3 323.484 4.170 20 0 DIADHN COc1ccc2[nH]cc(CN3CCc4ccccc4[C@H]3C)c2c1 ZINC001138503632 1046202437 /nfs/dbraw/zinc/20/24/37/1046202437.db2.gz HQHKCMNUYPUDCJ-CQSZACIVSA-N 0 3 306.409 4.296 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC2(CCC2)CC1 ZINC001138503350 1046202618 /nfs/dbraw/zinc/20/26/18/1046202618.db2.gz ZYNXAGXQHPOPTM-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN COc1ccc2[nH]cc(CN3CCc4c(C)cccc4C3)c2c1 ZINC001138503987 1046203631 /nfs/dbraw/zinc/20/36/31/1046203631.db2.gz OXAZMKDAMJWQQR-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1ccc2[nH]cc(CN(C)Cc3cccc(Cl)c3)c2c1 ZINC001138508918 1046204948 /nfs/dbraw/zinc/20/49/48/1046204948.db2.gz CIOVNVNVNWCSGN-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc3c(c2)CCO3)cnn1C(C)C ZINC000903238599 1046205173 /nfs/dbraw/zinc/20/51/73/1046205173.db2.gz QBIZICOQCADHFJ-KGLIPLIRSA-N 0 3 313.445 4.119 20 0 DIADHN c1[nH]nc2cc(CN[C@H]3CC4(CCC4)Oc4ccccc43)ccc12 ZINC000757706118 1046205412 /nfs/dbraw/zinc/20/54/12/1046205412.db2.gz NZYDDRQMCRLCMK-SFHVURJKSA-N 0 3 319.408 4.099 20 0 DIADHN CC1CN(Cc2c[nH]c3ccc(OCc4ccccc4)cc23)C1 ZINC001138513805 1046205468 /nfs/dbraw/zinc/20/54/68/1046205468.db2.gz DHBJMIPPGWCPQX-UHFFFAOYSA-N 0 3 306.409 4.199 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ccc(O)cc21)c1ccc2c(c1)CCO2 ZINC000903238434 1046205791 /nfs/dbraw/zinc/20/57/91/1046205791.db2.gz KOSSYUNMTOPGQY-BFUOFWGJSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@H]1CCN1Cc1c[nH]c2ccc(OCc3ccccc3)cc12 ZINC001138514369 1046206312 /nfs/dbraw/zinc/20/63/12/1046206312.db2.gz RTZHIJQQQVEVNA-HNNXBMFYSA-N 0 3 306.409 4.341 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](C)c2ccc(Br)cc2)no1 ZINC000903247003 1046206669 /nfs/dbraw/zinc/20/66/69/1046206669.db2.gz SHSNTKCSMYXXFA-ZYHUDNBSSA-N 0 3 323.234 4.200 20 0 DIADHN COc1ccc2[nH]cc(CN3CCCC4(CC(F)C4)CC3)c2c1 ZINC001138510116 1046207029 /nfs/dbraw/zinc/20/70/29/1046207029.db2.gz OVJXPXKCKLYDII-UHFFFAOYSA-N 0 3 316.420 4.281 20 0 DIADHN CCCc1ccc(CN2CC[C@H](Oc3ccncc3C)C2)s1 ZINC001138528703 1046212315 /nfs/dbraw/zinc/21/23/15/1046212315.db2.gz BJLUYPWLAKFUBA-HNNXBMFYSA-N 0 3 316.470 4.057 20 0 DIADHN CCc1noc(C)c1CN1CCC(c2cccc(F)c2)CC1 ZINC000757842147 1046220081 /nfs/dbraw/zinc/22/00/81/1046220081.db2.gz OPEKQPQKDVAKHN-UHFFFAOYSA-N 0 3 302.393 4.064 20 0 DIADHN CC1(C)C[C@H](N[C@H](c2ccccc2)c2ccccn2)CCS1 ZINC000903283497 1046221246 /nfs/dbraw/zinc/22/12/46/1046221246.db2.gz KJACOXAJIOXSDT-SJLPKXTDSA-N 0 3 312.482 4.435 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(Br)s1 ZINC000038001864 1046226371 /nfs/dbraw/zinc/22/63/71/1046226371.db2.gz DJJSPPWXWWFSOQ-VHSXEESVSA-N 0 3 311.248 4.317 20 0 DIADHN CC[C@H](NCc1n[nH]c(C(C)(C)C)n1)c1cccc2ccccc21 ZINC000666161461 1046227304 /nfs/dbraw/zinc/22/73/04/1046227304.db2.gz ZOEILOSMVQSAIV-KRWDZBQOSA-N 0 3 322.456 4.496 20 0 DIADHN Fc1ccc([C@H]2C[C@H](N[C@@H]3CCCc4scnc43)C2)c(F)c1 ZINC000903296199 1046229075 /nfs/dbraw/zinc/22/90/75/1046229075.db2.gz HRQJSPAGELUXGE-IXPVHAAZSA-N 0 3 320.408 4.335 20 0 DIADHN COc1cc(CN(C)Cc2cccc(C)c2)ccc1OC(C)C ZINC001138571623 1046230900 /nfs/dbraw/zinc/23/09/00/1046230900.db2.gz QMBSBQVCMSXGMV-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](CC(C)C)c1ccccn1 ZINC000903306668 1046232411 /nfs/dbraw/zinc/23/24/11/1046232411.db2.gz AGNQJPMRSWUSQR-HXUWFJFHSA-N 0 3 312.457 4.068 20 0 DIADHN Fc1ccc(C2=CC[C@@H](N[C@@H](c3ncccn3)C3CC3)CC2)cc1 ZINC000903323756 1046238731 /nfs/dbraw/zinc/23/87/31/1046238731.db2.gz CWBGPGAFHZLXNY-RTBURBONSA-N 0 3 323.415 4.293 20 0 DIADHN c1ccc(C2CN(Cc3ccccc3N3CCCCC3)C2)cc1 ZINC001138608512 1046240012 /nfs/dbraw/zinc/24/00/12/1046240012.db2.gz IMSCNOYVRJHQAY-UHFFFAOYSA-N 0 3 306.453 4.276 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(Cl)cc1Cl ZINC000024644645 1046240623 /nfs/dbraw/zinc/24/06/23/1046240623.db2.gz VNSLEPOUSZQGCK-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN COC(C)(C)[C@@H](C)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000903330941 1046243390 /nfs/dbraw/zinc/24/33/90/1046243390.db2.gz MAJBLZIFIWKHNN-QWHCGFSZSA-N 0 3 304.459 4.274 20 0 DIADHN Cc1ccc(CN(C)Cc2cccc(Oc3ncccn3)c2)cc1 ZINC001138622358 1046243271 /nfs/dbraw/zinc/24/32/71/1046243271.db2.gz IVDBQVOBSWYARC-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN COC(C)(C)[C@H](C)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000903330942 1046243830 /nfs/dbraw/zinc/24/38/30/1046243830.db2.gz MAJBLZIFIWKHNN-STQMWFEESA-N 0 3 304.459 4.274 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@@H](C)Cc2ccc(Cl)cc2)no1 ZINC001168170741 1046244604 /nfs/dbraw/zinc/24/46/04/1046244604.db2.gz PQJZWQMMHWPVBD-SJCJKPOMSA-N 0 3 304.821 4.405 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903334983 1046245467 /nfs/dbraw/zinc/24/54/67/1046245467.db2.gz JKDOUPHLHYXWHY-HKUYNNGSSA-N 0 3 324.424 4.060 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCOC[C@@H](C2CCC2)C1 ZINC000795295666 1046245594 /nfs/dbraw/zinc/24/55/94/1046245594.db2.gz BUUJEKPGSNZAKT-MAUKXSAKSA-N 0 3 305.849 4.076 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCOC[C@H](C2CCC2)C1 ZINC000795295669 1046246362 /nfs/dbraw/zinc/24/63/62/1046246362.db2.gz BUUJEKPGSNZAKT-QAPCUYQASA-N 0 3 305.849 4.076 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3c2NCCC3)cc1C ZINC000897414915 1046248123 /nfs/dbraw/zinc/24/81/23/1046248123.db2.gz OBILSCKUCZFPCX-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN CCCn1ncc(CN2CCC(c3ccc(F)cc3)CC2)c1C ZINC001138638403 1046251216 /nfs/dbraw/zinc/25/12/16/1046251216.db2.gz QZYDULXOENQADA-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC[C@@H]3c3ccccc3)cn2)cc1 ZINC001138649338 1046256381 /nfs/dbraw/zinc/25/63/81/1046256381.db2.gz HIGVVYJFSONXLP-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN Cc1ccc([C@@H](C)NC(=O)Nc2cccc(CN(C)C)c2)s1 ZINC000054408438 1046257224 /nfs/dbraw/zinc/25/72/24/1046257224.db2.gz VQLNPCUDXLHTKZ-CYBMUJFWSA-N 0 3 317.458 4.001 20 0 DIADHN Fc1ccc(-n2cc(CN3CC[C@H]4CCCC[C@@H]4C3)cn2)cc1 ZINC001138653642 1046258014 /nfs/dbraw/zinc/25/80/14/1046258014.db2.gz KGLONVGTHKDKPJ-IAGOWNOFSA-N 0 3 313.420 4.024 20 0 DIADHN Cc1cnc(=S)n(CN[C@@H](c2ccccc2)C(C)(C)C)c1 ZINC000758302072 1046260192 /nfs/dbraw/zinc/26/01/92/1046260192.db2.gz XVLGJQZWVUORSZ-HNNXBMFYSA-N 0 3 301.459 4.256 20 0 DIADHN Cc1ccccc1OCCCN1CCc2ccc(F)c(F)c2C1 ZINC001168174375 1046260031 /nfs/dbraw/zinc/26/00/31/1046260031.db2.gz HVAPWEMNLZHSAO-UHFFFAOYSA-N 0 3 317.379 4.100 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccc(C(F)(F)F)s2)cc1 ZINC000758307184 1046260385 /nfs/dbraw/zinc/26/03/85/1046260385.db2.gz JXPMAFIPZDECJW-JTQLQIEISA-N 0 3 315.360 4.110 20 0 DIADHN Cc1cnc(=S)n(CN2CCC[C@H]2c2cccc(C)c2C)c1 ZINC000758339531 1046263094 /nfs/dbraw/zinc/26/30/94/1046263094.db2.gz RVZGVFFZWXILLZ-KRWDZBQOSA-N 0 3 313.470 4.332 20 0 DIADHN C[C@H](N[C@@H]1CCOC12CCCC2)c1cc(-c2ccccc2)no1 ZINC000903391292 1046263402 /nfs/dbraw/zinc/26/34/02/1046263402.db2.gz ZLGRSCYLCNCABQ-KBXCAEBGSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCc3ccc(CCO)cc3)oc2c1 ZINC000903403539 1046264826 /nfs/dbraw/zinc/26/48/26/1046264826.db2.gz SAZRMCKWLUGPJW-OAHLLOKOSA-N 0 3 309.409 4.127 20 0 DIADHN C[C@@H](N[C@@H]1CCOC12CCCC2)c1cc(-c2ccccc2)no1 ZINC000903391298 1046265636 /nfs/dbraw/zinc/26/56/36/1046265636.db2.gz ZLGRSCYLCNCABQ-RDTXWAMCSA-N 0 3 312.413 4.094 20 0 DIADHN Clc1[nH]c2ccccc2c1CN1CCC(OC2CCC2)CC1 ZINC001138686835 1046268774 /nfs/dbraw/zinc/26/87/74/1046268774.db2.gz TUDKVBJYZZNTPI-UHFFFAOYSA-N 0 3 318.848 4.355 20 0 DIADHN CCC[C@@H](NCCc1cccnc1C)c1ncccc1Cl ZINC000903419413 1046273116 /nfs/dbraw/zinc/27/31/16/1046273116.db2.gz XOAXVIPLZYFPTG-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN COc1cccc([C@@H]2C[C@H]2CN[C@H](C)c2nc(C)sc2C)c1 ZINC000666252342 1046273865 /nfs/dbraw/zinc/27/38/65/1046273865.db2.gz XKXQNCFKQGMVSD-PJQXDXOGSA-N 0 3 316.470 4.223 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc1C#N ZINC000321372958 1046274185 /nfs/dbraw/zinc/27/41/85/1046274185.db2.gz UVLIPIPDCALSNJ-LJQANCHMSA-N 0 3 312.388 4.193 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@@H](Oc2ccncc2C)C1 ZINC001138723066 1046276322 /nfs/dbraw/zinc/27/63/22/1046276322.db2.gz CUELJAAMLBFQSB-OAHLLOKOSA-N 0 3 316.832 4.005 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc2c(c1)CCCO2 ZINC001138718549 1046277420 /nfs/dbraw/zinc/27/74/20/1046277420.db2.gz JTSZWHFDOPSXRU-OAHLLOKOSA-N 0 3 311.425 4.213 20 0 DIADHN Cn1nc(-c2ccccc2)c(CN[C@@H]2CC23CCCC3)c1Cl ZINC000758525650 1046277444 /nfs/dbraw/zinc/27/74/44/1046277444.db2.gz NNVGEIZSUNXUGK-OAHLLOKOSA-N 0 3 315.848 4.163 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2N[C@@H](c1ccncc1)C1CC1 ZINC000903429787 1046279556 /nfs/dbraw/zinc/27/95/56/1046279556.db2.gz WQFITVFZJDTPCN-QZTJIDSGSA-N 0 3 300.352 4.088 20 0 DIADHN CC[C@H](NCCOC1CCSCC1)c1sccc1Cl ZINC000903444402 1046280885 /nfs/dbraw/zinc/28/08/85/1046280885.db2.gz ADCDDLDJRFCXRL-ZDUSSCGKSA-N 0 3 319.923 4.354 20 0 DIADHN CCc1cccc2cc(CN3C[C@H]4CC[C@@H]3C4)c(Cl)nc21 ZINC001138728906 1046281270 /nfs/dbraw/zinc/28/12/70/1046281270.db2.gz CABANICHMMUOIF-BLLLJJGKSA-N 0 3 300.833 4.435 20 0 DIADHN FC(F)c1ccc(CN2CCC(c3ccccc3)CC2)cn1 ZINC000637890124 1046282927 /nfs/dbraw/zinc/28/29/27/1046282927.db2.gz UKXHFCKGIPKISM-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@H](C)c1cccc(F)c1F ZINC000245908116 1046284138 /nfs/dbraw/zinc/28/41/38/1046284138.db2.gz OWKHPWKZXZNNKX-PSTGCABASA-N 0 3 323.358 4.267 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N1CCC2(CCC2)C1 ZINC000758737759 1046287910 /nfs/dbraw/zinc/28/79/10/1046287910.db2.gz NXCCEJMXJNYHCV-OAHLLOKOSA-N 0 3 308.425 4.043 20 0 DIADHN CCCCc1ccc(CN2CC[C@H](Oc3cccnc3)C2)s1 ZINC001138756994 1046289413 /nfs/dbraw/zinc/28/94/13/1046289413.db2.gz PRVHRSZUWXENJF-INIZCTEOSA-N 0 3 316.470 4.139 20 0 DIADHN Clc1c2ccccc2oc1CN1CCC(c2c[nH]cn2)CC1 ZINC000638086217 1046294498 /nfs/dbraw/zinc/29/44/98/1046294498.db2.gz QJDAFEHRBSMHQW-UHFFFAOYSA-N 0 3 315.804 4.189 20 0 DIADHN FC[C@@H]1CN(Cc2ccc3occc3c2)C[C@H]1C(F)(F)F ZINC001138822982 1046300514 /nfs/dbraw/zinc/30/05/14/1046300514.db2.gz WQLSDHYXJXOJFE-CHWSQXEVSA-N 0 3 301.283 4.013 20 0 DIADHN COc1ccccc1O[C@H]1CCN(Cc2ccc3occc3c2)C1 ZINC001138822117 1046301338 /nfs/dbraw/zinc/30/13/38/1046301338.db2.gz TVMCIQYXQYGYAN-KRWDZBQOSA-N 0 3 323.392 4.095 20 0 DIADHN CCN(Cc1ccc2occc2c1)Cc1ccc2c(c1)OCO2 ZINC001138825595 1046301837 /nfs/dbraw/zinc/30/18/37/1046301837.db2.gz BUCOLCLOXRYCCO-UHFFFAOYSA-N 0 3 309.365 4.184 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2ccc3occc3c2)C1 ZINC001138825949 1046304475 /nfs/dbraw/zinc/30/44/75/1046304475.db2.gz JZKRYCVGWDNUKH-SFHVURJKSA-N 0 3 305.377 4.138 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc3occc3c1)CCC2 ZINC001138826335 1046305265 /nfs/dbraw/zinc/30/52/65/1046305265.db2.gz WDCIVRVOILQZLO-UHFFFAOYSA-N 0 3 307.393 4.390 20 0 DIADHN CC[C@@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293851 1046309292 /nfs/dbraw/zinc/30/92/92/1046309292.db2.gz LZLQNWHQLLWWCH-QZTJIDSGSA-N 0 3 312.461 4.475 20 0 DIADHN CC[C@@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293851 1046309306 /nfs/dbraw/zinc/30/93/06/1046309306.db2.gz LZLQNWHQLLWWCH-QZTJIDSGSA-N 0 3 312.461 4.475 20 0 DIADHN c1csc([C@H](N[C@@H](c2nc[nH]n2)C2CCCCC2)C2CC2)c1 ZINC000638318626 1046311555 /nfs/dbraw/zinc/31/15/55/1046311555.db2.gz MNRCBNZQWURICO-HZPDHXFCSA-N 0 3 316.474 4.229 20 0 DIADHN c1csc([C@H]([NH2+][C@@H](c2nnc[n-]2)C2CCCCC2)C2CC2)c1 ZINC000638318626 1046311571 /nfs/dbraw/zinc/31/15/71/1046311571.db2.gz MNRCBNZQWURICO-HZPDHXFCSA-N 0 3 316.474 4.229 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@@H]2CCCCO2)o1 ZINC000237653587 1046311613 /nfs/dbraw/zinc/31/16/13/1046311613.db2.gz FODTUYKIRRBZMW-HNNXBMFYSA-N 0 3 303.377 4.053 20 0 DIADHN c1nc([C@H](N[C@H](c2ccccc2)C2CC2)C2CCCCC2)n[nH]1 ZINC000638319928 1046312890 /nfs/dbraw/zinc/31/28/90/1046312890.db2.gz TXVWZOCFBSNYMC-QZTJIDSGSA-N 0 3 310.445 4.167 20 0 DIADHN c1nnc([C@H]([NH2+][C@H](c2ccccc2)C2CC2)C2CCCCC2)[n-]1 ZINC000638319928 1046312904 /nfs/dbraw/zinc/31/29/04/1046312904.db2.gz TXVWZOCFBSNYMC-QZTJIDSGSA-N 0 3 310.445 4.167 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc3ccccc3n2)c1 ZINC001138863575 1046312853 /nfs/dbraw/zinc/31/28/53/1046312853.db2.gz RATZUHXHPHTIPI-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138879200 1046315894 /nfs/dbraw/zinc/31/58/94/1046315894.db2.gz WIEYTYUNTANRQM-CQSZACIVSA-N 0 3 321.399 4.335 20 0 DIADHN CN(Cc1cc(Br)cn1C)[C@@H]1C=CCCCCC1 ZINC001168185012 1046316860 /nfs/dbraw/zinc/31/68/60/1046316860.db2.gz ZJSZTSCEGYFZFT-CQSZACIVSA-N 0 3 311.267 4.108 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CCC[C@H]3CCCC[C@H]32)cc1 ZINC001138882689 1046317474 /nfs/dbraw/zinc/31/74/74/1046317474.db2.gz QSFGVUKQDUUJDZ-RDTXWAMCSA-N 0 3 313.420 4.370 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138885322 1046318464 /nfs/dbraw/zinc/31/84/64/1046318464.db2.gz GTXNYXMXRKKEQS-VMPITWQZSA-N 0 3 321.399 4.361 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138885607 1046319311 /nfs/dbraw/zinc/31/93/11/1046319311.db2.gz VJLHIEMERUDSBQ-CQSZACIVSA-N 0 3 321.399 4.163 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3ccccc3OC(F)F)C2)cc1 ZINC001138910842 1046323926 /nfs/dbraw/zinc/32/39/26/1046323926.db2.gz BGLFTJZWODKQHQ-CQSZACIVSA-N 0 3 321.342 4.417 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cnc(Cl)s2)C12CCCCC2 ZINC000718205346 1046325636 /nfs/dbraw/zinc/32/56/36/1046325636.db2.gz RYXQPPYJESCXOC-STQMWFEESA-N 0 3 314.882 4.014 20 0 DIADHN Clc1ccc(C2(CNCc3cc(C4CC4)no3)CC2)cc1 ZINC000638504989 1046327344 /nfs/dbraw/zinc/32/73/44/1046327344.db2.gz IDKJJFJMGVRMJR-UHFFFAOYSA-N 0 3 302.805 4.027 20 0 DIADHN FC(F)Oc1ccccc1CN1CCCC2(CC(F)C2)CC1 ZINC001138912830 1046327537 /nfs/dbraw/zinc/32/75/37/1046327537.db2.gz USTRPLKZXIKFCY-UHFFFAOYSA-N 0 3 313.363 4.392 20 0 DIADHN CC(=O)C1CCN(Cc2ccc3c(c2)c2ccccc2n3C)CC1 ZINC001138919058 1046330051 /nfs/dbraw/zinc/33/00/51/1046330051.db2.gz ZPDJSGLUHLGYLD-UHFFFAOYSA-N 0 3 320.436 4.133 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](c2cccc(C)c2)c2ccccn2)CCO1 ZINC000648490185 1046330554 /nfs/dbraw/zinc/33/05/54/1046330554.db2.gz FLLRRYSUKGOERF-NLWGTHIKSA-N 0 3 310.441 4.027 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001138935857 1046332807 /nfs/dbraw/zinc/33/28/07/1046332807.db2.gz JYNTZCYPCCIUPJ-OLZOCXBDSA-N 0 3 303.837 4.180 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1cc(F)cc(F)c1 ZINC000090077705 1046335060 /nfs/dbraw/zinc/33/50/60/1046335060.db2.gz XMAQIOLHAJMOEY-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1Cl)c1cc(F)cc(F)c1 ZINC000090077702 1046336727 /nfs/dbraw/zinc/33/67/27/1046336727.db2.gz XMAQIOLHAJMOEY-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN Fc1ccc(-c2cnc(CN(C3CC3)C3CCCC3)o2)cc1 ZINC000103466308 1046337518 /nfs/dbraw/zinc/33/75/18/1046337518.db2.gz OLBALFJRSMWDMP-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN CCC(=O)Oc1ccc(CN2Cc3ccccc3[C@H](C)C2)cc1 ZINC001138962366 1046341284 /nfs/dbraw/zinc/34/12/84/1046341284.db2.gz NWYSEEMGGJOHOV-OAHLLOKOSA-N 0 3 309.409 4.121 20 0 DIADHN CCC(=O)Oc1ccc(CN(C)C/C=C/c2ccccc2)cc1 ZINC001138965551 1046344680 /nfs/dbraw/zinc/34/46/80/1046344680.db2.gz VSCBHOLFRJRUMI-JXMROGBWSA-N 0 3 309.409 4.147 20 0 DIADHN CCC(=O)Oc1ccc(CN2Cc3ccccc3C(C)(C)C2)cc1 ZINC001138965616 1046344801 /nfs/dbraw/zinc/34/48/01/1046344801.db2.gz XOXNTSUBIZWDHY-UHFFFAOYSA-N 0 3 323.436 4.295 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1OC(F)F)[C@@H]1CC1(F)F ZINC000666444206 1046346596 /nfs/dbraw/zinc/34/65/96/1046346596.db2.gz DAAKVGQNFKRVGG-XVKPBYJWSA-N 0 3 311.706 4.075 20 0 DIADHN C[C@H](NCc1ccc(Br)cc1Cl)[C@@H]1CC1(F)F ZINC000666445535 1046348618 /nfs/dbraw/zinc/34/86/18/1046348618.db2.gz UZKVPRWOSSDNDD-XVKPBYJWSA-N 0 3 324.596 4.236 20 0 DIADHN CC(C)Oc1ccc(Br)cc1CN(C)C(C)C ZINC001138987748 1046349317 /nfs/dbraw/zinc/34/93/17/1046349317.db2.gz XQLOMTGOEQEVGD-UHFFFAOYSA-N 0 3 300.240 4.077 20 0 DIADHN CC(C)CO[C@H]1CCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000759413934 1046350642 /nfs/dbraw/zinc/35/06/42/1046350642.db2.gz DRAWQQIMNGNSGU-AWEZNQCLSA-N 0 3 315.429 4.248 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C1CC1)C1CCC(C)CC1 ZINC000053170351 1046350670 /nfs/dbraw/zinc/35/06/70/1046350670.db2.gz LPYMPTFKIRLAAE-UHFFFAOYSA-N 0 3 314.473 4.285 20 0 DIADHN CCOc1ccc(Br)cc1CN(C)C1CC(C)C1 ZINC001138989410 1046352939 /nfs/dbraw/zinc/35/29/39/1046352939.db2.gz BBZDZQMJZWDWKW-UHFFFAOYSA-N 0 3 312.251 4.078 20 0 DIADHN CCCOc1ccccc1CN1CCC2(CCOC2(C)C)CC1 ZINC001138999427 1046353363 /nfs/dbraw/zinc/35/33/63/1046353363.db2.gz DCAYTACXHTUMNN-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1ccc(CC(F)(F)F)cc1 ZINC000639040095 1046353897 /nfs/dbraw/zinc/35/38/97/1046353897.db2.gz ATLVINJYCNLHKR-CYBMUJFWSA-N 0 3 308.347 4.379 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CC[C@@H](c2cccnc2)C1 ZINC001139009009 1046354737 /nfs/dbraw/zinc/35/47/37/1046354737.db2.gz ABONZOJSTAEJJI-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCCN([C@H](C)c1cnc(C)nc1C)[C@@H]1CCCc2cccnc21 ZINC000639067729 1046356376 /nfs/dbraw/zinc/35/63/76/1046356376.db2.gz YFBHNZFXLWGQHN-DNVCBOLYSA-N 0 3 324.472 4.339 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1ccc(C(F)(F)F)cn1)CC2 ZINC001139017245 1046359528 /nfs/dbraw/zinc/35/95/28/1046359528.db2.gz SMLVRRKOZSVCNP-ZDUSSCGKSA-N 0 3 316.342 4.205 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccc(C(F)(F)F)cn1 ZINC001139017015 1046359688 /nfs/dbraw/zinc/35/96/88/1046359688.db2.gz MZQWGCNZBBZVQQ-GORDUTHDSA-N 0 3 321.346 4.031 20 0 DIADHN COCCCC[C@@H](NCc1cc(C)ns1)c1ccccc1 ZINC000639120546 1046360909 /nfs/dbraw/zinc/36/09/09/1046360909.db2.gz IIWASYIHRFFNBS-QGZVFWFLSA-N 0 3 304.459 4.099 20 0 DIADHN COCCCC[C@H](NCc1cc(C)ns1)c1ccccc1 ZINC000639120543 1046361395 /nfs/dbraw/zinc/36/13/95/1046361395.db2.gz IIWASYIHRFFNBS-KRWDZBQOSA-N 0 3 304.459 4.099 20 0 DIADHN CCCO[C@@H]1CCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139019705 1046361594 /nfs/dbraw/zinc/36/15/94/1046361594.db2.gz XENQZHWOZNNBCM-CYBMUJFWSA-N 0 3 307.484 4.478 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139022639 1046361889 /nfs/dbraw/zinc/36/18/89/1046361889.db2.gz JPGIJZZVAXBYMF-CYBMUJFWSA-N 0 3 305.468 4.278 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC1CC(n2cccn2)C1 ZINC000639128053 1046362418 /nfs/dbraw/zinc/36/24/18/1046362418.db2.gz VYIKTKSHEFVZMQ-ZBCRRDGASA-N 0 3 323.362 4.178 20 0 DIADHN Cc1ccc(OCCCCNCc2c(F)cccc2F)cc1 ZINC000061113294 1046366292 /nfs/dbraw/zinc/36/62/92/1046366292.db2.gz HBIIGFQMVQQURJ-UHFFFAOYSA-N 0 3 305.368 4.222 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@@H](O)c2ccc(C(C)(C)C)cc2)s1 ZINC000090417330 1046367406 /nfs/dbraw/zinc/36/74/06/1046367406.db2.gz VTFACLMTJJLBFN-MLGOLLRUSA-N 0 3 318.486 4.133 20 0 DIADHN c1c2ccccc2oc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001139065114 1046372524 /nfs/dbraw/zinc/37/25/24/1046372524.db2.gz QLHFLWCMYYWIGQ-UHFFFAOYSA-N 0 3 319.404 4.403 20 0 DIADHN Cc1ccnc(O[C@H]2CCCN(Cc3cc4ccccc4o3)C2)c1 ZINC001139064106 1046374277 /nfs/dbraw/zinc/37/42/77/1046374277.db2.gz OULSIGXLRWFQRZ-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN C/C(=C/C(=O)Nc1cc(C)cc(CN(C)C)c1)c1ccccc1 ZINC000759748080 1046376108 /nfs/dbraw/zinc/37/61/08/1046376108.db2.gz WHBRNEZXEHNBQG-VBKFSLOCSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)/C=C\C2CCCCC2)c1 ZINC000759745402 1046376476 /nfs/dbraw/zinc/37/64/76/1046376476.db2.gz LTUWXZOHUSYVRB-KTKRTIGZSA-N 0 3 300.446 4.132 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CCCSC(C)(C)C)c1 ZINC000759744024 1046377029 /nfs/dbraw/zinc/37/70/29/1046377029.db2.gz FMTPIJFWIZPBHU-UHFFFAOYSA-N 0 3 322.518 4.307 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001139071590 1046382655 /nfs/dbraw/zinc/38/26/55/1046382655.db2.gz CZRNHTKWAUXSKO-PBHICJAKSA-N 0 3 324.896 4.131 20 0 DIADHN C[C@@H](O)[C@H](NCc1ccccc1CC(F)(F)F)c1ccccc1 ZINC000639334676 1046383467 /nfs/dbraw/zinc/38/34/67/1046383467.db2.gz ILTRFQZUOPASEY-DYVFJYSZSA-N 0 3 323.358 4.003 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCCC[C@@H]3C2)c(Cl)c1OC ZINC001139118580 1046388065 /nfs/dbraw/zinc/38/80/65/1046388065.db2.gz FVMCDXGOBKHTET-ZIAGYGMSSA-N 0 3 323.864 4.369 20 0 DIADHN CCn1ccc2ccc(CN3CCC(C(F)(F)F)CC3)cc21 ZINC001139122042 1046388876 /nfs/dbraw/zinc/38/88/76/1046388876.db2.gz QWKKGOQLSCKGBV-UHFFFAOYSA-N 0 3 310.363 4.436 20 0 DIADHN CCOc1cccc(CCN[C@H](C)c2cccnc2Cl)c1 ZINC000090578146 1046389509 /nfs/dbraw/zinc/38/95/09/1046389509.db2.gz YASLPZWNLYLWJR-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@@H]1CCC=CO1 ZINC000780568309 1046393541 /nfs/dbraw/zinc/39/35/41/1046393541.db2.gz PHKMXTUKIXIIEQ-IBGZPJMESA-N 0 3 309.409 4.145 20 0 DIADHN COc1ccc(OC)c(CN[C@H](c2ccccc2)C2CCC2)c1 ZINC000104699465 1046396585 /nfs/dbraw/zinc/39/65/85/1046396585.db2.gz SIEBRYONNJJYBU-HXUWFJFHSA-N 0 3 311.425 4.335 20 0 DIADHN CCC[C@H]1CN(C[C@@H](C)CSc2ccccc2C)CCO1 ZINC001168201679 1046400621 /nfs/dbraw/zinc/40/06/21/1046400621.db2.gz LJRHELODTGYUIO-WBVHZDCISA-N 0 3 307.503 4.224 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1cccc(Cl)c1 ZINC000037998376 1046402952 /nfs/dbraw/zinc/40/29/52/1046402952.db2.gz YOHKAZVIJQTTGI-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN Clc1cc(CN2CCC[C@H]2CCc2ccccc2)ccn1 ZINC000748806906 1046404560 /nfs/dbraw/zinc/40/45/60/1046404560.db2.gz VYMLXSANQYXIAH-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3ccc(F)cc32)cc1F ZINC000748809448 1046405780 /nfs/dbraw/zinc/40/57/80/1046405780.db2.gz ALVLGHZUFHYYQU-KRWDZBQOSA-N 0 3 303.352 4.093 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1nccc2ccccc21 ZINC000780793877 1046407070 /nfs/dbraw/zinc/40/70/70/1046407070.db2.gz WFTOKTIKDRSBRJ-TZMCWYRMSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1cccc2[nH]cc(CN3CC[C@@H]3COCc3ccccc3)c21 ZINC001139197208 1046408970 /nfs/dbraw/zinc/40/89/70/1046408970.db2.gz IYLYBDWRMJRWHO-LJQANCHMSA-N 0 3 320.436 4.267 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCC4(CCOC4(C)C)CC3)c21 ZINC001139199362 1046411373 /nfs/dbraw/zinc/41/13/73/1046411373.db2.gz OAJKHISTLYJIHH-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN COc1ccc([C@@H](C)NCc2c(C)cc(C)nc2C)cc1F ZINC000639562711 1046411612 /nfs/dbraw/zinc/41/16/12/1046411612.db2.gz PHORDIYVAZGEIC-CYBMUJFWSA-N 0 3 302.393 4.005 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C(C)C)o1 ZINC000171146963 1046414776 /nfs/dbraw/zinc/41/47/76/1046414776.db2.gz LGUYMLCXWXKUSQ-IAGOWNOFSA-N 0 3 313.445 4.351 20 0 DIADHN O=c1cc(CN2CCCC[C@@H]2c2cccc(Cl)c2)cc[nH]1 ZINC000639612060 1046416896 /nfs/dbraw/zinc/41/68/96/1046416896.db2.gz KGJJOGAPWOSJMJ-MRXNPFEDSA-N 0 3 302.805 4.168 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CC[C@H]3CCC[C@@H]32)o1 ZINC000639597068 1046417127 /nfs/dbraw/zinc/41/71/27/1046417127.db2.gz AECDKBYFIMENQN-QAPCUYQASA-N 0 3 319.408 4.264 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CC[C@H]3CCC[C@H]32)o1 ZINC000639597058 1046417181 /nfs/dbraw/zinc/41/71/81/1046417181.db2.gz AECDKBYFIMENQN-CRAIPNDOSA-N 0 3 319.408 4.264 20 0 DIADHN CC(C)[C@@H](NCc1cc[nH]c(=O)c1)c1ccc(Cl)cc1F ZINC000639597561 1046417219 /nfs/dbraw/zinc/41/72/19/1046417219.db2.gz LVXTWPYWVQWYPN-MRXNPFEDSA-N 0 3 308.784 4.067 20 0 DIADHN C[C@@H]1C[C@H](O)CN1Cc1ccccc1Oc1cccc(Cl)c1 ZINC001139260079 1046420359 /nfs/dbraw/zinc/42/03/59/1046420359.db2.gz MMFRRHMCOTUETC-CJNGLKHVSA-N 0 3 317.816 4.087 20 0 DIADHN CO[C@H]1CCN(Cc2ccccc2Oc2ccc(Cl)cc2)C1 ZINC001139263182 1046420983 /nfs/dbraw/zinc/42/09/83/1046420983.db2.gz ZGASPNLXQMMEBG-KRWDZBQOSA-N 0 3 317.816 4.353 20 0 DIADHN Clc1nc(-c2ccccc2)[nH]c1CN1CCC2(CC2)CC1 ZINC001139279041 1046424028 /nfs/dbraw/zinc/42/40/28/1046424028.db2.gz JADVAOXACGZOEL-UHFFFAOYSA-N 0 3 301.821 4.106 20 0 DIADHN CC1=Nc2ccccc2C12CCN([C@H](C)c1ccncc1)CC2 ZINC000639715998 1046424491 /nfs/dbraw/zinc/42/44/91/1046424491.db2.gz VTRLNQVAOQVREJ-OAHLLOKOSA-N 0 3 305.425 4.282 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccc(C)nc1)CC2 ZINC000639729266 1046425105 /nfs/dbraw/zinc/42/51/05/1046425105.db2.gz MPBZLXNGCUHGRQ-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN COc1ccc2cc(CN3CCC4(CC4)CC3)c(Cl)nc2c1 ZINC001139308559 1046426976 /nfs/dbraw/zinc/42/69/76/1046426976.db2.gz YLGDDLZJOKZCQZ-UHFFFAOYSA-N 0 3 316.832 4.273 20 0 DIADHN CCN(CCOC)Cc1ccccc1Oc1ccc(F)cc1 ZINC001139297970 1046427342 /nfs/dbraw/zinc/42/73/42/1046427342.db2.gz PWCBCTWORVKJBC-UHFFFAOYSA-N 0 3 303.377 4.086 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)noc1C)c1ccc2c(c1)CCO2 ZINC000090886266 1046427659 /nfs/dbraw/zinc/42/76/59/1046427659.db2.gz GKXZRKDWRKRPHI-SJORKVTESA-N 0 3 314.429 4.418 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc2c(c1)CCO2)c1c(C)noc1C ZINC000090886267 1046427827 /nfs/dbraw/zinc/42/78/27/1046427827.db2.gz GKXZRKDWRKRPHI-DLBZAZTESA-N 0 3 314.429 4.418 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2Oc2ccc(F)cc2)CCCO1 ZINC001139297654 1046428082 /nfs/dbraw/zinc/42/80/82/1046428082.db2.gz ICDRZZFXYRMHPX-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN Brc1ccsc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001139311176 1046428952 /nfs/dbraw/zinc/42/89/52/1046428952.db2.gz MMYZQMAUIHSBLV-MNOVXSKESA-N 0 3 300.265 4.133 20 0 DIADHN Oc1ccc2cc(CN3CCC[C@H]3c3ccccn3)ccc2c1 ZINC001139338156 1046430713 /nfs/dbraw/zinc/43/07/13/1046430713.db2.gz RVKAWPUXWVXIAN-FQEVSTJZSA-N 0 3 304.393 4.278 20 0 DIADHN Oc1ccc2cc(CN3CCC[C@@H]3c3ccccn3)ccc2c1 ZINC001139338157 1046431201 /nfs/dbraw/zinc/43/12/01/1046431201.db2.gz RVKAWPUXWVXIAN-HXUWFJFHSA-N 0 3 304.393 4.278 20 0 DIADHN Oc1ccc2cc(CN3CCC[C@@H](C(F)(F)F)C3)ccc2c1 ZINC001139337798 1046431311 /nfs/dbraw/zinc/43/13/11/1046431311.db2.gz GDJSQMJFCPPWIF-OAHLLOKOSA-N 0 3 309.331 4.320 20 0 DIADHN COc1ccc2c(c1)C[C@@H](NCc1c(Cl)cccc1Cl)C2 ZINC001168225214 1046432330 /nfs/dbraw/zinc/43/23/30/1046432330.db2.gz VYBWSOGBSJLUCT-ZDUSSCGKSA-N 0 3 322.235 4.259 20 0 DIADHN c1cc(N2CCCCC2)ncc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001139344681 1046432514 /nfs/dbraw/zinc/43/25/14/1046432514.db2.gz KEOPTMYQTWCKHA-MOPGFXCFSA-N 0 3 313.489 4.227 20 0 DIADHN c1cc(N2CCCCC2)ncc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001139344682 1046432586 /nfs/dbraw/zinc/43/25/86/1046432586.db2.gz KEOPTMYQTWCKHA-OALUTQOASA-N 0 3 313.489 4.227 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCc3nc(C)sc32)ccc1F ZINC000090956899 1046432746 /nfs/dbraw/zinc/43/27/46/1046432746.db2.gz VWSCZWAPPKVJOW-IINYFYTJSA-N 0 3 320.433 4.327 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2ccc(N3CCCCC3)nc2)cc1 ZINC001139344396 1046433210 /nfs/dbraw/zinc/43/32/10/1046433210.db2.gz URTZLZDQXWZRQK-FQEVSTJZSA-N 0 3 321.468 4.409 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCCC(F)(F)CC1 ZINC000639890256 1046435502 /nfs/dbraw/zinc/43/55/02/1046435502.db2.gz QUELAYAGSYBDSS-UHFFFAOYSA-N 0 3 306.356 4.271 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@H](O)CC1CCCC1 ZINC001168233801 1046435682 /nfs/dbraw/zinc/43/56/82/1046435682.db2.gz HUNUJBHRRFTEHD-HBUWYVDXSA-N 0 3 305.437 4.075 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139363626 1046437824 /nfs/dbraw/zinc/43/78/24/1046437824.db2.gz IGWBGSFBZLDECX-CHWSQXEVSA-N 0 3 323.893 4.409 20 0 DIADHN C[C@H]1CCCCN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139362641 1046439017 /nfs/dbraw/zinc/43/90/17/1046439017.db2.gz JEOQCTVUUSMRSR-ZDUSSCGKSA-N 0 3 323.893 4.268 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc3ccccc3n2)c(C)s1 ZINC000676838942 1046439694 /nfs/dbraw/zinc/43/96/94/1046439694.db2.gz IDNZRACEAMLVOW-LBPRGKRZSA-N 0 3 311.454 4.201 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@@H](OC(F)F)C1 ZINC000781354152 1046440903 /nfs/dbraw/zinc/44/09/03/1046440903.db2.gz OKCWQYSVCFWVAB-ZWNOBZJWSA-N 0 3 323.305 4.470 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@H](OC(F)F)C1 ZINC000781354151 1046441210 /nfs/dbraw/zinc/44/12/10/1046441210.db2.gz OKCWQYSVCFWVAB-MFKMUULPSA-N 0 3 323.305 4.470 20 0 DIADHN COc1ncc(CN[C@@H](c2ccccc2)C2CCC2)cc1Cl ZINC000676894016 1046444269 /nfs/dbraw/zinc/44/42/69/1046444269.db2.gz UTAACJGAALSPHQ-KRWDZBQOSA-N 0 3 316.832 4.375 20 0 DIADHN Cc1c(CN(Cc2ccccc2)C(C)(C)C)nc2ccccn21 ZINC001137267354 1046444512 /nfs/dbraw/zinc/44/45/12/1046444512.db2.gz WQDDCJGJLIFXII-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN Nc1ncnc(Cl)c1CN(C1CCCCC1)C1CCCCC1 ZINC001139435379 1046451296 /nfs/dbraw/zinc/45/12/96/1046451296.db2.gz LQXDGVSKKVVHFR-UHFFFAOYSA-N 0 3 322.884 4.180 20 0 DIADHN Cc1c(CN2CCC[C@H](c3ccccc3)CC2)nc2ccccn12 ZINC001137273140 1046451638 /nfs/dbraw/zinc/45/16/38/1046451638.db2.gz DXIGZPUDGYWOFV-IBGZPJMESA-N 0 3 319.452 4.412 20 0 DIADHN CCOc1cc(CN[C@H](CC)c2ccc(F)cc2)ccc1OC ZINC000124066245 1046453489 /nfs/dbraw/zinc/45/34/89/1046453489.db2.gz FDQUFKXUKHULCS-QGZVFWFLSA-N 0 3 317.404 4.474 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H](C4CC4)C3)co2)cc1 ZINC000677028427 1046454720 /nfs/dbraw/zinc/45/47/20/1046454720.db2.gz JVBCPLZYHXPWKF-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CCC[C@@H](C4CC4)C3)nc2c1 ZINC000677029498 1046454860 /nfs/dbraw/zinc/45/48/60/1046454860.db2.gz MLXURFUJCXUZMD-GFCCVEGCSA-N 0 3 324.346 4.469 20 0 DIADHN CCc1ccc(NC(=O)Nc2ccccc2CN2CCCC2)cc1 ZINC001202940569 1046455864 /nfs/dbraw/zinc/45/58/64/1046455864.db2.gz MBCWXUUXTKJIES-UHFFFAOYSA-N 0 3 323.440 4.489 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCc3c2cc(F)cc3F)c1 ZINC000677042977 1046456054 /nfs/dbraw/zinc/45/60/54/1046456054.db2.gz NFRNYOIQOBIMBE-ADLMAVQZSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CCC[C@@H](C3CC3)C2)n1 ZINC000677040438 1046456293 /nfs/dbraw/zinc/45/62/93/1046456293.db2.gz KYVOPGYWFAHSKF-GOEBONIOSA-N 0 3 311.429 4.228 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCc3c2cc(F)cc3F)c1 ZINC000677042985 1046456820 /nfs/dbraw/zinc/45/68/20/1046456820.db2.gz NFRNYOIQOBIMBE-VOJFVSQTSA-N 0 3 303.352 4.312 20 0 DIADHN CCCCC[C@H](CC)NCc1nc(Br)cs1 ZINC001258435184 1046456995 /nfs/dbraw/zinc/45/69/95/1046456995.db2.gz UYDCJCUINDCVKN-JTQLQIEISA-N 0 3 305.285 4.354 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677043091 1046456968 /nfs/dbraw/zinc/45/69/68/1046456968.db2.gz NWHIQEPFUXLKML-VOJFVSQTSA-N 0 3 303.352 4.312 20 0 DIADHN COCc1ccc(CN[C@H]2CCCOc3ccc(C)cc32)cc1 ZINC000124421754 1046457319 /nfs/dbraw/zinc/45/73/19/1046457319.db2.gz VGNISKPHHNAURK-IBGZPJMESA-N 0 3 311.425 4.145 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccc(-c3ccsc3)nc1)C2 ZINC001139503090 1046457691 /nfs/dbraw/zinc/45/76/91/1046457691.db2.gz BZKJLPQSWVFZGG-OAHLLOKOSA-N 0 3 306.381 4.041 20 0 DIADHN CCO[C@H](CN1CC=C(c2ccccc2Cl)CC1)C1CC1 ZINC001168252660 1046458509 /nfs/dbraw/zinc/45/85/09/1046458509.db2.gz QKCGVKFRAUWOKM-GOSISDBHSA-N 0 3 305.849 4.244 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCC[C@@H](C3CC3)C2)o1 ZINC000677047183 1046458708 /nfs/dbraw/zinc/45/87/08/1046458708.db2.gz OVNWNQKPTUDUHV-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC001137282338 1046459534 /nfs/dbraw/zinc/45/95/34/1046459534.db2.gz SEFJSSBJYANXQY-LJQANCHMSA-N 0 3 322.383 4.027 20 0 DIADHN CCCCN(Cc1cc(=O)c(O)co1)[C@H](CC)c1ccccc1 ZINC000124998289 1046462004 /nfs/dbraw/zinc/46/20/04/1046462004.db2.gz YGRWIIKXYJSKPD-QGZVFWFLSA-N 0 3 315.413 4.099 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2)[nH]1)Cc1ccc(C)cc1 ZINC001139556867 1046470629 /nfs/dbraw/zinc/47/06/29/1046470629.db2.gz RFEAROYNTGVDGR-UHFFFAOYSA-N 0 3 305.425 4.407 20 0 DIADHN COc1ccc(CN2CCC3(CC3)C2)c(OCc2ccccc2)c1 ZINC001139558833 1046470974 /nfs/dbraw/zinc/47/09/74/1046470974.db2.gz XVTIFEOVIXSHCA-UHFFFAOYSA-N 0 3 323.436 4.260 20 0 DIADHN Cc1cccc(CCN(C)Cc2c[nH]c(-c3ccccc3)n2)c1 ZINC001139555265 1046471057 /nfs/dbraw/zinc/47/10/57/1046471057.db2.gz SFMGBBNKIAKWJQ-UHFFFAOYSA-N 0 3 305.425 4.060 20 0 DIADHN FC(F)Oc1cccc(CN2CCC(c3ccccn3)CC2)c1 ZINC000677186725 1046472551 /nfs/dbraw/zinc/47/25/51/1046472551.db2.gz IUWYJQUTXNNWSV-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN CC[C@H]1CCN([C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000125845436 1046472606 /nfs/dbraw/zinc/47/26/06/1046472606.db2.gz IZNYOSYLILBLMT-RYUDHWBXSA-N 0 3 305.809 4.183 20 0 DIADHN CC(C)N(Cc1ccc(OC(F)F)cc1)Cc1cccnc1 ZINC000125718895 1046473017 /nfs/dbraw/zinc/47/30/17/1046473017.db2.gz KDFFCYNITHEYIU-UHFFFAOYSA-N 0 3 306.356 4.094 20 0 DIADHN Fc1cccc2nc(CN3CCC[C@@H]3C3CCCCC3)cn21 ZINC001139574140 1046473901 /nfs/dbraw/zinc/47/39/01/1046473901.db2.gz PGWUULNLFCBMTA-MRXNPFEDSA-N 0 3 301.409 4.018 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2-c2ccccc2Cl)CCN1C ZINC001139577048 1046477003 /nfs/dbraw/zinc/47/70/03/1046477003.db2.gz MDFJZBVPUVEQSC-OAHLLOKOSA-N 0 3 314.860 4.143 20 0 DIADHN C[C@@H]1C[C@H](O)CCN1Cc1ccccc1-c1ccccc1Cl ZINC001139577344 1046477292 /nfs/dbraw/zinc/47/72/92/1046477292.db2.gz XGPAAPGHTYXBFX-GDBMZVCRSA-N 0 3 315.844 4.352 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CCCc3ccccc32)cn1 ZINC000126876686 1046478297 /nfs/dbraw/zinc/47/82/97/1046478297.db2.gz DKGWLHPTJXAZDV-LJQANCHMSA-N 0 3 309.457 4.095 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3cccnc3)C2)cc1Cl ZINC001139595903 1046480302 /nfs/dbraw/zinc/48/03/02/1046480302.db2.gz NKLBABXMLBPUIL-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000640992188 1046483168 /nfs/dbraw/zinc/48/31/68/1046483168.db2.gz SEWKQFRPGGEDIJ-ACJLOTCBSA-N 0 3 308.429 4.087 20 0 DIADHN COc1ccc(CN2CCC(OC3CCC3)CC2)cc1C(C)C ZINC001139614705 1046486580 /nfs/dbraw/zinc/48/65/80/1046486580.db2.gz FSNUCBKHOUBXLO-UHFFFAOYSA-N 0 3 317.473 4.352 20 0 DIADHN COc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1C(C)C ZINC001139616994 1046487007 /nfs/dbraw/zinc/48/70/07/1046487007.db2.gz XFNVXMYTYLDECF-INIZCTEOSA-N 0 3 315.379 4.388 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1CC ZINC001139619168 1046488143 /nfs/dbraw/zinc/48/81/43/1046488143.db2.gz YBCNLKPAFIAUKC-MRXNPFEDSA-N 0 3 315.379 4.217 20 0 DIADHN COc1cccc(CCN(C)Cc2scc(C)c2Cl)c1 ZINC001137291026 1046489452 /nfs/dbraw/zinc/48/94/52/1046489452.db2.gz AYVPSMRTJBAVFF-UHFFFAOYSA-N 0 3 309.862 4.393 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3cccnc3)cc2)CC(C)(C)O1 ZINC001139644948 1046492930 /nfs/dbraw/zinc/49/29/30/1046492930.db2.gz WLSNASWZGXNJKK-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN CC(=O)N1CC[C@H](NCc2c(C)cc(C)cc2C)c2ccccc21 ZINC000677426651 1046495969 /nfs/dbraw/zinc/49/59/69/1046495969.db2.gz XGPKHXNGIVWMKK-FQEVSTJZSA-N 0 3 322.452 4.199 20 0 DIADHN CCCc1ccc(CN[C@H]2CCN(C(C)=O)c3ccccc32)cc1 ZINC000677426378 1046496290 /nfs/dbraw/zinc/49/62/90/1046496290.db2.gz POEJVBFRTWZKSF-FQEVSTJZSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(Cc2cncn2C)C1 ZINC000129075617 1046498844 /nfs/dbraw/zinc/49/88/44/1046498844.db2.gz VGHLUUNVCVHMMC-BLLLJJGKSA-N 0 3 323.362 4.022 20 0 DIADHN C[C@@H]1CCN([C@H]2CCc3cc(Cl)ccc32)C[C@H]1n1ccnc1 ZINC000782191961 1046499697 /nfs/dbraw/zinc/49/96/97/1046499697.db2.gz RQSFIWHXOMPXLE-JEBQAFNWSA-N 0 3 315.848 4.107 20 0 DIADHN CCC1(CN(C)Cc2sc3ccccc3c2Cl)COC1 ZINC001202962666 1046504535 /nfs/dbraw/zinc/50/45/35/1046504535.db2.gz MOCYWXZSVRPJAS-UHFFFAOYSA-N 0 3 309.862 4.413 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000677600141 1046505310 /nfs/dbraw/zinc/50/53/10/1046505310.db2.gz YQUDKIVJJQDTJV-MRXNPFEDSA-N 0 3 324.468 4.361 20 0 DIADHN Nc1cnccc1CN[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000641717439 1046507567 /nfs/dbraw/zinc/50/75/67/1046507567.db2.gz AUSHBNCWZWNCDD-GOSISDBHSA-N 0 3 315.848 4.338 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cnc3cccnc3c2)C1 ZINC001139741994 1046508776 /nfs/dbraw/zinc/50/87/76/1046508776.db2.gz PWOUQIDXTVDERI-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NCCNc1ccccn1)CC2 ZINC000677655050 1046510117 /nfs/dbraw/zinc/51/01/17/1046510117.db2.gz OQUHEWYMUPOBNO-AWEZNQCLSA-N 0 3 322.239 4.077 20 0 DIADHN c1ccc([C@@H](NCc2cccnc2N2CCCC2)C2CCC2)cc1 ZINC000641781931 1046513119 /nfs/dbraw/zinc/51/31/19/1046513119.db2.gz FCIMGBDSUKFEEL-HXUWFJFHSA-N 0 3 321.468 4.313 20 0 DIADHN c1ccc([C@H](NCc2cccnc2N2CCCC2)C2CCC2)cc1 ZINC000641781930 1046513994 /nfs/dbraw/zinc/51/39/94/1046513994.db2.gz FCIMGBDSUKFEEL-FQEVSTJZSA-N 0 3 321.468 4.313 20 0 DIADHN Cc1cc(Br)ccc1[C@@H](C)NCc1cccnc1 ZINC000641773031 1046514101 /nfs/dbraw/zinc/51/41/01/1046514101.db2.gz WOWDOFAMKYWRTH-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Nc1cnccc1CN[C@H](c1ccc(F)cc1)C1CCCCC1 ZINC000641776477 1046514159 /nfs/dbraw/zinc/51/41/59/1046514159.db2.gz PUXOPVKUUBYRDM-IBGZPJMESA-N 0 3 313.420 4.214 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(Br)cc1C ZINC000641779516 1046514308 /nfs/dbraw/zinc/51/43/08/1046514308.db2.gz MUNPSRXRCLYXNG-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCC2(CCOC2)CC1 ZINC000677718452 1046515401 /nfs/dbraw/zinc/51/54/01/1046515401.db2.gz CHOQJRMLSHNJJM-ZDUSSCGKSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCC2(CCOC2)CC1 ZINC000677718449 1046516140 /nfs/dbraw/zinc/51/61/40/1046516140.db2.gz CHOQJRMLSHNJJM-CYBMUJFWSA-N 0 3 324.262 4.013 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC[C@@H](F)CC3)s2)cc1 ZINC001139790091 1046516554 /nfs/dbraw/zinc/51/65/54/1046516554.db2.gz PLJBAEDZRIRRGQ-CQSZACIVSA-N 0 3 320.433 4.143 20 0 DIADHN COc1ccc(-c2ncc(CN3CC[C@](C)(CF)C3)s2)cc1 ZINC001139789314 1046517536 /nfs/dbraw/zinc/51/75/36/1046517536.db2.gz FDGATBSXWHEHRX-QGZVFWFLSA-N 0 3 320.433 4.000 20 0 DIADHN Brc1cncc(CN2CCC3(CCCC3)CC2)c1 ZINC000134895941 1046551157 /nfs/dbraw/zinc/55/11/57/1046551157.db2.gz PLHJTEVZJOHDJQ-UHFFFAOYSA-N 0 3 309.251 4.000 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000131466594 1046521947 /nfs/dbraw/zinc/52/19/47/1046521947.db2.gz ZLRXSORBJFDDNX-JXFKEZNVSA-N 0 3 321.468 4.410 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](C)c1cnc(C)s1 ZINC000677754608 1046522630 /nfs/dbraw/zinc/52/26/30/1046522630.db2.gz GRTYRDSQWFDTGX-BZNIZROVSA-N 0 3 302.443 4.188 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](C)c1cnc(C)s1 ZINC000677754611 1046523122 /nfs/dbraw/zinc/52/31/22/1046523122.db2.gz GRTYRDSQWFDTGX-MEDUHNTESA-N 0 3 302.443 4.188 20 0 DIADHN CC[C@@H](O)CCN[C@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925281599 1046525229 /nfs/dbraw/zinc/52/52/29/1046525229.db2.gz LNZDATCOENEXLC-WOJBJXKFSA-N 0 3 312.457 4.045 20 0 DIADHN COCc1ccc(CN(C)Cc2cccc3cccnc32)s1 ZINC001139827418 1046526967 /nfs/dbraw/zinc/52/69/67/1046526967.db2.gz WGRJIIXRMRPRNP-UHFFFAOYSA-N 0 3 312.438 4.075 20 0 DIADHN Fc1cc(CN(Cc2ccccc2)C2CCSCC2)ccn1 ZINC001139835886 1046529440 /nfs/dbraw/zinc/52/94/40/1046529440.db2.gz REDIMRSUDOCALN-UHFFFAOYSA-N 0 3 316.445 4.119 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cccc(Cl)c3)CC2)nc1 ZINC001139839328 1046530029 /nfs/dbraw/zinc/53/00/29/1046530029.db2.gz CEDOEEGJORMODB-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CC2CCCCC2)c(C)c1 ZINC000641958764 1046531771 /nfs/dbraw/zinc/53/17/71/1046531771.db2.gz GFPBROYFCSWNDE-KRWDZBQOSA-N 0 3 316.489 4.451 20 0 DIADHN CN(CCOC(C)(C)C)Cc1cc(Cl)nc2ccccc12 ZINC000782512805 1046532524 /nfs/dbraw/zinc/53/25/24/1046532524.db2.gz WZFOXSMXWINIDU-UHFFFAOYSA-N 0 3 306.837 4.135 20 0 DIADHN COC(CN(C)Cc1cccc2c3ccccc3sc21)OC ZINC001139843857 1046532940 /nfs/dbraw/zinc/53/29/40/1046532940.db2.gz LMWRFLZSVZFXHG-UHFFFAOYSA-N 0 3 315.438 4.105 20 0 DIADHN Cc1ccc([C@H](C)NCc2cncn2Cc2ccccc2)s1 ZINC000782531586 1046533683 /nfs/dbraw/zinc/53/36/83/1046533683.db2.gz WYJWNOKIRKEXDL-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cc(Cl)nc2ccccc12 ZINC000782530377 1046534515 /nfs/dbraw/zinc/53/45/15/1046534515.db2.gz GOLQJRTXQVBBRM-ZDUSSCGKSA-N 0 3 311.816 4.442 20 0 DIADHN CCN(Cc1cc2ccccc2n1C)Cc1cccc(OC)c1 ZINC001139871650 1046537007 /nfs/dbraw/zinc/53/70/07/1046537007.db2.gz JZKWKCSVVWINEC-UHFFFAOYSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2cscn2)CCCC1 ZINC000536624757 1046537158 /nfs/dbraw/zinc/53/71/58/1046537158.db2.gz HTJQEPLSFVLJBN-AWEZNQCLSA-N 0 3 316.470 4.314 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001139883883 1046541858 /nfs/dbraw/zinc/54/18/58/1046541858.db2.gz MIIYPTOXBGAMEE-CJNGLKHVSA-N 0 3 313.363 4.015 20 0 DIADHN CO[C@@H]1CCCN(Cc2cc(C(F)(F)F)ccc2C)CC1 ZINC001139887057 1046544081 /nfs/dbraw/zinc/54/40/81/1046544081.db2.gz LJFRVSGXQRXHEJ-OAHLLOKOSA-N 0 3 301.352 4.015 20 0 DIADHN CO[C@H]1CCCN(Cc2cc(C(F)(F)F)ccc2C)CC1 ZINC001139887055 1046545911 /nfs/dbraw/zinc/54/59/11/1046545911.db2.gz LJFRVSGXQRXHEJ-HNNXBMFYSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN(C)C[C@H]1CCCCO1 ZINC001139884854 1046546219 /nfs/dbraw/zinc/54/62/19/1046546219.db2.gz JBDGVSORLOJROZ-OAHLLOKOSA-N 0 3 301.352 4.015 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@@H](OCc2ccccc2)C1 ZINC001137319746 1046547996 /nfs/dbraw/zinc/54/79/96/1046547996.db2.gz NKXXMNCVBFTORQ-HXUWFJFHSA-N 0 3 320.436 4.349 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1cncc2ccccc21 ZINC001139897155 1046549663 /nfs/dbraw/zinc/54/96/63/1046549663.db2.gz WXLLGXWKXSKSFE-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Cn1ncc2ccc(CN3CCC[C@H]3c3ccccc3F)cc21 ZINC001139907308 1046550590 /nfs/dbraw/zinc/55/05/90/1046550590.db2.gz DZZHMDAPFDBLEC-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN Cn1ncc2ccc(CN3CCC[C@H]3c3cccc(F)c3)cc21 ZINC001139908541 1046552641 /nfs/dbraw/zinc/55/26/41/1046552641.db2.gz KBLCGVXTXOZDFO-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN C[C@H]1CCN(Cc2cnn(C)c2)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000135393697 1046554238 /nfs/dbraw/zinc/55/42/38/1046554238.db2.gz QUNOZMFFLBDQOX-LRDDRELGSA-N 0 3 323.362 4.022 20 0 DIADHN C[C@H]1CCCCCN1Cc1c[nH]c2nccc(Br)c12 ZINC001139944539 1046554458 /nfs/dbraw/zinc/55/44/58/1046554458.db2.gz HUVZXQPOEQFEFI-NSHDSACASA-N 0 3 322.250 4.090 20 0 DIADHN COc1ccc(CNC2(c3cccc(Cl)c3)CC2)cc1C ZINC000237345581 1046554706 /nfs/dbraw/zinc/55/47/06/1046554706.db2.gz MXEXTUSBMFSCFG-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1c[nH]c2nccc(Br)c12 ZINC001139943634 1046555465 /nfs/dbraw/zinc/55/54/65/1046555465.db2.gz GKCISOUOLSUDDY-QWRGUYRKSA-N 0 3 322.250 4.088 20 0 DIADHN Fc1ccc(N2CCCN(Cc3cc4ccccc4[nH]3)CC2)cc1 ZINC001137321414 1046555919 /nfs/dbraw/zinc/55/59/19/1046555919.db2.gz KTFYNFVMEUONAR-UHFFFAOYSA-N 0 3 323.415 4.019 20 0 DIADHN Cn1ncc2cc(CN3CCC(c4ccccc4F)CC3)ccc21 ZINC001139952113 1046558226 /nfs/dbraw/zinc/55/82/26/1046558226.db2.gz VLQBKBIBXWSDHT-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN C[C@H](N[C@H](CN1CCCCC1)c1ccccc1)c1cscn1 ZINC000678114966 1046558692 /nfs/dbraw/zinc/55/86/92/1046558692.db2.gz RMSDTMJGFVXVBH-DOTOQJQBSA-N 0 3 315.486 4.021 20 0 DIADHN C[C@@H]1CCCCN1Cc1c[nH]c2cccc(Br)c12 ZINC001139964458 1046559006 /nfs/dbraw/zinc/55/90/06/1046559006.db2.gz KDULSKRXXPMKSY-LLVKDONJSA-N 0 3 307.235 4.305 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)o1)CC1=CCCOC1 ZINC000678125455 1046561115 /nfs/dbraw/zinc/56/11/15/1046561115.db2.gz KUFHZFSJOJGCKT-UHFFFAOYSA-N 0 3 317.816 4.379 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCOC3(CCCCC3)C2)cs1 ZINC000136747431 1046561120 /nfs/dbraw/zinc/56/11/20/1046561120.db2.gz FVJMNNWSIYQGJV-CQSZACIVSA-N 0 3 322.518 4.412 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCOC3(CCCC3)C2)cs1 ZINC000136714731 1046561381 /nfs/dbraw/zinc/56/13/81/1046561381.db2.gz HLQVYAWYMKJIFF-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN CN(Cc1n[nH]c2ccccc21)Cc1cccc2ccccc21 ZINC000678132952 1046561899 /nfs/dbraw/zinc/56/18/99/1046561899.db2.gz QILOPNITORVQIZ-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CN(Cc1[nH]nc2ccccc21)Cc1cccc2ccccc21 ZINC000678132952 1046561915 /nfs/dbraw/zinc/56/19/15/1046561915.db2.gz QILOPNITORVQIZ-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CC(C)CN(CC(=O)Nc1ccccc1C(C)(C)C)C1CC1 ZINC000642094249 1046562198 /nfs/dbraw/zinc/56/21/98/1046562198.db2.gz KTCLPGPKCSYLGU-UHFFFAOYSA-N 0 3 302.462 4.043 20 0 DIADHN c1ccc(O[C@H]2CCCN(Cc3cc4ccccc4cn3)C2)cc1 ZINC001139997524 1046565394 /nfs/dbraw/zinc/56/53/94/1046565394.db2.gz HXXFYFUJXBEDDC-NRFANRHFSA-N 0 3 318.420 4.278 20 0 DIADHN CCN(Cc1cc(Br)cnc1F)C1CCCCC1 ZINC001140002602 1046565480 /nfs/dbraw/zinc/56/54/80/1046565480.db2.gz CLABVTVMARUWTQ-UHFFFAOYSA-N 0 3 315.230 4.138 20 0 DIADHN CC[C@H](NCc1cccc(OC)n1)c1cccc2ccccc21 ZINC000783240721 1046567786 /nfs/dbraw/zinc/56/77/86/1046567786.db2.gz OZOFKKPFVPOTRI-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN CCOC1CCN(Cc2ccc(OCCC(C)C)cc2)CC1 ZINC000678152559 1046571619 /nfs/dbraw/zinc/57/16/19/1046571619.db2.gz JZHLDLZVTLNLSS-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1Cl)N1CC[C@@H](CCO)C1 ZINC000445317084 1046572131 /nfs/dbraw/zinc/57/21/31/1046572131.db2.gz GXECYHALCGWKBU-NHYWBVRUSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccccc1-c1cncnc1)C2 ZINC001140024046 1046573093 /nfs/dbraw/zinc/57/30/93/1046573093.db2.gz VVSSLAZDYFQJAJ-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN COc1cccc(CN(Cc2cnn(C(C)C)c2)CC(C)C)c1 ZINC000678156837 1046573546 /nfs/dbraw/zinc/57/35/46/1046573546.db2.gz PBFXCWHHVUTXJL-UHFFFAOYSA-N 0 3 315.461 4.131 20 0 DIADHN c1c(CN2CCC(c3cccnc3)CC2)onc1-c1ccccc1 ZINC000678168895 1046576460 /nfs/dbraw/zinc/57/64/60/1046576460.db2.gz HOZAVWDTBLWRHF-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN Fc1cc2cccnc2c(CN2CCC(c3cccnc3)CC2)c1 ZINC000678168556 1046577039 /nfs/dbraw/zinc/57/70/39/1046577039.db2.gz UWWADEAKIGZFTO-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CCCCN(Cc1cc(-c2ccc(OC)cc2)no1)C1CC1 ZINC000642189540 1046577713 /nfs/dbraw/zinc/57/77/13/1046577713.db2.gz OSIXOLLSHAYDEK-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2ccnc(Cl)c2)c1 ZINC000783316762 1046581497 /nfs/dbraw/zinc/58/14/97/1046581497.db2.gz LQAPVLBJJIDQHI-LBPRGKRZSA-N 0 3 306.862 4.449 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1ccc(O)cc1Cl ZINC001140083320 1046585104 /nfs/dbraw/zinc/58/51/04/1046585104.db2.gz MSHOEJWCFFANOE-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(O)cc2Cl)C1 ZINC001140081181 1046586629 /nfs/dbraw/zinc/58/66/29/1046586629.db2.gz GYCAQLRWPYQQGN-CYBMUJFWSA-N 0 3 317.816 4.044 20 0 DIADHN FC[C@@H]1CCCN(Cc2cnc(-c3ccc(F)cc3)s2)C1 ZINC001140095705 1046588793 /nfs/dbraw/zinc/58/87/93/1046588793.db2.gz DUIKOWJECHADJV-LBPRGKRZSA-N 0 3 308.397 4.131 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@@H](C)CCc2cccc(F)c2)no1 ZINC000783407165 1046589441 /nfs/dbraw/zinc/58/94/41/1046589441.db2.gz BFYHIIZWKPCLAQ-SCLBCKFNSA-N 0 3 302.393 4.280 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@H](C)CCc2cccc(F)c2)no1 ZINC000783407163 1046590130 /nfs/dbraw/zinc/59/01/30/1046590130.db2.gz BFYHIIZWKPCLAQ-FZKQIMNGSA-N 0 3 302.393 4.280 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@@H](C)CCc2cccc(F)c2)no1 ZINC000783407166 1046590330 /nfs/dbraw/zinc/59/03/30/1046590330.db2.gz BFYHIIZWKPCLAQ-UGSOOPFHSA-N 0 3 302.393 4.280 20 0 DIADHN Cc1ccoc1CN1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000678219978 1046591297 /nfs/dbraw/zinc/59/12/97/1046591297.db2.gz OJDMPODSEBEQKO-INIZCTEOSA-N 0 3 310.441 4.004 20 0 DIADHN CC[C@H](NCc1ccc(Cl)cc1)c1ccc2c(c1)OCCO2 ZINC000236935859 1046592780 /nfs/dbraw/zinc/59/27/80/1046592780.db2.gz TUKIXFBZDHCDQP-INIZCTEOSA-N 0 3 317.816 4.352 20 0 DIADHN Cc1ncsc1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000678238834 1046595102 /nfs/dbraw/zinc/59/51/02/1046595102.db2.gz HMWLHGRWGYZIHP-UHFFFAOYSA-N 0 3 308.397 4.109 20 0 DIADHN COc1ccccc1CN1CCC(c2nc3ccccc3o2)CC1 ZINC000120035944 1046595778 /nfs/dbraw/zinc/59/57/78/1046595778.db2.gz QNOLKBKUIOKHGV-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN CCOc1cccc(CN2CCCO[C@@H](c3ccccc3)C2)c1 ZINC000678239206 1046595645 /nfs/dbraw/zinc/59/56/45/1046595645.db2.gz WHFMTANUXNHSHK-HXUWFJFHSA-N 0 3 311.425 4.049 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2)C2CCC2)c(OC)c1 ZINC000105430879 1046596396 /nfs/dbraw/zinc/59/63/96/1046596396.db2.gz PKGHFYUWVRXHBU-HXUWFJFHSA-N 0 3 311.425 4.335 20 0 DIADHN Fc1ccc(/C=C/CN2CCCO[C@@H](c3ccccc3)C2)cc1 ZINC000678239006 1046596654 /nfs/dbraw/zinc/59/66/54/1046596654.db2.gz UFSPLJLXDMYAEX-PEAOBZDDSA-N 0 3 311.400 4.303 20 0 DIADHN Fc1ccc(CNCCOc2ccc3ccccc3c2)c(F)c1 ZINC000642339127 1046599177 /nfs/dbraw/zinc/59/91/77/1046599177.db2.gz NTJDDLKKIMFRRT-UHFFFAOYSA-N 0 3 313.347 4.287 20 0 DIADHN Cc1nc(CNCC2(c3ccccc3)CCCCC2)cs1 ZINC000642341804 1046599414 /nfs/dbraw/zinc/59/94/14/1046599414.db2.gz OIXBBVRBBHNCEL-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN c1cncc([C@@H]2CCCCN2Cc2cccc3cnccc32)c1 ZINC001140144775 1046599916 /nfs/dbraw/zinc/59/99/16/1046599916.db2.gz OUZONOTXNORETF-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN CSCc1cnc(CN[C@H](C)[C@H]2CC3CCC2CC3)s1 ZINC001203005891 1046600546 /nfs/dbraw/zinc/60/05/46/1046600546.db2.gz JNOIIIYHTNNAFU-XZNOPERXSA-N 0 3 310.532 4.311 20 0 DIADHN COC[C@H](C)CN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000527106710 1046601830 /nfs/dbraw/zinc/60/18/30/1046601830.db2.gz NSLPNIGSZOPISY-ABAIWWIYSA-N 0 3 302.245 4.413 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3ccco3)C2)ccc1OC(F)F ZINC000678262290 1046601965 /nfs/dbraw/zinc/60/19/65/1046601965.db2.gz LLIMUOBBIPCUQY-GFCCVEGCSA-N 0 3 311.303 4.010 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@H](C)c1nc(C)cs1 ZINC000783506050 1046602018 /nfs/dbraw/zinc/60/20/18/1046602018.db2.gz OBCSIEDKRZOZBG-DGCLKSJQSA-N 0 3 306.500 4.455 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCCc3sccc3C2)cc1 ZINC000678259493 1046602603 /nfs/dbraw/zinc/60/26/03/1046602603.db2.gz SGNWAEXLNNUUKD-UHFFFAOYSA-N 0 3 323.465 4.395 20 0 DIADHN COc1cc(CN2CCC[C@H](Oc3ccccc3)C2)ccc1C ZINC001140159723 1046603485 /nfs/dbraw/zinc/60/34/85/1046603485.db2.gz VGZHKJOYKFPORJ-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN C[C@@H]1COCCCN1Cc1ccc(-c2ccccc2Cl)o1 ZINC000678274603 1046606605 /nfs/dbraw/zinc/60/66/05/1046606605.db2.gz QTCAJIONEYGEAL-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccccc3)CC2)c(C)cc1O ZINC001140197451 1046611631 /nfs/dbraw/zinc/61/16/31/1046611631.db2.gz OVLUIRYVXJNGRE-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1ncccc1C(F)(F)F ZINC000678282186 1046611632 /nfs/dbraw/zinc/61/16/32/1046611632.db2.gz PFBJAVKZOAJNRE-ZFWWWQNUSA-N 0 3 320.358 4.478 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNC1CC2(CCC2)C1 ZINC001203011797 1046613914 /nfs/dbraw/zinc/61/39/14/1046613914.db2.gz PZDUFRAQACRYCR-UHFFFAOYSA-N 0 3 303.299 4.147 20 0 DIADHN CCc1sc(-c2nnc(CN(CC)CC(C)C)o2)cc1C ZINC000642526996 1046618142 /nfs/dbraw/zinc/61/81/42/1046618142.db2.gz WYBIHYSDWNTFSV-UHFFFAOYSA-N 0 3 307.463 4.147 20 0 DIADHN N#Cc1csc(CN2CCC(c3ccc(F)cc3)CC2)c1 ZINC000093325161 1046621606 /nfs/dbraw/zinc/62/16/06/1046621606.db2.gz QSWRGOQSRQDHBB-UHFFFAOYSA-N 0 3 300.402 4.138 20 0 DIADHN CCc1ncc(C[N@H+](Cc2ccccc2[O-])C2CCCC2)s1 ZINC000093319213 1046621855 /nfs/dbraw/zinc/62/18/55/1046621855.db2.gz CGASCDDWFJCVML-UHFFFAOYSA-N 0 3 316.470 4.356 20 0 DIADHN CCc1ncc(C[N@@H+](Cc2ccccc2[O-])C2CCCC2)s1 ZINC000093319213 1046621858 /nfs/dbraw/zinc/62/18/58/1046621858.db2.gz CGASCDDWFJCVML-UHFFFAOYSA-N 0 3 316.470 4.356 20 0 DIADHN CCc1nn(C)cc1CNC(C)(C)c1ccc(C(C)(C)C)cc1 ZINC000678360807 1046625135 /nfs/dbraw/zinc/62/51/35/1046625135.db2.gz JYPWZVCHZPIQAK-UHFFFAOYSA-N 0 3 313.489 4.305 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H]3CCC[C@H](C)C3)co2)cc1 ZINC000642636420 1046625044 /nfs/dbraw/zinc/62/50/44/1046625044.db2.gz RHCZICAWROQZHE-WMLDXEAASA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)N(C(=O)CN(C1CC1)C1CCCCC1)c1ccccc1 ZINC000642634105 1046625734 /nfs/dbraw/zinc/62/57/34/1046625734.db2.gz OZPVJDVUOZGBHP-UHFFFAOYSA-N 0 3 314.473 4.225 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)C[C@H](C)c2ccccc2)c(C)c1 ZINC000532941540 1046629005 /nfs/dbraw/zinc/62/90/05/1046629005.db2.gz RORZXAHARLYUPX-ZWKOTPCHSA-N 0 3 324.468 4.366 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCC1)c1nc(Br)cs1 ZINC000834585279 1046633894 /nfs/dbraw/zinc/63/38/94/1046633894.db2.gz LMLCZNJSZUNPOO-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](C)c1cnccc1C)CCC2 ZINC000678425666 1046636867 /nfs/dbraw/zinc/63/68/67/1046636867.db2.gz BNHMOOXSOYEHMD-TZMCWYRMSA-N 0 3 301.459 4.137 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)c(C)n1 ZINC000678428276 1046637782 /nfs/dbraw/zinc/63/77/82/1046637782.db2.gz QGJPEVPZPZUHHN-DOTOQJQBSA-N 0 3 320.440 4.296 20 0 DIADHN [O-]c1cnccc1C[N@@H+]1CC[C@H](c2cccc(Cl)c2Cl)C1 ZINC001140276986 1046638392 /nfs/dbraw/zinc/63/83/92/1046638392.db2.gz ORIBVDDWURLSAZ-NSHDSACASA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnccc1C[N@H+]1CC[C@H](c2cccc(Cl)c2Cl)C1 ZINC001140276986 1046638397 /nfs/dbraw/zinc/63/83/97/1046638397.db2.gz ORIBVDDWURLSAZ-NSHDSACASA-N 0 3 323.223 4.084 20 0 DIADHN COc1ccc(-c2nc(CN(CC3CC3)C(C)C)cs2)cc1 ZINC000533036626 1046642295 /nfs/dbraw/zinc/64/22/95/1046642295.db2.gz NYFUNZHZSJWNFK-UHFFFAOYSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@@H](NCC1(C)CCCC1)c1nc(Br)cs1 ZINC000834640324 1046643081 /nfs/dbraw/zinc/64/30/81/1046643081.db2.gz JSZQGXJWQPRXLV-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN Fc1ccc(-c2cnc(CN(CC3CC3)CC3CC3)o2)cc1 ZINC000533058264 1046645927 /nfs/dbraw/zinc/64/59/27/1046645927.db2.gz ITXHQHYFNBHMGX-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000678535166 1046652349 /nfs/dbraw/zinc/65/23/49/1046652349.db2.gz QPWVLJADIHEKNR-RISCZKNCSA-N 0 3 305.809 4.153 20 0 DIADHN CN(Cc1cccc(Br)c1OC(F)F)C1CCC1 ZINC000533099735 1046653149 /nfs/dbraw/zinc/65/31/49/1046653149.db2.gz XSSBLGCMKBCKQQ-UHFFFAOYSA-N 0 3 320.177 4.035 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000678541705 1046653169 /nfs/dbraw/zinc/65/31/69/1046653169.db2.gz KCFBVSSDINVXEM-NQIIRXRSSA-N 0 3 323.484 4.268 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1F)c1nc2c(s1)CCCC2 ZINC000678544878 1046654123 /nfs/dbraw/zinc/65/41/23/1046654123.db2.gz VKDYHKOYGLHLOM-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN Cc1ccc(CN(C)Cc2ccccc2-c2cccnc2)cn1 ZINC001140318811 1046654262 /nfs/dbraw/zinc/65/42/62/1046654262.db2.gz MZPVNBYZFJNECT-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN c1ccc2[nH]c(C3CN(Cc4cccc(C5CC5)c4)C3)nc2c1 ZINC000643285896 1046655390 /nfs/dbraw/zinc/65/53/90/1046655390.db2.gz DCISMTPEMZDKLC-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN CC(C)N(Cc1ccc(N(C)C)nc1)[C@@H](C)c1ccsc1 ZINC000643296098 1046656170 /nfs/dbraw/zinc/65/61/70/1046656170.db2.gz XKFJDLCBOLIOIM-AWEZNQCLSA-N 0 3 303.475 4.181 20 0 DIADHN COc1ccc(CN2CC(C3CC3)C2)cc1OCc1ccccc1 ZINC000643345722 1046661497 /nfs/dbraw/zinc/66/14/97/1046661497.db2.gz IQGKCIOMRYNICC-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN CCCOC1CCN(Cc2cccc(-c3nccs3)c2)CC1 ZINC001140335312 1046662386 /nfs/dbraw/zinc/66/23/86/1046662386.db2.gz WPGCCUXFXZCYOX-UHFFFAOYSA-N 0 3 316.470 4.201 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@H]1CCc3c1cccc3C)CCO2 ZINC000678582420 1046662584 /nfs/dbraw/zinc/66/25/84/1046662584.db2.gz IIXDZPRQAGNGKC-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CCc2c1cccc2C ZINC000678583331 1046663182 /nfs/dbraw/zinc/66/31/82/1046663182.db2.gz XJIYOIUZSUOCGR-MSOLQXFVSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1sccc1C ZINC000678589775 1046666189 /nfs/dbraw/zinc/66/61/89/1046666189.db2.gz RDDFVFUDGLQWLI-TZMCWYRMSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NC1CC(F)(F)C1 ZINC000429464460 1046666817 /nfs/dbraw/zinc/66/68/17/1046666817.db2.gz CPEDDBFLFMQZLC-ZDUSSCGKSA-N 0 3 301.764 4.249 20 0 DIADHN C[C@H](CCC1CC1)N[C@@H](C)c1nc(Br)cs1 ZINC000834770840 1046668263 /nfs/dbraw/zinc/66/82/63/1046668263.db2.gz ZFFQETLRUAHNQB-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN CSCCCCCN[C@H](C)c1nc(Br)cs1 ZINC000834770098 1046668967 /nfs/dbraw/zinc/66/89/67/1046668967.db2.gz SPIHXADVDIQDQQ-SECBINFHSA-N 0 3 323.325 4.090 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC000678594801 1046669256 /nfs/dbraw/zinc/66/92/56/1046669256.db2.gz MYEPCQWIXJYICX-KPZWWZAWSA-N 0 3 321.367 4.012 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(F)cc1F)c1ccc(F)cc1 ZINC000678594934 1046669535 /nfs/dbraw/zinc/66/95/35/1046669535.db2.gz NNZLHDOHEOGEPR-GTNSWQLSSA-N 0 3 309.331 4.142 20 0 DIADHN C[C@H](NCC(C)(C)[C@@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000678597473 1046670335 /nfs/dbraw/zinc/67/03/35/1046670335.db2.gz DYENJBHDNJIVBP-BBRMVZONSA-N 0 3 322.518 4.268 20 0 DIADHN C[C@@H](NC1CCC2(CC2)CC1)c1nc(Br)cs1 ZINC000834784335 1046670380 /nfs/dbraw/zinc/67/03/80/1046670380.db2.gz VUOTWDQSMNNRHG-SECBINFHSA-N 0 3 315.280 4.279 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](N[C@H]1CCCc3cn[nH]c31)CC2 ZINC000678598343 1046672321 /nfs/dbraw/zinc/67/23/21/1046672321.db2.gz FWADYMRRZPQFPH-KBPBESRZSA-N 0 3 322.239 4.371 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc3c(c(Cl)c2)OCO3)ccc1F ZINC000678598890 1046672521 /nfs/dbraw/zinc/67/25/21/1046672521.db2.gz QQXSQIGPZJPXOJ-LLVKDONJSA-N 0 3 321.779 4.367 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(-c2cncnc2)cc1 ZINC001140353612 1046672680 /nfs/dbraw/zinc/67/26/80/1046672680.db2.gz MTRUZHCWZBWVIT-VMPITWQZSA-N 0 3 315.420 4.289 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1ccccc1 ZINC000533224219 1046673832 /nfs/dbraw/zinc/67/38/32/1046673832.db2.gz RAFMGGYVFPJJMS-AEFFLSMTSA-N 0 3 304.478 4.007 20 0 DIADHN Cc1nc([C@@H](C)NCC2(Cc3ccccc3)CC2)c(C)s1 ZINC000678602544 1046673871 /nfs/dbraw/zinc/67/38/71/1046673871.db2.gz CQTRMVSQJQFBNO-CYBMUJFWSA-N 0 3 300.471 4.433 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2CCOC3(CCCCC3)C2)c(C)s1 ZINC000678601254 1046674660 /nfs/dbraw/zinc/67/46/60/1046674660.db2.gz ZNWPAGCLKLUBHC-IUODEOHRSA-N 0 3 308.491 4.292 20 0 DIADHN CCOc1cccc(CCN[C@H](C)c2nc(C)sc2C)c1 ZINC000678602240 1046674674 /nfs/dbraw/zinc/67/46/74/1046674674.db2.gz KWWZHLTYYCSERD-GFCCVEGCSA-N 0 3 304.459 4.052 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)NCc1cc(C)ncn1 ZINC000678605323 1046675455 /nfs/dbraw/zinc/67/54/55/1046675455.db2.gz PJOPQNMEMAUXAD-CQSZACIVSA-N 0 3 321.877 4.099 20 0 DIADHN Cc1ccc2c(CN(C)C3CC3)cn(C(=O)OC(C)(C)C)c2c1 ZINC001140375033 1046675640 /nfs/dbraw/zinc/67/56/40/1046675640.db2.gz QHVGBLZISXVRID-UHFFFAOYSA-N 0 3 314.429 4.327 20 0 DIADHN OC[C@H](CC1CC1)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000678608826 1046677045 /nfs/dbraw/zinc/67/70/45/1046677045.db2.gz YRNYGYNRSUIOCW-GXSJLCMTSA-N 0 3 320.285 4.183 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)C2CCC2)nnn1-c1ccc(Cl)cc1 ZINC000678607710 1046677514 /nfs/dbraw/zinc/67/75/14/1046677514.db2.gz QNPWTEFWYPQFBF-NWDGAFQWSA-N 0 3 318.852 4.068 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(-c3ccccn3)cc2)c1 ZINC001140380499 1046677763 /nfs/dbraw/zinc/67/77/63/1046677763.db2.gz WWARWKYJNBTZBP-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N1C2CCC1CC2)c1ccc2ccccc2c1 ZINC000533237289 1046678741 /nfs/dbraw/zinc/67/87/41/1046678741.db2.gz KNGQVYWGERBLCI-XSYNCLLFSA-N 0 3 322.452 4.032 20 0 DIADHN CCCC1CCC(NCc2nc(SC)ncc2Cl)CC1 ZINC001258529814 1046679201 /nfs/dbraw/zinc/67/92/01/1046679201.db2.gz AXHUGIQIDPATRD-UHFFFAOYSA-N 0 3 313.898 4.300 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CCC[C@H](C)CC1 ZINC000533247160 1046681128 /nfs/dbraw/zinc/68/11/28/1046681128.db2.gz SVYMRTTWMAWOOD-JKSUJKDBSA-N 0 3 316.489 4.260 20 0 DIADHN C[C@H](NCc1cc(F)cnc1Cl)[C@@H]1C[C@H]1c1ccccc1 ZINC000834837055 1046682439 /nfs/dbraw/zinc/68/24/39/1046682439.db2.gz RUECQZFOLDFZDD-UVBJJODRSA-N 0 3 304.796 4.156 20 0 DIADHN OCc1ccc(CN(Cc2ccc(F)cc2)C2CCCCC2)o1 ZINC000533263402 1046684957 /nfs/dbraw/zinc/68/49/57/1046684957.db2.gz ZVKVXVRXBIGTNC-UHFFFAOYSA-N 0 3 317.404 4.246 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N(C)C[C@H](C)c2ccccc2)c1C ZINC000533263300 1046685362 /nfs/dbraw/zinc/68/53/62/1046685362.db2.gz UWYMZYAOSBJTOJ-FUHWJXTLSA-N 0 3 324.468 4.366 20 0 DIADHN CCC/C=C/C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001140391463 1046687164 /nfs/dbraw/zinc/68/71/64/1046687164.db2.gz SFUOMABPWVBWHS-IWAYRVGRSA-N 0 3 322.880 4.195 20 0 DIADHN CC1(C)CC[C@@H](NCc2nc3cc(Br)ccc3o2)C1 ZINC000643884940 1046688563 /nfs/dbraw/zinc/68/85/63/1046688563.db2.gz AFDDQOIYNLGBEJ-LLVKDONJSA-N 0 3 323.234 4.259 20 0 DIADHN CC1(C)CCC(C)(NCc2cccnc2Br)CC1 ZINC000864050438 1046690179 /nfs/dbraw/zinc/69/01/79/1046690179.db2.gz HSSIYDMOAQKOHM-UHFFFAOYSA-N 0 3 311.267 4.293 20 0 DIADHN COc1ccc(-c2nc(CN(C(C)C)C(C)C)cs2)cc1 ZINC000678714400 1046690906 /nfs/dbraw/zinc/69/09/06/1046690906.db2.gz QTWOVKOCGVKKRD-UHFFFAOYSA-N 0 3 304.459 4.438 20 0 DIADHN CC[C@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc(Cl)cc1 ZINC000784529114 1046694992 /nfs/dbraw/zinc/69/49/92/1046694992.db2.gz FWJVBDFZEYBRBI-KRWDZBQOSA-N 0 3 321.848 4.209 20 0 DIADHN C[C@H](Cc1ccc(-c2ccccc2)cc1)N[C@H](C)c1nccn1C ZINC000678768439 1046696519 /nfs/dbraw/zinc/69/65/19/1046696519.db2.gz ZJHCDQUOEQEBGM-IAGOWNOFSA-N 0 3 319.452 4.369 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1c2ccccc2CC[C@@H]1C ZINC000678770104 1046698818 /nfs/dbraw/zinc/69/88/18/1046698818.db2.gz LCGMDYUVCUXPCP-ONTRVFCTSA-N 0 3 323.436 4.432 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1ccnn1-c1ccccc1 ZINC000834884953 1046700879 /nfs/dbraw/zinc/70/08/79/1046700879.db2.gz REZPOGXYLHMMCP-DOMZBBRYSA-N 0 3 324.255 4.107 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000678775942 1046701052 /nfs/dbraw/zinc/70/10/52/1046701052.db2.gz HIWOYTAYHOLSJC-LJQANCHMSA-N 0 3 308.425 4.065 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccnn1-c1ccccc1 ZINC000834884955 1046701370 /nfs/dbraw/zinc/70/13/70/1046701370.db2.gz REZPOGXYLHMMCP-SWLSCSKDSA-N 0 3 324.255 4.107 20 0 DIADHN CC(C)N(CC(=O)Nc1c(Cl)cccc1Cl)CC1CC1 ZINC000533358999 1046701937 /nfs/dbraw/zinc/70/19/37/1046701937.db2.gz SDYXQRQOFGJRAH-UHFFFAOYSA-N 0 3 315.244 4.052 20 0 DIADHN CN(C)c1cccc(CNC(C)(C)c2ccc(F)c(F)c2)c1 ZINC000678791360 1046703054 /nfs/dbraw/zinc/70/30/54/1046703054.db2.gz VUHIWGPYQAXLRT-UHFFFAOYSA-N 0 3 304.384 4.056 20 0 DIADHN C[C@H](NCCC[C@H]1CCCN1C(=O)OC(C)(C)C)c1ccco1 ZINC000834893487 1046704225 /nfs/dbraw/zinc/70/42/25/1046704225.db2.gz OEZWEYDMMDARGI-GJZGRUSLSA-N 0 3 322.449 4.110 20 0 DIADHN CC[C@H](C)c1ccc(COC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000784651360 1046704167 /nfs/dbraw/zinc/70/41/67/1046704167.db2.gz YMUGLLUJGUSMCI-HNNXBMFYSA-N 0 3 315.457 4.118 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCCOc1cccc(Cl)c1 ZINC000678805529 1046705280 /nfs/dbraw/zinc/70/52/80/1046705280.db2.gz WEOKSMMJKDNKSU-LLVKDONJSA-N 0 3 308.809 4.065 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1cccc(Br)c1 ZINC000533377040 1046707185 /nfs/dbraw/zinc/70/71/85/1046707185.db2.gz VLTCSDABFBKGGW-GFCCVEGCSA-N 0 3 320.230 4.348 20 0 DIADHN FCC1CCN(Cc2cnc(-c3cccc(F)c3)s2)CC1 ZINC001140419491 1046707387 /nfs/dbraw/zinc/70/73/87/1046707387.db2.gz HRPJPNCMQYHMNF-UHFFFAOYSA-N 0 3 308.397 4.131 20 0 DIADHN CCC[C@H](C)CN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000678819473 1046709628 /nfs/dbraw/zinc/70/96/28/1046709628.db2.gz ZELPZEJARPIEEI-HBNTYKKESA-N 0 3 305.306 4.485 20 0 DIADHN Cn1cnc2cc(CN3CC(C)(C)[C@@H]3c3ccccc3)ccc21 ZINC000678819756 1046710803 /nfs/dbraw/zinc/71/08/03/1046710803.db2.gz WRKDKJSDBADFJC-IBGZPJMESA-N 0 3 305.425 4.156 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1ccnc(Cl)c1 ZINC000834902583 1046711287 /nfs/dbraw/zinc/71/12/87/1046711287.db2.gz HHKJUEGLLKDZFN-BBRMVZONSA-N 0 3 315.848 4.357 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccnc(Cl)c1 ZINC000834902586 1046711762 /nfs/dbraw/zinc/71/17/62/1046711762.db2.gz HHKJUEGLLKDZFN-XJKSGUPXSA-N 0 3 315.848 4.357 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccnc(Cl)c1 ZINC000834902585 1046712755 /nfs/dbraw/zinc/71/27/55/1046712755.db2.gz HHKJUEGLLKDZFN-CZUORRHYSA-N 0 3 315.848 4.357 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1cccnc1Cl ZINC000834905854 1046716392 /nfs/dbraw/zinc/71/63/92/1046716392.db2.gz WQWBYFUYCYANQC-OUCADQQQSA-N 0 3 322.861 4.367 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000246165488 1046719248 /nfs/dbraw/zinc/71/92/48/1046719248.db2.gz UXDZMSVQPMGWDR-GOPGUHFVSA-N 0 3 320.795 4.055 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)N[C@@H](C)c2cccnc2Cl)c1 ZINC000834913956 1046720233 /nfs/dbraw/zinc/72/02/33/1046720233.db2.gz RPAYIADFRXAKKL-NEPJUHHUSA-N 0 3 322.811 4.165 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H]3CCCc4n[nH]cc43)cc2)cs1 ZINC000796714064 1046720668 /nfs/dbraw/zinc/72/06/68/1046720668.db2.gz BOMWKZYZUABDDN-MRXNPFEDSA-N 0 3 324.453 4.009 20 0 DIADHN CC(C)CC[C@@H](NC[C@H](O)c1cccc(F)c1)c1ccoc1 ZINC000796708220 1046721284 /nfs/dbraw/zinc/72/12/84/1046721284.db2.gz QJOHHMBIFDUEHO-MSOLQXFVSA-N 0 3 305.393 4.219 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H]3CCCc4n[nH]cc43)cc2)cs1 ZINC000796714056 1046721314 /nfs/dbraw/zinc/72/13/14/1046721314.db2.gz BOMWKZYZUABDDN-INIZCTEOSA-N 0 3 324.453 4.009 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@H](C)C[C@H]3C)cs2)c1 ZINC000533431117 1046723166 /nfs/dbraw/zinc/72/31/66/1046723166.db2.gz OOEFJZQUAXWVPP-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3nc(Cl)ccc3C)C2)cc1 ZINC001140446572 1046724898 /nfs/dbraw/zinc/72/48/98/1046724898.db2.gz KUFPWWJHCVJFMG-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2nc(Cl)ccc2C)C1 ZINC001140442189 1046726754 /nfs/dbraw/zinc/72/67/54/1046726754.db2.gz YXEJJUFCLMVYCP-AWEZNQCLSA-N 0 3 316.832 4.042 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1cc2cccc(C)c2nc1Cl ZINC001203037331 1046728590 /nfs/dbraw/zinc/72/85/90/1046728590.db2.gz GNQSJFBAGHJJCD-HZPDHXFCSA-N 0 3 318.848 4.244 20 0 DIADHN CC[C@@H](OCCNCc1cnc(Cl)c(C)c1)c1ccccc1 ZINC001203037315 1046729717 /nfs/dbraw/zinc/72/97/17/1046729717.db2.gz GFLZTDMWGYGWRW-QGZVFWFLSA-N 0 3 318.848 4.301 20 0 DIADHN Cc1ccc(Cl)nc1CN1CC[C@H](Cc2ccccc2)C1 ZINC001140447749 1046729850 /nfs/dbraw/zinc/72/98/50/1046729850.db2.gz KKFRSQHFDZWGFE-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN COCCCCCN(Cc1ccco1)Cc1cccc(C)c1 ZINC000533489221 1046731290 /nfs/dbraw/zinc/73/12/90/1046731290.db2.gz PQGFARUFYHSHNL-UHFFFAOYSA-N 0 3 301.430 4.407 20 0 DIADHN Brc1cnc2[nH]cc(CN3CCCCCCC3)c2c1 ZINC001140459760 1046732960 /nfs/dbraw/zinc/73/29/60/1046732960.db2.gz MYTZQAHYXHFLKE-UHFFFAOYSA-N 0 3 322.250 4.092 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1c[nH]c2ncc(Br)cc12 ZINC001140457788 1046734392 /nfs/dbraw/zinc/73/43/92/1046734392.db2.gz NZXPXRIKYSYRGU-GHMZBOCLSA-N 0 3 322.250 4.088 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2nc(C)cs2)C12CCCC2 ZINC000678926510 1046734770 /nfs/dbraw/zinc/73/47/70/1046734770.db2.gz CQEVADQERIHMAG-SOUVJXGZSA-N 0 3 308.491 4.230 20 0 DIADHN COC(=O)c1csc([C@H](C)NCc2c(C)cccc2C)c1 ZINC000796832390 1046741845 /nfs/dbraw/zinc/74/18/45/1046741845.db2.gz OLNDJILRJSPOFH-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN CC1(C)CN(C[C@@H]2CCOc3ccccc32)[C@@H]1c1cccnc1 ZINC000644835492 1046743541 /nfs/dbraw/zinc/74/35/41/1046743541.db2.gz OKEAJPYFQMSCDU-QFBILLFUSA-N 0 3 308.425 4.031 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2nc3c(s2)CCCC3)o1 ZINC000678956123 1046745573 /nfs/dbraw/zinc/74/55/73/1046745573.db2.gz UKJONCGECXLROD-LBPRGKRZSA-N 0 3 304.459 4.034 20 0 DIADHN FC1(F)CC[C@H](NCc2ccc(Sc3ccccn3)o2)C1 ZINC000644887527 1046745626 /nfs/dbraw/zinc/74/56/26/1046745626.db2.gz UGMIJVIPASMOIV-NSHDSACASA-N 0 3 310.369 4.103 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCOc1cccc(C)c1)CCC2 ZINC000533522923 1046746090 /nfs/dbraw/zinc/74/60/90/1046746090.db2.gz AEYPIINCZLMSTE-KRWDZBQOSA-N 0 3 316.470 4.148 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCOc1cccc(C)c1)CCC2 ZINC000533522924 1046746460 /nfs/dbraw/zinc/74/64/60/1046746460.db2.gz AEYPIINCZLMSTE-QGZVFWFLSA-N 0 3 316.470 4.148 20 0 DIADHN Clc1ccc([C@@H]2CCC[C@@H]2NCc2ncc[nH]2)cc1Cl ZINC000678971398 1046750933 /nfs/dbraw/zinc/75/09/33/1046750933.db2.gz VECLVTCIAGSYEN-FZMZJTMJSA-N 0 3 310.228 4.142 20 0 DIADHN Clc1ccc([C@H]2CCC[C@H]2NCc2ncc[nH]2)cc1Cl ZINC000678971397 1046751313 /nfs/dbraw/zinc/75/13/13/1046751313.db2.gz VECLVTCIAGSYEN-BXUZGUMPSA-N 0 3 310.228 4.142 20 0 DIADHN Fc1ccc(-c2cnc(CN[C@@H]3CC4CCC3CC4)o2)cc1 ZINC000645014968 1046754411 /nfs/dbraw/zinc/75/44/11/1046754411.db2.gz SIIGLGOMHZIDDO-SEEARECTSA-N 0 3 300.377 4.149 20 0 DIADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1nnc(-c2cccs2)o1 ZINC000645015526 1046756595 /nfs/dbraw/zinc/75/65/95/1046756595.db2.gz OSXUQAMHFVRESO-XVSSEFHLSA-N 0 3 303.431 4.027 20 0 DIADHN Fc1ccc(-c2cnc(CN[C@H]3CC4CCC3CC4)o2)cc1 ZINC000645014970 1046757070 /nfs/dbraw/zinc/75/70/70/1046757070.db2.gz SIIGLGOMHZIDDO-ZUEPYMLJSA-N 0 3 300.377 4.149 20 0 DIADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1nnc(-c2cccs2)o1 ZINC000645015527 1046757109 /nfs/dbraw/zinc/75/71/09/1046757109.db2.gz OSXUQAMHFVRESO-YWPUVAFDSA-N 0 3 303.431 4.027 20 0 DIADHN COc1ccc2c(c1)[C@H](NC1(c3ccc(F)cc3)CCC1)CC2 ZINC000679014755 1046758158 /nfs/dbraw/zinc/75/81/58/1046758158.db2.gz ABOJEMYVDUWGMJ-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN COc1cccc2c1CC[C@@H]2NC1(c2ccc(F)cc2)CCC1 ZINC000679016195 1046759052 /nfs/dbraw/zinc/75/90/52/1046759052.db2.gz MUVWCORAONRJPL-SFHVURJKSA-N 0 3 311.400 4.491 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4ccc(F)cc43)CC2)cc1 ZINC000679030469 1046763246 /nfs/dbraw/zinc/76/32/46/1046763246.db2.gz UQQFZWKCFCEPEP-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN COc1ccc(OCCN2CCC[C@@H]2c2ccc(F)cc2)cc1 ZINC000645064299 1046763327 /nfs/dbraw/zinc/76/33/27/1046763327.db2.gz DMYAVQQIFBERRR-LJQANCHMSA-N 0 3 315.388 4.050 20 0 DIADHN Clc1ccc([C@H]2CCC[C@H]2NCc2cocn2)cc1Cl ZINC000679048440 1046765595 /nfs/dbraw/zinc/76/55/95/1046765595.db2.gz OXTIUQMPMCYYES-IUODEOHRSA-N 0 3 311.212 4.407 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(c2ccccn2)CC1 ZINC000645101523 1046765674 /nfs/dbraw/zinc/76/56/74/1046765674.db2.gz SAEQXBPFXPKUHQ-UHFFFAOYSA-N 0 3 309.457 4.288 20 0 DIADHN C[C@H](c1nc(-c2cccnc2)no1)N1CCC[C@]2(CC=CCC2)C1 ZINC000246299560 1046767010 /nfs/dbraw/zinc/76/70/10/1046767010.db2.gz BWPZBDIWUGTOFQ-DNVCBOLYSA-N 0 3 324.428 4.015 20 0 DIADHN C[C@@H]1CN(Cc2ccccn2)CC[C@H]1Nc1ccc(C2CC2)cc1 ZINC000679089104 1046772456 /nfs/dbraw/zinc/77/24/56/1046772456.db2.gz GECQGDIVHZDBKV-IIBYNOLFSA-N 0 3 321.468 4.282 20 0 DIADHN C[C@H]1CN(Cc2ccccn2)CC[C@@H]1Nc1ccc(C2CC2)cc1 ZINC000679089106 1046772776 /nfs/dbraw/zinc/77/27/76/1046772776.db2.gz GECQGDIVHZDBKV-KKSFZXQISA-N 0 3 321.468 4.282 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H](C)[C@@H]1CCCCO1 ZINC000679092033 1046774707 /nfs/dbraw/zinc/77/47/07/1046774707.db2.gz KDNGLDAYSRUHNI-ZENOOKHLSA-N 0 3 311.853 4.347 20 0 DIADHN FC(F)(F)c1ccnc(CCNCc2cscc2Cl)c1 ZINC000645196790 1046777595 /nfs/dbraw/zinc/77/75/95/1046777595.db2.gz HFNHKALDRBRIAO-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@H](C)c2cc(F)ccc2F)cc1 ZINC000797059642 1046782132 /nfs/dbraw/zinc/78/21/32/1046782132.db2.gz KFLNKHNIKXBVNT-SNUQEOBHSA-N 0 3 305.368 4.046 20 0 DIADHN CCN(Cc1cccc(C(N)=O)c1)[C@H](C)c1cc2ccccc2o1 ZINC000066434157 1046782911 /nfs/dbraw/zinc/78/29/11/1046782911.db2.gz RDFFCLACEFYEDZ-CQSZACIVSA-N 0 3 322.408 4.115 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC000533689845 1046786480 /nfs/dbraw/zinc/78/64/80/1046786480.db2.gz KNGQYQDMXKRNGI-KZNAEPCWSA-N 0 3 314.473 4.293 20 0 DIADHN CCC[C@H](N[C@@H]1CCO[C@H](C(C)C)C1)c1ncccc1Cl ZINC000925293491 1046787384 /nfs/dbraw/zinc/78/73/84/1046787384.db2.gz BLKQQZFLWVBGRV-KBMXLJTQSA-N 0 3 310.869 4.369 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cccc(F)c1Cl ZINC001140568104 1046787887 /nfs/dbraw/zinc/78/78/87/1046787887.db2.gz QOURJNZMXLNYKB-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN CCC[C@H](N[C@@H]1CCO[C@@H](C(C)C)C1)c1ncccc1Cl ZINC000925293493 1046788212 /nfs/dbraw/zinc/78/82/12/1046788212.db2.gz BLKQQZFLWVBGRV-VNQPRFMTSA-N 0 3 310.869 4.369 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC[C@H](C)CC2)c(C)c1 ZINC000068838055 1046789315 /nfs/dbraw/zinc/78/93/15/1046789315.db2.gz PMUWWBYTUBWMPO-GUYCJALGSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(F)c2ccccc21 ZINC000645415278 1046789599 /nfs/dbraw/zinc/78/95/99/1046789599.db2.gz LMBXROCEMSSNAH-ZEWGMFERSA-N 0 3 321.395 4.288 20 0 DIADHN Cc1nc(CN[C@@H](Cc2ccccc2Cl)C2CC2)cs1 ZINC000645393474 1046790011 /nfs/dbraw/zinc/79/00/11/1046790011.db2.gz IIVHQSAWWCHIBE-INIZCTEOSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(Br)cc2)ccc1O ZINC001140575464 1046791564 /nfs/dbraw/zinc/79/15/64/1046791564.db2.gz ODNCKEBUSGNGKX-UHFFFAOYSA-N 0 3 320.230 4.095 20 0 DIADHN COc1cc(CCN[C@H](C)c2nc(C)sc2C)ccc1Cl ZINC000645503635 1046796420 /nfs/dbraw/zinc/79/64/20/1046796420.db2.gz VLWFKVSJSHFMQQ-SNVBAGLBSA-N 0 3 324.877 4.315 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCC[C@@H]1CCOC1 ZINC000645817396 1046821227 /nfs/dbraw/zinc/82/12/27/1046821227.db2.gz QLSGUPVBIUPVDR-ZIAGYGMSSA-N 0 3 304.459 4.106 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC(C)(C)C[C@@H]1C ZINC000247261189 1046827134 /nfs/dbraw/zinc/82/71/34/1046827134.db2.gz ZFQLLLJPUAJBAF-ZNMIVQPWSA-N 0 3 304.482 4.041 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(-c2cccnc2)cc1 ZINC000679395650 1046836728 /nfs/dbraw/zinc/83/67/28/1046836728.db2.gz ZLSQYMKGQQJUTI-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1ccccc1C1(NCc2cnc3c(cnn3C(C)C)c2)CC1 ZINC000645979837 1046837943 /nfs/dbraw/zinc/83/79/43/1046837943.db2.gz JXXKQIQAHDGAQP-UHFFFAOYSA-N 0 3 320.440 4.100 20 0 DIADHN CCCC[C@@H](CC)CN1CCC12CN(C(=O)CC1(C)CCC1)C2 ZINC001276325397 1046843077 /nfs/dbraw/zinc/84/30/77/1046843077.db2.gz BVUZLVABNANWOU-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(O)cc2)ccc1OC(C)C ZINC000237251271 1046843669 /nfs/dbraw/zinc/84/36/69/1046843669.db2.gz VIBLQYUQXMQRFS-CQSZACIVSA-N 0 3 315.413 4.039 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@@H](c3ccccc3F)C2)[nH]1 ZINC001137376740 1046846843 /nfs/dbraw/zinc/84/68/43/1046846843.db2.gz OJEXZMOQCQPDRV-CQSZACIVSA-N 0 3 300.421 4.441 20 0 DIADHN CCC[C@@H](NC1CCC(O)(CC)CC1)c1ncccc1Cl ZINC000925294505 1046853345 /nfs/dbraw/zinc/85/33/45/1046853345.db2.gz FDIUKIVPCLIHMI-GNHJJJEISA-N 0 3 310.869 4.250 20 0 DIADHN c1cc([C@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)ccn1 ZINC000248882772 1046854796 /nfs/dbraw/zinc/85/47/96/1046854796.db2.gz XFKCXSNEHOTUAS-QZTJIDSGSA-N 0 3 300.446 4.100 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC2(C[C@H]1C)CCOCC2 ZINC000646099603 1046857686 /nfs/dbraw/zinc/85/76/86/1046857686.db2.gz ADLCANVYEGUFAK-OLZOCXBDSA-N 0 3 311.828 4.431 20 0 DIADHN Cc1cc(CN2CC[C@@](C)(c3ccccc3)C2)cc(Cl)n1 ZINC000797592068 1046858748 /nfs/dbraw/zinc/85/87/48/1046858748.db2.gz MSMXNNGNYFJNDR-GOSISDBHSA-N 0 3 300.833 4.207 20 0 DIADHN CN(Cc1cc(-c2ccco2)on1)C[C@@H]1CCc2ccccc21 ZINC000679535025 1046859499 /nfs/dbraw/zinc/85/94/99/1046859499.db2.gz MGULKAPAQMOVJH-HNNXBMFYSA-N 0 3 308.381 4.096 20 0 DIADHN CN(Cc1cc(-c2ccco2)on1)C[C@H]1CCc2ccccc21 ZINC000679535030 1046859764 /nfs/dbraw/zinc/85/97/64/1046859764.db2.gz MGULKAPAQMOVJH-OAHLLOKOSA-N 0 3 308.381 4.096 20 0 DIADHN CN(Cc1nc2c(s1)CCCC2)C[C@@H]1CCc2ccccc21 ZINC000679536244 1046861061 /nfs/dbraw/zinc/86/10/61/1046861061.db2.gz GWGZVSBFKGYNDU-HNNXBMFYSA-N 0 3 312.482 4.184 20 0 DIADHN CCCCN(Cc1csc(C)n1)[C@H]1CCc2ncsc2C1 ZINC000646129109 1046866200 /nfs/dbraw/zinc/86/62/00/1046866200.db2.gz VLGWJPYYWKCLSL-AWEZNQCLSA-N 0 3 321.515 4.068 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cc(C)nc(Cl)c2)c1 ZINC000797597955 1046867125 /nfs/dbraw/zinc/86/71/25/1046867125.db2.gz PDYAYQCNCLDRJO-ZDUSSCGKSA-N 0 3 304.821 4.293 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cc(C)nc(Cl)c1 ZINC000797600850 1046867543 /nfs/dbraw/zinc/86/75/43/1046867543.db2.gz GYDWQTBGFYBUNM-SJCJKPOMSA-N 0 3 322.811 4.048 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CCCOc1ccc(F)cc1 ZINC000679546918 1046869030 /nfs/dbraw/zinc/86/90/30/1046869030.db2.gz WLXYQMHYRBBIFT-CHWSQXEVSA-N 0 3 319.342 4.257 20 0 DIADHN Cc1cc(CNCc2ccc(-c3cccnc3)cc2)cc(Cl)n1 ZINC000797602487 1046869488 /nfs/dbraw/zinc/86/94/88/1046869488.db2.gz MAKJSLVADBIXLW-UHFFFAOYSA-N 0 3 323.827 4.395 20 0 DIADHN Nc1ccc(Cl)cc1CN1CCC(c2ccc(F)cc2)CC1 ZINC001140714904 1046871159 /nfs/dbraw/zinc/87/11/59/1046871159.db2.gz DDGBSEAFJRKULV-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN CCCN(C(=O)Nc1c(C)cccc1C(C)C)[C@@H]1CCN(C)C1 ZINC000646169438 1046871694 /nfs/dbraw/zinc/87/16/94/1046871694.db2.gz ZNALAIAEWWPGRM-MRXNPFEDSA-N 0 3 317.477 4.066 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](OC)c1ccccc1Cl ZINC000679577732 1046872574 /nfs/dbraw/zinc/87/25/74/1046872574.db2.gz VPANRSOXZHRBEW-GUYCJALGSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](OC)c1ccccc1Cl ZINC000679577731 1046872748 /nfs/dbraw/zinc/87/27/48/1046872748.db2.gz VPANRSOXZHRBEW-DYVFJYSZSA-N 0 3 318.848 4.336 20 0 DIADHN Cc1nc([C@@H](C)NCCc2cc(F)c(F)c(F)c2)c(C)s1 ZINC000679575662 1046874268 /nfs/dbraw/zinc/87/42/68/1046874268.db2.gz PQHOAJGRHYVUFT-MRVPVSSYSA-N 0 3 314.376 4.071 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCCc3sccc32)c1 ZINC000237366899 1046875479 /nfs/dbraw/zinc/87/54/79/1046875479.db2.gz GANGHTYALDULBF-PXAZEXFGSA-N 0 3 314.454 4.435 20 0 DIADHN COc1cccc(Cl)c1CN1CC[C@H](c2ccccc2)C1 ZINC001140725565 1046877025 /nfs/dbraw/zinc/87/70/25/1046877025.db2.gz BVQSXVWRILWYLI-HNNXBMFYSA-N 0 3 301.817 4.338 20 0 DIADHN Cc1cc(CN2CCC(c3ccccn3)CC2)ccc1Cl ZINC000679580092 1046878300 /nfs/dbraw/zinc/87/83/00/1046878300.db2.gz QUMWMHIPRGYDGS-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc2ncccc2c1 ZINC000679584157 1046879843 /nfs/dbraw/zinc/87/98/43/1046879843.db2.gz LVJJAHDIPGLAAE-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc2ncccc2c1 ZINC000679584159 1046881770 /nfs/dbraw/zinc/88/17/70/1046881770.db2.gz LVJJAHDIPGLAAE-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN CCCSC[C@H](C)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462254573 1046882926 /nfs/dbraw/zinc/88/29/26/1046882926.db2.gz NHOYQEGKNCJVPC-JOYOIKCWSA-N 0 3 303.393 4.213 20 0 DIADHN CCCSC[C@@H](C)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462254576 1046884771 /nfs/dbraw/zinc/88/47/71/1046884771.db2.gz NHOYQEGKNCJVPC-SKDRFNHKSA-N 0 3 303.393 4.213 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(CC(=O)OC(C)(C)C)CC1 ZINC001140781296 1046894333 /nfs/dbraw/zinc/89/43/33/1046894333.db2.gz RRSBPQGZQRSQIN-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN CCN(Cc1coc2ccccc12)Cc1ccc2c(c1)OCO2 ZINC001140786310 1046897571 /nfs/dbraw/zinc/89/75/71/1046897571.db2.gz LLHOJEHEBTYSHZ-UHFFFAOYSA-N 0 3 309.365 4.184 20 0 DIADHN COc1ccc(CN2CCC[C@H](OC(F)F)C2)c2ccccc12 ZINC000679740453 1046898009 /nfs/dbraw/zinc/89/80/09/1046898009.db2.gz NYYZMMPJXHMHGB-AWEZNQCLSA-N 0 3 321.367 4.052 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccc(NC(C)=O)cc1)c1ccccn1 ZINC000679767982 1046902173 /nfs/dbraw/zinc/90/21/73/1046902173.db2.gz CTHIDMZMIXNMNA-AUUYWEPGSA-N 0 3 311.429 4.232 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(NC(C)=O)cc1)c1ccccn1 ZINC000679767984 1046902719 /nfs/dbraw/zinc/90/27/19/1046902719.db2.gz CTHIDMZMIXNMNA-KUHUBIRLSA-N 0 3 311.429 4.232 20 0 DIADHN Cc1ccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)c(Cl)c1 ZINC001140799396 1046903907 /nfs/dbraw/zinc/90/39/07/1046903907.db2.gz CBPWFVCPRVPOSA-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN Cc1ccc(CCNCc2nc(-c3ccccc3)c[nH]2)cc1C ZINC001203067448 1046904192 /nfs/dbraw/zinc/90/41/92/1046904192.db2.gz GHTYCPWGZCCVAR-UHFFFAOYSA-N 0 3 305.425 4.026 20 0 DIADHN Cc1ccc(CCNCc2ncc(C(C)(C)C)s2)cc1C ZINC001203067717 1046904486 /nfs/dbraw/zinc/90/44/86/1046904486.db2.gz KGHMLWIBXZOWBK-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2ccc(C)cc2Cl)C[C@@H]1C ZINC001140800133 1046904886 /nfs/dbraw/zinc/90/48/86/1046904886.db2.gz WFQKUWYYOKQKDU-LSDHHAIUSA-N 0 3 323.864 4.060 20 0 DIADHN Cn1cccc1CNCc1ccc(Oc2ccc(F)cc2)cc1 ZINC000161564210 1046907445 /nfs/dbraw/zinc/90/74/45/1046907445.db2.gz RKTHLJZTSOXGGR-UHFFFAOYSA-N 0 3 310.372 4.246 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)Cc1cnc2cc[nH]cc1-2 ZINC001140806126 1046908102 /nfs/dbraw/zinc/90/81/02/1046908102.db2.gz VZCRBTWWBGZJAM-IBGZPJMESA-N 0 3 321.424 4.046 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)Cc1c[nH]c2ccncc12 ZINC001140806126 1046908111 /nfs/dbraw/zinc/90/81/11/1046908111.db2.gz VZCRBTWWBGZJAM-IBGZPJMESA-N 0 3 321.424 4.046 20 0 DIADHN Cc1cc(Br)ccc1CN(C)Cc1cccs1 ZINC001140817048 1046914672 /nfs/dbraw/zinc/91/46/72/1046914672.db2.gz HVEYJEPSYIWSSG-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Cc1n[nH]cc1CN1CCCCC[C@H]1/C=C/c1cccs1 ZINC000680003019 1046927288 /nfs/dbraw/zinc/92/72/88/1046927288.db2.gz KRSQLMXWSQQNSC-FDMDGMSGSA-N 0 3 301.459 4.238 20 0 DIADHN Cc1c[nH]c(CN2CCC[C@@H](Oc3ccc(Cl)cc3)C2)c1C ZINC001140861386 1046935587 /nfs/dbraw/zinc/93/55/87/1046935587.db2.gz FHYGLZVHMZLNAG-QGZVFWFLSA-N 0 3 318.848 4.328 20 0 DIADHN c1cn2c(CN3CC[C@H]3C3CC3)c(-c3ccccc3)nc2s1 ZINC000836227934 1046936446 /nfs/dbraw/zinc/93/64/46/1046936446.db2.gz ROMLVNMAKPXXEV-HNNXBMFYSA-N 0 3 309.438 4.047 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1ccc(O)c(F)c1F ZINC001140892414 1046943821 /nfs/dbraw/zinc/94/38/21/1046943821.db2.gz MEWRCFWZWNAKPR-UHFFFAOYSA-N 0 3 305.368 4.309 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1ccc([O-])c(F)c1F ZINC001140892414 1046943810 /nfs/dbraw/zinc/94/38/10/1046943810.db2.gz MEWRCFWZWNAKPR-UHFFFAOYSA-N 0 3 305.368 4.309 20 0 DIADHN FC(F)Oc1ccc(CN2CCCCC2)cc1OCC1CC1 ZINC001140903539 1046946618 /nfs/dbraw/zinc/94/66/18/1046946618.db2.gz WLKHEZWNGVXADJ-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN CN1CCc2ccc(Nc3cc(Cl)nc(Cl)c3)cc2C1 ZINC001212102460 1046947251 /nfs/dbraw/zinc/94/72/51/1046947251.db2.gz FGFPPVOIAMRUGH-UHFFFAOYSA-N 0 3 308.212 4.120 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC([C@@H]2CCOC2)CC1 ZINC000680090738 1046948227 /nfs/dbraw/zinc/94/82/27/1046948227.db2.gz QBRDBWVHIOEFAF-KDOFPFPSSA-N 0 3 309.400 4.164 20 0 DIADHN CC1(C)CN(Cc2cccc3c2NCC3)CC[C@H]1C(F)(F)F ZINC000261749069 1046948444 /nfs/dbraw/zinc/94/84/44/1046948444.db2.gz LQRAMZGUOTWYSB-CQSZACIVSA-N 0 3 312.379 4.065 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2cccs2)c2ccc(Cl)cc2)CO1 ZINC000647171922 1046949905 /nfs/dbraw/zinc/94/99/05/1046949905.db2.gz AMXRMYFUZFGXMN-DJSGYFEHSA-N 0 3 307.846 4.258 20 0 DIADHN c1[nH]c2ncccc2c1CN1CCC2(CCc3ccccc32)CC1 ZINC001137397361 1046950099 /nfs/dbraw/zinc/95/00/99/1046950099.db2.gz KAZGXFLZMAYVTM-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN CC(C)Cn1nccc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000647179117 1046950847 /nfs/dbraw/zinc/95/08/47/1046950847.db2.gz AIVKDTQCBDKYHX-IBGZPJMESA-N 0 3 315.436 4.309 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccnn2CC(C)C)c1C ZINC000647179990 1046951298 /nfs/dbraw/zinc/95/12/98/1046951298.db2.gz ZJVDKGBDDBGFHF-UHFFFAOYSA-N 0 3 324.472 4.235 20 0 DIADHN Cc1ccccc1-n1cc(CNC(C)(C)c2cccs2)cn1 ZINC000647184279 1046951785 /nfs/dbraw/zinc/95/17/85/1046951785.db2.gz VWPWRTKSXARITL-UHFFFAOYSA-N 0 3 311.454 4.267 20 0 DIADHN CC(C)Cn1nccc1CN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000647190122 1046952317 /nfs/dbraw/zinc/95/23/17/1046952317.db2.gz JELXBTJUTVQZCI-IFXJQAMLSA-N 0 3 315.436 4.091 20 0 DIADHN CC(C)Cn1nccc1CN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000647190123 1046952398 /nfs/dbraw/zinc/95/23/98/1046952398.db2.gz JELXBTJUTVQZCI-KUHUBIRLSA-N 0 3 315.436 4.091 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2cccc3c2NCC3)CC12CCC2 ZINC000261847569 1046953083 /nfs/dbraw/zinc/95/30/83/1046953083.db2.gz SMEKUKYASCFQFS-HNNXBMFYSA-N 0 3 324.390 4.209 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccc(OC)c(F)c1 ZINC000162290435 1046953839 /nfs/dbraw/zinc/95/38/39/1046953839.db2.gz ALFHBRKTNDEIQQ-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@@H]1C[C@@H](NCc2nccn2C(F)F)C[C@H](c2ccccc2)C1 ZINC000162279300 1046955021 /nfs/dbraw/zinc/95/50/21/1046955021.db2.gz WTWNMHFYPBAJOE-NUEKZKHPSA-N 0 3 319.399 4.340 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2ccc(Cl)nc2)C1 ZINC000303740208 1046955470 /nfs/dbraw/zinc/95/54/70/1046955470.db2.gz IFFVNWHGGSRFNL-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)(C)OC1CCN(CCSc2ccccc2F)CC1 ZINC000162349304 1046960455 /nfs/dbraw/zinc/96/04/55/1046960455.db2.gz RSSNWZPAPPTWKH-UHFFFAOYSA-N 0 3 311.466 4.197 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccnc(N3CCCCC3)c2)cc1 ZINC000162391540 1046965290 /nfs/dbraw/zinc/96/52/90/1046965290.db2.gz UJETVQKAQCSGBY-KRWDZBQOSA-N 0 3 323.484 4.485 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000680339285 1046965754 /nfs/dbraw/zinc/96/57/54/1046965754.db2.gz XYEDCQWLEUVLPC-DYESRHJHSA-N 0 3 318.395 4.240 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000680339286 1046965801 /nfs/dbraw/zinc/96/58/01/1046965801.db2.gz XYEDCQWLEUVLPC-LAUBAEHRSA-N 0 3 318.395 4.240 20 0 DIADHN CSc1ccc2c(c1)[C@@H](NCc1cnc(C)s1)CCC2 ZINC000647288248 1046968059 /nfs/dbraw/zinc/96/80/59/1046968059.db2.gz VOVGLWHQEFFGKI-INIZCTEOSA-N 0 3 304.484 4.341 20 0 DIADHN C[C@H](CN[C@@H](c1ccc(F)cc1)c1cccnc1)c1ccncc1 ZINC000680342461 1046968204 /nfs/dbraw/zinc/96/82/04/1046968204.db2.gz RDYYOGJLGZVUGW-QRWLVFNGSA-N 0 3 321.399 4.098 20 0 DIADHN COc1ccc([C@H](CC(C)C)NCc2ccccc2CO)cc1 ZINC000647284132 1046968330 /nfs/dbraw/zinc/96/83/30/1046968330.db2.gz CZLFYBIDTUFBGF-FQEVSTJZSA-N 0 3 313.441 4.065 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cc(F)c([O-])c(F)c2)cc1 ZINC001140989888 1046970757 /nfs/dbraw/zinc/97/07/57/1046970757.db2.gz FZQZJYRKDRZEOP-QGZVFWFLSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccc([C@H]2CCC[N@@H+]2Cc2cc(F)c([O-])c(F)c2)cc1 ZINC001140989888 1046970767 /nfs/dbraw/zinc/97/07/67/1046970767.db2.gz FZQZJYRKDRZEOP-QGZVFWFLSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccc([C@H]2CCC[N@H+]2Cc2cc(F)c([O-])c(F)c2)cc1 ZINC001140989888 1046970774 /nfs/dbraw/zinc/97/07/74/1046970774.db2.gz FZQZJYRKDRZEOP-QGZVFWFLSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cc(F)c(O)c(F)c2)cc1 ZINC001140989888 1046970778 /nfs/dbraw/zinc/97/07/78/1046970778.db2.gz FZQZJYRKDRZEOP-QGZVFWFLSA-N 0 3 303.352 4.316 20 0 DIADHN CCCCOc1cccc(CN2CC[C@H](F)C(F)(F)CC2)c1 ZINC001138952139 1046971446 /nfs/dbraw/zinc/97/14/46/1046971446.db2.gz KNXYQBNRMLOXDG-INIZCTEOSA-N 0 3 315.379 4.435 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@H](C)c1cc2n(n1)CCCC2 ZINC000647300102 1046971619 /nfs/dbraw/zinc/97/16/19/1046971619.db2.gz SJDLCFNGSBODLV-CQSZACIVSA-N 0 3 323.440 4.167 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc(C(=O)N(C)C)cc2)CC1 ZINC000647316485 1046975440 /nfs/dbraw/zinc/97/54/40/1046975440.db2.gz MPAQYKDECWRJRY-INIZCTEOSA-N 0 3 322.452 4.037 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCCOc1ccc(C)c(C)c1 ZINC000680379961 1046976588 /nfs/dbraw/zinc/97/65/88/1046976588.db2.gz BJFYZQWCKGNDKU-CQSZACIVSA-N 0 3 302.418 4.028 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cc2cc(Cl)cnc2o1 ZINC000648587564 1046976739 /nfs/dbraw/zinc/97/67/39/1046976739.db2.gz ITCLAMSUEHSDTR-INIZCTEOSA-N 0 3 315.804 4.459 20 0 DIADHN O[C@@H](CN1CCC[C@H](C(F)(F)F)C1)c1cccc2ccccc21 ZINC000322039851 1046979411 /nfs/dbraw/zinc/97/94/11/1046979411.db2.gz NSEQVRVHCLRRQK-YOEHRIQHSA-N 0 3 323.358 4.148 20 0 DIADHN C[C@@H](NC1(c2cccc(F)c2)CCC1)c1ccc2c(c1)OCO2 ZINC000647330431 1046980876 /nfs/dbraw/zinc/98/08/76/1046980876.db2.gz JCPKBZAKHUSENW-CYBMUJFWSA-N 0 3 313.372 4.284 20 0 DIADHN CC[C@H](NCCOc1cc(C)cc(C)c1)c1c(C)noc1C ZINC000680403535 1046981107 /nfs/dbraw/zinc/98/11/07/1046981107.db2.gz JXTBPPBGKYJDHS-KRWDZBQOSA-N 0 3 302.418 4.028 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(C)cc2Cl)s1 ZINC000680410301 1046981432 /nfs/dbraw/zinc/98/14/32/1046981432.db2.gz GPEUKVNUXWCQIG-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN Oc1cccc2ccc(CN3CC[C@@H](c4ccccc4F)C3)nc12 ZINC001141023766 1046981729 /nfs/dbraw/zinc/98/17/29/1046981729.db2.gz WJVZQXYMPMZRBC-OAHLLOKOSA-N 0 3 322.383 4.069 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3cccc(C)c3)CCC2)cc1O ZINC000647333465 1046982317 /nfs/dbraw/zinc/98/23/17/1046982317.db2.gz SGIZLXLKIHFHNR-OAHLLOKOSA-N 0 3 311.425 4.439 20 0 DIADHN CC[C@H](C)C[C@H](CO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000647336637 1046982409 /nfs/dbraw/zinc/98/24/09/1046982409.db2.gz DIQRFDSZNYIRLK-SQWLQELKSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1ccncc1CN1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001141036672 1046986746 /nfs/dbraw/zinc/98/67/46/1046986746.db2.gz DORHREWIVJTLQF-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](c2cncc(F)c2)C2CC2)c1 ZINC000798927970 1046987166 /nfs/dbraw/zinc/98/71/66/1046987166.db2.gz WIIXYFZFQVOLDN-IBGZPJMESA-N 0 3 314.404 4.249 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NC1(c2cccc(Cl)c2)CCC1 ZINC000647348879 1046989940 /nfs/dbraw/zinc/98/99/40/1046989940.db2.gz ATLPFBFLWDQVJH-INIZCTEOSA-N 0 3 315.848 4.120 20 0 DIADHN Fc1ccc([C@H](NCC2CCC(F)CC2)c2ccccn2)cc1 ZINC000647349797 1046990185 /nfs/dbraw/zinc/99/01/85/1046990185.db2.gz HYZWWMFNUALIGV-KJXMEXGPSA-N 0 3 316.395 4.428 20 0 DIADHN Cc1cc([C@H](N[C@H]2CCS[C@@H]2C)c2cccnc2)ccc1F ZINC000647359888 1046992743 /nfs/dbraw/zinc/99/27/43/1046992743.db2.gz MOEKBJNPMCAHKH-BVGQSLNGSA-N 0 3 316.445 4.102 20 0 DIADHN CC(F)(F)CCCNCc1c(Cl)cccc1OC(F)F ZINC000648607478 1046994160 /nfs/dbraw/zinc/99/41/60/1046994160.db2.gz WSXZXMZPOOTVTM-UHFFFAOYSA-N 0 3 313.722 4.466 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@H](C[C@H]4CCOC4)C3)c2c1 ZINC000680623429 1046995251 /nfs/dbraw/zinc/99/52/51/1046995251.db2.gz RDQYBUFMOCYIOP-IAGOWNOFSA-N 0 3 310.441 4.186 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@@H](c3ccccn3)C2)c1 ZINC000798964192 1046995470 /nfs/dbraw/zinc/99/54/70/1046995470.db2.gz NFSSHBOSXYROCH-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3c(c2)CCCC3)cc1C#N ZINC000303850204 1046995885 /nfs/dbraw/zinc/99/58/85/1046995885.db2.gz KLRHJPHSLTZZJS-HNNXBMFYSA-N 0 3 320.436 4.296 20 0 DIADHN CCCCc1nc(CN2CCC[C@@H](Cc3ccccc3)C2)c[nH]1 ZINC001141069942 1046996856 /nfs/dbraw/zinc/99/68/56/1046996856.db2.gz IZVHNJGTSCFFRH-SFHVURJKSA-N 0 3 311.473 4.207 20 0 DIADHN COc1ccc2nc(Cl)c(CN3CCC4(CC4)CC3)cc2c1 ZINC001141062738 1046997033 /nfs/dbraw/zinc/99/70/33/1046997033.db2.gz RBBBOQMCQPWVNS-UHFFFAOYSA-N 0 3 316.832 4.273 20 0 DIADHN Cc1cccc(CN2CCC[C@H]2Cc2ccccc2Cl)n1 ZINC000347392074 1046998439 /nfs/dbraw/zinc/99/84/39/1046998439.db2.gz YRVNXPGSJLSFCF-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1CCC[C@H]2C[C@H]21 ZINC001141084860 1047002278 /nfs/dbraw/zinc/00/22/78/1047002278.db2.gz RHUGSHKHLYKWDT-FXAWDEMLSA-N 0 3 323.436 4.259 20 0 DIADHN C[C@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)[C@H]1C ZINC001141086014 1047003443 /nfs/dbraw/zinc/00/34/43/1047003443.db2.gz VUISQYUESFBXPE-KBPBESRZSA-N 0 3 319.449 4.049 20 0 DIADHN CC[C@@H]1CCCCN1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141086552 1047003577 /nfs/dbraw/zinc/00/35/77/1047003577.db2.gz IIIVAMORSGVHEJ-OAHLLOKOSA-N 0 3 319.449 4.193 20 0 DIADHN Cc1ncc(CNCCOc2ccccc2-c2ccccc2)s1 ZINC000237855885 1047004747 /nfs/dbraw/zinc/00/47/47/1047004747.db2.gz OVBLUBPZFUYYIQ-UHFFFAOYSA-N 0 3 324.449 4.287 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc(OC(C)=O)cc2)cc1 ZINC000799182665 1047008351 /nfs/dbraw/zinc/00/83/51/1047008351.db2.gz LRJDJFFMOSSTDQ-ZDUSSCGKSA-N 0 3 315.438 4.185 20 0 DIADHN Clc1cccc(CCN2CC[C@H](c3ccccn3)C2)c1Cl ZINC000799196738 1047011312 /nfs/dbraw/zinc/01/13/12/1047011312.db2.gz WLXZHLMTTPPWIQ-AWEZNQCLSA-N 0 3 321.251 4.420 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](c2ccccn2)C1 ZINC000799196314 1047012276 /nfs/dbraw/zinc/01/22/76/1047012276.db2.gz MNJPONKRONARRV-GXTWGEPZSA-N 0 3 304.796 4.425 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2ccncc2Cl)cs1 ZINC000421827417 1047014856 /nfs/dbraw/zinc/01/48/56/1047014856.db2.gz XOPDZKZYZKMOIM-NSHDSACASA-N 0 3 309.866 4.208 20 0 DIADHN Cn1c(Cl)cnc1CNCC(C)(C)CCCc1ccccc1 ZINC000799336182 1047018569 /nfs/dbraw/zinc/01/85/69/1047018569.db2.gz JMPHLZJLPHSRGJ-UHFFFAOYSA-N 0 3 319.880 4.212 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2c(C)nn(CC)c2C)cc1 ZINC000533785879 1047019977 /nfs/dbraw/zinc/01/99/77/1047019977.db2.gz NNKLDLYZCGVZGJ-CYBMUJFWSA-N 0 3 317.502 4.483 20 0 DIADHN c1c(CN2CCC[C@@H](c3ccccn3)C2)onc1-c1ccccc1 ZINC000647950102 1047023239 /nfs/dbraw/zinc/02/32/39/1047023239.db2.gz OKBCDFVHBFUUGK-QGZVFWFLSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1nsc(C)c1CN[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1 ZINC000647972226 1047026102 /nfs/dbraw/zinc/02/61/02/1047026102.db2.gz QUZZDKADLCWBKI-HZPDHXFCSA-N 0 3 322.861 4.033 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@H]1CCN(c2ccccc2)C1 ZINC000237751200 1047026553 /nfs/dbraw/zinc/02/65/53/1047026553.db2.gz SMZGWUWPGZOZOK-CQSZACIVSA-N 0 3 318.823 4.095 20 0 DIADHN C[C@@H]([C@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cn[nH]c1 ZINC001203099138 1047028622 /nfs/dbraw/zinc/02/86/22/1047028622.db2.gz HSEVHEAKSVVOSF-JXFKEZNVSA-N 0 3 321.424 4.050 20 0 DIADHN CC(C)Cn1nccc1CN(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000648036146 1047030500 /nfs/dbraw/zinc/03/05/00/1047030500.db2.gz BEBJHAQHBPYERD-OKILXGFUSA-N 0 3 317.399 4.092 20 0 DIADHN C[C@@H]1CC2(CN1Cc1ccc(C(F)(F)F)s1)CCOCC2 ZINC000648071138 1047034049 /nfs/dbraw/zinc/03/40/49/1047034049.db2.gz SINXFKYVHAABBA-LLVKDONJSA-N 0 3 319.392 4.158 20 0 DIADHN CC1(C)CCc2cc(CN3CCC[C@@H](C(F)F)C3)ccc2O1 ZINC001141183563 1047038293 /nfs/dbraw/zinc/03/82/93/1047038293.db2.gz MMGGKICDKJPWSG-OAHLLOKOSA-N 0 3 309.400 4.267 20 0 DIADHN Cc1cccc2c1CCN(Cc1ccc(-c3ncccn3)cc1)C2 ZINC001141185183 1047038613 /nfs/dbraw/zinc/03/86/13/1047038613.db2.gz FCKCYIDKVYPLSJ-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2ncccn2)cc1 ZINC001141190311 1047040238 /nfs/dbraw/zinc/04/02/38/1047040238.db2.gz AFQCMWVQPLKYOS-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN Brc1cccc([C@@H]2CCCN2[C@@H]2CCSC2)c1 ZINC001255290516 1047042768 /nfs/dbraw/zinc/04/27/68/1047042768.db2.gz GSMXKSFNUXUMRX-KGLIPLIRSA-N 0 3 312.276 4.092 20 0 DIADHN c1n[nH]cc1CN1CCC(c2ccc(-c3ccccc3)cc2)CC1 ZINC001203102194 1047042989 /nfs/dbraw/zinc/04/29/89/1047042989.db2.gz ZWPJERJRQQJXRT-UHFFFAOYSA-N 0 3 317.436 4.456 20 0 DIADHN Brc1cccc([C@H]2CCCN2[C@@H]2CCSC2)c1 ZINC001255290518 1047043376 /nfs/dbraw/zinc/04/33/76/1047043376.db2.gz GSMXKSFNUXUMRX-ZIAGYGMSSA-N 0 3 312.276 4.092 20 0 DIADHN C[C@@H](CNCc1cscn1)c1ccc(C(F)(F)F)cc1 ZINC000191156795 1047043816 /nfs/dbraw/zinc/04/38/16/1047043816.db2.gz KWUFRIBQZPGONI-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN Fc1ccc(-c2cccc(CN3CCC(C(F)F)CC3)n2)cc1 ZINC001141209519 1047046680 /nfs/dbraw/zinc/04/66/80/1047046680.db2.gz ZZCBVDXOCHUPIY-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN CCCN(Cc1csc(-c2ccccc2)n1)C1CCOCC1 ZINC001141212921 1047047416 /nfs/dbraw/zinc/04/74/16/1047047416.db2.gz MORLXDCXMJBPAU-UHFFFAOYSA-N 0 3 316.470 4.201 20 0 DIADHN Fc1cccc(CCN(Cc2cnc(C3CC3)s2)C2CC2)c1 ZINC000536669527 1047048549 /nfs/dbraw/zinc/04/85/49/1047048549.db2.gz OFFAVADRXHSSLD-UHFFFAOYSA-N 0 3 316.445 4.367 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1F ZINC001141222536 1047050896 /nfs/dbraw/zinc/05/08/96/1047050896.db2.gz GPSQRXIEFRRIJA-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1cc2cc(Cl)cnc2o1 ZINC000648423730 1047052041 /nfs/dbraw/zinc/05/20/41/1047052041.db2.gz XYVILKIQEFZXOO-BLLLJJGKSA-N 0 3 322.836 4.166 20 0 DIADHN C[C@H](CNCc1cc(Cl)sc1Cl)c1ccncc1 ZINC000648466227 1047055522 /nfs/dbraw/zinc/05/55/22/1047055522.db2.gz BQTDJKKXHMTFIM-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CO[C@@H](C)CN(Cc1cc(C(F)(F)F)ccc1F)C(C)C ZINC000536662932 1047058115 /nfs/dbraw/zinc/05/81/15/1047058115.db2.gz KUAQOIZKMYCTAQ-NSHDSACASA-N 0 3 307.331 4.090 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2scnc2C)C12CCCCC2 ZINC000536681145 1047060785 /nfs/dbraw/zinc/06/07/85/1047060785.db2.gz PPBRFFRFEBYNCF-HZPDHXFCSA-N 0 3 308.491 4.011 20 0 DIADHN CCOC(=O)CCC1CCN(Cc2ccc(Cl)c(C)c2)CC1 ZINC001141238488 1047062214 /nfs/dbraw/zinc/06/22/14/1047062214.db2.gz YYIOQFAWWYRJND-UHFFFAOYSA-N 0 3 323.864 4.204 20 0 DIADHN C[C@H]1CN(Cc2ccccc2)CC[C@H]1Nc1ncc(Cl)s1 ZINC000680895326 1047064792 /nfs/dbraw/zinc/06/47/92/1047064792.db2.gz KYMHZYOTBLLPAV-GXTWGEPZSA-N 0 3 321.877 4.119 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCO[C@H](c3ccccc3)C2)c(C)s1 ZINC000680937134 1047070391 /nfs/dbraw/zinc/07/03/91/1047070391.db2.gz FPPWOKHJEHRQNJ-ZLIFDBKOSA-N 0 3 316.470 4.331 20 0 DIADHN Cc1csc(CN2CCCC[C@H]2Cc2ccc(F)cc2)n1 ZINC000536682749 1047072897 /nfs/dbraw/zinc/07/28/97/1047072897.db2.gz GVKVAWAWIHWAAM-INIZCTEOSA-N 0 3 304.434 4.188 20 0 DIADHN CC[C@H](C)Oc1cc(CN[C@H](C)CC(F)(F)F)ccc1OC ZINC000680973490 1047073055 /nfs/dbraw/zinc/07/30/55/1047073055.db2.gz GUMCFCFSCJIIDE-NEPJUHHUSA-N 0 3 319.367 4.303 20 0 DIADHN CC[C@@H](C)Oc1cc(CN[C@@H](C)CC(F)(F)F)ccc1OC ZINC000680973491 1047074321 /nfs/dbraw/zinc/07/43/21/1047074321.db2.gz GUMCFCFSCJIIDE-NWDGAFQWSA-N 0 3 319.367 4.303 20 0 DIADHN C[C@H]1CN(Cc2cccc(-n3cccn3)c2)[C@@H]1c1ccccc1 ZINC000536708644 1047081077 /nfs/dbraw/zinc/08/10/77/1047081077.db2.gz KWXSYBOVQBTQKK-JXFKEZNVSA-N 0 3 303.409 4.065 20 0 DIADHN Clc1ccc(CN2CCC(Cc3cccnc3)CC2)cc1 ZINC000536708236 1047081418 /nfs/dbraw/zinc/08/14/18/1047081418.db2.gz POIUZMFSFPJAFN-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@H]1c1ccccc1 ZINC000536708653 1047081659 /nfs/dbraw/zinc/08/16/59/1047081659.db2.gz LJBSJQLHVKVXEU-FOIQADDNSA-N 0 3 303.409 4.270 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1ccc2cnccc2c1 ZINC000648719314 1047086068 /nfs/dbraw/zinc/08/60/68/1047086068.db2.gz MHKNUXOXUSTZTI-BLLLJJGKSA-N 0 3 308.347 4.398 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cncc(C)c1)c1ccccc1Cl ZINC000681053200 1047087160 /nfs/dbraw/zinc/08/71/60/1047087160.db2.gz MBYRANCIIBPIML-GUYCJALGSA-N 0 3 304.821 4.082 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](CN2CCCCC2)c2ccccc2)c1 ZINC000681052428 1047086968 /nfs/dbraw/zinc/08/69/68/1047086968.db2.gz PLEAZFXTMQZYED-RXVVDRJESA-N 0 3 323.484 4.268 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccncc1)c1nc(C(F)(F)F)cs1 ZINC000681051746 1047087355 /nfs/dbraw/zinc/08/73/55/1047087355.db2.gz HCRWSITVIWAFKC-NXEZZACHSA-N 0 3 315.364 4.011 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc(C(F)(F)F)cs1)c1ccncc1 ZINC000681051749 1047087812 /nfs/dbraw/zinc/08/78/12/1047087812.db2.gz HCRWSITVIWAFKC-VHSXEESVSA-N 0 3 315.364 4.011 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc(C(F)(F)F)cs1)c1ccncc1 ZINC000681051750 1047088029 /nfs/dbraw/zinc/08/80/29/1047088029.db2.gz HCRWSITVIWAFKC-ZJUUUORDSA-N 0 3 315.364 4.011 20 0 DIADHN CCCCOc1ccccc1CN1CCC([C@H]2CCOC2)CC1 ZINC000681081197 1047091792 /nfs/dbraw/zinc/09/17/92/1047091792.db2.gz BVAJJZORDNHZJR-IBGZPJMESA-N 0 3 317.473 4.114 20 0 DIADHN COc1ccsc1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001141326946 1047092516 /nfs/dbraw/zinc/09/25/16/1047092516.db2.gz YANIFYNBJJZUHO-GFCCVEGCSA-N 0 3 307.846 4.400 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2sccc2OC)cc1 ZINC001141326786 1047092586 /nfs/dbraw/zinc/09/25/86/1047092586.db2.gz OEDHKEHFULSZFO-INIZCTEOSA-N 0 3 317.454 4.493 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cc(Cl)cc(Cl)c2)C1 ZINC000681084385 1047092677 /nfs/dbraw/zinc/09/26/77/1047092677.db2.gz GHQUCMCUYBODBP-GFCCVEGCSA-N 0 3 302.245 4.242 20 0 DIADHN Clc1ccc(OCCCN(Cc2ccncc2)C2CC2)cc1 ZINC000536751457 1047095069 /nfs/dbraw/zinc/09/50/69/1047095069.db2.gz FXFGDJAHUODYRX-UHFFFAOYSA-N 0 3 316.832 4.169 20 0 DIADHN Cc1cc(F)ccc1CCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000536770758 1047099100 /nfs/dbraw/zinc/09/91/00/1047099100.db2.gz GLPAFYWMYZEJKR-ZDUSSCGKSA-N 0 3 318.461 4.363 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(OCC(F)(F)F)cc1 ZINC000536772425 1047099218 /nfs/dbraw/zinc/09/92/18/1047099218.db2.gz ORMGBJHMWHXVFH-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)[C@H](c2ccccc2)N(C)C)c(C)s1 ZINC000681138201 1047101419 /nfs/dbraw/zinc/10/14/19/1047101419.db2.gz DTMUTHHDVHGKNP-VEVIJQCQSA-N 0 3 317.502 4.102 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@@H]4CCCC[C@H]4C3)n2)c1 ZINC001116021463 1047101975 /nfs/dbraw/zinc/10/19/75/1047101975.db2.gz NVBUGABKAZRUSO-GJZGRUSLSA-N 0 3 301.459 4.081 20 0 DIADHN CC[C@H]1CCCN1Cc1cc2ccc(OC)cc2nc1Cl ZINC000037913715 1047102250 /nfs/dbraw/zinc/10/22/50/1047102250.db2.gz LPTPSHQPLJYYJS-AWEZNQCLSA-N 0 3 304.821 4.271 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cccc(N(C)C)n1)CCC2 ZINC000536829241 1047103109 /nfs/dbraw/zinc/10/31/09/1047103109.db2.gz XELMEUKDYFJZHQ-HXUWFJFHSA-N 0 3 323.484 4.438 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccnc(SC)c2)cc1 ZINC000536827752 1047104641 /nfs/dbraw/zinc/10/46/41/1047104641.db2.gz RHYHJQBPKOPNRZ-GFCCVEGCSA-N 0 3 304.484 4.376 20 0 DIADHN Cc1cc2occ(C(=O)Nc3ccccc3CN(C)C)c2cc1C ZINC000681174644 1047106142 /nfs/dbraw/zinc/10/61/42/1047106142.db2.gz RUQLDRPXPPFPLC-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CCC1(CN[C@@H](C)c2nnn(-c3cccc(Cl)c3)c2C)CC1 ZINC000536841180 1047108146 /nfs/dbraw/zinc/10/81/46/1047108146.db2.gz XYXKWKBFISBWQF-LBPRGKRZSA-N 0 3 318.852 4.070 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cncc2ccccc21)c1ccccc1 ZINC000827526858 1047108264 /nfs/dbraw/zinc/10/82/64/1047108264.db2.gz QEARYOAUGCEDLE-NHCUHLMSSA-N 0 3 320.436 4.083 20 0 DIADHN c1cc(-c2cccc(CN3CCN(C4CCC4)CC3)c2)cs1 ZINC001141377734 1047110247 /nfs/dbraw/zinc/11/02/47/1047110247.db2.gz ZFBOPXDVRJCEDJ-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN Fc1cccc(CC2(NCc3nc4c(s3)CCC4)CCC2)c1 ZINC000681370109 1047118961 /nfs/dbraw/zinc/11/89/61/1047118961.db2.gz JLLSSAFXSCBSQP-UHFFFAOYSA-N 0 3 316.445 4.026 20 0 DIADHN CC(C)Oc1ccc2nccc(N3CC[C@@H](C(F)F)C3)c2c1 ZINC000649355449 1047122002 /nfs/dbraw/zinc/12/20/02/1047122002.db2.gz RRZXPLDXUCNWJV-GFCCVEGCSA-N 0 3 306.356 4.113 20 0 DIADHN CC[C@H]([NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1)c1ccc(C)cc1 ZINC000536909212 1047124394 /nfs/dbraw/zinc/12/43/94/1047124394.db2.gz LADHLVXWHMQWFR-KRWDZBQOSA-N 0 3 324.403 4.160 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ccc(C)cc1 ZINC000536909212 1047124401 /nfs/dbraw/zinc/12/44/01/1047124401.db2.gz LADHLVXWHMQWFR-KRWDZBQOSA-N 0 3 324.403 4.160 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000429770818 1047127896 /nfs/dbraw/zinc/12/78/96/1047127896.db2.gz LMYZSSLFAKPGRB-SUMWQHHRSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@H](c1ccccc1Br)N1CC[C@@H]2OCCC[C@@H]2C1 ZINC001116302785 1047129082 /nfs/dbraw/zinc/12/90/82/1047129082.db2.gz HGIGMYYFYLIIGV-IOASZLSFSA-N 0 3 324.262 4.011 20 0 DIADHN CCc1ccc([C@@H](NCc2cccc(N(C)C)n2)C(C)C)cc1 ZINC000537012760 1047129545 /nfs/dbraw/zinc/12/95/45/1047129545.db2.gz GFIXVBLTAZLZKE-FQEVSTJZSA-N 0 3 311.473 4.197 20 0 DIADHN C[C@@H](CC(C)(C)C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000681549047 1047130421 /nfs/dbraw/zinc/13/04/21/1047130421.db2.gz XPFBKLWZTNEQOJ-INIZCTEOSA-N 0 3 316.489 4.186 20 0 DIADHN CC(C)[C@H](NCCC(=O)N1CCCCC1)c1ccccc1Cl ZINC000537015365 1047131899 /nfs/dbraw/zinc/13/18/99/1047131899.db2.gz WQLLRHPMAJTVRJ-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](CC)c1cccc(Cl)c1 ZINC000537026984 1047133604 /nfs/dbraw/zinc/13/36/04/1047133604.db2.gz ABUHINYUKSLUSJ-WOSRLPQWSA-N 0 3 310.869 4.074 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)N[C@H](C)c1ccccc1)C(C)C ZINC000537059979 1047133879 /nfs/dbraw/zinc/13/38/79/1047133879.db2.gz IQJHFUQUDHCNDU-UTKZUKDTSA-N 0 3 324.468 4.159 20 0 DIADHN O=C(Nc1cccc(Cl)c1)C(C[C@@H]1CCCO1)C(F)(F)F ZINC000649476959 1047135621 /nfs/dbraw/zinc/13/56/21/1047135621.db2.gz XQNGJTIVMWGVLT-RYUDHWBXSA-N 0 3 321.726 4.026 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(OC(C)C)c(Cl)c2)CC1 ZINC000681692405 1047141398 /nfs/dbraw/zinc/14/13/98/1047141398.db2.gz NTVJQMBIFHORRD-HNNXBMFYSA-N 0 3 311.853 4.128 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1cc2n(n1)CCC2 ZINC000649547110 1047141370 /nfs/dbraw/zinc/14/13/70/1047141370.db2.gz LOFLJERXEUVYKO-UONOGXRCSA-N 0 3 317.864 4.107 20 0 DIADHN CCCc1ccc(CNCc2ccnc(SCC)c2)cc1 ZINC000681699454 1047141605 /nfs/dbraw/zinc/14/16/05/1047141605.db2.gz FCHBPFBZKZKSSU-UHFFFAOYSA-N 0 3 300.471 4.436 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(OC(C)C)c(Cl)c2)CC1 ZINC000681692406 1047142083 /nfs/dbraw/zinc/14/20/83/1047142083.db2.gz NTVJQMBIFHORRD-OAHLLOKOSA-N 0 3 311.853 4.128 20 0 DIADHN FC(F)(F)c1ncc(CN[C@H](c2ccccc2)C2CCC2)cn1 ZINC000649558871 1047143573 /nfs/dbraw/zinc/14/35/73/1047143573.db2.gz FFKOFXYAAUGCET-OAHLLOKOSA-N 0 3 321.346 4.126 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](c2cccs2)C2CC2)c1 ZINC000537167815 1047144695 /nfs/dbraw/zinc/14/46/95/1047144695.db2.gz GSEYHMSHRWYNIQ-SFHVURJKSA-N 0 3 314.454 4.044 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)c1cnn(-c2ccccc2)c1 ZINC001116467380 1047144949 /nfs/dbraw/zinc/14/49/49/1047144949.db2.gz ATSAYGAHPNAVSX-INIZCTEOSA-N 0 3 317.436 4.212 20 0 DIADHN CCN(Cc1nn(C)c2ccccc12)[C@@H](C)Cc1ccsc1 ZINC000681755169 1047144976 /nfs/dbraw/zinc/14/49/76/1047144976.db2.gz PKQYYLKLVIXXIO-AWEZNQCLSA-N 0 3 313.470 4.088 20 0 DIADHN CC[C@@H](OCCN(C)CCc1ncc(C)s1)c1ccccc1 ZINC000649568033 1047145012 /nfs/dbraw/zinc/14/50/12/1047145012.db2.gz MCFBIYGRHCLCII-QGZVFWFLSA-N 0 3 318.486 4.094 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1nc2cc(C(F)(F)F)ccc2o1 ZINC000537165321 1047145080 /nfs/dbraw/zinc/14/50/80/1047145080.db2.gz YXFVMWBZPOFLSH-VHSXEESVSA-N 0 3 300.324 4.371 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@H]1CCN(C)[C@@H]1C ZINC000925309300 1047146447 /nfs/dbraw/zinc/14/64/47/1047146447.db2.gz XPTBGSFCEKOBKL-PBHICJAKSA-N 0 3 317.477 4.148 20 0 DIADHN CCC[C@@H](C)CN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000537195125 1047147007 /nfs/dbraw/zinc/14/70/07/1047147007.db2.gz CTYYPBHGIHOVMB-RDTXWAMCSA-N 0 3 303.425 4.017 20 0 DIADHN CC[C@@H](OCCN(C)C[C@H](C)c1nccs1)c1ccccc1 ZINC000649583266 1047148316 /nfs/dbraw/zinc/14/83/16/1047148316.db2.gz HHOUPMRUGGALSQ-DOTOQJQBSA-N 0 3 318.486 4.346 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCCC[C@H]3C2)c(-c2cccs2)n1 ZINC001116484484 1047148348 /nfs/dbraw/zinc/14/83/48/1047148348.db2.gz WJVTWBQDOWCZKQ-GJZGRUSLSA-N 0 3 315.486 4.161 20 0 DIADHN CC[C@@H](OCCN(C)C[C@@H](C)c1nccs1)c1ccccc1 ZINC000649583267 1047148731 /nfs/dbraw/zinc/14/87/31/1047148731.db2.gz HHOUPMRUGGALSQ-NVXWUHKLSA-N 0 3 318.486 4.346 20 0 DIADHN CC[C@H](OCCN(C)C[C@H](C)c1nccs1)c1ccccc1 ZINC000649583268 1047148935 /nfs/dbraw/zinc/14/89/35/1047148935.db2.gz HHOUPMRUGGALSQ-RDJZCZTQSA-N 0 3 318.486 4.346 20 0 DIADHN C[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccc(Cl)cc1 ZINC000649605145 1047151883 /nfs/dbraw/zinc/15/18/83/1047151883.db2.gz HBOFLDTUJYJCBX-ZDUSSCGKSA-N 0 3 316.832 4.144 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cccc(C)c1)c1ccc(F)cc1 ZINC001116551416 1047156210 /nfs/dbraw/zinc/15/62/10/1047156210.db2.gz NMNIRRFVGHJXHS-KDOFPFPSSA-N 0 3 314.404 4.202 20 0 DIADHN Cc1ccccc1C1(NCC(=O)Nc2c(C)cccc2C)CCC1 ZINC000649645153 1047157702 /nfs/dbraw/zinc/15/77/02/1047157702.db2.gz GRIGEUSIVBVAAA-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN CCN(Cc1cc(-n2ccnc2)cs1)Cc1ccccc1F ZINC001116575917 1047158666 /nfs/dbraw/zinc/15/86/66/1047158666.db2.gz LBGWKJDURAAQGB-UHFFFAOYSA-N 0 3 315.417 4.095 20 0 DIADHN COc1cc(CNC(C)(C)CC(F)(F)F)ccc1SC ZINC000681985078 1047158744 /nfs/dbraw/zinc/15/87/44/1047158744.db2.gz OIRAEZWQHFWUDO-UHFFFAOYSA-N 0 3 307.381 4.238 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN2CCC23CCCC3)c1 ZINC000649657694 1047159642 /nfs/dbraw/zinc/15/96/42/1047159642.db2.gz JVKLAUJYCYVWHZ-UHFFFAOYSA-N 0 3 320.436 4.457 20 0 DIADHN OC1(C2CCN(Cc3sc4ccccc4c3Cl)CC2)CC1 ZINC000649674211 1047161655 /nfs/dbraw/zinc/16/16/55/1047161655.db2.gz LHRGLOQASBWVBJ-UHFFFAOYSA-N 0 3 321.873 4.292 20 0 DIADHN Cc1ccccc1Oc1ccccc1CNCC1=CCCOC1 ZINC000537306290 1047163566 /nfs/dbraw/zinc/16/35/66/1047163566.db2.gz KPGGQKBQTNYNAS-UHFFFAOYSA-N 0 3 309.409 4.224 20 0 DIADHN CC(C)(C)CCCN1C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C1 ZINC000682029596 1047164276 /nfs/dbraw/zinc/16/42/76/1047164276.db2.gz UGLQEECVCYBLRB-UWVGGRQHSA-N 0 3 305.306 4.485 20 0 DIADHN CC(C)O[C@@H]1CCCN(Cc2cnc(-c3ccccc3)s2)C1 ZINC000649697412 1047164782 /nfs/dbraw/zinc/16/47/82/1047164782.db2.gz HNRSXRQRRQHYKC-MRXNPFEDSA-N 0 3 316.470 4.200 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccco1)c1cc2cc(Cl)ccc2o1 ZINC000305766730 1047169320 /nfs/dbraw/zinc/16/93/20/1047169320.db2.gz FNYFKEMAAUMOHG-ZWNOBZJWSA-N 0 3 305.761 4.063 20 0 DIADHN CCC(CC)N(C)Cc1cnc(SC)nc1NC1CCCC1 ZINC001137485310 1047171628 /nfs/dbraw/zinc/17/16/28/1047171628.db2.gz KGKUPZRCSACZNO-UHFFFAOYSA-N 0 3 322.522 4.173 20 0 DIADHN CC(C)[C@@H](NC[C@@](C)(O)C(F)(F)F)c1cc2ccccc2o1 ZINC000537359158 1047176483 /nfs/dbraw/zinc/17/64/83/1047176483.db2.gz VIPNSOUAGSWNRQ-HUUCEWRRSA-N 0 3 315.335 4.033 20 0 DIADHN FC(F)(F)c1ccc2oc(CNC3(C4CCC4)CC3)nc2c1 ZINC000649808608 1047177908 /nfs/dbraw/zinc/17/79/08/1047177908.db2.gz JSHXTWDNKQYEDK-UHFFFAOYSA-N 0 3 310.319 4.269 20 0 DIADHN Fc1ccccc1-c1nc(CNC2(C3CCC3)CC2)cs1 ZINC000649808140 1047178121 /nfs/dbraw/zinc/17/81/21/1047178121.db2.gz SSHYQFLTQQQZFA-UHFFFAOYSA-N 0 3 302.418 4.372 20 0 DIADHN Cc1noc(C)c1CCCNCc1c(F)cc(C)cc1Cl ZINC000838979081 1047180051 /nfs/dbraw/zinc/18/00/51/1047180051.db2.gz KFRXKHXICXUUGE-UHFFFAOYSA-N 0 3 310.800 4.115 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@H]1c3ccccc3C[C@@H]1O)CCC2 ZINC000537401607 1047180167 /nfs/dbraw/zinc/18/01/67/1047180167.db2.gz XTKDNTLPXCRDPH-FSSWDIPSSA-N 0 3 321.464 4.435 20 0 DIADHN Cc1cscc1CNCc1sc(N2CCCCC2)nc1C ZINC001116819876 1047180520 /nfs/dbraw/zinc/18/05/20/1047180520.db2.gz ZQDFZTWOKYMYRC-UHFFFAOYSA-N 0 3 321.515 4.102 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2cnc(-c3ccco3)s2)C1 ZINC001116839113 1047181858 /nfs/dbraw/zinc/18/18/58/1047181858.db2.gz GVVLEGIEUXSJIH-NWDGAFQWSA-N 0 3 308.472 4.119 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NC1(c2ccc(F)cc2)CC1 ZINC000537449514 1047186814 /nfs/dbraw/zinc/18/68/14/1047186814.db2.gz JJNMCKGFADYZQY-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CC[C@@]3(CC3(F)F)C2)c1C ZINC000649900146 1047187581 /nfs/dbraw/zinc/18/75/81/1047187581.db2.gz UMBHVXLLRCEOQQ-QGZVFWFLSA-N 0 3 307.384 4.046 20 0 DIADHN FC1(F)CC[C@@H](CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)C1 ZINC000649916612 1047190184 /nfs/dbraw/zinc/19/01/84/1047190184.db2.gz HPSQNYRCDWXSKV-UBDQQSCGSA-N 0 3 321.411 4.416 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2cccc(F)c2)C(C)(C)C)n(C)n1 ZINC001117076689 1047193444 /nfs/dbraw/zinc/19/34/44/1047193444.db2.gz UXAMARQPKUOBFI-GUYCJALGSA-N 0 3 303.425 4.306 20 0 DIADHN COc1cccc2c1OC[C@@H](N[C@H](C)c1csc(Cl)c1)C2 ZINC000682496238 1047200091 /nfs/dbraw/zinc/20/00/91/1047200091.db2.gz UZQMOFNRFZEBBO-MFKMUULPSA-N 0 3 323.845 4.064 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1csc(Cl)c1 ZINC000682493723 1047201343 /nfs/dbraw/zinc/20/13/43/1047201343.db2.gz JEKFCBOPWYULPK-IAQYHMDHSA-N 0 3 307.846 4.366 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1c[nH]c3cc(Cl)ccc13)C2 ZINC001141580021 1047201731 /nfs/dbraw/zinc/20/17/31/1047201731.db2.gz UFEFDGSHMYVDKL-UHFFFAOYSA-N 0 3 312.800 4.085 20 0 DIADHN Cc1ccccc1[C@H](O)C1CCN(Cc2ccc(F)cc2)CC1 ZINC000796409156 1047202082 /nfs/dbraw/zinc/20/20/82/1047202082.db2.gz TVSVBGXMSNFWQF-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN C[C@H](CN[C@@H](c1ccccc1)c1ccccn1)CC(F)(F)F ZINC000396983515 1047205623 /nfs/dbraw/zinc/20/56/23/1047205623.db2.gz XNGIFKKGRSOQHI-BBRMVZONSA-N 0 3 308.347 4.349 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc(OC(F)F)cc2)cn1 ZINC000397050696 1047209398 /nfs/dbraw/zinc/20/93/98/1047209398.db2.gz YACCNBDJJMPLJV-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN COc1ccc2cc(CNCc3cnc(C)cc3C)ccc2c1 ZINC000397088022 1047211129 /nfs/dbraw/zinc/21/11/29/1047211129.db2.gz ITKVUPDRJIZIMY-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN COc1cc(C(F)(F)F)ccc1CN1CCC[C@H](F)CC1 ZINC001141604453 1047218999 /nfs/dbraw/zinc/21/89/99/1047218999.db2.gz DCNCJCZEHGKKAE-ZDUSSCGKSA-N 0 3 305.315 4.038 20 0 DIADHN COc1cc(C(F)(F)F)ccc1CN1CCC[C@@H](F)CC1 ZINC001141604452 1047219702 /nfs/dbraw/zinc/21/97/02/1047219702.db2.gz DCNCJCZEHGKKAE-CYBMUJFWSA-N 0 3 305.315 4.038 20 0 DIADHN COc1cc(C(F)(F)F)ccc1CN1CCC(SC)CC1 ZINC001141611350 1047224451 /nfs/dbraw/zinc/22/44/51/1047224451.db2.gz UTWNXDZSWCGHHT-UHFFFAOYSA-N 0 3 319.392 4.041 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3cc(F)ccc3F)CC2)o1 ZINC000650259696 1047226873 /nfs/dbraw/zinc/22/68/73/1047226873.db2.gz UOUXELBQFOQYEP-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN c1ccc2c(c1)n[nH]c2CN1Cc2ccccc2C2(CCC2)C1 ZINC001117587461 1047231914 /nfs/dbraw/zinc/23/19/14/1047231914.db2.gz VFZCUDJSUNFRRN-UHFFFAOYSA-N 0 3 303.409 4.000 20 0 DIADHN Fc1cc(CNCc2ccc3c(c2)CCC3)ccc1OC(F)F ZINC001117741456 1047238711 /nfs/dbraw/zinc/23/87/11/1047238711.db2.gz YJHAUDQLLYSJTM-UHFFFAOYSA-N 0 3 321.342 4.206 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCCc2ccccc2C1 ZINC001141631429 1047239094 /nfs/dbraw/zinc/23/90/94/1047239094.db2.gz PYHMBKZACXYKEM-UHFFFAOYSA-N 0 3 306.384 4.322 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1cc2cccnc2cc1F ZINC001141634512 1047240627 /nfs/dbraw/zinc/24/06/27/1047240627.db2.gz GCVLCABJLQJFNS-UHFFFAOYSA-N 0 3 312.363 4.188 20 0 DIADHN Fc1ccc2nc(CN3CCC4(CC(F)C4)CC3)ccc2c1 ZINC001141642511 1047242394 /nfs/dbraw/zinc/24/23/94/1047242394.db2.gz TWEHZTHRXJMEFP-UHFFFAOYSA-N 0 3 302.368 4.088 20 0 DIADHN C[C@H](NCC[C@H](O)c1ccccc1)c1ccc(F)cc1Cl ZINC000839544619 1047244979 /nfs/dbraw/zinc/24/49/79/1047244979.db2.gz MYPVEWBRXVRYSG-SJCJKPOMSA-N 0 3 307.796 4.253 20 0 DIADHN CCn1ccc(CN(C)Cc2c(OC)ccc3ccccc32)c1 ZINC000683000422 1047249530 /nfs/dbraw/zinc/24/95/30/1047249530.db2.gz YZWQGNYDLRHPQA-UHFFFAOYSA-N 0 3 308.425 4.302 20 0 DIADHN Clc1cc(CN2CCSC3(CCC3)CC2)cc(Cl)n1 ZINC000839627070 1047250974 /nfs/dbraw/zinc/25/09/74/1047250974.db2.gz XQBFLVTYXGQYKO-UHFFFAOYSA-N 0 3 317.285 4.250 20 0 DIADHN COc1ccccc1C[C@H]1CCCN1Cc1ccc(F)c(F)c1 ZINC000476239522 1047266461 /nfs/dbraw/zinc/26/64/61/1047266461.db2.gz CBUKDBBIGBVCAY-MRXNPFEDSA-N 0 3 317.379 4.181 20 0 DIADHN COc1ccccc1C[C@@H]1CCCN1Cc1ccc(F)c(F)c1 ZINC000476239520 1047267281 /nfs/dbraw/zinc/26/72/81/1047267281.db2.gz CBUKDBBIGBVCAY-INIZCTEOSA-N 0 3 317.379 4.181 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)s1 ZINC000897882480 1047296289 /nfs/dbraw/zinc/29/62/89/1047296289.db2.gz OHCZUKHZWFMHIX-UMSPYCQHSA-N 0 3 308.397 4.020 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@@H](C)c1cncs1 ZINC000897932796 1047296920 /nfs/dbraw/zinc/29/69/20/1047296920.db2.gz QASHONXUUQQUQI-RDQDRAATSA-N 0 3 324.396 4.499 20 0 DIADHN C[C@H](Cc1cccnc1)NCc1csc(Cl)c1Cl ZINC000897967572 1047305406 /nfs/dbraw/zinc/30/54/06/1047305406.db2.gz TWQRZDXXCDCOJG-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1C[C@@]1(C)CC1(Cl)Cl ZINC000827957579 1047309657 /nfs/dbraw/zinc/30/96/57/1047309657.db2.gz OIBXUUHRASFRBX-UONOGXRCSA-N 0 3 301.261 4.136 20 0 DIADHN CCc1ccc(N[C@H]2CCN(Cc3ccccn3)C[C@H]2C)cc1C ZINC000537485440 1047311762 /nfs/dbraw/zinc/31/17/62/1047311762.db2.gz BTWNFVRSXXYSPF-UTKZUKDTSA-N 0 3 323.484 4.275 20 0 DIADHN CSCc1cnc(CNCCC2CCC(F)(F)CC2)s1 ZINC000898031192 1047314225 /nfs/dbraw/zinc/31/42/25/1047314225.db2.gz BNQCLJCDJDIHFK-UHFFFAOYSA-N 0 3 320.474 4.311 20 0 DIADHN CCC[C@@H](NCc1c(C)c(C)n[nH]c1=O)c1cc(C)sc1C ZINC001118863159 1047314292 /nfs/dbraw/zinc/31/42/92/1047314292.db2.gz IPAPXYXFOATQDA-MRXNPFEDSA-N 0 3 319.474 4.108 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H]1CCO[C@@H](C(C)(C)C)C1)CCC2 ZINC001119002592 1047320322 /nfs/dbraw/zinc/32/03/22/1047320322.db2.gz SPPUAYAUXMPTEJ-BRWVUGGUSA-N 0 3 319.493 4.025 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1cnn(CC2CCC2)c1 ZINC000898177528 1047326887 /nfs/dbraw/zinc/32/68/87/1047326887.db2.gz QFHXUQSHIYQTSB-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cc3ccccc3n1C)CCC2 ZINC001119302283 1047327563 /nfs/dbraw/zinc/32/75/63/1047327563.db2.gz PAICPSCGJMDYAG-SFHVURJKSA-N 0 3 322.456 4.123 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1ccc(-c2ccccc2)cn1 ZINC000651763112 1047327938 /nfs/dbraw/zinc/32/79/38/1047327938.db2.gz GKKGCFUAUYXFBN-INIZCTEOSA-N 0 3 310.441 4.216 20 0 DIADHN FC1(F)CC12CCN(Cc1ccc(OCC3CC3)cc1)CC2 ZINC000651952844 1047335079 /nfs/dbraw/zinc/33/50/79/1047335079.db2.gz FLQNSCNQTYKMEB-UHFFFAOYSA-N 0 3 307.384 4.097 20 0 DIADHN C[C@H]1CCN(Cc2sccc2Oc2ccccc2)CC[C@H]1O ZINC001141994481 1047335425 /nfs/dbraw/zinc/33/54/25/1047335425.db2.gz NESFVOCHSJCIRR-GOEBONIOSA-N 0 3 317.454 4.133 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc3ccc(Cl)cn13)CC2 ZINC000651959242 1047335805 /nfs/dbraw/zinc/33/58/05/1047335805.db2.gz FGQZAODAHRVBCV-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN C[C@@H]1CN(Cc2sccc2Oc2ccccc2)CC[C@]1(C)O ZINC001141994535 1047336262 /nfs/dbraw/zinc/33/62/62/1047336262.db2.gz ORYUIGOUGPFBPE-KDOFPFPSSA-N 0 3 317.454 4.133 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CCCC3(COC3)C2)s1 ZINC001141996772 1047336517 /nfs/dbraw/zinc/33/65/17/1047336517.db2.gz IIDYVNCULOYTAK-UHFFFAOYSA-N 0 3 315.438 4.153 20 0 DIADHN CC(C)COc1ccc(CN[C@@H](c2ccncc2)C2CC2)cc1 ZINC000898326306 1047336897 /nfs/dbraw/zinc/33/68/97/1047336897.db2.gz VKQQEGZPJZSWEH-HXUWFJFHSA-N 0 3 310.441 4.357 20 0 DIADHN CC1(C)Cc2cc(CN[C@H](c3ccncc3)C3CC3)ccc2O1 ZINC000898324101 1047337447 /nfs/dbraw/zinc/33/74/47/1047337447.db2.gz BRTHLNQZSWWRMZ-IBGZPJMESA-N 0 3 308.425 4.036 20 0 DIADHN COc1ccc2oc(CN[C@@H](c3ccncc3)C3CC3)cc2c1 ZINC000898325335 1047337940 /nfs/dbraw/zinc/33/79/40/1047337940.db2.gz ONJKUPCKZPVBFE-LJQANCHMSA-N 0 3 308.381 4.077 20 0 DIADHN C[C@@H](COCC1CC1)N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651983874 1047338186 /nfs/dbraw/zinc/33/81/86/1047338186.db2.gz MOSLLZUUCBXIRM-HOTGVXAUSA-N 0 3 310.441 4.214 20 0 DIADHN OCC[C@@H]1CCCN(Cc2sccc2Oc2ccccc2)C1 ZINC001142001528 1047338761 /nfs/dbraw/zinc/33/87/61/1047338761.db2.gz UIGPULDRGJRWDN-HNNXBMFYSA-N 0 3 317.454 4.135 20 0 DIADHN Cc1ccc(-c2nc(CN(C(C)C)C3CCOCC3)co2)cc1 ZINC000533074212 1047343478 /nfs/dbraw/zinc/34/34/78/1047343478.db2.gz AFWGTFAKINZLAW-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CC=CCC2)c2ccccn2)cc1 ZINC000652143558 1047343921 /nfs/dbraw/zinc/34/39/21/1047343921.db2.gz DDWILRBEUUWELZ-JXFKEZNVSA-N 0 3 308.425 4.126 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC=CCC2)c2ccccn2)cc1 ZINC000652143561 1047344262 /nfs/dbraw/zinc/34/42/62/1047344262.db2.gz DDWILRBEUUWELZ-UZLBHIALSA-N 0 3 308.425 4.126 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCC[C@@H](C)C2)c2ccccn2)cc1 ZINC000652144124 1047345077 /nfs/dbraw/zinc/34/50/77/1047345077.db2.gz WIHCUHSPZGMCAN-MDYRTPRTSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccc([C@@H](NCC2CC(C)(C)C2)c2ccccn2)cc1 ZINC000652192255 1047345578 /nfs/dbraw/zinc/34/55/78/1047345578.db2.gz VLOXHDXEAUDSIM-LJQANCHMSA-N 0 3 310.441 4.205 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2C[C@H]2C2CCC2)c2ccccn2)cc1 ZINC000652210110 1047345655 /nfs/dbraw/zinc/34/56/55/1047345655.db2.gz GZBYOUTWSSROJL-CUWPLCDZSA-N 0 3 322.452 4.205 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001142027116 1047351011 /nfs/dbraw/zinc/35/10/11/1047351011.db2.gz ZGFNRCHGBVLQKM-QGZVFWFLSA-N 0 3 322.537 4.173 20 0 DIADHN Fc1cc2cc(CN3C[C@H]4CCC[C@@H]4C3)c(Cl)nc2cc1F ZINC001142035019 1047355616 /nfs/dbraw/zinc/35/56/16/1047355616.db2.gz CUPYMIHBHSHXEP-GHMZBOCLSA-N 0 3 322.786 4.398 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1ccccc1OC(F)F ZINC000045093936 1047358768 /nfs/dbraw/zinc/35/87/68/1047358768.db2.gz LDKXOVFMFNYBOQ-CYBMUJFWSA-N 0 3 301.377 4.001 20 0 DIADHN Fc1cc2cc(CN3CC4CC(C4)C3)c(Cl)nc2cc1F ZINC001142047375 1047359711 /nfs/dbraw/zinc/35/97/11/1047359711.db2.gz ICPVOVJBCSJNAX-UHFFFAOYSA-N 0 3 308.759 4.008 20 0 DIADHN CCCCNC(=S)Nc1ccc2c(c1)CN([C@H](C)CCC)C2 ZINC000726494002 1047362398 /nfs/dbraw/zinc/36/23/98/1047362398.db2.gz HMEGIUONRIYJHP-CQSZACIVSA-N 0 3 319.518 4.277 20 0 DIADHN CCC[C@H](C)N1Cc2ccc(NC(=S)N[C@@H](C)CC)cc2C1 ZINC000726494840 1047363497 /nfs/dbraw/zinc/36/34/97/1047363497.db2.gz NSSLRLYWYMSNIU-KBPBESRZSA-N 0 3 319.518 4.276 20 0 DIADHN O[C@@H](CN1CCC2(CCCCC2)CC1)c1cc(F)ccc1F ZINC000726598372 1047368258 /nfs/dbraw/zinc/36/82/58/1047368258.db2.gz OOQVFBIAXRRPRO-KRWDZBQOSA-N 0 3 309.400 4.045 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(OC(C)C)cc1 ZINC000726596570 1047369108 /nfs/dbraw/zinc/36/91/08/1047369108.db2.gz ZBDWRWCODHKPMQ-UHFFFAOYSA-N 0 3 313.441 4.122 20 0 DIADHN CCCN(CC1CCCCC1)C(=O)[C@H]1CCCCN1CCC ZINC001142094963 1047375949 /nfs/dbraw/zinc/37/59/49/1047375949.db2.gz XFQLXYRSKHEWOP-GOSISDBHSA-N 0 3 308.510 4.070 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC[C@@]23CCOC3)cc1 ZINC001142102405 1047380009 /nfs/dbraw/zinc/38/00/09/1047380009.db2.gz UPFHCDLLVGEYBW-IBGZPJMESA-N 0 3 313.828 4.372 20 0 DIADHN FC1(F)CCN(CCCOc2cccc3ccccc32)CC1 ZINC000796549038 1047384625 /nfs/dbraw/zinc/38/46/25/1047384625.db2.gz FYOMRZMQVXQDOL-UHFFFAOYSA-N 0 3 305.368 4.340 20 0 DIADHN Fc1cccc(-c2nc(CN(C3CC3)C3CCCC3)co2)c1 ZINC000107791211 1047385648 /nfs/dbraw/zinc/38/56/48/1047385648.db2.gz LTZDQJYWWJXCMO-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3ccccc3)C2)c(Cl)n1 ZINC001142136329 1047387662 /nfs/dbraw/zinc/38/76/62/1047387662.db2.gz DCYAPOKXOYLDBH-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN CCCC(=CC(=O)Nc1ccc(CN(C)C)cc1F)CCC ZINC001142142534 1047388849 /nfs/dbraw/zinc/38/88/49/1047388849.db2.gz DMKZWYAHUYVEBU-UHFFFAOYSA-N 0 3 306.425 4.352 20 0 DIADHN CCCCCC(C)(C)C(=O)Nc1ccc(CN(C)C)cc1F ZINC001142142655 1047388946 /nfs/dbraw/zinc/38/89/46/1047388946.db2.gz FIGKIYZRWKUDRE-UHFFFAOYSA-N 0 3 308.441 4.432 20 0 DIADHN Cc1ccsc1CNCc1cc(F)cc(Br)c1 ZINC000108163888 1047393528 /nfs/dbraw/zinc/39/35/28/1047393528.db2.gz ZBYAQWCNMQFYQU-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CCC(c2cccs2)CC1 ZINC001142226381 1047406547 /nfs/dbraw/zinc/40/65/47/1047406547.db2.gz IBFIYRILGVENTD-UHFFFAOYSA-N 0 3 303.475 4.148 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1[nH]cnc1C(C)(C)C ZINC001142231007 1047409065 /nfs/dbraw/zinc/40/90/65/1047409065.db2.gz ZDCXAROBDKRPMM-UHFFFAOYSA-N 0 3 305.853 4.035 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139023724 1047411414 /nfs/dbraw/zinc/41/14/14/1047411414.db2.gz WJJNNJFFUWKDOR-ZDUSSCGKSA-N 0 3 307.484 4.476 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2ccc3c(c2)OCCCO3)cc1 ZINC001142266607 1047420205 /nfs/dbraw/zinc/42/02/05/1047420205.db2.gz DIPNVYZZSLRALF-GOSISDBHSA-N 0 3 309.409 4.185 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc3c(c2)OCCCO3)cc1 ZINC001142271203 1047420553 /nfs/dbraw/zinc/42/05/53/1047420553.db2.gz DHVZUQYPJMSRBC-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@]2(C)CCCc3ccccc32)c1 ZINC000537604950 1047421164 /nfs/dbraw/zinc/42/11/64/1047421164.db2.gz GVZOMNQZVDTJJB-NRFANRHFSA-N 0 3 322.452 4.083 20 0 DIADHN CCc1csc(CC2CCN([C@H](C)c3ccncc3)CC2)n1 ZINC000653802343 1047423377 /nfs/dbraw/zinc/42/33/77/1047423377.db2.gz BBXJVTFRJROHQY-CQSZACIVSA-N 0 3 315.486 4.116 20 0 DIADHN Cc1cccc(CNCc2ccc(Br)s2)c1C ZINC000055483242 1047423656 /nfs/dbraw/zinc/42/36/56/1047423656.db2.gz UJOQSTSZHDKUHM-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CCc1csc(CC2CCN([C@@H](C)c3ccncc3)CC2)n1 ZINC000653802342 1047423874 /nfs/dbraw/zinc/42/38/74/1047423874.db2.gz BBXJVTFRJROHQY-AWEZNQCLSA-N 0 3 315.486 4.116 20 0 DIADHN CC[C@@H](COC)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000118168943 1047429486 /nfs/dbraw/zinc/42/94/86/1047429486.db2.gz WHKSGUDYAMHRNM-LBPRGKRZSA-N 0 3 316.426 4.071 20 0 DIADHN CC[C@H](NCc1cc(-c2ccc(C)cc2)no1)[C@H]1CCCCO1 ZINC000653880769 1047430453 /nfs/dbraw/zinc/43/04/53/1047430453.db2.gz RBYOGJJKXINOHZ-PKOBYXMFSA-N 0 3 314.429 4.087 20 0 DIADHN FC(F)Oc1cccc(CNCc2cccc3cc[nH]c32)c1 ZINC000237908607 1047432576 /nfs/dbraw/zinc/43/25/76/1047432576.db2.gz IQCBUEDVLATRSH-UHFFFAOYSA-N 0 3 302.324 4.059 20 0 DIADHN FC1(F)CCC(CN[C@H](Cc2ccccc2)c2ncco2)CC1 ZINC000653913187 1047434346 /nfs/dbraw/zinc/43/43/46/1047434346.db2.gz QDDMNCVTDGVONE-MRXNPFEDSA-N 0 3 320.383 4.374 20 0 DIADHN Cc1cc(C)cc(N(C)C(=O)Nc2cccc(CN(C)C)c2)c1 ZINC000653936486 1047436311 /nfs/dbraw/zinc/43/63/11/1047436311.db2.gz YSURXYIEVZETKF-UHFFFAOYSA-N 0 3 311.429 4.033 20 0 DIADHN Cc1ccc(CN2CCC[C@H](C(=O)c3ccc(F)cc3)C2)s1 ZINC000537616446 1047452365 /nfs/dbraw/zinc/45/23/65/1047452365.db2.gz AFZBISKRRUVOTK-HNNXBMFYSA-N 0 3 317.429 4.291 20 0 DIADHN CC(C)(C)c1csc(C2CCN(C[C@H]3CCCCO3)CC2)n1 ZINC000750068610 1047456650 /nfs/dbraw/zinc/45/66/50/1047456650.db2.gz VHDLOUNSWOYKGQ-OAHLLOKOSA-N 0 3 322.518 4.189 20 0 DIADHN CCOCCN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000119441719 1047457425 /nfs/dbraw/zinc/45/74/25/1047457425.db2.gz APURMPWFXYHRKM-AWEZNQCLSA-N 0 3 304.459 4.148 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3cncc(F)c3)ccc2c1 ZINC000119436686 1047457474 /nfs/dbraw/zinc/45/74/74/1047457474.db2.gz GJHCTZWMLQDEKD-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@H]1Cc1ccccc1 ZINC000537620425 1047461574 /nfs/dbraw/zinc/46/15/74/1047461574.db2.gz BEHUYWGKBOMTAV-SFHVURJKSA-N 0 3 311.473 4.072 20 0 DIADHN Cc1ccc(N(CC(C)C)C(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC001142505833 1047463446 /nfs/dbraw/zinc/46/34/46/1047463446.db2.gz BYIQVHWYLOZHQJ-GOSISDBHSA-N 0 3 316.489 4.249 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(OCC)cc1 ZINC000119542163 1047465956 /nfs/dbraw/zinc/46/59/56/1047465956.db2.gz ZJTIIMBOVUCQCW-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)[C@H](C)CCC(C)(C)C)N(C)C)cc1 ZINC001142539497 1047466796 /nfs/dbraw/zinc/46/67/96/1047466796.db2.gz RDWNKDCJYKXXPU-SJLPKXTDSA-N 0 3 318.505 4.176 20 0 DIADHN CC[C@H](C)CCCCC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001142539836 1047467120 /nfs/dbraw/zinc/46/71/20/1047467120.db2.gz ZNFARVYROPJYKR-LPHOPBHVSA-N 0 3 318.505 4.320 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2ccc(F)cc21)c1c(C)nn(C)c1C ZINC000089214304 1047476261 /nfs/dbraw/zinc/47/62/61/1047476261.db2.gz DKZZBBJVEVTDOW-QZTJIDSGSA-N 0 3 315.436 4.294 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1cccc2[nH]cnc21 ZINC001142687218 1047480584 /nfs/dbraw/zinc/48/05/84/1047480584.db2.gz PQYPREYFOFLVLI-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN CC(C)CC(=O)Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001142710108 1047485117 /nfs/dbraw/zinc/48/51/17/1047485117.db2.gz KIKHSNMXBGPZOY-GOSISDBHSA-N 0 3 322.452 4.249 20 0 DIADHN CCCC[C@H](C)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001142709454 1047485315 /nfs/dbraw/zinc/48/53/15/1047485315.db2.gz VIEBVGCJLXDGJK-HKUYNNGSSA-N 0 3 316.489 4.074 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000120193345 1047486157 /nfs/dbraw/zinc/48/61/57/1047486157.db2.gz GRRYWFDXTKGBDF-IYBDPMFKSA-N 0 3 308.425 4.165 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(OC(F)(F)F)cc1)c1ccncc1 ZINC000120249825 1047487494 /nfs/dbraw/zinc/48/74/94/1047487494.db2.gz QJEIUNCHARGZBZ-VXGBXAGGSA-N 0 3 310.319 4.392 20 0 DIADHN CCCCN(CCC)CC(=O)Nc1ccc(Cl)cc1Cl ZINC000058339198 1047487519 /nfs/dbraw/zinc/48/75/19/1047487519.db2.gz OLNIMPINYCUALY-UHFFFAOYSA-N 0 3 317.260 4.444 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1cc(F)ccc1F ZINC000120307693 1047490204 /nfs/dbraw/zinc/49/02/04/1047490204.db2.gz YHIHBIYYVUFJNU-CXAGYDPISA-N 0 3 320.383 4.046 20 0 DIADHN C[C@@H](N)c1ccn(C2CCC(c3ccc(Cl)cc3)CC2)n1 ZINC001168336902 1047492189 /nfs/dbraw/zinc/49/21/89/1047492189.db2.gz HZQGFXFCZMRZTM-XEBKBJJBSA-N 0 3 303.837 4.455 20 0 DIADHN CN1Cc2c(NC(=O)C(C)(C)C)cccc2[C@H](c2ccccc2)C1 ZINC001142776300 1047494870 /nfs/dbraw/zinc/49/48/70/1047494870.db2.gz CGDJEPPRRCWSMX-KRWDZBQOSA-N 0 3 322.452 4.249 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089933833 1047495111 /nfs/dbraw/zinc/49/51/11/1047495111.db2.gz QDONFPRTEWATDV-HNNXBMFYSA-N 0 3 317.433 4.347 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3ccc(OC)nc3)ccc2c1 ZINC000120644015 1047497187 /nfs/dbraw/zinc/49/71/87/1047497187.db2.gz NSMGXVSKPYTGSF-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2scnc2C)cc1 ZINC000120881686 1047500822 /nfs/dbraw/zinc/50/08/22/1047500822.db2.gz SCIUCOCNYPZIJC-MRXNPFEDSA-N 0 3 302.443 4.187 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000120826592 1047503480 /nfs/dbraw/zinc/50/34/80/1047503480.db2.gz BNYBLUMJZFAAKW-PXNSSMCTSA-N 0 3 324.468 4.183 20 0 DIADHN CCn1c2ccccc2c2cc(CN3CC[C@]34CCOC4)ccc21 ZINC001142863095 1047505182 /nfs/dbraw/zinc/50/51/82/1047505182.db2.gz LRFUOFFESWTWBC-OAQYLSRUSA-N 0 3 320.436 4.179 20 0 DIADHN Fc1ccccc1-c1cnc(CN(CC2CC2)CC2CC2)o1 ZINC000121048776 1047508395 /nfs/dbraw/zinc/50/83/95/1047508395.db2.gz KTAWSESDVHFEGT-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@H]1CN(Cc2cnn(C(C)(C)C)c2)CC[C@@H]1c1ccccc1 ZINC000537632780 1047510495 /nfs/dbraw/zinc/51/04/95/1047510495.db2.gz QFYRYELYXFVGQA-LPHOPBHVSA-N 0 3 311.473 4.264 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1c(C)noc1C)c1ccc(OC)cc1 ZINC000090886216 1047512580 /nfs/dbraw/zinc/51/25/80/1047512580.db2.gz FIIZEXOLONDHKR-SJORKVTESA-N 0 3 302.418 4.492 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000090911289 1047513687 /nfs/dbraw/zinc/51/36/87/1047513687.db2.gz QKPLCETYYBAHKY-JSGCOSHPSA-N 0 3 311.385 4.092 20 0 DIADHN CCO[C@@H](CCN[C@@H](C)c1nc2c(s1)CCCC2)C(C)C ZINC000091731909 1047521837 /nfs/dbraw/zinc/52/18/37/1047521837.db2.gz MESYJBCSECKHAC-ZFWWWQNUSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1noc([C@@H]2CCCN(Cc3c(C)cc(Cl)cc3C)C2)n1 ZINC000091832250 1047523384 /nfs/dbraw/zinc/52/33/84/1047523384.db2.gz UJKCGMZUDSRSHM-CQSZACIVSA-N 0 3 319.836 4.028 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc3nccn3c2)cc1 ZINC001143027472 1047525591 /nfs/dbraw/zinc/52/55/91/1047525591.db2.gz NFZKEWVTMXQKGF-LJQANCHMSA-N 0 3 321.424 4.070 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc3nccn3c2)c1 ZINC001143029201 1047527464 /nfs/dbraw/zinc/52/74/64/1047527464.db2.gz RUUXLKSGAUPKPC-LJQANCHMSA-N 0 3 321.424 4.070 20 0 DIADHN CCN(Cc1ccccc1NC(C)=O)[C@H](C)c1ccc(F)cc1 ZINC000092124020 1047528647 /nfs/dbraw/zinc/52/86/47/1047528647.db2.gz MCXWUBRJULFCIW-CQSZACIVSA-N 0 3 314.404 4.367 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CC2CCCC2)c(C)c1 ZINC000064021301 1047531415 /nfs/dbraw/zinc/53/14/15/1047531415.db2.gz JEKPPVYTEFVPIT-INIZCTEOSA-N 0 3 302.462 4.061 20 0 DIADHN CC(C)C[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccccc1 ZINC000121953574 1047532944 /nfs/dbraw/zinc/53/29/44/1047532944.db2.gz YMOOBDDXFCBOMN-HXUWFJFHSA-N 0 3 320.436 4.405 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cccc3c2OC(C)(C)C3)CC1 ZINC000092454164 1047534399 /nfs/dbraw/zinc/53/43/99/1047534399.db2.gz RTMWSWCTVKXIJF-UHFFFAOYSA-N 0 3 317.473 4.180 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2C)ccc1SC ZINC000060212949 1047535301 /nfs/dbraw/zinc/53/53/01/1047535301.db2.gz QZHANSIHYDFTHR-UHFFFAOYSA-N 0 3 301.455 4.358 20 0 DIADHN C[C@H](NC(=O)CN(C)CC1CCCC1)c1ccc2ccccc2c1 ZINC000060211409 1047535902 /nfs/dbraw/zinc/53/59/02/1047535902.db2.gz HGIIDMPOHUADLQ-INIZCTEOSA-N 0 3 324.468 4.139 20 0 DIADHN COc1cc(C)cc(CN2CCC[C@@H]2c2ccccc2OC)c1 ZINC001143156087 1047536461 /nfs/dbraw/zinc/53/64/61/1047536461.db2.gz CWIZEFVPGUHPDY-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@@H](c4nccs4)C3)cc2c1 ZINC000092788498 1047538257 /nfs/dbraw/zinc/53/82/57/1047538257.db2.gz ZRAAOZPLLYMSPX-GFCCVEGCSA-N 0 3 316.401 4.408 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@H](c3nccs3)C2)o1 ZINC000092788760 1047539072 /nfs/dbraw/zinc/53/90/72/1047539072.db2.gz AGUZEEZPDJANLS-IPYPFGDCSA-N 0 3 302.443 4.239 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1scc2c1OCCO2 ZINC001143185745 1047540196 /nfs/dbraw/zinc/54/01/96/1047540196.db2.gz NPTWBKFWLWCZRU-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(F)c2)cc1F ZINC000122182892 1047541302 /nfs/dbraw/zinc/54/13/02/1047541302.db2.gz IMJNNKWFZMJHIS-TXEJJXNPSA-N 0 3 321.367 4.394 20 0 DIADHN c1[nH]nc(-c2cccnc2)c1CN1CCC2(CCCCC2)CC1 ZINC000092969054 1047541714 /nfs/dbraw/zinc/54/17/14/1047541714.db2.gz HFFYEUHOXZFWLW-UHFFFAOYSA-N 0 3 310.445 4.018 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(OC)c(F)c2)cc1F ZINC000122182662 1047542011 /nfs/dbraw/zinc/54/20/11/1047542011.db2.gz IMJNNKWFZMJHIS-RYUDHWBXSA-N 0 3 321.367 4.394 20 0 DIADHN c1c2cccnc2[nH]c1CN1CCC2(CCc3ccccc32)CC1 ZINC001143216813 1047542183 /nfs/dbraw/zinc/54/21/83/1047542183.db2.gz KQCGLYQWRBHNBX-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN c1c2cccnc2[nH]c1CN(Cc1ccccn1)C1CCCCC1 ZINC001143221526 1047542449 /nfs/dbraw/zinc/54/24/49/1047542449.db2.gz CJUHSPSKDZEAIX-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN Cc1cccnc1CN(C)CCCc1cc(-c2ccccc2)no1 ZINC000093170192 1047544187 /nfs/dbraw/zinc/54/41/87/1047544187.db2.gz UZPIUCUTHGUHBS-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001143253432 1047544407 /nfs/dbraw/zinc/54/44/07/1047544407.db2.gz SXPMFQHCRHBKCT-KRWDZBQOSA-N 0 3 315.363 4.329 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3ccc(C(F)F)cc3)C2)cc1 ZINC001143251767 1047544724 /nfs/dbraw/zinc/54/47/24/1047544724.db2.gz XOPNMDIKHCUZKQ-QGZVFWFLSA-N 0 3 321.342 4.417 20 0 DIADHN FC(F)c1ccc(CN2CCC[C@H](Oc3cccnc3)C2)cc1 ZINC001143251199 1047544858 /nfs/dbraw/zinc/54/48/58/1047544858.db2.gz NISZORKYUWXLCZ-KRWDZBQOSA-N 0 3 318.367 4.063 20 0 DIADHN COC(=O)c1cccc(CN(C)Cc2ccc(C(F)F)cc2)c1 ZINC001143252721 1047545095 /nfs/dbraw/zinc/54/50/95/1047545095.db2.gz DPKHMMCERQCHFD-UHFFFAOYSA-N 0 3 319.351 4.043 20 0 DIADHN C[C@H]1CN(Cc2cc(F)cc(OC(F)(F)F)c2)C2(CC2)C1 ZINC001143263349 1047546245 /nfs/dbraw/zinc/54/62/45/1047546245.db2.gz WMQHYTKWTBWOPZ-SNVBAGLBSA-N 0 3 303.299 4.099 20 0 DIADHN c1n[nH]cc1[C@@H]1CCCCN1Cc1cccc(-c2ccncc2)c1 ZINC000093438258 1047546800 /nfs/dbraw/zinc/54/68/00/1047546800.db2.gz HOBHBELSYPLNNN-FQEVSTJZSA-N 0 3 318.424 4.199 20 0 DIADHN Cc1cc(C)nc(NCc2ccccc2OCc2cccnc2)c1 ZINC000122360674 1047549311 /nfs/dbraw/zinc/54/93/11/1047549311.db2.gz KTPDBWLZEFTTGU-UHFFFAOYSA-N 0 3 319.408 4.285 20 0 DIADHN COc1cccc(CCNCc2c(Cl)cccc2Cl)c1 ZINC000060998987 1047555393 /nfs/dbraw/zinc/55/53/93/1047555393.db2.gz SDPMZQCCNSNQNW-UHFFFAOYSA-N 0 3 310.224 4.334 20 0 DIADHN c1ccc(N[C@H]2CCCN(CC3=Cc4ccccc4OC3)C2)cc1 ZINC000537649230 1047561797 /nfs/dbraw/zinc/56/17/97/1047561797.db2.gz UZYFRVVVZHYDBL-FQEVSTJZSA-N 0 3 320.436 4.039 20 0 DIADHN Cc1cnc(CN[C@@H](C)[C@@H](C)c2nc3ccccc3s2)s1 ZINC000122940940 1047562289 /nfs/dbraw/zinc/56/22/89/1047562289.db2.gz SCNVSTWHYYTXAN-NEPJUHHUSA-N 0 3 317.483 4.343 20 0 DIADHN CC(C)P(CCNC(=O)c1cc2cccnc2s1)C(C)C ZINC001149545041 1047565405 /nfs/dbraw/zinc/56/54/05/1047565405.db2.gz VWAXJOHKWBVLGP-UHFFFAOYSA-N 0 3 322.414 4.325 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@@H]2COCC[C@@H]21 ZINC000537690030 1047575666 /nfs/dbraw/zinc/57/56/66/1047575666.db2.gz BHGWUSNHZYILHZ-WBVHZDCISA-N 0 3 320.502 4.192 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1c(C)nsc1C ZINC000565550887 1047588948 /nfs/dbraw/zinc/58/89/48/1047588948.db2.gz VIPCNLNDDFEVKP-BZNIZROVSA-N 0 3 324.877 4.279 20 0 DIADHN FC(F)(F)C[C@@H](NCCOc1ccccc1)c1ccccc1 ZINC000315202659 1047600602 /nfs/dbraw/zinc/60/06/02/1047600602.db2.gz QYYZVTBZGYLOQE-MRXNPFEDSA-N 0 3 309.331 4.349 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1ccn(C2CCCC2)n1 ZINC000477262589 1047608927 /nfs/dbraw/zinc/60/89/27/1047608927.db2.gz DKIMTDOCEJEXBW-UZLBHIALSA-N 0 3 309.457 4.376 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000385905709 1047610647 /nfs/dbraw/zinc/61/06/47/1047610647.db2.gz DKLLWMFJQZEAST-HNNXBMFYSA-N 0 3 308.400 4.498 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccccc1)Cc1cc(C#N)ccc1F ZINC000385906503 1047610708 /nfs/dbraw/zinc/61/07/08/1047610708.db2.gz DSDHPUHPCBVRBY-OAHLLOKOSA-N 0 3 308.400 4.498 20 0 DIADHN CCC(CC)N(CCOC)Cc1nc(-c2cccs2)oc1C ZINC000477411083 1047618561 /nfs/dbraw/zinc/61/85/61/1047618561.db2.gz JHHSBNRVZXZIPA-UHFFFAOYSA-N 0 3 322.474 4.349 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccc3c(c2)OCO3)c(C)s1 ZINC000477462583 1047620743 /nfs/dbraw/zinc/62/07/43/1047620743.db2.gz ZQHAGHRQVNNQEX-MRXNPFEDSA-N 0 3 315.438 4.431 20 0 DIADHN Brc1cc(CN2CCC[C@@H]2C2CCC2)cs1 ZINC000477597780 1047627567 /nfs/dbraw/zinc/62/75/67/1047627567.db2.gz DYEMDZPRKKYGAV-GFCCVEGCSA-N 0 3 300.265 4.275 20 0 DIADHN Clc1cccc(-c2noc(CN3CCC[C@@H]3C3CCC3)n2)c1 ZINC000477605230 1047627709 /nfs/dbraw/zinc/62/77/09/1047627709.db2.gz VXKILTJAGHRHQP-OAHLLOKOSA-N 0 3 317.820 4.155 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1CCC[C@H]1C1CCC1 ZINC000477638323 1047628344 /nfs/dbraw/zinc/62/83/44/1047628344.db2.gz COSRGJJDNXJGAW-SFHVURJKSA-N 0 3 312.482 4.498 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000537774258 1047638392 /nfs/dbraw/zinc/63/83/92/1047638392.db2.gz MZUVACDUVUKJBT-KUHUBIRLSA-N 0 3 317.404 4.009 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2nc3c(s2)CCCC3)c1 ZINC000537883029 1047641894 /nfs/dbraw/zinc/64/18/94/1047641894.db2.gz OXTIFCIAEVLXSA-AWEZNQCLSA-N 0 3 300.471 4.224 20 0 DIADHN CC[C@H](COC)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000537940848 1047644975 /nfs/dbraw/zinc/64/49/75/1047644975.db2.gz YKCYKFQBQGLFEZ-SJLPKXTDSA-N 0 3 318.848 4.033 20 0 DIADHN FC(F)(F)c1cccnc1CNC1CC(c2ccccc2)C1 ZINC000152558390 1047646837 /nfs/dbraw/zinc/64/68/37/1047646837.db2.gz YIANWMAYJLONBF-UHFFFAOYSA-N 0 3 306.331 4.136 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(OC)cc1C)CCC2 ZINC000537960737 1047647643 /nfs/dbraw/zinc/64/76/43/1047647643.db2.gz HRGYQGQMVQSGNQ-OAHLLOKOSA-N 0 3 316.470 4.190 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)NC(C)(C)CC1CCCCCC1 ZINC001154436958 1047647964 /nfs/dbraw/zinc/64/79/64/1047647964.db2.gz UAYJBUKJDSSUJS-HOTGVXAUSA-N 0 3 308.510 4.115 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1C)c1ccc(F)cc1N(C)C ZINC000537980336 1047650068 /nfs/dbraw/zinc/65/00/68/1047650068.db2.gz RJTPEKRNLPSVRB-LAPQFRIASA-N 0 3 312.432 4.476 20 0 DIADHN c1ccc2c(c1)OC1(CCC1)C[C@H]2NCCOC1CCCCC1 ZINC000537968872 1047650797 /nfs/dbraw/zinc/65/07/97/1047650797.db2.gz AUAVQRFNMSKMJV-GOSISDBHSA-N 0 3 315.457 4.372 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1cc2c(s1)CCC2 ZINC000537968623 1047651024 /nfs/dbraw/zinc/65/10/24/1047651024.db2.gz ORRQDAACCMHARW-ZDUSSCGKSA-N 0 3 300.471 4.154 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1cc(C)c(C)cc1C ZINC000538018112 1047654586 /nfs/dbraw/zinc/65/45/86/1047654586.db2.gz KZMOQISTMVEOEE-WBVHZDCISA-N 0 3 303.471 4.366 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cccc(Br)c1 ZINC000538001963 1047654630 /nfs/dbraw/zinc/65/46/30/1047654630.db2.gz GKHRYABPICDRMY-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)N[C@H]1CCCc2c1cnn2C ZINC000924651239 1047655279 /nfs/dbraw/zinc/65/52/79/1047655279.db2.gz PRWWEMCRFVOSJY-LRDDRELGSA-N 0 3 309.413 4.203 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)N[C@@H]1CCCc2c1cnn2C ZINC000924651237 1047655532 /nfs/dbraw/zinc/65/55/32/1047655532.db2.gz PRWWEMCRFVOSJY-BLLLJJGKSA-N 0 3 309.413 4.203 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](CO)c2sccc2C)cc1 ZINC000538020070 1047655626 /nfs/dbraw/zinc/65/56/26/1047655626.db2.gz ALZTYMKNRCCDIC-RHSMWYFYSA-N 0 3 319.470 4.230 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)N[C@H]1CCCc2c1cnn2C ZINC000924651248 1047655656 /nfs/dbraw/zinc/65/56/56/1047655656.db2.gz PRWWEMCRFVOSJY-WBMJQRKESA-N 0 3 309.413 4.203 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1cc(F)cc(F)c1)c1ccccc1 ZINC000538141012 1047659509 /nfs/dbraw/zinc/65/95/09/1047659509.db2.gz GKKKJLQLEZDHSQ-QGZVFWFLSA-N 0 3 318.367 4.034 20 0 DIADHN CC[C@H](NCC(=O)Nc1cccc(C)c1C)c1ccc(C)cc1 ZINC000538154673 1047660458 /nfs/dbraw/zinc/66/04/58/1047660458.db2.gz FQTWHJPVCZOAGP-SFHVURJKSA-N 0 3 310.441 4.291 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2C)C(C)C)s1 ZINC000538160752 1047660814 /nfs/dbraw/zinc/66/08/14/1047660814.db2.gz PMRBPOFTPOHSKD-KRWDZBQOSA-N 0 3 317.454 4.330 20 0 DIADHN Cc1ccc(C)c(NC(=O)CNC2(c3ccccc3)CCCC2)c1 ZINC000538187547 1047661685 /nfs/dbraw/zinc/66/16/85/1047661685.db2.gz IIPDIOXXINKNOZ-UHFFFAOYSA-N 0 3 322.452 4.301 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2ccccc2CC)cc1 ZINC000538192418 1047662419 /nfs/dbraw/zinc/66/24/19/1047662419.db2.gz YILUJWDGIGZWHP-UHFFFAOYSA-N 0 3 324.468 4.275 20 0 DIADHN CC(C)(C)C(=N)Nc1cc(F)ccc1Oc1ccc(Cl)nn1 ZINC001155180443 1047670989 /nfs/dbraw/zinc/67/09/89/1047670989.db2.gz YKYVREAFCGNRBV-UHFFFAOYSA-N 0 3 322.771 4.497 20 0 DIADHN COc1c(C)cnc(CN[C@H](c2ccccc2C)C2CC2)c1C ZINC000538393428 1047673907 /nfs/dbraw/zinc/67/39/07/1047673907.db2.gz YMGIOTVPAWWVCA-IBGZPJMESA-N 0 3 310.441 4.256 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1nccc2cccc(Cl)c21 ZINC001155256020 1047674184 /nfs/dbraw/zinc/67/41/84/1047674184.db2.gz ZZFQLNCQVFZGPS-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(C(=O)OC(C)(C)C)cc2)c1 ZINC001155288265 1047676016 /nfs/dbraw/zinc/67/60/16/1047676016.db2.gz GPFOOFMASLMYMI-UHFFFAOYSA-N 0 3 312.413 4.266 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cccc(F)c1F)Oc1ccccc1F ZINC000538444799 1047676899 /nfs/dbraw/zinc/67/68/99/1047676899.db2.gz QRCFBOASKCTGIS-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)N[C@@H](C)c1cccc(CO)c1 ZINC000538451904 1047678679 /nfs/dbraw/zinc/67/86/79/1047678679.db2.gz DTHZNKUZIJMXGK-HOTGVXAUSA-N 0 3 313.441 4.378 20 0 DIADHN CC[C@@H](NCCOC(C)(C)C)c1ccccc1Br ZINC000538524736 1047683085 /nfs/dbraw/zinc/68/30/85/1047683085.db2.gz RFSIOCBCMGFYOL-CQSZACIVSA-N 0 3 314.267 4.305 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643399 1047685820 /nfs/dbraw/zinc/68/58/20/1047685820.db2.gz QJLABARAZDRBOK-SUMWQHHRSA-N 0 3 324.896 4.131 20 0 DIADHN CC(C)P(CCNC(=O)c1cccc2c1CCCO2)C(C)C ZINC001155611652 1047686188 /nfs/dbraw/zinc/68/61/88/1047686188.db2.gz TZEWOHIAPWCNLP-UHFFFAOYSA-N 0 3 321.401 4.040 20 0 DIADHN Clc1ccc(Cl)c2nc(N[C@H]3CN4CCC3CC4)ccc12 ZINC001155668554 1047686645 /nfs/dbraw/zinc/68/66/45/1047686645.db2.gz ZHLTUEWTICLBJJ-AWEZNQCLSA-N 0 3 322.239 4.048 20 0 DIADHN Cc1cc(C)cc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c1 ZINC000538549780 1047686897 /nfs/dbraw/zinc/68/68/97/1047686897.db2.gz KPPGDHAXESQKDU-HNNXBMFYSA-N 0 3 309.409 4.372 20 0 DIADHN Cc1cc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c(C)s1 ZINC000538550661 1047687092 /nfs/dbraw/zinc/68/70/92/1047687092.db2.gz FCNZKGBVRINPTA-LBPRGKRZSA-N 0 3 315.438 4.434 20 0 DIADHN CC[C@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@H]1CCOC1 ZINC000538589604 1047690167 /nfs/dbraw/zinc/69/01/67/1047690167.db2.gz NNOYLSXWNFEDJK-FZMZJTMJSA-N 0 3 314.256 4.387 20 0 DIADHN CC(C)c1ccc(CNc2cc(Cl)nc3c2CCNC3)cc1 ZINC001155947825 1047692147 /nfs/dbraw/zinc/69/21/47/1047692147.db2.gz AIIQIUBOVFJCIR-UHFFFAOYSA-N 0 3 315.848 4.116 20 0 DIADHN Nc1ccccc1CNc1nc(N2CCCC2)cc2ccccc21 ZINC001155987878 1047695288 /nfs/dbraw/zinc/69/52/88/1047695288.db2.gz BOKXYLUJGYIWQF-UHFFFAOYSA-N 0 3 318.424 4.029 20 0 DIADHN Cc1ccc([C@H]2[C@@H](C)CCCN2Cc2cnc3cnccn23)cc1 ZINC000538720482 1047699438 /nfs/dbraw/zinc/69/94/38/1047699438.db2.gz BUMLZRCNHPWPCE-OXJNMPFZSA-N 0 3 320.440 4.011 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000538717297 1047699598 /nfs/dbraw/zinc/69/95/98/1047699598.db2.gz RZJQNTUEFHUKBW-ZBFHGGJFSA-N 0 3 308.491 4.395 20 0 DIADHN CCCCOC1CCN(Cc2ccc(OC(C)C)cc2)CC1 ZINC000538723709 1047700305 /nfs/dbraw/zinc/70/03/05/1047700305.db2.gz UUSHKFIMZUGLEO-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN CCCOc1cccc(CN(C)[C@H](CCO)c2ccccc2)c1 ZINC000538728714 1047702261 /nfs/dbraw/zinc/70/22/61/1047702261.db2.gz SDPTZGVSGFUSMF-HXUWFJFHSA-N 0 3 313.441 4.031 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1cc(-c2cccnc2)n[nH]1 ZINC000538730533 1047703168 /nfs/dbraw/zinc/70/31/68/1047703168.db2.gz SDHXJFUCRDPEQU-FQEVSTJZSA-N 0 3 320.440 4.301 20 0 DIADHN COc1ccsc1CN(CCc1ccc(F)cc1)C1CC1 ZINC000538740465 1047704732 /nfs/dbraw/zinc/70/47/32/1047704732.db2.gz QRBXDEYTWZFCOQ-UHFFFAOYSA-N 0 3 305.418 4.103 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN2CCCO[C@H](C)C2)o1 ZINC000538739517 1047704759 /nfs/dbraw/zinc/70/47/59/1047704759.db2.gz SLNDRFCNGMBHST-CQSZACIVSA-N 0 3 303.377 4.005 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN2CCCO[C@@H](C)C2)o1 ZINC000538739516 1047705009 /nfs/dbraw/zinc/70/50/09/1047705009.db2.gz SLNDRFCNGMBHST-AWEZNQCLSA-N 0 3 303.377 4.005 20 0 DIADHN c1cc2c(s1)CN(Cc1cccc(-c3cccnc3)c1)CC2 ZINC000538736785 1047705317 /nfs/dbraw/zinc/70/53/17/1047705317.db2.gz DBEKZIRKOWQFHT-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN CC[C@@H]1CN(Cc2c[nH]nc2-c2cccc(Cl)c2)CCS1 ZINC000538725733 1047706254 /nfs/dbraw/zinc/70/62/54/1047706254.db2.gz SMWBEKBHLLERSV-OAHLLOKOSA-N 0 3 321.877 4.058 20 0 DIADHN Cc1ccc2nc(NCC3CN(Cc4ccccc4)C3)ccc2c1 ZINC001156394955 1047710066 /nfs/dbraw/zinc/71/00/66/1047710066.db2.gz XAVCBOYVHYNYBT-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1cccc2ccnc(NCC3CN(Cc4ccccc4)C3)c12 ZINC001156403278 1047710187 /nfs/dbraw/zinc/71/01/87/1047710187.db2.gz SPLAHMFPMFTZIC-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1ccc(-c2ccc(CN(C)CCc3nccs3)o2)cc1 ZINC000538763125 1047710801 /nfs/dbraw/zinc/71/08/01/1047710801.db2.gz HXOUXNDTEYIQLY-UHFFFAOYSA-N 0 3 312.438 4.386 20 0 DIADHN Fc1cc(Cl)cnc1NC[C@@H](c1ccccc1)N1CCCC1 ZINC001156523860 1047715296 /nfs/dbraw/zinc/71/52/96/1047715296.db2.gz WNCQLDHZLNWFHS-INIZCTEOSA-N 0 3 319.811 4.123 20 0 DIADHN Cc1cnc(NC[C@H](c2ccccc2)N2CCCC2)c(Cl)c1 ZINC001156522522 1047715384 /nfs/dbraw/zinc/71/53/84/1047715384.db2.gz BIGUYOBQBUVUOX-QGZVFWFLSA-N 0 3 315.848 4.292 20 0 DIADHN Cc1ccc(Cl)c(NC[C@@H](c2ccccc2)N2CCCC2)n1 ZINC001156529238 1047716315 /nfs/dbraw/zinc/71/63/15/1047716315.db2.gz DZKQLVCALOXCCN-KRWDZBQOSA-N 0 3 315.848 4.292 20 0 DIADHN Cc1ccnc(NC[C@H](c2ccccc2)N2CCCC2)c1Cl ZINC001156529804 1047716408 /nfs/dbraw/zinc/71/64/08/1047716408.db2.gz GCLWVALCPAXWSL-MRXNPFEDSA-N 0 3 315.848 4.292 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@@H]1CCC[C@@H](C)C1 ZINC000538912825 1047718492 /nfs/dbraw/zinc/71/84/92/1047718492.db2.gz DGEVDENDNCTNHN-ZIAGYGMSSA-N 0 3 324.415 4.052 20 0 DIADHN Cc1ccc2c(C3=CCN(Cc4ncccc4C)CC3)c[nH]c2c1 ZINC000538967905 1047721349 /nfs/dbraw/zinc/72/13/49/1047721349.db2.gz NAYYBKVQLFLRAL-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN Clc1ccc(OCCCN(Cc2cccnc2)C2CC2)cc1 ZINC000538963456 1047722248 /nfs/dbraw/zinc/72/22/48/1047722248.db2.gz UTINPPMOZFDPRA-UHFFFAOYSA-N 0 3 316.832 4.169 20 0 DIADHN C[C@H](NCCOc1ccc(F)cc1)c1ccc2ccccc2n1 ZINC000539020578 1047725554 /nfs/dbraw/zinc/72/55/54/1047725554.db2.gz GIVZVMBFOPNJPF-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1Br)[C@@H]1CCCO1 ZINC000539041114 1047726468 /nfs/dbraw/zinc/72/64/68/1047726468.db2.gz RDDRCYCSEFUZIZ-UGFHNGPFSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CO)c2ccc(C(F)(F)F)cc2)cc1 ZINC000539055698 1047728133 /nfs/dbraw/zinc/72/81/33/1047728133.db2.gz GFCSTMYJXVBMAD-CXAGYDPISA-N 0 3 323.358 4.398 20 0 DIADHN C[C@@H](NCCN1CCC1)c1ccc(Cl)c(Cl)c1Cl ZINC000539055114 1047729520 /nfs/dbraw/zinc/72/95/20/1047729520.db2.gz XGLULPGAINDFGT-SECBINFHSA-N 0 3 307.652 4.003 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(C)C1CCCCC1)c1cccs1 ZINC000539056380 1047729600 /nfs/dbraw/zinc/72/96/00/1047729600.db2.gz JLXPYCZHLXARDK-INIZCTEOSA-N 0 3 322.518 4.216 20 0 DIADHN CCC[C@H]([NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1)c1ccccc1 ZINC000539120773 1047737693 /nfs/dbraw/zinc/73/76/93/1047737693.db2.gz FVPXXHXMQLXBTN-KRWDZBQOSA-N 0 3 324.403 4.242 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ccccc1 ZINC000539120773 1047737697 /nfs/dbraw/zinc/73/76/97/1047737697.db2.gz FVPXXHXMQLXBTN-KRWDZBQOSA-N 0 3 324.403 4.242 20 0 DIADHN CC(C)[C@@H](NCCOCC(F)(F)F)c1ccccc1Cl ZINC000539213590 1047741100 /nfs/dbraw/zinc/74/11/00/1047741100.db2.gz MLCCEVDVVXQBEK-CYBMUJFWSA-N 0 3 309.759 4.206 20 0 DIADHN COc1cccc(CCCN[C@@H](C)c2c(F)cccc2F)c1 ZINC000539233215 1047743824 /nfs/dbraw/zinc/74/38/24/1047743824.db2.gz KXFSHXSPUYOODR-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c1C ZINC000539289587 1047745270 /nfs/dbraw/zinc/74/52/70/1047745270.db2.gz WVHMVWVKTQQNFD-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN CC[C@@H](NCCc1cccc(Cl)c1Cl)c1nccn1C ZINC000539310502 1047745889 /nfs/dbraw/zinc/74/58/89/1047745889.db2.gz DKFKTQZAXUWNGY-CYBMUJFWSA-N 0 3 312.244 4.010 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477846061 1047754037 /nfs/dbraw/zinc/75/40/37/1047754037.db2.gz JZOURIZWFZGWDB-WMZOPIPTSA-N 0 3 320.452 4.389 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc(OC)c2)cc1 ZINC000061801820 1047771902 /nfs/dbraw/zinc/77/19/02/1047771902.db2.gz AQJMMYBXIWBYCF-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN CCC[C@@H](NC(=O)c1ccc(NC2=NCCC2)cc1)C(C)(C)C ZINC001158428986 1047779515 /nfs/dbraw/zinc/77/95/15/1047779515.db2.gz BBXOIVFJZUCFER-MRXNPFEDSA-N 0 3 315.461 4.235 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1ccc(OC(F)F)cc1)c1cnn(C)c1 ZINC000927065228 1047787142 /nfs/dbraw/zinc/78/71/42/1047787142.db2.gz FWRAFQVJFKELKR-MLGOLLRUSA-N 0 3 323.387 4.069 20 0 DIADHN Cc1cc(C)nc(N[C@@H](CCO)c2cccc3ccccc32)c1 ZINC001158505993 1047787468 /nfs/dbraw/zinc/78/74/68/1047787468.db2.gz MRNWYWWAXXLBEE-IBGZPJMESA-N 0 3 306.409 4.387 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2ccc(OC)cc2)C2CCC2)cn1 ZINC000927065719 1047788930 /nfs/dbraw/zinc/78/89/30/1047788930.db2.gz HLXVLNVMBXUFRT-LIRRHRJNSA-N 0 3 313.445 4.104 20 0 DIADHN CC(C)[C@@H](N[C@H]1C[C@H](C)Sc2sccc21)c1cnn(C)c1 ZINC000927068632 1047794950 /nfs/dbraw/zinc/79/49/50/1047794950.db2.gz KELGSGGYHCPCDN-TUKIKUTGSA-N 0 3 321.515 4.394 20 0 DIADHN c1ccc(CSCCNCc2ccc3ccccc3n2)cc1 ZINC000552802282 1047796541 /nfs/dbraw/zinc/79/65/41/1047796541.db2.gz IJAUKEUEERVJIT-UHFFFAOYSA-N 0 3 308.450 4.258 20 0 DIADHN Cc1c2cc(F)ccc2oc1CNCc1cc(C)c(O)c(C)c1 ZINC000552836738 1047798259 /nfs/dbraw/zinc/79/82/59/1047798259.db2.gz RKAGPIGMRIPWNC-UHFFFAOYSA-N 0 3 313.372 4.493 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1nccc2ccccc21)c1cnn(C)c1 ZINC000927070710 1047798450 /nfs/dbraw/zinc/79/84/50/1047798450.db2.gz QBVLGQROXLJETG-KSSFIOAISA-N 0 3 308.429 4.016 20 0 DIADHN Cc1cc(NC[C@H](c2ccco2)N2CCCC2)nc2ccccc12 ZINC000247818073 1047820706 /nfs/dbraw/zinc/82/07/06/1047820706.db2.gz FJQPQRIFLPAOPB-GOSISDBHSA-N 0 3 321.424 4.385 20 0 DIADHN CC[C@H](N[C@H](C)c1nc2ccccc2n1C(F)F)[C@@H]1CCCO1 ZINC000553102958 1047822230 /nfs/dbraw/zinc/82/22/30/1047822230.db2.gz QSGHFFBMDIMQCA-XUJVJEKNSA-N 0 3 323.387 4.040 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC[C@H](C(C)(C)C)C2)c1 ZINC000478906293 1047824142 /nfs/dbraw/zinc/82/41/42/1047824142.db2.gz DIYSINVOHTXQIJ-INIZCTEOSA-N 0 3 317.477 4.038 20 0 DIADHN Cc1cc(OCc2ccccc2)ccc1Nc1ccnc(CN)c1 ZINC001159296729 1047826504 /nfs/dbraw/zinc/82/65/04/1047826504.db2.gz RREMNMQAJYJTAC-UHFFFAOYSA-N 0 3 319.408 4.171 20 0 DIADHN Cc1cc(F)c(Nc2ccnc(CN)c2)c(Cl)c1Cl ZINC001159297054 1047826612 /nfs/dbraw/zinc/82/66/12/1047826612.db2.gz ZALPGRXDFMBHAQ-UHFFFAOYSA-N 0 3 300.164 4.038 20 0 DIADHN CCn1c2ccccc2c2cc(Nc3ccnc(CN)c3)ccc21 ZINC001159296458 1047826937 /nfs/dbraw/zinc/82/69/37/1047826937.db2.gz ONNYKXNIGQFLBM-UHFFFAOYSA-N 0 3 316.408 4.412 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCC[C@@H](C)CC1 ZINC000063308540 1047827649 /nfs/dbraw/zinc/82/76/49/1047827649.db2.gz YHLLAWRBSLCJCN-CVEARBPZSA-N 0 3 302.462 4.259 20 0 DIADHN Fc1cc(Cl)cc(Cl)c1[N-]c1cc([C@@H]2CCC[NH2+]2)no1 ZINC001159308165 1047829209 /nfs/dbraw/zinc/82/92/09/1047829209.db2.gz HUXZJVKVTQHMTO-JTQLQIEISA-N 0 3 316.163 4.289 20 0 DIADHN Fc1ncc(-c2ccccc2)cc1[N-]c1cc([C@H]2CCC[NH2+]2)no1 ZINC001159309633 1047830337 /nfs/dbraw/zinc/83/03/37/1047830337.db2.gz WZTAUTKMIRVQNX-CQSZACIVSA-N 0 3 324.359 4.044 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(C)C)C2)c(Br)cc1F ZINC001204388656 1047841597 /nfs/dbraw/zinc/84/15/97/1047841597.db2.gz GMKQYXOMHMFJNG-GFCCVEGCSA-N 0 3 314.242 4.375 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1ccc(-n3cccn3)cc1)CC2 ZINC000479166149 1047842214 /nfs/dbraw/zinc/84/22/14/1047842214.db2.gz ICUYGELLDKREFW-IBGZPJMESA-N 0 3 323.827 4.303 20 0 DIADHN Nc1cc(F)ccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001159442842 1047844603 /nfs/dbraw/zinc/84/46/03/1047844603.db2.gz AOEMDTQNGXNHJV-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN C[C@H]1CN(C[C@H](O)c2ccc(Cl)c(F)c2)Cc2ccccc21 ZINC001197552445 1047844821 /nfs/dbraw/zinc/84/48/21/1047844821.db2.gz KBKIYJPMLZSGSP-SGTLLEGYSA-N 0 3 319.807 4.132 20 0 DIADHN CCCOc1cccc(CN2CC[C@@](C)(C(F)(F)F)C2)c1 ZINC001197553415 1047845091 /nfs/dbraw/zinc/84/50/91/1047845091.db2.gz QTXTUXPYZVNENT-OAHLLOKOSA-N 0 3 301.352 4.250 20 0 DIADHN C[C@]1(C(F)(F)F)CCN(Cc2ccc([Si](C)(C)C)cc2)C1 ZINC001197552266 1047845626 /nfs/dbraw/zinc/84/56/26/1047845626.db2.gz ICIGBUCETNEKJQ-HNNXBMFYSA-N 0 3 315.455 4.006 20 0 DIADHN Cc1ccc2c(c1)CN(C[C@@H](C)c1cc(F)cc(F)c1)CC2 ZINC001182780873 1047845714 /nfs/dbraw/zinc/84/57/14/1047845714.db2.gz FDULKCPDIVKWPO-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN C[C@H](CN(C)CC(=O)N(C1CC1)C1CCC(C)CC1)C(C)(C)C ZINC001197603891 1047852445 /nfs/dbraw/zinc/85/24/45/1047852445.db2.gz XSZHEXJKTGSDKO-AQFXKWCLSA-N 0 3 322.537 4.170 20 0 DIADHN CCC1(CC)CCCN(Cc2c(C)nc3scc(C)n23)C1 ZINC001182883232 1047854888 /nfs/dbraw/zinc/85/48/88/1047854888.db2.gz XJQBGYVIEGXJDJ-UHFFFAOYSA-N 0 3 305.491 4.415 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccc(C2CC[NH2+]CC2)cc1 ZINC001159515516 1047858803 /nfs/dbraw/zinc/85/88/03/1047858803.db2.gz GQVWPBLXYSFGKK-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@@H]2c2ccccc2)cc1 ZINC001197661308 1047859642 /nfs/dbraw/zinc/85/96/42/1047859642.db2.gz TXOYUMCYLQQJTG-OXJNMPFZSA-N 0 3 308.425 4.017 20 0 DIADHN CCCCCC[C@@H]1CCC[C@@H]1NCc1nccc(OC)c1F ZINC001182950008 1047863809 /nfs/dbraw/zinc/86/38/09/1047863809.db2.gz SCJBTGNVMVOFQF-CABCVRRESA-N 0 3 308.441 4.458 20 0 DIADHN c1nc2cc(CN3CCC([C@H]4CCCCO4)CC3)ccc2s1 ZINC001182918052 1047864366 /nfs/dbraw/zinc/86/43/66/1047864366.db2.gz IKAPGBMONUKYJP-QGZVFWFLSA-N 0 3 316.470 4.077 20 0 DIADHN CC(C)[C@@H](NC[C@H](c1ccco1)N(C)C)c1cc(F)ccc1F ZINC000479336290 1047873398 /nfs/dbraw/zinc/87/33/98/1047873398.db2.gz ODYVRRSGTQRLDA-SJLPKXTDSA-N 0 3 322.399 4.147 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2ccccc2n1 ZINC001159590129 1047874504 /nfs/dbraw/zinc/87/45/04/1047874504.db2.gz JZDDQORCRPNRPZ-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN OC[C@@H](NC1CCC(=C2CCC2)CC1)c1ccc(F)cc1F ZINC001183011073 1047875795 /nfs/dbraw/zinc/87/57/95/1047875795.db2.gz KJWBRIDEOGYIOG-GOSISDBHSA-N 0 3 307.384 4.011 20 0 DIADHN CC(C)c1cccc(CNCc2cc(-c3ccccc3)n[nH]2)c1 ZINC000479424230 1047884812 /nfs/dbraw/zinc/88/48/12/1047884812.db2.gz QQOZVJGATGPAJT-UHFFFAOYSA-N 0 3 305.425 4.490 20 0 DIADHN CC1(C)C[C@@]1(NCc1ccc(O)c(F)c1F)c1ccccc1 ZINC001183065172 1047886038 /nfs/dbraw/zinc/88/60/38/1047886038.db2.gz HRHWGASWKFIRIC-GOSISDBHSA-N 0 3 303.352 4.085 20 0 DIADHN CCc1ccc(CN2CCC(CCc3ccccc3)CC2)nc1 ZINC001197837084 1047886409 /nfs/dbraw/zinc/88/64/09/1047886409.db2.gz JXDBCPVTJZCOBO-UHFFFAOYSA-N 0 3 308.469 4.489 20 0 DIADHN Cc1ccc([C@H](C)Nc2cc(Cl)nc3c2CCNC3)c(C)c1 ZINC001159633052 1047889474 /nfs/dbraw/zinc/88/94/74/1047889474.db2.gz OFEGUDBEXXTZMB-ZDUSSCGKSA-N 0 3 315.848 4.171 20 0 DIADHN C[C@]1(Cc2ccccc2Cl)CCCN1Cc1ccc(F)nc1 ZINC001183103936 1047892096 /nfs/dbraw/zinc/89/20/96/1047892096.db2.gz QQASFVKWYSTBDY-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC[C@H](Cc2nccs2)C1 ZINC001197921350 1047899558 /nfs/dbraw/zinc/89/95/58/1047899558.db2.gz QTDHPVCSGVEIRN-UONOGXRCSA-N 0 3 304.434 4.298 20 0 DIADHN CCC[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1ccc(Cl)cc1 ZINC000479464431 1047899720 /nfs/dbraw/zinc/89/97/20/1047899720.db2.gz VBWKWZGZDXALMO-IPMKNSEASA-N 0 3 315.844 4.429 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC[C@H](Cc2nccs2)C1 ZINC001197921351 1047900500 /nfs/dbraw/zinc/90/05/00/1047900500.db2.gz QTDHPVCSGVEIRN-ZIAGYGMSSA-N 0 3 304.434 4.298 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1nnc(-c2ccccc2)s1 ZINC000479460439 1047900330 /nfs/dbraw/zinc/90/03/30/1047900330.db2.gz KDZRZYDRJXUOKU-HOCLYGCPSA-N 0 3 313.470 4.287 20 0 DIADHN CCc1ccc(C2CCN(Cc3ccnn3C(C)C)CC2)cc1 ZINC001183181859 1047900894 /nfs/dbraw/zinc/90/08/94/1047900894.db2.gz YVNVVUYTMAEUCI-UHFFFAOYSA-N 0 3 311.473 4.406 20 0 DIADHN CC(C)Cc1ncc(CN2CCC3(CCSCC3)CC2)s1 ZINC001183196676 1047903308 /nfs/dbraw/zinc/90/33/08/1047903308.db2.gz FVQRWLYLYSZOIF-UHFFFAOYSA-N 0 3 324.559 4.451 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1ccc3nonc3c1)CCC2 ZINC001183155945 1047903781 /nfs/dbraw/zinc/90/37/81/1047903781.db2.gz JUOOIULCEIKRMH-OAHLLOKOSA-N 0 3 313.788 4.043 20 0 DIADHN COC1(CN[C@@H](C)c2nc3c(s2)CCCC3)CCC(C)CC1 ZINC000479493120 1047909454 /nfs/dbraw/zinc/90/94/54/1047909454.db2.gz VCTVGRYAJFJNMB-FVRSWCFKSA-N 0 3 322.518 4.268 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cn1 ZINC000479490235 1047909617 /nfs/dbraw/zinc/90/96/17/1047909617.db2.gz URKUNWRDACMLMH-KRWDZBQOSA-N 0 3 316.832 4.301 20 0 DIADHN OCc1ccc(F)c(CN[C@H]2CCc3cccc4cccc2c43)c1 ZINC001197990970 1047916357 /nfs/dbraw/zinc/91/63/57/1047916357.db2.gz QGTXFJCRMYWUJO-FQEVSTJZSA-N 0 3 321.395 4.248 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1CCOC[C@H]1CC1CC1 ZINC001198053736 1047920226 /nfs/dbraw/zinc/92/02/26/1047920226.db2.gz ZRMUQVABVZZVFR-MAUKXSAKSA-N 0 3 319.514 4.224 20 0 DIADHN C[C@@H]1CCC[C@H](C2CN(Cc3cc(F)ccc3N(C)C)C2)C1 ZINC001183441840 1047928227 /nfs/dbraw/zinc/92/82/27/1047928227.db2.gz AMKGMJOJPSHXDK-CABCVRRESA-N 0 3 304.453 4.150 20 0 DIADHN Cc1c(F)cccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001174670633 1047928498 /nfs/dbraw/zinc/92/84/98/1047928498.db2.gz LNFSGRZTSPNEFC-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN Cc1cc([C@@H]2CCCCN2CCc2ccc(Cl)cc2)[nH]n1 ZINC001198131763 1047928552 /nfs/dbraw/zinc/92/85/52/1047928552.db2.gz SXUURPBOBWUUEM-KRWDZBQOSA-N 0 3 303.837 4.141 20 0 DIADHN CCCN(Cc1c(C2CC2)cnn1C)[C@@H]1CCc2ccccc21 ZINC000667514672 1047929936 /nfs/dbraw/zinc/92/99/36/1047929936.db2.gz HATLPTXXISNSLX-LJQANCHMSA-N 0 3 309.457 4.197 20 0 DIADHN Cc1cc2[nH]c(CNCc3ccc(F)c(F)c3)cc2c(C)c1 ZINC000479559768 1047930013 /nfs/dbraw/zinc/93/00/13/1047930013.db2.gz RKRHCYXDVUJBBT-UHFFFAOYSA-N 0 3 300.352 4.353 20 0 DIADHN CCCc1cccc(CNCc2ccc(N(CC)CC)nc2)c1 ZINC000479557457 1047931246 /nfs/dbraw/zinc/93/12/46/1047931246.db2.gz SYBYQUBVWQWKDY-UHFFFAOYSA-N 0 3 311.473 4.170 20 0 DIADHN COCCN(Cc1cc(COC)cs1)[C@H](C)c1ccccc1 ZINC000667519780 1047934238 /nfs/dbraw/zinc/93/42/38/1047934238.db2.gz CYXDQYSRTHODTE-OAHLLOKOSA-N 0 3 319.470 4.104 20 0 DIADHN Cc1cc2[nH]c(CN[C@H](CN(C)C)c3ccccc3)cc2c(C)c1 ZINC000479577919 1047934918 /nfs/dbraw/zinc/93/49/18/1047934918.db2.gz LIJOXWKRNIQMFQ-OAQYLSRUSA-N 0 3 321.468 4.177 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1NCCc1cccc(Cl)c1 ZINC001183492003 1047935624 /nfs/dbraw/zinc/93/56/24/1047935624.db2.gz UVYVYPVNXMNVCG-QZTJIDSGSA-N 0 3 303.808 4.498 20 0 DIADHN Fc1ccc(CCN(Cc2cscn2)C2CCCC2)cc1 ZINC000479581178 1047936351 /nfs/dbraw/zinc/93/63/51/1047936351.db2.gz URFQHDFGHDRDLV-UHFFFAOYSA-N 0 3 304.434 4.270 20 0 DIADHN C[C@H](CNCc1cnc(-c2ccccc2)s1)C(F)(F)F ZINC000479609390 1047940455 /nfs/dbraw/zinc/94/04/55/1047940455.db2.gz YDKNJBBIWXKXQY-SNVBAGLBSA-N 0 3 300.349 4.098 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@H]1CCC[C@@H](C)C1 ZINC001159891585 1047941552 /nfs/dbraw/zinc/94/15/52/1047941552.db2.gz NVFFJCPETWKETR-BDXSIMOUSA-N 0 3 308.510 4.067 20 0 DIADHN Nc1cccc(F)c1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001159903713 1047945458 /nfs/dbraw/zinc/94/54/58/1047945458.db2.gz DXUYPVVLWRPWOL-SJORKVTESA-N 0 3 323.415 4.141 20 0 DIADHN CCC[C@H](C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1)C(C)C ZINC000479636170 1047945997 /nfs/dbraw/zinc/94/59/97/1047945997.db2.gz ICVIAAYOLKZBON-WDSOQIARSA-N 0 3 316.489 4.010 20 0 DIADHN COc1cc(CN2CCC(c3cccc(F)c3)CC2)ccc1F ZINC001183651928 1047951499 /nfs/dbraw/zinc/95/14/99/1047951499.db2.gz LIEFVCVSBJHOTP-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)NC3CCCCC3)n2)cc1F ZINC000553830978 1047951778 /nfs/dbraw/zinc/95/17/78/1047951778.db2.gz DTZWRNUGIVWCRX-GFCCVEGCSA-N 0 3 303.381 4.167 20 0 DIADHN C[C@@H](CCNCc1noc2c1CC(C)(C)CC2)c1ccccc1 ZINC001183629097 1047952664 /nfs/dbraw/zinc/95/26/64/1047952664.db2.gz BONGLXLJPJANKR-HNNXBMFYSA-N 0 3 312.457 4.473 20 0 DIADHN COc1ccc(CN2Cc3ccccc3[C@H](C)C2)c(C)c1OC ZINC001183672823 1047953105 /nfs/dbraw/zinc/95/31/05/1047953105.db2.gz NETIVNWCTUDWAI-CQSZACIVSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@H]1CCC[C@@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C1 ZINC000775848066 1047955923 /nfs/dbraw/zinc/95/59/23/1047955923.db2.gz HSXDHKKQQFNAMK-STQMWFEESA-N 0 3 305.384 4.046 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000479696110 1047956801 /nfs/dbraw/zinc/95/68/01/1047956801.db2.gz XLIAJTFZQLMVJF-MSEQUDFZSA-N 0 3 307.393 4.266 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC(c3ccn(C)n3)CC2)cc1C ZINC001183769970 1047963439 /nfs/dbraw/zinc/96/34/39/1047963439.db2.gz ZTVPLCDRSCXRFN-AATRIKPKSA-N 0 3 323.484 4.238 20 0 DIADHN Cc1ccc(N2CCN(CCCCOC(C)(C)C)CC2)c(C)c1 ZINC001174789971 1047971400 /nfs/dbraw/zinc/97/14/00/1047971400.db2.gz QHJLFHFQGLDVJQ-UHFFFAOYSA-N 0 3 318.505 4.021 20 0 DIADHN FC(F)(F)c1ccc(CNCC[C@@H]2C[C@H]2C2CC2)c(Cl)n1 ZINC001183823556 1047971925 /nfs/dbraw/zinc/97/19/25/1047971925.db2.gz UMFJUVHNUOWWLY-PWSUYJOCSA-N 0 3 318.770 4.280 20 0 DIADHN Fc1cc(Cl)ccc1CN1CCCC[C@H]([C@H]2CCOC2)C1 ZINC001198563189 1047972545 /nfs/dbraw/zinc/97/25/45/1047972545.db2.gz JCZCVSNQJORTAE-ZFWWWQNUSA-N 0 3 311.828 4.118 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC[C@H](c3ccn(C)n3)C2)c(C)c1 ZINC001183885559 1047975254 /nfs/dbraw/zinc/97/52/54/1047975254.db2.gz LLDWVKRGROUZNJ-QJSCKGPTSA-N 0 3 323.484 4.238 20 0 DIADHN Cc1ccnc(C(C)C)c1Nc1ccc(N2CCN(C)CC2)cc1 ZINC001174814645 1047980908 /nfs/dbraw/zinc/98/09/08/1047980908.db2.gz RHZIKJWRDVALHR-UHFFFAOYSA-N 0 3 324.472 4.009 20 0 DIADHN c1ccc2oc(CN[C@H]3CCC[C@H](c4cccnc4)C3)nc2c1 ZINC001183909642 1047981084 /nfs/dbraw/zinc/98/10/84/1047981084.db2.gz POYQZDIWKZVTII-HOCLYGCPSA-N 0 3 307.397 4.039 20 0 DIADHN CC[C@@H](c1ccccc1)N(Cc1cc(C(=O)OC)co1)C(C)C ZINC001174818571 1047982128 /nfs/dbraw/zinc/98/21/28/1047982128.db2.gz JVNHAKNFTOHJPE-SFHVURJKSA-N 0 3 315.413 4.428 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2cncc(Cl)c2)cc1 ZINC001198604068 1047983503 /nfs/dbraw/zinc/98/35/03/1047983503.db2.gz GVGDSSSICNLLCE-QGZVFWFLSA-N 0 3 316.832 4.038 20 0 DIADHN C[C@H](Cc1ccc2c(c1)CCCC2)N[C@H](c1ncccn1)C1CC1 ZINC001183929377 1047984089 /nfs/dbraw/zinc/98/40/89/1047984089.db2.gz MVNMCAIYUXIWJK-QRWLVFNGSA-N 0 3 321.468 4.027 20 0 DIADHN C[C@H](Cc1ccc2c(c1)CCCC2)N[C@@H](c1ncccn1)C1CC1 ZINC001183929375 1047984832 /nfs/dbraw/zinc/98/48/32/1047984832.db2.gz MVNMCAIYUXIWJK-FOIQADDNSA-N 0 3 321.468 4.027 20 0 DIADHN C[C@@H](NC[C@]1(c2ccccc2)CCCO1)c1ccc(Cl)cn1 ZINC000927122004 1047985620 /nfs/dbraw/zinc/98/56/20/1047985620.db2.gz XWWUWFCQTXRLCR-KDOFPFPSSA-N 0 3 316.832 4.092 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](c2cccs2)N2CCCC2)o1 ZINC000479847648 1047987313 /nfs/dbraw/zinc/98/73/13/1047987313.db2.gz CJMMZMUARBJFJZ-HOCLYGCPSA-N 0 3 318.486 4.391 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(OC4CCCC4)CC3)cc21 ZINC000667568350 1047992053 /nfs/dbraw/zinc/99/20/53/1047992053.db2.gz AMTKJIDGHHYKJU-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN C/C=C/c1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@@H]2C)cc1 ZINC000554093046 1048000799 /nfs/dbraw/zinc/00/07/99/1048000799.db2.gz ULNBCXLVILGCBQ-KVTPPLCQSA-N 0 3 321.468 4.437 20 0 DIADHN c1ccc([C@@H]2CCN(Cc3ccc4c(c3)CCC4)CCO2)cc1 ZINC001198707644 1048001078 /nfs/dbraw/zinc/00/10/78/1048001078.db2.gz VAOGSNYAIXNIPD-NRFANRHFSA-N 0 3 307.437 4.139 20 0 DIADHN c1ccc([C@H]2CCN(Cc3ccc4c(c3)CCC4)CCO2)cc1 ZINC001198707647 1048001359 /nfs/dbraw/zinc/00/13/59/1048001359.db2.gz VAOGSNYAIXNIPD-OAQYLSRUSA-N 0 3 307.437 4.139 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554093248 1048001584 /nfs/dbraw/zinc/00/15/84/1048001584.db2.gz YLKDCYAUISZSAT-OXJNMPFZSA-N 0 3 314.448 4.396 20 0 DIADHN Clc1ccc2ccc(CNC3([C@H]4CCCO4)CCC3)nc2c1 ZINC001198718623 1048002205 /nfs/dbraw/zinc/00/22/05/1048002205.db2.gz KKROTNAHRHJTCZ-QGZVFWFLSA-N 0 3 316.832 4.080 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC001198755063 1048003081 /nfs/dbraw/zinc/00/30/81/1048003081.db2.gz XFWNCJVFBSVZAP-APIJFGDWSA-N 0 3 304.503 4.136 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554085952 1048005547 /nfs/dbraw/zinc/00/55/47/1048005547.db2.gz IJPFXIXGMVDUKD-UZLBHIALSA-N 0 3 314.448 4.396 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(C)cc1 ZINC000554086868 1048005740 /nfs/dbraw/zinc/00/57/40/1048005740.db2.gz RLJDZXNKWYFFCC-WOJBJXKFSA-N 0 3 314.448 4.478 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@@H]1CC[C@H]1C1CC1 ZINC000554088800 1048006971 /nfs/dbraw/zinc/00/69/71/1048006971.db2.gz NSWBBHPXCWCBMG-PKOBYXMFSA-N 0 3 316.514 4.144 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccc(C)c1 ZINC000554096484 1048007020 /nfs/dbraw/zinc/00/70/20/1048007020.db2.gz YWFJPTXHULIBPN-VQTJNVASSA-N 0 3 314.448 4.478 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccc(C)c1 ZINC000554096481 1048008231 /nfs/dbraw/zinc/00/82/31/1048008231.db2.gz YWFJPTXHULIBPN-UXHICEINSA-N 0 3 314.448 4.478 20 0 DIADHN Cc1ccc(-c2ccc(Nc3ccncc3CN)cc2Cl)cc1 ZINC001160241922 1048009506 /nfs/dbraw/zinc/00/95/06/1048009506.db2.gz ROGZFPXRVNYORW-UHFFFAOYSA-N 0 3 323.827 4.335 20 0 DIADHN Cc1ccc(CCN(C)Cc2cc(-c3ccccc3)n[nH]2)cc1C ZINC001184240676 1048015606 /nfs/dbraw/zinc/01/56/06/1048015606.db2.gz YHQLODCSJINHIF-UHFFFAOYSA-N 0 3 319.452 4.368 20 0 DIADHN FC(F)(F)Sc1ccccc1CN[C@H]1COC2(CCC2)C1 ZINC001184238469 1048015672 /nfs/dbraw/zinc/01/56/72/1048015672.db2.gz CUNQPBZFERRJKR-GFCCVEGCSA-N 0 3 317.376 4.100 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNCCc1ccccc1 ZINC001198943005 1048019712 /nfs/dbraw/zinc/01/97/12/1048019712.db2.gz NMCGCCRDFAYNHY-UHFFFAOYSA-N 0 3 323.267 4.392 20 0 DIADHN CCC[C@@](C)(NCc1cnc2ccccc2n1)c1ccccc1 ZINC001184291159 1048025519 /nfs/dbraw/zinc/02/55/19/1048025519.db2.gz PSIHYCUCMJTFTG-HXUWFJFHSA-N 0 3 305.425 4.435 20 0 DIADHN CCc1ccc2c(c1)CCN(CCc1ccc(F)cc1F)C2 ZINC001184387071 1048034520 /nfs/dbraw/zinc/03/45/20/1048034520.db2.gz HCEJJEYAPKIKEH-UHFFFAOYSA-N 0 3 301.380 4.128 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@H](c1ccsc1)N(C)C ZINC001199101502 1048036524 /nfs/dbraw/zinc/03/65/24/1048036524.db2.gz QULKXNAIZJRXHA-MRXNPFEDSA-N 0 3 320.502 4.340 20 0 DIADHN Cc1ccc([C@H](NCc2cnn(CC3CCC3)c2)C2CC2)cc1 ZINC001199126675 1048036592 /nfs/dbraw/zinc/03/65/92/1048036592.db2.gz DSOIONBCHKFKMU-FQEVSTJZSA-N 0 3 309.457 4.233 20 0 DIADHN CC1(C)Cc2c(cnn2-c2ccccc2)CN(CC2=CCCC2)C1 ZINC001174974642 1048036999 /nfs/dbraw/zinc/03/69/99/1048036999.db2.gz GKNTVMSZFXGAJW-UHFFFAOYSA-N 0 3 321.468 4.367 20 0 DIADHN COc1nsc(CNC[C@@H](c2ccccc2)C(C)C)c1Cl ZINC001199118834 1048039397 /nfs/dbraw/zinc/03/93/97/1048039397.db2.gz RQXVJRWTIXOWJT-CYBMUJFWSA-N 0 3 324.877 4.335 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(-c2ccccc2)o1 ZINC000480064648 1048040452 /nfs/dbraw/zinc/04/04/52/1048040452.db2.gz BETHOIHDUQVUOM-WBVHZDCISA-N 0 3 324.424 4.493 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2ccccc2n1C(F)F)[C@@H]1CCCCO1 ZINC001184450888 1048042064 /nfs/dbraw/zinc/04/20/64/1048042064.db2.gz LFINHYUWTXTUNZ-XUJVJEKNSA-N 0 3 323.387 4.040 20 0 DIADHN COc1cc(CNCc2cc(C)sc2C)ccc1OC(C)C ZINC001199179395 1048042009 /nfs/dbraw/zinc/04/20/09/1048042009.db2.gz ZCOMIYWPLNRADN-UHFFFAOYSA-N 0 3 319.470 4.451 20 0 DIADHN NCc1cnccc1Nc1ccc(Cl)c(-c2ccccc2)c1 ZINC001160387439 1048045066 /nfs/dbraw/zinc/04/50/66/1048045066.db2.gz ZFODDBSZNHFEJK-UHFFFAOYSA-N 0 3 309.800 4.026 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@H](O)Cc1cccc(Cl)c1)C1CC1 ZINC000554245453 1048046161 /nfs/dbraw/zinc/04/61/61/1048046161.db2.gz BZECOJWHUORDFV-SUMWQHHRSA-N 0 3 319.832 4.062 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)Nc1cccc(CN(C)C)c1 ZINC001184530760 1048048775 /nfs/dbraw/zinc/04/87/75/1048048775.db2.gz ARYUVRPQHQSUJC-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccc(Cl)c(C)c2)cn1 ZINC001199285983 1048052347 /nfs/dbraw/zinc/05/23/47/1048052347.db2.gz KOYBVKJVJVNSQK-UHFFFAOYSA-N 0 3 317.864 4.179 20 0 DIADHN CC[C@@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@@H]1CCCO1 ZINC000554272636 1048053685 /nfs/dbraw/zinc/05/36/85/1048053685.db2.gz PQJCEMPDDIGPJV-KGLIPLIRSA-N 0 3 323.305 4.031 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc(OC)c(OC)c2C)c1 ZINC000554294025 1048059412 /nfs/dbraw/zinc/05/94/12/1048059412.db2.gz UPOHGQQFBIHJTK-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN CC[C@H](CNCc1cc(OC)ccc1F)Oc1cccc(C)c1 ZINC001199376442 1048063233 /nfs/dbraw/zinc/06/32/33/1048063233.db2.gz IILDOIYCYCVBEW-MRXNPFEDSA-N 0 3 317.404 4.090 20 0 DIADHN Clc1ccc(CN2CCC[C@@H]2CCc2ccccc2)nc1 ZINC000554317632 1048063940 /nfs/dbraw/zinc/06/39/40/1048063940.db2.gz BMKJICHHJCVTLJ-GOSISDBHSA-N 0 3 300.833 4.332 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@H]1CC[C@H]1C1CC1 ZINC000554372152 1048075882 /nfs/dbraw/zinc/07/58/82/1048075882.db2.gz IYVFOWIEQOTSSJ-HKUYNNGSSA-N 0 3 316.514 4.144 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(Cl)cn1)Oc1ccccc1F ZINC000480166011 1048077050 /nfs/dbraw/zinc/07/70/50/1048077050.db2.gz QGSLTRUBOSZNLS-GXTWGEPZSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccc(Cl)cn1 ZINC000480172250 1048078083 /nfs/dbraw/zinc/07/80/83/1048078083.db2.gz SAVVTIUJYQIIKP-DHSIGJKJSA-N 0 3 316.832 4.163 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2N[C@H]1CC[C@@H](F)C1 ZINC000554370220 1048081546 /nfs/dbraw/zinc/08/15/46/1048081546.db2.gz LOZKMSRVFWPBGG-ZDMBXUJBSA-N 0 3 316.189 4.056 20 0 DIADHN C[C@@H](NCCc1cc2ccccc2o1)c1ccc(Cl)cn1 ZINC000480180279 1048082327 /nfs/dbraw/zinc/08/23/27/1048082327.db2.gz LSXZBKOAVAVBIF-GFCCVEGCSA-N 0 3 300.789 4.375 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000554373130 1048083432 /nfs/dbraw/zinc/08/34/32/1048083432.db2.gz ZUGYHUACVDPGGG-HNAYVOBHSA-N 0 3 300.421 4.088 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000480191661 1048088809 /nfs/dbraw/zinc/08/88/09/1048088809.db2.gz HHSXBITYBZAUGO-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)NCc1cc(F)c(F)c(F)c1 ZINC001199689809 1048089237 /nfs/dbraw/zinc/08/92/37/1048089237.db2.gz ZBHRYOAZTUMYFM-ZDUSSCGKSA-N 0 3 323.358 4.278 20 0 DIADHN Cc1cccc(CN[C@H](C)COc2c(C)cccc2C)c1F ZINC001199689329 1048089732 /nfs/dbraw/zinc/08/97/32/1048089732.db2.gz RWJUXSXJMRYYCX-MRXNPFEDSA-N 0 3 301.405 4.308 20 0 DIADHN C[C@H](CO)N[C@H](C)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480203579 1048090916 /nfs/dbraw/zinc/09/09/16/1048090916.db2.gz QXUQHTMYEBZEHQ-VXGBXAGGSA-N 0 3 323.795 4.303 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)N[C@H](C)c1ccc(Cl)cn1 ZINC000480201806 1048091548 /nfs/dbraw/zinc/09/15/48/1048091548.db2.gz WLAFDRXIDJDCJJ-HUUCEWRRSA-N 0 3 318.848 4.470 20 0 DIADHN CCCC1CCC(NCc2snc(OC)c2Cl)CC1 ZINC001199742552 1048095260 /nfs/dbraw/zinc/09/52/60/1048095260.db2.gz GKRPTYXTEBOXNK-UHFFFAOYSA-N 0 3 302.871 4.254 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](c1ccc(F)cc1)C1CC1 ZINC000776023784 1048099840 /nfs/dbraw/zinc/09/98/40/1048099840.db2.gz OXKUJSVHGNPDLR-LJQANCHMSA-N 0 3 309.388 4.023 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000480231369 1048099700 /nfs/dbraw/zinc/09/97/00/1048099700.db2.gz RVLLWCQFHFWPIK-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN Cc1ccccc1OCCCN[C@H](C)c1ccc(Cl)cn1 ZINC000480231370 1048100032 /nfs/dbraw/zinc/10/00/32/1048100032.db2.gz RVLLWCQFHFWPIK-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)c(C)c1 ZINC001199783617 1048101089 /nfs/dbraw/zinc/10/10/89/1048101089.db2.gz GVIRHVJAMCCRCT-VQTJNVASSA-N 0 3 311.425 4.014 20 0 DIADHN C[C@H](NCCc1ccc2ccccc2n1)c1ccc(Cl)cn1 ZINC000480239692 1048101467 /nfs/dbraw/zinc/10/14/67/1048101467.db2.gz FVTAQCAWZBIEOR-ZDUSSCGKSA-N 0 3 311.816 4.177 20 0 DIADHN CCc1cccc(CNCc2ccc(Br)cc2)c1 ZINC001199783638 1048102047 /nfs/dbraw/zinc/10/20/47/1048102047.db2.gz HIFNBAPVCBDKSP-UHFFFAOYSA-N 0 3 304.231 4.301 20 0 DIADHN OCc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cs1 ZINC001205317211 1048102183 /nfs/dbraw/zinc/10/21/83/1048102183.db2.gz QDCYPHWLVFOUJC-UHFFFAOYSA-N 0 3 305.418 4.032 20 0 DIADHN O=C(CN1CCCC2(CC2)CC1)Nc1ccc2ccccc2c1 ZINC001175045474 1048106595 /nfs/dbraw/zinc/10/65/95/1048106595.db2.gz QULCSYQUOVAMHT-UHFFFAOYSA-N 0 3 308.425 4.044 20 0 DIADHN Clc1ccnc(Cl)c1N[C@H]1CCN(CC2CCCC2)C1 ZINC001161186535 1048113537 /nfs/dbraw/zinc/11/35/37/1048113537.db2.gz OKDCNYJQEJWRNZ-LBPRGKRZSA-N 0 3 314.260 4.065 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CC[C@@H](C)[C@H](C)C2)cc(Cl)c1[O-] ZINC001205321135 1048113463 /nfs/dbraw/zinc/11/34/63/1048113463.db2.gz XWYCANOHMNANQL-YRGRVCCFSA-N 0 3 311.853 4.359 20 0 DIADHN COc1nsc(CNCC(C)(C)c2cccc(C)c2)c1Cl ZINC001199883447 1048114526 /nfs/dbraw/zinc/11/45/26/1048114526.db2.gz JGYXPVGOAVALBW-UHFFFAOYSA-N 0 3 324.877 4.181 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2c(Cl)n[nH]c2C2CC2)c1 ZINC001199884540 1048114630 /nfs/dbraw/zinc/11/46/30/1048114630.db2.gz SNIGSOJBWZZWSB-UHFFFAOYSA-N 0 3 317.864 4.316 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000776032640 1048115235 /nfs/dbraw/zinc/11/52/35/1048115235.db2.gz YGBQLJCPDVTMHB-FQEVSTJZSA-N 0 3 323.415 4.331 20 0 DIADHN Cc1ccc([C@H](NCCCC(F)(F)F)c2ccccn2)cc1 ZINC000842157556 1048125262 /nfs/dbraw/zinc/12/52/62/1048125262.db2.gz GUMZDIBAVHHHRU-INIZCTEOSA-N 0 3 308.347 4.412 20 0 DIADHN CCn1ccc(CNCC2(c3ccccc3C)CCCCC2)n1 ZINC001199963126 1048125487 /nfs/dbraw/zinc/12/54/87/1048125487.db2.gz UNPMKPIFNNLRLG-UHFFFAOYSA-N 0 3 311.473 4.203 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCC(OC2CCC2)CC1 ZINC000842159073 1048127048 /nfs/dbraw/zinc/12/70/48/1048127048.db2.gz PCCWYNQLABZYES-GOSISDBHSA-N 0 3 305.849 4.361 20 0 DIADHN C[C@H](O)C[C@H](CNCc1ccsc1Cl)c1ccccc1 ZINC000480358098 1048130221 /nfs/dbraw/zinc/13/02/21/1048130221.db2.gz RVSRVVMUPUOKHT-SWLSCSKDSA-N 0 3 309.862 4.046 20 0 DIADHN c1c2ccccc2[nH]c1CNCc1ccc(OCC2CC2)cc1 ZINC000480392148 1048136354 /nfs/dbraw/zinc/13/63/54/1048136354.db2.gz CRRUYABVAGXRGX-UHFFFAOYSA-N 0 3 306.409 4.247 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCOC1CCC1 ZINC000781413364 1048138223 /nfs/dbraw/zinc/13/82/23/1048138223.db2.gz FCWNJUULTWXHSN-UHFFFAOYSA-N 0 3 311.425 4.021 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC1CC(c2ccccc2)C1 ZINC000105492447 1048139503 /nfs/dbraw/zinc/13/95/03/1048139503.db2.gz SOZHESOSMLLIGG-UHFFFAOYSA-N 0 3 317.864 4.233 20 0 DIADHN CCOc1cccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC001175094277 1048141120 /nfs/dbraw/zinc/14/11/20/1048141120.db2.gz YTHKSQKZBLDJAP-SFHVURJKSA-N 0 3 312.413 4.214 20 0 DIADHN CCOc1ccc(Nc2ccc3c(c2)CN(C)CC3)c(F)c1F ZINC001175153178 1048146029 /nfs/dbraw/zinc/14/60/29/1048146029.db2.gz HIRWILHTIAGPRV-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN CN(CCCOc1cccc2ccccc21)CCC(F)(F)F ZINC000064635475 1048146703 /nfs/dbraw/zinc/14/67/03/1048146703.db2.gz OUOWZJSOTYXQCE-UHFFFAOYSA-N 0 3 311.347 4.493 20 0 DIADHN CC(C)c1ncc(CNC[C@H]2CCC3(CCCCC3)O2)s1 ZINC000480469177 1048149520 /nfs/dbraw/zinc/14/95/20/1048149520.db2.gz PJRHVQSQPDIMSR-CQSZACIVSA-N 0 3 308.491 4.238 20 0 DIADHN CO[C@H]1C[C@@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001200891186 1048153046 /nfs/dbraw/zinc/15/30/46/1048153046.db2.gz JZYDUWRDDLGMAT-MNOVXSKESA-N 0 3 306.208 4.036 20 0 DIADHN COC[C@H](Cc1ccccc1)NCc1ccc(-c2ccccc2)o1 ZINC001200910483 1048154860 /nfs/dbraw/zinc/15/48/60/1048154860.db2.gz GDPZOFGJBYCSOI-IBGZPJMESA-N 0 3 321.420 4.294 20 0 DIADHN Cc1ccc(CNC(=O)NCCP(C(C)C)C(C)C)cc1 ZINC001185429238 1048177660 /nfs/dbraw/zinc/17/76/60/1048177660.db2.gz WHDDJHQNSVQSDE-UHFFFAOYSA-N 0 3 308.406 4.093 20 0 DIADHN FC(F)(F)CCNc1ccnc2ccc(C(F)(F)F)cc21 ZINC001161825057 1048178557 /nfs/dbraw/zinc/17/85/57/1048178557.db2.gz AGSKYKLZLMJCMS-UHFFFAOYSA-N 0 3 308.225 4.040 20 0 DIADHN CCCC[C@@H](CCC)CNCc1cn(-c2ccc(F)cc2)nn1 ZINC001201055716 1048180369 /nfs/dbraw/zinc/18/03/69/1048180369.db2.gz UVOWQEQHIZOZQZ-OAHLLOKOSA-N 0 3 318.440 4.103 20 0 DIADHN Cc1nc(NC2(CC3CC3)CCC(F)(F)CC2)cc(N(C)C)n1 ZINC001161895777 1048190926 /nfs/dbraw/zinc/19/09/26/1048190926.db2.gz CEQSPAVOEDYANQ-UHFFFAOYSA-N 0 3 324.419 4.011 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H](O)c1cc(C)cc(C)c1 ZINC000774895808 1048200813 /nfs/dbraw/zinc/20/08/13/1048200813.db2.gz VYZFSWYKRADYJY-APWZRJJASA-N 0 3 313.441 4.086 20 0 DIADHN Cc1cccc(NC(=O)CN(C)[C@H](C)C2CCCCC2)c1C ZINC000554837742 1048202144 /nfs/dbraw/zinc/20/21/44/1048202144.db2.gz UGTDNXDASPDGAO-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN COc1ccc(CNCc2ccc(SC)s2)cc1Cl ZINC000554972631 1048243487 /nfs/dbraw/zinc/24/34/87/1048243487.db2.gz QRQKXOUQXLCLHE-UHFFFAOYSA-N 0 3 313.875 4.422 20 0 DIADHN C[C@@H](NCCCOc1ccc2ccccc2c1)c1ccncc1F ZINC000554839858 1048204048 /nfs/dbraw/zinc/20/40/48/1048204048.db2.gz RMRAPFVJEWQJLN-OAHLLOKOSA-N 0 3 324.399 4.494 20 0 DIADHN CC(C)c1ccc(CNCc2ccc(N(C)C(C)C)nc2)cc1 ZINC000480670688 1048213008 /nfs/dbraw/zinc/21/30/08/1048213008.db2.gz PHTXPQLCAFOVET-UHFFFAOYSA-N 0 3 311.473 4.339 20 0 DIADHN CC(C)C[C@H](CO)N(C)Cc1cnc(C2CCCCC2)s1 ZINC000554887066 1048216386 /nfs/dbraw/zinc/21/63/86/1048216386.db2.gz NHWYMRCHBLSPAM-OAHLLOKOSA-N 0 3 310.507 4.030 20 0 DIADHN Cc1cc2ncc([C@H](C)NCc3cccc(C(C)C)c3)c(C)n2n1 ZINC000480695932 1048224825 /nfs/dbraw/zinc/22/48/25/1048224825.db2.gz VATJXTXRCOAQQS-HNNXBMFYSA-N 0 3 322.456 4.320 20 0 DIADHN CCOCCCN(C)Cc1ccccc1OCc1ccccc1 ZINC001175301229 1048226600 /nfs/dbraw/zinc/22/66/00/1048226600.db2.gz PGDHDKIEEKXXTA-UHFFFAOYSA-N 0 3 313.441 4.124 20 0 DIADHN CC[C@@H](OCCN(C)Cc1ccc(OC)cc1)c1ccccc1 ZINC001175284543 1048230617 /nfs/dbraw/zinc/23/06/17/1048230617.db2.gz NGNJOJILSVRZLU-HXUWFJFHSA-N 0 3 313.441 4.295 20 0 DIADHN COc1ccc(CN(C)CCOc2cccc3ccccc32)cc1 ZINC001175284020 1048231406 /nfs/dbraw/zinc/23/14/06/1048231406.db2.gz CDHQFIFTFURADD-UHFFFAOYSA-N 0 3 321.420 4.359 20 0 DIADHN C[C@H](CCNc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000774936127 1048234884 /nfs/dbraw/zinc/23/48/84/1048234884.db2.gz QHUYSEMPWTYKOK-GOSISDBHSA-N 0 3 323.484 4.044 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NC[C@H](O)c1ccco1 ZINC000776153578 1048235465 /nfs/dbraw/zinc/23/54/65/1048235465.db2.gz FSHVWKDSKFNZNZ-BBRMVZONSA-N 0 3 313.397 4.281 20 0 DIADHN CC(C)P(CCNC(=O)c1cccc2cc[nH]c21)C(C)C ZINC001201327605 1048238081 /nfs/dbraw/zinc/23/80/81/1048238081.db2.gz BWGACZYTLIWUIV-UHFFFAOYSA-N 0 3 304.374 4.196 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2ccc(F)cc21 ZINC000776157049 1048243305 /nfs/dbraw/zinc/24/33/05/1048243305.db2.gz NKOAHAFGFWMUBS-IBGZPJMESA-N 0 3 312.432 4.449 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3c(s2)CCCC3)cc1O ZINC000554987997 1048244413 /nfs/dbraw/zinc/24/44/13/1048244413.db2.gz HVQNDWPJCXHWFD-GFCCVEGCSA-N 0 3 317.454 4.192 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NC[C@@H](O)c1ccc(C)o1 ZINC000776162019 1048248113 /nfs/dbraw/zinc/24/81/13/1048248113.db2.gz BAYJQQNIHZWROA-XJKSGUPXSA-N 0 3 313.397 4.281 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000480889406 1048263530 /nfs/dbraw/zinc/26/35/30/1048263530.db2.gz NMOBXLADHGAPKX-HZSPNIEDSA-N 0 3 311.828 4.289 20 0 DIADHN CCOc1ccc([C@H](NC[C@@H]2CCC=CO2)c2ccccc2)cc1 ZINC000774973792 1048264162 /nfs/dbraw/zinc/26/41/62/1048264162.db2.gz DMWWBXSMFQPRMV-LEWJYISDSA-N 0 3 323.436 4.457 20 0 DIADHN Cc1ccc(C(=O)CCN[C@H](C)c2ccc(F)cc2F)cc1 ZINC000480862363 1048264259 /nfs/dbraw/zinc/26/42/59/1048264259.db2.gz IDRIDVCFGVPTPZ-CYBMUJFWSA-N 0 3 303.352 4.197 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)c(F)c1 ZINC001175327033 1048265082 /nfs/dbraw/zinc/26/50/82/1048265082.db2.gz BUEFIIFQSPBPAT-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN COc1ccc2cnc(NCCP(C(C)C)C(C)C)nc2c1 ZINC001162357963 1048265811 /nfs/dbraw/zinc/26/58/11/1048265811.db2.gz HTZIHSUBGDILBK-UHFFFAOYSA-N 0 3 319.389 4.349 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CO[C@H](C4CC4)C3)oc21 ZINC000667770136 1048266895 /nfs/dbraw/zinc/26/68/95/1048266895.db2.gz PJYISGPDWNIRHE-PNPHSEOMSA-N 0 3 315.413 4.050 20 0 DIADHN COc1ccc(C2(NCc3cncc4ccccc43)CC2)cc1 ZINC000776171137 1048266943 /nfs/dbraw/zinc/26/69/43/1048266943.db2.gz XVNFZOKCHXMHHH-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN C[C@H]1CC[C@H](N(CCO)Cc2cnc(C3CCCCC3)s2)C1 ZINC000555104140 1048271197 /nfs/dbraw/zinc/27/11/97/1048271197.db2.gz DMOQUSCJZVXIIF-HOCLYGCPSA-N 0 3 322.518 4.174 20 0 DIADHN COc1ccc([C@@H](NC[C@H]2CCC=CO2)c2ccc(C)cc2)cc1 ZINC000774987355 1048271501 /nfs/dbraw/zinc/27/15/01/1048271501.db2.gz UHYQWCPRXHNLLQ-RTWAWAEBSA-N 0 3 323.436 4.375 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2cc(C)cc(C)c2)cn1 ZINC000480921238 1048271584 /nfs/dbraw/zinc/27/15/84/1048271584.db2.gz PKQUCRYKILHFLA-KRWDZBQOSA-N 0 3 311.473 4.395 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)NCc2cc3n(n2)CCCC3)cc1 ZINC001201465047 1048271843 /nfs/dbraw/zinc/27/18/43/1048271843.db2.gz JVVXVGILWLIDCA-MRXNPFEDSA-N 0 3 311.473 4.064 20 0 DIADHN Clc1ccc([C@@H]2CCC[C@H]2NCc2cocn2)cc1Cl ZINC000679048441 1048273479 /nfs/dbraw/zinc/27/34/79/1048273479.db2.gz OXTIUQMPMCYYES-SWLSCSKDSA-N 0 3 311.212 4.407 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@@H](C)c1ccccc1OC(C)C ZINC000774991527 1048276653 /nfs/dbraw/zinc/27/66/53/1048276653.db2.gz MOTYDXVJPVPBAF-QFBILLFUSA-N 0 3 313.441 4.166 20 0 DIADHN CCCc1cc(N=C2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)on1 ZINC001201470225 1048277393 /nfs/dbraw/zinc/27/73/93/1048277393.db2.gz OAHIOSDMJSQSDR-MOPGFXCFSA-N 0 3 323.440 4.360 20 0 DIADHN CCOCc1ncn2c1CN(C[C@H](C)CCC=C(C)C)CCC2 ZINC001201486869 1048278657 /nfs/dbraw/zinc/27/86/57/1048278657.db2.gz NOEAHGNTYPRCKL-QGZVFWFLSA-N 0 3 319.493 4.008 20 0 DIADHN CN(CCSc1nc2ccc(F)cc2o1)Cc1ccccc1 ZINC001186296068 1048280939 /nfs/dbraw/zinc/28/09/39/1048280939.db2.gz XTXYMPNWFKGQKP-UHFFFAOYSA-N 0 3 316.401 4.191 20 0 DIADHN [O-]c1c(F)cc(Nc2ccc(C[NH+]3CCCCC3)cc2)cc1F ZINC001175367680 1048282098 /nfs/dbraw/zinc/28/20/98/1048282098.db2.gz SBAYQGSHUPLGKK-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CC1(C)CC[C@H](NCc2ncc(Br)cc2Cl)C1 ZINC001201492826 1048282395 /nfs/dbraw/zinc/28/23/95/1048282395.db2.gz TUWODBOMICDPGX-JTQLQIEISA-N 0 3 317.658 4.166 20 0 DIADHN CC(C)=CCC[C@@H](C)CN1CCC(C(=O)C(F)(F)F)CC1 ZINC001201490912 1048283107 /nfs/dbraw/zinc/28/31/07/1048283107.db2.gz PSPKVTYRHLKQBN-CYBMUJFWSA-N 0 3 305.384 4.212 20 0 DIADHN CC[C@H](C)CN1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001201499110 1048287326 /nfs/dbraw/zinc/28/73/26/1048287326.db2.gz FPNJBQSBYUFVNY-WMLDXEAASA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccnc(F)c1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201475786 1048297041 /nfs/dbraw/zinc/29/70/41/1048297041.db2.gz WNRLTDNOZWWRQJ-MSOLQXFVSA-N 0 3 323.415 4.262 20 0 DIADHN Cc1ccc([C@H](O)CNCc2c(Cl)oc3ccccc32)cc1 ZINC000775014739 1048297984 /nfs/dbraw/zinc/29/79/84/1048297984.db2.gz MBYIQTZHSVIBQX-MRXNPFEDSA-N 0 3 315.800 4.218 20 0 DIADHN COCCOc1ccccc1CN[C@H](C)c1cc(C)cc(C)c1 ZINC000481043357 1048298747 /nfs/dbraw/zinc/29/87/47/1048298747.db2.gz UKTDRDHJPMTVGC-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN CCCn1c(CNCCCSCC(C)C)nc2ccccc21 ZINC001201482239 1048299952 /nfs/dbraw/zinc/29/99/52/1048299952.db2.gz WEYDKPXFWCEFPR-UHFFFAOYSA-N 0 3 319.518 4.315 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000555234296 1048302424 /nfs/dbraw/zinc/30/24/24/1048302424.db2.gz MOLKBNQTWGQSDH-SCLBCKFNSA-N 0 3 320.839 4.433 20 0 DIADHN CC(C)CC[C@@H](N[C@@H]1CCCn2nc(C(C)C)nc21)C1CC1 ZINC000555232957 1048302999 /nfs/dbraw/zinc/30/29/99/1048302999.db2.gz RAJBQQGSBABLCB-HZPDHXFCSA-N 0 3 304.482 4.041 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CO[C@@H](C3CC3)C2)c2ccccc2)cc1 ZINC000667812700 1048304129 /nfs/dbraw/zinc/30/41/29/1048304129.db2.gz UKZZCFYQNRMMAA-XUVXKRRUSA-N 0 3 311.400 4.072 20 0 DIADHN CCCOc1ccc(CNc2cc(C)cc(C)n2)cc1OC ZINC001201549628 1048304708 /nfs/dbraw/zinc/30/47/08/1048304708.db2.gz MZXIZYBVGYBOAM-UHFFFAOYSA-N 0 3 300.402 4.108 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](c1ccc(Cl)cc1)c1cnccn1 ZINC000481084248 1048305103 /nfs/dbraw/zinc/30/51/03/1048305103.db2.gz BMZXYNLRTIVPRZ-DYVFJYSZSA-N 0 3 303.837 4.197 20 0 DIADHN CCc1cnc(CN(CCc2ccc(F)cc2)C2CCCC2)o1 ZINC000555282097 1048309349 /nfs/dbraw/zinc/30/93/49/1048309349.db2.gz FZVLHSPTPWQGLB-UHFFFAOYSA-N 0 3 316.420 4.363 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000481128289 1048312997 /nfs/dbraw/zinc/31/29/97/1048312997.db2.gz SCLSSYRDZRTIPX-NEPJUHHUSA-N 0 3 305.447 4.103 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2cscc2Cl)CC1 ZINC001201595735 1048327297 /nfs/dbraw/zinc/32/72/97/1048327297.db2.gz SHDVNWCWJRTROQ-OAHLLOKOSA-N 0 3 307.846 4.005 20 0 DIADHN Fc1ccc([C@H]2CSCCN2CCc2ccccc2)cc1 ZINC001201603699 1048329964 /nfs/dbraw/zinc/32/99/64/1048329964.db2.gz NIRMZERGQBIXHD-GOSISDBHSA-N 0 3 301.430 4.158 20 0 DIADHN CCCN(CCc1ccccc1)[C@H](C)C(=O)Nc1ccccc1C ZINC001201606235 1048330298 /nfs/dbraw/zinc/33/02/98/1048330298.db2.gz RAOLQFGSKAUYNX-GOSISDBHSA-N 0 3 324.468 4.277 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@@H](OCC(C)C)C1 ZINC000555380547 1048334221 /nfs/dbraw/zinc/33/42/21/1048334221.db2.gz WHNSSSGBGSIJTN-QGZVFWFLSA-N 0 3 304.478 4.014 20 0 DIADHN CC(C)c1ncsc1N=C1CCN(Cc2ccccc2)CC1 ZINC001175504836 1048336934 /nfs/dbraw/zinc/33/69/34/1048336934.db2.gz GFUBTFINYQCXOK-UHFFFAOYSA-N 0 3 313.470 4.468 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc4cccnc43)CC2)cc1 ZINC001175504615 1048337504 /nfs/dbraw/zinc/33/75/04/1048337504.db2.gz CQAYHYODQYIETJ-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN c1sc2ncccc2c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175504672 1048337742 /nfs/dbraw/zinc/33/77/42/1048337742.db2.gz BCIRXXZMMLOPOD-UHFFFAOYSA-N 0 3 321.449 4.498 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc(OC(F)F)cc2)cc1 ZINC000555421037 1048341292 /nfs/dbraw/zinc/34/12/92/1048341292.db2.gz SEUXJISINKFBQY-CYBMUJFWSA-N 0 3 321.367 4.285 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccc(OC(F)F)c(F)c1 ZINC000555419684 1048341681 /nfs/dbraw/zinc/34/16/81/1048341681.db2.gz SVALAKDSNHEGBZ-PIGZYNQJSA-N 0 3 321.342 4.450 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc4ccncc4c3)CC2)cc1 ZINC001175506299 1048342955 /nfs/dbraw/zinc/34/29/55/1048342955.db2.gz TVWXZVNWMAXUQV-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN Cc1cc(N2CCN(C)CC2)ccc1Nc1ccc(C2CC2)cc1 ZINC001201680802 1048343180 /nfs/dbraw/zinc/34/31/80/1048343180.db2.gz BVHMMZFAYBRFMX-UHFFFAOYSA-N 0 3 321.468 4.368 20 0 DIADHN c1noc2c1cccc2NC1=CCN(Cc2ccccc2)CC1 ZINC001175507635 1048344660 /nfs/dbraw/zinc/34/46/60/1048344660.db2.gz VDAZOEPOASONMQ-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN CCc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(O)c1 ZINC001175506953 1048345523 /nfs/dbraw/zinc/34/55/23/1048345523.db2.gz PYCDMLANZPYWDE-UHFFFAOYSA-N 0 3 308.425 4.156 20 0 DIADHN CCc1ccc(N)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506687 1048346447 /nfs/dbraw/zinc/34/64/47/1048346447.db2.gz CNTHLPDYEPKEEN-UHFFFAOYSA-N 0 3 307.441 4.033 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000667854109 1048347659 /nfs/dbraw/zinc/34/76/59/1048347659.db2.gz CGNIWRLKNYAVFL-KBXCAEBGSA-N 0 3 315.461 4.016 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ncc(Cl)c2ccccc21 ZINC001162759350 1048349151 /nfs/dbraw/zinc/34/91/51/1048349151.db2.gz CVRPVKACZITLHB-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cccc(C(F)(F)F)c1 ZINC001162764894 1048352052 /nfs/dbraw/zinc/35/20/52/1048352052.db2.gz BDNOCTFOPTUSGT-SNVBAGLBSA-N 0 3 305.303 4.064 20 0 DIADHN COc1cc(C)c(-n2c3ccccc3nc2[C@@H](C)N)cc1Cl ZINC001162765779 1048353705 /nfs/dbraw/zinc/35/37/05/1048353705.db2.gz JZBCAFXQWMRIHT-LLVKDONJSA-N 0 3 315.804 4.016 20 0 DIADHN C[C@H](N[C@@H]1C=CCCCCC1)c1ccc(Br)cn1 ZINC000555462479 1048354851 /nfs/dbraw/zinc/35/48/51/1048354851.db2.gz KZPWAJIXDBEMNU-GXTWGEPZSA-N 0 3 309.251 4.384 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cncc(-c2ccccc2)c1 ZINC001162767633 1048355525 /nfs/dbraw/zinc/35/55/25/1048355525.db2.gz ZFCYREVDZIIREI-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN Cc1cc(-n2c3ccccc3nc2[C@H](C)N)ccc1C(F)(F)F ZINC001162767635 1048355946 /nfs/dbraw/zinc/35/59/46/1048355946.db2.gz ZFVVAESOSBRVON-NSHDSACASA-N 0 3 319.330 4.372 20 0 DIADHN COc1cc2ccccc2cc1-n1c2ccccc2nc1[C@@H](C)N ZINC001162777225 1048356498 /nfs/dbraw/zinc/35/64/98/1048356498.db2.gz OATGPHFYKZDNEY-CYBMUJFWSA-N 0 3 317.392 4.207 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc2c(c1)CCC(C)(C)O2 ZINC001162777311 1048356668 /nfs/dbraw/zinc/35/66/68/1048356668.db2.gz PNDAELXHTQTVDK-CYBMUJFWSA-N 0 3 321.424 4.149 20 0 DIADHN CCN(CC)c1ccc(C)c(-n2c3ccccc3nc2[C@H](C)N)c1 ZINC001162779130 1048357539 /nfs/dbraw/zinc/35/75/39/1048357539.db2.gz UYLKYVQEDBSPOJ-HNNXBMFYSA-N 0 3 322.456 4.200 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cc(F)c(F)c(C(F)F)c1 ZINC001162778906 1048357775 /nfs/dbraw/zinc/35/77/75/1048357775.db2.gz QLXRHQPTFISTLN-QMMMGPOBSA-N 0 3 323.293 4.261 20 0 DIADHN COc1ccc(-n2c3ccccc3nc2[C@H](C)N)cc1C(C)C ZINC001162779191 1048358135 /nfs/dbraw/zinc/35/81/35/1048358135.db2.gz WMGWVPQAQNDVGH-ZDUSSCGKSA-N 0 3 309.413 4.177 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccncc1-c1ccccc1 ZINC001162777162 1048358320 /nfs/dbraw/zinc/35/83/20/1048358320.db2.gz MMDSWUPCZVOSFR-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN Cc1cc(Br)cc(CN(C)[C@H](C)c2ccncc2)c1 ZINC000775060266 1048358671 /nfs/dbraw/zinc/35/86/71/1048358671.db2.gz IIBBZQKBRYUQKQ-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN Fc1ccc2ccc(CNC/C=C/c3ccccc3)nc2c1F ZINC001201692798 1048360433 /nfs/dbraw/zinc/36/04/33/1048360433.db2.gz HRDPITLUPJSWMO-QPJJXVBHSA-N 0 3 310.347 4.316 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)C[C@H]1CCC[C@H]1O ZINC000555478924 1048361360 /nfs/dbraw/zinc/36/13/60/1048361360.db2.gz CDEVZOJIQXEMAX-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)c1ccc([S@@](C)=O)cc1 ZINC001201857447 1048380533 /nfs/dbraw/zinc/38/05/33/1048380533.db2.gz MNPUEQRRTDSHKV-MCMMXHMISA-N 0 3 313.422 4.021 20 0 DIADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1cccc(Cl)c1Cl ZINC000667871078 1048382052 /nfs/dbraw/zinc/38/20/52/1048382052.db2.gz MWYWMNHNKOHCJH-CHIMOYNISA-N 0 3 300.229 4.212 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC1CCC(F)(F)CC1 ZINC001201833432 1048385429 /nfs/dbraw/zinc/38/54/29/1048385429.db2.gz OOBQVFPFHNMZMH-UHFFFAOYSA-N 0 3 312.385 4.379 20 0 DIADHN c1csc(C(N[C@H]2CO[C@@H](C3CC3)C2)c2cccs2)c1 ZINC000667876858 1048386413 /nfs/dbraw/zinc/38/64/13/1048386413.db2.gz WPGLBJULFWYZKU-CHWSQXEVSA-N 0 3 305.468 4.056 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H](OC(C)C)C2(C)C)c(Cl)c1 ZINC001201888864 1048388810 /nfs/dbraw/zinc/38/88/10/1048388810.db2.gz KGIHEMLGABQCIJ-CVEARBPZSA-N 0 3 311.853 4.030 20 0 DIADHN C[C@@H]1CCN(Cc2ccccc2)C[C@H]1Nc1ncc(Cl)s1 ZINC001187326063 1048389427 /nfs/dbraw/zinc/38/94/27/1048389427.db2.gz LBVGFLDLJKJLND-TZMCWYRMSA-N 0 3 321.877 4.119 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCCC1CC1)c1ccccc1 ZINC000481398325 1048392895 /nfs/dbraw/zinc/39/28/95/1048392895.db2.gz DYBPHSDJEYJXIF-LJQANCHMSA-N 0 3 305.425 4.052 20 0 DIADHN Fc1cccc(CNCC2(c3ccccc3Cl)CC2)c1F ZINC001201872883 1048393465 /nfs/dbraw/zinc/39/34/65/1048393465.db2.gz RISLIHRPKYZWFF-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN CCc1ccc([C@H](C)NCC(=O)Nc2ccccc2CC)cc1 ZINC000192852813 1048395094 /nfs/dbraw/zinc/39/50/94/1048395094.db2.gz QODRPEMBVKJEKE-HNNXBMFYSA-N 0 3 310.441 4.101 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000481405708 1048395272 /nfs/dbraw/zinc/39/52/72/1048395272.db2.gz XNWIDQXYVLVPCJ-IAQYHMDHSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1ccnc(CNC[C@H](C)c2ccccc2)c1Br ZINC001201929785 1048395948 /nfs/dbraw/zinc/39/59/48/1048395948.db2.gz RWOFZISLMWYMGY-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN c1csc(-c2nc(CNCCC[C@@H]3CCCCO3)cs2)c1 ZINC001201910196 1048399527 /nfs/dbraw/zinc/39/95/27/1048399527.db2.gz GOYQNHQDWLYTKX-AWEZNQCLSA-N 0 3 322.499 4.311 20 0 DIADHN C[C@H](NCc1cnn(CC2CCC2)c1)c1ccc2c(c1)CCCC2 ZINC001201912137 1048402148 /nfs/dbraw/zinc/40/21/48/1048402148.db2.gz UJBFIKKJVQKVFK-INIZCTEOSA-N 0 3 323.484 4.413 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(C(F)F)nc2)CCC1 ZINC000631166959 1048404383 /nfs/dbraw/zinc/40/43/83/1048404383.db2.gz ORNGTEDVQVHMCA-UHFFFAOYSA-N 0 3 302.368 4.497 20 0 DIADHN Cc1n[nH]c2cc(Nc3cccc4c3OCC[C@H]4N(C)C)ccc12 ZINC001175665430 1048412786 /nfs/dbraw/zinc/41/27/86/1048412786.db2.gz OBBRFWZTTXRETJ-GOSISDBHSA-N 0 3 322.412 4.000 20 0 DIADHN Cc1ccnc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)n1 ZINC000555673210 1048419326 /nfs/dbraw/zinc/41/93/26/1048419326.db2.gz ZAQNRSJEOBFHAC-SFHVURJKSA-N 0 3 317.864 4.185 20 0 DIADHN C[C@H](CCNCc1c(Cl)nc(Cl)n1C)CC(C)(C)C ZINC001202018423 1048419203 /nfs/dbraw/zinc/41/92/03/1048419203.db2.gz NITSQVYQNLHVJV-SNVBAGLBSA-N 0 3 306.281 4.279 20 0 DIADHN Fc1cccc2cc(CNCc3cccc4cc[nH]c43)cnc12 ZINC001202017556 1048419343 /nfs/dbraw/zinc/41/93/43/1048419343.db2.gz FOLUBDUNXHYKGD-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CCCCN(Cc1csc(COC)n1)[C@H]1CCC[C@H](C)C1 ZINC000555679779 1048420691 /nfs/dbraw/zinc/42/06/91/1048420691.db2.gz ZTLUZMNEEBBSEE-HOCLYGCPSA-N 0 3 310.507 4.470 20 0 DIADHN Cc1sc(NC(=O)CN2CC(C)(C)[C@@H]3CCC[C@@H]32)c(C)c1C ZINC000555721979 1048427342 /nfs/dbraw/zinc/42/73/42/1048427342.db2.gz AEFYLZMPGDITJY-CABCVRRESA-N 0 3 320.502 4.122 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC(C)(C)[C@@H]3CCC[C@@H]32)s1 ZINC000555721409 1048430757 /nfs/dbraw/zinc/43/07/57/1048430757.db2.gz OKEZTTADHFFZFF-MNOVXSKESA-N 0 3 318.408 4.481 20 0 DIADHN Cc1cccc([C@H](C)N2CCN([C@H](C)c3ccccc3)CC2)c1 ZINC001175748377 1048432899 /nfs/dbraw/zinc/43/28/99/1048432899.db2.gz DLDNEHMECCOIKH-MOPGFXCFSA-N 0 3 308.469 4.435 20 0 DIADHN CC(C)P(CCNC(=O)c1ccoc1C(F)(F)F)C(C)C ZINC001187726469 1048435312 /nfs/dbraw/zinc/43/53/12/1048435312.db2.gz KVWDLMFEPPRAMV-UHFFFAOYSA-N 0 3 323.295 4.327 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2ccccc2Cl)c1 ZINC001202063838 1048435923 /nfs/dbraw/zinc/43/59/23/1048435923.db2.gz VNSGXIUIMYEFPZ-UHFFFAOYSA-N 0 3 303.793 4.046 20 0 DIADHN CCCCN(Cc1nc(CC(F)(F)F)no1)C1CCCCC1 ZINC000555773537 1048437455 /nfs/dbraw/zinc/43/74/55/1048437455.db2.gz ACXMEQCNOSBPMW-UHFFFAOYSA-N 0 3 319.371 4.109 20 0 DIADHN FC(F)(F)[C@H](CNCc1cc2cnccc2o1)c1ccccc1 ZINC001202065988 1048437465 /nfs/dbraw/zinc/43/74/65/1048437465.db2.gz GITWNSYMFVPKKN-OAHLLOKOSA-N 0 3 320.314 4.264 20 0 DIADHN CC(C)c1cnc(C(C)C)c(N[C@H]2CNCc3ccsc32)n1 ZINC001163221380 1048438723 /nfs/dbraw/zinc/43/87/23/1048438723.db2.gz SXGDENQKFZRLNH-AWEZNQCLSA-N 0 3 316.474 4.041 20 0 DIADHN Cc1ccc2c(C)cc(N[C@@H]3CNCc4ccsc43)nc2c1 ZINC001163220597 1048439007 /nfs/dbraw/zinc/43/90/07/1048439007.db2.gz FIXNVMFAWLTZGR-MRXNPFEDSA-N 0 3 309.438 4.170 20 0 DIADHN Cc1ccc2c(C)cc(N[C@H]3CNCc4ccsc43)nc2c1 ZINC001163220595 1048439214 /nfs/dbraw/zinc/43/92/14/1048439214.db2.gz FIXNVMFAWLTZGR-INIZCTEOSA-N 0 3 309.438 4.170 20 0 DIADHN Cc1sc2nc([C@H](C)N3CCCC[C@H](C)C3)nc(N)c2c1C ZINC000481612391 1048439457 /nfs/dbraw/zinc/43/94/57/1048439457.db2.gz UEZPESALJPEJJX-JQWIXIFHSA-N 0 3 318.490 4.073 20 0 DIADHN CCCOc1ccc(C)c(N[C@@H]2CNCc3ccsc32)c1 ZINC001163229931 1048440471 /nfs/dbraw/zinc/44/04/71/1048440471.db2.gz MEYPJIRLTVXZLH-MRXNPFEDSA-N 0 3 302.443 4.102 20 0 DIADHN c1cc2c(s1)[C@H](Nc1cccnc1-c1ccccc1)CNC2 ZINC001163229511 1048440644 /nfs/dbraw/zinc/44/06/44/1048440644.db2.gz PSEIPEKZLWHDKF-MRXNPFEDSA-N 0 3 307.422 4.067 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCC[C@@H](C)CC2)c1Br ZINC001202040960 1048442043 /nfs/dbraw/zinc/44/20/43/1048442043.db2.gz XJCOHSZXTYSOAA-DGCLKSJQSA-N 0 3 311.267 4.211 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCC[C@H](C)CC2)c1Br ZINC001202040961 1048442139 /nfs/dbraw/zinc/44/21/39/1048442139.db2.gz XJCOHSZXTYSOAA-WCQYABFASA-N 0 3 311.267 4.211 20 0 DIADHN C[C@@]1(Cc2cc(F)c(F)c(F)c2)CCCN1Cc1cccnc1 ZINC001175769717 1048445580 /nfs/dbraw/zinc/44/55/80/1048445580.db2.gz SFEXANUOMXIGBX-SFHVURJKSA-N 0 3 320.358 4.096 20 0 DIADHN COCCOc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001175786674 1048452064 /nfs/dbraw/zinc/45/20/64/1048452064.db2.gz YVXSFSRZPRKADS-UHFFFAOYSA-N 0 3 300.402 4.148 20 0 DIADHN CC(C)c1ccc(CN[C@]2(CO)CCCc3ccccc32)cc1 ZINC001202127925 1048457318 /nfs/dbraw/zinc/45/73/18/1048457318.db2.gz ZLCHECYIOVFHEK-NRFANRHFSA-N 0 3 309.453 4.124 20 0 DIADHN CCCn1cncc1CN[C@H]1CC(C)(C)CCc2ccccc21 ZINC001202126798 1048457455 /nfs/dbraw/zinc/45/74/55/1048457455.db2.gz ODHJXUBMRXJPGK-IBGZPJMESA-N 0 3 311.473 4.487 20 0 DIADHN CC1(C)SC[C@@H]1NCc1c(OC(F)F)ccc2ccccc21 ZINC001202127527 1048457572 /nfs/dbraw/zinc/45/75/72/1048457572.db2.gz UZZQTGFJGBUCCC-HNNXBMFYSA-N 0 3 323.408 4.425 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000481700302 1048462238 /nfs/dbraw/zinc/46/22/38/1048462238.db2.gz AQIHXDDRHFZBBS-FOIQADDNSA-N 0 3 311.400 4.408 20 0 DIADHN CCSc1cc(CNCc2ccc3scnc3c2)ccn1 ZINC001202111079 1048465291 /nfs/dbraw/zinc/46/52/91/1048465291.db2.gz LKZHOIXZOVBPIO-UHFFFAOYSA-N 0 3 315.467 4.093 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1c(Cl)ccc2cccnc21 ZINC001202157838 1048466757 /nfs/dbraw/zinc/46/67/57/1048466757.db2.gz FRDHWYGAALQZDY-CQSZACIVSA-N 0 3 320.864 4.165 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CNCCCc1cccc(Cl)c1 ZINC001202228613 1048476438 /nfs/dbraw/zinc/47/64/38/1048476438.db2.gz VBPRRCBSFGHNLT-UHFFFAOYSA-N 0 3 324.255 4.316 20 0 DIADHN CCc1ncc(CNC2CC(F)(c3cccc(Cl)c3)C2)s1 ZINC000481814108 1048495116 /nfs/dbraw/zinc/49/51/16/1048495116.db2.gz JLQPXIXCQZFQBI-UHFFFAOYSA-N 0 3 324.852 4.476 20 0 DIADHN C[C@]1(C(F)(F)F)CCN(Cc2cccc(OC(F)F)c2)C1 ZINC001175925696 1048502691 /nfs/dbraw/zinc/50/26/91/1048502691.db2.gz LTAZBSHIZKJJOX-ZDUSSCGKSA-N 0 3 309.278 4.062 20 0 DIADHN Cc1cnc([C@H](NCCc2c(F)cccc2F)C2CC2)s1 ZINC000645854083 1048505152 /nfs/dbraw/zinc/50/51/52/1048505152.db2.gz TWRGVLGCSPUCJJ-OAHLLOKOSA-N 0 3 308.397 4.013 20 0 DIADHN CCCN(Cc1ccccc1F)Cc1cc2ccccc2[nH]c1=O ZINC000600629973 1048518079 /nfs/dbraw/zinc/51/80/79/1048518079.db2.gz VQNCRAUUPDWSJR-UHFFFAOYSA-N 0 3 324.399 4.492 20 0 DIADHN FC(F)(F)CCN1CCC[C@@H](OCc2ccc(Cl)cc2)C1 ZINC001202349111 1048519564 /nfs/dbraw/zinc/51/95/64/1048519564.db2.gz VANBUTQLRIFOOK-CQSZACIVSA-N 0 3 321.770 4.273 20 0 DIADHN Cc1nnc(-c2cccc(Nc3ccnc(C4CCC4)c3)c2)o1 ZINC001175992523 1048521009 /nfs/dbraw/zinc/52/10/09/1048521009.db2.gz ZKWIOYMKBGMHJH-UHFFFAOYSA-N 0 3 306.369 4.451 20 0 DIADHN CN1Cc2c(Nc3cscn3)cccc2[C@H](c2ccccc2)C1 ZINC001175945614 1048523940 /nfs/dbraw/zinc/52/39/40/1048523940.db2.gz UGCNBWKTCHXUKC-INIZCTEOSA-N 0 3 321.449 4.464 20 0 DIADHN CCc1nc(C)c(CN2CCC(n3ccc4ccccc43)CC2)[nH]1 ZINC001203247298 1048525855 /nfs/dbraw/zinc/52/58/55/1048525855.db2.gz AZLFRCSGWUCWHQ-UHFFFAOYSA-N 0 3 322.456 4.072 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000481954338 1048533505 /nfs/dbraw/zinc/53/35/05/1048533505.db2.gz PIBWBPLNHNOVRR-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN CCCCOCCN[C@@H](C)c1ccccc1OC(F)(F)F ZINC000481957334 1048535475 /nfs/dbraw/zinc/53/54/75/1048535475.db2.gz FZXAVYVLNVDELX-LBPRGKRZSA-N 0 3 305.340 4.053 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000481947687 1048535928 /nfs/dbraw/zinc/53/59/28/1048535928.db2.gz NKCGNQDWZGXSEK-GUYCJALGSA-N 0 3 322.399 4.292 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](c2ccco2)N(CC)CC)o1 ZINC000481975821 1048537192 /nfs/dbraw/zinc/53/71/92/1048537192.db2.gz UAIFXLWJLNCTRW-GOEBONIOSA-N 0 3 304.434 4.169 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000157882360 1048539789 /nfs/dbraw/zinc/53/97/89/1048539789.db2.gz LZZMCCSDBQJRQL-JXFKEZNVSA-N 0 3 305.425 4.055 20 0 DIADHN C[C@@H](N[C@H](Cc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000157882796 1048540627 /nfs/dbraw/zinc/54/06/27/1048540627.db2.gz LZZMCCSDBQJRQL-OXQOHEQNSA-N 0 3 305.425 4.055 20 0 DIADHN Cc1cc2[nH]c(CN3CC[C@@H](C)[C@H](n4ccnc4)C3)cc2c(C)c1 ZINC000482005946 1048543012 /nfs/dbraw/zinc/54/30/12/1048543012.db2.gz LPJRAZQGVKMTCO-FOIQADDNSA-N 0 3 322.456 4.064 20 0 DIADHN Cc1cc2[nH]c(CN(CCCO)Cc3ccccc3)cc2c(C)c1 ZINC000482005881 1048543210 /nfs/dbraw/zinc/54/32/10/1048543210.db2.gz ZTBNQTSVQGRINV-UHFFFAOYSA-N 0 3 322.452 4.169 20 0 DIADHN CC[C@H](N[C@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000482030002 1048545496 /nfs/dbraw/zinc/54/54/96/1048545496.db2.gz FELNDHQCXRIMHF-PXNSSMCTSA-N 0 3 319.452 4.441 20 0 DIADHN Clc1cc(Cl)c(Br)c(Nc2ncc[nH]2)c1 ZINC001176083747 1048546454 /nfs/dbraw/zinc/54/64/54/1048546454.db2.gz BXAJMDFUBVAEHE-UHFFFAOYSA-N 0 3 306.978 4.223 20 0 DIADHN FC(F)(F)Oc1ccc(Nc2ncc[nH]2)c(C(F)(F)F)c1 ZINC001176084849 1048547898 /nfs/dbraw/zinc/54/78/98/1048547898.db2.gz FNCZIVJLQVTIJT-UHFFFAOYSA-N 0 3 311.185 4.071 20 0 DIADHN Clc1cc(Nc2ncc[nH]2)c2cc(Br)ccc2n1 ZINC001176081856 1048547916 /nfs/dbraw/zinc/54/79/16/1048547916.db2.gz HKECKZBVRNNHIB-UHFFFAOYSA-N 0 3 323.581 4.117 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3c(s2)CCCC3)cc1O ZINC000482038058 1048548914 /nfs/dbraw/zinc/54/89/14/1048548914.db2.gz PYXSPXDBDACBEG-GFCCVEGCSA-N 0 3 317.454 4.192 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2ccccc2F)N2CCCC2)o1 ZINC000482051069 1048549133 /nfs/dbraw/zinc/54/91/33/1048549133.db2.gz UGPUMPSYMIQZGC-MAUKXSAKSA-N 0 3 316.420 4.215 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cc3ccncc3s2)C1 ZINC000667985742 1048549325 /nfs/dbraw/zinc/54/93/25/1048549325.db2.gz GQISBKFPPPFAQG-GUYCJALGSA-N 0 3 309.438 4.274 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)Nc1cccc(CN(C)C)c1 ZINC001188726403 1048549260 /nfs/dbraw/zinc/54/92/60/1048549260.db2.gz VSQOSJMWMHCCOD-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cc3ccncc3s2)C1 ZINC000667985740 1048549775 /nfs/dbraw/zinc/54/97/75/1048549775.db2.gz GQISBKFPPPFAQG-CXAGYDPISA-N 0 3 309.438 4.274 20 0 DIADHN c1c(CN[C@@H](Cc2ccccc2)C2CC2)noc1-c1ccccc1 ZINC000482020359 1048549816 /nfs/dbraw/zinc/54/98/16/1048549816.db2.gz ZFDATDGRXDVVOD-FQEVSTJZSA-N 0 3 318.420 4.453 20 0 DIADHN CC1(C)CC[C@@H](CN2Cc3ccccc3OC3(CCC3)C2)OC1 ZINC001176051869 1048554396 /nfs/dbraw/zinc/55/43/96/1048554396.db2.gz HSSRCSMEWQTGBR-KRWDZBQOSA-N 0 3 315.457 4.009 20 0 DIADHN CCOC[C@@H]1CCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000482166131 1048566634 /nfs/dbraw/zinc/56/66/34/1048566634.db2.gz BLRGQORUGZLTJJ-CYBMUJFWSA-N 0 3 316.272 4.284 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccccc1Cl ZINC000042337667 1048563439 /nfs/dbraw/zinc/56/34/39/1048563439.db2.gz POEMOLKDGZEAAV-BDJLRTHQSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000775204244 1048563608 /nfs/dbraw/zinc/56/36/08/1048563608.db2.gz CYOCIAKRLFHUJS-NSHDSACASA-N 0 3 317.795 4.286 20 0 DIADHN CCCC[C@@H](O)CNC(C)(C)c1ccc(Cl)cc1Cl ZINC001252110705 1048566905 /nfs/dbraw/zinc/56/69/05/1048566905.db2.gz ODBNFWVEFICWJG-GFCCVEGCSA-N 0 3 304.261 4.369 20 0 DIADHN CC(C)(NC[C@@]1(C)CC1(Cl)Cl)c1ccc(F)cc1F ZINC001176174385 1048581173 /nfs/dbraw/zinc/58/11/73/1048581173.db2.gz BDYGHPBEPIPULA-CYBMUJFWSA-N 0 3 308.199 4.373 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCCO2)c1ccc2c(c1)CCC2 ZINC000482237531 1048575753 /nfs/dbraw/zinc/57/57/53/1048575753.db2.gz WFOWTSHEOULXIM-HNNXBMFYSA-N 0 3 323.436 4.187 20 0 DIADHN Fc1ccc(CCNCc2nc3c(s2)CCCC3)c(Cl)c1 ZINC000776352629 1048576425 /nfs/dbraw/zinc/57/64/25/1048576425.db2.gz RWUMPJKQFZMLOW-UHFFFAOYSA-N 0 3 324.852 4.147 20 0 DIADHN c1cc2cncc(N[C@@H](c3ccccc3)c3ccncc3)c2[nH]1 ZINC001164074538 1048578039 /nfs/dbraw/zinc/57/80/39/1048578039.db2.gz LLRMXYDVIPGZRE-SFHVURJKSA-N 0 3 300.365 4.159 20 0 DIADHN CO[C@H](CNC(C)(C)c1ccc(F)cc1F)c1ccc(F)cc1 ZINC001176176741 1048581232 /nfs/dbraw/zinc/58/12/32/1048581232.db2.gz SAQMOXAGJAMTMT-QGZVFWFLSA-N 0 3 323.358 4.316 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1cc2ccncc2s1 ZINC000668004900 1048588331 /nfs/dbraw/zinc/58/83/31/1048588331.db2.gz GXHVOQRZRNFJAN-GWCFXTLKSA-N 0 3 314.376 4.459 20 0 DIADHN Fc1ccc(CN2CCC(c3nc4ccccc4o3)CC2)cc1 ZINC000058829292 1048594908 /nfs/dbraw/zinc/59/49/08/1048594908.db2.gz DHVBDOSEUWSQOK-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1csc(CNCc2cc(Cl)cc(Cl)c2N)c1 ZINC001202475626 1048599862 /nfs/dbraw/zinc/59/98/62/1048599862.db2.gz YUPFWJPETMFTPI-UHFFFAOYSA-N 0 3 301.242 4.235 20 0 DIADHN CCC(C)(C)[C@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000850502249 1048673174 /nfs/dbraw/zinc/67/31/74/1048673174.db2.gz MLPUDGYOQYETMP-IUCAKERBSA-N 0 3 305.285 4.381 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H](C(F)(F)F)[C@H]2C)c1 ZINC000482489600 1048618133 /nfs/dbraw/zinc/61/81/33/1048618133.db2.gz VDEBAWBFZUQITA-IUODEOHRSA-N 0 3 301.352 4.248 20 0 DIADHN COCC1=CCN(Cc2ccc(-c3ccc(F)cc3)s2)CC1 ZINC000482503209 1048620339 /nfs/dbraw/zinc/62/03/39/1048620339.db2.gz DUOHDWJDHBBAGK-UHFFFAOYSA-N 0 3 317.429 4.333 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(c2cc(Cl)ccn2)CC1 ZINC001176287918 1048620809 /nfs/dbraw/zinc/62/08/09/1048620809.db2.gz JVDRNZBJSGANPR-KRWDZBQOSA-N 0 3 315.848 4.008 20 0 DIADHN CC[C@H](CN(CC)Cc1ccn(C(F)F)n1)c1ccccc1 ZINC000482505922 1048621398 /nfs/dbraw/zinc/62/13/98/1048621398.db2.gz IOWPKYPVIQILRC-CQSZACIVSA-N 0 3 307.388 4.294 20 0 DIADHN COc1ccc(CN2CCC[C@H](C(F)(F)F)[C@@H]2C)cc1C ZINC000482505732 1048621655 /nfs/dbraw/zinc/62/16/55/1048621655.db2.gz ICKZZWVIUJZBOR-JSGCOSHPSA-N 0 3 301.352 4.166 20 0 DIADHN COc1ccc(C(C)=O)c(Nc2ccc(CN(C)C)cc2)c1C ZINC001176293814 1048622014 /nfs/dbraw/zinc/62/20/14/1048622014.db2.gz VHFGBZMIAIOVHO-UHFFFAOYSA-N 0 3 312.413 4.011 20 0 DIADHN COCC1=CCN(Cc2cc(-c3ccccc3)ccc2OC)CC1 ZINC000482516175 1048623320 /nfs/dbraw/zinc/62/33/20/1048623320.db2.gz WOOJIOGMXBOWCH-UHFFFAOYSA-N 0 3 323.436 4.141 20 0 DIADHN COc1cncc(CN[C@@H]2CCCc3ccc(C(C)C)cc32)c1 ZINC000850228598 1048624369 /nfs/dbraw/zinc/62/43/69/1048624369.db2.gz SYTWHBMGXOTTSQ-HXUWFJFHSA-N 0 3 310.441 4.381 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1cc3ccc(C)cc3[nH]1)CCC2 ZINC000850240379 1048626507 /nfs/dbraw/zinc/62/65/07/1048626507.db2.gz PZVHYDQPFNWXTD-HNNXBMFYSA-N 0 3 311.454 4.408 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC[C@@H](Cc3nccs3)C2)c1 ZINC001176272961 1048626678 /nfs/dbraw/zinc/62/66/78/1048626678.db2.gz LDKRTWYASBFNLV-RRQGHBQHSA-N 0 3 316.445 4.093 20 0 DIADHN CCSc1ccccc1[C@H](C)NCc1cccc(CO)c1 ZINC000482561889 1048633660 /nfs/dbraw/zinc/63/36/60/1048633660.db2.gz VXVJCONHSPLAOI-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1C[C@H](O)c2ccccc21 ZINC000850371559 1048642133 /nfs/dbraw/zinc/64/21/33/1048642133.db2.gz KTGXTERNTZTYQB-MSOLQXFVSA-N 0 3 301.817 4.225 20 0 DIADHN CCCOc1ccc(CN[C@@H]2C[C@H](O)c3ccccc32)c(C)c1 ZINC000850374619 1048642240 /nfs/dbraw/zinc/64/22/40/1048642240.db2.gz NFLPOCADMXJKEE-UXHICEINSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H](NCc1occc1Br)C1CCC(F)CC1 ZINC000850395108 1048646449 /nfs/dbraw/zinc/64/64/49/1048646449.db2.gz AHSYDOGGXGDOSY-WHXUTIOJSA-N 0 3 304.203 4.049 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cc3c(s2)CCC3)cn1 ZINC000482643041 1048650919 /nfs/dbraw/zinc/65/09/19/1048650919.db2.gz QPFZRYOYZPTVBT-CYBMUJFWSA-N 0 3 316.470 4.271 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)c1ccc2c(c1)CCCO2 ZINC000850430874 1048653414 /nfs/dbraw/zinc/65/34/14/1048653414.db2.gz QJUNGGYFBIJFCY-HNNXBMFYSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCCO2 ZINC000850429353 1048653708 /nfs/dbraw/zinc/65/37/08/1048653708.db2.gz BRVRRVLHSGZHPR-DJJJIMSYSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCO2)c1cc2cnccc2o1 ZINC000850430766 1048654327 /nfs/dbraw/zinc/65/43/27/1048654327.db2.gz PRMKLEFSYHMCKV-CHWSQXEVSA-N 0 3 308.381 4.175 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)cn1 ZINC000850433706 1048656628 /nfs/dbraw/zinc/65/66/28/1048656628.db2.gz LWXYIAYGDFGQEN-CABCVRRESA-N 0 3 313.445 4.201 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000850442679 1048658457 /nfs/dbraw/zinc/65/84/57/1048658457.db2.gz FTKRNDDMKQZKMU-RISCZKNCSA-N 0 3 305.805 4.448 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000850442677 1048659082 /nfs/dbraw/zinc/65/90/82/1048659082.db2.gz FTKRNDDMKQZKMU-FZMZJTMJSA-N 0 3 305.805 4.448 20 0 DIADHN Cc1ccc2c(c1)cc(Cl)nc2N1CCN(C(C)(C)C)CC1 ZINC001165090874 1048659573 /nfs/dbraw/zinc/65/95/73/1048659573.db2.gz KRZOLGPLRZNYLE-UHFFFAOYSA-N 0 3 317.864 4.117 20 0 DIADHN CN(Cc1ccc(N2CC[C@@H](C3CCCCC3)C2)nc1)C1CC1 ZINC001165144489 1048660553 /nfs/dbraw/zinc/66/05/53/1048660553.db2.gz LZPQOHSJVSGIPV-GOSISDBHSA-N 0 3 313.489 4.082 20 0 DIADHN CC(N[C@@H]1COC2(CCC2)C1)(c1ccccc1)c1ccccc1 ZINC000850455159 1048663820 /nfs/dbraw/zinc/66/38/20/1048663820.db2.gz XGCOCTWLGCLTIZ-IBGZPJMESA-N 0 3 307.437 4.251 20 0 DIADHN CCSC[C@@H](C)N[C@@H](C)c1ccccc1Br ZINC000157048469 1048671837 /nfs/dbraw/zinc/67/18/37/1048671837.db2.gz SSVCGFBQHPCESC-MNOVXSKESA-N 0 3 302.281 4.241 20 0 DIADHN CCC(C)(C)[C@@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000850502248 1048673517 /nfs/dbraw/zinc/67/35/17/1048673517.db2.gz MLPUDGYOQYETMP-DTWKUNHWSA-N 0 3 305.285 4.381 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H]1COC2(CCC2)C1 ZINC000850525925 1048678568 /nfs/dbraw/zinc/67/85/68/1048678568.db2.gz SDRNSVMVDNVIMH-GXTWGEPZSA-N 0 3 309.837 4.101 20 0 DIADHN Cc1cc([C@H](N[C@@H]2C[C@H](O)c3ccccc32)C2CC2)ccc1F ZINC000850527059 1048680625 /nfs/dbraw/zinc/68/06/25/1048680625.db2.gz FEJQYIWLMVDGSN-HSALFYBXSA-N 0 3 311.400 4.353 20 0 DIADHN CC(C)[C@H](N[C@@H]1C[C@H](O)c2ccccc21)c1ccc(F)c(F)c1 ZINC000850528753 1048680733 /nfs/dbraw/zinc/68/07/33/1048680733.db2.gz MTOVXPYPXXYDHB-QYZOEREBSA-N 0 3 317.379 4.430 20 0 DIADHN CC[C@H](N[C@@H]1C[C@H](O)c2ccccc21)c1ccc2c(c1)CCCO2 ZINC000850528376 1048681092 /nfs/dbraw/zinc/68/10/92/1048681092.db2.gz LBPNCRZXQJFZLS-ZCNNSNEGSA-N 0 3 323.436 4.231 20 0 DIADHN CN(Cc1cc(Cl)ccc1OCC1CC1)[C@@H]1CCSC1 ZINC000482790458 1048681093 /nfs/dbraw/zinc/68/10/93/1048681093.db2.gz QOAWUQCFNWCSBX-OAHLLOKOSA-N 0 3 311.878 4.066 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2C[C@H](O)c3ccccc32)cc1C ZINC000850527008 1048681623 /nfs/dbraw/zinc/68/16/23/1048681623.db2.gz DSUUUXRWCTVLJC-JVPBZIDWSA-N 0 3 311.425 4.141 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850529358 1048682998 /nfs/dbraw/zinc/68/29/98/1048682998.db2.gz QSXODNHHQXNFRH-HSALFYBXSA-N 0 3 323.436 4.186 20 0 DIADHN C[C@H](N[C@H]1C[C@H](O)c2ccccc21)c1ccc(OC(F)F)cc1 ZINC000850529764 1048683277 /nfs/dbraw/zinc/68/32/77/1048683277.db2.gz SUFAIBZCRCZLDB-GOPGUHFVSA-N 0 3 319.351 4.117 20 0 DIADHN CC(C)(F)CCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000850531927 1048685292 /nfs/dbraw/zinc/68/52/92/1048685292.db2.gz ODJDBOCQEKBQMF-JTQLQIEISA-N 0 3 321.259 4.085 20 0 DIADHN Cc1ccc2cc(NCC3CN(Cc4ccccc4)C3)ncc2c1 ZINC001165426740 1048688841 /nfs/dbraw/zinc/68/88/41/1048688841.db2.gz AIJCOTFRXHHUGB-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@H]2CCC[C@@H](C(C)C)CC2)s1 ZINC001205480546 1048689123 /nfs/dbraw/zinc/68/91/23/1048689123.db2.gz DMCWTSUPSFQHSI-MJBXVCDLSA-N 0 3 324.490 4.185 20 0 DIADHN COc1cc(NCC2CN(Cc3ccccc3)C2)cc(C(C)C)c1 ZINC001165469987 1048690069 /nfs/dbraw/zinc/69/00/69/1048690069.db2.gz WMWJVOISMZPUPO-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN Cc1cc(NCC2CN(Cc3ccccc3)C2)ccc1OC(C)C ZINC001165469232 1048690494 /nfs/dbraw/zinc/69/04/94/1048690494.db2.gz LIFXSYNYKCLMSY-UHFFFAOYSA-N 0 3 324.468 4.326 20 0 DIADHN CC(C)CC1(N[C@@H](C)c2cc(Br)ncc2F)CC1 ZINC000850565662 1048694927 /nfs/dbraw/zinc/69/49/27/1048694927.db2.gz DXVDXGVTUJTCNK-JTQLQIEISA-N 0 3 315.230 4.213 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@@H](C)[C@H]1C ZINC000482863059 1048692221 /nfs/dbraw/zinc/69/22/21/1048692221.db2.gz FKUYSRDWUCVFGZ-IJLUTSLNSA-N 0 3 305.809 4.181 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](C)[C@@H]1C ZINC000482862916 1048693296 /nfs/dbraw/zinc/69/32/96/1048693296.db2.gz FERCMSKXVQOWGN-VGWMRTNUSA-N 0 3 302.462 4.257 20 0 DIADHN COc1cccc(CN[C@@H]2CCSc3c(F)cccc32)c1C ZINC000850579330 1048700823 /nfs/dbraw/zinc/70/08/23/1048700823.db2.gz UMZVFLDDODRVMU-MRXNPFEDSA-N 0 3 317.429 4.469 20 0 DIADHN CN1CCc2ccc(Nc3cccc(OCC4CC4)c3)cc2C1 ZINC001176497215 1048700688 /nfs/dbraw/zinc/70/06/88/1048700688.db2.gz KDVRMLUCAINWDR-UHFFFAOYSA-N 0 3 308.425 4.207 20 0 DIADHN C[C@H](NCc1cnc(N(C)C)s1)c1cccc2ccccc21 ZINC000077324344 1048711205 /nfs/dbraw/zinc/71/12/05/1048711205.db2.gz FAJDXJBNPMQOBL-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)CC2CC2)nnn1-c1cccc(Cl)c1 ZINC000482952606 1048711371 /nfs/dbraw/zinc/71/13/71/1048711371.db2.gz UGUSKZXTOWVWMU-VXGBXAGGSA-N 0 3 318.852 4.068 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cnc2ccccc2c1 ZINC000776496604 1048718203 /nfs/dbraw/zinc/71/82/03/1048718203.db2.gz AWPFDESVHUSGSE-VBKZILBWSA-N 0 3 324.399 4.240 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1C[C@@H]1CCC2(CCC2)CO1 ZINC001190331264 1048719402 /nfs/dbraw/zinc/71/94/02/1048719402.db2.gz BDSLNQREZHODIC-GOEBONIOSA-N 0 3 305.487 4.407 20 0 DIADHN CC(C)CCCSCCCN1CCN(c2ccccc2)CC1 ZINC001165917289 1048725277 /nfs/dbraw/zinc/72/52/77/1048725277.db2.gz JKBOYZLQGHAPRA-UHFFFAOYSA-N 0 3 320.546 4.368 20 0 DIADHN C[C@@H]1C[C@H](CN(Cc2ccc(F)cc2F)C2CC2)C[C@H](C)O1 ZINC001190392823 1048726799 /nfs/dbraw/zinc/72/67/99/1048726799.db2.gz UUJQORWHDYGOHN-WDNDVIMCSA-N 0 3 309.400 4.133 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(-c3ccc(C(C)C)cc3)s2)C1 ZINC000483034803 1048727448 /nfs/dbraw/zinc/72/74/48/1048727448.db2.gz GYOGKPVSCLGNQG-MRXNPFEDSA-N 0 3 316.470 4.154 20 0 DIADHN Cn1cc(Nc2ccnc(C3CCC3)c2)c(-c2ccccc2)n1 ZINC001176567376 1048727932 /nfs/dbraw/zinc/72/79/32/1048727932.db2.gz BKSKUAFAWNAHCN-UHFFFAOYSA-N 0 3 304.397 4.493 20 0 DIADHN COC(=O)[C@H](CC(C)C)N1CCC(C)(C)[C@@H](c2ccccc2)C1 ZINC001176568588 1048728274 /nfs/dbraw/zinc/72/82/74/1048728274.db2.gz WEDABQHBMRLYOP-MSOLQXFVSA-N 0 3 317.473 4.090 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@H]1CCC(C)(C)C1 ZINC000483096048 1048732851 /nfs/dbraw/zinc/73/28/51/1048732851.db2.gz CAJCQIYYLIBOKR-KRWDZBQOSA-N 0 3 316.489 4.260 20 0 DIADHN CO[C@H](CN(Cc1cccc(F)c1)C1CC1)c1ccc(F)cc1 ZINC001190416958 1048733879 /nfs/dbraw/zinc/73/38/79/1048733879.db2.gz FRORMUGFRMPCNS-LJQANCHMSA-N 0 3 317.379 4.317 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@H]1CCC(C)(C)C1 ZINC000483097913 1048735484 /nfs/dbraw/zinc/73/54/84/1048735484.db2.gz IVFONHQVAMNDCC-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(NC(=O)CN(CC2CCCCC2)C2CC2)cc1F ZINC001190426988 1048736059 /nfs/dbraw/zinc/73/60/59/1048736059.db2.gz MNZJRYZIKLGPBS-UHFFFAOYSA-N 0 3 318.436 4.117 20 0 DIADHN Cc1ccc(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)c(C)n1 ZINC001176610244 1048736183 /nfs/dbraw/zinc/73/61/83/1048736183.db2.gz NDCHFLLSNDVKOY-NRFANRHFSA-N 0 3 320.436 4.139 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCC(C)(C)C2)c(Cl)c1 ZINC000483110663 1048738229 /nfs/dbraw/zinc/73/82/29/1048738229.db2.gz CFIYKSVWNUIPQY-CQSZACIVSA-N 0 3 322.880 4.406 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3nc4ccccc4s3)C2)cc1 ZINC000483106853 1048738684 /nfs/dbraw/zinc/73/86/84/1048738684.db2.gz VKDMMXFIHHBAOW-AWEZNQCLSA-N 0 3 312.413 4.425 20 0 DIADHN CCN(CC(=O)Nc1c(C)cc(C)cc1Cl)CC1CCC1 ZINC000483117436 1048739807 /nfs/dbraw/zinc/73/98/07/1048739807.db2.gz HKIKCYUTKRRFFH-UHFFFAOYSA-N 0 3 308.853 4.017 20 0 DIADHN CCN(Cc1coc(-c2ccc(F)c(F)c2)n1)CC1CCC1 ZINC000483123115 1048739909 /nfs/dbraw/zinc/73/99/09/1048739909.db2.gz DINRKSXTSWWTQW-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)nn1)[C@H]1CCC(C)(C)C1 ZINC000483114083 1048740025 /nfs/dbraw/zinc/74/00/25/1048740025.db2.gz VVUUSIFRECVSBM-INIZCTEOSA-N 0 3 311.429 4.279 20 0 DIADHN CCN(Cc1csc(-c2cccc(OC)c2)n1)CC1CCC1 ZINC000483123808 1048740751 /nfs/dbraw/zinc/74/07/51/1048740751.db2.gz VOHDGZVKAVROAH-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483129640 1048741536 /nfs/dbraw/zinc/74/15/36/1048741536.db2.gz SXMXRXPBOXMVDO-ZDUSSCGKSA-N 0 3 317.408 4.367 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccc(Cl)s1 ZINC000483135034 1048745509 /nfs/dbraw/zinc/74/55/09/1048745509.db2.gz DWLYJMYJDMSYLN-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN C[C@H](NC[C@@H](c1c(F)cccc1F)N(C)C)c1ccccc1F ZINC000483133633 1048745588 /nfs/dbraw/zinc/74/55/88/1048745588.db2.gz ISYUAEFDIWEFCN-SJCJKPOMSA-N 0 3 322.374 4.057 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3cc(SC(F)F)ccc32)n[nH]1 ZINC000775393401 1048749663 /nfs/dbraw/zinc/74/96/63/1048749663.db2.gz LSSURYHWOPWWKY-OAHLLOKOSA-N 0 3 323.412 4.200 20 0 DIADHN CC1(C)CCC[C@H](CN(Cc2ccccc2)C[C@@H]2CCCO2)O1 ZINC001190530047 1048752352 /nfs/dbraw/zinc/75/23/52/1048752352.db2.gz ZBCBWFHZYAGLDI-RBUKOAKNSA-N 0 3 317.473 4.015 20 0 DIADHN CCCCN(CCn1cc(Cl)cn1)[C@@H](C)c1ccc(C)o1 ZINC001190588945 1048761355 /nfs/dbraw/zinc/76/13/55/1048761355.db2.gz GYUUBPAZJXMXHS-AWEZNQCLSA-N 0 3 309.841 4.301 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccn(CCc3ccccc3)n2)C1 ZINC001190589841 1048762028 /nfs/dbraw/zinc/76/20/28/1048762028.db2.gz UDLRKESVDCTQHE-YLJYHZDGSA-N 0 3 311.473 4.136 20 0 DIADHN CCCN(Cc1ccn(-c2ccc(Cl)cc2)n1)CC(C)C ZINC001190644222 1048771757 /nfs/dbraw/zinc/77/17/57/1048771757.db2.gz UWOMIQNQKCMWCM-UHFFFAOYSA-N 0 3 305.853 4.394 20 0 DIADHN Fc1ccc(-c2nc(CN(CC3CC3)C3CCC3)co2)cc1 ZINC000483295978 1048775699 /nfs/dbraw/zinc/77/56/99/1048775699.db2.gz QMSHYSIYOSNEMX-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN Fc1cccc(-c2cnc(CN(CC3CC3)C3CCC3)o2)c1 ZINC000483316358 1048778448 /nfs/dbraw/zinc/77/84/48/1048778448.db2.gz UCDMSVOLUDELAD-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN c1cc(Nc2ccnc(C3CCC3)c2)n(Cc2ccccc2)n1 ZINC001176703435 1048780015 /nfs/dbraw/zinc/78/00/15/1048780015.db2.gz SAKHCAYIDFQJOK-UHFFFAOYSA-N 0 3 304.397 4.338 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2ccc(F)cc2Cl)s1 ZINC000483447078 1048796716 /nfs/dbraw/zinc/79/67/16/1048796716.db2.gz YVSRNNWANKZTAF-JTQLQIEISA-N 0 3 312.841 4.391 20 0 DIADHN C[C@@H](c1cccs1)N(Cc1ccccc1)C[C@H]1CCC(=O)O1 ZINC000851739661 1048797709 /nfs/dbraw/zinc/79/77/09/1048797709.db2.gz NZTLIYGMSGMVFH-GOEBONIOSA-N 0 3 315.438 4.017 20 0 DIADHN CCS[C@H]1CCCCN(Cc2ccc(Cl)c(OC)c2)C1 ZINC001190936502 1048801165 /nfs/dbraw/zinc/80/11/65/1048801165.db2.gz XWNUCIQELJOKPO-AWEZNQCLSA-N 0 3 313.894 4.456 20 0 DIADHN CCc1ccc(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)cc1 ZINC001176932504 1048802643 /nfs/dbraw/zinc/80/26/43/1048802643.db2.gz LWSHAIHSVRCQAB-IERDGZPVSA-N 0 3 309.453 4.124 20 0 DIADHN Cc1ccc(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)cc1C ZINC001176932760 1048805115 /nfs/dbraw/zinc/80/51/15/1048805115.db2.gz QQIYHWXMWHAKLN-HRAATJIYSA-N 0 3 309.453 4.178 20 0 DIADHN CN(Cc1cccc(F)c1Cl)[C@H]1CCc2ccccc2C1 ZINC001176909489 1048806110 /nfs/dbraw/zinc/80/61/10/1048806110.db2.gz YTAMFZBYHBMLLN-INIZCTEOSA-N 0 3 303.808 4.468 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ncccc1C)c1ccc(Cl)cc1 ZINC001176897210 1048807736 /nfs/dbraw/zinc/80/77/36/1048807736.db2.gz KTEALLYCZCKTOK-CJNGLKHVSA-N 0 3 304.821 4.082 20 0 DIADHN CO[C@H](CN[C@H](C)c1ncccc1C)c1ccc(Cl)cc1 ZINC001176897211 1048808086 /nfs/dbraw/zinc/80/80/86/1048808086.db2.gz KTEALLYCZCKTOK-CZUORRHYSA-N 0 3 304.821 4.082 20 0 DIADHN ClC(Cl)=CCN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000851903939 1048811212 /nfs/dbraw/zinc/81/12/12/1048811212.db2.gz QOKOYFJXXVKFQQ-OCCSQVGLSA-N 0 3 300.229 4.063 20 0 DIADHN CO[C@@H]1CC[C@H]1N(C)Cc1ccc(Oc2ccccc2)c(F)c1 ZINC001176916616 1048811387 /nfs/dbraw/zinc/81/13/87/1048811387.db2.gz ZBBXOFIWCKLBAO-IEBWSBKVSA-N 0 3 315.388 4.227 20 0 DIADHN ClC(Cl)=CCN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000851903938 1048811392 /nfs/dbraw/zinc/81/13/92/1048811392.db2.gz QOKOYFJXXVKFQQ-JSGCOSHPSA-N 0 3 300.229 4.063 20 0 DIADHN CC[C@H]1CCCN(Cc2coc(-c3ccccc3F)n2)CC1 ZINC001190965533 1048812420 /nfs/dbraw/zinc/81/24/20/1048812420.db2.gz IQCWKBZXRVUTMR-AWEZNQCLSA-N 0 3 302.393 4.493 20 0 DIADHN CCN(Cc1nc2cc(Cl)ccc2n1C)C1CCCCC1 ZINC001166997428 1048822464 /nfs/dbraw/zinc/82/24/64/1048822464.db2.gz RUHYSPRHBCWMSN-UHFFFAOYSA-N 0 3 305.853 4.381 20 0 DIADHN CN(C)[C@H](CNCc1cccc(F)c1F)c1ccccc1Cl ZINC001177070897 1048822495 /nfs/dbraw/zinc/82/24/95/1048822495.db2.gz AJGLYBJJMNIZJC-MRXNPFEDSA-N 0 3 324.802 4.011 20 0 DIADHN Cc1cc(CNC(C)(C)/C=C\Cl)ccc1Br ZINC001203732702 1048823437 /nfs/dbraw/zinc/82/34/37/1048823437.db2.gz AFCNWDSOKNDNTB-SREVYHEPSA-N 0 3 302.643 4.378 20 0 DIADHN CN(C)[C@@H](CNCc1cccc(F)c1F)c1ccccc1Cl ZINC001177070896 1048823991 /nfs/dbraw/zinc/82/39/91/1048823991.db2.gz AJGLYBJJMNIZJC-INIZCTEOSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@@H](C(C)(C)C)CC1)c1ccccc1 ZINC001191021570 1048826390 /nfs/dbraw/zinc/82/63/90/1048826390.db2.gz HPOLNYOUEUOQPB-SJLPKXTDSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H](C)C3)n2)cc1F ZINC000483644281 1048829912 /nfs/dbraw/zinc/82/99/12/1048829912.db2.gz AEVOGELAMWXJOT-OSAQELSMSA-N 0 3 317.408 4.413 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)NC[C@H]3CC=CCC3)n2)cc1 ZINC000483639520 1048830450 /nfs/dbraw/zinc/83/04/50/1048830450.db2.gz PCINMRYQKQKIBH-HOCLYGCPSA-N 0 3 311.429 4.306 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCCC3(C)C)n2)ccc1F ZINC000483661543 1048835400 /nfs/dbraw/zinc/83/54/00/1048835400.db2.gz YHZAVYWJZQAKSU-WFASDCNBSA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@H]1Oc2cc([C@H](C)N[C@@H](C)c3ccccc3)ccc2NC1=O ZINC000173223059 1048841331 /nfs/dbraw/zinc/84/13/31/1048841331.db2.gz ZHIPIECPJBIILX-SUNYJGFJSA-N 0 3 324.424 4.208 20 0 DIADHN CC(=O)Oc1ccc(CN[C@@H](c2ccccc2F)C(C)C)cc1 ZINC000852447056 1048841535 /nfs/dbraw/zinc/84/15/35/1048841535.db2.gz QVUSUTPAIPWODU-LJQANCHMSA-N 0 3 315.388 4.238 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cccc3ccoc32)c1 ZINC001177180967 1048846189 /nfs/dbraw/zinc/84/61/89/1048846189.db2.gz QWYJMWICHNTITI-CYBMUJFWSA-N 0 3 308.381 4.242 20 0 DIADHN Cc1ccc([C@H](NCc2cc(-c3ccccn3)n[nH]2)C2CC2)cc1 ZINC001177191406 1048849938 /nfs/dbraw/zinc/84/99/38/1048849938.db2.gz UXFOAHINESNZNM-FQEVSTJZSA-N 0 3 318.424 4.021 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(SC(F)F)cc2)C[C@H](C)O1 ZINC000852588921 1048850710 /nfs/dbraw/zinc/85/07/10/1048850710.db2.gz LLQYTOYKXCGQMR-NEPJUHHUSA-N 0 3 301.402 4.001 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC[C@H](c2nccs2)C1 ZINC000338948764 1048852728 /nfs/dbraw/zinc/85/27/28/1048852728.db2.gz PRWPQCXXCBWZAX-INIZCTEOSA-N 0 3 315.486 4.350 20 0 DIADHN CCc1ccccc1CNCc1ccnc(OC2CCCC2)c1 ZINC001177249551 1048853996 /nfs/dbraw/zinc/85/39/96/1048853996.db2.gz CKXJNVRJABEOFF-UHFFFAOYSA-N 0 3 310.441 4.255 20 0 DIADHN CCc1cccc2cc(CNCc3cccc4c3CCOC4)oc21 ZINC001203276520 1048855541 /nfs/dbraw/zinc/85/55/41/1048855541.db2.gz QGNFULPHQCTIFK-UHFFFAOYSA-N 0 3 321.420 4.358 20 0 DIADHN O=C(Nc1ccc(-c2ccccc2)cc1F)C1(NC2CC2)CCC1 ZINC000852816192 1048860473 /nfs/dbraw/zinc/86/04/73/1048860473.db2.gz LUFYMJOSDVYSTG-UHFFFAOYSA-N 0 3 324.399 4.106 20 0 DIADHN Cc1ccc(CN(CCCCCCO)Cc2ccccc2)o1 ZINC001203301303 1048863140 /nfs/dbraw/zinc/86/31/40/1048863140.db2.gz IQUGRPQBYXECJZ-UHFFFAOYSA-N 0 3 301.430 4.143 20 0 DIADHN Cn1c2ccccc2nc1C1CCN(Cc2ccccc2F)CC1 ZINC001203354145 1048863960 /nfs/dbraw/zinc/86/39/60/1048863960.db2.gz VDQCBMQCHYQLJI-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1ccc2c(c1)CCC2 ZINC001177282565 1048865556 /nfs/dbraw/zinc/86/55/56/1048865556.db2.gz JQMXFIKOGRICIJ-UHFFFAOYSA-N 0 3 311.473 4.033 20 0 DIADHN CCNc1nc(CN2C[C@@H](c3ccccc3C)C[C@H]2C)cs1 ZINC001191155666 1048865704 /nfs/dbraw/zinc/86/57/04/1048865704.db2.gz IURYQGDHCTUIIH-CABCVRRESA-N 0 3 315.486 4.261 20 0 DIADHN CCc1cccc(CNCc2cc(Br)cs2)c1 ZINC001177323165 1048870737 /nfs/dbraw/zinc/87/07/37/1048870737.db2.gz DSSCAFYTUQZBAL-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CCCC34CC4)cs2)cc1 ZINC001203331546 1048872650 /nfs/dbraw/zinc/87/26/50/1048872650.db2.gz DBZZZDGLABVNKA-OAHLLOKOSA-N 0 3 302.418 4.372 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1ccncc1Br ZINC001191132774 1048874624 /nfs/dbraw/zinc/87/46/24/1048874624.db2.gz MGKFTWUFQJSLGD-IUODEOHRSA-N 0 3 311.267 4.245 20 0 DIADHN CCOc1cncc(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)c1 ZINC001203363824 1048884390 /nfs/dbraw/zinc/88/43/90/1048884390.db2.gz WTRQSHFGABVQHJ-PMACEKPBSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](O)C1)c1cc(Cl)sc1Cl ZINC000163359412 1048885601 /nfs/dbraw/zinc/88/56/01/1048885601.db2.gz WHTIGQLXNAFZIB-AEJSXWLSSA-N 0 3 308.274 4.257 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cc(OC)ccc2Cl)CC1 ZINC000483967121 1048885830 /nfs/dbraw/zinc/88/58/30/1048885830.db2.gz QVEGAHUEQUJPQK-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2cc(Cl)n(C)n2)cc1 ZINC001191216010 1048889455 /nfs/dbraw/zinc/88/94/55/1048889455.db2.gz XLIDHWQEJQARFA-IRXDYDNUSA-N 0 3 317.864 4.150 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)c(C)n1 ZINC000853242456 1048891046 /nfs/dbraw/zinc/89/10/46/1048891046.db2.gz PVMHKIGADPLOLX-GOEBONIOSA-N 0 3 310.441 4.435 20 0 DIADHN Cc1cnn(CCCCCN2CCc3cc(Cl)ccc3C2)c1 ZINC000484009939 1048891380 /nfs/dbraw/zinc/89/13/80/1048891380.db2.gz GHNKVXSNRQWYTO-UHFFFAOYSA-N 0 3 317.864 4.074 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000853242361 1048891507 /nfs/dbraw/zinc/89/15/07/1048891507.db2.gz PQKSWLUGNGGSHT-QWHCGFSZSA-N 0 3 317.454 4.494 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@@H](C)[C@@H]3C)co2)cc1 ZINC001191182052 1048891886 /nfs/dbraw/zinc/89/18/86/1048891886.db2.gz OXNZQNFCKWNIHI-CABCVRRESA-N 0 3 314.429 4.361 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000853242362 1048891951 /nfs/dbraw/zinc/89/19/51/1048891951.db2.gz PQKSWLUGNGGSHT-STQMWFEESA-N 0 3 317.454 4.494 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC[C@@H](C)[C@H]1C ZINC001191181353 1048892647 /nfs/dbraw/zinc/89/26/47/1048892647.db2.gz GUCXFVJSOAJZGW-ZIAGYGMSSA-N 0 3 315.413 4.230 20 0 DIADHN Cc1ccc([C@H]2[C@H](C)CCCN2Cc2cnn(CCF)c2)cc1 ZINC001191181952 1048893311 /nfs/dbraw/zinc/89/33/11/1048893311.db2.gz NTEIWKNPAHAVGK-VQIMIIECSA-N 0 3 315.436 4.134 20 0 DIADHN CCOc1ccccc1CNC/C=C\c1ccc(F)cc1F ZINC001177442581 1048893921 /nfs/dbraw/zinc/89/39/21/1048893921.db2.gz WAGXXUVNUUBKSV-ALCCZGGFSA-N 0 3 303.352 4.167 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(CCCC(C)(F)F)CC1 ZINC001191259004 1048900074 /nfs/dbraw/zinc/90/00/74/1048900074.db2.gz RGXVBXDNLUIJSD-KRWDZBQOSA-N 0 3 310.432 4.191 20 0 DIADHN CCN(Cc1nc(C)c(C)[nH]1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC001191225152 1048902125 /nfs/dbraw/zinc/90/21/25/1048902125.db2.gz YOMOCKHWJFZYHA-GOSISDBHSA-N 0 3 317.452 4.255 20 0 DIADHN CC(C)[C@H]1CN(Cc2cccc(OC(C)(C)C)c2)CCS1 ZINC001191221775 1048904237 /nfs/dbraw/zinc/90/42/37/1048904237.db2.gz DQRNQUOQFJIHIX-QGZVFWFLSA-N 0 3 307.503 4.437 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)COc1ccccc1 ZINC001177520288 1048904433 /nfs/dbraw/zinc/90/44/33/1048904433.db2.gz YTVGBJRRCZBVHO-AWEZNQCLSA-N 0 3 301.430 4.443 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)N(C2CC2)C1)c1cc(Cl)sc1Cl ZINC000484290472 1048921092 /nfs/dbraw/zinc/92/10/92/1048921092.db2.gz DOVSGYJUKNBERV-KXUCPTDWSA-N 0 3 319.301 4.331 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(Br)nc2)c(C)c1 ZINC001177529175 1048909336 /nfs/dbraw/zinc/90/93/36/1048909336.db2.gz MKQSOLOABPVAEQ-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cc(OC)c(OC)cc1C ZINC000484181473 1048912880 /nfs/dbraw/zinc/91/28/80/1048912880.db2.gz SSLMIRXHQAKPBM-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN Clc1ccccc1CCNCc1ccccc1Br ZINC001177557234 1048915504 /nfs/dbraw/zinc/91/55/04/1048915504.db2.gz CBTXEGSRRVITDB-UHFFFAOYSA-N 0 3 324.649 4.435 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(F)cc1C)c1ccc(F)cc1F ZINC000775448030 1048916770 /nfs/dbraw/zinc/91/67/70/1048916770.db2.gz AWYYTHVMODTILO-ZWKOTPCHSA-N 0 3 323.358 4.187 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CCCC(C)(C)CC2)cc1 ZINC001191297232 1048917349 /nfs/dbraw/zinc/91/73/49/1048917349.db2.gz BWFXGYPBXBMAAM-UHFFFAOYSA-N 0 3 316.489 4.435 20 0 DIADHN C[C@@H](CNCc1nccn1C1CC1)c1c(Cl)cccc1Cl ZINC001177587122 1048920572 /nfs/dbraw/zinc/92/05/72/1048920572.db2.gz YCBXUBMWKLZEJT-NSHDSACASA-N 0 3 324.255 4.418 20 0 DIADHN CC[C@@H](NC[C@@H]1CCC[C@@H](CC)C1)c1nnc2n1CCCCC2 ZINC001177589996 1048920622 /nfs/dbraw/zinc/92/06/22/1048920622.db2.gz BOLNFEIFTJHDEZ-BRWVUGGUSA-N 0 3 318.509 4.262 20 0 DIADHN CC(C)C[C@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001191342996 1048921295 /nfs/dbraw/zinc/92/12/95/1048921295.db2.gz QUWFGKPKRLLNGL-ZIAGYGMSSA-N 0 3 308.853 4.035 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1nccn1CCc1ccccc1 ZINC000484296834 1048922267 /nfs/dbraw/zinc/92/22/67/1048922267.db2.gz RLYSXMOPFWFWFY-SFHVURJKSA-N 0 3 311.473 4.350 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCCOc3ccccc32)cc1F ZINC001177605034 1048924319 /nfs/dbraw/zinc/92/43/19/1048924319.db2.gz YAEXRAFEJBRARO-LKIHTYOGSA-N 0 3 315.363 4.482 20 0 DIADHN CCOc1ccccc1CN1CCC[C@H]1c1ccccc1OC ZINC001203541154 1048924912 /nfs/dbraw/zinc/92/49/12/1048924912.db2.gz POKWLHUQCAMPIG-SFHVURJKSA-N 0 3 311.425 4.431 20 0 DIADHN Cc1nc(CNCC(C)(C)c2ccccc2Cl)sc1C ZINC001177631747 1048925077 /nfs/dbraw/zinc/92/50/77/1048925077.db2.gz XKBJFLGODINALN-UHFFFAOYSA-N 0 3 308.878 4.481 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(CCSc2ccncc2)C1 ZINC001191319966 1048925963 /nfs/dbraw/zinc/92/59/63/1048925963.db2.gz UBFHLRWNLLBXTM-KSSFIOAISA-N 0 3 316.445 4.396 20 0 DIADHN Cc1cc(CN(C2CC2)C2CC(c3ccccc3C)C2)c(C)nn1 ZINC001191330111 1048927293 /nfs/dbraw/zinc/92/72/93/1048927293.db2.gz MSYOGMFOVINUGD-UHFFFAOYSA-N 0 3 321.468 4.312 20 0 DIADHN Cc1ccc(CNC[C@@H](O)c2ccc3ccccc3c2)c(F)c1 ZINC001177664213 1048929062 /nfs/dbraw/zinc/92/90/62/1048929062.db2.gz NNQADGVOVVUUKZ-HXUWFJFHSA-N 0 3 309.384 4.111 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3Cl)cnc1Cl ZINC001177646141 1048930230 /nfs/dbraw/zinc/93/02/30/1048930230.db2.gz GXCQQYRERBBGAL-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1cc2cc(CN[C@H](C)COCC(F)(F)F)oc2cc1C ZINC001177708206 1048933765 /nfs/dbraw/zinc/93/37/65/1048933765.db2.gz WATBEAYZJIMXCM-GFCCVEGCSA-N 0 3 315.335 4.107 20 0 DIADHN Cc1[nH]nc(CNCc2ccccc2Oc2ccc(C)cc2)c1C ZINC001177749571 1048938308 /nfs/dbraw/zinc/93/83/08/1048938308.db2.gz ZPNVBOAOPPJCLK-UHFFFAOYSA-N 0 3 321.424 4.417 20 0 DIADHN CC[C@@H]1CN([C@H](CC(C)C)C(=O)OC)CC[C@H]1c1ccccc1 ZINC001191453991 1048943020 /nfs/dbraw/zinc/94/30/20/1048943020.db2.gz KHXYSPLAXXDOPS-BHIYHBOVSA-N 0 3 317.473 4.090 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@](C)(CCc2ccccc2)C1 ZINC001191443667 1048946756 /nfs/dbraw/zinc/94/67/56/1048946756.db2.gz FEJKQGGUOAXGHC-YJBOKZPZSA-N 0 3 315.486 4.252 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2ccn(C)c2)cc1 ZINC000484499715 1048953902 /nfs/dbraw/zinc/95/39/02/1048953902.db2.gz SLMNCIAQYTVSRO-MRXNPFEDSA-N 0 3 300.446 4.445 20 0 DIADHN Clc1cccc(C2(NCc3cccc4nsnc43)CC2)c1 ZINC000775456334 1048955827 /nfs/dbraw/zinc/95/58/27/1048955827.db2.gz ZLITVBLOGLVFKZ-UHFFFAOYSA-N 0 3 315.829 4.124 20 0 DIADHN CCOc1cccc(F)c1CNCCc1ccc2ncccc2c1 ZINC001203676468 1048959550 /nfs/dbraw/zinc/95/95/50/1048959550.db2.gz NUEHLYASAZKFIN-UHFFFAOYSA-N 0 3 324.399 4.105 20 0 DIADHN CCCCn1nc(C)c(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)c1Cl ZINC001203692154 1048965024 /nfs/dbraw/zinc/96/50/24/1048965024.db2.gz UWBFAGCCDKHGOI-RRFJBIMHSA-N 0 3 309.885 4.171 20 0 DIADHN Clc1ccc2cccnc2c1CNCCNc1ccccc1 ZINC001177931357 1048965230 /nfs/dbraw/zinc/96/52/30/1048965230.db2.gz ADSAHKJLUWOKPS-UHFFFAOYSA-N 0 3 311.816 4.090 20 0 DIADHN CO[C@H](CC(C)C)CN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC001191610825 1048972310 /nfs/dbraw/zinc/97/23/10/1048972310.db2.gz RVZHOKSVJFZBJL-NVXWUHKLSA-N 0 3 316.445 4.416 20 0 DIADHN COC[C@H](C)N(Cc1cccc(OC)c1)Cc1ccccc1C ZINC001203709849 1048972852 /nfs/dbraw/zinc/97/28/52/1048972852.db2.gz QTRQKVDVIUMXIT-KRWDZBQOSA-N 0 3 313.441 4.041 20 0 DIADHN COc1ccc(CN2CCC(C(F)(F)F)CC2)c(C)c1C ZINC001203715299 1048975406 /nfs/dbraw/zinc/97/54/06/1048975406.db2.gz DWBKWWCZHCACGO-UHFFFAOYSA-N 0 3 301.352 4.086 20 0 DIADHN OC[C@@H](CCc1ccccc1)NCc1cccc(Cl)c1Cl ZINC001203722136 1048979668 /nfs/dbraw/zinc/97/96/68/1048979668.db2.gz WEKMJMHVVNYIDQ-OAHLLOKOSA-N 0 3 324.251 4.077 20 0 DIADHN Cc1cc(CNCc2c[nH]c(=O)c3ccccc23)ccc1Cl ZINC001203721371 1048979730 /nfs/dbraw/zinc/97/97/30/1048979730.db2.gz KUYYILALQMVHRJ-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN CC(C)(C)OC(=O)CC1CCN(Cc2cccc(Cl)c2)CC1 ZINC001203801371 1049000422 /nfs/dbraw/zinc/00/04/22/1049000422.db2.gz QRLQZVFYYJLGFD-UHFFFAOYSA-N 0 3 323.864 4.284 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1cc(C)ccc1C ZINC001191789767 1049000629 /nfs/dbraw/zinc/00/06/29/1049000629.db2.gz MTXLWYPZYCYUSD-AEFFLSMTSA-N 0 3 302.462 4.143 20 0 DIADHN c1coc(-c2nnc(CN[C@@H]3CCCC[C@@H]3c3ccccc3)o2)c1 ZINC000484646217 1049000477 /nfs/dbraw/zinc/00/04/77/1049000477.db2.gz ICEXWDCRVHVIJG-HZPDHXFCSA-N 0 3 323.396 4.146 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CNc1cc(C)cc(C)n1 ZINC001178244041 1049001830 /nfs/dbraw/zinc/00/18/30/1049001830.db2.gz HQZKQWWRMCDVBT-UHFFFAOYSA-N 0 3 306.413 4.113 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@H]4CCCO[C@H]4C3)c2)cc1 ZINC001203811988 1049006383 /nfs/dbraw/zinc/00/63/83/1049006383.db2.gz ZYTCRMLBGGVGEV-NQIIRXRSSA-N 0 3 323.436 4.480 20 0 DIADHN COc1cccc(CN2CCC[C@H](Oc3ccccc3C)C2)c1 ZINC001203822465 1049010003 /nfs/dbraw/zinc/01/00/03/1049010003.db2.gz RBMFQJMMIHTMTE-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN [O-]c1cccc(Nc2ccc(C[NH+]3CCCC3)cc2)c1Cl ZINC001203817056 1049010380 /nfs/dbraw/zinc/01/03/80/1049010380.db2.gz WSWJISPPYKNSLI-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN CCC1(CC)[C@H](NCc2nc3ccc(F)cc3s2)C[C@H]1OC ZINC001178284792 1049012827 /nfs/dbraw/zinc/01/28/27/1049012827.db2.gz BJTNNDACLSJTOF-HUUCEWRRSA-N 0 3 322.449 4.119 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(C)cc2)cc1OC ZINC001203838391 1049013964 /nfs/dbraw/zinc/01/39/64/1049013964.db2.gz VGHQWZIEUKGPGN-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CC(C)(CNCc1nc2cc(Br)ccc2o1)C1CC1 ZINC001203855426 1049017064 /nfs/dbraw/zinc/01/70/64/1049017064.db2.gz CIFSZDSTZNTONY-UHFFFAOYSA-N 0 3 323.234 4.116 20 0 DIADHN COc1ccc(CN(Cc2cccc(C)c2C)C2CC2)cc1O ZINC001203871102 1049018634 /nfs/dbraw/zinc/01/86/34/1049018634.db2.gz OKOTYEJXQARFSF-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cc(C)cc(C)c2)cc1O ZINC001203871574 1049019025 /nfs/dbraw/zinc/01/90/25/1049019025.db2.gz UZACBBFCZXKENJ-SFHVURJKSA-N 0 3 311.425 4.355 20 0 DIADHN Fc1ccc(CNC[C@H]2CC[C@H](C3CC3)O2)c(Cl)c1Cl ZINC001203873079 1049020448 /nfs/dbraw/zinc/02/04/48/1049020448.db2.gz WRDHUMKNQZRVMR-DGCLKSJQSA-N 0 3 318.219 4.180 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@@H]2Cc2ccccn2)c1 ZINC001203886200 1049023529 /nfs/dbraw/zinc/02/35/29/1049023529.db2.gz RYRORWHQZRKRHF-QGZVFWFLSA-N 0 3 320.358 4.308 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cccc(Cl)n2)C1(C)C ZINC001191988044 1049023960 /nfs/dbraw/zinc/02/39/60/1049023960.db2.gz VLIOLUIGLXSHSJ-HUUCEWRRSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1cccc(CN2CCC3(CC(=O)c4ccccc43)CC2)c1 ZINC001203894636 1049024886 /nfs/dbraw/zinc/02/48/86/1049024886.db2.gz TWHMBKDETMCTKJ-UHFFFAOYSA-N 0 3 305.421 4.115 20 0 DIADHN Cc1cccc(CN2CC3(CC(OCc4ccccc4)C3)C2)c1 ZINC001203893092 1049025860 /nfs/dbraw/zinc/02/58/60/1049025860.db2.gz UQAUTIVWQYUYOE-UHFFFAOYSA-N 0 3 307.437 4.176 20 0 DIADHN CC[C@H](NCc1ccc([S@](C)=O)cc1)c1ccc(C)c(F)c1 ZINC001178359471 1049026785 /nfs/dbraw/zinc/02/67/85/1049026785.db2.gz CXPUJWLRABCCQU-AVRDEDQJSA-N 0 3 319.445 4.112 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2CC3(CO2)CCCCC3)sc1C ZINC001191977681 1049027434 /nfs/dbraw/zinc/02/74/34/1049027434.db2.gz PHPFPRJUFSKWMR-OAHLLOKOSA-N 0 3 322.518 4.324 20 0 DIADHN Cc1ccc(OC[C@H](C)N[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000775497839 1049032640 /nfs/dbraw/zinc/03/26/40/1049032640.db2.gz WHFMFFHNMRAMOO-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](CNCc1ncc(-c2ccccc2)s1)c1nccs1 ZINC001178449704 1049033583 /nfs/dbraw/zinc/03/35/83/1049033583.db2.gz VNQSBMHEMGEINK-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN Cc1cccnc1[C@H](NCc1c[nH]c(=O)c2ccccc12)C(C)C ZINC001192032566 1049034612 /nfs/dbraw/zinc/03/46/12/1049034612.db2.gz TWDBUNVFLFBTAQ-GOSISDBHSA-N 0 3 321.424 4.131 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2cccc(OC(C)(C)C)c2)C1 ZINC001178426057 1049036253 /nfs/dbraw/zinc/03/62/53/1049036253.db2.gz QQOREAQWMQPRHU-HXUWFJFHSA-N 0 3 324.468 4.140 20 0 DIADHN c1ccc(Oc2ccc(CN3CC4(C3)CCOCC4)cc2)cc1 ZINC001203946825 1049041762 /nfs/dbraw/zinc/04/17/62/1049041762.db2.gz OUMHUHYRHUKYEC-UHFFFAOYSA-N 0 3 309.409 4.091 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc(OC)cc2)cc1 ZINC001203956268 1049042561 /nfs/dbraw/zinc/04/25/61/1049042561.db2.gz MUUXQYHCVMDXFU-HXUWFJFHSA-N 0 3 311.425 4.431 20 0 DIADHN COc1ccc(CN2CCC(c3ccc(F)cc3F)CC2)cc1 ZINC001203958171 1049043076 /nfs/dbraw/zinc/04/30/76/1049043076.db2.gz MNFQKBFNCUMVAE-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccc(CN2CCC(Oc3ccccc3C)CC2)cc1 ZINC001203954313 1049043580 /nfs/dbraw/zinc/04/35/80/1049043580.db2.gz CUWLLNHGMZPSQS-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001192158740 1049045930 /nfs/dbraw/zinc/04/59/30/1049045930.db2.gz LPGQPFYSYAZJSX-AWEZNQCLSA-N 0 3 317.408 4.276 20 0 DIADHN CC[C@H](NCc1cncc(Br)c1)c1ccc(C)cc1 ZINC000163840572 1049046047 /nfs/dbraw/zinc/04/60/47/1049046047.db2.gz ZHNYBWPZALVHEE-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN CN(CCOC(C)(C)C)CCSc1ccc(Cl)cc1 ZINC001192201842 1049051387 /nfs/dbraw/zinc/05/13/87/1049051387.db2.gz YEEUFJGKFKPXBR-UHFFFAOYSA-N 0 3 301.883 4.179 20 0 DIADHN Cc1cc(CN(C)CCOC(C)(C)C)ccc1Br ZINC001192198533 1049053242 /nfs/dbraw/zinc/05/32/42/1049053242.db2.gz KICVESFCPJIQKH-UHFFFAOYSA-N 0 3 314.267 4.004 20 0 DIADHN CC[C@@H](NCc1cc(Cl)c(OC(C)C)cc1Cl)[C@@H](C)O ZINC001178709238 1049079692 /nfs/dbraw/zinc/07/96/92/1049079692.db2.gz DNJGWARCODCWPV-QMTHXVAHSA-N 0 3 320.260 4.030 20 0 DIADHN CCc1nc(C2CCN(Cc3ccc4ccccc4c3)CC2)no1 ZINC001203999600 1049058780 /nfs/dbraw/zinc/05/87/80/1049058780.db2.gz LTLQAZHUWVLZHM-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1ccc(-c2ccccc2F)o1 ZINC001178571337 1049062784 /nfs/dbraw/zinc/06/27/84/1049062784.db2.gz OPIPAMNJCQKCBL-AWEZNQCLSA-N 0 3 319.420 4.363 20 0 DIADHN c1cc(CN2CCC[C@]3(C[C@@H](c4ccccc4)CO3)C2)cs1 ZINC001204036168 1049068268 /nfs/dbraw/zinc/06/82/68/1049068268.db2.gz OUIPCOJHGOBKMX-MOPGFXCFSA-N 0 3 313.466 4.287 20 0 DIADHN c1[nH]c2ccccc2c1CN1CCSC[C@H]1c1ccccc1 ZINC001204041874 1049068402 /nfs/dbraw/zinc/06/84/02/1049068402.db2.gz DTJZDHWQSRRXHA-IBGZPJMESA-N 0 3 308.450 4.458 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(OCc3ccccc3)c2)C[C@H]1C ZINC001192262286 1049070818 /nfs/dbraw/zinc/07/08/18/1049070818.db2.gz SWYPDNRNFPQUKF-IAGOWNOFSA-N 0 3 310.441 4.139 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2c[nH]c3ccccc23)CC1 ZINC001204043066 1049071285 /nfs/dbraw/zinc/07/12/85/1049071285.db2.gz LGNAUDZIFTYURE-QGZVFWFLSA-N 0 3 319.452 4.047 20 0 DIADHN CCN1CCN([C@H](C)c2ccc(Cl)c(Cl)c2)C[C@@H]1C ZINC001192271331 1049071422 /nfs/dbraw/zinc/07/14/22/1049071422.db2.gz GKSBSZTWHCFRIS-NWDGAFQWSA-N 0 3 301.261 4.080 20 0 DIADHN CC(C)SCCNCc1c(Cl)cc(Cl)cc1N(C)C ZINC001178668287 1049072804 /nfs/dbraw/zinc/07/28/04/1049072804.db2.gz SOHQTZCKGCMQTN-UHFFFAOYSA-N 0 3 321.317 4.291 20 0 DIADHN CCCN(Cc1cccc(Br)c1)Cc1ccccn1 ZINC001204060124 1049072903 /nfs/dbraw/zinc/07/29/03/1049072903.db2.gz SZLCCEPVJHYQQO-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2ccc3c(c2)OCO3)C1 ZINC001204052940 1049074207 /nfs/dbraw/zinc/07/42/07/1049074207.db2.gz CAFPRKMWQSNXTF-CQSZACIVSA-N 0 3 315.800 4.058 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3ccc(F)c(F)c3F)C2)c1 ZINC001178723132 1049074736 /nfs/dbraw/zinc/07/47/36/1049074736.db2.gz DEUGZLFTIIWFRG-HDJSIYSDSA-N 0 3 321.342 4.148 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3ccc4c(c3)OCO4)C2)c1 ZINC001204054913 1049074898 /nfs/dbraw/zinc/07/48/98/1049074898.db2.gz PYNWXVLVCNXADQ-HNNXBMFYSA-N 0 3 315.800 4.058 20 0 DIADHN CCc1nnc(CNC[C@H]2CCCC[C@@H]2c2ccccc2)s1 ZINC001178723143 1049075040 /nfs/dbraw/zinc/07/50/40/1049075040.db2.gz DKMUDCBGZQTSDS-HZPDHXFCSA-N 0 3 315.486 4.164 20 0 DIADHN Cc1cccc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)n1 ZINC001204067792 1049075518 /nfs/dbraw/zinc/07/55/18/1049075518.db2.gz LLQWHWAFOLDKEJ-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN CC[C@@H](CNCc1ccn(Cc2ccccc2)n1)c1ccccc1 ZINC001178695166 1049076486 /nfs/dbraw/zinc/07/64/86/1049076486.db2.gz VWVKREHEMFQFSW-IBGZPJMESA-N 0 3 319.452 4.215 20 0 DIADHN C[C@@H]1Cc2ccc(Br)cc2CN1CC1CC=CC1 ZINC001192326733 1049079671 /nfs/dbraw/zinc/07/96/71/1049079671.db2.gz XMLJEUXRSRHIBI-GFCCVEGCSA-N 0 3 306.247 4.162 20 0 DIADHN CC(=O)c1ccncc1Nc1cccc(CN2CCCCC2)c1 ZINC001204088303 1049080974 /nfs/dbraw/zinc/08/09/74/1049080974.db2.gz ZZQFUTYBVKSIKH-UHFFFAOYSA-N 0 3 309.413 4.014 20 0 DIADHN Oc1ccc(Br)c(CNC[C@@H]2C[C@H]2C2CCCC2)c1 ZINC001204089376 1049082221 /nfs/dbraw/zinc/08/22/21/1049082221.db2.gz MLKAUBCOLRZETK-WFASDCNBSA-N 0 3 324.262 4.071 20 0 DIADHN CC1(C)CCC2(CCN(Cc3ccnc4ccccc34)CC2)O1 ZINC001204090213 1049082382 /nfs/dbraw/zinc/08/23/82/1049082382.db2.gz ABBRHEHVEOPZBY-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cccc(C(F)(F)F)n1 ZINC001178759077 1049084749 /nfs/dbraw/zinc/08/47/49/1049084749.db2.gz TZGSTCBHQHGIJI-JLHYYAGUSA-N 0 3 306.331 4.294 20 0 DIADHN C[C@@H](NCc1ccc2[nH]ccc2c1)c1cc(F)ccc1N(C)C ZINC001204111068 1049086383 /nfs/dbraw/zinc/08/63/83/1049086383.db2.gz NIBWXQOWHFGDSG-CYBMUJFWSA-N 0 3 311.404 4.224 20 0 DIADHN Fc1cccc(CNC2(Cc3cccc(Cl)c3)CC2)c1F ZINC001178728050 1049087047 /nfs/dbraw/zinc/08/70/47/1049087047.db2.gz WBMLJUPELLTCSL-UHFFFAOYSA-N 0 3 307.771 4.483 20 0 DIADHN c1cc(C2CCN(Cc3cccc4nsnc43)CC2)cs1 ZINC000776883521 1049088518 /nfs/dbraw/zinc/08/85/18/1049088518.db2.gz UCFBSVKIVWUARG-UHFFFAOYSA-N 0 3 315.467 4.132 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H](CO)c2ccc(F)cc2)c(C)c1 ZINC001178791215 1049088609 /nfs/dbraw/zinc/08/86/09/1049088609.db2.gz TZZNSPWLGZLNSZ-DPZZJQGRSA-N 0 3 313.416 4.087 20 0 DIADHN CC/C=C/CNCc1cn(Cc2ccccc2)nc1C(C)(C)C ZINC001178800833 1049090732 /nfs/dbraw/zinc/09/07/32/1049090732.db2.gz KRTWEJICPIBBLF-UXBLZVDNSA-N 0 3 311.473 4.285 20 0 DIADHN Cc1ccc(CN2CCC[C@@]3(C[C@@H](c4ccccc4)CO3)C2)o1 ZINC001203292735 1049091135 /nfs/dbraw/zinc/09/11/35/1049091135.db2.gz WIFWBJRKKGQPNE-UYAOXDASSA-N 0 3 311.425 4.127 20 0 DIADHN C[C@H](NCC1(O)CCCCC1)c1cc(Cl)ccc1Cl ZINC000078515266 1049092353 /nfs/dbraw/zinc/09/23/53/1049092353.db2.gz GXTNNHVYRUQTNA-NSHDSACASA-N 0 3 302.245 4.339 20 0 DIADHN CCOC(OCC)[C@@H](C)NC/C=C\c1c(C)cc(C)cc1C ZINC001204135854 1049092281 /nfs/dbraw/zinc/09/22/81/1049092281.db2.gz IQCSXWNWUSHSJX-DOOKAGJSSA-N 0 3 305.462 4.002 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2CC(=O)OC(C)(C)C)cc1 ZINC001204141137 1049092987 /nfs/dbraw/zinc/09/29/87/1049092987.db2.gz IPDSRMBMDZZCQD-OAHLLOKOSA-N 0 3 321.486 4.105 20 0 DIADHN COC1(CNCc2cc3cccc(C)c3nc2Cl)CCCC1 ZINC001178823549 1049095058 /nfs/dbraw/zinc/09/50/58/1049095058.db2.gz GCXBORALGRFERL-UHFFFAOYSA-N 0 3 318.848 4.245 20 0 DIADHN Cc1ccnc(CN[C@@]2(C)CCCC[C@H]2C)c1Br ZINC001178868891 1049102671 /nfs/dbraw/zinc/10/26/71/1049102671.db2.gz YLJDWWQCUSGDRB-DOMZBBRYSA-N 0 3 311.267 4.211 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3ccnn3C(C)C)C2)cc1 ZINC001204170585 1049102928 /nfs/dbraw/zinc/10/29/28/1049102928.db2.gz KYYAEANRIQUPKM-LJQANCHMSA-N 0 3 311.473 4.227 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)C[C@H]1Cc2ccccc2O1 ZINC001204164897 1049103161 /nfs/dbraw/zinc/10/31/61/1049103161.db2.gz FVDVWWIBEZATHN-MRXNPFEDSA-N 0 3 321.342 4.141 20 0 DIADHN COC(=O)[C@H]1CCN(Cc2ccc(C)cc2)[C@@H](c2ccccc2)C1 ZINC001204175381 1049104370 /nfs/dbraw/zinc/10/43/70/1049104370.db2.gz WEEBEINJRDAOLR-VQTJNVASSA-N 0 3 323.436 4.121 20 0 DIADHN Cc1ccc(CN2CCC3(CC(=O)c4ccccc43)CC2)cc1 ZINC001204175635 1049104488 /nfs/dbraw/zinc/10/44/88/1049104488.db2.gz XVTBQFHFIUFGQB-UHFFFAOYSA-N 0 3 305.421 4.115 20 0 DIADHN C[C@H]1CC[C@@H](CNCc2cc(Cl)ccc2OC2CCCC2)O1 ZINC001178881219 1049105250 /nfs/dbraw/zinc/10/52/50/1049105250.db2.gz AYUSEDCQIHEBOZ-GUYCJALGSA-N 0 3 323.864 4.319 20 0 DIADHN CC(C)C[C@@H](C)Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904620250 1049106307 /nfs/dbraw/zinc/10/63/07/1049106307.db2.gz DJERDJQMXPJGQM-CQSZACIVSA-N 0 3 301.434 4.023 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@H]2CC2(Cl)Cl)s1 ZINC000856804194 1049106675 /nfs/dbraw/zinc/10/66/75/1049106675.db2.gz AIGGENWQKWKJIH-VIFPVBQESA-N 0 3 307.290 4.114 20 0 DIADHN CN(C)Cc1ccc(-c2noc(CC3CCCCCC3)n2)cc1 ZINC000904621221 1049106534 /nfs/dbraw/zinc/10/65/34/1049106534.db2.gz RZAVSHVVJYBYMG-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN CN(C)Cc1ccc(-c2noc(CCC3CCCCC3)n2)cc1 ZINC000904620021 1049106915 /nfs/dbraw/zinc/10/69/15/1049106915.db2.gz CHJQNTLWICFPFL-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN CCOc1cc(CN2CCCc3ccccc3C2)ccc1OC ZINC001204191131 1049106919 /nfs/dbraw/zinc/10/69/19/1049106919.db2.gz OVUOWWAEGWQLRI-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN CN(C)Cc1ccc(-c2noc(CC3(C)CCCCC3)n2)cc1 ZINC000904622278 1049108334 /nfs/dbraw/zinc/10/83/34/1049108334.db2.gz FVWLBVCIZGVIGM-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN C[C@@H]1CCCC[C@H]1Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904621360 1049108419 /nfs/dbraw/zinc/10/84/19/1049108419.db2.gz VCYHFKROWYMSJQ-PBHICJAKSA-N 0 3 313.445 4.167 20 0 DIADHN C[C@H]1CCCC[C@H]1Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904621363 1049108663 /nfs/dbraw/zinc/10/86/63/1049108663.db2.gz VCYHFKROWYMSJQ-YOEHRIQHSA-N 0 3 313.445 4.167 20 0 DIADHN Cc1cc(CNc2ccc3[nH]c(CC4CCCCC4)nc3c2)n[nH]1 ZINC001178909046 1049110005 /nfs/dbraw/zinc/11/00/05/1049110005.db2.gz RQWXPCFVUOEQRQ-UHFFFAOYSA-N 0 3 323.444 4.329 20 0 DIADHN Fc1ccc(-c2ccc(CNCc3ccc4cncn4c3)o2)cc1 ZINC001178917262 1049110146 /nfs/dbraw/zinc/11/01/46/1049110146.db2.gz WYXZCIGDMXXNHJ-UHFFFAOYSA-N 0 3 321.355 4.023 20 0 DIADHN CCc1ccc(CN2CCC[C@H](N(C)c3ccc(C)cc3)C2)nc1 ZINC001192490838 1049111378 /nfs/dbraw/zinc/11/13/78/1049111378.db2.gz UBUWYLHUXJHGTH-NRFANRHFSA-N 0 3 323.484 4.053 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@@H]1c1cccs1)N1CCCCCCC1 ZINC000856885000 1049112546 /nfs/dbraw/zinc/11/25/46/1049112546.db2.gz OBHAVQQXSWDRMA-JKSUJKDBSA-N 0 3 320.502 4.066 20 0 DIADHN FC(F)(F)c1nc(CNC[C@H]2CCC[C@H]2C2CC2)cs1 ZINC001178950105 1049112852 /nfs/dbraw/zinc/11/28/52/1049112852.db2.gz LDBFNXBTEVQAOB-PWSUYJOCSA-N 0 3 304.381 4.078 20 0 DIADHN CC[C@@H](CNCc1c(Cl)cncc1Cl)CC(F)(F)F ZINC001178967033 1049115725 /nfs/dbraw/zinc/11/57/25/1049115725.db2.gz XGTWJENNLMAQPM-MRVPVSSYSA-N 0 3 315.166 4.457 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3CCC=CCCC3)n2)cc1 ZINC000904626533 1049116342 /nfs/dbraw/zinc/11/63/42/1049116342.db2.gz YRRYKMFUIBDUMR-QGZVFWFLSA-N 0 3 311.429 4.402 20 0 DIADHN CC[C@@H](N[C@H](c1ccccc1)C1CC1)C(=O)Nc1ccc(C)cc1 ZINC001192584857 1049118168 /nfs/dbraw/zinc/11/81/68/1049118168.db2.gz LMXUIEQEKAKSBP-WOJBJXKFSA-N 0 3 322.452 4.453 20 0 DIADHN CCCC[C@@](C)(CO)NCc1cc2cccc(C)c2nc1Cl ZINC001179024320 1049119281 /nfs/dbraw/zinc/11/92/81/1049119281.db2.gz GZVNEIQMSFWGLO-SFHVURJKSA-N 0 3 320.864 4.227 20 0 DIADHN COCCC1(CN[C@@H]2C[C@]2(F)c2ccccc2)CCCCC1 ZINC001178999125 1049120659 /nfs/dbraw/zinc/12/06/59/1049120659.db2.gz XTNKRQXAAASKQP-MJGOQNOKSA-N 0 3 305.437 4.200 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@@H]1C[C@@]1(C)Br ZINC001204271006 1049126408 /nfs/dbraw/zinc/12/64/08/1049126408.db2.gz UZPPAMWOZKIZOP-GXTWGEPZSA-N 0 3 316.670 4.335 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001192646045 1049126660 /nfs/dbraw/zinc/12/66/60/1049126660.db2.gz ZEYYKUBDLXNKJP-BBRMVZONSA-N 0 3 303.837 4.289 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@H](c1ccc(F)cc1F)C(C)C ZINC000775542096 1049128516 /nfs/dbraw/zinc/12/85/16/1049128516.db2.gz HWNBHGRHWBCFQN-OALUTQOASA-N 0 3 319.395 4.294 20 0 DIADHN CC[C@H](NCc1cc(F)ccc1C)c1ccc2c(c1)OCCO2 ZINC001192681049 1049128636 /nfs/dbraw/zinc/12/86/36/1049128636.db2.gz CMWZIODBBYQZSA-KRWDZBQOSA-N 0 3 315.388 4.146 20 0 DIADHN Cc1ccc(C2(NCc3ccnn3CC3CCC3)CCC2)cc1 ZINC001179081433 1049129068 /nfs/dbraw/zinc/12/90/68/1049129068.db2.gz IJJIVVYLBZIPHJ-UHFFFAOYSA-N 0 3 309.457 4.161 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541556 1049130366 /nfs/dbraw/zinc/13/03/66/1049130366.db2.gz BBLPSVHSVHBNNI-QZTJIDSGSA-N 0 3 323.358 4.268 20 0 DIADHN CC(C)(C)[C@@H](NC[C@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775542677 1049131192 /nfs/dbraw/zinc/13/11/92/1049131192.db2.gz NTGDZPBAKNHPII-ROUUACIJSA-N 0 3 319.395 4.375 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC[C@@]12CCOC2 ZINC001204294193 1049131968 /nfs/dbraw/zinc/13/19/68/1049131968.db2.gz OHJMJBJJDMTCRD-FQEVSTJZSA-N 0 3 317.473 4.401 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCC(=O)[C@@H](C)C12CCCC2 ZINC001204298369 1049132146 /nfs/dbraw/zinc/13/21/46/1049132146.db2.gz QUAHANGFTRYAFM-CQSZACIVSA-N 0 3 310.441 4.200 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(Cl)c(F)c2)cc1 ZINC001192730435 1049132257 /nfs/dbraw/zinc/13/22/57/1049132257.db2.gz IBWAODACSXKWLS-GFCCVEGCSA-N 0 3 320.795 4.077 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(C)cc1)c1cccc(Cl)c1 ZINC001192744636 1049134110 /nfs/dbraw/zinc/13/41/10/1049134110.db2.gz GFRJWDOANKQODE-QGZVFWFLSA-N 0 3 316.832 4.328 20 0 DIADHN CC[C@H]1CCCCCN1Cc1cncc(Br)c1C ZINC001204299019 1049134222 /nfs/dbraw/zinc/13/42/22/1049134222.db2.gz MKWNTAHDHZJGNV-AWEZNQCLSA-N 0 3 311.267 4.307 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775543811 1049134237 /nfs/dbraw/zinc/13/42/37/1049134237.db2.gz YQIMLXYRFRGMTE-MSOLQXFVSA-N 0 3 305.368 4.129 20 0 DIADHN C[C@H](NC[C@@H]1CCCC(C)(C)O1)c1ccccc1OC(F)F ZINC001192747655 1049134290 /nfs/dbraw/zinc/13/42/90/1049134290.db2.gz WIEIXLNVPBIFHA-STQMWFEESA-N 0 3 313.388 4.286 20 0 DIADHN COc1ccnc(CNC2CCC3(CCCCC3)CC2)c1F ZINC001179183304 1049134921 /nfs/dbraw/zinc/13/49/21/1049134921.db2.gz GQDSHWFWXYPGKP-UHFFFAOYSA-N 0 3 306.425 4.212 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001179138709 1049135116 /nfs/dbraw/zinc/13/51/16/1049135116.db2.gz CVVIKACQCUVJIM-LLVKDONJSA-N 0 3 317.399 4.153 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)c1 ZINC001179159107 1049138016 /nfs/dbraw/zinc/13/80/16/1049138016.db2.gz ISALFJQHFBFWKB-IAGOWNOFSA-N 0 3 321.436 4.050 20 0 DIADHN Cn1cc(CN2CCC3(CCC(C)(C)O3)CC2)c2ccccc21 ZINC001204319637 1049140761 /nfs/dbraw/zinc/14/07/61/1049140761.db2.gz YNSOVEGXDBNRCW-UHFFFAOYSA-N 0 3 312.457 4.102 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC[C@@H]1CCCC1(C)C ZINC001179215321 1049141515 /nfs/dbraw/zinc/14/15/15/1049141515.db2.gz AURFEUHTCVRHNG-ZDUSSCGKSA-N 0 3 309.425 4.149 20 0 DIADHN COc1cc(CNCc2ccccc2CC(C)C)cc(OC)c1 ZINC001179222667 1049142835 /nfs/dbraw/zinc/14/28/35/1049142835.db2.gz MUNYLJUFTRUBMF-UHFFFAOYSA-N 0 3 313.441 4.192 20 0 DIADHN Fc1cccc(CN(Cc2ccc(-n3cccn3)cc2)C2CC2)c1 ZINC000339004470 1049145144 /nfs/dbraw/zinc/14/51/44/1049145144.db2.gz XDRVBFRBIAFWFK-UHFFFAOYSA-N 0 3 321.399 4.176 20 0 DIADHN FC[C@H]1CCCN(Cc2ccccc2OCc2ccccc2)C1 ZINC001204332054 1049145120 /nfs/dbraw/zinc/14/51/20/1049145120.db2.gz UGKCKZLOBUPHEK-GOSISDBHSA-N 0 3 313.416 4.447 20 0 DIADHN C[C@@H](O)[C@@H](NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001179252398 1049147626 /nfs/dbraw/zinc/14/76/26/1049147626.db2.gz HQQDYZLBBSLLSP-IIBYNOLFSA-N 0 3 309.453 4.241 20 0 DIADHN CC[C@]1(C)CCCN(Cc2ccnn2CCc2ccccc2)C1 ZINC001204347730 1049147566 /nfs/dbraw/zinc/14/75/66/1049147566.db2.gz LIZKOUHVLNFYRL-HXUWFJFHSA-N 0 3 311.473 4.138 20 0 DIADHN Cc1cccn2c(CN[C@@]3(c4ccccc4)CC3(C)C)cnc12 ZINC001179248760 1049147701 /nfs/dbraw/zinc/14/77/01/1049147701.db2.gz WLAWOEIXFVGJBJ-HXUWFJFHSA-N 0 3 305.425 4.058 20 0 DIADHN COc1ccc(CNC[C@H](OC)C2CCCCC2)c(Cl)c1 ZINC001179248223 1049147897 /nfs/dbraw/zinc/14/78/97/1049147897.db2.gz PJRITORZBOGITJ-KRWDZBQOSA-N 0 3 311.853 4.034 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@]2(c3ccccc3)CC2(C)C)cn1 ZINC001179248468 1049148017 /nfs/dbraw/zinc/14/80/17/1049148017.db2.gz SNZAJIVIYOVRPY-NRFANRHFSA-N 0 3 323.484 4.341 20 0 DIADHN Fc1cccc(CCCN2CCc3ccc(Cl)cc3C2)c1 ZINC001192919555 1049148866 /nfs/dbraw/zinc/14/88/66/1049148866.db2.gz WBGITVGYYLDXKL-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN Clc1cc(CN[C@@H](C2CCC2)[C@H]2CCCO2)c(Cl)s1 ZINC001179266093 1049149435 /nfs/dbraw/zinc/14/94/35/1049149435.db2.gz ISOMAHWYRCNRNW-YPMHNXCESA-N 0 3 320.285 4.492 20 0 DIADHN CCCCCCOc1ccc(CN2CC[C@@H](C(C)=O)C2)cc1 ZINC001204366999 1049150911 /nfs/dbraw/zinc/15/09/11/1049150911.db2.gz UCOFWKYTHSKMHH-GOSISDBHSA-N 0 3 303.446 4.057 20 0 DIADHN CCNc1ccccc1CNCc1ccnc(-c2ccccc2)c1 ZINC001179304170 1049150978 /nfs/dbraw/zinc/15/09/78/1049150978.db2.gz HQNMZHKAPRAMOC-UHFFFAOYSA-N 0 3 317.436 4.470 20 0 DIADHN CCOc1cc(CN[C@@H]2CCCC[C@@H]2F)ccc1OC(F)F ZINC001179304649 1049153371 /nfs/dbraw/zinc/15/33/71/1049153371.db2.gz PPYVDEUXYPOKAM-QWHCGFSZSA-N 0 3 317.351 4.057 20 0 DIADHN CC(C)Oc1cccc(CN(C)C[C@@]2(C)CC2(Cl)Cl)c1 ZINC001192956487 1049153575 /nfs/dbraw/zinc/15/35/75/1049153575.db2.gz TYNCFIRAFVOYTP-OAHLLOKOSA-N 0 3 316.272 4.490 20 0 DIADHN OCC[C@H](NC/C=C/c1ccccc1)c1ccc(Cl)c(F)c1 ZINC001179353711 1049154645 /nfs/dbraw/zinc/15/46/45/1049154645.db2.gz VBLLKVFYQQVJPY-UKTKYZJWSA-N 0 3 319.807 4.206 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@H]3c3cn(C)nc3C)oc21 ZINC001204401377 1049155485 /nfs/dbraw/zinc/15/54/85/1049155485.db2.gz JNBFYBMJJLVFBW-IBGZPJMESA-N 0 3 323.440 4.374 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(Oc3cccnc3)CC2)cc1 ZINC001204397346 1049156009 /nfs/dbraw/zinc/15/60/09/1049156009.db2.gz IYWWXDITBQRQSR-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@@H]1CCN1C1CCCC1 ZINC001179315699 1049156247 /nfs/dbraw/zinc/15/62/47/1049156247.db2.gz NEQLNWKYOODLDJ-ZDUSSCGKSA-N 0 3 313.272 4.100 20 0 DIADHN CC[C@]1(C)COCCN1CCCSc1ccccc1Cl ZINC001192929704 1049156226 /nfs/dbraw/zinc/15/62/26/1049156226.db2.gz OZDNSHFRBGCXLD-MRXNPFEDSA-N 0 3 313.894 4.323 20 0 DIADHN CC(C)CCN(Cc1cnn(Cc2ccc(F)cc2)c1)C1CC1 ZINC001204412199 1049156876 /nfs/dbraw/zinc/15/68/76/1049156876.db2.gz WZEQUVSWNJSCMU-UHFFFAOYSA-N 0 3 315.436 4.081 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1Cc2ccccc2C(C)(C)C1 ZINC001192938062 1049156934 /nfs/dbraw/zinc/15/69/34/1049156934.db2.gz CRPVCYFYWMUAED-LPHOPBHVSA-N 0 3 301.474 4.375 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1Cc2ccccc2C(C)(C)C1 ZINC001192938064 1049157051 /nfs/dbraw/zinc/15/70/51/1049157051.db2.gz CRPVCYFYWMUAED-VQIMIIECSA-N 0 3 301.474 4.375 20 0 DIADHN C[C@@H](c1ccco1)N(C)C[C@H](O)c1cccc(C(F)(F)F)c1 ZINC000069066311 1049158260 /nfs/dbraw/zinc/15/82/60/1049158260.db2.gz XWGQMSGXGPUODZ-FZMZJTMJSA-N 0 3 313.319 4.025 20 0 DIADHN CC[C@](C)(NCc1ccc(OC)cc1OC)c1ccc(F)cc1 ZINC001179339081 1049158282 /nfs/dbraw/zinc/15/82/82/1049158282.db2.gz UCOPDYPCEVJNBM-IBGZPJMESA-N 0 3 317.404 4.258 20 0 DIADHN FC(F)(F)Oc1cccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)c1 ZINC001204428001 1049159368 /nfs/dbraw/zinc/15/93/68/1049159368.db2.gz AUXIELCNQMERHQ-TXEJJXNPSA-N 0 3 321.289 4.062 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CC(c3ccccc3)C2)cc1 ZINC001204415839 1049160271 /nfs/dbraw/zinc/16/02/71/1049160271.db2.gz YGNCJBZLWIXOEO-UHFFFAOYSA-N 0 3 307.315 4.185 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001193003201 1049160327 /nfs/dbraw/zinc/16/03/27/1049160327.db2.gz PNCMPEUMUYBIBK-SCLBCKFNSA-N 0 3 321.823 4.076 20 0 DIADHN CC1(C)CN(Cc2cccc(OC(F)(F)F)c2)CCC1(F)F ZINC001204428743 1049162101 /nfs/dbraw/zinc/16/21/01/1049162101.db2.gz NVGHPFZOIDNCFS-UHFFFAOYSA-N 0 3 323.305 4.452 20 0 DIADHN COC(=O)/C(C)=C\CN1CCCC[C@H]1c1ccc(C(C)C)cc1 ZINC001192974849 1049162492 /nfs/dbraw/zinc/16/24/92/1049162492.db2.gz AVAAQAVOOXOIFG-UIRWJGRMSA-N 0 3 315.457 4.456 20 0 DIADHN C[C@@H]1CN(CCCCc2cccc(C(F)(F)F)c2)[C@@H](C)CO1 ZINC001204430350 1049162525 /nfs/dbraw/zinc/16/25/25/1049162525.db2.gz HWSLMAGPLHENHJ-UONOGXRCSA-N 0 3 315.379 4.137 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@@H]1C[C@H]1C1CCOCC1 ZINC001179386528 1049162512 /nfs/dbraw/zinc/16/25/12/1049162512.db2.gz MVKOBEHXSSNBRW-STQMWFEESA-N 0 3 314.256 4.146 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@H]2CCCC2(F)F)s1 ZINC001179442266 1049164163 /nfs/dbraw/zinc/16/41/63/1049164163.db2.gz BZEFGSMKQNFNSP-LLVKDONJSA-N 0 3 302.434 4.356 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCC(=O)Nc2ccc(C)c(C)c2)c1 ZINC001193011837 1049166001 /nfs/dbraw/zinc/16/60/01/1049166001.db2.gz MDHZJCORAOSOKW-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2F)OCCc2ccccc21 ZINC001179447851 1049166426 /nfs/dbraw/zinc/16/64/26/1049166426.db2.gz UGDNEKTXXWIQDY-SFHVURJKSA-N 0 3 319.807 4.057 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1 ZINC001204453361 1049166883 /nfs/dbraw/zinc/16/68/83/1049166883.db2.gz VEQDUHQOHZLPST-HNNXBMFYSA-N 0 3 301.352 4.043 20 0 DIADHN CN1CCN(CC/C=C/c2ccccc2)[C@@H](c2ccccc2)C1 ZINC001193021603 1049167538 /nfs/dbraw/zinc/16/75/38/1049167538.db2.gz KJGGSCZAMXISQW-NBILRRPASA-N 0 3 306.453 4.079 20 0 DIADHN CC1(C)C[C@]1(C)CNCc1c(F)cccc1OC(F)(F)F ZINC001179469035 1049168913 /nfs/dbraw/zinc/16/89/13/1049168913.db2.gz YVIHHRYGMGQYGF-CQSZACIVSA-N 0 3 305.315 4.250 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(C(C)(C)O)cc2)C2CCC2)o1 ZINC000775565414 1049169702 /nfs/dbraw/zinc/16/97/02/1049169702.db2.gz MQBAUMYXTOQRBD-IBGZPJMESA-N 0 3 313.441 4.446 20 0 DIADHN CC[C@@H](NCc1cccc(Cl)c1F)[C@@H](O)c1ccccc1 ZINC001179524915 1049173098 /nfs/dbraw/zinc/17/30/98/1049173098.db2.gz DFRRLBFIJFFMIN-WBVHZDCISA-N 0 3 307.796 4.081 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc3c(c2)CCO3)c1 ZINC001204491081 1049174789 /nfs/dbraw/zinc/17/47/89/1049174789.db2.gz FUOADJKRPZNVRW-FQEVSTJZSA-N 0 3 323.436 4.357 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2cccc(F)c2C)CC1 ZINC001204506671 1049178486 /nfs/dbraw/zinc/17/84/86/1049178486.db2.gz JNEOCLAHRIMWGW-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN Cc1c(F)cccc1CN1CCC[C@@H](Sc2ccncc2)C1 ZINC001204513042 1049178858 /nfs/dbraw/zinc/17/88/58/1049178858.db2.gz QVJFOLNWGMNXJN-QGZVFWFLSA-N 0 3 316.445 4.286 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2ccc3c(c2)CCCC3)c1 ZINC001193141418 1049182745 /nfs/dbraw/zinc/18/27/45/1049182745.db2.gz HTJJDZMTXYQOLJ-HNNXBMFYSA-N 0 3 323.436 4.203 20 0 DIADHN Cc1cc(CN2CCC(O)(c3ccc(Cl)cc3)CC2)oc1C ZINC001204532397 1049182975 /nfs/dbraw/zinc/18/29/75/1049182975.db2.gz XPBFDYBHRAKMAP-UHFFFAOYSA-N 0 3 319.832 4.033 20 0 DIADHN CCN(Cc1ccc2oc(=O)oc2c1)[C@H](C)c1ccc(F)cc1 ZINC001204525976 1049183619 /nfs/dbraw/zinc/18/36/19/1049183619.db2.gz XEUJHVRZWDIQDM-GFCCVEGCSA-N 0 3 315.344 4.108 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@H]3CC4(CCC4)CO3)o2)cc1 ZINC001179608181 1049183839 /nfs/dbraw/zinc/18/38/39/1049183839.db2.gz TYQFHIHDGVRQDF-QGZVFWFLSA-N 0 3 315.388 4.135 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCO[C@@H](CCc2ccccc2)C1 ZINC001193198592 1049186392 /nfs/dbraw/zinc/18/63/92/1049186392.db2.gz RHEZRQZTRPHZBZ-RDJZCZTQSA-N 0 3 311.416 4.001 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)[C@@H](C)Cc1ccsc1 ZINC001204549447 1049186846 /nfs/dbraw/zinc/18/68/46/1049186846.db2.gz BJINIGPHHZCADT-ZDUSSCGKSA-N 0 3 319.518 4.379 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)c(Br)cc1F ZINC001179622650 1049188474 /nfs/dbraw/zinc/18/84/74/1049188474.db2.gz ZQPPYUDUGHBWPD-UPSWMWPXSA-N 0 3 312.226 4.031 20 0 DIADHN CCCOc1ccc(CNC(C)(C)/C=C/Cl)cc1OCC ZINC001179625695 1049188922 /nfs/dbraw/zinc/18/89/22/1049188922.db2.gz ATEUMOGFSOHDRC-MDZDMXLPSA-N 0 3 311.853 4.495 20 0 DIADHN Cc1ccnc(CN2CCCC23CCCCC3)c1Br ZINC001204580153 1049192221 /nfs/dbraw/zinc/19/22/21/1049192221.db2.gz MDDNEPNVHBFRFP-UHFFFAOYSA-N 0 3 323.278 4.451 20 0 DIADHN COc1ccc(CN2[C@H](C)c3ccc(OC)cc3C[C@@H]2C)cc1 ZINC001193272447 1049192848 /nfs/dbraw/zinc/19/28/48/1049192848.db2.gz MTXANPCNOKTZJF-LSDHHAIUSA-N 0 3 311.425 4.212 20 0 DIADHN Clc1cccc(CCN2CCC(OC3CCCC3)CC2)c1 ZINC001204602071 1049196602 /nfs/dbraw/zinc/19/66/02/1049196602.db2.gz QZSJENXFPOVNOX-UHFFFAOYSA-N 0 3 307.865 4.306 20 0 DIADHN C[C@@H](CN1C[C@@H](C)OC[C@@H]1c1ccccc1)c1ccc(F)cc1 ZINC001204615750 1049200277 /nfs/dbraw/zinc/20/02/77/1049200277.db2.gz KWZZVWOODSCMJE-RZQQEMMASA-N 0 3 313.416 4.391 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CCCc3cn[nH]c32)c2ccccc2)c1 ZINC000857857434 1049202763 /nfs/dbraw/zinc/20/27/63/1049202763.db2.gz UFWXMVFHASTSHC-RTBURBONSA-N 0 3 321.399 4.305 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1COC(C)(C)C1 ZINC001179747310 1049203413 /nfs/dbraw/zinc/20/34/13/1049203413.db2.gz KBDJZXIISHDRTO-GOSISDBHSA-N 0 3 311.425 4.019 20 0 DIADHN Cc1cccc(CN2CCS[C@@H](C)CC2)c1Br ZINC001193385472 1049204953 /nfs/dbraw/zinc/20/49/53/1049204953.db2.gz WEOXAPIKSMGDHQ-LBPRGKRZSA-N 0 3 314.292 4.085 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@H]1CCOC12CCCC2 ZINC001179755759 1049206009 /nfs/dbraw/zinc/20/60/09/1049206009.db2.gz RZMATVBGZHLHGP-KRWDZBQOSA-N 0 3 313.397 4.263 20 0 DIADHN Cc1ccc(OC[C@@H](C)N[C@@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857903276 1049206959 /nfs/dbraw/zinc/20/69/59/1049206959.db2.gz CVVDEKNUSOZBPO-CZUORRHYSA-N 0 3 316.832 4.088 20 0 DIADHN CN(C)c1ccc(CN2CCCC3(CCCCC3)CC2)cn1 ZINC001193437636 1049206915 /nfs/dbraw/zinc/20/69/15/1049206915.db2.gz HQEZRPVRTNMBKV-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN Cc1ccc(CNCc2cccc(OC(F)(F)F)c2)cc1C ZINC001179798619 1049209218 /nfs/dbraw/zinc/20/92/18/1049209218.db2.gz BDIQKBQVDVZIHO-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCc2cccc(Cl)c2)o1 ZINC000485237748 1049211070 /nfs/dbraw/zinc/21/10/70/1049211070.db2.gz YSSAOMDVXHRFCV-QGZVFWFLSA-N 0 3 319.832 4.459 20 0 DIADHN CC(C)(C)OC(=O)N1CC(N2CCCC3(CCCCC3)CC2)C1 ZINC001193430967 1049211742 /nfs/dbraw/zinc/21/17/42/1049211742.db2.gz WCOOJKDWMDRJLN-UHFFFAOYSA-N 0 3 322.493 4.042 20 0 DIADHN Fc1ccccc1[C@@H]1C[C@H]1N[C@@H]1CCc2ccc(Cl)nc21 ZINC000857916828 1049213232 /nfs/dbraw/zinc/21/32/32/1049213232.db2.gz SNRFKXMRSASXKR-NWANDNLSSA-N 0 3 302.780 4.007 20 0 DIADHN CC[C@@H](NCc1ccnc(F)c1)c1ccc(Br)cc1 ZINC000858087544 1049214434 /nfs/dbraw/zinc/21/44/34/1049214434.db2.gz PCCKJQJURVTEJQ-CQSZACIVSA-N 0 3 323.209 4.224 20 0 DIADHN FC(F)n1cccc1CN1CC[C@H](CSc2ccccc2)C1 ZINC001179854164 1049214727 /nfs/dbraw/zinc/21/47/27/1049214727.db2.gz BIIUQXGOXPTLTL-AWEZNQCLSA-N 0 3 322.424 4.497 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@H](Oc2ccc(C)cn2)C1 ZINC000858036729 1049215453 /nfs/dbraw/zinc/21/54/53/1049215453.db2.gz HAFPCUKXCJNAJA-ROUUACIJSA-N 0 3 314.404 4.134 20 0 DIADHN CCCC[C@H](NCc1ccnc(F)c1)c1ccc(OC)cc1 ZINC000858124504 1049219087 /nfs/dbraw/zinc/21/90/87/1049219087.db2.gz WTLPFFLNNHCGMQ-KRWDZBQOSA-N 0 3 302.393 4.250 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1ccc(C2CC2)cc1 ZINC001179898090 1049221409 /nfs/dbraw/zinc/22/14/09/1049221409.db2.gz FXXAVIFNUITPRF-GOSISDBHSA-N 0 3 320.480 4.270 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@H](C)C[C@@H]3C)n2)c1 ZINC001179898449 1049222028 /nfs/dbraw/zinc/22/20/28/1049222028.db2.gz NZJOEMJQJIQZGJ-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN Clc1cnc2oc(CN3CCC(c4ccco4)CC3)cc2c1 ZINC000668462410 1049223564 /nfs/dbraw/zinc/22/35/64/1049223564.db2.gz FXEUMJLOEHCFPS-UHFFFAOYSA-N 0 3 316.788 4.454 20 0 DIADHN CCOc1cc(CN2CCC3(C2)CCCCC3)c(F)cc1OC ZINC001179873345 1049226241 /nfs/dbraw/zinc/22/62/41/1049226241.db2.gz HFLKJQISDYMNTB-UHFFFAOYSA-N 0 3 321.436 4.389 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001204686733 1049226536 /nfs/dbraw/zinc/22/65/36/1049226536.db2.gz IWCROFDLZIXZAN-PKOBYXMFSA-N 0 3 310.441 4.132 20 0 DIADHN CN(CCc1cccs1)Cc1ccc(OC(F)F)cc1F ZINC001179881301 1049227205 /nfs/dbraw/zinc/22/72/05/1049227205.db2.gz AGXZJHGAVLSMRR-UHFFFAOYSA-N 0 3 315.360 4.163 20 0 DIADHN Fc1cccc(F)c1CCN1CCC(c2ccsc2)CC1 ZINC001193555005 1049228872 /nfs/dbraw/zinc/22/88/72/1049228872.db2.gz YQXJFMZHALGEJH-UHFFFAOYSA-N 0 3 307.409 4.448 20 0 DIADHN O=C(Nc1ccccc1CN1CCCCC1)OC1CCCC1 ZINC001193555834 1049231181 /nfs/dbraw/zinc/23/11/81/1049231181.db2.gz LNRQIWQQDUGJIV-UHFFFAOYSA-N 0 3 302.418 4.164 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2ccc(C(C)C)cc2)cc1 ZINC000858249408 1049231327 /nfs/dbraw/zinc/23/13/27/1049231327.db2.gz UFGQNHWBRQSSCZ-UHFFFAOYSA-N 0 3 310.441 4.083 20 0 DIADHN Cc1cccc([C@@H](NCc2cc(C(F)(F)F)n[nH]2)C(C)C)c1 ZINC000858287312 1049236237 /nfs/dbraw/zinc/23/62/37/1049236237.db2.gz SIPRTZSYDDNQLA-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN Cc1cccc([C@H](NCc2ncc(CN(C)C)s2)C(C)C)c1 ZINC000858286830 1049236263 /nfs/dbraw/zinc/23/62/63/1049236263.db2.gz HYBBBPYRMUQMBS-GOSISDBHSA-N 0 3 317.502 4.000 20 0 DIADHN Clc1cccc(-c2ccc(CN3CCC[C@]4(CCO4)C3)o2)c1 ZINC001204711223 1049236284 /nfs/dbraw/zinc/23/62/84/1049236284.db2.gz VONIATIVBVWGTC-SFHVURJKSA-N 0 3 317.816 4.355 20 0 DIADHN CC(C)[C@H]1c2ccccc2CCN1Cc1ccc2c(n1)CCC2 ZINC001179909458 1049237663 /nfs/dbraw/zinc/23/76/63/1049237663.db2.gz MMMRZOBHWZXWCF-NRFANRHFSA-N 0 3 306.453 4.326 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1cnc(C)s1 ZINC000631230308 1049239482 /nfs/dbraw/zinc/23/94/82/1049239482.db2.gz ZXXNBKXKMNGPNO-XXYUJHKVSA-N 0 3 316.470 4.352 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@H]1C ZINC001193604885 1049239519 /nfs/dbraw/zinc/23/95/19/1049239519.db2.gz IIIGWJUJXLKVJI-IRXDYDNUSA-N 0 3 322.452 4.413 20 0 DIADHN CCN(C)c1ccc(CN(C)[C@@H](C)c2ccc(C)cc2C)cn1 ZINC001204730763 1049243500 /nfs/dbraw/zinc/24/35/00/1049243500.db2.gz WBUXWXVUZLAHHP-KRWDZBQOSA-N 0 3 311.473 4.348 20 0 DIADHN CCN(C)c1ccc(CN(C)[C@H](C)c2ccc(C)cc2C)cn1 ZINC001204730764 1049244029 /nfs/dbraw/zinc/24/40/29/1049244029.db2.gz WBUXWXVUZLAHHP-QGZVFWFLSA-N 0 3 311.473 4.348 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@@H]1CCCc2scnc21 ZINC000858355248 1049246558 /nfs/dbraw/zinc/24/65/58/1049246558.db2.gz JONDCXVCUJYORR-SMDDNHRTSA-N 0 3 306.862 4.395 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204738646 1049247426 /nfs/dbraw/zinc/24/74/26/1049247426.db2.gz LRFXSMNTYWNMQD-AWEZNQCLSA-N 0 3 317.816 4.401 20 0 DIADHN Cn1ncc(CN(Cc2ccccc2)CC(C)(C)C)c1C1CC1 ZINC001179997642 1049247434 /nfs/dbraw/zinc/24/74/34/1049247434.db2.gz REMWHVPTFBBYLP-UHFFFAOYSA-N 0 3 311.473 4.346 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@H]1CCCc2scnc21 ZINC000858355246 1049247771 /nfs/dbraw/zinc/24/77/71/1049247771.db2.gz JONDCXVCUJYORR-RISCZKNCSA-N 0 3 306.862 4.395 20 0 DIADHN CC[C@@H](CCc1ccccc1)NCc1nnc(C(C)C)s1 ZINC000858369673 1049248732 /nfs/dbraw/zinc/24/87/32/1049248732.db2.gz WDVNFJCBZBTQGW-HNNXBMFYSA-N 0 3 303.475 4.163 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCc3ccccc3[C@@H]2CO)cc1C ZINC001179956964 1049249820 /nfs/dbraw/zinc/24/98/20/1049249820.db2.gz IZICAYQHITZCPH-QGHLFXPHSA-N 0 3 321.464 4.217 20 0 DIADHN Fc1cccc2c1CN(CCCCCOc1ccccc1)CC2 ZINC001179957923 1049250181 /nfs/dbraw/zinc/25/01/81/1049250181.db2.gz SZCWNNOBRBDONY-UHFFFAOYSA-N 0 3 313.416 4.433 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cn(C(C)C)nc2C)C1(C)C ZINC001179963232 1049251071 /nfs/dbraw/zinc/25/10/71/1049251071.db2.gz XZQPHGVQSPTDPP-ZWKOTPCHSA-N 0 3 321.509 4.188 20 0 DIADHN CCC[C@H](O)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC001193703649 1049251876 /nfs/dbraw/zinc/25/18/76/1049251876.db2.gz KRPVROGNBPPEAY-HOTGVXAUSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1ccccc1-n1cc(CN(CC2CC2)C2CCCCC2)nn1 ZINC001180048928 1049255975 /nfs/dbraw/zinc/25/59/75/1049255975.db2.gz ZHQGAFHEYFARDZ-UHFFFAOYSA-N 0 3 324.472 4.120 20 0 DIADHN COc1cccc(CN2CCC[C@H](Cc3ccccc3)C2)c1F ZINC001180007502 1049256760 /nfs/dbraw/zinc/25/67/60/1049256760.db2.gz XRHIYTCWZZDFIC-QGZVFWFLSA-N 0 3 313.416 4.289 20 0 DIADHN Cc1ccc([C@H](C)CN2CCC[C@@H](OCC(F)(F)F)C2)cc1 ZINC001180011902 1049258526 /nfs/dbraw/zinc/25/85/26/1049258526.db2.gz UAIYPSFTNKEGHO-GDBMZVCRSA-N 0 3 315.379 4.142 20 0 DIADHN CCc1ccccc1CN1CCN(C/C=C/c2ccccc2)CC1 ZINC001180042674 1049259850 /nfs/dbraw/zinc/25/98/50/1049259850.db2.gz HDEROPXJWFDZJM-DHZHZOJOSA-N 0 3 320.480 4.080 20 0 DIADHN c1cc(-c2ccccc2)sc1CN[C@H]1CCCc2n[nH]cc21 ZINC000858445433 1049260051 /nfs/dbraw/zinc/26/00/51/1049260051.db2.gz JMPAAKPEBQFABX-INIZCTEOSA-N 0 3 309.438 4.305 20 0 DIADHN CCC[C@]1(C)CCCN(Cc2[nH]cc(C(=O)OC)c2C2CC2)C1 ZINC001204776569 1049261131 /nfs/dbraw/zinc/26/11/31/1049261131.db2.gz QBIRZWPOCIGGHF-LJQANCHMSA-N 0 3 318.461 4.081 20 0 DIADHN OC[C@H](NCC1=CCCC1)c1ccc(Cl)cc1C(F)(F)F ZINC001193838056 1049263355 /nfs/dbraw/zinc/26/33/55/1049263355.db2.gz FBNJTEGJHWSBAM-AWEZNQCLSA-N 0 3 319.754 4.092 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001193808351 1049268266 /nfs/dbraw/zinc/26/82/66/1049268266.db2.gz SRUHBXKJZHFTLI-AWEZNQCLSA-N 0 3 323.864 4.369 20 0 DIADHN CCC[C@@H](NCc1ccc(N(C)C(C)=O)cc1)c1ccsc1 ZINC000858497962 1049268488 /nfs/dbraw/zinc/26/84/88/1049268488.db2.gz JWUQJWNEEHHGKX-GOSISDBHSA-N 0 3 316.470 4.362 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)CCc2nccs2)cc1C ZINC001204804028 1049270117 /nfs/dbraw/zinc/27/01/17/1049270117.db2.gz VJKIYOJCHAPKLZ-AATRIKPKSA-N 0 3 300.471 4.256 20 0 DIADHN CC(C)Cc1ccc(CN2CCC[C@H](Nc3ccccc3)C2)cn1 ZINC001180059266 1049269783 /nfs/dbraw/zinc/26/97/83/1049269783.db2.gz WLEQFDQGDZVHEE-NRFANRHFSA-N 0 3 323.484 4.357 20 0 DIADHN Cn1c(CN2CCC[C@H]2c2ccc(O)cc2)cc2ccccc21 ZINC001180064426 1049271834 /nfs/dbraw/zinc/27/18/34/1049271834.db2.gz IOUDUTQHERMYSR-FQEVSTJZSA-N 0 3 306.409 4.221 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1[C@@H]1CCCc2ccc(F)cc21 ZINC000470940938 1049275997 /nfs/dbraw/zinc/27/59/97/1049275997.db2.gz RKGFTGHVHBVBGD-WKPIXPDZSA-N 0 3 301.327 4.476 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](C)c1ccc(Cl)cc1Cl ZINC001193859531 1049276048 /nfs/dbraw/zinc/27/60/48/1049276048.db2.gz KYWGFCCPPSOKDI-YPMHNXCESA-N 0 3 304.261 4.441 20 0 DIADHN CC(C)C[C@]1(CO)CCCN1Cc1ccc(Cl)cc1Cl ZINC001194020593 1049300904 /nfs/dbraw/zinc/30/09/04/1049300904.db2.gz KRANHSPMRKFKOI-INIZCTEOSA-N 0 3 316.272 4.366 20 0 DIADHN Brc1cccc(CNCc2ccc3c(c2)CCC3)c1 ZINC000070197530 1049276252 /nfs/dbraw/zinc/27/62/52/1049276252.db2.gz XCIHDUAEKXDZSJ-UHFFFAOYSA-N 0 3 316.242 4.228 20 0 DIADHN CC[C@H]1Cc2c(Br)cccc2CN1CCC1CC1 ZINC001193860443 1049276295 /nfs/dbraw/zinc/27/62/95/1049276295.db2.gz SBVUIFJPVSMPQR-AWEZNQCLSA-N 0 3 308.263 4.386 20 0 DIADHN C[C@@H]1CSCCN1Cc1cccc(COc2ccccc2)c1 ZINC001204817861 1049276476 /nfs/dbraw/zinc/27/64/76/1049276476.db2.gz XYYCBQOIRKUTFE-MRXNPFEDSA-N 0 3 313.466 4.203 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@H](c2ccccc2C)C[C@H]1C ZINC001180143914 1049280940 /nfs/dbraw/zinc/28/09/40/1049280940.db2.gz YCWXTBCBWZHKRG-ZIAGYGMSSA-N 0 3 317.864 4.312 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](c2ccccc2C)C[C@H]1C ZINC001180143910 1049281134 /nfs/dbraw/zinc/28/11/34/1049281134.db2.gz YCWXTBCBWZHKRG-KGLIPLIRSA-N 0 3 317.864 4.312 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC[C@@H](c3cc[nH]n3)C2)cc1C ZINC001204840647 1049282229 /nfs/dbraw/zinc/28/22/29/1049282229.db2.gz NNCIDBFRKNWUBC-FHHGHWCOSA-N 0 3 309.457 4.228 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1F)CCN(C)c1ccccc1 ZINC001204866031 1049289334 /nfs/dbraw/zinc/28/93/34/1049289334.db2.gz GXKXXKFHYGISGE-SREVYHEPSA-N 0 3 316.395 4.046 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1nc2c(s1)CCCC2 ZINC001180123516 1049291515 /nfs/dbraw/zinc/29/15/15/1049291515.db2.gz BLGQJHNCDWVKBK-GOEBONIOSA-N 0 3 312.482 4.400 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(CC(C)C)nc2)cc1 ZINC001180116268 1049292467 /nfs/dbraw/zinc/29/24/67/1049292467.db2.gz HYJRPFLDXPFTII-FQEVSTJZSA-N 0 3 324.468 4.106 20 0 DIADHN c1ccc(-c2cnc([C@@H]3CCCN3)nc2)c(OC2CCCCC2)c1 ZINC000906158184 1049292564 /nfs/dbraw/zinc/29/25/64/1049292564.db2.gz JKCBCLURRGVPDX-SFHVURJKSA-N 0 3 323.440 4.280 20 0 DIADHN CCCSCc1cccc(-c2cnc([C@H]3CCCN3)nc2)c1 ZINC000906159051 1049293844 /nfs/dbraw/zinc/29/38/44/1049293844.db2.gz PXOBGPQLDZPDQE-QGZVFWFLSA-N 0 3 313.470 4.211 20 0 DIADHN c1cc(-c2cnc([C@H]3CCCN3)nc2)ccc1OC1CCCCC1 ZINC000906171980 1049296482 /nfs/dbraw/zinc/29/64/82/1049296482.db2.gz DHCHAERNHCOZJD-LJQANCHMSA-N 0 3 323.440 4.280 20 0 DIADHN COc1cccc(CN(Cc2ccc3c(n2)CCC3)CC(C)C)c1 ZINC001180153090 1049299330 /nfs/dbraw/zinc/29/93/30/1049299330.db2.gz FXKHPCULYONUTB-UHFFFAOYSA-N 0 3 324.468 4.237 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC[C@H]3CCC[C@H]32)s1 ZINC001204891930 1049300948 /nfs/dbraw/zinc/30/09/48/1049300948.db2.gz SVNDLZKZVDVEOA-UKRRQHHQSA-N 0 3 321.486 4.468 20 0 DIADHN CC[C@@H]1CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)C[C@H](C)O1 ZINC001204899540 1049303451 /nfs/dbraw/zinc/30/34/51/1049303451.db2.gz RHVKLTBZCQKMTL-HEHGZKQESA-N 0 3 315.379 4.308 20 0 DIADHN Cc1ccc(CN(Cc2cnn(C)c2C2CC2)C(C)C)s1 ZINC001204897993 1049304405 /nfs/dbraw/zinc/30/44/05/1049304405.db2.gz BATGSUNKDCIAGF-UHFFFAOYSA-N 0 3 303.475 4.078 20 0 DIADHN C[C@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1c(F)cccc1F ZINC000158897220 1049304807 /nfs/dbraw/zinc/30/48/07/1049304807.db2.gz QSMMXHIWMZNFCP-HZMBPMFUSA-N 0 3 307.771 4.436 20 0 DIADHN COc1ccc(CN(C)C2CCC(C)(C)CC2)c(F)c1OC ZINC001180202967 1049307547 /nfs/dbraw/zinc/30/75/47/1049307547.db2.gz GBXMULXRDQVJAE-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN Cc1cccc(CN(C)[C@H]2CCCN(c3ccccc3)C2)c1F ZINC001180203193 1049308225 /nfs/dbraw/zinc/30/82/25/1049308225.db2.gz LOQKAEFZWDYBPJ-IBGZPJMESA-N 0 3 312.432 4.235 20 0 DIADHN CCCC1CCC(N(C)CC(=O)Nc2ccc(F)c(F)c2)CC1 ZINC001194052535 1049308399 /nfs/dbraw/zinc/30/83/99/1049308399.db2.gz WZCHHPVSRDBQTG-UHFFFAOYSA-N 0 3 324.415 4.194 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cccc3c1CCC3)CCC2 ZINC001204924654 1049313045 /nfs/dbraw/zinc/31/30/45/1049313045.db2.gz ZJQPZJMOOBFSAH-SFHVURJKSA-N 0 3 312.482 4.450 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)CCC(=O)OC(C)(C)C)cc1C ZINC001204261388 1049313653 /nfs/dbraw/zinc/31/36/53/1049313653.db2.gz DDAFAYLLUWYURJ-HJWRWDBZSA-N 0 3 317.473 4.289 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001180199422 1049316608 /nfs/dbraw/zinc/31/66/08/1049316608.db2.gz SZDJFIIZVNTLNO-BXUZGUMPSA-N 0 3 301.352 4.334 20 0 DIADHN Cc1cc2cc(Nc3cccc4c3OCC[C@@H]4N(C)C)cnc2[nH]1 ZINC001204943700 1049317290 /nfs/dbraw/zinc/31/72/90/1049317290.db2.gz MGRMJCFDRMPDLL-KRWDZBQOSA-N 0 3 322.412 4.000 20 0 DIADHN C[C@@H]1c2c(F)cccc2CCN1C[C@@H]1CCN(c2ccccc2)C1 ZINC001180240467 1049318863 /nfs/dbraw/zinc/31/88/63/1049318863.db2.gz YOHFSZGRYOZAQO-SJORKVTESA-N 0 3 324.443 4.271 20 0 DIADHN Brc1cccc([C@H]2CCCN2CCC[C@@H]2CCO2)c1 ZINC001180245192 1049319735 /nfs/dbraw/zinc/31/97/35/1049319735.db2.gz RHRXCSHHZYRARA-HZPDHXFCSA-N 0 3 324.262 4.155 20 0 DIADHN C[C@]1(CN2CCn3cccc3[C@H]2c2ccccc2)CCCS1 ZINC001180245422 1049322953 /nfs/dbraw/zinc/32/29/53/1049322953.db2.gz XAZRGURRRZBGOF-RTBURBONSA-N 0 3 312.482 4.179 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cc(F)cc(Cl)c1 ZINC000485715861 1049323802 /nfs/dbraw/zinc/32/38/02/1049323802.db2.gz JOMPQIBXJZOJIY-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN CCC1(CC)CCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001180267225 1049324247 /nfs/dbraw/zinc/32/42/47/1049324247.db2.gz RPRUDIZISHXHJX-UHFFFAOYSA-N 0 3 301.409 4.024 20 0 DIADHN CCC(CC)n1ccc(CN[C@@H]2[C@@H](C)[C@@H](OC)C2(CC)CC)n1 ZINC001194091005 1049324472 /nfs/dbraw/zinc/32/44/72/1049324472.db2.gz LFZBHKRSBKJGCW-ZTFGCOKTSA-N 0 3 321.509 4.174 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(Br)c2)[C@H]2CCCC[C@H]12 ZINC001180271579 1049324589 /nfs/dbraw/zinc/32/45/89/1049324589.db2.gz OBJJVYDXFAVGTB-WQVCFCJDSA-N 0 3 323.278 4.245 20 0 DIADHN CCC(CC)n1ccc(CN[C@@H]2[C@H](C)[C@@H](OC)C2(CC)CC)n1 ZINC001194091002 1049325435 /nfs/dbraw/zinc/32/54/35/1049325435.db2.gz LFZBHKRSBKJGCW-BMGDILEWSA-N 0 3 321.509 4.174 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(C)c(Cl)n2)C12CCCCC2 ZINC001194091587 1049326552 /nfs/dbraw/zinc/32/65/52/1049326552.db2.gz NCURMENAECSZJI-JKSUJKDBSA-N 0 3 322.880 4.261 20 0 DIADHN Fc1ccc(-c2ccc3nonc3c2)cc1CN1CCCCC1 ZINC001204966694 1049327226 /nfs/dbraw/zinc/32/72/26/1049327226.db2.gz RITJYOOBRDRKSX-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN CCC1(CC)[C@H](NCc2nc3cc(C)ccc3s2)C[C@H]1OC ZINC001194093597 1049327246 /nfs/dbraw/zinc/32/72/46/1049327246.db2.gz VRPIYQPMRLYSOO-HZPDHXFCSA-N 0 3 318.486 4.288 20 0 DIADHN Cc1ccc(CN(Cc2cn3ccnc3s2)C(C)C)s1 ZINC001180281085 1049333432 /nfs/dbraw/zinc/33/34/32/1049333432.db2.gz VAQAHOSEHBEUFI-UHFFFAOYSA-N 0 3 305.472 4.176 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(CO)c(C)c2C)c1F ZINC001204998874 1049336071 /nfs/dbraw/zinc/33/60/71/1049336071.db2.gz XZYPJVZRZKYKOR-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3ccnn3C3CCC3)C2)cc1 ZINC001180291475 1049336470 /nfs/dbraw/zinc/33/64/70/1049336470.db2.gz BPNUJYNAJFPPRN-OAHLLOKOSA-N 0 3 315.848 4.251 20 0 DIADHN Cc1cc2oc(=O)cc(CN3CCCC(F)(F)CC3)c2cc1C ZINC000859535540 1049336816 /nfs/dbraw/zinc/33/68/16/1049336816.db2.gz NGOYYTSCYIYMFN-UHFFFAOYSA-N 0 3 321.367 4.031 20 0 DIADHN CN(Cc1ccc(CO)cc1)Cc1ccc(Cl)c(Cl)c1 ZINC000485763349 1049337809 /nfs/dbraw/zinc/33/78/09/1049337809.db2.gz BUJRFTYJMICKNZ-UHFFFAOYSA-N 0 3 310.224 4.118 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C(C)C)[C@H](O)c2ccccc2)c1F ZINC001194188886 1049339727 /nfs/dbraw/zinc/33/97/27/1049339727.db2.gz AQESPQVNNWKZFI-RTBURBONSA-N 0 3 319.395 4.121 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C(C)C)[C@@H](O)c2ccccc2)c1F ZINC001194188883 1049339986 /nfs/dbraw/zinc/33/99/86/1049339986.db2.gz AQESPQVNNWKZFI-OALUTQOASA-N 0 3 319.395 4.121 20 0 DIADHN c1ccc([C@H](NCCOC2CCCCCC2)C2CCC2)nc1 ZINC000859563760 1049340463 /nfs/dbraw/zinc/34/04/63/1049340463.db2.gz VDCVDRVOZQHBKF-LJQANCHMSA-N 0 3 302.462 4.252 20 0 DIADHN C[C@H](NCCOC1CCC1)c1cc(Cl)ccc1OC(F)F ZINC000777120027 1049348188 /nfs/dbraw/zinc/34/81/88/1049348188.db2.gz SJHMKEGBXWVIOQ-JTQLQIEISA-N 0 3 319.779 4.161 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1Cl)CC1CCOCC1 ZINC001205036484 1049348972 /nfs/dbraw/zinc/34/89/72/1049348972.db2.gz JSLIBXDPOCIBST-UHFFFAOYSA-N 0 3 321.770 4.217 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc(-c2cnco2)cc1 ZINC000906525020 1049349959 /nfs/dbraw/zinc/34/99/59/1049349959.db2.gz IELNIEHORJEPSI-SFHVURJKSA-N 0 3 320.392 4.214 20 0 DIADHN C[C@H](NCc1ccc(-c2cnco2)cc1)c1ccc2c(c1)COC2 ZINC000906523919 1049350314 /nfs/dbraw/zinc/35/03/14/1049350314.db2.gz AEYVXZLPTZMAGO-AWEZNQCLSA-N 0 3 320.392 4.223 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc(C4CC4)no3)[C@H]3CCCC[C@@H]23)cc1 ZINC000485855765 1049354071 /nfs/dbraw/zinc/35/40/71/1049354071.db2.gz BTDAONLWKVHNQJ-BZSNNMDCSA-N 0 3 323.440 4.105 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2ccccc2)cc1OC ZINC001180368459 1049354500 /nfs/dbraw/zinc/35/45/00/1049354500.db2.gz LGIDWAMOUUHFKC-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN Fc1ccc2nc(CN3CC[C@@H]4CCCC[C@@H]4C3)sc2c1 ZINC001205080917 1049366389 /nfs/dbraw/zinc/36/63/89/1049366389.db2.gz BFPJUGCJMLGOEP-QWHCGFSZSA-N 0 3 304.434 4.448 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2nccc3c2CCCC3)c1 ZINC001180514877 1049369033 /nfs/dbraw/zinc/36/90/33/1049369033.db2.gz WHFZSJMQQCAQFM-NRFANRHFSA-N 0 3 322.452 4.306 20 0 DIADHN CC(C)c1cnc(N[C@H]2CCN(C)[C@@H]2c2ccccc2)s1 ZINC000485972437 1049371451 /nfs/dbraw/zinc/37/14/51/1049371451.db2.gz BHERYWSNYWBWSZ-GOEBONIOSA-N 0 3 301.459 4.124 20 0 DIADHN Cc1cccc(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)c1F ZINC000485974605 1049372375 /nfs/dbraw/zinc/37/23/75/1049372375.db2.gz DZTVYUFBSBCWBZ-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@@H](OC(C)C)C2(C)C)c1Cl ZINC001194456097 1049373933 /nfs/dbraw/zinc/37/39/33/1049373933.db2.gz CKFLSUUWNZJKST-HUUCEWRRSA-N 0 3 311.853 4.030 20 0 DIADHN CCCCCN(Cc1n[nH]c(C)c1C)Cc1ccc(F)cc1 ZINC001205094642 1049373986 /nfs/dbraw/zinc/37/39/86/1049373986.db2.gz XGEMEACAMNVRCJ-UHFFFAOYSA-N 0 3 303.425 4.358 20 0 DIADHN COc1cccc(CN[C@H]2C[C@@H](OC(C)C)C2(C)C)c1Cl ZINC001194456098 1049374768 /nfs/dbraw/zinc/37/47/68/1049374768.db2.gz CKFLSUUWNZJKST-LSDHHAIUSA-N 0 3 311.853 4.030 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1C[C@@H]2CCCC[C@@H]2C1 ZINC001194436745 1049376231 /nfs/dbraw/zinc/37/62/31/1049376231.db2.gz XOSFVUVNEMLWIN-KFWWJZLASA-N 0 3 320.864 4.097 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC001194447941 1049378475 /nfs/dbraw/zinc/37/84/75/1049378475.db2.gz ZPKOXGGCKAKJBN-CSMYWGQOSA-N 0 3 323.864 4.032 20 0 DIADHN CCCc1cccc(CN(CCOC)Cc2cccs2)c1 ZINC001180505467 1049379856 /nfs/dbraw/zinc/37/98/56/1049379856.db2.gz UUJPWSOMNWKDOG-UHFFFAOYSA-N 0 3 303.471 4.349 20 0 DIADHN Cc1csc(CNCCc2cc(Cl)cc(Cl)c2)n1 ZINC000117384019 1049380847 /nfs/dbraw/zinc/38/08/47/1049380847.db2.gz DRHUPLBONZREJT-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2csc(-c3ccco3)n2)C1 ZINC000117394205 1049381003 /nfs/dbraw/zinc/38/10/03/1049381003.db2.gz VCKQZNCCJDCCSU-AAEUAGOBSA-N 0 3 308.472 4.167 20 0 DIADHN CC(C)OC(=O)C[C@H]1CCCN(Cc2cccc(C3CC3)c2)C1 ZINC001180586220 1049386708 /nfs/dbraw/zinc/38/67/08/1049386708.db2.gz STKJQONFAAHHLA-QGZVFWFLSA-N 0 3 315.457 4.118 20 0 DIADHN CN(Cc1ccc(Sc2ccccc2)cc1)C[C@H]1CCCO1 ZINC001180593072 1049389301 /nfs/dbraw/zinc/38/93/01/1049389301.db2.gz KJHBCUCDDDROJT-QGZVFWFLSA-N 0 3 313.466 4.449 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3c(c2)C[C@H](C)O3)cc1 ZINC001205149534 1049393752 /nfs/dbraw/zinc/39/37/52/1049393752.db2.gz UYJIYFBDAZBRJN-HNNXBMFYSA-N 0 3 311.425 4.041 20 0 DIADHN FC1(F)Oc2cccc(CN3CCC4(CCCC4)CC3)c2O1 ZINC001194598529 1049394726 /nfs/dbraw/zinc/39/47/26/1049394726.db2.gz BTQRGZKYOFXRGE-UHFFFAOYSA-N 0 3 309.356 4.164 20 0 DIADHN COCCN(Cc1cccs1)Cc1ccc2c(c1)CCCC2 ZINC001205162851 1049398104 /nfs/dbraw/zinc/39/81/04/1049398104.db2.gz UEBUSRNCAOZZQZ-UHFFFAOYSA-N 0 3 315.482 4.276 20 0 DIADHN COc1cccc(CN2CCC[C@@H](CCF)C2)c1OC(C)C ZINC000668593059 1049398597 /nfs/dbraw/zinc/39/85/97/1049398597.db2.gz PYWZYCDOMNWRKK-HNNXBMFYSA-N 0 3 309.425 4.054 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001180697598 1049398626 /nfs/dbraw/zinc/39/86/26/1049398626.db2.gz JEECGKGTKMOWAF-CPUCHLNUSA-N 0 3 324.896 4.307 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@@H](C)c2ccccc2Cl)c1 ZINC001194632934 1049401362 /nfs/dbraw/zinc/40/13/62/1049401362.db2.gz IOSWQSRHAAORKH-KBXCAEBGSA-N 0 3 303.833 4.341 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CC(C)(C)O[C@](C)(C(F)(F)F)C1 ZINC001194647603 1049403245 /nfs/dbraw/zinc/40/32/45/1049403245.db2.gz APWBUFMCCVIJJJ-NFAWXSAZSA-N 0 3 307.400 4.245 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3nc(C(C)C)no3)C2)ccc1F ZINC001205193435 1049406995 /nfs/dbraw/zinc/40/69/95/1049406995.db2.gz ZAABPDCBPOBWPW-HNNXBMFYSA-N 0 3 317.408 4.020 20 0 DIADHN O=c1[nH]c2cccc(CN3CCCC[C@H]3c3ccccc3)c2o1 ZINC001205229028 1049413485 /nfs/dbraw/zinc/41/34/85/1049413485.db2.gz BKLNDCODNWGUPZ-KRWDZBQOSA-N 0 3 308.381 4.261 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2C[C@@H](c3ccccc3)[C@](F)(CO)C2)C1 ZINC001194731142 1049414521 /nfs/dbraw/zinc/41/45/21/1049414521.db2.gz BGIPMPYWAQUPCM-IZBJGVDFSA-N 0 3 319.464 4.003 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1C[C@@H](O)c1c(F)cccc1F ZINC001194791108 1049422356 /nfs/dbraw/zinc/42/23/56/1049422356.db2.gz GFVMAWLHWAQERP-XJKCOSOUSA-N 0 3 311.416 4.145 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C[C@@H](O)c1c(F)cccc1F ZINC001194791105 1049423041 /nfs/dbraw/zinc/42/30/41/1049423041.db2.gz GFVMAWLHWAQERP-DVOMOZLQSA-N 0 3 311.416 4.145 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cncc3ccccc32)C1 ZINC001205291409 1049428649 /nfs/dbraw/zinc/42/86/49/1049428649.db2.gz KFZKQQAZKHGQJD-QRWLVFNGSA-N 0 3 303.409 4.213 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2cccc3[nH]ccc32)CC1 ZINC001205290018 1049428806 /nfs/dbraw/zinc/42/88/06/1049428806.db2.gz ZBIRYXSOYQHEGM-KRWDZBQOSA-N 0 3 319.452 4.047 20 0 DIADHN CC[C@@H](C)COC(=O)Nc1ccccc1CN1CCCCC1 ZINC001194800431 1049428861 /nfs/dbraw/zinc/42/88/61/1049428861.db2.gz JJLRNQLFXIWNBE-OAHLLOKOSA-N 0 3 304.434 4.267 20 0 DIADHN Cc1cccc(C)c1N1CCN(Cc2cccc3[nH]ccc32)CC1 ZINC001205306039 1049432948 /nfs/dbraw/zinc/43/29/48/1049432948.db2.gz SKMFPHKWSSRQRG-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC3(CCC3)CO2)Cc2ccccc2O1 ZINC001194817687 1049433590 /nfs/dbraw/zinc/43/35/90/1049433590.db2.gz RKDUIHALFBGNEQ-KRWDZBQOSA-N 0 3 315.457 4.009 20 0 DIADHN CC(C)Cc1ccccc1CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC001194865293 1049439408 /nfs/dbraw/zinc/43/94/08/1049439408.db2.gz SWPSLHIYESDMOE-SFHVURJKSA-N 0 3 317.864 4.182 20 0 DIADHN C[C@@H](NC(=O)c1ccccc1CN(C)C)[C@H](C)C1CCCCC1 ZINC000486590469 1049440640 /nfs/dbraw/zinc/44/06/40/1049440640.db2.gz HVEREGLSZJVRSL-JKSUJKDBSA-N 0 3 316.489 4.083 20 0 DIADHN CCN(CCc1ccc(OC)cc1)Cc1sccc1Cl ZINC001205354016 1049447121 /nfs/dbraw/zinc/44/71/21/1049447121.db2.gz OSNHAADVQUDVGH-UHFFFAOYSA-N 0 3 309.862 4.475 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CC=C(c2cccc(C)c2)CC1 ZINC001205360463 1049451285 /nfs/dbraw/zinc/45/12/85/1049451285.db2.gz ZTISJFMWHIBGJJ-UHFFFAOYSA-N 0 3 323.484 4.143 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN(CC1CC1)C1CCC1 ZINC001181212475 1049454722 /nfs/dbraw/zinc/45/47/22/1049454722.db2.gz FELFJRIRWMFQSH-UHFFFAOYSA-N 0 3 317.326 4.489 20 0 DIADHN Cc1sccc1CN1C[C@]2(C[C@@H]3CC[C@H]2C3)OC(C)(C)C1 ZINC001181214757 1049454863 /nfs/dbraw/zinc/45/48/63/1049454863.db2.gz WYVQQSOSYSYYKW-HFTRVMKXSA-N 0 3 305.487 4.226 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3ccccc3[nH]2)cc1OC ZINC000775622399 1049456165 /nfs/dbraw/zinc/45/61/65/1049456165.db2.gz BZYLQUQCIJZCCW-ZDUSSCGKSA-N 0 3 310.397 4.036 20 0 DIADHN CC(C)Oc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1 ZINC000486708077 1049456734 /nfs/dbraw/zinc/45/67/34/1049456734.db2.gz IRJQOKHLBRFETB-UHFFFAOYSA-N 0 3 312.388 4.384 20 0 DIADHN CCCSC[C@H](C)N[C@@H](COC)c1ccc(Cl)cc1 ZINC001181275341 1049458266 /nfs/dbraw/zinc/45/82/66/1049458266.db2.gz BGNXFEYJSBIKSJ-WFASDCNBSA-N 0 3 301.883 4.149 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000486709312 1049459477 /nfs/dbraw/zinc/45/94/77/1049459477.db2.gz QYBIVOMXNYFRMQ-GOSISDBHSA-N 0 3 324.399 4.483 20 0 DIADHN Cc1cccnc1[C@H](NCc1cc2ccc(F)cc2[nH]1)C(C)C ZINC000486722201 1049460987 /nfs/dbraw/zinc/46/09/87/1049460987.db2.gz ISOUZUYMANPIQG-GOSISDBHSA-N 0 3 311.404 4.497 20 0 DIADHN Fc1ccc(CCNCc2cc(F)c(Cl)cc2F)c(F)c1 ZINC001181335554 1049462811 /nfs/dbraw/zinc/46/28/11/1049462811.db2.gz CUPGHUKYNFKUCW-UHFFFAOYSA-N 0 3 317.713 4.229 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCC[C@@H]2c2ccccc2)cc1 ZINC001195022353 1049462688 /nfs/dbraw/zinc/46/26/88/1049462688.db2.gz MKKJNLWIVNRPSM-WOJBJXKFSA-N 0 3 322.452 4.202 20 0 DIADHN C[C@H](Cc1ccsc1)N1CC2(CCOCC2)[C@H]1c1ccco1 ZINC000668672989 1049463846 /nfs/dbraw/zinc/46/38/46/1049463846.db2.gz FKMKDQRTHGYQPC-RHSMWYFYSA-N 0 3 317.454 4.126 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](c1ccccc1)C(C)(C)CO ZINC001181362115 1049467774 /nfs/dbraw/zinc/46/77/74/1049467774.db2.gz YZLBLWUWWWLPPR-GOSISDBHSA-N 0 3 317.860 4.498 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000486786777 1049469139 /nfs/dbraw/zinc/46/91/39/1049469139.db2.gz FNFLNHUYGGZAQX-YJBOKZPZSA-N 0 3 316.489 4.397 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2c2ccccc2)cn1 ZINC001205429539 1049469522 /nfs/dbraw/zinc/46/95/22/1049469522.db2.gz DVKBKIFOASRJSC-OAHLLOKOSA-N 0 3 306.331 4.438 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1cccc(Cl)c1C ZINC001205684717 1049470801 /nfs/dbraw/zinc/47/08/01/1049470801.db2.gz LIBKEKSIXWJRAR-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3ccc(C(F)(F)F)nc3)C2)cc1 ZINC001205442130 1049472609 /nfs/dbraw/zinc/47/26/09/1049472609.db2.gz UFAQISOPNJNFAO-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN Cc1c(F)nccc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000862216142 1049479308 /nfs/dbraw/zinc/47/93/08/1049479308.db2.gz YWOWUIZEHVVDGD-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CCN1CCN(c2cccc(N[C@H]3CCC[C@H]3C(C)C)c2)CC1 ZINC001205478228 1049480585 /nfs/dbraw/zinc/48/05/85/1049480585.db2.gz YKEQNTQZOIIPIT-PMACEKPBSA-N 0 3 315.505 4.065 20 0 DIADHN CCN(CCc1ccccn1)Cc1ccsc1C(F)(F)F ZINC000862231089 1049481216 /nfs/dbraw/zinc/48/12/16/1049481216.db2.gz CIITZAFUZXYPND-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN CCCCC[C@H](C)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001205477863 1049481498 /nfs/dbraw/zinc/48/14/98/1049481498.db2.gz LZFNRTYFAISBGM-KRWDZBQOSA-N 0 3 303.494 4.209 20 0 DIADHN CCN1CCN(c2cccc(NCCCCC(C)C)c2)CC1 ZINC001205478155 1049481601 /nfs/dbraw/zinc/48/16/01/1049481601.db2.gz VSPJTDOIGYRSOQ-UHFFFAOYSA-N 0 3 303.494 4.067 20 0 DIADHN COCC(C)(C)CN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000862380143 1049492540 /nfs/dbraw/zinc/49/25/40/1049492540.db2.gz RQSSOXADKOENDF-SECBINFHSA-N 0 3 324.774 4.077 20 0 DIADHN C[C@H](N[C@H]1CSC[C@H]1C)c1ccc(C(F)(F)F)nc1Cl ZINC000862380106 1049492748 /nfs/dbraw/zinc/49/27/48/1049492748.db2.gz QPXQRARRYSPDEV-WEDXCCLWSA-N 0 3 324.799 4.156 20 0 DIADHN Fc1cccc([C@H]2CC[C@@H](NCc3nc(Cl)cs3)C2)c1 ZINC001181436255 1049482544 /nfs/dbraw/zinc/48/25/44/1049482544.db2.gz NUPDXPBPZHXCMX-WCQYABFASA-N 0 3 310.825 4.362 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccnc(F)c2C)cc1 ZINC000862277607 1049485162 /nfs/dbraw/zinc/48/51/62/1049485162.db2.gz HGCZUBYPGJWBDW-CYBMUJFWSA-N 0 3 304.434 4.492 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@@]2(C)C[C@@H]2c2ccccc2)cn1 ZINC001205499481 1049486725 /nfs/dbraw/zinc/48/67/25/1049486725.db2.gz ZGWLZMMEFRIVET-UYAOXDASSA-N 0 3 309.457 4.226 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1ccnc(F)c1C ZINC000862300418 1049486875 /nfs/dbraw/zinc/48/68/75/1049486875.db2.gz OWPYEAWVRUWCEZ-WBMJQRKESA-N 0 3 322.811 4.048 20 0 DIADHN COCCCC[C@@H](NCc1ccnc(F)c1C)c1ccccc1 ZINC000862351755 1049488335 /nfs/dbraw/zinc/48/83/35/1049488335.db2.gz SZGNJYJDWOVMEF-GOSISDBHSA-N 0 3 316.420 4.177 20 0 DIADHN CCCn1nc(C)c(CN2CCC(c3ccsc3)CC2)c1C ZINC000486915979 1049491272 /nfs/dbraw/zinc/49/12/72/1049491272.db2.gz UZXXUYZJRRFQOC-UHFFFAOYSA-N 0 3 317.502 4.351 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1nc2c(s1)C[C@H](C)CC2 ZINC001205541446 1049495532 /nfs/dbraw/zinc/49/55/32/1049495532.db2.gz PWUFJYMQKJBFOQ-RDTXWAMCSA-N 0 3 322.518 4.049 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1NCc1ccc(Cl)cc1Cl ZINC001195213684 1049498432 /nfs/dbraw/zinc/49/84/32/1049498432.db2.gz FPBNJGKMQXLLKS-SJORKVTESA-N 0 3 322.235 4.395 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@H](C2CCC2)C1 ZINC000668718464 1049498972 /nfs/dbraw/zinc/49/89/72/1049498972.db2.gz NNOJWLQCQDFKBP-HOCLYGCPSA-N 0 3 306.475 4.007 20 0 DIADHN CCN(CCC(=O)Nc1ccc(Cl)cc1)Cc1occc1C ZINC001195225445 1049499578 /nfs/dbraw/zinc/49/95/78/1049499578.db2.gz UEDUGHKWPVJJRQ-UHFFFAOYSA-N 0 3 320.820 4.092 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCOc2cc(O)ccc2C1 ZINC001181689106 1049499591 /nfs/dbraw/zinc/49/95/91/1049499591.db2.gz VIGJWLFXFOVBNZ-MRXNPFEDSA-N 0 3 303.446 4.359 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cc(F)ccc1Br ZINC001195278121 1049507282 /nfs/dbraw/zinc/50/72/82/1049507282.db2.gz JKMRURARXPZHAH-OUAUKWLOSA-N 0 3 300.215 4.065 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccccc2F)o1)[C@@H]1CC1(C)C ZINC001195269680 1049506929 /nfs/dbraw/zinc/50/69/29/1049506929.db2.gz OOUAJNYHYTXNBA-ZFWWWQNUSA-N 0 3 302.393 4.395 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cccnc3)cc2)cc1 ZINC000174575774 1049513839 /nfs/dbraw/zinc/51/38/39/1049513839.db2.gz SBPSRDKSAJZAPW-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1ccnn1CC1CC1 ZINC001204305505 1049514926 /nfs/dbraw/zinc/51/49/26/1049514926.db2.gz CHFXREWLDVJXLK-CQSZACIVSA-N 0 3 317.864 4.010 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@H]1CCC2(CCC2)O1 ZINC001195342689 1049518643 /nfs/dbraw/zinc/51/86/43/1049518643.db2.gz RYAQOJNORQDRNN-CQSZACIVSA-N 0 3 323.864 4.275 20 0 DIADHN C[C@H](c1ccco1)N(C[C@H](O)c1ccc(Cl)cc1)C1CC1 ZINC000119154807 1049519351 /nfs/dbraw/zinc/51/93/51/1049519351.db2.gz RMHRHIZIAUEWBC-WBMJQRKESA-N 0 3 305.805 4.192 20 0 DIADHN Cc1cscc1CNCc1cnc(-c2ccccc2)s1 ZINC000487178511 1049526708 /nfs/dbraw/zinc/52/67/08/1049526708.db2.gz GJKDCOICQMRCPX-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN CC(C)CCCCN1CCC(O)(c2ccccc2Cl)CC1 ZINC001205661651 1049526664 /nfs/dbraw/zinc/52/66/64/1049526664.db2.gz XVINBXAVGUJIOU-UHFFFAOYSA-N 0 3 309.881 4.450 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cc(C)ccc1F ZINC001205661949 1049526768 /nfs/dbraw/zinc/52/67/68/1049526768.db2.gz OGRWMRCQPDPQQI-OAHLLOKOSA-N 0 3 301.405 4.206 20 0 DIADHN Cc1ccc(F)c(CN2CCC3(COc4ccccc43)CC2)c1 ZINC001205661862 1049526837 /nfs/dbraw/zinc/52/68/37/1049526837.db2.gz KISCOUIBHHRNCX-UHFFFAOYSA-N 0 3 311.400 4.060 20 0 DIADHN C[C@@]1(Cc2ccccc2F)CCCN1Cc1ccncc1Cl ZINC001195463676 1049529493 /nfs/dbraw/zinc/52/94/93/1049529493.db2.gz NSXOCDOZNVSYMY-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN CCCCC[C@@H](CC)C(=O)Nc1cc(CN(C)C)ccc1OC ZINC000775659573 1049537082 /nfs/dbraw/zinc/53/70/82/1049537082.db2.gz YLKASGIQAXPYAX-MRXNPFEDSA-N 0 3 320.477 4.302 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000487258934 1049537158 /nfs/dbraw/zinc/53/71/58/1049537158.db2.gz LWEJPLCQXLBGEY-GHMZBOCLSA-N 0 3 317.260 4.298 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000487258938 1049537841 /nfs/dbraw/zinc/53/78/41/1049537841.db2.gz LWEJPLCQXLBGEY-QWRGUYRKSA-N 0 3 317.260 4.298 20 0 DIADHN Cc1oc2ccccc2c1CN(C)C[C@H]1OCCc2ccccc21 ZINC001181892544 1049540811 /nfs/dbraw/zinc/54/08/11/1049540811.db2.gz LPXUUYVHFGEFOV-OAQYLSRUSA-N 0 3 321.420 4.487 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CNC/C=C/c1ccncc1 ZINC000487294422 1049543433 /nfs/dbraw/zinc/54/34/33/1049543433.db2.gz AXJUZXKDFVYNKF-RTRPANQVSA-N 0 3 310.441 4.370 20 0 DIADHN C[C@H](c1ccc(F)cc1)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001195595534 1049543654 /nfs/dbraw/zinc/54/36/54/1049543654.db2.gz DEIXQMPRZDMUIF-LLVKDONJSA-N 0 3 323.314 4.340 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@@H](c2ccccc2)C1 ZINC001181912790 1049546382 /nfs/dbraw/zinc/54/63/82/1049546382.db2.gz HVXKXQFIFQMGGZ-CQSZACIVSA-N 0 3 303.837 4.005 20 0 DIADHN COc1ccc(CN2CCSC[C@H]2C2CC2)cc1C(C)(C)C ZINC001181912805 1049547081 /nfs/dbraw/zinc/54/70/81/1049547081.db2.gz HZFLIBNLFBPTBM-KRWDZBQOSA-N 0 3 319.514 4.320 20 0 DIADHN CCOc1cccc(CN2CC[C@@H](C(F)(F)F)C[C@@H]2C)c1 ZINC001181912718 1049547583 /nfs/dbraw/zinc/54/75/83/1049547583.db2.gz HEONCZFNFBYERN-GXTWGEPZSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@@H](NCc1nccn1CCCc1ccccc1)[C@@H]1CC1(C)C ZINC000487309725 1049548504 /nfs/dbraw/zinc/54/85/04/1049548504.db2.gz LPPVEHDFKHPDRD-AEFFLSMTSA-N 0 3 311.473 4.040 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCC[C@@H](OC2CCC2)C1 ZINC001195637705 1049548545 /nfs/dbraw/zinc/54/85/45/1049548545.db2.gz BHMDZILANHGLCG-AEFFLSMTSA-N 0 3 305.849 4.361 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1cccnc1Br ZINC001181919488 1049548843 /nfs/dbraw/zinc/54/88/43/1049548843.db2.gz FZAGMAHXOAJTIQ-HNNXBMFYSA-N 0 3 311.267 4.245 20 0 DIADHN CCC1(CC)CCC(NC(=O)c2ccccc2CN(C)C)CC1 ZINC000487325739 1049551352 /nfs/dbraw/zinc/55/13/52/1049551352.db2.gz RBDMVBISZADGJZ-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NCc2sccc2Cl)C1 ZINC000487330527 1049553994 /nfs/dbraw/zinc/55/39/94/1049553994.db2.gz VMZRPFQGUVDVNK-ZFWWWQNUSA-N 0 3 320.889 4.327 20 0 DIADHN Cc1csc2nc(C)c(CN[C@H](C)c3ccc(F)c(C)c3)n12 ZINC001181958339 1049554375 /nfs/dbraw/zinc/55/43/75/1049554375.db2.gz OXYXVERHLOXJTC-GFCCVEGCSA-N 0 3 317.433 4.311 20 0 DIADHN CCSCCN(C)[C@H]1CCCc2c(OC)ccc(Cl)c21 ZINC001195728078 1049556403 /nfs/dbraw/zinc/55/64/03/1049556403.db2.gz ALBGQRQOSXUJIB-AWEZNQCLSA-N 0 3 313.894 4.411 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cnc(-c2ccco2)s1 ZINC000487548727 1049558240 /nfs/dbraw/zinc/55/82/40/1049558240.db2.gz FMLHQNMNIMXPPS-VIFPVBQESA-N 0 3 304.337 4.224 20 0 DIADHN C[C@H](NC/C=C\c1ccncc1)c1ccc(Br)s1 ZINC000487565952 1049559368 /nfs/dbraw/zinc/55/93/68/1049559368.db2.gz CNBFSROCQQDFFG-QUCGXOGASA-N 0 3 323.259 4.270 20 0 DIADHN Cc1c(C)c(C)c(CN2C[C@@H](C)OCC23CCC3)c(C)c1C ZINC001195791171 1049569747 /nfs/dbraw/zinc/56/97/47/1049569747.db2.gz YBWZJMWCHJSVFV-CYBMUJFWSA-N 0 3 301.474 4.372 20 0 DIADHN CC[C@@H](C)N1CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC001205813205 1049570744 /nfs/dbraw/zinc/57/07/44/1049570744.db2.gz TYLVVBZZOXHINN-OAHLLOKOSA-N 0 3 316.420 4.002 20 0 DIADHN CC(C)O[C@H]1CCCN(Cc2ccc(Cl)c3cccnc23)C1 ZINC001195799847 1049571893 /nfs/dbraw/zinc/57/18/93/1049571893.db2.gz XSVVDPPRHCFIOQ-HNNXBMFYSA-N 0 3 318.848 4.278 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)[C@H]1C[C@H](OC)C12CCC2 ZINC001205821511 1049573961 /nfs/dbraw/zinc/57/39/61/1049573961.db2.gz BTYIRLGVIOBMGL-HOTGVXAUSA-N 0 3 323.864 4.128 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)[C@@H]1C[C@H](OC)C12CCC2 ZINC001205821510 1049574155 /nfs/dbraw/zinc/57/41/55/1049574155.db2.gz BTYIRLGVIOBMGL-CVEARBPZSA-N 0 3 323.864 4.128 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC3(CC3(F)F)CC2)c1 ZINC001195852156 1049574381 /nfs/dbraw/zinc/57/43/81/1049574381.db2.gz WXKVTWAUXZKPNV-UHFFFAOYSA-N 0 3 309.400 4.485 20 0 DIADHN O=C(CN1CCCC[C@H]1C1CCC1)Nc1ccc(Cl)c(F)c1 ZINC001195825212 1049576513 /nfs/dbraw/zinc/57/65/13/1049576513.db2.gz DQJHDFGJLILNHB-INIZCTEOSA-N 0 3 324.827 4.072 20 0 DIADHN Brc1cccc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1 ZINC001205875592 1049589128 /nfs/dbraw/zinc/58/91/28/1049589128.db2.gz LTZZWOZDNFUJIN-CVEARBPZSA-N 0 3 306.247 4.070 20 0 DIADHN COCCN(Cc1cc(-c2cccs2)on1)[C@H]1CCC[C@@H]1C ZINC000488007902 1049590861 /nfs/dbraw/zinc/59/08/61/1049590861.db2.gz KABRVDXURJNKGD-ZFWWWQNUSA-N 0 3 320.458 4.040 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1c(F)cccc1F)c1ccccc1F ZINC001195929457 1049593157 /nfs/dbraw/zinc/59/31/57/1049593157.db2.gz URVDBAZOROAHEZ-FUHWJXTLSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1c(F)cccc1F)c1ccccc1F ZINC001195929459 1049593752 /nfs/dbraw/zinc/59/37/52/1049593752.db2.gz URVDBAZOROAHEZ-WMZOPIPTSA-N 0 3 323.358 4.124 20 0 DIADHN COCCc1ncc(CN2CC3(CCCCC3)[C@@H]2C(C)C)s1 ZINC001182251505 1049594671 /nfs/dbraw/zinc/59/46/71/1049594671.db2.gz OPKZIMYKZGGYSR-KRWDZBQOSA-N 0 3 322.518 4.123 20 0 DIADHN C[C@@]1(CCCO)CCCN1Cc1cc2ccccc2c(Cl)n1 ZINC000794563863 1049595045 /nfs/dbraw/zinc/59/50/45/1049595045.db2.gz YFEYOBKRTIQJQX-SFHVURJKSA-N 0 3 318.848 4.015 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccccc2-n2cccn2)c1 ZINC000119647181 1049595744 /nfs/dbraw/zinc/59/57/44/1049595744.db2.gz CYHDHKONHZNQIY-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN CC(C)C[C@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001196012944 1049604877 /nfs/dbraw/zinc/60/48/77/1049604877.db2.gz HUONJTZHHSSXQJ-NSHDSACASA-N 0 3 313.367 4.448 20 0 DIADHN C[C@@]1(CCCO)CCCN1CCc1cc(Cl)ccc1Cl ZINC000488169122 1049607524 /nfs/dbraw/zinc/60/75/24/1049607524.db2.gz YUMPATINWWSEHM-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC3(CCCC3)CC2)cc1 ZINC001196048233 1049609507 /nfs/dbraw/zinc/60/95/07/1049609507.db2.gz PVTTYRFRJFHSRC-UHFFFAOYSA-N 0 3 314.473 4.234 20 0 DIADHN CN1CCN(Cc2ccc(C(F)F)c(F)c2)c2ccccc2C1 ZINC001205960658 1049611733 /nfs/dbraw/zinc/61/17/33/1049611733.db2.gz XJAYFIMQDZNDBB-UHFFFAOYSA-N 0 3 320.358 4.215 20 0 DIADHN CCC1CCC(N(CCO)Cc2nc3ccccc3s2)CC1 ZINC000488217836 1049612926 /nfs/dbraw/zinc/61/29/26/1049612926.db2.gz DMXQWMSIWBKZLU-UHFFFAOYSA-N 0 3 318.486 4.059 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@@H](c3ncc[nH]3)C2)c1 ZINC000488245966 1049621616 /nfs/dbraw/zinc/62/16/16/1049621616.db2.gz CQZRZOXKZMOWEL-CYBMUJFWSA-N 0 3 324.255 4.139 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](Cc1ccccc1)OC ZINC001196157899 1049625396 /nfs/dbraw/zinc/62/53/96/1049625396.db2.gz CLOBZFULCAQPGT-LJQANCHMSA-N 0 3 315.432 4.295 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc(OC(C)C)cc2)c(C)o1 ZINC000774205264 1049626604 /nfs/dbraw/zinc/62/66/04/1049626604.db2.gz UGEAHIIGLRQAMG-KUHUBIRLSA-N 0 3 317.429 4.068 20 0 DIADHN C[C@@H](CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001206010192 1049627596 /nfs/dbraw/zinc/62/75/96/1049627596.db2.gz FIQRLGJRUWPFEK-JENIJYKNSA-N 0 3 310.441 4.037 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OC[C@@H](C)c2ccccc2)C1 ZINC001206010194 1049628035 /nfs/dbraw/zinc/62/80/35/1049628035.db2.gz FIQRLGJRUWPFEK-ZHALLVOQSA-N 0 3 310.441 4.037 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2ccc(F)cc2F)cc1 ZINC001182343617 1049630516 /nfs/dbraw/zinc/63/05/16/1049630516.db2.gz FHBCVSOYSAZJET-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN Clc1ccc(CC2(NCc3cc(C4CC4)no3)CCC2)cc1 ZINC001206031424 1049634004 /nfs/dbraw/zinc/63/40/04/1049634004.db2.gz JFSZRRXQYUUPJF-UHFFFAOYSA-N 0 3 316.832 4.460 20 0 DIADHN Fc1ccccc1CN(CC1CC1)C[C@@H]1CCC2(CCC2)CO1 ZINC001196239108 1049635527 /nfs/dbraw/zinc/63/55/27/1049635527.db2.gz XHFRVHDLRVVLJU-SFHVURJKSA-N 0 3 317.448 4.387 20 0 DIADHN Fc1ccccc1CN(CCOCc1ccccc1)CC1CC1 ZINC001196238179 1049635890 /nfs/dbraw/zinc/63/58/90/1049635890.db2.gz PWNDCPJKUKHJPR-UHFFFAOYSA-N 0 3 313.416 4.255 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CC(C)(C)[C@@H]1C(C)C ZINC001206042361 1049637656 /nfs/dbraw/zinc/63/76/56/1049637656.db2.gz XFMVHWGCXXDVGY-IBGZPJMESA-N 0 3 311.473 4.356 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OCCC2CCCCC2)C1 ZINC001206041763 1049637689 /nfs/dbraw/zinc/63/76/89/1049637689.db2.gz QZGMAEPQIHJLIN-SJLPKXTDSA-N 0 3 302.462 4.204 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2occc21)[C@@H](O)c1c(F)cccc1F ZINC000774256505 1049638011 /nfs/dbraw/zinc/63/80/11/1049638011.db2.gz FXFDCWCECMAFJP-HDMKZQKVSA-N 0 3 321.367 4.037 20 0 DIADHN CCCSC[C@@H](C)NCc1cc(Br)ccc1F ZINC001206056159 1049642277 /nfs/dbraw/zinc/64/22/77/1049642277.db2.gz PMYNRBKAUQUAHL-SNVBAGLBSA-N 0 3 320.271 4.210 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2COC3(CCCCCC3)O2)c(C)o1 ZINC000774304035 1049643882 /nfs/dbraw/zinc/64/38/82/1049643882.db2.gz UEOAHXIFBXTSEM-ZBFHGGJFSA-N 0 3 307.434 4.013 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc2c(cc1Cl)OCCO2 ZINC001196328669 1049644143 /nfs/dbraw/zinc/64/41/43/1049644143.db2.gz FSOTWBHRRXVHNP-GXTWGEPZSA-N 0 3 309.837 4.264 20 0 DIADHN Fc1cccc(/C=C/CN2CC[C@@]3(C2)CCc2ccccc2O3)c1 ZINC001206064045 1049645820 /nfs/dbraw/zinc/64/58/20/1049645820.db2.gz UREVFMKJCDJQFD-KOXUSJRISA-N 0 3 323.411 4.309 20 0 DIADHN Fc1cccc(CCN2CCC(Oc3cccc(F)c3)CC2)c1 ZINC001196367370 1049646413 /nfs/dbraw/zinc/64/64/13/1049646413.db2.gz HXXMCZILJNGTPJ-UHFFFAOYSA-N 0 3 317.379 4.051 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC[C@@]34CCOC4)cc2)cc1 ZINC001182436176 1049647870 /nfs/dbraw/zinc/64/78/70/1049647870.db2.gz YUWLXUJOOYXHLA-IBGZPJMESA-N 0 3 313.828 4.372 20 0 DIADHN Cc1cc(CN(C)Cc2ccccc2N(C)C)ccc1Cl ZINC001206076643 1049647910 /nfs/dbraw/zinc/64/79/10/1049647910.db2.gz DTLADPMHUWOMIU-UHFFFAOYSA-N 0 3 302.849 4.346 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC3(C2)CCOCC3)c1 ZINC000488422595 1049650117 /nfs/dbraw/zinc/65/01/17/1049650117.db2.gz PKCKWHLEHRPAIH-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN COc1ccc(-c2ccc(SC)cc2C)cc1CN(C)C ZINC001206095596 1049652050 /nfs/dbraw/zinc/65/20/50/1049652050.db2.gz FIBDWGJQRMPMSS-UHFFFAOYSA-N 0 3 301.455 4.454 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H]3CS[C@@H](C(C)C)C3)o2)cs1 ZINC000488428030 1049653490 /nfs/dbraw/zinc/65/34/90/1049653490.db2.gz CMFOQNNFHYPJDR-MLGOLLRUSA-N 0 3 322.499 4.331 20 0 DIADHN CC[C@@H](CO)N(Cc1cscn1)C1CCC(C(C)(C)C)CC1 ZINC001196419132 1049656325 /nfs/dbraw/zinc/65/63/25/1049656325.db2.gz KZQKTXBAPWIEDY-WIHSUSGWSA-N 0 3 324.534 4.321 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCc2ccc(C)cc2)[n-]1 ZINC000488475627 1049657663 /nfs/dbraw/zinc/65/76/63/1049657663.db2.gz JKHFRPBHGPXNIN-XHSDSOJGSA-N 0 3 314.477 4.299 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)C[C@@H](C)C1CCCCC1 ZINC001196450926 1049659987 /nfs/dbraw/zinc/65/99/87/1049659987.db2.gz SKSCWMFYSYTTFW-OAHLLOKOSA-N 0 3 304.478 4.355 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CC[C@H]1CCC[C@H](C)C1 ZINC001196450947 1049660649 /nfs/dbraw/zinc/66/06/49/1049660649.db2.gz TXZMEJNPKUMVGV-WMLDXEAASA-N 0 3 304.478 4.355 20 0 DIADHN CC(C)(NC[C@H]1CCCC(C)(C)O1)c1nc2ccccc2s1 ZINC001196489118 1049660738 /nfs/dbraw/zinc/66/07/38/1049660738.db2.gz LITAZFXNAGCMMT-CYBMUJFWSA-N 0 3 318.486 4.469 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCc2ccccc2F)[n-]1 ZINC000488480398 1049661117 /nfs/dbraw/zinc/66/11/17/1049661117.db2.gz OIKWCJIOEVOILF-MELADBBJSA-N 0 3 318.440 4.129 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CC[C@@H](N(C)c2ccccc2)C1 ZINC001196505947 1049663689 /nfs/dbraw/zinc/66/36/89/1049663689.db2.gz JUNLTIBTZTWGOY-WMLDXEAASA-N 0 3 316.395 4.237 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@H](c3ccccc3)C2)[n-]1 ZINC000488505060 1049664097 /nfs/dbraw/zinc/66/40/97/1049664097.db2.gz XESGJVHSWGKVDN-NXNVCVFFSA-N 0 3 312.461 4.305 20 0 DIADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+]C2CCC(C(F)(F)F)CC2)[n-]1 ZINC000488505093 1049665639 /nfs/dbraw/zinc/66/56/39/1049665639.db2.gz QXQRYPJGWBKLSD-QYNFOATHSA-N 0 3 318.387 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCc2ccc(F)cc2)[n-]1 ZINC000488507281 1049666182 /nfs/dbraw/zinc/66/61/82/1049666182.db2.gz WJKOQHZMEULTIR-BFHYXJOUSA-N 0 3 318.440 4.129 20 0 DIADHN Cc1nc(C)c(CN2CCC(Oc3ccccc3C)CC2)s1 ZINC001206144281 1049669324 /nfs/dbraw/zinc/66/93/24/1049669324.db2.gz JUQFAAMLKPGMGH-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN C[C@@H]1C[C@@H]1CN1CCC[C@H]1c1ccc(Br)s1 ZINC000488544377 1049673936 /nfs/dbraw/zinc/67/39/36/1049673936.db2.gz LYYLQUSPJGKHOP-MXWKQRLJSA-N 0 3 300.265 4.304 20 0 DIADHN Cc1ccc(O[C@H]2CCN(Cc3cccc(C(C)(C)C)c3)C2)nc1 ZINC001196575999 1049674415 /nfs/dbraw/zinc/67/44/15/1049674415.db2.gz ZZNNZDSTCRKDPR-IBGZPJMESA-N 0 3 324.468 4.341 20 0 DIADHN CC(C)O[C@@H](CN1CC(c2ccc(F)cc2)C1)c1ccccc1 ZINC001206174869 1049675180 /nfs/dbraw/zinc/67/51/80/1049675180.db2.gz UHUMINVXQYADJA-FQEVSTJZSA-N 0 3 313.416 4.391 20 0 DIADHN Cc1nc(C)c(CN(Cc2ccccn2)C2CCCCC2)s1 ZINC001206179218 1049676700 /nfs/dbraw/zinc/67/67/00/1049676700.db2.gz JTFLCJYZDIESSE-UHFFFAOYSA-N 0 3 315.486 4.490 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CC(N(C)Cc2ccccc2)C1 ZINC001206180965 1049677421 /nfs/dbraw/zinc/67/74/21/1049677421.db2.gz UREJQPXHCLPKMD-SFHVURJKSA-N 0 3 314.517 4.409 20 0 DIADHN Fc1cccc(F)c1CC1CN(C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001206180366 1049677449 /nfs/dbraw/zinc/67/74/49/1049677449.db2.gz LQLBURUNBKOXRC-IRXDYDNUSA-N 0 3 313.391 4.243 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CC(N(C)Cc2ccccc2)C1 ZINC001206180964 1049677868 /nfs/dbraw/zinc/67/78/68/1049677868.db2.gz UREJQPXHCLPKMD-GOSISDBHSA-N 0 3 314.517 4.409 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccnc(Cl)c1)c1ccccc1 ZINC000774494155 1049678146 /nfs/dbraw/zinc/67/81/46/1049678146.db2.gz CLOVITPPVGWEJE-CZUORRHYSA-N 0 3 304.821 4.163 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](Sc3ccc(F)cc3)C2)s1 ZINC001206185159 1049679280 /nfs/dbraw/zinc/67/92/80/1049679280.db2.gz GLGHGNBHBZJFFT-HNNXBMFYSA-N 0 3 322.474 4.266 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCN(CCC2=CCCCC2)CC1 ZINC001196640182 1049679344 /nfs/dbraw/zinc/67/93/44/1049679344.db2.gz VIWJRZPCQFLTRT-UHFFFAOYSA-N 0 3 323.484 4.176 20 0 DIADHN CCOc1cccc(CN2CC(Cc3ccc(F)c(F)c3)C2)c1 ZINC001206195646 1049680856 /nfs/dbraw/zinc/68/08/56/1049680856.db2.gz ZEEGMKDZZAQXQP-UHFFFAOYSA-N 0 3 317.379 4.038 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)[C@@H]2CCCN2CC2CC2)cc1 ZINC001182553457 1049682864 /nfs/dbraw/zinc/68/28/64/1049682864.db2.gz AXLSLXVWQVYTIF-KSSFIOAISA-N 0 3 300.446 4.013 20 0 DIADHN CCC1(c2ccccc2)CN(Cc2ccc3c(c2)C[C@H](C)O3)C1 ZINC001206224231 1049685680 /nfs/dbraw/zinc/68/56/80/1049685680.db2.gz OSKXWAQFZVAIRV-INIZCTEOSA-N 0 3 307.437 4.174 20 0 DIADHN CN(CCC(C)(C)C)Cc1cccc(Br)c1F ZINC001182565803 1049687397 /nfs/dbraw/zinc/68/73/97/1049687397.db2.gz JUDPKZOJGMBXNO-UHFFFAOYSA-N 0 3 302.231 4.456 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1Cc1cccc2c1OCC2 ZINC001206276883 1049696919 /nfs/dbraw/zinc/69/69/19/1049696919.db2.gz RJMXSNOERJZFQR-LJQANCHMSA-N 0 3 311.400 4.406 20 0 DIADHN C[C@H]1CN(Cc2cnc3ccccc3c2)CCc2ccccc21 ZINC000911595606 1049702810 /nfs/dbraw/zinc/70/28/10/1049702810.db2.gz KLAGUILNJAREBP-INIZCTEOSA-N 0 3 302.421 4.397 20 0 DIADHN Fc1ccc(CCN2CCC(c3ccco3)CC2)c(Cl)c1 ZINC001182795536 1049709994 /nfs/dbraw/zinc/70/99/94/1049709994.db2.gz DZYQPGRKODWUPQ-UHFFFAOYSA-N 0 3 307.796 4.494 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H](CC(F)(F)F)C2)c(F)c1 ZINC001206341610 1049716619 /nfs/dbraw/zinc/71/66/19/1049716619.db2.gz AQTSZLQEJVEQOP-NSHDSACASA-N 0 3 307.306 4.172 20 0 DIADHN CC(C)Cc1ncc(CN2CCSC[C@@H]2C2CCC2)s1 ZINC001206349704 1049718016 /nfs/dbraw/zinc/71/80/16/1049718016.db2.gz CDSYNKXHDSFLTH-OAHLLOKOSA-N 0 3 310.532 4.059 20 0 DIADHN Cc1occc1CN1CCCC[C@H]1CCC(=O)OC(C)(C)C ZINC001206384844 1049724429 /nfs/dbraw/zinc/72/44/29/1049724429.db2.gz OIKJJWPFJGMRGT-INIZCTEOSA-N 0 3 307.434 4.064 20 0 DIADHN CCCN(C[C@H]1CC1(Cl)Cl)[C@@H]1CCCc2cccnc21 ZINC000775761063 1049725653 /nfs/dbraw/zinc/72/56/53/1049725653.db2.gz RVFFKQJPVNYPEQ-ZIAGYGMSSA-N 0 3 313.272 4.365 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@@H]2CC3CCC2CC3)s1 ZINC001206401491 1049726805 /nfs/dbraw/zinc/72/68/05/1049726805.db2.gz MIWZAJZKNADQRR-ILDUYXDCSA-N 0 3 304.381 4.078 20 0 DIADHN CN(C(=O)c1cccc(CN2CCCCC2)c1)c1ccsc1 ZINC000912002817 1049726844 /nfs/dbraw/zinc/72/68/44/1049726844.db2.gz BGAWOQFWFMLITL-UHFFFAOYSA-N 0 3 314.454 4.011 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@]1(C)Cc1ccccc1F ZINC001206408470 1049728805 /nfs/dbraw/zinc/72/88/05/1049728805.db2.gz ASMRAISYSZWLLI-IBGZPJMESA-N 0 3 316.395 4.265 20 0 DIADHN C[C@]1(C2CCCCC2)CCN1CC(=O)NCCC1CCCCC1 ZINC001197238021 1049730000 /nfs/dbraw/zinc/73/00/00/1049730000.db2.gz CQBRRMNOLPTNGU-HXUWFJFHSA-N 0 3 320.521 4.118 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCN(c2c(F)cccc2F)CC1 ZINC001206418237 1049731552 /nfs/dbraw/zinc/73/15/52/1049731552.db2.gz ATNHQGJDIXCDPQ-HZPDHXFCSA-N 0 3 322.443 4.303 20 0 DIADHN COc1cnccc1CN(Cc1ccccc1)C1CCCCC1 ZINC001206446281 1049734870 /nfs/dbraw/zinc/73/48/70/1049734870.db2.gz GQGADNLEZWVFHC-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN COC(=O)c1csc(CN2CCC[C@]2(C)c2ccccc2)c1 ZINC001197341503 1049746238 /nfs/dbraw/zinc/74/62/38/1049746238.db2.gz JSQCHXGHXALZTL-GOSISDBHSA-N 0 3 315.438 4.046 20 0 DIADHN Fc1ccccc1-c1ncc(CN2C[C@H]3CCCC[C@H]32)s1 ZINC001206560379 1049755465 /nfs/dbraw/zinc/75/54/65/1049755465.db2.gz NTXQVOZZVQMHQE-MLGOLLRUSA-N 0 3 302.418 4.324 20 0 DIADHN CCCCCCN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001197522954 1049756234 /nfs/dbraw/zinc/75/62/34/1049756234.db2.gz DAOUCQUKOCUBNL-LJQANCHMSA-N 0 3 306.469 4.475 20 0 DIADHN CCC1(CC)CCCN1Cc1cnc(Br)c(C)c1 ZINC001197514080 1049756630 /nfs/dbraw/zinc/75/66/30/1049756630.db2.gz IELMDXYYRFGOJS-UHFFFAOYSA-N 0 3 311.267 4.307 20 0 DIADHN CCCCCC[C@H](CCCC)OCCCN1CCN(C)CC1 ZINC001206596119 1049766401 /nfs/dbraw/zinc/76/64/01/1049766401.db2.gz KWZDHCUPCKZYRG-IBGZPJMESA-N 0 3 312.542 4.170 20 0 DIADHN Fc1cc(-c2cccc(CN3CCCC3)c2F)cc2n[nH]cc21 ZINC001206608530 1049768851 /nfs/dbraw/zinc/76/88/51/1049768851.db2.gz HTVFXEWQNDSTEO-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN Cc1ccc(-c2ccccc2CN(C)Cc2cnc[nH]2)c(C)c1 ZINC001206628609 1049773550 /nfs/dbraw/zinc/77/35/50/1049773550.db2.gz JYFVMTYRHUWGEX-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN CN(Cc1nnc(-c2ccc(Cl)cc2)o1)C1(C)CCCC1 ZINC000489866932 1049786930 /nfs/dbraw/zinc/78/69/30/1049786930.db2.gz UCCPOHXRQRGYPK-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3nc4cccnc4s3)C2)cc1 ZINC000490051996 1049797708 /nfs/dbraw/zinc/79/77/08/1049797708.db2.gz DWGRDDBGRAZQTB-HOCLYGCPSA-N 0 3 323.465 4.378 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cc(-c3ccccc3)on1)CC2 ZINC001206847870 1049812926 /nfs/dbraw/zinc/81/29/26/1049812926.db2.gz MTHCKCMMAAYNKV-UHFFFAOYSA-N 0 3 314.404 4.446 20 0 DIADHN Cc1ncc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)s1 ZINC001207061956 1049829362 /nfs/dbraw/zinc/82/93/62/1049829362.db2.gz QOTGIEWCCLGOBH-NSHDSACASA-N 0 3 306.862 4.267 20 0 DIADHN COc1c(O)cccc1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC000490568199 1049841887 /nfs/dbraw/zinc/84/18/87/1049841887.db2.gz MRBUCWISOTZCHH-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@@H]1c1cccc(C)c1C ZINC000490571602 1049842802 /nfs/dbraw/zinc/84/28/02/1049842802.db2.gz UZGXUADKGSNWPF-GOSISDBHSA-N 0 3 311.425 4.355 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Br)c3cccnc23)[C@@H]1C ZINC000490600012 1049845241 /nfs/dbraw/zinc/84/52/41/1049845241.db2.gz YCPZHIVTZZUXIV-VXGBXAGGSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1cncc(CN2CCC(Cc3ccc(F)cc3F)CC2)c1 ZINC001207162630 1049847030 /nfs/dbraw/zinc/84/70/30/1049847030.db2.gz UPTUOCRNFQNPOB-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cncc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)c1 ZINC001207162346 1049847888 /nfs/dbraw/zinc/84/78/88/1049847888.db2.gz FTOKRJJOJZNDTE-AWEZNQCLSA-N 0 3 300.833 4.205 20 0 DIADHN CC(C)n1nccc1CN1CCC(CCc2ccccc2)CC1 ZINC001207174407 1049849981 /nfs/dbraw/zinc/84/99/81/1049849981.db2.gz SRFUPTSGJOKPQG-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000913206205 1049850201 /nfs/dbraw/zinc/85/02/01/1049850201.db2.gz MFVFCPYTPFVBEW-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN FC[C@H](N[C@@H]1CCC[C@H](C2CCOCC2)C1)c1ccc(F)cc1 ZINC001207225738 1049865248 /nfs/dbraw/zinc/86/52/48/1049865248.db2.gz OTNXYTZTPHGQRJ-UHOSZYNNSA-N 0 3 323.427 4.411 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1ccnn1C1CCC1 ZINC000924856993 1049870078 /nfs/dbraw/zinc/87/00/78/1049870078.db2.gz PJDJZAOFBHSVTM-AWEZNQCLSA-N 0 3 306.413 4.007 20 0 DIADHN Brc1cnc2c(c1)CN(CCC1CCCCC1)CC2 ZINC001207262496 1049871687 /nfs/dbraw/zinc/87/16/87/1049871687.db2.gz MZLCNZOWCHSECY-UHFFFAOYSA-N 0 3 323.278 4.173 20 0 DIADHN CC(C)(CN1CC[C@]12CCOC2)Sc1ccc(Cl)cc1 ZINC001207300404 1049878287 /nfs/dbraw/zinc/87/82/87/1049878287.db2.gz XXEQNXNDRULXAZ-MRXNPFEDSA-N 0 3 311.878 4.076 20 0 DIADHN Cc1cc(F)c(CN2CCCC[C@H](C3CCOCC3)C2)c(F)c1 ZINC001207302221 1049878829 /nfs/dbraw/zinc/87/88/29/1049878829.db2.gz OBSHOHWMXLCOGH-INIZCTEOSA-N 0 3 323.427 4.302 20 0 DIADHN Brc1ccc(CCCN2CCc3occc3C2)cc1 ZINC001207323882 1049880410 /nfs/dbraw/zinc/88/04/10/1049880410.db2.gz ZHWJVXKORCNDJP-UHFFFAOYSA-N 0 3 320.230 4.033 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)C[C@@H]1CCO[C@H](C)C1 ZINC000491092821 1049886003 /nfs/dbraw/zinc/88/60/03/1049886003.db2.gz TZBDRAOFBLHANI-MGPQQGTHSA-N 0 3 304.459 4.104 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H](C4CC4)C3)cs2)cc1 ZINC000491139383 1049886912 /nfs/dbraw/zinc/88/69/12/1049886912.db2.gz SZCPBEBTXSWTID-OAHLLOKOSA-N 0 3 314.454 4.051 20 0 DIADHN CC[C@H]1CCCN1Cc1ccc(Br)c2cccnc12 ZINC000491150126 1049888838 /nfs/dbraw/zinc/88/88/38/1049888838.db2.gz VJPUEGWJATXXQG-ZDUSSCGKSA-N 0 3 319.246 4.372 20 0 DIADHN O=C(CN1CC[C@@H](C2CC2)C1)Nc1ccccc1-c1ccccc1 ZINC000491161933 1049889588 /nfs/dbraw/zinc/88/95/88/1049889588.db2.gz NNVGRSPBGKLEDQ-GOSISDBHSA-N 0 3 320.436 4.024 20 0 DIADHN CC[C@@H](CCC(F)(F)F)NCc1noc2c1CC(C)(C)CC2 ZINC001207415143 1049890657 /nfs/dbraw/zinc/89/06/57/1049890657.db2.gz KOGXSGFPHSPPNX-NSHDSACASA-N 0 3 318.383 4.400 20 0 DIADHN COc1cccc(CCN2CCC[C@@H]2c2ccc(F)cc2F)c1 ZINC001207433403 1049891988 /nfs/dbraw/zinc/89/19/88/1049891988.db2.gz RPILCMINOADEGE-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1cnccc1CN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000570897615 1049892643 /nfs/dbraw/zinc/89/26/43/1049892643.db2.gz FWMDLPXCVFSBMU-LJQANCHMSA-N 0 3 316.395 4.452 20 0 DIADHN COc1ccccc1CCN1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001207457721 1049894475 /nfs/dbraw/zinc/89/44/75/1049894475.db2.gz CQSMONCGDFLSLE-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN CSCc1ccc(CNCc2nccc3ccccc32)cc1 ZINC000586783256 1049898099 /nfs/dbraw/zinc/89/80/99/1049898099.db2.gz QGGPOFFVGKQZQU-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN Fc1ccccc1CCN1CCC(Sc2ccncc2)CC1 ZINC001207505303 1049900403 /nfs/dbraw/zinc/90/04/03/1049900403.db2.gz YMMVBVPIPSCMIK-UHFFFAOYSA-N 0 3 316.445 4.020 20 0 DIADHN Fc1ccccc1CCN1CCC[C@H](Sc2ccncc2)C1 ZINC001207502369 1049901087 /nfs/dbraw/zinc/90/10/87/1049901087.db2.gz XVHHIGUJTMSHGS-KRWDZBQOSA-N 0 3 316.445 4.020 20 0 DIADHN C[C@@H]1CCN(CC(c2ccccc2)c2ccccc2)CCC1=O ZINC001207522456 1049904723 /nfs/dbraw/zinc/90/47/23/1049904723.db2.gz GNCHHXHAHWHRIF-QGZVFWFLSA-N 0 3 307.437 4.120 20 0 DIADHN Clc1cccc(CCCN2CCOc3ccccc3C2)c1 ZINC001207589701 1049913239 /nfs/dbraw/zinc/91/32/39/1049913239.db2.gz QIYAGPCQGYGODA-UHFFFAOYSA-N 0 3 301.817 4.167 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)C[C@@H]2C[C@H]3C=C[C@@H]2C3)on1 ZINC001207630983 1049917894 /nfs/dbraw/zinc/91/78/94/1049917894.db2.gz BRIVPYNPDSLXAW-OTWHNJEPSA-N 0 3 308.425 4.197 20 0 DIADHN Clc1ccc(-c2ncc(CN3CCCSCC3)s2)cc1 ZINC000571065593 1049920176 /nfs/dbraw/zinc/92/01/76/1049920176.db2.gz KNAXPIGRXKXYGU-UHFFFAOYSA-N 0 3 324.902 4.402 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN[C@@H](C)c1cn[nH]c1 ZINC000571120719 1049920229 /nfs/dbraw/zinc/92/02/29/1049920229.db2.gz XCVLKNIQBVVMPZ-DSDFTUOUSA-N 0 3 309.335 4.183 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC000087798589 1049924646 /nfs/dbraw/zinc/92/46/46/1049924646.db2.gz ZGEGTSHXESSRAU-LRDDRELGSA-N 0 3 324.262 4.222 20 0 DIADHN FC(F)(F)c1cccc2c1CCN(CC1CCSCC1)C2 ZINC001207755661 1049935661 /nfs/dbraw/zinc/93/56/61/1049935661.db2.gz ZSGPCVOXUKZCNC-UHFFFAOYSA-N 0 3 315.404 4.207 20 0 DIADHN CCN(C/C=C/c1ccc(F)c(F)c1)[C@H](C)c1cccnc1 ZINC000571568569 1049939254 /nfs/dbraw/zinc/93/92/54/1049939254.db2.gz OSHCIMOYIKQILW-VBROQKIQSA-N 0 3 302.368 4.456 20 0 DIADHN CN(CCCC1CCCCC1)Cc1ccc([S@@](C)=O)cc1 ZINC000571602799 1049939557 /nfs/dbraw/zinc/93/95/57/1049939557.db2.gz YCEAWYLYJWPBDX-OAQYLSRUSA-N 0 3 307.503 4.216 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@@H]1OCc2ccccc21 ZINC001207806018 1049940165 /nfs/dbraw/zinc/94/01/65/1049940165.db2.gz PESYFBGLTAGBHP-KRWDZBQOSA-N 0 3 313.784 4.447 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1nc2ccccc2n1C(F)F ZINC001207872579 1049949204 /nfs/dbraw/zinc/94/92/04/1049949204.db2.gz FPEBMADGMSCXLP-LPEHRKFASA-N 0 3 315.314 4.126 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCCN1C[C@@H]1CCCO1 ZINC001207895778 1049950896 /nfs/dbraw/zinc/95/08/96/1049950896.db2.gz DYWUWNRZSQRUMO-XJKSGUPXSA-N 0 3 313.363 4.411 20 0 DIADHN C[C@@H](CN1CCc2nn(-c3ccccc3)cc2C1)c1ccccc1 ZINC001207911624 1049952509 /nfs/dbraw/zinc/95/25/09/1049952509.db2.gz GFQMPUNWGKNEIP-KRWDZBQOSA-N 0 3 317.436 4.034 20 0 DIADHN C[C@@H](CN1CCO[C@](C)(c2ccc(F)cc2)C1)c1ccccc1 ZINC001207912633 1049953901 /nfs/dbraw/zinc/95/39/01/1049953901.db2.gz WZEDVNXWGNTAAH-JXFKEZNVSA-N 0 3 313.416 4.177 20 0 DIADHN CC(C)c1ccc(C[C@H](C)CN2CCc3cnccc3C2)cc1 ZINC001207930891 1049955383 /nfs/dbraw/zinc/95/53/83/1049955383.db2.gz CNCMIKQXSLXBHK-KRWDZBQOSA-N 0 3 308.469 4.442 20 0 DIADHN C[C@@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1ccncn1 ZINC001207918257 1049955970 /nfs/dbraw/zinc/95/59/70/1049955970.db2.gz LFAZMKRYZRVWHL-INIZCTEOSA-N 0 3 311.473 4.085 20 0 DIADHN FC(F)COc1ccc(CNCc2coc3ccccc23)cc1 ZINC000571780727 1049967291 /nfs/dbraw/zinc/96/72/91/1049967291.db2.gz BHWSUPCCICZBMJ-UHFFFAOYSA-N 0 3 317.335 4.367 20 0 DIADHN O=C1CCC(CS[C@@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000571799788 1049968338 /nfs/dbraw/zinc/96/83/38/1049968338.db2.gz LHQJZSGYBBRDCQ-LJQANCHMSA-N 0 3 317.498 4.144 20 0 DIADHN C(=C/c1ccccc1)\CN1CCN(CCCC2CCCC2)CC1 ZINC001208087252 1049972152 /nfs/dbraw/zinc/97/21/52/1049972152.db2.gz DCZKNEJGOVMFRW-WUXMJOGZSA-N 0 3 312.501 4.288 20 0 DIADHN Fc1cccc([C@H]2CCN(CCc3c(F)cccc3F)C2)c1 ZINC001208116849 1049974042 /nfs/dbraw/zinc/97/40/42/1049974042.db2.gz HLQWNAIOENNSNB-AWEZNQCLSA-N 0 3 305.343 4.136 20 0 DIADHN CS[C@@H](C)CCN(Cc1ccccc1)Cc1ccncc1 ZINC001208137849 1049976813 /nfs/dbraw/zinc/97/68/13/1049976813.db2.gz HRUIKVXTEHHXSC-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN CS[C@H](C)CCN(Cc1ccccc1)Cc1cccnc1 ZINC001208141165 1049977542 /nfs/dbraw/zinc/97/75/42/1049977542.db2.gz IKQHMGBCEVLAKL-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN Fc1cccc(CCN(Cc2ccccc2)Cc2cccnc2)c1 ZINC001208197227 1049984567 /nfs/dbraw/zinc/98/45/67/1049984567.db2.gz JCDTVIBQSCJAFW-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN Fc1ccc(OC2CCN(CCc3cccc(F)c3)CC2)cc1 ZINC001208197714 1049984807 /nfs/dbraw/zinc/98/48/07/1049984807.db2.gz RTSXSNGKJYENFD-UHFFFAOYSA-N 0 3 317.379 4.051 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCc2ncccc2C1 ZINC001208206018 1049985340 /nfs/dbraw/zinc/98/53/40/1049985340.db2.gz MVRLPJLLNHFQLU-INIZCTEOSA-N 0 3 304.478 4.061 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCc2ncccc2C1 ZINC001208206019 1049985574 /nfs/dbraw/zinc/98/55/74/1049985574.db2.gz MVRLPJLLNHFQLU-MRXNPFEDSA-N 0 3 304.478 4.061 20 0 DIADHN COCCCOc1cc(C)ccc1CNCc1ccccc1C ZINC000088753847 1049986418 /nfs/dbraw/zinc/98/64/18/1049986418.db2.gz RXXVJXHEGYJBBG-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCC[C@H]1c1ncccn1 ZINC001208214155 1049986541 /nfs/dbraw/zinc/98/65/41/1049986541.db2.gz ROHHCXJYOFTMLU-SJORKVTESA-N 0 3 319.493 4.235 20 0 DIADHN C[C@@H](NCc1ccc(F)c(F)c1)c1cccc(N2CCCC2)c1 ZINC000088764424 1049986635 /nfs/dbraw/zinc/98/66/35/1049986635.db2.gz CCNFPVWCAPJYHE-CQSZACIVSA-N 0 3 316.395 4.416 20 0 DIADHN Fc1cc(F)cc(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000166065763 1049991377 /nfs/dbraw/zinc/99/13/77/1049991377.db2.gz ZECVUDYNTSZVMT-LIRRHRJNSA-N 0 3 317.379 4.222 20 0 DIADHN Fc1ccc([C@H]2CCCN2C[C@H]2COC3(CCCCC3)O2)cc1 ZINC001208635825 1049998357 /nfs/dbraw/zinc/99/83/57/1049998357.db2.gz GBYSHXDJYVCKGA-ZWKOTPCHSA-N 0 3 319.420 4.038 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1C[C@H]1COC2(CCCCC2)O1 ZINC001208639656 1049999675 /nfs/dbraw/zinc/99/96/75/1049999675.db2.gz CCKMDICUXHSHMN-MAUKXSAKSA-N 0 3 319.420 4.038 20 0 DIADHN C[C@@H](CCN1CCN(CCc2cccs2)CC1)CC(C)(C)C ZINC001208660437 1049999903 /nfs/dbraw/zinc/99/99/03/1049999903.db2.gz QEDUUJKFNNHIFV-KRWDZBQOSA-N 0 3 322.562 4.371 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1cccs1)c1ccc(F)cc1Cl ZINC000156921005 1050001708 /nfs/dbraw/zinc/00/17/08/1050001708.db2.gz ZPHPJLUCDVIKCM-ZUZCIYMTSA-N 0 3 313.825 4.099 20 0 DIADHN CCCN(Cc1csc(-c2ccccc2OC)n1)C(C)C ZINC000572420677 1050001729 /nfs/dbraw/zinc/00/17/29/1050001729.db2.gz GOCFVIMLJKDSAC-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN C[C@@H]1CCN(CCCc2ccc(C(F)(F)F)cc2)C[C@H]1F ZINC001208687025 1050002440 /nfs/dbraw/zinc/00/24/40/1050002440.db2.gz XUECEFAOHJWHEF-IUODEOHRSA-N 0 3 303.343 4.318 20 0 DIADHN CC(C)N(Cc1cccc(Cl)c1)C(=O)CN(C)CC(C)(C)C ZINC000588901490 1050007156 /nfs/dbraw/zinc/00/71/56/1050007156.db2.gz GSXGRQPWGYOROP-UHFFFAOYSA-N 0 3 324.896 4.055 20 0 DIADHN CN(CC(=O)N[C@@H](c1ccccc1)C1CCCC1)CC(C)(C)C ZINC000588901845 1050007209 /nfs/dbraw/zinc/00/72/09/1050007209.db2.gz AEOOOEOVTAMFRD-IBGZPJMESA-N 0 3 316.489 4.012 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CCc2cnccc2C1 ZINC001208826649 1050013134 /nfs/dbraw/zinc/01/31/34/1050013134.db2.gz BPSDIWBCEQWTMO-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CC(c2cccnc2)C1 ZINC001208831206 1050013637 /nfs/dbraw/zinc/01/36/37/1050013637.db2.gz JVONCNPTUKEZFD-UHFFFAOYSA-N 0 3 320.358 4.132 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CCc2occc2C1 ZINC001208830493 1050013999 /nfs/dbraw/zinc/01/39/99/1050013999.db2.gz DCYNKAKGUCTKHQ-UHFFFAOYSA-N 0 3 309.331 4.289 20 0 DIADHN C[C@H]1CN(CCCc2ccc(Cl)c(Cl)c2)CCCC1=O ZINC001208834416 1050014829 /nfs/dbraw/zinc/01/48/29/1050014829.db2.gz ULHGOHDRMZKQJF-LBPRGKRZSA-N 0 3 314.256 4.227 20 0 DIADHN CN1CC=C(Nc2ccccc2-c2ccc(F)c(F)c2)CC1 ZINC001208843786 1050016384 /nfs/dbraw/zinc/01/63/84/1050016384.db2.gz HDWUBTNLBPMTOF-UHFFFAOYSA-N 0 3 300.352 4.263 20 0 DIADHN F[C@H]1CCN(CCCc2ccccc2Cl)CCC1(F)F ZINC001208870762 1050018512 /nfs/dbraw/zinc/01/85/12/1050018512.db2.gz DAWAXIOBEFWVCA-AWEZNQCLSA-N 0 3 305.771 4.342 20 0 DIADHN C[C@H](CN1CCC(Oc2ccc(Cl)cc2)CC1)C(F)(F)F ZINC001208895845 1050021051 /nfs/dbraw/zinc/02/10/51/1050021051.db2.gz NFWKXFSNHHKHRF-LLVKDONJSA-N 0 3 321.770 4.382 20 0 DIADHN C[C@@H](CN1CCC2(CC1)O[C@H](C)c1ccccc12)C(F)(F)F ZINC001208897391 1050021393 /nfs/dbraw/zinc/02/13/93/1050021393.db2.gz FNLWHCRZBZEMQS-QWHCGFSZSA-N 0 3 313.363 4.267 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cnc(-c2ccsc2)s1 ZINC000589206416 1050024801 /nfs/dbraw/zinc/02/48/01/1050024801.db2.gz JHQYVKQMNZUYHQ-LBPRGKRZSA-N 0 3 312.529 4.445 20 0 DIADHN CN1CC=C(Nc2ccc(F)cc2OCc2ccccc2)CC1 ZINC001208979854 1050029478 /nfs/dbraw/zinc/02/94/78/1050029478.db2.gz OCTAUVWAQIWSOH-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN FC1(F)C[C@@H]2CN(CCc3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001209080032 1050033216 /nfs/dbraw/zinc/03/32/16/1050033216.db2.gz ARHQVVOMFLWVML-PWSUYJOCSA-N 0 3 306.183 4.123 20 0 DIADHN C[C@@H]1CN(CCc2c(Cl)cccc2Cl)CCC[C@@]1(C)O ZINC001209104772 1050034800 /nfs/dbraw/zinc/03/48/00/1050034800.db2.gz FXCHDGFGBHBCDJ-MLGOLLRUSA-N 0 3 316.272 4.019 20 0 DIADHN C[C@H]1C[C@H]2CN(CCc3c(Cl)cccc3Cl)CC[C@H]2O1 ZINC001209105217 1050035084 /nfs/dbraw/zinc/03/50/84/1050035084.db2.gz MERBKGGSSVZJFM-MQIPJXDCSA-N 0 3 314.256 4.035 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H](c3ccccn3)C2)c(Cl)c1 ZINC001209112768 1050037068 /nfs/dbraw/zinc/03/70/68/1050037068.db2.gz MMXOHDMWPKFDAR-OAHLLOKOSA-N 0 3 318.823 4.296 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1CCCc1ccncc1 ZINC001209188976 1050042367 /nfs/dbraw/zinc/04/23/67/1050042367.db2.gz BYDYGPVRHRGXKP-QGZVFWFLSA-N 0 3 302.368 4.130 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2CCCc2ccncc2)c1 ZINC001209190799 1050043451 /nfs/dbraw/zinc/04/34/51/1050043451.db2.gz AVDKRSWNSZUHMV-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN Cn1cc(CN2CC(C)(C)[C@H]2C2CC2)c(C2CCCCC2)n1 ZINC000572807674 1050044102 /nfs/dbraw/zinc/04/41/02/1050044102.db2.gz DFDNYCHGIYYSLT-GOSISDBHSA-N 0 3 301.478 4.088 20 0 DIADHN C[C@@]1(F)CCN([C@H](c2ccc(Cl)cc2)C2CCOCC2)C1 ZINC000572896508 1050046201 /nfs/dbraw/zinc/04/62/01/1050046201.db2.gz UIQGVYRMFUHEMB-IAGOWNOFSA-N 0 3 311.828 4.242 20 0 DIADHN Fc1ccccc1[C@H]1CCN(CCc2cc(F)c(F)cc2F)C1 ZINC001209251742 1050046873 /nfs/dbraw/zinc/04/68/73/1050046873.db2.gz CDSFAIYVZMEJDB-ZDUSSCGKSA-N 0 3 323.333 4.275 20 0 DIADHN Fc1ccc(CCN2CCSC[C@H]2c2ccccc2)cc1F ZINC001209243189 1050046946 /nfs/dbraw/zinc/04/69/46/1050046946.db2.gz ALIWRCQDISVXOM-SFHVURJKSA-N 0 3 319.420 4.297 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CCC[C@@H](c2ccccc2)CC1 ZINC000589610899 1050048991 /nfs/dbraw/zinc/04/89/91/1050048991.db2.gz MUBRMCYKHJMSNX-PKOBYXMFSA-N 0 3 322.452 4.283 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1nc(C)no1 ZINC000589611990 1050049313 /nfs/dbraw/zinc/04/93/13/1050049313.db2.gz SJKMBRYPRHUZLA-KRWDZBQOSA-N 0 3 301.434 4.001 20 0 DIADHN FC1CC2(C1)CCN(CCc1ccccc1C(F)(F)F)CC2 ZINC001209277300 1050049576 /nfs/dbraw/zinc/04/95/76/1050049576.db2.gz KLGFUIYQFPCIPF-UHFFFAOYSA-N 0 3 315.354 4.462 20 0 DIADHN CC/C=C\CCCCCCN1CC(N2CCC(F)(F)CC2)C1 ZINC001209284842 1050050434 /nfs/dbraw/zinc/05/04/34/1050050434.db2.gz CVCASKCMOCIKJP-ARJAWSKDSA-N 0 3 314.464 4.318 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCC[C@H]1c1ccccn1 ZINC001209286812 1050050659 /nfs/dbraw/zinc/05/06/59/1050050659.db2.gz ZXBPOKZYULAAQP-KRWDZBQOSA-N 0 3 320.358 4.480 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CCN(CCOc1ccccc1)C2 ZINC001209301320 1050051875 /nfs/dbraw/zinc/05/18/75/1050051875.db2.gz QZUWDBSXQHDJJT-UHFFFAOYSA-N 0 3 321.342 4.143 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(Oc3ccccc3)cc2)n[nH]1 ZINC000589649924 1050054692 /nfs/dbraw/zinc/05/46/92/1050054692.db2.gz YYBOLBIKKQQSOJ-OAHLLOKOSA-N 0 3 307.397 4.361 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)C[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC001209400816 1050056942 /nfs/dbraw/zinc/05/69/42/1050056942.db2.gz CHHLCUXLRMCITE-ZMMAXQRCSA-N 0 3 317.473 4.173 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3ccc(C)cc13)CC2 ZINC001209490786 1050062394 /nfs/dbraw/zinc/06/23/94/1050062394.db2.gz HFULSHNQKUDHRX-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1cccc2c(CN3CCC4(CC(F)(F)C4)CC3)c[nH]c21 ZINC001209482898 1050062844 /nfs/dbraw/zinc/06/28/44/1050062844.db2.gz VHKRQFFKFYEVDE-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN Cc1ccc2[nH]cc(CN(C)CCC(=O)c3cccs3)c2c1 ZINC001209499264 1050063555 /nfs/dbraw/zinc/06/35/55/1050063555.db2.gz LNSANQNMZAAUOT-UHFFFAOYSA-N 0 3 312.438 4.243 20 0 DIADHN Cc1n[nH]cc1CN1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001209557892 1050068479 /nfs/dbraw/zinc/06/84/79/1050068479.db2.gz BWNJVINWTFBQGN-OAHLLOKOSA-N 0 3 309.335 4.074 20 0 DIADHN CCc1ccc([C@H](C)C(=O)Nc2ccccc2CN(C)C)cc1 ZINC000589943511 1050069462 /nfs/dbraw/zinc/06/94/62/1050069462.db2.gz DPDWDQVBSVZPRW-HNNXBMFYSA-N 0 3 310.441 4.053 20 0 DIADHN CCCCC1CCN(Cc2ccc(N3CCCC3)nc2)CC1 ZINC001209622273 1050071790 /nfs/dbraw/zinc/07/17/90/1050071790.db2.gz XQCOBWRRQMYOTB-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN c1ccc(CN(Cc2ccc(N3CCCC3)nc2)C2CCC2)cc1 ZINC001209627259 1050071924 /nfs/dbraw/zinc/07/19/24/1050071924.db2.gz KHAALSBPKZDSHU-UHFFFAOYSA-N 0 3 321.468 4.237 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2cccc3[nH]cc(Cl)c32)C1 ZINC001209655352 1050073858 /nfs/dbraw/zinc/07/38/58/1050073858.db2.gz VJGVLDUMZJEEBH-SNVBAGLBSA-N 0 3 302.727 4.206 20 0 DIADHN CC1CN(Cc2c[nH]c3cccc(OCc4ccccc4)c23)C1 ZINC001209670616 1050076048 /nfs/dbraw/zinc/07/60/48/1050076048.db2.gz KJXHUQMHTXTTIU-UHFFFAOYSA-N 0 3 306.409 4.199 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2c[nH]c3cc(F)ccc23)cn1 ZINC001209693929 1050076741 /nfs/dbraw/zinc/07/67/41/1050076741.db2.gz DZZZLCWVBQKOCL-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN CC1(C)CCC2(CCN(Cc3c[nH]c4cc(F)ccc34)CC2)O1 ZINC001209693688 1050077084 /nfs/dbraw/zinc/07/70/84/1050077084.db2.gz AEUOEDZGSFUKBX-UHFFFAOYSA-N 0 3 316.420 4.231 20 0 DIADHN Clc1ccc2[nH]c(CN3CCC4(CCOCC4)CC3)cc2c1 ZINC001209722089 1050079086 /nfs/dbraw/zinc/07/90/86/1050079086.db2.gz WMCHVRJFCGEHAA-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccccc1)Cc1cnccc1N ZINC001209728008 1050079250 /nfs/dbraw/zinc/07/92/50/1050079250.db2.gz BQFXIVCNRHIMHY-KRWDZBQOSA-N 0 3 317.436 4.258 20 0 DIADHN Cc1sccc1CNCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000590186680 1050080327 /nfs/dbraw/zinc/08/03/27/1050080327.db2.gz NIJGCADNCVYOKQ-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1)Cc1cccc(OC)c1 ZINC001209762867 1050081414 /nfs/dbraw/zinc/08/14/14/1050081414.db2.gz FHTSXNBPNVFLJT-UHFFFAOYSA-N 0 3 321.424 4.107 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2c[nH]nc2-c2ccccc2)cn1 ZINC001209763028 1050081858 /nfs/dbraw/zinc/08/18/58/1050081858.db2.gz LNRDMHINRNVAAS-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccccc3N(C)C)CC2)c1 ZINC001209778640 1050084146 /nfs/dbraw/zinc/08/41/46/1050084146.db2.gz JAKIQWDWFUOAKE-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(Br)cc2)s1 ZINC000590295380 1050086263 /nfs/dbraw/zinc/08/62/63/1050086263.db2.gz NGCKHXGVROSEJO-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN CC[NH+](CC)Cc1ccc(Nc2c(F)cc([O-])cc2F)cc1 ZINC001209824359 1050090015 /nfs/dbraw/zinc/09/00/15/1050090015.db2.gz KSKQZPYPBGCMOX-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1cncnc1C)c1ccc(OC)cc1 ZINC000572984587 1050094765 /nfs/dbraw/zinc/09/47/65/1050094765.db2.gz IHVYNHBUPAJDRM-DNVCBOLYSA-N 0 3 313.445 4.376 20 0 DIADHN Clc1cccc(Cl)c1OCCN1CCC[C@@H]1C1CC1 ZINC000590788544 1050107574 /nfs/dbraw/zinc/10/75/74/1050107574.db2.gz GHKZOCKJLIPHKD-CQSZACIVSA-N 0 3 300.229 4.247 20 0 DIADHN Clc1ccc(-c2nc(CN3CCC[C@@H]3C3CC3)co2)cc1 ZINC000590820414 1050110067 /nfs/dbraw/zinc/11/00/67/1050110067.db2.gz ZANUCEWVBFKUDG-MRXNPFEDSA-N 0 3 302.805 4.369 20 0 DIADHN Clc1cccc(-c2cnc(CN3CCC[C@@H]3C3CC3)o2)c1 ZINC000590820419 1050110085 /nfs/dbraw/zinc/11/00/85/1050110085.db2.gz ZKVAYBCYABFGQX-OAHLLOKOSA-N 0 3 302.805 4.369 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000591103671 1050124064 /nfs/dbraw/zinc/12/40/64/1050124064.db2.gz NXUKEBWWJCUIOJ-MRXNPFEDSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000591109844 1050124593 /nfs/dbraw/zinc/12/45/93/1050124593.db2.gz CPZDMIJNUIBPDN-MRXNPFEDSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@H](CC(=O)N[C@@H](CN1CCCC1)c1ccccc1)C(C)C ZINC000591123681 1050125312 /nfs/dbraw/zinc/12/53/12/1050125312.db2.gz JIKKCYLLEUZIDS-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1cc2n[nH]cc2cc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001210107199 1050137791 /nfs/dbraw/zinc/13/77/91/1050137791.db2.gz WGEXAYWIQVGFNU-GOSISDBHSA-N 0 3 322.412 4.000 20 0 DIADHN CC[C@H](Cc1ccccc1C)C(=O)Nc1ccccc1CN(C)C ZINC000573199198 1050140408 /nfs/dbraw/zinc/14/04/08/1050140408.db2.gz OISTYHLJGAQHDH-QGZVFWFLSA-N 0 3 324.468 4.264 20 0 DIADHN COc1ccccc1CCN(C)Cc1ccccc1OC(C)C ZINC000591378929 1050144391 /nfs/dbraw/zinc/14/43/91/1050144391.db2.gz ISCIQQQRFFFHJX-UHFFFAOYSA-N 0 3 313.441 4.157 20 0 DIADHN CC(C)C[C@H](NCc1cc(C2CC2)nn1C)c1cccs1 ZINC000823691561 1050147948 /nfs/dbraw/zinc/14/79/48/1050147948.db2.gz QKGCFMHNQLBACX-INIZCTEOSA-N 0 3 303.475 4.236 20 0 DIADHN CCCCC[C@H](NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C)C(C)(C)C ZINC001136774310 1050153229 /nfs/dbraw/zinc/15/32/29/1050153229.db2.gz WYMWBXNXNCMCJO-TWMKSMIVSA-N 0 3 310.526 4.359 20 0 DIADHN Cn1c(Cl)cnc1CN[C@@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000823757877 1050153542 /nfs/dbraw/zinc/15/35/42/1050153542.db2.gz QZXFIJBRSDPUGZ-GXTWGEPZSA-N 0 3 324.255 4.153 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)[C@H]1C[C@H]1c1ccccc1 ZINC000770350900 1050154816 /nfs/dbraw/zinc/15/48/16/1050154816.db2.gz QJQWWBBTOISCST-ICSRJNTNSA-N 0 3 303.409 4.065 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2ccccc2C)no1)C1CC1 ZINC000591474387 1050157792 /nfs/dbraw/zinc/15/77/92/1050157792.db2.gz BLXAJMKASCFFRK-DZGCQCFKSA-N 0 3 313.445 4.444 20 0 DIADHN CC(C)CC[C@H](NC(=O)[C@@H](C)N1CCCCCC1)C(C)(C)C ZINC001136794791 1050163279 /nfs/dbraw/zinc/16/32/79/1050163279.db2.gz UXLYJBWSXASQFO-SJORKVTESA-N 0 3 310.526 4.218 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000282578550 1050164043 /nfs/dbraw/zinc/16/40/43/1050164043.db2.gz ZKTVSBMVOQGURB-FUHWJXTLSA-N 0 3 313.420 4.152 20 0 DIADHN c1c2cccnc2oc1CN[C@H](c1ccccc1)C1CCOCC1 ZINC000823876047 1050164334 /nfs/dbraw/zinc/16/43/34/1050164334.db2.gz JZOJMEUEMBIWPK-LJQANCHMSA-N 0 3 322.408 4.085 20 0 DIADHN Cc1cc(CN[C@H](C)[C@@H](O)c2c(F)cccc2Cl)cs1 ZINC000823949957 1050166613 /nfs/dbraw/zinc/16/66/13/1050166613.db2.gz ZVELDMISRPLCND-MEBBXXQBSA-N 0 3 313.825 4.061 20 0 DIADHN O=C(CCN1CCC[C@H]1c1ccsc1)c1ccc(F)cc1 ZINC000348005281 1050169432 /nfs/dbraw/zinc/16/94/32/1050169432.db2.gz SIFCTPLWOJECLQ-INIZCTEOSA-N 0 3 303.402 4.297 20 0 DIADHN CCC[C@H](NCc1nc(-c2ccc(F)c(F)c2)no1)C1CCC1 ZINC001171037211 1050169631 /nfs/dbraw/zinc/16/96/31/1050169631.db2.gz XAGPGHAXUUVIBR-HNNXBMFYSA-N 0 3 321.371 4.073 20 0 DIADHN F[C@H]1CCN([C@@H]2CCc3c(Cl)cccc3C2)CCC1(F)F ZINC001171038394 1050176308 /nfs/dbraw/zinc/17/63/08/1050176308.db2.gz WYSWVBTWRJEHEJ-DOMZBBRYSA-N 0 3 317.782 4.267 20 0 DIADHN Fc1cnccc1CN1CC[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000824181880 1050187255 /nfs/dbraw/zinc/18/72/55/1050187255.db2.gz XSTSKPJCLBIBIL-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(O)cc1)c1c(F)cccc1F ZINC000378867331 1050189581 /nfs/dbraw/zinc/18/95/81/1050189581.db2.gz DYSXQWRXHANXBY-QWHCGFSZSA-N 0 3 305.368 4.342 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@H](C)c1c(F)cccc1F ZINC000378867329 1050190751 /nfs/dbraw/zinc/19/07/51/1050190751.db2.gz DYSXQWRXHANXBY-CHWSQXEVSA-N 0 3 305.368 4.342 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)[nH]n1 ZINC000591721261 1050191795 /nfs/dbraw/zinc/19/17/95/1050191795.db2.gz IGMQYDHMKMJSFQ-KRWDZBQOSA-N 0 3 303.837 4.393 20 0 DIADHN c1cc2cc(CN[C@H](c3ccccc3)[C@H]3CCCO3)ccc2[nH]1 ZINC000573577735 1050216161 /nfs/dbraw/zinc/21/61/61/1050216161.db2.gz XKLDUIOTXJGHSK-WOJBJXKFSA-N 0 3 306.409 4.178 20 0 DIADHN CC(C)(C)C(=O)CN[C@@H]1CCc2cc(Cl)cc(Cl)c2C1 ZINC001171058427 1050218920 /nfs/dbraw/zinc/21/89/20/1050218920.db2.gz BMAIENFLSQRABU-GFCCVEGCSA-N 0 3 314.256 4.056 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)C[C@@H]1NCc1cc(F)cnc1Cl ZINC000824538695 1050225882 /nfs/dbraw/zinc/22/58/82/1050225882.db2.gz RKEHWCYATXYEAV-XZJROXQQSA-N 0 3 304.796 4.156 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC000824544085 1050226155 /nfs/dbraw/zinc/22/61/55/1050226155.db2.gz TWKOASKCOHEMDZ-RYUDHWBXSA-N 0 3 322.811 4.165 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCCO[C@H](c2ccccc2)C1 ZINC000591814219 1050231321 /nfs/dbraw/zinc/23/13/21/1050231321.db2.gz MTMMTSZOIXTPJD-HOJAQTOUSA-N 0 3 317.473 4.045 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1cncc2ccccc21 ZINC000824714819 1050237136 /nfs/dbraw/zinc/23/71/36/1050237136.db2.gz HLVJXDZEADXZLW-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(-c2ccccc2)c1 ZINC000760903260 1050238341 /nfs/dbraw/zinc/23/83/41/1050238341.db2.gz PVNPKDWLDUEXRF-OCCSQVGLSA-N 0 3 311.351 4.254 20 0 DIADHN Clc1cccc([C@@H](NCc2c[nH]cn2)C2CCCCC2)c1 ZINC000177839439 1050239822 /nfs/dbraw/zinc/23/98/22/1050239822.db2.gz AKSTVKGNRPDDGW-KRWDZBQOSA-N 0 3 303.837 4.474 20 0 DIADHN Clc1cccc([C@@H](NCc2cnc[nH]2)C2CCCCC2)c1 ZINC000177839439 1050239836 /nfs/dbraw/zinc/23/98/36/1050239836.db2.gz AKSTVKGNRPDDGW-KRWDZBQOSA-N 0 3 303.837 4.474 20 0 DIADHN Cc1csc(CNCc2ccccc2N2CCCCC2)c1 ZINC000824751404 1050245381 /nfs/dbraw/zinc/24/53/81/1050245381.db2.gz VKCYMTNNQKNQAF-UHFFFAOYSA-N 0 3 300.471 4.337 20 0 DIADHN Cc1cccn2c(CN(C)Cc3cccc4ccccc43)cnc12 ZINC001136963056 1050251707 /nfs/dbraw/zinc/25/17/07/1050251707.db2.gz IXBIKFQYTYPTBK-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN Cc1cccn2c(CN(Cc3ccccc3)C(C)(C)C)cnc12 ZINC001136958631 1050254392 /nfs/dbraw/zinc/25/43/92/1050254392.db2.gz XTWVZMRMYXSHDU-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN Cc1cccn2c(CN3CCC[C@H]3c3ccccc3C)cnc12 ZINC001136959345 1050255624 /nfs/dbraw/zinc/25/56/24/1050255624.db2.gz DACJOOMZEQOQGW-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1ccc2c(CN3CC[C@](CF)(C(F)(F)F)C3)c[nH]c2c1 ZINC001136969646 1050256259 /nfs/dbraw/zinc/25/62/59/1050256259.db2.gz WEKJDCDOBUTQKX-OAHLLOKOSA-N 0 3 314.326 4.200 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(OCC(F)(F)F)c1 ZINC001212171045 1050265487 /nfs/dbraw/zinc/26/54/87/1050265487.db2.gz KMDIAUSBMHUWIH-UHFFFAOYSA-N 0 3 324.346 4.433 20 0 DIADHN CCc1nc(CNC2CCC(c3ccc(OC)cc3)CC2)co1 ZINC000825057461 1050270513 /nfs/dbraw/zinc/27/05/13/1050270513.db2.gz OUCWVXDAIQEXPT-UHFFFAOYSA-N 0 3 314.429 4.062 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)co1 ZINC000825061105 1050270889 /nfs/dbraw/zinc/27/08/89/1050270889.db2.gz ACTQHNFSNAYECV-UKRRQHHQSA-N 0 3 304.821 4.316 20 0 DIADHN Cc1ccc(OCCN2CCSC[C@@H]2c2ccccc2)cc1 ZINC000734488047 1050275889 /nfs/dbraw/zinc/27/58/89/1050275889.db2.gz MUVMNHHYYQSLOQ-LJQANCHMSA-N 0 3 313.466 4.164 20 0 DIADHN Clc1ccc(CN[C@H](c2ccccc2)C2CCOCC2)o1 ZINC000734508964 1050278683 /nfs/dbraw/zinc/27/86/83/1050278683.db2.gz MDGBSVDWAGFJDO-QGZVFWFLSA-N 0 3 305.805 4.191 20 0 DIADHN Cc1cc(C)c(CNCc2ccc3c(c2)CCC(C)(C)O3)cn1 ZINC000825221604 1050286806 /nfs/dbraw/zinc/28/68/06/1050286806.db2.gz SUGPCAGPOAQIEZ-UHFFFAOYSA-N 0 3 310.441 4.092 20 0 DIADHN Fc1ccc(CN(CC2CC2)C[C@@H]2CC2(Cl)Cl)cc1 ZINC000734638299 1050295157 /nfs/dbraw/zinc/29/51/57/1050295157.db2.gz IQRNLSZNSHQCHK-ZDUSSCGKSA-N 0 3 302.220 4.232 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@H](CC(F)(F)F)C2)cc1F ZINC000573962034 1050297110 /nfs/dbraw/zinc/29/71/10/1050297110.db2.gz ZKEGLERWXSDWAF-UDSFMNFJSA-N 0 3 305.290 4.252 20 0 DIADHN COC(=O)c1ccc(CNCc2c(C)cccc2C)cc1Cl ZINC000825364659 1050298285 /nfs/dbraw/zinc/29/82/85/1050298285.db2.gz ACRRZJFBAFZQAN-UHFFFAOYSA-N 0 3 317.816 4.033 20 0 DIADHN COc1cc(CN2CCCC(F)(F)CC2)ccc1C(F)(F)F ZINC001143342238 1050302973 /nfs/dbraw/zinc/30/29/73/1050302973.db2.gz BIGIKDUNEBFEBD-UHFFFAOYSA-N 0 3 323.305 4.335 20 0 DIADHN FC(F)(F)Oc1cc(CN2C[C@H]3CC[C@@H]2C3)ccc1Cl ZINC001143362395 1050317318 /nfs/dbraw/zinc/31/73/18/1050317318.db2.gz XDASLOIDQFQAIV-GXSJLCMTSA-N 0 3 305.727 4.223 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(N)c(Br)c2)ccn1 ZINC001160377708 1050317763 /nfs/dbraw/zinc/31/77/63/1050317763.db2.gz VLTWUJMPJUUVPL-UHFFFAOYSA-N 0 3 320.234 4.467 20 0 DIADHN CN(C)C(=O)Nc1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001210632794 1050321186 /nfs/dbraw/zinc/32/11/86/1050321186.db2.gz LJRRPNQKPFSVFF-UHFFFAOYSA-N 0 3 310.401 4.186 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H](C)c1ccc(Cl)cc1 ZINC000734851227 1050328130 /nfs/dbraw/zinc/32/81/30/1050328130.db2.gz SQDBKFOAEGCYEZ-BDJLRTHQSA-N 0 3 301.821 4.167 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@]3(C2)CCCOC3)c1 ZINC001143680457 1050332113 /nfs/dbraw/zinc/33/21/13/1050332113.db2.gz CGQSRMQIXRJJKF-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN CC(C)(O)[C@@H]1CCCN1Cc1ccc(C(F)(F)F)cc1Cl ZINC001143715508 1050339690 /nfs/dbraw/zinc/33/96/90/1050339690.db2.gz KWXFKTHAIUUOFT-ZDUSSCGKSA-N 0 3 321.770 4.094 20 0 DIADHN CC(C)c1cc(CN[C@@H]2CCc3cc(Cl)ccc3C2)on1 ZINC001171099896 1050349543 /nfs/dbraw/zinc/34/95/43/1050349543.db2.gz MNXOVWAMHBEYLW-OAHLLOKOSA-N 0 3 304.821 4.099 20 0 DIADHN CC(C)c1cc(CN[C@H]2CCc3cc(Cl)ccc3C2)on1 ZINC001171099895 1050349829 /nfs/dbraw/zinc/34/98/29/1050349829.db2.gz MNXOVWAMHBEYLW-HNNXBMFYSA-N 0 3 304.821 4.099 20 0 DIADHN Fc1ccc(CCN(C[C@@H]2CC2(Cl)Cl)C2CC2)cc1 ZINC000735167680 1050352687 /nfs/dbraw/zinc/35/26/87/1050352687.db2.gz BUIPCBFVZVPHAQ-LBPRGKRZSA-N 0 3 302.220 4.026 20 0 DIADHN Fc1cc(OC(F)(F)F)ccc1CN1CCC2(CC2)CC1 ZINC001143781029 1050356792 /nfs/dbraw/zinc/35/67/92/1050356792.db2.gz IGWOHOBKGCIAGY-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN C[C@H](CNCc1occc1Br)c1ccc(F)cc1 ZINC000735322120 1050371582 /nfs/dbraw/zinc/37/15/82/1050371582.db2.gz FCHOCQGDSSBGGS-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN CCCNc1ccc(CNCc2cnc(Cl)c(C)c2)cc1 ZINC000766213457 1050376151 /nfs/dbraw/zinc/37/61/51/1050376151.db2.gz PLPMXJMPJIOURO-UHFFFAOYSA-N 0 3 303.837 4.155 20 0 DIADHN Clc1cnc(CN[C@H]2CCc3cc(Cl)ccc3C2)s1 ZINC001171112307 1050377856 /nfs/dbraw/zinc/37/78/56/1050377856.db2.gz VFMRLTREZMOMAK-LBPRGKRZSA-N 0 3 313.253 4.097 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4ccccc4n3)cccc21 ZINC001210819364 1050378366 /nfs/dbraw/zinc/37/83/66/1050378366.db2.gz QBFTWALUVGUZFY-SFHVURJKSA-N 0 3 319.408 4.364 20 0 DIADHN O=C1CCN([C@@H]2CCc3cc(Cl)ccc3C2)[C@H]2CCCC[C@H]12 ZINC001171115902 1050394895 /nfs/dbraw/zinc/39/48/95/1050394895.db2.gz IRANMMPTKOGOMJ-SQNIBIBYSA-N 0 3 317.860 4.031 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@H]2CCc3cc(Cl)ccc3C2)no1 ZINC001171117843 1050398600 /nfs/dbraw/zinc/39/86/00/1050398600.db2.gz ZNHMGUYZUSVUAI-FUHWJXTLSA-N 0 3 316.832 4.331 20 0 DIADHN CSc1ccc(Nc2ccc3c(c2)CN(C)CC3)c(F)c1 ZINC001210873263 1050402614 /nfs/dbraw/zinc/40/26/14/1050402614.db2.gz QYDNYQPIACQUHX-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN Cc1ccc(C)c(CN2CCN(Cc3ccccc3)[C@@H](C)C2)c1 ZINC001171120148 1050404121 /nfs/dbraw/zinc/40/41/21/1050404121.db2.gz PXYFCSQDPCWOPF-IBGZPJMESA-N 0 3 308.469 4.010 20 0 DIADHN CCOCC1CCN(Cc2cccc(C(F)(F)F)c2C)CC1 ZINC001143929835 1050404621 /nfs/dbraw/zinc/40/46/21/1050404621.db2.gz CBABWIXADSBNNN-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN Cc1c(CN2CCC[C@@]3(CCOC3)C2)cccc1C(F)(F)F ZINC001143922935 1050408843 /nfs/dbraw/zinc/40/88/43/1050408843.db2.gz QQSSOAODURAIIZ-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN FC1(F)C(F)(F)C12CCN(CCOC1CCCCCC1)CC2 ZINC000844384568 1050410448 /nfs/dbraw/zinc/41/04/48/1050410448.db2.gz UVOREVUDQQNWST-UHFFFAOYSA-N 0 3 323.374 4.092 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1cncc3ccccc31)CC2 ZINC000844383077 1050415362 /nfs/dbraw/zinc/41/53/62/1050415362.db2.gz NUJHWENFIWWDPM-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@H]1CCc3ccccc31)CCO2 ZINC000178464186 1050428370 /nfs/dbraw/zinc/42/83/70/1050428370.db2.gz DLLBZYWFUDLMRS-OALUTQOASA-N 0 3 309.409 4.186 20 0 DIADHN C[C@H](c1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1)C(F)(F)F ZINC000844460266 1050432537 /nfs/dbraw/zinc/43/25/37/1050432537.db2.gz FBNWJOWSAXZEHV-WQAKAFBOSA-N 0 3 305.290 4.049 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CCC2(CCOCC2)[C@H]1C ZINC000481179109 1050435183 /nfs/dbraw/zinc/43/51/83/1050435183.db2.gz UPNNLPMSCRUUES-QWHCGFSZSA-N 0 3 311.828 4.431 20 0 DIADHN CC(C)(NCc1csc(C2CCCC2)n1)C1CCOCC1 ZINC000786152592 1050453785 /nfs/dbraw/zinc/45/37/85/1050453785.db2.gz YZFZUDRAEBFTAG-UHFFFAOYSA-N 0 3 308.491 4.096 20 0 DIADHN CC(C)(NCc1csc(C2CCCCC2)n1)C1CCOCC1 ZINC000786161423 1050455096 /nfs/dbraw/zinc/45/50/96/1050455096.db2.gz CQPNJEZYOZOAFF-UHFFFAOYSA-N 0 3 322.518 4.486 20 0 DIADHN Clc1cc2c(cc1Cl)C[C@@H](NCc1nccs1)CC2 ZINC001171134785 1050456071 /nfs/dbraw/zinc/45/60/71/1050456071.db2.gz ZTIVLXYPXKKEIK-NSHDSACASA-N 0 3 313.253 4.097 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3cccnc3Cl)C2)cc1 ZINC000786126774 1050456088 /nfs/dbraw/zinc/45/60/88/1050456088.db2.gz SLUPNJRRSWACLZ-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1CCCOC(F)(F)F ZINC001171134359 1050463046 /nfs/dbraw/zinc/46/30/46/1050463046.db2.gz XSEAKZRHGNIOFQ-AWEZNQCLSA-N 0 3 305.315 4.198 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)nc3ccccc23)cc1F ZINC000736308106 1050465398 /nfs/dbraw/zinc/46/53/98/1050465398.db2.gz JHYXWRKHVSSROB-UHFFFAOYSA-N 0 3 324.399 4.323 20 0 DIADHN Cc1c(O)cccc1CN1CCC2(CCc3ccccc3O2)CC1 ZINC001144120989 1050472293 /nfs/dbraw/zinc/47/22/93/1050472293.db2.gz DWHYNGBGIYIYTI-UHFFFAOYSA-N 0 3 323.436 4.060 20 0 DIADHN C[C@@H](NCc1cc(=O)oc2cc3c(cc12)CCC3)c1ccccc1 ZINC000058980183 1050473010 /nfs/dbraw/zinc/47/30/10/1050473010.db2.gz HQJLHCMFWOVJNH-CQSZACIVSA-N 0 3 319.404 4.133 20 0 DIADHN CCCc1ccc(CNCc2ccc(F)c(COC)c2)cc1 ZINC000594054913 1050473054 /nfs/dbraw/zinc/47/30/54/1050473054.db2.gz ZMCSCWHIPUAKCY-UHFFFAOYSA-N 0 3 301.405 4.214 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN[C@@H](C)c1cc2ccccc2o1 ZINC000786444753 1050481075 /nfs/dbraw/zinc/48/10/75/1050481075.db2.gz HSTRLQAHWLKUMY-SUMWQHHRSA-N 0 3 313.372 4.265 20 0 DIADHN CCc1cc(CN2CCC[C@@H]2c2ccccn2)cc(CC)c1O ZINC001144148569 1050481700 /nfs/dbraw/zinc/48/17/00/1050481700.db2.gz VJKJKWAQAFYRNC-LJQANCHMSA-N 0 3 310.441 4.249 20 0 DIADHN CCc1cc(CN2CCC[C@H]2c2ccncc2)cc(CC)c1O ZINC001144147973 1050481796 /nfs/dbraw/zinc/48/17/96/1050481796.db2.gz JSNWTHUOHJULBO-IBGZPJMESA-N 0 3 310.441 4.249 20 0 DIADHN COc1ccc(Cl)cc1CN1CCSC2(CCC2)CC1 ZINC001171145782 1050484843 /nfs/dbraw/zinc/48/48/43/1050484843.db2.gz VXYIXZMICGASKC-UHFFFAOYSA-N 0 3 311.878 4.210 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccnc(Cl)c1 ZINC000736626748 1050486311 /nfs/dbraw/zinc/48/63/11/1050486311.db2.gz BIUZSRORKJYAOB-WFASDCNBSA-N 0 3 304.796 4.252 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC[C@@H](Cc4ccccc4)C3)c2c1 ZINC001144197232 1050491593 /nfs/dbraw/zinc/49/15/93/1050491593.db2.gz QRZTVCZDGAHKGP-INIZCTEOSA-N 0 3 323.415 4.157 20 0 DIADHN Fc1ccc2[nH]nc(CN3CCC[C@@H](Cc4ccccc4)C3)c2c1 ZINC001144197232 1050491598 /nfs/dbraw/zinc/49/15/98/1050491598.db2.gz QRZTVCZDGAHKGP-INIZCTEOSA-N 0 3 323.415 4.157 20 0 DIADHN Nc1ccnc(-c2cc(C(F)(F)F)cc(C(F)(F)F)c2)c1 ZINC001240883775 1050492638 /nfs/dbraw/zinc/49/26/38/1050492638.db2.gz MHWRMBOSHGZOQM-UHFFFAOYSA-N 0 3 306.209 4.368 20 0 DIADHN Fc1ccccc1C1CCN(C[C@@H]2CC2(Cl)Cl)CC1 ZINC000736946956 1050497216 /nfs/dbraw/zinc/49/72/16/1050497216.db2.gz YQAAMKLMMCGULX-LBPRGKRZSA-N 0 3 302.220 4.199 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)C[C@H]1CC1(Cl)Cl ZINC000736946586 1050497820 /nfs/dbraw/zinc/49/78/20/1050497820.db2.gz QPRLJUUVSPHTGV-ZYHUDNBSSA-N 0 3 306.664 4.397 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCC(=O)N2CCCCCC2)cc1 ZINC000594396188 1050504915 /nfs/dbraw/zinc/50/49/15/1050504915.db2.gz KPURNVBIFZNDTP-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN Cc1ccc2oc(CNCc3ccc(-n4cccn4)cc3)cc2c1 ZINC000574713028 1050509598 /nfs/dbraw/zinc/50/95/98/1050509598.db2.gz TVSJPQNRNAUXBR-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(Cc2ccc(-n3cccc3)cc2)C1 ZINC001144247372 1050513004 /nfs/dbraw/zinc/51/30/04/1050513004.db2.gz YUVXOYIXGRDXDK-OAHLLOKOSA-N 0 3 308.347 4.252 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccc(-n2cccc2)cc1 ZINC001144250951 1050518809 /nfs/dbraw/zinc/51/88/09/1050518809.db2.gz CYBSYYJHTKOHQJ-UHFFFAOYSA-N 0 3 324.449 4.244 20 0 DIADHN CC[C@H](NC1CC(OCc2ccccc2)C1)c1nc(C)cs1 ZINC000786833316 1050520145 /nfs/dbraw/zinc/52/01/45/1050520145.db2.gz YBGMMMVRCIGWPV-JCYILVPMSA-N 0 3 316.470 4.240 20 0 DIADHN COC[C@@H](N[C@@H](C)COc1ccccc1)c1ccc(Cl)cc1 ZINC000786815447 1050521486 /nfs/dbraw/zinc/52/14/86/1050521486.db2.gz RQONIKSCMWGRRS-KBXCAEBGSA-N 0 3 319.832 4.085 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(CN2C(=O)C[C@H]2c2ccccc2)CC1 ZINC000738239069 1050526507 /nfs/dbraw/zinc/52/65/07/1050526507.db2.gz IGHNXLJHHSEXQR-MSOLQXFVSA-N 0 3 314.473 4.066 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1F)c1csc(Cl)c1 ZINC000786917380 1050528254 /nfs/dbraw/zinc/52/82/54/1050528254.db2.gz OVXKJFLXYCWUKA-QPUJVOFHSA-N 0 3 317.788 4.064 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccccc2)c2cccnc2)cc1F ZINC000786938098 1050534426 /nfs/dbraw/zinc/53/44/26/1050534426.db2.gz JTIKOQIFGWTCOX-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN CCCCCC[C@@H](C)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000787015865 1050545389 /nfs/dbraw/zinc/54/53/89/1050545389.db2.gz AYMRMMLUIIUYJL-CABCVRRESA-N 0 3 315.465 4.185 20 0 DIADHN CCOC(=O)c1[nH]ccc1CN(C(C)C)[C@@H](C)c1ccccc1 ZINC001144337624 1050550625 /nfs/dbraw/zinc/55/06/25/1050550625.db2.gz JOBQNGPVHMOKGE-HNNXBMFYSA-N 0 3 314.429 4.163 20 0 DIADHN CC(C)c1ccc(CNC(C)(C)C(=O)Nc2ccccc2)cc1 ZINC000594784546 1050551519 /nfs/dbraw/zinc/55/15/19/1050551519.db2.gz TWAVDTUINZEHIO-UHFFFAOYSA-N 0 3 310.441 4.317 20 0 DIADHN CC(C)(C)c1ccc(CNC(C)(C)C(=O)Nc2ccccc2)cc1 ZINC000594788268 1050552560 /nfs/dbraw/zinc/55/25/60/1050552560.db2.gz MEGJHKRSWFIZOL-UHFFFAOYSA-N 0 3 324.468 4.491 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@H]1CC=CCC1 ZINC001168405693 1050555857 /nfs/dbraw/zinc/55/58/57/1050555857.db2.gz ZSBVWLXCFNZUAT-SJORKVTESA-N 0 3 322.452 4.455 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000746654577 1050561477 /nfs/dbraw/zinc/56/14/77/1050561477.db2.gz JPAKVRKWJDHPIJ-KPZWWZAWSA-N 0 3 323.358 4.139 20 0 DIADHN Cc1c(Cl)cccc1-c1noc(C2CCN(C3CC3)CC2)n1 ZINC001211432696 1050563967 /nfs/dbraw/zinc/56/39/67/1050563967.db2.gz WGFINEAJTUMYES-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN CCOc1ccc(OCC)c(Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213073834 1050576542 /nfs/dbraw/zinc/57/65/42/1050576542.db2.gz XIIDSJVEHSGBTI-UHFFFAOYSA-N 0 3 311.385 4.412 20 0 DIADHN Cc1nc2ccc(Nc3ccc(C(F)(F)F)cc3O)cc2[nH]1 ZINC001213074320 1050577549 /nfs/dbraw/zinc/57/75/49/1050577549.db2.gz OIPMYGXEHOAYKV-UHFFFAOYSA-N 0 3 307.275 4.339 20 0 DIADHN CC(C)CCC[C@@H](C)NCc1cn(Cc2cccc(F)c2)cn1 ZINC001170071054 1050580394 /nfs/dbraw/zinc/58/03/94/1050580394.db2.gz IXJPURFYOHXSCV-MRXNPFEDSA-N 0 3 317.452 4.375 20 0 DIADHN CCOC(=O)CCc1cccc(Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213076045 1050580773 /nfs/dbraw/zinc/58/07/73/1050580773.db2.gz CCMABWKFUJAQGA-UHFFFAOYSA-N 0 3 323.396 4.111 20 0 DIADHN COc1cc(F)c(Cl)cc1Nc1ccc2nc(C)[nH]c2c1 ZINC001213076774 1050581735 /nfs/dbraw/zinc/58/17/35/1050581735.db2.gz ZZUUPYUMWHHTBM-UHFFFAOYSA-N 0 3 305.740 4.416 20 0 DIADHN CCC1(C(=O)OCC2CCN(Cc3ccco3)CC2)CCCC1 ZINC000772699075 1050582731 /nfs/dbraw/zinc/58/27/31/1050582731.db2.gz PROSXPGNVGOMIW-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN CCOc1ccccc1CN[C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000787290483 1050584306 /nfs/dbraw/zinc/58/43/06/1050584306.db2.gz DVVFJIRLEPGYDT-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN Cc1ccccc1CCC(=O)NCCP(C(C)C)C(C)C ZINC001144442693 1050584704 /nfs/dbraw/zinc/58/47/04/1050584704.db2.gz NISQKGLCVVQXOF-UHFFFAOYSA-N 0 3 307.418 4.343 20 0 DIADHN CCCN(Cc1ccc(F)nc1C)[C@H]1CCCc2cccnc21 ZINC000787276922 1050587735 /nfs/dbraw/zinc/58/77/35/1050587735.db2.gz TVFJQLGBIQEMIP-KRWDZBQOSA-N 0 3 313.420 4.214 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1cccnc1Cl ZINC000746675943 1050589731 /nfs/dbraw/zinc/58/97/31/1050589731.db2.gz HGLXQEDPSJFQII-GOEBONIOSA-N 0 3 300.833 4.188 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1cccc(Cl)n1 ZINC000746678472 1050592074 /nfs/dbraw/zinc/59/20/74/1050592074.db2.gz XUIPKGOEHAQYJM-GOEBONIOSA-N 0 3 300.833 4.188 20 0 DIADHN Cc1ccc2oc(CNC[C@H](O)c3cccc(Cl)c3)cc2c1 ZINC000740004590 1050596308 /nfs/dbraw/zinc/59/63/08/1050596308.db2.gz SCLUEFDMNVZFTL-KRWDZBQOSA-N 0 3 315.800 4.218 20 0 DIADHN CC(C)CCC[C@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC001170072888 1050596887 /nfs/dbraw/zinc/59/68/87/1050596887.db2.gz DRHSIIBWSKRFDG-SWLSCSKDSA-N 0 3 301.833 4.317 20 0 DIADHN COc1cc(Cl)cc(CN2CCC3(CC[C@@H](F)C3)CC2)c1 ZINC001144489408 1050600554 /nfs/dbraw/zinc/60/05/54/1050600554.db2.gz GWZVHTZAIIPAIB-OAHLLOKOSA-N 0 3 311.828 4.453 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(=O)[nH]n2C2CCCC2)ccn1 ZINC001213160609 1050603101 /nfs/dbraw/zinc/60/31/01/1050603101.db2.gz AOGUUQSVRZIPKV-UHFFFAOYSA-N 0 3 300.406 4.140 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(Br)nc2)ccn1 ZINC001213160647 1050603418 /nfs/dbraw/zinc/60/34/18/1050603418.db2.gz CLSWLIIQSSULGY-UHFFFAOYSA-N 0 3 306.207 4.280 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(F)nc2Br)ccn1 ZINC001213162701 1050605586 /nfs/dbraw/zinc/60/55/86/1050605586.db2.gz JJPQEKJYLIBNIJ-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN CC(C)(C)c1cc(Nc2c(F)c(F)c(N)c(F)c2F)ccn1 ZINC001213168515 1050606769 /nfs/dbraw/zinc/60/67/69/1050606769.db2.gz KGWRRSSQPWJSML-UHFFFAOYSA-N 0 3 313.298 4.261 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(N)cc(Br)c2)ccn1 ZINC001213168508 1050606973 /nfs/dbraw/zinc/60/69/73/1050606973.db2.gz JXVAVAZQJJJFEK-UHFFFAOYSA-N 0 3 320.234 4.467 20 0 DIADHN CNC(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)cc1Cl ZINC001213168580 1050607076 /nfs/dbraw/zinc/60/70/76/1050607076.db2.gz MHAIPWLXCDFQQT-UHFFFAOYSA-N 0 3 317.820 4.136 20 0 DIADHN COc1cccc2cc(CNCc3cc4ccccc4[nH]3)oc21 ZINC000746693520 1050611197 /nfs/dbraw/zinc/61/11/97/1050611197.db2.gz UWSQGUDOJVQEFT-UHFFFAOYSA-N 0 3 306.365 4.213 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(C)nc(Cl)c2)C1 ZINC000787772216 1050622785 /nfs/dbraw/zinc/62/27/85/1050622785.db2.gz ZIHZYNNMQMWZED-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN [O-]c1c(F)cc(Nc2ccc(CC[NH+]3CCCC3)cc2)cc1F ZINC001213381810 1050644456 /nfs/dbraw/zinc/64/44/56/1050644456.db2.gz IXYDODGRJHOUFZ-UHFFFAOYSA-N 0 3 318.367 4.052 20 0 DIADHN Cc1nc(F)ccc1CN(C)[C@H](C)CSc1ccccc1 ZINC000845999594 1050645557 /nfs/dbraw/zinc/64/55/57/1050645557.db2.gz DTGJDAGBEZJSRC-CYBMUJFWSA-N 0 3 304.434 4.142 20 0 DIADHN c1cc2c[nH]cc(NCC[C@@H]3CCc4ccc5c(c43)CCO5)c-2n1 ZINC001170083448 1050660901 /nfs/dbraw/zinc/66/09/01/1050660901.db2.gz WMXNVDVIRNIMAD-AWEZNQCLSA-N 0 3 319.408 4.030 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC[C@]2(CC2(F)F)C1 ZINC000846105217 1050672261 /nfs/dbraw/zinc/67/22/61/1050672261.db2.gz RMNXYOIFGKMBKN-SFHVURJKSA-N 0 3 317.379 4.470 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](C)C[C@@H]2c2ccccc2)cc1 ZINC001170084856 1050680464 /nfs/dbraw/zinc/68/04/64/1050680464.db2.gz DVUOGZFZUGXFSR-VQIMIIECSA-N 0 3 308.425 4.017 20 0 DIADHN Cc1cccc(C)c1N1CCN(Cc2cccc3cc[nH]c32)CC1 ZINC001144721377 1050684602 /nfs/dbraw/zinc/68/46/02/1050684602.db2.gz JQHKRSHBNYDPOG-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCc1cccc(CN[C@H](C)c2ccc(OC)c(COC)c2)c1 ZINC000846208245 1050686306 /nfs/dbraw/zinc/68/63/06/1050686306.db2.gz GLXLJGLXMOZJQF-OAHLLOKOSA-N 0 3 313.441 4.255 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CNCc2ccsc2)cc1 ZINC000227586118 1050688092 /nfs/dbraw/zinc/68/80/92/1050688092.db2.gz NWUAZMNVAJCMNY-UHFFFAOYSA-N 0 3 318.442 4.385 20 0 DIADHN CC/C(=C/C(=O)Nc1cccc(CN(C)C)c1)c1ccc(C)cc1 ZINC000741535497 1050701078 /nfs/dbraw/zinc/70/10/78/1050701078.db2.gz WHENQSRVJZKMQD-JXAWBTAJSA-N 0 3 322.452 4.489 20 0 DIADHN CC(C)C1(CN[C@@H](C)c2nc(Br)ccc2F)CC1 ZINC000788666518 1050727891 /nfs/dbraw/zinc/72/78/91/1050727891.db2.gz COIKJTBQMLQQAS-JTQLQIEISA-N 0 3 315.230 4.070 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1COCc2cccc(Cl)c21 ZINC000788564203 1050704242 /nfs/dbraw/zinc/70/42/42/1050704242.db2.gz SMPNOAMGGSJDIZ-SJKOYZFVSA-N 0 3 319.807 4.271 20 0 DIADHN COc1ccccc1O[C@@H]1CCCN(Cc2csc(C)c2)C1 ZINC001170086856 1050711708 /nfs/dbraw/zinc/71/17/08/1050711708.db2.gz YOUUWYHXKRLPRU-MRXNPFEDSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](Cc1ccccc1)c1ccccc1 ZINC000180001977 1050712061 /nfs/dbraw/zinc/71/20/61/1050712061.db2.gz UMBIJTGCPPLEGY-YWZLYKJASA-N 0 3 305.425 4.353 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@@H](CC(C)(C)C)C2)cc1C ZINC001171228306 1050723586 /nfs/dbraw/zinc/72/35/86/1050723586.db2.gz DRPXNOMONUMBQN-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN C[C@@H](NCCCC(C)(C)C)c1nc(Br)ccc1F ZINC000788663586 1050727205 /nfs/dbraw/zinc/72/72/05/1050727205.db2.gz HHONWEPXZWCWHB-SNVBAGLBSA-N 0 3 317.246 4.460 20 0 DIADHN Clc1ccc2c(n1)[C@@H](NCCc1cc3ccccc3o1)CC2 ZINC000788666132 1050727560 /nfs/dbraw/zinc/72/75/60/1050727560.db2.gz QHIKLMIOLQWIOB-HNNXBMFYSA-N 0 3 312.800 4.301 20 0 DIADHN CC(C)C[C@@H](C)N[C@@H](C)c1nc(Br)ccc1F ZINC000788669642 1050729488 /nfs/dbraw/zinc/72/94/88/1050729488.db2.gz FKLVXQLBOBPCIH-ZJUUUORDSA-N 0 3 303.219 4.068 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1NCc1c(C)cccc1Cl ZINC001171240764 1050738647 /nfs/dbraw/zinc/73/86/47/1050738647.db2.gz UWTVZWIJLAOAOV-MSOLQXFVSA-N 0 3 301.817 4.050 20 0 DIADHN Clc1ccc2c(n1)[C@@H](NC[C@H]1CCC3(CCCCC3)O1)CC2 ZINC000788778118 1050752597 /nfs/dbraw/zinc/75/25/97/1050752597.db2.gz RCVVYGZASCWZPD-CABCVRRESA-N 0 3 320.864 4.194 20 0 DIADHN CCC(C)(C)CN[C@H](C)c1nc(Br)ccc1F ZINC000788784100 1050755617 /nfs/dbraw/zinc/75/56/17/1050755617.db2.gz OTIJJJZILJDTEM-SECBINFHSA-N 0 3 303.219 4.070 20 0 DIADHN c1cncc([C@@H](NCc2ccc3nonc3c2)C2CCCCC2)c1 ZINC000846803039 1050760027 /nfs/dbraw/zinc/76/00/27/1050760027.db2.gz AHACLSWIASNVFM-IBGZPJMESA-N 0 3 322.412 4.029 20 0 DIADHN CC[C@H](NCc1cc(C)ccc1OC)c1ccc2c(c1)CCO2 ZINC000772954226 1050761331 /nfs/dbraw/zinc/76/13/31/1050761331.db2.gz XLQWZGTWJJRWOF-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN CN(Cc1cccc(Br)n1)C(C)(C)c1ccccc1 ZINC000794553266 1050762517 /nfs/dbraw/zinc/76/25/17/1050762517.db2.gz FINZPAJAZSUXKT-UHFFFAOYSA-N 0 3 319.246 4.211 20 0 DIADHN C[C@H](NCc1ccc2nonc2c1)c1ccc(C(F)(F)F)cc1 ZINC000846843018 1050769088 /nfs/dbraw/zinc/76/90/88/1050769088.db2.gz LLXDPFNAKKBNAU-JTQLQIEISA-N 0 3 321.302 4.092 20 0 DIADHN C[C@H](NCC1(Br)CC1)c1cccc(C(F)(F)F)c1 ZINC000846839217 1050769186 /nfs/dbraw/zinc/76/91/86/1050769186.db2.gz GGSWTQHCHBGESD-VIFPVBQESA-N 0 3 322.168 4.284 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H]1CCCc2cc(OC(F)F)ccc21 ZINC000180331671 1050810643 /nfs/dbraw/zinc/81/06/43/1050810643.db2.gz IVVRLLFPCUIGTP-QLJPJBMISA-N 0 3 321.371 4.048 20 0 DIADHN COc1cc(F)c(Nc2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001214071858 1050811355 /nfs/dbraw/zinc/81/13/55/1050811355.db2.gz PQMOZETVSYZPDF-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN O[C@H](CN1CCCCCCC1)c1ccccc1C(F)(F)F ZINC000060460785 1050812068 /nfs/dbraw/zinc/81/20/68/1050812068.db2.gz AJJCUNKFCGCSLU-OAHLLOKOSA-N 0 3 301.352 4.005 20 0 DIADHN CC(C)(C)[C@@H](NCN1C(=O)C(C)(C)C1(C)C)c1ccc(F)cc1 ZINC000742255478 1050813167 /nfs/dbraw/zinc/81/31/67/1050813167.db2.gz VXZWPWNDYAETHQ-HNNXBMFYSA-N 0 3 320.452 4.107 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC(c3nc(C(C)(C)C)cs3)CC2)O1 ZINC000789316267 1050813581 /nfs/dbraw/zinc/81/35/81/1050813581.db2.gz IWCXGYZWBRMRIS-HIFRSBDPSA-N 0 3 322.518 4.188 20 0 DIADHN FC(F)SCc1ccc(CN[C@H]2C[C@@H]2Cc2ccccc2)o1 ZINC000742374818 1050834494 /nfs/dbraw/zinc/83/44/94/1050834494.db2.gz HHVUPZVQGNTPPN-BBRMVZONSA-N 0 3 323.408 4.456 20 0 DIADHN Cc1ccc(N2CCC[C@H](NCc3ccc(Cl)o3)C2)cc1 ZINC000742380322 1050838891 /nfs/dbraw/zinc/83/88/91/1050838891.db2.gz OERLHYVTGCAAHQ-AWEZNQCLSA-N 0 3 304.821 4.000 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCC(C)(C)CC(C)(C)C2)c1 ZINC001146773589 1050839659 /nfs/dbraw/zinc/83/96/59/1050839659.db2.gz PKRWZFGVSSDWAO-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN COc1ccc(Cl)c2c1C[C@H](N[C@@H](C)c1cscn1)CC2 ZINC001171290768 1050847568 /nfs/dbraw/zinc/84/75/68/1050847568.db2.gz BAVSUOUZYYVDLZ-WDEREUQCSA-N 0 3 322.861 4.013 20 0 DIADHN COc1ccc(Cl)c2c1C[C@H](N[C@H](C)c1cscn1)CC2 ZINC001171290760 1050849404 /nfs/dbraw/zinc/84/94/04/1050849404.db2.gz BAVSUOUZYYVDLZ-GHMZBOCLSA-N 0 3 322.861 4.013 20 0 DIADHN Cc1ccccc1[C@H](NCc1nn(C)c2ccccc12)C(C)C ZINC000601775309 1050852921 /nfs/dbraw/zinc/85/29/21/1050852921.db2.gz JXIGCGHDKAOKAC-HXUWFJFHSA-N 0 3 307.441 4.369 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c(F)cc(Br)cc2F)C1 ZINC001170112775 1050855625 /nfs/dbraw/zinc/85/56/25/1050855625.db2.gz LKKJYYGZXZXKET-WCBMZHEXSA-N 0 3 304.178 4.006 20 0 DIADHN Fc1cc(F)c(F)c(CNC2CCC(C(F)F)CC2)c1F ZINC000669616258 1050863424 /nfs/dbraw/zinc/86/34/24/1050863424.db2.gz DIEMPWWJOGPFSS-UHFFFAOYSA-N 0 3 311.269 4.157 20 0 DIADHN c1cc2c[nH]cc(NCc3ccccc3-c3cccnc3)c-2n1 ZINC001170115019 1050868836 /nfs/dbraw/zinc/86/88/36/1050868836.db2.gz SURPTSFJYRJTKW-UHFFFAOYSA-N 0 3 300.365 4.237 20 0 DIADHN c1cc2cncc(NCc3ccccc3-c3cccnc3)c2[nH]1 ZINC001170115019 1050868856 /nfs/dbraw/zinc/86/88/56/1050868856.db2.gz SURPTSFJYRJTKW-UHFFFAOYSA-N 0 3 300.365 4.237 20 0 DIADHN Cc1cc(F)cc(CN2CCC(CC(=O)OC(C)(C)C)CC2)c1 ZINC001143619625 1050878429 /nfs/dbraw/zinc/87/84/29/1050878429.db2.gz XGMOMEYTEDQWOA-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN CCCCC[C@@H](C)CC(=O)Nc1cc(CN(C)C)ccc1OC ZINC000742533552 1050878971 /nfs/dbraw/zinc/87/89/71/1050878971.db2.gz PMRVFIJGSNEALW-OAHLLOKOSA-N 0 3 320.477 4.302 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1c(F)cccc1F)[C@H](O)c1ccccc1 ZINC001170119108 1050879512 /nfs/dbraw/zinc/87/95/12/1050879512.db2.gz UIZUEDYOACEWEV-MJXNMMHHSA-N 0 3 319.395 4.374 20 0 DIADHN Cc1ccc(F)cc1CN1C[C@@H](C(=O)OC(C)(C)C)CC[C@@H]1C ZINC001171306274 1050882360 /nfs/dbraw/zinc/88/23/60/1050882360.db2.gz GLBAYGDFWCUHIN-GJZGRUSLSA-N 0 3 321.436 4.076 20 0 DIADHN Oc1ccc(OC(F)(F)F)cc1Nc1ccnc(C2CC2)c1 ZINC001214378340 1050885495 /nfs/dbraw/zinc/88/54/95/1050885495.db2.gz KSVVQLYAXWWDFA-UHFFFAOYSA-N 0 3 310.275 4.307 20 0 DIADHN CC[C@H](CC(=O)N(c1ccccc1)C1CCN(C)CC1)C(C)C ZINC000601964011 1050887113 /nfs/dbraw/zinc/88/71/13/1050887113.db2.gz ZSULLJBWVZAGRF-QGZVFWFLSA-N 0 3 316.489 4.186 20 0 DIADHN COc1ccc(Cl)c2c1C[C@H](N1CC3(C1)CCCC3)CC2 ZINC001171309167 1050888547 /nfs/dbraw/zinc/88/85/47/1050888547.db2.gz AUIMDPTZRHNUKG-CYBMUJFWSA-N 0 3 305.849 4.082 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000742581906 1050893790 /nfs/dbraw/zinc/89/37/90/1050893790.db2.gz CLGFBYPZFWSPIT-APWZRJJASA-N 0 3 308.510 4.116 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000742661591 1050912472 /nfs/dbraw/zinc/91/24/72/1050912472.db2.gz AVCJEJIYHRSHFH-HKUYNNGSSA-N 0 3 308.510 4.068 20 0 DIADHN CC[C@@H](NCc1cc(C)ccc1F)[C@@H](O)c1c(F)cccc1F ZINC000742711859 1050918070 /nfs/dbraw/zinc/91/80/70/1050918070.db2.gz BKIZMEINUHRMBF-SJLPKXTDSA-N 0 3 323.358 4.014 20 0 DIADHN COc1cc(CN2CCC[C@@H](CC(F)(F)F)C2)ccc1C ZINC001171324091 1050924730 /nfs/dbraw/zinc/92/47/30/1050924730.db2.gz XKBRLCPUKAQWNX-AWEZNQCLSA-N 0 3 301.352 4.168 20 0 DIADHN CN(C)C1(C(=O)N(C)c2ccc(-c3ccccc3)cc2)CCCC1 ZINC001148481153 1050947050 /nfs/dbraw/zinc/94/70/50/1050947050.db2.gz ZCLIBBGEUGPAKV-UHFFFAOYSA-N 0 3 322.452 4.191 20 0 DIADHN Fc1ccc(OC(F)F)c(CN2CC[C@H](C3CCCC3)C2)c1 ZINC001171332852 1050950635 /nfs/dbraw/zinc/95/06/35/1050950635.db2.gz TYVKIFBSJJBZMH-ZDUSSCGKSA-N 0 3 313.363 4.439 20 0 DIADHN CCCCCCC(=O)N1CC[C@@H](C)N(Cc2ccccc2)CC1 ZINC000742959516 1050962479 /nfs/dbraw/zinc/96/24/79/1050962479.db2.gz QDCOXJBAEGMQEF-GOSISDBHSA-N 0 3 316.489 4.080 20 0 DIADHN C[C@@H](CNCc1ncc(C(C)(C)C)s1)c1cccc(F)c1 ZINC000790469119 1050966466 /nfs/dbraw/zinc/96/64/66/1050966466.db2.gz MZEDEEGZRZFWFM-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C)(C)CC(C)(C)C ZINC001149004474 1050984100 /nfs/dbraw/zinc/98/41/00/1050984100.db2.gz NJBGJHOIRZVCMM-UHFFFAOYSA-N 0 3 304.478 4.458 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(C)CCC(C)CC1 ZINC001149004900 1050984489 /nfs/dbraw/zinc/98/44/89/1050984489.db2.gz RWWOPNKRHLGOLA-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(C)n1C1CCN(CCC(=O)c2ccccc2)CC1 ZINC000348111416 1050989686 /nfs/dbraw/zinc/98/96/86/1050989686.db2.gz BBMFCMYPJHQCLN-UHFFFAOYSA-N 0 3 310.441 4.015 20 0 DIADHN Fc1cccc(-c2ccc(CNC[C@H]3CCC=CO3)o2)c1F ZINC000790990930 1051012541 /nfs/dbraw/zinc/01/25/41/1051012541.db2.gz HWCOEAHAKWDOBS-GFCCVEGCSA-N 0 3 305.324 4.007 20 0 DIADHN C[C@@](O)(CNCc1ccsc1Cl)c1ccccc1Cl ZINC000790989444 1051012857 /nfs/dbraw/zinc/01/28/57/1051012857.db2.gz DBQNTXZINOAKCY-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@H](N1CCC[C@@H]1c1cccnc1)CC2 ZINC001171361105 1051013858 /nfs/dbraw/zinc/01/38/58/1051013858.db2.gz SXHDVVHNRUQEJB-VQIMIIECSA-N 0 3 314.379 4.054 20 0 DIADHN CCC[C@H]1CC(=O)N(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000743352296 1051014946 /nfs/dbraw/zinc/01/49/46/1051014946.db2.gz WPSXIILWIJDBRY-KSSFIOAISA-N 0 3 320.452 4.109 20 0 DIADHN CCCCN(CN1C(=O)[C@H](C)[C@@H]1c1ccccc1)[C@@H](C)CC ZINC000743353566 1051018258 /nfs/dbraw/zinc/01/82/58/1051018258.db2.gz DTVGSAVELHFAEM-LZLYRXPVSA-N 0 3 302.462 4.064 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1Cl)c1cccc(F)c1 ZINC000773018496 1051023079 /nfs/dbraw/zinc/02/30/79/1051023079.db2.gz WKNHIYZMODENBN-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CN1C(=O)[C@H](C)[C@@H]1c1ccccc1 ZINC000743381111 1051024161 /nfs/dbraw/zinc/02/41/61/1051024161.db2.gz WBZNHKNYZVZOJN-PSBWJHGTSA-N 0 3 314.473 4.064 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@H](O)c1ccccc1Cl ZINC000773015700 1051025599 /nfs/dbraw/zinc/02/55/99/1051025599.db2.gz WHMLDQCNVAVZAI-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C)o1)[C@@H](O)c1c(F)cccc1F ZINC000773027847 1051027834 /nfs/dbraw/zinc/02/78/34/1051027834.db2.gz GFSZEIZIIQWNIO-PZSREKOKSA-N 0 3 309.356 4.029 20 0 DIADHN COc1ccc2cc(CN3CCCC3(C)C)c(Cl)nc2c1 ZINC000063063670 1051032872 /nfs/dbraw/zinc/03/28/72/1051032872.db2.gz NDQLDFOEXPLYGN-UHFFFAOYSA-N 0 3 304.821 4.271 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)[C@@H](O)c1c(F)cccc1Cl ZINC000773041484 1051033280 /nfs/dbraw/zinc/03/32/80/1051033280.db2.gz KUJZPYLJUPQWQF-FEUHOPSXSA-N 0 3 313.825 4.313 20 0 DIADHN CC[C@H](C)NCc1c(C)nn(-c2cc(C)ccc2C)c1Cl ZINC000743503713 1051037792 /nfs/dbraw/zinc/03/77/92/1051037792.db2.gz IIDZVLBTGNWTIQ-ZDUSSCGKSA-N 0 3 305.853 4.339 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](CC)Oc1cccc(C)c1 ZINC000773049613 1051038998 /nfs/dbraw/zinc/03/89/98/1051038998.db2.gz SWLNQLDGQJTKAU-HOCLYGCPSA-N 0 3 316.445 4.362 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1c(C)cccc1C)CCC2 ZINC000773067594 1051045373 /nfs/dbraw/zinc/04/53/73/1051045373.db2.gz JFFBZWXTZLAXEL-HNNXBMFYSA-N 0 3 300.471 4.490 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1cnn(-c2ccccc2)c1 ZINC000773066160 1051045719 /nfs/dbraw/zinc/04/57/19/1051045719.db2.gz BTBDRBDDQQBBDR-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1c(C)cccc1C)CCCO2 ZINC000773067886 1051046813 /nfs/dbraw/zinc/04/68/13/1051046813.db2.gz LOUYANWZOSIAKS-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN CC[C@H](NC[C@@H](O)c1cccc(C)c1)c1cc(F)ccc1F ZINC000773065732 1051046920 /nfs/dbraw/zinc/04/69/20/1051046920.db2.gz QOIUZCMAVYPAPD-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CC[C@@H](C)C[C@H]1C ZINC001171381521 1051058617 /nfs/dbraw/zinc/05/86/17/1051058617.db2.gz NXPOOKNXEMDUNE-ZIAGYGMSSA-N 0 3 315.413 4.230 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2ccc[nH]2)cc1OCC ZINC000773115565 1051064239 /nfs/dbraw/zinc/06/42/39/1051064239.db2.gz GHCXCJSDVHRECM-KBPBESRZSA-N 0 3 302.418 4.224 20 0 DIADHN CSc1ccccc1CN(C)C[C@H](O)c1cccc(Cl)c1 ZINC000791600023 1051066222 /nfs/dbraw/zinc/06/62/22/1051066222.db2.gz HTEBELWZDBTHFQ-INIZCTEOSA-N 0 3 321.873 4.227 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3ccnc(Cl)c3)C2)c(C)c1 ZINC000791606386 1051068350 /nfs/dbraw/zinc/06/83/50/1051068350.db2.gz IQXLPAFSIZMNOD-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cccnc3Cl)C2)c(C)c1 ZINC000791603828 1051068976 /nfs/dbraw/zinc/06/89/76/1051068976.db2.gz HKXOHQPKOKMKDR-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H](O)c1cccc(Cl)c1 ZINC000743842091 1051069161 /nfs/dbraw/zinc/06/91/61/1051069161.db2.gz BRMVFLYWNYICQS-SFHVURJKSA-N 0 3 321.823 4.423 20 0 DIADHN Cc1cccc([C@H](C)N2CCC[C@@H](NC(=O)OC(C)(C)C)C2)c1 ZINC000743842158 1051069631 /nfs/dbraw/zinc/06/96/31/1051069631.db2.gz AMVZBVIEHLJFTR-DOTOQJQBSA-N 0 3 318.461 4.045 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)c1ccc(OCC(F)(F)F)cc1 ZINC000773118872 1051069591 /nfs/dbraw/zinc/06/95/91/1051069591.db2.gz NRPCLFUQANRUEL-NEPJUHHUSA-N 0 3 312.335 4.368 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)N(C)CCC(C)=O)cc1 ZINC000772779080 1051069944 /nfs/dbraw/zinc/06/99/44/1051069944.db2.gz XXOWFLSRWSQKLA-MRXNPFEDSA-N 0 3 311.425 4.334 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2cccc(Cl)n2)cc1 ZINC000743847721 1051070784 /nfs/dbraw/zinc/07/07/84/1051070784.db2.gz HIYBRDPGUNOPJM-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CC(C)C1CCN(C[C@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000743851568 1051074609 /nfs/dbraw/zinc/07/46/09/1051074609.db2.gz LDBJCNQDTPFHTQ-INIZCTEOSA-N 0 3 315.379 4.107 20 0 DIADHN CCC(CC)N(Cc1nnc(-c2cccc(F)c2)o1)C1CC1 ZINC000743844894 1051083135 /nfs/dbraw/zinc/08/31/35/1051083135.db2.gz ZKPAPSKEVLKEFA-UHFFFAOYSA-N 0 3 303.381 4.029 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ncc(Br)s1 ZINC000714945521 1051096909 /nfs/dbraw/zinc/09/69/09/1051096909.db2.gz JNAFIGCQXPHSPS-VHSXEESVSA-N 0 3 317.296 4.210 20 0 DIADHN CC1(C)CN(C[C@H]2CC2(Cl)Cl)CC[C@H]1C(F)(F)F ZINC000792361761 1051134142 /nfs/dbraw/zinc/13/41/42/1051134142.db2.gz OGLRKQIKCBXOFO-RKDXNWHRSA-N 0 3 304.183 4.091 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC001171455711 1051137617 /nfs/dbraw/zinc/13/76/17/1051137617.db2.gz CYZWIZULAOKIEB-HIFRSBDPSA-N 0 3 309.885 4.030 20 0 DIADHN CC/C=C/CCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@@H]1C ZINC001171455550 1051137923 /nfs/dbraw/zinc/13/79/23/1051137923.db2.gz BWACYCJZJKAWST-PCTGVNFZSA-N 0 3 310.482 4.061 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC001171455712 1051138648 /nfs/dbraw/zinc/13/86/48/1051138648.db2.gz CYZWIZULAOKIEB-UKRRQHHQSA-N 0 3 309.885 4.030 20 0 DIADHN c1ccc(C2(CNc3cc(CN4CCCCC4)ccn3)CC2)cc1 ZINC001171462298 1051142147 /nfs/dbraw/zinc/14/21/47/1051142147.db2.gz XZLJPOLQUZBDOE-UHFFFAOYSA-N 0 3 321.468 4.211 20 0 DIADHN CCNc1nc(CN2CC[C@H]([C@@H]3CCCC3(C)C)C2)cs1 ZINC001171460922 1051142226 /nfs/dbraw/zinc/14/22/26/1051142226.db2.gz YKOVABLWQYGVLI-ZFWWWQNUSA-N 0 3 307.507 4.223 20 0 DIADHN c1ccc2c(c1)CN(CCSc1ccncc1)CC21CCC1 ZINC001170173643 1051144197 /nfs/dbraw/zinc/14/41/97/1051144197.db2.gz VOQSLOBKOUQMED-UHFFFAOYSA-N 0 3 310.466 4.111 20 0 DIADHN CC[C@@H]1C[C@H](n2c3ccccc3nc2[C@H](N)CC(C)C)CCO1 ZINC001170181858 1051145701 /nfs/dbraw/zinc/14/57/01/1051145701.db2.gz JYFROZPDKZBVDC-BZUAXINKSA-N 0 3 315.461 4.212 20 0 DIADHN Fc1cccc2c1CCN([C@@H]1CCc3cc(F)c(F)cc3C1)C2 ZINC001171496089 1051146240 /nfs/dbraw/zinc/14/62/40/1051146240.db2.gz NQAWUTZUGQPWDW-OAHLLOKOSA-N 0 3 317.354 4.020 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CCC[C@@](C)(c2ccccc2)CC1 ZINC001170196363 1051153330 /nfs/dbraw/zinc/15/33/30/1051153330.db2.gz ZTOGMSHRPBXPEW-UYAOXDASSA-N 0 3 317.473 4.162 20 0 DIADHN CC[C@@H]1C[C@H](N(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC001170203139 1051155647 /nfs/dbraw/zinc/15/56/47/1051155647.db2.gz IZUXTIBJPOGHNP-ZIAGYGMSSA-N 0 3 302.245 4.383 20 0 DIADHN CC[C@@H]1C[C@H](N2CCc3cccc(C(F)(F)F)c3C2)CCO1 ZINC001170236591 1051157265 /nfs/dbraw/zinc/15/72/65/1051157265.db2.gz UNXNQBGZSQKZDY-ZIAGYGMSSA-N 0 3 313.363 4.021 20 0 DIADHN CC[C@H]1C[C@@H](N2CCc3cccc(C(F)(F)F)c3C2)CCO1 ZINC001170236583 1051157721 /nfs/dbraw/zinc/15/77/21/1051157721.db2.gz UNXNQBGZSQKZDY-KBPBESRZSA-N 0 3 313.363 4.021 20 0 DIADHN CC[C@H]1C[C@@H](N2CCc3c(cccc3C(F)(F)F)C2)CCO1 ZINC001170235881 1051158154 /nfs/dbraw/zinc/15/81/54/1051158154.db2.gz PPPCMAIUZYPEFM-KBPBESRZSA-N 0 3 313.363 4.021 20 0 DIADHN C[C@H](C(=O)N(c1ccccc1)[C@H](C)C1CC1)N1[C@H](C)CC[C@@H]1C ZINC001171588211 1051161626 /nfs/dbraw/zinc/16/16/26/1051161626.db2.gz AFUOZGAFOOVGLH-YYIAUSFCSA-N 0 3 314.473 4.079 20 0 DIADHN CC(C)c1cc(OCc2ccccc2)ccc1NCC1CN(C)C1 ZINC001170259223 1051166577 /nfs/dbraw/zinc/16/65/77/1051166577.db2.gz QLXGAJQERKPHJM-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1CC[C@H](F)C(F)(F)CC1 ZINC001170265559 1051168068 /nfs/dbraw/zinc/16/80/68/1051168068.db2.gz HWQJZZHNXUMRRE-RISCZKNCSA-N 0 3 305.771 4.340 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@@H]1CCc2ccccc2C1=O ZINC001170262760 1051167899 /nfs/dbraw/zinc/16/78/99/1051167899.db2.gz HARDZZQTKUSAND-FZKQIMNGSA-N 0 3 313.828 4.058 20 0 DIADHN CC(=O)c1ccc2c(c1)CN([C@H]1CCc3c(C)cccc3C1)CC2 ZINC001171575329 1051171528 /nfs/dbraw/zinc/17/15/28/1051171528.db2.gz USCRVUCWCLKOKT-NRFANRHFSA-N 0 3 319.448 4.113 20 0 DIADHN Cc1cccn2cc(CN[C@H](C)Cc3ccccc3Cl)nc12 ZINC001170253560 1051173998 /nfs/dbraw/zinc/17/39/98/1051173998.db2.gz ADEORIRNKCMYRU-CQSZACIVSA-N 0 3 313.832 4.017 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(Cl)nc(Cl)c1 ZINC000744426745 1051174406 /nfs/dbraw/zinc/17/44/06/1051174406.db2.gz OQMKWOCYGVRLGO-SNVBAGLBSA-N 0 3 307.290 4.352 20 0 DIADHN CCCN(CC(=O)N(Cc1ccccc1)c1ccccc1)C(C)C ZINC001171599584 1051175672 /nfs/dbraw/zinc/17/56/72/1051175672.db2.gz UDUBZFXPDIBEKG-UHFFFAOYSA-N 0 3 324.468 4.340 20 0 DIADHN CC(C)C[C@H]1CC(=O)N(CN[C@@H](c2ccccc2)C(C)(C)C)C1 ZINC000744430707 1051177253 /nfs/dbraw/zinc/17/72/53/1051177253.db2.gz HPIBAGLWHMZRHZ-LPHOPBHVSA-N 0 3 316.489 4.216 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170385765 1051195990 /nfs/dbraw/zinc/19/59/90/1051195990.db2.gz JUGAQKYLUJUYEJ-WFASDCNBSA-N 0 3 320.235 4.426 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@@H]2CCc3ccccc3C2=O)cc1 ZINC001171664802 1051186871 /nfs/dbraw/zinc/18/68/71/1051186871.db2.gz FMMGOCBFGHBOIR-OXJNMPFZSA-N 0 3 307.437 4.103 20 0 DIADHN COC[C@@H](CN1CCCC[C@H]1c1nc2ccccc2[nH]1)C(C)C ZINC001170352145 1051187338 /nfs/dbraw/zinc/18/73/38/1051187338.db2.gz RISQAHUCKZIFMY-QAPCUYQASA-N 0 3 315.461 4.009 20 0 DIADHN C[C@H]1CN(Cc2ccc3cnccc3c2)CC2(CCCCC2)O1 ZINC001171635607 1051194367 /nfs/dbraw/zinc/19/43/67/1051194367.db2.gz DERHEYBMVGOCJF-INIZCTEOSA-N 0 3 310.441 4.158 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170385764 1051194785 /nfs/dbraw/zinc/19/47/85/1051194785.db2.gz JUGAQKYLUJUYEJ-SWLSCSKDSA-N 0 3 320.235 4.426 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170385763 1051195016 /nfs/dbraw/zinc/19/50/16/1051195016.db2.gz JUGAQKYLUJUYEJ-IUODEOHRSA-N 0 3 320.235 4.426 20 0 DIADHN CCN(CCSC)Cc1cc(Cl)ccc1OCC1CC1 ZINC001171756136 1051209062 /nfs/dbraw/zinc/20/90/62/1051209062.db2.gz FTKBFDNUYYAAHK-UHFFFAOYSA-N 0 3 313.894 4.314 20 0 DIADHN Fc1ccccc1CCN1CCS[C@H](c2ccccc2)C1 ZINC001171785883 1051219555 /nfs/dbraw/zinc/21/95/55/1051219555.db2.gz LJRQBHQVQSKFIK-SFHVURJKSA-N 0 3 301.430 4.158 20 0 DIADHN Cc1cccc(CCN2CCc3oc(-c4ccccc4)cc3C2)n1 ZINC001171791433 1051220102 /nfs/dbraw/zinc/22/01/02/1051220102.db2.gz KFKGAKPWPUUELQ-UHFFFAOYSA-N 0 3 318.420 4.251 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](C3CCCCC3)C2)c1C ZINC001171799841 1051221768 /nfs/dbraw/zinc/22/17/68/1051221768.db2.gz SUHMRTFYLLQYCS-SFHVURJKSA-N 0 3 314.473 4.144 20 0 DIADHN O=C(OCCC1CCCC1)C1CCN(Cc2ccccc2)CC1 ZINC000745093170 1051222578 /nfs/dbraw/zinc/22/25/78/1051222578.db2.gz IHUSKMKXHDGGJC-UHFFFAOYSA-N 0 3 315.457 4.022 20 0 DIADHN O[C@@H](CN1CCc2oc(-c3ccccc3)cc2C1)c1ccccc1 ZINC001171793073 1051222522 /nfs/dbraw/zinc/22/25/22/1051222522.db2.gz YKOJVWQLZIGNQH-IBGZPJMESA-N 0 3 319.404 4.038 20 0 DIADHN Fc1ccc(OCCN2CCC(C3CCC3)CC2)c(Cl)c1 ZINC001171841586 1051229960 /nfs/dbraw/zinc/22/99/60/1051229960.db2.gz QNNIIKZMVRRWNV-UHFFFAOYSA-N 0 3 311.828 4.370 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN2CCC(CC(F)(F)F)CC2)c1 ZINC000792932917 1051231050 /nfs/dbraw/zinc/23/10/50/1051231050.db2.gz FRIQPJDWQWTYNB-MRXNPFEDSA-N 0 3 315.379 4.001 20 0 DIADHN Clc1ccc(CN2CC[C@H](c3ccc4cccnc4n3)C2)cc1 ZINC001171867933 1051234252 /nfs/dbraw/zinc/23/42/52/1051234252.db2.gz RTSMXELZVCXEPY-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN CC[C@H](NCCc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182177666 1051235530 /nfs/dbraw/zinc/23/55/30/1051235530.db2.gz DOXNVNVFNFWADG-AWEZNQCLSA-N 0 3 312.244 4.010 20 0 DIADHN CC(C)(C)OC(=O)C1CCC(N[C@H](CF)c2ccccc2)CC1 ZINC001171913840 1051237945 /nfs/dbraw/zinc/23/79/45/1051237945.db2.gz FJEIBBPOSZSRAN-OFLPRAFFSA-N 0 3 321.436 4.187 20 0 DIADHN C[C@@H](NCc1occc1Br)[C@@H](C)c1ccccc1 ZINC000747100737 1051240998 /nfs/dbraw/zinc/24/09/98/1051240998.db2.gz QCRPCHHOIXAROO-VXGBXAGGSA-N 0 3 308.219 4.324 20 0 DIADHN CCc1ccc(C2=CCN(C[C@@H]3CC[C@@H](C4CC4)O3)CC2)cc1 ZINC001168775294 1051247722 /nfs/dbraw/zinc/24/77/22/1051247722.db2.gz OLSXJOFOFIWOLW-SFTDATJTSA-N 0 3 311.469 4.296 20 0 DIADHN C[C@H](NC1CC(OCc2ccccc2)C1)c1cccnc1Cl ZINC000766330286 1051250850 /nfs/dbraw/zinc/25/08/50/1051250850.db2.gz GARZUDMYOOLGFZ-JEYLPNPQSA-N 0 3 316.832 4.133 20 0 DIADHN C[C@@H](NC1CC(OCc2ccccc2)C1)c1cccnc1Cl ZINC000766330284 1051251345 /nfs/dbraw/zinc/25/13/45/1051251345.db2.gz GARZUDMYOOLGFZ-IUDNXUCKSA-N 0 3 316.832 4.133 20 0 DIADHN CCC[C@H](NCc1ccc([C@@H]2C[C@@H]2C)o1)[C@H](O)c1ccccc1 ZINC000793236642 1051268146 /nfs/dbraw/zinc/26/81/46/1051268146.db2.gz JJPUGBHJOFIGAC-XBQLCILLSA-N 0 3 313.441 4.395 20 0 DIADHN Cc1ccc(Nc2cccc(CN3CCCCC3)c2F)c(F)n1 ZINC001215676074 1051293079 /nfs/dbraw/zinc/29/30/79/1051293079.db2.gz OGEBIMURAANONY-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2ccc(F)nc2C)cc1 ZINC000793384806 1051293948 /nfs/dbraw/zinc/29/39/48/1051293948.db2.gz XFHOAQWYQVVBBW-UHFFFAOYSA-N 0 3 316.420 4.343 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2ccc(Cl)cc2)C(C)C)n(C)n1 ZINC000793519953 1051307943 /nfs/dbraw/zinc/30/79/43/1051307943.db2.gz RQKDRRJATROFBJ-CXAGYDPISA-N 0 3 305.853 4.430 20 0 DIADHN CCCC[C@@H](NCc1ccc(-n2ccnc2)nc1)c1ccccc1 ZINC000746046425 1051311249 /nfs/dbraw/zinc/31/12/49/1051311249.db2.gz ZWQFOHPXSMMCBE-LJQANCHMSA-N 0 3 320.440 4.288 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)n(C)n1 ZINC000793537757 1051313681 /nfs/dbraw/zinc/31/36/81/1051313681.db2.gz AHPDDPHMXYPXRZ-CPOIFUIOSA-N 0 3 317.864 4.430 20 0 DIADHN CC(C)CCN(CN1C(=O)C[C@@H]1c1ccccc1)C1CCCC1 ZINC000746064190 1051316768 /nfs/dbraw/zinc/31/67/68/1051316768.db2.gz HORNPDVEZDIDLZ-LJQANCHMSA-N 0 3 314.473 4.208 20 0 DIADHN CCn1ncc(Nc2ccc(CN3CCCCC3)c(F)c2)c1C ZINC001215710488 1051317688 /nfs/dbraw/zinc/31/76/88/1051317688.db2.gz VLFYVNMTPZTUEB-UHFFFAOYSA-N 0 3 316.424 4.080 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1cc(Cl)c(F)cc1F ZINC001172325182 1051342894 /nfs/dbraw/zinc/34/28/94/1051342894.db2.gz RRGDLMGBZAAYHM-MNOVXSKESA-N 0 3 305.796 4.302 20 0 DIADHN Cc1cc(F)nc(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215712320 1051318897 /nfs/dbraw/zinc/31/88/97/1051318897.db2.gz RTDZZKQMFXWLGD-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1c(F)cc(Cl)cc1F ZINC001172325086 1051343245 /nfs/dbraw/zinc/34/32/45/1051343245.db2.gz JDXZFXVPRBQIOA-QWRGUYRKSA-N 0 3 305.796 4.302 20 0 DIADHN Nc1cccc2c1ccn2-c1ccc(CN2CCCCC2)c(F)c1 ZINC001215711505 1051320085 /nfs/dbraw/zinc/32/00/85/1051320085.db2.gz BAMPRDBDSFMGJN-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@H]1CSc3ccccc3C1)CO2 ZINC001172199739 1051325097 /nfs/dbraw/zinc/32/50/97/1051325097.db2.gz XMTNKGJTNFZCDC-HIFRSBDPSA-N 0 3 317.841 4.080 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H](O)c1ccc(F)c(F)c1 ZINC000746133976 1051325695 /nfs/dbraw/zinc/32/56/95/1051325695.db2.gz MFAXMVRBRLOCCL-SFHVURJKSA-N 0 3 323.358 4.048 20 0 DIADHN C[C@]12CCN(Cc3ccnc4ccccc34)C[C@H]1C2(Cl)Cl ZINC000793615256 1051327071 /nfs/dbraw/zinc/32/70/71/1051327071.db2.gz NUDJEUZNXRSUSN-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](F)CC1CCCCC1 ZINC000793616606 1051329380 /nfs/dbraw/zinc/32/93/80/1051329380.db2.gz VEFJOJDVQCEAKC-KRWDZBQOSA-N 0 3 320.452 4.304 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@H](O)c1ccccc1F ZINC000746151331 1051330514 /nfs/dbraw/zinc/33/05/14/1051330514.db2.gz AAQOZVPGILNVAS-IBGZPJMESA-N 0 3 319.395 4.156 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1cc(-c2ccccc2)on1 ZINC001172327678 1051338169 /nfs/dbraw/zinc/33/81/69/1051338169.db2.gz NTXWOQOULYEVPK-GJZGRUSLSA-N 0 3 302.418 4.025 20 0 DIADHN CC(C)C[NH2+]Cc1ccc(Nc2ccc([O-])c(F)c2F)cc1 ZINC001215745420 1051340847 /nfs/dbraw/zinc/34/08/47/1051340847.db2.gz PKRMMKUAERJWDX-UHFFFAOYSA-N 0 3 306.356 4.160 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1c(Cl)ccc(C)c1F ZINC001172322160 1051341900 /nfs/dbraw/zinc/34/19/00/1051341900.db2.gz SJFMHOJDAZMBQS-OLZOCXBDSA-N 0 3 301.833 4.471 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1c(F)ccc(C)c1Cl ZINC001172322833 1051343113 /nfs/dbraw/zinc/34/31/13/1051343113.db2.gz ZAYSOAAUOIMTDA-CHWSQXEVSA-N 0 3 301.833 4.471 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1cnc(Cl)cc1Cl ZINC001172325185 1051344085 /nfs/dbraw/zinc/34/40/85/1051344085.db2.gz RSQBNMRQHWQWKE-GHMZBOCLSA-N 0 3 305.249 4.072 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172325309 1051344156 /nfs/dbraw/zinc/34/41/56/1051344156.db2.gz UFFNLAWJEAVKMT-CABCVRRESA-N 0 3 318.486 4.493 20 0 DIADHN Cc1ncc([C@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)s1 ZINC000186846394 1051350473 /nfs/dbraw/zinc/35/04/73/1051350473.db2.gz XRBJKPZAMJBTIZ-GWCFXTLKSA-N 0 3 314.376 4.448 20 0 DIADHN C[C@@H]1CC[C@H](N(Cc2cccnc2)Cc2cccnc2)C[C@H]1C ZINC001172374399 1051353248 /nfs/dbraw/zinc/35/32/48/1051353248.db2.gz QGIOYKBIAKRZRK-HLIPFELVSA-N 0 3 309.457 4.304 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1ccsc1Br ZINC001172330762 1051357895 /nfs/dbraw/zinc/35/78/95/1051357895.db2.gz WIUWOPWPSXMURK-QWRGUYRKSA-N 0 3 320.296 4.194 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1csc(Br)c1 ZINC000380981689 1051364999 /nfs/dbraw/zinc/36/49/99/1051364999.db2.gz BBYZSJJRERKRFZ-UHFFFAOYSA-N 0 3 316.186 4.331 20 0 DIADHN C[C@H]1SCC[C@H]1NCc1ccc(Br)cc1Cl ZINC000381198837 1051370262 /nfs/dbraw/zinc/37/02/62/1051370262.db2.gz FATBSPDOSGUSQD-PRHODGIISA-N 0 3 320.683 4.086 20 0 DIADHN C[C@@H]1CC[C@H](NCC(=O)c2ccc(Br)cc2)C[C@@H]1C ZINC001172361738 1051374999 /nfs/dbraw/zinc/37/49/99/1051374999.db2.gz LWFSUQIRZSTYFZ-XUJVJEKNSA-N 0 3 324.262 4.046 20 0 DIADHN C[C@@H]1CC[C@H](N(Cc2cccnc2)Cc2ccccn2)C[C@@H]1C ZINC001172371761 1051380279 /nfs/dbraw/zinc/38/02/79/1051380279.db2.gz AEZAOXOOUHGWKK-UWVAXJGDSA-N 0 3 309.457 4.304 20 0 DIADHN CCCCCCC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC000747188623 1051391995 /nfs/dbraw/zinc/39/19/95/1051391995.db2.gz MAONYAKSIQYZDF-LJQANCHMSA-N 0 3 316.489 4.219 20 0 DIADHN Cc1nc([C@@H](C)NCCc2cccc3cccnc32)c(C)s1 ZINC000387762594 1051394317 /nfs/dbraw/zinc/39/43/17/1051394317.db2.gz UYPBHGVMVDJRJQ-GFCCVEGCSA-N 0 3 311.454 4.201 20 0 DIADHN CC(C)COCC[C@@H](C)N[C@H](c1ncccc1Cl)C1CC1 ZINC001172470960 1051399904 /nfs/dbraw/zinc/39/99/04/1051399904.db2.gz HOPVCFBACTZXMO-CJNGLKHVSA-N 0 3 310.869 4.227 20 0 DIADHN CC(C)OCC[C@H](C)NCc1csc(-c2cccc(F)c2)n1 ZINC001172444576 1051407745 /nfs/dbraw/zinc/40/77/45/1051407745.db2.gz MYIXDKBQZCHNCP-ZDUSSCGKSA-N 0 3 322.449 4.242 20 0 DIADHN CC(C)OCC[C@@H](C)N1CCSC[C@H]1c1ccc(F)cc1 ZINC001172450136 1051408726 /nfs/dbraw/zinc/40/87/26/1051408726.db2.gz ZRPVLCVTADNUCE-PBHICJAKSA-N 0 3 311.466 4.119 20 0 DIADHN CC(C)OCC[C@@H](C)N(Cc1ccccc1)Cc1cccnc1 ZINC001172451845 1051409119 /nfs/dbraw/zinc/40/91/19/1051409119.db2.gz VHUQOQZFUZMSES-GOSISDBHSA-N 0 3 312.457 4.287 20 0 DIADHN CC(C)OCC[C@H](C)N1CCSC[C@@H]1c1ccc(F)cc1 ZINC001172450138 1051409752 /nfs/dbraw/zinc/40/97/52/1051409752.db2.gz ZRPVLCVTADNUCE-WMLDXEAASA-N 0 3 311.466 4.119 20 0 DIADHN CC(C)COCC[C@@H](C)N[C@@H](c1ccccc1)c1ccccn1 ZINC001172459982 1051412822 /nfs/dbraw/zinc/41/28/22/1051412822.db2.gz NIKNJCDJZARWPB-XLIONFOSSA-N 0 3 312.457 4.212 20 0 DIADHN COc1ccc(C(=O)CN[C@@H]2CCC[C@@H](c3ccccc3)C2)cc1 ZINC001172530244 1051416246 /nfs/dbraw/zinc/41/62/46/1051416246.db2.gz AKKJYPNWMRZNNJ-RTBURBONSA-N 0 3 323.436 4.194 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccccc1)c1ccc(C)c(F)c1 ZINC001168792290 1051423481 /nfs/dbraw/zinc/42/34/81/1051423481.db2.gz AJVSRBGFDVKRKG-KDOFPFPSSA-N 0 3 314.404 4.202 20 0 DIADHN CSc1cccc(Nc2ccc3c(c2)CN(C)CC3)c1F ZINC001215871051 1051426937 /nfs/dbraw/zinc/42/69/37/1051426937.db2.gz WHSCYWIJFHLYLZ-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2ccc(-c3ccccc3)cc2)c(C)o1 ZINC000766469545 1051428157 /nfs/dbraw/zinc/42/81/57/1051428157.db2.gz CQMUMIIASSGEQA-OAQYLSRUSA-N 0 3 321.420 4.387 20 0 DIADHN CCCCCC[C@@H](CCCC)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001172512318 1051428852 /nfs/dbraw/zinc/42/88/52/1051428852.db2.gz CZPOTZOUJLIKFC-IKGGRYGDSA-N 0 3 305.453 4.260 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc(F)c(C)c2)cc1F ZINC001203181247 1051431088 /nfs/dbraw/zinc/43/10/88/1051431088.db2.gz RPSPOEYJAJFYRF-UHFFFAOYSA-N 0 3 305.368 4.307 20 0 DIADHN COc1ccc(F)c(CN[C@@H]2CC[C@@H](c3ccccc3)C2)c1F ZINC001172550333 1051432400 /nfs/dbraw/zinc/43/24/00/1051432400.db2.gz FFKYPOUYECCTSV-HUUCEWRRSA-N 0 3 317.379 4.399 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@@H]2CCC[C@@H](c3ccccc3)C2)n1 ZINC001172553401 1051434625 /nfs/dbraw/zinc/43/46/25/1051434625.db2.gz QEUWVAFIVFMUKG-KURKYZTESA-N 0 3 311.429 4.241 20 0 DIADHN c1ccc([C@@H]2CC[C@H](N3CCO[C@H](c4ccccc4)C3)C2)cc1 ZINC001172565020 1051442228 /nfs/dbraw/zinc/44/22/28/1051442228.db2.gz PJPBRFYQIZTTFK-HKBOAZHASA-N 0 3 307.437 4.396 20 0 DIADHN C[C@H](N)c1ccn([C@@H](C)CCc2c(F)cc(Cl)cc2F)n1 ZINC001172567640 1051444693 /nfs/dbraw/zinc/44/46/93/1051444693.db2.gz KYNCVGDHRMIGQL-UWVGGRQHSA-N 0 3 313.779 4.028 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)N[C@H](C)c1ccno1 ZINC001172569481 1051446039 /nfs/dbraw/zinc/44/60/39/1051446039.db2.gz FSNZBMYHXRASPG-NXEZZACHSA-N 0 3 314.763 4.278 20 0 DIADHN COC1CCN([C@@H](C)CCc2c(F)cc(Cl)cc2F)CC1 ZINC001172568635 1051446160 /nfs/dbraw/zinc/44/61/60/1051446160.db2.gz AHIWASDSIAGVPR-NSHDSACASA-N 0 3 317.807 4.050 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](C)N(C(=O)OC(C)(C)C)C1)c1ccc(C)o1 ZINC001168824276 1051446528 /nfs/dbraw/zinc/44/65/28/1051446528.db2.gz ONLABPHIINNLMW-AEGPPILISA-N 0 3 322.449 4.027 20 0 DIADHN C[C@@H](N)c1nccn1[C@H](C)CCc1c(F)cc(Cl)cc1F ZINC001172575986 1051448694 /nfs/dbraw/zinc/44/86/94/1051448694.db2.gz KVCQVIFTMKCHHN-NXEZZACHSA-N 0 3 313.779 4.028 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538981 1051451600 /nfs/dbraw/zinc/45/16/00/1051451600.db2.gz YRKACIVZQKUEEE-MBOZVWFJSA-N 0 3 320.436 4.304 20 0 DIADHN Cc1cccc2c1[C@H](N[C@@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538485 1051451772 /nfs/dbraw/zinc/45/17/72/1051451772.db2.gz OSEUIXBFTODOIF-HLIPFELVSA-N 0 3 320.436 4.304 20 0 DIADHN Fc1cnc(CN[C@@H]2CCC[C@@H](c3ccccc3)C2)c(F)c1 ZINC001172540578 1051453525 /nfs/dbraw/zinc/45/35/25/1051453525.db2.gz OUJDDJLBGOSFOO-GDBMZVCRSA-N 0 3 302.368 4.176 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCNc1ccccc1 ZINC000766516337 1051455263 /nfs/dbraw/zinc/45/52/63/1051455263.db2.gz WKMHAXCAGFEVCX-ZDUSSCGKSA-N 0 3 304.821 4.111 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCC(=O)Nc2cc(C)cc(C)c2)c1 ZINC001168925504 1051463453 /nfs/dbraw/zinc/46/34/53/1051463453.db2.gz QCBVZXKXPLLWQY-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN COc1nccc(SC)c1Nc1ccnc(C2CCC2)c1 ZINC001215929603 1051463445 /nfs/dbraw/zinc/46/34/45/1051463445.db2.gz OUCRQQATSMEYCP-UHFFFAOYSA-N 0 3 301.415 4.218 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2sc(C)nc2C)c1 ZINC001206171270 1051467497 /nfs/dbraw/zinc/46/74/97/1051467497.db2.gz CJDWVVRPPMIHSB-KRWDZBQOSA-N 0 3 316.470 4.496 20 0 DIADHN Cc1cccc([C@@H](C)N2CCN(c3ccc(C)c(C)c3)CC2)c1 ZINC001168919285 1051467737 /nfs/dbraw/zinc/46/77/37/1051467737.db2.gz XUDNJZQJPSTBAA-LJQANCHMSA-N 0 3 308.469 4.495 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4c(c3)CCC4)CC2)cc1C ZINC001168919388 1051467926 /nfs/dbraw/zinc/46/79/26/1051467926.db2.gz YWHAIUZYNKUQIV-UHFFFAOYSA-N 0 3 320.480 4.114 20 0 DIADHN C[C@H](c1ccccn1)N(C)C[C@H]1[C@H](c2ccccc2)C1(F)F ZINC001168957757 1051479733 /nfs/dbraw/zinc/47/97/33/1051479733.db2.gz IRNNGZSDDAGUSD-KMFMINBZSA-N 0 3 302.368 4.123 20 0 DIADHN Clc1ccc2c(c1)C[C@H](N1CCSc3ccccc3C1)C2 ZINC001172721085 1051481521 /nfs/dbraw/zinc/48/15/21/1051481521.db2.gz BLCNORWCRIEGEQ-QGZVFWFLSA-N 0 3 315.869 4.415 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)C1CCC1 ZINC001168968029 1051481454 /nfs/dbraw/zinc/48/14/54/1051481454.db2.gz DQTVHNWJUDSZNF-JKSUJKDBSA-N 0 3 315.379 4.393 20 0 DIADHN O=C(CNC1CCC2(CCCC2)CC1)c1cc(F)cc(F)c1 ZINC001172728223 1051488435 /nfs/dbraw/zinc/48/84/35/1051488435.db2.gz DUDSOTNDKNGLHF-UHFFFAOYSA-N 0 3 307.384 4.240 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169049692 1051494687 /nfs/dbraw/zinc/49/46/87/1051494687.db2.gz JCGFEKNYBJMTSD-XLIONFOSSA-N 0 3 322.452 4.235 20 0 DIADHN Fc1cccnc1[C@H](N[C@H]1Cc2ccc(Cl)cc2C1)C1CC1 ZINC001172712580 1051495450 /nfs/dbraw/zinc/49/54/50/1051495450.db2.gz OQFXDSQDSQZGPM-DOTOQJQBSA-N 0 3 316.807 4.082 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@@H]1c1cccc(F)c1 ZINC001172758953 1051499265 /nfs/dbraw/zinc/49/92/65/1051499265.db2.gz LEIGGSXNECJZLR-WMLDXEAASA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)NCc1ccc(Cl)cc1Cl ZINC001172757370 1051499342 /nfs/dbraw/zinc/49/93/42/1051499342.db2.gz WVFKJKZJQJWDIS-NSHDSACASA-N 0 3 318.244 4.203 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](Cc2ccccc2)CC(C)C)n1 ZINC001169058381 1051501148 /nfs/dbraw/zinc/50/11/48/1051501148.db2.gz GZHFZKMAMBNXBX-QGZVFWFLSA-N 0 3 300.421 4.276 20 0 DIADHN Fc1cnc(CNC2CCC(Cc3ccccc3)CC2)c(F)c1 ZINC001172819641 1051512037 /nfs/dbraw/zinc/51/20/37/1051512037.db2.gz IXGZMYKREPFCLM-UHFFFAOYSA-N 0 3 316.395 4.251 20 0 DIADHN O=C1C[C@H]2CCC[C@@H](C1)N2C1CCC(Cc2ccccc2)CC1 ZINC001172821780 1051514331 /nfs/dbraw/zinc/51/43/31/1051514331.db2.gz IHUZBDVAYWYEMY-KHSMEXAKSA-N 0 3 311.469 4.374 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)N1CCO[C@H](c2ccccn2)C1 ZINC001169085609 1051517410 /nfs/dbraw/zinc/51/74/10/1051517410.db2.gz PHGOAFXZYORAFK-CTNGQTDRSA-N 0 3 324.468 4.112 20 0 DIADHN C[C@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC1(C)C ZINC001169091504 1051520602 /nfs/dbraw/zinc/52/06/02/1051520602.db2.gz OXVHAUWCLPAGGW-XHDPSFHLSA-N 0 3 316.272 4.395 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CC[C@]2(C1)CCCCO2 ZINC001172865063 1051525210 /nfs/dbraw/zinc/52/52/10/1051525210.db2.gz ZCZNOYMJSDEVOF-GUYCJALGSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cc(Cl)ccn1 ZINC001172838329 1051526415 /nfs/dbraw/zinc/52/64/15/1051526415.db2.gz VGUJZRIJENWLNV-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)n1nc(CN)c2ccccc21 ZINC001172845465 1051531777 /nfs/dbraw/zinc/53/17/77/1051531777.db2.gz MPXMLTGTRYUWAS-NSHDSACASA-N 0 3 317.795 4.091 20 0 DIADHN CC(=O)c1ccc2c(c1)CN([C@H]1CCc3ccc(C)cc3C1)CC2 ZINC001172845295 1051532922 /nfs/dbraw/zinc/53/29/22/1051532922.db2.gz WVRBKVPLQMNTKW-QFIPXVFZSA-N 0 3 319.448 4.113 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(F)cccc1Cl)c1ccc(F)cn1 ZINC001172846277 1051533507 /nfs/dbraw/zinc/53/35/07/1051533507.db2.gz TYGGCOHOACBDCA-WDEREUQCSA-N 0 3 310.775 4.295 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CC[C@]2(C1)CCCCO2 ZINC001172846828 1051534212 /nfs/dbraw/zinc/53/42/12/1051534212.db2.gz IHJHYMWTWDTZCM-GUYCJALGSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CC[C@@]2(C1)CCCCO2 ZINC001172846829 1051535704 /nfs/dbraw/zinc/53/57/04/1051535704.db2.gz IHJHYMWTWDTZCM-SUMWQHHRSA-N 0 3 311.828 4.055 20 0 DIADHN CC(C)Cn1cnc(CN[C@@H](C)Cc2c(F)cccc2Cl)c1 ZINC001172848091 1051536930 /nfs/dbraw/zinc/53/69/30/1051536930.db2.gz QBFPDLAUMHDVRQ-ZDUSSCGKSA-N 0 3 323.843 4.052 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCC(C(F)F)CC1 ZINC001172869905 1051554294 /nfs/dbraw/zinc/55/42/94/1051554294.db2.gz SEMVYKWCGKYKSP-SNVBAGLBSA-N 0 3 305.771 4.387 20 0 DIADHN Cc1nc2ccc(NCc3c(Cl)cncc3Cl)cc2[nH]1 ZINC001169186005 1051542557 /nfs/dbraw/zinc/54/25/57/1051542557.db2.gz RCICCJTXIMNAKD-UHFFFAOYSA-N 0 3 307.184 4.185 20 0 DIADHN Cc1ccc(C(=O)CN[C@@H](C)Cc2ccc(F)cc2Cl)cc1 ZINC001172856932 1051543324 /nfs/dbraw/zinc/54/33/24/1051543324.db2.gz AWEWRTWWUIJJHP-ZDUSSCGKSA-N 0 3 319.807 4.191 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1CCOC1CCCCCC1 ZINC001169178405 1051546009 /nfs/dbraw/zinc/54/60/09/1051546009.db2.gz PYKDLZGQGXFAOE-UONOGXRCSA-N 0 3 307.400 4.389 20 0 DIADHN COc1cccc2c1CCN(Cc1ccc3ccccc3c1)C2 ZINC001169178551 1051546184 /nfs/dbraw/zinc/54/61/84/1051546184.db2.gz QXWOHHIFCCMVKC-UHFFFAOYSA-N 0 3 303.405 4.407 20 0 DIADHN CCCCCN(CCCOC)Cc1nc2ccccc2s1 ZINC001169177540 1051546276 /nfs/dbraw/zinc/54/62/76/1051546276.db2.gz LKSWSKOFVWFVOG-UHFFFAOYSA-N 0 3 306.475 4.325 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC001169179728 1051546385 /nfs/dbraw/zinc/54/63/85/1051546385.db2.gz YUGUXHFIIBWNDS-TUVASFSCSA-N 0 3 307.400 4.245 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)Nc1cccc(F)c1CN ZINC001172861109 1051546791 /nfs/dbraw/zinc/54/67/91/1051546791.db2.gz HKBHBAYOOHLFIX-SNVBAGLBSA-N 0 3 310.775 4.120 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CC[C@H](F)C(F)(F)CC1 ZINC001172863841 1051549961 /nfs/dbraw/zinc/54/99/61/1051549961.db2.gz LATNUTWNSQMCOY-YGRLFVJLSA-N 0 3 323.761 4.479 20 0 DIADHN C[NH+]1CCC(c2ccc(Nc3cc([O-])c(F)cc3F)cc2)CC1 ZINC001212690830 1051551501 /nfs/dbraw/zinc/55/15/01/1051551501.db2.gz ZQBGPJNJBYZLHG-UHFFFAOYSA-N 0 3 318.367 4.223 20 0 DIADHN FC1(F)CCC[C@H](Nc2cc(CN3CCCCC3)ccn2)C1 ZINC001169214515 1051552065 /nfs/dbraw/zinc/55/20/65/1051552065.db2.gz CPQXPCSGZNCGTF-HNNXBMFYSA-N 0 3 309.404 4.057 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CC[C@@]2(CC2(F)F)C1 ZINC001172870055 1051553982 /nfs/dbraw/zinc/55/39/82/1051553982.db2.gz SXYFVUZLXCBJKT-QMTHXVAHSA-N 0 3 303.755 4.141 20 0 DIADHN Fc1ccc(N[C@@H]2CCCC(F)(F)C2)cc1CN1CCCC1 ZINC001169216880 1051554812 /nfs/dbraw/zinc/55/48/12/1051554812.db2.gz AXJFECSFYPQLTB-OAHLLOKOSA-N 0 3 312.379 4.411 20 0 DIADHN C[C@@]12CCN(c3ccnc4ccccc43)C[C@@H]1C2(Cl)Cl ZINC000804707374 1051555118 /nfs/dbraw/zinc/55/51/18/1051555118.db2.gz YFPBUAVOVSJFGW-LSDHHAIUSA-N 0 3 307.224 4.255 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccccc2)c2ccccn2)cc1F ZINC000177981161 1051555434 /nfs/dbraw/zinc/55/54/34/1051555434.db2.gz IJEIGNQROLWHOX-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(N(C)C)c(Cl)c1 ZINC001216062182 1051558016 /nfs/dbraw/zinc/55/80/16/1051558016.db2.gz BSTKDABYXAKLDH-UHFFFAOYSA-N 0 3 303.837 4.211 20 0 DIADHN COc1ccnc(CN[C@@H](C)CSc2ccc(Cl)cc2)c1 ZINC001172872740 1051558577 /nfs/dbraw/zinc/55/85/77/1051558577.db2.gz DRKMBGWPKFAJOR-LBPRGKRZSA-N 0 3 322.861 4.014 20 0 DIADHN C[C@@H](N)c1ccn(-c2c(Cl)cc(C(F)(F)F)cc2Cl)n1 ZINC001169233832 1051558952 /nfs/dbraw/zinc/55/89/52/1051558952.db2.gz SRBYGLSTXFAMHL-ZCFIWIBFSA-N 0 3 324.133 4.218 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C/c2ccccc2)cc1NC(C)=O ZINC000178136663 1051562443 /nfs/dbraw/zinc/56/24/43/1051562443.db2.gz DTEGAKRWZCXJQS-JOZWUWCOSA-N 0 3 324.424 4.018 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NCc1cc(F)ccn1 ZINC001172880334 1051563663 /nfs/dbraw/zinc/56/36/63/1051563663.db2.gz RDPQNPHUERNVSI-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1cccc(Cl)c1Cl)C1CC1 ZINC000311485284 1051564995 /nfs/dbraw/zinc/56/49/95/1051564995.db2.gz SIDUJQYKCNSQCA-BONVTDFDSA-N 0 3 302.245 4.459 20 0 DIADHN CC(C)Cc1ccc(C(=O)C2CCN(CCCF)CC2)cc1 ZINC000178302205 1051566437 /nfs/dbraw/zinc/56/64/37/1051566437.db2.gz ZOPVZAZMISUXHH-UHFFFAOYSA-N 0 3 305.437 4.139 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](Cc1ccccc1)c1ccccc1 ZINC000178297103 1051567339 /nfs/dbraw/zinc/56/73/39/1051567339.db2.gz KMVQVDQVLJODNV-HRAATJIYSA-N 0 3 319.452 4.363 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N[C@H]1CCc2cccnc21 ZINC001172884220 1051567594 /nfs/dbraw/zinc/56/75/94/1051567594.db2.gz WRIDYRIRDXYVQJ-WBMJQRKESA-N 0 3 318.873 4.493 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCCC[C@H]2C)cc1Cl ZINC001169283204 1051568736 /nfs/dbraw/zinc/56/87/36/1051568736.db2.gz HRROMCWHFTUQJH-CZUORRHYSA-N 0 3 308.853 4.097 20 0 DIADHN CO[C@@H]1CCC[C@@H](N(C)Cc2cccc(Cl)c2Cl)C1 ZINC001172938231 1051578142 /nfs/dbraw/zinc/57/81/42/1051578142.db2.gz KKHAOJWVLREJIJ-CHWSQXEVSA-N 0 3 302.245 4.383 20 0 DIADHN CO[C@H]1CCC[C@@H](N2CCc3c(cccc3C(F)(F)F)C2)C1 ZINC001172947196 1051580786 /nfs/dbraw/zinc/58/07/86/1051580786.db2.gz WWKIXFSUXJNAGJ-KGLIPLIRSA-N 0 3 313.363 4.021 20 0 DIADHN CC(C)(C)C1CCN(Cc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC001169306294 1051581276 /nfs/dbraw/zinc/58/12/76/1051581276.db2.gz BDXCYZFAUQDDHK-UHFFFAOYSA-N 0 3 311.372 4.266 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@@H]1O)c1nc(-c2ccccc2)cs1 ZINC000178693651 1051582917 /nfs/dbraw/zinc/58/29/17/1051582917.db2.gz JXAONJCDIMALEW-QRTARXTBSA-N 0 3 316.470 4.012 20 0 DIADHN CCCC[C@H](NCc1cnc(C)s1)c1ccc(OC)cc1 ZINC000103172589 1051585288 /nfs/dbraw/zinc/58/52/88/1051585288.db2.gz OMLUFNFMRKKBHA-KRWDZBQOSA-N 0 3 304.459 4.481 20 0 DIADHN Cc1nc2ccc(Nc3cccc(Br)c3)cc2[nH]1 ZINC001213074495 1051587368 /nfs/dbraw/zinc/58/73/68/1051587368.db2.gz XQRHNTDDYLFBQY-UHFFFAOYSA-N 0 3 302.175 4.377 20 0 DIADHN Cc1cccc(CCCN(C)Cc2cc(F)c(F)c(F)c2)c1 ZINC001168458174 1051594148 /nfs/dbraw/zinc/59/41/48/1051594148.db2.gz JVFJORITUMJGTE-UHFFFAOYSA-N 0 3 307.359 4.477 20 0 DIADHN CC(C)[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001169382729 1051598471 /nfs/dbraw/zinc/59/84/71/1051598471.db2.gz MCPLKBLDZRFNJN-KBPBESRZSA-N 0 3 308.853 4.035 20 0 DIADHN CC(C)[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001169382734 1051600556 /nfs/dbraw/zinc/60/05/56/1051600556.db2.gz MCPLKBLDZRFNJN-ZIAGYGMSSA-N 0 3 308.853 4.035 20 0 DIADHN CC(C)[C@H](O)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493245362 1051602708 /nfs/dbraw/zinc/60/27/08/1051602708.db2.gz AYODTFUOGAXBNJ-MSOLQXFVSA-N 0 3 321.823 4.175 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1ncccc1Br ZINC001170481049 1051640365 /nfs/dbraw/zinc/64/03/65/1051640365.db2.gz QHJXAIYCVCBTMR-HNNXBMFYSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N3CCCC[C@@H](C)C3)n2)ccc1F ZINC001169395000 1051605950 /nfs/dbraw/zinc/60/59/50/1051605950.db2.gz CIXRZVLFMBDRRL-TZMCWYRMSA-N 0 3 317.408 4.367 20 0 DIADHN CC(C)[C@@H](O)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493277144 1051608684 /nfs/dbraw/zinc/60/86/84/1051608684.db2.gz PBYGVVZLRBQETJ-ROUUACIJSA-N 0 3 303.833 4.036 20 0 DIADHN O=C1CC[C@]2(CCCN(C3CCC4(CCCCC4)CC3)C2)O1 ZINC001173121341 1051615127 /nfs/dbraw/zinc/61/51/27/1051615127.db2.gz PTRXRSBTLRTLBS-IBGZPJMESA-N 0 3 305.462 4.051 20 0 DIADHN Cc1noc([C@@H]2CCCN2C2CCC3(CCCCC3)CC2)n1 ZINC001173121635 1051615982 /nfs/dbraw/zinc/61/59/82/1051615982.db2.gz SZQOPQRZWKCEOY-INIZCTEOSA-N 0 3 303.450 4.408 20 0 DIADHN COc1cccc2c1C[C@@H](N[C@@H](C)c1ccccc1Cl)CO2 ZINC001173145164 1051623015 /nfs/dbraw/zinc/62/30/15/1051623015.db2.gz PFPDKKIUZHJWHT-QWHCGFSZSA-N 0 3 317.816 4.003 20 0 DIADHN COc1cccc2c1C[C@H](N[C@@H](C)c1ccccc1Cl)CO2 ZINC001173145165 1051623351 /nfs/dbraw/zinc/62/33/51/1051623351.db2.gz PFPDKKIUZHJWHT-STQMWFEESA-N 0 3 317.816 4.003 20 0 DIADHN CC[C@H](NC(=O)CN1CCCCC[C@H]1C)c1ccc(Cl)cc1 ZINC001169462872 1051624572 /nfs/dbraw/zinc/62/45/72/1051624572.db2.gz RHSLUPSRDJEVMJ-PBHICJAKSA-N 0 3 322.880 4.172 20 0 DIADHN CC[C@@H](NC(=O)CN1CCCCC[C@@H]1C)c1ccc(Cl)cc1 ZINC001169462874 1051624794 /nfs/dbraw/zinc/62/47/94/1051624794.db2.gz RHSLUPSRDJEVMJ-WMLDXEAASA-N 0 3 322.880 4.172 20 0 DIADHN Cc1ccnc(C(C)C)c1Nc1cccc(N2CCN(C)CC2)c1 ZINC001212713046 1051627682 /nfs/dbraw/zinc/62/76/82/1051627682.db2.gz RQTCISMXRSEHNX-UHFFFAOYSA-N 0 3 324.472 4.009 20 0 DIADHN C[C@@H](NC1CCC(Oc2ccccc2)CC1)c1nccs1 ZINC001173196215 1051629355 /nfs/dbraw/zinc/62/93/55/1051629355.db2.gz KNXJBDJGQOZYFV-VQCLRJIVSA-N 0 3 302.443 4.184 20 0 DIADHN NCc1nn(C2CCC(Oc3ccccc3)CC2)c2ccccc12 ZINC001173197160 1051629611 /nfs/dbraw/zinc/62/96/11/1051629611.db2.gz DCFHFISOSUJJJT-UHFFFAOYSA-N 0 3 321.424 4.058 20 0 DIADHN [O-]c1ccc(C[NH2+]C2CCC(Oc3ccccc3)CC2)c(F)c1 ZINC001173198742 1051630626 /nfs/dbraw/zinc/63/06/26/1051630626.db2.gz FFRONSJSDFPJNQ-UHFFFAOYSA-N 0 3 315.388 4.011 20 0 DIADHN COC(=O)c1oc([C@H](C)N2CCC(C)(C(C)C)CC2)cc1C ZINC001169506631 1051630935 /nfs/dbraw/zinc/63/09/35/1051630935.db2.gz AHPZUAKQWMPSBB-AWEZNQCLSA-N 0 3 307.434 4.194 20 0 DIADHN Cc1ccc(C(=O)CNC2CCC(Oc3ccccc3)CC2)cc1 ZINC001173200753 1051631619 /nfs/dbraw/zinc/63/16/19/1051631619.db2.gz PTGYHGNCUIATNI-UHFFFAOYSA-N 0 3 323.436 4.158 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)n1cncc1CN ZINC001173204995 1051642731 /nfs/dbraw/zinc/64/27/31/1051642731.db2.gz ARDIAKFIPIKCIW-INIZCTEOSA-N 0 3 305.425 4.125 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)n1ccc(CN)n1 ZINC001173205135 1051643017 /nfs/dbraw/zinc/64/30/17/1051643017.db2.gz MXEZXXOKVOSIRW-INIZCTEOSA-N 0 3 305.425 4.125 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)N1CCOC[C@@H]1C ZINC001173205667 1051643490 /nfs/dbraw/zinc/64/34/90/1051643490.db2.gz DMOBYPSXCSSHRR-ROUUACIJSA-N 0 3 309.453 4.318 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)NCc1ccon1 ZINC001173204797 1051643611 /nfs/dbraw/zinc/64/36/11/1051643611.db2.gz LCMIMGDFBRCOKW-INIZCTEOSA-N 0 3 306.409 4.375 20 0 DIADHN CCc1ccc(C[C@H](C)N[C@H](c2ncccc2F)C2CC2)cc1 ZINC001173249798 1051653683 /nfs/dbraw/zinc/65/36/83/1051653683.db2.gz LJJONVLWHUIYMM-LIRRHRJNSA-N 0 3 312.432 4.455 20 0 DIADHN CC[C@H]1CC[C@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001173261953 1051663511 /nfs/dbraw/zinc/66/35/11/1051663511.db2.gz ALEPRVKCVRPFLQ-ONGXEEELSA-N 0 3 306.759 4.422 20 0 DIADHN CC[C@@H]1CC[C@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001173261949 1051663751 /nfs/dbraw/zinc/66/37/51/1051663751.db2.gz ALEPRVKCVRPFLQ-KOLCDFICSA-N 0 3 306.759 4.422 20 0 DIADHN CC[C@@H]1CC[C@@H](NCc2csc(-c3cccc(OC)c3)n2)C1 ZINC001173270373 1051667815 /nfs/dbraw/zinc/66/78/15/1051667815.db2.gz BCWHMDPJJFIVSA-UKRRQHHQSA-N 0 3 316.470 4.487 20 0 DIADHN CC[C@H]1CC[C@H](NCc2coc(-c3ccc(OC)cc3)n2)C1 ZINC001173271705 1051668465 /nfs/dbraw/zinc/66/84/65/1051668465.db2.gz HTIGCJVGUDZLFX-ZFWWWQNUSA-N 0 3 300.402 4.019 20 0 DIADHN CC[C@H]1CN(Cc2cccc(SC(F)(F)F)c2)CCCO1 ZINC001169677083 1051670164 /nfs/dbraw/zinc/67/01/64/1051670164.db2.gz XBDOQGUEPBBXEA-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN CC[C@H]1CC[C@@H](NCc2coc(-c3cccc(OC)c3)n2)C1 ZINC001173274359 1051670807 /nfs/dbraw/zinc/67/08/07/1051670807.db2.gz IQCOURXFKRDBAI-DZGCQCFKSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1ccc(C[C@H](C)N2CCC[C@@H]2c2nccs2)cc1 ZINC001173242061 1051672913 /nfs/dbraw/zinc/67/29/13/1051672913.db2.gz CQXZCWUTXLFHCH-WMLDXEAASA-N 0 3 300.471 4.474 20 0 DIADHN Cc1cc(Cl)ncc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001216282540 1051674384 /nfs/dbraw/zinc/67/43/84/1051674384.db2.gz QJVWUTAULNFJLA-OAHLLOKOSA-N 0 3 317.820 4.172 20 0 DIADHN CC[C@@H](O)CCCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000493995660 1051675358 /nfs/dbraw/zinc/67/53/58/1051675358.db2.gz GDQXHZZAXUEQNS-GOSISDBHSA-N 0 3 319.395 4.195 20 0 DIADHN CCCc1csc(CNC[C@@H]2CCCO[C@H]2C(C)(C)C)n1 ZINC000494018914 1051677356 /nfs/dbraw/zinc/67/73/56/1051677356.db2.gz REZHZXNSRRCYJC-XJKSGUPXSA-N 0 3 310.507 4.027 20 0 DIADHN CCCc1csc(CNCC(C)(C)c2cccc(F)c2)n1 ZINC000494014780 1051678183 /nfs/dbraw/zinc/67/81/83/1051678183.db2.gz DWDJMXJHXMMSPS-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN CCSC[C@@H](C)N[C@@H](c1ncccc1C(F)(F)F)C1CC1 ZINC001173296252 1051678376 /nfs/dbraw/zinc/67/83/76/1051678376.db2.gz QRTLAVVTVQNELG-ZWNOBZJWSA-N 0 3 318.408 4.283 20 0 DIADHN CCSC[C@@H](C)N(Cc1ccccc1)Cc1ccncc1 ZINC001173301745 1051680473 /nfs/dbraw/zinc/68/04/73/1051680473.db2.gz ZLTLNXRSCZCCSU-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN O[C@@H]1CCCC[C@H]1CCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000494046397 1051683033 /nfs/dbraw/zinc/68/30/33/1051683033.db2.gz DIXHJEWNPOACBS-WMQCIHAUSA-N 0 3 324.468 4.092 20 0 DIADHN Cc1nc2ccc(CNCc3cnc4ccccc4c3)cc2s1 ZINC000494008771 1051683496 /nfs/dbraw/zinc/68/34/96/1051683496.db2.gz YCOQTVHLFQSZFK-UHFFFAOYSA-N 0 3 319.433 4.443 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CCCN[C@H](c1ccccc1)c1ccccn1 ZINC000494046394 1051683607 /nfs/dbraw/zinc/68/36/07/1051683607.db2.gz DIXHJEWNPOACBS-DUXKGJEZSA-N 0 3 324.468 4.092 20 0 DIADHN C[C@@H]1[C@@H](C)N(C(=O)C=C2CCC(C(C)(C)C)CC2)[C@@H](C)CN1C ZINC001130369540 1051686123 /nfs/dbraw/zinc/68/61/23/1051686123.db2.gz GXASZNKLPYZNRK-AGLYMACPSA-N 0 3 320.521 4.089 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)[C@H](C)C1CCCCC1 ZINC001169731912 1051687604 /nfs/dbraw/zinc/68/76/04/1051687604.db2.gz FUJBAPCYXJHHMT-CQSZACIVSA-N 0 3 322.880 4.488 20 0 DIADHN CCCc1csc(CNCCCCOc2ccc(F)cc2)n1 ZINC000494068013 1051688181 /nfs/dbraw/zinc/68/81/81/1051688181.db2.gz DHQMHJTWQNDQHN-UHFFFAOYSA-N 0 3 322.449 4.184 20 0 DIADHN CCCc1csc(CNC[C@@H](C)c2ccc(F)cc2F)n1 ZINC000494107566 1051692791 /nfs/dbraw/zinc/69/27/91/1051692791.db2.gz MPMNJMGQJXXVBS-LLVKDONJSA-N 0 3 310.413 4.267 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCS[C@@H](C)CC2)c1C ZINC000494137125 1051693409 /nfs/dbraw/zinc/69/34/09/1051693409.db2.gz OLGKWHPJOFGTSY-AWEZNQCLSA-N 0 3 305.487 4.142 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H](C)c3c2cccc3C)cc1OC ZINC000152535058 1051693826 /nfs/dbraw/zinc/69/38/26/1051693826.db2.gz NLHWIWVHCQVHNY-WMLDXEAASA-N 0 3 311.425 4.350 20 0 DIADHN CCc1ccccc1OC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000805847392 1051697915 /nfs/dbraw/zinc/69/79/15/1051697915.db2.gz BEASWTDFUJAQMO-UHFFFAOYSA-N 0 3 323.436 4.067 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc2nc(C)sc2c1 ZINC000494176506 1051698139 /nfs/dbraw/zinc/69/81/39/1051698139.db2.gz PUOVTBQBXNJGLW-MRXNPFEDSA-N 0 3 324.449 4.390 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000494195500 1051701994 /nfs/dbraw/zinc/70/19/94/1051701994.db2.gz PIHVZOMPYZAGHR-IGNZVWTISA-N 0 3 319.807 4.336 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1nc(-c2ccccn2)no1 ZINC000613341531 1051708063 /nfs/dbraw/zinc/70/80/63/1051708063.db2.gz QXMRJNDWOQOGSI-UHFFFAOYSA-N 0 3 316.449 4.026 20 0 DIADHN CCN(Cc1cccc(F)c1F)C1Cc2cc(F)c(F)cc2C1 ZINC001173481279 1051725590 /nfs/dbraw/zinc/72/55/90/1051725590.db2.gz IVHSAHAMPQEKLT-UHFFFAOYSA-N 0 3 323.333 4.232 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](C)c2ccc(NC(=O)C3CC3)cc2)c1 ZINC000184266237 1051729237 /nfs/dbraw/zinc/72/92/37/1051729237.db2.gz APBQLAOCZQGHGR-GJZGRUSLSA-N 0 3 323.440 4.150 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](C)c2ccc(NC(=O)C3CC3)cc2)c1 ZINC000184266270 1051730164 /nfs/dbraw/zinc/73/01/64/1051730164.db2.gz APBQLAOCZQGHGR-CABCVRRESA-N 0 3 323.440 4.150 20 0 DIADHN Cc1cc(C)c(CN[C@@H]2CCc3nc(Cl)ccc32)c(C)c1 ZINC000806135544 1051734540 /nfs/dbraw/zinc/73/45/40/1051734540.db2.gz LEEQIEWMNMFYDO-MRXNPFEDSA-N 0 3 300.833 4.437 20 0 DIADHN CCCC1CCC(N(C)CC(=O)Nc2ccc(C)c(F)c2)CC1 ZINC001169953756 1051736596 /nfs/dbraw/zinc/73/65/96/1051736596.db2.gz SHDCHUZLUNVOKI-UHFFFAOYSA-N 0 3 320.452 4.363 20 0 DIADHN COc1c(F)ccc(Nc2ccnc(C3CCC3)c2)c1OC ZINC001216392108 1051741252 /nfs/dbraw/zinc/74/12/52/1051741252.db2.gz PSBZUTBOWNYDQW-UHFFFAOYSA-N 0 3 302.349 4.249 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)N1CCCC12COC2 ZINC001173552882 1051744155 /nfs/dbraw/zinc/74/41/55/1051744155.db2.gz FOOSLPHTCPQXBX-LBPRGKRZSA-N 0 3 314.256 4.179 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000806172233 1051747076 /nfs/dbraw/zinc/74/70/76/1051747076.db2.gz IFYOSMBAVBVSSR-UXHICEINSA-N 0 3 312.432 4.014 20 0 DIADHN C[C@H](CN[C@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccccc1 ZINC000185437059 1051765914 /nfs/dbraw/zinc/76/59/14/1051765914.db2.gz QABSZIVUUUMJAU-QRWLVFNGSA-N 0 3 323.415 4.042 20 0 DIADHN COc1ccc([C@H](NCc2cc(O)cc(F)c2)C(C)C)cc1 ZINC000185452813 1051767443 /nfs/dbraw/zinc/76/74/43/1051767443.db2.gz XNYRQYWYIUHWDE-GOSISDBHSA-N 0 3 303.377 4.027 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000185604805 1051776904 /nfs/dbraw/zinc/77/69/04/1051776904.db2.gz XMPSQDNNACBGQT-YOEHRIQHSA-N 0 3 302.462 4.177 20 0 DIADHN CC(C)c1cnc(CN[C@H](C)[C@H](c2ccccc2)N(C)C)s1 ZINC000185677293 1051778923 /nfs/dbraw/zinc/77/89/23/1051778923.db2.gz GXGHQMAEJUWDIC-RDTXWAMCSA-N 0 3 317.502 4.048 20 0 DIADHN c1nc2c(s1)CN(C1CCC3(CCc4ccccc43)CC1)CC2 ZINC001173690393 1051781240 /nfs/dbraw/zinc/78/12/40/1051781240.db2.gz RWDYDDAUALCGPK-UHFFFAOYSA-N 0 3 324.493 4.328 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cc(C)sc2C)o1 ZINC000186137500 1051797929 /nfs/dbraw/zinc/79/79/29/1051797929.db2.gz JRECEPRFVYUSCK-MRXNPFEDSA-N 0 3 305.443 4.441 20 0 DIADHN c1ncn(Cc2ccccc2)c1Nc1ccnc(C2CCC2)c1 ZINC001216550627 1051812421 /nfs/dbraw/zinc/81/24/21/1051812421.db2.gz LLYSRYBXUOLPBQ-UHFFFAOYSA-N 0 3 304.397 4.338 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](CCO)C2CCCCC2)cs1 ZINC000186391077 1051813700 /nfs/dbraw/zinc/81/37/00/1051813700.db2.gz SIJYQJQDGHUTBC-ZFWWWQNUSA-N 0 3 310.507 4.248 20 0 DIADHN CN1CCc2ccc(Nc3ccccc3C(F)(F)F)cc2C1 ZINC001173843466 1051817593 /nfs/dbraw/zinc/81/75/93/1051817593.db2.gz UDHMYIOFCGMMKQ-UHFFFAOYSA-N 0 3 306.331 4.437 20 0 DIADHN Clc1ccccc1CCN1CC[C@@]2(C1)OCc1ccccc12 ZINC000186690219 1051826638 /nfs/dbraw/zinc/82/66/38/1051826638.db2.gz VMXCQNHEINLWCM-IBGZPJMESA-N 0 3 313.828 4.014 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3c(F)cccc3F)cccc21 ZINC001173860884 1051832241 /nfs/dbraw/zinc/83/22/41/1051832241.db2.gz JWSAOUQLRGACJU-HNNXBMFYSA-N 0 3 304.340 4.094 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(F)c(F)c3)cccc21 ZINC001173868574 1051838930 /nfs/dbraw/zinc/83/89/30/1051838930.db2.gz AWXZYVSBNUUQGS-INIZCTEOSA-N 0 3 304.340 4.094 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3c(C)cccc3C)c2C1 ZINC001173874468 1051842496 /nfs/dbraw/zinc/84/24/96/1051842496.db2.gz RTDMDHHCLJENAC-UHFFFAOYSA-N 0 3 315.486 4.459 20 0 DIADHN Cc1cc(C)cc(Nc2ccc(N3CCN(C)CC3)cc2C)c1 ZINC001173830869 1051849507 /nfs/dbraw/zinc/84/95/07/1051849507.db2.gz GFAZHQOUSSDYSN-UHFFFAOYSA-N 0 3 309.457 4.107 20 0 DIADHN CCOC(CN1CC[C@H](C)C[C@H]1c1ccc(CC)o1)OCC ZINC000767791252 1051852453 /nfs/dbraw/zinc/85/24/53/1051852453.db2.gz CVURRHHIVGSFIV-HOCLYGCPSA-N 0 3 309.450 4.014 20 0 DIADHN CCOC(CN([C@@H](C)c1ccc(Cl)cc1)C1CC1)OCC ZINC000767795761 1051853106 /nfs/dbraw/zinc/85/31/06/1051853106.db2.gz IJFVJUYSPAMMNI-ZDUSSCGKSA-N 0 3 311.853 4.265 20 0 DIADHN CCOC(CN[C@H](c1ccc(F)cc1)C1CCCC1)OCC ZINC000767817663 1051853845 /nfs/dbraw/zinc/85/38/45/1051853845.db2.gz VPCHBWMJROWSQU-SFHVURJKSA-N 0 3 309.425 4.046 20 0 DIADHN CCOC(CN[C@@H](c1oc2ccccc2c1C)C(C)C)OCC ZINC000767816191 1051854138 /nfs/dbraw/zinc/85/41/38/1051854138.db2.gz DIOZPLLMKCNZMS-GOSISDBHSA-N 0 3 319.445 4.427 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(O)(c2ccccc2)CC1 ZINC000767848818 1051856691 /nfs/dbraw/zinc/85/66/91/1051856691.db2.gz JDZQBHOAVSCECB-HNNXBMFYSA-N 0 3 315.844 4.385 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCOC2CCC2)o1 ZINC000742385702 1051858913 /nfs/dbraw/zinc/85/89/13/1051858913.db2.gz PFYKSKYUEBDXCJ-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(Cc2cc3ccccc3o2)CC1 ZINC000767888336 1051860217 /nfs/dbraw/zinc/86/02/17/1051860217.db2.gz RVNUZYPOBBZAEV-NRFANRHFSA-N 0 3 321.420 4.378 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cncc3ccccc32)o1 ZINC000767934821 1051866455 /nfs/dbraw/zinc/86/64/55/1051866455.db2.gz QSVYFRHBYDNUEH-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN C[C@@](O)(CNCc1cccc(Cl)c1Cl)c1cccs1 ZINC000153000357 1051871902 /nfs/dbraw/zinc/87/19/02/1051871902.db2.gz BSVJFKOCNHTDGF-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN CCC[C@H](C)[C@H](CC)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494345862 1051876063 /nfs/dbraw/zinc/87/60/63/1051876063.db2.gz FARPGJQEYULWAQ-ZNMIVQPWSA-N 0 3 315.465 4.041 20 0 DIADHN CCC[C@H](C)[C@H](CC)[NH2+][C@H](C)c1nc(-c2cc(C)ccn2)n[n-]1 ZINC000494345862 1051876070 /nfs/dbraw/zinc/87/60/70/1051876070.db2.gz FARPGJQEYULWAQ-ZNMIVQPWSA-N 0 3 315.465 4.041 20 0 DIADHN CCCc1csc(CN[C@H](C)CCc2ccc(OC)cc2)n1 ZINC000494355745 1051878993 /nfs/dbraw/zinc/87/89/93/1051878993.db2.gz HVFWYTQWIZLGCA-CQSZACIVSA-N 0 3 318.486 4.215 20 0 DIADHN C[C@@H](NCc1ccc([C@H](C)O)cc1)c1ccc(Cl)c(F)c1 ZINC000768096155 1051880250 /nfs/dbraw/zinc/88/02/50/1051880250.db2.gz HOUSZGNDPXJOOE-NEPJUHHUSA-N 0 3 307.796 4.383 20 0 DIADHN CO[C@H](C)[C@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000189110317 1051881490 /nfs/dbraw/zinc/88/14/90/1051881490.db2.gz HXUPYZWGSFAAEP-NWDGAFQWSA-N 0 3 316.426 4.069 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2N[C@H]1CCCc2nc(C)ncc21 ZINC000768102871 1051882299 /nfs/dbraw/zinc/88/22/99/1051882299.db2.gz CSHTVWKAQBLUDU-ZNZDAUKMSA-N 0 3 307.441 4.309 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)nc1)c1ccc2c(c1)CCCO2 ZINC000153040982 1051886307 /nfs/dbraw/zinc/88/63/07/1051886307.db2.gz FYQHYSLMKCUTGP-MRXNPFEDSA-N 0 3 316.832 4.301 20 0 DIADHN C[C@@H](NC1CC(C)(C)N(O)C(C)(C)C1)c1cccc(F)c1F ZINC001169984734 1051886868 /nfs/dbraw/zinc/88/68/68/1051886868.db2.gz RPUMNRRYQSADDH-LLVKDONJSA-N 0 3 312.404 4.026 20 0 DIADHN CC[C@H](C)C[C@H](CC)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494389309 1051888261 /nfs/dbraw/zinc/88/82/61/1051888261.db2.gz CAHNYWNXMYXCLE-CFVMTHIKSA-N 0 3 315.465 4.041 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1CCOc2c(F)cccc21 ZINC000494457177 1051897489 /nfs/dbraw/zinc/89/74/89/1051897489.db2.gz YOGCURHGOYPPQZ-XWIAVFTESA-N 0 3 315.388 4.015 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H]1CCOc2c(F)cccc21 ZINC000494479707 1051901890 /nfs/dbraw/zinc/90/18/90/1051901890.db2.gz YOGCURHGOYPPQZ-BVGQSLNGSA-N 0 3 315.388 4.015 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(N)cc1C(F)(F)F ZINC001173929945 1051902167 /nfs/dbraw/zinc/90/21/67/1051902167.db2.gz NGADWHKUHLTKBL-UHFFFAOYSA-N 0 3 309.335 4.093 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc2c1CC(C)(C)C(=O)N2 ZINC001173931075 1051902950 /nfs/dbraw/zinc/90/29/50/1051902950.db2.gz DZBWKRHAGUUZBI-UHFFFAOYSA-N 0 3 323.440 4.013 20 0 DIADHN Cc1cc(Nc2ccccc2CN(C)C)c2cccc(F)c2n1 ZINC001173929774 1051903433 /nfs/dbraw/zinc/90/34/33/1051903433.db2.gz HSSQSDYNJWZBPZ-UHFFFAOYSA-N 0 3 309.388 4.488 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cncc3ccccc32)cc1 ZINC000768212453 1051904313 /nfs/dbraw/zinc/90/43/13/1051904313.db2.gz JOMJINFHOQSYSA-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Fc1ccc(C2=CCN(Cc3ccc4c(c3)COC4)CC2)cc1 ZINC000768214987 1051904332 /nfs/dbraw/zinc/90/43/32/1051904332.db2.gz LTEGZLXARZXFFK-UHFFFAOYSA-N 0 3 309.384 4.145 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(F)c1Br ZINC001173932839 1051905801 /nfs/dbraw/zinc/90/58/01/1051905801.db2.gz ZKCOJKXRNXZCEJ-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN COc1ccc2cc(Nc3ccccc3CN(C)C)ccc2n1 ZINC001173933088 1051905906 /nfs/dbraw/zinc/90/59/06/1051905906.db2.gz ZZTAUAZVFWGYHD-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cc(C)oc2C)cc1OC ZINC000768232168 1051906692 /nfs/dbraw/zinc/90/66/92/1051906692.db2.gz YJBBFTHMRQHYTG-KRWDZBQOSA-N 0 3 315.413 4.251 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C1CCCCCCC1)c1ccco1 ZINC000807680878 1051919367 /nfs/dbraw/zinc/91/93/67/1051919367.db2.gz XKASMTCQNCCFCY-WBVHZDCISA-N 0 3 320.477 4.186 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1cccc(Cl)c1Cl ZINC000222660034 1051926490 /nfs/dbraw/zinc/92/64/90/1051926490.db2.gz QJBPFCOJSIRNGO-DYQSUWPFSA-N 0 3 300.229 4.212 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC(C)(C)[C@H]1c1cccs1 ZINC000807887826 1051940699 /nfs/dbraw/zinc/94/06/99/1051940699.db2.gz FSDWFLBTHRTXSG-QGZVFWFLSA-N 0 3 322.518 4.170 20 0 DIADHN C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CCCCN1Cc1cccs1 ZINC000768545277 1051945359 /nfs/dbraw/zinc/94/53/59/1051945359.db2.gz XEGSOVRQDVRBLZ-HIFRSBDPSA-N 0 3 324.490 4.016 20 0 DIADHN CC(C)(C)C1CCC(CC(=O)NC[C@@H]2CCN2C(C)(C)C)CC1 ZINC001131096805 1051950779 /nfs/dbraw/zinc/95/07/79/1051950779.db2.gz YLAIUILJQZUOFK-JCYILVPMSA-N 0 3 322.537 4.218 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@H]2CCC3(CCCCC3)O2)C1 ZINC000190929507 1051954056 /nfs/dbraw/zinc/95/40/56/1051954056.db2.gz ZSTOFOYYPQIGRE-UONOGXRCSA-N 0 3 305.384 4.143 20 0 DIADHN FC(F)C1CC(NCc2nc3cc(Cl)ccc3s2)C1 ZINC000768686381 1051955708 /nfs/dbraw/zinc/95/57/08/1051955708.db2.gz JNSOUAJYIFAHTO-UHFFFAOYSA-N 0 3 302.777 4.083 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](CO)SC)c1ccc(Cl)cc1Cl ZINC000223894229 1051957419 /nfs/dbraw/zinc/95/74/19/1051957419.db2.gz IOOOEWLYOYLUDU-QCZZGDTMSA-N 0 3 322.301 4.147 20 0 DIADHN Cc1cnc([C@@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)s1 ZINC000191018674 1051960294 /nfs/dbraw/zinc/96/02/94/1051960294.db2.gz RWVAEQPOVMYHMF-CVEARBPZSA-N 0 3 320.502 4.374 20 0 DIADHN Cc1c([C@H](C)NCCO[C@H]2CCCC[C@@H]2C)cnn1C(C)C ZINC000191193157 1051964450 /nfs/dbraw/zinc/96/44/50/1051964450.db2.gz FJKLIKBUUNXRCV-MPGHIAIKSA-N 0 3 307.482 4.018 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1cnn(C(C)C)c1C ZINC000191342300 1051969980 /nfs/dbraw/zinc/96/99/80/1051969980.db2.gz OAQFHNSVAPENLT-FZKQIMNGSA-N 0 3 313.445 4.119 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1cnn(C(C)C)c1C ZINC000191342332 1051971483 /nfs/dbraw/zinc/97/14/83/1051971483.db2.gz OAQFHNSVAPENLT-ACJLOTCBSA-N 0 3 313.445 4.119 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(OCC2CC2)cc1 ZINC000191321359 1051971724 /nfs/dbraw/zinc/97/17/24/1051971724.db2.gz RYCMZOJLNYDIRZ-HXUWFJFHSA-N 0 3 323.436 4.261 20 0 DIADHN CC[C@]1(C)CCCN(C[C@H](O)c2ccccc2C(F)(F)F)C1 ZINC000743860478 1051974425 /nfs/dbraw/zinc/97/44/25/1051974425.db2.gz IERMBHFPOLUOLZ-JKSUJKDBSA-N 0 3 315.379 4.251 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccc(F)cc2)cnc1Cl ZINC000768863496 1051979049 /nfs/dbraw/zinc/97/90/49/1051979049.db2.gz PWTYGEFJIBXEFD-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1ccc(F)cc1Br ZINC000224554286 1051979279 /nfs/dbraw/zinc/97/92/79/1051979279.db2.gz UOCRMLONAFOABT-KOLCDFICSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1ccc(F)cc1Br ZINC000224554333 1051980784 /nfs/dbraw/zinc/98/07/84/1051980784.db2.gz UOCRMLONAFOABT-ONGXEEELSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@@H]1CCSCCN1Cc1cnc(-c2cccs2)s1 ZINC000191813017 1051989598 /nfs/dbraw/zinc/98/95/98/1051989598.db2.gz OOUFYTWIPLOYRA-LLVKDONJSA-N 0 3 310.513 4.199 20 0 DIADHN CC[C@H]1CCCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000769005650 1051992736 /nfs/dbraw/zinc/99/27/36/1051992736.db2.gz FOZMFWSQYIFCKE-AWEZNQCLSA-N 0 3 306.841 4.040 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H](C)c1cccc(F)c1F ZINC000494638309 1051994297 /nfs/dbraw/zinc/99/42/97/1051994297.db2.gz QFLWSARLSYVMIR-LXIYXOSZSA-N 0 3 305.368 4.392 20 0 DIADHN CCCCn1nc(C)c(CN(C)C(C2CC2)C2CC2)c1Cl ZINC000769192052 1052001546 /nfs/dbraw/zinc/00/15/46/1052001546.db2.gz BCEXYROWSWWQSC-UHFFFAOYSA-N 0 3 309.885 4.265 20 0 DIADHN CC(C)(C)NC(=O)c1ccc(Nc2ccnc(C3CC3)c2)cc1 ZINC001174126994 1052005987 /nfs/dbraw/zinc/00/59/87/1052005987.db2.gz UKECODWIIXUFTD-UHFFFAOYSA-N 0 3 309.413 4.231 20 0 DIADHN c1nn(Cc2ccccc2)cc1Nc1ccnc(C2CCC2)c1 ZINC001174132412 1052009061 /nfs/dbraw/zinc/00/90/61/1052009061.db2.gz WTUZGPACTFVNPR-UHFFFAOYSA-N 0 3 304.397 4.338 20 0 DIADHN CCC[C@H](C)N(CC)CC(=O)Nc1ccccc1C(F)(F)F ZINC000192718924 1052019504 /nfs/dbraw/zinc/01/95/04/1052019504.db2.gz VTIPRNZSLBTAOV-LBPRGKRZSA-N 0 3 316.367 4.154 20 0 DIADHN COCC1CCN(Cc2ccc(SC(F)(F)F)cc2)CC1 ZINC000769329074 1052023175 /nfs/dbraw/zinc/02/31/75/1052023175.db2.gz LGGIMZXDGYNDNZ-UHFFFAOYSA-N 0 3 319.392 4.157 20 0 DIADHN Cc1cccn2c(CN3CCC(c4ccc(F)cc4)CC3)cnc12 ZINC000769388741 1052037701 /nfs/dbraw/zinc/03/77/01/1052037701.db2.gz HRRADDSWJMERJK-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN Cn1nc(CN2CCC(c3ccc(F)cc3)CC2)c2ccccc21 ZINC000769390302 1052039189 /nfs/dbraw/zinc/03/91/89/1052039189.db2.gz UGQGJIXPQBXQOO-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000769448557 1052054341 /nfs/dbraw/zinc/05/43/41/1052054341.db2.gz UNRDWSLWEQQKML-DOMZBBRYSA-N 0 3 320.868 4.286 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000769448568 1052055108 /nfs/dbraw/zinc/05/51/08/1052055108.db2.gz UNRDWSLWEQQKML-WFASDCNBSA-N 0 3 320.868 4.286 20 0 DIADHN CCC[C@H](NCC(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000193630539 1052055516 /nfs/dbraw/zinc/05/55/16/1052055516.db2.gz QMCXOOKPHKMGSI-INIZCTEOSA-N 0 3 318.367 4.034 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000769448561 1052056158 /nfs/dbraw/zinc/05/61/58/1052056158.db2.gz UNRDWSLWEQQKML-IUODEOHRSA-N 0 3 320.868 4.286 20 0 DIADHN Cc1cccc([C@H](NCCCC2CCOCC2)c2ccccn2)c1 ZINC000769536193 1052079350 /nfs/dbraw/zinc/07/93/50/1052079350.db2.gz AMBRESMJJWTORR-NRFANRHFSA-N 0 3 324.468 4.276 20 0 DIADHN CNc1cccc(C)c1NC1=CCCN(Cc2ccccc2)C1 ZINC001174394682 1052116019 /nfs/dbraw/zinc/11/60/19/1052116019.db2.gz SOXIKAOKXAJMIS-UHFFFAOYSA-N 0 3 307.441 4.238 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCN(CC)c1cccc(C)c1 ZINC000769871161 1052124217 /nfs/dbraw/zinc/12/42/17/1052124217.db2.gz XRJSLTZFSPODNU-OAHLLOKOSA-N 0 3 315.461 4.031 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](CC)Oc1ccccc1F ZINC000769875758 1052126484 /nfs/dbraw/zinc/12/64/84/1052126484.db2.gz PRMZPVYQEPYRBS-OCCSQVGLSA-N 0 3 320.408 4.193 20 0 DIADHN c1ccc2cc(-c3nnc(CNC4CCCCC4)o3)ccc2c1 ZINC001217723994 1052127030 /nfs/dbraw/zinc/12/70/30/1052127030.db2.gz XEKRQEIXZMEWBR-UHFFFAOYSA-N 0 3 307.397 4.312 20 0 DIADHN CC(C)(Cl)c1ccc(C(C)(C)O[C@H]2CCNC[C@H]2F)cc1 ZINC001217793808 1052143550 /nfs/dbraw/zinc/14/35/50/1052143550.db2.gz GIYHJBMUOVFJTG-CABCVRRESA-N 0 3 313.844 4.112 20 0 DIADHN F[C@@H]1CNCC[C@@H]1OCc1ccc(Sc2ccccc2)cc1 ZINC001217795632 1052145553 /nfs/dbraw/zinc/14/55/53/1052145553.db2.gz TUPUXDLJEUXQJB-MSOLQXFVSA-N 0 3 317.429 4.054 20 0 DIADHN CCCc1csc(CN[C@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC000494837451 1052146001 /nfs/dbraw/zinc/14/60/01/1052146001.db2.gz LRLBTLONBSVWFY-RDJZCZTQSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1cc(N2CCN(C)CC2)ccc1Nc1ccc2occc2c1 ZINC001174418283 1052148751 /nfs/dbraw/zinc/14/87/51/1052148751.db2.gz XZDYSERLZLPYSR-UHFFFAOYSA-N 0 3 321.424 4.237 20 0 DIADHN F[C@H]1CNCC[C@@H]1O[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217840412 1052153006 /nfs/dbraw/zinc/15/30/06/1052153006.db2.gz KJKWTBFITPMCNO-OKZBNKHCSA-N 0 3 319.807 4.146 20 0 DIADHN F[C@H]1CNCC[C@@H]1O[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217840411 1052153466 /nfs/dbraw/zinc/15/34/66/1052153466.db2.gz KJKWTBFITPMCNO-BZSNNMDCSA-N 0 3 319.807 4.146 20 0 DIADHN F[C@H]1CNCC[C@H]1OCc1ccc(/C=C/c2ccccc2)cc1 ZINC001217845881 1052154632 /nfs/dbraw/zinc/15/46/32/1052154632.db2.gz BXAZZBHKOJNTFY-RLWBPELLSA-N 0 3 311.400 4.074 20 0 DIADHN CSc1ccc(CN2CC[C@@H](Oc3ccc(F)cc3)C2)cc1 ZINC001204141693 1052157454 /nfs/dbraw/zinc/15/74/54/1052157454.db2.gz AWURZJIFXDVQHO-QGZVFWFLSA-N 0 3 317.429 4.201 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N[C@@H](c1ncccc1F)C1CC1 ZINC001168472906 1052157730 /nfs/dbraw/zinc/15/77/30/1052157730.db2.gz ICYHUNLCMKZSHB-YVEFUNNKSA-N 0 3 302.368 4.032 20 0 DIADHN Cc1cc(N[C@H](C)c2ccc(-c3ccccc3)cc2)nc(N)n1 ZINC000914570820 1052169890 /nfs/dbraw/zinc/16/98/90/1052169890.db2.gz GSTQUGFCNKQPFO-CQSZACIVSA-N 0 3 304.397 4.207 20 0 DIADHN CN(C/C=C/c1ccncc1)Cc1cccc(C(F)(F)F)c1 ZINC000494932840 1052171904 /nfs/dbraw/zinc/17/19/04/1052171904.db2.gz RGTVECNPBFHABN-HWKANZROSA-N 0 3 306.331 4.246 20 0 DIADHN Fc1ccc([C@H](C[C@@H]2CCOC2)NCc2cccc(F)c2)cc1 ZINC000494920946 1052173879 /nfs/dbraw/zinc/17/38/79/1052173879.db2.gz FZEQPZPNHMHUDG-KXBFYZLASA-N 0 3 317.379 4.222 20 0 DIADHN c1sc(CO[C@H]2CNCc3ccccc32)nc1-c1ccccc1 ZINC001217937732 1052175009 /nfs/dbraw/zinc/17/50/09/1052175009.db2.gz GBKNKRVZUPBKJZ-SFHVURJKSA-N 0 3 322.433 4.171 20 0 DIADHN COc1ccc2ccccc2c1CO[C@@H]1CNCc2ccccc21 ZINC001217941843 1052175042 /nfs/dbraw/zinc/17/50/42/1052175042.db2.gz UJGYMAZSSVXPIY-OAQYLSRUSA-N 0 3 319.404 4.210 20 0 DIADHN CN(C/C=C/c1ccncc1)Cc1cccc(OC(F)(F)F)c1 ZINC000494946724 1052178238 /nfs/dbraw/zinc/17/82/38/1052178238.db2.gz JCGYWLSXKBNOCO-HWKANZROSA-N 0 3 322.330 4.125 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccc(C(=O)N(C)C)cc2)c1 ZINC000494941825 1052181978 /nfs/dbraw/zinc/18/19/78/1052181978.db2.gz PSYDBVHOACIMIJ-INIZCTEOSA-N 0 3 324.468 4.363 20 0 DIADHN CC1CCC(OC2CCN(C/C=C\c3ccncc3)CC2)CC1 ZINC000494983890 1052189079 /nfs/dbraw/zinc/18/90/79/1052189079.db2.gz COSLWRRJSHIXMC-IHWYPQMZSA-N 0 3 314.473 4.155 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2cccc(Cl)c2)c2ccccn2)[C@@H]1C ZINC000495059999 1052203653 /nfs/dbraw/zinc/20/36/53/1052203653.db2.gz PKLMRYGUQLCVDT-COCCGSRQSA-N 0 3 300.833 4.459 20 0 DIADHN CC(C)(c1ccccc1)c1ccc(O[C@H]2CCNC[C@@H]2F)cc1 ZINC001218224156 1052209624 /nfs/dbraw/zinc/20/96/24/1052209624.db2.gz QZQWHASMUUFWHI-OALUTQOASA-N 0 3 313.416 4.091 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc(N(C)C)n1)C1CCCC1 ZINC000495076711 1052210104 /nfs/dbraw/zinc/21/01/04/1052210104.db2.gz AXZXUALTHABWIK-OAQYLSRUSA-N 0 3 323.484 4.477 20 0 DIADHN CCOc1ccc([C@H]2CCCN2C/C=C/c2ccncc2)cc1 ZINC000495088073 1052213922 /nfs/dbraw/zinc/21/39/22/1052213922.db2.gz DHTPDGJPSDJXFV-HQMUEHRGSA-N 0 3 308.425 4.331 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1ccccc1Br ZINC001218292360 1052217903 /nfs/dbraw/zinc/21/79/03/1052217903.db2.gz PWSFMJGAXBSZEY-NSHDSACASA-N 0 3 318.214 4.192 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1nc(-c2ccccc2)cs1 ZINC000250104642 1052219671 /nfs/dbraw/zinc/21/96/71/1052219671.db2.gz RVYVQTRNEDRGTL-FAYQHZLMSA-N 0 3 314.454 4.028 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cc(F)c(Cl)cc1Cl ZINC000250128616 1052219718 /nfs/dbraw/zinc/21/97/18/1052219718.db2.gz WPVPDRYWIRHQCN-PVFTVIPPSA-N 0 3 318.219 4.351 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@@H]3CCc4ccc(C(C)C)cc43)cc12 ZINC000495150769 1052233447 /nfs/dbraw/zinc/23/34/47/1052233447.db2.gz LJFSESAMKSZXAO-LJQANCHMSA-N 0 3 320.440 4.167 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N1CCC(Oc2ccccc2)CC1 ZINC001168478587 1052237269 /nfs/dbraw/zinc/23/72/69/1052237269.db2.gz GCKNMSXFEOSUTN-INIZCTEOSA-N 0 3 313.416 4.300 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N1CCC(Oc2ccccc2)CC1 ZINC001168478588 1052237483 /nfs/dbraw/zinc/23/74/83/1052237483.db2.gz GCKNMSXFEOSUTN-MRXNPFEDSA-N 0 3 313.416 4.300 20 0 DIADHN CCSc1cc(CNCc2c(C)cc(C)cc2C)ccn1 ZINC000495154155 1052238211 /nfs/dbraw/zinc/23/82/11/1052238211.db2.gz BSQYHSVZFZGXQW-UHFFFAOYSA-N 0 3 300.471 4.409 20 0 DIADHN Clc1cc(O[C@H]2CNCc3ccccc32)nc2ccccc12 ZINC001218485448 1052245929 /nfs/dbraw/zinc/24/59/29/1052245929.db2.gz BWSLTGPSWHEOMW-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1O[C@@H]1CNCc2ccccc21 ZINC001218484475 1052246257 /nfs/dbraw/zinc/24/62/57/1052246257.db2.gz PYGRWIJUPZUIQH-OAHLLOKOSA-N 0 3 311.278 4.068 20 0 DIADHN Oc1ccc(-c2ccc(O[C@H]3CNCc4ccccc43)cc2)cc1 ZINC001218485401 1052246389 /nfs/dbraw/zinc/24/63/89/1052246389.db2.gz IZPCKBNQJDRTID-NRFANRHFSA-N 0 3 317.388 4.283 20 0 DIADHN CCSc1cc(CNCc2ccc(Cl)cc2C)ccn1 ZINC000495173625 1052246534 /nfs/dbraw/zinc/24/65/34/1052246534.db2.gz KVOGQFACIDDLJM-UHFFFAOYSA-N 0 3 306.862 4.445 20 0 DIADHN O=C1CCC(c2ccc(O[C@@H]3CNCc4ccccc43)cc2)CC1 ZINC001218487782 1052247093 /nfs/dbraw/zinc/24/70/93/1052247093.db2.gz WLLTYIQFGIJWTI-OAQYLSRUSA-N 0 3 321.420 4.137 20 0 DIADHN Fc1cc(Cl)c(O[C@H]2CNCc3ccccc32)c(Cl)c1 ZINC001218486085 1052247314 /nfs/dbraw/zinc/24/73/14/1052247314.db2.gz OHZNZOSOQIXKCR-AWEZNQCLSA-N 0 3 312.171 4.356 20 0 DIADHN COc1ccc2cc(O[C@H]3CNCc4ccccc43)ccc2c1 ZINC001218487690 1052247332 /nfs/dbraw/zinc/24/73/32/1052247332.db2.gz OXQFTVIAHPRQPX-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1cnc(O[C@@H]2CNCc3ccccc32)c2ccccc12 ZINC001218489621 1052247396 /nfs/dbraw/zinc/24/73/96/1052247396.db2.gz PNVASIITEWQLAJ-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1ccc(C(F)(F)F)c(O[C@H]2CNCc3ccccc32)c1 ZINC001218491949 1052248762 /nfs/dbraw/zinc/24/87/62/1052248762.db2.gz ZFRPKZPFXKQEMG-HNNXBMFYSA-N 0 3 311.278 4.068 20 0 DIADHN COc1ccc(O[C@@H]2CNCc3ccccc32)c(C(C)(C)C)c1 ZINC001218490292 1052249352 /nfs/dbraw/zinc/24/93/52/1052249352.db2.gz YGXMAJCHAWXICT-LJQANCHMSA-N 0 3 311.425 4.216 20 0 DIADHN Clc1nc2cccc(O[C@@H]3CNCc4ccccc43)c2s1 ZINC001218492845 1052249736 /nfs/dbraw/zinc/24/97/36/1052249736.db2.gz DNRMKKJRUPRPNA-CQSZACIVSA-N 0 3 316.813 4.173 20 0 DIADHN COc1cc2ccccc2cc1O[C@H]1CNCc2ccccc21 ZINC001218493140 1052249849 /nfs/dbraw/zinc/24/98/49/1052249849.db2.gz ILOYYMYSGBNGMC-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN CCCc1ccc2cccnc2c1O[C@@H]1CNCc2ccccc21 ZINC001218491166 1052250204 /nfs/dbraw/zinc/25/02/04/1052250204.db2.gz UCUKLJCUJRIJNL-LJQANCHMSA-N 0 3 318.420 4.411 20 0 DIADHN CC(C)(C)CCCCCC(=O)Nc1cc([C@H]2CCCN2)no1 ZINC001218710103 1052254592 /nfs/dbraw/zinc/25/45/92/1052254592.db2.gz DYPFHDPOAXPGJU-CYBMUJFWSA-N 0 3 307.438 4.034 20 0 DIADHN Cc1ccccc1Oc1ccccc1NC(=O)[C@@H](N)CC1CCC1 ZINC001218851478 1052259777 /nfs/dbraw/zinc/25/97/77/1052259777.db2.gz QVVUUFHRLFBNCQ-INIZCTEOSA-N 0 3 324.424 4.243 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H]2CCC[C@@H](c3ccccc3)N2)cc1C ZINC001219007080 1052266304 /nfs/dbraw/zinc/26/63/04/1052266304.db2.gz GELJOYCOLTWOKZ-RBUKOAKNSA-N 0 3 322.452 4.434 20 0 DIADHN c1cc(-c2nc3ccccc3s2)oc1CN[C@H]1CCSC1 ZINC000230704047 1052272111 /nfs/dbraw/zinc/27/21/11/1052272111.db2.gz RWDCOBQMLHIHOP-NSHDSACASA-N 0 3 316.451 4.151 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCc3ccc(NC(N)=O)cc3)oc2c1 ZINC000495261175 1052277074 /nfs/dbraw/zinc/27/70/74/1052277074.db2.gz VGNSFABECCZNCA-CYBMUJFWSA-N 0 3 323.396 4.083 20 0 DIADHN Fc1ccc(C2(NCCOCC3CCC3)CCCC2)c(F)c1 ZINC001168485456 1052293452 /nfs/dbraw/zinc/29/34/52/1052293452.db2.gz FUFYJLFVFPVKCA-UHFFFAOYSA-N 0 3 309.400 4.140 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@H](CC)c1ccc(Cl)cc1 ZINC000642330743 1052297784 /nfs/dbraw/zinc/29/77/84/1052297784.db2.gz MCVONSCQKJCDGI-XJKSGUPXSA-N 0 3 310.869 4.028 20 0 DIADHN C[C@H](NCc1ccc(O)c(F)c1)c1ccccc1C(F)(F)F ZINC000153431733 1052299779 /nfs/dbraw/zinc/29/97/79/1052299779.db2.gz WUEYZRKERLNJNM-JTQLQIEISA-N 0 3 313.294 4.401 20 0 DIADHN CCCOc1ccc(OCCN(C)[C@@H](C)c2ccco2)cc1 ZINC000302944202 1052307953 /nfs/dbraw/zinc/30/79/53/1052307953.db2.gz BTQYMKLLUFEXJP-HNNXBMFYSA-N 0 3 303.402 4.140 20 0 DIADHN CCC1(NC(=O)[C@H]2CCC[C@H](c3ccccc3)N2)CCCCC1 ZINC001220338499 1052330607 /nfs/dbraw/zinc/33/06/07/1052330607.db2.gz BDCKFXXBHYHFNB-QZTJIDSGSA-N 0 3 314.473 4.099 20 0 DIADHN CN(Cc1coc(-c2cccs2)n1)[C@H]1CCc2ccccc2C1 ZINC000303586796 1052332746 /nfs/dbraw/zinc/33/27/46/1052332746.db2.gz QRUABNLMUHBELE-KRWDZBQOSA-N 0 3 324.449 4.392 20 0 DIADHN CCOC1(CNCc2ccc(CSC(F)F)o2)CCCC1 ZINC000315244863 1052334040 /nfs/dbraw/zinc/33/40/40/1052334040.db2.gz RAAHTIUWFSPAFO-UHFFFAOYSA-N 0 3 319.417 4.174 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccc(OC(C)(C)C)nc1 ZINC000495400348 1052336034 /nfs/dbraw/zinc/33/60/34/1052336034.db2.gz AALNMCKLNYGQJG-IFXJQAMLSA-N 0 3 310.441 4.282 20 0 DIADHN CCCCN(CC(=O)NC(C)(C)C)[C@@H](CC)c1ccccc1 ZINC000303659605 1052337251 /nfs/dbraw/zinc/33/72/51/1052337251.db2.gz WDUIKUINPXSZPJ-KRWDZBQOSA-N 0 3 304.478 4.155 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@H](C)NC/C=C/c1ccccc1 ZINC000495406915 1052338731 /nfs/dbraw/zinc/33/87/31/1052338731.db2.gz HDYPNHWGFDLIMI-VSGCLNPGSA-N 0 3 324.424 4.018 20 0 DIADHN Cc1c(Cl)c(CN[C@H](CCO)C(C)(C)C)nc2ccccc12 ZINC000495428475 1052344886 /nfs/dbraw/zinc/34/48/86/1052344886.db2.gz WZQIGOLIRYUWPM-MRXNPFEDSA-N 0 3 320.864 4.083 20 0 DIADHN c1nc(CN[C@H](Cc2ccccc2)C2CC2)oc1-c1ccccc1 ZINC000495490241 1052361319 /nfs/dbraw/zinc/36/13/19/1052361319.db2.gz DPJRTFRPFWGWSV-LJQANCHMSA-N 0 3 318.420 4.453 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2ccc(Cl)cc2)c1 ZINC000495610183 1052383006 /nfs/dbraw/zinc/38/30/06/1052383006.db2.gz BLJPBPCQPSXTJA-GFCCVEGCSA-N 0 3 318.804 4.369 20 0 DIADHN OCC[C@H](NCc1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000347444454 1052393298 /nfs/dbraw/zinc/39/32/98/1052393298.db2.gz OQNIEWBPQNWQRQ-INIZCTEOSA-N 0 3 310.224 4.207 20 0 DIADHN C=CCc1cc(CN[C@H](C)c2ccsc2)cc(OC)c1OC ZINC000089520624 1052393407 /nfs/dbraw/zinc/39/34/07/1052393407.db2.gz XHWBKDYOLGUINZ-CYBMUJFWSA-N 0 3 317.454 4.345 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2cc(F)ccc2F)sc1C ZINC000495703302 1052404510 /nfs/dbraw/zinc/40/45/10/1052404510.db2.gz PXFXWVFNYVQQHM-JTQLQIEISA-N 0 3 310.413 4.185 20 0 DIADHN CCN(Cc1ccc(OC(C)=O)cc1)Cc1cccc(Cl)c1 ZINC000762627396 1052408439 /nfs/dbraw/zinc/40/84/39/1052408439.db2.gz DNGCIKISCIXOLN-UHFFFAOYSA-N 0 3 317.816 4.287 20 0 DIADHN C[C@@H](NC[C@H]1CC=CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000762634653 1052411643 /nfs/dbraw/zinc/41/16/43/1052411643.db2.gz NTFQVXCYQLCRQZ-OLZOCXBDSA-N 0 3 317.820 4.397 20 0 DIADHN Clc1ccc(CCNCc2ccc(Br)o2)s1 ZINC000236056123 1052422130 /nfs/dbraw/zinc/42/21/30/1052422130.db2.gz PQHJYUQVRRDBIN-UHFFFAOYSA-N 0 3 320.639 4.089 20 0 DIADHN CC(C)C[C@@H](NC(=O)C[C@H](N)c1ccccc1)c1cccs1 ZINC000236775573 1052430573 /nfs/dbraw/zinc/43/05/73/1052430573.db2.gz OBLXLUOGOMZROO-JKSUJKDBSA-N 0 3 316.470 4.042 20 0 DIADHN CN1CCC[C@H](N[C@H]2CCCc3cccnc32)c2ccccc21 ZINC000648805611 1052431495 /nfs/dbraw/zinc/43/14/95/1052431495.db2.gz WHTJCEAUSKYUNC-ROUUACIJSA-N 0 3 307.441 4.020 20 0 DIADHN CN1CCC[C@@H](N[C@H]2CCCc3cccnc32)c2ccccc21 ZINC000648805609 1052431970 /nfs/dbraw/zinc/43/19/70/1052431970.db2.gz WHTJCEAUSKYUNC-MSOLQXFVSA-N 0 3 307.441 4.020 20 0 DIADHN CC[C@@H](CO)NCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000237005744 1052434938 /nfs/dbraw/zinc/43/49/38/1052434938.db2.gz QZKAMEXJZZKABX-NSHDSACASA-N 0 3 314.212 4.114 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1cccc(Br)c1 ZINC000237351701 1052438898 /nfs/dbraw/zinc/43/88/98/1052438898.db2.gz IUBPEVHMDIOUQS-LLVKDONJSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000237509283 1052441650 /nfs/dbraw/zinc/44/16/50/1052441650.db2.gz SSKRZOXIQWWMFG-NSHDSACASA-N 0 3 305.219 4.003 20 0 DIADHN Fc1cccc(CCNCc2nc(-c3ccccc3)cs2)c1 ZINC000237748748 1052444502 /nfs/dbraw/zinc/44/45/02/1052444502.db2.gz PJVMUHLAGCEAHO-UHFFFAOYSA-N 0 3 312.413 4.282 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@@H]1CCCc2sccc21 ZINC000238167761 1052449936 /nfs/dbraw/zinc/44/99/36/1052449936.db2.gz YRGLLCIJCHYJBY-MRXNPFEDSA-N 0 3 310.422 4.169 20 0 DIADHN Cc1sc2nc(CN(C)C3CCCCCC3)nc(N)c2c1C ZINC000315646729 1052450966 /nfs/dbraw/zinc/45/09/66/1052450966.db2.gz XIMRQKRDAHRBAX-UHFFFAOYSA-N 0 3 318.490 4.045 20 0 DIADHN CCCOc1ccc(C)c(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001222172091 1052456133 /nfs/dbraw/zinc/45/61/33/1052456133.db2.gz WQGKSQVGCZYENF-UHFFFAOYSA-N 0 3 310.441 4.440 20 0 DIADHN CCCCOc1ccc(-c2cccc(CN(C)C)n2)c(F)c1F ZINC001222206140 1052461229 /nfs/dbraw/zinc/46/12/29/1052461229.db2.gz BNDXGAMZTIEEGL-UHFFFAOYSA-N 0 3 320.383 4.267 20 0 DIADHN CC(C)Oc1c(Cl)cc(-c2cccc(CN)n2)cc1Cl ZINC001222248828 1052469256 /nfs/dbraw/zinc/46/92/56/1052469256.db2.gz YNLWKIHGFRLYCS-UHFFFAOYSA-N 0 3 311.212 4.301 20 0 DIADHN COc1c(F)c(C)ccc1-c1cnccc1CN1CCCCC1 ZINC001222321853 1052481623 /nfs/dbraw/zinc/48/16/23/1052481623.db2.gz GLKKENURCWYUIJ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCCN(CCC)CC(=O)Nc1ccc(Cl)cc1Cl ZINC000058337572 1052504508 /nfs/dbraw/zinc/50/45/08/1052504508.db2.gz GFAJQKFXMYTCAH-UHFFFAOYSA-N 0 3 303.233 4.054 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)CCCOCC(F)(F)F ZINC000054337350 1052515561 /nfs/dbraw/zinc/51/55/61/1052515561.db2.gz WZAPBWRTMFQHQK-NSHDSACASA-N 0 3 309.759 4.302 20 0 DIADHN CCOC(CN(C)Cc1ccccc1-c1ccccc1)OCC ZINC000763157992 1052516505 /nfs/dbraw/zinc/51/65/05/1052516505.db2.gz DIEXFVZALCTKNL-UHFFFAOYSA-N 0 3 313.441 4.185 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(O)ccc2OC)cc1F ZINC001222655806 1052523125 /nfs/dbraw/zinc/52/31/25/1052523125.db2.gz VYRMWZAXBRGICH-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC(c2ncc[nH]2)CC1 ZINC000347458218 1052529034 /nfs/dbraw/zinc/52/90/34/1052529034.db2.gz FJHWUOHATUNKNA-INIZCTEOSA-N 0 3 305.372 4.019 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1cn(C2CC2)cn1 ZINC001649025656 1052534527 /nfs/dbraw/zinc/53/45/27/1052534527.db2.gz VDXMJTKPWVXEEA-LLVKDONJSA-N 0 3 324.255 4.246 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@@H](COCC(C)C)c2ccco2)C1 ZINC001649025568 1052534800 /nfs/dbraw/zinc/53/48/00/1052534800.db2.gz PWRMDPUTSIJEHI-OAGGEKHMSA-N 0 3 311.491 4.257 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001222853961 1052539477 /nfs/dbraw/zinc/53/94/77/1052539477.db2.gz IWCSWBHMWPMCOL-AZUAARDMSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001222853962 1052539919 /nfs/dbraw/zinc/53/99/19/1052539919.db2.gz IWCSWBHMWPMCOL-ICSRJNTNSA-N 0 3 324.468 4.441 20 0 DIADHN c1ccc(CN2CCC[C@@H](OCc3nc4ccccc4o3)C2)cc1 ZINC001222911255 1052545578 /nfs/dbraw/zinc/54/55/78/1052545578.db2.gz ATZGQHDYUSCNTC-QGZVFWFLSA-N 0 3 322.408 4.009 20 0 DIADHN CC(C)c1nc(CO[C@H]2CCN(Cc3ccccc3)C2)cs1 ZINC001222989926 1052554925 /nfs/dbraw/zinc/55/49/25/1052554925.db2.gz ZRRDLWCHZPOENF-KRWDZBQOSA-N 0 3 316.470 4.058 20 0 DIADHN Cc1ccccc1C1(CNCc2nc3c(s2)CCCC3)CC1 ZINC001649029038 1052569781 /nfs/dbraw/zinc/56/97/81/1052569781.db2.gz MYQLXIFFHVORLT-UHFFFAOYSA-N 0 3 312.482 4.152 20 0 DIADHN C=Cc1ccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001223152210 1052573131 /nfs/dbraw/zinc/57/31/31/1052573131.db2.gz UTSCCZLYBCLETF-PXNSSMCTSA-N 0 3 322.452 4.467 20 0 DIADHN Cc1ccc(CO[C@@H]2CCCN(Cc3ccccc3)C2)s1 ZINC001223186536 1052577015 /nfs/dbraw/zinc/57/70/15/1052577015.db2.gz SFHUBMRZBUAGSC-QGZVFWFLSA-N 0 3 301.455 4.238 20 0 DIADHN Cc1ccccc1[C@@H](C)NCC(=O)Nc1ccc2ccccc2c1 ZINC000916148883 1052593479 /nfs/dbraw/zinc/59/34/79/1052593479.db2.gz FUHAZVNHQXZCGI-MRXNPFEDSA-N 0 3 318.420 4.438 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](O[C@@H](C)c2ccccc2)C1 ZINC001223446309 1052602959 /nfs/dbraw/zinc/60/29/59/1052602959.db2.gz IYYMSHLHUKZQBJ-ZIFCJYIRSA-N 0 3 310.441 4.385 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(SC)cc2)cc1F ZINC000037502487 1052625093 /nfs/dbraw/zinc/62/50/93/1052625093.db2.gz OEOCTERJRXYYOQ-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN COc1ncccc1CN[C@H](c1cccs1)C1CCCC1 ZINC000037650189 1052627927 /nfs/dbraw/zinc/62/79/27/1052627927.db2.gz ONNMLRZIJLNDCC-INIZCTEOSA-N 0 3 302.443 4.173 20 0 DIADHN CC(C)Oc1ccccc1CNCCSc1ccccc1 ZINC000037854661 1052630067 /nfs/dbraw/zinc/63/00/67/1052630067.db2.gz FETQCBLGHCWNOA-UHFFFAOYSA-N 0 3 301.455 4.356 20 0 DIADHN O=C(CCCC1CCCCC1)N1CCN(C2CCCCC2)CC1 ZINC001127416249 1052631753 /nfs/dbraw/zinc/63/17/53/1052631753.db2.gz CTWXKXJYUREUCW-UHFFFAOYSA-N 0 3 320.521 4.214 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c[nH]nc2c1 ZINC000124929486 1052644668 /nfs/dbraw/zinc/64/46/68/1052644668.db2.gz SCWGTZVMSSNWKZ-LLVKDONJSA-N 0 3 301.340 4.034 20 0 DIADHN CC[C@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)C(C)C ZINC000125411772 1052647837 /nfs/dbraw/zinc/64/78/37/1052647837.db2.gz LZAIXHSIWFSUJQ-LBPRGKRZSA-N 0 3 316.367 4.153 20 0 DIADHN FC(F)(F)SCCNCc1ccc(-c2ccccc2)o1 ZINC000916838730 1052658203 /nfs/dbraw/zinc/65/82/03/1052658203.db2.gz YWJKTSXZQJKUAI-UHFFFAOYSA-N 0 3 301.333 4.289 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCC1CCC1)c1ccccc1 ZINC000125955317 1052659272 /nfs/dbraw/zinc/65/92/72/1052659272.db2.gz KQJMHUPWZQQRIY-IBGZPJMESA-N 0 3 305.425 4.052 20 0 DIADHN COc1cc(CN(C)Cc2c(C)oc3ccccc32)ccc1O ZINC000125974923 1052661983 /nfs/dbraw/zinc/66/19/83/1052661983.db2.gz CBSJQIDGWHRSGV-UHFFFAOYSA-N 0 3 311.381 4.087 20 0 DIADHN Fc1ccc(SCCNC2(c3nccs3)CCCC2)cc1 ZINC000126708834 1052673561 /nfs/dbraw/zinc/67/35/61/1052673561.db2.gz GEGKSMUPJGRRRM-UHFFFAOYSA-N 0 3 322.474 4.433 20 0 DIADHN CN(Cc1cccc(F)c1)C[C@@H](O)c1ccc2ccccc2c1 ZINC000916968941 1052675626 /nfs/dbraw/zinc/67/56/26/1052675626.db2.gz RCGDROLRIOKLRM-HXUWFJFHSA-N 0 3 309.384 4.144 20 0 DIADHN CC(C)c1ccc(CN(C)C[C@@H](O)c2ccc(F)cc2F)cc1 ZINC000916969367 1052675893 /nfs/dbraw/zinc/67/58/93/1052675893.db2.gz PWMVBEMPOHWDND-LJQANCHMSA-N 0 3 319.395 4.254 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000917000618 1052678598 /nfs/dbraw/zinc/67/85/98/1052678598.db2.gz XBIYMQJTSDILFO-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1F ZINC000126896102 1052684766 /nfs/dbraw/zinc/68/47/66/1052684766.db2.gz WQTXCXBCLJRMTH-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2ccc(Cl)s2)cc1 ZINC000127047549 1052687052 /nfs/dbraw/zinc/68/70/52/1052687052.db2.gz VTJNMBRQRLBBPI-GFCCVEGCSA-N 0 3 324.877 4.311 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000127244072 1052691329 /nfs/dbraw/zinc/69/13/29/1052691329.db2.gz OGSAFZZXLBYHRH-CHWSQXEVSA-N 0 3 319.836 4.258 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(C)n2)c2ccccc12 ZINC000127234657 1052691428 /nfs/dbraw/zinc/69/14/28/1052691428.db2.gz IEHSTYZKJSZJKF-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CN(CCCOc1ccc(Cl)cc1)C[C@H]1CC1(Cl)Cl ZINC000917084593 1052694078 /nfs/dbraw/zinc/69/40/78/1052694078.db2.gz VBNKDEQQTFOCHF-LLVKDONJSA-N 0 3 322.663 4.235 20 0 DIADHN CC(C)=CCC[C@H](C)CCO[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001224710488 1052719135 /nfs/dbraw/zinc/71/91/35/1052719135.db2.gz RQHKESLHHISNQL-UFYCRDLUSA-N 0 3 323.521 4.419 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2ccsc2)c1 ZINC000089725389 1052719495 /nfs/dbraw/zinc/71/94/95/1052719495.db2.gz JPVBYFOCHIMJGU-CZUORRHYSA-N 0 3 300.427 4.280 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2ccc(OC)c(F)c2)cc1 ZINC000128459664 1052726524 /nfs/dbraw/zinc/72/65/24/1052726524.db2.gz BTHDAAJHSVODDR-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN CCCCN(Cn1c(=O)oc2ccc(Cl)cc21)[C@H](C)CC ZINC000917438480 1052727200 /nfs/dbraw/zinc/72/72/00/1052727200.db2.gz VYFCKIXJSYOBEV-GFCCVEGCSA-N 0 3 310.825 4.106 20 0 DIADHN Cc1ccccc1CCO[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001224870676 1052731444 /nfs/dbraw/zinc/73/14/44/1052731444.db2.gz NKTBPEOPBZJXDV-UYAOXDASSA-N 0 3 324.468 4.175 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OCC2=CCCCC2)C1 ZINC001224996062 1052741718 /nfs/dbraw/zinc/74/17/18/1052741718.db2.gz BKKWULXCAGPPFN-AEFFLSMTSA-N 0 3 300.446 4.124 20 0 DIADHN CC(C)Oc1ccccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001225209549 1052755301 /nfs/dbraw/zinc/75/53/01/1052755301.db2.gz MIGQIJABHCBHKF-GOSISDBHSA-N 0 3 311.425 4.127 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917940064 1052765637 /nfs/dbraw/zinc/76/56/37/1052765637.db2.gz ZCVLTBLHPTZKKV-ARFHVFGLSA-N 0 3 318.505 4.328 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3[nH]ccc3c2)C1 ZINC001225272593 1052766838 /nfs/dbraw/zinc/76/68/38/1052766838.db2.gz BURQKZWAXSRVMR-MAUKXSAKSA-N 0 3 321.424 4.167 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(OC2CCN(C3CC3)CC2)c1 ZINC001225324048 1052774123 /nfs/dbraw/zinc/77/41/23/1052774123.db2.gz KMRREUHARQSUGT-UHFFFAOYSA-N 0 3 319.754 4.364 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2cc(C(F)(F)F)ccc2F)C1 ZINC001225332336 1052775730 /nfs/dbraw/zinc/77/57/30/1052775730.db2.gz ORSVNNYDBCPFRR-GFCCVEGCSA-N 0 3 305.315 4.096 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cc(F)cc(Br)c1 ZINC000129178226 1052779567 /nfs/dbraw/zinc/77/95/67/1052779567.db2.gz NVXHBMGGRYBEQI-SSDOTTSWSA-N 0 3 314.120 4.019 20 0 DIADHN CC(C)c1cc(Br)ccc1O[C@H]1CN2CCC1CC2 ZINC001225383689 1052782898 /nfs/dbraw/zinc/78/28/98/1052782898.db2.gz FNXYSXCRBHZUCF-INIZCTEOSA-N 0 3 324.262 4.046 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)c3ccc(C)cc3)o2)cc1 ZINC000918202594 1052788011 /nfs/dbraw/zinc/78/80/11/1052788011.db2.gz HLFNJHKRPCYBHZ-FQEVSTJZSA-N 0 3 321.420 4.387 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@@H](C)c2ccccc2)c1 ZINC000129414091 1052788529 /nfs/dbraw/zinc/78/85/29/1052788529.db2.gz AXVBEBRZRMMQTK-CVEARBPZSA-N 0 3 310.441 4.066 20 0 DIADHN Cc1cc2c(cccc2O[C@@H]2CCN([C@H](C)c3ccccn3)C2)[nH]1 ZINC001225466386 1052792266 /nfs/dbraw/zinc/79/22/66/1052792266.db2.gz CSJAUSJDKYEBTI-HZPDHXFCSA-N 0 3 321.424 4.086 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@H](c2nccn2C)C1 ZINC000444796334 1052793099 /nfs/dbraw/zinc/79/30/99/1052793099.db2.gz BGHPMFUOZGWIEU-OCCSQVGLSA-N 0 3 321.827 4.153 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccncc1F)Nc1ccccc1 ZINC000556075927 1052796170 /nfs/dbraw/zinc/79/61/70/1052796170.db2.gz HWMIOSJBFYNQSF-KSSFIOAISA-N 0 3 301.409 4.008 20 0 DIADHN CC[C@@H](N)C(=O)Nc1ccc(SC2CCCCC2)cc1C ZINC000129592864 1052797262 /nfs/dbraw/zinc/79/72/62/1052797262.db2.gz DARHPYKNYBDCJT-OAHLLOKOSA-N 0 3 306.475 4.096 20 0 DIADHN CC(=O)c1ccccc1O[C@@H](CCN(C)C)c1cccs1 ZINC001225573047 1052803326 /nfs/dbraw/zinc/80/33/26/1052803326.db2.gz UNKYZLOERJOXJX-INIZCTEOSA-N 0 3 303.427 4.023 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)c1cccc(C2CC2)c1 ZINC000556118275 1052803598 /nfs/dbraw/zinc/80/35/98/1052803598.db2.gz AQWFATUXUYDJGI-CABCVRRESA-N 0 3 308.425 4.242 20 0 DIADHN C[C@H](NCc1cccc2ccoc21)c1ccc2c(c1)CCC(=O)N2 ZINC000556155071 1052810364 /nfs/dbraw/zinc/81/03/64/1052810364.db2.gz TXEGRKLTTFRKJG-ZDUSSCGKSA-N 0 3 320.392 4.168 20 0 DIADHN Cc1cc(C)c(/C=C\C(=O)Nc2cccc(CN(C)C)c2)cc1C ZINC000918564595 1052812402 /nfs/dbraw/zinc/81/24/02/1052812402.db2.gz CTTVTIDMVILLPE-KTKRTIGZSA-N 0 3 322.452 4.325 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2ccc3cc[nH]c3c2)C1 ZINC001225654247 1052813324 /nfs/dbraw/zinc/81/33/24/1052813324.db2.gz UIOOYAHWUUVFON-QAPCUYQASA-N 0 3 321.424 4.167 20 0 DIADHN Fc1c(Cl)cccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001225723136 1052820984 /nfs/dbraw/zinc/82/09/84/1052820984.db2.gz SRERUQQGXNYIQO-CQSZACIVSA-N 0 3 305.780 4.132 20 0 DIADHN Fc1c(Cl)cccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001225723135 1052821042 /nfs/dbraw/zinc/82/10/42/1052821042.db2.gz SRERUQQGXNYIQO-AWEZNQCLSA-N 0 3 305.780 4.132 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CCC1CCCC1)c1ccsc1 ZINC000498374542 1052827986 /nfs/dbraw/zinc/82/79/86/1052827986.db2.gz RORBIZQNDWJFMH-KRWDZBQOSA-N 0 3 322.518 4.218 20 0 DIADHN CC(=O)c1cccc(O[C@H]2CCN(Cc3ccccc3)C[C@@H]2C)c1 ZINC001225814667 1052834601 /nfs/dbraw/zinc/83/46/01/1052834601.db2.gz QCCGFRQDFPKPOF-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN CC(=O)c1cccc(O[C@@H]2CCN(Cc3ccccc3)C[C@H]2C)c1 ZINC001225814666 1052834793 /nfs/dbraw/zinc/83/47/93/1052834793.db2.gz QCCGFRQDFPKPOF-IIBYNOLFSA-N 0 3 323.436 4.179 20 0 DIADHN CC(C)[C@H](C)SC[C@@H]1CN(Cc2ccccc2)CCCO1 ZINC000556338033 1052839821 /nfs/dbraw/zinc/83/98/21/1052839821.db2.gz DXCOSWHUUNAWBM-WMZOPIPTSA-N 0 3 307.503 4.055 20 0 DIADHN CC(C)N1CC[C@H](Oc2cccc(C(F)(F)F)c2Cl)C1 ZINC001225887520 1052842522 /nfs/dbraw/zinc/84/25/22/1052842522.db2.gz ADBDJWQMLKFVEW-JTQLQIEISA-N 0 3 307.743 4.220 20 0 DIADHN Fc1cc(O[C@@H]2CCCN(Cc3ccccc3)C2)cc(F)c1F ZINC001225911582 1052846525 /nfs/dbraw/zinc/84/65/25/1052846525.db2.gz PCJBBXSTAWCYAB-CQSZACIVSA-N 0 3 321.342 4.147 20 0 DIADHN Cc1cccc(C)c1O[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001225987658 1052856979 /nfs/dbraw/zinc/85/69/79/1052856979.db2.gz ZTNYSNUNHNSQLY-ZWKOTPCHSA-N 0 3 310.441 4.303 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2cccs2)c(C)c1 ZINC001226035814 1052862616 /nfs/dbraw/zinc/86/26/16/1052862616.db2.gz BDJPZHZAIZIMCX-KRWDZBQOSA-N 0 3 317.454 4.331 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2NCc1cnc2n1CCCC2 ZINC000556611754 1052870972 /nfs/dbraw/zinc/87/09/72/1052870972.db2.gz WDYRKDOINSEIET-KRWDZBQOSA-N 0 3 315.848 4.040 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000556609860 1052871101 /nfs/dbraw/zinc/87/11/01/1052871101.db2.gz VLWUFXJGCUTQME-SJORKVTESA-N 0 3 320.502 4.334 20 0 DIADHN Cc1cccc(C)c1OC[C@H](N[C@H](C)c1ncc[nH]1)C(C)(C)C ZINC000556893531 1052895594 /nfs/dbraw/zinc/89/55/94/1052895594.db2.gz VVLWOPXYMRBFTP-CVEARBPZSA-N 0 3 315.461 4.171 20 0 DIADHN Cc1cccc(CN[C@H](c2ccccc2)C2(CO)CCC2)c1C ZINC000556909574 1052897200 /nfs/dbraw/zinc/89/72/00/1052897200.db2.gz HAVGQIIMJQEDNW-HXUWFJFHSA-N 0 3 309.453 4.297 20 0 DIADHN Nc1cc(O[C@@H](c2ccccc2)c2ccccc2Cl)ccn1 ZINC001226653986 1052919151 /nfs/dbraw/zinc/91/91/51/1052919151.db2.gz VRXKQDXUPBPCMS-SFHVURJKSA-N 0 3 310.784 4.486 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc3c(c2)CCCC3)C1 ZINC001226760023 1052928787 /nfs/dbraw/zinc/92/87/87/1052928787.db2.gz BLAPLGWCILGACF-OXJNMPFZSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CC[C@@H]1Oc1nc2ccccc2o1 ZINC001226906050 1052943939 /nfs/dbraw/zinc/94/39/39/1052943939.db2.gz NQRQMACMWZFFEK-QAPCUYQASA-N 0 3 322.408 4.117 20 0 DIADHN CC(=O)Nc1cccc2c1CCN(CC/C=C/c1ccccc1)C2 ZINC000649254050 1052955113 /nfs/dbraw/zinc/95/51/13/1052955113.db2.gz KIPQKKVKAPMHMA-BJMVGYQFSA-N 0 3 320.436 4.107 20 0 DIADHN COc1ccc2ccccc2c1CNC[C@H]1CC1(Cl)Cl ZINC000920956655 1052956678 /nfs/dbraw/zinc/95/66/78/1052956678.db2.gz SXIDNQKINICEJT-GFCCVEGCSA-N 0 3 310.224 4.132 20 0 DIADHN COC(=O)c1ccc(CN2CCC3(CCCCC3)CC2)cc1F ZINC000322921785 1052977185 /nfs/dbraw/zinc/97/71/85/1052977185.db2.gz LBEJSQMTQXAUKQ-UHFFFAOYSA-N 0 3 319.420 4.159 20 0 DIADHN CC1(C)CCC(c2noc(C3CCN(C4CC4)CC3)n2)CC1 ZINC000347481785 1052978440 /nfs/dbraw/zinc/97/84/40/1052978440.db2.gz FRZXKBJRSSAUOL-UHFFFAOYSA-N 0 3 303.450 4.095 20 0 DIADHN Oc1ccc(OC2CCN(Cc3ccccc3)CC2)c(Cl)c1 ZINC001227443571 1052992372 /nfs/dbraw/zinc/99/23/72/1052992372.db2.gz VCIXHINMZRBANA-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cc(=O)oc3cc(C)ccc23)c1 ZINC000179590093 1053017427 /nfs/dbraw/zinc/01/74/27/1053017427.db2.gz LATCGYLGBHBYPQ-UHFFFAOYSA-N 0 3 321.420 4.435 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CCN(c2ccccn2)CC1 ZINC000615455597 1053017598 /nfs/dbraw/zinc/01/75/98/1053017598.db2.gz XKYJIKNAVSNWKK-QGZVFWFLSA-N 0 3 317.436 4.118 20 0 DIADHN C#CCOc1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1 ZINC000237660315 1053019650 /nfs/dbraw/zinc/01/96/50/1053019650.db2.gz LVCRFXATZMNWTL-UHFFFAOYSA-N 0 3 311.812 4.131 20 0 DIADHN Cc1cc(F)cc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001227847055 1053020933 /nfs/dbraw/zinc/02/09/33/1053020933.db2.gz CTUBSTHKSKYHNT-RDJZCZTQSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1ccc([C@H](O)CNCc2ccc(Cl)cc2Cl)cc1 ZINC000237790634 1053028762 /nfs/dbraw/zinc/02/87/62/1053028762.db2.gz AMZROJRYFMMZAT-MRXNPFEDSA-N 0 3 310.224 4.125 20 0 DIADHN Cc1cc(Cl)cc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001227985784 1053033684 /nfs/dbraw/zinc/03/36/84/1053033684.db2.gz YOOGQRAGGNPWGS-GDBMZVCRSA-N 0 3 316.832 4.258 20 0 DIADHN Cc1ccc2c(c1)onc2O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001228082313 1053041664 /nfs/dbraw/zinc/04/16/64/1053041664.db2.gz JGLBOVPNUNTIRE-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN CC(C)c1ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc1 ZINC001228100877 1053044018 /nfs/dbraw/zinc/04/40/18/1053044018.db2.gz VSPOLYHBMKMOHU-VQIMIIECSA-N 0 3 310.441 4.419 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2CC3(C2)CCCCC3)c(F)c1 ZINC000616267433 1053052509 /nfs/dbraw/zinc/05/25/09/1053052509.db2.gz MNRMROIZTOJMMO-UHFFFAOYSA-N 0 3 318.436 4.186 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(CSC(F)F)o2)C(C)(C)O1 ZINC000180367560 1053055400 /nfs/dbraw/zinc/05/54/00/1053055400.db2.gz JEVKOCWAXCHDSC-GFCCVEGCSA-N 0 3 319.417 4.171 20 0 DIADHN CC(=O)c1c(O[C@@H]2[C@@H]3CCC[C@H]2CN(C)C3)ccc2ccccc21 ZINC001228253133 1053057560 /nfs/dbraw/zinc/05/75/60/1053057560.db2.gz NRKZILKOJMCWOT-UPHUGPLXSA-N 0 3 323.436 4.152 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)c2ccsc2)c2ccccc2)cc1 ZINC000180593545 1053071407 /nfs/dbraw/zinc/07/14/07/1053071407.db2.gz RJOFEAKMKBXVCJ-PMACEKPBSA-N 0 3 323.461 4.469 20 0 DIADHN CC(C)c1cccc(OC2CCN(Cc3ccccc3)CC2)n1 ZINC001228318229 1053071917 /nfs/dbraw/zinc/07/19/17/1053071917.db2.gz JTBDRIPYZRUDQL-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)c2ccsc2)c2ccccc2)cc1 ZINC000180593737 1053072205 /nfs/dbraw/zinc/07/22/05/1053072205.db2.gz RJOFEAKMKBXVCJ-UXHICEINSA-N 0 3 323.461 4.469 20 0 DIADHN Cc1cc(C)c(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001228407550 1053096664 /nfs/dbraw/zinc/09/66/64/1053096664.db2.gz PDDUMXHGCJEPDA-QZTJIDSGSA-N 0 3 310.441 4.221 20 0 DIADHN Cc1nn(C)cc1[C@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228438407 1053104312 /nfs/dbraw/zinc/10/43/12/1053104312.db2.gz APJKKFDWNFGCDI-HNNXBMFYSA-N 0 3 323.440 4.083 20 0 DIADHN CN(C)Cc1c(O[C@H]2CCOC(C)(C)C2)ccc2ccccc21 ZINC001228442724 1053104849 /nfs/dbraw/zinc/10/48/49/1053104849.db2.gz WZKHBBZXPSZWPX-INIZCTEOSA-N 0 3 313.441 4.238 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228438410 1053105040 /nfs/dbraw/zinc/10/50/40/1053105040.db2.gz APJKKFDWNFGCDI-OAHLLOKOSA-N 0 3 323.440 4.083 20 0 DIADHN CCC(=O)c1ccccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001228453829 1053108477 /nfs/dbraw/zinc/10/84/77/1053108477.db2.gz GWGJCQPZABGINL-LJQANCHMSA-N 0 3 324.332 4.468 20 0 DIADHN Cc1cc(Cl)ncc1OC1CCN(Cc2ccccc2)CC1 ZINC001228532791 1053126412 /nfs/dbraw/zinc/12/64/12/1053126412.db2.gz KJEAYKGQCOINSB-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@@H]2CCCC[C@@H]2C1 ZINC001317847161 1053135231 /nfs/dbraw/zinc/13/52/31/1053135231.db2.gz QQFHYDTWIOAOGW-HLLBOEOZSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CC[C@H]2CCCC[C@H]2C1 ZINC001317847162 1053135848 /nfs/dbraw/zinc/13/58/48/1053135848.db2.gz QQFHYDTWIOAOGW-INMHGKMJSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cc(NC[C@@H](c2ccc(F)cc2)N(C)C)nc2ccccc12 ZINC001317881104 1053137082 /nfs/dbraw/zinc/13/70/82/1053137082.db2.gz VXMBKHYXRDACSH-IBGZPJMESA-N 0 3 323.415 4.397 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)nc1)c1cccc(N2CCCC2)c1 ZINC001351177279 1053145477 /nfs/dbraw/zinc/14/54/77/1053145477.db2.gz PCRUZRQWHJPELC-CABCVRRESA-N 0 3 313.420 4.233 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H](C(F)(F)F)O1)c1ccccc1Cl ZINC000721399801 1053188421 /nfs/dbraw/zinc/18/84/21/1053188421.db2.gz WQOHGZXRGTXNJO-KWBADKCTSA-N 0 3 307.743 4.101 20 0 DIADHN CC1(C)Cc2cc(CNCc3ccc4c(c3)CCC4)ccc2O1 ZINC001318419145 1053167052 /nfs/dbraw/zinc/16/70/52/1053167052.db2.gz TWPAKVFBTSKWAN-UHFFFAOYSA-N 0 3 307.437 4.179 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3ccoc3c2)C1 ZINC001228725772 1053168158 /nfs/dbraw/zinc/16/81/58/1053168158.db2.gz BTAZLZCEZLLOLO-CRAIPNDOSA-N 0 3 322.408 4.432 20 0 DIADHN Cc1ccccc1OCCN1CCSC[C@@H]1c1ccccc1 ZINC001318522782 1053171702 /nfs/dbraw/zinc/17/17/02/1053171702.db2.gz ORPODGZGRICEGJ-GOSISDBHSA-N 0 3 313.466 4.164 20 0 DIADHN O=C(c1ccco1)C(Oc1cccc2c1C(=O)CC2)c1ccco1 ZINC001228837431 1053189541 /nfs/dbraw/zinc/18/95/41/1053189541.db2.gz JQNJYCAKCGYVCU-IBGZPJMESA-N 0 3 322.316 4.005 20 0 DIADHN COc1ccccc1OCCN(C)c1c(C)cnc2ccccc21 ZINC001318866292 1053199629 /nfs/dbraw/zinc/19/96/29/1053199629.db2.gz BDTGFBDRJKIFCT-UHFFFAOYSA-N 0 3 322.408 4.067 20 0 DIADHN COc1cc(CN[C@H]2CCc3cc(Cl)ccc32)ccc1F ZINC001351618959 1053202891 /nfs/dbraw/zinc/20/28/91/1053202891.db2.gz ISZCRGJAPHOMHS-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN CC(C)c1ccccc1OCCN[C@@H](C)c1cnn(C(C)C)c1 ZINC000089597417 1053206268 /nfs/dbraw/zinc/20/62/68/1053206268.db2.gz LNTYGOQDEWUXNQ-INIZCTEOSA-N 0 3 315.461 4.317 20 0 DIADHN CCOc1ccc([C@H](C)Oc2ccc3c(c2)CCN(C)C3)cc1 ZINC001228936502 1053208234 /nfs/dbraw/zinc/20/82/34/1053208234.db2.gz JGBUCKFASWJRNP-HNNXBMFYSA-N 0 3 311.425 4.213 20 0 DIADHN CN1CCc2cc(OC3CCC(C(F)(F)F)CC3)ccc2C1 ZINC001228936886 1053208333 /nfs/dbraw/zinc/20/83/33/1053208333.db2.gz PFOAPQWWCSRDSJ-UHFFFAOYSA-N 0 3 313.363 4.174 20 0 DIADHN CCCN(C(=O)[C@@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001351664402 1053208813 /nfs/dbraw/zinc/20/88/13/1053208813.db2.gz PHKHAZZFUYBMTN-FQEVSTJZSA-N 0 3 324.468 4.456 20 0 DIADHN CC(C)N1CCC(Oc2nc3c(cccc3Cl)s2)CC1 ZINC001228949596 1053211782 /nfs/dbraw/zinc/21/17/82/1053211782.db2.gz HNWXLFJWAKYOLC-UHFFFAOYSA-N 0 3 310.850 4.201 20 0 DIADHN CCCc1ccc(C(=O)Nc2ccc(C)cc2CN(C)C)s1 ZINC001360369198 1053217985 /nfs/dbraw/zinc/21/79/85/1053217985.db2.gz XZDMYIUWTUQCIN-UHFFFAOYSA-N 0 3 316.470 4.323 20 0 DIADHN CC(=O)c1c(C)cccc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001228990856 1053218487 /nfs/dbraw/zinc/21/84/87/1053218487.db2.gz AOIWVJGYQPRNHW-SFHVURJKSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1cnc2ccccc2c1N1CCO[C@H](c2cccc(F)c2)C1 ZINC001319130809 1053221497 /nfs/dbraw/zinc/22/14/97/1053221497.db2.gz MPOGAZTUSWCALT-IBGZPJMESA-N 0 3 322.383 4.260 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)c1cccs1)c1cnn(C)c1 ZINC000090015623 1053222814 /nfs/dbraw/zinc/22/28/14/1053222814.db2.gz XKYLKIOXKUSEHI-WMLDXEAASA-N 0 3 311.454 4.116 20 0 DIADHN C[C@@H](CN[C@@H](Cc1ccccc1)c1ncco1)c1ccccc1 ZINC000847099071 1053243049 /nfs/dbraw/zinc/24/30/49/1053243049.db2.gz RZXMRCAGIWIXQV-LPHOPBHVSA-N 0 3 306.409 4.352 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCc1nc(C(C)(C)C)cs1 ZINC001319470692 1053245138 /nfs/dbraw/zinc/24/51/38/1053245138.db2.gz BBWMMKNMUYYJHA-NSHDSACASA-N 0 3 321.490 4.193 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)Nc2ccc(C)cc2CN(C)C)CC1 ZINC000251771837 1053249142 /nfs/dbraw/zinc/24/91/42/1053249142.db2.gz KWYHTGLHTGJQGL-WKILWMFISA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)[C@H](NCc1cnn(C)c1)c1ccc(Cl)c(Cl)c1 ZINC000091306064 1053258756 /nfs/dbraw/zinc/25/87/56/1053258756.db2.gz WPLWPQBGGZSRGC-HNNXBMFYSA-N 0 3 312.244 4.214 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC001319710650 1053266339 /nfs/dbraw/zinc/26/63/39/1053266339.db2.gz CFYXTWQEKRGLOK-WFASDCNBSA-N 0 3 305.805 4.087 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@H]2OCCC[C@H]2C1 ZINC001319710649 1053266952 /nfs/dbraw/zinc/26/69/52/1053266952.db2.gz CFYXTWQEKRGLOK-SWLSCSKDSA-N 0 3 305.805 4.087 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CC(C)(C)Sc3ccccc32)cnn1C ZINC001352083726 1053274884 /nfs/dbraw/zinc/27/48/84/1053274884.db2.gz DNCDHWZKNYUBNI-WBMJQRKESA-N 0 3 315.486 4.395 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000252770974 1053281865 /nfs/dbraw/zinc/28/18/65/1053281865.db2.gz UEIGTPBHWBFMIQ-HRDYMLBCSA-N 0 3 310.652 4.457 20 0 DIADHN CCn1cc([C@@H](C)NCc2cccc(-c3ccccc3)c2)cn1 ZINC000449671545 1053283591 /nfs/dbraw/zinc/28/35/91/1053283591.db2.gz SBWLCIMRFUIFJF-MRXNPFEDSA-N 0 3 305.425 4.421 20 0 DIADHN CCCCC[C@@H](NCc1c(C)c(C)n[nH]c1=O)c1ccccc1 ZINC001319894858 1053285326 /nfs/dbraw/zinc/28/53/26/1053285326.db2.gz HTLPPCGRGJGFSD-GOSISDBHSA-N 0 3 313.445 4.210 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(c2nc3ccccc3o2)CC1 ZINC001319894378 1053285564 /nfs/dbraw/zinc/28/55/64/1053285564.db2.gz ODJHZCMFUXOTST-AWEZNQCLSA-N 0 3 307.397 4.163 20 0 DIADHN CCCCC[C@H](NCc1nnc(C2CCC2)n1C)C(C)(C)C ZINC000181764981 1053287932 /nfs/dbraw/zinc/28/79/32/1053287932.db2.gz HEBMREHZZRNWDW-HNNXBMFYSA-N 0 3 306.498 4.167 20 0 DIADHN CCCCC[C@@H](NCc1nnc(C2CCC2)n1C)C(C)(C)C ZINC000181765005 1053288607 /nfs/dbraw/zinc/28/86/07/1053288607.db2.gz HEBMREHZZRNWDW-OAHLLOKOSA-N 0 3 306.498 4.167 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1ccsc1 ZINC000382193836 1053290127 /nfs/dbraw/zinc/29/01/27/1053290127.db2.gz ZMAWJFFWELXBNF-GXFFZTMASA-N 0 3 301.415 4.132 20 0 DIADHN CC(C)=CCC[C@@H](C)Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229304017 1053295690 /nfs/dbraw/zinc/29/56/90/1053295690.db2.gz YHJFMZZPGOMSOV-MRXNPFEDSA-N 0 3 303.446 4.335 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2coc(-c3ccccc3)n2)o1 ZINC001320069901 1053300245 /nfs/dbraw/zinc/30/02/45/1053300245.db2.gz CSYQNTRHPZFDNL-KBXCAEBGSA-N 0 3 322.408 4.390 20 0 DIADHN CC(=O)c1cc(Cl)c(C)cc1O[C@@H]1CCCN(C(C)C)C1 ZINC001229363225 1053309031 /nfs/dbraw/zinc/30/90/31/1053309031.db2.gz YETUPLXGNOKUHE-CQSZACIVSA-N 0 3 309.837 4.103 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@H]1CCCN1Cc1c(Cl)cccc1Cl ZINC000253086379 1053317345 /nfs/dbraw/zinc/31/73/45/1053317345.db2.gz BIDCNISFRWZRQL-IUIKQTSFSA-N 0 3 314.256 4.119 20 0 DIADHN Cc1cn(C)nc1CNCC1(c2ccccc2)CCCCCC1 ZINC001200022206 1053318557 /nfs/dbraw/zinc/31/85/57/1053318557.db2.gz DEPUIQOWZJQSKK-UHFFFAOYSA-N 0 3 311.473 4.110 20 0 DIADHN Cc1cccc(C2(NCc3cccc4[nH]c(=O)oc43)CCCC2)c1 ZINC001200024734 1053320799 /nfs/dbraw/zinc/32/07/99/1053320799.db2.gz YDEZRRIMGHLVAG-UHFFFAOYSA-N 0 3 322.408 4.401 20 0 DIADHN C[C@H](NCCc1ccc(OC(C)(C)C)cc1)c1cscn1 ZINC001320576158 1053346684 /nfs/dbraw/zinc/34/66/84/1053346684.db2.gz AKVMTFQXJHXVKX-ZDUSSCGKSA-N 0 3 304.459 4.214 20 0 DIADHN Oc1ccc(Cl)cc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001229544453 1053349926 /nfs/dbraw/zinc/34/99/26/1053349926.db2.gz LKDJFDLNMDXIDY-INIZCTEOSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)C2)C[C@H](CC(F)(F)F)O1 ZINC001560941504 1053355445 /nfs/dbraw/zinc/35/54/45/1053355445.db2.gz QITYBNSQTMHSRT-RDBSUJKOSA-N 0 3 307.400 4.245 20 0 DIADHN CC(C)(C)C(=O)CCN1CCC(c2nc3ccccc3o2)CC1 ZINC001320719487 1053358310 /nfs/dbraw/zinc/35/83/10/1053358310.db2.gz ZDACBJDTKZOZFH-UHFFFAOYSA-N 0 3 314.429 4.013 20 0 DIADHN Oc1ccc(C2=CCN(CCc3ccc(F)cc3F)CC2)cc1 ZINC001320841731 1053366512 /nfs/dbraw/zinc/36/65/12/1053366512.db2.gz ABFRBCWHGMCIBV-UHFFFAOYSA-N 0 3 315.363 4.002 20 0 DIADHN COc1ccc2oc(CN(C)[C@H](C)c3cccc(O)c3)cc2c1 ZINC000093316301 1053383148 /nfs/dbraw/zinc/38/31/48/1053383148.db2.gz BPGMUOISILIPHM-CYBMUJFWSA-N 0 3 311.381 4.340 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccc(OCC(F)F)cc1 ZINC000093315410 1053384334 /nfs/dbraw/zinc/38/43/34/1053384334.db2.gz PTHDBKUFDIJGLY-ZDUSSCGKSA-N 0 3 321.367 4.229 20 0 DIADHN O=C(CCCC1CCCC1)N1CCN(CC2CCCCC2)CC1 ZINC001434916207 1053387710 /nfs/dbraw/zinc/38/77/10/1053387710.db2.gz RWOGDBMMVVXWGJ-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN CCN(Cc1ccccn1)Cc1ccc(OC)c2ccccc12 ZINC000093338958 1053389106 /nfs/dbraw/zinc/38/91/06/1053389106.db2.gz QMZJNJPGZRBONA-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)C[C@@H]1CC=CCC1 ZINC001474754114 1053390725 /nfs/dbraw/zinc/39/07/25/1053390725.db2.gz NYDNHFIMFIEAQA-LSDHHAIUSA-N 0 3 304.503 4.446 20 0 DIADHN Clc1cccc(CCN2CC[C@@H]3OCCC[C@H]3C2)c1Cl ZINC001321116908 1053393658 /nfs/dbraw/zinc/39/36/58/1053393658.db2.gz HHQVNZBJNHEJJD-ZFWWWQNUSA-N 0 3 314.256 4.037 20 0 DIADHN CCC(=O)C1(c2cccc(OC(CC)CC)c2)CCN(C)CC1 ZINC001229732850 1053395786 /nfs/dbraw/zinc/39/57/86/1053395786.db2.gz INZXFMNPEXRQKG-UHFFFAOYSA-N 0 3 317.473 4.197 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cncc(F)c2)C(C)C)cc1 ZINC000163059267 1053399512 /nfs/dbraw/zinc/39/95/12/1053399512.db2.gz BZCMFFWHKUKYCF-UGSOOPFHSA-N 0 3 302.393 4.277 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC2)c1ccnn1-c1ccccc1 ZINC001321187504 1053400273 /nfs/dbraw/zinc/40/02/73/1053400273.db2.gz KZIPTAIAIQNRFE-MRXNPFEDSA-N 0 3 317.436 4.212 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2nccs2)CCCC1 ZINC000093400212 1053400660 /nfs/dbraw/zinc/40/06/60/1053400660.db2.gz MWBOMMJOTIGEMM-AWEZNQCLSA-N 0 3 316.470 4.314 20 0 DIADHN Cc1ccsc1CNCc1cc(Br)cs1 ZINC000070203273 1053404169 /nfs/dbraw/zinc/40/41/69/1053404169.db2.gz KLKOMAUQSCYIJJ-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN COc1cccc2cc(CN[C@@H]3CCCNc4ccccc43)oc21 ZINC001321283121 1053405521 /nfs/dbraw/zinc/40/55/21/1053405521.db2.gz POOSCDLDNDBTSX-GOSISDBHSA-N 0 3 322.408 4.478 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(SC)cc2)ccc1F ZINC001321319718 1053406924 /nfs/dbraw/zinc/40/69/24/1053406924.db2.gz WRXAAKWUZMMSAE-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN COc1ccccc1C1(NCCC(=O)c2ccc(C)cc2)CCC1 ZINC001321393866 1053410717 /nfs/dbraw/zinc/41/07/17/1053410717.db2.gz ISORZEWCXRAJCM-UHFFFAOYSA-N 0 3 323.436 4.245 20 0 DIADHN Cc1cc2ccc(O[C@@H]3CCN([C@@H](C)c4ccccn4)C3)cc2[nH]1 ZINC001229828521 1053412627 /nfs/dbraw/zinc/41/26/27/1053412627.db2.gz LYMRQOSZZACDSP-MAUKXSAKSA-N 0 3 321.424 4.086 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3cnn(C)c3)cc2)s1 ZINC001321496511 1053416449 /nfs/dbraw/zinc/41/64/49/1053416449.db2.gz OOBUTZAERHXHMP-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cnc(-c2cccs2)s1 ZINC000093558833 1053421244 /nfs/dbraw/zinc/42/12/44/1053421244.db2.gz WCQYTVMATYGJJE-NSHDSACASA-N 0 3 312.529 4.445 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cnc(-c2ccsc2)s1 ZINC000093558776 1053422448 /nfs/dbraw/zinc/42/24/48/1053422448.db2.gz RVSOGJIPKQPHMJ-LLVKDONJSA-N 0 3 312.529 4.445 20 0 DIADHN COc1ccc(CN[C@H](C)COc2ccccc2F)c(Cl)c1 ZINC001321654367 1053425674 /nfs/dbraw/zinc/42/56/74/1053425674.db2.gz SGVVVRKXWNTBFG-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2csc(-c3ccco3)n2)CCO1 ZINC000763527030 1053428708 /nfs/dbraw/zinc/42/87/08/1053428708.db2.gz QOTSAPKKLKRHPU-IUODEOHRSA-N 0 3 320.458 4.086 20 0 DIADHN c1ccc([C@@H]2Cc3ccccc3CN2CC2=CCCOC2)cc1 ZINC000093614628 1053428837 /nfs/dbraw/zinc/42/88/37/1053428837.db2.gz QLSBFDDBNDPOIA-NRFANRHFSA-N 0 3 305.421 4.133 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCCO1)c1nc2ccccc2n1C(F)F ZINC001474761858 1053430641 /nfs/dbraw/zinc/43/06/41/1053430641.db2.gz DGBXVJKANMIHLF-SJKOYZFVSA-N 0 3 323.387 4.041 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1cccc(Cl)c1)c1ccccc1F ZINC001321752026 1053431901 /nfs/dbraw/zinc/43/19/01/1053431901.db2.gz OMPVKYRUDRCPHU-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1nc(-c2ccccc2)n(CN2CCC[C@]3(CC=CCC3)C2)n1 ZINC001321832632 1053436801 /nfs/dbraw/zinc/43/68/01/1053436801.db2.gz ROIJVGDRVHRILC-HXUWFJFHSA-N 0 3 322.456 4.033 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC(C3CCOCC3)CC2)o1 ZINC000093705077 1053438639 /nfs/dbraw/zinc/43/86/39/1053438639.db2.gz VQPKOKYCKNZVAS-KDOFPFPSSA-N 0 3 303.446 4.042 20 0 DIADHN Cc1cc(C(C)C)ccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001230210722 1053494605 /nfs/dbraw/zinc/49/46/05/1053494605.db2.gz JORAAGWNBDYDJL-KDURUIRLSA-N 0 3 317.473 4.141 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C3CC3)cc2)C1 ZINC001230252096 1053506019 /nfs/dbraw/zinc/50/60/19/1053506019.db2.gz ACURUXOWYHDIQO-DNVCBOLYSA-N 0 3 308.425 4.173 20 0 DIADHN Cn1cc(-c2ccc(CN[C@H]3CCCc4sccc43)cc2)cn1 ZINC001322447188 1053506668 /nfs/dbraw/zinc/50/66/68/1053506668.db2.gz XKFBSVWLOGHDPQ-SFHVURJKSA-N 0 3 323.465 4.316 20 0 DIADHN CC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000182754939 1053509281 /nfs/dbraw/zinc/50/92/81/1053509281.db2.gz YMTRZPIWXZVNTG-GRDNDAEWSA-N 0 3 322.408 4.169 20 0 DIADHN CCCCc1ccc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC001353218698 1053514776 /nfs/dbraw/zinc/51/47/76/1053514776.db2.gz RAYOYDPIWXPJPT-IBGZPJMESA-N 0 3 320.452 4.180 20 0 DIADHN CC(=O)c1cccc(C)c1O[C@@H](CCN(C)C)c1ccccc1 ZINC001230318753 1053519301 /nfs/dbraw/zinc/51/93/01/1053519301.db2.gz MFNAYXZJOHZVRX-IBGZPJMESA-N 0 3 311.425 4.269 20 0 DIADHN Cc1ccc(C)c(CNCc2cccc(OCC(F)F)c2)c1 ZINC001200281008 1053519787 /nfs/dbraw/zinc/51/97/87/1053519787.db2.gz IJTYBVBSXXXXGB-UHFFFAOYSA-N 0 3 305.368 4.237 20 0 DIADHN Cc1cnc2ccccc2c1N1CCO[C@H](c2ccccc2F)C1 ZINC001322556935 1053521657 /nfs/dbraw/zinc/52/16/57/1053521657.db2.gz IZVCWBFCVMNLEL-IBGZPJMESA-N 0 3 322.383 4.260 20 0 DIADHN Cc1cc(Br)c(CN2CCC[C@@H]2C(C)C)cc1O ZINC001237306500 1053570775 /nfs/dbraw/zinc/57/07/75/1053570775.db2.gz FUQQVFVWFBYYIK-CQSZACIVSA-N 0 3 312.251 4.084 20 0 DIADHN CCSCCCNCc1c(Cl)cccc1OC(F)F ZINC000619715557 1053538376 /nfs/dbraw/zinc/53/83/76/1053538376.db2.gz YZPHEGSEQKRGIX-UHFFFAOYSA-N 0 3 309.809 4.174 20 0 DIADHN C=Cc1ccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001230449033 1053538899 /nfs/dbraw/zinc/53/88/99/1053538899.db2.gz UUEKPCWGLXPAQS-VQIMIIECSA-N 0 3 308.425 4.329 20 0 DIADHN C=Cc1ccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001230449030 1053539297 /nfs/dbraw/zinc/53/92/97/1053539297.db2.gz UUEKPCWGLXPAQS-LPHOPBHVSA-N 0 3 308.425 4.329 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(F)c(OC)c2)cc1 ZINC000152851528 1053540531 /nfs/dbraw/zinc/54/05/31/1053540531.db2.gz UEPDDTJJPWXTSC-CQSZACIVSA-N 0 3 317.404 4.222 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000152883259 1053543026 /nfs/dbraw/zinc/54/30/26/1053543026.db2.gz JILGIKFWNKCEHW-FUHWJXTLSA-N 0 3 317.477 4.181 20 0 DIADHN CC(C)OC(=O)c1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000619783307 1053558777 /nfs/dbraw/zinc/55/87/77/1053558777.db2.gz QGJAINWYMPFADM-ZDUSSCGKSA-N 0 3 303.427 4.164 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCc1c(F)cccc1Cl ZINC001323395469 1053580478 /nfs/dbraw/zinc/58/04/78/1053580478.db2.gz AVIHWKGHJUSAPC-SNVBAGLBSA-N 0 3 310.800 4.231 20 0 DIADHN Fc1c2cc[nH]c2ccc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001230767000 1053597817 /nfs/dbraw/zinc/59/78/17/1053597817.db2.gz SLKAFPHABFLQCA-INIZCTEOSA-N 0 3 324.399 4.350 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)[nH]n1 ZINC001323779969 1053598374 /nfs/dbraw/zinc/59/83/74/1053598374.db2.gz KOSWOOQPVHFANL-CQSZACIVSA-N 0 3 323.362 4.117 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)n[nH]1 ZINC001323779969 1053598391 /nfs/dbraw/zinc/59/83/91/1053598391.db2.gz KOSWOOQPVHFANL-CQSZACIVSA-N 0 3 323.362 4.117 20 0 DIADHN Fc1c2cc[nH]c2ccc1OC1CCN(Cc2ccccc2)CC1 ZINC001230766318 1053598942 /nfs/dbraw/zinc/59/89/42/1053598942.db2.gz MIIVBPRNPNUGPY-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN C[C@H](CO)N(C)Cc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001323792639 1053602180 /nfs/dbraw/zinc/60/21/80/1053602180.db2.gz BCBWYMNDFFGBGP-SNVBAGLBSA-N 0 3 314.212 4.066 20 0 DIADHN CCC(CC)N(CC(=O)N(c1ccccc1)C(C)C)C1CC1 ZINC000620119503 1053606754 /nfs/dbraw/zinc/60/67/54/1053606754.db2.gz HFUIIQJNNHTRTH-UHFFFAOYSA-N 0 3 302.462 4.081 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)[C@H]1CCOC(C)(C)C1 ZINC001323936815 1053610829 /nfs/dbraw/zinc/61/08/29/1053610829.db2.gz NVZUVWPPSFWHAE-KGLIPLIRSA-N 0 3 322.518 4.047 20 0 DIADHN CCCC(=CC(=O)NC[C@H](C)N(C)Cc1ccccc1)CCC ZINC001353580151 1053616103 /nfs/dbraw/zinc/61/61/03/1053616103.db2.gz LKVPSUUFTJLAHG-KRWDZBQOSA-N 0 3 316.489 4.150 20 0 DIADHN CCCCC[C@H]1CCCCN1C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001230895603 1053621059 /nfs/dbraw/zinc/62/10/59/1053621059.db2.gz JMVGKNIVBHWSAL-DLBZAZTESA-N 0 3 323.525 4.004 20 0 DIADHN Cc1cnc2ccccc2c1N1CC[C@]2(C1)OCc1ccccc12 ZINC001324056305 1053621212 /nfs/dbraw/zinc/62/12/12/1053621212.db2.gz JQWMLYLJWCVTQJ-OAQYLSRUSA-N 0 3 316.404 4.179 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@@H]2c2cccc(F)c2)cc1 ZINC000153504570 1053623989 /nfs/dbraw/zinc/62/39/89/1053623989.db2.gz ZGARMCLPDVLECT-LJQANCHMSA-N 0 3 312.388 4.121 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@@H](C)c1cccc(F)c1F ZINC000183284555 1053627729 /nfs/dbraw/zinc/62/77/29/1053627729.db2.gz YITUDYWVFIJUFQ-IIYDPXPESA-N 0 3 305.368 4.128 20 0 DIADHN Cc1nn(C)c(CNCCCc2ccc(C(C)C)cc2)c1Cl ZINC001200413269 1053641757 /nfs/dbraw/zinc/64/17/57/1053641757.db2.gz WVFYWKBBSXUSCY-UHFFFAOYSA-N 0 3 319.880 4.228 20 0 DIADHN CN(C)c1cccc(Cl)c1CN(C)C1CCC(F)(F)CC1 ZINC001324466063 1053657392 /nfs/dbraw/zinc/65/73/92/1053657392.db2.gz CYAKAXDUPIZSIE-UHFFFAOYSA-N 0 3 316.823 4.416 20 0 DIADHN Cc1ccc2nc(OC3CCN(C)CC3)cc(C(F)(F)F)c2c1 ZINC001231097235 1053675395 /nfs/dbraw/zinc/67/53/95/1053675395.db2.gz FZOBGSIAQJSRPC-UHFFFAOYSA-N 0 3 324.346 4.035 20 0 DIADHN Cc1c(CN2CC(C)(C)C2(C)C)sc2nc(C)nc(C)c12 ZINC001324746881 1053677683 /nfs/dbraw/zinc/67/76/83/1053677683.db2.gz PVLQXSHZAXELGD-UHFFFAOYSA-N 0 3 303.475 4.237 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)Cc2ccccc2Cl)o1 ZINC001324786898 1053681028 /nfs/dbraw/zinc/68/10/28/1053681028.db2.gz BBZYJUZQNASHQP-GFCCVEGCSA-N 0 3 306.837 4.310 20 0 DIADHN Brc1ccc2oc(CNC3(C4CCC4)CC3)nc2c1 ZINC000649811520 1053682287 /nfs/dbraw/zinc/68/22/87/1053682287.db2.gz VTYQETFCWSOIMB-UHFFFAOYSA-N 0 3 321.218 4.013 20 0 DIADHN CCC[C@H](NCc1c(C)c(C)n[nH]c1=O)c1ccc(Cl)cc1 ZINC001324841967 1053683287 /nfs/dbraw/zinc/68/32/87/1053683287.db2.gz RPZLBXJRWCFXMJ-INIZCTEOSA-N 0 3 319.836 4.083 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC001200471666 1053687572 /nfs/dbraw/zinc/68/75/72/1053687572.db2.gz PUPMNUIPOWPPLC-PZPSRYQVSA-N 0 3 317.864 4.123 20 0 DIADHN CO[C@H](CNCc1ccc([C@H]2C[C@H]2C)o1)c1ccc(F)cc1 ZINC001324924467 1053689689 /nfs/dbraw/zinc/68/96/89/1053689689.db2.gz RGHFRBPHNQIIJF-PZPSRYQVSA-N 0 3 303.377 4.019 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)[NH2+][C@@H](C)c1ccccc1[O-] ZINC000183608327 1053692008 /nfs/dbraw/zinc/69/20/08/1053692008.db2.gz KTUWVAAOEVQDQH-LXIYXOSZSA-N 0 3 319.832 4.472 20 0 DIADHN CC(C)OC1CC(N[C@H](C)c2nc3ccccc3n2C(F)F)C1 ZINC001324994652 1053693204 /nfs/dbraw/zinc/69/32/04/1053693204.db2.gz YBJZXDIZOHSHJK-PNESKVBLSA-N 0 3 323.387 4.038 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2CCc3ccccc32)c1Cl ZINC001200486694 1053697251 /nfs/dbraw/zinc/69/72/51/1053697251.db2.gz OQNUMHGOQVNLKL-LBPRGKRZSA-N 0 3 307.771 4.438 20 0 DIADHN COCCOc1ccc(CN2CCC[C@H]2c2ccsc2)cc1 ZINC000153562272 1053700369 /nfs/dbraw/zinc/70/03/69/1053700369.db2.gz YYOZHOWUCIDVRW-SFHVURJKSA-N 0 3 317.454 4.110 20 0 DIADHN Cc1cccnc1CNCCOc1ccccc1-c1ccccc1 ZINC001325156081 1053702783 /nfs/dbraw/zinc/70/27/83/1053702783.db2.gz AIJZJLOOSIKMKZ-UHFFFAOYSA-N 0 3 318.420 4.226 20 0 DIADHN CC[NH+](CC)C[C@H](C)Oc1ccccc1Oc1ccccc1[O-] ZINC001231219369 1053703367 /nfs/dbraw/zinc/70/33/67/1053703367.db2.gz WMKIUAZECPCMJD-HNNXBMFYSA-N 0 3 315.413 4.294 20 0 DIADHN COC1(C(F)(F)F)CCN(c2c(C)cnc3ccccc32)CC1 ZINC001325346579 1053715554 /nfs/dbraw/zinc/71/55/54/1053715554.db2.gz PTRRNBHSCPSQLH-UHFFFAOYSA-N 0 3 324.346 4.091 20 0 DIADHN CCN(CCc1ccccc1)[C@H](C)C(=O)Nc1c(C)cccc1C ZINC000154085158 1053724909 /nfs/dbraw/zinc/72/49/09/1053724909.db2.gz YIOJJWKYJBKMTJ-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1Cc1ccc([S@@](C)=O)cc1 ZINC001325753705 1053728328 /nfs/dbraw/zinc/72/83/28/1053728328.db2.gz UHMLQZWFINSFMN-IVZHQMGZSA-N 0 3 307.503 4.071 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3ccc(C)cc32)c(OC)c1 ZINC000154195347 1053731745 /nfs/dbraw/zinc/73/17/45/1053731745.db2.gz IJVBGGBFEJCAKF-LIRRHRJNSA-N 0 3 311.425 4.350 20 0 DIADHN COCc1ccc(CN[C@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000266249456 1053731838 /nfs/dbraw/zinc/73/18/38/1053731838.db2.gz ZYJQXFQZEPPIIB-CYBMUJFWSA-N 0 3 321.367 4.285 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)CC1 ZINC001435970851 1053740879 /nfs/dbraw/zinc/74/08/79/1053740879.db2.gz NQVGLSBIRQHNNN-RWQQGDIJSA-N 0 3 316.489 4.010 20 0 DIADHN CCCCC[C@@H](NCc1c(C)cnn1COC)c1ccccc1 ZINC001326023224 1053745522 /nfs/dbraw/zinc/74/55/22/1053745522.db2.gz WZOIEYXSHLWXIX-GOSISDBHSA-N 0 3 315.461 4.207 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2cccc(C(F)F)c2)cc1 ZINC001326030667 1053745968 /nfs/dbraw/zinc/74/59/68/1053745968.db2.gz KEZSNXMUYHGMBA-GFCCVEGCSA-N 0 3 318.367 4.433 20 0 DIADHN COc1c(F)ccc(F)c1CN1CCCC2(CC(F)C2)CC1 ZINC001231414336 1053747149 /nfs/dbraw/zinc/74/71/49/1053747149.db2.gz OYIOEUFYXMTACS-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN CC(C)C[C@H](NCc1cccnc1N(C)C)c1cccs1 ZINC000154777192 1053748381 /nfs/dbraw/zinc/74/83/81/1053748381.db2.gz GTNCUIXONDKTKB-HNNXBMFYSA-N 0 3 303.475 4.086 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@@H]3c3ccccc3)cnc21 ZINC000154788115 1053751092 /nfs/dbraw/zinc/75/10/92/1053751092.db2.gz DQBJAVCSJZRXNC-LJQANCHMSA-N 0 3 320.440 4.349 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2cccc(C)c2)c1 ZINC000154850645 1053751426 /nfs/dbraw/zinc/75/14/26/1053751426.db2.gz BVHYVZXFEUVDOO-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN CCCCN(CC)Cc1c(C(F)(F)F)nc2sccn12 ZINC001231432209 1053751912 /nfs/dbraw/zinc/75/19/12/1053751912.db2.gz PHIFTEREMOYYSN-UHFFFAOYSA-N 0 3 305.369 4.037 20 0 DIADHN CN(C)c1ccc2cc(CN3CCC[C@@](C)(F)C3)ccc2c1 ZINC001231438800 1053755600 /nfs/dbraw/zinc/75/56/00/1053755600.db2.gz HRWMALSTBZDNMS-LJQANCHMSA-N 0 3 300.421 4.230 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OCC(F)(F)F)nc2)cc1 ZINC000155002446 1053757546 /nfs/dbraw/zinc/75/75/46/1053757546.db2.gz VJPSRFZBLRGYHP-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN COc1c2ccccc2oc1CNCCc1ccc(F)cc1F ZINC001326218857 1053764510 /nfs/dbraw/zinc/76/45/10/1053764510.db2.gz KFMLHSPKVAKCOT-UHFFFAOYSA-N 0 3 317.335 4.052 20 0 DIADHN c1ccc(-c2nnc(CN3CCC[C@H]3C3CCCC3)s2)cc1 ZINC000155126123 1053764544 /nfs/dbraw/zinc/76/45/44/1053764544.db2.gz PGUQSJKMKSIDTD-INIZCTEOSA-N 0 3 313.470 4.360 20 0 DIADHN CCc1ccc(CN2CCC(Oc3ccccc3)CC2)s1 ZINC001231500059 1053771634 /nfs/dbraw/zinc/77/16/34/1053771634.db2.gz POTXPALYOLMMQF-UHFFFAOYSA-N 0 3 301.455 4.354 20 0 DIADHN CCc1ccc(CN(CC)Cc2ccc3c(c2)OCO3)s1 ZINC001231503143 1053771820 /nfs/dbraw/zinc/77/18/20/1053771820.db2.gz PQWDWUKLGFQXMT-UHFFFAOYSA-N 0 3 303.427 4.061 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1nnc(-c2ccccc2)s1 ZINC000155274940 1053772715 /nfs/dbraw/zinc/77/27/15/1053772715.db2.gz YRJNFHKNCCEONO-BMFZPTHFSA-N 0 3 313.470 4.358 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H]3CCCc4ccccc43)c(C)n2n1 ZINC000269348567 1053780172 /nfs/dbraw/zinc/78/01/72/1053780172.db2.gz RANBLZYSXLQSDT-KUHUBIRLSA-N 0 3 320.440 4.074 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2ccc(Cl)cc2)cn1 ZINC001231535254 1053781431 /nfs/dbraw/zinc/78/14/31/1053781431.db2.gz GBPKUZCLGQRQAG-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN CCOc1cc(CN2CCCC[C@@H]2C)ccc1OC(=O)C(C)C ZINC001231555099 1053782076 /nfs/dbraw/zinc/78/20/76/1053782076.db2.gz IUTIURDCEKVPDT-HNNXBMFYSA-N 0 3 319.445 4.021 20 0 DIADHN COC[C@@H]1CCN(Cc2ccc(F)c(Oc3ccccc3)c2)C1 ZINC001231537349 1053783226 /nfs/dbraw/zinc/78/32/26/1053783226.db2.gz SUZZCIHLKVXBTP-MRXNPFEDSA-N 0 3 315.388 4.086 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cc(Br)c[nH]1 ZINC001231573860 1053785379 /nfs/dbraw/zinc/78/53/79/1053785379.db2.gz DRLSFHYDZDQJTM-OAHLLOKOSA-N 0 3 307.235 4.360 20 0 DIADHN FC12C[C@@H]3C[C@H](C1)CC(NCc1csc(C4CC4)n1)(C3)C2 ZINC001354173719 1053788912 /nfs/dbraw/zinc/78/89/12/1053788912.db2.gz ICDCMIZFDOOILF-GKUGFIGPSA-N 0 3 306.450 4.171 20 0 DIADHN Cc1c(Cl)c(CN(C)CC2CCOCC2)nc2ccccc12 ZINC000155897919 1053789391 /nfs/dbraw/zinc/78/93/91/1053789391.db2.gz AWUIHNRCQQGDTM-UHFFFAOYSA-N 0 3 318.848 4.055 20 0 DIADHN C[C@@H](CN1CCC(C(=O)c2cccs2)CC1)c1ccccc1 ZINC001326563877 1053792115 /nfs/dbraw/zinc/79/21/15/1053792115.db2.gz ZEIUHDRXMGUNFG-HNNXBMFYSA-N 0 3 313.466 4.447 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000156063322 1053797464 /nfs/dbraw/zinc/79/74/64/1053797464.db2.gz WSFAJJPCCLESKN-AWEZNQCLSA-N 0 3 310.397 4.445 20 0 DIADHN COc1cc(C)c(CN2CC[C@H]3CCCO[C@H]3C2)cc1C(C)C ZINC001231627341 1053809569 /nfs/dbraw/zinc/80/95/69/1053809569.db2.gz JTMVYEQLFQXQHZ-UZLBHIALSA-N 0 3 317.473 4.128 20 0 DIADHN CCc1ccc(CN2CCC(n3ccc4ccccc43)CC2)nc1 ZINC001231642689 1053812862 /nfs/dbraw/zinc/81/28/62/1053812862.db2.gz MPGGIARUUDNVKX-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN C[C@@H]1Cc2cc(CNC3(c4cccc(Cl)c4)CC3)ccc2O1 ZINC001200612059 1053814208 /nfs/dbraw/zinc/81/42/08/1053814208.db2.gz ZCXODWVGUXLIIM-CYBMUJFWSA-N 0 3 313.828 4.442 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cc(C)sc2C)cn1 ZINC000156656422 1053818464 /nfs/dbraw/zinc/81/84/64/1053818464.db2.gz VCYNQJTUNOGSNF-ZDUSSCGKSA-N 0 3 304.459 4.400 20 0 DIADHN Cc1ccc(CN(C)[C@H]2CC[C@H](O)CC2)c(Cl)c1Cl ZINC001231660108 1053820340 /nfs/dbraw/zinc/82/03/40/1053820340.db2.gz CEDGDCGPQGHJRH-JOCQHMNTSA-N 0 3 302.245 4.037 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2cccc(Cl)c2)ccc1O ZINC000156888432 1053828856 /nfs/dbraw/zinc/82/88/56/1053828856.db2.gz UXEKDUFRPAURPK-LBPRGKRZSA-N 0 3 305.805 4.247 20 0 DIADHN COCc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)o1 ZINC001231692972 1053833587 /nfs/dbraw/zinc/83/35/87/1053833587.db2.gz TWWGXXJKHRMGMF-CYBMUJFWSA-N 0 3 305.805 4.069 20 0 DIADHN CCn1nc(C)c(CN2CCCCC[C@@H]2c2ccncc2)c1C ZINC000157012977 1053834566 /nfs/dbraw/zinc/83/45/66/1053834566.db2.gz GKKRAZQIDCCLGY-LJQANCHMSA-N 0 3 312.461 4.032 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1nc(-c2ccccc2)cs1 ZINC001231683524 1053835055 /nfs/dbraw/zinc/83/50/55/1053835055.db2.gz VUFNUPRFINUXQX-INIZCTEOSA-N 0 3 316.470 4.201 20 0 DIADHN Clc1ccccc1OC[C@H]1CCCN(Cc2ccncc2)C1 ZINC000157059000 1053835536 /nfs/dbraw/zinc/83/55/36/1053835536.db2.gz WHBGPFCVELJWPF-INIZCTEOSA-N 0 3 316.832 4.026 20 0 DIADHN CCc1nc(CNCCc2c[nH]c3cc(Cl)ccc23)cs1 ZINC000621056128 1053842871 /nfs/dbraw/zinc/84/28/71/1053842871.db2.gz MDFZZQYVXKWEDT-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN Cc1ccc2sc(NC[C@@H](c3cccs3)N(C)C)nc2c1 ZINC001472637218 1053851360 /nfs/dbraw/zinc/85/13/60/1053851360.db2.gz NSMVGFDARSSVAZ-ZDUSSCGKSA-N 0 3 317.483 4.381 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(Cl)c(F)c1 ZINC000157430741 1053852781 /nfs/dbraw/zinc/85/27/81/1053852781.db2.gz VMSNBQXCNHXYOW-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CCOc1ncccc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001231769175 1053854540 /nfs/dbraw/zinc/85/45/40/1053854540.db2.gz VOUCFNKBLPNAEE-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN CCCCc1ccc(CN2CC[C@@]3(C2)CC(F)(F)CO3)cc1 ZINC001231781571 1053856291 /nfs/dbraw/zinc/85/62/91/1053856291.db2.gz JBAHKMYGQSJBNM-KRWDZBQOSA-N 0 3 309.400 4.029 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC2CCC(CC2)C1 ZINC001231798802 1053860174 /nfs/dbraw/zinc/86/01/74/1053860174.db2.gz AUDQBLAQSPKVHY-UHFFFAOYSA-N 0 3 307.441 4.074 20 0 DIADHN CC(C)(C)Oc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1 ZINC001231804052 1053863688 /nfs/dbraw/zinc/86/36/88/1053863688.db2.gz SKWHKNJVLINPKA-OAHLLOKOSA-N 0 3 315.379 4.433 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CCCC2(C1)OCCO2 ZINC001231808928 1053864843 /nfs/dbraw/zinc/86/48/43/1053864843.db2.gz AWVNPMXLVTYSGI-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN CCN(CC1CC1)[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000157837068 1053870584 /nfs/dbraw/zinc/87/05/84/1053870584.db2.gz JNFSJDCKBPNPCD-NSHDSACASA-N 0 3 305.809 4.183 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000157891553 1053875853 /nfs/dbraw/zinc/87/58/53/1053875853.db2.gz OZQBMNXSLXOJNH-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000157979874 1053876823 /nfs/dbraw/zinc/87/68/23/1053876823.db2.gz GLUIRXRCGIBPBO-INIZCTEOSA-N 0 3 322.452 4.413 20 0 DIADHN OC1CCN(Cc2ccc(Oc3ccc(Cl)cc3)cc2)CC1 ZINC001231815829 1053876974 /nfs/dbraw/zinc/87/69/74/1053876974.db2.gz SLEHWTQIYWWHHC-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000157971527 1053877930 /nfs/dbraw/zinc/87/79/30/1053877930.db2.gz VZYOTAKHHVFTOK-HNNXBMFYSA-N 0 3 302.462 4.177 20 0 DIADHN Fc1ccc(-c2ccccc2CN2CCOC[C@H]2C2CC2)cc1 ZINC001231825885 1053878223 /nfs/dbraw/zinc/87/82/23/1053878223.db2.gz ZFBWWVZXSNMSAA-FQEVSTJZSA-N 0 3 311.400 4.104 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2sc3scc(C)c3c2C)C1 ZINC001231830407 1053882342 /nfs/dbraw/zinc/88/23/42/1053882342.db2.gz BMOBDPBCAFVTEO-ZDUSSCGKSA-N 0 3 307.484 4.381 20 0 DIADHN c1cc(OC2CCCCC2)ncc1CN1CC2CCC1CC2 ZINC001231872915 1053898747 /nfs/dbraw/zinc/89/87/47/1053898747.db2.gz SPHRSYAJSORWPZ-UHFFFAOYSA-N 0 3 300.446 4.168 20 0 DIADHN C[C@H](NCc1ccn(C)c1)c1ccc(OCc2ccccc2)cc1 ZINC000158437950 1053900690 /nfs/dbraw/zinc/90/06/90/1053900690.db2.gz MLIHQXTXYYATPN-KRWDZBQOSA-N 0 3 320.436 4.455 20 0 DIADHN F[C@H]1CCCN(Cc2ccc(OC3CCCCC3)nc2)CC1 ZINC001231874573 1053902226 /nfs/dbraw/zinc/90/22/26/1053902226.db2.gz WYPDBGOQUSRPTJ-INIZCTEOSA-N 0 3 306.425 4.117 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccnc(C(F)F)c3)C2)cc1 ZINC001231890752 1053904506 /nfs/dbraw/zinc/90/45/06/1053904506.db2.gz DMKIQJNUTICYPD-OAHLLOKOSA-N 0 3 318.367 4.017 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CC[C@H]3CCC[C@H]3C2)cn1 ZINC001231898973 1053910560 /nfs/dbraw/zinc/91/05/60/1053910560.db2.gz BPAREIPTZJRNLE-MNOVXSKESA-N 0 3 318.770 4.376 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@H](C)c1ccccn1 ZINC000158778461 1053921620 /nfs/dbraw/zinc/92/16/20/1053921620.db2.gz RUHZUXQGCCEMAZ-CHWSQXEVSA-N 0 3 324.346 4.219 20 0 DIADHN C[C@@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccccn1 ZINC000158778053 1053921684 /nfs/dbraw/zinc/92/16/84/1053921684.db2.gz RUHZUXQGCCEMAZ-STQMWFEESA-N 0 3 324.346 4.219 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1C(F)(F)F)c1ccccn1 ZINC000158778314 1053921787 /nfs/dbraw/zinc/92/17/87/1053921787.db2.gz RUHZUXQGCCEMAZ-QWHCGFSZSA-N 0 3 324.346 4.219 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ncccc2Cl)cc1 ZINC001231961349 1053922860 /nfs/dbraw/zinc/92/28/60/1053922860.db2.gz NOFCOKMAIGBUQN-HNNXBMFYSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@H](CCc2ccccc2)C1 ZINC001231986963 1053929904 /nfs/dbraw/zinc/92/99/04/1053929904.db2.gz PWPCJNDMFDVMDG-GOSISDBHSA-N 0 3 312.432 4.374 20 0 DIADHN Clc1nccc2ccc(CN3CCC4(CCCO4)CC3)cc21 ZINC001231981521 1053930140 /nfs/dbraw/zinc/93/01/40/1053930140.db2.gz LLDFOHUFCNZLFZ-UHFFFAOYSA-N 0 3 316.832 4.033 20 0 DIADHN CCOCC1CCN(Cc2ccc3ccnc(Cl)c3c2)CC1 ZINC001231981007 1053930208 /nfs/dbraw/zinc/93/02/08/1053930208.db2.gz HURKUUDSKFZOFJ-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@@H](C)c2ccccc2C)c1 ZINC000159021301 1053931814 /nfs/dbraw/zinc/93/18/14/1053931814.db2.gz KSCSGHSIWPBERS-IRXDYDNUSA-N 0 3 324.468 4.374 20 0 DIADHN Cc1cc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)cc(C)n1 ZINC001232000847 1053933641 /nfs/dbraw/zinc/93/36/41/1053933641.db2.gz AHCAQEQWEZIHQO-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN O=C(CCCCCc1cccs1)Nc1cccc2c1CNC2 ZINC001354660730 1053934961 /nfs/dbraw/zinc/93/49/61/1053934961.db2.gz PSEFPJSRCQFMIN-UHFFFAOYSA-N 0 3 314.454 4.093 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(CCO)Cc1ccccc1 ZINC001232001846 1053935827 /nfs/dbraw/zinc/93/58/27/1053935827.db2.gz IYPYCNVXCQKTDH-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN CN(Cc1cc2c[nH]ccc-2n1)Cc1cccc(C(F)(F)F)c1 ZINC001232014072 1053938688 /nfs/dbraw/zinc/93/86/88/1053938688.db2.gz JGFHEQHGZLSFSC-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN FC(F)(F)c1cnc(Cl)c(CN2CCC3(CC3)CC2)c1 ZINC001232007682 1053940221 /nfs/dbraw/zinc/94/02/21/1053940221.db2.gz JTFMPRYUDZHOQO-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN COc1cccc2[nH]cc(CN3CCC4(CC(F)(F)C4)CC3)c21 ZINC001232034772 1053943890 /nfs/dbraw/zinc/94/38/90/1053943890.db2.gz QQVHVMDEDQTOBI-UHFFFAOYSA-N 0 3 320.383 4.188 20 0 DIADHN CCCN(CCOc1ccccc1OC)Cc1ccccc1F ZINC000621296830 1053944776 /nfs/dbraw/zinc/94/47/76/1053944776.db2.gz MKDTWFWNSIETNL-UHFFFAOYSA-N 0 3 317.404 4.125 20 0 DIADHN CCOc1cncc(CN[C@H](CC)c2ccc(Cl)cc2)c1 ZINC000621328221 1053946410 /nfs/dbraw/zinc/94/64/10/1053946410.db2.gz LCHOSRCUOYORKV-QGZVFWFLSA-N 0 3 304.821 4.375 20 0 DIADHN Cn1nc(C(F)F)c(CN2CCC3(CCCC3)CC2)c1Cl ZINC001232050787 1053947405 /nfs/dbraw/zinc/94/74/05/1053947405.db2.gz OJYJZPLVEJLQJX-UHFFFAOYSA-N 0 3 317.811 4.167 20 0 DIADHN CCOc1cncc(CN[C@@H](CC)c2cccc(Cl)c2)c1 ZINC000621329339 1053948416 /nfs/dbraw/zinc/94/84/16/1053948416.db2.gz ZLFCEMBCWMAQCB-KRWDZBQOSA-N 0 3 304.821 4.375 20 0 DIADHN CCOc1cncc(CNC2(c3ccc(F)cc3)CCCC2)c1 ZINC000621333092 1053949824 /nfs/dbraw/zinc/94/98/24/1053949824.db2.gz RWEBMBUXEAVHME-UHFFFAOYSA-N 0 3 314.404 4.179 20 0 DIADHN CCOc1cncc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)c1 ZINC000621334650 1053949903 /nfs/dbraw/zinc/94/99/03/1053949903.db2.gz NCMKVWWYXUWAPW-UHFFFAOYSA-N 0 3 323.440 4.177 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CNCCC(F)(F)F ZINC001200761390 1053949846 /nfs/dbraw/zinc/94/98/46/1053949846.db2.gz MCPHIJOVDCHHOH-UHFFFAOYSA-N 0 3 319.273 4.483 20 0 DIADHN c1c(CN2CCC(Cc3ccccc3)CC2)[nH]c2cccnc12 ZINC001232063908 1053951083 /nfs/dbraw/zinc/95/10/83/1053951083.db2.gz PUOHODCALHUXPE-UHFFFAOYSA-N 0 3 305.425 4.018 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2cc3ncccc3[nH]2)C1 ZINC001232065286 1053951756 /nfs/dbraw/zinc/95/17/56/1053951756.db2.gz QZKPEYYSLOKFQS-CYBMUJFWSA-N 0 3 311.816 4.206 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc3ncccc3[nH]2)cc1 ZINC001232065315 1053951817 /nfs/dbraw/zinc/95/18/17/1053951817.db2.gz SJJBRDPPWOQPCU-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN c1c(CN2CCC3(CCc4ccccc43)CC2)[nH]c2cccnc12 ZINC001232062331 1053952272 /nfs/dbraw/zinc/95/22/72/1053952272.db2.gz ZJBFTQNUMRBUSS-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccccc3F)CC2)c(C)n1 ZINC001232084058 1053958476 /nfs/dbraw/zinc/95/84/76/1053958476.db2.gz XWQCAGFVLYMONC-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN CN(Cc1cc2ccncc2[nH]1)Cc1ccc2ccccc2c1 ZINC001232097510 1053961577 /nfs/dbraw/zinc/96/15/77/1053961577.db2.gz RCKVEDLJYURWAY-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN c1c2ccncc2[nH]c1CN1CCC(CCc2ccccc2)CC1 ZINC001232093413 1053962716 /nfs/dbraw/zinc/96/27/16/1053962716.db2.gz WGECGDNPMMQOHB-UHFFFAOYSA-N 0 3 319.452 4.408 20 0 DIADHN C[C@@H]1CCCN(Cc2c(Br)[nH]c3ccccc32)C1 ZINC001232139156 1053967681 /nfs/dbraw/zinc/96/76/81/1053967681.db2.gz BZYLCHOJLUWTCX-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN CCCN(Cc1cnc(-c2cccnc2)o1)Cc1ccccc1 ZINC001232139710 1053970745 /nfs/dbraw/zinc/97/07/45/1053970745.db2.gz QQRVUSFBMPXNOV-UHFFFAOYSA-N 0 3 307.397 4.149 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1CCCCCC1 ZINC001232139902 1053971482 /nfs/dbraw/zinc/97/14/82/1053971482.db2.gz NIZKNLXKUVHOEB-UHFFFAOYSA-N 0 3 307.235 4.306 20 0 DIADHN Cc1ccc(C)c(CNCc2ccc(Br)c(F)c2)c1 ZINC000621564960 1053982821 /nfs/dbraw/zinc/98/28/21/1053982821.db2.gz KLZDYLATFNQRMO-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCN(Cc3ccns3)C2)c1 ZINC001232193118 1053983333 /nfs/dbraw/zinc/98/33/33/1053983333.db2.gz QFLKUGYEDOJLCG-LBPRGKRZSA-N 0 3 312.360 4.151 20 0 DIADHN CCN(Cc1cccs1)Cc1ccnc2c(F)cccc12 ZINC001232214440 1053991780 /nfs/dbraw/zinc/99/17/80/1053991780.db2.gz UIZGMZXMIWITKI-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCN(Cc3cnsc3)C2)c1 ZINC001232227289 1053995900 /nfs/dbraw/zinc/99/59/00/1053995900.db2.gz JYQNGNASVGMUFY-CYBMUJFWSA-N 0 3 312.360 4.151 20 0 DIADHN C[C@@H]1CCN(Cc2cccn2Cc2ccccc2)CCC1(F)F ZINC001232232397 1053998688 /nfs/dbraw/zinc/99/86/88/1053998688.db2.gz QGPVTJDMABDSTR-MRXNPFEDSA-N 0 3 318.411 4.404 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3cccn3Cc3ccccc3)C[C@@H]21 ZINC001232236166 1053999623 /nfs/dbraw/zinc/99/96/23/1053999623.db2.gz QRZGEJZZSVPHGA-AEFFLSMTSA-N 0 3 316.395 4.014 20 0 DIADHN CCCN(Cc1cccnc1N(C)C)[C@@H]1CCc2ccccc21 ZINC001329736403 1054005883 /nfs/dbraw/zinc/00/58/83/1054005883.db2.gz GRDZMBUQVJSTFG-LJQANCHMSA-N 0 3 309.457 4.047 20 0 DIADHN CCCN(Cc1cccnc1N(C)C)[C@H]1CCc2ccccc21 ZINC001329736400 1054006249 /nfs/dbraw/zinc/00/62/49/1054006249.db2.gz GRDZMBUQVJSTFG-IBGZPJMESA-N 0 3 309.457 4.047 20 0 DIADHN COCc1cccc(CN2CCC[C@H](CCc3ccccc3)C2)n1 ZINC001232257464 1054006404 /nfs/dbraw/zinc/00/64/04/1054006404.db2.gz DWLBDCKBSDODFL-LJQANCHMSA-N 0 3 324.468 4.073 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CCC[C@H](c3ccccc3)C2)c2cccnc12 ZINC001232277148 1054009673 /nfs/dbraw/zinc/00/96/73/1054009673.db2.gz KRVNFWYEKGBCMC-KRWDZBQOSA-N 0 3 318.420 4.320 20 0 DIADHN [O-]c1ccc(C[N@H+]2CCC[C@H](c3ccccc3)C2)c2cccnc12 ZINC001232277148 1054009677 /nfs/dbraw/zinc/00/96/77/1054009677.db2.gz KRVNFWYEKGBCMC-KRWDZBQOSA-N 0 3 318.420 4.320 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1nccc2ccccc21 ZINC001329955461 1054012724 /nfs/dbraw/zinc/01/27/24/1054012724.db2.gz JXLKEAMZXCPHGL-KGLIPLIRSA-N 0 3 314.429 4.006 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2Cl)C1)c1ccccn1 ZINC000159211792 1054017783 /nfs/dbraw/zinc/01/77/83/1054017783.db2.gz AMKHCOWYEPDDCL-CABCVRRESA-N 0 3 315.848 4.055 20 0 DIADHN COc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)c(C)n1 ZINC001232336458 1054024034 /nfs/dbraw/zinc/02/40/34/1054024034.db2.gz OSKIQVAXTIZGBQ-INIZCTEOSA-N 0 3 316.832 4.042 20 0 DIADHN CSc1ccc(CN(C)CCc2ccc(Cl)cc2)cn1 ZINC001232329121 1054024363 /nfs/dbraw/zinc/02/43/63/1054024363.db2.gz QVZIBGINURQDLT-UHFFFAOYSA-N 0 3 306.862 4.131 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)c(C)n1 ZINC001232334961 1054024477 /nfs/dbraw/zinc/02/44/77/1054024477.db2.gz QNVQSZSRLHQYQN-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN CSc1cc(C)c(CN(C)CCc2cccc(Cl)c2)cn1 ZINC001232347950 1054025934 /nfs/dbraw/zinc/02/59/34/1054025934.db2.gz JACWTGNYUQPBRJ-UHFFFAOYSA-N 0 3 320.889 4.440 20 0 DIADHN S=C(Nc1ccccc1)Nc1ccccc1CN1CCCC1 ZINC001200838684 1054027394 /nfs/dbraw/zinc/02/73/94/1054027394.db2.gz MLCDFDMBUPTGIS-UHFFFAOYSA-N 0 3 311.454 4.091 20 0 DIADHN C[C@H](NC[C@H](O)C(C)(C)C)c1cc(F)c(Cl)cc1Cl ZINC000324292755 1054059486 /nfs/dbraw/zinc/05/94/86/1054059486.db2.gz SPKRJRBVJRVGOM-SDBXPKJASA-N 0 3 308.224 4.190 20 0 DIADHN CCOc1ccc(OC)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001232385362 1054033306 /nfs/dbraw/zinc/03/33/06/1054033306.db2.gz OGVCFVXBPRSTFN-INIZCTEOSA-N 0 3 321.436 4.198 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCC[C@@H]2C)c1 ZINC001232375822 1054033446 /nfs/dbraw/zinc/03/34/46/1054033446.db2.gz GPMSXJUIGYQCOY-INIZCTEOSA-N 0 3 311.425 4.259 20 0 DIADHN CCCC(=O)NC[C@@H]1CCCN([C@@H](C)c2ccccc2Cl)C1 ZINC000171097366 1054034317 /nfs/dbraw/zinc/03/43/17/1054034317.db2.gz TUWGCYWTRMTPIR-GJZGRUSLSA-N 0 3 322.880 4.029 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2C[C@H]3CC[C@@H]2C3)c1 ZINC001232379759 1054034002 /nfs/dbraw/zinc/03/40/02/1054034002.db2.gz CJPFLYSRYGNOAV-PKOBYXMFSA-N 0 3 323.436 4.259 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccccn1)Oc1ccccc1Cl ZINC000159309991 1054034281 /nfs/dbraw/zinc/03/42/81/1054034281.db2.gz GDGBGUSIBXNKKK-KGLIPLIRSA-N 0 3 304.821 4.243 20 0 DIADHN CCOc1ccc(OC)cc1CN1CCC[C@@H]1c1ccccc1 ZINC001232384195 1054035232 /nfs/dbraw/zinc/03/52/32/1054035232.db2.gz MHYGDOMQRKMWPA-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(-c3cnn(C)c3)cc2)ccc1F ZINC001330532031 1054039383 /nfs/dbraw/zinc/03/93/83/1054039383.db2.gz AUTVTRDGVUOEIF-OAHLLOKOSA-N 0 3 323.415 4.385 20 0 DIADHN CCOCC1CCN(Cc2cc(C)ccc2OC(C)C)CC1 ZINC001232399070 1054040288 /nfs/dbraw/zinc/04/02/88/1054040288.db2.gz RNZLKKGMMGDXJG-UHFFFAOYSA-N 0 3 305.462 4.031 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(F)c(C)c(F)c3)C2)cc1 ZINC001232426773 1054043906 /nfs/dbraw/zinc/04/39/06/1054043906.db2.gz QEYLOJRJZZKUIE-MRXNPFEDSA-N 0 3 317.379 4.271 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@H](c4ccccc4)CC3)cn2c1 ZINC001232417612 1054043954 /nfs/dbraw/zinc/04/39/54/1054043954.db2.gz UUUOHEAKBMCQHC-KRWDZBQOSA-N 0 3 323.415 4.243 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N1CCC(OC2CCCC2)CC1 ZINC001330693275 1054047354 /nfs/dbraw/zinc/04/73/54/1054047354.db2.gz AHJRZJNOEHSRIZ-IBGZPJMESA-N 0 3 303.421 4.237 20 0 DIADHN CCC(CC)N(CC)Cc1cc(OCc2ccccc2)no1 ZINC001232453305 1054049909 /nfs/dbraw/zinc/04/99/09/1054049909.db2.gz UYYBUABDBPKNJO-UHFFFAOYSA-N 0 3 302.418 4.264 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CCC[C@H]4CCCC[C@@H]43)c21 ZINC001232461014 1054050658 /nfs/dbraw/zinc/05/06/58/1054050658.db2.gz XMIZZKPKCLNRQK-DOMZBBRYSA-N 0 3 303.837 4.371 20 0 DIADHN CCc1csc(CN2CCC[C@@H](c3ccccc3)CC2)n1 ZINC001232474553 1054053400 /nfs/dbraw/zinc/05/34/00/1054053400.db2.gz CRMQNMOVGIQSRN-MRXNPFEDSA-N 0 3 300.471 4.475 20 0 DIADHN Cc1cccc(F)c1CN1CCC[C@@H](Sc2ccncc2)C1 ZINC001232485558 1054056231 /nfs/dbraw/zinc/05/62/31/1054056231.db2.gz ZHIYUEGWKMCALI-MRXNPFEDSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cccc(F)c1CN1CCC(OCc2ccccc2)CC1 ZINC001232483098 1054056697 /nfs/dbraw/zinc/05/66/97/1054056697.db2.gz BPNZXTZSAINTSY-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(C)cc1C)CCC2 ZINC000164499768 1054057362 /nfs/dbraw/zinc/05/73/62/1054057362.db2.gz BTBDODSJUJOHFE-OAHLLOKOSA-N 0 3 300.471 4.490 20 0 DIADHN Nc1ccc(F)cc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001232511398 1054058977 /nfs/dbraw/zinc/05/89/77/1054058977.db2.gz FGDCBHWPVBNSRZ-CYBMUJFWSA-N 0 3 304.796 4.051 20 0 DIADHN COc1cc(Cl)ccc1CN(C)CCc1ccc(F)cc1 ZINC001232500715 1054061186 /nfs/dbraw/zinc/06/11/86/1054061186.db2.gz DRMLJKJYSQYTMP-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN FCc1cccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)n1 ZINC001232540037 1054065437 /nfs/dbraw/zinc/06/54/37/1054065437.db2.gz WSHDXMNLUZVLLG-AWEZNQCLSA-N 0 3 304.796 4.194 20 0 DIADHN FCc1cccc(CN2CCC3(CCc4ccccc43)CC2)n1 ZINC001232533886 1054066311 /nfs/dbraw/zinc/06/63/11/1054066311.db2.gz UAXBPLJNUAVUHH-UHFFFAOYSA-N 0 3 310.416 4.031 20 0 DIADHN CC(C)(C)Oc1ccc(CCNCc2cnc(C3CC3)o2)cc1 ZINC001331258129 1054068438 /nfs/dbraw/zinc/06/84/38/1054068438.db2.gz MKQBJENFLRROQB-UHFFFAOYSA-N 0 3 314.429 4.062 20 0 DIADHN CC(C)(C)OC(=O)Nc1ncccc1CN1CCCCCCC1 ZINC001232541961 1054069389 /nfs/dbraw/zinc/06/93/89/1054069389.db2.gz BOAILGUUTNNZBL-UHFFFAOYSA-N 0 3 319.449 4.195 20 0 DIADHN C[C@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)[C@H]1C ZINC001232543080 1054069498 /nfs/dbraw/zinc/06/94/98/1054069498.db2.gz WAXFFHSVOFZXQI-KBPBESRZSA-N 0 3 319.449 4.049 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1cc2ccoc2cn1 ZINC001232552783 1054070620 /nfs/dbraw/zinc/07/06/20/1054070620.db2.gz DTLLFMLFTZKWMO-UHFFFAOYSA-N 0 3 300.789 4.156 20 0 DIADHN COC(=O)c1ccc(CN2CCC23CCCCC3)c(Cl)c1 ZINC001232575853 1054073329 /nfs/dbraw/zinc/07/33/29/1054073329.db2.gz GDEDQAUIEAKTMA-UHFFFAOYSA-N 0 3 307.821 4.035 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1cc(OCc2ccccc2)ccn1 ZINC001232589314 1054075974 /nfs/dbraw/zinc/07/59/74/1054075974.db2.gz BPRHFROQJQWFEC-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN CC[C@H]1CCCCN1Cc1cc(OCc2ccccc2)ccn1 ZINC001232587819 1054076686 /nfs/dbraw/zinc/07/66/86/1054076686.db2.gz NTXGLUGTSGVTHY-IBGZPJMESA-N 0 3 310.441 4.425 20 0 DIADHN Cc1cccc(O[C@@H]2CCN(Cc3ccc4ccsc4c3)C2)n1 ZINC001232611862 1054082461 /nfs/dbraw/zinc/08/24/61/1054082461.db2.gz DBIXZTZFWLLGJP-QGZVFWFLSA-N 0 3 324.449 4.258 20 0 DIADHN Cc1ncoc1CN[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC001331570891 1054082505 /nfs/dbraw/zinc/08/25/05/1054082505.db2.gz XBBTWBUKXLPHOR-MQMHXKEQSA-N 0 3 310.319 4.038 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1nccc2ccccc21 ZINC001331531824 1054082739 /nfs/dbraw/zinc/08/27/39/1054082739.db2.gz DNQQSPDFNCGWRC-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccco1 ZINC000184583250 1054087839 /nfs/dbraw/zinc/08/78/39/1054087839.db2.gz BKTKOWPYIHEUHK-DNVCBOLYSA-N 0 3 307.393 4.331 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CC1(F)F)c1ccc(F)cc1OC(F)F ZINC001331727271 1054089339 /nfs/dbraw/zinc/08/93/39/1054089339.db2.gz YOQPPBBDAGTZMZ-DKCNOQQISA-N 0 3 309.278 4.121 20 0 DIADHN Cn1ncc(CN[C@@H]2CCC(C)(C)c3ccccc32)c1C1CC1 ZINC001355251479 1054095892 /nfs/dbraw/zinc/09/58/92/1054095892.db2.gz FGORVNWYMDETCU-GOSISDBHSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1cccc(CN(Cc2cncc3cnccc32)C2CC2)c1C ZINC001232654997 1054096596 /nfs/dbraw/zinc/09/65/96/1054096596.db2.gz PSRAFFMRBFAGQQ-UHFFFAOYSA-N 0 3 317.436 4.411 20 0 DIADHN CNc1ccccc1CN1CC=C(c2ccc(OC)cc2)CC1 ZINC001232674656 1054099996 /nfs/dbraw/zinc/09/99/96/1054099996.db2.gz DFUFNMFBNFZDKT-UHFFFAOYSA-N 0 3 308.425 4.026 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc2ncsc21 ZINC001232735424 1054110168 /nfs/dbraw/zinc/11/01/68/1054110168.db2.gz MLYLNDRHVPPJPA-ZDUSSCGKSA-N 0 3 312.438 4.498 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(Cc2cccc3ncsc32)C1 ZINC001232733533 1054110777 /nfs/dbraw/zinc/11/07/77/1054110777.db2.gz PQZVVUIWZWIQLS-LLVKDONJSA-N 0 3 300.349 4.071 20 0 DIADHN CCCC[C@@H](C(=O)N(CCN(C)C)Cc1cccs1)C(C)C ZINC001355331017 1054112235 /nfs/dbraw/zinc/11/22/35/1054112235.db2.gz MDQYLPBUOIKVLW-QGZVFWFLSA-N 0 3 324.534 4.101 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2cccc3ncsc32)C1 ZINC001232737751 1054112650 /nfs/dbraw/zinc/11/26/50/1054112650.db2.gz JORWQLHLIGZZNF-HNNXBMFYSA-N 0 3 322.433 4.001 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc2ncsc2c1 ZINC001232743336 1054113014 /nfs/dbraw/zinc/11/30/14/1054113014.db2.gz SCQAFAMIFKPJKM-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN c1nc2ccc(CN3CCC[C@H](Oc4ccccc4)C3)cc2s1 ZINC001232742475 1054113404 /nfs/dbraw/zinc/11/34/04/1054113404.db2.gz WPNKHICMWNCHRX-KRWDZBQOSA-N 0 3 324.449 4.340 20 0 DIADHN Cc1cc(N[C@@H](CC(C)(C)C)c2ccc(F)cc2)nc(N)n1 ZINC000733186583 1054115654 /nfs/dbraw/zinc/11/56/54/1054115654.db2.gz AAMFQJJZOAKVEI-AWEZNQCLSA-N 0 3 302.397 4.096 20 0 DIADHN C[C@H]1Cc2cc(CNCc3cccc(C(F)F)c3)ccc2O1 ZINC001332335728 1054115921 /nfs/dbraw/zinc/11/59/21/1054115921.db2.gz OUAXNUFHKPNIEP-LBPRGKRZSA-N 0 3 303.352 4.237 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3ccnc(Cl)c3C)C2)c1 ZINC001232754873 1054116220 /nfs/dbraw/zinc/11/62/20/1054116220.db2.gz LOARQWDRUNOICK-INIZCTEOSA-N 0 3 316.832 4.042 20 0 DIADHN Fc1cc(CN[C@@H]2CCc3ccccc32)ccc1OC(F)F ZINC000167995499 1054116389 /nfs/dbraw/zinc/11/63/89/1054116389.db2.gz WXRKHGFWZVSOBC-OAHLLOKOSA-N 0 3 307.315 4.204 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccnc(Cl)c3C)C2)cc1 ZINC001232757012 1054116537 /nfs/dbraw/zinc/11/65/37/1054116537.db2.gz LIJRNVDPLGKPPJ-INIZCTEOSA-N 0 3 316.832 4.042 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1ccc(C(F)(F)F)nc1Cl ZINC001232794810 1054122428 /nfs/dbraw/zinc/12/24/28/1054122428.db2.gz VKGFBZOXNPMAHV-NSHDSACASA-N 0 3 306.759 4.374 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1ccc(C(F)(F)F)nc1Cl ZINC001232794808 1054122729 /nfs/dbraw/zinc/12/27/29/1054122729.db2.gz VKGFBZOXNPMAHV-LLVKDONJSA-N 0 3 306.759 4.374 20 0 DIADHN COc1cc(O)cc(CN2CC[C@H](c3cccc(Cl)c3)C2)c1 ZINC001232804100 1054124055 /nfs/dbraw/zinc/12/40/55/1054124055.db2.gz CAWBLYLDOLLARW-HNNXBMFYSA-N 0 3 317.816 4.044 20 0 DIADHN Clc1cc2[nH]cc(CN3CC[C@H]4CCCC[C@H]4C3)c2cn1 ZINC001232821103 1054124872 /nfs/dbraw/zinc/12/48/72/1054124872.db2.gz JNSKCIHOVZHSSG-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](CC(C)(C)C)c1ccccc1 ZINC000848528653 1054126951 /nfs/dbraw/zinc/12/69/51/1054126951.db2.gz OAISVESIAPBSDD-HNNXBMFYSA-N 0 3 305.853 4.341 20 0 DIADHN Cn1c(CN[C@H](c2ccc(F)cc2)C2CCCC2)cnc1Cl ZINC000848531225 1054128596 /nfs/dbraw/zinc/12/85/96/1054128596.db2.gz LWRWBPHJSGJLCS-INIZCTEOSA-N 0 3 321.827 4.234 20 0 DIADHN CC(C)c1ccccc1CNCc1cccc(N2CCOC2=O)c1 ZINC000848529836 1054129079 /nfs/dbraw/zinc/12/90/79/1054129079.db2.gz RQHIECUXTARBQO-UHFFFAOYSA-N 0 3 324.424 4.056 20 0 DIADHN C[C@@H](NCc1cnc(Cl)n1C)c1cc2ccccc2s1 ZINC000848536625 1054133111 /nfs/dbraw/zinc/13/31/11/1054133111.db2.gz ULAHYJMIXWRIBG-SNVBAGLBSA-N 0 3 305.834 4.139 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnc(Cl)n1C ZINC000848536648 1054133319 /nfs/dbraw/zinc/13/33/19/1054133319.db2.gz SGBKSDUCZJNBTG-INIZCTEOSA-N 0 3 305.853 4.259 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnc(Cl)n1C)CCC2 ZINC000848543449 1054136536 /nfs/dbraw/zinc/13/65/36/1054136536.db2.gz DRQDAAOMXXOFFO-QGZVFWFLSA-N 0 3 317.864 4.364 20 0 DIADHN Cc1cc(O)cc(CN2CC[C@H](c3ccccc3Cl)C2)c1 ZINC001232885048 1054137255 /nfs/dbraw/zinc/13/72/55/1054137255.db2.gz XMPHQBDZJYIZDY-HNNXBMFYSA-N 0 3 301.817 4.344 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cc(C)cc(O)c2)c1 ZINC001232884653 1054138495 /nfs/dbraw/zinc/13/84/95/1054138495.db2.gz ROFSIRKJWLGGED-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H]2CCCc3cn(C4CCCC4)nc32)CS1 ZINC000848547287 1054140328 /nfs/dbraw/zinc/14/03/28/1054140328.db2.gz MLOXICXZDDBWGF-UKPHBRMFSA-N 0 3 319.518 4.249 20 0 DIADHN Fc1cccc(-c2ccc(CN3CC[C@]4(CC4(F)F)C3)cn2)c1 ZINC001232888312 1054140990 /nfs/dbraw/zinc/14/09/90/1054140990.db2.gz JUAZUOJJVJFJBE-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN Fc1cccc(-c2ccc(CN3CCC[C@H](C(F)F)C3)cn2)c1 ZINC001232887271 1054141714 /nfs/dbraw/zinc/14/17/14/1054141714.db2.gz BSIZSILFXFBRDM-HNNXBMFYSA-N 0 3 320.358 4.365 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2cncc(-c3ccccc3)c2)C1 ZINC001232900895 1054143471 /nfs/dbraw/zinc/14/34/71/1054143471.db2.gz HSAOBDCVYWHEDS-MRXNPFEDSA-N 0 3 302.368 4.226 20 0 DIADHN Cc1ncc(CN[C@@H](CCc2cccnc2)c2ccccc2)s1 ZINC001333148778 1054143699 /nfs/dbraw/zinc/14/36/99/1054143699.db2.gz BDOBMKLNSXPXJG-IBGZPJMESA-N 0 3 323.465 4.310 20 0 DIADHN c1ccc(-c2cncc(CN3CCC4(CCOCC4)CC3)c2)cc1 ZINC001232900664 1054143956 /nfs/dbraw/zinc/14/39/56/1054143956.db2.gz CRTSKNOLFVXLAI-UHFFFAOYSA-N 0 3 322.452 4.141 20 0 DIADHN [O-]c1c(F)ccc(CN2CCCC[C@H]2c2ccccc2)c1F ZINC001232930707 1054151112 /nfs/dbraw/zinc/15/11/12/1054151112.db2.gz FBPNJXMSJQBDJJ-INIZCTEOSA-N 0 3 303.352 4.398 20 0 DIADHN Oc1c(F)ccc(CN2CCCC[C@H]2c2ccccc2)c1F ZINC001232930707 1054151120 /nfs/dbraw/zinc/15/11/20/1054151120.db2.gz FBPNJXMSJQBDJJ-INIZCTEOSA-N 0 3 303.352 4.398 20 0 DIADHN Cc1cccnc1CN[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1 ZINC001333383766 1054152034 /nfs/dbraw/zinc/15/20/34/1054152034.db2.gz BPHIJCWKQVVYBN-AEFFLSMTSA-N 0 3 316.832 4.053 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CCC[C@@]23CCOC3)c1 ZINC001232947449 1054155493 /nfs/dbraw/zinc/15/54/93/1054155493.db2.gz DSUHENBMGIKDHF-AWEZNQCLSA-N 0 3 317.376 4.053 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cnc(OC)c2ccccc12 ZINC001232951118 1054155860 /nfs/dbraw/zinc/15/58/60/1054155860.db2.gz VXJRIYYNSYGHJP-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN CC(F)(F)CCCN1CCC(O)(c2ccccc2Cl)CC1 ZINC001333481203 1054156137 /nfs/dbraw/zinc/15/61/37/1054156137.db2.gz BNVXVCVDCJWAQI-UHFFFAOYSA-N 0 3 317.807 4.059 20 0 DIADHN COc1ncc(CN(C)Cc2ccc(C)cc2)c2ccccc21 ZINC001232949201 1054156069 /nfs/dbraw/zinc/15/60/69/1054156069.db2.gz JPLROWYGXPNNPB-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3ccc4ncoc4c3)C2)c1 ZINC001232945420 1054156106 /nfs/dbraw/zinc/15/61/06/1054156106.db2.gz RWQAHVVWHAXUJP-HNNXBMFYSA-N 0 3 312.800 4.471 20 0 DIADHN COc1ncc(CN(C)Cc2cccc(C)c2)c2ccccc21 ZINC001232947604 1054156836 /nfs/dbraw/zinc/15/68/36/1054156836.db2.gz RUNKNJNYPMBXDQ-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN c1ccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccc(C3CC3)cc2)cc1 ZINC001232983948 1054165238 /nfs/dbraw/zinc/16/52/38/1054165238.db2.gz VMMUHWGUJPRHDD-VXKWHMMOSA-N 0 3 318.464 4.023 20 0 DIADHN Cc1cc(F)ncc1CN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC001333694203 1054166704 /nfs/dbraw/zinc/16/67/04/1054166704.db2.gz UZZYTGAQHYGXEP-ZMZPIMSZSA-N 0 3 302.368 4.081 20 0 DIADHN c1cncc(OC2CCN(Cc3ccc(C4CC4)cc3)CC2)c1 ZINC001232983522 1054166930 /nfs/dbraw/zinc/16/69/30/1054166930.db2.gz OVKYYCPHWHADMZ-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN CCC[N@H+](Cc1cc([O-])cc(Br)c1)[C@H](C)CC ZINC001232995192 1054168536 /nfs/dbraw/zinc/16/85/36/1054168536.db2.gz BDMFTHLAXFZLSU-LLVKDONJSA-N 0 3 300.240 4.165 20 0 DIADHN CCC[N@@H+](Cc1cc([O-])cc(Br)c1)[C@H](C)CC ZINC001232995192 1054168538 /nfs/dbraw/zinc/16/85/38/1054168538.db2.gz BDMFTHLAXFZLSU-LLVKDONJSA-N 0 3 300.240 4.165 20 0 DIADHN Cc1ncc(F)cc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001232990813 1054168619 /nfs/dbraw/zinc/16/86/19/1054168619.db2.gz SAMNRCYXKXPMGF-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cnc(C)cc2C)CC1 ZINC001233005236 1054172098 /nfs/dbraw/zinc/17/20/98/1054172098.db2.gz FZIFJGRMONHAAL-UHFFFAOYSA-N 0 3 320.480 4.487 20 0 DIADHN [O-]c1cnc(Cl)cc1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001233033077 1054173033 /nfs/dbraw/zinc/17/30/33/1054173033.db2.gz AMCIAVRWJWLXQF-NSHDSACASA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@@H+]1CC[C@H](c2ccccc2Cl)C1 ZINC001233033077 1054173042 /nfs/dbraw/zinc/17/30/42/1054173042.db2.gz AMCIAVRWJWLXQF-NSHDSACASA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@H+]1CC[C@H](c2ccccc2Cl)C1 ZINC001233033077 1054173051 /nfs/dbraw/zinc/17/30/51/1054173051.db2.gz AMCIAVRWJWLXQF-NSHDSACASA-N 0 3 323.223 4.084 20 0 DIADHN CCOC(=O)c1ccsc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001233020935 1054174136 /nfs/dbraw/zinc/17/41/36/1054174136.db2.gz WNLBNNIGNHCFQB-UKRRQHHQSA-N 0 3 307.459 4.079 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)Cc1cc(Cl)ncc1[O-] ZINC001233039257 1054174369 /nfs/dbraw/zinc/17/43/69/1054174369.db2.gz RMRSRXUFKCEFMX-JTQLQIEISA-N 0 3 311.212 4.287 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cc(Cl)ncc1[O-] ZINC001233039257 1054174374 /nfs/dbraw/zinc/17/43/74/1054174374.db2.gz RMRSRXUFKCEFMX-JTQLQIEISA-N 0 3 311.212 4.287 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cc(Cl)ncc1[O-] ZINC001233039257 1054174380 /nfs/dbraw/zinc/17/43/80/1054174380.db2.gz RMRSRXUFKCEFMX-JTQLQIEISA-N 0 3 311.212 4.287 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cc(Cl)ncc1[O-] ZINC001233039230 1054174606 /nfs/dbraw/zinc/17/46/06/1054174606.db2.gz QTGBAIAOSBGUPV-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CC[N@H+](Cc1ccc(Cl)cc1)Cc1cc(Cl)ncc1[O-] ZINC001233039230 1054174613 /nfs/dbraw/zinc/17/46/13/1054174613.db2.gz QTGBAIAOSBGUPV-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CC[N@@H+](Cc1ccc(Cl)cc1)Cc1cc(Cl)ncc1[O-] ZINC001233039230 1054174615 /nfs/dbraw/zinc/17/46/15/1054174615.db2.gz QTGBAIAOSBGUPV-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN CC1CC(N(C)Cc2cnn(-c3cccc(C(F)(F)F)c3)c2)C1 ZINC001233038422 1054174895 /nfs/dbraw/zinc/17/48/95/1054174895.db2.gz PETXPJPEWDHKCI-UHFFFAOYSA-N 0 3 323.362 4.121 20 0 DIADHN COCc1csc(CN2CCC[C@H]2c2cccc(OC)c2)c1 ZINC001333924555 1054175231 /nfs/dbraw/zinc/17/52/31/1054175231.db2.gz RNRHIWBHMJIFHR-SFHVURJKSA-N 0 3 317.454 4.240 20 0 DIADHN CN(CCC1CC1)Cc1cnn(-c2cccc(C(F)(F)F)c2)c1 ZINC001233042473 1054177841 /nfs/dbraw/zinc/17/78/41/1054177841.db2.gz QHEGASKPMABMTO-UHFFFAOYSA-N 0 3 323.362 4.123 20 0 DIADHN Cc1c([C@H](C)NCc2cc(Cl)cc3ccccc32)cnn1C ZINC001334058393 1054180119 /nfs/dbraw/zinc/18/01/19/1054180119.db2.gz UZWWJUGHLYVFNT-LBPRGKRZSA-N 0 3 313.832 4.386 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@@H](c1cnn(C)c1)C(C)C ZINC001334422622 1054190068 /nfs/dbraw/zinc/19/00/68/1054190068.db2.gz JJPAKLUCTOWXKK-CXAGYDPISA-N 0 3 303.475 4.190 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3cnc(C)c(C)c3)C2)cc1 ZINC001233164666 1054194020 /nfs/dbraw/zinc/19/40/20/1054194020.db2.gz YPFWBCZRCFCANO-FQEVSTJZSA-N 0 3 310.441 4.050 20 0 DIADHN COc1cc(Br)cc(CN2CCC[C@@H]2C(C)C)c1 ZINC001233218509 1054205290 /nfs/dbraw/zinc/20/52/90/1054205290.db2.gz PGPKRRRJNCDSIC-OAHLLOKOSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@@H]1CN(Cc2cccc(Oc3ccc(F)cc3)c2)CCCO1 ZINC001233208343 1054200214 /nfs/dbraw/zinc/20/02/14/1054200214.db2.gz ZVBJAVNJIBWFBN-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN COc1cc(Br)cc(CN2CCC[C@H]2C(C)C)c1 ZINC001233218507 1054204588 /nfs/dbraw/zinc/20/45/88/1054204588.db2.gz PGPKRRRJNCDSIC-HNNXBMFYSA-N 0 3 312.251 4.078 20 0 DIADHN CC(=O)C1CCN(Cc2ccc(-c3cccc(C)c3)cc2)CC1 ZINC001233224328 1054208967 /nfs/dbraw/zinc/20/89/67/1054208967.db2.gz BEKIDGWQTHOYLH-UHFFFAOYSA-N 0 3 307.437 4.463 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3ccc(C)c(O)c3)C2)cc1 ZINC001233233876 1054209010 /nfs/dbraw/zinc/20/90/10/1054209010.db2.gz TXZGKQZAZGWBII-IBGZPJMESA-N 0 3 311.425 4.052 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](Oc3ccccc3C)C2)cc1O ZINC001233233388 1054209095 /nfs/dbraw/zinc/20/90/95/1054209095.db2.gz HORZGKMXAOKJJC-GOSISDBHSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H](NC1CC2(C1)CO[C@H](C)C2)c1nc(C(C)(C)C)cs1 ZINC001335209783 1054209812 /nfs/dbraw/zinc/20/98/12/1054209812.db2.gz DPZRAGNXFFOONQ-ZHEUNLNZSA-N 0 3 308.491 4.049 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)cc1O ZINC001233234066 1054213490 /nfs/dbraw/zinc/21/34/90/1054213490.db2.gz KBWQNTDQQJVYMZ-MRXNPFEDSA-N 0 3 301.817 4.344 20 0 DIADHN COc1ccccc1C1CCN(Cc2ccc(C)c(O)c2)CC1 ZINC001233234341 1054214666 /nfs/dbraw/zinc/21/46/66/1054214666.db2.gz SRJPCGPJIWTVTN-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN Cc1ccc(Cl)cc1CN1CCC(Cc2ccncc2)CC1 ZINC001233250387 1054215218 /nfs/dbraw/zinc/21/52/18/1054215218.db2.gz IQLKBDRTXADAIK-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN O=C(C[C@@H]1CCCN1CCCC(F)(F)F)c1ccc(F)cc1 ZINC001335394635 1054215942 /nfs/dbraw/zinc/21/59/42/1054215942.db2.gz YKVFNCMUBWYAIN-AWEZNQCLSA-N 0 3 317.326 4.205 20 0 DIADHN Cc1cnsc1CN1CCC(Cc2ccc(F)cc2F)CC1 ZINC001233321972 1054231844 /nfs/dbraw/zinc/23/18/44/1054231844.db2.gz MGJFSCPTQLKFMK-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN CC[C@@H](C(=O)N1CCCC[C@H]1c1ccc(C(C)C)cc1)N(C)C ZINC000622472050 1054241347 /nfs/dbraw/zinc/24/13/47/1054241347.db2.gz QMWYNGHBDIPSEY-OALUTQOASA-N 0 3 316.489 4.204 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2cc3cccnc3s2)C1 ZINC001233350209 1054245081 /nfs/dbraw/zinc/24/50/81/1054245081.db2.gz YSWAAWWVCQZZQW-MRXNPFEDSA-N 0 3 322.433 4.001 20 0 DIADHN CC(C)N(Cc1cnc2cccc(Cl)n12)Cc1ccccc1 ZINC001233355614 1054247994 /nfs/dbraw/zinc/24/79/94/1054247994.db2.gz DZRUBUZPWIVXPO-UHFFFAOYSA-N 0 3 313.832 4.398 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1c(Cl)cccc1SC ZINC001233353354 1054248301 /nfs/dbraw/zinc/24/83/01/1054248301.db2.gz QYXRTCYJFXJDPN-FUNVUKJBSA-N 0 3 311.878 4.204 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)c(OC)c2)cc1C ZINC001336872164 1054248695 /nfs/dbraw/zinc/24/86/95/1054248695.db2.gz HWZVTBASBCRCLR-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc2cccc(Cl)n12 ZINC001233358476 1054249081 /nfs/dbraw/zinc/24/90/81/1054249081.db2.gz ILWWEKXZZVDJFJ-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN CSc1cccc(Cl)c1CN(CCCCCO)C(C)C ZINC001233353338 1054249955 /nfs/dbraw/zinc/24/99/55/1054249955.db2.gz QFDNXHJUPKULBX-UHFFFAOYSA-N 0 3 315.910 4.435 20 0 DIADHN Nc1ccc(CN2CCC(c3cccc(O)c3)CC2)c(Cl)c1 ZINC001233377439 1054251150 /nfs/dbraw/zinc/25/11/50/1054251150.db2.gz FCJLSFVXPHTNFH-UHFFFAOYSA-N 0 3 316.832 4.007 20 0 DIADHN Nc1ccc(CN2CCC(c3ccc(O)cc3)CC2)c(Cl)c1 ZINC001233377329 1054251866 /nfs/dbraw/zinc/25/18/66/1054251866.db2.gz ZSAPZIWWQSJKDU-UHFFFAOYSA-N 0 3 316.832 4.007 20 0 DIADHN COc1ccc(C[C@H](C)N(C)Cc2cnc(C(C)C)s2)cc1 ZINC001337026965 1054253723 /nfs/dbraw/zinc/25/37/23/1054253723.db2.gz ZMQRTXHFCQIGPS-AWEZNQCLSA-N 0 3 318.486 4.338 20 0 DIADHN Nc1cc(F)c(F)cc1CN1CCC[C@@H](c2ccccc2)CC1 ZINC001233381580 1054254639 /nfs/dbraw/zinc/25/46/39/1054254639.db2.gz KHBMFQZTVFSTMJ-OAHLLOKOSA-N 0 3 316.395 4.317 20 0 DIADHN Nc1cc(F)c(F)cc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC001233380962 1054257845 /nfs/dbraw/zinc/25/78/45/1054257845.db2.gz KNEZOZZVNONHMM-HNNXBMFYSA-N 0 3 316.395 4.002 20 0 DIADHN CO[C@@H](CN[C@@H]1CCc2c1cc(F)cc2F)c1ccc(F)cc1 ZINC001337156335 1054258212 /nfs/dbraw/zinc/25/82/12/1054258212.db2.gz JLODEKLRTGVRFQ-MSOLQXFVSA-N 0 3 321.342 4.069 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2c(Cl)cccc2N(C)C)CC1 ZINC001337239837 1054259155 /nfs/dbraw/zinc/25/91/55/1054259155.db2.gz RQLMUOHKBJPJGU-OAHLLOKOSA-N 0 3 324.896 4.187 20 0 DIADHN COc1ccccc1C1(N[C@H](C)c2ccc3c(c2)CCO3)CCC1 ZINC001337306638 1054263581 /nfs/dbraw/zinc/26/35/81/1054263581.db2.gz AWJNHNRVVVJDJU-OAHLLOKOSA-N 0 3 323.436 4.360 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]c(Br)c2)cc1C ZINC001233438303 1054273542 /nfs/dbraw/zinc/27/35/42/1054273542.db2.gz LAAXIESSQTXRJF-UHFFFAOYSA-N 0 3 307.235 4.026 20 0 DIADHN C[Si](C)(C)CN(Cc1ccccc1)Cc1cncc(Cl)n1 ZINC001233449543 1054276489 /nfs/dbraw/zinc/27/64/89/1054276489.db2.gz IHMJSZKEGMOTBZ-UHFFFAOYSA-N 0 3 319.912 4.010 20 0 DIADHN Fc1cccc(-n2ccc(CN3CC[C@H](c4ccccc4)C3)n2)c1 ZINC000622588184 1054296758 /nfs/dbraw/zinc/29/67/58/1054296758.db2.gz OOXYPYXXZXKGMX-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN O=C(c1cccc2c1CNC2)N1CCCCC12CCCCCC2 ZINC001356239414 1054306791 /nfs/dbraw/zinc/30/67/91/1054306791.db2.gz RRWJWQHKBICTKS-UHFFFAOYSA-N 0 3 312.457 4.009 20 0 DIADHN Cc1cc(O[C@@H]2CN3CCC2CC3)ccc1OCc1ccccc1 ZINC001233603915 1054309936 /nfs/dbraw/zinc/30/99/36/1054309936.db2.gz NQAIWLIBTQDMPC-OAQYLSRUSA-N 0 3 323.436 4.047 20 0 DIADHN CC(C)CCCCC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001356331230 1054318942 /nfs/dbraw/zinc/31/89/42/1054318942.db2.gz RLCDQLWMMWVHEF-PKOBYXMFSA-N 0 3 316.489 4.155 20 0 DIADHN CC[C@@H](N[C@@H](CCOC)COC)c1ccc(Cl)cc1Cl ZINC000336834713 1054319550 /nfs/dbraw/zinc/31/95/50/1054319550.db2.gz RWPKITNHTUTNED-SWLSCSKDSA-N 0 3 320.260 4.086 20 0 DIADHN Clc1cc(O[C@H]2CN3CCC2CC3)cc(Cl)c1Cl ZINC001233671610 1054328134 /nfs/dbraw/zinc/32/81/34/1054328134.db2.gz VEHVPGZQAOYKMZ-LBPRGKRZSA-N 0 3 306.620 4.120 20 0 DIADHN COc1cc(CN2CCC(Nc3ccccc3)CC2)ccc1C ZINC001339288397 1054332504 /nfs/dbraw/zinc/33/25/04/1054332504.db2.gz QXURHFKBGCRVKF-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN CCCc1noc(C2CCN(Cc3cc(C)c(C)s3)CC2)n1 ZINC001233691707 1054333693 /nfs/dbraw/zinc/33/36/93/1054333693.db2.gz FMQJGTJNSMPPJJ-UHFFFAOYSA-N 0 3 319.474 4.080 20 0 DIADHN CC[C@H](NCc1ccc(-c2cnn(C)c2)cc1)c1ccc(F)cc1 ZINC001339603683 1054341708 /nfs/dbraw/zinc/34/17/08/1054341708.db2.gz HVRIHYCOPSPMQY-FQEVSTJZSA-N 0 3 323.415 4.467 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1ccc(OC(F)F)cc1 ZINC000171128128 1054347854 /nfs/dbraw/zinc/34/78/54/1054347854.db2.gz WZTCLEDOZATOHG-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN Clc1ccc(C[C@H](NCc2c[nH]cn2)c2ccccc2)cc1 ZINC000171132172 1054348332 /nfs/dbraw/zinc/34/83/32/1054348332.db2.gz JPIMQOHRKFGWIB-SFHVURJKSA-N 0 3 311.816 4.137 20 0 DIADHN Clc1ccc(C[C@H](NCc2cnc[nH]2)c2ccccc2)cc1 ZINC000171132172 1054348343 /nfs/dbraw/zinc/34/83/43/1054348343.db2.gz JPIMQOHRKFGWIB-SFHVURJKSA-N 0 3 311.816 4.137 20 0 DIADHN CCc1ccc([C@H](CNC(=O)CCCCC(C)C)N(C)C)cc1 ZINC001440670355 1054363428 /nfs/dbraw/zinc/36/34/28/1054363428.db2.gz HEUAZCNZCGVVEB-IBGZPJMESA-N 0 3 318.505 4.184 20 0 DIADHN Cc1ccc(CCN2CCC(C(=O)c3cccs3)CC2)cc1 ZINC001340889579 1054370726 /nfs/dbraw/zinc/37/07/26/1054370726.db2.gz BBOQCFAXEIIBHR-UHFFFAOYSA-N 0 3 313.466 4.194 20 0 DIADHN CCCC[C@H](C(=O)Nc1cc(CN(C)C)ccc1OC)C(C)C ZINC000622781459 1054384324 /nfs/dbraw/zinc/38/43/24/1054384324.db2.gz UMZDGGWSACWXRB-INIZCTEOSA-N 0 3 320.477 4.158 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccccc1CF)c1ccccc1 ZINC001341394243 1054385408 /nfs/dbraw/zinc/38/54/08/1054385408.db2.gz XUHTUYCWOOVBEB-GOSISDBHSA-N 0 3 301.405 4.006 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@@H](C)CN1CCCCC1 ZINC001441177690 1054385548 /nfs/dbraw/zinc/38/55/48/1054385548.db2.gz PPZQDXZMNJHYCU-HKUYNNGSSA-N 0 3 310.526 4.364 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001441323995 1054389105 /nfs/dbraw/zinc/38/91/05/1054389105.db2.gz YZLJUSWTKRHBBS-AEFFLSMTSA-N 0 3 324.534 4.464 20 0 DIADHN CC(C)[C@H](C)N(Cc1csc(-c2ccccn2)n1)C1CC1 ZINC000171883273 1054394814 /nfs/dbraw/zinc/39/48/14/1054394814.db2.gz HVIVLPATUOMKIJ-ZDUSSCGKSA-N 0 3 301.459 4.214 20 0 DIADHN COc1ccc(CN2C[C@H]3CCCC[C@]32c2ccccc2)cc1O ZINC001341605474 1054400164 /nfs/dbraw/zinc/40/01/64/1054400164.db2.gz XBRPOFJCZAFEJM-NQIIRXRSSA-N 0 3 323.436 4.302 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1c[nH]c(=O)c2ccccc12 ZINC001234007947 1054412809 /nfs/dbraw/zinc/41/28/09/1054412809.db2.gz NPALMDWAJKYNEV-UHFFFAOYSA-N 0 3 310.372 4.102 20 0 DIADHN Cc1cccc([C@H](NC(=O)[C@H]2CCCN2C(C)C)C(C)(C)C)c1 ZINC001357138659 1054423467 /nfs/dbraw/zinc/42/34/67/1054423467.db2.gz XICJJSOHSGDGLW-MSOLQXFVSA-N 0 3 316.489 4.071 20 0 DIADHN Cc1ncc(CN(C)C[C@@H]2CCCO[C@@H]2c2ccccc2)s1 ZINC000622836698 1054428619 /nfs/dbraw/zinc/42/86/19/1054428619.db2.gz KMBRCLXQCWOXSD-FUHWJXTLSA-N 0 3 316.470 4.051 20 0 DIADHN CCCC[C@@H](C(=O)N(CC(C)C)C1CCN(C)CC1)C(C)C ZINC000622873329 1054445091 /nfs/dbraw/zinc/44/50/91/1054445091.db2.gz KMIXXIMVIDRCOF-GOSISDBHSA-N 0 3 310.526 4.028 20 0 DIADHN COc1ccccc1N(C)c1ccc(CN2CC3(CCC3)C2)cc1 ZINC001234189257 1054448453 /nfs/dbraw/zinc/44/84/53/1054448453.db2.gz FAHCLBFJVSIUNM-UHFFFAOYSA-N 0 3 322.452 4.449 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC(c2ccncc2)CC1 ZINC001343666098 1054450274 /nfs/dbraw/zinc/45/02/74/1054450274.db2.gz WRIDEPANGYSKQY-UHFFFAOYSA-N 0 3 319.408 4.022 20 0 DIADHN Clc1sccc1CNCCc1coc(-c2ccccc2)n1 ZINC001343586168 1054450469 /nfs/dbraw/zinc/45/04/69/1054450469.db2.gz XIIHNDRVNRMVLE-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN FC(F)(F)c1ccc(Cl)cc1OC1CCN(C2CC2)CC1 ZINC001234192887 1054450590 /nfs/dbraw/zinc/45/05/90/1054450590.db2.gz FWFJPXXLADSDIF-UHFFFAOYSA-N 0 3 319.754 4.364 20 0 DIADHN CCOc1ccccc1OCCN[C@@H](C)c1cc(C)oc1C ZINC000336855029 1054451484 /nfs/dbraw/zinc/45/14/84/1054451484.db2.gz UEUOSJSPGYPRHV-AWEZNQCLSA-N 0 3 303.402 4.025 20 0 DIADHN COc1ccc2c(c1)nccc2-n1c(C)cc2ccc(N)cc21 ZINC001203530058 1054454243 /nfs/dbraw/zinc/45/42/43/1054454243.db2.gz ODONKZXPVUQTTQ-UHFFFAOYSA-N 0 3 303.365 4.078 20 0 DIADHN c1[nH]c(CNCCCCc2ccccc2)nc1-c1ccccc1 ZINC001343923220 1054456852 /nfs/dbraw/zinc/45/68/52/1054456852.db2.gz NHHDQBOHFYTMNW-UHFFFAOYSA-N 0 3 305.425 4.189 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)C(C)(C)c1ccccc1 ZINC000671245373 1054459035 /nfs/dbraw/zinc/45/90/35/1054459035.db2.gz APLRFDYSGZIWSJ-UHFFFAOYSA-N 0 3 305.425 4.305 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC2(CCOCC2)CC1 ZINC000671252679 1054463863 /nfs/dbraw/zinc/46/38/63/1054463863.db2.gz ZAXLKSBZIHFSRV-ZDUSSCGKSA-N 0 3 311.828 4.433 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cc(C)nc(Cl)c2)C1(C)C ZINC001473258843 1054464145 /nfs/dbraw/zinc/46/41/45/1054464145.db2.gz AMJCDOWUBXDXEW-CVEARBPZSA-N 0 3 324.896 4.459 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1ccccc1F ZINC001234238543 1054467222 /nfs/dbraw/zinc/46/72/22/1054467222.db2.gz MBYKGPAEDOAMHP-LBPRGKRZSA-N 0 3 321.367 4.175 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1OC1C[C@@H](C)C[C@H](C)C1 ZINC001234244749 1054471067 /nfs/dbraw/zinc/47/10/67/1054471067.db2.gz SCPLYVQEGKWJEH-STQMWFEESA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H]1CCCC(C)(C)C1 ZINC001234242813 1054472583 /nfs/dbraw/zinc/47/25/83/1054472583.db2.gz CTTDXTVQDUAWNF-AWEZNQCLSA-N 0 3 309.425 4.244 20 0 DIADHN CSc1cccc(O[C@H]2CCN(Cc3ccccc3)C2)c1F ZINC001234254374 1054475923 /nfs/dbraw/zinc/47/59/23/1054475923.db2.gz BNRNMWGKPZSDTI-HNNXBMFYSA-N 0 3 317.429 4.201 20 0 DIADHN C[C@H](NCc1ccc(CO)c(F)c1)c1ccc(C(C)(C)C)cc1 ZINC000173347498 1054480410 /nfs/dbraw/zinc/48/04/10/1054480410.db2.gz BMZRIBIICLYJQE-AWEZNQCLSA-N 0 3 315.432 4.466 20 0 DIADHN CC[C@@H](C)C[C@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001444694532 1054485507 /nfs/dbraw/zinc/48/55/07/1054485507.db2.gz OTEOIWJRSKDPCJ-SJORKVTESA-N 0 3 316.489 4.227 20 0 DIADHN Cc1cnc([C@H](N[C@@H]2C[C@@H]2c2cccc(F)c2)C2CC2)s1 ZINC001345270156 1054492272 /nfs/dbraw/zinc/49/22/72/1054492272.db2.gz USPWHSDTPJAIQN-BZUAXINKSA-N 0 3 302.418 4.187 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CN[C@@H](C)c2cccc(C)c2)c1 ZINC000173936920 1054498440 /nfs/dbraw/zinc/49/84/40/1054498440.db2.gz ZTNIHKTWUWYDPK-IRXDYDNUSA-N 0 3 324.468 4.374 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@@H](C)c2cccc(C)c2)cc1 ZINC000173918646 1054498492 /nfs/dbraw/zinc/49/84/92/1054498492.db2.gz HKZOAEFQEHFAIN-KRWDZBQOSA-N 0 3 324.468 4.376 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(C)c2)cc1OC(F)F ZINC000173908018 1054498668 /nfs/dbraw/zinc/49/86/68/1054498668.db2.gz NEGSWZSDKVNDIQ-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN CC(=O)c1cc(O[C@H]2CN(Cc3ccccc3)C[C@@H]2C)ccc1C ZINC001234319374 1054499724 /nfs/dbraw/zinc/49/97/24/1054499724.db2.gz IHSPSXUKJQPFLI-KKSFZXQISA-N 0 3 323.436 4.097 20 0 DIADHN CCOc1ccc([C@H]2CCCN2C[C@H](C)C(F)(F)F)cc1 ZINC000441169596 1054504994 /nfs/dbraw/zinc/50/49/94/1054504994.db2.gz KHLFFCRUDGWALU-SWLSCSKDSA-N 0 3 301.352 4.421 20 0 DIADHN Cc1ccc(OC2CCN(C[C@@H](C)C(F)(F)F)CC2)cc1 ZINC000441164705 1054506108 /nfs/dbraw/zinc/50/61/08/1054506108.db2.gz HIMAETRFSGTDOY-CYBMUJFWSA-N 0 3 301.352 4.037 20 0 DIADHN CCCCCC(C)(C)CNC(=O)[C@H](C(C)C)N1CCCCC1 ZINC001445527241 1054509537 /nfs/dbraw/zinc/50/95/37/1054509537.db2.gz JBIVOQYEAFFRFE-KRWDZBQOSA-N 0 3 310.526 4.220 20 0 DIADHN CN1CCc2c(cccc2O[C@@H](c2ccccc2)C(F)(F)F)C1 ZINC001234419735 1054517288 /nfs/dbraw/zinc/51/72/88/1054517288.db2.gz FKOGJWWOTUOOAU-KRWDZBQOSA-N 0 3 321.342 4.357 20 0 DIADHN C[C@@H](Oc1cccc2c1CCN(C)C2)c1c(F)cccc1F ZINC001234420089 1054517859 /nfs/dbraw/zinc/51/78/59/1054517859.db2.gz JZYGVSNRZQZLAG-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3ccc(F)cc32)cc1F ZINC000174983567 1054519491 /nfs/dbraw/zinc/51/94/91/1054519491.db2.gz XFIQSKOTUNBBLC-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN CN1CCc2c(cccc2O[C@H]2CCc3cc(Cl)ccc32)C1 ZINC001234425532 1054520727 /nfs/dbraw/zinc/52/07/27/1054520727.db2.gz WWXNFEBINDRMEL-IBGZPJMESA-N 0 3 313.828 4.394 20 0 DIADHN CCC(C)(C)c1ccc(COC(=O)C23CCCN2CCC3)cc1 ZINC001357688479 1054524877 /nfs/dbraw/zinc/52/48/77/1054524877.db2.gz AVCGLCSEJAKFQW-UHFFFAOYSA-N 0 3 315.457 4.046 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H]2CCc3ccc(C)cc32)cc1Cl ZINC000671297804 1054529688 /nfs/dbraw/zinc/52/96/88/1054529688.db2.gz MYXJBZAVSMFJTP-SJKOYZFVSA-N 0 3 316.832 4.390 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000072775503 1054532531 /nfs/dbraw/zinc/53/25/31/1054532531.db2.gz RCHDZPRCACSONT-CJNGLKHVSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1ccnc(-c2nnc([C@H](C)[NH2+][C@H](C)CCC(C)(C)C)[n-]2)c1 ZINC000671300203 1054536995 /nfs/dbraw/zinc/53/69/95/1054536995.db2.gz RLZCKTFJNDILFA-KGLIPLIRSA-N 0 3 315.465 4.041 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccnc(OC(F)F)c1 ZINC000671302688 1054540751 /nfs/dbraw/zinc/54/07/51/1054540751.db2.gz OVRQMWAWOSSURK-YVEFUNNKSA-N 0 3 318.367 4.096 20 0 DIADHN CC(C)CC[C@H](NCc1ncnn1-c1ccccc1)C(C)(C)C ZINC001168528184 1054549487 /nfs/dbraw/zinc/54/94/87/1054549487.db2.gz BWQYYXBPTSJWQS-KRWDZBQOSA-N 0 3 314.477 4.208 20 0 DIADHN Clc1ccc(C2=CC[C@H](N3CCc4n[nH]cc4C3)CC2)cc1 ZINC000623243749 1054551826 /nfs/dbraw/zinc/55/18/26/1054551826.db2.gz NBBCYDVJISQNKM-KRWDZBQOSA-N 0 3 313.832 4.057 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(Cl)c(OC)c1 ZINC000623347601 1054567614 /nfs/dbraw/zinc/56/76/14/1054567614.db2.gz FREJLIYGWBVMCX-UHFFFAOYSA-N 0 3 319.832 4.175 20 0 DIADHN c1coc([C@H]2N(Cc3cccc4ccoc43)CC23CCOCC3)c1 ZINC001347471801 1054570280 /nfs/dbraw/zinc/57/02/80/1054570280.db2.gz HLOLEAQTJUVAGM-LJQANCHMSA-N 0 3 323.392 4.380 20 0 DIADHN CCOCc1ccc(CNCc2ccc(Cl)c(OC)c2)cc1 ZINC000623355032 1054571316 /nfs/dbraw/zinc/57/13/16/1054571316.db2.gz UVXBRPYPYMOMHZ-UHFFFAOYSA-N 0 3 319.832 4.175 20 0 DIADHN CC(C)(C(=O)NC1CCC2(CCCCC2)CC1)N1CCCCC1 ZINC001447747121 1054571880 /nfs/dbraw/zinc/57/18/80/1054571880.db2.gz MKRXEMCNDBKRIA-UHFFFAOYSA-N 0 3 320.521 4.260 20 0 DIADHN COc1ccc(CN2CCC3(CC(F)C3)CC2)c(OC(C)C)c1 ZINC001234695990 1054579362 /nfs/dbraw/zinc/57/93/62/1054579362.db2.gz INNUJKOVHVODPM-UHFFFAOYSA-N 0 3 321.436 4.197 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(C)ccc32)cc1OC1CC1 ZINC000623381988 1054582461 /nfs/dbraw/zinc/58/24/61/1054582461.db2.gz RHCUJCWPNMLPAY-LJQANCHMSA-N 0 3 323.436 4.322 20 0 DIADHN COc1cc(CN[C@H]2CCCc3occc32)c2ccccc2n1 ZINC000192907083 1054586858 /nfs/dbraw/zinc/58/68/58/1054586858.db2.gz ZHEFDGRURLZRGK-INIZCTEOSA-N 0 3 308.381 4.004 20 0 DIADHN Clc1ccsc1CN[C@H](CN1CCCC1)c1ccccc1 ZINC000192991598 1054591587 /nfs/dbraw/zinc/59/15/87/1054591587.db2.gz JBRSSHFKCTXNPC-MRXNPFEDSA-N 0 3 320.889 4.328 20 0 DIADHN COc1ccc(O)c([C@@H](C)NCc2cccc(Cl)c2F)c1 ZINC000185594408 1054591799 /nfs/dbraw/zinc/59/17/99/1054591799.db2.gz OVRGPMAWKXSDKY-SNVBAGLBSA-N 0 3 309.768 4.044 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNCCC[C@H](C)O)cc1Cl ZINC000623510475 1054607998 /nfs/dbraw/zinc/60/79/98/1054607998.db2.gz ZTXQAFKYIMKAFA-NSHDSACASA-N 0 3 320.260 4.031 20 0 DIADHN COc1ccc(CNCc2ccccc2COC(C)C)c(C)c1 ZINC000921499944 1054622533 /nfs/dbraw/zinc/62/25/33/1054622533.db2.gz AKAMBNMVCRPETG-UHFFFAOYSA-N 0 3 313.441 4.218 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1csc(C(F)(F)F)c1 ZINC000623562832 1054623785 /nfs/dbraw/zinc/62/37/85/1054623785.db2.gz DWJGSCDYUMZXRQ-LBPRGKRZSA-N 0 3 307.381 4.062 20 0 DIADHN CN1CCN(C(=O)CCC2CCCCCC2)CC12CCCCC2 ZINC001449905207 1054632817 /nfs/dbraw/zinc/63/28/17/1054632817.db2.gz ZTJAEZQCZQIVRV-UHFFFAOYSA-N 0 3 320.521 4.214 20 0 DIADHN CCOc1ccc(CN[C@H](c2ccccn2)C2CCC2)c(C)c1 ZINC000623638923 1054633360 /nfs/dbraw/zinc/63/33/60/1054633360.db2.gz WXQXDAAAGQRBBB-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001449904394 1054633431 /nfs/dbraw/zinc/63/34/31/1054633431.db2.gz WZFZNXXKUBFIEL-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN C[C@@H](NCCOc1ccc2ccccc2c1)c1cncc(F)c1 ZINC000177768250 1054635871 /nfs/dbraw/zinc/63/58/71/1054635871.db2.gz FIVZYPPEZOTOGM-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN C[C@H](NCCc1cccnc1)c1nc(-c2ccccc2)cs1 ZINC000177861531 1054635942 /nfs/dbraw/zinc/63/59/42/1054635942.db2.gz DFRFCJIEPPCGIS-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN CC(C)n1cc([C@H](C)NCCOc2ccc3ccccc3c2)cn1 ZINC000177768343 1054636253 /nfs/dbraw/zinc/63/62/53/1054636253.db2.gz NMZNMFZBQQIVIF-INIZCTEOSA-N 0 3 323.440 4.347 20 0 DIADHN Clc1ccc([C@H](N[C@@H]2CCCOC2)c2cccs2)cc1 ZINC000177898223 1054636415 /nfs/dbraw/zinc/63/64/15/1054636415.db2.gz IREQIOTWQVQGJT-ZBFHGGJFSA-N 0 3 307.846 4.260 20 0 DIADHN Clc1ccc([C@@H](N[C@@H]2CCCOC2)c2cccs2)cc1 ZINC000177898216 1054636779 /nfs/dbraw/zinc/63/67/79/1054636779.db2.gz IREQIOTWQVQGJT-GDBMZVCRSA-N 0 3 307.846 4.260 20 0 DIADHN C/C(=C\C(=O)Nc1ccccc1CN(C)C)c1ccccc1C ZINC001450217996 1054641436 /nfs/dbraw/zinc/64/14/36/1054641436.db2.gz GVYAZYCDYWAXKZ-DTQAZKPQSA-N 0 3 308.425 4.099 20 0 DIADHN Fc1ccccc1-c1cc(CN2CCC[C@H](C(F)F)C2)c[nH]1 ZINC001235039427 1054643278 /nfs/dbraw/zinc/64/32/78/1054643278.db2.gz QADUGKQYGNWFLS-ZDUSSCGKSA-N 0 3 308.347 4.298 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](OCCC(C)C)CC2)cs1 ZINC000623678371 1054646540 /nfs/dbraw/zinc/64/65/40/1054646540.db2.gz IMNRWMOUWFQDMM-KOMQPUFPSA-N 0 3 310.507 4.169 20 0 DIADHN CCC[C@H](NCc1ccc(OC)c(F)c1)c1ccc(OC)cc1 ZINC000178529712 1054653713 /nfs/dbraw/zinc/65/37/13/1054653713.db2.gz OMXSRHYAAKRMQR-SFHVURJKSA-N 0 3 317.404 4.474 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)c2cn(C)nc2C)cc1 ZINC000178621494 1054654543 /nfs/dbraw/zinc/65/45/43/1054654543.db2.gz KRSWJTWWPJKYKV-OLZOCXBDSA-N 0 3 303.475 4.252 20 0 DIADHN Cc1cc(NC(=O)CN2[C@H](C)CC[C@@H]2C)ccc1-c1ccccc1 ZINC001450719052 1054659168 /nfs/dbraw/zinc/65/91/68/1054659168.db2.gz XQLYGRYCVQIJOD-CALCHBBNSA-N 0 3 322.452 4.473 20 0 DIADHN CN(C)Cc1ccc(NC(=O)/C(F)=C/C2CCCCC2)c(F)c1 ZINC001451378391 1054675217 /nfs/dbraw/zinc/67/52/17/1054675217.db2.gz DPBUEXLURAFJOP-YBEGLDIGSA-N 0 3 322.399 4.260 20 0 DIADHN Cc1cccc([C@@H](NCc2cnnn2-c2ccccc2)C(C)C)c1 ZINC000623730895 1054676001 /nfs/dbraw/zinc/67/60/01/1054676001.db2.gz CDJRZFBIWMQVMJ-FQEVSTJZSA-N 0 3 320.440 4.063 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)cc1)c1ccccc1N(C)C ZINC000179398272 1054677513 /nfs/dbraw/zinc/67/75/13/1054677513.db2.gz ZQFSQCMPGYRLSB-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN C[C@H](NCc1ccc(OC(F)F)cc1)c1ccccc1N(C)C ZINC000179398265 1054677655 /nfs/dbraw/zinc/67/76/55/1054677655.db2.gz ZQFSQCMPGYRLSB-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN CN(Cc1cc(-c2ccsc2)cs1)C[C@H]1CCCCO1 ZINC001235187653 1054678334 /nfs/dbraw/zinc/67/83/34/1054678334.db2.gz YTLDMYZTSREVLG-OAHLLOKOSA-N 0 3 307.484 4.478 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C)c(C)s1 ZINC000179370569 1054678907 /nfs/dbraw/zinc/67/89/07/1054678907.db2.gz LAGMOTOXFMWPMY-UONOGXRCSA-N 0 3 316.470 4.351 20 0 DIADHN CC(C)(C)N1CCN(Cc2cc(-c3ccsc3)cs2)CC1 ZINC001235187484 1054679118 /nfs/dbraw/zinc/67/91/18/1054679118.db2.gz XRHLBKQFCUYPQB-UHFFFAOYSA-N 0 3 320.527 4.393 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3cc4cccc(F)c4[nH]3)C2)c1 ZINC001235248769 1054692440 /nfs/dbraw/zinc/69/24/40/1054692440.db2.gz JODSWMDFVFJWPW-HNNXBMFYSA-N 0 3 312.363 4.436 20 0 DIADHN CCc1cccc(CN2CCC(Cc3cccc(F)c3)CC2)n1 ZINC001235264620 1054695131 /nfs/dbraw/zinc/69/51/31/1054695131.db2.gz OJSARQSHYRRSPN-UHFFFAOYSA-N 0 3 312.432 4.238 20 0 DIADHN C[C@]1(CNCc2csc(-c3ccsc3)n2)C[C@H]2C[C@H]2C1 ZINC000623741391 1054697100 /nfs/dbraw/zinc/69/71/00/1054697100.db2.gz PJDHCYODTNSPOJ-VIKVFOODSA-N 0 3 304.484 4.397 20 0 DIADHN CCCCC[C@H](C(=O)N1CCN(C(C)(C)CC)CC1)C(C)C ZINC001452656774 1054710709 /nfs/dbraw/zinc/71/07/09/1054710709.db2.gz LNAMYSNINHXQII-KRWDZBQOSA-N 0 3 310.526 4.172 20 0 DIADHN Clc1cccc(C2=CCN(C[C@@H]3CC[C@@H](C4CC4)O3)CC2)c1 ZINC001473436959 1054714012 /nfs/dbraw/zinc/71/40/12/1054714012.db2.gz VCKLEERKXUITNN-OALUTQOASA-N 0 3 317.860 4.387 20 0 DIADHN CC(C)CC[C@H](NCc1nnc(-c2ccco2)o1)C(C)(C)C ZINC000179901219 1054714223 /nfs/dbraw/zinc/71/42/23/1054714223.db2.gz KWVRTEUSLYLXJB-AWEZNQCLSA-N 0 3 305.422 4.270 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3nc4c(o3)CCCC4)C2)cc1 ZINC001473433593 1054714400 /nfs/dbraw/zinc/71/44/00/1054714400.db2.gz WQLGESBELHNUFZ-RDJZCZTQSA-N 0 3 310.441 4.240 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1CCCC2(CC(F)C2)CC1 ZINC001235433183 1054717545 /nfs/dbraw/zinc/71/75/45/1054717545.db2.gz OWRKJFSGROSLAF-UHFFFAOYSA-N 0 3 320.452 4.281 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC(C2CCCCC2)CC1 ZINC001452934679 1054722656 /nfs/dbraw/zinc/72/26/56/1054722656.db2.gz XEFRMVGLUBXLLU-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CO[C@@H](C3CC3)C2)o1 ZINC000623752513 1054722791 /nfs/dbraw/zinc/72/27/91/1054722791.db2.gz HDOZVHMJMHGBOK-IFXJQAMLSA-N 0 3 315.388 4.051 20 0 DIADHN CC(C)n1cncc1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC000623752029 1054723598 /nfs/dbraw/zinc/72/35/98/1054723598.db2.gz WYLIBWNMQZELQY-KRWDZBQOSA-N 0 3 303.837 4.285 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CO[C@H](C3CC3)C2)o1 ZINC000623752514 1054724122 /nfs/dbraw/zinc/72/41/22/1054724122.db2.gz HDOZVHMJMHGBOK-KUHUBIRLSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H](c3ccccn3)C2)c1 ZINC001235528889 1054736429 /nfs/dbraw/zinc/73/64/29/1054736429.db2.gz DMQLRZXQJODUIC-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2cc(C)cc(Cl)c2)C[C@@H]1C ZINC001235541674 1054741194 /nfs/dbraw/zinc/74/11/94/1054741194.db2.gz WUJNYMSEKKQBLZ-HOCLYGCPSA-N 0 3 323.864 4.060 20 0 DIADHN C[C@@H](N[C@@H](C)[C@H]1CCC[C@@H](C)C1)c1nnnn1C1CCCCC1 ZINC001473553602 1054743304 /nfs/dbraw/zinc/74/33/04/1054743304.db2.gz LQIJFDYYZCZNSV-QXSJWSMHSA-N 0 3 319.497 4.044 20 0 DIADHN COc1ccccc1[C@H](NCc1cncc(C)c1)C1CCCC1 ZINC000180207390 1054744495 /nfs/dbraw/zinc/74/44/95/1054744495.db2.gz UDDVSRYHIJBUTC-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CCC1)c1ccc2c(c1)OCCO2 ZINC000180349034 1054750279 /nfs/dbraw/zinc/75/02/79/1054750279.db2.gz JEFPOKVZSDJSRW-OAHLLOKOSA-N 0 3 309.409 4.188 20 0 DIADHN Cc1ccnc(CN2CCC[C@H]2Cc2ccc(F)cc2)c1Cl ZINC001235593638 1054750685 /nfs/dbraw/zinc/75/06/85/1054750685.db2.gz WFXAKSSUNXZFFS-INIZCTEOSA-N 0 3 318.823 4.390 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@H]2CCC[C@H]21 ZINC001235627668 1054757178 /nfs/dbraw/zinc/75/71/78/1054757178.db2.gz AJJBTXMCSUXSOA-GDBMZVCRSA-N 0 3 304.434 4.036 20 0 DIADHN CSc1ccccc1CN(Cc1ccccn1)CC(C)C ZINC001473818376 1054758518 /nfs/dbraw/zinc/75/85/18/1054758518.db2.gz WAFBQMGXKYYOQF-UHFFFAOYSA-N 0 3 300.471 4.462 20 0 DIADHN COc1ccc(CNCC2(C(F)(F)F)CCCC2)c(F)c1 ZINC000623787881 1054761772 /nfs/dbraw/zinc/76/17/72/1054761772.db2.gz FKGIJDDXSDAABX-UHFFFAOYSA-N 0 3 305.315 4.047 20 0 DIADHN Cc1cncc(Br)c1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001235646667 1054762204 /nfs/dbraw/zinc/76/22/04/1054762204.db2.gz ILRRCPTVKYZCDH-KGLIPLIRSA-N 0 3 323.278 4.165 20 0 DIADHN FC(F)(F)CCN(Cc1cnc(-c2ccccc2)[nH]1)CC1CC1 ZINC000180537365 1054767046 /nfs/dbraw/zinc/76/70/46/1054767046.db2.gz QGPPMBLPEVZAOQ-UHFFFAOYSA-N 0 3 323.362 4.241 20 0 DIADHN CCCn1cc(CNC(C)(C)c2ccc3ccccc3c2)cn1 ZINC000623803774 1054775206 /nfs/dbraw/zinc/77/52/06/1054775206.db2.gz QEFBQWGDNLTMJS-UHFFFAOYSA-N 0 3 307.441 4.471 20 0 DIADHN CCCn1nccc1CNC(C)(C)c1ccc2ccccc2c1 ZINC000623803424 1054775271 /nfs/dbraw/zinc/77/52/71/1054775271.db2.gz FHXXCYLBXOBTKX-UHFFFAOYSA-N 0 3 307.441 4.471 20 0 DIADHN Cc1cnc(CNCCc2c[nH]c3cc(F)c(Cl)cc23)s1 ZINC000623800313 1054775408 /nfs/dbraw/zinc/77/54/08/1054775408.db2.gz DMJPNNBMRPFFPY-UHFFFAOYSA-N 0 3 323.824 4.058 20 0 DIADHN CN(C)c1ncc(CN[C@@H]2CCCc3ccc(Cl)cc32)s1 ZINC000623832262 1054784011 /nfs/dbraw/zinc/78/40/11/1054784011.db2.gz SSIOENVHRAJIJW-OAHLLOKOSA-N 0 3 321.877 4.030 20 0 DIADHN COc1ncc(CN(Cc2ccccc2)C2CCC2)cc1Cl ZINC001235704173 1054786001 /nfs/dbraw/zinc/78/60/01/1054786001.db2.gz FWRAYJHJYCBGKF-UHFFFAOYSA-N 0 3 316.832 4.298 20 0 DIADHN COc1ccc([C@H](NCc2cc3c(cccc3C)[nH]2)C2CC2)cn1 ZINC000623846245 1054786093 /nfs/dbraw/zinc/78/60/93/1054786093.db2.gz PNMWFLBGYWKKDI-HXUWFJFHSA-N 0 3 321.424 4.121 20 0 DIADHN Cc1csc([C@H](C)NCCCSc2ccc(F)cc2)n1 ZINC000623850541 1054786325 /nfs/dbraw/zinc/78/63/25/1054786325.db2.gz KDEBEXZPXXHMKS-LBPRGKRZSA-N 0 3 310.463 4.424 20 0 DIADHN CC(C)Oc1ccc(CCN[C@@H]2CCCc3scnc32)cc1 ZINC000623857563 1054788437 /nfs/dbraw/zinc/78/84/37/1054788437.db2.gz PVWXDXXNWBAAAX-MRXNPFEDSA-N 0 3 316.470 4.140 20 0 DIADHN CSc1cc(Br)ccc1CNC/C=C\C1CC1 ZINC001474155055 1054790893 /nfs/dbraw/zinc/79/08/93/1054790893.db2.gz CFBQDRXPNKGSOS-IHWYPQMZSA-N 0 3 312.276 4.227 20 0 DIADHN Cc1csc([C@H](C)NCCc2ccc(C(F)(F)F)cc2)n1 ZINC000623851810 1054795480 /nfs/dbraw/zinc/79/54/80/1054795480.db2.gz IMBUQUBIRSIWMV-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN Cc1csc([C@H](C)NCCCCOCCc2ccccc2)n1 ZINC000623867045 1054801744 /nfs/dbraw/zinc/80/17/44/1054801744.db2.gz PEGDQEVVOHAVCE-INIZCTEOSA-N 0 3 318.486 4.142 20 0 DIADHN Fc1cc(CN2CCC3(CC3)C2)ccc1OCc1ccccc1 ZINC001235765769 1054804005 /nfs/dbraw/zinc/80/40/05/1054804005.db2.gz QGLVDHDPPDEIDE-UHFFFAOYSA-N 0 3 311.400 4.391 20 0 DIADHN CCOc1cc(CN(C)[C@@H](C)c2ccc(OC)cc2)ccc1F ZINC001235803106 1054810042 /nfs/dbraw/zinc/81/00/42/1054810042.db2.gz JHZJEKVSZSPXNL-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN CC(C)[C@H](CN[C@@H]1CCCc2scnc21)Nc1ccccc1 ZINC000623889849 1054810402 /nfs/dbraw/zinc/81/04/02/1054810402.db2.gz XZGAHWJNDJIRFV-CVEARBPZSA-N 0 3 315.486 4.247 20 0 DIADHN COc1ccc(CCN[C@H]2CCCc3scnc32)c(Cl)c1 ZINC000623905917 1054814179 /nfs/dbraw/zinc/81/41/79/1054814179.db2.gz LOQOKKLACQYNEI-AWEZNQCLSA-N 0 3 322.861 4.015 20 0 DIADHN COc1ccc(C(C)(C)CN[C@H](C)c2nc(C)cs2)cc1 ZINC000623910854 1054815437 /nfs/dbraw/zinc/81/54/37/1054815437.db2.gz DIPZMNKDAALEDH-CYBMUJFWSA-N 0 3 304.459 4.089 20 0 DIADHN Cc1cc(CN2CCC[C@@H]3CCCC[C@@H]32)cnc1Br ZINC001235827344 1054816008 /nfs/dbraw/zinc/81/60/08/1054816008.db2.gz JPVBNYWWDIFZQR-GJZGRUSLSA-N 0 3 323.278 4.307 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1cccc(F)c1)c1cc(C)ccc1C ZINC000623915763 1054816948 /nfs/dbraw/zinc/81/69/48/1054816948.db2.gz QZVMBWBVGKIDIJ-MOPGFXCFSA-N 0 3 301.405 4.217 20 0 DIADHN Cc1nc2cc(CN(C)CCC(=O)c3ccccc3)ccc2s1 ZINC001235861750 1054826129 /nfs/dbraw/zinc/82/61/29/1054826129.db2.gz DWXMNUMQUNIZCC-UHFFFAOYSA-N 0 3 324.449 4.310 20 0 DIADHN Nc1c(F)cccc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001235866932 1054826527 /nfs/dbraw/zinc/82/65/27/1054826527.db2.gz JRLYTENZNGVCHV-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN Clc1cc(Cl)cc(CN2CCN[C@H](c3ccccc3)C2)c1 ZINC001235858172 1054826710 /nfs/dbraw/zinc/82/67/10/1054826710.db2.gz FAQYXMRFOASBPR-KRWDZBQOSA-N 0 3 321.251 4.140 20 0 DIADHN CCSc1cccc(CN2C[C@H](O)C[C@H]2c2ccccc2)c1 ZINC001474576183 1054826849 /nfs/dbraw/zinc/82/68/49/1054826849.db2.gz SZUBBIQOJKYHIF-MJGOQNOKSA-N 0 3 313.466 4.107 20 0 DIADHN Cc1coc(CN2CCC(Cc3cc(F)ccc3F)CC2)c1 ZINC001474576970 1054831521 /nfs/dbraw/zinc/83/15/21/1054831521.db2.gz XLRJBKOQBHXVHT-UHFFFAOYSA-N 0 3 305.368 4.321 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@@H]2CCCc3scnc32)CCO1 ZINC000623956638 1054834526 /nfs/dbraw/zinc/83/45/26/1054834526.db2.gz KWFSCDAGWXIUFU-ZNMIVQPWSA-N 0 3 308.491 4.094 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC000623961247 1054837294 /nfs/dbraw/zinc/83/72/94/1054837294.db2.gz XWDLCEDPJCPNAG-BPUTZDHNSA-N 0 3 302.443 4.022 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@@H]1CCCc2scnc21 ZINC000623961595 1054837519 /nfs/dbraw/zinc/83/75/19/1054837519.db2.gz IUGDJDATDAEWRZ-OAHLLOKOSA-N 0 3 312.438 4.407 20 0 DIADHN C[C@@H](NCCCC(C)(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000623990910 1054846671 /nfs/dbraw/zinc/84/66/71/1054846671.db2.gz RNEXRYCZDWQYMI-CYBMUJFWSA-N 0 3 307.388 4.173 20 0 DIADHN C[C@H](NCCCC(C)(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000623990911 1054847107 /nfs/dbraw/zinc/84/71/07/1054847107.db2.gz RNEXRYCZDWQYMI-ZDUSSCGKSA-N 0 3 307.388 4.173 20 0 DIADHN CC(C)N(C(=O)c1ccc(-c2cncc3cc[nH]c32)cc1)C(C)C ZINC001235921742 1054848653 /nfs/dbraw/zinc/84/86/53/1054848653.db2.gz RNQBWFXWKIRKAH-UHFFFAOYSA-N 0 3 321.424 4.489 20 0 DIADHN Cc1csc([C@@H](C)NCC2(c3ccccc3F)CCC2)n1 ZINC000624006940 1054853126 /nfs/dbraw/zinc/85/31/26/1054853126.db2.gz JCPVRWDMEHIPSZ-CYBMUJFWSA-N 0 3 304.434 4.363 20 0 DIADHN Cc1csc([C@H](C)NCC2(c3ccccc3F)CCC2)n1 ZINC000624006941 1054853354 /nfs/dbraw/zinc/85/33/54/1054853354.db2.gz JCPVRWDMEHIPSZ-ZDUSSCGKSA-N 0 3 304.434 4.363 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)N[C@@H]1CCCc2scnc21 ZINC000624010657 1054855314 /nfs/dbraw/zinc/85/53/14/1054855314.db2.gz URNJYWARXGENMX-LSDHHAIUSA-N 0 3 304.434 4.271 20 0 DIADHN Cc1cccc([C@@H](NCc2cnc(C(F)(F)F)nc2)C(C)C)c1 ZINC000624010826 1054855679 /nfs/dbraw/zinc/85/56/79/1054855679.db2.gz YQUOXBFQSPBDCO-HNNXBMFYSA-N 0 3 323.362 4.291 20 0 DIADHN CC[C@@H](NCc1cnc(C(F)(F)F)nc1)c1cc(C)ccc1C ZINC000624010504 1054855784 /nfs/dbraw/zinc/85/57/84/1054855784.db2.gz QWDTTYBMPFBIJL-OAHLLOKOSA-N 0 3 323.362 4.353 20 0 DIADHN CC[C@H](NC[C@H](n1cccn1)C(F)(F)F)c1ccc(C)cc1 ZINC000624042040 1054864953 /nfs/dbraw/zinc/86/49/53/1054864953.db2.gz RGPKAFBEJNFRMI-GJZGRUSLSA-N 0 3 311.351 4.036 20 0 DIADHN CCC[C@H](NC[C@@H](n1cccn1)C(F)(F)F)c1ccsc1 ZINC000624044613 1054865814 /nfs/dbraw/zinc/86/58/14/1054865814.db2.gz TXJAQHBMZNTCFS-QWHCGFSZSA-N 0 3 317.380 4.179 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)n1 ZINC000624066494 1054868609 /nfs/dbraw/zinc/86/86/09/1054868609.db2.gz NKUGDHZCCWGXSL-ISGRIPCHSA-N 0 3 304.846 4.094 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000624061860 1054869116 /nfs/dbraw/zinc/86/91/16/1054869116.db2.gz MHONXBACRLMLGI-UKRRQHHQSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)CC[C@H](CO)N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000624110840 1054879818 /nfs/dbraw/zinc/87/98/18/1054879818.db2.gz HLFNPQQPZPLTJD-QFBILLFUSA-N 0 3 312.457 4.196 20 0 DIADHN CCc1cc(C)nc(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236005309 1054890752 /nfs/dbraw/zinc/89/07/52/1054890752.db2.gz MYBKHDXJZWYOSH-UHFFFAOYSA-N 0 3 313.420 4.139 20 0 DIADHN Cn1ccc2ccnc(-c3cccc(CN4CCCCC4)c3F)c21 ZINC001236006201 1054890980 /nfs/dbraw/zinc/89/09/80/1054890980.db2.gz RJEHASNXZYHLLA-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1nc2ccc(-c3cccc(CN4CCCCC4)c3F)nc2[nH]1 ZINC001236003675 1054892577 /nfs/dbraw/zinc/89/25/77/1054892577.db2.gz CUCVXYJKDOLZNI-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Fc1cnc(F)c(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236011505 1054895077 /nfs/dbraw/zinc/89/50/77/1054895077.db2.gz IMHQOFVKWZBQBR-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cn1ccc2ncc(-c3cccc(CN4CCCCC4)c3F)cc21 ZINC001236014525 1054896548 /nfs/dbraw/zinc/89/65/48/1054896548.db2.gz FALKYBUJIZGVQP-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN COc1cc(-c2cccc(CN3CCCCC3)c2F)cnc1C ZINC001236012214 1054896686 /nfs/dbraw/zinc/89/66/86/1054896686.db2.gz OITKSYAEIMWCKG-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc(F)ncc1-c1cccc(CN2CCCCC2)c1F ZINC001236012128 1054897977 /nfs/dbraw/zinc/89/79/77/1054897977.db2.gz KRSCVPPXQZCVAJ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC(C)c1ncc(-c2cccc(CN3CCCCC3)c2F)cn1 ZINC001236016919 1054901817 /nfs/dbraw/zinc/90/18/17/1054901817.db2.gz HGUSCZWWHZUBNC-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccoc1 ZINC000181248196 1054908625 /nfs/dbraw/zinc/90/86/25/1054908625.db2.gz OVVDBVUMMHOMFK-AAEUAGOBSA-N 0 3 308.784 4.002 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001350307630 1054908507 /nfs/dbraw/zinc/90/85/07/1054908507.db2.gz XODSGIUTEOOTHG-IBGZPJMESA-N 0 3 308.425 4.165 20 0 DIADHN Cc1c(Br)cccc1CN[C@@H](C)c1ccncc1 ZINC000181594068 1054921605 /nfs/dbraw/zinc/92/16/05/1054921605.db2.gz RYSQEXVLCSVDCZ-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1ccc(-c2ccccc2)cn1 ZINC000624183699 1054922010 /nfs/dbraw/zinc/92/20/10/1054922010.db2.gz ZYZXSSWRAVELNM-YTKSKTJISA-N 0 3 310.441 4.211 20 0 DIADHN c1c([C@H]2CNCCO2)cn2c1cccc2-c1cccc(C2CC2)c1 ZINC001236085623 1054935111 /nfs/dbraw/zinc/93/51/11/1054935111.db2.gz WJTSMOAWFPXAOV-OAQYLSRUSA-N 0 3 318.420 4.145 20 0 DIADHN Cc1cc(OC(C)C)cc(C)c1-c1ccc2c(c1)COC21CNC1 ZINC001236089886 1054935992 /nfs/dbraw/zinc/93/59/92/1054935992.db2.gz YISPJAMWQFJMRB-UHFFFAOYSA-N 0 3 323.436 4.086 20 0 DIADHN CCC[C@@H](NCc1ccc(C(=O)OC)c(F)c1)c1ccccc1 ZINC000181766503 1054936566 /nfs/dbraw/zinc/93/65/66/1054936566.db2.gz ZZDPDNVHCLKTDU-GOSISDBHSA-N 0 3 315.388 4.243 20 0 DIADHN COc1ccc([C@@H](C)NCc2cncc(C)c2)c2ccccc12 ZINC000181835147 1054942704 /nfs/dbraw/zinc/94/27/04/1054942704.db2.gz DBNHEBUZIBTSSS-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Nc1nc2cc(-c3cc(C(F)(F)F)ccc3Cl)ccc2[nH]1 ZINC001236098856 1054943050 /nfs/dbraw/zinc/94/30/50/1054943050.db2.gz FHKYRQVIABDJFJ-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2ccc(-c3cc(C(F)(F)F)ccc3Cl)cc2[nH]1 ZINC001236098856 1054943059 /nfs/dbraw/zinc/94/30/59/1054943059.db2.gz FHKYRQVIABDJFJ-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN C[C@H](NCCn1cccn1)c1cc2c(ccc3ccccc23)o1 ZINC000181922211 1054946430 /nfs/dbraw/zinc/94/64/30/1054946430.db2.gz NJCIVDUNEWNAES-AWEZNQCLSA-N 0 3 305.381 4.133 20 0 DIADHN CCc1ccc(CN[C@H]2CCO[C@H]2c2ccc(Cl)cc2)o1 ZINC000182110185 1054961402 /nfs/dbraw/zinc/96/14/02/1054961402.db2.gz QBVCUXGFOZKWOX-IRXDYDNUSA-N 0 3 305.805 4.115 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3cc(Cl)ccc32)cc1 ZINC000182185569 1054967101 /nfs/dbraw/zinc/96/71/01/1054967101.db2.gz CSHQTYLRWHNTRE-QGZVFWFLSA-N 0 3 317.816 4.352 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCc3ccc(Cl)cc32)ccn1 ZINC000624219907 1054968615 /nfs/dbraw/zinc/96/86/15/1054968615.db2.gz CGDJZTFLOKZORE-SJCJKPOMSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC000624220303 1054972786 /nfs/dbraw/zinc/97/27/86/1054972786.db2.gz PNYWRLNBJYTQHF-XIKOKIGWSA-N 0 3 315.848 4.475 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000359802959 1054991532 /nfs/dbraw/zinc/99/15/32/1054991532.db2.gz QVZKZGIFDUIAIP-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN COc1ncc(CN2CCC[C@H]2c2c[nH]c3ccccc32)cc1C ZINC001236192210 1054991771 /nfs/dbraw/zinc/99/17/71/1054991771.db2.gz OMHYYOWGSSTEQM-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN CC[C@H](NCCOc1ccccc1Cl)c1c(C)noc1C ZINC000182508179 1054993840 /nfs/dbraw/zinc/99/38/40/1054993840.db2.gz AVLMVMWFVKGYNT-AWEZNQCLSA-N 0 3 308.809 4.065 20 0 DIADHN CC[C@@H](NCCOc1ccc(SC)cc1)c1c(C)noc1C ZINC000182511667 1054994306 /nfs/dbraw/zinc/99/43/06/1054994306.db2.gz LPWIVECNRSCWGS-MRXNPFEDSA-N 0 3 320.458 4.133 20 0 DIADHN CN(C)Cc1cncc(-c2cc(Cl)cc(C(F)(F)F)c2)c1 ZINC001236203684 1054997919 /nfs/dbraw/zinc/99/79/19/1054997919.db2.gz FNMDVDOYVPSVOY-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CN(C)Cc1cccc(-c2cc(Cl)cc(C(F)(F)F)c2)n1 ZINC001236203404 1054997962 /nfs/dbraw/zinc/99/79/62/1054997962.db2.gz PAGGTACQJDLPGN-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CC(C)[C@H](NCc1ccc[nH]c1=O)c1cccc(C(F)(F)F)c1 ZINC000624258194 1055006771 /nfs/dbraw/zinc/00/67/71/1055006771.db2.gz CAKLCSWJYFMSOQ-HNNXBMFYSA-N 0 3 324.346 4.293 20 0 DIADHN c1ccc2c(c1)sc1c2cccc1-c1ncnc2c1CCNC2 ZINC001236225570 1055017785 /nfs/dbraw/zinc/01/77/85/1055017785.db2.gz JZJSPTFZWPZRLZ-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN CC(C)[C@H](NCc1cccc2[nH]c(=O)oc21)c1ccc(F)cc1 ZINC000921511104 1055017828 /nfs/dbraw/zinc/01/78/28/1055017828.db2.gz BSXSAGBIDPKBIS-INIZCTEOSA-N 0 3 314.360 4.159 20 0 DIADHN c1ccc2c(c1)sc1c2cccc1-c1ncc2c(n1)CCNC2 ZINC001236226525 1055017981 /nfs/dbraw/zinc/01/79/81/1055017981.db2.gz UXMVZJNFISAFMO-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cc(C)cc(C)c2)s1 ZINC000182924490 1055018572 /nfs/dbraw/zinc/01/85/72/1055018572.db2.gz RJMKTUVTFZHYPT-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN Fc1cc(-c2ccc3cccnc3n2)ccc1CN1CCCCC1 ZINC001236232300 1055021640 /nfs/dbraw/zinc/02/16/40/1055021640.db2.gz MEFGNCBNKHUZQG-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COCc1cc(CN[C@H]2CCCc3cc(F)ccc32)ccc1F ZINC000182996156 1055022128 /nfs/dbraw/zinc/02/21/28/1055022128.db2.gz OCLUCSXAUAHNIC-IBGZPJMESA-N 0 3 317.379 4.278 20 0 DIADHN Fc1cc(-c2ncnc3occc32)ccc1CN1CCCCC1 ZINC001236235025 1055024878 /nfs/dbraw/zinc/02/48/78/1055024878.db2.gz RUDDTBNKCOVSKN-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN C[C@H](NCCCc1ccc(Cl)cc1Cl)c1nccn1C ZINC000183064930 1055027756 /nfs/dbraw/zinc/02/77/56/1055027756.db2.gz CHCVGIZZCIYMRX-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN Fc1cc(-c2ccc3[nH]ccc3n2)ccc1CN1CCCCC1 ZINC001236235168 1055028187 /nfs/dbraw/zinc/02/81/87/1055028187.db2.gz WZYSZXHCJFXCGT-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN COc1c(F)nccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236249242 1055030770 /nfs/dbraw/zinc/03/07/70/1055030770.db2.gz QHMGPZDFDCLJPQ-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)n1 ZINC001236249264 1055031696 /nfs/dbraw/zinc/03/16/96/1055031696.db2.gz QQNHKLMULGUWFK-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Nc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001236248527 1055031940 /nfs/dbraw/zinc/03/19/40/1055031940.db2.gz HTRYGSXZJRUISG-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN COc1cnc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236247899 1055032247 /nfs/dbraw/zinc/03/22/47/1055032247.db2.gz APKBFMFSXFDDNG-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2N[C@@H](C)c1cccc(CO)c1 ZINC000183229245 1055035161 /nfs/dbraw/zinc/03/51/61/1055035161.db2.gz RKKSBNDBOZIWEE-KBXCAEBGSA-N 0 3 313.441 4.445 20 0 DIADHN CN(Cc1ccc(C2=CC[C@H](C(F)(F)F)CC2)nc1)C1CC1 ZINC001236252271 1055035541 /nfs/dbraw/zinc/03/55/41/1055035541.db2.gz OXKTXZDODFALOO-AWEZNQCLSA-N 0 3 310.363 4.422 20 0 DIADHN C[C@H](CN(C)Cc1cccc2c1OC(C)(C)C2)c1nccs1 ZINC000183258275 1055038114 /nfs/dbraw/zinc/03/81/14/1055038114.db2.gz XDEJHKBJLCTFHL-CYBMUJFWSA-N 0 3 316.470 4.092 20 0 DIADHN Cc1nc2ccccc2nc1CNC1(c2ccccc2)CCCC1 ZINC000183543500 1055050438 /nfs/dbraw/zinc/05/04/38/1055050438.db2.gz JUEZJFVRVPHALB-UHFFFAOYSA-N 0 3 317.436 4.497 20 0 DIADHN CSCCCCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000183618528 1055051622 /nfs/dbraw/zinc/05/16/22/1055051622.db2.gz HSTZVIVAMIGDEV-QGZVFWFLSA-N 0 3 304.434 4.043 20 0 DIADHN Nc1ccc(C2CCN(Cc3cc(Cl)cs3)CC2)cc1 ZINC001236334953 1055062491 /nfs/dbraw/zinc/06/24/91/1055062491.db2.gz JGAIXRGBMUEJGD-UHFFFAOYSA-N 0 3 306.862 4.363 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(c3ccc(N)cc3)CC2)[nH]1 ZINC001236336671 1055063498 /nfs/dbraw/zinc/06/34/98/1055063498.db2.gz SZYISHWYLIDYHV-UHFFFAOYSA-N 0 3 311.473 4.274 20 0 DIADHN CCC[C@H](N[C@H](C)C[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000184282214 1055072652 /nfs/dbraw/zinc/07/26/52/1055072652.db2.gz PUFNJXMCYWFHTD-UOTLPGLASA-N 0 3 322.301 4.191 20 0 DIADHN Cc1csc([C@@H](C)NCCCOc2cccc(Cl)c2)n1 ZINC000184144162 1055067971 /nfs/dbraw/zinc/06/79/71/1055067971.db2.gz OETFACUMVMLNHH-GFCCVEGCSA-N 0 3 310.850 4.225 20 0 DIADHN CC[C@@H](NCCCOCCc1ccccc1)c1nc(C)cs1 ZINC000184403882 1055075951 /nfs/dbraw/zinc/07/59/51/1055075951.db2.gz RDUAGITZPUZEJW-QGZVFWFLSA-N 0 3 318.486 4.142 20 0 DIADHN NCc1ccc(-c2ccc(C(F)(F)F)cc2C(F)(F)F)cn1 ZINC001236380435 1055085304 /nfs/dbraw/zinc/08/53/04/1055085304.db2.gz QOWLSVMLMLYXGH-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN Cc1cnc([C@@H](NCCSc2ccc(F)cc2)C2CC2)s1 ZINC000185316733 1055090953 /nfs/dbraw/zinc/09/09/53/1055090953.db2.gz RUQBEKWLRPTYOP-HNNXBMFYSA-N 0 3 322.474 4.424 20 0 DIADHN CSc1ncc(C)cc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001238709193 1055118902 /nfs/dbraw/zinc/11/89/02/1055118902.db2.gz GSMPVCFKPUELOR-UHFFFAOYSA-N 0 3 312.429 4.123 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](c1ccccc1)C1CC1 ZINC000194552692 1055129550 /nfs/dbraw/zinc/12/95/50/1055129550.db2.gz BHJFZYYPWYBOCG-OAQYLSRUSA-N 0 3 322.452 4.237 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC2(c3ccccc3)CCC2)c(C)c1 ZINC000194554058 1055129684 /nfs/dbraw/zinc/12/96/84/1055129684.db2.gz LBUZJHYKSKSZAE-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN CCOc1cccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236469484 1055129848 /nfs/dbraw/zinc/12/98/48/1055129848.db2.gz JKNXPSNBKHQUGA-MOPGFXCFSA-N 0 3 320.436 4.301 20 0 DIADHN Cc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ncc1F ZINC001236468686 1055130178 /nfs/dbraw/zinc/13/01/78/1055130178.db2.gz AKDYSDNUBZPFBT-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN COc1cnc(F)cc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236471866 1055131412 /nfs/dbraw/zinc/13/14/12/1055131412.db2.gz HSISJYVSGWQDDC-SJORKVTESA-N 0 3 324.399 4.050 20 0 DIADHN COc1nccc(C)c1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236473059 1055131920 /nfs/dbraw/zinc/13/19/20/1055131920.db2.gz CIQHQQULEKYJTC-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN Cc1cc(F)ncc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236471763 1055132172 /nfs/dbraw/zinc/13/21/72/1055132172.db2.gz FMRGWUWDBBNMCZ-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN COc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1F ZINC001236473732 1055133221 /nfs/dbraw/zinc/13/32/21/1055133221.db2.gz GKYYTCRRALLUFX-SJORKVTESA-N 0 3 324.399 4.050 20 0 DIADHN COc1ncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1F ZINC001236473827 1055133886 /nfs/dbraw/zinc/13/38/86/1055133886.db2.gz HSENOJCNJSKGMN-MSOLQXFVSA-N 0 3 324.399 4.050 20 0 DIADHN COc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(C)n1 ZINC001236476691 1055135500 /nfs/dbraw/zinc/13/55/00/1055135500.db2.gz ZKLHGJZASNEARS-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN Cc1ncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1F ZINC001236476439 1055135628 /nfs/dbraw/zinc/13/56/28/1055135628.db2.gz XHOYLBNIXKECFG-MOPGFXCFSA-N 0 3 308.400 4.349 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(OC)cccc32)cc1F ZINC000194839983 1055137275 /nfs/dbraw/zinc/13/72/75/1055137275.db2.gz WAXQMOLQZXIWGJ-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN COC[C@H](N[C@@H]1CCCc2c(OC)cccc21)c1ccccc1 ZINC000194924013 1055138947 /nfs/dbraw/zinc/13/89/47/1055138947.db2.gz WDMJZLFOHZOMTC-MOPGFXCFSA-N 0 3 311.425 4.050 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000194941974 1055139377 /nfs/dbraw/zinc/13/93/77/1055139377.db2.gz WVXNTXRTYPURLT-GOSISDBHSA-N 0 3 315.388 4.010 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000194968876 1055141552 /nfs/dbraw/zinc/14/15/52/1055141552.db2.gz XEAAOKPORRUAFJ-RBUKOAKNSA-N 0 3 323.436 4.494 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1cc(Cl)ccc1OC(F)F)OC ZINC000381109618 1055149972 /nfs/dbraw/zinc/14/99/72/1055149972.db2.gz BWIXJCISBWJWLM-YGRLFVJLSA-N 0 3 321.795 4.092 20 0 DIADHN COc1ccc([C@@H](NC[C@@H](OC)C(C)C)c2ccccc2)cc1 ZINC000381679016 1055174595 /nfs/dbraw/zinc/17/45/95/1055174595.db2.gz BIWOYUICIMQCKH-UXHICEINSA-N 0 3 313.441 4.045 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@@H]3CCC[C@@H]3C2)cnc1Cl ZINC001236630596 1055206510 /nfs/dbraw/zinc/20/65/10/1055206510.db2.gz ZIDLYSSLLSBXKL-NWDGAFQWSA-N 0 3 318.770 4.376 20 0 DIADHN COC(=O)c1cccc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)c1Cl ZINC000382288501 1055207500 /nfs/dbraw/zinc/20/75/00/1055207500.db2.gz ZZLXFYOIQJAECP-GJZGRUSLSA-N 0 3 321.848 4.137 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@H](Cc3ccccc3)C2)n1 ZINC001236639737 1055208701 /nfs/dbraw/zinc/20/87/01/1055208701.db2.gz RTVPLOAKLMKQQG-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000764557836 1055209515 /nfs/dbraw/zinc/20/95/15/1055209515.db2.gz CENUZPVJNWIZBG-MAUKXSAKSA-N 0 3 307.393 4.331 20 0 DIADHN CCC[C@@]1(NCc2ccc(-c3ccc(F)cc3)o2)CCOC1 ZINC000382368423 1055211991 /nfs/dbraw/zinc/21/19/91/1055211991.db2.gz YURFYCKTMQFYGZ-GOSISDBHSA-N 0 3 303.377 4.135 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(Cl)cc(C)n3)C2)cc1 ZINC001236646562 1055212351 /nfs/dbraw/zinc/21/23/51/1055212351.db2.gz JEWGLBBURLEDIG-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)cc1)c1ccnc(Cl)c1 ZINC000764606636 1055218228 /nfs/dbraw/zinc/21/82/28/1055218228.db2.gz FRAPXLBVHQJQLW-SNVBAGLBSA-N 0 3 312.747 4.187 20 0 DIADHN COc1cccc(Br)c1CN1CCC2(CCC2)CC1 ZINC001236670747 1055222376 /nfs/dbraw/zinc/22/23/76/1055222376.db2.gz VDCRVBMPYJCEGB-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN COc1cccc(Br)c1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001236675520 1055225082 /nfs/dbraw/zinc/22/50/82/1055225082.db2.gz YFONKWPULZWRIU-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN CCN(CCN[C@H](C)c1cccnc1Cl)c1cccc(C)c1 ZINC000764615741 1055224264 /nfs/dbraw/zinc/22/42/64/1055224264.db2.gz LZFVDSFXTUWERM-OAHLLOKOSA-N 0 3 317.864 4.221 20 0 DIADHN Cc1c(F)ccc(CN2CC[C@@H](c3ccncc3)C2)c1Cl ZINC001236711992 1055235753 /nfs/dbraw/zinc/23/57/53/1055235753.db2.gz PIYYGJRBZGVWSV-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccc(OC(F)F)cc2F)CC1 ZINC001236719099 1055239154 /nfs/dbraw/zinc/23/91/54/1055239154.db2.gz QRTLDLMWSCOVEF-OAHLLOKOSA-N 0 3 305.315 4.141 20 0 DIADHN Cc1c(F)ccc(CN2CCC[C@@H]2Cc2ccccn2)c1Cl ZINC001236719806 1055240256 /nfs/dbraw/zinc/24/02/56/1055240256.db2.gz UGORHUOKFQZROW-MRXNPFEDSA-N 0 3 318.823 4.390 20 0 DIADHN CCCCC[C@@H](CC)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001587215225 1055241501 /nfs/dbraw/zinc/24/15/01/1055241501.db2.gz RKPZVTIWVVVZDU-AEFFLSMTSA-N 0 3 304.478 4.012 20 0 DIADHN COc1cc(CN(C)Cc2cccc3ccoc32)cc(OC)c1 ZINC001236733137 1055243762 /nfs/dbraw/zinc/24/37/62/1055243762.db2.gz RMRJKBPNFDBSJM-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(Cl)cc(C(=O)OC)c1 ZINC001236764938 1055250374 /nfs/dbraw/zinc/25/03/74/1055250374.db2.gz YJGOKILTENOQLW-UHFFFAOYSA-N 0 3 317.816 4.149 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001537269670 1055270221 /nfs/dbraw/zinc/27/02/21/1055270221.db2.gz CMBNPCGPWAVCSX-FUHWJXTLSA-N 0 3 316.489 4.112 20 0 DIADHN CCOc1cncc(CN2CCC(C)(c3ccccc3)CC2)c1 ZINC001236842085 1055289542 /nfs/dbraw/zinc/28/95/42/1055289542.db2.gz ZUPBAKMXEZHEIF-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN Cc1cccc(CN2CCC[C@H](c3ccccn3)C2)c1Cl ZINC001236852873 1055293357 /nfs/dbraw/zinc/29/33/57/1055293357.db2.gz FSHXKXXJDXZQKM-HNNXBMFYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1cccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)c1Cl ZINC001236855936 1055293486 /nfs/dbraw/zinc/29/34/86/1055293486.db2.gz ODPYRKZRGIDFCQ-IRXDYDNUSA-N 0 3 324.871 4.047 20 0 DIADHN CCCN(Cc1nc2ccccc2cc1Cl)C1CCOCC1 ZINC001236879105 1055302434 /nfs/dbraw/zinc/30/24/34/1055302434.db2.gz ZHNWDOINEOJOQI-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@@](O)(CNCc1cscc1Cl)c1cccc(Cl)c1 ZINC000764979659 1055303239 /nfs/dbraw/zinc/30/32/39/1055303239.db2.gz MXXHENBABDNMPD-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN Clc1cc(CN2CCCCC23CC3)ccc1-n1cccn1 ZINC001236899754 1055306991 /nfs/dbraw/zinc/30/69/91/1055306991.db2.gz AUZJFBRYPFPMKF-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1ccc(F)c3ccoc31)CC2 ZINC001236900943 1055307717 /nfs/dbraw/zinc/30/77/17/1055307717.db2.gz GAVSMDHBJLAYLB-UHFFFAOYSA-N 0 3 315.388 4.297 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(-n2cccn2)c(Cl)c1 ZINC001236895178 1055308101 /nfs/dbraw/zinc/30/81/01/1055308101.db2.gz OAGNTDAHNVWANQ-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN C[C@H]1CCN1Cc1ccc(-c2ccc(OC(F)(F)F)cc2)nc1 ZINC001236977598 1055320237 /nfs/dbraw/zinc/32/02/37/1055320237.db2.gz RHTIJPHAJVSQES-LBPRGKRZSA-N 0 3 322.330 4.241 20 0 DIADHN CCCN(CCOC)Cc1ccc(-c2cccc(C)c2C)nc1 ZINC001236982592 1055321063 /nfs/dbraw/zinc/32/10/63/1055321063.db2.gz VZNPGKXVRBTRQN-UHFFFAOYSA-N 0 3 312.457 4.224 20 0 DIADHN COCCN(Cc1ccc(-c2cccc(C)c2C)nc1)C(C)C ZINC001236992851 1055330237 /nfs/dbraw/zinc/33/02/37/1055330237.db2.gz JYUWAEPBPOZUND-UHFFFAOYSA-N 0 3 312.457 4.222 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cnn(-c3ccccc3)c2)c(C)c1 ZINC000765102869 1055330319 /nfs/dbraw/zinc/33/03/19/1055330319.db2.gz TWQSYGHPPUEPTH-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(-c3cccc(C)c3C)nc2)C1 ZINC001236991357 1055330708 /nfs/dbraw/zinc/33/07/08/1055330708.db2.gz BOUQQOTXPIZDHG-IBGZPJMESA-N 0 3 324.468 4.366 20 0 DIADHN COCCC1CCN(Cc2cncc(-c3ccc(C)cc3)c2)CC1 ZINC001236995105 1055333569 /nfs/dbraw/zinc/33/35/69/1055333569.db2.gz ZEFFDQGBZYMISS-UHFFFAOYSA-N 0 3 324.468 4.306 20 0 DIADHN C[C@]1(CF)CCN(Cc2ccc(-c3ccc(F)cc3F)nc2)C1 ZINC001237003921 1055336872 /nfs/dbraw/zinc/33/68/72/1055336872.db2.gz KZHKXYQAJVUHNL-GOSISDBHSA-N 0 3 320.358 4.208 20 0 DIADHN C[C@H](NCCSC(F)(F)F)c1cc(F)c(F)c(F)c1 ZINC000765240913 1055345458 /nfs/dbraw/zinc/34/54/58/1055345458.db2.gz ZVSWQZMCDLAWIG-LURJTMIESA-N 0 3 303.271 4.008 20 0 DIADHN CNc1ccc(CN(C)Cc2cccc(OC(F)(F)F)c2)cc1 ZINC001237043623 1055350776 /nfs/dbraw/zinc/35/07/76/1055350776.db2.gz RQXWYUMMUJCVJT-UHFFFAOYSA-N 0 3 324.346 4.259 20 0 DIADHN CCOc1ccc(CNCc2ccc(OC)c(Cl)c2)cc1 ZINC000765278849 1055352969 /nfs/dbraw/zinc/35/29/69/1055352969.db2.gz CNJNLINBCFSBDL-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc1Cl ZINC000765297828 1055358669 /nfs/dbraw/zinc/35/86/69/1055358669.db2.gz RISGDJHRIRUCPF-CHWSQXEVSA-N 0 3 319.832 4.253 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc(C)c1F ZINC000765304185 1055360467 /nfs/dbraw/zinc/36/04/67/1055360467.db2.gz JDMPTKLFFDDESO-HUUCEWRRSA-N 0 3 301.405 4.347 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H](O)c2cccc(F)c2)c2ccsc2S1 ZINC000765361380 1055371492 /nfs/dbraw/zinc/37/14/92/1055371492.db2.gz MYLMODAUUFGSGC-VQISRLSMSA-N 0 3 323.458 4.136 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(C4CCC4)cc3)CC2)no1 ZINC001237111662 1055374718 /nfs/dbraw/zinc/37/47/18/1055374718.db2.gz YCHMRWCMAOHCSE-UHFFFAOYSA-N 0 3 311.429 4.025 20 0 DIADHN COc1cccc2c(CN(C)Cc3ccc(F)cc3)ccnc12 ZINC001237113991 1055376836 /nfs/dbraw/zinc/37/68/36/1055376836.db2.gz SYQMTTMQXBONBX-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN COc1cccc2c(CN3CCc4cc(C)ccc4C3)ccnc12 ZINC001237124157 1055380536 /nfs/dbraw/zinc/38/05/36/1055380536.db2.gz YOCYPGVSNFISMO-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN C[C@H](N[C@H]1CSCc2ccccc21)c1ccc2c(c1)CCO2 ZINC000765426683 1055386511 /nfs/dbraw/zinc/38/65/11/1055386511.db2.gz SIFSYHZELDUQSM-UGSOOPFHSA-N 0 3 311.450 4.260 20 0 DIADHN Cc1c(CN2CC[C@H](c3ccccc3F)C2)ccc(F)c1F ZINC001237154640 1055388990 /nfs/dbraw/zinc/38/89/90/1055388990.db2.gz AHYVKIANBDMAGF-AWEZNQCLSA-N 0 3 305.343 4.402 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2ccc(F)c(F)c2C)cc1 ZINC001237164017 1055389802 /nfs/dbraw/zinc/38/98/02/1055389802.db2.gz VCHDWYJATWNELF-ZDUSSCGKSA-N 0 3 305.368 4.475 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ccc(F)c(F)c3C)C2)c1 ZINC001237164339 1055391048 /nfs/dbraw/zinc/39/10/48/1055391048.db2.gz APFDFLHNIPFRPG-MRXNPFEDSA-N 0 3 317.379 4.271 20 0 DIADHN [S-]c1ccoc1C[NH+]1CCC(Sc2ccccc2)CC1 ZINC001237184798 1055395880 /nfs/dbraw/zinc/39/58/80/1055395880.db2.gz RUVUWHFKUPQTFV-UHFFFAOYSA-N 0 3 305.468 4.325 20 0 DIADHN [S-]c1ccoc1C[NH+]1CCC(c2nc3ccccc3o2)CC1 ZINC001237181935 1055396088 /nfs/dbraw/zinc/39/60/88/1055396088.db2.gz KEYFFTUPQQXYPV-UHFFFAOYSA-N 0 3 314.410 4.089 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](C)c1ccnc(Cl)c1 ZINC000765469459 1055396132 /nfs/dbraw/zinc/39/61/32/1055396132.db2.gz CSYCECKRKCIZFT-PXAZEXFGSA-N 0 3 316.832 4.472 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3ccc(F)cc3)C2)c1Cl ZINC001237194714 1055401606 /nfs/dbraw/zinc/40/16/06/1055401606.db2.gz WOOGRHNBAJERGU-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc([C@@H](C)Nc2ccnc3cc(OC)ccc32)cc1 ZINC000765486870 1055403727 /nfs/dbraw/zinc/40/37/27/1055403727.db2.gz CSTOAUQJMFNWEI-CYBMUJFWSA-N 0 3 308.381 4.425 20 0 DIADHN CCCO[C@H]1CCCN(c2ccnc3cc(OC)ccc32)CC1 ZINC000765498919 1055408263 /nfs/dbraw/zinc/40/82/63/1055408263.db2.gz FOBADDKIAAFYBO-HNNXBMFYSA-N 0 3 314.429 4.029 20 0 DIADHN Cc1cc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@H]3C2)cs1 ZINC001237248569 1055410062 /nfs/dbraw/zinc/41/00/62/1055410062.db2.gz LUMTUOZFQDROEO-WBVHZDCISA-N 0 3 318.873 4.494 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)c1ccc3c(c1)CCO3)CCC2 ZINC000765502475 1055410356 /nfs/dbraw/zinc/41/03/56/1055410356.db2.gz STIZRCLVFGDECM-XHDPSFHLSA-N 0 3 314.454 4.115 20 0 DIADHN COc1cc(CN2CC(c3ccccc3)C2)ccc1C(F)F ZINC001237281285 1055414630 /nfs/dbraw/zinc/41/46/30/1055414630.db2.gz UHMJCDYGKVGXQC-UHFFFAOYSA-N 0 3 303.352 4.232 20 0 DIADHN c1ccc(CCc2ccccc2CN2CC3(CSC3)C2)cc1 ZINC001237295474 1055418083 /nfs/dbraw/zinc/41/80/83/1055418083.db2.gz VLCUDXRAXHXGII-UHFFFAOYSA-N 0 3 309.478 4.021 20 0 DIADHN CCOc1ccccc1CN1CCC2(Cc3ccccc3N2)CC1 ZINC001237333591 1055431348 /nfs/dbraw/zinc/43/13/48/1055431348.db2.gz MXBKXBOFCAGNSQ-UHFFFAOYSA-N 0 3 322.452 4.088 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC3(Cc4ccccc4N3)CC2)[nH]1 ZINC001237333553 1055432033 /nfs/dbraw/zinc/43/20/33/1055432033.db2.gz KUULYRORTTVFJW-UHFFFAOYSA-N 0 3 323.484 4.315 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@H](Oc2ccncc2)C1 ZINC001237349966 1055434879 /nfs/dbraw/zinc/43/48/79/1055434879.db2.gz DYWSCYAQGXFVSV-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN CCOC(=O)C[C@@H]1CCCN(Cc2c(C)cc(Cl)cc2C)C1 ZINC001237354773 1055437698 /nfs/dbraw/zinc/43/76/98/1055437698.db2.gz JGQNXISPTUQHOX-HNNXBMFYSA-N 0 3 323.864 4.122 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000015185026 1055440886 /nfs/dbraw/zinc/44/08/86/1055440886.db2.gz LFWKZBXOCJTOOB-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC(C)(C)C(=O)Nc1ccccc1CN1CCCCC12CC2 ZINC001237399890 1055448444 /nfs/dbraw/zinc/44/84/44/1055448444.db2.gz XNSLOCYVPOMKIE-UHFFFAOYSA-N 0 3 300.446 4.190 20 0 DIADHN CN(C/C=C\c1ccc(Cl)cc1)[C@@H]1CCCc2c1cnn2C ZINC000255863032 1055452275 /nfs/dbraw/zinc/45/22/75/1055452275.db2.gz NLBASEMFKCFHQE-VGOKWCNXSA-N 0 3 315.848 4.096 20 0 DIADHN Fc1ccc(CN2CC[C@@H](Oc3ccccc3)C2)c2ncccc12 ZINC001237428184 1055452806 /nfs/dbraw/zinc/45/28/06/1055452806.db2.gz DWFNCGDSIWSNDX-QGZVFWFLSA-N 0 3 322.383 4.027 20 0 DIADHN CC(C)c1cccc(CN2CCC(Oc3ccncc3)CC2)c1 ZINC001237457029 1055454188 /nfs/dbraw/zinc/45/41/88/1055454188.db2.gz PXZFXPKYNVCWDP-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)c1cccc(CN2CCCC[C@](O)(C(F)(F)F)C2)c1 ZINC001237457751 1055456053 /nfs/dbraw/zinc/45/60/53/1055456053.db2.gz ZKKUIPVIZQWEAA-MRXNPFEDSA-N 0 3 315.379 4.089 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(F)c2)ccc1OC(C)C ZINC000075755858 1055458624 /nfs/dbraw/zinc/45/86/24/1055458624.db2.gz UMPRVORQQPRELG-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN OCc1ccc2c(c1)CCN(Cc1cccc3c(F)cccc13)C2 ZINC001237522954 1055466464 /nfs/dbraw/zinc/46/64/64/1055466464.db2.gz PJZYPZPWHAFXSM-UHFFFAOYSA-N 0 3 321.395 4.030 20 0 DIADHN FC1(F)CCCN(Cc2cccc(-c3ccccc3)n2)CC1 ZINC001237541887 1055470141 /nfs/dbraw/zinc/47/01/41/1055470141.db2.gz CPHOIIVUZVUWPQ-UHFFFAOYSA-N 0 3 302.368 4.370 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccn3nccc3c2)cc1 ZINC001237541343 1055470171 /nfs/dbraw/zinc/47/01/71/1055470171.db2.gz UWEQCUUDTLXHCK-FQEVSTJZSA-N 0 3 321.424 4.070 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(Cl)cc1C ZINC001620841594 1055471314 /nfs/dbraw/zinc/47/13/14/1055471314.db2.gz ORPQOYBNLKRMCD-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN Clc1ncnc2ccc(CN3CCC34CCCCC4)cc12 ZINC001237576468 1055475786 /nfs/dbraw/zinc/47/57/86/1055475786.db2.gz NXQAEJUUQHJUOQ-UHFFFAOYSA-N 0 3 301.821 4.192 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc3ncnc(Cl)c3c2)c1 ZINC001237590723 1055479749 /nfs/dbraw/zinc/47/97/49/1055479749.db2.gz JHINUJGLZXYUCF-UHFFFAOYSA-N 0 3 311.816 4.224 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc2ncnc(Cl)c2c1 ZINC001237587098 1055480623 /nfs/dbraw/zinc/48/06/23/1055480623.db2.gz DJCGYWMHJKFPFW-UHFFFAOYSA-N 0 3 317.845 4.367 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc2ncnc(Cl)c2c1 ZINC001237590757 1055482729 /nfs/dbraw/zinc/48/27/29/1055482729.db2.gz JOWDWRNQNDVXKL-UHFFFAOYSA-N 0 3 315.779 4.054 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccnc(OC(F)F)c1 ZINC001237623196 1055487891 /nfs/dbraw/zinc/48/78/91/1055487891.db2.gz ZDEGESJJRJYSNJ-OAHLLOKOSA-N 0 3 306.356 4.266 20 0 DIADHN Clc1ccc(COCCNCc2ccccc2Cl)cc1 ZINC001620861077 1055488940 /nfs/dbraw/zinc/48/89/40/1055488940.db2.gz KMJZLGQTEBXFQD-UHFFFAOYSA-N 0 3 310.224 4.300 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccnc(OC(F)F)c1 ZINC001237623166 1055489040 /nfs/dbraw/zinc/48/90/40/1055489040.db2.gz ZDEGESJJRJYSNJ-HNNXBMFYSA-N 0 3 306.356 4.266 20 0 DIADHN CCOc1ccc(CN2CCCC3(CC(F)C3)CC2)c(F)c1 ZINC001237633542 1055490134 /nfs/dbraw/zinc/49/01/34/1055490134.db2.gz UUGXVQBBRBHOMG-UHFFFAOYSA-N 0 3 309.400 4.329 20 0 DIADHN COc1cccc2c1CCN(Cc1cccc3nccc(C)c13)C2 ZINC001237636571 1055490364 /nfs/dbraw/zinc/49/03/64/1055490364.db2.gz OPJDZPPVXBTOKO-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CC1(NCc2cc(OC(F)F)ccc2Br)CCC1 ZINC001620865493 1055492388 /nfs/dbraw/zinc/49/23/88/1055492388.db2.gz WRHJKMRMSDOAFU-UHFFFAOYSA-N 0 3 320.177 4.083 20 0 DIADHN CCc1n[nH]cc1CN1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001237654127 1055494070 /nfs/dbraw/zinc/49/40/70/1055494070.db2.gz WCOQUEBFBDXPBC-INIZCTEOSA-N 0 3 323.362 4.328 20 0 DIADHN CN(Cc1ccc(-c2ccc(O)cc2)cc1)C1CC(F)(F)C1 ZINC001237655567 1055494723 /nfs/dbraw/zinc/49/47/23/1055494723.db2.gz AQRAVBKCEMZLLP-UHFFFAOYSA-N 0 3 303.352 4.289 20 0 DIADHN Fc1ccc2oc(CNCCOc3ccccc3Cl)cc2c1 ZINC001620872448 1055499435 /nfs/dbraw/zinc/49/94/35/1055499435.db2.gz USUWDSZMXNSWOK-UHFFFAOYSA-N 0 3 319.763 4.394 20 0 DIADHN Cc1cccc(C)c1CNCc1cccc(OC(F)(F)F)c1 ZINC001620871682 1055500331 /nfs/dbraw/zinc/50/03/31/1055500331.db2.gz LYFVTRQZNMSZQD-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN Cc1ccc(Cl)cc1Oc1ccccc1CN[C@@H]1CCOC1 ZINC001620872173 1055500354 /nfs/dbraw/zinc/50/03/54/1055500354.db2.gz IZLNSLIDDZQSMG-MRXNPFEDSA-N 0 3 317.816 4.319 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1nccc2c1CCCC2 ZINC001620876862 1055503111 /nfs/dbraw/zinc/50/31/11/1055503111.db2.gz LKDRGKZMWMYFRD-VFNWGFHPSA-N 0 3 306.453 4.374 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc(-n2cccn2)cc1 ZINC000026334397 1055503767 /nfs/dbraw/zinc/50/37/67/1055503767.db2.gz MNQBRIQEWZSKBF-MRXNPFEDSA-N 0 3 321.424 4.074 20 0 DIADHN Cc1cc(CN[C@@H]2c3ccccc3CC[C@H]2C)cnc1Cl ZINC001620877279 1055504734 /nfs/dbraw/zinc/50/47/34/1055504734.db2.gz WTJJCAYMSGYAEP-PXAZEXFGSA-N 0 3 300.833 4.457 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H]1COC2(CCCCC2)O1 ZINC001620878094 1055505618 /nfs/dbraw/zinc/50/56/18/1055505618.db2.gz YXKIRDIIXYYPAL-HNNXBMFYSA-N 0 3 323.864 4.068 20 0 DIADHN COc1cccc2c(CNCc3ccnc(SC)c3)cccc12 ZINC001620879989 1055507266 /nfs/dbraw/zinc/50/72/66/1055507266.db2.gz QPRDEMHRUNRTNJ-UHFFFAOYSA-N 0 3 324.449 4.255 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccc(OC(C)(C)C)nc1 ZINC001620882611 1055508064 /nfs/dbraw/zinc/50/80/64/1055508064.db2.gz YOBKDUCBXSQFOD-FOWTUZBSSA-N 0 3 310.441 4.452 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(O)c1)c1ccc(C)c(F)c1 ZINC001620884356 1055509706 /nfs/dbraw/zinc/50/97/06/1055509706.db2.gz BEFJAUXCYUBRQG-INIZCTEOSA-N 0 3 303.377 4.089 20 0 DIADHN COc1ccc(C[C@@H](C)CNCc2csc(C(C)C)n2)cc1 ZINC001620886860 1055511179 /nfs/dbraw/zinc/51/11/79/1055511179.db2.gz YTHONADIDBJGFK-CQSZACIVSA-N 0 3 318.486 4.244 20 0 DIADHN COc1ccc(C[C@H](C)CNCc2csc(C(C)C)n2)cc1 ZINC001620886859 1055512031 /nfs/dbraw/zinc/51/20/31/1055512031.db2.gz YTHONADIDBJGFK-AWEZNQCLSA-N 0 3 318.486 4.244 20 0 DIADHN C[C@@H](NCc1ccc(OCc2ccccc2)cc1)c1ccc[nH]1 ZINC001620889086 1055512855 /nfs/dbraw/zinc/51/28/55/1055512855.db2.gz FVEUCWWNUGTARK-MRXNPFEDSA-N 0 3 306.409 4.445 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)C2)ccc1OC(C)C ZINC001237711139 1055512959 /nfs/dbraw/zinc/51/29/59/1055512959.db2.gz JAVJDLCIZPYCMV-AWEZNQCLSA-N 0 3 301.352 4.166 20 0 DIADHN Cc1cc(CN2CCC(OC3CCC3)CC2)ccc1OC(C)C ZINC001237722348 1055516576 /nfs/dbraw/zinc/51/65/76/1055516576.db2.gz OUIVRAZNXVBWSV-UHFFFAOYSA-N 0 3 317.473 4.316 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(Cl)c2C)cc1OC ZINC001237737960 1055517949 /nfs/dbraw/zinc/51/79/49/1055517949.db2.gz LIHUJVFYAGFCKB-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN CCCn1nccc1CN[C@H]1c2ccccc2CC[C@@H]1C(C)C ZINC001620894788 1055518883 /nfs/dbraw/zinc/51/88/83/1055518883.db2.gz FQLRRYCRIOHYHE-UYAOXDASSA-N 0 3 311.473 4.342 20 0 DIADHN CCCn1nccc1CN[C@H]1c2ccccc2CC[C@H]1C(C)C ZINC001620894785 1055519376 /nfs/dbraw/zinc/51/93/76/1055519376.db2.gz FQLRRYCRIOHYHE-AZUAARDMSA-N 0 3 311.473 4.342 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1ccc3ncccc3c1)CCC2 ZINC001620893663 1055520085 /nfs/dbraw/zinc/52/00/85/1055520085.db2.gz SQCSCVOBOUELGG-IBGZPJMESA-N 0 3 320.440 4.179 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cccc4c3OCC4)C2)c1 ZINC001237745048 1055521292 /nfs/dbraw/zinc/52/12/92/1055521292.db2.gz DQIZAZMKCFSLQI-MRXNPFEDSA-N 0 3 313.828 4.264 20 0 DIADHN CC(C)=CCCNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC001620900242 1055524789 /nfs/dbraw/zinc/52/47/89/1055524789.db2.gz FWQPUTJMDAMMNP-UHFFFAOYSA-N 0 3 317.864 4.339 20 0 DIADHN C[C@]1(CF)CCN(Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237766993 1055527067 /nfs/dbraw/zinc/52/70/67/1055527067.db2.gz NVQFANHKKUCFJJ-MRXNPFEDSA-N 0 3 308.784 4.177 20 0 DIADHN CC(C)C(C)(C)CNCc1c(F)cc(Br)cc1F ZINC001620905162 1055529568 /nfs/dbraw/zinc/52/95/68/1055529568.db2.gz FSNJLLKHCHRCQV-UHFFFAOYSA-N 0 3 320.221 4.499 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1cnc(C2CC2)s1 ZINC001237788384 1055529520 /nfs/dbraw/zinc/52/95/20/1055529520.db2.gz CCFNNAJSRBWRSU-UHFFFAOYSA-N 0 3 306.862 4.348 20 0 DIADHN CC[C@H]1CCC[C@H](NCc2cc(COC(C)(C)C)on2)CC1 ZINC001620907576 1055531216 /nfs/dbraw/zinc/53/12/16/1055531216.db2.gz UCHVTCWMNGQZQD-GJZGRUSLSA-N 0 3 308.466 4.438 20 0 DIADHN Cc1nc(CN2CCC3(C=Cc4ccccc43)CC2)ccc1F ZINC001237821244 1055537304 /nfs/dbraw/zinc/53/73/04/1055537304.db2.gz VIRDXRANMFYROA-UHFFFAOYSA-N 0 3 308.400 4.090 20 0 DIADHN Cc1cccc([C@@H](C)NC[C@](O)(c2ccccc2)C(F)(F)F)c1 ZINC000765678860 1055537604 /nfs/dbraw/zinc/53/76/04/1055537604.db2.gz BQJCGGXEZNYGAX-PBHICJAKSA-N 0 3 323.358 4.096 20 0 DIADHN COc1nsc(CNCC[C@@H](C)c2ccccc2)c1Cl ZINC001620917269 1055539121 /nfs/dbraw/zinc/53/91/21/1055539121.db2.gz XUPXEIVGYLRDPC-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN CN(Cc1cccc(-c2ccccn2)n1)[C@H]1CCc2ccccc21 ZINC001237843766 1055539649 /nfs/dbraw/zinc/53/96/49/1055539649.db2.gz ZGSNZZJRZLRIAB-NRFANRHFSA-N 0 3 315.420 4.263 20 0 DIADHN Cc1ccccc1[C@H](C)NC[C@@](O)(c1ccccc1)C(F)(F)F ZINC000765685589 1055541513 /nfs/dbraw/zinc/54/15/13/1055541513.db2.gz SGXWXGCBWQNAOX-WMLDXEAASA-N 0 3 323.358 4.096 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@](O)(c2ccccc2)C(F)(F)F)cc1 ZINC000765685663 1055542202 /nfs/dbraw/zinc/54/22/02/1055542202.db2.gz SYCLTZGJEBXHOK-WMLDXEAASA-N 0 3 323.358 4.096 20 0 DIADHN COc1cccc(CN2CC[C@H]3CCC[C@H]3C2)c1Br ZINC001237860913 1055544246 /nfs/dbraw/zinc/54/42/46/1055544246.db2.gz PXYASDIYQIXIFG-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN C[C@@H](NCc1cnn(CCF)c1)c1ccc(C(C)(C)C)cc1 ZINC000921554051 1055546343 /nfs/dbraw/zinc/54/63/43/1055546343.db2.gz MCNHYZMBGISSJR-CQSZACIVSA-N 0 3 303.425 4.001 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CCC2(C1)CCCCC2 ZINC001237881328 1055548542 /nfs/dbraw/zinc/54/85/42/1055548542.db2.gz YQPNPZUTAUOHJJ-UHFFFAOYSA-N 0 3 313.445 4.175 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN(C)CCCc1ccccc1 ZINC001237875069 1055549045 /nfs/dbraw/zinc/54/90/45/1055549045.db2.gz LGTOQBAHJUSJQU-UHFFFAOYSA-N 0 3 323.440 4.083 20 0 DIADHN CN(Cc1ccc(Br)c(Cl)c1F)C(C)(C)C ZINC001237895522 1055550977 /nfs/dbraw/zinc/55/09/77/1055550977.db2.gz BKMAZAYKKNESPI-UHFFFAOYSA-N 0 3 308.622 4.472 20 0 DIADHN Cn1c(Cl)cnc1CNC[C@H]1CCCC[C@@H]1c1ccccc1 ZINC001620937828 1055551165 /nfs/dbraw/zinc/55/11/65/1055551165.db2.gz BNYWSAXUDUSZFZ-HZPDHXFCSA-N 0 3 317.864 4.137 20 0 DIADHN CO[C@@H]([C@@H](C)NCc1cc(C(F)(F)F)ccc1Cl)C1CC1 ZINC001620937439 1055551616 /nfs/dbraw/zinc/55/16/16/1055551616.db2.gz PGSHKCRYZDPSQR-OTYXRUKQSA-N 0 3 321.770 4.262 20 0 DIADHN CO[C@@H]1CCC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC001620942578 1055554941 /nfs/dbraw/zinc/55/49/41/1055554941.db2.gz MWJIEMCBDYQMBL-NVXWUHKLSA-N 0 3 305.805 4.257 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001538168264 1055556988 /nfs/dbraw/zinc/55/69/88/1055556988.db2.gz HFLURVVOQZUXBU-XBMUEBEBSA-N 0 3 322.537 4.316 20 0 DIADHN CCOc1cc(F)cc(CN(C)[C@@H](C)c2ccc(OC)cc2)c1 ZINC001237922351 1055561286 /nfs/dbraw/zinc/56/12/86/1055561286.db2.gz YSXWMAXNDMLBBU-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN CC1(C)CCC[C@@]1(O)CNCc1ccc(F)c(Cl)c1Cl ZINC001620949713 1055564680 /nfs/dbraw/zinc/56/46/80/1055564680.db2.gz AFONZTWOYRJQJA-OAHLLOKOSA-N 0 3 320.235 4.163 20 0 DIADHN CC(C)(C)C(C)(C)CNCc1ncc(Br)cc1F ZINC001620950065 1055565252 /nfs/dbraw/zinc/56/52/52/1055565252.db2.gz OCPGHYHNYBPFFF-UHFFFAOYSA-N 0 3 317.246 4.145 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccc(F)cc3)C2)cc1F ZINC001237955219 1055565236 /nfs/dbraw/zinc/56/52/36/1055565236.db2.gz CTMRCIJPZFSVBN-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN COC[C@H](C)N(Cc1ccsc1C)Cc1cccc(OC)c1 ZINC001237969275 1055566098 /nfs/dbraw/zinc/56/60/98/1055566098.db2.gz UGIKZFZZMQVKFG-AWEZNQCLSA-N 0 3 319.470 4.102 20 0 DIADHN Fc1cccc(-c2nc(CN3C[C@@H]4CCC[C@H]4C3)cs2)c1 ZINC001237977205 1055570567 /nfs/dbraw/zinc/57/05/67/1055570567.db2.gz YAPHEMUNQWHRJS-KBPBESRZSA-N 0 3 302.418 4.181 20 0 DIADHN CC(C)N(Cc1csc(-c2ccc(O)cc2)n1)CC1CC1 ZINC001237979436 1055572837 /nfs/dbraw/zinc/57/28/37/1055572837.db2.gz HTLHYVOLHBKPJS-UHFFFAOYSA-N 0 3 302.443 4.136 20 0 DIADHN C[C@@H](CCc1ccccc1)CNCc1cc(F)cnc1Cl ZINC001620954519 1055575778 /nfs/dbraw/zinc/57/57/78/1055575778.db2.gz XHLJDRKYZCUFIH-ZDUSSCGKSA-N 0 3 306.812 4.233 20 0 DIADHN CSc1ccc(CNCc2ccc([Si](C)(C)C)cc2)cc1 ZINC001620957032 1055580517 /nfs/dbraw/zinc/58/05/17/1055580517.db2.gz SBJFIWWUEBCSHC-UHFFFAOYSA-N 0 3 315.558 4.244 20 0 DIADHN CCN(Cc1csc(-c2ccc(C)cc2)n1)C1CCOCC1 ZINC001238039524 1055590539 /nfs/dbraw/zinc/59/05/39/1055590539.db2.gz JBALIHTXKGSJAI-UHFFFAOYSA-N 0 3 316.470 4.119 20 0 DIADHN FC(F)(F)Oc1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000102781030 1055591776 /nfs/dbraw/zinc/59/17/76/1055591776.db2.gz WANQUUSENFZTAH-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000026469301 1055594015 /nfs/dbraw/zinc/59/40/15/1055594015.db2.gz CQPRMPAZEINIOW-RHSMWYFYSA-N 0 3 302.462 4.006 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000026467869 1055594626 /nfs/dbraw/zinc/59/46/26/1055594626.db2.gz DOKPFEAPADULSD-QAPCUYQASA-N 0 3 316.489 4.260 20 0 DIADHN CCC(CC)[C@H](NCc1cnn(CCF)c1)c1cccs1 ZINC000921618252 1055595733 /nfs/dbraw/zinc/59/57/33/1055595733.db2.gz VTLZZYJNGBOFES-INIZCTEOSA-N 0 3 309.454 4.181 20 0 DIADHN C[C@@H](N(C)CC(=O)Nc1ccccc1C(F)(F)F)C(C)(C)C ZINC000027132411 1055598417 /nfs/dbraw/zinc/59/84/17/1055598417.db2.gz MYQJZWNIOOCDMG-LLVKDONJSA-N 0 3 316.367 4.010 20 0 DIADHN c1cc(CN2CC[C@H]3OCC[C@H]32)cc(OCC2CCCCC2)c1 ZINC001238066547 1055598818 /nfs/dbraw/zinc/59/88/18/1055598818.db2.gz MSGGRHJFFXVZLS-WOJBJXKFSA-N 0 3 315.457 4.009 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@@H](C)C(C)(C)C ZINC000027132045 1055599194 /nfs/dbraw/zinc/59/91/94/1055599194.db2.gz HFTHGNSJZYEIDB-AWEZNQCLSA-N 0 3 304.478 4.116 20 0 DIADHN CO[C@H](C)C1CN(Cc2cccc(OCC3CCCCC3)c2)C1 ZINC001238070057 1055599734 /nfs/dbraw/zinc/59/97/34/1055599734.db2.gz NLJIFSJCAJBASG-MRXNPFEDSA-N 0 3 317.473 4.112 20 0 DIADHN CC(C)N(Cc1cc(F)cc(Br)c1F)C(C)C ZINC001238077249 1055599985 /nfs/dbraw/zinc/59/99/85/1055599985.db2.gz WAGTXPHFBGVUPQ-UHFFFAOYSA-N 0 3 306.194 4.346 20 0 DIADHN CCN(Cc1nc2cc(Cl)ccc2c(=O)[nH]1)C1CCCCC1 ZINC000027285330 1055600424 /nfs/dbraw/zinc/60/04/24/1055600424.db2.gz NUDFQNPKBUQLJV-UHFFFAOYSA-N 0 3 319.836 4.143 20 0 DIADHN CCN(CC(=O)Nc1ccc(F)cc1Cl)C1CCCCC1 ZINC000027284673 1055600944 /nfs/dbraw/zinc/60/09/44/1055600944.db2.gz UMQGRICJJDQSDI-UHFFFAOYSA-N 0 3 312.816 4.072 20 0 DIADHN FC(F)(F)c1cscc1CNCCOCC1CCCC1 ZINC001620960158 1055601334 /nfs/dbraw/zinc/60/13/34/1055601334.db2.gz XDUHWEMRIPFTNE-UHFFFAOYSA-N 0 3 307.381 4.063 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cc(O)ccc2C)c1 ZINC001238099969 1055605407 /nfs/dbraw/zinc/60/54/07/1055605407.db2.gz VPFWXXNARCKRJU-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1ccc(O)cc1CN1CCC2(CCc3ccccc3O2)CC1 ZINC001238102214 1055605443 /nfs/dbraw/zinc/60/54/43/1055605443.db2.gz FRCJXIUFHPOXAZ-UHFFFAOYSA-N 0 3 323.436 4.060 20 0 DIADHN CCOc1ccc(C[C@@H](C)CNCc2nc3ccccc3o2)cc1 ZINC001620960520 1055606157 /nfs/dbraw/zinc/60/61/57/1055606157.db2.gz NVBVKOLNLOBOFQ-OAHLLOKOSA-N 0 3 324.424 4.195 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cccc3cncn32)c1 ZINC001238113045 1055608558 /nfs/dbraw/zinc/60/85/58/1055608558.db2.gz IFVUMPSRPVYWHA-FQEVSTJZSA-N 0 3 321.424 4.070 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc3cncn32)cc1 ZINC001238118287 1055609832 /nfs/dbraw/zinc/60/98/32/1055609832.db2.gz IVQUBTPOVQSRNT-HXUWFJFHSA-N 0 3 321.424 4.070 20 0 DIADHN c1ccc2c(c1)CCC21CCN(Cc2cncc(C3CC3)c2)CC1 ZINC001238182848 1055614698 /nfs/dbraw/zinc/61/46/98/1055614698.db2.gz YUKHHLHMEFQJBT-UHFFFAOYSA-N 0 3 318.464 4.439 20 0 DIADHN COc1c(C)cnc(CN(Cc2ccccc2)C2CCC2)c1C ZINC001238175890 1055615729 /nfs/dbraw/zinc/61/57/29/1055615729.db2.gz CJYJRYVVMFOEIU-UHFFFAOYSA-N 0 3 310.441 4.262 20 0 DIADHN COC/C(C)=C/CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC001168564275 1055623966 /nfs/dbraw/zinc/62/39/66/1055623966.db2.gz VBFAEULZFMOSPH-RUYJGKKWSA-N 0 3 319.779 4.185 20 0 DIADHN CC(C)c1cc(CN2CCC[C@]3(CCOC3)C2)ccc1Cl ZINC001238225112 1055624999 /nfs/dbraw/zinc/62/49/99/1055624999.db2.gz YTQSZGYNASFPIG-SFHVURJKSA-N 0 3 307.865 4.466 20 0 DIADHN CN(CCOc1ccccc1)Cc1cccc(-c2cccs2)n1 ZINC001238222197 1055625177 /nfs/dbraw/zinc/62/51/77/1055625177.db2.gz ZQUHLLSELPXVDH-UHFFFAOYSA-N 0 3 324.449 4.321 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccccc1)c1cc(F)ccc1F ZINC000338567724 1055630141 /nfs/dbraw/zinc/63/01/41/1055630141.db2.gz BDCKXFNOGGFISD-MSOLQXFVSA-N 0 3 305.368 4.393 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CC[C@@H](C)[C@](C)(O)CC1 ZINC001238261891 1055631577 /nfs/dbraw/zinc/63/15/77/1055631577.db2.gz DNWMABHMVQUYIN-MLGOLLRUSA-N 0 3 316.272 4.285 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1cc(C)c(Cl)cc1F)C2 ZINC001238298907 1055636918 /nfs/dbraw/zinc/63/69/18/1055636918.db2.gz BSXIOBMLWJWODY-PBHICJAKSA-N 0 3 311.828 4.036 20 0 DIADHN CC(C)Oc1c(F)cccc1CN(C)CCc1cccs1 ZINC001238330151 1055641846 /nfs/dbraw/zinc/64/18/46/1055641846.db2.gz WAIZRGCWNZVDCZ-UHFFFAOYSA-N 0 3 307.434 4.349 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1cncc(OCc2ccccc2)c1 ZINC001238365629 1055645137 /nfs/dbraw/zinc/64/51/37/1055645137.db2.gz RKIXCIUMGVFSGK-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(OCc3ccccc3)c2)[C@H](C)C1 ZINC001238370219 1055646528 /nfs/dbraw/zinc/64/65/28/1055646528.db2.gz STJBUIIKTDPMDF-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN Cc1cc(CN(C)[C@H]2CCc3ccccc32)cnc1OC(C)C ZINC001238384642 1055649763 /nfs/dbraw/zinc/64/97/63/1055649763.db2.gz XUSYXERURBURNR-IBGZPJMESA-N 0 3 310.441 4.297 20 0 DIADHN CCOc1ccc(CN2CCCC[C@H]2CCOC)c(Cl)c1 ZINC001238400538 1055651040 /nfs/dbraw/zinc/65/10/40/1055651040.db2.gz SRQKERQUOVQWNL-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1cc(CN(C)CCc2ccc(Cl)cc2)ncc1Cl ZINC001238419144 1055655926 /nfs/dbraw/zinc/65/59/26/1055655926.db2.gz UNRNOVJXHANTHF-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Cc1cnc(CN2CCC[C@]3(CCc4c3cccc4F)C2)c(C)c1 ZINC001238434691 1055658411 /nfs/dbraw/zinc/65/84/11/1055658411.db2.gz GGEXESZMXNMGKQ-NRFANRHFSA-N 0 3 324.443 4.318 20 0 DIADHN CC(C)Oc1cccc(CN2CCC3(CCOCC3)CC2)c1F ZINC001238429387 1055658904 /nfs/dbraw/zinc/65/89/04/1055658904.db2.gz HEUOMDLCVOELPM-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN COc1cc(CN(Cc2cccc(Cl)c2)C2CC2)ccc1O ZINC000338586657 1055660453 /nfs/dbraw/zinc/66/04/53/1055660453.db2.gz FQROHNPAFFUBGP-UHFFFAOYSA-N 0 3 317.816 4.219 20 0 DIADHN O=C(NC1CCCCCCC1)c1ccc(NC2=NCCC2)cc1 ZINC001538608012 1055672020 /nfs/dbraw/zinc/67/20/20/1055672020.db2.gz SGEQALYAODJFSX-UHFFFAOYSA-N 0 3 313.445 4.134 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccccc1CC(F)(F)F ZINC000624307786 1055674674 /nfs/dbraw/zinc/67/46/74/1055674674.db2.gz UJFCGVKBZFTKSL-CYBMUJFWSA-N 0 3 308.347 4.346 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1ccc(F)c(C3CC3)c1)CC2 ZINC001238514696 1055675437 /nfs/dbraw/zinc/67/54/37/1055675437.db2.gz FLQQKGNDOLKLMQ-UHFFFAOYSA-N 0 3 315.432 4.428 20 0 DIADHN Fc1ccc(CN2CCC(c3cccnc3)CC2)cc1C1CC1 ZINC001238514580 1055676184 /nfs/dbraw/zinc/67/61/84/1055676184.db2.gz FFFPONMCZUJYJB-UHFFFAOYSA-N 0 3 310.416 4.478 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](c1ccccc1)C1CCC1 ZINC000104701324 1055679058 /nfs/dbraw/zinc/67/90/58/1055679058.db2.gz KRGAJLTUYSNCHT-OAQYLSRUSA-N 0 3 322.452 4.319 20 0 DIADHN Cc1cc(CN2CCC(C)(O)CC2)ccc1Oc1ccccc1 ZINC001238527040 1055681053 /nfs/dbraw/zinc/68/10/53/1055681053.db2.gz UDUXQUWJEFIXJE-UHFFFAOYSA-N 0 3 311.425 4.134 20 0 DIADHN CCC(C)(CC)NCc1nc2cc(Br)ccc2o1 ZINC000671684944 1055682492 /nfs/dbraw/zinc/68/24/92/1055682492.db2.gz AFJXUSBFUOPVLE-UHFFFAOYSA-N 0 3 311.223 4.259 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2cc(C)ccc2Cl)C[C@@H]1C ZINC001238562083 1055687215 /nfs/dbraw/zinc/68/72/15/1055687215.db2.gz AWDTZBHZLFTDJT-GJZGRUSLSA-N 0 3 323.864 4.060 20 0 DIADHN COc1cc(CN2CC[C@@H]3CCC[C@@H]3C2)c(-c2ccccc2)cn1 ZINC001238599010 1055694253 /nfs/dbraw/zinc/69/42/53/1055694253.db2.gz DXRPVPFZQAEGMF-FUHWJXTLSA-N 0 3 322.452 4.379 20 0 DIADHN Cc1cc(CN2CC[C@H]3CCCO[C@H]3C2)cnc1-c1ccccc1 ZINC001238607476 1055696669 /nfs/dbraw/zinc/69/66/69/1055696669.db2.gz AZKRKSLDYVHGCS-QUCCMNQESA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CCC3(CCCO3)CC2)c1 ZINC001238617991 1055698095 /nfs/dbraw/zinc/69/80/95/1055698095.db2.gz BQHADTOWPGRPGB-UHFFFAOYSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Oc3ccccc3)C2)cnc1C1CC1 ZINC001238618887 1055701374 /nfs/dbraw/zinc/70/13/74/1055701374.db2.gz WWKWQYDFGXZDHQ-HXUWFJFHSA-N 0 3 322.452 4.311 20 0 DIADHN COc1cc(F)cc(C[C@H](C)NCc2ccsc2Cl)c1 ZINC001620967101 1055703387 /nfs/dbraw/zinc/70/33/87/1055703387.db2.gz RYFZDXNWAMKAPU-JTQLQIEISA-N 0 3 313.825 4.270 20 0 DIADHN COc1ccc(-c2ccncc2)c(CN(C)Cc2ccsc2)c1 ZINC001238651921 1055710497 /nfs/dbraw/zinc/71/04/97/1055710497.db2.gz NFKQNBLXKNCEAV-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cc(Cl)ccc1SC ZINC001238672849 1055715336 /nfs/dbraw/zinc/71/53/36/1055715336.db2.gz GDGRISRGUWPIJJ-OAHLLOKOSA-N 0 3 313.894 4.453 20 0 DIADHN CSc1ccc(Cl)cc1CN1CCC[C@](C)(CO)CC1 ZINC001238670425 1055715769 /nfs/dbraw/zinc/71/57/69/1055715769.db2.gz GFAYQCJXMHAOSN-INIZCTEOSA-N 0 3 313.894 4.046 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H]2OCCC[C@H]2C1 ZINC001238673106 1055717367 /nfs/dbraw/zinc/71/73/67/1055717367.db2.gz TTZMNHZSYCCFDB-SWLSCSKDSA-N 0 3 311.878 4.063 20 0 DIADHN CSc1ccc(Cl)cc1CN1CCC2(CCCO2)CC1 ZINC001238675765 1055717732 /nfs/dbraw/zinc/71/77/32/1055717732.db2.gz DWPHYIDDWNHXTP-UHFFFAOYSA-N 0 3 311.878 4.207 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCC23CC3)c2ccccc2)nc1 ZINC000624315238 1055718676 /nfs/dbraw/zinc/71/86/76/1055718676.db2.gz ZEVCNNYECAICLQ-QFBILLFUSA-N 0 3 310.416 4.480 20 0 DIADHN CCOc1cccc(CN2CCCC2(C)C)c1Br ZINC001238693270 1055723394 /nfs/dbraw/zinc/72/33/94/1055723394.db2.gz PJFNBCMUAZHPEP-UHFFFAOYSA-N 0 3 312.251 4.222 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1ccc(F)cc1OC(C)C ZINC001238701709 1055725643 /nfs/dbraw/zinc/72/56/43/1055725643.db2.gz FFFLGWKJRMHKFD-KRWDZBQOSA-N 0 3 309.425 4.002 20 0 DIADHN CSc1ncc(C)cc1CN1CC[C@@H](c2ccccc2F)C1 ZINC001238717803 1055732601 /nfs/dbraw/zinc/73/26/01/1055732601.db2.gz YEXLEPBXPOVYCZ-CQSZACIVSA-N 0 3 316.445 4.241 20 0 DIADHN CSc1ncc(C)cc1CN(C)CCc1ccc(C)cc1 ZINC001238717968 1055732865 /nfs/dbraw/zinc/73/28/65/1055732865.db2.gz ZCYZKDYFCUSIJN-UHFFFAOYSA-N 0 3 300.471 4.095 20 0 DIADHN COc1cc(C)c(C)cc1CN(C)Cc1cccc2cccnc21 ZINC001238763769 1055743594 /nfs/dbraw/zinc/74/35/94/1055743594.db2.gz NMMMIKIOXSSCBE-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC3(CCCC3)C2)cc1 ZINC001238772661 1055746489 /nfs/dbraw/zinc/74/64/89/1055746489.db2.gz GOTJOIASFQWSDN-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC[C@@H]3CCC[C@H]32)cc1 ZINC001238776224 1055747641 /nfs/dbraw/zinc/74/76/41/1055747641.db2.gz FGWKRXHPZWZSGQ-AZUAARDMSA-N 0 3 322.452 4.425 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001238771046 1055747728 /nfs/dbraw/zinc/74/77/28/1055747728.db2.gz VFWCQYBSJAYGCM-UHFFFAOYSA-N 0 3 317.473 4.236 20 0 DIADHN C[C@@H]1CCCCCN1Cc1cccnc1OCc1ccccc1 ZINC001238774375 1055748323 /nfs/dbraw/zinc/74/83/23/1055748323.db2.gz QFACNOYLDCYDOF-QGZVFWFLSA-N 0 3 310.441 4.425 20 0 DIADHN Cc1cc(F)cc(CN2CCC[C@H]2Cc2ccccn2)c1Cl ZINC001238781861 1055750488 /nfs/dbraw/zinc/75/04/88/1055750488.db2.gz QTITZLWDKBCZIJ-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)CS1 ZINC001620970595 1055751106 /nfs/dbraw/zinc/75/11/06/1055751106.db2.gz OYYYUPQBANUQCW-LDYMZIIASA-N 0 3 309.784 4.342 20 0 DIADHN CC(C)Oc1cc(CN2CCCC3(CC(F)C3)CC2)c(F)cn1 ZINC001238798043 1055753097 /nfs/dbraw/zinc/75/30/97/1055753097.db2.gz BKZZTTZRCMZVJR-UHFFFAOYSA-N 0 3 324.415 4.112 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CC[C@H](F)C3)CC2)c(F)cn1 ZINC001238800754 1055755497 /nfs/dbraw/zinc/75/54/97/1055755497.db2.gz WYCCVNVUBFXBAH-HNNXBMFYSA-N 0 3 324.415 4.112 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2CCC(C3CC3)CC2)c1 ZINC001566424578 1055773243 /nfs/dbraw/zinc/77/32/43/1055773243.db2.gz WPYASJWLGSJGCN-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN Fc1cccc(F)c1CNCC1(c2ccc(Cl)cc2)CC1 ZINC001649054202 1055776116 /nfs/dbraw/zinc/77/61/16/1055776116.db2.gz AFPRGWRMRHQXNY-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1CCCn3nccc31)CCC2 ZINC000624329773 1055791749 /nfs/dbraw/zinc/79/17/49/1055791749.db2.gz HDVPMBKVUHACNG-CVEARBPZSA-N 0 3 301.821 4.039 20 0 DIADHN Cn1c2ccccc2nc1[C@H]1CCCN1CCc1cccs1 ZINC000084116584 1055794348 /nfs/dbraw/zinc/79/43/48/1055794348.db2.gz KWHOBTQXYQWSHD-QGZVFWFLSA-N 0 3 311.454 4.014 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3c2cccc3Cl)cs1 ZINC000624331623 1055802199 /nfs/dbraw/zinc/80/21/99/1055802199.db2.gz FUKHPXWOAOHPEO-JTQLQIEISA-N 0 3 319.861 4.480 20 0 DIADHN CCCc1noc(CN2CCC[C@@H]2[C@H](CC)c2ccccc2)n1 ZINC000058524054 1055804911 /nfs/dbraw/zinc/80/49/11/1055804911.db2.gz KPXOBLXNUGAELY-IAGOWNOFSA-N 0 3 313.445 4.180 20 0 DIADHN Cc1ccc(CN2CCC(C(=O)c3ccc(C)cc3)CC2)cc1 ZINC000059424224 1055826093 /nfs/dbraw/zinc/82/60/93/1055826093.db2.gz SJUCPABGZIZQSW-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN Cc1cc(NC(=O)[C@H]2CCCN2CC(C)C)cc(C)c1Cl ZINC001567996184 1055826076 /nfs/dbraw/zinc/82/60/76/1055826076.db2.gz MZCNRAMXKZPEGX-OAHLLOKOSA-N 0 3 308.853 4.016 20 0 DIADHN CCCCC1CCC(C(=O)NCCN2CCCC(C)(C)C2)CC1 ZINC001568194972 1055831213 /nfs/dbraw/zinc/83/12/13/1055831213.db2.gz OHRLSGAXJDEGHC-UHFFFAOYSA-N 0 3 322.537 4.221 20 0 DIADHN CCc1cccc(CC)c1NC(=O)Nc1ccc2c(c1)CNC2 ZINC001239187732 1055843222 /nfs/dbraw/zinc/84/32/22/1055843222.db2.gz SEQQEUCBNGSYER-UHFFFAOYSA-N 0 3 309.413 4.059 20 0 DIADHN CCCCCCN(C)CC(=O)N([C@H](C)c1ccccc1)C1CC1 ZINC001568820886 1055847269 /nfs/dbraw/zinc/84/72/69/1055847269.db2.gz MWACZQYXSOBKGV-QGZVFWFLSA-N 0 3 316.489 4.251 20 0 DIADHN Clc1ccc(CNCCSc2ccc(Cl)cc2)o1 ZINC000061016094 1055859096 /nfs/dbraw/zinc/85/90/96/1055859096.db2.gz BHJOCUZCIYLHOP-UHFFFAOYSA-N 0 3 302.226 4.468 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C[C@@H](C)CC(C)(C)C ZINC001568921036 1055848935 /nfs/dbraw/zinc/84/89/35/1055848935.db2.gz MYSJXZJDWIVEGB-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)C[C@H](C)CC(C)(C)C ZINC001568921035 1055849011 /nfs/dbraw/zinc/84/90/11/1055849011.db2.gz MYSJXZJDWIVEGB-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN Cc1cc2ccccc2c(NC(=O)c2cccc(CN(C)C)c2)c1 ZINC001569275817 1055858917 /nfs/dbraw/zinc/85/89/17/1055858917.db2.gz ZFWRXLZTFWSJNS-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN COc1cc(CN[C@H](c2ccc(F)cc2)C(C)C)cc(OC)c1 ZINC000061558088 1055868450 /nfs/dbraw/zinc/86/84/50/1055868450.db2.gz LGNSBLOGDWNNFF-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001569752412 1055872285 /nfs/dbraw/zinc/87/22/85/1055872285.db2.gz ALJVHTGBGJRDJZ-KGLIPLIRSA-N 0 3 319.493 4.361 20 0 DIADHN CCOC(=O)c1nc(CN[C@@H]2CCCC[C@H]2C(C)(C)C)cs1 ZINC000108367695 1055876185 /nfs/dbraw/zinc/87/61/85/1055876185.db2.gz KJDPWPYTGKBHAH-ZIAGYGMSSA-N 0 3 324.490 4.014 20 0 DIADHN CN(C)Cc1ccc(-c2cccc(C(=O)C(F)(F)F)c2)cc1 ZINC001239385886 1055883990 /nfs/dbraw/zinc/88/39/90/1055883990.db2.gz DASKYMMFNWZWMS-UHFFFAOYSA-N 0 3 307.315 4.160 20 0 DIADHN CN(C)Cc1cccc(-c2cccc(C(=O)C(F)(F)F)c2)c1 ZINC001239385426 1055884819 /nfs/dbraw/zinc/88/48/19/1055884819.db2.gz DLSCJNKETMKWDX-UHFFFAOYSA-N 0 3 307.315 4.160 20 0 DIADHN CC[C@@H](C)CC(=O)NC[C@@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001570061926 1055885189 /nfs/dbraw/zinc/88/51/89/1055885189.db2.gz HRPCWENSLDWFFA-APWZRJJASA-N 0 3 318.505 4.040 20 0 DIADHN CC/C=C(\C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001539702284 1055886456 /nfs/dbraw/zinc/88/64/56/1055886456.db2.gz ZIEAPIKSMSQLPG-OEXZBZRSSA-N 0 3 322.880 4.195 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CCCCC(C)(C)C)c(F)c1 ZINC001570312394 1055895932 /nfs/dbraw/zinc/89/59/32/1055895932.db2.gz QPSLYIHIIHFRCV-UHFFFAOYSA-N 0 3 308.441 4.432 20 0 DIADHN C[C@@H](CC(=O)Nc1ccc(CN(C)C)cc1F)CC(C)(C)C ZINC001570312806 1055896954 /nfs/dbraw/zinc/89/69/54/1055896954.db2.gz ZQEXXHHCWHTGAK-ZDUSSCGKSA-N 0 3 308.441 4.288 20 0 DIADHN CC(C)[C@H](C(=O)NCC1(C)CCC(C)(C)CC1)N1CCCCC1 ZINC001570370438 1055899369 /nfs/dbraw/zinc/89/93/69/1055899369.db2.gz CWLFMRIGDOXPDR-QGZVFWFLSA-N 0 3 322.537 4.220 20 0 DIADHN CCOC(=O)c1cc2c(cc(C)nc2-c2ccc(C)cc2)n1C ZINC001239478094 1055901279 /nfs/dbraw/zinc/90/12/79/1055901279.db2.gz FTPBTRQGJCAVHO-UHFFFAOYSA-N 0 3 308.381 4.034 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)CC1CCCC1 ZINC000064021457 1055909743 /nfs/dbraw/zinc/90/97/43/1055909743.db2.gz SWUIVWMHRJLHSB-UHFFFAOYSA-N 0 3 302.462 4.179 20 0 DIADHN Cc1cc(C)cc(Oc2ccc(CNCc3cnc[nH]3)cc2C)c1 ZINC001620756947 1055928578 /nfs/dbraw/zinc/92/85/78/1055928578.db2.gz QZVMDXPMVPVWTE-UHFFFAOYSA-N 0 3 321.424 4.417 20 0 DIADHN CN(C)c1ncc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)s1 ZINC001620758857 1055930412 /nfs/dbraw/zinc/93/04/12/1055930412.db2.gz ZRBBYVSVXGLFKS-OAHLLOKOSA-N 0 3 321.465 4.225 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742722 1055932774 /nfs/dbraw/zinc/93/27/74/1055932774.db2.gz DYFKQLGRWGLQMT-MNOVXSKESA-N 0 3 302.399 4.315 20 0 DIADHN COc1cccc(OC)c1CNCCCCCc1ccccc1 ZINC001620765879 1055937510 /nfs/dbraw/zinc/93/75/10/1055937510.db2.gz YAWIDHIONQGKHT-UHFFFAOYSA-N 0 3 313.441 4.206 20 0 DIADHN COC(=O)CC[C@H](NCc1ccc(C)c(C)c1)c1ccccc1 ZINC001620769889 1055939269 /nfs/dbraw/zinc/93/92/69/1055939269.db2.gz QUHWXAMQPQLGTP-IBGZPJMESA-N 0 3 311.425 4.088 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2F)c1ccc2[nH]c(=O)oc2c1 ZINC000671744095 1055939547 /nfs/dbraw/zinc/93/95/47/1055939547.db2.gz BILXFCJLYPUROJ-BMIGLBTASA-N 0 3 312.344 4.011 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2c(Cl)n[nH]c2C2CC2)cc1 ZINC001620771493 1055941617 /nfs/dbraw/zinc/94/16/17/1055941617.db2.gz GIBBBVSEZMOGHI-UHFFFAOYSA-N 0 3 317.864 4.316 20 0 DIADHN C[C@H](CNCc1coc(-c2ccccc2)n1)c1cccc(F)c1 ZINC001620775989 1055942072 /nfs/dbraw/zinc/94/20/72/1055942072.db2.gz ZQJRAFGAINLKII-CQSZACIVSA-N 0 3 310.372 4.374 20 0 DIADHN C[C@@H](CNCc1coc(-c2ccccc2)n1)c1cccc(F)c1 ZINC001620775988 1055942520 /nfs/dbraw/zinc/94/25/20/1055942520.db2.gz ZQJRAFGAINLKII-AWEZNQCLSA-N 0 3 310.372 4.374 20 0 DIADHN COc1ccc(Br)c(CN(C)C[C@H]2CC=CCC2)c1 ZINC001616549381 1055944449 /nfs/dbraw/zinc/94/44/49/1055944449.db2.gz QEEVMSNMYHVRCQ-ZDUSSCGKSA-N 0 3 324.262 4.246 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2nc(C(C)(C)C)cs2)CCO1 ZINC001620783365 1055951861 /nfs/dbraw/zinc/95/18/61/1055951861.db2.gz IHFISYQCBGNOCT-IHRRRGAJSA-N 0 3 310.507 4.295 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cc(-c2ccccc2)no1 ZINC000065595854 1055951920 /nfs/dbraw/zinc/95/19/20/1055951920.db2.gz PDLVLCVOAHHDOI-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cnc3c(F)cccc3c1)CC2 ZINC001620784614 1055957440 /nfs/dbraw/zinc/95/74/40/1055957440.db2.gz OJNGVUKYMWAMRS-SFHVURJKSA-N 0 3 310.347 4.290 20 0 DIADHN C[C@H](NCc1ccc(C2CCCC2)cc1)C(=O)Nc1ccccc1 ZINC001620786650 1055960514 /nfs/dbraw/zinc/96/05/14/1055960514.db2.gz QANIWTQTPUBEKI-INIZCTEOSA-N 0 3 322.452 4.461 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2cccc(Cl)c2Cl)C1 ZINC001620988579 1055960665 /nfs/dbraw/zinc/96/06/65/1055960665.db2.gz RBNMBADRAFNLBL-HAQNSBGRSA-N 0 3 302.245 4.429 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001620989986 1055962233 /nfs/dbraw/zinc/96/22/33/1055962233.db2.gz ZXZZQPXWJNIBFZ-SFHVURJKSA-N 0 3 317.473 4.447 20 0 DIADHN CCn1cnc(Cl)c1CNCCCc1ccc(C(C)C)cc1 ZINC001620788904 1055964384 /nfs/dbraw/zinc/96/43/84/1055964384.db2.gz BZVIEOPJTXIMOG-UHFFFAOYSA-N 0 3 319.880 4.402 20 0 DIADHN CC[C@H](C(=O)N1CC[C@H](C)Sc2ccccc21)N(CC)CC ZINC001540083886 1055966445 /nfs/dbraw/zinc/96/64/45/1055966445.db2.gz GQBWZZJOGCMHNX-LSDHHAIUSA-N 0 3 320.502 4.024 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@H](C)[C@@H]2c2ccccc2)cc1 ZINC001620569852 1055967258 /nfs/dbraw/zinc/96/72/58/1055967258.db2.gz QLFIGQKGZVFIOU-HRAATJIYSA-N 0 3 322.452 4.271 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN([C@@H](C)c1ccccc1C)CC2 ZINC001620564747 1055967549 /nfs/dbraw/zinc/96/75/49/1055967549.db2.gz RNYVMKDMXCDSDN-HNNXBMFYSA-N 0 3 308.425 4.073 20 0 DIADHN COc1cccc(SCCN2CC[C@](C)(C(F)(F)F)C2)c1 ZINC001620559639 1055968476 /nfs/dbraw/zinc/96/84/76/1055968476.db2.gz YHRIYBLMJJQZSN-AWEZNQCLSA-N 0 3 319.392 4.062 20 0 DIADHN Cc1cc(F)ccc1CN1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC001620574751 1055970452 /nfs/dbraw/zinc/97/04/52/1055970452.db2.gz BUNWAYNXEQSUDB-CYBMUJFWSA-N 0 3 305.290 4.001 20 0 DIADHN CN(Cc1cccs1)Cc1ccc(OCc2ccccn2)cc1 ZINC000065949481 1055971885 /nfs/dbraw/zinc/97/18/85/1055971885.db2.gz BMCRYCNKIVIYPZ-UHFFFAOYSA-N 0 3 324.449 4.354 20 0 DIADHN Brc1cncc([C@H]2CCCN2CC2CCCC2)c1 ZINC001620601698 1055973385 /nfs/dbraw/zinc/97/33/85/1055973385.db2.gz IYSADIIEEAUHKI-OAHLLOKOSA-N 0 3 309.251 4.171 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1CCC[C@H]2C[C@H]21 ZINC001621007706 1055974974 /nfs/dbraw/zinc/97/49/74/1055974974.db2.gz KPBQQEQTETYPJL-MELADBBJSA-N 0 3 302.443 4.237 20 0 DIADHN Clc1sccc1CN[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12 ZINC001621013103 1055978652 /nfs/dbraw/zinc/97/86/52/1055978652.db2.gz QZCBCEJKEUVBAX-BFHYXJOUSA-N 0 3 310.249 4.483 20 0 DIADHN CCOCc1ccccc1CNCc1cc(F)cc(OCC)c1 ZINC001620709563 1055983464 /nfs/dbraw/zinc/98/34/64/1055983464.db2.gz NOLSYPGXKIFTGS-UHFFFAOYSA-N 0 3 317.404 4.051 20 0 DIADHN COc1ccc(-c2nc(CNCCCC(C)C)cs2)cc1 ZINC001620709346 1055983508 /nfs/dbraw/zinc/98/35/08/1055983508.db2.gz CYQFADHQXNZGOO-UHFFFAOYSA-N 0 3 304.459 4.345 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC)cc2OC)cc1F ZINC001239623391 1055983327 /nfs/dbraw/zinc/98/33/27/1055983327.db2.gz MZMJZYRHNNPGFS-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN Fc1ccc2oc(CNCC(C3CCC3)C3CCC3)nc2c1 ZINC001621017591 1055983654 /nfs/dbraw/zinc/98/36/54/1055983654.db2.gz WREMXELURAOFMW-UHFFFAOYSA-N 0 3 302.393 4.273 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC001621018190 1055984607 /nfs/dbraw/zinc/98/46/07/1055984607.db2.gz OIZTYJQJIAJYDI-SFTDATJTSA-N 0 3 309.453 4.412 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC001621018187 1055984855 /nfs/dbraw/zinc/98/48/55/1055984855.db2.gz OIZTYJQJIAJYDI-LEWJYISDSA-N 0 3 309.453 4.412 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(C(C)=O)cc3)c(C)c2)CC1 ZINC001239628728 1055986635 /nfs/dbraw/zinc/98/66/35/1055986635.db2.gz ZOUZPWPEASXEGP-UHFFFAOYSA-N 0 3 320.436 4.369 20 0 DIADHN COc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1OCCC(C)C ZINC001621026191 1055990131 /nfs/dbraw/zinc/99/01/31/1055990131.db2.gz ZXMCZQDZHUQOOJ-DLBZAZTESA-N 0 3 323.452 4.490 20 0 DIADHN Cc1ccnc(CNC[C@@H]2CCC[C@H](C)C2)c1Br ZINC001620748164 1055992450 /nfs/dbraw/zinc/99/24/50/1055992450.db2.gz LPYSIMZLDPHACB-WCQYABFASA-N 0 3 311.267 4.068 20 0 DIADHN COc1ccc(-c2cccc(C(C)=O)c2)cc1CN1CCCC1 ZINC001239637945 1055992712 /nfs/dbraw/zinc/99/27/12/1055992712.db2.gz GKFWDXMSMJOZHU-UHFFFAOYSA-N 0 3 309.409 4.161 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H](C)[C@@H](O)C(F)(F)F)c1 ZINC001621029880 1055993512 /nfs/dbraw/zinc/99/35/12/1055993512.db2.gz NDPPHXRLTJFTLO-CXAGYDPISA-N 0 3 323.358 4.063 20 0 DIADHN CC(C)(CNCc1c(F)cccc1Br)CC(F)F ZINC001621049597 1056009022 /nfs/dbraw/zinc/00/90/22/1056009022.db2.gz JFLFMFHDXNLSHD-UHFFFAOYSA-N 0 3 324.184 4.359 20 0 DIADHN COc1ccc2oc(CNCCOC3CCCCCC3)cc2c1 ZINC001620798830 1055995057 /nfs/dbraw/zinc/99/50/57/1055995057.db2.gz ZBKMJTGNRBVUEC-UHFFFAOYSA-N 0 3 317.429 4.270 20 0 DIADHN COc1ccc(F)cc1CNCCOc1ccccc1C(C)C ZINC001620801307 1055996927 /nfs/dbraw/zinc/99/69/27/1055996927.db2.gz XFTQSPDALRWNRQ-UHFFFAOYSA-N 0 3 317.404 4.126 20 0 DIADHN CCC(CC)(CNCc1nn(C)c2ccccc12)C(F)(F)F ZINC001621037351 1055999329 /nfs/dbraw/zinc/99/93/29/1055999329.db2.gz JEGRZWVEJHEUDJ-UHFFFAOYSA-N 0 3 313.367 4.032 20 0 DIADHN CCOc1ccc(Cl)cc1CNC(C)(C)[C@H]1CCCCO1 ZINC001621039028 1056000239 /nfs/dbraw/zinc/00/02/39/1056000239.db2.gz RRNBIGMEMLBAQS-MRXNPFEDSA-N 0 3 311.853 4.176 20 0 DIADHN C[C@H](NCc1ccc(C2CC2)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671762342 1056000344 /nfs/dbraw/zinc/00/03/44/1056000344.db2.gz PDXITKMSNRUKLD-LBPRGKRZSA-N 0 3 308.381 4.262 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1c(Cl)cccc1Cl ZINC000074320753 1056053302 /nfs/dbraw/zinc/05/33/02/1056053302.db2.gz NZNINEVAPFXTHA-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@@H]1C[C@H]1C(F)(F)F ZINC001621042111 1056002921 /nfs/dbraw/zinc/00/29/21/1056002921.db2.gz NTVUCJCGELMECO-BBBNCSCVSA-N 0 3 321.289 4.232 20 0 DIADHN CCSc1ccc(CNCc2ccc(-n3cccn3)cc2)cc1 ZINC001621047641 1056007020 /nfs/dbraw/zinc/00/70/20/1056007020.db2.gz YXJXDLONWISGOW-UHFFFAOYSA-N 0 3 323.465 4.274 20 0 DIADHN CC[C@@H](C)C[C@@H](C)NCc1ncc(Br)cc1Cl ZINC001620812856 1056007286 /nfs/dbraw/zinc/00/72/86/1056007286.db2.gz UGKUSFORWAYYMP-NXEZZACHSA-N 0 3 319.674 4.412 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1ccc2occc2c1 ZINC001621049740 1056010016 /nfs/dbraw/zinc/01/00/16/1056010016.db2.gz OEJFZYUYCUZVLF-KRWDZBQOSA-N 0 3 323.392 4.445 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1ccc2occc2c1 ZINC001621049741 1056010084 /nfs/dbraw/zinc/01/00/84/1056010084.db2.gz OEJFZYUYCUZVLF-QGZVFWFLSA-N 0 3 323.392 4.445 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@H]2CC(C)(C)CO2)o1 ZINC001621057014 1056014952 /nfs/dbraw/zinc/01/49/52/1056014952.db2.gz NMPANGLMEFELEV-MRXNPFEDSA-N 0 3 317.404 4.299 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(OC)ccc2OC)ccc1F ZINC001239669032 1056015206 /nfs/dbraw/zinc/01/52/06/1056015206.db2.gz ZHXQMIQIJMZXJG-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN COc1cc(CN(C)Cc2sccc2C)ccc1SC ZINC000068784849 1056015191 /nfs/dbraw/zinc/01/51/91/1056015191.db2.gz YAVBTWIIUHOPTN-UHFFFAOYSA-N 0 3 307.484 4.419 20 0 DIADHN CCSc1ccccc1CCNCc1nc(C)c(C)s1 ZINC001621060751 1056015851 /nfs/dbraw/zinc/01/58/51/1056015851.db2.gz LDISSCVLWPVKKT-UHFFFAOYSA-N 0 3 306.500 4.204 20 0 DIADHN COc1cc(F)cc(OC)c1CNCCCCC1CCCC1 ZINC001620816421 1056017661 /nfs/dbraw/zinc/01/76/61/1056017661.db2.gz DUKPJFYZYULVQN-UHFFFAOYSA-N 0 3 309.425 4.293 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)C[C@@H](CC)C(C)C)N(C)C)cc1 ZINC001540451136 1056032919 /nfs/dbraw/zinc/03/29/19/1056032919.db2.gz NLYQXAORJBWEHN-IEBWSBKVSA-N 0 3 318.505 4.040 20 0 DIADHN CCCC[C@@H](CC)C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C ZINC001540451262 1056033705 /nfs/dbraw/zinc/03/37/05/1056033705.db2.gz QFBCHKYDNAULJY-IEBWSBKVSA-N 0 3 318.505 4.184 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(F)cc2Cl)c(CN(C)C)c1 ZINC000072841127 1056033876 /nfs/dbraw/zinc/03/38/76/1056033876.db2.gz LWJBFQLMRNLVGU-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC[C@H](C(=O)Nc1ccc2c(c1)Cc1ccccc1-2)N(CC)CC ZINC001540458018 1056033857 /nfs/dbraw/zinc/03/38/57/1056033857.db2.gz UTYCBNZROHCUCK-HXUWFJFHSA-N 0 3 322.452 4.317 20 0 DIADHN C[C@H](CN1CCC=C(c2ccco2)C1)c1cc(F)cc(F)c1 ZINC001621327296 1056034264 /nfs/dbraw/zinc/03/42/64/1056034264.db2.gz OSKOTHNANBZWTE-CYBMUJFWSA-N 0 3 303.352 4.451 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H](C)c2ccccc2)c(CN(C)C)c1 ZINC000072840554 1056034659 /nfs/dbraw/zinc/03/46/59/1056034659.db2.gz VJVQBTFQCQKONJ-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN Cc1ccc(NC(=O)c2ccc3c(c2)CCCC3)c(CN(C)C)c1 ZINC000072840832 1056035230 /nfs/dbraw/zinc/03/52/30/1056035230.db2.gz AAHFAFLDMONPEK-UHFFFAOYSA-N 0 3 322.452 4.188 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000072925016 1056035278 /nfs/dbraw/zinc/03/52/78/1056035278.db2.gz DKSGHZCGAFAUDV-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CCCCc1ccc([C@@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540507412 1056038275 /nfs/dbraw/zinc/03/82/75/1056038275.db2.gz LMJVNWRMTVYUHX-FQEVSTJZSA-N 0 3 318.505 4.183 20 0 DIADHN c1ccc2c(c1)CCCN(Cc1nc3c(s1)CCCC3)CC2 ZINC001621340260 1056046548 /nfs/dbraw/zinc/04/65/48/1056046548.db2.gz FVFXDBZQUWEFKV-UHFFFAOYSA-N 0 3 312.482 4.013 20 0 DIADHN CC(C)C[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)c1ccccc1 ZINC001540618422 1056052241 /nfs/dbraw/zinc/05/22/41/1056052241.db2.gz QTZMEQRZVKHIQZ-IEBWSBKVSA-N 0 3 316.489 4.155 20 0 DIADHN C/C(=C/C1CCC(C)CC1)C(=O)Nc1cccc(CN(C)C)c1 ZINC001540627683 1056052743 /nfs/dbraw/zinc/05/27/43/1056052743.db2.gz KXPHUVCXWMMJDR-VBKFSLOCSA-N 0 3 314.473 4.459 20 0 DIADHN C/C(=C\C1CCC(C)CC1)C(=O)Nc1cccc(CN(C)C)c1 ZINC001540627682 1056052908 /nfs/dbraw/zinc/05/29/08/1056052908.db2.gz KXPHUVCXWMMJDR-FOWTUZBSSA-N 0 3 314.473 4.459 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc3c(c2)OCCO3)cc1 ZINC000074334814 1056054164 /nfs/dbraw/zinc/05/41/64/1056054164.db2.gz LXCMLAUZAJLKQH-CYBMUJFWSA-N 0 3 315.438 4.031 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1ccc2c(c1)OCCCO2 ZINC000074340564 1056054603 /nfs/dbraw/zinc/05/46/03/1056054603.db2.gz IXJYNEONJZWEON-CYBMUJFWSA-N 0 3 317.816 4.352 20 0 DIADHN CCC(C)(C)C1CCC(NC(=O)CN(C)CC(C)(C)C)CC1 ZINC001540651790 1056057308 /nfs/dbraw/zinc/05/73/08/1056057308.db2.gz KZLSSGYVDDEYQC-UHFFFAOYSA-N 0 3 310.526 4.076 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(NC(C)=O)cc2)ccc1F ZINC001239758750 1056061721 /nfs/dbraw/zinc/06/17/21/1056061721.db2.gz ZSTLJXJBFAYSLT-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN C[C@H](NCc1ccc(Cl)cn1)c1cc2c(cc1O)CCCC2 ZINC000186230016 1056063141 /nfs/dbraw/zinc/06/31/41/1056063141.db2.gz MUXBPMTXERUYAK-LBPRGKRZSA-N 0 3 316.832 4.170 20 0 DIADHN Cc1ccc(CNCc2c[nH]nc2-c2ccc(Cl)cc2)cc1 ZINC000006187887 1056109553 /nfs/dbraw/zinc/10/95/53/1056109553.db2.gz WCMPOZHCFUAOOI-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN Cc1ccc(-c2cc(OC3CCN(C)CC3)ccn2)c(Cl)c1 ZINC001239862480 1056113972 /nfs/dbraw/zinc/11/39/72/1056113972.db2.gz GPNASOOVOPQACR-UHFFFAOYSA-N 0 3 316.832 4.183 20 0 DIADHN CCc1cc(CN[C@@H](C)c2ccc(OC(C)(C)C)cc2)n[nH]1 ZINC000765803018 1056117170 /nfs/dbraw/zinc/11/71/70/1056117170.db2.gz OCGRSJKLZHUDFT-ZDUSSCGKSA-N 0 3 301.434 4.000 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1CCC(C)C)N(CC)CC ZINC001542414071 1056123090 /nfs/dbraw/zinc/12/30/90/1056123090.db2.gz ZRMDUVSZTUONMT-GOSISDBHSA-N 0 3 304.478 4.334 20 0 DIADHN CCCC[C@H](CC)CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001542420201 1056123135 /nfs/dbraw/zinc/12/31/35/1056123135.db2.gz WYNNXECEGAAZHY-HKUYNNGSSA-N 0 3 318.505 4.320 20 0 DIADHN CCCC[C@@H](CC)CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001542420202 1056123760 /nfs/dbraw/zinc/12/37/60/1056123760.db2.gz WYNNXECEGAAZHY-IEBWSBKVSA-N 0 3 318.505 4.320 20 0 DIADHN O=C(c1ccc(-c2ccc(C3=NCCC3)cc2)cc1)N1CCCC1 ZINC001239896705 1056140932 /nfs/dbraw/zinc/14/09/32/1056140932.db2.gz ZYMSXWARPHQKRW-UHFFFAOYSA-N 0 3 318.420 4.173 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN[C@H]1C[C@H]1C1CCCCC1 ZINC001620819966 1056151858 /nfs/dbraw/zinc/15/18/58/1056151858.db2.gz HFJMPSZBBJYWGX-HOCLYGCPSA-N 0 3 313.363 4.486 20 0 DIADHN CCc1ccc([C@H](C)NC[C@](C)(O)c2ccccc2Cl)o1 ZINC000765813246 1056159453 /nfs/dbraw/zinc/15/94/53/1056159453.db2.gz ZVMVKRKDZNCLMB-SJCJKPOMSA-N 0 3 307.821 4.054 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@](C)(O)c2ccccc2Cl)o1 ZINC000765813250 1056159804 /nfs/dbraw/zinc/15/98/04/1056159804.db2.gz ZVMVKRKDZNCLMB-YVEFUNNKSA-N 0 3 307.821 4.054 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2ccc(OC(C)C)cc2)c1 ZINC000090972152 1056185670 /nfs/dbraw/zinc/18/56/70/1056185670.db2.gz FTOWXDFXISGHOU-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN CCOc1ccc2cc(-c3cncc(CN(C)C)c3)ccc2c1 ZINC001239959344 1056198309 /nfs/dbraw/zinc/19/83/09/1056198309.db2.gz QEIXOUCKGRDQSQ-UHFFFAOYSA-N 0 3 306.409 4.362 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc(CCC(C)C)cc1 ZINC001583898460 1056199275 /nfs/dbraw/zinc/19/92/75/1056199275.db2.gz PERZPSDCZWGLSC-SFHVURJKSA-N 0 3 302.462 4.088 20 0 DIADHN CC(C)(C)N1CC[C@@](F)(C(=O)Nc2cccc(C3CCC3)c2)C1 ZINC001583933131 1056205991 /nfs/dbraw/zinc/20/59/91/1056205991.db2.gz BUNJCNAZJWYZNY-IBGZPJMESA-N 0 3 318.436 4.105 20 0 DIADHN C[Si](C)(C)c1ccc(CNC2(c3cccc(F)c3)CC2)cc1 ZINC001168622202 1056211984 /nfs/dbraw/zinc/21/19/84/1056211984.db2.gz IHXOKDXOAIGHMQ-UHFFFAOYSA-N 0 3 313.492 4.150 20 0 DIADHN CO[C@H](CNC1(c2cccc(Cl)c2)CC1)c1ccc(F)cc1 ZINC001168622889 1056217156 /nfs/dbraw/zinc/21/71/56/1056217156.db2.gz QCXUPFNWMUKBQY-QGZVFWFLSA-N 0 3 319.807 4.446 20 0 DIADHN CO[C@@H](CNC1(c2cccc(Cl)c2)CC1)c1ccc(F)cc1 ZINC001168622887 1056217381 /nfs/dbraw/zinc/21/73/81/1056217381.db2.gz QCXUPFNWMUKBQY-KRWDZBQOSA-N 0 3 319.807 4.446 20 0 DIADHN Fc1ccccc1C1(NCCc2c(F)cccc2Cl)CC1 ZINC001168622913 1056218262 /nfs/dbraw/zinc/21/82/62/1056218262.db2.gz QPTGULNBLTTYIU-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN Cc1nc(-c2ccc(C(=O)NC(C)(C)C)cc2)cc2[nH]ccc21 ZINC001239991521 1056231136 /nfs/dbraw/zinc/23/11/36/1056231136.db2.gz RZDKDSAWJXOCMV-UHFFFAOYSA-N 0 3 307.397 4.067 20 0 DIADHN c1cc(N2CCCC2)cc(-c2ccc(N3CCCCC3)cc2)n1 ZINC001240005792 1056244426 /nfs/dbraw/zinc/24/44/26/1056244426.db2.gz FHRFEOWPYCSKPO-UHFFFAOYSA-N 0 3 307.441 4.339 20 0 DIADHN CC[C@H](NC[C@@H]1CCCC2(CCC2)O1)c1cc(F)ccc1F ZINC001168632931 1056259119 /nfs/dbraw/zinc/25/91/19/1056259119.db2.gz FFZIPVGPJCIYQL-YOEHRIQHSA-N 0 3 309.400 4.497 20 0 DIADHN c1nc(C2CCCC2)sc1CNC[C@H]1CCC2(CCCC2)O1 ZINC000655863505 1056262760 /nfs/dbraw/zinc/26/27/60/1056262760.db2.gz LJAYGCSKSQNZSY-OAHLLOKOSA-N 0 3 320.502 4.382 20 0 DIADHN C[C@H](C(=O)NC[C@H]1CCCC[C@H]1C(C)(C)C)N1CCCCCC1 ZINC001584125174 1056268669 /nfs/dbraw/zinc/26/86/69/1056268669.db2.gz RMGCIUGVPYGTJG-KZNAEPCWSA-N 0 3 322.537 4.220 20 0 DIADHN CCN(Cc1nnc(-c2cccc(Cl)c2)o1)[C@H](C)C(C)C ZINC000106933810 1056270888 /nfs/dbraw/zinc/27/08/88/1056270888.db2.gz JPRQNMHMRYCRHB-GFCCVEGCSA-N 0 3 307.825 4.256 20 0 DIADHN CC1CCN(C(=O)c2ccc(-c3cncc4cc[nH]c43)cc2)CC1 ZINC001240041201 1056276225 /nfs/dbraw/zinc/27/62/25/1056276225.db2.gz LMOFHMVQUPBVRF-UHFFFAOYSA-N 0 3 319.408 4.102 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H]2CCCc3cn(C(C)C)nc32)C1(C)C ZINC000765826624 1056276709 /nfs/dbraw/zinc/27/67/09/1056276709.db2.gz ZQGDEJJMIUETMY-GVDBMIGSSA-N 0 3 319.493 4.023 20 0 DIADHN CCC(CC)[C@@H](NCc1n[nH]c(C2CC2)n1)c1cccs1 ZINC000347658851 1056299515 /nfs/dbraw/zinc/29/95/15/1056299515.db2.gz WSAGQWGEGUMQKK-OAHLLOKOSA-N 0 3 304.463 4.011 20 0 DIADHN COc1nsc(CN[C@H](C)[C@@H](C)c2ccccc2)c1Cl ZINC001620823039 1056300142 /nfs/dbraw/zinc/30/01/42/1056300142.db2.gz AYGUGNQKWLJXST-GHMZBOCLSA-N 0 3 310.850 4.087 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC001584268083 1056303932 /nfs/dbraw/zinc/30/39/32/1056303932.db2.gz HGUHWPNXDQRLDJ-UHFFFAOYSA-N 0 3 324.553 4.274 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccccc2N(C)CC)cc1 ZINC000765847358 1056310220 /nfs/dbraw/zinc/31/02/20/1056310220.db2.gz BXCMZRMDTLIADQ-INIZCTEOSA-N 0 3 312.457 4.392 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1ccc2c(c1)COC2 ZINC000765848470 1056311668 /nfs/dbraw/zinc/31/16/68/1056311668.db2.gz GMUVILCIUFUMST-OAHLLOKOSA-N 0 3 310.441 4.024 20 0 DIADHN CCCCNC(=O)c1cccc(-c2cc3[nH]ccc3c(C)n2)c1 ZINC001240085865 1056311950 /nfs/dbraw/zinc/31/19/50/1056311950.db2.gz IXGXKYJFCCOUAU-UHFFFAOYSA-N 0 3 307.397 4.068 20 0 DIADHN CN(Cc1cccs1)Cc1ccc(OCC(F)(F)F)cc1 ZINC000056492191 1056312685 /nfs/dbraw/zinc/31/26/85/1056312685.db2.gz LFCXNWYIQWXHEU-UHFFFAOYSA-N 0 3 315.360 4.321 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(N[C@@H]2CCNc3ccccc32)n1 ZINC001168640436 1056312890 /nfs/dbraw/zinc/31/28/90/1056312890.db2.gz INHPUNPCMFMFIJ-CRAIPNDOSA-N 0 3 322.456 4.429 20 0 DIADHN C[C@@H](NCC1(c2cccc(C(F)(F)F)c2)CCC1)c1ncc[nH]1 ZINC000353528017 1056329679 /nfs/dbraw/zinc/32/96/79/1056329679.db2.gz FNVTVJANNSNAGZ-GFCCVEGCSA-N 0 3 323.362 4.201 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)NC[C@H]2N(C)CCCC2(C)C)cc1 ZINC001543944375 1056331329 /nfs/dbraw/zinc/33/13/29/1056331329.db2.gz HWNJQPIUVIKUJB-MAUKXSAKSA-N 0 3 316.489 4.050 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F)c1ncc[nH]1 ZINC000353621340 1056344734 /nfs/dbraw/zinc/34/47/34/1056344734.db2.gz MFIRAKGQGSERAO-WHOFXGATSA-N 0 3 323.362 4.415 20 0 DIADHN Cc1n[nH]c(C)c1-c1ccc(C(F)(F)F)cc1CN1CCCC1 ZINC001240126489 1056345107 /nfs/dbraw/zinc/34/51/07/1056345107.db2.gz FDGFPCUQFHGZPQ-UHFFFAOYSA-N 0 3 323.362 4.308 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC001544164057 1056373387 /nfs/dbraw/zinc/37/33/87/1056373387.db2.gz YYWMZXHIIVKNER-LJQANCHMSA-N 0 3 320.452 4.036 20 0 DIADHN CCC[C@H](C(=O)N(CCN1CCCC1)c1ccccc1)C(C)C ZINC001544203890 1056380812 /nfs/dbraw/zinc/38/08/12/1056380812.db2.gz CAGHAZUEZBJIKO-IBGZPJMESA-N 0 3 316.489 4.188 20 0 DIADHN COc1ccc(-c2cccc(N3CCCCC3)c2)cc1CN(C)C ZINC001240252849 1056392117 /nfs/dbraw/zinc/39/21/17/1056392117.db2.gz WGJWBXPVGRHGGU-UHFFFAOYSA-N 0 3 324.468 4.414 20 0 DIADHN Nc1ccnc2cc(-c3cccc(N4CCCCC4)c3)ccc12 ZINC001240252803 1056392642 /nfs/dbraw/zinc/39/26/42/1056392642.db2.gz TXKUTVFPKJMTDH-UHFFFAOYSA-N 0 3 303.409 4.474 20 0 DIADHN Cc1ccc([C@H](CNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)N(C)C)cc1 ZINC001544274073 1056400007 /nfs/dbraw/zinc/40/00/07/1056400007.db2.gz UQUFQFHKVQULGW-BQFCYCMXSA-N 0 3 318.505 4.032 20 0 DIADHN Cn1ncc2ccc(-c3ccc(CN4CCCCC4)c(F)c3)cc21 ZINC001240310747 1056422948 /nfs/dbraw/zinc/42/29/48/1056422948.db2.gz PSTWXEPDZMVOQY-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN COCc1csc(CN(C)CCCOc2ccccc2C)c1 ZINC001621169121 1056424480 /nfs/dbraw/zinc/42/44/80/1056424480.db2.gz WTZSVIROESNDSX-UHFFFAOYSA-N 0 3 319.470 4.104 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3ncn(C)c3c2)ccc1F ZINC001240322461 1056429055 /nfs/dbraw/zinc/42/90/55/1056429055.db2.gz WCNQENQTUHPVRU-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3ncn(C)c3c2)cc1F ZINC001240321753 1056429295 /nfs/dbraw/zinc/42/92/95/1056429295.db2.gz JZTOBRUOIRUKFL-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)C(C)C ZINC000921743855 1056452825 /nfs/dbraw/zinc/45/28/25/1056452825.db2.gz AGSHPKOXHDIFQC-KXBFYZLASA-N 0 3 310.441 4.200 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2c(c1)C[C@H](C)O2)C(C)C ZINC000921743851 1056452938 /nfs/dbraw/zinc/45/29/38/1056452938.db2.gz AGSHPKOXHDIFQC-HNAYVOBHSA-N 0 3 310.441 4.200 20 0 DIADHN CSc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000347664011 1056454292 /nfs/dbraw/zinc/45/42/92/1056454292.db2.gz OOVCHYHSSKWDBL-KRWDZBQOSA-N 0 3 321.873 4.275 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)C1CCCCC1)C1CCCCC1 ZINC001614982577 1056460632 /nfs/dbraw/zinc/46/06/32/1056460632.db2.gz NRWHCBHRDHMKFW-QGZVFWFLSA-N 0 3 322.537 4.316 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)Cc1ccc2c(c1)OCO2 ZINC000060465324 1056464875 /nfs/dbraw/zinc/46/48/75/1056464875.db2.gz PSTBOXAQTOJHPW-GFCCVEGCSA-N 0 3 303.789 4.262 20 0 DIADHN Fc1ccc2ncnc(C3=CCCN(Cc4ccccc4)C3)c2c1 ZINC001240384989 1056465761 /nfs/dbraw/zinc/46/57/61/1056465761.db2.gz JVSNAGMINIOHFB-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CSCc1ccnc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240386180 1056466035 /nfs/dbraw/zinc/46/60/35/1056466035.db2.gz DOBHYLJSARQOAT-UHFFFAOYSA-N 0 3 310.466 4.234 20 0 DIADHN COCc1ccc(F)c(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240386682 1056468247 /nfs/dbraw/zinc/46/82/47/1056468247.db2.gz HLHXUYDPNJCXSI-UHFFFAOYSA-N 0 3 311.400 4.261 20 0 DIADHN FC(F)c1ccc(C2=CCCN(Cc3ccccc3)C2)nc1 ZINC001240387503 1056468282 /nfs/dbraw/zinc/46/82/82/1056468282.db2.gz TYTXJJPZWZBYSN-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN CC(C)Oc1cc(C2=CCCN(Cc3ccccc3)C2)ccn1 ZINC001240386961 1056468625 /nfs/dbraw/zinc/46/86/25/1056468625.db2.gz JQSHKCZCOWSTFF-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN CC[C@H]1CO[C@H](C)CN1Cc1ccc(-c2ccsc2)cc1 ZINC001615163451 1056469993 /nfs/dbraw/zinc/46/99/93/1056469993.db2.gz CFYSNHDHRJQNCL-KDOFPFPSSA-N 0 3 301.455 4.414 20 0 DIADHN CCN(Cc1cccc(Cl)c1)C[C@@H]1COC2(CCCCC2)O1 ZINC001615175564 1056472578 /nfs/dbraw/zinc/47/25/78/1056472578.db2.gz GGTVCUHAETWNST-QGZVFWFLSA-N 0 3 323.864 4.238 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)NCCCC2CCCCC2)cc1 ZINC001168666622 1056476839 /nfs/dbraw/zinc/47/68/39/1056476839.db2.gz KJEAHSPJCOZWNC-KRWDZBQOSA-N 0 3 316.489 4.122 20 0 DIADHN COc1cccc(CNC2(c3ccccc3)CCCC2)c1OC ZINC000073007812 1056478157 /nfs/dbraw/zinc/47/81/57/1056478157.db2.gz HSBHNXQDENTRQR-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1cccn1C ZINC000172628440 1056478220 /nfs/dbraw/zinc/47/82/20/1056478220.db2.gz BZVGJXMQMJZMMN-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CCN(C(C)(C)C)CC1 ZINC001615229429 1056478411 /nfs/dbraw/zinc/47/84/11/1056478411.db2.gz JIZPGMLHWLRCEF-GFCCVEGCSA-N 0 3 315.288 4.471 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)C(=O)CN(CC1CCCCC1)C1CC1 ZINC001615214385 1056478623 /nfs/dbraw/zinc/47/86/23/1056478623.db2.gz BKCTURUZDFPSNH-LPHOPBHVSA-N 0 3 320.521 4.068 20 0 DIADHN COC[C@@H](Cc1ccccc1)NCc1cc(Cl)ccc1Cl ZINC001620827517 1056482472 /nfs/dbraw/zinc/48/24/72/1056482472.db2.gz RJRSEYFUGQDSMT-MRXNPFEDSA-N 0 3 324.251 4.341 20 0 DIADHN COc1cccc(-c2nc(CN(C)[C@H]3CCC[C@H](C)C3)co2)c1 ZINC001615308548 1056491258 /nfs/dbraw/zinc/49/12/58/1056491258.db2.gz PEAKLVWZEGHYPW-YOEHRIQHSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1cccc([C@H]2C[C@@H]2N2CCC(OC3CCCC3)CC2)c1 ZINC001615379613 1056500311 /nfs/dbraw/zinc/50/03/11/1056500311.db2.gz WUEREAHNARUXKD-MOPGFXCFSA-N 0 3 303.421 4.105 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1ccnc2ccccc12 ZINC000903948001 1056503581 /nfs/dbraw/zinc/50/35/81/1056503581.db2.gz KLAJVCCYWSCUPA-LJQANCHMSA-N 0 3 303.409 4.270 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001615451502 1056513788 /nfs/dbraw/zinc/51/37/88/1056513788.db2.gz XOBKZOZQYDFWCA-HZPDHXFCSA-N 0 3 309.457 4.124 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cccc3[nH]c(=O)oc32)cc1 ZINC000921820015 1056515859 /nfs/dbraw/zinc/51/58/59/1056515859.db2.gz CBFDPXUJZXMDJP-UHFFFAOYSA-N 0 3 310.397 4.121 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@H]2CCC3(CCC3)CO2)s1 ZINC001615474672 1056516158 /nfs/dbraw/zinc/51/61/58/1056516158.db2.gz SMMFYYPJGZYEJV-UKRRQHHQSA-N 0 3 308.491 4.102 20 0 DIADHN CC[C@@](O)(CN(Cc1ccccc1)CC(C)(C)C)C(F)(F)F ZINC001615470028 1056517975 /nfs/dbraw/zinc/51/79/75/1056517975.db2.gz SMUJQUFBQIEETE-MRXNPFEDSA-N 0 3 317.395 4.238 20 0 DIADHN COC(=O)c1cc(CN(C)[C@H](c2ccccc2)C(C)C)cs1 ZINC001615485209 1056519993 /nfs/dbraw/zinc/51/99/93/1056519993.db2.gz OBJOZSZMZBQMGP-KRWDZBQOSA-N 0 3 317.454 4.364 20 0 DIADHN CCCOc1ccc(OCCN(C)Cc2ccsc2)cc1 ZINC000061800641 1056522958 /nfs/dbraw/zinc/52/29/58/1056522958.db2.gz AAEBYPQHXUMKNW-UHFFFAOYSA-N 0 3 305.443 4.048 20 0 DIADHN C[C@H](NCc1cnn(C2CCC2)c1)c1cccc(N2CCCC2)c1 ZINC000921833605 1056529082 /nfs/dbraw/zinc/52/90/82/1056529082.db2.gz YIWZEWITYYGPEJ-INIZCTEOSA-N 0 3 324.472 4.059 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)[C@H](O)c1cccc(F)c1 ZINC001620828271 1056532368 /nfs/dbraw/zinc/53/23/68/1056532368.db2.gz NKHAKACTPRHSAK-SGTLLEGYSA-N 0 3 319.420 4.236 20 0 DIADHN Cc1cc(CN2CCN(Cc3ccccc3)C[C@H]2C)c(C)s1 ZINC001615543448 1056532542 /nfs/dbraw/zinc/53/25/42/1056532542.db2.gz JKALAPXCYJAWTI-OAHLLOKOSA-N 0 3 314.498 4.071 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(Cl)ccc3N)cccc21 ZINC001212441151 1056537812 /nfs/dbraw/zinc/53/78/12/1056537812.db2.gz FKZFFWWFBAKWCL-MRXNPFEDSA-N 0 3 317.820 4.051 20 0 DIADHN CCOc1ncccc1-c1ccc(F)c(CN(CC)CC)c1 ZINC001240497795 1056538246 /nfs/dbraw/zinc/53/82/46/1056538246.db2.gz UUTJSEULZKCSHN-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCC[C@H](NCc1cnn(CCF)c1)c1ccc(Cl)cc1 ZINC000921840170 1056538730 /nfs/dbraw/zinc/53/87/30/1056538730.db2.gz BLJGMFFUBRVOHF-INIZCTEOSA-N 0 3 309.816 4.137 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccccc2F)no1 ZINC001615601373 1056544540 /nfs/dbraw/zinc/54/45/40/1056544540.db2.gz SZOHJYCIXXSBRL-CJNGLKHVSA-N 0 3 317.408 4.276 20 0 DIADHN COc1cccc(CCCN2CCc3cccc(F)c3[C@H]2C)c1 ZINC001615630080 1056546944 /nfs/dbraw/zinc/54/69/44/1056546944.db2.gz JLTQHEDRFAXFHK-OAHLLOKOSA-N 0 3 313.416 4.386 20 0 DIADHN Fc1ccc(-c2cnn(CC3CC3)c2)cc1CN1CCCCC1 ZINC001240515173 1056547124 /nfs/dbraw/zinc/54/71/24/1056547124.db2.gz DYFPDXIMANYQIU-UHFFFAOYSA-N 0 3 313.420 4.085 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C(C)C)nc2C)C1(C)C ZINC001615630902 1056548948 /nfs/dbraw/zinc/54/89/48/1056548948.db2.gz SWCUWJFHHVMPRL-QZTJIDSGSA-N 0 3 304.478 4.149 20 0 DIADHN Nc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)c(F)c1 ZINC001240529605 1056552720 /nfs/dbraw/zinc/55/27/20/1056552720.db2.gz GERLDWAQQAIDDD-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN Nc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001240528398 1056552765 /nfs/dbraw/zinc/55/27/65/1056552765.db2.gz GAVSKOILHVVHKO-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN C[C@@H]1CCN(Cc2cccc3c2CCOC3)[C@H](c2ccco2)C1 ZINC001615650425 1056552851 /nfs/dbraw/zinc/55/28/51/1056552851.db2.gz QRDDYNHNZHUQHA-BEFAXECRSA-N 0 3 311.425 4.326 20 0 DIADHN CCCCC1CCC(C(=O)N(CCN(C)C)CC(C)C)CC1 ZINC001585441499 1056555473 /nfs/dbraw/zinc/55/54/73/1056555473.db2.gz SDJVGCSIJUEDSR-UHFFFAOYSA-N 0 3 310.526 4.029 20 0 DIADHN CC(C)CC1CCN([C@@H](C)C(=O)N[C@H](C)c2ccccc2)CC1 ZINC001615834937 1056583424 /nfs/dbraw/zinc/58/34/24/1056583424.db2.gz VFZAWEBKEQMHMP-SJORKVTESA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001615867777 1056586778 /nfs/dbraw/zinc/58/67/78/1056586778.db2.gz KDBCEPBGBQJIOK-MAUKXSAKSA-N 0 3 310.441 4.145 20 0 DIADHN CCNC(=O)Nc1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001240580965 1056589121 /nfs/dbraw/zinc/58/91/21/1056589121.db2.gz AKDXDOIZIXNOTM-UHFFFAOYSA-N 0 3 307.397 4.078 20 0 DIADHN CC[C@](C)(NC[C@H](C)COCc1ccccc1)c1nccs1 ZINC001615887159 1056590454 /nfs/dbraw/zinc/59/04/54/1056590454.db2.gz NPRBBVZMKPWTIG-YJBOKZPZSA-N 0 3 318.486 4.211 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(C2(N3CCN(C)CC3)CC2)cc1 ZINC001240583212 1056590586 /nfs/dbraw/zinc/59/05/86/1056590586.db2.gz ZNTJPCHWYWBLEK-UHFFFAOYSA-N 0 3 324.443 4.038 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N(C)Cc1ccc(C(=O)OC)cc1 ZINC001615939519 1056600410 /nfs/dbraw/zinc/60/04/10/1056600410.db2.gz QSEGVLPXAZVYNA-SFHVURJKSA-N 0 3 315.388 4.195 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)C[C@@H]1CCC(C)(C)CO1)CCC2 ZINC001615960608 1056602602 /nfs/dbraw/zinc/60/26/02/1056602602.db2.gz JDDCDESNTOSTKQ-DZGCQCFKSA-N 0 3 322.518 4.220 20 0 DIADHN CC(C)(C)OC1CC(N2Cc3ccccc3OC(C)(C)C2)C1 ZINC000451037837 1056604834 /nfs/dbraw/zinc/60/48/34/1056604834.db2.gz QOVMKRAJXYIGPS-UHFFFAOYSA-N 0 3 303.446 4.006 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(Cl)cn2)C1(CC)CC ZINC001615998836 1056606076 /nfs/dbraw/zinc/60/60/76/1056606076.db2.gz JUYAJROUUTZMFF-HZPDHXFCSA-N 0 3 310.869 4.151 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCOc1ccc(Cl)c(F)c1 ZINC001616014206 1056607078 /nfs/dbraw/zinc/60/70/78/1056607078.db2.gz WZNQRVOSUKNISO-GFCCVEGCSA-N 0 3 323.795 4.257 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(Br)cc1C ZINC000678362180 1056607222 /nfs/dbraw/zinc/60/72/22/1056607222.db2.gz TTYGBZUHANULEO-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCSc1ccc(F)cc1F ZINC001616014180 1056607713 /nfs/dbraw/zinc/60/77/13/1056607713.db2.gz WMSMVOVGFODCAQ-LBPRGKRZSA-N 0 3 323.408 4.456 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCOc1ccc(Cl)c(F)c1 ZINC001616014207 1056607734 /nfs/dbraw/zinc/60/77/34/1056607734.db2.gz WZNQRVOSUKNISO-LBPRGKRZSA-N 0 3 323.795 4.257 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(-c3ccc(O)cc3)cc2)c1 ZINC001240601955 1056609040 /nfs/dbraw/zinc/60/90/40/1056609040.db2.gz BQUNCGONEUJTLE-UHFFFAOYSA-N 0 3 304.393 4.183 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001616065736 1056610959 /nfs/dbraw/zinc/61/09/59/1056610959.db2.gz ACZCMCWFOGIMAI-QGZVFWFLSA-N 0 3 315.436 4.412 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCCC4(CCC4)O3)CCCC2)n1 ZINC001616153964 1056614425 /nfs/dbraw/zinc/61/44/25/1056614425.db2.gz ORDRLYLFMRONCY-HNNXBMFYSA-N 0 3 320.502 4.302 20 0 DIADHN COC/C(C)=C/CN(Cc1ccc(F)cc1F)C1CCCC1 ZINC001616180060 1056615742 /nfs/dbraw/zinc/61/57/42/1056615742.db2.gz KLUSUFSWKZGQRG-NTEUORMPSA-N 0 3 309.400 4.302 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1)CC1(C)CCC1 ZINC001616188115 1056617893 /nfs/dbraw/zinc/61/78/93/1056617893.db2.gz LHBAPPRUXDKZAV-UHFFFAOYSA-N 0 3 308.853 4.181 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1scnc1C1CC1)CCC2 ZINC000355489843 1056624455 /nfs/dbraw/zinc/62/44/55/1056624455.db2.gz ZCPPDKJCDIRQAG-OAHLLOKOSA-N 0 3 302.418 4.327 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H](c1ccccc1)C(C)C ZINC001585800553 1056624434 /nfs/dbraw/zinc/62/44/34/1056624434.db2.gz JLXZIPFERDIMFH-HXUWFJFHSA-N 0 3 318.505 4.354 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCC3)c2)cc(F)c1F ZINC001240663057 1056630384 /nfs/dbraw/zinc/63/03/84/1056630384.db2.gz BMTSKJQMXYDJSZ-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN O[C@@H](CC1CCCC1)CN1CCC(=Cc2ccccc2F)CC1 ZINC001616338146 1056637489 /nfs/dbraw/zinc/63/74/89/1056637489.db2.gz BQWAXRVWZOHTLK-IBGZPJMESA-N 0 3 317.448 4.246 20 0 DIADHN Cc1cccc(CCCN2CCO[C@@]3(CCc4ccccc43)C2)c1 ZINC001616375764 1056645326 /nfs/dbraw/zinc/64/53/26/1056645326.db2.gz RETNHFXEDJQLKU-QFIPXVFZSA-N 0 3 321.464 4.102 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H]1CCC2(CCC2)O1 ZINC001616401676 1056650137 /nfs/dbraw/zinc/65/01/37/1056650137.db2.gz DONCCOVFOPEIHP-JKIFEVAISA-N 0 3 303.421 4.235 20 0 DIADHN CCOc1ccc(C2=CCN(CCOCCC(C)C)CC2)cc1 ZINC001616411390 1056652114 /nfs/dbraw/zinc/65/21/14/1056652114.db2.gz TVOFSJJYHCZGSX-UHFFFAOYSA-N 0 3 317.473 4.237 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N(C)CCC1CC1)c1cccc2ccccc21 ZINC001616425805 1056653824 /nfs/dbraw/zinc/65/38/24/1056653824.db2.gz FAGILXJOXSBPGD-HOTGVXAUSA-N 0 3 324.468 4.137 20 0 DIADHN CN(CCN1CCC(=Cc2cccc(F)c2)CC1)c1ccccc1 ZINC001616455953 1056659803 /nfs/dbraw/zinc/65/98/03/1056659803.db2.gz MKPBNVPXLGFJSD-UHFFFAOYSA-N 0 3 324.443 4.441 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1cccc(SC)c1)C1CC1 ZINC000064808044 1056671093 /nfs/dbraw/zinc/67/10/93/1056671093.db2.gz RYZGKBYDXRILOH-ZIAGYGMSSA-N 0 3 320.502 4.246 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1C[C@H](C)CC(C)(C)C1 ZINC001616567583 1056678007 /nfs/dbraw/zinc/67/80/07/1056678007.db2.gz DVKDSTGGCVWOOI-OLZOCXBDSA-N 0 3 308.853 4.035 20 0 DIADHN COc1ncc(CNC2(c3ccccc3)CC2)c2ccccc21 ZINC001616601618 1056683676 /nfs/dbraw/zinc/68/36/76/1056683676.db2.gz WRJSRBQPTXBADR-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN c1ccc([C@@H](NCC2=NOCC2)[C@@H]2CCCc3ccccc32)cc1 ZINC001616615707 1056687540 /nfs/dbraw/zinc/68/75/40/1056687540.db2.gz KJSHCSGPOGABFF-NHCUHLMSSA-N 0 3 320.436 4.214 20 0 DIADHN CC(C)COCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC001616663358 1056697389 /nfs/dbraw/zinc/69/73/89/1056697389.db2.gz ZOXRJZSMSYXTBH-LLVKDONJSA-N 0 3 321.795 4.265 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2ccc([O-])c(F)c2)nc1 ZINC001240785714 1056698439 /nfs/dbraw/zinc/69/84/39/1056698439.db2.gz BUPYBCJEACOAEU-QGZVFWFLSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2ccc([O-])c(F)c2)nc1 ZINC001240785714 1056698447 /nfs/dbraw/zinc/69/84/47/1056698447.db2.gz BUPYBCJEACOAEU-QGZVFWFLSA-N 0 3 300.377 4.140 20 0 DIADHN COc1nsc(CNC2(Cc3ccccc3)CCC2)c1Cl ZINC001620832348 1056699259 /nfs/dbraw/zinc/69/92/59/1056699259.db2.gz YMDPLJZDQHCTDH-UHFFFAOYSA-N 0 3 322.861 4.060 20 0 DIADHN Oc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)cc1F ZINC001240790977 1056701739 /nfs/dbraw/zinc/70/17/39/1056701739.db2.gz UDKOMYVGXKXUMA-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CC(C)CC[C@@H](NC[C@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616690193 1056702064 /nfs/dbraw/zinc/70/20/64/1056702064.db2.gz CRIFGBNCBYOCGT-KGLIPLIRSA-N 0 3 323.786 4.330 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)NCCC(C)(C)C)C(C)(C)C ZINC001616738861 1056708254 /nfs/dbraw/zinc/70/82/54/1056708254.db2.gz SJHHUJREOHAWDW-GOSISDBHSA-N 0 3 318.505 4.224 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(Oc2ccccn2)c1 ZINC000922002540 1056724147 /nfs/dbraw/zinc/72/41/47/1056724147.db2.gz PPDKJQBHNMVDQR-LBPRGKRZSA-N 0 3 310.319 4.304 20 0 DIADHN Cc1ccccc1OCCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000065209180 1056724875 /nfs/dbraw/zinc/72/48/75/1056724875.db2.gz DJSBYCOIRXSDFO-UHFFFAOYSA-N 0 3 323.436 4.259 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(C(C)(C)C)cc1 ZINC000656685189 1056727662 /nfs/dbraw/zinc/72/76/62/1056727662.db2.gz MCKXTECQGSWFOO-CQSZACIVSA-N 0 3 311.473 4.446 20 0 DIADHN CCCCC[C@@H](N[C@H]1CCCN(C(C)C)C1=O)c1ccccc1 ZINC001616864446 1056729332 /nfs/dbraw/zinc/72/93/32/1056729332.db2.gz BBRYBNWOXBQGPL-MOPGFXCFSA-N 0 3 316.489 4.297 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc2ncsc2c1 ZINC000922014750 1056733436 /nfs/dbraw/zinc/73/34/36/1056733436.db2.gz LAPCLXPXXHAQAM-OLZOCXBDSA-N 0 3 324.449 4.471 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3ncsc3c2)c(C)c1 ZINC000922013821 1056735303 /nfs/dbraw/zinc/73/53/03/1056735303.db2.gz ADQGCBCGLONUAZ-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN C[C@H](NC(=O)CC1CCCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001128297789 1056758753 /nfs/dbraw/zinc/75/87/53/1056758753.db2.gz AMRJWRQYEHSAMZ-JXFKEZNVSA-N 0 3 316.489 4.155 20 0 DIADHN CCCCCC(C)(C)CNCc1csc(-c2ccn(C)n2)n1 ZINC001620833661 1056769981 /nfs/dbraw/zinc/76/99/81/1056769981.db2.gz CTDGCMNWNAGOBW-UHFFFAOYSA-N 0 3 320.506 4.240 20 0 DIADHN C[C@H](C(=O)N1CCCCCc2ccccc21)N1[C@H](C)CC[C@@H]1C ZINC001586636435 1056771591 /nfs/dbraw/zinc/77/15/91/1056771591.db2.gz OODPTZFRDHVNPZ-IXDOHACOSA-N 0 3 314.473 4.007 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1ccncc1Br ZINC001617252096 1056802285 /nfs/dbraw/zinc/80/22/85/1056802285.db2.gz LTLKSMNYKPZHEA-IUODEOHRSA-N 0 3 311.267 4.245 20 0 DIADHN COc1cc(F)c(-c2cncc(CN3CCCCC3)c2)cc1F ZINC001240957743 1056784867 /nfs/dbraw/zinc/78/48/67/1056784867.db2.gz VVQNNVPOUTTXDY-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@@H]1COC2(CCCCC2)O1 ZINC001617183216 1056789089 /nfs/dbraw/zinc/78/90/89/1056789089.db2.gz UGTQHPSUFWUKSD-BLMOFCDVSA-N 0 3 315.457 4.098 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@@H]1COC2(CCCCC2)O1 ZINC001617183219 1056789251 /nfs/dbraw/zinc/78/92/51/1056789251.db2.gz UGTQHPSUFWUKSD-XEYRCQSKSA-N 0 3 315.457 4.098 20 0 DIADHN CC1(C)CN(C[C@H]2CCC3(CCCCCC3)O2)CC(C)(C)O1 ZINC001617258686 1056803339 /nfs/dbraw/zinc/80/33/39/1056803339.db2.gz DCQNXWISKOWXEG-MRXNPFEDSA-N 0 3 309.494 4.148 20 0 DIADHN Cc1ccc(-c2ccc3c(c2)COC32CNC2)c2ccccc12 ZINC001241006412 1056812331 /nfs/dbraw/zinc/81/23/31/1056812331.db2.gz IEOKMQTYTDQYRZ-UHFFFAOYSA-N 0 3 301.389 4.144 20 0 DIADHN CCCCC[C@H](NC(=O)[C@H](C)N1CCCCCC1)C(C)(C)C ZINC001617324124 1056814652 /nfs/dbraw/zinc/81/46/52/1056814652.db2.gz YEKZXSVYMDVMKM-IRXDYDNUSA-N 0 3 310.526 4.362 20 0 DIADHN C[C@H](CCOCc1ccccc1)NCc1ccsc1Cl ZINC000312640585 1056817319 /nfs/dbraw/zinc/81/73/19/1056817319.db2.gz HJMFLYBDJUOQQS-CYBMUJFWSA-N 0 3 309.862 4.487 20 0 DIADHN CC[C@H]1CCN(Cc2nc3cc(Br)ccc3o2)[C@@H]1C ZINC001617360676 1056819728 /nfs/dbraw/zinc/81/97/28/1056819728.db2.gz OWUXSGDWRGUOJN-MNOVXSKESA-N 0 3 323.234 4.211 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCC1CCCC1)c1ccccc1 ZINC001617366025 1056822021 /nfs/dbraw/zinc/82/20/21/1056822021.db2.gz FOPFFISIHLOAIO-HXUWFJFHSA-N 0 3 319.452 4.443 20 0 DIADHN Fc1cncc(F)c1CN[C@@H]1CCCC[C@H]1Cc1ccccc1 ZINC001617390679 1056825742 /nfs/dbraw/zinc/82/57/42/1056825742.db2.gz ODNRJPHEUMNQLB-HNAYVOBHSA-N 0 3 316.395 4.251 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1CCCO[C@H]1CC(C)C ZINC001617428679 1056831012 /nfs/dbraw/zinc/83/10/12/1056831012.db2.gz HYVLLXALUHMYQP-WBVHZDCISA-N 0 3 311.853 4.032 20 0 DIADHN COc1ccc(-c2cncc(CN3CCCCC3)c2)c(F)c1F ZINC001241044867 1056841954 /nfs/dbraw/zinc/84/19/54/1056841954.db2.gz IZZUDRVBAPWNIJ-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC[C@H]1Cc2c(Br)cccc2CN1CC1CCC1 ZINC001617561618 1056849292 /nfs/dbraw/zinc/84/92/92/1056849292.db2.gz DDEIVZJBRJZQHH-AWEZNQCLSA-N 0 3 308.263 4.386 20 0 DIADHN CN(CCOc1ccccc1C(C)(C)C)C[C@@H]1CCC(C)(C)O1 ZINC001617597910 1056854233 /nfs/dbraw/zinc/85/42/33/1056854233.db2.gz PKEDWSMIUROEMO-INIZCTEOSA-N 0 3 319.489 4.252 20 0 DIADHN CCCCCCOc1ccc(-c2ccnc(CN)c2)c(F)c1F ZINC001241064820 1056859159 /nfs/dbraw/zinc/85/91/59/1056859159.db2.gz AOYQNXRYPXLXSB-UHFFFAOYSA-N 0 3 320.383 4.445 20 0 DIADHN CC(C)CCC[C@@H](C)N(CCC(C)C)C(=O)[C@H]1CCCN1C ZINC001587107643 1056859285 /nfs/dbraw/zinc/85/92/85/1056859285.db2.gz AEGUMZWDIGPCRU-QZTJIDSGSA-N 0 3 310.526 4.170 20 0 DIADHN CCCCCCOc1ccc(-c2cnccc2N)c(F)c1F ZINC001241066560 1056860238 /nfs/dbraw/zinc/86/02/38/1056860238.db2.gz XLZLAQVRGRARDT-UHFFFAOYSA-N 0 3 306.356 4.398 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)C[C@@H]1CCC2(CCC2)O1 ZINC001617653591 1056865330 /nfs/dbraw/zinc/86/53/30/1056865330.db2.gz FVFFKTSQZLAVIV-IRXDYDNUSA-N 0 3 323.864 4.061 20 0 DIADHN CCOc1cc(F)c(-c2ccc3c(c2)CN(C)CC3)cc1F ZINC001241076007 1056867216 /nfs/dbraw/zinc/86/72/16/1056867216.db2.gz CHBMPOAMXFBYDS-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN CCC1CCC(N(CCO)Cc2cccc(F)c2Cl)CC1 ZINC001617708097 1056870401 /nfs/dbraw/zinc/87/04/01/1056870401.db2.gz VSAZFDHPVRRFAA-UHFFFAOYSA-N 0 3 313.844 4.242 20 0 DIADHN C[C@H](N[C@@H](CO)[C@@H](C)c1ccccc1)c1cccc(Cl)c1F ZINC000681412495 1056870689 /nfs/dbraw/zinc/87/06/89/1056870689.db2.gz OOLRXVZPTDMPCZ-DCGLDWPTSA-N 0 3 321.823 4.294 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCc3n[nH]cc32)C2CC2)cc1Cl ZINC000453309398 1056880386 /nfs/dbraw/zinc/88/03/86/1056880386.db2.gz DURHFSFYGPPSIF-RDJZCZTQSA-N 0 3 319.811 4.321 20 0 DIADHN CCN(Cc1coc(-c2cccc(OC)c2)n1)C1CCCC1 ZINC001617828651 1056889798 /nfs/dbraw/zinc/88/97/98/1056889798.db2.gz NTYVTOQDTANFOI-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@H]1Cc3cccc(Cl)c3C1)CO2 ZINC001168704519 1056892303 /nfs/dbraw/zinc/89/23/03/1056892303.db2.gz HCHPHVINOGWZHW-LRDDRELGSA-N 0 3 320.219 4.184 20 0 DIADHN O[C@@H](CC1CCCC1)CN(Cc1ccccc1Cl)CC1CC1 ZINC001617860403 1056893532 /nfs/dbraw/zinc/89/35/32/1056893532.db2.gz OHYBCGICMJPTNL-SFHVURJKSA-N 0 3 321.892 4.493 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1Cc3cccc(Cl)c3C1)CO2 ZINC001168704524 1056893711 /nfs/dbraw/zinc/89/37/11/1056893711.db2.gz HCHPHVINOGWZHW-WBMJQRKESA-N 0 3 320.219 4.184 20 0 DIADHN Cc1ccccc1[C@@H](C)N(Cc1n[nH]c(C(C)C)n1)CC(C)C ZINC001617888780 1056897369 /nfs/dbraw/zinc/89/73/69/1056897369.db2.gz YCONUHOYXSTUGR-MRXNPFEDSA-N 0 3 314.477 4.456 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2coc(-c3ccccc3OC)n2)C1 ZINC001617918851 1056901835 /nfs/dbraw/zinc/90/18/35/1056901835.db2.gz SRWZBTVAHQBOPH-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N[C@H](C)c2ccccc2C)cc1 ZINC000255957667 1056914972 /nfs/dbraw/zinc/91/49/72/1056914972.db2.gz NKIMVIQMDUTZQM-RCCFBDPRSA-N 0 3 324.468 4.220 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000389789804 1056920193 /nfs/dbraw/zinc/92/01/93/1056920193.db2.gz NIGSQSFDNZHILH-QGZVFWFLSA-N 0 3 303.425 4.309 20 0 DIADHN COc1ccc(F)c(-c2ccc(F)c(CN3CCCC3)c2)c1F ZINC001241142966 1056922748 /nfs/dbraw/zinc/92/27/48/1056922748.db2.gz JVKKHWALJKZRHB-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1c[nH]c(CN[C@H](C)C(c2ccccc2)c2ccccc2)n1 ZINC000657300408 1056927368 /nfs/dbraw/zinc/92/73/68/1056927368.db2.gz DZBNTEKUWPZOCX-MRXNPFEDSA-N 0 3 305.425 4.028 20 0 DIADHN C[C@@H](O)[C@](C)(CNCc1cscc1Cl)Cc1ccccc1 ZINC000682952224 1056928996 /nfs/dbraw/zinc/92/89/96/1056928996.db2.gz RKZXXRDHAJSBCZ-DYVFJYSZSA-N 0 3 323.889 4.121 20 0 DIADHN Cc1cnc(Cl)c(CN[C@H]2CCO[C@H](c3cccs3)C2)c1 ZINC000922161772 1056932988 /nfs/dbraw/zinc/93/29/88/1056932988.db2.gz HLHUVBOLOVBHKP-KBPBESRZSA-N 0 3 322.861 4.115 20 0 DIADHN CCOc1ccccc1OCCNC(C)(C)c1ccccc1F ZINC001618197483 1056933309 /nfs/dbraw/zinc/93/33/09/1056933309.db2.gz ZNPIFASHHAYGJI-UHFFFAOYSA-N 0 3 317.404 4.128 20 0 DIADHN Cc1cnc(Cl)c(CN[C@@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000922161884 1056933980 /nfs/dbraw/zinc/93/39/80/1056933980.db2.gz HLHUVBOLOVBHKP-ZIAGYGMSSA-N 0 3 322.861 4.115 20 0 DIADHN c1c2ccncc2sc1CN[C@@H]1CCCOc2ccccc21 ZINC000657338924 1056936088 /nfs/dbraw/zinc/93/60/88/1056936088.db2.gz IOSLYTPCHPVEKO-MRXNPFEDSA-N 0 3 310.422 4.300 20 0 DIADHN CCSCCN[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000314780768 1056942916 /nfs/dbraw/zinc/94/29/16/1056942916.db2.gz KWZVWVJSJRJPGM-SFHVURJKSA-N 0 3 301.455 4.127 20 0 DIADHN CCN1CCCC[C@@H]1c1ccc(-c2ccccc2C(C)=O)nc1 ZINC001241205519 1056957661 /nfs/dbraw/zinc/95/76/61/1056957661.db2.gz XOIWYPWUIQDXJU-HXUWFJFHSA-N 0 3 308.425 4.498 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1ccc(Cl)s1)CC2 ZINC000479072011 1056968622 /nfs/dbraw/zinc/96/86/22/1056968622.db2.gz AOCXPPHFAIIVGU-ZDUSSCGKSA-N 0 3 323.845 4.196 20 0 DIADHN Cc1nn(C)c2ncc(CN3CCCC[C@H]3c3ccccc3)cc12 ZINC000358035851 1056971140 /nfs/dbraw/zinc/97/11/40/1056971140.db2.gz JRHCGGDPGNWVEY-IBGZPJMESA-N 0 3 320.440 4.004 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnc(N(C)C)cc2C)cc1F ZINC001241252632 1056981667 /nfs/dbraw/zinc/98/16/67/1056981667.db2.gz VGPTYZDACSNIJY-UHFFFAOYSA-N 0 3 315.436 4.104 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cccc2[nH]c(=O)oc21 ZINC000922264227 1056991817 /nfs/dbraw/zinc/99/18/17/1056991817.db2.gz AUARPFPZLWPVOO-MRXNPFEDSA-N 0 3 308.381 4.009 20 0 DIADHN COc1ccc(C[NH+]2CCCCC2)cc1-c1cc([O-])cc(F)c1 ZINC001241280347 1056994848 /nfs/dbraw/zinc/99/48/48/1056994848.db2.gz LXCZOQHRHZMHOI-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000117347596 1056998013 /nfs/dbraw/zinc/99/80/13/1056998013.db2.gz QDSZYVKLQWDVSC-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241290762 1056999604 /nfs/dbraw/zinc/99/96/04/1056999604.db2.gz FVNKWQRZTHGEHS-OAHLLOKOSA-N 0 3 318.367 4.279 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241290762 1056999608 /nfs/dbraw/zinc/99/96/08/1056999608.db2.gz FVNKWQRZTHGEHS-OAHLLOKOSA-N 0 3 318.367 4.279 20 0 DIADHN CC(C)(NCc1cnn(CCF)c1)c1ccc2ccccc2c1 ZINC000922298007 1057000544 /nfs/dbraw/zinc/00/05/44/1057000544.db2.gz NLMPXQLRACDJJX-UHFFFAOYSA-N 0 3 311.404 4.031 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cnn(C3CCC3)c1)CCC2 ZINC000922299468 1057001379 /nfs/dbraw/zinc/00/13/79/1057001379.db2.gz POAYDQQGXHNCIY-GOSISDBHSA-N 0 3 315.848 4.429 20 0 DIADHN CC[C@@](C)(NCc1cnn(C2CCC2)c1)c1ccc(F)cc1 ZINC000922300005 1057001717 /nfs/dbraw/zinc/00/17/17/1057001717.db2.gz UEQQDOFAHZEUOL-GOSISDBHSA-N 0 3 301.409 4.162 20 0 DIADHN CC[NH+](CC)Cc1cccc(-c2c(F)ccc([O-])c2F)c1F ZINC001241295493 1057001799 /nfs/dbraw/zinc/00/17/99/1057001799.db2.gz SVDAJMQPYBWFKP-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN CC[NH+](CC)Cc1ccc(F)c(-c2c(F)ccc([O-])c2F)c1 ZINC001241295754 1057002352 /nfs/dbraw/zinc/00/23/52/1057002352.db2.gz ZYYNVGIFDIIIQP-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN Cc1ccc(C[NH+]2CCCC2)cc1-c1c(F)ccc([O-])c1F ZINC001241295383 1057002375 /nfs/dbraw/zinc/00/23/75/1057002375.db2.gz PGNKDIMQMJOCSW-UHFFFAOYSA-N 0 3 303.352 4.242 20 0 DIADHN Cc1ccc(-c2nnc(C3=CCN(C)CC3)c3ccccc32)cc1 ZINC001241312809 1057010126 /nfs/dbraw/zinc/01/01/26/1057010126.db2.gz NHIBWXSJBDKGDK-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN Fc1ccc(Oc2ccc(CNCc3ccccc3)cn2)cc1 ZINC000069712309 1057011239 /nfs/dbraw/zinc/01/12/39/1057011239.db2.gz ZPUGSQZXEWJSGM-UHFFFAOYSA-N 0 3 308.356 4.303 20 0 DIADHN CC[C@H](NCc1cnn(CCF)c1)c1cccc2ccccc21 ZINC000922342869 1057013077 /nfs/dbraw/zinc/01/30/77/1057013077.db2.gz JCWHCGRIPJKAMY-IBGZPJMESA-N 0 3 311.404 4.247 20 0 DIADHN C[S@@](=O)c1ccccc1-c1ccccc1CN1CCCCC1 ZINC001241336603 1057018599 /nfs/dbraw/zinc/01/85/99/1057018599.db2.gz DLRJGUOBODGENE-JOCHJYFZSA-N 0 3 313.466 4.077 20 0 DIADHN COC(=O)c1coc(CN(C(C)C)[C@@H](C)c2ccccc2)c1 ZINC000428849363 1057022727 /nfs/dbraw/zinc/02/27/27/1057022727.db2.gz KLFQQHQFWSXLOF-AWEZNQCLSA-N 0 3 301.386 4.038 20 0 DIADHN CSC1CCC(CNCc2ncc(C(F)(F)F)s2)CC1 ZINC000922421586 1057023286 /nfs/dbraw/zinc/02/32/86/1057023286.db2.gz WXOZZQYRKWTHKV-UHFFFAOYSA-N 0 3 324.437 4.173 20 0 DIADHN c1ccc(N2CC=C(c3ccc(CN4CCC4)cc3)CC2)cc1 ZINC001241354990 1057024695 /nfs/dbraw/zinc/02/46/95/1057024695.db2.gz JILZGTDLZSQNRP-UHFFFAOYSA-N 0 3 304.437 4.186 20 0 DIADHN Fc1ccc2nc(CNCCc3c(F)cccc3F)sc2c1 ZINC000428852349 1057024996 /nfs/dbraw/zinc/02/49/96/1057024996.db2.gz VCHNHTAWWUVWLD-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN CC(C)Oc1ccc(CNCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000922497339 1057028332 /nfs/dbraw/zinc/02/83/32/1057028332.db2.gz QBQGGPAJXXKJAN-UHFFFAOYSA-N 0 3 322.408 4.017 20 0 DIADHN O=c1[nH]cc(CNCc2ccc(C3CCC3)cc2)c2ccccc21 ZINC000922493615 1057028744 /nfs/dbraw/zinc/02/87/44/1057028744.db2.gz KPLBTRQUBSVTOY-UHFFFAOYSA-N 0 3 318.420 4.498 20 0 DIADHN Cc1cc(CO)cc(C)c1CNCc1cccc(C(F)(F)F)c1 ZINC000922561824 1057032330 /nfs/dbraw/zinc/03/23/30/1057032330.db2.gz WKLDZECKGOVDND-UHFFFAOYSA-N 0 3 323.358 4.104 20 0 DIADHN c1cncc(C2=CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)c1 ZINC000347724150 1057037593 /nfs/dbraw/zinc/03/75/93/1057037593.db2.gz GVYONEDDQSZDRQ-LJQANCHMSA-N 0 3 312.457 4.053 20 0 DIADHN Nc1ccnc2cc(-c3c(F)c(F)c(F)c(F)c3F)ccc12 ZINC001241409782 1057044382 /nfs/dbraw/zinc/04/43/82/1057044382.db2.gz HZYXTBXDUJUTGI-UHFFFAOYSA-N 0 3 310.225 4.180 20 0 DIADHN Cc1cc2cc([C@@H](C)NCCOc3cccnc3)oc2cc1C ZINC000765996692 1057049106 /nfs/dbraw/zinc/04/91/06/1057049106.db2.gz CMDCBLFEFKVNJR-OAHLLOKOSA-N 0 3 310.397 4.174 20 0 DIADHN CC(C)c1cnc(CNCCc2cnc3ccccc3c2)s1 ZINC000922702838 1057049869 /nfs/dbraw/zinc/04/98/69/1057049869.db2.gz DWSBGAYXZKRFJI-UHFFFAOYSA-N 0 3 311.454 4.147 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cnc(Cl)n1C ZINC000922716729 1057050835 /nfs/dbraw/zinc/05/08/35/1057050835.db2.gz QUXVBGODLQWTKL-UHFFFAOYSA-N 0 3 313.832 4.079 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1CNCc1csc(-c2cccs2)n1 ZINC000922731795 1057052980 /nfs/dbraw/zinc/05/29/80/1057052980.db2.gz SLLVEFZGEJFVFL-WCBMZHEXSA-N 0 3 318.389 4.160 20 0 DIADHN CCOC(=O)/C=C/CN[C@H](c1oc2ccccc2c1C)C(C)C ZINC001168733835 1057053050 /nfs/dbraw/zinc/05/30/50/1057053050.db2.gz OVFRWYRMIRVQBK-MZEUMTGBSA-N 0 3 315.413 4.147 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3c(c2)OCCN3)ccc1F ZINC001241460892 1057054314 /nfs/dbraw/zinc/05/43/14/1057054314.db2.gz GBJFUPBHNVPQGG-UHFFFAOYSA-N 0 3 314.404 4.139 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3c(c2)OCCN3)c1F ZINC001241461777 1057054566 /nfs/dbraw/zinc/05/45/66/1057054566.db2.gz XMILXBIWLMRENA-UHFFFAOYSA-N 0 3 314.404 4.139 20 0 DIADHN Cc1c2c[nH]nc2ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001241476801 1057062177 /nfs/dbraw/zinc/06/21/77/1057062177.db2.gz MJHIHIJVCZTWBD-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN C[C@H]1C[C@@H](NCc2ncc(-c3ccccc3)s2)CCS1 ZINC000922835847 1057065048 /nfs/dbraw/zinc/06/50/48/1057065048.db2.gz GKPMYGQGFRIFAD-JSGCOSHPSA-N 0 3 304.484 4.184 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ncc(-c3ccccc3)s2)CCS1 ZINC000922835848 1057065549 /nfs/dbraw/zinc/06/55/49/1057065549.db2.gz GKPMYGQGFRIFAD-OCCSQVGLSA-N 0 3 304.484 4.184 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(-c3ccsc3)n2)CCS1 ZINC000922844039 1057067262 /nfs/dbraw/zinc/06/72/62/1057067262.db2.gz VDVMJJCVQUUMRG-ZYHUDNBSSA-N 0 3 310.513 4.245 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3nccnc3c2)ccc1F ZINC001241499164 1057069945 /nfs/dbraw/zinc/06/99/45/1057069945.db2.gz MSNXICJXEBKKHO-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN COC(=O)c1cccc(CN(C)Cc2ccc3ccccc3c2)c1 ZINC000020950033 1057070137 /nfs/dbraw/zinc/07/01/37/1057070137.db2.gz RHLOHUYXIWWCPH-UHFFFAOYSA-N 0 3 319.404 4.258 20 0 DIADHN Cc1ccc2ncnc(C3=CCN(Cc4ccccc4)CC3)c2c1 ZINC001241501663 1057070160 /nfs/dbraw/zinc/07/01/60/1057070160.db2.gz RGRWATVNXKWASE-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Cc1cccc2c1ncnc2C1=CCN(Cc2ccccc2)CC1 ZINC001241501598 1057070738 /nfs/dbraw/zinc/07/07/38/1057070738.db2.gz OEHZACWNXQGCHY-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Fc1ccc2cnc(C3=CCN(Cc4ccccc4)CC3)nc2c1 ZINC001241501623 1057070999 /nfs/dbraw/zinc/07/09/99/1057070999.db2.gz PFRNDSXKCKLIEF-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CSc1cc(C2=CCN(Cc3ccccc3)CC2)ncc1F ZINC001241501714 1057071455 /nfs/dbraw/zinc/07/14/55/1057071455.db2.gz UGBSCQILUWEQOL-UHFFFAOYSA-N 0 3 314.429 4.232 20 0 DIADHN Fc1ccc2c(cnnc2C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241502115 1057071508 /nfs/dbraw/zinc/07/15/08/1057071508.db2.gz PTDFVIKJAQNDII-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN c1coc(-c2cc(C3=CCN(Cc4ccccc4)CC3)ncn2)c1 ZINC001241502656 1057071519 /nfs/dbraw/zinc/07/15/19/1057071519.db2.gz QWYIDNMCJZGNAU-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN FC(F)(F)c1cccnc1C1=CCN(Cc2ccccc2)CC1 ZINC001241501877 1057071539 /nfs/dbraw/zinc/07/15/39/1057071539.db2.gz XEKFBDKSPIUBHM-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Fc1ccc2c(c1)ncnc2C1=CCN(Cc2ccccc2)CC1 ZINC001241501896 1057072282 /nfs/dbraw/zinc/07/22/82/1057072282.db2.gz XYTBDRWKIHKUPY-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1nc2ccsc2c(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501085 1057072322 /nfs/dbraw/zinc/07/23/22/1057072322.db2.gz YYFYHBFHYUUFEG-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN c1ccc(CN2CC=C(c3ccnc(C4CCCC4)n3)CC2)cc1 ZINC001241502139 1057072476 /nfs/dbraw/zinc/07/24/76/1057072476.db2.gz ANPJNKSRBIDWKS-UHFFFAOYSA-N 0 3 319.452 4.424 20 0 DIADHN Cc1csc2nc(C3=CCN(Cc4ccccc4)CC3)ncc12 ZINC001241502005 1057072505 /nfs/dbraw/zinc/07/25/05/1057072505.db2.gz LIYXQBHCKNECMM-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1cc(C(C)C)nc(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241503445 1057073153 /nfs/dbraw/zinc/07/31/53/1057073153.db2.gz SDHLFQXSGMKUIU-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN O=c1ccc2cccc(C3=CCN(Cc4ccccc4)CC3)c2o1 ZINC001241504093 1057073423 /nfs/dbraw/zinc/07/34/23/1057073423.db2.gz MKXFDZNSCJGCAY-UHFFFAOYSA-N 0 3 317.388 4.082 20 0 DIADHN Cc1nc2[nH]ccc2cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504703 1057074531 /nfs/dbraw/zinc/07/45/31/1057074531.db2.gz IEDFGOBXEUQDRL-UHFFFAOYSA-N 0 3 303.409 4.112 20 0 DIADHN CCc1cc(CN[C@@H](C)c2ccc(OCCC(C)C)cc2)n[nH]1 ZINC000766004969 1057076111 /nfs/dbraw/zinc/07/61/11/1057076111.db2.gz UXCDJMRXEUASPI-HNNXBMFYSA-N 0 3 315.461 4.248 20 0 DIADHN CCO[C@H](CN1CCC[C@@H]1c1nc2ccccc2s1)C1CC1 ZINC000625060718 1057082210 /nfs/dbraw/zinc/08/22/10/1057082210.db2.gz MRXNLWZUTQBVPU-HZPDHXFCSA-N 0 3 316.470 4.248 20 0 DIADHN CCO[C@@H](CN1CCC[C@H]1c1nc2ccccc2s1)C1CC1 ZINC000625060715 1057082499 /nfs/dbraw/zinc/08/24/99/1057082499.db2.gz MRXNLWZUTQBVPU-HOTGVXAUSA-N 0 3 316.470 4.248 20 0 DIADHN COc1ccc([C@H](NCc2cc3cnccc3o2)C2CCC2)cc1 ZINC000922888253 1057090928 /nfs/dbraw/zinc/09/09/28/1057090928.db2.gz VXUPUPGUOADGEY-HXUWFJFHSA-N 0 3 322.408 4.467 20 0 DIADHN COc1ccc([C@@H](NCc2cc3cccnc3o2)C2CCC2)cc1 ZINC000922889177 1057092098 /nfs/dbraw/zinc/09/20/98/1057092098.db2.gz RAUUVOMKPZLTRH-IBGZPJMESA-N 0 3 322.408 4.467 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N(C)CCC(C)C ZINC000126681646 1057098209 /nfs/dbraw/zinc/09/82/09/1057098209.db2.gz VTUAAXVTWBJHQR-HNNXBMFYSA-N 0 3 304.478 4.116 20 0 DIADHN Cn1cc2c(cccc2-c2ccc(F)c(CN3CCCCC3)c2)n1 ZINC001241585072 1057099223 /nfs/dbraw/zinc/09/92/23/1057099223.db2.gz IOVJZHJJYCCIGL-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CC[NH+](CC)Cc1ccc(-c2ccc3c(c2)[N-]C(=S)C3)cc1 ZINC001241585903 1057100062 /nfs/dbraw/zinc/10/00/62/1057100062.db2.gz GFDTVCZMCADHJW-UHFFFAOYSA-N 0 3 310.466 4.491 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc3nn(C)cc32)cc1F ZINC001241585694 1057100153 /nfs/dbraw/zinc/10/01/53/1057100153.db2.gz ZYLNIIDLBXCNQS-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4nn(C)cc4c3)cc21 ZINC001241606500 1057107617 /nfs/dbraw/zinc/10/76/17/1057107617.db2.gz AKUOTBVHCZCSHF-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CCO[C@H](CN1CCCC[C@@H]1c1nc2ccccc2o1)C1CC1 ZINC000625260428 1057109059 /nfs/dbraw/zinc/10/90/59/1057109059.db2.gz RUGOHQIYQXHGPL-SJLPKXTDSA-N 0 3 314.429 4.170 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000766030795 1057131603 /nfs/dbraw/zinc/13/16/03/1057131603.db2.gz VWBYQRXPPBFKGJ-NBHSMZAVSA-N 0 3 323.358 4.267 20 0 DIADHN Clc1cccc2c1C[C@@H](N1CCSc3ccccc3C1)C2 ZINC001168745830 1057141339 /nfs/dbraw/zinc/14/13/39/1057141339.db2.gz ZAKSKDAZSAXBRN-HNNXBMFYSA-N 0 3 315.869 4.415 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2ccc(C(C)(C)C)cc2)o1 ZINC000766037864 1057141813 /nfs/dbraw/zinc/14/18/13/1057141813.db2.gz KNLYWRBPCAVVCQ-RHSMWYFYSA-N 0 3 301.430 4.270 20 0 DIADHN CCc1ccc(-c2ccc(-c3cc(CNC)ncn3)cc2)cc1 ZINC001241726922 1057148854 /nfs/dbraw/zinc/14/88/54/1057148854.db2.gz OOCJVSFJAHJNCH-UHFFFAOYSA-N 0 3 303.409 4.092 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(N)ccc21)c1ccccc1OC(F)F ZINC000391368430 1057149593 /nfs/dbraw/zinc/14/95/93/1057149593.db2.gz RTAVKOBVONSLEP-MEDUHNTESA-N 0 3 318.367 4.208 20 0 DIADHN CC(C)c1cccc(-c2cncc([C@H]3CN4CCC3CC4)n2)c1 ZINC001241737449 1057152320 /nfs/dbraw/zinc/15/23/20/1057152320.db2.gz SBGOBZDBNRLSIV-SFHVURJKSA-N 0 3 307.441 4.076 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H](C)c1cc2c(s1)CCC2 ZINC000766041958 1057153340 /nfs/dbraw/zinc/15/33/40/1057153340.db2.gz FTIZHHRDAPXHBZ-XHDPSFHLSA-N 0 3 313.470 4.063 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4cnsc4c3)cc2)CC1 ZINC001241745114 1057154370 /nfs/dbraw/zinc/15/43/70/1057154370.db2.gz AVPWPBDIWKEBSD-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN(C)c1ccccc1CNCc1c(C)oc2ccccc21 ZINC001649121913 1057156430 /nfs/dbraw/zinc/15/64/30/1057156430.db2.gz CKRULQYAYUHEGX-UHFFFAOYSA-N 0 3 308.425 4.487 20 0 DIADHN C[C@@H](NC[C@H]1CC2(CO1)CCCCC2)c1ccc(F)cc1F ZINC001168748915 1057158492 /nfs/dbraw/zinc/15/84/92/1057158492.db2.gz CEKXTJUAYZIBRZ-UKRRQHHQSA-N 0 3 309.400 4.355 20 0 DIADHN CCCn1nc(C)cc1-c1cccc(CN(CC)CC)c1F ZINC001241765508 1057161159 /nfs/dbraw/zinc/16/11/59/1057161159.db2.gz QEZOSBLZFDESSR-UHFFFAOYSA-N 0 3 303.425 4.249 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H]1CCc2c1cccc2Cl ZINC000766046666 1057168414 /nfs/dbraw/zinc/16/84/14/1057168414.db2.gz ZPCZSEBUXGWWSW-QZTJIDSGSA-N 0 3 313.832 4.093 20 0 DIADHN CN(C)Cc1cncc(-c2ccc3[nH]c4ccccc4c3c2)c1 ZINC001241812993 1057173114 /nfs/dbraw/zinc/17/31/14/1057173114.db2.gz JHRDXPITNXAYCS-UHFFFAOYSA-N 0 3 301.393 4.445 20 0 DIADHN CC(C)=C[C@H]1[C@H](C(=O)Nc2cc(CN(C)C)ccc2C)C1(C)C ZINC000674002476 1057173637 /nfs/dbraw/zinc/17/36/37/1057173637.db2.gz LOZFAXLGSGESMT-FUHWJXTLSA-N 0 3 314.473 4.234 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC001168750772 1057173759 /nfs/dbraw/zinc/17/37/59/1057173759.db2.gz MLSLHKXCPLONAK-FQEVSTJZSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H](C)CC(C)(C)C ZINC000674002223 1057174558 /nfs/dbraw/zinc/17/45/58/1057174558.db2.gz GTOKMPHWPFQHQM-AWEZNQCLSA-N 0 3 304.478 4.458 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccc2cnccc2c1 ZINC000391937983 1057177813 /nfs/dbraw/zinc/17/78/13/1057177813.db2.gz LXNDVKLPBSRSBI-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H]1CN(Cc2ccc(F)c3cccnc23)C[C@H](C)C1(F)F ZINC000625647497 1057181760 /nfs/dbraw/zinc/18/17/60/1057181760.db2.gz MLQCLQGWDAHGNK-TXEJJXNPSA-N 0 3 308.347 4.097 20 0 DIADHN Cc1cncc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)c1 ZINC000625654926 1057184182 /nfs/dbraw/zinc/18/41/82/1057184182.db2.gz IYBZPUWMWVCCDW-MRXNPFEDSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1ccncc1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000625656816 1057184929 /nfs/dbraw/zinc/18/49/29/1057184929.db2.gz PLOUAXLARDVEQU-OAHLLOKOSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)(F)c1ccc(CN2[C@@H]3CC[C@H]2CC(F)(F)C3)cc1 ZINC000625687140 1057187912 /nfs/dbraw/zinc/18/79/12/1057187912.db2.gz ROYQEKMJFUSYPX-BETUJISGSA-N 0 3 305.290 4.468 20 0 DIADHN CN(Cc1ccc(-c2ccc(-c3cccnc3)cc2)nc1)C1CC1 ZINC001241845405 1057187954 /nfs/dbraw/zinc/18/79/54/1057187954.db2.gz LBKZIIYWCORSRY-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN C[C@H](NCCN1Cc2ccccc2C1)c1ccccc1Cl ZINC000674047430 1057190279 /nfs/dbraw/zinc/19/02/79/1057190279.db2.gz MJSFXCALGKYDPF-AWEZNQCLSA-N 0 3 300.833 4.006 20 0 DIADHN CC(C)(C)N(Cc1scc2c1OCCO2)Cc1ccccc1 ZINC001143178916 1057211714 /nfs/dbraw/zinc/21/17/14/1057211714.db2.gz QFHSPOJCLAEBEX-UHFFFAOYSA-N 0 3 317.454 4.320 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000674249000 1057221818 /nfs/dbraw/zinc/22/18/18/1057221818.db2.gz FVUAGVCXUVHZOR-IAGOWNOFSA-N 0 3 321.468 4.058 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000674249003 1057221964 /nfs/dbraw/zinc/22/19/64/1057221964.db2.gz FVUAGVCXUVHZOR-IRXDYDNUSA-N 0 3 321.468 4.058 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000674249708 1057221985 /nfs/dbraw/zinc/22/19/85/1057221985.db2.gz GHKDSUZVWVFOSV-CYBMUJFWSA-N 0 3 302.368 4.300 20 0 DIADHN CCn1ccnc1CN[C@H](C)C(c1ccccc1)c1ccccc1 ZINC000171378315 1057225417 /nfs/dbraw/zinc/22/54/17/1057225417.db2.gz YSMUKPLENVZVIB-QGZVFWFLSA-N 0 3 319.452 4.213 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001128743292 1057253838 /nfs/dbraw/zinc/25/38/38/1057253838.db2.gz BDDYOPMEQJNYOP-ROUUACIJSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001128743290 1057254327 /nfs/dbraw/zinc/25/43/27/1057254327.db2.gz BDDYOPMEQJNYOP-QZTJIDSGSA-N 0 3 322.537 4.220 20 0 DIADHN Cc1cc(CN[C@H](Cc2ccccc2)c2ccc(C)c(C)c2)[nH]n1 ZINC000674677944 1057272341 /nfs/dbraw/zinc/27/23/41/1057272341.db2.gz VKLUTWZFQALUJE-OAQYLSRUSA-N 0 3 319.452 4.409 20 0 DIADHN [NH3+]C1(c2cccc(-c3ccc([O-])c(C(F)(F)F)c3)c2)CCC1 ZINC001242165419 1057285491 /nfs/dbraw/zinc/28/54/91/1057285491.db2.gz BFOBMCFNCSHCQK-UHFFFAOYSA-N 0 3 307.315 4.416 20 0 DIADHN Brc1ccccc1[C@H]1CCCN1C[C@@H]1CCCCO1 ZINC000674868440 1057293959 /nfs/dbraw/zinc/29/39/59/1057293959.db2.gz JAQMOKZUIQSSGF-XJKSGUPXSA-N 0 3 324.262 4.155 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C(C)=C(C)C)c1ccc(Cl)cc1 ZINC001128871175 1057298579 /nfs/dbraw/zinc/29/85/79/1057298579.db2.gz RSXUOFYYUIPZNF-KRWDZBQOSA-N 0 3 322.880 4.195 20 0 DIADHN c1c(CN[C@H](CC2CC2)c2ccccc2)[nH]nc1-c1cccnc1 ZINC000675100848 1057315132 /nfs/dbraw/zinc/31/51/32/1057315132.db2.gz VPUDWEYPVXVONQ-LJQANCHMSA-N 0 3 318.424 4.103 20 0 DIADHN C[C@@H](NC[C@H]1CCC[C@@H](C)O1)c1ccc(-c2ccccc2)cn1 ZINC000658216379 1057324014 /nfs/dbraw/zinc/32/40/14/1057324014.db2.gz RVHQQUYKZLSGBL-GPMSIDNRSA-N 0 3 310.441 4.357 20 0 DIADHN COc1ccc(-c2cc(Cl)c(F)cc2F)cc1CN(C)C ZINC001242291637 1057324655 /nfs/dbraw/zinc/32/46/55/1057324655.db2.gz YCQSAWUPCLPRGW-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN C[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)c1ccc(-c2ccncc2)cc1 ZINC000766097484 1057324947 /nfs/dbraw/zinc/32/49/47/1057324947.db2.gz FJLZLVCBVMQWNZ-CQSZACIVSA-N 0 3 322.383 4.444 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccc(F)cc1Br ZINC000658220555 1057326365 /nfs/dbraw/zinc/32/63/65/1057326365.db2.gz QNDMDSSTKIUFQY-PRHODGIISA-N 0 3 322.168 4.284 20 0 DIADHN COc1ccc(CNCc2ccc(-c3csc(C)n3)cc2)cc1 ZINC000675207937 1057327347 /nfs/dbraw/zinc/32/73/47/1057327347.db2.gz YZKFKGJIUDSYJN-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H]1CCc3ccc(O)cc31)CCC2 ZINC000658240477 1057336926 /nfs/dbraw/zinc/33/69/26/1057336926.db2.gz YOAIBEXNTAEYBE-CABCVRRESA-N 0 3 314.454 4.066 20 0 DIADHN COc1ccc(C)cc1CN1CCC2(CC1)OCc1ccccc12 ZINC000675326533 1057342401 /nfs/dbraw/zinc/34/24/01/1057342401.db2.gz NCFXOSSPVWNEIU-UHFFFAOYSA-N 0 3 323.436 4.025 20 0 DIADHN C[C@@H](NC1CCC(C)(O)CC1)c1cc(Cl)sc1Cl ZINC000703537576 1057348700 /nfs/dbraw/zinc/34/87/00/1057348700.db2.gz UWSONLCETIDRSN-BGQFSCJGSA-N 0 3 308.274 4.399 20 0 DIADHN FC(F)(F)c1ccc(-c2cccc([C@H]3CNCCO3)c2)cc1 ZINC000041538841 1057352542 /nfs/dbraw/zinc/35/25/42/1057352542.db2.gz NROXUHBUOMWKBG-MRXNPFEDSA-N 0 3 307.315 4.033 20 0 DIADHN FC(F)(F)c1cccc(-c2cccc([C@@H]3CNCCO3)c2)c1 ZINC000041538821 1057352701 /nfs/dbraw/zinc/35/27/01/1057352701.db2.gz XRLUKNOXSJJKDD-INIZCTEOSA-N 0 3 307.315 4.033 20 0 DIADHN C[C@H](NC1CCC(C)(O)CC1)c1cc(F)c(Cl)cc1Cl ZINC000703588967 1057354496 /nfs/dbraw/zinc/35/44/96/1057354496.db2.gz BCGYJOLCMORGFA-YLTRJXTNSA-N 0 3 320.235 4.477 20 0 DIADHN Cc1ccc(-c2ccnc(CN)c2)cc1OCc1ccccc1 ZINC001242386955 1057356050 /nfs/dbraw/zinc/35/60/50/1057356050.db2.gz PXCATCKNODLWOP-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1cccs1)c1ccc(F)c(Cl)c1 ZINC000766137353 1057372543 /nfs/dbraw/zinc/37/25/43/1057372543.db2.gz TZBRTYUBLCKLCT-YGRLFVJLSA-N 0 3 313.825 4.315 20 0 DIADHN COc1ccc(-c2ccn(C(C)C)n2)cc1CN1CCCCC1 ZINC001242457597 1057373830 /nfs/dbraw/zinc/37/38/30/1057373830.db2.gz OQZJORQXIODUMK-UHFFFAOYSA-N 0 3 313.445 4.126 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](Cn1cccn1)c1ccccc1 ZINC000766138547 1057375060 /nfs/dbraw/zinc/37/50/60/1057375060.db2.gz PQGTVAMHIDQRLY-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H](Cn1cccn1)c1ccccc1 ZINC000766138548 1057375489 /nfs/dbraw/zinc/37/54/89/1057375489.db2.gz PQGTVAMHIDQRLY-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccc(-c3ccccc3)o2)o1 ZINC000766143116 1057379621 /nfs/dbraw/zinc/37/96/21/1057379621.db2.gz RAYJESBCSZBOLB-ZBFHGGJFSA-N 0 3 311.381 4.232 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2cc(Cl)cc(Cl)c2)o1 ZINC000766142588 1057380349 /nfs/dbraw/zinc/38/03/49/1057380349.db2.gz PWWDDGBXMMDQIY-IINYFYTJSA-N 0 3 314.212 4.279 20 0 DIADHN CCSc1ccccc1[C@@H](C)NC[C@@H](O)c1ccc(C)o1 ZINC000766144205 1057381123 /nfs/dbraw/zinc/38/11/23/1057381123.db2.gz VVJNYLDWJVSHRA-UKRRQHHQSA-N 0 3 305.443 4.084 20 0 DIADHN Fc1cc(-c2ccc3ncncc3c2)ccc1CN1CCCCC1 ZINC001242513272 1057389198 /nfs/dbraw/zinc/38/91/98/1057389198.db2.gz SYQVUOJKFMVMTQ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CCOC(=O)c1ccc(C(F)(F)F)c(NC(=N)C(C)(C)C)c1 ZINC001162664706 1057390431 /nfs/dbraw/zinc/39/04/31/1057390431.db2.gz MTRNPKITQZUZLE-UHFFFAOYSA-N 0 3 316.323 4.317 20 0 DIADHN CCC[C@@H](C)N[C@H](C)c1nnn(-c2ccc(Cl)cc2)c1C ZINC000675721984 1057404231 /nfs/dbraw/zinc/40/42/31/1057404231.db2.gz BIODKMBDUKRVHB-VXGBXAGGSA-N 0 3 306.841 4.068 20 0 DIADHN COc1ccc(-c2ccnn2C(C)C)cc1CN1CCCCC1 ZINC001242558784 1057404363 /nfs/dbraw/zinc/40/43/63/1057404363.db2.gz RQRBCXCYGSSSPM-UHFFFAOYSA-N 0 3 313.445 4.126 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1cc(C)ccc1C ZINC000675726253 1057405412 /nfs/dbraw/zinc/40/54/12/1057405412.db2.gz MEKCGHJZPQBOIA-YJBOKZPZSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1ccc(F)cc1Cl ZINC000675728444 1057406163 /nfs/dbraw/zinc/40/61/63/1057406163.db2.gz XEOBZMBTULVDSL-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN COc1ccccc1[C@H](C)NCCSc1ccccc1F ZINC000675751110 1057406801 /nfs/dbraw/zinc/40/68/01/1057406801.db2.gz WVQDGEIVFKJCEO-ZDUSSCGKSA-N 0 3 305.418 4.277 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](Cn2cccn2)c2ccccc2)c2ccccc21 ZINC000675757030 1057407494 /nfs/dbraw/zinc/40/74/94/1057407494.db2.gz YGGBZJSMYVGIPB-TYCQWZJGSA-N 0 3 317.436 4.463 20 0 DIADHN CC[C@@H](NCCN1Cc2ccccc2C1)c1cc(F)ccc1F ZINC000675780142 1057410470 /nfs/dbraw/zinc/41/04/70/1057410470.db2.gz MPXPMEGNACJAJM-LJQANCHMSA-N 0 3 316.395 4.021 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc2c(c1)CCC2 ZINC000675772588 1057411629 /nfs/dbraw/zinc/41/16/29/1057411629.db2.gz RFWQYCDJBQUMGP-AUUYWEPGSA-N 0 3 323.436 4.358 20 0 DIADHN COc1cc(F)c(F)cc1-c1cncc(CN2CCCCC2)c1 ZINC001242578325 1057412119 /nfs/dbraw/zinc/41/21/19/1057412119.db2.gz FQHLAZFDBZOPNX-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CSCc3ccccc31)CCC2 ZINC000675782759 1057414477 /nfs/dbraw/zinc/41/44/77/1057414477.db2.gz LTHRLEBVWUQERI-HZPDHXFCSA-N 0 3 316.495 4.407 20 0 DIADHN COc1ccc2oc([C@@H](C)NCc3ccc(O)cc3)c(C)c2c1 ZINC000675802583 1057416193 /nfs/dbraw/zinc/41/61/93/1057416193.db2.gz UUQJFYNMYPOSDW-CYBMUJFWSA-N 0 3 311.381 4.306 20 0 DIADHN Oc1ccc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)cc1 ZINC000675801997 1057416697 /nfs/dbraw/zinc/41/66/97/1057416697.db2.gz GXLNWCPJDLHAJH-OAHLLOKOSA-N 0 3 308.208 4.476 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccc(-n2ccnc2)cc1)c1ccccn1 ZINC000675817569 1057418604 /nfs/dbraw/zinc/41/86/04/1057418604.db2.gz VEMFXKUTNMJBKT-OXQOHEQNSA-N 0 3 320.440 4.459 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000675843149 1057423640 /nfs/dbraw/zinc/42/36/40/1057423640.db2.gz VXVKLJCXUXNPFR-IBGZPJMESA-N 0 3 324.399 4.483 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(OCc3ccccc3)c2)n[nH]1 ZINC000675892052 1057426886 /nfs/dbraw/zinc/42/68/86/1057426886.db2.gz HMVNRJHKODCEDQ-MRXNPFEDSA-N 0 3 321.424 4.148 20 0 DIADHN CC[C@@H](N[C@@H](Cn1cccn1)c1ccccc1)c1cccs1 ZINC000675899551 1057428450 /nfs/dbraw/zinc/42/84/50/1057428450.db2.gz JGTDTGNUGRPZGH-SJORKVTESA-N 0 3 311.454 4.427 20 0 DIADHN Fc1cc(-c2cccnc2OC(F)F)ccc1CN1CCCC1 ZINC001242631905 1057429544 /nfs/dbraw/zinc/42/95/44/1057429544.db2.gz LWKXKKVIGKZUCU-UHFFFAOYSA-N 0 3 322.330 4.085 20 0 DIADHN C[C@@H](N[C@H](Cc1nccn1C)c1ccccc1)c1cccs1 ZINC000675918250 1057430835 /nfs/dbraw/zinc/43/08/35/1057430835.db2.gz FSSQGLNRQFWZHC-GDBMZVCRSA-N 0 3 311.454 4.116 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1cc(C)cc(C)c1 ZINC000675902822 1057432955 /nfs/dbraw/zinc/43/29/55/1057432955.db2.gz HAAVXMDDAHPUON-HNAYVOBHSA-N 0 3 311.425 4.486 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](CC)Cc2cccs2)o1 ZINC000675902665 1057433442 /nfs/dbraw/zinc/43/34/42/1057433442.db2.gz XYBSYVLSKSSXBN-CJNGLKHVSA-N 0 3 307.459 4.202 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1cc(C)ccc1C ZINC000675902779 1057433546 /nfs/dbraw/zinc/43/35/46/1057433546.db2.gz CSMIMALTLIKAGS-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCc2c1cccc2C ZINC000675902908 1057433879 /nfs/dbraw/zinc/43/38/79/1057433879.db2.gz LXKCXTCYMXORBA-MOPGFXCFSA-N 0 3 309.409 4.104 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCOc3cc(OC)ccc32)cc1 ZINC000675903057 1057434019 /nfs/dbraw/zinc/43/40/19/1057434019.db2.gz UIQXQTWVZHLXOV-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCc2c1cccc2C ZINC000675902909 1057434034 /nfs/dbraw/zinc/43/40/34/1057434034.db2.gz LXKCXTCYMXORBA-OALUTQOASA-N 0 3 309.409 4.104 20 0 DIADHN CC[C@@H](N[C@H]1CCOc2cc(OC)ccc21)c1ccc(F)cc1 ZINC000675904168 1057434055 /nfs/dbraw/zinc/43/40/55/1057434055.db2.gz RQYNUTNJGPKVSR-MSOLQXFVSA-N 0 3 315.388 4.399 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc([C@@H](C)O)cc3)c(C)c2)CC1 ZINC001242646203 1057435136 /nfs/dbraw/zinc/43/51/36/1057435136.db2.gz ZIRFYXMOCNQIKH-MRXNPFEDSA-N 0 3 322.452 4.219 20 0 DIADHN CC(C)c1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1 ZINC000675975635 1057440136 /nfs/dbraw/zinc/44/01/36/1057440136.db2.gz UPYMWQFISXSGIC-UHFFFAOYSA-N 0 3 305.425 4.286 20 0 DIADHN CCCC[C@H](C)[C@H](C)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000675976800 1057440370 /nfs/dbraw/zinc/44/03/70/1057440370.db2.gz MHDUGPDSXWAMIQ-SOUVJXGZSA-N 0 3 315.465 4.041 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(CO)ccc2C)ccc1F ZINC001242669509 1057441082 /nfs/dbraw/zinc/44/10/82/1057441082.db2.gz CXSZLQVUMHZYKG-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CC(C)(C)[C@H](NCc1cnnn1-c1ccccc1)c1ccccc1 ZINC000676270733 1057460139 /nfs/dbraw/zinc/46/01/39/1057460139.db2.gz GSIZQBNJWYNRMR-LJQANCHMSA-N 0 3 320.440 4.144 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(C)nc(OC)c2)cc1F ZINC001242749385 1057466415 /nfs/dbraw/zinc/46/64/15/1057466415.db2.gz CJGIYLFTYDRBQL-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN CC(C)C[C@H](NCc1cnnn1-c1ccccc1)c1ccccc1 ZINC000676404424 1057470993 /nfs/dbraw/zinc/47/09/93/1057470993.db2.gz YWMVOONWNLWBNK-FQEVSTJZSA-N 0 3 320.440 4.144 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1nc(C)sc1C)C1CCCC1 ZINC000676491665 1057476603 /nfs/dbraw/zinc/47/66/03/1057476603.db2.gz IQDGPYOKIJXHLK-BLLLJJGKSA-N 0 3 310.507 4.396 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2nc(C)sc2C)C12CCCC2 ZINC000676493143 1057477117 /nfs/dbraw/zinc/47/71/17/1057477117.db2.gz SJHZKJLKLXHOFA-KCPJHIHWSA-N 0 3 308.491 4.148 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2nc(C)sc2C)C12CCCC2 ZINC000676493145 1057477448 /nfs/dbraw/zinc/47/74/48/1057477448.db2.gz SJHZKJLKLXHOFA-TUKIKUTGSA-N 0 3 308.491 4.148 20 0 DIADHN Cc1csc(CCCCN[C@H](C)c2nc(C)sc2C)n1 ZINC000676489353 1057478335 /nfs/dbraw/zinc/47/83/35/1057478335.db2.gz IZBJCAPGDOKIMP-LLVKDONJSA-N 0 3 309.504 4.198 20 0 DIADHN Cc1nc([C@H](C)NCCCOCCc2ccccc2)c(C)s1 ZINC000676488460 1057479395 /nfs/dbraw/zinc/47/93/95/1057479395.db2.gz GUGZQFXFKHPMFJ-AWEZNQCLSA-N 0 3 318.486 4.060 20 0 DIADHN O=C1CCCc2ccc(-c3cc(N4CCCCC4)ccn3)cc21 ZINC001242856283 1057501272 /nfs/dbraw/zinc/50/12/72/1057501272.db2.gz WPYUJLQFFBUERT-UHFFFAOYSA-N 0 3 306.409 4.258 20 0 DIADHN CC[C@@H]1CCC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)CC1 ZINC000316016275 1057505064 /nfs/dbraw/zinc/50/50/64/1057505064.db2.gz ZPGGPOBYXDPOGQ-ARFHVFGLSA-N 0 3 304.482 4.014 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@H](c2nccn2C)C1 ZINC000373098173 1057508030 /nfs/dbraw/zinc/50/80/30/1057508030.db2.gz IEAUYZGIDZFRRV-CXAGYDPISA-N 0 3 319.399 4.029 20 0 DIADHN Nc1ccnc2cc(-c3ccccc3N3CCCCC3)ccc12 ZINC001242876354 1057510374 /nfs/dbraw/zinc/51/03/74/1057510374.db2.gz UIHPKOQFXOVBHB-UHFFFAOYSA-N 0 3 303.409 4.474 20 0 DIADHN FC(F)(F)COc1ccc(CN2CCCC(F)(F)CC2)cc1 ZINC000625767891 1057512432 /nfs/dbraw/zinc/51/24/32/1057512432.db2.gz BLHXPQRAOSSGRC-UHFFFAOYSA-N 0 3 323.305 4.249 20 0 DIADHN CC(C)O[C@H](CN1CC[C@H]2CC[C@@H](C1)S2)c1ccccc1 ZINC000625779950 1057516853 /nfs/dbraw/zinc/51/68/53/1057516853.db2.gz XLUFWFABVZMSRL-FGTMMUONSA-N 0 3 305.487 4.123 20 0 DIADHN C[C@@]1(NCc2ccc(C(F)F)cc2)CCOc2ccccc21 ZINC000923027015 1057521486 /nfs/dbraw/zinc/52/14/86/1057521486.db2.gz ZKCVESQXQYWVDL-GOSISDBHSA-N 0 3 303.352 4.412 20 0 DIADHN CC(C)SCCN[C@H](C)c1nc2ccccc2n1C(F)F ZINC000282534576 1057525617 /nfs/dbraw/zinc/52/56/17/1057525617.db2.gz QUUHTMNIRMOGLZ-LLVKDONJSA-N 0 3 313.417 4.224 20 0 DIADHN Cc1cccc([C@H](NCc2cc(CO)ccc2F)C(C)C)c1 ZINC000625984999 1057544894 /nfs/dbraw/zinc/54/48/94/1057544894.db2.gz XXIVVKHLQRVEFC-LJQANCHMSA-N 0 3 301.405 4.113 20 0 DIADHN CC[C@H](NCc1cc(CO)ccc1F)c1cc(C)ccc1C ZINC000626020383 1057549812 /nfs/dbraw/zinc/54/98/12/1057549812.db2.gz JEJUUJYZSVKSEJ-IBGZPJMESA-N 0 3 301.405 4.176 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000192028814 1057552609 /nfs/dbraw/zinc/55/26/09/1057552609.db2.gz RQAWAOREBIVNJC-DFBGVHRSSA-N 0 3 311.878 4.250 20 0 DIADHN CCC[C@@H](NCc1ccnc(N)c1)c1ccc(Cl)c(Cl)c1 ZINC000411458421 1057555051 /nfs/dbraw/zinc/55/50/51/1057555051.db2.gz QRQVPODILKVZAZ-OAHLLOKOSA-N 0 3 324.255 4.602 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671744670 1057555787 /nfs/dbraw/zinc/55/57/87/1057555787.db2.gz OIXOITPLRSHQSP-IAQYHMDHSA-N 0 3 314.360 4.474 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc3[nH]c(=O)oc3c1)CC2 ZINC000671751573 1057557458 /nfs/dbraw/zinc/55/74/58/1057557458.db2.gz NIMFFDTWPYGXBW-MLGOLLRUSA-N 0 3 308.381 4.180 20 0 DIADHN CCCCCOc1ccc(CNCc2ccc(CO)cc2)cc1 ZINC000323247385 1057567405 /nfs/dbraw/zinc/56/74/05/1057567405.db2.gz YMMFHUKWYIIXSQ-UHFFFAOYSA-N 0 3 313.441 4.038 20 0 DIADHN C[C@H](NCc1ccccc1C1CC1)c1ccc([S@](C)=O)cc1 ZINC000611120123 1057583663 /nfs/dbraw/zinc/58/36/63/1057583663.db2.gz IUSIAGVMRNQOJB-FPTDNZKUSA-N 0 3 313.466 4.152 20 0 DIADHN NCc1cc(-c2ccc(-c3ccccc3)c3ccccc23)ncn1 ZINC001243139825 1057584551 /nfs/dbraw/zinc/58/45/51/1057584551.db2.gz VWZIPDOMURLJMF-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN([C@H](C)c2cccc(Cl)c2)C1 ZINC000611319561 1057590354 /nfs/dbraw/zinc/59/03/54/1057590354.db2.gz CATHIJPOCWEOJQ-UKRRQHHQSA-N 0 3 323.864 4.312 20 0 DIADHN COc1ccc(-c2cc(C)cnc2F)c(CN2CCCCC2)c1 ZINC001243166871 1057591607 /nfs/dbraw/zinc/59/16/07/1057591607.db2.gz WBOHKNLKYBQFEK-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccccc1NC(=O)C(C)(C)C ZINC001243188674 1057598117 /nfs/dbraw/zinc/59/81/17/1057598117.db2.gz BARBHPXBBCJBOP-ACCUITESSA-N 0 3 323.440 4.266 20 0 DIADHN Cc1cc(-c2ccc(F)c(CN3CCCCC3)c2)ccc1CO ZINC001243191041 1057598832 /nfs/dbraw/zinc/59/88/32/1057598832.db2.gz GGXGQQJEGULHCT-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CN[C@@H]2CCc3ccccc32)c1 ZINC000611439311 1057599898 /nfs/dbraw/zinc/59/98/98/1057599898.db2.gz UYQABPKBHBYAPL-LJQANCHMSA-N 0 3 323.436 4.419 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CNCc2ccc(F)cc2)c1 ZINC000611443328 1057600150 /nfs/dbraw/zinc/60/01/50/1057600150.db2.gz CCTQGMKFTMQWAA-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN COc1ccc([C@H](N[C@H]2CC[C@H]2SC)c2ccccc2)cc1 ZINC000611520573 1057605004 /nfs/dbraw/zinc/60/50/04/1057605004.db2.gz BZFURYOCJMLMKC-IPMKNSEASA-N 0 3 313.466 4.268 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC000162200500 1057611090 /nfs/dbraw/zinc/61/10/90/1057611090.db2.gz PUKMWEWZHALEIM-DYVFJYSZSA-N 0 3 303.425 4.480 20 0 DIADHN c1ccc2c(c1)cccc2C1CCN(Cc2cncnc2)CC1 ZINC000271202253 1057615111 /nfs/dbraw/zinc/61/51/11/1057615111.db2.gz QONXYZHMALJSCM-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2scnc2C2CC2)c1 ZINC000495065254 1057620871 /nfs/dbraw/zinc/62/08/71/1057620871.db2.gz JNHJUGNHVLLBHB-MRXNPFEDSA-N 0 3 314.454 4.366 20 0 DIADHN CC[C@@H](NCc1cc2c(c(Cl)c1)OCO2)c1ccccc1 ZINC000051626755 1057623518 /nfs/dbraw/zinc/62/35/18/1057623518.db2.gz KQKVBSXZYXWOIY-OAHLLOKOSA-N 0 3 303.789 4.310 20 0 DIADHN CCOc1cc(F)c(F)cc1-c1cncc(CN2CCCC2)c1 ZINC001243277469 1057633287 /nfs/dbraw/zinc/63/32/87/1057633287.db2.gz SVUMPCWBUDVJBO-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@H]1CCC=CO1 ZINC000780568310 1057637743 /nfs/dbraw/zinc/63/77/43/1057637743.db2.gz PHKMXTUKIXIIEQ-LJQANCHMSA-N 0 3 309.409 4.145 20 0 DIADHN Cc1ccc(OC(=O)C2CCN(Cc3ccccc3)CC2)cc1C ZINC000727294048 1057648348 /nfs/dbraw/zinc/64/83/48/1057648348.db2.gz LYMCRRPGBFZJKL-UHFFFAOYSA-N 0 3 323.436 4.121 20 0 DIADHN COc1cc2c(cc1OC)[C@H](N[C@@H](C)c1cccs1)CC2 ZINC000280287857 1057653893 /nfs/dbraw/zinc/65/38/93/1057653893.db2.gz YZGHBPOBFPFFGL-SMDDNHRTSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CCC[C@H](c2ccccc2)CC1 ZINC000727585202 1057657360 /nfs/dbraw/zinc/65/73/60/1057657360.db2.gz FGLZCBYQJXYSBN-INIZCTEOSA-N 0 3 317.864 4.152 20 0 DIADHN CCC[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccsc1 ZINC000526947858 1057659659 /nfs/dbraw/zinc/65/96/59/1057659659.db2.gz VWURPHYKCPJNKA-QZTJIDSGSA-N 0 3 300.471 4.366 20 0 DIADHN CC(=O)Oc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1 ZINC001243365930 1057660262 /nfs/dbraw/zinc/66/02/62/1057660262.db2.gz WNORKRDNVWBSIT-UHFFFAOYSA-N 0 3 313.372 4.014 20 0 DIADHN CSc1cccc(-c2cncc(CN3CCCC3)c2)c1F ZINC001243370366 1057662731 /nfs/dbraw/zinc/66/27/31/1057662731.db2.gz VXPAWBMAPHXGDR-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN COc1ccc(CN2CCCCC2)cc1-c1cnc(C)s1 ZINC001243382206 1057665651 /nfs/dbraw/zinc/66/56/51/1057665651.db2.gz YKWOJOAHXDMFDW-UHFFFAOYSA-N 0 3 302.443 4.113 20 0 DIADHN Fc1ccc(CN(CCOc2ccccc2F)C2CC2)cc1 ZINC000057621031 1057667770 /nfs/dbraw/zinc/66/77/70/1057667770.db2.gz IQIQAZVZQOGXLF-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000536840153 1057674271 /nfs/dbraw/zinc/67/42/71/1057674271.db2.gz QJTPEBNTIIQANV-AUUYWEPGSA-N 0 3 312.482 4.246 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CN(C)Cc3ccccc32)s1 ZINC000536842249 1057675008 /nfs/dbraw/zinc/67/50/08/1057675008.db2.gz XNGKJLFNBCALSZ-GUYCJALGSA-N 0 3 300.471 4.148 20 0 DIADHN COc1ccccc1[C@H]1CCCN1C[C@@H]1CC1(Cl)Cl ZINC000727931916 1057680461 /nfs/dbraw/zinc/68/04/61/1057680461.db2.gz UDMBRFSOHRFFMZ-WCQYABFASA-N 0 3 300.229 4.026 20 0 DIADHN CN(C)c1ccnc(-c2ccc(CNc3ccccc3)cc2)c1 ZINC001243436164 1057683267 /nfs/dbraw/zinc/68/32/67/1057683267.db2.gz DNQGYWFWUFBCIQ-UHFFFAOYSA-N 0 3 303.409 4.427 20 0 DIADHN CC(C)Oc1cc(F)ccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001243437754 1057683814 /nfs/dbraw/zinc/68/38/14/1057683814.db2.gz XWHWKGSYLMCIPN-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN COc1cccc2cc(CNCc3cccc4cc[nH]c43)oc21 ZINC000728063252 1057684118 /nfs/dbraw/zinc/68/41/18/1057684118.db2.gz BHRZQKQEYPUXPC-UHFFFAOYSA-N 0 3 306.365 4.213 20 0 DIADHN CC(C)Oc1ccc(F)c(-c2cnccc2/C=C/N(C)C)c1 ZINC001243443913 1057686240 /nfs/dbraw/zinc/68/62/40/1057686240.db2.gz FZFYQRPZPKTYNN-CSKARUKUSA-N 0 3 300.377 4.207 20 0 DIADHN CC(C)Oc1ccc(F)c(-c2ncccc2CN2CCCC2)c1 ZINC001243445839 1057686765 /nfs/dbraw/zinc/68/67/65/1057686765.db2.gz UWZDRHNKBQRRHK-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)[C@H](C)N[C@@H](C)c1ncc[nH]1 ZINC000285942247 1057687201 /nfs/dbraw/zinc/68/72/01/1057687201.db2.gz DLGDJDVMJYNRRY-SRVKXCTJSA-N 0 3 311.351 4.271 20 0 DIADHN c1cc(N2CCOCC2)c(-c2ccc(C3CCCC3)cc2)cn1 ZINC001243492790 1057695658 /nfs/dbraw/zinc/69/56/58/1057695658.db2.gz QDYRMOZOBOTCLO-UHFFFAOYSA-N 0 3 308.425 4.243 20 0 DIADHN c1cc(-c2ccc(C3CCCC3)cc2)cc2c1C1(CNC1)OC2 ZINC001243495273 1057696036 /nfs/dbraw/zinc/69/60/36/1057696036.db2.gz ZPIMQYQGDGIDAL-UHFFFAOYSA-N 0 3 305.421 4.340 20 0 DIADHN [O-]c1c(F)cc(F)cc1-c1ccc(F)c(C[NH+]2CCCCC2)c1 ZINC001243507237 1057698685 /nfs/dbraw/zinc/69/86/85/1057698685.db2.gz UURVVKDZQZZTBB-UHFFFAOYSA-N 0 3 321.342 4.462 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730022113 1057700746 /nfs/dbraw/zinc/70/07/46/1057700746.db2.gz MFUMZJHJPBXQTE-STQMWFEESA-N 0 3 318.486 4.245 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1C[C@@H]1CC1(Cl)Cl ZINC000730062910 1057701422 /nfs/dbraw/zinc/70/14/22/1057701422.db2.gz VWXXSTOVEGXFOM-JSGCOSHPSA-N 0 3 314.256 4.416 20 0 DIADHN Clc1ccccc1CN1CCC(CNc2nccs2)CC1 ZINC000730109091 1057703851 /nfs/dbraw/zinc/70/38/51/1057703851.db2.gz MDPVEDQGKZEQQB-UHFFFAOYSA-N 0 3 321.877 4.121 20 0 DIADHN Cc1ccc2c(c1)[C@@H]1CN(C)CC[C@@H]1N2C(=O)CC1=CCCCC1 ZINC000730165838 1057706524 /nfs/dbraw/zinc/70/65/24/1057706524.db2.gz OGFOJVTWUOIYPW-ICSRJNTNSA-N 0 3 324.468 4.020 20 0 DIADHN CCOc1c(F)cccc1-c1cncc(CN2CCCCC2)c1 ZINC001243539938 1057707880 /nfs/dbraw/zinc/70/78/80/1057707880.db2.gz VALGTBPUJQQXRL-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3cccc([O-])c3F)cc12 ZINC001243546911 1057710342 /nfs/dbraw/zinc/71/03/42/1057710342.db2.gz KSYSCNWGATUHCW-OAHLLOKOSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3cccc([O-])c3F)cc12 ZINC001243546911 1057710345 /nfs/dbraw/zinc/71/03/45/1057710345.db2.gz KSYSCNWGATUHCW-OAHLLOKOSA-N 0 3 324.399 4.316 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnn(C(C)(C)C)c3)c(C)c2)CC1 ZINC001243560112 1057713599 /nfs/dbraw/zinc/71/35/99/1057713599.db2.gz KUWUTRKPXFBRLS-UHFFFAOYSA-N 0 3 324.472 4.118 20 0 DIADHN CC(C)(C)n1cc(-c2ccc(CN3CCCC3)c(F)c2)cn1 ZINC001243566972 1057716063 /nfs/dbraw/zinc/71/60/63/1057716063.db2.gz WXFXMXREJKLGNF-UHFFFAOYSA-N 0 3 301.409 4.040 20 0 DIADHN C[C@@H](c1cccnc1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000731147581 1057735119 /nfs/dbraw/zinc/73/51/19/1057735119.db2.gz RMITYYVVZCAHEK-HNNXBMFYSA-N 0 3 303.409 4.413 20 0 DIADHN CC[C@H](NCc1cnc2onc(C)c2c1)c1ccc(Cl)s1 ZINC001119668314 1057735863 /nfs/dbraw/zinc/73/58/63/1057735863.db2.gz TVRUFNOJOYGQDW-LBPRGKRZSA-N 0 3 321.833 4.487 20 0 DIADHN CN1CCN(c2cccc(-c3cccc(C(F)F)c3)c2)CC1 ZINC001243668435 1057750275 /nfs/dbraw/zinc/75/02/75/1057750275.db2.gz GGOAMUVHSHHATL-UHFFFAOYSA-N 0 3 302.368 4.043 20 0 DIADHN CC(C)Oc1ccccc1CN(C)CCc1ccccc1F ZINC000731669481 1057750744 /nfs/dbraw/zinc/75/07/44/1057750744.db2.gz ICIYOWOAQIBZBG-UHFFFAOYSA-N 0 3 301.405 4.287 20 0 DIADHN CN(CCc1ccccc1F)Cc1ccccc1OC(F)F ZINC000731670382 1057750841 /nfs/dbraw/zinc/75/08/41/1057750841.db2.gz JVDQOIKDXDFQBE-UHFFFAOYSA-N 0 3 309.331 4.102 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@H](c4ccccc4)C3)n2)cs1 ZINC000731661556 1057750978 /nfs/dbraw/zinc/75/09/78/1057750978.db2.gz OIUZIHADBLJQTN-INIZCTEOSA-N 0 3 309.438 4.059 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ccc(Cl)nc2)cc1 ZINC000731751883 1057753582 /nfs/dbraw/zinc/75/35/82/1057753582.db2.gz IFBKYXSMLOYRMF-INIZCTEOSA-N 0 3 304.796 4.081 20 0 DIADHN OCc1cc(F)cc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001243695790 1057755960 /nfs/dbraw/zinc/75/59/60/1057755960.db2.gz ASIAEHMHWQPWSB-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)nc1)c1ccc2ncsc2c1 ZINC001119822196 1057756264 /nfs/dbraw/zinc/75/62/64/1057756264.db2.gz GBXSMKSGDRHMCH-MNOVXSKESA-N 0 3 301.390 4.242 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1c1ccc(C)cc1 ZINC000731868618 1057757191 /nfs/dbraw/zinc/75/71/91/1057757191.db2.gz MASBCDSSRWMEPI-LJQANCHMSA-N 0 3 316.489 4.171 20 0 DIADHN OCc1cccc(-c2cccc(CN3CCCC3)c2F)c1Cl ZINC001243705479 1057757650 /nfs/dbraw/zinc/75/76/50/1057757650.db2.gz FQGHHOXXHJBZAJ-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN OCc1cccc(-c2ccc(CN3CCCC3)c(F)c2)c1Cl ZINC001243706364 1057757719 /nfs/dbraw/zinc/75/77/19/1057757719.db2.gz PIZFJXIUYZADNZ-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN CC(=O)OCc1cccc(C)c1-c1cccc(C2=NCCC2)c1 ZINC001243719696 1057761714 /nfs/dbraw/zinc/76/17/14/1057761714.db2.gz WHEWTDNLCPWFQE-UHFFFAOYSA-N 0 3 307.393 4.308 20 0 DIADHN COc1cc(CNc2ccnc3ccccc32)ccc1OC(C)C ZINC000731966547 1057762123 /nfs/dbraw/zinc/76/21/23/1057762123.db2.gz HWWHYUAKQPETOD-UHFFFAOYSA-N 0 3 322.408 4.065 20 0 DIADHN Cc1ccc(N2CCCN(c3ccnc4ccccc43)CC2)cc1 ZINC000731982584 1057763920 /nfs/dbraw/zinc/76/39/20/1057763920.db2.gz VBDWZTRALJLXIH-UHFFFAOYSA-N 0 3 317.436 4.260 20 0 DIADHN O=c1cccc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)[nH]1 ZINC001243736916 1057766094 /nfs/dbraw/zinc/76/60/94/1057766094.db2.gz DYUSVZKTVOUJCR-UHFFFAOYSA-N 0 3 322.330 4.069 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CC[C@@H](c4ccco4)C3)o2)c1 ZINC000732205542 1057770923 /nfs/dbraw/zinc/77/09/23/1057770923.db2.gz JOFLOYITXJJYOX-GDBMZVCRSA-N 0 3 323.396 4.189 20 0 DIADHN Cc1cc(F)ncc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001243762228 1057773208 /nfs/dbraw/zinc/77/32/08/1057773208.db2.gz UGCDDRZNUNWTNW-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN C[C@@H]1CCCN(Cn2c3ccccc3nc2SC(F)F)C1 ZINC000732408580 1057775434 /nfs/dbraw/zinc/77/54/34/1057775434.db2.gz NTOWKHDWRYDXBC-LLVKDONJSA-N 0 3 311.401 4.040 20 0 DIADHN CCOc1ncc(-c2ccc(F)c(CN3CCCC3)c2)cc1F ZINC001243776804 1057776364 /nfs/dbraw/zinc/77/63/64/1057776364.db2.gz SOMOQALLKIMKTR-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CO[C@H]1CC=C(c2ccc(CN3CCCCC3)c(F)c2)CC1 ZINC001243816509 1057786388 /nfs/dbraw/zinc/78/63/88/1057786388.db2.gz NOUBBJXUDWXICR-SFHVURJKSA-N 0 3 303.421 4.394 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(OCOC)c2)cc1F ZINC001243828428 1057789612 /nfs/dbraw/zinc/78/96/12/1057789612.db2.gz MFWSYVVBFXASJD-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(CC)CC2)cc1)c1ccccc1 ZINC000732917935 1057790712 /nfs/dbraw/zinc/79/07/12/1057790712.db2.gz UFLLGQJWNXGBSM-OAQYLSRUSA-N 0 3 323.484 4.392 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000780568159 1057792257 /nfs/dbraw/zinc/79/22/57/1057792257.db2.gz XOARURKEQTZKMP-GFCCVEGCSA-N 0 3 305.324 4.007 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1cc(C(F)(F)F)ccc1F ZINC000733323943 1057812137 /nfs/dbraw/zinc/81/21/37/1057812137.db2.gz AWPYUZKECHCNAN-JTQLQIEISA-N 0 3 301.283 4.158 20 0 DIADHN CSc1cc(F)c(-c2ncccc2CN2CCCC2)cc1F ZINC001243945790 1057816290 /nfs/dbraw/zinc/81/62/90/1057816290.db2.gz KOIUQPMZFNNJBY-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN c1ccc(-c2nnc(-c3ccc(C4=CNCCC4)cc3)o2)cc1 ZINC001243949211 1057817706 /nfs/dbraw/zinc/81/77/06/1057817706.db2.gz AOCOGPZXXQKCMC-UHFFFAOYSA-N 0 3 303.365 4.128 20 0 DIADHN COc1cc(-c2ccc3[nH]c(N)nc3c2)ccc1-c1ccccc1 ZINC001244143141 1057884722 /nfs/dbraw/zinc/88/47/22/1057884722.db2.gz AUFYLHWEXOEDQB-UHFFFAOYSA-N 0 3 315.376 4.488 20 0 DIADHN COc1cc(-c2ccc3nc(N)[nH]c3c2)ccc1-c1ccccc1 ZINC001244143141 1057884724 /nfs/dbraw/zinc/88/47/24/1057884724.db2.gz AUFYLHWEXOEDQB-UHFFFAOYSA-N 0 3 315.376 4.488 20 0 DIADHN COc1ccc(-c2cc(C(F)(F)F)cnc2C)cc1CN(C)C ZINC001244263319 1057915578 /nfs/dbraw/zinc/91/55/78/1057915578.db2.gz WSJGELLMHKQAHI-UHFFFAOYSA-N 0 3 324.346 4.146 20 0 DIADHN Fc1cc(-c2ncccc2CN2CCCC2)c(F)cc1Cl ZINC001244300604 1057927532 /nfs/dbraw/zinc/92/75/32/1057927532.db2.gz CDFVMZGRQOUZJY-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN COc1cc(F)c(-c2ncccc2CN2CCCC2)cc1Cl ZINC001244347019 1057938027 /nfs/dbraw/zinc/93/80/27/1057938027.db2.gz DDUIRNYGTISOBE-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COCOc1cccc(Cl)c1-c1ccc2c(N)ccnc2c1 ZINC001244436282 1057957131 /nfs/dbraw/zinc/95/71/31/1057957131.db2.gz OIYCJRRLLIWDAC-UHFFFAOYSA-N 0 3 314.772 4.120 20 0 DIADHN Cc1nc(-c2cc(OC(F)(F)F)ccc2O)cc2[nH]ccc21 ZINC001244457681 1057963922 /nfs/dbraw/zinc/96/39/22/1057963922.db2.gz PWRABDBTLKHOTC-UHFFFAOYSA-N 0 3 308.259 4.143 20 0 DIADHN CSc1cc(-c2nccc3c2CCN3)cc(C(F)(F)F)c1 ZINC001244509220 1057980931 /nfs/dbraw/zinc/98/09/31/1057980931.db2.gz MGFODDIGCBSLBI-UHFFFAOYSA-N 0 3 310.344 4.457 20 0 DIADHN CSc1cc(-c2ccc3c(n2)CNCC3)cc(C(F)(F)F)c1 ZINC001244510183 1057981570 /nfs/dbraw/zinc/98/15/70/1057981570.db2.gz YVMVAJCDXFCGEW-UHFFFAOYSA-N 0 3 324.371 4.135 20 0 DIADHN Cc1cc(-c2cccc3c2C2(CC3)CC[NH2+]CC2)cc([O-])c1F ZINC001244516657 1057983112 /nfs/dbraw/zinc/98/31/12/1057983112.db2.gz AKQZINYWHDPDQT-UHFFFAOYSA-N 0 3 311.400 4.074 20 0 DIADHN Cc1cnc(-c2cc(Cl)cc(OC(F)(F)F)c2)cc1N ZINC001244521190 1057984613 /nfs/dbraw/zinc/98/46/13/1057984613.db2.gz OCYDRFOJIVHKGE-UHFFFAOYSA-N 0 3 302.683 4.191 20 0 DIADHN COc1c(C)ccc(F)c1-c1cnccc1CN1CCCCC1 ZINC001244528793 1057986552 /nfs/dbraw/zinc/98/65/52/1057986552.db2.gz RMDARNVYFFVZNM-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(CO)cc2C)ccc1F ZINC001244583924 1058001784 /nfs/dbraw/zinc/00/17/84/1058001784.db2.gz IVVQFMCLLRFRFA-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CN(C)c1ccnc(-c2ccc(NCc3ccccc3)cc2)c1 ZINC001244643692 1058018400 /nfs/dbraw/zinc/01/84/00/1058018400.db2.gz LLDSQVRTJXHPGL-UHFFFAOYSA-N 0 3 303.409 4.427 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(Cl)cc2C(F)(F)F)c1 ZINC001244773082 1058045506 /nfs/dbraw/zinc/04/55/06/1058045506.db2.gz IXFRIVAYQAYMNO-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CNc1cc(F)cc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001244832998 1058056117 /nfs/dbraw/zinc/05/61/17/1058056117.db2.gz ZBLWCUOJACDPKG-UHFFFAOYSA-N 0 3 302.368 4.269 20 0 DIADHN CCOc1ccc(C)c(-c2cncc(CN3CCCCC3)c2)c1 ZINC001244871675 1058061685 /nfs/dbraw/zinc/06/16/85/1058061685.db2.gz GJWPBXZWGHOKEZ-UHFFFAOYSA-N 0 3 310.441 4.442 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cc1N ZINC001244900032 1058068339 /nfs/dbraw/zinc/06/83/39/1058068339.db2.gz NPWSPDQIKXFKFZ-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN NCc1cc(-c2ccc(Oc3ccccc3)c(Cl)c2)ncn1 ZINC001244943558 1058077258 /nfs/dbraw/zinc/07/72/58/1058077258.db2.gz XETGMXAEORTEMP-UHFFFAOYSA-N 0 3 311.772 4.048 20 0 DIADHN CCOc1cc(C)cc(F)c1-c1ccc(OC)c(CN(C)C)c1 ZINC001245014171 1058093153 /nfs/dbraw/zinc/09/31/53/1058093153.db2.gz OXNJQJFTMQDPHP-UHFFFAOYSA-N 0 3 317.404 4.270 20 0 DIADHN CCOc1cc(C)cc(F)c1-c1cnccc1/C=C/N(C)C ZINC001245014489 1058093449 /nfs/dbraw/zinc/09/34/49/1058093449.db2.gz KFRFKIRCXZFSLJ-VQHVLOKHSA-N 0 3 300.377 4.127 20 0 DIADHN Cc1cc(OCc2ccccc2)c(-c2ccc(CN)nc2)cc1C ZINC001245028535 1058097853 /nfs/dbraw/zinc/09/78/53/1058097853.db2.gz RXXLQWLFORLAOL-UHFFFAOYSA-N 0 3 318.420 4.403 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=S)N(C)CCN(C)C ZINC001245066798 1058106234 /nfs/dbraw/zinc/10/62/34/1058106234.db2.gz QHSDEWNMZMXVSI-UHFFFAOYSA-N 0 3 321.534 4.124 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(Cl)cc(CO)c2)cc1F ZINC001245094630 1058111682 /nfs/dbraw/zinc/11/16/82/1058111682.db2.gz ZGRVCEMUWZARFG-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN Nc1c(Cl)cccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001245183173 1058125389 /nfs/dbraw/zinc/12/53/89/1058125389.db2.gz ZHRDVDLXCOJSIC-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN NCc1cccc(-c2cccc(OCc3cccc(Cl)c3)c2)n1 ZINC001245214704 1058128587 /nfs/dbraw/zinc/12/85/87/1058128587.db2.gz BHVCTIVNTTWSHP-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN NCc1ccc(-c2cccc(OCc3cccc(Cl)c3)c2)cn1 ZINC001245217366 1058128655 /nfs/dbraw/zinc/12/86/55/1058128655.db2.gz GSPVUAPJFCTFJN-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@@H]2COC[C@H](C1)N2C[C@H](C)CCC ZINC001278401589 1058135169 /nfs/dbraw/zinc/13/51/69/1058135169.db2.gz UXZYUXRPWCUDEC-WTGUMLROSA-N 0 3 324.553 4.024 20 0 DIADHN CCCC[C@H](CC)CN1C[C@@H]2COC[C@H](C1)N2C[C@H](C)CCC ZINC001278401588 1058135546 /nfs/dbraw/zinc/13/55/46/1058135546.db2.gz UXZYUXRPWCUDEC-WCIQWLHISA-N 0 3 324.553 4.024 20 0 DIADHN NCc1cccc(-c2ccc(-c3nc4ccccc4o3)cc2)n1 ZINC001245326148 1058145508 /nfs/dbraw/zinc/14/55/08/1058145508.db2.gz SNVPLTFWIMNZJU-UHFFFAOYSA-N 0 3 301.349 4.016 20 0 DIADHN Fc1cccc2c1cccc2C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245424336 1058164124 /nfs/dbraw/zinc/16/41/24/1058164124.db2.gz BCGQOOUTPUPPAF-MRXNPFEDSA-N 0 3 311.400 4.247 20 0 DIADHN c1ccc(-c2ccccc2C2=CC[C@@H](N3CCOCC3)CC2)nc1 ZINC001245429483 1058164579 /nfs/dbraw/zinc/16/45/79/1058164579.db2.gz XEZSXNUUUADTEP-GOSISDBHSA-N 0 3 320.436 4.017 20 0 DIADHN Cc1cc(OC(C)C)ccc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245426147 1058164916 /nfs/dbraw/zinc/16/49/16/1058164916.db2.gz HQUOXVXTSVTRBY-SFHVURJKSA-N 0 3 315.457 4.050 20 0 DIADHN c1ccc(-c2ccncc2C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001245431032 1058165365 /nfs/dbraw/zinc/16/53/65/1058165365.db2.gz WUOBTPVDENXEBR-IBGZPJMESA-N 0 3 320.436 4.017 20 0 DIADHN CCN(CC)Cc1cccc(-c2c[nH]c3ncc(F)cc23)c1F ZINC001245433391 1058166001 /nfs/dbraw/zinc/16/60/01/1058166001.db2.gz SOETYFSPZJWDRW-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN S=C1Cc2ccc(-c3ccc(C4CC[NH2+]CC4)cc3)cc2[N-]1 ZINC001245527255 1058204752 /nfs/dbraw/zinc/20/47/52/1058204752.db2.gz SVJOXFMCYNFMGN-UHFFFAOYSA-N 0 3 308.450 4.116 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)C(C)(C)N2CCCCC2)cc1 ZINC001545474600 1058204686 /nfs/dbraw/zinc/20/46/86/1058204686.db2.gz QAUDQHKKRZQSEY-UHFFFAOYSA-N 0 3 302.462 4.037 20 0 DIADHN Nc1ncc(-c2ccc(CN3CCCCC3)c(F)c2)cc1Cl ZINC001245568311 1058234117 /nfs/dbraw/zinc/23/41/17/1058234117.db2.gz BPNSPMDMLHXYBU-UHFFFAOYSA-N 0 3 319.811 4.109 20 0 DIADHN Cc1nc([C@H]2CCCCN2CCc2ccncc2C)sc1C ZINC001549017605 1058279457 /nfs/dbraw/zinc/27/94/57/1058279457.db2.gz QXQZSBKHQLQDOS-QGZVFWFLSA-N 0 3 315.486 4.233 20 0 DIADHN FC(F)C1(CN2CCc3nc(-c4ccccc4)sc3C2)CC1 ZINC001549374588 1058291020 /nfs/dbraw/zinc/29/10/20/1058291020.db2.gz SPRYTKMABDYSOR-UHFFFAOYSA-N 0 3 320.408 4.214 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCO[C@@H]2c2ccc(Cl)cc2)nc1 ZINC001549766123 1058301439 /nfs/dbraw/zinc/30/14/39/1058301439.db2.gz HRORJBBNDNQJHO-QZTJIDSGSA-N 0 3 316.832 4.053 20 0 DIADHN CC1(C)CCc2onc(CN[C@@H]3CCC[C@@H](C(F)F)C3)c2C1 ZINC001549919376 1058308914 /nfs/dbraw/zinc/30/89/14/1058308914.db2.gz FZIGGSKLSMGIIY-VXGBXAGGSA-N 0 3 312.404 4.103 20 0 DIADHN CC1(C)CCc2onc(CN[C@@H]3CCC[C@H](C(F)F)C3)c2C1 ZINC001549919374 1058308982 /nfs/dbraw/zinc/30/89/82/1058308982.db2.gz FZIGGSKLSMGIIY-NWDGAFQWSA-N 0 3 312.404 4.103 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243243 1058326881 /nfs/dbraw/zinc/32/68/81/1058326881.db2.gz GEMSIGNSBJLNLU-IAGOWNOFSA-N 0 3 313.470 4.355 20 0 DIADHN Oc1cccc2c1CCC[C@H]2N[C@H](c1ccncc1)C1CCC1 ZINC001550243270 1058327887 /nfs/dbraw/zinc/32/78/87/1058327887.db2.gz JXFGSVMZGZXKPB-QUCCMNQESA-N 0 3 308.425 4.296 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1cnc(Cl)n1C)CCC2 ZINC001550502983 1058343398 /nfs/dbraw/zinc/34/33/98/1058343398.db2.gz GONQANNZSZQZHJ-IUODEOHRSA-N 0 3 303.837 4.110 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001528334172 1058396811 /nfs/dbraw/zinc/39/68/11/1058396811.db2.gz BUWLPEDPFFKPDM-AEFFLSMTSA-N 0 3 316.489 4.112 20 0 DIADHN CSc1ccc(F)c(-c2ncccc2CN2CCCC2)c1 ZINC001245748743 1058431887 /nfs/dbraw/zinc/43/18/87/1058431887.db2.gz WSONPJDUKUZUKV-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001552664414 1058445631 /nfs/dbraw/zinc/44/56/31/1058445631.db2.gz GSZWWVSLHILSBR-MSOLQXFVSA-N 0 3 322.452 4.271 20 0 DIADHN CCOC(=O)c1ccc(CC)cc1-c1cnccc1/C=C/N(C)C ZINC001245787936 1058472862 /nfs/dbraw/zinc/47/28/62/1058472862.db2.gz BANQDRQESKNHQL-ZRDIBKRKSA-N 0 3 324.424 4.020 20 0 DIADHN COc1c(F)c(Cl)ccc1-c1ccc2c(c1)CN(C)CC2 ZINC001245802958 1058485200 /nfs/dbraw/zinc/48/52/00/1058485200.db2.gz UIESEHVCDJZVDK-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC(c3cccc4[nH]ccc43)CC2)cn1 ZINC001553875338 1058507395 /nfs/dbraw/zinc/50/73/95/1058507395.db2.gz NEOJHQGRRPAKJX-OAHLLOKOSA-N 0 3 320.440 4.207 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2NCc1cc2cnccc2o1 ZINC001553969117 1058513437 /nfs/dbraw/zinc/51/34/37/1058513437.db2.gz RQIQUAYQUSPBBW-ORAYPTAESA-N 0 3 322.408 4.250 20 0 DIADHN CC(C)(C(=O)N1CCN(C2CCCCC2)CC1)C1CCCCC1 ZINC001520126468 1058546278 /nfs/dbraw/zinc/54/62/78/1058546278.db2.gz MHBJHDJXHZVPET-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN OCc1ccc(F)c(-c2ccccc2CN2CCCCC2)c1F ZINC001245851183 1058555872 /nfs/dbraw/zinc/55/58/72/1058555872.db2.gz HXIVEUUBWSKMFI-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(F)ccc(CO)c2F)cc1F ZINC001245855375 1058561265 /nfs/dbraw/zinc/56/12/65/1058561265.db2.gz ZPFNZDZFPVYCMH-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CCOc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1CC ZINC001245871142 1058580636 /nfs/dbraw/zinc/58/06/36/1058580636.db2.gz VERDHYYBBNBTNY-UHFFFAOYSA-N 0 3 310.441 4.304 20 0 DIADHN CC[C@@H](CC(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1)C(C)C ZINC001556360098 1058583678 /nfs/dbraw/zinc/58/36/78/1058583678.db2.gz LQLHWRUECWCBTA-YOEHRIQHSA-N 0 3 324.896 4.131 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)CN2[C@H](C)CC[C@H]2C)cc1 ZINC001556484035 1058600463 /nfs/dbraw/zinc/60/04/63/1058600463.db2.gz LSVVDJCOKFYQNA-HUUCEWRRSA-N 0 3 302.462 4.186 20 0 DIADHN COc1ccc(F)c(-c2cnccc2/C=C/N(C)C)c1Cl ZINC001245887879 1058601422 /nfs/dbraw/zinc/60/14/22/1058601422.db2.gz BITAFZNOMSRJCS-VQHVLOKHSA-N 0 3 306.768 4.082 20 0 DIADHN C[C@@H]1CCC[C@@]1(C)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001556617953 1058619821 /nfs/dbraw/zinc/61/98/21/1058619821.db2.gz UADBUKLVYBLGHU-OXQOHEQNSA-N 0 3 322.537 4.172 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001556685006 1058627713 /nfs/dbraw/zinc/62/77/13/1058627713.db2.gz LHPKLCFTDJJJBH-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CCCCC[C@@H](CC)C(=O)Nc1ccc(CN(C)C)cc1F ZINC001557008961 1058685044 /nfs/dbraw/zinc/68/50/44/1058685044.db2.gz YQFYNLIEXRBCFB-OAHLLOKOSA-N 0 3 308.441 4.432 20 0 DIADHN C[C@@H](C(=O)N[C@H](C1CCC1)C1CCCCC1)N1[C@H](C)CC[C@@H]1C ZINC001557176308 1058713780 /nfs/dbraw/zinc/71/37/80/1058713780.db2.gz ZXHOOCYXPYNXNP-RCJHGTSTSA-N 0 3 320.521 4.113 20 0 DIADHN CC(C)CN(C(=O)CN(C)C(C)C)[C@@H](C)c1ccccc1Cl ZINC001557211508 1058718931 /nfs/dbraw/zinc/71/89/31/1058718931.db2.gz UQXPJAXPTLVZCP-HNNXBMFYSA-N 0 3 324.896 4.226 20 0 DIADHN C/C=C(\CC)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979577 1058727273 /nfs/dbraw/zinc/72/72/73/1058727273.db2.gz MCBSDNVNVBBBTI-VNOAQLAMSA-N 0 3 322.880 4.195 20 0 DIADHN CCCCCC[C@H](C)CC(=O)N1CCN(C(C)(C)CC)CC1 ZINC001557293155 1058727562 /nfs/dbraw/zinc/72/75/62/1058727562.db2.gz LFHHJRXXHYMDQX-KRWDZBQOSA-N 0 3 310.526 4.316 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)N2CCN(C(C)(C)CC)CC2)cc1 ZINC001557292755 1058728236 /nfs/dbraw/zinc/72/82/36/1058728236.db2.gz HOMZLTHIYBUHLV-INIZCTEOSA-N 0 3 316.489 4.147 20 0 DIADHN CN(C(=O)[C@@H]1CCN1C1CCCC1)C1CC(C)(C)CC(C)(C)C1 ZINC001557417560 1058748636 /nfs/dbraw/zinc/74/86/36/1058748636.db2.gz TWZWWTDKAYQEMS-KRWDZBQOSA-N 0 3 320.521 4.067 20 0 DIADHN CC[C@H](C(=O)Nc1cccc2c1ccn2C(F)F)N(CC)CC ZINC001557449161 1058751175 /nfs/dbraw/zinc/75/11/75/1058751175.db2.gz QZAWYSJQQXQSPB-CQSZACIVSA-N 0 3 323.387 4.095 20 0 DIADHN C[C@H]1N(C(=O)c2cccc(CN(C)C)c2)c2ccccc2C1(C)C ZINC001557494372 1058759608 /nfs/dbraw/zinc/75/96/08/1058759608.db2.gz NNJFCLZUGIGFGN-OAHLLOKOSA-N 0 3 322.452 4.075 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@@H]1CCN1C1CCCC1 ZINC001557559611 1058768527 /nfs/dbraw/zinc/76/85/27/1058768527.db2.gz AGENWLNQBZWZMP-MJGOQNOKSA-N 0 3 322.537 4.458 20 0 DIADHN CC(C)CCCCCC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001529632811 1058777405 /nfs/dbraw/zinc/77/74/05/1058777405.db2.gz OZXDRNMWBMNAQU-YLJYHZDGSA-N 0 3 318.505 4.401 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001558321639 1058859346 /nfs/dbraw/zinc/85/93/46/1058859346.db2.gz DXCGEFHDBNGSKM-PXNSSMCTSA-N 0 3 322.537 4.458 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001558321536 1058861237 /nfs/dbraw/zinc/86/12/37/1058861237.db2.gz CGSOFFYLFFVATM-ROUUACIJSA-N 0 3 320.521 4.234 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001558321539 1058861636 /nfs/dbraw/zinc/86/16/36/1058861636.db2.gz CGSOFFYLFFVATM-ZWKOTPCHSA-N 0 3 320.521 4.234 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001278447216 1058935064 /nfs/dbraw/zinc/93/50/64/1058935064.db2.gz VZVBJUGGPPYATI-GOSISDBHSA-N 0 3 315.505 4.047 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CCc2cc(N)ccc2C1 ZINC001246885168 1059148400 /nfs/dbraw/zinc/14/84/00/1059148400.db2.gz CRFWSUKPZJOXGM-GFCCVEGCSA-N 0 3 318.823 4.051 20 0 DIADHN CCCCN(C(=O)[C@@]1(F)CCN(C(C)(C)C)C1)c1ccccc1 ZINC001530858520 1059168810 /nfs/dbraw/zinc/16/88/10/1059168810.db2.gz UHGOWRWVWPQDGD-LJQANCHMSA-N 0 3 320.452 4.032 20 0 DIADHN CN(CCSC1=Nc2ccccc2C1)Cc1ccc(F)cc1 ZINC001559373140 1059193785 /nfs/dbraw/zinc/19/37/85/1059193785.db2.gz ZDEOIZNZTPPBAC-UHFFFAOYSA-N 0 3 314.429 4.277 20 0 DIADHN CNCc1nc2cc(F)cc(-c3ccc(C(F)(F)F)cc3)c2[nH]1 ZINC001247015915 1059248231 /nfs/dbraw/zinc/24/82/31/1059248231.db2.gz VWUJMKZKHNVYJH-UHFFFAOYSA-N 0 3 323.293 4.107 20 0 DIADHN CCCc1ccccc1C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001523468041 1059250684 /nfs/dbraw/zinc/25/06/84/1059250684.db2.gz HHMZYTFXHHRAMH-OXJNMPFZSA-N 0 3 324.468 4.060 20 0 DIADHN CCCn1c2ccc(C(F)(F)F)cc2nc1[C@H]1CCCCN1 ZINC001247036569 1059255893 /nfs/dbraw/zinc/25/58/93/1059255893.db2.gz IUQUCHAWDPXGFP-GFCCVEGCSA-N 0 3 311.351 4.280 20 0 DIADHN Fc1ccc2nc([C@H]3CCCCN3)n(C3CCCCC3)c2c1 ZINC001247041068 1059261679 /nfs/dbraw/zinc/26/16/79/1059261679.db2.gz FLWBCIVRLQPAAA-MRXNPFEDSA-N 0 3 301.409 4.495 20 0 DIADHN CC(C)CCCCC(=O)N1c2ccccc2CC[C@H]1CN(C)C ZINC001523573811 1059295680 /nfs/dbraw/zinc/29/56/80/1059295680.db2.gz JWCOTADBIFDFJV-SFHVURJKSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1cnc(CCN(Cc2ccccc2F)C(C)C)c(C)c1 ZINC001559507361 1059296962 /nfs/dbraw/zinc/29/69/62/1059296962.db2.gz PGRRFZOWYMKOQX-UHFFFAOYSA-N 0 3 300.421 4.291 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@H]1CCCC(C)(C)O1 ZINC001559509917 1059303481 /nfs/dbraw/zinc/30/34/81/1059303481.db2.gz OBEPMIKODOGTQG-OAHLLOKOSA-N 0 3 315.379 4.485 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCO3)cc1C ZINC001559524006 1059322827 /nfs/dbraw/zinc/32/28/27/1059322827.db2.gz NJSYDADJFWBRGM-SFHVURJKSA-N 0 3 309.409 4.369 20 0 DIADHN CCCC[C@@H](CC)CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C ZINC001559528966 1059327691 /nfs/dbraw/zinc/32/76/91/1059327691.db2.gz YIOYKZYIYNSVLO-HZPDHXFCSA-N 0 3 312.498 4.144 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1ccn(-c2cccc(F)c2)n1)C1CC1 ZINC001559530847 1059329181 /nfs/dbraw/zinc/32/91/81/1059329181.db2.gz FOZWLPFAWCAOHO-HUUCEWRRSA-N 0 3 315.436 4.410 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1ccn(-c2cccc(F)c2)n1)C1CC1 ZINC001559530845 1059330659 /nfs/dbraw/zinc/33/06/59/1059330659.db2.gz FOZWLPFAWCAOHO-CABCVRRESA-N 0 3 315.436 4.410 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@H](C(C)(C)C)CC2)c1 ZINC001559542004 1059346269 /nfs/dbraw/zinc/34/62/69/1059346269.db2.gz ZBRFSNUGMIGEPC-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC001531531877 1059348356 /nfs/dbraw/zinc/34/83/56/1059348356.db2.gz YKPMDBFRDKYFAB-HXUWFJFHSA-N 0 3 322.452 4.189 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001559547490 1059352921 /nfs/dbraw/zinc/35/29/21/1059352921.db2.gz XCCPXKGODVGKDV-JKSUJKDBSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@@H](C)CCC[C@@H]2C)s1 ZINC001559547405 1059354210 /nfs/dbraw/zinc/35/42/10/1059354210.db2.gz VDPWNJRIJWOVPB-UWVGGRQHSA-N 0 3 306.397 4.481 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2CCC(=O)OC(C)(C)C)o1 ZINC001559551285 1059366409 /nfs/dbraw/zinc/36/64/09/1059366409.db2.gz BNMXGZFQTXKWQE-MRXNPFEDSA-N 0 3 321.461 4.491 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001559561925 1059382682 /nfs/dbraw/zinc/38/26/82/1059382682.db2.gz QRZVNTZJCKNFPD-QGZVFWFLSA-N 0 3 303.837 4.146 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cccc(OC(C)(C)C)n2)C1 ZINC001559564297 1059387584 /nfs/dbraw/zinc/38/75/84/1059387584.db2.gz MRXASECOGBXXSC-HOTGVXAUSA-N 0 3 322.518 4.365 20 0 DIADHN Cc1cccc(CCCN2C[C@@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001559565485 1059389936 /nfs/dbraw/zinc/38/99/36/1059389936.db2.gz LHYVMLJXAAMMKG-WIYYLYMNSA-N 0 3 309.453 4.390 20 0 DIADHN CCc1ccc(CCN2C[C@@H](C)OC[C@@H]2c2ccccc2)cc1 ZINC001559565558 1059391994 /nfs/dbraw/zinc/39/19/94/1059391994.db2.gz NDXGVYQIJOBSEG-DYESRHJHSA-N 0 3 309.453 4.254 20 0 DIADHN CCc1ccc(CCN2C[C@H](C)OC[C@@H]2c2ccccc2)cc1 ZINC001559565559 1059392076 /nfs/dbraw/zinc/39/20/76/1059392076.db2.gz NDXGVYQIJOBSEG-LAUBAEHRSA-N 0 3 309.453 4.254 20 0 DIADHN CC[C@@H](C(=O)NC[C@H]1N(C)CCCC1(C)C)C1CCC(C)CC1 ZINC001531717339 1059394356 /nfs/dbraw/zinc/39/43/56/1059394356.db2.gz QCWUCMRTIHYOTR-OPQOLIRYSA-N 0 3 322.537 4.076 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCCC(C)(C)CC2)c(C)c1 ZINC001559572178 1059405227 /nfs/dbraw/zinc/40/52/27/1059405227.db2.gz OVBGHLZRELMORY-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1cc(CN2CCN(c3ccc(C)c(C)c3)C[C@H]2C)cs1 ZINC001559577595 1059412134 /nfs/dbraw/zinc/41/21/34/1059412134.db2.gz ABCGTOPUKYKIAX-MRXNPFEDSA-N 0 3 314.498 4.384 20 0 DIADHN CCCC1CCN([C@H](CC)C(=O)Nc2ccc(C)cc2)CC1 ZINC001559578071 1059412539 /nfs/dbraw/zinc/41/25/39/1059412539.db2.gz QSJDYUYVPLVKAF-GOSISDBHSA-N 0 3 302.462 4.224 20 0 DIADHN CSc1ccc(OCCN2C[C@@H](C)[C@H]2c2ccccc2)cc1 ZINC001559582781 1059413952 /nfs/dbraw/zinc/41/39/52/1059413952.db2.gz OGQGZMIHWRNDQB-BEFAXECRSA-N 0 3 313.466 4.480 20 0 DIADHN CN(Cc1cccc(O)c1)C[C@@H]1CCC[C@H](c2ccccc2)O1 ZINC001559584832 1059418928 /nfs/dbraw/zinc/41/89/28/1059418928.db2.gz YKPQZKUCCWULMB-VQTJNVASSA-N 0 3 311.425 4.134 20 0 DIADHN COc1ncccc1CN(C)[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC001559590759 1059425457 /nfs/dbraw/zinc/42/54/57/1059425457.db2.gz PSEFZXLCKXBLRF-ZIAGYGMSSA-N 0 3 318.848 4.368 20 0 DIADHN C[C@H]1c2ccccc2CCN1C[C@@H](O)c1ccc(Cl)c(F)c1 ZINC001559591373 1059425750 /nfs/dbraw/zinc/42/57/50/1059425750.db2.gz WMOJHFLPAXJRTQ-KPZWWZAWSA-N 0 3 319.807 4.132 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CCN(c3ccccc3O)CC2)c(C)c1 ZINC001559594662 1059428304 /nfs/dbraw/zinc/42/83/04/1059428304.db2.gz SFXYXNHWWNGTIB-SFHVURJKSA-N 0 3 324.468 4.201 20 0 DIADHN Fc1ccc(CN2CCC(Cc3ccccc3F)CC2)cc1 ZINC001559593788 1059428724 /nfs/dbraw/zinc/42/87/24/1059428724.db2.gz DUUMINQRBKQZRC-UHFFFAOYSA-N 0 3 301.380 4.420 20 0 DIADHN BrC1(CNC(c2ccccc2)c2ccccc2)CC1 ZINC001559601491 1059433748 /nfs/dbraw/zinc/43/37/48/1059433748.db2.gz CTVGOKDXZGIFHB-UHFFFAOYSA-N 0 3 316.242 4.293 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001559616170 1059448327 /nfs/dbraw/zinc/44/83/27/1059448327.db2.gz UEKLKBOUOHCCQB-YOEHRIQHSA-N 0 3 322.880 4.488 20 0 DIADHN Clc1ccc(C2=CCN(C[C@@H]3CC[C@@H](C4CC4)O3)CC2)cc1 ZINC001559618332 1059449144 /nfs/dbraw/zinc/44/91/44/1059449144.db2.gz AZUDPHUACVXHQT-OALUTQOASA-N 0 3 317.860 4.387 20 0 DIADHN C[Si](C)(C)c1ccc(CNC2(c3ccc(F)cc3)CC2)cc1 ZINC001559624853 1059452655 /nfs/dbraw/zinc/45/26/55/1059452655.db2.gz WIPKBPQFBRJMSU-UHFFFAOYSA-N 0 3 313.492 4.150 20 0 DIADHN CCCN(CCN(C)C)C(=O)c1csc(C2CCCCC2)c1 ZINC001531930529 1059458617 /nfs/dbraw/zinc/45/86/17/1059458617.db2.gz IQTKWINCMHKXEN-UHFFFAOYSA-N 0 3 322.518 4.210 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(C)cc(OC)c2)no1 ZINC001247417622 1059467857 /nfs/dbraw/zinc/46/78/57/1059467857.db2.gz QCKJFFIKURAKEV-AWEZNQCLSA-N 0 3 317.433 4.237 20 0 DIADHN CC1(N(C/C=C/Cl)Cc2ccc3c(c2)OCO3)CCCC1 ZINC001559645701 1059470562 /nfs/dbraw/zinc/47/05/62/1059470562.db2.gz PZRWSGUSFXAENG-RUDMXATFSA-N 0 3 307.821 4.303 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]1CCC(C)C ZINC001559646024 1059471215 /nfs/dbraw/zinc/47/12/15/1059471215.db2.gz AQIKWDOYHNHTHM-MRXNPFEDSA-N 0 3 322.880 4.488 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(OC)ccc2F)no1 ZINC001247417891 1059471810 /nfs/dbraw/zinc/47/18/10/1059471810.db2.gz SVTBVMMPKRVIAW-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1ccc(C)cc1C ZINC001559649550 1059475309 /nfs/dbraw/zinc/47/53/09/1059475309.db2.gz JDBXVNBGEDATBI-QGZVFWFLSA-N 0 3 302.462 4.287 20 0 DIADHN CC(C)C[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559649299 1059476913 /nfs/dbraw/zinc/47/69/13/1059476913.db2.gz CPMGCCDVYQHRGS-LSDHHAIUSA-N 0 3 322.880 4.425 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CCC2CCCC2)cc1 ZINC001559652523 1059482127 /nfs/dbraw/zinc/48/21/27/1059482127.db2.gz NHTYWKBWAKPSNO-LJQANCHMSA-N 0 3 314.473 4.368 20 0 DIADHN Cc1ccc(F)cc1CN(CCCO)Cc1cccc(Cl)c1 ZINC001559660736 1059487651 /nfs/dbraw/zinc/48/76/51/1059487651.db2.gz BMJKUQTXBZQCSD-UHFFFAOYSA-N 0 3 321.823 4.172 20 0 DIADHN C[C@H]1Cc2ccc(Br)cc2CN1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC001559660646 1059489069 /nfs/dbraw/zinc/48/90/69/1059489069.db2.gz ZTJMNBSDXIREOI-VIRABCJISA-N 0 3 320.274 4.242 20 0 DIADHN CCOc1ccc(C2=CCN(Cc3ccc(OC)cc3)CC2)cc1 ZINC001559659421 1059489772 /nfs/dbraw/zinc/48/97/72/1059489772.db2.gz NAZVPHJKRMWUSE-UHFFFAOYSA-N 0 3 323.436 4.383 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001559661879 1059493109 /nfs/dbraw/zinc/49/31/09/1059493109.db2.gz ITCVLGVZRZYYGY-MRXNPFEDSA-N 0 3 321.823 4.252 20 0 DIADHN CC(C)OCCN1CCc2ccccc2[C@H](c2ccccc2)[C@H]1C ZINC001559661352 1059493821 /nfs/dbraw/zinc/49/38/21/1059493821.db2.gz UCWWZGXPLZHXHE-GCJKJVERSA-N 0 3 323.480 4.490 20 0 DIADHN COc1cc(CN2CCC[C@@H](c3ccc(F)cc3)CC2)sn1 ZINC001559676877 1059504058 /nfs/dbraw/zinc/50/40/58/1059504058.db2.gz SVCLBAKSVFVJLO-CYBMUJFWSA-N 0 3 320.433 4.061 20 0 DIADHN CC(C)C[C@H](NCC(=O)N[C@H](C)c1ccccc1)c1ccccc1 ZINC001559690280 1059520424 /nfs/dbraw/zinc/52/04/24/1059520424.db2.gz IJPKVNMBKMZZKT-XLIONFOSSA-N 0 3 324.468 4.241 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cccc(C)c1)c1cccc(Cl)c1 ZINC001559698419 1059525777 /nfs/dbraw/zinc/52/57/77/1059525777.db2.gz XEXUXOFXHLHVPM-QGZVFWFLSA-N 0 3 316.832 4.328 20 0 DIADHN CCCCC[C@@H](NCC(=O)NCC(C)(C)C)c1ccccc1 ZINC001559710554 1059539099 /nfs/dbraw/zinc/53/90/99/1059539099.db2.gz LIWQWQSOWPJFPG-QGZVFWFLSA-N 0 3 304.478 4.060 20 0 DIADHN C[C@H](NC[C@](C)(O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC001559711439 1059539937 /nfs/dbraw/zinc/53/99/37/1059539937.db2.gz JGEMPYSNQHCHCC-UGSOOPFHSA-N 0 3 321.367 4.146 20 0 DIADHN CCCCCCN1CCN(C(=O)OC(C)(C)C)C[C@H]1CCC ZINC001559740280 1059573858 /nfs/dbraw/zinc/57/38/58/1059573858.db2.gz IPAWPVGTPWIDOY-MRXNPFEDSA-N 0 3 312.498 4.288 20 0 DIADHN Cc1ccc(-c2ccccc2-c2noc([C@@H]3C[C@H]4C[C@H]4N3)n2)cc1 ZINC001247621709 1059573700 /nfs/dbraw/zinc/57/37/00/1059573700.db2.gz YKEOTBXNEFNBPI-OLMNPRSZSA-N 0 3 317.392 4.135 20 0 DIADHN CCCCCCN1CCN(C(=O)OC(C)(C)C)C[C@@H]1CCC ZINC001559740279 1059574847 /nfs/dbraw/zinc/57/48/47/1059574847.db2.gz IPAWPVGTPWIDOY-INIZCTEOSA-N 0 3 312.498 4.288 20 0 DIADHN Cc1cc(-c2noc(CN(C)[C@@H]3CCCC[C@@H]3C)n2)ccc1F ZINC001559751525 1059583649 /nfs/dbraw/zinc/58/36/49/1059583649.db2.gz GSDRIPHBTJQFEK-BLLLJJGKSA-N 0 3 317.408 4.195 20 0 DIADHN CCC1(CC)CCCN([C@@H](C)C(=O)Nc2cccc(F)c2)CC1 ZINC001559753791 1059584424 /nfs/dbraw/zinc/58/44/24/1059584424.db2.gz UDRLSTQJTFJPMZ-HNNXBMFYSA-N 0 3 320.452 4.445 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(C)OC(C)(C)C1)c1ccccc1 ZINC001559757253 1059588711 /nfs/dbraw/zinc/58/87/11/1059588711.db2.gz XDMSPTCVARDXCA-QGZVFWFLSA-N 0 3 305.462 4.042 20 0 DIADHN CC(C)[C@@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559758521 1059589732 /nfs/dbraw/zinc/58/97/32/1059589732.db2.gz DYXQTTZYTDOQHH-ZIAGYGMSSA-N 0 3 308.853 4.035 20 0 DIADHN CCC1(CC)CCN(C[C@H](O)c2ccc(F)cc2Cl)CC1 ZINC001559763805 1059599556 /nfs/dbraw/zinc/59/95/56/1059599556.db2.gz OVLITNBOGMCMTQ-INIZCTEOSA-N 0 3 313.844 4.415 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ncc(-c3ccccc3F)o2)[C@H](C)C1 ZINC001559773588 1059610297 /nfs/dbraw/zinc/61/02/97/1059610297.db2.gz QSZRVXXXDUUWLK-IOASZLSFSA-N 0 3 302.393 4.395 20 0 DIADHN CCCC[C@H](CC)CN(C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC001559781261 1059615979 /nfs/dbraw/zinc/61/59/79/1059615979.db2.gz AERJSLZTWNFRES-MSOLQXFVSA-N 0 3 318.505 4.354 20 0 DIADHN Cc1ccccc1[C@]1(F)CCN(Cc2ccccc2N(C)C)C1 ZINC001559787241 1059621672 /nfs/dbraw/zinc/62/16/72/1059621672.db2.gz SSIXYSVLBWJSIB-FQEVSTJZSA-N 0 3 312.432 4.132 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN(C)[C@H](C)C1CCCCC1 ZINC001559788505 1059625178 /nfs/dbraw/zinc/62/51/78/1059625178.db2.gz HXGMQAFDJADUHL-CQSZACIVSA-N 0 3 322.880 4.488 20 0 DIADHN CSc1ccccc1CN(C)CCCN(C)c1ccccc1 ZINC001559802700 1059640759 /nfs/dbraw/zinc/64/07/59/1059640759.db2.gz JAKXWXLJZOTUGF-UHFFFAOYSA-N 0 3 314.498 4.367 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCCN(c2ccccc2F)CC1 ZINC001559814389 1059650541 /nfs/dbraw/zinc/65/05/41/1059650541.db2.gz IHZPYHWQNRWDMI-OAHLLOKOSA-N 0 3 316.395 4.238 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2cc(O)ccc2Cl)C1(C)C ZINC001559823096 1059654760 /nfs/dbraw/zinc/65/47/60/1059654760.db2.gz LZDLKYCJDHGTPC-CVEARBPZSA-N 0 3 311.853 4.119 20 0 DIADHN CCCCN(Cc1nc(C)sc1C)[C@@H]1CCCC[C@H]1OC ZINC001559837298 1059668176 /nfs/dbraw/zinc/66/81/76/1059668176.db2.gz ULBGHYGFYYCXGS-IAGOWNOFSA-N 0 3 310.507 4.320 20 0 DIADHN COC[C@H](CN(C)[C@@H](C)c1nc2cc(Cl)ccc2[nH]1)C(C)C ZINC001559846534 1059675051 /nfs/dbraw/zinc/67/50/51/1059675051.db2.gz ITAXPHCFZBHXMK-STQMWFEESA-N 0 3 323.868 4.128 20 0 DIADHN Clc1ccc(CN2CC[C@]3(C2)CCc2ccccc2O3)cc1 ZINC001559875695 1059694658 /nfs/dbraw/zinc/69/46/58/1059694658.db2.gz DVKGSZUNBOQGNQ-IBGZPJMESA-N 0 3 313.828 4.310 20 0 DIADHN c1ccc(CSCCN2CCC=C(c3cccnc3)C2)cc1 ZINC001559876922 1059697431 /nfs/dbraw/zinc/69/74/31/1059697431.db2.gz JJQFUHHVSWRLPJ-UHFFFAOYSA-N 0 3 310.466 4.104 20 0 DIADHN CCSc1ccccc1CN1C[C@H](O)C[C@@H]1c1ccccc1 ZINC001559901112 1059715898 /nfs/dbraw/zinc/71/58/98/1059715898.db2.gz IUERVWKPSMHUKC-QZTJIDSGSA-N 0 3 313.466 4.107 20 0 DIADHN CCSc1ccccc1CN1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC001559901114 1059717046 /nfs/dbraw/zinc/71/70/46/1059717046.db2.gz IUERVWKPSMHUKC-ZWKOTPCHSA-N 0 3 313.466 4.107 20 0 DIADHN CCCn1c(C)nnc1CN1CC[C@@H](CC(C)(C)C)C1(C)C ZINC001559903314 1059720872 /nfs/dbraw/zinc/72/08/72/1059720872.db2.gz WIFLBKCCFMYOHQ-HNNXBMFYSA-N 0 3 306.498 4.033 20 0 DIADHN Cc1ccc(CN2CCC(Cc3c(F)cccc3F)CC2)nc1 ZINC001559903870 1059721380 /nfs/dbraw/zinc/72/13/80/1059721380.db2.gz OZVPLFIURQMIBM-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Brc1cscc1CN1CC[C@H](C2CCC2)C1 ZINC001559909070 1059724961 /nfs/dbraw/zinc/72/49/61/1059724961.db2.gz CKHYJWBADIPUEJ-NSHDSACASA-N 0 3 300.265 4.133 20 0 DIADHN CCc1onc(C)c1CN1CCC[C@@H]1Cc1cc(F)ccc1F ZINC001559920719 1059732335 /nfs/dbraw/zinc/73/23/35/1059732335.db2.gz UJKSETJJUDKDOY-OAHLLOKOSA-N 0 3 320.383 4.031 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CC[C@@H](CC(C)(C)C)C2)c(C)c1 ZINC001559916440 1059732952 /nfs/dbraw/zinc/73/29/52/1059732952.db2.gz YQMXFZVKDIEFOH-KRWDZBQOSA-N 0 3 316.489 4.308 20 0 DIADHN C[C@H](CN1CCN(c2c(F)cccc2F)CC1)C1CCCCC1 ZINC001559940974 1059755899 /nfs/dbraw/zinc/75/58/99/1059755899.db2.gz HLXDEIBZBKLGMQ-OAHLLOKOSA-N 0 3 322.443 4.303 20 0 DIADHN COc1cccc(CCCN2C[C@H](C)OC3(CCCCC3)C2)c1 ZINC001559953278 1059763911 /nfs/dbraw/zinc/76/39/11/1059763911.db2.gz MBEFQBUGVQCLOU-KRWDZBQOSA-N 0 3 317.473 4.051 20 0 DIADHN O=C(CN1CCCC[C@H]1C1CCC1)Nc1ccc2ccccc2c1 ZINC001559970533 1059777303 /nfs/dbraw/zinc/77/73/03/1059777303.db2.gz XRWUTQSKLVIVHO-FQEVSTJZSA-N 0 3 322.452 4.433 20 0 DIADHN CCc1noc(C)c1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001559975345 1059783371 /nfs/dbraw/zinc/78/33/71/1059783371.db2.gz LTTNHTKXGKOYHV-MRXNPFEDSA-N 0 3 316.420 4.282 20 0 DIADHN CC(C)c1ccc2c(c1)CN(Cc1ccccc1N(C)C)CC2 ZINC001559979457 1059785643 /nfs/dbraw/zinc/78/56/43/1059785643.db2.gz AZVWHFOOQDNBDA-UHFFFAOYSA-N 0 3 308.469 4.434 20 0 DIADHN Clc1ccccc1CN1CC[C@H](c2ccc3cccnc3n2)C1 ZINC001559985121 1059793966 /nfs/dbraw/zinc/79/39/66/1059793966.db2.gz FSIUHPHDOUNQKH-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN CC1(C)C[C@H](N(Cc2ccccc2)Cc2ccc(F)cc2)CO1 ZINC001559995166 1059800456 /nfs/dbraw/zinc/80/04/56/1059800456.db2.gz UTVHLLOBAZOJSF-IBGZPJMESA-N 0 3 313.416 4.395 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC1CCC1 ZINC001560002963 1059813535 /nfs/dbraw/zinc/81/35/35/1059813535.db2.gz DCACNRDVZDVMTJ-KRWDZBQOSA-N 0 3 324.509 4.144 20 0 DIADHN CCSCCN[C@@H](C)c1cc(F)c(Br)cc1F ZINC001560009498 1059818660 /nfs/dbraw/zinc/81/86/60/1059818660.db2.gz YBPZEXITBOKFCB-QMMMGPOBSA-N 0 3 324.234 4.131 20 0 DIADHN CC(C)(C)C1CCC(CN2CCC[C@@H]2c2ncccn2)CC1 ZINC001560014435 1059826273 /nfs/dbraw/zinc/82/62/73/1059826273.db2.gz SYZHHSDEGOJFOK-OFLPRAFFSA-N 0 3 301.478 4.466 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(CCCCc1ccccc1)C2 ZINC001560019395 1059829531 /nfs/dbraw/zinc/82/95/31/1059829531.db2.gz YZIVGIIRCQCFHX-UHFFFAOYSA-N 0 3 323.484 4.155 20 0 DIADHN CC(C)(C)C1CCC(CN2CCOc3ccc(O)cc3C2)CC1 ZINC001560020874 1059835051 /nfs/dbraw/zinc/83/50/51/1059835051.db2.gz PINQYSRFJUKRHU-UHFFFAOYSA-N 0 3 317.473 4.439 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](C3CCCCC3)C2)c1 ZINC001560024772 1059838655 /nfs/dbraw/zinc/83/86/55/1059838655.db2.gz SWHXFMBGIDWBFE-SFHVURJKSA-N 0 3 314.473 4.226 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cc(Cl)cn3ccnc13)CC2 ZINC001248451753 1059840091 /nfs/dbraw/zinc/84/00/91/1059840091.db2.gz KGVYCNADNRCFRE-HNNXBMFYSA-N 0 3 321.827 4.092 20 0 DIADHN CC(C)CCO[C@@H]1CCN(C/C=C/c2ccc(Cl)cc2)C1 ZINC001560033630 1059848473 /nfs/dbraw/zinc/84/84/73/1059848473.db2.gz ZCEYPGMBWRULSY-OAJJDEHYSA-N 0 3 307.865 4.490 20 0 DIADHN OCCCCCCCN1CCc2cccc(C(F)(F)F)c2C1 ZINC001560048871 1059860364 /nfs/dbraw/zinc/86/03/64/1059860364.db2.gz DWMKEEGMICWYRM-UHFFFAOYSA-N 0 3 315.379 4.006 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(CCc2ccncc2)CC1 ZINC001560049789 1059864167 /nfs/dbraw/zinc/86/41/67/1059864167.db2.gz SASJXBLIEPTRNU-UHFFFAOYSA-N 0 3 312.844 4.375 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@@H](C)C2CCCCC2)cc1C ZINC001560058232 1059871005 /nfs/dbraw/zinc/87/10/05/1059871005.db2.gz NNRRUHBAWHJJIB-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C)C[C@@H](C)C2CCCCC2)c1 ZINC001560058532 1059873641 /nfs/dbraw/zinc/87/36/41/1059873641.db2.gz QNTUGKPFEHZWKE-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN Fc1ccc2occc2c1CN1CCC[C@H](c2ccccn2)C1 ZINC001248539719 1059873704 /nfs/dbraw/zinc/87/37/04/1059873704.db2.gz VACKXFHMKTYDJW-AWEZNQCLSA-N 0 3 310.372 4.347 20 0 DIADHN COc1nscc1CN1CC[C@H](c2ccc(F)cc2)C1(C)C ZINC001560057910 1059873766 /nfs/dbraw/zinc/87/37/66/1059873766.db2.gz GGLDHPQLBQCXOK-OAHLLOKOSA-N 0 3 320.433 4.059 20 0 DIADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001560065348 1059876611 /nfs/dbraw/zinc/87/66/11/1059876611.db2.gz KMFGNWGRPWLBHD-WLHTULFXSA-N 0 3 323.864 4.030 20 0 DIADHN C[C@@H]1CC(CCN2CCc3nc(C4CC4)ncc3C2)C[C@@H](C)C1 ZINC001560067672 1059882681 /nfs/dbraw/zinc/88/26/81/1059882681.db2.gz RGWIBAQCXOYQFO-GJZGRUSLSA-N 0 3 313.489 4.175 20 0 DIADHN CC1(C)C[C@H](O)CN(Cc2ccc(Cl)c3ccccc23)C1 ZINC001560074566 1059885806 /nfs/dbraw/zinc/88/58/06/1059885806.db2.gz XYRPUGWHLHDVET-AWEZNQCLSA-N 0 3 303.833 4.086 20 0 DIADHN Cc1ccc2c(CN3CCC(=O)[C@@H](C)C34CCCC4)cccc2n1 ZINC001248577513 1059897801 /nfs/dbraw/zinc/89/78/01/1059897801.db2.gz JMIGQPWEMHGVRG-MRXNPFEDSA-N 0 3 322.452 4.267 20 0 DIADHN Cc1nc(CN2CCC[C@@H](C3CCC3)CC2)nc2ccccc12 ZINC001560092287 1059902317 /nfs/dbraw/zinc/90/23/17/1059902317.db2.gz VBAPAEQHISJYFG-QGZVFWFLSA-N 0 3 309.457 4.340 20 0 DIADHN Cc1nc(CN2CC[C@]2(C)C2CCCCC2)nc2ccccc12 ZINC001560096484 1059907239 /nfs/dbraw/zinc/90/72/39/1059907239.db2.gz WWUOBLDHUYTVIS-HXUWFJFHSA-N 0 3 309.457 4.483 20 0 DIADHN Cc1ccccc1CCCN1CCN([C@H](C)c2ccccc2)CC1 ZINC001560106408 1059917220 /nfs/dbraw/zinc/91/72/20/1059917220.db2.gz LEHVDXSNVILJMK-HXUWFJFHSA-N 0 3 322.496 4.306 20 0 DIADHN CC(C)[C@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccc(Cl)cc1 ZINC001525514703 1059919513 /nfs/dbraw/zinc/91/95/13/1059919513.db2.gz AQDJYEWTKBYJSA-IRXDYDNUSA-N 0 3 322.880 4.026 20 0 DIADHN CC(C)Cc1ccccc1CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC001560125130 1059924441 /nfs/dbraw/zinc/92/44/41/1059924441.db2.gz QQFMFTHICLSTGP-OYRHEFFESA-N 0 3 309.453 4.025 20 0 DIADHN C=C/C=C\CCN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001560121206 1059925220 /nfs/dbraw/zinc/92/52/20/1059925220.db2.gz RQWLTVLTLOEACB-VOFKWLDRSA-N 0 3 302.437 4.027 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@@](C)(CF)C2)cc(OC(C)C)c1 ZINC001248651273 1059926232 /nfs/dbraw/zinc/92/62/32/1059926232.db2.gz WGUIIDJPMGUAGN-IBGZPJMESA-N 0 3 323.452 4.443 20 0 DIADHN C[C@@H](CN(C)CC(=O)Nc1cccc2ccccc21)C(C)(C)C ZINC001560126480 1059931377 /nfs/dbraw/zinc/93/13/77/1059931377.db2.gz ADBORJPWCQZCIN-HNNXBMFYSA-N 0 3 312.457 4.392 20 0 DIADHN CCCCCCc1csc(CN2C[C@H]3CC[C@@H](C2)C3=O)c1 ZINC001248687606 1059938942 /nfs/dbraw/zinc/93/89/42/1059938942.db2.gz WFOAOTUUGGZVFO-IYBDPMFKSA-N 0 3 305.487 4.282 20 0 DIADHN Cc1noc2ccc(CN3CCC[C@H](Oc4ccccc4)C3)cc12 ZINC001248702852 1059943955 /nfs/dbraw/zinc/94/39/55/1059943955.db2.gz QJKOJRVGUFOVTA-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN Clc1ccccc1CN1CCC[C@@H](Cc2nccs2)C1 ZINC001560155660 1059946914 /nfs/dbraw/zinc/94/69/14/1059946914.db2.gz RIKAAZRWAKYBBR-ZDUSSCGKSA-N 0 3 306.862 4.251 20 0 DIADHN CC[C@H](NCCN(C)c1ccccc1)c1cc(F)ccc1OC ZINC001560158495 1059954128 /nfs/dbraw/zinc/95/41/28/1059954128.db2.gz MYHWPZVEOFCDGT-SFHVURJKSA-N 0 3 316.420 4.011 20 0 DIADHN c1ccc(C2CCC(CN[C@H](c3ncccn3)C3CC3)CC2)cc1 ZINC001560162094 1059955957 /nfs/dbraw/zinc/95/59/57/1059955957.db2.gz XUKRJUYRWHQIAT-NLPFYKDJSA-N 0 3 321.468 4.491 20 0 DIADHN Cc1ccc(CCN2[C@H](C)CO[C@@H](c3ccccc3)[C@@H]2C)cc1 ZINC001560178633 1059968127 /nfs/dbraw/zinc/96/81/27/1059968127.db2.gz ARKAYNBEPVREBA-LVCYWYKZSA-N 0 3 309.453 4.388 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCCC[C@H]3C2)c(OC)c1Cl ZINC001248799634 1059978107 /nfs/dbraw/zinc/97/81/07/1059978107.db2.gz MGETYVWOANGMAO-KGLIPLIRSA-N 0 3 323.864 4.369 20 0 DIADHN Fc1cc(C(F)(F)F)cnc1CN1CCCCCCCC1 ZINC001248790974 1059979286 /nfs/dbraw/zinc/97/92/86/1059979286.db2.gz MYSMCPMHGCKSBW-UHFFFAOYSA-N 0 3 304.331 4.396 20 0 DIADHN CCC[C@@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC001560201253 1059979560 /nfs/dbraw/zinc/97/95/60/1059979560.db2.gz GZBMUPMLINZQHF-CQSZACIVSA-N 0 3 305.809 4.155 20 0 DIADHN CCC[C@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC001560201252 1059982603 /nfs/dbraw/zinc/98/26/03/1059982603.db2.gz GZBMUPMLINZQHF-AWEZNQCLSA-N 0 3 305.809 4.155 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccc(C)cc3)CC2)cs1 ZINC001248803418 1059987233 /nfs/dbraw/zinc/98/72/33/1059987233.db2.gz XKVWFZOFASJLQO-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN COC(=O)c1cccc([C@H]2CCCN2Cc2csc(C)c2)c1 ZINC001248804411 1059988119 /nfs/dbraw/zinc/98/81/19/1059988119.db2.gz BKISCGARCLDUIP-QGZVFWFLSA-N 0 3 315.438 4.180 20 0 DIADHN CCOC(=O)CCN(Cc1csc(C)c1)Cc1ccccc1 ZINC001248801959 1059989529 /nfs/dbraw/zinc/98/95/29/1059989529.db2.gz OAPHGAPIMXZOQK-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN Fc1ccc(CN2CCC(C(F)(F)F)CC2)c2[nH]ccc21 ZINC001248815072 1059991902 /nfs/dbraw/zinc/99/19/02/1059991902.db2.gz KSLYYXYENDYFOF-UHFFFAOYSA-N 0 3 300.299 4.081 20 0 DIADHN Clc1c(CN2CC[C@@H](c3ccncc3)C2)cnc2ccccc12 ZINC001248812321 1059991977 /nfs/dbraw/zinc/99/19/77/1059991977.db2.gz DXDVCFOTZFSSKJ-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2ccc(F)c3cc[nH]c32)C1 ZINC001248818538 1059999989 /nfs/dbraw/zinc/99/99/89/1059999989.db2.gz QEGYWPHCAOHRHD-INIZCTEOSA-N 0 3 322.383 4.012 20 0 DIADHN CCc1ccc2c(c1)CN(Cc1cc3ccccc3[nH]c1=O)CC2 ZINC001560241284 1060003230 /nfs/dbraw/zinc/00/32/30/1060003230.db2.gz IMCGUZOMMBDOTC-UHFFFAOYSA-N 0 3 318.420 4.061 20 0 DIADHN Cc1ccc(SCCN2CCc3cc(O)ccc3C2)cc1C ZINC001560240045 1060003377 /nfs/dbraw/zinc/00/33/77/1060003377.db2.gz NGRDLSQTYOITCC-UHFFFAOYSA-N 0 3 313.466 4.159 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3ccc4n3CCC4)C2)c1 ZINC001248824522 1060004673 /nfs/dbraw/zinc/00/46/73/1060004673.db2.gz QMNUJUHQXOJIPD-OAHLLOKOSA-N 0 3 300.833 4.077 20 0 DIADHN CC[C@@H](OCCN1CCO[C@H](C(C)(C)C)CC1)c1ccccc1 ZINC001560236324 1060005806 /nfs/dbraw/zinc/00/58/06/1060005806.db2.gz KJYXFAUJLWREBF-MOPGFXCFSA-N 0 3 319.489 4.291 20 0 DIADHN Cn1cc(CN2CCC[C@H]3CCCC[C@@H]32)c2c1ccnc2Cl ZINC001248837915 1060010370 /nfs/dbraw/zinc/01/03/70/1060010370.db2.gz XKRSMSIMUONQPA-HIFRSBDPSA-N 0 3 317.864 4.381 20 0 DIADHN Cc1ccc2[nH]c(CN(C)C(C)(C)Cc3ccccc3)nc2c1 ZINC001248843621 1060014689 /nfs/dbraw/zinc/01/46/89/1060014689.db2.gz UPCSCHRITNNMAY-UHFFFAOYSA-N 0 3 307.441 4.324 20 0 DIADHN C[C@@H](OCCC[NH2+]Cc1cc([O-])cc(Cl)c1)c1ccccc1 ZINC001560253108 1060020638 /nfs/dbraw/zinc/02/06/38/1060020638.db2.gz HFXVJNHHJHRUAT-CQSZACIVSA-N 0 3 319.832 4.303 20 0 DIADHN CN(Cc1nc2cccc(Br)c2o1)CC1CCCC1 ZINC001248851537 1060024273 /nfs/dbraw/zinc/02/42/73/1060024273.db2.gz PNSQJVAYRWJBCI-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN Cc1ccc(OCCNCc2cc(C)ccc2OC(F)F)cc1 ZINC001560255800 1060024868 /nfs/dbraw/zinc/02/48/68/1060024868.db2.gz JMLPCGSTUDIMQR-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2Cc2ccc(F)cc2)ccc1Cl ZINC001248874092 1060033531 /nfs/dbraw/zinc/03/35/31/1060033531.db2.gz KIBSFSFWCDSFIX-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2ncc(Br)cc2Cl)C1 ZINC001560264045 1060033682 /nfs/dbraw/zinc/03/36/82/1060033682.db2.gz RBVKQUYLYQZMNJ-MWLCHTKSSA-N 0 3 317.658 4.166 20 0 DIADHN CCCCOc1cccc(CNCc2cccc(COC)c2)c1 ZINC001560272250 1060044527 /nfs/dbraw/zinc/04/45/27/1060044527.db2.gz AKACTVVEUAVUEH-UHFFFAOYSA-N 0 3 313.441 4.302 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC/C=C\c1ccc(F)cc1 ZINC001560272514 1060046194 /nfs/dbraw/zinc/04/61/94/1060046194.db2.gz FSINWZNFDDEPCI-DHCBQETCSA-N 0 3 303.352 4.337 20 0 DIADHN C[C@H](COc1cccc(F)c1)NCc1cc(Cl)ccc1F ZINC001560286671 1060068162 /nfs/dbraw/zinc/06/81/62/1060068162.db2.gz RYETYZOSBMBIMS-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CCNc1ccccc1CNCc1ccc(Br)cc1 ZINC001560294715 1060076109 /nfs/dbraw/zinc/07/61/09/1060076109.db2.gz PYRKTQIMFBZCQZ-UHFFFAOYSA-N 0 3 319.246 4.171 20 0 DIADHN Cn1c(CN[C@@H](c2ccccc2)[C@H]2CCCO2)cc2ccccc21 ZINC001560294173 1060078917 /nfs/dbraw/zinc/07/89/17/1060078917.db2.gz PLJNNSHMMGPIJU-RTWAWAEBSA-N 0 3 320.436 4.188 20 0 DIADHN Cc1ccnc(CNC[C@@H]2CCCC[C@H]2C)c1Br ZINC001560301726 1060087235 /nfs/dbraw/zinc/08/72/35/1060087235.db2.gz CPZXEAQKTIDASZ-YPMHNXCESA-N 0 3 311.267 4.068 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1Cl)N1CCc2ccccc21 ZINC001560302054 1060090470 /nfs/dbraw/zinc/09/04/70/1060090470.db2.gz MXJRFNKRUHTMAO-CYBMUJFWSA-N 0 3 318.823 4.020 20 0 DIADHN CCCn1cc(CN[C@H](c2ccc(F)cc2)C2CCC2)c(C)n1 ZINC001560316528 1060102785 /nfs/dbraw/zinc/10/27/85/1060102785.db2.gz YUYZLFSRGOIXCA-IBGZPJMESA-N 0 3 315.436 4.372 20 0 DIADHN Cc1c[nH]c(CNCC2(c3ccc(C(C)(C)C)cc3)CCC2)n1 ZINC001560321939 1060109836 /nfs/dbraw/zinc/10/98/36/1060109836.db2.gz JFILODBTUIELHT-UHFFFAOYSA-N 0 3 311.473 4.227 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)c(C)n1 ZINC001560323703 1060110657 /nfs/dbraw/zinc/11/06/57/1060110657.db2.gz DSKBOPXLLKWNLR-SFHVURJKSA-N 0 3 317.864 4.496 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnc(N(C)C)s1 ZINC001560332900 1060117413 /nfs/dbraw/zinc/11/74/13/1060117413.db2.gz BKVCNNGOKPUHOM-KRWDZBQOSA-N 0 3 317.502 4.395 20 0 DIADHN C[C@H]1CCc2ccccc2N1CCNCc1sccc1Cl ZINC001560330886 1060118029 /nfs/dbraw/zinc/11/80/29/1060118029.db2.gz CPDPBNNECDOROT-ZDUSSCGKSA-N 0 3 320.889 4.332 20 0 DIADHN CCOc1cc(CNCc2ccccc2C2CC2)ccc1OC ZINC001560337483 1060123032 /nfs/dbraw/zinc/12/30/32/1060123032.db2.gz KAVLGEODQMTIGM-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CCOc1cccc(F)c1CNCC(C)(C)c1cccc(F)c1 ZINC001560336817 1060123058 /nfs/dbraw/zinc/12/30/58/1060123058.db2.gz DZLOEMLGERYCLG-UHFFFAOYSA-N 0 3 319.395 4.431 20 0 DIADHN Clc1cscc1CN[C@@H]1CCCN(c2ccccc2)C1 ZINC001560339836 1060124871 /nfs/dbraw/zinc/12/48/71/1060124871.db2.gz GNHBDRLXEOCHMG-CQSZACIVSA-N 0 3 306.862 4.160 20 0 DIADHN Cc1ccc([C@@H](C)CNCc2cccnc2Br)cc1 ZINC001560342780 1060127257 /nfs/dbraw/zinc/12/72/57/1060127257.db2.gz GNNXURLRGWFCIL-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN C[C@H]1CC[C@H](CO)CN1Cc1sc(Cl)c2ccccc21 ZINC001249041850 1060128318 /nfs/dbraw/zinc/12/83/18/1060128318.db2.gz ANJWRRKZPCKHRU-RYUDHWBXSA-N 0 3 309.862 4.148 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@@H](OC)c2ccc(Cl)cc2)o1 ZINC001560342713 1060128770 /nfs/dbraw/zinc/12/87/70/1060128770.db2.gz DAQVNXIWLMUUJG-SJKOYZFVSA-N 0 3 307.821 4.361 20 0 DIADHN CC(C)COc1ccc(CNCc2ccccc2N(C)C)cc1 ZINC001560347630 1060133585 /nfs/dbraw/zinc/13/35/85/1060133585.db2.gz SPJYUPXVGQPLCK-UHFFFAOYSA-N 0 3 312.457 4.077 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](C)Oc1ccc(F)cc1 ZINC001560353761 1060140689 /nfs/dbraw/zinc/14/06/89/1060140689.db2.gz VMYBBJFKBVBTMK-CYBMUJFWSA-N 0 3 305.368 4.084 20 0 DIADHN FC(F)(F)CCNCc1cc(Cl)cc(Br)c1 ZINC001560354562 1060140982 /nfs/dbraw/zinc/14/09/82/1060140982.db2.gz VBJZMIVEOADCPB-UHFFFAOYSA-N 0 3 316.548 4.145 20 0 DIADHN CCO[C@H]1C[C@H](NCc2c(F)cc(C)cc2F)C1(CC)CC ZINC001560358878 1060144954 /nfs/dbraw/zinc/14/49/54/1060144954.db2.gz WQZHYMOKOUZKFT-IRXDYDNUSA-N 0 3 311.416 4.347 20 0 DIADHN Fc1ccc(Cl)c(-c2noc([C@@H]3CCCN3CC3CC3)n2)c1 ZINC001249072796 1060146276 /nfs/dbraw/zinc/14/62/76/1060146276.db2.gz BMEVYCAEDMVEJL-AWEZNQCLSA-N 0 3 321.783 4.076 20 0 DIADHN COC(=O)CCN(Cc1sc(Cl)c2ccccc21)C1CC1 ZINC001249075299 1060146352 /nfs/dbraw/zinc/14/63/52/1060146352.db2.gz KEGMKFWTGBIQCC-UHFFFAOYSA-N 0 3 323.845 4.082 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2c(F)cc(C)cc2F)C1(CC)CC ZINC001560358876 1060146620 /nfs/dbraw/zinc/14/66/20/1060146620.db2.gz WQZHYMOKOUZKFT-DLBZAZTESA-N 0 3 311.416 4.347 20 0 DIADHN Clc1sc(CN2CCC[C@]23CCOC3)c2ccccc12 ZINC001249076526 1060148208 /nfs/dbraw/zinc/14/82/08/1060148208.db2.gz XGKWIZQTIOWELK-MRXNPFEDSA-N 0 3 307.846 4.310 20 0 DIADHN CCC(C)(C)C1CCC(NCc2csc(N(C)C)n2)CC1 ZINC001560362744 1060148853 /nfs/dbraw/zinc/14/88/53/1060148853.db2.gz YKTRDHFVJQPZTI-UHFFFAOYSA-N 0 3 309.523 4.294 20 0 DIADHN CC[C@@H](CNCc1ccc(SC)o1)Oc1ccccc1F ZINC001560366750 1060152391 /nfs/dbraw/zinc/15/23/91/1060152391.db2.gz OQXZNRRTLKBMRE-LBPRGKRZSA-N 0 3 309.406 4.088 20 0 DIADHN CC[C@@H](CNCc1sccc1Cl)Oc1ccccc1F ZINC001560366765 1060153480 /nfs/dbraw/zinc/15/34/80/1060153480.db2.gz PCMPDXGSDUGPIK-NSHDSACASA-N 0 3 313.825 4.488 20 0 DIADHN C[C@@]1(CNCc2ccc(Cl)cc2Cl)CCCC[C@H]1O ZINC001560368077 1060156086 /nfs/dbraw/zinc/15/60/86/1060156086.db2.gz DYJGBDPVQHEDLU-CABCVRRESA-N 0 3 302.245 4.024 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12 ZINC001560393321 1060178688 /nfs/dbraw/zinc/17/86/88/1060178688.db2.gz TUUCJLFENWNJJU-AHIWAGSCSA-N 0 3 319.235 4.064 20 0 DIADHN Cc1ccc(CNCCCOc2ccc3ccccc3c2)nc1 ZINC001560394452 1060180200 /nfs/dbraw/zinc/18/02/00/1060180200.db2.gz YKVMAAZMEFZPGC-UHFFFAOYSA-N 0 3 306.409 4.102 20 0 DIADHN CCC1(CNCc2nccc(C)c2Br)CCCC1 ZINC001560397162 1060183313 /nfs/dbraw/zinc/18/33/13/1060183313.db2.gz LPGJSKDOONIYJP-UHFFFAOYSA-N 0 3 311.267 4.213 20 0 DIADHN Clc1cscc1CNCCc1nc2c(s1)CCCC2 ZINC001560402188 1060187213 /nfs/dbraw/zinc/18/72/13/1060187213.db2.gz AZOSXDAIJYGALZ-UHFFFAOYSA-N 0 3 312.891 4.069 20 0 DIADHN CNc1ccc(C)cc1CN[C@H]1CCCc2ccc(OC)cc21 ZINC001560404856 1060191225 /nfs/dbraw/zinc/19/12/25/1060191225.db2.gz MBFYKOJKDYWMQA-FQEVSTJZSA-N 0 3 310.441 4.213 20 0 DIADHN Clc1ccc(Cl)c(-c2noc(C34CCCN3CCC4)n2)c1 ZINC001249220876 1060193141 /nfs/dbraw/zinc/19/31/41/1060193141.db2.gz KOCSLRVSCZOYOM-UHFFFAOYSA-N 0 3 324.211 4.128 20 0 DIADHN COc1ccc(CN[C@@H](C)Cc2ccccc2C(F)(F)F)o1 ZINC001560413495 1060197614 /nfs/dbraw/zinc/19/76/14/1060197614.db2.gz LXICYPGAVRJYCH-NSHDSACASA-N 0 3 313.319 4.028 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccccc1Cl ZINC001560415050 1060199612 /nfs/dbraw/zinc/19/96/12/1060199612.db2.gz VOXLXYLYYNTRIX-SJCJKPOMSA-N 0 3 307.796 4.345 20 0 DIADHN FC(F)COc1ccccc1CNC/C=C/c1ccccc1 ZINC001560416979 1060202084 /nfs/dbraw/zinc/20/20/84/1060202084.db2.gz MWNFANBOAXQZCD-RMKNXTFCSA-N 0 3 303.352 4.134 20 0 DIADHN Fc1cccc(/C=C/CN[C@H]2CCCOc3c(F)cccc32)c1 ZINC001560420335 1060205232 /nfs/dbraw/zinc/20/52/32/1060205232.db2.gz BCDYCDMIMMCTRY-PXPSOEEZSA-N 0 3 315.363 4.482 20 0 DIADHN CC[C@H](NCc1cn(C(C)C)nc1C)c1ccc(C)c(F)c1 ZINC001560422172 1060206662 /nfs/dbraw/zinc/20/66/62/1060206662.db2.gz HZJJDVKYQCYIBD-SFHVURJKSA-N 0 3 303.425 4.461 20 0 DIADHN CCN(Cc1csc(-c2ccncc2)n1)C1CCCCC1 ZINC001249263113 1060208199 /nfs/dbraw/zinc/20/81/99/1060208199.db2.gz DOYRNDNPRLUFAL-UHFFFAOYSA-N 0 3 301.459 4.360 20 0 DIADHN CCC[C@H]1CCCCN1Cc1csc(-c2ccncc2)n1 ZINC001249292716 1060213845 /nfs/dbraw/zinc/21/38/45/1060213845.db2.gz OQXIHQPUUCQUJP-INIZCTEOSA-N 0 3 301.459 4.360 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2csc(-c3ccncc3)n2)CC1 ZINC001249309848 1060216695 /nfs/dbraw/zinc/21/66/95/1060216695.db2.gz BXAOEHNEHZMFMG-SAZUREKKSA-N 0 3 301.459 4.216 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2ccc(C(C)(C)C)cc2)C1 ZINC001560430158 1060218525 /nfs/dbraw/zinc/21/85/25/1060218525.db2.gz BERZPYDPHHVSKD-HXUWFJFHSA-N 0 3 308.469 4.260 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1cccc3ncccc13)CCO2 ZINC001560433740 1060221787 /nfs/dbraw/zinc/22/17/87/1060221787.db2.gz WQAXPCMMBSYIPR-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN COc1cc(CN2CCCc3ccccc3C2)ccc1SC ZINC001249377785 1060231708 /nfs/dbraw/zinc/23/17/08/1060231708.db2.gz PEOVJDPNHAGAOX-UHFFFAOYSA-N 0 3 313.466 4.366 20 0 DIADHN CC1(C)CC(CNCc2cnn(Cc3ccccc3)c2Cl)C1 ZINC001560443767 1060233822 /nfs/dbraw/zinc/23/38/22/1060233822.db2.gz PAJRNORWOBQXLS-UHFFFAOYSA-N 0 3 317.864 4.111 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CC[C@@H](c2ccccc2F)C1 ZINC001249408005 1060234522 /nfs/dbraw/zinc/23/45/22/1060234522.db2.gz UYLHSPYFQLCFTL-HUUCEWRRSA-N 0 3 315.436 4.062 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCC[C@H]1c1cccs1 ZINC001249405860 1060235709 /nfs/dbraw/zinc/23/57/09/1060235709.db2.gz BQRLYHJKWZIWIF-HIFRSBDPSA-N 0 3 303.475 4.332 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001249408551 1060236392 /nfs/dbraw/zinc/23/63/92/1060236392.db2.gz GOERGRNMGPXYPP-OAGGEKHMSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1ccc(CN2CC[C@H]3CCCC[C@H]3C2)c(Br)n1 ZINC001249419182 1060240149 /nfs/dbraw/zinc/24/01/49/1060240149.db2.gz NBOHGDJEGKLZRX-KGLIPLIRSA-N 0 3 323.278 4.165 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]3CCCC[C@@H]32)c(Br)n1 ZINC001249419050 1060240232 /nfs/dbraw/zinc/24/02/32/1060240232.db2.gz HREDSDIVCLBBFY-ZFWWWQNUSA-N 0 3 323.278 4.307 20 0 DIADHN COc1ccc2nc(CN3CCCC[C@H]3c3ccccc3)[nH]c2c1 ZINC001249411969 1060243127 /nfs/dbraw/zinc/24/31/27/1060243127.db2.gz QBTROTZJIBXCIM-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CCCc2cccc(Cl)c2)c1 ZINC001560459314 1060249693 /nfs/dbraw/zinc/24/96/93/1060249693.db2.gz SZLLJZIMJKWNNF-UHFFFAOYSA-N 0 3 310.224 4.421 20 0 DIADHN CCC[C@]1(NCc2ccc(-c3cccc(F)c3F)o2)CCOC1 ZINC001560469260 1060256993 /nfs/dbraw/zinc/25/69/93/1060256993.db2.gz BUYFVUBCQCAZRX-SFHVURJKSA-N 0 3 321.367 4.274 20 0 DIADHN CCCCCC[C@H](C)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001526567788 1060259021 /nfs/dbraw/zinc/25/90/21/1060259021.db2.gz YBSMRIUDVOFGES-SFHVURJKSA-N 0 3 322.537 4.460 20 0 DIADHN CCCCCC[C@@H](C)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001526567787 1060259792 /nfs/dbraw/zinc/25/97/92/1060259792.db2.gz YBSMRIUDVOFGES-GOSISDBHSA-N 0 3 322.537 4.460 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CC[C@H](c3cccc(F)c3)C2)c1 ZINC001249479865 1060260645 /nfs/dbraw/zinc/26/06/45/1060260645.db2.gz VIPXSFJNRRBYKF-HNNXBMFYSA-N 0 3 300.421 4.441 20 0 DIADHN CCN(Cc1cc(C(C)(C)C)c[nH]1)Cc1ccc(OC)cc1 ZINC001249479948 1060260918 /nfs/dbraw/zinc/26/09/18/1060260918.db2.gz ZFTLZTZPYXECCF-UHFFFAOYSA-N 0 3 300.446 4.343 20 0 DIADHN Brc1coc2ccc(CN3C[C@@H]4CCC[C@H]4C3)cc12 ZINC001249495449 1060264134 /nfs/dbraw/zinc/26/41/34/1060264134.db2.gz RHWBHIWXENETDG-STQMWFEESA-N 0 3 320.230 4.427 20 0 DIADHN COc1ccc(F)c(CNC2(Cc3cccc(Cl)c3)CC2)c1 ZINC001560474341 1060264783 /nfs/dbraw/zinc/26/47/83/1060264783.db2.gz LXYRFXDHRHXNGY-UHFFFAOYSA-N 0 3 319.807 4.353 20 0 DIADHN CCSc1ncc2cccc(CN3CC4CCC(CC4)C3)n21 ZINC001249501239 1060265534 /nfs/dbraw/zinc/26/55/34/1060265534.db2.gz NMEVEIIHHNOTMN-UHFFFAOYSA-N 0 3 315.486 4.068 20 0 DIADHN CC(C)n1c(CNC[C@H]2CCCC2(F)F)nc2ccccc21 ZINC001560477497 1060267780 /nfs/dbraw/zinc/26/77/80/1060267780.db2.gz NTLATZVQZLSUCW-CYBMUJFWSA-N 0 3 307.388 4.142 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249516760 1060269292 /nfs/dbraw/zinc/26/92/92/1060269292.db2.gz GOKYBVOENIVBIR-GHMZBOCLSA-N 0 3 322.250 4.088 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249516761 1060269798 /nfs/dbraw/zinc/26/97/98/1060269798.db2.gz GOKYBVOENIVBIR-PHIMTYICSA-N 0 3 322.250 4.088 20 0 DIADHN Fc1cc2cc[nH]c2c(CN2CCC(Oc3ccccc3)CC2)c1 ZINC001249521849 1060271993 /nfs/dbraw/zinc/27/19/93/1060271993.db2.gz DSIRAIOCUUJFRQ-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN Cc1ccc(CCN(C)Cc2cnc(C)cc2C(F)(F)F)cc1 ZINC001249515109 1060274056 /nfs/dbraw/zinc/27/40/56/1060274056.db2.gz MDPSJAJRDLOLAZ-UHFFFAOYSA-N 0 3 322.374 4.392 20 0 DIADHN Fc1cc2cc[nH]c2c(CN2CC[C@H](OCc3ccccc3)C2)c1 ZINC001249525064 1060275924 /nfs/dbraw/zinc/27/59/24/1060275924.db2.gz XMKRVGCZOGFCFW-IBGZPJMESA-N 0 3 324.399 4.098 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1CSc2ccccc21 ZINC001560483185 1060276183 /nfs/dbraw/zinc/27/61/83/1060276183.db2.gz PZXGSZFUVDVUSF-OAHLLOKOSA-N 0 3 322.433 4.321 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cc(F)cc3cc[nH]c32)cn1 ZINC001249525393 1060276832 /nfs/dbraw/zinc/27/68/32/1060276832.db2.gz MAKILWPRQOMJIN-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN OCC[C@H](NC/C=C\c1cccc(F)c1)c1ccc(Cl)cc1 ZINC001560490039 1060281478 /nfs/dbraw/zinc/28/14/78/1060281478.db2.gz ISDGPRSDBUCSFA-VEXQSBSHSA-N 0 3 319.807 4.206 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccc(F)c(F)c3F)C2)cc1 ZINC001560488498 1060281945 /nfs/dbraw/zinc/28/19/45/1060281945.db2.gz IDUQFPMENANSND-MQMHXKEQSA-N 0 3 309.306 4.279 20 0 DIADHN COc1cccc(C2(CNCc3c(F)cc(C)cc3F)CC2)c1 ZINC001560490585 1060284747 /nfs/dbraw/zinc/28/47/47/1060284747.db2.gz AYAYVXQFJKCDQN-UHFFFAOYSA-N 0 3 317.379 4.103 20 0 DIADHN c1ccc2cc(NC3=CC[C@@H](N4CCOCC4)CC3)ccc2c1 ZINC001249559284 1060291320 /nfs/dbraw/zinc/29/13/20/1060291320.db2.gz QYCATDGTOMQXRR-HXUWFJFHSA-N 0 3 308.425 4.020 20 0 DIADHN c1coc(-c2ccc(NC3=CC[C@H](N4CCOCC4)CC3)cc2)c1 ZINC001249558213 1060291705 /nfs/dbraw/zinc/29/17/05/1060291705.db2.gz ICZRLRKBMYXURM-IBGZPJMESA-N 0 3 324.424 4.127 20 0 DIADHN Cc1cc2ccccc2cc1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249565803 1060292938 /nfs/dbraw/zinc/29/29/38/1060292938.db2.gz BWSZHIMZEDAJFD-HXUWFJFHSA-N 0 3 322.452 4.329 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001560502434 1060296321 /nfs/dbraw/zinc/29/63/21/1060296321.db2.gz HRNWUIRUGLPYLI-ZIAGYGMSSA-N 0 3 321.770 4.406 20 0 DIADHN Cn1ncc(CN[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)c1Cl ZINC001560505204 1060300702 /nfs/dbraw/zinc/30/07/02/1060300702.db2.gz OEBZIRLOMIRLLJ-IUODEOHRSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2C2CCC2)c(Br)cc1F ZINC001560512204 1060309184 /nfs/dbraw/zinc/30/91/84/1060309184.db2.gz KYLUQUFQOHQPGK-SWLSCSKDSA-N 0 3 312.226 4.175 20 0 DIADHN FC(F)(F)c1nc(CNC[C@@H]2CC3CCC2CC3)cs1 ZINC001560513442 1060312668 /nfs/dbraw/zinc/31/26/68/1060312668.db2.gz SIECZCQLWWIDNN-ILDUYXDCSA-N 0 3 304.381 4.078 20 0 DIADHN FC(F)(F)c1nc(CNC[C@H]2CC3CCC2CC3)cs1 ZINC001560513443 1060312788 /nfs/dbraw/zinc/31/27/88/1060312788.db2.gz SIECZCQLWWIDNN-VQXHTEKXSA-N 0 3 304.381 4.078 20 0 DIADHN COC[C@@H](CC(C)(C)C)NCc1ncc(-c2ccccc2)s1 ZINC001560517228 1060315996 /nfs/dbraw/zinc/31/59/96/1060315996.db2.gz GBYHDJULGIBOCH-OAHLLOKOSA-N 0 3 318.486 4.351 20 0 DIADHN CCOc1ccccc1CNC[C@H](O)c1cccc2ccccc21 ZINC001560528302 1060328039 /nfs/dbraw/zinc/32/80/39/1060328039.db2.gz NZZMFYQUHOCIGG-FQEVSTJZSA-N 0 3 321.420 4.062 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)NCc1ccn(-c2ccccc2)n1 ZINC001560529503 1060330255 /nfs/dbraw/zinc/33/02/55/1060330255.db2.gz HPLCSYKHFKCILZ-GOSISDBHSA-N 0 3 323.415 4.122 20 0 DIADHN CCCC(CCC)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001526773554 1060330863 /nfs/dbraw/zinc/33/08/63/1060330863.db2.gz HVMABTQOWMRFAK-APWZRJJASA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)CCC(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001534687088 1060335099 /nfs/dbraw/zinc/33/50/99/1060335099.db2.gz JVCOGBDICDGLEB-UHFFFAOYSA-N 0 3 316.367 4.142 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2ccc(F)cc2F)cc1 ZINC001560534437 1060336376 /nfs/dbraw/zinc/33/63/76/1060336376.db2.gz AKPSYOUMXFTXAC-FUHWJXTLSA-N 0 3 303.352 4.009 20 0 DIADHN CCCC[C@@H](CC)C(=O)N1c2ccccc2CC[C@@H]1CN(C)C ZINC001526812074 1060346768 /nfs/dbraw/zinc/34/67/68/1060346768.db2.gz SHBFPIAQLDROJI-SJLPKXTDSA-N 0 3 316.489 4.112 20 0 DIADHN CCCC[C@H](CC)C(=O)N1c2ccccc2CC[C@H]1CN(C)C ZINC001526812075 1060347051 /nfs/dbraw/zinc/34/70/51/1060347051.db2.gz SHBFPIAQLDROJI-WMZOPIPTSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cccc(Cl)c1N ZINC001560543954 1060351394 /nfs/dbraw/zinc/35/13/94/1060351394.db2.gz LFXHHHTZNGPYIY-KRWDZBQOSA-N 0 3 300.833 4.398 20 0 DIADHN Cc1cc(F)c(CNC[C@]2(O)CCCC(C)(C)CC2)c(F)c1 ZINC001560557381 1060366013 /nfs/dbraw/zinc/36/60/13/1060366013.db2.gz LLPVSBUXXQDCPG-SFHVURJKSA-N 0 3 311.416 4.084 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@@H]2CCC[C@@H](C(C)(C)C)CC2)n1 ZINC001560562617 1060372710 /nfs/dbraw/zinc/37/27/10/1060372710.db2.gz BWMCCQKZORBYTJ-CHWSQXEVSA-N 0 3 313.436 4.442 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@@H]1CCCC1(F)F ZINC001560570796 1060382394 /nfs/dbraw/zinc/38/23/94/1060382394.db2.gz WAIPUGTXZUNWGG-LBPRGKRZSA-N 0 3 301.352 4.140 20 0 DIADHN CCSc1ccc(CNCc2cccc3nsnc32)cc1 ZINC001560571324 1060385370 /nfs/dbraw/zinc/38/53/70/1060385370.db2.gz OJAWSXVTDWMDLT-UHFFFAOYSA-N 0 3 315.467 4.093 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CNC[C@@H]1CC(C)=NO1 ZINC001560574917 1060388414 /nfs/dbraw/zinc/38/84/14/1060388414.db2.gz RBUJTSACDAMQHJ-NSHDSACASA-N 0 3 308.834 4.118 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001560576370 1060390889 /nfs/dbraw/zinc/39/08/89/1060390889.db2.gz HTYAHJSEFDOHDL-GDBMZVCRSA-N 0 3 302.462 4.272 20 0 DIADHN Brc1ccc2oc(CNCCC3CC=CC3)nc2c1 ZINC001560585825 1060396847 /nfs/dbraw/zinc/39/68/47/1060396847.db2.gz OBYPDSWNDKJYLW-UHFFFAOYSA-N 0 3 321.218 4.036 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cncc(OC)c1 ZINC001560583075 1060397836 /nfs/dbraw/zinc/39/78/36/1060397836.db2.gz GOFWZZPECHFKPU-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN CCOc1cc(CNC(C)(C)/C=C\Cl)ccc1OC(F)F ZINC001560595312 1060408367 /nfs/dbraw/zinc/40/83/67/1060408367.db2.gz VSLWUFVGAGKOKI-FPLPWBNLSA-N 0 3 319.779 4.307 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCCc4ccccc4C3)nc2c1 ZINC001560606743 1060418997 /nfs/dbraw/zinc/41/89/97/1060418997.db2.gz AYUPQCDPNDBVOO-MRXNPFEDSA-N 0 3 310.372 4.004 20 0 DIADHN Fc1cccc(OCCCNCc2cccc(Cl)c2F)c1 ZINC001560612658 1060424969 /nfs/dbraw/zinc/42/49/69/1060424969.db2.gz UTGXYGOAFMGIJG-UHFFFAOYSA-N 0 3 311.759 4.177 20 0 DIADHN Cc1ccc2cc(CNCc3ccc(-c4cnco4)cc3)[nH]c2c1 ZINC001560610757 1060425189 /nfs/dbraw/zinc/42/51/89/1060425189.db2.gz GJKIIYWEZMJODO-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN COc1ccc(Cl)cc1CNCC1(OC)CCCCCC1 ZINC001560613438 1060427198 /nfs/dbraw/zinc/42/71/98/1060427198.db2.gz XCLRZZCVGMTLPA-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN C[C@H](NCc1cn2ccnc2s1)c1csc2ccccc12 ZINC001560614737 1060427540 /nfs/dbraw/zinc/42/75/40/1060427540.db2.gz VOEMOIBUSOLPBQ-NSHDSACASA-N 0 3 313.451 4.461 20 0 DIADHN COC1(CNCc2cccc(OC3CC3)c2F)CCCCCC1 ZINC001560613287 1060427734 /nfs/dbraw/zinc/42/77/34/1060427734.db2.gz RREZMGYORCLAGO-UHFFFAOYSA-N 0 3 321.436 4.196 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3COC[C@@H]3C3CC3)o2)cc1 ZINC001560615487 1060428701 /nfs/dbraw/zinc/42/87/01/1060428701.db2.gz RKQFUUXNXYADRE-IAGOWNOFSA-N 0 3 317.816 4.115 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC001560615194 1060430138 /nfs/dbraw/zinc/43/01/38/1060430138.db2.gz JKPSQFJTOZGQLJ-GIPNMCIBSA-N 0 3 303.299 4.003 20 0 DIADHN C[C@H](CN[C@@H](CO)c1cc(F)ccc1F)CC1CCCCC1 ZINC001560618485 1060432434 /nfs/dbraw/zinc/43/24/34/1060432434.db2.gz SKJRIFAJNHPLNP-UGSOOPFHSA-N 0 3 311.416 4.194 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H]1OCc2ccccc21 ZINC001560620972 1060432538 /nfs/dbraw/zinc/43/25/38/1060432538.db2.gz RIICVMSVLHOTHT-QGZVFWFLSA-N 0 3 317.816 4.100 20 0 DIADHN COC(=O)c1ccc2[nH]c(Nc3ccnc(C(C)(C)C)c3)cc2c1 ZINC001249866993 1060432606 /nfs/dbraw/zinc/43/26/06/1060432606.db2.gz MVPNFZLEBCHQEF-UHFFFAOYSA-N 0 3 323.396 4.391 20 0 DIADHN COc1ccc([C@@H](C)NC/C(C)=C\c2ccccc2)c(OC)c1 ZINC001560619163 1060432822 /nfs/dbraw/zinc/43/28/22/1060432822.db2.gz KWOGDEDUOOKFGV-RTYFKUQISA-N 0 3 311.425 4.458 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)cc1 ZINC001560624099 1060434435 /nfs/dbraw/zinc/43/44/35/1060434435.db2.gz BIVWBUKECAQVNA-AWEZNQCLSA-N 0 3 300.421 4.305 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)c1 ZINC001560624784 1060435529 /nfs/dbraw/zinc/43/55/29/1060435529.db2.gz ZWYCERPIKOBXGL-AWEZNQCLSA-N 0 3 300.421 4.305 20 0 DIADHN C[C@H]1CC[C@H](C)N(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001560627999 1060437765 /nfs/dbraw/zinc/43/77/65/1060437765.db2.gz ODZPKOLXBWLEEE-STQMWFEESA-N 0 3 309.475 4.324 20 0 DIADHN CCOC(OCC)[C@@H](C)NCc1cccc(C2CCCC2)c1 ZINC001560626735 1060437874 /nfs/dbraw/zinc/43/78/74/1060437874.db2.gz YYOSPJMISFIQFZ-OAHLLOKOSA-N 0 3 305.462 4.221 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N(CCN(C)C)c1ccccc1 ZINC001527129304 1060443228 /nfs/dbraw/zinc/44/32/28/1060443228.db2.gz PLKLKLITNPUNLJ-QGZVFWFLSA-N 0 3 304.478 4.188 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@H]1CC(=O)c1ccccc1 ZINC001560632171 1060444283 /nfs/dbraw/zinc/44/42/83/1060444283.db2.gz PRUZCEOSMWWZBE-IBGZPJMESA-N 0 3 311.400 4.372 20 0 DIADHN Cc1ccc2ncc(CN3CCc4ccccc4[C@H]3C(C)C)n2c1 ZINC001560637528 1060451901 /nfs/dbraw/zinc/45/19/01/1060451901.db2.gz GGLLLUBRGRHGLA-OAQYLSRUSA-N 0 3 319.452 4.398 20 0 DIADHN CCOc1cncc(CN2CCC[C@@H]2c2cccc(C)c2C)c1 ZINC001560636532 1060452101 /nfs/dbraw/zinc/45/21/01/1060452101.db2.gz IIBMNURPYKFFIE-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN CCN(Cc1ccc2oc(=O)oc2c1)Cc1cccc(Cl)c1 ZINC001560638941 1060457844 /nfs/dbraw/zinc/45/78/44/1060457844.db2.gz OMZORIYUAJXCEX-UHFFFAOYSA-N 0 3 317.772 4.062 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1c(C(C)C)nc2sc(C)nn12 ZINC001560642206 1060462704 /nfs/dbraw/zinc/46/27/04/1060462704.db2.gz IUGIFODXNISMQJ-CQSZACIVSA-N 0 3 320.506 4.377 20 0 DIADHN Cc1oc2ccccc2c1CN1CCN(c2ccccc2C)CC1 ZINC001560644161 1060463786 /nfs/dbraw/zinc/46/37/86/1060463786.db2.gz JBGXQKHOBSDUEH-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2scnc2C2CC2)C1(CC)CC ZINC001560644256 1060466361 /nfs/dbraw/zinc/46/63/61/1060466361.db2.gz LCDCOTZULSOYJY-HZPDHXFCSA-N 0 3 322.518 4.436 20 0 DIADHN CC(C)N(C)c1ccc(CN2CCC(c3ccccc3)CC2)cn1 ZINC001560648668 1060472910 /nfs/dbraw/zinc/47/29/10/1060472910.db2.gz SZRFDURXVFABIG-UHFFFAOYSA-N 0 3 323.484 4.306 20 0 DIADHN CC(C)CN(Cc1cc(-c2ccccn2)n[nH]1)Cc1ccccc1 ZINC001560652043 1060473159 /nfs/dbraw/zinc/47/31/59/1060473159.db2.gz MRYLLGGAQNVBOK-UHFFFAOYSA-N 0 3 320.440 4.130 20 0 DIADHN COc1cncc(CN(Cc2ccccc2)CC(C)(C)C)c1C ZINC001560653443 1060476805 /nfs/dbraw/zinc/47/68/05/1060476805.db2.gz VEGPEPYZZWFDGM-UHFFFAOYSA-N 0 3 312.457 4.447 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cccn1C(F)F ZINC001560656116 1060479891 /nfs/dbraw/zinc/47/98/91/1060479891.db2.gz SUICTSGELRGLBG-JSGCOSHPSA-N 0 3 308.347 4.400 20 0 DIADHN CC(C)Cn1nccc1CN1C[C@@H](c2ccc(F)cc2)C[C@@H]1C ZINC001560655988 1060481272 /nfs/dbraw/zinc/48/12/72/1060481272.db2.gz PSDSXNKJELRAHH-RDJZCZTQSA-N 0 3 315.436 4.056 20 0 DIADHN Cc1ncc(Nc2ccc(NC(=O)OC(C)(C)C)cc2C)n1C ZINC001249922914 1060483001 /nfs/dbraw/zinc/48/30/01/1060483001.db2.gz KVOIGYFKMFTAJJ-UHFFFAOYSA-N 0 3 316.405 4.128 20 0 DIADHN Fc1ccc(CCN2CCS[C@@H]3CCCC[C@@H]32)c(Cl)c1 ZINC001560661304 1060486360 /nfs/dbraw/zinc/48/63/60/1060486360.db2.gz AIRHJZDZTPEZNB-JKSUJKDBSA-N 0 3 313.869 4.382 20 0 DIADHN CCC[C@@H]1CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001560661963 1060488166 /nfs/dbraw/zinc/48/81/66/1060488166.db2.gz MJMMJHMXNLIWBM-OAHLLOKOSA-N 0 3 301.409 4.024 20 0 DIADHN CC(C)Cc1ccc(CN2CCC(c3ccccn3)CC2)cn1 ZINC001560663558 1060491229 /nfs/dbraw/zinc/49/12/29/1060491229.db2.gz OSUWGZSKSKPBOF-UHFFFAOYSA-N 0 3 309.457 4.055 20 0 DIADHN Clc1cc2c(c(CN3CC[C@H](c4ccccc4)C3)c1)OCC2 ZINC001560665156 1060493193 /nfs/dbraw/zinc/49/31/93/1060493193.db2.gz OHIRWBKIMSNIEN-INIZCTEOSA-N 0 3 313.828 4.264 20 0 DIADHN CC[C@]1(C)CCN(Cc2nc(-c3cccc(OC)c3)cs2)C1 ZINC001560665634 1060493797 /nfs/dbraw/zinc/49/37/97/1060493797.db2.gz FDBPSHPPIAAPSA-GOSISDBHSA-N 0 3 316.470 4.441 20 0 DIADHN CCOC(=O)c1cccc(CN2CCCC[C@H]2CCC(C)C)n1 ZINC001560671803 1060499580 /nfs/dbraw/zinc/49/95/80/1060499580.db2.gz OLPWTMYQJVCYCF-KRWDZBQOSA-N 0 3 318.461 4.049 20 0 DIADHN CN(C)c1cc(F)cc(CN2CC[C@@H](c3cccc(F)c3)C2)c1 ZINC001249955747 1060500020 /nfs/dbraw/zinc/50/00/20/1060500020.db2.gz SYUJWWOGLRPMHK-MRXNPFEDSA-N 0 3 316.395 4.020 20 0 DIADHN CN(C)c1cc(F)cc(CN2CCC[C@H]2c2cccs2)c1 ZINC001249953625 1060502212 /nfs/dbraw/zinc/50/22/12/1060502212.db2.gz PGADXOUOSKBRJZ-INIZCTEOSA-N 0 3 304.434 4.290 20 0 DIADHN Cc1cnn(C)c1CN1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 ZINC001560674360 1060504090 /nfs/dbraw/zinc/50/40/90/1060504090.db2.gz XTPPHJXPXHJMDF-GOSISDBHSA-N 0 3 311.473 4.363 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(SC)s2)cc1 ZINC001560671985 1060504832 /nfs/dbraw/zinc/50/48/32/1060504832.db2.gz UFEVAAFIQFFARU-AWEZNQCLSA-N 0 3 319.495 4.296 20 0 DIADHN Cc1cc(Br)c(CN(CC2CC2)C(C)C)s1 ZINC001560698087 1060551390 /nfs/dbraw/zinc/55/13/90/1060551390.db2.gz BAGLBKCMMPHUEO-UHFFFAOYSA-N 0 3 302.281 4.439 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)c[nH]1 ZINC001560673427 1060507181 /nfs/dbraw/zinc/50/71/81/1060507181.db2.gz PGJKALLCXMYOEI-VQIMIIECSA-N 0 3 317.436 4.455 20 0 DIADHN Cc1c(Cl)cccc1CN(C)Cc1cccc(N(C)C)c1 ZINC001560676330 1060510778 /nfs/dbraw/zinc/51/07/78/1060510778.db2.gz ZMIQGDGEPGVZGB-UHFFFAOYSA-N 0 3 302.849 4.346 20 0 DIADHN C[C@H]1CCN(Cc2ccnc(OCc3ccccc3)c2)[C@@H](C)C1 ZINC001249976024 1060514815 /nfs/dbraw/zinc/51/48/15/1060514815.db2.gz MTYUDFWCRVDUHZ-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN c1ccc(COc2cc(CN3CCC[C@H]4CCC[C@@H]43)ccn2)cc1 ZINC001249976229 1060517052 /nfs/dbraw/zinc/51/70/52/1060517052.db2.gz QLFGBPVGKXNRNJ-UXHICEINSA-N 0 3 322.452 4.425 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cnn(CC3CCC3)c2)c(C)c1 ZINC001560681522 1060521738 /nfs/dbraw/zinc/52/17/38/1060521738.db2.gz MLGBDZNAKXYKBW-KRWDZBQOSA-N 0 3 311.473 4.493 20 0 DIADHN Clc1cccc(CCN2CCCO[C@H](c3ccccc3)C2)c1 ZINC001560686921 1060533743 /nfs/dbraw/zinc/53/37/43/1060533743.db2.gz LIYOLDRHPANVEX-IBGZPJMESA-N 0 3 315.844 4.346 20 0 DIADHN Cc1n[nH]c(CN2CCC[C@H](CCCc3ccccc3)C2)c1C ZINC001560688987 1060535354 /nfs/dbraw/zinc/53/53/54/1060535354.db2.gz ABLVNXDPFHHLRX-IBGZPJMESA-N 0 3 311.473 4.261 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC[C@@H](c2ccncn2)C1 ZINC001560695261 1060543970 /nfs/dbraw/zinc/54/39/70/1060543970.db2.gz CPJPMMVXLMNUKX-OAHLLOKOSA-N 0 3 315.848 4.072 20 0 DIADHN Cc1ccc2cc(CN(C)CCN(C)c3ccccc3)[nH]c2c1 ZINC001560696320 1060545186 /nfs/dbraw/zinc/54/51/86/1060545186.db2.gz MLHMHCMABVHBHT-UHFFFAOYSA-N 0 3 307.441 4.045 20 0 DIADHN CC(C)CN(CCc1ccc(F)cc1)Cc1cc(F)ncc1F ZINC001560694788 1060547737 /nfs/dbraw/zinc/54/77/37/1060547737.db2.gz LKOVFOVTSFZILI-UHFFFAOYSA-N 0 3 322.374 4.200 20 0 DIADHN O=C(CCc1ccc(CN2CCCCC2)cc1)c1ccccc1 ZINC001250023154 1060548049 /nfs/dbraw/zinc/54/80/49/1060548049.db2.gz NNTXYSDBPQFLBD-UHFFFAOYSA-N 0 3 307.437 4.488 20 0 DIADHN C[C@H]1CN(Cc2cccc3ccoc32)C[C@H](c2ccccc2)O1 ZINC001560699052 1060550792 /nfs/dbraw/zinc/55/07/92/1060550792.db2.gz HNAZCFUGXLBIRB-HNAYVOBHSA-N 0 3 307.393 4.395 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1C[C@@H]1C[C@@H]1C ZINC001560701050 1060554587 /nfs/dbraw/zinc/55/45/87/1060554587.db2.gz ZJKLQSLKPYGJNO-HUBLWGQQSA-N 0 3 324.262 4.251 20 0 DIADHN CCCN(CCC)Cc1nc(-c2cccc(OC)c2)cs1 ZINC001560715595 1060573590 /nfs/dbraw/zinc/57/35/90/1060573590.db2.gz NRXDFGCIQFGPLW-UHFFFAOYSA-N 0 3 304.459 4.441 20 0 DIADHN CC[C@H](C)N(Cc1c2c(nn1C)CCCC2)Cc1ccccc1 ZINC001560719578 1060580679 /nfs/dbraw/zinc/58/06/79/1060580679.db2.gz NUHQSKXGFCKYFT-INIZCTEOSA-N 0 3 311.473 4.100 20 0 DIADHN C[C@H]1CCCCN1Cc1cnn(-c2ccc(C(F)(F)F)cc2)c1 ZINC001560720518 1060582806 /nfs/dbraw/zinc/58/28/06/1060582806.db2.gz AWPXXYUCVFVIFV-ZDUSSCGKSA-N 0 3 323.362 4.266 20 0 DIADHN Cc1ccc2cc(CN(C)CCOc3ccc(F)cc3)[nH]c2c1 ZINC001560720532 1060583620 /nfs/dbraw/zinc/58/36/20/1060583620.db2.gz BIANFXMOAUNNAC-UHFFFAOYSA-N 0 3 312.388 4.126 20 0 DIADHN Cc1noc(C)c1Cc1ccc(CN2CCCCC2)c(F)c1 ZINC001250070818 1060585008 /nfs/dbraw/zinc/58/50/08/1060585008.db2.gz TZFYVWYPLFGBGL-UHFFFAOYSA-N 0 3 302.393 4.007 20 0 DIADHN CCc1ccc(CN(Cc2ccc(C(=O)OC)cc2)C2CC2)cc1 ZINC001560730074 1060598645 /nfs/dbraw/zinc/59/86/45/1060598645.db2.gz ZTHWRIIBKBMUDI-UHFFFAOYSA-N 0 3 323.436 4.200 20 0 DIADHN COc1ncc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)s1 ZINC001560734528 1060603337 /nfs/dbraw/zinc/60/33/37/1060603337.db2.gz JVXBDYVBHYMZMR-WBVHZDCISA-N 0 3 316.470 4.201 20 0 DIADHN COc1nc(CN2CCC(c3ccccc3C)CC2)ccc1C ZINC001560740583 1060608204 /nfs/dbraw/zinc/60/82/04/1060608204.db2.gz VGQSBTNICQFVAT-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2ccc(O)c(F)c2)c1 ZINC001560752811 1060620715 /nfs/dbraw/zinc/62/07/15/1060620715.db2.gz NYEUKYSRMPYGPP-UGSOOPFHSA-N 0 3 315.388 4.123 20 0 DIADHN CN(Cc1ccnn1-c1ccccc1)CC1(c2ccccc2)CC1 ZINC001560757672 1060622946 /nfs/dbraw/zinc/62/29/46/1060622946.db2.gz RUYKZFCYMPUIJH-UHFFFAOYSA-N 0 3 317.436 4.036 20 0 DIADHN FC(F)n1cccc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC001560765250 1060630437 /nfs/dbraw/zinc/63/04/37/1060630437.db2.gz CWYOZERZAWQYIB-ZDUSSCGKSA-N 0 3 318.289 4.319 20 0 DIADHN CC[C@](C)(O)CN(Cc1cccn1C(F)F)Cc1ccccc1 ZINC001560763759 1060630775 /nfs/dbraw/zinc/63/07/75/1060630775.db2.gz OLEXALFLLBESBJ-SFHVURJKSA-N 0 3 322.399 4.046 20 0 DIADHN CCN(Cc1ccnn1CC1CCC1)[C@@H](C)Cc1ccsc1 ZINC001560776825 1060641037 /nfs/dbraw/zinc/64/10/37/1060641037.db2.gz AUUFNCWPNYRATL-HNNXBMFYSA-N 0 3 317.502 4.198 20 0 DIADHN Nc1ncccc1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC001560776702 1060641066 /nfs/dbraw/zinc/64/10/66/1060641066.db2.gz QLMDDCNTVGDOAZ-HXUWFJFHSA-N 0 3 315.420 4.108 20 0 DIADHN Clc1cncc(CNCCc2cccc3[nH]ccc32)c1Cl ZINC001560785773 1060646208 /nfs/dbraw/zinc/64/62/08/1060646208.db2.gz MMTKFBVEFGJDKF-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN Cc1cc(Br)c(OC(C)C)c(CN(C)C(C)C)c1 ZINC001250155339 1060649504 /nfs/dbraw/zinc/64/95/04/1060649504.db2.gz KGBUAEDUYHNIAS-UHFFFAOYSA-N 0 3 314.267 4.385 20 0 DIADHN Cc1nc(Cl)ccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001250159644 1060651909 /nfs/dbraw/zinc/65/19/09/1060651909.db2.gz VTSUCLUOPOTSFY-HNNXBMFYSA-N 0 3 317.820 4.172 20 0 DIADHN FC(F)(F)c1ccc(C(F)(F)F)c(CCN2CCCC2)c1 ZINC001250166677 1060653924 /nfs/dbraw/zinc/65/39/24/1060653924.db2.gz SJMIGCLUBWBVRU-UHFFFAOYSA-N 0 3 311.269 4.363 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1cc(F)c(Cl)cc1F ZINC001560796541 1060656740 /nfs/dbraw/zinc/65/67/40/1060656740.db2.gz CRFMFOXEVXSFTB-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1CCc1ccc(C)c(C)c1 ZINC001560796874 1060657906 /nfs/dbraw/zinc/65/79/06/1060657906.db2.gz NLYIJSPKKHVHEQ-FQEVSTJZSA-N 0 3 317.473 4.044 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001250180458 1060661707 /nfs/dbraw/zinc/66/17/07/1060661707.db2.gz PQNXMMZLFJLLEV-KRWDZBQOSA-N 0 3 305.437 4.498 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCSC[C@@H]1c1cnn(C)c1 ZINC001560805879 1060666943 /nfs/dbraw/zinc/66/69/43/1060666943.db2.gz FEGVWLPAKWZMHD-FUHWJXTLSA-N 0 3 321.534 4.283 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1cccc(Br)c1OC ZINC001560814635 1060675339 /nfs/dbraw/zinc/67/53/39/1060675339.db2.gz DCFDDNWRAUITHN-DGCLKSJQSA-N 0 3 312.251 4.221 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN(Cc2cn(C3CC3)cn2)CC1 ZINC001560825647 1060684817 /nfs/dbraw/zinc/68/48/17/1060684817.db2.gz SMYVLORONGDGTB-HXUWFJFHSA-N 0 3 309.457 4.162 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2cccc(Cl)c2C)CC1 ZINC001560828653 1060687211 /nfs/dbraw/zinc/68/72/11/1060687211.db2.gz AUSHNHXQVHIYAC-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CC[C@H](NCc1c(Cl)cccc1SC(C)C)[C@@H](C)O ZINC001560830801 1060689768 /nfs/dbraw/zinc/68/97/68/1060689768.db2.gz IFLQZWMVKDMNDW-RISCZKNCSA-N 0 3 301.883 4.090 20 0 DIADHN CSCc1ccc(CN2CCOCC23CCCCC3)cc1 ZINC001560833191 1060691447 /nfs/dbraw/zinc/69/14/47/1060691447.db2.gz YYZRSPBXKQLUMJ-UHFFFAOYSA-N 0 3 305.487 4.085 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cn3ccnc3s1)C(C)(C)C2 ZINC001560850746 1060707752 /nfs/dbraw/zinc/70/77/52/1060707752.db2.gz RYVVZNSBQNMYHU-UHFFFAOYSA-N 0 3 311.454 4.041 20 0 DIADHN CC(C)(C)CCNCc1nc2ccc(Br)cc2o1 ZINC001560856119 1060713848 /nfs/dbraw/zinc/71/38/48/1060713848.db2.gz LCXPQCQTNWPEDK-UHFFFAOYSA-N 0 3 311.223 4.116 20 0 DIADHN CO[C@@H](CNCc1ccncc1OCCC(C)(C)C)CC(C)C ZINC001560870784 1060729736 /nfs/dbraw/zinc/72/97/36/1060729736.db2.gz PRFUOPNBXMJQRY-QGZVFWFLSA-N 0 3 322.493 4.047 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nccn2-c2ccccc2)cc1 ZINC001560869172 1060729768 /nfs/dbraw/zinc/72/97/68/1060729768.db2.gz ZSENZWOAPLPLJO-KRWDZBQOSA-N 0 3 305.425 4.074 20 0 DIADHN COc1ccc(CN(Cc2ccc3c(n2)CCC3)C(C)(C)C)cc1 ZINC001560868395 1060730727 /nfs/dbraw/zinc/73/07/27/1060730727.db2.gz FASOIVRIWZCJIN-UHFFFAOYSA-N 0 3 324.468 4.380 20 0 DIADHN COc1c(C)ccc(F)c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001250250588 1060730778 /nfs/dbraw/zinc/73/07/78/1060730778.db2.gz FBEHOMZDQMPRII-AWEZNQCLSA-N 0 3 309.400 4.247 20 0 DIADHN CCc1cc(OC)ccc1CN1CCc2sccc2[C@@H]1C ZINC001560870228 1060731254 /nfs/dbraw/zinc/73/12/54/1060731254.db2.gz BUNDCGCSDRTMJQ-ZDUSSCGKSA-N 0 3 301.455 4.438 20 0 DIADHN CC(C)[C@@H]1N(Cc2cc3c(cc[nH]c3=O)o2)CC12CCCCC2 ZINC001560877934 1060738158 /nfs/dbraw/zinc/73/81/58/1060738158.db2.gz HSJUJMJENJOYFC-KRWDZBQOSA-N 0 3 314.429 4.324 20 0 DIADHN Fc1ccc(CN2CC[C@@H](C3CCC3)C2)cc1C(F)(F)F ZINC001560885785 1060748384 /nfs/dbraw/zinc/74/83/84/1060748384.db2.gz NOZLKKLCJPHHBC-CYBMUJFWSA-N 0 3 301.327 4.467 20 0 DIADHN Clc1ccc(-c2cccc(CN3CC[C@@]34CCOC4)c2)cc1 ZINC001560892187 1060753570 /nfs/dbraw/zinc/75/35/70/1060753570.db2.gz GSGOTZZTKQWUNH-IBGZPJMESA-N 0 3 313.828 4.372 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)c(Br)cc1F ZINC001560893059 1060757457 /nfs/dbraw/zinc/75/74/57/1060757457.db2.gz FLQAVZXBNMCIPD-TUAOUCFPSA-N 0 3 314.242 4.373 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@@]1(C)Cc1ccccc1F ZINC001560901538 1060765907 /nfs/dbraw/zinc/76/59/07/1060765907.db2.gz WDHWYOFTWYXFDI-IBGZPJMESA-N 0 3 316.395 4.265 20 0 DIADHN COc1ccc2nc(CN3CCCC[C@H]3C3CCC3)sc2c1 ZINC001560918091 1060779579 /nfs/dbraw/zinc/77/95/79/1060779579.db2.gz IZZXVOSFGBSXPE-INIZCTEOSA-N 0 3 316.470 4.460 20 0 DIADHN Cc1cccc(CN2CC[C@](C)(c3cccc(F)c3)C2)c1F ZINC001560920153 1060783105 /nfs/dbraw/zinc/78/31/05/1060783105.db2.gz WZCSBEXAXFPEQJ-IBGZPJMESA-N 0 3 301.380 4.437 20 0 DIADHN C(c1nc2c(s1)CCCC2)N1CC[C@@H](C2CCCCC2)C1 ZINC001560921365 1060784958 /nfs/dbraw/zinc/78/49/58/1060784958.db2.gz JXIWKZQPPAJSFY-OAHLLOKOSA-N 0 3 304.503 4.424 20 0 DIADHN COCC1(C2CCC2)CN(C/C=C\c2c(C)cc(C)cc2C)C1 ZINC001560922491 1060787157 /nfs/dbraw/zinc/78/71/57/1060787157.db2.gz XNSRBVLVVLFSIK-TWGQIWQCSA-N 0 3 313.485 4.374 20 0 DIADHN Fc1c(CN2CCC[C@H](C3CCC3)C2)cccc1OC1CC1 ZINC001560937587 1060800798 /nfs/dbraw/zinc/80/07/98/1060800798.db2.gz IGPWIJQCARWUPU-HNNXBMFYSA-N 0 3 303.421 4.379 20 0 DIADHN Cc1c(Cl)cccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001560939763 1060801083 /nfs/dbraw/zinc/80/10/83/1060801083.db2.gz QNCFAPKYTQKAEX-QGZVFWFLSA-N 0 3 301.817 4.302 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(CCC1CCCCC1)C2 ZINC001560942355 1060807705 /nfs/dbraw/zinc/80/77/05/1060807705.db2.gz NKXMRPQGVAEKKD-UHFFFAOYSA-N 0 3 301.478 4.103 20 0 DIADHN FC(F)(F)c1ccc(C2=CCN(Cc3ccccc3)CC2)nc1 ZINC001250447843 1060807802 /nfs/dbraw/zinc/80/78/02/1060807802.db2.gz DHLGKGNUHYVWCW-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CC(CC3CC3)C2)cc1 ZINC001560945897 1060810874 /nfs/dbraw/zinc/81/08/74/1060810874.db2.gz MRUYWWZJNZDMOE-UHFFFAOYSA-N 0 3 316.445 4.266 20 0 DIADHN CCCC[C@H](COC)NCc1cc(C(F)(F)F)cc(Cl)n1 ZINC001560996839 1060857029 /nfs/dbraw/zinc/85/70/29/1060857029.db2.gz DANJFMADHDCZAX-LLVKDONJSA-N 0 3 324.774 4.049 20 0 DIADHN Cc1c(F)nccc1CNCc1cn(C(F)F)c2ccccc12 ZINC001560962769 1060828098 /nfs/dbraw/zinc/82/80/98/1060828098.db2.gz JGQOLHFEMVERGM-UHFFFAOYSA-N 0 3 319.330 4.169 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@H](OC3CCC3)CC2)c(F)c1 ZINC001560974564 1060836876 /nfs/dbraw/zinc/83/68/76/1060836876.db2.gz MJPGVBFCYQDBKS-VEXQSBSHSA-N 0 3 321.411 4.402 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2noc3ccc(F)cc23)C1(C)C ZINC001560974398 1060838754 /nfs/dbraw/zinc/83/87/54/1060838754.db2.gz KYAWBGSGTXMTAO-CVEARBPZSA-N 0 3 320.408 4.039 20 0 DIADHN CCOc1cc(CN2CC([C@H]3CCC[C@H](C)C3)C2)ccc1O ZINC001560978937 1060843386 /nfs/dbraw/zinc/84/33/86/1060843386.db2.gz XLWQARUKHGBHDE-HOCLYGCPSA-N 0 3 303.446 4.049 20 0 DIADHN CCCc1ccc(CN2CCC[C@H](C(=O)OC(C)(C)C)C2)s1 ZINC001560979424 1060845438 /nfs/dbraw/zinc/84/54/38/1060845438.db2.gz INZUYBRDEZWOJH-AWEZNQCLSA-N 0 3 323.502 4.254 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2noc3cc(F)ccc23)C1(C)C ZINC001560981179 1060846072 /nfs/dbraw/zinc/84/60/72/1060846072.db2.gz KLYVSIMDXGNGEL-HOTGVXAUSA-N 0 3 320.408 4.039 20 0 DIADHN C[C@H]1CCC(C)(C)C[C@H]1NCc1noc2c1CC(C)(C)CC2 ZINC001560993994 1060854351 /nfs/dbraw/zinc/85/43/51/1060854351.db2.gz TYXOJBLKGKHCDR-DZGCQCFKSA-N 0 3 304.478 4.494 20 0 DIADHN COC(=O)C(C)(C)[C@H](NCc1ccsc1C)c1ccccc1 ZINC001561000901 1060860921 /nfs/dbraw/zinc/86/09/21/1060860921.db2.gz FNOLMRULFYWFOQ-MRXNPFEDSA-N 0 3 317.454 4.087 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4c(c3)C[C@H](C)O4)ccc2n1 ZINC001561000093 1060861000 /nfs/dbraw/zinc/86/10/00/1060861000.db2.gz LJCJNGZKQCWLEW-HNNXBMFYSA-N 0 3 318.420 4.156 20 0 DIADHN Cc1occc1CN1CC(Cc2ccc(Br)cc2)C1 ZINC001561032314 1060884654 /nfs/dbraw/zinc/88/46/54/1060884654.db2.gz YMUTUQMYLGYSLS-UHFFFAOYSA-N 0 3 320.230 4.025 20 0 DIADHN Fc1cccc(CCc2nc3ccc(N4CCCC4)cc3[nH]2)c1 ZINC001250556367 1060895018 /nfs/dbraw/zinc/89/50/18/1060895018.db2.gz MJMNMLZRUFJUFT-UHFFFAOYSA-N 0 3 309.388 4.087 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@H](CO)c1cc(F)ccc1F ZINC001561047552 1060898340 /nfs/dbraw/zinc/89/83/40/1060898340.db2.gz RNXLIBAOWGSGRP-RDTXWAMCSA-N 0 3 311.416 4.360 20 0 DIADHN CCC1(O)CCN(Cc2cc(Cl)cc3ccccc32)CC1 ZINC001473192778 1060950570 /nfs/dbraw/zinc/95/05/70/1060950570.db2.gz OWOFKMSNAJGRTA-UHFFFAOYSA-N 0 3 303.833 4.230 20 0 DIADHN Cc1coc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)c1 ZINC001462132836 1060954379 /nfs/dbraw/zinc/95/43/79/1060954379.db2.gz JOXZEVYAGGBEDY-UHFFFAOYSA-N 0 3 305.368 4.321 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1cc(Br)c(C)s1 ZINC001462139698 1060960267 /nfs/dbraw/zinc/96/02/67/1060960267.db2.gz MJNGJGCYLCRPKI-ZANVPECISA-N 0 3 302.281 4.439 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](CN2CCC(C3CCCC3)CC2)O1 ZINC001473201536 1060964512 /nfs/dbraw/zinc/96/45/12/1060964512.db2.gz AGDGMDDRDDUQPY-JKSUJKDBSA-N 0 3 319.411 4.389 20 0 DIADHN Fc1cccc(CN2CCC[C@]3(CCCc4ccccc43)C2)n1 ZINC001473208504 1060973564 /nfs/dbraw/zinc/97/35/64/1060973564.db2.gz DPNRSBBYUFDQDO-HXUWFJFHSA-N 0 3 310.416 4.091 20 0 DIADHN COc1cccc(SCCN2C[C@@H](C)[C@@H]2c2ccccc2)c1 ZINC001473208887 1060976037 /nfs/dbraw/zinc/97/60/37/1060976037.db2.gz VLMLZMOEJZYTFF-DNVCBOLYSA-N 0 3 313.466 4.480 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](Cc2ccccc2)[C@@H]1C ZINC001473215115 1060986602 /nfs/dbraw/zinc/98/66/02/1060986602.db2.gz FYPHHVWZQHLXGI-RYQLBKOJSA-N 0 3 317.473 4.060 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@H](Cc2ccccc2)[C@@H]1C ZINC001473215112 1060986689 /nfs/dbraw/zinc/98/66/89/1060986689.db2.gz FYPHHVWZQHLXGI-CGTJXYLNSA-N 0 3 317.473 4.060 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@H](CC(=O)OC(C)(C)C)C1 ZINC001473218554 1060991993 /nfs/dbraw/zinc/99/19/93/1060991993.db2.gz SAVOFURADPQYMZ-LSDHHAIUSA-N 0 3 321.436 4.331 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1C[C@H](C)c1ccc(F)cc1 ZINC001462174003 1060992783 /nfs/dbraw/zinc/99/27/83/1060992783.db2.gz WYFGLPGTYOGSNI-KXBFYZLASA-N 0 3 321.436 4.127 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(Cl)ccc2OC)C12CCC2 ZINC001473221787 1061000900 /nfs/dbraw/zinc/00/09/00/1061000900.db2.gz LNLKAOWLSNJVGI-IAGOWNOFSA-N 0 3 323.864 4.128 20 0 DIADHN CCC1(CC)CCN([C@H](C)C(=O)Nc2ccc(C)cc2C)C1 ZINC001473224283 1061001201 /nfs/dbraw/zinc/00/12/01/1061001201.db2.gz YENRXBZBHAESAF-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)c(Cl)c2)C1 ZINC001473224854 1061004261 /nfs/dbraw/zinc/00/42/61/1061004261.db2.gz YLRQONJRAJLPAT-QWRGUYRKSA-N 0 3 315.244 4.052 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccc(C)cc3)C[C@@H]2C)s1 ZINC001462184734 1061006292 /nfs/dbraw/zinc/00/62/92/1061006292.db2.gz PZCBVGFEHJSYQL-GOEBONIOSA-N 0 3 300.471 4.392 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCSC[C@@H]1c1cnn(C)c1 ZINC001462184097 1061007018 /nfs/dbraw/zinc/00/70/18/1061007018.db2.gz NYOVXDAAGLOFMY-MAUKXSAKSA-N 0 3 321.534 4.117 20 0 DIADHN c1ccc2nc(N3CCN([C@H]4C=CCCCCC4)CC3)ccc2c1 ZINC001473227746 1061007042 /nfs/dbraw/zinc/00/70/42/1061007042.db2.gz MHSWHWIEKZXOPX-IBGZPJMESA-N 0 3 321.468 4.246 20 0 DIADHN CCN(CCc1ccccn1)Cc1cc(C(C)C)ccc1OC ZINC001473230270 1061008799 /nfs/dbraw/zinc/00/87/99/1061008799.db2.gz CKSLMACVPWFAEB-UHFFFAOYSA-N 0 3 312.457 4.278 20 0 DIADHN Cc1cnccc1OC1CCN(Cc2cccc(C3CC3)c2)CC1 ZINC001462194524 1061018828 /nfs/dbraw/zinc/01/88/28/1061018828.db2.gz UVKWVQCKUPVMAK-UHFFFAOYSA-N 0 3 322.452 4.311 20 0 DIADHN CCCC[C@@H](NCc1cn(-c2ccccc2C)nn1)C1CCC1 ZINC001462198152 1061020720 /nfs/dbraw/zinc/02/07/20/1061020720.db2.gz FNESSHWFEABOSY-GOSISDBHSA-N 0 3 312.461 4.024 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(C)nc(Cl)c2)C1(CC)CC ZINC001473244398 1061022755 /nfs/dbraw/zinc/02/27/55/1061022755.db2.gz RZWSZTLAASEBSY-HOTGVXAUSA-N 0 3 324.896 4.459 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cccc(Cl)n2)C1(CC)CC ZINC001473244380 1061023020 /nfs/dbraw/zinc/02/30/20/1061023020.db2.gz RGNMJKXGFANEBT-LSDHHAIUSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@H]1CCC3(CCC3)CO1)CCC2 ZINC001473249111 1061024555 /nfs/dbraw/zinc/02/45/55/1061024555.db2.gz QUUPZZVBSPPENA-GDBMZVCRSA-N 0 3 320.502 4.110 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccc([Si](C)(C)C)cc1 ZINC001473247061 1061024888 /nfs/dbraw/zinc/02/48/88/1061024888.db2.gz BVKZGBYWIATXME-OAHLLOKOSA-N 0 3 313.517 4.130 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccc([Si](C)(C)C)cc1 ZINC001473247059 1061025678 /nfs/dbraw/zinc/02/56/78/1061025678.db2.gz BVKZGBYWIATXME-HNNXBMFYSA-N 0 3 313.517 4.130 20 0 DIADHN COC(=O)/C(C)=C\CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC001473250050 1061026889 /nfs/dbraw/zinc/02/68/89/1061026889.db2.gz DLGOKYFGEUKPLJ-UVTDQMKNSA-N 0 3 321.848 4.208 20 0 DIADHN C[C@@H](CO)N(Cc1ccc(F)c(Cl)c1Cl)CC1CCC1 ZINC001462232207 1061044141 /nfs/dbraw/zinc/04/41/41/1061044141.db2.gz PSYAHGZBWCIBFB-JTQLQIEISA-N 0 3 320.235 4.115 20 0 DIADHN Clc1ccc(CCNC2(c3nccs3)CCCC2)cc1 ZINC001473257944 1061033078 /nfs/dbraw/zinc/03/30/78/1061033078.db2.gz JAFZJHWXFQATNI-UHFFFAOYSA-N 0 3 306.862 4.398 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NC[C@H](O)C(F)(F)F)C(C)C)cc1 ZINC001473256976 1061033605 /nfs/dbraw/zinc/03/36/05/1061033605.db2.gz WVPDHKCFSNEFQQ-RCBQFDQVSA-N 0 3 317.395 4.410 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NC[C@@H](O)C(F)(F)F)C(C)C)cc1 ZINC001473256974 1061034336 /nfs/dbraw/zinc/03/43/36/1061034336.db2.gz WVPDHKCFSNEFQQ-APHBMKBZSA-N 0 3 317.395 4.410 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NCC(=O)NCC2CC2)C(C)C)cc1 ZINC001473257044 1061034608 /nfs/dbraw/zinc/03/46/08/1061034608.db2.gz YXVFQGTWSADSOO-YWZLYKJASA-N 0 3 316.489 4.013 20 0 DIADHN COc1ccc(CN(CCOC(C)(C)C)C(C)(C)C)c(OC)c1 ZINC001473264896 1061040642 /nfs/dbraw/zinc/04/06/42/1061040642.db2.gz FJRVMBWZYDZSJE-UHFFFAOYSA-N 0 3 323.477 4.119 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1ncccc1Br ZINC001473271823 1061045202 /nfs/dbraw/zinc/04/52/02/1061045202.db2.gz CBHDRAVUNBXEAC-IUODEOHRSA-N 0 3 311.267 4.245 20 0 DIADHN CC[C@@H]1CN(CC)CCN1Cc1ccc(-c2ccc(F)cc2)o1 ZINC001462233612 1061046164 /nfs/dbraw/zinc/04/61/64/1061046164.db2.gz RDSOXOQMQZQOJZ-QGZVFWFLSA-N 0 3 316.420 4.002 20 0 DIADHN c1ccc(-n2nnc(CN3CCCCCCCC3)c2C2CC2)cc1 ZINC001462245394 1061051830 /nfs/dbraw/zinc/05/18/30/1061051830.db2.gz GUOYVXRTMKLRTB-UHFFFAOYSA-N 0 3 324.472 4.301 20 0 DIADHN OCCN(Cc1c(Cl)oc2ccccc21)C1CCCCC1 ZINC001473295025 1061063374 /nfs/dbraw/zinc/06/33/74/1061063374.db2.gz GZENGOXMVGIFHW-UHFFFAOYSA-N 0 3 307.821 4.213 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001462273065 1061066829 /nfs/dbraw/zinc/06/68/29/1061066829.db2.gz HEZXVHWJEDJKOO-HFBAOOFYSA-N 0 3 311.828 4.477 20 0 DIADHN Cc1ccc(CN(CCO)Cc2cccc(C(F)(F)F)c2)cc1 ZINC001473301884 1061067514 /nfs/dbraw/zinc/06/75/14/1061067514.db2.gz FWPPEBRDQMXKHY-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1C[C@H](C)[C@H]2CCCC[C@@H]21 ZINC001473303724 1061068377 /nfs/dbraw/zinc/06/83/77/1061068377.db2.gz VIRCPDXRIFJYRY-LZWOXQAQSA-N 0 3 315.436 4.206 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2cc(C)cc(C)n2)c1 ZINC001473306257 1061070343 /nfs/dbraw/zinc/07/03/43/1061070343.db2.gz REWJPUHHNRBFKA-YWZLYKJASA-N 0 3 310.441 4.290 20 0 DIADHN C[C@@H](CCO)N(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001473307817 1061070971 /nfs/dbraw/zinc/07/09/71/1061070971.db2.gz SHEBNASXJZTYKR-AWEZNQCLSA-N 0 3 321.823 4.252 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2cc(C)cc(C)n2)c1 ZINC001473306152 1061071281 /nfs/dbraw/zinc/07/12/81/1061071281.db2.gz REWJPUHHNRBFKA-MGPUTAFESA-N 0 3 310.441 4.290 20 0 DIADHN CCN(Cc1cc(OC)c(Br)cc1F)C(C)(C)C ZINC001462276976 1061072218 /nfs/dbraw/zinc/07/22/18/1061072218.db2.gz INCZKFZUZBRYIW-UHFFFAOYSA-N 0 3 318.230 4.217 20 0 DIADHN C[C@@H](NCc1ccn(-c2ccccc2F)n1)[C@H](C)c1ccccc1 ZINC001473312971 1061076922 /nfs/dbraw/zinc/07/69/22/1061076922.db2.gz CMJJWSZMQJLFGV-JKSUJKDBSA-N 0 3 323.415 4.293 20 0 DIADHN CCCCC[C@@H](NCc1ncnn1-c1ccccc1)C(C)(C)C ZINC001473313108 1061077215 /nfs/dbraw/zinc/07/72/15/1061077215.db2.gz FBZRDTFZOAWCAJ-QGZVFWFLSA-N 0 3 314.477 4.352 20 0 DIADHN CC[C@H](NCCCC(=O)OC(C)(C)C)c1cc(F)ccc1F ZINC001473323005 1061085238 /nfs/dbraw/zinc/08/52/38/1061085238.db2.gz DUITYBKIXMZIEV-HNNXBMFYSA-N 0 3 313.388 4.127 20 0 DIADHN Fc1cccc(C2(NCCOc3ccc(F)cc3Cl)CC2)c1 ZINC001473323148 1061085376 /nfs/dbraw/zinc/08/53/76/1061085376.db2.gz IFWLUPZTVOPLST-UHFFFAOYSA-N 0 3 323.770 4.276 20 0 DIADHN Fc1ccc(C2(NC[C@@H]3CCCC4(CCC4)O3)CCC2)c(F)c1 ZINC001473324336 1061087277 /nfs/dbraw/zinc/08/72/77/1061087277.db2.gz BTQJNQFMBZYUFS-HNNXBMFYSA-N 0 3 321.411 4.425 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCC1(Br)CC1 ZINC001473330407 1061092253 /nfs/dbraw/zinc/09/22/53/1061092253.db2.gz HETMDCHDNPXUFF-ZDUSSCGKSA-N 0 3 312.251 4.054 20 0 DIADHN Cc1ccccc1[C@H](NCc1ccnn1C)[C@@H]1CCC[C@@H](C)C1 ZINC001473331708 1061093231 /nfs/dbraw/zinc/09/32/31/1061093231.db2.gz MCMASJFRXVBQHG-WRWLIDTKSA-N 0 3 311.473 4.386 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H]2CCCN(c3ccccc3)C2=O)c1C ZINC001473334778 1061095382 /nfs/dbraw/zinc/09/53/82/1061095382.db2.gz MAZCYPJAKCLBCB-YLJYHZDGSA-N 0 3 322.452 4.150 20 0 DIADHN CC(C)c1nsc(CN[C@H](Cc2ccc(F)cc2)C(C)C)n1 ZINC001473335739 1061095501 /nfs/dbraw/zinc/09/55/01/1061095501.db2.gz JXQZOLNOZPOSCG-OAHLLOKOSA-N 0 3 321.465 4.158 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1)C(=O)N(C)c1ccc(C)cc1 ZINC001473336380 1061097896 /nfs/dbraw/zinc/09/78/96/1061097896.db2.gz IDRBVPGFNACKKS-LPHOPBHVSA-N 0 3 310.441 4.087 20 0 DIADHN CCN1C[C@H](C)N(Cc2c(Cl)oc3ccccc32)C[C@@H]1C ZINC001473341983 1061099599 /nfs/dbraw/zinc/09/95/99/1061099599.db2.gz KFZWHQXVNCZWQO-STQMWFEESA-N 0 3 306.837 4.001 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN(C)C1CN(C(=O)OC(C)(C)C)C1 ZINC001462316262 1061100587 /nfs/dbraw/zinc/10/05/87/1061100587.db2.gz PGRITAGTTGZVES-MRXNPFEDSA-N 0 3 324.509 4.310 20 0 DIADHN Fc1cccc(F)c1CC1CN(C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001462321254 1061104509 /nfs/dbraw/zinc/10/45/09/1061104509.db2.gz LQLBURUNBKOXRC-IAGOWNOFSA-N 0 3 313.391 4.243 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1NCc1ccc2c(n1)CCC2 ZINC001462336769 1061113167 /nfs/dbraw/zinc/11/31/67/1061113167.db2.gz WCSLGKPILMKJJL-CXAGYDPISA-N 0 3 316.807 4.009 20 0 DIADHN CCc1ccc(CCN[C@H](COCC(C)C)c2ccco2)cc1 ZINC001473367470 1061115794 /nfs/dbraw/zinc/11/57/94/1061115794.db2.gz VJOPUVSZXXGRSM-LJQANCHMSA-N 0 3 315.457 4.388 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cn(C3CCC3)nn2)C1(C)C ZINC001462344935 1061118719 /nfs/dbraw/zinc/11/87/19/1061118719.db2.gz VUYNCZRENNCDPS-CQSZACIVSA-N 0 3 304.482 4.040 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(Br)c(C)c2)c1 ZINC001473380439 1061121352 /nfs/dbraw/zinc/12/13/52/1061121352.db2.gz RCEISFVUTVMMGS-UHFFFAOYSA-N 0 3 321.262 4.211 20 0 DIADHN Cn1cc([C@H](NCC/C=C/c2ccccc2)c2ccccc2)cn1 ZINC001473377443 1061121876 /nfs/dbraw/zinc/12/18/76/1061121876.db2.gz VNULGGRUPYWOMK-NBILRRPASA-N 0 3 317.436 4.203 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCc1oc2ccccc2c1Br ZINC001473379970 1061122910 /nfs/dbraw/zinc/12/29/10/1061122910.db2.gz DEBCLORXKAPSQQ-PWSUYJOCSA-N 0 3 308.219 4.474 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC001473381563 1061124678 /nfs/dbraw/zinc/12/46/78/1061124678.db2.gz NJJDRUYAUVCFHZ-XJKSGUPXSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)N(Cc1cn2ccnc2s1)[C@@H](C)c1ccsc1 ZINC001462356842 1061125434 /nfs/dbraw/zinc/12/54/34/1061125434.db2.gz MMZDEFLMZZNBOK-LBPRGKRZSA-N 0 3 305.472 4.429 20 0 DIADHN CN(CC[C@@H]1C[C@H]1C1CC1)[C@@]1(c2ccccc2)CCCCC1=O ZINC001473389039 1061130021 /nfs/dbraw/zinc/13/00/21/1061130021.db2.gz PMZGDFMHCDHYFO-SLYNCCJLSA-N 0 3 311.469 4.393 20 0 DIADHN CC1(C)CN(C[C@H]2CCC[C@H](c3ccccc3)O2)CC(C)(C)O1 ZINC001473389356 1061130381 /nfs/dbraw/zinc/13/03/81/1061130381.db2.gz MXYOMYSKRPZLLU-QZTJIDSGSA-N 0 3 317.473 4.186 20 0 DIADHN CC(C)C(CN1CCN(c2c(F)cccc2F)CC1)C(C)C ZINC001462367252 1061131366 /nfs/dbraw/zinc/13/13/66/1061131366.db2.gz ONPRGWUSYBMGOM-UHFFFAOYSA-N 0 3 310.432 4.015 20 0 DIADHN CC(C)C1(C)CCN(CC(=O)NCCCC2CCCCC2)CC1 ZINC001473402510 1061136454 /nfs/dbraw/zinc/13/64/54/1061136454.db2.gz QONXTBVJCGRXPO-UHFFFAOYSA-N 0 3 322.537 4.221 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCCCC1CC1)c1ccccc1 ZINC001473402318 1061137596 /nfs/dbraw/zinc/13/75/96/1061137596.db2.gz NPEJJVOSYMOZHK-FQEVSTJZSA-N 0 3 319.452 4.443 20 0 DIADHN CC[C@H]1CCN(Cc2ccc(OC)cc2Br)[C@@H]1C ZINC001473402668 1061138844 /nfs/dbraw/zinc/13/88/44/1061138844.db2.gz LWSFZVUBIROFIG-NEPJUHHUSA-N 0 3 312.251 4.078 20 0 DIADHN CCn1cc(CN(C/C=C\c2ccc(F)c(F)c2)C(C)C)cn1 ZINC001462392091 1061145967 /nfs/dbraw/zinc/14/59/67/1061145967.db2.gz CAOLDVBEJSBWPQ-WAYWQWQTSA-N 0 3 319.399 4.105 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001473410618 1061146489 /nfs/dbraw/zinc/14/64/89/1061146489.db2.gz MXDYHGHAOXNAOY-HZMBPMFUSA-N 0 3 315.244 4.052 20 0 DIADHN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001473410622 1061146665 /nfs/dbraw/zinc/14/66/65/1061146665.db2.gz MXDYHGHAOXNAOY-YGRLFVJLSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@](CO)(N(C)Cc2c(Cl)oc3ccccc32)C1 ZINC001473413894 1061148284 /nfs/dbraw/zinc/14/82/84/1061148284.db2.gz LKAHLYMAJOMSIW-PXAZEXFGSA-N 0 3 307.821 4.069 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@H]1CC(C)(C)CO1 ZINC001473429006 1061156665 /nfs/dbraw/zinc/15/66/65/1061156665.db2.gz LPKVJPZOXHKJOZ-UKRRQHHQSA-N 0 3 315.379 4.393 20 0 DIADHN Cc1cc(F)ncc1CN1CCc2ccc(Cl)cc2[C@H](C)C1 ZINC001462413595 1061157784 /nfs/dbraw/zinc/15/77/84/1061157784.db2.gz USOKBYUZNOYBFO-CYBMUJFWSA-N 0 3 318.823 4.344 20 0 DIADHN C[C@H]1CC(CNCc2nc(Br)cs2)C[C@H](C)C1 ZINC001462416428 1061158594 /nfs/dbraw/zinc/15/85/94/1061158594.db2.gz FTGVCPUPUIEBPB-NXEZZACHSA-N 0 3 317.296 4.068 20 0 DIADHN C[C@@H](CSc1ccccc1)[N@H+](C)Cc1ccc([O-])c(F)c1 ZINC001462416495 1061159736 /nfs/dbraw/zinc/15/97/36/1061159736.db2.gz VPLOOCHSUYZDHY-ZDUSSCGKSA-N 0 3 305.418 4.144 20 0 DIADHN C[C@@H](CSc1ccccc1)[N@@H+](C)Cc1ccc([O-])c(F)c1 ZINC001462416495 1061159749 /nfs/dbraw/zinc/15/97/49/1061159749.db2.gz VPLOOCHSUYZDHY-ZDUSSCGKSA-N 0 3 305.418 4.144 20 0 DIADHN C[C@H]1C[C@H](CNCc2nc(Br)cs2)C[C@@H](C)C1 ZINC001462416429 1061160945 /nfs/dbraw/zinc/16/09/45/1061160945.db2.gz FTGVCPUPUIEBPB-URLYPYJESA-N 0 3 317.296 4.068 20 0 DIADHN C[C@@H](NCCOCCOc1ccccc1)c1ccccc1Cl ZINC001473443242 1061161440 /nfs/dbraw/zinc/16/14/40/1061161440.db2.gz COWHDBPMIZUGEQ-OAHLLOKOSA-N 0 3 319.832 4.086 20 0 DIADHN CCC1(CC)[C@H](NCc2cnc(-c3ccccc3)o2)C[C@H]1OC ZINC001473446333 1061163312 /nfs/dbraw/zinc/16/33/12/1061163312.db2.gz CJGOWLJHFPFRCD-IAGOWNOFSA-N 0 3 314.429 4.025 20 0 DIADHN COC[C@@H](Cc1ccccc1)N(C)Cc1c(C)cccc1Cl ZINC001473449630 1061164659 /nfs/dbraw/zinc/16/46/59/1061164659.db2.gz SAQXKNLQMYZHLB-QGZVFWFLSA-N 0 3 317.860 4.338 20 0 DIADHN CCC1(CC)[C@H](NCc2nsc3ccccc23)[C@H](C)[C@@H]1OC ZINC001473447944 1061165338 /nfs/dbraw/zinc/16/53/38/1061165338.db2.gz QNCGANCLFTUUFW-VUCTXSBTSA-N 0 3 318.486 4.226 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(C(F)(F)F)co2)C12CCCC2 ZINC001473457319 1061170159 /nfs/dbraw/zinc/17/01/59/1061170159.db2.gz SBDKLYKKHYPCQK-UONOGXRCSA-N 0 3 317.351 4.126 20 0 DIADHN CCCN(CCN1CCCCC1)[C@H](C)c1ncc(C(C)(C)C)o1 ZINC001473461601 1061171755 /nfs/dbraw/zinc/17/17/55/1061171755.db2.gz BBVSBPFYADHDIM-MRXNPFEDSA-N 0 3 321.509 4.231 20 0 DIADHN C[C@H](c1ccccc1)N(CC(=O)Nc1ccccn1)C1CCCC1 ZINC001473460191 1061171910 /nfs/dbraw/zinc/17/19/10/1061171910.db2.gz XBAPLCKWYMZEAL-MRXNPFEDSA-N 0 3 323.440 4.026 20 0 DIADHN Fc1ccccc1CN(Cc1ccc2nccnc2c1)CC1CC1 ZINC001462434953 1061172440 /nfs/dbraw/zinc/17/24/40/1061172440.db2.gz FELDNAPGXTZIMU-UHFFFAOYSA-N 0 3 321.399 4.181 20 0 DIADHN CC1=CC[C@H](N[C@@H](CO)c2cccc(Cl)c2Cl)CC1 ZINC001462454519 1061183581 /nfs/dbraw/zinc/18/35/81/1061183581.db2.gz SOFJQHDNJFLTSM-FZMZJTMJSA-N 0 3 300.229 4.115 20 0 DIADHN CC1(C)C[C@@]1(NCc1cccc2c1NCCC2)c1ccccc1 ZINC001462463870 1061190423 /nfs/dbraw/zinc/19/04/23/1061190423.db2.gz WWTXCHRMKJCEME-OAQYLSRUSA-N 0 3 306.453 4.460 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccns1 ZINC001462483552 1061202687 /nfs/dbraw/zinc/20/26/87/1061202687.db2.gz HKHHYTGDQXWSLI-VBNZEHGJSA-N 0 3 302.443 4.040 20 0 DIADHN C[C@H](N[C@H]1C[C@H](Oc2ccccc2)C1(C)C)c1ccns1 ZINC001462483546 1061205621 /nfs/dbraw/zinc/20/56/21/1061205621.db2.gz HKHHYTGDQXWSLI-RCBQFDQVSA-N 0 3 302.443 4.040 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3ncccc3Cl)C2)cc1 ZINC001473509630 1061206056 /nfs/dbraw/zinc/20/60/56/1061206056.db2.gz KWCMNKBRIDLQDB-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN OCC[C@H](NCc1ccc2cc[nH]c2c1)c1ccc(Cl)cc1 ZINC001473513802 1061210434 /nfs/dbraw/zinc/21/04/34/1061210434.db2.gz GSRFNYXAYAWVIW-KRWDZBQOSA-N 0 3 314.816 4.035 20 0 DIADHN CCCOc1ccc(NC(=O)[C@@H](C(C)C)N(C)C)cc1C(C)C ZINC001455143036 1061212251 /nfs/dbraw/zinc/21/22/51/1061212251.db2.gz LLKLBRMDECGMPU-GOSISDBHSA-N 0 3 320.477 4.124 20 0 DIADHN CCn1c2ccc(F)cc2nc1-c1cc(F)cc(CN(C)C)c1 ZINC001251069910 1061215517 /nfs/dbraw/zinc/21/55/17/1061215517.db2.gz BAFOCIKPLIGOJU-UHFFFAOYSA-N 0 3 315.367 4.063 20 0 DIADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1CC(=O)N(C1CC1)C1CCCC1 ZINC001473520311 1061216996 /nfs/dbraw/zinc/21/69/96/1061216996.db2.gz VENGKMJRSKLJCZ-HOTGVXAUSA-N 0 3 320.521 4.067 20 0 DIADHN CN(C)Cc1cc(F)cc(-c2nc3ccc(Cl)cc3n2C)c1 ZINC001251070918 1061219583 /nfs/dbraw/zinc/21/95/83/1061219583.db2.gz PGSSCENXYVGBNU-UHFFFAOYSA-N 0 3 317.795 4.094 20 0 DIADHN CCn1c2cc(C)ccc2nc1-c1cc(F)cc(CN(C)C)c1 ZINC001251070356 1061219896 /nfs/dbraw/zinc/21/98/96/1061219896.db2.gz ONCZTDNSZHQRLY-UHFFFAOYSA-N 0 3 311.404 4.232 20 0 DIADHN Cc1cccnc1CN(C)[C@@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC001473525706 1061221651 /nfs/dbraw/zinc/22/16/51/1061221651.db2.gz FBZSOUALXZYBRV-RTBURBONSA-N 0 3 310.441 4.068 20 0 DIADHN C[C@@](O)(CN1CC2(CCCCC2)[C@H]1c1ccccc1)C(F)F ZINC001473534668 1061230882 /nfs/dbraw/zinc/23/08/82/1061230882.db2.gz MTTFMKQKYBZCQG-NVXWUHKLSA-N 0 3 309.400 4.010 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1cc(C(C)C)ccc1OC ZINC001473540140 1061231339 /nfs/dbraw/zinc/23/13/39/1061231339.db2.gz ASZJAFJPGQWDJR-GOSISDBHSA-N 0 3 305.462 4.210 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1[C@@H]2CC[C@H]1CCN(C)C2 ZINC001455763550 1061233365 /nfs/dbraw/zinc/23/33/65/1061233365.db2.gz LKFZLWOMESUZSQ-SCTDSRPQSA-N 0 3 308.510 4.068 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@H](C2CCC2)CC1 ZINC001462524963 1061234286 /nfs/dbraw/zinc/23/42/86/1061234286.db2.gz AVWVHVMBSXCMIK-ZDUSSCGKSA-N 0 3 307.869 4.343 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC001473563260 1061249655 /nfs/dbraw/zinc/24/96/55/1061249655.db2.gz DCBLEQBNVKIYAP-JKSUJKDBSA-N 0 3 322.880 4.425 20 0 DIADHN CC[C@H](NCc1nc(C)c(Br)s1)[C@@H]1CC1(C)C ZINC001473562390 1061250541 /nfs/dbraw/zinc/25/05/41/1061250541.db2.gz IUUGPMHNWWONDR-UWVGGRQHSA-N 0 3 317.296 4.128 20 0 DIADHN CC1(c2ccccc2)CC(NCc2cc(O)ccc2Cl)C1 ZINC001462550152 1061254561 /nfs/dbraw/zinc/25/45/61/1061254561.db2.gz OJGAWUJIHYFODM-UHFFFAOYSA-N 0 3 301.817 4.256 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@H]1C ZINC001473564922 1061255317 /nfs/dbraw/zinc/25/53/17/1061255317.db2.gz PRHRMBRZOVNWKH-MXWKQRLJSA-N 0 3 312.335 4.323 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NCCSC(C)C ZINC001473572131 1061259889 /nfs/dbraw/zinc/25/98/89/1061259889.db2.gz ZZRYOAXRLZKEFJ-UHFFFAOYSA-N 0 3 301.883 4.315 20 0 DIADHN CC1(C)CCc2onc(CN[C@H]3CCC[C@H](C4CC4)C3)c2C1 ZINC001462562093 1061264618 /nfs/dbraw/zinc/26/46/18/1061264618.db2.gz XBMZLNYREQBGEG-GJZGRUSLSA-N 0 3 302.462 4.248 20 0 DIADHN FC(F)(F)[C@H]1CC[C@H](CNC2(c3ccccc3Cl)CC2)O1 ZINC001473579471 1061266131 /nfs/dbraw/zinc/26/61/31/1061266131.db2.gz GCFQMOHWCCVOPX-ZWNOBZJWSA-N 0 3 319.754 4.029 20 0 DIADHN O=C(CN1CC[C@@H]2CCCC[C@@H]21)Nc1ccc2ccccc2c1 ZINC001473585095 1061269838 /nfs/dbraw/zinc/26/98/38/1061269838.db2.gz APYKACZMGSNJHX-LPHOPBHVSA-N 0 3 308.425 4.043 20 0 DIADHN Fc1ccc(CN2CCC[C@H]3O[C@@H](c4ccccc4)C[C@H]32)cc1 ZINC001473602190 1061280592 /nfs/dbraw/zinc/28/05/92/1061280592.db2.gz ZROLFXWCQWBMTK-VAMGGRTRSA-N 0 3 311.400 4.320 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@H](OC2CCC2)C1 ZINC001473595920 1061280865 /nfs/dbraw/zinc/28/08/65/1061280865.db2.gz RGCJLUPPPQJALV-IBGZPJMESA-N 0 3 317.473 4.352 20 0 DIADHN CCn1cc(CN(CCSC2CCCCC2)C(C)C)cn1 ZINC001473613931 1061285791 /nfs/dbraw/zinc/28/57/91/1061285791.db2.gz MJWWIVFSJDOPQI-UHFFFAOYSA-N 0 3 309.523 4.179 20 0 DIADHN C[C@]12CN(Cc3ccsc3C(F)(F)F)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC001462620103 1061302290 /nfs/dbraw/zinc/30/22/90/1061302290.db2.gz VSBIKHMRJBGGEZ-QMPIGLIWSA-N 0 3 313.388 4.411 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1coc(-c2cccc(OC)c2)n1 ZINC001473636908 1061306540 /nfs/dbraw/zinc/30/65/40/1061306540.db2.gz MBGYNILUAYINCQ-ZDUSSCGKSA-N 0 3 302.418 4.265 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@@H]3CCCC[C@@H]32)c1 ZINC001473661239 1061323885 /nfs/dbraw/zinc/32/38/85/1061323885.db2.gz FYEAUGCXOFIZSX-GUYCJALGSA-N 0 3 321.848 4.281 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCCCC2(C)C)C[C@@H](CC(F)(F)F)O1 ZINC001473661264 1061324651 /nfs/dbraw/zinc/32/46/51/1061324651.db2.gz HCCUEXUHLOGHMJ-HZSPNIEDSA-N 0 3 307.400 4.245 20 0 DIADHN OC[C@H](NC1CCC(=C2CCC2)CC1)c1cc(F)ccc1F ZINC001462665703 1061325031 /nfs/dbraw/zinc/32/50/31/1061325031.db2.gz YFFCMFMCHNPKKH-SFHVURJKSA-N 0 3 307.384 4.011 20 0 DIADHN CC(C)O[C@H](CN1CC2(CCCC2)OC[C@@H]1C)c1ccccc1 ZINC001473669914 1061331570 /nfs/dbraw/zinc/33/15/70/1061331570.db2.gz YCGNJHWQASOZME-PKOBYXMFSA-N 0 3 317.473 4.186 20 0 DIADHN C(c1noc2c1CCCC2)N1CCC[C@H](C2CCCCC2)C1 ZINC001473670156 1061332843 /nfs/dbraw/zinc/33/28/43/1061332843.db2.gz DGHFEZZGVIFSRV-INIZCTEOSA-N 0 3 302.462 4.346 20 0 DIADHN C[C@H](c1nc2ccccc2n1C(F)F)N(C)C[C@H]1CC1(C)C ZINC001473675786 1061337057 /nfs/dbraw/zinc/33/70/57/1061337057.db2.gz ZGFVHQMXTTZECP-VXGBXAGGSA-N 0 3 307.388 4.470 20 0 DIADHN CC1(C)C[C@@]1(NCc1c[nH]c(=O)c2ccccc12)c1ccccc1 ZINC001473675349 1061337483 /nfs/dbraw/zinc/33/74/83/1061337483.db2.gz NNTWDUIEVOPSFI-OAQYLSRUSA-N 0 3 318.420 4.355 20 0 DIADHN FCCC1CCN(CCSc2ccc(F)cc2F)CC1 ZINC001473700605 1061354519 /nfs/dbraw/zinc/35/45/19/1061354519.db2.gz RVVRGBYKWFSKHL-UHFFFAOYSA-N 0 3 303.393 4.129 20 0 DIADHN CCC[C@H]1CCCN1C(=O)c1cccc(CN2CCCCC2)c1 ZINC001456799228 1061371223 /nfs/dbraw/zinc/37/12/23/1061371223.db2.gz FMHXNNMKMXSUND-IBGZPJMESA-N 0 3 314.473 4.077 20 0 DIADHN CO[C@@H](CN1CCC[C@@](F)(c2ccccc2)C1)c1ccccc1 ZINC001473730225 1061374886 /nfs/dbraw/zinc/37/48/86/1061374886.db2.gz OJAMGIBNGIYSTM-PMACEKPBSA-N 0 3 313.416 4.335 20 0 DIADHN CO[C@@H](CN1CCC[C@](F)(c2ccccc2)C1)c1ccccc1 ZINC001473730227 1061376021 /nfs/dbraw/zinc/37/60/21/1061376021.db2.gz OJAMGIBNGIYSTM-VQTJNVASSA-N 0 3 313.416 4.335 20 0 DIADHN CC[C@@H](NCc1c[nH]c(=O)c2ccccc12)c1ccccc1OC ZINC001473735405 1061380490 /nfs/dbraw/zinc/38/04/90/1061380490.db2.gz AWFSAADDAPCWJM-GOSISDBHSA-N 0 3 322.408 4.190 20 0 DIADHN Fc1ccc(Cl)c(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c1 ZINC001473737898 1061381453 /nfs/dbraw/zinc/38/14/53/1061381453.db2.gz CGGWVMKXLBUCGC-DGCLKSJQSA-N 0 3 307.718 4.466 20 0 DIADHN Cc1ccnc(CN[C@H]2CCC(C)(C)C[C@@H]2c2ccccc2)n1 ZINC001473740342 1061385360 /nfs/dbraw/zinc/38/53/60/1061385360.db2.gz DMEPDYAJBGDQOK-MSOLQXFVSA-N 0 3 309.457 4.237 20 0 DIADHN Clc1ccccc1CN1Cc2ccccc2N2CCC[C@H]2C1 ZINC001473747715 1061385888 /nfs/dbraw/zinc/38/58/88/1061385888.db2.gz QSUPKOQVHGLARV-KRWDZBQOSA-N 0 3 312.844 4.325 20 0 DIADHN CCCOc1cccc(CN(CC)[C@H](CO)c2ccccc2)c1 ZINC001473745644 1061386644 /nfs/dbraw/zinc/38/66/44/1061386644.db2.gz AVWXXUKNWMRXHP-HXUWFJFHSA-N 0 3 313.441 4.031 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C[C@@H](C)C(C)(C)C)o2)cc1 ZINC001473780395 1061405129 /nfs/dbraw/zinc/40/51/29/1061405129.db2.gz ZHRSAMBKFUKQPT-CQSZACIVSA-N 0 3 316.445 4.464 20 0 DIADHN Fc1ccccc1CCN1CC[C@H](c2c(F)cccc2F)C1 ZINC001473785465 1061407888 /nfs/dbraw/zinc/40/78/88/1061407888.db2.gz ZAQNZMJPBNPHEE-AWEZNQCLSA-N 0 3 305.343 4.136 20 0 DIADHN COc1ccc(CN2CCC[C@H]2COC(C)(C)C)cc1Cl ZINC001473782354 1061408166 /nfs/dbraw/zinc/40/81/66/1061408166.db2.gz PEJDDZQWEIWCFJ-AWEZNQCLSA-N 0 3 311.853 4.128 20 0 DIADHN Fc1ccccc1CCN1CC[C@@H](c2c(F)cccc2F)C1 ZINC001473785466 1061409162 /nfs/dbraw/zinc/40/91/62/1061409162.db2.gz ZAQNZMJPBNPHEE-CQSZACIVSA-N 0 3 305.343 4.136 20 0 DIADHN CCC(CC)CN1CCC[C@@H]1c1cncc(Br)c1 ZINC001473817599 1061422469 /nfs/dbraw/zinc/42/24/69/1061422469.db2.gz OBUXPPVYNIDPIX-OAHLLOKOSA-N 0 3 311.267 4.417 20 0 DIADHN CCC[C@@H]1CCCN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001473859579 1061436170 /nfs/dbraw/zinc/43/61/70/1061436170.db2.gz QHFDVPMIDJPEJF-GFCCVEGCSA-N 0 3 315.244 4.196 20 0 DIADHN COc1cc(CN2CCC(c3cc(C)cc(C)c3)CC2)ccn1 ZINC001473863093 1061439029 /nfs/dbraw/zinc/43/90/29/1061439029.db2.gz QFTSMBBFPGHSKY-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCC[C@@H](C3CCCC3)C2)c1 ZINC001473887893 1061450304 /nfs/dbraw/zinc/45/03/04/1061450304.db2.gz SCKZUFYDDHKEHO-MRXNPFEDSA-N 0 3 319.420 4.015 20 0 DIADHN Cc1ccc(C)c(CN[C@]2(CO)CC[C@H](C)c3ccccc32)c1 ZINC001473885171 1061450719 /nfs/dbraw/zinc/45/07/19/1061450719.db2.gz HCOMMGYFJPCRGJ-UWJYYQICSA-N 0 3 309.453 4.178 20 0 DIADHN CC(C)CCCCCCNCc1c(Cl)nc(Cl)n1C ZINC001473910881 1061459025 /nfs/dbraw/zinc/45/90/25/1061459025.db2.gz CQDVKQDIXAGPTE-UHFFFAOYSA-N 0 3 306.281 4.423 20 0 DIADHN CC(C)n1c(CNCCc2cccc(F)c2)nc2ccccc21 ZINC001473916575 1061465155 /nfs/dbraw/zinc/46/51/55/1061465155.db2.gz CQSXSTSDQGVFEA-UHFFFAOYSA-N 0 3 311.404 4.089 20 0 DIADHN Cc1cc2cc(CNCCOc3ccccc3F)oc2cc1C ZINC001473920052 1061468579 /nfs/dbraw/zinc/46/85/79/1061468579.db2.gz CCZMCKKJRFCHKR-UHFFFAOYSA-N 0 3 313.372 4.357 20 0 DIADHN FC(F)(F)Oc1ccc(CNCc2ccc3[nH]ccc3c2)cc1 ZINC001473919867 1061468723 /nfs/dbraw/zinc/46/87/23/1061468723.db2.gz SLMIPLFHRHJAQX-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN COc1nsc(CNC[C@H]2CCCc3ccccc32)c1Cl ZINC001473922167 1061471433 /nfs/dbraw/zinc/47/14/33/1061471433.db2.gz ZRAZJSXWAAWPCY-GFCCVEGCSA-N 0 3 322.861 4.015 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc3c2OCCCO3)C2CC2)cc1 ZINC001473923426 1061472294 /nfs/dbraw/zinc/47/22/94/1061472294.db2.gz LWONOQCOYBSQPC-HXUWFJFHSA-N 0 3 323.436 4.397 20 0 DIADHN CC(C)Oc1ccc(CNCc2cnc3c(F)cccc3c2)cc1 ZINC001473926014 1061476243 /nfs/dbraw/zinc/47/62/43/1061476243.db2.gz KYRSCHRGLBPWRU-UHFFFAOYSA-N 0 3 324.399 4.451 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCC1CCCC1 ZINC001473929622 1061477811 /nfs/dbraw/zinc/47/78/11/1061477811.db2.gz OSUDVLXSZYMALX-UHFFFAOYSA-N 0 3 304.178 4.007 20 0 DIADHN C(=C\c1ccccc1)\CNCc1ccc(N2CCCCCC2)nc1 ZINC001473938417 1061484985 /nfs/dbraw/zinc/48/49/85/1061484985.db2.gz MMGCZBRCCZNCMJ-FLIBITNWSA-N 0 3 321.468 4.265 20 0 DIADHN COc1ccsc1CNCCOc1ccc2ccccc2c1 ZINC001473946890 1061490312 /nfs/dbraw/zinc/49/03/12/1061490312.db2.gz LFVKLSXOZXHSJA-UHFFFAOYSA-N 0 3 313.422 4.079 20 0 DIADHN COc1cccc(CN[C@@H](C)COc2c(C)cccc2C)c1F ZINC001473955265 1061497402 /nfs/dbraw/zinc/49/74/02/1061497402.db2.gz ZRQNJPMSGPWQEX-HNNXBMFYSA-N 0 3 317.404 4.008 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)c(C)c1 ZINC001473962215 1061503257 /nfs/dbraw/zinc/50/32/57/1061503257.db2.gz ABHARBXYJXHYDS-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN FC(F)(F)c1ccccc1CNCc1cccc2c1CCC2 ZINC001473965334 1061507538 /nfs/dbraw/zinc/50/75/38/1061507538.db2.gz JXPQZSJNSOTCFS-UHFFFAOYSA-N 0 3 305.343 4.484 20 0 DIADHN CCCCc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1 ZINC001463655752 1061508010 /nfs/dbraw/zinc/50/80/10/1061508010.db2.gz KGPFUAXGJVGNHJ-INIZCTEOSA-N 0 3 302.462 4.232 20 0 DIADHN Cc1ccc(OCCCNCc2cc(Cl)ccc2F)cc1 ZINC001473968894 1061511781 /nfs/dbraw/zinc/51/17/81/1061511781.db2.gz JEGHMABMFHWNSA-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN COc1cccc2cc(CNC/C=C\c3cccc(F)c3)oc21 ZINC001473975668 1061518874 /nfs/dbraw/zinc/51/88/74/1061518874.db2.gz JPTVUVHDSLOXSH-XQRVVYSFSA-N 0 3 311.356 4.384 20 0 DIADHN Cc1cccc(C2(NCc3c4c(nn3C)CCCC4)CCCC2)c1 ZINC001473975805 1061519453 /nfs/dbraw/zinc/51/94/53/1061519453.db2.gz OGQJMFSFMSQLMY-UHFFFAOYSA-N 0 3 323.484 4.166 20 0 DIADHN CCOc1cc(CN[C@@H]2CCc3cc(C)ccc32)ccc1OC ZINC001473978150 1061521124 /nfs/dbraw/zinc/52/11/24/1061521124.db2.gz YCAAOKJOVZDIRE-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1ccc2sccc2c1)N1CCCCC1 ZINC001463835960 1061524485 /nfs/dbraw/zinc/52/44/85/1061524485.db2.gz MKOHWXYIJMCGNF-KRWDZBQOSA-N 0 3 316.470 4.350 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@@H]1CCCCN1CC ZINC001457936790 1061525031 /nfs/dbraw/zinc/52/50/31/1061525031.db2.gz VUTDFJFCIBJDCX-MSOLQXFVSA-N 0 3 310.526 4.316 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCc1csc2ccccc12 ZINC001473987480 1061528877 /nfs/dbraw/zinc/52/88/77/1061528877.db2.gz XQUBORXJAJPCHC-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)C)s1)C(=O)Nc1ccccc1 ZINC001473994957 1061538205 /nfs/dbraw/zinc/53/82/05/1061538205.db2.gz CWUKLIVLWFOAGV-ZDUSSCGKSA-N 0 3 316.470 4.162 20 0 DIADHN CC(C)(C)CC(C)(C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001464000235 1061541904 /nfs/dbraw/zinc/54/19/04/1061541904.db2.gz CPTHXVBNDZFHLY-UHFFFAOYSA-N 0 3 315.461 4.235 20 0 DIADHN C[C@H](O)CN[C@H](c1ccccc1)c1ccc(-c2ccccc2)cc1 ZINC001251971541 1061545026 /nfs/dbraw/zinc/54/50/26/1061545026.db2.gz KUYQVEIZZNIXMW-HTAPYJJXSA-N 0 3 317.432 4.413 20 0 DIADHN Cc1ccnc(CN[C@@H]2CC[C@H](C)C[C@@H]2C)c1Br ZINC001474004916 1061547451 /nfs/dbraw/zinc/54/74/51/1061547451.db2.gz HPGBBRLTEBSFHR-WCFLWFBJSA-N 0 3 311.267 4.067 20 0 DIADHN Cc1ccnc(CN[C@@H]2CC[C@H](C)C[C@H]2C)c1Br ZINC001474004911 1061547916 /nfs/dbraw/zinc/54/79/16/1061547916.db2.gz HPGBBRLTEBSFHR-CYZMBNFOSA-N 0 3 311.267 4.067 20 0 DIADHN CC(C)(CCCc1ccccc1)CNCc1cn(C2CC2)cn1 ZINC001474008017 1061550478 /nfs/dbraw/zinc/55/04/78/1061550478.db2.gz IBVWRTSVDNFAHT-UHFFFAOYSA-N 0 3 311.473 4.357 20 0 DIADHN CCCC[C@@H](COC)NCc1sc(C)cc1Br ZINC001474013643 1061554429 /nfs/dbraw/zinc/55/44/29/1061554429.db2.gz UUZGMYJAEBOCQY-NSHDSACASA-N 0 3 320.296 4.114 20 0 DIADHN CC[C@@H](CNCc1cccc(F)c1F)Oc1ccccc1C ZINC001474028374 1061569978 /nfs/dbraw/zinc/56/99/78/1061569978.db2.gz OQHKSEZCUIMTNV-HNNXBMFYSA-N 0 3 305.368 4.220 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1C[C@@H](OCC)C1(CC)CC ZINC001474028517 1061570999 /nfs/dbraw/zinc/57/09/99/1061570999.db2.gz NPBYCHYLDJGLOC-ZWKOTPCHSA-N 0 3 323.452 4.298 20 0 DIADHN C[C@@H](CNCc1c(Cl)n[nH]c1C1CC1)Sc1ccccc1 ZINC001474031074 1061572124 /nfs/dbraw/zinc/57/21/24/1061572124.db2.gz RXYIQBIKBXENRQ-NSHDSACASA-N 0 3 321.877 4.211 20 0 DIADHN Cc1ccsc1[C@H](CO)NC/C=C\c1c(C)cc(C)cc1C ZINC001474034688 1061575737 /nfs/dbraw/zinc/57/57/37/1061575737.db2.gz DZLCRKFVKWDBFQ-XFHJGTJQSA-N 0 3 315.482 4.318 20 0 DIADHN COC[C@H](Cc1ccccc1)NCc1cc(C(C)(C)C)oc1C ZINC001474036729 1061579398 /nfs/dbraw/zinc/57/93/98/1061579398.db2.gz LTKVCBVGZGDPKK-SFHVURJKSA-N 0 3 315.457 4.233 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1(C)C ZINC001474037934 1061582040 /nfs/dbraw/zinc/58/20/40/1061582040.db2.gz PKJHRMZOWAQTQE-HOTGVXAUSA-N 0 3 322.518 4.095 20 0 DIADHN CCCC[C@@H](CCC)NCc1ncc(Br)cc1F ZINC001474040158 1061584972 /nfs/dbraw/zinc/58/49/72/1061584972.db2.gz TUTJSDKMHPTHMA-GFCCVEGCSA-N 0 3 317.246 4.432 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001464378063 1061586033 /nfs/dbraw/zinc/58/60/33/1061586033.db2.gz KDFFQMXIYOXSSV-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)N2CCN(CCC(C)(C)C)CC2)CC1 ZINC001464387587 1061586485 /nfs/dbraw/zinc/58/64/85/1061586485.db2.gz ZACOJDNBIMFUKA-IYARVYRRSA-N 0 3 322.537 4.029 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cccc(Cl)c1C ZINC001474050727 1061596722 /nfs/dbraw/zinc/59/67/22/1061596722.db2.gz ALSOXXGZZRMOLC-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cccc(Cl)c1C ZINC001474050729 1061597588 /nfs/dbraw/zinc/59/75/88/1061597588.db2.gz ALSOXXGZZRMOLC-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@H]1CCCC[C@H]1CNc1cccc(CN2CCSCC2)c1 ZINC001474049765 1061597890 /nfs/dbraw/zinc/59/78/90/1061597890.db2.gz PNWZMHLSDSASGH-WMZOPIPTSA-N 0 3 318.530 4.474 20 0 DIADHN Cc1cccc(CNC[C@H](O)c2cc3ccccc3s2)c1F ZINC001474050734 1061598206 /nfs/dbraw/zinc/59/82/06/1061598206.db2.gz AUDGJSWSKMNEFM-HNNXBMFYSA-N 0 3 315.413 4.172 20 0 DIADHN Cc1nn(-c2ccccc2Cl)cc1CN[C@H]1C=CCCC1 ZINC001474053077 1061601040 /nfs/dbraw/zinc/60/10/40/1061601040.db2.gz GYDXUHBCLDWNGB-HNNXBMFYSA-N 0 3 301.821 4.032 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)c2ccccc2C(C)C)N(C)C)cc1 ZINC001464536498 1061604571 /nfs/dbraw/zinc/60/45/71/1061604571.db2.gz BHBRFXSCOGSBQN-HXUWFJFHSA-N 0 3 324.468 4.151 20 0 DIADHN C[C@@H](O)CCNCc1cc(F)ccc1Oc1ccc(Cl)cc1 ZINC001474068289 1061613996 /nfs/dbraw/zinc/61/39/96/1061613996.db2.gz UJDFNMOBROONGJ-GFCCVEGCSA-N 0 3 323.795 4.132 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2cc(C)c(C)cc2C)c2ccccc21 ZINC001474073012 1061619108 /nfs/dbraw/zinc/61/91/08/1061619108.db2.gz JQKKSTXNUASINU-HXUWFJFHSA-N 0 3 322.452 4.199 20 0 DIADHN CCN(CC)CC(=O)N[C@@H](CC1CCCCC1)c1ccccc1 ZINC001464683257 1061624341 /nfs/dbraw/zinc/62/43/41/1061624341.db2.gz LIDMGEWYIPYYRQ-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN CCCOc1cc(CNc2cc(C)cc(C)n2)ccc1OC ZINC001474077738 1061624462 /nfs/dbraw/zinc/62/44/62/1061624462.db2.gz NDFAQGSZXUKLFE-UHFFFAOYSA-N 0 3 300.402 4.108 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1nc2c(s1)CCC2 ZINC001474081315 1061629099 /nfs/dbraw/zinc/62/90/99/1061629099.db2.gz RZZMRQSUJDHAEP-CYBMUJFWSA-N 0 3 318.511 4.292 20 0 DIADHN CC(C)OCCCCNCc1ncc(-c2ccccc2)s1 ZINC001474084784 1061631586 /nfs/dbraw/zinc/63/15/86/1061631586.db2.gz SYHKUZZYXREXQT-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN CC(C)(O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC001252356437 1061632494 /nfs/dbraw/zinc/63/24/94/1061632494.db2.gz GHYLGOWSPKIERJ-CQSZACIVSA-N 0 3 301.352 4.003 20 0 DIADHN Cc1ccc(CNC[C@H]2COC3(CCC(C)CC3)O2)c(Cl)c1 ZINC001474091143 1061636227 /nfs/dbraw/zinc/63/62/27/1061636227.db2.gz WVOURWIQGOBBJA-MNQCNDSGSA-N 0 3 323.864 4.060 20 0 DIADHN CC(C)c1cccc(C(=O)N(CCN(C)C)C2CCCCC2)c1 ZINC001464842942 1061639192 /nfs/dbraw/zinc/63/91/92/1061639192.db2.gz YZQRYSPILJFVTL-UHFFFAOYSA-N 0 3 316.489 4.147 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc3c(c2)CCC3)cc(OC)c1 ZINC001474098592 1061645467 /nfs/dbraw/zinc/64/54/67/1061645467.db2.gz HRSJGSLVFPUERS-CQSZACIVSA-N 0 3 311.425 4.043 20 0 DIADHN Cc1ccc(-c2nc(CNC3Cc4ccccc4C3)cs2)cc1 ZINC001474104027 1061650625 /nfs/dbraw/zinc/65/06/25/1061650625.db2.gz CHYVJKOBTKTNGP-UHFFFAOYSA-N 0 3 320.461 4.376 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC/C=C/c1cccc(F)c1 ZINC001474103538 1061650717 /nfs/dbraw/zinc/65/07/17/1061650717.db2.gz UPBILEUMQRMGBD-RCQQVGEISA-N 0 3 315.436 4.450 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1ccc2c(n1)CCC2 ZINC001474104329 1061652876 /nfs/dbraw/zinc/65/28/76/1061652876.db2.gz MURSFRQIDLIECD-YCRPNKLZSA-N 0 3 306.453 4.374 20 0 DIADHN c1cc(-c2nc(CNCCC[C@H]3CCCCO3)cs2)cs1 ZINC001474104763 1061653205 /nfs/dbraw/zinc/65/32/05/1061653205.db2.gz BPKJTZLPELSAIQ-OAHLLOKOSA-N 0 3 322.499 4.311 20 0 DIADHN Cc1cc(CNCC2(c3ccc(F)cc3Cl)CCCC2)on1 ZINC001474120250 1061669584 /nfs/dbraw/zinc/66/95/84/1061669584.db2.gz JTUSITGPEPBBIW-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN C[C@@H]1CCc2nc(CNCCCc3ccccc3F)sc2C1 ZINC001474133677 1061679590 /nfs/dbraw/zinc/67/95/90/1061679590.db2.gz DOQHIZGGTGANKC-CYBMUJFWSA-N 0 3 318.461 4.129 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H](C(C)(C)C)CC1 ZINC001465143666 1061689002 /nfs/dbraw/zinc/68/90/02/1061689002.db2.gz RJZGNXKJZXWIIL-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN Clc1ccccc1Cn1cc(CNCC2=CCCCC2)cn1 ZINC001474164969 1061708696 /nfs/dbraw/zinc/70/86/96/1061708696.db2.gz XIJZLFKIBZMILR-UHFFFAOYSA-N 0 3 315.848 4.175 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)[C@H](O)c3ccccc3)o2)cc1 ZINC001474168384 1061714111 /nfs/dbraw/zinc/71/41/11/1061714111.db2.gz UYDXXQFLSMNAPM-IERDGZPVSA-N 0 3 321.420 4.467 20 0 DIADHN CC(C)CC[C@@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001474209172 1061763710 /nfs/dbraw/zinc/76/37/10/1061763710.db2.gz DHDNGGRKNCZQPT-ZDUSSCGKSA-N 0 3 323.786 4.246 20 0 DIADHN CC[C@@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@H](O)C(C)C ZINC001474180868 1061727672 /nfs/dbraw/zinc/72/76/72/1061727672.db2.gz PXHLMBDWAVKOPO-ZIAGYGMSSA-N 0 3 323.786 4.244 20 0 DIADHN CSc1ccc(CNCC2(c3c(F)cccc3F)CCC2)o1 ZINC001474182080 1061730087 /nfs/dbraw/zinc/73/00/87/1061730087.db2.gz YVXLSECQCFRIBN-UHFFFAOYSA-N 0 3 323.408 4.491 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@H](C)CC(F)(F)F ZINC001474182230 1061731521 /nfs/dbraw/zinc/73/15/21/1061731521.db2.gz DKPUDVZVGSEUKJ-SECBINFHSA-N 0 3 318.364 4.390 20 0 DIADHN CC[C@H](NCc1nccc(C)c1Br)[C@@H]1CC1(C)C ZINC001474184853 1061733010 /nfs/dbraw/zinc/73/30/10/1061733010.db2.gz ZDHPNSBZKPWXST-RYUDHWBXSA-N 0 3 311.267 4.067 20 0 DIADHN CC[C@@H](NCc1nccc(C)c1Br)[C@@H]1CC1(C)C ZINC001474184850 1061734067 /nfs/dbraw/zinc/73/40/67/1061734067.db2.gz ZDHPNSBZKPWXST-NWDGAFQWSA-N 0 3 311.267 4.067 20 0 DIADHN CC(C)(C)OC(=O)C(C)(C)CCNCc1cc2ccccc2o1 ZINC001474188469 1061735059 /nfs/dbraw/zinc/73/50/59/1061735059.db2.gz OPYVASFHIAKOHY-UHFFFAOYSA-N 0 3 317.429 4.280 20 0 DIADHN CCCC[C@](C)(CO)NCc1cc(Cl)c(OC)cc1Cl ZINC001474190783 1061739959 /nfs/dbraw/zinc/73/99/59/1061739959.db2.gz CLDLKORCILSAEK-OAHLLOKOSA-N 0 3 320.260 4.033 20 0 DIADHN Cc1ccccc1C1(NCc2cccc(Cl)c2N)CCC1 ZINC001474198849 1061749864 /nfs/dbraw/zinc/74/98/64/1061749864.db2.gz WBIBLUFPOPCOLB-UHFFFAOYSA-N 0 3 300.833 4.400 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1cccc(F)c1Cl ZINC001252869900 1061750272 /nfs/dbraw/zinc/75/02/72/1061750272.db2.gz ZASGMMFBROJMSV-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN CCC[C@H](C)[C@@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001474199519 1061751793 /nfs/dbraw/zinc/75/17/93/1061751793.db2.gz GRUNZDPSVUHBNR-BLLLJJGKSA-N 0 3 320.864 4.083 20 0 DIADHN C[C@H]1CCc2nc(CNCC(C)(C)c3ccccc3)sc2C1 ZINC001474202992 1061758434 /nfs/dbraw/zinc/75/84/34/1061758434.db2.gz DPGGYJWALZGIFK-AWEZNQCLSA-N 0 3 314.498 4.335 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2ccc(F)cc2Cl)nc1 ZINC001474210190 1061765463 /nfs/dbraw/zinc/76/54/63/1061765463.db2.gz VRZRTCCQBALEHX-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc2c(c1)CCCO2 ZINC001474216563 1061775392 /nfs/dbraw/zinc/77/53/92/1061775392.db2.gz XXHBBEVLHWZDFS-LSDHHAIUSA-N 0 3 323.436 4.186 20 0 DIADHN Cc1cc(Cl)cc(Cl)c1CNC[C@](C)(O)c1ccccc1 ZINC001253115466 1061813264 /nfs/dbraw/zinc/81/32/64/1061813264.db2.gz PCPOJLKRVOYMGE-KRWDZBQOSA-N 0 3 324.251 4.299 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(-c3ccccc3F)o2)OC1 ZINC001474249559 1061814297 /nfs/dbraw/zinc/81/42/97/1061814297.db2.gz KAYUUSUYWCIVCT-AWEZNQCLSA-N 0 3 317.404 4.381 20 0 DIADHN CCCCCCN(C)CC(=O)NCC1CCC(C(C)(C)C)CC1 ZINC001465817605 1061816924 /nfs/dbraw/zinc/81/69/24/1061816924.db2.gz GOBDJSIPCSJPTP-UHFFFAOYSA-N 0 3 324.553 4.467 20 0 DIADHN CCn1c2ccccc2c2cc(CNC[C@H]3CC(C)=NO3)ccc21 ZINC001474250595 1061820376 /nfs/dbraw/zinc/82/03/76/1061820376.db2.gz DWBLXHGEJLTNNB-MRXNPFEDSA-N 0 3 321.424 4.069 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@H](C(C)C)N2CCCCC2)cc1 ZINC001465898316 1061834351 /nfs/dbraw/zinc/83/43/51/1061834351.db2.gz QUYLADRUNCJTJP-IBGZPJMESA-N 0 3 316.489 4.334 20 0 DIADHN C[C@@H](CNCc1nc(Cl)ccc1Br)C(C)(C)C ZINC001474269885 1061836823 /nfs/dbraw/zinc/83/68/23/1061836823.db2.gz OILIUQWAQANVHK-VIFPVBQESA-N 0 3 319.674 4.269 20 0 DIADHN FC1(F)Oc2ccc(CN[C@@H]3CCCc4ccccc43)cc2O1 ZINC001474287657 1061855037 /nfs/dbraw/zinc/85/50/37/1061855037.db2.gz SFEYRTWVRDKQHQ-OAHLLOKOSA-N 0 3 317.335 4.175 20 0 DIADHN Fc1ccc2oc(CNC[C@@H]3CCCC4(CCC4)O3)cc2c1 ZINC001474285348 1061855899 /nfs/dbraw/zinc/85/58/99/1061855899.db2.gz ZFRLHZCRQBHKPJ-HNNXBMFYSA-N 0 3 303.377 4.153 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@H](C)c1cnn(CC2CCC2)c1 ZINC001474295523 1061863827 /nfs/dbraw/zinc/86/38/27/1061863827.db2.gz YGXQIMJLNBFWBY-ZFOVBUMHSA-N 0 3 309.457 4.437 20 0 DIADHN CNc1ccc(C)cc1CNCc1cccc(OC(F)(F)F)c1 ZINC001474300244 1061870635 /nfs/dbraw/zinc/87/06/35/1061870635.db2.gz VGMVKAMKJLFLFO-UHFFFAOYSA-N 0 3 324.346 4.225 20 0 DIADHN C[C@@H]1CCc2nc(CNCCCc3ccsc3)sc2C1 ZINC001474303223 1061873127 /nfs/dbraw/zinc/87/31/27/1061873127.db2.gz UJZUGYCKPIFYNU-GFCCVEGCSA-N 0 3 306.500 4.052 20 0 DIADHN COCCCC1(CN2CCc3c(Cl)cccc3C2)CCC1 ZINC001474309258 1061875241 /nfs/dbraw/zinc/87/52/41/1061875241.db2.gz GNGBTJITVAFARW-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1sc(N(C)C)nc1Cl ZINC001474308915 1061877645 /nfs/dbraw/zinc/87/76/45/1061877645.db2.gz JNVDBTYVYPGYIK-RYUDHWBXSA-N 0 3 315.914 4.263 20 0 DIADHN Fc1ccc(-n2nccc2CN2CCC3(C2)CCCCC3)cc1 ZINC001474312737 1061882971 /nfs/dbraw/zinc/88/29/71/1061882971.db2.gz PEAJCLOSGGENKQ-UHFFFAOYSA-N 0 3 313.420 4.168 20 0 DIADHN Cc1ccc(CN2CC[C@H](COCc3ccccc3)C2)c(F)c1 ZINC001474314446 1061886052 /nfs/dbraw/zinc/88/60/52/1061886052.db2.gz MVGKKJWLJDCRLH-SFHVURJKSA-N 0 3 313.416 4.173 20 0 DIADHN CC(C)c1ccc(CN(C)Cc2ccnn2-c2ccncc2)cc1 ZINC001474315749 1061886624 /nfs/dbraw/zinc/88/66/24/1061886624.db2.gz WQHZVFFYDLLEJT-UHFFFAOYSA-N 0 3 320.440 4.023 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001474316394 1061886818 /nfs/dbraw/zinc/88/68/18/1061886818.db2.gz AORUQJAMQMSDIK-NWDGAFQWSA-N 0 3 321.408 4.402 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc2oc(=O)oc2c1 ZINC001474318439 1061892204 /nfs/dbraw/zinc/89/22/04/1061892204.db2.gz BYGYVXQBHNCJIL-UHFFFAOYSA-N 0 3 317.772 4.062 20 0 DIADHN CCOc1cc(CN2CCC[C@@H](C(C)C)CC2)c(F)cc1OC ZINC001474321573 1061903808 /nfs/dbraw/zinc/90/38/08/1061903808.db2.gz NGYCJLVJGQHZFC-OAHLLOKOSA-N 0 3 323.452 4.491 20 0 DIADHN CCc1[nH]c(CN2CCC[C@H](C(C)(C)C)CC2)cc1C(=O)OC ZINC001474321800 1061903974 /nfs/dbraw/zinc/90/39/74/1061903974.db2.gz SZWOZADYZZRXRO-AWEZNQCLSA-N 0 3 320.477 4.012 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1ccnn1C1CCC1 ZINC001474323110 1061904915 /nfs/dbraw/zinc/90/49/15/1061904915.db2.gz BYFDRPNKGZDQKS-CQSZACIVSA-N 0 3 317.864 4.325 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1cccc(CC2CCC2)c1 ZINC001474330826 1061917007 /nfs/dbraw/zinc/91/70/07/1061917007.db2.gz HVLGKTDRBVAJIX-NRFANRHFSA-N 0 3 323.484 4.408 20 0 DIADHN Fc1ccc(F)c(S[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC001458862553 1061922251 /nfs/dbraw/zinc/92/22/51/1061922251.db2.gz QINXZHBKVXBMOZ-OAHLLOKOSA-N 0 3 305.393 4.331 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@H](C)[C@H]3C)n2)c1 ZINC001474332780 1061922792 /nfs/dbraw/zinc/92/27/92/1061922792.db2.gz OWLABHJIBYAVMX-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN COc1nc(CN2CCC[C@H]2c2cc(C)ccc2C)ccc1C ZINC001474344090 1061943778 /nfs/dbraw/zinc/94/37/78/1061943778.db2.gz CHKPHPSNUXHQEU-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN CC[C@]1(C)CCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474348098 1061954793 /nfs/dbraw/zinc/95/47/93/1061954793.db2.gz DAEDUFQGSFUEAR-QGZVFWFLSA-N 0 3 302.443 4.448 20 0 DIADHN C[C@@]1(CCc2ccccc2)CCN(Cc2ccnn2CC2CC2)C1 ZINC001474349468 1061958011 /nfs/dbraw/zinc/95/80/11/1061958011.db2.gz TYGGCJFWBJMAHX-OAQYLSRUSA-N 0 3 323.484 4.138 20 0 DIADHN CCOc1cc(CN2CCC(CCC(C)C)CC2)ccc1O ZINC001474354107 1061967765 /nfs/dbraw/zinc/96/77/65/1061967765.db2.gz JOPNJMZOCVUSQS-UHFFFAOYSA-N 0 3 305.462 4.439 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](c2ccccc2)C[C@H]1C ZINC001474355544 1061969109 /nfs/dbraw/zinc/96/91/09/1061969109.db2.gz NSLVBXYFGLVJQL-OCCSQVGLSA-N 0 3 303.837 4.004 20 0 DIADHN COc1ccc(CN2CCC(CCC(C)C)CC2)cc1OC ZINC001474354594 1061969431 /nfs/dbraw/zinc/96/94/31/1061969431.db2.gz UBWYNIAQTKQEMA-UHFFFAOYSA-N 0 3 305.462 4.352 20 0 DIADHN COc1cc(CN2CC[C@@H](OCC(C)C)C2)cc2ccccc21 ZINC001474354333 1061970810 /nfs/dbraw/zinc/97/08/10/1061970810.db2.gz PGVNVVLJSOVPSZ-GOSISDBHSA-N 0 3 313.441 4.095 20 0 DIADHN CC(C)(C)[C@H](O)CNC(C)(C)c1ccc(Cl)cc1Cl ZINC001253746308 1061972432 /nfs/dbraw/zinc/97/24/32/1061972432.db2.gz WPVSAUSTOKWCGD-CYBMUJFWSA-N 0 3 304.261 4.225 20 0 DIADHN CCc1cnc(CN2C[C@@H](c3ccccc3C)C[C@H]2C)s1 ZINC001474360356 1061981198 /nfs/dbraw/zinc/98/11/98/1061981198.db2.gz OAANTZCWTPKVMU-CABCVRRESA-N 0 3 300.471 4.392 20 0 DIADHN CCc1[nH]nc(Cl)c1CN(CCc1ccccc1)CC(C)C ZINC001474362156 1061983663 /nfs/dbraw/zinc/98/36/63/1061983663.db2.gz HCGBIMZGGYZDLI-UHFFFAOYSA-N 0 3 319.880 4.326 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cc(C)cc(Cl)c2)c1 ZINC001474363629 1061989061 /nfs/dbraw/zinc/98/90/61/1061989061.db2.gz NKULJGWJNHABCO-UHFFFAOYSA-N 0 3 303.833 4.468 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N(CC)CC ZINC001466736773 1061993885 /nfs/dbraw/zinc/99/38/85/1061993885.db2.gz HRXVTFBTOFNEMX-WBVHZDCISA-N 0 3 302.462 4.037 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N(CC)CC ZINC001466736771 1061994414 /nfs/dbraw/zinc/99/44/14/1061994414.db2.gz HRXVTFBTOFNEMX-NVXWUHKLSA-N 0 3 302.462 4.037 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@@H](C)[C@@H](C)C3)n2)c1 ZINC001474369227 1062002544 /nfs/dbraw/zinc/00/25/44/1062002544.db2.gz DLHOAIMHDZATHC-KGLIPLIRSA-N 0 3 316.470 4.297 20 0 DIADHN Cc1ccc(C2=CCN(Cc3cc4cnccc4o3)CC2)cc1 ZINC001474368174 1062005625 /nfs/dbraw/zinc/00/56/25/1062005625.db2.gz VODKGHOLJHSCID-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN C[C@H]1CN(Cc2ccc(OCC(F)(F)F)c(F)c2)CC[C@@H]1C ZINC001474370204 1062009302 /nfs/dbraw/zinc/00/93/02/1062009302.db2.gz QDOHYLDVEBYQFW-RYUDHWBXSA-N 0 3 319.342 4.245 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CN1CC(C)(C)C1(C)C ZINC001254010932 1062011194 /nfs/dbraw/zinc/01/11/94/1062011194.db2.gz QIKMXCLJOIPTFK-UHFFFAOYSA-N 0 3 307.507 4.062 20 0 DIADHN FC(F)C(F)(F)CS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001459143835 1062022952 /nfs/dbraw/zinc/02/29/52/1062022952.db2.gz VRUHBAZTWBVCGE-CYBMUJFWSA-N 0 3 321.383 4.285 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001474378062 1062023968 /nfs/dbraw/zinc/02/39/68/1062023968.db2.gz FMSPSZPHCYRRDP-UHFFFAOYSA-N 0 3 323.421 4.115 20 0 DIADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@@H](c2ccccc2)O1 ZINC001474382345 1062030216 /nfs/dbraw/zinc/03/02/16/1062030216.db2.gz IJWRKIJFGDSTNT-SEVLPDGMSA-N 0 3 307.437 4.252 20 0 DIADHN CCN(Cc1cc(OC)c(Br)cc1F)CC(C)C ZINC001474381948 1062031331 /nfs/dbraw/zinc/03/13/31/1062031331.db2.gz XKNUDCCAKOZCQZ-UHFFFAOYSA-N 0 3 318.230 4.075 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4c(c3)CCCC4)CC2)cc1 ZINC001474385724 1062034384 /nfs/dbraw/zinc/03/43/84/1062034384.db2.gz BKOHPLOQXQBCTD-UHFFFAOYSA-N 0 3 320.480 4.196 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@H](COc2ccccc2)C1 ZINC001474389182 1062038724 /nfs/dbraw/zinc/03/87/24/1062038724.db2.gz IMVZHXCVNZBFOA-INIZCTEOSA-N 0 3 313.416 4.289 20 0 DIADHN COc1cccc(-c2nc(CNC3CCC(F)(F)CC3)co2)c1 ZINC001254214772 1062050161 /nfs/dbraw/zinc/05/01/61/1062050161.db2.gz AKFVOPKBKXRHRK-UHFFFAOYSA-N 0 3 322.355 4.018 20 0 DIADHN C[C@@H](C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C)[C@H](C)C(C)(C)C ZINC001459253513 1062057442 /nfs/dbraw/zinc/05/74/42/1062057442.db2.gz COWOIZWRFUVVEA-MUQADHOPSA-N 0 3 318.505 4.112 20 0 DIADHN CCCc1ccc(C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)cc1 ZINC001459257884 1062059424 /nfs/dbraw/zinc/05/94/24/1062059424.db2.gz WAJQFMMSVUINCY-UZLBHIALSA-N 0 3 324.468 4.060 20 0 DIADHN CCCc1ccc(C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)cc1 ZINC001459257881 1062059564 /nfs/dbraw/zinc/05/95/64/1062059564.db2.gz WAJQFMMSVUINCY-OXQOHEQNSA-N 0 3 324.468 4.060 20 0 DIADHN CCCc1ccc(C(=O)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)cc1 ZINC001459257872 1062061147 /nfs/dbraw/zinc/06/11/47/1062061147.db2.gz WAJQFMMSVUINCY-JXFKEZNVSA-N 0 3 324.468 4.060 20 0 DIADHN COc1cccc(CN(C)C/C=C/c2ccc(F)cc2F)c1 ZINC001474404113 1062063053 /nfs/dbraw/zinc/06/30/53/1062063053.db2.gz YVOUHOAJCKUTJN-GQCTYLIASA-N 0 3 303.352 4.119 20 0 DIADHN C[C@H]1CCCCN1Cc1ccc(Oc2ccc(F)cc2)nc1 ZINC001474404759 1062064515 /nfs/dbraw/zinc/06/45/15/1062064515.db2.gz SSUZEDWMAKVGMQ-AWEZNQCLSA-N 0 3 300.377 4.387 20 0 DIADHN CN(Cc1ccc(Cl)nc1)C[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001474413530 1062078930 /nfs/dbraw/zinc/07/89/30/1062078930.db2.gz NTPFYZMSZNPSHB-SJLPKXTDSA-N 0 3 300.833 4.361 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1OC)C[C@H](C)CC(C)C ZINC001474415261 1062086312 /nfs/dbraw/zinc/08/63/12/1062086312.db2.gz VLYSZXWLZYYMFO-MRXNPFEDSA-N 0 3 320.477 4.028 20 0 DIADHN CCC(C)(C)CC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001459327437 1062093425 /nfs/dbraw/zinc/09/34/25/1062093425.db2.gz NRMGNEPNYGADIV-GOSISDBHSA-N 0 3 318.505 4.086 20 0 DIADHN COc1cncc(/C=C/CCN(C)[C@@H](C)c2ccccc2F)c1 ZINC001459338200 1062095661 /nfs/dbraw/zinc/09/56/61/1062095661.db2.gz NJIQKXDZXJAQCB-VFADXPBXSA-N 0 3 314.404 4.326 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cc3ccccc3n2C)C1 ZINC001474423978 1062097007 /nfs/dbraw/zinc/09/70/07/1062097007.db2.gz FCVYFYHGQIDRHH-FOIQADDNSA-N 0 3 305.425 4.156 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)C[C@@H](O)CCc1ccccc1 ZINC001459340622 1062098873 /nfs/dbraw/zinc/09/88/73/1062098873.db2.gz VATYURQFPUFEKG-RDJZCZTQSA-N 0 3 317.860 4.327 20 0 DIADHN CC(C)(C)N1CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC001459347339 1062103363 /nfs/dbraw/zinc/10/33/63/1062103363.db2.gz OPNFTFDKQIPAQA-UHFFFAOYSA-N 0 3 306.837 4.002 20 0 DIADHN CCc1cccc(CN(Cc2ccccc2)C[C@@H]2CCCO2)c1 ZINC001459355886 1062106278 /nfs/dbraw/zinc/10/62/78/1062106278.db2.gz UGORCXMIXDGCEK-NRFANRHFSA-N 0 3 309.453 4.430 20 0 DIADHN O=C(CNC1CCc2ccccc2CC1)c1ccc(Cl)cc1 ZINC001254450219 1062110271 /nfs/dbraw/zinc/11/02/71/1062110271.db2.gz IMPLCAPTCAYJIH-UHFFFAOYSA-N 0 3 313.828 4.060 20 0 DIADHN CC(C)[C@@H](N[C@H]1COC2(CCC2)C1)c1ccc(Cl)cc1F ZINC001474434171 1062110047 /nfs/dbraw/zinc/11/00/47/1062110047.db2.gz PBKZSIUXFHZDCL-CZUORRHYSA-N 0 3 311.828 4.477 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1C1CCc2ccccc2CC1 ZINC001254448729 1062110729 /nfs/dbraw/zinc/11/07/29/1062110729.db2.gz BSWIRUBAOOUXRD-CQSZACIVSA-N 0 3 305.425 4.176 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H](O)c1ccc(Cl)cc1 ZINC001459375991 1062117881 /nfs/dbraw/zinc/11/78/81/1062117881.db2.gz HWLLKAYPTBWYTN-SFHVURJKSA-N 0 3 321.823 4.423 20 0 DIADHN CCN(Cc1ccn(-c2c(F)cccc2F)n1)[C@@H](C)C(C)C ZINC001459383538 1062119335 /nfs/dbraw/zinc/11/93/35/1062119335.db2.gz SUOWLMCSGJETCM-ZDUSSCGKSA-N 0 3 307.388 4.017 20 0 DIADHN CCCN(Cc1c(F)cccc1Cl)C[C@@H]1C[C@]12CCOC2 ZINC001459379905 1062119412 /nfs/dbraw/zinc/11/94/12/1062119412.db2.gz QXIOLLNWVQGJSQ-GUYCJALGSA-N 0 3 311.828 4.118 20 0 DIADHN CCCN(Cc1c(F)cccc1Cl)C[C@H]1C[C@]12CCOC2 ZINC001459379903 1062120635 /nfs/dbraw/zinc/12/06/35/1062120635.db2.gz QXIOLLNWVQGJSQ-DYVFJYSZSA-N 0 3 311.828 4.118 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC[C@@H](C)CC1 ZINC001459385880 1062120910 /nfs/dbraw/zinc/12/09/10/1062120910.db2.gz LMXLODBZWPJWHD-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCC(=O)c1ccccc1F ZINC001254484780 1062125532 /nfs/dbraw/zinc/12/55/32/1062125532.db2.gz AMDYIQOZNJKGGJ-KRWDZBQOSA-N 0 3 313.416 4.400 20 0 DIADHN COCCN(Cc1cc(Cl)ccc1Cl)C1CCCC1 ZINC001459397025 1062128510 /nfs/dbraw/zinc/12/85/10/1062128510.db2.gz ZFWHERISFCGDTG-UHFFFAOYSA-N 0 3 302.245 4.384 20 0 DIADHN Cc1ccc(NC(=O)CN(Cc2cccc(C)c2)CC(C)C)cc1 ZINC001459406720 1062131033 /nfs/dbraw/zinc/13/10/33/1062131033.db2.gz GXNAXXLYZINZID-UHFFFAOYSA-N 0 3 324.468 4.400 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCC(=O)c1cccc(F)c1 ZINC001254490204 1062134380 /nfs/dbraw/zinc/13/43/80/1062134380.db2.gz LROTVFLBTBVAPR-LJQANCHMSA-N 0 3 313.416 4.400 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CC[C@H]2CCCO[C@H]2C1 ZINC001254491023 1062134587 /nfs/dbraw/zinc/13/45/87/1062134587.db2.gz AFWOYIBUQWTFAP-AQNXPRMDSA-N 0 3 301.474 4.289 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001459416781 1062135723 /nfs/dbraw/zinc/13/57/23/1062135723.db2.gz LFXZDZLVEROZMI-HNNXBMFYSA-N 0 3 319.399 4.161 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001467481819 1062137259 /nfs/dbraw/zinc/13/72/59/1062137259.db2.gz GLNBDVAZTPMXTL-PBHICJAKSA-N 0 3 324.896 4.131 20 0 DIADHN Clc1ccc(-n2ccc(CN3CCC[C@H]3C3CCC3)n2)cc1 ZINC001459429480 1062140648 /nfs/dbraw/zinc/14/06/48/1062140648.db2.gz SJXWKRFRKMNVFV-SFHVURJKSA-N 0 3 315.848 4.290 20 0 DIADHN CN1CCN(C/C=C/c2ccc(Cl)cc2)Cc2ccccc21 ZINC001459423848 1062140744 /nfs/dbraw/zinc/14/07/44/1062140744.db2.gz GOXZBAZCHOGZDM-SNAWJCMRSA-N 0 3 312.844 4.305 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3C[C@H](C)CC[C@H]3C)c2)CC1 ZINC001474462059 1062140669 /nfs/dbraw/zinc/14/06/69/1062140669.db2.gz ZQZHPJCZRGRZFF-MBOZVWFJSA-N 0 3 315.505 4.065 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@H](C)C[C@@H]3C)c2)CC1 ZINC001474462013 1062140925 /nfs/dbraw/zinc/14/09/25/1062140925.db2.gz YECMFDQIFZGFBS-ABSDTBQOSA-N 0 3 315.505 4.065 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N1CCO[C@@H](c2ccncc2)C1 ZINC001254498190 1062143629 /nfs/dbraw/zinc/14/36/29/1062143629.db2.gz HJTLJEXKPSZGNR-LEWJYISDSA-N 0 3 324.468 4.256 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@@H](OCC2CC2)C1 ZINC001474466590 1062146798 /nfs/dbraw/zinc/14/67/98/1062146798.db2.gz MCDQETKSLXETSZ-LJQANCHMSA-N 0 3 317.473 4.112 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H]2CCC[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC001474478366 1062157409 /nfs/dbraw/zinc/15/74/09/1062157409.db2.gz GPVGEUYWNNZSDC-RCWTZXSCSA-N 0 3 304.381 4.391 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1coc(-c2ccccc2F)n1 ZINC001459494533 1062170026 /nfs/dbraw/zinc/17/00/26/1062170026.db2.gz WTQJGWZZKFRHIZ-QGZVFWFLSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccco3)C2)ccc1Br ZINC001459518772 1062180799 /nfs/dbraw/zinc/18/07/99/1062180799.db2.gz WBGSWKVBXSEFJY-CQSZACIVSA-N 0 3 320.230 4.340 20 0 DIADHN CCCN(Cc1ccns1)[C@H]1C[C@H](OCC)C12CCCC2 ZINC001459534760 1062188355 /nfs/dbraw/zinc/18/83/55/1062188355.db2.gz OUGVQDZJSUXZLE-HOTGVXAUSA-N 0 3 308.491 4.093 20 0 DIADHN COc1nc(CN2C[C@H](C)CC[C@H]2c2ccccc2)ccc1C ZINC001474514631 1062196733 /nfs/dbraw/zinc/19/67/33/1062196733.db2.gz BNRSGMQAGFXSSR-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001459548041 1062199839 /nfs/dbraw/zinc/19/98/39/1062199839.db2.gz GPVCLMFQWJUTIO-FQEVSTJZSA-N 0 3 322.452 4.413 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3cc(Cl)ccc3OCC3CC3)C[C@@H]21 ZINC001459552008 1062204819 /nfs/dbraw/zinc/20/48/19/1062204819.db2.gz UPYRPMANDPHRCF-IYBDPMFKSA-N 0 3 305.849 4.217 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(C(F)(F)F)co2)C1 ZINC001459559041 1062206735 /nfs/dbraw/zinc/20/67/35/1062206735.db2.gz JHYRLGCAMAUEEB-AAEUAGOBSA-N 0 3 307.381 4.404 20 0 DIADHN CC[C@@H](C)N1CCN(Cc2ccc(Cl)c(C)c2Cl)CC1 ZINC001474525633 1062208976 /nfs/dbraw/zinc/20/89/76/1062208976.db2.gz KCPGFWDIERBRTL-GFCCVEGCSA-N 0 3 315.288 4.218 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCOCC2CCC2)o1 ZINC001459571269 1062215588 /nfs/dbraw/zinc/21/55/88/1062215588.db2.gz FHSXDKWPVWLCKN-YJBOKZPZSA-N 0 3 305.462 4.432 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCN(c2cccc(F)n2)CC1 ZINC001474536233 1062222942 /nfs/dbraw/zinc/22/29/42/1062222942.db2.gz FTWSFLAWSREVMT-QGZVFWFLSA-N 0 3 319.468 4.115 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc(-c2ccccn2)nc1 ZINC001459589965 1062229068 /nfs/dbraw/zinc/22/90/68/1062229068.db2.gz KICBJHXOFGJWSO-MRXNPFEDSA-N 0 3 315.420 4.090 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1ccccc1Cl ZINC001459590252 1062229679 /nfs/dbraw/zinc/22/96/79/1062229679.db2.gz LGJYAIXFIKTTRJ-AWEZNQCLSA-N 0 3 303.833 4.412 20 0 DIADHN Cc1ccccc1[C@@H]1CN(CC[C@H]2CCc3ccccc32)CCO1 ZINC001459598720 1062232732 /nfs/dbraw/zinc/23/27/32/1062232732.db2.gz QIDPCESSLKKXFE-KNQAVFIVSA-N 0 3 321.464 4.488 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)c1ccc(C(C)C)cc1 ZINC001467946528 1062233943 /nfs/dbraw/zinc/23/39/43/1062233943.db2.gz MVEIRNKXHWOWDF-UHFFFAOYSA-N 0 3 316.489 4.148 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CC(C)(C)[C@@H]1C(C)C ZINC001474548440 1062241656 /nfs/dbraw/zinc/24/16/56/1062241656.db2.gz ATJZOTSGCLKJOX-IBGZPJMESA-N 0 3 311.473 4.356 20 0 DIADHN Cc1cc(CCNCc2nc(Cl)cs2)ccc1Cl ZINC001474548132 1062243164 /nfs/dbraw/zinc/24/31/64/1062243164.db2.gz VCZBFJQXWAJGAC-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN(CCC(F)(F)F)CC1CC1 ZINC001459613058 1062243692 /nfs/dbraw/zinc/24/36/92/1062243692.db2.gz JRBAJWPFMCSPSC-ZFWWWQNUSA-N 0 3 307.400 4.246 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN(CCC(F)(F)F)CC1CC1 ZINC001459613056 1062243746 /nfs/dbraw/zinc/24/37/46/1062243746.db2.gz JRBAJWPFMCSPSC-UKRRQHHQSA-N 0 3 307.400 4.246 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc3c(c2)OCCCCO3)CC12CCC2 ZINC001474549674 1062245500 /nfs/dbraw/zinc/24/55/00/1062245500.db2.gz FOHCAUJIQLNFDB-IBGZPJMESA-N 0 3 315.457 4.249 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC001474559802 1062261572 /nfs/dbraw/zinc/26/15/72/1062261572.db2.gz DFJUSFULUVSTQN-HXUWFJFHSA-N 0 3 323.484 4.418 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nccn2-c2ccccc2)cc1C ZINC001474560884 1062266500 /nfs/dbraw/zinc/26/65/00/1062266500.db2.gz LEOVFUACDZRSRQ-SFHVURJKSA-N 0 3 319.452 4.382 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2snnc2C)CC[C@H]1c1ccccc1 ZINC001459629002 1062267309 /nfs/dbraw/zinc/26/73/09/1062267309.db2.gz SFTYUKHUHLRVFG-BFYDXBDKSA-N 0 3 315.486 4.423 20 0 DIADHN Cc1ccc([C@@H]2[C@@H](C)CCCN2CCn2cc(Cl)cn2)cc1 ZINC001459634182 1062272341 /nfs/dbraw/zinc/27/23/41/1062272341.db2.gz PGMVHCHFYZOXMN-YJBOKZPZSA-N 0 3 317.864 4.318 20 0 DIADHN COc1cccc(CN2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)n1 ZINC001459647506 1062273648 /nfs/dbraw/zinc/27/36/48/1062273648.db2.gz VZJCJDYPSMLIEW-UZLBHIALSA-N 0 3 310.441 4.372 20 0 DIADHN COc1cccc(CN2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)n1 ZINC001459647494 1062275083 /nfs/dbraw/zinc/27/50/83/1062275083.db2.gz VZJCJDYPSMLIEW-OXQOHEQNSA-N 0 3 310.441 4.372 20 0 DIADHN CCc1cccc(CN2CC(Cc3ccc(F)c(F)c3)C2)c1 ZINC001474569545 1062275164 /nfs/dbraw/zinc/27/51/64/1062275164.db2.gz DHKMNFJRGCPYPL-UHFFFAOYSA-N 0 3 301.380 4.202 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+]C2CCC(C3CCCCC3)CC2)[n-]1 ZINC001474572916 1062278411 /nfs/dbraw/zinc/27/84/11/1062278411.db2.gz LQHGBKIGVRTARB-UHFFFAOYSA-N 0 3 318.509 4.331 20 0 DIADHN Cc1cc(CN2CC[C@H]3[C@@H](C2)C3(F)F)ccc1C(F)(F)F ZINC001474572460 1062279361 /nfs/dbraw/zinc/27/93/61/1062279361.db2.gz VOTHDHKWOCWJRY-QWHCGFSZSA-N 0 3 305.290 4.101 20 0 DIADHN CC(C)[C@H]1N(Cc2c3c(nn2C)CCCC3)CC12CCCCC2 ZINC001474570983 1062279414 /nfs/dbraw/zinc/27/94/14/1062279414.db2.gz MJUULCQUCZHURU-LJQANCHMSA-N 0 3 315.505 4.090 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)CCN2Cc2ccc3nonc3c2)o1 ZINC001459658837 1062280909 /nfs/dbraw/zinc/28/09/09/1062280909.db2.gz QFDUAGGFEODVKM-YVEFUNNKSA-N 0 3 311.385 4.097 20 0 DIADHN C[C@@H](NC1C[C@@H]2CC(=O)C[C@H]2C1)c1c(Cl)cccc1Cl ZINC001254928711 1062280936 /nfs/dbraw/zinc/28/09/36/1062280936.db2.gz OEEVWYGHCIDSSP-GMTAPVOTSA-N 0 3 312.240 4.402 20 0 DIADHN C[C@H](NC1C[C@@H]2CC(=O)C[C@H]2C1)c1c(Cl)cccc1Cl ZINC001254937478 1062282876 /nfs/dbraw/zinc/28/28/76/1062282876.db2.gz OEEVWYGHCIDSSP-HBNTYKKESA-N 0 3 312.240 4.402 20 0 DIADHN O=C1C[C@@H]2CC(NC3c4ccccc4Oc4ccccc43)C[C@H]2C1 ZINC001254935191 1062283165 /nfs/dbraw/zinc/28/31/65/1062283165.db2.gz NDLGIEPNSPNWQS-KBPBESRZSA-N 0 3 319.404 4.229 20 0 DIADHN Cc1cc(CN2CC3(CCOCC3)[C@@H]2C(C)C)ccc1Cl ZINC001474580554 1062289708 /nfs/dbraw/zinc/28/97/08/1062289708.db2.gz BEAFVDZCDWBSOB-KRWDZBQOSA-N 0 3 307.865 4.285 20 0 DIADHN c1ccc(C(NC[C@H]2CC3(CCC3)CO2)c2ccccc2)cc1 ZINC001459672440 1062290233 /nfs/dbraw/zinc/29/02/33/1062290233.db2.gz UUEGIMRSSDWUCL-LJQANCHMSA-N 0 3 307.437 4.325 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@H]2C(C)C)cc1Cl ZINC001474582344 1062291638 /nfs/dbraw/zinc/29/16/38/1062291638.db2.gz IADJGNLUIBXLJW-QGZVFWFLSA-N 0 3 307.865 4.285 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(Cc2csc(CC)n2)CC1 ZINC001459682136 1062301434 /nfs/dbraw/zinc/30/14/34/1062301434.db2.gz QTILZMLENRFNBY-MRXNPFEDSA-N 0 3 323.550 4.040 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)C1Cc2ccccc2C1 ZINC001255026335 1062301173 /nfs/dbraw/zinc/30/11/73/1062301173.db2.gz UZIJSSRQGCGISI-FQEVSTJZSA-N 0 3 307.437 4.137 20 0 DIADHN COc1nc(CN2CC(C)(C)C[C@H]2c2ccccc2)ccc1C ZINC001474592474 1062303967 /nfs/dbraw/zinc/30/39/67/1062303967.db2.gz PEYGQQIDXYMGRU-SFHVURJKSA-N 0 3 310.441 4.372 20 0 DIADHN Cc1cc(C)nc(CN[C@@H]2C[C@@H](OCc3ccccc3)C2(C)C)c1 ZINC000723664421 1062304541 /nfs/dbraw/zinc/30/45/41/1062304541.db2.gz HUJHTLKIANNSSK-WOJBJXKFSA-N 0 3 324.468 4.172 20 0 DIADHN C[C@H](CCc1ccccc1F)N[C@H]1C[C@]1(F)c1ccccc1 ZINC001474592354 1062306189 /nfs/dbraw/zinc/30/61/89/1062306189.db2.gz XPGZNNCYOYTCEE-CCKFTAQKSA-N 0 3 301.380 4.374 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@H](OC3CCC3)C2)cc1F ZINC001474604338 1062318479 /nfs/dbraw/zinc/31/84/79/1062318479.db2.gz BMLIEIUQRCPTKY-OUMXJLHTSA-N 0 3 307.384 4.012 20 0 DIADHN CSC1(CN2CCC[C@H]3O[C@@H](c4ccccc4)C[C@H]32)CCC1 ZINC001474608127 1062322455 /nfs/dbraw/zinc/32/24/55/1062322455.db2.gz JUXPZCYLXPIFLA-KZNAEPCWSA-N 0 3 317.498 4.267 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001459707713 1062323982 /nfs/dbraw/zinc/32/39/82/1062323982.db2.gz FEIFXRMUGFDUKM-OCCSQVGLSA-N 0 3 311.372 4.409 20 0 DIADHN CC[C@H](C)[C@@H](CN1CCc2ccc(Cl)cc2[C@H](C)C1)OC ZINC001474611646 1062324167 /nfs/dbraw/zinc/32/41/67/1062324167.db2.gz NCHZKDOANIOCKC-PMUMKWKESA-N 0 3 309.881 4.363 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cc(C(=O)OC)ccc1F ZINC001459711905 1062328072 /nfs/dbraw/zinc/32/80/72/1062328072.db2.gz YMDKDIWFKICYDP-CXAGYDPISA-N 0 3 307.409 4.013 20 0 DIADHN CC[C@H]1CCC[C@H](CN(CC(=O)OC(C)(C)C)CC2CC2)C1 ZINC001474613257 1062330037 /nfs/dbraw/zinc/33/00/37/1062330037.db2.gz IDKXRIIFOAUBHI-RDJZCZTQSA-N 0 3 309.494 4.257 20 0 DIADHN C[C@H]1CN(CCc2ccc(C(C)(C)C)cc2)C2(CCC2)CO1 ZINC001474612476 1062330303 /nfs/dbraw/zinc/33/03/03/1062330303.db2.gz JJXUOSGWXHYZDG-INIZCTEOSA-N 0 3 301.474 4.170 20 0 DIADHN Cc1c(CN2CCC3(CCc4ccccc4C3)CC2)ccnc1F ZINC001474612630 1062331481 /nfs/dbraw/zinc/33/14/81/1062331481.db2.gz NQUOSUPVVOMGCE-UHFFFAOYSA-N 0 3 324.443 4.300 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)Cc1cccn1C1CC1 ZINC001474616216 1062333061 /nfs/dbraw/zinc/33/30/61/1062333061.db2.gz MGXNTXCKJWZLLX-OAHLLOKOSA-N 0 3 300.471 4.436 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C1CCCCCC1 ZINC001468499017 1062334092 /nfs/dbraw/zinc/33/40/92/1062334092.db2.gz XDKADBRCLXAEDJ-UHFFFAOYSA-N 0 3 303.450 4.013 20 0 DIADHN Cc1nc(C(C)(C)NCCCN(C)c2ccccc2)sc1C ZINC001459726861 1062340963 /nfs/dbraw/zinc/34/09/63/1062340963.db2.gz FZCPEMSJAORHAD-UHFFFAOYSA-N 0 3 317.502 4.111 20 0 DIADHN C[C@H]1CC(CNCc2ncc(C(F)(F)F)s2)C[C@H](C)C1 ZINC001474621135 1062343837 /nfs/dbraw/zinc/34/38/37/1062343837.db2.gz BFTOEANOIKXCSY-NXEZZACHSA-N 0 3 306.397 4.324 20 0 DIADHN C[C@@H]1CC(CNCc2ncc(C(F)(F)F)s2)C[C@@H](C)C1 ZINC001474621137 1062344452 /nfs/dbraw/zinc/34/44/52/1062344452.db2.gz BFTOEANOIKXCSY-UWVGGRQHSA-N 0 3 306.397 4.324 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc3ncsc3c2)ccc1F ZINC001255249507 1062364986 /nfs/dbraw/zinc/36/49/86/1062364986.db2.gz HDRFAXHIYWRWDH-LLVKDONJSA-N 0 3 316.401 4.295 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@@H](N2CCOc3ccc(O)cc3C2)C1 ZINC001474642490 1062366508 /nfs/dbraw/zinc/36/65/08/1062366508.db2.gz YHCGENFSTXUHMY-WIYYLYMNSA-N 0 3 323.436 4.097 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459753226 1062367557 /nfs/dbraw/zinc/36/75/57/1062367557.db2.gz AWOLLTBZBZECIQ-YJBOKZPZSA-N 0 3 315.436 4.412 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001468647293 1062369659 /nfs/dbraw/zinc/36/96/59/1062369659.db2.gz RYCWUDGOIRTOMW-QAPCUYQASA-N 0 3 322.468 4.151 20 0 DIADHN Brc1ccc([C@@H]2CCCN2[C@@H]2CCSC2)cc1 ZINC001255281701 1062377731 /nfs/dbraw/zinc/37/77/31/1062377731.db2.gz BLNYAGKZKUGGCV-KGLIPLIRSA-N 0 3 312.276 4.092 20 0 DIADHN CC[C@@]1(C)CCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001459769396 1062378202 /nfs/dbraw/zinc/37/82/02/1062378202.db2.gz LVLMYKLLPGVFBA-FQEVSTJZSA-N 0 3 310.441 4.283 20 0 DIADHN Clc1cccc([C@H]2CCN([C@@H]3CCSC3)C2)c1Cl ZINC001255290081 1062379669 /nfs/dbraw/zinc/37/96/69/1062379669.db2.gz WLXRMJLPHCLHSS-WDEREUQCSA-N 0 3 302.270 4.288 20 0 DIADHN Fc1c(Br)ccc(CN[C@H]2CCC23CCC3)c1F ZINC001474657011 1062388526 /nfs/dbraw/zinc/38/85/26/1062388526.db2.gz SHLCHTAPQLYXAA-NSHDSACASA-N 0 3 316.189 4.150 20 0 DIADHN Cc1nccnc1CN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC001459778114 1062393291 /nfs/dbraw/zinc/39/32/91/1062393291.db2.gz XJCAFGFXRXNASV-CVTTXWKISA-N 0 3 307.441 4.243 20 0 DIADHN CCOCCN1CCc2ccccc2[C@H](c2ccccc2)[C@H]1C ZINC001459784885 1062396582 /nfs/dbraw/zinc/39/65/82/1062396582.db2.gz GREQKLVEXDDKSJ-UTKZUKDTSA-N 0 3 309.453 4.102 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CN1C[C@@H]2CC=CC[C@@H]2C1 ZINC001474669583 1062400659 /nfs/dbraw/zinc/40/06/59/1062400659.db2.gz IZHQSBAGOXDSPM-TXEJJXNPSA-N 0 3 322.239 4.039 20 0 DIADHN CC(C)CC[C@@H](O)CN1CCC(=Cc2cccc(F)c2)CC1 ZINC001459791144 1062401476 /nfs/dbraw/zinc/40/14/76/1062401476.db2.gz IXJZFZGJWPJNAU-LJQANCHMSA-N 0 3 305.437 4.102 20 0 DIADHN O[C@@H](CC1CCCC1)CN1CCC(=Cc2cccc(F)c2)CC1 ZINC001459790541 1062402056 /nfs/dbraw/zinc/40/20/56/1062402056.db2.gz GLYYCYIQRJEACY-FQEVSTJZSA-N 0 3 317.448 4.246 20 0 DIADHN C[C@H](NCC[C@H](O)C1CCCC1)c1ccc(-c2ccccc2)cn1 ZINC001474675344 1062406186 /nfs/dbraw/zinc/40/61/86/1062406186.db2.gz OBVXNALDSKGSCR-KKSFZXQISA-N 0 3 324.468 4.340 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](c1nc2ccccc2[nH]1)C1CCCCC1 ZINC001474676819 1062408048 /nfs/dbraw/zinc/40/80/48/1062408048.db2.gz WLUCEPDQYRALNV-PMUMKWKESA-N 0 3 315.461 4.197 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1N[C@H]1Cc2ccc(Cl)cc2C1 ZINC001474680373 1062410956 /nfs/dbraw/zinc/41/09/56/1062410956.db2.gz WDFORMDPADUZCH-UHOSZYNNSA-N 0 3 315.819 4.422 20 0 DIADHN Cc1ccc(C2=NO[C@H](CNCc3ccccc3C(C)C)C2)cc1 ZINC001474692373 1062421153 /nfs/dbraw/zinc/42/11/53/1062421153.db2.gz DEFWEJPPHZZCTQ-IBGZPJMESA-N 0 3 322.452 4.401 20 0 DIADHN Cc1ccc(C2=NO[C@H](CNCc3cccc(C4CC4)c3)C2)cc1 ZINC001474693565 1062421789 /nfs/dbraw/zinc/42/17/89/1062421789.db2.gz SXZUFYXIYVMEGN-FQEVSTJZSA-N 0 3 320.436 4.155 20 0 DIADHN C[C@@H]1CN(Cc2cnc3c(F)cccc3c2)Cc2ccccc21 ZINC001474697045 1062423452 /nfs/dbraw/zinc/42/34/52/1062423452.db2.gz RHEVNJXUKMMMJR-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN C[C@H](CCN1CCS[C@H](C(F)(F)F)C1)c1ccccc1 ZINC001474708984 1062436542 /nfs/dbraw/zinc/43/65/42/1062436542.db2.gz CHFYWKNGNYIRQQ-OCCSQVGLSA-N 0 3 303.393 4.160 20 0 DIADHN Cc1scc(CN2CCC(Oc3ccccc3)CC2)c1C ZINC001474709675 1062436714 /nfs/dbraw/zinc/43/67/14/1062436714.db2.gz CLTCHRAOPHMGPY-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN C[C@@H](CCN1CCS[C@H](C(F)(F)F)C1)c1ccccc1 ZINC001474708983 1062437610 /nfs/dbraw/zinc/43/76/10/1062437610.db2.gz CHFYWKNGNYIRQQ-JSGCOSHPSA-N 0 3 303.393 4.160 20 0 DIADHN C[C@H](CN1CCN(CC(F)(F)F)CC1(C)C)C1CCCCC1 ZINC001459837463 1062438153 /nfs/dbraw/zinc/43/81/53/1062438153.db2.gz SPJVONQOBHRMPF-CQSZACIVSA-N 0 3 320.443 4.161 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@@H](Cc3nccs3)C2)cc1 ZINC001474714448 1062440725 /nfs/dbraw/zinc/44/07/25/1062440725.db2.gz UWTWXDACOBNTPX-SWQCVOCJSA-N 0 3 316.445 4.250 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H](c1ncccn1)C1CC1 ZINC001474718289 1062441890 /nfs/dbraw/zinc/44/18/90/1062441890.db2.gz ZOUJGHBIJNHTJM-OALUTQOASA-N 0 3 309.457 4.319 20 0 DIADHN CC[C@H](NC[C@H](O)[C@@H](C)c1ccccc1)c1ccc(F)cc1F ZINC001459844623 1062444266 /nfs/dbraw/zinc/44/42/66/1062444266.db2.gz OAPATBOWZVITTN-AGRHKRQWSA-N 0 3 319.395 4.170 20 0 DIADHN CCOC(=O)/C=C/CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC001459845786 1062444399 /nfs/dbraw/zinc/44/43/99/1062444399.db2.gz VAOQQJBVBBCSFY-PCLFDXGNSA-N 0 3 323.436 4.069 20 0 DIADHN CCOC(=O)/C=C\CN[C@H](CCc1ccccc1)c1ccccc1 ZINC001459845784 1062444466 /nfs/dbraw/zinc/44/44/66/1062444466.db2.gz VAOQQJBVBBCSFY-KRBJHKROSA-N 0 3 323.436 4.069 20 0 DIADHN CC[C@H](NC[C@@H](O)[C@@H](C)c1ccccc1)c1ccc(F)cc1F ZINC001459844626 1062444615 /nfs/dbraw/zinc/44/46/15/1062444615.db2.gz OAPATBOWZVITTN-FASAQXTFSA-N 0 3 319.395 4.170 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCCSC(F)(F)F ZINC001459849511 1062447423 /nfs/dbraw/zinc/44/74/23/1062447423.db2.gz WESCMHPIEMGAFS-NSHDSACASA-N 0 3 307.381 4.377 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCCSC(F)(F)F ZINC001459849506 1062448012 /nfs/dbraw/zinc/44/80/12/1062448012.db2.gz WESCMHPIEMGAFS-LLVKDONJSA-N 0 3 307.381 4.377 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1cccc(COC)c1 ZINC001459853616 1062448938 /nfs/dbraw/zinc/44/89/38/1062448938.db2.gz QCYUPYBSBWMSNL-IBGZPJMESA-N 0 3 313.441 4.473 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1cc(C)cc(C)c1)c1ccccc1 ZINC001459849910 1062450393 /nfs/dbraw/zinc/45/03/93/1062450393.db2.gz LVVNHHUQEAWHAC-HXUWFJFHSA-N 0 3 310.441 4.157 20 0 DIADHN COC1CCC(n2c3ccccc3nc2[C@H](N)CC(C)C)CC1 ZINC001255451194 1062452294 /nfs/dbraw/zinc/45/22/94/1062452294.db2.gz KAEQPKPVJMGRRO-UYSNPLJNSA-N 0 3 315.461 4.212 20 0 DIADHN CC(C)=C[C@@H]1[C@H](C(=O)Nc2ccc(CN(C)C)cc2F)C1(C)C ZINC001468970439 1062454166 /nfs/dbraw/zinc/45/41/66/1062454166.db2.gz NHMLDLQKTBNZAT-RHSMWYFYSA-N 0 3 318.436 4.064 20 0 DIADHN COC1CCC(N2CCc3cccc(C(F)(F)F)c3C2)CC1 ZINC001255465171 1062455793 /nfs/dbraw/zinc/45/57/93/1062455793.db2.gz VPHDALDHZRCORF-UHFFFAOYSA-N 0 3 313.363 4.021 20 0 DIADHN COC1CCC(N2CCc3c(cccc3C(F)(F)F)C2)CC1 ZINC001255463845 1062455972 /nfs/dbraw/zinc/45/59/72/1062455972.db2.gz SYKKURDDSGGUMG-UHFFFAOYSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC(Cc2ccoc2)C1 ZINC001474740294 1062456659 /nfs/dbraw/zinc/45/66/59/1062456659.db2.gz LNCGQBGCAPFFGF-UHFFFAOYSA-N 0 3 309.331 4.281 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2ccc3c(c2)CCC3)cc1C ZINC001459862565 1062458136 /nfs/dbraw/zinc/45/81/36/1062458136.db2.gz DPPOBJKQWADBOJ-MRXNPFEDSA-N 0 3 322.452 4.081 20 0 DIADHN COc1ccc(C(=O)CNC2CCC(C(C)(C)C)CC2)cc1 ZINC001255493787 1062458499 /nfs/dbraw/zinc/45/84/99/1062458499.db2.gz FTMRQHWFKCPDIT-UHFFFAOYSA-N 0 3 303.446 4.072 20 0 DIADHN FC1(F)CC[C@@H](CCNCc2cncc(Cl)c2Cl)C1 ZINC001474743745 1062460390 /nfs/dbraw/zinc/46/03/90/1062460390.db2.gz ULMSYKLNUAEVAR-VIFPVBQESA-N 0 3 309.187 4.304 20 0 DIADHN CCN1C[C@H](C)N(Cc2cc(Cl)cc(Cl)c2F)C[C@@H]1C ZINC001459872677 1062462487 /nfs/dbraw/zinc/46/24/87/1062462487.db2.gz GWGJNWGNEKAEGI-QWRGUYRKSA-N 0 3 319.251 4.047 20 0 DIADHN C[C@@H](NCc1cccc(C(=O)C(F)(F)F)c1)c1ccccc1 ZINC001459873550 1062463163 /nfs/dbraw/zinc/46/31/63/1062463163.db2.gz KRWGBPWZCVFLFV-GFCCVEGCSA-N 0 3 307.315 4.282 20 0 DIADHN CC(C)(C)C1CCC(N2CCc3cnc(C4CC4)nc3C2)CC1 ZINC001255505773 1062465372 /nfs/dbraw/zinc/46/53/72/1062465372.db2.gz OBKGKBIPXGZTQR-UHFFFAOYSA-N 0 3 313.489 4.317 20 0 DIADHN COC[C@@H](NC/C=C\c1ccc(Cl)cc1)c1ccccc1 ZINC001459878407 1062466181 /nfs/dbraw/zinc/46/61/81/1062466181.db2.gz PCXOUYZHKUSRJR-OOFWQKGWSA-N 0 3 301.817 4.331 20 0 DIADHN CCc1nc([C@H](C)NCC[C@@H]2CCc3ccccc32)cs1 ZINC001459900251 1062484071 /nfs/dbraw/zinc/48/40/71/1062484071.db2.gz JQASJFZPHSEJJB-ZFWWWQNUSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](c1ccncc1)N(C)C[C@H]1CCC2(CCCCCC2)O1 ZINC001459902603 1062485767 /nfs/dbraw/zinc/48/57/67/1062485767.db2.gz SDWUQMAMUAWLKI-FUHWJXTLSA-N 0 3 302.462 4.346 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C)s1)C(=O)Nc1ccc(C)cc1 ZINC001459905088 1062487380 /nfs/dbraw/zinc/48/73/80/1062487380.db2.gz IQNGZBSBBNSXML-ZBFHGGJFSA-N 0 3 316.470 4.433 20 0 DIADHN COC[C@@H](NC[C@@H](C)CSc1ccccc1C)c1ccco1 ZINC001459923104 1062497838 /nfs/dbraw/zinc/49/78/38/1062497838.db2.gz GYPKPTCZNALOBV-GDBMZVCRSA-N 0 3 319.470 4.294 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC[C@@H](OCc2ccccc2)C1 ZINC001255654287 1062498600 /nfs/dbraw/zinc/49/86/00/1062498600.db2.gz BDPVASSESAOBMR-DZGCQCFKSA-N 0 3 301.352 4.009 20 0 DIADHN CN(C)C[C@@H](NC(=O)OC1CCCCC1)c1ccc(Cl)cc1 ZINC001475237887 1062501958 /nfs/dbraw/zinc/50/19/58/1062501958.db2.gz ONXXQQNAWVLFAO-MRXNPFEDSA-N 0 3 324.852 4.002 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN([C@H](C)CC(F)(F)F)C1 ZINC001255658278 1062501796 /nfs/dbraw/zinc/50/17/96/1062501796.db2.gz PMYWQJNOGOEXPJ-ZIAGYGMSSA-N 0 3 301.352 4.179 20 0 DIADHN C[C@H](CC(F)(F)F)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001255656687 1062502504 /nfs/dbraw/zinc/50/25/04/1062502504.db2.gz ZNQHQHCFJBFCBJ-YPMHNXCESA-N 0 3 311.351 4.364 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC2(CCc3ccccc3O2)CC1 ZINC001255661807 1062507406 /nfs/dbraw/zinc/50/74/06/1062507406.db2.gz PGUBQCYOLAKITQ-ZDUSSCGKSA-N 0 3 313.363 4.187 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCOCC1CCCC1)[C@H]2C ZINC001459933425 1062508972 /nfs/dbraw/zinc/50/89/72/1062508972.db2.gz RKBUKQMPOPNASZ-HOTGVXAUSA-N 0 3 317.473 4.210 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C(CC)CC)c1ccc(Cl)cc1 ZINC001459946105 1062516495 /nfs/dbraw/zinc/51/64/95/1062516495.db2.gz NGIJBLITRLUQAY-KRWDZBQOSA-N 0 3 324.896 4.275 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001459949040 1062517418 /nfs/dbraw/zinc/51/74/18/1062517418.db2.gz CBRXSBOAZGVSGQ-QAPCUYQASA-N 0 3 316.489 4.433 20 0 DIADHN O=C(CN[C@@H]1CCC[C@H](C(F)(F)F)C1)c1ccc(Cl)cc1 ZINC001255688829 1062518673 /nfs/dbraw/zinc/51/86/73/1062518673.db2.gz FDUMTENCWADRHK-WCQYABFASA-N 0 3 319.754 4.233 20 0 DIADHN COc1ccnc(CN2CCCC3(CCCCC3)CC2)c1OC ZINC001459953758 1062523274 /nfs/dbraw/zinc/52/32/74/1062523274.db2.gz HWXRHEQHNKWBPO-UHFFFAOYSA-N 0 3 318.461 4.035 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cnc(Cl)s1 ZINC001255723407 1062542048 /nfs/dbraw/zinc/54/20/48/1062542048.db2.gz HYRSZKIIZIQNTD-SECBINFHSA-N 0 3 316.854 4.206 20 0 DIADHN CO[C@@H]1CN(Cc2cccc(SC(F)(F)F)c2)CC[C@@H]1C ZINC001459959518 1062527884 /nfs/dbraw/zinc/52/78/84/1062527884.db2.gz DEXBMRVDKBJKLE-SMDDNHRTSA-N 0 3 319.392 4.155 20 0 DIADHN COc1ccc2c(c1)CN([C@@H]1CCC[C@@H](C(F)(F)F)C1)CC2 ZINC001255704390 1062531183 /nfs/dbraw/zinc/53/11/83/1062531183.db2.gz FHINAIDIYQOHJZ-HUUCEWRRSA-N 0 3 313.363 4.174 20 0 DIADHN COc1ccc2c(c1)CCN([C@@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255709110 1062532728 /nfs/dbraw/zinc/53/27/28/1062532728.db2.gz PMNMXFIUMLUULB-LSDHHAIUSA-N 0 3 313.363 4.174 20 0 DIADHN CCCCCCN1CCN([C@@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC001255714883 1062537706 /nfs/dbraw/zinc/53/77/06/1062537706.db2.gz UDNXSHNQYZFNCZ-JKSUJKDBSA-N 0 3 320.443 4.305 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001459983301 1062544512 /nfs/dbraw/zinc/54/45/12/1062544512.db2.gz CNNNREZHWONYMX-LSDHHAIUSA-N 0 3 310.869 4.117 20 0 DIADHN CN(CCC(C)(C)C)C(=O)c1cccc(CN2CCCCC2)c1 ZINC001469406269 1062545947 /nfs/dbraw/zinc/54/59/47/1062545947.db2.gz RIKMTIFPHZJWDH-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1nc(-c2ccccc2F)no1 ZINC001459984615 1062547621 /nfs/dbraw/zinc/54/76/21/1062547621.db2.gz DRDCANLOKRBWBZ-SWLSCSKDSA-N 0 3 317.408 4.180 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCCCC2(C)C)co1 ZINC001459985645 1062548876 /nfs/dbraw/zinc/54/88/76/1062548876.db2.gz GWBZYYOGBQBSLF-QGZVFWFLSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@@H]1COc2ccc(F)cc21 ZINC001255729199 1062550073 /nfs/dbraw/zinc/55/00/73/1062550073.db2.gz FXWWMLVJYHTSHE-BDJLRTHQSA-N 0 3 321.392 4.169 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1ccncc1Cl ZINC001255735731 1062555965 /nfs/dbraw/zinc/55/59/65/1062555965.db2.gz MIHZPMHABGYATG-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN COc1ccc(F)c(CN[C@H](C)CSc2ccc(F)cc2)c1 ZINC001255735634 1062556231 /nfs/dbraw/zinc/55/62/31/1062556231.db2.gz KBSKTAVVIRIPHY-GFCCVEGCSA-N 0 3 323.408 4.244 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CCC(F)(F)[C@H](C)C1 ZINC001255739441 1062565311 /nfs/dbraw/zinc/56/53/11/1062565311.db2.gz XKMLLLKMRDRASO-VXGBXAGGSA-N 0 3 303.393 4.283 20 0 DIADHN CCCCCN1CCN([C@@H](C)CSc2ccc(F)cc2)CC1 ZINC001255745357 1062571197 /nfs/dbraw/zinc/57/11/97/1062571197.db2.gz TZNIRMSDFXJAIP-INIZCTEOSA-N 0 3 324.509 4.114 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cccc(Cl)n2)cc1 ZINC001255753237 1062576209 /nfs/dbraw/zinc/57/62/09/1062576209.db2.gz QFDILDLJFVKPET-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccc(SC[C@H](C)n2nc(CN)c3ccccc32)cc1 ZINC001255753826 1062576490 /nfs/dbraw/zinc/57/64/90/1062576490.db2.gz YCAUXSKRCYPTOV-AWEZNQCLSA-N 0 3 311.454 4.157 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2noc3ccccc23)cc1 ZINC001255756751 1062579007 /nfs/dbraw/zinc/57/90/07/1062579007.db2.gz SYVJQNYERZOPID-AWEZNQCLSA-N 0 3 312.438 4.407 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(CC(=O)Nc3cccc(C)c3)C2)cc1 ZINC001460030663 1062585762 /nfs/dbraw/zinc/58/57/62/1062585762.db2.gz PXABYJOZMGKWIJ-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(CC(=O)Nc3cccc(C)c3)C2)cc1 ZINC001460030750 1062586712 /nfs/dbraw/zinc/58/67/12/1062586712.db2.gz PXABYJOZMGKWIJ-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CCc3cc(O)ccc3C2)cc1 ZINC001255766736 1062587262 /nfs/dbraw/zinc/58/72/62/1062587262.db2.gz WDOZEDASIMKSHM-OAHLLOKOSA-N 0 3 313.466 4.240 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2cnc(Cl)cc2Cl)cc1 ZINC001255778156 1062600533 /nfs/dbraw/zinc/60/05/33/1062600533.db2.gz SPRKHVOCZWZEMB-GFCCVEGCSA-N 0 3 309.240 4.418 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@@H]2CCOc3cc(F)cc(F)c32)cc1 ZINC001255779748 1062602919 /nfs/dbraw/zinc/60/29/19/1062602919.db2.gz IGTBHQZPKSEDSJ-SUMWQHHRSA-N 0 3 317.379 4.318 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC001460050953 1062603739 /nfs/dbraw/zinc/60/37/39/1062603739.db2.gz OLTCWLCEEWEDDL-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@H](CO)c2cccc(Cl)c2F)cc1 ZINC001255786542 1062609478 /nfs/dbraw/zinc/60/94/78/1062609478.db2.gz YKMQHRNOBBHOSM-CXAGYDPISA-N 0 3 321.823 4.042 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2c(F)ccc(C)c2F)C12CCCCC2 ZINC001460072814 1062618854 /nfs/dbraw/zinc/61/88/54/1062618854.db2.gz ZQYIMAJTGSAYSB-SJORKVTESA-N 0 3 323.427 4.491 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1cc(F)c(F)cc1Cl ZINC001255835937 1062621388 /nfs/dbraw/zinc/62/13/88/1062621388.db2.gz IOFBRXKGKPSJHX-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1COc2ccc(Cl)cc21 ZINC001255838288 1062623633 /nfs/dbraw/zinc/62/36/33/1062623633.db2.gz NSYRQGYZNHBCNE-MEDUHNTESA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1ccc(C(F)(F)F)o1 ZINC001255837858 1062624242 /nfs/dbraw/zinc/62/42/42/1062624242.db2.gz IXZXQYCUBZRHHE-SNVBAGLBSA-N 0 3 301.283 4.158 20 0 DIADHN c1coc(C2=CCCN(Cc3ccc(-c4ccccn4)nc3)C2)c1 ZINC001460086134 1062625999 /nfs/dbraw/zinc/62/59/99/1062625999.db2.gz MFHITOFVAVYCRZ-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN COc1cccc(CN2CCC(CC(F)(F)F)CC2)c1C ZINC001460087012 1062629353 /nfs/dbraw/zinc/62/93/53/1062629353.db2.gz WRWCAEWFKKZHOT-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN Fc1ccc(-c2noc(CN3CCCCCCCC3)n2)cc1F ZINC001460109878 1062642741 /nfs/dbraw/zinc/64/27/41/1062642741.db2.gz MNMBQBHYMDVKHE-UHFFFAOYSA-N 0 3 321.371 4.171 20 0 DIADHN Clc1cnc(CNC2CCCCCC2)c(Br)c1 ZINC001255883619 1062654021 /nfs/dbraw/zinc/65/40/21/1062654021.db2.gz CKPLNQWUNBKNNF-UHFFFAOYSA-N 0 3 317.658 4.310 20 0 DIADHN O=C(CNC1CCCCCC1)c1ccc(Cl)c(Cl)c1 ZINC001255884165 1062654669 /nfs/dbraw/zinc/65/46/69/1062654669.db2.gz VNDDQIHQHZFBRC-UHFFFAOYSA-N 0 3 300.229 4.489 20 0 DIADHN COc1ccc(-c2nc(CNC3CCCCCC3)co2)cc1 ZINC001255882908 1062655235 /nfs/dbraw/zinc/65/52/35/1062655235.db2.gz CYQWSCJNVJXJEN-UHFFFAOYSA-N 0 3 300.402 4.163 20 0 DIADHN CC[C@@H]1CN(CC)CCN1Cc1cc(Cl)cc(Cl)c1F ZINC001460142955 1062659941 /nfs/dbraw/zinc/65/99/41/1062659941.db2.gz JYPOFRCERMNNSS-CYBMUJFWSA-N 0 3 319.251 4.049 20 0 DIADHN CCc1nocc1CN(C)CCCCc1cccc(Cl)c1 ZINC001460146070 1062663038 /nfs/dbraw/zinc/66/30/38/1062663038.db2.gz JWADPSVXQJRUFW-UHFFFAOYSA-N 0 3 306.837 4.345 20 0 DIADHN COC/C(C)=C/CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC001460145968 1062664274 /nfs/dbraw/zinc/66/42/74/1062664274.db2.gz VSUPIBBKJFXJKW-MSXUNZPYSA-N 0 3 311.878 4.099 20 0 DIADHN COc1cc2c(cc1Cl)CN(CC13CCC(CC1)C3)CC2 ZINC001460177878 1062681744 /nfs/dbraw/zinc/68/17/44/1062681744.db2.gz BOMDGPOVLGPIAU-UHFFFAOYSA-N 0 3 305.849 4.287 20 0 DIADHN c1ccc2cc(-c3nnc([C@@H]4CCCN4CC4CC4)o3)ccc2c1 ZINC001256014800 1062683604 /nfs/dbraw/zinc/68/36/04/1062683604.db2.gz GJYVSOMUPHRUCP-SFHVURJKSA-N 0 3 319.408 4.437 20 0 DIADHN CN(Cc1nc2cc(C(F)(F)F)ccc2o1)C1CC(C)(C)C1 ZINC001460208946 1062699002 /nfs/dbraw/zinc/69/90/02/1062699002.db2.gz BNOAVORPFYTYJP-UHFFFAOYSA-N 0 3 312.335 4.467 20 0 DIADHN CCC[C@@H](N[C@H](C)c1nc(-c2cccs2)no1)C1CCC1 ZINC001460224574 1062707949 /nfs/dbraw/zinc/70/79/49/1062707949.db2.gz NGRMIHGDFAAHCG-DGCLKSJQSA-N 0 3 305.447 4.418 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2ccsc2Cl)C1(C)C ZINC001460225621 1062708975 /nfs/dbraw/zinc/70/89/75/1062708975.db2.gz GPNOUYLPLSZSID-NWDGAFQWSA-N 0 3 301.883 4.473 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@H](C2CCC2)C1 ZINC001460238395 1062718326 /nfs/dbraw/zinc/71/83/26/1062718326.db2.gz DGAJFEWMKNHGCA-ZFWWWQNUSA-N 0 3 320.864 4.097 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1CCC(=O)OC(C)(C)C ZINC001460240753 1062721543 /nfs/dbraw/zinc/72/15/43/1062721543.db2.gz UTJCXUGFTNRWID-MRXNPFEDSA-N 0 3 307.409 4.003 20 0 DIADHN COc1cccc(C[C@@H](C)NCc2cc(-c3ccccc3)on2)c1 ZINC001256149146 1062728522 /nfs/dbraw/zinc/72/85/22/1062728522.db2.gz LVUGRIYRXSGXKI-OAHLLOKOSA-N 0 3 322.408 4.071 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2c(F)cccc2OC)c1 ZINC001256152510 1062731589 /nfs/dbraw/zinc/73/15/89/1062731589.db2.gz VRHYKQYDIFYLJN-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CC[C@@H](CC(C)(C)C)C1 ZINC001460257612 1062735246 /nfs/dbraw/zinc/73/52/46/1062735246.db2.gz VLDMOQBVQVLFHO-SJORKVTESA-N 0 3 316.489 4.389 20 0 DIADHN CC1(C)[C@@H](c2ccccc2)CCN1Cc1nc2ccccc2[nH]1 ZINC001460258955 1062738103 /nfs/dbraw/zinc/73/81/03/1062738103.db2.gz RZTRVTVAAQTRQB-MRXNPFEDSA-N 0 3 305.425 4.331 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CC[C@H](C2CCCC2)C1 ZINC001460265364 1062742561 /nfs/dbraw/zinc/74/25/61/1062742561.db2.gz MFWAERCVWKZLKQ-AWEZNQCLSA-N 0 3 317.864 4.239 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)NCOc1ccccc1 ZINC001256181965 1062743305 /nfs/dbraw/zinc/74/33/05/1062743305.db2.gz ZNTZLRHGAHXVHA-CYBMUJFWSA-N 0 3 320.230 4.006 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC[C@H](CF)C1 ZINC001256185899 1062746470 /nfs/dbraw/zinc/74/64/70/1062746470.db2.gz CSGRHGGUOZVPOM-TZMCWYRMSA-N 0 3 314.242 4.062 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CC[C@@H](C2CCCC2)C1 ZINC001460267471 1062747276 /nfs/dbraw/zinc/74/72/76/1062747276.db2.gz WHIUZBZOFDYFIE-OAHLLOKOSA-N 0 3 320.864 4.099 20 0 DIADHN CCc1ccc(CN(Cc2cnn(CCF)c2)CC(C)C)cc1 ZINC001460277126 1062750884 /nfs/dbraw/zinc/75/08/84/1062750884.db2.gz HDTDRIWXWGBGMB-UHFFFAOYSA-N 0 3 317.452 4.073 20 0 DIADHN C[C@@H](CCc1ccc(Br)cc1)N1CCC[C@@H](F)C1 ZINC001256227468 1062753521 /nfs/dbraw/zinc/75/35/21/1062753521.db2.gz VRTHYWPRNWQXAC-SWLSCSKDSA-N 0 3 314.242 4.204 20 0 DIADHN CC[C@@H](NC(=O)CN(C)CCC(C)(C)C)c1ccc(Cl)cc1 ZINC001460293769 1062765446 /nfs/dbraw/zinc/76/54/46/1062765446.db2.gz GWGFQIFNSFXFHL-MRXNPFEDSA-N 0 3 324.896 4.275 20 0 DIADHN Cc1cc(CN2C[C@H](C)OC3(CCCCC3)C2)c2ccccc2n1 ZINC001460318592 1062777559 /nfs/dbraw/zinc/77/75/59/1062777559.db2.gz RSGHBQFRXJFQRP-KRWDZBQOSA-N 0 3 324.468 4.467 20 0 DIADHN CO[C@@H](CN1CCc2ccc(F)cc2[C@H](C)C1)C1CCCCC1 ZINC001460330113 1062786671 /nfs/dbraw/zinc/78/66/71/1062786671.db2.gz AWUAHQZWJKCBMF-QRWLVFNGSA-N 0 3 319.464 4.383 20 0 DIADHN CC[C@@H]1CN(C[C@H](C)CSc2ccccc2C)[C@H](C)CO1 ZINC001460341209 1062795390 /nfs/dbraw/zinc/79/53/90/1062795390.db2.gz RAVUDDVCKKXQNS-USXIJHARSA-N 0 3 307.503 4.223 20 0 DIADHN C[C@@H](Cc1ccccn1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001256402364 1062807646 /nfs/dbraw/zinc/80/76/46/1062807646.db2.gz HTEKKGHTYUAZGL-YJBOKZPZSA-N 0 3 323.465 4.043 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@@H]1c1c(F)cccc1F ZINC001256427005 1062818201 /nfs/dbraw/zinc/81/82/01/1062818201.db2.gz UFURMQQXIGFPGW-SUMWQHHRSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](N[C@@H]1CCCC12CCCCC2)c1cn(-c2ccccc2)nn1 ZINC001460416440 1062843626 /nfs/dbraw/zinc/84/36/26/1062843626.db2.gz MLPNFFUJHDCJIV-QFBILLFUSA-N 0 3 324.472 4.421 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001460481163 1062874411 /nfs/dbraw/zinc/87/44/11/1062874411.db2.gz IUJNZVBUUSCCMM-DZGCQCFKSA-N 0 3 319.399 4.304 20 0 DIADHN FCc1ccccc1CN1CCC(Oc2cccc(F)c2)CC1 ZINC001460490393 1062881725 /nfs/dbraw/zinc/88/17/25/1062881725.db2.gz LMRTZNKZJBMWPU-UHFFFAOYSA-N 0 3 317.379 4.339 20 0 DIADHN c1nnn(-c2ccccc2)c1CN1CCC[C@@H](C2CCCCC2)C1 ZINC001460508417 1062888449 /nfs/dbraw/zinc/88/84/49/1062888449.db2.gz DFZDZETWRAHWJJ-GOSISDBHSA-N 0 3 324.472 4.060 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CC1CCC(C)(C)CC1 ZINC001460515148 1062894193 /nfs/dbraw/zinc/89/41/93/1062894193.db2.gz KSLAHNIYFMXTPD-UHFFFAOYSA-N 0 3 304.478 4.355 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(CCc2cccnc2)CC1 ZINC001460582370 1062921405 /nfs/dbraw/zinc/92/14/05/1062921405.db2.gz QLUOCPKQIPPREO-UHFFFAOYSA-N 0 3 312.844 4.375 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(C)C[C@H](C)C1CCCCC1 ZINC001460600349 1062927714 /nfs/dbraw/zinc/92/77/14/1062927714.db2.gz RLIJBMHLUSJZKH-IRXDYDNUSA-N 0 3 310.526 4.028 20 0 DIADHN CC(=O)Nc1cccc2c1CN(CC/C=C/c1ccccc1)CC2 ZINC001460618640 1062938200 /nfs/dbraw/zinc/93/82/00/1062938200.db2.gz JWYPVFYKJRXQHR-BJMVGYQFSA-N 0 3 320.436 4.107 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1cc(F)c(Cl)cc1Cl ZINC001256715618 1062950623 /nfs/dbraw/zinc/95/06/23/1062950623.db2.gz DWIYZWCHZZOFMR-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2ccc(Cl)c(F)c2)o1 ZINC001460636425 1062953887 /nfs/dbraw/zinc/95/38/87/1062953887.db2.gz JCSSBDGOAIPBFJ-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN CC1(C)[C@@H](c2ccccc2F)CCN1Cc1ccncc1F ZINC001460645420 1062956649 /nfs/dbraw/zinc/95/66/49/1062956649.db2.gz SLJCVAYVLBREBU-OAHLLOKOSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@H]1c1cc(F)ccc1F ZINC001256744193 1062958591 /nfs/dbraw/zinc/95/85/91/1062958591.db2.gz ZGAPNCPFFXYROL-ACJLOTCBSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@@H]1c1cc(F)ccc1F ZINC001256744197 1062958844 /nfs/dbraw/zinc/95/88/44/1062958844.db2.gz ZGAPNCPFFXYROL-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@H]1c1c(F)cccc1F ZINC001256746741 1062964804 /nfs/dbraw/zinc/96/48/04/1062964804.db2.gz AHWGARMITHAYCB-GUYCJALGSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@H]1c1ccc(F)cc1F ZINC001256747934 1062964892 /nfs/dbraw/zinc/96/48/92/1062964892.db2.gz JWIIPUWVQZRHGV-ACJLOTCBSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001256755086 1062967464 /nfs/dbraw/zinc/96/74/64/1062967464.db2.gz SIXVCIJBEIEFLF-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)s1)[C@@]12C[C@@H]1CCCC2 ZINC001460662002 1062968008 /nfs/dbraw/zinc/96/80/08/1062968008.db2.gz BPVWZGNSHKYRRT-DHSIGJKJSA-N 0 3 313.470 4.264 20 0 DIADHN C[C@@H](c1ccc(C(C)(C)C)cc1)N1CCc2nccc(N)c2C1 ZINC001460663423 1062969711 /nfs/dbraw/zinc/96/97/11/1062969711.db2.gz MCXFYQPFLIQPII-AWEZNQCLSA-N 0 3 309.457 4.081 20 0 DIADHN CC[C@@H](CC(=O)N(CC(C)C)C1CCN(C)CC1)C(C)(C)C ZINC001460663780 1062970127 /nfs/dbraw/zinc/97/01/27/1062970127.db2.gz BUPQTBYDUWVOIM-INIZCTEOSA-N 0 3 310.526 4.028 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCO[C@H](c3ccccc3)C2)c1F ZINC001256783849 1062974562 /nfs/dbraw/zinc/97/45/62/1062974562.db2.gz DTIYUCUPXPHHEQ-YJBOKZPZSA-N 0 3 317.379 4.283 20 0 DIADHN CCN(Cc1ccc(Cl)c(F)c1)[C@H](CO)c1ccccc1 ZINC001460689760 1062989327 /nfs/dbraw/zinc/98/93/27/1062989327.db2.gz KCFQYTOCVLCVIZ-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN CCc1ccc(CN2CCN(Cc3cc(C)ccc3C)CC2)cc1 ZINC001460704901 1063000265 /nfs/dbraw/zinc/00/02/65/1063000265.db2.gz XPAMYHJUJTYKFU-UHFFFAOYSA-N 0 3 322.496 4.184 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256832485 1063006113 /nfs/dbraw/zinc/00/61/13/1063006113.db2.gz ZRIPEAJIJQYPEC-FUHWJXTLSA-N 0 3 317.379 4.317 20 0 DIADHN c1csc([C@H]2CCCN2[C@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC001256825317 1063006548 /nfs/dbraw/zinc/00/65/48/1063006548.db2.gz QSOLNAKFJZZMKZ-BBWFWOEESA-N 0 3 314.454 4.200 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCO[C@@H](C(F)(F)F)CC1 ZINC001460719689 1063008537 /nfs/dbraw/zinc/00/85/37/1063008537.db2.gz SHHYSGPMIIIPOL-QGZVFWFLSA-N 0 3 323.358 4.301 20 0 DIADHN C[C@@H]1c2sccc2CCN1Cc1ccc(-n2cccn2)cc1 ZINC001460717580 1063008610 /nfs/dbraw/zinc/00/86/10/1063008610.db2.gz DJFAURJVGSWOKI-CQSZACIVSA-N 0 3 309.438 4.053 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CCCC(C)(C)C2)o1 ZINC001460721880 1063013135 /nfs/dbraw/zinc/01/31/35/1063013135.db2.gz DLYBAICPVDFJPD-CQSZACIVSA-N 0 3 307.434 4.247 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1CCN1CCCc2ccccc21 ZINC001460721440 1063015076 /nfs/dbraw/zinc/01/50/76/1063015076.db2.gz AYQMBKYLSRAJTA-MRXNPFEDSA-N 0 3 324.443 4.198 20 0 DIADHN C[C@@H]1CN(CC/C=C/c2ccccc2)CC[C@H](C(F)(F)F)O1 ZINC001460725799 1063018365 /nfs/dbraw/zinc/01/83/65/1063018365.db2.gz JISIUBGNYOFOOP-CCSFHNQDSA-N 0 3 313.363 4.132 20 0 DIADHN C[C@@H]1CN(CC/C=C/c2ccccc2)CC[C@@H](C(F)(F)F)O1 ZINC001460725802 1063018714 /nfs/dbraw/zinc/01/87/14/1063018714.db2.gz JISIUBGNYOFOOP-VUNQMSRZSA-N 0 3 313.363 4.132 20 0 DIADHN CC1(C)[C@H](c2cccc(Cl)c2)CCN1CCOCC(F)F ZINC001460729143 1063020062 /nfs/dbraw/zinc/02/00/62/1063020062.db2.gz MIPXDKIFTMXVDZ-AWEZNQCLSA-N 0 3 317.807 4.190 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N[C@H](CC)c1cccc2ccccc21 ZINC001471916124 1063032451 /nfs/dbraw/zinc/03/24/51/1063032451.db2.gz BKQIMROZRLVVTQ-WOJBJXKFSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(CN2CC[C@H](c3c(F)cccc3F)C2)cc1C ZINC001460746099 1063035946 /nfs/dbraw/zinc/03/59/46/1063035946.db2.gz FQUIGJFXVZPSRR-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN Clc1cccc(CCN2CC[C@H]([C@@H]3CCCO3)C2)c1Cl ZINC001460751556 1063040528 /nfs/dbraw/zinc/04/05/28/1063040528.db2.gz XYVBEHBABOWRIV-ZFWWWQNUSA-N 0 3 314.256 4.037 20 0 DIADHN FCc1ccccc1CN1CCc2nc[nH]c2C12CCCCC2 ZINC001460771454 1063049845 /nfs/dbraw/zinc/04/98/45/1063049845.db2.gz DWYNKDBOAAKMPA-UHFFFAOYSA-N 0 3 313.420 4.097 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN(C)CC(=O)NCCC2=CCCCC2)C1 ZINC001460823375 1063082631 /nfs/dbraw/zinc/08/26/31/1063082631.db2.gz IXUGCPSJDFYUGK-MJGOQNOKSA-N 0 3 320.521 4.141 20 0 DIADHN Cc1ccc(CN[C@H](C)COCC2CCCCC2)nc1Cl ZINC001460821032 1063085575 /nfs/dbraw/zinc/08/55/75/1063085575.db2.gz UOVNNOKKVZYVEZ-CQSZACIVSA-N 0 3 310.869 4.118 20 0 DIADHN Cc1cc2c(cc1Br)CN(CC1CC=CC1)CC2 ZINC001460828571 1063086203 /nfs/dbraw/zinc/08/62/03/1063086203.db2.gz UEOMXGWOTWUFEU-UHFFFAOYSA-N 0 3 306.247 4.082 20 0 DIADHN CN(Cc1cccc(=O)[nH]1)Cc1ccc(Cl)c2ccccc12 ZINC001460833396 1063091314 /nfs/dbraw/zinc/09/13/14/1063091314.db2.gz XLJGSDOCSHHCNF-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001257040776 1063092012 /nfs/dbraw/zinc/09/20/12/1063092012.db2.gz PTPKQRHGWBYQAO-ZIAGYGMSSA-N 0 3 321.848 4.041 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@H]2c2cccc(Cl)c2)C1 ZINC001257072859 1063099783 /nfs/dbraw/zinc/09/97/83/1063099783.db2.gz XOAPNNXGZVRQDO-OIISXLGYSA-N 0 3 321.848 4.209 20 0 DIADHN C[C@@H]1C[C@@H](N2CCc3ccc(C(F)(F)F)cc3C2)C[C@H](C)O1 ZINC001257096807 1063108336 /nfs/dbraw/zinc/10/83/36/1063108336.db2.gz JKAIWZIFTHOMOS-DRXBFXEBSA-N 0 3 313.363 4.020 20 0 DIADHN Cc1nccnc1CN1CCC[C@@]1(C)Cc1ccc(Cl)s1 ZINC001460869037 1063108580 /nfs/dbraw/zinc/10/85/80/1063108580.db2.gz FZVVIRRILNZZIK-INIZCTEOSA-N 0 3 321.877 4.097 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H](C)C3(C)CC3)co2)cc1 ZINC001460889026 1063117955 /nfs/dbraw/zinc/11/79/55/1063117955.db2.gz JJDZZHWEHQZAQA-ZDUSSCGKSA-N 0 3 300.402 4.019 20 0 DIADHN Clc1oc2ccccc2c1CNC1([C@@H]2CCCO2)CCC1 ZINC001460948116 1063152587 /nfs/dbraw/zinc/15/25/87/1063152587.db2.gz QFIGSTIBWKRAKU-HNNXBMFYSA-N 0 3 305.805 4.278 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)n3ccnc3[C@H](C)N)ccc2c1 ZINC001257269766 1063174597 /nfs/dbraw/zinc/17/45/97/1063174597.db2.gz NBDGPZROYVUWEN-CABCVRRESA-N 0 3 323.440 4.258 20 0 DIADHN COc1cc(CNCc2cccc(C(F)(F)F)c2)ccc1F ZINC001461002268 1063178856 /nfs/dbraw/zinc/17/88/56/1063178856.db2.gz YCYUULYBQRERSO-UHFFFAOYSA-N 0 3 313.294 4.143 20 0 DIADHN Cn1cc(CNCc2ccc(Cl)s2)c(-c2ccccc2)n1 ZINC001461012619 1063188949 /nfs/dbraw/zinc/18/89/49/1063188949.db2.gz SIIJPPGTEZMWQD-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN Cn1nc2c(c1CN[C@H](c1ccccc1)C(C)(C)C)CCCC2 ZINC001461034020 1063201551 /nfs/dbraw/zinc/20/15/51/1063201551.db2.gz BCYSLAWXULGAPW-LJQANCHMSA-N 0 3 311.473 4.176 20 0 DIADHN CCC[C@H](C)N1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001257317179 1063202651 /nfs/dbraw/zinc/20/26/51/1063202651.db2.gz SUHJAGWXYWWZLR-DLBZAZTESA-N 0 3 302.462 4.285 20 0 DIADHN Cc1coc(CNC[C@H](c2cccc(Cl)c2)N2CCCC2)c1 ZINC001461043849 1063212311 /nfs/dbraw/zinc/21/23/11/1063212311.db2.gz JYAMAMLYGANQKX-GOSISDBHSA-N 0 3 318.848 4.168 20 0 DIADHN CC(C)c1cnc(CNCC2(c3ccc(F)cc3)CC2)s1 ZINC001461058625 1063224297 /nfs/dbraw/zinc/22/42/97/1063224297.db2.gz YPWFTNGLNKVDBU-UHFFFAOYSA-N 0 3 304.434 4.227 20 0 DIADHN CCCOc1ccc(CNCc2ccc(CC(C)C)nc2)cc1 ZINC001461058491 1063224719 /nfs/dbraw/zinc/22/47/19/1063224719.db2.gz UQYFETRHFIHFHQ-UHFFFAOYSA-N 0 3 312.457 4.359 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H]1CCCCN1C1CCCC1 ZINC001257349751 1063226031 /nfs/dbraw/zinc/22/60/31/1063226031.db2.gz ZXPJSNYLHALLGL-QGZVFWFLSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOc1cccc(F)c1 ZINC001461078127 1063235745 /nfs/dbraw/zinc/23/57/45/1063235745.db2.gz HSTPWQUXRSMHKC-UHFFFAOYSA-N 0 3 305.393 4.193 20 0 DIADHN CCCCCC[C@H](C)N1CCO[C@H]2[C@H](OCC3CC3)CC[C@@H]21 ZINC001257366627 1063236405 /nfs/dbraw/zinc/23/64/05/1063236405.db2.gz WUYCPOZYSHWMJX-GDAAHCPNSA-N 0 3 309.494 4.004 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N[C@H](C)c1cccc(F)c1F ZINC001257382216 1063244267 /nfs/dbraw/zinc/24/42/67/1063244267.db2.gz ZVWUTXIYMCEIAY-QWHCGFSZSA-N 0 3 313.388 4.127 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1ccc(Cl)cc1F ZINC001257380798 1063244746 /nfs/dbraw/zinc/24/47/46/1063244746.db2.gz DJVIPEYQLRTZIU-LBPRGKRZSA-N 0 3 315.816 4.081 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1cccc(F)c1Cl ZINC001257383217 1063245043 /nfs/dbraw/zinc/24/50/43/1063245043.db2.gz OJTVHODBQHPIQF-GFCCVEGCSA-N 0 3 315.816 4.081 20 0 DIADHN CCC(C)(C)C1CCC(N2CCC[C@]3(CCC(=O)O3)C2)CC1 ZINC001257407756 1063258555 /nfs/dbraw/zinc/25/85/55/1063258555.db2.gz QGLNIMKJJQZDKK-RJYAGPCLSA-N 0 3 307.478 4.153 20 0 DIADHN CCCC[C@@H](CC)NCc1coc(-c2ccc(OC)cc2)n1 ZINC001257413102 1063261106 /nfs/dbraw/zinc/26/11/06/1063261106.db2.gz SORIZQHUPPUYJD-OAHLLOKOSA-N 0 3 302.418 4.409 20 0 DIADHN C[C@H](COc1ccccc1)NCc1ccccc1OCC1CC1 ZINC001461116200 1063264263 /nfs/dbraw/zinc/26/42/63/1063264263.db2.gz PMLRORJRBKODEP-MRXNPFEDSA-N 0 3 311.425 4.033 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc(F)c(OC)c2)c1 ZINC001461118755 1063268782 /nfs/dbraw/zinc/26/87/82/1063268782.db2.gz GHJKWHFWAMXCNP-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN COc1ccc(Cl)cc1CNCCCOc1ccc(C)cc1 ZINC001461123764 1063274768 /nfs/dbraw/zinc/27/47/68/1063274768.db2.gz HWTIZTLTJSPRRG-UHFFFAOYSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1cc(CNC2(c3ccc(F)cc3)CCCC2)cnc1F ZINC001461128952 1063279464 /nfs/dbraw/zinc/27/94/64/1063279464.db2.gz BLCYUPDRCJPYEB-UHFFFAOYSA-N 0 3 302.368 4.227 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1cn(Cc2ccncc2)cn1 ZINC001257473699 1063293920 /nfs/dbraw/zinc/29/39/20/1063293920.db2.gz CAFNJSQSZOGNFO-SJORKVTESA-N 0 3 314.477 4.021 20 0 DIADHN Cc1cccc2[nH]cc(CCNCc3nc4cc(F)ccc4o3)c21 ZINC001461153485 1063296394 /nfs/dbraw/zinc/29/63/94/1063296394.db2.gz PSLPYWXQUWXJTI-UHFFFAOYSA-N 0 3 323.371 4.089 20 0 DIADHN CCc1cccc2cc(CN[C@H](C)COCC(F)(F)F)oc21 ZINC001461161125 1063301360 /nfs/dbraw/zinc/30/13/60/1063301360.db2.gz QXFRRNMOVDZHDL-LLVKDONJSA-N 0 3 315.335 4.052 20 0 DIADHN CCC[C@H](C)CC[C@H](C)n1nc(CN)c2cc(OC)ccc21 ZINC001257479018 1063301544 /nfs/dbraw/zinc/30/15/44/1063301544.db2.gz YFNYMUQSNOMKTH-KBPBESRZSA-N 0 3 303.450 4.281 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257481509 1063304086 /nfs/dbraw/zinc/30/40/86/1063304086.db2.gz LGBDCPRRFYZIFR-HUUCEWRRSA-N 0 3 302.453 4.007 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257481507 1063306302 /nfs/dbraw/zinc/30/63/02/1063306302.db2.gz LGBDCPRRFYZIFR-CABCVRRESA-N 0 3 302.453 4.007 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC001257486400 1063308802 /nfs/dbraw/zinc/30/88/02/1063308802.db2.gz VOMFMGNXRONXAB-HUUCEWRRSA-N 0 3 301.478 4.317 20 0 DIADHN c1nc(C2CC2)sc1CNCc1cc2c(s1)CCCC2 ZINC001461176120 1063311505 /nfs/dbraw/zinc/31/15/05/1063311505.db2.gz XAGNJMSPVHUARX-UHFFFAOYSA-N 0 3 304.484 4.251 20 0 DIADHN c1sc2ccccc2c1CCNCc1nc2ccccc2o1 ZINC001461174968 1063311698 /nfs/dbraw/zinc/31/16/98/1063311698.db2.gz PUKWXHCRKRIYMB-UHFFFAOYSA-N 0 3 308.406 4.375 20 0 DIADHN OCc1cccc(CNCc2ccc(-c3cccs3)cc2)c1 ZINC001461188084 1063319162 /nfs/dbraw/zinc/31/91/62/1063319162.db2.gz HOIXWCWWUDMKSO-UHFFFAOYSA-N 0 3 309.434 4.197 20 0 DIADHN CCCCC[C@H](C)NCc1cn(Cc2cccc(F)c2)cn1 ZINC001257507259 1063320354 /nfs/dbraw/zinc/32/03/54/1063320354.db2.gz YFZUQCLGSZUYGX-HNNXBMFYSA-N 0 3 303.425 4.129 20 0 DIADHN COc1cccc(CN[C@H](c2ccccc2C)C2CC2)c1OC ZINC001461198352 1063326684 /nfs/dbraw/zinc/32/66/84/1063326684.db2.gz VFZSYFSISGJFIG-IBGZPJMESA-N 0 3 311.425 4.253 20 0 DIADHN COc1nsc(CN[C@@H](C)Cc2ccc(C)cc2C)c1Cl ZINC001461206108 1063332138 /nfs/dbraw/zinc/33/21/38/1063332138.db2.gz IZLOMDHGDCRRDK-LBPRGKRZSA-N 0 3 324.877 4.143 20 0 DIADHN CC(C)(C)c1cccc(OCCNCc2cc3cnccc3o2)c1 ZINC001461214834 1063338786 /nfs/dbraw/zinc/33/87/86/1063338786.db2.gz GQXZGXUKWMWBBW-UHFFFAOYSA-N 0 3 324.424 4.294 20 0 DIADHN Fc1ccc(OC(F)F)c(CN[C@@H]2C[C@H]2Cc2ccccc2)c1 ZINC001461221653 1063344218 /nfs/dbraw/zinc/34/42/18/1063344218.db2.gz JDKVEZXBSRLLIU-CZUORRHYSA-N 0 3 321.342 4.148 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1ccc(Cl)cc1Cl ZINC001461225348 1063346525 /nfs/dbraw/zinc/34/65/25/1063346525.db2.gz BOGDHAIQTGVMPH-OAHLLOKOSA-N 0 3 304.261 4.270 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(-c2ccncc2)cc1F ZINC001461226144 1063346762 /nfs/dbraw/zinc/34/67/62/1063346762.db2.gz WEXHIFMHBKFWTJ-GOSISDBHSA-N 0 3 316.420 4.183 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H](C)c2cnn(C)c2)c1 ZINC001461237955 1063354591 /nfs/dbraw/zinc/35/45/91/1063354591.db2.gz XJSJJPSRLODUOG-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN CC[C@H](C)C[C@@H](C)NCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001461246171 1063360247 /nfs/dbraw/zinc/36/02/47/1063360247.db2.gz GOLLMWLITATTSO-NWDGAFQWSA-N 0 3 317.399 4.153 20 0 DIADHN CC(C)C[C@@H](CCO)CNCc1cc(Cl)sc1Cl ZINC001461250644 1063367340 /nfs/dbraw/zinc/36/73/40/1063367340.db2.gz XWYFOPVYFUZWCF-SNVBAGLBSA-N 0 3 310.290 4.189 20 0 DIADHN c1ccc2c(c1)CCS[C@H]2CNCc1nccc2c1CCCC2 ZINC001461258112 1063368239 /nfs/dbraw/zinc/36/82/39/1063368239.db2.gz SDNFZVWCZOZVJO-FQEVSTJZSA-N 0 3 324.493 4.081 20 0 DIADHN COc1ccccc1O[C@@H](C)CNCc1ccc(C)cc1Cl ZINC001461257725 1063368708 /nfs/dbraw/zinc/36/87/08/1063368708.db2.gz PWEMAGDOHWMDMF-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN CN(C)[C@@H](CNCc1cccc(F)c1F)c1ccc(Cl)cc1 ZINC001461266025 1063377309 /nfs/dbraw/zinc/37/73/09/1063377309.db2.gz RJNZZHZKUXFIOM-INIZCTEOSA-N 0 3 324.802 4.011 20 0 DIADHN CN(C)c1nc(CNC2CCC3(CCCCC3)CC2)cs1 ZINC001461267123 1063378062 /nfs/dbraw/zinc/37/80/62/1063378062.db2.gz VMQSHUCOOOCEFZ-UHFFFAOYSA-N 0 3 307.507 4.192 20 0 DIADHN CCC1(CNCc2csc(-c3ccc(OC)cc3)n2)CCC1 ZINC001461282674 1063389769 /nfs/dbraw/zinc/38/97/69/1063389769.db2.gz DVMSRKQAEXZNQD-UHFFFAOYSA-N 0 3 316.470 4.489 20 0 DIADHN CCCC[C@@H](CCC)CNCc1cn(-c2ccccc2C)nn1 ZINC001461296737 1063400718 /nfs/dbraw/zinc/40/07/18/1063400718.db2.gz YURYJHGOASJKDS-QGZVFWFLSA-N 0 3 314.477 4.272 20 0 DIADHN COc1ccccc1CN(Cc1cccc2ncccc12)C1CC1 ZINC001473111154 1063411892 /nfs/dbraw/zinc/41/18/92/1063411892.db2.gz PLUCMUQMWLJUKX-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2cc(F)cc(F)c2)c1 ZINC001473113483 1063416387 /nfs/dbraw/zinc/41/63/87/1063416387.db2.gz HFBOUTYATSRJQE-GOSISDBHSA-N 0 3 317.379 4.181 20 0 DIADHN O=c1cc(C[N@@H+]2CCC[C@H]2C2CCCC2)nc(-c2ccccc2)[n-]1 ZINC001473116366 1063419108 /nfs/dbraw/zinc/41/91/08/1063419108.db2.gz IGDQVONQIJMKDR-SFHVURJKSA-N 0 3 323.440 4.004 20 0 DIADHN O=c1cc(C[N@H+]2CCC[C@H]2C2CCCC2)nc(-c2ccccc2)[n-]1 ZINC001473116366 1063419120 /nfs/dbraw/zinc/41/91/20/1063419120.db2.gz IGDQVONQIJMKDR-SFHVURJKSA-N 0 3 323.440 4.004 20 0 DIADHN CC(C)OC1CCC(N2C[C@H](C)OC[C@@H]2c2ccccc2)CC1 ZINC001257885781 1063425109 /nfs/dbraw/zinc/42/51/09/1063425109.db2.gz TZQABADFCLJWQA-CMTQZCSUSA-N 0 3 317.473 4.185 20 0 DIADHN CSc1cc(C)ccc1CNCc1cccc2nsnc21 ZINC001461341806 1063430465 /nfs/dbraw/zinc/43/04/65/1063430465.db2.gz YMMLPCDBSVIOET-UHFFFAOYSA-N 0 3 315.467 4.011 20 0 DIADHN COCCN(Cc1ccc(C)cc1C)Cc1cc(F)ccc1C ZINC001473126608 1063432519 /nfs/dbraw/zinc/43/25/19/1063432519.db2.gz UJOIZRLDOZMOIY-UHFFFAOYSA-N 0 3 315.432 4.400 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNC[C@H]1CCCS1 ZINC001461349861 1063434706 /nfs/dbraw/zinc/43/47/06/1063434706.db2.gz DYBYNOHLOBXECW-LLVKDONJSA-N 0 3 319.301 4.045 20 0 DIADHN CCCCN(Cc1ccccc1Cl)C(=O)[C@@H](C(C)C)N(C)C ZINC001546231019 1063438624 /nfs/dbraw/zinc/43/86/24/1063438624.db2.gz LPFBDUPIONYZHA-QGZVFWFLSA-N 0 3 324.896 4.055 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CCC(C)(CC)CC1 ZINC001473134522 1063441466 /nfs/dbraw/zinc/44/14/66/1063441466.db2.gz AGQZGYGHYIMLME-KRWDZBQOSA-N 0 3 302.462 4.224 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H]1COc2ccc(Cl)cc2C1 ZINC001257947084 1063443479 /nfs/dbraw/zinc/44/34/79/1063443479.db2.gz IGEVGCCFLJEFQG-DOMZBBRYSA-N 0 3 317.816 4.003 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H]1COc2ccc(Cl)cc2C1 ZINC001257947087 1063444102 /nfs/dbraw/zinc/44/41/02/1063444102.db2.gz IGEVGCCFLJEFQG-WFASDCNBSA-N 0 3 317.816 4.003 20 0 DIADHN OCc1ccc(F)c(CN2CCCCC[C@H]2c2ccccc2)c1 ZINC001473136814 1063444759 /nfs/dbraw/zinc/44/47/59/1063444759.db2.gz KFJLIMQDTUBPLQ-FQEVSTJZSA-N 0 3 313.416 4.435 20 0 DIADHN C[C@H](CCSc1ccccc1)N1CCOc2ccccc2C1 ZINC001473137023 1063444860 /nfs/dbraw/zinc/44/48/60/1063444860.db2.gz NLYQCLCJKQCGAS-MRXNPFEDSA-N 0 3 313.466 4.452 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Cl)[C@H](O)Cc1ccccc1 ZINC001461365843 1063446856 /nfs/dbraw/zinc/44/68/56/1063446856.db2.gz SBEZAFKQBQYXLN-SJKOYZFVSA-N 0 3 324.251 4.075 20 0 DIADHN Clc1ccsc1CN[C@@H]1COc2ccc(Cl)cc2C1 ZINC001257953567 1063446620 /nfs/dbraw/zinc/44/66/20/1063446620.db2.gz QXVTUFSSCXSDFP-NSHDSACASA-N 0 3 314.237 4.148 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Cl)[C@H](O)Cc1ccccc1 ZINC001461365844 1063447256 /nfs/dbraw/zinc/44/72/56/1063447256.db2.gz SBEZAFKQBQYXLN-YVEFUNNKSA-N 0 3 324.251 4.075 20 0 DIADHN CC/C=C/CCN(C)Cc1cc(Br)ccc1F ZINC001473142162 1063450884 /nfs/dbraw/zinc/45/08/84/1063450884.db2.gz BLXMCNXRCTVGFB-SNAWJCMRSA-N 0 3 300.215 4.376 20 0 DIADHN Fc1cnc(CNC2CCC(c3ccccc3)CC2)c(F)c1 ZINC001257993595 1063451902 /nfs/dbraw/zinc/45/19/02/1063451902.db2.gz UBGOSLMUAYOUPD-UHFFFAOYSA-N 0 3 302.368 4.176 20 0 DIADHN NCc1ccc2c(n1)N(C1CCC(c3ccccc3)CC1)CCC2 ZINC001257993820 1063452861 /nfs/dbraw/zinc/45/28/61/1063452861.db2.gz XMHPDQFUAZDYQG-UHFFFAOYSA-N 0 3 321.468 4.019 20 0 DIADHN Cc1ccc([C@@H](Cn2ccnc2)NCc2ccccc2)c(C)c1 ZINC001461370142 1063453194 /nfs/dbraw/zinc/45/31/94/1063453194.db2.gz PMLIUSPQTGNQNX-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN COCCCOc1cccc(CNCc2cc(C)sc2C)c1 ZINC001461372741 1063454405 /nfs/dbraw/zinc/45/44/05/1063454405.db2.gz DHGGIEWDQMLRFP-UHFFFAOYSA-N 0 3 319.470 4.070 20 0 DIADHN Fc1cc(F)cc(CN2CCC[C@H](Cc3ccccc3)C2)c1 ZINC001473150189 1063455695 /nfs/dbraw/zinc/45/56/95/1063455695.db2.gz FEGFZRAKCKMHPF-MRXNPFEDSA-N 0 3 301.380 4.420 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2cccc(Cl)c2F)CC1 ZINC001461374947 1063457360 /nfs/dbraw/zinc/45/73/60/1063457360.db2.gz SMWBSYYHGVWTHX-KRWDZBQOSA-N 0 3 319.807 4.083 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2C[C@H]3CCC[C@H]3O2)cc1 ZINC001473159488 1063463367 /nfs/dbraw/zinc/46/33/67/1063463367.db2.gz LHFYHVRZIYPXIV-YDZRNGNQSA-N 0 3 317.498 4.043 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@H](O)CC2CCCC2)cc1 ZINC001473159662 1063463697 /nfs/dbraw/zinc/46/36/97/1063463697.db2.gz PVHKDFNJLJRVAU-MOPGFXCFSA-N 0 3 319.514 4.026 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2c3ccccc3CC[C@@H]2C)c1 ZINC001461384993 1063464570 /nfs/dbraw/zinc/46/45/70/1063464570.db2.gz DXRZLLJZWIEFGH-VBKZILBWSA-N 0 3 311.425 4.117 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2nc3ccccc3[nH]2)cc1 ZINC001473163404 1063467080 /nfs/dbraw/zinc/46/70/80/1063467080.db2.gz SLWPWOMOIHTZCR-KRWDZBQOSA-N 0 3 305.425 4.078 20 0 DIADHN CC(C)c1nc(CNC[C@@H]2CCc3ccccc3C2)cs1 ZINC001461387058 1063468189 /nfs/dbraw/zinc/46/81/89/1063468189.db2.gz CQLDXVRPLMEPAN-CQSZACIVSA-N 0 3 300.471 4.161 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3c(c2)CCCC3)cn1 ZINC001461391318 1063470455 /nfs/dbraw/zinc/47/04/55/1063470455.db2.gz OISBQRGHOVAVET-UHFFFAOYSA-N 0 3 324.468 4.428 20 0 DIADHN CCC1(CC)[C@H](NCc2ncc(C(C)(C)C)s2)[C@H](C)[C@H]1OC ZINC001461392206 1063470603 /nfs/dbraw/zinc/47/06/03/1063470603.db2.gz KLNNDJBJZNCXDY-APHBMKBZSA-N 0 3 324.534 4.370 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001473173728 1063480370 /nfs/dbraw/zinc/48/03/70/1063480370.db2.gz MXJYLSPCRHRJBY-ZIAGYGMSSA-N 0 3 319.399 4.161 20 0 DIADHN CN(C)c1ncc(CN2CCC[C@@H]3CCC[C@@H]32)c2ccccc21 ZINC001473173409 1063480615 /nfs/dbraw/zinc/48/06/15/1063480615.db2.gz YOYHKNIKCMFTLN-KXBFYZLASA-N 0 3 309.457 4.065 20 0 DIADHN CCc1ccc(CNC[C@H](O)c2ccc(-c3ccccc3)cc2)o1 ZINC001461410632 1063482574 /nfs/dbraw/zinc/48/25/74/1063482574.db2.gz OXPHSGWDMSJIPK-NRFANRHFSA-N 0 3 321.420 4.332 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cc(C(=O)OC)ccc1F ZINC001473178343 1063485432 /nfs/dbraw/zinc/48/54/32/1063485432.db2.gz BVWFMIDRKNPJDV-CXAGYDPISA-N 0 3 307.409 4.013 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cc(C(=O)OC)ccc1F ZINC001473178344 1063486347 /nfs/dbraw/zinc/48/63/47/1063486347.db2.gz BVWFMIDRKNPJDV-DYVFJYSZSA-N 0 3 307.409 4.013 20 0 DIADHN CCCn1cncc1CN[C@@H]1c2ccccc2CC[C@H]1C(C)C ZINC001461421223 1063488736 /nfs/dbraw/zinc/48/87/36/1063488736.db2.gz UGYHKOBDSAICCE-ICSRJNTNSA-N 0 3 311.473 4.342 20 0 DIADHN CN(CCCC1CCC1)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC001473182034 1063489292 /nfs/dbraw/zinc/48/92/92/1063489292.db2.gz SOCFUNWGLZOQNH-LJQANCHMSA-N 0 3 315.436 4.161 20 0 DIADHN CCCn1cncc1CN[C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC001461421224 1063489425 /nfs/dbraw/zinc/48/94/25/1063489425.db2.gz UGYHKOBDSAICCE-QUCCMNQESA-N 0 3 311.473 4.342 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN(CC/C=C/c2ccccc2)C1 ZINC001473181560 1063491054 /nfs/dbraw/zinc/49/10/54/1063491054.db2.gz WHMKPCXEZLQWRT-VCIFKONDSA-N 0 3 313.363 4.133 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001258069485 1063496135 /nfs/dbraw/zinc/49/61/35/1063496135.db2.gz IQBIVLFZDFMZGN-STQMWFEESA-N 0 3 307.400 4.291 20 0 DIADHN C[C@@H]1CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)[C@@H]1C ZINC001473188332 1063496359 /nfs/dbraw/zinc/49/63/59/1063496359.db2.gz ZKMGCQNFBOKIRL-SYQHCUMBSA-N 0 3 305.384 4.045 20 0 DIADHN C[C@H](CCc1ccccc1)CN[C@@H]1COc2cc(F)cc(F)c21 ZINC001461429411 1063497103 /nfs/dbraw/zinc/49/71/03/1063497103.db2.gz GUXSGRNBWZPIRX-CXAGYDPISA-N 0 3 317.379 4.257 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@]2(C)CCO[C@H]2C2CC2)c1Cl ZINC001461432672 1063498809 /nfs/dbraw/zinc/49/88/09/1063498809.db2.gz RSEJZYHSCUYUMQ-HOTGVXAUSA-N 0 3 314.256 4.349 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258073946 1063499933 /nfs/dbraw/zinc/49/99/33/1063499933.db2.gz HHVOUXCZDNSFQJ-NWDGAFQWSA-N 0 3 317.399 4.181 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2ccc3c(n2)CCC3)cc1 ZINC001461434163 1063500628 /nfs/dbraw/zinc/50/06/28/1063500628.db2.gz BDESMGRUXZRIOV-OAHLLOKOSA-N 0 3 312.482 4.149 20 0 DIADHN c1c(CNCc2ccc(C3CCC3)cc2)[nH]nc1-c1ccccn1 ZINC001461435978 1063503303 /nfs/dbraw/zinc/50/33/03/1063503303.db2.gz XQSZTZUSTYVXAE-UHFFFAOYSA-N 0 3 318.424 4.029 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001258078291 1063504623 /nfs/dbraw/zinc/50/46/23/1063504623.db2.gz VUTFPRBYTZQCGQ-GJZGRUSLSA-N 0 3 301.478 4.173 20 0 DIADHN Fc1ccc(CCCCCNCc2nc3ccccc3o2)cc1 ZINC001461451264 1063512982 /nfs/dbraw/zinc/51/29/82/1063512982.db2.gz UURSUVSBIIZBRV-UHFFFAOYSA-N 0 3 312.388 4.470 20 0 DIADHN CC(C)=CCC[C@H](C)N1CCN(c2nc3ccccc3o2)CC1 ZINC001258094724 1063513408 /nfs/dbraw/zinc/51/34/08/1063513408.db2.gz SISADWURKVGRAE-INIZCTEOSA-N 0 3 313.445 4.085 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H](CO)CCC(C)(C)C ZINC001461459484 1063520534 /nfs/dbraw/zinc/52/05/34/1063520534.db2.gz CNYMOZPNIXHVKO-AWEZNQCLSA-N 0 3 313.869 4.016 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1ccc(-c2ccccc2)o1 ZINC001461466332 1063524883 /nfs/dbraw/zinc/52/48/83/1063524883.db2.gz XDNIJHMRKWNTIY-INIZCTEOSA-N 0 3 301.430 4.223 20 0 DIADHN CC1CCC(C)(CNCc2c(Cl)nc(Cl)n2C)CC1 ZINC001461484257 1063532280 /nfs/dbraw/zinc/53/22/80/1063532280.db2.gz INRDLCQQDZHVSX-UHFFFAOYSA-N 0 3 304.265 4.033 20 0 DIADHN C[C@H]1CC(NCc2coc(-c3ccc(F)cc3)n2)C[C@H](C)C1 ZINC001258160593 1063535347 /nfs/dbraw/zinc/53/53/47/1063535347.db2.gz MUCACMNPYBLPCX-CHWSQXEVSA-N 0 3 302.393 4.395 20 0 DIADHN COc1cccc(-c2nc(CNC3C[C@@H](C)C[C@H](C)C3)co2)c1 ZINC001258161988 1063537729 /nfs/dbraw/zinc/53/77/29/1063537729.db2.gz VTBYCYGMRMEIOC-KBPBESRZSA-N 0 3 314.429 4.265 20 0 DIADHN Clc1ccc([C@H](CNCc2ccns2)OCC2CC2)cc1 ZINC001461497142 1063539216 /nfs/dbraw/zinc/53/92/16/1063539216.db2.gz DVYFPDRUBZDHPI-INIZCTEOSA-N 0 3 322.861 4.054 20 0 DIADHN C[C@@H]1CC(N(Cc2cccnc2)Cc2cccnc2)C[C@@H](C)C1 ZINC001258174646 1063544205 /nfs/dbraw/zinc/54/42/05/1063544205.db2.gz NYNVPTNJOBAXRT-IRXDYDNUSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@H]1CC(N(Cc2cccnc2)Cc2cccnc2)C[C@H](C)C1 ZINC001258174645 1063544868 /nfs/dbraw/zinc/54/48/68/1063544868.db2.gz NYNVPTNJOBAXRT-IAGOWNOFSA-N 0 3 309.457 4.304 20 0 DIADHN CC[C@@](C)(CNCc1cc(C(F)(F)F)ccc1Cl)OC ZINC001461512204 1063545259 /nfs/dbraw/zinc/54/52/59/1063545259.db2.gz FOLNOAWWZWLCNZ-ZDUSSCGKSA-N 0 3 309.759 4.264 20 0 DIADHN COc1cc(Cl)cc([C@H](C)N[C@H](C)Cc2ccco2)c1OC ZINC001258181746 1063548968 /nfs/dbraw/zinc/54/89/68/1063548968.db2.gz SNYJLGAMLGVWNA-NEPJUHHUSA-N 0 3 323.820 4.232 20 0 DIADHN COc1cccc(C(F)(F)F)c1CN[C@H](C)Cc1ccco1 ZINC001258180413 1063549109 /nfs/dbraw/zinc/54/91/09/1063549109.db2.gz OKBDROKGRHEXRD-LLVKDONJSA-N 0 3 313.319 4.028 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H](C)Cc1ccco1 ZINC001258182084 1063549656 /nfs/dbraw/zinc/54/96/56/1063549656.db2.gz VCHLAHAVGLZVSC-GDBMZVCRSA-N 0 3 311.429 4.479 20 0 DIADHN C[C@@H](Cc1ccco1)N(Cc1ccccc1)Cc1ccccn1 ZINC001258186745 1063551891 /nfs/dbraw/zinc/55/18/91/1063551891.db2.gz SBKDRSOCAJFIPV-KRWDZBQOSA-N 0 3 306.409 4.308 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1cc(Cl)cc(Cl)c1OC)OC ZINC001461519778 1063552858 /nfs/dbraw/zinc/55/28/58/1063552858.db2.gz KUYMINNBMZFSDY-QMTHXVAHSA-N 0 3 320.260 4.153 20 0 DIADHN C[C@@H](Cc1ccco1)N1CCSC[C@H]1c1ccc(F)cc1 ZINC001258191400 1063554956 /nfs/dbraw/zinc/55/49/56/1063554956.db2.gz BFNAOUXPALFLNN-GUYCJALGSA-N 0 3 305.418 4.140 20 0 DIADHN C[C@H](Cc1ccco1)N1CCSC[C@@H]1c1ccc(F)cc1 ZINC001258191398 1063555209 /nfs/dbraw/zinc/55/52/09/1063555209.db2.gz BFNAOUXPALFLNN-CXAGYDPISA-N 0 3 305.418 4.140 20 0 DIADHN C[C@@H](Cc1ccco1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001258195630 1063556903 /nfs/dbraw/zinc/55/69/03/1063556903.db2.gz SNWBKCWJTYYLJB-WMLDXEAASA-N 0 3 309.413 4.248 20 0 DIADHN CSCC[C@H](C)NCc1csc(-c2cccc(F)c2)n1 ZINC001258212370 1063562621 /nfs/dbraw/zinc/56/26/21/1063562621.db2.gz SKBRWHIKBJXBCN-NSHDSACASA-N 0 3 310.463 4.180 20 0 DIADHN c1nn(-c2ccccc2)c2c1CN(C1CCCCCCC1)CC2 ZINC001258240935 1063580230 /nfs/dbraw/zinc/58/02/30/1063580230.db2.gz VCXNNYKTSUPESD-UHFFFAOYSA-N 0 3 309.457 4.343 20 0 DIADHN C[Si](C)(C)c1ccc(CNCc2cncc3ccccc32)cc1 ZINC001461556329 1063580364 /nfs/dbraw/zinc/58/03/64/1063580364.db2.gz YVNWPTNFXPPYBA-UHFFFAOYSA-N 0 3 320.512 4.070 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+][C@H]2CCC[C@@H](C(C)(C)C)CC2)[n-]1 ZINC001461564701 1063583259 /nfs/dbraw/zinc/58/32/59/1063583259.db2.gz JSPRLHIGYDQBBO-KGLIPLIRSA-N 0 3 306.498 4.187 20 0 DIADHN CC1(C)CCC[C@@H](N(Cc2ccccn2)Cc2ccccn2)C1 ZINC001258282545 1063587737 /nfs/dbraw/zinc/58/77/37/1063587737.db2.gz IEQCEIWUESSHGJ-LJQANCHMSA-N 0 3 309.457 4.448 20 0 DIADHN CC1(C)CCC[C@H](N(Cc2cccnc2)Cc2ccccn2)C1 ZINC001258291461 1063590556 /nfs/dbraw/zinc/59/05/56/1063590556.db2.gz LBTRIQXJGNZZFB-IBGZPJMESA-N 0 3 309.457 4.448 20 0 DIADHN Clc1cccc([C@H]2CC[C@@H](NCc3nccn3C3CC3)C2)c1 ZINC001461585002 1063595452 /nfs/dbraw/zinc/59/54/52/1063595452.db2.gz LVCCEZMLXKXTKD-GOEBONIOSA-N 0 3 315.848 4.297 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)NCc1ncccc1F ZINC001258296703 1063595819 /nfs/dbraw/zinc/59/58/19/1063595819.db2.gz YZDSCICKUPCRPY-CYBMUJFWSA-N 0 3 322.449 4.394 20 0 DIADHN Cc1nc(CN[C@H](C)CC(C)(C)SCc2ccco2)c(C)o1 ZINC001258297653 1063596254 /nfs/dbraw/zinc/59/62/54/1063596254.db2.gz HWKJOYZIBLXLIP-GFCCVEGCSA-N 0 3 322.474 4.465 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)NCc1ncccc1F ZINC001258296704 1063596283 /nfs/dbraw/zinc/59/62/83/1063596283.db2.gz YZDSCICKUPCRPY-ZDUSSCGKSA-N 0 3 322.449 4.394 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCCCC12COC2 ZINC001258313702 1063602638 /nfs/dbraw/zinc/60/26/38/1063602638.db2.gz HSQCVJMZUZZUEI-OAHLLOKOSA-N 0 3 323.502 4.325 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001461608628 1063609301 /nfs/dbraw/zinc/60/93/01/1063609301.db2.gz XSSLBLNMXPUFLY-OAHLLOKOSA-N 0 3 323.864 4.320 20 0 DIADHN CSC(C)(C)C[C@H](C)N[C@@H](c1ccccc1)c1cccnn1 ZINC001258332419 1063615080 /nfs/dbraw/zinc/61/50/80/1063615080.db2.gz WIIYTMWERJKMJI-YOEHRIQHSA-N 0 3 315.486 4.076 20 0 DIADHN CO[C@H](C)CNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC001461620537 1063618516 /nfs/dbraw/zinc/61/85/16/1063618516.db2.gz MMTZHFOMDZXSTD-SNVBAGLBSA-N 0 3 314.212 4.378 20 0 DIADHN CO[C@@H](C)CNCc1cc(F)ccc1Oc1ccc(Cl)cc1 ZINC001461621568 1063618934 /nfs/dbraw/zinc/61/89/34/1063618934.db2.gz JVKBEZWMDFGISP-LBPRGKRZSA-N 0 3 323.795 4.396 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001461622480 1063619722 /nfs/dbraw/zinc/61/97/22/1063619722.db2.gz FPUYLHJBHMGJJJ-ATZCPNFKSA-N 0 3 316.189 4.006 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc2c(c1)CCCC2)c1ccccc1 ZINC001461650771 1063635727 /nfs/dbraw/zinc/63/57/27/1063635727.db2.gz CJKOKGDAZQOSON-FCHUYYIVSA-N 0 3 323.480 4.413 20 0 DIADHN COc1ccc(CC[C@@H](C)N2CCC[C@H]2c2cc(C)on2)cc1 ZINC001258398442 1063636838 /nfs/dbraw/zinc/63/68/38/1063636838.db2.gz UWRRNUPHJBGDIH-KUHUBIRLSA-N 0 3 314.429 4.150 20 0 DIADHN COc1ccc(CC[C@@H](C)N2CCCC(F)(F)[C@@H](F)C2)cc1 ZINC001258398055 1063638554 /nfs/dbraw/zinc/63/85/54/1063638554.db2.gz NJWOZZVMJAXGLC-CJNGLKHVSA-N 0 3 315.379 4.086 20 0 DIADHN CO[C@H](CNCc1ccsc1C(F)(F)F)C1CCCCC1 ZINC001461658078 1063640551 /nfs/dbraw/zinc/64/05/51/1063640551.db2.gz QTVTUCLCWDDDFX-CYBMUJFWSA-N 0 3 321.408 4.452 20 0 DIADHN CCCCn1nc(C)c(CNCC[C@@H]2CCCS2)c1Cl ZINC001461665386 1063644987 /nfs/dbraw/zinc/64/49/87/1063644987.db2.gz FKAOFFPHGRJCDQ-ZDUSSCGKSA-N 0 3 315.914 4.020 20 0 DIADHN CO[C@H](CNCc1ccc(Oc2ccccc2)o1)C1CCCC1 ZINC001461663855 1063645425 /nfs/dbraw/zinc/64/54/25/1063645425.db2.gz YMWJNIXRXFPKTD-GOSISDBHSA-N 0 3 315.413 4.367 20 0 DIADHN CO[C@@H](CNCc1ccc(Oc2ccccc2)o1)C1CCCC1 ZINC001461663861 1063645567 /nfs/dbraw/zinc/64/55/67/1063645567.db2.gz YMWJNIXRXFPKTD-SFHVURJKSA-N 0 3 315.413 4.367 20 0 DIADHN COc1cccc(-c2csc(CN[C@]34C[C@H]3CCCC4)n2)c1 ZINC001461684688 1063655916 /nfs/dbraw/zinc/65/59/16/1063655916.db2.gz PHRDBGQRWSIVSO-RDTXWAMCSA-N 0 3 314.454 4.241 20 0 DIADHN CNc1ccc(C)cc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC001461683474 1063656479 /nfs/dbraw/zinc/65/64/79/1063656479.db2.gz MODNGJPSGDQOKW-GOSISDBHSA-N 0 3 300.833 4.467 20 0 DIADHN CC[C@](C)(NCc1cnn(-c2ccccc2)c1)c1ccc(F)cc1 ZINC001461686495 1063658049 /nfs/dbraw/zinc/65/80/49/1063658049.db2.gz RGMPGIAUMYEYEL-FQEVSTJZSA-N 0 3 323.415 4.426 20 0 DIADHN CCC(CC)(CNCc1ccc(OC)cc1F)C(F)(F)F ZINC001461698890 1063664987 /nfs/dbraw/zinc/66/49/87/1063664987.db2.gz YHRJHQAJEGMBGA-UHFFFAOYSA-N 0 3 307.331 4.293 20 0 DIADHN Cc1ccc(F)c(CNC2CCN(c3ccccc3)CC2)c1F ZINC001258501175 1063676026 /nfs/dbraw/zinc/67/60/26/1063676026.db2.gz PPBKINNNMYAATN-UHFFFAOYSA-N 0 3 316.395 4.032 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccccc2OC2CCCC2)OC1 ZINC001461717658 1063676357 /nfs/dbraw/zinc/67/63/57/1063676357.db2.gz STMVJEFURXCZAV-SFHVURJKSA-N 0 3 317.473 4.303 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2C[C@H]3CCC[C@@H]3O2)c1Cl ZINC001461727013 1063682038 /nfs/dbraw/zinc/68/20/38/1063682038.db2.gz FGUIHJQMOUOZIC-ZLDLUXBVSA-N 0 3 314.256 4.349 20 0 DIADHN CCCC1CCC(n2nc(C(F)(F)F)c3c2CCNC3)CC1 ZINC001258534277 1063686626 /nfs/dbraw/zinc/68/66/26/1063686626.db2.gz SYUMUGSGPSRNLE-UHFFFAOYSA-N 0 3 315.383 4.079 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)cc2C)c(C)c1OC ZINC001461739487 1063688675 /nfs/dbraw/zinc/68/86/75/1063688675.db2.gz KFZGXQHFWOYKST-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN Fc1cccc(Cl)c1CNCCc1cnc2ccccc2c1 ZINC001461743468 1063690955 /nfs/dbraw/zinc/69/09/55/1063690955.db2.gz ZULOBDDSEKZJEG-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN Clc1cccc(CNC[C@@H]2CC3(CCC3)CO2)c1Cl ZINC001461760314 1063701900 /nfs/dbraw/zinc/70/19/00/1063701900.db2.gz MNFFHEGYQWRQNR-LBPRGKRZSA-N 0 3 300.229 4.042 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CNC(C)(C)/C=C\Cl ZINC001461767650 1063704990 /nfs/dbraw/zinc/70/49/90/1063704990.db2.gz LMBRINDZIHXDFY-FPLPWBNLSA-N 0 3 313.898 4.063 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H](C)Cc1cccnc1 ZINC001258615857 1063711269 /nfs/dbraw/zinc/71/12/69/1063711269.db2.gz RIHMKUWIXCUVRV-DOTOQJQBSA-N 0 3 322.456 4.281 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2CC(C)(C)OC2(C)C)n1 ZINC001461781322 1063711622 /nfs/dbraw/zinc/71/16/22/1063711622.db2.gz ANBYIJODVNGSEC-GFCCVEGCSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@@H]1c1c(F)cccc1F ZINC001258632802 1063717919 /nfs/dbraw/zinc/71/79/19/1063717919.db2.gz CYKMVESMYXCPOK-SUMWQHHRSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@H]1c1c(F)cccc1F ZINC001258632801 1063719175 /nfs/dbraw/zinc/71/91/75/1063719175.db2.gz CYKMVESMYXCPOK-GUYCJALGSA-N 0 3 302.368 4.128 20 0 DIADHN CCC1CCC(C2CCC(n3cnc4c3CNCC4)CC2)CC1 ZINC001258634363 1063720205 /nfs/dbraw/zinc/72/02/05/1063720205.db2.gz HYTDBGZICGCZQI-UHFFFAOYSA-N 0 3 315.505 4.477 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H]1CCCC(C)(C)O1 ZINC001461793840 1063720533 /nfs/dbraw/zinc/72/05/33/1063720533.db2.gz KEYMBQDPJZLFSM-KRWDZBQOSA-N 0 3 305.462 4.303 20 0 DIADHN Fc1cccc(Cl)c1CN[C@H]1Cc2ccc(Cl)cc2C1 ZINC001461812026 1063731311 /nfs/dbraw/zinc/73/13/11/1063731311.db2.gz IZLQJGYLQMYYSN-ZDUSSCGKSA-N 0 3 310.199 4.390 20 0 DIADHN C[C@@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1csc2ccccc21 ZINC001461813843 1063733790 /nfs/dbraw/zinc/73/37/90/1063733790.db2.gz NSYLFJNRQDUWNN-LLVKDONJSA-N 0 3 323.421 4.334 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2ccc3occc3c2)c2ccccc21 ZINC001461818348 1063735554 /nfs/dbraw/zinc/73/55/54/1063735554.db2.gz HEGYLYHQLXRYGE-VFNWGFHPSA-N 0 3 321.420 4.308 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](C)c2cc(F)ccc2N(C)C)c1 ZINC001461833493 1063740322 /nfs/dbraw/zinc/74/03/22/1063740322.db2.gz PXYWUCILJVOVNI-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN CSc1ccc(CN[C@H](C)c2cc(F)ccc2N(C)C)cc1 ZINC001461831593 1063740669 /nfs/dbraw/zinc/74/06/69/1063740669.db2.gz GQFSNXQMFSAEAV-CYBMUJFWSA-N 0 3 318.461 4.464 20 0 DIADHN CCCCC[C@@H](CCC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258673425 1063742530 /nfs/dbraw/zinc/74/25/30/1063742530.db2.gz LAFURYZWICOWAE-GFCCVEGCSA-N 0 3 317.399 4.469 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1ncccc1F ZINC001258674097 1063742771 /nfs/dbraw/zinc/74/27/71/1063742771.db2.gz YUYGLUQOQONJHW-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CCc1ccc(CN[C@@H]2C[C@@]3(CCOC3)Oc3ccccc32)cc1 ZINC001461838922 1063743775 /nfs/dbraw/zinc/74/37/75/1063743775.db2.gz VIEQWQCMVKWOEK-TZIWHRDSSA-N 0 3 323.436 4.022 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CC[C@@]2(CCCO2)C1 ZINC001258677016 1063744680 /nfs/dbraw/zinc/74/46/80/1063744680.db2.gz BTJMOCQBEQLFCG-LRDDRELGSA-N 0 3 314.256 4.179 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)c(F)c1 ZINC001461842198 1063746103 /nfs/dbraw/zinc/74/61/03/1063746103.db2.gz FJNIIAHPAPIDNK-DNVCBOLYSA-N 0 3 313.416 4.460 20 0 DIADHN COC1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)CC1 ZINC001258679052 1063747518 /nfs/dbraw/zinc/74/75/18/1063747518.db2.gz ZFHSIDXGAUCYML-LLVKDONJSA-N 0 3 302.245 4.035 20 0 DIADHN Cc1csc2nc(C)c(CN(Cc3ccccc3)C(C)C)n12 ZINC001461847316 1063749229 /nfs/dbraw/zinc/74/92/29/1063749229.db2.gz VOJKLQDHXOTTOG-UHFFFAOYSA-N 0 3 313.470 4.423 20 0 DIADHN CCOC(=O)c1ccc(CN(C)[C@@H](c2ccccc2)C(C)C)[nH]1 ZINC001461855021 1063750733 /nfs/dbraw/zinc/75/07/33/1063750733.db2.gz VJESBWXEUWBPGD-GOSISDBHSA-N 0 3 314.429 4.021 20 0 DIADHN CC(=O)[C@H]1CCCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684428 1063751523 /nfs/dbraw/zinc/75/15/23/1063751523.db2.gz UORJFZHRORHOOZ-FZMZJTMJSA-N 0 3 314.256 4.225 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N[C@@H]1C(=O)Nc2cccc(C)c21 ZINC001258701335 1063760132 /nfs/dbraw/zinc/76/01/32/1063760132.db2.gz GZWBYKCRESODRZ-PXNSSMCTSA-N 0 3 322.452 4.379 20 0 DIADHN Cc1[nH]nc(CN(C)C2c3ccccc3-c3ccccc32)c1C ZINC001461871525 1063762310 /nfs/dbraw/zinc/76/23/10/1063762310.db2.gz RBBCTIXHZFTJDT-UHFFFAOYSA-N 0 3 303.409 4.228 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC001461873652 1063763101 /nfs/dbraw/zinc/76/31/01/1063763101.db2.gz PYLSYJXPTVYRQO-SFHVURJKSA-N 0 3 307.397 4.179 20 0 DIADHN CC1(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)CCCC1 ZINC001461878040 1063768945 /nfs/dbraw/zinc/76/89/45/1063768945.db2.gz YWTIANJSDVBFCE-UHFFFAOYSA-N 0 3 303.290 4.383 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(SC)o2)C1(CC)CC ZINC001461877741 1063770103 /nfs/dbraw/zinc/77/01/03/1063770103.db2.gz VFHRGLISLKXMSV-GJZGRUSLSA-N 0 3 311.491 4.417 20 0 DIADHN CC[C@@H]1CN(Cc2cn(C3CC3)cn2)CC[C@@H]1c1ccccc1 ZINC001461879579 1063770579 /nfs/dbraw/zinc/77/05/79/1063770579.db2.gz GCOFBPLYPJUABA-UZLBHIALSA-N 0 3 309.457 4.234 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1cc2ccc(F)cc2[nH]c1=O ZINC001461883167 1063771993 /nfs/dbraw/zinc/77/19/93/1063771993.db2.gz SWLHTLIASVSMAT-LLVKDONJSA-N 0 3 316.401 4.334 20 0 DIADHN CCn1cncc1CN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC001461888961 1063777929 /nfs/dbraw/zinc/77/79/29/1063777929.db2.gz ZCSPHOLBXOEIIK-HYSAVQALSA-N 0 3 309.457 4.361 20 0 DIADHN CCOC(=O)c1ccc(CN(Cc2ccccc2)CC(C)C)o1 ZINC001461889192 1063778518 /nfs/dbraw/zinc/77/85/18/1063778518.db2.gz SIHLSVDMOKNCCO-UHFFFAOYSA-N 0 3 315.413 4.115 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCc1nc2ccccc2o1 ZINC001258736420 1063783782 /nfs/dbraw/zinc/78/37/82/1063783782.db2.gz SKHWZLLQLYFDGN-GFCCVEGCSA-N 0 3 300.789 4.202 20 0 DIADHN Cc1ccc(C(=O)CN[C@H](C)Cc2cccc(Cl)c2)cc1 ZINC001258738594 1063786493 /nfs/dbraw/zinc/78/64/93/1063786493.db2.gz APYJJGNJJXBZFE-CQSZACIVSA-N 0 3 301.817 4.052 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)Cc1cccc(Cl)c1 ZINC001258737599 1063787487 /nfs/dbraw/zinc/78/74/87/1063787487.db2.gz HEZRWGCUWDXYKY-LBPRGKRZSA-N 0 3 307.796 4.209 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCc1noc2ccccc12 ZINC001258743124 1063793077 /nfs/dbraw/zinc/79/30/77/1063793077.db2.gz YJNHDXMWKQAVOO-LBPRGKRZSA-N 0 3 300.789 4.202 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H](CC(C)C)C2)[nH]c1C(C)C ZINC001461915285 1063797787 /nfs/dbraw/zinc/79/77/87/1063797787.db2.gz QSSYJKABYWFXEA-OAHLLOKOSA-N 0 3 320.477 4.183 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@H](Nc2ccccc2)C1 ZINC001461915511 1063798100 /nfs/dbraw/zinc/79/81/00/1063798100.db2.gz UFGSRYPSPWDLHB-SFHVURJKSA-N 0 3 312.432 4.465 20 0 DIADHN Cc1cc(F)ncc1CN1CC[C@@](C)(CCc2ccccc2)C1 ZINC001461916136 1063798198 /nfs/dbraw/zinc/79/81/98/1063798198.db2.gz AZFBUNWZSQHGLA-HXUWFJFHSA-N 0 3 312.432 4.374 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2C(C)(C)C)c(F)cc1OC ZINC001461920554 1063801984 /nfs/dbraw/zinc/80/19/84/1063801984.db2.gz LLWHVDXOKHQBFY-QGZVFWFLSA-N 0 3 309.425 4.244 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(C)c(Cl)c2)cc1 ZINC001461925049 1063809178 /nfs/dbraw/zinc/80/91/78/1063809178.db2.gz GXICAJPTLMFQKV-KRWDZBQOSA-N 0 3 315.844 4.474 20 0 DIADHN CC(C)CO[C@@H]1CCN([C@@H]2C[C@H](C)Sc3sccc32)C1 ZINC001461929273 1063814444 /nfs/dbraw/zinc/81/44/44/1063814444.db2.gz RXCHMXWVXLPVDO-GZBFAFLISA-N 0 3 311.516 4.420 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2cccc(Cl)c2C)cc1 ZINC001461930650 1063817485 /nfs/dbraw/zinc/81/74/85/1063817485.db2.gz YTOQQNXGAUXOGM-KRWDZBQOSA-N 0 3 315.844 4.474 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cnc3ccccc3n2)c(C)c1 ZINC001461938285 1063822319 /nfs/dbraw/zinc/82/23/19/1063822319.db2.gz LTYIYSJVVNTPFK-INIZCTEOSA-N 0 3 305.425 4.440 20 0 DIADHN CCc1cnc(CN(CCc2ccccc2)CC(C)C)s1 ZINC001461936627 1063824482 /nfs/dbraw/zinc/82/44/82/1063824482.db2.gz QZYCHLANZVECEU-UHFFFAOYSA-N 0 3 302.487 4.406 20 0 DIADHN Cc1nn(C)c(CN2CCC[C@@H]2CC2CCCCC2)c1Cl ZINC001461941855 1063827068 /nfs/dbraw/zinc/82/70/68/1063827068.db2.gz WSCCRJIESAYAPT-OAHLLOKOSA-N 0 3 309.885 4.317 20 0 DIADHN CO[C@@H]1CCCN(Cc2cnc(-c3ccc(C)c(C)c3)s2)C1 ZINC001461947444 1063832108 /nfs/dbraw/zinc/83/21/08/1063832108.db2.gz BQBNNRBAIDRQDD-MRXNPFEDSA-N 0 3 316.470 4.038 20 0 DIADHN Cc1cc(CN(CCCO)Cc2ccccc2)ccc1Cl ZINC001461949544 1063832353 /nfs/dbraw/zinc/83/23/53/1063832353.db2.gz MVMUBJKFPYZCTB-UHFFFAOYSA-N 0 3 303.833 4.033 20 0 DIADHN CCN(Cc1cccc(C)c1F)[C@@H](COC)Cc1ccccc1 ZINC001461955932 1063837942 /nfs/dbraw/zinc/83/79/42/1063837942.db2.gz FUYZEFPZZISOKM-LJQANCHMSA-N 0 3 315.432 4.214 20 0 DIADHN COC(=O)c1cccc2c1CN(C1CCC(C(C)C)CC1)C2 ZINC001258805212 1063838642 /nfs/dbraw/zinc/83/86/42/1063838642.db2.gz IOMLJSIHWGQPAY-UHFFFAOYSA-N 0 3 301.430 4.004 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@H](c2ccc(F)cc2)C1 ZINC001461963654 1063843992 /nfs/dbraw/zinc/84/39/92/1063843992.db2.gz IRIDYLCVMNTOCZ-AYBZRNKSSA-N 0 3 305.437 4.415 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC[C@H](C)C3)co2)cc1 ZINC001258814577 1063844772 /nfs/dbraw/zinc/84/47/72/1063844772.db2.gz LHPAJAXDMGIDLU-DZGCQCFKSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1coc(CN2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)c1 ZINC001461986123 1063859891 /nfs/dbraw/zinc/85/98/91/1063859891.db2.gz IMMDRPQKTYXSDG-SFHVURJKSA-N 0 3 319.832 4.187 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1cc(-c2ccccc2)on1 ZINC001258841316 1063863043 /nfs/dbraw/zinc/86/30/43/1063863043.db2.gz JQMBJPBWVPMHPS-GOSISDBHSA-N 0 3 306.409 4.453 20 0 DIADHN CC(C)[C@H]1CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)CCO1 ZINC001461995556 1063868514 /nfs/dbraw/zinc/86/85/14/1063868514.db2.gz KPEUSPOHKADIOL-XJKSGUPXSA-N 0 3 315.379 4.166 20 0 DIADHN COc1ccccc1C[C@H](C)NCc1c(F)ccc(C)c1F ZINC001258880541 1063869217 /nfs/dbraw/zinc/86/92/17/1063869217.db2.gz VLFAHKDBPCZNRI-ZDUSSCGKSA-N 0 3 305.368 4.003 20 0 DIADHN Cc1ccc(CN(CCO)[C@@H](C)c2nccc3ccccc32)cc1 ZINC001461999229 1063870301 /nfs/dbraw/zinc/87/03/01/1063870301.db2.gz XKCBWROQEKZXFL-KRWDZBQOSA-N 0 3 320.436 4.099 20 0 DIADHN c1nc(CCc2ccccc2)sc1CN1CCCCCC1 ZINC001462006564 1063876159 /nfs/dbraw/zinc/87/61/59/1063876159.db2.gz FRASLYOYPDJESF-UHFFFAOYSA-N 0 3 300.471 4.304 20 0 DIADHN Cc1ccccc1OCCN(C)C/C=C\c1ccc(F)cc1F ZINC001462025944 1063885715 /nfs/dbraw/zinc/88/57/15/1063885715.db2.gz MCDKEAQWIIGQRH-ALCCZGGFSA-N 0 3 317.379 4.297 20 0 DIADHN CC(C)[C@H](C)N(Cc1nc2cc(Cl)ccc2n1C)C1CC1 ZINC001462028538 1063887958 /nfs/dbraw/zinc/88/79/58/1063887958.db2.gz ZEWKSINAJJANMZ-LBPRGKRZSA-N 0 3 305.853 4.236 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(Cl)c(C)c1 ZINC001462029146 1063888702 /nfs/dbraw/zinc/88/87/02/1063888702.db2.gz QLOXNPQWZIHGGT-UHFFFAOYSA-N 0 3 303.833 4.297 20 0 DIADHN Cc1c(Cl)ccc(CN(CCO)C2CCCC2)c1Cl ZINC001462026939 1063889983 /nfs/dbraw/zinc/88/99/83/1063889983.db2.gz PNRNPCULJFABLE-UHFFFAOYSA-N 0 3 302.245 4.039 20 0 DIADHN COc1cc(C)c(CN(C)Cc2csc(C)c2C)cc1OC ZINC001462036197 1063893795 /nfs/dbraw/zinc/89/37/95/1063893795.db2.gz USFFZJBEPQMCIA-UHFFFAOYSA-N 0 3 319.470 4.323 20 0 DIADHN Cn1cccc1[C@H]1CCCN1CCc1ccc(F)cc1Cl ZINC001462035993 1063894003 /nfs/dbraw/zinc/89/40/03/1063894003.db2.gz SPRDNZJPWHNADT-QGZVFWFLSA-N 0 3 306.812 4.197 20 0 DIADHN CCNc1ccccc1CN1CCC[C@H]1c1ccc(COC)o1 ZINC001462044289 1063896869 /nfs/dbraw/zinc/89/68/69/1063896869.db2.gz GZLAUNRIEOAVIT-SFHVURJKSA-N 0 3 314.429 4.195 20 0 DIADHN CCNc1ccccc1CN1CCC[C@@H]1c1ccc(COC)o1 ZINC001462044283 1063897592 /nfs/dbraw/zinc/89/75/92/1063897592.db2.gz GZLAUNRIEOAVIT-GOSISDBHSA-N 0 3 314.429 4.195 20 0 DIADHN CCCCCN(CCO)Cc1ccc(Oc2ccccc2)o1 ZINC001462044761 1063900667 /nfs/dbraw/zinc/90/06/67/1063900667.db2.gz WGQYLPMEEKZHRL-UHFFFAOYSA-N 0 3 303.402 4.056 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN(Cc2cc(C)cc(Cl)c2)C1 ZINC001462069176 1063912837 /nfs/dbraw/zinc/91/28/37/1063912837.db2.gz RELJPVDMOYFQTP-HNNXBMFYSA-N 0 3 323.864 4.060 20 0 DIADHN COc1c(C)cnc(CN(C)C[C@@]2(C)C[C@@H]2c2ccccc2)c1C ZINC001462073250 1063915824 /nfs/dbraw/zinc/91/58/24/1063915824.db2.gz BQJWZPSIZLSTPV-WIYYLYMNSA-N 0 3 324.468 4.333 20 0 DIADHN COc1c(C)cnc(CN(C)Cc2cccc3ccoc32)c1C ZINC001462077194 1063917678 /nfs/dbraw/zinc/91/76/78/1063917678.db2.gz YIQSSABHBUFXAV-UHFFFAOYSA-N 0 3 310.397 4.085 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CC[C@H]3CCCC[C@@H]3C1)CO2 ZINC001462087675 1063922464 /nfs/dbraw/zinc/92/24/64/1063922464.db2.gz NRXSCYNUDTYHPK-NCZKRNLISA-N 0 3 307.384 4.347 20 0 DIADHN CC(C)n1cncc1CN1C[C@@H]2CCCC[C@]21c1ccccc1 ZINC001261441814 1063927589 /nfs/dbraw/zinc/92/75/89/1063927589.db2.gz WMFCHSRRNOWLET-ICSRJNTNSA-N 0 3 309.457 4.365 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(NC(=O)C2CC2)cc1 ZINC001261553579 1063930396 /nfs/dbraw/zinc/93/03/96/1063930396.db2.gz DUEGXQBXDHQQDD-UHFFFAOYSA-N 0 3 322.452 4.448 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@H]1C[C@@H]2[C@H](C1)C2(F)F ZINC001261600328 1063939558 /nfs/dbraw/zinc/93/95/58/1063939558.db2.gz ATSFXPWAKJFJQU-LETLQTKMSA-N 0 3 323.717 4.075 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1cccc(C(F)F)c1 ZINC001261852915 1063949495 /nfs/dbraw/zinc/94/94/95/1063949495.db2.gz SGGZPYBOTRTLIZ-KRWDZBQOSA-N 0 3 303.352 4.410 20 0 DIADHN COc1ccc2cc(CN[C@H]3CCCc4ncccc43)ccc2c1 ZINC001261852406 1063949598 /nfs/dbraw/zinc/94/95/98/1063949598.db2.gz BORQBKYORFKITN-NRFANRHFSA-N 0 3 318.420 4.411 20 0 DIADHN Fc1ccc(-c2nc(CNCCCC(F)F)cs2)cc1 ZINC001262193191 1063959654 /nfs/dbraw/zinc/95/96/54/1063959654.db2.gz DLLWQXUEZSRVRN-UHFFFAOYSA-N 0 3 300.349 4.084 20 0 DIADHN C[C@@H](NCCSc1ccncc1)c1nc2ccccc2s1 ZINC001262257356 1063960429 /nfs/dbraw/zinc/96/04/29/1063960429.db2.gz ITUVYZUPWCJADX-GFCCVEGCSA-N 0 3 315.467 4.134 20 0 DIADHN C[C@@H](NCc1cnn(C)c1C1CC1)c1csc2ccccc12 ZINC001262370017 1063964826 /nfs/dbraw/zinc/96/48/26/1063964826.db2.gz VROPYKPCJCTROM-GFCCVEGCSA-N 0 3 311.454 4.363 20 0 DIADHN C[C@@H](N[C@@H]1CCc2nc(Cl)ccc21)c1ccc2c(c1)CCO2 ZINC001262484575 1063965640 /nfs/dbraw/zinc/96/56/40/1063965640.db2.gz HHGBCIUVIOFEAI-IAQYHMDHSA-N 0 3 314.816 4.008 20 0 DIADHN Cc1csc([C@H](N[C@H]2C[C@H]2c2cccc(F)c2)C2CC2)n1 ZINC001262495123 1063966537 /nfs/dbraw/zinc/96/65/37/1063966537.db2.gz SROWLUYDWAIBDN-HRCADAONSA-N 0 3 302.418 4.187 20 0 DIADHN COc1ccccc1C[C@H](C)N[C@@H](C)c1c(F)cccc1OC ZINC000378871966 1063978105 /nfs/dbraw/zinc/97/81/05/1063978105.db2.gz PXJCNJXDJBSEGU-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN COc1ccc(CNCc2ccc(CC(C)C)cc2)cc1OC ZINC000378893647 1063980038 /nfs/dbraw/zinc/98/00/38/1063980038.db2.gz MRKHEKPHVMFTOK-UHFFFAOYSA-N 0 3 313.441 4.192 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](CO)C1)c1cc(Cl)sc1Cl ZINC000380711749 1063989412 /nfs/dbraw/zinc/98/94/12/1063989412.db2.gz CNLNGMFHQUYQQJ-AEJSXWLSSA-N 0 3 308.274 4.257 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2cccc(C(=O)OC)c2Cl)C1 ZINC000381709695 1063992694 /nfs/dbraw/zinc/99/26/94/1063992694.db2.gz QEJIPKUHMVUSHH-CHWSQXEVSA-N 0 3 309.837 4.137 20 0 DIADHN CC(C)N(Cc1ccc(Br)o1)Cc1ccccc1 ZINC000382081103 1063997195 /nfs/dbraw/zinc/99/71/95/1063997195.db2.gz IJYKSGPQOUTGNO-UHFFFAOYSA-N 0 3 308.219 4.453 20 0 DIADHN Cc1ccncc1CNCc1ccc(OCc2ccccc2)cc1 ZINC000382820084 1064000786 /nfs/dbraw/zinc/00/07/86/1064000786.db2.gz GSGFOPAAMIOIJF-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN COc1ccccc1C[C@H](C)NCc1nc2ccccc2s1 ZINC000385963805 1064031368 /nfs/dbraw/zinc/03/13/68/1064031368.db2.gz HFHIHYGCMXKQFB-ZDUSSCGKSA-N 0 3 312.438 4.026 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cnn(Cc3ccccc3)c2)c1 ZINC000390409016 1064158858 /nfs/dbraw/zinc/15/88/58/1064158858.db2.gz DRWVRTSSHPHNIR-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CC1(C)CC[C@H]1NCc1cc(Cl)ccc1Br ZINC000390592102 1064164118 /nfs/dbraw/zinc/16/41/18/1064164118.db2.gz SPJKJIWAKNZDOF-GFCCVEGCSA-N 0 3 302.643 4.381 20 0 DIADHN Cc1nnc([C@@H](C)N[C@H](C)CC(C)(C)c2ccccc2)s1 ZINC000391845984 1064205282 /nfs/dbraw/zinc/20/52/82/1064205282.db2.gz HGBWZVWYQANRDO-CHWSQXEVSA-N 0 3 303.475 4.254 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CC[C@H](C)C[C@@H]2C)o1 ZINC001265341580 1064220324 /nfs/dbraw/zinc/22/03/24/1064220324.db2.gz IEHMVYBIJUOWRK-OFQRWUPVSA-N 0 3 307.434 4.103 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc3c(c2)CCCC3)cc1 ZINC000392189781 1064222250 /nfs/dbraw/zinc/22/22/50/1064222250.db2.gz RKXTZGMJHMOLAC-HNNXBMFYSA-N 0 3 323.436 4.203 20 0 DIADHN CN(Cc1ccc(Br)c(F)c1F)C1CCCC1 ZINC000701280817 1064244622 /nfs/dbraw/zinc/24/46/22/1064244622.db2.gz QHIYVRDUAQFORH-UHFFFAOYSA-N 0 3 304.178 4.102 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CN(CCCC(C)C)C[C@@H](C1)O2 ZINC001279520625 1064353136 /nfs/dbraw/zinc/35/31/36/1064353136.db2.gz VMDRAIFXKLLHPS-AQNXPRMDSA-N 0 3 324.553 4.024 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NCCN1CCC[C@@H](C)C1 ZINC001290891870 1064715442 /nfs/dbraw/zinc/71/54/42/1064715442.db2.gz QUFUJZHALSUEBL-MJGOQNOKSA-N 0 3 310.526 4.221 20 0 DIADHN CCC[C@@H](CC)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001290869879 1064716081 /nfs/dbraw/zinc/71/60/81/1064716081.db2.gz MTXIBCHUGYUYTN-ZBFHGGJFSA-N 0 3 310.507 4.074 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CCCC(C)C)c1ccsc1 ZINC001290870188 1064716280 /nfs/dbraw/zinc/71/62/80/1064716280.db2.gz QKUMEGKNASGRNK-INIZCTEOSA-N 0 3 310.507 4.074 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@H]1CC[C@H](C)CC1)c1ccsc1 ZINC001290870488 1064716498 /nfs/dbraw/zinc/71/64/98/1064716498.db2.gz UDYIXRHLPNBDRG-ZOBUZTSGSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)(C)CCN1CCN(C(=O)/C=C/C2CCCCCC2)CC1 ZINC001291908927 1064750672 /nfs/dbraw/zinc/75/06/72/1064750672.db2.gz IWBCIHGWEOEXQH-ZHACJKMWSA-N 0 3 320.521 4.093 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N(C)c1cccc(C(C)C)c1 ZINC001292037452 1064756561 /nfs/dbraw/zinc/75/65/61/1064756561.db2.gz CUVBJHVXDGAYIM-GOSISDBHSA-N 0 3 302.462 4.037 20 0 DIADHN CC1=C(C)C[C@H](C(=O)N(CCN(C)C)CC2CCCCC2)CC1 ZINC001292112073 1064760064 /nfs/dbraw/zinc/76/00/64/1064760064.db2.gz FMFRABFGOJRHFG-LJQANCHMSA-N 0 3 320.521 4.093 20 0 DIADHN CC1CCC(=CC(=O)N(CCN(C)C)CC2CCCCC2)CC1 ZINC001292113088 1064760247 /nfs/dbraw/zinc/76/02/47/1064760247.db2.gz VDFNNFKSOTUQKS-UHFFFAOYSA-N 0 3 320.521 4.093 20 0 DIADHN CC(C)(C(=O)Nc1ccc2c3c(cccc31)CC2)N1CCCCC1 ZINC001292097839 1064760327 /nfs/dbraw/zinc/76/03/27/1064760327.db2.gz WEDVDQQHALPPQZ-UHFFFAOYSA-N 0 3 322.452 4.141 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N[C@H](C)CCC1CCCCC1 ZINC001293400316 1064812457 /nfs/dbraw/zinc/81/24/57/1064812457.db2.gz SLGNTGDPPAPGBQ-AEFFLSMTSA-N 0 3 308.510 4.116 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(C)CCCCCC2)c1 ZINC001295090019 1064900824 /nfs/dbraw/zinc/90/08/24/1064900824.db2.gz QGFRYRIJONPHNL-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@H](C)N3[C@@H](C)CC[C@@H]3C)cc2c1C ZINC001296672715 1064954275 /nfs/dbraw/zinc/95/42/75/1064954275.db2.gz WPNZDNBAIKNIJM-OBJOEFQTSA-N 0 3 314.429 4.249 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCC[C@H]1C[C@H](C)CC(C)(C)C1 ZINC001297235368 1064974435 /nfs/dbraw/zinc/97/44/35/1064974435.db2.gz WWDVTEQZUSJWDC-OKZBNKHCSA-N 0 3 322.537 4.220 20 0 DIADHN CCC[C@H](NC(=O)c1cccc(CN2CCCC2)c1)C(C)(C)C ZINC001298073327 1064997106 /nfs/dbraw/zinc/99/71/06/1064997106.db2.gz UNEKHRXNQXEFOF-SFHVURJKSA-N 0 3 316.489 4.227 20 0 DIADHN CCCCCC[C@H](C)C(=O)Nc1ccc(CN(C)C)cc1OC ZINC001299107115 1065033382 /nfs/dbraw/zinc/03/33/82/1065033382.db2.gz YXRHPJMJWYEPNS-HNNXBMFYSA-N 0 3 320.477 4.302 20 0 DIADHN CCCCCCN(Cc1ccco1)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC001304793698 1065144362 /nfs/dbraw/zinc/14/43/62/1065144362.db2.gz YSBCUNMGOAUGGL-IRXDYDNUSA-N 0 3 320.477 4.061 20 0 DIADHN Oc1ccc(CCC2CCN(Cc3ccccc3F)CC2)cc1 ZINC001307414189 1065374671 /nfs/dbraw/zinc/37/46/71/1065374671.db2.gz FCOUOCCIEWZIJE-UHFFFAOYSA-N 0 3 313.416 4.376 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1cccc(N(C)C)c1 ZINC001307416226 1065376770 /nfs/dbraw/zinc/37/67/70/1065376770.db2.gz YBTDFQJZYSULOP-QGZVFWFLSA-N 0 3 300.471 4.324 20 0 DIADHN CC(C)Oc1ccnc(CN(C)CCCCc2ccccc2)c1 ZINC001307419942 1065380352 /nfs/dbraw/zinc/38/03/52/1065380352.db2.gz ZNEDSKPOQBXVPP-UHFFFAOYSA-N 0 3 312.457 4.324 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001307418561 1065381511 /nfs/dbraw/zinc/38/15/11/1065381511.db2.gz WVHHNWNPEDPPET-JKSUJKDBSA-N 0 3 314.256 4.383 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001307418551 1065381746 /nfs/dbraw/zinc/38/17/46/1065381746.db2.gz WVHHNWNPEDPPET-CVEARBPZSA-N 0 3 314.256 4.383 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC001307434046 1065392980 /nfs/dbraw/zinc/39/29/80/1065392980.db2.gz PZPBMMDLIGAPIV-CZUORRHYSA-N 0 3 319.399 4.161 20 0 DIADHN CCN(Cc1cc(F)ccc1Br)[C@H](C)C(C)C ZINC001307437678 1065400017 /nfs/dbraw/zinc/40/00/17/1065400017.db2.gz JEFWXXZVVLACJK-LLVKDONJSA-N 0 3 302.231 4.455 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN(C)CCC1CCCCC1 ZINC001307445237 1065405369 /nfs/dbraw/zinc/40/53/69/1065405369.db2.gz DEDMEMUNNGOWTO-UHFFFAOYSA-N 0 3 307.434 4.031 20 0 DIADHN CCOC(=O)[C@@H](CC(C)C)N1CCC[C@@H](c2ccccc2)CC1 ZINC001307453418 1065409084 /nfs/dbraw/zinc/40/90/84/1065409084.db2.gz QTXJLGRJVMXKLF-RTBURBONSA-N 0 3 317.473 4.234 20 0 DIADHN CCOC(=O)[C@@H](CC(C)C)N1CCC[C@H](c2ccccc2)CC1 ZINC001307453417 1065409377 /nfs/dbraw/zinc/40/93/77/1065409377.db2.gz QTXJLGRJVMXKLF-RBUKOAKNSA-N 0 3 317.473 4.234 20 0 DIADHN CCCC[C@H](CC)CN(C)[C@H]1CCN(c2ccccc2F)C1=O ZINC001307464675 1065414697 /nfs/dbraw/zinc/41/46/97/1065414697.db2.gz JOKAYYKFIYKIKJ-YJBOKZPZSA-N 0 3 320.452 4.079 20 0 DIADHN C[C@H](CN1CCC[C@H]1C(=O)OCc1ccccc1)CC(C)(C)C ZINC001307467731 1065417800 /nfs/dbraw/zinc/41/78/00/1065417800.db2.gz MVNQDSATDKJMDW-WMZOPIPTSA-N 0 3 317.473 4.267 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)CC1(Br)CC1 ZINC001307469830 1065419547 /nfs/dbraw/zinc/41/95/47/1065419547.db2.gz LZJKTNWUYXIFEY-NSHDSACASA-N 0 3 316.670 4.130 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@H](c3ccccc3)C[C@@H]2C)c1 ZINC001307473525 1065421819 /nfs/dbraw/zinc/42/18/19/1065421819.db2.gz YTXHDFMRJIIYDR-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN CC(C)[C@@H]1CCCN(CC(=O)NCCCC2CCCCC2)CC1 ZINC001307476724 1065423917 /nfs/dbraw/zinc/42/39/17/1065423917.db2.gz GWUGWZYQMLPTMB-LJQANCHMSA-N 0 3 322.537 4.221 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1CCSC(F)(F)F ZINC001307480870 1065427503 /nfs/dbraw/zinc/42/75/03/1065427503.db2.gz UMYHWAGIXLKWQQ-JQWIXIFHSA-N 0 3 307.356 4.257 20 0 DIADHN CCc1ccc(CN2CCN(C[C@H](C)CC(C)C)CC2)s1 ZINC001307484871 1065430519 /nfs/dbraw/zinc/43/05/19/1065430519.db2.gz SNOQTRQRZZWAJG-MRXNPFEDSA-N 0 3 308.535 4.110 20 0 DIADHN CCc1cc2oc(=O)cc(CN3C[C@H](C)C[C@H]3C)c2cc1Cl ZINC001307491394 1065434848 /nfs/dbraw/zinc/43/48/48/1065434848.db2.gz WSQGJCCKWJBTQP-VXGBXAGGSA-N 0 3 319.832 4.239 20 0 DIADHN COC(=O)c1ccc(CN2CCC3(C2)CCCCC3)cc1Cl ZINC001307493243 1065436698 /nfs/dbraw/zinc/43/66/98/1065436698.db2.gz VWGKKKILWLNKNS-UHFFFAOYSA-N 0 3 321.848 4.283 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)CC[C@@H]3C)co2)cc1 ZINC001307492189 1065436756 /nfs/dbraw/zinc/43/67/56/1065436756.db2.gz HRZYSXVWQWFOCZ-GJZGRUSLSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(CCCN2C[C@@H](C)OC[C@H]2c2ccccc2)cc1 ZINC001307496774 1065439293 /nfs/dbraw/zinc/43/92/93/1065439293.db2.gz NCUYSQXWFIKNJL-NQIIRXRSSA-N 0 3 309.453 4.390 20 0 DIADHN COc1cc(CN(C)[C@H]2CCC[C@@H]2c2ccc(C)cc2)sn1 ZINC001307495536 1065439739 /nfs/dbraw/zinc/43/97/39/1065439739.db2.gz OANSFIVZXNEYQB-SJORKVTESA-N 0 3 316.470 4.228 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307499613 1065443859 /nfs/dbraw/zinc/44/38/59/1065443859.db2.gz JNPJCKDAUJYTMR-CMPLNLGQSA-N 0 3 315.244 4.052 20 0 DIADHN COC(=O)/C(C)=C/CN(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001307501247 1065443949 /nfs/dbraw/zinc/44/39/49/1065443949.db2.gz KYUXSHHUHMYNPU-ZQHZRZASSA-N 0 3 323.436 4.466 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)C[C@@H]3CC)co2)cc1 ZINC001307501882 1065446565 /nfs/dbraw/zinc/44/65/65/1065446565.db2.gz UJDKAGBANDMKHF-YOEHRIQHSA-N 0 3 314.429 4.361 20 0 DIADHN CC[C@@H]1CCCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001307513585 1065453005 /nfs/dbraw/zinc/45/30/05/1065453005.db2.gz MGRRRZXEKMVCNV-IAQYHMDHSA-N 0 3 302.245 4.149 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1cccc(C(F)(F)F)c1 ZINC001307512588 1065453194 /nfs/dbraw/zinc/45/31/94/1065453194.db2.gz SNGHAXQHTBVELJ-GFCCVEGCSA-N 0 3 316.367 4.012 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)c(Cl)n1 ZINC001307518603 1065457363 /nfs/dbraw/zinc/45/73/63/1065457363.db2.gz FVWCUFUTMMUUMH-DOTOQJQBSA-N 0 3 314.860 4.497 20 0 DIADHN COC(=O)c1oc([C@@H](C)N2CCC(CC(C)C)CC2)cc1C ZINC001307523327 1065461478 /nfs/dbraw/zinc/46/14/78/1065461478.db2.gz JDILRPHFCYNENG-CQSZACIVSA-N 0 3 307.434 4.194 20 0 DIADHN COC(=O)c1oc([C@H](C)N2CCC(CC(C)C)CC2)cc1C ZINC001307523325 1065462772 /nfs/dbraw/zinc/46/27/72/1065462772.db2.gz JDILRPHFCYNENG-AWEZNQCLSA-N 0 3 307.434 4.194 20 0 DIADHN Clc1ccc(CCN2CCOC3(C2)CCCCCC3)cc1 ZINC001307530683 1065467137 /nfs/dbraw/zinc/46/71/37/1065467137.db2.gz PVAQRGZMSJQPJS-UHFFFAOYSA-N 0 3 307.865 4.308 20 0 DIADHN CC(C)[C@@H](NCc1cn[nH]n1)c1ccc(C2CCCCC2)cc1 ZINC001307538114 1065472568 /nfs/dbraw/zinc/47/25/68/1065472568.db2.gz BZQWPLNYZRXCLB-LJQANCHMSA-N 0 3 312.461 4.339 20 0 DIADHN CC(C)[C@@H](NCc1c[nH]nn1)c1ccc(C2CCCCC2)cc1 ZINC001307538114 1065472573 /nfs/dbraw/zinc/47/25/73/1065472573.db2.gz BZQWPLNYZRXCLB-LJQANCHMSA-N 0 3 312.461 4.339 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC001307551427 1065479051 /nfs/dbraw/zinc/47/90/51/1065479051.db2.gz IQAFRYFHKOZAHB-YSGRDPCXSA-N 0 3 311.425 4.305 20 0 DIADHN CCCOC(=O)[C@H](C)N(CC(C)C)[C@@H](CC)c1ccccc1 ZINC001307554137 1065479862 /nfs/dbraw/zinc/47/98/62/1065479862.db2.gz CZTNTUVOWQBQEO-WMZOPIPTSA-N 0 3 305.462 4.437 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1c(C)nn(C)c1Cl ZINC001307573654 1065489669 /nfs/dbraw/zinc/48/96/69/1065489669.db2.gz IHEXGKRNWYGOQH-MRXNPFEDSA-N 0 3 319.880 4.225 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001307579212 1065493085 /nfs/dbraw/zinc/49/30/85/1065493085.db2.gz MHPZWGJEKQVZPW-YJBOKZPZSA-N 0 3 316.489 4.451 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCO[C@@](C)(c3ccccc3)C2)C1 ZINC001307580656 1065493159 /nfs/dbraw/zinc/49/31/59/1065493159.db2.gz XCERLLQUPNKAKU-WSTZPKSXSA-N 0 3 301.474 4.450 20 0 DIADHN CC(C)SCCN(C)Cc1cccc(OC(F)(F)F)c1 ZINC001307580691 1065494365 /nfs/dbraw/zinc/49/43/65/1065494365.db2.gz XLHQAVHDIRQUSZ-UHFFFAOYSA-N 0 3 307.381 4.159 20 0 DIADHN c1nnn(-c2ccccc2)c1CN1CCC[C@H]1CCC1CCCC1 ZINC001307582701 1065496236 /nfs/dbraw/zinc/49/62/36/1065496236.db2.gz HDGBGLYAIOXQTG-SFHVURJKSA-N 0 3 324.472 4.202 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H]1CCC2(CCC2)CO1 ZINC001307587700 1065498544 /nfs/dbraw/zinc/49/85/44/1065498544.db2.gz DAGYFISYPOFDRF-RVKKMQEKSA-N 0 3 317.448 4.483 20 0 DIADHN Cc1cc(CN2C[C@@H](C)N(Cc3ccccc3)C[C@@H]2C)cs1 ZINC001307589112 1065500751 /nfs/dbraw/zinc/50/07/51/1065500751.db2.gz KOOZLEODORAWCH-CVEARBPZSA-N 0 3 314.498 4.151 20 0 DIADHN CC[C@@H](NCc1ccnc(Br)c1)c1ccc(F)cc1 ZINC001307600714 1065506436 /nfs/dbraw/zinc/50/64/36/1065506436.db2.gz LHNAGTCWACMEFT-CQSZACIVSA-N 0 3 323.209 4.224 20 0 DIADHN CC[C@H](NCc1c[nH]c(=O)c2ccccc12)c1ccc(F)cc1 ZINC001307600658 1065507708 /nfs/dbraw/zinc/50/77/08/1065507708.db2.gz JLMQDWBEZGUUQA-SFHVURJKSA-N 0 3 310.372 4.320 20 0 DIADHN C[C@H]1CN(Cc2nn(-c3ccccc3)c3c2CCC3)CC(C)(C)C1 ZINC001307606337 1065512087 /nfs/dbraw/zinc/51/20/87/1065512087.db2.gz IIACFZKALBPTQB-MRXNPFEDSA-N 0 3 323.484 4.229 20 0 DIADHN CCC[C@@H](NC[C@@H]1CC2(CCC2)CO1)c1ccc(F)cc1F ZINC001307613385 1065516209 /nfs/dbraw/zinc/51/62/09/1065516209.db2.gz LUAUWYFYFNTNTQ-WMLDXEAASA-N 0 3 309.400 4.355 20 0 DIADHN Clc1ccc(C[C@H](NCc2cnon2)C2CCCCC2)cc1 ZINC001307616149 1065518799 /nfs/dbraw/zinc/51/87/99/1065518799.db2.gz ZHROBBYGFYOWSZ-KRWDZBQOSA-N 0 3 319.836 4.004 20 0 DIADHN CC(C)[C@@H](NCC1CCC2(CC2)CC1)c1nc(C2CC2)no1 ZINC001307615463 1065519157 /nfs/dbraw/zinc/51/91/57/1065519157.db2.gz WQOHTLMRIAMANJ-OAHLLOKOSA-N 0 3 303.450 4.204 20 0 DIADHN CC(C)[C@H](NCC1CCC2(CC2)CC1)c1nc(C2CC2)no1 ZINC001307615462 1065519343 /nfs/dbraw/zinc/51/93/43/1065519343.db2.gz WQOHTLMRIAMANJ-HNNXBMFYSA-N 0 3 303.450 4.204 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCOC1CCCCCC1 ZINC001307619847 1065522168 /nfs/dbraw/zinc/52/21/68/1065522168.db2.gz JENGCSGGXCCDLH-CQSZACIVSA-N 0 3 309.425 4.224 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](CC(C)C)c1ccccc1 ZINC001307622077 1065522670 /nfs/dbraw/zinc/52/26/70/1065522670.db2.gz WGZHHVGUHXYTED-HKUYNNGSSA-N 0 3 318.505 4.256 20 0 DIADHN CC[C@H](NCc1cc(C)sc1C)c1ccc2c(c1)OCCO2 ZINC001307623560 1065524023 /nfs/dbraw/zinc/52/40/23/1065524023.db2.gz MAUDPTYATZTEEI-INIZCTEOSA-N 0 3 317.454 4.377 20 0 DIADHN CC[C@H](NC[C@@H]1CCCC2(CCC2)O1)c1ccc(F)cc1F ZINC001307625511 1065525597 /nfs/dbraw/zinc/52/55/97/1065525597.db2.gz QDJIQKKDGKQGGH-YOEHRIQHSA-N 0 3 309.400 4.497 20 0 DIADHN CC[C@H](NC[C@@](O)(CC)C(F)(F)F)c1cccc(Cl)c1 ZINC001307630070 1065528458 /nfs/dbraw/zinc/52/84/58/1065528458.db2.gz JCEJESICSXHDRM-STQMWFEESA-N 0 3 309.759 4.084 20 0 DIADHN CC(C)[C@@](O)(CN[C@H](C)c1cccc(F)c1F)c1ccccc1 ZINC001307635139 1065533108 /nfs/dbraw/zinc/53/31/08/1065533108.db2.gz ORMQWFSEIKPYHT-KUHUBIRLSA-N 0 3 319.395 4.159 20 0 DIADHN CC[C@H](NCc1cccc(NC(C)=O)c1)c1ccc(C)c(F)c1 ZINC001307641847 1065537071 /nfs/dbraw/zinc/53/70/71/1065537071.db2.gz CQEGWHIZEJKVMR-IBGZPJMESA-N 0 3 314.404 4.333 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccnc(Br)c2)c1 ZINC001307651210 1065542472 /nfs/dbraw/zinc/54/24/72/1065542472.db2.gz GGLARRJGYLNSJD-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@]2(C)Cc2ccccc2)cc1 ZINC001307655725 1065545244 /nfs/dbraw/zinc/54/52/44/1065545244.db2.gz PSACADDDPMESGN-NRFANRHFSA-N 0 3 322.452 4.031 20 0 DIADHN C[C@@H]1COc2ccccc2CN1Cc1cccc2ncccc12 ZINC001307671470 1065553796 /nfs/dbraw/zinc/55/37/96/1065553796.db2.gz DSCGKFDNJLXUKA-OAHLLOKOSA-N 0 3 304.393 4.018 20 0 DIADHN CC1(C)CCCN(CCc2cc(Cl)c3c(c2)OCCCO3)C1 ZINC001307683921 1065560742 /nfs/dbraw/zinc/56/07/42/1065560742.db2.gz QAJDVQUEEGMKRG-UHFFFAOYSA-N 0 3 323.864 4.166 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCCC[C@H](C)C1 ZINC001307692461 1065566462 /nfs/dbraw/zinc/56/64/62/1065566462.db2.gz IKGWVIMAZKNHTP-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(C)c2c(c1)CN(Cc1ccc3cnccc3c1)CC2 ZINC001307696937 1065568672 /nfs/dbraw/zinc/56/86/72/1065568672.db2.gz QJCVSDNFBVLOCI-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN CC(C)c1ccc(CN2CC[C@H](C)C[C@@H]2C(N)=O)c(C(C)C)c1 ZINC001307705747 1065574656 /nfs/dbraw/zinc/57/46/56/1065574656.db2.gz NMZHMUVOALOUCA-HNAYVOBHSA-N 0 3 316.489 4.019 20 0 DIADHN CCOC(=O)/C=C/CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC001307707629 1065576672 /nfs/dbraw/zinc/57/66/72/1065576672.db2.gz HHJZLEORHSBDRM-PPGNKHEKSA-N 0 3 317.816 4.263 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1cc(Cl)cc(Cl)c1F ZINC001307715089 1065580469 /nfs/dbraw/zinc/58/04/69/1065580469.db2.gz FRIYFMWCPTVHDV-STQMWFEESA-N 0 3 306.208 4.180 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1cn(C)nc1C ZINC001307713205 1065581271 /nfs/dbraw/zinc/58/12/71/1065581271.db2.gz QBHZQMIVWPIXAP-UHFFFAOYSA-N 0 3 305.491 4.371 20 0 DIADHN Cc1ccc(CNC(C)(C)COCc2ccccc2)nc1Cl ZINC001307719885 1065584944 /nfs/dbraw/zinc/58/49/44/1065584944.db2.gz PWQULYBXNTUCKR-UHFFFAOYSA-N 0 3 318.848 4.128 20 0 DIADHN F[C@]1(c2ccccc2)CCN(CC2([C@@H]3CCCCO3)CCC2)C1 ZINC001307721779 1065585370 /nfs/dbraw/zinc/58/53/70/1065585370.db2.gz QILVXVHAXKBJSB-AZUAARDMSA-N 0 3 317.448 4.297 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@@H]1CCC[C@@H](C)C1 ZINC001307728273 1065588084 /nfs/dbraw/zinc/58/80/84/1065588084.db2.gz KFNBFCDJQXQFRX-FPAYPSAMSA-N 0 3 315.457 4.191 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@@H](C)CC(C)(C)C ZINC001307728141 1065589476 /nfs/dbraw/zinc/58/94/76/1065589476.db2.gz IABUPDLEHNZCRQ-SZMVWBNQSA-N 0 3 317.473 4.437 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H]2[C@@H]2C[C@@H](C)CC[C@H]2O)c1F ZINC001307732918 1065593246 /nfs/dbraw/zinc/59/32/46/1065593246.db2.gz SJVOUGZRFQMJOW-MZTNWIODSA-N 0 3 323.427 4.035 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1CC/C=C/c1ccccc1 ZINC001307736178 1065593933 /nfs/dbraw/zinc/59/39/33/1065593933.db2.gz KWOMJPQHQKPPEG-JGZYNSJSSA-N 0 3 324.443 4.440 20 0 DIADHN Cc1c(Br)cccc1CN1CCSCC[C@H]1C ZINC001307739974 1065596367 /nfs/dbraw/zinc/59/63/67/1065596367.db2.gz MBQYWHIAVYKLOB-LLVKDONJSA-N 0 3 314.292 4.085 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2c(F)cccc2F)[C@@H](C)[C@H]1OC ZINC001307744587 1065600724 /nfs/dbraw/zinc/60/07/24/1065600724.db2.gz LQPAYUHYRVFKOR-YLGOIMDESA-N 0 3 311.416 4.455 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(-c3ccccc3)no2)C[C@@H]1OC ZINC001307744852 1065600757 /nfs/dbraw/zinc/60/07/57/1065600757.db2.gz UJDMCAQNLYAHQS-MSOLQXFVSA-N 0 3 314.429 4.025 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(F)cc2Cl)C12CCCC2 ZINC001307751254 1065604486 /nfs/dbraw/zinc/60/44/86/1065604486.db2.gz FRSOYGFMHKXDIH-HZPDHXFCSA-N 0 3 311.828 4.307 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@@H](C)C[C@H]2c2ccccc2)c1 ZINC001307750512 1065604919 /nfs/dbraw/zinc/60/49/19/1065604919.db2.gz IPVTXKFOZFVZHI-LPHOPBHVSA-N 0 3 308.425 4.017 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@H](C)C[C@@H]2c2ccccc2)c1 ZINC001307750514 1065604942 /nfs/dbraw/zinc/60/49/42/1065604942.db2.gz IPVTXKFOZFVZHI-VQIMIIECSA-N 0 3 308.425 4.017 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2sccc2Cl)C1(C)C ZINC001307756924 1065607886 /nfs/dbraw/zinc/60/78/86/1065607886.db2.gz KRLLZWSTWDKGQU-KBPBESRZSA-N 0 3 301.883 4.475 20 0 DIADHN CC/C=C\CCN1CCN(c2ccc(CC)c(Cl)c2)CC1 ZINC001307763114 1065610000 /nfs/dbraw/zinc/61/00/00/1065610000.db2.gz RENYYLFZURDUQD-WAYWQWQTSA-N 0 3 306.881 4.381 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCCCCCCC1 ZINC001307772769 1065613002 /nfs/dbraw/zinc/61/30/02/1065613002.db2.gz HRRHRZZYHODZPG-QGZVFWFLSA-N 0 3 302.462 4.287 20 0 DIADHN CC1(C)CC[C@@H]1NCc1cc(Br)cc(F)c1F ZINC001307778635 1065617861 /nfs/dbraw/zinc/61/78/61/1065617861.db2.gz OQIXQGMKNLNDSX-NSHDSACASA-N 0 3 304.178 4.006 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccccc3)C2)cc(Cl)n1 ZINC001307782289 1065619938 /nfs/dbraw/zinc/61/99/38/1065619938.db2.gz AHLYBSVNEAEWGU-KRWDZBQOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccoc1CN(C)CCn1ccc2cc(Cl)ccc21 ZINC001307790957 1065621221 /nfs/dbraw/zinc/62/12/21/1065621221.db2.gz JQANJVNAUGJGEV-UHFFFAOYSA-N 0 3 302.805 4.328 20 0 DIADHN Cc1ccc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)cc1C ZINC001307790310 1065621374 /nfs/dbraw/zinc/62/13/74/1065621374.db2.gz YGNFNQWEGUUXRC-SFHVURJKSA-N 0 3 303.833 4.122 20 0 DIADHN COc1c(C)cnc(CN2Cc3cccc(C)c3CC2(C)C)c1C ZINC001307789789 1065621908 /nfs/dbraw/zinc/62/19/08/1065621908.db2.gz UEHSNVZDSILEEY-UHFFFAOYSA-N 0 3 324.468 4.352 20 0 DIADHN CCN(CC(=O)Nc1ccc(C(F)(F)F)cc1)CC(C)(C)C ZINC001307795479 1065623444 /nfs/dbraw/zinc/62/34/44/1065623444.db2.gz ZQIZJYIYADKORG-UHFFFAOYSA-N 0 3 316.367 4.012 20 0 DIADHN Fc1ccccc1[C@H]1CCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC001307792779 1065624499 /nfs/dbraw/zinc/62/44/99/1065624499.db2.gz QPGRKONKECNXQC-NWDGAFQWSA-N 0 3 302.220 4.199 20 0 DIADHN C[C@H](NCCN1CCCc2ccccc21)c1ccccc1Cl ZINC001307796365 1065625505 /nfs/dbraw/zinc/62/55/05/1065625505.db2.gz HRBDMZRGGXLRPZ-HNNXBMFYSA-N 0 3 314.860 4.443 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](C)c1cccc(C2CC2)c1 ZINC001307797627 1065625783 /nfs/dbraw/zinc/62/57/83/1065625783.db2.gz CAMXBXPSUCBDOV-HOTGVXAUSA-N 0 3 316.489 4.108 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](C)c1cccc(C2CC2)c1 ZINC001307797626 1065626326 /nfs/dbraw/zinc/62/63/26/1065626326.db2.gz CAMXBXPSUCBDOV-CVEARBPZSA-N 0 3 316.489 4.108 20 0 DIADHN CN(Cc1nc2c(o1)CCCC2)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001307831250 1065642080 /nfs/dbraw/zinc/64/20/80/1065642080.db2.gz AIHKZSJTGBWQOL-FUHWJXTLSA-N 0 3 310.441 4.322 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@H](C)[C@@](C)(OC)C1CC1 ZINC001307843705 1065647700 /nfs/dbraw/zinc/64/77/00/1065647700.db2.gz JKJVUULEJSVKMW-SJKOYZFVSA-N 0 3 311.853 4.032 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H](C)[C@@](C)(OC)C1CC1 ZINC001307843706 1065648120 /nfs/dbraw/zinc/64/81/20/1065648120.db2.gz JKJVUULEJSVKMW-YVEFUNNKSA-N 0 3 311.853 4.032 20 0 DIADHN Cc1ncc(CN[C@@](C)(Cc2ccccc2)c2ccccc2)cn1 ZINC001307842286 1065648317 /nfs/dbraw/zinc/64/83/17/1065648317.db2.gz AWYPYVGDEUUANN-NRFANRHFSA-N 0 3 317.436 4.033 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(F)cc2C)cc1Cl ZINC001307849172 1065651733 /nfs/dbraw/zinc/65/17/33/1065651733.db2.gz GCKNEKHMLPIQJR-UHFFFAOYSA-N 0 3 307.796 4.428 20 0 DIADHN Cc1cc(F)ccc1CN1C[C@@H](C(=O)OC(C)(C)C)CC[C@@H]1C ZINC001307856947 1065656149 /nfs/dbraw/zinc/65/61/49/1065656149.db2.gz LZQNEJFYKLKCRE-HOCLYGCPSA-N 0 3 321.436 4.076 20 0 DIADHN C[C@@H]1CCCN(CC2CCC3(CC3)CC2)[C@H]1C(=O)OC(C)(C)C ZINC001307859735 1065656880 /nfs/dbraw/zinc/65/68/80/1065656880.db2.gz LZWFVDKWSZBDEF-NVXWUHKLSA-N 0 3 321.505 4.399 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@H](c2cccnc2)C1 ZINC001307876924 1065665137 /nfs/dbraw/zinc/66/51/37/1065665137.db2.gz GVXSESSHWSEJGA-BBRMVZONSA-N 0 3 302.368 4.300 20 0 DIADHN CCN1[C@H](C)CN(Cc2cc(Cl)cc(Cl)c2F)C[C@@H]1C ZINC001307886960 1065666871 /nfs/dbraw/zinc/66/68/71/1065666871.db2.gz GEZDIMITXOKMDN-PHIMTYICSA-N 0 3 319.251 4.047 20 0 DIADHN Cc1cc(CN2CCCC[C@H]2CC(=O)OC(C)(C)C)cs1 ZINC001307900398 1065674113 /nfs/dbraw/zinc/67/41/13/1065674113.db2.gz GOWUULDNEJQRHX-HNNXBMFYSA-N 0 3 309.475 4.143 20 0 DIADHN C[C@@H]1CN(Cc2ncccc2C(F)(F)F)CCc2ccccc21 ZINC001307905524 1065675854 /nfs/dbraw/zinc/67/58/54/1065675854.db2.gz OBQLHHNDZCMCRQ-CYBMUJFWSA-N 0 3 320.358 4.262 20 0 DIADHN COc1cc(CN2CCS[C@H](c3ccccc3)C2)ccc1C ZINC001307904098 1065676038 /nfs/dbraw/zinc/67/60/38/1065676038.db2.gz KOGYDCLJSFXEIH-IBGZPJMESA-N 0 3 313.466 4.294 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@@H]2CCC3(CCC3)O2)cc1F ZINC001307915051 1065679956 /nfs/dbraw/zinc/67/99/56/1065679956.db2.gz RLLNIXFCMKZKIK-RDJZCZTQSA-N 0 3 319.420 4.073 20 0 DIADHN CN(CCN1CC2(CCCC2)[C@@H]1c1ccco1)Cc1ccccc1 ZINC001307920009 1065681615 /nfs/dbraw/zinc/68/16/15/1065681615.db2.gz GHWBSLMDPWXARK-FQEVSTJZSA-N 0 3 324.468 4.329 20 0 DIADHN Cc1cccc([C@@H](O)CN2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC001307919633 1065682888 /nfs/dbraw/zinc/68/28/88/1065682888.db2.gz DCFRKLOGJZTRQA-HKUYNNGSSA-N 0 3 311.425 4.239 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC(C)(C)CC(C)(C)C2)cc1 ZINC001307920327 1065683166 /nfs/dbraw/zinc/68/31/66/1065683166.db2.gz ITCPKRIEKJDRKC-UHFFFAOYSA-N 0 3 302.462 4.082 20 0 DIADHN O=C1C[C@@]2(CCCN(CCCC3CCC3)C2)Oc2ccccc21 ZINC001307930209 1065686026 /nfs/dbraw/zinc/68/60/26/1065686026.db2.gz FDKLHJJYATYMAC-HXUWFJFHSA-N 0 3 313.441 4.067 20 0 DIADHN Fc1ccc(SCCN2CCCC(F)(F)CC2)c(F)c1 ZINC001307936473 1065691033 /nfs/dbraw/zinc/69/10/33/1065691033.db2.gz NZNSXJPBQDFTPG-UHFFFAOYSA-N 0 3 307.356 4.178 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001307943401 1065693081 /nfs/dbraw/zinc/69/30/81/1065693081.db2.gz DSQIYPYVIHDZHK-MRXNPFEDSA-N 0 3 301.817 4.302 20 0 DIADHN CC(C)(C)c1ncc2c(n1)CCN(CCCC1CCCC1)C2 ZINC001307950097 1065695822 /nfs/dbraw/zinc/69/58/22/1065695822.db2.gz AOYIXGUYQQOSQT-UHFFFAOYSA-N 0 3 301.478 4.103 20 0 DIADHN O=C(CN(CCC1CC1)CC1CC1)Nc1cccc2ccccc21 ZINC001307953895 1065697028 /nfs/dbraw/zinc/69/70/28/1065697028.db2.gz JWIBNFXBHYZBOC-UHFFFAOYSA-N 0 3 322.452 4.290 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CCC[C@@H](C2CCCCC2)C1 ZINC001307958172 1065699067 /nfs/dbraw/zinc/69/90/67/1065699067.db2.gz MOQWOTHVYCTMTP-FUHWJXTLSA-N 0 3 314.473 4.306 20 0 DIADHN Fc1ccc([C@@H]2CCCN(C[C@@H]3CC[C@@H](C4CC4)O3)C2)cc1F ZINC001307956284 1065700394 /nfs/dbraw/zinc/70/03/94/1065700394.db2.gz NSYAVVHIYGFAIU-GJYPPUQNSA-N 0 3 321.411 4.102 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(CCOC2CCC2)CC1 ZINC001307980961 1065709234 /nfs/dbraw/zinc/70/92/34/1065709234.db2.gz NZEDTGXTWGCYJJ-UHFFFAOYSA-N 0 3 305.849 4.307 20 0 DIADHN CCc1ccc(C2CCN(Cc3cccc(OC)n3)CC2)cc1 ZINC001307976673 1065710275 /nfs/dbraw/zinc/71/02/75/1065710275.db2.gz VDCNNIICMHJGJH-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN FC(F)(F)c1cccc2c1CN(CCc1ccccc1)CC2 ZINC001307983743 1065711242 /nfs/dbraw/zinc/71/12/42/1065711242.db2.gz NNOQQCDIXCIXGF-UHFFFAOYSA-N 0 3 305.343 4.306 20 0 DIADHN CC1(C)[C@H](c2ccc(F)cc2)CCN1Cc1nc2ccccc2[nH]1 ZINC001307992043 1065714224 /nfs/dbraw/zinc/71/42/24/1065714224.db2.gz MNHMEGDECYBRNC-INIZCTEOSA-N 0 3 323.415 4.470 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1cc(C)nc(Cl)c1 ZINC001307999642 1065719138 /nfs/dbraw/zinc/71/91/38/1065719138.db2.gz LSOKQOKNDRDUFJ-UHFFFAOYSA-N 0 3 318.848 4.464 20 0 DIADHN CC1(C)[C@H](c2ccccc2F)CCN1Cc1cc(C2CC2)no1 ZINC001308007637 1065721624 /nfs/dbraw/zinc/72/16/24/1065721624.db2.gz HYGRFBDDPULNLN-INIZCTEOSA-N 0 3 314.404 4.459 20 0 DIADHN Fc1cccc(O[C@@H]2CCN([C@H]3CCc4c3cccc4F)C2)c1 ZINC001308009664 1065723603 /nfs/dbraw/zinc/72/36/03/1065723603.db2.gz CCFZRWQSTYSZRG-BEFAXECRSA-N 0 3 315.363 4.105 20 0 DIADHN Cc1cc(C)c(CN[C@H](Cn2ccnc2)c2ccccc2)c(C)c1 ZINC001308033071 1065733011 /nfs/dbraw/zinc/73/30/11/1065733011.db2.gz KDASTGQVOLIWST-OAQYLSRUSA-N 0 3 319.452 4.339 20 0 DIADHN Cc1conc1CN(CCc1ccccc1)CCC(C)(C)C ZINC001308032196 1065734160 /nfs/dbraw/zinc/73/41/60/1065734160.db2.gz ZBSPTMKPGXQYNL-UHFFFAOYSA-N 0 3 300.446 4.464 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001308039249 1065735195 /nfs/dbraw/zinc/73/51/95/1065735195.db2.gz JZCKNSPSWPQPII-DYESRHJHSA-N 0 3 306.453 4.053 20 0 DIADHN CC/C=C\CCN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001308059047 1065743339 /nfs/dbraw/zinc/74/33/39/1065743339.db2.gz VMXMNVORCKRCLH-SFFCAUCYSA-N 0 3 304.453 4.251 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)C[C@H](C)C(C)(C)C)cc1F ZINC001308062255 1065748354 /nfs/dbraw/zinc/74/83/54/1065748354.db2.gz MRLPRXVQPYOIIQ-KBPBESRZSA-N 0 3 308.441 4.075 20 0 DIADHN O[C@H](CN1CCCC12CCC2)c1ccc(Cl)c(Cl)c1 ZINC001308097410 1065760171 /nfs/dbraw/zinc/76/01/71/1065760171.db2.gz GEIXXFBUPDBNLS-CQSZACIVSA-N 0 3 300.229 4.045 20 0 DIADHN C[C@@H](CN1CCc2nc[nH]c2[C@@H]1c1ccccc1)CC(C)(C)C ZINC001308095138 1065760750 /nfs/dbraw/zinc/76/07/50/1065760750.db2.gz WWBMPLLRQNUOCG-BEFAXECRSA-N 0 3 311.473 4.430 20 0 DIADHN Cc1cc(C)c(CNC2(c3ccc4c(c3)OCCO4)CC2)c(C)c1 ZINC001308103228 1065765374 /nfs/dbraw/zinc/76/53/74/1065765374.db2.gz DZZPBCOKYHPOKW-UHFFFAOYSA-N 0 3 323.436 4.162 20 0 DIADHN CC(C)c1ccc(CN2CCC[C@@H]2C2OCCO2)c(C(C)C)c1 ZINC001308120409 1065769319 /nfs/dbraw/zinc/76/93/19/1065769319.db2.gz ARUNXDYBBIEFEY-LJQANCHMSA-N 0 3 317.473 4.271 20 0 DIADHN Cc1cc(C)cc(C2CCN(Cc3cccc(N(C)C)n3)CC2)c1 ZINC001308133852 1065774800 /nfs/dbraw/zinc/77/48/00/1065774800.db2.gz BUBVCFMNTGTHCD-UHFFFAOYSA-N 0 3 323.484 4.144 20 0 DIADHN CCCc1ccc(CN2Cc3ncccc3N3CCC[C@H]3C2)cc1 ZINC001308140997 1065778190 /nfs/dbraw/zinc/77/81/90/1065778190.db2.gz WWAQBNAWGFTRPP-IBGZPJMESA-N 0 3 321.468 4.019 20 0 DIADHN Fc1ccc(Cl)cc1CN1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC001308144554 1065779280 /nfs/dbraw/zinc/77/92/80/1065779280.db2.gz AOSWJUXPZGGEGA-UONOGXRCSA-N 0 3 311.828 4.118 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCO[C@H](c2ccccc2)CC1 ZINC001308160474 1065784821 /nfs/dbraw/zinc/78/48/21/1065784821.db2.gz LSQJOHDLEQFYOW-GJZGRUSLSA-N 0 3 314.256 4.034 20 0 DIADHN Clc1ccc(Br)c(CNCC2CCCCC2)n1 ZINC001308179585 1065792044 /nfs/dbraw/zinc/79/20/44/1065792044.db2.gz OHYYGWRLHBTZIS-UHFFFAOYSA-N 0 3 317.658 4.167 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nc(Cl)ccc1Br ZINC001308182106 1065793852 /nfs/dbraw/zinc/79/38/52/1065793852.db2.gz NAQAZWHXWWKPPW-MWLCHTKSSA-N 0 3 317.658 4.166 20 0 DIADHN CC(C)Oc1ccc(CCNCc2ncc(C(C)C)s2)cc1 ZINC001308185097 1065794935 /nfs/dbraw/zinc/79/49/35/1065794935.db2.gz WIIJUCQOLYFNAQ-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN Cn1ncc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1C1CC1 ZINC001308183698 1065795439 /nfs/dbraw/zinc/79/54/39/1065795439.db2.gz KDXYZBFARFNULH-QGZVFWFLSA-N 0 3 315.848 4.192 20 0 DIADHN COc1cc(C)c(CNCc2cccc(C(F)(F)F)c2)c(C)n1 ZINC001308185490 1065795577 /nfs/dbraw/zinc/79/55/77/1065795577.db2.gz QNGOLGLZPZPHST-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN Cc1csc(CCNCc2ccc(Cl)c(C)c2Cl)n1 ZINC001308196963 1065805779 /nfs/dbraw/zinc/80/57/79/1065805779.db2.gz JSUKCRIIGIMVMO-UHFFFAOYSA-N 0 3 315.269 4.399 20 0 DIADHN Cc1ccc(OCCNCc2ccc(-c3ccccc3)o2)cc1 ZINC001308188357 1065798838 /nfs/dbraw/zinc/79/88/38/1065798838.db2.gz AFFHKJIWJPSQOB-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN c1ccc(CCCCNCc2nc3c(s2)CCCC3)cc1 ZINC001308188633 1065799256 /nfs/dbraw/zinc/79/92/56/1065799256.db2.gz UBWLACJHXFTVBS-UHFFFAOYSA-N 0 3 300.471 4.134 20 0 DIADHN C[C@@H](NCc1cn2ccnc2s1)c1cccc2ccccc21 ZINC001308188426 1065799686 /nfs/dbraw/zinc/79/96/86/1065799686.db2.gz QDOZKHWLVYMRTR-CYBMUJFWSA-N 0 3 307.422 4.400 20 0 DIADHN CCNc1ccccc1CNCc1ccc(OC(F)(F)F)cc1 ZINC001308188531 1065799766 /nfs/dbraw/zinc/79/97/66/1065799766.db2.gz PLJDXWGJHJEPLE-UHFFFAOYSA-N 0 3 324.346 4.307 20 0 DIADHN Cc1ccc([C@@H](NCc2ccnn2CC2CCC2)C2CC2)cc1 ZINC001308191225 1065801536 /nfs/dbraw/zinc/80/15/36/1065801536.db2.gz ACWHRAIXMIJTAV-HXUWFJFHSA-N 0 3 309.457 4.233 20 0 DIADHN Cc1ccc(C)c(CNCc2cccc(NC(=O)C3CCC3)c2)c1 ZINC001308191444 1065801892 /nfs/dbraw/zinc/80/18/92/1065801892.db2.gz XMQFRGLSAQPFLG-UHFFFAOYSA-N 0 3 322.452 4.332 20 0 DIADHN Cc1cccc(CN[C@@H](C)Cc2ccco2)c1Br ZINC001308196536 1065802666 /nfs/dbraw/zinc/80/26/66/1065802666.db2.gz AHTZZUXQJYUMJR-LBPRGKRZSA-N 0 3 308.219 4.071 20 0 DIADHN CCCOCc1ccccc1CNCc1cnc(Cl)c(C)c1 ZINC001308205735 1065814598 /nfs/dbraw/zinc/81/45/98/1065814598.db2.gz DVLAPBRBHXGMKS-UHFFFAOYSA-N 0 3 318.848 4.260 20 0 DIADHN CC(C)(C)c1csc(CNCC2(c3ccc(F)cc3)CC2)n1 ZINC001308205796 1065814982 /nfs/dbraw/zinc/81/49/82/1065814982.db2.gz PLPLDRJTLCTUCA-UHFFFAOYSA-N 0 3 318.461 4.401 20 0 DIADHN Cc1coc(CN[C@H](C)COc2ccc(C(C)(C)C)cc2)c1 ZINC001308223148 1065828661 /nfs/dbraw/zinc/82/86/61/1065828661.db2.gz MKNJDQBNEMIANT-OAHLLOKOSA-N 0 3 301.430 4.443 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)NCc1nccc2c1CCCC2 ZINC001308222643 1065828722 /nfs/dbraw/zinc/82/87/22/1065828722.db2.gz XXSDYLDHNFBJAV-KRWDZBQOSA-N 0 3 324.468 4.134 20 0 DIADHN C[C@H](COCC(F)(F)F)NCc1ccc(Cl)cc1Cl ZINC001308250001 1065854825 /nfs/dbraw/zinc/85/48/25/1065854825.db2.gz MZHZQJFUCUXWMR-MRVPVSSYSA-N 0 3 316.150 4.050 20 0 DIADHN c1ccc([C@H](NCc2cccc3c2CCC3)[C@@H]2CCCO2)cc1 ZINC001308228596 1065836384 /nfs/dbraw/zinc/83/63/84/1065836384.db2.gz WKWJZDCYLUDXGC-SFTDATJTSA-N 0 3 307.437 4.185 20 0 DIADHN Clc1cnc2oc(CNCc3cccc4cccnc43)cc2c1 ZINC001308230623 1065836478 /nfs/dbraw/zinc/83/64/78/1065836478.db2.gz MMZOIWJWFMAQSC-UHFFFAOYSA-N 0 3 323.783 4.319 20 0 DIADHN CC[C@H](C)NC(=O)[C@H](C)NCc1ccccc1-c1ccccc1C ZINC001308236357 1065842377 /nfs/dbraw/zinc/84/23/77/1065842377.db2.gz XQMMEQQYCUJOMH-IRXDYDNUSA-N 0 3 324.468 4.055 20 0 DIADHN Cc1nc(CNCC2(c3ccc(Cl)cc3)CC2)sc1C ZINC001308241516 1065847576 /nfs/dbraw/zinc/84/75/76/1065847576.db2.gz IUBVKLAMRUPNLX-UHFFFAOYSA-N 0 3 306.862 4.235 20 0 DIADHN Cc1ccccc1-n1cc(CNC(CC(C)C)CC(C)C)nn1 ZINC001308248017 1065851459 /nfs/dbraw/zinc/85/14/59/1065851459.db2.gz NRUVYLMNKBXEDX-UHFFFAOYSA-N 0 3 314.477 4.126 20 0 DIADHN CSc1ccc(CNCC2(Sc3ccccc3)CC2)o1 ZINC001308247625 1065852997 /nfs/dbraw/zinc/85/29/97/1065852997.db2.gz XKDHQIJHVHQDEI-UHFFFAOYSA-N 0 3 305.468 4.416 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(SC)cc1 ZINC001308249126 1065853903 /nfs/dbraw/zinc/85/39/03/1065853903.db2.gz YWXNLKCWOZISTM-UHFFFAOYSA-N 0 3 300.471 4.154 20 0 DIADHN COc1cc(CNCc2ccc(F)cc2C)cc(Cl)c1OC ZINC001308248796 1065854145 /nfs/dbraw/zinc/85/41/45/1065854145.db2.gz OFZZLCFBTITQFV-UHFFFAOYSA-N 0 3 323.795 4.095 20 0 DIADHN Cc1csc(CN[C@H](C)Cc2ccc(C(F)(F)F)cc2)n1 ZINC001308258855 1065860788 /nfs/dbraw/zinc/86/07/88/1065860788.db2.gz GHBUNXBFWJSQCN-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2CCCO[C@H]2C(C)(C)C)n1 ZINC001308268667 1065867625 /nfs/dbraw/zinc/86/76/25/1065867625.db2.gz KHHKHAHVZYSSGO-CZUORRHYSA-N 0 3 324.534 4.372 20 0 DIADHN Cc1ccc(CCNCc2ncc(-c3ccccc3)s2)cc1 ZINC001308269227 1065868447 /nfs/dbraw/zinc/86/84/47/1065868447.db2.gz RAKMASOJUDHQGT-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H]2CCCc3ccccc3C2)o1 ZINC001308276798 1065877047 /nfs/dbraw/zinc/87/70/47/1065877047.db2.gz YJBQNHFMAGZAPJ-MJGOQNOKSA-N 0 3 313.441 4.067 20 0 DIADHN CC(C)n1c(CNCCCNc2ccccc2)nc2ccccc21 ZINC001308281569 1065880133 /nfs/dbraw/zinc/88/01/33/1065880133.db2.gz GFDOLFFRKFJPFS-UHFFFAOYSA-N 0 3 322.456 4.209 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1c(C)cccc1F ZINC001308284482 1065882775 /nfs/dbraw/zinc/88/27/75/1065882775.db2.gz KWDQZNQPRIXAHN-RVDMUPIBSA-N 0 3 313.416 4.474 20 0 DIADHN COc1cccc(O[C@H](C)CNCc2cccc(Cl)c2F)c1 ZINC001308286960 1065885208 /nfs/dbraw/zinc/88/52/08/1065885208.db2.gz XKURWISUQCGWFS-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2C[C@H]2C2CCCCC2)cc1OC ZINC001308294406 1065890671 /nfs/dbraw/zinc/89/06/71/1065890671.db2.gz CTMOXLRDDQZZGM-HOCLYGCPSA-N 0 3 323.864 4.416 20 0 DIADHN Fc1ccc(-c2ccc(CNCCNc3ccccc3)o2)cc1 ZINC001308297768 1065892249 /nfs/dbraw/zinc/89/22/49/1065892249.db2.gz KSHXTXSTXMSEPC-UHFFFAOYSA-N 0 3 310.372 4.287 20 0 DIADHN CC[C@H](CNCc1cccc(F)c1F)Oc1cccc(F)c1 ZINC001308300266 1065894973 /nfs/dbraw/zinc/89/49/73/1065894973.db2.gz NVLSFPWYILGLTR-CQSZACIVSA-N 0 3 309.331 4.051 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNCC1(C)CC1 ZINC001308298319 1065895139 /nfs/dbraw/zinc/89/51/39/1065895139.db2.gz SOWSKGAINUOGBN-UHFFFAOYSA-N 0 3 317.864 4.341 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1csc(-c2ccco2)n1 ZINC001308306807 1065901371 /nfs/dbraw/zinc/90/13/71/1065901371.db2.gz RPSGZEGHEZAFDK-ZFWWWQNUSA-N 0 3 302.443 4.485 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccccc3)s2)C[C@H]1C ZINC001308326542 1065914890 /nfs/dbraw/zinc/91/48/90/1065914890.db2.gz KRVHXAIYLUINKP-UMVBOHGHSA-N 0 3 301.459 4.119 20 0 DIADHN COCc1cccc(CNCc2ccc(C)cc2SC)c1 ZINC001308329726 1065917645 /nfs/dbraw/zinc/91/76/45/1065917645.db2.gz ZGQHXWBVPCQJLK-UHFFFAOYSA-N 0 3 301.455 4.153 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CNCc2cc(F)ccc2C)n1 ZINC001308329192 1065917877 /nfs/dbraw/zinc/91/78/77/1065917877.db2.gz RMYOFBBYBUEYPD-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@]1(C)CCCS1 ZINC001308329383 1065917978 /nfs/dbraw/zinc/91/79/78/1065917978.db2.gz XAOHFFJTWISJCF-OAHLLOKOSA-N 0 3 308.472 4.087 20 0 DIADHN CCCOc1cccc(CN[C@@H]2CCCc3nc(C)sc32)c1 ZINC001308341006 1065925987 /nfs/dbraw/zinc/92/59/87/1065925987.db2.gz DPPMFIADFCMHGM-MRXNPFEDSA-N 0 3 316.470 4.408 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1ccc(Cl)cn1 ZINC001308341303 1065926547 /nfs/dbraw/zinc/92/65/47/1065926547.db2.gz FFFADRLPURDLMJ-CQSZACIVSA-N 0 3 306.862 4.396 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2ccc(F)cc2Cl)CC1 ZINC001308342395 1065926952 /nfs/dbraw/zinc/92/69/52/1065926952.db2.gz ODHVNCSTASHHAE-KRWDZBQOSA-N 0 3 319.807 4.083 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NCc2cccc(C)c2F)cc1 ZINC001308348435 1065931850 /nfs/dbraw/zinc/93/18/50/1065931850.db2.gz CXOIVLGDEUJIRD-AUUYWEPGSA-N 0 3 317.404 4.009 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1CCc2ccccc2C1 ZINC001308348805 1065931988 /nfs/dbraw/zinc/93/19/88/1065931988.db2.gz APQCUDUJWXCNTG-MRXNPFEDSA-N 0 3 318.420 4.236 20 0 DIADHN CS[C@H](CNCc1ccsc1Br)C(C)(C)C ZINC001308369099 1065934682 /nfs/dbraw/zinc/93/46/82/1065934682.db2.gz HGPVJXDETPGPSR-SNVBAGLBSA-N 0 3 322.337 4.378 20 0 DIADHN C[C@@H](NCc1ccc(Cl)c(Br)c1)c1ccc[nH]1 ZINC001308359472 1065938157 /nfs/dbraw/zinc/93/81/57/1065938157.db2.gz YKJCNHWLKDSJBV-SECBINFHSA-N 0 3 313.626 4.281 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@](C)(O)c1ccc(F)cc1 ZINC001308360028 1065938613 /nfs/dbraw/zinc/93/86/13/1065938613.db2.gz LFUZJBAQIJOUTI-SFHVURJKSA-N 0 3 321.823 4.039 20 0 DIADHN CCc1nc(CNC[C@@]2(C)CCCc3ccccc32)cs1 ZINC001308359920 1065938745 /nfs/dbraw/zinc/93/87/45/1065938745.db2.gz JBUUVVLLEQJQJG-GOSISDBHSA-N 0 3 300.471 4.089 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cncc3ccccc31)CCC2 ZINC001308362271 1065941158 /nfs/dbraw/zinc/94/11/58/1065941158.db2.gz KFRSZFNYJUKKDI-LJQANCHMSA-N 0 3 320.440 4.179 20 0 DIADHN CCc1oc2ccccc2c1CN[C@@H]1CN(C)Cc2ccccc21 ZINC001308362011 1065941225 /nfs/dbraw/zinc/94/12/25/1065941225.db2.gz GJUISVZJWBWLSY-LJQANCHMSA-N 0 3 320.436 4.272 20 0 DIADHN Cc1coc(CNC[C@@H](O)c2ccc(-c3ccccc3)cc2)c1 ZINC001308363836 1065942229 /nfs/dbraw/zinc/94/22/29/1065942229.db2.gz ZHKBSBRDHKAYNL-HXUWFJFHSA-N 0 3 307.393 4.078 20 0 DIADHN COc1ccc(CNCC2(c3cccc(F)c3F)CCCC2)o1 ZINC001308368888 1065945470 /nfs/dbraw/zinc/94/54/70/1065945470.db2.gz DLINTZJQHGWVNH-UHFFFAOYSA-N 0 3 321.367 4.168 20 0 DIADHN CSc1ccc(CNCc2cn(C)nc2-c2ccccc2)cc1 ZINC001308368385 1065945560 /nfs/dbraw/zinc/94/55/60/1065945560.db2.gz VGEWZUCMAODOPK-UHFFFAOYSA-N 0 3 323.465 4.099 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C3CCC3)cc2)cc1C ZINC001308371559 1065946404 /nfs/dbraw/zinc/94/64/04/1065946404.db2.gz GGZLHSBNUJLGIO-UHFFFAOYSA-N 0 3 323.436 4.339 20 0 DIADHN CCOc1cc(F)cc(CNCc2ccc(SC)cc2)c1 ZINC001308376113 1065950141 /nfs/dbraw/zinc/95/01/41/1065950141.db2.gz ITOLKOZFWPPSLD-UHFFFAOYSA-N 0 3 305.418 4.236 20 0 DIADHN CCSCCCNCc1nc(-c2cccc(OC)c2)cs1 ZINC001308383267 1065955233 /nfs/dbraw/zinc/95/52/33/1065955233.db2.gz KQGXTWADTSBRCG-UHFFFAOYSA-N 0 3 322.499 4.052 20 0 DIADHN Clc1cccc(CCCNCc2nc3ccccc3o2)c1 ZINC001308390306 1065958109 /nfs/dbraw/zinc/95/81/09/1065958109.db2.gz DLNGOOHJGJYWBT-UHFFFAOYSA-N 0 3 300.789 4.204 20 0 DIADHN CCC[C@@]1(NCc2cc(C(F)(F)F)ccc2Cl)CCOC1 ZINC001308400821 1065963163 /nfs/dbraw/zinc/96/31/63/1065963163.db2.gz GFQSDBVFOIBZBG-CQSZACIVSA-N 0 3 321.770 4.408 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCc1cc(C)nn1C ZINC001308404665 1065966924 /nfs/dbraw/zinc/96/69/24/1065966924.db2.gz DDHBCSXLSQTYBL-RGEXLXHISA-N 0 3 311.473 4.482 20 0 DIADHN Cc1ccsc1CNCc1c(Cl)cccc1-n1ccnc1 ZINC001308413906 1065972182 /nfs/dbraw/zinc/97/21/82/1065972182.db2.gz FVPZFKTVOCXTFS-UHFFFAOYSA-N 0 3 317.845 4.185 20 0 DIADHN CCCc1ccc(CN[C@H](CN(C)C)c2ccc(F)cc2)s1 ZINC001308423563 1065977880 /nfs/dbraw/zinc/97/78/80/1065977880.db2.gz SKGXGERRWISEMY-GOSISDBHSA-N 0 3 320.477 4.232 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)[C@H](O)c1ccccc1 ZINC001308425137 1065980164 /nfs/dbraw/zinc/98/01/64/1065980164.db2.gz YNGOLJIOKZXRDK-ACJLOTCBSA-N 0 3 301.430 4.097 20 0 DIADHN Cc1ccc(Br)c(F)c1CN[C@@H]1C[C@H]1CC(C)C ZINC001308432533 1065982726 /nfs/dbraw/zinc/98/27/26/1065982726.db2.gz WANHDBQNFNNFFI-BXUZGUMPSA-N 0 3 314.242 4.421 20 0 DIADHN Cc1ccc(Br)c(CN[C@@H]2CC[C@@H](C)SC2)c1 ZINC001308440501 1065988674 /nfs/dbraw/zinc/98/86/74/1065988674.db2.gz WHBNJTYJNJLKRY-DGCLKSJQSA-N 0 3 314.292 4.131 20 0 DIADHN Cc1ccc(Br)c(CN[C@H]2CC[C@@H](C)SC2)c1 ZINC001308440503 1065989061 /nfs/dbraw/zinc/98/90/61/1065989061.db2.gz WHBNJTYJNJLKRY-YPMHNXCESA-N 0 3 314.292 4.131 20 0 DIADHN CC[C@@H](NCc1cc2ccc(F)cc2[nH]c1=O)c1ccccc1 ZINC001308439412 1065989536 /nfs/dbraw/zinc/98/95/36/1065989536.db2.gz DGUCGTSXURHJEV-QGZVFWFLSA-N 0 3 310.372 4.320 20 0 DIADHN CC1(C)CC2(CO1)CCC(N[C@H]1C[C@]1(F)c1ccccc1)CC2 ZINC001308444784 1065992774 /nfs/dbraw/zinc/99/27/74/1065992774.db2.gz MNEWKEWNYZGQEA-RZMNMVRNSA-N 0 3 317.448 4.341 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2cccc(Cl)c2Br)C1 ZINC001308447277 1065995070 /nfs/dbraw/zinc/99/50/70/1065995070.db2.gz LLDXWLMBHREMEK-GHMZBOCLSA-N 0 3 320.633 4.473 20 0 DIADHN CC(C)[C@H](CNCc1ccccc1Cl)C(=O)OC(C)(C)C ZINC001308448993 1065995097 /nfs/dbraw/zinc/99/50/97/1065995097.db2.gz REKSPOHKXNMZQK-AWEZNQCLSA-N 0 3 311.853 4.044 20 0 DIADHN CC(C)[C@@H](CNCc1ccccc1Cl)C(=O)OC(C)(C)C ZINC001308448994 1065995579 /nfs/dbraw/zinc/99/55/79/1065995579.db2.gz REKSPOHKXNMZQK-CQSZACIVSA-N 0 3 311.853 4.044 20 0 DIADHN Cc1c(Br)cccc1CNCc1cccc(F)c1 ZINC001308448217 1065996022 /nfs/dbraw/zinc/99/60/22/1065996022.db2.gz CVSOWBGVEJQXHO-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN FC(F)(F)c1cc(CNC[C@H]2CCC3(CCCC3)O2)cs1 ZINC001308451669 1065996590 /nfs/dbraw/zinc/99/65/90/1065996590.db2.gz PYDVIYJRJMLGKP-GFCCVEGCSA-N 0 3 319.392 4.348 20 0 DIADHN CCCOc1cc(CNCc2cccc(CC)c2)ccc1OC ZINC001308452413 1065996878 /nfs/dbraw/zinc/99/68/78/1065996878.db2.gz GBEVNHCESRHPJK-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN CCc1cccc(CNCc2ccc(Oc3cnccn3)cc2)c1 ZINC001308453618 1065997984 /nfs/dbraw/zinc/99/79/84/1065997984.db2.gz STYWFXGKYQMDCI-UHFFFAOYSA-N 0 3 319.408 4.121 20 0 DIADHN CC[C@@H](C)CCNCc1cnn(Cc2ccccc2)c1Cl ZINC001308455502 1065998369 /nfs/dbraw/zinc/99/83/69/1065998369.db2.gz LZMDQONRFRLYKF-CQSZACIVSA-N 0 3 305.853 4.111 20 0 DIADHN Clc1ccc2ncc(CNCc3ccc4occc4c3)n2c1 ZINC001308455176 1065999030 /nfs/dbraw/zinc/99/90/30/1065999030.db2.gz CXMBHMDURVGNRS-UHFFFAOYSA-N 0 3 311.772 4.024 20 0 DIADHN CCOc1c(CN[C@@H]2C[C@H]2CC(C)(C)C)c(C)nn1CC(C)C ZINC001308457819 1066002077 /nfs/dbraw/zinc/00/20/77/1066002077.db2.gz XRZPNJGSPHYHMY-DOTOQJQBSA-N 0 3 321.509 4.161 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2cc(Cl)ccc2OCC2CC2)O1 ZINC001308468020 1066008000 /nfs/dbraw/zinc/00/80/00/1066008000.db2.gz IFHIXGQWMSZOQU-CXAGYDPISA-N 0 3 323.864 4.176 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2csc3ccccc23)cc1 ZINC001308467537 1066008296 /nfs/dbraw/zinc/00/82/96/1066008296.db2.gz ALROUCNUXUPLHA-UHFFFAOYSA-N 0 3 324.449 4.174 20 0 DIADHN COc1ccc(-c2nc(CNCCCC3CCC3)cs2)cc1 ZINC001308469515 1066009215 /nfs/dbraw/zinc/00/92/15/1066009215.db2.gz VJLOGUZMIDMTLZ-UHFFFAOYSA-N 0 3 316.470 4.489 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CNCc1cc(C)cc(C)c1 ZINC001308470015 1066009789 /nfs/dbraw/zinc/00/97/89/1066009789.db2.gz DPNGBARPOBQMFY-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN COc1cccc(CNCc2c(OC)ccc3ccccc32)c1C ZINC001308471941 1066010772 /nfs/dbraw/zinc/01/07/72/1066010772.db2.gz PBWHZKWUXXDYKK-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN Fc1ccc(CN[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)c(F)c1F ZINC001308471280 1066011279 /nfs/dbraw/zinc/01/12/79/1066011279.db2.gz KSARPBIRBQIYPA-HACGYAERSA-N 0 3 323.745 4.185 20 0 DIADHN CC1(C)C[C@]1(CNCc1nc2ccccc2o1)c1ccccc1 ZINC001308473704 1066013402 /nfs/dbraw/zinc/01/34/02/1066013402.db2.gz NVENRFKXFQXMBC-FQEVSTJZSA-N 0 3 306.409 4.285 20 0 DIADHN CCCc1cccc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)c1 ZINC001308474456 1066014555 /nfs/dbraw/zinc/01/45/55/1066014555.db2.gz ATNVVNPVKUCOTN-LEWJYISDSA-N 0 3 311.469 4.487 20 0 DIADHN COc1cccc(C2(NCc3ccc4scnc4c3)CCC2)c1 ZINC001308476440 1066015097 /nfs/dbraw/zinc/01/50/97/1066015097.db2.gz ZJODFDAGKFWAQT-UHFFFAOYSA-N 0 3 324.449 4.474 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)cc1C ZINC001308476279 1066015132 /nfs/dbraw/zinc/01/51/32/1066015132.db2.gz MZWKBLHMODIPTQ-RTWAWAEBSA-N 0 3 311.469 4.460 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)cc1C ZINC001308476281 1066015871 /nfs/dbraw/zinc/01/58/71/1066015871.db2.gz MZWKBLHMODIPTQ-SFTDATJTSA-N 0 3 311.469 4.460 20 0 DIADHN C[C@@H](CC1CCC1)NCc1c(F)cccc1OC(F)(F)F ZINC001308481500 1066019845 /nfs/dbraw/zinc/01/98/45/1066019845.db2.gz VLVDGCVXFDNFPJ-JTQLQIEISA-N 0 3 305.315 4.393 20 0 DIADHN Cc1ccc(-c2cccc(CNCc3ccc[nH]c3=O)c2)cc1 ZINC001308481880 1066019948 /nfs/dbraw/zinc/01/99/48/1066019948.db2.gz AVWANASRRSAIHF-UHFFFAOYSA-N 0 3 304.393 4.052 20 0 DIADHN CC[C@@](C)(NCc1cc(OC)cc(OC)c1)c1ccc(F)cc1 ZINC001308489104 1066022598 /nfs/dbraw/zinc/02/25/98/1066022598.db2.gz VWLFBUNZTOZDGK-LJQANCHMSA-N 0 3 317.404 4.258 20 0 DIADHN CC1(C)C[C@@]1(C)CNCc1c(Cl)cccc1OC(F)F ZINC001308504746 1066034242 /nfs/dbraw/zinc/03/42/42/1066034242.db2.gz LNPAGWCWQQQOCL-HNNXBMFYSA-N 0 3 303.780 4.467 20 0 DIADHN CC(C)C1CCC(NCc2noc3c2CC(C)(C)CC3)CC1 ZINC001308508938 1066036250 /nfs/dbraw/zinc/03/62/50/1066036250.db2.gz UONZRJQNXDXRAK-UHFFFAOYSA-N 0 3 304.478 4.494 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NCc2noc3c2CC(C)(C)CC3)C1 ZINC001308509077 1066036329 /nfs/dbraw/zinc/03/63/29/1066036329.db2.gz ZFOZQPAKWBXGLQ-GJZGRUSLSA-N 0 3 304.478 4.494 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)cc1C ZINC001308514314 1066041442 /nfs/dbraw/zinc/04/14/42/1066041442.db2.gz WLUDJWGOISSPHF-CABCVRRESA-N 0 3 311.425 4.178 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@](C)(O)c1cccc(F)c1 ZINC001308527100 1066047675 /nfs/dbraw/zinc/04/76/75/1066047675.db2.gz CVJAIOJUXKNZTH-IBGZPJMESA-N 0 3 319.420 4.022 20 0 DIADHN CC(C)Oc1ccc(CN[C@]2(C)CCOc3ccccc32)cc1 ZINC001308531943 1066050960 /nfs/dbraw/zinc/05/09/60/1066050960.db2.gz PBAGYHJKCXSVRU-HXUWFJFHSA-N 0 3 311.425 4.261 20 0 DIADHN C[C@@H](NC/C=C/c1cccc(F)c1)c1cnn(CC2CCC2)c1 ZINC001308546670 1066059863 /nfs/dbraw/zinc/05/98/63/1066059863.db2.gz DZWRUBADVMFFOH-SGJXGLNRSA-N 0 3 313.420 4.186 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNCCC(F)F ZINC001308550161 1066063673 /nfs/dbraw/zinc/06/36/73/1066063673.db2.gz FOMZAWYPUGMEOF-UHFFFAOYSA-N 0 3 302.384 4.197 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2ccc(C(C)C)cc2)cc1 ZINC001308566244 1066076541 /nfs/dbraw/zinc/07/65/41/1066076541.db2.gz RRGGWZAERWCLTQ-UHFFFAOYSA-N 0 3 311.425 4.229 20 0 DIADHN CC[C@@](C)(CN1CCCC[C@@H]1c1nc2ccccc2o1)OC ZINC001308574082 1066088011 /nfs/dbraw/zinc/08/80/11/1066088011.db2.gz BVPAZVDGTNYOFX-QAPCUYQASA-N 0 3 302.418 4.170 20 0 DIADHN CC[C@](C)(CN1CCCC[C@@H]1c1nc2ccccc2o1)OC ZINC001308574078 1066088761 /nfs/dbraw/zinc/08/87/61/1066088761.db2.gz BVPAZVDGTNYOFX-CRAIPNDOSA-N 0 3 302.418 4.170 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(F)ccc2OC)C1(CC)CC ZINC001308578481 1066094356 /nfs/dbraw/zinc/09/43/56/1066094356.db2.gz UNJHHSYOVZDUQF-ZWKOTPCHSA-N 0 3 323.452 4.250 20 0 DIADHN C=Cn1cc(CN2CCCCC[C@@H]2/C=C/c2ccccc2)cn1 ZINC001308581724 1066097295 /nfs/dbraw/zinc/09/72/95/1066097295.db2.gz FHSNPEAHPPUBNN-DRUFCSCSSA-N 0 3 307.441 4.442 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC001308587448 1066101954 /nfs/dbraw/zinc/10/19/54/1066101954.db2.gz ZBKRQRHILIVBIH-MRXNPFEDSA-N 0 3 323.415 4.390 20 0 DIADHN CCCCN(CCC(C)(C)C(=O)OC)Cc1ccccc1F ZINC001308591255 1066103821 /nfs/dbraw/zinc/10/38/21/1066103821.db2.gz HVAUJAVNIGZGMP-UHFFFAOYSA-N 0 3 309.425 4.017 20 0 DIADHN Brc1cccc2c1CCN(C[C@H]1CC=CCC1)C2 ZINC001308596604 1066109117 /nfs/dbraw/zinc/10/91/17/1066109117.db2.gz WESJZLVBIRFARH-ZDUSSCGKSA-N 0 3 306.247 4.164 20 0 DIADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@H](c2ccccc2)CO1 ZINC001308601184 1066111679 /nfs/dbraw/zinc/11/16/79/1066111679.db2.gz QMJXULPHGPBJOZ-SEVLPDGMSA-N 0 3 307.437 4.252 20 0 DIADHN Cc1ccccc1[C@H]1CN(C[C@@H]2CCc3ccccc32)CCO1 ZINC001308606056 1066113446 /nfs/dbraw/zinc/11/34/46/1066113446.db2.gz XBHHUMGTHOTJDW-GHTZIAJQSA-N 0 3 307.437 4.098 20 0 DIADHN Cc1noc2ncc(CN3CCC[C@H]3c3ccccc3C)cc12 ZINC001308608452 1066115010 /nfs/dbraw/zinc/11/50/10/1066115010.db2.gz TXWBEVYFHMKVPN-SFHVURJKSA-N 0 3 307.397 4.177 20 0 DIADHN CC[C@H]1CCC[C@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001308610320 1066115915 /nfs/dbraw/zinc/11/59/15/1066115915.db2.gz VQRKVPLAJGKWRW-ROUUACIJSA-N 0 3 323.484 4.447 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1cc(F)ncc1F ZINC001308610150 1066116329 /nfs/dbraw/zinc/11/63/29/1066116329.db2.gz YDRUVGPAYLCRSR-UHFFFAOYSA-N 0 3 304.384 4.061 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cnc3c(F)cccc3c2)c1 ZINC001308612911 1066118164 /nfs/dbraw/zinc/11/81/64/1066118164.db2.gz WALGOGPSDUXHCH-UHFFFAOYSA-N 0 3 324.399 4.193 20 0 DIADHN Cc1noc2ncc(CN(C)[C@H](C)c3ccc(C)cc3C)cc12 ZINC001308613858 1066118919 /nfs/dbraw/zinc/11/89/19/1066118919.db2.gz KMCNPFYMRSDRKW-OAHLLOKOSA-N 0 3 309.413 4.341 20 0 DIADHN Fc1cccn2cc(CN3CCC[C@H]3CC3CCCCC3)nc12 ZINC001308613649 1066119573 /nfs/dbraw/zinc/11/95/73/1066119573.db2.gz HWRRUWBGLPJTRX-KRWDZBQOSA-N 0 3 315.436 4.408 20 0 DIADHN CC[C@H]1CCCC[C@H]1CN1Cc2ccc(C(=O)OC)cc2C1 ZINC001308629023 1066126723 /nfs/dbraw/zinc/12/67/23/1066126723.db2.gz ZAGPTOGZBUFIEY-HOCLYGCPSA-N 0 3 301.430 4.005 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CN(C)Cc1nc2c(s1)CCCC2 ZINC001308629204 1066126888 /nfs/dbraw/zinc/12/68/88/1066126888.db2.gz MMTTZLQIAVYRTD-LSDHHAIUSA-N 0 3 304.503 4.446 20 0 DIADHN C[C@@H]1CN(CCC2CCCCC2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001308633310 1066128829 /nfs/dbraw/zinc/12/88/29/1066128829.db2.gz JJBRCVHRIOQJSA-HZPDHXFCSA-N 0 3 324.509 4.287 20 0 DIADHN CC(C)CCCCN1C[C@@H](C)N(C(=O)OC(C)(C)C)[C@@H](C)C1 ZINC001308633614 1066129073 /nfs/dbraw/zinc/12/90/73/1066129073.db2.gz KKKHYLAXRWFODR-IYBDPMFKSA-N 0 3 312.498 4.142 20 0 DIADHN CCOc1ccc(CN(CCc2ccc(F)cc2)C2CC2)o1 ZINC001308635713 1066129963 /nfs/dbraw/zinc/12/99/63/1066129963.db2.gz VUONKZRQCHAQRA-UHFFFAOYSA-N 0 3 303.377 4.025 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)o1 ZINC001308634804 1066130146 /nfs/dbraw/zinc/13/01/46/1066130146.db2.gz RUXNAPKCPAJGGG-AWEZNQCLSA-N 0 3 305.805 4.321 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2cccc3c2OCO3)cc1 ZINC001308635338 1066130644 /nfs/dbraw/zinc/13/06/44/1066130644.db2.gz SSKIMTLDXYIANH-GOSISDBHSA-N 0 3 309.409 4.451 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC001308641555 1066132889 /nfs/dbraw/zinc/13/28/89/1066132889.db2.gz HHBOVIUPSYTDJV-GTNSWQLSSA-N 0 3 323.795 4.051 20 0 DIADHN COc1cc(Cl)c(CN(C)[C@H]2CCC[C@H](C)C2)cc1OC ZINC001308649384 1066136378 /nfs/dbraw/zinc/13/63/78/1066136378.db2.gz KDFDCQIANFGEDA-JSGCOSHPSA-N 0 3 311.853 4.368 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@H]3CCCC[C@H]3C2)c1 ZINC001308650120 1066136998 /nfs/dbraw/zinc/13/69/98/1066136998.db2.gz KFBSMTOMBYCBPF-HIFRSBDPSA-N 0 3 321.848 4.139 20 0 DIADHN CCNc1ccccc1CN(C)Cc1ccc(OC(F)F)cc1 ZINC001308659446 1066142038 /nfs/dbraw/zinc/14/20/38/1066142038.db2.gz UOYISJFPGPLRGD-UHFFFAOYSA-N 0 3 320.383 4.352 20 0 DIADHN CC[C@@](C)(CN[C@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001308661622 1066143383 /nfs/dbraw/zinc/14/33/83/1066143383.db2.gz BIQNXMPCTUQYGB-CABCVRRESA-N 0 3 303.368 4.393 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)nc1 ZINC001308667089 1066145973 /nfs/dbraw/zinc/14/59/73/1066145973.db2.gz GYOPWVZMBAARIT-ICSRJNTNSA-N 0 3 310.441 4.140 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cc(O)cc(Cl)c3)C2)cc1 ZINC001308684513 1066154902 /nfs/dbraw/zinc/15/49/02/1066154902.db2.gz GRLLUEHZCQYRDQ-INIZCTEOSA-N 0 3 301.817 4.344 20 0 DIADHN CCOc1cc(CN2CCC[C@@H](C(C)C)C2)c(F)cc1OC ZINC001308693264 1066183504 /nfs/dbraw/zinc/18/35/04/1066183504.db2.gz AJNGJGULSWODBB-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@H](C(C)C)C2)cc(Cl)c1[O-] ZINC001308697652 1066186600 /nfs/dbraw/zinc/18/66/00/1066186600.db2.gz WDOMEKIYXXWGPE-AWEZNQCLSA-N 0 3 311.853 4.312 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@H](C(C)C)C2)cc(Cl)c1[O-] ZINC001308697652 1066186602 /nfs/dbraw/zinc/18/66/02/1066186602.db2.gz WDOMEKIYXXWGPE-AWEZNQCLSA-N 0 3 311.853 4.312 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2cccc(F)c2)no1)C1CCCC1 ZINC001308705644 1066190383 /nfs/dbraw/zinc/19/03/83/1066190383.db2.gz QHSKKLJXBPJJRV-BLLLJJGKSA-N 0 3 317.408 4.495 20 0 DIADHN CC(C)O[C@H](CNCc1ccc2c(n1)CCCC2)c1ccccc1 ZINC001308724260 1066200497 /nfs/dbraw/zinc/20/04/97/1066200497.db2.gz VPPCNYSSARHJCU-OAQYLSRUSA-N 0 3 324.468 4.216 20 0 DIADHN Cc1ccc(F)c(CN(C)C[C@@H]2CCN(c3ccccc3)C2)c1 ZINC001308725470 1066201070 /nfs/dbraw/zinc/20/10/70/1066201070.db2.gz PWHDMMFNYBJCQM-KRWDZBQOSA-N 0 3 312.432 4.092 20 0 DIADHN CCCc1cccc(CN2CCC(Oc3ccncc3C)CC2)c1 ZINC001308731267 1066206020 /nfs/dbraw/zinc/20/60/20/1066206020.db2.gz ICKNJUNFKAJFTE-UHFFFAOYSA-N 0 3 324.468 4.386 20 0 DIADHN Cc1cnccc1OC1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001308730336 1066207512 /nfs/dbraw/zinc/20/75/12/1066207512.db2.gz BYKBPNMSMZUJDE-UHFFFAOYSA-N 0 3 322.452 4.311 20 0 DIADHN COCCN(Cc1cccc(OC2CC2)c1F)[C@@H]1CC[C@H](C)C1 ZINC001308733650 1066208795 /nfs/dbraw/zinc/20/87/95/1066208795.db2.gz ZHUKLSULUBFSJW-GOEBONIOSA-N 0 3 321.436 4.004 20 0 DIADHN CCc1ccc(-c2noc([C@@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)n2)cc1 ZINC001308739235 1066212923 /nfs/dbraw/zinc/21/29/23/1066212923.db2.gz PYVLKXYEZLCYBV-VZEFYGNVSA-N 0 3 311.429 4.138 20 0 DIADHN Oc1ccc(CN(Cc2nccc3c2CCCC3)CC2CC2)cc1 ZINC001308742663 1066215454 /nfs/dbraw/zinc/21/54/54/1066215454.db2.gz JMGPGOKRZGNYBZ-UHFFFAOYSA-N 0 3 322.452 4.078 20 0 DIADHN Fc1cccc(CN2CCC3(CCC3)CC2)c1OC(F)(F)F ZINC001308752495 1066225235 /nfs/dbraw/zinc/22/52/35/1066225235.db2.gz UAHPGJXGPQLRCJ-UHFFFAOYSA-N 0 3 317.326 4.490 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@H]2c2ccc(Cl)cc2)co1 ZINC001308769107 1066239498 /nfs/dbraw/zinc/23/94/98/1066239498.db2.gz FLCLAQURORINHO-HOTGVXAUSA-N 0 3 304.821 4.316 20 0 DIADHN CO[C@H]1C[C@@H](N(C)Cc2ccccc2OCC(C)C)C12CCC2 ZINC001308766561 1066240427 /nfs/dbraw/zinc/24/04/27/1066240427.db2.gz LXKZIVSVCZKMSS-MOPGFXCFSA-N 0 3 317.473 4.111 20 0 DIADHN Cc1cccc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1Br ZINC001308771632 1066245309 /nfs/dbraw/zinc/24/53/09/1066245309.db2.gz KMBAQOAEGMCOLE-WBVHZDCISA-N 0 3 320.274 4.378 20 0 DIADHN Cc1cccc2c1CC(C)(C)N(Cc1cc3cnccc3o1)C2 ZINC001308777790 1066249703 /nfs/dbraw/zinc/24/97/03/1066249703.db2.gz OLGXTFSQUOOAAX-UHFFFAOYSA-N 0 3 306.409 4.473 20 0 DIADHN COc1ccc(CN2CC(C)(C)[C@@H]2C(C)C)cc1OC1CC1 ZINC001308790027 1066263321 /nfs/dbraw/zinc/26/33/21/1066263321.db2.gz DMVJCONXVZXLGN-SFHVURJKSA-N 0 3 303.446 4.103 20 0 DIADHN COc1cccc(OC)c1CN1CCC(C)(CC(C)C)CC1 ZINC001308803431 1066280136 /nfs/dbraw/zinc/28/01/36/1066280136.db2.gz UWKIIDCHEIGNQS-UHFFFAOYSA-N 0 3 305.462 4.352 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)Cc2cccc(F)c2)c(F)c1 ZINC001308810885 1066285904 /nfs/dbraw/zinc/28/59/04/1066285904.db2.gz XPNVZDZUADJPIR-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN Fc1cc(CN[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)c(F)cn1 ZINC001308819437 1066294246 /nfs/dbraw/zinc/29/42/46/1066294246.db2.gz GPPTXOBNCHMJJG-SWLSCSKDSA-N 0 3 322.786 4.439 20 0 DIADHN C[C@H](CN1CCC[C@H](C)[C@H]1C(=O)OC(C)(C)C)c1ccccc1 ZINC001308828261 1066305659 /nfs/dbraw/zinc/30/56/59/1066305659.db2.gz BCYXZEYWNRYFLA-JZXOWHBKSA-N 0 3 317.473 4.232 20 0 DIADHN Cc1scc(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)c1C ZINC001308832761 1066308911 /nfs/dbraw/zinc/30/89/11/1066308911.db2.gz UPOIKKBQJNFNCI-UHFFFAOYSA-N 0 3 323.502 4.309 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)Cc1cn2ccnc2s1 ZINC001308849329 1066328230 /nfs/dbraw/zinc/32/82/30/1066328230.db2.gz CHQCNNHIHUXYPU-CYBMUJFWSA-N 0 3 317.483 4.008 20 0 DIADHN Cc1ccc(CN2CC[C@@](C)(c3cccc(F)c3)C2)c(F)c1 ZINC001308852218 1066331623 /nfs/dbraw/zinc/33/16/23/1066331623.db2.gz PCHPYJPGUIZVCH-LJQANCHMSA-N 0 3 301.380 4.437 20 0 DIADHN Nc1c(F)cccc1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001308852928 1066332613 /nfs/dbraw/zinc/33/26/13/1066332613.db2.gz WYXAPXSIYZEOFY-MRXNPFEDSA-N 0 3 316.395 4.144 20 0 DIADHN CCOc1cccc(CN2CCS[C@@H](c3ccccc3)C2)c1 ZINC001308852397 1066333497 /nfs/dbraw/zinc/33/34/97/1066333497.db2.gz SAGAPHDDXJYLFN-LJQANCHMSA-N 0 3 313.466 4.375 20 0 DIADHN COc1cc(CN2CCCC[C@H]2C2CCC2)c(Cl)cc1O ZINC001308852514 1066334186 /nfs/dbraw/zinc/33/41/86/1066334186.db2.gz WOEGPSJSCWMIJJ-HNNXBMFYSA-N 0 3 309.837 4.209 20 0 DIADHN C[C@H]1CCc2nc(CN3CCC[C@H](C4CCC4)C3)sc2C1 ZINC001308870838 1066350594 /nfs/dbraw/zinc/35/05/94/1066350594.db2.gz NWGTXIIWWNGRNU-ZFWWWQNUSA-N 0 3 304.503 4.280 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCc3nc(C(C)C)ncc3C2)C1 ZINC001308874554 1066354475 /nfs/dbraw/zinc/35/44/75/1066354475.db2.gz VEOMLVSHIUSZSV-CVEARBPZSA-N 0 3 301.478 4.175 20 0 DIADHN COc1ccc(CN2CCC(Cc3ccccc3Cl)CC2)o1 ZINC001308903580 1066381683 /nfs/dbraw/zinc/38/16/83/1066381683.db2.gz XZOUHTBBCLPAKW-UHFFFAOYSA-N 0 3 319.832 4.396 20 0 DIADHN COC(=O)c1ccc(CN2CC([C@H]3CCC[C@H](C)C3)C2)cc1C ZINC001308912481 1066389624 /nfs/dbraw/zinc/38/96/24/1066389624.db2.gz QFENXOHUBDCGSF-YOEHRIQHSA-N 0 3 315.457 4.040 20 0 DIADHN Fc1ccc(-c2cc(CN[C@H]3CCC34CCCC4)no2)c(F)c1 ZINC001308926033 1066401402 /nfs/dbraw/zinc/40/14/02/1066401402.db2.gz KSVLNFMIQAIRIZ-KRWDZBQOSA-N 0 3 318.367 4.432 20 0 DIADHN CC(C)C[C@@H](C)CNCc1cc(-c2ccc(F)cc2F)on1 ZINC001308927494 1066402859 /nfs/dbraw/zinc/40/28/59/1066402859.db2.gz UBBSXNYSWHLNDF-GFCCVEGCSA-N 0 3 308.372 4.392 20 0 DIADHN CCCn1cc(CN2CCC(c3cccc(F)c3)CC2)c(C)n1 ZINC001308927878 1066403077 /nfs/dbraw/zinc/40/30/77/1066403077.db2.gz DIEDVZAKWOFCOK-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN Fc1cc(Cl)cc(CN2CCC[C@H](C3CCOCC3)C2)c1 ZINC001308953752 1066416422 /nfs/dbraw/zinc/41/64/22/1066416422.db2.gz WGSYNLHRSUJUNK-HNNXBMFYSA-N 0 3 311.828 4.118 20 0 DIADHN CC(C)(C)C1CCC(N[C@@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC001308979683 1066429553 /nfs/dbraw/zinc/42/95/53/1066429553.db2.gz RARGCUQORHZZKL-AVVWSFFYSA-N 0 3 322.880 4.061 20 0 DIADHN C[C@@H](CN1CCc2cc(O)ccc2C1)c1cccc(Cl)c1 ZINC001308984638 1066433979 /nfs/dbraw/zinc/43/39/79/1066433979.db2.gz LQFOJHLUHFDDEM-ZDUSSCGKSA-N 0 3 301.817 4.207 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@H](c1nc(C)no1)C(C)C ZINC001308989546 1066435521 /nfs/dbraw/zinc/43/55/21/1066435521.db2.gz IRYJJPFYDCJXLF-HOTGVXAUSA-N 0 3 321.852 4.339 20 0 DIADHN N[C@@H](CC(=O)N1CCCCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000163597481 334928125 /nfs/dbraw/zinc/92/81/25/334928125.db2.gz YWQSQAPCJZZZAP-VQTJNVASSA-N 0 3 322.452 4.220 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2C[C@H]3OCCC[C@H]23)c1 ZINC000190343064 334928331 /nfs/dbraw/zinc/92/83/31/334928331.db2.gz OKMBMMGDJPAGCC-MRVWCRGKSA-N 0 3 319.754 4.016 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000078971182 185137360 /nfs/dbraw/zinc/13/73/60/185137360.db2.gz STIFDZKPHOMWAO-QWRGUYRKSA-N 0 3 305.324 4.105 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1ccccn1 ZINC000090035103 185279098 /nfs/dbraw/zinc/27/90/98/185279098.db2.gz IGFHFCNKPBITSZ-IGCXYCKISA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cc(C[C@@H](C)N[C@@H](C)c2ccco2)n(-c2ccccc2)n1 ZINC000090602149 185306127 /nfs/dbraw/zinc/30/61/27/185306127.db2.gz HAXMUFVYBBOFDX-ZBFHGGJFSA-N 0 3 309.413 4.056 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cn(C)nc1C(F)(F)F ZINC000090666609 185308748 /nfs/dbraw/zinc/30/87/48/185308748.db2.gz QSMXMJVMSLXARD-RISCZKNCSA-N 0 3 323.362 4.085 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1ccc(C)s1)C(C)C ZINC000092485334 185321764 /nfs/dbraw/zinc/32/17/64/185321764.db2.gz DLAPIQHEUSDRBL-UHFFFAOYSA-N 0 3 316.470 4.426 20 0 DIADHN c1cn(C2CCN(Cc3cc(-c4ccccc4)cs3)CC2)cn1 ZINC000092659194 185332200 /nfs/dbraw/zinc/33/22/00/185332200.db2.gz KACDXGVCQMKJRZ-UHFFFAOYSA-N 0 3 323.465 4.449 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@@H](C)c2ccc(C)cc2)s1 ZINC000092739919 185338053 /nfs/dbraw/zinc/33/80/53/185338053.db2.gz YPQJPIABJMGTBU-KBPBESRZSA-N 0 3 318.486 4.361 20 0 DIADHN CC(C)(C)OCCN1CCC(c2nc3c(s2)CCCC3)CC1 ZINC000425515169 334954861 /nfs/dbraw/zinc/95/48/61/334954861.db2.gz QLCVBMZBHSZTDZ-UHFFFAOYSA-N 0 3 322.518 4.016 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H](C)c2sccc2C)c1 ZINC000070091023 190857719 /nfs/dbraw/zinc/85/77/19/190857719.db2.gz SRCOFKQONSVKID-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2ccc(C(C)C)cc2)c1 ZINC000072957351 191256956 /nfs/dbraw/zinc/25/69/56/191256956.db2.gz CIAOPAGKKJREDV-OAHLLOKOSA-N 0 3 310.441 4.020 20 0 DIADHN CCC[C@H](N)C(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000236791771 202011236 /nfs/dbraw/zinc/01/12/36/202011236.db2.gz MKDUPDAGLLNALZ-KUHUBIRLSA-N 0 3 310.441 4.019 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000187505939 200121856 /nfs/dbraw/zinc/12/18/56/200121856.db2.gz HLSLRXVWHGFWCT-RYUDHWBXSA-N 0 3 303.381 4.105 20 0 DIADHN CC(C)C[C@@H](NCc1cccc(N(C)C)n1)c1cccs1 ZINC000090851368 192939745 /nfs/dbraw/zinc/93/97/45/192939745.db2.gz VJUYZYVLERAJLI-OAHLLOKOSA-N 0 3 303.475 4.086 20 0 DIADHN Cc1ccc2c(CCN[C@H](C)c3cn4ccccc4n3)c[nH]c2c1 ZINC000575329732 370707663 /nfs/dbraw/zinc/70/76/63/370707663.db2.gz PNFXRLLWFBYVMM-OAHLLOKOSA-N 0 3 318.424 4.017 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](c2ccccc2C)C2CC2)c1 ZINC000091285467 193031058 /nfs/dbraw/zinc/03/10/58/193031058.db2.gz VSBBTHBBOXMLRL-HXUWFJFHSA-N 0 3 308.425 4.194 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000091412748 193052721 /nfs/dbraw/zinc/05/27/21/193052721.db2.gz KLRNGZOKRQJROJ-ZTFRIQLXSA-N 0 3 320.795 4.055 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000091412393 193053303 /nfs/dbraw/zinc/05/33/03/193053303.db2.gz UXDZMSVQPMGWDR-MZPVMMEZSA-N 0 3 320.795 4.055 20 0 DIADHN Cc1noc([C@@H]2CCCN(Cc3ccc(-c4ccccc4)o3)C2)n1 ZINC000091832142 193114383 /nfs/dbraw/zinc/11/43/83/193114383.db2.gz OEJOEJVZRRQPRJ-MRXNPFEDSA-N 0 3 323.396 4.018 20 0 DIADHN O[C@@H](C[C@@H]1CCCCCN1Cc1cncs1)c1cccs1 ZINC000091891745 193122653 /nfs/dbraw/zinc/12/26/53/193122653.db2.gz ZAAGYHHTVZQCNQ-ZFWWWQNUSA-N 0 3 322.499 4.073 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1cc(Br)ccc1F ZINC000308723155 335002766 /nfs/dbraw/zinc/00/27/66/335002766.db2.gz FIPSEVZPLXMBCS-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H]1CCC[C@H](C)C1NCc1nnc(-c2ccccc2Cl)o1 ZINC000182727973 335002853 /nfs/dbraw/zinc/00/28/53/335002853.db2.gz MGSATBAUUXBLNI-RYUDHWBXSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](N[C@H]1CCO[C@]2(CCSC2)C1)c1ccccc1Cl ZINC000094370100 193366903 /nfs/dbraw/zinc/36/69/03/193366903.db2.gz BVZOJJVYABVBMG-HEHGZKQESA-N 0 3 311.878 4.045 20 0 DIADHN FC(F)(F)CCCCN1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000152358488 335015521 /nfs/dbraw/zinc/01/55/21/335015521.db2.gz WHPOZUGJCYERRO-CYBMUJFWSA-N 0 3 315.335 4.285 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000017315145 182083043 /nfs/dbraw/zinc/08/30/43/182083043.db2.gz ANPISWUITWHMPJ-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@@H](NCCc1cccs1)c1ccccc1Br ZINC000019948917 182169378 /nfs/dbraw/zinc/16/93/78/182169378.db2.gz WIJGVMHXQGMAJM-LLVKDONJSA-N 0 3 310.260 4.404 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1cccc(Br)c1 ZINC000020121183 182189000 /nfs/dbraw/zinc/18/90/00/182189000.db2.gz QQQUIGUIHFERHK-NWDGAFQWSA-N 0 3 305.219 4.256 20 0 DIADHN Brc1cccc(CN[C@@H]2CCc3ccccc32)c1 ZINC000020129638 182189835 /nfs/dbraw/zinc/18/98/35/182189835.db2.gz RDCBNNQSZXQOIA-MRXNPFEDSA-N 0 3 302.215 4.226 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3ccccc3F)CC2)s1 ZINC000020856482 182249309 /nfs/dbraw/zinc/24/93/09/182249309.db2.gz PBHKKMWINLWOGK-UHFFFAOYSA-N 0 3 318.461 4.354 20 0 DIADHN CCCOc1c(Cl)cc(CNCc2ccccc2)cc1OC ZINC000020931332 182255220 /nfs/dbraw/zinc/25/52/20/182255220.db2.gz BNBWCNPOFVKKAF-UHFFFAOYSA-N 0 3 319.832 4.427 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(Cl)cc2)ccc1O ZINC000021819323 182293290 /nfs/dbraw/zinc/29/32/90/182293290.db2.gz URNULMIKIRCDBE-GFCCVEGCSA-N 0 3 305.805 4.295 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425543056 335036083 /nfs/dbraw/zinc/03/60/83/335036083.db2.gz ATQQIXLPMHCPMJ-FZKQIMNGSA-N 0 3 321.411 4.307 20 0 DIADHN C[C@H](Cc1ccc(Br)cc1)N[C@H](C)c1ccccn1 ZINC000037000071 182860001 /nfs/dbraw/zinc/86/00/01/182860001.db2.gz VQBPLBYHEGYTQA-CHWSQXEVSA-N 0 3 319.246 4.126 20 0 DIADHN CCC[C@H](NCCC(=O)N[C@@H](C)CC)c1ccc(Cl)cc1 ZINC000038013879 182963328 /nfs/dbraw/zinc/96/33/28/182963328.db2.gz AEABTJDLLGNQAY-BBRMVZONSA-N 0 3 310.869 4.076 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(C)s2)cc1OC ZINC000040490950 183079968 /nfs/dbraw/zinc/07/99/68/183079968.db2.gz IJYDINFJNSPXIZ-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN c1cnn(-c2ccccc2CN[C@@H]2CCCc3sccc32)c1 ZINC000102196558 193867836 /nfs/dbraw/zinc/86/78/36/193867836.db2.gz PJSDQKYWORCHSP-MRXNPFEDSA-N 0 3 309.438 4.101 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H]3CCN(c4ccccn4)C3)oc2c1 ZINC000426037021 335042908 /nfs/dbraw/zinc/04/29/08/335042908.db2.gz SNNHKMUDYPVODA-DOTOQJQBSA-N 0 3 321.424 4.066 20 0 DIADHN CCCOc1ccc(CNCc2cc3ccccc3[nH]2)cc1OC ZINC000058474203 184120160 /nfs/dbraw/zinc/12/01/60/184120160.db2.gz KJTIANJVXVKFAC-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN Cc1ccc2c(CN[C@H](C)c3ccccc3)cc(=O)oc2c1C ZINC000058980193 184133121 /nfs/dbraw/zinc/13/31/21/184133121.db2.gz AXMDGJLQNYMYRL-OAHLLOKOSA-N 0 3 307.393 4.261 20 0 DIADHN CCOc1cc(CN[C@H]2CCCc3sccc32)ccc1O ZINC000061359880 184168692 /nfs/dbraw/zinc/16/86/92/184168692.db2.gz OSUIXKRWBVTYHK-AWEZNQCLSA-N 0 3 303.427 4.020 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCCc2sccc21 ZINC000061328238 184168853 /nfs/dbraw/zinc/16/88/53/184168853.db2.gz HBDAYLPQOAZINA-KRWDZBQOSA-N 0 3 301.455 4.452 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Br)cc2)cn1 ZINC000062051124 184203097 /nfs/dbraw/zinc/20/30/97/184203097.db2.gz DYKUBXIOGVAGEJ-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425544901 335046451 /nfs/dbraw/zinc/04/64/51/335046451.db2.gz CQZAZTSDBNHLKY-ACJLOTCBSA-N 0 3 321.411 4.307 20 0 DIADHN Cn1ncc2ccc(CNCc3csc4ccccc34)cc21 ZINC000563845206 335047715 /nfs/dbraw/zinc/04/77/15/335047715.db2.gz CQWGBUSKPOPNIE-UHFFFAOYSA-N 0 3 307.422 4.078 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1CC[C@@H](c2ccccc2)C1 ZINC000120149166 195106747 /nfs/dbraw/zinc/10/67/47/195106747.db2.gz AWVDWYGWABMTCR-GOSISDBHSA-N 0 3 304.393 4.331 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccccc1C(=O)N(C)C ZINC000417697153 335058446 /nfs/dbraw/zinc/05/84/46/335058446.db2.gz CLRQSTFZGPQJHV-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@@H]1C ZINC000194031996 335059105 /nfs/dbraw/zinc/05/91/05/335059105.db2.gz GEXMBFKNHSEKQT-LALPHHSUSA-N 0 3 319.836 4.304 20 0 DIADHN CC(C)c1ccc(NC(=O)N(C)[C@@H](C)C2CCN(C)CC2)cc1 ZINC000272298439 130017508 /nfs/dbraw/zinc/01/75/08/130017508.db2.gz COJCLEOSEWUVFO-HNNXBMFYSA-N 0 3 317.477 4.004 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3nccn3C(F)F)CC2)cc1 ZINC000272312976 130019057 /nfs/dbraw/zinc/01/90/57/130019057.db2.gz PCTWWEQVHFFQMK-OAHLLOKOSA-N 0 3 321.346 4.143 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272683577 130052594 /nfs/dbraw/zinc/05/25/94/130052594.db2.gz VWWAIIKYEPRVME-ZVDOUQERSA-N 0 3 324.468 4.354 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC)c(F)c2)cc1C ZINC000273082723 130088433 /nfs/dbraw/zinc/08/84/33/130088433.db2.gz PRZGXVZXMMJSFZ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3cccnc32)cc1C ZINC000273110998 130090803 /nfs/dbraw/zinc/09/08/03/130090803.db2.gz WFLQYDURGHMFGM-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1ccc(CN(C)[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000273353408 130109236 /nfs/dbraw/zinc/10/92/36/130109236.db2.gz BNMOGJVKJPXUDR-SJLPKXTDSA-N 0 3 303.377 4.079 20 0 DIADHN CCC[C@H](NCc1ccc(N2CCCCC2)nc1)c1cccnc1 ZINC000273401792 130116961 /nfs/dbraw/zinc/11/69/61/130116961.db2.gz NGNWFERFEARQJP-IBGZPJMESA-N 0 3 324.472 4.098 20 0 DIADHN Cc1c(CN[C@H](C)c2ccc(Cl)c3ccccc32)cnn1C ZINC000274186460 130213909 /nfs/dbraw/zinc/21/39/09/130213909.db2.gz PUDJDMJBMYPUKZ-GFCCVEGCSA-N 0 3 313.832 4.386 20 0 DIADHN OCC[C@@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000274491262 130240617 /nfs/dbraw/zinc/24/06/17/130240617.db2.gz SPROVDNEOMJKBJ-QZTJIDSGSA-N 0 3 315.388 4.068 20 0 DIADHN C[C@H](CCc1ccco1)N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000274609855 130248890 /nfs/dbraw/zinc/24/88/90/130248890.db2.gz NBGZRLKQSWCDIK-ZJUUUORDSA-N 0 3 318.364 4.427 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274953291 130276790 /nfs/dbraw/zinc/27/67/90/130276790.db2.gz VMMSJOHUCSFOOR-BWACUDIHSA-N 0 3 322.811 4.037 20 0 DIADHN OCCC[C@@H](NCc1cc(F)cc(Cl)c1)c1ccccc1 ZINC000274997109 130280909 /nfs/dbraw/zinc/28/09/09/130280909.db2.gz NBDRJVUWDXSSAX-QGZVFWFLSA-N 0 3 307.796 4.083 20 0 DIADHN COc1cc(CN(Cc2cccc3cc[nH]c32)C2CC2)ccc1O ZINC000275331703 130306815 /nfs/dbraw/zinc/30/68/15/130306815.db2.gz VYKJJTMJLRCDIN-UHFFFAOYSA-N 0 3 322.408 4.047 20 0 DIADHN Cc1cccc2c1ncc(C)c2NCc1ccc([C@@H](C)O)c(C)n1 ZINC000275482718 130327839 /nfs/dbraw/zinc/32/78/39/130327839.db2.gz PTVRHOTWDUZNOK-OAHLLOKOSA-N 0 3 321.424 4.220 20 0 DIADHN C[C@@H](NC[C@H]1CCc2nccn2C1)c1cc(Cl)ccc1Cl ZINC000275553032 130336511 /nfs/dbraw/zinc/33/65/11/130336511.db2.gz NLQPYXUGZHLXTN-VXGBXAGGSA-N 0 3 324.255 4.103 20 0 DIADHN COc1ccsc1[C@@H](C)NCc1cccc(CSC)c1 ZINC000275742516 130375173 /nfs/dbraw/zinc/37/51/73/130375173.db2.gz QMDBFNNFXXEPNS-GFCCVEGCSA-N 0 3 307.484 4.471 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc([S@@](C)=O)cc1 ZINC000275753614 130377775 /nfs/dbraw/zinc/37/77/75/130377775.db2.gz SABJAOHFAMIVON-VERVWZFWSA-N 0 3 323.408 4.212 20 0 DIADHN Cc1cc(C(=O)Nc2ccccc2CCN(C)C)ccc1Cl ZINC000275802838 130388552 /nfs/dbraw/zinc/38/85/52/130388552.db2.gz INPKLRGDUIHRPR-UHFFFAOYSA-N 0 3 316.832 4.005 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000276085191 130437582 /nfs/dbraw/zinc/43/75/82/130437582.db2.gz AFPMYJGTYZBZHJ-UONOGXRCSA-N 0 3 301.434 4.193 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2C[C@H](c3cccc(F)c3)C2)cn1 ZINC000280703069 130813474 /nfs/dbraw/zinc/81/34/74/130813474.db2.gz COTWIBPWUNDKLB-YEWWUXTCSA-N 0 3 301.409 4.200 20 0 DIADHN Fc1cncc([C@@H](NCc2ccc3ncccc3c2)C2CC2)c1 ZINC000281551749 130870126 /nfs/dbraw/zinc/87/01/26/130870126.db2.gz JGZSYMBCFFMJHN-IBGZPJMESA-N 0 3 307.372 4.010 20 0 DIADHN Cc1coc(C)c1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000283989749 131038916 /nfs/dbraw/zinc/03/89/16/131038916.db2.gz MAEICIGCRWOQSM-UHFFFAOYSA-N 0 3 312.413 4.135 20 0 DIADHN Cc1nccnc1[C@H](C)NCCCCc1ccc(Cl)s1 ZINC000284158305 131053632 /nfs/dbraw/zinc/05/36/32/131053632.db2.gz DWAHBOJFLDCASV-NSHDSACASA-N 0 3 309.866 4.173 20 0 DIADHN CC[C@H](NCc1cc(C)nn1C)c1ccc2cc(OC)ccc2c1 ZINC000284150855 131054136 /nfs/dbraw/zinc/05/41/36/131054136.db2.gz DOJJDXRWKBALOS-FQEVSTJZSA-N 0 3 323.440 4.131 20 0 DIADHN COCC[C@@H](NCc1cc[nH]c1)c1ccc(Cl)c(Cl)c1 ZINC000284182942 131056750 /nfs/dbraw/zinc/05/67/50/131056750.db2.gz XUFOWMYTCMSFJB-OAHLLOKOSA-N 0 3 313.228 4.189 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2C)cc1 ZINC000284358258 131073881 /nfs/dbraw/zinc/07/38/81/131073881.db2.gz NRWSHNWMOJCIHJ-GJZGRUSLSA-N 0 3 315.461 4.319 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccnc(OC(F)F)c2)s1 ZINC000284437052 131080478 /nfs/dbraw/zinc/08/04/78/131080478.db2.gz SLDWBPVIRGJMFP-SNVBAGLBSA-N 0 3 312.385 4.158 20 0 DIADHN Fc1ccc([C@H](NCc2ccnc(OC(F)F)c2)C2CC2)cc1 ZINC000284478627 131084293 /nfs/dbraw/zinc/08/42/93/131084293.db2.gz WGJFSNWOFQDOLU-MRXNPFEDSA-N 0 3 322.330 4.063 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H]2CCc3ccccc32)cc1Cl ZINC000284504001 131086389 /nfs/dbraw/zinc/08/63/89/131086389.db2.gz VVHQSXCVSUBYQU-BDJLRTHQSA-N 0 3 302.805 4.082 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1cccc(C)c1 ZINC000285468670 131171011 /nfs/dbraw/zinc/17/10/11/131171011.db2.gz AQRMTRPQEKLTAE-JXFKEZNVSA-N 0 3 320.440 4.378 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1cccc(C)c1 ZINC000285468672 131171083 /nfs/dbraw/zinc/17/10/83/131171083.db2.gz AQRMTRPQEKLTAE-OXJNMPFZSA-N 0 3 320.440 4.378 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc3[nH]c(C)cc3c2)c1 ZINC000285505048 131175442 /nfs/dbraw/zinc/17/54/42/131175442.db2.gz ASTMJDGIAGGUFY-CQSZACIVSA-N 0 3 321.424 4.286 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc2c(c1)CCC(=O)N2 ZINC000285512837 131175533 /nfs/dbraw/zinc/17/55/33/131175533.db2.gz RAWGLDJAZZYBLT-ZDUSSCGKSA-N 0 3 320.392 4.168 20 0 DIADHN COc1ccc([C@@H](C)NCc2sccc2C)c(C)c1OC ZINC000285513891 131175925 /nfs/dbraw/zinc/17/59/25/131175925.db2.gz AUWUHIVWBRIQJW-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1c(F)cncc1F ZINC000285533460 131178741 /nfs/dbraw/zinc/17/87/41/131178741.db2.gz CRVFVJJQMAXICR-IVZWLZJFSA-N 0 3 308.294 4.132 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C)s2)c(C)c1OC ZINC000285616342 131186359 /nfs/dbraw/zinc/18/63/59/131186359.db2.gz KMAJLRYTTWFGBM-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1ccccc1-n1ccnn1 ZINC000285784488 131202009 /nfs/dbraw/zinc/20/20/09/131202009.db2.gz UIKFUDWQFRKIEP-YWZLYKJASA-N 0 3 318.424 4.069 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3ccc4c(c3)OCO4)ccc2[nH]1 ZINC000285845375 131205561 /nfs/dbraw/zinc/20/55/61/131205561.db2.gz YQOVIIIRFFVXFT-ZDUSSCGKSA-N 0 3 308.381 4.056 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@@H](c2ncc[nH]2)C2CCCCC2)s1 ZINC000286012861 131215052 /nfs/dbraw/zinc/21/50/52/131215052.db2.gz IDZZLPHJCXNAHN-SWLSCSKDSA-N 0 3 318.490 4.189 20 0 DIADHN Cc1ccc(-c2nc(CCN[C@@H](C)c3cc(C)ccn3)co2)cc1 ZINC000286029963 131216107 /nfs/dbraw/zinc/21/61/07/131216107.db2.gz KCAOMUOPBAIGNC-INIZCTEOSA-N 0 3 321.424 4.247 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2csc(C(C)(C)C)n2)c1 ZINC000286030782 131216303 /nfs/dbraw/zinc/21/63/03/131216303.db2.gz JDQOMDXDMSQVEE-ZDUSSCGKSA-N 0 3 303.475 4.037 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@H]2CCCO[C@@H]2c2ccccc2)c1 ZINC000286032017 131216381 /nfs/dbraw/zinc/21/63/81/131216381.db2.gz KEUXPZKSGMCCAP-XKGZKEIXSA-N 0 3 310.441 4.209 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H](C)c2ccc(C)cc2)c1 ZINC000286100419 131219577 /nfs/dbraw/zinc/21/95/77/131219577.db2.gz NEYDRSORPFOPKJ-STQMWFEESA-N 0 3 303.427 4.255 20 0 DIADHN CC(C)CC[C@@H](NCc1cccc(-n2cncn2)c1)c1ccoc1 ZINC000286235055 131225758 /nfs/dbraw/zinc/22/57/58/131225758.db2.gz SZYOSMKONOPZLC-LJQANCHMSA-N 0 3 324.428 4.127 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccn(C)c2)sc1Br ZINC000286274491 131226972 /nfs/dbraw/zinc/22/69/72/131226972.db2.gz BKNDDABYRQKFSS-SNVBAGLBSA-N 0 3 313.264 4.008 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)c1 ZINC000286354851 131232465 /nfs/dbraw/zinc/23/24/65/131232465.db2.gz ZKXQGQGSNWACJP-QANKJYHBSA-N 0 3 316.832 4.224 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC000286354849 131232574 /nfs/dbraw/zinc/23/25/74/131232574.db2.gz ZKXQGQGSNWACJP-OWQGQXMQSA-N 0 3 316.832 4.224 20 0 DIADHN FC(F)(F)Oc1cccc([C@@H](NCc2cccnc2)C2CC2)c1 ZINC000286515244 131239112 /nfs/dbraw/zinc/23/91/12/131239112.db2.gz MZHDVSLGJURSID-INIZCTEOSA-N 0 3 322.330 4.221 20 0 DIADHN CC1(C)CN(Cc2cc3ccccc3[nH]c2=O)Cc2ccccc21 ZINC000340238927 131245625 /nfs/dbraw/zinc/24/56/25/131245625.db2.gz LQOHLAWWHMELOX-UHFFFAOYSA-N 0 3 318.420 4.234 20 0 DIADHN COCC(C)(C)CCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000286850921 131252090 /nfs/dbraw/zinc/25/20/90/131252090.db2.gz AJIDDDWPFKCYSY-IBGZPJMESA-N 0 3 312.457 4.213 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)CCOCc2ccccc2)cs1 ZINC000286932479 131256898 /nfs/dbraw/zinc/25/68/98/131256898.db2.gz GHVBGYWJEWMCKA-KBPBESRZSA-N 0 3 304.459 4.098 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000287164190 131266590 /nfs/dbraw/zinc/26/65/90/131266590.db2.gz UDABBZKGJUOZBX-CJNGLKHVSA-N 0 3 306.450 4.149 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2ccc3c(c2)CCC3)c1 ZINC000287289787 131273369 /nfs/dbraw/zinc/27/33/69/131273369.db2.gz DEKGRALATFFYMA-AWEZNQCLSA-N 0 3 324.424 4.204 20 0 DIADHN CC[C@H](N[C@@H](C)c1cncc(C)c1)c1ccc(OC)c(OC)c1 ZINC000287685204 131294126 /nfs/dbraw/zinc/29/41/26/131294126.db2.gz WKDGBBCCNMJKAC-YOEHRIQHSA-N 0 3 314.429 4.209 20 0 DIADHN C[C@@H](N[C@H]1CCCc2sccc21)c1cccc(-n2ccnn2)c1 ZINC000287687759 131294303 /nfs/dbraw/zinc/29/43/03/131294303.db2.gz MZJUBOGEAUTWPF-DYVFJYSZSA-N 0 3 324.453 4.057 20 0 DIADHN COc1cc([C@H](C)NC2CCC(C(F)(F)F)CC2)c(F)cn1 ZINC000287702323 131295122 /nfs/dbraw/zinc/29/51/22/131295122.db2.gz NSYALUQILISDMT-WHXUTIOJSA-N 0 3 320.330 4.001 20 0 DIADHN C[C@@H](NCc1ccc([S@](C)=O)cc1)c1cccc(C2CC2)c1 ZINC000340304277 131358212 /nfs/dbraw/zinc/35/82/12/131358212.db2.gz TYBOYVDTCNZBQN-PEBXRYMYSA-N 0 3 313.466 4.152 20 0 DIADHN OC[C@@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1ccc(F)cc1 ZINC000289100281 131358226 /nfs/dbraw/zinc/35/82/26/131358226.db2.gz CCWZMAWNQQZROX-RTBURBONSA-N 0 3 324.399 4.008 20 0 DIADHN OCC[C@@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000289130869 131361019 /nfs/dbraw/zinc/36/10/19/131361019.db2.gz DZBLTQRNTOYLFM-QUCCMNQESA-N 0 3 320.436 4.259 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(N3CCCCC3)nc2)c2ccccc21 ZINC000289142869 131361074 /nfs/dbraw/zinc/36/10/74/131361074.db2.gz FSORASSVRRRJNJ-OXJNMPFZSA-N 0 3 321.468 4.410 20 0 DIADHN C[C@H]1C[C@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000289142720 131361194 /nfs/dbraw/zinc/36/11/94/131361194.db2.gz FRTAZTWPPQBUPJ-KXBFYZLASA-N 0 3 307.441 4.020 20 0 DIADHN C[C@H](O)c1ncc(CN[C@H](c2ccccc2)C(C)(C)C)s1 ZINC000289203807 131365289 /nfs/dbraw/zinc/36/52/89/131365289.db2.gz HXPZQIGMBDJUKD-SWLSCSKDSA-N 0 3 304.459 4.073 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccccc2Cn2ccnc2)c2ccccc21 ZINC000289290065 131371890 /nfs/dbraw/zinc/37/18/90/131371890.db2.gz RBWJKIFSSXYAAX-IERDGZPVSA-N 0 3 317.436 4.270 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCCc3c4cc(C)ccc4[nH]c32)cnn1C ZINC000289326402 131374195 /nfs/dbraw/zinc/37/41/95/131374195.db2.gz VFZZLIJQGLXZMX-YJYMSZOUSA-N 0 3 322.456 4.246 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1ccc2ccccc2n1 ZINC000290504973 131493952 /nfs/dbraw/zinc/49/39/52/131493952.db2.gz YJMGYUVLKLULAS-AVYPCKFXSA-N 0 3 306.409 4.008 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1 ZINC000291194663 131551105 /nfs/dbraw/zinc/55/11/05/131551105.db2.gz LPVRAGHUODYVMY-IBGZPJMESA-N 0 3 311.425 4.096 20 0 DIADHN CCc1nc(C)c(CN[C@@H]2CCC[C@@H](c3ncccc3C)C2)o1 ZINC000291785061 131599942 /nfs/dbraw/zinc/59/99/42/131599942.db2.gz AMNFCRSBSNCEOT-HZPDHXFCSA-N 0 3 313.445 4.065 20 0 DIADHN CC(C)C[C@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000292862841 131683874 /nfs/dbraw/zinc/68/38/74/131683874.db2.gz BHYNJIJYCFTGCD-INIZCTEOSA-N 0 3 307.388 4.025 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)CCS2 ZINC000340450881 131794774 /nfs/dbraw/zinc/79/47/74/131794774.db2.gz JRGCVTPFMWHVPJ-CJNGLKHVSA-N 0 3 314.454 4.292 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2cccc(-c3ccncc3)c2)cn1 ZINC000295977310 131933525 /nfs/dbraw/zinc/93/35/25/131933525.db2.gz OKAHWPOAQGZOEP-CVEARBPZSA-N 0 3 320.440 4.377 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(OC)cc1)c1ccsc1 ZINC000297155576 132002096 /nfs/dbraw/zinc/00/20/96/132002096.db2.gz CODSCXALCFMIRO-QZTJIDSGSA-N 0 3 318.486 4.100 20 0 DIADHN COCCOCc1ccc(CN[C@@H](C)c2csc(C)c2)cc1 ZINC000297243116 132005975 /nfs/dbraw/zinc/00/59/75/132005975.db2.gz IQIJDOKYKHBYKF-HNNXBMFYSA-N 0 3 319.470 4.070 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2csc(C)c2)cc1 ZINC000297264061 132006496 /nfs/dbraw/zinc/00/64/96/132006496.db2.gz KFOQUVKNAXINTM-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN CC(C)[C@@H](CN[C@H]1CCn2ccnc21)c1ccc(Cl)cc1 ZINC000297257335 132006581 /nfs/dbraw/zinc/00/65/81/132006581.db2.gz JSIVFVYRHGIWOL-CVEARBPZSA-N 0 3 303.837 4.011 20 0 DIADHN Cc1cc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)cs1 ZINC000297356152 132011821 /nfs/dbraw/zinc/01/18/21/132011821.db2.gz PLWCVLJTSJZZAQ-HNNXBMFYSA-N 0 3 315.486 4.211 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)cs1 ZINC000297356162 132012374 /nfs/dbraw/zinc/01/23/74/132012374.db2.gz PLWCVLJTSJZZAQ-OAHLLOKOSA-N 0 3 315.486 4.211 20 0 DIADHN CN(Cc1cn(C)nc1C(F)F)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000297501636 132019256 /nfs/dbraw/zinc/01/92/56/132019256.db2.gz LLLHHCIVMHRXDF-HZPDHXFCSA-N 0 3 319.399 4.126 20 0 DIADHN C[C@@H](CCN[C@H]1CCn2ccnc21)c1cccc(C(F)(F)F)c1 ZINC000297668702 132028256 /nfs/dbraw/zinc/02/82/56/132028256.db2.gz KVYZUICTMFGFTE-WFASDCNBSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(c2ccncn2)CC1 ZINC000298122702 132047602 /nfs/dbraw/zinc/04/76/02/132047602.db2.gz KHUZCLGHKJLXGD-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1ccsc1CNCc1c(C)nc2scc(C3CC3)n12 ZINC000298233249 132051972 /nfs/dbraw/zinc/05/19/72/132051972.db2.gz BKNLXAMKBPKMJB-UHFFFAOYSA-N 0 3 317.483 4.241 20 0 DIADHN CCOC1(C)CCN([C@@H](C)c2ccc(OC(F)F)cc2)CC1 ZINC000298316342 132056087 /nfs/dbraw/zinc/05/60/87/132056087.db2.gz QMMGVZPCVGQSPE-ZDUSSCGKSA-N 0 3 313.388 4.240 20 0 DIADHN CSCc1ccc(NC2CCN(c3ccncc3)CC2)cc1 ZINC000298323869 132056517 /nfs/dbraw/zinc/05/65/17/132056517.db2.gz IKZSQBKBJSXMCD-UHFFFAOYSA-N 0 3 313.470 4.026 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@@H](OC(C)C)c1ccccc1 ZINC000298325263 132056616 /nfs/dbraw/zinc/05/66/16/132056616.db2.gz RAQZVVJRBKGRQD-QGZVFWFLSA-N 0 3 316.445 4.282 20 0 DIADHN CCC(CC)(NCc1ccnc(N)c1)c1ccc(Cl)cc1 ZINC000298688698 132073672 /nfs/dbraw/zinc/07/36/72/132073672.db2.gz SHJWEXDXFWRRIM-UHFFFAOYSA-N 0 3 303.837 4.122 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cccc(C(=O)N(C)C)c1)C1CC1 ZINC000299381015 132102177 /nfs/dbraw/zinc/10/21/77/132102177.db2.gz NQYMAMRHITWBRV-MRXNPFEDSA-N 0 3 322.452 4.114 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NCCc2ccccn2)cc1 ZINC000299730525 132116793 /nfs/dbraw/zinc/11/67/93/132116793.db2.gz WTVGIPYPJHVKIH-HNNXBMFYSA-N 0 3 312.310 4.047 20 0 DIADHN CCC[C@@H](NCc1cncc(Br)c1)c1ccccc1 ZINC000163844079 132124912 /nfs/dbraw/zinc/12/49/12/132124912.db2.gz NQGQMBJMQBWWIW-MRXNPFEDSA-N 0 3 319.246 4.475 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000119918134 132138716 /nfs/dbraw/zinc/13/87/16/132138716.db2.gz FYPBFAHOXZKJHZ-CQSZACIVSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@H](N[C@@H](C)c1cccnc1)c1ccc(OC(F)(F)F)cc1 ZINC000120383252 132141656 /nfs/dbraw/zinc/14/16/56/132141656.db2.gz OXLCKPICONTRDI-RYUDHWBXSA-N 0 3 310.319 4.392 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1ccc(-n2ccnc2)cc1 ZINC000120789068 132150868 /nfs/dbraw/zinc/15/08/68/132150868.db2.gz PKQMFDYGAVYRGE-KDOFPFPSSA-N 0 3 307.397 4.194 20 0 DIADHN C[C@H](NC1CCN(c2ccccn2)CC1)c1ccccc1Cl ZINC000121358119 132154062 /nfs/dbraw/zinc/15/40/62/132154062.db2.gz SCBQATABWXRJET-AWEZNQCLSA-N 0 3 315.848 4.055 20 0 DIADHN COCc1cccc(CN[C@H]2CCCc3cc(OC)ccc32)c1 ZINC000121416203 132155942 /nfs/dbraw/zinc/15/59/42/132155942.db2.gz OTESACZFCUSGPH-FQEVSTJZSA-N 0 3 311.425 4.009 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](c3ccccc3)C(C)C)c(C)n2n1 ZINC000121632413 132156781 /nfs/dbraw/zinc/15/67/81/132156781.db2.gz LBAHEDAOUKFVNS-MGPUTAFESA-N 0 3 322.456 4.394 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(F)c2)cc1 ZINC000122185869 132162454 /nfs/dbraw/zinc/16/24/54/132162454.db2.gz KVRUQEUKOWPOJA-OLZOCXBDSA-N 0 3 303.377 4.255 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(OC)c(F)c2)c1 ZINC000122186550 132162928 /nfs/dbraw/zinc/16/29/28/132162928.db2.gz KWIBOPMYEPJFSC-STQMWFEESA-N 0 3 303.377 4.255 20 0 DIADHN F[C@]1(c2ccccc2)CCN(Cc2ccc3ncccc3c2)C1 ZINC000563861479 335068478 /nfs/dbraw/zinc/06/84/78/335068478.db2.gz ISRPYZKGHBKPGZ-HXUWFJFHSA-N 0 3 306.384 4.306 20 0 DIADHN CCc1nc([C@@H](C)NCCCCOc2ccccc2)cs1 ZINC000525870234 335071343 /nfs/dbraw/zinc/07/13/43/335071343.db2.gz OROZZVFZQQMVDB-CQSZACIVSA-N 0 3 304.459 4.215 20 0 DIADHN CCSCCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000525869726 335073182 /nfs/dbraw/zinc/07/31/82/335073182.db2.gz IOKDSTVTSJUQEE-UHFFFAOYSA-N 0 3 307.409 4.397 20 0 DIADHN Cc1ccc(C)c([C@@H](NC[C@@](C)(O)C2CC2)c2ccccc2)c1 ZINC000391731384 335085517 /nfs/dbraw/zinc/08/55/17/335085517.db2.gz DUYPGSHHMOHHON-LEWJYISDSA-N 0 3 309.453 4.143 20 0 DIADHN FC(F)c1cccc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)c1 ZINC000425557978 335089479 /nfs/dbraw/zinc/08/94/79/335089479.db2.gz WYVFNNOGUGHWTI-KRWDZBQOSA-N 0 3 321.411 4.405 20 0 DIADHN CCOCC(C)(C)NCc1ccc(Br)cc1Cl ZINC000379143053 335093301 /nfs/dbraw/zinc/09/33/01/335093301.db2.gz BOMDGMPZJAKJRK-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN C[C@H](CNCc1ncc(C(F)(F)F)s1)C1CCCCC1 ZINC000570579770 332776397 /nfs/dbraw/zinc/77/63/97/332776397.db2.gz FXGPTVUCDQINCD-SNVBAGLBSA-N 0 3 306.397 4.468 20 0 DIADHN C[C@@H](NCC1(CO)CCCC1)c1cc(Cl)sc1Cl ZINC000225414862 332812767 /nfs/dbraw/zinc/81/27/67/332812767.db2.gz IZBOTQMSGBACSB-SECBINFHSA-N 0 3 308.274 4.258 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000570770011 332813792 /nfs/dbraw/zinc/81/37/92/332813792.db2.gz XIHBCVWKXSSRCU-IAGOWNOFSA-N 0 3 318.848 4.203 20 0 DIADHN COc1ccc(CN(C)C)cc1N[C@H](C)CCCC(F)(F)F ZINC000318618590 332838127 /nfs/dbraw/zinc/83/81/27/332838127.db2.gz OQWIWSHIFHBAQN-GFCCVEGCSA-N 0 3 318.383 4.290 20 0 DIADHN Clc1ccc(-c2nc(CN[C@@H]3C[C@H]4C[C@H]4C3)cs2)cc1 ZINC000570920172 332842192 /nfs/dbraw/zinc/84/21/92/332842192.db2.gz NNWHGDARGOBKJX-DABQJJPHSA-N 0 3 304.846 4.352 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)cc1OC ZINC000537980358 332853115 /nfs/dbraw/zinc/85/31/15/332853115.db2.gz RZAAAGOBWGJCSR-YRVVQQKDSA-N 0 3 311.425 4.288 20 0 DIADHN Cc1cccc(OC[C@@H](C)NCc2cc3cc(F)ccc3o2)c1 ZINC000462364143 332862532 /nfs/dbraw/zinc/86/25/32/332862532.db2.gz GEIWHWPEIQFNPU-CQSZACIVSA-N 0 3 313.372 4.437 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCC3(CCCCC3)O2)cc1 ZINC000318451594 332868947 /nfs/dbraw/zinc/86/89/47/332868947.db2.gz HHNUIIDAPWHRKT-YJBOKZPZSA-N 0 3 303.446 4.228 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3cnccc3C)ccc2c1 ZINC000323335157 332892007 /nfs/dbraw/zinc/89/20/07/332892007.db2.gz WOADSSMBWVMDPO-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H]1CCCC[C@H]1NCC(=O)Nc1c(Cl)cccc1Cl ZINC000035159777 331479818 /nfs/dbraw/zinc/47/98/18/331479818.db2.gz YVSALSRISNGNLW-GXFFZTMASA-N 0 3 315.244 4.100 20 0 DIADHN C[C@@H](NCCc1cscn1)c1cc(Cl)ccc1Cl ZINC000108941707 331480475 /nfs/dbraw/zinc/48/04/75/331480475.db2.gz XYCFHIVOWHZLDH-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN O=C(CN[C@H](c1ccccc1)C1CC1)Nc1cccc(Cl)c1 ZINC000538392614 331513099 /nfs/dbraw/zinc/51/30/99/331513099.db2.gz YQMYREOVWSZCQS-GOSISDBHSA-N 0 3 314.816 4.019 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc(-c2cnn(C)c2)s1 ZINC000516787469 331523357 /nfs/dbraw/zinc/52/33/57/331523357.db2.gz SOAHSQRRWNUNSF-GOSISDBHSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc(-c2cnn(C)c2)s1 ZINC000516787470 331523959 /nfs/dbraw/zinc/52/39/59/331523959.db2.gz SOAHSQRRWNUNSF-SFHVURJKSA-N 0 3 323.465 4.234 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2)o1)[C@H](O)Cc1ccccc1 ZINC000533467110 331537770 /nfs/dbraw/zinc/53/77/70/331537770.db2.gz OQWAINARVUKZLP-OXJNMPFZSA-N 0 3 321.420 4.028 20 0 DIADHN CC[C@H](N[C@@H](C)Cn1cccn1)c1ccc(Cl)c(Cl)c1 ZINC000037319808 331555118 /nfs/dbraw/zinc/55/51/18/331555118.db2.gz HQDTUDKVPNXTOB-NHYWBVRUSA-N 0 3 312.244 4.319 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cncc(Br)c1 ZINC000521371538 331555957 /nfs/dbraw/zinc/55/59/57/331555957.db2.gz GRSPZNZDEBQGBW-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN FC(F)(F)CCCCN[C@H](c1ccccc1)c1ccccn1 ZINC000317203886 331598992 /nfs/dbraw/zinc/59/89/92/331598992.db2.gz VEBADCYBDAHGEQ-MRXNPFEDSA-N 0 3 308.347 4.493 20 0 DIADHN C/C(Cl)=C/CN[C@@H](C)c1cccc(OCc2ccccn2)c1 ZINC000528430583 331603662 /nfs/dbraw/zinc/60/36/62/331603662.db2.gz DCAAAVPKVOVBQV-MZLJFPOFSA-N 0 3 316.832 4.454 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C\c3ccc(F)cc3)n2)cc1 ZINC000530632063 331613900 /nfs/dbraw/zinc/61/39/00/331613900.db2.gz JYDZTVNIGHFEEI-GHXNOFRVSA-N 0 3 323.371 4.108 20 0 DIADHN OCCC1(NCc2csc(-c3ccsc3)n2)CCCCC1 ZINC000530714227 331620762 /nfs/dbraw/zinc/62/07/62/331620762.db2.gz JMNQHCUBUPSIFO-UHFFFAOYSA-N 0 3 322.499 4.047 20 0 DIADHN FC(F)(F)c1ccccc1CCNCc1ccc(Cl)o1 ZINC000518760722 332921710 /nfs/dbraw/zinc/92/17/10/332921710.db2.gz SNVCRRDDNSQJLK-UHFFFAOYSA-N 0 3 303.711 4.284 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2ncc(C(C)(C)C)s2)CCO1 ZINC000447893379 331661390 /nfs/dbraw/zinc/66/13/90/331661390.db2.gz MDSJTVQSXKYGMC-KGLIPLIRSA-N 0 3 310.507 4.124 20 0 DIADHN Clc1sccc1CNCCCCOCc1ccccc1 ZINC000312592856 331672209 /nfs/dbraw/zinc/67/22/09/331672209.db2.gz AIDIYYIBKHMPFJ-UHFFFAOYSA-N 0 3 309.862 4.488 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cccc(C(N)=O)c1)c1ccccc1 ZINC000079080274 331680983 /nfs/dbraw/zinc/68/09/83/331680983.db2.gz MSIZWSFXIFNTRA-GOSISDBHSA-N 0 3 310.441 4.053 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(C(F)(F)F)cc2)s1 ZINC000080693073 331685358 /nfs/dbraw/zinc/68/53/58/331685358.db2.gz PBXQFUPWIRGFRD-UHFFFAOYSA-N 0 3 300.349 4.069 20 0 DIADHN CC[C@@H](NCCCOC(C)C)c1nc(C(F)(F)F)cs1 ZINC000185922596 331702364 /nfs/dbraw/zinc/70/23/64/331702364.db2.gz URJXGSHFSBSBQZ-SNVBAGLBSA-N 0 3 310.385 4.018 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC(F)(c2cccnc2)CC1 ZINC000556544279 331714787 /nfs/dbraw/zinc/71/47/87/331714787.db2.gz RROJOMVNDNTXPX-CQSZACIVSA-N 0 3 302.368 4.243 20 0 DIADHN Cc1ccc(/C=C\CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000255405477 331738407 /nfs/dbraw/zinc/73/84/07/331738407.db2.gz FMVIWKLIPAERJW-WAYWQWQTSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccccc2-n2ccnn2)c1C ZINC000556872289 331745548 /nfs/dbraw/zinc/74/55/48/331745548.db2.gz XCULZNQRBUKWML-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H]1C)c1ccc(OC(F)F)cc1OC(F)F ZINC000556914830 331753351 /nfs/dbraw/zinc/75/33/51/331753351.db2.gz DELDTORKKAJMAP-AUTRQRHGSA-N 0 3 321.314 4.339 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1nccn1C)c1ccc(Cl)cc1 ZINC000556925646 331754840 /nfs/dbraw/zinc/75/48/40/331754840.db2.gz XUXBDCOMCFIBAO-XJKSGUPXSA-N 0 3 305.853 4.164 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000565725463 331780662 /nfs/dbraw/zinc/78/06/62/331780662.db2.gz GSJQNFMRWNPHFO-CXAGYDPISA-N 0 3 301.409 4.362 20 0 DIADHN CCOc1cc(CN[C@H](c2ccc(C)cc2)C2CC2)ccc1O ZINC000557384299 331787091 /nfs/dbraw/zinc/78/70/91/331787091.db2.gz DDBVYYXQGPYFHV-HXUWFJFHSA-N 0 3 311.425 4.340 20 0 DIADHN CCCN(CCC)[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000557400767 331788108 /nfs/dbraw/zinc/78/81/08/331788108.db2.gz PTCQNQCNRISCPI-GFCCVEGCSA-N 0 3 316.367 4.154 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)c1cccc(-n2ccnc2)c1 ZINC000557827191 331819478 /nfs/dbraw/zinc/81/94/78/331819478.db2.gz KMSFTWUKCSCXIR-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@H]3CCCSC3)s2)cc1 ZINC000557833612 331819790 /nfs/dbraw/zinc/81/97/90/331819790.db2.gz PWVIPZVFBIKFJN-AWEZNQCLSA-N 0 3 304.484 4.104 20 0 DIADHN Clc1cccnc1C1CCN(C[C@@H]2Cc3ccccc32)CC1 ZINC000558118824 331878007 /nfs/dbraw/zinc/87/80/07/331878007.db2.gz SINJNRFWIHYGAW-INIZCTEOSA-N 0 3 312.844 4.254 20 0 DIADHN COC[C@@H](NC[C@@H](C)Sc1ccccc1)c1ccccc1 ZINC000558241666 331886850 /nfs/dbraw/zinc/88/68/50/331886850.db2.gz RHVWMGACISYULT-CRAIPNDOSA-N 0 3 301.455 4.145 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@H](C)c2cncs2)cc1 ZINC000558256249 331889592 /nfs/dbraw/zinc/88/95/92/331889592.db2.gz JAAXUIPYBPJVPX-ZIAGYGMSSA-N 0 3 304.459 4.385 20 0 DIADHN Fc1ccc(CN[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)cc1C(F)(F)F ZINC000558417875 331905012 /nfs/dbraw/zinc/90/50/12/331905012.db2.gz JGSFXANFCLNTLK-XOKHGSTOSA-N 0 3 321.317 4.272 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2CCC23CCOCC3)c2ccccn2)c1 ZINC000558622612 331927979 /nfs/dbraw/zinc/92/79/79/331927979.db2.gz NGYMMYYSBRZICG-WOJBJXKFSA-N 0 3 322.452 4.028 20 0 DIADHN COc1ccc(CN[C@H](C)c2cnn(C3CCCC3)c2)c(C)c1 ZINC000558621534 331928104 /nfs/dbraw/zinc/92/81/04/331928104.db2.gz YMSMJOGHIQDYRY-OAHLLOKOSA-N 0 3 313.445 4.166 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(C2CCOCC2)CC1 ZINC000558683548 331934948 /nfs/dbraw/zinc/93/49/48/331934948.db2.gz JINYRKLXRCIIOZ-UHFFFAOYSA-N 0 3 307.865 4.287 20 0 DIADHN CO[C@H](CNC(C)(C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000558855868 331952447 /nfs/dbraw/zinc/95/24/47/331952447.db2.gz ZUYOZIFMLHVRRJ-QGZVFWFLSA-N 0 3 305.368 4.177 20 0 DIADHN CC(C)COCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000317629342 331956253 /nfs/dbraw/zinc/95/62/53/331956253.db2.gz VCDVCYUASOTVCG-IBGZPJMESA-N 0 3 301.405 4.177 20 0 DIADHN C[C@H](NCCC1C[C@@H](C)O[C@H](C)C1)c1nc2c(s1)CCCC2 ZINC000558974663 331962978 /nfs/dbraw/zinc/96/29/78/331962978.db2.gz DXHYAQVEZXCSEL-MCIONIFRSA-N 0 3 322.518 4.266 20 0 DIADHN CCCC(=O)Nc1cccc(CN[C@@H]2CS[C@@H](C(C)C)C2)c1 ZINC000558989787 331964932 /nfs/dbraw/zinc/96/49/32/331964932.db2.gz UIDVITTZCBUBND-DLBZAZTESA-N 0 3 320.502 4.045 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)ccc1F ZINC000517976937 331982610 /nfs/dbraw/zinc/98/26/10/331982610.db2.gz BQBNZYTWPBLEKJ-VXGBXAGGSA-N 0 3 313.376 4.122 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cccs2)cc(OC)c1OC ZINC000127284750 332000811 /nfs/dbraw/zinc/00/08/11/332000811.db2.gz BRBAQOJCVLXEQW-VXGBXAGGSA-N 0 3 321.442 4.186 20 0 DIADHN COc1ccc(C)cc1CNCCc1ccccc1C(F)(F)F ZINC000518263411 332002764 /nfs/dbraw/zinc/00/27/64/332002764.db2.gz OBVXCTGELDKUBH-UHFFFAOYSA-N 0 3 323.358 4.355 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccc3[nH]cnc3c1)CCS2 ZINC000518271156 332003842 /nfs/dbraw/zinc/00/38/42/332003842.db2.gz HKUFRGXVOPXEHL-AWEZNQCLSA-N 0 3 313.401 4.029 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccc3nc[nH]c3c1)CCS2 ZINC000518271156 332003843 /nfs/dbraw/zinc/00/38/43/332003843.db2.gz HKUFRGXVOPXEHL-AWEZNQCLSA-N 0 3 313.401 4.029 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC000518274469 332004394 /nfs/dbraw/zinc/00/43/94/332004394.db2.gz JEFADODKLINGAW-YJBOKZPZSA-N 0 3 314.860 4.265 20 0 DIADHN COCCC1(CN[C@H](C)c2cc(Cl)ccc2Cl)CC1 ZINC000090536662 332005254 /nfs/dbraw/zinc/00/52/54/332005254.db2.gz XDSAVPIFDMUAOR-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN COCCC1(CN[C@@H](c2ccccc2)c2ccc(F)cc2)CC1 ZINC000090537222 332005328 /nfs/dbraw/zinc/00/53/28/332005328.db2.gz HEFSNBZCIYNZQD-IBGZPJMESA-N 0 3 313.416 4.321 20 0 DIADHN O[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)C1CCCCC1 ZINC000518278882 332005715 /nfs/dbraw/zinc/00/57/15/332005715.db2.gz KCQZMXSDTXHPJO-SFHVURJKSA-N 0 3 317.404 4.117 20 0 DIADHN Cc1sccc1CN[C@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000559272751 332011678 /nfs/dbraw/zinc/01/16/78/332011678.db2.gz AXNXFAWKYLUDOP-ROUUACIJSA-N 0 3 301.455 4.375 20 0 DIADHN OCC[C@H](NC/C=C\c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000584254904 332014474 /nfs/dbraw/zinc/01/44/74/332014474.db2.gz KSOQCGINVKUMQY-LPQSXRFUSA-N 0 3 319.807 4.206 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H]2CCCOc3ccc(F)cc32)n1 ZINC000186580653 332937007 /nfs/dbraw/zinc/93/70/07/332937007.db2.gz UJROJNPEDYVGRH-NHYWBVRUSA-N 0 3 320.433 4.198 20 0 DIADHN COc1ccc(CCCN(C)Cc2cc(C)ccc2OC)cc1 ZINC000518359048 332017681 /nfs/dbraw/zinc/01/76/81/332017681.db2.gz ZDZSUZAKUNRTNC-UHFFFAOYSA-N 0 3 313.441 4.077 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCSc3ccc(Cl)cc32)CN1C1CC1 ZINC000129756806 332020540 /nfs/dbraw/zinc/02/05/40/332020540.db2.gz RBDWQJFRGKAXSK-PVXIVEMSSA-N 0 3 322.905 4.092 20 0 DIADHN CCc1nocc1CN[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000559302029 332020922 /nfs/dbraw/zinc/02/09/22/332020922.db2.gz NXMMRGVIFDVHDP-NNUKFRKNSA-N 0 3 324.346 4.292 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000559304473 332021569 /nfs/dbraw/zinc/02/15/69/332021569.db2.gz YXBXAKGDHVCGEI-BRWVUGGUSA-N 0 3 314.473 4.293 20 0 DIADHN CCCc1csc(CNC[C@]2(C)C[C@H]2c2ccccc2)n1 ZINC000559313083 332024011 /nfs/dbraw/zinc/02/40/11/332024011.db2.gz OZAJIJPQOCPWLH-WMZOPIPTSA-N 0 3 300.471 4.379 20 0 DIADHN COCC1(CCNCc2csc(-c3ccccc3)n2)CCC1 ZINC000559322867 332025556 /nfs/dbraw/zinc/02/55/56/332025556.db2.gz ADDVVMZFTNFOKM-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN Fc1cc(CNC/C=C/c2ccccc2)ccc1OC(F)F ZINC000559334846 332028756 /nfs/dbraw/zinc/02/87/56/332028756.db2.gz OFXFXZKTDVIRLZ-QPJJXVBHSA-N 0 3 307.315 4.230 20 0 DIADHN CCCCOC1CCN(C[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000409447265 332031436 /nfs/dbraw/zinc/03/14/36/332031436.db2.gz NQGQQENCXUQASK-GOSISDBHSA-N 0 3 309.494 4.149 20 0 DIADHN CC[C@H](NCCc1nnc(C(C)C)s1)c1ccc(F)cc1 ZINC000426298911 332037523 /nfs/dbraw/zinc/03/75/23/332037523.db2.gz QOSMHPFOVMBIOC-AWEZNQCLSA-N 0 3 307.438 4.084 20 0 DIADHN C[C@@H](NCc1ccc(Oc2ccccc2)nn1)C1CCCCC1 ZINC000518440156 332040032 /nfs/dbraw/zinc/04/00/32/332040032.db2.gz YHYWWLSKRDEARF-OAHLLOKOSA-N 0 3 311.429 4.327 20 0 DIADHN C[C@H](NCc1ccc(Oc2ccccc2)nn1)C1CCCCC1 ZINC000518440154 332040116 /nfs/dbraw/zinc/04/01/16/332040116.db2.gz YHYWWLSKRDEARF-HNNXBMFYSA-N 0 3 311.429 4.327 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNCCCc1ccccc1 ZINC000409637821 332041602 /nfs/dbraw/zinc/04/16/02/332041602.db2.gz LEBWUTWJTCXJKN-UHFFFAOYSA-N 0 3 312.432 4.148 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2cc3ccccc3o2)s1 ZINC000426310263 332043193 /nfs/dbraw/zinc/04/31/93/332043193.db2.gz MSUIBKUUAQQDFW-LBPRGKRZSA-N 0 3 315.442 4.301 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1NCc1ccnn1C1CCCC1 ZINC000569362389 332052841 /nfs/dbraw/zinc/05/28/41/332052841.db2.gz FGNHVHKPIWADGC-CABCVRRESA-N 0 3 315.383 4.209 20 0 DIADHN CCc1nc(C)c(CN[C@H](C)CCc2ccc(Cl)s2)o1 ZINC000426331681 332057501 /nfs/dbraw/zinc/05/75/01/332057501.db2.gz ANMGKFVJJUIFND-SNVBAGLBSA-N 0 3 312.866 4.371 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NCCc1cccc2[nH]ccc21 ZINC000409845540 332059971 /nfs/dbraw/zinc/05/99/71/332059971.db2.gz WDXJGWQNRFTWGK-GOSISDBHSA-N 0 3 312.363 4.266 20 0 DIADHN CC(C)c1nnc(CCN[C@@H]2CCCc3ccc(F)cc32)s1 ZINC000426340056 332062762 /nfs/dbraw/zinc/06/27/62/332062762.db2.gz YHTLBBUCFCBLCJ-OAHLLOKOSA-N 0 3 319.449 4.010 20 0 DIADHN CCN(Cc1ccc(C(=O)N[C@H](C)c2ccccc2)cc1)C1CC1 ZINC000409948723 332067176 /nfs/dbraw/zinc/06/71/76/332067176.db2.gz CTRKVXMNUYDORB-MRXNPFEDSA-N 0 3 322.452 4.162 20 0 DIADHN C[C@]1(O)CCN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000569390926 332074040 /nfs/dbraw/zinc/07/40/40/332074040.db2.gz CAZSJHUQZKCZGH-INIZCTEOSA-N 0 3 307.846 4.025 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000316605756 332081926 /nfs/dbraw/zinc/08/19/26/332081926.db2.gz QDAWNCYMJQYLEA-AWEZNQCLSA-N 0 3 319.836 4.047 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000316605757 332081954 /nfs/dbraw/zinc/08/19/54/332081954.db2.gz QDAWNCYMJQYLEA-CQSZACIVSA-N 0 3 319.836 4.047 20 0 DIADHN Cc1cc(CNC2CC(C)(F)C2)ccc1Oc1cccnc1 ZINC000449371095 332083937 /nfs/dbraw/zinc/08/39/37/332083937.db2.gz QFZCIKMFFJXDMZ-UHFFFAOYSA-N 0 3 300.377 4.163 20 0 DIADHN C[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)c1cccc(C2CC2)c1 ZINC000569454301 332089505 /nfs/dbraw/zinc/08/95/05/332089505.db2.gz LGNXSAQQLIZTHY-CYBMUJFWSA-N 0 3 321.424 4.050 20 0 DIADHN CC(C)N1CC[C@H](N[C@H](C)c2cccc(-c3ccccc3)c2)C1=O ZINC000537981609 332943494 /nfs/dbraw/zinc/94/34/94/332943494.db2.gz SIHYHNFTZKJTHT-UZLBHIALSA-N 0 3 322.452 4.014 20 0 DIADHN COc1ccc(CN2CCS[C@H](C)CC2)cc1OCC(C)C ZINC000426384498 332103333 /nfs/dbraw/zinc/10/33/33/332103333.db2.gz HDKVTGPSQQXCCA-OAHLLOKOSA-N 0 3 323.502 4.057 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C1(CC)CCCCC1)c1ccco1 ZINC000569493936 332104044 /nfs/dbraw/zinc/10/40/44/332104044.db2.gz BHBHXOIQMPGWPP-JKSUJKDBSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3ccc(F)c(F)c3)nc21 ZINC000410318479 332111685 /nfs/dbraw/zinc/11/16/85/332111685.db2.gz PVCJBNKOVATLDX-LBPRGKRZSA-N 0 3 316.351 4.308 20 0 DIADHN CSc1ccc(CN[C@H](C)COc2cccc(C)c2)cc1 ZINC000520946959 332112483 /nfs/dbraw/zinc/11/24/83/332112483.db2.gz WXKFXNAYKKMEBM-OAHLLOKOSA-N 0 3 301.455 4.274 20 0 DIADHN Clc1ccc(CN(CC2=CCCOC2)C2CC2)cc1Cl ZINC000092190820 332115700 /nfs/dbraw/zinc/11/57/00/332115700.db2.gz SXNCFNFECFGEKU-UHFFFAOYSA-N 0 3 312.240 4.305 20 0 DIADHN C[C@@H]1CCN(Cc2c(Cl)cccc2N2CCCC2)CCS1 ZINC000426405536 332120126 /nfs/dbraw/zinc/12/01/26/332120126.db2.gz RDCBROHTMXLYTG-CQSZACIVSA-N 0 3 324.921 4.268 20 0 DIADHN CC(C)n1nccc1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000410382456 332121493 /nfs/dbraw/zinc/12/14/93/332121493.db2.gz ZXGAWOCRZSTJNW-AWEZNQCLSA-N 0 3 305.853 4.180 20 0 DIADHN CCc1ncc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)s1 ZINC000559358189 332127632 /nfs/dbraw/zinc/12/76/32/332127632.db2.gz HPWACNLVCIZPSC-HAQNSBGRSA-N 0 3 306.862 4.395 20 0 DIADHN CCO[C@H](CCN[C@@H](COC)c1cccc(Cl)c1)C(C)C ZINC000569534092 332127725 /nfs/dbraw/zinc/12/77/25/332127725.db2.gz VFLCALUHMMVCBB-DLBZAZTESA-N 0 3 313.869 4.068 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCc3ccccc3C2)cs1 ZINC000132326687 332128881 /nfs/dbraw/zinc/12/88/81/332128881.db2.gz ZHUVOHBGHKZXFS-HNNXBMFYSA-N 0 3 300.471 4.088 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1cc(Br)ccc1F ZINC000132461615 332130065 /nfs/dbraw/zinc/13/00/65/332130065.db2.gz NMZVASTUCDXCFC-GXSJLCMTSA-N 0 3 318.255 4.134 20 0 DIADHN COc1cccc(NC2CCN(Cc3sccc3C)CC2)c1 ZINC000093342293 332137656 /nfs/dbraw/zinc/13/76/56/332137656.db2.gz HHFKWEKAHFLRTD-UHFFFAOYSA-N 0 3 316.470 4.142 20 0 DIADHN Fc1ccc([C@H](NC2CCSCC2)c2ccccc2)cc1 ZINC000133299188 332139037 /nfs/dbraw/zinc/13/90/37/332139037.db2.gz IHGKFZHYRZGUMN-GOSISDBHSA-N 0 3 301.430 4.400 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NCc1ccc(Br)cc1 ZINC000133386238 332139156 /nfs/dbraw/zinc/13/91/56/332139156.db2.gz OJVPJQNWKLPHIR-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN Cc1cccc2c1OC[C@H](N[C@H](C)c1nccc3ccccc31)C2 ZINC000569563922 332139194 /nfs/dbraw/zinc/13/91/94/332139194.db2.gz ZFSIPLWRPUIVGB-CRAIPNDOSA-N 0 3 318.420 4.198 20 0 DIADHN COc1cccc(Cl)c1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000573602741 332146244 /nfs/dbraw/zinc/14/62/44/332146244.db2.gz UCHOYNRZDHZSOY-GJZGRUSLSA-N 0 3 301.817 4.242 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN(C)CC2CC2)on1 ZINC000180978043 332171050 /nfs/dbraw/zinc/17/10/50/332171050.db2.gz DIALUVDVXQUSCT-UHFFFAOYSA-N 0 3 322.408 4.345 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCCO2)c2cccs2)cc1 ZINC000526047445 332172455 /nfs/dbraw/zinc/17/24/55/332172455.db2.gz MYVIJCWIVNQLAW-DOTOQJQBSA-N 0 3 305.418 4.135 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000181005071 332173035 /nfs/dbraw/zinc/17/30/35/332173035.db2.gz XSSYVPRBSWUVNT-KZNAEPCWSA-N 0 3 313.397 4.119 20 0 DIADHN COC1(CNCc2ccc(Cl)c(C(F)(F)F)c2)CCC1 ZINC000181078305 332173477 /nfs/dbraw/zinc/17/34/77/332173477.db2.gz HBYXDUXSXUKTSO-UHFFFAOYSA-N 0 3 307.743 4.018 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@@H]1c1ccccc1)c1ccc(Cl)s1 ZINC000584261504 332174057 /nfs/dbraw/zinc/17/40/57/332174057.db2.gz HECKYHJWFOUNRH-QEORTHHSSA-N 0 3 321.873 4.218 20 0 DIADHN COC1CCC(NCc2ccc(-c3ccco3)cc2F)CC1 ZINC000448020216 332178660 /nfs/dbraw/zinc/17/86/60/332178660.db2.gz AXFZALLIADKHHG-UHFFFAOYSA-N 0 3 303.377 4.133 20 0 DIADHN Cc1cc(NC(=O)C(C)C(F)(F)F)cc(C)c1Br ZINC000427183904 332182401 /nfs/dbraw/zinc/18/24/01/332182401.db2.gz FJHLKZCBVBLWBI-MRVPVSSYSA-N 0 3 324.140 4.203 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000449436335 332189269 /nfs/dbraw/zinc/18/92/69/332189269.db2.gz XJAHPCDQXVYVKI-DOTOQJQBSA-N 0 3 308.491 4.395 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2N(C)CCCC2(C)C)cs1 ZINC000448023805 332189538 /nfs/dbraw/zinc/18/95/38/332189538.db2.gz VCTXXCFUFRKBIC-DZGCQCFKSA-N 0 3 309.523 4.038 20 0 DIADHN COCCOc1cccc(CN(Cc2ccccc2)C(C)C)c1 ZINC000215662283 332195537 /nfs/dbraw/zinc/19/55/37/332195537.db2.gz DKXZHICVVFIRIZ-UHFFFAOYSA-N 0 3 313.441 4.122 20 0 DIADHN Fc1ccc2oc(CN[C@H](Cc3ccccc3)C3CC3)nc2c1 ZINC000181547190 332198938 /nfs/dbraw/zinc/19/89/38/332198938.db2.gz VGEDYHBPDJZLRT-MRXNPFEDSA-N 0 3 310.372 4.078 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NC[C@H]1CCSC1)CC2 ZINC000448029766 332202310 /nfs/dbraw/zinc/20/23/10/332202310.db2.gz QVTMVIORVCWOKO-NOZJJQNGSA-N 0 3 302.270 4.323 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NC[C@@H]1CCSC1)CC2 ZINC000448029768 332202458 /nfs/dbraw/zinc/20/24/58/332202458.db2.gz QVTMVIORVCWOKO-TVQRCGJNSA-N 0 3 302.270 4.323 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)ccn1 ZINC000533831597 332216304 /nfs/dbraw/zinc/21/63/04/332216304.db2.gz RPXATEWQAJKTHT-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2cc(F)ccc2F)cc1 ZINC000531044460 332226400 /nfs/dbraw/zinc/22/64/00/332226400.db2.gz TZFYDJSWAMGZDD-MOPGFXCFSA-N 0 3 317.379 4.283 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(Cc2ccncc2)CC1 ZINC000367523255 332248649 /nfs/dbraw/zinc/24/86/49/332248649.db2.gz OBMHFAYTFHSTFK-GOSISDBHSA-N 0 3 302.368 4.144 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000536499198 332253172 /nfs/dbraw/zinc/25/31/72/332253172.db2.gz SCXCAJZOAGFLRF-SJORKVTESA-N 0 3 315.848 4.118 20 0 DIADHN FC[C@@H]1CCCN1CCCCOc1ccccc1C(F)(F)F ZINC000559399595 332256228 /nfs/dbraw/zinc/25/62/28/332256228.db2.gz JQKMITJOSUGLRN-ZDUSSCGKSA-N 0 3 319.342 4.298 20 0 DIADHN CCOc1cccc(CN[C@H]2CCCc3c(OC)cccc32)c1 ZINC000555949048 332266347 /nfs/dbraw/zinc/26/63/47/332266347.db2.gz SRHHANGGJOKGNF-IBGZPJMESA-N 0 3 311.425 4.261 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H](c3ccncc3)C2)c1 ZINC000559637477 332274808 /nfs/dbraw/zinc/27/48/08/332274808.db2.gz XILQUDDEFZUSFD-HNNXBMFYSA-N 0 3 321.251 4.420 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](C)C(=O)N1c2ccccc2C[C@@H]1C ZINC000559721491 332277633 /nfs/dbraw/zinc/27/76/33/332277633.db2.gz ANVFYIQACQERHO-YESZJQIVSA-N 0 3 322.452 4.012 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@@H](CCCC(=O)OC)C1)c1nccs1 ZINC000559767581 332280210 /nfs/dbraw/zinc/28/02/10/332280210.db2.gz WMJWBTOHTAIKCA-KKUMJFAQSA-N 0 3 324.490 4.086 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@H](CCCC(=O)OC)C1)c1nccs1 ZINC000559767579 332280277 /nfs/dbraw/zinc/28/02/77/332280277.db2.gz WMJWBTOHTAIKCA-ILXRZTDVSA-N 0 3 324.490 4.086 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccccc1)c1ccc(F)c2ccccc21 ZINC000559774555 332280946 /nfs/dbraw/zinc/28/09/46/332280946.db2.gz RRIXAJFZOPCOQU-XOBRGWDASA-N 0 3 309.384 4.363 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](C)c1cccc(C2CC2)c1 ZINC000559785390 332282086 /nfs/dbraw/zinc/28/20/86/332282086.db2.gz ALNUFRVMPLYJHT-AWEZNQCLSA-N 0 3 311.473 4.273 20 0 DIADHN CN1CC[C@@H](NCc2cccc(Cl)c2F)[C@H]1c1ccccc1 ZINC000559795053 332282330 /nfs/dbraw/zinc/28/23/30/332282330.db2.gz HUPYHVKJGDUIRI-SJLPKXTDSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000109762364 332283635 /nfs/dbraw/zinc/28/36/35/332283635.db2.gz JQDBWXNOJIQPQY-HOCLYGCPSA-N 0 3 310.507 4.074 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@H](C)c2ccncc2F)cc1 ZINC000559853855 332285423 /nfs/dbraw/zinc/28/54/23/332285423.db2.gz DTRLXDOGMFEVDY-HUUCEWRRSA-N 0 3 316.420 4.462 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1cccc(C2CC2)c1 ZINC000559856772 332285732 /nfs/dbraw/zinc/28/57/32/332285732.db2.gz URZARCAGCYPRQN-AWEZNQCLSA-N 0 3 305.425 4.302 20 0 DIADHN CC[C@@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@H]1CCOC1 ZINC000538589606 332286322 /nfs/dbraw/zinc/28/63/22/332286322.db2.gz NNOYLSXWNFEDJK-SMDDNHRTSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H](NCCc1cc2ccccc2o1)c1ccc2c(c1)OCO2 ZINC000537974985 332287065 /nfs/dbraw/zinc/28/70/65/332287065.db2.gz WXSQELRQDCRTKC-ZDUSSCGKSA-N 0 3 309.365 4.055 20 0 DIADHN COc1ccncc1CN[C@H]1CCCC[C@@H](c2ccccc2)C1 ZINC000559871288 332287709 /nfs/dbraw/zinc/28/77/09/332287709.db2.gz LHPQCMYTQMJLES-MJGOQNOKSA-N 0 3 310.441 4.296 20 0 DIADHN Cc1c([C@H](C)NCC[C@@H](C)F)cnn1-c1cc(C)ccc1C ZINC000559891370 332289898 /nfs/dbraw/zinc/28/98/98/332289898.db2.gz QOEAOXAXGFQFPR-CABCVRRESA-N 0 3 303.425 4.196 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2cn3ccccc3n2)cc1 ZINC000559893106 332290531 /nfs/dbraw/zinc/29/05/31/332290531.db2.gz FXTPPHUUVPTIPA-JKSUJKDBSA-N 0 3 323.440 4.015 20 0 DIADHN C[C@H](NCC1(Cc2ccccc2)CC1)c1cn2ccccc2n1 ZINC000559921914 332293945 /nfs/dbraw/zinc/29/39/45/332293945.db2.gz NJDJUMTUJFGNMX-INIZCTEOSA-N 0 3 305.425 4.008 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2cccs2)cc1OC ZINC000048416884 332303448 /nfs/dbraw/zinc/30/34/48/332303448.db2.gz TYXWRDHDKYARML-CQSZACIVSA-N 0 3 305.443 4.396 20 0 DIADHN CCOc1cc(CNCc2cccc(Cl)c2)ccc1OC ZINC000048241831 332303478 /nfs/dbraw/zinc/30/34/78/332303478.db2.gz AKHCDBGNPPCVQM-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc(C)s1)CCO2 ZINC000049161367 332307679 /nfs/dbraw/zinc/30/76/79/332307679.db2.gz GHEJWXPVKRMNKP-SWLSCSKDSA-N 0 3 303.427 4.240 20 0 DIADHN COCC1(NCc2ccc(-c3ccccc3Cl)o2)CCC1 ZINC000560077416 332308863 /nfs/dbraw/zinc/30/88/63/332308863.db2.gz QIAOOVSTHUWPRZ-UHFFFAOYSA-N 0 3 305.805 4.259 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@H]2NCc2ncc[nH]2)cc1 ZINC000560092536 332310550 /nfs/dbraw/zinc/31/05/50/332310550.db2.gz SGGSIFMZJICUFC-HZPDHXFCSA-N 0 3 303.837 4.269 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)c1 ZINC000560115243 332311928 /nfs/dbraw/zinc/31/19/28/332311928.db2.gz FWVOUBWTWINRLO-NDUPCHFUSA-N 0 3 310.441 4.025 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2)c(C)s1 ZINC000049507513 332313231 /nfs/dbraw/zinc/31/32/31/332313231.db2.gz KYPMHKVJPFNLAI-CHWSQXEVSA-N 0 3 302.443 4.043 20 0 DIADHN CC(C)[C@@H](CC1CCCC1)C(=O)N(C)[C@@H](C)C1CCN(C)CC1 ZINC000566284044 332313665 /nfs/dbraw/zinc/31/36/65/332313665.db2.gz QXFKPNBRWMYTCG-QFBILLFUSA-N 0 3 322.537 4.028 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1ccc(C(F)(F)F)cn1 ZINC000560160285 332316476 /nfs/dbraw/zinc/31/64/76/332316476.db2.gz SWVONNJFONFNQF-DZGCQCFKSA-N 0 3 320.358 4.478 20 0 DIADHN FC(F)(F)C1CC(NCc2nc(-c3ccccc3)cs2)C1 ZINC000569615984 332320088 /nfs/dbraw/zinc/32/00/88/332320088.db2.gz FOZOQQMCCRYHSD-UHFFFAOYSA-N 0 3 312.360 4.241 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H](C)Cc2ccsc2)cc1Cl ZINC000560244717 332322708 /nfs/dbraw/zinc/32/27/08/332322708.db2.gz FXRUJOVLISKIQA-WDEREUQCSA-N 0 3 310.850 4.087 20 0 DIADHN CC(C)c1ccc(CCNCc2cnc(C(C)(C)C)nc2)cc1 ZINC000560254101 332323704 /nfs/dbraw/zinc/32/37/04/332323704.db2.gz SSXKEZUOXAWLKC-UHFFFAOYSA-N 0 3 311.473 4.230 20 0 DIADHN Cn1cnc2cc(CN[C@H]3CCc4sc(Cl)cc43)ccc21 ZINC000564407653 332327152 /nfs/dbraw/zinc/32/71/52/332327152.db2.gz NTVLQCUMNYLTSY-LBPRGKRZSA-N 0 3 317.845 4.065 20 0 DIADHN CSc1ccc2c(c1)[C@@H](NCc1cnn3ccccc13)CCC2 ZINC000564973017 332328037 /nfs/dbraw/zinc/32/80/37/332328037.db2.gz OVIKBWZQHQHBEX-SFHVURJKSA-N 0 3 323.465 4.223 20 0 DIADHN CC[C@H](N[C@@H](C)CCc1ccc(F)cc1F)c1nccs1 ZINC000574182981 332330146 /nfs/dbraw/zinc/33/01/46/332330146.db2.gz JNRLFGIAFXLUCO-NHYWBVRUSA-N 0 3 310.413 4.483 20 0 DIADHN C[C@H](CCc1ccco1)NCc1c(F)cccc1N1CCCC1 ZINC000560356144 332333618 /nfs/dbraw/zinc/33/36/18/332333618.db2.gz VNCCHIBAFCZVKM-OAHLLOKOSA-N 0 3 316.420 4.130 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nccn1Cc1ccccc1 ZINC000564686793 332343979 /nfs/dbraw/zinc/34/39/79/332343979.db2.gz HWAOCDGWGAEOCZ-RBUKOAKNSA-N 0 3 311.473 4.332 20 0 DIADHN COc1ccc([C@H]2C[C@H](N[C@H](C)c3cc(C)ccn3)C2)cc1F ZINC000560535344 332354202 /nfs/dbraw/zinc/35/42/02/332354202.db2.gz ZVWQSBMEJUYNPX-FVQBIDKESA-N 0 3 314.404 4.135 20 0 DIADHN CN1CCN(Cc2ccc(C(F)F)cc2)c2ccccc2C1 ZINC000560542277 332355698 /nfs/dbraw/zinc/35/56/98/332355698.db2.gz BVQHJHXHOCNBFC-UHFFFAOYSA-N 0 3 302.368 4.076 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@H](C)Oc2ccccc2Cl)c1 ZINC000560545432 332356733 /nfs/dbraw/zinc/35/67/33/332356733.db2.gz MRYXJFNLKNUZEX-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](Cc2ccccc2)C1)c1c(F)cncc1F ZINC000560577113 332361608 /nfs/dbraw/zinc/36/16/08/332361608.db2.gz HIFYQDGCYQRXOY-IMJJTQAJSA-N 0 3 316.395 4.422 20 0 DIADHN CCC[C@H](CCO)CN[C@H](C)c1ccccc1OC(F)(F)F ZINC000152424131 335103068 /nfs/dbraw/zinc/10/30/68/335103068.db2.gz FUYTZKCDETYMKI-CHWSQXEVSA-N 0 3 319.367 4.035 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000560581251 332364232 /nfs/dbraw/zinc/36/42/32/332364232.db2.gz GPVWWTGANMKVCO-CYBMUJFWSA-N 0 3 315.438 4.129 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccc(N2CCCC2=O)cc1 ZINC000119141795 332365201 /nfs/dbraw/zinc/36/52/01/332365201.db2.gz NJBXUIQSTBXFQM-MGPUTAFESA-N 0 3 320.436 4.152 20 0 DIADHN CC(C)c1ccc(CN[C@@H](c2cccnc2)C(C)(C)CO)cc1 ZINC000560586898 332365674 /nfs/dbraw/zinc/36/56/74/332365674.db2.gz NZYXRHBZUMRXFI-IBGZPJMESA-N 0 3 312.457 4.054 20 0 DIADHN CC(C)c1ccc(CN[C@H](c2cccnc2)C(C)(C)CO)cc1 ZINC000560586899 332365735 /nfs/dbraw/zinc/36/57/35/332365735.db2.gz NZYXRHBZUMRXFI-LJQANCHMSA-N 0 3 312.457 4.054 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3ccc4c[nH]nc4c3)C2)c1 ZINC000583372754 332367622 /nfs/dbraw/zinc/36/76/22/332367622.db2.gz HEDWPCGCWVFWKW-INIZCTEOSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1ccccc1-n1ccnn1 ZINC000583373107 332367917 /nfs/dbraw/zinc/36/79/17/332367917.db2.gz YWQYRZWJYASKOQ-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN CCCN(CCC)[C@@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000560687875 332377739 /nfs/dbraw/zinc/37/77/39/332377739.db2.gz AJERLSCEQFBGCT-NSHDSACASA-N 0 3 317.260 4.442 20 0 DIADHN CCCN(CC(=O)Nc1cc(Cl)ccc1Cl)C1CCC1 ZINC000560700803 332379803 /nfs/dbraw/zinc/37/98/03/332379803.db2.gz VKHKZOJKUYHZAO-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN C[C@H](c1ccccc1)N(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000583394423 332384199 /nfs/dbraw/zinc/38/41/99/332384199.db2.gz ZAZBUVQEVSSWAL-CQSZACIVSA-N 0 3 311.454 4.342 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000120299366 332385338 /nfs/dbraw/zinc/38/53/38/332385338.db2.gz FZFONRTXTZJJKT-SCLBCKFNSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1N(C)C)c1ccc2n[nH]cc2c1 ZINC000583397069 332385894 /nfs/dbraw/zinc/38/58/94/332385894.db2.gz FWMZQPDNCXQZAW-ZIAGYGMSSA-N 0 3 308.429 4.041 20 0 DIADHN COc1ccc(CN(CCCC(=O)c2ccccc2)C2CC2)cc1 ZINC000560839680 332389729 /nfs/dbraw/zinc/38/97/29/332389729.db2.gz GZABEDYWHOBHNR-UHFFFAOYSA-N 0 3 323.436 4.323 20 0 DIADHN [O-]c1cc(C[NH2+]C[C@H]2CCCC(F)(F)C2)cc(C(F)(F)F)c1 ZINC000583410408 332392181 /nfs/dbraw/zinc/39/21/81/332392181.db2.gz MGOMLXROPVOGBI-JTQLQIEISA-N 0 3 323.305 4.326 20 0 DIADHN CCc1ccc([C@@H](C)NC(=O)[C@H](C)N[C@H](C)c2ccccc2)cc1 ZINC000561062301 332405785 /nfs/dbraw/zinc/40/57/85/332405785.db2.gz CZMXKUMHQUTLJE-ZACQAIPSSA-N 0 3 324.468 4.166 20 0 DIADHN Fc1cccc(CN[C@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC000561090775 332408984 /nfs/dbraw/zinc/40/89/84/332408984.db2.gz RURDWHRWNPNIFY-IRXDYDNUSA-N 0 3 305.780 4.099 20 0 DIADHN CC(C)CC[C@@H](N[C@H]1CCN(c2ccccn2)C1)c1ccoc1 ZINC000428456006 332428784 /nfs/dbraw/zinc/42/87/84/332428784.db2.gz KBORMHSKZABMJR-ZWKOTPCHSA-N 0 3 313.445 4.020 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1)c1cn2ccccc2n1 ZINC000561283995 332432599 /nfs/dbraw/zinc/43/25/99/332432599.db2.gz GNJKTKHDPCASFW-CQSZACIVSA-N 0 3 313.832 4.271 20 0 DIADHN Cc1cc(CCN[C@H](c2ccccc2)c2ccc(C)cc2)on1 ZINC000561314569 332436541 /nfs/dbraw/zinc/43/65/41/332436541.db2.gz HZZMEKAWZFSUJE-HXUWFJFHSA-N 0 3 306.409 4.213 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)N(C)c1ccccc1)c1ccccc1 ZINC000561436970 332450851 /nfs/dbraw/zinc/45/08/51/332450851.db2.gz MMBFVEOHIQSSGM-VQIMIIECSA-N 0 3 310.441 4.025 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@@H]1CC[C@H](C)C1 ZINC000427574942 332453944 /nfs/dbraw/zinc/45/39/44/332453944.db2.gz ZZGPVDOFRKHZLX-DOTOQJQBSA-N 0 3 314.473 4.356 20 0 DIADHN Clc1ccc(C2(NCC3=Cc4ccccc4OC3)CC2)cc1 ZINC000561477151 332453948 /nfs/dbraw/zinc/45/39/48/332453948.db2.gz JLHAALZSZOGEDT-UHFFFAOYSA-N 0 3 311.812 4.395 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)Nc1ccccc1CN(C)C ZINC000561563594 332463927 /nfs/dbraw/zinc/46/39/27/332463927.db2.gz OUEHXLMGSFPYJG-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN CC(C)CC[C@@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000427610067 332464934 /nfs/dbraw/zinc/46/49/34/332464934.db2.gz SOROVKFAVBNRJR-GHMZBOCLSA-N 0 3 317.246 4.459 20 0 DIADHN Cc1cc(C)n(CCNC2(c3ccc(Cl)c(Cl)c3)CC2)n1 ZINC000561585391 332466467 /nfs/dbraw/zinc/46/64/67/332466467.db2.gz SGQIMCJAHGUALC-UHFFFAOYSA-N 0 3 324.255 4.086 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CC(C)(C)c3ccccc32)cc1O ZINC000569629608 332469743 /nfs/dbraw/zinc/46/97/43/332469743.db2.gz RPLMDIQAEUVWFS-CXAGYDPISA-N 0 3 311.425 4.474 20 0 DIADHN CCCN(CCN(C)C)C(=O)C[C@@H](C)c1ccc(C(C)C)cc1 ZINC000525644315 332481963 /nfs/dbraw/zinc/48/19/63/332481963.db2.gz XYVUHAFGEUXSMV-QGZVFWFLSA-N 0 3 318.505 4.104 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccc(N3CCCC3)nc2)cc1 ZINC000151042808 332492163 /nfs/dbraw/zinc/49/21/63/332492163.db2.gz PAHTWJCWYQDAGF-FQEVSTJZSA-N 0 3 323.484 4.485 20 0 DIADHN CC[C@@H](N[C@H](C)c1ncc(Br)cc1F)C(C)(C)C ZINC000427717497 332498361 /nfs/dbraw/zinc/49/83/61/332498361.db2.gz BVAIVHLEMJPXLJ-BXKDBHETSA-N 0 3 317.246 4.459 20 0 DIADHN Oc1cccc2c1C[C@H](NCc1ccc(Oc3ccccc3)o1)C2 ZINC000428565600 332500526 /nfs/dbraw/zinc/50/05/26/332500526.db2.gz ZMNJEELAOFTQCY-OAHLLOKOSA-N 0 3 321.376 4.035 20 0 DIADHN COCC1(CNCc2csc(-c3ccsc3)n2)CCCC1 ZINC000449740823 332509071 /nfs/dbraw/zinc/50/90/71/332509071.db2.gz RCHKUEVZXUHBNT-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)Cc2ccccc2F)c(OC)c1 ZINC000323283887 332521788 /nfs/dbraw/zinc/52/17/88/332521788.db2.gz LZCCOCKZMUKYPH-UONOGXRCSA-N 0 3 317.404 4.125 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)Cc2ccccc2F)c(OC)c1 ZINC000323283888 332521825 /nfs/dbraw/zinc/52/18/25/332521825.db2.gz LZCCOCKZMUKYPH-ZIAGYGMSSA-N 0 3 317.404 4.125 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@@H]1c2ccc(F)cc2O[C@@H]1C ZINC000562059467 332540618 /nfs/dbraw/zinc/54/06/18/332540618.db2.gz VFXNKLJKFVPPRM-RULNRJAQSA-N 0 3 305.315 4.358 20 0 DIADHN C[C@@H](COc1cccc(F)c1)N[C@@H](C)c1nccc2ccccc21 ZINC000562095702 332545841 /nfs/dbraw/zinc/54/58/41/332545841.db2.gz JPEAYHYRCAQNBH-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC1CC(C(F)(F)F)C1 ZINC000562106912 332546844 /nfs/dbraw/zinc/54/68/44/332546844.db2.gz OHETUISZRDQHFI-SILCLGDVSA-N 0 3 307.743 4.340 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1ccc(SCC(F)(F)F)cc1 ZINC000427867803 332550115 /nfs/dbraw/zinc/55/01/15/332550115.db2.gz CDMJJXUGZLJVMM-RYUDHWBXSA-N 0 3 321.408 4.417 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1ccc(-c2ccccc2OC)cc1 ZINC000427892101 332556902 /nfs/dbraw/zinc/55/69/02/332556902.db2.gz FQDAXUYGLRUQQG-JKSUJKDBSA-N 0 3 313.441 4.438 20 0 DIADHN CCOc1ccc([C@@H](C)NC[C@H]2CCC(C)(C)O2)cc1OCC ZINC000427974056 332578430 /nfs/dbraw/zinc/57/84/30/332578430.db2.gz PHBVBSMLUKADIZ-GDBMZVCRSA-N 0 3 321.461 4.092 20 0 DIADHN CCCC1CCC(NC2(C(=O)Nc3ccccc3)CC2)CC1 ZINC000427982992 332579996 /nfs/dbraw/zinc/57/99/96/332579996.db2.gz IEWSAJVJVWNNIB-UHFFFAOYSA-N 0 3 300.446 4.106 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccc(F)cc1OC(F)F ZINC000427981504 332580679 /nfs/dbraw/zinc/58/06/79/332580679.db2.gz FTQBUDLPOSONGM-CMPLNLGQSA-N 0 3 317.351 4.035 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(C3CCC3)cc2)c1 ZINC000427984586 332580808 /nfs/dbraw/zinc/58/08/08/332580808.db2.gz PYWBNSNVCXRUKO-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN COc1cc(CNCc2ccc(C)cc2SC)ccc1C ZINC000562505590 332599647 /nfs/dbraw/zinc/59/96/47/332599647.db2.gz VAHOLRACGFZIAN-UHFFFAOYSA-N 0 3 301.455 4.324 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1F)c1cccc(-n2ccnc2)c1 ZINC000151658437 332600031 /nfs/dbraw/zinc/60/00/31/332600031.db2.gz UKFKZBILURTGQF-GJZGRUSLSA-N 0 3 309.388 4.423 20 0 DIADHN CCc1ccc(CNC[C@H](OC)c2ccc(Cl)cc2)s1 ZINC000525695214 332618784 /nfs/dbraw/zinc/61/87/84/332618784.db2.gz FSFURMLUZYBLES-INIZCTEOSA-N 0 3 309.862 4.441 20 0 DIADHN CCc1cc(CN[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)on1 ZINC000562734817 332621539 /nfs/dbraw/zinc/62/15/39/332621539.db2.gz RTEPQLMWASXSTR-XJKSGUPXSA-N 0 3 304.821 4.316 20 0 DIADHN COc1ccc([C@@H](NCCc2nccs2)c2ccccc2)cc1 ZINC000171532118 332646373 /nfs/dbraw/zinc/64/63/73/332646373.db2.gz QFKKZEVMYADFIZ-IBGZPJMESA-N 0 3 324.449 4.073 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc([S@](C)=O)cc2)cc1Cl ZINC000443989287 332647929 /nfs/dbraw/zinc/64/79/29/332647929.db2.gz OSMXHBDPAPFXBC-ASSNKEHSSA-N 0 3 321.873 4.237 20 0 DIADHN CCOC[C@H](N[C@H](C)c1cc2cccc(OC)c2o1)C(C)C ZINC000318303199 332653439 /nfs/dbraw/zinc/65/34/39/332653439.db2.gz PBEGIUZQOPJSFW-HIFRSBDPSA-N 0 3 305.418 4.153 20 0 DIADHN Clc1ccc(C2(CNCc3cnccn3)CCCCC2)cc1 ZINC000569669869 332656705 /nfs/dbraw/zinc/65/67/05/332656705.db2.gz DLKUFTYFMKMCGU-UHFFFAOYSA-N 0 3 315.848 4.122 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCC(=O)Nc1c(Cl)cccc1Cl ZINC000279261113 332678585 /nfs/dbraw/zinc/67/85/85/332678585.db2.gz MERONPLZGBPYFX-MFKMUULPSA-N 0 3 315.244 4.100 20 0 DIADHN COC[C@@H](NCc1ccc(-c2ccc(F)cc2C)o1)C(C)C ZINC000525708348 332683263 /nfs/dbraw/zinc/68/32/63/332683263.db2.gz IMEMMYUKHPKXMJ-QGZVFWFLSA-N 0 3 305.393 4.155 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(F)c(Cl)c1)CC2 ZINC000092837183 332695712 /nfs/dbraw/zinc/69/57/12/332695712.db2.gz HOBKFEBSTSUYBI-QGZVFWFLSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3cccc(F)c3F)C2)c1 ZINC000570014141 332702345 /nfs/dbraw/zinc/70/23/45/332702345.db2.gz DKMXPKSXDASJSO-SHTZXODSSA-N 0 3 303.352 4.009 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2C[C@H](C)c3c2cccc3C)c1 ZINC000152907963 332708456 /nfs/dbraw/zinc/70/84/56/332708456.db2.gz PGJXFUUOMIWMPF-IFXJQAMLSA-N 0 3 308.425 4.292 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@H](C)c2cn3ccccc3n2)c(C)c1 ZINC000570383994 332747925 /nfs/dbraw/zinc/74/79/25/332747925.db2.gz RQEODVVMAAZVJP-DLBZAZTESA-N 0 3 307.441 4.233 20 0 DIADHN COc1ccc2cc([C@H](C)NCCOCC3CCC3)ccc2c1 ZINC000570387007 332748637 /nfs/dbraw/zinc/74/86/37/332748637.db2.gz CYJZNOLHTYBUPN-HNNXBMFYSA-N 0 3 313.441 4.316 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H]2c3ccccc3CC[C@H]2C(C)C)n1 ZINC000462545504 332980893 /nfs/dbraw/zinc/98/08/93/332980893.db2.gz CZHXAALPELIAGS-OXJNMPFZSA-N 0 3 310.441 4.145 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@@H]2CCCC[C@H]2C1 ZINC000177579322 332992850 /nfs/dbraw/zinc/99/28/50/332992850.db2.gz ZLLPUPCFDBCWMJ-IRXDYDNUSA-N 0 3 314.473 4.179 20 0 DIADHN COCCCCCN[C@H](C)c1cc(Br)ccc1F ZINC000178190204 333003356 /nfs/dbraw/zinc/00/33/56/333003356.db2.gz POGPBWUYQAEKAT-LLVKDONJSA-N 0 3 318.230 4.056 20 0 DIADHN Cc1ccccc1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000178221524 333004684 /nfs/dbraw/zinc/00/46/84/333004684.db2.gz OFXHZQBLAZCLQO-OAQYLSRUSA-N 0 3 302.421 4.312 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)sc2Cl)[C@@H]2CCCO[C@@H]21 ZINC000312785763 333006815 /nfs/dbraw/zinc/00/68/15/333006815.db2.gz UGLWAKKLHDHOFX-WCQGTBRESA-N 0 3 320.285 4.348 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)sc2Cl)[C@H]2CCCO[C@@H]21 ZINC000312784808 333007301 /nfs/dbraw/zinc/00/73/01/333007301.db2.gz UGLWAKKLHDHOFX-JLLWLGSASA-N 0 3 320.285 4.348 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCc3ccc(C)cc32)c1 ZINC000178520080 333014594 /nfs/dbraw/zinc/01/45/94/333014594.db2.gz FBQXSGOFTNBNPG-XOBRGWDASA-N 0 3 308.425 4.292 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cccc(Cn2cccn2)c1 ZINC000518764360 333016509 /nfs/dbraw/zinc/01/65/09/333016509.db2.gz LRVJXCUDMIIBAU-QGOAFFKASA-N 0 3 317.436 4.125 20 0 DIADHN Cc1nc(CNC[C@H](Cc2ccccc2)c2ccccc2)[nH]c1C ZINC000580216675 333016628 /nfs/dbraw/zinc/01/66/28/333016628.db2.gz CMUIZELHXBKVND-FQEVSTJZSA-N 0 3 319.452 4.143 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@@H]1O)c1nc(-c2ccccc2)cs1 ZINC000178693682 333018423 /nfs/dbraw/zinc/01/84/23/333018423.db2.gz JXAONJCDIMALEW-LXZKKBNFSA-N 0 3 316.470 4.012 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2cc(C)cc(C)c2)cc1 ZINC000065981009 335117922 /nfs/dbraw/zinc/11/79/22/335117922.db2.gz CBARHHNWDUVECX-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCCC3(C)C)co2)cc1 ZINC000178941364 333022426 /nfs/dbraw/zinc/02/24/26/333022426.db2.gz WZSUMQVNGOTFFD-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@H](NCc1ccc(Cl)c2cccnc12)c1cccc(O)c1 ZINC000181529749 333030605 /nfs/dbraw/zinc/03/06/05/333030605.db2.gz DSIZNUMQKMVAGH-LBPRGKRZSA-N 0 3 312.800 4.445 20 0 DIADHN Cc1c(Br)cccc1CN[C@H](C)c1cccc(O)c1 ZINC000181560308 333030737 /nfs/dbraw/zinc/03/07/37/333030737.db2.gz DCPDKFMTPZRTNH-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN Fc1ccc(CNC[C@H]2SCCc3ccccc32)c(F)c1 ZINC000531153035 333032228 /nfs/dbraw/zinc/03/22/28/333032228.db2.gz FRSRVLYCWYUPLR-QGZVFWFLSA-N 0 3 305.393 4.085 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@H](C)c1nccc2ccccc21 ZINC000580249535 333041053 /nfs/dbraw/zinc/04/10/53/333041053.db2.gz IIHOTWZAUNHBCC-LZQZEXGQSA-N 0 3 312.457 4.479 20 0 DIADHN CCC[C@H](CNCc1ccc(Cl)c(Br)c1)OC ZINC000378690590 333045544 /nfs/dbraw/zinc/04/55/44/333045544.db2.gz IFUQCYNOAHCQGM-LLVKDONJSA-N 0 3 320.658 4.007 20 0 DIADHN C[C@H](NCC[C@@H]1CCCCO1)c1ccccc1Br ZINC000182756266 333046405 /nfs/dbraw/zinc/04/64/05/333046405.db2.gz ZNXSKTRCRHCLOX-STQMWFEESA-N 0 3 312.251 4.059 20 0 DIADHN Fc1ccc(OCCCCNCc2ccccc2Cl)cc1 ZINC000518768068 333050238 /nfs/dbraw/zinc/05/02/38/333050238.db2.gz CFSLKHOFVUNNQK-UHFFFAOYSA-N 0 3 307.796 4.428 20 0 DIADHN COc1cc2c(cc1CNC/C(C)=C/c1ccccc1)O[C@H](C)C2 ZINC000518768509 333052830 /nfs/dbraw/zinc/05/28/30/333052830.db2.gz XCZVOOOZFCCYNQ-HTRKUNOGSA-N 0 3 323.436 4.212 20 0 DIADHN CCN(CC)[C@H](CNCc1occc1C)c1ccccc1Cl ZINC000462667200 333058371 /nfs/dbraw/zinc/05/83/71/333058371.db2.gz ZINFGLHDBWMJPF-QGZVFWFLSA-N 0 3 320.864 4.414 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1cccc2ccccc21 ZINC000378913139 333063424 /nfs/dbraw/zinc/06/34/24/333063424.db2.gz KEYGVKWWACIWBC-OAHLLOKOSA-N 0 3 301.393 4.338 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H]2CCCOC2)cc1OCCC ZINC000378921650 333063558 /nfs/dbraw/zinc/06/35/58/333063558.db2.gz PMXFSUPXLYVOCH-RDJZCZTQSA-N 0 3 321.461 4.094 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccc2c(c1)CCCC2 ZINC000378918696 333064124 /nfs/dbraw/zinc/06/41/24/333064124.db2.gz NTFGSCJRGUYPLM-HNNXBMFYSA-N 0 3 305.425 4.064 20 0 DIADHN CC(C)Cc1ccc([C@H](C)NCc2cnn3ccccc23)cc1 ZINC000378929096 333065298 /nfs/dbraw/zinc/06/52/98/333065298.db2.gz WIZFYXQZEGRTPE-INIZCTEOSA-N 0 3 307.441 4.384 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(C)c(Br)c1 ZINC000313756940 333068010 /nfs/dbraw/zinc/06/80/10/333068010.db2.gz AAILNYHWXXFRSF-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000460349495 333068775 /nfs/dbraw/zinc/06/87/75/333068775.db2.gz HLGMHRMBUWHRLD-OCCSQVGLSA-N 0 3 305.447 4.247 20 0 DIADHN Cc1ccccc1OCCCN1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000460350888 333069200 /nfs/dbraw/zinc/06/92/00/333069200.db2.gz LNBJNUAAGHTMDA-LPHOPBHVSA-N 0 3 310.441 4.242 20 0 DIADHN CC(C)Oc1cccc(CN2C[C@@H](C)C[C@@H]2c2cccnc2)c1 ZINC000460354623 333070114 /nfs/dbraw/zinc/07/01/14/333070114.db2.gz AEPDEJWVTWCVJQ-OXJNMPFZSA-N 0 3 310.441 4.452 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@H]1CC(C)C ZINC000466700675 333072124 /nfs/dbraw/zinc/07/21/24/333072124.db2.gz UVGSIBAKSOTBOE-SFHVURJKSA-N 0 3 316.489 4.397 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000540154508 333073430 /nfs/dbraw/zinc/07/34/30/333073430.db2.gz VBGVEZXYMWEKQP-CYBMUJFWSA-N 0 3 319.445 4.281 20 0 DIADHN CCN(CC)c1ncc(CNC(C)(C)c2ccccc2)s1 ZINC000313867909 333073839 /nfs/dbraw/zinc/07/38/39/333073839.db2.gz JIWUZOMBBCHKIV-UHFFFAOYSA-N 0 3 303.475 4.014 20 0 DIADHN CCN(CCCO[C@@H]1CCCCO1)Cc1ccccc1Cl ZINC000460386009 333076371 /nfs/dbraw/zinc/07/63/71/333076371.db2.gz LQBAIBPXEKYSOT-QGZVFWFLSA-N 0 3 311.853 4.095 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1CCCO[C@H]1CCCCO1 ZINC000460389982 333077401 /nfs/dbraw/zinc/07/74/01/333077401.db2.gz UTBZOOXYSCROTA-MSOLQXFVSA-N 0 3 323.864 4.410 20 0 DIADHN CO[C@H](C)[C@H](C)NCc1ccc(OCc2ccc(C)cc2)cc1 ZINC000466909634 333097855 /nfs/dbraw/zinc/09/78/55/333097855.db2.gz MWGBVJZDNPEMSH-DLBZAZTESA-N 0 3 313.441 4.087 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@@H](C)Oc1ccccc1F ZINC000462734132 333099969 /nfs/dbraw/zinc/09/99/69/333099969.db2.gz BCNUKEVIMQFOKJ-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN CO[C@H](CNCc1ccc(F)c(C)c1)c1ccc(Cl)cc1 ZINC000462734545 333100655 /nfs/dbraw/zinc/10/06/55/333100655.db2.gz DCRQKNMDFSPVKX-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H]2Cc2ccccc2)c(Cl)c1 ZINC000462770544 333110727 /nfs/dbraw/zinc/11/07/27/333110727.db2.gz WJRPLKNSHKVZES-YJBOKZPZSA-N 0 3 301.817 4.069 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1csc(-c2ccccc2F)n1 ZINC000462816003 333118382 /nfs/dbraw/zinc/11/83/82/333118382.db2.gz ZMDPMHKYJVBBGR-TUAOUCFPSA-N 0 3 308.397 4.490 20 0 DIADHN C[C@H](NCCc1cccc(F)c1)c1ccccc1OC(F)F ZINC000314457179 333122073 /nfs/dbraw/zinc/12/20/73/333122073.db2.gz JDKXAQFIXNGCHO-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1)c1ccccc1OC(F)F ZINC000314457176 333122165 /nfs/dbraw/zinc/12/21/65/333122165.db2.gz JDKXAQFIXNGCHO-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@@H](CC(C)(C)c1c[nH]c2ccccc21)NCc1cscn1 ZINC000462896052 333129684 /nfs/dbraw/zinc/12/96/84/333129684.db2.gz MQOXNIIVJMPJDS-ZDUSSCGKSA-N 0 3 313.470 4.470 20 0 DIADHN Cc1nc(-c2ccc(Cl)cc2)sc1[C@@H](C)N[C@H]1CCOC1 ZINC000177974696 333133738 /nfs/dbraw/zinc/13/37/38/333133738.db2.gz QPGODVOCBQTWPY-YGRLFVJLSA-N 0 3 322.861 4.211 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCc2csc(C(C)C)n2)s1 ZINC000178458311 333139309 /nfs/dbraw/zinc/13/93/09/333139309.db2.gz ZZYNXVJNUKEMHZ-SNVBAGLBSA-N 0 3 309.504 4.233 20 0 DIADHN CSCCN1CCC(c2nc3cc(Cl)ccc3o2)CC1 ZINC000178510555 333139990 /nfs/dbraw/zinc/13/99/90/333139990.db2.gz YOVYTIPVOGJQJF-UHFFFAOYSA-N 0 3 310.850 4.024 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCCC2=O)cc1)c1ccsc1 ZINC000178508880 333140038 /nfs/dbraw/zinc/14/00/38/333140038.db2.gz HYKNHGINMDRNSA-CQSZACIVSA-N 0 3 314.454 4.116 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000314570775 333145064 /nfs/dbraw/zinc/14/50/64/333145064.db2.gz UUUOZLUMXNKLNN-DIFFPNOSSA-N 0 3 310.507 4.215 20 0 DIADHN CCn1cncc1CN1CCC[C@H]1c1cccc2ccccc21 ZINC000417764228 335124725 /nfs/dbraw/zinc/12/47/25/335124725.db2.gz WRRGNZDUPNLMRO-FQEVSTJZSA-N 0 3 305.425 4.393 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1sccc1Cl ZINC000487562485 333147761 /nfs/dbraw/zinc/14/77/61/333147761.db2.gz SGISCRUJUVJTSZ-ZUZCIYMTSA-N 0 3 313.825 4.406 20 0 DIADHN CCCOc1ccc(CNCc2cnc3c(C)cccn23)c(C)c1 ZINC000188792624 333159985 /nfs/dbraw/zinc/15/99/85/333159985.db2.gz OGRFZMFBYCWZEB-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN CC[C@H](C(=O)NC(c1ccccc1)c1ccccc1)N(CC)CC ZINC000460860419 333172447 /nfs/dbraw/zinc/17/24/47/333172447.db2.gz LRDOKUMELSAKHN-LJQANCHMSA-N 0 3 324.468 4.013 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCc3cscn3)oc21 ZINC000181911266 333173316 /nfs/dbraw/zinc/17/33/16/333173316.db2.gz WUBCBGAGLFSZSB-LBPRGKRZSA-N 0 3 316.426 4.181 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](CC)c1cccc(Cl)c1F ZINC000461090871 333176663 /nfs/dbraw/zinc/17/66/63/333176663.db2.gz UJJGNBPPZSIMIR-WFASDCNBSA-N 0 3 301.833 4.319 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000461095285 333180123 /nfs/dbraw/zinc/18/01/23/333180123.db2.gz PKWKHJYUPIVFPQ-OALUTQOASA-N 0 3 309.457 4.448 20 0 DIADHN CC[C@H](NC[C@@H](O)Cc1ccccc1)c1cccc(Cl)c1F ZINC000461095853 333182229 /nfs/dbraw/zinc/18/22/29/333182229.db2.gz DXDNIKCOFDGJIE-YOEHRIQHSA-N 0 3 321.823 4.123 20 0 DIADHN CCn1nc(CNCC(C)(C)c2cccs2)c2ccccc21 ZINC000387880685 333182604 /nfs/dbraw/zinc/18/26/04/333182604.db2.gz AZVNIGKERKQECP-UHFFFAOYSA-N 0 3 313.470 4.185 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](CO)C1)c1cc(Cl)ccc1Cl ZINC000387950337 333187053 /nfs/dbraw/zinc/18/70/53/333187053.db2.gz BBJQQFDFEKKJLK-DMDPSCGWSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](CCC1CC1)N[C@@H](C)c1ncc(Br)s1 ZINC000309723137 333192122 /nfs/dbraw/zinc/19/21/22/333192122.db2.gz CIIBZRWPJHRPEB-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)(CN[C@H](c1nnc[nH]1)c1ccccc1)C1CCCCC1 ZINC000582085753 333206268 /nfs/dbraw/zinc/20/62/68/333206268.db2.gz VNOGYPHNTNCIKB-KRWDZBQOSA-N 0 3 312.461 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2C[C@@H](c3ccccc3)[C@H]2C)[n-]1 ZINC000582098223 333217253 /nfs/dbraw/zinc/21/72/53/333217253.db2.gz WKOXHJBSBUGJNI-IUKJFTNHSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H]2C[C@@H](c3ccccc3)[C@H]2C)[nH]1 ZINC000582098223 333217254 /nfs/dbraw/zinc/21/72/54/333217254.db2.gz WKOXHJBSBUGJNI-IUKJFTNHSA-N 0 3 312.461 4.161 20 0 DIADHN Cc1ccc2[nH]c(C(=O)Nc3ccc(CN(C)C)cc3)cc2c1C ZINC000525748937 333219671 /nfs/dbraw/zinc/21/96/71/333219671.db2.gz LISFYZXBWDWSGQ-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1cnc2ccccc2c1 ZINC000390056817 333219699 /nfs/dbraw/zinc/21/96/99/333219699.db2.gz OLCNHZXRHYCIJH-UHFFFAOYSA-N 0 3 320.436 4.320 20 0 DIADHN CCCC(=O)Nc1cccc(CN[C@H](CC)c2ccncc2)c1 ZINC000582104213 333226328 /nfs/dbraw/zinc/22/63/28/333226328.db2.gz PNBDCIGANMHWOI-GOSISDBHSA-N 0 3 311.429 4.061 20 0 DIADHN CCOc1cccc([C@H](C)NCC2=Cc3ccccc3OC2)c1 ZINC000518785980 333235545 /nfs/dbraw/zinc/23/55/45/333235545.db2.gz IJMIFJBTRVHGMI-HNNXBMFYSA-N 0 3 309.409 4.212 20 0 DIADHN Cc1ccc(NC(=O)[C@H](N[C@H](C)CC2CC2)c2ccccc2)cc1 ZINC000497319063 333235638 /nfs/dbraw/zinc/23/56/38/333235638.db2.gz GZHIWPZJFZKBBS-OXQOHEQNSA-N 0 3 322.452 4.453 20 0 DIADHN C/C=C/c1ccc(NC(=O)N(CCC)CCN2CCCC2)cc1 ZINC000497345388 333238789 /nfs/dbraw/zinc/23/87/89/333238789.db2.gz XZUQHUBVMMVIRV-XVNBXDOJSA-N 0 3 315.461 4.059 20 0 DIADHN Cc1ccc(C[C@@H](N[C@@H]2C=C[C@H](CO)C2)c2ccc(C)cc2)cc1 ZINC000497356705 333239403 /nfs/dbraw/zinc/23/94/03/333239403.db2.gz DPEDNAUOMFCLAT-KSEOMHKRSA-N 0 3 321.464 4.114 20 0 DIADHN CC(C)CC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Cl)cc1 ZINC000497356056 333239909 /nfs/dbraw/zinc/23/99/09/333239909.db2.gz NFZNIDRSRMJFEF-QGTPRVQTSA-N 0 3 307.865 4.344 20 0 DIADHN C/C=C/c1ccc(NC(=O)N(CCN(C)C)C2CCCC2)cc1 ZINC000497407715 333247530 /nfs/dbraw/zinc/24/75/30/333247530.db2.gz MVPOMACKRLGETD-QPJJXVBHSA-N 0 3 315.461 4.058 20 0 DIADHN C/C=C/c1ccc(NC(=O)N[C@@H](CN(C)C)c2ccccc2)cc1 ZINC000497413512 333247617 /nfs/dbraw/zinc/24/76/17/333247617.db2.gz BIHDXNWJJAWWMI-AMGQUURNSA-N 0 3 323.440 4.144 20 0 DIADHN CC[C@H](NC[C@@H]1CCO[C@H]1c1ccccc1)c1ccc(F)cn1 ZINC000497411720 333248216 /nfs/dbraw/zinc/24/82/16/333248216.db2.gz RJUBOKIBAYUEBG-IEZWGBDMSA-N 0 3 314.404 4.039 20 0 DIADHN CSc1ccc([C@H](C)NCCOCc2ccccc2)cc1 ZINC000390232703 333251586 /nfs/dbraw/zinc/25/15/86/333251586.db2.gz INXNYMPEGKDSOZ-HNNXBMFYSA-N 0 3 301.455 4.276 20 0 DIADHN CC(F)(F)CCCNCc1cccc(F)c1Br ZINC000390234661 333251597 /nfs/dbraw/zinc/25/15/97/333251597.db2.gz JSWZHABZVQAHFR-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC[C@@H](c2ccnn2C)C1 ZINC000497497305 333256123 /nfs/dbraw/zinc/25/61/23/333256123.db2.gz VYENQYKTHWNDNL-DZGCQCFKSA-N 0 3 303.837 4.014 20 0 DIADHN Cc1cccc(C)c1OCCN[C@H](c1ccc(F)cn1)C(C)C ZINC000497519133 333258049 /nfs/dbraw/zinc/25/80/49/333258049.db2.gz NIFZZRVMPNFMBK-SFHVURJKSA-N 0 3 316.420 4.203 20 0 DIADHN CC(C)[C@H](NC[C@H](C)N(C)c1ccccc1)c1ccc(F)cn1 ZINC000497519251 333258184 /nfs/dbraw/zinc/25/81/84/333258184.db2.gz BEWFFUNLBYPUEN-KXBFYZLASA-N 0 3 315.436 4.032 20 0 DIADHN Cc1ncc(CN2CCC[C@H]2CCCc2ccccc2)s1 ZINC000501220219 333268680 /nfs/dbraw/zinc/26/86/80/333268680.db2.gz YVWAUEVSRXRQSE-QGZVFWFLSA-N 0 3 300.471 4.439 20 0 DIADHN Cc1cc(Cl)ccc1CNC[C@H](c1ccco1)N1CCCC1 ZINC000319190897 333270393 /nfs/dbraw/zinc/27/03/93/333270393.db2.gz LOFHLUCWYIJVBR-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN CCOc1cc(C[NH2+]CCCc2ccccc2)cc(Cl)c1[O-] ZINC000497682927 333277639 /nfs/dbraw/zinc/27/76/39/333277639.db2.gz GVPBBKDESXHCQB-UHFFFAOYSA-N 0 3 319.832 4.167 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc(Br)cs1 ZINC000390417311 333278738 /nfs/dbraw/zinc/27/87/38/333278738.db2.gz HVJWFLPJTQKKLC-MRVPVSSYSA-N 0 3 316.186 4.331 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](C)c1nc2c(s1)CCC2 ZINC000497706380 333280710 /nfs/dbraw/zinc/28/07/10/333280710.db2.gz NMQDLQRLBXCMAG-AWEZNQCLSA-N 0 3 316.470 4.060 20 0 DIADHN C[C@H](CCc1ccccc1)NCc1ccc(Br)o1 ZINC000020138309 333281328 /nfs/dbraw/zinc/28/13/28/333281328.db2.gz XPEVUCIBIRIQDU-GFCCVEGCSA-N 0 3 308.219 4.153 20 0 DIADHN C[C@@H](CCN[C@@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000497713484 333281454 /nfs/dbraw/zinc/28/14/54/333281454.db2.gz MHNJGXOEWKRNAI-KBPBESRZSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@H](CCN[C@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000497713487 333281535 /nfs/dbraw/zinc/28/15/35/333281535.db2.gz MHNJGXOEWKRNAI-ZIAGYGMSSA-N 0 3 300.471 4.476 20 0 DIADHN CO[C@@H]([C@H](C)N[C@@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000388362277 333282287 /nfs/dbraw/zinc/28/22/87/333282287.db2.gz TWSQQGUAXCLYIY-MJVIPROJSA-N 0 3 310.507 4.213 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(OC)c(OC)c1 ZINC000120477801 333284655 /nfs/dbraw/zinc/28/46/55/333284655.db2.gz FYQFVLZXSUKWLR-QZTJIDSGSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](NCCCC(C)(C)CO)c1cccc(Cl)c1Cl ZINC000393392564 333291843 /nfs/dbraw/zinc/29/18/43/333291843.db2.gz JPDVVDOAKBFONL-LLVKDONJSA-N 0 3 304.261 4.443 20 0 DIADHN CC(C)[C@H](NCC1(c2cccc(F)c2)CC1)c1ccc(F)cn1 ZINC000497832024 333298067 /nfs/dbraw/zinc/29/80/67/333298067.db2.gz NLUNNVDEDBSNIJ-SFHVURJKSA-N 0 3 316.395 4.378 20 0 DIADHN CCN1CC=C(c2c[nH]c3cc(Br)ccc23)CC1 ZINC000498050265 333300599 /nfs/dbraw/zinc/30/05/99/333300599.db2.gz WVSOFMXRWQADLL-UHFFFAOYSA-N 0 3 305.219 4.039 20 0 DIADHN Clc1cccc(Cl)c1CCCNCc1nccs1 ZINC000193272744 333301154 /nfs/dbraw/zinc/30/11/54/333301154.db2.gz YRVZEDWUYISJBZ-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)CC2CCCCC2)c1 ZINC000497869882 333303190 /nfs/dbraw/zinc/30/31/90/333303190.db2.gz PFIIPGQCVQSPND-UHFFFAOYSA-N 0 3 302.462 4.437 20 0 DIADHN C[C@H](NCCCCOCc1ccccc1)c1ccccc1F ZINC000390599755 333304775 /nfs/dbraw/zinc/30/47/75/333304775.db2.gz ZKBZPEKXLDGEGD-INIZCTEOSA-N 0 3 301.405 4.473 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1nc(-c2ccccc2)cs1 ZINC000390590495 333304793 /nfs/dbraw/zinc/30/47/93/333304793.db2.gz GKEKKJYVRSJOQV-ZDUSSCGKSA-N 0 3 308.397 4.335 20 0 DIADHN CCCCOC1CCN(Cc2cccc(OC(C)C)c2)CC1 ZINC000525782001 333307235 /nfs/dbraw/zinc/30/72/35/333307235.db2.gz VHWLWLRKKBOCDU-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1ccc(-c2cnc(CN3CCc4ccccc4CC3)o2)cc1 ZINC000525784262 333310126 /nfs/dbraw/zinc/31/01/26/333310126.db2.gz CWTFJVWMBIEXCP-UHFFFAOYSA-N 0 3 318.420 4.251 20 0 DIADHN C[C@H](c1ccc(OC(F)(F)F)cc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000525785336 333311036 /nfs/dbraw/zinc/31/10/36/333311036.db2.gz LCXFPMAENOWFNK-IJLUTSLNSA-N 0 3 319.392 4.472 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN1CCC[C@@H]1C(C)(C)C ZINC000525786463 333312182 /nfs/dbraw/zinc/31/21/82/333312182.db2.gz MZVBWSAPOQRFBF-QGZVFWFLSA-N 0 3 316.489 4.433 20 0 DIADHN C[C@H](CNc1ncccc1C(F)(F)F)N(C)Cc1ccccc1 ZINC000390667331 333312929 /nfs/dbraw/zinc/31/29/29/333312929.db2.gz VJRFAPKSWYBDNH-CYBMUJFWSA-N 0 3 323.362 4.033 20 0 DIADHN Cc1ncc(CN2CCC(CCc3ccccc3)CC2)s1 ZINC000497966186 333315271 /nfs/dbraw/zinc/31/52/71/333315271.db2.gz DFALDUAGYZMPFB-UHFFFAOYSA-N 0 3 300.471 4.296 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H]2CCCCC2(F)F)c(OC)c1 ZINC000390707198 333316536 /nfs/dbraw/zinc/31/65/36/333316536.db2.gz VNXMBJHNGZCGFA-OLZOCXBDSA-N 0 3 313.388 4.180 20 0 DIADHN Fc1cc(Br)ccc1CNC[C@H]1CCCC1(F)F ZINC000390711731 333318277 /nfs/dbraw/zinc/31/82/77/333318277.db2.gz WZEDFRQQDDEKAO-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CCCCc1ccc(NC(=O)c2ccccc2CN(C)C)cc1 ZINC000498008492 333319945 /nfs/dbraw/zinc/31/99/45/333319945.db2.gz VNQFXCYXVAUVDB-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000498019523 333321650 /nfs/dbraw/zinc/32/16/50/333321650.db2.gz RELIJHKDEKKNAR-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN Cc1ccc(OCCCN2CCC[C@@H]2c2ccccn2)cc1C ZINC000531200781 333330420 /nfs/dbraw/zinc/33/04/20/333330420.db2.gz QMMXDIIWAMLNNQ-HXUWFJFHSA-N 0 3 310.441 4.304 20 0 DIADHN CCCOc1cccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000531206689 333331132 /nfs/dbraw/zinc/33/11/32/333331132.db2.gz ATBDHQNKAXEKLP-SFHVURJKSA-N 0 3 315.461 4.086 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H](C)[C@H]3C)cs2)cc1 ZINC000531214405 333335166 /nfs/dbraw/zinc/33/51/66/333335166.db2.gz WAYXBNQGJIDSTK-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN COc1cc(CN2CC[C@H]2Cc2ccccc2)ccc1SC ZINC000531233100 333336565 /nfs/dbraw/zinc/33/65/65/333336565.db2.gz AUICRNXJJGFLBB-KRWDZBQOSA-N 0 3 313.466 4.234 20 0 DIADHN CC[C@H](NCCn1c(C)nc2ccccc21)c1cccc(F)c1 ZINC000323470488 333339396 /nfs/dbraw/zinc/33/93/96/333339396.db2.gz XLVGNPRFBHEDQM-KRWDZBQOSA-N 0 3 311.404 4.225 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)[C@H](C)OC)c2ccc(C)cc2)cc1 ZINC000537939155 333339500 /nfs/dbraw/zinc/33/95/00/333339500.db2.gz FIEALSXIXDAXCJ-TWOQFEAHSA-N 0 3 313.441 4.106 20 0 DIADHN COc1ccc([C@H](N[C@H](C)[C@@H](C)OC)c2ccc(C)cc2)cc1 ZINC000537939153 333339553 /nfs/dbraw/zinc/33/95/53/333339553.db2.gz FIEALSXIXDAXCJ-JXXFODFXSA-N 0 3 313.441 4.106 20 0 DIADHN Brc1ccc2c(c1)CC[C@H]2NCc1cccs1 ZINC000040226542 333341542 /nfs/dbraw/zinc/34/15/42/333341542.db2.gz RULHGDWSDXBNIK-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000531339913 333344739 /nfs/dbraw/zinc/34/47/39/333344739.db2.gz FSIANNCVEWPLLY-OLZOCXBDSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)(C)CNCc1cc(Br)ccc1OC(F)F ZINC000040492914 333353771 /nfs/dbraw/zinc/35/37/71/333353771.db2.gz RXYOHDHQMJYXTP-UHFFFAOYSA-N 0 3 322.193 4.186 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1cnn(C)c1 ZINC000537941488 333354047 /nfs/dbraw/zinc/35/40/47/333354047.db2.gz SCJQLQJLQQCHDT-WBVHZDCISA-N 0 3 317.502 4.258 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(F)c(F)c1 ZINC000184675381 333364017 /nfs/dbraw/zinc/36/40/17/333364017.db2.gz GYNOLLBBVJNBHZ-GFCCVEGCSA-N 0 3 305.368 4.043 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(C3CCC3)cc2)c1 ZINC000188530650 333372087 /nfs/dbraw/zinc/37/20/87/333372087.db2.gz ANORPKRDKNTZTB-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@H](C)CC(F)(F)F)oc21 ZINC000221872708 333373023 /nfs/dbraw/zinc/37/30/23/333373023.db2.gz GWGHRCZOJIGPGU-ZJUUUORDSA-N 0 3 301.308 4.433 20 0 DIADHN Fc1cc(CNC[C@@H]2CCCCS2)cc(C(F)(F)F)c1 ZINC000159269342 333375124 /nfs/dbraw/zinc/37/51/24/333375124.db2.gz FSPRKHOJXGJGCQ-ZDUSSCGKSA-N 0 3 307.356 4.220 20 0 DIADHN c1ccc(Oc2ccccc2CN[C@H]2CCO[C@H]2C2CC2)cc1 ZINC000575065835 333375169 /nfs/dbraw/zinc/37/51/69/333375169.db2.gz IKQDWYZSIHVVJP-ICSRJNTNSA-N 0 3 309.409 4.136 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)c(C)s1 ZINC000186613588 333375456 /nfs/dbraw/zinc/37/54/56/333375456.db2.gz ANBFHYWAUVLDJN-CYBMUJFWSA-N 0 3 303.471 4.443 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H]2CCOc3c(Cl)cccc32)CS1 ZINC000488429713 333376401 /nfs/dbraw/zinc/37/64/01/333376401.db2.gz FEAQTURQZYOSHT-DFBGVHRSSA-N 0 3 311.878 4.283 20 0 DIADHN COc1ccccc1[C@H](C)NCc1c(C)c2ccccc2n1C ZINC000323537956 333376627 /nfs/dbraw/zinc/37/66/27/333376627.db2.gz OZNUNASOJCIZRR-HNNXBMFYSA-N 0 3 308.425 4.346 20 0 DIADHN O[C@]1(CNc2ccnc3ccccc32)CCC[C@@H](C(F)(F)F)C1 ZINC000186720538 333376964 /nfs/dbraw/zinc/37/69/64/333376964.db2.gz GAAXEIMUOAZYRG-MLGOLLRUSA-N 0 3 324.346 4.130 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCCC(F)(F)F)[n-]1 ZINC000488478544 333380370 /nfs/dbraw/zinc/38/03/70/333380370.db2.gz NCMWRMYZPHIWMD-HBNTYKKESA-N 0 3 306.376 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)N[C@H](C)CCCC(F)(F)F)[nH]1 ZINC000488478544 333380372 /nfs/dbraw/zinc/38/03/72/333380372.db2.gz NCMWRMYZPHIWMD-HBNTYKKESA-N 0 3 306.376 4.090 20 0 DIADHN CC(C)C1(C)CCN(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000186934353 333381640 /nfs/dbraw/zinc/38/16/40/333381640.db2.gz OWDLPSWGNXFTIX-UHFFFAOYSA-N 0 3 317.477 4.038 20 0 DIADHN Cc1ccc([C@@H](NCc2cccnc2N(C)C)C2CCC2)cc1 ZINC000187000181 333383740 /nfs/dbraw/zinc/38/37/40/333383740.db2.gz NOGUKHYYSDPKTB-IBGZPJMESA-N 0 3 309.457 4.087 20 0 DIADHN c1ccc(Oc2ccccc2CN[C@@H]2CCO[C@H]2C2CC2)cc1 ZINC000575065836 333384028 /nfs/dbraw/zinc/38/40/28/333384028.db2.gz IKQDWYZSIHVVJP-QUCCMNQESA-N 0 3 309.409 4.136 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C1CCCCC1)c1nc2c(s1)CCCC2 ZINC000188665537 333384753 /nfs/dbraw/zinc/38/47/53/333384753.db2.gz HZMRYOOHJIPGOW-FZKQIMNGSA-N 0 3 322.518 4.004 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)(F)F)cc1)c1cccs1 ZINC000021504426 333388675 /nfs/dbraw/zinc/38/86/75/333388675.db2.gz QZHLBLNVEHONAC-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN F[C@@H]1CC[C@@H](NCc2cc(Cl)ccc2Br)C1 ZINC000390791311 333393805 /nfs/dbraw/zinc/39/38/05/333393805.db2.gz CCMKVSUDRULQIR-GHMZBOCLSA-N 0 3 306.606 4.083 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cc1 ZINC000319667296 333394678 /nfs/dbraw/zinc/39/46/78/333394678.db2.gz RVXFKMKGKIICSM-HUUCEWRRSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1ccc(-c2ccc(CNCCOCC3CC3)s2)cc1 ZINC000518796360 333394775 /nfs/dbraw/zinc/39/47/75/333394775.db2.gz CXTIPFCMFXSXFA-UHFFFAOYSA-N 0 3 301.455 4.240 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000122320712 333394954 /nfs/dbraw/zinc/39/49/54/333394954.db2.gz WGTAGGSWZIVNBV-ZWKOTPCHSA-N 0 3 309.457 4.200 20 0 DIADHN F[C@H]1CC[C@@H](NCc2ccc(Cl)cc2Br)C1 ZINC000390818256 333396229 /nfs/dbraw/zinc/39/62/29/333396229.db2.gz QJRRNWCGHJCYFE-WDEREUQCSA-N 0 3 306.606 4.083 20 0 DIADHN COc1cccc([C@H](C)NCc2c(C)c3ccccc3n2C)c1 ZINC000323564561 333398454 /nfs/dbraw/zinc/39/84/54/333398454.db2.gz WERWZGIREFRWEF-HNNXBMFYSA-N 0 3 308.425 4.346 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccc3c(c2)OCCO3)cc1 ZINC000319760406 333403065 /nfs/dbraw/zinc/40/30/65/333403065.db2.gz DJRJOXJTWYAPHT-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN CSc1ccc(F)cc1CNCC1=Cc2ccccc2OC1 ZINC000525802753 333404983 /nfs/dbraw/zinc/40/49/83/333404983.db2.gz KDQBUASPEKBBNF-UHFFFAOYSA-N 0 3 315.413 4.113 20 0 DIADHN Fc1cccc(CNC[C@@H]2CCCC2(F)F)c1Br ZINC000390912019 333405192 /nfs/dbraw/zinc/40/51/92/333405192.db2.gz PQJOTFMNMSRQOC-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN Fc1cccc(CNC[C@H]2CCCC2(F)F)c1Br ZINC000390912021 333405198 /nfs/dbraw/zinc/40/51/98/333405198.db2.gz PQJOTFMNMSRQOC-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CC1(C)C[C@H](N[C@@H]2CCSc3ccc(F)cc32)C(C)(C)O1 ZINC000094423190 333409809 /nfs/dbraw/zinc/40/98/09/333409809.db2.gz QZUKLZGUOWFBDG-HIFRSBDPSA-N 0 3 309.450 4.298 20 0 DIADHN O[C@]1(C(F)(F)F)CCCN(Cc2cccc3ccccc32)CC1 ZINC000391959789 335141176 /nfs/dbraw/zinc/14/11/76/335141176.db2.gz LKVARSJNTOEPMC-QGZVFWFLSA-N 0 3 323.358 4.119 20 0 DIADHN Cc1ncncc1[C@@H](C)NCC(C)(C)c1ccc(F)cc1Cl ZINC000571060370 333505925 /nfs/dbraw/zinc/50/59/25/333505925.db2.gz MHZXYGRGQKNXNK-LLVKDONJSA-N 0 3 321.827 4.206 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000339125962 333508642 /nfs/dbraw/zinc/50/86/42/333508642.db2.gz ZZOJPRPMCVCPSS-UXHICEINSA-N 0 3 310.441 4.133 20 0 DIADHN COCC[C@@H](C)N[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000563256300 333513748 /nfs/dbraw/zinc/51/37/48/333513748.db2.gz SASJMTMAECHSME-MEBBXXQBSA-N 0 3 302.245 4.459 20 0 DIADHN C[C@@H](NCCC(C)(F)F)c1ccc(F)cc1Br ZINC000379154350 335145384 /nfs/dbraw/zinc/14/53/84/335145384.db2.gz DKXOUXGOMWQHBB-MRVPVSSYSA-N 0 3 310.157 4.284 20 0 DIADHN C[C@H](NC[C@@H]1CCCO[C@@H]1C(C)(C)C)c1ccc(F)cc1F ZINC000531588867 333535751 /nfs/dbraw/zinc/53/57/51/333535751.db2.gz GFDYJTFVSXKUQK-DCGLDWPTSA-N 0 3 311.416 4.457 20 0 DIADHN C[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1ccc(Cl)cc1 ZINC000531687233 333543401 /nfs/dbraw/zinc/54/34/01/333543401.db2.gz AUUSDVQCXIXRAV-WBMJQRKESA-N 0 3 308.878 4.355 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H](C)COc2c(C)cccc2C)s1 ZINC000531696034 333546700 /nfs/dbraw/zinc/54/67/00/333546700.db2.gz CPQNTJFWGZNWER-UONOGXRCSA-N 0 3 318.486 4.495 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(OC(F)F)cc1)c1ccccc1 ZINC000531701493 333547951 /nfs/dbraw/zinc/54/79/51/333547951.db2.gz DBQUNHMKCFJBRY-SUMWQHHRSA-N 0 3 321.367 4.326 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000531707930 333548530 /nfs/dbraw/zinc/54/85/30/333548530.db2.gz PSQQSZLCCCPRCV-SLFFLAALSA-N 0 3 311.400 4.323 20 0 DIADHN CCn1nc(C)c(CN[C@@H](C)c2cc(C)c(F)c(C)c2)c1C ZINC000531709844 333548872 /nfs/dbraw/zinc/54/88/72/333548872.db2.gz HAYMVUWYEJADBN-ZDUSSCGKSA-N 0 3 303.425 4.127 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(C)cc1OC ZINC000531739099 333551849 /nfs/dbraw/zinc/55/18/49/333551849.db2.gz KCACWQMZACOHLX-KSSFIOAISA-N 0 3 311.425 4.350 20 0 DIADHN Cc1ccc2oc(C(=O)Nc3cc(C)cc(CN(C)C)c3)cc2c1 ZINC000533973595 333552627 /nfs/dbraw/zinc/55/26/27/333552627.db2.gz MBVLLFUAEONESI-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CCO[C@H]2C2CC2)o1 ZINC000534094938 333560215 /nfs/dbraw/zinc/56/02/15/333560215.db2.gz OEPVVTPBLGHQEP-MJGOQNOKSA-N 0 3 315.388 4.051 20 0 DIADHN Fc1ccc(Br)c(CN[C@H]2CCCC(F)(F)C2)c1 ZINC000534133093 333561100 /nfs/dbraw/zinc/56/11/00/333561100.db2.gz NCLFFTFZICQIQD-NSHDSACASA-N 0 3 322.168 4.256 20 0 DIADHN Cc1ccc(CN[C@@H](C)Cc2ccccc2Br)o1 ZINC000531848454 333576775 /nfs/dbraw/zinc/57/67/75/333576775.db2.gz FYACNZQNDKOYPM-NSHDSACASA-N 0 3 308.219 4.071 20 0 DIADHN c1cnn(-c2cccc(CN[C@H](c3cccs3)C3CC3)c2)c1 ZINC000531856784 333581329 /nfs/dbraw/zinc/58/13/29/333581329.db2.gz YMLNQRZGEZBQMM-SFHVURJKSA-N 0 3 309.438 4.175 20 0 DIADHN C[C@H](NCCCc1cncn1C)c1cc(Cl)ccc1Cl ZINC000565163898 333592917 /nfs/dbraw/zinc/59/29/17/333592917.db2.gz LQXCZICPQIXCOE-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@@H](C)c1ccc2ccccc2c1OC(F)F ZINC000563474913 333610107 /nfs/dbraw/zinc/61/01/07/333610107.db2.gz PDTFGCJTNNTVSM-AVGNSLFASA-N 0 3 323.383 4.251 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccccc2-n2ccnc2)c1 ZINC000152216662 333645603 /nfs/dbraw/zinc/64/56/03/333645603.db2.gz STXKYOGVYHETNC-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@H](NCCc1cncs1)c1cc(F)c(Cl)cc1Cl ZINC000411438125 333671985 /nfs/dbraw/zinc/67/19/85/333671985.db2.gz MKJGXOBOVCDONS-QMMMGPOBSA-N 0 3 319.232 4.482 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)N(C)c2ccccc2)c(C)s1 ZINC000411443348 333673149 /nfs/dbraw/zinc/67/31/49/333673149.db2.gz IDENXWHOKFWIRX-KBPBESRZSA-N 0 3 316.470 4.067 20 0 DIADHN Cc1ccc2ncc(CNC(C)(C)c3ccc(C)nc3)cc2c1 ZINC000411522451 333689916 /nfs/dbraw/zinc/68/99/16/333689916.db2.gz AXGGJQUQOQBAQW-UHFFFAOYSA-N 0 3 305.425 4.272 20 0 DIADHN CCS[C@H]1CCC[C@@H]1NCc1ccc(-c2ncco2)cc1F ZINC000411716964 333703391 /nfs/dbraw/zinc/70/33/91/333703391.db2.gz GPKQVEQRTXTLOV-HOTGVXAUSA-N 0 3 320.433 4.245 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000411824220 333708009 /nfs/dbraw/zinc/70/80/09/333708009.db2.gz WTUQHKBLNHNJAO-PIGZYNQJSA-N 0 3 319.836 4.047 20 0 DIADHN CC(C)(CNCc1cccc2c1OC(C)(C)C2)C(F)(F)F ZINC000571295638 333713756 /nfs/dbraw/zinc/71/37/56/333713756.db2.gz JXTXDSQBPSLRAT-UHFFFAOYSA-N 0 3 301.352 4.078 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cccc(N2CCC2=O)c1 ZINC000442823887 335155921 /nfs/dbraw/zinc/15/59/21/335155921.db2.gz SDWSEJNDPWSYHX-KXBFYZLASA-N 0 3 320.436 4.070 20 0 DIADHN Cc1ccc(NC(=O)Cc2cccc(C3CC3)c2)c(CN(C)C)c1 ZINC000412109491 333727710 /nfs/dbraw/zinc/72/77/10/333727710.db2.gz KIICIWFTHAHFFE-UHFFFAOYSA-N 0 3 322.452 4.115 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccc3c(c2)CCC=C3)c(F)c1 ZINC000412557254 333763839 /nfs/dbraw/zinc/76/38/39/333763839.db2.gz IHZHFKGNAHZIHU-UHFFFAOYSA-N 0 3 324.399 4.099 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000413366544 333846028 /nfs/dbraw/zinc/84/60/28/333846028.db2.gz VUCUSFCFPIGCLO-CALCHBBNSA-N 0 3 314.473 4.076 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000343437434 335164480 /nfs/dbraw/zinc/16/44/80/335164480.db2.gz DNHDLKQVPWDBHU-OUAUKWLOSA-N 0 3 310.488 4.254 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414073440 333891685 /nfs/dbraw/zinc/89/16/85/333891685.db2.gz GACYKDLCFJPFNC-XJKSGUPXSA-N 0 3 317.864 4.155 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1Cl)c1cc2n(n1)CCC2 ZINC000414076447 333892370 /nfs/dbraw/zinc/89/23/70/333892370.db2.gz OSQHVBMPCAQUJP-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN CCC(O)(CC)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414078032 333892596 /nfs/dbraw/zinc/89/25/96/333892596.db2.gz QQUVJHBAZCFDRZ-CYBMUJFWSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc2n(n1)CCC2)C(C)(C)c1ccccc1 ZINC000414080888 333892855 /nfs/dbraw/zinc/89/28/55/333892855.db2.gz DMYWIDCNIUJCQE-HNAYVOBHSA-N 0 3 311.473 4.236 20 0 DIADHN CC[C@H](N[C@H](c1ccccn1)c1ccccc1OC)[C@@H]1C[C@@H]1C ZINC000414092079 333894710 /nfs/dbraw/zinc/89/47/10/333894710.db2.gz DUSXWHUYVQAGOT-FORWCCJISA-N 0 3 310.441 4.204 20 0 DIADHN CC[C@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@H]1CCCO1 ZINC000414095244 333895137 /nfs/dbraw/zinc/89/51/37/333895137.db2.gz HFTIVMJIYZEPFJ-JLJPHGGASA-N 0 3 316.470 4.418 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCOCC1)c1csc(-c2ccccc2)n1 ZINC000414095913 333895386 /nfs/dbraw/zinc/89/53/86/333895386.db2.gz OJPRPIAOVSTZHB-UONOGXRCSA-N 0 3 316.470 4.276 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2csc(-c3ccccc3)n2)C1 ZINC000414123602 333898466 /nfs/dbraw/zinc/89/84/66/333898466.db2.gz BMVAKXYOKYVTTC-NWANDNLSSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](c2ccccc2)C1)c1cc2n(n1)CCC2 ZINC000414128591 333899537 /nfs/dbraw/zinc/89/95/37/333899537.db2.gz HGOOJVJMBXBNRD-CGTJXYLNSA-N 0 3 309.457 4.206 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1cc2n(n1)CCC2 ZINC000414134124 333900648 /nfs/dbraw/zinc/90/06/48/333900648.db2.gz GYMBLJUMKSKCAA-MGPQQGTHSA-N 0 3 315.848 4.080 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2csc(-c3ccccc3)n2)nc1 ZINC000414142527 333902034 /nfs/dbraw/zinc/90/20/34/333902034.db2.gz IANGFUXQVZUPPO-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@@H](NCCC[C@H]1CCOC1)c1csc(-c2ccccc2)n1 ZINC000414143835 333902306 /nfs/dbraw/zinc/90/23/06/333902306.db2.gz JAVCZGARTVRTDZ-CABCVRRESA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H]1c1ccccc1)c1cc2n(n1)CCC2 ZINC000414142821 333902350 /nfs/dbraw/zinc/90/23/50/333902350.db2.gz NBSDVKXNFBAUNZ-IEZWGBDMSA-N 0 3 309.457 4.064 20 0 DIADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1csc(-c2ccccc2)n1 ZINC000414144968 333902630 /nfs/dbraw/zinc/90/26/30/333902630.db2.gz UJBVLILFEDBKEN-XJKSGUPXSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2cccc(Cl)c2)C1)c1ccn(C)n1 ZINC000414152075 333903925 /nfs/dbraw/zinc/90/39/25/333903925.db2.gz QOFLWZIXSLVINP-NOLJZWGESA-N 0 3 303.837 4.060 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000414153647 333904177 /nfs/dbraw/zinc/90/41/77/333904177.db2.gz ZWKTZCWWSSNBFH-AEGPPILISA-N 0 3 302.443 4.028 20 0 DIADHN C[C@@H](N[C@@H](C)CCCc1cccnc1)c1nc2c(s1)CCC2 ZINC000414153693 333904210 /nfs/dbraw/zinc/90/42/10/333904210.db2.gz KFCVBZVXCCZQDQ-UONOGXRCSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000414153685 333904229 /nfs/dbraw/zinc/90/42/29/333904229.db2.gz KFCVBZVXCCZQDQ-KBPBESRZSA-N 0 3 315.486 4.089 20 0 DIADHN Cc1nnsc1CN[C@H]1CCC[C@H](c2ccc(Cl)cc2)C1 ZINC000414119868 333906529 /nfs/dbraw/zinc/90/65/29/333906529.db2.gz DVRSSAQCGZQLMV-ZFWWWQNUSA-N 0 3 321.877 4.316 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)c(C)c1 ZINC000414170044 333907355 /nfs/dbraw/zinc/90/73/55/333907355.db2.gz VUVSUNPJNRVJDX-ZIAGYGMSSA-N 0 3 309.413 4.292 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)c1c[nH]c2ccccc21)c1ccn(C)n1 ZINC000414174925 333908563 /nfs/dbraw/zinc/90/85/63/333908563.db2.gz HTHNCLQVRUBTIY-LSDHHAIUSA-N 0 3 324.472 4.308 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H](C)c1nc2ccccc2n1C ZINC000414158717 333914666 /nfs/dbraw/zinc/91/46/66/333914666.db2.gz USBMJLWZZXOBMI-HOTGVXAUSA-N 0 3 322.456 4.030 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cnccc1C(C)(C)C)CC2 ZINC000414240475 333918624 /nfs/dbraw/zinc/91/86/24/333918624.db2.gz ZXSKWDRAYARHGN-IBGZPJMESA-N 0 3 310.441 4.165 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H]3CCO[C@H]3CC)oc21 ZINC000414217606 333923303 /nfs/dbraw/zinc/92/33/03/333923303.db2.gz YSKHKVXTOBXNOC-YUELXQCFSA-N 0 3 303.402 4.050 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1cnccc1C(C)(C)C ZINC000414225757 333925005 /nfs/dbraw/zinc/92/50/05/333925005.db2.gz JIAGPSKZXWYNAU-HNAYVOBHSA-N 0 3 312.457 4.245 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000414256408 333928537 /nfs/dbraw/zinc/92/85/37/333928537.db2.gz CRKZIMMTUQRMBY-HGTKMLMNSA-N 0 3 316.470 4.274 20 0 DIADHN Cc1cc(CNc2cc(CN(C)C)ccn2)ccc1C(F)(F)F ZINC000414290557 333934317 /nfs/dbraw/zinc/93/43/17/333934317.db2.gz JIOOEFWDASAHQB-UHFFFAOYSA-N 0 3 323.362 4.083 20 0 DIADHN COC1([C@@H](C)N[C@@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000414292059 333934711 /nfs/dbraw/zinc/93/47/11/333934711.db2.gz AGXCQMBPIHSXEA-UONOGXRCSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H]1C[C@H](C)C[C@@H](c2ccccc2)C1 ZINC000414297511 333935573 /nfs/dbraw/zinc/93/55/73/333935573.db2.gz YMZKCPRLGHGCHA-IWKCEXAMSA-N 0 3 309.457 4.408 20 0 DIADHN CC[C@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@@H](O)C(C)C ZINC000414315324 333938329 /nfs/dbraw/zinc/93/83/29/333938329.db2.gz MNMYMEOKKCXZOQ-QRTARXTBSA-N 0 3 318.486 4.256 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N[C@@H]2CCCC[C@H]2F)c2ccccc21 ZINC000414317850 333938718 /nfs/dbraw/zinc/93/87/18/333938718.db2.gz WOWAFTAEYYFQCL-BRSBDYLESA-N 0 3 319.420 4.039 20 0 DIADHN Cc1ccc(CNCC(C)(C)c2ccc(Cl)c(Cl)c2)nn1 ZINC000414323811 333939774 /nfs/dbraw/zinc/93/97/74/333939774.db2.gz VBNXAGWOFZIWPJ-UHFFFAOYSA-N 0 3 324.255 4.159 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3O)ccc1C(F)(F)F ZINC000414330708 333941037 /nfs/dbraw/zinc/94/10/37/333941037.db2.gz QDNSIHYYYJWUBL-MRXNPFEDSA-N 0 3 321.342 4.497 20 0 DIADHN Brc1cc2c(s1)CC[C@@H]2N[C@@H]1C[C@H]1c1ccco1 ZINC000414341575 333942477 /nfs/dbraw/zinc/94/24/77/333942477.db2.gz IABMAKVZBDFLAO-DVVUODLYSA-N 0 3 324.243 4.237 20 0 DIADHN OC[C@@H]1CCC[C@H](N[C@@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000414342095 333942865 /nfs/dbraw/zinc/94/28/65/333942865.db2.gz PKUTVWUEUMMAMG-RIEGTJTDSA-N 0 3 314.256 4.121 20 0 DIADHN C[C@H]1C[C@H](NCc2cccc(-n3ccnc3)c2)c2ccccc21 ZINC000343474249 335168151 /nfs/dbraw/zinc/16/81/51/335168151.db2.gz IRRISIIRTCJVQX-YWZLYKJASA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccc(-n3ccnc3)c2)c2ccccc21 ZINC000343474247 335168170 /nfs/dbraw/zinc/16/81/70/335168170.db2.gz IRRISIIRTCJVQX-QRWLVFNGSA-N 0 3 303.409 4.210 20 0 DIADHN c1cn(Cc2ccccc2CN[C@H]2CCCc3sccc32)cn1 ZINC000268778331 333993706 /nfs/dbraw/zinc/99/37/06/333993706.db2.gz SGGSYYZTVPWWQE-SFHVURJKSA-N 0 3 323.465 4.160 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc3c(c2)COC3)cc1 ZINC000268781703 333993823 /nfs/dbraw/zinc/99/38/23/333993823.db2.gz UIBBHJULPKAYDP-HNNXBMFYSA-N 0 3 311.425 4.104 20 0 DIADHN CCc1nocc1CN[C@@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000414497486 333998348 /nfs/dbraw/zinc/99/83/48/333998348.db2.gz FYKIPDIDPARBEZ-XJKSGUPXSA-N 0 3 304.821 4.316 20 0 DIADHN CSc1ccc(CNc2ccc(CN(C)C)cc2F)s1 ZINC000414500428 333999001 /nfs/dbraw/zinc/99/90/01/333999001.db2.gz QCJZXTXARMLVIA-UHFFFAOYSA-N 0 3 310.463 4.283 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(F)c(Cl)c2Cl)[C@H](C)O1 ZINC000414509350 334002018 /nfs/dbraw/zinc/00/20/18/334002018.db2.gz CGBMZWMKPGKSHU-YWVKMMECSA-N 0 3 306.208 4.036 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3C[C@H](C)O[C@@H]3C)cs2)cc1 ZINC000414509440 334002417 /nfs/dbraw/zinc/00/24/17/334002417.db2.gz CKGOPTMFEJKDLX-LZWOXQAQSA-N 0 3 316.470 4.022 20 0 DIADHN CC[C@H](CNCc1coc(-c2ccccc2)n1)CC(F)(F)F ZINC000414515213 334004542 /nfs/dbraw/zinc/00/45/42/334004542.db2.gz LKAAPJNHGWCSJL-LBPRGKRZSA-N 0 3 312.335 4.410 20 0 DIADHN COCC1CCC(NCc2csc(-c3cccs3)n2)CC1 ZINC000414517359 334004877 /nfs/dbraw/zinc/00/48/77/334004877.db2.gz YWRYIZNQTIKJLM-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc([C@@H]3C[C@@H]3C)o2)CC12CCOCC2 ZINC000414521661 334006389 /nfs/dbraw/zinc/00/63/89/334006389.db2.gz FFDSPXZMQZOFOK-LESCRADOSA-N 0 3 303.446 4.040 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc([C@H]3C[C@H]3C)o2)CC12CCOCC2 ZINC000414521657 334006467 /nfs/dbraw/zinc/00/64/67/334006467.db2.gz FFDSPXZMQZOFOK-HFTRVMKXSA-N 0 3 303.446 4.040 20 0 DIADHN CC(C)[C@H]1N(C[C@H](C)c2cc(F)cc(F)c2)CC12CCOCC2 ZINC000414541191 334013406 /nfs/dbraw/zinc/01/34/06/334013406.db2.gz QCDDVDVTGNRVGU-KBXCAEBGSA-N 0 3 323.427 4.205 20 0 DIADHN CC(C)[C@@H]1N(CCc2cccc(Cl)c2)CC12CCOCC2 ZINC000414542070 334013584 /nfs/dbraw/zinc/01/35/84/334013584.db2.gz QZOSUUXCRCKTCJ-KRWDZBQOSA-N 0 3 307.865 4.020 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CCC[C@H](CO)C2)o1 ZINC000414560947 334018868 /nfs/dbraw/zinc/01/88/68/334018868.db2.gz AZLORTLXBDMAMT-GJZGRUSLSA-N 0 3 317.404 4.035 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc(CSC(F)F)o2)CCO1 ZINC000271320266 334021163 /nfs/dbraw/zinc/02/11/63/334021163.db2.gz ARSGZIPENQKGKZ-RISCZKNCSA-N 0 3 319.417 4.029 20 0 DIADHN CCn1nccc1CN[C@@](C)(Cc1ccccc1)c1ccccc1 ZINC000414566713 334021286 /nfs/dbraw/zinc/02/12/86/334021286.db2.gz FLOANMYTBZBVJG-NRFANRHFSA-N 0 3 319.452 4.151 20 0 DIADHN CCn1nccc1CN[C@](C)(Cc1ccccc1)c1ccccc1 ZINC000414566726 334021344 /nfs/dbraw/zinc/02/13/44/334021344.db2.gz FLOANMYTBZBVJG-OAQYLSRUSA-N 0 3 319.452 4.151 20 0 DIADHN C[C@@H](CCCO)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000272270765 334032254 /nfs/dbraw/zinc/03/22/54/334032254.db2.gz LKEVUFBOCPAQRP-KBXCAEBGSA-N 0 3 303.833 4.180 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1C[C@H](C)NC[C@@H]1C ZINC000414667313 334063332 /nfs/dbraw/zinc/06/33/32/334063332.db2.gz HKBDZPRCYKSTQC-GJZGRUSLSA-N 0 3 317.477 4.148 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000414667311 334063431 /nfs/dbraw/zinc/06/34/31/334063431.db2.gz HKBDZPRCYKSTQC-CABCVRRESA-N 0 3 317.477 4.148 20 0 DIADHN C[C@H](NC[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343528732 335175282 /nfs/dbraw/zinc/17/52/82/335175282.db2.gz BFMDCVNKOOBBHF-VLEAKVRGSA-N 0 3 322.396 4.016 20 0 DIADHN Cc1nnc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)s1 ZINC000274948899 334068306 /nfs/dbraw/zinc/06/83/06/334068306.db2.gz CZSBREWPZAVIDK-GVDBMIGSSA-N 0 3 313.470 4.005 20 0 DIADHN Cc1nn(C(C)C)cc1CN(CCc1ccc(F)cc1)C1CC1 ZINC000343531609 335175481 /nfs/dbraw/zinc/17/54/81/335175481.db2.gz KRQGOFAFSFUFJQ-UHFFFAOYSA-N 0 3 315.436 4.119 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)CCCSC(C)(C)C ZINC000275898983 334073676 /nfs/dbraw/zinc/07/36/76/334073676.db2.gz TXWQXSCQUBZFPE-UHFFFAOYSA-N 0 3 322.518 4.041 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc(OC(C)C)cc2)cnn1C(C)C ZINC000276411922 334077251 /nfs/dbraw/zinc/07/72/51/334077251.db2.gz UUCOTLNVRHJEGR-OAHLLOKOSA-N 0 3 315.461 4.410 20 0 DIADHN CCOC(CCN[C@H](C)c1ccc(-c2ccccc2)o1)OCC ZINC000276463025 334079128 /nfs/dbraw/zinc/07/91/28/334079128.db2.gz CMJQSJRHKDAXFE-OAHLLOKOSA-N 0 3 317.429 4.386 20 0 DIADHN CCOC(CCN[C@@H](C)c1cccc(Cl)c1Cl)OCC ZINC000276581434 334082639 /nfs/dbraw/zinc/08/26/39/334082639.db2.gz VDCOWLFUYHCICP-NSHDSACASA-N 0 3 320.260 4.433 20 0 DIADHN CCSCCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000438254877 334098021 /nfs/dbraw/zinc/09/80/21/334098021.db2.gz CWBXHLHGPZMRNU-CQSZACIVSA-N 0 3 309.866 4.106 20 0 DIADHN C[C@H]1C[C@@H](NCc2cccc(Cn3ccnc3)c2)c2ccccc21 ZINC000343507567 335172707 /nfs/dbraw/zinc/17/27/07/335172707.db2.gz IPMWKRMNJLWXSF-HRAATJIYSA-N 0 3 317.436 4.270 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NC[C@H]2CCSC2)cc1 ZINC000278800832 334101486 /nfs/dbraw/zinc/10/14/86/334101486.db2.gz RJPGWHDHWMJODW-MFKMUULPSA-N 0 3 307.356 4.162 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000279328366 334108045 /nfs/dbraw/zinc/10/80/45/334108045.db2.gz SYKCNKBLIVBXJU-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN CCOc1cc(CN[C@H](CC(F)(F)F)C(C)C)ccc1OC ZINC000438469788 334116063 /nfs/dbraw/zinc/11/60/63/334116063.db2.gz NIOUUFZLEVASBY-CYBMUJFWSA-N 0 3 319.367 4.161 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H](CC(F)(F)F)C(C)C ZINC000438490381 334120166 /nfs/dbraw/zinc/12/01/66/334120166.db2.gz ZWXJOHQYZSMIIS-OWNNVSBGSA-N 0 3 301.352 4.275 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2c(F)cncc2F)cc1 ZINC000285738406 334149763 /nfs/dbraw/zinc/14/97/63/334149763.db2.gz RGKOJQVAYOLNHO-CHWSQXEVSA-N 0 3 320.383 4.040 20 0 DIADHN Cc1cccc([C@@H](NCC2=CCCOC2)c2cccc(F)c2)c1 ZINC000287006728 334155936 /nfs/dbraw/zinc/15/59/36/334155936.db2.gz KKSRPYJBBVUFMQ-HXUWFJFHSA-N 0 3 311.400 4.160 20 0 DIADHN CCOCC(C)(C)CNCc1csc(-c2ccsc2)n1 ZINC000288537542 334160863 /nfs/dbraw/zinc/16/08/63/334160863.db2.gz KQNHKKZCEYBQEN-UHFFFAOYSA-N 0 3 310.488 4.024 20 0 DIADHN C[C@@H](NCc1ccc([C@H](C)O)cc1)c1nccc2ccccc21 ZINC000289873477 334169096 /nfs/dbraw/zinc/16/90/96/334169096.db2.gz SFBIRMHRIWZNSF-CABCVRRESA-N 0 3 306.409 4.139 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cccc(C(F)(F)F)c1 ZINC000414919227 334179586 /nfs/dbraw/zinc/17/95/86/334179586.db2.gz UKLMFAJGCIDBCK-KRWDZBQOSA-N 0 3 320.358 4.455 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(C(F)(F)F)cc1 ZINC000414920469 334180984 /nfs/dbraw/zinc/18/09/84/334180984.db2.gz XTHNTUCEKQWPRS-KRWDZBQOSA-N 0 3 320.358 4.455 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccccc1OC(F)F ZINC000414921005 334181627 /nfs/dbraw/zinc/18/16/27/334181627.db2.gz ZXUQBYCRZVJTTF-MRXNPFEDSA-N 0 3 318.367 4.037 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2CCCc3cc(N)ccc32)s1 ZINC000414923009 334183241 /nfs/dbraw/zinc/18/32/41/334183241.db2.gz GTTHXEJEAIRAHO-KRWDZBQOSA-N 0 3 315.486 4.091 20 0 DIADHN CSCc1cnc(CN[C@@H](C)Cc2ccccc2C)s1 ZINC000293531685 334198790 /nfs/dbraw/zinc/19/87/90/334198790.db2.gz JLWLXLKMJXJHEC-ZDUSSCGKSA-N 0 3 306.500 4.035 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000340450604 334204983 /nfs/dbraw/zinc/20/49/83/334204983.db2.gz VIOYNNMKYYDANR-GHMZBOCLSA-N 0 3 305.447 4.087 20 0 DIADHN Cc1sccc1CNCc1cccc(OCC(F)(F)F)c1 ZINC000294331064 334208168 /nfs/dbraw/zinc/20/81/68/334208168.db2.gz YPQBZIFMSCRNLI-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN Fc1cc2cccnc2c(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414973649 334220807 /nfs/dbraw/zinc/22/08/07/334220807.db2.gz CJWJFWUEYMCMDK-UHFFFAOYSA-N 0 3 321.399 4.022 20 0 DIADHN Cc1cc(N)cc(CNCc2ccc(C(F)(F)F)cc2C)c1 ZINC000414973427 334220837 /nfs/dbraw/zinc/22/08/37/334220837.db2.gz ZPOPLUSIWGIIIS-UHFFFAOYSA-N 0 3 308.347 4.194 20 0 DIADHN Oc1ccc2c(c1)[C@H](NC[C@@H]1CCC3(CCCCC3)O1)CCC2 ZINC000340498165 334221317 /nfs/dbraw/zinc/22/13/17/334221317.db2.gz KOYNMJPOYFSCOE-PKOBYXMFSA-N 0 3 315.457 4.241 20 0 DIADHN CC[C@H](NCc1ccc(N(CC)CC)nc1)c1cccc(F)c1 ZINC000187804482 334273487 /nfs/dbraw/zinc/27/34/87/334273487.db2.gz FJEVXKQQBYSFAF-SFHVURJKSA-N 0 3 315.436 4.308 20 0 DIADHN Fc1cc(Cl)cc(CNCc2ccc3c(c2)CCCN3)c1 ZINC000414974680 334221955 /nfs/dbraw/zinc/22/19/55/334221955.db2.gz DYXUMNOMEPOPFF-UHFFFAOYSA-N 0 3 304.796 4.127 20 0 DIADHN CCC[C@@H](NCc1c(CC)nn(C)c1CC)c1ccsc1 ZINC000187806251 334273935 /nfs/dbraw/zinc/27/39/35/334273935.db2.gz MZZDSAWNQABCDY-MRXNPFEDSA-N 0 3 305.491 4.237 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccnc(SCC)c2)cc1 ZINC000187790184 334275054 /nfs/dbraw/zinc/27/50/54/334275054.db2.gz SYHKIDISLMDXNU-AWEZNQCLSA-N 0 3 316.470 4.443 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCC(C)(C)C(F)(F)F)CCCO2 ZINC000583487427 334275780 /nfs/dbraw/zinc/27/57/80/334275780.db2.gz FZAXFCIPJXTGMF-CYBMUJFWSA-N 0 3 301.352 4.387 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(Cl)cc2)c2cc(N)ccc2O1 ZINC000415025455 334259933 /nfs/dbraw/zinc/25/99/33/334259933.db2.gz QBBRLWXLIHDYEN-INIZCTEOSA-N 0 3 316.832 4.314 20 0 DIADHN Fc1ccc(CNCc2cccc3c2CCCN3)cc1Cl ZINC000415031836 334260340 /nfs/dbraw/zinc/26/03/40/334260340.db2.gz IHLBXZDLIOHLRN-UHFFFAOYSA-N 0 3 304.796 4.127 20 0 DIADHN CC(C)(C)c1ncc(CNCc2cccc3c2CCCN3)s1 ZINC000415043200 334261063 /nfs/dbraw/zinc/26/10/63/334261063.db2.gz VTHIBWRHNHSJJM-UHFFFAOYSA-N 0 3 315.486 4.089 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cnn(C)c1C)c1ccc(Cl)cc1 ZINC000534468044 334263213 /nfs/dbraw/zinc/26/32/13/334263213.db2.gz RBPUYZVKOGLERP-YVEFUNNKSA-N 0 3 305.853 4.259 20 0 DIADHN CC[C@H](C)[C@H](NCc1cnn(C)c1C)c1ccc(Cl)cc1 ZINC000534468037 334263316 /nfs/dbraw/zinc/26/33/16/334263316.db2.gz RBPUYZVKOGLERP-SJCJKPOMSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1ccc2cc(CN[C@H](C)c3ccc4c(c3)OCO4)ccc2n1 ZINC000187638443 334266130 /nfs/dbraw/zinc/26/61/30/334266130.db2.gz BWJMBHMERSOADR-CQSZACIVSA-N 0 3 320.392 4.123 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3ccc(C(F)(F)F)cc3)n2)C[C@@H]1C ZINC000534471749 334267955 /nfs/dbraw/zinc/26/79/55/334267955.db2.gz AGVJMNPYGSVZFP-RYUDHWBXSA-N 0 3 324.346 4.448 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1cccn1)c1cccc(F)c1 ZINC000187717284 334269483 /nfs/dbraw/zinc/26/94/83/334269483.db2.gz MSCIYRBMXFHGTD-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1cccn1)c1cccc(F)c1 ZINC000187717265 334269593 /nfs/dbraw/zinc/26/95/93/334269593.db2.gz MSCIYRBMXFHGTD-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1nc2ccccc2n1C[C@H]1CCCN1Cc1ccccc1F ZINC000534472096 334270626 /nfs/dbraw/zinc/27/06/26/334270626.db2.gz GSORSHRGJTYCJG-QGZVFWFLSA-N 0 3 323.415 4.148 20 0 DIADHN CC[C@@H](NCc1ccc(COCCOC)cc1)c1cccs1 ZINC000582144635 334270759 /nfs/dbraw/zinc/27/07/59/334270759.db2.gz VVOSDAWIHGXZNX-QGZVFWFLSA-N 0 3 319.470 4.152 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000582145278 334271024 /nfs/dbraw/zinc/27/10/24/334271024.db2.gz ACTXIZTZKGRYEW-NUEKZKHPSA-N 0 3 317.454 4.328 20 0 DIADHN CCOc1ccc(CN(C)[C@H](CCOC)c2ccccc2)cc1 ZINC000534542871 334280303 /nfs/dbraw/zinc/28/03/03/334280303.db2.gz USTIIVNEULNKNM-HXUWFJFHSA-N 0 3 313.441 4.295 20 0 DIADHN c1ccc(C[C@H]2c3ccccc3CCN2Cc2cccnc2)cc1 ZINC000534552927 334282211 /nfs/dbraw/zinc/28/22/11/334282211.db2.gz QUOUZSVPZKPLRP-QFIPXVFZSA-N 0 3 314.432 4.424 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCc2ccncc2)o1 ZINC000531915917 334288021 /nfs/dbraw/zinc/28/80/21/334288021.db2.gz YOYAUFGMRZEOPW-UHFFFAOYSA-N 0 3 312.800 4.327 20 0 DIADHN Cc1cccc2c1OC[C@H](NCc1ccc(C(F)F)cc1)C2 ZINC000564419981 334292022 /nfs/dbraw/zinc/29/20/22/334292022.db2.gz TYZJMUNJNPNYMU-MRXNPFEDSA-N 0 3 303.352 4.026 20 0 DIADHN CCN(CC(=O)Nc1cc(Cl)ccc1F)C1CCCCC1 ZINC000302806162 334295621 /nfs/dbraw/zinc/29/56/21/334295621.db2.gz DRSVVLFHHDONDA-UHFFFAOYSA-N 0 3 312.816 4.072 20 0 DIADHN Cc1cc(C)c(CNCc2ccccc2-c2cnn(C)c2)cc1C ZINC000534613153 334301510 /nfs/dbraw/zinc/30/15/10/334301510.db2.gz FFVWDLHGLYLPRS-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1Cl)N1CCCC1)c1ccoc1 ZINC000531948136 334302833 /nfs/dbraw/zinc/30/28/33/334302833.db2.gz FSDDJKPDOIOGQG-KSSFIOAISA-N 0 3 318.848 4.421 20 0 DIADHN Clc1ccc(CNCCOc2ccc(Cl)cc2)s1 ZINC000048934587 334302847 /nfs/dbraw/zinc/30/28/47/334302847.db2.gz IUFWHAPPBGYHSH-UHFFFAOYSA-N 0 3 302.226 4.224 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)CCCCCn1cccn1 ZINC000111090875 334302870 /nfs/dbraw/zinc/30/28/70/334302870.db2.gz JQSWZMAVFYGZIR-HNNXBMFYSA-N 0 3 305.853 4.400 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)CCCCCn1cccn1 ZINC000111090877 334302949 /nfs/dbraw/zinc/30/29/49/334302949.db2.gz JQSWZMAVFYGZIR-OAHLLOKOSA-N 0 3 305.853 4.400 20 0 DIADHN CC[C@@H](NCC(O)(CC)CC)c1ccccc1Br ZINC000531949838 334303786 /nfs/dbraw/zinc/30/37/86/334303786.db2.gz HBKNWVAOMZKOLC-CQSZACIVSA-N 0 3 314.267 4.041 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](C)N2CCc3sccc3C2)o1 ZINC000531954302 334305649 /nfs/dbraw/zinc/30/56/49/334305649.db2.gz XXLWGTGUOMBYKR-KGLIPLIRSA-N 0 3 318.486 4.001 20 0 DIADHN Oc1ccccc1CCN[C@@H]1CCCOc2ccc(Cl)cc21 ZINC000531961138 334311548 /nfs/dbraw/zinc/31/15/48/334311548.db2.gz FDEDWPLUKXNSRQ-MRXNPFEDSA-N 0 3 317.816 4.092 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CC2CCC2)c(Cl)c1 ZINC000111296103 334318361 /nfs/dbraw/zinc/31/83/61/334318361.db2.gz GOFJMRJKBVTXSC-ZDUSSCGKSA-N 0 3 308.853 4.016 20 0 DIADHN Cc1noc(CCCN2CCC[C@H]2c2ccc(C(C)C)cc2)n1 ZINC000534665275 334318601 /nfs/dbraw/zinc/31/86/01/334318601.db2.gz WEPYQBCWKGPENN-SFHVURJKSA-N 0 3 313.445 4.271 20 0 DIADHN C[C@H](NCC(=O)Nc1ccc(F)cc1)c1ccc2ccccc2c1 ZINC000531964796 334318941 /nfs/dbraw/zinc/31/89/41/334318941.db2.gz LJAACQHMCUYXPU-AWEZNQCLSA-N 0 3 322.383 4.268 20 0 DIADHN CSc1ccc([C@H](C)NC[C@@H](O)C2CCCCC2)cc1F ZINC000531965787 334323864 /nfs/dbraw/zinc/32/38/64/334323864.db2.gz YCBSNKGPQKGOSF-BLLLJJGKSA-N 0 3 311.466 4.139 20 0 DIADHN Cc1nn(C)c(C)c1CN(C)Cc1ccccc1-c1ccccc1 ZINC000101560302 334327152 /nfs/dbraw/zinc/32/71/52/334327152.db2.gz LDCXGJWKHPHHLU-UHFFFAOYSA-N 0 3 319.452 4.336 20 0 DIADHN c1ccc(N2CCC(N[C@H]3CSc4ccccc43)CC2)cc1 ZINC000531967744 334327975 /nfs/dbraw/zinc/32/79/75/334327975.db2.gz GUHCDHCBDYZENS-SFHVURJKSA-N 0 3 310.466 4.092 20 0 DIADHN CCSCCN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC000303818686 334331303 /nfs/dbraw/zinc/33/13/03/334331303.db2.gz FDKDVDBJOWDKHX-UHFFFAOYSA-N 0 3 303.393 4.423 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc3ccccc3o2)c1 ZINC000534710381 334331503 /nfs/dbraw/zinc/33/15/03/334331503.db2.gz RWNBGBWMUDAWJO-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CSc1ccc([C@H](C)NCCC(=O)Nc2ccccc2)cc1 ZINC000101586801 334333346 /nfs/dbraw/zinc/33/33/46/334333346.db2.gz NQLYMQKVALLBMW-AWEZNQCLSA-N 0 3 314.454 4.088 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2cnn(C(C)C)c2)cc1 ZINC000531972989 334335443 /nfs/dbraw/zinc/33/54/43/334335443.db2.gz ZRSKDSXQIBGUKR-LSDHHAIUSA-N 0 3 301.434 4.275 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2cnn(C(C)C)c2)cc1 ZINC000531972988 334335514 /nfs/dbraw/zinc/33/55/14/334335514.db2.gz ZRSKDSXQIBGUKR-HUUCEWRRSA-N 0 3 301.434 4.275 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCC[C@@H](C)C1)c1ccccc1 ZINC000303839596 334336245 /nfs/dbraw/zinc/33/62/45/334336245.db2.gz IDAWAAQKTJEUMV-NZSAHSFTSA-N 0 3 316.489 4.012 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H]2CCO[C@H]2C2CC2)ccc1Cl ZINC000534830497 334340741 /nfs/dbraw/zinc/34/07/41/334340741.db2.gz KSDZERLHBAYRGA-KGLIPLIRSA-N 0 3 319.754 4.016 20 0 DIADHN FC(F)(F)c1cc(CN[C@H]2CCO[C@H]2C2CC2)ccc1Cl ZINC000534830496 334340788 /nfs/dbraw/zinc/34/07/88/334340788.db2.gz KSDZERLHBAYRGA-KBPBESRZSA-N 0 3 319.754 4.016 20 0 DIADHN CC[C@H](NCC(=O)Nc1cccc(Cl)c1)c1cccs1 ZINC000049530785 334345456 /nfs/dbraw/zinc/34/54/56/334345456.db2.gz XGMDIJGYFSEAMX-ZDUSSCGKSA-N 0 3 308.834 4.081 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)N[C@@H](CCCO)c1ccccc1 ZINC000531976667 334347110 /nfs/dbraw/zinc/34/71/10/334347110.db2.gz IXRUXIQJNVRLSY-APWZRJJASA-N 0 3 313.441 4.168 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(C(F)(F)F)cc1 ZINC000111634066 334348198 /nfs/dbraw/zinc/34/81/98/334348198.db2.gz RQIBLJQTRWYCFJ-LBPRGKRZSA-N 0 3 301.352 4.315 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccccc1C(F)(F)F ZINC000111634868 334348342 /nfs/dbraw/zinc/34/83/42/334348342.db2.gz ABVVMXVXJXDEBD-GFCCVEGCSA-N 0 3 301.352 4.315 20 0 DIADHN C[C@H](NCCOCC(F)(F)F)c1ccc(Cl)c(Cl)c1 ZINC000111637290 334348600 /nfs/dbraw/zinc/34/86/00/334348600.db2.gz IQSJEFVNCJSJSY-QMMMGPOBSA-N 0 3 316.150 4.223 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2ccc(C)c(C)c2)C2CC2)c1 ZINC000303940429 334349416 /nfs/dbraw/zinc/34/94/16/334349416.db2.gz YKQPCPURPRDZIU-UHFFFAOYSA-N 0 3 322.452 4.426 20 0 DIADHN Cc1cnn(CCCCCN(C)Cc2ccc(Cl)c(F)c2)c1 ZINC000534965621 334350566 /nfs/dbraw/zinc/35/05/66/334350566.db2.gz ZOKTYUGNOHUERT-UHFFFAOYSA-N 0 3 323.843 4.286 20 0 DIADHN COc1cccc(CN2CCC(c3ccsc3)CC2)c1OC ZINC000188693494 334350722 /nfs/dbraw/zinc/35/07/22/334350722.db2.gz PKJGDXIKKRSZGK-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531979389 334350848 /nfs/dbraw/zinc/35/08/48/334350848.db2.gz HKJNFOSRUAUSFV-RTBURBONSA-N 0 3 315.844 4.431 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000531979880 334351576 /nfs/dbraw/zinc/35/15/76/334351576.db2.gz IELMQCLJGVNTLC-ROUUACIJSA-N 0 3 301.817 4.305 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1csc(-c2ccccn2)n1 ZINC000111685949 334353537 /nfs/dbraw/zinc/35/35/37/334353537.db2.gz ICSCZQMHGYFLEM-OAHLLOKOSA-N 0 3 301.459 4.216 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cc(C)sc2C)c1 ZINC000049685360 334357569 /nfs/dbraw/zinc/35/75/69/334357569.db2.gz QVNDTMFAWOAVRM-LBPRGKRZSA-N 0 3 302.443 4.174 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(Cc3ccccc3)CC2)c1 ZINC000535020759 334357590 /nfs/dbraw/zinc/35/75/90/334357590.db2.gz MQHQWHKJEBYFLQ-UHFFFAOYSA-N 0 3 322.452 4.018 20 0 DIADHN C[C@@H](NCc1ccc(C(=O)OC(C)(C)C)cc1)c1ccccc1 ZINC000531988126 334361524 /nfs/dbraw/zinc/36/15/24/334361524.db2.gz YVIQQYXMRUYTQA-OAHLLOKOSA-N 0 3 311.425 4.493 20 0 DIADHN Oc1cccc(CN2CCC[C@H](c3nc4ccccc4s3)C2)c1 ZINC000535068248 334363543 /nfs/dbraw/zinc/36/35/43/334363543.db2.gz IHSRNTOIYPQIKF-HNNXBMFYSA-N 0 3 324.449 4.382 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCCc3ccccc32)cc1OC ZINC000535068737 334363882 /nfs/dbraw/zinc/36/38/82/334363882.db2.gz QNEYEECVIFJGLE-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN COCc1ccc(CN(C)Cc2ccccc2C(F)(F)F)cc1 ZINC000535068921 334363894 /nfs/dbraw/zinc/36/38/94/334363894.db2.gz BWKPCXAHZCLLRA-UHFFFAOYSA-N 0 3 323.358 4.484 20 0 DIADHN COc1cccc(CN(C)[C@H](C)C(C)(C)C)c1OC(F)F ZINC000535085097 334367028 /nfs/dbraw/zinc/36/70/28/334367028.db2.gz MJGSIIFXVOJWAN-LLVKDONJSA-N 0 3 301.377 4.163 20 0 DIADHN OC[C@@H](C1CC1)N(Cc1cc2ccccc2o1)Cc1ccccc1 ZINC000535092553 334369417 /nfs/dbraw/zinc/36/94/17/334369417.db2.gz LUPGLANQOFPQID-FQEVSTJZSA-N 0 3 321.420 4.206 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H](C)Cc1ccc(Cl)cc1Cl ZINC000187915709 334370640 /nfs/dbraw/zinc/37/06/40/334370640.db2.gz DGKLUSQBTSPXOY-ZYHUDNBSSA-N 0 3 324.255 4.374 20 0 DIADHN C[C@](CO)(NCc1cnc(C2CCCC2)s1)C1CCCCC1 ZINC000532016287 334372257 /nfs/dbraw/zinc/37/22/57/334372257.db2.gz ZKHATJIPLAZKDQ-GOSISDBHSA-N 0 3 322.518 4.222 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1cccc(C(F)(F)F)c1 ZINC000305099771 334372557 /nfs/dbraw/zinc/37/25/57/334372557.db2.gz AOWLZYJFSVYGBG-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000532013809 334374323 /nfs/dbraw/zinc/37/43/23/334374323.db2.gz XBNLHXVEHXYGFC-PKOBYXMFSA-N 0 3 311.473 4.332 20 0 DIADHN FC1(F)CCC[C@@H](NCc2nc(-c3ccccc3)cs2)C1 ZINC000532015354 334375060 /nfs/dbraw/zinc/37/50/60/334375060.db2.gz AKQZMUFMHVTISQ-CYBMUJFWSA-N 0 3 308.397 4.478 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCC(F)(F)C2)cc1OC ZINC000532015483 334375176 /nfs/dbraw/zinc/37/51/76/334375176.db2.gz QIGWTSQYBVMGPP-AWEZNQCLSA-N 0 3 313.388 4.152 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1ccccc1C(F)(F)F ZINC000305140206 334375568 /nfs/dbraw/zinc/37/55/68/334375568.db2.gz PTGZSJKOHCKWMS-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3ccccc3CO)ccc2c1 ZINC000305151650 334378290 /nfs/dbraw/zinc/37/82/90/334378290.db2.gz UIVTWNLXPVZBGW-OAHLLOKOSA-N 0 3 321.420 4.192 20 0 DIADHN Cc1cc(F)cc(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)c1 ZINC000443225718 338448152 /nfs/dbraw/zinc/44/81/52/338448152.db2.gz ORLJIMWJSZJIMA-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN Cc1cc(F)cc(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)c1 ZINC000443225714 338448176 /nfs/dbraw/zinc/44/81/76/338448176.db2.gz ORLJIMWJSZJIMA-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN COCC[C@H](N[C@@H](C)c1ccc(OC)c(F)c1)c1ccccc1 ZINC000341011596 334399557 /nfs/dbraw/zinc/39/95/57/334399557.db2.gz IPGMTHDDPZWBOK-KSSFIOAISA-N 0 3 317.404 4.263 20 0 DIADHN CC[C@H](NCc1cnc(OC)c(Cl)c1)c1ccc(C)cc1 ZINC000341040754 334404448 /nfs/dbraw/zinc/40/44/48/334404448.db2.gz OEKCHNUHHJEXOG-INIZCTEOSA-N 0 3 304.821 4.293 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1CCOc2c(F)cccc21 ZINC000443191090 338446645 /nfs/dbraw/zinc/44/66/45/338446645.db2.gz DQZMEZDKTZXYFF-SJCJKPOMSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1ccc(SCCN[C@@H](CCO)c2ccccc2)cc1C ZINC000341217803 334418513 /nfs/dbraw/zinc/41/85/13/334418513.db2.gz ZCQDSKQOXTZUTQ-IBGZPJMESA-N 0 3 315.482 4.109 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000341185604 334421573 /nfs/dbraw/zinc/42/15/73/334421573.db2.gz ADWHDEHNVLKWQM-CJNGLKHVSA-N 0 3 316.832 4.408 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)NC(C)(C)CC)c1cccc(Cl)c1 ZINC000341286380 334429179 /nfs/dbraw/zinc/42/91/79/334429179.db2.gz KOIDVMFKXCERAK-WFASDCNBSA-N 0 3 310.869 4.074 20 0 DIADHN COc1ccc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000341454989 334442071 /nfs/dbraw/zinc/44/20/71/334442071.db2.gz MHIMEKNFLDTLTN-QGZVFWFLSA-N 0 3 316.420 4.496 20 0 DIADHN CC(C)CC[C@@H](NCCN1CCCC1=O)c1ccc(Cl)cc1 ZINC000341438041 334445929 /nfs/dbraw/zinc/44/59/29/334445929.db2.gz XXRQRHBYKMJUQV-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cnccc2C)cc1OC ZINC000341657163 334469661 /nfs/dbraw/zinc/46/96/61/334469661.db2.gz KBEKTVOKLCCTEK-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN Cc1ccccc1[C@H](NCc1cncn1C)[C@H]1CCC[C@@H](C)C1 ZINC000341688135 334470510 /nfs/dbraw/zinc/47/05/10/334470510.db2.gz DJXQCRHPZUAOAE-OXFYSEKESA-N 0 3 311.473 4.386 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000341907211 334490930 /nfs/dbraw/zinc/49/09/30/334490930.db2.gz NCYURMYDGSDLOC-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN CC[C@@H](NCCCCCn1cc(C)cn1)c1cc(F)ccc1F ZINC000341991187 334503931 /nfs/dbraw/zinc/50/39/31/334503931.db2.gz FZPXCHHGWUJCCW-GOSISDBHSA-N 0 3 321.415 4.381 20 0 DIADHN c1nc(CNC[C@@H]2CCCSC2)sc1-c1ccccc1 ZINC000342283203 334524210 /nfs/dbraw/zinc/52/42/10/334524210.db2.gz PREUXANWSZRRFW-ZDUSSCGKSA-N 0 3 304.484 4.043 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000342299591 334526227 /nfs/dbraw/zinc/52/62/27/334526227.db2.gz ABAABALKBIVBRG-OAHLLOKOSA-N 0 3 319.408 4.428 20 0 DIADHN C[C@@H](NCc1cccnc1)c1ccc(Oc2cccnc2)cc1 ZINC000342302464 334526498 /nfs/dbraw/zinc/52/64/98/334526498.db2.gz DCLSIIHGBSDGIV-OAHLLOKOSA-N 0 3 305.381 4.120 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)ncn3C)CCC2 ZINC000342326008 334532622 /nfs/dbraw/zinc/53/26/22/334532622.db2.gz RMAJJZOFOIWKGI-SFHVURJKSA-N 0 3 305.425 4.049 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H]2CCCc3ccccc32)cc1Cl ZINC000342613666 334554531 /nfs/dbraw/zinc/55/45/31/334554531.db2.gz IRLSRVMDOXHPFG-SJKOYZFVSA-N 0 3 316.832 4.472 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@@H](C)C1)c1ccccc1Br ZINC000342694382 334562047 /nfs/dbraw/zinc/56/20/47/334562047.db2.gz FDZLKWSTOJIWHV-ZOWXZIJZSA-N 0 3 312.251 4.057 20 0 DIADHN C[C@@H](c1ccccc1)N(C(=O)c1cccc(CN(C)C)c1)C1CC1 ZINC000342729524 334569502 /nfs/dbraw/zinc/56/95/02/334569502.db2.gz RZRKDUPFGFTQOU-INIZCTEOSA-N 0 3 322.452 4.114 20 0 DIADHN C[C@@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1ccc(F)c(F)c1 ZINC000467841750 334607047 /nfs/dbraw/zinc/60/70/47/334607047.db2.gz NUEKYVQJNHCMNF-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(F)c(F)c1)c1ccco1 ZINC000467837806 334607149 /nfs/dbraw/zinc/60/71/49/334607149.db2.gz BYMPXKMUAYIMPM-GUYCJALGSA-N 0 3 322.399 4.292 20 0 DIADHN CNCc1ccccc1NC(=O)N1CCC[C@@H]1c1ccc(C)cc1 ZINC000415895428 334625306 /nfs/dbraw/zinc/62/53/06/334625306.db2.gz AXMOZAMVDNTEQJ-LJQANCHMSA-N 0 3 323.440 4.083 20 0 DIADHN CNCc1ccccc1NC(=O)N(CCC(C)C)CCC(C)C ZINC000415899805 334626076 /nfs/dbraw/zinc/62/60/76/334626076.db2.gz JZRVAKAXFKNRAG-UHFFFAOYSA-N 0 3 319.493 4.332 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1C(=O)Nc1ccc2c(c1)CNC2 ZINC000415995059 334637924 /nfs/dbraw/zinc/63/79/24/334637924.db2.gz JMSSOYNFZGKLTL-SFHVURJKSA-N 0 3 315.461 4.112 20 0 DIADHN CCCC1CCC(N(C)C(=O)Nc2ccc3c(c2)CNC3)CC1 ZINC000416019983 334642491 /nfs/dbraw/zinc/64/24/91/334642491.db2.gz XOLQMNBZUHAHHC-UHFFFAOYSA-N 0 3 315.461 4.112 20 0 DIADHN CCc1cccc(C)c1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000416137930 334650190 /nfs/dbraw/zinc/65/01/90/334650190.db2.gz XFOKKHWVCCSKCI-SFHVURJKSA-N 0 3 310.441 4.189 20 0 DIADHN CN(C)Cc1ccc(C(=O)N(C)[C@H]2CCC[C@@H]2C(C)(C)C)cc1 ZINC000416296344 334661846 /nfs/dbraw/zinc/66/18/46/334661846.db2.gz VLQFRTPGEOIBIU-ROUUACIJSA-N 0 3 316.489 4.035 20 0 DIADHN C[C@H](N[C@H]1CCOc2c(F)cccc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417332116 334709372 /nfs/dbraw/zinc/70/93/72/334709372.db2.gz NJLBZZPRIQUWGH-ZIEJDFEHSA-N 0 3 317.429 4.493 20 0 DIADHN FC(F)(F)Oc1cccc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000535369044 334720989 /nfs/dbraw/zinc/72/09/89/334720989.db2.gz YESUHQOYDCICIK-INIZCTEOSA-N 0 3 322.330 4.221 20 0 DIADHN Cc1ccc(CNCc2cc(Br)cs2)cc1C ZINC000070212814 334744357 /nfs/dbraw/zinc/74/43/57/334744357.db2.gz JUKCAFVQRRYGIX-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](CO)Cc3ccccc3)oc2c1 ZINC000425935071 334774521 /nfs/dbraw/zinc/77/45/21/334774521.db2.gz GOVKFLPUANBSDP-SJLPKXTDSA-N 0 3 323.436 4.243 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H]2C[C@@H]2C2CCCCC2)s1 ZINC000443984079 338465437 /nfs/dbraw/zinc/46/54/37/338465437.db2.gz FUJAFYXADNCBRB-VXGBXAGGSA-N 0 3 304.381 4.220 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](Cc1ccc(C)cc1)c1ccccn1 ZINC000425343077 334803701 /nfs/dbraw/zinc/80/37/01/334803701.db2.gz IBWKNAZCBATGQX-GUDVDZBRSA-N 0 3 312.482 4.157 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1cnc(C(F)(F)F)s1 ZINC000443995581 338466056 /nfs/dbraw/zinc/46/60/56/338466056.db2.gz WCZGNPUPNWQKSW-NSHDSACASA-N 0 3 314.376 4.191 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1cnc(C(F)(F)F)s1 ZINC000444001193 338466551 /nfs/dbraw/zinc/46/65/51/338466551.db2.gz KFVMYVOPJZPNJV-GHMZBOCLSA-N 0 3 324.437 4.316 20 0 DIADHN COc1cccc(CN[C@@H]2CC[C@@H]2SC)c1OC1CCCC1 ZINC000425363182 334839077 /nfs/dbraw/zinc/83/90/77/334839077.db2.gz WCRQLYMOYHOOTC-WBVHZDCISA-N 0 3 321.486 4.000 20 0 DIADHN CCC[C@@H](N[C@H]1COCc2ccccc21)c1ccc(OC)cc1 ZINC000417409293 334863956 /nfs/dbraw/zinc/86/39/56/334863956.db2.gz VGHNNXVVJNYQCP-UXHICEINSA-N 0 3 311.425 4.398 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccsc1)[C@@H](C)c1ccc(Cl)cc1 ZINC000474939958 334875415 /nfs/dbraw/zinc/87/54/15/334875415.db2.gz FJCDINXPCKDWQX-WQGACYEGSA-N 0 3 309.862 4.217 20 0 DIADHN COCCC1(CNCc2ccn(C3CCCC3)n2)CCCCC1 ZINC000189314282 334890296 /nfs/dbraw/zinc/89/02/96/334890296.db2.gz CZABSWYMBRLXGG-UHFFFAOYSA-N 0 3 319.493 4.075 20 0 DIADHN CC(C)OCCCCN[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000343715905 335186176 /nfs/dbraw/zinc/18/61/76/335186176.db2.gz DNDGMGJMXSNVAO-SNVBAGLBSA-N 0 3 310.385 4.018 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(CCCn2ccnc2)CC1 ZINC000343761806 335191366 /nfs/dbraw/zinc/19/13/66/335191366.db2.gz UESLDBYXYBELGW-UHFFFAOYSA-N 0 3 315.436 4.023 20 0 DIADHN c1ccc2c(c1)OC[C@H]2CN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000343926435 335202403 /nfs/dbraw/zinc/20/24/03/335202403.db2.gz IZRUWDMVUNVSCA-CRAIPNDOSA-N 0 3 321.420 4.199 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2N[C@@H]1CCCOC1 ZINC000343974267 335204569 /nfs/dbraw/zinc/20/45/69/335204569.db2.gz FWNLJQIECQKLMK-PSASIEDQSA-N 0 3 306.258 4.201 20 0 DIADHN CC[C@H](NC[C@H]1COc2ccccc21)c1cc(F)ccc1F ZINC000344001913 335206755 /nfs/dbraw/zinc/20/67/55/335206755.db2.gz BWUPZFQQWZMRFL-SJCJKPOMSA-N 0 3 303.352 4.182 20 0 DIADHN CC[C@H](NC[C@@H]1COc2ccccc21)c1cc(F)ccc1F ZINC000344001912 335206778 /nfs/dbraw/zinc/20/67/78/335206778.db2.gz BWUPZFQQWZMRFL-PXAZEXFGSA-N 0 3 303.352 4.182 20 0 DIADHN COc1cncc(CN[C@H]2CC(C)(C)CCc3ccccc32)c1 ZINC000343946476 335207071 /nfs/dbraw/zinc/20/70/71/335207071.db2.gz STCGGLDQCHJZFD-IBGZPJMESA-N 0 3 310.441 4.284 20 0 DIADHN CCC[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1cccnc1 ZINC000343969149 335208761 /nfs/dbraw/zinc/20/87/61/335208761.db2.gz BSAWWAGDDPUODY-HSALFYBXSA-N 0 3 310.441 4.433 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCCc2ccc(F)cc21)c1ccsc1 ZINC000344030297 335210533 /nfs/dbraw/zinc/21/05/33/335210533.db2.gz DOXHDQOGUQVFNG-QZTJIDSGSA-N 0 3 318.461 4.157 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@H]1N[C@H](CC1CCC1)c1ccccc1 ZINC000344030910 335210580 /nfs/dbraw/zinc/21/05/80/335210580.db2.gz ZIWSKCCICMIGDG-NJDAHSKKSA-N 0 3 307.437 4.166 20 0 DIADHN NC(=O)Nc1ccc(CN[C@H](CC2CCC2)c2ccccc2)cc1 ZINC000344034255 335210791 /nfs/dbraw/zinc/21/07/91/335210791.db2.gz NPTVHBYKIMUHKS-LJQANCHMSA-N 0 3 323.440 4.198 20 0 DIADHN CC[C@H](COC)N[C@@H](CC)c1cc(F)cc(Br)c1 ZINC000344076751 335215632 /nfs/dbraw/zinc/21/56/32/335215632.db2.gz PDPOSNUBCNNWTK-KGLIPLIRSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@@H](NCc1cc(Cl)cc2cccnc21)c1cccc(O)c1 ZINC000158002317 338472845 /nfs/dbraw/zinc/47/28/45/338472845.db2.gz FAIRGWAYKDDYNO-GFCCVEGCSA-N 0 3 312.800 4.445 20 0 DIADHN CC[C@H](NC[C@]1(C)CCO[C@H]1C1CC1)c1cc(F)ccc1F ZINC000344121030 335219290 /nfs/dbraw/zinc/21/92/90/335219290.db2.gz WLXOHMUOFZLYCO-BZSNNMDCSA-N 0 3 309.400 4.211 20 0 DIADHN CSc1cc(CN[C@@H]2CCCc3ccc(F)cc32)ccn1 ZINC000344105795 335223610 /nfs/dbraw/zinc/22/36/10/335223610.db2.gz QCJLYKPGQBXZIX-MRXNPFEDSA-N 0 3 302.418 4.110 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2sc(C)nc2C)c1 ZINC000344107744 335224015 /nfs/dbraw/zinc/22/40/15/335224015.db2.gz ZAHOXMRATZVTMM-SJCJKPOMSA-N 0 3 316.470 4.352 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-n3cccn3)cc2)c2ccccc21 ZINC000344111463 335224300 /nfs/dbraw/zinc/22/43/00/335224300.db2.gz HILCCURUGZVBNO-QRWLVFNGSA-N 0 3 303.409 4.210 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnn(C(C)C)c2)c1C ZINC000344206686 335225135 /nfs/dbraw/zinc/22/51/35/335225135.db2.gz FIABJQHDCYGTIC-UHFFFAOYSA-N 0 3 310.445 4.160 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1cnc(C2CCCC2)s1 ZINC000344214485 335225827 /nfs/dbraw/zinc/22/58/27/335225827.db2.gz GZWKYLQZFCARQL-GFCCVEGCSA-N 0 3 324.490 4.011 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cnn(C(C)C)c2)C1(CC)CC ZINC000344161542 335226156 /nfs/dbraw/zinc/22/61/56/335226156.db2.gz GKPJJIPRJNYSNL-HYVNUMGLSA-N 0 3 307.482 4.098 20 0 DIADHN CC[C@H](N[C@@H]1C[C@H](OCC(C)C)C1(C)C)c1c(C)noc1C ZINC000344277033 335235408 /nfs/dbraw/zinc/23/54/08/335235408.db2.gz BWNGRFBZXKFARD-XHSDSOJGSA-N 0 3 308.466 4.172 20 0 DIADHN Cc1cc(CN[C@H](c2cccnc2)C2CC2)cc2cccnc12 ZINC000344321182 335240783 /nfs/dbraw/zinc/24/07/83/335240783.db2.gz DWTBUDDQBICCSJ-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN C[C@@]1(NCc2cc3ccccc3[nH]c2=O)CCCc2ccccc21 ZINC000344341659 335242476 /nfs/dbraw/zinc/24/24/76/335242476.db2.gz MMASSSHXKKRZML-OAQYLSRUSA-N 0 3 318.420 4.282 20 0 DIADHN Cc1cccc2c(CCNCc3csc(C4CC4)n3)c[nH]c21 ZINC000344480555 335252679 /nfs/dbraw/zinc/25/26/79/335252679.db2.gz OJFHQHYAYFTMAP-UHFFFAOYSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CNC1CC(C)C1 ZINC000344649390 335268380 /nfs/dbraw/zinc/26/83/80/335268380.db2.gz ZPGRHRJSTXYGKV-UHFFFAOYSA-N 0 3 303.837 4.031 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)NCc1cnc(C)nc1 ZINC000344841831 335279400 /nfs/dbraw/zinc/27/94/00/335279400.db2.gz CAWFLXOHCSDKIQ-KRWDZBQOSA-N 0 3 315.486 4.062 20 0 DIADHN Cc1ccc(F)c(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000345021805 335292108 /nfs/dbraw/zinc/29/21/08/335292108.db2.gz CLLVRFNOKNSKFK-OXQOHEQNSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ncc([C@H](C)N2CCC(Cc3ccccc3)CC2)c(C)n1 ZINC000345245925 335311700 /nfs/dbraw/zinc/31/17/00/335311700.db2.gz AIANBIHIZUZLQV-INIZCTEOSA-N 0 3 309.457 4.109 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCO[C@H]2CC(C)C)cnn1C(C)C ZINC000345282335 335313218 /nfs/dbraw/zinc/31/32/18/335313218.db2.gz NKKSTYRDMJMDAR-WBAXXEDZSA-N 0 3 307.482 4.017 20 0 DIADHN Cc1c([C@H](C)NCCCNc2ccccc2F)cnn1C(C)C ZINC000345311541 335315994 /nfs/dbraw/zinc/31/59/94/335315994.db2.gz ZINKRAZHCKYENA-AWEZNQCLSA-N 0 3 318.440 4.064 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345278618 335318501 /nfs/dbraw/zinc/31/85/01/335318501.db2.gz JEFJQUOCEKRFBN-CXAGYDPISA-N 0 3 322.880 4.076 20 0 DIADHN Cc1ccccc1[C@H](NCc1cncn1C)[C@@H]1CCC[C@H](C)C1 ZINC000113346241 335325209 /nfs/dbraw/zinc/32/52/09/335325209.db2.gz DJXQCRHPZUAOAE-XAUMDUMWSA-N 0 3 311.473 4.386 20 0 DIADHN C[C@@H](O)C1CCN(Cc2ccc(-c3cccs3)cc2)CC1 ZINC000444649265 338478731 /nfs/dbraw/zinc/47/87/31/338478731.db2.gz PEAIECXHYCWTMR-CQSZACIVSA-N 0 3 301.455 4.008 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccc2ncccc2c1 ZINC000345714523 335345141 /nfs/dbraw/zinc/34/51/41/335345141.db2.gz YCZJAOGTKKULFL-XOBRGWDASA-N 0 3 324.399 4.240 20 0 DIADHN FC(F)(F)c1ccccc1CN(CC[C@H]1CCCO1)C1CC1 ZINC000345774702 335350661 /nfs/dbraw/zinc/35/06/61/335350661.db2.gz AVYLFYYJRURQGQ-OAHLLOKOSA-N 0 3 313.363 4.239 20 0 DIADHN C[C@H]1CC[C@H](NCc2csc(COc3ccccc3)n2)C1 ZINC000345826780 335353664 /nfs/dbraw/zinc/35/36/64/335353664.db2.gz IDSLQMGCNUVOJA-KBPBESRZSA-N 0 3 302.443 4.000 20 0 DIADHN CCc1cccc(CN(C)[C@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000345869844 335356901 /nfs/dbraw/zinc/35/69/01/335356901.db2.gz XFGASTJLKAQYML-MRXNPFEDSA-N 0 3 320.440 4.023 20 0 DIADHN CCN(Cc1cccs1)Cc1cc(-n2ccnc2)cs1 ZINC000346142890 335380928 /nfs/dbraw/zinc/38/09/28/335380928.db2.gz CYEIUMSMWLWTNR-UHFFFAOYSA-N 0 3 303.456 4.017 20 0 DIADHN Cc1nn(-c2ccncc2)cc1CN(CC1CCCCC1)C1CC1 ZINC000346145200 335381214 /nfs/dbraw/zinc/38/12/14/335381214.db2.gz GKNFZBGBEYAQMF-UHFFFAOYSA-N 0 3 324.472 4.120 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1cc(-n2ccnc2)cs1 ZINC000346148165 335381837 /nfs/dbraw/zinc/38/18/37/335381837.db2.gz WEUURQNWEBWLSD-CQSZACIVSA-N 0 3 309.438 4.053 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)Cc1cc(-n2ccnc2)cs1 ZINC000346156323 335383031 /nfs/dbraw/zinc/38/30/31/335383031.db2.gz AVNAHNJMHOGSQV-ZDUSSCGKSA-N 0 3 315.417 4.266 20 0 DIADHN c1cn(-c2csc(CN3CCC[C@H]3c3ccsc3)c2)cn1 ZINC000346169271 335384593 /nfs/dbraw/zinc/38/45/93/335384593.db2.gz IUICRFVFMJFAPX-INIZCTEOSA-N 0 3 315.467 4.332 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346189676 335388166 /nfs/dbraw/zinc/38/81/66/335388166.db2.gz JWNPHZFSBUDAPF-SMDDNHRTSA-N 0 3 317.820 4.151 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(C2CCC2)s1)c1nccs1 ZINC000346243650 335393817 /nfs/dbraw/zinc/39/38/17/335393817.db2.gz ACSVNWRARPOQGW-NSHDSACASA-N 0 3 307.488 4.103 20 0 DIADHN C[C@@H](CN(C)Cc1ccccc1OCC1CC1)c1nccs1 ZINC000346253113 335394818 /nfs/dbraw/zinc/39/48/18/335394818.db2.gz NPMLZFHLPWTFNR-AWEZNQCLSA-N 0 3 316.470 4.167 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCO[C@H]2CCCCO2)cc1 ZINC000444677780 338481756 /nfs/dbraw/zinc/48/17/56/338481756.db2.gz VAOZTOLBCHSPBY-QAPCUYQASA-N 0 3 323.502 4.381 20 0 DIADHN CC[C@H](NCc1cnc2n1CCCC2)c1ccc(Cl)cc1 ZINC000366947414 335414736 /nfs/dbraw/zinc/41/47/36/335414736.db2.gz SUXPYGJYDJPRES-INIZCTEOSA-N 0 3 303.837 4.114 20 0 DIADHN Cc1cc([C@@H](NC[C@H]2CCCS2)c2cccnc2)ccc1F ZINC000346558492 335415910 /nfs/dbraw/zinc/41/59/10/335415910.db2.gz LNSNRGMOAAWPCQ-SJLPKXTDSA-N 0 3 316.445 4.104 20 0 DIADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1nc(-c2ccncc2)cs1 ZINC000346625552 335424742 /nfs/dbraw/zinc/42/47/42/335424742.db2.gz PTCXJNHCPUDGRT-TZMCWYRMSA-N 0 3 301.459 4.434 20 0 DIADHN COCC[C@@H](Cc1ccco1)N[C@@H](C)c1ccc2ccccc2n1 ZINC000346819865 335443958 /nfs/dbraw/zinc/44/39/58/335443958.db2.gz YBTFEIFAUXIPOG-RDJZCZTQSA-N 0 3 324.424 4.126 20 0 DIADHN CC(C)OCCN[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000444722918 338485602 /nfs/dbraw/zinc/48/56/02/338485602.db2.gz TWAREUGDWQIAQR-QGZVFWFLSA-N 0 3 313.441 4.341 20 0 DIADHN OCC[C@@H](NCc1ccc2c(c1)CCC2)c1ccc(Cl)cc1 ZINC000347663445 335514207 /nfs/dbraw/zinc/51/42/07/335514207.db2.gz OTQSCYTUXUACEO-LJQANCHMSA-N 0 3 315.844 4.042 20 0 DIADHN c1ccc2c(c1)NCCC[C@@H]2NCc1ccnc(OC2CCC2)c1 ZINC000348139850 335556671 /nfs/dbraw/zinc/55/66/71/335556671.db2.gz WMBZRMMORLOIFR-IBGZPJMESA-N 0 3 323.440 4.050 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348221825 335562731 /nfs/dbraw/zinc/56/27/31/335562731.db2.gz WADQXRAMPVUCPY-KBXCAEBGSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1c([C@H](C)NCc2ccc(SC(C)C)cc2)cnn1C ZINC000348198221 335565011 /nfs/dbraw/zinc/56/50/11/335565011.db2.gz KPDOBGWEROZFFJ-ZDUSSCGKSA-N 0 3 303.475 4.080 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc(SC(C)C)cc2)cnn1C ZINC000348198220 335565039 /nfs/dbraw/zinc/56/50/39/335565039.db2.gz KPDOBGWEROZFFJ-CYBMUJFWSA-N 0 3 303.475 4.080 20 0 DIADHN COc1ccc([C@@H](CCC(C)C)NCC2=CCCOC2)cc1 ZINC000348207547 335566010 /nfs/dbraw/zinc/56/60/10/335566010.db2.gz PFQGBKKLBMHWJH-LJQANCHMSA-N 0 3 303.446 4.109 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@@H]2C[C@H]2C(F)F)cc1OCC ZINC000348285861 335571754 /nfs/dbraw/zinc/57/17/54/335571754.db2.gz XHTYVLNCMPQYEZ-HZSPNIEDSA-N 0 3 313.388 4.178 20 0 DIADHN CC(C)(NCc1cc(F)cc2cccnc21)c1cccs1 ZINC000348305616 335574671 /nfs/dbraw/zinc/57/46/71/335574671.db2.gz IEMYJQOLGWUIDY-UHFFFAOYSA-N 0 3 300.402 4.460 20 0 DIADHN COCCCC[C@H](NCc1ccc(F)cc1)c1ccccc1 ZINC000348325248 335577342 /nfs/dbraw/zinc/57/73/42/335577342.db2.gz QTQZPDKLGFGQFB-IBGZPJMESA-N 0 3 301.405 4.473 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1csc(Cl)c1 ZINC000191109331 335597758 /nfs/dbraw/zinc/59/77/58/335597758.db2.gz AHJPWPRYSOTUOW-OCCSQVGLSA-N 0 3 324.877 4.482 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)c(OC)c2)c(C)c1 ZINC000537964284 335620701 /nfs/dbraw/zinc/62/07/01/335620701.db2.gz LSNSEVFCHDVDEA-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3COC(C)(C)C3)oc21 ZINC000417859189 335644395 /nfs/dbraw/zinc/64/43/95/335644395.db2.gz QZVSPXBNKKGMPU-GXTWGEPZSA-N 0 3 303.402 4.050 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2ccc(C(=O)NC)cc2)cc1 ZINC000323888142 335646060 /nfs/dbraw/zinc/64/60/60/335646060.db2.gz MQPKVCYEHJCQAC-INIZCTEOSA-N 0 3 324.468 4.240 20 0 DIADHN CN(C)CCN(Cc1cccs1)Cc1ccsc1Cl ZINC000349303960 335661897 /nfs/dbraw/zinc/66/18/97/335661897.db2.gz ZZOMFTKWPLMELX-UHFFFAOYSA-N 0 3 314.907 4.027 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(N(C)C(C)C)nc2)cc1F ZINC000191310196 335674922 /nfs/dbraw/zinc/67/49/22/335674922.db2.gz VNEMWWOUVSGZRE-OAHLLOKOSA-N 0 3 315.436 4.225 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(NC(=O)C3CC3)cc2)cc1 ZINC000028925253 335693716 /nfs/dbraw/zinc/69/37/16/335693716.db2.gz MIAUPZHJZFDBLO-HNNXBMFYSA-N 0 3 308.425 4.194 20 0 DIADHN Fc1cc(Br)cc(CN[C@H]2CCc3ccccc32)c1 ZINC000093981776 335695993 /nfs/dbraw/zinc/69/59/93/335695993.db2.gz ILMDYPXBYFJTSS-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c(Cl)cccc21)[C@@H](O)C(F)(F)F ZINC000349780436 335699537 /nfs/dbraw/zinc/69/95/37/335699537.db2.gz WQBYNHMNZXSPHD-MELADBBJSA-N 0 3 321.770 4.009 20 0 DIADHN CC[C@H](NC[C@@H](C)C[C@H](C)O)c1ccc(Cl)cc1Cl ZINC000398700403 335748776 /nfs/dbraw/zinc/74/87/76/335748776.db2.gz WJXULBZXUIHMOX-PGUXBMHVSA-N 0 3 304.261 4.441 20 0 DIADHN c1c2ccccc2sc1CN1CCC(Oc2ccccn2)CC1 ZINC000350556815 335761838 /nfs/dbraw/zinc/76/18/38/335761838.db2.gz LDJLURBRNMTIJK-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4ccccc4c3)CC2)nc1 ZINC000350615111 335766035 /nfs/dbraw/zinc/76/60/35/335766035.db2.gz BBNVMYWRCWJWRH-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN Cc1cc2cc(CN3CCC(OC(C)C)CC3)oc2cc1C ZINC000535796964 335776632 /nfs/dbraw/zinc/77/66/32/335776632.db2.gz IMXSOFBRJFCVFT-UHFFFAOYSA-N 0 3 301.430 4.439 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@H]2O)cc1 ZINC000535989632 335807345 /nfs/dbraw/zinc/80/73/45/335807345.db2.gz ZLUCAXDOQBAGPL-BKTGTZMESA-N 0 3 313.466 4.108 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000535989625 335807371 /nfs/dbraw/zinc/80/73/71/335807371.db2.gz ZLUCAXDOQBAGPL-AGRHKRQWSA-N 0 3 313.466 4.108 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccc(F)c2)s1)c1cn[nH]c1 ZINC000536008547 335810000 /nfs/dbraw/zinc/81/00/00/335810000.db2.gz UJZCKOKXWDTJJY-LLVKDONJSA-N 0 3 301.390 4.128 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000519237709 335819912 /nfs/dbraw/zinc/81/99/12/335819912.db2.gz SFJBRSHAYGHGAR-LBPRGKRZSA-N 0 3 322.374 4.436 20 0 DIADHN C[C@H](c1nc(-c2coc3ccccc23)no1)N1CCCCCC1 ZINC000445580544 338501001 /nfs/dbraw/zinc/50/10/01/338501001.db2.gz WVOZJPWUYNHPFQ-CYBMUJFWSA-N 0 3 311.385 4.420 20 0 DIADHN CC[C@@H](Cc1ccccc1)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000536218917 335831383 /nfs/dbraw/zinc/83/13/83/335831383.db2.gz DCSIMSRKSHDTMD-IBGZPJMESA-N 0 3 324.468 4.264 20 0 DIADHN OC12C[C@@H]3C[C@H](C1)CC(NCc1ccc(-c4ccccc4)o1)(C3)C2 ZINC000013729041 335907982 /nfs/dbraw/zinc/90/79/82/335907982.db2.gz HOTHVADYZUVNEY-XBLGPDGASA-N 0 3 323.436 4.120 20 0 DIADHN COc1ccc2oc([C@H](C)NCCOC3CCCC3)c(C)c2c1 ZINC000532204392 335916224 /nfs/dbraw/zinc/91/62/24/335916224.db2.gz BGKQJTSGAITTGB-AWEZNQCLSA-N 0 3 317.429 4.360 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1ccccc1O ZINC000532217153 335916293 /nfs/dbraw/zinc/91/62/93/335916293.db2.gz SDUPZHVJKABKNQ-ZDUSSCGKSA-N 0 3 319.832 4.338 20 0 DIADHN COc1ccsc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000532212769 335919251 /nfs/dbraw/zinc/91/92/51/335919251.db2.gz MGKRSKHNQDBJBN-UHFFFAOYSA-N 0 3 305.418 4.455 20 0 DIADHN CC[C@H](NCCc1ccccc1O)c1ccccc1OC(F)F ZINC000532217023 335920175 /nfs/dbraw/zinc/92/01/75/335920175.db2.gz BGJWLNXWEDHXMS-HNNXBMFYSA-N 0 3 321.367 4.277 20 0 DIADHN CCN(CC)[C@H](CNC/C=C/c1ccccc1)c1ccsc1 ZINC000520203116 335938357 /nfs/dbraw/zinc/93/83/57/335938357.db2.gz JCFWQLCISGZBBO-UFUQCMIWSA-N 0 3 314.498 4.434 20 0 DIADHN CCN(CC)[C@@H](CNC/C=C/c1ccccc1)c1ccsc1 ZINC000520203113 335938360 /nfs/dbraw/zinc/93/83/60/335938360.db2.gz JCFWQLCISGZBBO-BLRBJFNZSA-N 0 3 314.498 4.434 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(OC(F)F)cc1 ZINC000520211019 335939907 /nfs/dbraw/zinc/93/99/07/335939907.db2.gz PSQXKVUSLIMBNF-KAMYIIQDSA-N 0 3 303.352 4.481 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2ccccc2OCc2cccnc2)C1 ZINC000520229374 335941964 /nfs/dbraw/zinc/94/19/64/335941964.db2.gz OCQXVBCSZZIEHE-MSOLQXFVSA-N 0 3 314.404 4.031 20 0 DIADHN CCCOc1ccc(CNc2ccnc3ccccc32)cc1OC ZINC000520322756 335961458 /nfs/dbraw/zinc/96/14/58/335961458.db2.gz CFBWZKLGFVIGEK-UHFFFAOYSA-N 0 3 322.408 4.066 20 0 DIADHN Fc1ccc([C@@H](NCCCC(F)(F)F)c2ccccn2)cc1 ZINC000520457507 335980228 /nfs/dbraw/zinc/98/02/28/335980228.db2.gz QLZZWIIYOORMKH-OAHLLOKOSA-N 0 3 312.310 4.242 20 0 DIADHN CC[C@H](NCc1cncn1Cc1ccccc1)c1cccs1 ZINC000445772192 338508098 /nfs/dbraw/zinc/50/80/98/338508098.db2.gz GPQKXBRQRBOSPJ-KRWDZBQOSA-N 0 3 311.454 4.234 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H](c3nccs3)C2)c1 ZINC000351003711 336003729 /nfs/dbraw/zinc/00/37/29/336003729.db2.gz VZMKLODZFKCKDM-CQSZACIVSA-N 0 3 306.862 4.485 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000354010043 336006393 /nfs/dbraw/zinc/00/63/93/336006393.db2.gz LYQWQQZRQDQVJW-LSDHHAIUSA-N 0 3 308.491 4.395 20 0 DIADHN COc1cccc(CN2CCC(Nc3cccc(C)c3)CC2)c1 ZINC000006256629 336027083 /nfs/dbraw/zinc/02/70/83/336027083.db2.gz WBNLDUKTZGNRRU-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@H]2C[C@H]2c2ccccc2)cc1OC ZINC000351320131 336027530 /nfs/dbraw/zinc/02/75/30/336027530.db2.gz AKOBZAJAZACXSA-NUTKFTJISA-N 0 3 315.388 4.050 20 0 DIADHN Cc1sccc1CN1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000351348280 336029571 /nfs/dbraw/zinc/02/95/71/336029571.db2.gz DMKYBKYZNVOPSB-OAHLLOKOSA-N 0 3 301.411 4.122 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cccc(O)c3)ccc2c1 ZINC000192366952 336030056 /nfs/dbraw/zinc/03/00/56/336030056.db2.gz FSCIBUCAKZSWBH-CQSZACIVSA-N 0 3 307.393 4.405 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000192377619 336030430 /nfs/dbraw/zinc/03/04/30/336030430.db2.gz YTYCVPZLWGIIRM-KSSFIOAISA-N 0 3 319.807 4.347 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNC[C@@H]2CCO[C@H]2c2ccccc2)o1 ZINC000192377675 336030459 /nfs/dbraw/zinc/03/04/59/336030459.db2.gz YHAJHNYHMJNLFD-LLYILUEJSA-N 0 3 311.425 4.270 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2ccc(F)c3ccccc32)cnn1C ZINC000563901888 336044525 /nfs/dbraw/zinc/04/45/25/336044525.db2.gz GBTLYNFRKUJCRB-QWHCGFSZSA-N 0 3 311.404 4.433 20 0 DIADHN Cc1ccccc1C1CC(N(Cc2ccnc(C)n2)C2CC2)C1 ZINC000351659856 336055606 /nfs/dbraw/zinc/05/56/06/336055606.db2.gz VJQCLYFPAHCZQK-UHFFFAOYSA-N 0 3 307.441 4.004 20 0 DIADHN CCCN(CCC)Cc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000216428267 336059412 /nfs/dbraw/zinc/05/94/12/336059412.db2.gz ZEOVIIIHOXXMKH-UHFFFAOYSA-N 0 3 319.474 4.299 20 0 DIADHN Cc1nc(-c2cc3c(s2)CCN(CC[C@@H](C)F)C3)cs1 ZINC000351755851 336065381 /nfs/dbraw/zinc/06/53/81/336065381.db2.gz JHEAOAORKKKVLT-SNVBAGLBSA-N 0 3 310.463 4.286 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(C3CCC3)cc2)C[C@@H]1n1ccnc1 ZINC000351776194 336068539 /nfs/dbraw/zinc/06/85/39/336068539.db2.gz ZAQXXFZNINANJI-UZLBHIALSA-N 0 3 309.457 4.234 20 0 DIADHN Cc1ncc(CN(Cc2ccccc2)[C@H]2CCCC2(C)C)cn1 ZINC000351854899 336073540 /nfs/dbraw/zinc/07/35/40/336073540.db2.gz FFWMAIIRRNTFCK-IBGZPJMESA-N 0 3 309.457 4.366 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2c(C)cccc2C)s1 ZINC000520747264 336078733 /nfs/dbraw/zinc/07/87/33/336078733.db2.gz USSNYGFGEURCQZ-HNNXBMFYSA-N 0 3 318.486 4.449 20 0 DIADHN c1cc2cccc(NC3CCN(c4ccncc4)CC3)c2s1 ZINC000395297020 336090039 /nfs/dbraw/zinc/09/00/39/336090039.db2.gz RHLVHKCJQJLEND-UHFFFAOYSA-N 0 3 309.438 4.377 20 0 DIADHN Cc1cc2cc(CNCc3c(C)nn(C(C)(C)C)c3C)ccc2[nH]1 ZINC000353142093 336143989 /nfs/dbraw/zinc/14/39/89/336143989.db2.gz RDSIMLRAZDEXRV-UHFFFAOYSA-N 0 3 324.472 4.334 20 0 DIADHN Clc1ccc(-c2n[nH]cc2CN2CCCC3(CC3)C2)cc1 ZINC000351915124 336148054 /nfs/dbraw/zinc/14/80/54/336148054.db2.gz IXVLDTATHKLKNC-UHFFFAOYSA-N 0 3 301.821 4.106 20 0 DIADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000332148384 336160468 /nfs/dbraw/zinc/16/04/68/336160468.db2.gz GQSMSTUKEKYTNR-BXUZGUMPSA-N 0 3 303.381 4.105 20 0 DIADHN c1csc([C@H](CN[C@H]2CCCc3occc32)N2CCCC2)c1 ZINC000192590981 336167477 /nfs/dbraw/zinc/16/74/77/336167477.db2.gz NXGGVDQQBOVZQY-HOTGVXAUSA-N 0 3 316.470 4.145 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1ccc(OC)cc1 ZINC000194374372 338516520 /nfs/dbraw/zinc/51/65/20/338516520.db2.gz XTZNNWVPCMHYNC-IBGZPJMESA-N 0 3 321.424 4.122 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1cccc(Cl)c1 ZINC000194374518 338516567 /nfs/dbraw/zinc/51/65/67/338516567.db2.gz UGAOIRBJVCYDOI-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000332597256 336175533 /nfs/dbraw/zinc/17/55/33/336175533.db2.gz LIWHANKQEVVRDR-BXUZGUMPSA-N 0 3 319.836 4.047 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1N[C@@H](C)c1nc2ccccc2c(=O)[nH]1 ZINC000332654384 336177749 /nfs/dbraw/zinc/17/77/49/336177749.db2.gz YXCMYOGMIXARPE-DZKIICNBSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1cc(CN[C@@H](c2cccc(Cl)c2)C2CCCC2)n(C)n1 ZINC000417960892 336178280 /nfs/dbraw/zinc/17/82/80/336178280.db2.gz XZRHMGNRZSNTGI-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN c1coc([C@@H](CN[C@@H]2CCCc3occc32)N2CCCCC2)c1 ZINC000192598295 336178346 /nfs/dbraw/zinc/17/83/46/336178346.db2.gz XYTDHGUDWHNHID-IAGOWNOFSA-N 0 3 314.429 4.067 20 0 DIADHN C[C@@H](N[C@@H](Cc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192607824 336183778 /nfs/dbraw/zinc/18/37/78/336183778.db2.gz FTAIQSWWBMLOPN-APWZRJJASA-N 0 3 305.425 4.055 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2ccc(Cl)c3ccccc32)cn1 ZINC000351992748 336186356 /nfs/dbraw/zinc/18/63/56/336186356.db2.gz FINIHKQPNLCJQQ-LBPRGKRZSA-N 0 3 311.816 4.442 20 0 DIADHN Cc1ncc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000352001346 336187533 /nfs/dbraw/zinc/18/75/33/336187533.db2.gz BBLMGKAUSXNNHE-CHWSQXEVSA-N 0 3 303.837 4.062 20 0 DIADHN Fc1cccc(F)c1C1=CCN(CC[C@@H]2CCCCO2)CC1 ZINC000352020615 336189652 /nfs/dbraw/zinc/18/96/52/336189652.db2.gz JCGJOSQISIHONV-HNNXBMFYSA-N 0 3 307.384 4.013 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1CCCc2occc21 ZINC000352113207 336195386 /nfs/dbraw/zinc/19/53/86/336195386.db2.gz FPPNZLZDXXRINI-LBKOFNPBSA-N 0 3 311.425 4.497 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000333375307 336202569 /nfs/dbraw/zinc/20/25/69/336202569.db2.gz VNVTYRKFBGUCFJ-IAGOWNOFSA-N 0 3 315.461 4.016 20 0 DIADHN COC[C@@H](NC[C@H](C)c1cccc(Cl)c1)c1ccc(C)o1 ZINC000526119274 336204752 /nfs/dbraw/zinc/20/47/52/336204752.db2.gz FLKZELDCDMSHPC-BLLLJJGKSA-N 0 3 307.821 4.322 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc3occc3c2)c1 ZINC000352251359 336206875 /nfs/dbraw/zinc/20/68/75/336206875.db2.gz QGTVRUBJFFOQQX-ZDUSSCGKSA-N 0 3 308.381 4.242 20 0 DIADHN Cc1nccn1CCCN(Cc1ccccc1Cl)CC1CC1 ZINC000352257765 336207517 /nfs/dbraw/zinc/20/75/17/336207517.db2.gz XFRBBWAMBJVKSQ-UHFFFAOYSA-N 0 3 317.864 4.147 20 0 DIADHN CC[C@@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccc(C)cc1 ZINC000352280670 336209620 /nfs/dbraw/zinc/20/96/20/336209620.db2.gz ITGNFRSUIUTLKJ-FXAWDEMLSA-N 0 3 324.468 4.255 20 0 DIADHN C[C@@H]1C[C@H](Nc2cccc(OCCN(C)C)c2)c2ccccc21 ZINC000324397723 336210056 /nfs/dbraw/zinc/21/00/56/336210056.db2.gz OFVJKZZNWAWQHP-QRWLVFNGSA-N 0 3 310.441 4.287 20 0 DIADHN CC(C)CCOC1CCN(Cc2coc3ccccc23)CC1 ZINC000352320198 336212180 /nfs/dbraw/zinc/21/21/80/336212180.db2.gz ONQARJDWTDIVQA-UHFFFAOYSA-N 0 3 301.430 4.460 20 0 DIADHN CC(C)(C)OC1CC(N[C@@H]2CCSc3c(F)cccc32)C1 ZINC000352565862 336224890 /nfs/dbraw/zinc/22/48/90/336224890.db2.gz AOLKXBNSXUKVKI-KOHJWAIASA-N 0 3 309.450 4.298 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@@H]1C1CCCC1 ZINC000352645393 336228393 /nfs/dbraw/zinc/22/83/93/336228393.db2.gz STWSJTVKYGAEBR-HZPDHXFCSA-N 0 3 306.475 4.149 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCC(OC(C)(C)C)CC1 ZINC000352670229 336229583 /nfs/dbraw/zinc/22/95/83/336229583.db2.gz FERZWICYLNTLRB-UHFFFAOYSA-N 0 3 321.509 4.034 20 0 DIADHN Cc1c(CN2CCC[C@@H]2c2cccc3ccccc32)cnn1C ZINC000352697672 336230987 /nfs/dbraw/zinc/23/09/87/336230987.db2.gz FIZABXZMVWWJBU-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN COC(=O)CC[C@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000352752057 336234812 /nfs/dbraw/zinc/23/48/12/336234812.db2.gz XBLNNXKQSCUUBG-SFHVURJKSA-N 0 3 323.392 4.217 20 0 DIADHN CC[C@@H](NCc1ccc2occc2c1)c1ccc2c(c1)OCCO2 ZINC000352774338 336235713 /nfs/dbraw/zinc/23/57/13/336235713.db2.gz XANCSWUHFAPMCA-QGZVFWFLSA-N 0 3 323.392 4.445 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(OC(F)F)c2)cn1 ZINC000352777627 336235993 /nfs/dbraw/zinc/23/59/93/336235993.db2.gz BXMWLEWPJSXYQC-GFCCVEGCSA-N 0 3 306.356 4.096 20 0 DIADHN CC[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1c(C)noc1C ZINC000352791540 336237071 /nfs/dbraw/zinc/23/70/71/336237071.db2.gz PIJFGLBCPHMFLV-OGZRUICASA-N 0 3 306.356 4.324 20 0 DIADHN CN(Cc1ccc(Br)cc1)Cc1cccc(F)c1 ZINC000042206063 336237261 /nfs/dbraw/zinc/23/72/61/336237261.db2.gz MRMQRLNAJYLIMM-UHFFFAOYSA-N 0 3 308.194 4.220 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000042145485 336237524 /nfs/dbraw/zinc/23/75/24/336237524.db2.gz AJIVLSITNMYJAR-IRXDYDNUSA-N 0 3 302.462 4.061 20 0 DIADHN Cc1ccc([C@H](C)NCCOc2ccc(Br)cc2)o1 ZINC000042314553 336238907 /nfs/dbraw/zinc/23/89/07/336238907.db2.gz GZRDGTVFZBMAPC-LBPRGKRZSA-N 0 3 324.218 4.080 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCc2cc3ccccc3[nH]2)c1 ZINC000103344504 336240172 /nfs/dbraw/zinc/24/01/72/336240172.db2.gz PSQBXBTVRPADPO-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN Cc1ccoc1CN[C@H](C)Cc1ccccc1Br ZINC000532358531 336243184 /nfs/dbraw/zinc/24/31/84/336243184.db2.gz LPXAOROLHQIGPN-GFCCVEGCSA-N 0 3 308.219 4.071 20 0 DIADHN CCc1ccc(CNCC(C)(C)N[C@H](C)c2ccccc2)o1 ZINC000532376393 336244015 /nfs/dbraw/zinc/24/40/15/336244015.db2.gz ZRBYPOHBXMKIEM-OAHLLOKOSA-N 0 3 300.446 4.061 20 0 DIADHN CC[C@H](NCc1ccc(SC)s1)c1c(C)nn(C)c1C ZINC000352809949 336244363 /nfs/dbraw/zinc/24/43/63/336244363.db2.gz KRQPQINSSBQHML-ZDUSSCGKSA-N 0 3 309.504 4.061 20 0 DIADHN CCc1ccc(CNC[C@H](c2ccsc2)N(CC)CC)o1 ZINC000532367527 336244494 /nfs/dbraw/zinc/24/44/94/336244494.db2.gz BMALQDQPSQLZIH-QGZVFWFLSA-N 0 3 306.475 4.076 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc(C)sc1C)c1ccco1 ZINC000532367014 336244535 /nfs/dbraw/zinc/24/45/35/336244535.db2.gz OWZPHXHSHPXHEK-INIZCTEOSA-N 0 3 306.475 4.131 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2ccccc2Br)o1 ZINC000532449767 336246928 /nfs/dbraw/zinc/24/69/28/336246928.db2.gz VVADPMQFEVTXNX-LBPRGKRZSA-N 0 3 308.219 4.244 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](CC)c2cccs2)c1 ZINC000532447314 336247809 /nfs/dbraw/zinc/24/78/09/336247809.db2.gz AMKXUAIGWVQWET-OAHLLOKOSA-N 0 3 302.443 4.338 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccc(-n2cccn2)cc1 ZINC000532446099 336247825 /nfs/dbraw/zinc/24/78/25/336247825.db2.gz DVCMXKYNXULJHK-VBKZILBWSA-N 0 3 321.399 4.035 20 0 DIADHN CCOc1cccc(CN[C@H]2CSCCc3ccccc32)c1 ZINC000532447202 336247845 /nfs/dbraw/zinc/24/78/45/336247845.db2.gz WEOMSENOAKSIIE-IBGZPJMESA-N 0 3 313.466 4.206 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000532460129 336248598 /nfs/dbraw/zinc/24/85/98/336248598.db2.gz ICWUTDYKUZMMRZ-NSHDSACASA-N 0 3 321.795 4.407 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1cccc(OC(F)(F)F)c1 ZINC000532461634 336248812 /nfs/dbraw/zinc/24/88/12/336248812.db2.gz JHNCXRFUZPXGRB-GFCCVEGCSA-N 0 3 317.351 4.195 20 0 DIADHN COc1cc(CN[C@H](C)c2cc3c(s2)CCC3)ccc1C ZINC000532468331 336249392 /nfs/dbraw/zinc/24/93/92/336249392.db2.gz UJGGUIIRFHFFPI-CYBMUJFWSA-N 0 3 301.455 4.405 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000532471116 336249569 /nfs/dbraw/zinc/24/95/69/336249569.db2.gz ZYBXESFCYGIUAB-IEZWGBDMSA-N 0 3 314.473 4.224 20 0 DIADHN Oc1ccccc1CCN[C@H]1CCCOc2c(Cl)cccc21 ZINC000532488598 336250150 /nfs/dbraw/zinc/25/01/50/336250150.db2.gz YLBDTZHAYGALNU-INIZCTEOSA-N 0 3 317.816 4.092 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCc3ccccc32)[C@@H]2CCCO2)cc1 ZINC000532492574 336250602 /nfs/dbraw/zinc/25/06/02/336250602.db2.gz NFNXFBUQOUNWMD-UFYCRDLUSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@@H](C)c1sccc1Br ZINC000532495372 336250844 /nfs/dbraw/zinc/25/08/44/336250844.db2.gz OSMFGCLCAXUIHU-VWYCJHECSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(O)c2)c2ccccc12 ZINC000532502068 336252319 /nfs/dbraw/zinc/25/23/19/336252319.db2.gz NMUOZSYNAYCKNU-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN C[C@H](c1cccc(Br)c1)N(C)Cc1cccc(O)c1 ZINC000532503822 336252510 /nfs/dbraw/zinc/25/25/10/336252510.db2.gz NBYRHVAYLDHTAE-GFCCVEGCSA-N 0 3 320.230 4.348 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2cc3c(s2)CCC3)cn1 ZINC000532511500 336252933 /nfs/dbraw/zinc/25/29/33/336252933.db2.gz IRSHMQFRJDKGPE-CHWSQXEVSA-N 0 3 303.475 4.426 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N(C)CC3CCCC3)n2)ccc1F ZINC000532513721 336253218 /nfs/dbraw/zinc/25/32/18/336253218.db2.gz UYJCIJKFHWIUCQ-ZDUSSCGKSA-N 0 3 317.408 4.367 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@@H](C)N(C)C[C@@H](C)c2ccccc2)c1 ZINC000532518818 336253460 /nfs/dbraw/zinc/25/34/60/336253460.db2.gz ZCERPJOLIHXUCX-QZTJIDSGSA-N 0 3 324.468 4.366 20 0 DIADHN CCOC[C@@H](C)N[C@H](C)c1ccc(-c2ccccc2OC)cc1 ZINC000532524968 336254172 /nfs/dbraw/zinc/25/41/72/336254172.db2.gz FQDAXUYGLRUQQG-HZPDHXFCSA-N 0 3 313.441 4.438 20 0 DIADHN CSc1ccc(NC(=O)CNC(C)(C)CC(C)(C)C)cc1 ZINC000532546720 336254906 /nfs/dbraw/zinc/25/49/06/336254906.db2.gz QFKFWEOZIIKBIP-UHFFFAOYSA-N 0 3 308.491 4.151 20 0 DIADHN CCc1cccc(OCCN2CCC[C@H]2c2cccc(C)n2)c1 ZINC000532537115 336255180 /nfs/dbraw/zinc/25/51/80/336255180.db2.gz YUMLYCUTKDJINB-FQEVSTJZSA-N 0 3 310.441 4.168 20 0 DIADHN C[C@H](NC[C@H](c1ccc(Cl)cc1)N(C)C)c1ccsc1 ZINC000532550559 336255906 /nfs/dbraw/zinc/25/59/06/336255906.db2.gz QYRPCPMXVMACCC-BLLLJJGKSA-N 0 3 308.878 4.355 20 0 DIADHN COc1cc(CN[C@@H]2CCCC(F)(F)C2)ccc1SC ZINC000532627657 336258064 /nfs/dbraw/zinc/25/80/64/336258064.db2.gz ITSQZRCQKKZIDF-GFCCVEGCSA-N 0 3 301.402 4.085 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000480889408 336258374 /nfs/dbraw/zinc/25/83/74/336258374.db2.gz NMOBXLADHGAPKX-MGPQQGTHSA-N 0 3 311.828 4.289 20 0 DIADHN CC(C)COc1cccc(CN2CCC[C@@H]2c2ccncc2)c1 ZINC000580363584 336260212 /nfs/dbraw/zinc/26/02/12/336260212.db2.gz FHZWMRVXIUTVHL-HXUWFJFHSA-N 0 3 310.441 4.454 20 0 DIADHN C[C@@H](CN(C)CCSCc1ccccc1)c1nccs1 ZINC000192776993 336261493 /nfs/dbraw/zinc/26/14/93/336261493.db2.gz BYYRPFSOGYHYPP-AWEZNQCLSA-N 0 3 306.500 4.112 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cn(C(C)C)nc2C1)c1ccccc1F ZINC000352826345 336263126 /nfs/dbraw/zinc/26/31/26/336263126.db2.gz HAJSUECZYSRXMS-MAUKXSAKSA-N 0 3 315.436 4.201 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cc2c(s1)CCCC2 ZINC000580386962 336263778 /nfs/dbraw/zinc/26/37/78/336263778.db2.gz MNOSLQGBOMNHEJ-QGZVFWFLSA-N 0 3 313.466 4.500 20 0 DIADHN Brc1cccc([C@H]2CCCN2C[C@@H]2CCCOC2)c1 ZINC000532640547 336264357 /nfs/dbraw/zinc/26/43/57/336264357.db2.gz SQYSRWHQCSEKBI-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@@H](C)c2ccnn2C)C2CC2)cc1 ZINC000192798769 336264553 /nfs/dbraw/zinc/26/45/53/336264553.db2.gz AHELQXJCQASNPW-MGPUTAFESA-N 0 3 311.473 4.421 20 0 DIADHN C[C@@H](NC[C@@H](C)c1c(Cl)cccc1Cl)c1ccnn1C ZINC000192803700 336264658 /nfs/dbraw/zinc/26/46/58/336264658.db2.gz MJBKNEBFSCDXBL-GHMZBOCLSA-N 0 3 312.244 4.181 20 0 DIADHN Cc1cc(C)n(CCCNC(c2ccccc2)c2ccccc2)n1 ZINC000192805103 336264878 /nfs/dbraw/zinc/26/48/78/336264878.db2.gz UNBQHKAQYPPIBK-UHFFFAOYSA-N 0 3 319.452 4.269 20 0 DIADHN CCc1ccc(CNCc2cccc(COC(C)(C)C)c2)cn1 ZINC000352828276 336266253 /nfs/dbraw/zinc/26/62/53/336266253.db2.gz UODYDWVMBZLYAE-UHFFFAOYSA-N 0 3 312.457 4.249 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C2CCC2)c(C)o1 ZINC000532686675 336267487 /nfs/dbraw/zinc/26/74/87/336267487.db2.gz RDKFQSDNOMZQFU-SFHVURJKSA-N 0 3 313.397 4.006 20 0 DIADHN COC[C@@H](NCc1ccc(-c2ccccc2Cl)o1)C1CC1 ZINC000532727456 336267601 /nfs/dbraw/zinc/26/76/01/336267601.db2.gz XBAWYOXYCHWLIB-MRXNPFEDSA-N 0 3 305.805 4.115 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C(C)C)C1CCCC1 ZINC000532688640 336267701 /nfs/dbraw/zinc/26/77/01/336267701.db2.gz CWWDRQBFGVYEOU-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN Cc1cccnc1[C@H](NCCO[C@@H]1CCCC[C@H]1C)C(C)C ZINC000532709229 336267966 /nfs/dbraw/zinc/26/79/66/336267966.db2.gz VGDZMQVDQYDIOF-KBAYOESNSA-N 0 3 304.478 4.272 20 0 DIADHN Cc1cccc(NC2CCN(CCCOc3ccccc3)CC2)c1 ZINC000532731555 336268049 /nfs/dbraw/zinc/26/80/49/336268049.db2.gz UTAHZUPFLYEIFN-UHFFFAOYSA-N 0 3 324.468 4.340 20 0 DIADHN O=C(CN[C@@H](c1ccccc1)C1CCCC1)Nc1ccccc1 ZINC000532716841 336268131 /nfs/dbraw/zinc/26/81/31/336268131.db2.gz XGFJSEGXLHMXTK-FQEVSTJZSA-N 0 3 308.425 4.146 20 0 DIADHN CC[C@@](C)(NCCCOc1ccc(Cl)cc1)c1nccs1 ZINC000532733542 336268683 /nfs/dbraw/zinc/26/86/83/336268683.db2.gz NIUBWQCZWIHYEF-MRXNPFEDSA-N 0 3 324.877 4.480 20 0 DIADHN CC(C)N(Cc1coc(-c2c(F)cccc2F)n1)CC1CC1 ZINC000532779101 336270746 /nfs/dbraw/zinc/27/07/46/336270746.db2.gz DONFUFVWTVGIBE-UHFFFAOYSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CCCC1)c1ccnn1C ZINC000192850113 336270896 /nfs/dbraw/zinc/27/08/96/336270896.db2.gz BHZKEQNJBGKFJW-HNNXBMFYSA-N 0 3 315.486 4.176 20 0 DIADHN Cc1cn[nH]c1CN[C@H](C)c1ccc(-c2csc(C)n2)cc1 ZINC000352842603 336275303 /nfs/dbraw/zinc/27/53/03/336275303.db2.gz WIBWZGRAQMHLQV-GFCCVEGCSA-N 0 3 312.442 4.001 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1cnc(C2CCCCC2)s1 ZINC000526966389 336277155 /nfs/dbraw/zinc/27/71/55/336277155.db2.gz YEISJMBMKFEOMY-HNNXBMFYSA-N 0 3 308.491 4.192 20 0 DIADHN CC(C)n1nccc1CN1CCCC[C@@H]1Cc1ccc(F)cc1 ZINC000526971653 336277322 /nfs/dbraw/zinc/27/73/22/336277322.db2.gz SIAFUEPSVPYYTD-GOSISDBHSA-N 0 3 315.436 4.200 20 0 DIADHN FC(F)(F)c1ccc(C2CCN(CC3(F)CC3)CC2)cc1 ZINC000526984909 336277461 /nfs/dbraw/zinc/27/74/61/336277461.db2.gz ZBNSAAFFGHMGHA-UHFFFAOYSA-N 0 3 301.327 4.387 20 0 DIADHN C[C@H](CCCCO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000352848226 336278046 /nfs/dbraw/zinc/27/80/46/336278046.db2.gz JBMMCNMCMNGYBK-ZIAGYGMSSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@H](CN(C)Cc1cncn1Cc1ccccc1)c1ccccc1 ZINC000527074835 336282586 /nfs/dbraw/zinc/28/25/86/336282586.db2.gz OVNCDVRRZIFFBR-GOSISDBHSA-N 0 3 319.452 4.167 20 0 DIADHN OCCCCCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000192928141 336284555 /nfs/dbraw/zinc/28/45/55/336284555.db2.gz MHZXPVKQXOKFOV-SFHVURJKSA-N 0 3 303.833 4.182 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@H](n2cc(C)cn2)C1 ZINC000583666597 336284713 /nfs/dbraw/zinc/28/47/13/336284713.db2.gz IVBGQHBEQHLRMF-INIZCTEOSA-N 0 3 320.440 4.062 20 0 DIADHN C[C@@H](N[C@H](c1cccc(F)c1)C1CCCC1)c1ccnn1C ZINC000192953202 336285947 /nfs/dbraw/zinc/28/59/47/336285947.db2.gz YCLJERPRQHDDTN-ACJLOTCBSA-N 0 3 301.409 4.141 20 0 DIADHN C/C(=C/c1ccccc1)CNCC(=O)Nc1c(C)cc(C)cc1C ZINC000102419517 336287174 /nfs/dbraw/zinc/28/71/74/336287174.db2.gz WRHRKIBWVXNESO-VBKFSLOCSA-N 0 3 322.452 4.243 20 0 DIADHN COc1ccc2c(c1)CN(c1cc(C)nc3ccccc31)CC2 ZINC000301076505 336288714 /nfs/dbraw/zinc/28/87/14/336288714.db2.gz HBDABPQBZATXMA-UHFFFAOYSA-N 0 3 304.393 4.115 20 0 DIADHN CC(C)N1CCC(Nc2nc(-c3ccccc3)cs2)CC1 ZINC000301108716 336290345 /nfs/dbraw/zinc/29/03/45/336290345.db2.gz QXWMGANXSIIBPU-UHFFFAOYSA-N 0 3 301.459 4.095 20 0 DIADHN COCc1cc(CNc2cc(C)nc3ccccc32)ccc1F ZINC000301213312 336295120 /nfs/dbraw/zinc/29/51/20/336295120.db2.gz YJKYGLJIOBFELM-UHFFFAOYSA-N 0 3 310.372 4.441 20 0 DIADHN CC(=O)Nc1ccc(CCNc2cc(C)nc3ccccc32)cc1 ZINC000301216836 336295386 /nfs/dbraw/zinc/29/53/86/336295386.db2.gz YXPKLDPFNLPJLE-UHFFFAOYSA-N 0 3 319.408 4.156 20 0 DIADHN Cc1cc(NCCCCNc2ccccn2)c2ccccc2n1 ZINC000301243873 336296491 /nfs/dbraw/zinc/29/64/91/336296491.db2.gz KMTWMPOPDSJOBH-UHFFFAOYSA-N 0 3 306.413 4.242 20 0 DIADHN CC[C@@H](N[C@H](C)c1nnn(-c2ccccc2)c1C)C(C)(C)C ZINC000482556276 336307804 /nfs/dbraw/zinc/30/78/04/336307804.db2.gz JCPFVLBSKZWZKK-CZUORRHYSA-N 0 3 300.450 4.051 20 0 DIADHN CN(C)[C@@H](CNc1nc2ccccc2o1)c1ccc(Cl)cc1 ZINC000301479294 336309538 /nfs/dbraw/zinc/30/95/38/336309538.db2.gz QYTMHLOYSQYHJB-HNNXBMFYSA-N 0 3 315.804 4.196 20 0 DIADHN CCN(CC)[C@@H](CNc1ncnc2cccc(C)c21)c1ccco1 ZINC000301574485 336315846 /nfs/dbraw/zinc/31/58/46/336315846.db2.gz YDANQIJWYYPAOY-INIZCTEOSA-N 0 3 324.428 4.026 20 0 DIADHN CN1CCC[C@H](CNc2ncccc2Cl)[C@H]1c1cccs1 ZINC000301574329 336315876 /nfs/dbraw/zinc/31/58/76/336315876.db2.gz PWGAPAMIKKDDGY-DOMZBBRYSA-N 0 3 321.877 4.292 20 0 DIADHN CN1CCC[C@@H](CNc2ncccc2Cl)[C@@H]1c1cccs1 ZINC000301574331 336315883 /nfs/dbraw/zinc/31/58/83/336315883.db2.gz PWGAPAMIKKDDGY-SWLSCSKDSA-N 0 3 321.877 4.292 20 0 DIADHN CC(C)N1CCC(Nc2ncc(-c3ccccc3)s2)CC1 ZINC000301584615 336316481 /nfs/dbraw/zinc/31/64/81/336316481.db2.gz OMNQWZNKBLUDSZ-UHFFFAOYSA-N 0 3 301.459 4.095 20 0 DIADHN CN1CCC[C@@H](CNc2ccnc(Cl)c2)[C@@H]1c1cccs1 ZINC000301618875 336318724 /nfs/dbraw/zinc/31/87/24/336318724.db2.gz BQXZBQOTHPHIQJ-BLLLJJGKSA-N 0 3 321.877 4.292 20 0 DIADHN Cc1cc(N2CCO[C@@H](c3cccs3)C2)c2ccccc2n1 ZINC000301626063 336319102 /nfs/dbraw/zinc/31/91/02/336319102.db2.gz AVPALZKDBMYPLX-QGZVFWFLSA-N 0 3 310.422 4.183 20 0 DIADHN Clc1cc(NC[C@@H]2CCCN(Cc3cccs3)C2)ccn1 ZINC000301640527 336319981 /nfs/dbraw/zinc/31/99/81/336319981.db2.gz YNNHYSATOVCNPT-ZDUSSCGKSA-N 0 3 321.877 4.121 20 0 DIADHN CCc1cc(N2CC[C@H](C)[C@H](n3ccnc3)C2)c2ccccc2n1 ZINC000301786009 336329732 /nfs/dbraw/zinc/32/97/32/336329732.db2.gz LCSJZULLTIWXCP-MGPUTAFESA-N 0 3 320.440 4.081 20 0 DIADHN CCc1cc(N[C@H](C)Cc2c(C)nn(C)c2C)c2ccccc2n1 ZINC000301799394 336330698 /nfs/dbraw/zinc/33/06/98/336330698.db2.gz RMVRKZMXHUUYIK-CYBMUJFWSA-N 0 3 322.456 4.191 20 0 DIADHN CN1CC[C@H](Nc2nc3ccccc3s2)[C@@H]1c1ccccc1 ZINC000301850314 336333987 /nfs/dbraw/zinc/33/39/87/336333987.db2.gz WQFBZJWBSWNPDT-RDJZCZTQSA-N 0 3 309.438 4.154 20 0 DIADHN Cc1cc(N2CCC[C@H](c3nccs3)C2)c2ccccc2n1 ZINC000302046389 336345256 /nfs/dbraw/zinc/34/52/56/336345256.db2.gz NFQLULCUTDCHGH-AWEZNQCLSA-N 0 3 309.438 4.384 20 0 DIADHN CCN1CCC(Nc2ccc3c(Cl)ccc(C)c3n2)CC1 ZINC000302062957 336346310 /nfs/dbraw/zinc/34/63/10/336346310.db2.gz RPPDQOSYNANGTH-UHFFFAOYSA-N 0 3 303.837 4.093 20 0 DIADHN Cc1nc2ccccc2c(N2CCO[C@@H](c3ccco3)C2)c1C ZINC000302091250 336348127 /nfs/dbraw/zinc/34/81/27/336348127.db2.gz PRUSIXMABODBMD-GOSISDBHSA-N 0 3 308.381 4.023 20 0 DIADHN Cc1ccc2nccc(N3CC[C@@H](Nc4ccccc4)C3)c2c1 ZINC000302136276 336350120 /nfs/dbraw/zinc/35/01/20/336350120.db2.gz BDRHRAAKPSGVKY-QGZVFWFLSA-N 0 3 303.409 4.234 20 0 DIADHN Cc1ccc2nccc(N(C)[C@H](CCO)c3ccccc3)c2c1 ZINC000302169136 336351996 /nfs/dbraw/zinc/35/19/96/336351996.db2.gz TVHYTRQGOUVSGR-LJQANCHMSA-N 0 3 306.409 4.103 20 0 DIADHN Cc1cc([C@@](C)(O)CNc2ccnc3ccc(C)cc32)c(C)o1 ZINC000302178953 336352616 /nfs/dbraw/zinc/35/26/16/336352616.db2.gz YKXGJNSUHAJAJM-IBGZPJMESA-N 0 3 310.397 4.073 20 0 DIADHN COc1cccc2c1CCN(c1cc(C)nc3ccccc31)C2 ZINC000302185564 336353006 /nfs/dbraw/zinc/35/30/06/336353006.db2.gz PFQIUFVCQTUBRI-UHFFFAOYSA-N 0 3 304.393 4.115 20 0 DIADHN Cc1ccc2nccc(N[C@@H]3CCO[C@@]4(CCSC4)C3)c2c1 ZINC000302223805 336354781 /nfs/dbraw/zinc/35/47/81/336354781.db2.gz NUHZQXBUBUCZMN-KDOFPFPSSA-N 0 3 314.454 4.010 20 0 DIADHN Cc1cc(N2CC[C@]3(C2)OCc2ccccc23)c2ccccc2n1 ZINC000302253792 336356301 /nfs/dbraw/zinc/35/63/01/336356301.db2.gz NTINCWJRYIPAPQ-OAQYLSRUSA-N 0 3 316.404 4.179 20 0 DIADHN Cc1ccc2nccc(N3CC[C@]4(C3)OCc3ccccc34)c2c1 ZINC000302297015 336356943 /nfs/dbraw/zinc/35/69/43/336356943.db2.gz XXTMIKWIRVMWSE-OAQYLSRUSA-N 0 3 316.404 4.179 20 0 DIADHN CN(C)[C@H](CNc1ccnc2c(F)cccc12)c1cccs1 ZINC000302679432 336364615 /nfs/dbraw/zinc/36/46/15/336364615.db2.gz OHBSUNGPSWBAPN-OAHLLOKOSA-N 0 3 315.417 4.150 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@H]1COc2ccccc21 ZINC000352893425 336365512 /nfs/dbraw/zinc/36/55/12/336365512.db2.gz LZQDFHPGNJWXGM-JTQLQIEISA-N 0 3 313.344 4.033 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2CCCC(F)(F)C2)sc1C ZINC000514114318 336366060 /nfs/dbraw/zinc/36/60/60/336366060.db2.gz FLLXTVZPZFMPMF-LBPRGKRZSA-N 0 3 302.434 4.410 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1cccn1C)c1ccc(Cl)cc1 ZINC000514114749 336368346 /nfs/dbraw/zinc/36/83/46/336368346.db2.gz JYKUVFVOKNTLCA-ZWKOTPCHSA-N 0 3 318.848 4.203 20 0 DIADHN Cc1cc(N2CCC(c3ccncc3)CC2)c2ccccc2n1 ZINC000302797618 336369540 /nfs/dbraw/zinc/36/95/40/336369540.db2.gz RBSKXVGDCUFNJJ-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN CN1CC[C@H](Nc2ccc3ccccc3n2)[C@H]1c1ccccc1 ZINC000302801040 336369869 /nfs/dbraw/zinc/36/98/69/336369869.db2.gz CILJKTLUSIFJTP-AZUAARDMSA-N 0 3 303.409 4.092 20 0 DIADHN OCCN(C/C=C/c1ccccc1)[C@H]1CCCc2ccccc21 ZINC000514121145 336371491 /nfs/dbraw/zinc/37/14/91/336371491.db2.gz SHYIMVWIJQRXLQ-CLNPQZTCSA-N 0 3 307.437 4.072 20 0 DIADHN OCCN(C/C=C\c1ccccc1)[C@H]1CCCc2ccccc21 ZINC000514121148 336371569 /nfs/dbraw/zinc/37/15/69/336371569.db2.gz SHYIMVWIJQRXLQ-UKSYNSBOSA-N 0 3 307.437 4.072 20 0 DIADHN COc1ccc([C@H](C)[NH2+]Cc2ccc([O-])c3nc(C)ccc23)cc1 ZINC000514123728 336374437 /nfs/dbraw/zinc/37/44/37/336374437.db2.gz WLIMTAKWOHERIM-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(O)c3nc(C)ccc23)cc1 ZINC000514123728 336374438 /nfs/dbraw/zinc/37/44/38/336374438.db2.gz WLIMTAKWOHERIM-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN COc1cc([C@@H](C)NCCSC(C)C)ccc1OC(C)C ZINC000514130732 336374930 /nfs/dbraw/zinc/37/49/30/336374930.db2.gz OLXDADZFOIHUJA-CQSZACIVSA-N 0 3 311.491 4.275 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cccc(O)c1)c1ccc(Cl)cc1 ZINC000514130252 336375014 /nfs/dbraw/zinc/37/50/14/336375014.db2.gz IGMOYUYTOFNRRG-SJCJKPOMSA-N 0 3 305.805 4.084 20 0 DIADHN Fc1ccc([C@@H](NCCOC2CCC2)c2cccs2)cc1 ZINC000563917165 336383588 /nfs/dbraw/zinc/38/35/88/336383588.db2.gz TZISCTPPNRQUJJ-QGZVFWFLSA-N 0 3 305.418 4.135 20 0 DIADHN Fc1ccc(OCCN2CCC(OC3CCCCC3)CC2)cc1 ZINC000261386919 336384752 /nfs/dbraw/zinc/38/47/52/336384752.db2.gz YUEDBFPQGPYVBU-UHFFFAOYSA-N 0 3 321.436 4.018 20 0 DIADHN CCCc1csc(CN[C@H](C)CCOCc2ccccc2)n1 ZINC000514594658 336385304 /nfs/dbraw/zinc/38/53/04/336385304.db2.gz WWCPQWJHSVPVSZ-OAHLLOKOSA-N 0 3 318.486 4.181 20 0 DIADHN CC[C@H]1CCCN(C(C)(C)CNC(=O)c2occc2C(C)C)C1 ZINC000514609515 336386142 /nfs/dbraw/zinc/38/61/42/336386142.db2.gz KEEBANOJDJJWAM-HNNXBMFYSA-N 0 3 320.477 4.033 20 0 DIADHN CN(Cc1ccc2occc2c1)C[C@@H]1OCCc2ccccc21 ZINC000514632940 336386923 /nfs/dbraw/zinc/38/69/23/336386923.db2.gz LARUURJMYLOYGG-FQEVSTJZSA-N 0 3 307.393 4.179 20 0 DIADHN Cc1ccncc1CCCNCc1ccc(Cl)cc1Cl ZINC000514633744 336386992 /nfs/dbraw/zinc/38/69/92/336386992.db2.gz LKFKZFLJFFLRCK-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN CCN(CC(=O)Nc1ccccc1Oc1ccccc1)CC1CC1 ZINC000261417498 336390347 /nfs/dbraw/zinc/39/03/47/336390347.db2.gz NCAGLIBZUMJWOY-UHFFFAOYSA-N 0 3 324.424 4.149 20 0 DIADHN Fc1cc2cccnc2c(CNCC2(C(F)(F)F)CCC2)c1 ZINC000353061781 336391941 /nfs/dbraw/zinc/39/19/41/336391941.db2.gz GVCCMBMMWHVYGO-UHFFFAOYSA-N 0 3 312.310 4.196 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@H]2N[C@@H]2CCCc3occc32)cc1 ZINC000193069502 336393130 /nfs/dbraw/zinc/39/31/30/336393130.db2.gz DRECZUMNBIAMHS-NUJGCVRESA-N 0 3 317.816 4.430 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H]1COC(C)(C)C1 ZINC000418034331 336395505 /nfs/dbraw/zinc/39/55/05/336395505.db2.gz OJNYGEXZUKUXPH-GXTWGEPZSA-N 0 3 301.352 4.146 20 0 DIADHN C[C@H](CCCO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000168245786 336398391 /nfs/dbraw/zinc/39/83/91/336398391.db2.gz YADGYRMBQKGMGM-SCZZXKLOSA-N 0 3 308.274 4.183 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC000418091314 336399031 /nfs/dbraw/zinc/39/90/31/336399031.db2.gz MUPYNGITYIIUPL-UHFFFAOYSA-N 0 3 315.379 4.353 20 0 DIADHN CO[C@@H](CNCc1cnc(-c2ccsc2)s1)CC(C)C ZINC000418091258 336399141 /nfs/dbraw/zinc/39/91/41/336399141.db2.gz DTEOJRVDAFAEPV-CYBMUJFWSA-N 0 3 310.488 4.022 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNCC1(C(F)(F)F)CCC1 ZINC000353091194 336400296 /nfs/dbraw/zinc/40/02/96/336400296.db2.gz PRIQRSUQYWPEMW-UHFFFAOYSA-N 0 3 323.362 4.003 20 0 DIADHN CSCc1ccc(CNCc2ccc(-n3ccnc3)cc2)cc1 ZINC000353090836 336400312 /nfs/dbraw/zinc/40/03/12/336400312.db2.gz VUEJXCGIINDCDS-UHFFFAOYSA-N 0 3 323.465 4.025 20 0 DIADHN Cc1ccc2cc(CN[C@@H](C)c3ccc4n[nH]cc4c3)ccc2n1 ZINC000353100056 336402484 /nfs/dbraw/zinc/40/24/84/336402484.db2.gz LBOWNEGPEUJEBB-AWEZNQCLSA-N 0 3 316.408 4.270 20 0 DIADHN COCc1nc(CNC2CCC3(CCCCC3)CC2)cs1 ZINC000353220945 336406931 /nfs/dbraw/zinc/40/69/31/336406931.db2.gz AJIYRJLQFIICRJ-UHFFFAOYSA-N 0 3 308.491 4.272 20 0 DIADHN C[C@H](NCc1nc(C2CC2)cs1)C1CCC(F)(F)CC1 ZINC000353253919 336408387 /nfs/dbraw/zinc/40/83/87/336408387.db2.gz WCVPIJOKEDHFHB-JTQLQIEISA-N 0 3 300.418 4.324 20 0 DIADHN CC[C@@H](NCc1cnn(-c2ccccc2)c1)c1cccc(C)c1 ZINC000353443779 336418098 /nfs/dbraw/zinc/41/80/98/336418098.db2.gz SZMKQLOVNKQDLQ-HXUWFJFHSA-N 0 3 305.425 4.422 20 0 DIADHN CC(C)CC[C@H](NCCC(=O)NC1CCCCC1)c1ccoc1 ZINC000353458649 336419027 /nfs/dbraw/zinc/41/90/27/336419027.db2.gz DPVTZPDAEUQCFF-SFHVURJKSA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@H](NCc1ccccc1-n1ccnc1)c1cccc(C)c1 ZINC000353494714 336420534 /nfs/dbraw/zinc/42/05/34/336420534.db2.gz DWGFWRDSJHLCCN-IBGZPJMESA-N 0 3 305.425 4.422 20 0 DIADHN CSCCCN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000353547042 336423986 /nfs/dbraw/zinc/42/39/86/336423986.db2.gz XZRRWKARRZKALH-SFHVURJKSA-N 0 3 312.438 4.260 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@H]2CCCc3nn(C)cc32)c1 ZINC000353601600 336427982 /nfs/dbraw/zinc/42/79/82/336427982.db2.gz BIAHHPZFLZWGPJ-KXBFYZLASA-N 0 3 311.473 4.347 20 0 DIADHN Cc1cc([C@H](C)NC[C@@]2(C)OCCc3sccc32)c(C)o1 ZINC000353632373 336430360 /nfs/dbraw/zinc/43/03/60/336430360.db2.gz SKKXNNNNEGLKCW-YVEFUNNKSA-N 0 3 305.443 4.097 20 0 DIADHN COC[C@H](NC[C@@H](C)c1ncc(C)s1)c1cccc(Cl)c1 ZINC000353680355 336434359 /nfs/dbraw/zinc/43/43/59/336434359.db2.gz UAIHZNCADRFXFX-ABAIWWIYSA-N 0 3 324.877 4.186 20 0 DIADHN COC[C@@H](NC[C@@H](C)c1ncc(C)s1)c1cccc(Cl)c1 ZINC000353680356 336434408 /nfs/dbraw/zinc/43/44/08/336434408.db2.gz UAIHZNCADRFXFX-IAQYHMDHSA-N 0 3 324.877 4.186 20 0 DIADHN COc1ccc2nc(CN[C@@H]3CCC[C@H](SC)C3)sc2c1 ZINC000353777153 336440074 /nfs/dbraw/zinc/44/00/74/336440074.db2.gz LTUJCBMFKCJFJI-YPMHNXCESA-N 0 3 322.499 4.069 20 0 DIADHN CC(C)CN(CC(C)C)C(=O)c1cccc(CN2CCCC2)c1 ZINC000353800629 336440966 /nfs/dbraw/zinc/44/09/66/336440966.db2.gz GRYYYUUSYFNSQR-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(C[S@](C)=O)cc2)c1 ZINC000353802079 336441082 /nfs/dbraw/zinc/44/10/82/336441082.db2.gz HJMZBVPCHZHRRY-ZHRRBRCNSA-N 0 3 315.482 4.033 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCC2(C(F)(F)F)CCC2)c1 ZINC000353952643 336450941 /nfs/dbraw/zinc/45/09/41/336450941.db2.gz DVEVOOPADOGAGP-NSHDSACASA-N 0 3 314.351 4.028 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1ccccc1OC ZINC000353970833 336451897 /nfs/dbraw/zinc/45/18/97/336451897.db2.gz GPLYXXLCMGFXDS-SUMWQHHRSA-N 0 3 309.413 4.373 20 0 DIADHN CCCCOC1CCN(Cc2cc(C)nc3ccccc23)CC1 ZINC000353979678 336452357 /nfs/dbraw/zinc/45/23/57/336452357.db2.gz RSJIHTWHLGLVNI-UHFFFAOYSA-N 0 3 312.457 4.324 20 0 DIADHN CCCNc1ccc(CNCc2ccc(OC(F)F)cc2)cc1 ZINC000193151222 336463378 /nfs/dbraw/zinc/46/33/78/336463378.db2.gz MXQHLTDQPPIRCC-UHFFFAOYSA-N 0 3 320.383 4.400 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC1(CCO)CC1 ZINC000354653388 336477878 /nfs/dbraw/zinc/47/78/78/336477878.db2.gz RUFORWYCGQJZAP-UHFFFAOYSA-N 0 3 313.894 4.093 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)C1CCSCC1 ZINC000355122493 336495519 /nfs/dbraw/zinc/49/55/19/336495519.db2.gz MHIYAMKNJYSJHR-BDAKNGLRSA-N 0 3 324.437 4.344 20 0 DIADHN CCCOc1ccc(CNCC2(C(F)F)CC2)cc1OCC ZINC000355152912 336496491 /nfs/dbraw/zinc/49/64/91/336496491.db2.gz NVDCSNLRTPKIQX-UHFFFAOYSA-N 0 3 313.388 4.009 20 0 DIADHN CC[C@@H](NCC[C@H](C)OCc1ccccc1)c1c(C)noc1C ZINC000355260171 336501222 /nfs/dbraw/zinc/50/12/22/336501222.db2.gz AMPWUABDEDJRPH-KBXCAEBGSA-N 0 3 316.445 4.328 20 0 DIADHN C[C@H](c1ccsc1)N1CCN(CCCCOC(C)(C)C)CC1 ZINC000355269400 336501402 /nfs/dbraw/zinc/50/14/02/336501402.db2.gz CGDOQJBPUXLHHS-MRXNPFEDSA-N 0 3 324.534 4.022 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NC[C@@H]3CC[C@H](C)O3)cc2)cs1 ZINC000355290131 336502723 /nfs/dbraw/zinc/50/27/23/336502723.db2.gz LFQPVIJRFWDQMN-DCGLDWPTSA-N 0 3 316.470 4.337 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1ccc(Oc2ccccc2)o1 ZINC000355686316 336519306 /nfs/dbraw/zinc/51/93/06/336519306.db2.gz ULPJYOJLUXSLRB-OAHLLOKOSA-N 0 3 308.381 4.188 20 0 DIADHN COCCSc1ccc([C@@H](C)N[C@H](C)c2cccnc2)cc1 ZINC000356669151 336557662 /nfs/dbraw/zinc/55/76/62/336557662.db2.gz CKCMSMYTAUQPPG-HUUCEWRRSA-N 0 3 316.470 4.232 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2cnccc2C)cc1 ZINC000356700873 336558652 /nfs/dbraw/zinc/55/86/52/336558652.db2.gz ROCPMVWOBKGOSH-HOTGVXAUSA-N 0 3 320.440 4.296 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCc3nc(C)sc32)c1 ZINC000356765616 336560338 /nfs/dbraw/zinc/56/03/38/336560338.db2.gz DTCCDRVNSLDDFD-XHDPSFHLSA-N 0 3 302.443 4.188 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cnc(C3CCCC3)s2)CCO1 ZINC000357344278 336581642 /nfs/dbraw/zinc/58/16/42/336581642.db2.gz GPAFQDFAZIMERF-GOEBONIOSA-N 0 3 308.491 4.094 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCSCc1ccccc1F ZINC000357801763 336599392 /nfs/dbraw/zinc/59/93/92/336599392.db2.gz XKHXVWGEXSJRAY-CQSZACIVSA-N 0 3 304.434 4.113 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(F)c1)c1cccc(OC)c1 ZINC000358001032 336605505 /nfs/dbraw/zinc/60/55/05/336605505.db2.gz CONFQCTYUPPSCI-QGZVFWFLSA-N 0 3 303.377 4.084 20 0 DIADHN Clc1ccc2c(c1)[C@H](NC[C@@H]1CCCCO1)CCCS2 ZINC000358020944 336606722 /nfs/dbraw/zinc/60/67/22/336606722.db2.gz NCUQDXZPMGMNIJ-DZGCQCFKSA-N 0 3 311.878 4.426 20 0 DIADHN CO[C@@H](C)[C@@H](C)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000358023178 336606942 /nfs/dbraw/zinc/60/69/42/336606942.db2.gz KLDYMULGYSQVBX-MNOVXSKESA-N 0 3 324.877 4.285 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCCOC1CCCC1)CCCO2 ZINC000358024686 336607138 /nfs/dbraw/zinc/60/71/38/336607138.db2.gz RQHFDFSQTHRTNG-SFHVURJKSA-N 0 3 303.446 4.066 20 0 DIADHN CCC[C@H](NCCn1cccn1)c1cccc(C(F)(F)F)c1 ZINC000358027076 336607273 /nfs/dbraw/zinc/60/72/73/336607273.db2.gz VLCFFVNHKDMYTF-HNNXBMFYSA-N 0 3 311.351 4.033 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(-c2ccncc2)cs1 ZINC000358037892 336607867 /nfs/dbraw/zinc/60/78/67/336607867.db2.gz OFSUVNSKYWQBKZ-UMVBOHGHSA-N 0 3 301.459 4.434 20 0 DIADHN CC(C)Sc1ccc(CN2CCC(n3ccnc3)CC2)cc1 ZINC000358052485 336608771 /nfs/dbraw/zinc/60/87/71/336608771.db2.gz SWRRZCZKLYITAC-UHFFFAOYSA-N 0 3 315.486 4.221 20 0 DIADHN CC[C@H](NCCCc1nccs1)c1cc(Cl)ccc1OC ZINC000358051444 336608870 /nfs/dbraw/zinc/60/88/70/336608870.db2.gz WAXIHLMGCAWQSH-AWEZNQCLSA-N 0 3 324.877 4.479 20 0 DIADHN CC1(C)C[C@@H](N[C@H]2CCSc3c(F)cccc32)C(C)(C)O1 ZINC000358054928 336608887 /nfs/dbraw/zinc/60/88/87/336608887.db2.gz AOZUUJPEGMTPKZ-UONOGXRCSA-N 0 3 309.450 4.298 20 0 DIADHN CC[C@@H](N[C@H](C)CC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC000358078187 336610197 /nfs/dbraw/zinc/61/01/97/336610197.db2.gz BJCSSGCZOYEAFM-IAQYHMDHSA-N 0 3 313.388 4.126 20 0 DIADHN CC[C@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC000358078189 336610245 /nfs/dbraw/zinc/61/02/45/336610245.db2.gz BJCSSGCZOYEAFM-NHYWBVRUSA-N 0 3 313.388 4.126 20 0 DIADHN COC(=O)CC[C@H](N[C@@H]1CCCc2ccccc21)c1ccccc1 ZINC000358093458 336611364 /nfs/dbraw/zinc/61/13/64/336611364.db2.gz ZWZIZIMCUHMBBB-VQTJNVASSA-N 0 3 323.436 4.348 20 0 DIADHN CCOC[C@H](C)N[C@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000358161815 336614074 /nfs/dbraw/zinc/61/40/74/336614074.db2.gz RBGWCGYNTJMGIU-KXBFYZLASA-N 0 3 311.425 4.192 20 0 DIADHN C[C@@H](CN[C@H]1CCSc2ccc(F)cc21)Oc1ccccc1 ZINC000358165398 336614351 /nfs/dbraw/zinc/61/43/51/336614351.db2.gz VIMYRIVGKFGOMB-GUYCJALGSA-N 0 3 317.429 4.420 20 0 DIADHN C[C@H](CN[C@@H]1CCSc2ccc(F)cc21)Oc1ccccc1 ZINC000358165378 336614354 /nfs/dbraw/zinc/61/43/54/336614354.db2.gz VIMYRIVGKFGOMB-CXAGYDPISA-N 0 3 317.429 4.420 20 0 DIADHN CC[C@@H](O)CCCN[C@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000358166083 336614359 /nfs/dbraw/zinc/61/43/59/336614359.db2.gz KMSBEUVRMXGSCY-ZBFHGGJFSA-N 0 3 311.853 4.003 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](CC)c1ccccc1Br ZINC000358169531 336614676 /nfs/dbraw/zinc/61/46/76/336614676.db2.gz PMLGRCPTRWVKOL-DOMZBBRYSA-N 0 3 314.267 4.041 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCOc2ccc(F)cc21)c1ccccc1 ZINC000358212617 336617154 /nfs/dbraw/zinc/61/71/54/336617154.db2.gz AIELHKFHECCPAX-MJGOQNOKSA-N 0 3 315.388 4.017 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H]1COc2ccccc21)c1ccccc1OC ZINC000358219048 336617504 /nfs/dbraw/zinc/61/75/04/336617504.db2.gz DQAYERZVJZCJDB-JLSDUUJJSA-N 0 3 311.425 4.301 20 0 DIADHN COCC[C@@H](C)NCc1nc(-c2cc3ccccc3o2)cs1 ZINC000358253857 336619603 /nfs/dbraw/zinc/61/96/03/336619603.db2.gz XNSFDHMVMXAHED-GFCCVEGCSA-N 0 3 316.426 4.071 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCc1ccc3c(c1)COC3)CCCO2 ZINC000358293095 336621930 /nfs/dbraw/zinc/62/19/30/336621930.db2.gz IHKISHHWXHOTLC-FQEVSTJZSA-N 0 3 323.436 4.337 20 0 DIADHN CC[C@H](N[C@@H](CO)CCSC)c1ccc(Cl)cc1Cl ZINC000358299996 336622445 /nfs/dbraw/zinc/62/24/45/336622445.db2.gz NEVRNEMIXBRACT-RISCZKNCSA-N 0 3 322.301 4.148 20 0 DIADHN CC[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)[C@H]1CCOC1 ZINC000358298722 336622454 /nfs/dbraw/zinc/62/24/54/336622454.db2.gz MRGAODCCGJCCEM-AEGPPILISA-N 0 3 319.342 4.224 20 0 DIADHN CC[C@@H](N[C@H](CO)CCSC)c1ccc(Cl)c(Cl)c1 ZINC000358321125 336624065 /nfs/dbraw/zinc/62/40/65/336624065.db2.gz QOTBBSVJEPNLGF-SMDDNHRTSA-N 0 3 322.301 4.148 20 0 DIADHN CC[C@H](NCCc1c[nH]c2ncccc12)c1cc(F)ccc1F ZINC000358351167 336625659 /nfs/dbraw/zinc/62/56/59/336625659.db2.gz LBBWZSCZDUOYND-KRWDZBQOSA-N 0 3 315.367 4.125 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H]2CCc3c2cccc3C)c2ccccc21 ZINC000358386753 336627610 /nfs/dbraw/zinc/62/76/10/336627610.db2.gz SMBCNORNOYSJME-WOJBJXKFSA-N 0 3 320.436 4.070 20 0 DIADHN CCC[C@@H](NC[C@@H](COC)OC)c1ccc(Cl)c(Cl)c1 ZINC000358440610 336630114 /nfs/dbraw/zinc/63/01/14/336630114.db2.gz ZURHQKQLFMBFOG-SWLSCSKDSA-N 0 3 320.260 4.086 20 0 DIADHN CC(C)C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1cccs1 ZINC000358743438 336641423 /nfs/dbraw/zinc/64/14/23/336641423.db2.gz CMAJGJJBIWIYKM-ZBFHGGJFSA-N 0 3 303.475 4.236 20 0 DIADHN Cc1c([C@H](C)NCC2(C(F)F)CC2)cnn1-c1ccc(F)cc1 ZINC000358995290 336651869 /nfs/dbraw/zinc/65/18/69/336651869.db2.gz CZBROFSMZRISDN-NSHDSACASA-N 0 3 323.362 4.016 20 0 DIADHN C[C@@H](CN[C@H]1CCCc2nn(-c3ccccc3)cc21)C(F)(F)F ZINC000359001075 336652320 /nfs/dbraw/zinc/65/23/20/336652320.db2.gz KMNKFLOCRVXYBF-WFASDCNBSA-N 0 3 323.362 4.038 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H](CC1CCC1)c1ccccc1 ZINC000359004534 336652586 /nfs/dbraw/zinc/65/25/86/336652586.db2.gz PZCFRKKAXKPNSO-AZUAARDMSA-N 0 3 309.457 4.319 20 0 DIADHN CC[C@H](NCc1ccc2ncccc2c1)c1cccc(OC)c1 ZINC000359019001 336653606 /nfs/dbraw/zinc/65/36/06/336653606.db2.gz OQPZCNUJDZZFFG-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CO)CCC(C)(C)C)c2ccsc2S1 ZINC000359071745 336656277 /nfs/dbraw/zinc/65/62/77/336656277.db2.gz QCGGOFKXEXZOMP-YRGRVCCFSA-N 0 3 313.532 4.450 20 0 DIADHN CC(C)(C)CC[C@@H](CO)N[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000359078530 336656727 /nfs/dbraw/zinc/65/67/27/336656727.db2.gz DCISSWUAXUMYDQ-RDJZCZTQSA-N 0 3 317.473 4.210 20 0 DIADHN CO[C@@H](CN[C@H]1CCCOc2cc(F)ccc21)c1ccccc1 ZINC000359225530 336663625 /nfs/dbraw/zinc/66/36/25/336663625.db2.gz LXNJCQVTSFMUHO-HKUYNNGSSA-N 0 3 315.388 4.017 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@H]1CCC(F)(F)C1 ZINC000359253221 336664558 /nfs/dbraw/zinc/66/45/58/336664558.db2.gz QPEQAUWGXZHVJO-HNNXBMFYSA-N 0 3 322.399 4.046 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCCc2cc(OC)ccc21 ZINC000359322549 336667722 /nfs/dbraw/zinc/66/77/22/336667722.db2.gz HNQOGLNHHIIXLI-VBKZILBWSA-N 0 3 310.441 4.381 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2NC[C@H]1CCCO1 ZINC000359384158 336670369 /nfs/dbraw/zinc/67/03/69/336670369.db2.gz VANIULBKROOTBY-PSASIEDQSA-N 0 3 306.258 4.201 20 0 DIADHN CC[C@H](NCCc1ccncc1C)c1cccc(Cl)c1F ZINC000359437424 336673037 /nfs/dbraw/zinc/67/30/37/336673037.db2.gz YLBFLGBGLDONFS-INIZCTEOSA-N 0 3 306.812 4.466 20 0 DIADHN c1cnn(Cc2ccc(CN[C@H]3CCCc4sccc43)cc2)c1 ZINC000359673225 336682799 /nfs/dbraw/zinc/68/27/99/336682799.db2.gz KYJBWSWRWXHUDX-SFHVURJKSA-N 0 3 323.465 4.160 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccc(-c2csc(C)n2)cc1 ZINC000359682651 336683247 /nfs/dbraw/zinc/68/32/47/336683247.db2.gz HFOFWTGAJQRGCD-YNEHKIRRSA-N 0 3 304.459 4.193 20 0 DIADHN CC(C)C[C@H](NCc1ccc(NC(N)=O)cc1)c1ccccc1 ZINC000359716799 336684886 /nfs/dbraw/zinc/68/48/86/336684886.db2.gz QYQHULKIUKDWRK-SFHVURJKSA-N 0 3 311.429 4.054 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1cccn1)c1ccc(C)cc1 ZINC000359764732 336686966 /nfs/dbraw/zinc/68/69/66/336686966.db2.gz FODMXISDQMKHNC-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN COC1(CN[C@H]2CCSc3c(F)cccc32)CCCCC1 ZINC000359960425 336695506 /nfs/dbraw/zinc/69/55/06/336695506.db2.gz XOENMFJIYKADKP-HNNXBMFYSA-N 0 3 309.450 4.302 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1ccc(C)nc1C ZINC000360131509 336702538 /nfs/dbraw/zinc/70/25/38/336702538.db2.gz MCSFSDZJNBJFHO-KSSFIOAISA-N 0 3 318.848 4.218 20 0 DIADHN Fc1cccc(F)c1CCN[C@H]1CCCOc2ccccc21 ZINC000360433435 336711550 /nfs/dbraw/zinc/71/15/50/336711550.db2.gz KFTLAQSMNNWRRQ-KRWDZBQOSA-N 0 3 303.352 4.011 20 0 DIADHN C[C@@H](NCCC(=O)N(C)c1ccccc1)c1ccc(Cl)s1 ZINC000360482576 336712613 /nfs/dbraw/zinc/71/26/13/336712613.db2.gz KDZKSTSQRNMFKH-GFCCVEGCSA-N 0 3 322.861 4.105 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1cc2cc(F)ccc2o1 ZINC000360506997 336713438 /nfs/dbraw/zinc/71/34/38/336713438.db2.gz IIBIWUNHLIZRJX-LBPRGKRZSA-N 0 3 317.335 4.268 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360589831 336715653 /nfs/dbraw/zinc/71/56/53/336715653.db2.gz XRSTZFWNTVAMHK-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360589832 336715655 /nfs/dbraw/zinc/71/56/55/336715655.db2.gz XRSTZFWNTVAMHK-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN O=C1CC[C@H](N[C@@H]2C[C@H]2C2CCCCC2)c2cc(F)ccc2N1 ZINC000360616361 336716191 /nfs/dbraw/zinc/71/61/91/336716191.db2.gz UJWFIOHTZFZODL-QILLFSRXSA-N 0 3 316.420 4.158 20 0 DIADHN C[C@H](CNCc1cc2cc(F)ccc2o1)N(C)c1ccccc1 ZINC000360866266 336724847 /nfs/dbraw/zinc/72/48/47/336724847.db2.gz YTZLRRLJWGNYED-CQSZACIVSA-N 0 3 312.388 4.186 20 0 DIADHN COCC[C@H](C)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360897283 336726315 /nfs/dbraw/zinc/72/63/15/336726315.db2.gz LOXJWCZRVVAVAY-WCBMZHEXSA-N 0 3 308.274 4.447 20 0 DIADHN Cc1nc([C@@H](C)NCCN2CCC3(CCCCC3)CC2)cs1 ZINC000360985320 336730806 /nfs/dbraw/zinc/73/08/06/336730806.db2.gz HFSDYNFXVUTEIN-OAHLLOKOSA-N 0 3 321.534 4.148 20 0 DIADHN COCCC[C@@H](Cc1ccccc1)N[C@H](C)c1csc(C)n1 ZINC000360995118 336731485 /nfs/dbraw/zinc/73/14/85/336731485.db2.gz HOPUVLQBCKBGRV-PBHICJAKSA-N 0 3 318.486 4.140 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H]1CCCc2ccc(O)cc21 ZINC000360997930 336731690 /nfs/dbraw/zinc/73/16/90/336731690.db2.gz OOPRLVNPVMBMJE-GJZGRUSLSA-N 0 3 301.352 4.336 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000361003187 336732275 /nfs/dbraw/zinc/73/22/75/336732275.db2.gz NMLSTFZZUQAKQV-KDOFPFPSSA-N 0 3 309.457 4.027 20 0 DIADHN CSc1ccc(CN2CCC(c3ccc(C)nc3)CC2)cc1 ZINC000361060110 336735949 /nfs/dbraw/zinc/73/59/49/336735949.db2.gz UNGUGIDZQYFGOP-UHFFFAOYSA-N 0 3 312.482 4.492 20 0 DIADHN Fc1ccccc1COC1CCN(Cc2ccsc2)CC1 ZINC000361127973 336739873 /nfs/dbraw/zinc/73/98/73/336739873.db2.gz YDYOECVAXIHZLZ-UHFFFAOYSA-N 0 3 305.418 4.068 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000361306913 336750025 /nfs/dbraw/zinc/75/00/25/336750025.db2.gz ZNKBNIAUUHABBW-HUUCEWRRSA-N 0 3 321.358 4.206 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC(n2cccn2)CC1 ZINC000361525361 336760903 /nfs/dbraw/zinc/76/09/03/336760903.db2.gz JDQKAKKBDCBGEQ-CYBMUJFWSA-N 0 3 323.362 4.300 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000361758482 336771701 /nfs/dbraw/zinc/77/17/01/336771701.db2.gz ZGHDJYHKBVRMLW-YEJXKQKISA-N 0 3 321.873 4.237 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccccc1-n1cccn1)c1ccncc1 ZINC000361763951 336772074 /nfs/dbraw/zinc/77/20/74/336772074.db2.gz JXKRFRJYGAVFLW-CRAIPNDOSA-N 0 3 306.413 4.069 20 0 DIADHN CCSCC[C@H](C)N[C@H](C)c1cc(OC)c(OC)cc1F ZINC000361816027 336774170 /nfs/dbraw/zinc/77/41/70/336774170.db2.gz WVRXHJJOFVUIGG-NWDGAFQWSA-N 0 3 315.454 4.025 20 0 DIADHN CC[C@@H](NCCc1nc(C)c(C)s1)c1cc(F)ccc1F ZINC000361948110 336779454 /nfs/dbraw/zinc/77/94/54/336779454.db2.gz XBNROKJJNQGCNX-OAHLLOKOSA-N 0 3 310.413 4.322 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@]2(C)CCCC[C@H]2O)c2ccsc2S1 ZINC000361966782 336780267 /nfs/dbraw/zinc/78/02/67/336780267.db2.gz OIWJPKKTUYCADN-UZMCECQYSA-N 0 3 311.516 4.204 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2ccc(C)cc2)c2ccccc21 ZINC000362034452 336782798 /nfs/dbraw/zinc/78/27/98/336782798.db2.gz XVSMPIXTWMYABG-KXBFYZLASA-N 0 3 308.425 4.144 20 0 DIADHN Fc1cccc(F)c1C1CCN(CC[C@H]2CCCCO2)CC1 ZINC000362582040 336805047 /nfs/dbraw/zinc/80/50/47/336805047.db2.gz UKJSESLHYUJBIK-OAHLLOKOSA-N 0 3 309.400 4.103 20 0 DIADHN c1cnn(-c2cccc(CN3CCC(c4ccsc4)CC3)c2)c1 ZINC000362658946 336808284 /nfs/dbraw/zinc/80/82/84/336808284.db2.gz UGXGZPNJAKVIQU-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN Cc1cccn2c(CN[C@@H](C)c3ccc(C4CC4)cc3)cnc12 ZINC000418115647 336822044 /nfs/dbraw/zinc/82/20/44/336822044.db2.gz POEGWWBFXQPZIW-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2cccc(C)c2C)c2ccccc21 ZINC000367548350 336824748 /nfs/dbraw/zinc/82/47/48/336824748.db2.gz BWBBXTJWFWSLED-JXFKEZNVSA-N 0 3 322.452 4.452 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCCc2nccs2)o1 ZINC000115614883 336825667 /nfs/dbraw/zinc/82/56/67/336825667.db2.gz LUWQDKXCHRUPKF-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](c2ccnn2C)C1)c1c(F)cccc1F ZINC000369576630 336867559 /nfs/dbraw/zinc/86/75/59/336867559.db2.gz DJTKDPQIWXOHIC-BFHYXJOUSA-N 0 3 319.399 4.075 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000369707399 336869938 /nfs/dbraw/zinc/86/99/38/336869938.db2.gz SKPHERDORIRQEF-NOLJZWGESA-N 0 3 301.821 4.035 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](c1cccnc1)C1CCC1)CCC2 ZINC000370209402 336879716 /nfs/dbraw/zinc/87/97/16/336879716.db2.gz HIDBIRVODUEFTG-IRXDYDNUSA-N 0 3 313.470 4.355 20 0 DIADHN C[C@H](NCc1ccc(Cl)nc1Cl)[C@H]1COc2ccccc21 ZINC000370599251 336888325 /nfs/dbraw/zinc/88/83/25/336888325.db2.gz WRFREDINSPDFPO-GXFFZTMASA-N 0 3 323.223 4.043 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CCOCC1)c1cncc(F)c1 ZINC000370619606 336888589 /nfs/dbraw/zinc/88/85/89/336888589.db2.gz CLZHJGDLHCHSSS-IFXJQAMLSA-N 0 3 314.404 4.039 20 0 DIADHN Fc1ccc(CN[C@H]2CCO[C@H](C3CC3)C2)c(Cl)c1Cl ZINC000370665297 336890271 /nfs/dbraw/zinc/89/02/71/336890271.db2.gz NZRCWDRFBGZGSP-AAEUAGOBSA-N 0 3 318.219 4.180 20 0 DIADHN Fc1ccc(CNC2(C3CC3)CCOCC2)c(Cl)c1Cl ZINC000370665169 336890273 /nfs/dbraw/zinc/89/02/73/336890273.db2.gz NTVAUODFNUEHPA-UHFFFAOYSA-N 0 3 318.219 4.181 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1sc(CC)nc1C)c1ccccc1 ZINC000193431524 336896777 /nfs/dbraw/zinc/89/67/77/336896777.db2.gz DDKBVRPHXJEYHV-XJKSGUPXSA-N 0 3 318.486 4.442 20 0 DIADHN C1=C(CCNCc2ccccc2OCc2cccnc2)CCC1 ZINC000120166616 336900199 /nfs/dbraw/zinc/90/01/99/336900199.db2.gz VCVFFRGPONLDGD-UHFFFAOYSA-N 0 3 308.425 4.251 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](c1ccccc1)C1CC1 ZINC000371422197 336903605 /nfs/dbraw/zinc/90/36/05/336903605.db2.gz DTKIVBLZZUVRDL-UYAOXDASSA-N 0 3 309.409 4.260 20 0 DIADHN Cc1ccc(C)c([C@@H](NCCc2cnccn2)c2ccccc2)c1 ZINC000389906340 336922665 /nfs/dbraw/zinc/92/26/65/336922665.db2.gz AJZXPLLVWIPOKM-NRFANRHFSA-N 0 3 317.436 4.015 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1ccc(F)cc1Cl)c1ccccc1 ZINC000007379159 336927086 /nfs/dbraw/zinc/92/70/86/336927086.db2.gz LDOWAYQNCDOZAY-NEPJUHHUSA-N 0 3 320.795 4.157 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc([S@@](C)=O)cc2)c(C)c1 ZINC000121222866 336936425 /nfs/dbraw/zinc/93/64/25/336936425.db2.gz AWQWFTCLWKTXAA-ZHRRBRCNSA-N 0 3 315.482 4.200 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000372897513 336942328 /nfs/dbraw/zinc/94/23/28/336942328.db2.gz NCHHCVAHGTXGFF-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000373167126 336950410 /nfs/dbraw/zinc/95/04/10/336950410.db2.gz VIJFOWXXTSBSIX-LESCRADOSA-N 0 3 301.455 4.485 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN1CCC2(CCO2)CC1 ZINC000374334109 336987368 /nfs/dbraw/zinc/98/73/68/336987368.db2.gz UTFUOANCOHCEJE-UHFFFAOYSA-N 0 3 323.436 4.117 20 0 DIADHN c1ccc([C@@H](NCc2cnc3ccccc3c2)[C@@H]2CCOC2)cc1 ZINC000374470429 336989941 /nfs/dbraw/zinc/98/99/41/336989941.db2.gz IZRDLWQALDSHDX-TZIWHRDSSA-N 0 3 318.420 4.102 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1cc(F)cc(F)c1 ZINC000374485253 336990314 /nfs/dbraw/zinc/99/03/14/336990314.db2.gz CYMYAHSNRFFUOM-ZUEVXXBESA-N 0 3 317.379 4.393 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H]2CCOC2)cc1 ZINC000374484251 336990329 /nfs/dbraw/zinc/99/03/29/336990329.db2.gz AAMSLFULQPAPLI-CVAIRZPRSA-N 0 3 311.425 4.124 20 0 DIADHN Cc1ccnc(C2CCN(c3cc(C)nc4ccccc43)CC2)n1 ZINC000374930596 336999625 /nfs/dbraw/zinc/99/96/25/336999625.db2.gz RUPHQWDUDOVGCK-UHFFFAOYSA-N 0 3 318.424 4.026 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2cnc3n2CCCC3)c2ccccc21 ZINC000375273220 337007083 /nfs/dbraw/zinc/00/70/83/337007083.db2.gz YLVJALZNHPAUQC-MOPGFXCFSA-N 0 3 309.457 4.194 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2cccc3[nH]ccc32)CC1 ZINC000375305470 337007539 /nfs/dbraw/zinc/00/75/39/337007539.db2.gz WVODRZCZUQJTDZ-UHFFFAOYSA-N 0 3 309.388 4.024 20 0 DIADHN COc1cccc([C@H](C)N2CCC(F)(c3cccnc3)CC2)c1 ZINC000375349787 337008716 /nfs/dbraw/zinc/00/87/16/337008716.db2.gz PNOZSFLSKKTXNO-HNNXBMFYSA-N 0 3 314.404 4.112 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCCCC2CCOCC2)o1 ZINC000375607294 337014368 /nfs/dbraw/zinc/01/43/68/337014368.db2.gz MAGNAXOMAJAGCU-GOSISDBHSA-N 0 3 321.461 4.160 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCC[C@@H]2NCc2ccno2)c1 ZINC000375690854 337016733 /nfs/dbraw/zinc/01/67/33/337016733.db2.gz RIBOXAGWSFKSML-CABCVRRESA-N 0 3 310.319 4.119 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2ccc3occc3c2)cn1 ZINC000375738534 337018407 /nfs/dbraw/zinc/01/84/07/337018407.db2.gz PBZUJRAITRDASR-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN c1c[nH]c([C@@H](N[C@H]2CCOC3(CCCC3)C2)C2CCCCC2)n1 ZINC000375788229 337019609 /nfs/dbraw/zinc/01/96/09/337019609.db2.gz QVKHLLUJMGSGGK-IRXDYDNUSA-N 0 3 317.477 4.113 20 0 DIADHN c1c[nH]c([C@H](N[C@H]2CCOC3(CCCC3)C2)C2CCCCC2)n1 ZINC000375788223 337019653 /nfs/dbraw/zinc/01/96/53/337019653.db2.gz QVKHLLUJMGSGGK-DLBZAZTESA-N 0 3 317.477 4.113 20 0 DIADHN Cc1ccccc1Cc1nnc(CN(CC(C)C)CC(C)C)o1 ZINC000122707972 337022221 /nfs/dbraw/zinc/02/22/21/337022221.db2.gz ZYLXGSFGEQDQSL-UHFFFAOYSA-N 0 3 315.461 4.083 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375890337 337022233 /nfs/dbraw/zinc/02/22/33/337022233.db2.gz RYCOSZRWFXQHLG-KURKYZTESA-N 0 3 319.493 4.214 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375890339 337022253 /nfs/dbraw/zinc/02/22/53/337022253.db2.gz RYCOSZRWFXQHLG-RCCFBDPRSA-N 0 3 319.493 4.214 20 0 DIADHN Cc1cc(CCN[C@@H]2CCCOc3ccc(C(C)C)cc32)on1 ZINC000376110494 337027305 /nfs/dbraw/zinc/02/73/05/337027305.db2.gz BPAGJJUIYWNGRY-GOSISDBHSA-N 0 3 314.429 4.152 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000376125884 337027900 /nfs/dbraw/zinc/02/79/00/337027900.db2.gz ACXYNMJEYUXCQO-HOTGVXAUSA-N 0 3 317.433 4.104 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCC[C@@H]2NCc2ccon2)cc1 ZINC000376180665 337029029 /nfs/dbraw/zinc/02/90/29/337029029.db2.gz ICLDQPZLXCKNRH-CABCVRRESA-N 0 3 310.319 4.119 20 0 DIADHN FC1(c2cccnc2)CCN(c2ccnc3ccccc32)CC1 ZINC000376469042 337034128 /nfs/dbraw/zinc/03/41/28/337034128.db2.gz YEAZSLZVIGNHHY-UHFFFAOYSA-N 0 3 307.372 4.095 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)NCc1ncoc1-c1ccccc1 ZINC000376629177 337038497 /nfs/dbraw/zinc/03/84/97/337038497.db2.gz VMJIYDLAWYBACR-OAHLLOKOSA-N 0 3 322.408 4.158 20 0 DIADHN Cc1ccncc1CCCN[C@H](c1ccco1)c1ccccc1 ZINC000377617525 337056440 /nfs/dbraw/zinc/05/64/40/337056440.db2.gz RYQUWGGIVYOSBE-FQEVSTJZSA-N 0 3 306.409 4.295 20 0 DIADHN Fc1cc(F)cc(C2CC(NCc3nc(C4CC4)cs3)C2)c1 ZINC000377646067 337057398 /nfs/dbraw/zinc/05/73/98/337057398.db2.gz HKSKUKOTQCWJAS-UHFFFAOYSA-N 0 3 320.408 4.335 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN[C@H](c3cccnc3)C3CCC3)O2)cc1 ZINC000377796514 337061435 /nfs/dbraw/zinc/06/14/35/337061435.db2.gz PJAOTCRQDVLTHU-HKBOAZHASA-N 0 3 322.452 4.433 20 0 DIADHN Cc1cn2c(n1)[C@H](CNCc1ccc(Cl)cc1Cl)CCC2 ZINC000377837780 337062302 /nfs/dbraw/zinc/06/23/02/337062302.db2.gz QWMVZTHSDITKED-ZDUSSCGKSA-N 0 3 324.255 4.166 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3ccccc3Cl)o2)C(C)(C)C1 ZINC000377933745 337064184 /nfs/dbraw/zinc/06/41/84/337064184.db2.gz WQNGTUHDANDLHL-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN Cc1noc(C)c1CN[C@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000378179380 337067733 /nfs/dbraw/zinc/06/77/33/337067733.db2.gz HUKIBKOYDQNDKX-JFUSQASVSA-N 0 3 310.850 4.288 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](c2ccccc2)[C@@H]2CCOC2)c1 ZINC000378391452 337071550 /nfs/dbraw/zinc/07/15/50/337071550.db2.gz DKXIQOCTHRWODL-CVAIRZPRSA-N 0 3 311.425 4.124 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2-c2cnn(C)c2)cc1 ZINC000378407513 337072061 /nfs/dbraw/zinc/07/20/61/337072061.db2.gz XGVOMSLJKHNFQL-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN COc1ccc([C@H](C)CNCc2ncoc2-c2ccccc2)cc1 ZINC000378450003 337073048 /nfs/dbraw/zinc/07/30/48/337073048.db2.gz GXNGLMSZMAPKKR-OAHLLOKOSA-N 0 3 322.408 4.244 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](C)c2cnn(C(C)C)c2C)C1(C)C ZINC000469037270 337079276 /nfs/dbraw/zinc/07/92/76/337079276.db2.gz SMGMFPREVRNMGW-ZTFGCOKTSA-N 0 3 321.509 4.407 20 0 DIADHN CSC1(CN[C@H](C)c2cc(C)ccc2OC(F)F)CC1 ZINC000193830127 337079443 /nfs/dbraw/zinc/07/94/43/337079443.db2.gz AUBOOVLFMBAUKR-LLVKDONJSA-N 0 3 301.402 4.143 20 0 DIADHN CSC1(CN[C@@H](C)c2ccc(Br)cc2F)CC1 ZINC000193822255 337080400 /nfs/dbraw/zinc/08/04/00/337080400.db2.gz GPPNNOUSWQBUCC-VIFPVBQESA-N 0 3 318.255 4.134 20 0 DIADHN COc1cc(CN(C)[C@H]2CCCc3nc(C)sc32)ccc1C ZINC000193952315 337080799 /nfs/dbraw/zinc/08/07/99/337080799.db2.gz XOBWADNVCNZSLP-INIZCTEOSA-N 0 3 316.470 4.278 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC1CC(c2ccccc2)C1 ZINC000582460701 337081933 /nfs/dbraw/zinc/08/19/33/337081933.db2.gz LLLKBRZXRWJGFC-UHFFFAOYSA-N 0 3 322.452 4.271 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CC2CCOCC2)c1 ZINC000172007479 337090935 /nfs/dbraw/zinc/09/09/35/337090935.db2.gz JPHBCMGIGYEAHX-KRWDZBQOSA-N 0 3 319.495 4.184 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2)[C@H]2CCCO2)ccc1C ZINC000194270200 337091586 /nfs/dbraw/zinc/09/15/86/337091586.db2.gz VFXQKHJLZLAEBJ-UYAOXDASSA-N 0 3 311.425 4.014 20 0 DIADHN CCCc1cc(NCc2cnc(C3CC3)o2)c2ccccc2n1 ZINC000582467664 337093741 /nfs/dbraw/zinc/09/37/41/337093741.db2.gz BQNLFRUYVQECLF-UHFFFAOYSA-N 0 3 307.397 4.087 20 0 DIADHN COc1ccccc1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000582491435 337098453 /nfs/dbraw/zinc/09/84/53/337098453.db2.gz APFIMIOXAJBGIU-SHTZXODSSA-N 0 3 301.817 4.384 20 0 DIADHN Cc1ccc(CCNCc2conc2Cc2ccccc2)c(C)c1 ZINC000584363848 337102030 /nfs/dbraw/zinc/10/20/30/337102030.db2.gz BMDNAXVLZFXZCB-UHFFFAOYSA-N 0 3 320.436 4.215 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000582573641 337107620 /nfs/dbraw/zinc/10/76/20/337107620.db2.gz RJFOMLVGWPVARO-AUUYWEPGSA-N 0 3 317.404 4.014 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](C)c1cc(F)cc(F)c1 ZINC000582636714 337116556 /nfs/dbraw/zinc/11/65/56/337116556.db2.gz PANRJNPOXDIUJA-ZDUSSCGKSA-N 0 3 304.384 4.297 20 0 DIADHN C[C@@H](N[C@H](CO)C1CCCC1)c1nc(-c2ccccc2)cs1 ZINC000582637031 337116665 /nfs/dbraw/zinc/11/66/65/337116665.db2.gz SOAGBCQVVBJYPT-CZUORRHYSA-N 0 3 316.470 4.012 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCc2ncc(C)cc2C)s1 ZINC000582663053 337117625 /nfs/dbraw/zinc/11/76/25/337117625.db2.gz POAMFLCFNMUJFU-CQSZACIVSA-N 0 3 303.475 4.007 20 0 DIADHN Cc1ccc2c(N[C@H](C)[C@@H](c3ccccc3)N(C)C)ccnc2n1 ZINC000582698463 337121621 /nfs/dbraw/zinc/12/16/21/337121621.db2.gz LIXNXBNVGYBOFA-BEFAXECRSA-N 0 3 320.440 4.042 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](CO)c2ccccc2)cc1 ZINC000582716125 337124462 /nfs/dbraw/zinc/12/44/62/337124462.db2.gz BQBIZDGLLHCQJA-JXFKEZNVSA-N 0 3 313.441 4.250 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@@H]1[C@H]1CCCO1 ZINC000582741218 337128307 /nfs/dbraw/zinc/12/83/07/337128307.db2.gz YTYBAVNINGGDHM-IAGOWNOFSA-N 0 3 311.828 4.055 20 0 DIADHN c1[nH]c2nccnc2c1CN[C@H](CC1CCCC1)c1ccccc1 ZINC000582757277 337135735 /nfs/dbraw/zinc/13/57/35/337135735.db2.gz GCFBYKPTUNPNAL-GOSISDBHSA-N 0 3 320.440 4.321 20 0 DIADHN c1cnn(-c2cccc(CNCc3ccc(C4CC4)cc3)c2)c1 ZINC000582760475 337137298 /nfs/dbraw/zinc/13/72/98/337137298.db2.gz VMSQVBYGYFCDOM-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN Cc1cc(C)c(C(=O)Nc2cccc(CN3CCCCC3)c2)o1 ZINC000175545661 337147920 /nfs/dbraw/zinc/14/79/20/337147920.db2.gz UTPOJGVZVHBCSY-UHFFFAOYSA-N 0 3 312.413 4.135 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1-n1cccn1)c1cccc(F)c1 ZINC000177179215 337154569 /nfs/dbraw/zinc/15/45/69/337154569.db2.gz QRMBFCDDJMDKHW-HUUCEWRRSA-N 0 3 309.388 4.423 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000178050359 337160068 /nfs/dbraw/zinc/16/00/68/337160068.db2.gz AFVWFFDGWIHVML-CXAGYDPISA-N 0 3 315.388 4.399 20 0 DIADHN CCOCCCCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000178241405 337161533 /nfs/dbraw/zinc/16/15/33/337161533.db2.gz IPKKMSKIPIGGRC-AWEZNQCLSA-N 0 3 304.459 4.277 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(F)cc1)c1ccc2c(c1)OCCO2 ZINC000178251536 337161713 /nfs/dbraw/zinc/16/17/13/337161713.db2.gz AIBJUPFWXGFXAW-DYVFJYSZSA-N 0 3 315.388 4.399 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H]2CCCN(c3ccccc3)C2)s1 ZINC000178290852 337162153 /nfs/dbraw/zinc/16/21/53/337162153.db2.gz OAPLKKMHOYRODL-ZBFHGGJFSA-N 0 3 315.486 4.080 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCCN(c3ccccc3)C2)s1 ZINC000178290839 337162186 /nfs/dbraw/zinc/16/21/86/337162186.db2.gz OAPLKKMHOYRODL-GOEBONIOSA-N 0 3 315.486 4.080 20 0 DIADHN Cc1nc(CCN[C@H](C)c2ccc3ccccc3n2)sc1C ZINC000178515936 337163356 /nfs/dbraw/zinc/16/33/56/337163356.db2.gz BAEMWOJOYJJCSC-CYBMUJFWSA-N 0 3 311.454 4.201 20 0 DIADHN CCCC[C@H](N[C@H](C)c1cn(C)nc1C)c1ccc(OC)cc1 ZINC000178615751 337165635 /nfs/dbraw/zinc/16/56/35/337165635.db2.gz BIEWBCZTKXYMGV-KUHUBIRLSA-N 0 3 315.461 4.319 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2ccsc2)cc1F ZINC000178625768 337165658 /nfs/dbraw/zinc/16/56/58/337165658.db2.gz TWQKICFOPYMZPW-GFCCVEGCSA-N 0 3 315.417 4.232 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1cccs1 ZINC000178709732 337166270 /nfs/dbraw/zinc/16/62/70/337166270.db2.gz AYIXMURMAGTNSD-URFZZILJSA-N 0 3 307.484 4.287 20 0 DIADHN N[C@@H](CC(=O)N[C@@H](c1ccccc1)C1CCCC1)c1ccccc1 ZINC000178811023 337167180 /nfs/dbraw/zinc/16/71/80/337167180.db2.gz MYAVNJLZRVMYTQ-FPOVZHCZSA-N 0 3 322.452 4.124 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NCCc1cscn1 ZINC000179114260 337167947 /nfs/dbraw/zinc/16/79/47/337167947.db2.gz PHAJGWZKRHJNGP-HNNXBMFYSA-N 0 3 322.861 4.151 20 0 DIADHN CC[C@@H](NCCCc1nc(C(C)C)no1)c1ccccc1F ZINC000180411661 337174140 /nfs/dbraw/zinc/17/41/40/337174140.db2.gz RIUDFZCVVOMKBJ-OAHLLOKOSA-N 0 3 305.397 4.006 20 0 DIADHN CC[C@@H](NCc1cn(C)nc1-c1ccccc1)c1ccc(F)cc1 ZINC000180230940 337175360 /nfs/dbraw/zinc/17/53/60/337175360.db2.gz BPSJFPLXUQSBOM-LJQANCHMSA-N 0 3 323.415 4.467 20 0 DIADHN CC[C@H](NCc1cn(C)nc1-c1ccccc1)c1ccc(F)cc1 ZINC000180230924 337175392 /nfs/dbraw/zinc/17/53/92/337175392.db2.gz BPSJFPLXUQSBOM-IBGZPJMESA-N 0 3 323.415 4.467 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1ccnc1)c1ccccc1F ZINC000180224149 337175461 /nfs/dbraw/zinc/17/54/61/337175461.db2.gz XFKSZYQBZNXNIE-GOSISDBHSA-N 0 3 309.388 4.252 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@H](C)c1nccs1)CC3 ZINC000180491220 337175935 /nfs/dbraw/zinc/17/59/35/337175935.db2.gz YSCAKLIRACEXNO-OLZOCXBDSA-N 0 3 311.454 4.141 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccccc1F ZINC000180548743 337176299 /nfs/dbraw/zinc/17/62/99/337176299.db2.gz FPRMJQUGQJSDST-LJQANCHMSA-N 0 3 318.411 4.267 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000180670601 337177028 /nfs/dbraw/zinc/17/70/28/337177028.db2.gz YKDBXVKLJFLBGB-KBXCAEBGSA-N 0 3 315.388 4.171 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000180669199 337177083 /nfs/dbraw/zinc/17/70/83/337177083.db2.gz WIDLDZIYINWXHC-APWZRJJASA-N 0 3 311.425 4.340 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)N[C@H](CCO)c1ccco1 ZINC000469780823 337184220 /nfs/dbraw/zinc/18/42/20/337184220.db2.gz QTGIGYJCAQSYOX-WCQYABFASA-N 0 3 313.850 4.029 20 0 DIADHN Cc1cncc(CN[C@@H](C)[C@@H](C)c2nc3ccccc3s2)c1 ZINC000181834429 337184816 /nfs/dbraw/zinc/18/48/16/337184816.db2.gz NFDUUNBIZDKEAH-KGLIPLIRSA-N 0 3 311.454 4.282 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2cccc(Cl)c2)cc1 ZINC000181907687 337185273 /nfs/dbraw/zinc/18/52/73/337185273.db2.gz HVSUJKATEHHUCF-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN Cn1cc(CN2CC3(CCC3)[C@@H]2C2CC2)c(C2CCCCC2)n1 ZINC000582817393 337196342 /nfs/dbraw/zinc/19/63/42/337196342.db2.gz OFDHNFFKQHKCOY-IBGZPJMESA-N 0 3 313.489 4.232 20 0 DIADHN Cc1cncc([C@@H](C)NCc2cccc(-c3ccccn3)c2)c1 ZINC000184419314 337197230 /nfs/dbraw/zinc/19/72/30/337197230.db2.gz DMGSWZAQLVGHET-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2cncc(C)c2)cc1 ZINC000184384538 337198152 /nfs/dbraw/zinc/19/81/52/337198152.db2.gz BGXDEQHZKYDVPZ-JKSUJKDBSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccc1)c1nc2ccccc2n1C ZINC000321102349 337198425 /nfs/dbraw/zinc/19/84/25/337198425.db2.gz XCFIGEXWHGOBBQ-HNNXBMFYSA-N 0 3 307.441 4.202 20 0 DIADHN CC(=O)Nc1cccc(CNc2c(C)cnc3c(C)cccc32)c1 ZINC000185273334 337203921 /nfs/dbraw/zinc/20/39/21/337203921.db2.gz MTVNIYAUXJARBR-UHFFFAOYSA-N 0 3 319.408 4.422 20 0 DIADHN FC(F)OC[C@@H]1CCN(Cc2cccc(-c3ccccc3)c2)C1 ZINC000582991931 337223091 /nfs/dbraw/zinc/22/30/91/337223091.db2.gz LDJJRLKTTIHFIF-MRXNPFEDSA-N 0 3 317.379 4.415 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(C(C)(F)F)cc1 ZINC000583001227 337227363 /nfs/dbraw/zinc/22/73/63/337227363.db2.gz JPGWHYQPLXPKLE-UHFFFAOYSA-N 0 3 318.367 4.112 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](C)[C@H](C)C1)c1ncc(Br)s1 ZINC000583171145 337250547 /nfs/dbraw/zinc/25/05/47/337250547.db2.gz FOMZLRYQJYAHNY-ZRUFSTJUSA-N 0 3 317.296 4.381 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc(-c3ccc(C)cc3)no2)C1 ZINC000429292232 337251826 /nfs/dbraw/zinc/25/18/26/337251826.db2.gz WNDIBJPPRSVYLI-OAHLLOKOSA-N 0 3 316.470 4.225 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)[C@@H]1CCCOC1 ZINC000583171963 337252095 /nfs/dbraw/zinc/25/20/95/337252095.db2.gz NANSHKMRKHQOCL-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN COc1ccc2ccccc2c1CNCC1(O)CCCCCC1 ZINC000321258435 337252567 /nfs/dbraw/zinc/25/25/67/337252567.db2.gz XZUFXUZBXNQWSY-UHFFFAOYSA-N 0 3 313.441 4.023 20 0 DIADHN CSc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000186439491 337256248 /nfs/dbraw/zinc/25/62/48/337256248.db2.gz XVWWOQCGYNAAGK-IBGZPJMESA-N 0 3 311.450 4.040 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000397298131 337259666 /nfs/dbraw/zinc/25/96/66/337259666.db2.gz ZUSXSMPMNCDDCD-RMLUDKJBSA-N 0 3 306.208 4.208 20 0 DIADHN COC[C@H](N[C@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000397298130 337259730 /nfs/dbraw/zinc/25/97/30/337259730.db2.gz ZUSXSMPMNCDDCD-CLAHSXSESA-N 0 3 306.208 4.208 20 0 DIADHN COc1cccc2cc([C@@H](C)NCCOc3ccccc3)oc21 ZINC000321419622 337263533 /nfs/dbraw/zinc/26/35/33/337263533.db2.gz CIGGVJKBUVCDQC-CQSZACIVSA-N 0 3 311.381 4.171 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cccc3c2OC(C)(C)C3)C1 ZINC000530359020 337265044 /nfs/dbraw/zinc/26/50/44/337265044.db2.gz HPASARZRKCEYON-BEFAXECRSA-N 0 3 322.452 4.378 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2ccc(C)nc2C)cc1 ZINC000187439740 337266043 /nfs/dbraw/zinc/26/60/43/337266043.db2.gz OHWAYGSGCCFZLW-HNNXBMFYSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@H](NCCC(C)(F)F)c1ccc(Br)cc1F ZINC000393673248 337266401 /nfs/dbraw/zinc/26/64/01/337266401.db2.gz QZKSOKAEKFGVJF-QMMMGPOBSA-N 0 3 310.157 4.284 20 0 DIADHN CCCOc1ccc(CNCc2sc(C)nc2C)c(C)c1 ZINC000188791849 337275056 /nfs/dbraw/zinc/27/50/56/337275056.db2.gz KMTKHIIWQMIEIN-UHFFFAOYSA-N 0 3 304.459 4.147 20 0 DIADHN Cc1cccc2nc(C3CCN(Cc4cccc(O)c4)CC3)oc21 ZINC000188886132 337275925 /nfs/dbraw/zinc/27/59/25/337275925.db2.gz AGFMWXJPUSERQE-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN CC(C(=O)Nc1ccccc1C(=O)c1ccccc1)C(F)(F)F ZINC000499132511 337276449 /nfs/dbraw/zinc/27/64/49/337276449.db2.gz VUSYMDDLOWVKNF-LLVKDONJSA-N 0 3 321.298 4.055 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@H]1CCC[C@H](C)C1 ZINC000321568008 337277577 /nfs/dbraw/zinc/27/75/77/337277577.db2.gz HGKRUWXGCLBJGD-LSDHHAIUSA-N 0 3 321.461 4.018 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCOc3c(C)cccc31)CC2 ZINC000189379753 337279085 /nfs/dbraw/zinc/27/90/85/337279085.db2.gz KDAACMDBRDPZJU-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN COC1(CNCc2cscc2C(F)(F)F)CCCCC1 ZINC000583232865 337281371 /nfs/dbraw/zinc/28/13/71/337281371.db2.gz HGEXYCZCUQCTKG-UHFFFAOYSA-N 0 3 307.381 4.206 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@H]4CCCC[C@@H]43)nn2)cc1 ZINC000498956632 337286540 /nfs/dbraw/zinc/28/65/40/337286540.db2.gz OZJNOTWUOAHHRU-APWZRJJASA-N 0 3 323.440 4.424 20 0 DIADHN C[C@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C[C@H](C)C1 ZINC000498956706 337286578 /nfs/dbraw/zinc/28/65/78/337286578.db2.gz MCWPIOAZIXBLJY-JKSUJKDBSA-N 0 3 311.429 4.137 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)CC)nc1)c1ccc(Cl)cc1 ZINC000190740595 337290553 /nfs/dbraw/zinc/29/05/53/337290553.db2.gz SNUGFUXVJQFGIY-QGZVFWFLSA-N 0 3 317.864 4.432 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC[C@@H](c1ccco1)N1CCCC1)CC2 ZINC000321779989 337290897 /nfs/dbraw/zinc/29/08/97/337290897.db2.gz RIPYCTDDANWYPO-OALUTQOASA-N 0 3 310.441 4.002 20 0 DIADHN CC[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1c(C)noc1C ZINC000191019480 337293106 /nfs/dbraw/zinc/29/31/06/337293106.db2.gz AEAWZNJOXWNFPC-HOTGVXAUSA-N 0 3 306.450 4.214 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2NCC[C@@H](C)c1ccccc1 ZINC000191109002 337294164 /nfs/dbraw/zinc/29/41/64/337294164.db2.gz ILSROHNAAMEGHC-WBVHZDCISA-N 0 3 312.461 4.020 20 0 DIADHN Fc1cc2cccnc2c(CN2CCC(c3ccncc3)CC2)c1 ZINC000191610513 337297863 /nfs/dbraw/zinc/29/78/63/337297863.db2.gz UIWUMTMNSBBTSW-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN c1ccc(CN2CCC(c3ccnc4ccccc43)CC2)nc1 ZINC000583271675 337297897 /nfs/dbraw/zinc/29/78/97/337297897.db2.gz LSQVAWPSJKIFOX-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN COCCC(C)(C)NCc1cc(Br)ccc1Cl ZINC000397914901 337300700 /nfs/dbraw/zinc/30/07/00/337300700.db2.gz MQGVEDFPVNYJRX-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CCC[C@]1(CO)CCN(c2c(C)c(CC)nc3ccccc32)C1 ZINC000583284616 337305944 /nfs/dbraw/zinc/30/59/44/337305944.db2.gz LXRIGOOHSHPDEG-FQEVSTJZSA-N 0 3 312.457 4.095 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000525951731 337308211 /nfs/dbraw/zinc/30/82/11/337308211.db2.gz BRTONMZOPNZGIC-KBPBESRZSA-N 0 3 318.486 4.247 20 0 DIADHN CCCN(CCC)CC(=O)N(C)[C@@H](C)c1cc2ccccc2o1 ZINC000532921159 337309339 /nfs/dbraw/zinc/30/93/39/337309339.db2.gz ZGSRSGKRNRVREF-HNNXBMFYSA-N 0 3 316.445 4.074 20 0 DIADHN COCC[C@H](NC1CC(c2cc(F)cc(F)c2)C1)c1ccco1 ZINC000583719882 337317712 /nfs/dbraw/zinc/31/77/12/337317712.db2.gz HDTPVJPOWMFITJ-IMRCBTMISA-N 0 3 321.367 4.171 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1coc2ccccc12 ZINC000583743480 337328331 /nfs/dbraw/zinc/32/83/31/337328331.db2.gz RPLDNKJXGFYHPV-MRXNPFEDSA-N 0 3 311.356 4.358 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@H](CC2CC2)C1 ZINC000583766267 337331881 /nfs/dbraw/zinc/33/18/81/337331881.db2.gz AFJRGQCSIXJNEG-NVXWUHKLSA-N 0 3 314.473 4.259 20 0 DIADHN CCC(CC)n1ccc(CN[C@H](Cc2ccccc2)C2CC2)n1 ZINC000583838972 337340781 /nfs/dbraw/zinc/34/07/81/337340781.db2.gz NVVHLOHKSRHFKW-HXUWFJFHSA-N 0 3 311.473 4.355 20 0 DIADHN CCCN(Cc1cn(C)nc1C(F)F)Cc1ccc(C)cc1 ZINC000583846201 337342929 /nfs/dbraw/zinc/34/29/29/337342929.db2.gz BFOCMUSBHSMSPM-UHFFFAOYSA-N 0 3 307.388 4.078 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1cc2cc(F)ccc2o1 ZINC000584499663 337350609 /nfs/dbraw/zinc/35/06/09/337350609.db2.gz WRTVRSJLSGENNF-UHFFFAOYSA-N 0 3 312.388 4.343 20 0 DIADHN CN(C)CCN(Cc1cccs1)Cc1sccc1Cl ZINC000584557134 337360851 /nfs/dbraw/zinc/36/08/51/337360851.db2.gz LFXYRXKLSUXWDQ-UHFFFAOYSA-N 0 3 314.907 4.027 20 0 DIADHN Cc1cc([C@@H](C)NC[C@]2(C)OCCc3sccc32)oc1C ZINC000584559418 337361201 /nfs/dbraw/zinc/36/12/01/337361201.db2.gz PMBYEKSVXHQWJO-PXAZEXFGSA-N 0 3 305.443 4.097 20 0 DIADHN C[C@H](Nc1cccc(C(F)(F)F)n1)[C@H](c1ccccc1)N(C)C ZINC000583904590 337383818 /nfs/dbraw/zinc/38/38/18/337383818.db2.gz CWPCCGJYTMXMGC-BLLLJJGKSA-N 0 3 323.362 4.204 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc1 ZINC000583887979 337384169 /nfs/dbraw/zinc/38/41/69/337384169.db2.gz MTCZXMNMZFJVCL-AWEZNQCLSA-N 0 3 309.413 4.203 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2NCc2ccc(Cl)cc2)cc1F ZINC000583889869 337384357 /nfs/dbraw/zinc/38/43/57/337384357.db2.gz FLMZCOMWAQYLFZ-SJORKVTESA-N 0 3 323.770 4.238 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N(C)[C@@H](C)c1cc2ccccc2o1 ZINC000532923457 337385157 /nfs/dbraw/zinc/38/51/57/337385157.db2.gz WDBVXFIQRZRNBM-CABCVRRESA-N 0 3 316.445 4.073 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C(=O)C[C@H](N)c2ccccc2)cc1C ZINC000118953092 337394245 /nfs/dbraw/zinc/39/42/45/337394245.db2.gz VQAOMQZURUJWOI-PMACEKPBSA-N 0 3 322.452 4.057 20 0 DIADHN C[C@@H](NCCOc1ccc(F)cc1)c1cnc2ccsc2c1 ZINC000536804873 337394680 /nfs/dbraw/zinc/39/46/80/337394680.db2.gz FGAYNFSHHZZLMA-GFCCVEGCSA-N 0 3 316.401 4.165 20 0 DIADHN Brc1ccc(CNC2CC(c3ccccc3)C2)o1 ZINC000188742105 337429419 /nfs/dbraw/zinc/42/94/19/337429419.db2.gz VXFQNJBBYBPWDI-UHFFFAOYSA-N 0 3 306.203 4.078 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)NC2CCC(C)CC2)c(C)s1 ZINC000195585596 337468346 /nfs/dbraw/zinc/46/83/46/337468346.db2.gz JNEXAZFNWHDKPT-SLTLEMIRSA-N 0 3 322.518 4.099 20 0 DIADHN Cc1nc2ccccc2c(N2CCC(OC3CCC3)CC2)c1C ZINC000418973213 337473414 /nfs/dbraw/zinc/47/34/14/337473414.db2.gz OPZAQLVUBZPFDB-UHFFFAOYSA-N 0 3 310.441 4.390 20 0 DIADHN COC1(C(F)(F)F)CCN([C@H]2CCCc3ccccc32)CC1 ZINC000419338166 337480186 /nfs/dbraw/zinc/48/01/86/337480186.db2.gz WMYUAMKJZQDIEQ-HNNXBMFYSA-N 0 3 313.363 4.107 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000419365517 337481128 /nfs/dbraw/zinc/48/11/28/337481128.db2.gz YAZSXVTXLJWKTH-HNNXBMFYSA-N 0 3 308.425 4.162 20 0 DIADHN C[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccc(C2CC2)cc1 ZINC000419329655 337481595 /nfs/dbraw/zinc/48/15/95/337481595.db2.gz BEWZMFIALBLVNN-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1c([C@H](C)NCc2ccc(Cl)c3ccccc23)cnn1C ZINC000420933815 337516707 /nfs/dbraw/zinc/51/67/07/337516707.db2.gz INECJJIDOBQGIW-LBPRGKRZSA-N 0 3 313.832 4.386 20 0 DIADHN c1cn(Cc2cccc(CNCc3cccc(C4CC4)c3)c2)cn1 ZINC000421336291 337527120 /nfs/dbraw/zinc/52/71/20/337527120.db2.gz VZGBCOWMBAQHAA-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN C[C@H](NCc1cccc(C2CC2)c1)c1ccc([S@](C)=O)cc1 ZINC000421342435 337527563 /nfs/dbraw/zinc/52/75/63/337527563.db2.gz NLHGZDVHRUYDJM-FPTDNZKUSA-N 0 3 313.466 4.152 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H](CC(F)F)c1ccccc1 ZINC000421374033 337528334 /nfs/dbraw/zinc/52/83/34/337528334.db2.gz OPWWQUHYINEFPX-ROUUACIJSA-N 0 3 319.395 4.230 20 0 DIADHN COc1cc(CN[C@H](CC(F)F)c2ccccc2)ccc1C ZINC000421375541 337528616 /nfs/dbraw/zinc/52/86/16/337528616.db2.gz QHNSMYBBPZTRAB-MRXNPFEDSA-N 0 3 305.368 4.490 20 0 DIADHN CC[C@@H]1C[C@H](NCc2csc(-c3ccc(C)cc3)n2)CCO1 ZINC000421383885 337529527 /nfs/dbraw/zinc/52/95/27/337529527.db2.gz MFFSYWJDOBYKJY-NVXWUHKLSA-N 0 3 316.470 4.166 20 0 DIADHN CC[C@H]1C[C@H](NCc2ccc(-c3cccc(F)c3F)o2)CCO1 ZINC000421385015 337529853 /nfs/dbraw/zinc/52/98/53/337529853.db2.gz MVOJBPFVIRMBEN-OLZOCXBDSA-N 0 3 321.367 4.272 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc3ccccc3c2)o1 ZINC000069064009 337545393 /nfs/dbraw/zinc/54/53/93/337545393.db2.gz OBNCWVGNURCPRC-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN CC1(C2CC2)CCN(Cc2cnc(-c3ccccc3)nc2)CC1 ZINC000564133114 337560297 /nfs/dbraw/zinc/56/02/97/337560297.db2.gz VFBVMPROMVPRRG-UHFFFAOYSA-N 0 3 307.441 4.156 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCOc3c(F)cccc31)[C@H](C)C2 ZINC000525961733 337574654 /nfs/dbraw/zinc/57/46/54/337574654.db2.gz GXSGJMDEXDCADT-ZNZDAUKMSA-N 0 3 311.400 4.481 20 0 DIADHN FC(F)(F)C1CC(NCc2csc(Cl)c2Cl)C1 ZINC000571954736 337583154 /nfs/dbraw/zinc/58/31/54/337583154.db2.gz NJUFBURGUDCSOO-UHFFFAOYSA-N 0 3 304.164 4.485 20 0 DIADHN Cc1nsc(C)c1CN[C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000572011346 337586321 /nfs/dbraw/zinc/58/63/21/337586321.db2.gz FDFVMZQCNCWMBH-KRWDZBQOSA-N 0 3 304.434 4.448 20 0 DIADHN CCOCCN[C@@H]1CCCc2nc(-c3ccc(C)cc3)sc21 ZINC000161899952 337599348 /nfs/dbraw/zinc/59/93/48/337599348.db2.gz UOQMFDKRDQFPBL-OAHLLOKOSA-N 0 3 316.470 4.122 20 0 DIADHN Nc1cccc(CN2CCC(c3nc4ccccc4s3)CC2)c1 ZINC000261768214 337610079 /nfs/dbraw/zinc/61/00/79/337610079.db2.gz YQIHCKQBBCIQND-UHFFFAOYSA-N 0 3 323.465 4.258 20 0 DIADHN Cc1cc(CNCc2cccs2)sc1Br ZINC000087018192 337611295 /nfs/dbraw/zinc/61/12/95/337611295.db2.gz JKOYRSDOIINCCI-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC(C3CCOCC3)CC1)CC2 ZINC000572350976 337612788 /nfs/dbraw/zinc/61/27/88/337612788.db2.gz BTLKMWHOUZXPPR-IBGZPJMESA-N 0 3 319.876 4.466 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCc4ccc(F)cc43)ccc2n1 ZINC000527111296 337631807 /nfs/dbraw/zinc/63/18/07/337631807.db2.gz GXLVLZPIJYEDOM-FQEVSTJZSA-N 0 3 306.384 4.459 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CCC[C@H]2c2ccccc2)cs1 ZINC000269116166 337636192 /nfs/dbraw/zinc/63/61/92/337636192.db2.gz WPHOJSNNPWPATH-COXVUDFISA-N 0 3 316.470 4.276 20 0 DIADHN CCCc1[nH]ccc1C(=O)Nc1cccc(CN(CC)CC)c1 ZINC000421741368 337638985 /nfs/dbraw/zinc/63/89/85/337638985.db2.gz BSCWSPXNNIJIMC-UHFFFAOYSA-N 0 3 313.445 4.061 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C)s2)C2CC2)c(F)c1 ZINC000421821244 337648978 /nfs/dbraw/zinc/64/89/78/337648978.db2.gz QJANERVLCPVHHW-KRWDZBQOSA-N 0 3 305.418 4.445 20 0 DIADHN COC1([C@@H](C)NCc2ccc(-c3csc(C)n3)o2)CCCC1 ZINC000421821258 337648982 /nfs/dbraw/zinc/64/89/82/337648982.db2.gz QLIZROAZEMRXPJ-GFCCVEGCSA-N 0 3 320.458 4.149 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NCCCC1(O)CCC1 ZINC000421852129 337649870 /nfs/dbraw/zinc/64/98/70/337649870.db2.gz GWQWXFHSGNSMBB-INIZCTEOSA-N 0 3 323.864 4.147 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCCC3(O)CCC3)oc21 ZINC000421852947 337649911 /nfs/dbraw/zinc/64/99/11/337649911.db2.gz IGPQRUQJVPLAQS-CQSZACIVSA-N 0 3 317.429 4.177 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCCC2(F)F)C2CC2)c(F)c1 ZINC000421856557 337650534 /nfs/dbraw/zinc/65/05/34/337650534.db2.gz OHSXXSOFWHPQAR-BLLLJJGKSA-N 0 3 313.363 4.310 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccc(F)cc2OC(F)F)CCO1 ZINC000421859242 337651097 /nfs/dbraw/zinc/65/10/97/337651097.db2.gz QMXMCBSKOBJLIE-CYZMBNFOSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1cccc2c(CCN[C@H](C)c3ccncc3Cl)c[nH]c21 ZINC000421832096 337651541 /nfs/dbraw/zinc/65/15/41/337651541.db2.gz MIUNHHDBANNCKW-CYBMUJFWSA-N 0 3 313.832 4.418 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N[C@@H](C)c1cncc(F)c1 ZINC000421836787 337652281 /nfs/dbraw/zinc/65/22/81/337652281.db2.gz HPSLSOXIUULDEI-QWRGUYRKSA-N 0 3 310.775 4.295 20 0 DIADHN C[C@H](NC[C@H]1CCCO[C@H]1C(C)(C)C)c1ccncc1Cl ZINC000421837578 337652650 /nfs/dbraw/zinc/65/26/50/337652650.db2.gz SSNKASVSMZDKHL-WOSRLPQWSA-N 0 3 310.869 4.227 20 0 DIADHN COC1([C@H](C)NCc2ncoc2-c2ccccc2)CCCCC1 ZINC000421845711 337654203 /nfs/dbraw/zinc/65/42/03/337654203.db2.gz QTPNFELYOPJXNP-HNNXBMFYSA-N 0 3 314.429 4.169 20 0 DIADHN CCOc1cccc(CCN[C@H](C)c2ccncc2Cl)c1 ZINC000421845722 337654261 /nfs/dbraw/zinc/65/42/61/337654261.db2.gz QUDJNLPVOROXQM-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN COC1([C@@H](C)NCc2ncoc2-c2ccccc2)CCCCC1 ZINC000421845712 337654300 /nfs/dbraw/zinc/65/43/00/337654300.db2.gz QTPNFELYOPJXNP-OAHLLOKOSA-N 0 3 314.429 4.169 20 0 DIADHN CON1CCC(N[C@H](c2ccccc2)c2cc(C)ccc2C)CC1 ZINC000421881879 337658682 /nfs/dbraw/zinc/65/86/82/337658682.db2.gz RONSIGRMQRSQEL-OAQYLSRUSA-N 0 3 324.468 4.008 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)Nc1ccc2nc(C3CC3)oc2c1 ZINC000422957357 337694918 /nfs/dbraw/zinc/69/49/18/337694918.db2.gz SXCHICNHFGHLCS-NSHDSACASA-N 0 3 322.412 4.040 20 0 DIADHN C[C@H](NC[C@H](N)c1ccccc1)c1cc(Cl)sc1Cl ZINC000423241890 337706755 /nfs/dbraw/zinc/70/67/55/337706755.db2.gz LSCYWYJBJSTALX-CABZTGNLSA-N 0 3 315.269 4.406 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000423212248 337704422 /nfs/dbraw/zinc/70/44/22/337704422.db2.gz ZUDVRBKCHNQXLM-TXPKVOOTSA-N 0 3 324.468 4.021 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H]2CCCc3cc(N)ccc32)cc1 ZINC000423353319 337713850 /nfs/dbraw/zinc/71/38/50/337713850.db2.gz LRWFMVBDZUPDLS-VLIAUNLRSA-N 0 3 310.441 4.396 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@H]1CCc2c1c(F)ccc2F ZINC000423358751 337714173 /nfs/dbraw/zinc/71/41/73/337714173.db2.gz UGQGCIKCVNMBEW-ROUUACIJSA-N 0 3 314.379 4.202 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cccc3c2CCCN3)s1 ZINC000423412991 337716304 /nfs/dbraw/zinc/71/63/04/337716304.db2.gz JCYKMVHMUCIWTR-LBPRGKRZSA-N 0 3 315.486 4.223 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cccc3c1CCCN3)CC2 ZINC000423418932 337716714 /nfs/dbraw/zinc/71/67/14/337716714.db2.gz VHTFGVSNHHYPHY-LJQANCHMSA-N 0 3 312.844 4.475 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)CNC2)c1cccc(Cl)c1F ZINC000423374976 337717401 /nfs/dbraw/zinc/71/74/01/337717401.db2.gz ILVJXCDUWSTQEI-QGZVFWFLSA-N 0 3 318.823 4.323 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc3c(c2)CNC3)c2ccsc2S1 ZINC000423388243 337718152 /nfs/dbraw/zinc/71/81/52/337718152.db2.gz NJAVPXCLIHYTQV-ZBEGNZNMSA-N 0 3 316.495 4.067 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CN)c2ccc3ccccc3c2)c(C)o1 ZINC000423389811 337718181 /nfs/dbraw/zinc/71/81/81/337718181.db2.gz JTWXKMDJPAUFDP-JLTOFOAXSA-N 0 3 308.425 4.400 20 0 DIADHN c1cncc([C@H](NCc2ccc3c(c2)CCCN3)C2CCC2)c1 ZINC000423439689 337719255 /nfs/dbraw/zinc/71/92/55/337719255.db2.gz HYEZLZCPHBIZHA-HXUWFJFHSA-N 0 3 307.441 4.071 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCN2)c1ccc2ccccc2n1 ZINC000423447084 337719821 /nfs/dbraw/zinc/71/98/21/337719821.db2.gz OVNGLMMIOMSXMH-OAHLLOKOSA-N 0 3 317.436 4.444 20 0 DIADHN c1ccc2c(c1)NCCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423454455 337720271 /nfs/dbraw/zinc/72/02/71/337720271.db2.gz ZANJLQFBTJNERW-HXUWFJFHSA-N 0 3 307.441 4.081 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCOc3cc(C)c(C)cc32)c1 ZINC000423470183 337721102 /nfs/dbraw/zinc/72/11/02/337721102.db2.gz CYYVDSUZWBLHAG-LJQANCHMSA-N 0 3 310.441 4.198 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2ccccc2OC(F)F)c1 ZINC000423479123 337721882 /nfs/dbraw/zinc/72/18/82/337721882.db2.gz LTHLMNXEBVNHIR-GFCCVEGCSA-N 0 3 306.356 4.029 20 0 DIADHN CCc1ccccc1[C@H](N)CN[C@H](C)c1csc(C(C)C)n1 ZINC000423498560 337723192 /nfs/dbraw/zinc/72/31/92/337723192.db2.gz IDSSBTXJEUKADX-CZUORRHYSA-N 0 3 317.502 4.180 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1cc2c(s1)CCC2 ZINC000536833413 337734849 /nfs/dbraw/zinc/73/48/49/337734849.db2.gz PMQKECRHHPTKRS-AWEZNQCLSA-N 0 3 323.465 4.273 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccccc1)c1ccc(F)c(F)c1 ZINC000536837307 337735559 /nfs/dbraw/zinc/73/55/59/337735559.db2.gz GLIGWUSJDCYREZ-FASAQXTFSA-N 0 3 316.395 4.061 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cc3c(s2)CCCC3)cn1 ZINC000397088659 337738553 /nfs/dbraw/zinc/73/85/53/337738553.db2.gz IWJUTUCLTTWLQW-CQSZACIVSA-N 0 3 300.471 4.490 20 0 DIADHN C[C@H](NC[C@@](C)(O)C(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000536843427 337742991 /nfs/dbraw/zinc/74/29/91/337742991.db2.gz DODLASGWZHWAOS-SUMWQHHRSA-N 0 3 323.358 4.318 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H](C)c2sccc2C)n1 ZINC000536851771 337745485 /nfs/dbraw/zinc/74/54/85/337745485.db2.gz KYXNJMCITWIJSX-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H](OC)c2ccc(F)cc2)o1 ZINC000536853491 337745794 /nfs/dbraw/zinc/74/57/94/337745794.db2.gz QWHZMHULEDGNOR-VEVIJQCQSA-N 0 3 305.393 4.408 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000536855492 337746079 /nfs/dbraw/zinc/74/60/79/337746079.db2.gz AITTUOGFZJYDCI-WOJBJXKFSA-N 0 3 311.400 4.489 20 0 DIADHN CCCC[C@@H](NCc1ccc(OCCO)cc1)c1ccccc1 ZINC000536859667 337746502 /nfs/dbraw/zinc/74/65/02/337746502.db2.gz WGGFRBWRKXRTLH-HXUWFJFHSA-N 0 3 313.441 4.079 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cccc(OC(F)F)c1)Oc1ccccc1 ZINC000536872612 337752394 /nfs/dbraw/zinc/75/23/94/337752394.db2.gz WJVGFAZFICDNQL-KBPBESRZSA-N 0 3 321.367 4.406 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1cccc(OC)c1 ZINC000536871168 337752730 /nfs/dbraw/zinc/75/27/30/337752730.db2.gz PFMHTCMYELPEOB-CXAGYDPISA-N 0 3 313.397 4.226 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC000527687373 337755691 /nfs/dbraw/zinc/75/56/91/337755691.db2.gz JUOAPPOKIQRNAL-IINYFYTJSA-N 0 3 317.807 4.302 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccsc2C(F)(F)F)CCO1 ZINC000527685902 337755767 /nfs/dbraw/zinc/75/57/67/337755767.db2.gz HACILMFGQJKVIC-RYUDHWBXSA-N 0 3 321.408 4.450 20 0 DIADHN CCC(C)(CC)NCc1ncc(Cl)cc1Br ZINC000527662050 337756994 /nfs/dbraw/zinc/75/69/94/337756994.db2.gz OPNQNAVAKYHVGB-UHFFFAOYSA-N 0 3 305.647 4.166 20 0 DIADHN Cc1ccncc1[C@@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000536872929 337757881 /nfs/dbraw/zinc/75/78/81/337757881.db2.gz YQBQPIRBLAQJHD-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3cc[nH]c3c2)c(C)c1OC ZINC000527710599 337758118 /nfs/dbraw/zinc/75/81/18/337758118.db2.gz VEESKEHXTLTGNT-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN CCc1nnc(CN[C@H]2CCC[C@H](c3ccccc3)CC2)s1 ZINC000527710722 337758224 /nfs/dbraw/zinc/75/82/24/337758224.db2.gz LURQLEKJFNARSZ-HOTGVXAUSA-N 0 3 315.486 4.307 20 0 DIADHN CC(C)(CNCc1ccsc1C(F)(F)F)c1ccccn1 ZINC000527712374 337758233 /nfs/dbraw/zinc/75/82/33/337758233.db2.gz FGGGQTQVXMCBMQ-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN Cc1cccnc1CCCNCc1ccsc1C(F)(F)F ZINC000527716277 337758489 /nfs/dbraw/zinc/75/84/89/337758489.db2.gz NYHNHFJPKACSNY-UHFFFAOYSA-N 0 3 314.376 4.193 20 0 DIADHN C[C@H](NCc1ccc2cc[nH]c2c1)c1ccc2c(c1)CCCO2 ZINC000527727029 337759223 /nfs/dbraw/zinc/75/92/23/337759223.db2.gz LFNKSVURBFXIKG-AWEZNQCLSA-N 0 3 306.409 4.344 20 0 DIADHN CC1(C)CCC[C@@H]1CCNCc1cnc([C@H]2CCCO2)s1 ZINC000527730183 337759347 /nfs/dbraw/zinc/75/93/47/337759347.db2.gz RJHVIXNRJLCIJK-UKRRQHHQSA-N 0 3 308.491 4.301 20 0 DIADHN Cc1cnc([C@H](C)CNCc2ccsc2C(F)(F)F)s1 ZINC000527692964 337760327 /nfs/dbraw/zinc/76/03/27/337760327.db2.gz OPUDHOPUXAHGLU-MRVPVSSYSA-N 0 3 320.405 4.425 20 0 DIADHN CC(C)n1cncc1CNCCc1cccc(Cl)c1Cl ZINC000424140817 337762058 /nfs/dbraw/zinc/76/20/58/337762058.db2.gz CDHLAZOZVHZULP-UHFFFAOYSA-N 0 3 312.244 4.103 20 0 DIADHN Cc1cccc([C@@H](NCc2ccc(-n3ccnc3)nc2)C(C)C)c1 ZINC000527807616 337765198 /nfs/dbraw/zinc/76/51/98/337765198.db2.gz CIQXFFILUDBZLY-FQEVSTJZSA-N 0 3 320.440 4.063 20 0 DIADHN C/C(Cl)=C/CN[C@H](c1cncc(Br)c1)C1CC1 ZINC000528496452 337767608 /nfs/dbraw/zinc/76/76/08/337767608.db2.gz HNRCLUPIPSZYFA-PRUHKGEBSA-N 0 3 315.642 4.027 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)sc2C)C2CC2)cn1 ZINC000527840062 337767716 /nfs/dbraw/zinc/76/77/16/337767716.db2.gz CHCSKRPHFWYMLM-KRWDZBQOSA-N 0 3 302.443 4.009 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCc2cc(F)c(Cl)cc21 ZINC000527850500 337768914 /nfs/dbraw/zinc/76/89/14/337768914.db2.gz SQLZNZUKBIQHOE-GOSISDBHSA-N 0 3 319.807 4.403 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@@H]1CCc2cc(F)c(Cl)cc21 ZINC000527872687 337770863 /nfs/dbraw/zinc/77/08/63/337770863.db2.gz XZJADYMBIQTCMT-HUTHGQBESA-N 0 3 322.811 4.292 20 0 DIADHN C[C@@H](NC1CC(C(F)(F)F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527900768 337772690 /nfs/dbraw/zinc/77/26/90/337772690.db2.gz PABIFBUIAVNNLY-LMWSTFAQSA-N 0 3 323.362 4.079 20 0 DIADHN CCn1nncc1CN[C@H](c1ccc(C)cc1)C1CCCCC1 ZINC000527914940 337774509 /nfs/dbraw/zinc/77/45/09/337774509.db2.gz LHHTVLJNNIVUFN-IBGZPJMESA-N 0 3 312.461 4.018 20 0 DIADHN C[C@H](NCC[C@H]1CCCOC1)c1nc(-c2ccccc2)cs1 ZINC000527923097 337775299 /nfs/dbraw/zinc/77/52/99/337775299.db2.gz BRYKNSYQYNZCBW-LSDHHAIUSA-N 0 3 316.470 4.277 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2cccnc2)cc1 ZINC000527930496 337776504 /nfs/dbraw/zinc/77/65/04/337776504.db2.gz VIACIPWRHBTGIA-CAUGKJMWSA-N 0 3 310.416 4.336 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCc3ccc4cn(C)nc4c3)oc2c1 ZINC000527962453 337780511 /nfs/dbraw/zinc/78/05/11/337780511.db2.gz MOOSWTIRMNBESG-CQSZACIVSA-N 0 3 319.408 4.479 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CCC1)c1ccc2c(c1)CCO2 ZINC000527966777 337780934 /nfs/dbraw/zinc/78/09/34/337780934.db2.gz LHRPZQGNLXJWCP-VBKZILBWSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCc3ccccc32)C2CC2)cn1 ZINC000528045479 337785872 /nfs/dbraw/zinc/78/58/72/337785872.db2.gz ZGUIFUFGAASLNC-UYAOXDASSA-N 0 3 308.425 4.209 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](N[C@H]2C[C@]2(F)c2ccccc2)C1 ZINC000424190348 337803708 /nfs/dbraw/zinc/80/37/08/337803708.db2.gz GBYRFJKCIODPKA-QPSCCSFWSA-N 0 3 301.327 4.334 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1cncc(F)c1)C(=O)OC(C)(C)C ZINC000424192723 337805364 /nfs/dbraw/zinc/80/53/64/337805364.db2.gz ULIRVWIJMPEYQS-ZDUSSCGKSA-N 0 3 324.440 4.019 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](N[C@@H](c3ccco3)c3ccccc3)C[C@H]2C1 ZINC000424262058 337813131 /nfs/dbraw/zinc/81/31/31/337813131.db2.gz PXYUQYIJHGJNCJ-IHEQNBGGSA-N 0 3 311.425 4.162 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCOC3(CCC3)C2)c(Cl)c1 ZINC000424278042 337814583 /nfs/dbraw/zinc/81/45/83/337814583.db2.gz CRNUXJOLMDLWKR-OLZOCXBDSA-N 0 3 309.837 4.101 20 0 DIADHN COc1ccc([C@H](C)NC[C@@]2(C)CCO[C@@H]2C2CC2)c(Cl)c1 ZINC000424286844 337815461 /nfs/dbraw/zinc/81/54/61/337815461.db2.gz WNFGOHKPOMIHEV-JBBXEZCESA-N 0 3 323.864 4.204 20 0 DIADHN COc1ccc([C@H](C)NCCc2sc(C)nc2C)c(Cl)c1 ZINC000424310358 337818781 /nfs/dbraw/zinc/81/87/81/337818781.db2.gz JLGAOOUKXLZVAD-JTQLQIEISA-N 0 3 324.877 4.315 20 0 DIADHN CC(C)CCOCCN[C@@H](C)c1ccccc1OC(F)F ZINC000045093935 337818837 /nfs/dbraw/zinc/81/88/37/337818837.db2.gz LDKXOVFMFNYBOQ-ZDUSSCGKSA-N 0 3 301.377 4.001 20 0 DIADHN C[C@@H](N[C@@H]1CC12CC2)c1ccc(OC(F)F)cc1OC(F)F ZINC000424323445 337820583 /nfs/dbraw/zinc/82/05/83/337820583.db2.gz MDHNZDIIRIDKHJ-PRHODGIISA-N 0 3 319.298 4.093 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@@H](C)N[C@@H]1CC12CC2 ZINC000424326269 337821362 /nfs/dbraw/zinc/82/13/62/337821362.db2.gz OSMMTJZOEWTAQK-QMTHXVAHSA-N 0 3 302.418 4.461 20 0 DIADHN Cc1cc([C@@H](C)NC(=O)Nc2cc(C)cc(CN)c2)c(C)s1 ZINC000424418170 337831264 /nfs/dbraw/zinc/83/12/64/337831264.db2.gz BEBKOUZOEMRKNA-GFCCVEGCSA-N 0 3 317.458 4.015 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CC[C@H](C(C)(C)C)C[C@@H]2C)c1 ZINC000424430033 337833077 /nfs/dbraw/zinc/83/30/77/337833077.db2.gz YQQWMLMJBPXZBO-HOCLYGCPSA-N 0 3 317.477 4.132 20 0 DIADHN Cc1cnccc1CCNCc1ccc(-c2cccc(F)c2C)o1 ZINC000533179242 337833430 /nfs/dbraw/zinc/83/34/30/337833430.db2.gz CMCHBRPOZMODRL-UHFFFAOYSA-N 0 3 324.399 4.430 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(Br)cc1 ZINC000536892735 337846239 /nfs/dbraw/zinc/84/62/39/337846239.db2.gz HIPDXSFPYBTAOV-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN CSc1cc(C)ccc1CN[C@@H](C)c1ccc2c(c1)OCO2 ZINC000119290911 337856205 /nfs/dbraw/zinc/85/62/05/337856205.db2.gz WKCUDIBHVBGPHI-ZDUSSCGKSA-N 0 3 315.438 4.296 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(F)c(CN(C)C)c2)c2ccccc21 ZINC000564144615 337858359 /nfs/dbraw/zinc/85/83/59/337858359.db2.gz MSAROJAIOLMCJC-VBKZILBWSA-N 0 3 312.432 4.225 20 0 DIADHN CC[C@H](C)CN1CCC(C(=O)c2c(F)cc(F)cc2F)CC1 ZINC000088521731 337862366 /nfs/dbraw/zinc/86/23/66/337862366.db2.gz GQUGYPAQOVUAFW-NSHDSACASA-N 0 3 313.363 4.045 20 0 DIADHN Cc1ccc([C@H](C)CN[C@H](C)c2nc3ccccc3n2C)cc1 ZINC000537012545 337864497 /nfs/dbraw/zinc/86/44/97/337864497.db2.gz VTJHSGZIYYGVEB-HZPDHXFCSA-N 0 3 307.441 4.336 20 0 DIADHN c1ccc(-n2nc(CN[C@@H]3CCC[C@@H]3C3CC3)c3c2CCC3)cc1 ZINC000537015680 337866161 /nfs/dbraw/zinc/86/61/61/337866161.db2.gz HZAWNSXDRDEILZ-IEBWSBKVSA-N 0 3 321.468 4.029 20 0 DIADHN CO[C@@H]1CCC[C@H]1CNCc1csc(-c2ccc(C)cc2)n1 ZINC000537024664 337870944 /nfs/dbraw/zinc/87/09/44/337870944.db2.gz TZQVFZNFONQDTH-DOTOQJQBSA-N 0 3 316.470 4.023 20 0 DIADHN CO[C@@H]1CCC[C@@H]1CNCc1csc(-c2ccc(C)cc2)n1 ZINC000537024665 337871004 /nfs/dbraw/zinc/87/10/04/337871004.db2.gz TZQVFZNFONQDTH-NVXWUHKLSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ccc(C)c(CN[C@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000120313240 337873880 /nfs/dbraw/zinc/87/38/80/337873880.db2.gz LBAGESMEUHCFKJ-MRXNPFEDSA-N 0 3 324.424 4.111 20 0 DIADHN Cc1cc2cc(CN3CCC(CCCO)CC3)oc2cc1C ZINC000541243424 337874603 /nfs/dbraw/zinc/87/46/03/337874603.db2.gz ABNBBMGOMDPBAW-UHFFFAOYSA-N 0 3 301.430 4.034 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc(F)c(CN(C)C)c2)c1 ZINC000120866940 337881591 /nfs/dbraw/zinc/88/15/91/337881591.db2.gz DOQAXWGOCXEWQT-INIZCTEOSA-N 0 3 314.448 4.355 20 0 DIADHN COc1ncc(CNC2(c3ccccc3)CCCC2)cc1Cl ZINC000537088019 337888143 /nfs/dbraw/zinc/88/81/43/337888143.db2.gz QEJKKSKPNYERMB-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1nccn1C ZINC000537094093 337889382 /nfs/dbraw/zinc/88/93/82/337889382.db2.gz NKZQETPKJQKFMP-CVEARBPZSA-N 0 3 317.502 4.258 20 0 DIADHN C[C@@H](NCC1(c2cccc(Cl)c2)CCCC1)c1nccn1C ZINC000537104672 337891844 /nfs/dbraw/zinc/89/18/44/337891844.db2.gz NDSARCRQMIISHB-CQSZACIVSA-N 0 3 317.864 4.236 20 0 DIADHN C[C@H](NC1CCN([C@@H](C)c2ccccc2)CC1)c1nccs1 ZINC000091725733 337894784 /nfs/dbraw/zinc/89/47/84/337894784.db2.gz LZSSVRRKHAZBJX-GJZGRUSLSA-N 0 3 315.486 4.019 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc(OC)cc1 ZINC000121767547 337899469 /nfs/dbraw/zinc/89/94/69/337899469.db2.gz KQYYSAUYUJHWQQ-SUMWQHHRSA-N 0 3 313.397 4.226 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1cc(F)cc(F)c1 ZINC000121929050 337902490 /nfs/dbraw/zinc/90/24/90/337902490.db2.gz JDMZCESGXCMKAJ-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1nc2cc(C(F)(F)F)ccc2o1 ZINC000537165322 337904055 /nfs/dbraw/zinc/90/40/55/337904055.db2.gz YXFVMWBZPOFLSH-ZJUUUORDSA-N 0 3 300.324 4.371 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)on1 ZINC000121972949 337904060 /nfs/dbraw/zinc/90/40/60/337904060.db2.gz RQHKZYFMHQHVSJ-JQHSSLGASA-N 0 3 318.461 4.016 20 0 DIADHN COC(C)(C)C[C@H](C)N[C@H](C)c1ccc(F)cc1OC(F)F ZINC000122079775 337906037 /nfs/dbraw/zinc/90/60/37/337906037.db2.gz TVQJSTKYRVRFFE-WDEREUQCSA-N 0 3 319.367 4.281 20 0 DIADHN CCCC1CCC(CN[C@@H](C)c2nnc3n2CCCCC3)CC1 ZINC000537210089 337913690 /nfs/dbraw/zinc/91/36/90/337913690.db2.gz ITUQFZCPWXYXOW-GTPINHCMSA-N 0 3 318.509 4.262 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1ccccc1N(C)C ZINC000093943752 337923057 /nfs/dbraw/zinc/92/30/57/337923057.db2.gz QCVWFJGGMIVPHE-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCCc1ncc(C)cn1 ZINC000537305166 337929976 /nfs/dbraw/zinc/92/99/76/337929976.db2.gz FIHDUMPNOYJLNA-HNNXBMFYSA-N 0 3 323.440 4.295 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@@H](C)COCCOC ZINC000537308618 337930296 /nfs/dbraw/zinc/93/02/96/337930296.db2.gz UFAQNKJPPSYVKY-GJZGRUSLSA-N 0 3 319.445 4.006 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@@H]2CCCS2)o1 ZINC000537309823 337930459 /nfs/dbraw/zinc/93/04/59/337930459.db2.gz ADWIRXKXYHOKIF-AWEZNQCLSA-N 0 3 305.418 4.379 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2CCOC3(CCCCC3)C2)o1 ZINC000537324358 337931129 /nfs/dbraw/zinc/93/11/29/337931129.db2.gz YEOWFDRDUHBOGZ-VYDXJSESSA-N 0 3 303.446 4.375 20 0 DIADHN CC(C)[C@@H](NCCOCC(F)(F)F)c1cc2ccccc2o1 ZINC000537358089 337932442 /nfs/dbraw/zinc/93/24/42/337932442.db2.gz OMBGYHJKFMHOOK-OAHLLOKOSA-N 0 3 315.335 4.298 20 0 DIADHN COc1ccc(CN[C@@H]2CCO[C@H](CC(C)C)C2)c(Cl)c1 ZINC000537431973 337936069 /nfs/dbraw/zinc/93/60/69/337936069.db2.gz OXKMOFGMILJYIE-GDBMZVCRSA-N 0 3 311.853 4.032 20 0 DIADHN Cc1csc(C2(N[C@H](C)Cc3ccc(F)cc3)CCC2)n1 ZINC000537430737 337936097 /nfs/dbraw/zinc/93/60/97/337936097.db2.gz MZVIHNZPEDDZTM-GFCCVEGCSA-N 0 3 304.434 4.191 20 0 DIADHN CCC1(CO)CCN(Cc2cc(-c3ccccc3)cs2)CC1 ZINC000537615424 337947924 /nfs/dbraw/zinc/94/79/24/337947924.db2.gz SMGQYKPKPRZRDV-UHFFFAOYSA-N 0 3 315.482 4.400 20 0 DIADHN CCCCOC1CCN(Cc2cccn2CCC(C)C)CC1 ZINC000537621827 337948417 /nfs/dbraw/zinc/94/84/17/337948417.db2.gz FOCASVFSRNMGOQ-UHFFFAOYSA-N 0 3 306.494 4.315 20 0 DIADHN COc1ccsc1CN1CCC(c2ccc(OC)cc2)CC1 ZINC000537628490 337949878 /nfs/dbraw/zinc/94/98/78/337949878.db2.gz JXTYQFOKUWIPLJ-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NC/C=C/c2ccccc2)cc1 ZINC000537866156 337963923 /nfs/dbraw/zinc/96/39/23/337963923.db2.gz OTHHYSVFYOOBNR-ISGQZDKISA-N 0 3 311.425 4.074 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1ccc(-n2cccn2)c(F)c1 ZINC000514734864 337969635 /nfs/dbraw/zinc/96/96/35/337969635.db2.gz VTLCRPVFCUIAKA-MEMKZHQFSA-N 0 3 321.399 4.375 20 0 DIADHN C[C@@H](NCCOc1ccccc1)c1cccc(OC(F)F)c1 ZINC000315117157 337980967 /nfs/dbraw/zinc/98/09/67/337980967.db2.gz RLHMEYVBBMLKQI-CYBMUJFWSA-N 0 3 307.340 4.018 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000315370936 337985782 /nfs/dbraw/zinc/98/57/82/337985782.db2.gz RONVOMZFUVCTKW-QWHCGFSZSA-N 0 3 319.474 4.072 20 0 DIADHN C[C@@H](NCCOC1CCCCC1)c1cccc(OC(F)F)c1 ZINC000315502360 337988281 /nfs/dbraw/zinc/98/82/81/337988281.db2.gz HGHWTWGRKUGCHH-CYBMUJFWSA-N 0 3 313.388 4.288 20 0 DIADHN C[C@H](NCCOC1CCCCC1)c1ccccc1OC(F)F ZINC000315535981 337988785 /nfs/dbraw/zinc/98/87/85/337988785.db2.gz LBXFIHGUUWMPSL-ZDUSSCGKSA-N 0 3 313.388 4.288 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccc(F)cc2)C[C@H](C)O1 ZINC000516241967 338008483 /nfs/dbraw/zinc/00/84/83/338008483.db2.gz DLQKWUHTARBYEH-VZJWBNGJSA-N 0 3 313.416 4.461 20 0 DIADHN CN1CC[C@H](NCc2ccccc2F)[C@H]1c1ccc(Cl)cc1 ZINC000516264981 338009073 /nfs/dbraw/zinc/00/90/73/338009073.db2.gz YTHAGKZIKLNBHC-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN c1ccc(OCCCCCN(Cc2cccnc2)C2CC2)cc1 ZINC000516268416 338009233 /nfs/dbraw/zinc/00/92/33/338009233.db2.gz CASRWYHPZRKWSL-UHFFFAOYSA-N 0 3 310.441 4.295 20 0 DIADHN Clc1ccc(-c2nc(CN[C@H]3[C@@H]4CCC[C@@H]43)cs2)cc1 ZINC000516315925 338009638 /nfs/dbraw/zinc/00/96/38/338009638.db2.gz VNFFZGGEDGSNRT-FICVDOATSA-N 0 3 304.846 4.352 20 0 DIADHN COc1cccc(CCN[C@H](C)c2cc3ccc(C)cc3o2)n1 ZINC000426048260 338020206 /nfs/dbraw/zinc/02/02/06/338020206.db2.gz IICOSPMETXELMY-CQSZACIVSA-N 0 3 310.397 4.038 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C/C=C/c1ccncc1)[C@H]2C ZINC000426144653 338021101 /nfs/dbraw/zinc/02/11/01/338021101.db2.gz GACXDFHHLFLTMP-SWJNODNISA-N 0 3 308.425 4.111 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C/C=C/c1ccncc1)[C@@H]2C ZINC000426144652 338021122 /nfs/dbraw/zinc/02/11/22/338021122.db2.gz GACXDFHHLFLTMP-CGFBPQRUSA-N 0 3 308.425 4.111 20 0 DIADHN CCCN(C/C=C/c1ccncc1)[C@H]1CCCc2cccnc21 ZINC000426167255 338021983 /nfs/dbraw/zinc/02/19/83/338021983.db2.gz JSCLBPWGAGVZMK-UEKDZROGSA-N 0 3 307.441 4.279 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000426288919 338024655 /nfs/dbraw/zinc/02/46/55/338024655.db2.gz KYRNKIJZXJLAMY-JXXFODFXSA-N 0 3 311.425 4.094 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc3c(c1)OCO3)CCC2 ZINC000426290977 338024884 /nfs/dbraw/zinc/02/48/84/338024884.db2.gz QCKKEAJAFYHYSD-RDTXWAMCSA-N 0 3 309.409 4.452 20 0 DIADHN CC[C@H](O)CN[C@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000426293476 338025028 /nfs/dbraw/zinc/02/50/28/338025028.db2.gz QUPFUQLVUIUWCM-MAUKXSAKSA-N 0 3 321.823 4.123 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2nnc(C(C)C)s2)cc1 ZINC000426299017 338025414 /nfs/dbraw/zinc/02/54/14/338025414.db2.gz RMDMMHBRNOLLJH-CYBMUJFWSA-N 0 3 303.475 4.117 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)[C@@H]1OCCc2sccc21 ZINC000426309355 338026023 /nfs/dbraw/zinc/02/60/23/338026023.db2.gz ZYPAPADGTQRDNM-PBQZTSCYSA-N 0 3 317.429 4.167 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](C)[C@H]1OCCc2sccc21 ZINC000426308663 338026114 /nfs/dbraw/zinc/02/61/14/338026114.db2.gz FWNLYFHLSGJDQO-TYNCELHUSA-N 0 3 307.484 4.471 20 0 DIADHN COCC(C)(C)CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000426328055 338027169 /nfs/dbraw/zinc/02/71/69/338027169.db2.gz XBRYCXKPCBNSOG-JTQLQIEISA-N 0 3 321.795 4.265 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2cccc(F)c2)o1 ZINC000426331512 338027417 /nfs/dbraw/zinc/02/74/17/338027417.db2.gz SCOJQUTVDMBVQK-CYBMUJFWSA-N 0 3 304.409 4.186 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)s1 ZINC000426343671 338028066 /nfs/dbraw/zinc/02/80/66/338028066.db2.gz QTURZCYXXFHIIV-OZVIIMIRSA-N 0 3 307.484 4.471 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](Cc2ccccc2)C(C)C)o1 ZINC000426347087 338028362 /nfs/dbraw/zinc/02/83/62/338028362.db2.gz KIJCKNAUYSTYQS-KRWDZBQOSA-N 0 3 300.446 4.292 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)[C@H]1OCCc2sccc21 ZINC000426353284 338028664 /nfs/dbraw/zinc/02/86/64/338028664.db2.gz PDWSHNWCJIRMSL-XWCIJXRUSA-N 0 3 305.418 4.240 20 0 DIADHN CC(C)N(C)c1ccc(CN(C)Cc2cccc3[nH]ccc32)cn1 ZINC000426393311 338030310 /nfs/dbraw/zinc/03/03/10/338030310.db2.gz CSKDVMSUIXUIHB-UHFFFAOYSA-N 0 3 322.456 4.040 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]43)cs2)c1 ZINC000426471235 338033305 /nfs/dbraw/zinc/03/33/05/338033305.db2.gz VUJOFGSPVOZESY-GUYCJALGSA-N 0 3 314.454 4.193 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@@](C)(c3ccccc3)C2)o1 ZINC000428217120 338042684 /nfs/dbraw/zinc/04/26/84/338042684.db2.gz IDAAPDANQZRJNK-HXUWFJFHSA-N 0 3 312.457 4.489 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@@H](c2ccc(F)cc2)C1 ZINC000428229398 338043098 /nfs/dbraw/zinc/04/30/98/338043098.db2.gz FEXVXTDCIJDYRM-OAHLLOKOSA-N 0 3 302.393 4.235 20 0 DIADHN Cc1cc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)ncn1 ZINC000428231748 338043387 /nfs/dbraw/zinc/04/33/87/338043387.db2.gz WSDCRSKXWWLJQI-UONOGXRCSA-N 0 3 303.837 4.062 20 0 DIADHN CCc1nc(C)c(CN(C)[C@H](CC)Cc2ccc(C)cc2)o1 ZINC000428234640 338043631 /nfs/dbraw/zinc/04/36/31/338043631.db2.gz CIKZGPZDONNDQB-QGZVFWFLSA-N 0 3 300.446 4.307 20 0 DIADHN CCc1nc(C)c(CN2CCC[C@@]3(CCCc4ccccc43)C2)o1 ZINC000428237881 338043764 /nfs/dbraw/zinc/04/37/64/338043764.db2.gz NRYQDUBXHQSBBS-NRFANRHFSA-N 0 3 324.468 4.416 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C[C@H]1CCCO1)C1CCCC1 ZINC000428240948 338043808 /nfs/dbraw/zinc/04/38/08/338043808.db2.gz COTDVAPQGBFKMN-MRXNPFEDSA-N 0 3 306.450 4.030 20 0 DIADHN CCCc1nc(C)c(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)o1 ZINC000428250283 338044248 /nfs/dbraw/zinc/04/42/48/338044248.db2.gz ZJMIJRFQFCLLDS-CZUORRHYSA-N 0 3 316.420 4.453 20 0 DIADHN Cc1nccn1CCCN1Cc2ccccc2-c2ccccc2C1 ZINC000428268074 338044855 /nfs/dbraw/zinc/04/48/55/338044855.db2.gz ZBQNUMPKJSJJGJ-UHFFFAOYSA-N 0 3 317.436 4.264 20 0 DIADHN CN(CCCc1c(Cl)cccc1Cl)Cc1cccnc1 ZINC000428273762 338045107 /nfs/dbraw/zinc/04/51/07/338045107.db2.gz FSQMBGAQQKFMBH-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CN(CCCc1c(Cl)cccc1Cl)Cc1ccccn1 ZINC000428285702 338045636 /nfs/dbraw/zinc/04/56/36/338045636.db2.gz PGRBTHPHDZQZHY-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN Cc1cnc(CCN(C)C[C@H](OC(C)C)c2ccccc2)s1 ZINC000428295794 338046010 /nfs/dbraw/zinc/04/60/10/338046010.db2.gz TVLCYHOGMMGXEI-KRWDZBQOSA-N 0 3 318.486 4.092 20 0 DIADHN CC(C)O[C@H](CN(Cc1cccnc1)C(C)C)c1ccccc1 ZINC000428296426 338046213 /nfs/dbraw/zinc/04/62/13/338046213.db2.gz WURSVTPLTUCWSE-HXUWFJFHSA-N 0 3 312.457 4.458 20 0 DIADHN CCN(CCc1nccs1)C[C@H](OC(C)C)c1ccccc1 ZINC000428307847 338046553 /nfs/dbraw/zinc/04/65/53/338046553.db2.gz WVKOLDGDNNDOPQ-KRWDZBQOSA-N 0 3 318.486 4.174 20 0 DIADHN CNCc1cccc(NC(=O)C[C@@H](c2ccccc2)C(C)C)c1 ZINC000428331025 338047722 /nfs/dbraw/zinc/04/77/22/338047722.db2.gz OFPQMVJLGYIEAY-LJQANCHMSA-N 0 3 310.441 4.174 20 0 DIADHN CCc1cnccc1[C@H](C)NC[C@H](OC(C)C)c1ccccc1 ZINC000428344577 338048027 /nfs/dbraw/zinc/04/80/27/338048027.db2.gz AOXYEYUVJCWZMY-JXFKEZNVSA-N 0 3 312.457 4.461 20 0 DIADHN CC(C)O[C@H](CN(C)CCc1ccccc1O)c1ccccc1 ZINC000428346127 338048068 /nfs/dbraw/zinc/04/80/68/338048068.db2.gz MXMXYBNFIBAATM-HXUWFJFHSA-N 0 3 313.441 4.033 20 0 DIADHN CCc1cnccc1[C@@H](C)NC[C@H](OC(C)C)c1ccccc1 ZINC000428344580 338048078 /nfs/dbraw/zinc/04/80/78/338048078.db2.gz AOXYEYUVJCWZMY-UZLBHIALSA-N 0 3 312.457 4.461 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2oc(C(C)C)nc2C)C12CCCC2 ZINC000428386531 338049759 /nfs/dbraw/zinc/04/97/59/338049759.db2.gz XGTRTVSDGFBKQS-IRXDYDNUSA-N 0 3 320.477 4.276 20 0 DIADHN Cc1csc([C@@H]2CCCN(Cc3oc(C(C)C)nc3C)C2)n1 ZINC000428395142 338050055 /nfs/dbraw/zinc/05/00/55/338050055.db2.gz AVGWTCLYFSZDIT-CQSZACIVSA-N 0 3 319.474 4.251 20 0 DIADHN CC(C)(O)CN(Cc1ccc(-c2ccccc2Cl)o1)C1CC1 ZINC000428411969 338050588 /nfs/dbraw/zinc/05/05/88/338050588.db2.gz USSRMBFABXXHOP-UHFFFAOYSA-N 0 3 319.832 4.335 20 0 DIADHN CCc1nc(C)c(CN2CCC[C@@H]2Cc2ccccc2Cl)o1 ZINC000428464507 338053476 /nfs/dbraw/zinc/05/34/76/338053476.db2.gz QAKOCLJBHYURCH-OAHLLOKOSA-N 0 3 318.848 4.406 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)C(C)(C)CO)cc1C ZINC000428469066 338053902 /nfs/dbraw/zinc/05/39/02/338053902.db2.gz RUYQRYPBONHBLZ-FQEVSTJZSA-N 0 3 311.469 4.461 20 0 DIADHN CCN(Cc1cnc2c(C)cccn12)[C@@H](C)Cc1ccsc1 ZINC000428471373 338054263 /nfs/dbraw/zinc/05/42/63/338054263.db2.gz XFTHZBJNODTOOP-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN Cn1c2ccc(C(F)(F)F)cc2nc1CN[C@@H]1CC12CCCC2 ZINC000428546097 338055827 /nfs/dbraw/zinc/05/58/27/338055827.db2.gz LKSHLAZMGZTLJI-CQSZACIVSA-N 0 3 323.362 4.014 20 0 DIADHN Oc1cccc2c1C[C@H](NCc1ccc(-c3ccccc3F)o1)C2 ZINC000428550301 338055980 /nfs/dbraw/zinc/05/59/80/338055980.db2.gz ILYKIGGMQCZAFI-CQSZACIVSA-N 0 3 323.367 4.048 20 0 DIADHN Oc1cccc2c1C[C@@H](NCc1ccc(-c3ccccc3F)o1)C2 ZINC000428550299 338055991 /nfs/dbraw/zinc/05/59/91/338055991.db2.gz ILYKIGGMQCZAFI-AWEZNQCLSA-N 0 3 323.367 4.048 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CN[C@H](CO)CC(F)F)cc2)c1 ZINC000428656414 338057846 /nfs/dbraw/zinc/05/78/46/338057846.db2.gz PVMVZSIRVRMOLX-SFHVURJKSA-N 0 3 319.395 4.076 20 0 DIADHN C[C@@]12CCN(Cc3ccc(OC(F)(F)F)cc3)C[C@@H]1C2(F)F ZINC000428708931 338059079 /nfs/dbraw/zinc/05/90/79/338059079.db2.gz IZFXRSGITWYEAV-QWHCGFSZSA-N 0 3 321.289 4.062 20 0 DIADHN Cc1cccc([C@H](C)N2CCC(c3noc(C(C)C)n3)CC2)c1 ZINC000428711620 338059164 /nfs/dbraw/zinc/05/91/64/338059164.db2.gz QWBMVQBTSZLOSN-HNNXBMFYSA-N 0 3 313.445 4.442 20 0 DIADHN C[C@H](O)CCCN1CCC(c2ccc(Cl)cc2Cl)CC1 ZINC000428755467 338060585 /nfs/dbraw/zinc/06/05/85/338060585.db2.gz YTQVGMKKXBLVIO-LBPRGKRZSA-N 0 3 316.272 4.334 20 0 DIADHN Fc1cccc(CCNCc2ccc(C(F)(F)F)s2)c1F ZINC000428867525 338063498 /nfs/dbraw/zinc/06/34/98/338063498.db2.gz LRVGATFMZZLGIX-UHFFFAOYSA-N 0 3 321.314 4.377 20 0 DIADHN CC(C)N(CC(=O)N(C(C)C)C(C)C)[C@@H](C)c1ccccc1 ZINC000428870025 338063543 /nfs/dbraw/zinc/06/35/43/338063543.db2.gz XGGTYGHRINGLIU-KRWDZBQOSA-N 0 3 304.478 4.103 20 0 DIADHN Cc1nc(CCNCc2ccc(C(F)(F)F)s2)sc1C ZINC000428869298 338063634 /nfs/dbraw/zinc/06/36/34/338063634.db2.gz ADKZOWDQMPUSCJ-UHFFFAOYSA-N 0 3 320.405 4.173 20 0 DIADHN FC(F)(F)CSCCNCc1ccc(C(F)(F)F)s1 ZINC000428870042 338063661 /nfs/dbraw/zinc/06/36/61/338063661.db2.gz XLCWCLPJTZORBF-UHFFFAOYSA-N 0 3 323.327 4.152 20 0 DIADHN Cc1ccc(CCNCc2nc3ccc(F)cc3s2)cc1 ZINC000428877240 338064089 /nfs/dbraw/zinc/06/40/89/338064089.db2.gz UJNAUKXMPIKCOD-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(C(F)(F)F)s2)C(C)(C)O1 ZINC000428877121 338064120 /nfs/dbraw/zinc/06/41/20/338064120.db2.gz SFOZOPYFYDGYKM-SNVBAGLBSA-N 0 3 307.381 4.203 20 0 DIADHN FC(F)(F)c1ccc(CNCCOCc2ccccc2)s1 ZINC000428883803 338064414 /nfs/dbraw/zinc/06/44/14/338064414.db2.gz SZAVWPGMFDFQJR-UHFFFAOYSA-N 0 3 315.360 4.073 20 0 DIADHN Fc1cccc(OCCNCc2ccc(C(F)(F)F)s2)c1 ZINC000428883227 338064524 /nfs/dbraw/zinc/06/45/24/338064524.db2.gz UQLQLMYVCFSBGM-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN CCc1nc(CCNCc2ccc(C(F)(F)F)s2)cs1 ZINC000428884297 338064533 /nfs/dbraw/zinc/06/45/33/338064533.db2.gz XRSLJRVOGMNIPC-UHFFFAOYSA-N 0 3 320.405 4.118 20 0 DIADHN Fc1ccccc1CCNCc1ccc(C(F)(F)F)s1 ZINC000428885819 338064628 /nfs/dbraw/zinc/06/46/28/338064628.db2.gz XSXOJXWUSCJWJG-UHFFFAOYSA-N 0 3 303.324 4.238 20 0 DIADHN C[C@H](CCc1ccco1)NCc1nc2ccc(F)cc2s1 ZINC000428885560 338064697 /nfs/dbraw/zinc/06/46/97/338064697.db2.gz XKUGAUQURIBTFT-LLVKDONJSA-N 0 3 304.390 4.139 20 0 DIADHN CCCc1nc(C)c(CN(CCC)CCN2CCCCCC2)o1 ZINC000428938191 338065685 /nfs/dbraw/zinc/06/56/85/338065685.db2.gz IJDFEMOODFTKQH-UHFFFAOYSA-N 0 3 321.509 4.024 20 0 DIADHN CCO[C@H]1C[C@H](NCc2oc(C(C)C)nc2C)C12CCCCC2 ZINC000428948721 338066220 /nfs/dbraw/zinc/06/62/20/338066220.db2.gz VABODQZPFFURQX-IRXDYDNUSA-N 0 3 320.477 4.324 20 0 DIADHN CCc1ccc([C@H]2CCCN([C@@H](C)c3cnc(C)cn3)C2)cc1 ZINC000428973288 338067320 /nfs/dbraw/zinc/06/73/20/338067320.db2.gz CQDIPRIIXJTPNC-LPHOPBHVSA-N 0 3 309.457 4.288 20 0 DIADHN CSc1ccccc1CN[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000429136452 338071565 /nfs/dbraw/zinc/07/15/65/338071565.db2.gz WXEJOWHFTRTEPK-SJCJKPOMSA-N 0 3 319.495 4.262 20 0 DIADHN CSc1ccccc1CN[C@H](C)[C@@H]1OCCc2sccc21 ZINC000429136451 338071604 /nfs/dbraw/zinc/07/16/04/338071604.db2.gz WXEJOWHFTRTEPK-PXAZEXFGSA-N 0 3 319.495 4.262 20 0 DIADHN Cc1cncc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)c1 ZINC000429152368 338072012 /nfs/dbraw/zinc/07/20/12/338072012.db2.gz WHCBDHDJNRMICP-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN Clc1cccc(S[C@H]2CCN(Cc3cccnc3)C2)c1 ZINC000429155394 338072098 /nfs/dbraw/zinc/07/20/98/338072098.db2.gz JEHAPPPRJZTQSE-INIZCTEOSA-N 0 3 304.846 4.102 20 0 DIADHN Clc1cccc(S[C@H]2CCN(Cc3ccncc3)C2)c1 ZINC000429159877 338072259 /nfs/dbraw/zinc/07/22/59/338072259.db2.gz FXSKRVFMUQLHQK-INIZCTEOSA-N 0 3 304.846 4.102 20 0 DIADHN Cc1ncsc1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429173412 338072360 /nfs/dbraw/zinc/07/23/60/338072360.db2.gz NKRFFMUTIBIZAD-AWEZNQCLSA-N 0 3 324.902 4.472 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCOC[C@H](C2CCC2)C1 ZINC000429252882 338074927 /nfs/dbraw/zinc/07/49/27/338074927.db2.gz IQDWWLYLNDGMBM-RDTXWAMCSA-N 0 3 305.849 4.076 20 0 DIADHN C[C@H](CNC(C)(C)c1cc(C(F)(F)F)on1)c1ccccc1 ZINC000429263594 338075177 /nfs/dbraw/zinc/07/51/77/338075177.db2.gz MRJBPCIKAGJTDS-LLVKDONJSA-N 0 3 312.335 4.322 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc(Cl)c3cccnc23)C1 ZINC000429271607 338075656 /nfs/dbraw/zinc/07/56/56/338075656.db2.gz ICDXXBPWILBVFY-CYBMUJFWSA-N 0 3 320.889 4.463 20 0 DIADHN CSC[C@@H]1CCCN(CCc2c(F)cccc2Cl)C1 ZINC000429287345 338076320 /nfs/dbraw/zinc/07/63/20/338076320.db2.gz TURLKBQYMQQDIV-GFCCVEGCSA-N 0 3 301.858 4.097 20 0 DIADHN C[C@@H](NCCOc1cccc2ccccc21)c1cccc(O)c1 ZINC000429308766 338077447 /nfs/dbraw/zinc/07/74/47/338077447.db2.gz RVWZULLHKGDLEH-OAHLLOKOSA-N 0 3 307.393 4.275 20 0 DIADHN CC(C)C[C@H](C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000429333390 338077809 /nfs/dbraw/zinc/07/78/09/338077809.db2.gz VIFOFOOMHMJOOT-FQEVSTJZSA-N 0 3 322.452 4.101 20 0 DIADHN CC(C)C[C@@H](C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000429333392 338077881 /nfs/dbraw/zinc/07/78/81/338077881.db2.gz VIFOFOOMHMJOOT-HXUWFJFHSA-N 0 3 322.452 4.101 20 0 DIADHN C[C@@H](N[C@H]1CC12CCCC2)c1nc2ccc(Cl)cc2n1C ZINC000429423714 338080560 /nfs/dbraw/zinc/08/05/60/338080560.db2.gz YWNLCHQZLHCPMO-ABAIWWIYSA-N 0 3 303.837 4.210 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CC23CCCC3)cnn1-c1ccc(F)cc1 ZINC000429435605 338080943 /nfs/dbraw/zinc/08/09/43/338080943.db2.gz ZFFKAMNYHXLQGW-FZKQIMNGSA-N 0 3 313.420 4.303 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC23CCCC3)cnn1-c1ccc(F)cc1 ZINC000429435611 338080954 /nfs/dbraw/zinc/08/09/54/338080954.db2.gz ZFFKAMNYHXLQGW-UGSOOPFHSA-N 0 3 313.420 4.303 20 0 DIADHN CC[C@@H](NC1CC(F)(F)C1)c1cccc(Br)c1 ZINC000429464764 338081888 /nfs/dbraw/zinc/08/18/88/338081888.db2.gz KBGXRPDMROCNPU-GFCCVEGCSA-N 0 3 304.178 4.288 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1ccc(-c2ccccc2)s1 ZINC000429461905 338081960 /nfs/dbraw/zinc/08/19/60/338081960.db2.gz NLVHUEOVKQIALT-WCQYABFASA-N 0 3 311.397 4.082 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)F)c1ccc(-c2ccccc2)cc1 ZINC000429465728 338082077 /nfs/dbraw/zinc/08/20/77/338082077.db2.gz LESQEFWRYQVNNV-GUYCJALGSA-N 0 3 305.368 4.020 20 0 DIADHN CC[C@H](NC1CC(F)(F)C1)c1ccc(Br)cc1 ZINC000429473339 338082221 /nfs/dbraw/zinc/08/22/21/338082221.db2.gz XGJHYUXLYMWKFS-LBPRGKRZSA-N 0 3 304.178 4.288 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CC[C@H](C)C2)c(Cl)c1 ZINC000429558719 338084158 /nfs/dbraw/zinc/08/41/58/338084158.db2.gz JZOBYWWHMNYWDN-FZMZJTMJSA-N 0 3 308.853 4.016 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H]3CC[C@H](C)C3)cs2)cc1 ZINC000429568442 338084442 /nfs/dbraw/zinc/08/44/42/338084442.db2.gz PUHBZUIBFBHEEU-BBRMVZONSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@H]1CC[C@@H](C)C1 ZINC000429568575 338084524 /nfs/dbraw/zinc/08/45/24/338084524.db2.gz JKEIICWVGGRXOW-ZBFHGGJFSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H]1CC[C@H](N(C)CC(=O)Nc2ccc(Cl)cc2Cl)C1 ZINC000429580160 338084964 /nfs/dbraw/zinc/08/49/64/338084964.db2.gz OFOHUBOPQRIAIN-JQWIXIFHSA-N 0 3 315.244 4.052 20 0 DIADHN Clc1ccccc1CCN1CCC(c2ccncc2)CC1 ZINC000429587099 338085227 /nfs/dbraw/zinc/08/52/27/338085227.db2.gz DGJKWRJEJRABFS-UHFFFAOYSA-N 0 3 300.833 4.157 20 0 DIADHN Cc1ccc(C(=O)CCN2C[C@H](C)C[C@@H]2c2cccnc2)cc1 ZINC000429626339 338086363 /nfs/dbraw/zinc/08/63/63/338086363.db2.gz OPHUETGOBBHUMP-VQIMIIECSA-N 0 3 308.425 4.046 20 0 DIADHN Clc1ccc(CNC[C@@H]2CCCS2)c(Br)c1 ZINC000149804255 338121232 /nfs/dbraw/zinc/12/12/32/338121232.db2.gz ZPFQBSXFTIHLIY-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN Cc1ccc2c(C[NH2+][C@@H](C)c3ccccc3C)ccc([O-])c2n1 ZINC000429700709 338087684 /nfs/dbraw/zinc/08/76/84/338087684.db2.gz RIVPCIJNMKQHBN-HNNXBMFYSA-N 0 3 306.409 4.408 20 0 DIADHN CN(CCN(Cc1ccco1)Cc1ccco1)Cc1ccccc1 ZINC000429715762 338088225 /nfs/dbraw/zinc/08/82/25/338088225.db2.gz OAZWVEXXKOTVIL-UHFFFAOYSA-N 0 3 324.424 4.007 20 0 DIADHN Cc1ccc(C)c(CN2CCC(Oc3ccncc3C)CC2)c1 ZINC000429723466 338088411 /nfs/dbraw/zinc/08/84/11/338088411.db2.gz MZRSVMNASGIUOU-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN Cn1nccc1CCN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000429726171 338088547 /nfs/dbraw/zinc/08/85/47/338088547.db2.gz QYEIWYNHXYHVQT-INIZCTEOSA-N 0 3 324.255 4.107 20 0 DIADHN CC(C)(CO)[C@H](NCCSc1ccccc1)c1ccccc1 ZINC000429731879 338088596 /nfs/dbraw/zinc/08/85/96/338088596.db2.gz UCDWTXJUYPWLAE-GOSISDBHSA-N 0 3 315.482 4.128 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000429781123 338089776 /nfs/dbraw/zinc/08/97/76/338089776.db2.gz CVWFSHQDFUVMGN-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1cc(CN2CCCCC2)nc(-c2ccc(Cl)cc2)n1 ZINC000429884510 338091522 /nfs/dbraw/zinc/09/15/22/338091522.db2.gz XVOSFDUXJAPSIK-UHFFFAOYSA-N 0 3 301.821 4.091 20 0 DIADHN C[C@@H](CNCc1cc(Cl)ccc1OCC1CC1)C(F)(F)F ZINC000430012155 338093402 /nfs/dbraw/zinc/09/34/02/338093402.db2.gz IUJCYIZSJBZWCT-JTQLQIEISA-N 0 3 321.770 4.417 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2ccc3c(c2)CC(C)(C)O3)C1 ZINC000430011546 338093466 /nfs/dbraw/zinc/09/34/66/338093466.db2.gz OLFOMSOKFGCLNZ-BEFAXECRSA-N 0 3 322.452 4.378 20 0 DIADHN Clc1ccc(OCC2CC2)c(CNCCC2CCOCC2)c1 ZINC000430042468 338094396 /nfs/dbraw/zinc/09/43/96/338094396.db2.gz QERAMIJFXBOQHC-UHFFFAOYSA-N 0 3 323.864 4.035 20 0 DIADHN CC(C)[C@@H]1N(Cc2c[nH]nc2-c2ccc(F)cc2)CC12CCC2 ZINC000430086678 338095553 /nfs/dbraw/zinc/09/55/53/338095553.db2.gz DZYKJGCYPWEQNS-SFHVURJKSA-N 0 3 313.420 4.226 20 0 DIADHN CCCCOc1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)cc1 ZINC000430088854 338095606 /nfs/dbraw/zinc/09/56/06/338095606.db2.gz XDTNVKRWZSJSKL-SJORKVTESA-N 0 3 309.400 4.343 20 0 DIADHN CC(C)[C@@H]1N(Cc2cn(C)nc2-c2ccccc2)CC12CCC2 ZINC000430093268 338095922 /nfs/dbraw/zinc/09/59/22/338095922.db2.gz DLWCRDOBTFAWKB-IBGZPJMESA-N 0 3 309.457 4.098 20 0 DIADHN COc1ccc2cc(CN3CC[C@@]4(C)[C@@H](C3)C4(F)F)ccc2c1 ZINC000430094107 338096095 /nfs/dbraw/zinc/09/60/95/338096095.db2.gz IPRVKMNMWXSJIJ-MSOLQXFVSA-N 0 3 317.379 4.326 20 0 DIADHN CC1(C)CN(CCCc2ccc3c(c2)CCO3)[C@@H]1c1cccnc1 ZINC000430094331 338096188 /nfs/dbraw/zinc/09/61/88/338096188.db2.gz LGGCTZZTLBYGIO-HXUWFJFHSA-N 0 3 322.452 4.032 20 0 DIADHN Cc1cccc(CN(C)Cc2c[nH]nc2-c2cccs2)c1C ZINC000430096739 338096225 /nfs/dbraw/zinc/09/62/25/338096225.db2.gz PNHLWXQKZOQKOM-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN CC(C)N(Cc1ccc([S@@](C)=O)cc1)[C@H](C)c1ccccc1 ZINC000430097394 338096345 /nfs/dbraw/zinc/09/63/45/338096345.db2.gz AVCDOVLUGJQLCZ-OPAMFIHVSA-N 0 3 315.482 4.396 20 0 DIADHN CC(C)[C@@H](NCc1csc(Cl)c1Cl)C1(CO)CCC1 ZINC000430101573 338096647 /nfs/dbraw/zinc/09/66/47/338096647.db2.gz CQWCJPBNBQNFMC-GFCCVEGCSA-N 0 3 322.301 4.332 20 0 DIADHN CC(C)COc1ccc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)cc1 ZINC000430107307 338097048 /nfs/dbraw/zinc/09/70/48/338097048.db2.gz WPPCGQMHRLEZHN-DLBZAZTESA-N 0 3 309.400 4.199 20 0 DIADHN Cc1[nH]nc2ncc(CN(C(C)C)[C@@H](C)c3ccccc3)cc12 ZINC000430108537 338097168 /nfs/dbraw/zinc/09/71/68/338097168.db2.gz VYMXYWUHMWSITE-HNNXBMFYSA-N 0 3 308.429 4.238 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@H](O)C[C@@H]3C)s2)cc1 ZINC000430133392 338098329 /nfs/dbraw/zinc/09/83/29/338098329.db2.gz NRHJDYWGRHPSEN-HOCLYGCPSA-N 0 3 301.455 4.069 20 0 DIADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc(Sc2ccccc2)cc1 ZINC000430135555 338098535 /nfs/dbraw/zinc/09/85/35/338098535.db2.gz QYQZCGHGRLJRFD-RDJZCZTQSA-N 0 3 313.466 4.183 20 0 DIADHN C[C@H]1C[C@@H](O)CCN1Cc1ccc(-c2cccc(Cl)c2)s1 ZINC000430138509 338098691 /nfs/dbraw/zinc/09/86/91/338098691.db2.gz NILCFHDXMYLAQO-WFASDCNBSA-N 0 3 321.873 4.414 20 0 DIADHN CCc1nc(C)c(CNCCc2ccc(C(C)(C)C)cc2)o1 ZINC000430191570 338100458 /nfs/dbraw/zinc/10/04/58/338100458.db2.gz GSJTZGUYZVSKFC-UHFFFAOYSA-N 0 3 300.446 4.175 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000430192606 338100564 /nfs/dbraw/zinc/10/05/64/338100564.db2.gz PATIQXLHCPEXJY-LBPRGKRZSA-N 0 3 319.392 4.002 20 0 DIADHN Cn1cccc1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000430195250 338100717 /nfs/dbraw/zinc/10/07/17/338100717.db2.gz NVKVKESFYHIQGA-MRXNPFEDSA-N 0 3 306.862 4.045 20 0 DIADHN CCOc1cc(CN2CC[C@H]2CC)ccc1OCC(F)(F)F ZINC000430198621 338100905 /nfs/dbraw/zinc/10/09/05/338100905.db2.gz ZBQLRAYVAYQXTK-CYBMUJFWSA-N 0 3 317.351 4.011 20 0 DIADHN CC[C@H]1CCN1Cc1c(C)nn(Cc2ccccc2Cl)c1C ZINC000430198086 338100969 /nfs/dbraw/zinc/10/09/69/338100969.db2.gz XRHVCYVMHLNEIJ-INIZCTEOSA-N 0 3 317.864 4.186 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccc(C(=O)Nc3ccccc3)cc2)C1 ZINC000430258092 338103304 /nfs/dbraw/zinc/10/33/04/338103304.db2.gz BJEVQMQNPNHYBY-INIZCTEOSA-N 0 3 320.436 4.337 20 0 DIADHN CC[C@@H]1CC[C@@H](C)C[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000430750517 338113544 /nfs/dbraw/zinc/11/35/44/338113544.db2.gz OMSYVEPFWSRHRW-CPUCHLNUSA-N 0 3 308.466 4.294 20 0 DIADHN CC(C)(C)OC1CCN(CCSCc2ccccc2)CC1 ZINC000430758279 338113991 /nfs/dbraw/zinc/11/39/91/338113991.db2.gz CAUXFGFHJAPKGK-UHFFFAOYSA-N 0 3 307.503 4.199 20 0 DIADHN Fc1cccc2c1OCC[C@H]2NCCSCc1ccccc1 ZINC000430756694 338114061 /nfs/dbraw/zinc/11/40/61/338114061.db2.gz DGHFNXNZFJZVSI-QGZVFWFLSA-N 0 3 317.429 4.172 20 0 DIADHN COCC[C@@H](NCCSCc1ccccc1)c1ccc(C)o1 ZINC000430772674 338114513 /nfs/dbraw/zinc/11/45/13/338114513.db2.gz CSDKPSKCWBKGJT-QGZVFWFLSA-N 0 3 319.470 4.189 20 0 DIADHN C[C@H](NCCc1ccco1)c1cc(Br)ccc1F ZINC000149334732 338114730 /nfs/dbraw/zinc/11/47/30/338114730.db2.gz SSKLQVSRCVGGGW-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccccc2OCc2ccncc2)C1 ZINC000430872263 338117716 /nfs/dbraw/zinc/11/77/16/338117716.db2.gz HMAYEXJWUWEPJF-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NCC[C@@H](O)C(C)C ZINC000431330411 338128139 /nfs/dbraw/zinc/12/81/39/338128139.db2.gz AZFDYSUMPBSIQM-QZTJIDSGSA-N 0 3 305.462 4.020 20 0 DIADHN CCN(C)C(=O)CCN1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000431405057 338129902 /nfs/dbraw/zinc/12/99/02/338129902.db2.gz PZPRFAAJYKCTRR-QGZVFWFLSA-N 0 3 322.880 4.126 20 0 DIADHN CCN(C)C(=O)CCN1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000431405056 338129971 /nfs/dbraw/zinc/12/99/71/338129971.db2.gz PZPRFAAJYKCTRR-KRWDZBQOSA-N 0 3 322.880 4.126 20 0 DIADHN CSc1cccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)c1 ZINC000431557177 338133713 /nfs/dbraw/zinc/13/37/13/338133713.db2.gz FAYAGIMXWTZMHL-KRWDZBQOSA-N 0 3 318.486 4.144 20 0 DIADHN Cc1ncc(CN(C)[C@@H](C)CCSc2ccccc2)s1 ZINC000431613011 338134713 /nfs/dbraw/zinc/13/47/13/338134713.db2.gz BZAXEOCVGWVIRB-ZDUSSCGKSA-N 0 3 306.500 4.454 20 0 DIADHN CC[C@@H](NC(=O)C[C@@H](c1ccccc1)N(C)C)c1cccs1 ZINC000431752662 338138072 /nfs/dbraw/zinc/13/80/72/338138072.db2.gz MLNSDDISKGEVAR-CVEARBPZSA-N 0 3 316.470 4.008 20 0 DIADHN CC(C(=O)Nc1ncc(-c2ccc(F)cc2)s1)C(F)(F)F ZINC000432204893 338148484 /nfs/dbraw/zinc/14/84/84/338148484.db2.gz IMBXLYFJTBLXJK-ZETCQYMHSA-N 0 3 318.295 4.086 20 0 DIADHN C[C@H](N[C@@H]1CCOC[C@@H]1C)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000432248017 338150517 /nfs/dbraw/zinc/15/05/17/338150517.db2.gz VBJMIPMOENQSBE-SLEUVZQESA-N 0 3 320.820 4.071 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432246755 338150557 /nfs/dbraw/zinc/15/05/57/338150557.db2.gz NUSWCJAZQVVSIC-JTQLQIEISA-N 0 3 306.208 4.180 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432252195 338151131 /nfs/dbraw/zinc/15/11/31/338151131.db2.gz DWUUMKKIDQBTFP-YPMHNXCESA-N 0 3 320.235 4.426 20 0 DIADHN Fc1ccc(CNCCCc2ccccn2)c(Cl)c1Cl ZINC000432254271 338151179 /nfs/dbraw/zinc/15/11/79/338151179.db2.gz NVOQMMGOWBBRQR-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN Clc1scc(CN[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)c1Cl ZINC000432257084 338151507 /nfs/dbraw/zinc/15/15/07/338151507.db2.gz VIPBCAJZVREVHD-JLLWLGSASA-N 0 3 318.269 4.102 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2csc(Cl)c2Cl)CCO1 ZINC000432258231 338151536 /nfs/dbraw/zinc/15/15/36/338151536.db2.gz YNYCHADXCJVBCT-WDEREUQCSA-N 0 3 308.274 4.348 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2csc(Cl)c2Cl)CCO1 ZINC000432258228 338151582 /nfs/dbraw/zinc/15/15/82/338151582.db2.gz YNYCHADXCJVBCT-GHMZBOCLSA-N 0 3 308.274 4.348 20 0 DIADHN CC[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H]1CCCO1 ZINC000432257576 338151628 /nfs/dbraw/zinc/15/16/28/338151628.db2.gz KFEJYFAUCGVEDS-RYUDHWBXSA-N 0 3 306.208 4.180 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](Oc2ccncc2)C1 ZINC000448523456 338152427 /nfs/dbraw/zinc/15/24/27/338152427.db2.gz ZIYIVLUSPSCYHX-MSOLQXFVSA-N 0 3 316.832 4.339 20 0 DIADHN CN(C)Cc1ccnc(NCc2csc(Cl)c2Cl)c1 ZINC000432416059 338155609 /nfs/dbraw/zinc/15/56/09/338155609.db2.gz FCYNYQGOUQUVNF-UHFFFAOYSA-N 0 3 316.257 4.124 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCC1CC(OC(C)(C)C)C1 ZINC000432448044 338156681 /nfs/dbraw/zinc/15/66/81/338156681.db2.gz RTYTZYDVZZONLU-HPUBIQBYSA-N 0 3 304.478 4.278 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2ccc3ccccc3c2)cn1 ZINC000432503628 338157797 /nfs/dbraw/zinc/15/77/97/338157797.db2.gz KMVIPLFRTMZRQF-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN Clc1ccccc1C1=CCN(CCC2CCOCC2)CC1 ZINC000432779451 338167300 /nfs/dbraw/zinc/16/73/00/338167300.db2.gz KESAWRQVWCZQHV-UHFFFAOYSA-N 0 3 305.849 4.246 20 0 DIADHN COc1ccc(CN2CC3(CCC3)C[C@H]2C)c(OC(F)F)c1 ZINC000432798297 338168391 /nfs/dbraw/zinc/16/83/91/338168391.db2.gz OPKJKOBGSPPNPN-GFCCVEGCSA-N 0 3 311.372 4.061 20 0 DIADHN CCOC(=O)c1csc(CN[C@@H]2CCC[C@H]2CCC(C)C)n1 ZINC000432799876 338168411 /nfs/dbraw/zinc/16/84/11/338168411.db2.gz ILYJHEAECPEEQB-UONOGXRCSA-N 0 3 324.490 4.014 20 0 DIADHN CC1(CN2CCCC[C@H]2c2nc(-c3ccccc3)no2)CCC1 ZINC000432817722 338168796 /nfs/dbraw/zinc/16/87/96/338168796.db2.gz BORASUULXGCCDD-INIZCTEOSA-N 0 3 311.429 4.454 20 0 DIADHN CCOC(=O)c1csc(CN[C@@H]2CCCC[C@@H]2C(C)(C)C)n1 ZINC000432831242 338169779 /nfs/dbraw/zinc/16/97/79/338169779.db2.gz IXILFAAQRXBXRT-QWHCGFSZSA-N 0 3 324.490 4.014 20 0 DIADHN C[C@H](CN(C)Cc1ccc(C(F)(F)F)s1)c1nccs1 ZINC000432914854 338172682 /nfs/dbraw/zinc/17/26/82/338172682.db2.gz JKHXSZULMLQPDX-SECBINFHSA-N 0 3 320.405 4.459 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ccc(C(F)(F)F)s2)C1 ZINC000432918542 338173109 /nfs/dbraw/zinc/17/31/09/338173109.db2.gz PPWXTMHVPCFLHK-LLVKDONJSA-N 0 3 307.381 4.015 20 0 DIADHN Fc1ccccc1-c1cnc(CN[C@H]2CC3CCC2CC3)o1 ZINC000433002122 338175826 /nfs/dbraw/zinc/17/58/26/338175826.db2.gz KVONWRQORCIOOQ-ZUEPYMLJSA-N 0 3 300.377 4.149 20 0 DIADHN COC[C@H](N[C@@H](C)c1ccc(SC(C)C)cc1)[C@H]1CCCO1 ZINC000433010338 338176119 /nfs/dbraw/zinc/17/61/19/338176119.db2.gz XRUMLGLJSWYAIM-JCGIZDLHSA-N 0 3 323.502 4.032 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@H]2C)c(SC)cc1OC ZINC000433019802 338176619 /nfs/dbraw/zinc/17/66/19/338176619.db2.gz AMPAVLIWUFTLHW-CYBMUJFWSA-N 0 3 321.486 4.190 20 0 DIADHN Cc1nc(CSCCN(C)Cc2ccccc2)c(C)s1 ZINC000433022499 338176788 /nfs/dbraw/zinc/17/67/88/338176788.db2.gz VPOCYUIQZPHDGC-UHFFFAOYSA-N 0 3 306.500 4.125 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@H]2C)ccc1OC(C)C ZINC000433026811 338176993 /nfs/dbraw/zinc/17/69/93/338176993.db2.gz RALXGHDZQQXIAZ-OAHLLOKOSA-N 0 3 303.446 4.247 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@@H]2C)ccc1OC(C)C ZINC000433026810 338177057 /nfs/dbraw/zinc/17/70/57/338177057.db2.gz RALXGHDZQQXIAZ-HNNXBMFYSA-N 0 3 303.446 4.247 20 0 DIADHN CCc1nc(CSCCN(C)Cc2ccccc2)cs1 ZINC000433027675 338177290 /nfs/dbraw/zinc/17/72/90/338177290.db2.gz MFOXPODQXKTLBB-UHFFFAOYSA-N 0 3 306.500 4.071 20 0 DIADHN COc1ccc(C)cc1CN1CCC(c2ncc(C)s2)CC1 ZINC000433029945 338177374 /nfs/dbraw/zinc/17/73/74/338177374.db2.gz DCBRVCCZMGXRGE-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN COC1(CN2CCC(c3ncccc3Cl)CC2)CCCCC1 ZINC000433033945 338177466 /nfs/dbraw/zinc/17/74/66/338177466.db2.gz GWEAMWXFPVZJPR-UHFFFAOYSA-N 0 3 322.880 4.264 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@H](c4ccccc4)C3)nc2c1 ZINC000433058457 338179104 /nfs/dbraw/zinc/17/91/04/338179104.db2.gz KLTFPUYQVPXJIZ-HNNXBMFYSA-N 0 3 310.372 4.347 20 0 DIADHN C[C@@H](NCC1(CO)CC2(CCC2)C1)c1ccc(F)cc1Cl ZINC000433059548 338179225 /nfs/dbraw/zinc/17/92/25/338179225.db2.gz UJRDYULWDOPTTN-GFCCVEGCSA-N 0 3 311.828 4.073 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCC1(CO)CC2(CCC2)C1 ZINC000433059297 338179290 /nfs/dbraw/zinc/17/92/90/338179290.db2.gz SUAVXFPBKPTYLC-HNNXBMFYSA-N 0 3 313.441 4.335 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@H](c4ccccc4)C3)oc2c1 ZINC000433063559 338179670 /nfs/dbraw/zinc/17/96/70/338179670.db2.gz SZCOQCXJWXOHJR-HNNXBMFYSA-N 0 3 310.372 4.347 20 0 DIADHN CCn1cccc(CN[C@@H](c2ccccc2)C2CCCCC2)c1=O ZINC000433070116 338180085 /nfs/dbraw/zinc/18/00/85/338180085.db2.gz WZQZTXYTGFMSGR-FQEVSTJZSA-N 0 3 324.468 4.279 20 0 DIADHN C[C@H](O)CCCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000433074195 338180224 /nfs/dbraw/zinc/18/02/24/338180224.db2.gz VGIDBVBWAGSCCA-SCLBCKFNSA-N 0 3 321.823 4.319 20 0 DIADHN CSC1(CN[C@H]2CCc3cc(Cl)cc(Cl)c32)CC1 ZINC000433072969 338180239 /nfs/dbraw/zinc/18/02/39/338180239.db2.gz DSEIWQDKCNNNIB-LBPRGKRZSA-N 0 3 302.270 4.466 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](CC2CCC2)c2ccccc2)cn1 ZINC000433073942 338180285 /nfs/dbraw/zinc/18/02/85/338180285.db2.gz HCBLCEKHONYRKL-IBGZPJMESA-N 0 3 323.440 4.061 20 0 DIADHN CSC1(CN[C@@H]2CCCOc3c(Cl)cc(C)cc32)CC1 ZINC000433091128 338181312 /nfs/dbraw/zinc/18/13/12/338181312.db2.gz UNFIRZYYIKHGDH-CQSZACIVSA-N 0 3 311.878 4.347 20 0 DIADHN CCn1cccc(CN[C@H](C)c2ccccc2C(C)(C)C)c1=O ZINC000433097972 338181587 /nfs/dbraw/zinc/18/15/87/338181587.db2.gz QQYTXZNALARBFO-OAHLLOKOSA-N 0 3 312.457 4.017 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](c2ccccc2)C2CCCC2)cn1 ZINC000433097142 338181677 /nfs/dbraw/zinc/18/16/77/338181677.db2.gz KYEQPWSVWLEEIR-FQEVSTJZSA-N 0 3 323.440 4.061 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000433115448 338182209 /nfs/dbraw/zinc/18/22/09/338182209.db2.gz JVGGOGAFJSQMKG-QGZVFWFLSA-N 0 3 316.832 4.280 20 0 DIADHN Cc1cnc(C2CCN([C@@H]3CCc4ccc(F)cc43)CC2)s1 ZINC000433135853 338182763 /nfs/dbraw/zinc/18/27/63/338182763.db2.gz KDPGKUUYZLQSHG-QGZVFWFLSA-N 0 3 316.445 4.458 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(c3ncc(C)s3)CC2)c1 ZINC000433134021 338182822 /nfs/dbraw/zinc/18/28/22/338182822.db2.gz PVBIEBKWBHQQFH-CQSZACIVSA-N 0 3 316.470 4.401 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3ccc(Cl)cc3)cccc21 ZINC000433188462 338184891 /nfs/dbraw/zinc/18/48/91/338184891.db2.gz ABFZGXCCKJGMQA-KRWDZBQOSA-N 0 3 316.832 4.337 20 0 DIADHN CCCN(CC1(C)CCC1)[C@@H](C)C(=O)Nc1ccccc1C ZINC000433192776 338185094 /nfs/dbraw/zinc/18/50/94/338185094.db2.gz MPHAOAXUDVCEHM-INIZCTEOSA-N 0 3 302.462 4.224 20 0 DIADHN CCc1ccc(CNc2cccc3c2OCC[C@H]3N(C)C)cc1 ZINC000433193925 338185194 /nfs/dbraw/zinc/18/51/94/338185194.db2.gz LPHFGUJGFGWLGH-LJQANCHMSA-N 0 3 310.441 4.246 20 0 DIADHN CCc1ccc(CNc2cccc3c2OCC[C@@H]3N(C)C)cc1 ZINC000433193923 338185225 /nfs/dbraw/zinc/18/52/25/338185225.db2.gz LPHFGUJGFGWLGH-IBGZPJMESA-N 0 3 310.441 4.246 20 0 DIADHN Cc1ccc(C2(CNCc3ccn(C(F)F)n3)CCC2)cc1C ZINC000433202851 338185811 /nfs/dbraw/zinc/18/58/11/338185811.db2.gz UOYQGHWCZJSEHM-UHFFFAOYSA-N 0 3 319.399 4.107 20 0 DIADHN c1cc(-c2ccc(CNCCc3csc4ccccc34)o2)n[nH]1 ZINC000433303246 338190433 /nfs/dbraw/zinc/19/04/33/338190433.db2.gz KLHYLIBJTMDHOO-UHFFFAOYSA-N 0 3 323.421 4.217 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2ccc(-c3cc[nH]n3)o2)c1 ZINC000433323699 338192289 /nfs/dbraw/zinc/19/22/89/338192289.db2.gz YDSDDBQISYZDOZ-UHFFFAOYSA-N 0 3 309.413 4.046 20 0 DIADHN Cc1cc(Br)ccc1CN[C@H](C)c1cccc(O)c1 ZINC000151784222 338193608 /nfs/dbraw/zinc/19/36/08/338193608.db2.gz BYTMZEYVMWIGRB-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN CC[C@H](C)[C@H](C)N(C(=O)C[C@H](c1ccccc1)N(C)C)C1CC1 ZINC000433363031 338193888 /nfs/dbraw/zinc/19/38/88/338193888.db2.gz QKQIXRHKVZOQDM-TXPKVOOTSA-N 0 3 316.489 4.105 20 0 DIADHN C[C@]1(CCCO)CCCN1CCc1cccc(Cl)c1Cl ZINC000434473900 338216917 /nfs/dbraw/zinc/21/69/17/338216917.db2.gz JEAOJRLNYAHMMU-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN Clc1cccc(CCN2CCC[C@H]3COCC[C@@H]32)c1Cl ZINC000434487569 338217946 /nfs/dbraw/zinc/21/79/46/338217946.db2.gz CKKQYZKJQROLIO-ZFWWWQNUSA-N 0 3 314.256 4.037 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1ncnn1C(C)C ZINC000434534783 338219614 /nfs/dbraw/zinc/21/96/14/338219614.db2.gz JVIGAANAFIWWOQ-ROUUACIJSA-N 0 3 312.461 4.017 20 0 DIADHN Clc1cccc(CCN2CCC3(C2)CCOCC3)c1Cl ZINC000434544897 338220093 /nfs/dbraw/zinc/22/00/93/338220093.db2.gz PTNIVZKYXGKXPG-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN CCOC[C@H]1CCCN(CCc2cccc(Cl)c2Cl)C1 ZINC000434544777 338220116 /nfs/dbraw/zinc/22/01/16/338220116.db2.gz ZXPMCZZZFRHGPP-ZDUSSCGKSA-N 0 3 316.272 4.284 20 0 DIADHN CN(Cc1c(-c2ccc(Cl)cc2)nc2sccn12)C1CC1 ZINC000434622187 338221510 /nfs/dbraw/zinc/22/15/10/338221510.db2.gz BMNLOAXRUMTJPG-UHFFFAOYSA-N 0 3 317.845 4.310 20 0 DIADHN Cc1nn(C)c(C)c1CN(C)[C@@H](c1ccc(F)cc1)C(C)C ZINC000434624743 338221655 /nfs/dbraw/zinc/22/16/55/338221655.db2.gz RPWQXGAOLDEFAV-GOSISDBHSA-N 0 3 303.425 4.005 20 0 DIADHN COc1ccnc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000434624649 338221685 /nfs/dbraw/zinc/22/16/85/338221685.db2.gz QPFIVSALNPSIDT-GOSISDBHSA-N 0 3 302.393 4.058 20 0 DIADHN CCCCCC(=O)Nc1ccc(-c2csc(CNC)n2)cc1 ZINC000434659946 338222721 /nfs/dbraw/zinc/22/27/21/338222721.db2.gz WKRDNVQSTOOQAV-UHFFFAOYSA-N 0 3 317.458 4.048 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(c2ccnn2CC)CC1 ZINC000434788998 338225565 /nfs/dbraw/zinc/22/55/65/338225565.db2.gz ZVYVXHLYUJRPSY-GOSISDBHSA-N 0 3 315.436 4.373 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC000434793596 338225842 /nfs/dbraw/zinc/22/58/42/338225842.db2.gz KZXACPOVCDXROQ-UHFFFAOYSA-N 0 3 311.473 4.406 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000434832862 338226193 /nfs/dbraw/zinc/22/61/93/338226193.db2.gz TTYYZRSHLZETBW-GOSISDBHSA-N 0 3 323.396 4.015 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(c2c[nH]cn2)CC1 ZINC000434985901 338228993 /nfs/dbraw/zinc/22/89/93/338228993.db2.gz LFUMWCMKHHHPFL-QGZVFWFLSA-N 0 3 303.837 4.394 20 0 DIADHN CN(C)[C@H]1CCOc2c(N[C@@H]3CCc4ccccc4C3)cccc21 ZINC000435023566 338229692 /nfs/dbraw/zinc/22/96/92/338229692.db2.gz LNOPJMJEQBPKAT-XLIONFOSSA-N 0 3 322.452 4.041 20 0 DIADHN C[C@@H](CNc1cccc2c1OCC[C@@H]2N(C)C)c1ccccc1 ZINC000435023729 338229787 /nfs/dbraw/zinc/22/97/87/338229787.db2.gz NDQZMIKFLHJMFL-KXBFYZLASA-N 0 3 310.441 4.287 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000154574390 338246145 /nfs/dbraw/zinc/24/61/45/338246145.db2.gz BRHCVMAQDXFDHE-ZDUSSCGKSA-N 0 3 321.873 4.273 20 0 DIADHN CCc1ncc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)s1 ZINC000435729464 338246533 /nfs/dbraw/zinc/24/65/33/338246533.db2.gz CZGHVAMRHNFPSH-MQMHXKEQSA-N 0 3 306.862 4.395 20 0 DIADHN CC(C)(C)n1cc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)cn1 ZINC000435731634 338246684 /nfs/dbraw/zinc/24/66/84/338246684.db2.gz MMKWZESENCTFDN-JCNLHEQBSA-N 0 3 317.864 4.327 20 0 DIADHN CCCc1csc(CN[C@H]2C[C@H](c3cccc(F)c3)C2)n1 ZINC000435734759 338246962 /nfs/dbraw/zinc/24/69/62/338246962.db2.gz YLJMKWVLMJUWOA-SAZUREKKSA-N 0 3 304.434 4.271 20 0 DIADHN CSc1ccc(CCNCc2ncc(C(C)C)s2)cc1 ZINC000435738235 338247136 /nfs/dbraw/zinc/24/71/36/338247136.db2.gz PVIWVHRVPQDKFW-UHFFFAOYSA-N 0 3 306.500 4.321 20 0 DIADHN CCCc1csc(CNCCc2ccc(SC)cc2)n1 ZINC000435744412 338247626 /nfs/dbraw/zinc/24/76/26/338247626.db2.gz XGMSFLJDGGBBBY-UHFFFAOYSA-N 0 3 306.500 4.150 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@H](c3ccccc3)C2)n1-c1ccccc1 ZINC000435780626 338248807 /nfs/dbraw/zinc/24/88/07/338248807.db2.gz FEWPFWQJTXKOAK-WGSAOQKQSA-N 0 3 317.436 4.217 20 0 DIADHN COc1cc(C)nc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)c1 ZINC000435781788 338248991 /nfs/dbraw/zinc/24/89/91/338248991.db2.gz KWQFRQLRUKYJJI-KOMQPUFPSA-N 0 3 316.832 4.088 20 0 DIADHN CCOc1cccc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)n1 ZINC000435783135 338249015 /nfs/dbraw/zinc/24/90/15/338249015.db2.gz SZKGOUOLUQEXFO-CZIWCDLHSA-N 0 3 316.832 4.170 20 0 DIADHN C[C@H]1OCC[C@@]1(C)NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000436409833 338271426 /nfs/dbraw/zinc/27/14/26/338271426.db2.gz JMIHBOCATHDHQB-NOZJJQNGSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1ccn2cc(CN[C@@H]3CC[C@H](c4ccccc4)C3)nc2c1 ZINC000436232335 338262719 /nfs/dbraw/zinc/26/27/19/338262719.db2.gz NQOUKIHVJNNHMR-ZWKOTPCHSA-N 0 3 305.425 4.069 20 0 DIADHN CCOc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1F ZINC000436236271 338262762 /nfs/dbraw/zinc/26/27/62/338262762.db2.gz BQTPKLSEOOOJAG-UHFFFAOYSA-N 0 3 316.351 4.135 20 0 DIADHN Cn1ncc(Cl)c1CNCC1(c2cccs2)CCCCC1 ZINC000436253231 338263737 /nfs/dbraw/zinc/26/37/37/338263737.db2.gz LTWJQMCLSUOHOA-UHFFFAOYSA-N 0 3 323.893 4.127 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNCCc2ccco2)c1 ZINC000436278321 338265336 /nfs/dbraw/zinc/26/53/36/338265336.db2.gz CWOODDDRZPSXOD-UHFFFAOYSA-N 0 3 303.711 4.284 20 0 DIADHN CSc1ccc(CNCc2c[nH]nc2-c2ccsc2)cc1 ZINC000436279380 338265410 /nfs/dbraw/zinc/26/54/10/338265410.db2.gz ATCNOSVESVDARG-UHFFFAOYSA-N 0 3 315.467 4.150 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1ccc(F)cn1 ZINC000436282843 338265648 /nfs/dbraw/zinc/26/56/48/338265648.db2.gz KGDDXRUKJVVHPL-JQFCIGGWSA-N 0 3 302.368 4.347 20 0 DIADHN CCc1nn(C)cc1CN[C@@H]1CC(C)(C)CCc2ccccc21 ZINC000436299155 338267415 /nfs/dbraw/zinc/26/74/15/338267415.db2.gz JCNROTCXNGSEES-LJQANCHMSA-N 0 3 311.473 4.176 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cc2c(cccc2F)[nH]1 ZINC000436300523 338267549 /nfs/dbraw/zinc/26/75/49/338267549.db2.gz VMHMSSUZGQNOGI-QGZVFWFLSA-N 0 3 310.372 4.093 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1nc2ccccc2n1C ZINC000436313505 338268657 /nfs/dbraw/zinc/26/86/57/338268657.db2.gz WTDPQINEDWREKC-FRFSOERESA-N 0 3 323.415 4.309 20 0 DIADHN COCc1cnc(CN[C@H]2CCC[C@@H](C(C)(C)C)CC2)s1 ZINC000436401571 338270820 /nfs/dbraw/zinc/27/08/20/338270820.db2.gz WEMBVCNKZSFVKY-KGLIPLIRSA-N 0 3 310.507 4.374 20 0 DIADHN Cc1ccc(CNCCc2cn(C)c3cc(Cl)ccc23)o1 ZINC000436403219 338270999 /nfs/dbraw/zinc/27/09/99/338270999.db2.gz HIOCXCWSLOOKHI-UHFFFAOYSA-N 0 3 302.805 4.065 20 0 DIADHN c1cc(-c2n[nH]cc2CN[C@H]2CCCc3sccc32)cs1 ZINC000436408034 338271319 /nfs/dbraw/zinc/27/13/19/338271319.db2.gz UWCDVMWAFLCPHS-AWEZNQCLSA-N 0 3 315.467 4.367 20 0 DIADHN CC[C@H](C)NC(=O)c1cccc(CNC2CC(C(C)(C)C)C2)c1 ZINC000436469089 338273317 /nfs/dbraw/zinc/27/33/17/338273317.db2.gz XWGLZVZMRJCZKC-NNGSBXSVSA-N 0 3 316.489 4.129 20 0 DIADHN COc1cc(CN2CCC(c3ccc(O)cc3)CC2)ccc1C ZINC000436486048 338273868 /nfs/dbraw/zinc/27/38/68/338273868.db2.gz MNBAELRTYWCFPA-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@@H]1CCC(C)(C)O1)CCCS2 ZINC000437019071 338298013 /nfs/dbraw/zinc/29/80/13/338298013.db2.gz SWILBIRAHWGEJS-GOEBONIOSA-N 0 3 321.486 4.169 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@H](C)C2)nnn1-c1cccc(Cl)c1 ZINC000437062420 338299065 /nfs/dbraw/zinc/29/90/65/338299065.db2.gz CNHNSTAEKPZXFQ-SLEUVZQESA-N 0 3 318.852 4.068 20 0 DIADHN COC[C@@H](CC(C)C)N[C@@H](C)c1ccc(Br)s1 ZINC000437082896 338299805 /nfs/dbraw/zinc/29/98/05/338299805.db2.gz MSHOEQVBPWQKLL-WDEREUQCSA-N 0 3 320.296 4.222 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2N(C)C(C)C)cc1 ZINC000437085895 338300075 /nfs/dbraw/zinc/30/00/75/338300075.db2.gz COVGMZIEROWAKD-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN Fc1cccc(C2CC(N[C@@H]3CCOc4c(F)cccc43)C2)c1 ZINC000437087621 338300184 /nfs/dbraw/zinc/30/01/84/338300184.db2.gz AMYWBRMPIOFMPG-LEHRNKBSSA-N 0 3 315.363 4.324 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCc2ccccc2N(C)C(C)C)s1 ZINC000437089881 338300292 /nfs/dbraw/zinc/30/02/92/338300292.db2.gz CYMRULPPWLYYKW-CYBMUJFWSA-N 0 3 317.502 4.455 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1OC ZINC000437092132 338300449 /nfs/dbraw/zinc/30/04/49/338300449.db2.gz ZFXZZXOKZUMQSZ-YPMHNXCESA-N 0 3 319.367 4.331 20 0 DIADHN C[C@@H]1[C@@H](N[C@@H]2CCOc3c(F)cccc32)C[C@@H]1c1ccccc1 ZINC000437096258 338300568 /nfs/dbraw/zinc/30/05/68/338300568.db2.gz LCUDRMYSILERAK-IVJVFBROSA-N 0 3 311.400 4.431 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)OCO2 ZINC000437107696 338301324 /nfs/dbraw/zinc/30/13/24/338301324.db2.gz ZSMOCDCEDUSBGJ-CMPLNLGQSA-N 0 3 303.324 4.043 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)c1 ZINC000437109983 338301447 /nfs/dbraw/zinc/30/14/47/338301447.db2.gz KNYZPWNPRGNFOL-FZMZJTMJSA-N 0 3 319.367 4.331 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC[C@H]2c2ccccc2)cs1 ZINC000437574595 338313926 /nfs/dbraw/zinc/31/39/26/338313926.db2.gz FVDOUEPISRHZJJ-KRWDZBQOSA-N 0 3 309.438 4.475 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1c[nH]nc1-c1ccsc1 ZINC000437593315 338315553 /nfs/dbraw/zinc/31/55/53/338315553.db2.gz UALIXYCMQRSSMY-NSHDSACASA-N 0 3 303.456 4.393 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccsc2)s1 ZINC000437600699 338315872 /nfs/dbraw/zinc/31/58/72/338315872.db2.gz DWBKAMSDRJQPTP-UHFFFAOYSA-N 0 3 303.456 4.140 20 0 DIADHN Cc1ccc(CCN2CCC[C@@H]2c2nc3ccccc3n2C)cc1 ZINC000437605105 338316000 /nfs/dbraw/zinc/31/60/00/338316000.db2.gz RDQJUBQYWHTCNE-HXUWFJFHSA-N 0 3 319.452 4.261 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc2nc(C)ccc2c1 ZINC000437772987 338320852 /nfs/dbraw/zinc/32/08/52/338320852.db2.gz JEROMAUOJQAFPD-HNNXBMFYSA-N 0 3 305.425 4.351 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1F)[C@@H]1COc2ccccc21 ZINC000437784655 338321269 /nfs/dbraw/zinc/32/12/69/338321269.db2.gz KCQJKVRMYMWDLH-ABAIWWIYSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1F)[C@H]1COc2ccccc21 ZINC000437784658 338321332 /nfs/dbraw/zinc/32/13/32/338321332.db2.gz KCQJKVRMYMWDLH-XHDPSFHLSA-N 0 3 305.780 4.133 20 0 DIADHN COCC[C@@H](NC[C@@H](C)c1ccc(F)cc1)c1ccc(C)o1 ZINC000437787536 338321546 /nfs/dbraw/zinc/32/15/46/338321546.db2.gz LKLPINWLBKYTSX-CXAGYDPISA-N 0 3 305.393 4.198 20 0 DIADHN Cc1ccc(NCCCCOc2ccccc2)c(CN(C)C)c1 ZINC000438078995 338330123 /nfs/dbraw/zinc/33/01/23/338330123.db2.gz VDJWBXSYGAJEMS-UHFFFAOYSA-N 0 3 312.457 4.328 20 0 DIADHN Cc1ncsc1CN(C)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000438101281 338331653 /nfs/dbraw/zinc/33/16/53/338331653.db2.gz FSWMEHAUODRNNO-SJLPKXTDSA-N 0 3 300.471 4.394 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc3c(cccc3F)[nH]2)C1 ZINC000438105442 338331836 /nfs/dbraw/zinc/33/18/36/338331836.db2.gz BWZXKDFZUAWCJD-AWEZNQCLSA-N 0 3 324.399 4.305 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc3c(cccc3F)[nH]2)C1 ZINC000438109588 338332258 /nfs/dbraw/zinc/33/22/58/338332258.db2.gz OVYDQMUOVRVVMC-KGLIPLIRSA-N 0 3 306.450 4.413 20 0 DIADHN C[C@]1(c2ccccc2)CCN(Cc2c[nH]nc2-c2ccsc2)C1 ZINC000438119485 338333294 /nfs/dbraw/zinc/33/32/94/338333294.db2.gz PNEZZKIHMIMHGY-IBGZPJMESA-N 0 3 323.465 4.302 20 0 DIADHN Cc1ccc2cc(CNC3(c4ccc(F)cc4)CC3)ccc2n1 ZINC000438175413 338334848 /nfs/dbraw/zinc/33/48/48/338334848.db2.gz IZGGWCLRTVXZIX-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN COC[C@@H](NC[C@H](C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000438176315 338334875 /nfs/dbraw/zinc/33/48/75/338334875.db2.gz KCALWCUXYSNQAY-SCLBCKFNSA-N 0 3 305.368 4.046 20 0 DIADHN COc1ccccc1O[C@@H](C)CNCc1ccc(Cl)cc1F ZINC000438176165 338334911 /nfs/dbraw/zinc/33/49/11/338334911.db2.gz KBVJCGXJEAMZSR-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3cnn(C)c3)C(C)(C)C)ccc2n1 ZINC000438180811 338335256 /nfs/dbraw/zinc/33/52/56/338335256.db2.gz STPBBWSWLYGJQG-IBGZPJMESA-N 0 3 322.456 4.154 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000438201967 338336462 /nfs/dbraw/zinc/33/64/62/338336462.db2.gz RKQAKSCOOYXZCP-OUAUKWLOSA-N 0 3 322.396 4.061 20 0 DIADHN Clc1cccc([C@@H](NCC[C@H]2CCOC2)c2ccccc2)c1 ZINC000438205117 338336622 /nfs/dbraw/zinc/33/66/22/338336622.db2.gz VOWSDGWCKUUXPC-KXBFYZLASA-N 0 3 315.844 4.446 20 0 DIADHN Cc1csc(C2(NC[C@@H](C)c3ccc(F)cc3)CCC2)n1 ZINC000438218022 338337030 /nfs/dbraw/zinc/33/70/30/338337030.db2.gz VVMSMUHUUIBDNT-GFCCVEGCSA-N 0 3 304.434 4.363 20 0 DIADHN Cc1csc(C2(NC[C@H](C)c3ccc(F)cc3)CCC2)n1 ZINC000438218025 338337074 /nfs/dbraw/zinc/33/70/74/338337074.db2.gz VVMSMUHUUIBDNT-LBPRGKRZSA-N 0 3 304.434 4.363 20 0 DIADHN CCc1ccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)cc1 ZINC000438228972 338337316 /nfs/dbraw/zinc/33/73/16/338337316.db2.gz UXQHMIQLZQJQDG-QGZVFWFLSA-N 0 3 311.429 4.379 20 0 DIADHN Clc1ccc(-c2noc([C@H]3CCCN3C3CCCC3)n2)cc1 ZINC000438234492 338337483 /nfs/dbraw/zinc/33/74/83/338337483.db2.gz BCVRHPKAMAWXHY-OAHLLOKOSA-N 0 3 317.820 4.470 20 0 DIADHN Cc1ccoc1CN1CCC(c2nc3cccc(C)c3o2)CC1 ZINC000438334607 338339908 /nfs/dbraw/zinc/33/99/08/338339908.db2.gz MCNUSQOPLZARPJ-UHFFFAOYSA-N 0 3 310.397 4.417 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3Cl)o2)CC[C@@H]1O ZINC000438330447 338339940 /nfs/dbraw/zinc/33/99/40/338339940.db2.gz YUHNMAFVEJQMKJ-KRWDZBQOSA-N 0 3 319.832 4.193 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cnc(-c3ccccn3)s2)C1 ZINC000438337491 338340133 /nfs/dbraw/zinc/34/01/33/338340133.db2.gz GTQVUPGDLLENMM-CQSZACIVSA-N 0 3 301.459 4.073 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)s1)CC1(CO)CC1 ZINC000438338884 338340243 /nfs/dbraw/zinc/34/02/43/338340243.db2.gz LUJBYPDJZPCDTI-UHFFFAOYSA-N 0 3 321.873 4.273 20 0 DIADHN CC1(C)CCC[C@@](O)(CNCc2cnc(C3CCCC3)s2)C1 ZINC000438351171 338340790 /nfs/dbraw/zinc/34/07/90/338340790.db2.gz FVXSVYPZMZQHOX-SFHVURJKSA-N 0 3 322.518 4.222 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](CC(F)(F)F)C(C)C)s1 ZINC000438472014 338344938 /nfs/dbraw/zinc/34/49/38/338344938.db2.gz VWLABPLHEHHYCW-LBPRGKRZSA-N 0 3 323.428 4.056 20 0 DIADHN COc1ccc2ccccc2c1CNC[C@H]1CCCCS1 ZINC000156338760 338352649 /nfs/dbraw/zinc/35/26/49/338352649.db2.gz BKUKNGMGFRJOKC-OAHLLOKOSA-N 0 3 301.455 4.224 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCCOc1ccccc1Cl)CCC2 ZINC000438829050 338352875 /nfs/dbraw/zinc/35/28/75/338352875.db2.gz OQZFTTDOJCFWEV-QGZVFWFLSA-N 0 3 317.816 4.092 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@@H]3CCCCS3)oc21 ZINC000156369136 338355351 /nfs/dbraw/zinc/35/53/51/338355351.db2.gz SITZKJKJCPMZIZ-OCCSQVGLSA-N 0 3 305.443 4.378 20 0 DIADHN C[C@@H](O)CCN1CCC(=Cc2cc(Cl)ccc2Cl)CC1 ZINC000438983023 338357701 /nfs/dbraw/zinc/35/77/01/338357701.db2.gz AWXOJXMQJGKXCZ-GFCCVEGCSA-N 0 3 314.256 4.244 20 0 DIADHN CC(C)[C@H]1CCC[C@H]1NCc1nccn1CCc1ccccc1 ZINC000438999092 338358097 /nfs/dbraw/zinc/35/80/97/338358097.db2.gz LFWZNTBFPSYOMI-RTBURBONSA-N 0 3 311.473 4.040 20 0 DIADHN CC(C(=O)Nc1cccc(NC2CCCC2)c1)C(F)(F)F ZINC000439250192 338364948 /nfs/dbraw/zinc/36/49/48/338364948.db2.gz SUEQTHPGFMDQIQ-SNVBAGLBSA-N 0 3 300.324 4.178 20 0 DIADHN Cc1nc(CCN2CC[C@@H](c3ccccc3)C[C@@H]2C)cs1 ZINC000439313664 338366573 /nfs/dbraw/zinc/36/65/73/338366573.db2.gz ICVREGRJHZWRAP-WMLDXEAASA-N 0 3 300.471 4.262 20 0 DIADHN CC1(C)C[C@@H]1CNCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000439470866 338370593 /nfs/dbraw/zinc/37/05/93/338370593.db2.gz POEAIVRLBFYGEW-ZBFHGGJFSA-N 0 3 323.864 4.034 20 0 DIADHN C[C@H](O)CCNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439476485 338370940 /nfs/dbraw/zinc/37/09/40/338370940.db2.gz HWSPUFDWWALYIQ-AWEZNQCLSA-N 0 3 301.430 4.105 20 0 DIADHN C[C@@H](Nc1ccnc2ccccc21)c1ccc(-n2cccn2)cc1 ZINC000439691926 338375930 /nfs/dbraw/zinc/37/59/30/338375930.db2.gz IROCNSCQTHYQIY-OAHLLOKOSA-N 0 3 314.392 4.016 20 0 DIADHN CC(C)CC(C)(C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000439708550 338376230 /nfs/dbraw/zinc/37/62/30/338376230.db2.gz YSOAXMPRUVHTID-GOSISDBHSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)COc1cccc(CN2CCC([C@H]3CCCO3)CC2)c1 ZINC000439775229 338377632 /nfs/dbraw/zinc/37/76/32/338377632.db2.gz OUJGQUDWEXVGJC-HXUWFJFHSA-N 0 3 317.473 4.112 20 0 DIADHN CC(C)COc1ccc(CN2CCC([C@@H]3CCCO3)CC2)cc1 ZINC000439795338 338378410 /nfs/dbraw/zinc/37/84/10/338378410.db2.gz UDAQSZVIMPEZFD-FQEVSTJZSA-N 0 3 317.473 4.112 20 0 DIADHN CC(C)CC(C)(C)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000439795459 338378441 /nfs/dbraw/zinc/37/84/41/338378441.db2.gz RPXFABZFHPIUTE-WMZOPIPTSA-N 0 3 316.489 4.010 20 0 DIADHN CC1(CN2CCCC[C@@H]2c2ccccc2C(F)(F)F)COC1 ZINC000439799874 338378670 /nfs/dbraw/zinc/37/86/70/338378670.db2.gz IFQNDWMRCDSXDX-OAHLLOKOSA-N 0 3 313.363 4.269 20 0 DIADHN Fc1cccc(CNCCCc2ccccc2)c1Br ZINC000156704362 338380372 /nfs/dbraw/zinc/38/03/72/338380372.db2.gz FXURSISKWJKEQH-UHFFFAOYSA-N 0 3 322.221 4.311 20 0 DIADHN Cc1csc(C(C)(C)NCCCOc2ccc(C)c(C)c2)n1 ZINC000440475745 338388082 /nfs/dbraw/zinc/38/80/82/338388082.db2.gz FHKSXUPZXSGUCL-UHFFFAOYSA-N 0 3 318.486 4.362 20 0 DIADHN OC[C@@]1(NCc2csc3ccccc23)CCCc2ccccc21 ZINC000440474669 338388099 /nfs/dbraw/zinc/38/80/99/338388099.db2.gz BYKMAGKHWLBIDX-FQEVSTJZSA-N 0 3 323.461 4.215 20 0 DIADHN COC(=O)CC1(CN[C@@H](C)c2ccc(SC(C)C)cc2)CC1 ZINC000440592115 338391554 /nfs/dbraw/zinc/39/15/54/338391554.db2.gz FCUUXOLFAVOXAY-AWEZNQCLSA-N 0 3 321.486 4.181 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1cccc(-n2cccn2)c1 ZINC000440625071 338393047 /nfs/dbraw/zinc/39/30/47/338393047.db2.gz MZTKBDRYMVWOPH-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN COc1ccnc(CN[C@H]2CC(C)(C)CCc3ccccc32)c1 ZINC000440646538 338394119 /nfs/dbraw/zinc/39/41/19/338394119.db2.gz MWIMFQZXSXKUMY-IBGZPJMESA-N 0 3 310.441 4.284 20 0 DIADHN CC[C@H](F)CNC(C)(C)Cc1ccc(Br)cc1 ZINC000440797111 338397886 /nfs/dbraw/zinc/39/78/86/338397886.db2.gz XVNRBJLGQDYCBP-ZDUSSCGKSA-N 0 3 302.231 4.108 20 0 DIADHN COc1cc(CN2CCC(C(C)C)CC2)ccc1OC(F)F ZINC000441469018 338414674 /nfs/dbraw/zinc/41/46/74/338414674.db2.gz RPNDIFYGRXGBAE-UHFFFAOYSA-N 0 3 313.388 4.165 20 0 DIADHN Cc1ccc(CNC(C)(C)c2ccc(Br)cc2)cn1 ZINC000157372462 338421879 /nfs/dbraw/zinc/42/18/79/338421879.db2.gz KXGLDEIWSSJQOI-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN Cc1cncc(CNC(C)(C)c2ccc(Br)cc2)c1 ZINC000442691142 338428173 /nfs/dbraw/zinc/42/81/73/338428173.db2.gz XHGWLRFNJQTBCH-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)N[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442715292 338428776 /nfs/dbraw/zinc/42/87/76/338428776.db2.gz ZXXJCLRWXGHCEI-TZMCWYRMSA-N 0 3 324.399 4.134 20 0 DIADHN COc1ccc([C@H](C)NCCc2ccc3[nH]ccc3c2)c(F)c1 ZINC000442795430 338431987 /nfs/dbraw/zinc/43/19/87/338431987.db2.gz DTSPKRAWUWGWNN-ZDUSSCGKSA-N 0 3 312.388 4.209 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1sc(C(C)(C)C)nc1C ZINC000442807847 338432866 /nfs/dbraw/zinc/43/28/66/338432866.db2.gz FYGWACSHBYHLMI-UBHSHLNASA-N 0 3 310.507 4.357 20 0 DIADHN C[S@](=O)C1(CN[C@H](c2cc3ccccc3o2)C(C)(C)C)CC1 ZINC000442808096 338432974 /nfs/dbraw/zinc/43/29/74/338432974.db2.gz GMHZKSAFJRRHOI-ZHRRBRCNSA-N 0 3 319.470 4.021 20 0 DIADHN FC(F)C1CCC(N[C@@H]2COc3ccc(Cl)cc32)CC1 ZINC000442853715 338434885 /nfs/dbraw/zinc/43/48/85/338434885.db2.gz VFRNFADAGJFMGZ-QEIKUCIBSA-N 0 3 301.764 4.187 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H]1CC(C)(C)CCc2ccccc21 ZINC000442873735 338436930 /nfs/dbraw/zinc/43/69/30/338436930.db2.gz LIZMKWGYGBSOQZ-UYAOXDASSA-N 0 3 323.484 4.491 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@H]2NCc2cncc(C)c2)c1 ZINC000442889122 338438518 /nfs/dbraw/zinc/43/85/18/338438518.db2.gz CPKJSWULALJWOR-UXHICEINSA-N 0 3 310.441 4.178 20 0 DIADHN COC[C@@H](NCc1ccc(-c2csc(C)n2)o1)C1CCCC1 ZINC000446755513 338535118 /nfs/dbraw/zinc/53/51/18/338535118.db2.gz VYQNLRSAUQCZKN-OAHLLOKOSA-N 0 3 320.458 4.006 20 0 DIADHN CCO[C@@H](CNCc1cnc(C2CCC2)s1)c1ccccc1 ZINC000446844405 338538137 /nfs/dbraw/zinc/53/81/37/338538137.db2.gz GVWUPTJIELIRJS-KRWDZBQOSA-N 0 3 316.470 4.278 20 0 DIADHN CCO[C@H](CNCc1cccc(OC(F)F)c1)c1ccccc1 ZINC000446846578 338538278 /nfs/dbraw/zinc/53/82/78/338538278.db2.gz BNZYXQZPZRHYAZ-QGZVFWFLSA-N 0 3 321.367 4.155 20 0 DIADHN C[C@@H]1[C@@H](NCc2ccc3c(n2)CCCC3)C[C@@H]1c1ccccc1 ZINC000446895192 338539909 /nfs/dbraw/zinc/53/99/09/338539909.db2.gz AQTPLGDURDMFEA-ZRCAFCQKSA-N 0 3 306.453 4.242 20 0 DIADHN CC(C)[C@H](COCc1ccccc1)NCc1ccc(Cl)cn1 ZINC000446927873 338540756 /nfs/dbraw/zinc/54/07/56/338540756.db2.gz CUGQYZZDAFTJJU-SFHVURJKSA-N 0 3 318.848 4.066 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC(Oc2ncccc2F)CC1 ZINC000447358755 338550527 /nfs/dbraw/zinc/55/05/27/338550527.db2.gz ZYGZRNKKLXYSSR-GOSISDBHSA-N 0 3 314.404 4.215 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc3c(c2)OCO3)c2ccccc21 ZINC000447515124 338553408 /nfs/dbraw/zinc/55/34/08/338553408.db2.gz JKKHLNLRSIMTFX-ZWKOTPCHSA-N 0 3 309.409 4.390 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cccnc2N(C)C)c2ccccc21 ZINC000447541331 338555599 /nfs/dbraw/zinc/55/55/99/338555599.db2.gz GBPNCCPIAFCNLK-RTBURBONSA-N 0 3 309.457 4.122 20 0 DIADHN CCO[C@H](CN[C@H](CC)c1ccc(F)cn1)c1ccccc1 ZINC000447567267 338557356 /nfs/dbraw/zinc/55/73/56/338557356.db2.gz AFGWCASOSPNOHO-SJLPKXTDSA-N 0 3 302.393 4.039 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H](C)[C@H]1CCCO1 ZINC000447576377 338558308 /nfs/dbraw/zinc/55/83/08/338558308.db2.gz AZJPSEHALMUAPG-VHDGCEQUSA-N 0 3 301.352 4.146 20 0 DIADHN C[C@@H](NC1(c2c(Cl)cccc2Cl)CCC1)[C@@H]1CCOC1 ZINC000447578959 338558600 /nfs/dbraw/zinc/55/86/00/338558600.db2.gz LXALDBLHZRGOQC-VXGBXAGGSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H](N[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32)c1ccnn1C ZINC000447586842 338559630 /nfs/dbraw/zinc/55/96/30/338559630.db2.gz PBRLRJSFTMISJT-VBQJREDUSA-N 0 3 309.457 4.371 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@@H](c2ccccc2)N(C)C)c(C)o1 ZINC000447595455 338560818 /nfs/dbraw/zinc/56/08/18/338560818.db2.gz NQVPULLYGDONJB-KHYOSLBOSA-N 0 3 300.446 4.238 20 0 DIADHN Cc1cc([C@H](C)NCCc2ccccc2OC(F)F)c(C)o1 ZINC000447597572 338561087 /nfs/dbraw/zinc/56/10/87/338561087.db2.gz FRZCUTXHRRRPAU-LBPRGKRZSA-N 0 3 309.356 4.391 20 0 DIADHN CCCc1ccc([C@H](C)NCCOCC(F)(F)C(F)F)cc1 ZINC000447599553 338561245 /nfs/dbraw/zinc/56/12/45/338561245.db2.gz KJGHSGIEOKIJOD-LBPRGKRZSA-N 0 3 321.358 4.207 20 0 DIADHN CCSCC[C@@H](C)NCc1cscc1Br ZINC000336659951 338563603 /nfs/dbraw/zinc/56/36/03/338563603.db2.gz BRZQFASHOIWTCJ-SECBINFHSA-N 0 3 308.310 4.132 20 0 DIADHN COCCC1(CNCc2ncc(C(C)(C)C)s2)CCCC1 ZINC000447893677 338570503 /nfs/dbraw/zinc/57/05/03/338570503.db2.gz PFDROQQGELCGEJ-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC(C(F)F)CC1 ZINC000449026703 338585155 /nfs/dbraw/zinc/58/51/55/338585155.db2.gz GMHDIOCIZOIUHW-UHFFFAOYSA-N 0 3 305.368 4.326 20 0 DIADHN Cc1cc(CN[C@H](c2ccc3c(c2)OCCO3)C(C)C)cs1 ZINC000449063120 338589621 /nfs/dbraw/zinc/58/96/21/338589621.db2.gz NYFNTSMGPMECLN-SFHVURJKSA-N 0 3 317.454 4.315 20 0 DIADHN Cn1cc(CN[C@H]2CCc3ccccc32)c(-c2ccc(F)cc2)n1 ZINC000449067134 338590027 /nfs/dbraw/zinc/59/00/27/338590027.db2.gz ISZCJNQFHNMVIR-IBGZPJMESA-N 0 3 321.399 4.003 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2csc(C)c2)cc1OC ZINC000449069147 338590245 /nfs/dbraw/zinc/59/02/45/338590245.db2.gz DUDVQMLNSFAPNU-CYBMUJFWSA-N 0 3 305.443 4.315 20 0 DIADHN CC[C@@H]1C[C@H](NCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000449079444 338591320 /nfs/dbraw/zinc/59/13/20/338591320.db2.gz YVRJZCFPJJJCNK-HZPDHXFCSA-N 0 3 303.377 4.133 20 0 DIADHN CC[C@H](NCc1csc(C)c1)c1ccc2c(c1)OCCO2 ZINC000449088545 338592349 /nfs/dbraw/zinc/59/23/49/338592349.db2.gz ZEDBYUGMIPXQMZ-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN c1cc2cccc(CN[C@H](c3ccccc3)[C@H]3CCCO3)c2o1 ZINC000449097443 338593157 /nfs/dbraw/zinc/59/31/57/338593157.db2.gz DJANWJCDMSOSAI-RTBURBONSA-N 0 3 307.393 4.443 20 0 DIADHN Cc1csc([C@H](C)N[C@@H](C)Cc2coc3ccccc23)n1 ZINC000449119293 338594954 /nfs/dbraw/zinc/59/49/54/338594954.db2.gz XYHMAWYWFCDXFQ-AAEUAGOBSA-N 0 3 300.427 4.480 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](C)c2nc(-c3ccccc3)cs2)CCO1 ZINC000449129685 338595815 /nfs/dbraw/zinc/59/58/15/338595815.db2.gz VVYJUQSLNWXPNB-FVQBIDKESA-N 0 3 316.470 4.418 20 0 DIADHN CCCN[C@H](C)C(=O)Nc1ccccc1Oc1ccc(C)cc1 ZINC000449162597 338598282 /nfs/dbraw/zinc/59/82/82/338598282.db2.gz SJECRXQQUCUDGD-OAHLLOKOSA-N 0 3 312.413 4.114 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2cc3cccnc3o2)cc1 ZINC000449185265 338600372 /nfs/dbraw/zinc/60/03/72/338600372.db2.gz NORGGGAAWYCAMO-OALUTQOASA-N 0 3 322.408 4.146 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cc2cccnc2o1 ZINC000449196530 338601382 /nfs/dbraw/zinc/60/13/82/338601382.db2.gz XMMZRSGZLJVKOS-HNNXBMFYSA-N 0 3 312.438 4.488 20 0 DIADHN C[C@H](CNCc1ccn(C2CCCC2)n1)c1cc(F)cc(F)c1 ZINC000449197819 338601763 /nfs/dbraw/zinc/60/17/63/338601763.db2.gz XZOYPNWBXPQAOB-CYBMUJFWSA-N 0 3 319.399 4.170 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NC1CCC(F)(F)CC1)CC2 ZINC000449209421 338602607 /nfs/dbraw/zinc/60/26/07/338602607.db2.gz QPLIQRNCIRMQLS-NSHDSACASA-N 0 3 305.290 4.259 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2ccnn2C2CCCC2)CCO1 ZINC000449225328 338604043 /nfs/dbraw/zinc/60/40/43/338604043.db2.gz KGJYVCNPLXWYOT-QFBILLFUSA-N 0 3 319.493 4.072 20 0 DIADHN Clc1cc(CN[C@@H]2CCO[C@H](C3CC3)C2)c(Cl)s1 ZINC000449251849 338606957 /nfs/dbraw/zinc/60/69/57/338606957.db2.gz QXAHQLNAIIWKBE-MNOVXSKESA-N 0 3 306.258 4.102 20 0 DIADHN CO[C@@H](CCNCc1cc(Cl)sc1Cl)C(F)(F)F ZINC000449270996 338608546 /nfs/dbraw/zinc/60/85/46/338608546.db2.gz DYCTYNWXPCQPCW-ZETCQYMHSA-N 0 3 322.179 4.112 20 0 DIADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccccc1SC(C)C ZINC000449289476 338609863 /nfs/dbraw/zinc/60/98/63/338609863.db2.gz STVJOSDTNNXHII-CQSZACIVSA-N 0 3 308.491 4.150 20 0 DIADHN COc1cc2ccccc2cc1CN[C@@H]1CCC[C@H]1OC(F)F ZINC000449305405 338611432 /nfs/dbraw/zinc/61/14/32/338611432.db2.gz GAWHKUAPOZHLLS-HZPDHXFCSA-N 0 3 321.367 4.098 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cnc(-c3ccc(Cl)cc3)s2)[C@H](C)O1 ZINC000449309582 338611678 /nfs/dbraw/zinc/61/16/78/338611678.db2.gz BNJOJTAJKKLEQZ-JRPNMDOOSA-N 0 3 322.861 4.119 20 0 DIADHN Cc1nnsc1CN[C@H]1CCC[C@H]1Cc1ccc(Cl)cc1 ZINC000449336247 338614371 /nfs/dbraw/zinc/61/43/71/338614371.db2.gz MFKRJMJWWGAKGE-ZFWWWQNUSA-N 0 3 321.877 4.001 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1C1CCCC1)CCC2 ZINC000449336918 338614453 /nfs/dbraw/zinc/61/44/53/338614453.db2.gz PMMKFIICJNNQMA-HXUWFJFHSA-N 0 3 309.457 4.474 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1ccc(-n2cccn2)cc1 ZINC000449349467 338616196 /nfs/dbraw/zinc/61/61/96/338616196.db2.gz JSPYLXZUFOVSFN-FQEVSTJZSA-N 0 3 321.399 4.179 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1ccnn1-c1ccccc1 ZINC000449351368 338616474 /nfs/dbraw/zinc/61/64/74/338616474.db2.gz OVOFFCQVROVPCQ-HXUWFJFHSA-N 0 3 321.399 4.179 20 0 DIADHN CC(F)(F)CCN[C@@H]1CCc2c1ccc(F)c2Br ZINC000449353677 338616974 /nfs/dbraw/zinc/61/69/74/338616974.db2.gz FJMRKZYUVPNUAC-LLVKDONJSA-N 0 3 322.168 4.210 20 0 DIADHN COc1ccc([C@H](C)NCCC(C)(F)F)c(Br)c1 ZINC000449353599 338616922 /nfs/dbraw/zinc/61/69/22/338616922.db2.gz DGWHUXFNZDMMKU-VIFPVBQESA-N 0 3 322.193 4.154 20 0 DIADHN Fc1cc(CNC[C@@H]2CSc3ccccc32)cc(F)c1F ZINC000449356525 338617177 /nfs/dbraw/zinc/61/71/77/338617177.db2.gz BSYJMTYWKRIKFS-LLVKDONJSA-N 0 3 309.356 4.083 20 0 DIADHN CSCc1cnc(CNCC[C@@H]2CCc3ccccc32)s1 ZINC000449381917 338619595 /nfs/dbraw/zinc/61/95/95/338619595.db2.gz ZUBOYLUWXUXYLR-AWEZNQCLSA-N 0 3 318.511 4.216 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)c(Cl)c1)c1ccccn1 ZINC000449402855 338621186 /nfs/dbraw/zinc/62/11/86/338621186.db2.gz NGCCXTBJQLWPFT-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN CC1(C)OCC[C@H]1NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000449412678 338622260 /nfs/dbraw/zinc/62/22/60/338622260.db2.gz DAHVALOTFBIOFA-GFCCVEGCSA-N 0 3 307.743 4.016 20 0 DIADHN C[C@@H]1C[C@@H](NC2CCN(c3ccccc3)CC2)c2ccccc2O1 ZINC000449429641 338623565 /nfs/dbraw/zinc/62/35/65/338623565.db2.gz XQNPZMSOSBYYAA-OXQOHEQNSA-N 0 3 322.452 4.157 20 0 DIADHN C[C@H](N[C@H](CCO)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000449439522 338624201 /nfs/dbraw/zinc/62/42/01/338624201.db2.gz VYQKIUFJKHWGPI-SUMWQHHRSA-N 0 3 323.358 4.480 20 0 DIADHN Cc1ccc(-c2cccc(CNC3(CF)CCOCC3)c2)cc1 ZINC000449446289 338624796 /nfs/dbraw/zinc/62/47/96/338624796.db2.gz QAEAXGIJYOYINA-UHFFFAOYSA-N 0 3 313.416 4.270 20 0 DIADHN FCC1(NCc2ccc(-c3ccc(F)cc3)s2)CCOCC1 ZINC000449447180 338624916 /nfs/dbraw/zinc/62/49/16/338624916.db2.gz XVDQRYNDSZZBDE-UHFFFAOYSA-N 0 3 323.408 4.163 20 0 DIADHN COc1ncc(CN[C@@H]2c3cc(C)ccc3C[C@@H]2C)cc1Cl ZINC000449455602 338626066 /nfs/dbraw/zinc/62/60/66/338626066.db2.gz CKLYUCVNKAMBCW-SJCJKPOMSA-N 0 3 316.832 4.075 20 0 DIADHN COc1ncc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)cc1Cl ZINC000449455604 338626130 /nfs/dbraw/zinc/62/61/30/338626130.db2.gz CKLYUCVNKAMBCW-YVEFUNNKSA-N 0 3 316.832 4.075 20 0 DIADHN COc1ncc(CN[C@H]2c3cc(C)ccc3C[C@H]2C)cc1Cl ZINC000449455603 338626160 /nfs/dbraw/zinc/62/61/60/338626160.db2.gz CKLYUCVNKAMBCW-SJKOYZFVSA-N 0 3 316.832 4.075 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNC[C@@H]1CCCC1(F)F ZINC000449496664 338631641 /nfs/dbraw/zinc/63/16/41/338631641.db2.gz QESQUTKPWUMHQJ-INIZCTEOSA-N 0 3 320.358 4.413 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(c1ccccc1)c1ccccc1 ZINC000449567699 338638405 /nfs/dbraw/zinc/63/84/05/338638405.db2.gz XRYGYQWMCNLYLM-QGZVFWFLSA-N 0 3 319.452 4.386 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000449569979 338638613 /nfs/dbraw/zinc/63/86/13/338638613.db2.gz IRLNZBURPLOZNO-UEKVPHQBSA-N 0 3 324.255 4.417 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000449569978 338638740 /nfs/dbraw/zinc/63/87/40/338638740.db2.gz IRLNZBURPLOZNO-JRPNMDOOSA-N 0 3 324.255 4.417 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCN(C)c3ccccc32)cc1 ZINC000449653137 338644425 /nfs/dbraw/zinc/64/44/25/338644425.db2.gz RRSJKSZRPKCUFW-LJQANCHMSA-N 0 3 310.441 4.146 20 0 DIADHN CCC[C@H]1CCC[C@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000449860954 338656008 /nfs/dbraw/zinc/65/60/08/338656008.db2.gz MMHOECCRWYMPNL-DOTOQJQBSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CC[C@H](C)[C@@H](C)C2)c(CN(C)C)c1 ZINC000449859735 338656052 /nfs/dbraw/zinc/65/60/52/338656052.db2.gz HQYMZSIXZGAQPZ-HRCADAONSA-N 0 3 302.462 4.067 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC(c2ncc[nH]2)CC1 ZINC000450472787 338686220 /nfs/dbraw/zinc/68/62/20/338686220.db2.gz GDCPEJUXPMYHQY-UHFFFAOYSA-N 0 3 324.255 4.139 20 0 DIADHN COc1ccc([C@@H](NCc2ccccc2OC2CC2)C2CC2)cc1 ZINC000450686223 338699174 /nfs/dbraw/zinc/69/91/74/338699174.db2.gz MVEIJDVGZIPGKR-NRFANRHFSA-N 0 3 323.436 4.477 20 0 DIADHN Clc1cnn(C2CCN(CCc3ccc(Cl)cc3)CC2)c1 ZINC000450703256 338699984 /nfs/dbraw/zinc/69/99/84/338699984.db2.gz CBGBSXZIQGVUON-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN FC1(F)CCCC[C@@H]1CN1CCC(F)(c2cccnc2)CC1 ZINC000450937483 338713320 /nfs/dbraw/zinc/71/33/20/338713320.db2.gz XJAZZUOONSOJJU-OAHLLOKOSA-N 0 3 312.379 4.168 20 0 DIADHN Fc1ccc(C2(N[C@@H]3CCOC4(CCC4)C3)CCC2)c(F)c1 ZINC000451140506 338722635 /nfs/dbraw/zinc/72/26/35/338722635.db2.gz AKGWEQAXZNPXOL-CQSZACIVSA-N 0 3 307.384 4.035 20 0 DIADHN FC(F)n1c2ccccc2nc1CN[C@H]1CCC12CCCC2 ZINC000451459514 338735362 /nfs/dbraw/zinc/73/53/62/338735362.db2.gz QMMANHRXSJNFIY-AWEZNQCLSA-N 0 3 305.372 4.244 20 0 DIADHN CC[C@H](F)CN[C@H](CCOC)c1cccc(C(F)(F)F)c1 ZINC000451689571 338742055 /nfs/dbraw/zinc/74/20/55/338742055.db2.gz JKNGWIVLTKNCPR-UONOGXRCSA-N 0 3 307.331 4.121 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCN(C)c3ccccc32)c1 ZINC000451868447 338746691 /nfs/dbraw/zinc/74/66/91/338746691.db2.gz LZKUMPYXDCVXHI-BEFAXECRSA-N 0 3 310.441 4.317 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(OCCOC(C)C)CC1 ZINC000451951132 338748724 /nfs/dbraw/zinc/74/87/24/338748724.db2.gz KAYWCKSDLBCNKD-LJQANCHMSA-N 0 3 323.452 4.183 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2c(C)cccc2C)c1 ZINC000452085452 338753293 /nfs/dbraw/zinc/75/32/93/338753293.db2.gz LXOJTHMRYAOLQM-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H]1CCc2cc(Br)ccc21 ZINC000163614254 338763952 /nfs/dbraw/zinc/76/39/52/338763952.db2.gz HHFZCXBBPGRTSG-PRHODGIISA-N 0 3 322.168 4.367 20 0 DIADHN Cc1cccc(C2(CN[C@@H]3CCn4ccnc43)CCCCC2)c1 ZINC000453059393 338788664 /nfs/dbraw/zinc/78/86/64/338788664.db2.gz IRMSYXJOHGWJPQ-GOSISDBHSA-N 0 3 309.457 4.128 20 0 DIADHN CC[C@H](NCCOc1cccc(C(F)(F)F)c1)c1ccncc1 ZINC000453072880 338790508 /nfs/dbraw/zinc/79/05/08/338790508.db2.gz AAQZNKRSNKPIDZ-INIZCTEOSA-N 0 3 324.346 4.220 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2cc(-c3ccccc3)nn2C)c1 ZINC000453085938 338791996 /nfs/dbraw/zinc/79/19/96/338791996.db2.gz XSYPRMVLGMTXQX-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1ccncc1 ZINC000453119130 338796118 /nfs/dbraw/zinc/79/61/18/338796118.db2.gz LQVGXPGFBXSHEZ-KXBFYZLASA-N 0 3 306.413 4.069 20 0 DIADHN C[C@H]1C[C@@H](NCCc2cccc(-c3ccccc3)c2)c2nccn21 ZINC000453139750 338798654 /nfs/dbraw/zinc/79/86/54/338798654.db2.gz JQVPQRJAKYRVDS-OXJNMPFZSA-N 0 3 317.436 4.388 20 0 DIADHN CC[C@H](NC[C@H](OC)c1cccc(Cl)c1)c1ccncc1 ZINC000453143962 338799300 /nfs/dbraw/zinc/79/93/00/338799300.db2.gz FENYQUKUZJCZOF-IRXDYDNUSA-N 0 3 304.821 4.163 20 0 DIADHN CC[C@H](N[C@H]1CCN(c2ccccc2OC)C1)c1ccsc1 ZINC000453145199 338799435 /nfs/dbraw/zinc/79/94/35/338799435.db2.gz RVCONNKSFKMOJI-HOTGVXAUSA-N 0 3 316.470 4.076 20 0 DIADHN CC[C@H](N[C@@H](CCC(=O)OC)c1ccccc1)c1ccsc1 ZINC000453151046 338800155 /nfs/dbraw/zinc/80/01/55/338800155.db2.gz AGNGPFABORGDDV-IRXDYDNUSA-N 0 3 317.454 4.483 20 0 DIADHN CC[C@H](N[C@H](CCC(=O)OC)c1ccccc1)c1ccsc1 ZINC000453151044 338800157 /nfs/dbraw/zinc/80/01/57/338800157.db2.gz AGNGPFABORGDDV-DLBZAZTESA-N 0 3 317.454 4.483 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](CO)c2ccc(F)cc2)c2sccc21 ZINC000453153153 338800343 /nfs/dbraw/zinc/80/03/43/338800343.db2.gz YWMTVIVCLOVNST-XFBWCDHKSA-N 0 3 305.418 4.149 20 0 DIADHN CS[C@H](C)CN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453163879 338801462 /nfs/dbraw/zinc/80/14/62/338801462.db2.gz DNHZHZAFQOGWLA-ZIAGYGMSSA-N 0 3 302.443 4.276 20 0 DIADHN CS[C@H](C)CN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453163877 338801532 /nfs/dbraw/zinc/80/15/32/338801532.db2.gz DNHZHZAFQOGWLA-KGLIPLIRSA-N 0 3 302.443 4.276 20 0 DIADHN CC[C@H](NCc1ccnc(OC2CCC2)c1)c1ccsc1 ZINC000453166015 338801785 /nfs/dbraw/zinc/80/17/85/338801785.db2.gz CMRBQPMRKSHPMA-INIZCTEOSA-N 0 3 302.443 4.315 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncn1)[C@@H](C)c1ccccc1C(F)(F)F ZINC000453168102 338802025 /nfs/dbraw/zinc/80/20/25/338802025.db2.gz SQHCJHSKZZSRJB-UPJWGTAASA-N 0 3 323.362 4.338 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2-c2cnn(C)c2)cs1 ZINC000453178998 338803356 /nfs/dbraw/zinc/80/33/56/338803356.db2.gz OLIVTTMHWZKTJO-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN Clc1ccccc1C[C@@H](N[C@H]1CCOC1)c1ccsc1 ZINC000453179676 338803509 /nfs/dbraw/zinc/80/35/09/338803509.db2.gz VBXLLARDXADVNU-GOEBONIOSA-N 0 3 307.846 4.064 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](C)c1nccn1CC ZINC000453182018 338803784 /nfs/dbraw/zinc/80/37/84/338803784.db2.gz WYJOLGYVRUCJPD-HUUCEWRRSA-N 0 3 303.475 4.125 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(Cc3ccccc3)CCCC2)c2nccn21 ZINC000453194762 338805859 /nfs/dbraw/zinc/80/58/59/338805859.db2.gz IAXBIOOXWBLQED-SJLPKXTDSA-N 0 3 309.457 4.282 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(C)(C)c1cccc(Cl)c1F ZINC000453202185 338807119 /nfs/dbraw/zinc/80/71/19/338807119.db2.gz SYHUDHBEZCUIDI-LBPRGKRZSA-N 0 3 323.843 4.324 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000453206964 338807844 /nfs/dbraw/zinc/80/78/44/338807844.db2.gz FTNLWUNOGUVZCO-NOLJZWGESA-N 0 3 303.837 4.153 20 0 DIADHN CCn1ccnc1[C@@H](C)NC1CC(c2ccc(F)c(Cl)c2)C1 ZINC000453210488 338808488 /nfs/dbraw/zinc/80/84/88/338808488.db2.gz JZUATCLZBTXPJW-LMWSTFAQSA-N 0 3 321.827 4.292 20 0 DIADHN CC(F)(F)CCN[C@@H]1CCc2c1cc(Br)cc2F ZINC000453216441 338809112 /nfs/dbraw/zinc/80/91/12/338809112.db2.gz HCPYHNMFAJSJGE-GFCCVEGCSA-N 0 3 322.168 4.210 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@H]1CCn2ccnc21 ZINC000453224235 338810147 /nfs/dbraw/zinc/81/01/47/338810147.db2.gz MKRKBGVLBUUXLI-FUHWJXTLSA-N 0 3 317.864 4.228 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](C)c2ccc(Oc3ccccc3)cn2)C1 ZINC000453225178 338810282 /nfs/dbraw/zinc/81/02/82/338810282.db2.gz QHIXOHSSXKEKIJ-HLLBOEOZSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@@H](CCO)CCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000453237341 338812252 /nfs/dbraw/zinc/81/22/52/338812252.db2.gz PRXHLHPVWBHWHZ-MNOVXSKESA-N 0 3 321.795 4.001 20 0 DIADHN C[C@@H](NC1CC(C(F)(F)F)C1)c1ncc(-c2ccccc2)n1C ZINC000453238301 338812491 /nfs/dbraw/zinc/81/24/91/338812491.db2.gz WCDMDCJIIIERRN-LMWSTFAQSA-N 0 3 323.362 4.079 20 0 DIADHN Fc1cncc([C@@H](N[C@H]2CCCc3c(F)cccc32)C2CC2)c1 ZINC000453239737 338812555 /nfs/dbraw/zinc/81/25/55/338812555.db2.gz STILNBSWKUTBKH-OALUTQOASA-N 0 3 314.379 4.478 20 0 DIADHN COC(=O)c1csc([C@@H](C)NC[C@@H]2CCCCC2(F)F)c1 ZINC000453248674 338814001 /nfs/dbraw/zinc/81/40/01/338814001.db2.gz LKFZFVRMDUJETQ-PWSUYJOCSA-N 0 3 317.401 4.011 20 0 DIADHN Cc1cc([C@H](C)NC[C@@]2(C)OCCc3sccc32)cs1 ZINC000453253641 338814767 /nfs/dbraw/zinc/81/47/67/338814767.db2.gz OCVWKGUPVAKDSK-BLLLJJGKSA-N 0 3 307.484 4.257 20 0 DIADHN Cc1cc([C@H](C)NC[C@]2(C)OCCc3sccc32)cs1 ZINC000453253642 338814871 /nfs/dbraw/zinc/81/48/71/338814871.db2.gz OCVWKGUPVAKDSK-LRDDRELGSA-N 0 3 307.484 4.257 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccc(SC)c(OC)c1)OC ZINC000453267916 338816352 /nfs/dbraw/zinc/81/63/52/338816352.db2.gz NQJJWZZTHMFNKR-HEHGZKQESA-N 0 3 311.491 4.129 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)ccn1 ZINC000453279060 338817670 /nfs/dbraw/zinc/81/76/70/338817670.db2.gz DQZCIJAHIUXASH-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCC1=CCOCC1 ZINC000453281466 338817989 /nfs/dbraw/zinc/81/79/89/338817989.db2.gz GSJWBYWNRKREQZ-ZDUSSCGKSA-N 0 3 314.454 4.116 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)c(Cl)c1)c1cncs1 ZINC000453281463 338818038 /nfs/dbraw/zinc/81/80/38/338818038.db2.gz GSBXPVOZQNKWGM-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN Cc1cccc([C@@H](N[C@@H](C)CCCCO)c2cccc(F)c2)c1 ZINC000453281082 338818113 /nfs/dbraw/zinc/81/81/13/338818113.db2.gz HCMLSQHZQFFDRJ-OXJNMPFZSA-N 0 3 315.432 4.364 20 0 DIADHN C[C@@H](CCCCO)N[C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000453289474 338819367 /nfs/dbraw/zinc/81/93/67/338819367.db2.gz QSWKAPZWXOLBEY-HZMBPMFUSA-N 0 3 302.245 4.121 20 0 DIADHN C[C@@H](CCCCO)N[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000453289475 338819373 /nfs/dbraw/zinc/81/93/73/338819373.db2.gz QSWKAPZWXOLBEY-IINYFYTJSA-N 0 3 302.245 4.121 20 0 DIADHN Clc1ccc([C@H](NCC2=CCOCC2)c2ccccc2)cc1 ZINC000453295897 338820208 /nfs/dbraw/zinc/82/02/08/338820208.db2.gz SIGAHPNUXQACMR-LJQANCHMSA-N 0 3 313.828 4.366 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cc(Cl)cc3Cl)ccn1 ZINC000453301995 338821063 /nfs/dbraw/zinc/82/10/63/338821063.db2.gz ZSHUJTOIEBOIBE-INIZCTEOSA-N 0 3 307.224 4.474 20 0 DIADHN Cn1cc([C@H](NCC(C)(C)C2CCC2)c2cccc(F)c2)cn1 ZINC000453306902 338821738 /nfs/dbraw/zinc/82/17/38/338821738.db2.gz KFMLXLDJTINBTJ-GOSISDBHSA-N 0 3 315.436 4.065 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC[C@@H]1CCCC1(F)F)CCS2 ZINC000453314404 338823181 /nfs/dbraw/zinc/82/31/81/338823181.db2.gz FFNOOCKFRSCPAY-GXFFZTMASA-N 0 3 301.377 4.388 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(C)(C)O)c1ccccc1Br ZINC000453318185 338823688 /nfs/dbraw/zinc/82/36/88/338823688.db2.gz KZKDSAYAWNNROP-SMDDNHRTSA-N 0 3 314.267 4.039 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453318316 338823852 /nfs/dbraw/zinc/82/38/52/338823852.db2.gz AYNBXMIWWCDJDA-NIKGAXFTSA-N 0 3 312.413 4.092 20 0 DIADHN COCCC[C@@H](NCc1cc(C)cs1)c1ccc(F)cc1 ZINC000453319016 338824070 /nfs/dbraw/zinc/82/40/70/338824070.db2.gz MVSKOHCHHIYMAT-QGZVFWFLSA-N 0 3 307.434 4.453 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000453323266 338824836 /nfs/dbraw/zinc/82/48/36/338824836.db2.gz PHGICHICQCQXAI-HRAATJIYSA-N 0 3 320.436 4.447 20 0 DIADHN c1ccc([C@H](NC[C@@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000453327595 338825438 /nfs/dbraw/zinc/82/54/38/338825438.db2.gz WCXXJWQDCKWTIR-XLIONFOSSA-N 0 3 322.452 4.110 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000453339418 338827320 /nfs/dbraw/zinc/82/73/20/338827320.db2.gz ZHJHNXAZAXLGJZ-ZJUUUORDSA-N 0 3 321.795 4.142 20 0 DIADHN CCC1(CN[C@H]2CCCc3c(Cl)sc(Cl)c32)COC1 ZINC000453344161 338828133 /nfs/dbraw/zinc/82/81/33/338828133.db2.gz DBDIUERGQPLGNG-JTQLQIEISA-N 0 3 320.285 4.449 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](Cc2ccccc2Cl)C2CC2)c2nccn21 ZINC000453344930 338828262 /nfs/dbraw/zinc/82/82/62/338828262.db2.gz JLMZHINXUKGTFH-CSMYWGQOSA-N 0 3 315.848 4.153 20 0 DIADHN Cc1cc([C@@H](NC[C@@H]2C[C@H]2C2CC2)c2cccnc2)ccc1F ZINC000453365543 338832400 /nfs/dbraw/zinc/83/24/00/338832400.db2.gz NRWVOIGDWRKXBF-CMKODMSKSA-N 0 3 310.416 4.254 20 0 DIADHN CC[C@@H](c1ccsc1)N1CCC(OCCOC(C)C)CC1 ZINC000453366235 338832544 /nfs/dbraw/zinc/83/25/44/338832544.db2.gz PIKKJOYSSZIGIZ-KRWDZBQOSA-N 0 3 311.491 4.105 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)N[C@H]1C[C@H](C)n2ccnc21 ZINC000453374204 338833917 /nfs/dbraw/zinc/83/39/17/338833917.db2.gz XDENOHPJZMAILH-XIRDDKMYSA-N 0 3 315.486 4.295 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@@H](C)c3ccc(Cl)cn3)C2)c1 ZINC000453385252 338835928 /nfs/dbraw/zinc/83/59/28/338835928.db2.gz VAMGITHNEOVCNF-NOLJZWGESA-N 0 3 316.832 4.340 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H](C)[C@@H](OC)C3CC3)c(C)c2c1 ZINC000453392507 338837007 /nfs/dbraw/zinc/83/70/07/338837007.db2.gz ZMYPSNOTJZKCGO-WTOJCKNJSA-N 0 3 317.429 4.214 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000453395072 338837373 /nfs/dbraw/zinc/83/73/73/338837373.db2.gz GVSHVDCUVMAOQV-FRFSOERESA-N 0 3 316.470 4.418 20 0 DIADHN COc1cc(F)cc(C[C@H](C)N[C@H](C)c2ccc(Cl)cn2)c1 ZINC000453404377 338839128 /nfs/dbraw/zinc/83/91/28/338839128.db2.gz RNPQAYTVSSDERL-NWDGAFQWSA-N 0 3 322.811 4.165 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)Cc1cc(F)cc(OC)c1 ZINC000453406172 338839441 /nfs/dbraw/zinc/83/94/41/338839441.db2.gz TYWOONHMGLFUFE-KBPBESRZSA-N 0 3 316.420 4.074 20 0 DIADHN C[C@H](N[C@@H](C1CC1)C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000453410748 338840085 /nfs/dbraw/zinc/84/00/85/338840085.db2.gz GUDFPCJUPWRZRT-QPUJVOFHSA-N 0 3 304.381 4.391 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc(C(=O)N(C)C)cc2)c1 ZINC000453414310 338840481 /nfs/dbraw/zinc/84/04/81/338840481.db2.gz YNZWLLCDJCMWRX-CVEARBPZSA-N 0 3 310.441 4.109 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CC[C@H](c3cccc(Cl)c3)C2)nn1C ZINC000453414352 338840486 /nfs/dbraw/zinc/84/04/86/338840486.db2.gz YOZROLRRMMIIHB-QRTARXTBSA-N 0 3 317.864 4.369 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCc1cnc(C)cn1 ZINC000453420549 338840946 /nfs/dbraw/zinc/84/09/46/338840946.db2.gz ZQRXPCNNNCTDPO-OAHLLOKOSA-N 0 3 323.440 4.295 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000453441199 338842441 /nfs/dbraw/zinc/84/24/41/338842441.db2.gz XJAACQQCMWIMNH-FVQBIDKESA-N 0 3 316.470 4.276 20 0 DIADHN CC[C@]1(C(C)C)C[C@@H]1N[C@H]1CCC(=O)Nc2ccc(F)cc21 ZINC000453458333 338843459 /nfs/dbraw/zinc/84/34/59/338843459.db2.gz VWYPNPBAHMZNAH-QILLFSRXSA-N 0 3 304.409 4.013 20 0 DIADHN Cc1cc(Br)c(CN[C@@H](C)CC(F)(F)F)s1 ZINC000453564873 338848210 /nfs/dbraw/zinc/84/82/10/338848210.db2.gz HNOOYKKVRXEOEV-LURJTMIESA-N 0 3 316.186 4.250 20 0 DIADHN CC[C@H](C)NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000453589432 338849500 /nfs/dbraw/zinc/84/95/00/338849500.db2.gz XFGVKOKTLKLDQO-ZDUSSCGKSA-N 0 3 304.821 4.202 20 0 DIADHN OCC1([C@@H](NCc2ccsc2)c2ccccc2)CCCC1 ZINC000453625748 338851441 /nfs/dbraw/zinc/85/14/41/338851441.db2.gz ZEBPZFSBLIRJNU-KRWDZBQOSA-N 0 3 301.455 4.132 20 0 DIADHN Cc1ccc(CN[C@H](CCCO)c2ccccc2)cc1Cl ZINC000195444328 338901966 /nfs/dbraw/zinc/90/19/66/338901966.db2.gz QOTRIYTZBWRXLO-GOSISDBHSA-N 0 3 303.833 4.252 20 0 DIADHN CCN(Cc1cn(C2CCCC2)nc1-c1ccccn1)C1CC1 ZINC000459299874 339020091 /nfs/dbraw/zinc/02/00/91/339020091.db2.gz LJYOZQYCWLXVMZ-UHFFFAOYSA-N 0 3 310.445 4.045 20 0 DIADHN Cc1c(COC(C)(C)C)cccc1NC1CCN(C2CC2)CC1 ZINC000459356203 339024143 /nfs/dbraw/zinc/02/41/43/339024143.db2.gz CBADKRJCBXMGKC-UHFFFAOYSA-N 0 3 316.489 4.349 20 0 DIADHN CC(C)OC1CC(N2CCC(Oc3ccccc3Cl)CC2)C1 ZINC000459368362 339024988 /nfs/dbraw/zinc/02/49/88/339024988.db2.gz FLAXDBGRDMAGBO-UHFFFAOYSA-N 0 3 323.864 4.139 20 0 DIADHN CC[C@@](C)(NCC1CCC(F)(F)CC1)c1nc(C)cs1 ZINC000459383560 339026131 /nfs/dbraw/zinc/02/61/31/339026131.db2.gz GDEIOPJTMCZUGH-CQSZACIVSA-N 0 3 302.434 4.492 20 0 DIADHN CCOc1cc(CNC(C)(C)c2ccc(Cl)cc2)ccc1O ZINC000459395026 339026828 /nfs/dbraw/zinc/02/68/28/339026828.db2.gz ANJYGEDGIOVVOC-UHFFFAOYSA-N 0 3 319.832 4.469 20 0 DIADHN Cc1cnc([C@H](NCC2CCC(F)(F)CC2)C2CC2)s1 ZINC000459398803 339027124 /nfs/dbraw/zinc/02/71/24/339027124.db2.gz GGRIWOPYMBOPPW-CYBMUJFWSA-N 0 3 300.418 4.318 20 0 DIADHN CC[C@](C)(NC[C@@H]1C[C@H]1c1ccccc1)c1nc(C)cs1 ZINC000459406756 339027439 /nfs/dbraw/zinc/02/74/39/339027439.db2.gz GWXAVPMQJRVRNN-BQFCYCMXSA-N 0 3 300.471 4.470 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2C[C@H]2c2ccccc2)sc1C ZINC000459413264 339027735 /nfs/dbraw/zinc/02/77/35/339027735.db2.gz OEEIWBRFCUYJOX-HOTGVXAUSA-N 0 3 300.471 4.388 20 0 DIADHN Cc1csc([C@@H](NCCc2cccc(Cl)c2)C2CC2)n1 ZINC000459422714 339028333 /nfs/dbraw/zinc/02/83/33/339028333.db2.gz NUARKXQJMDJZPV-HNNXBMFYSA-N 0 3 306.862 4.388 20 0 DIADHN Cc1cc(CNC[C@H](Cc2ccccc2)c2ccccc2)no1 ZINC000459430851 339028817 /nfs/dbraw/zinc/02/88/17/339028817.db2.gz RMBNPNFDHFRQSZ-IBGZPJMESA-N 0 3 306.409 4.099 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNc1cc(C)cc(C)n1 ZINC000459450802 339030008 /nfs/dbraw/zinc/03/00/08/339030008.db2.gz OXXLWWNRURYFHN-UHFFFAOYSA-N 0 3 312.442 4.206 20 0 DIADHN Cc1cc(F)c(CN[C@H]2CCOC3(CCCC3)C2)c(Cl)c1 ZINC000459454390 339030087 /nfs/dbraw/zinc/03/00/87/339030087.db2.gz XZLSQOAOHCUXIP-ZDUSSCGKSA-N 0 3 311.828 4.369 20 0 DIADHN CCOc1cc(CNc2cc(C)cc(C)n2)cc(Cl)c1O ZINC000459453582 339030148 /nfs/dbraw/zinc/03/01/48/339030148.db2.gz SOCROACAMBAYLP-UHFFFAOYSA-N 0 3 306.793 4.068 20 0 DIADHN Clc1cnn(C2CCN(CCc3cccc(Cl)c3)CC2)c1 ZINC000459464507 339030589 /nfs/dbraw/zinc/03/05/89/339030589.db2.gz NHOXOLGZUCDORT-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN Cc1cc(F)c(CNCc2cccc(CN(C)C)c2)c(Cl)c1 ZINC000459466324 339030739 /nfs/dbraw/zinc/03/07/39/339030739.db2.gz OIANKKVLOXZNTH-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN CC(C)=CCNCc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459515137 339033580 /nfs/dbraw/zinc/03/35/80/339033580.db2.gz GQEFSQGUCOSVGW-UHFFFAOYSA-N 0 3 310.445 4.116 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3cnc(C4CC4)nc3)C2)c1 ZINC000459541769 339034857 /nfs/dbraw/zinc/03/48/57/339034857.db2.gz MZTIYYAEUCVMNZ-JCNLHEQBSA-N 0 3 313.832 4.043 20 0 DIADHN CC1=CCCN(Cc2cn(C3CCCC3)nc2-c2ccccn2)C1 ZINC000459549718 339035230 /nfs/dbraw/zinc/03/52/30/339035230.db2.gz ZRIGFMZQPXGPSY-UHFFFAOYSA-N 0 3 322.456 4.212 20 0 DIADHN FC(F)c1nc2ccc(NC3CCN(C4CC4)CC3)cc2s1 ZINC000459550043 339035247 /nfs/dbraw/zinc/03/52/47/339035247.db2.gz ONAANIDSSOBRTR-UHFFFAOYSA-N 0 3 323.412 4.273 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H](c3cccc(Cl)c3)C2)no1 ZINC000459565431 339036177 /nfs/dbraw/zinc/03/61/77/339036177.db2.gz UTZJPCSIEQGVBP-ZBFHGGJFSA-N 0 3 304.821 4.452 20 0 DIADHN Cc1nccn1CCCN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000459568376 339036337 /nfs/dbraw/zinc/03/63/37/339036337.db2.gz BPXPVOSIUJLWJK-LJQANCHMSA-N 0 3 309.457 4.199 20 0 DIADHN FC(F)(F)c1cc(CNCc2ccc(C3CC3)cc2)ccn1 ZINC000459588334 339037595 /nfs/dbraw/zinc/03/75/95/339037595.db2.gz XAXZOMVPJXYUKP-UHFFFAOYSA-N 0 3 306.331 4.268 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1nnc(-c2ccccc2Cl)o1 ZINC000459607320 339038316 /nfs/dbraw/zinc/03/83/16/339038316.db2.gz GGVHOTVMUATBOE-SJKOYZFVSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H](C)c1nc2ccccc2o1 ZINC000459630230 339039231 /nfs/dbraw/zinc/03/92/31/339039231.db2.gz AOTSHUCPHWCIPX-GJZGRUSLSA-N 0 3 309.413 4.285 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1ncc(Cl)cc1Cl ZINC000459640321 339039793 /nfs/dbraw/zinc/03/97/93/339039793.db2.gz QAYIOMULIJMDMJ-GFCCVEGCSA-N 0 3 324.255 4.284 20 0 DIADHN CCC(CC)n1ccc(CN[C@H](C)CCCc2cccnc2)n1 ZINC000459640938 339039940 /nfs/dbraw/zinc/03/99/40/339039940.db2.gz QTEYYXOZEFRAQV-MRXNPFEDSA-N 0 3 314.477 4.140 20 0 DIADHN C[C@H](N[C@H]1CS[C@H](C(C)(C)C)C1)c1nc2c(s1)CCC2 ZINC000459645682 339040130 /nfs/dbraw/zinc/04/01/30/339040130.db2.gz HADFHKVNJLEVEU-WDMOLILDSA-N 0 3 310.532 4.203 20 0 DIADHN C[C@H](N[C@@H]1CS[C@@H](C(C)(C)C)C1)c1nc2c(s1)CCC2 ZINC000459645674 339040142 /nfs/dbraw/zinc/04/01/42/339040142.db2.gz HADFHKVNJLEVEU-COPLHBTASA-N 0 3 310.532 4.203 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC(F)(F)CC1)c1nc2c(s1)CCC2 ZINC000459655238 339040875 /nfs/dbraw/zinc/04/08/75/339040875.db2.gz UQBVGMDCTXRHLL-GHMZBOCLSA-N 0 3 314.445 4.496 20 0 DIADHN C[C@@H](NC1CCC(C(F)F)CC1)c1nc2c(s1)CCC2 ZINC000459657503 339040976 /nfs/dbraw/zinc/04/09/76/339040976.db2.gz XAZPRIJERHGEOM-KPPDAEKUSA-N 0 3 300.418 4.106 20 0 DIADHN Cc1ncc(CN[C@H](C)CCc2ccc(C(F)(F)F)cc2)o1 ZINC000459677755 339042605 /nfs/dbraw/zinc/04/26/05/339042605.db2.gz QBPXQUHOJFRVQK-LLVKDONJSA-N 0 3 312.335 4.113 20 0 DIADHN Cc1ncc(CN[C@@H](C)CCc2cccc(C(F)(F)F)c2)o1 ZINC000459678579 339042613 /nfs/dbraw/zinc/04/26/13/339042613.db2.gz RJCSTNYSNSRAJC-NSHDSACASA-N 0 3 312.335 4.113 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)o1 ZINC000459681837 339042897 /nfs/dbraw/zinc/04/28/97/339042897.db2.gz YBCWTROZAGNFDK-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC000459689620 339043226 /nfs/dbraw/zinc/04/32/26/339043226.db2.gz ZFEHNTNEVYEDRL-HZPDHXFCSA-N 0 3 305.372 4.046 20 0 DIADHN FC(F)C1CCC(NCc2cnc(C(F)(F)F)s2)CC1 ZINC000459709001 339043862 /nfs/dbraw/zinc/04/38/62/339043862.db2.gz KCFXWYLWCDRXPL-UHFFFAOYSA-N 0 3 314.323 4.075 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCC[C@@H]4C[C@@H]43)cs2)c1 ZINC000459762240 339046951 /nfs/dbraw/zinc/04/69/51/339046951.db2.gz BGPPVRVDJBEVHP-DQYPLSBCSA-N 0 3 314.454 4.097 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2ccccc2F)s1 ZINC000459771272 339047538 /nfs/dbraw/zinc/04/75/38/339047538.db2.gz HPMWXFVWVOCISC-UQFNBPPOSA-N 0 3 317.433 4.183 20 0 DIADHN O=C(CN[C@@H]1CCC[C@@H]2C[C@@H]21)Nc1ccccc1-c1ccccc1 ZINC000459780852 339048161 /nfs/dbraw/zinc/04/81/61/339048161.db2.gz NMLOXRVCASNLIY-NZSAHSFTSA-N 0 3 320.436 4.070 20 0 DIADHN CCC[C@H](NCc1ccc(Oc2ccccc2)nn1)C1CCC1 ZINC000459788171 339048543 /nfs/dbraw/zinc/04/85/43/339048543.db2.gz DZQDETWTIRGKOG-SFHVURJKSA-N 0 3 311.429 4.327 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)c2ccccc21)c1ccc(NC(N)=O)cc1 ZINC000165457931 339052925 /nfs/dbraw/zinc/05/29/25/339052925.db2.gz ITHWFIIUPNBBMC-TYILLQQXSA-N 0 3 323.440 4.466 20 0 DIADHN COc1ccc([C@@H](NC[C@H](C)SC)c2ccccc2)cc1 ZINC000166173226 339053020 /nfs/dbraw/zinc/05/30/20/339053020.db2.gz BSBZEHHQEMUDAP-KSSFIOAISA-N 0 3 301.455 4.126 20 0 DIADHN CC[C@H](C[C@H](C)CO)N[C@H](CC)c1cccc(Cl)c1F ZINC000461085417 339058902 /nfs/dbraw/zinc/05/89/02/339058902.db2.gz JSMFWLHIGQNZHE-YWPYICTPSA-N 0 3 301.833 4.317 20 0 DIADHN CC[C@H](NC[C@@H](CO)c1ccccc1)c1cccc(Cl)c1F ZINC000461092461 339059023 /nfs/dbraw/zinc/05/90/23/339059023.db2.gz GLTODMGQQILTIL-YOEHRIQHSA-N 0 3 321.823 4.296 20 0 DIADHN CC[C@@H](NC[C@H](O)Cc1ccccc1)c1cccc(Cl)c1F ZINC000461095851 339059227 /nfs/dbraw/zinc/05/92/27/339059227.db2.gz DXDNIKCOFDGJIE-RHSMWYFYSA-N 0 3 321.823 4.123 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@H]2NCCc1ccco1 ZINC000461101718 339059319 /nfs/dbraw/zinc/05/93/19/339059319.db2.gz TYBXFWVHMPGURD-OAHLLOKOSA-N 0 3 324.193 4.001 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2ccc(C(F)F)cc2)c1 ZINC000461364262 339062306 /nfs/dbraw/zinc/06/23/06/339062306.db2.gz PZTLXIZCVCJSNO-UHFFFAOYSA-N 0 3 304.384 4.322 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461369139 339062455 /nfs/dbraw/zinc/06/24/55/339062455.db2.gz ZIAWOMBIEADNNU-GOSISDBHSA-N 0 3 319.395 4.397 20 0 DIADHN CCCC[C@H](C)N(C)C(=O)c1ccc(CN2CCCCC2)cc1 ZINC000461620524 339065273 /nfs/dbraw/zinc/06/52/73/339065273.db2.gz WVFTVZKXZIJSSJ-KRWDZBQOSA-N 0 3 316.489 4.323 20 0 DIADHN CC[C@H](C(=O)N1CCCCC[C@@H]1c1ccc(C)o1)N(CC)CC ZINC000461654277 339065363 /nfs/dbraw/zinc/06/53/63/339065363.db2.gz HCYLGCKASHNLMH-IAGOWNOFSA-N 0 3 320.477 4.152 20 0 DIADHN CC[C@@H](NC(=O)[C@H](CC)N(CC)CC)c1ccc(Cl)s1 ZINC000461651833 339065379 /nfs/dbraw/zinc/06/53/79/339065379.db2.gz XSCSRKNBDKZPHP-NEPJUHHUSA-N 0 3 316.898 4.089 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@H]1c1cccc(C)c1C)N(CC)CC ZINC000461754857 339066483 /nfs/dbraw/zinc/06/64/83/339066483.db2.gz IVGSVFKMYDJICK-MOPGFXCFSA-N 0 3 316.489 4.087 20 0 DIADHN CC[C@H](C(=O)N1CC[C@@H](C)C[C@H]1c1ccc(C)o1)N(CC)CC ZINC000461751287 339066490 /nfs/dbraw/zinc/06/64/90/339066490.db2.gz QCCLULFSUUFGEB-OIISXLGYSA-N 0 3 320.477 4.008 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H](COC)c1ccco1 ZINC000462121313 339072516 /nfs/dbraw/zinc/07/25/16/339072516.db2.gz NQRVFADFRHSSMZ-ZWKOTPCHSA-N 0 3 301.430 4.358 20 0 DIADHN CCN(CCC(C)(C)O)[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000462344154 339076307 /nfs/dbraw/zinc/07/63/07/339076307.db2.gz SSXKLGHXQNNWFE-LJQANCHMSA-N 0 3 315.432 4.398 20 0 DIADHN COCc1cccc(CN[C@H](C)COc2c(C)cccc2C)c1 ZINC000462358200 339076551 /nfs/dbraw/zinc/07/65/51/339076551.db2.gz KBRRSDPRXIYLPP-QGZVFWFLSA-N 0 3 313.441 4.007 20 0 DIADHN CCCNC(=O)[C@@H](C)N[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462359582 339076640 /nfs/dbraw/zinc/07/66/40/339076640.db2.gz MYABQCGEUGOZLD-QWHCGFSZSA-N 0 3 318.848 4.059 20 0 DIADHN CCN(CC)C(=O)CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462452207 339079111 /nfs/dbraw/zinc/07/91/11/339079111.db2.gz AWGUNASGBCAUBE-ZDUSSCGKSA-N 0 3 318.848 4.012 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC(OCC(C)C)CC1 ZINC000462477204 339079590 /nfs/dbraw/zinc/07/95/90/339079590.db2.gz DCKOEQSFZDMLMS-UHFFFAOYSA-N 0 3 312.457 4.493 20 0 DIADHN CCCn1cc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)cn1 ZINC000462540842 339080738 /nfs/dbraw/zinc/08/07/38/339080738.db2.gz ZLCNMJVGIKVCCB-AZUAARDMSA-N 0 3 311.473 4.342 20 0 DIADHN CCCn1cc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)cn1 ZINC000462540843 339080811 /nfs/dbraw/zinc/08/08/11/339080811.db2.gz ZLCNMJVGIKVCCB-ICSRJNTNSA-N 0 3 311.473 4.342 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1NCc1cnn2ccccc12 ZINC000462547523 339081109 /nfs/dbraw/zinc/08/11/09/339081109.db2.gz HMHPVDQKNAZMQO-GHTZIAJQSA-N 0 3 319.452 4.384 20 0 DIADHN Cc1cccn2c(CN[C@@H]3c4ccccc4CCC[C@@H]3C)cnc12 ZINC000462550430 339081211 /nfs/dbraw/zinc/08/12/11/339081211.db2.gz XMWYNCCAMBAPBY-YWZLYKJASA-N 0 3 319.452 4.446 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@@H]2Oc2ccccc2C)cn1 ZINC000462552979 339081226 /nfs/dbraw/zinc/08/12/26/339081226.db2.gz PDDNKELFKXMYAV-QUCCMNQESA-N 0 3 310.441 4.178 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC[C@H]2Oc2ccccc2C)cn1 ZINC000462552977 339081274 /nfs/dbraw/zinc/08/12/74/339081274.db2.gz PDDNKELFKXMYAV-AZUAARDMSA-N 0 3 310.441 4.178 20 0 DIADHN FC(F)c1ccc(CNCc2cnc3ccc(Cl)cn23)cc1 ZINC000462645039 339083253 /nfs/dbraw/zinc/08/32/53/339083253.db2.gz HEHYFYXDJWITJI-UHFFFAOYSA-N 0 3 321.758 4.215 20 0 DIADHN CC[C@H]1CCC[C@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000462671972 339083786 /nfs/dbraw/zinc/08/37/86/339083786.db2.gz DHYLCKIPFNOXAC-JSGCOSHPSA-N 0 3 316.367 4.081 20 0 DIADHN CC(C)(C)OC(=O)CCNCc1ccc(-c2ccccc2)cc1 ZINC000462726931 339085030 /nfs/dbraw/zinc/08/50/30/339085030.db2.gz TYIRAAYPLSNQAS-UHFFFAOYSA-N 0 3 311.425 4.175 20 0 DIADHN CC[C@H](NCc1cn2ccccc2n1)C(C)(C)c1ccccc1 ZINC000462732019 339085351 /nfs/dbraw/zinc/08/53/51/339085351.db2.gz SQCAPMUAOLNOJW-SFHVURJKSA-N 0 3 307.441 4.180 20 0 DIADHN COc1ccc(O[C@H](C)CNCc2ccc(Cl)c(F)c2)cc1 ZINC000462733119 339085495 /nfs/dbraw/zinc/08/54/95/339085495.db2.gz BVSFALRTLFUOJX-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1cccc(CN[C@H](C)Cc2cc(C)cc(C)c2)c1OC ZINC000462734268 339085527 /nfs/dbraw/zinc/08/55/27/339085527.db2.gz MQSSLFKKXYAKEK-MRXNPFEDSA-N 0 3 313.441 4.041 20 0 DIADHN Cc1ccccc1O[C@H](C)CNCc1ccccc1OC(F)F ZINC000462735392 339085698 /nfs/dbraw/zinc/08/56/98/339085698.db2.gz DAQPGRONWRHYEB-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN Cc1ccccc1O[C@H](C)CNCc1cc2cc(F)ccc2o1 ZINC000462735907 339085708 /nfs/dbraw/zinc/08/57/08/339085708.db2.gz FOZNNJYIBCJMNU-CQSZACIVSA-N 0 3 313.372 4.437 20 0 DIADHN Cc1cccc(O[C@@H](C)CNCc2cccc(OC(F)F)c2)c1 ZINC000462736101 339085738 /nfs/dbraw/zinc/08/57/38/339085738.db2.gz MVTWHFNGWZXDJV-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN C[C@@H](CNCc1cc(F)c(F)c(F)c1)Sc1ccccc1 ZINC000462737568 339085821 /nfs/dbraw/zinc/08/58/21/339085821.db2.gz IJIVWIMDDJUXCZ-NSHDSACASA-N 0 3 311.372 4.374 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](C)Cc2cc(C)cc(C)c2)c1 ZINC000462738051 339085838 /nfs/dbraw/zinc/08/58/38/339085838.db2.gz XKXJKKUOQGACDR-INIZCTEOSA-N 0 3 313.441 4.041 20 0 DIADHN CSc1ccc(CNC[C@H](C)Oc2cccc(F)c2)cc1 ZINC000462737124 339085918 /nfs/dbraw/zinc/08/59/18/339085918.db2.gz PFZVMXBJUYEZSF-ZDUSSCGKSA-N 0 3 305.418 4.105 20 0 DIADHN CO[C@H](CNCc1ccc(F)cc1C)c1cccc(Cl)c1 ZINC000462751697 339086828 /nfs/dbraw/zinc/08/68/28/339086828.db2.gz AQABKUKMQMMIMN-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccc(Cl)cc2)cc1F ZINC000462753161 339087023 /nfs/dbraw/zinc/08/70/23/339087023.db2.gz JGTBXBUVIDRMOT-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN COc1cccc(Cl)c1CNC[C@H](C)Cc1cccs1 ZINC000462754519 339087043 /nfs/dbraw/zinc/08/70/43/339087043.db2.gz MEDDUDYVQZWTED-GFCCVEGCSA-N 0 3 309.862 4.379 20 0 DIADHN Brc1ccc(CN[C@@H]2C[C@@H]2Cc2ccccc2)s1 ZINC000462754533 339087097 /nfs/dbraw/zinc/08/70/97/339087097.db2.gz MHKBHIWTZVKTTI-GXTWGEPZSA-N 0 3 322.271 4.231 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2cc(F)ccc2F)cc1 ZINC000462757645 339087135 /nfs/dbraw/zinc/08/71/35/339087135.db2.gz ZYZPFNKBRDGBLJ-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000462759841 339087271 /nfs/dbraw/zinc/08/72/71/339087271.db2.gz JCAHODQOLCFIEB-VQTJNVASSA-N 0 3 309.457 4.239 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000462759840 339087286 /nfs/dbraw/zinc/08/72/86/339087286.db2.gz JCAHODQOLCFIEB-UXHICEINSA-N 0 3 309.457 4.239 20 0 DIADHN COc1ccc(CNC[C@@H](C)Cc2cccs2)c(Cl)c1 ZINC000462758030 339087332 /nfs/dbraw/zinc/08/73/32/339087332.db2.gz CWUPXWQFCOSSNT-LBPRGKRZSA-N 0 3 309.862 4.379 20 0 DIADHN Clc1ccc2nc(CN[C@@H]3C[C@H]3C3CCCCC3)cn2c1 ZINC000462768519 339087700 /nfs/dbraw/zinc/08/77/00/339087700.db2.gz YRKDZEFXXFIGJV-JKSUJKDBSA-N 0 3 303.837 4.046 20 0 DIADHN COc1ccc([C@H](C)CCNCc2cc(C)ccc2F)cc1 ZINC000462769202 339087774 /nfs/dbraw/zinc/08/77/74/339087774.db2.gz XRTOJTRDNYLUPM-OAHLLOKOSA-N 0 3 301.405 4.426 20 0 DIADHN COc1ccc(F)cc1CNC1CC(c2ccccc2F)C1 ZINC000462780143 339088227 /nfs/dbraw/zinc/08/82/27/339088227.db2.gz PFYYLFMMTBJFCD-UHFFFAOYSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1c(C)c(C)c(CNCc2cn(C)nc2C(F)F)c(C)c1C ZINC000462791955 339088901 /nfs/dbraw/zinc/08/89/01/339088901.db2.gz UEQFLONETDUKQC-UHFFFAOYSA-N 0 3 321.415 4.190 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CCCC[C@@H]2C(F)(F)F)cs1 ZINC000462800207 339089730 /nfs/dbraw/zinc/08/97/30/339089730.db2.gz ASPQKBKNFSCMHN-DLOVCJGASA-N 0 3 306.397 4.471 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H]1CCCc2c(O)cccc21 ZINC000462806012 339090311 /nfs/dbraw/zinc/09/03/11/339090311.db2.gz UUQNFSLQZBOFFW-KBPBESRZSA-N 0 3 301.352 4.336 20 0 DIADHN C[C@H](NCC[C@H]1CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462807686 339090496 /nfs/dbraw/zinc/09/04/96/339090496.db2.gz MRUCPLRSGVJVPU-STQMWFEESA-N 0 3 320.433 4.026 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H]2CCN(c3ccccc3F)C2)o1 ZINC000462811006 339090906 /nfs/dbraw/zinc/09/09/06/339090906.db2.gz FFFUZMOQKYANGQ-GJZGRUSLSA-N 0 3 316.420 4.158 20 0 DIADHN C[C@H](NCc1c2c(nn1C)CCCC2)c1ccc2c(c1)CCCC2 ZINC000462814831 339091345 /nfs/dbraw/zinc/09/13/45/339091345.db2.gz GMOUUJOBJJGTLX-HNNXBMFYSA-N 0 3 323.484 4.029 20 0 DIADHN CC[C@@H](O)CCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000462818134 339091691 /nfs/dbraw/zinc/09/16/91/339091691.db2.gz QCHLPPWONHRSLP-CHWSQXEVSA-N 0 3 322.449 4.151 20 0 DIADHN Cn1nc2c(c1CN[C@H]1CCC(C)(C)c3ccccc31)CCCC2 ZINC000462821134 339092133 /nfs/dbraw/zinc/09/21/33/339092133.db2.gz POEWHKATULKWQY-SFHVURJKSA-N 0 3 323.484 4.201 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCCOC1CCCCCC1 ZINC000462822279 339092200 /nfs/dbraw/zinc/09/22/00/339092200.db2.gz OIHLTUNCWBBJEA-GOSISDBHSA-N 0 3 303.446 4.099 20 0 DIADHN C=Cc1ccc(CCN[C@H](C)c2nc3ccccc3n2C)cc1 ZINC000462823206 339092214 /nfs/dbraw/zinc/09/22/14/339092214.db2.gz ZDZXQSAAQNYFRM-OAHLLOKOSA-N 0 3 305.425 4.110 20 0 DIADHN C[C@H](O)CN(C)Cc1ccccc1Oc1ccc(F)cc1Cl ZINC000462824751 339092435 /nfs/dbraw/zinc/09/24/35/339092435.db2.gz GXEBUSMOULJDMD-LBPRGKRZSA-N 0 3 323.795 4.084 20 0 DIADHN CCN(Cc1c(C(C)C)nc2sc(C)nn12)CC(C)(C)C ZINC000462825859 339092617 /nfs/dbraw/zinc/09/26/17/339092617.db2.gz MGZKAHAOISXKHQ-UHFFFAOYSA-N 0 3 308.495 4.091 20 0 DIADHN CCN(Cc1cccc(OC)c1OC(F)F)CC(C)(C)C ZINC000462827776 339092678 /nfs/dbraw/zinc/09/26/78/339092678.db2.gz JXIQQDLGNIGFJP-UHFFFAOYSA-N 0 3 301.377 4.165 20 0 DIADHN CCN(Cc1cnc(-c2ccc(Cl)cc2)s1)C[C@H](C)OC ZINC000462829162 339092904 /nfs/dbraw/zinc/09/29/04/339092904.db2.gz XPQXPRPBUHXUQF-LBPRGKRZSA-N 0 3 324.877 4.320 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCOCC3CCC3)c(C)c2c1 ZINC000462843407 339093850 /nfs/dbraw/zinc/09/38/50/339093850.db2.gz UIBMXJLILUABLR-CQSZACIVSA-N 0 3 317.429 4.217 20 0 DIADHN CC(C)N(Cc1cc(Cl)cc(C(F)(F)F)c1)[C@H]1CCOC1 ZINC000462855211 339094331 /nfs/dbraw/zinc/09/43/31/339094331.db2.gz BKUJRQOQLORZPF-AWEZNQCLSA-N 0 3 321.770 4.358 20 0 DIADHN C[C@@H](Nc1cccc(CN2CC[C@H](O)C2)c1)C1CCCCCC1 ZINC000462869862 339095229 /nfs/dbraw/zinc/09/52/29/339095229.db2.gz IOTVCLCEQSDEGW-UZLBHIALSA-N 0 3 316.489 4.024 20 0 DIADHN CCCC1CCC(Nc2cccc(CN3CC[C@H](O)C3)c2)CC1 ZINC000462868512 339095297 /nfs/dbraw/zinc/09/52/97/339095297.db2.gz ZVDCTCIXWCCFMQ-NLPFYKDJSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cn(C)nc1C(F)F ZINC000462899582 339096810 /nfs/dbraw/zinc/09/68/10/339096810.db2.gz ADRHVWMKQRBASB-MLGOLLRUSA-N 0 3 319.399 4.161 20 0 DIADHN CO[C@@](C)(CNCc1ccc(F)c(Cl)c1Cl)C1CC1 ZINC000462906821 339097217 /nfs/dbraw/zinc/09/72/17/339097217.db2.gz PYAHRGUDYOVKEY-AWEZNQCLSA-N 0 3 306.208 4.037 20 0 DIADHN Cn1cc(CN2CCC[C@H](c3ccccc3)CC2)c(C(F)F)n1 ZINC000462937691 339098349 /nfs/dbraw/zinc/09/83/49/339098349.db2.gz GCVCGXJNXGKCMD-HNNXBMFYSA-N 0 3 319.399 4.127 20 0 DIADHN Cn1cc(CN2CCCCC[C@@H]2c2ccccc2)c(C(F)F)n1 ZINC000462938180 339098411 /nfs/dbraw/zinc/09/84/11/339098411.db2.gz IJHKEKMCOWPLDK-MRXNPFEDSA-N 0 3 319.399 4.475 20 0 DIADHN COC(=O)c1coc([C@@H](C)N[C@@H]2CCCCC23CCCC3)c1 ZINC000462941150 339098511 /nfs/dbraw/zinc/09/85/11/339098511.db2.gz POUVUSLJBOHKQG-CZUORRHYSA-N 0 3 305.418 4.220 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2cn(C)nc2C(F)F)cc1 ZINC000462958896 339099077 /nfs/dbraw/zinc/09/90/77/339099077.db2.gz RIELBIVTAFJIGG-UHFFFAOYSA-N 0 3 321.415 4.076 20 0 DIADHN CN(Cc1ccc2c[nH]nc2c1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463027102 339101306 /nfs/dbraw/zinc/10/13/06/339101306.db2.gz FIIHOXFHPDCOSR-HIFRSBDPSA-N 0 3 311.351 4.116 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2cc(-c3ccccc3)no2)C1(C)C ZINC000463032500 339101930 /nfs/dbraw/zinc/10/19/30/339101930.db2.gz JHXHLUZLLCAFRS-MSOLQXFVSA-N 0 3 314.429 4.023 20 0 DIADHN C[C@H](CN[C@@H]1CCOc2c(F)ccc(F)c21)c1ccc(F)cc1 ZINC000463035122 339102233 /nfs/dbraw/zinc/10/22/33/339102233.db2.gz HCFFWWAZIWHZNG-BDJLRTHQSA-N 0 3 321.342 4.321 20 0 DIADHN CCc1ccc(-c2ccc(CN3CC[C@H](n4cccn4)C3)o2)cc1 ZINC000463036066 339102239 /nfs/dbraw/zinc/10/22/39/339102239.db2.gz SORCMCLZDJCWEA-SFHVURJKSA-N 0 3 321.424 4.153 20 0 DIADHN OC[C@]1(Cc2ccccc2)CCCN(C/C=C\c2ccccc2)C1 ZINC000463036553 339102259 /nfs/dbraw/zinc/10/22/59/339102259.db2.gz UNTRLKZPASUDRF-NVTFYQKDSA-N 0 3 321.464 4.017 20 0 DIADHN c1cnn([C@@H]2CCN(Cc3ccc(-c4ccccc4)s3)C2)c1 ZINC000463043399 339102899 /nfs/dbraw/zinc/10/28/99/339102899.db2.gz NXWWWXJLPZVVMG-MRXNPFEDSA-N 0 3 309.438 4.059 20 0 DIADHN CC[C@@H]1CCCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463072543 339104285 /nfs/dbraw/zinc/10/42/85/339104285.db2.gz WBKOMTZECNZXRJ-GFCCVEGCSA-N 0 3 323.234 4.355 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2o1)CC1CCCC1 ZINC000463077390 339104645 /nfs/dbraw/zinc/10/46/45/339104645.db2.gz OZTVHIQXVNBDHA-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN CCCCN(Cc1nc2ccc(Br)cc2o1)C1CC1 ZINC000463080143 339105021 /nfs/dbraw/zinc/10/50/21/339105021.db2.gz SBZLODZPFQNTSK-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN CC(C)[C@H]1CCN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463098998 339105629 /nfs/dbraw/zinc/10/56/29/339105629.db2.gz NSFRWXZIPMGGOI-NSHDSACASA-N 0 3 323.234 4.068 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1Cc1nc2ccc(Br)cc2o1 ZINC000463100296 339105729 /nfs/dbraw/zinc/10/57/29/339105729.db2.gz XYFFINYMHWHNTJ-WDEREUQCSA-N 0 3 323.234 4.211 20 0 DIADHN CC(C)COC[C@@H](NC[C@@H]1C[C@H]1c1ccccc1)c1ccco1 ZINC000463127734 339106626 /nfs/dbraw/zinc/10/66/26/339106626.db2.gz CPLZGFLVTHKOGN-GBESFXJTSA-N 0 3 313.441 4.387 20 0 DIADHN Cc1ccc(Oc2ccc(NC(=O)C(C)C(F)(F)F)cn2)cc1 ZINC000463132641 339106722 /nfs/dbraw/zinc/10/67/22/339106722.db2.gz UDMPUBBTLHFVQE-NSHDSACASA-N 0 3 324.302 4.319 20 0 DIADHN CC(C(=O)Nc1ccc(Oc2ccccc2)nc1)C(F)(F)F ZINC000463139961 339107037 /nfs/dbraw/zinc/10/70/37/339107037.db2.gz JKQKALJVHVQVEW-JTQLQIEISA-N 0 3 310.275 4.011 20 0 DIADHN C[C@@H](C1CCC1)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463157488 339107597 /nfs/dbraw/zinc/10/75/97/339107597.db2.gz YGULLDQPILYCDO-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2o1)C1(C)CCCC1 ZINC000463214109 339109810 /nfs/dbraw/zinc/10/98/10/339109810.db2.gz KBUASYSVBWIMGN-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN CC(C(=O)Nc1ccc(OCc2ccccc2)cc1)C(F)(F)F ZINC000463235094 339110864 /nfs/dbraw/zinc/11/08/64/339110864.db2.gz GAJAVVHBFKPCCV-GFCCVEGCSA-N 0 3 323.314 4.403 20 0 DIADHN Fc1ccc(C2=CCN(CCCC3CCOCC3)CC2)cc1 ZINC000463281035 339113206 /nfs/dbraw/zinc/11/32/06/339113206.db2.gz GSGCSEDLLZKQIW-UHFFFAOYSA-N 0 3 303.421 4.122 20 0 DIADHN Cc1ccc([C@H]2CSCCN2CCCC2CCOCC2)cc1 ZINC000463283432 339113298 /nfs/dbraw/zinc/11/32/98/339113298.db2.gz BDQJEXNGPSIBPR-LJQANCHMSA-N 0 3 319.514 4.292 20 0 DIADHN CC(C)(O)CCN1CCC(c2cccc(Cl)c2Cl)CC1 ZINC000463376105 339116168 /nfs/dbraw/zinc/11/61/68/339116168.db2.gz ODIZLLUFNPBAEV-UHFFFAOYSA-N 0 3 316.272 4.334 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000463380740 339116285 /nfs/dbraw/zinc/11/62/85/339116285.db2.gz XLILZJKYGGNKAF-HNAYVOBHSA-N 0 3 315.844 4.037 20 0 DIADHN Cc1cc2sc(NC(=O)C(C)C(F)(F)F)nc2c(C)c1 ZINC000463434372 339117605 /nfs/dbraw/zinc/11/76/05/339117605.db2.gz GLUFBFQCEFLHIL-MRVPVSSYSA-N 0 3 302.321 4.050 20 0 DIADHN Cc1cc2sc(NC(=O)C(C)C(F)(F)F)nc2c(C)c1 ZINC000463434373 339117608 /nfs/dbraw/zinc/11/76/08/339117608.db2.gz GLUFBFQCEFLHIL-QMMMGPOBSA-N 0 3 302.321 4.050 20 0 DIADHN c1cnn([C@@H]2CCN(Cc3ccc(-c4cccs4)cc3)C2)c1 ZINC000463434334 339117611 /nfs/dbraw/zinc/11/76/11/339117611.db2.gz NISNNWRBGOUISO-QGZVFWFLSA-N 0 3 309.438 4.059 20 0 DIADHN CO[C@@H](CN(C)[C@H]1CCCC[C@H]1C(F)(F)F)c1ccccc1 ZINC000463444122 339117946 /nfs/dbraw/zinc/11/79/46/339117946.db2.gz QFUGEBVVFYDCOJ-PMPSAXMXSA-N 0 3 315.379 4.427 20 0 DIADHN CN(CCOc1ccccc1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463452597 339118195 /nfs/dbraw/zinc/11/81/95/339118195.db2.gz CMWDZMTYVAPNOQ-CABCVRRESA-N 0 3 301.352 4.118 20 0 DIADHN CC(C(=O)Nc1ccc2c(c1)Cc1ccccc1-2)C(F)(F)F ZINC000463455742 339118452 /nfs/dbraw/zinc/11/84/52/339118452.db2.gz MTYKWLYCNGFBLV-JTQLQIEISA-N 0 3 305.299 4.395 20 0 DIADHN CC(C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C)C(F)(F)F ZINC000463541097 339120821 /nfs/dbraw/zinc/12/08/21/339120821.db2.gz BBFPUZOSEOMGAX-VIFPVBQESA-N 0 3 319.371 4.073 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2C[C@@H](OC(C)C)C2(C)C)s1 ZINC000463568695 339121644 /nfs/dbraw/zinc/12/16/44/339121644.db2.gz IQECYXHZMRHJGU-FPMFFAJLSA-N 0 3 310.507 4.257 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2C[C@H](OC(C)C)C2(C)C)s1 ZINC000463568698 339121713 /nfs/dbraw/zinc/12/17/13/339121713.db2.gz IQECYXHZMRHJGU-YUTCNCBUSA-N 0 3 310.507 4.257 20 0 DIADHN c1ccc(COC2CC(N[C@@H]3CSc4ccccc43)C2)cc1 ZINC000463577705 339122038 /nfs/dbraw/zinc/12/20/38/339122038.db2.gz ZNAJEWOGKCUZSJ-LEOMRAHMSA-N 0 3 311.450 4.171 20 0 DIADHN CC(C(=O)N1CC(C)(C)c2c1cccc2Cl)C(F)(F)F ZINC000463585018 339122284 /nfs/dbraw/zinc/12/22/84/339122284.db2.gz AQLHUPVLHXOGBH-MRVPVSSYSA-N 0 3 305.727 4.163 20 0 DIADHN CCC[C@@H]1CCc2nc(NC(=O)C(C)C(F)(F)F)sc2C1 ZINC000463631190 339122991 /nfs/dbraw/zinc/12/29/91/339122991.db2.gz RMHQQUHEOCSRLS-RKDXNWHRSA-N 0 3 320.380 4.185 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(OC(F)F)c(Cl)c1 ZINC000463719369 339124514 /nfs/dbraw/zinc/12/45/14/339124514.db2.gz RJIULZDUOJWLTD-ZETCQYMHSA-N 0 3 317.685 4.372 20 0 DIADHN C[C@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)NC(C)(C)C ZINC000463909723 339128684 /nfs/dbraw/zinc/12/86/84/339128684.db2.gz HNZHNFJRZQXFFS-BBRMVZONSA-N 0 3 322.468 4.196 20 0 DIADHN OC1(CNCc2cc(Cl)ccc2OC2CCCC2)CCCC1 ZINC000464054520 339132024 /nfs/dbraw/zinc/13/20/24/339132024.db2.gz VANBQLSVUISWQB-UHFFFAOYSA-N 0 3 323.864 4.056 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)n1 ZINC000464063108 339132603 /nfs/dbraw/zinc/13/26/03/339132603.db2.gz VDFLZPFZXMSKJV-QLFBSQMISA-N 0 3 322.518 4.126 20 0 DIADHN COC[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@H]1C)c1ccc(F)cc1 ZINC000464066860 339132809 /nfs/dbraw/zinc/13/28/09/339132809.db2.gz IPEOOOCCSKVPSZ-YGBSJELFSA-N 0 3 313.416 4.295 20 0 DIADHN COCC[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@H]1C)c1ccc(C)o1 ZINC000464079641 339133422 /nfs/dbraw/zinc/13/34/22/339133422.db2.gz ZZCUIPVJRZXOHS-OQIJWPOYSA-N 0 3 313.441 4.447 20 0 DIADHN CCC1(C(=O)N[C@H](C)[C@H](c2ccccc2C)N(C)C)CCCC1 ZINC000464430682 339141797 /nfs/dbraw/zinc/14/17/97/339141797.db2.gz SFDJKSVMSXQZCL-SJLPKXTDSA-N 0 3 316.489 4.073 20 0 DIADHN CCCN(CCc1cccs1)Cc1nc2ccccc2n1C ZINC000464590129 339146594 /nfs/dbraw/zinc/14/65/94/339146594.db2.gz ZVGOEEHBYUAPNY-UHFFFAOYSA-N 0 3 313.470 4.090 20 0 DIADHN c1ccc([C@@H]2Cc3ccccc3CN2CCc2cccnc2)cc1 ZINC000464726084 339150079 /nfs/dbraw/zinc/15/00/79/339150079.db2.gz QMSXHZVKSIHYFG-QFIPXVFZSA-N 0 3 314.432 4.424 20 0 DIADHN CCN(Cc1cc(C)on1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000464767008 339151785 /nfs/dbraw/zinc/15/17/85/339151785.db2.gz BOVSRTMDXMPWPA-GOSISDBHSA-N 0 3 304.409 4.211 20 0 DIADHN Cc1cccc([C@H]2CCCCN2CCOCC(F)(F)F)c1C ZINC000464772015 339152359 /nfs/dbraw/zinc/15/23/59/339152359.db2.gz FAUCYIAAPVNKJP-MRXNPFEDSA-N 0 3 315.379 4.409 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2cccc(C(=O)NCC(C)C)c2)C1 ZINC000464778449 339153058 /nfs/dbraw/zinc/15/30/58/339153058.db2.gz OKUJEPBUARVICI-FQEVSTJZSA-N 0 3 316.489 4.085 20 0 DIADHN CC[C@H]1CN([C@@H](C)c2ccc(OC(F)F)cc2)CCS1 ZINC000464787119 339153717 /nfs/dbraw/zinc/15/37/17/339153717.db2.gz XDFDOMMGRTYVLI-FZMZJTMJSA-N 0 3 301.402 4.176 20 0 DIADHN c1ccc(Oc2ccccc2CN2CCC3(C2)CCOCC3)cc1 ZINC000464807850 339154836 /nfs/dbraw/zinc/15/48/36/339154836.db2.gz BPCVJGPBUOZPLM-UHFFFAOYSA-N 0 3 323.436 4.481 20 0 DIADHN Clc1ccc(-c2nc(CN3C[C@@H]4CCC[C@@H]4C3)co2)cc1 ZINC000464844547 339157319 /nfs/dbraw/zinc/15/73/19/339157319.db2.gz BDAHWMPVPMPFBD-OKILXGFUSA-N 0 3 302.805 4.227 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCOCc1ccccc1 ZINC000464851330 339157955 /nfs/dbraw/zinc/15/79/55/339157955.db2.gz MHTXJONEHKCOCU-AWEZNQCLSA-N 0 3 305.368 4.174 20 0 DIADHN CCOC(=O)CCCCN1CC[C@H](C)C[C@@H]1c1ccc(CC)o1 ZINC000464859552 339158572 /nfs/dbraw/zinc/15/85/72/339158572.db2.gz YDKNCOIWQYPBLU-DOTOQJQBSA-N 0 3 321.461 4.348 20 0 DIADHN CC(C)(CCc1ccccc1)NCc1nc2cc(F)ccc2o1 ZINC000464883262 339160128 /nfs/dbraw/zinc/16/01/28/339160128.db2.gz OGHUKXSULBVMHE-UHFFFAOYSA-N 0 3 312.388 4.468 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@H](CC)C[C@@H](C)CO)o2)cc1 ZINC000465000264 339165145 /nfs/dbraw/zinc/16/51/45/339165145.db2.gz IQWOBUXVYWPDPY-CRAIPNDOSA-N 0 3 315.457 4.396 20 0 DIADHN CO[C@H](CNCc1ccccc1OC1CCCC1)C(C)(C)C ZINC000465005022 339165259 /nfs/dbraw/zinc/16/52/59/339165259.db2.gz XTBODVFATZFRJJ-GOSISDBHSA-N 0 3 305.462 4.159 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](CCO)CC(C)C)o2)cc1 ZINC000465011569 339165449 /nfs/dbraw/zinc/16/54/49/339165449.db2.gz MHMUQTGYRGBCFV-QGZVFWFLSA-N 0 3 315.457 4.389 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@H](CO)CC(C)C)o2)cc1 ZINC000465012992 339165512 /nfs/dbraw/zinc/16/55/12/339165512.db2.gz QKNGQHXIXQAVHE-KRWDZBQOSA-N 0 3 301.430 4.006 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@@H](O)C(C)(C)C)o2)cc1 ZINC000465019450 339165677 /nfs/dbraw/zinc/16/56/77/339165677.db2.gz RGJBDRKTTVTYJP-GOSISDBHSA-N 0 3 301.430 4.006 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1cccc(Cl)c1Cl ZINC000465060063 339167328 /nfs/dbraw/zinc/16/73/28/339167328.db2.gz RSVDRFCDJKAJKO-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN CC(C(=O)Nc1ccccc1CCC(F)(F)F)C(F)(F)F ZINC000465119906 339169411 /nfs/dbraw/zinc/16/94/11/339169411.db2.gz JQKUNXNZEAPRBD-MRVPVSSYSA-N 0 3 313.241 4.318 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC[C@@H](CCCc2ccccc2)C1 ZINC000466220919 339197045 /nfs/dbraw/zinc/19/70/45/339197045.db2.gz UMSHVUGRGQSQSD-PKOBYXMFSA-N 0 3 309.457 4.273 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)on1 ZINC000466341904 339199823 /nfs/dbraw/zinc/19/98/23/339199823.db2.gz AZEIAGNDCGKZOM-BRWVUGGUSA-N 0 3 320.477 4.263 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000466362334 339200779 /nfs/dbraw/zinc/20/07/79/339200779.db2.gz LZOJYKINNCDBIJ-QLFBSQMISA-N 0 3 323.864 4.034 20 0 DIADHN C[C@@H](CCNCc1nccn1-c1ccccc1)c1ccccc1 ZINC000466404894 339202781 /nfs/dbraw/zinc/20/27/81/339202781.db2.gz DNOINJDONAPLQF-KRWDZBQOSA-N 0 3 305.425 4.156 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cnc3c(C)cccn23)cc1 ZINC000466414264 339203354 /nfs/dbraw/zinc/20/33/54/339203354.db2.gz INZUHNOSOIUPMH-CQSZACIVSA-N 0 3 311.454 4.215 20 0 DIADHN CCCn1c2ccccc2nc1CNC1CC(c2ccccc2)C1 ZINC000466420710 339203846 /nfs/dbraw/zinc/20/38/46/339203846.db2.gz FLXFZTUFUYNMDJ-UHFFFAOYSA-N 0 3 319.452 4.482 20 0 DIADHN C[C@@H]1CCC[C@@H]1CNCc1csc(COc2ccccc2)n1 ZINC000466421098 339203960 /nfs/dbraw/zinc/20/39/60/339203960.db2.gz BTUYIWRMAZJEBS-HUUCEWRRSA-N 0 3 316.470 4.248 20 0 DIADHN Cc1ccccc1-n1nccc1CNC1CC(c2ccccc2)C1 ZINC000466424688 339204265 /nfs/dbraw/zinc/20/42/65/339204265.db2.gz WXMKYCAWYXNOJV-UHFFFAOYSA-N 0 3 317.436 4.217 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H](C)CC(=O)Nc1ccccc1 ZINC000466469491 339206438 /nfs/dbraw/zinc/20/64/38/339206438.db2.gz ULDXSGDHDAXJNJ-AWEZNQCLSA-N 0 3 322.408 4.248 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3ccccn3)C2)cc1Cl ZINC000466473204 339206514 /nfs/dbraw/zinc/20/65/14/339206514.db2.gz JQGJMWVQWLXLNG-MQMHXKEQSA-N 0 3 307.224 4.424 20 0 DIADHN Cc1n[nH]c(C)c1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000466477378 339206713 /nfs/dbraw/zinc/20/67/13/339206713.db2.gz LAIJPOVSMQHVAP-JOCQHMNTSA-N 0 3 324.255 4.369 20 0 DIADHN CCn1nccc1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000466477170 339206737 /nfs/dbraw/zinc/20/67/37/339206737.db2.gz HNRZOAVZUVFTKD-JOCQHMNTSA-N 0 3 324.255 4.246 20 0 DIADHN CCC[C@H]1CCCN([C@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000466486227 339207190 /nfs/dbraw/zinc/20/71/90/339207190.db2.gz JBTZUADXCLMOIX-MSOLQXFVSA-N 0 3 316.489 4.451 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000466708325 339213715 /nfs/dbraw/zinc/21/37/15/339213715.db2.gz QZXQESGYSCGWDT-ZDUSSCGKSA-N 0 3 320.383 4.488 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CC[C@@H](CC(C)C)C1 ZINC000466747981 339215809 /nfs/dbraw/zinc/21/58/09/339215809.db2.gz QYCCJAPHEQFUCU-GJZGRUSLSA-N 0 3 322.880 4.343 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CC[C@H](CC(C)C)C1 ZINC000466747983 339215827 /nfs/dbraw/zinc/21/58/27/339215827.db2.gz QYCCJAPHEQFUCU-LSDHHAIUSA-N 0 3 322.880 4.343 20 0 DIADHN CC/C=C/CCN[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000466816873 339217992 /nfs/dbraw/zinc/21/79/92/339217992.db2.gz ZXESBSIUORDFOU-GNAXCBTBSA-N 0 3 308.425 4.312 20 0 DIADHN CN(C)CCN(C(=O)c1cccc2ccsc21)c1ccccc1 ZINC000466877774 339219875 /nfs/dbraw/zinc/21/98/75/339219875.db2.gz OIMZHXWUFXDFID-UHFFFAOYSA-N 0 3 324.449 4.110 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000466934222 339222090 /nfs/dbraw/zinc/22/20/90/339222090.db2.gz BSDXLTJWIWZNSI-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN CCc1noc(C)c1CN(C)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000466961779 339222872 /nfs/dbraw/zinc/22/28/72/339222872.db2.gz OYSYHQRLRFRBLA-LJQANCHMSA-N 0 3 318.436 4.384 20 0 DIADHN Cc1cccc(C2(CN[C@H](C)c3cnccn3)CCCCC2)c1 ZINC000466994394 339223932 /nfs/dbraw/zinc/22/39/32/339223932.db2.gz DPCTYRMCVSBJQW-QGZVFWFLSA-N 0 3 309.457 4.338 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCSc2ccccc2)o1 ZINC000467020598 339224900 /nfs/dbraw/zinc/22/49/00/339224900.db2.gz BZUMYCHMLCHRLA-QGZVFWFLSA-N 0 3 317.454 4.355 20 0 DIADHN COC[C@H]1CCCCN(Cc2csc(-c3ccccc3)n2)C1 ZINC000467122756 339226914 /nfs/dbraw/zinc/22/69/14/339226914.db2.gz QQTLMYKHMRTBOO-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN C[C@H](c1ccc(OC(F)(F)F)cc1)N(C)C[C@H]1CCCCO1 ZINC000467186802 339227309 /nfs/dbraw/zinc/22/73/09/339227309.db2.gz NHPORSXXUUVDNI-IUODEOHRSA-N 0 3 317.351 4.147 20 0 DIADHN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000467211705 339228501 /nfs/dbraw/zinc/22/85/01/339228501.db2.gz NLUUQPDTISRLOO-WMZOPIPTSA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000467211702 339228509 /nfs/dbraw/zinc/22/85/09/339228509.db2.gz NLUUQPDTISRLOO-AEFFLSMTSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@@]1(Cc2ccccc2)CCCN1Cc1nc2cccnc2s1 ZINC000467237981 339228915 /nfs/dbraw/zinc/22/89/15/339228915.db2.gz JDCBAKKASQBTDX-IBGZPJMESA-N 0 3 323.465 4.289 20 0 DIADHN COC[C@H]1CCCCN(Cc2cc(Cl)ccc2Cl)C1 ZINC000467296515 339230316 /nfs/dbraw/zinc/23/03/16/339230316.db2.gz FKBAOLLGEOMISK-LBPRGKRZSA-N 0 3 302.245 4.242 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NC1(c2ccccc2)CCC1 ZINC000467334208 339232176 /nfs/dbraw/zinc/23/21/76/339232176.db2.gz VWMNOPYWPADVIQ-GOSISDBHSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](NCc2ccc(F)cc2F)C1 ZINC000467338633 339232432 /nfs/dbraw/zinc/23/24/32/339232432.db2.gz LMNKASYGTUJMQG-PBHICJAKSA-N 0 3 316.395 4.484 20 0 DIADHN CS[C@@H](CN[C@@H](C)c1ccnn1-c1ccccc1)C(C)(C)C ZINC000467351157 339233315 /nfs/dbraw/zinc/23/33/15/339233315.db2.gz YQXKLDBBXBJSHH-YOEHRIQHSA-N 0 3 317.502 4.301 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000467380262 339233691 /nfs/dbraw/zinc/23/36/91/339233691.db2.gz FIRWZOWWGROTCT-NRPADANISA-N 0 3 308.274 4.445 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](NCc2cc(F)ccc2F)C1 ZINC000467359868 339233940 /nfs/dbraw/zinc/23/39/40/339233940.db2.gz UYFMQSHZNMHBSV-PBHICJAKSA-N 0 3 316.395 4.484 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](c2cncc(F)c2)C2CC2)C1(C)C ZINC000467361055 339234055 /nfs/dbraw/zinc/23/40/55/339234055.db2.gz YJOOCFKVACCLOF-KURKYZTESA-N 0 3 320.452 4.245 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H](CC(F)(F)F)C(C)C ZINC000467361502 339234084 /nfs/dbraw/zinc/23/40/84/339234084.db2.gz GEEBWYRIJASHJG-KGLIPLIRSA-N 0 3 319.342 4.388 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(C2CC2)cc1 ZINC000467367411 339234427 /nfs/dbraw/zinc/23/44/27/339234427.db2.gz RRTPYDVKCQLWGY-LJQANCHMSA-N 0 3 311.400 4.489 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@@H](c1cncc(F)c1)C1CC1 ZINC000467368218 339234607 /nfs/dbraw/zinc/23/46/07/339234607.db2.gz GEECSEJGHRZMNZ-AUUYWEPGSA-N 0 3 314.404 4.037 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](c2cncc(F)c2)C2CC2)C1(CC)CC ZINC000467370298 339234714 /nfs/dbraw/zinc/23/47/14/339234714.db2.gz PBAHOMGTAFVSGI-RCCFBDPRSA-N 0 3 320.452 4.245 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000467370867 339234851 /nfs/dbraw/zinc/23/48/51/339234851.db2.gz RMYSYSNBCCORAU-ULQDDVLXSA-N 0 3 313.441 4.340 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467371144 339234912 /nfs/dbraw/zinc/23/49/12/339234912.db2.gz TVFOGXJCIBVOTI-KUHUBIRLSA-N 0 3 314.404 4.037 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1cc2ccccc2o1 ZINC000467384625 339236029 /nfs/dbraw/zinc/23/60/29/339236029.db2.gz XFBAKZQCCXBZKY-STQMWFEESA-N 0 3 303.402 4.204 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H]1CCc2cc(F)c(OC)cc21 ZINC000467388977 339236172 /nfs/dbraw/zinc/23/61/72/339236172.db2.gz OAMQDQWPTKJCNB-ZWNOBZJWSA-N 0 3 305.315 4.142 20 0 DIADHN Cc1cccc(C[C@H](CO)N[C@H](C)c2ccc(F)c(Cl)c2)c1 ZINC000467407632 339236847 /nfs/dbraw/zinc/23/68/47/339236847.db2.gz NXNGCOYJRKQTID-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)N[C@@H](CO)c1cccc(Cl)c1F ZINC000467407904 339236891 /nfs/dbraw/zinc/23/68/91/339236891.db2.gz PEPONVJHPKZIGY-DCGLDWPTSA-N 0 3 321.823 4.294 20 0 DIADHN OC[C@H](N[C@H]1CCC[C@@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000467409312 339236903 /nfs/dbraw/zinc/23/69/03/339236903.db2.gz WBEMOYXNWLHHRF-WWGRRREGSA-N 0 3 311.828 4.071 20 0 DIADHN C[C@H](N[C@H](CO)c1cccc(Cl)c1F)[C@@H](C)c1ccccc1 ZINC000467408107 339236943 /nfs/dbraw/zinc/23/69/43/339236943.db2.gz PEPONVJHPKZIGY-IIYDPXPESA-N 0 3 321.823 4.294 20 0 DIADHN CCCC[C@H](CC)NCc1ccncc1OCC(F)(F)F ZINC000467430409 339237960 /nfs/dbraw/zinc/23/79/60/339237960.db2.gz QJVBCHRWDLIVMX-ZDUSSCGKSA-N 0 3 304.356 4.081 20 0 DIADHN CC[C@H](NC1CC(c2ccc(F)c(Cl)c2)C1)c1ccn(C)n1 ZINC000467430451 339238076 /nfs/dbraw/zinc/23/80/76/339238076.db2.gz QZCIKFKVCMBMPO-ZUEPYMLJSA-N 0 3 321.827 4.200 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1ccncc1OCC(F)(F)F ZINC000467444305 339239044 /nfs/dbraw/zinc/23/90/44/339239044.db2.gz HCGQAGAZKMNGPU-CYBMUJFWSA-N 0 3 316.367 4.247 20 0 DIADHN CN(Cc1cncnc1)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000467515316 339240779 /nfs/dbraw/zinc/24/07/79/339240779.db2.gz YJVFTAZRFNDJSI-GOSISDBHSA-N 0 3 315.848 4.493 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC000467539774 339241293 /nfs/dbraw/zinc/24/12/93/339241293.db2.gz LCTHVXDIUZIUKR-UHFFFAOYSA-N 0 3 321.827 4.141 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2Cc2ccsc2Cl)C1 ZINC000467544424 339241680 /nfs/dbraw/zinc/24/16/80/339241680.db2.gz VLBMPLHLBOMQHU-BEAPCOKYSA-N 0 3 313.894 4.163 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cnn2ccccc12 ZINC000467554823 339242314 /nfs/dbraw/zinc/24/23/14/339242314.db2.gz QYVVKLJLVCMUKF-AEFFLSMTSA-N 0 3 305.425 4.102 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(C(F)(F)F)cc2C)CCCO1 ZINC000467554645 339242400 /nfs/dbraw/zinc/24/24/00/339242400.db2.gz QEGCCJWSTZAOGP-OAHLLOKOSA-N 0 3 301.352 4.015 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3)C[C@@H]2C)cc1O ZINC000467567036 339242858 /nfs/dbraw/zinc/24/28/58/339242858.db2.gz PLXOVGBQHARVAB-MAUKXSAKSA-N 0 3 311.425 4.169 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(-c3ccc(C)cc3)s2)CCCO1 ZINC000467567876 339243009 /nfs/dbraw/zinc/24/30/09/339243009.db2.gz SAPHLNDGKNXRRN-MRXNPFEDSA-N 0 3 316.470 4.119 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1cncc(C)c1 ZINC000467645704 339243873 /nfs/dbraw/zinc/24/38/73/339243873.db2.gz GLGHFWMYFMZNLV-LBTNJELSSA-N 0 3 318.848 4.470 20 0 DIADHN Cc1ccsc1CCN[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000467737804 339245294 /nfs/dbraw/zinc/24/52/94/339245294.db2.gz OBINRROGLHREHP-SECBINFHSA-N 0 3 320.405 4.425 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000467741172 339245385 /nfs/dbraw/zinc/24/53/85/339245385.db2.gz JDAUVWHQOXHHJY-LPEHRKFASA-N 0 3 324.437 4.487 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2cncc(C)c2)cc1 ZINC000467746853 339245641 /nfs/dbraw/zinc/24/56/41/339245641.db2.gz PMMPXMNNVZTMOC-AHRSYUTCSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1cncc([C@H](C)NCCSc2ccc(Cl)cc2)c1 ZINC000467747145 339245748 /nfs/dbraw/zinc/24/57/48/339245748.db2.gz RJIAOEUMUVBQFB-ZDUSSCGKSA-N 0 3 306.862 4.486 20 0 DIADHN Clc1cccc(CN[C@@H]2CCCC[C@H]2n2cccn2)c1Cl ZINC000467761520 339246021 /nfs/dbraw/zinc/24/60/21/339246021.db2.gz AGSJPPHIKONWOF-HUUCEWRRSA-N 0 3 324.255 4.463 20 0 DIADHN C[C@@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1ccc(F)c(F)c1 ZINC000467836788 339247219 /nfs/dbraw/zinc/24/72/19/339247219.db2.gz RUESOQDDJNZXAH-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000467981993 339250476 /nfs/dbraw/zinc/25/04/76/339250476.db2.gz UQUKDZQDQKYSGK-ROUUACIJSA-N 0 3 322.452 4.093 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCOc3ccc(OC)cc32)s1 ZINC000468022082 339251434 /nfs/dbraw/zinc/25/14/34/339251434.db2.gz XUJKJSNSZGJVRM-LRDDRELGSA-N 0 3 317.454 4.494 20 0 DIADHN C/C=C\COc1ccc(CC[C@H](C)NCc2nccs2)cc1 ZINC000468364236 339260765 /nfs/dbraw/zinc/26/07/65/339260765.db2.gz ZINJJVBTYVMKLC-JZIMQPMSSA-N 0 3 316.470 4.209 20 0 DIADHN COc1ccccc1CN(C)CC1CCC(C(F)(F)F)CC1 ZINC000468401891 339261927 /nfs/dbraw/zinc/26/19/27/339261927.db2.gz MQSNPIQDZHPNKK-UHFFFAOYSA-N 0 3 315.379 4.496 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC[C@@H](CC(=O)OC(C)C)C1 ZINC000468474831 339263631 /nfs/dbraw/zinc/26/36/31/339263631.db2.gz IHPYQTQAPGSNSH-GTVARFKMSA-N 0 3 315.457 4.144 20 0 DIADHN C/C=C\COc1ccc(CC[C@@H](C)NCc2cc(C)no2)cc1 ZINC000468484756 339263950 /nfs/dbraw/zinc/26/39/50/339263950.db2.gz VPYRWWSKUHACSI-FOSCPCJNSA-N 0 3 314.429 4.049 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NCc1ncoc1-c1ccccc1 ZINC000468506116 339264750 /nfs/dbraw/zinc/26/47/50/339264750.db2.gz IPJWOVCMNSYZHO-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN C[C@@H](CCc1ccccc1)NCc1ncoc1-c1ccccc1 ZINC000468508377 339264790 /nfs/dbraw/zinc/26/47/90/339264790.db2.gz SETPETUZTYIYAG-INIZCTEOSA-N 0 3 306.409 4.453 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1ccc(N2CCCC2)nc1 ZINC000468683779 339268479 /nfs/dbraw/zinc/26/84/79/339268479.db2.gz HSADCNJZZIOPOQ-HXUWFJFHSA-N 0 3 321.468 4.019 20 0 DIADHN CC(C)CCC[C@@H](C)N(CCC(C)C)C(=O)C1CCN(C)CC1 ZINC000468901271 339274230 /nfs/dbraw/zinc/27/42/30/339274230.db2.gz KRUHMYJFLKNMMW-GOSISDBHSA-N 0 3 324.553 4.418 20 0 DIADHN C[C@@H](NC[C@H](O)CCc1ccccc1)c1csc(Cl)c1 ZINC000468953612 339275769 /nfs/dbraw/zinc/27/57/69/339275769.db2.gz MTWUOAPHVWVLBL-IUODEOHRSA-N 0 3 309.862 4.046 20 0 DIADHN CCCC1(C(=O)NCC(C)(C)N[C@@H](C)c2ccccc2)CCC1 ZINC000468968200 339276268 /nfs/dbraw/zinc/27/62/68/339276268.db2.gz ODIMRBQDYAGOFV-INIZCTEOSA-N 0 3 316.489 4.202 20 0 DIADHN COc1ccc(OCCN[C@@H](C)c2csc(Cl)c2)cc1 ZINC000469035622 339277478 /nfs/dbraw/zinc/27/74/78/339277478.db2.gz QRGPRVMUDARIET-NSHDSACASA-N 0 3 311.834 4.140 20 0 DIADHN COC(C)(C)CCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000469037425 339278350 /nfs/dbraw/zinc/27/83/50/339278350.db2.gz MQLGAALDMXVNHJ-SFHVURJKSA-N 0 3 301.405 4.320 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)Cc1cn2ccsc2n1 ZINC000469048470 339278618 /nfs/dbraw/zinc/27/86/18/339278618.db2.gz PWCISPSWYIKXID-KRWDZBQOSA-N 0 3 313.470 4.239 20 0 DIADHN CC(C)n1cc2c(n1)C[C@@H](N[C@H](C)c1csc(Cl)c1)CC2 ZINC000469072072 339279144 /nfs/dbraw/zinc/27/91/44/339279144.db2.gz XDWKFHJZPGADIE-RISCZKNCSA-N 0 3 323.893 4.387 20 0 DIADHN CC(C)n1cc2c(n1)C[C@@H](N[C@@H](C)c1csc(Cl)c1)CC2 ZINC000469071888 339279212 /nfs/dbraw/zinc/27/92/12/339279212.db2.gz XDWKFHJZPGADIE-FZMZJTMJSA-N 0 3 323.893 4.387 20 0 DIADHN C[C@H](NCCn1cnc2ccccc21)c1csc(Cl)c1 ZINC000469076550 339279424 /nfs/dbraw/zinc/27/94/24/339279424.db2.gz WQTWZIHIWRBHSI-NSHDSACASA-N 0 3 305.834 4.102 20 0 DIADHN CO[C@@H](CCNC(c1ccccc1)c1ccccc1)C(F)(F)F ZINC000469080964 339279527 /nfs/dbraw/zinc/27/95/27/339279527.db2.gz PRGWVMKZEQYIHQ-INIZCTEOSA-N 0 3 323.358 4.333 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cccc(-c2cccs2)c1 ZINC000469179981 339282186 /nfs/dbraw/zinc/28/21/86/339282186.db2.gz BLDBPRCKIJJDGV-ZIAGYGMSSA-N 0 3 314.454 4.226 20 0 DIADHN CN(Cc1ccc2c(c1)COC(C)(C)O2)[C@H]1CCc2ccccc21 ZINC000469208296 339283092 /nfs/dbraw/zinc/28/30/92/339283092.db2.gz BSUUFJWVJOPTHP-IBGZPJMESA-N 0 3 323.436 4.451 20 0 DIADHN CN(Cc1ccc2c(c1)COC(C)(C)O2)[C@@H]1CCc2ccccc21 ZINC000469208310 339283108 /nfs/dbraw/zinc/28/31/08/339283108.db2.gz BSUUFJWVJOPTHP-LJQANCHMSA-N 0 3 323.436 4.451 20 0 DIADHN Fc1ccc(CC2CCN(CCC(F)(F)F)CC2)cc1F ZINC000469450656 339289821 /nfs/dbraw/zinc/28/98/21/339289821.db2.gz RZYJLERSMJULHV-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN CC(C)[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)c1ccccc1Cl ZINC000469518710 339292354 /nfs/dbraw/zinc/29/23/54/339292354.db2.gz IRUPYAVBDJBDIW-DEYYWGMASA-N 0 3 322.880 4.026 20 0 DIADHN CC(C)[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccccc1Cl ZINC000469518711 339292430 /nfs/dbraw/zinc/29/24/30/339292430.db2.gz IRUPYAVBDJBDIW-IYOUNJFTSA-N 0 3 322.880 4.026 20 0 DIADHN CCCn1cc(CNCC(C)(C)c2cccc(Cl)c2F)cn1 ZINC000469564055 339293389 /nfs/dbraw/zinc/29/33/89/339293389.db2.gz RYCFLNKJTQFDJK-UHFFFAOYSA-N 0 3 323.843 4.153 20 0 DIADHN COC(C)(C)CCN1CCSC[C@@H]1c1ccc(Cl)cc1 ZINC000469614308 339294572 /nfs/dbraw/zinc/29/45/72/339294572.db2.gz YJNUUIPBLZXDPO-OAHLLOKOSA-N 0 3 313.894 4.245 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2C[C@H](O)CC(F)(F)F)cc1 ZINC000469720164 339296368 /nfs/dbraw/zinc/29/63/68/339296368.db2.gz DPSLMAWLODEMRC-CVEARBPZSA-N 0 3 315.379 4.260 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CC[C@H](Oc2ccncc2)C1 ZINC000469740360 339297492 /nfs/dbraw/zinc/29/74/92/339297492.db2.gz XGXKSGWTVKURAK-KKSFZXQISA-N 0 3 318.420 4.449 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CC[C@@H](Oc2ccncc2)C1 ZINC000469740357 339297532 /nfs/dbraw/zinc/29/75/32/339297532.db2.gz XGXKSGWTVKURAK-HRAATJIYSA-N 0 3 318.420 4.449 20 0 DIADHN COc1cc(CCN[C@@H](C)c2csc(C(C)C)n2)ccc1C ZINC000469777076 339299143 /nfs/dbraw/zinc/29/91/43/339299143.db2.gz VHYRUUVWOGYPFI-AWEZNQCLSA-N 0 3 318.486 4.477 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3ccc(F)cn3)CC2)cc1 ZINC000469786415 339299878 /nfs/dbraw/zinc/29/98/78/339299878.db2.gz IZKNEPNRXDBKPW-AWEZNQCLSA-N 0 3 302.368 4.130 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1ccn(C2CCCC2)n1 ZINC000469789581 339300195 /nfs/dbraw/zinc/30/01/95/339300195.db2.gz FQOKIKYKBMNMHL-DLBZAZTESA-N 0 3 307.507 4.152 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ccn(C2CCCC2)n1 ZINC000469789585 339300249 /nfs/dbraw/zinc/30/02/49/339300249.db2.gz FQOKIKYKBMNMHL-IRXDYDNUSA-N 0 3 307.507 4.152 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc(-n3cccn3)cc2)c1 ZINC000469791414 339300292 /nfs/dbraw/zinc/30/02/92/339300292.db2.gz PGQRGBSXCKBGIO-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN CC(C)c1nc([C@H](C)NCCN2[C@H](C)CCC[C@H]2C)cs1 ZINC000469791477 339300400 /nfs/dbraw/zinc/30/04/00/339300400.db2.gz RQJVDKVBUGTDBD-KFWWJZLASA-N 0 3 309.523 4.180 20 0 DIADHN CC(C)c1nc([C@H](C)NCCC[C@@H]2CCCC[C@H]2O)cs1 ZINC000469797510 339300730 /nfs/dbraw/zinc/30/07/30/339300730.db2.gz CLBBCMZBBKCOQY-OFQRWUPVSA-N 0 3 310.507 4.248 20 0 DIADHN C[C@H](CCC1CC1)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000469811695 339301808 /nfs/dbraw/zinc/30/18/08/339301808.db2.gz WFSJCBMERIDAGS-NEPJUHHUSA-N 0 3 305.853 4.456 20 0 DIADHN Fc1ccccc1CCN1CCC(OC2CCCCC2)CC1 ZINC000469904615 339304276 /nfs/dbraw/zinc/30/42/76/339304276.db2.gz DMYDMKNBQQQVLS-UHFFFAOYSA-N 0 3 305.437 4.182 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccc(OC(F)F)cc1 ZINC000469990846 339307087 /nfs/dbraw/zinc/30/70/87/339307087.db2.gz LWRFQJOQWLLZQH-GFCCVEGCSA-N 0 3 323.305 4.451 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CCCOc1ccccc1 ZINC000469992446 339307234 /nfs/dbraw/zinc/30/72/34/339307234.db2.gz QEQLIRKUXKQHME-AWEZNQCLSA-N 0 3 301.352 4.118 20 0 DIADHN C[C@H](NCCc1ccccc1F)c1nc(C(C)(C)C)cs1 ZINC000470182575 339313298 /nfs/dbraw/zinc/31/32/98/339313298.db2.gz LZOHWGFATIUWCJ-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN COc1cccc(CNC[C@@H](C)c2cccc(Cl)c2)c1OC ZINC000470194763 339313749 /nfs/dbraw/zinc/31/37/49/339313749.db2.gz LXJRVZGTSMCSJA-CYBMUJFWSA-N 0 3 319.832 4.251 20 0 DIADHN C[C@H]1CC[C@H](C)N1Cc1cc(Br)cc2cccnc21 ZINC000470208196 339314321 /nfs/dbraw/zinc/31/43/21/339314321.db2.gz RJUPOQYRWHRUMK-RYUDHWBXSA-N 0 3 319.246 4.370 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)c1ccc2c(c1)CNC2)C(C)(C)C ZINC000470365811 339318388 /nfs/dbraw/zinc/31/83/88/339318388.db2.gz AELSWHJHUYHQRU-IBGZPJMESA-N 0 3 322.452 4.115 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H](C)[C@H](C)c1ccccc1)n2C ZINC000470545596 339321918 /nfs/dbraw/zinc/32/19/18/339321918.db2.gz GTJYGAVIGFSLEI-JKSUJKDBSA-N 0 3 307.441 4.164 20 0 DIADHN C[C@@H](c1ccco1)N(C)C[C@H](O)Cc1ccc2ccccc2c1 ZINC000470727946 339326382 /nfs/dbraw/zinc/32/63/82/339326382.db2.gz XHVIZCSLSSGLQE-HNAYVOBHSA-N 0 3 309.409 4.029 20 0 DIADHN CCC1(CC)Oc2ccc(NC(=O)C(C)C(F)(F)F)cc2O1 ZINC000470734284 339326464 /nfs/dbraw/zinc/32/64/64/339326464.db2.gz WJQZISDGDJEUDS-SECBINFHSA-N 0 3 317.307 4.111 20 0 DIADHN CC(C)[C@@H](NCCOC(F)(F)F)c1cc2ccccc2o1 ZINC000470763451 339327165 /nfs/dbraw/zinc/32/71/65/339327165.db2.gz CHHZITRHWDINPP-CQSZACIVSA-N 0 3 301.308 4.256 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)Cc2ccc3ccccc3c2)c(C)o1 ZINC000470773938 339327422 /nfs/dbraw/zinc/32/74/22/339327422.db2.gz QLXPPNWVHPZXCB-FOIQADDNSA-N 0 3 323.436 4.304 20 0 DIADHN C[C@H](c1cnccn1)N1CCC(C)(c2ccc(Cl)cc2)CC1 ZINC000470875522 339329950 /nfs/dbraw/zinc/32/99/50/339329950.db2.gz ZFNRGNGYGOTYJL-CQSZACIVSA-N 0 3 315.848 4.245 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCSCc1ccccc1 ZINC000470940212 339331701 /nfs/dbraw/zinc/33/17/01/339331701.db2.gz LUYKYPHDQVGQJM-TZMCWYRMSA-N 0 3 303.393 4.193 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(C(F)(F)F)cc1 ZINC000470946992 339332138 /nfs/dbraw/zinc/33/21/38/339332138.db2.gz MBNCEWSNGXXEDL-JOYOIKCWSA-N 0 3 311.269 4.478 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)c1 ZINC000470957320 339332850 /nfs/dbraw/zinc/33/28/50/339332850.db2.gz WSAGOHUPYOQSEZ-WFASDCNBSA-N 0 3 301.352 4.247 20 0 DIADHN CSc1ccccc1CN(C)CCCCC(=O)OC(C)(C)C ZINC000470994939 339334111 /nfs/dbraw/zinc/33/41/11/339334111.db2.gz NPPKPFOIZLEPIA-UHFFFAOYSA-N 0 3 323.502 4.352 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4cccnc4s3)C2)c(C)c1 ZINC000471012602 339334460 /nfs/dbraw/zinc/33/44/60/339334460.db2.gz RGMKYWMHWVQSRS-HNNXBMFYSA-N 0 3 323.465 4.298 20 0 DIADHN CSc1ccccc1CN(C)CCCCCn1cc(C)cn1 ZINC000471026448 339334762 /nfs/dbraw/zinc/33/47/62/339334762.db2.gz XMHDXGLPRNFMJG-UHFFFAOYSA-N 0 3 317.502 4.216 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4ccccc4o3)C2)c(C)c1 ZINC000471027969 339334895 /nfs/dbraw/zinc/33/48/95/339334895.db2.gz JXSLBUOTFIVFPO-INIZCTEOSA-N 0 3 306.409 4.434 20 0 DIADHN CCC(CC)(CC)NCc1coc(-c2ccc(OC)cc2)n1 ZINC000471234337 339340768 /nfs/dbraw/zinc/34/07/68/339340768.db2.gz ATNAKNXVTPTQSL-UHFFFAOYSA-N 0 3 302.418 4.409 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1ccccc1Br ZINC000471533503 339346948 /nfs/dbraw/zinc/34/69/48/339346948.db2.gz WIJOZKGEWNLMPP-LBPRGKRZSA-N 0 3 314.267 4.041 20 0 DIADHN FC(F)COCCNCc1ccc(Sc2ccccc2)cc1 ZINC000471538078 339346989 /nfs/dbraw/zinc/34/69/89/339346989.db2.gz GZSRLXNHWDULKO-UHFFFAOYSA-N 0 3 323.408 4.209 20 0 DIADHN CCOc1ccccc1CNCCc1ccccc1OC(F)F ZINC000471562685 339347588 /nfs/dbraw/zinc/34/75/88/339347588.db2.gz JSLWURGGRSLGHT-UHFFFAOYSA-N 0 3 321.367 4.019 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H]1Oc2ccccc2[C@H]1C ZINC000471587650 339348226 /nfs/dbraw/zinc/34/82/26/339348226.db2.gz VOXJHRRCWOABFR-FJZAXULXSA-N 0 3 317.454 4.361 20 0 DIADHN CC[C@@H](CN[C@H](C)c1sccc1OC)Oc1ccccc1F ZINC000471592167 339348459 /nfs/dbraw/zinc/34/84/59/339348459.db2.gz CDQJOAPBOXOJHX-OLZOCXBDSA-N 0 3 323.433 4.404 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@H](CO)c1ccsc1 ZINC000471608647 339349371 /nfs/dbraw/zinc/34/93/71/339349371.db2.gz DTZMYOIFXVNBRK-ZWNOBZJWSA-N 0 3 315.891 4.107 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)N[C@@H](CO)c1ccsc1 ZINC000471608644 339349373 /nfs/dbraw/zinc/34/93/73/339349373.db2.gz DTZMYOIFXVNBRK-GWCFXTLKSA-N 0 3 315.891 4.107 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471611408 339349524 /nfs/dbraw/zinc/34/95/24/339349524.db2.gz QFEAICSHOKUJTO-YRNRMSPPSA-N 0 3 312.457 4.037 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471612586 339349529 /nfs/dbraw/zinc/34/95/29/339349529.db2.gz UDEIGAVWJGKQTB-ZQIUZPCESA-N 0 3 318.486 4.099 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](c1ccccc1)N(C)C)c1ccc(F)c(F)c1 ZINC000471618584 339349793 /nfs/dbraw/zinc/34/97/93/339349793.db2.gz KJHVPUJRKGONSH-CKFHNAJUSA-N 0 3 318.411 4.307 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@H](c1ccccc1)N(C)C ZINC000471618585 339349812 /nfs/dbraw/zinc/34/98/12/339349812.db2.gz KJHVPUJRKGONSH-IQUTYRLHSA-N 0 3 318.411 4.307 20 0 DIADHN C[C@@H](NCCOCC(F)F)c1ccc(-c2cccs2)cc1 ZINC000471755055 339353090 /nfs/dbraw/zinc/35/30/90/339353090.db2.gz BBHAWEZERVXJIV-GFCCVEGCSA-N 0 3 311.397 4.347 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccccc1OC(F)F ZINC000471795100 339354380 /nfs/dbraw/zinc/35/43/80/339354380.db2.gz XFTMXJIIPKWXOV-ZDUSSCGKSA-N 0 3 320.383 4.139 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1ccc(Br)s1 ZINC000471800663 339354417 /nfs/dbraw/zinc/35/44/17/339354417.db2.gz SSMNEMGAZVWHEP-SNVBAGLBSA-N 0 3 320.296 4.102 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1ccccc1OC(F)F ZINC000471795099 339354437 /nfs/dbraw/zinc/35/44/37/339354437.db2.gz XFTMXJIIPKWXOV-CYBMUJFWSA-N 0 3 320.383 4.139 20 0 DIADHN CCSc1cccc(CNCCCC(=O)OC(C)(C)C)c1 ZINC000472045409 339360533 /nfs/dbraw/zinc/36/05/33/339360533.db2.gz SMSPTSARWKGPLH-UHFFFAOYSA-N 0 3 309.475 4.010 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1nn(CC)c2ccccc12 ZINC000472057993 339361417 /nfs/dbraw/zinc/36/14/17/339361417.db2.gz NCMCZPUFYDCSLH-KRWDZBQOSA-N 0 3 307.441 4.167 20 0 DIADHN COc1ccccc1[C@H](CNCc1ccc(C)s1)OC(C)C ZINC000472063532 339361714 /nfs/dbraw/zinc/36/17/14/339361714.db2.gz FBCHSJVZSOFDSP-SFHVURJKSA-N 0 3 319.470 4.321 20 0 DIADHN COc1ccccc1C[C@H](NCc1ncc(Cl)s1)C(C)C ZINC000472064397 339361849 /nfs/dbraw/zinc/36/18/49/339361849.db2.gz SBICOMUTPXGVFT-ZDUSSCGKSA-N 0 3 324.877 4.162 20 0 DIADHN Cc1cc([C@H](NCc2cc(O)cc(F)c2)C2CC2)ccc1F ZINC000472075834 339362655 /nfs/dbraw/zinc/36/26/55/339362655.db2.gz ADOJBSIDTOQUIU-GOSISDBHSA-N 0 3 303.352 4.220 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)[C@H](c1ccccc1)N(C)C ZINC000472075163 339362680 /nfs/dbraw/zinc/36/26/80/339362680.db2.gz QXJYBYNHQFSPAM-MLGOLLRUSA-N 0 3 308.878 4.183 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H](C)COc1cccc(C)c1 ZINC000472132305 339363911 /nfs/dbraw/zinc/36/39/11/339363911.db2.gz JWOGGLSKOQMVKH-UONOGXRCSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NCCc1ccc2ccccc2n1 ZINC000472149282 339364297 /nfs/dbraw/zinc/36/42/97/339364297.db2.gz BYXXJHWYDQJPKZ-ZDUSSCGKSA-N 0 3 312.438 4.198 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@@H](C)CC2(C)C)cs1 ZINC000472257577 339367928 /nfs/dbraw/zinc/36/79/28/339367928.db2.gz MKQPSJUWOYQTAN-ZDUSSCGKSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1cnc(CCN[C@H](c2ccc(Cl)cc2F)C(C)C)cn1 ZINC000472466453 339372608 /nfs/dbraw/zinc/37/26/08/339372608.db2.gz YTWJRYNOEDQSJX-KRWDZBQOSA-N 0 3 321.827 4.107 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000472579320 339374275 /nfs/dbraw/zinc/37/42/75/339374275.db2.gz HXJJBPGYXSFESP-CYBMUJFWSA-N 0 3 321.827 4.014 20 0 DIADHN CCn1cc(CCN[C@@H](C)c2ccc(Cl)cc2Cl)cn1 ZINC000472586543 339374533 /nfs/dbraw/zinc/37/45/33/339374533.db2.gz QJEGWYFCGCJNBY-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N[C@H]1CCCC1(C)C ZINC000472594920 339374788 /nfs/dbraw/zinc/37/47/88/339374788.db2.gz GAVRBAMPWJTFJT-DOMZBBRYSA-N 0 3 308.853 4.144 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@H]2NCc2nc3ccccc3o2)cc1 ZINC000472611707 339375199 /nfs/dbraw/zinc/37/51/99/339375199.db2.gz VZGURTHMYNKPKN-DLBZAZTESA-N 0 3 306.409 4.329 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(Cl)cc2)n1C)C(C)(C)C ZINC000473045640 339380535 /nfs/dbraw/zinc/38/05/35/339380535.db2.gz JMYZRCXYQGUUOE-AWEZNQCLSA-N 0 3 320.868 4.050 20 0 DIADHN C/C(=C/c1ccccc1)CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000473119791 339381249 /nfs/dbraw/zinc/38/12/49/339381249.db2.gz MUTOLCDTOYCQHX-YULVEUEDSA-N 0 3 305.421 4.221 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1cc(O)cc(F)c1 ZINC000473115773 339381426 /nfs/dbraw/zinc/38/14/26/339381426.db2.gz CHAWONRMPFDVGJ-PIGZYNQJSA-N 0 3 323.795 4.051 20 0 DIADHN CCn1nc(CN2CC[C@H](c3ccccc3)C2)c2ccccc21 ZINC000473133312 339381723 /nfs/dbraw/zinc/38/17/23/339381723.db2.gz QTQOIDVYBOWVEE-KRWDZBQOSA-N 0 3 305.425 4.046 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2nn(CC)c3ccccc23)C1 ZINC000473134497 339381999 /nfs/dbraw/zinc/38/19/99/339381999.db2.gz XKFOQCZOOOEAMW-GJZGRUSLSA-N 0 3 317.502 4.162 20 0 DIADHN CCN(Cc1cc(O)cc(F)c1)[C@H](C)c1cccc(OC)c1 ZINC000473134614 339382054 /nfs/dbraw/zinc/38/20/54/339382054.db2.gz YSOOVACQXIZHFH-CYBMUJFWSA-N 0 3 303.377 4.123 20 0 DIADHN Oc1cc(F)cc(CN2CC[C@H](CSc3ccccc3)C2)c1 ZINC000473141858 339382681 /nfs/dbraw/zinc/38/26/81/339382681.db2.gz QAWNQJUPDSMXPS-AWEZNQCLSA-N 0 3 317.429 4.146 20 0 DIADHN CCSc1cccc(CN(C)[C@@H](CCO)c2ccccc2)c1 ZINC000473145040 339382773 /nfs/dbraw/zinc/38/27/73/339382773.db2.gz JFBDDJPFLDGIPP-IBGZPJMESA-N 0 3 315.482 4.354 20 0 DIADHN CCn1nc(CN(C)C[C@H](C)c2ccccc2)c2ccccc21 ZINC000473145957 339382844 /nfs/dbraw/zinc/38/28/44/339382844.db2.gz LMOGEWOGFMWRSW-INIZCTEOSA-N 0 3 307.441 4.292 20 0 DIADHN FC(F)(F)OCCNCc1ccc(-c2ccccc2Cl)o1 ZINC000474009266 339396987 /nfs/dbraw/zinc/39/69/87/339396987.db2.gz WBOKJNFKBPPOSN-UHFFFAOYSA-N 0 3 319.710 4.226 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1cc2cccc(F)c2o1 ZINC000474016941 339397303 /nfs/dbraw/zinc/39/73/03/339397303.db2.gz OZIDVZVXARSKFV-LLVKDONJSA-N 0 3 309.344 4.299 20 0 DIADHN COc1ccccc1[C@@H](NCCOC(F)(F)F)C1CCCC1 ZINC000474032939 339397980 /nfs/dbraw/zinc/39/79/80/339397980.db2.gz PVBJEZBOFQALBX-HNNXBMFYSA-N 0 3 317.351 4.053 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@@H](C)CC(F)(F)F ZINC000474039368 339398341 /nfs/dbraw/zinc/39/83/41/339398341.db2.gz SWGYTBDZOULEFL-IINYFYTJSA-N 0 3 301.352 4.348 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCCC[C@H]1[C@H]1CCOC1 ZINC000474050762 339399274 /nfs/dbraw/zinc/39/92/74/339399274.db2.gz IQLITQCCJPBMEM-GDZNZVCISA-N 0 3 311.828 4.164 20 0 DIADHN FC(F)(F)OCCN[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000474063003 339400260 /nfs/dbraw/zinc/40/02/60/339400260.db2.gz DMFCNGNBUMGBDR-SNVBAGLBSA-N 0 3 314.134 4.107 20 0 DIADHN FC(F)(F)OCCN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000474062748 339400270 /nfs/dbraw/zinc/40/02/70/339400270.db2.gz AKODOJNGMCFQQT-SNVBAGLBSA-N 0 3 314.134 4.107 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1ccccc1N(C)C ZINC000474070394 339400714 /nfs/dbraw/zinc/40/07/14/339400714.db2.gz AOIZARUUSMFKAL-LJQANCHMSA-N 0 3 324.468 4.273 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCCOC(F)(F)F)CCCO2 ZINC000474075009 339401003 /nfs/dbraw/zinc/40/10/03/339401003.db2.gz UBPSEWMSNCWDFF-AWEZNQCLSA-N 0 3 317.351 4.150 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H](CCO)c1ccccc1 ZINC000474368762 339411129 /nfs/dbraw/zinc/41/11/29/339411129.db2.gz HKYGLEWUMWZBRQ-QGZVFWFLSA-N 0 3 317.860 4.158 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccccn1)C1CCSCC1 ZINC000474476154 339416503 /nfs/dbraw/zinc/41/65/03/339416503.db2.gz WFNUFLILUZMWST-KXBFYZLASA-N 0 3 312.482 4.292 20 0 DIADHN CCCC[C@H](CC)CC(=O)Nc1ccccc1CCN(C)C ZINC000474619837 339420384 /nfs/dbraw/zinc/42/03/84/339420384.db2.gz FSQNGLIDNHQCEE-INIZCTEOSA-N 0 3 304.478 4.336 20 0 DIADHN CC(C)n1nccc1CNCCc1cc(Cl)cc(Cl)c1 ZINC000474626299 339420691 /nfs/dbraw/zinc/42/06/91/339420691.db2.gz DIOGUTUJWJYOPQ-UHFFFAOYSA-N 0 3 312.244 4.103 20 0 DIADHN CCOC[C@H]1CCC[C@@H]1NCc1csc(-c2ccccc2)n1 ZINC000474625959 339420752 /nfs/dbraw/zinc/42/07/52/339420752.db2.gz AXIYFLXMTJKOLY-WBVHZDCISA-N 0 3 316.470 4.105 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@]1(C)CCOC1 ZINC000474726908 339424272 /nfs/dbraw/zinc/42/42/72/339424272.db2.gz GJXPMJNLVPQXSN-INIZCTEOSA-N 0 3 313.894 4.357 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H]1CCC[C@H](C(C)C)C1 ZINC000474809617 339427444 /nfs/dbraw/zinc/42/74/44/339427444.db2.gz PAKCUTZGCBUDLA-JYJNAYRXSA-N 0 3 304.482 4.041 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2NCc2cnccc2C)c1 ZINC000474879497 339429502 /nfs/dbraw/zinc/42/95/02/339429502.db2.gz QTGZUEHTBGQNJH-WOJBJXKFSA-N 0 3 310.441 4.178 20 0 DIADHN CCc1cccc(F)c1CN1CCC(n2cc(Cl)cn2)CC1 ZINC000474946691 339432851 /nfs/dbraw/zinc/43/28/51/339432851.db2.gz UMSWFZPAXMJUIE-UHFFFAOYSA-N 0 3 321.827 4.075 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2nc3c(s2)C[C@@H](C)CC3)o1 ZINC000498358707 339434586 /nfs/dbraw/zinc/43/45/86/339434586.db2.gz HYALFQXUSMZBFB-STQMWFEESA-N 0 3 318.486 4.280 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2nc3c(s2)C[C@H](C)CC3)o1 ZINC000498358704 339434627 /nfs/dbraw/zinc/43/46/27/339434627.db2.gz HYALFQXUSMZBFB-OLZOCXBDSA-N 0 3 318.486 4.280 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC1CC(O)C1 ZINC000475203889 339441460 /nfs/dbraw/zinc/44/14/60/339441460.db2.gz NLVYXKVTYTVMOZ-UHFFFAOYSA-N 0 3 313.894 4.091 20 0 DIADHN CC[C@@H](C)CN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000475641763 339458054 /nfs/dbraw/zinc/45/80/54/339458054.db2.gz UDBZTKZQGYNESS-NOZJJQNGSA-N 0 3 309.759 4.028 20 0 DIADHN CCCCOc1ccc([C@H](C)NC[C@@H]2CC[C@@H](C)O2)cc1OC ZINC000475312423 339445728 /nfs/dbraw/zinc/44/57/28/339445728.db2.gz YDFRMEKRLHXFFU-VYDXJSESSA-N 0 3 321.461 4.092 20 0 DIADHN C[C@@H](N[C@@H](C)CC1CCCC1)c1ccc(Br)cn1 ZINC000475510509 339453345 /nfs/dbraw/zinc/45/33/45/339453345.db2.gz QXLPJYCUOMPDKY-NWDGAFQWSA-N 0 3 311.267 4.464 20 0 DIADHN C[C@@H](CN[C@H](C)c1ccc(Br)cn1)c1ccccc1 ZINC000475512942 339453646 /nfs/dbraw/zinc/45/36/46/339453646.db2.gz INMSOGGEPMHFEE-QWHCGFSZSA-N 0 3 319.246 4.298 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@H](c3cccc(F)c3)C2)cs1 ZINC000475556181 339454893 /nfs/dbraw/zinc/45/48/93/339454893.db2.gz PCXMWOREURFKBE-DZGCQCFKSA-N 0 3 304.434 4.271 20 0 DIADHN CCn1cc(CN2CCC(C)(c3cccc(Cl)c3)CC2)cn1 ZINC000475619233 339457490 /nfs/dbraw/zinc/45/74/90/339457490.db2.gz QKYAQALVNADITN-UHFFFAOYSA-N 0 3 317.864 4.110 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cnn3ccccc23)cc1 ZINC000475680231 339459399 /nfs/dbraw/zinc/45/93/99/339459399.db2.gz VKBACHUFHPZZTN-UHFFFAOYSA-N 0 3 323.440 4.148 20 0 DIADHN Cn1cc([C@@H](NCC2CCCCCC2)c2ccc(F)cc2)cn1 ZINC000478326122 339513497 /nfs/dbraw/zinc/51/34/97/339513497.db2.gz ALDIGHFCIPVGSF-IBGZPJMESA-N 0 3 315.436 4.209 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2ccc([C@H]3C[C@@H]3C)o2)CCO1 ZINC000478325458 339513505 /nfs/dbraw/zinc/51/35/05/339513505.db2.gz UIDYLDLDZNRDKX-PZFZOXMESA-N 0 3 305.462 4.476 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(N3CCCC3)nc2)c1 ZINC000479409406 339533603 /nfs/dbraw/zinc/53/36/03/339533603.db2.gz FEDRERMNLAPHIP-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000479442242 339535264 /nfs/dbraw/zinc/53/52/64/339535264.db2.gz XBKXJTNIVIVDRS-JHVJFLLYSA-N 0 3 309.453 4.194 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1nnc(-c2ccccc2)s1 ZINC000479460437 339536203 /nfs/dbraw/zinc/53/62/03/339536203.db2.gz KDZRZYDRJXUOKU-GDBMZVCRSA-N 0 3 313.470 4.287 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ccc(F)cc21)c1ccc2c(c1)OCO2 ZINC000479490201 339537769 /nfs/dbraw/zinc/53/77/69/339537769.db2.gz OPTLZTHFAGMOCN-SJKOYZFVSA-N 0 3 313.372 4.283 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1cc(Cl)cc(Cl)c1 ZINC000479513508 339538755 /nfs/dbraw/zinc/53/87/55/339538755.db2.gz OGDOQJCMBPCTTK-MEBBXXQBSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCC1)c1ccc(Cl)c(Cl)c1 ZINC000479514759 339538812 /nfs/dbraw/zinc/53/88/12/339538812.db2.gz HKQBEZJIWBECNV-MFKMUULPSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H](CO)CCC(C)(C)C)c1ccccc1OC(F)F ZINC000479547189 339540576 /nfs/dbraw/zinc/54/05/76/339540576.db2.gz XYXOTMUJWRQUFI-QWHCGFSZSA-N 0 3 315.404 4.126 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000479571372 339541603 /nfs/dbraw/zinc/54/16/03/339541603.db2.gz ZIKUXYRHIKBPBD-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN COc1ccc(CN[C@@H]2CCCO[C@H]2CC(C)C)cc1Cl ZINC000479603888 339543420 /nfs/dbraw/zinc/54/34/20/339543420.db2.gz RSRBNDYOQWKFHN-WBVHZDCISA-N 0 3 311.853 4.032 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)NCc1cccnc1 ZINC000479878069 339552463 /nfs/dbraw/zinc/55/24/63/339552463.db2.gz YMUVJKHDRCABEY-OAHLLOKOSA-N 0 3 306.862 4.396 20 0 DIADHN CCN(CCN[C@@H](C)c1sc(C)nc1C)c1cccc(C)c1 ZINC000480019128 339555605 /nfs/dbraw/zinc/55/56/05/339555605.db2.gz LBKWKQFYUBXGJJ-AWEZNQCLSA-N 0 3 317.502 4.245 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCCC1)c1cccc(F)c1 ZINC000480071387 339557594 /nfs/dbraw/zinc/55/75/94/339557594.db2.gz OTUBILDUCKABIM-CRAIPNDOSA-N 0 3 316.420 4.297 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2cnc(C3CCCC3)s2)CCO1 ZINC000480092901 339558849 /nfs/dbraw/zinc/55/88/49/339558849.db2.gz DMBBPALBUPZELQ-GOEBONIOSA-N 0 3 322.518 4.484 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccc(C)c2)ccc1OC(F)F ZINC000480168469 339561692 /nfs/dbraw/zinc/56/16/92/339561692.db2.gz UAIXDKPRLLZHPD-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc(Cl)cn1)COCc1ccccc1 ZINC000480194262 339562928 /nfs/dbraw/zinc/56/29/28/339562928.db2.gz GAUHZZYUVMALPD-HUUCEWRRSA-N 0 3 318.848 4.239 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2F)CC1)c1ccc(Cl)cn1 ZINC000480196388 339563093 /nfs/dbraw/zinc/56/30/93/339563093.db2.gz FCCKGLUKRXANFU-NSHDSACASA-N 0 3 322.786 4.396 20 0 DIADHN Cc1cc(C)cc(OCCN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480196736 339563114 /nfs/dbraw/zinc/56/31/14/339563114.db2.gz KNSNNPWVJWMSPJ-AWEZNQCLSA-N 0 3 304.821 4.081 20 0 DIADHN CC(C)(C)n1cc(CNC2CCC3(CCCCC3)CC2)nn1 ZINC000480279685 339567040 /nfs/dbraw/zinc/56/70/40/339567040.db2.gz DJEZNCIHZUUJET-UHFFFAOYSA-N 0 3 304.482 4.016 20 0 DIADHN CO[C@@H]1CCC[C@@H]1CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480313133 339568819 /nfs/dbraw/zinc/56/88/19/339568819.db2.gz ZXYZRURQKBHNSR-MLGOLLRUSA-N 0 3 321.367 4.130 20 0 DIADHN CCN(CCCN[C@@H](C)c1ccc(Cl)cn1)c1ccccc1 ZINC000480325757 339569101 /nfs/dbraw/zinc/56/91/01/339569101.db2.gz IHWLLSPOHHRQKY-HNNXBMFYSA-N 0 3 317.864 4.302 20 0 DIADHN Clc1sccc1CN[C@H]1CCCN(c2ccccc2)C1 ZINC000480341175 339569747 /nfs/dbraw/zinc/56/97/47/339569747.db2.gz BOIUHDIZUKWFLD-AWEZNQCLSA-N 0 3 306.862 4.160 20 0 DIADHN Brc1ccc(CNCCc2cc3ccccc3o2)o1 ZINC000480342581 339569780 /nfs/dbraw/zinc/56/97/80/339569780.db2.gz NDBZJHCJHAXYRJ-UHFFFAOYSA-N 0 3 320.186 4.121 20 0 DIADHN Fc1cccc(C2(CNCc3ccc(Br)o3)CC2)c1 ZINC000480353483 339570229 /nfs/dbraw/zinc/57/02/29/339570229.db2.gz MCOPLHVBQUJGGE-UHFFFAOYSA-N 0 3 324.193 4.003 20 0 DIADHN c1cn(-c2ccccc2)c(CN[C@@H]2CCC[C@@H]2c2ccccc2)n1 ZINC000480366725 339570918 /nfs/dbraw/zinc/57/09/18/339570918.db2.gz ZIBMVZVMEKDYBZ-WOJBJXKFSA-N 0 3 317.436 4.298 20 0 DIADHN COC1(CN[C@@H]2CC3(CCC3)Oc3ccccc32)CCCCC1 ZINC000480393127 339572073 /nfs/dbraw/zinc/57/20/73/339572073.db2.gz DOVUSGSRKOEUKE-QGZVFWFLSA-N 0 3 315.457 4.372 20 0 DIADHN COc1ccccc1/C=C/CNC[C@H]1CCC2(CCCCC2)O1 ZINC000480467255 339573990 /nfs/dbraw/zinc/57/39/90/339573990.db2.gz GBFTTXVDRZKIBZ-PDFOZTTASA-N 0 3 315.457 4.180 20 0 DIADHN C[C@H](O)[C@@](C)(CNCc1ccsc1Cl)Cc1ccccc1 ZINC000480482570 339574358 /nfs/dbraw/zinc/57/43/58/339574358.db2.gz QQVWJFZSRYYSQC-SUMWQHHRSA-N 0 3 323.889 4.121 20 0 DIADHN Cc1ccc(OC2CCN(C[C@H]3CCC(C)(C)O3)CC2)cc1C ZINC000480532671 339576229 /nfs/dbraw/zinc/57/62/29/339576229.db2.gz ZDEBIQSBQLRSAG-LJQANCHMSA-N 0 3 317.473 4.104 20 0 DIADHN COc1cccc(C=C2CCN(C[C@@H]3CCC(C)(C)O3)CC2)c1 ZINC000480592326 339578821 /nfs/dbraw/zinc/57/88/21/339578821.db2.gz XRNNLDVDUGCIFR-IBGZPJMESA-N 0 3 315.457 4.132 20 0 DIADHN Cc1cc(C)n(-c2ccc(CCN[C@@H](C)c3ccc(C)o3)cc2)n1 ZINC000480614142 339579745 /nfs/dbraw/zinc/57/97/45/339579745.db2.gz KFRDTMYWHGKRLI-KRWDZBQOSA-N 0 3 323.440 4.284 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](C)N(C)c2ccccc2)s1 ZINC000480618912 339579983 /nfs/dbraw/zinc/57/99/83/339579983.db2.gz YOCVJUJCGLMYEC-KBPBESRZSA-N 0 3 317.502 4.189 20 0 DIADHN C[C@H](CN[C@H]1CCCOc2cc(Cl)ccc21)C(F)(F)F ZINC000480669024 339582445 /nfs/dbraw/zinc/58/24/45/339582445.db2.gz UODPKOOWUQGUHB-SKDRFNHKSA-N 0 3 307.743 4.342 20 0 DIADHN CC[C@H](C[C@@H](C)CC)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480697383 339583807 /nfs/dbraw/zinc/58/38/07/339583807.db2.gz KLHPDAJXGPWPPH-KYNGSXCRSA-N 0 3 317.452 4.453 20 0 DIADHN CC(C)CCC[C@@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707058 339584136 /nfs/dbraw/zinc/58/41/36/339584136.db2.gz KCSRRRRRFSZAJB-BEFAXECRSA-N 0 3 317.452 4.453 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707524 339584235 /nfs/dbraw/zinc/58/42/35/339584235.db2.gz HJCVPAIMZPKKSW-ZOCIIQOWSA-N 0 3 317.452 4.453 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccnc(OC2CCCC2)c1 ZINC000480746944 339585674 /nfs/dbraw/zinc/58/56/74/339585674.db2.gz SPBGJZZZIXYBLV-VFNWGFHPSA-N 0 3 322.452 4.426 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccnc(OC2CCCC2)c1 ZINC000480766980 339586388 /nfs/dbraw/zinc/58/63/88/339586388.db2.gz MANTXUQLRMTGFL-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN C[C@@H](NCCC(=O)NC1CCCCC1)c1ccc2ccccc2c1 ZINC000480884440 339589490 /nfs/dbraw/zinc/58/94/90/339589490.db2.gz NYNYMGPJJROSJO-MRXNPFEDSA-N 0 3 324.468 4.329 20 0 DIADHN Cc1ccc(C(=O)CCN[C@H](C)c2cccc(F)c2F)cc1 ZINC000480892833 339589841 /nfs/dbraw/zinc/58/98/41/339589841.db2.gz ZYHFBJVSSCGRFW-CYBMUJFWSA-N 0 3 303.352 4.197 20 0 DIADHN COc1ccc(CCCN[C@H](C)c2ccc(OC)cc2F)cc1 ZINC000481038571 339594035 /nfs/dbraw/zinc/59/40/35/339594035.db2.gz QDIRHEXDLILZAC-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN CCC[C@@H](NCCCCc1ccccc1)c1nnc2ccccn21 ZINC000481053583 339594506 /nfs/dbraw/zinc/59/45/06/339594506.db2.gz FAYCTOGZCPAEFG-GOSISDBHSA-N 0 3 322.456 4.183 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000481164192 339596705 /nfs/dbraw/zinc/59/67/05/339596705.db2.gz BAOPELUOYDZHRR-KDOFPFPSSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nc3ccccc3n2C(F)F)[C@H]1C ZINC000481166021 339596877 /nfs/dbraw/zinc/59/68/77/339596877.db2.gz FEXHOAJQFHDIPB-AGIUHOORSA-N 0 3 307.388 4.346 20 0 DIADHN CC(C)n1ccc(CN[C@@H]2CCCC[C@H]2Cc2ccccc2)n1 ZINC000481177144 339597260 /nfs/dbraw/zinc/59/72/60/339597260.db2.gz ZBHVKEDZQGIUNJ-AZUAARDMSA-N 0 3 311.473 4.355 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCCC1CC1)c1ccccc1 ZINC000481398322 339603097 /nfs/dbraw/zinc/60/30/97/339603097.db2.gz DYBPHSDJEYJXIF-IBGZPJMESA-N 0 3 305.425 4.052 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)COc2ccc(F)cc2F)cc1 ZINC000481546055 339606304 /nfs/dbraw/zinc/60/63/04/339606304.db2.gz OTTQADGYEZFMSR-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1C(F)(F)F)c1ccco1 ZINC000481545502 339606320 /nfs/dbraw/zinc/60/63/20/339606320.db2.gz NQYXEIFWLDCMEB-NWDGAFQWSA-N 0 3 313.319 4.417 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000481719506 339611097 /nfs/dbraw/zinc/61/10/97/339611097.db2.gz QHQBPQKYBXWVNL-IUODEOHRSA-N 0 3 303.368 4.153 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481737259 339612030 /nfs/dbraw/zinc/61/20/30/339612030.db2.gz OZKWDSUAOFPKQT-KGLIPLIRSA-N 0 3 316.420 4.312 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccc(F)c(Cl)c2)cs1 ZINC000481914697 339616494 /nfs/dbraw/zinc/61/64/94/339616494.db2.gz UEIHXYOOAFBBNT-SNVBAGLBSA-N 0 3 312.841 4.391 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccccc1F)c1ccco1 ZINC000481955974 339617834 /nfs/dbraw/zinc/61/78/34/339617834.db2.gz YLIRHEXFNTVRDE-YOEHRIQHSA-N 0 3 304.409 4.152 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(C)s1)c1ccco1 ZINC000481956074 339617876 /nfs/dbraw/zinc/61/78/76/339617876.db2.gz YVASEBMBVDYHLI-HUUCEWRRSA-N 0 3 306.475 4.383 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2ccccc2C)N2CCCC2)o1 ZINC000482020219 339620084 /nfs/dbraw/zinc/62/00/84/339620084.db2.gz XUHLTLVPQWUNJX-IEBWSBKVSA-N 0 3 312.457 4.384 20 0 DIADHN CCc1ccc([C@H](C)NCCCCOCc2ccccc2)o1 ZINC000482106106 339623319 /nfs/dbraw/zinc/62/33/19/339623319.db2.gz JHFSWAHDDXXBGB-INIZCTEOSA-N 0 3 301.430 4.490 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OCC3CC3)nc2)c(C)c1 ZINC000482120915 339623828 /nfs/dbraw/zinc/62/38/28/339623828.db2.gz BWQOCYMSPHGPQK-INIZCTEOSA-N 0 3 310.441 4.338 20 0 DIADHN Cc1csc(C2(NC[C@@H](C)Cc3ccccc3)CCC2)n1 ZINC000482226303 339626533 /nfs/dbraw/zinc/62/65/33/339626533.db2.gz NWXZAKROSCQHOP-AWEZNQCLSA-N 0 3 300.471 4.299 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@@H](CCC)c1nnc2ccccn21 ZINC000482553435 339635824 /nfs/dbraw/zinc/63/58/24/339635824.db2.gz UQDBCGBXTFMQJU-JYJNAYRXSA-N 0 3 302.466 4.375 20 0 DIADHN CCC[C@@H](C)[C@H](CC)N[C@@H](CCC)c1nnc2ccccn21 ZINC000482553437 339635830 /nfs/dbraw/zinc/63/58/30/339635830.db2.gz UQDBCGBXTFMQJU-PMPSAXMXSA-N 0 3 302.466 4.375 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H]1c2ccccc2C[C@H]1C ZINC000482639053 339638400 /nfs/dbraw/zinc/63/84/00/339638400.db2.gz FPERLHXYLHMIPR-XIKOKIGWSA-N 0 3 301.817 4.372 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCOc3ccccc32)c(F)c1 ZINC000482813580 339643273 /nfs/dbraw/zinc/64/32/73/339643273.db2.gz GZZIWIBHPGYRRX-KBPBESRZSA-N 0 3 315.388 4.051 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000482815433 339643281 /nfs/dbraw/zinc/64/32/81/339643281.db2.gz ZIWKBWCXZOUQJX-PBHICJAKSA-N 0 3 323.452 4.062 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H]2CCOc3ccccc32)c(F)c1 ZINC000482813581 339643287 /nfs/dbraw/zinc/64/32/87/339643287.db2.gz GZZIWIBHPGYRRX-KGLIPLIRSA-N 0 3 315.388 4.051 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](C)c1ccccc1C(F)(F)F ZINC000482828899 339643739 /nfs/dbraw/zinc/64/37/39/339643739.db2.gz QTUBFXLJARITGW-CHWSQXEVSA-N 0 3 303.368 4.153 20 0 DIADHN CC(C)CCOC1CCN([C@H]2CCc3ccc(F)cc32)CC1 ZINC000482836948 339643944 /nfs/dbraw/zinc/64/39/44/339643944.db2.gz OHAYKVQHPQCEMR-IBGZPJMESA-N 0 3 305.437 4.340 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H](C)[C@H]1COc2ccccc21 ZINC000482854675 339644482 /nfs/dbraw/zinc/64/44/82/339644482.db2.gz GLEBKHYHELLDAB-MLGOLLRUSA-N 0 3 317.816 4.003 20 0 DIADHN CCn1cc([C@H](C)NCC(C)(C)c2ccc(F)cc2Cl)cn1 ZINC000482941105 339647447 /nfs/dbraw/zinc/64/74/47/339647447.db2.gz APBRTXYVLOJEMK-LBPRGKRZSA-N 0 3 323.843 4.324 20 0 DIADHN CC1(C)C[C@H](NCCC(=O)Nc2ccccc2)c2ccccc21 ZINC000482941669 339647504 /nfs/dbraw/zinc/64/75/04/339647504.db2.gz AFYIHFJRPIWHCG-SFHVURJKSA-N 0 3 308.425 4.027 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@@H](CC)c1ccccc1OC(F)F ZINC000482948308 339648006 /nfs/dbraw/zinc/64/80/06/339648006.db2.gz SUSAMOXVJGDNTN-JDFRZJQESA-N 0 3 315.404 4.126 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)CC2CC2)nnn1-c1ccc(Cl)cc1 ZINC000482962518 339648550 /nfs/dbraw/zinc/64/85/50/339648550.db2.gz QIQVJRUSRIXGAE-NEPJUHHUSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1ccsc1[C@@H](C)NC[C@@H](c1c(F)cccc1F)N(C)C ZINC000483142087 339653760 /nfs/dbraw/zinc/65/37/60/339653760.db2.gz LMVROHREAOJTIB-DOMZBBRYSA-N 0 3 324.440 4.288 20 0 DIADHN C[C@H](NC[C@@H](c1c(F)cccc1F)N(C)C)c1cccc(F)c1 ZINC000483145441 339654091 /nfs/dbraw/zinc/65/40/91/339654091.db2.gz FRBJTZJTVGXNLE-SJCJKPOMSA-N 0 3 322.374 4.057 20 0 DIADHN CSC[C@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000483213047 339656409 /nfs/dbraw/zinc/65/64/09/339656409.db2.gz LCTCZAHHIXTGKM-IUCAKERBSA-N 0 3 309.809 4.344 20 0 DIADHN C[C@H](NCCOC1CCCCCC1)c1ccc2c(c1)COC2 ZINC000483204451 339656066 /nfs/dbraw/zinc/65/60/66/339656066.db2.gz RCYOBIOSVGDXJV-HNNXBMFYSA-N 0 3 303.446 4.107 20 0 DIADHN CCc1nc(C)c([C@H](C)NCCOC2CCCCCC2)s1 ZINC000483206307 339656274 /nfs/dbraw/zinc/65/62/74/339656274.db2.gz UKANQRSMVBHYSX-ZDUSSCGKSA-N 0 3 310.507 4.404 20 0 DIADHN CCOc1cc(CCCN[C@H](C)c2ccc(C)o2)ccc1OC ZINC000483245688 339657719 /nfs/dbraw/zinc/65/77/19/339657719.db2.gz YNDIDMXFRAPEJL-OAHLLOKOSA-N 0 3 317.429 4.279 20 0 DIADHN CCCCOC1CCN(Cc2ccc(OCC3CC3)cc2)CC1 ZINC000483280846 339659311 /nfs/dbraw/zinc/65/93/11/339659311.db2.gz ASZOPXWGGPHHTK-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN C[C@H](N[C@H]1CCC(=O)N(C)C1)c1ccc(C2CCCCC2)cc1 ZINC000483310702 339661056 /nfs/dbraw/zinc/66/10/56/339661056.db2.gz NYUKIMXYEQQKHW-KXBFYZLASA-N 0 3 314.473 4.006 20 0 DIADHN CC(C)C[C@H](NC[C@@H](C)Oc1cccc(F)c1)c1ccccn1 ZINC000483548686 339668694 /nfs/dbraw/zinc/66/86/94/339668694.db2.gz WSDRFPVOTVUCID-BEFAXECRSA-N 0 3 316.420 4.365 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](C)Oc2ccccc2F)c(F)c1 ZINC000483605474 339669299 /nfs/dbraw/zinc/66/92/99/339669299.db2.gz KTCNFIMYGCPLGO-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN CCC(CC)CN[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483635134 339670302 /nfs/dbraw/zinc/67/03/02/339670302.db2.gz JVWUKBUTZWWDMT-LBPRGKRZSA-N 0 3 305.397 4.271 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)NC[C@@H]3CC=CCC3)n2)cc1 ZINC000483639519 339670423 /nfs/dbraw/zinc/67/04/23/339670423.db2.gz PCINMRYQKQKIBH-GOEBONIOSA-N 0 3 311.429 4.306 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCC[C@H](C)C3)n2)ccc1F ZINC000483654752 339671012 /nfs/dbraw/zinc/67/10/12/339671012.db2.gz OPUQGVRSUCSHDT-WHOFXGATSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2cncc(F)c2)cc1 ZINC000483694645 339671862 /nfs/dbraw/zinc/67/18/62/339671862.db2.gz SDPATKZEGZJSOP-JVPBZIDWSA-N 0 3 314.404 4.100 20 0 DIADHN CC[C@H](N[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C)c1cc(F)ccc1F ZINC000483735469 339673310 /nfs/dbraw/zinc/67/33/10/339673310.db2.gz YYEZJBZVAXUFLT-ZFVVBOAOSA-N 0 3 309.400 4.209 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1cc(C)ccc1OC(F)F ZINC000483743464 339673722 /nfs/dbraw/zinc/67/37/22/339673722.db2.gz NGGUWQIYHFXKOO-IVMMDQJWSA-N 0 3 313.388 4.205 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1ccc(Oc2ccccc2F)c(F)c1 ZINC000483753543 339674173 /nfs/dbraw/zinc/67/41/73/339674173.db2.gz ZFYBBBIDJKENQO-STQMWFEESA-N 0 3 321.367 4.270 20 0 DIADHN CC(C)C[C@H](CCO)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000483851345 339675337 /nfs/dbraw/zinc/67/53/37/339675337.db2.gz QIEMHJRUZMBAKQ-STQMWFEESA-N 0 3 301.833 4.174 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1cc(F)c(F)c(F)c1)C(C)C ZINC000483837888 339675820 /nfs/dbraw/zinc/67/58/20/339675820.db2.gz JSSYPBJZTPMXNB-XHDPSFHLSA-N 0 3 303.368 4.206 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccc(Br)cc1)c1ccco1 ZINC000483842822 339675994 /nfs/dbraw/zinc/67/59/94/339675994.db2.gz TUJUXCVIPNDAKE-NWDGAFQWSA-N 0 3 324.218 4.160 20 0 DIADHN CC[C@@H](CCO)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000484181640 339682705 /nfs/dbraw/zinc/68/27/05/339682705.db2.gz WTUFGIHUTKSHMZ-AEFFLSMTSA-N 0 3 313.441 4.077 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1sc(C)nc1C)Oc1cccc(F)c1 ZINC000484281553 339683616 /nfs/dbraw/zinc/68/36/16/339683616.db2.gz VJFGALXVRAGUDH-NHYWBVRUSA-N 0 3 322.449 4.407 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CC[C@@H]3SC)c(C)c2c1 ZINC000484373593 339685763 /nfs/dbraw/zinc/68/57/63/339685763.db2.gz QRRWRZPEDUDITK-PJODQICGSA-N 0 3 305.443 4.294 20 0 DIADHN C[C@H]1C[C@H](NC[C@]2(C)CCCC[C@H]2O)c2ccsc2S1 ZINC000484480473 339687100 /nfs/dbraw/zinc/68/71/00/339687100.db2.gz OIWJPKKTUYCADN-ZIEJDFEHSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1ccccc1OC(F)(F)F ZINC000484603836 339690266 /nfs/dbraw/zinc/69/02/66/339690266.db2.gz JDGJTRBWDKDWCM-QWRGUYRKSA-N 0 3 305.365 4.131 20 0 DIADHN C[C@H](NCc1cc(F)cc(Cl)c1)[C@@H]1COc2ccccc21 ZINC000485684521 339709299 /nfs/dbraw/zinc/70/92/99/339709299.db2.gz MYDBDNMHZXJGTA-ZBEGNZNMSA-N 0 3 305.780 4.133 20 0 DIADHN COc1ccc(C)cc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC000485720491 339711083 /nfs/dbraw/zinc/71/10/83/339711083.db2.gz RQNYCMHULHXKKG-MRXNPFEDSA-N 0 3 323.358 4.439 20 0 DIADHN COc1ccsc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC000485724261 339711441 /nfs/dbraw/zinc/71/14/41/339711441.db2.gz JAFUTNUZIPIECC-LBPRGKRZSA-N 0 3 315.360 4.192 20 0 DIADHN C[C@@H](NCCOc1ccc(F)cc1)c1ccc2ncsc2c1 ZINC000485850933 339714420 /nfs/dbraw/zinc/71/44/20/339714420.db2.gz PBHIJTBUSSHZOW-GFCCVEGCSA-N 0 3 316.401 4.165 20 0 DIADHN CCCCC1CCC(NC(=O)c2ccccc2CN(C)C)CC1 ZINC000485933220 339716550 /nfs/dbraw/zinc/71/65/50/339716550.db2.gz MUXCVVNUQBFYBL-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1ccccc1F ZINC000498484654 339723801 /nfs/dbraw/zinc/72/38/01/339723801.db2.gz OOCPFHDCNXBAEO-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN C[C@@H]1C[C@@H](CNC2(c3c(Cl)cccc3Cl)CC2)CCO1 ZINC000486389365 339726559 /nfs/dbraw/zinc/72/65/59/339726559.db2.gz RHDNJNMDBZNVQC-NEPJUHHUSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@@H](COCc1ccccc1)NCc1cc2ccc(F)cc2[nH]1 ZINC000486718243 339732385 /nfs/dbraw/zinc/73/23/85/339732385.db2.gz SXIYLKZBAFRLCB-AWEZNQCLSA-N 0 3 312.388 4.002 20 0 DIADHN C[C@H](NCc1nccn1CCCc1ccccc1)[C@H]1CC1(C)C ZINC000487309727 339750636 /nfs/dbraw/zinc/75/06/36/339750636.db2.gz LPPVEHDFKHPDRD-FUHWJXTLSA-N 0 3 311.473 4.040 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cc(Cl)cs1 ZINC000487532012 339755834 /nfs/dbraw/zinc/75/58/34/339755834.db2.gz BRWUGZOOXNIHMH-ZUZCIYMTSA-N 0 3 313.825 4.406 20 0 DIADHN Clc1cccc2c1OCCC[C@@H]2NC/C=C\c1ccncc1 ZINC000487535602 339756065 /nfs/dbraw/zinc/75/60/65/339756065.db2.gz IGMDGWMBPZZMAS-MMTGSJLXSA-N 0 3 314.816 4.252 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cc(Cl)cs1 ZINC000487556787 339757122 /nfs/dbraw/zinc/75/71/22/339757122.db2.gz INJPRABGMQYDNE-MEBBXXQBSA-N 0 3 313.825 4.406 20 0 DIADHN CS[C@@H](CNCc1cnc(-c2ccco2)s1)C(C)(C)C ZINC000487574916 339758269 /nfs/dbraw/zinc/75/82/69/339758269.db2.gz PLUYHZSGEBVKOR-ZDUSSCGKSA-N 0 3 310.488 4.270 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCSc3ccc(F)cc32)CS1 ZINC000488421286 339781069 /nfs/dbraw/zinc/78/10/69/339781069.db2.gz JOJJXPKISUNYCD-INWMFGNUSA-N 0 3 311.491 4.482 20 0 DIADHN COc1cc(F)c([C@@H](C)NC2CCC3(CC3)CC2)cc1OC ZINC000488444185 339782656 /nfs/dbraw/zinc/78/26/56/339782656.db2.gz CKSQZMFANXLUDU-GFCCVEGCSA-N 0 3 307.409 4.216 20 0 DIADHN CCCCCn1cc(CN[C@H]2CS[C@@H](C(C)C)C2)c(C)n1 ZINC000488459254 339783877 /nfs/dbraw/zinc/78/38/77/339783877.db2.gz KWEZVUSXMUHOEB-IAGOWNOFSA-N 0 3 309.523 4.001 20 0 DIADHN C[C@H](CC(=O)N1CCCCCC1)N[C@H](C)c1ccccc1Cl ZINC000488472650 339784534 /nfs/dbraw/zinc/78/45/34/339784534.db2.gz VLHMERLEJQZEAK-HUUCEWRRSA-N 0 3 322.880 4.172 20 0 DIADHN CC(C)(CNCc1ccccc1OC(F)F)c1ccc(F)cc1 ZINC000488588101 339788709 /nfs/dbraw/zinc/78/87/09/339788709.db2.gz STSHBTAIUSFTKY-UHFFFAOYSA-N 0 3 323.358 4.495 20 0 DIADHN CCCc1nc(C)c(CNc2cc(CC)nc3ccccc32)o1 ZINC000488784713 339793500 /nfs/dbraw/zinc/79/35/00/339793500.db2.gz NHPIVCJAUYNBFC-UHFFFAOYSA-N 0 3 309.413 4.080 20 0 DIADHN Cc1ccc(CN[C@@H](COc2ccccc2F)C(C)(C)C)o1 ZINC000489067960 339801288 /nfs/dbraw/zinc/80/12/88/339801288.db2.gz NDERHLFRRPNMSZ-KRWDZBQOSA-N 0 3 305.393 4.310 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1ccc(Br)c(F)c1 ZINC000489488187 339809764 /nfs/dbraw/zinc/80/97/64/339809764.db2.gz UCXNERJWAONJNZ-GXSJLCMTSA-N 0 3 318.255 4.134 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCC[C@H](O)C(C)C)CCCO2 ZINC000489508972 339811311 /nfs/dbraw/zinc/81/13/11/339811311.db2.gz KMHGIWSFOSSHFC-ROUUACIJSA-N 0 3 305.462 4.020 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCC2)c1ccc2c(c1)OCCO2 ZINC000490533289 339833773 /nfs/dbraw/zinc/83/37/73/339833773.db2.gz CYHDZJLMAWIOKZ-HNNXBMFYSA-N 0 3 323.436 4.187 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)OCCCO3)[C@H](C)C2 ZINC000491049648 339847042 /nfs/dbraw/zinc/84/70/42/339847042.db2.gz FWLMAERNSRNLMN-VFNWGFHPSA-N 0 3 323.436 4.179 20 0 DIADHN Cc1ncc(CN[C@@H](CSc2ccccc2)C(C)C)s1 ZINC000491061221 339847558 /nfs/dbraw/zinc/84/75/58/339847558.db2.gz QJMYSMZNKDKAOZ-INIZCTEOSA-N 0 3 306.500 4.358 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)CCCC2)c1c(C)nn(C)c1C ZINC000491324980 339857971 /nfs/dbraw/zinc/85/79/71/339857971.db2.gz YDJKTOAQXKKCKT-LJQANCHMSA-N 0 3 311.473 4.157 20 0 DIADHN CC[C@H](NCCCO[C@H](C)c1ccccc1)c1ccc(F)cn1 ZINC000491368444 339859615 /nfs/dbraw/zinc/85/96/15/339859615.db2.gz PYNHOWDVYLVGMP-QAPCUYQASA-N 0 3 316.420 4.429 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCC(=O)Nc1c(C)cccc1C)[C@H](C)C2 ZINC000491380533 339859954 /nfs/dbraw/zinc/85/99/54/339859954.db2.gz NLQCCAIRPAGOPA-IERDGZPVSA-N 0 3 322.452 4.073 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CN[C@@H]1CCCSC1 ZINC000491557550 339866882 /nfs/dbraw/zinc/86/68/82/339866882.db2.gz CJTRNZUQZBDFBE-CYBMUJFWSA-N 0 3 323.408 4.426 20 0 DIADHN FC1(F)CCCC[C@@H](CNCc2csc(C3CC3)n2)C1 ZINC000491571432 339867438 /nfs/dbraw/zinc/86/74/38/339867438.db2.gz DHUQPLFAUJKKDC-LLVKDONJSA-N 0 3 300.418 4.326 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNCC1CC(F)(F)C1 ZINC000491578023 339867698 /nfs/dbraw/zinc/86/76/98/339867698.db2.gz CFEZSKRULXKKMY-UHFFFAOYSA-N 0 3 323.214 4.194 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C2(Cc3ccc(Cl)cc3)CCC2)n1 ZINC000491582186 339867881 /nfs/dbraw/zinc/86/78/81/339867881.db2.gz VCOFLNMVHXEOKA-UHFFFAOYSA-N 0 3 316.832 4.004 20 0 DIADHN FC1(F)CCCC[C@H](CNCc2nccn2-c2ccccc2)C1 ZINC000491593952 339868254 /nfs/dbraw/zinc/86/82/54/339868254.db2.gz LCZLYZQCNRIZDN-HNNXBMFYSA-N 0 3 319.399 4.178 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)[C@H]1OCCc2sccc21 ZINC000492223338 339894651 /nfs/dbraw/zinc/89/46/51/339894651.db2.gz HEVSNHKHYHXNTM-ORAYPTAESA-N 0 3 313.466 4.029 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CC[C@@H](Cc3ccccc3)C2)o1 ZINC000492278136 339896298 /nfs/dbraw/zinc/89/62/98/339896298.db2.gz VAJHPGSOBOQGKG-ZWKOTPCHSA-N 0 3 312.457 4.437 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000492847800 339910438 /nfs/dbraw/zinc/91/04/38/339910438.db2.gz PRSQMZLBLJEFDH-GDBMZVCRSA-N 0 3 317.433 4.395 20 0 DIADHN Cc1sc2nc([C@H](C)N[C@H]3CCCC3(C)C)nc(N)c2c1C ZINC000492938944 339912922 /nfs/dbraw/zinc/91/29/22/339912922.db2.gz WYJADQDPZMRHCG-JQWIXIFHSA-N 0 3 318.490 4.120 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)c1ccc(Cl)cc1)[C@H](C)CO ZINC000493038721 339915674 /nfs/dbraw/zinc/91/56/74/339915674.db2.gz WLSOBKUPDPSDDR-LBTNJELSSA-N 0 3 303.833 4.036 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cccc(N3CCOC3=O)c2)c1 ZINC000493443297 339925800 /nfs/dbraw/zinc/92/58/00/339925800.db2.gz CMFLNVZIVYGUCU-MRXNPFEDSA-N 0 3 324.424 4.111 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(N3CCOC3=O)c2)cc1C ZINC000493697028 339931409 /nfs/dbraw/zinc/93/14/09/339931409.db2.gz YXKIUYIIPQSDOP-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN CCCC[C@@H](COC)N[C@H](C)c1cc(Br)cs1 ZINC000493918018 339936707 /nfs/dbraw/zinc/93/67/07/339936707.db2.gz WSUGHVAKHKEPFT-PWSUYJOCSA-N 0 3 320.296 4.366 20 0 DIADHN Cn1cc([C@@H](NCC2(C)CCCCC2)c2cccc(F)c2)cn1 ZINC000493998085 339938476 /nfs/dbraw/zinc/93/84/76/339938476.db2.gz ZXXHPROEJIRNPU-SFHVURJKSA-N 0 3 315.436 4.209 20 0 DIADHN CCCOc1cccc(CCN[C@@H](CC)c2ccc(F)cn2)c1 ZINC000494065845 339940217 /nfs/dbraw/zinc/94/02/17/339940217.db2.gz MDRORBNAHABYKO-SFHVURJKSA-N 0 3 316.420 4.293 20 0 DIADHN CCCc1csc(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000494337286 339946942 /nfs/dbraw/zinc/94/69/42/339946942.db2.gz ZZIVTWWKEGXYIL-GHMZBOCLSA-N 0 3 306.397 4.306 20 0 DIADHN Fc1cncc(CNCCn2c3ccccc3c3ccccc32)c1 ZINC000494416092 339948630 /nfs/dbraw/zinc/94/86/30/339948630.db2.gz JCOKLQOUQVEAOL-UHFFFAOYSA-N 0 3 319.383 4.118 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC000494599549 339954646 /nfs/dbraw/zinc/95/46/46/339954646.db2.gz GPFNHZUPWFPILW-SNUQEOBHSA-N 0 3 305.368 4.392 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)C1CCCC1 ZINC000494905893 339964162 /nfs/dbraw/zinc/96/41/62/339964162.db2.gz VPUVRJVNBPGILR-BHDDXSALSA-N 0 3 321.464 4.474 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(C(=O)N(C)C)cc1)c1cccs1 ZINC000494933732 339965687 /nfs/dbraw/zinc/96/56/87/339965687.db2.gz VUBVLWOQJGAMPV-CZUORRHYSA-N 0 3 316.470 4.252 20 0 DIADHN CC[C@@H](NCCOCc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000495005833 339969871 /nfs/dbraw/zinc/96/98/71/339969871.db2.gz DFJRGFQOUMAQCV-MRXNPFEDSA-N 0 3 322.811 4.132 20 0 DIADHN COc1cc([C@H](C)NC[C@H]2CCCCC(F)(F)C2)c(F)cn1 ZINC000495007355 339970018 /nfs/dbraw/zinc/97/00/18/339970018.db2.gz USYVLRXAIDDPTA-RYUDHWBXSA-N 0 3 316.367 4.096 20 0 DIADHN CC[C@H](NCCC(=O)OC(C)(C)C)c1ccc(Cl)s1 ZINC000495368673 339981664 /nfs/dbraw/zinc/98/16/64/339981664.db2.gz JJVPONDSAWEUMN-JTQLQIEISA-N 0 3 303.855 4.174 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCCC(=O)OC(C)(C)C ZINC000495431893 339984367 /nfs/dbraw/zinc/98/43/67/339984367.db2.gz FROYJOGFZXPOJC-CYBMUJFWSA-N 0 3 309.475 4.181 20 0 DIADHN C[C@@H](NCCN1CCc2sccc2C1)c1cccc(Cl)c1 ZINC000495640915 339988981 /nfs/dbraw/zinc/98/89/81/339988981.db2.gz SLOOSXFOMAMPLQ-CYBMUJFWSA-N 0 3 320.889 4.110 20 0 DIADHN C[C@H](NCCc1ccc2ccccc2n1)c1cc(F)ccc1F ZINC000495700104 339990529 /nfs/dbraw/zinc/99/05/29/339990529.db2.gz HNDJSWXTEKKOKH-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc2c(c1)CC[C@H]2NCC1(F)CC1 ZINC000496495373 340006606 /nfs/dbraw/zinc/00/66/06/340006606.db2.gz TVQKENKQXAKZKE-OAHLLOKOSA-N 0 3 320.408 4.113 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc2c(c1)CC[C@@H]2NCC1(F)CC1 ZINC000496495369 340006626 /nfs/dbraw/zinc/00/66/26/340006626.db2.gz TVQKENKQXAKZKE-HNNXBMFYSA-N 0 3 320.408 4.113 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCOc2c(C)cccc2C)on1 ZINC000496916819 340016099 /nfs/dbraw/zinc/01/60/99/340016099.db2.gz SEEGVJREZDNLQN-QGZVFWFLSA-N 0 3 314.429 4.206 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NC1(c2cccc(Cl)c2)CC1 ZINC000498725082 340022224 /nfs/dbraw/zinc/02/22/24/340022224.db2.gz GJQXCBYZYSEBBF-GFCCVEGCSA-N 0 3 309.837 4.039 20 0 DIADHN CO[C@H](CN[C@@H](c1ccc(F)cn1)C(C)C)c1ccc(F)cc1 ZINC000498769176 340022926 /nfs/dbraw/zinc/02/29/26/340022926.db2.gz ZTCCRWRWXSXPAI-QZTJIDSGSA-N 0 3 320.383 4.034 20 0 DIADHN CCC(CC)[C@@H](CCN[C@@H](c1ccc(F)cn1)C(C)C)OC ZINC000498780934 340023200 /nfs/dbraw/zinc/02/32/00/340023200.db2.gz KAKOPMVFYBLKPY-QZTJIDSGSA-N 0 3 310.457 4.349 20 0 DIADHN CCC(CC)[C@@H](CCN[C@H](c1ccc(F)cn1)C(C)C)OC ZINC000498780933 340023227 /nfs/dbraw/zinc/02/32/27/340023227.db2.gz KAKOPMVFYBLKPY-MSOLQXFVSA-N 0 3 310.457 4.349 20 0 DIADHN COC[C@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000498920597 340026018 /nfs/dbraw/zinc/02/60/18/340026018.db2.gz UTVUKWMUCJEUKF-MSOLQXFVSA-N 0 3 315.388 4.332 20 0 DIADHN CC(C)[C@@H](NCC(C)(C)Oc1ccccc1)c1ccc(F)cn1 ZINC000498939085 340026614 /nfs/dbraw/zinc/02/66/14/340026614.db2.gz FHNGKDQPKFKMMD-GOSISDBHSA-N 0 3 316.420 4.365 20 0 DIADHN CCO[C@@H](CCN[C@H](c1ccc(F)cn1)C(C)C)C1CCCC1 ZINC000498941981 340026666 /nfs/dbraw/zinc/02/66/66/340026666.db2.gz LHTVBEZORSSHSS-OALUTQOASA-N 0 3 322.468 4.493 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccc(Oc2ccccc2)nn1)C1CC1 ZINC000499052433 340028625 /nfs/dbraw/zinc/02/86/25/340028625.db2.gz FLVJNHADOKIAJX-AWEZNQCLSA-N 0 3 309.413 4.032 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1Cl)C1CCCC1 ZINC000499058741 340028870 /nfs/dbraw/zinc/02/88/70/340028870.db2.gz YFMBFLBGRDHJPA-UHFFFAOYSA-N 0 3 317.864 4.290 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499071385 340029217 /nfs/dbraw/zinc/02/92/17/340029217.db2.gz UKMLYUHWUYKBJY-WBVHZDCISA-N 0 3 311.429 4.279 20 0 DIADHN C[C@@H](NC[C@](C)(O)C(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000499601975 340040498 /nfs/dbraw/zinc/04/04/98/340040498.db2.gz HRWCXLJALMVJLZ-DYVFJYSZSA-N 0 3 323.358 4.318 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000499601974 340040507 /nfs/dbraw/zinc/04/05/07/340040507.db2.gz HRWCXLJALMVJLZ-CXAGYDPISA-N 0 3 323.358 4.318 20 0 DIADHN CN(CCCCC1CCOCC1)Cc1cc(F)c(F)c(F)c1 ZINC000499614034 340041121 /nfs/dbraw/zinc/04/11/21/340041121.db2.gz XYBUBHVHIHEPDL-UHFFFAOYSA-N 0 3 315.379 4.133 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)NC[C@@H](C)CC(C)C)n2)c1 ZINC000499625641 340041479 /nfs/dbraw/zinc/04/14/79/340041479.db2.gz MHQQEWBMXMLKDW-UONOGXRCSA-N 0 3 317.433 4.078 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cnc2ccccc2c1 ZINC000499705530 340042985 /nfs/dbraw/zinc/04/29/85/340042985.db2.gz KAXVNTCMRNGFLD-HNNXBMFYSA-N 0 3 308.347 4.398 20 0 DIADHN CCN(CC)C(=O)[C@H](NCCC1=CCCCC1)c1ccccc1 ZINC000499713574 340043184 /nfs/dbraw/zinc/04/31/84/340043184.db2.gz NZSOPOPOTAYGJR-LJQANCHMSA-N 0 3 314.473 4.076 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC(F)(c3ccc(Cl)cc3)CC2)O1 ZINC000500141295 340051187 /nfs/dbraw/zinc/05/11/87/340051187.db2.gz SDWWLMXLBHAJMO-CZUORRHYSA-N 0 3 311.828 4.168 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(C[C@@H]2CCCCO2)CC1 ZINC000500149067 340051361 /nfs/dbraw/zinc/05/13/61/340051361.db2.gz ARYLIHLZBQBVDZ-INIZCTEOSA-N 0 3 311.828 4.170 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN[C@H](C)c1cn[nH]c1 ZINC000500397181 340056628 /nfs/dbraw/zinc/05/66/28/340056628.db2.gz LTEFMOZYPDHRMC-SEVUFMINSA-N 0 3 309.335 4.183 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(SC)cc1 ZINC000500403082 340057097 /nfs/dbraw/zinc/05/70/97/340057097.db2.gz MQPCAPGSRMSGFA-UHFFFAOYSA-N 0 3 301.455 4.269 20 0 DIADHN COc1cccc(CN[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)c1 ZINC000500416330 340057618 /nfs/dbraw/zinc/05/76/18/340057618.db2.gz NNEAEZDSFISNKW-UGSOOPFHSA-N 0 3 301.817 4.374 20 0 DIADHN Clc1scc(CN[C@@H]2CCCC23CCOCC3)c1Cl ZINC000500416034 340057679 /nfs/dbraw/zinc/05/76/79/340057679.db2.gz MKSJZSOSFYBAPD-LLVKDONJSA-N 0 3 320.285 4.494 20 0 DIADHN Cn1nccc1[C@@H]1CCCCN1Cc1cccc2ccccc21 ZINC000500419534 340057779 /nfs/dbraw/zinc/05/77/79/340057779.db2.gz BLPFLIYWQOZFDB-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN CCCn1c(CNCC2CCC(F)(F)CC2)nc2ccccc21 ZINC000500435968 340058675 /nfs/dbraw/zinc/05/86/75/340058675.db2.gz ZHEBMKIRSNKUOM-UHFFFAOYSA-N 0 3 321.415 4.361 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000500444468 340058897 /nfs/dbraw/zinc/05/88/97/340058897.db2.gz APFLOYVZQBBGNE-RYUDHWBXSA-N 0 3 305.340 4.049 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)N[C@@H](C)c1ccccc1Cl ZINC000500459126 340059512 /nfs/dbraw/zinc/05/95/12/340059512.db2.gz VHPCLYRLIPWKEU-GJZGRUSLSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000500461282 340059657 /nfs/dbraw/zinc/05/96/57/340059657.db2.gz WLILEGJULAWQBP-MRXNPFEDSA-N 0 3 316.489 4.433 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000500469540 340060018 /nfs/dbraw/zinc/06/00/18/340060018.db2.gz WQVFARBYYYGJJS-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cnc2ccc(C)cn12)c1cccc(C)c1 ZINC000500496957 340060579 /nfs/dbraw/zinc/06/05/79/340060579.db2.gz JLGOWCGSIJEADY-DYESRHJHSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1ccc(NC(C)=O)cc1)c1cccc(C)c1 ZINC000500495380 340060613 /nfs/dbraw/zinc/06/06/13/340060613.db2.gz GADDKMYPHVNJIA-RXVVDRJESA-N 0 3 322.452 4.368 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cnc2c(C)cccn12)c1cccc(C)c1 ZINC000500507279 340060960 /nfs/dbraw/zinc/06/09/60/340060960.db2.gz INWOOONDFXQOBV-UTKZUKDTSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cnc2c(C)cccn12)c1cccc(C)c1 ZINC000500507277 340061028 /nfs/dbraw/zinc/06/10/28/340061028.db2.gz INWOOONDFXQOBV-DYESRHJHSA-N 0 3 319.452 4.366 20 0 DIADHN CC(C)Cn1cc(CN[C@@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000500529392 340061384 /nfs/dbraw/zinc/06/13/84/340061384.db2.gz AKLUIVQZWLMCSN-GOSISDBHSA-N 0 3 303.425 4.165 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1ccc2c[nH]nc2c1)c1cccc(C)c1 ZINC000500527787 340061482 /nfs/dbraw/zinc/06/14/82/340061482.db2.gz DXYPULFUQRYSGT-PXNSSMCTSA-N 0 3 305.425 4.286 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cccc2nccn21)c1cccc(C)c1 ZINC000500538974 340061848 /nfs/dbraw/zinc/06/18/48/340061848.db2.gz VXZCDHOFLCESEA-OXJNMPFZSA-N 0 3 305.425 4.058 20 0 DIADHN CCOC(=O)C1CCN([C@H](C)c2ccc(C(C)(C)C)cc2)CC1 ZINC000500543676 340061924 /nfs/dbraw/zinc/06/19/24/340061924.db2.gz GMJQOYWIKKHRCT-OAHLLOKOSA-N 0 3 317.473 4.320 20 0 DIADHN CCC[C@@H](NC[C@@H]1CCCN1Cc1ccccc1)c1ccccn1 ZINC000500618236 340063908 /nfs/dbraw/zinc/06/39/08/340063908.db2.gz NXHVCGCQASUNTH-VQTJNVASSA-N 0 3 323.484 4.177 20 0 DIADHN CC(C)CN(C(=O)C[C@@H](C)C1CCCCC1)C1CCN(C)CC1 ZINC000500680439 340065018 /nfs/dbraw/zinc/06/50/18/340065018.db2.gz PZBPJLTYMUWFHM-QGZVFWFLSA-N 0 3 322.537 4.172 20 0 DIADHN COCC1(C)CCN(Cc2cnc(-c3cccs3)s2)CC1 ZINC000500693333 340065260 /nfs/dbraw/zinc/06/52/60/340065260.db2.gz BGSPWOQNTFFQSE-UHFFFAOYSA-N 0 3 322.499 4.120 20 0 DIADHN COC1(C)CCN(Cc2cccc(OC3CCCC3)c2)CC1 ZINC000500703734 340065469 /nfs/dbraw/zinc/06/54/69/340065469.db2.gz VDQTVXCJJLCBMJ-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3ccccc3F)o2)CC1 ZINC000500756989 340066645 /nfs/dbraw/zinc/06/66/45/340066645.db2.gz XGGVHFGRFUDMRM-UHFFFAOYSA-N 0 3 303.377 4.087 20 0 DIADHN CCCC[C@H](C)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000500822125 340067880 /nfs/dbraw/zinc/06/78/80/340067880.db2.gz JSKMIZZWIWAOBE-DLBZAZTESA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)COCCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000500997801 340071869 /nfs/dbraw/zinc/07/18/69/340071869.db2.gz ZETRSAINNJHCKN-LJQANCHMSA-N 0 3 300.446 4.368 20 0 DIADHN CCC[C@@H](N[C@H](C)CCc1ccccc1)c1nnc2ccccn21 ZINC000501065536 340073489 /nfs/dbraw/zinc/07/34/89/340073489.db2.gz ZKUBLOMJBWXRBG-SJLPKXTDSA-N 0 3 322.456 4.181 20 0 DIADHN C[C@H](CN1CCO[C@@H]2CCC[C@H]21)c1ccc(C(F)(F)F)cc1 ZINC000501086210 340073987 /nfs/dbraw/zinc/07/39/87/340073987.db2.gz AMBRZOKGVLGSOD-DAXOMENPSA-N 0 3 313.363 4.062 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]2CCCc2ccccc2)s1 ZINC000501220218 340076467 /nfs/dbraw/zinc/07/64/67/340076467.db2.gz YVWAUEVSRXRQSE-KRWDZBQOSA-N 0 3 300.471 4.439 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000501376222 340079458 /nfs/dbraw/zinc/07/94/58/340079458.db2.gz DMTJJGPOBXJWFF-IRVMHKCDSA-N 0 3 320.436 4.325 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)COC(C)C)ccc1OCC(C)C ZINC000501379158 340079561 /nfs/dbraw/zinc/07/95/61/340079561.db2.gz VADRHXJYQHRXBZ-CVEARBPZSA-N 0 3 323.477 4.194 20 0 DIADHN CC(C)OC[C@@H](C)NCc1ccccc1OCc1ccccc1 ZINC000501384605 340079643 /nfs/dbraw/zinc/07/96/43/340079643.db2.gz ABPUTKBHYLDOTA-QGZVFWFLSA-N 0 3 313.441 4.169 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NC/C(C)=C\c2ccccc2)c1 ZINC000501405381 340080214 /nfs/dbraw/zinc/08/02/14/340080214.db2.gz KDNLIDYPKKUUDS-RTYFKUQISA-N 0 3 308.425 4.399 20 0 DIADHN Cc1ccc(C[C@H](N[C@H](C)COC(C)C)c2ccccn2)cc1 ZINC000501428693 340080807 /nfs/dbraw/zinc/08/08/07/340080807.db2.gz YUDRNEZSCZAAKA-XLIONFOSSA-N 0 3 312.457 4.077 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(OC)cc1CC ZINC000501429063 340080867 /nfs/dbraw/zinc/08/08/67/340080867.db2.gz WYKZAQOMUASETI-UHFFFAOYSA-N 0 3 313.441 4.084 20 0 DIADHN CC(C)OC[C@@H](C)NCc1ccc(-c2nc3ccccc3[nH]2)cc1 ZINC000501438333 340080967 /nfs/dbraw/zinc/08/09/67/340080967.db2.gz SOUUUGFKAWQSTD-OAHLLOKOSA-N 0 3 323.440 4.133 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@H](C)COC(C)C)c(C)c2c1 ZINC000501473363 340081858 /nfs/dbraw/zinc/08/18/58/340081858.db2.gz BZYJDFXZLPBFSI-TZMCWYRMSA-N 0 3 305.418 4.214 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000501485521 340082131 /nfs/dbraw/zinc/08/21/31/340082131.db2.gz ZBQLOUBEASJAGW-UONOGXRCSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)NC[C@H](C)N1CCCC[C@@H]1C ZINC000501504909 340082297 /nfs/dbraw/zinc/08/22/97/340082297.db2.gz OLDYOZFILBYJOR-STQMWFEESA-N 0 3 323.868 4.033 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)NC[C@@H](C)N1CCCC[C@@H]1C ZINC000501504908 340082455 /nfs/dbraw/zinc/08/24/55/340082455.db2.gz OLDYOZFILBYJOR-QWHCGFSZSA-N 0 3 323.868 4.033 20 0 DIADHN CCCn1nccc1C1CCN([C@@H](C)c2ccccc2F)CC1 ZINC000501543736 340083254 /nfs/dbraw/zinc/08/32/54/340083254.db2.gz ABBLPPVUSAETDB-HNNXBMFYSA-N 0 3 315.436 4.373 20 0 DIADHN Cc1ccc([C@@H](CNc2cccc(C(F)(F)F)n2)N(C)C)cc1 ZINC000501583786 340084414 /nfs/dbraw/zinc/08/44/14/340084414.db2.gz JZHFKEWKNOLRIG-CQSZACIVSA-N 0 3 323.362 4.124 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC[C@@H]1c1ccc(C)cc1 ZINC000501804454 340089247 /nfs/dbraw/zinc/08/92/47/340089247.db2.gz KLIQSSXAYRCNGJ-HXUWFJFHSA-N 0 3 323.436 4.414 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@H](C)C1 ZINC000501816963 340089396 /nfs/dbraw/zinc/08/93/96/340089396.db2.gz KNHZIQQJJRZPLU-UPJWGTAASA-N 0 3 319.836 4.429 20 0 DIADHN Clc1ccccc1CN1CCCN(Cc2ccccc2)CC1 ZINC000501845270 340089608 /nfs/dbraw/zinc/08/96/08/340089608.db2.gz VPRBTRCLRNKXNI-UHFFFAOYSA-N 0 3 314.860 4.048 20 0 DIADHN C[C@H](NC[C@@H]1CCc2ccccc2C1)c1nc2c(s1)CCC2 ZINC000502016005 340093024 /nfs/dbraw/zinc/09/30/24/340093024.db2.gz IQXHGNWYTJJYSD-UONOGXRCSA-N 0 3 312.482 4.088 20 0 DIADHN C[C@@H](NC1CC(c2cccc(F)c2)C1)c1nc2c(s1)CCC2 ZINC000502020282 340093104 /nfs/dbraw/zinc/09/31/04/340093104.db2.gz UNJNAXDOLGNUIS-NUYPLMSZSA-N 0 3 316.445 4.368 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000502019447 340093182 /nfs/dbraw/zinc/09/31/82/340093182.db2.gz RQOFZBRAOPHUOH-KBPBESRZSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](NCCc1ccccc1Cl)c1nc2c(s1)CCC2 ZINC000502044618 340093817 /nfs/dbraw/zinc/09/38/17/340093817.db2.gz CPVMTSAQSLYZOR-LLVKDONJSA-N 0 3 306.862 4.179 20 0 DIADHN CCN(C(=O)CN(C)C1CCCC1)c1cccc2ccccc21 ZINC000502051558 340094175 /nfs/dbraw/zinc/09/41/75/340094175.db2.gz SOJJFXVWSAJPRT-UHFFFAOYSA-N 0 3 310.441 4.067 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2nc3c(s2)CCC3)C12CCCC2 ZINC000502059880 340094394 /nfs/dbraw/zinc/09/43/94/340094394.db2.gz ZDGUZNLAYPGVHF-UHOFOFEASA-N 0 3 320.502 4.020 20 0 DIADHN COc1cc(C)c(C[C@H](C)N[C@@H](C)c2ccc(C)o2)cc1OC ZINC000502250963 340097733 /nfs/dbraw/zinc/09/77/33/340097733.db2.gz QSXKVMBKTFYNAY-ZFWWWQNUSA-N 0 3 317.429 4.195 20 0 DIADHN COc1cc(C)c(C[C@@H](C)N[C@@H](C)c2ccc(C)o2)cc1OC ZINC000502250961 340097767 /nfs/dbraw/zinc/09/77/67/340097767.db2.gz QSXKVMBKTFYNAY-HIFRSBDPSA-N 0 3 317.429 4.195 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000502364557 340100770 /nfs/dbraw/zinc/10/07/70/340100770.db2.gz GVMXNSJVUVHYID-VBHSOAQHSA-N 0 3 305.393 4.462 20 0 DIADHN Cc1cc([C@H](C)NCCc2nc3c(s2)CCCC3)oc1C ZINC000502403860 340101892 /nfs/dbraw/zinc/10/18/92/340101892.db2.gz HNMLRZOKOZBLEX-LBPRGKRZSA-N 0 3 304.459 4.125 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)oc1C ZINC000502413158 340102422 /nfs/dbraw/zinc/10/24/22/340102422.db2.gz GIIZDBNFMHZPGC-LSKLOWJMSA-N 0 3 321.367 4.355 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](C)N2CCc3sccc3C2)oc1C ZINC000502421966 340102811 /nfs/dbraw/zinc/10/28/11/340102811.db2.gz ZPONYEOWEGZEFF-KBPBESRZSA-N 0 3 318.486 4.055 20 0 DIADHN Fc1cc(CN[C@@H]2CCCC23CCOCC3)c(F)cc1Cl ZINC000502445872 340103886 /nfs/dbraw/zinc/10/38/86/340103886.db2.gz OCAQDIHOXDLKDU-OAHLLOKOSA-N 0 3 315.791 4.057 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502446517 340103888 /nfs/dbraw/zinc/10/38/88/340103888.db2.gz QCAUXMATAFPWAC-JKSUJKDBSA-N 0 3 313.445 4.116 20 0 DIADHN COc1ccc(C[C@H](C)N[C@@H](C)c2cc(C)c(C)o2)cc1OC ZINC000502456695 340104344 /nfs/dbraw/zinc/10/43/44/340104344.db2.gz ZUNJVCLNNSHZCK-KBPBESRZSA-N 0 3 317.429 4.195 20 0 DIADHN Cc1cc([C@H](C)NC2CCC(C)(c3ccccc3)CC2)nn1C ZINC000502460796 340104606 /nfs/dbraw/zinc/10/46/06/340104606.db2.gz IJXXZHXRWAMSNP-VQSBYGRUSA-N 0 3 311.473 4.280 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN(c3c(F)cccc3F)C2)oc1C ZINC000502466127 340104865 /nfs/dbraw/zinc/10/48/65/340104865.db2.gz VYCXFXNNGYVRJM-GXTWGEPZSA-N 0 3 320.383 4.104 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502471278 340105070 /nfs/dbraw/zinc/10/50/70/340105070.db2.gz IWFKUGYSBDJJGF-DGCLKSJQSA-N 0 3 303.372 4.380 20 0 DIADHN CC(C)[C@@H](NCc1ccccc1N(C)C)c1ccc(F)cc1 ZINC000502536688 340107246 /nfs/dbraw/zinc/10/72/46/340107246.db2.gz YLOUAZBFFZQWIF-LJQANCHMSA-N 0 3 300.421 4.379 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1ccc(F)cc1F ZINC000502630483 340109416 /nfs/dbraw/zinc/10/94/16/340109416.db2.gz ACNDFQPLJRAJLW-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2ccc(C)cc2)N(C)C)oc1C ZINC000502695005 340110891 /nfs/dbraw/zinc/11/08/91/340110891.db2.gz CLJIGSXDGJHZJM-QAPCUYQASA-N 0 3 300.446 4.158 20 0 DIADHN CCCN(CCc1csc(C)n1)[C@@H](C)c1ccccc1OC ZINC000502714620 340111933 /nfs/dbraw/zinc/11/19/33/340111933.db2.gz DREQETZMPIRDPB-AWEZNQCLSA-N 0 3 318.486 4.476 20 0 DIADHN Cc1nc(CCN2CCC[C@H]2c2cccc(Cl)c2)cs1 ZINC000502725177 340112261 /nfs/dbraw/zinc/11/22/61/340112261.db2.gz UGLOMTYZNHPRKL-INIZCTEOSA-N 0 3 306.862 4.485 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C3CCCC3)c2)cc1 ZINC000502729633 340112650 /nfs/dbraw/zinc/11/26/50/340112650.db2.gz YVQQYZGTBWVFRJ-CABCVRRESA-N 0 3 313.445 4.419 20 0 DIADHN CCN(CCSc1ccc(Cl)cc1)Cc1ccncc1 ZINC000502754863 340112954 /nfs/dbraw/zinc/11/29/54/340112954.db2.gz CJMSCYWXXCZZSK-UHFFFAOYSA-N 0 3 306.862 4.349 20 0 DIADHN Cc1ccccc1[C@]1(F)CCN(CCCCOC(C)(C)C)C1 ZINC000502874744 340115295 /nfs/dbraw/zinc/11/52/95/340115295.db2.gz IGKXFXZVTSDGNA-IBGZPJMESA-N 0 3 307.453 4.461 20 0 DIADHN COc1cccc([C@@H](NCC(C)C)c2cc(F)ccc2OC)c1 ZINC000502999614 340118355 /nfs/dbraw/zinc/11/83/55/340118355.db2.gz WJLBXXBVIUTMRY-LJQANCHMSA-N 0 3 317.404 4.178 20 0 DIADHN Cc1ccc(OCCCN2CCC[C@H]2c2ccncc2)cc1C ZINC000503159906 340122261 /nfs/dbraw/zinc/12/22/61/340122261.db2.gz LUPSOKOYZOUHJT-FQEVSTJZSA-N 0 3 310.441 4.304 20 0 DIADHN CC(C)(C)CCCN[C@@H](Cn1ccccc1=O)c1ccccc1 ZINC000503205894 340123193 /nfs/dbraw/zinc/12/31/93/340123193.db2.gz PMOCORXVZAQVAE-SFHVURJKSA-N 0 3 312.457 4.006 20 0 DIADHN Cc1nc(CCN[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)cs1 ZINC000503409121 340127587 /nfs/dbraw/zinc/12/75/87/340127587.db2.gz VWHJTZYJYJQTRC-MEDUHNTESA-N 0 3 306.862 4.172 20 0 DIADHN Cc1nc(CCN[C@]2(c3ccc(Cl)cc3)C[C@H]2C)cs1 ZINC000503409113 340127592 /nfs/dbraw/zinc/12/75/92/340127592.db2.gz VWHJTZYJYJQTRC-BDJLRTHQSA-N 0 3 306.862 4.172 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@H]1CCO[C@@H]1C1CC1 ZINC000503558262 340130378 /nfs/dbraw/zinc/13/03/78/340130378.db2.gz PMFHOUCUCCQDLU-VQTJNVASSA-N 0 3 311.400 4.150 20 0 DIADHN C[C@H](Cc1cnccn1)NCc1ccc(-c2ccccc2)cc1F ZINC000503581625 340131510 /nfs/dbraw/zinc/13/15/10/340131510.db2.gz PPRPEXXIAIPVNO-OAHLLOKOSA-N 0 3 321.399 4.004 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)NCc1ccc(Oc2ccccc2)o1 ZINC000503587604 340131866 /nfs/dbraw/zinc/13/18/66/340131866.db2.gz WNIYKTZPULMZIU-ZBFHGGJFSA-N 0 3 301.386 4.119 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2cc(-c3ccccc3)no2)C1 ZINC000503589628 340131918 /nfs/dbraw/zinc/13/19/18/340131918.db2.gz VVCJPNKNGDWXTO-INIZCTEOSA-N 0 3 322.383 4.470 20 0 DIADHN COc1ccc([C@H]2C[C@H](N[C@@H]3CCCc4occc43)C2)cc1F ZINC000503911548 340136537 /nfs/dbraw/zinc/13/65/37/340136537.db2.gz PLLDMIKCAGKFRP-CKEIUWERSA-N 0 3 315.388 4.341 20 0 DIADHN CC[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(F)cc1F ZINC000503914766 340136721 /nfs/dbraw/zinc/13/67/21/340136721.db2.gz XVLYVSUJKHZRBO-MGPQQGTHSA-N 0 3 305.315 4.166 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)[C@@H]3CCCOC3)cs2)cc1 ZINC000503920076 340136862 /nfs/dbraw/zinc/13/68/62/340136862.db2.gz JHBUZDRKEASURS-GOEBONIOSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2)cc1F)[C@@H]1CCCOC1 ZINC000503922794 340136989 /nfs/dbraw/zinc/13/69/89/340136989.db2.gz YVWSEAVJLVTNON-DNVCBOLYSA-N 0 3 313.416 4.397 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN1CCCO[C@@H](C)C1 ZINC000503937311 340137547 /nfs/dbraw/zinc/13/75/47/340137547.db2.gz LXDXBNSYYRRWFW-ZVHGMHCTSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1nc2c(s1)CCC2 ZINC000503953788 340138477 /nfs/dbraw/zinc/13/84/77/340138477.db2.gz QSLBYUWEPLAUHW-QMTHXVAHSA-N 0 3 318.873 4.103 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cccc(C(=O)NC)c1 ZINC000504091002 340140659 /nfs/dbraw/zinc/14/06/59/340140659.db2.gz QWGAREXXTQHQIP-IBGZPJMESA-N 0 3 310.441 4.019 20 0 DIADHN C[C@@H](NCCc1ccc(Cl)cc1Cl)c1nccs1 ZINC000086507852 340145404 /nfs/dbraw/zinc/14/54/04/340145404.db2.gz DBYFLTCZTYMJKF-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN COc1ccc([C@@H]2CCN(CCO[C@@H]3CCCC[C@H]3C)C2)cc1 ZINC000504489981 340148368 /nfs/dbraw/zinc/14/83/68/340148368.db2.gz RQZAUXXWZLQSGH-YVWKXTFCSA-N 0 3 317.473 4.080 20 0 DIADHN COc1ccc([C@@H]2CCN(CCO[C@H]3CCCC[C@H]3C)C2)cc1 ZINC000504489980 340148405 /nfs/dbraw/zinc/14/84/05/340148405.db2.gz RQZAUXXWZLQSGH-POAQFYNOSA-N 0 3 317.473 4.080 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCC[C@@H]3CCCC[C@@H]23)cc1 ZINC000505110550 340161916 /nfs/dbraw/zinc/16/19/16/340161916.db2.gz VPPGZGDQFGENSF-QXAKKESOSA-N 0 3 314.473 4.293 20 0 DIADHN CN(C)c1ccccc1CN1CCCCC[C@H]1c1ccncc1 ZINC000505274852 340165366 /nfs/dbraw/zinc/16/53/66/340165366.db2.gz WNDNESCFOCWTSG-FQEVSTJZSA-N 0 3 309.457 4.265 20 0 DIADHN Cc1ccc(C)c(OCCN2CCC[C@@H](c3nccs3)C2)c1 ZINC000505293133 340165696 /nfs/dbraw/zinc/16/56/96/340165696.db2.gz DWZGPNJUDQQEKU-MRXNPFEDSA-N 0 3 316.470 4.018 20 0 DIADHN CN(Cc1ccc(OC(F)F)cc1)Cc1cccc2[nH]ccc21 ZINC000505581061 340171611 /nfs/dbraw/zinc/17/16/11/340171611.db2.gz DVZPHVHFULKVRN-UHFFFAOYSA-N 0 3 316.351 4.401 20 0 DIADHN CCOCc1cc(CN(C)Cc2ccccc2C)ccc1OC ZINC000505587401 340171849 /nfs/dbraw/zinc/17/18/49/340171849.db2.gz ZSUFDVVGDNAHTB-UHFFFAOYSA-N 0 3 313.441 4.172 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)CC1CCC(C)CC1 ZINC000505627565 340173263 /nfs/dbraw/zinc/17/32/63/340173263.db2.gz ACJLHGBYLLPWAO-UHFFFAOYSA-N 0 3 324.415 4.052 20 0 DIADHN COc1cccc(CN(C)Cc2cccc(-c3cccnc3)c2)c1 ZINC000505655968 340174332 /nfs/dbraw/zinc/17/43/32/340174332.db2.gz CFFGJNJVKZRKNZ-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1csc(-c2ccccn2)n1 ZINC000308720533 340174904 /nfs/dbraw/zinc/17/49/04/340174904.db2.gz CWNJFRACKBNCKK-SUMWQHHRSA-N 0 3 301.459 4.264 20 0 DIADHN COc1cccc(CN(C)Cc2cc(F)cc3cccnc32)c1 ZINC000505701091 340175176 /nfs/dbraw/zinc/17/51/76/340175176.db2.gz UUGNROXMVPNURT-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN C[C@H](C1CC1)N(Cc1cnc(N(C)C)s1)Cc1ccccc1 ZINC000505775671 340177517 /nfs/dbraw/zinc/17/75/17/340177517.db2.gz CUMZIEWYDUXSON-CQSZACIVSA-N 0 3 315.486 4.010 20 0 DIADHN Cc1ccc2c(C3=CCN(CCc4ccncc4)CC3)c[nH]c2c1 ZINC000505815233 340178653 /nfs/dbraw/zinc/17/86/53/340178653.db2.gz KTOPJXDLDCFZPA-UHFFFAOYSA-N 0 3 317.436 4.203 20 0 DIADHN COc1cccc([C@@H](C)N2CC[C@@H](COc3ccccc3)C2)c1 ZINC000505840712 340179256 /nfs/dbraw/zinc/17/92/56/340179256.db2.gz QMRKSVLXPLOAAN-IAGOWNOFSA-N 0 3 311.425 4.157 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccc(OC)cc1)Cc1ccccc1 ZINC000505877435 340180445 /nfs/dbraw/zinc/18/04/45/340180445.db2.gz SKDZLSABWXSKKT-UHFFFAOYSA-N 0 3 321.424 4.107 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(n2cc(Cl)cn2)CC1 ZINC000506023034 340183550 /nfs/dbraw/zinc/18/35/50/340183550.db2.gz RIHMEWFEEWVVBS-KRWDZBQOSA-N 0 3 321.827 4.464 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1ccc(F)cc1 ZINC000506029235 340183789 /nfs/dbraw/zinc/18/37/89/340183789.db2.gz ARKHTUCGMBXLJO-UHFFFAOYSA-N 0 3 315.417 4.299 20 0 DIADHN Clc1cnn(C2CCN(CCc3ccccc3Cl)CC2)c1 ZINC000506028605 340183834 /nfs/dbraw/zinc/18/38/34/340183834.db2.gz WEWBMXBVYJOCSK-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN Fc1ccc(SCCN2CCC(OC3CCCC3)CC2)cc1 ZINC000506056561 340184450 /nfs/dbraw/zinc/18/44/50/340184450.db2.gz NOLLOCPTTXFVHQ-UHFFFAOYSA-N 0 3 323.477 4.341 20 0 DIADHN COc1cc(CN(C)Cc2sccc2C)ccc1OC(C)C ZINC000506055082 340184467 /nfs/dbraw/zinc/18/44/67/340184467.db2.gz HUQWZWQFNDOEHA-UHFFFAOYSA-N 0 3 319.470 4.484 20 0 DIADHN CN(Cc1cnn(-c2ccccc2)c1)C[C@@H]1C[C@@H]1c1ccccc1 ZINC000506160604 340187122 /nfs/dbraw/zinc/18/71/22/340187122.db2.gz RXACTINAIBZPOT-PZJWPPBQSA-N 0 3 317.436 4.108 20 0 DIADHN CN(Cc1cnn(-c2ccccc2)c1)C[C@H]1C[C@H]1c1ccccc1 ZINC000506160603 340187177 /nfs/dbraw/zinc/18/71/77/340187177.db2.gz RXACTINAIBZPOT-CTNGQTDRSA-N 0 3 317.436 4.108 20 0 DIADHN CN(Cc1cccc2[nH]ccc21)Cc1ccccc1N1CCCC1 ZINC000506178819 340187760 /nfs/dbraw/zinc/18/77/60/340187760.db2.gz MIIVGYLVRXIQGA-UHFFFAOYSA-N 0 3 319.452 4.400 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCc3cc(Cl)ccc32)c1 ZINC000506206737 340188661 /nfs/dbraw/zinc/18/86/61/340188661.db2.gz WCZVJJVVIFUHDR-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2cnc(-c3ccccn3)s2)C1 ZINC000506220244 340189130 /nfs/dbraw/zinc/18/91/30/340189130.db2.gz VGFFMJCFPMYOKB-ZIAGYGMSSA-N 0 3 301.459 4.216 20 0 DIADHN COc1ccc([C@@H](NCCCCF)c2ccc(F)cc2)cc1 ZINC000506258641 340190249 /nfs/dbraw/zinc/19/02/49/340190249.db2.gz JTIPKLFARFHVJI-SFHVURJKSA-N 0 3 305.368 4.263 20 0 DIADHN C[C@@H](CNCc1ccccc1Br)Oc1ccccc1 ZINC000506320135 340192155 /nfs/dbraw/zinc/19/21/55/340192155.db2.gz IFFRCJBAPBZGJK-ZDUSSCGKSA-N 0 3 320.230 4.006 20 0 DIADHN CCCN(C)Cc1cccc(NC(=O)c2ccc(Cl)cc2)c1 ZINC000506433504 340194568 /nfs/dbraw/zinc/19/45/68/340194568.db2.gz PYVJGZFOHDOXJT-UHFFFAOYSA-N 0 3 316.832 4.434 20 0 DIADHN CCCN(C)Cc1cc(Cl)ccc1OCc1cccnc1 ZINC000506458277 340194798 /nfs/dbraw/zinc/19/47/98/340194798.db2.gz ARMBJTCCEJQNSL-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN CN(Cc1csc2ccccc12)Cc1ccc2c[nH]nc2c1 ZINC000506497180 340195782 /nfs/dbraw/zinc/19/57/82/340195782.db2.gz VKXQGKUDVJQPHP-UHFFFAOYSA-N 0 3 307.422 4.410 20 0 DIADHN Cc1cc(F)ccc1CN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000506648430 340198494 /nfs/dbraw/zinc/19/84/94/340198494.db2.gz LSNLRFBGARMXEF-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4[nH]3)CC2)ccc1F ZINC000506710424 340200079 /nfs/dbraw/zinc/20/00/79/340200079.db2.gz GGZIQHRNSAKSRH-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)C[C@@H]1CC=CCC1 ZINC000506772992 340201522 /nfs/dbraw/zinc/20/15/22/340201522.db2.gz CJARCROWDNIFRW-QGZVFWFLSA-N 0 3 300.446 4.002 20 0 DIADHN Cc1nocc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC000506816230 340202349 /nfs/dbraw/zinc/20/23/49/340202349.db2.gz BVSQVIXCXJENIS-UHFFFAOYSA-N 0 3 302.393 4.457 20 0 DIADHN Fc1ccc([C@H](NCCC[C@H]2CCOC2)c2ccccc2)cc1 ZINC000402077546 340202476 /nfs/dbraw/zinc/20/24/76/340202476.db2.gz SQLKBOCMDRVETL-OXJNMPFZSA-N 0 3 313.416 4.321 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@H]3CCC(C)(C)C3)n2)cc1F ZINC000506852589 340202804 /nfs/dbraw/zinc/20/28/04/340202804.db2.gz XLUDNGBFMIHRKJ-OCCSQVGLSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1cc(N(C)C[C@H]2OCCc3ccccc32)c2ccccc2n1 ZINC000507035852 340206045 /nfs/dbraw/zinc/20/60/45/340206045.db2.gz KQBLPJSWXSWNPR-OAQYLSRUSA-N 0 3 318.420 4.293 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3ccccc3)C2)n1-c1ccccc1 ZINC000507063461 340206366 /nfs/dbraw/zinc/20/63/66/340206366.db2.gz DFKYDWMJPMOZOT-IBGZPJMESA-N 0 3 317.436 4.170 20 0 DIADHN Cc1sccc1CN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000507083542 340206911 /nfs/dbraw/zinc/20/69/11/340206911.db2.gz VABKMPLNVIAIFK-UHFFFAOYSA-N 0 3 309.438 4.222 20 0 DIADHN Cc1[nH]ncc1CN(Cc1c(Cl)cccc1Cl)C1CC1 ZINC000507139936 340209207 /nfs/dbraw/zinc/20/92/07/340209207.db2.gz BAPFBJZCIBORDJ-UHFFFAOYSA-N 0 3 310.228 4.190 20 0 DIADHN COc1cccc(CN2CCC[C@H]2c2ccccc2C)c1OC ZINC000507152593 340209875 /nfs/dbraw/zinc/20/98/75/340209875.db2.gz WNBPBUHYDWGCBG-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CCCCCN(Cc1ccc(OC)c(OC)c1OC)C(C)C ZINC000507168625 340210740 /nfs/dbraw/zinc/21/07/40/340210740.db2.gz RIQIIVPGJHLYIT-UHFFFAOYSA-N 0 3 309.450 4.113 20 0 DIADHN Cc1nc2sccn2c1CN(C)[C@@H](C)CCc1ccccc1 ZINC000507197075 340212565 /nfs/dbraw/zinc/21/25/65/340212565.db2.gz ZPDJTSXQPZMUIG-AWEZNQCLSA-N 0 3 313.470 4.157 20 0 DIADHN Cc1nc2sccn2c1CN(C)[C@H](C)CCc1ccccc1 ZINC000507197076 340212588 /nfs/dbraw/zinc/21/25/88/340212588.db2.gz ZPDJTSXQPZMUIG-CQSZACIVSA-N 0 3 313.470 4.157 20 0 DIADHN Cc1ccc(O)c(CN(C)[C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000507205241 340213133 /nfs/dbraw/zinc/21/31/33/340213133.db2.gz UZDNERDIQPMGGZ-GFCCVEGCSA-N 0 3 324.346 4.307 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2cc(-c3ccco3)on2)C1 ZINC000507203899 340213142 /nfs/dbraw/zinc/21/31/42/340213142.db2.gz KDWPWRGGIJZXSU-HNNXBMFYSA-N 0 3 308.381 4.233 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)Cc2ccc([S@@](C)=O)cc2)c1 ZINC000507210923 340213607 /nfs/dbraw/zinc/21/36/07/340213607.db2.gz LDNQLEKPPCDGJQ-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN CN(Cc1cccc(Cl)c1)C[C@H]1OCCc2ccccc21 ZINC000507220299 340214125 /nfs/dbraw/zinc/21/41/25/340214125.db2.gz VJETXQZZWPVYTH-GOSISDBHSA-N 0 3 301.817 4.086 20 0 DIADHN CCN(Cc1c(C)nn(-c2ccc(Cl)cc2)c1C)C1CC1 ZINC000507227043 340214635 /nfs/dbraw/zinc/21/46/35/340214635.db2.gz CPNYWPXUTMZYIV-UHFFFAOYSA-N 0 3 303.837 4.127 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)C[C@H]1OCCc2ccccc21 ZINC000507253024 340215656 /nfs/dbraw/zinc/21/56/56/340215656.db2.gz CQTRFZQIZKXFPE-ORAYPTAESA-N 0 3 317.379 4.272 20 0 DIADHN CCCN(Cc1cnn(CC(F)F)c1)[C@@H]1CCc2ccccc21 ZINC000507315389 340217023 /nfs/dbraw/zinc/21/70/23/340217023.db2.gz DBQGITFLAIFOCN-QGZVFWFLSA-N 0 3 319.399 4.048 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1ccc(C)cc1)c1ccco1 ZINC000507452413 340220356 /nfs/dbraw/zinc/22/03/56/340220356.db2.gz WVBYIBNBQMPIJS-WMZOPIPTSA-N 0 3 301.430 4.277 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)COc1ccc(F)cc1F ZINC000507463411 340220919 /nfs/dbraw/zinc/22/09/19/340220919.db2.gz STRSMKRRRWPYCG-STQMWFEESA-N 0 3 320.383 4.040 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000507467454 340221013 /nfs/dbraw/zinc/22/10/13/340221013.db2.gz FIVFLLOSOLGBBS-ZWOKBUDYSA-N 0 3 324.468 4.463 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000507469803 340221223 /nfs/dbraw/zinc/22/12/23/340221223.db2.gz LFLGZSMWZKSQHI-UONOGXRCSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCCN(C)c1ccccc1 ZINC000507474315 340221638 /nfs/dbraw/zinc/22/16/38/340221638.db2.gz XJYJZGCYLWFRNR-QGZVFWFLSA-N 0 3 311.473 4.211 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](c1ccccc1)N1CCCC1 ZINC000507480106 340221748 /nfs/dbraw/zinc/22/17/48/340221748.db2.gz ALWOVZBSYPWUBB-YLJYHZDGSA-N 0 3 323.484 4.132 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CCOC)c2ccco2)C12CCCCC2 ZINC000507480836 340221890 /nfs/dbraw/zinc/22/18/90/340221890.db2.gz QAHXVEUDJHEDME-KBAYOESNSA-N 0 3 321.461 4.075 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCn1c(C)nc2ccccc21 ZINC000507486237 340222165 /nfs/dbraw/zinc/22/21/65/340222165.db2.gz OKHOAJRLTRPPCO-OAHLLOKOSA-N 0 3 322.456 4.043 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000507498143 340222930 /nfs/dbraw/zinc/22/29/30/340222930.db2.gz ULGGYYPYCDSEKW-QKYXUNIQSA-N 0 3 310.441 4.072 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000507509807 340223306 /nfs/dbraw/zinc/22/33/06/340223306.db2.gz MCALLEKSVMAXLE-KGLIPLIRSA-N 0 3 318.848 4.416 20 0 DIADHN C[C@@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@H]1CCCOC1 ZINC000507512182 340223497 /nfs/dbraw/zinc/22/34/97/340223497.db2.gz OXJANFPCQNANJN-NEPJUHHUSA-N 0 3 314.256 4.387 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)C(=O)NC(C)(C)CC)cc1 ZINC000507512113 340223530 /nfs/dbraw/zinc/22/35/30/340223530.db2.gz SKFREDCHFJPPNN-KGLIPLIRSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCC[C@@H]1OCc1ccccc1 ZINC000507516334 340223858 /nfs/dbraw/zinc/22/38/58/340223858.db2.gz XEJCVNDCXAHLOM-VDGAXYAQSA-N 0 3 324.468 4.433 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](C)c1ccc(F)cc1F ZINC000507519840 340223903 /nfs/dbraw/zinc/22/39/03/340223903.db2.gz KPSRNDDNMKWABQ-OLZOCXBDSA-N 0 3 304.384 4.377 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@H]2CCCC[C@H]2C2CC2)n1 ZINC000507520526 340224037 /nfs/dbraw/zinc/22/40/37/340224037.db2.gz RCZOBSVMSAFMMC-PMACEKPBSA-N 0 3 323.484 4.184 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCCCOCc1ccccc1 ZINC000507521733 340224213 /nfs/dbraw/zinc/22/42/13/340224213.db2.gz AIUYICYXOVUADW-QGZVFWFLSA-N 0 3 312.457 4.292 20 0 DIADHN CCN1CCC[C@H]1CN[C@H](C)c1cc(Cl)sc1Cl ZINC000300406646 340225113 /nfs/dbraw/zinc/22/51/13/340225113.db2.gz IBSXBUNSBZJQEO-ZJUUUORDSA-N 0 3 307.290 4.190 20 0 DIADHN CO[C@@H]1C[C@@H](N[C@H](C)c2sc(C(C)(C)C)nc2C)C1(C)C ZINC000300412918 340225263 /nfs/dbraw/zinc/22/52/63/340225263.db2.gz WWOLPUKGANZJQS-RAIGVLPGSA-N 0 3 310.507 4.213 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@H](C)c1ccc(Cl)cc1Cl ZINC000507601668 340225838 /nfs/dbraw/zinc/22/58/38/340225838.db2.gz PDGGNJIESJWBTM-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnn(C)c1)c1ccc(Cl)cc1Cl ZINC000507601671 340225924 /nfs/dbraw/zinc/22/59/24/340225924.db2.gz PDGGNJIESJWBTM-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@@H]1C[C@H](CN(C)[C@H](C)c2nc3ccccc3s2)CCO1 ZINC000507611460 340226406 /nfs/dbraw/zinc/22/64/06/340226406.db2.gz PRCTTZPVVQDCAC-RBSFLKMASA-N 0 3 318.486 4.494 20 0 DIADHN FC(F)Cn1cc(CN2CCCCC[C@@H]2c2ccccc2)cn1 ZINC000507623534 340226616 /nfs/dbraw/zinc/22/66/16/340226616.db2.gz ZYECPDHKLPEEQY-QGZVFWFLSA-N 0 3 319.399 4.266 20 0 DIADHN CC[C@H]1C[C@H](CN(Cc2ccc(F)cc2F)C2CC2)CCO1 ZINC000507622845 340226660 /nfs/dbraw/zinc/22/66/60/340226660.db2.gz TWJMNTMCTIMGPJ-DYVFJYSZSA-N 0 3 309.400 4.134 20 0 DIADHN Cc1sccc1CN1CCC(Nc2ccc(F)cc2)CC1 ZINC000507653107 340227249 /nfs/dbraw/zinc/22/72/49/340227249.db2.gz KTIZXDCBFDRWDH-UHFFFAOYSA-N 0 3 304.434 4.272 20 0 DIADHN c1nc(C2CCC2)sc1CN1CC[C@H](Cc2ccccc2)C1 ZINC000507673362 340227979 /nfs/dbraw/zinc/22/79/79/340227979.db2.gz ODVIULWJLNHFJT-MRXNPFEDSA-N 0 3 312.482 4.475 20 0 DIADHN c1nc(C2CCC2)sc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC000507673360 340227993 /nfs/dbraw/zinc/22/79/93/340227993.db2.gz ODVIULWJLNHFJT-INIZCTEOSA-N 0 3 312.482 4.475 20 0 DIADHN CC[C@H](C)N(CC(=O)N[C@@H](C)c1ccccc1)Cc1ccccc1 ZINC000507676225 340228016 /nfs/dbraw/zinc/22/80/16/340228016.db2.gz FFGDWJXWWACCLW-ROUUACIJSA-N 0 3 324.468 4.165 20 0 DIADHN CN(Cc1ccccc1)[C@@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O ZINC000507686727 340228202 /nfs/dbraw/zinc/22/82/02/340228202.db2.gz HQUPDFHMHCMWJW-IAGOWNOFSA-N 0 3 322.235 4.084 20 0 DIADHN C[C@H]1C[C@@H](CN2CC=C(c3ccccc3Cl)CC2)CCO1 ZINC000507693244 340228556 /nfs/dbraw/zinc/22/85/56/340228556.db2.gz YHARRBUVWDLOGF-GJZGRUSLSA-N 0 3 305.849 4.244 20 0 DIADHN CC[C@@H](C)N(CC(=O)N1CCCC[C@H]1CC)Cc1ccccc1 ZINC000507697829 340228583 /nfs/dbraw/zinc/22/85/83/340228583.db2.gz MBIOVURHVINEIL-IEBWSBKVSA-N 0 3 316.489 4.078 20 0 DIADHN CC[C@H](C)N(Cc1cc(C)c(C(=O)OC)o1)Cc1ccccc1 ZINC000507704366 340228797 /nfs/dbraw/zinc/22/87/97/340228797.db2.gz DWIMNABIEHAHGC-HNNXBMFYSA-N 0 3 315.413 4.175 20 0 DIADHN c1ccc(OC[C@H]2CCN(Cc3ccc4ncccc4c3)C2)cc1 ZINC000507713732 340229284 /nfs/dbraw/zinc/22/92/84/340229284.db2.gz LMIOWHCEXBGVHS-SFHVURJKSA-N 0 3 318.420 4.136 20 0 DIADHN COc1cccc(CN2CCC[C@H]2c2cccc(N(C)C)c2)c1 ZINC000507743414 340230194 /nfs/dbraw/zinc/23/01/94/340230194.db2.gz SISAKWSGXRUOCR-FQEVSTJZSA-N 0 3 310.441 4.098 20 0 DIADHN CCN(Cc1cnn(C)c1)CC(c1ccccc1)c1ccccc1 ZINC000507749510 340230306 /nfs/dbraw/zinc/23/03/06/340230306.db2.gz RPERNGQXQKPWLZ-UHFFFAOYSA-N 0 3 319.452 4.074 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OCc3ccccc3)cc2)CCS1 ZINC000507766588 340230550 /nfs/dbraw/zinc/23/05/50/340230550.db2.gz LPFFCBZKYSXCPJ-MRXNPFEDSA-N 0 3 313.466 4.203 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1F)c1ccccc1Cl ZINC000507777540 340231001 /nfs/dbraw/zinc/23/10/01/340231001.db2.gz IHNULCSOSZZNOP-LBPRGKRZSA-N 0 3 320.795 4.159 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC000507784358 340231412 /nfs/dbraw/zinc/23/14/12/340231412.db2.gz RDDHWHWTBGDGPX-MRXNPFEDSA-N 0 3 315.413 4.320 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2N[C@@H]1CCOC2(CCCCC2)C1 ZINC000507790530 340231645 /nfs/dbraw/zinc/23/16/45/340231645.db2.gz AUMDQNZKYVUDKP-DYVFJYSZSA-N 0 3 321.411 4.424 20 0 DIADHN COc1cc(CN[C@@H]2CCc3c2cccc3Cl)ccc1C ZINC000507792482 340231805 /nfs/dbraw/zinc/23/18/05/340231805.db2.gz IDXJPFCPYABEFH-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN CCc1ccc([C@@H](C)NCCC(=O)Nc2ccccc2F)cc1 ZINC000507797016 340231954 /nfs/dbraw/zinc/23/19/54/340231954.db2.gz QFMBRZFRPCPXDL-CQSZACIVSA-N 0 3 314.404 4.068 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12 ZINC000507806586 340232304 /nfs/dbraw/zinc/23/23/04/340232304.db2.gz CLIPQTTYEJSICG-QXGSTGNESA-N 0 3 311.375 4.128 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@H]1CCc2c1cccc2Cl ZINC000507812684 340232622 /nfs/dbraw/zinc/23/26/22/340232622.db2.gz GXEZFFDXPVBNKJ-NHYWBVRUSA-N 0 3 309.837 4.037 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H]1CCc2c1cccc2Cl ZINC000507812686 340232628 /nfs/dbraw/zinc/23/26/28/340232628.db2.gz GXEZFFDXPVBNKJ-XHDPSFHLSA-N 0 3 309.837 4.037 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CCn3cccc3[C@@H]2c2ccccc2)CCO1 ZINC000507815698 340232819 /nfs/dbraw/zinc/23/28/19/340232819.db2.gz PHYLUKSGZCCGJW-DSKINZAPSA-N 0 3 324.468 4.098 20 0 DIADHN CCCN(Cc1cccc(C(=O)Nc2ccccc2)c1)C1CC1 ZINC000507859533 340234394 /nfs/dbraw/zinc/23/43/94/340234394.db2.gz KLWFQAYRSRUDBB-UHFFFAOYSA-N 0 3 308.425 4.313 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1ccc2c[nH]nc2c1)\c1ccccc1 ZINC000507874137 340234881 /nfs/dbraw/zinc/23/48/81/340234881.db2.gz JAOBKSLJADMTLD-SINXNMPMSA-N 0 3 303.409 4.241 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@H]3/C=C/c3ccccc3)ccc21 ZINC000507876195 340234896 /nfs/dbraw/zinc/23/48/96/340234896.db2.gz PEMDJTCHROYBGT-JARZOMNASA-N 0 3 317.436 4.251 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1cccc2nccn21)\c1ccccc1 ZINC000507877722 340234946 /nfs/dbraw/zinc/23/49/46/340234946.db2.gz SUIRRNRDBORNJJ-IENJSVCTSA-N 0 3 303.409 4.012 20 0 DIADHN COCc1ccc(CN(C2CC2)[C@H]2CCCc3ccccc32)o1 ZINC000507987467 340236908 /nfs/dbraw/zinc/23/69/08/340236908.db2.gz UOFMJEANQXBWPY-FQEVSTJZSA-N 0 3 311.425 4.468 20 0 DIADHN COc1ccc(CN(C2CC2)[C@H]2CCCc3ccccc32)cc1O ZINC000507995653 340236960 /nfs/dbraw/zinc/23/69/60/340236960.db2.gz VRKINUSWJXOIER-IBGZPJMESA-N 0 3 323.436 4.443 20 0 DIADHN COc1ccc(CN2CCC(Oc3ccc(C)cc3)CC2)cc1 ZINC000508023834 340237555 /nfs/dbraw/zinc/23/75/55/340237555.db2.gz CKILHMYWSMBODI-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN Oc1ccccc1CCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000508086087 340238938 /nfs/dbraw/zinc/23/89/38/340238938.db2.gz ZTCAMLGCZPOEFT-UHFFFAOYSA-N 0 3 311.356 4.124 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC(C)(C)[C@H]1C1CC1 ZINC000516609355 340240590 /nfs/dbraw/zinc/24/05/90/340240590.db2.gz IFTOKUKUNRDDGG-LJQANCHMSA-N 0 3 309.457 4.110 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000508239014 340241205 /nfs/dbraw/zinc/24/12/05/340241205.db2.gz ISVFCMQVJRMCGR-AEFFLSMTSA-N 0 3 317.477 4.085 20 0 DIADHN CC[C@@H](CNCc1cn2cc(Cl)ccc2n1)CC(F)(F)F ZINC000402561622 340243144 /nfs/dbraw/zinc/24/31/44/340243144.db2.gz KDQNRIXPMYYOML-SNVBAGLBSA-N 0 3 319.758 4.056 20 0 DIADHN CCCCC(=O)N(CCC)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000508456743 340244090 /nfs/dbraw/zinc/24/40/90/340244090.db2.gz MRMQMPQAOGDPSC-LJQANCHMSA-N 0 3 316.489 4.080 20 0 DIADHN CCN(C/C(C)=C/c1ccccc1COC)Cc1ccccn1 ZINC000508517068 340245307 /nfs/dbraw/zinc/24/53/07/340245307.db2.gz YBVVEIOQELRBRL-GHRIWEEISA-N 0 3 310.441 4.153 20 0 DIADHN CCCN(Cc1c(C(C)C)nc2sc(C)nn12)CC(C)C ZINC000508584000 340247042 /nfs/dbraw/zinc/24/70/42/340247042.db2.gz VPRASGTUYBSLCP-UHFFFAOYSA-N 0 3 308.495 4.091 20 0 DIADHN CCC(CC)CN(CC)Cc1cnc(-c2ccccn2)s1 ZINC000508595379 340247298 /nfs/dbraw/zinc/24/72/98/340247298.db2.gz MWXJTYMQGWFVHN-UHFFFAOYSA-N 0 3 303.475 4.463 20 0 DIADHN CCCN(Cc1cnc2ccccn12)[C@H]1CCc2ccccc21 ZINC000508603239 340247578 /nfs/dbraw/zinc/24/75/78/340247578.db2.gz IGYUIIJXOCRBIS-IBGZPJMESA-N 0 3 305.425 4.234 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC(C)(O)CC1 ZINC000508602404 340247650 /nfs/dbraw/zinc/24/76/50/340247650.db2.gz DGKPPVJJVUOZSC-UHFFFAOYSA-N 0 3 309.862 4.210 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnc2n[nH]c(C)c2c1 ZINC000508673452 340250208 /nfs/dbraw/zinc/25/02/08/340250208.db2.gz WPDFTEMQVZFUII-GOSISDBHSA-N 0 3 308.429 4.240 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@@H](C)C1 ZINC000508675475 340250316 /nfs/dbraw/zinc/25/03/16/340250316.db2.gz GPCJKEPVTZNREX-CVEARBPZSA-N 0 3 308.425 4.022 20 0 DIADHN Cc1sccc1CN1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000508709758 340251239 /nfs/dbraw/zinc/25/12/39/340251239.db2.gz OWSCAVGBGVRSPR-INIZCTEOSA-N 0 3 317.429 4.291 20 0 DIADHN Fc1ccccc1CCN(Cc1cncs1)C1CCCC1 ZINC000508718320 340251344 /nfs/dbraw/zinc/25/13/44/340251344.db2.gz KUHDTQKXJOEYBZ-UHFFFAOYSA-N 0 3 304.434 4.270 20 0 DIADHN CC(C)(CNCc1cn2ccccc2n1)c1cccc(Cl)c1 ZINC000508741163 340252071 /nfs/dbraw/zinc/25/20/71/340252071.db2.gz VIHJGQUVMMYBMZ-UHFFFAOYSA-N 0 3 313.832 4.055 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2)CC2=CCCOC2)cc1 ZINC000508744187 340252160 /nfs/dbraw/zinc/25/21/60/340252160.db2.gz AJCGTZVQDDIMAM-UHFFFAOYSA-N 0 3 311.400 4.175 20 0 DIADHN Cc1nn2c(CN(CCC(C)C)C3CCCC3)c(C)nc2s1 ZINC000508744803 340252298 /nfs/dbraw/zinc/25/22/98/340252298.db2.gz CCHSAOKPMWVPGO-UHFFFAOYSA-N 0 3 320.506 4.198 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@@]2(CCOC2)C1 ZINC000508936772 340256027 /nfs/dbraw/zinc/25/60/27/340256027.db2.gz ZJIVPZOFAYARIZ-QGZVFWFLSA-N 0 3 321.873 4.476 20 0 DIADHN C[C@@H](F)CCN[C@H](COCc1ccccc1)c1ccccc1 ZINC000508966763 340256508 /nfs/dbraw/zinc/25/65/08/340256508.db2.gz XNNBUBUYWOKOAI-VQIMIIECSA-N 0 3 301.405 4.282 20 0 DIADHN C[C@@H](F)CCN[C@@H](C)c1cccc(NC(=O)c2ccccc2)c1 ZINC000508964239 340256551 /nfs/dbraw/zinc/25/65/51/340256551.db2.gz JWKLDHIENHKJQF-CABCVRRESA-N 0 3 314.404 4.338 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2-c2ccnn2C)[C@H]1c1ccccc1 ZINC000508991913 340257285 /nfs/dbraw/zinc/25/72/85/340257285.db2.gz SVPNEEKHCDCGBU-IIBYNOLFSA-N 0 3 317.436 4.280 20 0 DIADHN C[C@@H](F)CCN[C@@H](COc1ccccc1F)c1ccccc1 ZINC000508991593 340257299 /nfs/dbraw/zinc/25/72/99/340257299.db2.gz OBLVQTQLFAZCQA-PBHICJAKSA-N 0 3 305.368 4.284 20 0 DIADHN CCOC1CC(CN2CCCC[C@@H]2c2ccc(F)cc2F)C1 ZINC000508993055 340257511 /nfs/dbraw/zinc/25/75/11/340257511.db2.gz WPHGXPDAAXMDSL-LEHRNKBSSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1ncsc1CCN1[C@H](C)c2ccc(F)cc2C[C@@H]1C ZINC000509005611 340257874 /nfs/dbraw/zinc/25/78/74/340257874.db2.gz DOYUPVADNMNKJD-WCQYABFASA-N 0 3 304.434 4.141 20 0 DIADHN CCc1nocc1CN(CCc1cccc(F)c1)C1CCCC1 ZINC000509048003 340259427 /nfs/dbraw/zinc/25/94/27/340259427.db2.gz LRZHPSGPMQVYKR-UHFFFAOYSA-N 0 3 316.420 4.363 20 0 DIADHN CC[C@@H]1CCCN(CC(=O)N[C@H](C)c2cccc3ccccc32)C1 ZINC000509052040 340259652 /nfs/dbraw/zinc/25/96/52/340259652.db2.gz IATGODOJKIYJQO-IAGOWNOFSA-N 0 3 324.468 4.139 20 0 DIADHN Cc1nocc1CN1CCc2ccccc2[C@@H]1Cc1ccccc1 ZINC000509112087 340261617 /nfs/dbraw/zinc/26/16/17/340261617.db2.gz QFOKWESPXNYDPG-NRFANRHFSA-N 0 3 318.420 4.325 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCc2cc(Cl)ccc21 ZINC000509144063 340262240 /nfs/dbraw/zinc/26/22/40/340262240.db2.gz ZCJZYNYMBNMIJN-GJZGRUSLSA-N 0 3 307.846 4.020 20 0 DIADHN C[C@@H]1CCCCN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509149482 340262324 /nfs/dbraw/zinc/26/23/24/340262324.db2.gz DGHVHGFPPPBSPN-LLVKDONJSA-N 0 3 323.234 4.212 20 0 DIADHN COCc1ccccc1/C=C(/C)CNc1cccc(CN(C)C)c1 ZINC000509168103 340262881 /nfs/dbraw/zinc/26/28/81/340262881.db2.gz OEUVAPWHIYHUBQ-ATVHPVEESA-N 0 3 324.468 4.410 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3cccc(F)c3C)o2)C1 ZINC000509171568 340263066 /nfs/dbraw/zinc/26/30/66/340263066.db2.gz UYUOQABEWYUEDP-AWEZNQCLSA-N 0 3 303.377 4.005 20 0 DIADHN C[C@H](F)CCN1CCC[C@H]1c1cccc(Br)c1 ZINC000509280231 340265368 /nfs/dbraw/zinc/26/53/68/340265368.db2.gz SQKAEYCNLUJRMB-FZMZJTMJSA-N 0 3 300.215 4.334 20 0 DIADHN CCOC1CC(CN[C@H](C)c2ccc(Cl)cc2Cl)C1 ZINC000509345599 340266759 /nfs/dbraw/zinc/26/67/59/340266759.db2.gz SFPWQETWEUYNQM-XSRFYTQQSA-N 0 3 302.245 4.459 20 0 DIADHN CCOC1CC(CN[C@@H](C)c2ccc(Cl)cc2Cl)C1 ZINC000509345601 340266785 /nfs/dbraw/zinc/26/67/85/340266785.db2.gz SFPWQETWEUYNQM-ZBOXLXRLSA-N 0 3 302.245 4.459 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCS[C@H]3COCC[C@@H]32)cc1 ZINC000509354892 340267006 /nfs/dbraw/zinc/26/70/06/340267006.db2.gz BFMSVZYXBPJEQN-ROUUACIJSA-N 0 3 319.514 4.081 20 0 DIADHN CCC(CC)(CCO)NCc1csc(-c2ccc(C)cc2)n1 ZINC000509402026 340268358 /nfs/dbraw/zinc/26/83/58/340268358.db2.gz IWTSUUWJFGSTEW-UHFFFAOYSA-N 0 3 318.486 4.149 20 0 DIADHN CCC(CC)(CCO)NCc1ccc(Oc2ccccc2)o1 ZINC000509415150 340268796 /nfs/dbraw/zinc/26/87/96/340268796.db2.gz JEZLZCFCNGHUSM-UHFFFAOYSA-N 0 3 303.402 4.103 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cccc(Cl)c1 ZINC000509430665 340269244 /nfs/dbraw/zinc/26/92/44/340269244.db2.gz NCKAYEOBEWAGPT-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NC/C=C\c1ccc(F)cc1 ZINC000509429958 340269306 /nfs/dbraw/zinc/26/93/06/340269306.db2.gz JWKVUKVDPFNZAP-KVLZDYKBSA-N 0 3 315.363 4.264 20 0 DIADHN COc1cc(OC)c(OC)cc1CN[C@@H](C)CCCC(C)C ZINC000302222691 340270570 /nfs/dbraw/zinc/27/05/70/340270570.db2.gz PHSIMJYIZJAUOC-AWEZNQCLSA-N 0 3 309.450 4.017 20 0 DIADHN Cn1cc(-c2ccc(CNCc3ccc(Cl)s3)s2)cn1 ZINC000509479828 340271027 /nfs/dbraw/zinc/27/10/27/340271027.db2.gz OHYIIPINJOJJMQ-UHFFFAOYSA-N 0 3 323.874 4.153 20 0 DIADHN C[C@@H]1N(Cc2ccccc2C(F)(F)F)CCC12CCOCC2 ZINC000509606415 340274568 /nfs/dbraw/zinc/27/45/68/340274568.db2.gz CGDDFAHSNUKDMB-ZDUSSCGKSA-N 0 3 313.363 4.096 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509642094 340275312 /nfs/dbraw/zinc/27/53/12/340275312.db2.gz IXTYEFSOOGSCQX-PHIMTYICSA-N 0 3 323.234 4.353 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNCCc1ccccc1 ZINC000509642783 340275350 /nfs/dbraw/zinc/27/53/50/340275350.db2.gz UNTCTAWIEUATKC-UHFFFAOYSA-N 0 3 310.422 4.158 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2o1)CC(C)(C)C ZINC000509645121 340275494 /nfs/dbraw/zinc/27/54/94/340275494.db2.gz WPBNHVNURZYTEX-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN Cc1ncsc1CCN1CCCC[C@H]1c1ccc(F)cc1 ZINC000509679133 340276609 /nfs/dbraw/zinc/27/66/09/340276609.db2.gz GQPZSBUMJDHXCB-INIZCTEOSA-N 0 3 304.434 4.360 20 0 DIADHN C[C@H]1CN(Cc2nc3cc(Br)ccc3o2)C(C)(C)C1 ZINC000509684393 340276884 /nfs/dbraw/zinc/27/68/84/340276884.db2.gz UJXYVLOOPHATGY-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC[C@H]1c1cc(C)no1 ZINC000509785031 340279046 /nfs/dbraw/zinc/27/90/46/340279046.db2.gz CXPBIPKDGQNKGF-SFHVURJKSA-N 0 3 322.408 4.482 20 0 DIADHN COc1ccc(C(=O)CCN(C)[C@H](C)c2ccc(F)cc2)cc1 ZINC000509812323 340279920 /nfs/dbraw/zinc/27/99/20/340279920.db2.gz MWSKWBYGHPEOHB-CQSZACIVSA-N 0 3 315.388 4.100 20 0 DIADHN CCCCN(CC)Cc1nc2cc(Br)ccc2o1 ZINC000509837308 340281297 /nfs/dbraw/zinc/28/12/97/340281297.db2.gz FWFPRKBXQLCPRD-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN CCOC1CC(CN(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)C1 ZINC000509850295 340281559 /nfs/dbraw/zinc/28/15/59/340281559.db2.gz FUUNIDZWRONGOM-QQOVODFUSA-N 0 3 303.446 4.183 20 0 DIADHN Fc1ccccc1CCNCc1ccccc1OC(F)(F)F ZINC000302895512 340285409 /nfs/dbraw/zinc/28/54/09/340285409.db2.gz IDWLXLFFVJSYLF-UHFFFAOYSA-N 0 3 313.294 4.057 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000510068485 340287229 /nfs/dbraw/zinc/28/72/29/340287229.db2.gz DEGDWIVGRXVWME-QGZVFWFLSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1nc(CN2CCC[C@@](C)(c3ccccc3)CC2)cs1 ZINC000510080323 340287821 /nfs/dbraw/zinc/28/78/21/340287821.db2.gz VBFMAAZFWWYHPY-GOSISDBHSA-N 0 3 300.471 4.395 20 0 DIADHN CC(C)C[C@@H](NCc1cnn(CC(F)F)c1)c1cccs1 ZINC000510094796 340288669 /nfs/dbraw/zinc/28/86/69/340288669.db2.gz ZMBNJFBGZZNJGG-CYBMUJFWSA-N 0 3 313.417 4.087 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000510139850 340290251 /nfs/dbraw/zinc/29/02/51/340290251.db2.gz NPRUSRPVVZXLLR-AWEZNQCLSA-N 0 3 321.436 4.188 20 0 DIADHN Clc1ccccc1CN(CCc1ccccn1)CC1CC1 ZINC000510173826 340291497 /nfs/dbraw/zinc/29/14/97/340291497.db2.gz DGZVZNNHEAIIPQ-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN COc1ccccc1[C@@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC000510187022 340291906 /nfs/dbraw/zinc/29/19/06/340291906.db2.gz VTQZIOLDVOYJGM-CFHLNLSMSA-N 0 3 303.352 4.337 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)CC2CC2)cc1F ZINC000302987228 340292366 /nfs/dbraw/zinc/29/23/66/340292366.db2.gz KPBGYJUVGCKYAT-UHFFFAOYSA-N 0 3 317.379 4.386 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000510224473 340293224 /nfs/dbraw/zinc/29/32/24/340293224.db2.gz UFSSUZYDHOJKMU-OAHLLOKOSA-N 0 3 312.457 4.137 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccccc2)c2ccccc2n1 ZINC000510248799 340294003 /nfs/dbraw/zinc/29/40/03/340294003.db2.gz JUFUINDLLZRRCN-UHFFFAOYSA-N 0 3 320.436 4.444 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000510249181 340294095 /nfs/dbraw/zinc/29/40/95/340294095.db2.gz NEXKGTIWAJTHEB-UHFFFAOYSA-N 0 3 310.441 4.413 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1cncc(C)c1 ZINC000510267409 340294661 /nfs/dbraw/zinc/29/46/61/340294661.db2.gz LFIMOQYULXJLJU-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN c1cc2cc(CN[C@@H](CN3CCCC3)c3ccccc3)ccc2o1 ZINC000510266946 340294674 /nfs/dbraw/zinc/29/46/74/340294674.db2.gz KCPRUCGBDXIABE-FQEVSTJZSA-N 0 3 320.436 4.360 20 0 DIADHN Cc1cccc(CN2CCC(OCCc3ccccc3)CC2)c1 ZINC000510378181 340297241 /nfs/dbraw/zinc/29/72/41/340297241.db2.gz QOXYNCINCZHCAT-UHFFFAOYSA-N 0 3 309.453 4.219 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(C(F)(F)F)CC1)CCC2 ZINC000510378888 340297413 /nfs/dbraw/zinc/29/74/13/340297413.db2.gz QEFNUZZSIGLOTC-HNNXBMFYSA-N 0 3 301.327 4.477 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC[C@H](n2ncc3ccccc32)C1 ZINC000510403229 340298198 /nfs/dbraw/zinc/29/81/98/340298198.db2.gz USWCQHFJMVMHNP-KSSFIOAISA-N 0 3 309.388 4.183 20 0 DIADHN CCCCOC1CCN([C@H]2CCCc3ccc(F)cc32)CC1 ZINC000510423760 340299031 /nfs/dbraw/zinc/29/90/31/340299031.db2.gz HGPBGWHIZIOKQF-IBGZPJMESA-N 0 3 305.437 4.484 20 0 DIADHN CSc1ccccc1CN1CC[C@H](n2ncc3ccccc32)C1 ZINC000510438540 340299418 /nfs/dbraw/zinc/29/94/18/340299418.db2.gz SENYJRLPZWYIPL-KRWDZBQOSA-N 0 3 323.465 4.205 20 0 DIADHN CC(C)c1cccc(NC(=O)N[C@@H](C)CN2CCC[C@H](C)C2)c1 ZINC000510485846 340300721 /nfs/dbraw/zinc/30/07/21/340300721.db2.gz RAKPLJZJRYGHIU-HOTGVXAUSA-N 0 3 317.477 4.052 20 0 DIADHN CC(C)c1cccc(NC(=O)N[C@H](C)CN2CCC[C@@H](C)C2)c1 ZINC000510485847 340300776 /nfs/dbraw/zinc/30/07/76/340300776.db2.gz RAKPLJZJRYGHIU-HZPDHXFCSA-N 0 3 317.477 4.052 20 0 DIADHN CC1(C)CCCN(Cc2ccc(OCc3ccccn3)cc2)C1 ZINC000510540289 340302205 /nfs/dbraw/zinc/30/22/05/340302205.db2.gz UVWKHOUJFIQQJI-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1ccc(C)c(C(=O)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)c1 ZINC000510543447 340302298 /nfs/dbraw/zinc/30/22/98/340302298.db2.gz BGERMVWYLALPOG-FXAWDEMLSA-N 0 3 324.468 4.033 20 0 DIADHN CC1(C)CCCN(Cc2ccccc2OCc2ccccn2)C1 ZINC000510550288 340302554 /nfs/dbraw/zinc/30/25/54/340302554.db2.gz FQYCNKKXHRMSAU-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CC(C)COC1CCN(C/C=C\c2ccc(Cl)cc2)CC1 ZINC000510613956 340303894 /nfs/dbraw/zinc/30/38/94/340303894.db2.gz IERRVMMWHQVNBD-ARJAWSKDSA-N 0 3 307.865 4.490 20 0 DIADHN CCC(CC)(CCO)NCc1nc(-c2ccc(C)cc2)cs1 ZINC000510630916 340304389 /nfs/dbraw/zinc/30/43/89/340304389.db2.gz DNYFOTDZUQCPAO-UHFFFAOYSA-N 0 3 318.486 4.149 20 0 DIADHN COc1cccc(CN(C2CC2)[C@H]2CCc3ccccc32)c1OC ZINC000510642372 340304665 /nfs/dbraw/zinc/30/46/65/340304665.db2.gz BBPMMTVVJXXYLK-IBGZPJMESA-N 0 3 323.436 4.356 20 0 DIADHN c1[nH]nc2cc(CN(C3CC3)[C@H]3CCc4ccccc43)ccc12 ZINC000510638904 340304732 /nfs/dbraw/zinc/30/47/32/340304732.db2.gz AUSGFMUNTKZJRH-FQEVSTJZSA-N 0 3 303.409 4.215 20 0 DIADHN OCCCN(Cc1ccc(Cl)cc1)[C@H]1CCc2ccccc21 ZINC000510646858 340304899 /nfs/dbraw/zinc/30/48/99/340304899.db2.gz KGYMJFPFYDQYJP-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCO[C@@H](C)C2)c2cccc(F)c2)c1 ZINC000510648156 340305027 /nfs/dbraw/zinc/30/50/27/340305027.db2.gz FBEKDUOBLCPNNS-YSSFQJQWSA-N 0 3 313.416 4.381 20 0 DIADHN CCc1nc(CN[C@H](C)CCc2c(F)cccc2F)cs1 ZINC000510656151 340305365 /nfs/dbraw/zinc/30/53/65/340305365.db2.gz KVMMLUIUKNYZRS-LLVKDONJSA-N 0 3 310.413 4.095 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC[C@@H](c2ccnn2C)C1 ZINC000510673281 340306141 /nfs/dbraw/zinc/30/61/41/340306141.db2.gz AQAQQXOHUNTNIT-OAHLLOKOSA-N 0 3 317.864 4.070 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@H]2CC[C@@H]2C2CCC2)n1 ZINC000510694133 340306931 /nfs/dbraw/zinc/30/69/31/340306931.db2.gz GBEVIKCLTIJUMR-UXHICEINSA-N 0 3 323.484 4.184 20 0 DIADHN CC[C@@H](O)CCCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510711731 340307538 /nfs/dbraw/zinc/30/75/38/340307538.db2.gz DRYXFJBHDBVEMK-WOJBJXKFSA-N 0 3 315.432 4.364 20 0 DIADHN COC[C@H](N[C@H](C)CCc1c(F)cccc1F)c1ccc(C)o1 ZINC000510718165 340308001 /nfs/dbraw/zinc/30/80/01/340308001.db2.gz SMAQYUQBCDYARX-PXAZEXFGSA-N 0 3 323.383 4.165 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@H]2CC[C@@H]2C2CCC2)cc1OC ZINC000510718231 340308009 /nfs/dbraw/zinc/30/80/09/340308009.db2.gz HQACYIGBFQEIDO-GHJWDPDVSA-N 0 3 307.409 4.072 20 0 DIADHN CCOCCCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510722326 340308081 /nfs/dbraw/zinc/30/80/81/340308081.db2.gz PBTGEZMTRUSNLF-LJQANCHMSA-N 0 3 301.405 4.240 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CC[C@@H]2C2CCC2)c2ccccn2)c1 ZINC000510720788 340308164 /nfs/dbraw/zinc/30/81/64/340308164.db2.gz LVDNJECBXIMBJJ-GGPKGHCWSA-N 0 3 310.416 4.478 20 0 DIADHN Cc1nccn1CCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510729380 340308530 /nfs/dbraw/zinc/30/85/30/340308530.db2.gz DCDNFQQPGBSBKS-FQEVSTJZSA-N 0 3 323.415 4.018 20 0 DIADHN CCN(Cc1nc2cc(Br)ccc2o1)CC1CCC1 ZINC000510783367 340310981 /nfs/dbraw/zinc/31/09/81/340310981.db2.gz ZOZFSYGAVWSQMK-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN CN(Cc1nc2cc(Br)ccc2o1)C1(C)CCCC1 ZINC000510796509 340311378 /nfs/dbraw/zinc/31/13/78/340311378.db2.gz KEFUTZNXESIHIW-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NCC(=O)N2CCCC2)C(C)C)cc1 ZINC000510815871 340311834 /nfs/dbraw/zinc/31/18/34/340311834.db2.gz RVLXRWNHYAWAEF-JXFKEZNVSA-N 0 3 316.489 4.109 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](c1ccccc1)c1ccncc1 ZINC000510824636 340312105 /nfs/dbraw/zinc/31/21/05/340312105.db2.gz CYRFXEKJNJVICD-IERDGZPVSA-N 0 3 318.420 4.097 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@@H]1C1CCC1 ZINC000303217116 340313217 /nfs/dbraw/zinc/31/32/17/340313217.db2.gz UDFPQTROJBTRGP-OAHLLOKOSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cccc(CNCC(c2ccccc2)c2ccccc2)n1 ZINC000510887046 340314031 /nfs/dbraw/zinc/31/40/31/340314031.db2.gz KJVPJZQROPDKCN-UHFFFAOYSA-N 0 3 318.420 4.012 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN(CCCCC(F)(F)F)CC1 ZINC000510922120 340314858 /nfs/dbraw/zinc/31/48/58/340314858.db2.gz RSHDAJHKCDLYTI-UHFFFAOYSA-N 0 3 323.399 4.020 20 0 DIADHN C[C@H](CCc1ccc(C(F)(F)F)cc1)N[C@@H](C)c1ccon1 ZINC000510927688 340315151 /nfs/dbraw/zinc/31/51/51/340315151.db2.gz GXAUKWMWKPEXCV-NEPJUHHUSA-N 0 3 312.335 4.365 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)N[C@@H](CO)CC1CCCC1 ZINC000510927990 340315194 /nfs/dbraw/zinc/31/51/94/340315194.db2.gz KLZTXIQTZXNVAT-IUODEOHRSA-N 0 3 324.534 4.341 20 0 DIADHN CCC[C@@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000510947725 340315844 /nfs/dbraw/zinc/31/58/44/340315844.db2.gz NSOMAMWPWPSBRM-FXAWDEMLSA-N 0 3 324.468 4.337 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1c(F)cc(F)c(F)c1F ZINC000510961894 340315970 /nfs/dbraw/zinc/31/59/70/340315970.db2.gz NNYDXIWILQDALB-TVQRCGJNSA-N 0 3 307.306 4.251 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@H](CO)CC1CCCC1 ZINC000510949658 340315981 /nfs/dbraw/zinc/31/59/81/340315981.db2.gz LGBIFXMUIQGPKC-DOMZBBRYSA-N 0 3 310.507 4.167 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H]1C1CCC1)c1ccc(Br)cn1 ZINC000510953812 340316260 /nfs/dbraw/zinc/31/62/60/340316260.db2.gz WQKANMJJPANMEH-PSOPSSQASA-N 0 3 309.251 4.073 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCc2cc(C)ccc21 ZINC000510961430 340316274 /nfs/dbraw/zinc/31/62/74/340316274.db2.gz LRUPNHHKENYJAR-RTBURBONSA-N 0 3 311.400 4.407 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCCc2ccccc21 ZINC000510964180 340316420 /nfs/dbraw/zinc/31/64/20/340316420.db2.gz XAABLHYAGLMOQM-RTBURBONSA-N 0 3 311.400 4.489 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H](C)c1ccc(F)cc1 ZINC000510975283 340316776 /nfs/dbraw/zinc/31/67/76/340316776.db2.gz IYVCGYFPQZIZRL-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H](C)c1ccc(F)cc1 ZINC000510975289 340316803 /nfs/dbraw/zinc/31/68/03/340316803.db2.gz IYVCGYFPQZIZRL-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1cccc([C@H](NCCCOC(F)F)c2cccc(F)c2)c1 ZINC000510991262 340317339 /nfs/dbraw/zinc/31/73/39/340317339.db2.gz HOSBGEKIAQRJCM-KRWDZBQOSA-N 0 3 323.358 4.442 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N2c3ccccc3C[C@@H]2C)cc1 ZINC000511000971 340317842 /nfs/dbraw/zinc/31/78/42/340317842.db2.gz RHQOBAIUPPTTSG-YESZJQIVSA-N 0 3 322.452 4.012 20 0 DIADHN CCCCc1ccc([C@@H](C)N[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000511019398 340318474 /nfs/dbraw/zinc/31/84/74/340318474.db2.gz VTIGBKKJLOYKRD-DNVCBOLYSA-N 0 3 311.473 4.491 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CCOC2)c2cccc(F)c2)c1 ZINC000511018810 340318497 /nfs/dbraw/zinc/31/84/97/340318497.db2.gz UMLQXWUVVWTKSR-JXFKEZNVSA-N 0 3 313.416 4.240 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@H](C)c2ccncc2F)cc1 ZINC000511029584 340318835 /nfs/dbraw/zinc/31/88/35/340318835.db2.gz IZYVMYFDEYJTQL-HZSPNIEDSA-N 0 3 302.393 4.072 20 0 DIADHN OC[C@@H](NC1CC(c2ccccc2Cl)C1)c1cccc(F)c1 ZINC000511058582 340319595 /nfs/dbraw/zinc/31/95/95/340319595.db2.gz RUEPCXKZKYZZKV-LEHRNKBSSA-N 0 3 319.807 4.048 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCN(Cc3cccnc3)C2)c1 ZINC000511058587 340319679 /nfs/dbraw/zinc/31/96/79/340319679.db2.gz RVBQJSQYUZPMQT-MRXNPFEDSA-N 0 3 320.358 4.480 20 0 DIADHN CC(C)(C)OCCN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000511096216 340320584 /nfs/dbraw/zinc/32/05/84/340320584.db2.gz ZMSNDOVWARQKGS-QGZVFWFLSA-N 0 3 311.416 4.363 20 0 DIADHN COCc1ccc(CN2CCC[C@H]2Cc2ccccc2Cl)o1 ZINC000511096867 340320630 /nfs/dbraw/zinc/32/06/30/340320630.db2.gz RKQOBBJSSXGVAW-HNNXBMFYSA-N 0 3 319.832 4.287 20 0 DIADHN CC(C)n1cc(CN2CCC[C@@H]2Cc2ccccc2Cl)cn1 ZINC000511104774 340320954 /nfs/dbraw/zinc/32/09/54/340320954.db2.gz IMGDYXQZIPIGLT-QGZVFWFLSA-N 0 3 317.864 4.325 20 0 DIADHN FC(F)Oc1ccc(CN2CCC=C(c3ccco3)C2)cc1 ZINC000511105539 340320994 /nfs/dbraw/zinc/32/09/94/340320994.db2.gz JCAZMSLXORFEES-UHFFFAOYSA-N 0 3 305.324 4.170 20 0 DIADHN CSc1ccccc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000511188490 340323639 /nfs/dbraw/zinc/32/36/39/340323639.db2.gz UWSIBQWMLJOFSJ-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(Sc2ccccn2)o1 ZINC000511201469 340323838 /nfs/dbraw/zinc/32/38/38/340323838.db2.gz OMHITXOFBGODHE-CQSZACIVSA-N 0 3 320.458 4.121 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@H](CO)c3ccccc3)ccc2c1 ZINC000303378553 340323953 /nfs/dbraw/zinc/32/39/53/340323953.db2.gz PXUKXAOQMZUMOS-YCRPNKLZSA-N 0 3 321.420 4.233 20 0 DIADHN CC[C@@H](N[C@H](C)Cc1ccc(O)cc1)c1cc(F)ccc1F ZINC000511235930 340324176 /nfs/dbraw/zinc/32/41/76/340324176.db2.gz PZPHSPAULHYYPN-KZULUSFZSA-N 0 3 305.368 4.342 20 0 DIADHN CCCCCN(C(=O)N[C@H](C)C1=CCN(C)CC1)[C@@H](C)CCC ZINC000511291040 340324880 /nfs/dbraw/zinc/32/48/80/340324880.db2.gz UXUPLBWDUNYLSE-DLBZAZTESA-N 0 3 323.525 4.027 20 0 DIADHN CCN(Cc1ccc(C(=O)Nc2ccccc2C)cc1)C1CC1 ZINC000511335162 340325606 /nfs/dbraw/zinc/32/56/06/340325606.db2.gz SRKGCXBSWYSCAT-UHFFFAOYSA-N 0 3 308.425 4.232 20 0 DIADHN CCN(Cc1ccc(C(=O)Nc2ccc(C)cc2)cc1)C1CC1 ZINC000511364393 340326008 /nfs/dbraw/zinc/32/60/08/340326008.db2.gz OQCGNNQVPORYMO-UHFFFAOYSA-N 0 3 308.425 4.232 20 0 DIADHN c1nn([C@@H]2CCN(c3ccnc4ccccc43)C2)c2ccccc12 ZINC000511363928 340326071 /nfs/dbraw/zinc/32/60/71/340326071.db2.gz WGTFLKVBHMQECU-MRXNPFEDSA-N 0 3 314.392 4.036 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000303483788 340327573 /nfs/dbraw/zinc/32/75/73/340327573.db2.gz QLLPEAXTPXVALB-DGCLKSJQSA-N 0 3 305.397 4.223 20 0 DIADHN COC[C@H](NC1CC(c2ccccc2Cl)C1)c1ccc(C)o1 ZINC000511506051 340328970 /nfs/dbraw/zinc/32/89/70/340328970.db2.gz MRVHULYRCOPKQO-KVULBXGLSA-N 0 3 319.832 4.465 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000303541347 340332223 /nfs/dbraw/zinc/33/22/23/340332223.db2.gz UAQCHXAJTFFCBF-ABSDTBQOSA-N 0 3 316.489 4.105 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N1[C@H](C)CCC[C@H]1C ZINC000303541354 340332337 /nfs/dbraw/zinc/33/23/37/340332337.db2.gz UAQCHXAJTFFCBF-MBOZVWFJSA-N 0 3 316.489 4.105 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N[C@H](CN(C)C)c1ccccc1 ZINC000303601192 340336987 /nfs/dbraw/zinc/33/69/87/340336987.db2.gz XHNFPTIFMFGALB-VQIMIIECSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N[C@H](CN(C)C)c1ccccc1 ZINC000303601189 340337022 /nfs/dbraw/zinc/33/70/22/340337022.db2.gz XHNFPTIFMFGALB-QFBILLFUSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@H](CN(C)CCC(F)(F)F)c1cccc(Br)c1 ZINC000511753234 340337265 /nfs/dbraw/zinc/33/72/65/340337265.db2.gz FTJCBTSNTRHUGY-SNVBAGLBSA-N 0 3 324.184 4.437 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NC/C=C\c1ccc(F)c(F)c1 ZINC000511754412 340337320 /nfs/dbraw/zinc/33/73/20/340337320.db2.gz JLBLQIVGGPBJPK-JXCVUKRWSA-N 0 3 315.363 4.351 20 0 DIADHN CCc1ccc(CNC2CC(F)(c3cccc(Cl)c3)C2)cn1 ZINC000511774147 340337920 /nfs/dbraw/zinc/33/79/20/340337920.db2.gz QCHJBGGIJZFMNC-UHFFFAOYSA-N 0 3 318.823 4.414 20 0 DIADHN Cc1ccc(CN(Cc2cnc3ccc(C)cn23)C(C)C)s1 ZINC000511842319 340339916 /nfs/dbraw/zinc/33/99/16/340339916.db2.gz JRGRFNWQBODABD-UHFFFAOYSA-N 0 3 313.470 4.423 20 0 DIADHN CC(C)n1ccnc1CN1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 ZINC000511871978 340341176 /nfs/dbraw/zinc/34/11/76/340341176.db2.gz KNYIXORZYYKXNU-QRVBRYPASA-N 0 3 309.457 4.232 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@@H]32)cc1OC(F)F ZINC000511876239 340341459 /nfs/dbraw/zinc/34/14/59/340341459.db2.gz VIHBAWVOQPKWIZ-KGLIPLIRSA-N 0 3 311.372 4.061 20 0 DIADHN CCCCC1CCC(NC(=O)c2ccc(CN(C)C)cc2)CC1 ZINC000511914185 340342726 /nfs/dbraw/zinc/34/27/26/340342726.db2.gz KCJYJWXRMRDSPN-UHFFFAOYSA-N 0 3 316.489 4.227 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC/C=C/c1ccc(F)c(F)c1)CCO2 ZINC000511955610 340343760 /nfs/dbraw/zinc/34/37/60/340343760.db2.gz GNHOTTBMFBOFNM-WZHGYECESA-N 0 3 319.326 4.231 20 0 DIADHN COCC[C@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc(C)o1 ZINC000511975877 340344179 /nfs/dbraw/zinc/34/41/79/340344179.db2.gz XMOQYDQWXIMIEA-LIMHQNJXSA-N 0 3 321.367 4.247 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCOc3c(F)cccc32)cc1F ZINC000511973873 340344203 /nfs/dbraw/zinc/34/42/03/340344203.db2.gz RUBYZAVLKJFWLQ-KYVUIXNKSA-N 0 3 319.326 4.231 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCOc3c(F)cccc32)cc1F ZINC000511973875 340344267 /nfs/dbraw/zinc/34/42/67/340344267.db2.gz RUBYZAVLKJFWLQ-YATRRXNKSA-N 0 3 319.326 4.231 20 0 DIADHN COc1cc(CN2CC[C@@H](C)C2)ccc1OCc1cccs1 ZINC000511979202 340344330 /nfs/dbraw/zinc/34/43/30/340344330.db2.gz SOXPQGDDJFQXOA-CQSZACIVSA-N 0 3 317.454 4.178 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1c(C)cnn1C)c1ccc(Cl)cc1 ZINC000512005354 340345070 /nfs/dbraw/zinc/34/50/70/340345070.db2.gz GYLZXJXBWBXBOB-SJKOYZFVSA-N 0 3 305.853 4.259 20 0 DIADHN C[C@H](CCC1CCCCC1)NCc1ncc(CN(C)C)s1 ZINC000512013646 340345385 /nfs/dbraw/zinc/34/53/85/340345385.db2.gz DGDDICDKVZZQAY-CQSZACIVSA-N 0 3 309.523 4.043 20 0 DIADHN Fc1ccc(/C=C\CNCc2cnc3ccccc3c2)cc1F ZINC000512051774 340346841 /nfs/dbraw/zinc/34/68/41/340346841.db2.gz JZBFNZMRDDNOOT-ARJAWSKDSA-N 0 3 310.347 4.316 20 0 DIADHN FC(F)Oc1cccc(CNCc2ccc3occc3c2)c1 ZINC000512069743 340347659 /nfs/dbraw/zinc/34/76/59/340347659.db2.gz ZUHAQZCXVFDKRZ-UHFFFAOYSA-N 0 3 303.308 4.324 20 0 DIADHN COc1cccc([C@@H](C)NC/C=C/c2ccc(F)c(F)c2)c1 ZINC000512130780 340349371 /nfs/dbraw/zinc/34/93/71/340349371.db2.gz OZIQGLPSFODHKT-MUBLQREKSA-N 0 3 303.352 4.337 20 0 DIADHN C[C@@H]1CCCCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000512152620 340350155 /nfs/dbraw/zinc/35/01/55/340350155.db2.gz DZRFNKCLRWPLNF-GFCCVEGCSA-N 0 3 305.809 4.155 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CCC[C@@]3(CC=CCC3)C2)c1 ZINC000512190731 340351748 /nfs/dbraw/zinc/35/17/48/340351748.db2.gz AMJXRLLMXISHPM-XLIONFOSSA-N 0 3 312.457 4.144 20 0 DIADHN CNC(=O)c1cccc(CN2C[C@@H](C)CC[C@@H]2c2ccccc2)c1 ZINC000512199232 340352095 /nfs/dbraw/zinc/35/20/95/340352095.db2.gz VOPDQAJGIUFAIT-OXJNMPFZSA-N 0 3 322.452 4.019 20 0 DIADHN C[C@@H]1CC[C@@H](c2ccccc2)N(CCOCC(F)(F)F)C1 ZINC000512199530 340352143 /nfs/dbraw/zinc/35/21/43/340352143.db2.gz XMPUWMCHUGBSHD-HIFRSBDPSA-N 0 3 301.352 4.039 20 0 DIADHN Cc1ccc(CN(C)CCc2nc(-c3ccccc3)no2)cc1C ZINC000512216659 340352727 /nfs/dbraw/zinc/35/27/27/340352727.db2.gz CBCTYPNNOTYAFX-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN COc1ccc(CNCC[C@H]2CCCC[C@H]2C)c(OC)c1OC ZINC000512224084 340352987 /nfs/dbraw/zinc/35/29/87/340352987.db2.gz HDUCPSLAGXUZSX-HUUCEWRRSA-N 0 3 321.461 4.018 20 0 DIADHN Cc1ccc(C)c(CN(C)CCc2nc(-c3ccccc3)no2)c1 ZINC000512231513 340353260 /nfs/dbraw/zinc/35/32/60/340353260.db2.gz XWGIULLOXHALLQ-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN O[C@@]1(C(F)(F)F)CCCN(Cc2ccc3ccccc3c2)CC1 ZINC000512268865 340354743 /nfs/dbraw/zinc/35/47/43/340354743.db2.gz JVHZCJYMJWLVJX-KRWDZBQOSA-N 0 3 323.358 4.119 20 0 DIADHN CCCC[C@@H](CC)CNC(=O)c1cccc(CN2CCCC2)c1 ZINC000512286998 340355532 /nfs/dbraw/zinc/35/55/32/340355532.db2.gz FNVOGYBIIHAYSA-QGZVFWFLSA-N 0 3 316.489 4.229 20 0 DIADHN CN(Cc1nc2ccccc2s1)[C@@H]1CCc2ccccc2C1 ZINC000512318079 340356721 /nfs/dbraw/zinc/35/67/21/340356721.db2.gz JWBDTBBLWFVMIF-MRXNPFEDSA-N 0 3 308.450 4.286 20 0 DIADHN CN(Cc1ncc(-c2ccccc2)o1)[C@@H]1CCc2ccccc2C1 ZINC000512331330 340357530 /nfs/dbraw/zinc/35/75/30/340357530.db2.gz XQIMXSKFGAVZRO-LJQANCHMSA-N 0 3 318.420 4.331 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(Cl)s3)C2)cc1 ZINC000512338986 340357993 /nfs/dbraw/zinc/35/79/93/340357993.db2.gz PFGAXGWXMSNPCA-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3conc3Cc3ccccc3)C2)C1 ZINC000512355241 340358689 /nfs/dbraw/zinc/35/86/89/340358689.db2.gz UDJQPAWGAWZKKU-UZLBHIALSA-N 0 3 310.441 4.278 20 0 DIADHN Cc1ccsc1CN1CCC(N(C)c2ccccc2)CC1 ZINC000512389058 340359940 /nfs/dbraw/zinc/35/99/40/340359940.db2.gz DYNPPYDASBPMGL-UHFFFAOYSA-N 0 3 300.471 4.157 20 0 DIADHN CCC(CC)(CO)NCc1ccc(-c2ccccc2)cc1OC ZINC000512391224 340360329 /nfs/dbraw/zinc/36/03/29/340360329.db2.gz LKEGNOJHIWUKCF-UHFFFAOYSA-N 0 3 313.441 4.003 20 0 DIADHN CCC[C@](C)(CO)NCc1ccc(-c2ccccc2)cc1OC ZINC000512396434 340360488 /nfs/dbraw/zinc/36/04/88/340360488.db2.gz NRWRHXDNDCEXBS-HXUWFJFHSA-N 0 3 313.441 4.003 20 0 DIADHN CCOCCCCNCc1ccc(-c2ccccc2)cc1OC ZINC000512408798 340360873 /nfs/dbraw/zinc/36/08/73/340360873.db2.gz AXPMXXJVLZYHNZ-UHFFFAOYSA-N 0 3 313.441 4.269 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@@H]1CCCCO1 ZINC000512412705 340360979 /nfs/dbraw/zinc/36/09/79/340360979.db2.gz XCTVXGGXIRDQAA-IBGZPJMESA-N 0 3 311.425 4.021 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@H]1CCCCO1 ZINC000512412706 340360985 /nfs/dbraw/zinc/36/09/85/340360985.db2.gz XCTVXGGXIRDQAA-LJQANCHMSA-N 0 3 311.425 4.021 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)[C@@H](C)c1ccncc1 ZINC000512426520 340361564 /nfs/dbraw/zinc/36/15/64/340361564.db2.gz HOSBLJISXHZKBB-NOCRFKNCSA-N 0 3 310.441 4.324 20 0 DIADHN CCC[C@](C)(O)CNCc1ccc(-c2ccccc2)cc1OC ZINC000512440111 340361936 /nfs/dbraw/zinc/36/19/36/340361936.db2.gz AMUODCWXTOEAIX-FQEVSTJZSA-N 0 3 313.441 4.003 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc(OC(C)C)nc2)cc1 ZINC000173326930 340362682 /nfs/dbraw/zinc/36/26/82/340362682.db2.gz TYWVXRXRYDCCIO-CQSZACIVSA-N 0 3 316.470 4.442 20 0 DIADHN COCCCOc1ccc(CNCc2cc(C)cc(C)c2)cc1 ZINC000512575253 340367540 /nfs/dbraw/zinc/36/75/40/340367540.db2.gz WWTCBEAQZZHFFQ-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN CCOc1cccc(CN2CCC(OC3CCCCC3)CC2)c1 ZINC000512577197 340367710 /nfs/dbraw/zinc/36/77/10/340367710.db2.gz HMLNIOVZTMVRFU-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C2CCC2)c(OC(F)F)c1 ZINC000512605265 340368620 /nfs/dbraw/zinc/36/86/20/340368620.db2.gz YRSMCMZUSOMUKU-HNNXBMFYSA-N 0 3 311.372 4.061 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](Nc4ccccc4)C3)cc2c1 ZINC000512649021 340370873 /nfs/dbraw/zinc/37/08/73/340370873.db2.gz SOFCKJANBZTAGP-KRWDZBQOSA-N 0 3 322.408 4.128 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC[C@@H]3C3CCC3)s2)o1 ZINC000512652227 340371063 /nfs/dbraw/zinc/37/10/63/340371063.db2.gz KDQVQPJPPXZWDV-OAHLLOKOSA-N 0 3 302.443 4.476 20 0 DIADHN COCc1ccccc1/C=C(\C)CNC[C@H](C)C(F)(F)F ZINC000512668851 340372255 /nfs/dbraw/zinc/37/22/55/340372255.db2.gz OIHDYTIPYCUNEL-RPHSKFLZSA-N 0 3 301.352 4.024 20 0 DIADHN c1ccc2c(c1)cccc2C1=CCN(CC2=CCCOC2)CC1 ZINC000512677946 340372725 /nfs/dbraw/zinc/37/27/25/340372725.db2.gz NHPWMHXTJHNGDS-UHFFFAOYSA-N 0 3 305.421 4.276 20 0 DIADHN CN(Cc1ccccc1OCc1ccccn1)CC1CCCC1 ZINC000512683283 340372940 /nfs/dbraw/zinc/37/29/40/340372940.db2.gz POQSOMSHGAGWDQ-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)s2)ccc1SC ZINC000512692585 340373408 /nfs/dbraw/zinc/37/34/08/340373408.db2.gz FWTLUZYHGRIAGB-UHFFFAOYSA-N 0 3 307.484 4.419 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@@H]1CCO ZINC000512718220 340374208 /nfs/dbraw/zinc/37/42/08/340374208.db2.gz KKMMZXPRBAFCQV-GFCCVEGCSA-N 0 3 309.862 4.210 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@H]1CCO ZINC000512718221 340374248 /nfs/dbraw/zinc/37/42/48/340374248.db2.gz KKMMZXPRBAFCQV-LBPRGKRZSA-N 0 3 309.862 4.210 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N[C@H]2CCN(C)[C@@H](C)C2)CC1 ZINC000512781757 340377357 /nfs/dbraw/zinc/37/73/57/340377357.db2.gz XAJOXZQTYIFTFK-BFWZDYSYSA-N 0 3 322.537 4.218 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N[C@H]2CCN(C)[C@H](C)C2)CC1 ZINC000512781758 340377424 /nfs/dbraw/zinc/37/74/24/340377424.db2.gz XAJOXZQTYIFTFK-CPFNUKBASA-N 0 3 322.537 4.218 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N[C@@H]2CCN(C)[C@@H](C)C2)CC1 ZINC000512781759 340377448 /nfs/dbraw/zinc/37/74/48/340377448.db2.gz XAJOXZQTYIFTFK-FCCDEINASA-N 0 3 322.537 4.218 20 0 DIADHN FC(F)OC[C@@H]1CCN(Cc2ccc(-c3ccccc3)cc2)C1 ZINC000512812543 340378699 /nfs/dbraw/zinc/37/86/99/340378699.db2.gz VTKXRGYSVMSMLJ-MRXNPFEDSA-N 0 3 317.379 4.415 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CC[C@H](COC(F)F)C1 ZINC000512812030 340378763 /nfs/dbraw/zinc/37/87/63/340378763.db2.gz OOYOCFIROWWUBL-MNOVXSKESA-N 0 3 323.305 4.328 20 0 DIADHN Cc1nc(C(C)(C)NCCCOc2ccccc2)sc1C ZINC000173960403 340378799 /nfs/dbraw/zinc/37/87/99/340378799.db2.gz VWMAYOSSHDTUHO-UHFFFAOYSA-N 0 3 304.459 4.054 20 0 DIADHN CCOc1cccc(CNCCSCc2ccccc2F)c1 ZINC000512820678 340379354 /nfs/dbraw/zinc/37/93/54/340379354.db2.gz KGGPVBZLIBKKQS-UHFFFAOYSA-N 0 3 319.445 4.247 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCC[C@@H]1C ZINC000173983565 340379388 /nfs/dbraw/zinc/37/93/88/340379388.db2.gz OBLUOMQLPGJMBB-HOTGVXAUSA-N 0 3 308.425 4.165 20 0 DIADHN CCOC1CC2(C1)CCN([C@@H]1CCc3c1cccc3Cl)C2 ZINC000512826421 340379498 /nfs/dbraw/zinc/37/94/98/340379498.db2.gz DKLICWCTTXPEJY-LKGZKJKYSA-N 0 3 305.849 4.218 20 0 DIADHN Cc1ccc(Cc2ccccn2)cc1NC(=O)C(C)C(F)(F)F ZINC000512833685 340379894 /nfs/dbraw/zinc/37/98/94/340379894.db2.gz MHZYMHHBWSLJHD-GFCCVEGCSA-N 0 3 322.330 4.118 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc(F)ccc1F)c1ccsc1 ZINC000512842378 340380356 /nfs/dbraw/zinc/38/03/56/340380356.db2.gz YBOHTGMQBVQULM-KRWDZBQOSA-N 0 3 324.440 4.199 20 0 DIADHN CCN(CC)[C@H](CNCc1cc(F)ccc1F)c1ccsc1 ZINC000512842379 340380365 /nfs/dbraw/zinc/38/03/65/340380365.db2.gz YBOHTGMQBVQULM-QGZVFWFLSA-N 0 3 324.440 4.199 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CSC[C@@H]2C)o1 ZINC000512851713 340380803 /nfs/dbraw/zinc/38/08/03/340380803.db2.gz QCEWSVMOFNOEFF-LRDDRELGSA-N 0 3 305.418 4.235 20 0 DIADHN Cc1cc(CNCC2(C(F)(F)F)CC2)c(OC(F)F)cc1C ZINC000512868388 340381743 /nfs/dbraw/zinc/38/17/43/340381743.db2.gz BYFIGCVDGVONHL-UHFFFAOYSA-N 0 3 323.305 4.337 20 0 DIADHN CCC[C@]1(NCc2ccc(Oc3ccccc3)o2)CCOC1 ZINC000512868508 340381750 /nfs/dbraw/zinc/38/17/50/340381750.db2.gz DPKADYAPTBXDBO-SFHVURJKSA-N 0 3 301.386 4.121 20 0 DIADHN CCC[C@@]1(NCc2cccc(OC3CCCC3)c2)CCOC1 ZINC000512879922 340382274 /nfs/dbraw/zinc/38/22/74/340382274.db2.gz LUCMZVGLGQYAMU-LJQANCHMSA-N 0 3 303.446 4.057 20 0 DIADHN CCC[C@]1(NCc2cnc(-c3ccc(C)cc3)s2)CCOC1 ZINC000512884984 340382433 /nfs/dbraw/zinc/38/24/33/340382433.db2.gz INZSDLWESQYZSA-SFHVURJKSA-N 0 3 316.470 4.167 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2Cl)C1)c1ccncc1 ZINC000174147693 340382978 /nfs/dbraw/zinc/38/29/78/340382978.db2.gz RRAYOSIIBBCLAM-GOEBONIOSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2Cl)C1)c1ccncc1 ZINC000174147724 340383006 /nfs/dbraw/zinc/38/30/06/340383006.db2.gz RRAYOSIIBBCLAM-ZBFHGGJFSA-N 0 3 315.848 4.055 20 0 DIADHN FC(F)(F)C1(CNCc2ccccc2OC2CCCC2)CC1 ZINC000512899545 340383338 /nfs/dbraw/zinc/38/33/38/340383338.db2.gz RYXHYUSXQYAVNK-UHFFFAOYSA-N 0 3 313.363 4.440 20 0 DIADHN COc1cccc(C2(NCc3ccc(OCC4CC4)cc3)CC2)c1 ZINC000512904130 340383471 /nfs/dbraw/zinc/38/34/71/340383471.db2.gz NGEIGSPFVISSPK-UHFFFAOYSA-N 0 3 323.436 4.263 20 0 DIADHN Cc1cc(CCNCc2ccc(-c3cccc(F)c3C)o2)on1 ZINC000512971482 340386325 /nfs/dbraw/zinc/38/63/25/340386325.db2.gz GXHGBTOSMNEUJF-UHFFFAOYSA-N 0 3 314.360 4.023 20 0 DIADHN CCOc1ccc(CNCc2ccc(CSC)cc2)cc1 ZINC000512977768 340386591 /nfs/dbraw/zinc/38/65/91/340386591.db2.gz ROCJXWSUOBPPHR-UHFFFAOYSA-N 0 3 301.455 4.238 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCC2(C(F)(F)F)CCC2)cc1 ZINC000513001752 340387894 /nfs/dbraw/zinc/38/78/94/340387894.db2.gz MVFVQQQRAGFIKR-UHFFFAOYSA-N 0 3 323.362 4.207 20 0 DIADHN C[C@@H](NC/C=C\c1ccc(F)cc1F)c1ccc2n[nH]cc2c1 ZINC000513016817 340388606 /nfs/dbraw/zinc/38/86/06/340388606.db2.gz LVHHDXSOTDFZLX-ZZKXABKFSA-N 0 3 313.351 4.205 20 0 DIADHN CCn1cc(CNCc2ccc(-c3ccc(F)cc3)s2)cn1 ZINC000513017839 340388735 /nfs/dbraw/zinc/38/87/35/340388735.db2.gz UBDIKBYSGHSTJE-UHFFFAOYSA-N 0 3 315.417 4.060 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3n[nH]cc3c2)cc1Cl ZINC000513026778 340389331 /nfs/dbraw/zinc/38/93/31/340389331.db2.gz FSFMZEWLADIELQ-LLVKDONJSA-N 0 3 315.804 4.076 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)cc1Cl ZINC000513026779 340389394 /nfs/dbraw/zinc/38/93/94/340389394.db2.gz FSFMZEWLADIELQ-NSHDSACASA-N 0 3 315.804 4.076 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2Cc2ccc(Cl)s2)C1 ZINC000513038107 340390045 /nfs/dbraw/zinc/39/00/45/340390045.db2.gz PPLYRBTWJWGEGA-UNQGMJICSA-N 0 3 313.894 4.163 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@H](C)c1c(F)cncc1F ZINC000513053096 340390973 /nfs/dbraw/zinc/39/09/73/340390973.db2.gz XTKJNWICHILBTQ-GHMZBOCLSA-N 0 3 310.775 4.295 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1)c1c(F)cncc1F ZINC000513053101 340390980 /nfs/dbraw/zinc/39/09/80/340390980.db2.gz XTKJNWICHILBTQ-WDEREUQCSA-N 0 3 310.775 4.295 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3ncccc3c2)cc1C ZINC000513107149 340393615 /nfs/dbraw/zinc/39/36/15/340393615.db2.gz UKWPGGYGCQCZHE-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Cc1cc2cc(CNCc3cc(-c4ccccc4)n[nH]3)ccc2[nH]1 ZINC000513112192 340393816 /nfs/dbraw/zinc/39/38/16/340393816.db2.gz VBCSBCYOHYPSBU-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN COCCCCCN(Cc1cccc(C)c1)Cc1ccccn1 ZINC000174674466 340394349 /nfs/dbraw/zinc/39/43/49/340394349.db2.gz ZPLRYEAKXRVVGC-UHFFFAOYSA-N 0 3 312.457 4.209 20 0 DIADHN c1cnn([C@@H]2CCCN(Cc3cc(-c4ccccc4)cs3)C2)c1 ZINC000513150848 340395155 /nfs/dbraw/zinc/39/51/55/340395155.db2.gz SJXILZKSEVNGHU-GOSISDBHSA-N 0 3 323.465 4.449 20 0 DIADHN OCc1ccc(CN(Cc2ccccc2)Cc2ccccc2)cc1 ZINC000306580483 340396528 /nfs/dbraw/zinc/39/65/28/340396528.db2.gz YNLACRDTNLUFBZ-UHFFFAOYSA-N 0 3 317.432 4.381 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2c(C)cccc2C)CC1 ZINC000513209832 340397343 /nfs/dbraw/zinc/39/73/43/340397343.db2.gz LVZXWRJFXOVRCJ-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCC(C)(C)C)c2ccccc2)cc1 ZINC000513209728 340397357 /nfs/dbraw/zinc/39/73/57/340397357.db2.gz KOMMZUUZBHQJPM-SFHVURJKSA-N 0 3 310.441 4.311 20 0 DIADHN Fc1ccccc1CNCCOc1cccc(C(F)(F)F)c1 ZINC000513246311 340399215 /nfs/dbraw/zinc/39/92/15/340399215.db2.gz URJPYGINNLTQAZ-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN Fc1cccc(Cl)c1CNCCCOCCc1ccccc1 ZINC000513638820 340416457 /nfs/dbraw/zinc/41/64/57/340416457.db2.gz WDIPVBURLJEDBE-UHFFFAOYSA-N 0 3 321.823 4.218 20 0 DIADHN OC1CCC(N[C@H](c2ccccc2)c2cccc(Cl)c2)CC1 ZINC000513656740 340417510 /nfs/dbraw/zinc/41/75/10/340417510.db2.gz XATNVWJDQAAQES-CTWPCTMYSA-N 0 3 315.844 4.323 20 0 DIADHN COC(=O)c1csc([C@H](C)NCc2c(C)cc(C)cc2C)c1 ZINC000513655357 340417575 /nfs/dbraw/zinc/41/75/75/340417575.db2.gz MCPZBAZDDSJNDD-AWEZNQCLSA-N 0 3 317.454 4.311 20 0 DIADHN CO[C@@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)c1ccccc1 ZINC000513659628 340417695 /nfs/dbraw/zinc/41/76/95/340417695.db2.gz CQIJKNDMWABSOQ-ROUUACIJSA-N 0 3 313.445 4.008 20 0 DIADHN Cc1ccnc([C@@H](C)NCCOc2ccccc2C(F)(F)F)c1 ZINC000513679664 340418952 /nfs/dbraw/zinc/41/89/52/340418952.db2.gz YZSVIWSNHVBQAO-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN COc1ccc([C@H](Cc2ccncc2)NCc2ccccc2)cc1 ZINC000513755017 340423569 /nfs/dbraw/zinc/42/35/69/340423569.db2.gz PEUBIWSGOYERIH-NRFANRHFSA-N 0 3 318.420 4.164 20 0 DIADHN C[C@H](N[C@H]1CC12CCCC2)c1ccc(Br)s1 ZINC000309565349 340430193 /nfs/dbraw/zinc/43/01/93/340430193.db2.gz MBAXESFZADVBTH-ONGXEEELSA-N 0 3 300.265 4.494 20 0 DIADHN C[C@H](C(=O)Nc1cccc(CN(C)C)c1)c1cc2ccccc2o1 ZINC000176050464 340424789 /nfs/dbraw/zinc/42/47/89/340424789.db2.gz DAYKCSMCPSVZDB-AWEZNQCLSA-N 0 3 322.408 4.237 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCSC(C)C)CC2)cc1 ZINC000513887709 340431155 /nfs/dbraw/zinc/43/11/55/340431155.db2.gz KQQAHYWJMPZQNV-UHFFFAOYSA-N 0 3 305.487 4.031 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1c(F)cccc1Cl ZINC000177300481 340439030 /nfs/dbraw/zinc/43/90/30/340439030.db2.gz KICPCDTVBDEFQR-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccccc1Cl ZINC000177341976 340439425 /nfs/dbraw/zinc/43/94/25/340439425.db2.gz JLDINHKKTOFOBO-ZDUSSCGKSA-N 0 3 320.839 4.392 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H](C)COc2cccc(C)c2)s1 ZINC000178467964 340449820 /nfs/dbraw/zinc/44/98/20/340449820.db2.gz AWBHOSOVEVOQGP-QWHCGFSZSA-N 0 3 304.459 4.186 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@H](C)c1ccc2ccccc2n1 ZINC000178663710 340451435 /nfs/dbraw/zinc/45/14/35/340451435.db2.gz RJAZVTCCBALDHB-BEFAXECRSA-N 0 3 300.446 4.073 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000517442288 340469813 /nfs/dbraw/zinc/46/98/13/340469813.db2.gz PSANXBXQEOGJKB-HOJAQTOUSA-N 0 3 311.400 4.323 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCCc1nc2c(cccc2C)o1 ZINC000517566014 340472514 /nfs/dbraw/zinc/47/25/14/340472514.db2.gz LQYCSVYLQIMPAB-HNNXBMFYSA-N 0 3 324.424 4.347 20 0 DIADHN CC(C)Oc1cccc(CNCCO[C@H]2CCCC[C@@H]2C)c1 ZINC000520949448 340477350 /nfs/dbraw/zinc/47/73/50/340477350.db2.gz CKXWHUHDZAYORW-LPHOPBHVSA-N 0 3 305.462 4.159 20 0 DIADHN CCOc1ccc(-c2nc(CN(C(C)C)C(C)C)co2)cc1 ZINC000183016448 340486146 /nfs/dbraw/zinc/48/61/46/340486146.db2.gz OZRKYXHBYQRTHU-UHFFFAOYSA-N 0 3 302.418 4.359 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](C)c2ccc(NC(=O)C3CC3)cc2)c1 ZINC000184266253 340491673 /nfs/dbraw/zinc/49/16/73/340491673.db2.gz APBQLAOCZQGHGR-HUUCEWRRSA-N 0 3 323.440 4.150 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cncc(C)c1)c1ccsc1 ZINC000184277297 340491684 /nfs/dbraw/zinc/49/16/84/340491684.db2.gz LCCNCFGLVFESBQ-QAPCUYQASA-N 0 3 317.502 4.185 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@@H](C)Oc2ccc(Cl)cc2)c1 ZINC000184373794 340492383 /nfs/dbraw/zinc/49/23/83/340492383.db2.gz FEFFUXXGRKIHPD-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CCC[C@@H]2C)cc1O ZINC000185087192 340498060 /nfs/dbraw/zinc/49/80/60/340498060.db2.gz ZLMMVGPNHBREFK-VBKZILBWSA-N 0 3 311.425 4.204 20 0 DIADHN CCSc1cccc(CNCc2cc(F)cc(OC)c2)c1 ZINC000185616730 340503589 /nfs/dbraw/zinc/50/35/89/340503589.db2.gz OHVDPZDEQBVHIV-UHFFFAOYSA-N 0 3 305.418 4.236 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccc2ccccc2c1 ZINC000219858695 340510538 /nfs/dbraw/zinc/51/05/38/340510538.db2.gz NACHNSCFJDISFE-KXBFYZLASA-N 0 3 308.425 4.386 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccc2ccccc2c1 ZINC000219858742 340510570 /nfs/dbraw/zinc/51/05/70/340510570.db2.gz NACHNSCFJDISFE-DNVCBOLYSA-N 0 3 308.425 4.386 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccco2)N2CCCC2)c(C)s1 ZINC000219862966 340510684 /nfs/dbraw/zinc/51/06/84/340510684.db2.gz GVQBAPPMDFNYAM-YOEHRIQHSA-N 0 3 318.486 4.446 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2ccco2)N2CCCC2)cc1C ZINC000219862555 340510801 /nfs/dbraw/zinc/51/08/01/340510801.db2.gz IJKLEUWMUIMUGF-HKUYNNGSSA-N 0 3 312.457 4.384 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000189110338 340527173 /nfs/dbraw/zinc/52/71/73/340527173.db2.gz HXUPYZWGSFAAEP-RYUDHWBXSA-N 0 3 316.426 4.069 20 0 DIADHN CCC[C@@H](NCc1cccc(CO)c1)c1ccc(Cl)cc1 ZINC000223251364 340544422 /nfs/dbraw/zinc/54/44/22/340544422.db2.gz KFHWIGIMDWJMDM-GOSISDBHSA-N 0 3 303.833 4.463 20 0 DIADHN Cc1cnc([C@@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)s1 ZINC000191018638 340549152 /nfs/dbraw/zinc/54/91/52/340549152.db2.gz RWVAEQPOVMYHMF-HOTGVXAUSA-N 0 3 320.502 4.374 20 0 DIADHN CC(C)c1noc(CCCN[C@@H](C)c2csc(Cl)c2)n1 ZINC000191257154 340551849 /nfs/dbraw/zinc/55/18/49/340551849.db2.gz VEGWXKQVYAGPKJ-JTQLQIEISA-N 0 3 313.854 4.191 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)NC3CCCCCC3)n2)c1 ZINC000191562357 340555642 /nfs/dbraw/zinc/55/56/42/340555642.db2.gz XISLGFKRHDBUPL-CYBMUJFWSA-N 0 3 315.417 4.119 20 0 DIADHN CO[C@@H]1C[C@H](N[C@@H](C)c2sc(C(C)(C)C)nc2C)C1(C)C ZINC000224530411 340556352 /nfs/dbraw/zinc/55/63/52/340556352.db2.gz WWOLPUKGANZJQS-WCFLWFBJSA-N 0 3 310.507 4.213 20 0 DIADHN CC(C)Oc1cccc(CN[C@H]2CCCc3c(O)cccc32)c1 ZINC000191663983 340557051 /nfs/dbraw/zinc/55/70/51/340557051.db2.gz FFNFWRNASOFELR-IBGZPJMESA-N 0 3 311.425 4.347 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NC/C(C)=C/c2ccccc2)c1 ZINC000192663911 340568410 /nfs/dbraw/zinc/56/84/10/340568410.db2.gz KDNLIDYPKKUUDS-STTHAQSSSA-N 0 3 308.425 4.399 20 0 DIADHN CC(C)c1nc(CCNCc2sccc2Cl)cs1 ZINC000193076834 340572135 /nfs/dbraw/zinc/57/21/35/340572135.db2.gz UGBAJLSMDKCONV-UHFFFAOYSA-N 0 3 300.880 4.314 20 0 DIADHN Fc1ccc(F)c(CCNCc2cnc(-c3ccco3)s2)c1 ZINC000193136436 340572915 /nfs/dbraw/zinc/57/29/15/340572915.db2.gz BYFUKHDVPOPHAR-UHFFFAOYSA-N 0 3 320.364 4.014 20 0 DIADHN CC(C)CSCCCNCc1cnc(-c2ccco2)s1 ZINC000193184606 340573595 /nfs/dbraw/zinc/57/35/95/340573595.db2.gz NAPVXWPPJQEGIN-UHFFFAOYSA-N 0 3 310.488 4.272 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCc2ccnc(N)c2)cc1 ZINC000193500685 340578670 /nfs/dbraw/zinc/57/86/70/340578670.db2.gz ASWZKHIPEZAJJQ-CYBMUJFWSA-N 0 3 301.459 4.015 20 0 DIADHN C[C@@H](NCCCOC(F)F)c1nc(-c2ccccc2)cs1 ZINC000194024397 340588131 /nfs/dbraw/zinc/58/81/31/340588131.db2.gz HQGANNWJDSBLLL-LLVKDONJSA-N 0 3 312.385 4.090 20 0 DIADHN COc1cccc(Cl)c1CNCCc1ccc(Cl)s1 ZINC000227600003 340601111 /nfs/dbraw/zinc/60/11/11/340601111.db2.gz FIJZYESBHCAPMS-UHFFFAOYSA-N 0 3 316.253 4.396 20 0 DIADHN Fc1ccc(-c2nc(CN3CCc4ccccc4CC3)co2)cc1 ZINC000522555251 340604280 /nfs/dbraw/zinc/60/42/80/340604280.db2.gz SCDREVVXSDGHGY-UHFFFAOYSA-N 0 3 322.383 4.082 20 0 DIADHN C[C@@H](O)CCCN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000228027700 340606007 /nfs/dbraw/zinc/60/60/07/340606007.db2.gz FGLZGNYOKORGCF-RKDXNWHRSA-N 0 3 310.652 4.458 20 0 DIADHN OCC[C@@H]1CCC[C@@H]1N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000250126456 340612246 /nfs/dbraw/zinc/61/22/46/340612246.db2.gz WHQPPLKMBGUGLS-YSSFQJQWSA-N 0 3 313.416 4.056 20 0 DIADHN FC(F)(F)C[C@H](NCC[C@H]1CCCCO1)c1ccccc1 ZINC000230615636 340620331 /nfs/dbraw/zinc/62/03/31/340620331.db2.gz BDTRPLKRBHGVMR-CABCVRRESA-N 0 3 301.352 4.229 20 0 DIADHN C[C@H](CNCc1c(Cl)cccc1Cl)c1nccs1 ZINC000230692927 340620691 /nfs/dbraw/zinc/62/06/91/340620691.db2.gz NTMYUSRSLUNUFY-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](CNCc1cc(Cl)cc(Cl)c1)c1nccs1 ZINC000230692423 340620751 /nfs/dbraw/zinc/62/07/51/340620751.db2.gz NHQOBNNZKUQHJV-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cccc2c1OC(C)(C)C2 ZINC000523391671 340623034 /nfs/dbraw/zinc/62/30/34/340623034.db2.gz DFHTZTOHLMHWGD-IBGZPJMESA-N 0 3 307.437 4.486 20 0 DIADHN CC[C@@H](NCCOCC(F)F)c1ccc(Cl)cc1Cl ZINC000231688922 340625145 /nfs/dbraw/zinc/62/51/45/340625145.db2.gz VYVNVRBPGKXFFI-GFCCVEGCSA-N 0 3 312.187 4.316 20 0 DIADHN CC[C@H](N[C@H](C)CC(F)(F)F)c1ccc(OC)c(OC)c1 ZINC000231791454 340626098 /nfs/dbraw/zinc/62/60/98/340626098.db2.gz LVDLKZCRIQGXOQ-PWSUYJOCSA-N 0 3 305.340 4.085 20 0 DIADHN CC[C@H](CC(=O)NC[C@H](c1ccsc1)N(CC)CC)C(C)C ZINC000303193710 340633644 /nfs/dbraw/zinc/63/36/44/340633644.db2.gz FZXVVLSGLSXHEY-NVXWUHKLSA-N 0 3 324.534 4.320 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCOC2CCCC2)o1 ZINC000523738111 340636836 /nfs/dbraw/zinc/63/68/36/340636836.db2.gz AWAFAAMDBRPPHJ-UHFFFAOYSA-N 0 3 317.404 4.443 20 0 DIADHN C[C@H](NC(C)(C)CNCc1ccc(F)cc1F)c1ccccc1 ZINC000303524944 340637314 /nfs/dbraw/zinc/63/73/14/340637314.db2.gz FVMRTXKXGYWHIM-AWEZNQCLSA-N 0 3 318.411 4.184 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1ccc(CN(C)C)cc1 ZINC000524112746 340647777 /nfs/dbraw/zinc/64/77/77/340647777.db2.gz JCHMVBRWNYMXFK-MJGOQNOKSA-N 0 3 316.489 4.083 20 0 DIADHN CCOC(C)(C)CNCc1ccc(Br)c(Cl)c1 ZINC000233730539 340648682 /nfs/dbraw/zinc/64/86/82/340648682.db2.gz IIVTUDPGGILNFM-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CC(C)(CNCc1ccccc1OC(F)F)Oc1ccccc1 ZINC000524460218 340654770 /nfs/dbraw/zinc/65/47/70/340654770.db2.gz CRBSFOMVGGJAQC-UHFFFAOYSA-N 0 3 321.367 4.235 20 0 DIADHN C[C@H]1CCC[C@@H](CCNC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000524550931 340657283 /nfs/dbraw/zinc/65/72/83/340657283.db2.gz NUFDEWWKNHLSJN-HOTGVXAUSA-N 0 3 317.477 4.086 20 0 DIADHN CC(C)(C)OC(=O)C1CCN([C@@H]2CCCc3ccccc32)CC1 ZINC000524596366 340658511 /nfs/dbraw/zinc/65/85/11/340658511.db2.gz AVTGMRXQDCSIHD-GOSISDBHSA-N 0 3 315.457 4.118 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccc(NC(=O)C(C)C)cc1 ZINC000236817518 340665347 /nfs/dbraw/zinc/66/53/47/340665347.db2.gz YWYQWQVPQMUALY-GHRIWEEISA-N 0 3 322.452 4.474 20 0 DIADHN CCc1ccc([C@@H](C)CC(=O)Nc2ccccc2CNC)cc1 ZINC000236954300 340666599 /nfs/dbraw/zinc/66/65/99/340666599.db2.gz GFVUBKUPEPQJKR-HNNXBMFYSA-N 0 3 310.441 4.101 20 0 DIADHN CCc1ccccc1CNCc1c(C)nn(-c2ccccc2)c1C ZINC000237006958 340667206 /nfs/dbraw/zinc/66/72/06/340667206.db2.gz AEBDLTIOLONBHO-UHFFFAOYSA-N 0 3 319.452 4.341 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)CCc1ccc(O)cc1 ZINC000237102091 340668091 /nfs/dbraw/zinc/66/80/91/340668091.db2.gz IOGVCSIRMVXUPY-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN CNCc1ccccc1NC(=O)CCc1ccc(C(C)C)cc1 ZINC000237121345 340668117 /nfs/dbraw/zinc/66/81/17/340668117.db2.gz OJWVOQBMVAKQRX-UHFFFAOYSA-N 0 3 310.441 4.101 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000237168814 340668556 /nfs/dbraw/zinc/66/85/56/340668556.db2.gz FGGICARBGYQFGY-CABCVRRESA-N 0 3 317.404 4.090 20 0 DIADHN CNCc1cccc(NC(=O)CCCCCc2cccs2)c1 ZINC000237205387 340668938 /nfs/dbraw/zinc/66/89/38/340668938.db2.gz ABARPQOCWFWLGG-UHFFFAOYSA-N 0 3 316.470 4.209 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(O)cc1)c1ccc(F)cc1F ZINC000237314408 340669780 /nfs/dbraw/zinc/66/97/80/340669780.db2.gz AYSFZJQBDKFPTI-QWHCGFSZSA-N 0 3 305.368 4.342 20 0 DIADHN C[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(Cl)cc1 ZINC000237385071 340670562 /nfs/dbraw/zinc/67/05/62/340670562.db2.gz JWGKHBBXPNIENT-GFCCVEGCSA-N 0 3 312.800 4.445 20 0 DIADHN C[C@H](NCc1ccc(-n2ccnc2)cc1)c1cccc(Cl)c1 ZINC000237585217 340672462 /nfs/dbraw/zinc/67/24/62/340672462.db2.gz UCVCTNYGBHWAKF-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN Cc1ccc(CNC[C@H](c2cccc(Cl)c2)N2CCCC2)o1 ZINC000237771796 340673765 /nfs/dbraw/zinc/67/37/65/340673765.db2.gz XAXSFYVQBXAEBQ-GOSISDBHSA-N 0 3 318.848 4.168 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cc(F)ccc2F)C12CCCC2 ZINC000316060442 340678087 /nfs/dbraw/zinc/67/80/87/340678087.db2.gz JSEDSGZCWSQMCJ-OAUYIBNBSA-N 0 3 309.400 4.353 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@@H](C)C[C@H](C)O)o2)cc1 ZINC000524773343 340679903 /nfs/dbraw/zinc/67/99/03/340679903.db2.gz IXEBWEXSRSBSTH-GJZGRUSLSA-N 0 3 301.430 4.006 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(Cl)cc2)o1)C(C)C ZINC000524773286 340680026 /nfs/dbraw/zinc/68/00/26/340680026.db2.gz MZBAKKMUCRHNKR-KRWDZBQOSA-N 0 3 307.821 4.361 20 0 DIADHN CC1CCC(O)(CN[C@H](CC(F)(F)F)c2ccccc2)CC1 ZINC000316360275 340681077 /nfs/dbraw/zinc/68/10/77/340681077.db2.gz RQHWFLCKLZPLFS-OGVSOVDVSA-N 0 3 315.379 4.211 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC000525247128 340696748 /nfs/dbraw/zinc/69/67/48/340696748.db2.gz NDMARCDXXKBRRP-XJKSGUPXSA-N 0 3 319.420 4.281 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC000525247127 340696820 /nfs/dbraw/zinc/69/68/20/340696820.db2.gz NDMARCDXXKBRRP-CZUORRHYSA-N 0 3 319.420 4.281 20 0 DIADHN CCSCc1cccc(NC(=O)[C@H](C)N2CCCCCC2)c1 ZINC000525253914 340697060 /nfs/dbraw/zinc/69/70/60/340697060.db2.gz RISMMNWNRAACML-HNNXBMFYSA-N 0 3 320.502 4.143 20 0 DIADHN C[C@@H](NCCCC(C)(C)CO)c1ccc(Cl)cc1Cl ZINC000393408776 340703864 /nfs/dbraw/zinc/70/38/64/340703864.db2.gz XZYCRGDXDRDEMJ-LLVKDONJSA-N 0 3 304.261 4.443 20 0 DIADHN FC(F)(F)c1ccccc1C1CCN(CC2(F)CC2)CC1 ZINC000525979027 340705723 /nfs/dbraw/zinc/70/57/23/340705723.db2.gz USQZAGOLMCBWAG-UHFFFAOYSA-N 0 3 301.327 4.387 20 0 DIADHN CCC(CC)C(=O)NC[C@H](c1ccccc1)N1CCC(C)CC1 ZINC000040615882 340709454 /nfs/dbraw/zinc/70/94/54/340709454.db2.gz MPWIWNLPOOYSHE-LJQANCHMSA-N 0 3 316.489 4.012 20 0 DIADHN COc1ccc([C@H](N[C@H]2CS[C@@H](C)C2)c2ccccc2)cc1 ZINC000397935867 340714893 /nfs/dbraw/zinc/71/48/93/340714893.db2.gz NWAQFPSSDUABRV-POZUXBRTSA-N 0 3 313.466 4.268 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC(CCOC)CC1 ZINC000528583105 340724860 /nfs/dbraw/zinc/72/48/60/340724860.db2.gz OYKATRQWDNUIOC-UHFFFAOYSA-N 0 3 304.478 4.016 20 0 DIADHN C[C@@H](N[C@H]1CCN(Cc2ccccc2)C1)c1ccc(Cl)s1 ZINC000036992197 340729393 /nfs/dbraw/zinc/72/93/93/340729393.db2.gz PBJOUXDRZWAOSW-HIFRSBDPSA-N 0 3 320.889 4.327 20 0 DIADHN CC[C@H](NC(=O)Nc1ccc2c(c1)CNC2)c1cc(C)ccc1C ZINC000528698112 340729873 /nfs/dbraw/zinc/72/98/73/340729873.db2.gz SDWGQZIYVBSADO-IBGZPJMESA-N 0 3 323.440 4.179 20 0 DIADHN Cc1cc(NC(=O)C2(C)CCC(F)(F)CC2)ccc1CN(C)C ZINC000529480248 340757311 /nfs/dbraw/zinc/75/73/11/340757311.db2.gz BACVZDZMRIAOEM-UHFFFAOYSA-N 0 3 324.415 4.211 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)C2CC(F)(F)C2)c2ccco2)cc1 ZINC000529594948 340764531 /nfs/dbraw/zinc/76/45/31/340764531.db2.gz BBUITFAEFLXIJN-YVEFUNNKSA-N 0 3 321.367 4.401 20 0 DIADHN COc1ccc(CN[C@H](c2cccs2)C2CCCC2)cn1 ZINC000037236136 340778860 /nfs/dbraw/zinc/77/88/60/340778860.db2.gz DDQSZIVJSVFFKV-KRWDZBQOSA-N 0 3 302.443 4.173 20 0 DIADHN Cc1c(Cl)cccc1NC1CCN(c2ccncc2)CC1 ZINC000037374123 340785168 /nfs/dbraw/zinc/78/51/68/340785168.db2.gz IIKACHRBTVWYAK-UHFFFAOYSA-N 0 3 301.821 4.124 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](C)c2ccc3c(c2)CCC3)cc1 ZINC000537938269 340791870 /nfs/dbraw/zinc/79/18/70/340791870.db2.gz UBSKQKXAXOBANP-BTYIYWSLSA-N 0 3 309.453 4.122 20 0 DIADHN C[C@@H](NCCc1cccc(-c2ccccc2)c1)c1cnccn1 ZINC000123594794 340792474 /nfs/dbraw/zinc/79/24/74/340792474.db2.gz YJQYWLCDRIBFMP-MRXNPFEDSA-N 0 3 303.409 4.037 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CN(C)Cc3ccccc31)CCC2 ZINC000537947292 340792658 /nfs/dbraw/zinc/79/26/58/340792658.db2.gz PTBHZDVOOHFCQS-LEWJYISDSA-N 0 3 306.453 4.149 20 0 DIADHN COc1cc(C)c([C@@H](C)NCCSCC(C)C)cc1OC ZINC000537954135 340792960 /nfs/dbraw/zinc/79/29/60/340792960.db2.gz VOWXIVUCMBEVLA-CQSZACIVSA-N 0 3 311.491 4.052 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCCOCC(F)(F)F ZINC000537974127 340794867 /nfs/dbraw/zinc/79/48/67/340794867.db2.gz OOVJJQVYEYLFRS-LLVKDONJSA-N 0 3 307.381 4.028 20 0 DIADHN COCCCOc1cc(C)ccc1CN[C@@H](C)c1ccccc1 ZINC000124962623 340801743 /nfs/dbraw/zinc/80/17/43/340801743.db2.gz GYRRRLAQBKRWFE-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN Fc1ccc(Cl)cc1CNCCc1c[nH]c2ccccc12 ZINC000125078889 340802182 /nfs/dbraw/zinc/80/21/82/340802182.db2.gz IDRFAXQZDYZXQC-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN FC(F)(F)CCN[C@@H]1CCCc2ccc(Br)cc21 ZINC000537986588 340805601 /nfs/dbraw/zinc/80/56/01/340805601.db2.gz JPWSQNDITHDYNY-GFCCVEGCSA-N 0 3 322.168 4.369 20 0 DIADHN COc1cccc([C@H](C)NC[C@@H](OC)c2cccc(Cl)c2)c1 ZINC000537987791 340805823 /nfs/dbraw/zinc/80/58/23/340805823.db2.gz SLWMVMOFEXDQKS-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H](c2ccc(F)cc2)C2CC2)C1 ZINC000538113513 340809687 /nfs/dbraw/zinc/80/96/87/340809687.db2.gz UTUWXFVUFHGNLY-WOJBJXKFSA-N 0 3 310.416 4.053 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H](c2ccc(F)cc2)C2CC2)C1 ZINC000538113511 340809729 /nfs/dbraw/zinc/80/97/29/340809729.db2.gz UTUWXFVUFHGNLY-VQTJNVASSA-N 0 3 310.416 4.053 20 0 DIADHN CC(C)(C)C[C@H](NCCOC[C@@H]1CCCO1)c1ccc(F)cc1 ZINC000538153463 340811536 /nfs/dbraw/zinc/81/15/36/340811536.db2.gz WCDKKWOBRWNBHL-ROUUACIJSA-N 0 3 323.452 4.088 20 0 DIADHN CCCOc1ccc([C@H](C)NCCC(F)(F)F)cc1OC ZINC000538151490 340811654 /nfs/dbraw/zinc/81/16/54/340811654.db2.gz MHVXLEWMJJODOR-NSHDSACASA-N 0 3 305.340 4.087 20 0 DIADHN CCC(CC)[C@@H](NCc1cccc(OC)n1)c1cccs1 ZINC000538177869 340812018 /nfs/dbraw/zinc/81/20/18/340812018.db2.gz IDQKLHCCOIUMHZ-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN COc1ncc(CNC(C)(C)c2cccc(C)c2)cc1Cl ZINC000538215454 340813769 /nfs/dbraw/zinc/81/37/69/340813769.db2.gz DSYMXEGUBCEFMK-UHFFFAOYSA-N 0 3 304.821 4.077 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000538225585 340814105 /nfs/dbraw/zinc/81/41/05/340814105.db2.gz HGRGCJJEHIWQHE-QGZVFWFLSA-N 0 3 308.372 4.099 20 0 DIADHN COc1c(C)cnc(CN[C@@H](c2cccs2)C2CC2)c1C ZINC000538268677 340815722 /nfs/dbraw/zinc/81/57/22/340815722.db2.gz KTIHYHUEOFUMPT-MRXNPFEDSA-N 0 3 302.443 4.009 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2C[C@@H](C)N(C3CC3)C2)C2CCCC2)o1 ZINC000538299682 340816866 /nfs/dbraw/zinc/81/68/66/340816866.db2.gz MRSQQMLGVBEPJO-VVFCZOMOSA-N 0 3 302.462 4.034 20 0 DIADHN Cn1c2ccccc2nc1[C@H](NCC1CCC1)c1ccccc1 ZINC000125955093 340817683 /nfs/dbraw/zinc/81/76/83/340817683.db2.gz KQJMHUPWZQQRIY-LJQANCHMSA-N 0 3 305.425 4.052 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCC1([C@@H](O)C(C)C)CC1 ZINC000538409585 340821865 /nfs/dbraw/zinc/82/18/65/340821865.db2.gz RFCRRRYGSDKOPS-KSSFIOAISA-N 0 3 301.430 4.189 20 0 DIADHN Cc1cccc2c(CCNCc3cc(F)c(F)cc3F)c[nH]c21 ZINC000538415852 340822176 /nfs/dbraw/zinc/82/21/76/340822176.db2.gz LLVOAGRMEGRLCG-UHFFFAOYSA-N 0 3 318.342 4.226 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(Cl)cc2)C1)c1ccsc1 ZINC000538459884 340824759 /nfs/dbraw/zinc/82/47/59/340824759.db2.gz ODHHBWWXZUNCSS-SWLSCSKDSA-N 0 3 306.862 4.331 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2cccc(F)c2F)c1 ZINC000538463061 340824863 /nfs/dbraw/zinc/82/48/63/340824863.db2.gz CLGRJXWKBHKUGO-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN CC(C)CC[C@H](C)N[C@H](C)c1ncc(Br)s1 ZINC000126371454 340826467 /nfs/dbraw/zinc/82/64/67/340826467.db2.gz LRVDDBFMCQFSIS-VHSXEESVSA-N 0 3 305.285 4.381 20 0 DIADHN CC(C)[C@@H]1C[C@H](NC2(c3ccc(F)cc3F)CCC2)CCO1 ZINC000538535800 340832075 /nfs/dbraw/zinc/83/20/75/340832075.db2.gz NTAGSKLJDWXAQW-PBHICJAKSA-N 0 3 309.400 4.137 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCO[C@H](CC(C)C)C2)c(F)c1 ZINC000538578620 340834032 /nfs/dbraw/zinc/83/40/32/340834032.db2.gz KDNHSFXXNVXEJV-OFQRWUPVSA-N 0 3 309.425 4.079 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)c1ccccc1Cl ZINC000538591235 340834527 /nfs/dbraw/zinc/83/45/27/340834527.db2.gz KAGOJRYZXGEEFM-GUDXXQAFSA-N 0 3 305.849 4.338 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000065933770 340838429 /nfs/dbraw/zinc/83/84/29/340838429.db2.gz ZENNDDMYDBJISQ-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN COc1c(C)cnc(CN[C@@]2(C)CCCc3ccccc32)c1C ZINC000538708074 340838999 /nfs/dbraw/zinc/83/89/99/340838999.db2.gz MEEIACDQFHRPQW-FQEVSTJZSA-N 0 3 310.441 4.048 20 0 DIADHN C[C@@H]1C[C@H](NCc2cc(Cl)ccc2OC2CCCC2)CCO1 ZINC000538777002 340850616 /nfs/dbraw/zinc/85/06/16/340850616.db2.gz QZGMTBHNPRAUFH-CZUORRHYSA-N 0 3 323.864 4.319 20 0 DIADHN CC[C@@H](O)CCN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000128141205 340861163 /nfs/dbraw/zinc/86/11/63/340861163.db2.gz UQVHOOCAARVABF-TZMCWYRMSA-N 0 3 323.383 4.253 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000539042041 340866523 /nfs/dbraw/zinc/86/65/23/340866523.db2.gz BJWNIDLUTUWSTM-RTBURBONSA-N 0 3 315.844 4.213 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCOc1ccccc1Cl)CC2 ZINC000539043975 340866748 /nfs/dbraw/zinc/86/67/48/340866748.db2.gz LFUQWPRRNKJMHF-QGZVFWFLSA-N 0 3 317.816 4.005 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(Br)c1)c1ccco1 ZINC000539044161 340866753 /nfs/dbraw/zinc/86/67/53/340866753.db2.gz JYTQQJXSKSHENO-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCN(C)[C@H]2c2ccc(Cl)cc2)o1 ZINC000539046215 340867015 /nfs/dbraw/zinc/86/70/15/340867015.db2.gz IHWOEFZOGGSJST-OWQGQXMQSA-N 0 3 318.848 4.337 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1ccccc1-n1cccn1 ZINC000539047184 340867182 /nfs/dbraw/zinc/86/71/82/340867182.db2.gz TVSFYBVDSWTTSQ-VLIAUNLRSA-N 0 3 321.399 4.035 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@@H](C)c1cc(C)sc1C)C2 ZINC000539047682 340867215 /nfs/dbraw/zinc/86/72/15/340867215.db2.gz QOSFMHQBZNLGRK-WFASDCNBSA-N 0 3 317.454 4.028 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@H](C)c1cc(C)sc1C)C2 ZINC000539047679 340867275 /nfs/dbraw/zinc/86/72/75/340867275.db2.gz QOSFMHQBZNLGRK-DOMZBBRYSA-N 0 3 317.454 4.028 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2N[C@H](C)c2cccnc2)c1 ZINC000539051174 340867774 /nfs/dbraw/zinc/86/77/74/340867774.db2.gz YNGXEBWZUWODPK-LSTHTHJFSA-N 0 3 310.441 4.431 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@@H](C)c2ccsc2)cc1 ZINC000539050838 340867791 /nfs/dbraw/zinc/86/77/91/340867791.db2.gz RFDIXUPTPCKNBF-GDZNZVCISA-N 0 3 305.443 4.184 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000539068196 340870147 /nfs/dbraw/zinc/87/01/47/340870147.db2.gz MIAPIZWCXOTOQZ-LBTNJELSSA-N 0 3 303.833 4.442 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCCOC1CCCCC1)CCCO2 ZINC000539070677 340870539 /nfs/dbraw/zinc/87/05/39/340870539.db2.gz KHHJTVILOAZKJE-SFHVURJKSA-N 0 3 303.446 4.148 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1ccccc1N(C)C ZINC000539074805 340870780 /nfs/dbraw/zinc/87/07/80/340870780.db2.gz DZOVPQNZQUAPMN-JLTOFOAXSA-N 0 3 312.432 4.305 20 0 DIADHN C[C@H](NCCOc1cccc(C(C)(C)C)c1)c1cncc(F)c1 ZINC000539085001 340871776 /nfs/dbraw/zinc/87/17/76/340871776.db2.gz IWBQGVVDSZUDMG-AWEZNQCLSA-N 0 3 316.420 4.248 20 0 DIADHN CC[C@H](N[C@@H](CO)c1sccc1C)c1cccc(Cl)c1 ZINC000539121025 340874300 /nfs/dbraw/zinc/87/43/00/340874300.db2.gz RZDYLYYPDDVVLZ-GJZGRUSLSA-N 0 3 309.862 4.484 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000539122957 340874334 /nfs/dbraw/zinc/87/43/34/340874334.db2.gz YEFXZLSJFYREFK-DZGCQCFKSA-N 0 3 307.434 4.197 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000539122958 340874341 /nfs/dbraw/zinc/87/43/41/340874341.db2.gz YEFXZLSJFYREFK-HIFRSBDPSA-N 0 3 307.434 4.197 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCc2ccc(Cl)cc21 ZINC000539121617 340874435 /nfs/dbraw/zinc/87/44/35/340874435.db2.gz JNQCVWIITBCGTF-CABCVRRESA-N 0 3 307.846 4.020 20 0 DIADHN CCCOc1ccc([C@H](C)NC[C@H](C)C(F)(F)F)cc1OC ZINC000539254912 340878487 /nfs/dbraw/zinc/87/84/87/340878487.db2.gz ZMCRLNUUSWEDGE-RYUDHWBXSA-N 0 3 319.367 4.333 20 0 DIADHN Cc1ccncc1CNC(C)(C)c1ccc(OCC(C)C)cc1 ZINC000539316604 340880702 /nfs/dbraw/zinc/88/07/02/340880702.db2.gz ALGVULFEQYLIMC-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000539326439 340881154 /nfs/dbraw/zinc/88/11/54/340881154.db2.gz XBVSNHIABHSQRW-KRWDZBQOSA-N 0 3 322.399 4.489 20 0 DIADHN COc1cc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)ccn1 ZINC000539332465 340881510 /nfs/dbraw/zinc/88/15/10/340881510.db2.gz RQDLHFZIXIDGQT-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN CC[C@H](NCc1coc(-c2ccc(OC)cc2)n1)C(C)(C)C ZINC000539493881 340887125 /nfs/dbraw/zinc/88/71/25/340887125.db2.gz ZJHWKGHGTMKQPT-INIZCTEOSA-N 0 3 302.418 4.265 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC(O)(CC)CC)oc21 ZINC000539497183 340887472 /nfs/dbraw/zinc/88/74/72/340887472.db2.gz XZOPMQYGJNNXCS-ZDUSSCGKSA-N 0 3 305.418 4.033 20 0 DIADHN CCCCOCCN[C@@H](C)c1cc2cccc(OCC)c2o1 ZINC000539499996 340887962 /nfs/dbraw/zinc/88/79/62/340887962.db2.gz WCYITUBRQZBBCP-AWEZNQCLSA-N 0 3 305.418 4.299 20 0 DIADHN Cc1ccc(Oc2ccccc2CNCC2=CCCOC2)cc1 ZINC000539509641 340888667 /nfs/dbraw/zinc/88/86/67/340888667.db2.gz YHOXRBZLKZXPGR-UHFFFAOYSA-N 0 3 309.409 4.224 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2cccc(F)c2F)cc1F ZINC000539544095 340889924 /nfs/dbraw/zinc/88/99/24/340889924.db2.gz DJEIBWDGGSXBDH-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN C[C@H](CCO)C1(CNCc2ccc(Cl)cc2Cl)CCC1 ZINC000539544824 340889957 /nfs/dbraw/zinc/88/99/57/340889957.db2.gz BJPLIOJWKXXIQM-GFCCVEGCSA-N 0 3 316.272 4.272 20 0 DIADHN C[C@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1cccc(F)c1F ZINC000539556305 340890492 /nfs/dbraw/zinc/89/04/92/340890492.db2.gz UYKCEVNVCSFFSO-YVEFUNNKSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1cccc(F)c1F ZINC000539556303 340890509 /nfs/dbraw/zinc/89/05/09/340890509.db2.gz UYKCEVNVCSFFSO-SJCJKPOMSA-N 0 3 322.374 4.057 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000540066019 340913899 /nfs/dbraw/zinc/91/38/99/340913899.db2.gz JUBGKKMMKKFBMO-CRAIPNDOSA-N 0 3 301.455 4.178 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2sc(C(C)(C)C)nc2C)c(C)o1 ZINC000540085407 340914555 /nfs/dbraw/zinc/91/45/55/340914555.db2.gz MDPABGXJWOKELW-JTQLQIEISA-N 0 3 321.490 4.247 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1cnccn1 ZINC000540141259 340916498 /nfs/dbraw/zinc/91/64/98/340916498.db2.gz GYYQIJHDXKGJIB-CVEARBPZSA-N 0 3 315.486 4.315 20 0 DIADHN COc1ccc2c(c1)OC[C@H](N[C@@H](C)c1cc3ccccc3o1)C2 ZINC000540142809 340916544 /nfs/dbraw/zinc/91/65/44/340916544.db2.gz GVFWEIYZWJYIJX-XJKSGUPXSA-N 0 3 323.392 4.096 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3cc(OC)ccc32)c(C)c1 ZINC000540160117 340919015 /nfs/dbraw/zinc/91/90/15/340919015.db2.gz JENGDTQEBUYLNS-HXUWFJFHSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000540219365 340923834 /nfs/dbraw/zinc/92/38/34/340923834.db2.gz DYCKSCPCMMXXTR-KSSFIOAISA-N 0 3 315.482 4.320 20 0 DIADHN CC(C)[C@@H](NCc1ccccc1CO)c1ccc(Cl)cc1 ZINC000540299384 340925625 /nfs/dbraw/zinc/92/56/25/340925625.db2.gz CMEKVPLMVVCAIN-GOSISDBHSA-N 0 3 303.833 4.319 20 0 DIADHN CCCCn1cc(CN[C@H]2c3cc(F)ccc3C[C@@H]2C)c(C)n1 ZINC000540321869 340927002 /nfs/dbraw/zinc/92/70/02/340927002.db2.gz ZWTSLMFTITUNBP-ORAYPTAESA-N 0 3 315.436 4.154 20 0 DIADHN CC1(C)[C@H](NCc2c(Cl)cccc2Cl)[C@H]2CCCO[C@H]21 ZINC000540590954 340936728 /nfs/dbraw/zinc/93/67/28/340936728.db2.gz AXILAADZGYOIIL-VCTAVGKDSA-N 0 3 314.256 4.287 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2ccc3ccccc3n2)o1 ZINC000540595373 340937401 /nfs/dbraw/zinc/93/74/01/340937401.db2.gz DAIUWIMTRNBKRU-KSSFIOAISA-N 0 3 306.409 4.284 20 0 DIADHN COc1cc(C)nc(CNC2(c3ccccc3)CCCCC2)c1 ZINC000540811505 340946804 /nfs/dbraw/zinc/94/68/04/340946804.db2.gz QGVYFKSXFBCJOW-UHFFFAOYSA-N 0 3 310.441 4.348 20 0 DIADHN Clc1ccc(Br)c(CN[C@H]2CCCSC2)c1 ZINC000129244016 340952054 /nfs/dbraw/zinc/95/20/54/340952054.db2.gz OMICMHJCMKXPLP-NSHDSACASA-N 0 3 320.683 4.088 20 0 DIADHN CSc1ccc(CN2CCC(Cc3cccnc3)CC2)cc1 ZINC000540962030 340953455 /nfs/dbraw/zinc/95/34/55/340953455.db2.gz AGRPHEBTOMGZAU-UHFFFAOYSA-N 0 3 312.482 4.258 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@H](CO)c1cccc(F)c1 ZINC000541026495 340956836 /nfs/dbraw/zinc/95/68/36/340956836.db2.gz YOOPMMPWZOYWSK-HXUWFJFHSA-N 0 3 309.384 4.111 20 0 DIADHN CCc1cnccc1[C@@H](C)NCCSc1ccccc1F ZINC000541192803 340961231 /nfs/dbraw/zinc/96/12/31/340961231.db2.gz FCUUWPMPHSVBBT-CYBMUJFWSA-N 0 3 304.434 4.226 20 0 DIADHN CS[C@@H](CNCc1cnc(C(C)(C)C)s1)C(C)(C)C ZINC000541380740 340968936 /nfs/dbraw/zinc/96/89/36/340968936.db2.gz NLJBNIGACWAUDH-LBPRGKRZSA-N 0 3 300.537 4.308 20 0 DIADHN C[C@]1(NCc2cc(Cl)cc(Cl)c2)CCO[C@@H]1C1CC1 ZINC000541383699 340969009 /nfs/dbraw/zinc/96/90/09/340969009.db2.gz LXHBTUJGGQELMN-CABCVRRESA-N 0 3 300.229 4.041 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)c1ccc(F)cc1)[C@@H]1CCCO1 ZINC000541543663 340973630 /nfs/dbraw/zinc/97/36/30/340973630.db2.gz QLCLEIWDLMOAPF-YLQAJVPDSA-N 0 3 305.418 4.134 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2cc(C)sc2C)c1 ZINC000541581324 340975822 /nfs/dbraw/zinc/97/58/22/340975822.db2.gz LFGJJPCKGDQMSX-CYBMUJFWSA-N 0 3 305.443 4.103 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1cccc(NC2CCCC2)c1 ZINC000541586090 340976027 /nfs/dbraw/zinc/97/60/27/340976027.db2.gz QBHGXQVLOSZDQK-CQSZACIVSA-N 0 3 317.477 4.146 20 0 DIADHN CC(C)COC[C@H](NC[C@@H](C)c1ccccc1)c1ccco1 ZINC000541626712 340978336 /nfs/dbraw/zinc/97/83/36/340978336.db2.gz DEERAJZILPPOML-AEFFLSMTSA-N 0 3 301.430 4.387 20 0 DIADHN CC(C)C[C@@H](N[C@@H](C)C(=O)N1CCCCCC1)c1cccs1 ZINC000541629595 340978640 /nfs/dbraw/zinc/97/86/40/340978640.db2.gz WDNSEVJJGWQNPB-JKSUJKDBSA-N 0 3 322.518 4.216 20 0 DIADHN CC(C)Oc1ccccc1CN[C@H](C)[C@@H]1COc2ccccc21 ZINC000541697522 340980438 /nfs/dbraw/zinc/98/04/38/340980438.db2.gz PHVPASPANNUKOB-QAPCUYQASA-N 0 3 311.425 4.128 20 0 DIADHN CC(C)Oc1ccccc1CN[C@H](C)[C@H]1COc2ccccc21 ZINC000541697520 340980459 /nfs/dbraw/zinc/98/04/59/340980459.db2.gz PHVPASPANNUKOB-CRAIPNDOSA-N 0 3 311.425 4.128 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@H](c2ccccc2)c2cncnc2)cc1 ZINC000541734092 340981220 /nfs/dbraw/zinc/98/12/20/340981220.db2.gz HXIWIAXJHDEAIM-LAUBAEHRSA-N 0 3 317.436 4.268 20 0 DIADHN Cc1ccc([C@@H](NCc2ccccc2-n2ccnc2)C2CCC2)o1 ZINC000541732988 340981224 /nfs/dbraw/zinc/98/12/24/340981224.db2.gz AAUWLSCTZRCRKY-FQEVSTJZSA-N 0 3 321.424 4.405 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](N[C@H](c2ccccc2)c2cncnc2)C1 ZINC000541736367 340981557 /nfs/dbraw/zinc/98/15/57/340981557.db2.gz HISOJFISRGYLFS-MISYRCLQSA-N 0 3 309.457 4.370 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(Cl)c(C)c2)c(CN(C)C)c1 ZINC000130079486 340997256 /nfs/dbraw/zinc/99/72/56/340997256.db2.gz ILCHJMOOZHYDCC-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@H](C3CCOCC3)C2)c1C ZINC000542210286 341000234 /nfs/dbraw/zinc/00/02/34/341000234.db2.gz ZGNVRQJDHIGVHL-KRWDZBQOSA-N 0 3 310.441 4.105 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2c(Cl)oc3ccccc32)CCO1 ZINC000542297949 341003669 /nfs/dbraw/zinc/00/36/69/341003669.db2.gz IGWPEKGMRWLWFH-CHWSQXEVSA-N 0 3 307.821 4.381 20 0 DIADHN C[C@]1(NCc2cnc(C3CCCCC3)s2)CCO[C@H]1C1CC1 ZINC000542310196 341003906 /nfs/dbraw/zinc/00/39/06/341003906.db2.gz DZCZUKIDWJOCNZ-WMZOPIPTSA-N 0 3 320.502 4.238 20 0 DIADHN CS[C@@H](CNCc1cnc(-c2ccccn2)s1)C(C)(C)C ZINC000542313364 341004150 /nfs/dbraw/zinc/00/41/50/341004150.db2.gz RLLLNOXQVAHKSU-AWEZNQCLSA-N 0 3 321.515 4.072 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@@]2(C)CCO[C@@H]2C)cc1 ZINC000542313957 341004229 /nfs/dbraw/zinc/00/42/29/341004229.db2.gz BVGNRXMCZSHORQ-QRWLVFNGSA-N 0 3 311.425 4.019 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc(-c4ccccc4)no3)C2)cc1 ZINC000542318998 341004550 /nfs/dbraw/zinc/00/45/50/341004550.db2.gz ATNVEAPVIOSBPU-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000542319579 341004713 /nfs/dbraw/zinc/00/47/13/341004713.db2.gz IVBBWPTWWZAPTF-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N1CC[C@@H](C(C)(C)C)C1 ZINC000542320371 341004750 /nfs/dbraw/zinc/00/47/50/341004750.db2.gz QBPJFVMMWWWDDZ-VXGBXAGGSA-N 0 3 305.447 4.227 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N1CC[C@@H](C(C)(C)C)C1 ZINC000542320366 341004897 /nfs/dbraw/zinc/00/48/97/341004897.db2.gz QBPJFVMMWWWDDZ-NWDGAFQWSA-N 0 3 305.447 4.227 20 0 DIADHN CC(C)c1csc(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000130207903 341006418 /nfs/dbraw/zinc/00/64/18/341006418.db2.gz OAMZKXCRBKTEKL-MNOVXSKESA-N 0 3 306.397 4.477 20 0 DIADHN Fc1ccccc1OC[C@H](N[C@@H]1CCSC1)c1ccccc1 ZINC000542470214 341008604 /nfs/dbraw/zinc/00/86/04/341008604.db2.gz MYBMONMJFISLAT-WBVHZDCISA-N 0 3 317.429 4.041 20 0 DIADHN C[C@H](CN[C@H](c1ccco1)c1ccccc1)N1CCC(C)CC1 ZINC000542478155 341009125 /nfs/dbraw/zinc/00/91/25/341009125.db2.gz JEXKVRUKAYWJLX-XLIONFOSSA-N 0 3 312.457 4.079 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2scnc2C)c1 ZINC000542483495 341009407 /nfs/dbraw/zinc/00/94/07/341009407.db2.gz OVABBBXAPGGTFX-LRDDRELGSA-N 0 3 302.443 4.043 20 0 DIADHN CN(Cc1nc2ccc(Cl)cc2n1C)C[C@H]1CC=CCC1 ZINC000542483470 341009468 /nfs/dbraw/zinc/00/94/68/341009468.db2.gz OMBYYTUCXPIEJS-ZDUSSCGKSA-N 0 3 303.837 4.015 20 0 DIADHN CN(CCc1ccccc1O)Cc1cccc(-c2ccccn2)c1 ZINC000542482384 341009472 /nfs/dbraw/zinc/00/94/72/341009472.db2.gz MOBOAWVDQNGQQV-UHFFFAOYSA-N 0 3 318.420 4.129 20 0 DIADHN CCCCCc1ccc([C@H](N[C@H](C)C[S@](C)=O)C2CC2)cc1 ZINC000542485822 341009748 /nfs/dbraw/zinc/00/97/48/341009748.db2.gz AJSXYKIWTOECBA-MPHOGZCYSA-N 0 3 321.530 4.227 20 0 DIADHN CCCCCc1ccc([C@H](N[C@@H](C)C[S@](C)=O)C2CC2)cc1 ZINC000542485823 341009792 /nfs/dbraw/zinc/00/97/92/341009792.db2.gz AJSXYKIWTOECBA-OHEPNIRZSA-N 0 3 321.530 4.227 20 0 DIADHN Fc1ccc([C@H](NC2CCC(F)(F)CC2)c2cccnc2)cc1 ZINC000542496311 341010370 /nfs/dbraw/zinc/01/03/70/341010370.db2.gz KABOTJTVWHXYRD-KRWDZBQOSA-N 0 3 320.358 4.478 20 0 DIADHN CS[C@H](CN[C@H](C)c1nc2ccccc2n1C)C(C)(C)C ZINC000542500529 341010654 /nfs/dbraw/zinc/01/06/54/341010654.db2.gz ZJRFGBKVUYSGHK-IUODEOHRSA-N 0 3 305.491 4.002 20 0 DIADHN CS[C@@H](CN[C@@H](C)c1nc2ccccc2n1C)C(C)(C)C ZINC000542500531 341010689 /nfs/dbraw/zinc/01/06/89/341010689.db2.gz ZJRFGBKVUYSGHK-WFASDCNBSA-N 0 3 305.491 4.002 20 0 DIADHN CS[C@@H](CN[C@H](C)c1nc2ccccc2n1C)C(C)(C)C ZINC000542500520 341010710 /nfs/dbraw/zinc/01/07/10/341010710.db2.gz ZJRFGBKVUYSGHK-DOMZBBRYSA-N 0 3 305.491 4.002 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H]1CC(C)C ZINC000130282244 341012926 /nfs/dbraw/zinc/01/29/26/341012926.db2.gz UJUBRPPWMVPCFX-QGZVFWFLSA-N 0 3 302.462 4.006 20 0 DIADHN Cc1csc([C@H](NC[C@H]2CCc3ccccc3C2)C2CC2)n1 ZINC000542547677 341013041 /nfs/dbraw/zinc/01/30/41/341013041.db2.gz OOPQHCDTQREEQU-KBXCAEBGSA-N 0 3 312.482 4.297 20 0 DIADHN Cc1csc([C@@H](NC[C@@H]2CCc3ccccc3C2)C2CC2)n1 ZINC000542547678 341013117 /nfs/dbraw/zinc/01/31/17/341013117.db2.gz OOPQHCDTQREEQU-KDOFPFPSSA-N 0 3 312.482 4.297 20 0 DIADHN CC(C(=O)Nc1cccc(C(F)F)c1Cl)C(F)(F)F ZINC000542578973 341014533 /nfs/dbraw/zinc/01/45/33/341014533.db2.gz OYQQLTLCMSUZBC-RXMQYKEDSA-N 0 3 301.642 4.415 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)NCC3CCCC3)n2)cc1F ZINC000542610024 341014960 /nfs/dbraw/zinc/01/49/60/341014960.db2.gz HYUHKPZHXVOAHX-GFCCVEGCSA-N 0 3 303.381 4.025 20 0 DIADHN COc1cc(C)nc(CN2CCC(c3ccccc3C)CC2)c1 ZINC000542627070 341015250 /nfs/dbraw/zinc/01/52/50/341015250.db2.gz LXGIOSCXWFIBMJ-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CCC[C@H]1CCCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000542629025 341015287 /nfs/dbraw/zinc/01/52/87/341015287.db2.gz WSOLWESFQUEJPQ-KRWDZBQOSA-N 0 3 311.429 4.424 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H]3CCC[C@@H](C(F)(F)F)C3)nc21 ZINC000130311397 341015484 /nfs/dbraw/zinc/01/54/84/341015484.db2.gz BHGWYJJCCYSUSG-NEPJUHHUSA-N 0 3 311.351 4.082 20 0 DIADHN C[C@H](NCc1ccc(CN(C)C)cc1)c1ccc(F)cc1Cl ZINC000130321797 341016156 /nfs/dbraw/zinc/01/61/56/341016156.db2.gz QKPZBSBORBQAOG-ZDUSSCGKSA-N 0 3 320.839 4.392 20 0 DIADHN C[C@@H]1CCC[C@H](CCN[C@H](c2ccccc2)c2cncnc2)C1 ZINC000542664932 341016179 /nfs/dbraw/zinc/01/61/79/341016179.db2.gz XIIPXMDPMDRNEI-MBOZVWFJSA-N 0 3 309.457 4.372 20 0 DIADHN COc1ccccc1OCCN[C@@H](c1ccc(C)o1)C1CCC1 ZINC000542669549 341016600 /nfs/dbraw/zinc/01/66/00/341016600.db2.gz MCLVWRARTLDOSZ-LJQANCHMSA-N 0 3 315.413 4.106 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1Cc1ccccc1)c1ccn(C)n1 ZINC000542677545 341017041 /nfs/dbraw/zinc/01/70/41/341017041.db2.gz KBIYCRKTUSJKRQ-GBESFXJTSA-N 0 3 311.473 4.262 20 0 DIADHN Brc1ccc(CNCc2cccc3[nH]ccc32)s1 ZINC000070939118 341019912 /nfs/dbraw/zinc/01/99/12/341019912.db2.gz NWXZALSVABXDMT-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN Brc1csc(CNCc2cccc3[nH]ccc32)c1 ZINC000070938928 341019928 /nfs/dbraw/zinc/01/99/28/341019928.db2.gz HMPVNVJMBDNMFE-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN CCC(CC)CC(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000130374486 341020101 /nfs/dbraw/zinc/02/01/01/341020101.db2.gz NIIQUIVELWLSAO-MRXNPFEDSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)[C@H](NCC(C)(C)CCO)c1ccc(Cl)cc1F ZINC000542848624 341023411 /nfs/dbraw/zinc/02/34/11/341023411.db2.gz VMHFBSTZFLCCJG-HNNXBMFYSA-N 0 3 301.833 4.174 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3ncc(-c4ccc(F)cc4)o3)C2)C1 ZINC000542881623 341024979 /nfs/dbraw/zinc/02/49/79/341024979.db2.gz SMWOBWQEJVSMGZ-IFXJQAMLSA-N 0 3 314.404 4.493 20 0 DIADHN Cc1cnn([C@H]2CCN(Cc3cccc(-c4ccccc4)c3)C2)c1 ZINC000564262367 341026319 /nfs/dbraw/zinc/02/63/19/341026319.db2.gz YMTMDUOQAQYLFD-NRFANRHFSA-N 0 3 317.436 4.306 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccco3)n2)[C@@H]2CCCC[C@@H]21 ZINC000542966506 341027469 /nfs/dbraw/zinc/02/74/69/341027469.db2.gz DOPMYOCHBHLFFM-BPLDGKMQSA-N 0 3 302.443 4.414 20 0 DIADHN COC[C@H](N[C@@H](C)Cc1ccccc1SC)c1ccc(C)o1 ZINC000543079192 341030895 /nfs/dbraw/zinc/03/08/95/341030895.db2.gz YGIZYNWRGZVPSM-BBRMVZONSA-N 0 3 319.470 4.218 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000543088104 341031296 /nfs/dbraw/zinc/03/12/96/341031296.db2.gz BZZQAVKMRAWBGP-DEYYWGMASA-N 0 3 303.833 4.178 20 0 DIADHN OCCCC1CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC000543104823 341032183 /nfs/dbraw/zinc/03/21/83/341032183.db2.gz JSRUICARLDQODQ-UHFFFAOYSA-N 0 3 307.821 4.071 20 0 DIADHN CCc1ccc([C@H](COC)NC2CC(c3cccc(F)c3)C2)o1 ZINC000543107999 341032293 /nfs/dbraw/zinc/03/22/93/341032293.db2.gz SPZJYSLUPAJSIV-PVARCSIZSA-N 0 3 317.404 4.204 20 0 DIADHN C[C@@H]1c2ccsc2CCN1CCCCC(=O)OC(C)(C)C ZINC000543139084 341033649 /nfs/dbraw/zinc/03/36/49/341033649.db2.gz KKVOEAHFRHCVJP-CYBMUJFWSA-N 0 3 309.475 4.179 20 0 DIADHN CC[C@](C)(NCCc1cccc(F)c1F)c1nc(C)cs1 ZINC000543139533 341033881 /nfs/dbraw/zinc/03/38/81/341033881.db2.gz ONNZTONLNWKAJK-INIZCTEOSA-N 0 3 310.413 4.187 20 0 DIADHN CSc1ccccc1NC(=O)[C@@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000543175240 341035303 /nfs/dbraw/zinc/03/53/03/341035303.db2.gz QACGTNBDACWMKQ-HUUCEWRRSA-N 0 3 318.486 4.024 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N(C)C[C@H]1CC=CCC1 ZINC000543175918 341035332 /nfs/dbraw/zinc/03/53/32/341035332.db2.gz LTNVVBRBBGMZHX-CABCVRRESA-N 0 3 320.864 4.264 20 0 DIADHN Clc1ccccc1CCCN(Cc1ccccn1)C1CC1 ZINC000543185414 341035908 /nfs/dbraw/zinc/03/59/08/341035908.db2.gz LGHVRPZEEYPUAU-UHFFFAOYSA-N 0 3 300.833 4.332 20 0 DIADHN C[C@]1(NCc2cc(Cl)ccc2Cl)CCO[C@H]1C1CC1 ZINC000543237208 341037414 /nfs/dbraw/zinc/03/74/14/341037414.db2.gz ULTNLVXGJAAHAD-GJZGRUSLSA-N 0 3 300.229 4.041 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@H]3CCCCS3)s2)cc1 ZINC000543239882 341037650 /nfs/dbraw/zinc/03/76/50/341037650.db2.gz YZNPXMMYKKCGKN-OAHLLOKOSA-N 0 3 318.511 4.494 20 0 DIADHN O[C@@H](CCc1ccccc1)CNCc1ccc(Cl)c(Cl)c1 ZINC000543242961 341037677 /nfs/dbraw/zinc/03/76/77/341037677.db2.gz DSYNFOUJAJMABW-HNNXBMFYSA-N 0 3 324.251 4.077 20 0 DIADHN Cc1cc(C)cc(OCCCN(C)C[C@@H](C)c2nccs2)c1 ZINC000543241657 341037735 /nfs/dbraw/zinc/03/77/35/341037735.db2.gz IZIBNKDVVHTOPA-MRXNPFEDSA-N 0 3 318.486 4.264 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4ccc(F)cc4o3)C2)cc1 ZINC000543248734 341038245 /nfs/dbraw/zinc/03/82/45/341038245.db2.gz IPZOUUPOGSDDNH-OAHLLOKOSA-N 0 3 310.372 4.265 20 0 DIADHN CCc1cnc(CNC(C)(C)Cc2ccccc2Cl)s1 ZINC000543253565 341038473 /nfs/dbraw/zinc/03/84/73/341038473.db2.gz PYPCPPKPTCCOSD-UHFFFAOYSA-N 0 3 308.878 4.470 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN(C)Cc2ccc([S@@](C)=O)cc2)C1 ZINC000543393760 341041781 /nfs/dbraw/zinc/04/17/81/341041781.db2.gz DVEOZLGLSPQGKI-QLVMHMETSA-N 0 3 307.503 4.072 20 0 DIADHN Cc1cc(CN2CCS[C@H](C(C)C)C2)cc2cccnc12 ZINC000543394488 341041820 /nfs/dbraw/zinc/04/18/20/341041820.db2.gz PSAXRGBHNRANCR-KRWDZBQOSA-N 0 3 300.471 4.117 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1CCCC[C@@H]1C ZINC000543395143 341042153 /nfs/dbraw/zinc/04/21/53/341042153.db2.gz SQRPTUFCOQDRKB-YOEHRIQHSA-N 0 3 302.462 4.000 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)[C@H]2CCCO2)cc1OC ZINC000543405365 341042599 /nfs/dbraw/zinc/04/25/99/341042599.db2.gz QAAUMWJBQKXBJB-YQQAZPJKSA-N 0 3 321.461 4.092 20 0 DIADHN COc1ccc([C@H](C)NCCCNc2cccc(F)c2)c(F)c1 ZINC000543415651 341043273 /nfs/dbraw/zinc/04/32/73/341043273.db2.gz JBMZGXDJCGGTQK-ZDUSSCGKSA-N 0 3 320.383 4.126 20 0 DIADHN COc1ccc([C@@H](C)NCCCNc2ccccc2F)c(F)c1 ZINC000543417196 341043367 /nfs/dbraw/zinc/04/33/67/341043367.db2.gz DLYUBSHWPNLUIL-CYBMUJFWSA-N 0 3 320.383 4.126 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCC[C@@H]1CCO[C@@H](C)C1 ZINC000543417867 341043478 /nfs/dbraw/zinc/04/34/78/341043478.db2.gz GBYAISKVCJICJL-MELADBBJSA-N 0 3 311.853 4.204 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCC[C@H]1CCO[C@H](C)C1 ZINC000543417868 341043520 /nfs/dbraw/zinc/04/35/20/341043520.db2.gz GBYAISKVCJICJL-RDBSUJKOSA-N 0 3 311.853 4.204 20 0 DIADHN COc1ccccc1[C@@H](C)NCCc1ccc(F)c(F)c1F ZINC000543426337 341044015 /nfs/dbraw/zinc/04/40/15/341044015.db2.gz POUUKDRKNSXTAE-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CS[C@@H](CN[C@@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000543426470 341044031 /nfs/dbraw/zinc/04/40/31/341044031.db2.gz BYCUGSGLXYCODD-FZMZJTMJSA-N 0 3 312.548 4.450 20 0 DIADHN Fc1ccccc1C=C1CCN(CC[C@@H]2CCCCO2)CC1 ZINC000543438431 341044599 /nfs/dbraw/zinc/04/45/99/341044599.db2.gz OLRBLVVHTYCXSN-SFHVURJKSA-N 0 3 303.421 4.264 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CC[C@@H]3CCCCO3)nc2c1 ZINC000543443080 341044771 /nfs/dbraw/zinc/04/47/71/341044771.db2.gz OOOQVUQGGKUSQQ-RDJZCZTQSA-N 0 3 314.429 4.314 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](C)[C@@H](C)c2ccccc2)c1 ZINC000543472827 341046365 /nfs/dbraw/zinc/04/63/65/341046365.db2.gz LYTCWGJXTDYCPF-ZACQAIPSSA-N 0 3 310.441 4.104 20 0 DIADHN CCc1ccc([C@H](COC)NCCc2ccccc2Cl)o1 ZINC000543488335 341047059 /nfs/dbraw/zinc/04/70/59/341047059.db2.gz IZRNAEOACQKGPM-INIZCTEOSA-N 0 3 307.821 4.015 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000543488005 341047179 /nfs/dbraw/zinc/04/71/79/341047179.db2.gz XJVICICGEKYRSW-KGLIPLIRSA-N 0 3 310.507 4.118 20 0 DIADHN COCC[C@H](NCCSc1ccccc1F)c1ccc(C)o1 ZINC000543509922 341048090 /nfs/dbraw/zinc/04/80/90/341048090.db2.gz KGOLGBPOVVZFNW-HNNXBMFYSA-N 0 3 323.433 4.187 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000130829487 341048470 /nfs/dbraw/zinc/04/84/70/341048470.db2.gz JQEUBYVFFWGRGT-CYBMUJFWSA-N 0 3 316.832 4.491 20 0 DIADHN c1cn2cc(CNC3(Cc4ccccc4)CCCC3)nc2s1 ZINC000543558877 341049068 /nfs/dbraw/zinc/04/90/68/341049068.db2.gz ZXXDQBLUXNUSPR-UHFFFAOYSA-N 0 3 311.454 4.041 20 0 DIADHN CC(C)C1CCC(N[C@@H](c2ccccc2)c2cncnc2)CC1 ZINC000543592802 341049870 /nfs/dbraw/zinc/04/98/70/341049870.db2.gz CNUOIKKWDBJIMM-GQOXECLESA-N 0 3 309.457 4.370 20 0 DIADHN CC[C@@H](NCC(C)(C)c1ccccc1Cl)c1ccn(C)n1 ZINC000543600241 341050488 /nfs/dbraw/zinc/05/04/88/341050488.db2.gz ODHTUKUEQRKANV-OAHLLOKOSA-N 0 3 305.853 4.092 20 0 DIADHN Cc1ccc([C@@H](NCCCc2nc(C(C)C)no2)C2CCC2)o1 ZINC000543602294 341050687 /nfs/dbraw/zinc/05/06/87/341050687.db2.gz KEPWMBYXZBHBTI-KRWDZBQOSA-N 0 3 317.433 4.158 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](c2ccco2)N(C)C)C2CCCC2)o1 ZINC000543604662 341050877 /nfs/dbraw/zinc/05/08/77/341050877.db2.gz CMFUIHLUPOCPDY-LPHOPBHVSA-N 0 3 316.445 4.305 20 0 DIADHN CCCN(Cc1ccc(OCC(F)(F)F)c(F)c1)C1CC1 ZINC000543629840 341051975 /nfs/dbraw/zinc/05/19/75/341051975.db2.gz DPTXNDSJKPCUNQ-UHFFFAOYSA-N 0 3 305.315 4.141 20 0 DIADHN COC1CCC(CCN[C@@H]2CCc3c2c(F)ccc3F)CC1 ZINC000543636821 341052581 /nfs/dbraw/zinc/05/25/81/341052581.db2.gz PLYBDKAKCSOTKG-QWQCLYJRSA-N 0 3 309.400 4.137 20 0 DIADHN CCC[C@H](O)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131026725 341056586 /nfs/dbraw/zinc/05/65/86/341056586.db2.gz KTEXVXDMAPKEGE-IUCAKERBSA-N 0 3 310.652 4.458 20 0 DIADHN CCCCCN(CC(=O)N(C)[C@@H](C)c1ccccc1)C(C)C ZINC000071941626 341055337 /nfs/dbraw/zinc/05/53/37/341055337.db2.gz LVQMRBNUKIHXNY-KRWDZBQOSA-N 0 3 304.478 4.107 20 0 DIADHN CCC[C@@H](O)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131026929 341056614 /nfs/dbraw/zinc/05/66/14/341056614.db2.gz KTEXVXDMAPKEGE-DTWKUNHWSA-N 0 3 310.652 4.458 20 0 DIADHN C[C@]1(NCc2cc(F)c(F)c(F)c2)CCCc2ccccc21 ZINC000543749059 341056709 /nfs/dbraw/zinc/05/67/09/341056709.db2.gz VCJMFNWCRHLAES-SFHVURJKSA-N 0 3 305.343 4.445 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)c1 ZINC000131116443 341061637 /nfs/dbraw/zinc/06/16/37/341061637.db2.gz SODPMCBGLQHVLG-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN CC(C(=O)Nc1ncc(C2CCCCC2)s1)C(F)(F)F ZINC000543857682 341061825 /nfs/dbraw/zinc/06/18/25/341061825.db2.gz GKRLTDAVTBRSEG-MRVPVSSYSA-N 0 3 306.353 4.328 20 0 DIADHN C[C@H](CCc1n[nH]c2ccccc21)N[C@@H]1CSc2ccccc21 ZINC000543943525 341063823 /nfs/dbraw/zinc/06/38/23/341063823.db2.gz LGJBSWPMFIETCU-FZKQIMNGSA-N 0 3 323.465 4.321 20 0 DIADHN Cc1ccc(C2CCN(C/C=C\c3ccc(F)cc3)CC2)cn1 ZINC000544032930 341067446 /nfs/dbraw/zinc/06/74/46/341067446.db2.gz VLOIRCWZQNUUBL-IHWYPQMZSA-N 0 3 310.416 4.422 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCc1cccc(F)c1F)CCC2 ZINC000544071884 341069230 /nfs/dbraw/zinc/06/92/30/341069230.db2.gz YWUQQNFXEPYRHE-HNNXBMFYSA-N 0 3 322.424 4.282 20 0 DIADHN CN(Cc1nc2cc(Cl)ccc2n1C)C[C@H]1CC=CCC1 ZINC000544107974 341070403 /nfs/dbraw/zinc/07/04/03/341070403.db2.gz OWMIRNQWFMVFRB-ZDUSSCGKSA-N 0 3 303.837 4.015 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2csc(-c3ccccc3)n2)CCO1 ZINC000544165781 341072626 /nfs/dbraw/zinc/07/26/26/341072626.db2.gz OJRKBOBITNINQL-GJZGRUSLSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2csc(-c3ccccc3)n2)CCO1 ZINC000544165782 341072631 /nfs/dbraw/zinc/07/26/31/341072631.db2.gz OJRKBOBITNINQL-HUUCEWRRSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000544175460 341072891 /nfs/dbraw/zinc/07/28/91/341072891.db2.gz KLUHVLUAGPPOKK-HUUCEWRRSA-N 0 3 317.404 4.381 20 0 DIADHN COc1ccc2oc(CNC[C@@H](SC)C(C)(C)C)cc2c1 ZINC000544176727 341072967 /nfs/dbraw/zinc/07/29/67/341072967.db2.gz PWAQBCKFTBQKRT-MRXNPFEDSA-N 0 3 307.459 4.309 20 0 DIADHN c1nc(-c2ccccc2)sc1CNC[C@H]1CCCCS1 ZINC000544179255 341073070 /nfs/dbraw/zinc/07/30/70/341073070.db2.gz OHOBAJZEXHVAOI-CQSZACIVSA-N 0 3 304.484 4.185 20 0 DIADHN C[C@@H](c1nc(Cc2ccccc2)no1)N1CC[C@H](C(C)(C)C)C1 ZINC000544186992 341073560 /nfs/dbraw/zinc/07/35/60/341073560.db2.gz OAYRYELYOAMDLK-HOCLYGCPSA-N 0 3 313.445 4.089 20 0 DIADHN C[C@H](c1nc(Cc2ccccc2)no1)N1CC[C@H](C(C)(C)C)C1 ZINC000544186993 341073612 /nfs/dbraw/zinc/07/36/12/341073612.db2.gz OAYRYELYOAMDLK-ZBFHGGJFSA-N 0 3 313.445 4.089 20 0 DIADHN CN(C)[C@H](CNCc1ccc(C(F)F)cc1)c1cccs1 ZINC000131308946 341075397 /nfs/dbraw/zinc/07/53/97/341075397.db2.gz KTNAQXMEVFBPHY-CQSZACIVSA-N 0 3 310.413 4.078 20 0 DIADHN Fc1ccc([C@H](NCC(C2CC2)C2CC2)c2ccccc2)nc1 ZINC000544357263 341077882 /nfs/dbraw/zinc/07/78/82/341077882.db2.gz IGWYGTPSWCSLDY-HXUWFJFHSA-N 0 3 310.416 4.336 20 0 DIADHN Fc1ccc([C@@H](NCC2CCCC2)c2cccnc2)c(F)c1 ZINC000544360684 341078068 /nfs/dbraw/zinc/07/80/68/341078068.db2.gz WEHMGZLRNYRRSZ-SFHVURJKSA-N 0 3 302.368 4.229 20 0 DIADHN Fc1ccc([C@H](NCC2CCCC2)c2cccnc2)c(F)c1 ZINC000544360680 341078145 /nfs/dbraw/zinc/07/81/45/341078145.db2.gz WEHMGZLRNYRRSZ-GOSISDBHSA-N 0 3 302.368 4.229 20 0 DIADHN COc1c(O)cccc1CN1CC[C@@H](c2cc(C)cc(C)c2)C1 ZINC000544365139 341078318 /nfs/dbraw/zinc/07/83/18/341078318.db2.gz IPGZGGDCBROZIU-MRXNPFEDSA-N 0 3 311.425 4.007 20 0 DIADHN Clc1ccc([C@H](NCC[C@@H]2CCOC2)c2ccccc2)cc1 ZINC000544373374 341078740 /nfs/dbraw/zinc/07/87/40/341078740.db2.gz DJKNAUITBKZNHI-DNVCBOLYSA-N 0 3 315.844 4.446 20 0 DIADHN Clc1ccc([C@@H](NCC[C@H]2CCOC2)c2ccccc2)cc1 ZINC000544373376 341078797 /nfs/dbraw/zinc/07/87/97/341078797.db2.gz DJKNAUITBKZNHI-KXBFYZLASA-N 0 3 315.844 4.446 20 0 DIADHN Clc1ccc([C@@H](NCC[C@@H]2CCOC2)c2ccccc2)cc1 ZINC000544373373 341078822 /nfs/dbraw/zinc/07/88/22/341078822.db2.gz DJKNAUITBKZNHI-BEFAXECRSA-N 0 3 315.844 4.446 20 0 DIADHN Brc1cncc(CN[C@H](c2cccs2)C2CC2)c1 ZINC000544398089 341080169 /nfs/dbraw/zinc/08/01/69/341080169.db2.gz QWBUAKDDIMQERY-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN CCN(CCO[C@@H]1CCCCO1)[C@H](C)c1cc2ccccc2o1 ZINC000544403905 341080584 /nfs/dbraw/zinc/08/05/84/341080584.db2.gz UIDZSRBIRWVKAW-DNVCBOLYSA-N 0 3 317.429 4.359 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](CC(C)C)c2cccs2)c1 ZINC000544449927 341082622 /nfs/dbraw/zinc/08/26/22/341082622.db2.gz SXEXOBWXBZKOBZ-MRXNPFEDSA-N 0 3 316.470 4.372 20 0 DIADHN COCC[C@H](NCCCOc1ccccc1C)c1ccc(C)o1 ZINC000544472539 341083633 /nfs/dbraw/zinc/08/36/33/341083633.db2.gz PYLLAYVLCAVZEO-KRWDZBQOSA-N 0 3 317.429 4.033 20 0 DIADHN C[C@H](CN(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1)C(F)(F)F ZINC000544491322 341084295 /nfs/dbraw/zinc/08/42/95/341084295.db2.gz BHTZXMVEOFPKIA-UGFHNGPFSA-N 0 3 319.342 4.176 20 0 DIADHN C[C@H](NCc1c(F)cccc1Cl)[C@@H]1COc2ccccc21 ZINC000544521100 341084777 /nfs/dbraw/zinc/08/47/77/341084777.db2.gz GFZQZYAQNGLBJN-FZMZJTMJSA-N 0 3 305.780 4.133 20 0 DIADHN Clc1ccc(CCN[C@H](c2ccccc2)c2cncnc2)cc1 ZINC000544582221 341087214 /nfs/dbraw/zinc/08/72/14/341087214.db2.gz AVKDXQYDNLVJTJ-LJQANCHMSA-N 0 3 323.827 4.052 20 0 DIADHN Cc1ccc([C@H](NC[C@H](c2ccsc2)N(C)C)C2CCC2)o1 ZINC000544581447 341087229 /nfs/dbraw/zinc/08/72/29/341087229.db2.gz JLPFQFRRUJCCQH-SJLPKXTDSA-N 0 3 318.486 4.383 20 0 DIADHN COc1cccc(Cl)c1CNCCC1CCC(OC)CC1 ZINC000544598138 341088013 /nfs/dbraw/zinc/08/80/13/341088013.db2.gz CAEYGQBUNIDVBQ-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](CC)c1ccn(C)n1 ZINC000544614892 341089176 /nfs/dbraw/zinc/08/91/76/341089176.db2.gz IHCSBUVWBUKBBW-GDBMZVCRSA-N 0 3 303.475 4.032 20 0 DIADHN CCc1ccc(CN(C)[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000544625213 341089787 /nfs/dbraw/zinc/08/97/87/341089787.db2.gz CFKSRYIZTOGFNI-QFBILLFUSA-N 0 3 317.404 4.333 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(F)c(F)c(F)c1)c1ccccc1 ZINC000131452522 341089951 /nfs/dbraw/zinc/08/99/51/341089951.db2.gz VPZSDTCHTBVGSP-ZBEGNZNMSA-N 0 3 309.331 4.142 20 0 DIADHN c1ccc(-c2noc([C@H]3C[C@@H]3C3CC3)n2)c(CN2CCCCC2)c1 ZINC000544805815 341094386 /nfs/dbraw/zinc/09/43/86/341094386.db2.gz XTDDGFINPXZCOV-MSOLQXFVSA-N 0 3 323.440 4.236 20 0 DIADHN COc1cc(C)c(CN[C@H](C)c2c(C)cccc2C)cc1OC ZINC000544835903 341095829 /nfs/dbraw/zinc/09/58/29/341095829.db2.gz BCYHXOUISMEDOZ-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN C1=C[C@@H](Cc2nc(-c3ccccc3CN3CCCCC3)no2)CC1 ZINC000544883250 341097358 /nfs/dbraw/zinc/09/73/58/341097358.db2.gz YEMMSLHEZLPBHC-MRXNPFEDSA-N 0 3 323.440 4.231 20 0 DIADHN CCN(CCC1CC1)[C@H](C)C(=O)Nc1cccc(Cl)c1C ZINC000544896288 341097993 /nfs/dbraw/zinc/09/79/93/341097993.db2.gz UKJZEMRUMRMJQW-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000544899196 341098405 /nfs/dbraw/zinc/09/84/05/341098405.db2.gz ZJYNPLRQJXUFOG-HOTGVXAUSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1nc2ccccc2n1C1CC1 ZINC000544902932 341098695 /nfs/dbraw/zinc/09/86/95/341098695.db2.gz XSMUXMHHCVYKEE-ZDUSSCGKSA-N 0 3 311.454 4.154 20 0 DIADHN c1ccc(-c2noc(CC3CCCC3)n2)c(CN2CCCC2)c1 ZINC000544909758 341098969 /nfs/dbraw/zinc/09/89/69/341098969.db2.gz WCHNREAWDBLYGM-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)NCc1cccn1C ZINC000072756744 341104051 /nfs/dbraw/zinc/10/40/51/341104051.db2.gz BAJQBGSZERYOPQ-SFHVURJKSA-N 0 3 300.446 4.301 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@@H]3c4ccccc4CCC[C@@H]3C)cc12 ZINC000545196219 341113006 /nfs/dbraw/zinc/11/30/06/341113006.db2.gz OKIGBVIMZGEQMI-DJJJIMSYSA-N 0 3 320.440 4.070 20 0 DIADHN Cc1cc(Cl)ccc1CNC1(c2ccccc2)CCOCC1 ZINC000545213070 341114462 /nfs/dbraw/zinc/11/44/62/341114462.db2.gz PVJUPKJUBZIFMK-UHFFFAOYSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1cccn2cc(CN[C@H]3CCC[C@@H]3c3ccc(F)cc3)nc12 ZINC000545241639 341116727 /nfs/dbraw/zinc/11/67/27/341116727.db2.gz NJEGTJHCERJFNV-MOPGFXCFSA-N 0 3 323.415 4.208 20 0 DIADHN C[C@H](CCc1ccccc1)NCc1nc2ccccc2n1C1CC1 ZINC000545240733 341116790 /nfs/dbraw/zinc/11/67/90/341116790.db2.gz RLDGOAZAMDRGCY-MRXNPFEDSA-N 0 3 319.452 4.482 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC2CC(c3ccccc3)C2)c1 ZINC000545259210 341117934 /nfs/dbraw/zinc/11/79/34/341117934.db2.gz PKFSMWFUYAOMLW-UHFFFAOYSA-N 0 3 322.452 4.271 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1CC(c3ccccc3)C1)CCCO2 ZINC000545274132 341118551 /nfs/dbraw/zinc/11/85/51/341118551.db2.gz XFVMQUJSQFWHIF-UHYCVJNDSA-N 0 3 323.436 4.445 20 0 DIADHN Fc1cncc([C@@H](NCc2cc3c(s2)CCCC3)C2CC2)c1 ZINC000545276121 341118703 /nfs/dbraw/zinc/11/87/03/341118703.db2.gz DCRTULBPHOXBJS-SFHVURJKSA-N 0 3 316.445 4.402 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCC[C@H](c3ncccc3C)C1)CO2 ZINC000545275951 341118720 /nfs/dbraw/zinc/11/87/20/341118720.db2.gz MQYZNKYMJXVAJH-YQVWRLOYSA-N 0 3 322.452 4.448 20 0 DIADHN Cc1cc(CN2CCC[C@@H](C(F)(F)F)C2)cc2cccnc12 ZINC000545278770 341119048 /nfs/dbraw/zinc/11/90/48/341119048.db2.gz OWXZYYHWETWXRU-OAHLLOKOSA-N 0 3 308.347 4.318 20 0 DIADHN COC1CC(NCc2ccc(Oc3ccccc3F)c(F)c2)C1 ZINC000545302221 341119999 /nfs/dbraw/zinc/11/99/99/341119999.db2.gz RFTFNQYRCVTIDQ-UHFFFAOYSA-N 0 3 319.351 4.024 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CCCc2c(C)ccc(C)c21 ZINC000545310460 341120544 /nfs/dbraw/zinc/12/05/44/341120544.db2.gz PTRMNFXMVJWVNR-PMACEKPBSA-N 0 3 309.453 4.312 20 0 DIADHN Cc1csc(NC2CCN(Cc3cc(C)ccc3C)CC2)n1 ZINC000545322491 341121398 /nfs/dbraw/zinc/12/13/98/341121398.db2.gz RTPAIJPPZOBCKO-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000564288926 341122357 /nfs/dbraw/zinc/12/23/57/341122357.db2.gz QCWQMUPNDMJISI-TUAOUCFPSA-N 0 3 307.825 4.475 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)ncn2C)c1ccc2c(c1)CCCC2 ZINC000545465508 341126334 /nfs/dbraw/zinc/12/63/34/341126334.db2.gz RNIIJRAIPOLUGS-OAHLLOKOSA-N 0 3 319.452 4.303 20 0 DIADHN CCCOc1cc(CN[C@H](CC)CC(F)(F)F)ccc1OC ZINC000545467747 341126454 /nfs/dbraw/zinc/12/64/54/341126454.db2.gz ZZMMDOAEUPSQKM-CYBMUJFWSA-N 0 3 319.367 4.305 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cnn(-c2ccc(F)cc2)c1 ZINC000545470660 341126564 /nfs/dbraw/zinc/12/65/64/341126564.db2.gz OECRGDNFJJPVBO-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCC(=O)Nc1ccccc1Cl ZINC000545518435 341128144 /nfs/dbraw/zinc/12/81/44/341128144.db2.gz VUPFRAQGIKFSOG-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(c2ccccc2OC)CCC1 ZINC000545519164 341128270 /nfs/dbraw/zinc/12/82/70/341128270.db2.gz BCRIIRJJYBSNNZ-INIZCTEOSA-N 0 3 324.468 4.425 20 0 DIADHN C[C@@H]1CCC[C@@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545530193 341129215 /nfs/dbraw/zinc/12/92/15/341129215.db2.gz RHIUDARGYHNKLE-ZBFHGGJFSA-N 0 3 311.429 4.236 20 0 DIADHN C1=C(c2nc(-c3ccccc3CN3CCCCC3)no2)CCC1 ZINC000545703875 341137115 /nfs/dbraw/zinc/13/71/15/341137115.db2.gz YIISKYHIDOZVMS-UHFFFAOYSA-N 0 3 309.413 4.290 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)cc1OC(F)F)C(F)(F)F ZINC000545817847 341142315 /nfs/dbraw/zinc/14/23/15/341142315.db2.gz JJZQUJHLPZQTOG-YFKPBYRVSA-N 0 3 317.641 4.078 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NCC2CCCCCC2)nc1C ZINC000545877961 341143805 /nfs/dbraw/zinc/14/38/05/341143805.db2.gz ATBDFUZOIZVQMK-ZDUSSCGKSA-N 0 3 324.490 4.249 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1c3cc(C)ccc3C[C@H]1C)CCO2 ZINC000545943584 341147715 /nfs/dbraw/zinc/14/77/15/341147715.db2.gz UCCSLPWUDLSMSD-XWRIVVANSA-N 0 3 323.436 4.350 20 0 DIADHN C[C@H](O)[C@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000545949491 341147919 /nfs/dbraw/zinc/14/79/19/341147919.db2.gz NQXUTPFTZFSMAB-YJBOKZPZSA-N 0 3 313.416 4.086 20 0 DIADHN c1ccc([C@@H]2CCc3nc(CNC4CCCC4)sc3C2)cc1 ZINC000545951629 341148237 /nfs/dbraw/zinc/14/82/37/341148237.db2.gz BXCSDLGXNJJBFM-OAHLLOKOSA-N 0 3 312.482 4.448 20 0 DIADHN C[C@H](CN1CCCC[C@@H]1c1ccnn1C)c1cccc(Cl)c1 ZINC000545985015 341149739 /nfs/dbraw/zinc/14/97/39/341149739.db2.gz JIUCIKJUBQSRSE-RDTXWAMCSA-N 0 3 317.864 4.404 20 0 DIADHN COCCC(C)(C)NCc1csc(-c2ccc(C)cc2)n1 ZINC000546056596 341152957 /nfs/dbraw/zinc/15/29/57/341152957.db2.gz ZXKLQEXWHBDCDD-UHFFFAOYSA-N 0 3 304.459 4.023 20 0 DIADHN COCCC(C)(C)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000546055188 341153028 /nfs/dbraw/zinc/15/30/28/341153028.db2.gz MVSHEGGBESKOSK-UHFFFAOYSA-N 0 3 324.877 4.368 20 0 DIADHN COc1cccc(C[C@@H](NCc2cccn2C)c2ccccc2)c1 ZINC000133112044 341155148 /nfs/dbraw/zinc/15/51/48/341155148.db2.gz FUHASPGNGPOCGE-OAQYLSRUSA-N 0 3 320.436 4.107 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)OCCCO2 ZINC000546166091 341155474 /nfs/dbraw/zinc/15/54/74/341155474.db2.gz JBKZYYQDNGCYTA-DGCLKSJQSA-N 0 3 317.351 4.230 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(OCCOC)cc1 ZINC000546167990 341155669 /nfs/dbraw/zinc/15/56/69/341155669.db2.gz YEZHBLNAVYWVKT-OCCSQVGLSA-N 0 3 319.367 4.093 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)[C@H](OC)c1ccccc1F ZINC000546197842 341156940 /nfs/dbraw/zinc/15/69/40/341156940.db2.gz SVWVGIBDAZSZCW-KUHUBIRLSA-N 0 3 317.404 4.009 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2c(C)cccc2C)cc1F ZINC000546225758 341157758 /nfs/dbraw/zinc/15/77/58/341157758.db2.gz PBIUIUYVWNHJRC-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N(CC)CCC1CC1 ZINC000546225885 341157888 /nfs/dbraw/zinc/15/78/88/341157888.db2.gz RJPBXSMAZBWZRQ-OAHLLOKOSA-N 0 3 316.489 4.260 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1ccc(Cl)cc1C ZINC000546228232 341158068 /nfs/dbraw/zinc/15/80/68/341158068.db2.gz IJFBTSPWGUKUMV-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@@H](C)c1c(C)cccc1C ZINC000546228203 341158195 /nfs/dbraw/zinc/15/81/95/341158195.db2.gz HESAHPVJVGWHKF-KRWDZBQOSA-N 0 3 310.441 4.291 20 0 DIADHN CCCC[C@@H](CC)CN[C@H](c1nnc2ccccn21)C(C)C ZINC000546230019 341158250 /nfs/dbraw/zinc/15/82/50/341158250.db2.gz MQVUPCJWSMAGBA-WBVHZDCISA-N 0 3 302.466 4.232 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC1CC(c3cc(F)cc(F)c3)C1)CO2 ZINC000564301112 341158544 /nfs/dbraw/zinc/15/85/44/341158544.db2.gz DWRQDHFWONSBDK-AUCFXJAVSA-N 0 3 315.363 4.243 20 0 DIADHN CC(C)(C)Cc1nc(-c2ccccc2CN2CCCCC2)no1 ZINC000546405495 341167054 /nfs/dbraw/zinc/16/70/54/341167054.db2.gz UUWGVQOVRSBGDS-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN C[C@@H](CO)N(Cc1cnc(C2CCCCC2)s1)CC1CCC1 ZINC000564303163 341169900 /nfs/dbraw/zinc/16/99/00/341169900.db2.gz FHXZQVIXCAZYPW-AWEZNQCLSA-N 0 3 322.518 4.174 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCN1CC=C(C)CC1 ZINC000546575564 341173376 /nfs/dbraw/zinc/17/33/76/341173376.db2.gz VRHZBMKGTIRELJ-AWEZNQCLSA-N 0 3 321.534 4.052 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1cccnc1 ZINC000133485563 341176580 /nfs/dbraw/zinc/17/65/80/341176580.db2.gz JJLSGHCOLFZPGQ-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@H]1CCSC1 ZINC000546656650 341177519 /nfs/dbraw/zinc/17/75/19/341177519.db2.gz PIVBASLQKGDNEN-HNNXBMFYSA-N 0 3 301.455 4.222 20 0 DIADHN COC[C@H](N[C@H](C)CC(C)(C)c1ccccc1)c1ccco1 ZINC000546657070 341177550 /nfs/dbraw/zinc/17/75/50/341177550.db2.gz FYFSEPHNXUMLQI-WBVHZDCISA-N 0 3 301.430 4.313 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC1CC(c2ccccc2)C1 ZINC000546667125 341178040 /nfs/dbraw/zinc/17/80/40/341178040.db2.gz GROFQTODWJWRFG-UHFFFAOYSA-N 0 3 324.443 4.462 20 0 DIADHN COc1cc(OC)c([C@@H](C)NCCCCSC)cc1Cl ZINC000133706252 341182517 /nfs/dbraw/zinc/18/25/17/341182517.db2.gz BHLUXKDUTBVSPX-LLVKDONJSA-N 0 3 317.882 4.151 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@@H]43)co2)cc1 ZINC000075768535 341182544 /nfs/dbraw/zinc/18/25/44/341182544.db2.gz IHEFAVIZEAYTPZ-KSSFIOAISA-N 0 3 312.413 4.115 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cccc(-n2cccn2)c1 ZINC000546880263 341185171 /nfs/dbraw/zinc/18/51/71/341185171.db2.gz ZXAWFGZPMZJEMM-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000546936440 341187545 /nfs/dbraw/zinc/18/75/45/341187545.db2.gz YUXLOMWWRMBSOX-ROUUACIJSA-N 0 3 324.468 4.174 20 0 DIADHN c1ccc(-c2noc(CCC3CCC3)n2)c(CN2CCCC2)c1 ZINC000546940510 341187973 /nfs/dbraw/zinc/18/79/73/341187973.db2.gz PBLCMWDYRDSWCI-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN CC(C)C[C@@H](CCO)CNCc1ccc(-c2ccccc2)o1 ZINC000236753765 341198379 /nfs/dbraw/zinc/19/83/79/341198379.db2.gz GKFNTGJYDNPMES-MRXNPFEDSA-N 0 3 301.430 4.081 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC[C@@H]2C(C)=CCC[C@@H]2C)c(C)c1 ZINC000133935628 341201113 /nfs/dbraw/zinc/20/11/13/341201113.db2.gz HQAFVALFYDMVDO-MAUKXSAKSA-N 0 3 314.473 4.132 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(Cl)s1)c1ccco1 ZINC000236846244 341203030 /nfs/dbraw/zinc/20/30/30/341203030.db2.gz DNLFADDTXQBGPJ-ZDUSSCGKSA-N 0 3 312.866 4.167 20 0 DIADHN CCC(C)(C)NCc1nc(-c2ccc(Br)cc2)c[nH]1 ZINC000236859781 341203341 /nfs/dbraw/zinc/20/33/41/341203341.db2.gz LWIUKMMQHFFKQS-UHFFFAOYSA-N 0 3 322.250 4.117 20 0 DIADHN CCC(O)(CC)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236858195 341203395 /nfs/dbraw/zinc/20/33/95/341203395.db2.gz DAXDEUZBTYBDEC-UHFFFAOYSA-N 0 3 307.821 4.241 20 0 DIADHN CC[C@H](NC1CC(OCc2ccccc2)C1)c1ccc(F)cn1 ZINC000547328296 341206211 /nfs/dbraw/zinc/20/62/11/341206211.db2.gz UYIJCWFQTBQHHR-ABHNRTSZSA-N 0 3 314.404 4.009 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1cc(C(F)(F)F)ccc1F ZINC000237133727 341207847 /nfs/dbraw/zinc/20/78/47/341207847.db2.gz WLYPVZSTFPRKSJ-SNVBAGLBSA-N 0 3 307.331 4.138 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@H](C)c2nc3ccccc3n2C)s1 ZINC000134161638 341208218 /nfs/dbraw/zinc/20/82/18/341208218.db2.gz ALBPZMXICINIHN-GXTWGEPZSA-N 0 3 313.470 4.225 20 0 DIADHN COC[C@@H](Cc1ccccc1)NCc1cccc(C(F)(F)F)c1 ZINC000237171321 341208430 /nfs/dbraw/zinc/20/84/30/341208430.db2.gz XGYFREKAJKDENP-QGZVFWFLSA-N 0 3 323.358 4.053 20 0 DIADHN CCOc1ccc([C@H](N[C@@H](C)CCOC)c2ccccc2)cc1 ZINC000547367059 341209305 /nfs/dbraw/zinc/20/93/05/341209305.db2.gz MKVBOMMMTZWPQI-OXJNMPFZSA-N 0 3 313.441 4.189 20 0 DIADHN CC1(c2ccccc2)CC(NCc2c[nH]c(-c3ccccc3)n2)C1 ZINC000547373962 341209610 /nfs/dbraw/zinc/20/96/10/341209610.db2.gz ZIHZOSCKQCMDPI-UHFFFAOYSA-N 0 3 317.436 4.287 20 0 DIADHN CCn1c2ccccc2nc1CN[C@H]1C[C@H](c2ccccc2C)C1 ZINC000547374397 341209668 /nfs/dbraw/zinc/20/96/68/341209668.db2.gz ZVQASDHPCYXZCX-QAQDUYKDSA-N 0 3 319.452 4.400 20 0 DIADHN COc1ccc([C@@H](NCC2CC2)c2ccccc2Cl)cc1 ZINC000237263902 341210209 /nfs/dbraw/zinc/21/02/09/341210209.db2.gz PYXUVLSQPXDTGK-GOSISDBHSA-N 0 3 301.817 4.438 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2cnc(-c3ccccc3)s2)C1(C)C ZINC000237275816 341210566 /nfs/dbraw/zinc/21/05/66/341210566.db2.gz JTGOEZVGRZMQEH-MAUKXSAKSA-N 0 3 316.470 4.103 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2Cc2sccc2Cl)C1 ZINC000547398507 341211011 /nfs/dbraw/zinc/21/10/11/341211011.db2.gz MEWMWXSRHKIYIP-UXOAXIEHSA-N 0 3 313.894 4.163 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1sccc1Br ZINC000547656448 341225542 /nfs/dbraw/zinc/22/55/42/341225542.db2.gz QNJRSZQKIDVXMJ-NXEZZACHSA-N 0 3 318.280 4.119 20 0 DIADHN Fc1ccc(C=C2CCN(CCc3ccncc3)CC2)cc1F ZINC000547398835 341211161 /nfs/dbraw/zinc/21/11/61/341211161.db2.gz TYYNSBRXKHMRAZ-UHFFFAOYSA-N 0 3 314.379 4.082 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(C3CCCCC3)cc2)cn1 ZINC000547412758 341211779 /nfs/dbraw/zinc/21/17/79/341211779.db2.gz DJAPNGPXWFMDOE-UHFFFAOYSA-N 0 3 311.473 4.493 20 0 DIADHN C[C@@]1(CNCc2ccc(-c3ccccc3Cl)o2)CCCO1 ZINC000237362410 341212504 /nfs/dbraw/zinc/21/25/04/341212504.db2.gz PZMGRLSEVWXZRR-KRWDZBQOSA-N 0 3 305.805 4.259 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC2CCN(c3ccccc3)CC2)o1 ZINC000237367920 341212667 /nfs/dbraw/zinc/21/26/67/341212667.db2.gz DJODEPOTTQGGML-BEFAXECRSA-N 0 3 310.441 4.162 20 0 DIADHN Cc1csc(NC2CCN([C@H](C)Cc3ccsc3)CC2)n1 ZINC000547442936 341213867 /nfs/dbraw/zinc/21/38/67/341213867.db2.gz QOIZDVMALPSARE-CYBMUJFWSA-N 0 3 321.515 4.021 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1ccc2c(c1)CCCC2 ZINC000237447082 341214189 /nfs/dbraw/zinc/21/41/89/341214189.db2.gz RONOTNJFUOVSTQ-CQSZACIVSA-N 0 3 305.425 4.293 20 0 DIADHN O=C(CCN1CCCCC[C@H]1c1ccco1)Nc1ccccc1 ZINC000076804351 341214399 /nfs/dbraw/zinc/21/43/99/341214399.db2.gz RLIMHXNJAVBBHM-KRWDZBQOSA-N 0 3 312.413 4.226 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2nc3c(s2)CCCC3)o1 ZINC000237572020 341215941 /nfs/dbraw/zinc/21/59/41/341215941.db2.gz JYEYSMRAHBEISB-JSGCOSHPSA-N 0 3 316.470 4.071 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc(Br)cn1 ZINC000134537427 341217507 /nfs/dbraw/zinc/21/75/07/341217507.db2.gz ZEPGZVGZCLSRFI-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNC2CCN(c3ccccc3)CC2)o1 ZINC000237665247 341217808 /nfs/dbraw/zinc/21/78/08/341217808.db2.gz DJODEPOTTQGGML-HNAYVOBHSA-N 0 3 310.441 4.162 20 0 DIADHN C[C@H](CN[C@@H]1CCc2c1cccc2Br)C(F)(F)F ZINC000547507223 341218818 /nfs/dbraw/zinc/21/88/18/341218818.db2.gz FMCRHIPICZISQQ-PRHODGIISA-N 0 3 322.168 4.224 20 0 DIADHN Cc1cccc2c(CCNCc3ccc(F)cc3F)c[nH]c21 ZINC000237765965 341220016 /nfs/dbraw/zinc/22/00/16/341220016.db2.gz QNGYGOKCHONOIF-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN Cc1ccc2c(CCNCc3cc(F)ccc3F)c[nH]c2c1 ZINC000237884667 341222572 /nfs/dbraw/zinc/22/25/72/341222572.db2.gz NEMTXSLAHVDSHH-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1ccc2ncsc2c1 ZINC000547604239 341222905 /nfs/dbraw/zinc/22/29/05/341222905.db2.gz HAURVJXVWFQYDR-LLVKDONJSA-N 0 3 308.410 4.023 20 0 DIADHN C[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc2ncsc2c1 ZINC000547604239 341222906 /nfs/dbraw/zinc/22/29/06/341222906.db2.gz HAURVJXVWFQYDR-LLVKDONJSA-N 0 3 308.410 4.023 20 0 DIADHN CC1(C)CCCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000077382600 341224108 /nfs/dbraw/zinc/22/41/08/341224108.db2.gz KAWNQNHVJDFHGB-UHFFFAOYSA-N 0 3 308.425 4.167 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134800001 341224375 /nfs/dbraw/zinc/22/43/75/341224375.db2.gz BBCKGLGWXALMKP-RYUDHWBXSA-N 0 3 304.434 4.053 20 0 DIADHN Clc1cccc(C2(NCc3ccc(-n4ccnc4)cc3)CC2)c1 ZINC000077467813 341225340 /nfs/dbraw/zinc/22/53/40/341225340.db2.gz BPHJZVYWOLLICX-UHFFFAOYSA-N 0 3 323.827 4.305 20 0 DIADHN C[C@@H](NCCCc1cccc(F)c1)c1nc2c(s1)CCC2 ZINC000134919226 341226727 /nfs/dbraw/zinc/22/67/27/341226727.db2.gz UXKBREASJKUKJE-GFCCVEGCSA-N 0 3 304.434 4.054 20 0 DIADHN C[C@H](NCCCc1cccc(F)c1)c1nc2c(s1)CCC2 ZINC000134919206 341226739 /nfs/dbraw/zinc/22/67/39/341226739.db2.gz UXKBREASJKUKJE-LBPRGKRZSA-N 0 3 304.434 4.054 20 0 DIADHN CC(C)OCCCN1CCC[C@H](c2nc3c(s2)CCCC3)C1 ZINC000152647521 341227818 /nfs/dbraw/zinc/22/78/18/341227818.db2.gz KHMFPORXQMYUFQ-HNNXBMFYSA-N 0 3 322.518 4.016 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)[C@@H]1CCCC[C@H]1C ZINC000547747797 341230058 /nfs/dbraw/zinc/23/00/58/341230058.db2.gz IVAVMRFIEHBIIL-RHSMWYFYSA-N 0 3 302.462 4.143 20 0 DIADHN CCC[C@H](N[C@@H](C)C(=O)NC(C)(C)C)c1ccc(Cl)cc1 ZINC000135139090 341232124 /nfs/dbraw/zinc/23/21/24/341232124.db2.gz VMIMQRDKANCHTJ-WFASDCNBSA-N 0 3 310.869 4.074 20 0 DIADHN CCC[C@@H](N[C@H](C)C(=O)NC(C)(C)C)c1ccc(Cl)cc1 ZINC000135139146 341232149 /nfs/dbraw/zinc/23/21/49/341232149.db2.gz VMIMQRDKANCHTJ-IUODEOHRSA-N 0 3 310.869 4.074 20 0 DIADHN Fc1ccc(CCCCNCc2cnc(C3CC3)s2)cc1F ZINC000547820537 341234779 /nfs/dbraw/zinc/23/47/79/341234779.db2.gz NVTHEKZSCREHHV-UHFFFAOYSA-N 0 3 322.424 4.411 20 0 DIADHN CCc1csc(NC2CCN([C@@H](C)c3ccccc3)CC2)n1 ZINC000547825897 341235616 /nfs/dbraw/zinc/23/56/16/341235616.db2.gz VHNKJFAENIQERR-AWEZNQCLSA-N 0 3 315.486 4.343 20 0 DIADHN Clc1ccccc1-c1csc(CNC2(C3CC3)CC2)n1 ZINC000547831318 341236184 /nfs/dbraw/zinc/23/61/84/341236184.db2.gz COZNLOWPAGYNED-UHFFFAOYSA-N 0 3 304.846 4.496 20 0 DIADHN COc1ccc(CN(C)C)cc1NC[C@@H](C)Cc1ccccc1 ZINC000547839795 341236900 /nfs/dbraw/zinc/23/69/00/341236900.db2.gz WAFWHFSQSVOTOO-INIZCTEOSA-N 0 3 312.457 4.048 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000078529131 341243439 /nfs/dbraw/zinc/24/34/39/341243439.db2.gz CQPRMPAZEINIOW-WMLDXEAASA-N 0 3 302.462 4.006 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCCC[C@@H]2C)c(Cl)c1 ZINC000548074512 341245850 /nfs/dbraw/zinc/24/58/50/341245850.db2.gz LOKAALBZWTXUBN-BBRMVZONSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](NCCc1ccccc1)c1nc2ccccc2n1C(F)F ZINC000548074976 341245856 /nfs/dbraw/zinc/24/58/56/341245856.db2.gz YPKXSEWBYMNRGK-ZDUSSCGKSA-N 0 3 315.367 4.325 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000548095531 341246763 /nfs/dbraw/zinc/24/67/63/341246763.db2.gz NIXZHSPIRIVSNK-AWEZNQCLSA-N 0 3 305.368 4.007 20 0 DIADHN C[C@@H](c1cccc(NC(=O)c2ccccc2)c1)N1[C@H](C)C[C@@H]1C ZINC000548098660 341247271 /nfs/dbraw/zinc/24/72/71/341247271.db2.gz SZXKMLYPQAOAIE-XHSDSOJGSA-N 0 3 308.425 4.483 20 0 DIADHN OCC[C@H]1CCC[C@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000548299682 341254914 /nfs/dbraw/zinc/25/49/14/341254914.db2.gz AGYYGOKOZFFRTO-CXAGYDPISA-N 0 3 319.832 4.241 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ccc2ccccc2n1 ZINC000548324810 341256162 /nfs/dbraw/zinc/25/61/62/341256162.db2.gz TWUIVQCMDVRAGM-QZTJIDSGSA-N 0 3 300.471 4.389 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1ccc(Br)cc1F ZINC000136345084 341257036 /nfs/dbraw/zinc/25/70/36/341257036.db2.gz QRZOMZPPYPOKKC-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@@H](NC1CCSCC1)c1ccc(Br)cc1F ZINC000136357924 341257243 /nfs/dbraw/zinc/25/72/43/341257243.db2.gz JINBUEBXSYUNTB-SECBINFHSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)C1(C)CCCCC1)c1ccccc1 ZINC000548372813 341257421 /nfs/dbraw/zinc/25/74/21/341257421.db2.gz PJLARGMEFRIKNI-MRXNPFEDSA-N 0 3 316.489 4.202 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1coc(-c2cccc(F)c2)n1 ZINC000548402762 341259049 /nfs/dbraw/zinc/25/90/49/341259049.db2.gz XOXPPVFRNLRAEU-DYVFJYSZSA-N 0 3 302.393 4.491 20 0 DIADHN CCC(O)(CC)C(C)(C)CN[C@@H](c1ccc(F)cn1)C(C)C ZINC000564351785 341261960 /nfs/dbraw/zinc/26/19/60/341261960.db2.gz QCWLDXOFEJNWOD-MRXNPFEDSA-N 0 3 310.457 4.085 20 0 DIADHN Fc1c(Cl)cccc1CN[C@H]1CCOC2(CCCCC2)C1 ZINC000136719307 341265965 /nfs/dbraw/zinc/26/59/65/341265965.db2.gz NPCKQRRIVDRDIH-AWEZNQCLSA-N 0 3 311.828 4.451 20 0 DIADHN C[C@@H](NCCC(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC000243401766 341269173 /nfs/dbraw/zinc/26/91/73/341269173.db2.gz MNMHTZGEOHZAFA-CYBMUJFWSA-N 0 3 302.805 4.019 20 0 DIADHN Clc1ccc(CNCc2ccccc2)cc1Br ZINC000137069407 341271709 /nfs/dbraw/zinc/27/17/09/341271709.db2.gz YUDVJDAQXGBAEO-UHFFFAOYSA-N 0 3 310.622 4.392 20 0 DIADHN Clc1ccc(CNCCc2ccco2)cc1Br ZINC000137070226 341271755 /nfs/dbraw/zinc/27/17/55/341271755.db2.gz NXMKPRHECDUTCU-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN COCc1ccc([C@H]2CCCN2[C@@H](C)Cc2ccsc2)o1 ZINC000137078892 341271799 /nfs/dbraw/zinc/27/17/99/341271799.db2.gz DATXCOROPDMYGP-XJKSGUPXSA-N 0 3 305.443 4.256 20 0 DIADHN C[C@H](CCC(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000137268005 341273981 /nfs/dbraw/zinc/27/39/81/341273981.db2.gz JYJJNVQSPGTXGU-MRXNPFEDSA-N 0 3 310.441 4.271 20 0 DIADHN COCC[C@H](NCC(C)(C)C(F)(F)F)c1ccc(F)cc1 ZINC000548883622 341279742 /nfs/dbraw/zinc/27/97/42/341279742.db2.gz ULYPGHVRKALAGK-ZDUSSCGKSA-N 0 3 307.331 4.081 20 0 DIADHN CO[C@@H](CN1CC[C@H]1c1ccccc1)c1ccc(Cl)cc1 ZINC000548918460 341281101 /nfs/dbraw/zinc/28/11/01/341281101.db2.gz SQVIRVYGAVZPBV-ROUUACIJSA-N 0 3 301.817 4.475 20 0 DIADHN C[C@H](NCC(C)(C)C(F)(F)F)c1cnn(C2CCCC2)c1 ZINC000549037685 341284214 /nfs/dbraw/zinc/28/42/14/341284214.db2.gz BBQMPWRCZHUWFH-NSHDSACASA-N 0 3 303.372 4.237 20 0 DIADHN COc1cccc(C2=CCN(C[C@H](OC)c3ccccc3)CC2)c1 ZINC000549040991 341284458 /nfs/dbraw/zinc/28/44/58/341284458.db2.gz UJWBYNVICWPDSG-NRFANRHFSA-N 0 3 323.436 4.172 20 0 DIADHN Cc1cnc(C2CCN(C[C@@H]3CCC4(CCCC4)O3)CC2)s1 ZINC000549163901 341287578 /nfs/dbraw/zinc/28/75/78/341287578.db2.gz FNHFMNFRVOFIFW-INIZCTEOSA-N 0 3 320.502 4.123 20 0 DIADHN C[C@H]1CN(C(=O)c2ccc(CN3CCCC3)cc2)c2ccccc21 ZINC000549242751 341289449 /nfs/dbraw/zinc/28/94/49/341289449.db2.gz NKTXVLFRIUEWLG-INIZCTEOSA-N 0 3 320.436 4.046 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc(F)c3ccccc3c2)c1 ZINC000549320557 341291144 /nfs/dbraw/zinc/29/11/44/341291144.db2.gz BRVMFIAIZBSWOG-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN C[C@]1(C(=O)Nc2cccc(CN3CCCCC3)c2)C[C@H]2C[C@H]2C1 ZINC000549339487 341291520 /nfs/dbraw/zinc/29/15/20/341291520.db2.gz JAUPRJPYYQTZPS-YRWFTTLQSA-N 0 3 312.457 4.047 20 0 DIADHN O=C(Nc1cccc2cc[nH]c21)c1ccc(CN2CCCC2)cc1 ZINC000549340543 341291659 /nfs/dbraw/zinc/29/16/59/341291659.db2.gz AEGJXGFOWKUTBN-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN COC1(CCN(C)Cc2ccccc2C(F)(F)F)CCC1 ZINC000549347936 341291878 /nfs/dbraw/zinc/29/18/78/341291878.db2.gz NIEGGZOUCYNTED-UHFFFAOYSA-N 0 3 301.352 4.096 20 0 DIADHN CCc1ccc([C@H]2C[C@H](C)CCN2CCc2ccnn2CC)o1 ZINC000549349965 341292203 /nfs/dbraw/zinc/29/22/03/341292203.db2.gz HZMWEHVANZSXGZ-CRAIPNDOSA-N 0 3 315.461 4.074 20 0 DIADHN CO[C@H](CN1CC(c2nc3ccccc3s2)C1)c1ccccc1 ZINC000549386937 341293055 /nfs/dbraw/zinc/29/30/55/341293055.db2.gz GDLZBGZUGIOESM-QGZVFWFLSA-N 0 3 324.449 4.083 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccc(-c2cnn(C)c2)s1 ZINC000549401520 341293270 /nfs/dbraw/zinc/29/32/70/341293270.db2.gz LAIKTPRAUUHNMX-CQSZACIVSA-N 0 3 323.465 4.095 20 0 DIADHN C[C@@H](NCc1cccc(F)c1Cl)[C@@H]1COc2ccccc21 ZINC000549543849 341296910 /nfs/dbraw/zinc/29/69/10/341296910.db2.gz DHQOQFRGASUZPU-RISCZKNCSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](NCc1cccc(F)c1Cl)[C@@H]1COc2ccccc21 ZINC000549543848 341296916 /nfs/dbraw/zinc/29/69/16/341296916.db2.gz DHQOQFRGASUZPU-FZMZJTMJSA-N 0 3 305.780 4.133 20 0 DIADHN c1c2ccccc2sc1CNCC1=Cc2ccccc2OC1 ZINC000549550986 341297442 /nfs/dbraw/zinc/29/74/42/341297442.db2.gz OUGKKIRBGWJICY-UHFFFAOYSA-N 0 3 307.418 4.467 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H]1CCCO[C@@H]1CC(C)C ZINC000549553584 341297533 /nfs/dbraw/zinc/29/75/33/341297533.db2.gz DYRHOCGKYSYBOC-RTBURBONSA-N 0 3 304.478 4.197 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H]2C[C@H]2c2ccccc2)[n-]1 ZINC000549610770 341298883 /nfs/dbraw/zinc/29/88/83/341298883.db2.gz KURBXUUAICQUSJ-AUOOEQCUSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@H](C)[C@@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000549610770 341298884 /nfs/dbraw/zinc/29/88/84/341298884.db2.gz KURBXUUAICQUSJ-AUOOEQCUSA-N 0 3 312.461 4.161 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1cccs1 ZINC000549610812 341298891 /nfs/dbraw/zinc/29/88/91/341298891.db2.gz QAWFVYYLHNMXIE-SWLSCSKDSA-N 0 3 308.878 4.355 20 0 DIADHN CSCc1cnc(CN[C@@H](C)[C@@H](C)c2ccccc2)s1 ZINC000549761097 341302431 /nfs/dbraw/zinc/30/24/31/341302431.db2.gz UHWZBHVTRRXKNT-OLZOCXBDSA-N 0 3 306.500 4.288 20 0 DIADHN Cc1cccc([C@@H](C)CNCc2conc2Cc2ccccc2)c1 ZINC000549764833 341302586 /nfs/dbraw/zinc/30/25/86/341302586.db2.gz UVLMHTSEYUJWMZ-KRWDZBQOSA-N 0 3 320.436 4.467 20 0 DIADHN c1cc(C2(NCc3ccc(C4CCC4)cc3)CC2)cc2c1OCO2 ZINC000549777417 341302923 /nfs/dbraw/zinc/30/29/23/341302923.db2.gz HAYVUWBUYGWNBA-UHFFFAOYSA-N 0 3 321.420 4.462 20 0 DIADHN CC(C)N(C(=O)CN1CCCCC[C@H]1c1ccccc1)C(C)C ZINC000549862151 341305299 /nfs/dbraw/zinc/30/52/99/341305299.db2.gz MSQBIIJVXWSDIE-IBGZPJMESA-N 0 3 316.489 4.249 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccc(Cl)cc1)c1ccoc1 ZINC000245618323 341305867 /nfs/dbraw/zinc/30/58/67/341305867.db2.gz VZOOGGYGVUAHRS-ZLIFDBKOSA-N 0 3 304.821 4.029 20 0 DIADHN C[C@@H](c1cccc(NC(=O)c2ccccc2)c1)N1C[C@@H](C)[C@@H]1C ZINC000549924527 341306927 /nfs/dbraw/zinc/30/69/27/341306927.db2.gz YYWZXQPYXHGXEN-PMPSAXMXSA-N 0 3 308.425 4.340 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C(C)C)cc2)s1)[C@@H](C)CO ZINC000549974777 341308216 /nfs/dbraw/zinc/30/82/16/341308216.db2.gz XEORQGMHRYCZAF-AWEZNQCLSA-N 0 3 318.486 4.136 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccco3)n2)[C@@H]2CCC[C@@H]21 ZINC000550082722 341309761 /nfs/dbraw/zinc/30/97/61/341309761.db2.gz VZSHPOBWUKYNBQ-UONOGXRCSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2C[C@@H](C)O[C@@H](C)C2)o1 ZINC000245719029 341311632 /nfs/dbraw/zinc/31/16/32/341311632.db2.gz ULFWJXNGDWAWLU-MIFYACCESA-N 0 3 317.404 4.440 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)NCc1conc1C ZINC000550174943 341311671 /nfs/dbraw/zinc/31/16/71/341311671.db2.gz MUCLCKGUOGMZKA-INIZCTEOSA-N 0 3 304.459 4.260 20 0 DIADHN c1csc(CCCNCc2conc2Cc2ccccc2)c1 ZINC000550174621 341311690 /nfs/dbraw/zinc/31/16/90/341311690.db2.gz RCQRBGGSRPBDNH-UHFFFAOYSA-N 0 3 312.438 4.049 20 0 DIADHN Cc1sccc1CN[C@@H]1CCN(c2ccc(Cl)cc2)C1 ZINC000550199839 341312374 /nfs/dbraw/zinc/31/23/74/341312374.db2.gz QDTBSSHGNPYHFN-OAHLLOKOSA-N 0 3 306.862 4.078 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)c1 ZINC000550215664 341312590 /nfs/dbraw/zinc/31/25/90/341312590.db2.gz IEDSYFDBDTUWSI-YGUOUDRMSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccccc1 ZINC000550226748 341312963 /nfs/dbraw/zinc/31/29/63/341312963.db2.gz WCKMSLQJJQGWEH-HUUCEWRRSA-N 0 3 309.388 4.423 20 0 DIADHN Cc1nc2ccccn2c1CNCc1cc2ccccc2s1 ZINC000550227954 341313085 /nfs/dbraw/zinc/31/30/85/341313085.db2.gz MWKLGWNMRHQBBM-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN CCn1c2ccccc2nc1CNC[C@]1(C)C[C@H]1c1ccccc1 ZINC000550251597 341313426 /nfs/dbraw/zinc/31/34/26/341313426.db2.gz GIMQHSSCKCUILB-UWJYYQICSA-N 0 3 319.452 4.340 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2F)o1)c1cc[nH]c(=O)c1 ZINC000550257401 341313697 /nfs/dbraw/zinc/31/36/97/341313697.db2.gz ZUHDFYKKYJKUQI-LBPRGKRZSA-N 0 3 312.344 4.037 20 0 DIADHN COc1cc(C)c(CNC(C)(C)c2ccccc2C)cc1OC ZINC000550263866 341313735 /nfs/dbraw/zinc/31/37/35/341313735.db2.gz ZUZVJSBPGZAHAD-UHFFFAOYSA-N 0 3 313.441 4.346 20 0 DIADHN C[C@H]1CN(CCC(=O)Nc2ccccc2Oc2ccccc2)[C@H]1C ZINC000550304532 341314729 /nfs/dbraw/zinc/31/47/29/341314729.db2.gz FHFZSVSAUAZHSW-HOTGVXAUSA-N 0 3 324.424 4.148 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1F)[C@H]1COc2ccccc21 ZINC000245835704 341315792 /nfs/dbraw/zinc/31/57/92/341315792.db2.gz CMTYOPWHLNBDSV-YWPYICTPSA-N 0 3 303.352 4.180 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2CN[C@H](C)c2ccccn2)cc1 ZINC000245849407 341316059 /nfs/dbraw/zinc/31/60/59/341316059.db2.gz CRKFHJBDYJDXIC-POAQFYNOSA-N 0 3 310.441 4.209 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CC)c2c(C)noc2C)C12CCCC2 ZINC000245871826 341316776 /nfs/dbraw/zinc/31/67/76/341316776.db2.gz JNKQYYBBPRPJSJ-BZUAXINKSA-N 0 3 306.450 4.070 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(C)ccc32)c(C)c1OC ZINC000564371358 341317162 /nfs/dbraw/zinc/31/71/62/341317162.db2.gz QSYMHROEEUFLKM-GOSISDBHSA-N 0 3 311.425 4.098 20 0 DIADHN CC[C@@H]1CCCCN1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000245890206 341317512 /nfs/dbraw/zinc/31/75/12/341317512.db2.gz CDXRZKJAKLTDCT-TZIWHRDSSA-N 0 3 323.484 4.025 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)o1 ZINC000245923631 341318447 /nfs/dbraw/zinc/31/84/47/341318447.db2.gz REHQUGPCSLCPKN-PBQZTSCYSA-N 0 3 321.367 4.301 20 0 DIADHN C[C@H]1CN(Cc2ccccn2)CC[C@H]1Nc1ccc(Cl)cc1 ZINC000245930740 341318745 /nfs/dbraw/zinc/31/87/45/341318745.db2.gz UUYQOFAPEZEFBV-KBXCAEBGSA-N 0 3 315.848 4.058 20 0 DIADHN C[C@@H]1OCC[C@@H]1N[C@@H](c1ccsc1)c1ccc(Cl)cc1 ZINC000245945255 341319113 /nfs/dbraw/zinc/31/91/13/341319113.db2.gz NTCAFIQZGBJBOJ-KNXALSJPSA-N 0 3 307.846 4.258 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccncc1F)Oc1ccccc1Cl ZINC000550678552 341321149 /nfs/dbraw/zinc/32/11/49/341321149.db2.gz IQHMKAXTVDINIM-STQMWFEESA-N 0 3 322.811 4.382 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C(F)(F)F)C1)c1ccncc1F ZINC000550678442 341321190 /nfs/dbraw/zinc/32/11/90/341321190.db2.gz GODWPFOJLJEDBZ-SDDRHHMPSA-N 0 3 304.331 4.240 20 0 DIADHN CCCC[C@H](CCC)C[NH2+][C@@H](c1cccs1)c1nnc[n-]1 ZINC000550679989 341321334 /nfs/dbraw/zinc/32/13/34/341321334.db2.gz DUYBWRAZONQKTL-ZFWWWQNUSA-N 0 3 306.479 4.152 20 0 DIADHN CCCC[C@H](CCC)CN[C@@H](c1cccs1)c1nnc[nH]1 ZINC000550679989 341321335 /nfs/dbraw/zinc/32/13/35/341321335.db2.gz DUYBWRAZONQKTL-ZFWWWQNUSA-N 0 3 306.479 4.152 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)C[C@H]1CCC(F)(F)C1 ZINC000550708211 341321980 /nfs/dbraw/zinc/32/19/80/341321980.db2.gz DVCDTTPFPXVGHW-HNNXBMFYSA-N 0 3 324.415 4.081 20 0 DIADHN CCCN(Cc1ccccc1)[C@H](C)C(=O)N1[C@H](C)CCC[C@H]1C ZINC000550730912 341322229 /nfs/dbraw/zinc/32/22/29/341322229.db2.gz YBFOUNINTRCUCI-KZNAEPCWSA-N 0 3 316.489 4.077 20 0 DIADHN COc1ccncc1CN[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000550844130 341324320 /nfs/dbraw/zinc/32/43/20/341324320.db2.gz JGTJSRCZNQXFAV-QWHCGFSZSA-N 0 3 304.821 4.025 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(C(F)(F)F)cc1 ZINC000550857599 341324756 /nfs/dbraw/zinc/32/47/56/341324756.db2.gz CFOCWUXIZQFYCP-MNOVXSKESA-N 0 3 310.319 4.218 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2ccc(C)o2)c(Cl)c1OC ZINC000550858295 341324903 /nfs/dbraw/zinc/32/49/03/341324903.db2.gz QPUQFHIVBPSDIA-LBPRGKRZSA-N 0 3 323.820 4.152 20 0 DIADHN COc1ccc([C@H](NCC[C@H](C)F)c2ccc(F)cc2)cc1 ZINC000550886840 341325712 /nfs/dbraw/zinc/32/57/12/341325712.db2.gz QETHHPVEKQMKLB-SCLBCKFNSA-N 0 3 305.368 4.261 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(F)F)c(F)c1)c1cccnc1 ZINC000550895412 341326017 /nfs/dbraw/zinc/32/60/17/341326017.db2.gz PHBYEMFOROUWCO-INIZCTEOSA-N 0 3 324.346 4.309 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCOc2ccc(C(C)C)cc21)C1CC1 ZINC000550895769 341326089 /nfs/dbraw/zinc/32/60/89/341326089.db2.gz WPMFQFJDVNXWRJ-MJGOQNOKSA-N 0 3 303.446 4.038 20 0 DIADHN CC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccc(SC(C)C)cc1 ZINC000246101021 341326306 /nfs/dbraw/zinc/32/63/06/341326306.db2.gz HYXFDAHSXHAHHO-ZNMIVQPWSA-N 0 3 322.518 4.141 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccncc1F)Cc1ccccc1 ZINC000550900620 341326366 /nfs/dbraw/zinc/32/63/66/341326366.db2.gz UDUZBCDNWJXHEZ-RDJZCZTQSA-N 0 3 300.421 4.386 20 0 DIADHN COc1cc([C@H](C)NC[C@H](c2ccccc2)C(F)(F)F)ccn1 ZINC000550909602 341326596 /nfs/dbraw/zinc/32/65/96/341326596.db2.gz BKQODCKQODTCCF-SWLSCSKDSA-N 0 3 324.346 4.087 20 0 DIADHN COc1cc([C@H](C)N[C@H]2c3cccc(F)c3CC[C@@H]2C)ccn1 ZINC000550938189 341327385 /nfs/dbraw/zinc/32/73/85/341327385.db2.gz RIATUIUGPCGODL-WTOJCKNJSA-N 0 3 314.404 4.204 20 0 DIADHN C[C@@H](CN(C)Cc1ccncc1)c1ccc(C(F)(F)F)cc1 ZINC000550954259 341327645 /nfs/dbraw/zinc/32/76/45/341327645.db2.gz IXGRPNGOHMSTFK-ZDUSSCGKSA-N 0 3 308.347 4.336 20 0 DIADHN Cc1ccc(CSCCN[C@@H](C)c2ccncc2F)cc1 ZINC000550995023 341328598 /nfs/dbraw/zinc/32/85/98/341328598.db2.gz ZTIVOKGPIQEKOR-AWEZNQCLSA-N 0 3 304.434 4.113 20 0 DIADHN C[C@@H](NCC(=O)N(C)C1CCCCC1)c1cccc(C2CC2)c1 ZINC000551063828 341330655 /nfs/dbraw/zinc/33/06/55/341330655.db2.gz DKGXOXFWGMKCRF-OAHLLOKOSA-N 0 3 314.473 4.006 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@@H](C)c1ncc(Br)cn1 ZINC000551211866 341334082 /nfs/dbraw/zinc/33/40/82/341334082.db2.gz YOPTWUZGKKCQBQ-GVXVVHGQSA-N 0 3 314.271 4.105 20 0 DIADHN CC[C@H](C[C@@H](C)CC)N[C@H](C)c1ncc(Br)cn1 ZINC000551221100 341334281 /nfs/dbraw/zinc/33/42/81/341334281.db2.gz ATEGKIAJHAFWHE-DMDPSCGWSA-N 0 3 314.271 4.105 20 0 DIADHN CC[C@H](C)C[C@H](CC)N[C@H](C)c1ncc(Br)cn1 ZINC000551221103 341334371 /nfs/dbraw/zinc/33/43/71/341334371.db2.gz ATEGKIAJHAFWHE-LOWVWBTDSA-N 0 3 314.271 4.105 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1nc2ccccc2n1C ZINC000551222049 341334373 /nfs/dbraw/zinc/33/43/73/341334373.db2.gz GLFPAWKWLIMRJZ-FRFSOERESA-N 0 3 323.415 4.309 20 0 DIADHN Clc1ccc(-c2ncc(CNC[C@@H]3CCCS3)s2)cc1 ZINC000551231485 341334744 /nfs/dbraw/zinc/33/47/44/341334744.db2.gz DCGZOTZETLMIRH-ZDUSSCGKSA-N 0 3 324.902 4.449 20 0 DIADHN Cc1cccc(NC(=O)C[C@H](C)NCc2coc3ccccc23)c1 ZINC000551240529 341335047 /nfs/dbraw/zinc/33/50/47/341335047.db2.gz MQNYYRZXZPKTIO-HNNXBMFYSA-N 0 3 322.408 4.248 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccccc1C(F)(F)F ZINC000551242166 341335272 /nfs/dbraw/zinc/33/52/72/341335272.db2.gz SOVVFHZQRSQVIB-WDEREUQCSA-N 0 3 310.319 4.218 20 0 DIADHN Cc1ccc2nc(CNC3(Cc4cccc(F)c4)CCC3)cn2c1 ZINC000551245213 341335418 /nfs/dbraw/zinc/33/54/18/341335418.db2.gz BOBZLVVHOJACFZ-UHFFFAOYSA-N 0 3 323.415 4.037 20 0 DIADHN Cc1ccc(OCCCCN[C@H](C)c2cn3ccccc3n2)cc1 ZINC000551267858 341336292 /nfs/dbraw/zinc/33/62/92/341336292.db2.gz KPBOZBUGOKHEAZ-QGZVFWFLSA-N 0 3 323.440 4.153 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1cn2ccccc2n1 ZINC000551278323 341336862 /nfs/dbraw/zinc/33/68/62/341336862.db2.gz PSXNZAGAOHBBIH-LESCRADOSA-N 0 3 323.415 4.460 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccc(SC)cc2)ccn1 ZINC000551304244 341337863 /nfs/dbraw/zinc/33/78/63/341337863.db2.gz DOZOALBZGPEXJR-STQMWFEESA-N 0 3 302.443 4.224 20 0 DIADHN C[C@@H](NCCCSc1ccccc1)c1cn2ccccc2n1 ZINC000551316505 341338328 /nfs/dbraw/zinc/33/83/28/341338328.db2.gz HUYZEJYYSWQCQM-OAHLLOKOSA-N 0 3 311.454 4.167 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@H]1COCc2ccccc21 ZINC000551316652 341338467 /nfs/dbraw/zinc/33/84/67/341338467.db2.gz JYGMOEITAONEQW-CAYVGHNUSA-N 0 3 311.400 4.310 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@@H]1COCc2ccccc21 ZINC000551316655 341338471 /nfs/dbraw/zinc/33/84/71/341338471.db2.gz JYGMOEITAONEQW-SMHULIPUSA-N 0 3 311.400 4.310 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCCCCF)CC2)c(C)c1 ZINC000551382847 341340005 /nfs/dbraw/zinc/34/00/05/341340005.db2.gz YDYNBYJHTHCMPD-UHFFFAOYSA-N 0 3 305.437 4.338 20 0 DIADHN Cc1cnn(CCN(C)[C@@H](C)c2ccc(Cl)c(Cl)c2)c1 ZINC000551382455 341340035 /nfs/dbraw/zinc/34/00/35/341340035.db2.gz ALUXQQGHUCAFIF-LBPRGKRZSA-N 0 3 312.244 4.191 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@H](c3ccccc3)C2)nc1 ZINC000551384929 341340242 /nfs/dbraw/zinc/34/02/42/341340242.db2.gz AWDHPNUORLAWFK-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1ccc(C(F)(F)F)cn1 ZINC000551387024 341340246 /nfs/dbraw/zinc/34/02/46/341340246.db2.gz LVNJQLDHCVNJQJ-SUMWQHHRSA-N 0 3 320.358 4.478 20 0 DIADHN FC(F)(F)CC1CCN(C[C@@H]2CCC3(CCCC3)O2)CC1 ZINC000551401351 341340626 /nfs/dbraw/zinc/34/06/26/341340626.db2.gz AZGIHZKEYCXCNR-AWEZNQCLSA-N 0 3 305.384 4.143 20 0 DIADHN COCC[C@H](c1ccccc1)N1CCC(CC(F)(F)F)CC1 ZINC000551403870 341340666 /nfs/dbraw/zinc/34/06/66/341340666.db2.gz QIECDYOYNXNQQQ-MRXNPFEDSA-N 0 3 315.379 4.429 20 0 DIADHN COCc1nc(CN[C@@H]2CCC2(C)C)c(-c2ccccc2)s1 ZINC000551516788 341343556 /nfs/dbraw/zinc/34/35/56/341343556.db2.gz XLAYOTRGDHROKE-OAHLLOKOSA-N 0 3 316.470 4.235 20 0 DIADHN Cc1cc(C)c(CCNCc2cnc(C(C)(C)C)nc2)c(C)c1 ZINC000551530136 341344183 /nfs/dbraw/zinc/34/41/83/341344183.db2.gz OEXIVLQJWYDCRN-UHFFFAOYSA-N 0 3 311.473 4.032 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCC[C@H](O)C(C)C)cc1Cl ZINC000551532918 341344299 /nfs/dbraw/zinc/34/42/99/341344299.db2.gz IXCQZEDRXDIMOP-BBRMVZONSA-N 0 3 313.869 4.185 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCC[C@@H](O)C(C)C)cc1Cl ZINC000551532920 341344312 /nfs/dbraw/zinc/34/43/12/341344312.db2.gz IXCQZEDRXDIMOP-CZUORRHYSA-N 0 3 313.869 4.185 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)N[C@@H](C)c2nc(C)cs2)cc1 ZINC000551534835 341344507 /nfs/dbraw/zinc/34/45/07/341344507.db2.gz RGVJKUPBDQIESC-DLTLXFJOSA-N 0 3 316.470 4.303 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@@H](C)CCC(C)(C)C)c(C)c1 ZINC000551537143 341344614 /nfs/dbraw/zinc/34/46/14/341344614.db2.gz NADMIPKICBEGPV-INIZCTEOSA-N 0 3 304.478 4.355 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@H](C)CCC(C)(C)C)c(C)c1 ZINC000551537144 341344616 /nfs/dbraw/zinc/34/46/16/341344616.db2.gz NADMIPKICBEGPV-MRXNPFEDSA-N 0 3 304.478 4.355 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551557754 341345285 /nfs/dbraw/zinc/34/52/85/341345285.db2.gz ICEXPYOKNYCJOS-QAPCUYQASA-N 0 3 309.457 4.152 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1cnc(C(C)(C)C)nc1 ZINC000551558345 341345537 /nfs/dbraw/zinc/34/55/37/341345537.db2.gz DTODHLUJYPFINK-GOSISDBHSA-N 0 3 311.473 4.227 20 0 DIADHN c1nc2n(c1CN[C@H](CC1CCC1)c1ccccc1)CCCC2 ZINC000551572839 341346028 /nfs/dbraw/zinc/34/60/28/341346028.db2.gz WYVUXTVOFPYQQP-LJQANCHMSA-N 0 3 309.457 4.241 20 0 DIADHN c1ccc(C2=CCCN(CC3=Cc4ccccc4OC3)C2)cc1 ZINC000551586494 341346630 /nfs/dbraw/zinc/34/66/30/341346630.db2.gz NXLJXMRHICJUQO-UHFFFAOYSA-N 0 3 303.405 4.252 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1)c1ccco1 ZINC000246442298 341349012 /nfs/dbraw/zinc/34/90/12/341349012.db2.gz OQRGPJKLUMFNKE-KCTSRDHCSA-N 0 3 322.811 4.168 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N(C)C[C@H]1CCCOC1 ZINC000246451668 341349181 /nfs/dbraw/zinc/34/91/81/341349181.db2.gz BXGGFXAUMHVEQH-NWDGAFQWSA-N 0 3 302.245 4.413 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3ccc(C(F)(F)F)cn3)C2)cc1 ZINC000551703588 341349431 /nfs/dbraw/zinc/34/94/31/341349431.db2.gz DDESUVRWULCOJV-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN FC(F)(F)CC1CCN(Cc2cccc(-n3cccn3)c2)CC1 ZINC000551710009 341349561 /nfs/dbraw/zinc/34/95/61/341349561.db2.gz NTGJBHQVNPREFY-UHFFFAOYSA-N 0 3 323.362 4.037 20 0 DIADHN C/C=C\C[C@H](CO)N[C@H](C)c1ccc(OC(C)C)c(Cl)c1 ZINC000551778403 341351075 /nfs/dbraw/zinc/35/10/75/341351075.db2.gz FVEQTITWHSAGDS-HJWXVDRSSA-N 0 3 311.853 4.105 20 0 DIADHN COc1ncc([C@@H](C)N[C@H](C)Cc2ccccc2F)cc1Cl ZINC000551787966 341351478 /nfs/dbraw/zinc/35/14/78/341351478.db2.gz QHBKDPOPHDIQOZ-VXGBXAGGSA-N 0 3 322.811 4.165 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H]2CCc3c2cccc3C)cc1Cl ZINC000551790020 341351715 /nfs/dbraw/zinc/35/17/15/341351715.db2.gz VNYDKSRPSVBJPH-SJKOYZFVSA-N 0 3 316.832 4.390 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)s1)[C@@H](O)Cc1ccccc1 ZINC000246513866 341352020 /nfs/dbraw/zinc/35/20/20/341352020.db2.gz UHFIKBRIKVENIO-DYEKYZERSA-N 0 3 309.862 4.044 20 0 DIADHN CC(C)(C)c1ncc(CNCCc2cccc3ccccc32)cn1 ZINC000551798951 341352064 /nfs/dbraw/zinc/35/20/64/341352064.db2.gz BUJARGOVOBMTTQ-UHFFFAOYSA-N 0 3 319.452 4.260 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@]2(C)CCCc3ccccc32)cn1 ZINC000551799473 341352144 /nfs/dbraw/zinc/35/21/44/341352144.db2.gz YSKKNTNMVFUJJV-FQEVSTJZSA-N 0 3 309.457 4.115 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551807293 341352410 /nfs/dbraw/zinc/35/24/10/341352410.db2.gz DTUYEWUWYQIXKC-QFBILLFUSA-N 0 3 323.484 4.227 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(NC(C)=O)cc2)cc1 ZINC000083477814 341352576 /nfs/dbraw/zinc/35/25/76/341352576.db2.gz MAJQSUQGFXKWDT-UHFFFAOYSA-N 0 3 310.441 4.232 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000551811528 341352692 /nfs/dbraw/zinc/35/26/92/341352692.db2.gz DWLOXNVRVGQJFX-ZWKOTPCHSA-N 0 3 313.397 4.215 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccnc(OC(F)F)c2)cc1 ZINC000551814999 341352785 /nfs/dbraw/zinc/35/27/85/341352785.db2.gz YZBWODJCTKHCBI-LBPRGKRZSA-N 0 3 306.356 4.096 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)Cc1ccccc1)c1cc2ccccc2o1 ZINC000246580930 341353894 /nfs/dbraw/zinc/35/38/94/341353894.db2.gz YOTXZFXATRMMFV-MPGHIAIKSA-N 0 3 309.409 4.076 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)o1)[C@H]1CCCOC1 ZINC000551905699 341354692 /nfs/dbraw/zinc/35/46/92/341354692.db2.gz HEESDTCZIIHKNX-HNNXBMFYSA-N 0 3 305.805 4.211 20 0 DIADHN Cn1nccc1-c1ccccc1CNCc1cc2ccccc2o1 ZINC000551982987 341356856 /nfs/dbraw/zinc/35/68/56/341356856.db2.gz DCVUEWSWEQUIOO-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CCCC[C@H]1n1cccn1 ZINC000246985681 341359522 /nfs/dbraw/zinc/35/95/22/341359522.db2.gz JCFDSSZSMMWYCJ-RYQLBKOJSA-N 0 3 313.445 4.035 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1ccc2ccccc2c1 ZINC000247057223 341360472 /nfs/dbraw/zinc/36/04/72/341360472.db2.gz MKJZYPWLOOWXTQ-DLPLYFIVSA-N 0 3 303.405 4.149 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1nccn1Cc1ccccc1 ZINC000247211207 341362229 /nfs/dbraw/zinc/36/22/29/341362229.db2.gz CKCLIZKHSJDZAF-NZSAHSFTSA-N 0 3 309.457 4.084 20 0 DIADHN Cc1ccc(/C=C/CC(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000084764781 341362571 /nfs/dbraw/zinc/36/25/71/341362571.db2.gz ILXZWCAGJRRRIX-AATRIKPKSA-N 0 3 322.452 4.407 20 0 DIADHN O[C@@H]1CCC[C@@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000247294496 341363127 /nfs/dbraw/zinc/36/31/27/341363127.db2.gz HNGDZGFWLPUGJH-CDHQVMDDSA-N 0 3 313.416 4.056 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@@H]1CCCN(C)[C@H]1c1cccs1 ZINC000247320616 341363510 /nfs/dbraw/zinc/36/35/10/341363510.db2.gz IBWQUWHNDPOGTQ-RLFYNMQTSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccnc([C@@H](C)NCC2(c3cccc(Cl)c3)CC2)c1 ZINC000552043685 341364356 /nfs/dbraw/zinc/36/43/56/341364356.db2.gz YMRNNLGGBAXBNE-CQSZACIVSA-N 0 3 300.833 4.426 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1ncc[nH]1 ZINC000552044463 341364464 /nfs/dbraw/zinc/36/44/64/341364464.db2.gz CSOVLQASOZDZEY-CYBMUJFWSA-N 0 3 303.837 4.226 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC(F)(F)[C@@H](C)C1 ZINC000552052073 341365320 /nfs/dbraw/zinc/36/53/20/341365320.db2.gz QLORJGJRNOOWEF-LTBFUDJGSA-N 0 3 309.400 4.213 20 0 DIADHN c1cn(-c2ccccc2)c(CNC[C@H](c2ccccc2)C2CC2)n1 ZINC000552060676 341366115 /nfs/dbraw/zinc/36/61/15/341366115.db2.gz DCVWVNMKSGJUOD-HXUWFJFHSA-N 0 3 317.436 4.156 20 0 DIADHN C[C@@H](CN[C@@H](c1ncc[nH]1)C1CCCCC1)Oc1ccccc1 ZINC000552062285 341366226 /nfs/dbraw/zinc/36/62/26/341366226.db2.gz RZVPWOSTZQQJEY-MAUKXSAKSA-N 0 3 313.445 4.088 20 0 DIADHN C[C@H](CN[C@@H](c1ncc[nH]1)C1CCCCC1)Oc1ccccc1 ZINC000552062284 341366241 /nfs/dbraw/zinc/36/62/41/341366241.db2.gz RZVPWOSTZQQJEY-CRAIPNDOSA-N 0 3 313.445 4.088 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@@H]4CCC[C@H]43)o2)cc1 ZINC000247509987 341366275 /nfs/dbraw/zinc/36/62/75/341366275.db2.gz MKXFTOJIJLWTMO-WMLDXEAASA-N 0 3 312.413 4.115 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)c1 ZINC000552066524 341367012 /nfs/dbraw/zinc/36/70/12/341367012.db2.gz MIAADUQRSYRCSJ-ABSDTBQOSA-N 0 3 311.473 4.040 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc(OC)c(OC)c1C ZINC000552066927 341367062 /nfs/dbraw/zinc/36/70/62/341367062.db2.gz VDKZGIFFYWUHFI-RYUDHWBXSA-N 0 3 319.367 4.394 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1cn2ccccc2n1 ZINC000552071515 341367404 /nfs/dbraw/zinc/36/74/04/341367404.db2.gz LVGQITFYVYXBJI-AWEZNQCLSA-N 0 3 313.832 4.051 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000552071620 341367437 /nfs/dbraw/zinc/36/74/37/341367437.db2.gz UVDPVZPIOPWDRI-WJYNOGRTSA-N 0 3 303.352 4.009 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cc(F)c(F)cc3F)C2)cc1 ZINC000552072702 341367719 /nfs/dbraw/zinc/36/77/19/341367719.db2.gz XIIACUPVGDLQER-YEORSEQZSA-N 0 3 309.306 4.279 20 0 DIADHN C[C@H](NCC(C)(C)Oc1cc(F)cc(F)c1)c1ccc(F)cn1 ZINC000552087818 341368607 /nfs/dbraw/zinc/36/86/07/341368607.db2.gz SOLOVRZUFDRVRL-NSHDSACASA-N 0 3 324.346 4.007 20 0 DIADHN Cc1cccc(CC[C@@H](C)N[C@@H](C)c2c(F)cncc2F)c1 ZINC000552093605 341369381 /nfs/dbraw/zinc/36/93/81/341369381.db2.gz SCFWNANNLRURSX-KGLIPLIRSA-N 0 3 304.384 4.340 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCC1(C(F)(F)F)CC1 ZINC000552096770 341369510 /nfs/dbraw/zinc/36/95/10/341369510.db2.gz KHGHONAZIINJNR-AWEZNQCLSA-N 0 3 313.363 4.350 20 0 DIADHN C[C@H](NC[C@H]1CCOC[C@H]1C)c1cc(F)c(Cl)cc1Cl ZINC000552098757 341369783 /nfs/dbraw/zinc/36/97/83/341369783.db2.gz NRDPLPGCYQXPGF-OUAUKWLOSA-N 0 3 320.235 4.456 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@H]1O)c1nc(-c2ccccc2)cs1 ZINC000247996070 341372152 /nfs/dbraw/zinc/37/21/52/341372152.db2.gz JXAONJCDIMALEW-JLJPHGGASA-N 0 3 316.470 4.012 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CC=C(c3ccnn3C)CC2)c(C)c1 ZINC000552143065 341373153 /nfs/dbraw/zinc/37/31/53/341373153.db2.gz UNULJCKMRSITCQ-QGZVFWFLSA-N 0 3 309.457 4.196 20 0 DIADHN C[C@@H](NC[C@@H](C)C[C@H](C)O)c1cc(F)c(Cl)cc1Cl ZINC000248128198 341373670 /nfs/dbraw/zinc/37/36/70/341373670.db2.gz ODVFOKQQEIQLQP-LPEHRKFASA-N 0 3 308.224 4.190 20 0 DIADHN Cc1ccc2[nH]c(CN3CCCO[C@H](C(C)C)C3)cc2c1C ZINC000552159744 341374554 /nfs/dbraw/zinc/37/45/54/341374554.db2.gz FGXXMZIDCWUEDE-IBGZPJMESA-N 0 3 300.446 4.032 20 0 DIADHN CCn1c2ccccc2c2cc(CN3CC4(C3)CCOC4)ccc21 ZINC000552159922 341374608 /nfs/dbraw/zinc/37/46/08/341374608.db2.gz PKBSXCALYHSNKF-UHFFFAOYSA-N 0 3 320.436 4.037 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)o1 ZINC000248389139 341376356 /nfs/dbraw/zinc/37/63/56/341376356.db2.gz GFXMFJCSGJITBY-GNUPYSACSA-N 0 3 311.425 4.413 20 0 DIADHN CO[C@@H](C)CN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000248445748 341377919 /nfs/dbraw/zinc/37/79/19/341377919.db2.gz WMXNNHIQXJKFTN-JSGCOSHPSA-N 0 3 304.459 4.146 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000248541447 341379453 /nfs/dbraw/zinc/37/94/53/341379453.db2.gz BNVYGHZWQVTPGG-COXVUDFISA-N 0 3 316.493 4.041 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@H]1c1ccncc1 ZINC000552243254 341380785 /nfs/dbraw/zinc/38/07/85/341380785.db2.gz UHKSBFPEFNLMCZ-UEBLJOKOSA-N 0 3 322.452 4.468 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC000552254216 341381679 /nfs/dbraw/zinc/38/16/79/341381679.db2.gz SSCWVKQBBCCKCT-MRXNPFEDSA-N 0 3 321.399 4.067 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2c[nH]nc2-c2ccccc2)C1 ZINC000552272183 341382647 /nfs/dbraw/zinc/38/26/47/341382647.db2.gz HIPIQSVCNSOCRO-INIZCTEOSA-N 0 3 321.399 4.205 20 0 DIADHN O[C@H]1CCN(Cc2cnc(C3CCCCC3)s2)C12CCCC2 ZINC000552315776 341385886 /nfs/dbraw/zinc/38/58/86/341385886.db2.gz YRSGXPSWRDUKPV-INIZCTEOSA-N 0 3 320.502 4.070 20 0 DIADHN c1[nH]nc2cc(CN3CC4(CCC4)[C@H]3c3ccccc3)ccc12 ZINC000552316537 341386054 /nfs/dbraw/zinc/38/60/54/341386054.db2.gz DNHDPWKEFBWHFK-LJQANCHMSA-N 0 3 303.409 4.290 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4ccc(OC)cc43)CC2)c1 ZINC000552323200 341386411 /nfs/dbraw/zinc/38/64/11/341386411.db2.gz ACGBFHUWGSHFRU-FQEVSTJZSA-N 0 3 323.436 4.360 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CC[C@@H]1C1CCC1 ZINC000552326297 341386702 /nfs/dbraw/zinc/38/67/02/341386702.db2.gz LHLDDHDLPZMPMW-CRAIPNDOSA-N 0 3 302.437 4.094 20 0 DIADHN C[C@H](CCc1ccc(F)cc1)N[C@@H](C)c1c(F)cncc1F ZINC000552327509 341386847 /nfs/dbraw/zinc/38/68/47/341386847.db2.gz VYBFJPLXEPGYEW-NEPJUHHUSA-N 0 3 308.347 4.171 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@@H](C)c2c(F)cncc2F)cc1 ZINC000552329601 341387038 /nfs/dbraw/zinc/38/70/38/341387038.db2.gz WALSRZPPSKRYSN-KBPBESRZSA-N 0 3 304.384 4.340 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)n1 ZINC000248812423 341387389 /nfs/dbraw/zinc/38/73/89/341387389.db2.gz HWAZQEULJKQYRH-MJGOQNOKSA-N 0 3 314.473 4.409 20 0 DIADHN Cc1cc(CCN[C@@H](c2ccc(Cl)cc2F)C(C)C)on1 ZINC000552339039 341387670 /nfs/dbraw/zinc/38/76/70/341387670.db2.gz WROSKUKFRZYKQY-MRXNPFEDSA-N 0 3 310.800 4.305 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@@H]2CCC3(CCCCC3)O2)C1 ZINC000248895614 341389700 /nfs/dbraw/zinc/38/97/00/341389700.db2.gz ZSTOFOYYPQIGRE-KGLIPLIRSA-N 0 3 305.384 4.143 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3ccccc3Cl)o2)CC1 ZINC000552423114 341392764 /nfs/dbraw/zinc/39/27/64/341392764.db2.gz RVDXVJPDOOMRKG-AWEZNQCLSA-N 0 3 319.832 4.194 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NC[C@@H]1CC[C@@H](C)O1 ZINC000249131080 341394401 /nfs/dbraw/zinc/39/44/01/341394401.db2.gz UWDRQWUANDOOOG-WWGRRREGSA-N 0 3 316.470 4.337 20 0 DIADHN C[C@@H]1COCC[C@H]1N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000249156318 341394705 /nfs/dbraw/zinc/39/47/05/341394705.db2.gz WWQQRJSGOZTXEM-NIKGAXFTSA-N 0 3 315.844 4.444 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](C)[C@@H](O)Cc1ccccc1 ZINC000249405906 341397183 /nfs/dbraw/zinc/39/71/83/341397183.db2.gz CMCMQAKVCPYYGP-DAYGRLMNSA-N 0 3 315.482 4.051 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCC(=O)Nc1cc(Cl)ccc1Cl ZINC000552550091 341398450 /nfs/dbraw/zinc/39/84/50/341398450.db2.gz JICNIEAYKWZGBU-ZWNOBZJWSA-N 0 3 315.244 4.100 20 0 DIADHN Cc1cc(C)c([C@H](C)N[C@@H](CO)c2ccc(F)cc2)cc1C ZINC000552569250 341399133 /nfs/dbraw/zinc/39/91/33/341399133.db2.gz JHJPYXSGSDFCDT-KXBFYZLASA-N 0 3 301.405 4.135 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)NCc2nc(C3CC3)cs2)cc1 ZINC000090458737 341415917 /nfs/dbraw/zinc/41/59/17/341415917.db2.gz QFGXENYRNURXBN-STQMWFEESA-N 0 3 316.470 4.311 20 0 DIADHN COC[C@@H](N[C@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000090718002 341418608 /nfs/dbraw/zinc/41/86/08/341418608.db2.gz ZHLORPWUCMCYOP-NOZJJQNGSA-N 0 3 319.779 4.017 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(F)cc2N(C)C)c1 ZINC000090723905 341418773 /nfs/dbraw/zinc/41/87/73/341418773.db2.gz UZIFBBFXVIYXIC-AWEZNQCLSA-N 0 3 316.420 4.060 20 0 DIADHN CCOCCC1(CN[C@H](C)c2cc(Cl)ccc2OC)CC1 ZINC000090722697 341418782 /nfs/dbraw/zinc/41/87/82/341418782.db2.gz YFTAQVBCFRYADT-CYBMUJFWSA-N 0 3 311.853 4.206 20 0 DIADHN CS[C@H](C)CN[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000090725202 341418897 /nfs/dbraw/zinc/41/88/97/341418897.db2.gz GHFCXGIZMVLEBV-VXGBXAGGSA-N 0 3 323.893 4.236 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@@H](C)c1c(C)noc1C ZINC000090728691 341418911 /nfs/dbraw/zinc/41/89/11/341418911.db2.gz PITMSPRUCNMJMQ-GHMZBOCLSA-N 0 3 322.836 4.408 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@H](C)c1c(C)noc1C ZINC000090728686 341418954 /nfs/dbraw/zinc/41/89/54/341418954.db2.gz PITMSPRUCNMJMQ-MNOVXSKESA-N 0 3 322.836 4.408 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)N[C@@H]1CCN(c2ccccn2)C1 ZINC000090802000 341419384 /nfs/dbraw/zinc/41/93/84/341419384.db2.gz XTEXSWSJWVTPBU-JKSUJKDBSA-N 0 3 321.424 4.066 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Br)s1)[C@H]1CCCO1 ZINC000090857046 341419702 /nfs/dbraw/zinc/41/97/02/341419702.db2.gz CLESNQPNBBYQAU-GARJFASQSA-N 0 3 318.280 4.119 20 0 DIADHN CCc1ccc(NC(=O)c2cccc(CN(C)C)c2)cc1Cl ZINC000553234529 341421319 /nfs/dbraw/zinc/42/13/19/341421319.db2.gz RKCRSOUUVPZQDJ-UHFFFAOYSA-N 0 3 316.832 4.216 20 0 DIADHN CC[C@H](Nc1ccnc2ccccc21)c1ccc(OC)c(OC)c1 ZINC000553265684 341422590 /nfs/dbraw/zinc/42/25/90/341422590.db2.gz XQARHFUBPANXJR-INIZCTEOSA-N 0 3 322.408 4.237 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](N[C@@H]1C[C@H]3OCCC[C@@H]13)CCCO2 ZINC000252040317 341423129 /nfs/dbraw/zinc/42/31/29/341423129.db2.gz ZKFJRGWINDEWAN-WBQNTZJQSA-N 0 3 321.848 4.019 20 0 DIADHN OCc1cccc(CN[C@@H](c2ccccc2F)C2CCCC2)c1 ZINC000091333854 341424788 /nfs/dbraw/zinc/42/47/88/341424788.db2.gz JRLRNXHICNZVQT-HXUWFJFHSA-N 0 3 313.416 4.339 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCS1)c1nc2ccc(Cl)cc2n1C ZINC000091370368 341425480 /nfs/dbraw/zinc/42/54/80/341425480.db2.gz ITCRXGMXLZQKJK-BZNIZROVSA-N 0 3 323.893 4.163 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@H]1CC[C@@H]1C1CC1 ZINC000554043004 341441340 /nfs/dbraw/zinc/44/13/40/341441340.db2.gz DWFIBRLKMLJMDP-MSOLQXFVSA-N 0 3 312.482 4.207 20 0 DIADHN COC[C@H](NCC(C)(C)c1ccccc1F)c1ccccc1 ZINC000554054300 341441925 /nfs/dbraw/zinc/44/19/25/341441925.db2.gz ZIYJTORUCJRCLS-SFHVURJKSA-N 0 3 301.405 4.081 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1cccnc1 ZINC000092740673 341455514 /nfs/dbraw/zinc/45/55/14/341455514.db2.gz OHIHCCMGKQOLAT-OLZOCXBDSA-N 0 3 324.346 4.219 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CN[C@H]1CC[C@@H](F)C1 ZINC000554719692 341461943 /nfs/dbraw/zinc/46/19/43/341461943.db2.gz HWLVPCZFUXIUHT-CVEARBPZSA-N 0 3 315.436 4.150 20 0 DIADHN CCc1ccc(CN[C@H]2CCc3c2ccc(OC)c3F)s1 ZINC000554733141 341462661 /nfs/dbraw/zinc/46/26/61/341462661.db2.gz AVXBQYHCOXZHJF-HNNXBMFYSA-N 0 3 305.418 4.235 20 0 DIADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000093371730 341469980 /nfs/dbraw/zinc/46/99/80/341469980.db2.gz TYUKLMBHISFURI-RYUDHWBXSA-N 0 3 306.500 4.365 20 0 DIADHN Clc1cc2c(s1)CC[C@@H]2NCC1=Cc2ccccc2OC1 ZINC000554966047 341470734 /nfs/dbraw/zinc/47/07/34/341470734.db2.gz SDAZOQAQGKDZHU-AWEZNQCLSA-N 0 3 317.841 4.454 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cncc(F)c2)C(C)C)cc1 ZINC000163059506 341470966 /nfs/dbraw/zinc/47/09/66/341470966.db2.gz BZCMFFWHKUKYCF-ACJLOTCBSA-N 0 3 302.393 4.277 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2nccs2)CCCC1 ZINC000093400213 341471534 /nfs/dbraw/zinc/47/15/34/341471534.db2.gz MWBOMMJOTIGEMM-CQSZACIVSA-N 0 3 316.470 4.314 20 0 DIADHN COCc1ccc(CN[C@@H](c2ccc(OC)cc2)C(C)C)cc1 ZINC000555420377 341482392 /nfs/dbraw/zinc/48/23/92/341482392.db2.gz CITNYNREURRHRH-HXUWFJFHSA-N 0 3 313.441 4.329 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccccc2C)c(C)c1OC ZINC000555611399 341486951 /nfs/dbraw/zinc/48/69/51/341486951.db2.gz DDKGNPQZSAHMHE-UHFFFAOYSA-N 0 3 313.441 4.346 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)c3cc[nH]c(=O)c3)o2)cc1 ZINC000555608590 341486979 /nfs/dbraw/zinc/48/69/79/341486979.db2.gz XGLCSVMKXZWSPR-AWEZNQCLSA-N 0 3 308.381 4.206 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccc(C3CC3)c2)cc(OC)c1 ZINC000556059667 341493173 /nfs/dbraw/zinc/49/31/73/341493173.db2.gz VHVKGNMAUJQFHW-AWEZNQCLSA-N 0 3 311.425 4.432 20 0 DIADHN Cn1cc([C@@H](NCC(C)(C)C(C)(C)C)c2cccc(F)c2)cn1 ZINC000556213695 341497458 /nfs/dbraw/zinc/49/74/58/341497458.db2.gz RWVJHZLJKLBGGV-KRWDZBQOSA-N 0 3 317.452 4.311 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H]2CCC[C@@H]2F)cc1OC ZINC000556255013 341499442 /nfs/dbraw/zinc/49/94/42/341499442.db2.gz YJNNUBMGEDYCGF-CWRNSKLLSA-N 0 3 309.425 4.415 20 0 DIADHN CC(C)SCCN1CCC(c2noc3cc(F)ccc32)CC1 ZINC000564396368 341501163 /nfs/dbraw/zinc/50/11/63/341501163.db2.gz YSWVPUBHBHUIPY-UHFFFAOYSA-N 0 3 322.449 4.288 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3cc(F)ccc32)cc1F ZINC000152746376 341503944 /nfs/dbraw/zinc/50/39/44/341503944.db2.gz VKMHMWIVECHJGK-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN COC1(CN[C@@H](C)c2ccc(OC(C)C)c(Cl)c2)CCC1 ZINC000564592984 341504588 /nfs/dbraw/zinc/50/45/88/341504588.db2.gz HGIOPZDBGDBJPS-ZDUSSCGKSA-N 0 3 311.853 4.347 20 0 DIADHN CCOc1ccc(CN[C@H]2C[C@H](C)c3ccccc32)cc1OC ZINC000564745166 341508168 /nfs/dbraw/zinc/50/81/68/341508168.db2.gz JTSNYCUSDVOIRH-KSSFIOAISA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](NC[C@H]1SCCc2ccccc21)c1cn2ccccc2n1 ZINC000565491642 341529043 /nfs/dbraw/zinc/52/90/43/341529043.db2.gz MLHPFZSBCPBESL-KBXCAEBGSA-N 0 3 323.465 4.016 20 0 DIADHN F[C@H]1CC[C@@H](NCc2cc3cc(Br)ccc3o2)C1 ZINC000565549857 341530758 /nfs/dbraw/zinc/53/07/58/341530758.db2.gz NCSPQKBQKOXHBA-NWDGAFQWSA-N 0 3 312.182 4.176 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1c(C)nsc1C ZINC000565550889 341530780 /nfs/dbraw/zinc/53/07/80/341530780.db2.gz VIPCNLNDDFEVKP-MEDUHNTESA-N 0 3 324.877 4.279 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)[nH]c1C ZINC000565670244 341534619 /nfs/dbraw/zinc/53/46/19/341534619.db2.gz ICKWDFXHBJAGGP-WBVHZDCISA-N 0 3 317.864 4.496 20 0 DIADHN Cc1ccccc1CN1CCC(Oc2cncc(Cl)c2)CC1 ZINC000565695786 341535383 /nfs/dbraw/zinc/53/53/83/341535383.db2.gz YYMCNNWMUVSJFW-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCc3cc(F)ccc32)ccc1F ZINC000153335445 341535598 /nfs/dbraw/zinc/53/55/98/341535598.db2.gz DTSDIBNHDSGXIO-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN CCOc1c(Cl)cccc1CNCCCC(C)(C)COC ZINC000565736985 341536623 /nfs/dbraw/zinc/53/66/23/341536623.db2.gz IDGWAEBKOXFBDJ-UHFFFAOYSA-N 0 3 313.869 4.281 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc2c(c1)COC2 ZINC000565862688 341540399 /nfs/dbraw/zinc/54/03/99/341540399.db2.gz CBHDGTBTEQXQMU-LPTQZCDUSA-N 0 3 323.436 4.288 20 0 DIADHN C[C@]1(CNCc2cnc(-c3ccc(Cl)cc3)s2)CCCO1 ZINC000565958088 341543667 /nfs/dbraw/zinc/54/36/67/341543667.db2.gz ZKBYTEGPHYCISB-MRXNPFEDSA-N 0 3 322.861 4.122 20 0 DIADHN COCc1ccc(CN[C@H](c2ccc(OC)cc2)C2CC2)cc1 ZINC000566331583 341554188 /nfs/dbraw/zinc/55/41/88/341554188.db2.gz NXLMYDZOZGIAQO-FQEVSTJZSA-N 0 3 311.425 4.083 20 0 DIADHN CC(C)Oc1ccc(CCN[C@H](C)c2cn3ccccc3n2)cc1 ZINC000566473832 341559040 /nfs/dbraw/zinc/55/90/40/341559040.db2.gz DPICZEDBNUSHFP-MRXNPFEDSA-N 0 3 323.440 4.015 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)NCc2noc3ccccc23)cc1 ZINC000566983644 341573438 /nfs/dbraw/zinc/57/34/38/341573438.db2.gz MHFQDJNXIVKBIP-KBPBESRZSA-N 0 3 310.397 4.118 20 0 DIADHN COc1cccc(COCCN[C@H]2C[C@@H](C)c3ccccc32)c1 ZINC000566996608 341573729 /nfs/dbraw/zinc/57/37/29/341573729.db2.gz HYCSQWOGCVAXDP-QRWLVFNGSA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H]1CCCC[C@H]1CC)c1ccco1 ZINC000567017385 341574676 /nfs/dbraw/zinc/57/46/76/341574676.db2.gz YTCFXGAUULGZOP-YLFCFFPRSA-N 0 3 320.477 4.041 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(-n3ccnc3)c(F)c2)c1 ZINC000153678837 341576816 /nfs/dbraw/zinc/57/68/16/341576816.db2.gz WQGPFOKOQNKVKC-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@@H](NC1CC(OCc2ccccc2)C1)c1ccncc1Cl ZINC000567102349 341580139 /nfs/dbraw/zinc/58/01/39/341580139.db2.gz WYMXBHVEHXFEND-IUDNXUCKSA-N 0 3 316.832 4.133 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)NCc2nc(C)c(C)s2)cc1 ZINC000153914175 341581363 /nfs/dbraw/zinc/58/13/63/341581363.db2.gz CGCQDQVFWXHPMJ-VXGBXAGGSA-N 0 3 304.459 4.050 20 0 DIADHN COc1ccc([C@H](NCCOC(C)(C)C)c2ccccc2)cc1 ZINC000308715943 341587507 /nfs/dbraw/zinc/58/75/07/341587507.db2.gz BGXVJZMIQUKIKL-LJQANCHMSA-N 0 3 313.441 4.189 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnc3)cc2)c1 ZINC000267272357 341590476 /nfs/dbraw/zinc/59/04/76/341590476.db2.gz SOLUHDPWMIPQED-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN CC(C)n1cc([C@H](C)NCCCn2ccc3ccccc32)cn1 ZINC000154592518 341591867 /nfs/dbraw/zinc/59/18/67/341591867.db2.gz FINYVLVUNHRTCB-INIZCTEOSA-N 0 3 310.445 4.160 20 0 DIADHN CC(C)C[C@@H](NCc1cccnc1N(C)C)c1cccs1 ZINC000154777306 341595919 /nfs/dbraw/zinc/59/59/19/341595919.db2.gz GTNCUIXONDKTKB-OAHLLOKOSA-N 0 3 303.475 4.086 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2NCCc1cscn1 ZINC000154824988 341596525 /nfs/dbraw/zinc/59/65/25/341596525.db2.gz CLVCIAUNCIMVMJ-AWEZNQCLSA-N 0 3 313.253 4.270 20 0 DIADHN CC(C)C[C@@H](NC[C@H](c1cccs1)N(C)C)c1ccccn1 ZINC000155051239 341600791 /nfs/dbraw/zinc/60/07/91/341600791.db2.gz DXCHEWWTKKSZIV-IAGOWNOFSA-N 0 3 317.502 4.123 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(-n2ccnc2)cc1 ZINC000155134238 341602456 /nfs/dbraw/zinc/60/24/56/341602456.db2.gz WXZUOGWVGNTDBO-JLTOFOAXSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(N3CCCC3=O)c2)c(C)c1 ZINC000155296456 341605898 /nfs/dbraw/zinc/60/58/98/341605898.db2.gz LFSBBUYWERXEAZ-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN CC(C)(C)C[C@H](NCc1cc(C(N)=O)cs1)c1ccccc1 ZINC000269134509 341607246 /nfs/dbraw/zinc/60/72/46/341607246.db2.gz JMJGBTVIQIXUDE-INIZCTEOSA-N 0 3 316.470 4.114 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H]3CCCc4ccccc43)c(C)n2n1 ZINC000269348565 341608769 /nfs/dbraw/zinc/60/87/69/341608769.db2.gz RANBLZYSXLQSDT-AUUYWEPGSA-N 0 3 320.440 4.074 20 0 DIADHN CCCC[C@@H](C)[C@H](C)NCC(=O)Nc1c(C)cc(C)cc1C ZINC000567541925 341613865 /nfs/dbraw/zinc/61/38/65/341613865.db2.gz USSFWPTWSMJVHK-PBHICJAKSA-N 0 3 304.478 4.355 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000156057251 341616520 /nfs/dbraw/zinc/61/65/20/341616520.db2.gz YKGAPRKPLLDNEV-PKOBYXMFSA-N 0 3 304.393 4.235 20 0 DIADHN C[C@H](NCCCc1nc2ccccc2[nH]1)c1ccc(F)cc1F ZINC000156156707 341617539 /nfs/dbraw/zinc/61/75/39/341617539.db2.gz HPXFRGBYURJFJW-LBPRGKRZSA-N 0 3 315.367 4.125 20 0 DIADHN FC(F)(F)Oc1ccc2c(c1)[C@H](NCc1cccs1)CC2 ZINC000156539973 341622643 /nfs/dbraw/zinc/62/26/43/341622643.db2.gz YAMZQJLPTBFFMB-CQSZACIVSA-N 0 3 313.344 4.424 20 0 DIADHN COc1cccc2cc([C@@H](C)NCCc3scnc3C)oc21 ZINC000273426266 341635596 /nfs/dbraw/zinc/63/55/96/341635596.db2.gz SLHUIKRBYIESDM-LLVKDONJSA-N 0 3 316.426 4.100 20 0 DIADHN C[C@@H](NCc1ccc2ccccc2c1)c1ccc([S@@](C)=O)cc1 ZINC000157314152 341636120 /nfs/dbraw/zinc/63/61/20/341636120.db2.gz DNVPIXMUIQBSDT-CMJOXMDJSA-N 0 3 323.461 4.428 20 0 DIADHN COc1ccc([C@H](NCc2c(C)nsc2C)C(C)C)cc1 ZINC000568166582 341655670 /nfs/dbraw/zinc/65/56/70/341655670.db2.gz OEIPBPICXGPHGM-QGZVFWFLSA-N 0 3 304.459 4.255 20 0 DIADHN COc1ccc([C@@H](NCc2c(C)nsc2C)C(C)C)cc1 ZINC000568166581 341655792 /nfs/dbraw/zinc/65/57/92/341655792.db2.gz OEIPBPICXGPHGM-KRWDZBQOSA-N 0 3 304.459 4.255 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(SC)c(F)c2)c1 ZINC000158641702 341661161 /nfs/dbraw/zinc/66/11/61/341661161.db2.gz DNSJYTNXZFLKQZ-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2ccccn2)CCCC1 ZINC000158764802 341664167 /nfs/dbraw/zinc/66/41/67/341664167.db2.gz BWZIABZFEJXIJG-MRXNPFEDSA-N 0 3 310.441 4.253 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCCc1cc2ccccc2o1 ZINC000569011341 341688221 /nfs/dbraw/zinc/68/82/21/341688221.db2.gz WMEVXJBBXSRTNN-GOSISDBHSA-N 0 3 307.393 4.348 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCC(C)(C)C(F)(F)F ZINC000569014337 341688250 /nfs/dbraw/zinc/68/82/50/341688250.db2.gz BUBFGKKIJUASMQ-LCYFTJDESA-N 0 3 315.379 4.414 20 0 DIADHN CC[C@@H](NCCc1ccc(OC)c(F)c1)c1ccc(F)cc1F ZINC000569291677 341696850 /nfs/dbraw/zinc/69/68/50/341696850.db2.gz NFXMDKWDLLLELJ-QGZVFWFLSA-N 0 3 323.358 4.396 20 0 DIADHN CS[C@@H]1CCC[C@@H]([NH2+]Cc2cc([O-])cc(C(F)(F)F)c2)C1 ZINC000569293774 341696855 /nfs/dbraw/zinc/69/68/55/341696855.db2.gz UJTUNQDOLYVUDZ-TZMCWYRMSA-N 0 3 319.392 4.175 20 0 DIADHN Cc1nc(CNCC[C@H](C)c2ccccc2)nc2ccccc12 ZINC000572690059 341700558 /nfs/dbraw/zinc/70/05/58/341700558.db2.gz FFFOYQQSPMFRTK-HNNXBMFYSA-N 0 3 305.425 4.222 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2conc2Cc2ccccc2)C1 ZINC000572942302 341710273 /nfs/dbraw/zinc/71/02/73/341710273.db2.gz HCRAZWVVYZQZKO-DLBZAZTESA-N 0 3 316.470 4.029 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc2ccccc2c1 ZINC000166558366 341720009 /nfs/dbraw/zinc/72/00/09/341720009.db2.gz MKJZYPWLOOWXTQ-WVFSVQOHSA-N 0 3 303.405 4.149 20 0 DIADHN CC(C)(C)[C@H](CCC1CCCC1)NCc1nnc2n1CCCC2 ZINC000574117204 341749158 /nfs/dbraw/zinc/74/91/58/341749158.db2.gz LUQBUBXRMWUILA-INIZCTEOSA-N 0 3 318.509 4.089 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCC23CC3)c1OC(F)F ZINC000574220549 341752288 /nfs/dbraw/zinc/75/22/88/341752288.db2.gz LNESVWVDKWCPRV-CQSZACIVSA-N 0 3 311.372 4.109 20 0 DIADHN Cc1cccc(CN[C@@H]2c3ccccc3CC23CCOCC3)c1C ZINC000574321726 341755187 /nfs/dbraw/zinc/75/51/87/341755187.db2.gz HSEPBHJGAXZPPW-OAQYLSRUSA-N 0 3 321.464 4.487 20 0 DIADHN CCc1cc(N2CCC[C@](CO)(C(C)C)C2)c2ccccc2n1 ZINC000574775123 341768627 /nfs/dbraw/zinc/76/86/27/341768627.db2.gz ZXZUXHHQRURFQG-FQEVSTJZSA-N 0 3 312.457 4.032 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H]1CCCc2sccc21 ZINC000336852627 341807941 /nfs/dbraw/zinc/80/79/41/341807941.db2.gz VBPFEELYUKNKSD-OAHLLOKOSA-N 0 3 311.454 4.073 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCC(C)(C)C[C@H](C)O)o1 ZINC000174464757 341827548 /nfs/dbraw/zinc/82/75/48/341827548.db2.gz FVXLXXROTLTLNC-AWEZNQCLSA-N 0 3 319.420 4.281 20 0 DIADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1nc(C(F)(F)F)cs1 ZINC000576176914 341831673 /nfs/dbraw/zinc/83/16/73/341831673.db2.gz CZKKUYSZCRPJCK-SFXRXQKFSA-N 0 3 304.381 4.391 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3ccc(F)cc32)cc1F ZINC000174983587 341833414 /nfs/dbraw/zinc/83/34/14/341833414.db2.gz XFIQSKOTUNBBLC-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN Clc1oc2ccccc2c1CNCCCc1nccs1 ZINC000341733454 341833869 /nfs/dbraw/zinc/83/38/69/341833869.db2.gz CZKXXYORCNZSLE-UHFFFAOYSA-N 0 3 306.818 4.265 20 0 DIADHN CCOc1c(Cl)cccc1CNC1CC(C(F)(F)F)C1 ZINC000576234584 341835254 /nfs/dbraw/zinc/83/52/54/341835254.db2.gz KBBOJVXAUUCSAA-UHFFFAOYSA-N 0 3 307.743 4.169 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1c2ccccc2O[C@@H]1C ZINC000576487663 341848966 /nfs/dbraw/zinc/84/89/66/341848966.db2.gz VNYFALQMBWRHPM-XPMGORFYSA-N 0 3 323.436 4.348 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1ccc2c(c1)CCC2 ZINC000576537469 341851526 /nfs/dbraw/zinc/85/15/26/341851526.db2.gz YOPDZIJRUQCPKT-KGLIPLIRSA-N 0 3 305.425 4.463 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@@H]2CCCC[C@H]2OCCC(C)C)c1 ZINC000576616028 341855313 /nfs/dbraw/zinc/85/53/13/341855313.db2.gz SAYWIYYZZXQMSJ-ZHALLVOQSA-N 0 3 304.478 4.415 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2c(Cl)cccc2Cl)c1 ZINC000176006460 341856267 /nfs/dbraw/zinc/85/62/67/341856267.db2.gz ILSRFVOOEVECCF-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN c1c[nH]c([C@@H](NCC2(c3ccccc3)CC2)C2CCCCC2)n1 ZINC000576689851 341858524 /nfs/dbraw/zinc/85/85/24/341858524.db2.gz DCMZPXYCKBLVBJ-SFHVURJKSA-N 0 3 309.457 4.353 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc(-c2ccc(Cl)cc2)no1 ZINC000576718385 341860063 /nfs/dbraw/zinc/86/00/63/341860063.db2.gz RBOKFWRQQLIQMF-MMMKDXCPSA-N 0 3 317.820 4.229 20 0 DIADHN CCc1nc2ccccc2c(N2CC[C@@H](n3cc(C)cn3)C2)c1C ZINC000576914284 341869415 /nfs/dbraw/zinc/86/94/15/341869415.db2.gz BGWYEQIRSRUTAF-MRXNPFEDSA-N 0 3 320.440 4.062 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccc(Cl)cc2)o1)C(C)(C)O ZINC000576961864 341872270 /nfs/dbraw/zinc/87/22/70/341872270.db2.gz GVHSCYKPAVGNPA-MRXNPFEDSA-N 0 3 307.821 4.239 20 0 DIADHN Cn1ccnc1[C@@H](NC1CC(c2cccc(Cl)c2)C1)C1CC1 ZINC000577048531 341880777 /nfs/dbraw/zinc/88/07/77/341880777.db2.gz ADGXUCKXNPCWHF-PREGVCBESA-N 0 3 315.848 4.060 20 0 DIADHN Cc1ncsc1CNC[C@@H](C)Oc1ccc(C(C)C)cc1 ZINC000580622442 341888178 /nfs/dbraw/zinc/88/81/78/341888178.db2.gz ZERLVNLGZXYELJ-CYBMUJFWSA-N 0 3 304.459 4.132 20 0 DIADHN CC(C)C[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000577145692 341890286 /nfs/dbraw/zinc/89/02/86/341890286.db2.gz GWRRCIFRWFMNSA-HNNXBMFYSA-N 0 3 304.821 4.318 20 0 DIADHN Clc1ccc(CNCCc2ccc(Br)cc2)o1 ZINC000380097357 341896812 /nfs/dbraw/zinc/89/68/12/341896812.db2.gz HZBXPXLYFRHXAQ-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000177372042 341919101 /nfs/dbraw/zinc/91/91/01/341919101.db2.gz RYNFHMXRBGDXLD-KXBFYZLASA-N 0 3 315.432 4.302 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000177392803 341919426 /nfs/dbraw/zinc/91/94/26/341919426.db2.gz JCRWWHJHMIPGAJ-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000577575597 341919649 /nfs/dbraw/zinc/91/96/49/341919649.db2.gz RECHRHNQMZVAHO-MXEMCNAFSA-N 0 3 307.437 4.119 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@H](C)[C@@H](C)c1ccccc1 ZINC000177482360 341920282 /nfs/dbraw/zinc/92/02/82/341920282.db2.gz IHVXIGSXPWSETI-ZACQAIPSSA-N 0 3 324.468 4.358 20 0 DIADHN Cc1ncncc1[C@@H](C)NCC1(c2ccc(Cl)cc2)CCC1 ZINC000577768116 341935961 /nfs/dbraw/zinc/93/59/61/341935961.db2.gz VSKZWVSPCNIRMD-CYBMUJFWSA-N 0 3 315.848 4.211 20 0 DIADHN Cc1ccccc1C[C@H](NCc1nnc(C(C)C)s1)C1CC1 ZINC000577778109 341936281 /nfs/dbraw/zinc/93/62/81/341936281.db2.gz SUFQFZQBPFTJFM-INIZCTEOSA-N 0 3 315.486 4.081 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CCCN[C@H](C)c1ccoc1 ZINC000177871041 341939109 /nfs/dbraw/zinc/93/91/09/341939109.db2.gz LSRMKSWZGVGHAJ-OAHLLOKOSA-N 0 3 309.413 4.057 20 0 DIADHN COCCCCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000178106822 341945606 /nfs/dbraw/zinc/94/56/06/341945606.db2.gz IIKMJQDVEFTJLL-SNVBAGLBSA-N 0 3 308.274 4.449 20 0 DIADHN Clc1cccc([C@H](N[C@@H]2CCCOC2)c2ccccc2)c1 ZINC000178118548 341946842 /nfs/dbraw/zinc/94/68/42/341946842.db2.gz INZAOBPSEGGPAF-QZTJIDSGSA-N 0 3 301.817 4.198 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccccc2-n2cccn2)c1C ZINC000178144980 341947767 /nfs/dbraw/zinc/94/77/67/341947767.db2.gz ACZUMILPWFTDCT-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccoc1)c1ccc2c(c1)OCCO2 ZINC000178385637 341952923 /nfs/dbraw/zinc/95/29/23/341952923.db2.gz NPHVPKCDVNBDSA-ACJLOTCBSA-N 0 3 301.386 4.099 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCCc1cscn1)CCCO2 ZINC000178405032 341953487 /nfs/dbraw/zinc/95/34/87/341953487.db2.gz ZXERFWIJHPIYMS-QGZVFWFLSA-N 0 3 316.470 4.313 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H]2CCc3c2cccc3OC)cc1 ZINC000178471627 341955761 /nfs/dbraw/zinc/95/57/61/341955761.db2.gz WMEUSYNFWVGYOP-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](NCc1ccc(CO)c(F)c1)c1ccc2c(c1)CCCC2 ZINC000178566783 341958269 /nfs/dbraw/zinc/95/82/69/341958269.db2.gz ZMNMYSMYKAWFIS-AWEZNQCLSA-N 0 3 313.416 4.048 20 0 DIADHN COCCC[C@@H](C)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000178606920 341959538 /nfs/dbraw/zinc/95/95/38/341959538.db2.gz AIMIYSBDFLBQST-CQSZACIVSA-N 0 3 319.395 4.459 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](C)Sc2ccccc2)c(F)cn1 ZINC000577973508 341961753 /nfs/dbraw/zinc/96/17/53/341961753.db2.gz PWPUOPNXPWMLMU-CHWSQXEVSA-N 0 3 320.433 4.061 20 0 DIADHN FCCCN[C@@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000178680281 341961772 /nfs/dbraw/zinc/96/17/72/341961772.db2.gz JTGQOULSKPVILY-INIZCTEOSA-N 0 3 309.331 4.327 20 0 DIADHN CCS[C@H]1CCC[C@H](NCc2ncc(CSC)s2)C1 ZINC000339292792 521731986 /nfs/dbraw/zinc/73/19/86/521731986.db2.gz FCOYYALPNUBKFL-RYUDHWBXSA-N 0 3 316.561 4.160 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000181098992 522081872 /nfs/dbraw/zinc/08/18/72/522081872.db2.gz GQTHEPCBHQUHSY-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN CC[C@@H](NCc1ccnn1C1CCCC1)c1ccc(OC)cc1 ZINC000449058761 517779246 /nfs/dbraw/zinc/77/92/46/517779246.db2.gz LUTQWQCTTDODCV-LJQANCHMSA-N 0 3 313.445 4.248 20 0 DIADHN CC[C@H](NCc1cccc(COC)c1)c1cc(C)ccc1OC ZINC000093398436 517824790 /nfs/dbraw/zinc/82/47/90/517824790.db2.gz CDXCBSZILQLBRK-IBGZPJMESA-N 0 3 313.441 4.391 20 0 DIADHN CC[C@H](N[C@@H](C)Cn1ccnc1)c1ccc(Cl)c(Cl)c1 ZINC000037320202 517827255 /nfs/dbraw/zinc/82/72/55/517827255.db2.gz IPBGKSIGEIWJPN-NHYWBVRUSA-N 0 3 312.244 4.319 20 0 DIADHN CCn1nccc1CN[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000540687180 517888508 /nfs/dbraw/zinc/88/85/08/517888508.db2.gz HHSCGIWDDVVGBT-RDTXWAMCSA-N 0 3 309.457 4.293 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1C(F)(F)F)c1ccoc1 ZINC000532207109 518178341 /nfs/dbraw/zinc/17/83/41/518178341.db2.gz QCWQDAWMUKERIO-NWDGAFQWSA-N 0 3 313.319 4.417 20 0 DIADHN C[C@H](NC1CC(C)(F)C1)c1ccc(Br)c(F)c1 ZINC000453230701 518183174 /nfs/dbraw/zinc/18/31/74/518183174.db2.gz DNLLMBRIHMDWSB-LUHBLDKBSA-N 0 3 304.178 4.129 20 0 DIADHN Cc1ccccc1NC(=O)CNC1(c2ccccc2)CCCCC1 ZINC000477668787 518190890 /nfs/dbraw/zinc/19/08/90/518190890.db2.gz PAEUCSBXMTVAOM-UHFFFAOYSA-N 0 3 322.452 4.383 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCCc2ccc(F)cc21 ZINC000423357017 518197935 /nfs/dbraw/zinc/19/79/35/518197935.db2.gz RPYNPDQPNNMAIM-WOJBJXKFSA-N 0 3 310.416 4.453 20 0 DIADHN CC(C)C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccccn1 ZINC000120450808 519250477 /nfs/dbraw/zinc/25/04/77/519250477.db2.gz UBVREWMBKUXVSP-MSOLQXFVSA-N 0 3 318.848 4.145 20 0 DIADHN CC(C)N(C(=O)c1cccc(CN2CCCC2)c1)C1CCCC1 ZINC000264761831 519579888 /nfs/dbraw/zinc/57/98/88/519579888.db2.gz LITRDPNSLSTYKM-UHFFFAOYSA-N 0 3 314.473 4.076 20 0 DIADHN CC(C)N(Cc1ccc(Br)cc1)Cc1cccnc1 ZINC000339294309 519654100 /nfs/dbraw/zinc/65/41/00/519654100.db2.gz TXDBIRKVWHXLGY-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000354026765 519686070 /nfs/dbraw/zinc/68/60/70/519686070.db2.gz YVGBYXVWHJGKKX-HNNXBMFYSA-N 0 3 316.474 4.001 20 0 DIADHN CC(=O)Nc1ccc(CN2CCCCC[C@@H]2c2ccncc2)cc1 ZINC000157013886 519715914 /nfs/dbraw/zinc/71/59/14/519715914.db2.gz HBFFOVWKTKKLDR-HXUWFJFHSA-N 0 3 323.440 4.157 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H](c2ccccc2)C2CC2)cc1 ZINC000181926921 519734177 /nfs/dbraw/zinc/73/41/77/519734177.db2.gz PWAWNHDELIPDJL-VBKZILBWSA-N 0 3 308.425 4.447 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cccc(Cl)c2)c1 ZINC000019233213 519746805 /nfs/dbraw/zinc/74/68/05/519746805.db2.gz GTPKRDCKUCNDQZ-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(Cc2ccccc2)CC1 ZINC000157974134 519750567 /nfs/dbraw/zinc/75/05/67/519750567.db2.gz PMIMYVXLBJXBQA-UHFFFAOYSA-N 0 3 322.452 4.100 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@H]1c1ccccc1C ZINC000180153690 519750882 /nfs/dbraw/zinc/75/08/82/519750882.db2.gz GULQUBQRIQXGLU-FQEVSTJZSA-N 0 3 308.425 4.291 20 0 DIADHN CC(C)OCCCCN[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000180460909 519760821 /nfs/dbraw/zinc/76/08/21/519760821.db2.gz KQLYGKFHIRXKEC-LBPRGKRZSA-N 0 3 319.367 4.283 20 0 DIADHN CC(C)Oc1cccc(CN2CCC[C@H](c3nccs3)C2)c1 ZINC000156989845 519783045 /nfs/dbraw/zinc/78/30/45/519783045.db2.gz OEFCOKXLURAUPN-INIZCTEOSA-N 0 3 316.470 4.310 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2cccnc2Cl)cs1 ZINC000156968232 519861701 /nfs/dbraw/zinc/86/17/01/519861701.db2.gz FVCXFDGXDYNTEH-NSHDSACASA-N 0 3 309.866 4.208 20 0 DIADHN CCOCCOCc1cccc(CN[C@H](C)c2ccccc2)c1 ZINC000265484563 520002390 /nfs/dbraw/zinc/00/23/90/520002390.db2.gz SCKNYXXAWJAILM-QGZVFWFLSA-N 0 3 313.441 4.091 20 0 DIADHN CCOCc1ccc(CNCc2ccc3nc(C)ccc3c2)cc1 ZINC000189248815 520192716 /nfs/dbraw/zinc/19/27/16/520192716.db2.gz NCDVCGQQTGHLSU-UHFFFAOYSA-N 0 3 320.436 4.370 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCCOc2ccccc21 ZINC000122656573 520215103 /nfs/dbraw/zinc/21/51/03/520215103.db2.gz SLVVFFALXLONNH-LJQANCHMSA-N 0 3 311.425 4.227 20 0 DIADHN CCCOCc1ccccc1CNCc1cccc2cc[nH]c21 ZINC000236894262 520259642 /nfs/dbraw/zinc/25/96/42/520259642.db2.gz XBQWFWNTZSCNJL-UHFFFAOYSA-N 0 3 308.425 4.384 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccccc2OC(F)F)CC1 ZINC000108391774 520274943 /nfs/dbraw/zinc/27/49/43/520274943.db2.gz DVLGPLORJHBPSY-OAHLLOKOSA-N 0 3 313.388 4.069 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc(F)c3cccnc23)CC1 ZINC000108396962 520284511 /nfs/dbraw/zinc/28/45/11/520284511.db2.gz MBUNRMFCVZSXPE-INIZCTEOSA-N 0 3 316.420 4.155 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccccc2OC(F)F)CC1 ZINC000108391775 520285573 /nfs/dbraw/zinc/28/55/73/520285573.db2.gz DVLGPLORJHBPSY-HNNXBMFYSA-N 0 3 313.388 4.069 20 0 DIADHN CCO[C@@H](CCN[C@H](C)c1cnn(C2CCCC2)c1)C(C)C ZINC000337486689 520302915 /nfs/dbraw/zinc/30/29/15/520302915.db2.gz SVWLZFCVFSNVQL-QAPCUYQASA-N 0 3 307.482 4.100 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000189270730 520374274 /nfs/dbraw/zinc/37/42/74/520374274.db2.gz GVGFDNGDQXTPTQ-MRXNPFEDSA-N 0 3 315.461 4.412 20 0 DIADHN CCC[C@@H](NCc1ccc(OCCOC)cc1)c1ccsc1 ZINC000191202201 520779308 /nfs/dbraw/zinc/77/93/08/520779308.db2.gz CZHTXOUCTOMHKG-GOSISDBHSA-N 0 3 319.470 4.404 20 0 DIADHN CCC[C@@H](NCc1c[nH]nc1C)c1cccc(C(F)(F)F)c1 ZINC000236910683 520779380 /nfs/dbraw/zinc/77/93/80/520779380.db2.gz IWTAFWCWPRDMCY-OAHLLOKOSA-N 0 3 311.351 4.368 20 0 DIADHN CCC[C@H](NC(=O)c1ccc(CN(C)C)cc1)c1ccccc1 ZINC000338012793 521143393 /nfs/dbraw/zinc/14/33/93/521143393.db2.gz BWXFFFGHRRMFTF-IBGZPJMESA-N 0 3 310.441 4.019 20 0 DIADHN CCC[C@H](NCc1ccnc(N2CCCC2)c1)c1ccccc1 ZINC000131351984 521166206 /nfs/dbraw/zinc/16/62/06/521166206.db2.gz OYSGJLVVPIVVJV-IBGZPJMESA-N 0 3 309.457 4.313 20 0 DIADHN CCN(CCc1nccs1)C[C@@H](OC)c1ccc(Cl)cc1 ZINC000338288731 521182458 /nfs/dbraw/zinc/18/24/58/521182458.db2.gz SGRRHOPMPJBOIE-OAHLLOKOSA-N 0 3 324.877 4.049 20 0 DIADHN CCCC[C@H](NCc1cccnc1-n1ccnc1)c1ccccc1 ZINC000266773418 521239282 /nfs/dbraw/zinc/23/92/82/521239282.db2.gz BMAZUQAENDXBPJ-IBGZPJMESA-N 0 3 320.440 4.288 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc3c(c2)CC(=O)N3C)cc1 ZINC000264024797 521396544 /nfs/dbraw/zinc/39/65/44/521396544.db2.gz XTNQWHUDVQZLBT-OAHLLOKOSA-N 0 3 322.452 4.009 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCc3ccccn3)oc21 ZINC000181391208 521436499 /nfs/dbraw/zinc/43/64/99/521436499.db2.gz JZNSNVXQAJXFBN-AWEZNQCLSA-N 0 3 310.397 4.120 20 0 DIADHN CCOC1CC(CCNCc2csc(-c3ccsc3)n2)C1 ZINC000188787057 521645153 /nfs/dbraw/zinc/64/51/53/521645153.db2.gz KZLOVWSFXLEZPD-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN CCSCC[C@H](C)[N@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338286258 521715221 /nfs/dbraw/zinc/71/52/21/521715221.db2.gz ZLTLVOYHZNLQJW-AWEZNQCLSA-N 0 3 318.486 4.212 20 0 DIADHN CCSCC[C@H](C)[N@@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338286258 521715222 /nfs/dbraw/zinc/71/52/22/521715222.db2.gz ZLTLVOYHZNLQJW-AWEZNQCLSA-N 0 3 318.486 4.212 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2ncc(CSC)s2)C1 ZINC000339292791 521726138 /nfs/dbraw/zinc/72/61/38/521726138.db2.gz FCOYYALPNUBKFL-NWDGAFQWSA-N 0 3 316.561 4.160 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2ncc(CSC)s2)C1 ZINC000339292789 521731237 /nfs/dbraw/zinc/73/12/37/521731237.db2.gz FCOYYALPNUBKFL-NEPJUHHUSA-N 0 3 316.561 4.160 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2ccc(Br)o2)C1 ZINC000361571508 521732599 /nfs/dbraw/zinc/73/25/99/521732599.db2.gz LWGHQKNNVCOBOX-PWSUYJOCSA-N 0 3 318.280 4.148 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(O)c2nc(C)ccc12 ZINC000338294569 522086285 /nfs/dbraw/zinc/08/62/85/522086285.db2.gz AWKLKWULDZYGLD-CQSZACIVSA-N 0 3 321.424 4.057 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@H](OC)c2ccccc2)s1 ZINC000131409267 522223810 /nfs/dbraw/zinc/22/38/10/522223810.db2.gz MAVBVEZNDKCCFB-IUODEOHRSA-N 0 3 304.459 4.052 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](CCCO)c2ccccc2)s1 ZINC000268963886 522224975 /nfs/dbraw/zinc/22/49/75/522224975.db2.gz GKBSGYLANLQHEM-CZUORRHYSA-N 0 3 318.486 4.178 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2cccc3[nH]ccc32)cc1OC ZINC000237284724 522231798 /nfs/dbraw/zinc/23/17/98/522231798.db2.gz TYVCDPRVLFTIRG-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2CCc3c2cccc3F)s1 ZINC000163565918 522233615 /nfs/dbraw/zinc/23/36/15/522233615.db2.gz MTPYBESWIVRHDI-ZUZCIYMTSA-N 0 3 304.434 4.491 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H]2CCc3cc(F)ccc32)s1 ZINC000163496826 522234455 /nfs/dbraw/zinc/23/44/55/522234455.db2.gz ZWJKGBCLKZQLDV-BONVTDFDSA-N 0 3 304.434 4.491 20 0 DIADHN COc1cc(CNCc2ccc3nc(C)ccc3c2)ccc1C ZINC000189289787 522463443 /nfs/dbraw/zinc/46/34/43/522463443.db2.gz PLKOHLDCKSCQLF-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H]1CCc3c1cccc3O)CCC2 ZINC000130450401 522474382 /nfs/dbraw/zinc/47/43/82/522474382.db2.gz HJMZVNOFNVCRAZ-KGLIPLIRSA-N 0 3 314.454 4.066 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1cccc(COC)c1)CCC2 ZINC000158535562 522480737 /nfs/dbraw/zinc/48/07/37/522480737.db2.gz DELKLBMUXISUOJ-OAHLLOKOSA-N 0 3 316.470 4.019 20 0 DIADHN CC[C@H](NCC[S@](=O)c1ccccc1)c1ccc(Cl)cc1 ZINC000104665881 522600892 /nfs/dbraw/zinc/60/08/92/522600892.db2.gz VOFPBTHTOFNFIX-LAUBAEHRSA-N 0 3 321.873 4.189 20 0 DIADHN CC[C@H](NCc1cccc(N2CCCC2=O)c1)c1cccs1 ZINC000155280343 522653239 /nfs/dbraw/zinc/65/32/39/522653239.db2.gz JMLBISLULMTUNY-INIZCTEOSA-N 0 3 314.454 4.116 20 0 DIADHN CC[C@H](NCc1cccc2cc[nH]c21)c1ccc2c(c1)OCCO2 ZINC000236949953 522657026 /nfs/dbraw/zinc/65/70/26/522657026.db2.gz JDHSEQQFKKFIHH-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCC2)c1)c1ccc(C)cc1 ZINC000131374917 522660237 /nfs/dbraw/zinc/66/02/37/522660237.db2.gz NQRGGORSZKLCBF-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)cc1N(C)C)c1ccncc1 ZINC000163763127 522693985 /nfs/dbraw/zinc/69/39/85/522693985.db2.gz SRSWXINXCCUNDH-GUYCJALGSA-N 0 3 301.409 4.089 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccncc1 ZINC000163655028 522694758 /nfs/dbraw/zinc/69/47/58/522694758.db2.gz IZOZLMHNKRHJKA-GUYCJALGSA-N 0 3 323.440 4.113 20 0 DIADHN CC[C@H](N[C@@H](C)c1nnc2n1CCCCC2)C1CCCCC1 ZINC000364452144 522702426 /nfs/dbraw/zinc/70/24/26/522702426.db2.gz XHKLQWDBTAFGAR-HOCLYGCPSA-N 0 3 304.482 4.014 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c1cnn2CC)c1cccc(F)c1 ZINC000364159364 522718213 /nfs/dbraw/zinc/71/82/13/522718213.db2.gz GYVVLAQPMBMZPP-DLBZAZTESA-N 0 3 301.409 4.160 20 0 DIADHN CC[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1c(C)nn(C)c1C ZINC000163493107 522723591 /nfs/dbraw/zinc/72/35/91/522723591.db2.gz CYDPPPZAKQBOEJ-DLBZAZTESA-N 0 3 317.864 4.419 20 0 DIADHN CN(CCCCC(F)(F)F)Cc1ccc(Br)o1 ZINC000130918312 522728961 /nfs/dbraw/zinc/72/89/61/522728961.db2.gz DWPUPNOGZWDGED-UHFFFAOYSA-N 0 3 314.145 4.207 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)[C@H](C)OC)ccc1SC(F)F ZINC000191652323 522906996 /nfs/dbraw/zinc/90/69/96/522906996.db2.gz BBPBTDVXYQIFIG-AXFHLTTASA-N 0 3 319.417 4.084 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(F)c2)ccc1SC ZINC000124326884 522907018 /nfs/dbraw/zinc/90/70/18/522907018.db2.gz MVVNNPBCASYJDD-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccccc1 ZINC000264848242 522913422 /nfs/dbraw/zinc/91/34/22/522913422.db2.gz ILQAFUBASJUJKA-KBXCAEBGSA-N 0 3 308.425 4.007 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1F ZINC000180005189 522935586 /nfs/dbraw/zinc/93/55/86/522935586.db2.gz LDGFWPYWXSVFQQ-AUUYWEPGSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@@H](N[C@H]1CCc2c1cccc2O)c1ccc2c(c1)CCO2 ZINC000130348291 522951614 /nfs/dbraw/zinc/95/16/14/522951614.db2.gz FPTRFDGYTITFLU-MSOLQXFVSA-N 0 3 309.409 4.055 20 0 DIADHN CCc1nocc1CN[C@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000339342331 522955517 /nfs/dbraw/zinc/95/55/17/522955517.db2.gz QSOIAWGXQZRTJP-KRWDZBQOSA-N 0 3 300.377 4.102 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H](C)c1sc(C)nc1C ZINC000338006489 523009007 /nfs/dbraw/zinc/00/90/07/523009007.db2.gz CJUDKPQZDPICEN-BMIGLBTASA-N 0 3 320.433 4.246 20 0 DIADHN CC[C@@H](c1nnc(SCCN(CC)CC)o1)c1ccccc1 ZINC000131195889 523129766 /nfs/dbraw/zinc/12/97/66/523129766.db2.gz YLDPURMIIMTCEE-OAHLLOKOSA-N 0 3 319.474 4.045 20 0 DIADHN COCCCC[C@H](NCc1ccnn1C(C)C)c1ccccc1 ZINC000337244314 523188376 /nfs/dbraw/zinc/18/83/76/523188376.db2.gz OAQHWRKQBYMGHK-IBGZPJMESA-N 0 3 315.461 4.112 20 0 DIADHN CCn1cc(CNC2(c3cccc(Cl)c3)CCCC2)cn1 ZINC000130368883 523380828 /nfs/dbraw/zinc/38/08/28/523380828.db2.gz HDQNDBUTCIBMIM-UHFFFAOYSA-N 0 3 303.837 4.116 20 0 DIADHN CC[C@]1(C)CCN(Cc2cc(OC)c(OC)cc2SC)C1 ZINC000354099976 523567927 /nfs/dbraw/zinc/56/79/27/523567927.db2.gz ISMRADYTXMQQPU-QGZVFWFLSA-N 0 3 309.475 4.048 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CCCO)c2ccccc2)s1 ZINC000269001304 524027371 /nfs/dbraw/zinc/02/73/71/524027371.db2.gz PGOFTOYGLVFXIL-PBHICJAKSA-N 0 3 303.471 4.475 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)[C@@H](C)c1ccccc1 ZINC000181525001 524063336 /nfs/dbraw/zinc/06/33/36/524063336.db2.gz RGAAIWACXDOYHE-IAGOWNOFSA-N 0 3 324.468 4.278 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccc[nH]2)c1 ZINC000127427182 524102898 /nfs/dbraw/zinc/10/28/98/524102898.db2.gz MPESYFFOHYUCLG-SFHVURJKSA-N 0 3 306.413 4.050 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc(C)s1 ZINC000363834155 524238984 /nfs/dbraw/zinc/23/89/84/524238984.db2.gz UPSJBIKEWKRODV-TZMCWYRMSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000130249695 524281013 /nfs/dbraw/zinc/28/10/13/524281013.db2.gz WJUKMQLXKHYWGM-RTBURBONSA-N 0 3 322.452 4.168 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1CCC[C@@H](c2cccnc2)C1 ZINC000337308049 524317930 /nfs/dbraw/zinc/31/79/30/524317930.db2.gz STCXKCCJRQHSLD-KDOFPFPSSA-N 0 3 314.404 4.045 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](c1ccccc1)C1CC1 ZINC000157432066 524387276 /nfs/dbraw/zinc/38/72/76/524387276.db2.gz FGBUDQOQBJGHLY-HXUWFJFHSA-N 0 3 322.452 4.189 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000155884089 524461240 /nfs/dbraw/zinc/46/12/40/524461240.db2.gz AWGGRXCBCITLSD-LSDHHAIUSA-N 0 3 311.429 4.206 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CCC[C@H]3c3ccc[nH]3)cc2)n1 ZINC000339281046 524483698 /nfs/dbraw/zinc/48/36/98/524483698.db2.gz IYCBIHXFWRDIBT-FQEVSTJZSA-N 0 3 320.440 4.154 20 0 DIADHN C[C@H](CN[C@H]1CCSc2ccc(F)cc21)c1nccs1 ZINC000186751207 524506359 /nfs/dbraw/zinc/50/63/59/524506359.db2.gz BMMNZCQWPPEBGV-MFKMUULPSA-N 0 3 308.447 4.212 20 0 DIADHN C[C@@H](CN[C@@H]1CCCc2c(Cl)sc(Cl)c21)C[C@H](C)O ZINC000157597553 524750322 /nfs/dbraw/zinc/75/03/22/524750322.db2.gz VHWNGFCWGOTFJM-WCABBAIRSA-N 0 3 322.301 4.429 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc([S@](C)=O)cc2)ccc1Cl ZINC000181039075 524776648 /nfs/dbraw/zinc/77/66/48/524776648.db2.gz GFJVCPDXBRQGEM-ASSNKEHSSA-N 0 3 321.873 4.237 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000156960395 524784156 /nfs/dbraw/zinc/78/41/56/524784156.db2.gz RLYBWUBNVJAQEM-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN C[C@@H](C[C@@H]1CCCO1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000156836250 524849257 /nfs/dbraw/zinc/84/92/57/524849257.db2.gz NPANCJLRRCTAHO-DZKIICNBSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC2(C)CCOCC2)o1 ZINC000131103590 524959945 /nfs/dbraw/zinc/95/99/45/524959945.db2.gz VKZYRVGFWRASGS-UHFFFAOYSA-N 0 3 303.377 4.053 20 0 DIADHN C[C@H]1N(Cc2cnc(C3CCCC3)s2)CCC12CCOCC2 ZINC000364945378 525005840 /nfs/dbraw/zinc/00/58/40/525005840.db2.gz RKRMZRYVBLKNBC-CQSZACIVSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1ccc(NC(=O)CCCc2ccccc2)c(CN(C)C)c1 ZINC000265082717 525035956 /nfs/dbraw/zinc/03/59/56/525035956.db2.gz XTSFABDHWMFCKJ-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN COc1ccc([C@@H](C)CNC(C)(C)c2ncc(C)s2)cc1 ZINC000182552916 525126229 /nfs/dbraw/zinc/12/62/29/525126229.db2.gz ALNDYJFMJVASEF-LBPRGKRZSA-N 0 3 304.459 4.089 20 0 DIADHN Cc1ccc(NC(=O)c2csc(Cl)c2)c(CN(C)C)c1 ZINC000189286712 525257125 /nfs/dbraw/zinc/25/71/25/525257125.db2.gz TXEXXTKYFFCOKM-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN C[C@]1(CNCc2nccn2-c2ccccc2)C[C@@H]1c1ccccc1 ZINC000339455929 525269269 /nfs/dbraw/zinc/26/92/69/525269269.db2.gz ULGJZMYSOKDOMR-TZIWHRDSSA-N 0 3 317.436 4.156 20 0 DIADHN COc1ccc([C@H](NCc2ccnc(Cl)c2)C(C)C)cc1 ZINC000182052734 525373025 /nfs/dbraw/zinc/37/30/25/525373025.db2.gz NLWBWZMUHGSPAX-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN COc1ccc([C@H](NCc2cccc3[nH]ccc32)C2CC2)cc1 ZINC000237361165 525373866 /nfs/dbraw/zinc/37/38/66/525373866.db2.gz DKKRHWHYVMJABS-HXUWFJFHSA-N 0 3 306.409 4.417 20 0 DIADHN C[C@H](NCc1ccc(SC(=O)N(C)C)cc1)c1cccs1 ZINC000182544060 525448808 /nfs/dbraw/zinc/44/88/08/525448808.db2.gz RZSPEOLOGVWZJG-LBPRGKRZSA-N 0 3 320.483 4.373 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCC2)c1)c1ccc2c(c1)CCC2 ZINC000131400840 525472463 /nfs/dbraw/zinc/47/24/63/525472463.db2.gz IIEOHRRARXETMC-INIZCTEOSA-N 0 3 321.468 4.021 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1cccs1)c1csc(Cl)c1 ZINC000191302051 525489046 /nfs/dbraw/zinc/48/90/46/525489046.db2.gz YTRIDIQFSAGBNG-NOZJJQNGSA-N 0 3 301.864 4.021 20 0 DIADHN C[C@@H](NCc1ccc(-n2cccn2)cc1)c1cc(F)cc(F)c1 ZINC000157586184 525516766 /nfs/dbraw/zinc/51/67/66/525516766.db2.gz AXJOZWGJOMCNEA-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1c(Br)cccc1CN[C@@H](C)c1cccnc1 ZINC000181644738 525527855 /nfs/dbraw/zinc/52/78/55/525527855.db2.gz HXFCNJCDUSEHPH-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccnc(N2CCCCC2)c1 ZINC000162414210 525541079 /nfs/dbraw/zinc/54/10/79/525541079.db2.gz DPFZWCSIKOJIHY-IERDGZPVSA-N 0 3 321.468 4.095 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccc(Cl)cc1 ZINC000131483677 525546118 /nfs/dbraw/zinc/54/61/18/525546118.db2.gz MVFJQXAKDXBGKK-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc([S@](C)=O)cc1 ZINC000339501781 525553419 /nfs/dbraw/zinc/55/34/19/525553419.db2.gz JIBBVDJFHDNZNB-DMZKTXOQSA-N 0 3 313.422 4.021 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1cccs1 ZINC000182157223 525574904 /nfs/dbraw/zinc/57/49/04/525574904.db2.gz WEIDUYKPXSJGGV-DRZCJDIDSA-N 0 3 309.381 4.207 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCCCC2)C1)c1cccnc1Cl ZINC000127497142 525622226 /nfs/dbraw/zinc/62/22/26/525622226.db2.gz KYTXPCRZFUEWNJ-KBPBESRZSA-N 0 3 308.853 4.268 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nnc(-c2cccs2)o1 ZINC000119424522 525629858 /nfs/dbraw/zinc/62/98/58/525629858.db2.gz GONPWLBACHEBKW-NDBYEHHHSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(OC(F)(F)F)cc21)c1cccnc1 ZINC000158575860 525634447 /nfs/dbraw/zinc/63/44/47/525634447.db2.gz GJLQOTYTJOIOBE-ZBEGNZNMSA-N 0 3 322.330 4.318 20 0 DIADHN C[C@H](N[C@H]1c2cccc(F)c2CC[C@@H]1C)c1cncc(F)c1 ZINC000163305180 525638369 /nfs/dbraw/zinc/63/83/69/525638369.db2.gz OVXRYOIPNRFRID-OAVHHTNSSA-N 0 3 302.368 4.334 20 0 DIADHN C[C@H](N[C@H]1c2cccc(F)c2CC[C@H]1C)c1cncc(F)c1 ZINC000163305088 525639510 /nfs/dbraw/zinc/63/95/10/525639510.db2.gz OVXRYOIPNRFRID-FTLABTOESA-N 0 3 302.368 4.334 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCCCC2)C1)c1cccnc1Cl ZINC000127496908 525646561 /nfs/dbraw/zinc/64/65/61/525646561.db2.gz KYTXPCRZFUEWNJ-ZIAGYGMSSA-N 0 3 308.853 4.268 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1cccs1 ZINC000182157280 525686077 /nfs/dbraw/zinc/68/60/77/525686077.db2.gz WEIDUYKPXSJGGV-FUEDEBDSSA-N 0 3 309.381 4.207 20 0 DIADHN CSCc1cnc(CNC[C@H]2CCCc3ccccc32)s1 ZINC000339178520 525751642 /nfs/dbraw/zinc/75/16/42/525751642.db2.gz QJFVQSYDDBYUCQ-CQSZACIVSA-N 0 3 318.511 4.216 20 0 DIADHN CSCc1cnc(CN[C@H](C)CCCC(F)(F)F)s1 ZINC000339373149 525757750 /nfs/dbraw/zinc/75/77/50/525757750.db2.gz SLNWOJWZAZSFKQ-SECBINFHSA-N 0 3 312.426 4.217 20 0 DIADHN Cc1ccc([C@@H](NCc2cnc3n2CCCC3)C2CCC2)cc1 ZINC000367440083 525774115 /nfs/dbraw/zinc/77/41/15/525774115.db2.gz ZKMFMQZDCYDOEF-FQEVSTJZSA-N 0 3 309.457 4.159 20 0 DIADHN C[C@H](O)C[C@H](C)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000157597428 525807954 /nfs/dbraw/zinc/80/79/54/525807954.db2.gz VHWNGFCWGOTFJM-ATZCPNFKSA-N 0 3 322.301 4.429 20 0 DIADHN Cc1c([C@H](C)NCCc2csc(C(C)C)n2)cnn1C(C)C ZINC000191255221 525917041 /nfs/dbraw/zinc/91/70/41/525917041.db2.gz NSTSGFVRPHNLED-ZDUSSCGKSA-N 0 3 320.506 4.246 20 0 DIADHN Cc1c([C@H](C)NCCSc2ccccc2)cnn1C(C)C ZINC000191129430 525917375 /nfs/dbraw/zinc/91/73/75/525917375.db2.gz WEGGJFNTDBPGLK-AWEZNQCLSA-N 0 3 303.475 4.215 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182164908 525938082 /nfs/dbraw/zinc/93/80/82/525938082.db2.gz YARGTUIQXXWYPR-UXEPBGEESA-N 0 3 307.340 4.047 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(NC(N)=O)cc2)C2CCC2)cc1 ZINC000186978838 525970918 /nfs/dbraw/zinc/97/09/18/525970918.db2.gz ZLQIYWVJJAQHEP-LJQANCHMSA-N 0 3 323.440 4.117 20 0 DIADHN Cc1ccc([C@H]2CCCCN2CCCO[C@@H]2CCCCO2)o1 ZINC000338310038 525997010 /nfs/dbraw/zinc/99/70/10/525997010.db2.gz XQOXKOXZVMKHHL-SJLPKXTDSA-N 0 3 307.434 4.048 20 0 DIADHN Cc1ccc([C@H]2CCCN2C(=O)c2ccc(CN(C)C)cc2)cc1 ZINC000337996272 526007281 /nfs/dbraw/zinc/00/72/81/526007281.db2.gz UHGYXLLZXLEXMS-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN([C@@H](C)c4ccccn4)C3)nc2c1 ZINC000181160042 526103810 /nfs/dbraw/zinc/10/38/10/526103810.db2.gz PAGIYGKJCJOPHJ-JKSUJKDBSA-N 0 3 320.440 4.207 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(N3CCCCC3)c1)CC2 ZINC000162447545 526191108 /nfs/dbraw/zinc/19/11/08/526191108.db2.gz UEGQRLMWOALINR-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1ccc(C(=O)Nc2cc(CN3CCCC3)ccc2C)cc1 ZINC000128583896 526217809 /nfs/dbraw/zinc/21/78/09/526217809.db2.gz BQMVXDMSBJNBQM-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN CC(C)[C@H](C(=O)Nc1ccccc1CCN(C)C)c1ccccc1 ZINC000275653837 534914412 /nfs/dbraw/zinc/91/44/12/534914412.db2.gz NBAFJJUSVDJGIM-FQEVSTJZSA-N 0 3 324.468 4.169 20 0 DIADHN O[C@H](C[C@@H]1CCCCCN1Cc1ccccn1)c1cccs1 ZINC000267436506 526294886 /nfs/dbraw/zinc/29/48/86/526294886.db2.gz GNIGRMJRHSHRIQ-DLBZAZTESA-N 0 3 316.470 4.011 20 0 DIADHN Fc1cc2cccnc2c(CNCc2coc3ccccc23)c1 ZINC000339408294 526311710 /nfs/dbraw/zinc/31/17/10/526311710.db2.gz XQMKYMOQUYWKMM-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)c(F)c1 ZINC000337323388 526339202 /nfs/dbraw/zinc/33/92/02/526339202.db2.gz ALHIYKHHFIMEGM-CXAGYDPISA-N 0 3 302.368 4.176 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(OCC3CC3)CC1)CCC2 ZINC000337979726 526372424 /nfs/dbraw/zinc/37/24/24/526372424.db2.gz WEDFZZXWUQJEGD-IBGZPJMESA-N 0 3 303.421 4.094 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCCC[C@@H]3OC(F)F)cc2c1 ZINC000337295964 526379282 /nfs/dbraw/zinc/37/92/82/526379282.db2.gz NVPGAMAYUJZWNE-HIFRSBDPSA-N 0 3 313.319 4.212 20 0 DIADHN Fc1ccccc1[C@H](Cc1ccccc1)NCC1=CCCOC1 ZINC000127482197 526418127 /nfs/dbraw/zinc/41/81/27/526418127.db2.gz KAKNMOYQOQBNDY-FQEVSTJZSA-N 0 3 311.400 4.046 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H](C)c2cnn(C(C)(C)C)c2C)n1 ZINC000191497645 526431299 /nfs/dbraw/zinc/43/12/99/526431299.db2.gz YCTDJABDHNEZHT-YPMHNXCESA-N 0 3 320.506 4.166 20 0 DIADHN Cc1csc([C@H](NCCCCC2CCOCC2)C2CC2)n1 ZINC000337182194 526439968 /nfs/dbraw/zinc/43/99/68/526439968.db2.gz MVYUKRITUCSBCV-MRXNPFEDSA-N 0 3 308.491 4.089 20 0 DIADHN c1cc2c(cccc2CNCc2ccccc2N2CCCCC2)[nH]1 ZINC000180370958 526441124 /nfs/dbraw/zinc/44/11/24/526441124.db2.gz SLTGIZBXKYTGRW-UHFFFAOYSA-N 0 3 319.452 4.448 20 0 DIADHN Cc1cscc1CNCc1cc(Br)cs1 ZINC000087309650 526466786 /nfs/dbraw/zinc/46/67/86/526466786.db2.gz BTEVPPWQIPUQFQ-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1noc(C)c1CCNC1c2ccccc2-c2ccccc21 ZINC000182643511 526497300 /nfs/dbraw/zinc/49/73/00/526497300.db2.gz IDIZNCBCEOPJNO-UHFFFAOYSA-N 0 3 304.393 4.194 20 0 DIADHN c1ccc2nc(CN3CCC(OC4CCCC4)CC3)ccc2c1 ZINC000124275820 526517272 /nfs/dbraw/zinc/51/72/72/526517272.db2.gz TUARAKHRSVBXTR-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](c1cccc(C(F)(F)F)c1)C(C)C ZINC000236731806 526554603 /nfs/dbraw/zinc/55/46/03/526554603.db2.gz SYQSPADITGTFMN-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN c1csc([C@H]2CCCN2Cc2ccc(N3CCCC3)nc2)c1 ZINC000162695111 526589728 /nfs/dbraw/zinc/58/97/28/526589728.db2.gz ZRAPUOBBBGKJCH-MRXNPFEDSA-N 0 3 313.470 4.080 20 0 DIADHN Cc1noc(CCCN2CCCC[C@@H]2c2cc3ccccc3[nH]2)n1 ZINC000266884950 526603483 /nfs/dbraw/zinc/60/34/83/526603483.db2.gz PYSOUZHANCFUGB-GOSISDBHSA-N 0 3 324.428 4.019 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1cccs1 ZINC000156518852 526612465 /nfs/dbraw/zinc/61/24/65/526612465.db2.gz XFXVZZOKPKZWML-NSHDSACASA-N 0 3 315.467 4.426 20 0 DIADHN c1sc(CNC[C@H]2CCCCS2)nc1-c1ccccc1 ZINC000156397767 526632205 /nfs/dbraw/zinc/63/22/05/526632205.db2.gz IYMKCKHEYOMHML-CQSZACIVSA-N 0 3 304.484 4.185 20 0 DIADHN Cc1noc([C@@H]2CCCN(C[C@@H](C)c3cccc(Cl)c3)C2)n1 ZINC000189725338 526654494 /nfs/dbraw/zinc/65/44/94/526654494.db2.gz AGONAGKFRLZPHK-IUODEOHRSA-N 0 3 319.836 4.015 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NC[C@@H](O)c1ccccc1 ZINC000192959727 526661110 /nfs/dbraw/zinc/66/11/10/526661110.db2.gz SESNVEVYVANIQK-IUODEOHRSA-N 0 3 318.486 4.133 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NC[C@H](O)c1ccsc1 ZINC000154544307 526661641 /nfs/dbraw/zinc/66/16/41/526661641.db2.gz ZAHDXCBIYBELFY-MFKMUULPSA-N 0 3 324.515 4.195 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000339194184 526669264 /nfs/dbraw/zinc/66/92/64/526669264.db2.gz AZISIRIPVQQJFZ-ZDUSSCGKSA-N 0 3 308.429 4.241 20 0 DIADHN CN(Cc1ccc(C(F)F)cc1)[C@@H](CCO)c1ccccc1 ZINC000274159020 536466400 /nfs/dbraw/zinc/46/64/00/536466400.db2.gz LZNLVXVYRIVUNE-KRWDZBQOSA-N 0 3 305.368 4.180 20 0 DIADHN Cc1nocc1CNCC1(c2cccc(C(F)(F)F)c2)CCC1 ZINC000339285975 526689120 /nfs/dbraw/zinc/68/91/20/526689120.db2.gz SHDFHFNHZQJROZ-UHFFFAOYSA-N 0 3 324.346 4.213 20 0 DIADHN Cc1nc(C)c(CN2CCC(OC3CCCCC3)CC2)s1 ZINC000336835255 526715348 /nfs/dbraw/zinc/71/53/48/526715348.db2.gz JDCXKZSYYCIDCX-UHFFFAOYSA-N 0 3 308.491 4.074 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCCCC2CCOCC2)s1 ZINC000337195567 526742955 /nfs/dbraw/zinc/74/29/55/526742955.db2.gz VZOHCEQXHDQSJL-CQSZACIVSA-N 0 3 310.507 4.350 20 0 DIADHN Cc1sccc1CN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000339321027 526920166 /nfs/dbraw/zinc/92/01/66/526920166.db2.gz KJNOLRGDTJSKKL-MAUKXSAKSA-N 0 3 301.455 4.314 20 0 DIADHN Cc1nc([C@H]2CCCCN2Cc2c(C)ccc3ccccc32)n[nH]1 ZINC000338327128 527074371 /nfs/dbraw/zinc/07/43/71/527074371.db2.gz JTPDJINOCOERKX-LJQANCHMSA-N 0 3 320.440 4.302 20 0 DIADHN Cc1ncc(CN[C@@H](CCc2ccccc2)c2ccccc2)cn1 ZINC000339211815 527275425 /nfs/dbraw/zinc/27/54/25/527275425.db2.gz JHQQKCGJKGSQHZ-NRFANRHFSA-N 0 3 317.436 4.249 20 0 DIADHN Cc1nccn1CCCN[C@@H](C)c1ccc(-c2ccccc2)o1 ZINC000338011061 527422077 /nfs/dbraw/zinc/42/20/77/527422077.db2.gz MHYDTYPFROUMEI-HNNXBMFYSA-N 0 3 309.413 4.192 20 0 DIADHN Cc1nccn1CCCN[C@H](C)c1ccc(Cl)cc1Cl ZINC000338017679 527422278 /nfs/dbraw/zinc/42/22/78/527422278.db2.gz OOUNOFXPGTUCDQ-LLVKDONJSA-N 0 3 312.244 4.239 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC(C)(C)c1ccccc1Cl ZINC000187943991 527453131 /nfs/dbraw/zinc/45/31/31/527453131.db2.gz HVOJZANYKXKSLW-CYBMUJFWSA-N 0 3 303.837 4.067 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@@H](C)Cc1ccccc1C(F)(F)F ZINC000188442388 527454307 /nfs/dbraw/zinc/45/43/07/527454307.db2.gz FDMPBRVUVRMKFW-WCQYABFASA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H](C)Cc1ccccc1C(F)(F)F ZINC000188442414 527457882 /nfs/dbraw/zinc/45/78/82/527457882.db2.gz FDMPBRVUVRMKFW-AAEUAGOBSA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cccc2[nH]ccc21 ZINC000131219015 527589482 /nfs/dbraw/zinc/58/94/82/527589482.db2.gz SVUFJGRXTRDJNR-ZDUSSCGKSA-N 0 3 310.445 4.279 20 0 DIADHN FC(F)(F)C1(CNCc2cnc(-c3ccco3)s2)CCC1 ZINC000353095924 527662365 /nfs/dbraw/zinc/66/23/65/527662365.db2.gz CZYSKBMBSGKHPZ-UHFFFAOYSA-N 0 3 316.348 4.225 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(CCCCC(F)(F)F)CC1 ZINC000131124182 527666690 /nfs/dbraw/zinc/66/66/90/527666690.db2.gz JDEHQPFJHBMEGC-INIZCTEOSA-N 0 3 315.379 4.165 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@@H]2CCCC(F)(F)C2)s1 ZINC000337311844 527690718 /nfs/dbraw/zinc/69/07/18/527690718.db2.gz VIFAIAWHJSVSDV-MRVPVSSYSA-N 0 3 314.323 4.077 20 0 DIADHN FC(F)Oc1ccc(CN[C@@H]2CCCNc3ccccc32)cc1 ZINC000192607250 527695533 /nfs/dbraw/zinc/69/55/33/527695533.db2.gz GRMZUWAWVYIBLB-QGZVFWFLSA-N 0 3 318.367 4.325 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](Cc2ccccc2)C(C)C)cs1 ZINC000275275884 536514334 /nfs/dbraw/zinc/51/43/34/536514334.db2.gz CXSBUIMUFIOAOO-RHSMWYFYSA-N 0 3 318.486 4.207 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(C)ccn1)c1cccc(Cl)c1 ZINC000286197793 536514865 /nfs/dbraw/zinc/51/48/65/536514865.db2.gz RDPAENPUARQGBR-DYVFJYSZSA-N 0 3 304.821 4.082 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1cn(C)nc1C(F)F ZINC000274447493 535167668 /nfs/dbraw/zinc/16/76/68/535167668.db2.gz DLABBJBYOKVAHZ-MRXNPFEDSA-N 0 3 307.388 4.187 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2occc2c1)c1cccs1 ZINC000296107127 535171929 /nfs/dbraw/zinc/17/19/29/535171929.db2.gz UPGYLEMPBDOVEW-KRWDZBQOSA-N 0 3 315.438 4.344 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2ccccc21)c1cccnc1 ZINC000293564278 535172290 /nfs/dbraw/zinc/17/22/90/535172290.db2.gz GJNSCUGMOCCVJQ-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1ccc(C(F)(F)F)cc1)C2 ZINC000285367877 535397901 /nfs/dbraw/zinc/39/79/01/535397901.db2.gz BVEVQIBZBXNEHE-UHFFFAOYSA-N 0 3 313.363 4.096 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccsc1 ZINC000297631988 535805950 /nfs/dbraw/zinc/80/59/50/535805950.db2.gz JJGFMKRYEXCOEB-QGZVFWFLSA-N 0 3 306.450 4.190 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nnc(-c2cc(C)oc2C)o1 ZINC000120861724 535819008 /nfs/dbraw/zinc/81/90/08/535819008.db2.gz LAOFLMVHNPYQGL-GDBMZVCRSA-N 0 3 317.433 4.347 20 0 DIADHN CC[C@H](NCc1ccccc1COC)c1ccc2c(c1)CCO2 ZINC000119583122 535920820 /nfs/dbraw/zinc/92/08/20/535920820.db2.gz XTRNZZWJIAADFA-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1ccnc1)c1cccc(C)c1 ZINC000285680896 535921028 /nfs/dbraw/zinc/92/10/28/535921028.db2.gz NWIXICBUDRPOKB-NRFANRHFSA-N 0 3 319.452 4.481 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(OC)cc1)c1ccsc1 ZINC000297155578 535931670 /nfs/dbraw/zinc/93/16/70/535931670.db2.gz CODSCXALCFMIRO-ROUUACIJSA-N 0 3 318.486 4.100 20 0 DIADHN CCc1ccccc1CNC1(c2ccc(F)cc2)CCOCC1 ZINC000275590308 535940636 /nfs/dbraw/zinc/94/06/36/535940636.db2.gz JGHIUVIIYSSKIF-UHFFFAOYSA-N 0 3 313.416 4.184 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCCc1nnc(C)s1 ZINC000289664223 536083513 /nfs/dbraw/zinc/08/35/13/536083513.db2.gz GVYDOTKTCHCJFR-LLVKDONJSA-N 0 3 315.442 4.048 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc1C ZINC000273159572 536617503 /nfs/dbraw/zinc/61/75/03/536617503.db2.gz KCGJGXPNNAFXGF-HZPDHXFCSA-N 0 3 313.441 4.216 20 0 DIADHN COCCOc1ccccc1CN[C@@H]1C[C@H](C)c2ccccc21 ZINC000289242288 536732915 /nfs/dbraw/zinc/73/29/15/536732915.db2.gz MXDAAMSHJIDNSD-HNAYVOBHSA-N 0 3 311.425 4.050 20 0 DIADHN COCC1(CCNCc2nc(-c3ccccc3)cs2)CCC1 ZINC000293081547 536819003 /nfs/dbraw/zinc/81/90/03/536819003.db2.gz WIALUXZHSWMRBU-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cc1O ZINC000289327616 536897430 /nfs/dbraw/zinc/89/74/30/536897430.db2.gz VGSMVYZZEAVSBZ-QGZVFWFLSA-N 0 3 322.408 4.049 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1cccc2cccnc21 ZINC000289208104 537021766 /nfs/dbraw/zinc/02/17/66/537021766.db2.gz KEPCMBMWCHUVSI-QGZVFWFLSA-N 0 3 322.383 4.160 20 0 DIADHN CCOc1ccc(CNCc2ccc(OC)cc2)cc1Cl ZINC000010475396 563357768 /nfs/dbraw/zinc/35/77/68/563357768.db2.gz WSLCBAJUBLSMNM-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN C[C@H](NCC1(CO)CCC1)c1ccc(Cl)c(Cl)c1Cl ZINC000680355301 1043531434 /nfs/dbraw/zinc/53/14/34/1043531434.db2.gz VXIRAQARBMYCGL-VIFPVBQESA-N 0 3 322.663 4.460 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)[C@@H]1CCO[C@H](C)C1 ZINC001168141417 1045893619 /nfs/dbraw/zinc/89/36/19/1045893619.db2.gz JGMXKGIJXLPOII-BXUZGUMPSA-N 0 3 302.245 4.383 20 0 DIADHN CC1(C)CN(CCOc2cc(Cl)cc(Cl)c2)CCS1 ZINC001307526350 1046480840 /nfs/dbraw/zinc/48/08/40/1046480840.db2.gz TXGNCFSYFZXVRF-UHFFFAOYSA-N 0 3 320.285 4.200 20 0 DIADHN CCC(CC)(CNCc1cc(Cl)ccc1Cl)C(=O)OC ZINC001192427802 1049094656 /nfs/dbraw/zinc/09/46/56/1049094656.db2.gz NIEADGBITMAXSX-UHFFFAOYSA-N 0 3 318.244 4.062 20 0 DIADHN Cc1cc2c(cc1Br)CN(CC1CCCC1)CC2 ZINC001473825568 1054759068 /nfs/dbraw/zinc/75/90/68/1054759068.db2.gz YECGSZHUGKNAAV-UHFFFAOYSA-N 0 3 308.263 4.306 20 0 DIADHN COc1c(Br)cccc1CN(C)C1CC(C)(C)C1 ZINC001560878554 1060737018 /nfs/dbraw/zinc/73/70/18/1060737018.db2.gz ZEOACWKLUNOKHL-UHFFFAOYSA-N 0 3 312.251 4.078 20 0 DIADHN COc1ccc(CN[C@@H]2CCSc3c(F)cccc32)cc1 ZINC000035047533 1035532719 /nfs/dbraw/zinc/53/27/19/1035532719.db2.gz XOUUKRKULZKACP-MRXNPFEDSA-N 0 3 303.402 4.161 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1cnc(C(C)(C)C)s1 ZINC000063523105 1035545703 /nfs/dbraw/zinc/54/57/03/1035545703.db2.gz OUMMBTGOUFRSCW-LBPRGKRZSA-N 0 3 322.449 4.137 20 0 DIADHN C[C@H]1CN(Cc2cccc(OCC(F)(F)F)c2)CCC1(F)F ZINC000579766929 1035575592 /nfs/dbraw/zinc/57/55/92/1035575592.db2.gz KWUGWYQCQFDYSD-NSHDSACASA-N 0 3 323.305 4.105 20 0 DIADHN CN(C)[C@H](CNC(=O)CCCC1CCCCC1)c1ccccc1 ZINC000301384610 1035578064 /nfs/dbraw/zinc/57/80/64/1035578064.db2.gz ISXDXDFAUSZPDC-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN COc1cccc(CCCN(C)Cc2ccc(F)cc2F)c1 ZINC000607726151 1035698972 /nfs/dbraw/zinc/69/89/72/1035698972.db2.gz LYPROPJLXSLDQP-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN CCOCCCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000607727137 1035701593 /nfs/dbraw/zinc/70/15/93/1035701593.db2.gz HXRIVJBBIUBVCF-HNNXBMFYSA-N 0 3 312.251 4.013 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(F)c3cccnc23)c1 ZINC000046407327 1035715180 /nfs/dbraw/zinc/71/51/80/1035715180.db2.gz NFKJYFXJFLHXSX-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CCCCN(CCCC)CN1C[C@@H](c2cccc(F)c2)CC1=O ZINC000728195288 1035727431 /nfs/dbraw/zinc/72/74/31/1035727431.db2.gz IFDJWWSQVQIRTF-KRWDZBQOSA-N 0 3 320.452 4.001 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@H]2C(C)C)c1 ZINC000088846035 1035740347 /nfs/dbraw/zinc/74/03/47/1035740347.db2.gz IGHJLWMHXRMCKK-HNNXBMFYSA-N 0 3 312.251 4.078 20 0 DIADHN CC[C@H](NCC(=O)Nc1cccc(F)c1)c1ccc(Cl)cc1 ZINC000024253032 1035833329 /nfs/dbraw/zinc/83/33/29/1035833329.db2.gz CKVGVLFYXVRNLT-INIZCTEOSA-N 0 3 320.795 4.159 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2c1cnn2C)c1cccc(Cl)c1 ZINC000036921576 1035887500 /nfs/dbraw/zinc/88/75/00/1035887500.db2.gz HAVKCBQPRWEGSG-CVEARBPZSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(N2CCOC2=O)c1)c1cccs1 ZINC000154475339 1035902872 /nfs/dbraw/zinc/90/28/72/1035902872.db2.gz DLAVPFJVMZVWSX-CHWSQXEVSA-N 0 3 316.426 4.117 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2C)cc1 ZINC000339679200 1035906488 /nfs/dbraw/zinc/90/64/88/1035906488.db2.gz PBHVHLJLJDSSRT-CHWSQXEVSA-N 0 3 303.475 4.252 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@H](CC3CC3)C2)c(C)c1 ZINC000339679656 1035907441 /nfs/dbraw/zinc/90/74/41/1035907441.db2.gz TYOFBYPNHQOHFI-FUHWJXTLSA-N 0 3 314.473 4.061 20 0 DIADHN CCC[C@H](NCCn1ccnc1)c1ccc(Cl)cc1Cl ZINC000339681806 1035914732 /nfs/dbraw/zinc/91/47/32/1035914732.db2.gz ZXBFKPIVXWHADT-HNNXBMFYSA-N 0 3 312.244 4.321 20 0 DIADHN COc1cccc([C@H]2CCCCN2C[C@@H](C)C(F)(F)F)c1 ZINC001208895185 1035919686 /nfs/dbraw/zinc/91/96/86/1035919686.db2.gz ZVBUIOYKMSULRY-IUODEOHRSA-N 0 3 301.352 4.421 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccc(OCC(F)F)cc1 ZINC000179705238 1035925782 /nfs/dbraw/zinc/92/57/82/1035925782.db2.gz NQRYGUQVNTVMKH-QGZVFWFLSA-N 0 3 320.383 4.006 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2cccn2C)cc1 ZINC000179704061 1035927386 /nfs/dbraw/zinc/92/73/86/1035927386.db2.gz XQDJQTMLJVMZKI-LJQANCHMSA-N 0 3 320.440 4.061 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@H]2c2cccn2C)c1 ZINC000179706896 1035927678 /nfs/dbraw/zinc/92/76/78/1035927678.db2.gz VMHBLUILPZCAHK-IBGZPJMESA-N 0 3 320.440 4.061 20 0 DIADHN Cc1cccc(OC2CCN(C[C@H](C)C(F)(F)F)CC2)c1 ZINC001208906440 1035933089 /nfs/dbraw/zinc/93/30/89/1035933089.db2.gz XALZCWZYJPBWOG-ZDUSSCGKSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@@H]1N[C@@H](C)c1nccs1 ZINC000537948316 1035937118 /nfs/dbraw/zinc/93/71/18/1035937118.db2.gz HMZJJPDQZLWUEA-YQQAZPJKSA-N 0 3 316.470 4.492 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H](C)c2ccc(C)s2)c1 ZINC000037070979 1035937556 /nfs/dbraw/zinc/93/75/56/1035937556.db2.gz DGRILTJWBGTVCX-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN CO[C@H]1CCN(CCc2ccc(Cl)cc2Cl)[C@@H](C)C1 ZINC001209082811 1036006169 /nfs/dbraw/zinc/00/61/69/1036006169.db2.gz DFHUFNWCFLBWDL-FZMZJTMJSA-N 0 3 302.245 4.035 20 0 DIADHN FC1(F)C[C@@]12CCN(CCc1ccc(Cl)cc1Cl)C2 ZINC001209082473 1036007805 /nfs/dbraw/zinc/00/78/05/1036007805.db2.gz TXZBIWPJAUXQFB-CYBMUJFWSA-N 0 3 306.183 4.267 20 0 DIADHN COC[C@H]1CCCCN1CCc1ccc(Cl)cc1Cl ZINC001209080604 1036008948 /nfs/dbraw/zinc/00/89/48/1036008948.db2.gz FARNBHMAXVSMSO-CQSZACIVSA-N 0 3 302.245 4.037 20 0 DIADHN COCC[C@@H](N[C@@H](c1ncccc1C)C(C)C)c1ccccc1 ZINC000339867337 1036009360 /nfs/dbraw/zinc/00/93/60/1036009360.db2.gz PLYYREBICCRGJG-RTBURBONSA-N 0 3 312.457 4.455 20 0 DIADHN CCCOC1CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209080863 1036010229 /nfs/dbraw/zinc/01/02/29/1036010229.db2.gz HUTBTSXUIMGCLW-UHFFFAOYSA-N 0 3 316.272 4.427 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000154824603 1036020151 /nfs/dbraw/zinc/02/01/51/1036020151.db2.gz IPCRAVBYRVCELQ-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1cccc(-n2cccn2)c1 ZINC000154824500 1036020279 /nfs/dbraw/zinc/02/02/79/1036020279.db2.gz IPCRAVBYRVCELQ-CVEARBPZSA-N 0 3 321.424 4.293 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H](C)c1cccc(C)c1 ZINC000180232299 1036047769 /nfs/dbraw/zinc/04/77/69/1036047769.db2.gz CFVHTZYJKMRFNM-INIZCTEOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccc([C@H](NCC2(C)COC2)c2ccccc2Cl)cc1 ZINC000180532879 1036070987 /nfs/dbraw/zinc/07/09/87/1036070987.db2.gz QDWSPBQMGJFSBY-SFHVURJKSA-N 0 3 315.844 4.364 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Cc2ccc3ccccc3c2)c1 ZINC000047453320 1036079449 /nfs/dbraw/zinc/07/94/49/1036079449.db2.gz URYJHCZYGDUSGA-UHFFFAOYSA-N 0 3 318.420 4.083 20 0 DIADHN C[C@@H](CCCO)N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000180751103 1036085817 /nfs/dbraw/zinc/08/58/17/1036085817.db2.gz YFQPQHASDKVDQA-UGSOOPFHSA-N 0 3 321.823 4.319 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(Br)cc2)c1 ZINC000037486724 1036086436 /nfs/dbraw/zinc/08/64/36/1036086436.db2.gz XFRBKKMQTLJGBF-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN CCN(CC(=O)N[C@@H](CC(C)(C)C)c1ccc(F)cc1)C(C)C ZINC001167108577 1036092009 /nfs/dbraw/zinc/09/20/09/1036092009.db2.gz RBNLQXMTPWWVIK-KRWDZBQOSA-N 0 3 322.468 4.150 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3ccc(O)cc32)cc1F ZINC000322973883 1036097219 /nfs/dbraw/zinc/09/72/19/1036097219.db2.gz XNOOKLQINUVCRY-XIKOKIGWSA-N 0 3 315.388 4.268 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)Cc3ccsc3)co2)cc1 ZINC000085304654 1036117670 /nfs/dbraw/zinc/11/76/70/1036117670.db2.gz ALZXXUFKUVRVFL-AWEZNQCLSA-N 0 3 312.438 4.432 20 0 DIADHN C[C@@H](CNCc1csc(Cl)c1Cl)c1ccncc1 ZINC000683342786 1036176355 /nfs/dbraw/zinc/17/63/55/1036176355.db2.gz XDCDEOFYZPECPL-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Brc1cccc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000340107751 1036204676 /nfs/dbraw/zinc/20/46/76/1036204676.db2.gz YBRPKVHKOCVKQX-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN Cc1cnc(C2CCN(Cc3nccc4ccccc43)CC2)s1 ZINC000683363864 1036217757 /nfs/dbraw/zinc/21/77/57/1036217757.db2.gz AUXKGMFGQHOUST-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN COc1ccccc1Oc1ccc(CNCc2cccn2C)cc1 ZINC000119170091 1036240685 /nfs/dbraw/zinc/24/06/85/1036240685.db2.gz WDQOOOWRTAFWPW-UHFFFAOYSA-N 0 3 322.408 4.116 20 0 DIADHN Cc1cc(C)cc(CN(Cc2ccccc2)C[C@@H]2CCCO2)c1 ZINC001167186630 1036255735 /nfs/dbraw/zinc/25/57/35/1036255735.db2.gz HCVCJJZFQURGEW-NRFANRHFSA-N 0 3 309.453 4.485 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001167227729 1036293019 /nfs/dbraw/zinc/29/30/19/1036293019.db2.gz XRSSDJUFRNQEPW-QAPCUYQASA-N 0 3 316.489 4.433 20 0 DIADHN Cc1ccc(C)c(CN[C@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000120296316 1036323869 /nfs/dbraw/zinc/32/38/69/1036323869.db2.gz YWPFMBVQZBAWGC-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccccc2)s1)[C@@H]1CCCCO1 ZINC000653875070 1036331021 /nfs/dbraw/zinc/33/10/21/1036331021.db2.gz PEAYHMVZZLHDCL-HOTGVXAUSA-N 0 3 316.470 4.247 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccccc2)cs1)[C@H]1CCCCO1 ZINC000653875054 1036332051 /nfs/dbraw/zinc/33/20/51/1036332051.db2.gz OREYCDXYONVVKK-NVXWUHKLSA-N 0 3 316.470 4.247 20 0 DIADHN CCn1cccc(CN[C@H](C)c2ccc(F)c3ccccc32)c1=O ZINC000340371783 1036379266 /nfs/dbraw/zinc/37/92/66/1036379266.db2.gz IBKQSWJDNUMCSD-CQSZACIVSA-N 0 3 324.399 4.011 20 0 DIADHN C[C@H](NC/C=C/c1ccccc1)c1cccc(N2CCCC2=O)c1 ZINC000237515385 1036384748 /nfs/dbraw/zinc/38/47/48/1036384748.db2.gz WOCJJNPUQMNYJW-BHMZLHOFSA-N 0 3 320.436 4.177 20 0 DIADHN Cc1cccc(COc2ccc(CNCc3cc[nH]c3)cc2)c1 ZINC000237649980 1036393668 /nfs/dbraw/zinc/39/36/68/1036393668.db2.gz GEYFCBPNWRJIJM-UHFFFAOYSA-N 0 3 306.409 4.192 20 0 DIADHN CC(C)[C@H]1CC[C@H]1NCc1nc(-c2ccccc2F)cs1 ZINC000579918689 1036412747 /nfs/dbraw/zinc/41/27/47/1036412747.db2.gz JQNIXBKMGHCKBX-IUODEOHRSA-N 0 3 304.434 4.473 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2ccccc2)C(C)C)c1C ZINC000008002544 1036445228 /nfs/dbraw/zinc/44/52/28/1036445228.db2.gz MLFWUHBSAUQVJE-FQEVSTJZSA-N 0 3 310.441 4.229 20 0 DIADHN CCO[C@@H](CN[C@@H](CC(F)(F)F)c1ccc(F)cc1)C1CC1 ZINC000625291442 1036461291 /nfs/dbraw/zinc/46/12/91/1036461291.db2.gz WXMGEKFKKWBWBY-GJZGRUSLSA-N 0 3 319.342 4.224 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H]2c2cccc(C)c2)oc1C ZINC000340406664 1036491049 /nfs/dbraw/zinc/49/10/49/1036491049.db2.gz INNOTDIEMBDJAH-GOSISDBHSA-N 0 3 313.397 4.020 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@@H](C)c2cncnc2C)c1C ZINC000655717183 1036502921 /nfs/dbraw/zinc/50/29/21/1036502921.db2.gz WSZIYXBPGDKBNX-AWEZNQCLSA-N 0 3 308.429 4.042 20 0 DIADHN O[C@@H](CN(CCC(F)(F)F)CC1CC1)c1ccccc1Cl ZINC000177166800 1036536579 /nfs/dbraw/zinc/53/65/79/1036536579.db2.gz VLSHMCZSAPFOLI-AWEZNQCLSA-N 0 3 321.770 4.038 20 0 DIADHN O=C(CN[C@H](c1ccccc1)C1CC1)Nc1ccc(Cl)cc1 ZINC000538114100 1036537013 /nfs/dbraw/zinc/53/70/13/1036537013.db2.gz XJYPGGJGRRWDEN-GOSISDBHSA-N 0 3 314.816 4.019 20 0 DIADHN FC(F)(F)CCN[C@@H]1CCc2sc(Br)cc21 ZINC000309197210 1036537148 /nfs/dbraw/zinc/53/71/48/1036537148.db2.gz DNQAGRAGYBGFNK-SSDOTTSWSA-N 0 3 314.170 4.040 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCc2c1cc(F)cc2F ZINC000121549353 1036537737 /nfs/dbraw/zinc/53/77/37/1036537737.db2.gz ZAOWUJBHQYBCRR-MSOLQXFVSA-N 0 3 315.363 4.238 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCc2c1cc(F)cc2F ZINC000121549205 1036538138 /nfs/dbraw/zinc/53/81/38/1036538138.db2.gz ZAOWUJBHQYBCRR-ROUUACIJSA-N 0 3 315.363 4.238 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001203393740 1036539987 /nfs/dbraw/zinc/53/99/87/1036539987.db2.gz ATKKGBBEDABBTR-DIOULYMOSA-N 0 3 314.256 4.240 20 0 DIADHN C[C@H](N[C@@H](C1CC1)[C@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000655788614 1036541803 /nfs/dbraw/zinc/54/18/03/1036541803.db2.gz LUNNHGVWUNIQLC-MJEQTWJJSA-N 0 3 320.502 4.020 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccc(F)cc2)C(C)C)cc1 ZINC000008003629 1036544359 /nfs/dbraw/zinc/54/43/59/1036544359.db2.gz RUOUFGFRDIMQRC-IBGZPJMESA-N 0 3 314.404 4.060 20 0 DIADHN CCC[C@@H](N[C@@H]1CCc2ccccc2NC1=O)c1ccsc1 ZINC000655792251 1036545354 /nfs/dbraw/zinc/54/53/54/1036545354.db2.gz YGAKHORYQYGEBB-NVXWUHKLSA-N 0 3 314.454 4.132 20 0 DIADHN FC(F)(F)c1cccc(C2(CNCc3ncc[nH]3)CCCC2)c1 ZINC000067694435 1036552659 /nfs/dbraw/zinc/55/26/59/1036552659.db2.gz NJBDPIKOQQXYEU-UHFFFAOYSA-N 0 3 323.362 4.030 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1Cl)[C@H](O)c1ccc(F)cc1 ZINC000177345246 1036553792 /nfs/dbraw/zinc/55/37/92/1036553792.db2.gz SFLRPEWSFZHOSF-JKDFXYPNSA-N 0 3 307.796 4.252 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(C)c1OC)CCC2 ZINC000655811778 1036559204 /nfs/dbraw/zinc/55/92/04/1036559204.db2.gz WHFPYPYPJBIROJ-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1cccc(C)c1OC ZINC000655810349 1036559350 /nfs/dbraw/zinc/55/93/50/1036559350.db2.gz DCYBXZFCYMZXGN-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN Clc1ccc(-c2ccc(CNCCc3nccs3)o2)cc1 ZINC000065276468 1036574297 /nfs/dbraw/zinc/57/42/97/1036574297.db2.gz CLKQXJUTVINPLG-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000655840545 1036592688 /nfs/dbraw/zinc/59/26/88/1036592688.db2.gz ZLUCAXDOQBAGPL-VMDGZTHMSA-N 0 3 313.466 4.108 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)Cc3ccccn3)cs2)cc1 ZINC000655844223 1036595318 /nfs/dbraw/zinc/59/53/18/1036595318.db2.gz PZJKSUFSHFPXSO-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)Cc3ccccn3)cs2)cc1 ZINC000655844222 1036595392 /nfs/dbraw/zinc/59/53/92/1036595392.db2.gz PZJKSUFSHFPXSO-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN CC(C)Oc1cccc(CNCc2cnc(-c3ccccc3)[nH]2)c1 ZINC001648830328 1036607685 /nfs/dbraw/zinc/60/76/85/1036607685.db2.gz PJIUYYNAPJHEHY-UHFFFAOYSA-N 0 3 321.424 4.154 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(-c3ccccc3C)c2)cc1 ZINC001648839656 1036619993 /nfs/dbraw/zinc/61/99/93/1036619993.db2.gz VVYHIDZVFZYVIS-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](C)c2sccc2C)c1 ZINC000070091021 1036622821 /nfs/dbraw/zinc/62/28/21/1036622821.db2.gz SRCOFKQONSVKID-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN Cc1coc(CNCCOc2cccc(Cl)c2Cl)c1 ZINC001648900923 1036681434 /nfs/dbraw/zinc/68/14/34/1036681434.db2.gz XZRDAEDOFNGERX-UHFFFAOYSA-N 0 3 300.185 4.063 20 0 DIADHN C[C@@H](N[C@H](C)[C@@H]1CC1(F)F)c1ccc(Br)cc1F ZINC000639193518 1036701353 /nfs/dbraw/zinc/70/13/53/1036701353.db2.gz VKBYRRGFKOENDQ-XLDPMVHQSA-N 0 3 322.168 4.283 20 0 DIADHN Cc1ccc(CN[C@H](C)COc2ccccc2F)c(Cl)c1 ZINC001648906646 1036684113 /nfs/dbraw/zinc/68/41/13/1036684113.db2.gz SDYOZGLEXPZUIM-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ccc(CN[C@@H](C)COc2ccccc2F)c(Cl)c1 ZINC001648906648 1036684775 /nfs/dbraw/zinc/68/47/75/1036684775.db2.gz SDYOZGLEXPZUIM-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000071212550 1036686086 /nfs/dbraw/zinc/68/60/86/1036686086.db2.gz OKNPOJNCOOQQBN-SECBINFHSA-N 0 3 300.349 4.321 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1ccc(OCC(C)C)cc1 ZINC000071212636 1036687335 /nfs/dbraw/zinc/68/73/35/1036687335.db2.gz JSCMPHXKHXKPBK-CYBMUJFWSA-N 0 3 304.459 4.337 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1ccccc1Cl)C1CC1 ZINC000579986504 1036694299 /nfs/dbraw/zinc/69/42/99/1036694299.db2.gz WVHLQHMUJCCKLV-QWHCGFSZSA-N 0 3 308.853 4.178 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCCNc2ccccc21 ZINC000683690517 1036711409 /nfs/dbraw/zinc/71/14/09/1036711409.db2.gz JTDCSNOJDDWQMW-RBUKOAKNSA-N 0 3 324.424 4.055 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1sccc1Br ZINC000309446663 1036711513 /nfs/dbraw/zinc/71/15/13/1036711513.db2.gz JZHVQRZQMGIOQX-ZETCQYMHSA-N 0 3 316.186 4.331 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000683691523 1036715456 /nfs/dbraw/zinc/71/54/56/1036715456.db2.gz HWYXINWUIDXLHS-UFYCRDLUSA-N 0 3 313.416 4.333 20 0 DIADHN CO[C@@H](CN[C@H]1CCCc2occc21)c1ccccc1Cl ZINC000683692796 1036718615 /nfs/dbraw/zinc/71/86/15/1036718615.db2.gz UJVVMIZRRIUWAW-RDJZCZTQSA-N 0 3 305.805 4.288 20 0 DIADHN CCO[C@@H](CNCc1nccc2ccccc21)c1ccccc1 ZINC000683706408 1036741828 /nfs/dbraw/zinc/74/18/28/1036741828.db2.gz HWOMGZGTUJCTJC-FQEVSTJZSA-N 0 3 306.409 4.102 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nc2sc(C)c(C)c2c(N)n1 ZINC000317304144 1036745854 /nfs/dbraw/zinc/74/58/54/1036745854.db2.gz AQAWYDXQWCPGPN-ZDUSSCGKSA-N 0 3 318.490 4.045 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCCc3ccc(O)cc32)cc1 ZINC000340510435 1036772158 /nfs/dbraw/zinc/77/21/58/1036772158.db2.gz VLJNYHFVEYYRGI-HXUWFJFHSA-N 0 3 310.441 4.381 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cccc(F)c3C)CC2)c1 ZINC001204509546 1036774301 /nfs/dbraw/zinc/77/43/01/1036774301.db2.gz DSWLNGMHYVZOLP-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN Cc1c(F)cccc1CN1CCC[C@@H](c2nc(C(C)C)no2)C1 ZINC001204511739 1036794880 /nfs/dbraw/zinc/79/48/80/1036794880.db2.gz YKRFYXPJKUERIL-OAHLLOKOSA-N 0 3 317.408 4.020 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@@H]1CCC[C@@H]1F ZINC000340533593 1036800103 /nfs/dbraw/zinc/80/01/03/1036800103.db2.gz VXTOTRNSJDDITJ-LEWSCRJBSA-N 0 3 305.422 4.055 20 0 DIADHN Fc1ccc2nc(NCc3cnc(C4CCC4)s3)[nH]c2c1 ZINC000683750759 1036820605 /nfs/dbraw/zinc/82/06/05/1036820605.db2.gz JVLIHJFAGQLNIA-UHFFFAOYSA-N 0 3 302.378 4.038 20 0 DIADHN C[C@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@]1(C)CCCO1 ZINC000562838572 1036824337 /nfs/dbraw/zinc/82/43/37/1036824337.db2.gz RDESNCAIPVSGBB-XPTSAGLGSA-N 0 3 323.305 4.031 20 0 DIADHN CCCCN(CC)[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000539196974 1036853245 /nfs/dbraw/zinc/85/32/45/1036853245.db2.gz ADQJAIYJMHFYHJ-LLVKDONJSA-N 0 3 306.479 4.073 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1Cl ZINC000090468891 1036871497 /nfs/dbraw/zinc/87/14/97/1036871497.db2.gz DKSFIMXUCNULBM-CYBMUJFWSA-N 0 3 322.861 4.281 20 0 DIADHN Cc1ccc(CCCCC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000063592555 1036900073 /nfs/dbraw/zinc/90/00/73/1036900073.db2.gz OJQKAKZSBJZCBO-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(C2CCC2)cc1 ZINC000683782419 1036906630 /nfs/dbraw/zinc/90/66/30/1036906630.db2.gz PWUUQOHNITZGFK-FQEVSTJZSA-N 0 3 309.457 4.333 20 0 DIADHN CO[C@H]1CCCN(CCc2cc(Cl)ccc2Cl)CC1 ZINC000683785517 1036922550 /nfs/dbraw/zinc/92/25/50/1036922550.db2.gz ZARGHVUWASKOIZ-AWEZNQCLSA-N 0 3 302.245 4.037 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3noc4c3CCCC4)C2)cc1 ZINC000683800262 1036944238 /nfs/dbraw/zinc/94/42/38/1036944238.db2.gz JVWNKKWWPLBSOF-DOTOQJQBSA-N 0 3 310.441 4.240 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)o1 ZINC000580098928 1036949858 /nfs/dbraw/zinc/94/98/58/1036949858.db2.gz IMCHKQLHXRMZBH-UDTPNQRGSA-N 0 3 313.441 4.311 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C[C@H]1CCCc2ccccc21 ZINC000580107302 1036954768 /nfs/dbraw/zinc/95/47/68/1036954768.db2.gz UMZGFPJZKMZOLC-QGZVFWFLSA-N 0 3 322.452 4.197 20 0 DIADHN Cc1occc1CN[C@H]1CCc2cc(Br)cc(C)c21 ZINC000683815369 1036960206 /nfs/dbraw/zinc/96/02/06/1036960206.db2.gz CSUAAMITTCDNLB-HNNXBMFYSA-N 0 3 320.230 4.436 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H]1COc2c1cccc2Cl ZINC000683816291 1036966227 /nfs/dbraw/zinc/96/62/27/1036966227.db2.gz RNSOMAKGZCRVFX-JLZZUVOBSA-N 0 3 317.816 4.139 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3cnccc3c2)cc1 ZINC000683822506 1036972941 /nfs/dbraw/zinc/97/29/41/1036972941.db2.gz JEUOUSIDLMKYDQ-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(C3CCCCC3)s2)C[C@@H]1C ZINC000580155293 1036979349 /nfs/dbraw/zinc/97/93/49/1036979349.db2.gz INVFSVHDWMSOFN-XJKSGUPXSA-N 0 3 308.491 4.048 20 0 DIADHN Cn1cnc2cc(CNC3(c4ccc(Cl)cc4)CC3)ccc21 ZINC000565540571 1036983053 /nfs/dbraw/zinc/98/30/53/1036983053.db2.gz BAYREWGGKRHNMV-UHFFFAOYSA-N 0 3 311.816 4.006 20 0 DIADHN c1nc(C2CC2)c(CN2CCS[C@@H](c3ccccc3)C2)s1 ZINC000683830814 1036991351 /nfs/dbraw/zinc/99/13/51/1036991351.db2.gz YIRZHTKWGJLMBE-OAHLLOKOSA-N 0 3 316.495 4.311 20 0 DIADHN COC(=O)c1csc(CN(C)[C@H](c2ccccc2)C(C)C)c1 ZINC001167371913 1037016127 /nfs/dbraw/zinc/01/61/27/1037016127.db2.gz LGBUMTLFTDIKHQ-KRWDZBQOSA-N 0 3 317.454 4.364 20 0 DIADHN [O-]c1cccc(Nc2c[nH+]ccc2N2CCCCC2)c1Cl ZINC001210855767 1037017168 /nfs/dbraw/zinc/01/71/68/1037017168.db2.gz VWYKPQFRGWRTNZ-UHFFFAOYSA-N 0 3 303.793 4.175 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N2CCN[C@H](C(C)C)C2)CC1 ZINC001167378653 1037045622 /nfs/dbraw/zinc/04/56/22/1037045622.db2.gz BYTOVVXBVQKNET-ABHNRTSZSA-N 0 3 322.537 4.076 20 0 DIADHN O[C@H](CN1CCC[C@@]2(CC=CCC2)C1)c1ccc(F)cc1Cl ZINC001167382310 1037069741 /nfs/dbraw/zinc/06/97/41/1037069741.db2.gz XTNITZMOBLNXKR-MSOLQXFVSA-N 0 3 323.839 4.335 20 0 DIADHN COc1ccc([C@H]2CCCCCN2Cc2oc(C)nc2C)cc1 ZINC000683897035 1037075993 /nfs/dbraw/zinc/07/59/93/1037075993.db2.gz JZFYDTVEPWBXSW-GOSISDBHSA-N 0 3 314.429 4.417 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@H]2Cc2ccccc2Cl)o1 ZINC000683899357 1037077823 /nfs/dbraw/zinc/07/78/23/1037077823.db2.gz UQLUMEUNEULMAR-HNNXBMFYSA-N 0 3 304.821 4.152 20 0 DIADHN Cc1ccc(Br)cc1CN[C@H](C)c1cnccc1C ZINC000275864941 1037078163 /nfs/dbraw/zinc/07/81/63/1037078163.db2.gz JKLSVXPFBWPGMA-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN c1cc(-c2ccc(CN[C@H](CC3CC3)c3ccccc3)o2)n[nH]1 ZINC000683930044 1037118718 /nfs/dbraw/zinc/11/87/18/1037118718.db2.gz UFUYYWFQQFAGLN-GOSISDBHSA-N 0 3 307.397 4.301 20 0 DIADHN c1cc(-c2ccc(CN[C@@H](CC3CC3)c3ccccc3)o2)n[nH]1 ZINC000683930045 1037118819 /nfs/dbraw/zinc/11/88/19/1037118819.db2.gz UFUYYWFQQFAGLN-SFHVURJKSA-N 0 3 307.397 4.301 20 0 DIADHN CC(C)c1ccc(CNCc2ccc3c(c2)OC(F)(F)O3)cc1 ZINC001648909422 1037139735 /nfs/dbraw/zinc/13/97/35/1037139735.db2.gz GKYCRIZJRLACDZ-UHFFFAOYSA-N 0 3 319.351 4.421 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001167405448 1037150935 /nfs/dbraw/zinc/15/09/35/1037150935.db2.gz FLVFJOZOTKCEGP-WDEREUQCSA-N 0 3 317.260 4.298 20 0 DIADHN C[C@H]1SCCN(CCOc2cc(Cl)cc(Cl)c2)[C@H]1C ZINC001167412679 1037169625 /nfs/dbraw/zinc/16/96/25/1037169625.db2.gz PLUNFNINFJWPNJ-WDEREUQCSA-N 0 3 320.285 4.198 20 0 DIADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1cc2cc(Cl)ccc2o1 ZINC000125831421 1037181290 /nfs/dbraw/zinc/18/12/90/1037181290.db2.gz WMFGJQXFOQFHLA-RYUDHWBXSA-N 0 3 303.793 4.022 20 0 DIADHN Cc1cccc([C@H](O)CN2CCC[C@H]2c2ccc(F)c(F)c2)c1 ZINC001167420153 1037206245 /nfs/dbraw/zinc/20/62/45/1037206245.db2.gz BDCCNNSUZOUNHB-RBUKOAKNSA-N 0 3 317.379 4.144 20 0 DIADHN Cc1cccc([C@H](O)CN2CCC[C@@H]2c2ccc(F)c(F)c2)c1 ZINC001167420154 1037207217 /nfs/dbraw/zinc/20/72/17/1037207217.db2.gz BDCCNNSUZOUNHB-RTBURBONSA-N 0 3 317.379 4.144 20 0 DIADHN C[C@H]1CC[C@@H](NCc2nc(-c3ccccc3F)cs2)CS1 ZINC000656257240 1037218651 /nfs/dbraw/zinc/21/86/51/1037218651.db2.gz GBZGLBIKCXMBDN-NWDGAFQWSA-N 0 3 322.474 4.323 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nc(-c3ccccc3F)cs2)CS1 ZINC000656257239 1037218984 /nfs/dbraw/zinc/21/89/84/1037218984.db2.gz GBZGLBIKCXMBDN-NEPJUHHUSA-N 0 3 322.474 4.323 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)CC1 ZINC001167424277 1037227019 /nfs/dbraw/zinc/22/70/19/1037227019.db2.gz GDENEDWEFADZMX-AWEZNQCLSA-N 0 3 323.864 4.369 20 0 DIADHN FC(F)(F)c1cccc(CCCCN2CC3(CC3(F)F)C2)c1 ZINC000656265784 1037233781 /nfs/dbraw/zinc/23/37/81/1037233781.db2.gz ZWWRPFDDLZTHJT-UHFFFAOYSA-N 0 3 319.317 4.369 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CCN1CC[C@H]1CCCC1(F)F ZINC001167430164 1037253376 /nfs/dbraw/zinc/25/33/76/1037253376.db2.gz UQMKKPBQMCEQLV-SJLPKXTDSA-N 0 3 322.443 4.018 20 0 DIADHN Clc1cnc2oc(CN3CCC[C@H](OC4CCC4)C3)cc2c1 ZINC000656271991 1037255896 /nfs/dbraw/zinc/25/58/96/1037255896.db2.gz UZCWHWMTTILJHH-HNNXBMFYSA-N 0 3 320.820 4.015 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2ccccn2)c(Cl)c1 ZINC000580746734 1037285794 /nfs/dbraw/zinc/28/57/94/1037285794.db2.gz ZXHNLCKWRQCTIW-QGZVFWFLSA-N 0 3 304.796 4.254 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC001167433757 1037290475 /nfs/dbraw/zinc/29/04/75/1037290475.db2.gz LAHNHCMYHAWZSS-CKEIUWERSA-N 0 3 320.864 4.240 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCOc1ccc(C)c(C)c1 ZINC000580779399 1037296532 /nfs/dbraw/zinc/29/65/32/1037296532.db2.gz HKJGZFRRGKGOPR-UHFFFAOYSA-N 0 3 316.445 4.202 20 0 DIADHN CC(C)SCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000580815719 1037312721 /nfs/dbraw/zinc/31/27/21/1037312721.db2.gz ONDQVGLSVGRIGA-LLVKDONJSA-N 0 3 311.882 4.019 20 0 DIADHN Clc1cccc([C@H](NCC2=CCCOC2)c2ccccc2)c1 ZINC000126852165 1037323828 /nfs/dbraw/zinc/32/38/28/1037323828.db2.gz CUDDGPQIUIZUDH-LJQANCHMSA-N 0 3 313.828 4.366 20 0 DIADHN CSc1cccc(F)c1CN[C@@H](C)Cc1ccn(C(C)C)n1 ZINC000580849729 1037331170 /nfs/dbraw/zinc/33/11/70/1037331170.db2.gz SDANBRQKPVKHHU-ZDUSSCGKSA-N 0 3 321.465 4.046 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H]4CCC[C@@H]43)co2)c1 ZINC001167438023 1037346524 /nfs/dbraw/zinc/34/65/24/1037346524.db2.gz KSDAIMYLMRRBKU-KSSFIOAISA-N 0 3 312.413 4.115 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H]4CCC[C@H]43)co2)c1 ZINC001167438025 1037347794 /nfs/dbraw/zinc/34/77/94/1037347794.db2.gz KSDAIMYLMRRBKU-RDTXWAMCSA-N 0 3 312.413 4.115 20 0 DIADHN CC[C@H](NCC[C@H](C)[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000252728949 1037407769 /nfs/dbraw/zinc/40/77/69/1037407769.db2.gz DNAZFSZCPDZDKJ-KDDHCUDTSA-N 0 3 322.301 4.191 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(C)cc2)C2CCCCC2)n(C)n1 ZINC000581027362 1037408412 /nfs/dbraw/zinc/40/84/12/1037408412.db2.gz WKGYTINSJGNHIC-FQEVSTJZSA-N 0 3 311.473 4.448 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCCC2(CCOCC2)C1 ZINC000581218698 1037479133 /nfs/dbraw/zinc/47/91/33/1037479133.db2.gz YBLAEWYVXOZLAV-QGZVFWFLSA-N 0 3 309.400 4.309 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@H](c2ccncc2)C1 ZINC000581276862 1037510966 /nfs/dbraw/zinc/51/09/66/1037510966.db2.gz DJEXSGIDOZRJIS-INIZCTEOSA-N 0 3 316.445 4.192 20 0 DIADHN COc1ccc(-c2nc(CN3[C@H](C)CCC[C@H]3C)co2)cc1 ZINC000210546552 1037544967 /nfs/dbraw/zinc/54/49/67/1037544967.db2.gz IWYLYYLQGOMTNP-ZIAGYGMSSA-N 0 3 300.402 4.113 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3ccc(Cl)cn3)C2)c1 ZINC000581393250 1037579124 /nfs/dbraw/zinc/57/91/24/1037579124.db2.gz LCNSWMWLTCNPLW-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN CCC[C@H](NCc1c(C)nn(C)c1C)c1ccc(Cl)cc1 ZINC000092324560 1037611588 /nfs/dbraw/zinc/61/15/88/1037611588.db2.gz HIISZYMXDASOSD-KRWDZBQOSA-N 0 3 305.853 4.321 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(-c2cccnc2)cc1 ZINC000241470612 1037630190 /nfs/dbraw/zinc/63/01/90/1037630190.db2.gz NWMGEACIIMQNEI-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN CCC[C@H](NCc1cnc(OC)c(Cl)c1)c1ccccc1 ZINC000341034922 1037630999 /nfs/dbraw/zinc/63/09/99/1037630999.db2.gz HNDAECANRHKAMC-INIZCTEOSA-N 0 3 304.821 4.375 20 0 DIADHN CCC[C@@H](NCC(=O)N(C1CC1)C1CCCC1)c1ccccc1 ZINC000341061966 1037659718 /nfs/dbraw/zinc/65/97/18/1037659718.db2.gz PBCKHCKTAIZZCM-LJQANCHMSA-N 0 3 314.473 4.051 20 0 DIADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1cc2cc(Br)ccc2o1 ZINC000402375699 1037669673 /nfs/dbraw/zinc/66/96/73/1037669673.db2.gz ISEDCGWKNJEHNK-GBIKHYSHSA-N 0 3 324.218 4.023 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](c3ccccc3C)C[C@@H]2C)cc1 ZINC001167464747 1037670227 /nfs/dbraw/zinc/67/02/27/1037670227.db2.gz QDYJIALQLRSYIP-ROUUACIJSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2scnc2Cl)C1 ZINC001167465587 1037672511 /nfs/dbraw/zinc/67/25/11/1037672511.db2.gz YDLPCHIEXCCESR-CHWSQXEVSA-N 0 3 306.862 4.483 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2scnc2Cl)C1 ZINC001167465590 1037673499 /nfs/dbraw/zinc/67/34/99/1037673499.db2.gz YDLPCHIEXCCESR-STQMWFEESA-N 0 3 306.862 4.483 20 0 DIADHN C[C@H](CN[C@H](c1ccccc1)c1cncnc1)c1ccc(F)cc1 ZINC000581516236 1037703597 /nfs/dbraw/zinc/70/35/97/1037703597.db2.gz BBIHIMGFZCFLQG-FOIQADDNSA-N 0 3 321.399 4.098 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1cncnc1)c1ccc(F)cc1 ZINC000581516237 1037704821 /nfs/dbraw/zinc/70/48/21/1037704821.db2.gz BBIHIMGFZCFLQG-MGPUTAFESA-N 0 3 321.399 4.098 20 0 DIADHN C[C@@H](CN1CC[C@H](n2cc(Cl)cn2)C1)c1cccc(Cl)c1 ZINC000581517535 1037704922 /nfs/dbraw/zinc/70/49/22/1037704922.db2.gz KRSOGOQTCCYOHE-LRDDRELGSA-N 0 3 324.255 4.240 20 0 DIADHN C[C@@H](CN[C@@H](c1ccccc1)c1cncnc1)c1ccc(F)cc1 ZINC000581516239 1037705296 /nfs/dbraw/zinc/70/52/96/1037705296.db2.gz BBIHIMGFZCFLQG-YWZLYKJASA-N 0 3 321.399 4.098 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1cc(Cl)c(N)c(Cl)c1 ZINC000834889771 1037712344 /nfs/dbraw/zinc/71/23/44/1037712344.db2.gz ZOCUZBQNXZKHLE-SNVBAGLBSA-N 0 3 310.228 4.130 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000341204929 1037740780 /nfs/dbraw/zinc/74/07/80/1037740780.db2.gz GHZPADBYBCYJGT-BLLLJJGKSA-N 0 3 318.367 4.033 20 0 DIADHN COc1ccccc1-c1ncc(CN(C)[C@@H](C)C(C)(C)C)cn1 ZINC000581584716 1037755685 /nfs/dbraw/zinc/75/56/85/1037755685.db2.gz QMALDYGCVWHHAY-AWEZNQCLSA-N 0 3 313.445 4.019 20 0 DIADHN CC(C)(C)SCCN1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC001167473242 1037776360 /nfs/dbraw/zinc/77/63/60/1037776360.db2.gz HAQSPIRQFLPGLB-HNNXBMFYSA-N 0 3 323.477 4.252 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2sc(C)nc2C)cc1F ZINC000040517951 1037777440 /nfs/dbraw/zinc/77/74/40/1037777440.db2.gz GFDAVOZHWQVSNV-UWVGGRQHSA-N 0 3 308.422 4.319 20 0 DIADHN CCc1cccc(CNCc2ccc(OC)c(OC(F)F)c2)c1 ZINC000581612543 1037780497 /nfs/dbraw/zinc/78/04/97/1037780497.db2.gz VEYSIVDDONHTNK-UHFFFAOYSA-N 0 3 321.367 4.149 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1cc(Cl)ccc1Cl ZINC000656683627 1037789397 /nfs/dbraw/zinc/78/93/97/1037789397.db2.gz KHYRACROJCNJRJ-ZYHUDNBSSA-N 0 3 300.229 4.356 20 0 DIADHN CC[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(Cl)cc1 ZINC000656687789 1037795093 /nfs/dbraw/zinc/79/50/93/1037795093.db2.gz LUVQBVJLKFLXPE-MRXNPFEDSA-N 0 3 303.837 4.192 20 0 DIADHN COCc1csc(CN[C@H]2CCc3c2cccc3Cl)c1 ZINC000656685388 1037796073 /nfs/dbraw/zinc/79/60/73/1037796073.db2.gz PJKIRLFUKOAPES-INIZCTEOSA-N 0 3 307.846 4.325 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@H](O)c2cccc(Cl)c2)s1 ZINC000075718201 1037802555 /nfs/dbraw/zinc/80/25/55/1037802555.db2.gz MSOKFUWMUVJWQT-ABAIWWIYSA-N 0 3 324.877 4.140 20 0 DIADHN FC1(F)C[C@H]2CC[C@@H](C1)N2CCc1nsc2ccccc21 ZINC000625675838 1037840873 /nfs/dbraw/zinc/84/08/73/1037840873.db2.gz CBTXCMRSMZAAQX-TXEJJXNPSA-N 0 3 308.397 4.101 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1ccc(F)cc1C(F)(F)F ZINC000656792052 1037871686 /nfs/dbraw/zinc/87/16/86/1037871686.db2.gz PYIOFEZOCLUERA-MRXNPFEDSA-N 0 3 324.321 4.204 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1ccc(F)cc1C(F)(F)F ZINC000656792050 1037871821 /nfs/dbraw/zinc/87/18/21/1037871821.db2.gz PYIOFEZOCLUERA-INIZCTEOSA-N 0 3 324.321 4.204 20 0 DIADHN Cc1cc(CN[C@@]2(C)CCOc3ccccc32)c2ccccc2n1 ZINC000933366973 1037893527 /nfs/dbraw/zinc/89/35/27/1037893527.db2.gz HVAOBSDIORUAFB-NRFANRHFSA-N 0 3 318.420 4.331 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H](OC)C1)c1nc(C(F)(F)F)cs1 ZINC000684381854 1037907153 /nfs/dbraw/zinc/90/71/53/1037907153.db2.gz RLMOPKGAVQFQGE-VWYCJHECSA-N 0 3 322.396 4.160 20 0 DIADHN CO[C@@H]1CCC[C@H](N[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000684389411 1037920078 /nfs/dbraw/zinc/92/00/78/1037920078.db2.gz JKPUNFLRNKVIQM-NLWGTHIKSA-N 0 3 310.441 4.027 20 0 DIADHN C[C@@]1(NCc2cncc3ccccc32)CCOc2ccccc21 ZINC000933374491 1037920854 /nfs/dbraw/zinc/92/08/54/1037920854.db2.gz LUUGVSWDZOTARY-HXUWFJFHSA-N 0 3 304.393 4.022 20 0 DIADHN Cc1ccc(NC(=O)CCCc2cccs2)c(CN(C)C)c1 ZINC000078704047 1037937811 /nfs/dbraw/zinc/93/78/11/1037937811.db2.gz JSUWGHIGANLWPH-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000349498830 1037949122 /nfs/dbraw/zinc/94/91/22/1037949122.db2.gz NJDKSEQFHQWPMJ-CVEARBPZSA-N 0 3 321.873 4.411 20 0 DIADHN Cn1cncc1CCCN1Cc2ccccc2-c2ccccc2C1 ZINC000581734021 1037951000 /nfs/dbraw/zinc/95/10/00/1037951000.db2.gz WSQDMIBBFCYLHT-UHFFFAOYSA-N 0 3 317.436 4.036 20 0 DIADHN COc1ccc(-c2nc(CNCCC3(C)CC3)cs2)cc1 ZINC000684410297 1037955626 /nfs/dbraw/zinc/95/56/26/1037955626.db2.gz ZWRQHUBYVWNYOD-UHFFFAOYSA-N 0 3 302.443 4.099 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4c(s3)CCC4)C2)c(C)c1 ZINC000684410514 1037956970 /nfs/dbraw/zinc/95/69/70/1037956970.db2.gz CBQHAHKRKIBUIG-HNNXBMFYSA-N 0 3 312.482 4.238 20 0 DIADHN CO[C@@H]1CCC[C@@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000684413019 1037960332 /nfs/dbraw/zinc/96/03/32/1037960332.db2.gz LQRQIIVTRMQVJS-GGPKGHCWSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2cccc3ccccc32)s1 ZINC000090405504 1037978254 /nfs/dbraw/zinc/97/82/54/1037978254.db2.gz BYSCVAYWLVYAAY-CYBMUJFWSA-N 0 3 312.438 4.334 20 0 DIADHN COc1cncc(CNC2(c3cccc(Cl)c3)CCCC2)c1 ZINC000581780812 1037998570 /nfs/dbraw/zinc/99/85/70/1037998570.db2.gz ZAABCFLHKBXNFP-UHFFFAOYSA-N 0 3 316.832 4.303 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@H](O)c1cccc(F)c1 ZINC000051232245 1038012146 /nfs/dbraw/zinc/01/21/46/1038012146.db2.gz LDQMGYQNYZXWSQ-AWEZNQCLSA-N 0 3 313.825 4.096 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccnn1CC1CCC1 ZINC000926190510 1038027928 /nfs/dbraw/zinc/02/79/28/1038027928.db2.gz VJGRBCFYDGMQGG-BBRMVZONSA-N 0 3 315.486 4.473 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)N1CCc2sccc2[C@@H]1CC ZINC000581853190 1038033334 /nfs/dbraw/zinc/03/33/34/1038033334.db2.gz QWQHZOQGASTFHS-HOCLYGCPSA-N 0 3 322.518 4.094 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(F)cc2F)C1)c1ccccc1F ZINC000602584909 1038048558 /nfs/dbraw/zinc/04/85/58/1038048558.db2.gz KPUURDXHPCZULG-JSGCOSHPSA-N 0 3 320.358 4.033 20 0 DIADHN CC(C)CC[C@H](NCC(=O)N1CCCC1)c1ccc(Cl)cc1 ZINC000341471483 1038054805 /nfs/dbraw/zinc/05/48/05/1038054805.db2.gz OEIVZZKQFGYCDT-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN Clc1ccc(Br)c(CNCCc2ccco2)c1 ZINC000090249694 1038066852 /nfs/dbraw/zinc/06/68/52/1038066852.db2.gz ZNVDZSCCVJAYGM-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN CC(C)OCCCNCc1cc(Cl)ccc1Br ZINC000090249635 1038067651 /nfs/dbraw/zinc/06/76/51/1038067651.db2.gz LBVXJPXSALBHPB-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CSC1CCN(Cc2cnc(-c3ccccc3F)s2)CC1 ZINC000602726578 1038079211 /nfs/dbraw/zinc/07/92/11/1038079211.db2.gz NSSGJSVIOCEADK-UHFFFAOYSA-N 0 3 322.474 4.277 20 0 DIADHN CSC1CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC000602725709 1038081571 /nfs/dbraw/zinc/08/15/71/1038081571.db2.gz LVMNFDOVXYKQFA-UHFFFAOYSA-N 0 3 304.484 4.138 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccccn2)c2c(n1)CCCC2 ZINC000891629693 1038092063 /nfs/dbraw/zinc/09/20/63/1038092063.db2.gz HGMKYVQWBLPQIY-FQEVSTJZSA-N 0 3 307.441 4.001 20 0 DIADHN Cc1cccn2cc(CN(C)[C@H](c3ccccc3)C(C)C)nc12 ZINC000602880763 1038104035 /nfs/dbraw/zinc/10/40/35/1038104035.db2.gz KYFAFCGTWVKZHI-IBGZPJMESA-N 0 3 307.441 4.472 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)cc1Cl)C1CCCC1 ZINC000097491322 1038104675 /nfs/dbraw/zinc/10/46/75/1038104675.db2.gz KMYFUSOXYIPEIK-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@@H]1Cc2ccccc21 ZINC000602910187 1038107376 /nfs/dbraw/zinc/10/73/76/1038107376.db2.gz NSRMIDQTYLISNX-LBPRGKRZSA-N 0 3 323.770 4.371 20 0 DIADHN COc1cc(C)c(CNCc2c(C)oc3ccccc32)c(C)n1 ZINC000341555900 1038130436 /nfs/dbraw/zinc/13/04/36/1038130436.db2.gz LRJBVCIYADDHDI-UHFFFAOYSA-N 0 3 310.397 4.051 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@H]3CSC3(C)C)c(C)c2c1 ZINC000581931557 1038158498 /nfs/dbraw/zinc/15/84/98/1038158498.db2.gz JRYPAIXOWIQYQA-ABAIWWIYSA-N 0 3 305.443 4.294 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(Cl)c1CNC(C)C ZINC000061002559 1038159614 /nfs/dbraw/zinc/15/96/14/1038159614.db2.gz KHSPPFYOFVDXMT-UHFFFAOYSA-N 0 3 312.244 4.045 20 0 DIADHN CCCCCNCc1c(C)nn(-c2ccc(F)cc2)c1Cl ZINC000061002393 1038160403 /nfs/dbraw/zinc/16/04/03/1038160403.db2.gz UCVPPWRGIUNMLD-UHFFFAOYSA-N 0 3 309.816 4.253 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(Cl)c1CNC(C)C ZINC000061002570 1038160571 /nfs/dbraw/zinc/16/05/71/1038160571.db2.gz VXCYWIIPXJMZAT-UHFFFAOYSA-N 0 3 312.244 4.045 20 0 DIADHN CCOc1cc(CN[C@@H](c2ccccc2F)C(C)C)ccc1O ZINC000668515515 1038174786 /nfs/dbraw/zinc/17/47/86/1038174786.db2.gz BXAMJLOWNSJIJW-LJQANCHMSA-N 0 3 317.404 4.417 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1ccnn1CC1CCC1 ZINC000926236743 1038203574 /nfs/dbraw/zinc/20/35/74/1038203574.db2.gz OUTQAOLQIORDCP-TZMCWYRMSA-N 0 3 317.399 4.311 20 0 DIADHN COc1cccc(CNC[C@@H](C)Oc2ccccc2Cl)c1F ZINC000669682578 1038212655 /nfs/dbraw/zinc/21/26/55/1038212655.db2.gz ISHSDQVPTRYZIM-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@H]2c2ccc(C)cc2)c1 ZINC000603460354 1038212900 /nfs/dbraw/zinc/21/29/00/1038212900.db2.gz BBPGOAISWIGTDY-IBGZPJMESA-N 0 3 309.409 4.119 20 0 DIADHN Cc1csc([C@H](C)NCCCCCC(=O)OC(C)(C)C)n1 ZINC000599032792 1038218594 /nfs/dbraw/zinc/21/85/94/1038218594.db2.gz XDIHSLPHDSPLEH-ZDUSSCGKSA-N 0 3 312.479 4.004 20 0 DIADHN COc1cccc(CNC[C@@H](C)Sc2ccccc2)c1F ZINC000669682935 1038220433 /nfs/dbraw/zinc/22/04/33/1038220433.db2.gz UUVCNRHWADPEMS-CYBMUJFWSA-N 0 3 305.418 4.105 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCc3cc(F)ccc32)cn1 ZINC000341704079 1038236934 /nfs/dbraw/zinc/23/69/34/1038236934.db2.gz ZXGCRVHODDXRPY-GOSISDBHSA-N 0 3 314.404 4.177 20 0 DIADHN CN(CCOCc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC001207235689 1038244783 /nfs/dbraw/zinc/24/47/83/1038244783.db2.gz MOUGYRBLWUKBAQ-UHFFFAOYSA-N 0 3 323.358 4.354 20 0 DIADHN CCOc1cccc(CCN[C@@H](C)c2cccnc2Cl)c1 ZINC000090578145 1038245601 /nfs/dbraw/zinc/24/56/01/1038245601.db2.gz YASLPZWNLYLWJR-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CC[C@H](NCC(=O)Nc1c(C)cccc1C)c1ccc(C)cc1 ZINC000341755453 1038261205 /nfs/dbraw/zinc/26/12/05/1038261205.db2.gz OZJQSWTWFODCGN-SFHVURJKSA-N 0 3 310.441 4.291 20 0 DIADHN CC[C@H](Cc1ccccc1)C(=O)Nc1ccc(C)cc1CN(C)C ZINC000603694576 1038267376 /nfs/dbraw/zinc/26/73/76/1038267376.db2.gz LSALMOHSXFYMKF-GOSISDBHSA-N 0 3 324.468 4.264 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2NCc1ccc2ncccc2c1 ZINC000684557723 1038268523 /nfs/dbraw/zinc/26/85/23/1038268523.db2.gz DVDZYJONZPNABS-LJQANCHMSA-N 0 3 310.347 4.290 20 0 DIADHN C[C@H]([NH2+]CCC1CC(OC(C)(C)C)C1)c1cc([O-])cc(F)c1 ZINC000926285046 1038269171 /nfs/dbraw/zinc/26/91/71/1038269171.db2.gz HOGXQRCROOTRAV-POZPTJLLSA-N 0 3 309.425 4.166 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc(C3CCC3)cc2)cc1 ZINC000276923111 1038278234 /nfs/dbraw/zinc/27/82/34/1038278234.db2.gz LBGUVLMUILEOSW-UHFFFAOYSA-N 0 3 308.425 4.202 20 0 DIADHN C[C@H](c1nc(-c2cccc3[nH]ccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276932099 1038282562 /nfs/dbraw/zinc/28/25/62/1038282562.db2.gz OJZWBVBBVOUZMC-JHJVBQTASA-N 0 3 310.401 4.152 20 0 DIADHN C[C@@H](C(=O)N(C)c1cccc2ccccc21)N1CCCCCC1 ZINC000603797063 1038283043 /nfs/dbraw/zinc/28/30/43/1038283043.db2.gz GDGTXCNREWZHJM-INIZCTEOSA-N 0 3 310.441 4.067 20 0 DIADHN C[C@H](c1nc(-c2cccc3[nH]ccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276932097 1038283328 /nfs/dbraw/zinc/28/33/28/1038283328.db2.gz OJZWBVBBVOUZMC-FRRDWIJNSA-N 0 3 310.401 4.152 20 0 DIADHN C[C@H](OCCCNc1ccnc2ccccc21)c1ccccc1 ZINC000599446909 1038283526 /nfs/dbraw/zinc/28/35/26/1038283526.db2.gz RQCMAVFRCFARNV-INIZCTEOSA-N 0 3 306.409 4.237 20 0 DIADHN C[C@H](Nc1ccnc2ccccc21)c1ccccc1OC(F)F ZINC000599456368 1038284169 /nfs/dbraw/zinc/28/41/69/1038284169.db2.gz TXKQBSYXOHRKJI-LBPRGKRZSA-N 0 3 314.335 4.431 20 0 DIADHN C[C@H](NCCSc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000092720596 1038285261 /nfs/dbraw/zinc/28/52/61/1038285261.db2.gz IRXUSMHSDKPRDW-NSHDSACASA-N 0 3 310.825 4.317 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2c2ccccc2)nc1 ZINC000341835217 1038289142 /nfs/dbraw/zinc/28/91/42/1038289142.db2.gz NJWRCFJKNNYXTM-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccco2)C2CCCC2)cc1 ZINC000603855517 1038302299 /nfs/dbraw/zinc/30/22/99/1038302299.db2.gz YBGUBRPFWPHJIN-UHFFFAOYSA-N 0 3 313.397 4.011 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(N(C)C(C)C)nc2)CC1 ZINC000647224764 1038304818 /nfs/dbraw/zinc/30/48/18/1038304818.db2.gz YFMJLORIDYLKFW-UHFFFAOYSA-N 0 3 309.457 4.014 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCCOc1cccc(Cl)c1 ZINC000535667495 1038306996 /nfs/dbraw/zinc/30/69/96/1038306996.db2.gz AGIZNMYZHBWHII-AWEZNQCLSA-N 0 3 304.821 4.197 20 0 DIADHN CCOc1ccc(CNC2(c3ccccc3C)CC2)cc1OC ZINC000647224708 1038308588 /nfs/dbraw/zinc/30/85/88/1038308588.db2.gz VSFUIRUTUZIUJP-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN C[C@H](c1nc(-c2cccc3cnccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276979740 1038310241 /nfs/dbraw/zinc/31/02/41/1038310241.db2.gz VQPHDLGOVKHQLR-MGPQQGTHSA-N 0 3 322.412 4.219 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@@H]1CCC12CCC2 ZINC000669703000 1038317474 /nfs/dbraw/zinc/31/74/74/1038317474.db2.gz ZTFQLLRKPNZBOV-GOSISDBHSA-N 0 3 316.514 4.288 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1ccc(C(F)(F)F)cn1 ZINC000341900507 1038345589 /nfs/dbraw/zinc/34/55/89/1038345589.db2.gz AJUVJCAOVSTTOD-NSHDSACASA-N 0 3 306.397 4.064 20 0 DIADHN COc1ccc([C@H]2CCN(c3ccnc4ccccc43)C2)cc1 ZINC000599802491 1038354596 /nfs/dbraw/zinc/35/45/96/1038354596.db2.gz RQTODONTGDBOAW-INIZCTEOSA-N 0 3 304.393 4.237 20 0 DIADHN Cc1noc(C[C@@H](N[C@H](C)c2cccs2)c2ccccc2)n1 ZINC000277085478 1038366269 /nfs/dbraw/zinc/36/62/69/1038366269.db2.gz KEKFZFCZZCXLCZ-IUODEOHRSA-N 0 3 313.426 4.074 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000342040121 1038369668 /nfs/dbraw/zinc/36/96/68/1038369668.db2.gz BVFSSWOBMRWRQE-SJORKVTESA-N 0 3 316.832 4.032 20 0 DIADHN Cc1ccccc1[C@@H](C)N(Cc1n[nH]c(C2CC2)n1)CC(C)C ZINC000342043423 1038370800 /nfs/dbraw/zinc/37/08/00/1038370800.db2.gz GOMWFMZEKASFSF-OAHLLOKOSA-N 0 3 312.461 4.210 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000342040117 1038371120 /nfs/dbraw/zinc/37/11/20/1038371120.db2.gz BVFSSWOBMRWRQE-DLBZAZTESA-N 0 3 316.832 4.032 20 0 DIADHN Cc1noc(C[C@@H](N[C@H](C)c2ccc(C)cc2)c2ccccc2)n1 ZINC000277110595 1038371173 /nfs/dbraw/zinc/37/11/73/1038371173.db2.gz MLKFSYXRENXTLP-DNVCBOLYSA-N 0 3 321.424 4.321 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N(CCN(C)C)c1ccccc1 ZINC001125559505 1038378865 /nfs/dbraw/zinc/37/88/65/1038378865.db2.gz FQUUFHNHKULZMV-KRWDZBQOSA-N 0 3 316.489 4.188 20 0 DIADHN COc1sc(CN(C)[C@@H](C)C2(C)CC2)cc1Br ZINC000535826353 1038381896 /nfs/dbraw/zinc/38/18/96/1038381896.db2.gz WKNUTHQLWXVZGH-VIFPVBQESA-N 0 3 318.280 4.140 20 0 DIADHN COc1cc(CN2CCCCC2)ccc1OCc1cccs1 ZINC000535841747 1038392409 /nfs/dbraw/zinc/39/24/09/1038392409.db2.gz JMJDVPDNDBWGDT-UHFFFAOYSA-N 0 3 317.454 4.322 20 0 DIADHN CC(C)[C@@H](CC1CCCCC1)C(=O)N1CCCN(C(C)C)CC1 ZINC000604324460 1038402305 /nfs/dbraw/zinc/40/23/05/1038402305.db2.gz CVONSBAXUFUIDZ-LJQANCHMSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1cccn2cc(CN3C[C@H](c4ccccc4)C[C@H]3C)nc12 ZINC000604392929 1038412222 /nfs/dbraw/zinc/41/22/22/1038412222.db2.gz LIUMAOPRFMIJBV-SJLPKXTDSA-N 0 3 305.425 4.021 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cccc(C(=O)OC)c1 ZINC000604492740 1038419651 /nfs/dbraw/zinc/41/96/51/1038419651.db2.gz CUBZISXBFRPCLP-UHFFFAOYSA-N 0 3 317.816 4.149 20 0 DIADHN CCCCN(Cc1ccc(C(=O)OC)o1)[C@@H](C)c1ccccc1 ZINC000604494878 1038420867 /nfs/dbraw/zinc/42/08/67/1038420867.db2.gz WIDAJEHTTIESCC-HNNXBMFYSA-N 0 3 315.413 4.430 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccccc2)CC(C)C)s1 ZINC001140517089 1038437296 /nfs/dbraw/zinc/43/72/96/1038437296.db2.gz JJMWCBACTIQKBP-UHFFFAOYSA-N 0 3 317.454 4.193 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN(c1ccnc3ccccc31)CC2 ZINC000535911480 1038442253 /nfs/dbraw/zinc/44/22/53/1038442253.db2.gz VFZBIELYDUWNDB-UHFFFAOYSA-N 0 3 316.404 4.043 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3sccc32)c(C)c1OC ZINC000342134825 1038450533 /nfs/dbraw/zinc/45/05/33/1038450533.db2.gz GBHOXUHSHACAAT-OAHLLOKOSA-N 0 3 317.454 4.241 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)CC1=CCCOC1 ZINC000668568424 1038459747 /nfs/dbraw/zinc/45/97/47/1038459747.db2.gz GMRHVGPRUHPATP-UHFFFAOYSA-N 0 3 317.816 4.379 20 0 DIADHN COc1cccc(CN2CCC(Oc3ccc(C)cc3)CC2)c1 ZINC000604902831 1038459958 /nfs/dbraw/zinc/45/99/58/1038459958.db2.gz AJZNHEUKFIPKOC-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN c1nnc(CNC2CCC3(CCCCC3)CC2)n1-c1ccccc1 ZINC000342184117 1038470976 /nfs/dbraw/zinc/47/09/76/1038470976.db2.gz QFJBLHRAWCYTFA-UHFFFAOYSA-N 0 3 324.472 4.250 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1coc(-c2ccc(F)cc2)n1 ZINC000605000685 1038476261 /nfs/dbraw/zinc/47/62/61/1038476261.db2.gz RZEMHRLQOAXNIB-QGZVFWFLSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC[C@@H]1C(C)C ZINC000605000677 1038476926 /nfs/dbraw/zinc/47/69/26/1038476926.db2.gz QMFAIOWXXSJICG-MRXNPFEDSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@H](O)CC(C)(C)C)o1 ZINC000605009117 1038477685 /nfs/dbraw/zinc/47/76/85/1038477685.db2.gz OUWJDKUBINGTCN-CQSZACIVSA-N 0 3 319.420 4.281 20 0 DIADHN c1ccc(OCCCN2CCS[C@@H](c3ccccc3)C2)cc1 ZINC000605155223 1038494306 /nfs/dbraw/zinc/49/43/06/1038494306.db2.gz LMAKRGHZFZHEAG-LJQANCHMSA-N 0 3 313.466 4.246 20 0 DIADHN Cc1cccc(OCCN2CCS[C@H](c3ccccc3)C2)c1 ZINC000605155026 1038494723 /nfs/dbraw/zinc/49/47/23/1038494723.db2.gz HRPPSZORKRGQMC-IBGZPJMESA-N 0 3 313.466 4.164 20 0 DIADHN COC[C@H](C)Oc1ccc(CNCc2cccc(Cl)c2)cc1 ZINC000657285310 1038502352 /nfs/dbraw/zinc/50/23/52/1038502352.db2.gz CAJBQSZZRMJDKH-AWEZNQCLSA-N 0 3 319.832 4.044 20 0 DIADHN CCCn1nccc1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC000657292016 1038506917 /nfs/dbraw/zinc/50/69/17/1038506917.db2.gz FQHFIWPZYSXBDO-OAQYLSRUSA-N 0 3 319.452 4.367 20 0 DIADHN Cc1ccc(CN(C)CCC(=O)Nc2cccc3ccccc32)o1 ZINC000342265689 1038507327 /nfs/dbraw/zinc/50/73/27/1038507327.db2.gz NYLVFXGSTUFGBA-UHFFFAOYSA-N 0 3 322.408 4.202 20 0 DIADHN CCCn1nccc1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC000657292012 1038507752 /nfs/dbraw/zinc/50/77/52/1038507752.db2.gz FQHFIWPZYSXBDO-NRFANRHFSA-N 0 3 319.452 4.367 20 0 DIADHN CCC[C@@H](NCc1cc(C(F)(F)F)nn1C)c1ccccc1 ZINC000657293107 1038507782 /nfs/dbraw/zinc/50/77/82/1038507782.db2.gz XOMIJZCWAVFTBY-CQSZACIVSA-N 0 3 311.351 4.070 20 0 DIADHN CC[C@H](C(=O)Nc1cc(Cl)cc(Cl)c1)N(CC)CC ZINC000605367649 1038512802 /nfs/dbraw/zinc/51/28/02/1038512802.db2.gz SCQPWQWNPDNSRR-CYBMUJFWSA-N 0 3 303.233 4.052 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(Cl)cc(Cl)c1)N(CC)CC ZINC000605367650 1038513262 /nfs/dbraw/zinc/51/32/62/1038513262.db2.gz SCQPWQWNPDNSRR-ZDUSSCGKSA-N 0 3 303.233 4.052 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC000605421664 1038513626 /nfs/dbraw/zinc/51/36/26/1038513626.db2.gz NPGYMVAYSDRINU-HACGYAERSA-N 0 3 320.864 4.240 20 0 DIADHN Cc1cccc(CN[C@H](CCO)c2ccc(Cl)cc2)c1C ZINC000342283341 1038516597 /nfs/dbraw/zinc/51/65/97/1038516597.db2.gz QAPWCZDBGTYBDC-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN OCC[C@@H](NCc1cccc2cc[nH]c21)c1ccc(Cl)cc1 ZINC000342290157 1038519339 /nfs/dbraw/zinc/51/93/39/1038519339.db2.gz WRRWWPRHUSDSIG-QGZVFWFLSA-N 0 3 314.816 4.035 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000342299587 1038521127 /nfs/dbraw/zinc/52/11/27/1038521127.db2.gz ABAABALKBIVBRG-HNNXBMFYSA-N 0 3 319.408 4.428 20 0 DIADHN Cc1ccc2cc(CN[C@@H](C)c3ccc4c(c3)OCO4)[nH]c2c1 ZINC000657301578 1038525900 /nfs/dbraw/zinc/52/59/00/1038525900.db2.gz JFBLBEYEZONFSE-ZDUSSCGKSA-N 0 3 308.381 4.056 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(-c3cccnc3)c2)ccn1 ZINC000342315784 1038529977 /nfs/dbraw/zinc/52/99/77/1038529977.db2.gz ZWIKBBFQTJIKFD-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2CCCc3ccc(C)cc32)c1C ZINC000342318351 1038530819 /nfs/dbraw/zinc/53/08/19/1038530819.db2.gz ZKPMELWWEDMVJV-FQEVSTJZSA-N 0 3 311.473 4.386 20 0 DIADHN CC(C)CCN(Cc1cnc(-c2ccccn2)s1)C1CC1 ZINC000506538109 1038541928 /nfs/dbraw/zinc/54/19/28/1038541928.db2.gz NCSROWUSBLPQEK-UHFFFAOYSA-N 0 3 301.459 4.216 20 0 DIADHN CCCCN(CCCC)Cc1nc(-c2ccccc2F)no1 ZINC001167526194 1038547229 /nfs/dbraw/zinc/54/72/29/1038547229.db2.gz SHNKISXOUMSZLQ-UHFFFAOYSA-N 0 3 305.397 4.278 20 0 DIADHN Brc1ccc(CNCc2cccc3cc[nH]c32)s1 ZINC000218145711 1038554817 /nfs/dbraw/zinc/55/48/17/1038554817.db2.gz VEHHBAHKKRNHQU-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cncc(Br)c2)c1C ZINC000183723927 1038569125 /nfs/dbraw/zinc/56/91/25/1038569125.db2.gz ZAHACFTWIUYAFF-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)C[C@@H](NCc1ccnn1CC1CC1)c1cccs1 ZINC000657324268 1038568643 /nfs/dbraw/zinc/56/86/43/1038568643.db2.gz WKMFHORIAQUHCT-MRXNPFEDSA-N 0 3 303.475 4.232 20 0 DIADHN COCc1csc(CNCc2ccc(OC(C)(C)C)cc2)c1 ZINC000657330351 1038575028 /nfs/dbraw/zinc/57/50/28/1038575028.db2.gz ONHCOFIVJOTXAI-UHFFFAOYSA-N 0 3 319.470 4.362 20 0 DIADHN CC(C)n1ccnc1CNCCCCOc1ccc(Cl)cc1 ZINC000657332749 1038576249 /nfs/dbraw/zinc/57/62/49/1038576249.db2.gz OSXPXXQTFZWOJJ-UHFFFAOYSA-N 0 3 321.852 4.066 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)[nH]c2c1 ZINC000657336794 1038577970 /nfs/dbraw/zinc/57/79/70/1038577970.db2.gz WUJPAULCDODXCF-SFTDATJTSA-N 0 3 320.436 4.486 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2c[nH]nc2c1)C(C)(C)C ZINC000934285967 1038579416 /nfs/dbraw/zinc/57/94/16/1038579416.db2.gz BNTGIRICPXAACD-SFHVURJKSA-N 0 3 308.429 4.143 20 0 DIADHN CCC(CC)[C@H](NCc1cnc2n1CCC2)c1cccs1 ZINC000657336764 1038581554 /nfs/dbraw/zinc/58/15/54/1038581554.db2.gz WGKDIYBMXZIDME-KRWDZBQOSA-N 0 3 303.475 4.158 20 0 DIADHN Cc1c[nH]c(CNCC(C)(C)c2ccc(Cl)c(Cl)c2)n1 ZINC000657337670 1038582663 /nfs/dbraw/zinc/58/26/63/1038582663.db2.gz FGBYXBXMDQMKCZ-UHFFFAOYSA-N 0 3 312.244 4.092 20 0 DIADHN CN(C)CCN(Cc1cccc(Cl)c1)C(=O)CCC(C)(C)C ZINC000606199393 1038584793 /nfs/dbraw/zinc/58/47/93/1038584793.db2.gz RYJJMWFYSNPBMP-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN CC(C)n1ccnc1CNCC1(c2ccc(Cl)cc2)CCC1 ZINC000657344120 1038586318 /nfs/dbraw/zinc/58/63/18/1038586318.db2.gz ZYHGRRJDSWBFOH-UHFFFAOYSA-N 0 3 317.864 4.329 20 0 DIADHN Fc1ccc([C@@H](NCc2ccnn2CC2CC2)C2CCC2)cc1 ZINC000657346632 1038587438 /nfs/dbraw/zinc/58/74/38/1038587438.db2.gz LAGJXHGGOBDETL-IBGZPJMESA-N 0 3 313.420 4.063 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cc2ccncc2s1 ZINC000657346059 1038588925 /nfs/dbraw/zinc/58/89/25/1038588925.db2.gz WGFOHXGSRYPKQW-UHFFFAOYSA-N 0 3 312.438 4.330 20 0 DIADHN COc1ccc(C2(NCc3cc4ccc(C)cc4[nH]3)CC2)cc1 ZINC000657355799 1038596554 /nfs/dbraw/zinc/59/65/54/1038596554.db2.gz AFVSOEHQOASYRD-UHFFFAOYSA-N 0 3 306.409 4.264 20 0 DIADHN Cc1ccc([C@H](CNC(=O)CCC(C)(C)C)N2CCCC2)cc1 ZINC000606359528 1038597163 /nfs/dbraw/zinc/59/71/63/1038597163.db2.gz XNHAVEPQXSWCIH-SFHVURJKSA-N 0 3 316.489 4.074 20 0 DIADHN CCOc1ccc(CNC2(c3ccc(OC)cc3)CC2)c(C)c1 ZINC000657356844 1038599019 /nfs/dbraw/zinc/59/90/19/1038599019.db2.gz TVCJJSWIBLUVND-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Clc1cccc(-c2cnc(CN3CC[C@H](C4CC4)C3)o2)c1 ZINC000491208693 1038599828 /nfs/dbraw/zinc/59/98/28/1038599828.db2.gz UJXHRMGUOBSXFZ-AWEZNQCLSA-N 0 3 302.805 4.227 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@H]1[C@@H](C)CC ZINC000606434284 1038601562 /nfs/dbraw/zinc/60/15/62/1038601562.db2.gz RWLWGQYHIKSXQB-YJBOKZPZSA-N 0 3 316.489 4.397 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@H](Cc2nccs2)C1 ZINC000934331200 1038602014 /nfs/dbraw/zinc/60/20/14/1038602014.db2.gz ZBGTWAKUQQPRGM-HNNXBMFYSA-N 0 3 315.486 4.035 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2noc3c2CCCC3)C1 ZINC000606435378 1038602054 /nfs/dbraw/zinc/60/20/54/1038602054.db2.gz ZQFAFAUIXLODQZ-HOTGVXAUSA-N 0 3 310.441 4.240 20 0 DIADHN COc1ccnc(CN2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)c1 ZINC000606540663 1038614723 /nfs/dbraw/zinc/61/47/23/1038614723.db2.gz AJOUNGBUMLVKFM-UZLBHIALSA-N 0 3 310.441 4.372 20 0 DIADHN C[C@H]1CCN(Cc2ccc(C(F)F)c(F)c2)C[C@H]1n1ccnc1 ZINC000892224596 1038615955 /nfs/dbraw/zinc/61/59/55/1038615955.db2.gz YBBLZVCKBQZBOR-BLLLJJGKSA-N 0 3 323.362 4.043 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(C(F)F)c(F)c2)C[C@@H]1n1ccnc1 ZINC000892224599 1038616166 /nfs/dbraw/zinc/61/61/66/1038616166.db2.gz YBBLZVCKBQZBOR-WBMJQRKESA-N 0 3 323.362 4.043 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000051531222 1038617274 /nfs/dbraw/zinc/61/72/74/1038617274.db2.gz JHGXYPNAZLUOSN-FOIQADDNSA-N 0 3 313.416 4.332 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000051531795 1038617369 /nfs/dbraw/zinc/61/73/69/1038617369.db2.gz LQRJZXHGJJDBGN-YWZLYKJASA-N 0 3 313.416 4.332 20 0 DIADHN CC[C@H](NCc1cc2ccc(C)cc2[nH]1)c1c(C)nn(C)c1C ZINC000657379197 1038622105 /nfs/dbraw/zinc/62/21/05/1038622105.db2.gz XXJPNEQYXLMMSO-KRWDZBQOSA-N 0 3 310.445 4.068 20 0 DIADHN CCC(CC)(CNCc1occc1Br)SC ZINC000230708164 1038622153 /nfs/dbraw/zinc/62/21/53/1038622153.db2.gz SUOMWIDUNWVSAP-UHFFFAOYSA-N 0 3 306.269 4.054 20 0 DIADHN COCc1csc(CN[C@H]2CCCc3ccc(OC)cc32)c1 ZINC000657386424 1038627812 /nfs/dbraw/zinc/62/78/12/1038627812.db2.gz BJFAMCIXCJLFLH-SFHVURJKSA-N 0 3 317.454 4.070 20 0 DIADHN COCc1csc(CNC(C)(C)c2ccc(F)c(F)c2)c1 ZINC000657388091 1038629380 /nfs/dbraw/zinc/62/93/80/1038629380.db2.gz DFLPQFPXWFZTNV-UHFFFAOYSA-N 0 3 311.397 4.198 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ccnn1CC1CC1)C(C)(C)C ZINC000657388682 1038630706 /nfs/dbraw/zinc/63/07/06/1038630706.db2.gz OHNRBVGUJWMSAT-LJQANCHMSA-N 0 3 311.473 4.479 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H]1CCc2c1cccc2O ZINC000130599068 1038636437 /nfs/dbraw/zinc/63/64/37/1038636437.db2.gz BUABAMCEJQXYOR-RTBURBONSA-N 0 3 309.409 4.055 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCCCC[C@@H]1CC ZINC000606900458 1038637997 /nfs/dbraw/zinc/63/79/97/1038637997.db2.gz BQLXAMHQKUJAJD-SFHVURJKSA-N 0 3 316.489 4.405 20 0 DIADHN Clc1cccc(C2(NCc3cnn4c3CCC4)CCCC2)c1 ZINC000657397982 1038638074 /nfs/dbraw/zinc/63/80/74/1038638074.db2.gz HWPVVJCEBUZGEW-UHFFFAOYSA-N 0 3 315.848 4.042 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCCCC[C@H]1CC ZINC000606900457 1038638858 /nfs/dbraw/zinc/63/88/58/1038638858.db2.gz BQLXAMHQKUJAJD-GOSISDBHSA-N 0 3 316.489 4.405 20 0 DIADHN Cc1cc(CNCc2ccc(-c3ccncc3)cc2)ccc1F ZINC000606914770 1038640545 /nfs/dbraw/zinc/64/05/45/1038640545.db2.gz NMXALUZBHFAWTL-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)no1 ZINC000582380759 1038644987 /nfs/dbraw/zinc/64/49/87/1038644987.db2.gz NRDPGLAQFPGKIN-MAUKXSAKSA-N 0 3 319.408 4.115 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c(C3CCC3)cnn2C)c2ccccc21 ZINC000657415918 1038654053 /nfs/dbraw/zinc/65/40/53/1038654053.db2.gz PDTUNPBFGDQLOW-KUHUBIRLSA-N 0 3 309.457 4.416 20 0 DIADHN CC[C@H](NCc1cc2ccncc2s1)c1c(C)noc1C ZINC000657419184 1038655652 /nfs/dbraw/zinc/65/56/52/1038655652.db2.gz RMUPQRHVMDEZGU-AWEZNQCLSA-N 0 3 301.415 4.142 20 0 DIADHN COCc1csc(CN[C@H]2CCCc3cc(F)ccc32)c1 ZINC000657423737 1038660856 /nfs/dbraw/zinc/66/08/56/1038660856.db2.gz LHOKMFZWKDGTCQ-KRWDZBQOSA-N 0 3 305.418 4.201 20 0 DIADHN CCN(CC)[C@@H](CNCc1nccc2ccccc21)c1ccco1 ZINC000607167608 1038661040 /nfs/dbraw/zinc/66/10/40/1038661040.db2.gz WSMHYOYWBQNSNZ-IBGZPJMESA-N 0 3 323.440 4.001 20 0 DIADHN Cc1c[nH]c(CN[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)n1 ZINC000657423679 1038661674 /nfs/dbraw/zinc/66/16/74/1038661674.db2.gz LJNLTWQNCCUAPZ-DZGCQCFKSA-N 0 3 323.362 4.163 20 0 DIADHN CC1(C)CC[C@@H]2C[C@@H](NCc3cnn4c3CCC4)c3cccc1c32 ZINC000657428375 1038665497 /nfs/dbraw/zinc/66/54/97/1038665497.db2.gz IVVFYYZJEZKIFW-RDTXWAMCSA-N 0 3 321.468 4.219 20 0 DIADHN Fc1ccc(OCCN2CC[C@H]2c2ccccc2)cc1Cl ZINC001167575643 1038672335 /nfs/dbraw/zinc/67/23/35/1038672335.db2.gz FVMJJVKYSDMFPG-KRWDZBQOSA-N 0 3 305.780 4.305 20 0 DIADHN CC(C)CC[C@H](NC(=O)c1cccc(CN(C)C)c1)C(C)(C)C ZINC000607387075 1038673797 /nfs/dbraw/zinc/67/37/97/1038673797.db2.gz RCJMSDQMOLXCLD-SFHVURJKSA-N 0 3 318.505 4.329 20 0 DIADHN C[C@H](NCCc1cccs1)c1nc2ccccc2n1C(F)F ZINC000091264023 1038673755 /nfs/dbraw/zinc/67/37/55/1038673755.db2.gz MOVSACKOISTFRM-NSHDSACASA-N 0 3 321.396 4.386 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1F)c1ccc(Cl)s1 ZINC000049129227 1038673935 /nfs/dbraw/zinc/67/39/35/1038673935.db2.gz ARJHWBGDUGVJSF-KCJUWKMLSA-N 0 3 317.788 4.064 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCOc3cc(C)c(C)cc32)s1 ZINC000119850493 1038680889 /nfs/dbraw/zinc/68/08/89/1038680889.db2.gz REWFKCVNPQYLHH-MRXNPFEDSA-N 0 3 316.470 4.380 20 0 DIADHN c1cc(O[C@H]2CCOC2)ccc1CNCc1ccc(C2CC2)cc1 ZINC000657448944 1038689218 /nfs/dbraw/zinc/68/92/18/1038689218.db2.gz YBQCAKABGCRLIF-NRFANRHFSA-N 0 3 323.436 4.022 20 0 DIADHN Cc1c[nH]c(CN[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)n1 ZINC000657465160 1038703950 /nfs/dbraw/zinc/70/39/50/1038703950.db2.gz WNWSEDLRKPSFIB-GJZGRUSLSA-N 0 3 323.362 4.163 20 0 DIADHN S=c1[nH]ccn1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000173320608 1038714278 /nfs/dbraw/zinc/71/42/78/1038714278.db2.gz WOQOGFKPYCGSRW-QGZVFWFLSA-N 0 3 309.438 4.493 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cccc3nccn32)cc1 ZINC000342675878 1038716168 /nfs/dbraw/zinc/71/61/68/1038716168.db2.gz CLLLMNPYULQENB-LJQANCHMSA-N 0 3 321.424 4.070 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000607742911 1038719273 /nfs/dbraw/zinc/71/92/73/1038719273.db2.gz YDNYSJMFEVLHSB-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN COCc1csc(CNC2(c3cccc(C)c3)CCC2)c1 ZINC000657498199 1038728864 /nfs/dbraw/zinc/72/88/64/1038728864.db2.gz BSRFGPANFDCNDQ-UHFFFAOYSA-N 0 3 301.455 4.372 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCCc3cccnc32)C2CC2)cc1 ZINC000684953292 1038738468 /nfs/dbraw/zinc/73/84/68/1038738468.db2.gz BKNXPWDPOOSDJC-RTBURBONSA-N 0 3 308.425 4.209 20 0 DIADHN c1ccc2cc(OCCN[C@H]3CCCc4cccnc43)ccc2c1 ZINC000684953815 1038739082 /nfs/dbraw/zinc/73/90/82/1038739082.db2.gz MJRJEVLRFNNVHM-FQEVSTJZSA-N 0 3 318.420 4.281 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)N[C@H]1CCCc2cccnc21 ZINC000684956740 1038740512 /nfs/dbraw/zinc/74/05/12/1038740512.db2.gz YCINPJFVHZGZMP-AEFFLSMTSA-N 0 3 310.441 4.133 20 0 DIADHN CO[C@H](CN[C@H]1CCCc2cccnc21)c1cccc(Cl)c1 ZINC000684959886 1038744627 /nfs/dbraw/zinc/74/46/27/1038744627.db2.gz RJJWUTOCUVEQER-DLBZAZTESA-N 0 3 316.832 4.090 20 0 DIADHN FC(F)(F)[C@@H](CN[C@H]1CCCc2cccnc21)c1ccccc1 ZINC000684962467 1038745548 /nfs/dbraw/zinc/74/55/48/1038745548.db2.gz TUIBKNCYVRKZEY-HOTGVXAUSA-N 0 3 320.358 4.395 20 0 DIADHN CC(C)(C)c1cc(Nc2c(N)cc(Cl)nc2Cl)ccn1 ZINC001213163226 1038755162 /nfs/dbraw/zinc/75/51/62/1038755162.db2.gz YVIJPCKCMAAZRZ-UHFFFAOYSA-N 0 3 311.216 4.407 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NC/C(C)=C/c1ccccc1 ZINC000608062676 1038762558 /nfs/dbraw/zinc/76/25/58/1038762558.db2.gz HCUCUEJLBVXYNG-LBKOFNPBSA-N 0 3 309.409 4.212 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NC/C(C)=C/c1ccccc1 ZINC000608062684 1038763128 /nfs/dbraw/zinc/76/31/28/1038763128.db2.gz HCUCUEJLBVXYNG-QZEGLACASA-N 0 3 309.409 4.212 20 0 DIADHN c1ccc([C@H](CC2CC2)NCc2cccc3c2OCCO3)cc1 ZINC000608064140 1038763334 /nfs/dbraw/zinc/76/33/34/1038763334.db2.gz PSWWBZUFQLCWDJ-SFHVURJKSA-N 0 3 309.409 4.089 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2nc(C3CC3)cs2)cc1 ZINC000342766799 1038763678 /nfs/dbraw/zinc/76/36/78/1038763678.db2.gz XNSWKRPVIAKJSF-INIZCTEOSA-N 0 3 316.445 4.367 20 0 DIADHN C[C@@H](CNCc1cccc(F)c1F)Oc1cccc(Cl)c1 ZINC000608065342 1038763948 /nfs/dbraw/zinc/76/39/48/1038763948.db2.gz IHVPFDZPBQOFKW-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc([C@@H]3C[C@H]3C)o2)C12CCCC2 ZINC000608072953 1038764374 /nfs/dbraw/zinc/76/43/74/1038764374.db2.gz IDKOYNHJQBFKPO-LFVTVUPYSA-N 0 3 303.446 4.230 20 0 DIADHN COC1CCN(Cc2c(OC(F)F)ccc3ccccc32)CC1 ZINC000349767821 1038767719 /nfs/dbraw/zinc/76/77/19/1038767719.db2.gz LOLLIQHMTLHASP-UHFFFAOYSA-N 0 3 321.367 4.052 20 0 DIADHN C[C@@H](NCc1ccc(N(C)c2ccccc2)cc1)c1cnn(C)c1 ZINC000608058580 1038768265 /nfs/dbraw/zinc/76/82/65/1038768265.db2.gz ZFVFNIYRDMJGQJ-MRXNPFEDSA-N 0 3 320.440 4.039 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CC[C@H](c2ccccc2)[C@H](CC)C1 ZINC001167602753 1038769233 /nfs/dbraw/zinc/76/92/33/1038769233.db2.gz PFTITWRYFIFYTI-NZSAHSFTSA-N 0 3 317.473 4.234 20 0 DIADHN CC(C)CN(CC(=O)N[C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000027110412 1038773354 /nfs/dbraw/zinc/77/33/54/1038773354.db2.gz GUVWCYVKWYYSRR-INIZCTEOSA-N 0 3 324.468 4.137 20 0 DIADHN CCC[C@@H](NCc1ccc([S@@](C)=O)cc1)c1ccsc1 ZINC000187793390 1038803653 /nfs/dbraw/zinc/80/36/53/1038803653.db2.gz UKEWTPZUOZOOKL-OXQOHEQNSA-N 0 3 307.484 4.117 20 0 DIADHN CCC[C@@H](NCc1ccc([S@](C)=O)cc1)c1ccsc1 ZINC000187793354 1038804031 /nfs/dbraw/zinc/80/40/31/1038804031.db2.gz UKEWTPZUOZOOKL-UZLBHIALSA-N 0 3 307.484 4.117 20 0 DIADHN COc1cc(C)ccc1CNCc1cccc(-c2cccnc2)c1 ZINC000133645241 1038827918 /nfs/dbraw/zinc/82/79/18/1038827918.db2.gz ZUVUHINZOLJHGY-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCN(CC)[C@H](CNCc1ccco1)c1ccccc1Cl ZINC000061015697 1038835350 /nfs/dbraw/zinc/83/53/50/1038835350.db2.gz ABAYECKJVOMRGU-QGZVFWFLSA-N 0 3 306.837 4.106 20 0 DIADHN COc1ccccc1CNCCSc1ccc(Cl)cc1 ZINC000061016087 1038840873 /nfs/dbraw/zinc/84/08/73/1038840873.db2.gz CWFNMXKFURUSCK-UHFFFAOYSA-N 0 3 307.846 4.231 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnn(CC(F)(F)F)c2)c1 ZINC000507130894 1038851365 /nfs/dbraw/zinc/85/13/65/1038851365.db2.gz ADTPGYUOHBZIOP-INIZCTEOSA-N 0 3 323.362 4.091 20 0 DIADHN Fc1ccc(C[C@@H]2CCCCN2Cc2ccncc2Cl)cc1 ZINC000608494591 1038859836 /nfs/dbraw/zinc/85/98/36/1038859836.db2.gz JMLACMKOQMFNKN-KRWDZBQOSA-N 0 3 318.823 4.471 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)Cc2cccc(N(C)C)c2)s1 ZINC000608497812 1038862268 /nfs/dbraw/zinc/86/22/68/1038862268.db2.gz ANBULDCDGDLCRL-CQSZACIVSA-N 0 3 317.502 4.273 20 0 DIADHN Cc1sc(NC(=O)CN(C2CC2)C2CCCCC2)c(C)c1C ZINC000608492521 1038863659 /nfs/dbraw/zinc/86/36/59/1038863659.db2.gz MFCMFABELQUINB-UHFFFAOYSA-N 0 3 320.502 4.409 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1nccn1C(F)F ZINC000608501371 1038866055 /nfs/dbraw/zinc/86/60/55/1038866055.db2.gz OGWAEBCCQAGXBO-INIZCTEOSA-N 0 3 321.415 4.430 20 0 DIADHN CCC(C)(C)N(C)Cc1csc(-c2ccccc2OC)n1 ZINC000077313421 1038866574 /nfs/dbraw/zinc/86/65/74/1038866574.db2.gz IXYAUYVGGWALOF-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(OCC2CCCCC2)CC1 ZINC000608500277 1038866647 /nfs/dbraw/zinc/86/66/47/1038866647.db2.gz VBBNATROZVBSER-INIZCTEOSA-N 0 3 302.462 4.204 20 0 DIADHN COc1ccc(-c2cnc(CN3C4CCCC3CCC4)o2)cc1 ZINC000608499517 1038867682 /nfs/dbraw/zinc/86/76/82/1038867682.db2.gz NEQGCCNBFSVMKA-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN FC(F)(F)COc1ccc(CNC2(c3ccccc3)CC2)cc1 ZINC000077379042 1038867581 /nfs/dbraw/zinc/86/75/81/1038867581.db2.gz ZTJOCUKLSPMOMO-UHFFFAOYSA-N 0 3 321.342 4.407 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@]2(C1)CCCOC2 ZINC000342821858 1038868283 /nfs/dbraw/zinc/86/82/83/1038868283.db2.gz PFYSDCFNVMGKPP-KRWDZBQOSA-N 0 3 305.805 4.089 20 0 DIADHN CCN(Cc1nccn1CC)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000608510337 1038874602 /nfs/dbraw/zinc/87/46/02/1038874602.db2.gz UVKWECYECSFLDC-SFHVURJKSA-N 0 3 317.452 4.131 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc3cc(C4CCC4)nn3c2)ccn1 ZINC001213164097 1038876788 /nfs/dbraw/zinc/87/67/88/1038876788.db2.gz IFAGZOFCTHKERH-UHFFFAOYSA-N 0 3 321.428 4.433 20 0 DIADHN COc1cc([C@@H](C)NCc2ccncc2C)cc2ccccc21 ZINC000934631135 1038894834 /nfs/dbraw/zinc/89/48/34/1038894834.db2.gz RHJKJJNYOBUTLD-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cc(CN2CC[C@H]3OCCC[C@@H]3C2)cc(C(F)(F)F)c1 ZINC001143447250 1038910856 /nfs/dbraw/zinc/91/08/56/1038910856.db2.gz AQQXFZOPTZUKPI-GDBMZVCRSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)c(C)n1 ZINC000119318880 1038915550 /nfs/dbraw/zinc/91/55/50/1038915550.db2.gz VBPOALDQWVQZGZ-DJJJIMSYSA-N 0 3 313.420 4.425 20 0 DIADHN Cc1cc(C)cc(CNCc2cncc(Br)c2C)c1 ZINC000657625669 1038924156 /nfs/dbraw/zinc/92/41/56/1038924156.db2.gz AYNBYFSVAFQITR-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1cc(CN2CCC3(CCOC3)CC2)cc(C(F)(F)F)c1 ZINC001143447804 1038931516 /nfs/dbraw/zinc/93/15/16/1038931516.db2.gz HAVDWMDCOBDVNR-UHFFFAOYSA-N 0 3 313.363 4.016 20 0 DIADHN CCn1nccc1CNC1(c2cccc(Cl)c2)CCCC1 ZINC000119614649 1038935750 /nfs/dbraw/zinc/93/57/50/1038935750.db2.gz CLGLHQBGFVAUDZ-UHFFFAOYSA-N 0 3 303.837 4.116 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3cnc(C4CC4)o3)C2)cc1 ZINC000628160163 1038941313 /nfs/dbraw/zinc/94/13/13/1038941313.db2.gz LPVWWNAJHBLUQG-KRWDZBQOSA-N 0 3 310.441 4.315 20 0 DIADHN COc1ccc(-c2nc(CNCCC(C)(C)F)cs2)cc1 ZINC000657644434 1038943519 /nfs/dbraw/zinc/94/35/19/1038943519.db2.gz JSAPLWWZFMUYJN-UHFFFAOYSA-N 0 3 308.422 4.047 20 0 DIADHN Cc1cc([C@H](C)NC[C@](C)(O)c2cccc(Cl)c2)oc1C ZINC000800490089 1038954428 /nfs/dbraw/zinc/95/44/28/1038954428.db2.gz HBOXILKSXXGPBN-SJCJKPOMSA-N 0 3 307.821 4.108 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN(C)Cc1cc2ccccc2o1 ZINC001167622669 1038955899 /nfs/dbraw/zinc/95/58/99/1038955899.db2.gz XABPFHGQBKLEHB-YJBOKZPZSA-N 0 3 301.430 4.460 20 0 DIADHN C[C@]1(CNCc2ccc(-c3ccncc3)cc2F)CC1(F)F ZINC000657663142 1038968213 /nfs/dbraw/zinc/96/82/13/1038968213.db2.gz BGRMWARAIOFURX-MRXNPFEDSA-N 0 3 306.331 4.023 20 0 DIADHN COc1cc(Cl)c(CNC[C@@]2(C)CC2(F)F)cc1Cl ZINC000657664380 1038968398 /nfs/dbraw/zinc/96/83/98/1038968398.db2.gz JRAHEKAHRDWYMB-GFCCVEGCSA-N 0 3 310.171 4.137 20 0 DIADHN Cn1ncc(C2CC2)c1CNCc1cc(-c2ccccc2)cs1 ZINC000657661801 1038969822 /nfs/dbraw/zinc/96/98/22/1038969822.db2.gz LYGXZPNIRRFGMA-UHFFFAOYSA-N 0 3 323.465 4.316 20 0 DIADHN COc1cc(CN(Cc2cc(C)ccc2C)C2CC2)ccc1O ZINC000534127216 1038989968 /nfs/dbraw/zinc/98/99/68/1038989968.db2.gz UVKJTNZDZGWIRL-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)cnn1C ZINC000534237095 1039012204 /nfs/dbraw/zinc/01/22/04/1039012204.db2.gz HKRJSNZJNMSJSG-APPDUMDISA-N 0 3 303.837 4.110 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCCc3ccc(Cl)cc32)cnn1C ZINC000534237096 1039012286 /nfs/dbraw/zinc/01/22/86/1039012286.db2.gz HKRJSNZJNMSJSG-DIFFPNOSSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@H]1CCN(Cc2ccc3c(c2)ncn3C)[C@H](c2ccco2)C1 ZINC000342924582 1039015862 /nfs/dbraw/zinc/01/58/62/1039015862.db2.gz FYJMRKUQCMOKIX-KSSFIOAISA-N 0 3 309.413 4.140 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(O)cc32)cc2cccnc12 ZINC000657693032 1039017220 /nfs/dbraw/zinc/01/72/20/1039017220.db2.gz IHNIFZXLPZKHNA-IBGZPJMESA-N 0 3 304.393 4.026 20 0 DIADHN Cc1nc2ccc(CN[C@@H]3CCc4ccc(O)cc43)cc2s1 ZINC000657692838 1039018371 /nfs/dbraw/zinc/01/83/71/1039018371.db2.gz FNOFAKROFFWYGU-MRXNPFEDSA-N 0 3 310.422 4.087 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCc3ccc(O)cc32)c(C)c1 ZINC000657693899 1039019526 /nfs/dbraw/zinc/01/95/26/1039019526.db2.gz VAERCNKCZMOUAE-HXUWFJFHSA-N 0 3 311.425 4.267 20 0 DIADHN COc1ccc(CN(Cc2ccccc2C)C2CC2)cc1OC ZINC000534333960 1039032723 /nfs/dbraw/zinc/03/27/23/1039032723.db2.gz YNARLCIBYUBPQX-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2Cc2cccc3nccn32)o1 ZINC000342938651 1039033032 /nfs/dbraw/zinc/03/30/32/1039033032.db2.gz NJLXXEOPSIKBCF-KRWDZBQOSA-N 0 3 309.413 4.353 20 0 DIADHN CC(C)N(Cc1ccc(OCC(F)F)cc1)Cc1ccccn1 ZINC000534347485 1039036577 /nfs/dbraw/zinc/03/65/77/1039036577.db2.gz BRNDJLBVRUOWFI-UHFFFAOYSA-N 0 3 320.383 4.136 20 0 DIADHN Cc1cc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)c(C)o1 ZINC000277994769 1039056234 /nfs/dbraw/zinc/05/62/34/1039056234.db2.gz PGDLTKULHYHLIR-HNNXBMFYSA-N 0 3 301.390 4.026 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCc2c1cc(F)cc2F ZINC000121548855 1039056508 /nfs/dbraw/zinc/05/65/08/1039056508.db2.gz ZAOWUJBHQYBCRR-ZWKOTPCHSA-N 0 3 315.363 4.238 20 0 DIADHN CC(C)[C@H](NCc1cnn(C(C)(C)C)c1)c1ccc(F)cc1 ZINC000079082951 1039068940 /nfs/dbraw/zinc/06/89/40/1039068940.db2.gz YYPHMXVSJHFSNB-KRWDZBQOSA-N 0 3 303.425 4.264 20 0 DIADHN Cc1ccc(C2CCN(Cc3scnc3C3CC3)CC2)cn1 ZINC000426150760 1039069907 /nfs/dbraw/zinc/06/99/07/1039069907.db2.gz SPVFFAWICWIXFX-UHFFFAOYSA-N 0 3 313.470 4.104 20 0 DIADHN CCc1ccc(CN[C@H]2CCCOc3ccc(F)cc32)s1 ZINC000343018854 1039101915 /nfs/dbraw/zinc/10/19/15/1039101915.db2.gz YYVPNISSOCXGNH-INIZCTEOSA-N 0 3 305.418 4.453 20 0 DIADHN C[C@@H](NC1CCC2(CCCC2)CC1)c1nnc2n1CCCCC2 ZINC000323235567 1039129084 /nfs/dbraw/zinc/12/90/84/1039129084.db2.gz BLXBYXFDKYPGIG-OAHLLOKOSA-N 0 3 316.493 4.158 20 0 DIADHN CCc1cccc(N(C)C(=O)c2cccc(CN3CCCC3)c2)c1 ZINC000457328621 1039132289 /nfs/dbraw/zinc/13/22/89/1039132289.db2.gz PGOVFCZVTMAEFG-UHFFFAOYSA-N 0 3 322.452 4.121 20 0 DIADHN c1nc(CN2CC[C@H](Cc3ccccc3)C2)oc1-c1ccccc1 ZINC000525636856 1039135954 /nfs/dbraw/zinc/13/59/54/1039135954.db2.gz PECQEKSUPIJLSP-GOSISDBHSA-N 0 3 318.420 4.406 20 0 DIADHN CCCCc1ccc(C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000642213360 1039143294 /nfs/dbraw/zinc/14/32/94/1039143294.db2.gz OQUWEYLLKOFBNB-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN Fc1ccc(COC2CCN(Cc3cccc(F)c3)CC2)cc1 ZINC000091889554 1039156176 /nfs/dbraw/zinc/15/61/76/1039156176.db2.gz HAGTUIKLZWMQDO-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN CC1CCC(N(C)CC(=O)Nc2ccccc2C(C)(C)C)CC1 ZINC000247997988 1039157948 /nfs/dbraw/zinc/15/79/48/1039157948.db2.gz QUGCKKMLSWEIEE-UHFFFAOYSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000535339951 1039187357 /nfs/dbraw/zinc/18/73/57/1039187357.db2.gz HOIAFMGPYUEQIY-KRWDZBQOSA-N 0 3 323.358 4.145 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000007587411 1039191309 /nfs/dbraw/zinc/19/13/09/1039191309.db2.gz XXDRYWNIWKRFNS-ROUUACIJSA-N 0 3 314.473 4.151 20 0 DIADHN CSc1ccc(NC(=O)CN(C)C2CCC(C)(C)CC2)cc1 ZINC001167659547 1039204730 /nfs/dbraw/zinc/20/47/30/1039204730.db2.gz SODULMNLFDKTTK-UHFFFAOYSA-N 0 3 320.502 4.248 20 0 DIADHN C[C@H](NCc1csc(-c2ccco2)n1)C1CCC(F)CC1 ZINC000657742879 1039219322 /nfs/dbraw/zinc/21/93/22/1039219322.db2.gz CUJMCVOHNIXWHT-HIFPTAJRSA-N 0 3 308.422 4.410 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@@H](O)C(C)C)cc21 ZINC000657744020 1039224035 /nfs/dbraw/zinc/22/40/35/1039224035.db2.gz CLTSITQJZMGTFH-SFTDATJTSA-N 0 3 322.452 4.324 20 0 DIADHN C[C@H](NCc1csc(-c2ccccn2)n1)C1CCC(F)CC1 ZINC000657744153 1039224831 /nfs/dbraw/zinc/22/48/31/1039224831.db2.gz MLVVTGIITPEMAO-HSBZDZAISA-N 0 3 319.449 4.212 20 0 DIADHN CC(C)OCCCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000154945072 1039225516 /nfs/dbraw/zinc/22/55/16/1039225516.db2.gz IZNISHNNHSNKDL-CQSZACIVSA-N 0 3 304.459 4.276 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(C)cc2Cl)C12CCC2 ZINC001167662668 1039235660 /nfs/dbraw/zinc/23/56/60/1039235660.db2.gz ALTGZTXYXWZNPQ-IRXDYDNUSA-N 0 3 307.865 4.428 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@H]2CCO[C@H]2C2CC2)o1 ZINC000535541170 1039237803 /nfs/dbraw/zinc/23/78/03/1039237803.db2.gz BBAXUJGCBNVKCV-WMZOPIPTSA-N 0 3 317.816 4.257 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccccc1C)c1cccc(Cl)c1 ZINC000125271539 1039241075 /nfs/dbraw/zinc/24/10/75/1039241075.db2.gz WRVSSSORTOGGTN-INIZCTEOSA-N 0 3 316.832 4.328 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3ccccc3)[C@@H](O)C(C)C)[nH]c2c1 ZINC000657746760 1039242451 /nfs/dbraw/zinc/24/24/51/1039242451.db2.gz NNBHWMHKAZWMIZ-RTWAWAEBSA-N 0 3 322.452 4.324 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc2cnccc2c1)c1ccccc1 ZINC000657747757 1039253574 /nfs/dbraw/zinc/25/35/74/1039253574.db2.gz RWNMYLCBDSYVMG-NHCUHLMSSA-N 0 3 320.436 4.083 20 0 DIADHN COc1ccc([C@@H](CC(C)C)NCc2ccccc2CO)cc1 ZINC000647284133 1039255086 /nfs/dbraw/zinc/25/50/86/1039255086.db2.gz CZLFYBIDTUFBGF-HXUWFJFHSA-N 0 3 313.441 4.065 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccnc2ccccc12)c1ccccc1 ZINC000657748536 1039257111 /nfs/dbraw/zinc/25/71/11/1039257111.db2.gz UQNGBXRNBOXRNS-SFTDATJTSA-N 0 3 320.436 4.083 20 0 DIADHN CC[C@@H](NCc1coc(-c2ccc(OC)cc2)n1)C(C)(C)C ZINC000539493882 1039267833 /nfs/dbraw/zinc/26/78/33/1039267833.db2.gz ZJHWKGHGTMKQPT-MRXNPFEDSA-N 0 3 302.418 4.265 20 0 DIADHN C[C@@H](NCc1ccc(-c2cnn(C)c2)s1)c1ccsc1 ZINC000669830804 1039289115 /nfs/dbraw/zinc/28/91/15/1039289115.db2.gz FAWWHQCUYDPZBN-LLVKDONJSA-N 0 3 303.456 4.061 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(-n3ccccc3=O)cc2)ccn1 ZINC001213168529 1039293275 /nfs/dbraw/zinc/29/32/75/1039293275.db2.gz LCYGVUPGQLEWAV-UHFFFAOYSA-N 0 3 319.408 4.274 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2cccc(C)c2C)cn1 ZINC000126326927 1039294370 /nfs/dbraw/zinc/29/43/70/1039294370.db2.gz IPLNSCUNFZPSOZ-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN Brc1ccc2c(c1)[C@@H](NCc1cccnc1)CCC2 ZINC000092719673 1039295838 /nfs/dbraw/zinc/29/58/38/1039295838.db2.gz JZSVCGHQTOUTEF-INIZCTEOSA-N 0 3 317.230 4.011 20 0 DIADHN COc1ccc([C@@H](CC(C)C)NCc2cnc(C)s2)cc1 ZINC000647288223 1039296852 /nfs/dbraw/zinc/29/68/52/1039296852.db2.gz UNIWCMSWSXGQEG-QGZVFWFLSA-N 0 3 304.459 4.337 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2cnccc2c1 ZINC000669833153 1039299043 /nfs/dbraw/zinc/29/90/43/1039299043.db2.gz VGHUMYOMVUQDAW-IBGZPJMESA-N 0 3 322.383 4.160 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccccc1C1CC1 ZINC000669833109 1039300985 /nfs/dbraw/zinc/30/09/85/1039300985.db2.gz GRZLKOLMSIKBAO-LJQANCHMSA-N 0 3 311.400 4.489 20 0 DIADHN Cn1nccc1CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000080208925 1039301576 /nfs/dbraw/zinc/30/15/76/1039301576.db2.gz GMIHYNIBQLKCJV-KRWDZBQOSA-N 0 3 303.837 4.095 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)C(C)(C)NC(=O)N3)ccn1 ZINC001213168676 1039303303 /nfs/dbraw/zinc/30/33/03/1039303303.db2.gz OTAAQZDUFGNMFQ-UHFFFAOYSA-N 0 3 324.428 4.493 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(O)c(OCC)c2)cc1 ZINC000319754911 1039304127 /nfs/dbraw/zinc/30/41/27/1039304127.db2.gz JPNBWOVVPRYKGB-AWEZNQCLSA-N 0 3 315.413 4.040 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)CCCC(=O)N3)ccn1 ZINC001213168772 1039305151 /nfs/dbraw/zinc/30/51/51/1039305151.db2.gz UMXHHGYFHOKYPX-UHFFFAOYSA-N 0 3 309.413 4.398 20 0 DIADHN C[C@H](NCC(=O)NC(C)(C)C)c1ccc(Cl)c2ccccc21 ZINC000462476507 1039305305 /nfs/dbraw/zinc/30/53/05/1039305305.db2.gz TVLZASCJXMABMS-LBPRGKRZSA-N 0 3 318.848 4.059 20 0 DIADHN CC[C@H](NCC[S@](=O)C(C)(C)C)c1cccc(Cl)c1F ZINC000161697312 1039317432 /nfs/dbraw/zinc/31/74/32/1039317432.db2.gz MVHUXCPIFGWRQZ-RNODOKPDSA-N 0 3 319.873 4.067 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc(Cl)nc(Cl)c1 ZINC000064141662 1039327172 /nfs/dbraw/zinc/32/71/72/1039327172.db2.gz VJJWYIXUQBUCDW-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2nc3cc(F)ccc3o2)C1 ZINC000634978744 1039327276 /nfs/dbraw/zinc/32/72/76/1039327276.db2.gz KXYDHFSTPJGBSL-UHFFFAOYSA-N 0 3 320.408 4.040 20 0 DIADHN C[C@H](CN[C@H](c1cnn(C)c1)c1cccc(F)c1)c1ccccc1 ZINC000491283109 1039341647 /nfs/dbraw/zinc/34/16/47/1039341647.db2.gz ICERTBATFPCEOX-QRWLVFNGSA-N 0 3 323.415 4.042 20 0 DIADHN COc1ccccc1/C=C/CN1CC[C@H](c2ccccc2OC)C1 ZINC000539817831 1039345651 /nfs/dbraw/zinc/34/56/51/1039345651.db2.gz DWFVWFUATOZSHG-KOEDOTQGSA-N 0 3 323.436 4.207 20 0 DIADHN CSc1ccc([C@H](C)N2CCC[C@]3(CCOC3)C2)cc1F ZINC000449684103 1039346715 /nfs/dbraw/zinc/34/67/15/1039346715.db2.gz QQKAKLCNMOJWMB-GUYCJALGSA-N 0 3 309.450 4.111 20 0 DIADHN CSc1ccc([C@H](C)N2CCC[C@@]3(CCOC3)C2)cc1F ZINC000449684104 1039346747 /nfs/dbraw/zinc/34/67/47/1039346747.db2.gz QQKAKLCNMOJWMB-SUMWQHHRSA-N 0 3 309.450 4.111 20 0 DIADHN CO[C@H](CNCc1cnc(C2CCCC2)s1)C1CCCC1 ZINC000657767009 1039358241 /nfs/dbraw/zinc/35/82/41/1039358241.db2.gz LWWCIGYGZZLRHH-MRXNPFEDSA-N 0 3 308.491 4.096 20 0 DIADHN OCCCN(Cc1ccc(Cl)s1)[C@H]1CCc2ccccc21 ZINC000539831630 1039360773 /nfs/dbraw/zinc/36/07/73/1039360773.db2.gz HTHHOQZOASIIPM-INIZCTEOSA-N 0 3 321.873 4.273 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000661203871 1039365767 /nfs/dbraw/zinc/36/57/67/1039365767.db2.gz WPMQOKSSXDBIJP-FMSGJZPZSA-N 0 3 314.256 4.147 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3noc(C4CC4)n3)C2)c(C)s1 ZINC000539857320 1039372838 /nfs/dbraw/zinc/37/28/38/1039372838.db2.gz BYSUVRNBYZKPAX-CQSZACIVSA-N 0 3 317.458 4.005 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)cc1Cl ZINC000583555786 1039375609 /nfs/dbraw/zinc/37/56/09/1039375609.db2.gz DOLIZHQDBGBIIU-KRWDZBQOSA-N 0 3 305.849 4.039 20 0 DIADHN CCOc1ccc2c(c1)CCN(Cc1cccc3cc[nH]c31)C2 ZINC000539852712 1039376022 /nfs/dbraw/zinc/37/60/22/1039376022.db2.gz LGDOIAJVAPPUFI-UHFFFAOYSA-N 0 3 306.409 4.125 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1c(F)c(F)cc(F)c1F ZINC000669848252 1039376967 /nfs/dbraw/zinc/37/69/67/1039376967.db2.gz VGTCKZRHMVPPCG-NOZJJQNGSA-N 0 3 307.306 4.251 20 0 DIADHN C[C@@H](c1ccncc1)N(C)CCOc1cccc2ccccc21 ZINC000539880957 1039380409 /nfs/dbraw/zinc/38/04/09/1039380409.db2.gz GZSXXKAPUBTAFR-INIZCTEOSA-N 0 3 306.409 4.307 20 0 DIADHN Fc1ccccc1C=C1CCN(Cc2cccc3c[nH]nc32)CC1 ZINC000539908034 1039389499 /nfs/dbraw/zinc/38/94/99/1039389499.db2.gz BUAJRKWNHUOIAZ-UHFFFAOYSA-N 0 3 321.399 4.381 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)ncn1 ZINC000661211957 1039396595 /nfs/dbraw/zinc/39/65/95/1039396595.db2.gz ZCOKQSWRQMHTJH-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H](C)c1ccc(C)nc1C ZINC000669852927 1039401382 /nfs/dbraw/zinc/40/13/82/1039401382.db2.gz GDLYVUWGZNXSFK-ACJLOTCBSA-N 0 3 314.404 4.184 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2ncc(-c3ccccc3)s2)C1(C)C ZINC000539979294 1039402436 /nfs/dbraw/zinc/40/24/36/1039402436.db2.gz KKXJKBNFWOAKIK-CRAIPNDOSA-N 0 3 316.470 4.103 20 0 DIADHN OC1(CNCc2ccc(Cl)cc2Cl)CCC(F)(F)CC1 ZINC000657774971 1039403061 /nfs/dbraw/zinc/40/30/61/1039403061.db2.gz BMXJJBJCPPGJHV-UHFFFAOYSA-N 0 3 324.198 4.023 20 0 DIADHN Cc1cccc(C)c1OCCCN(Cc1ccccn1)C1CC1 ZINC000540098271 1039417593 /nfs/dbraw/zinc/41/75/93/1039417593.db2.gz URRLPYQGIJEHNA-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1cc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)cc(C(F)(F)F)c1 ZINC001143450155 1039423030 /nfs/dbraw/zinc/42/30/30/1039423030.db2.gz FYNITSCINJEMRB-BETUJISGSA-N 0 3 319.317 4.491 20 0 DIADHN CCOC[C@@H](C)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000540144835 1039424002 /nfs/dbraw/zinc/42/40/02/1039424002.db2.gz LUNXSPXUXFUQNA-KDOFPFPSSA-N 0 3 318.848 4.033 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](C)c2cc3c(s2)CCC3)cc1 ZINC000540141187 1039424822 /nfs/dbraw/zinc/42/48/22/1039424822.db2.gz YVYBERFEWAPOTG-CXAGYDPISA-N 0 3 315.482 4.183 20 0 DIADHN C[C@@H](NCCSCC(F)(F)F)c1ccc(F)cc1Cl ZINC000540150281 1039432060 /nfs/dbraw/zinc/43/20/60/1039432060.db2.gz GTBVOEQKKGWXEC-MRVPVSSYSA-N 0 3 315.763 4.425 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cc(F)cc(OC)c2)cc1 ZINC000540150122 1039432327 /nfs/dbraw/zinc/43/23/27/1039432327.db2.gz BHUNGQQALOIVBE-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1cc(F)ccc1F ZINC000540155082 1039433444 /nfs/dbraw/zinc/43/34/44/1039433444.db2.gz HXGAZTWYMRXRRZ-BKTGTZMESA-N 0 3 316.395 4.061 20 0 DIADHN COC[C@@H](N[C@H](c1ccccc1)c1ccccc1OC)C(C)C ZINC000540168883 1039436289 /nfs/dbraw/zinc/43/62/89/1039436289.db2.gz NYXASDXDPNKMGJ-UYAOXDASSA-N 0 3 313.441 4.045 20 0 DIADHN C[C@H](NCCc1ccc(O)cc1)c1nc(-c2ccccc2)cs1 ZINC000540168340 1039436850 /nfs/dbraw/zinc/43/68/50/1039436850.db2.gz GQNVZZBDEXLWFR-AWEZNQCLSA-N 0 3 324.449 4.409 20 0 DIADHN c1ccc2ncc(CN[C@H]3CSCCc4ccccc43)cc2c1 ZINC000540172800 1039437684 /nfs/dbraw/zinc/43/76/84/1039437684.db2.gz WPSNZUQTQURATL-FQEVSTJZSA-N 0 3 320.461 4.355 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)c(C)c1 ZINC000540165427 1039443089 /nfs/dbraw/zinc/44/30/89/1039443089.db2.gz RCCPVYUIMYDVLQ-SFHVURJKSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccccc1OC(F)(F)F ZINC000540185739 1039447397 /nfs/dbraw/zinc/44/73/97/1039447397.db2.gz ZGAMFIMCRQLBPU-MRVPVSSYSA-N 0 3 301.230 4.188 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC[C@H](c2nc3ccccc3o2)C1 ZINC000628129882 1039466403 /nfs/dbraw/zinc/46/64/03/1039466403.db2.gz ZGYAXRYTTVLASD-AWEZNQCLSA-N 0 3 323.396 4.073 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC[C@@H](c2nc3ccccc3o2)C1 ZINC000628129883 1039467058 /nfs/dbraw/zinc/46/70/58/1039467058.db2.gz ZGYAXRYTTVLASD-CQSZACIVSA-N 0 3 323.396 4.073 20 0 DIADHN CCCCCc1ccc([C@H](NCc2cnn(C)c2)C2CC2)cc1 ZINC000540302074 1039469647 /nfs/dbraw/zinc/46/96/47/1039469647.db2.gz OVXBEGHZJOKLET-FQEVSTJZSA-N 0 3 311.473 4.394 20 0 DIADHN Cc1cccn2c(CN[C@H]3CCCc4c(C)cccc43)cnc12 ZINC000657796077 1039470462 /nfs/dbraw/zinc/47/04/62/1039470462.db2.gz BRUKMHPVGCAKBJ-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@H]2CCc3ccccc3N2)c(C)s1 ZINC000650760798 1039474531 /nfs/dbraw/zinc/47/45/31/1039474531.db2.gz MIFQMKSAPVKZBV-MLGOLLRUSA-N 0 3 315.486 4.228 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1ccc(-c2cc[nH]n2)o1 ZINC000657798502 1039478187 /nfs/dbraw/zinc/47/81/87/1039478187.db2.gz PQQUWNBCXYCXJX-KRWDZBQOSA-N 0 3 307.397 4.145 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2CCCc3c(C)cccc32)cn1 ZINC000657798369 1039478355 /nfs/dbraw/zinc/47/83/55/1039478355.db2.gz ORVWSWMOWKGJAZ-LJQANCHMSA-N 0 3 309.457 4.013 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(F)c(COC)c2)cc1 ZINC000151179414 1039482976 /nfs/dbraw/zinc/48/29/76/1039482976.db2.gz KSCUUUVDPYZZIO-CQSZACIVSA-N 0 3 301.405 4.385 20 0 DIADHN CC[C@H](NCC(C)(C)c1c(F)cccc1Cl)c1nccn1C ZINC000540358920 1039483112 /nfs/dbraw/zinc/48/31/12/1039483112.db2.gz ARWDEUBTABGMQB-AWEZNQCLSA-N 0 3 323.843 4.231 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cc(C)ccc1C)c1ccc(C)cc1 ZINC000540360739 1039483530 /nfs/dbraw/zinc/48/35/30/1039483530.db2.gz ZSQFTQFUAGTADJ-GOSISDBHSA-N 0 3 310.441 4.291 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000540401612 1039486655 /nfs/dbraw/zinc/48/66/55/1039486655.db2.gz UQKBMDIMMTXXAO-ROUUACIJSA-N 0 3 324.468 4.163 20 0 DIADHN COC[C@@H](NCCSc1ccccc1)c1ccc(F)c(F)c1 ZINC000540423919 1039490290 /nfs/dbraw/zinc/49/02/90/1039490290.db2.gz MITIVHOHZREINR-QGZVFWFLSA-N 0 3 323.408 4.034 20 0 DIADHN COc1cccc(CNCc2ccc(C(F)(F)F)cc2)c1C ZINC000657802192 1039490463 /nfs/dbraw/zinc/49/04/63/1039490463.db2.gz UWYRCQKDJZNZSK-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN Cc1ccccc1C1(CN[C@@H](C)c2nccn2C)CCCCC1 ZINC000540418799 1039491914 /nfs/dbraw/zinc/49/19/14/1039491914.db2.gz NTWDOLVDOBGHRQ-KRWDZBQOSA-N 0 3 311.473 4.281 20 0 DIADHN Clc1cccc([C@@H](NC[C@@H]2CCSC2)c2ccccn2)c1 ZINC000278477647 1039493436 /nfs/dbraw/zinc/49/34/36/1039493436.db2.gz KCEXMGUJIRLOGP-SUMWQHHRSA-N 0 3 318.873 4.167 20 0 DIADHN Clc1cccc([C@@H](NC[C@H]2CCSC2)c2ccccn2)c1 ZINC000278477635 1039494695 /nfs/dbraw/zinc/49/46/95/1039494695.db2.gz KCEXMGUJIRLOGP-CXAGYDPISA-N 0 3 318.873 4.167 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1csc(COc2ccc(F)cc2)n1 ZINC000540467223 1039498998 /nfs/dbraw/zinc/49/89/98/1039498998.db2.gz FEMGQHGICNLUGZ-CHWSQXEVSA-N 0 3 322.449 4.385 20 0 DIADHN Nc1cccc(CN(Cc2ccccc2C(F)(F)F)C2CC2)c1 ZINC000261853150 1039500265 /nfs/dbraw/zinc/50/02/65/1039500265.db2.gz JRPDMXBISMWVKB-UHFFFAOYSA-N 0 3 320.358 4.452 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc(C)c(C)c2)ccc1F ZINC000151197100 1039500287 /nfs/dbraw/zinc/50/02/87/1039500287.db2.gz SRYAHLNMNJWPLA-OAHLLOKOSA-N 0 3 301.405 4.440 20 0 DIADHN C[C@@H]1CN(Cc2cn(C)nc2-c2ccccc2)[C@@H]1c1ccccc1 ZINC000540505043 1039506958 /nfs/dbraw/zinc/50/69/58/1039506958.db2.gz OQMVDOJCZPLOAD-IERDGZPVSA-N 0 3 317.436 4.280 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCCC4(CC4)CC3)o2)c1 ZINC000626113710 1039507060 /nfs/dbraw/zinc/50/70/60/1039507060.db2.gz CEMPHRWURWQCIK-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)Cc1ccc2c(c1)ncn2C ZINC000540506504 1039507776 /nfs/dbraw/zinc/50/77/76/1039507776.db2.gz MQXYBCDGNGOQSJ-ZDUSSCGKSA-N 0 3 313.832 4.420 20 0 DIADHN CC[C@H](N[C@@H](C)c1ncc(-c2ccccc2)o1)C1CCOCC1 ZINC000540520277 1039510618 /nfs/dbraw/zinc/51/06/18/1039510618.db2.gz DGRDVHATNCGKPG-YOEHRIQHSA-N 0 3 314.429 4.197 20 0 DIADHN CC(C)COc1ccc(CN[C@@H](c2cccnc2)C2CC2)cc1 ZINC000536166182 1039516761 /nfs/dbraw/zinc/51/67/61/1039516761.db2.gz QQJASUBCOKLKKD-HXUWFJFHSA-N 0 3 310.441 4.357 20 0 DIADHN COc1cc(CN(Cc2ccccc2C)C2CC2)cc(OC)c1 ZINC000583948067 1039519445 /nfs/dbraw/zinc/51/94/45/1039519445.db2.gz SJTLYSSNOADEEU-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2ccccc2)c2ccncc2)C[C@H]1OC ZINC000540597897 1039523394 /nfs/dbraw/zinc/52/33/94/1039523394.db2.gz WAVXXSNDNOSONN-VAMGGRTRSA-N 0 3 324.468 4.354 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccccc1F ZINC000540607672 1039527295 /nfs/dbraw/zinc/52/72/95/1039527295.db2.gz UCKVONFOKYVRCA-NJSLBKSFSA-N 0 3 317.404 4.261 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cccc3c2OCCO3)cc1 ZINC000540611276 1039528797 /nfs/dbraw/zinc/52/87/97/1039528797.db2.gz HNMHKCPSAZMGLD-UHFFFAOYSA-N 0 3 311.425 4.045 20 0 DIADHN C[C@H](N[C@H]1C[C@H]2CCC(C)(C)c3cccc1c32)c1cnn(C)c1 ZINC000540660728 1039539314 /nfs/dbraw/zinc/53/93/14/1039539314.db2.gz VVKCKKAVNYHOAG-IYOUNJFTSA-N 0 3 309.457 4.371 20 0 DIADHN C[C@@H](O)[C@@H](NCc1ccc(C(C)(C)C)s1)c1ccccc1 ZINC000657813995 1039542734 /nfs/dbraw/zinc/54/27/34/1039542734.db2.gz CBRSTMCNPKKVDG-CXAGYDPISA-N 0 3 303.471 4.257 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cc2ccccc2o1 ZINC000540686507 1039542713 /nfs/dbraw/zinc/54/27/13/1039542713.db2.gz OJYRLIRTEBCUSH-BFUOFWGJSA-N 0 3 313.372 4.438 20 0 DIADHN COc1cc2ccc([C@@H](C)NCc3cccnc3)cc2cc1OC ZINC000657816915 1039554065 /nfs/dbraw/zinc/55/40/65/1039554065.db2.gz LOTZHYBHHCVUEI-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN CC1(C)C[C@@H](N(Cc2ccccc2)Cc2ccccn2)C(C)(C)O1 ZINC000540767916 1039562750 /nfs/dbraw/zinc/56/27/50/1039562750.db2.gz AGAOVIZMPHFWRM-LJQANCHMSA-N 0 3 324.468 4.430 20 0 DIADHN Cc1ccc(C)c([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c1 ZINC000540749667 1039563169 /nfs/dbraw/zinc/56/31/69/1039563169.db2.gz MKPFTHMUOLOWNC-HNNXBMFYSA-N 0 3 309.409 4.372 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2COC3(CCC3)C2)c2cccs2)cc1 ZINC000657822838 1039579180 /nfs/dbraw/zinc/57/91/80/1039579180.db2.gz BYSZEJPPDOZFDG-NVXWUHKLSA-N 0 3 317.429 4.278 20 0 DIADHN Clc1ccc([C@H](N[C@@H]2CCCn3nccc32)C2CC2)cc1 ZINC000657823718 1039581403 /nfs/dbraw/zinc/58/14/03/1039581403.db2.gz AJJRFYKTBAUWKV-NVXWUHKLSA-N 0 3 301.821 4.112 20 0 DIADHN c1cc2n(n1)CCC[C@H]2N[C@@H](Cc1ccccc1)c1ccccc1 ZINC000657823774 1039587002 /nfs/dbraw/zinc/58/70/02/1039587002.db2.gz BJTRNDHOSWFJCM-UXHICEINSA-N 0 3 317.436 4.292 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657825117 1039589893 /nfs/dbraw/zinc/58/98/93/1039589893.db2.gz MEMPKEAUONVDBN-ICSRJNTNSA-N 0 3 323.436 4.086 20 0 DIADHN COc1ccc([C@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657825116 1039590445 /nfs/dbraw/zinc/59/04/45/1039590445.db2.gz MEMPKEAUONVDBN-AZUAARDMSA-N 0 3 323.436 4.086 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2sccc2OC)cc1 ZINC000278610985 1039591550 /nfs/dbraw/zinc/59/15/50/1039591550.db2.gz FPZDLVANNPEPFD-ZDUSSCGKSA-N 0 3 304.459 4.429 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)c(C)o1 ZINC000278623944 1039602451 /nfs/dbraw/zinc/60/24/51/1039602451.db2.gz GJTIWOGBOCUQBH-LSDHHAIUSA-N 0 3 309.413 4.494 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@]3(CC[C@@H](C)C3)C2)c(C)c1 ZINC000541024642 1039611100 /nfs/dbraw/zinc/61/11/00/1039611100.db2.gz PLVZVPIOGVQDCF-OXFYSEKESA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H]1CCC[C@@H](CNCc2ncc(Br)s2)C1 ZINC000714937206 1039613728 /nfs/dbraw/zinc/61/37/28/1039613728.db2.gz QICHDRRMNCZGLA-GHMZBOCLSA-N 0 3 317.296 4.212 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H]3[C@@H]3C[C@@H](C)CC[C@@H]3O)c2c1 ZINC000541077357 1039618055 /nfs/dbraw/zinc/61/80/55/1039618055.db2.gz PNOOMGBJGGNAPZ-OQKHSGFDSA-N 0 3 324.468 4.309 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCC[C@@H](F)C2)c2cccnc2)cc1 ZINC000584172148 1039627133 /nfs/dbraw/zinc/62/71/33/1039627133.db2.gz AFWMRWDHJWHRKR-SQNIBIBYSA-N 0 3 302.368 4.180 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCC[C@H](F)C2)c2cccnc2)cc1 ZINC000584172146 1039627285 /nfs/dbraw/zinc/62/72/85/1039627285.db2.gz AFWMRWDHJWHRKR-KSZLIROESA-N 0 3 302.368 4.180 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000541229272 1039637608 /nfs/dbraw/zinc/63/76/08/1039637608.db2.gz QKZFSYJYHGFQCG-VBHSOAQHSA-N 0 3 321.823 4.318 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@@H](C)C1 ZINC000248558858 1039638292 /nfs/dbraw/zinc/63/82/92/1039638292.db2.gz LVHROFVLXNWHEU-XUJVJEKNSA-N 0 3 319.836 4.304 20 0 DIADHN COc1ccccc1CNC[C@@H](Cc1ccccc1)C(F)(F)F ZINC000584201717 1039638706 /nfs/dbraw/zinc/63/87/06/1039638706.db2.gz HNUHXOJDTYVQCW-MRXNPFEDSA-N 0 3 323.358 4.206 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccccc3Cl)o2)[C@@H](C)C1 ZINC000248556494 1039638963 /nfs/dbraw/zinc/63/89/63/1039638963.db2.gz KWUFDKLJIUDMKM-TYNCELHUSA-N 0 3 319.836 4.304 20 0 DIADHN CCC[C@H]1CN([C@@H](C)c2ccccn2)CCN1Cc1ccccc1 ZINC001168437548 1039650962 /nfs/dbraw/zinc/65/09/62/1039650962.db2.gz LDPLDGJFWLODPA-ICSRJNTNSA-N 0 3 323.484 4.129 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657838651 1039662380 /nfs/dbraw/zinc/66/23/80/1039662380.db2.gz DSGQULOXHOPZJA-GFCCVEGCSA-N 0 3 306.356 4.016 20 0 DIADHN C[C@@H]1OCC[C@]1(C)NCc1ccc(-c2ccccc2Cl)o1 ZINC000541385273 1039677740 /nfs/dbraw/zinc/67/77/40/1039677740.db2.gz BOKDZMZPZYMBTC-SJCJKPOMSA-N 0 3 305.805 4.257 20 0 DIADHN Cc1ccc([C@@H]2CCN([C@H](C)C(=O)Nc3ccccc3C)C2)cc1 ZINC000541393349 1039679810 /nfs/dbraw/zinc/67/98/10/1039679810.db2.gz OMWSQUCYJGLSRV-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657846493 1039696296 /nfs/dbraw/zinc/69/62/96/1039696296.db2.gz YIKHDTKTZXHTST-LRDDRELGSA-N 0 3 306.356 4.058 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccccc3F)o2)CCO[C@H]1C1CC1 ZINC000541535989 1039700631 /nfs/dbraw/zinc/70/06/31/1039700631.db2.gz ZUBXHHBRIPHIFU-OALUTQOASA-N 0 3 315.388 4.133 20 0 DIADHN CCCCOc1ncccc1CN[C@@H](C)C(C)(C)C(F)F ZINC000657850594 1039702935 /nfs/dbraw/zinc/70/29/35/1039702935.db2.gz WGWQHOLLLJKNIO-LBPRGKRZSA-N 0 3 300.393 4.030 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)C(=O)c1cccc(CN(C)C)c1 ZINC000081392744 1039713573 /nfs/dbraw/zinc/71/35/73/1039713573.db2.gz NIPRDOREENNZRV-HXUWFJFHSA-N 0 3 324.468 4.218 20 0 DIADHN C[C@H](CNCc1coc(-c2cccs2)n1)Cc1ccccc1 ZINC000541588550 1039714253 /nfs/dbraw/zinc/71/42/53/1039714253.db2.gz KAKKJHRPXLXHHT-AWEZNQCLSA-N 0 3 312.438 4.372 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@@H](C)Cc2ccccc2)c1C ZINC000541588649 1039714336 /nfs/dbraw/zinc/71/43/36/1039714336.db2.gz RJSWTCGHAGSAJO-INIZCTEOSA-N 0 3 324.468 4.053 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C)c1ccccc1)c1cccs1 ZINC000541629598 1039718118 /nfs/dbraw/zinc/71/81/18/1039718118.db2.gz WEJUUZTVWZZEOO-MRXNPFEDSA-N 0 3 316.470 4.088 20 0 DIADHN CC(C)COC[C@@H](NC[C@H](C)c1ccccc1)c1ccco1 ZINC000541626713 1039719082 /nfs/dbraw/zinc/71/90/82/1039719082.db2.gz DEERAJZILPPOML-FUHWJXTLSA-N 0 3 301.430 4.387 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)CN[C@H](CC(C)C)c1cccs1 ZINC000541627238 1039719329 /nfs/dbraw/zinc/71/93/29/1039719329.db2.gz PAZJIHMHTKDWLM-HZPDHXFCSA-N 0 3 322.518 4.216 20 0 DIADHN CC(C)OC(=O)c1ccc(CNC(C)(C)c2cccs2)cc1 ZINC000541644875 1039724397 /nfs/dbraw/zinc/72/43/97/1039724397.db2.gz URYUSPGHSCTYSF-UHFFFAOYSA-N 0 3 317.454 4.338 20 0 DIADHN CC[C@@H](NCCc1cccc(Cl)c1Cl)c1ccn(C)n1 ZINC000541741323 1039736971 /nfs/dbraw/zinc/73/69/71/1039736971.db2.gz NFCXFFJFTPRDDI-CYBMUJFWSA-N 0 3 312.244 4.010 20 0 DIADHN COc1ccccc1/C=C\CN1CCC(Cc2cccnc2)CC1 ZINC000541765068 1039740134 /nfs/dbraw/zinc/74/01/34/1039740134.db2.gz RWJAHLYLOWUSJQ-YVMONPNESA-N 0 3 322.452 4.058 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CN(C)Cc3ccccc31)[C@@H](C)C2 ZINC000541847792 1039747086 /nfs/dbraw/zinc/74/70/86/1039747086.db2.gz UNHJFZCMAVSCEI-IWMITWMQSA-N 0 3 306.453 4.005 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](Cc1ccccc1)c1ccc(C)c(C)c1 ZINC000084674877 1039747135 /nfs/dbraw/zinc/74/71/35/1039747135.db2.gz SCYHYRDHILSTRK-NRFANRHFSA-N 0 3 319.452 4.409 20 0 DIADHN CC(C)[C@@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(F)cc1 ZINC000085298814 1039755652 /nfs/dbraw/zinc/75/56/52/1039755652.db2.gz NPOBHCYXAQIQAZ-GCJKJVERSA-N 0 3 319.445 4.050 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2cccc(F)c2)cc1 ZINC000086279453 1039757796 /nfs/dbraw/zinc/75/77/96/1039757796.db2.gz JVDWLGKFMYIHOP-AWEZNQCLSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)[C@@H](NCc1ccc2c(c1)OCO2)c1ccc(F)c(F)c1 ZINC000541915046 1039761791 /nfs/dbraw/zinc/76/17/91/1039761791.db2.gz WCMHWIRIRWNIRB-GOSISDBHSA-N 0 3 319.351 4.180 20 0 DIADHN CC(C)(C)[C@H](N[C@H]1CCCn2nccc21)c1ccc(F)cc1 ZINC000657859784 1039768639 /nfs/dbraw/zinc/76/86/39/1039768639.db2.gz XRKWFWPHDAUJQL-DOTOQJQBSA-N 0 3 301.409 4.234 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3ccc(Oc4ccccc4)nn3)C2)C1 ZINC000541953423 1039769025 /nfs/dbraw/zinc/76/90/25/1039769025.db2.gz LGTDERJPKMNBCV-UZLBHIALSA-N 0 3 323.440 4.281 20 0 DIADHN CC(C)(C)[C@@H](N[C@H]1CCCn2nccc21)c1ccc(F)cc1 ZINC000657859791 1039771633 /nfs/dbraw/zinc/77/16/33/1039771633.db2.gz XRKWFWPHDAUJQL-RDJZCZTQSA-N 0 3 301.409 4.234 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2c3ccccc3C[C@H]2C)c1 ZINC000089613671 1039777536 /nfs/dbraw/zinc/77/75/36/1039777536.db2.gz LGPOFXYFOFLOKF-LAPQFRIASA-N 0 3 308.425 4.229 20 0 DIADHN CCCC[C@@H](COC)N[C@@H](C)c1nc(-c2ccncc2)cs1 ZINC000090045853 1039789728 /nfs/dbraw/zinc/78/97/28/1039789728.db2.gz DHRUGAZYLOTXFG-ZFWWWQNUSA-N 0 3 319.474 4.061 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000090218741 1039793860 /nfs/dbraw/zinc/79/38/60/1039793860.db2.gz NIHIYHPFVZSEBF-GOEBONIOSA-N 0 3 302.393 4.037 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCn3nccc32)C2CCCC2)cc1 ZINC000657859882 1039803578 /nfs/dbraw/zinc/80/35/78/1039803578.db2.gz VGGDARPQMLIOLN-PKOBYXMFSA-N 0 3 313.420 4.378 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1cccnc1Cl ZINC000090948784 1039807284 /nfs/dbraw/zinc/80/72/84/1039807284.db2.gz IGLYNMCQQNISDS-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN c1cc2c(s1)CCC[C@H]2NCc1ccnc(OC2CCC2)c1 ZINC000090911883 1039809467 /nfs/dbraw/zinc/80/94/67/1039809467.db2.gz XZPONQQAODSPOP-MRXNPFEDSA-N 0 3 314.454 4.242 20 0 DIADHN C[C@H](NCCC[C@H]1CCCC[C@@H]1O)c1nc2c(s1)CCCC2 ZINC000090544269 1039811207 /nfs/dbraw/zinc/81/12/07/1039811207.db2.gz HQGNUVWXUAIFJK-LZWOXQAQSA-N 0 3 322.518 4.004 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CCCc3sccc32)cc1 ZINC000090843906 1039819215 /nfs/dbraw/zinc/81/92/15/1039819215.db2.gz VUYMOTOJIFUWPV-IAGOWNOFSA-N 0 3 301.455 4.011 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CO)c2ccc(CC)cc2)s1 ZINC000090844380 1039820160 /nfs/dbraw/zinc/82/01/60/1039820160.db2.gz DZGKEKPIYOWFML-CXAGYDPISA-N 0 3 303.471 4.257 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](C)c2cc(C)sc2C)cc1 ZINC000090843795 1039821532 /nfs/dbraw/zinc/82/15/32/1039821532.db2.gz MAGUMADRXKMIGR-UGSOOPFHSA-N 0 3 303.471 4.312 20 0 DIADHN CCCCN(Cc1ccc(OC)nn1)[C@H](CC)c1ccccc1 ZINC000669929990 1039823936 /nfs/dbraw/zinc/82/39/36/1039823936.db2.gz WYINYOQBTCAVNC-GOSISDBHSA-N 0 3 313.445 4.239 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCC2)O1)c1cc(F)c(F)c(F)c1 ZINC000669932629 1039827141 /nfs/dbraw/zinc/82/71/41/1039827141.db2.gz LQENMUMCWTVDHB-YPMHNXCESA-N 0 3 313.363 4.246 20 0 DIADHN CC(C)COC[C@H](N[C@H](C)C1CC(F)(F)C1)c1ccco1 ZINC000628210716 1039837327 /nfs/dbraw/zinc/83/73/27/1039837327.db2.gz HDLCALCDOQRWCU-OCCSQVGLSA-N 0 3 301.377 4.017 20 0 DIADHN C[C@@H](NCc1nc2cc(C(F)(F)F)ccc2o1)C(C)(C)C ZINC000137397555 1039868816 /nfs/dbraw/zinc/86/88/16/1039868816.db2.gz RCYSGXHZTNOZBC-SECBINFHSA-N 0 3 300.324 4.371 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccc(F)cc1F)c1cccnc1Cl ZINC000151856040 1039891315 /nfs/dbraw/zinc/89/13/15/1039891315.db2.gz UZRWVCLLNMUXFK-GHMZBOCLSA-N 0 3 310.775 4.468 20 0 DIADHN Clc1ccc(CN(Cc2ccccc2)CC2CCOCC2)o1 ZINC000093342054 1039893214 /nfs/dbraw/zinc/89/32/14/1039893214.db2.gz XHAXKRVCQGRWMX-UHFFFAOYSA-N 0 3 319.832 4.362 20 0 DIADHN CC(C)(Cc1ccccc1Cl)NCc1cnc(C2CC2)o1 ZINC000628214329 1039894845 /nfs/dbraw/zinc/89/48/45/1039894845.db2.gz SSQCCKQHUZYHTK-UHFFFAOYSA-N 0 3 304.821 4.316 20 0 DIADHN CSC[C@H]1CCCN1Cc1cnc(-c2ccc(C)o2)s1 ZINC000093761544 1039901303 /nfs/dbraw/zinc/90/13/03/1039901303.db2.gz UDNCUVXLOXJAIP-GFCCVEGCSA-N 0 3 308.472 4.039 20 0 DIADHN Cc1cc(CN(Cc2cccnc2)C(C)C)c2ccccc2n1 ZINC000093225757 1039901629 /nfs/dbraw/zinc/90/16/29/1039901629.db2.gz IGZOXIQXFKCOFI-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2ncccc2C(F)(F)F)C1 ZINC000093868541 1039904115 /nfs/dbraw/zinc/90/41/15/1039904115.db2.gz JXAAFJNJCIFCIR-NWDGAFQWSA-N 0 3 318.408 4.206 20 0 DIADHN Cc1n[nH]cc1CN[C@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000094014461 1039909393 /nfs/dbraw/zinc/90/93/93/1039909393.db2.gz VIIOQICLYYLSFD-CYBMUJFWSA-N 0 3 309.388 4.375 20 0 DIADHN COc1cc(F)cc(CNCc2cccc(-c3cccnc3)c2)c1 ZINC000093970786 1039909789 /nfs/dbraw/zinc/90/97/89/1039909789.db2.gz PYSBAOMOVIXBLP-UHFFFAOYSA-N 0 3 322.383 4.186 20 0 DIADHN CCCN(Cc1coc(-c2ccc(F)c(F)c2)n1)CC1CC1 ZINC000492054447 1039910768 /nfs/dbraw/zinc/91/07/68/1039910768.db2.gz NBRRSPKFCZPTFM-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN C[C@@H](Cc1ccsc1)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000093400050 1039910924 /nfs/dbraw/zinc/91/09/24/1039910924.db2.gz OTESZQDBYLOVGU-RYUDHWBXSA-N 0 3 306.500 4.365 20 0 DIADHN Cc1cccc(-c2cccc(CN[C@@H]3CCCn4nccc43)c2)c1 ZINC000657867923 1039917035 /nfs/dbraw/zinc/91/70/35/1039917035.db2.gz VZSKQGFWTKIRKY-HXUWFJFHSA-N 0 3 317.436 4.483 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1nc(-c2cccs2)no1 ZINC000669944755 1039919467 /nfs/dbraw/zinc/91/94/67/1039919467.db2.gz ABKHJORDTLSVON-GFCCVEGCSA-N 0 3 305.447 4.199 20 0 DIADHN CC[C@@H](C)[C@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492123306 1039922224 /nfs/dbraw/zinc/92/22/24/1039922224.db2.gz XVJIRQWDGZCZOQ-FZKQIMNGSA-N 0 3 319.395 4.051 20 0 DIADHN CCCOc1ccc(CN[C@@H]2c3cc(C)ccc3C[C@H]2C)cn1 ZINC000492122343 1039922356 /nfs/dbraw/zinc/92/23/56/1039922356.db2.gz LVAFGIXJKSMQSW-QRWLVFNGSA-N 0 3 310.441 4.202 20 0 DIADHN CC[C@H](C)[C@@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492123308 1039922449 /nfs/dbraw/zinc/92/24/49/1039922449.db2.gz XVJIRQWDGZCZOQ-UGSOOPFHSA-N 0 3 319.395 4.051 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1Cl)c1ccncc1F ZINC000669945175 1039929469 /nfs/dbraw/zinc/92/94/69/1039929469.db2.gz INNREOOMYMRPKF-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN CC[C@H](O)CNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000492174445 1039930896 /nfs/dbraw/zinc/93/08/96/1039930896.db2.gz BKCZNAAXPDRDOZ-NSHDSACASA-N 0 3 314.212 4.114 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H]2CCCn3nccc32)cc1 ZINC000657869203 1039931529 /nfs/dbraw/zinc/93/15/29/1039931529.db2.gz ZKQCSHJWLAIBMT-BBRMVZONSA-N 0 3 301.459 4.181 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000492206495 1039934375 /nfs/dbraw/zinc/93/43/75/1039934375.db2.gz HBSRLOJTMNWLKT-VXGBXAGGSA-N 0 3 306.356 4.098 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NC/C=C\c1ccncc1 ZINC000492357082 1039955038 /nfs/dbraw/zinc/95/50/38/1039955038.db2.gz MEWMJNNUTNHDTM-PYLYLYNFSA-N 0 3 304.846 4.477 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@H](O)c2ccc(C(C)(C)C)cc2)s1 ZINC000090417328 1039956203 /nfs/dbraw/zinc/95/62/03/1039956203.db2.gz VTFACLMTJJLBFN-WBMJQRKESA-N 0 3 318.486 4.133 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000145606865 1039956542 /nfs/dbraw/zinc/95/65/42/1039956542.db2.gz HLDOAHUISAWODA-ZDUSSCGKSA-N 0 3 305.447 4.199 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1cccc2c[nH]nc21 ZINC000492437806 1039962428 /nfs/dbraw/zinc/96/24/28/1039962428.db2.gz IOIKZNHZSRYZPF-ZDUSSCGKSA-N 0 3 317.845 4.445 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC000413558588 1039962789 /nfs/dbraw/zinc/96/27/89/1039962789.db2.gz WMLJXXCRSQKJDS-OWCLPIDISA-N 0 3 302.462 4.318 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2cc3ccncc3s2)cn1 ZINC000657877675 1039984082 /nfs/dbraw/zinc/98/40/82/1039984082.db2.gz IBECTEQJDCYXQV-QWHCGFSZSA-N 0 3 314.458 4.486 20 0 DIADHN OCc1ccc(CN2CC=C(c3ccc(Cl)cc3)CC2)cc1 ZINC000492705558 1039998119 /nfs/dbraw/zinc/99/81/19/1039998119.db2.gz QUSLXHYHYQCPFT-UHFFFAOYSA-N 0 3 313.828 4.122 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1NCc1cc(-c2ccccc2)n[nH]1 ZINC000135857834 1040005368 /nfs/dbraw/zinc/00/53/68/1040005368.db2.gz HNNLZNPFULYICE-CABCVRRESA-N 0 3 323.362 4.287 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(OCC(F)F)cc2)s1 ZINC000513424690 1040020381 /nfs/dbraw/zinc/02/03/81/1040020381.db2.gz IKNAGASSBHDDOW-UHFFFAOYSA-N 0 3 311.397 4.332 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000492848363 1040026905 /nfs/dbraw/zinc/02/69/05/1040026905.db2.gz VKYPVHIZNUIWOP-RDJZCZTQSA-N 0 3 313.445 4.494 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1cccc(SC)c1 ZINC000302805586 1040030486 /nfs/dbraw/zinc/03/04/86/1040030486.db2.gz CMJCWMCQAHOBFM-UHFFFAOYSA-N 0 3 308.491 4.249 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3occc3c2)cc1OC ZINC000685407612 1040033963 /nfs/dbraw/zinc/03/39/63/1040033963.db2.gz QXOUHLKJQRYTOB-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN CCc1cc(CCCN2CC[C@H](C)C[C@@H]2c2ccco2)on1 ZINC000685417912 1040036553 /nfs/dbraw/zinc/03/65/53/1040036553.db2.gz DMMRQOLWYGRYMJ-WMLDXEAASA-N 0 3 302.418 4.236 20 0 DIADHN CCN(CC(=O)Nc1ccccc1C(C)C)C1CCCCC1 ZINC000302811726 1040038469 /nfs/dbraw/zinc/03/84/69/1040038469.db2.gz OIOQHQPDCZLULM-UHFFFAOYSA-N 0 3 302.462 4.403 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@H](c2ccncc2)C1 ZINC000685416147 1040038918 /nfs/dbraw/zinc/03/89/18/1040038918.db2.gz CMWZTYLJXFZIQT-HNNXBMFYSA-N 0 3 320.358 4.480 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCCC3(C)C)cs2)c1 ZINC000492915420 1040040039 /nfs/dbraw/zinc/04/00/39/1040040039.db2.gz YXMDRVQFACDWQS-INIZCTEOSA-N 0 3 316.470 4.487 20 0 DIADHN CC1(C)CCC[C@H]1NCc1csc(Cc2ccccc2)n1 ZINC000492934626 1040041231 /nfs/dbraw/zinc/04/12/31/1040041231.db2.gz AMTRXMDTQJOKKQ-MRXNPFEDSA-N 0 3 300.471 4.402 20 0 DIADHN C=C/C=C/CCN[C@H](C)c1ccc(OC)cc1Br ZINC001168446678 1040043944 /nfs/dbraw/zinc/04/39/44/1040043944.db2.gz BJJUDJQPYNYFEN-BTDICHCPSA-N 0 3 310.235 4.241 20 0 DIADHN Cc1cc(CN2C[C@H](C(F)(F)F)CC[C@@H]2C)cc(C)c1O ZINC000685426923 1040044634 /nfs/dbraw/zinc/04/46/34/1040044634.db2.gz UMJFJWDKPUHGKQ-GXTWGEPZSA-N 0 3 301.352 4.172 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cc2ccccc2[nH]1)c1ccccc1 ZINC000685429566 1040047255 /nfs/dbraw/zinc/04/72/55/1040047255.db2.gz CWQKAUFVOCKVKD-IBGZPJMESA-N 0 3 308.425 4.017 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1n[nH]c2ccccc21 ZINC000685463625 1040063147 /nfs/dbraw/zinc/06/31/47/1040063147.db2.gz QXIQVRCMAIZBHG-SFHVURJKSA-N 0 3 323.444 4.020 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1[nH]nc2ccccc21 ZINC000685463625 1040063161 /nfs/dbraw/zinc/06/31/61/1040063161.db2.gz QXIQVRCMAIZBHG-SFHVURJKSA-N 0 3 323.444 4.020 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccc(C)cc1)c1ccc(F)cn1 ZINC000493084411 1040085131 /nfs/dbraw/zinc/08/51/31/1040085131.db2.gz ZQCQGBIHLKYEBM-RHSMWYFYSA-N 0 3 302.393 4.037 20 0 DIADHN CO[C@H](C)c1nc(CNC[C@H](C)c2ccc(C)cc2)cs1 ZINC000628226018 1040099995 /nfs/dbraw/zinc/09/99/95/1040099995.db2.gz WZBONKRVTYWEED-UONOGXRCSA-N 0 3 304.459 4.052 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)c1 ZINC000657904230 1040104231 /nfs/dbraw/zinc/10/42/31/1040104231.db2.gz ITSCPHFBKSOLCY-RBUKOAKNSA-N 0 3 311.400 4.216 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1cc2cnccc2o1 ZINC000657908517 1040115674 /nfs/dbraw/zinc/11/56/74/1040115674.db2.gz IOEBPAUJFLQROD-NBQZKYEYSA-N 0 3 322.408 4.256 20 0 DIADHN Fc1cccc(CN2CCC(c3ccc4cccnc4n3)CC2)c1 ZINC000653807187 1040117800 /nfs/dbraw/zinc/11/78/00/1040117800.db2.gz PRAQLAOSJRLUEZ-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC(C)[C@H]1CCN1Cc1sccc1NC(=O)OC(C)(C)C ZINC000892404113 1040120977 /nfs/dbraw/zinc/12/09/77/1040120977.db2.gz YDMTXFWAEFZVLW-CYBMUJFWSA-N 0 3 310.463 4.325 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(C(F)F)nc1 ZINC000657912762 1040126448 /nfs/dbraw/zinc/12/64/48/1040126448.db2.gz JJSRRNGWTRTXGS-ZUZCIYMTSA-N 0 3 306.331 4.496 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cs1 ZINC000294323435 1040153095 /nfs/dbraw/zinc/15/30/95/1040153095.db2.gz WYDCYJUBKKUTRS-OLZOCXBDSA-N 0 3 303.427 4.240 20 0 DIADHN COc1cc(CNCc2ccc3sccc3c2)cc(OC)c1 ZINC000685624807 1040164028 /nfs/dbraw/zinc/16/40/28/1040164028.db2.gz FNDPJGAPDVTBFN-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000685644407 1040178139 /nfs/dbraw/zinc/17/81/39/1040178139.db2.gz WVIIFVMAJFEKAD-KGLIPLIRSA-N 0 3 315.413 4.211 20 0 DIADHN Cc1cc([C@H](C)NCCc2c[nH]c3cc(Cl)ccc23)no1 ZINC000685646275 1040180635 /nfs/dbraw/zinc/18/06/35/1040180635.db2.gz GLOGCHGIGUKIAW-NSHDSACASA-N 0 3 303.793 4.011 20 0 DIADHN COC[C@H](NC[C@H](C)Oc1ccccc1)c1cccc(Cl)c1 ZINC000685650123 1040184547 /nfs/dbraw/zinc/18/45/47/1040184547.db2.gz RMSNKMMQFDDBBF-KSSFIOAISA-N 0 3 319.832 4.085 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)COC2)c1nccc2ccccc21 ZINC000685651031 1040187349 /nfs/dbraw/zinc/18/73/49/1040187349.db2.gz XAGVIPQVTPGXQE-CQSZACIVSA-N 0 3 304.393 4.116 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936980 1040195749 /nfs/dbraw/zinc/19/57/49/1040195749.db2.gz SKRIKHSGJMXDEW-IEBWSBKVSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@@H](N[C@H](C)C(C)(C)C)c1ncc(Br)cc1F ZINC000187197164 1040242018 /nfs/dbraw/zinc/24/20/18/1040242018.db2.gz HQZQZQGNBQTKHV-RKDXNWHRSA-N 0 3 303.219 4.068 20 0 DIADHN COCC[C@H](N[C@@H](C)c1cc2ccncc2s1)c1ccco1 ZINC000657970735 1040228678 /nfs/dbraw/zinc/22/86/78/1040228678.db2.gz FWKDFCICYUWLNZ-JSGCOSHPSA-N 0 3 316.426 4.318 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000294387666 1040241897 /nfs/dbraw/zinc/24/18/97/1040241897.db2.gz JJQJKSYMCBCGPI-XHDPSFHLSA-N 0 3 303.431 4.041 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657995002 1040255630 /nfs/dbraw/zinc/25/56/30/1040255630.db2.gz XYTOXVCPUJHBLN-CXAGYDPISA-N 0 3 320.383 4.448 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCCSCC2)c2ccccn2)cc1 ZINC000657998488 1040257206 /nfs/dbraw/zinc/25/72/06/1040257206.db2.gz LBYGJSRDZSPTEO-HKUYNNGSSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@@H](N[C@@H]1COCc2ccccc21)c1cc2ccncc2s1 ZINC000658036512 1040280705 /nfs/dbraw/zinc/28/07/05/1040280705.db2.gz DBCCKHXZGQOALI-MLGOLLRUSA-N 0 3 310.422 4.218 20 0 DIADHN C[C@@H](N[C@H]1COC(C)(C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000658058991 1040281227 /nfs/dbraw/zinc/28/12/27/1040281227.db2.gz PJBUFSHCHNMZRA-HUUCEWRRSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@H](N[C@H]1COC(C)(C)C1)c1ccc(Oc2ccccc2)cn1 ZINC000658058994 1040282035 /nfs/dbraw/zinc/28/20/35/1040282035.db2.gz PJBUFSHCHNMZRA-LSDHHAIUSA-N 0 3 312.413 4.092 20 0 DIADHN c1c[nH]c([C@H](NCCc2noc3ccccc23)C2CCCCC2)n1 ZINC000658065586 1040288983 /nfs/dbraw/zinc/28/89/83/1040288983.db2.gz ROKGMFUZSZMJHF-GOSISDBHSA-N 0 3 324.428 4.005 20 0 DIADHN CC[C@@H](NCc1cnc(C(F)(F)F)nc1)c1cccc(C)c1 ZINC000658083688 1040294977 /nfs/dbraw/zinc/29/49/77/1040294977.db2.gz ZOMOVAABKCTKAR-CQSZACIVSA-N 0 3 309.335 4.045 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc2occc2c1 ZINC000658115424 1040308026 /nfs/dbraw/zinc/30/80/26/1040308026.db2.gz CDSJURKZAWGNKW-MRXNPFEDSA-N 0 3 311.356 4.358 20 0 DIADHN Cc1cccc([C@H](C)NCc2cccnc2N2CCCC2)c1C ZINC000658101104 1040310310 /nfs/dbraw/zinc/31/03/10/1040310310.db2.gz MRTXIROFSKPVIA-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc([C@@H](NCc2cccnc2N2CCCC2)C2CC2)cc1 ZINC000658103986 1040312449 /nfs/dbraw/zinc/31/24/49/1040312449.db2.gz NNQRZBDMAHUAQV-HXUWFJFHSA-N 0 3 321.468 4.231 20 0 DIADHN Cc1ccncc1CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000658125680 1040323962 /nfs/dbraw/zinc/32/39/62/1040323962.db2.gz JNBADROCSJLATQ-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN COC[C@@H](N[C@@H]1CCCc2ccccc21)c1cccc(OC)c1 ZINC000658162103 1040335155 /nfs/dbraw/zinc/33/51/55/1040335155.db2.gz BTFJAJFCEUVNQH-WOJBJXKFSA-N 0 3 311.425 4.050 20 0 DIADHN COC[C@@H](N[C@@H](C)c1sccc1C)c1cccc(OC)c1 ZINC000658164160 1040338651 /nfs/dbraw/zinc/33/86/51/1040338651.db2.gz MTTUEXMOVAXCCE-XJKSGUPXSA-N 0 3 305.443 4.103 20 0 DIADHN COc1ncc([C@@H](C)NCc2cc(C)cc(C)c2)cc1Cl ZINC000658177493 1040345222 /nfs/dbraw/zinc/34/52/22/1040345222.db2.gz WOTGUBQJGMYMKQ-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN COCCc1nc(C)c([C@H](C)NCc2cc(C)cc(C)c2)s1 ZINC000658177501 1040345836 /nfs/dbraw/zinc/34/58/36/1040345836.db2.gz WQTXDJHBFXJMCI-AWEZNQCLSA-N 0 3 318.486 4.108 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](C)COc1c(F)cccc1F ZINC000658178829 1040346358 /nfs/dbraw/zinc/34/63/58/1040346358.db2.gz WBYHTKHMLLPNFU-QWHCGFSZSA-N 0 3 320.383 4.040 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2c(C3CC3)cnn2C)c2ccccc21 ZINC000658211368 1040352505 /nfs/dbraw/zinc/35/25/05/1040352505.db2.gz FGJQOXXDXAEAJS-PKOBYXMFSA-N 0 3 309.457 4.272 20 0 DIADHN COCc1csc(CN[C@H]2CCCc3c(OC)cccc32)c1 ZINC000658209586 1040357688 /nfs/dbraw/zinc/35/76/88/1040357688.db2.gz HNJMDUYRBCOCQP-KRWDZBQOSA-N 0 3 317.454 4.070 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc(OC)cc2C)c1 ZINC000658211050 1040358226 /nfs/dbraw/zinc/35/82/26/1040358226.db2.gz ZVXXJETZUSLAPO-CYBMUJFWSA-N 0 3 305.443 4.062 20 0 DIADHN C[C@@H](NCc1ccc(Cl)c(Cl)c1)c1cccc(CO)c1 ZINC000658210133 1040359161 /nfs/dbraw/zinc/35/91/61/1040359161.db2.gz VOQXKWOWFOEVCY-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN CC[C@H](NCc1cc(COC)cs1)c1cc(C)ccc1OC ZINC000658209578 1040359691 /nfs/dbraw/zinc/35/96/91/1040359691.db2.gz HKZPTJOUZCOKFH-KRWDZBQOSA-N 0 3 319.470 4.452 20 0 DIADHN C[C@]1(CCN[C@@H](c2ccc(F)cc2)c2ccccn2)CC1(F)F ZINC000658219932 1040361382 /nfs/dbraw/zinc/36/13/82/1040361382.db2.gz KHTRNCJLOGJAIR-IRXDYDNUSA-N 0 3 320.358 4.335 20 0 DIADHN C[C@@H](NCC[C@@]1(C)CC1(F)F)c1nc(C(F)(F)F)cs1 ZINC000658218443 1040361571 /nfs/dbraw/zinc/36/15/71/1040361571.db2.gz DSFLLIWCSRCBIB-XCBNKYQSSA-N 0 3 314.323 4.248 20 0 DIADHN C[C@]1(CCN[C@@H](c2ccccc2)c2ccc(F)cn2)CC1(F)F ZINC000658223218 1040366949 /nfs/dbraw/zinc/36/69/49/1040366949.db2.gz SWEDVDOODCGNRR-IRXDYDNUSA-N 0 3 320.358 4.335 20 0 DIADHN CC(C)[C@H](NCc1c(C2CC2)cnn1C)c1ccc(Cl)cc1 ZINC000658213768 1040371869 /nfs/dbraw/zinc/37/18/69/1040371869.db2.gz UGZTWYJHUWGVDE-SFHVURJKSA-N 0 3 317.864 4.438 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccc(Br)cc1F ZINC000658216230 1040375847 /nfs/dbraw/zinc/37/58/47/1040375847.db2.gz AOLBVOUXBGLUPS-PELKAZGASA-N 0 3 322.168 4.284 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)NCc2c(C3CC3)cnn2C)cc1 ZINC000658215682 1040376801 /nfs/dbraw/zinc/37/68/01/1040376801.db2.gz LKMRETVAMWMGIY-OAHLLOKOSA-N 0 3 311.473 4.347 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2c3cc(C)ccc3C[C@@H]2C)cc1O ZINC000658244781 1040385494 /nfs/dbraw/zinc/38/54/94/1040385494.db2.gz MXVXINUKFQJNCH-PJSUUKDQSA-N 0 3 311.425 4.293 20 0 DIADHN Cc1ccc([C@H](NCc2c(C3CCC3)cnn2C)C2CC2)cc1 ZINC000658269234 1040400170 /nfs/dbraw/zinc/40/01/70/1040400170.db2.gz UWHBVHLXXFNGOG-FQEVSTJZSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2c(C3CCC3)cnn2C)cc1C ZINC000658269385 1040400692 /nfs/dbraw/zinc/40/06/92/1040400692.db2.gz NYOQTHSXZDXKCA-INIZCTEOSA-N 0 3 311.473 4.464 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@H]1CCc2c1cccc2F)c1ccccc1 ZINC000658289251 1040405823 /nfs/dbraw/zinc/40/58/23/1040405823.db2.gz YMSIYVVCRUPDFV-UFYCRDLUSA-N 0 3 313.416 4.161 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@H]1CCCc2sccc21)c1ccccc1 ZINC000658289019 1040406083 /nfs/dbraw/zinc/40/60/83/1040406083.db2.gz VFQDQAXGUHLRQK-UHOSZYNNSA-N 0 3 315.482 4.473 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000658288066 1040406967 /nfs/dbraw/zinc/40/69/67/1040406967.db2.gz MKKVABJUZZLBHF-WBAXXEDZSA-N 0 3 303.471 4.465 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(Br)cc2)cnc1F ZINC000892431629 1040416147 /nfs/dbraw/zinc/41/61/47/1040416147.db2.gz UEYFWBYXGSNPRX-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN Cc1nc([C@@H](C)NCCc2nsc3ccccc23)c(C)s1 ZINC000658316337 1040416623 /nfs/dbraw/zinc/41/66/23/1040416623.db2.gz DYINYUUASROMMI-SNVBAGLBSA-N 0 3 317.483 4.263 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCc4c3cccc4OC)CCC2)c1 ZINC000658324137 1040422333 /nfs/dbraw/zinc/42/23/33/1040422333.db2.gz YECBCYFACBUUNH-LJQANCHMSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CC[C@@H](C)c2ccsc21)c1ccccc1 ZINC000658356890 1040436738 /nfs/dbraw/zinc/43/67/38/1040436738.db2.gz MVDFDKVGULASNM-OSRSDYAFSA-N 0 3 301.455 4.398 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](c2ccccc2)[C@H](C)O)cc1 ZINC000658360251 1040439309 /nfs/dbraw/zinc/43/93/09/1040439309.db2.gz HOOQGPTUAIKJNU-FTRWYGJKSA-N 0 3 313.441 4.247 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1ccccc1C(F)(F)F)c1ccccc1 ZINC000658360819 1040439406 /nfs/dbraw/zinc/43/94/06/1040439406.db2.gz KANJNRXFBFIRBU-OGHNNQOOSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000658360651 1040442007 /nfs/dbraw/zinc/44/20/07/1040442007.db2.gz ISPTXBBYHMUJQS-UYHISHBKSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000658363524 1040444515 /nfs/dbraw/zinc/44/45/15/1040444515.db2.gz WHDNFAVFTYDDQI-BKTGTZMESA-N 0 3 315.844 4.429 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccsc1 ZINC000294466617 1040447148 /nfs/dbraw/zinc/44/71/48/1040447148.db2.gz VALVIAUOUGMUJF-WFASDCNBSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1nc(CNCCCO[C@@H](C)c2ccccc2)sc1C ZINC000892467401 1040491780 /nfs/dbraw/zinc/49/17/80/1040491780.db2.gz HONHETOCPJHYLD-AWEZNQCLSA-N 0 3 304.459 4.017 20 0 DIADHN Cc1nc(C)c(CNCCc2ccc(-c3ccccc3)cc2)o1 ZINC000628229658 1040514509 /nfs/dbraw/zinc/51/45/09/1040514509.db2.gz CAZKMLVMTYYXGQ-UHFFFAOYSA-N 0 3 306.409 4.291 20 0 DIADHN Cc1ncc(CNCC2(Sc3ccc(C)cc3)CCCC2)o1 ZINC000628225292 1040514700 /nfs/dbraw/zinc/51/47/00/1040514700.db2.gz UMHIECNSMBZKML-UHFFFAOYSA-N 0 3 316.470 4.486 20 0 DIADHN CCCC(CCC)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000658707693 1040516621 /nfs/dbraw/zinc/51/66/21/1040516621.db2.gz FWVFAFBXCZUDOP-UHFFFAOYSA-N 0 3 316.489 4.330 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C)cc(C(F)(F)F)c2)[C@H](C)C1 ZINC001143463424 1040556295 /nfs/dbraw/zinc/55/62/95/1040556295.db2.gz ZMGCPVOGBGCDBV-DOMZBBRYSA-N 0 3 301.352 4.013 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)nc1)c1ccc2ncsc2c1 ZINC000631114533 1040558279 /nfs/dbraw/zinc/55/82/79/1040558279.db2.gz FAOQFJWDZLSCRR-SNVBAGLBSA-N 0 3 319.380 4.480 20 0 DIADHN Cc1c([C@H](C)NCc2ccc(-c3ccsc3)cc2)cnn1C ZINC000278791893 1040566038 /nfs/dbraw/zinc/56/60/38/1040566038.db2.gz ODTBPCIVMGUPGO-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN CCC[C@H](N[C@@H](C)Cc1ccccn1)c1ncccc1Cl ZINC000926669063 1040590726 /nfs/dbraw/zinc/59/07/26/1040590726.db2.gz NKLDYFWWAIIYIZ-BBRMVZONSA-N 0 3 303.837 4.192 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2ccc3c[nH]nc3c2)c1 ZINC000236598208 1040596633 /nfs/dbraw/zinc/59/66/33/1040596633.db2.gz FJYLKBRAXXWIOG-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1NC(C)(C)C)N1[C@H](C)CC[C@@H]1C ZINC000413744512 1040599877 /nfs/dbraw/zinc/59/98/77/1040599877.db2.gz HRTSDXLURMNIQS-QLFBSQMISA-N 0 3 317.477 4.097 20 0 DIADHN c1cc2cccc(CNCc3ccccc3OC3CCC3)c2[nH]1 ZINC000236622031 1040610600 /nfs/dbraw/zinc/61/06/00/1040610600.db2.gz LBUVWUNNAIUSOI-UHFFFAOYSA-N 0 3 306.409 4.389 20 0 DIADHN Cc1ccccc1OCCN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000780386135 1040629915 /nfs/dbraw/zinc/62/99/15/1040629915.db2.gz UTBSZUWFZQKDQT-ZIAGYGMSSA-N 0 3 301.352 4.037 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H]1COc2c1ccc(C)c2C ZINC000520959363 1040641560 /nfs/dbraw/zinc/64/15/60/1040641560.db2.gz DNOHKOOLJQLGMF-XOWYPZSQSA-N 0 3 309.409 4.049 20 0 DIADHN CC[C@@H](CO)N(Cc1ccc(SC)s1)Cc1ccccc1 ZINC000570253606 1040679996 /nfs/dbraw/zinc/67/99/96/1040679996.db2.gz MMGXXSPYGNQWTM-HNNXBMFYSA-N 0 3 321.511 4.243 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1cnc(C)o1 ZINC000628319292 1040709706 /nfs/dbraw/zinc/70/97/06/1040709706.db2.gz YXJACOIPEOOTRM-UHFFFAOYSA-N 0 3 312.335 4.066 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1sccc1Br ZINC000309630318 1040714236 /nfs/dbraw/zinc/71/42/36/1040714236.db2.gz RBSFLYLLSCZVKT-HTQZYQBOSA-N 0 3 310.207 4.349 20 0 DIADHN CC(C)n1nccc1CN[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000280789382 1040724990 /nfs/dbraw/zinc/72/49/90/1040724990.db2.gz GICXIOFHTCNEPE-KRWDZBQOSA-N 0 3 303.425 4.480 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000924560799 1040735675 /nfs/dbraw/zinc/73/56/75/1040735675.db2.gz ZIJLYYYGWNFRKS-LSDHHAIUSA-N 0 3 313.441 4.334 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(N2CCCC2=O)cc1)c1ccsc1 ZINC000177746382 1040766351 /nfs/dbraw/zinc/76/63/51/1040766351.db2.gz WADDBYRJLBKBGX-UONOGXRCSA-N 0 3 314.454 4.287 20 0 DIADHN CCN(Cc1[nH]ncc1C)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000685833993 1040766602 /nfs/dbraw/zinc/76/66/02/1040766602.db2.gz GLHNPRIUBLVQQB-GFCCVEGCSA-N 0 3 311.351 4.320 20 0 DIADHN CCN(Cc1[nH]ncc1C)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000685833994 1040768127 /nfs/dbraw/zinc/76/81/27/1040768127.db2.gz GLHNPRIUBLVQQB-LBPRGKRZSA-N 0 3 311.351 4.320 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1F)c1cc2ccccc2o1 ZINC000177755001 1040769672 /nfs/dbraw/zinc/76/96/72/1040769672.db2.gz NHGXXMRINCOFOP-BZNIZROVSA-N 0 3 317.335 4.095 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2Cc2c[nH]c3nccnc23)o1 ZINC000685837904 1040777048 /nfs/dbraw/zinc/77/70/48/1040777048.db2.gz ZSPNQEBSKLEHLA-MRXNPFEDSA-N 0 3 324.428 4.182 20 0 DIADHN Cc1cn[nH]c1CN1CC=C(c2ccccc2C(F)(F)F)CC1 ZINC000685838911 1040778227 /nfs/dbraw/zinc/77/82/27/1040778227.db2.gz MFEPIXPBBXKJKS-UHFFFAOYSA-N 0 3 321.346 4.026 20 0 DIADHN C[C@H](NCCc1ccc(Cl)cc1Cl)c1cc2n(n1)CCC2 ZINC000414057913 1040800871 /nfs/dbraw/zinc/80/08/71/1040800871.db2.gz SIPKNSLOGKBHNA-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(Cl)cc1)c1cc2n(n1)CCC2 ZINC000414073260 1040819284 /nfs/dbraw/zinc/81/92/84/1040819284.db2.gz NDFJSUWWOZOUQX-ZDUSSCGKSA-N 0 3 317.864 4.111 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(OC)cc2C)cn1 ZINC000414078602 1040832485 /nfs/dbraw/zinc/83/24/85/1040832485.db2.gz BHISCVWGLFKAJD-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN CC[C@@H](O)CCCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414085865 1040838835 /nfs/dbraw/zinc/83/88/35/1040838835.db2.gz HEPUMYFEFNGKFN-UKRRQHHQSA-N 0 3 304.459 4.012 20 0 DIADHN CCCc1ccc(CNCc2ccc(N(CC)CC)nc2)cc1 ZINC000613271809 1040855625 /nfs/dbraw/zinc/85/56/25/1040855625.db2.gz KNNNVYJOVZDEQV-UHFFFAOYSA-N 0 3 311.473 4.170 20 0 DIADHN FC1(F)C[C@H]1CCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926735699 1040856762 /nfs/dbraw/zinc/85/67/62/1040856762.db2.gz GWFFKBMOXUBENL-CJNGLKHVSA-N 0 3 322.786 4.459 20 0 DIADHN FC1(F)C[C@H]1CCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926735702 1040858029 /nfs/dbraw/zinc/85/80/29/1040858029.db2.gz GWFFKBMOXUBENL-CZUORRHYSA-N 0 3 322.786 4.459 20 0 DIADHN C[C@H](NCC[C@H]1CCCCO1)c1csc(-c2ccccc2)n1 ZINC000414099900 1040858064 /nfs/dbraw/zinc/85/80/64/1040858064.db2.gz ABEAEEMWGSADLR-GOEBONIOSA-N 0 3 316.470 4.420 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1csc(-c2ccccn2)n1 ZINC000173189661 1040876710 /nfs/dbraw/zinc/87/67/10/1040876710.db2.gz ISJGAYXLFHRGQQ-XJKSGUPXSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@H](NCC1(c2cccs2)CCCCC1)c1ccn(C)n1 ZINC000414110212 1040876775 /nfs/dbraw/zinc/87/67/75/1040876775.db2.gz HZAYUYINSPYLAE-AWEZNQCLSA-N 0 3 303.475 4.034 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1csc(-c2ccccn2)n1 ZINC000173189630 1040877384 /nfs/dbraw/zinc/87/73/84/1040877384.db2.gz ISJGAYXLFHRGQQ-BBRMVZONSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1csc(-c2ccccc2)n1 ZINC000414116368 1040886322 /nfs/dbraw/zinc/88/63/22/1040886322.db2.gz WSBSIIXMPFTAHI-OFQRWUPVSA-N 0 3 316.470 4.276 20 0 DIADHN CC[C@](C)(NCCCOCCc1ccccc1)c1nccs1 ZINC000173497277 1040894640 /nfs/dbraw/zinc/89/46/40/1040894640.db2.gz DKQPUGUBHZWLLW-SFHVURJKSA-N 0 3 318.486 4.007 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1cc2n(n1)CCC2 ZINC000414125599 1040895062 /nfs/dbraw/zinc/89/50/62/1040895062.db2.gz DFNJEUBKDHCFKT-XNRPHZJLSA-N 0 3 315.848 4.080 20 0 DIADHN C[C@H](NCCCC(C)(C)CO)c1csc(-c2ccccc2)n1 ZINC000414129491 1040896912 /nfs/dbraw/zinc/89/69/12/1040896912.db2.gz UYKLORPANBMSET-AWEZNQCLSA-N 0 3 318.486 4.259 20 0 DIADHN COc1ccccc1OCCN(C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000613362882 1040897756 /nfs/dbraw/zinc/89/77/56/1040897756.db2.gz YCVPDXLTYNJHPM-ZDUSSCGKSA-N 0 3 321.367 4.045 20 0 DIADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1csc(-c2ccccc2)n1 ZINC000414144967 1040923410 /nfs/dbraw/zinc/92/34/10/1040923410.db2.gz UJBVLILFEDBKEN-CZUORRHYSA-N 0 3 318.486 4.258 20 0 DIADHN O[C@@H]1CCC[C@H]1N[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000414146990 1040926052 /nfs/dbraw/zinc/92/60/52/1040926052.db2.gz LWKWSIWMYWTUBQ-KZNAEPCWSA-N 0 3 319.807 4.072 20 0 DIADHN C[C@@H]1CCN(Cc2cc(-c3ccco3)on2)[C@H]2CCCC[C@H]12 ZINC000613416468 1040934940 /nfs/dbraw/zinc/93/49/40/1040934940.db2.gz VGGYXURJZQGXBQ-BMFZPTHFSA-N 0 3 300.402 4.335 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccn(C)n1 ZINC000414157543 1040937798 /nfs/dbraw/zinc/93/77/98/1040937798.db2.gz WJUSYGHZQOURRA-WHOFXGATSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccn(C)n1 ZINC000414157542 1040938561 /nfs/dbraw/zinc/93/85/61/1040938561.db2.gz WJUSYGHZQOURRA-UXIGCNINSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414157625 1040939014 /nfs/dbraw/zinc/93/90/14/1040939014.db2.gz YEUNQSKWHODPNM-CHWSQXEVSA-N 0 3 304.459 4.010 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2ccc(F)cc2)no1 ZINC000613423275 1040939897 /nfs/dbraw/zinc/93/98/97/1040939897.db2.gz XFNLOESTMZEHOJ-UHFFFAOYSA-N 0 3 305.397 4.134 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2cccc(C)c2)no1 ZINC000613423704 1040942538 /nfs/dbraw/zinc/94/25/38/1040942538.db2.gz VQZAMOYNCVFCQE-UHFFFAOYSA-N 0 3 301.434 4.303 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1ccc(Cl)cc1Cl ZINC000174067266 1040944934 /nfs/dbraw/zinc/94/49/34/1040944934.db2.gz UMPIZJPVXSOPBD-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN CCN(CCc1ccccc1)Cc1cn2cc(Cl)ccc2n1 ZINC000613438883 1040955465 /nfs/dbraw/zinc/95/54/65/1040955465.db2.gz PRDSHFOARBOIJZ-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN Clc1ccc([C@H]2CCCN2C[C@H]2CCOC2)cc1Cl ZINC000613473204 1040979320 /nfs/dbraw/zinc/97/93/20/1040979320.db2.gz CEPAGQVWDUTDJJ-IAQYHMDHSA-N 0 3 300.229 4.167 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2ccccc2N(C)C)c1 ZINC000171129255 1040981972 /nfs/dbraw/zinc/98/19/72/1040981972.db2.gz WMRLTBBSBCXWRR-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)C[C@@H]1CC1(Cl)Cl ZINC000808760963 1041013817 /nfs/dbraw/zinc/01/38/17/1041013817.db2.gz FXGPPUIZPAQYCG-JSGCOSHPSA-N 0 3 302.245 4.272 20 0 DIADHN FC1(F)CC[C@@H](NCc2cc(Cl)ccc2Br)C1 ZINC000310589689 1041015620 /nfs/dbraw/zinc/01/56/20/1041015620.db2.gz YLTXYOMWLKBSFD-SNVBAGLBSA-N 0 3 324.596 4.380 20 0 DIADHN CCC1CCN([C@@H](C)C(=O)N[C@H](C)c2ccc(Cl)cc2)CC1 ZINC000613505567 1041023573 /nfs/dbraw/zinc/02/35/73/1041023573.db2.gz BHKPRBGIFVCTIT-KGLIPLIRSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CCC[C@@H](C4CC4)C3)o2)c1 ZINC000808777724 1041025485 /nfs/dbraw/zinc/02/54/85/1041025485.db2.gz DNANNSYVMWAMSY-RHSMWYFYSA-N 0 3 311.429 4.228 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)N[C@@H](C)c2nccs2)cc1OC ZINC000414227234 1041026320 /nfs/dbraw/zinc/02/63/20/1041026320.db2.gz WAJHIUREUXKNBD-AVGNSLFASA-N 0 3 320.458 4.003 20 0 DIADHN CC(=O)Nc1cccc(CN2Cc3cc(C)ccc3CC2(C)C)c1 ZINC001170560566 1041029515 /nfs/dbraw/zinc/02/95/15/1041029515.db2.gz WYCULKDEPZTZJD-UHFFFAOYSA-N 0 3 322.452 4.290 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@@H](c2ccncn2)C1 ZINC000613511008 1041051143 /nfs/dbraw/zinc/05/11/43/1041051143.db2.gz PDHIDRCPDJAHMT-UONOGXRCSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000613513491 1041063267 /nfs/dbraw/zinc/06/32/67/1041063267.db2.gz TXVTUHKIMYVRLG-UZLBHIALSA-N 0 3 315.457 4.077 20 0 DIADHN CCOC(=O)[C@H]1CC[C@@H](N[C@@H]2CCCC[C@H]2F)c2ccccc21 ZINC000414317853 1041119427 /nfs/dbraw/zinc/11/94/27/1041119427.db2.gz WOWAFTAEYYFQCL-BSDSXHPESA-N 0 3 319.420 4.039 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3sccc32)cc1OC ZINC000102196552 1041121678 /nfs/dbraw/zinc/12/16/78/1041121678.db2.gz MTBUGCBUIVLAGN-DOMZBBRYSA-N 0 3 317.454 4.494 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3sccc32)cc1OC ZINC000102196766 1041122793 /nfs/dbraw/zinc/12/27/93/1041122793.db2.gz GSDDAXGHXKWGDN-HNNXBMFYSA-N 0 3 317.454 4.323 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000414324586 1041133578 /nfs/dbraw/zinc/13/35/78/1041133578.db2.gz KRYVSFSLRBZRFX-JKSUJKDBSA-N 0 3 313.470 4.150 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cc1F ZINC000176312596 1041152730 /nfs/dbraw/zinc/15/27/30/1041152730.db2.gz KRZGSCIHARGHSJ-ZIAGYGMSSA-N 0 3 315.388 4.317 20 0 DIADHN COc1cc(OC)c([C@@H](C)N[C@@H]2C[C@H]2c2ccco2)cc1Cl ZINC000414347947 1041154697 /nfs/dbraw/zinc/15/46/97/1041154697.db2.gz WHCJFDKHOSYOQX-MPKXVKKWSA-N 0 3 321.804 4.157 20 0 DIADHN CCCCC[C@H]1CCCCN1C(=O)Nc1ccc2c(c1)CNC2 ZINC000659023075 1041154944 /nfs/dbraw/zinc/15/49/44/1041154944.db2.gz SHAGYQPKCMWPHG-SFHVURJKSA-N 0 3 315.461 4.257 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@@H]4CCCC[C@@H]43)o2)c(C)o1 ZINC000102689376 1041166894 /nfs/dbraw/zinc/16/68/94/1041166894.db2.gz GPDUZBDZJMDWTL-HOCLYGCPSA-N 0 3 315.417 4.101 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)NCc1ccc(C)nn1 ZINC000414361503 1041176078 /nfs/dbraw/zinc/17/60/78/1041176078.db2.gz CNJFNAPQBPXTOC-INIZCTEOSA-N 0 3 315.486 4.062 20 0 DIADHN Cc1ccc(CN(Cc2ccc(F)cc2)C2CCCCC2)nn1 ZINC000414365339 1041181470 /nfs/dbraw/zinc/18/14/70/1041181470.db2.gz KVFBOTGRLHKAJP-UHFFFAOYSA-N 0 3 313.420 4.259 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCCO[C@@H](C(C)C)C1 ZINC001170581726 1041186370 /nfs/dbraw/zinc/18/63/70/1041186370.db2.gz DNIFUECEBTYLTL-LJQANCHMSA-N 0 3 305.462 4.066 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc3ccc(Cl)cc3n2)CCCO1 ZINC001170581692 1041186732 /nfs/dbraw/zinc/18/67/32/1041186732.db2.gz COMNRLFCXGHTKR-SFHVURJKSA-N 0 3 318.848 4.135 20 0 DIADHN CCC1(CNCc2c(Cl)ccc(Cl)c2Cl)COC1 ZINC000393984872 1041202202 /nfs/dbraw/zinc/20/22/02/1041202202.db2.gz JMXRIPRBTOLYPS-UHFFFAOYSA-N 0 3 308.636 4.163 20 0 DIADHN CC[C@H](CN(CC)Cc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000809642453 1041210937 /nfs/dbraw/zinc/21/09/37/1041210937.db2.gz GATJWEZWWFQXBV-LSDHHAIUSA-N 0 3 318.486 4.212 20 0 DIADHN Cc1ccccc1[C@H](O)C1CCN(Cc2ccsc2)CC1 ZINC000809704024 1041227278 /nfs/dbraw/zinc/22/72/78/1041227278.db2.gz XANHGGJPOPJLFS-GOSISDBHSA-N 0 3 301.455 4.002 20 0 DIADHN O[C@@H](CCNCc1cc(Cl)ccc1Cl)c1ccccc1 ZINC000809748790 1041245554 /nfs/dbraw/zinc/24/55/54/1041245554.db2.gz YDRWGBHXALGGNC-INIZCTEOSA-N 0 3 310.224 4.207 20 0 DIADHN Oc1ccc(CCCNCc2ncc(-c3ccccc3)s2)cc1 ZINC000092395549 1041246814 /nfs/dbraw/zinc/24/68/14/1041246814.db2.gz RIBNGXZKHSWSCF-UHFFFAOYSA-N 0 3 324.449 4.238 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc([S@](C)=O)cc1 ZINC000181249535 1041258711 /nfs/dbraw/zinc/25/87/11/1041258711.db2.gz WZVOTJYYGBTKEP-KEQGGVEFSA-N 0 3 313.466 4.071 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000926796743 1041272697 /nfs/dbraw/zinc/27/26/97/1041272697.db2.gz GZVOGWDEGYIDTJ-XQQFMLRXSA-N 0 3 314.351 4.095 20 0 DIADHN CC(C)C[C@@H](NC(=O)C[C@@H]1NCc2ccccc21)c1ccccc1 ZINC000659246570 1041274457 /nfs/dbraw/zinc/27/44/57/1041274457.db2.gz AXIDFPCJJRZMPH-UXHICEINSA-N 0 3 322.452 4.125 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CCCc3ccccc32)c1 ZINC000536222057 1041275787 /nfs/dbraw/zinc/27/57/87/1041275787.db2.gz XRKKWLXLYFAIRV-HXUWFJFHSA-N 0 3 322.452 4.115 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3cc4ccccc4[nH]3)CC2)o1 ZINC000809936754 1041276140 /nfs/dbraw/zinc/27/61/40/1041276140.db2.gz OJLZUNNHVFTWGL-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1c[nH]c(CN2CCC(Sc3ccccc3)CC2)c1C ZINC001140861182 1041279749 /nfs/dbraw/zinc/27/97/49/1041279749.db2.gz AUJRFFNZQZRYCX-UHFFFAOYSA-N 0 3 300.471 4.388 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)C(=O)C[C@H]1NCc2ccccc21 ZINC000659265464 1041281462 /nfs/dbraw/zinc/28/14/62/1041281462.db2.gz JMVJEYUBAPSHML-TZIWHRDSSA-N 0 3 322.452 4.077 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)C[C@H]2NCc3ccccc32)cc1 ZINC000659266418 1041282286 /nfs/dbraw/zinc/28/22/86/1041282286.db2.gz UZISELZWEPLQHU-HXUWFJFHSA-N 0 3 322.452 4.003 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CCCC(F)(F)CC1 ZINC000628422493 1041291808 /nfs/dbraw/zinc/29/18/08/1041291808.db2.gz NYDVTPISJGSIPP-UHFFFAOYSA-N 0 3 319.399 4.110 20 0 DIADHN FC(F)(F)SCCNCc1cscc1C(F)(F)F ZINC000810131921 1041316359 /nfs/dbraw/zinc/31/63/59/1041316359.db2.gz RQDMIYZBORMUGG-UHFFFAOYSA-N 0 3 309.300 4.110 20 0 DIADHN CCCCCC[C@H](CC)N1CCc2onc(COCC)c2C1 ZINC001170598351 1041326673 /nfs/dbraw/zinc/32/66/73/1041326673.db2.gz HNGPTYIBFHOUIL-HNNXBMFYSA-N 0 3 308.466 4.318 20 0 DIADHN Cc1cc(CN[C@H]2c3ccccc3CC23CCOCC3)c(C)o1 ZINC000810172269 1041328260 /nfs/dbraw/zinc/32/82/60/1041328260.db2.gz HTUAWRRABGQIQF-IBGZPJMESA-N 0 3 311.425 4.080 20 0 DIADHN C[C@H](NCc1ccsc1)c1ccc(N2CCCCC2=O)cc1 ZINC000810179997 1041333032 /nfs/dbraw/zinc/33/30/32/1041333032.db2.gz KXHSCOBCJJAXGO-AWEZNQCLSA-N 0 3 314.454 4.116 20 0 DIADHN C[C@@H](NCC(C)(C)CO)c1ccc(Cl)c(Cl)c1Cl ZINC000135080887 1041333804 /nfs/dbraw/zinc/33/38/04/1041333804.db2.gz JEDOAVIEUPFSOS-MRVPVSSYSA-N 0 3 310.652 4.316 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1cnn(C2CCC2)c1 ZINC000924592942 1041341803 /nfs/dbraw/zinc/34/18/03/1041341803.db2.gz UIXIPKAABQOANR-SJCJKPOMSA-N 0 3 301.459 4.472 20 0 DIADHN COc1cc(CNCc2ccc(C(F)F)c(F)c2)ccc1C ZINC000892695996 1041347531 /nfs/dbraw/zinc/34/75/31/1041347531.db2.gz HKZKQGBBENKZBQ-UHFFFAOYSA-N 0 3 309.331 4.370 20 0 DIADHN CCCCN(CC)CC(=O)N(Cc1cccc(F)c1)C(C)(C)C ZINC000613604519 1041365107 /nfs/dbraw/zinc/36/51/07/1041365107.db2.gz TUGVVADDSDXLCH-UHFFFAOYSA-N 0 3 322.468 4.075 20 0 DIADHN CSc1ccc(NC(=O)CN(C2CC2)C2CCCCC2)cc1 ZINC000613630380 1041393911 /nfs/dbraw/zinc/39/39/11/1041393911.db2.gz MNRNMYIPBKQGJW-UHFFFAOYSA-N 0 3 318.486 4.144 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1CN1C[C@@H](C)C[C@H]1CF ZINC001140960762 1041408110 /nfs/dbraw/zinc/40/81/10/1041408110.db2.gz DOHVGJPSQLDYHT-GWCFXTLKSA-N 0 3 305.315 4.074 20 0 DIADHN CC[C@@H](NCc1cc[nH]c1)c1cc(F)cc(Br)c1 ZINC000362516881 1041409244 /nfs/dbraw/zinc/40/92/44/1041409244.db2.gz UJIREEGMNNDOFF-CQSZACIVSA-N 0 3 311.198 4.157 20 0 DIADHN COc1ccc2nccc(N3CCC(OC(C)(C)C)CC3)c2c1 ZINC000892904871 1041418549 /nfs/dbraw/zinc/41/85/49/1041418549.db2.gz FPZMHGYIQNFTBL-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cnc(F)c(C)c2)cc1 ZINC000892913506 1041419915 /nfs/dbraw/zinc/41/99/15/1041419915.db2.gz DOUBLAYJYMJKKT-CYBMUJFWSA-N 0 3 304.434 4.492 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cnc(F)cc2C)cc1 ZINC000892913418 1041420147 /nfs/dbraw/zinc/42/01/47/1041420147.db2.gz AXJOLYVDDOUTRO-ZDUSSCGKSA-N 0 3 304.434 4.492 20 0 DIADHN C[C@H](NCc1cnn(C)c1C1CC1)c1cc2ccccc2s1 ZINC000892928533 1041422312 /nfs/dbraw/zinc/42/23/12/1041422312.db2.gz IAJJSTHMWMBUFK-LBPRGKRZSA-N 0 3 311.454 4.363 20 0 DIADHN Cc1cc(CN[C@@H](c2ncccc2C)C(C)C)c2c(n1)CCCC2 ZINC000892964303 1041442926 /nfs/dbraw/zinc/44/29/26/1041442926.db2.gz OGXAPQUJCCCZKR-HXUWFJFHSA-N 0 3 323.484 4.459 20 0 DIADHN FC(F)(F)[C@@H]1C[C@@H](C(F)(F)F)CN(CCC2CCC2)C1 ZINC000659852018 1041451162 /nfs/dbraw/zinc/45/11/62/1041451162.db2.gz LGGAEMMQUMPOJW-GHMZBOCLSA-N 0 3 303.290 4.239 20 0 DIADHN CC(C)=CCCN1C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C1 ZINC000659854221 1041454817 /nfs/dbraw/zinc/45/48/17/1041454817.db2.gz YQZAWVAJDBGRFB-PHIMTYICSA-N 0 3 303.290 4.405 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1ccc(F)cc1)[C@@H]1CCCCO1 ZINC000393654310 1041467585 /nfs/dbraw/zinc/46/75/85/1041467585.db2.gz RVXDHMKLKAXFGM-RYGJVYDSSA-N 0 3 313.416 4.462 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1ccccc1-n1cccn1 ZINC000177184484 1041474907 /nfs/dbraw/zinc/47/49/07/1041474907.db2.gz UJHNLQKCBXOLBB-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN C[C@@H](NCCCCOc1ccc(Cl)cc1)c1cscn1 ZINC000271061933 1041493261 /nfs/dbraw/zinc/49/32/61/1041493261.db2.gz CGQBNCOTGUZLPP-GFCCVEGCSA-N 0 3 310.850 4.306 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc3c[nH]nc3c2)cc1 ZINC000236808980 1041499942 /nfs/dbraw/zinc/49/99/42/1041499942.db2.gz MLYHVPLKNLATQF-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cc(Br)cs1 ZINC000271264000 1041510990 /nfs/dbraw/zinc/51/09/90/1041510990.db2.gz BBZWNRWVNGGXMD-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1cccc2nccn21 ZINC000179418942 1041512020 /nfs/dbraw/zinc/51/20/20/1041512020.db2.gz LJDZRKWQAYVZQO-MRXNPFEDSA-N 0 3 309.413 4.039 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)Nc1ccc2c(c1)CNC2 ZINC000415997607 1041513933 /nfs/dbraw/zinc/51/39/33/1041513933.db2.gz PYDJOZYNVMBDKK-LJQANCHMSA-N 0 3 323.440 4.295 20 0 DIADHN CC(C)[C@H]1CN(C(=O)Nc2ccc3c(c2)CNC3)c2ccccc21 ZINC000416004805 1041514991 /nfs/dbraw/zinc/51/49/91/1041514991.db2.gz HRPWMBTZDSHITD-GOSISDBHSA-N 0 3 321.424 4.082 20 0 DIADHN Clc1nccc(CN[C@H]2C[C@@H]2Cc2ccccc2)c1Cl ZINC000811481235 1041529065 /nfs/dbraw/zinc/52/90/65/1041529065.db2.gz SBXYGMYRQASUSS-KBPBESRZSA-N 0 3 307.224 4.109 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc3c[nH]nc3c2)cc1 ZINC000236812887 1041533927 /nfs/dbraw/zinc/53/39/27/1041533927.db2.gz MLYHVPLKNLATQF-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)c2ccsc2)c2ccccc2)cc1 ZINC000180593565 1041535589 /nfs/dbraw/zinc/53/55/89/1041535589.db2.gz RJOFEAKMKBXVCJ-WOJBJXKFSA-N 0 3 323.461 4.469 20 0 DIADHN C[C@@](O)(CNC(c1ccccc1)c1ccccc1)c1ccsc1 ZINC000180609817 1041535667 /nfs/dbraw/zinc/53/56/67/1041535667.db2.gz PYDFDCQDMVEYDW-HXUWFJFHSA-N 0 3 323.461 4.335 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cc(C2CC2)no1 ZINC000659938575 1041549324 /nfs/dbraw/zinc/54/93/24/1041549324.db2.gz BHYBYUKDSXYZRG-DOMZBBRYSA-N 0 3 300.377 4.069 20 0 DIADHN CCCC[C@@H](C)C(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001125871539 1041552829 /nfs/dbraw/zinc/55/28/29/1041552829.db2.gz FUDGJXPJXSLOCJ-OAHLLOKOSA-N 0 3 324.896 4.057 20 0 DIADHN C[C@H](O)[C@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000136329582 1041560998 /nfs/dbraw/zinc/56/09/98/1041560998.db2.gz JURRWWUUKPAFSB-RYUDHWBXSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1cc([C@H](NCc2cnc(F)c(C)c2)C2CC2)ccc1F ZINC000893235961 1041570338 /nfs/dbraw/zinc/57/03/38/1041570338.db2.gz CZOSTNVQYHNYFI-QGZVFWFLSA-N 0 3 302.368 4.218 20 0 DIADHN Cc1cc([C@H](NCc2cnc(F)cc2C)C2CC2)ccc1F ZINC000893239586 1041573244 /nfs/dbraw/zinc/57/32/44/1041573244.db2.gz SEPOVWJMHODSQZ-GOSISDBHSA-N 0 3 302.368 4.218 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H]1COc2ccc(C)cc21 ZINC000811820006 1041575163 /nfs/dbraw/zinc/57/51/63/1041575163.db2.gz ZTSKUTVGPYDJGR-SFHVURJKSA-N 0 3 306.365 4.097 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CCC[C@H]2C2CCC2)s1 ZINC000183579426 1041585057 /nfs/dbraw/zinc/58/50/57/1041585057.db2.gz YKIORHBHKJYPBK-NSHDSACASA-N 0 3 304.381 4.235 20 0 DIADHN CC(C)CCC(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000058118334 1041588174 /nfs/dbraw/zinc/58/81/74/1041588174.db2.gz HPIAPEPZTPSHGE-MRXNPFEDSA-N 0 3 322.518 4.074 20 0 DIADHN c1ccc2c(c1)CC[C@H]2N(Cc1cnc2ccccc2n1)C1CC1 ZINC000660036487 1041589830 /nfs/dbraw/zinc/58/98/30/1041589830.db2.gz PMQBVNWTNCJVBL-OAQYLSRUSA-N 0 3 315.420 4.282 20 0 DIADHN c1ccc([C@H](NCc2cnc3ccccc3n2)C2CCC2)cc1 ZINC000660065573 1041596515 /nfs/dbraw/zinc/59/65/15/1041596515.db2.gz FSQZSXPHHQZEQB-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN c1ccc([C@@H](NCc2cnc3ccccc3n2)C2CCC2)cc1 ZINC000660065576 1041597423 /nfs/dbraw/zinc/59/74/23/1041597423.db2.gz FSQZSXPHHQZEQB-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN CO[C@H](CNC1(c2nccs2)CCCC1)C1CCCCC1 ZINC000660094347 1041610776 /nfs/dbraw/zinc/61/07/76/1041610776.db2.gz XSALGDJEOMCAKU-OAHLLOKOSA-N 0 3 308.491 4.097 20 0 DIADHN CO[C@H](CN1CCCC[C@H]1c1nc2ccccc2o1)C1CCC1 ZINC000660102389 1041615776 /nfs/dbraw/zinc/61/57/76/1041615776.db2.gz ZPBZTPDMSLFHFH-FUHWJXTLSA-N 0 3 314.429 4.170 20 0 DIADHN Clc1cccc([C@H](NC[C@@H]2C[C@H]3C[C@H]3C2)c2ccccn2)c1 ZINC000660107307 1041616830 /nfs/dbraw/zinc/61/68/30/1041616830.db2.gz KKGMWJSYUCHRIL-YBKUAAOGSA-N 0 3 312.844 4.460 20 0 DIADHN C[C@H]([NH2+][C@H]1CCOC2(CCCCC2)C1)c1cc([O-])cc(F)c1 ZINC000925564327 1041619092 /nfs/dbraw/zinc/61/90/92/1041619092.db2.gz YLHARDFJJPFFNZ-BBRMVZONSA-N 0 3 307.409 4.064 20 0 DIADHN C[C@@H]([NH2+][C@H]1CCOC2(CCCCC2)C1)c1cc([O-])cc(F)c1 ZINC000925564333 1041619657 /nfs/dbraw/zinc/61/96/57/1041619657.db2.gz YLHARDFJJPFFNZ-CJNGLKHVSA-N 0 3 307.409 4.064 20 0 DIADHN CC1(C)OCc2cc(CNCc3ccc(Cl)cc3)ccc2O1 ZINC000186361039 1041630163 /nfs/dbraw/zinc/63/01/63/1041630163.db2.gz XWGYOXSNFHHMJS-UHFFFAOYSA-N 0 3 317.816 4.275 20 0 DIADHN CSc1cccc(CN2CCC[C@H]2c2c(C)n[nH]c2C)c1 ZINC000660148116 1041632565 /nfs/dbraw/zinc/63/25/65/1041632565.db2.gz TUNIEDLFHHYWRM-INIZCTEOSA-N 0 3 301.459 4.086 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2ccoc2c1 ZINC001170681009 1041632623 /nfs/dbraw/zinc/63/26/23/1041632623.db2.gz BLXYQXAWSMYRSZ-ZDUSSCGKSA-N 0 3 303.365 4.305 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2c(c1)CCC2 ZINC001170681023 1041632636 /nfs/dbraw/zinc/63/26/36/1041632636.db2.gz BUNWRWFEMDUESM-AWEZNQCLSA-N 0 3 303.409 4.048 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cccc3[nH]ccc31)CCC2 ZINC000364030743 1041636108 /nfs/dbraw/zinc/63/61/08/1041636108.db2.gz RIBOJZITLUITOC-SFHVURJKSA-N 0 3 308.429 4.113 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cc(F)cc2cc[nH]c21 ZINC001170683119 1041638127 /nfs/dbraw/zinc/63/81/27/1041638127.db2.gz VOTVKKBCKKHURB-LBPRGKRZSA-N 0 3 320.371 4.179 20 0 DIADHN Cc1c2[nH]ccc2ccc1-n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001170686028 1041643855 /nfs/dbraw/zinc/64/38/55/1041643855.db2.gz KXRGRCDLCPAWSF-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNCCCCF ZINC000812771952 1041646020 /nfs/dbraw/zinc/64/60/20/1041646020.db2.gz DZVMGKCJTDONCT-UHFFFAOYSA-N 0 3 323.843 4.041 20 0 DIADHN CCCCCCNCc1c(F)ccc(C2OCCO2)c1Cl ZINC000812821819 1041649540 /nfs/dbraw/zinc/64/95/40/1041649540.db2.gz QETWZXDLBQZGOW-UHFFFAOYSA-N 0 3 315.816 4.194 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cncc(C(F)(F)F)c2)s1 ZINC000812831964 1041654446 /nfs/dbraw/zinc/65/44/46/1041654446.db2.gz MQSBSEOXNCLNOO-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN C[C@@H](CNCc1cc(-c2ccccc2)on1)c1ccc(F)cc1 ZINC000584737209 1041655125 /nfs/dbraw/zinc/65/51/25/1041655125.db2.gz MNVKDSVYKKLPTG-AWEZNQCLSA-N 0 3 310.372 4.374 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1ncc(Br)cc1Cl ZINC000660196161 1041660280 /nfs/dbraw/zinc/66/02/80/1041660280.db2.gz GZVLUZTYYYQBCS-IUCAKERBSA-N 0 3 305.647 4.022 20 0 DIADHN C[C@@H](NCc1cccc(N)c1)c1ccc(OCC2CC2)c(F)c1 ZINC000660199879 1041664388 /nfs/dbraw/zinc/66/43/88/1041664388.db2.gz LEPNCCRNNHMBGU-CYBMUJFWSA-N 0 3 314.404 4.048 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H](C)c1cnn(C(C)C)c1 ZINC000584818496 1041681017 /nfs/dbraw/zinc/68/10/17/1041681017.db2.gz SHPVPHJKHRIWNP-KBXCAEBGSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1cc(C)c(CN[C@H](CN(C)C)c2ccc(F)cc2)cc1C ZINC000584862399 1041693289 /nfs/dbraw/zinc/69/32/89/1041693289.db2.gz SPKQGQVKLXWEOY-HXUWFJFHSA-N 0 3 314.448 4.143 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000813322373 1041694394 /nfs/dbraw/zinc/69/43/94/1041694394.db2.gz BOZFTXAWRVMAAH-OXQOHEQNSA-N 0 3 320.440 4.315 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCc3cccc(N)c3)oc21 ZINC000660256634 1041694852 /nfs/dbraw/zinc/69/48/52/1041694852.db2.gz KMJXGYUXFQDOLH-ZDUSSCGKSA-N 0 3 310.397 4.265 20 0 DIADHN CC(C)(C)SCCNCc1cccc(Br)c1F ZINC000397393033 1041704408 /nfs/dbraw/zinc/70/44/08/1041704408.db2.gz ZCOCVSULGWETGM-UHFFFAOYSA-N 0 3 320.271 4.210 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@H](N1CCc3c(F)cccc3C1)C2 ZINC001170706456 1041709263 /nfs/dbraw/zinc/70/92/63/1041709263.db2.gz FAUDZFWRYGGSOV-HNNXBMFYSA-N 0 3 317.354 4.020 20 0 DIADHN COc1cc(CN[C@H]2CCc3cc(F)ccc32)ccc1Cl ZINC000651468079 1041723410 /nfs/dbraw/zinc/72/34/10/1041723410.db2.gz VFHPXNPRQLRSPS-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN Cc1ccn2c(CN[C@H](C)c3ccc(C4CC4)cc3)cnc2c1 ZINC000418111540 1041724975 /nfs/dbraw/zinc/72/49/75/1041724975.db2.gz FIXJUDCLYQYIKU-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000418111856 1041727584 /nfs/dbraw/zinc/72/75/84/1041727584.db2.gz FUHDFUQKELBCKL-AWEZNQCLSA-N 0 3 311.473 4.273 20 0 DIADHN C[C@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(C2CC2)cc1 ZINC000418118936 1041728389 /nfs/dbraw/zinc/72/83/89/1041728389.db2.gz WQKGYGHXZLOVJR-RCDICMHDSA-N 0 3 313.466 4.152 20 0 DIADHN C[C@H](Cc1cccc(F)c1)NCc1cc(-c2ccccc2)on1 ZINC000813876894 1041741680 /nfs/dbraw/zinc/74/16/80/1041741680.db2.gz CDXBURRMZVCRJC-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccnc(Cl)c1)[C@H]1OCCc2sccc21 ZINC000813885930 1041742145 /nfs/dbraw/zinc/74/21/45/1041742145.db2.gz ZGVYZBXAONFACZ-LYOVBCGYSA-N 0 3 322.861 4.150 20 0 DIADHN CC(C)Oc1ccc2nccc(N3CC[C@@]4(CC4(F)F)C3)c2c1 ZINC000651489292 1041746771 /nfs/dbraw/zinc/74/67/71/1041746771.db2.gz SDDHUQOQFTWSOZ-QGZVFWFLSA-N 0 3 318.367 4.258 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)co1 ZINC000660374658 1041751763 /nfs/dbraw/zinc/75/17/63/1041751763.db2.gz YCZFTWGJLJVMEN-GOEBONIOSA-N 0 3 324.346 4.428 20 0 DIADHN CCc1cccc(CNCc2c(C3CC3)nc3sccn23)c1 ZINC000652032984 1041762082 /nfs/dbraw/zinc/76/20/82/1041762082.db2.gz RXISOXGYGIIIHT-UHFFFAOYSA-N 0 3 311.454 4.125 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC1CC2(CCC2)C1 ZINC000652042044 1041764225 /nfs/dbraw/zinc/76/42/25/1041764225.db2.gz AFPAVENCMQBCBK-UHFFFAOYSA-N 0 3 300.427 4.248 20 0 DIADHN Cc1nc2cc(CNc3ccncc3-c3ccccc3)ccc2o1 ZINC001167758552 1041767789 /nfs/dbraw/zinc/76/77/89/1041767789.db2.gz OXLURJFUWZEPDO-UHFFFAOYSA-N 0 3 315.376 4.232 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3ccsc3Br)C[C@@H]21 ZINC001170725471 1041769530 /nfs/dbraw/zinc/76/95/30/1041769530.db2.gz YJGQXSLEWCXPKP-RTCCRHLQSA-N 0 3 300.265 4.035 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CC[C@@]3(CC3(F)F)C2)o1 ZINC000652062655 1041771303 /nfs/dbraw/zinc/77/13/03/1041771303.db2.gz RHUGSSHEZUUVTR-MRXNPFEDSA-N 0 3 307.315 4.317 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cc2cccc(OC)c2o1 ZINC000759849894 1041777275 /nfs/dbraw/zinc/77/72/75/1041777275.db2.gz NPHZNZXATBPASX-QGZVFWFLSA-N 0 3 323.392 4.227 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCC(=O)c3ccc(Cl)c(Cl)c3)C[C@@H]21 ZINC001170728505 1041777594 /nfs/dbraw/zinc/77/75/94/1041777594.db2.gz VAFDQVMAVIOEFD-ZSBIGDGJSA-N 0 3 312.240 4.200 20 0 DIADHN FC(F)SCc1ccc(CN2CCC(C3CC3)CC2)o1 ZINC000814172318 1041778889 /nfs/dbraw/zinc/77/88/89/1041778889.db2.gz HYKFFBKCGVHUIO-UHFFFAOYSA-N 0 3 301.402 4.357 20 0 DIADHN Cc1cc(CN2Cc3ccccc3O[C@H](C)C2)c2ccccc2n1 ZINC001170731119 1041780507 /nfs/dbraw/zinc/78/05/07/1041780507.db2.gz BVPQGGNPZIVNFL-MRXNPFEDSA-N 0 3 318.420 4.326 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@@]2(C1)CCc1ccccc1O2 ZINC001170731749 1041783263 /nfs/dbraw/zinc/78/32/63/1041783263.db2.gz GJOVSPYUMREIDR-MGPUTAFESA-N 0 3 311.400 4.356 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc(Cl)c(F)c2)c(C)o1 ZINC000759867747 1041784088 /nfs/dbraw/zinc/78/40/88/1041784088.db2.gz LDCOLMAKSWXOAP-QFYYESIMSA-N 0 3 311.784 4.073 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCO[C@H]1CC1(F)F ZINC000660422984 1041784557 /nfs/dbraw/zinc/78/45/57/1041784557.db2.gz IMVJFAJVRPTEBE-STQMWFEESA-N 0 3 323.305 4.002 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc2ccccc2s1)C(F)(F)F ZINC000783270227 1041789063 /nfs/dbraw/zinc/78/90/63/1041789063.db2.gz SGSYTUMKYIIJPE-RNCFNFMXSA-N 0 3 303.349 4.129 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccc(C)cc32)c(OC)c1 ZINC000154195593 1041797623 /nfs/dbraw/zinc/79/76/23/1041797623.db2.gz IJVBGGBFEJCAKF-KUHUBIRLSA-N 0 3 311.425 4.350 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3csc(-c4cccc(F)c4)n3)C[C@@H]21 ZINC001170736415 1041801887 /nfs/dbraw/zinc/80/18/87/1041801887.db2.gz MEBSZUIUFAISTI-RBVVOMGSSA-N 0 3 316.445 4.473 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCc1nsc2ccccc21 ZINC000660444345 1041803094 /nfs/dbraw/zinc/80/30/94/1041803094.db2.gz CIAPAOGOFSDDEI-ZYHUDNBSSA-N 0 3 314.376 4.112 20 0 DIADHN Brc1ccoc1CNC[C@@H](c1ccccc1)C1CC1 ZINC000814298601 1041807681 /nfs/dbraw/zinc/80/76/81/1041807681.db2.gz YFZCYLSPFBHCMA-AWEZNQCLSA-N 0 3 320.230 4.326 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N3CCn4cccc4[C@H]3c3ccccc3)C[C@@H]21 ZINC001170741295 1041809906 /nfs/dbraw/zinc/80/99/06/1041809906.db2.gz VCHJFFHBAVELOW-FTEYMNFISA-N 0 3 306.453 4.328 20 0 DIADHN CC(C)(NCc1ccc2c(c1)CCO2)c1ccc(Cl)cc1 ZINC000236703634 1041812141 /nfs/dbraw/zinc/81/21/41/1041812141.db2.gz XMUDYOKAZQVCDD-UHFFFAOYSA-N 0 3 301.817 4.300 20 0 DIADHN Clc1cccc([C@H]2CCN(C[C@@H]3CC3(Cl)Cl)C2)c1 ZINC000814666257 1041850088 /nfs/dbraw/zinc/85/00/88/1041850088.db2.gz CULPRZFPZDWDCF-RYUDHWBXSA-N 0 3 304.648 4.323 20 0 DIADHN CCOC(=O)C1(N(C)CC[C@@H]2CCCC2(F)F)CCCCC1 ZINC001167765557 1041815291 /nfs/dbraw/zinc/81/52/91/1041815291.db2.gz FVEZNOPYYQLCAP-AWEZNQCLSA-N 0 3 317.420 4.010 20 0 DIADHN c1ccc2oc(CNCCc3cccc4ccccc43)nc2c1 ZINC000613750719 1041816956 /nfs/dbraw/zinc/81/69/56/1041816956.db2.gz GGGVNQWHICDYJX-UHFFFAOYSA-N 0 3 302.377 4.313 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CC(C)(C)CCc3ccccc32)n[nH]1 ZINC000660476665 1041817504 /nfs/dbraw/zinc/81/75/04/1041817504.db2.gz HJMLHNRCVHOXHK-BBRMVZONSA-N 0 3 312.461 4.122 20 0 DIADHN C[C@H](NCC[C@H]1CCc2ccccc21)c1c(F)cncc1F ZINC000660551738 1041831130 /nfs/dbraw/zinc/83/11/30/1041831130.db2.gz NUGFMKGPFJGVBB-GXTWGEPZSA-N 0 3 302.368 4.131 20 0 DIADHN CC[C@@H](NCc1cccs1)c1cc(OC)c(OC)c(OC)c1 ZINC000652134517 1041840928 /nfs/dbraw/zinc/84/09/28/1041840928.db2.gz WBPKMEIHLXKLHR-CQSZACIVSA-N 0 3 321.442 4.015 20 0 DIADHN COc1cc(CN[C@@H](CC(C)C)c2ccc(F)cc2)ccn1 ZINC000652139020 1041846873 /nfs/dbraw/zinc/84/68/73/1041846873.db2.gz YZZFEYXZLRGIQO-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN C[C@@H](NC[C@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)o1 ZINC000429785761 1041848657 /nfs/dbraw/zinc/84/86/57/1041848657.db2.gz CLPXBIYIHCAXNF-OLZOCXBDSA-N 0 3 306.356 4.428 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)C[C@@H](O)c1ccccc1F ZINC000026925028 1041849857 /nfs/dbraw/zinc/84/98/57/1041849857.db2.gz QKHABVJTXBIKQO-CXAGYDPISA-N 0 3 313.372 4.298 20 0 DIADHN O[C@@H](CN1CC[C@H](c2cccc(Cl)c2)C1)c1cccc(F)c1 ZINC000814666933 1041851332 /nfs/dbraw/zinc/85/13/32/1041851332.db2.gz LMMZCBCSWWVCQN-YJBOKZPZSA-N 0 3 319.807 4.002 20 0 DIADHN COc1c(C)cc(CN(C)Cc2cc3cnccc3o2)cc1C ZINC000651627879 1041859067 /nfs/dbraw/zinc/85/90/67/1041859067.db2.gz QFMDFFQZOKJSIJ-UHFFFAOYSA-N 0 3 310.397 4.085 20 0 DIADHN C[C@@H](CN(C)[C@@H](c1nnc[nH]1)c1ccccc1)C1CCCCC1 ZINC000660767892 1041860989 /nfs/dbraw/zinc/86/09/89/1041860989.db2.gz OQHIFVHWHGXBHS-MAUKXSAKSA-N 0 3 312.461 4.042 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cc3cnccc3o1)CCC2 ZINC000651639797 1041862875 /nfs/dbraw/zinc/86/28/75/1041862875.db2.gz PFTRWCIBJMJOBM-OAHLLOKOSA-N 0 3 313.426 4.102 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001170768851 1041874513 /nfs/dbraw/zinc/87/45/13/1041874513.db2.gz IQDKEQNJFLUYAW-ATLSCFEFSA-N 0 3 303.446 4.036 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccc(Cl)cc1)c1ccccc1F ZINC000027110304 1041874494 /nfs/dbraw/zinc/87/44/94/1041874494.db2.gz ZNVOVSSBDCXCDM-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1ccnc(Br)c1 ZINC000815029265 1041906911 /nfs/dbraw/zinc/90/69/11/1041906911.db2.gz PUYTUEXZVMIEBB-ZDUSSCGKSA-N 0 3 311.267 4.245 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)CC1CCCCC1 ZINC000044714812 1041899248 /nfs/dbraw/zinc/89/92/48/1041899248.db2.gz OLHOYYHEPVYTCA-UHFFFAOYSA-N 0 3 316.489 4.262 20 0 DIADHN CC[C@@]1(C)CCC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000651735445 1041899350 /nfs/dbraw/zinc/89/93/50/1041899350.db2.gz QSYIQNKXZRMMDV-PBHICJAKSA-N 0 3 301.459 4.264 20 0 DIADHN CC[C@@]1(C)CCC[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000651735448 1041899566 /nfs/dbraw/zinc/89/95/66/1041899566.db2.gz QSYIQNKXZRMMDV-YOEHRIQHSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](CC(C)C)c1ccc(F)cc1 ZINC000652146231 1041901865 /nfs/dbraw/zinc/90/18/65/1041901865.db2.gz MNWYRIBQIAFIQX-SFHVURJKSA-N 0 3 303.425 4.053 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000661200454 1041904958 /nfs/dbraw/zinc/90/49/58/1041904958.db2.gz BYOIQFMPIXLKIH-DEQVHRJGSA-N 0 3 314.256 4.147 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)cn1 ZINC000661211697 1041907351 /nfs/dbraw/zinc/90/73/51/1041907351.db2.gz UORHRDHOITVHJS-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN COc1ccsc1CN1CC[C@H](Sc2ccc(F)cc2)C1 ZINC001141329207 1041912414 /nfs/dbraw/zinc/91/24/14/1041912414.db2.gz KIOJXNVQOFFCBX-AWEZNQCLSA-N 0 3 323.458 4.262 20 0 DIADHN CN(Cc1ccnc(Br)c1)C(C)(C)c1ccccc1 ZINC000815113731 1041914042 /nfs/dbraw/zinc/91/40/42/1041914042.db2.gz IYGZWOFACQXHPQ-UHFFFAOYSA-N 0 3 319.246 4.211 20 0 DIADHN Fc1ccc2c(c1Cl)[C@H](NCC1(C(F)(F)F)CC1)CC2 ZINC000651805783 1041917070 /nfs/dbraw/zinc/91/70/70/1041917070.db2.gz BMNLBSGOOKMYDU-SNVBAGLBSA-N 0 3 307.718 4.399 20 0 DIADHN Clc1ncccc1CNCCc1cc2ccccc2s1 ZINC000815149082 1041928075 /nfs/dbraw/zinc/92/80/75/1041928075.db2.gz YORPXLREZGVWAJ-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1cc(-c2cccs2)on1 ZINC000661526856 1041949110 /nfs/dbraw/zinc/94/91/10/1041949110.db2.gz LHFIXINRWBNTFN-BLLLJJGKSA-N 0 3 310.422 4.221 20 0 DIADHN CC[C@@H](OCCNCc1cn(C(C)C)nc1C)c1ccccc1 ZINC000651908800 1041949143 /nfs/dbraw/zinc/94/91/43/1041949143.db2.gz NTYIDSVMXIMSQY-LJQANCHMSA-N 0 3 315.461 4.030 20 0 DIADHN C[C@H](N[C@@H](c1ccc(F)cc1)C1CCCC1)c1cnn(C)c1 ZINC000164405466 1041951193 /nfs/dbraw/zinc/95/11/93/1041951193.db2.gz FDPNNHJMBNSRPS-SCLBCKFNSA-N 0 3 301.409 4.141 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1cccc(C(C)(F)F)c1 ZINC000487357209 1041955269 /nfs/dbraw/zinc/95/52/69/1041955269.db2.gz OCRMUHZKTBSHOC-UHFFFAOYSA-N 0 3 318.367 4.112 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)OCO2 ZINC000815557594 1041962492 /nfs/dbraw/zinc/96/24/92/1041962492.db2.gz YMQKBGJBCOPALV-QWHCGFSZSA-N 0 3 301.361 4.275 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc2c(c1)OCO2 ZINC000815557593 1041962561 /nfs/dbraw/zinc/96/25/61/1041962561.db2.gz YMQKBGJBCOPALV-OLZOCXBDSA-N 0 3 301.361 4.275 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1ccc(F)cc1C ZINC000815577605 1041966087 /nfs/dbraw/zinc/96/60/87/1041966087.db2.gz UYHORNYVMVEHLQ-HNNXBMFYSA-N 0 3 300.421 4.441 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000815597925 1041970315 /nfs/dbraw/zinc/97/03/15/1041970315.db2.gz JAFKEONLPOCYSK-FBJOKTGGSA-N 0 3 319.445 4.283 20 0 DIADHN CCC1(CN[C@H](c2ccc(OC)cc2)c2ccccn2)CCC1 ZINC000652158953 1041970735 /nfs/dbraw/zinc/97/07/35/1041970735.db2.gz NUHIPRDLGNNVQA-LJQANCHMSA-N 0 3 310.441 4.350 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCC[C@@H]2C)c2ccccn2)cc1 ZINC000652196425 1041975323 /nfs/dbraw/zinc/97/53/23/1041975323.db2.gz SAKNRCUBBHXXBU-KNBMTAEXSA-N 0 3 310.441 4.205 20 0 DIADHN CC(C)C[C@@H](NCc1cnc2n1CCCC2)c1ccc(F)cc1 ZINC000652196959 1041975842 /nfs/dbraw/zinc/97/58/42/1041975842.db2.gz JXYXQYLVKWZGQE-GOSISDBHSA-N 0 3 315.436 4.236 20 0 DIADHN CCSc1cc(CN[C@@H](C)c2ccc(F)cc2C)ccn1 ZINC000815618839 1041976142 /nfs/dbraw/zinc/97/61/42/1041976142.db2.gz VTBNZEIGMLDIPA-ZDUSSCGKSA-N 0 3 304.434 4.492 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CCC[C@H]2C)c2ccccn2)cc1 ZINC000652196426 1041976638 /nfs/dbraw/zinc/97/66/38/1041976638.db2.gz SAKNRCUBBHXXBU-MDYRTPRTSA-N 0 3 310.441 4.205 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CCC[C@@H]2C)c2ccccn2)cc1 ZINC000652196428 1041976823 /nfs/dbraw/zinc/97/68/23/1041976823.db2.gz SAKNRCUBBHXXBU-VPWXQRGCSA-N 0 3 310.441 4.205 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C1CC1)C1CCCCC1 ZINC000048008767 1041977299 /nfs/dbraw/zinc/97/72/99/1041977299.db2.gz XUVPMFYLYODANH-UHFFFAOYSA-N 0 3 300.446 4.039 20 0 DIADHN CC[C@@H](OCCN[C@@H](C)c1cnc(C)s1)c1ccccc1 ZINC000652236637 1041980910 /nfs/dbraw/zinc/98/09/10/1041980910.db2.gz URRXGGVEIWDWBE-XJKSGUPXSA-N 0 3 304.459 4.270 20 0 DIADHN c1ccc2c(c1)OC1(CCN(CCCC3CCCCC3)C1)O2 ZINC001170795984 1041981845 /nfs/dbraw/zinc/98/18/45/1041981845.db2.gz ZAWALNFLAHZVFU-UHFFFAOYSA-N 0 3 301.430 4.220 20 0 DIADHN C[C@@H](NCc1c(F)cc(F)cc1F)C1CCC(F)(F)CC1 ZINC000815650706 1041986103 /nfs/dbraw/zinc/98/61/03/1041986103.db2.gz YYBVMLZUHFJLNZ-SECBINFHSA-N 0 3 307.306 4.408 20 0 DIADHN CC(C)c1cccc(C(=O)NC[C@H](C)N(C)Cc2ccccc2)c1 ZINC001126133441 1041987062 /nfs/dbraw/zinc/98/70/62/1041987062.db2.gz UHOZTAOLTPUQKA-KRWDZBQOSA-N 0 3 324.468 4.060 20 0 DIADHN CC(C)c1cccc(C(=O)NC[C@@H](C)N(C)Cc2ccccc2)c1 ZINC001126133445 1041987254 /nfs/dbraw/zinc/98/72/54/1041987254.db2.gz UHOZTAOLTPUQKA-QGZVFWFLSA-N 0 3 324.468 4.060 20 0 DIADHN Cn1ccnc1[C@H](Cc1ccccc1)N[C@H]1CCC12CCCC2 ZINC000652275487 1041987461 /nfs/dbraw/zinc/98/74/61/1041987461.db2.gz ORHOHYACRSDMJU-ROUUACIJSA-N 0 3 309.457 4.016 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@H](C)COCC2CC2)c1 ZINC000652285764 1041989198 /nfs/dbraw/zinc/98/91/98/1041989198.db2.gz FGJJFDCRTSTBME-OLZOCXBDSA-N 0 3 313.388 4.062 20 0 DIADHN CC[C@H](NCc1csc(COc2ccc(F)cc2)n1)C1CC1 ZINC000661758548 1041989486 /nfs/dbraw/zinc/98/94/86/1041989486.db2.gz TXESYDFBFMYYSM-INIZCTEOSA-N 0 3 320.433 4.139 20 0 DIADHN Cc1nc2c(s1)CN(Cc1ccc(C)c3ccccc13)CC2 ZINC001138016643 1041989883 /nfs/dbraw/zinc/98/98/83/1041989883.db2.gz LKMXDUIQCXNBRG-UHFFFAOYSA-N 0 3 308.450 4.471 20 0 DIADHN CCC(CC)(CC)NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487361976 1041992948 /nfs/dbraw/zinc/99/29/48/1041992948.db2.gz GHQLBZWHWHYXSO-UHFFFAOYSA-N 0 3 309.360 4.073 20 0 DIADHN CCC[C@@H](N[C@@H](CO)CCF)c1ccc(Cl)cc1Cl ZINC000652304755 1041997092 /nfs/dbraw/zinc/99/70/92/1041997092.db2.gz QGXFKWUPARFHNC-BXUZGUMPSA-N 0 3 308.224 4.145 20 0 DIADHN COc1cccc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)c1Cl ZINC000661874577 1042013049 /nfs/dbraw/zinc/01/30/49/1042013049.db2.gz NCOQZINQWXHNEB-ZBFHGGJFSA-N 0 3 323.864 4.130 20 0 DIADHN c1ccc2c(CN3CCC[C@@H]([C@@H]4CCCCO4)C3)nsc2c1 ZINC000661874148 1042013449 /nfs/dbraw/zinc/01/34/49/1042013449.db2.gz LDRURFZCIRIDNM-PBHICJAKSA-N 0 3 316.470 4.077 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCc2ccns2)CC1 ZINC001167785164 1042024641 /nfs/dbraw/zinc/02/46/41/1042024641.db2.gz RJLPACOIXYORNZ-UHFFFAOYSA-N 0 3 323.465 4.265 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC(F)CC1)c1nnc(-c2cccs2)o1 ZINC000662001906 1042038459 /nfs/dbraw/zinc/03/84/59/1042038459.db2.gz AYVQAMXJWGTTNB-ZSVAQUKISA-N 0 3 323.437 4.366 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H](C)C3CCC(F)CC3)o2)cc1 ZINC000661999015 1042038983 /nfs/dbraw/zinc/03/89/83/1042038983.db2.gz BGZHEMXFOPWNCM-VQCLRJIVSA-N 0 3 317.408 4.051 20 0 DIADHN Cc1ccccc1-c1noc(CN[C@H](C)C2CCC(F)CC2)n1 ZINC000662006347 1042040920 /nfs/dbraw/zinc/04/09/20/1042040920.db2.gz SGWCATRNTQAYKH-WLYUNCDWSA-N 0 3 317.408 4.051 20 0 DIADHN Cc1ccc(-c2noc(CN[C@H](C)C3CCC(F)CC3)n2)cc1 ZINC000662007057 1042041832 /nfs/dbraw/zinc/04/18/32/1042041832.db2.gz YVXUWLRMFDQGEK-VQCLRJIVSA-N 0 3 317.408 4.051 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccnc2C)cc1OCC ZINC000236879313 1042044342 /nfs/dbraw/zinc/04/43/42/1042044342.db2.gz JIUPXDLOBNWUKQ-CQSZACIVSA-N 0 3 314.429 4.038 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(c3ccon3)CC1)CCC2 ZINC000662048379 1042048618 /nfs/dbraw/zinc/04/86/18/1042048618.db2.gz VLWMTBVEMLMRRX-GOSISDBHSA-N 0 3 300.377 4.071 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC2(CC(C)C)CC2)c(Cl)c1 ZINC000662069717 1042056620 /nfs/dbraw/zinc/05/66/20/1042056620.db2.gz MZJGVVRFXCIKKY-UHFFFAOYSA-N 0 3 308.853 4.064 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1[C@@H]2CC[C@H]1CC(C)C2 ZINC000662132511 1042070974 /nfs/dbraw/zinc/07/09/74/1042070974.db2.gz FFOXYYYDABVFSL-MQVJKMGUSA-N 0 3 300.446 4.011 20 0 DIADHN FC(F)(F)c1ccccc1CNCc1cc2ccccc2[nH]1 ZINC000058474405 1042071101 /nfs/dbraw/zinc/07/11/01/1042071101.db2.gz OMFMLGXQXXFPKR-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN C[C@]1(Cc2ccccc2C(F)(F)F)CCCN1Cc1cnc[nH]1 ZINC000662153843 1042073311 /nfs/dbraw/zinc/07/33/11/1042073311.db2.gz GWYABMYFPRGENN-MRXNPFEDSA-N 0 3 323.362 4.026 20 0 DIADHN CCN(Cc1ccno1)[C@@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC000662154839 1042073600 /nfs/dbraw/zinc/07/36/00/1042073600.db2.gz CXDZXCCCFUEZCP-LBPRGKRZSA-N 0 3 312.335 4.147 20 0 DIADHN C[C@H](Cc1ccccc1)N(Cc1c[nH]cn1)Cc1ccccc1 ZINC000662153889 1042073531 /nfs/dbraw/zinc/07/35/31/1042073531.db2.gz HIJYTKSJIQQEFG-QGZVFWFLSA-N 0 3 305.425 4.043 20 0 DIADHN C[C@@]1(Cc2cccc3ccccc32)CCCN1Cc1ncccn1 ZINC000662163860 1042075622 /nfs/dbraw/zinc/07/56/22/1042075622.db2.gz OWHDQHOZRFTYRR-NRFANRHFSA-N 0 3 317.436 4.227 20 0 DIADHN COc1cc(CN2C[C@H](C)[C@H]2C)c(-c2ccccc2)cc1OC ZINC000816648283 1042076668 /nfs/dbraw/zinc/07/66/68/1042076668.db2.gz ICSSRNNWQFYIDB-LSDHHAIUSA-N 0 3 311.425 4.211 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000165049420 1042087171 /nfs/dbraw/zinc/08/71/71/1042087171.db2.gz IFBIEXAJALGMMA-DNVCBOLYSA-N 0 3 315.461 4.494 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC001135742268 1042087798 /nfs/dbraw/zinc/08/77/98/1042087798.db2.gz HSZLWZATXTXIOT-QUCCMNQESA-N 0 3 318.505 4.402 20 0 DIADHN CC1(C)CC[C@H]1N[C@H](c1nc(Br)cs1)C1CC1 ZINC000817585300 1042117335 /nfs/dbraw/zinc/11/73/35/1042117335.db2.gz KUTWJKYQSIPJNG-KOLCDFICSA-N 0 3 315.280 4.135 20 0 DIADHN c1ccc2[nH]c(C3CN(Cc4ccc5c(c4)CCCC5)C3)nc2c1 ZINC000644832965 1042098851 /nfs/dbraw/zinc/09/88/51/1042098851.db2.gz LZLFGONMSQWTBM-UHFFFAOYSA-N 0 3 317.436 4.041 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN2CCC[C@H](CC(F)(F)F)C2)c1 ZINC000817282310 1042099892 /nfs/dbraw/zinc/09/98/92/1042099892.db2.gz ZAMJXGHGCGEVEL-GDBMZVCRSA-N 0 3 315.379 4.001 20 0 DIADHN CN(CCc1c(Cl)cccc1Cl)CC1=CCSC1 ZINC000662267844 1042101634 /nfs/dbraw/zinc/10/16/34/1042101634.db2.gz YOUZCMBJRXILBE-UHFFFAOYSA-N 0 3 302.270 4.141 20 0 DIADHN CCCOc1cc(CNCc2ccc(C)c(F)c2)ccc1OC ZINC000236778491 1042101951 /nfs/dbraw/zinc/10/19/51/1042101951.db2.gz QWTJRYLDZVLFRP-UHFFFAOYSA-N 0 3 317.404 4.221 20 0 DIADHN C[C@H](NCc1cnccc1C(F)(F)F)c1ccc2c(c1)CCC2 ZINC000663310607 1042109958 /nfs/dbraw/zinc/10/99/58/1042109958.db2.gz DLFZVRLBDUVKFG-LBPRGKRZSA-N 0 3 320.358 4.440 20 0 DIADHN CC(C)c1cccc(CNCc2ccnc(N3CCCCC3)c2)c1 ZINC000663314512 1042110997 /nfs/dbraw/zinc/11/09/97/1042110997.db2.gz IZHCWMLEPVQUBU-UHFFFAOYSA-N 0 3 323.484 4.485 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C ZINC001135926514 1042111985 /nfs/dbraw/zinc/11/19/85/1042111985.db2.gz KYZDFOYQJHEPKY-UYAOXDASSA-N 0 3 318.505 4.184 20 0 DIADHN CCC[C@H](C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C)C(C)C ZINC001135926052 1042112595 /nfs/dbraw/zinc/11/25/95/1042112595.db2.gz BEAXGYJLMYXVCE-RBUKOAKNSA-N 0 3 318.505 4.040 20 0 DIADHN CC(C)c1cccc(CNCc2ccnc(OC(F)F)c2)c1 ZINC000663323874 1042114376 /nfs/dbraw/zinc/11/43/76/1042114376.db2.gz WYUNRUKFLMYZGI-UHFFFAOYSA-N 0 3 306.356 4.096 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)[C@@H](C)c2ccccc2)cs1 ZINC000165220416 1042114607 /nfs/dbraw/zinc/11/46/07/1042114607.db2.gz ZUFMQOWAZYBQHJ-HZSPNIEDSA-N 0 3 304.459 4.132 20 0 DIADHN CC(C)(C)CCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817573879 1042114923 /nfs/dbraw/zinc/11/49/23/1042114923.db2.gz IBCRQUMSJXQDLZ-LLVKDONJSA-N 0 3 317.296 4.383 20 0 DIADHN Brc1csc([C@H](N[C@@H]2CC=CCC2)C2CC2)n1 ZINC000817579676 1042116084 /nfs/dbraw/zinc/11/60/84/1042116084.db2.gz DITMIAOKAQRCJK-ZYHUDNBSSA-N 0 3 313.264 4.055 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2ccncc2Cl)c1 ZINC000817579424 1042116541 /nfs/dbraw/zinc/11/65/41/1042116541.db2.gz XSAAYVDXUDOGRI-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN C[C@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1ccncc1Cl ZINC000817587022 1042118227 /nfs/dbraw/zinc/11/82/27/1042118227.db2.gz YAZZIOBOXONOFY-IINYFYTJSA-N 0 3 307.224 4.206 20 0 DIADHN CCCN(C(=O)c1cccc2c1CNC2)[C@@H](CC)c1ccccc1 ZINC000662495312 1042136456 /nfs/dbraw/zinc/13/64/56/1042136456.db2.gz JUSYPIMDAIHWRF-FQEVSTJZSA-N 0 3 322.452 4.293 20 0 DIADHN Clc1ccncc1CN[C@@H]1CCc2cccc(Cl)c2C1 ZINC001170894282 1042143063 /nfs/dbraw/zinc/14/30/63/1042143063.db2.gz POOLEYCRHZZLEF-CYBMUJFWSA-N 0 3 307.224 4.036 20 0 DIADHN Clc1cccc2c1C[C@H](NCc1nc3ccccc3o1)CC2 ZINC001170894226 1042143640 /nfs/dbraw/zinc/14/36/40/1042143640.db2.gz OPRQTNVNESDUHC-CYBMUJFWSA-N 0 3 312.800 4.128 20 0 DIADHN COc1cccc(F)c1CN[C@H]1CCc2cccc(Cl)c2C1 ZINC001170895437 1042145924 /nfs/dbraw/zinc/14/59/24/1042145924.db2.gz DTBSKQHYFFGUAQ-ZDUSSCGKSA-N 0 3 319.807 4.135 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCc2ccc(O)cc2C1 ZINC001167803946 1042147698 /nfs/dbraw/zinc/14/76/98/1042147698.db2.gz HLGZTOITPAJUAQ-UHFFFAOYSA-N 0 3 303.405 4.412 20 0 DIADHN CN(C)c1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1 ZINC000069878556 1042148359 /nfs/dbraw/zinc/14/83/59/1042148359.db2.gz KKTRLUDIWNKQCQ-UHFFFAOYSA-N 0 3 300.833 4.185 20 0 DIADHN CCCCN(C(=O)c1cccc2c1CNC2)[C@@H](C)c1ccccc1 ZINC000662623008 1042148399 /nfs/dbraw/zinc/14/83/99/1042148399.db2.gz VXPZSPGPZQQYSV-INIZCTEOSA-N 0 3 322.452 4.293 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)[C@H](O)c2ccccc2)c(Cl)c1 ZINC000818339188 1042148616 /nfs/dbraw/zinc/14/86/16/1042148616.db2.gz HVAKYCIRAVUKBB-LXIYXOSZSA-N 0 3 319.832 4.121 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@H](C)c2cc3ccccc3o2)c1 ZINC000603459931 1042151349 /nfs/dbraw/zinc/15/13/49/1042151349.db2.gz WNYDRGFILZHDQB-CQSZACIVSA-N 0 3 323.392 4.412 20 0 DIADHN CC(C)(CNCc1cc([N+](=O)[O-])ccc1Cl)c1ccccc1 ZINC000314599705 1042155240 /nfs/dbraw/zinc/15/52/40/1042155240.db2.gz SHBFCHVADPYSPS-UHFFFAOYSA-N 0 3 318.804 4.316 20 0 DIADHN Nc1ccnc(CN[C@H](c2ccc(Cl)c(Cl)c2)C2CC2)c1 ZINC000925771968 1042155984 /nfs/dbraw/zinc/15/59/84/1042155984.db2.gz PRYUUPNYTKJRDJ-INIZCTEOSA-N 0 3 322.239 4.212 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](C)c3ccc(C)s3)c(C)n2n1 ZINC000314675990 1042164363 /nfs/dbraw/zinc/16/43/63/1042164363.db2.gz QMBRDBQHDPZVFA-STQMWFEESA-N 0 3 314.458 4.128 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccc(F)cc1Cl)c1ccccn1 ZINC000819018817 1042167189 /nfs/dbraw/zinc/16/71/89/1042167189.db2.gz YICTTXXJXYTZHW-DOTOQJQBSA-N 0 3 322.811 4.039 20 0 DIADHN OC1(CN[C@H](CC(F)(F)F)c2ccc(F)cc2)CCCCC1 ZINC000819199723 1042174606 /nfs/dbraw/zinc/17/46/06/1042174606.db2.gz NJNWVRGFBZEXDX-CQSZACIVSA-N 0 3 319.342 4.104 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCCC[C@H]1C)c1ccsc1 ZINC001136215707 1042181181 /nfs/dbraw/zinc/18/11/81/1042181181.db2.gz NQNSXFWGVGWEHN-HYVNUMGLSA-N 0 3 322.518 4.074 20 0 DIADHN CCn1nccc1CN[C@H](C)c1ccc(-c2ccccc2)s1 ZINC000663471188 1042183295 /nfs/dbraw/zinc/18/32/95/1042183295.db2.gz SVVCGYBOOWLPSU-CQSZACIVSA-N 0 3 311.454 4.482 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCOc1ccc(F)cc1 ZINC000303198451 1042197998 /nfs/dbraw/zinc/19/79/98/1042197998.db2.gz NSVQVNRQGRVFSG-SFHVURJKSA-N 0 3 315.388 4.050 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC000303227063 1042199096 /nfs/dbraw/zinc/19/90/96/1042199096.db2.gz WXBMMQOPZWZEHU-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000303227060 1042199137 /nfs/dbraw/zinc/19/91/37/1042199137.db2.gz WXBMMQOPZWZEHU-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000026475513 1042205650 /nfs/dbraw/zinc/20/56/50/1042205650.db2.gz ZWEIXTHMUKAXFS-HZPDHXFCSA-N 0 3 316.489 4.433 20 0 DIADHN CCC(CC)CN(CC)Cc1nnc(-c2ccc(OC)cc2)o1 ZINC000051824499 1042214905 /nfs/dbraw/zinc/21/49/05/1042214905.db2.gz PHGALNBJHCVMIV-UHFFFAOYSA-N 0 3 317.433 4.003 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000658359368 1042217243 /nfs/dbraw/zinc/21/72/43/1042217243.db2.gz COCWQFOFIKBDMZ-DCGLDWPTSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1cscc1Br ZINC000304565259 1042219688 /nfs/dbraw/zinc/21/96/88/1042219688.db2.gz LVKSMKOPOAZOJB-VIFPVBQESA-N 0 3 316.289 4.293 20 0 DIADHN CC[C@H]1CCCCN1CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000058747004 1042237549 /nfs/dbraw/zinc/23/75/49/1042237549.db2.gz ZXDIEOCQHHMHDG-UZLBHIALSA-N 0 3 324.468 4.282 20 0 DIADHN FC1(F)CC(CNCc2csc(-c3ccsc3)n2)C1 ZINC000291798409 1042239893 /nfs/dbraw/zinc/23/98/93/1042239893.db2.gz WWVGRAXHSMDBAN-UHFFFAOYSA-N 0 3 300.399 4.007 20 0 DIADHN COc1ccc([C@@H](NCCOCC(C)C)c2ccccc2)cc1 ZINC000322251656 1042242003 /nfs/dbraw/zinc/24/20/03/1042242003.db2.gz IKHMSLNNOBFMBB-FQEVSTJZSA-N 0 3 313.441 4.047 20 0 DIADHN O=C1CCN(CCCc2ccc(Cl)cc2)[C@H]2CCCC[C@H]12 ZINC001207593086 1042250035 /nfs/dbraw/zinc/25/00/35/1042250035.db2.gz NTPVMBFCSIGREO-IRXDYDNUSA-N 0 3 305.849 4.106 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1CCc2cccc(F)c2C1 ZINC001170963208 1042250242 /nfs/dbraw/zinc/25/02/42/1042250242.db2.gz UGFVJISBBXUNPF-GXTWGEPZSA-N 0 3 317.379 4.182 20 0 DIADHN C[C@H](COc1ccccc1)NCc1cnc(C2CCCC2)s1 ZINC001648941008 1042250903 /nfs/dbraw/zinc/25/09/03/1042250903.db2.gz PCQVONXZZTUYGG-CQSZACIVSA-N 0 3 316.470 4.358 20 0 DIADHN COc1cc2ccccc2cc1CN[C@H](C)COc1ccccc1 ZINC001648940940 1042252361 /nfs/dbraw/zinc/25/23/61/1042252361.db2.gz NPOWTZJWPJACJF-MRXNPFEDSA-N 0 3 321.420 4.406 20 0 DIADHN Cc1nc2c(s1)CN(CCCc1ccc(Cl)cc1)CC2 ZINC001207595770 1042261544 /nfs/dbraw/zinc/26/15/44/1042261544.db2.gz HGIBWFHEHZSLDX-UHFFFAOYSA-N 0 3 306.862 4.096 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCCCN(C(=O)OC(C)(C)C)C2)o1 ZINC000223561634 1042262189 /nfs/dbraw/zinc/26/21/89/1042262189.db2.gz UILVWRSIHXPRID-HUUCEWRRSA-N 0 3 322.449 4.028 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)s2)s1 ZINC000037985174 1042267346 /nfs/dbraw/zinc/26/73/46/1042267346.db2.gz HJDMKHSYFKUQDM-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)s2)c(C)c1 ZINC000037985123 1042267357 /nfs/dbraw/zinc/26/73/57/1042267357.db2.gz JSUFCVDKRMSNKF-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CCC(CC)(CNCc1cnc(Cl)c(F)c1)C(F)(F)F ZINC001203421613 1042270250 /nfs/dbraw/zinc/27/02/50/1042270250.db2.gz ADCCMJLKNRHNPO-UHFFFAOYSA-N 0 3 312.738 4.332 20 0 DIADHN c1ccc(OC2CCN(Cc3cnc4ccccc4c3)CC2)cc1 ZINC000337135748 1042289419 /nfs/dbraw/zinc/28/94/19/1042289419.db2.gz MOYZSVCIQOJASY-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(C(C)(C)C)cc1)C(=O)N1CCCCC1 ZINC000319871562 1042291406 /nfs/dbraw/zinc/29/14/06/1042291406.db2.gz VYCRBQOCKZYMFE-HZPDHXFCSA-N 0 3 316.489 4.036 20 0 DIADHN Fc1ccc(-c2csc(SCCN3CCCC3)n2)cc1 ZINC000822601948 1042293654 /nfs/dbraw/zinc/29/36/54/1042293654.db2.gz CJDUGKDHNRXPSJ-UHFFFAOYSA-N 0 3 308.447 4.137 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000063099092 1042297464 /nfs/dbraw/zinc/29/74/64/1042297464.db2.gz SCKPOTLPZMLQDJ-MRVPVSSYSA-N 0 3 301.230 4.188 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(OC(F)F)c2)cc(C)c1O ZINC000319956319 1042299640 /nfs/dbraw/zinc/29/96/40/1042299640.db2.gz CQCPFTVHUIWDJU-CYBMUJFWSA-N 0 3 321.367 4.461 20 0 DIADHN Cc1cn2c(CN[C@H]3CCCc4sccc43)c(C)nc2s1 ZINC000061360026 1042309343 /nfs/dbraw/zinc/30/93/43/1042309343.db2.gz FMJYTKFZYYETRY-ZDUSSCGKSA-N 0 3 317.483 4.241 20 0 DIADHN CCCC1(CN[C@H](C)c2ncc(Br)cc2F)CC1 ZINC000187368448 1042312239 /nfs/dbraw/zinc/31/22/39/1042312239.db2.gz RHGSFNPTYCXFGM-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1cccc(C(F)F)c1)c1ccco1 ZINC000279587185 1042323866 /nfs/dbraw/zinc/32/38/66/1042323866.db2.gz CYNQDUDKOYXIHY-CVEARBPZSA-N 0 3 321.367 4.305 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2CCc3c(Cl)cccc3C2)cs1 ZINC001171017739 1042324801 /nfs/dbraw/zinc/32/48/01/1042324801.db2.gz KSIINMKKSAQIKA-MFKMUULPSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1cc(CN2CCC([C@@H](O)c3ccc(F)cc3)CC2)cs1 ZINC000823442549 1042326980 /nfs/dbraw/zinc/32/69/80/1042326980.db2.gz HZWCJPHTLONTDJ-SFHVURJKSA-N 0 3 319.445 4.141 20 0 DIADHN Cc1nocc1CNCC(C)(C)c1c(Cl)cccc1Cl ZINC000645154218 1042327850 /nfs/dbraw/zinc/32/78/50/1042327850.db2.gz HQDZBXVEJYVBHS-UHFFFAOYSA-N 0 3 313.228 4.357 20 0 DIADHN CCc1ccc([C@@H](NCc2cc(C3CC3)nn2C)C(C)C)cc1 ZINC000823595885 1042335337 /nfs/dbraw/zinc/33/53/37/1042335337.db2.gz ANMMLUWLFQECLC-FQEVSTJZSA-N 0 3 311.473 4.347 20 0 DIADHN Cc1cc(N[C@@H](C)c2ccc(SC(C)C)cc2)nc(N)n1 ZINC000728908859 1042337112 /nfs/dbraw/zinc/33/71/12/1042337112.db2.gz FSFVSCYUXRPAGY-LBPRGKRZSA-N 0 3 302.447 4.041 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000178551178 1042355715 /nfs/dbraw/zinc/35/57/15/1042355715.db2.gz FCDRCDONMQXJSS-RYUDHWBXSA-N 0 3 307.796 4.383 20 0 DIADHN CCCCN(CC)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000216455303 1042365469 /nfs/dbraw/zinc/36/54/69/1042365469.db2.gz DYQGTORMXGDXOB-LLVKDONJSA-N 0 3 317.260 4.442 20 0 DIADHN C[C@H](NC1(c2cccc(F)c2)CC1)c1ccc(C(C)(C)O)cc1 ZINC000925878111 1042369818 /nfs/dbraw/zinc/36/98/18/1042369818.db2.gz AWYMWGYXBQSEJZ-AWEZNQCLSA-N 0 3 313.416 4.393 20 0 DIADHN FC(F)Oc1ccccc1CNCc1cccc2cnccc21 ZINC000237843284 1042380925 /nfs/dbraw/zinc/38/09/25/1042380925.db2.gz FPPXGYOCJODUJZ-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](CC)c1ccncc1)CCC2 ZINC000163713920 1042384814 /nfs/dbraw/zinc/38/48/14/1042384814.db2.gz QDCXKEGTXNBBIE-KGLIPLIRSA-N 0 3 301.459 4.219 20 0 DIADHN CC[C@@H](CCO)CNCc1c(Cl)ccc(Cl)c1Cl ZINC000147897706 1042388344 /nfs/dbraw/zinc/38/83/44/1042388344.db2.gz WMZDIBCADKYRJD-VIFPVBQESA-N 0 3 310.652 4.145 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cnc(CC(F)(F)F)s1 ZINC000893875616 1042395449 /nfs/dbraw/zinc/39/54/49/1042395449.db2.gz UQAJQALOKRLXSL-UHFFFAOYSA-N 0 3 320.302 4.069 20 0 DIADHN C[C@@H](NCCc1nccs1)c1cc(Cl)ccc1Cl ZINC000063133325 1042395839 /nfs/dbraw/zinc/39/58/39/1042395839.db2.gz BAVKFLZUGXHRBA-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1noc2ncc(CN([C@H](C)c3ccccc3)C3CC3)cc12 ZINC000893927581 1042396553 /nfs/dbraw/zinc/39/65/53/1042396553.db2.gz DFVMTRYGXHAHEQ-CQSZACIVSA-N 0 3 307.397 4.257 20 0 DIADHN C[C@@H]1CC2(CCC2)CN1Cc1cnc(CC(F)(F)F)s1 ZINC000894040048 1042404826 /nfs/dbraw/zinc/40/48/26/1042404826.db2.gz ZHGXAWWPNKJYKB-SNVBAGLBSA-N 0 3 304.381 4.012 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(F)c2)cc1 ZINC000110386715 1042405395 /nfs/dbraw/zinc/40/53/95/1042405395.db2.gz KGUBEUKDIIVKMS-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000924859885 1042408341 /nfs/dbraw/zinc/40/83/41/1042408341.db2.gz YOXJOGTWEJLYQV-YJBOKZPZSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCO2)c1ccc(Cl)s1 ZINC000152078495 1042410336 /nfs/dbraw/zinc/41/03/36/1042410336.db2.gz CPCHUUGTVXCCOO-NSHDSACASA-N 0 3 309.818 4.371 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCc2ccc(F)c(F)c2)n1 ZINC000152176520 1042427700 /nfs/dbraw/zinc/42/77/00/1042427700.db2.gz SWQRDJSXARTRQU-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CN(Cc1nnc(-c2ccccc2Cl)o1)C1CCCCC1 ZINC000248092343 1042437036 /nfs/dbraw/zinc/43/70/36/1042437036.db2.gz FTJKZWYIHVVGID-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN FC(F)(F)C[C@@H](NCCOCC1CCC1)c1ccccc1 ZINC000419235404 1042437542 /nfs/dbraw/zinc/43/75/42/1042437542.db2.gz YXULHQVUTLYBJB-OAHLLOKOSA-N 0 3 301.352 4.086 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C[C@H]2CCOC2)c2ccccc2)s1 ZINC000246340363 1042443436 /nfs/dbraw/zinc/44/34/36/1042443436.db2.gz GWEVVIXOWZRHMR-YSVLISHTSA-N 0 3 316.470 4.270 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(Cl)c1)[C@@H](O)c1ccc(F)cc1 ZINC000248685744 1042444939 /nfs/dbraw/zinc/44/49/39/1042444939.db2.gz GVZVTLASNIGXGG-FEUHOPSXSA-N 0 3 313.825 4.313 20 0 DIADHN COCCCC[C@H]([NH2+]Cc1ccc([O-])c(F)c1)c1ccccc1 ZINC000894140442 1042468521 /nfs/dbraw/zinc/46/85/21/1042468521.db2.gz DZVJSENNYPWDPQ-SFHVURJKSA-N 0 3 317.404 4.179 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(Cl)cc2)o1)[C@H]1CC1(C)C ZINC000419327224 1042469959 /nfs/dbraw/zinc/46/99/59/1042469959.db2.gz ZCSRFSYWEGWCIW-ZIAGYGMSSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1noc2ncc(CN[C@@H](C)c3ccc(Cl)cc3)cc12 ZINC000894145952 1042471719 /nfs/dbraw/zinc/47/17/19/1042471719.db2.gz KVOARGSNEKJLEB-JTQLQIEISA-N 0 3 301.777 4.035 20 0 DIADHN Cc1cc(CNCc2ccc3ncccc3c2)c2c(n1)CCCC2 ZINC000894152316 1042473566 /nfs/dbraw/zinc/47/35/66/1042473566.db2.gz JJUCGVTXYGUZRT-UHFFFAOYSA-N 0 3 317.436 4.107 20 0 DIADHN Cn1c2ccccc2nc1[C@H](N[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC001167923280 1042481879 /nfs/dbraw/zinc/48/18/79/1042481879.db2.gz BLEDYBJVOAVNED-NHAYFPRASA-N 0 3 317.436 4.051 20 0 DIADHN Cc1noc2ncc(CN[C@@H](c3ccc(C)cc3)C3CC3)cc12 ZINC000894167303 1042485810 /nfs/dbraw/zinc/48/58/10/1042485810.db2.gz XOSMCMRMFLNRIA-SFHVURJKSA-N 0 3 307.397 4.081 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc2ccccc21)C(=O)N1CCCCCC1 ZINC000216236157 1042496350 /nfs/dbraw/zinc/49/63/50/1042496350.db2.gz MBLFIOUQXFOQMX-IRXDYDNUSA-N 0 3 324.468 4.282 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NCC(=O)N(C)C2CC2)C(C)C)cc1 ZINC001167937048 1042515401 /nfs/dbraw/zinc/51/54/01/1042515401.db2.gz QLMAJDIKYBSXMA-MGPUTAFESA-N 0 3 316.489 4.108 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cccc3c2NCCC3)c1 ZINC000894211205 1042525786 /nfs/dbraw/zinc/52/57/86/1042525786.db2.gz OOMOZJASRDFWDZ-OAHLLOKOSA-N 0 3 310.441 4.294 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cccc3c2NCCC3)c1 ZINC000894211204 1042526158 /nfs/dbraw/zinc/52/61/58/1042526158.db2.gz OOMOZJASRDFWDZ-HNNXBMFYSA-N 0 3 310.441 4.294 20 0 DIADHN CCc1cnc(CNC[C@]2(c3ccccc3)CC2(C)C)s1 ZINC001203215729 1042532944 /nfs/dbraw/zinc/53/29/44/1042532944.db2.gz MCKFJGMBOZJCTE-SFHVURJKSA-N 0 3 300.471 4.163 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC(F)(F)C2)c(Br)c1 ZINC000513488611 1042547321 /nfs/dbraw/zinc/54/73/21/1042547321.db2.gz YJYYDLNAJNBLKD-GFCCVEGCSA-N 0 3 318.205 4.425 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3ccc(C)cn23)s1 ZINC000513506602 1042547603 /nfs/dbraw/zinc/54/76/03/1042547603.db2.gz AJHMHOUFLRAWRG-MRXNPFEDSA-N 0 3 311.454 4.350 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2c(C)nc3ccccn32)s1 ZINC000513503163 1042548680 /nfs/dbraw/zinc/54/86/80/1042548680.db2.gz LVXPKIZJASQWJL-OAHLLOKOSA-N 0 3 311.454 4.350 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2c(C)nc3ccccn32)s1 ZINC000513503162 1042548720 /nfs/dbraw/zinc/54/87/20/1042548720.db2.gz LVXPKIZJASQWJL-HNNXBMFYSA-N 0 3 311.454 4.350 20 0 DIADHN CCN1CCN(c2ccc(NCc3ccccc3C)c(C)c2)CC1 ZINC000513561816 1042552515 /nfs/dbraw/zinc/55/25/15/1042552515.db2.gz AGEBWIHADWCTLX-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000414184562 1042554309 /nfs/dbraw/zinc/55/43/09/1042554309.db2.gz FWFUSKIUPZNVBM-RRMDADRESA-N 0 3 321.873 4.489 20 0 DIADHN Clc1cccc(OCCNCc2cc3ccccc3o2)c1 ZINC000513600320 1042554425 /nfs/dbraw/zinc/55/44/25/1042554425.db2.gz ZCJYXFRAWYCUSP-UHFFFAOYSA-N 0 3 301.773 4.255 20 0 DIADHN CC(C)Oc1ccccc1CNCCO[C@H]1CCCC[C@@H]1C ZINC000513642586 1042555965 /nfs/dbraw/zinc/55/59/65/1042555965.db2.gz IWTYZAWKGAETEL-WMZOPIPTSA-N 0 3 305.462 4.159 20 0 DIADHN Cc1ccccc1OCCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000513656822 1042556525 /nfs/dbraw/zinc/55/65/25/1042556525.db2.gz XTIMTGNMEPOETO-SFHVURJKSA-N 0 3 313.445 4.008 20 0 DIADHN Cn1cccc1C(=O)CN1CCC[C@H]1c1cccc2ccccc21 ZINC000513677979 1042558195 /nfs/dbraw/zinc/55/81/95/1042558195.db2.gz GBXONLGCDDEJEI-IBGZPJMESA-N 0 3 318.420 4.198 20 0 DIADHN c1c[nH]c([C@@H](NCCCOc2ccccc2)C2CCCCC2)n1 ZINC000513683140 1042558993 /nfs/dbraw/zinc/55/89/93/1042558993.db2.gz GXCATYIJFULBKD-SFHVURJKSA-N 0 3 313.445 4.090 20 0 DIADHN Cc1ccncc1CN[C@@H]1CCCc2cc(OC(F)F)ccc21 ZINC000279992908 1042560867 /nfs/dbraw/zinc/56/08/67/1042560867.db2.gz RHTKSEWCQVGMLV-QGZVFWFLSA-N 0 3 318.367 4.159 20 0 DIADHN Cc1ccc([C@@H](NCCCC2CCOCC2)c2ccccn2)cc1 ZINC000280002027 1042562161 /nfs/dbraw/zinc/56/21/61/1042562161.db2.gz JJWNLEVTXVCIKB-OAQYLSRUSA-N 0 3 324.468 4.276 20 0 DIADHN Cc1ncsc1CCN[C@H](c1ccc(C)cc1)c1ccccn1 ZINC000280117494 1042564547 /nfs/dbraw/zinc/56/45/47/1042564547.db2.gz PVIAOYGQPJWJQR-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)cc1 ZINC000280120629 1042564778 /nfs/dbraw/zinc/56/47/78/1042564778.db2.gz PLVIVDNCZPZDAL-WOJBJXKFSA-N 0 3 322.452 4.020 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NCc1ccc(-c2ccccc2)cc1 ZINC000280115393 1042565255 /nfs/dbraw/zinc/56/52/55/1042565255.db2.gz NWRVJTXJFUTTJD-HXUWFJFHSA-N 0 3 317.436 4.254 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(Cl)cn1)[C@H](O)c1ccccc1 ZINC000280163781 1042566109 /nfs/dbraw/zinc/56/61/09/1042566109.db2.gz QVOIEAKSLIGZQS-JEBQAFNWSA-N 0 3 318.848 4.288 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(Cl)cn1)[C@@H](O)c1ccccc1 ZINC000280163786 1042566504 /nfs/dbraw/zinc/56/65/04/1042566504.db2.gz QVOIEAKSLIGZQS-KKXDTOCCSA-N 0 3 318.848 4.288 20 0 DIADHN Cc1nc2ccccc2n1C1CCN([C@H](C)c2ccccn2)CC1 ZINC000280228589 1042568438 /nfs/dbraw/zinc/56/84/38/1042568438.db2.gz MBOCGIDAMSSWIS-OAHLLOKOSA-N 0 3 320.440 4.138 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2nc(-c3ccccc3)oc2C)CC1 ZINC000280266027 1042569188 /nfs/dbraw/zinc/56/91/88/1042569188.db2.gz CLENOGXCJYRWQX-IBGZPJMESA-N 0 3 314.429 4.041 20 0 DIADHN C[C@H](c1cnccn1)N1CCC(c2cccc(Cl)c2)CC1 ZINC000280286310 1042570247 /nfs/dbraw/zinc/57/02/47/1042570247.db2.gz DWEIFKJKLLUSFZ-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)c1 ZINC000513716954 1042596684 /nfs/dbraw/zinc/59/66/84/1042596684.db2.gz KBQSBOWHWQLLRT-PRXAMGSTSA-N 0 3 315.438 4.119 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCc2ccccc2C1 ZINC000513724420 1042608005 /nfs/dbraw/zinc/60/80/05/1042608005.db2.gz GSCCQSABSCFFLY-ZDUSSCGKSA-N 0 3 303.352 4.407 20 0 DIADHN CC(C)n1cc([C@@H](C)NCc2cc3c(cccc3O)s2)cn1 ZINC000894251327 1042608668 /nfs/dbraw/zinc/60/86/68/1042608668.db2.gz RJMWGFWUPDBPQC-GFCCVEGCSA-N 0 3 315.442 4.235 20 0 DIADHN C[C@@H]1CCCC[C@H]1c1noc(C2CN(C3CCCCC3)C2)n1 ZINC000420506134 1042608710 /nfs/dbraw/zinc/60/87/10/1042608710.db2.gz RIURUZHFVJRTON-CZUORRHYSA-N 0 3 303.450 4.095 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C2CCCC2)C1 ZINC000420964462 1042618117 /nfs/dbraw/zinc/61/81/17/1042618117.db2.gz PPLIBWYHSMDHHY-TZMCWYRMSA-N 0 3 317.458 4.371 20 0 DIADHN Clc1ccc(CN2CC[C@@H](n3cccn3)C2)c2ccccc12 ZINC000420971523 1042618685 /nfs/dbraw/zinc/61/86/85/1042618685.db2.gz KILYYDGETRZFJU-OAHLLOKOSA-N 0 3 311.816 4.137 20 0 DIADHN COc1cccc([C@H](C)N2CCC[C@H](CC(F)(F)F)C2)c1 ZINC000420942531 1042618777 /nfs/dbraw/zinc/61/87/77/1042618777.db2.gz HBTKRXOQLXFISW-QWHCGFSZSA-N 0 3 301.352 4.421 20 0 DIADHN Fc1ccccc1OCCN[C@H](CC(F)F)c1ccccc1 ZINC000420986600 1042618912 /nfs/dbraw/zinc/61/89/12/1042618912.db2.gz MKJFFXRWJGHOLL-OAHLLOKOSA-N 0 3 309.331 4.191 20 0 DIADHN CNC(=O)c1ccc(CN(C(C)C)[C@@H](C)c2ccsc2)cc1 ZINC000421007423 1042621797 /nfs/dbraw/zinc/62/17/97/1042621797.db2.gz LJPUTVRXOBGAET-AWEZNQCLSA-N 0 3 316.470 4.079 20 0 DIADHN c1cc(CN2CCC(c3noc(C4CC4)n3)CC2)cc(C2CC2)c1 ZINC000421339104 1042630790 /nfs/dbraw/zinc/63/07/90/1042630790.db2.gz FVWBGHKVUUYEOH-UHFFFAOYSA-N 0 3 323.440 4.204 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc(C2CC2)c1)c1cccs1 ZINC000421374092 1042633258 /nfs/dbraw/zinc/63/32/58/1042633258.db2.gz AYMIVJYYUFEYTA-SFHVURJKSA-N 0 3 315.482 4.475 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(CC2=Cc3ccccc3OC2)C1 ZINC000421384452 1042634980 /nfs/dbraw/zinc/63/49/80/1042634980.db2.gz FAXBJFSPMZQVAW-CYBMUJFWSA-N 0 3 311.347 4.127 20 0 DIADHN Cc1cc(CN2CCC[C@H](CC(F)(F)F)C2)cc(C)c1O ZINC000421394466 1042635794 /nfs/dbraw/zinc/63/57/94/1042635794.db2.gz SZGPGVDMQFFOHA-CYBMUJFWSA-N 0 3 301.352 4.173 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000072698296 1042641680 /nfs/dbraw/zinc/64/16/80/1042641680.db2.gz FQFJQNAZPOQDEY-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccncc1Cl)c1ccco1 ZINC000421825544 1042653347 /nfs/dbraw/zinc/65/33/47/1042653347.db2.gz ODNYTIDQJJOWKI-BBRMVZONSA-N 0 3 321.852 4.062 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2)C1)c1ccncc1Cl ZINC000421828387 1042654521 /nfs/dbraw/zinc/65/45/21/1042654521.db2.gz CMQIMHVLIBYWHK-LSDHHAIUSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](NC[C@H]1SCCc2ccccc21)c1ccncc1Cl ZINC000421834551 1042655556 /nfs/dbraw/zinc/65/55/56/1042655556.db2.gz PAEBGIYZSYEMQE-SJKOYZFVSA-N 0 3 318.873 4.416 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)cs2)C2CC2)c(F)c1 ZINC000421851768 1042656726 /nfs/dbraw/zinc/65/67/26/1042656726.db2.gz GIMHCPKEDKKCNT-QGZVFWFLSA-N 0 3 305.418 4.445 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1cc(Cl)sc1Cl ZINC000421865193 1042658204 /nfs/dbraw/zinc/65/82/04/1042658204.db2.gz YLYAHOWKGJVZHF-VIFPVBQESA-N 0 3 308.274 4.401 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccncc1Cl ZINC000421845808 1042659814 /nfs/dbraw/zinc/65/98/14/1042659814.db2.gz XWHUYENTCIQISZ-KGLIPLIRSA-N 0 3 308.853 4.268 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(OC(F)(F)F)c2)cc(C)n1 ZINC000421880779 1042661136 /nfs/dbraw/zinc/66/11/36/1042661136.db2.gz OPMMHOJHSXFXCB-CYBMUJFWSA-N 0 3 324.346 4.448 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(OCc2ccccc2)cc1)c1cn[nH]c1 ZINC000271436305 1042664834 /nfs/dbraw/zinc/66/48/34/1042664834.db2.gz DTIYMSOHXBDERN-HZPDHXFCSA-N 0 3 321.424 4.401 20 0 DIADHN CCCCC[C@@H](NCc1cc(=O)c(OC)co1)c1ccccc1 ZINC000271845913 1042670423 /nfs/dbraw/zinc/67/04/23/1042670423.db2.gz VMMBXNFMKJKGHN-QGZVFWFLSA-N 0 3 315.413 4.060 20 0 DIADHN C[C@H](NC1(c2ccccc2)CC1)c1ccc2c(c1)OCCCO2 ZINC000271993720 1042674243 /nfs/dbraw/zinc/67/42/43/1042674243.db2.gz MNBZWMZCZXLIHZ-HNNXBMFYSA-N 0 3 309.409 4.188 20 0 DIADHN C[C@H](NC1(c2ccccc2)CC1)c1ccc(-n2ccnc2)cc1 ZINC000271992415 1042674808 /nfs/dbraw/zinc/67/48/08/1042674808.db2.gz MGCGKGJMJXKZKA-INIZCTEOSA-N 0 3 303.409 4.212 20 0 DIADHN CC[C@H](NCc1ccc(Br)c(C)c1)c1ccncc1 ZINC000072738794 1042675338 /nfs/dbraw/zinc/67/53/38/1042675338.db2.gz OFLMTXXZUFMFGH-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1noc2ncc(CN[C@@H](CC3CC3)c3ccccc3)cc12 ZINC000894269445 1042680937 /nfs/dbraw/zinc/68/09/37/1042680937.db2.gz STGQSSSSCKEQJG-SFHVURJKSA-N 0 3 307.397 4.162 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(Cl)cn1 ZINC000423354309 1042707187 /nfs/dbraw/zinc/70/71/87/1042707187.db2.gz NGHXKWNRWJDJDR-DIFFPNOSSA-N 0 3 301.821 4.045 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(F)cn1 ZINC000423350696 1042707894 /nfs/dbraw/zinc/70/78/94/1042707894.db2.gz GQLKGYWXRWYLOD-PKOBYXMFSA-N 0 3 313.420 4.167 20 0 DIADHN C[C@@H](NCc1cccc2c1CCCN2)c1cnn(C2CCCC2)c1 ZINC000423409797 1042711373 /nfs/dbraw/zinc/71/13/73/1042711373.db2.gz CFRFRLTUSFNCOL-OAHLLOKOSA-N 0 3 324.472 4.207 20 0 DIADHN CC1(C)C[C@H](N[C@@H]2CCc3ccccc32)c2cc(N)ccc2O1 ZINC000423426972 1042713158 /nfs/dbraw/zinc/71/31/58/1042713158.db2.gz PZYOKAYITAZUGC-MSOLQXFVSA-N 0 3 308.425 4.148 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc3c(c2)CCCN3)ccc1F ZINC000423453167 1042714871 /nfs/dbraw/zinc/71/48/71/1042714871.db2.gz XINKJSJVLSLOMJ-CYBMUJFWSA-N 0 3 314.404 4.043 20 0 DIADHN CC(C)Cc1cccc([C@H](C)C(=O)Nc2ccc3c(c2)CNC3)c1 ZINC000423690743 1042720378 /nfs/dbraw/zinc/72/03/78/1042720378.db2.gz DNDVGJJDZZOVPK-HNNXBMFYSA-N 0 3 322.452 4.231 20 0 DIADHN C[C@H]1CCCN(C(=O)C[C@@H](N)c2ccccc2)c2ccccc21 ZINC000423783030 1042720933 /nfs/dbraw/zinc/72/09/33/1042720933.db2.gz NAKRVQMSMGDCPU-MAUKXSAKSA-N 0 3 308.425 4.007 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](N[C@H](C)c3c(F)cccc3Cl)C[C@H]2C1 ZINC000424305263 1042735225 /nfs/dbraw/zinc/73/52/25/1042735225.db2.gz RXZCIHIMVKGPEY-RGDJUOJXSA-N 0 3 311.828 4.333 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCC[C@H]2OC(F)F)c(Cl)c1 ZINC000424345152 1042738329 /nfs/dbraw/zinc/73/83/29/1042738329.db2.gz HPGRORSRBAPJFD-CUOATXAZSA-N 0 3 319.779 4.160 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](c2ccccc2)N2CC[C@@H](C)C2)cc1 ZINC000514004230 1042766371 /nfs/dbraw/zinc/76/63/71/1042766371.db2.gz DXSJDUBPAFRWRF-VQIMIIECSA-N 0 3 308.425 4.017 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1ccc(F)c(Br)c1 ZINC000228656617 1042768764 /nfs/dbraw/zinc/76/87/64/1042768764.db2.gz QZUOTRFCCWLKAY-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN c1csc(SC[C@@H]2CN(Cc3ccccc3)CCCO2)c1 ZINC000430111110 1042780366 /nfs/dbraw/zinc/78/03/66/1042780366.db2.gz NUKMJESEZQVVPF-INIZCTEOSA-N 0 3 319.495 4.131 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000425338939 1042794342 /nfs/dbraw/zinc/79/43/42/1042794342.db2.gz BNQQEFXMXOSJLL-YNEHKIRRSA-N 0 3 307.356 4.303 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2cncn2C(C)C)c1 ZINC000425362699 1042795879 /nfs/dbraw/zinc/79/58/79/1042795879.db2.gz VQJPYSBDRGQSOA-DNVCBOLYSA-N 0 3 313.445 4.056 20 0 DIADHN COc1ccccc1-c1ccc(CN2CC(CC(F)F)C2)cc1 ZINC000425365504 1042798229 /nfs/dbraw/zinc/79/82/29/1042798229.db2.gz LBYKEWOFQQNMIF-UHFFFAOYSA-N 0 3 317.379 4.449 20 0 DIADHN CC(C)c1ncc(CN2CC3(CCC3)[C@H]2C2CCOCC2)s1 ZINC000425373689 1042799662 /nfs/dbraw/zinc/79/96/62/1042799662.db2.gz QMGKDGMNELLZAI-MRXNPFEDSA-N 0 3 320.502 4.048 20 0 DIADHN Clc1ccsc1CN1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425376190 1042801043 /nfs/dbraw/zinc/80/10/43/1042801043.db2.gz WTLUSVRWRWVXKY-OAHLLOKOSA-N 0 3 311.878 4.183 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3csc(Cl)c3)n2)cc1 ZINC000514969453 1042803771 /nfs/dbraw/zinc/80/37/71/1042803771.db2.gz IIHJXCCGSHXGSD-UHFFFAOYSA-N 0 3 319.817 4.180 20 0 DIADHN Cc1ncoc1CNCC1(c2cccc(C(F)(F)F)c2)CCC1 ZINC000894308126 1042807755 /nfs/dbraw/zinc/80/77/55/1042807755.db2.gz QBLDMRVPKYHFAT-UHFFFAOYSA-N 0 3 324.346 4.213 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCCc1c[nH]c2ccccc12 ZINC000925000250 1042819586 /nfs/dbraw/zinc/81/95/86/1042819586.db2.gz RUJZGHDPNHZQIN-QGZVFWFLSA-N 0 3 309.413 4.064 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC[C@H]1c1cccc(C)c1 ZINC000515510138 1042823711 /nfs/dbraw/zinc/82/37/11/1042823711.db2.gz CDILENMGZQPAKC-FQEVSTJZSA-N 0 3 323.436 4.414 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3cccs3)no2)[C@@H]2CCCC[C@H]12 ZINC000515548454 1042825510 /nfs/dbraw/zinc/82/55/10/1042825510.db2.gz WBTVORLJGDRLRQ-MGPQQGTHSA-N 0 3 317.458 4.199 20 0 DIADHN CCc1ccccc1NC(=O)CN1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000515549534 1042826809 /nfs/dbraw/zinc/82/68/09/1042826809.db2.gz YDRNMVVODGSLLI-VDZJLULYSA-N 0 3 314.473 4.088 20 0 DIADHN C[C@H](N[C@@H](c1nnc[nH]1)C1CCCCC1)c1cccc(Cl)c1 ZINC000638289910 1042842300 /nfs/dbraw/zinc/84/23/00/1042842300.db2.gz BZMXUQUPHZTGPK-BLLLJJGKSA-N 0 3 318.852 4.430 20 0 DIADHN Fc1cccc(Br)c1CN[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000712403930 1042845683 /nfs/dbraw/zinc/84/56/83/1042845683.db2.gz NZTXIZCNHVMPEJ-ZIBATOQPSA-N 0 3 312.226 4.257 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2[C@H]2CCCOC2)s1 ZINC000516770863 1042853660 /nfs/dbraw/zinc/85/36/60/1042853660.db2.gz PYBFVLGRVHSBGD-WCQYABFASA-N 0 3 319.392 4.158 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2[C@H]2CCCOC2)s1 ZINC000516770861 1042853796 /nfs/dbraw/zinc/85/37/96/1042853796.db2.gz PYBFVLGRVHSBGD-AAEUAGOBSA-N 0 3 319.392 4.158 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc3[nH]ccc3c2)[C@@H]2CCCO2)cc1 ZINC000516877150 1042857444 /nfs/dbraw/zinc/85/74/44/1042857444.db2.gz AWZPILUDJBYNTO-VQTJNVASSA-N 0 3 324.399 4.317 20 0 DIADHN Cc1ccc2nccc(N3C[C@@H](CC(F)(F)F)O[C@@H](C)C3)c2c1 ZINC000664635807 1042861270 /nfs/dbraw/zinc/86/12/70/1042861270.db2.gz RIZXTWCDWWVRNM-QWHCGFSZSA-N 0 3 324.346 4.089 20 0 DIADHN CC(C)N1CC[C@@H](Oc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001225920763 1042863698 /nfs/dbraw/zinc/86/36/98/1042863698.db2.gz PPVCHUNKKCCDAC-LLVKDONJSA-N 0 3 307.743 4.220 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H](c1cccs1)C1CC1 ZINC000517542049 1042884495 /nfs/dbraw/zinc/88/44/95/1042884495.db2.gz KSICPLZEWOPPAX-IBGZPJMESA-N 0 3 323.465 4.483 20 0 DIADHN Cc1ccc(-c2nc(CCNCc3ccc(Cl)o3)co2)cc1 ZINC000517551202 1042885426 /nfs/dbraw/zinc/88/54/26/1042885426.db2.gz DORBUJGGISTGML-UHFFFAOYSA-N 0 3 316.788 4.229 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(F)cc1F)c1ccsc1 ZINC000517536118 1042885907 /nfs/dbraw/zinc/88/59/07/1042885907.db2.gz ZNPNTNDHEIPVPH-KRWDZBQOSA-N 0 3 324.440 4.199 20 0 DIADHN Clc1ccc2[nH]c([C@H]3CCCN3C[C@H]3CCSC3)nc2c1 ZINC000425971832 1042890020 /nfs/dbraw/zinc/89/00/20/1042890020.db2.gz SPKIODGLYDLNLJ-IAQYHMDHSA-N 0 3 321.877 4.106 20 0 DIADHN CC(C)N1CCN([C@H](C)c2ccc(Cl)c(Cl)c2)CC1 ZINC000517725933 1042893863 /nfs/dbraw/zinc/89/38/63/1042893863.db2.gz HPYDEXFAHRTTNM-GFCCVEGCSA-N 0 3 301.261 4.080 20 0 DIADHN c1ccc([C@@H](NCc2nccc3ccccc32)[C@@H]2CCOC2)cc1 ZINC000894371414 1042912667 /nfs/dbraw/zinc/91/26/67/1042912667.db2.gz ATTPAFPZQZLHDG-WIYYLYMNSA-N 0 3 318.420 4.102 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(C(F)(F)F)c2)s1 ZINC000296572817 1042918430 /nfs/dbraw/zinc/91/84/30/1042918430.db2.gz TUSVOIDWGHYCBR-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN Clc1cccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]43)co2)c1 ZINC000426464628 1042923186 /nfs/dbraw/zinc/92/31/86/1042923186.db2.gz XJCZJHLTTDMIMC-LRDDRELGSA-N 0 3 302.805 4.369 20 0 DIADHN CCC(CC)[C@H](CCNCc1nc(-c2ccccc2)c[nH]1)OC ZINC000518897470 1042923736 /nfs/dbraw/zinc/92/37/36/1042923736.db2.gz PBNRHBCTUPWLDK-SFHVURJKSA-N 0 3 315.461 4.008 20 0 DIADHN CCC(CC)[C@@H](CCNCc1nc(-c2ccccc2)c[nH]1)OC ZINC000518897469 1042923779 /nfs/dbraw/zinc/92/37/79/1042923779.db2.gz PBNRHBCTUPWLDK-GOSISDBHSA-N 0 3 315.461 4.008 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)C/C=C/c1ccncc1 ZINC000426508797 1042928417 /nfs/dbraw/zinc/92/84/17/1042928417.db2.gz JRVFFOXNBNYHJT-OAJJDEHYSA-N 0 3 316.832 4.068 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@H](CCc1ccccc1)c1ccccc1 ZINC000519032719 1042929930 /nfs/dbraw/zinc/92/99/30/1042929930.db2.gz ZANFJDLPIGNWSR-LJQANCHMSA-N 0 3 318.420 4.251 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC[S@](=O)c1ccccc1 ZINC000519193712 1042937249 /nfs/dbraw/zinc/93/72/49/1042937249.db2.gz RLSSOARJEZZUQX-UGKGYDQZSA-N 0 3 315.482 4.267 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC[S@@](=O)c1ccccc1 ZINC000519193711 1042937469 /nfs/dbraw/zinc/93/74/69/1042937469.db2.gz RLSSOARJEZZUQX-SIKLNZKXSA-N 0 3 315.482 4.267 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nc3ccccc3n2C(F)F)C1 ZINC000519227487 1042939432 /nfs/dbraw/zinc/93/94/32/1042939432.db2.gz RJFFIYSIHFHXFW-QWHCGFSZSA-N 0 3 307.388 4.442 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nc3ccccc3n2C(F)F)C1 ZINC000519227486 1042939505 /nfs/dbraw/zinc/93/95/05/1042939505.db2.gz RJFFIYSIHFHXFW-OLZOCXBDSA-N 0 3 307.388 4.442 20 0 DIADHN CCc1cccc(OCCN(C)[C@H](C)c2sc(C)nc2C)c1 ZINC000519344265 1042944857 /nfs/dbraw/zinc/94/48/57/1042944857.db2.gz AFLCXWIPZJHSQN-CQSZACIVSA-N 0 3 318.486 4.394 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000519432086 1042948946 /nfs/dbraw/zinc/94/89/46/1042948946.db2.gz DZUVDIPSWKEFTM-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN COCC[C@H](NCc1cc2c(cccc2O)s1)c1ccco1 ZINC000894432254 1042957194 /nfs/dbraw/zinc/95/71/94/1042957194.db2.gz XRMUSEIIPYGJSS-AWEZNQCLSA-N 0 3 317.410 4.067 20 0 DIADHN Cc1nnc(CNC[C@H](c2ccc(Cl)cc2)C(C)C)s1 ZINC000894426286 1042957332 /nfs/dbraw/zinc/95/73/32/1042957332.db2.gz JWKNMNNWTBUYJX-AWEZNQCLSA-N 0 3 309.866 4.029 20 0 DIADHN FC(F)(F)Cc1ncc(CN2CCC3(CCC3)CC2)s1 ZINC000894423673 1042957645 /nfs/dbraw/zinc/95/76/45/1042957645.db2.gz SPLILJQHJRMXJU-UHFFFAOYSA-N 0 3 304.381 4.014 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2nccc3ccccc32)C1 ZINC000894461629 1042960089 /nfs/dbraw/zinc/96/00/89/1042960089.db2.gz KJKKFXDOPNLMLW-MGPUTAFESA-N 0 3 303.409 4.213 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2nccc3ccccc32)C1 ZINC000894461630 1042960129 /nfs/dbraw/zinc/96/01/29/1042960129.db2.gz KJKKFXDOPNLMLW-QRWLVFNGSA-N 0 3 303.409 4.213 20 0 DIADHN C[C@@H](CCN1CC(C)(C)[C@H]1c1cccnc1)OCc1ccccc1 ZINC000664820873 1042963101 /nfs/dbraw/zinc/96/31/01/1042963101.db2.gz BNZOHJVWHJFVHS-FXAWDEMLSA-N 0 3 324.468 4.460 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)c2cccc3ccccc32)c1 ZINC000008268853 1042964571 /nfs/dbraw/zinc/96/45/71/1042964571.db2.gz WNPCXZMJSDEWOC-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN CC1(C)CN(CCCCC2CCOCC2)[C@H]1c1ccncc1 ZINC000664829685 1042966906 /nfs/dbraw/zinc/96/69/06/1042966906.db2.gz PGXXHZSMTVFSHJ-SFHVURJKSA-N 0 3 302.462 4.061 20 0 DIADHN CO[C@@H](CN1CC(C)(C)[C@H]1c1ccncc1)C1CCCCC1 ZINC000664831020 1042968149 /nfs/dbraw/zinc/96/81/49/1042968149.db2.gz ZHAGOFKDCIGUDT-ZWKOTPCHSA-N 0 3 302.462 4.060 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN[C@H]1CCCc2c(F)cccc21 ZINC000894659520 1042972068 /nfs/dbraw/zinc/97/20/68/1042972068.db2.gz USBJDECYCHVFPF-IBGZPJMESA-N 0 3 315.436 4.387 20 0 DIADHN CC(C)N(Cc1cnc(N2CCCC2)nc1)[C@H](C)c1ccccc1 ZINC000519576725 1042976414 /nfs/dbraw/zinc/97/64/14/1042976414.db2.gz PKHHCQXIXAACGY-QGZVFWFLSA-N 0 3 324.472 4.048 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCC[C@H]2c2ccccc2Cl)[n-]1 ZINC000894778279 1042977000 /nfs/dbraw/zinc/97/70/00/1042977000.db2.gz PEAZHRBDDNNYTC-HZUKXOBISA-N 0 3 318.852 4.144 20 0 DIADHN COc1cc(CNCc2ccsc2)ccc1OC1CCCC1 ZINC000078454059 1042984008 /nfs/dbraw/zinc/98/40/08/1042984008.db2.gz UEYWGFISKVUWPJ-UHFFFAOYSA-N 0 3 317.454 4.368 20 0 DIADHN OCCC[C@@H](N[C@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000280789988 1042991253 /nfs/dbraw/zinc/99/12/53/1042991253.db2.gz HLZFFUAEBBXSLZ-UXHICEINSA-N 0 3 310.441 4.026 20 0 DIADHN OCCC[C@H](N[C@@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000280789992 1042991317 /nfs/dbraw/zinc/99/13/17/1042991317.db2.gz HLZFFUAEBBXSLZ-VQTJNVASSA-N 0 3 310.441 4.026 20 0 DIADHN CC(C)CN(Cc1noc(-c2ccsc2)n1)C1CCCC1 ZINC000519908329 1043007943 /nfs/dbraw/zinc/00/79/43/1043007943.db2.gz SRRKOGPAOIROHN-UHFFFAOYSA-N 0 3 305.447 4.199 20 0 DIADHN Cc1ccc(CNCc2cnn(C)c2C2CC2)c2ccccc12 ZINC000894893505 1043014097 /nfs/dbraw/zinc/01/40/97/1043014097.db2.gz GUMFVJZMLVUBKE-UHFFFAOYSA-N 0 3 305.425 4.049 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccsc1)c1ccc(F)cc1Cl ZINC000159944378 1043018229 /nfs/dbraw/zinc/01/82/29/1043018229.db2.gz KOGRSCFDLIBWEG-BMIGLBTASA-N 0 3 313.825 4.099 20 0 DIADHN C[C@H](c1nc(-c2ccc(C(F)F)nc2)no1)N1[C@H](C)CC[C@H]1C ZINC000664957099 1043019176 /nfs/dbraw/zinc/01/91/76/1043019176.db2.gz KUTUZLDGKNLLON-GMTAPVOTSA-N 0 3 322.359 4.003 20 0 DIADHN COCc1cc(CN[C@H](C)c2cc3ccccc3[nH]2)ccc1F ZINC000925097835 1043021971 /nfs/dbraw/zinc/02/19/71/1043021971.db2.gz RCWJGAQNEPROSD-CYBMUJFWSA-N 0 3 312.388 4.304 20 0 DIADHN C[C@H](c1ccco1)N(C)CCOc1cccc(Br)c1 ZINC000520174790 1043021994 /nfs/dbraw/zinc/02/19/94/1043021994.db2.gz AKFIBUXSBUBKRD-GFCCVEGCSA-N 0 3 324.218 4.114 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@@H]2CCC[C@H](C)C2)c(C)c1C ZINC000441173608 1043024768 /nfs/dbraw/zinc/02/47/68/1043024768.db2.gz CMXVEKUIULAYIF-XHDPSFHLSA-N 0 3 308.491 4.122 20 0 DIADHN CCOc1ccc(CNCc2cc(C)c(C)cc2C)cc1F ZINC000520228652 1043025747 /nfs/dbraw/zinc/02/57/47/1043025747.db2.gz BYFTXJDKDSEFIM-UHFFFAOYSA-N 0 3 301.405 4.439 20 0 DIADHN c1cc2cc(CCNCc3coc(-c4ccccc4)n3)ccc2[nH]1 ZINC000441217792 1043027932 /nfs/dbraw/zinc/02/79/32/1043027932.db2.gz OPJDONJHZUVMGD-UHFFFAOYSA-N 0 3 317.392 4.155 20 0 DIADHN CCOc1ccccc1OCCN(CC)Cc1cccs1 ZINC000441247348 1043030346 /nfs/dbraw/zinc/03/03/46/1043030346.db2.gz RHLVSCYAHWQCNX-UHFFFAOYSA-N 0 3 305.443 4.048 20 0 DIADHN Clc1csc(CN2CCCN3c4ccccc4C[C@@H]3C2)c1 ZINC000520297135 1043031496 /nfs/dbraw/zinc/03/14/96/1043031496.db2.gz KXUVLCRYKAPLMV-OAHLLOKOSA-N 0 3 318.873 4.039 20 0 DIADHN CC(C)OCCCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000520288170 1043032062 /nfs/dbraw/zinc/03/20/62/1043032062.db2.gz DQNVCOUAKXYXON-MRXNPFEDSA-N 0 3 321.852 4.168 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1nc(C)c(C)o1 ZINC000441368995 1043041560 /nfs/dbraw/zinc/04/15/60/1043041560.db2.gz LVNCLLOEVUENPN-SFHVURJKSA-N 0 3 300.446 4.443 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ccn(-c2ccc(F)cc2)n1 ZINC000441375991 1043041928 /nfs/dbraw/zinc/04/19/28/1043041928.db2.gz CJZYJUNYZJLMCN-SFHVURJKSA-N 0 3 301.409 4.022 20 0 DIADHN CCCn1cc(CN[C@H]2CC(C)(C)CCc3ccccc32)cn1 ZINC000441381166 1043041949 /nfs/dbraw/zinc/04/19/49/1043041949.db2.gz ILSXBZHBERPQPS-IBGZPJMESA-N 0 3 311.473 4.487 20 0 DIADHN CCCN(CCC(C)(C)C)CC(=O)Nc1ccc(Cl)cc1 ZINC001167990246 1043047759 /nfs/dbraw/zinc/04/77/59/1043047759.db2.gz OSZWUDWDKDQYAN-UHFFFAOYSA-N 0 3 310.869 4.427 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@@H](c1cc(F)ccc1F)C(C)C ZINC000794805413 1043048871 /nfs/dbraw/zinc/04/88/71/1043048871.db2.gz GNCYIWBPUQMCIR-RTBURBONSA-N 0 3 319.395 4.294 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000112529501 1043050798 /nfs/dbraw/zinc/05/07/98/1043050798.db2.gz OAHOBJASAZTECJ-ZDUSSCGKSA-N 0 3 304.821 4.373 20 0 DIADHN COC[C@H](CC(C)C)N[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000537963148 1043054324 /nfs/dbraw/zinc/05/43/24/1043054324.db2.gz AJOIJYRJDBAYHE-LSDHHAIUSA-N 0 3 321.358 4.470 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccncc1 ZINC000174002211 1043058239 /nfs/dbraw/zinc/05/82/39/1043058239.db2.gz QXYQTKCAONVXGK-CHWSQXEVSA-N 0 3 324.346 4.435 20 0 DIADHN Cc1ccc(-c2ccc(CNCCOCCC(C)C)o2)cc1 ZINC001648956941 1043063065 /nfs/dbraw/zinc/06/30/65/1043063065.db2.gz UBDSNMYOPXGSQM-UHFFFAOYSA-N 0 3 301.430 4.407 20 0 DIADHN FC(F)(F)c1cccc(C2(NCc3ccncc3)CCC2)c1 ZINC000174585703 1043067285 /nfs/dbraw/zinc/06/72/85/1043067285.db2.gz HCJHJRSVYWLQRV-UHFFFAOYSA-N 0 3 306.331 4.269 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCc3ccc(F)cc32)c1 ZINC000174988478 1043073344 /nfs/dbraw/zinc/07/33/44/1043073344.db2.gz FIJJRSACWPBCNZ-BLVKFPJESA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCc3ccc(F)cc32)c1 ZINC000174988460 1043074044 /nfs/dbraw/zinc/07/40/44/1043074044.db2.gz FIJJRSACWPBCNZ-BUXKBTBVSA-N 0 3 312.388 4.122 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1cc(F)cc(F)c1 ZINC000120487694 1043082042 /nfs/dbraw/zinc/08/20/42/1043082042.db2.gz KOLMKSGCJYBYMC-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cc(CCN[C@H](c2ccccc2)c2ccc(F)cc2)on1 ZINC000520439380 1043086799 /nfs/dbraw/zinc/08/67/99/1043086799.db2.gz QMJNVUPETSBRFU-LJQANCHMSA-N 0 3 310.372 4.044 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(OC(F)(F)F)c1)c1cccnc1 ZINC000175704464 1043088756 /nfs/dbraw/zinc/08/87/56/1043088756.db2.gz DSFRCFJTPSTCAU-NWDGAFQWSA-N 0 3 310.319 4.392 20 0 DIADHN COc1ccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000176635979 1043099165 /nfs/dbraw/zinc/09/91/65/1043099165.db2.gz UTUUZPOYMKMSRB-GOSISDBHSA-N 0 3 309.409 4.231 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2CCOCC2)c(F)c1 ZINC000865464238 1043101684 /nfs/dbraw/zinc/10/16/84/1043101684.db2.gz ILRIRRPDWDMZOW-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1nc(C)c(CNCc2cccc(OC(C)(C)C)c2)s1 ZINC000865474563 1043102658 /nfs/dbraw/zinc/10/26/58/1043102658.db2.gz KGVKSJIUMZANBU-UHFFFAOYSA-N 0 3 304.459 4.227 20 0 DIADHN CCOCCC1(CNCc2nc(C(C)(C)C)cs2)CCC1 ZINC000865494361 1043105435 /nfs/dbraw/zinc/10/54/35/1043105435.db2.gz WIWHVBOGPIEXNC-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(Cl)s1 ZINC000177330887 1043106648 /nfs/dbraw/zinc/10/66/48/1043106648.db2.gz GKOPTVLVLKJWME-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1c(Cl)cccc1CN[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000865511227 1043107809 /nfs/dbraw/zinc/10/78/09/1043107809.db2.gz XXMPHUZXRHVYQX-ZSEKCTLFSA-N 0 3 321.873 4.237 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865515672 1043108367 /nfs/dbraw/zinc/10/83/67/1043108367.db2.gz URZRQQREIUJYHJ-QMTHXVAHSA-N 0 3 317.260 4.072 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000865513322 1043108462 /nfs/dbraw/zinc/10/84/62/1043108462.db2.gz UKKHKUVJIIUZMS-LJQANCHMSA-N 0 3 310.441 4.500 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCO[C@H](C(C)(C)C)C2)n1 ZINC000865515639 1043108672 /nfs/dbraw/zinc/10/86/72/1043108672.db2.gz TUQRSTJXROAAJB-OCCSQVGLSA-N 0 3 310.507 4.124 20 0 DIADHN Fc1cccc2cc(CN[C@H](c3cccnc3)C3CC3)cnc12 ZINC000865512907 1043108680 /nfs/dbraw/zinc/10/86/80/1043108680.db2.gz QIMURGWERAVSII-SFHVURJKSA-N 0 3 307.372 4.010 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2CCO[C@@H](C(C)(C)C)C2)n1 ZINC000865515637 1043108911 /nfs/dbraw/zinc/10/89/11/1043108911.db2.gz TUQRSTJXROAAJB-GXTWGEPZSA-N 0 3 310.507 4.124 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3cn(C4CC4)cn3)CC2)cc1 ZINC000865523302 1043109839 /nfs/dbraw/zinc/10/98/39/1043109839.db2.gz IGJHCNDCOQKDBM-KRWDZBQOSA-N 0 3 311.404 4.083 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(Cl)nc2)cc1 ZINC000177573729 1043110184 /nfs/dbraw/zinc/11/01/84/1043110184.db2.gz XWLYDSWMFMRBQJ-ZDUSSCGKSA-N 0 3 304.821 4.375 20 0 DIADHN CC(C)(C)c1csc(CNCC2(c3ccccn3)CCC2)n1 ZINC000865566049 1043113379 /nfs/dbraw/zinc/11/33/79/1043113379.db2.gz FKUDBHCEEOXFOV-UHFFFAOYSA-N 0 3 315.486 4.047 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(N2CCOC2=O)cc1)c1ccsc1 ZINC000177756793 1043113419 /nfs/dbraw/zinc/11/34/19/1043113419.db2.gz JERRQGCRGLLAKZ-CHWSQXEVSA-N 0 3 316.426 4.117 20 0 DIADHN Cc1cc(CNC2(c3cccc(F)c3)CCOCC2)c(C)s1 ZINC000177794580 1043113894 /nfs/dbraw/zinc/11/38/94/1043113894.db2.gz UQYHSEFVVQYDKO-UHFFFAOYSA-N 0 3 319.445 4.300 20 0 DIADHN CC1(C)C[C@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000177838741 1043115721 /nfs/dbraw/zinc/11/57/21/1043115721.db2.gz VSEHNZQDIAXTTL-IBGZPJMESA-N 0 3 321.468 4.194 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@@H]1CC12CCSCC2 ZINC000865606448 1043116365 /nfs/dbraw/zinc/11/63/65/1043116365.db2.gz ZXAXVIMVVTWZCV-JTQLQIEISA-N 0 3 317.285 4.011 20 0 DIADHN C[C@]1(CCNCc2c(Cl)cncc2Cl)C[C@]1(F)Cl ZINC000865618456 1043119038 /nfs/dbraw/zinc/11/90/38/1043119038.db2.gz YJBMMNATHQBLTP-NWDGAFQWSA-N 0 3 311.615 4.183 20 0 DIADHN C[C@H](NCCc1cn(C)c2cc(Cl)ccc12)c1nccs1 ZINC000188558820 1043119198 /nfs/dbraw/zinc/11/91/98/1043119198.db2.gz XRBPYEZIZSPJMS-NSHDSACASA-N 0 3 319.861 4.182 20 0 DIADHN Clc1cncc(Cl)c1CNCC[C@@H]1CC1(Cl)Cl ZINC000865636956 1043121230 /nfs/dbraw/zinc/12/12/30/1043121230.db2.gz JECLOHDETZTBHI-SSDOTTSWSA-N 0 3 314.043 4.062 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000178120751 1043121397 /nfs/dbraw/zinc/12/13/97/1043121397.db2.gz ONUWWHMPJWIVBX-QHAWAJNXSA-N 0 3 323.436 4.192 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000178114323 1043121441 /nfs/dbraw/zinc/12/14/41/1043121441.db2.gz LHTRZWAAEWCJAM-BFUOFWGJSA-N 0 3 315.436 4.450 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@H]2NCc2ccc(Cl)nc2)cc1 ZINC000865650894 1043122069 /nfs/dbraw/zinc/12/20/69/1043122069.db2.gz QLAHULUCSUHLMO-ZBFHGGJFSA-N 0 3 323.223 4.008 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2c(C)cc(C)cc2C)cc1 ZINC000178135214 1043122846 /nfs/dbraw/zinc/12/28/46/1043122846.db2.gz IRRDWBQVMXUGBX-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@@H]3CCSC3)cs2)cc1 ZINC000865654681 1043122739 /nfs/dbraw/zinc/12/27/39/1043122739.db2.gz RIXBAKFLRJSUQK-GFCCVEGCSA-N 0 3 322.474 4.182 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@H]3CCSC3)cs2)cc1 ZINC000865654682 1043123220 /nfs/dbraw/zinc/12/32/20/1043123220.db2.gz RIXBAKFLRJSUQK-LBPRGKRZSA-N 0 3 322.474 4.182 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000178147739 1043123213 /nfs/dbraw/zinc/12/32/13/1043123213.db2.gz INUCXUITHHUCJU-WIEQDCTASA-N 0 3 301.455 4.144 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000178147721 1043123302 /nfs/dbraw/zinc/12/33/02/1043123302.db2.gz INUCXUITHHUCJU-GXZWQRSESA-N 0 3 301.455 4.144 20 0 DIADHN CC1(c2ccc(CNCc3ccc(C4CC4)cc3)cc2)OCCO1 ZINC000865668256 1043123674 /nfs/dbraw/zinc/12/36/74/1043123674.db2.gz IXMKIBFGASNQHP-UHFFFAOYSA-N 0 3 323.436 4.073 20 0 DIADHN C[C@H](N[C@@H](C)c1cccs1)c1cnn(Cc2ccccc2)c1 ZINC000178177244 1043123895 /nfs/dbraw/zinc/12/38/95/1043123895.db2.gz DBVVNGWXUURXOC-GJZGRUSLSA-N 0 3 311.454 4.405 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(CSC)c2)cc1 ZINC000188721503 1043126468 /nfs/dbraw/zinc/12/64/68/1043126468.db2.gz YQECISCYXHFPJM-CQSZACIVSA-N 0 3 301.455 4.409 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2[nH]1)c1cccc(OC)c1OC ZINC000865757700 1043130605 /nfs/dbraw/zinc/13/06/05/1043130605.db2.gz NIIGNVYSKHJRAY-QGZVFWFLSA-N 0 3 324.424 4.426 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccsc1)c1ccc2c(c1)OCCO2 ZINC000178480658 1043130816 /nfs/dbraw/zinc/13/08/16/1043130816.db2.gz BIVKWDRWFMYXFU-WFASDCNBSA-N 0 3 303.427 4.321 20 0 DIADHN CC[C@H](NCc1ccc(F)c(COC)c1)c1ccc(OC)cc1 ZINC000178483465 1043131687 /nfs/dbraw/zinc/13/16/87/1043131687.db2.gz LDQBABFQLNEHJL-IBGZPJMESA-N 0 3 317.404 4.222 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000178551926 1043133381 /nfs/dbraw/zinc/13/33/81/1043133381.db2.gz OAFHEMSSZBDGLQ-DNVCBOLYSA-N 0 3 323.436 4.276 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc1 ZINC000178552630 1043133603 /nfs/dbraw/zinc/13/36/03/1043133603.db2.gz MJFQFLHNZUGSOY-HZPDHXFCSA-N 0 3 313.441 4.378 20 0 DIADHN COc1ccc2nc(CNCC(C)(C)C(C)(F)F)sc2c1 ZINC000865789821 1043135102 /nfs/dbraw/zinc/13/51/02/1043135102.db2.gz URVCUJURPHHWMH-UHFFFAOYSA-N 0 3 314.401 4.076 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@@H]43)co2)cc1F ZINC000441461468 1043136365 /nfs/dbraw/zinc/13/63/65/1043136365.db2.gz GKZXNKVABGEUJB-PXAZEXFGSA-N 0 3 318.367 4.384 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@H]43)co2)cc1F ZINC000441461475 1043136883 /nfs/dbraw/zinc/13/68/83/1043136883.db2.gz GKZXNKVABGEUJB-YVEFUNNKSA-N 0 3 318.367 4.384 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1cc(C)c2ncccc2c1 ZINC000865821027 1043137086 /nfs/dbraw/zinc/13/70/86/1043137086.db2.gz LBVKNAXLAVGZGC-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CC[C@@H](N[C@@H]1CCSc2c(F)cccc21)c1ccncc1 ZINC000178761949 1043137706 /nfs/dbraw/zinc/13/77/06/1043137706.db2.gz MNLOIBNUVWZCLF-HZPDHXFCSA-N 0 3 302.418 4.499 20 0 DIADHN FC(F)c1ccc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)cn1 ZINC000865830222 1043138485 /nfs/dbraw/zinc/13/84/85/1043138485.db2.gz MKVJNDKCIGVBED-GHMZBOCLSA-N 0 3 306.278 4.006 20 0 DIADHN Clc1cnc(CN[C@@H](Cc2cccnc2)C2CCCC2)s1 ZINC000865834571 1043138694 /nfs/dbraw/zinc/13/86/94/1043138694.db2.gz UJPQZMBVCRENMT-AWEZNQCLSA-N 0 3 321.877 4.083 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(Cl)nc1 ZINC000179099997 1043141001 /nfs/dbraw/zinc/14/10/01/1043141001.db2.gz XGXGMIPSGKEMLA-CYBMUJFWSA-N 0 3 304.821 4.122 20 0 DIADHN C[C@@H](NCc1ccc(OCC2CC2)cc1)c1cc2cnccc2o1 ZINC000865854869 1043142384 /nfs/dbraw/zinc/14/23/84/1043142384.db2.gz SFFRUAAKJVESTC-CQSZACIVSA-N 0 3 322.408 4.467 20 0 DIADHN CC[C@H](N[C@H](C)C[C@H](O)c1ccco1)c1cc(F)ccc1F ZINC000120791604 1043144195 /nfs/dbraw/zinc/14/41/95/1043144195.db2.gz ZHWKCAXHOMQOOZ-RLCCDNCMSA-N 0 3 309.356 4.111 20 0 DIADHN CSCc1cccc(CNCc2c[nH]nc2-c2ccccc2)c1 ZINC000189483131 1043155290 /nfs/dbraw/zinc/15/52/90/1043155290.db2.gz IPXWNHHVRDTDFK-UHFFFAOYSA-N 0 3 323.465 4.230 20 0 DIADHN Cc1cnc([C@H](C)CN[C@@H](c2ccccc2)c2ccccn2)s1 ZINC000183198556 1043157215 /nfs/dbraw/zinc/15/72/15/1043157215.db2.gz CJGXMWOIYXMGDB-KDOFPFPSSA-N 0 3 323.465 4.329 20 0 DIADHN C[C@H](N[C@H]1CCSc2ccc(F)cc21)c1cccc(CO)c1 ZINC000183239053 1043159331 /nfs/dbraw/zinc/15/93/31/1043159331.db2.gz BLTASGCMOOKJRE-SJCJKPOMSA-N 0 3 317.429 4.206 20 0 DIADHN Cc1csc(CN[C@H](C)CCc2cncc3ccccc32)n1 ZINC000866224059 1043162998 /nfs/dbraw/zinc/16/29/98/1043162998.db2.gz PJUDHGVGGXKHNK-CYBMUJFWSA-N 0 3 311.454 4.111 20 0 DIADHN Cc1ncc(CN(C)CCCCCOc2ccccc2)s1 ZINC000189753453 1043163642 /nfs/dbraw/zinc/16/36/42/1043163642.db2.gz PTUGVGKDPFEFOW-UHFFFAOYSA-N 0 3 304.459 4.133 20 0 DIADHN CN(C)c1ncccc1CN[C@H]1CCc2cccc3cccc1c32 ZINC000866232429 1043164025 /nfs/dbraw/zinc/16/40/25/1043164025.db2.gz LICHCAFYFFTPKE-IBGZPJMESA-N 0 3 317.436 4.078 20 0 DIADHN CCCOCc1ccccc1CN[C@@H](c1ccccn1)C(C)C ZINC000866240162 1043164381 /nfs/dbraw/zinc/16/43/81/1043164381.db2.gz YQZRLDJLYRALTM-HXUWFJFHSA-N 0 3 312.457 4.495 20 0 DIADHN CC(C)n1ccc(CN[C@@H](C)CCc2cncc3ccccc32)n1 ZINC000866269113 1043168582 /nfs/dbraw/zinc/16/85/82/1043168582.db2.gz NFCHMUAZHBQMFZ-INIZCTEOSA-N 0 3 322.456 4.123 20 0 DIADHN FC(F)(F)CCN[C@@H](CCc1ccccc1)c1ccccn1 ZINC000866279747 1043172942 /nfs/dbraw/zinc/17/29/42/1043172942.db2.gz CZJHKIBQTVBMMW-INIZCTEOSA-N 0 3 308.347 4.298 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000163289675 1043174029 /nfs/dbraw/zinc/17/40/29/1043174029.db2.gz WMLFHTMSBSCCEE-IUODEOHRSA-N 0 3 319.836 4.448 20 0 DIADHN c1ccc([C@@H](NCCC[C@@H]2CCCCO2)c2ccccn2)cc1 ZINC000184003427 1043176698 /nfs/dbraw/zinc/17/66/98/1043176698.db2.gz UJXYUAWOLBEAFT-AZUAARDMSA-N 0 3 310.441 4.110 20 0 DIADHN C[C@H](CN(C)[C@@H](C)c1nc2ccccc2o1)c1nccs1 ZINC000183986527 1043177080 /nfs/dbraw/zinc/17/70/80/1043177080.db2.gz YOWOTNZLWCATJV-NEPJUHHUSA-N 0 3 301.415 4.081 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(OC(F)(F)F)cc2)c1 ZINC000184264597 1043180717 /nfs/dbraw/zinc/18/07/17/1043180717.db2.gz XKRYVYYUGNVPJK-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN Cc1cncc([C@@H](C)NCc2ccc(OCC(F)(F)F)cc2)c1 ZINC000184264960 1043181351 /nfs/dbraw/zinc/18/13/51/1043181351.db2.gz UDOQQVCEMWIWEW-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487392684 1043182504 /nfs/dbraw/zinc/18/25/04/1043182504.db2.gz HJJHCIWHJOVLDP-NHYWBVRUSA-N 0 3 321.371 4.025 20 0 DIADHN Cc1cncc([C@H](C)NCc2cccc(Br)c2)c1 ZINC000184328562 1043182775 /nfs/dbraw/zinc/18/27/75/1043182775.db2.gz QQICFBJGAUGWLF-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H](C)c1cc2c(ccnc2Cl)o1 ZINC000866341046 1043183843 /nfs/dbraw/zinc/18/38/43/1043183843.db2.gz NUUKPOROBGGAMB-HTQZYQBOSA-N 0 3 306.715 4.473 20 0 DIADHN C[C@H](N[C@H]1CCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000190039785 1043186243 /nfs/dbraw/zinc/18/62/43/1043186243.db2.gz NGGATBHUPXKQNE-FZMZJTMJSA-N 0 3 303.381 4.105 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2Cl)o1)C1CCCC1 ZINC000184657791 1043189119 /nfs/dbraw/zinc/18/91/19/1043189119.db2.gz WNNIUPRPODKSSK-NSHDSACASA-N 0 3 305.809 4.058 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1cncc2ccccc21)c1cscn1 ZINC000866388365 1043195074 /nfs/dbraw/zinc/19/50/74/1043195074.db2.gz IARJPPDOOPVJBA-UONOGXRCSA-N 0 3 311.454 4.363 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000185219047 1043196637 /nfs/dbraw/zinc/19/66/37/1043196637.db2.gz RVIRBFGZZFXEMC-KBPBESRZSA-N 0 3 315.461 4.318 20 0 DIADHN Fc1ccc([C@@H](NCC[C@H]2CCSC2)c2ccccc2)nc1 ZINC000866402114 1043198691 /nfs/dbraw/zinc/19/86/91/1043198691.db2.gz ICMDBEYYZOGNFP-KSSFIOAISA-N 0 3 316.445 4.043 20 0 DIADHN CC[C@@H](NCc1ccc(C2(C)OCCO2)cc1)c1ccsc1 ZINC000866423310 1043201933 /nfs/dbraw/zinc/20/19/33/1043201933.db2.gz OXLRUTGLNBLAGD-QGZVFWFLSA-N 0 3 317.454 4.209 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCCC[C@@H]2F)cc1 ZINC000866449847 1043203429 /nfs/dbraw/zinc/20/34/29/1043203429.db2.gz JKYGRJWBUATULA-XOKHGSTOSA-N 0 3 321.440 4.148 20 0 DIADHN CC(C)(C)OC1CCC(N[C@@H]2CCCc3scnc32)CC1 ZINC000866450211 1043203494 /nfs/dbraw/zinc/20/34/94/1043203494.db2.gz DKGOEMYTESGNSD-JXQTWKCFSA-N 0 3 308.491 4.236 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc(C)s1)c1ccc2c(c1)CCO2 ZINC000866454156 1043205656 /nfs/dbraw/zinc/20/56/56/1043205656.db2.gz GIPLVFWCOICUMB-NHYWBVRUSA-N 0 3 302.443 4.188 20 0 DIADHN CS[C@H]1C[C@H](N[C@@H](c2cccnc2)c2ccc(F)c(C)c2)C1 ZINC000866488887 1043210373 /nfs/dbraw/zinc/21/03/73/1043210373.db2.gz WMAOIRSFTOBLBZ-JFIYKMOQSA-N 0 3 316.445 4.102 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@H]3CCC[C@@H](C)C3)o2)cc1 ZINC000520524653 1043211146 /nfs/dbraw/zinc/21/11/46/1043211146.db2.gz AGIACSWNCVPROI-ZBFHGGJFSA-N 0 3 314.429 4.361 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2sccc2OC)cc1 ZINC000186103717 1043215460 /nfs/dbraw/zinc/21/54/60/1043215460.db2.gz PDLKTAWQSXCXOZ-ZDUSSCGKSA-N 0 3 305.443 4.144 20 0 DIADHN C[C@@H](NCCSCCC(F)(F)F)c1cccnc1Cl ZINC000866543408 1043220315 /nfs/dbraw/zinc/22/03/15/1043220315.db2.gz QALHWHQTJLCYHO-SECBINFHSA-N 0 3 312.788 4.071 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H](CCO)c2ccccc2)cs1 ZINC000186352928 1043221055 /nfs/dbraw/zinc/22/10/55/1043221055.db2.gz SAXQKDOXFCFHII-HOCLYGCPSA-N 0 3 318.486 4.083 20 0 DIADHN Fc1cccc([C@H]2CCCN2Cc2ccc(-n3cccn3)cc2)c1 ZINC000520564751 1043223182 /nfs/dbraw/zinc/22/31/82/1043223182.db2.gz POZZWILFJVSWPW-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CC=CC[C@H]2C(F)(F)F)cn1 ZINC000866606518 1043232124 /nfs/dbraw/zinc/23/21/24/1043232124.db2.gz AMFHFOFCGDQSJN-IACUBPJLSA-N 0 3 301.356 4.012 20 0 DIADHN C[C@H](N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1ccccn1 ZINC000866607618 1043232413 /nfs/dbraw/zinc/23/24/13/1043232413.db2.gz TXTOFJYTUXKRLH-VHSSKADRSA-N 0 3 316.832 4.306 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CC=CC[C@H]2C(F)(F)F)cn1 ZINC000866606519 1043232877 /nfs/dbraw/zinc/23/28/77/1043232877.db2.gz AMFHFOFCGDQSJN-MRVWCRGKSA-N 0 3 301.356 4.012 20 0 DIADHN Cc1noc2ncc(CNC3(c4ccc(C)cc4)CCC3)cc12 ZINC000895089683 1043233936 /nfs/dbraw/zinc/23/39/36/1043233936.db2.gz OSNJAJJFXBCDLZ-UHFFFAOYSA-N 0 3 307.397 4.009 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1cncc(F)c1 ZINC000186841587 1043235185 /nfs/dbraw/zinc/23/51/85/1043235185.db2.gz BJSGDHYWCQJBJJ-NHYWBVRUSA-N 0 3 312.310 4.217 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1cc3cnccc3o1)CC2 ZINC000866619205 1043238468 /nfs/dbraw/zinc/23/84/68/1043238468.db2.gz DSCVYTWZMJYAFP-YVEFUNNKSA-N 0 3 308.381 4.175 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCSc3cc(C)c(C)cc32)cnn1C ZINC000186995892 1043238746 /nfs/dbraw/zinc/23/87/46/1043238746.db2.gz TVZOVAMHRBLXPW-CXAGYDPISA-N 0 3 315.486 4.233 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1cc3cnccc3o1)CC2 ZINC000866619204 1043238793 /nfs/dbraw/zinc/23/87/93/1043238793.db2.gz DSCVYTWZMJYAFP-SJKOYZFVSA-N 0 3 308.381 4.175 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1cccc(CO)c1)CCS2 ZINC000187171036 1043243843 /nfs/dbraw/zinc/24/38/43/1043243843.db2.gz GZKYYDLLGNWVKL-GOSISDBHSA-N 0 3 313.466 4.122 20 0 DIADHN CC[C@@H](NCc1ccc(NC(C)=O)cc1)c1cccc(F)c1 ZINC000187720142 1043249879 /nfs/dbraw/zinc/24/98/79/1043249879.db2.gz GYQVNWWKAVLDIG-GOSISDBHSA-N 0 3 300.377 4.025 20 0 DIADHN CCSc1cc(CN[C@H](C)c2cccc(OC)c2)ccn1 ZINC000187793520 1043251014 /nfs/dbraw/zinc/25/10/14/1043251014.db2.gz TUWGTABKLBXABV-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)C[C@H](C)CC(C)(C)C ZINC000614645466 1043252278 /nfs/dbraw/zinc/25/22/78/1043252278.db2.gz YPIYBYNUAPVWJU-AWEZNQCLSA-N 0 3 320.477 4.158 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@@H]2NCc2ccnc(Cl)c2)cc1 ZINC000192022109 1043265428 /nfs/dbraw/zinc/26/54/28/1043265428.db2.gz LKDIGOYHHQHTSV-HOCLYGCPSA-N 0 3 323.223 4.008 20 0 DIADHN COC1(CN(C)Cc2cc(F)c(F)c(F)c2)CCCCCC1 ZINC001204975863 1043265563 /nfs/dbraw/zinc/26/55/63/1043265563.db2.gz MOXWFUAJIDNASI-UHFFFAOYSA-N 0 3 315.379 4.275 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1ccc(NC(=O)C2CC2)cc1 ZINC000192632450 1043272391 /nfs/dbraw/zinc/27/23/91/1043272391.db2.gz RYHAHPFANNYJPB-SCLBCKFNSA-N 0 3 324.424 4.356 20 0 DIADHN FC(F)Oc1ccc(CN[C@H]2CCCNc3ccccc32)cc1 ZINC000192607264 1043273145 /nfs/dbraw/zinc/27/31/45/1043273145.db2.gz GRMZUWAWVYIBLB-KRWDZBQOSA-N 0 3 318.367 4.325 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)C1CCC1)c1ccnn1C ZINC000192834030 1043274156 /nfs/dbraw/zinc/27/41/56/1043274156.db2.gz LDBIQENSNWOKDR-SJCJKPOMSA-N 0 3 303.837 4.266 20 0 DIADHN CN(C)c1cc(CN[C@@H]2CCCc3occc32)c2ccccc2n1 ZINC000192918686 1043276394 /nfs/dbraw/zinc/27/63/94/1043276394.db2.gz LEFJTPGVXIBSDN-QGZVFWFLSA-N 0 3 321.424 4.061 20 0 DIADHN CCOc1ccc(CNCc2cc(Cl)cs2)cc1OC ZINC000193002913 1043278448 /nfs/dbraw/zinc/27/84/48/1043278448.db2.gz AHCJPNNZKPECRK-UHFFFAOYSA-N 0 3 311.834 4.099 20 0 DIADHN CCCNc1ccc(CNCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC000193150843 1043279590 /nfs/dbraw/zinc/27/95/90/1043279590.db2.gz ABSWDDCFDXOGER-UHFFFAOYSA-N 0 3 320.440 4.188 20 0 DIADHN CCCNc1ccc(CNCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC000193150843 1043279593 /nfs/dbraw/zinc/27/95/93/1043279593.db2.gz ABSWDDCFDXOGER-UHFFFAOYSA-N 0 3 320.440 4.188 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2)cc1 ZINC000193358686 1043284315 /nfs/dbraw/zinc/28/43/15/1043284315.db2.gz WAUPICGQRXKMAU-HNNXBMFYSA-N 0 3 300.450 4.137 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(Cl)nc1)c1ccccc1 ZINC000193428530 1043284467 /nfs/dbraw/zinc/28/44/67/1043284467.db2.gz YVRFZJCIJVCWCT-CJNGLKHVSA-N 0 3 304.821 4.163 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(Cl)nc1)c1ccccc1 ZINC000193428519 1043284545 /nfs/dbraw/zinc/28/45/45/1043284545.db2.gz YVRFZJCIJVCWCT-XJKSGUPXSA-N 0 3 304.821 4.163 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cnc(-c3ccco3)s2)CC1 ZINC000194392179 1043292708 /nfs/dbraw/zinc/29/27/08/1043292708.db2.gz USAOAGAHSSYDMC-CQSZACIVSA-N 0 3 320.458 4.184 20 0 DIADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1ncc(Br)cc1F ZINC000427700180 1043298242 /nfs/dbraw/zinc/29/82/42/1043298242.db2.gz YPDVFDXSIXESBQ-CKYFFXLPSA-N 0 3 315.230 4.213 20 0 DIADHN CC(C)[C@H](Cc1ccccc1)NCc1nnc(C(C)(C)C)s1 ZINC000427717394 1043299888 /nfs/dbraw/zinc/29/98/88/1043299888.db2.gz GKXVOXADEHZHKK-HNNXBMFYSA-N 0 3 317.502 4.193 20 0 DIADHN COCc1nc(CN[C@@H](C)CC(C)(C)c2ccccc2)cs1 ZINC000266182499 1043301427 /nfs/dbraw/zinc/30/14/27/1043301427.db2.gz GKAHVBBXSCWHAK-AWEZNQCLSA-N 0 3 318.486 4.136 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1CCOC2(CCC2)C1 ZINC000268969363 1043347504 /nfs/dbraw/zinc/34/75/04/1043347504.db2.gz MLDXHCWSBUEUFG-LLVKDONJSA-N 0 3 300.229 4.185 20 0 DIADHN C[C@H](CCC1CC1)N[C@@H](C)c1ncc(Br)cc1F ZINC000427844756 1043307436 /nfs/dbraw/zinc/30/74/36/1043307436.db2.gz CZWAHPGJDVIDIN-ZJUUUORDSA-N 0 3 315.230 4.213 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000427881864 1043313496 /nfs/dbraw/zinc/31/34/96/1043313496.db2.gz KDDLIURUOXXMRN-UWVGGRQHSA-N 0 3 307.768 4.017 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccnc(Cl)c1 ZINC000122652523 1043319712 /nfs/dbraw/zinc/31/97/12/1043319712.db2.gz TWPJWIRVIMLYNO-CYBMUJFWSA-N 0 3 304.821 4.122 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@@H](O)c1ccccc1F ZINC000268770242 1043328982 /nfs/dbraw/zinc/32/89/82/1043328982.db2.gz DOVDYZITLMDBMM-IAQYHMDHSA-N 0 3 322.449 4.098 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)s1 ZINC000268789792 1043330689 /nfs/dbraw/zinc/33/06/89/1043330689.db2.gz CRAMWDKZOYVOBD-NEPJUHHUSA-N 0 3 309.866 4.253 20 0 DIADHN COc1cc2c(cc1CN[C@@H](c1ccccc1)C1CC1)O[C@@H](C)C2 ZINC000053953995 1043335483 /nfs/dbraw/zinc/33/54/83/1043335483.db2.gz BKCCCFRBKGWUKA-QKKBWIMNSA-N 0 3 323.436 4.260 20 0 DIADHN CCOCCOCc1cccc(CN[C@@H](C)c2cccs2)c1 ZINC000268845967 1043335555 /nfs/dbraw/zinc/33/55/55/1043335555.db2.gz VJJIOVCPZDYTGF-HNNXBMFYSA-N 0 3 319.470 4.152 20 0 DIADHN CN(Cc1nc(-c2ccc(F)c(F)c2)no1)C1CCCCCC1 ZINC000487412630 1043336253 /nfs/dbraw/zinc/33/62/53/1043336253.db2.gz JQSRJLDAKRRTMT-UHFFFAOYSA-N 0 3 321.371 4.169 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(CC)c2)cc1 ZINC000268868429 1043338936 /nfs/dbraw/zinc/33/89/36/1043338936.db2.gz CSHQDZNPOOUOBR-CABCVRRESA-N 0 3 301.434 4.104 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000665268302 1043340050 /nfs/dbraw/zinc/34/00/50/1043340050.db2.gz LXZLBTZVFJYSOU-ABKXIKBNSA-N 0 3 306.331 4.024 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1csc([C@@H](C)OC)n1 ZINC000268901788 1043342676 /nfs/dbraw/zinc/34/26/76/1043342676.db2.gz BKAKDTWVTUSEKR-ZBFHGGJFSA-N 0 3 318.486 4.352 20 0 DIADHN OCCC[C@H](N[C@H]1CCCOc2ccccc21)c1ccccc1 ZINC000268944914 1043344252 /nfs/dbraw/zinc/34/42/52/1043344252.db2.gz AYCAFHRFWCZJRQ-OALUTQOASA-N 0 3 311.425 4.004 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000268976171 1043346256 /nfs/dbraw/zinc/34/62/56/1043346256.db2.gz AZVMNORMHKLRHE-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccsc1)c1cc2ccccc2o1 ZINC000269001314 1043349841 /nfs/dbraw/zinc/34/98/41/1043349841.db2.gz PGXNSKRVYQHYEZ-SJKOYZFVSA-N 0 3 301.411 4.053 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3cccnc3Cl)nc21 ZINC000269006862 1043349931 /nfs/dbraw/zinc/34/99/31/1043349931.db2.gz WKWCARLGVKFKJJ-GFCCVEGCSA-N 0 3 315.804 4.078 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H](CCCO)c1ccccc1 ZINC000269003411 1043351330 /nfs/dbraw/zinc/35/13/30/1043351330.db2.gz PQTNFFLMKQIKED-QFBILLFUSA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)NCc1csc([C@@H](C)OC)n1 ZINC000269037512 1043352023 /nfs/dbraw/zinc/35/20/23/1043352023.db2.gz FUKMLALAPDDXQM-GDBMZVCRSA-N 0 3 318.486 4.270 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](CCO)c2ccccc2)cc1F ZINC000269064924 1043354080 /nfs/dbraw/zinc/35/40/80/1043354080.db2.gz VKDAJFGQQMNPGE-CXAGYDPISA-N 0 3 319.445 4.322 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H]2c2ccccc2)s1 ZINC000269097762 1043356966 /nfs/dbraw/zinc/35/69/66/1043356966.db2.gz SGGAZMBSXQXJDX-CABCVRRESA-N 0 3 301.459 4.087 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1cccc(C(F)(F)F)c1 ZINC000269080034 1043357798 /nfs/dbraw/zinc/35/77/98/1043357798.db2.gz OGZJCYHVBVGZIZ-YMRXKLBXSA-N 0 3 321.342 4.014 20 0 DIADHN CC(C)O[C@H](CNCc1cnc(Cl)s1)c1ccccc1 ZINC000895154000 1043362706 /nfs/dbraw/zinc/36/27/06/1043362706.db2.gz UZRSOKPZKCYNDC-CQSZACIVSA-N 0 3 310.850 4.052 20 0 DIADHN Cc1nc([C@H](C)NC[C@H](c2cccs2)N2CCCC2)cs1 ZINC000269403287 1043365921 /nfs/dbraw/zinc/36/59/21/1043365921.db2.gz HAQUDSLBTSEVIM-SWLSCSKDSA-N 0 3 321.515 4.001 20 0 DIADHN FC(F)(F)CCCCN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000269824513 1043372862 /nfs/dbraw/zinc/37/28/62/1043372862.db2.gz YWZAUHUCHZSJBM-UHFFFAOYSA-N 0 3 323.362 4.385 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)NCc1ccccc1C ZINC000521197400 1043374877 /nfs/dbraw/zinc/37/48/77/1043374877.db2.gz JZAYTOXDMODKAN-FQEVSTJZSA-N 0 3 324.468 4.084 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N1CCCC[C@H]1CC ZINC000521198049 1043375363 /nfs/dbraw/zinc/37/53/63/1043375363.db2.gz AGVZKRMQPUGKHD-RTBURBONSA-N 0 3 316.489 4.251 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1C[NH2+]Cc1ccc([O-])c(F)c1 ZINC000190996125 1043380749 /nfs/dbraw/zinc/38/07/49/1043380749.db2.gz LPHFWVBFXJIQCN-UHFFFAOYSA-N 0 3 313.294 4.148 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc(O)c(F)c1 ZINC000190996125 1043380756 /nfs/dbraw/zinc/38/07/56/1043380756.db2.gz LPHFWVBFXJIQCN-UHFFFAOYSA-N 0 3 313.294 4.148 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)s1 ZINC000270276339 1043383532 /nfs/dbraw/zinc/38/35/32/1043383532.db2.gz LNCGOMVHFLMBNW-KRWDZBQOSA-N 0 3 304.434 4.394 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@H](C2CCC2)C1 ZINC000665284854 1043384968 /nfs/dbraw/zinc/38/49/68/1043384968.db2.gz WWRVPLGOFPTAHU-KRWDZBQOSA-N 0 3 314.473 4.179 20 0 DIADHN Fc1cccc(-c2nc(CN3CC[C@H](C4CCC4)C3)co2)c1 ZINC000665284856 1043385047 /nfs/dbraw/zinc/38/50/47/1043385047.db2.gz WYMZKCNBNAEIOI-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3CCC(F)(F)CC3)n2)cc1 ZINC000270362149 1043385360 /nfs/dbraw/zinc/38/53/60/1043385360.db2.gz XXNNQQGJXLZKPY-UHFFFAOYSA-N 0 3 321.371 4.091 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](C4CCC4)C3)o2)cc1 ZINC000665284980 1043385612 /nfs/dbraw/zinc/38/56/12/1043385612.db2.gz ZRZMTAIHSHULMW-RHSMWYFYSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@@H](C3CCC3)C2)n1 ZINC000665286135 1043386489 /nfs/dbraw/zinc/38/64/89/1043386489.db2.gz FYEKLIPDAYTIKA-GDBMZVCRSA-N 0 3 311.429 4.228 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccc(Cl)nc2)cc1 ZINC000270476719 1043388573 /nfs/dbraw/zinc/38/85/73/1043388573.db2.gz JSSSSRHROLYRBY-ZDUSSCGKSA-N 0 3 304.821 4.373 20 0 DIADHN Fc1cccc(-c2cnc(CN3CC[C@@H](C4CCC4)C3)o2)c1 ZINC000665286566 1043390718 /nfs/dbraw/zinc/39/07/18/1043390718.db2.gz OZAFNPKIBURECY-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@H](C4CCC4)C3)o2)cc1 ZINC000665286893 1043390932 /nfs/dbraw/zinc/39/09/32/1043390932.db2.gz XCOGVBQCSLFDJA-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@H](NCCc1cc(Cl)cc(Cl)c1)c1nccs1 ZINC000093401712 1043391081 /nfs/dbraw/zinc/39/10/81/1043391081.db2.gz VHVGIMAMTSVCNO-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)C[C@H](O)c2cccs2)c(F)c1 ZINC000270642992 1043392544 /nfs/dbraw/zinc/39/25/44/1043392544.db2.gz OYXQPWUTZAWCLS-MKBNYLNASA-N 0 3 323.433 4.059 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1cccnc1Cl ZINC000270651529 1043393273 /nfs/dbraw/zinc/39/32/73/1043393273.db2.gz QPKAZEHEUOGWCX-WQGACYEGSA-N 0 3 322.811 4.037 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000270686736 1043394980 /nfs/dbraw/zinc/39/49/80/1043394980.db2.gz UWFLLQVJFSSEJG-UXOAXIEHSA-N 0 3 305.443 4.066 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccccc2CC(F)(F)F)C[C@H]1C ZINC000639231203 1043397290 /nfs/dbraw/zinc/39/72/90/1043397290.db2.gz VAXDPMIAFDBJTP-CZUORRHYSA-N 0 3 315.379 4.038 20 0 DIADHN OC1CCN(Cc2ccc(-c3ccccc3Cl)s2)CC1 ZINC000271036863 1043399291 /nfs/dbraw/zinc/39/92/91/1043399291.db2.gz LKLYOGYGZOHMKZ-UHFFFAOYSA-N 0 3 307.846 4.025 20 0 DIADHN COc1ccccc1C[C@@H](N[C@H](C)c1cscn1)C(C)C ZINC000271059710 1043402320 /nfs/dbraw/zinc/40/23/20/1043402320.db2.gz BTNBYLIDGCCRCG-UKRRQHHQSA-N 0 3 304.459 4.070 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1cccc(F)c1)C(C)C ZINC000271061302 1043402533 /nfs/dbraw/zinc/40/25/33/1043402533.db2.gz GOSZPLMJCCFUMV-UHFFFAOYSA-N 0 3 314.404 4.195 20 0 DIADHN C[C@H](CN(C)Cc1cnc([C@H]2CCCO2)s1)c1ccccc1 ZINC000271177644 1043405640 /nfs/dbraw/zinc/40/56/40/1043405640.db2.gz XHTSMURWMCEJHO-RHSMWYFYSA-N 0 3 316.470 4.230 20 0 DIADHN Cc1cc(C)cc([C@@H](NCCc2cscn2)c2cccnc2)c1 ZINC000925286961 1043408636 /nfs/dbraw/zinc/40/86/36/1043408636.db2.gz UUTQYFCZABSGEZ-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@]3(CC[C@@H](C)C3)C2)c1 ZINC000557908885 1043413227 /nfs/dbraw/zinc/41/32/27/1043413227.db2.gz FGTBFZUFFAMDJO-SYNHAJSKSA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C)s1 ZINC000271430213 1043417366 /nfs/dbraw/zinc/41/73/66/1043417366.db2.gz NWZLLGJHFUMPOD-HOTGVXAUSA-N 0 3 301.459 4.005 20 0 DIADHN CCCCCOc1ccc([C@@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000271414726 1043417392 /nfs/dbraw/zinc/41/73/92/1043417392.db2.gz BDJZAXDIZICVAS-HUUCEWRRSA-N 0 3 301.434 4.391 20 0 DIADHN CC(C)CCOc1ccc([C@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000271428455 1043417609 /nfs/dbraw/zinc/41/76/09/1043417609.db2.gz CVFJFYLUUDXVER-GJZGRUSLSA-N 0 3 301.434 4.246 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C)c1ccnnc1 ZINC000925469762 1043420859 /nfs/dbraw/zinc/42/08/59/1043420859.db2.gz FPDVWVJLASTHFN-FCLUMBPUSA-N 0 3 301.821 4.178 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](C)c1cccc(OC(F)F)c1 ZINC000271478518 1043424320 /nfs/dbraw/zinc/42/43/20/1043424320.db2.gz DCBODROKKCLPOX-OLZOCXBDSA-N 0 3 306.356 4.403 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2coc(-c3cccs3)n2)CCO1 ZINC000872029727 1043426231 /nfs/dbraw/zinc/42/62/31/1043426231.db2.gz YIPYRAVIXPAIQL-SWLSCSKDSA-N 0 3 320.458 4.086 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2csc(-c3ccsc3)n2)CCO1 ZINC000271495118 1043426384 /nfs/dbraw/zinc/42/63/84/1043426384.db2.gz JTYHXDXJPVWZPG-HIFRSBDPSA-N 0 3 322.499 4.165 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2coc(-c3cccs3)n2)CCO1 ZINC000872029726 1043426701 /nfs/dbraw/zinc/42/67/01/1043426701.db2.gz YIPYRAVIXPAIQL-IUODEOHRSA-N 0 3 320.458 4.086 20 0 DIADHN CC(C)C[C@H](NCc1cccc(N2CCC2=O)c1)c1ccccc1 ZINC000442802644 1043426999 /nfs/dbraw/zinc/42/69/99/1043426999.db2.gz SRQPUMFXEZYUFX-FQEVSTJZSA-N 0 3 322.452 4.300 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2csc(-c3ccsc3)n2)CCO1 ZINC000271495126 1043427317 /nfs/dbraw/zinc/42/73/17/1043427317.db2.gz JTYHXDXJPVWZPG-ZFWWWQNUSA-N 0 3 322.499 4.165 20 0 DIADHN Cc1noc(CCCN[C@@H](C)c2cc(Cl)sc2Cl)n1 ZINC000271512305 1043428470 /nfs/dbraw/zinc/42/84/70/1043428470.db2.gz SQUSIDBWTHCULV-ZETCQYMHSA-N 0 3 320.245 4.030 20 0 DIADHN C[C@@H](NCCc1nc2cc(Cl)ccc2s1)c1ccccn1 ZINC000271546071 1043434578 /nfs/dbraw/zinc/43/45/78/1043434578.db2.gz UYTVIRJJNYTZDK-LLVKDONJSA-N 0 3 317.845 4.238 20 0 DIADHN C[C@@H](NC(C)(C)c1cnn(C)c1)c1ccc(C(F)(F)F)cc1 ZINC000558089835 1043434946 /nfs/dbraw/zinc/43/49/46/1043434946.db2.gz UMTFRSLKYVSCHF-LLVKDONJSA-N 0 3 311.351 4.025 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(CC)c1)c1ccc(OC(F)F)cc1 ZINC000271551671 1043436334 /nfs/dbraw/zinc/43/63/34/1043436334.db2.gz UXIKUNRSEWMMCD-LRDDRELGSA-N 0 3 323.387 4.306 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2ccc(F)c(C)c2)C2CC2)cn1 ZINC000271576660 1043439241 /nfs/dbraw/zinc/43/92/41/1043439241.db2.gz WLMNSYAOIORSRB-UGSOOPFHSA-N 0 3 301.409 4.152 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cccc(OCC(F)(F)F)c1 ZINC000271571101 1043439397 /nfs/dbraw/zinc/43/93/97/1043439397.db2.gz XCLWAZFBPRSHMF-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000271582238 1043439496 /nfs/dbraw/zinc/43/94/96/1043439496.db2.gz WZUPGIGQOUYSMD-VFCRVFHLSA-N 0 3 301.455 4.144 20 0 DIADHN Fc1ccc(C=C2CCN(CCOC3CCC3)CC2)cc1F ZINC000558123944 1043442792 /nfs/dbraw/zinc/44/27/92/1043442792.db2.gz AEHLEWCENKXYDT-UHFFFAOYSA-N 0 3 307.384 4.013 20 0 DIADHN CCC[C@H](C)NCc1nc(COC)sc1-c1ccccc1 ZINC000442875784 1043443067 /nfs/dbraw/zinc/44/30/67/1043443067.db2.gz OUALFNBXKAELTF-ZDUSSCGKSA-N 0 3 304.459 4.235 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1nc2ccccc2n1C(F)F ZINC000271613437 1043444607 /nfs/dbraw/zinc/44/46/07/1043444607.db2.gz AYQWFBDFUYQZOX-UXIGCNINSA-N 0 3 323.387 4.040 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc3c(c2)ncn3C)cc1 ZINC000271619355 1043444818 /nfs/dbraw/zinc/44/48/18/1043444818.db2.gz CXDZSIISGCHLAL-HNNXBMFYSA-N 0 3 323.440 4.213 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)cc2C)c(C)c1OC ZINC000558153282 1043445105 /nfs/dbraw/zinc/44/51/05/1043445105.db2.gz DCYVHHQVPVNTEB-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN Cc1cc(CN(C)Cc2ccccc2N(C)C)c2ccccc2n1 ZINC000558174722 1043450806 /nfs/dbraw/zinc/45/08/06/1043450806.db2.gz KEPREMBIOGWLJR-UHFFFAOYSA-N 0 3 319.452 4.241 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(F)ccc21)c1cnn(C2CCC2)c1 ZINC000925669622 1043451095 /nfs/dbraw/zinc/45/10/95/1043451095.db2.gz CMSLAUAXMXTGBV-ORAYPTAESA-N 0 3 313.420 4.475 20 0 DIADHN CC(C)C[C@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777572660 1043457156 /nfs/dbraw/zinc/45/71/56/1043457156.db2.gz MSUFNSKJQHMUTD-SFHVURJKSA-N 0 3 320.440 4.349 20 0 DIADHN C[C@@H]([NH2+]C1CCC(OC(C)(C)C)CC1)c1cccc([O-])c1F ZINC000872093560 1043458198 /nfs/dbraw/zinc/45/81/98/1043458198.db2.gz VYODAMLZQBQIBX-IYXRBSQSSA-N 0 3 309.425 4.308 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NCc1cc(-c2ccccc2)cs1 ZINC000283133016 1043459390 /nfs/dbraw/zinc/45/93/90/1043459390.db2.gz ZZQFZADIXQZUNV-GOSISDBHSA-N 0 3 323.465 4.316 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccc(C)cc1 ZINC000777574130 1043460450 /nfs/dbraw/zinc/46/04/50/1043460450.db2.gz HCYGEBIFAZZMKV-KRWDZBQOSA-N 0 3 306.413 4.021 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN2CCC=C(c3ccco3)C2)cc1 ZINC000348675040 1043461497 /nfs/dbraw/zinc/46/14/97/1043461497.db2.gz PLNGLIRJMQJEHA-UHFFFAOYSA-N 0 3 323.371 4.098 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@H]1CC[C@@H]1C1CC1)c1ccccc1 ZINC000558226731 1043462927 /nfs/dbraw/zinc/46/29/27/1043462927.db2.gz GMFBBRVUTBHNGV-HSALFYBXSA-N 0 3 320.436 4.145 20 0 DIADHN COc1cccc(CN2CCC[C@H]2c2cccc(C)c2)c1OC ZINC001203439685 1043464227 /nfs/dbraw/zinc/46/42/27/1043464227.db2.gz UOKLKTIRCJHUDI-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CO[C@@H](C)CNCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000442988983 1043466962 /nfs/dbraw/zinc/46/69/62/1043466962.db2.gz JHOKKWBGLWZECF-NSHDSACASA-N 0 3 313.319 4.090 20 0 DIADHN CCC[C@@H](NCc1cc(N)ccn1)c1cccc(C(F)(F)F)c1 ZINC000925770324 1043466928 /nfs/dbraw/zinc/46/69/28/1043466928.db2.gz GAIGLDPJIGFCPK-MRXNPFEDSA-N 0 3 323.362 4.314 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc2oc(=O)[nH]c2c1 ZINC000872123927 1043468323 /nfs/dbraw/zinc/46/83/23/1043468323.db2.gz YAKHOEILQGZSLP-DOMZBBRYSA-N 0 3 308.381 4.180 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1cccc2ncccc12 ZINC000872124486 1043469020 /nfs/dbraw/zinc/46/90/20/1043469020.db2.gz OFPPVWDLMPTPPH-IBGZPJMESA-N 0 3 304.393 4.238 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1cccc2ncccc12 ZINC000872124422 1043469374 /nfs/dbraw/zinc/46/93/74/1043469374.db2.gz NAZKXRNCCLWBSF-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777575406 1043472834 /nfs/dbraw/zinc/47/28/34/1043472834.db2.gz QLTAKQNWEKBHKJ-OAHLLOKOSA-N 0 3 320.440 4.275 20 0 DIADHN CCCc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC000777575406 1043472841 /nfs/dbraw/zinc/47/28/41/1043472841.db2.gz QLTAKQNWEKBHKJ-OAHLLOKOSA-N 0 3 320.440 4.275 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1nccn1-c1ccccc1 ZINC000558254956 1043473223 /nfs/dbraw/zinc/47/32/23/1043473223.db2.gz CYIBDDVWKCIOGJ-LSDHHAIUSA-N 0 3 311.454 4.216 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c(C)c1 ZINC000558260118 1043475421 /nfs/dbraw/zinc/47/54/21/1043475421.db2.gz XQLMCVZTIHDDKG-OXJNMPFZSA-N 0 3 314.448 4.396 20 0 DIADHN c1cc([C@H]2CCCN2Cc2ccc(OCC3CC3)cc2)ccn1 ZINC000348894705 1043478940 /nfs/dbraw/zinc/47/89/40/1043478940.db2.gz OWAJHSWRSDCOET-HXUWFJFHSA-N 0 3 308.425 4.208 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2cccnc2)cc2cccnc12 ZINC000348906133 1043480213 /nfs/dbraw/zinc/48/02/13/1043480213.db2.gz TVJLPZLJWJPVAM-IBGZPJMESA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCCc4[nH]c(=O)ccc43)oc2cc1C ZINC000348904048 1043480251 /nfs/dbraw/zinc/48/02/51/1043480251.db2.gz JXCSCFCMUDBJQD-KRWDZBQOSA-N 0 3 322.408 4.317 20 0 DIADHN FC(F)(F)C1CC(N[C@H](c2ccccc2)c2ccccn2)C1 ZINC000558278024 1043480619 /nfs/dbraw/zinc/48/06/19/1043480619.db2.gz ZIAYVMKWUJGDQU-ZBCRRDGASA-N 0 3 306.331 4.102 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2cccnc2)cc2cccnc12 ZINC000348906139 1043480862 /nfs/dbraw/zinc/48/08/62/1043480862.db2.gz TVJLPZLJWJPVAM-LJQANCHMSA-N 0 3 303.409 4.275 20 0 DIADHN C1=CC[C@H](CN2CCCC[C@H]2c2nnc(C3CCC3)o2)CC1 ZINC000348904003 1043481399 /nfs/dbraw/zinc/48/13/99/1043481399.db2.gz JHUKBAZURGUPJQ-HOCLYGCPSA-N 0 3 301.434 4.220 20 0 DIADHN O[C@H](CNCc1ccc(-c2ccccc2F)o1)C1CCCCC1 ZINC000521832508 1043482007 /nfs/dbraw/zinc/48/20/07/1043482007.db2.gz FUUFVPGPFCBILB-GOSISDBHSA-N 0 3 317.404 4.117 20 0 DIADHN C[C@H]1CC[C@H](NCc2nnc(-c3ccccc3)[nH]2)c2ccccc21 ZINC000777576627 1043482281 /nfs/dbraw/zinc/48/22/81/1043482281.db2.gz XFTDJAFKKBSSSW-KSSFIOAISA-N 0 3 318.424 4.200 20 0 DIADHN CCCN(Cc1nc(-c2ccc(CC)cc2)no1)CC(C)C ZINC000521818710 1043485073 /nfs/dbraw/zinc/48/50/73/1043485073.db2.gz HADTZMOVCFDHMG-UHFFFAOYSA-N 0 3 301.434 4.167 20 0 DIADHN CCOC(=O)[C@H]1CC[C@@H](NCc2ccsc2)c2ccccc21 ZINC000283439896 1043486542 /nfs/dbraw/zinc/48/65/42/1043486542.db2.gz TUDJEJSIIIFOJE-DLBZAZTESA-N 0 3 315.438 4.020 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(C(=O)OC)c(C)o1 ZINC000521823220 1043486594 /nfs/dbraw/zinc/48/65/94/1043486594.db2.gz NXXPOMRIXJFCHJ-GOSISDBHSA-N 0 3 315.413 4.348 20 0 DIADHN Cc1ncc(CNC2(c3ccc(Cl)cc3)CCCCC2)cn1 ZINC000521833162 1043489659 /nfs/dbraw/zinc/48/96/59/1043489659.db2.gz UAKPKODJWVKSFP-UHFFFAOYSA-N 0 3 315.848 4.388 20 0 DIADHN Cc1nc(C)c(CN2CCCCC[C@H]2c2c(C)n[nH]c2C)s1 ZINC000349149093 1043493645 /nfs/dbraw/zinc/49/36/45/1043493645.db2.gz LCMXJTGWOQQWHE-HNNXBMFYSA-N 0 3 318.490 4.217 20 0 DIADHN Cc1ccc(CN(CCc2ccccn2)Cc2ccncc2)cc1 ZINC000349241360 1043495652 /nfs/dbraw/zinc/49/56/52/1043495652.db2.gz KNYKOCDGCISXHX-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN CCCCN(CCOC)Cc1c(Cl)ccc2cccnc21 ZINC000521878679 1043497963 /nfs/dbraw/zinc/49/79/63/1043497963.db2.gz GSLDOFMRTHXMMT-UHFFFAOYSA-N 0 3 306.837 4.137 20 0 DIADHN CC1(C)OCCC12CCN(Cc1c[nH]c3c1cccc3F)CC2 ZINC001137059684 1043498466 /nfs/dbraw/zinc/49/84/66/1043498466.db2.gz CNQRDXIHEUSRHS-UHFFFAOYSA-N 0 3 316.420 4.088 20 0 DIADHN CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2ncccc2[O-])o1 ZINC000558401035 1043501582 /nfs/dbraw/zinc/50/15/82/1043501582.db2.gz DGXNMFDKGDRJJZ-MRXNPFEDSA-N 0 3 300.402 4.060 20 0 DIADHN CCc1ccc([C@H]2CCCCC[N@H+]2Cc2ncccc2[O-])o1 ZINC000558401035 1043501593 /nfs/dbraw/zinc/50/15/93/1043501593.db2.gz DGXNMFDKGDRJJZ-MRXNPFEDSA-N 0 3 300.402 4.060 20 0 DIADHN CN(CCCOc1cccc(C(F)(F)F)c1)Cc1cccnc1 ZINC000348302061 1043504263 /nfs/dbraw/zinc/50/42/63/1043504263.db2.gz LJRRFBBTBRUNEG-UHFFFAOYSA-N 0 3 324.346 4.001 20 0 DIADHN CN(C)CCN(C(=O)c1cccc2ccccc21)C1CCCCC1 ZINC000521913430 1043506240 /nfs/dbraw/zinc/50/62/40/1043506240.db2.gz YOJCRHHMIOMNOQ-UHFFFAOYSA-N 0 3 324.468 4.176 20 0 DIADHN COC[C@H](N[C@@H]1CS[C@H](C(C)C)C1)c1cccc(Cl)c1 ZINC000558426449 1043509132 /nfs/dbraw/zinc/50/91/32/1043509132.db2.gz LHDPSFUSERQFOF-JYJNAYRXSA-N 0 3 313.894 4.147 20 0 DIADHN COC[C@@H](NCCCCCSC)c1cccc(Cl)c1F ZINC000522023261 1043523318 /nfs/dbraw/zinc/52/33/18/1043523318.db2.gz HQYPXOWIHDDACR-CQSZACIVSA-N 0 3 319.873 4.290 20 0 DIADHN Oc1cccnc1CN(Cc1ccc(Cl)c(Cl)c1)C1CC1 ZINC000521942050 1043511942 /nfs/dbraw/zinc/51/19/42/1043511942.db2.gz UZGYHMXQJLDRTR-UHFFFAOYSA-N 0 3 323.223 4.259 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](C)c1cc2ccccc2o1 ZINC000443228755 1043515741 /nfs/dbraw/zinc/51/57/41/1043515741.db2.gz XFBAKZQCCXBZKY-CHWSQXEVSA-N 0 3 303.402 4.204 20 0 DIADHN CC(C)(C)OC1CCC(NCc2nc3cc(F)ccc3o2)CC1 ZINC000873150208 1043519678 /nfs/dbraw/zinc/51/96/78/1043519678.db2.gz HOTYAGZJADNMFS-UHFFFAOYSA-N 0 3 320.408 4.183 20 0 DIADHN Fc1ccc2oc(CNCCC[C@@H]3CC3(Cl)Cl)nc2c1 ZINC000873134041 1043519726 /nfs/dbraw/zinc/51/97/26/1043519726.db2.gz WUCXLLCRYWQICV-SECBINFHSA-N 0 3 317.191 4.031 20 0 DIADHN CC[C@H](CN[C@H](C)c1cc(Cl)ccc1OC(F)F)OC ZINC000558503860 1043523614 /nfs/dbraw/zinc/52/36/14/1043523614.db2.gz ZQYUDOASLCSJBR-MWLCHTKSSA-N 0 3 307.768 4.017 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(C)c2C)cc(N2CCCC2)n1 ZINC000558508553 1043527178 /nfs/dbraw/zinc/52/71/78/1043527178.db2.gz WQNUYCQHINLDTC-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cc(N)cc(F)c2)cc1 ZINC000873288058 1043530963 /nfs/dbraw/zinc/53/09/63/1043530963.db2.gz SYQUYCXEWZNMSH-ZDUSSCGKSA-N 0 3 302.393 4.048 20 0 DIADHN CC(C)[C@@H](NCc1cc(N)cc(F)c1)c1ccc(F)c(F)c1 ZINC000873288300 1043531115 /nfs/dbraw/zinc/53/11/15/1043531115.db2.gz BCLIZNLTTGVDMO-QGZVFWFLSA-N 0 3 308.347 4.173 20 0 DIADHN Nc1cc(F)cc(CN[C@@H]2CCSc3ccc(Cl)cc32)c1 ZINC000873287790 1043532122 /nfs/dbraw/zinc/53/21/22/1043532122.db2.gz KSTFEVNQHLMBAJ-OAHLLOKOSA-N 0 3 322.836 4.388 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1cc(N)cc(F)c1 ZINC000873288509 1043533205 /nfs/dbraw/zinc/53/32/05/1043533205.db2.gz HMLYDSNXHKHNKQ-SNVBAGLBSA-N 0 3 307.438 4.147 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1cc(N)cc(F)c1 ZINC000873288981 1043533569 /nfs/dbraw/zinc/53/35/69/1043533569.db2.gz NQNFEDSKFBHVRR-GFCCVEGCSA-N 0 3 304.434 4.371 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1cc(N)cc(F)c1 ZINC000873288508 1043534361 /nfs/dbraw/zinc/53/43/61/1043534361.db2.gz HMLYDSNXHKHNKQ-JTQLQIEISA-N 0 3 307.438 4.147 20 0 DIADHN CCC[C@H]1CCCC[C@H]1CNC(=O)c1cccc(CN(C)C)c1 ZINC001299373536 1043535978 /nfs/dbraw/zinc/53/59/78/1043535978.db2.gz LBKUPEAQRYGAIK-HKUYNNGSSA-N 0 3 316.489 4.085 20 0 DIADHN CCC[C@@H](NC[C@H](C)c1nccs1)c1ncccc1Cl ZINC000926160831 1043536948 /nfs/dbraw/zinc/53/69/48/1043536948.db2.gz KOYRVLSXUBOUKN-WCQYABFASA-N 0 3 309.866 4.426 20 0 DIADHN COCc1cccc(CN(Cc2ccc(OC)cc2)C2CC2)c1 ZINC000350012686 1043537344 /nfs/dbraw/zinc/53/73/44/1043537344.db2.gz CRAWTZUGUYCGDA-UHFFFAOYSA-N 0 3 311.425 4.006 20 0 DIADHN Cc1cnn(CCCCCN(Cc2ccccc2F)C2CC2)c1 ZINC000522097634 1043537886 /nfs/dbraw/zinc/53/78/86/1043537886.db2.gz OKDLALVIIOPXJD-UHFFFAOYSA-N 0 3 315.436 4.166 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H]1CCCc2c(Cl)ccnc21 ZINC000926173705 1043538941 /nfs/dbraw/zinc/53/89/41/1043538941.db2.gz DSAIQRMJUNSIFT-CABZTGNLSA-N 0 3 306.759 4.433 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCO[C@@H](C3CC3)C2)c2ccccn2)cc1 ZINC000926184015 1043540081 /nfs/dbraw/zinc/54/00/81/1043540081.db2.gz RCJXXJMHNKTXTQ-NRSPTQNISA-N 0 3 322.452 4.027 20 0 DIADHN C[C@@H](c1ccccn1)N(C)Cc1cccc(-c2ccncc2)c1 ZINC000350349144 1043541983 /nfs/dbraw/zinc/54/19/83/1043541983.db2.gz BRKSCQYFPINSQS-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN Cc1sccc1CN1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000350280276 1043542353 /nfs/dbraw/zinc/54/23/53/1043542353.db2.gz VPDURYJJUZAXKZ-MRXNPFEDSA-N 0 3 315.438 4.165 20 0 DIADHN COC(=O)c1cc(CN(Cc2ccccc2)C(C)(C)C)c(C)o1 ZINC001168016566 1043543445 /nfs/dbraw/zinc/54/34/45/1043543445.db2.gz BGFAEVAOHOHFJE-UHFFFAOYSA-N 0 3 315.413 4.175 20 0 DIADHN CCc1nn(C)c(CC)c1CN(CC)Cc1c[nH]c2ccccc12 ZINC000191190545 1043543613 /nfs/dbraw/zinc/54/36/13/1043543613.db2.gz BTFDTEXEJJSCGF-UHFFFAOYSA-N 0 3 324.472 4.048 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ccccc1NC(C)=O ZINC000191188389 1043544744 /nfs/dbraw/zinc/54/47/44/1043544744.db2.gz PZKAUYZGKIPSGX-UHFFFAOYSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H](c1ccccc1)C(F)(F)F ZINC000926217240 1043548749 /nfs/dbraw/zinc/54/87/49/1043548749.db2.gz QOWICRNIWQRKHZ-YGRLFVJLSA-N 0 3 312.335 4.288 20 0 DIADHN CCc1ccc([C@@H](NC[C@@H]2CCC=CO2)c2cccnc2)cc1 ZINC000926232089 1043550118 /nfs/dbraw/zinc/55/01/18/1043550118.db2.gz QSWFELRTVIOBQE-VQTJNVASSA-N 0 3 308.425 4.016 20 0 DIADHN Cc1cc(CN2CCC([C@@H](O)c3ccccc3)CC2)ccc1F ZINC000124588377 1043553333 /nfs/dbraw/zinc/55/33/33/1043553333.db2.gz PDFQQZWGAWDMRL-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN CSCCCCCNCc1c(F)cccc1Br ZINC000395041309 1043553408 /nfs/dbraw/zinc/55/34/08/1043553408.db2.gz FVSNZPDDFIJHBX-UHFFFAOYSA-N 0 3 320.271 4.211 20 0 DIADHN COc1cc(OC)c(OC)cc1CN(CC=C(C)C)C(C)(C)C ZINC001168017137 1043553572 /nfs/dbraw/zinc/55/35/72/1043553572.db2.gz FJHIRAPLERLMGE-UHFFFAOYSA-N 0 3 321.461 4.279 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cccc(Oc3ccccn3)c1)C2 ZINC000895190133 1043556301 /nfs/dbraw/zinc/55/63/01/1043556301.db2.gz YUSUVDKFNRNOAA-QGZVFWFLSA-N 0 3 316.351 4.105 20 0 DIADHN Cc1cc(F)cc(CN2CCC(Sc3ccncc3)CC2)c1 ZINC001143590299 1043560991 /nfs/dbraw/zinc/56/09/91/1043560991.db2.gz RMOMTOUZUPPUHA-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1cc(F)cc(F)c1 ZINC000474065296 1043564622 /nfs/dbraw/zinc/56/46/22/1043564622.db2.gz LHSAOQJSWKDWFP-SFHVURJKSA-N 0 3 317.379 4.486 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000926339194 1043565711 /nfs/dbraw/zinc/56/57/11/1043565711.db2.gz DDJAMVCSUUYSFR-PVAVHDDUSA-N 0 3 314.404 4.425 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1Cl ZINC000124810516 1043570881 /nfs/dbraw/zinc/57/08/81/1043570881.db2.gz RDDBTKGXLRJVHA-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](CN1CC[C@H](C(F)(F)F)C2(CCC2)C1)C(F)(F)F ZINC000558739370 1043585216 /nfs/dbraw/zinc/58/52/16/1043585216.db2.gz HWFRJUILHMTEPF-UWVGGRQHSA-N 0 3 303.290 4.239 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H]2CCC[C@@H](C)C2)c(CN(C)C)c1 ZINC000522243001 1043586877 /nfs/dbraw/zinc/58/68/77/1043586877.db2.gz CISINUSGTAZEHH-GDBMZVCRSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@@H]2CCC[C@H]2c2ccccc2)nn1 ZINC000926499762 1043588404 /nfs/dbraw/zinc/58/84/04/1043588404.db2.gz CCGDYDGJDFMKJD-SZMVWBNQSA-N 0 3 312.461 4.094 20 0 DIADHN CCC[C@@H](NCCc1scnc1C)c1ncccc1Cl ZINC000926517985 1043593174 /nfs/dbraw/zinc/59/31/74/1043593174.db2.gz IBDIVSGIFCQVHR-CYBMUJFWSA-N 0 3 309.866 4.173 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2nccc3ccccc32)cc1 ZINC000353266016 1043596051 /nfs/dbraw/zinc/59/60/51/1043596051.db2.gz NOYOAMIUTIXWBA-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1cnn(C2CCC2)c1 ZINC000926540702 1043596374 /nfs/dbraw/zinc/59/63/74/1043596374.db2.gz ODBNFXKJOLQATB-HNAYVOBHSA-N 0 3 324.472 4.230 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1cnc([C@H]2CCCO2)s1)C1CC1 ZINC000353312495 1043597335 /nfs/dbraw/zinc/59/73/35/1043597335.db2.gz XTQAIFCQJNVVMB-WOSRLPQWSA-N 0 3 308.491 4.394 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(C)s2)cc1OC ZINC000353332599 1043599692 /nfs/dbraw/zinc/59/96/92/1043599692.db2.gz ZKTWAAOOAWKLJM-HNNXBMFYSA-N 0 3 317.454 4.411 20 0 DIADHN C[C@H]1CC(CCNCc2ncc(C(C)(C)C)s2)C[C@H](C)O1 ZINC000558789372 1043602672 /nfs/dbraw/zinc/60/26/72/1043602672.db2.gz GWKGDTOCIAVXJP-STQMWFEESA-N 0 3 310.507 4.124 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(CO)c1)c1cc2cccc(F)c2o1 ZINC000474099379 1043604998 /nfs/dbraw/zinc/60/49/98/1043604998.db2.gz PBGKBWLPBRNPKQ-QWHCGFSZSA-N 0 3 313.372 4.476 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CCCc2ccccc2C1 ZINC000353415765 1043606721 /nfs/dbraw/zinc/60/67/21/1043606721.db2.gz IXGWPCOKDJXXLI-UHFFFAOYSA-N 0 3 321.449 4.154 20 0 DIADHN COc1ccccc1O[C@@H]1CCCN(Cc2ccsc2C)C1 ZINC000353484003 1043611662 /nfs/dbraw/zinc/61/16/62/1043611662.db2.gz UYNLOTLIQDRXJW-MRXNPFEDSA-N 0 3 317.454 4.109 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@@H]2C[C@H]3CC[C@@H]2O3)c2cccnc2)cc1 ZINC000926648834 1043612831 /nfs/dbraw/zinc/61/28/31/1043612831.db2.gz YTWBBWVOSPUYRH-MXEMCNAFSA-N 0 3 322.452 4.204 20 0 DIADHN Cc1cnc(CCN[C@@H](C)c2cc(-c3ccccc3)no2)c(C)c1 ZINC000926665020 1043613962 /nfs/dbraw/zinc/61/39/62/1043613962.db2.gz ASNLVWJTONBRAA-INIZCTEOSA-N 0 3 321.424 4.247 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@H](C)C2(CO)CC2)c2cccnc2)cc1 ZINC000926691729 1043616336 /nfs/dbraw/zinc/61/63/36/1043616336.db2.gz JQPWTISEHMKPTP-OXQOHEQNSA-N 0 3 324.468 4.045 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)c(C)s1 ZINC000443606206 1043616744 /nfs/dbraw/zinc/61/67/44/1043616744.db2.gz SHSOYGFMGBQPKC-ABAIWWIYSA-N 0 3 304.434 4.277 20 0 DIADHN Cc1cccc([C@@H](NCCCOC(C)(C)C)c2ccccn2)c1 ZINC000926695587 1043617744 /nfs/dbraw/zinc/61/77/44/1043617744.db2.gz BXOIRNNYCYETHC-LJQANCHMSA-N 0 3 312.457 4.274 20 0 DIADHN Cc1ccc([C@@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926705555 1043620120 /nfs/dbraw/zinc/62/01/20/1043620120.db2.gz OWDJLVCSHHBPLS-IAGOWNOFSA-N 0 3 302.368 4.114 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CCC[C@@H]3CCC[C@H]32)co1 ZINC000443617109 1043622704 /nfs/dbraw/zinc/62/27/04/1043622704.db2.gz VJBHXVMFYQMBQU-BLLLJJGKSA-N 0 3 318.367 4.384 20 0 DIADHN C[C@@H](NCC1SCCS1)c1csc(C(F)(F)F)c1 ZINC000926728202 1043623331 /nfs/dbraw/zinc/62/33/31/1043623331.db2.gz XRSOGAJPBGTBLP-SSDOTTSWSA-N 0 3 313.435 4.224 20 0 DIADHN FC1(F)C[C@@H]1CCN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926739260 1043624356 /nfs/dbraw/zinc/62/43/56/1043624356.db2.gz YWKYIXUQOKWQON-BBRMVZONSA-N 0 3 322.786 4.459 20 0 DIADHN FC1(F)C[C@@H]1CCN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926739263 1043624595 /nfs/dbraw/zinc/62/45/95/1043624595.db2.gz YWKYIXUQOKWQON-XJKSGUPXSA-N 0 3 322.786 4.459 20 0 DIADHN CC[C@H](NCc1cccc2c1OCCCO2)c1cccc(C)c1 ZINC000353614289 1043625386 /nfs/dbraw/zinc/62/53/86/1043625386.db2.gz RXKYDNJDXAOQQP-SFHVURJKSA-N 0 3 311.425 4.397 20 0 DIADHN COC[C@@H]1CCCN([C@@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000443626125 1043627227 /nfs/dbraw/zinc/62/72/27/1043627227.db2.gz KSVZAKUDEVHYND-BDJLRTHQSA-N 0 3 314.256 4.339 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)c(C)s1 ZINC000443633591 1043629109 /nfs/dbraw/zinc/62/91/09/1043629109.db2.gz VPYIBVJZPCVZNF-GUYCJALGSA-N 0 3 300.471 4.386 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CCCC1)c1ccnn1C1CCC1 ZINC000926770882 1043628985 /nfs/dbraw/zinc/62/89/85/1043628985.db2.gz HSETXQMOLFVUEA-LBPRGKRZSA-N 0 3 315.383 4.381 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)c(C)s1 ZINC000443633593 1043629936 /nfs/dbraw/zinc/62/99/36/1043629936.db2.gz VPYIBVJZPCVZNF-SUMWQHHRSA-N 0 3 300.471 4.386 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2ccc3ccccc3c2)c2ncnn21 ZINC000926771635 1043630848 /nfs/dbraw/zinc/63/08/48/1043630848.db2.gz UTZWMEDPJKZMNG-KSSFIOAISA-N 0 3 320.440 4.005 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCSC2)c2ccccn2)c1 ZINC000926779330 1043632083 /nfs/dbraw/zinc/63/20/83/1043632083.db2.gz QSWQRUXHDJGLMO-APWZRJJASA-N 0 3 312.482 4.212 20 0 DIADHN C[C@H]([NH2+]C1CCC(OC(C)(C)C)CC1)c1cc([O-])cc(F)c1 ZINC000926785163 1043632377 /nfs/dbraw/zinc/63/23/77/1043632377.db2.gz IYIGSSNRQMVSSC-VWDMACCTSA-N 0 3 309.425 4.308 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CCC(C)C)c2ccoc2)[C@@]12CCCO2 ZINC000353690052 1043633669 /nfs/dbraw/zinc/63/36/69/1043633669.db2.gz RCXFDMBDXRHFMK-OKYOBFRVSA-N 0 3 321.461 4.073 20 0 DIADHN Cc1cccc([C@@H](NCC[C@H]2CCCS2)c2ccccn2)c1 ZINC000926789005 1043634148 /nfs/dbraw/zinc/63/41/48/1043634148.db2.gz DYOKRXQRCCPRSR-IEBWSBKVSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1coc2ccccc21 ZINC000926791406 1043634261 /nfs/dbraw/zinc/63/42/61/1043634261.db2.gz VJHCIUKLWDKTDC-CQSZACIVSA-N 0 3 307.393 4.356 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000443638946 1043635822 /nfs/dbraw/zinc/63/58/22/1043635822.db2.gz FEGOVXPWSVTGMN-HZPDHXFCSA-N 0 3 316.489 4.425 20 0 DIADHN COC(C)(C)CCN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926797871 1043635943 /nfs/dbraw/zinc/63/59/43/1043635943.db2.gz KETBLHNKEOXKMY-QGZVFWFLSA-N 0 3 318.848 4.229 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(C(C)(C)O)cc1)c1cc2cnccc2o1 ZINC000926812913 1043638559 /nfs/dbraw/zinc/63/85/59/1043638559.db2.gz PLGBPRBNBYQSBY-ZIAGYGMSSA-N 0 3 324.424 4.467 20 0 DIADHN COCC(C)(C)CCN[C@@H](c1cccc(C)c1)c1ccccn1 ZINC000926821390 1043639697 /nfs/dbraw/zinc/63/96/97/1043639697.db2.gz IRHFFFODVUWHJH-IBGZPJMESA-N 0 3 312.457 4.132 20 0 DIADHN Cc1[nH]nc2ncc(CN(Cc3ccc(C)c(C)c3)C3CC3)cc12 ZINC000353774588 1043643082 /nfs/dbraw/zinc/64/30/82/1043643082.db2.gz HIOYEGXOTSCMSD-UHFFFAOYSA-N 0 3 320.440 4.048 20 0 DIADHN COc1ccc(CN(Cc2ccoc2)C2CCCCC2)cc1O ZINC000353765359 1043643811 /nfs/dbraw/zinc/64/38/11/1043643811.db2.gz CEYLIDFHPPSXFJ-UHFFFAOYSA-N 0 3 315.413 4.329 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CC[C@@H](C)C[C@H](C)C1 ZINC000443647751 1043644521 /nfs/dbraw/zinc/64/45/21/1043644521.db2.gz GCVDQSZYPNCUNS-CVEARBPZSA-N 0 3 316.489 4.118 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C[C@H](C)C1 ZINC000443652076 1043646981 /nfs/dbraw/zinc/64/69/81/1043646981.db2.gz KXXYTBNRYFERKF-QWHCGFSZSA-N 0 3 319.836 4.258 20 0 DIADHN CCCn1ccnc1CCCN(C)[C@@H](C)c1ccc(F)cc1F ZINC000191505108 1043650500 /nfs/dbraw/zinc/65/05/00/1043650500.db2.gz NGBSPQUDVOJRGS-AWEZNQCLSA-N 0 3 321.415 4.197 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C3CCC3)c2)cc1C ZINC000926903600 1043652245 /nfs/dbraw/zinc/65/22/45/1043652245.db2.gz XREKQMXSLCKXSO-LSDHHAIUSA-N 0 3 313.445 4.337 20 0 DIADHN CN(C)C[C@H](N[C@@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000558967695 1043652544 /nfs/dbraw/zinc/65/25/44/1043652544.db2.gz ABYUIUVNJAUNRO-MOPGFXCFSA-N 0 3 314.860 4.220 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H](C)c1ccc(OC)c(C)c1 ZINC000926903595 1043652605 /nfs/dbraw/zinc/65/26/05/1043652605.db2.gz XOJILHHLTDKCRP-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)c1ccc(OC)c(C)c1 ZINC000926903596 1043652908 /nfs/dbraw/zinc/65/29/08/1043652908.db2.gz XOJILHHLTDKCRP-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN Cc1cccc([C@H](NC[C@]23CCC[C@H]2OCC3)c2ccccn2)c1 ZINC000926915749 1043653966 /nfs/dbraw/zinc/65/39/66/1043653966.db2.gz IWEIKUPKFLKWKM-QHAWAJNXSA-N 0 3 322.452 4.028 20 0 DIADHN CN(Cc1cnc([C@H]2CCCO2)s1)C1CCC(C)(C)CC1 ZINC000353895550 1043655637 /nfs/dbraw/zinc/65/56/37/1043655637.db2.gz GKHGPPLCKOWVEV-OAHLLOKOSA-N 0 3 308.491 4.395 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC(c2c[nH]cn2)CC1 ZINC000353914745 1043656804 /nfs/dbraw/zinc/65/68/04/1043656804.db2.gz LRLGJFVAISNQAW-UHFFFAOYSA-N 0 3 315.804 4.189 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H](c3cccnc3)C3CCC3)ccc2O1 ZINC000926921528 1043657208 /nfs/dbraw/zinc/65/72/08/1043657208.db2.gz VJGCCCYLQLFWNI-VBKZILBWSA-N 0 3 308.425 4.036 20 0 DIADHN CCCN(Cc1coc(-c2c(F)cccc2F)n1)CC1CC1 ZINC000443676007 1043657313 /nfs/dbraw/zinc/65/73/13/1043657313.db2.gz UATMXMUTCICFLN-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN CN(Cc1coc(-c2c(F)cccc2F)n1)C1CCCCC1 ZINC000443673846 1043657519 /nfs/dbraw/zinc/65/75/19/1043657519.db2.gz KTIQEJUAVWROHF-UHFFFAOYSA-N 0 3 306.356 4.384 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H](c3cccnc3)C3CCC3)ccc2O1 ZINC000926921530 1043657557 /nfs/dbraw/zinc/65/75/57/1043657557.db2.gz VJGCCCYLQLFWNI-XOBRGWDASA-N 0 3 308.425 4.036 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC1(c2cccc(F)c2)CCC1 ZINC000926922403 1043657698 /nfs/dbraw/zinc/65/76/98/1043657698.db2.gz HUYATHLOSISPMH-INIZCTEOSA-N 0 3 314.404 4.211 20 0 DIADHN COc1ncc(CN[C@H](c2ccccc2)C(C)C)cc1Cl ZINC000443675029 1043657630 /nfs/dbraw/zinc/65/76/30/1043657630.db2.gz QCCDXTXRKBQKMU-INIZCTEOSA-N 0 3 304.821 4.231 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccn2)cc1 ZINC000926945913 1043661791 /nfs/dbraw/zinc/66/17/91/1043661791.db2.gz RGMSZQZNRYSMAB-UXLLHSPISA-N 0 3 320.358 4.267 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CCCOc3ccc(Cl)cc32)CC1 ZINC000353954203 1043663422 /nfs/dbraw/zinc/66/34/22/1043663422.db2.gz JIQXZSMJMGKAAS-GFCCVEGCSA-N 0 3 319.754 4.486 20 0 DIADHN CCN(C)c1ccc(CN[C@H]2CCCc3ccc(C)cc32)cn1 ZINC000191520504 1043665072 /nfs/dbraw/zinc/66/50/72/1043665072.db2.gz LUKUBTSVEKXXMW-IBGZPJMESA-N 0 3 309.457 4.013 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(C)n1-c1ccccc1 ZINC000353945579 1043665339 /nfs/dbraw/zinc/66/53/39/1043665339.db2.gz AUNREDZRGMADDJ-OAHLLOKOSA-N 0 3 317.502 4.144 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CCCOc3c(Cl)cccc32)CC1 ZINC000353994393 1043670003 /nfs/dbraw/zinc/67/00/03/1043670003.db2.gz BEEWOOYAHQBADC-GFCCVEGCSA-N 0 3 319.754 4.486 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353999298 1043671271 /nfs/dbraw/zinc/67/12/71/1043671271.db2.gz ZZDHOGDKOCLHST-MNOVXSKESA-N 0 3 314.458 4.302 20 0 DIADHN CSCc1ccc(CN[C@H](c2cccnc2)C(C)C)cc1 ZINC000353996945 1043672303 /nfs/dbraw/zinc/67/23/03/1043672303.db2.gz PTTPNJWFSLEUOT-SFHVURJKSA-N 0 3 300.471 4.432 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(C(F)(F)F)cc2)N(Cc2cnn(C)c2)C1 ZINC000354026444 1043673021 /nfs/dbraw/zinc/67/30/21/1043673021.db2.gz TXRSBPDJAMOAHZ-MLGOLLRUSA-N 0 3 323.362 4.022 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCc3cccnc31)CCC2 ZINC000927055741 1043673544 /nfs/dbraw/zinc/67/35/44/1043673544.db2.gz MCLHPBXISQEKHN-RTBURBONSA-N 0 3 308.425 4.135 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000354025660 1043673945 /nfs/dbraw/zinc/67/39/45/1043673945.db2.gz HMOHVAKKDRZYKU-ZBFHGGJFSA-N 0 3 308.491 4.395 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCc3cccnc31)CCC2 ZINC000927062775 1043677038 /nfs/dbraw/zinc/67/70/38/1043677038.db2.gz MCLHPBXISQEKHN-OALUTQOASA-N 0 3 308.425 4.135 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H]2CCCc3cccnc32)cc1C ZINC000927062179 1043677610 /nfs/dbraw/zinc/67/76/10/1043677610.db2.gz YAVDUKCJZMCAPM-CRAIPNDOSA-N 0 3 310.441 4.435 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cnc2ccsc2c1)c1cnn(C)c1 ZINC000927066288 1043678779 /nfs/dbraw/zinc/67/87/79/1043678779.db2.gz AYDAROWLKLNHQZ-SJCJKPOMSA-N 0 3 314.458 4.078 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](c2ccccc2)C2CCCC2)c1 ZINC000113933176 1043680117 /nfs/dbraw/zinc/68/01/17/1043680117.db2.gz ZBWQZLHMNBGLAB-HXUWFJFHSA-N 0 3 322.452 4.067 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2cnn(C)c2)s1 ZINC000559056676 1043682066 /nfs/dbraw/zinc/68/20/66/1043682066.db2.gz SNZFQHFQLPJFCU-UHFFFAOYSA-N 0 3 317.483 4.232 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cc(C3CC3)nn2C)c2sccc21 ZINC000927100388 1043687326 /nfs/dbraw/zinc/68/73/26/1043687326.db2.gz MLFMWHPXYGSKRN-ABAIWWIYSA-N 0 3 301.459 4.087 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cc(C3CC3)nn2C)c2ccccc21 ZINC000927100025 1043687728 /nfs/dbraw/zinc/68/77/28/1043687728.db2.gz FQRLMXZZDMSTQM-UYAOXDASSA-N 0 3 309.457 4.272 20 0 DIADHN Clc1nccc2cc(CN3CC[C@H]4CSC[C@@H]4C3)sc21 ZINC000895460929 1043689209 /nfs/dbraw/zinc/68/92/09/1043689209.db2.gz IOANBFQSOVYHAP-RYUDHWBXSA-N 0 3 324.902 4.135 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)N2[C@H](C)CCC[C@@H]2C)c(C)s1 ZINC000354220014 1043689304 /nfs/dbraw/zinc/68/93/04/1043689304.db2.gz QSMPVFZHRCXNSQ-NEBZKDRISA-N 0 3 322.518 4.194 20 0 DIADHN C[C@H](CCC1CCCCC1)NCc1nc2c(s1)COCC2 ZINC000927116089 1043690820 /nfs/dbraw/zinc/69/08/20/1043690820.db2.gz FMGGRKLQCUHMMO-CYBMUJFWSA-N 0 3 308.491 4.054 20 0 DIADHN CC[C@@H](NCCSCC(F)(F)F)c1ccc(F)cc1F ZINC000354274520 1043691560 /nfs/dbraw/zinc/69/15/60/1043691560.db2.gz XXFQLJNSLCQUCH-GFCCVEGCSA-N 0 3 313.335 4.301 20 0 DIADHN C[C@H](NCC[C@H]1OCCc2ccccc21)c1cccnc1Cl ZINC000927117832 1043693654 /nfs/dbraw/zinc/69/36/54/1043693654.db2.gz XLVXELARBUIDCH-SUMWQHHRSA-N 0 3 316.832 4.090 20 0 DIADHN CC[C@H](C)N(Cc1cc2c(cc[nH]c2=O)o1)Cc1ccccc1 ZINC000876544135 1043694107 /nfs/dbraw/zinc/69/41/07/1043694107.db2.gz OAALQXDYWSRFSE-AWEZNQCLSA-N 0 3 310.397 4.334 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)c(OC)c1C)c1cccnc1 ZINC000927125264 1043695158 /nfs/dbraw/zinc/69/51/58/1043695158.db2.gz QNNIQEFFQULTAB-QGZVFWFLSA-N 0 3 314.429 4.038 20 0 DIADHN Clc1ccc(OCCN2CCC[C@H](c3nccs3)C2)cc1 ZINC000354387158 1043698900 /nfs/dbraw/zinc/69/89/00/1043698900.db2.gz MZEXAWNCQAKUAV-ZDUSSCGKSA-N 0 3 322.861 4.055 20 0 DIADHN CCCN(Cc1cc2c(cc[nH]c2=O)o1)[C@H]1CCc2ccccc21 ZINC000876591933 1043699088 /nfs/dbraw/zinc/69/90/88/1043699088.db2.gz CWAGHJXIYQXAQE-SFHVURJKSA-N 0 3 322.408 4.433 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccc(C)cc1 ZINC000777616042 1043699108 /nfs/dbraw/zinc/69/91/08/1043699108.db2.gz XHZDBGJSKCVJCN-OAQYLSRUSA-N 0 3 323.484 4.310 20 0 DIADHN CC(C)CN(Cc1cc2c(cc[nH]c2=O)o1)Cc1ccccc1 ZINC000876606130 1043700450 /nfs/dbraw/zinc/70/04/50/1043700450.db2.gz VKZXQJBVEZVSCW-UHFFFAOYSA-N 0 3 310.397 4.192 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)[C@H](C)NCc1ccc(F)cn1 ZINC000354507042 1043703289 /nfs/dbraw/zinc/70/32/89/1043703289.db2.gz RLYUULYUKRZDHD-NEPJUHHUSA-N 0 3 315.417 4.112 20 0 DIADHN Cc1csc(C(C)(C)NCC[C@@H](C)OCc2ccccc2)n1 ZINC000354703899 1043715413 /nfs/dbraw/zinc/71/54/13/1043715413.db2.gz JKDWFYGXAQSKGV-OAHLLOKOSA-N 0 3 318.486 4.272 20 0 DIADHN Cn1cc(CN[C@H]2CCCc3ccc(Cl)cc32)c(C2CC2)n1 ZINC000895635099 1043717643 /nfs/dbraw/zinc/71/76/43/1043717643.db2.gz JGEFDCXBVFFMFZ-KRWDZBQOSA-N 0 3 315.848 4.118 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC[C@@H]1CC1(Cl)Cl)CCS2 ZINC000876908394 1043723732 /nfs/dbraw/zinc/72/37/32/1043723732.db2.gz NNPBSXQPOAURHN-GZMMTYOYSA-N 0 3 306.233 4.146 20 0 DIADHN Cc1nc2sccn2c1CN(C)CCCc1ccccc1C ZINC000474286221 1043725549 /nfs/dbraw/zinc/72/55/49/1043725549.db2.gz CJXMSMMHNUTTNK-UHFFFAOYSA-N 0 3 313.470 4.077 20 0 DIADHN Clc1csc(CNC[C@@H]2CCCO[C@H]2c2ccccc2)n1 ZINC000876967183 1043728011 /nfs/dbraw/zinc/72/80/11/1043728011.db2.gz CVNFQVCDGHUIID-BBRMVZONSA-N 0 3 322.861 4.054 20 0 DIADHN CCO[P@@](C)(=O)CC[C@@H](C)NCc1c(F)cccc1Cl ZINC000876987598 1043730196 /nfs/dbraw/zinc/73/01/96/1043730196.db2.gz CBSSMGYTZDYPMM-BIBXISHDSA-N 0 3 321.760 4.292 20 0 DIADHN CC(C)[C@H](NC[C@H]1CC1(Cl)Cl)c1nc2ccccc2[nH]1 ZINC000876986189 1043731012 /nfs/dbraw/zinc/73/10/12/1043731012.db2.gz ZDXJTBXZPBYCDU-MFKMUULPSA-N 0 3 312.244 4.043 20 0 DIADHN Cn1ncc(CN[C@@H]2CCCc3ccc(Cl)cc32)c1C1CC1 ZINC000895672889 1043733095 /nfs/dbraw/zinc/73/30/95/1043733095.db2.gz ZEZNQIZWYDYVIO-QGZVFWFLSA-N 0 3 315.848 4.118 20 0 DIADHN CCc1nc(CN[C@@H](CC2CCCCC2)c2ccccc2)n[nH]1 ZINC000355051198 1043740284 /nfs/dbraw/zinc/74/02/84/1043740284.db2.gz IZQDQTMGIZCVKP-KRWDZBQOSA-N 0 3 312.461 4.168 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](CNCc2nc(Cl)cs2)C1 ZINC000877081016 1043742783 /nfs/dbraw/zinc/74/27/83/1043742783.db2.gz JFJGJDFQDOIBOL-RKDXNWHRSA-N 0 3 312.788 4.255 20 0 DIADHN C[C@@H](O)CCNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000114771889 1043745101 /nfs/dbraw/zinc/74/51/01/1043745101.db2.gz YBHPQGUMEWMXBN-SNVBAGLBSA-N 0 3 314.212 4.114 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCC2(CCSCC2)CC1 ZINC000895736904 1043745928 /nfs/dbraw/zinc/74/59/28/1043745928.db2.gz PSTUQCJLRIZKEE-UHFFFAOYSA-N 0 3 321.534 4.190 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1Cc1ccc([S@@](C)=O)cc1 ZINC000355173904 1043746668 /nfs/dbraw/zinc/74/66/68/1043746668.db2.gz PUIAIQDSRNHIKM-LAUBAEHRSA-N 0 3 307.503 4.215 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1Cc1ccc([S@](C)=O)cc1 ZINC000355173906 1043747791 /nfs/dbraw/zinc/74/77/91/1043747791.db2.gz PUIAIQDSRNHIKM-UWJYYQICSA-N 0 3 307.503 4.215 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@@H]2CCC2CCCC2)cc1 ZINC000355218390 1043751167 /nfs/dbraw/zinc/75/11/67/1043751167.db2.gz IFNPTINYRRLNMK-GCJKJVERSA-N 0 3 319.514 4.359 20 0 DIADHN C[S@](=O)c1ccc(CN2CCC[C@H]2CCC2CCCC2)cc1 ZINC000355218389 1043753050 /nfs/dbraw/zinc/75/30/50/1043753050.db2.gz IFNPTINYRRLNMK-AVRDEDQJSA-N 0 3 319.514 4.359 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1cc3ccccc3n1C)CCC2 ZINC000877183121 1043753702 /nfs/dbraw/zinc/75/37/02/1043753702.db2.gz YKMUFFOQTHMZMJ-HNNXBMFYSA-N 0 3 311.454 4.110 20 0 DIADHN Cc1cnc([C@@H](C)NCC[C@H](C)OCc2ccccc2)s1 ZINC000355285520 1043758387 /nfs/dbraw/zinc/75/83/87/1043758387.db2.gz VQNFWLJBGTVYNO-DZGCQCFKSA-N 0 3 304.459 4.098 20 0 DIADHN COCCCC[C@H](NCc1ccccc1OC)c1ccccc1 ZINC000355298668 1043759445 /nfs/dbraw/zinc/75/94/45/1043759445.db2.gz XBQIBJLVNQFCMW-IBGZPJMESA-N 0 3 313.441 4.343 20 0 DIADHN COCCCC[C@@H](NCc1ccccc1OC)c1ccccc1 ZINC000355298670 1043760256 /nfs/dbraw/zinc/76/02/56/1043760256.db2.gz XBQIBJLVNQFCMW-LJQANCHMSA-N 0 3 313.441 4.343 20 0 DIADHN CC(=O)Nc1ccc(CN2CCc3c(cccc3C(C)C)C2)cc1 ZINC000355341703 1043762598 /nfs/dbraw/zinc/76/25/98/1043762598.db2.gz LCOFALSRLHWRFC-UHFFFAOYSA-N 0 3 322.452 4.327 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCCc3ccccc3C2)cs1 ZINC000355418616 1043765770 /nfs/dbraw/zinc/76/57/70/1043765770.db2.gz JZJHNNMZLARWRJ-UHFFFAOYSA-N 0 3 309.438 4.087 20 0 DIADHN Nc1c(Cl)cccc1CN[C@H]1CCCc2ccc(F)cc21 ZINC000877491960 1043765938 /nfs/dbraw/zinc/76/59/38/1043765938.db2.gz YYCLFUJLHRTJQH-INIZCTEOSA-N 0 3 304.796 4.229 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000877520542 1043769451 /nfs/dbraw/zinc/76/94/51/1043769451.db2.gz AKNVTHSFJJZLBN-SUMWQHHRSA-N 0 3 308.381 4.117 20 0 DIADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccc(C(F)(F)F)nc1Cl ZINC000877551128 1043774258 /nfs/dbraw/zinc/77/42/58/1043774258.db2.gz WJEWAFLQFBIIRI-HLTSFMKQSA-N 0 3 324.799 4.298 20 0 DIADHN Cc1cc(C)c(CNCc2cc3nc(Cl)ccc3s2)cn1 ZINC000877575516 1043777508 /nfs/dbraw/zinc/77/75/08/1043777508.db2.gz XAIQVIWQTCNDFV-UHFFFAOYSA-N 0 3 317.845 4.251 20 0 DIADHN CN1CCN(Cc2coc3ccc(F)cc23)C[C@H]1c1ccccc1 ZINC001141853020 1043778057 /nfs/dbraw/zinc/77/80/57/1043778057.db2.gz ZOWITMZGHXQIAE-IBGZPJMESA-N 0 3 324.399 4.061 20 0 DIADHN CC(C)N(Cc1cnc2c(cnn2C)c1)[C@@H](C)c1ccsc1 ZINC000877595567 1043778986 /nfs/dbraw/zinc/77/89/86/1043778986.db2.gz FCBLDLOLZHJAHO-ZDUSSCGKSA-N 0 3 314.458 4.001 20 0 DIADHN C[C@@H](c1ccsc1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000355598792 1043780021 /nfs/dbraw/zinc/78/00/21/1043780021.db2.gz QYPBXHCCBJVYOR-LBPRGKRZSA-N 0 3 313.426 4.012 20 0 DIADHN COc1cc(CN(Cc2ccc(CF)cc2)C2CC2)ccc1O ZINC000777713481 1043780921 /nfs/dbraw/zinc/78/09/21/1043780921.db2.gz JHPXQSKCNVQKDZ-UHFFFAOYSA-N 0 3 315.388 4.035 20 0 DIADHN Cc1nc(CCNCc2ccc(Oc3ccccc3)o2)cs1 ZINC000355677777 1043783019 /nfs/dbraw/zinc/78/30/19/1043783019.db2.gz UVWVMYALNPOGHT-UHFFFAOYSA-N 0 3 314.410 4.169 20 0 DIADHN CC(C)n1cncc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000895933508 1043785902 /nfs/dbraw/zinc/78/59/02/1043785902.db2.gz CMPIKSJDKAIDBJ-LJQANCHMSA-N 0 3 305.425 4.394 20 0 DIADHN Cc1[nH]c2ccc(F)cc2c1CCNCc1nc(Cl)cs1 ZINC000877655866 1043786083 /nfs/dbraw/zinc/78/60/83/1043786083.db2.gz NGRVXUUYADMWJR-UHFFFAOYSA-N 0 3 323.824 4.058 20 0 DIADHN c1ccc2c(c1)cncc2CN1CCC2(CCSCC2)CC1 ZINC000877675482 1043787926 /nfs/dbraw/zinc/78/79/26/1043787926.db2.gz RFYHPJALPCSFTI-UHFFFAOYSA-N 0 3 312.482 4.344 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1CNCc1nc2ccccc2o1 ZINC000877728823 1043789719 /nfs/dbraw/zinc/78/97/19/1043789719.db2.gz JSRFSEIWAXSEIR-NWDGAFQWSA-N 0 3 310.319 4.062 20 0 DIADHN C[C@]1(C(F)(F)F)CCN(Cc2cc3ccncc3s2)C1 ZINC000877812851 1043800165 /nfs/dbraw/zinc/80/01/65/1043800165.db2.gz UBPYLMYPSYYLGQ-ZDUSSCGKSA-N 0 3 300.349 4.071 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3nccs3)C2)ccc1C(F)F ZINC000896003716 1043806599 /nfs/dbraw/zinc/80/65/99/1043806599.db2.gz UZGATQXLIMLDNQ-LLVKDONJSA-N 0 3 312.360 4.209 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2Cc2c[nH]c3nccnc23)o1 ZINC000356110129 1043810625 /nfs/dbraw/zinc/81/06/25/1043810625.db2.gz AUVNJOXVRBFAGE-BBRMVZONSA-N 0 3 324.428 4.038 20 0 DIADHN Cn1ncc(CN[C@@H]2CCc3cccc4cccc2c43)c1C1CC1 ZINC000896076143 1043823414 /nfs/dbraw/zinc/82/34/14/1043823414.db2.gz MXHKRNNZCIFNQZ-LJQANCHMSA-N 0 3 317.436 4.228 20 0 DIADHN COc1ccccc1-c1nc(CN(C)C2CCCCC2)co1 ZINC000877934182 1043828087 /nfs/dbraw/zinc/82/80/87/1043828087.db2.gz DQOGNCTVLRCZOX-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@@H](C)C(C)(C)C)co1 ZINC000877953606 1043830959 /nfs/dbraw/zinc/83/09/59/1043830959.db2.gz OXNSLGYSUXRRFY-ZDUSSCGKSA-N 0 3 302.418 4.217 20 0 DIADHN Cc1ccc2cc(CN3CC4(CCC4)[C@H]3[C@@H]3CCCO3)ccc2n1 ZINC000356529036 1043847323 /nfs/dbraw/zinc/84/73/23/1043847323.db2.gz PVQXDEGTVOTSAQ-VQTJNVASSA-N 0 3 322.452 4.077 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@@H]3CCC[C@@H]32)co1 ZINC000878135313 1043851306 /nfs/dbraw/zinc/85/13/06/1043851306.db2.gz WOQYUJLPMSBNGQ-YOEHRIQHSA-N 0 3 312.413 4.115 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)c(C)nn1 ZINC000929892846 1043851390 /nfs/dbraw/zinc/85/13/90/1043851390.db2.gz KRJHXBBIOWJIEK-QGZVFWFLSA-N 0 3 301.409 4.110 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@@H]3CCC[C@H]32)co1 ZINC000878135312 1043852671 /nfs/dbraw/zinc/85/26/71/1043852671.db2.gz WOQYUJLPMSBNGQ-WMLDXEAASA-N 0 3 312.413 4.115 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](c1ccccc1)C(C)(C)C ZINC000054396953 1043853841 /nfs/dbraw/zinc/85/38/41/1043853841.db2.gz YYMSMDGGCLCXJC-IBGZPJMESA-N 0 3 310.441 4.311 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(Br)nc1 ZINC000289617907 1043853912 /nfs/dbraw/zinc/85/39/12/1043853912.db2.gz GRCNXYFBRIRJHS-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN CCC(CC)[N@@H+](Cc1nnc(-c2ccccc2[O-])o1)CC(C)C ZINC000878165106 1043854102 /nfs/dbraw/zinc/85/41/02/1043854102.db2.gz RCPADSABERYJSP-UHFFFAOYSA-N 0 3 317.433 4.089 20 0 DIADHN CCC(CC)[N@H+](Cc1nnc(-c2ccccc2[O-])o1)CC(C)C ZINC000878165106 1043854118 /nfs/dbraw/zinc/85/41/18/1043854118.db2.gz RCPADSABERYJSP-UHFFFAOYSA-N 0 3 317.433 4.089 20 0 DIADHN Cc1nc([C@@H](C)NCC2(Cc3ccc(F)cc3)CC2)cs1 ZINC000356685428 1043862475 /nfs/dbraw/zinc/86/24/75/1043862475.db2.gz USGPLXDBSZHDBI-GFCCVEGCSA-N 0 3 304.434 4.264 20 0 DIADHN FCc1ccccc1CNC1(c2ccc(F)cc2)CCOCC1 ZINC000929970553 1043863553 /nfs/dbraw/zinc/86/35/53/1043863553.db2.gz DZEBKVXBMWENHZ-UHFFFAOYSA-N 0 3 317.379 4.091 20 0 DIADHN Cc1nc([C@H](C)NCC2(Cc3ccc(F)cc3)CC2)cs1 ZINC000356685431 1043864063 /nfs/dbraw/zinc/86/40/63/1043864063.db2.gz USGPLXDBSZHDBI-LBPRGKRZSA-N 0 3 304.434 4.264 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@H]1CCC[C@@H](c2ccccc2)O1 ZINC000878313168 1043871691 /nfs/dbraw/zinc/87/16/91/1043871691.db2.gz DTRAUOOVMGGVAA-AHRSYUTCSA-N 0 3 310.441 4.385 20 0 DIADHN COCc1nc(CN[C@@H](CCc2ccccc2)C(C)C)cs1 ZINC000289756681 1043872511 /nfs/dbraw/zinc/87/25/11/1043872511.db2.gz LKVPAQQMLWFXAV-KRWDZBQOSA-N 0 3 318.486 4.037 20 0 DIADHN COCc1ccc(CN[C@H](C)c2nccc3ccccc32)cc1 ZINC000289807656 1043882184 /nfs/dbraw/zinc/88/21/84/1043882184.db2.gz PGWTWPQHQRBIQW-OAHLLOKOSA-N 0 3 306.409 4.232 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC(c2cccnc2)CC1 ZINC000930159307 1043884487 /nfs/dbraw/zinc/88/44/87/1043884487.db2.gz FLNITHBSIDUDBJ-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccccc2)cnc1Br ZINC000930182237 1043886587 /nfs/dbraw/zinc/88/65/87/1043886587.db2.gz MVULFSABRMJWNQ-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@@H](CCO)c2ccccc2)c1 ZINC000930189730 1043889106 /nfs/dbraw/zinc/88/91/06/1043889106.db2.gz BZDLUAAIWUGHDS-IBGZPJMESA-N 0 3 313.441 4.077 20 0 DIADHN CCSc1ccccc1CN[C@@H](CCO)c1ccccc1 ZINC000930191601 1043890178 /nfs/dbraw/zinc/89/01/78/1043890178.db2.gz QXJGFXIZQFRHGD-KRWDZBQOSA-N 0 3 301.455 4.012 20 0 DIADHN CC[C@@H](NCc1cnc(Br)c(C)c1)c1ccccc1 ZINC000930188410 1043890770 /nfs/dbraw/zinc/89/07/70/1043890770.db2.gz OXHKAMJCBWPINZ-OAHLLOKOSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCC2)O1)c1nc2ccccc2s1 ZINC000878416484 1043890783 /nfs/dbraw/zinc/89/07/83/1043890783.db2.gz AZBDQOUPMQKZEK-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN Cc1nc(C)c(CN[C@@H](c2ccc(F)cc2)C2CCCC2)[nH]1 ZINC000930206901 1043893359 /nfs/dbraw/zinc/89/33/59/1043893359.db2.gz YFCWHXQSPOBPOZ-GOSISDBHSA-N 0 3 301.409 4.187 20 0 DIADHN CCN(Cc1nc2ccccc2s1)[C@@H]1CCOC(C)(C)C1 ZINC000289891582 1043894449 /nfs/dbraw/zinc/89/44/49/1043894449.db2.gz RXONFIJDUSKETK-CYBMUJFWSA-N 0 3 304.459 4.076 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)c(C)nn1 ZINC000930205920 1043894226 /nfs/dbraw/zinc/89/42/26/1043894226.db2.gz IGDNVRPUWZDTFT-IBGZPJMESA-N 0 3 313.420 4.254 20 0 DIADHN CCCC[C@H](NCc1cc(C)nnc1C)c1ccc(OC)cc1 ZINC000930213232 1043896206 /nfs/dbraw/zinc/89/62/06/1043896206.db2.gz HMNRPHIPEMQMAX-IBGZPJMESA-N 0 3 313.445 4.123 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2ccc(SC(C)C)cc2)[nH]1 ZINC000930215740 1043896969 /nfs/dbraw/zinc/89/69/69/1043896969.db2.gz NQJCALCSTUVCRF-GFCCVEGCSA-N 0 3 303.475 4.378 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1coc(-c2ccccc2OC)n1 ZINC000878506797 1043897405 /nfs/dbraw/zinc/89/74/05/1043897405.db2.gz APMKGUYPQIDCHA-XJKSGUPXSA-N 0 3 300.402 4.019 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(Br)nc1)c1ccccc1 ZINC000289946654 1043897857 /nfs/dbraw/zinc/89/78/57/1043897857.db2.gz WHYGPHVQNWKUHK-VXGBXAGGSA-N 0 3 305.219 4.256 20 0 DIADHN Cc1nc(C)c(CNC2(c3cccc(C(F)(F)F)c3)CCC2)[nH]1 ZINC000930227724 1043898320 /nfs/dbraw/zinc/89/83/20/1043898320.db2.gz IJLQIBSWFGYTNR-UHFFFAOYSA-N 0 3 323.362 4.214 20 0 DIADHN CC(C)C[C@H](NCc1ccc(N)nc1)c1ccc(Cl)cc1 ZINC000930225330 1043898396 /nfs/dbraw/zinc/89/83/96/1043898396.db2.gz ACFKAFANEIXQAO-INIZCTEOSA-N 0 3 303.837 4.194 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1coc(-c2ccccc2OC)n1 ZINC000878506795 1043898920 /nfs/dbraw/zinc/89/89/20/1043898920.db2.gz APMKGUYPQIDCHA-CJNGLKHVSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccccc1-c1nc(CN(CC2CC2)C2CCC2)co1 ZINC000878544635 1043900504 /nfs/dbraw/zinc/90/05/04/1043900504.db2.gz WLXSQVJXKRREHG-UHFFFAOYSA-N 0 3 312.413 4.115 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@H](C)C1CCC1 ZINC000357013522 1043907127 /nfs/dbraw/zinc/90/71/27/1043907127.db2.gz UPPCIHUCDMNGOW-OAHLLOKOSA-N 0 3 302.462 4.177 20 0 DIADHN c1ccc([C@H]2CC[C@H](CN3CCC=C(c4cccnc4)C3)O2)cc1 ZINC000878617377 1043909339 /nfs/dbraw/zinc/90/93/39/1043909339.db2.gz MRZFGOFJRICSQJ-NHCUHLMSSA-N 0 3 320.436 4.091 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC=C(c4cccnc4)C3)O2)cc1 ZINC000878617378 1043909359 /nfs/dbraw/zinc/90/93/59/1043909359.db2.gz MRZFGOFJRICSQJ-RTWAWAEBSA-N 0 3 320.436 4.091 20 0 DIADHN CCc1sc(-c2nnc(CN(C)[C@H](C)C3CCC3)o2)cc1C ZINC000357033661 1043909932 /nfs/dbraw/zinc/90/99/32/1043909932.db2.gz HWEYRTXSIZOUEP-GFCCVEGCSA-N 0 3 319.474 4.289 20 0 DIADHN c1ccc([C@H]2CC[C@H](CN3CCC=C(c4ccccn4)C3)O2)cc1 ZINC000878647279 1043910428 /nfs/dbraw/zinc/91/04/28/1043910428.db2.gz FJOJYUYDNODKSX-TZIWHRDSSA-N 0 3 320.436 4.091 20 0 DIADHN Cc1cc2cc([C@H](C)NCC[S@@](=O)C(C)(C)C)oc2cc1C ZINC000127268595 1043911765 /nfs/dbraw/zinc/91/17/65/1043911765.db2.gz BBPSSJRYGRWRIK-FPTDNZKUSA-N 0 3 321.486 4.247 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2nccc3ccccc32)c1 ZINC000290045201 1043913147 /nfs/dbraw/zinc/91/31/47/1043913147.db2.gz BRTBFPNPTHXUQU-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN FC(F)SCc1ccc(CNCCCOC2CCCC2)o1 ZINC000127309304 1043916037 /nfs/dbraw/zinc/91/60/37/1043916037.db2.gz QHVSMESJSCYWTP-UHFFFAOYSA-N 0 3 319.417 4.174 20 0 DIADHN CC[C@@H](CNCc1ccnn1C(C)C)Oc1ccccc1Cl ZINC000474795848 1043918254 /nfs/dbraw/zinc/91/82/54/1043918254.db2.gz QSBOFRNWGGNTKZ-HNNXBMFYSA-N 0 3 321.852 4.065 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@H]1CC3(CCC3)CO1)CC2 ZINC000878796954 1043919533 /nfs/dbraw/zinc/91/95/33/1043919533.db2.gz FCMCGWYTEIYCAG-QGZVFWFLSA-N 0 3 324.468 4.085 20 0 DIADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(Cc2cccc3nccn32)C1 ZINC000561286539 1043919928 /nfs/dbraw/zinc/91/99/28/1043919928.db2.gz JZLGFHXRHAJVCP-KSSFIOAISA-N 0 3 309.388 4.057 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccc3ccc(Cl)cc3n1)C2 ZINC000878813855 1043921511 /nfs/dbraw/zinc/92/15/11/1043921511.db2.gz SERVMYOEARZULB-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC[C@]2(CC2(F)F)C1 ZINC000878811580 1043922636 /nfs/dbraw/zinc/92/26/36/1043922636.db2.gz MBUMAYWSULCXCG-KRWDZBQOSA-N 0 3 318.367 4.271 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2c3ccccc3CC23CCOCC3)o1 ZINC000290163473 1043925293 /nfs/dbraw/zinc/92/52/93/1043925293.db2.gz MBDOSPGEOVYGLI-DNVCBOLYSA-N 0 3 311.425 4.333 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC[C@@H](C(C)C)C1 ZINC000474809620 1043925914 /nfs/dbraw/zinc/92/59/14/1043925914.db2.gz PAKCUTZGCBUDLA-OAGGEKHMSA-N 0 3 304.482 4.041 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccccc1CF)c1cccs1 ZINC000930498410 1043933721 /nfs/dbraw/zinc/93/37/21/1043933721.db2.gz YRNPCOLASLDGNJ-INIZCTEOSA-N 0 3 307.434 4.067 20 0 DIADHN c1csc(CCCN[C@@H](c2ccccc2)c2ccccn2)c1 ZINC000561358145 1043936563 /nfs/dbraw/zinc/93/65/63/1043936563.db2.gz DTZUWROHZVLCBG-IBGZPJMESA-N 0 3 308.450 4.455 20 0 DIADHN c1csc(CC2CCN(Cc3cnc4ccccc4c3)CC2)n1 ZINC000639376090 1043945994 /nfs/dbraw/zinc/94/59/94/1043945994.db2.gz SZGOCCSHQQFXET-UHFFFAOYSA-N 0 3 323.465 4.146 20 0 DIADHN COc1ccc([C@H](Cc2ccccc2)NCc2ccncc2)cc1 ZINC000561405750 1043946168 /nfs/dbraw/zinc/94/61/68/1043946168.db2.gz KQEWQPDDGYCUPL-NRFANRHFSA-N 0 3 318.420 4.164 20 0 DIADHN Cc1nc(C)c(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)[nH]1 ZINC000930612334 1043947953 /nfs/dbraw/zinc/94/79/53/1043947953.db2.gz QZSNPQLEHDYIMO-MRXNPFEDSA-N 0 3 307.800 4.060 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnc([C@H](C)O)s1 ZINC000290395428 1043948238 /nfs/dbraw/zinc/94/82/38/1043948238.db2.gz OYMABXQXUUZSRJ-WMLDXEAASA-N 0 3 318.486 4.382 20 0 DIADHN C[C@H]1C[C@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000290420825 1043949259 /nfs/dbraw/zinc/94/92/59/1043949259.db2.gz USTYXKIBLMCANS-NHYWBVRUSA-N 0 3 304.340 4.021 20 0 DIADHN F[C@]1(c2ccccc2)CCN(Cc2cccc3cccnc32)C1 ZINC000561487704 1043958715 /nfs/dbraw/zinc/95/87/15/1043958715.db2.gz XLUYRPPOWKZJKZ-HXUWFJFHSA-N 0 3 306.384 4.306 20 0 DIADHN CCN(Cc1cc(C)sc1C)Cc1ccc(OC)c(OC)c1 ZINC000357534014 1043960297 /nfs/dbraw/zinc/96/02/97/1043960297.db2.gz VFVNFHUHMYKPQF-UHFFFAOYSA-N 0 3 319.470 4.404 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CNC(C)(C)[C@H]1CCCCO1 ZINC000879408227 1043963143 /nfs/dbraw/zinc/96/31/43/1043963143.db2.gz RBXFQVCKTFGBHX-QGZVFWFLSA-N 0 3 314.429 4.087 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000357556419 1043963216 /nfs/dbraw/zinc/96/32/16/1043963216.db2.gz WVZAMGHZLHHICU-BXUZGUMPSA-N 0 3 305.809 4.153 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000290575168 1043965165 /nfs/dbraw/zinc/96/51/65/1043965165.db2.gz FNJVCWMINNNGHD-AWEZNQCLSA-N 0 3 314.429 4.074 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(Br)nc1)c1ccncc1 ZINC000290608427 1043966701 /nfs/dbraw/zinc/96/67/01/1043966701.db2.gz HVCPDRLARDWDJW-BXUZGUMPSA-N 0 3 320.234 4.041 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC[C@H](c2cccnc2)C1 ZINC000930808701 1043966807 /nfs/dbraw/zinc/96/68/07/1043966807.db2.gz IVFCQZSWCSMYFP-SFHVURJKSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)c1 ZINC000561575888 1043970813 /nfs/dbraw/zinc/97/08/13/1043970813.db2.gz COSGNLWELGBCDS-ROUUACIJSA-N 0 3 321.342 4.032 20 0 DIADHN COc1ccc([C@@H]2CCN(CCCCC(F)(F)F)C2)cc1F ZINC000626125903 1043971801 /nfs/dbraw/zinc/97/18/01/1043971801.db2.gz BUDFKZAROZZPSA-CYBMUJFWSA-N 0 3 319.342 4.356 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000474894104 1043971814 /nfs/dbraw/zinc/97/18/14/1043971814.db2.gz QCHQVLKEOLYHBW-LSDHHAIUSA-N 0 3 319.880 4.474 20 0 DIADHN C[C@H](NCc1coc(C(C)(C)C)n1)C1CCC(F)(F)CC1 ZINC000930890915 1043972455 /nfs/dbraw/zinc/97/24/55/1043972455.db2.gz DCMYBKQSAKXEQT-NSHDSACASA-N 0 3 300.393 4.276 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2ccnn2C(C)C)cc1 ZINC000474927951 1043979824 /nfs/dbraw/zinc/97/98/24/1043979824.db2.gz SGZRDZWHMXMQCU-HNNXBMFYSA-N 0 3 303.475 4.043 20 0 DIADHN Clc1nc(CN[C@H](Cc2cccnc2)C2CCCC2)cs1 ZINC000879678215 1043981981 /nfs/dbraw/zinc/98/19/81/1043981981.db2.gz VHQRONRHQKWOMW-OAHLLOKOSA-N 0 3 321.877 4.083 20 0 DIADHN CC[C@@H](NCC1CCC2(CC2)CC1)c1nnc2n1CCCCC2 ZINC000931033918 1043984660 /nfs/dbraw/zinc/98/46/60/1043984660.db2.gz MRACKUHZTSMPRL-MRXNPFEDSA-N 0 3 316.493 4.016 20 0 DIADHN Cc1ccncc1CN(CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000474924104 1043984820 /nfs/dbraw/zinc/98/48/20/1043984820.db2.gz HLERXFKCBLBIRY-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN COCC[C@@H](N[C@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000879715064 1043985029 /nfs/dbraw/zinc/98/50/29/1043985029.db2.gz OLRWMZONSOXHNU-RHSMWYFYSA-N 0 3 310.397 4.256 20 0 DIADHN C[C@H](NCc1cc(COC(C)(C)C)on1)C1C(C)(C)C1(C)C ZINC000474920932 1043985144 /nfs/dbraw/zinc/98/51/44/1043985144.db2.gz KAAAVAXVMDFFQF-LBPRGKRZSA-N 0 3 308.466 4.150 20 0 DIADHN Cc1ccc2c(ccc(C)c2CN[C@@H]2CCCn3nccc32)c1 ZINC000879788316 1043991015 /nfs/dbraw/zinc/99/10/15/1043991015.db2.gz XGALCUDVUMEODJ-LJQANCHMSA-N 0 3 305.425 4.278 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCCC(C)(C)CC1 ZINC000357815535 1043991291 /nfs/dbraw/zinc/99/12/91/1043991291.db2.gz YIHJJTJWAQMNDQ-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H]([C@H](C)N[C@@H](c1nc[nH]n1)c1ccccc1)C1CCCCC1 ZINC000474937964 1043991620 /nfs/dbraw/zinc/99/16/20/1043991620.db2.gz CBWMCQMOFCHQOL-RLFYNMQTSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@@H]([C@H](C)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1)C1CCCCC1 ZINC000474937964 1043991625 /nfs/dbraw/zinc/99/16/25/1043991625.db2.gz CBWMCQMOFCHQOL-RLFYNMQTSA-N 0 3 312.461 4.089 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3ccc(F)cc32)cc1O ZINC000290845317 1043993677 /nfs/dbraw/zinc/99/36/77/1043993677.db2.gz YZKDRLRGKQNBQW-PXAZEXFGSA-N 0 3 315.388 4.268 20 0 DIADHN C[C@@H](N[C@@H](CCCO)c1ccccc1)c1nccc2ccccc21 ZINC000290846507 1043993930 /nfs/dbraw/zinc/99/39/30/1043993930.db2.gz ZBPWVQNVKXGTDU-UZLBHIALSA-N 0 3 320.436 4.399 20 0 DIADHN C[C@H](N[C@@H](CCCO)c1ccccc1)c1nccc2ccccc21 ZINC000290846496 1043994033 /nfs/dbraw/zinc/99/40/33/1043994033.db2.gz ZBPWVQNVKXGTDU-JXFKEZNVSA-N 0 3 320.436 4.399 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2NCc1cc(-c2ccccc2)cs1 ZINC000631301023 1044002585 /nfs/dbraw/zinc/00/25/85/1044002585.db2.gz MWCXYRIJJOFIIF-KRWDZBQOSA-N 0 3 309.438 4.236 20 0 DIADHN Cc1csc([C@H]2CCN([C@H](C)c3nc4ccccc4o3)C2)n1 ZINC000880010419 1044006482 /nfs/dbraw/zinc/00/64/82/1044006482.db2.gz ZIDRAXFGJJNTNI-OLZOCXBDSA-N 0 3 313.426 4.143 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000358023167 1044006408 /nfs/dbraw/zinc/00/64/08/1044006408.db2.gz KLDYMULGYSQVBX-GHMZBOCLSA-N 0 3 324.877 4.285 20 0 DIADHN Cc1csc([C@H]2CCN([C@@H](C)c3nc4ccccc4o3)C2)n1 ZINC000880010424 1044007856 /nfs/dbraw/zinc/00/78/56/1044007856.db2.gz ZIDRAXFGJJNTNI-STQMWFEESA-N 0 3 313.426 4.143 20 0 DIADHN CC[C@H](NC[C@H]1CCCCO1)c1ccccc1Br ZINC000358017798 1044007956 /nfs/dbraw/zinc/00/79/56/1044007956.db2.gz IQGQNKCPDYTKPV-DOMZBBRYSA-N 0 3 312.251 4.059 20 0 DIADHN CC[C@@](C)(NCC[C@@H](C)OCc1ccccc1)c1nccs1 ZINC000474978797 1044009565 /nfs/dbraw/zinc/00/95/65/1044009565.db2.gz MRFXLVBAJGYSAU-CRAIPNDOSA-N 0 3 318.486 4.353 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(C(F)(F)F)nc1)c1ccccc1 ZINC000880098926 1044012151 /nfs/dbraw/zinc/01/21/51/1044012151.db2.gz YSVKTWKANYDWPX-IUODEOHRSA-N 0 3 324.346 4.139 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1cnc3ccccc3c1)CCC2 ZINC000358043083 1044012309 /nfs/dbraw/zinc/01/23/09/1044012309.db2.gz GWHKVDBRHPAZOZ-INIZCTEOSA-N 0 3 323.465 4.421 20 0 DIADHN CSc1ccccc1CN1CCC[C@H]1c1c(C)n[nH]c1C ZINC000358080498 1044015840 /nfs/dbraw/zinc/01/58/40/1044015840.db2.gz ZEASMHFCIRUNCI-HNNXBMFYSA-N 0 3 301.459 4.086 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1c(C)cc(F)cc1C ZINC000829678581 1044016662 /nfs/dbraw/zinc/01/66/62/1044016662.db2.gz NESJOVSGGVMJTR-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCCCCCC2)c(C)c1 ZINC000007390209 1044027965 /nfs/dbraw/zinc/02/79/65/1044027965.db2.gz VVFUPHGWOFPGBD-QGZVFWFLSA-N 0 3 302.462 4.205 20 0 DIADHN CC[C@@H](OCCN1CC[C@@H](c2nccs2)C1)c1ccccc1 ZINC000880321006 1044029083 /nfs/dbraw/zinc/02/90/83/1044029083.db2.gz MBHZWJOIOBIYHK-IAGOWNOFSA-N 0 3 316.470 4.100 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(C(F)(F)F)cc2)ccn1 ZINC000880385927 1044033565 /nfs/dbraw/zinc/03/35/65/1044033565.db2.gz ZKJRROWMIIAJIN-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN C[C@H](NC[C@H]1CCCOC1)c1csc(-c2ccccc2F)n1 ZINC000291169055 1044033519 /nfs/dbraw/zinc/03/35/19/1044033519.db2.gz JTJDOCYDNBAUGK-QWHCGFSZSA-N 0 3 320.433 4.026 20 0 DIADHN Cc1ccc(CNC(C)(C)c2ccc(C(F)(F)F)cc2)cn1 ZINC000880384726 1044035053 /nfs/dbraw/zinc/03/50/53/1044035053.db2.gz CJSQGQQHLPBBCT-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN COc1cc(CN[C@H]2CCCOc3ccc(C)cc32)ccc1C ZINC000358229850 1044037199 /nfs/dbraw/zinc/03/71/99/1044037199.db2.gz XPMNEECWIBABIM-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccncc3)c2)CC(C)(C)O1 ZINC000443851612 1044038713 /nfs/dbraw/zinc/03/87/13/1044038713.db2.gz DGOYIJXRKZMKCX-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN COC(=O)CCCN(Cc1cc(C)cs1)Cc1ccccc1 ZINC001137153223 1044039408 /nfs/dbraw/zinc/03/94/08/1044039408.db2.gz SQBFKMUQVYFLCG-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN CC[C@@H](NCc1csc(-c2ccc(C)o2)n1)[C@H]1CCCOC1 ZINC000880467015 1044041791 /nfs/dbraw/zinc/04/17/91/1044041791.db2.gz UPQYWIVDYKENSB-DZGCQCFKSA-N 0 3 320.458 4.006 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1cnc(-c2ccccc2)o1 ZINC000931556632 1044044685 /nfs/dbraw/zinc/04/46/85/1044044685.db2.gz NYEQHULZRCCGQE-HUUCEWRRSA-N 0 3 322.330 4.328 20 0 DIADHN Oc1ccc2c(c1)[C@@H](N[C@H]1CCCOc3ccccc31)CCC2 ZINC000291264842 1044050059 /nfs/dbraw/zinc/05/00/59/1044050059.db2.gz QIMIQWYMGDNIAE-OALUTQOASA-N 0 3 309.409 4.273 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000562058080 1044058484 /nfs/dbraw/zinc/05/84/84/1044058484.db2.gz AUMMQCAEYNDBPF-FZKQIMNGSA-N 0 3 320.839 4.433 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000562058082 1044058573 /nfs/dbraw/zinc/05/85/73/1044058573.db2.gz AUMMQCAEYNDBPF-UGSOOPFHSA-N 0 3 320.839 4.433 20 0 DIADHN COC[C@H](NC[C@H](OC(C)C)c1ccccc1)c1ccccc1 ZINC000428313047 1044060076 /nfs/dbraw/zinc/06/00/76/1044060076.db2.gz HEZZVOAEYDVGKB-PMACEKPBSA-N 0 3 313.441 4.130 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC3CCC3)c1)CCC2 ZINC000358375241 1044061129 /nfs/dbraw/zinc/06/11/29/1044061129.db2.gz PPNWDLZBWHGQAJ-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3ccc(F)c(F)c3)C2(C)C)o1 ZINC000931688681 1044061315 /nfs/dbraw/zinc/06/13/15/1044061315.db2.gz JYBRIYYEICTKFU-CQSZACIVSA-N 0 3 306.356 4.029 20 0 DIADHN C[C@@H](NCC12CCC(CC1)C2)c1ncc(Br)s1 ZINC000358381472 1044061665 /nfs/dbraw/zinc/06/16/65/1044061665.db2.gz LYDRIESTLWBQED-TTXORMCVSA-N 0 3 315.280 4.137 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](c1cccnc1)C1CC1)CCS2 ZINC000358371775 1044061978 /nfs/dbraw/zinc/06/19/78/1044061978.db2.gz ACZGBORLXTWGNH-AEFFLSMTSA-N 0 3 314.429 4.499 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2F)n1 ZINC000291383473 1044068165 /nfs/dbraw/zinc/06/81/65/1044068165.db2.gz ZPMPHNCRUHXXIN-AGIUHOORSA-N 0 3 320.433 4.167 20 0 DIADHN C[C@H]1CC[C@@H](CNC2(c3ccc(Cl)c(Cl)c3)CC2)O1 ZINC000475044370 1044070323 /nfs/dbraw/zinc/07/03/23/1044070323.db2.gz HJDHKIZWKQOYRD-JQWIXIFHSA-N 0 3 300.229 4.140 20 0 DIADHN FCc1ccc(CN2CC[C@@]3(CC(F)(F)C3(F)F)C2)cc1 ZINC000931918633 1044083901 /nfs/dbraw/zinc/08/39/01/1044083901.db2.gz OZRVLASBASIIQI-CYBMUJFWSA-N 0 3 305.290 4.023 20 0 DIADHN FC1(F)C[C@@]2(CCN(Cc3cncc4ccccc43)C2)C1(F)F ZINC000931915349 1044086260 /nfs/dbraw/zinc/08/62/60/1044086260.db2.gz CMOXWQGOVUSBEF-OAHLLOKOSA-N 0 3 324.321 4.101 20 0 DIADHN CCOc1ccc(CN[C@H](c2cccnc2)C2CCC2)cc1F ZINC000281018919 1044089380 /nfs/dbraw/zinc/08/93/80/1044089380.db2.gz ZCLNKNIEFJBGCK-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN COc1ccc(CN[C@H](c2cccnc2)C2CCC2)cc1Cl ZINC000281015211 1044090445 /nfs/dbraw/zinc/09/04/45/1044090445.db2.gz YYHIXYFOQYTZIM-SFHVURJKSA-N 0 3 316.832 4.375 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2cnn(C(C)C)c2)c1 ZINC000346334104 1044093973 /nfs/dbraw/zinc/09/39/73/1044093973.db2.gz NPUVRSZWYMAQFS-KXBFYZLASA-N 0 3 313.445 4.056 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000358756626 1044098587 /nfs/dbraw/zinc/09/85/87/1044098587.db2.gz PJIAZPSYPQLEFM-HKUYNNGSSA-N 0 3 313.420 4.068 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc(Cl)cc2C)c1 ZINC000128806156 1044103338 /nfs/dbraw/zinc/10/33/38/1044103338.db2.gz CJAPCLFJGUCIGY-ZDUSSCGKSA-N 0 3 316.832 4.458 20 0 DIADHN c1cc2c(s1)CCC[C@H]2N[C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000562340373 1044103886 /nfs/dbraw/zinc/10/38/86/1044103886.db2.gz FIUYKRZNCRZMME-GDBMZVCRSA-N 0 3 316.474 4.155 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(c2ccn(C)n2)CC1 ZINC000932142583 1044104038 /nfs/dbraw/zinc/10/40/38/1044104038.db2.gz DYDZZBUEKBRACD-CYBMUJFWSA-N 0 3 303.837 4.014 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@H]1OCCc2sccc21 ZINC000291809114 1044105206 /nfs/dbraw/zinc/10/52/06/1044105206.db2.gz CLLIQDIIBHQHIA-ZIBATOQPSA-N 0 3 323.483 4.171 20 0 DIADHN c1csc(C[C@@H]2CCCN(Cc3cnc4ccccc4c3)C2)n1 ZINC000932200145 1044109692 /nfs/dbraw/zinc/10/96/92/1044109692.db2.gz COEYGVMKIDUPDA-HNNXBMFYSA-N 0 3 323.465 4.146 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3nn(-c4ccccc4)cc32)cc1 ZINC000358934725 1044110907 /nfs/dbraw/zinc/11/09/07/1044110907.db2.gz KWRCSMJAAJFTLZ-FQEVSTJZSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1cccc(CN[C@H]2CCCc3nn(-c4ccccc4)cc32)c1 ZINC000358921411 1044112076 /nfs/dbraw/zinc/11/20/76/1044112076.db2.gz AJBCARLGTAOQOZ-FQEVSTJZSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC000281258665 1044112453 /nfs/dbraw/zinc/11/24/53/1044112453.db2.gz GVZJBBOXTPUMNI-BFUOFWGJSA-N 0 3 315.436 4.325 20 0 DIADHN C[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C(C)(C)C)o1 ZINC000932279592 1044113966 /nfs/dbraw/zinc/11/39/66/1044113966.db2.gz QFRGGBCFDYZREI-GOEBONIOSA-N 0 3 313.445 4.135 20 0 DIADHN Cc1ccsc1CN[C@@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000358949817 1044114210 /nfs/dbraw/zinc/11/42/10/1044114210.db2.gz VXMQHQFTKVYZCA-QGZVFWFLSA-N 0 3 323.465 4.409 20 0 DIADHN Fc1cncc([C@@H](NCc2cccc(C(F)(F)F)c2)C2CC2)c1 ZINC000281312327 1044116963 /nfs/dbraw/zinc/11/69/63/1044116963.db2.gz RXOZJVWMKCQOLJ-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000359008791 1044121849 /nfs/dbraw/zinc/12/18/49/1044121849.db2.gz XVCRLBRAUAMXRA-IUODEOHRSA-N 0 3 323.362 4.180 20 0 DIADHN Fc1ccccc1SCCN[C@H](c1ccccn1)C1CC1 ZINC000932378738 1044122641 /nfs/dbraw/zinc/12/26/41/1044122641.db2.gz LEZQRIBJRQGPBH-KRWDZBQOSA-N 0 3 302.418 4.054 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@@H]1OCCc2sccc21 ZINC000291997091 1044125574 /nfs/dbraw/zinc/12/55/74/1044125574.db2.gz XHLOAPYADCSBFQ-JCGVRSQUSA-N 0 3 317.454 4.110 20 0 DIADHN Cc1ccc([C@@H]2CCCN2[C@@H](C)C(=O)N(C(C)C)C(C)C)s1 ZINC000359078471 1044128587 /nfs/dbraw/zinc/12/85/87/1044128587.db2.gz SRQRKVNCMPKSOE-HOTGVXAUSA-N 0 3 322.518 4.227 20 0 DIADHN CCC1(CC)[C@@H](NC2(c3nc(C)cs3)CCC2)C[C@@H]1OC ZINC000346660882 1044128910 /nfs/dbraw/zinc/12/89/10/1044128910.db2.gz UZPJUVITPKJFAY-KBPBESRZSA-N 0 3 308.491 4.014 20 0 DIADHN CC[C@@H](NCc1ccc2ccccc2n1)c1cccc(OC)c1 ZINC000346782084 1044138759 /nfs/dbraw/zinc/13/87/59/1044138759.db2.gz SCNBPVWHFJWXTQ-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN CSc1ccc(C(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000346769368 1044140395 /nfs/dbraw/zinc/14/03/95/1044140395.db2.gz AXHBIIRXRTVPSV-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NC2(c3ccc(F)cc3)CC2)cc1 ZINC000359201067 1044142684 /nfs/dbraw/zinc/14/26/84/1044142684.db2.gz AWOJSSIKTUJKQO-CYBMUJFWSA-N 0 3 312.388 4.124 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2cnc3ccccc3c2)cc1 ZINC000359218761 1044143604 /nfs/dbraw/zinc/14/36/04/1044143604.db2.gz PBFMHEGSFPSHLF-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN Cc1ncc(C[NH2+][C@H](CCCC(C)C)c2ccccc2)c(=O)[n-]1 ZINC000346809378 1044144020 /nfs/dbraw/zinc/14/40/20/1044144020.db2.gz PKEBDGWENYZZFZ-GOSISDBHSA-N 0 3 313.445 4.148 20 0 DIADHN CCOc1cc(CNCc2ccc(C)c(Cl)c2)ccc1OC ZINC000443981463 1044145597 /nfs/dbraw/zinc/14/55/97/1044145597.db2.gz ATMLBEONKCMRNO-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](c1cccs1)C1CC1 ZINC000359268722 1044148384 /nfs/dbraw/zinc/14/83/84/1044148384.db2.gz XGDRETJCLLSCEM-GOSISDBHSA-N 0 3 314.454 4.044 20 0 DIADHN CCC(CC)[C@@H](CCNCc1cnc(C(F)(F)F)s1)OC ZINC000443987166 1044148854 /nfs/dbraw/zinc/14/88/54/1044148854.db2.gz JAMLAMJNXVUHRV-GFCCVEGCSA-N 0 3 324.412 4.093 20 0 DIADHN COCc1cnc(CNCC[C@H]2C[C@@H](C)CC(C)(C)C2)s1 ZINC000443992181 1044150422 /nfs/dbraw/zinc/15/04/22/1044150422.db2.gz XYHUURLRBPORJZ-KGLIPLIRSA-N 0 3 310.507 4.232 20 0 DIADHN COCc1cnc(CNCC[C@@H]2C[C@H](C)CC(C)(C)C2)s1 ZINC000443992182 1044151001 /nfs/dbraw/zinc/15/10/01/1044151001.db2.gz XYHUURLRBPORJZ-UONOGXRCSA-N 0 3 310.507 4.232 20 0 DIADHN FC(F)(F)CC1C[C@H]2CC[C@@H](C1)N2C[C@H]1CC1(Cl)Cl ZINC000932799138 1044159738 /nfs/dbraw/zinc/15/97/38/1044159738.db2.gz LHWYUABVVLGUCR-OCPDBYLZSA-N 0 3 316.194 4.376 20 0 DIADHN Cc1ccc(NC(=O)c2cc3c(cccc3C)o2)c(CN(C)C)c1 ZINC000932805734 1044161809 /nfs/dbraw/zinc/16/18/09/1044161809.db2.gz KDEUUKOECPMMQJ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN COCCC1(CNCc2csc(C3CC3)n2)CCCCC1 ZINC000359382379 1044162250 /nfs/dbraw/zinc/16/22/50/1044162250.db2.gz QTQIGTLZEUODNL-UHFFFAOYSA-N 0 3 308.491 4.097 20 0 DIADHN C[C@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@@]1(C)CCCO1 ZINC000562838567 1044163582 /nfs/dbraw/zinc/16/35/82/1044163582.db2.gz RDESNCAIPVSGBB-LKFCYVNXSA-N 0 3 323.305 4.031 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(C(C)(F)F)cc2)c1 ZINC000292365863 1044166080 /nfs/dbraw/zinc/16/60/80/1044166080.db2.gz VFGHBLVQRSMABJ-UHFFFAOYSA-N 0 3 318.367 4.112 20 0 DIADHN C[C@]1(Cc2cccs2)CCCN1Cc1ncc(C2CC2)o1 ZINC000932925855 1044175587 /nfs/dbraw/zinc/17/55/87/1044175587.db2.gz WDVPAJCPGMAZFG-QGZVFWFLSA-N 0 3 302.443 4.211 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000359564017 1044176841 /nfs/dbraw/zinc/17/68/41/1044176841.db2.gz SWXFEWISJVESQG-VCBZYWHSSA-N 0 3 311.429 4.310 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCc1csc(C)n1)[C@H]2C ZINC000359650632 1044185539 /nfs/dbraw/zinc/18/55/39/1044185539.db2.gz OMDZUVWHMFFYDS-OLZOCXBDSA-N 0 3 316.470 4.010 20 0 DIADHN Cc1ccccc1C1(CNCc2nccn2-c2ccccc2)CC1 ZINC000359734689 1044194905 /nfs/dbraw/zinc/19/49/05/1044194905.db2.gz WTYCIHAPNZJTEA-UHFFFAOYSA-N 0 3 317.436 4.002 20 0 DIADHN C[C@H](NCc1ccc2oc(=O)oc2c1)c1ccc2c(c1)CCCC2 ZINC000882880299 1044198730 /nfs/dbraw/zinc/19/87/30/1044198730.db2.gz LRJQOLFNXWXMPT-ZDUSSCGKSA-N 0 3 323.392 4.116 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@@H](O)c2ccccc2F)cc1F ZINC000130345235 1044199130 /nfs/dbraw/zinc/19/91/30/1044199130.db2.gz CTMPMDIKFARGJS-BDJLRTHQSA-N 0 3 323.408 4.071 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1nccn1-c1ccccc1 ZINC000359765673 1044199221 /nfs/dbraw/zinc/19/92/21/1044199221.db2.gz MKFKQNFYIHFWKW-SJORKVTESA-N 0 3 315.486 4.026 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccccc3[nH]c2=O)cc1C ZINC000933491091 1044199936 /nfs/dbraw/zinc/19/99/36/1044199936.db2.gz JQRKVUQMHDLXHF-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN c1nc(C2CCC2)sc1CNC[C@@H]1CCC2(CCCCC2)O1 ZINC000359812560 1044203346 /nfs/dbraw/zinc/20/33/46/1044203346.db2.gz WLULQLKIKLYODU-HNNXBMFYSA-N 0 3 320.502 4.382 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccnc(Br)c2)c1 ZINC000292720933 1044203647 /nfs/dbraw/zinc/20/36/47/1044203647.db2.gz AOBHYTSUOACBTO-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CSCc1cnc(CNCCc2ccc(SC)cc2)s1 ZINC000292757974 1044207596 /nfs/dbraw/zinc/20/75/96/1044207596.db2.gz BSJPQFDEHAICDV-UHFFFAOYSA-N 0 3 324.540 4.060 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)NCc1cccc(Cl)c1Cl ZINC000130618128 1044210462 /nfs/dbraw/zinc/21/04/62/1044210462.db2.gz REVLKYWVRZYALT-ZWNOBZJWSA-N 0 3 314.212 4.188 20 0 DIADHN CC[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccc(Cl)s1 ZINC000883000215 1044214168 /nfs/dbraw/zinc/21/41/68/1044214168.db2.gz RRQRUAQEKJHTOM-SNVBAGLBSA-N 0 3 323.801 4.342 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2coc(C)c2)cc1 ZINC000187246023 1044216385 /nfs/dbraw/zinc/21/63/85/1044216385.db2.gz HHZWTVOJCXOMHI-PXAZEXFGSA-N 0 3 324.380 4.063 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cccnc1N(C)C ZINC000360050898 1044230437 /nfs/dbraw/zinc/23/04/37/1044230437.db2.gz CTZVLHCMAVOVCE-LJQANCHMSA-N 0 3 311.473 4.333 20 0 DIADHN CCC1(CC)CCCN(Cc2ccc([S@](C)=O)cc2)CC1 ZINC000347303433 1044230568 /nfs/dbraw/zinc/23/05/68/1044230568.db2.gz NGXXKFYKOLCITL-NRFANRHFSA-N 0 3 307.503 4.216 20 0 DIADHN CCCCC1(N[C@H](C)c2nc(=O)c3c(C)c(C)sc3[nH]2)CC1 ZINC000934206561 1044231217 /nfs/dbraw/zinc/23/12/17/1044231217.db2.gz BMHFPAHUTJINLJ-LLVKDONJSA-N 0 3 319.474 4.387 20 0 DIADHN CC[C@@H](NCc1ccc2oc(=O)oc2c1)c1cc(C)ccc1C ZINC000883190819 1044231539 /nfs/dbraw/zinc/23/15/39/1044231539.db2.gz MMZSWDLQFPSQIZ-MRXNPFEDSA-N 0 3 311.381 4.244 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccsc1)c1sccc1Cl ZINC000883192294 1044232724 /nfs/dbraw/zinc/23/27/24/1044232724.db2.gz VYGNVHZNJCGYPF-NEPJUHHUSA-N 0 3 301.864 4.237 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccsc1)c1sccc1Cl ZINC000883192296 1044233041 /nfs/dbraw/zinc/23/30/41/1044233041.db2.gz VYGNVHZNJCGYPF-RYUDHWBXSA-N 0 3 301.864 4.237 20 0 DIADHN COCC1(CCNCc2csc(-c3ccsc3)n2)CCC1 ZINC000292955726 1044233843 /nfs/dbraw/zinc/23/38/43/1044233843.db2.gz KYGZCBYZMFQCSN-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN Cc1ccc(-c2csc(CN[C@H](C)Cc3cccnc3)n2)cc1 ZINC000934231539 1044234003 /nfs/dbraw/zinc/23/40/03/1044234003.db2.gz UYWRTSGTOPFFHZ-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cccnc1[C@@H](NC[C@@H]1CC1(Cl)Cl)C(C)(C)C ZINC000934295978 1044236169 /nfs/dbraw/zinc/23/61/69/1044236169.db2.gz ZQXKHWAEXSJFKV-WCQYABFASA-N 0 3 301.261 4.261 20 0 DIADHN Cc1ccc(CNCc2ccc(F)c(CN(C)C)c2)c(Cl)c1 ZINC000563252953 1044238288 /nfs/dbraw/zinc/23/82/88/1044238288.db2.gz ZBWJWFLDHWWFOU-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN CC[C@H](NCc1ccc2cncn2c1)c1sccc1Cl ZINC000883228694 1044239466 /nfs/dbraw/zinc/23/94/66/1044239466.db2.gz RPUROJBDVMEWAE-AWEZNQCLSA-N 0 3 305.834 4.290 20 0 DIADHN Cc1cccc([C@H](NCc2cnn(CC(F)F)c2)C(C)(C)C)c1 ZINC000292991718 1044239667 /nfs/dbraw/zinc/23/96/67/1044239667.db2.gz MZYGLIFZKDVMGW-KRWDZBQOSA-N 0 3 321.415 4.334 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H]1CCCn2ccnc21 ZINC000655704960 1044262800 /nfs/dbraw/zinc/26/28/00/1044262800.db2.gz RHLDASNHXQUNHD-IAQYHMDHSA-N 0 3 324.255 4.246 20 0 DIADHN COc1cc(CN[C@@H](c2ccncc2)C2CC2)ccc1SC ZINC000934690228 1044268614 /nfs/dbraw/zinc/26/86/14/1044268614.db2.gz AAOPTVJOTZTPEC-GOSISDBHSA-N 0 3 314.454 4.053 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@H](c1ccncc1)C1CC1 ZINC000934696972 1044272476 /nfs/dbraw/zinc/27/24/76/1044272476.db2.gz FRRBEMXOCXIYBD-FQEVSTJZSA-N 0 3 309.457 4.403 20 0 DIADHN Cc1ccnc(CN2CC[C@H](C3CCCC3)C2)c1Br ZINC001308827961 1044274886 /nfs/dbraw/zinc/27/48/86/1044274886.db2.gz VKQHTPOEJARUPQ-AWEZNQCLSA-N 0 3 323.278 4.165 20 0 DIADHN CC(C)COc1cccc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934701164 1044275338 /nfs/dbraw/zinc/27/53/38/1044275338.db2.gz LMPSRBXARLQZAC-FQEVSTJZSA-N 0 3 310.441 4.357 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](N[C@@H](C)c1ccoc1)CCCC2 ZINC000883347519 1044279496 /nfs/dbraw/zinc/27/94/96/1044279496.db2.gz UELQXXUPKJYLHB-GUYCJALGSA-N 0 3 315.413 4.415 20 0 DIADHN C[C@H](NCCC(=O)N(C)c1ccccc1)c1ccccc1Cl ZINC000360495478 1044279551 /nfs/dbraw/zinc/27/95/51/1044279551.db2.gz LQFZVQOZXFOOTF-AWEZNQCLSA-N 0 3 316.832 4.044 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1C[C@@H]2CSC[C@]2(C)C1 ZINC000934779397 1044281376 /nfs/dbraw/zinc/28/13/76/1044281376.db2.gz VHZHEWBCWLNUHQ-JOQOYGCGSA-N 0 3 314.454 4.088 20 0 DIADHN CCN(Cc1c(Cl)cccc1OC)[C@H]1CCOC(C)(C)C1 ZINC000563572579 1044282854 /nfs/dbraw/zinc/28/28/54/1044282854.db2.gz IUAGZHKEXDYLOO-ZDUSSCGKSA-N 0 3 311.853 4.128 20 0 DIADHN CCN(CCCN([C@H](C)c1ccco1)C1CC1)CC(F)(F)F ZINC000475139445 1044283723 /nfs/dbraw/zinc/28/37/23/1044283723.db2.gz NJQPNGCMEMQSEY-CYBMUJFWSA-N 0 3 318.383 4.079 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN[C@@H](C)c1cnn(C)c1 ZINC000293426081 1044284563 /nfs/dbraw/zinc/28/45/63/1044284563.db2.gz ATRZYUWQGMWUGA-NSHDSACASA-N 0 3 319.861 4.447 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCOc3ccc(C)cc32)cc1 ZINC000360573789 1044285529 /nfs/dbraw/zinc/28/55/29/1044285529.db2.gz HVHFHZKVMYEAMB-IBGZPJMESA-N 0 3 311.425 4.397 20 0 DIADHN CCC[C@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1ccccc1 ZINC000360578360 1044286666 /nfs/dbraw/zinc/28/66/66/1044286666.db2.gz GJICVXUCJBRQDN-VQTJNVASSA-N 0 3 324.468 4.337 20 0 DIADHN Fc1ccc([C@@H](C[C@@H]2CCOC2)NCc2ccsc2)cc1 ZINC000293462533 1044286808 /nfs/dbraw/zinc/28/68/08/1044286808.db2.gz QETVBLMZLZYKQY-SUMWQHHRSA-N 0 3 305.418 4.145 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@H](C)c1cn(C)nc1C)CCC2 ZINC000563625679 1044288095 /nfs/dbraw/zinc/28/80/95/1044288095.db2.gz RSISPXRVRYMXBW-KZULUSFZSA-N 0 3 315.486 4.179 20 0 DIADHN CSCc1cnc(CNCC[C@H](C)c2ccccc2)s1 ZINC000293524568 1044294805 /nfs/dbraw/zinc/29/48/05/1044294805.db2.gz IWPOAMKVJHJVLW-ZDUSSCGKSA-N 0 3 306.500 4.290 20 0 DIADHN Cc1cnc(CN[C@H](C)Cc2ccccc2C(F)(F)F)s1 ZINC000360659088 1044296694 /nfs/dbraw/zinc/29/66/94/1044296694.db2.gz WSAYSZLEQJYXDY-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883386385 1044298851 /nfs/dbraw/zinc/29/88/51/1044298851.db2.gz FFBVOVLHDIFQET-WBVHZDCISA-N 0 3 311.454 4.345 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc(-n2cccn2)cc1 ZINC000360687366 1044298978 /nfs/dbraw/zinc/29/89/78/1044298978.db2.gz CASITFMOWOJAJM-CJNGLKHVSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc(-n2cccn2)cc1 ZINC000360687368 1044299396 /nfs/dbraw/zinc/29/93/96/1044299396.db2.gz CASITFMOWOJAJM-XJKSGUPXSA-N 0 3 323.362 4.035 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H](Cn1ccnc1)c1ccccc1 ZINC000883386383 1044299470 /nfs/dbraw/zinc/29/94/70/1044299470.db2.gz FFBVOVLHDIFQET-NVXWUHKLSA-N 0 3 311.454 4.345 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387560 1044299800 /nfs/dbraw/zinc/29/98/00/1044299800.db2.gz ZQHVKWJLBROTFP-UXHICEINSA-N 0 3 321.399 4.041 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](Cn2ccnc2)c2ccccc2)c2ccccc21 ZINC000883387618 1044303878 /nfs/dbraw/zinc/30/38/78/1044303878.db2.gz ALUUTXPBXMOMAF-CZAAIQMYSA-N 0 3 317.436 4.463 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(OC(F)F)c1)c1cccc(O)c1 ZINC000192720803 1044305104 /nfs/dbraw/zinc/30/51/04/1044305104.db2.gz RBYBUWYAUYVLPL-VXGBXAGGSA-N 0 3 307.340 4.405 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(C2CCC2)cc1)c1cccnc1 ZINC000293629526 1044307128 /nfs/dbraw/zinc/30/71/28/1044307128.db2.gz LIXJVKBIMIYUMD-HXUWFJFHSA-N 0 3 324.468 4.199 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1cncc2ccccc21 ZINC000780793939 1044307261 /nfs/dbraw/zinc/30/72/61/1044307261.db2.gz YXLVYWUMCBIWSC-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@H](c3ccccc3F)C2)cs1 ZINC000360762112 1044307618 /nfs/dbraw/zinc/30/76/18/1044307618.db2.gz DXAQPHAPKIPGHA-CHWSQXEVSA-N 0 3 304.434 4.271 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@@H](c3ccccc3F)C2)c1 ZINC000360761558 1044307951 /nfs/dbraw/zinc/30/79/51/1044307951.db2.gz BTABQYVKGZJNSD-HIFRSBDPSA-N 0 3 303.352 4.096 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000192784961 1044308095 /nfs/dbraw/zinc/30/80/95/1044308095.db2.gz ANLDVWGQPQRZSR-GUYCJALGSA-N 0 3 315.388 4.399 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCSc2ccccc2C1 ZINC001137189806 1044312334 /nfs/dbraw/zinc/31/23/34/1044312334.db2.gz IKVDHPVUDDEWQJ-UHFFFAOYSA-N 0 3 322.433 4.048 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccsc2C(F)F)c1 ZINC000935111458 1044315392 /nfs/dbraw/zinc/31/53/92/1044315392.db2.gz OERGUKSBROJMGL-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN Cc1cccc2ncc(CN(Cc3ccccc3)C(C)(C)C)n21 ZINC001137191131 1044315679 /nfs/dbraw/zinc/31/56/79/1044315679.db2.gz PRORCMARCCHLMO-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1nccn1CC(F)(F)F ZINC001257476966 1044320382 /nfs/dbraw/zinc/32/03/82/1044320382.db2.gz RKNRGXQLQVOKFP-STQMWFEESA-N 0 3 305.388 4.140 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCCc3nc(C)sc32)s1 ZINC000360886326 1044324575 /nfs/dbraw/zinc/32/45/75/1044324575.db2.gz GBNHYYBQGVRCEF-JOYOIKCWSA-N 0 3 307.488 4.253 20 0 DIADHN CCC[C@@H](NCc1ccnc(Br)c1)c1ccccc1 ZINC000293773555 1044327648 /nfs/dbraw/zinc/32/76/48/1044327648.db2.gz LCWXRHYWLIYUTO-OAHLLOKOSA-N 0 3 319.246 4.475 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1cccc(C(F)(F)F)c1 ZINC000237446037 1044329276 /nfs/dbraw/zinc/32/92/76/1044329276.db2.gz BHJVFEGLSXSDLK-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(Br)c2)cc1 ZINC000293783864 1044329409 /nfs/dbraw/zinc/32/94/09/1044329409.db2.gz LZLRGCPURANCEY-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CC1(C)CCC[C@@]1(O)CNCc1c(Cl)cccc1Cl ZINC001202554569 1044329682 /nfs/dbraw/zinc/32/96/82/1044329682.db2.gz JUJAWHXFSCVZPN-OAHLLOKOSA-N 0 3 302.245 4.024 20 0 DIADHN CCCOc1cccc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c1 ZINC000475274276 1044336353 /nfs/dbraw/zinc/33/63/53/1044336353.db2.gz NCRSRHSUDQQNJG-SWLSCSKDSA-N 0 3 301.352 4.248 20 0 DIADHN COc1c(C)cc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)cc1C ZINC000475279424 1044337964 /nfs/dbraw/zinc/33/79/64/1044337964.db2.gz NTQLHSMANWCXMG-JSGCOSHPSA-N 0 3 301.352 4.085 20 0 DIADHN Cc1nc([C@H](C)NCCCc2nc3c(s2)CCCC3)cs1 ZINC000361010556 1044346505 /nfs/dbraw/zinc/34/65/05/1044346505.db2.gz PWZWZVXSUQYORD-NSHDSACASA-N 0 3 321.515 4.070 20 0 DIADHN Cc1nc2ccc(CN3CC[C@@H](C(F)(F)F)[C@H]3C)cc2s1 ZINC000475285625 1044346586 /nfs/dbraw/zinc/34/65/86/1044346586.db2.gz ZQTJPAHUEZFOJJ-BXKDBHETSA-N 0 3 314.376 4.377 20 0 DIADHN Cc1nc2ccc(CN3CC[C@@H](C(F)(F)F)[C@@H]3C)cc2s1 ZINC000475285627 1044347595 /nfs/dbraw/zinc/34/75/95/1044347595.db2.gz ZQTJPAHUEZFOJJ-JOYOIKCWSA-N 0 3 314.376 4.377 20 0 DIADHN Cc1nc([C@@H]2CCCN2C[C@@H](C)CC2CCOCC2)cs1 ZINC000361053562 1044352970 /nfs/dbraw/zinc/35/29/70/1044352970.db2.gz NLJLGWGNSMYQOC-GUYCJALGSA-N 0 3 308.491 4.041 20 0 DIADHN Cc1ccc(CN(CC(C)C)[C@@H]2CCCc3cccnc32)cn1 ZINC000361053021 1044354114 /nfs/dbraw/zinc/35/41/14/1044354114.db2.gz KQYKIAGPHFDHFQ-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@@H](C)c3cnn(C)c3C)ccc2c1 ZINC000192855119 1044355978 /nfs/dbraw/zinc/35/59/78/1044355978.db2.gz YYNXGTFJAMVIOQ-KGLIPLIRSA-N 0 3 323.440 4.302 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccsc2C)cc1OC ZINC000293943629 1044356927 /nfs/dbraw/zinc/35/69/27/1044356927.db2.gz BGHKCTQXODMYJC-LBPRGKRZSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1sccc1CN[C@@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000293974175 1044360939 /nfs/dbraw/zinc/36/09/39/1044360939.db2.gz DESFRAWBLBRBLI-LBPRGKRZSA-N 0 3 314.454 4.256 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@H](c3ccc(F)cc3)C2)s1 ZINC000475331607 1044365154 /nfs/dbraw/zinc/36/51/54/1044365154.db2.gz HHHPRMUNNWWOFL-ZFWWWQNUSA-N 0 3 304.434 4.271 20 0 DIADHN c1ccc(OCc2ccncc2)c(CNCC2=CCCCC2)c1 ZINC001202595080 1044368653 /nfs/dbraw/zinc/36/86/53/1044368653.db2.gz IHFRONKYSHGVNG-UHFFFAOYSA-N 0 3 308.425 4.251 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361180886 1044369525 /nfs/dbraw/zinc/36/95/25/1044369525.db2.gz VBWQBVALTJBJEH-BDJLRTHQSA-N 0 3 321.342 4.148 20 0 DIADHN CC(C)c1nc(CNCC[C@@H]2CCc3ccccc32)cs1 ZINC001202610752 1044369918 /nfs/dbraw/zinc/36/99/18/1044369918.db2.gz AZBIUYGJJXFHJB-HNNXBMFYSA-N 0 3 300.471 4.476 20 0 DIADHN COCCOc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000107313462 1044378213 /nfs/dbraw/zinc/37/82/13/1044378213.db2.gz RAQCFBDNKOPPSI-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cscc2C(F)F)c1 ZINC000897237094 1044385762 /nfs/dbraw/zinc/38/57/62/1044385762.db2.gz DHKNSYXEPWGFQA-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN COc1cccc([C@H](C)NCc2cnc(OC)c3ccccc23)c1 ZINC000639560355 1044390309 /nfs/dbraw/zinc/39/03/09/1044390309.db2.gz AKEJAEIWRNHMNH-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN COc1cc(Cl)ccc1CN(C)C[C@@H](C)c1nccs1 ZINC000361320238 1044391719 /nfs/dbraw/zinc/39/17/19/1044391719.db2.gz HARNRAHPIPLAGD-LLVKDONJSA-N 0 3 310.850 4.041 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nccn1Cc1ccccc1 ZINC000564686785 1044397589 /nfs/dbraw/zinc/39/75/89/1044397589.db2.gz HWAOCDGWGAEOCZ-MOPGFXCFSA-N 0 3 311.473 4.332 20 0 DIADHN OCC[C@@H]1CCCN(Cc2cnc(C3CCCCC3)s2)CC1 ZINC000564649213 1044397977 /nfs/dbraw/zinc/39/79/77/1044397977.db2.gz GONOJKJVWUEVIC-OAHLLOKOSA-N 0 3 322.518 4.175 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cccc(-n3cccn3)c2)c(C)n1 ZINC000639566474 1044400881 /nfs/dbraw/zinc/40/08/81/1044400881.db2.gz ZOORDECBNSHZLC-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1ccc(OCC3CC3)cc1)CC2 ZINC000564791374 1044403734 /nfs/dbraw/zinc/40/37/34/1044403734.db2.gz YHZTXFAPZDIZFP-FQEVSTJZSA-N 0 3 311.400 4.392 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](C)c2cccc(F)c2)c1 ZINC000192941599 1044403999 /nfs/dbraw/zinc/40/39/99/1044403999.db2.gz ONIHBZCQYFVBNR-STQMWFEESA-N 0 3 300.377 4.196 20 0 DIADHN CCN(CC1CCC1)[C@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000361481128 1044408621 /nfs/dbraw/zinc/40/86/21/1044408621.db2.gz JUITXOOQAWIENM-LLVKDONJSA-N 0 3 319.474 4.197 20 0 DIADHN CCN(C)c1ccc(CN(Cc2cccs2)C(C)C)cn1 ZINC000361509160 1044411658 /nfs/dbraw/zinc/41/16/58/1044411658.db2.gz AKTCEJYLHJRPIS-UHFFFAOYSA-N 0 3 303.475 4.010 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2cccc(O)c2)ccc1SC ZINC000109781620 1044411696 /nfs/dbraw/zinc/41/16/96/1044411696.db2.gz QCAZUZQTNOYFIR-CYBMUJFWSA-N 0 3 317.454 4.316 20 0 DIADHN C[C@@H](CO)N(C)Cc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000565273332 1044424625 /nfs/dbraw/zinc/42/46/25/1044424625.db2.gz VSHPSTBVAVUKLW-JTQLQIEISA-N 0 3 314.212 4.066 20 0 DIADHN C[C@@H](NC[C@@H]1CCC=CO1)c1cc(F)c(Cl)cc1Cl ZINC000379980522 1044430286 /nfs/dbraw/zinc/43/02/86/1044430286.db2.gz XEAUQACMRKQDBW-ZJUUUORDSA-N 0 3 304.192 4.476 20 0 DIADHN CSC1(CN(C)Cc2csc(Br)c2)CCC1 ZINC000565404782 1044432154 /nfs/dbraw/zinc/43/21/54/1044432154.db2.gz XXRNDCJOQMLNAT-UHFFFAOYSA-N 0 3 320.321 4.228 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(OC)c(OC)c1C ZINC000565566263 1044442940 /nfs/dbraw/zinc/44/29/40/1044442940.db2.gz IVXQSBFUCKWBKM-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN O[C@@H]1CCCCC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC000565622118 1044446224 /nfs/dbraw/zinc/44/62/24/1044446224.db2.gz XENXPOPGRHLTMU-ZIAGYGMSSA-N 0 3 321.770 4.142 20 0 DIADHN Brc1cc(CN[C@@H](c2ccccc2)C2CC2)ccn1 ZINC000294680431 1044447662 /nfs/dbraw/zinc/44/76/62/1044447662.db2.gz SNPDGBDCMHUUAH-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN CCn1cc([C@@H](C)NCc2cc(-c3ccccc3)cs2)cn1 ZINC000294728712 1044454245 /nfs/dbraw/zinc/45/42/45/1044454245.db2.gz BJDNLMJJVXZPOY-CQSZACIVSA-N 0 3 311.454 4.482 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](CCO)c2ccccc2)cc1 ZINC000361879045 1044455519 /nfs/dbraw/zinc/45/55/19/1044455519.db2.gz FFQZASPTNFGBHF-OXJNMPFZSA-N 0 3 313.441 4.250 20 0 DIADHN CC[C@H](CCO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361888176 1044455619 /nfs/dbraw/zinc/45/56/19/1044455619.db2.gz IEFDKDDKTNJALG-SCZZXKLOSA-N 0 3 308.274 4.183 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1cccc(OC(F)F)c1 ZINC000361891028 1044456909 /nfs/dbraw/zinc/45/69/09/1044456909.db2.gz VVUACCGBWRLODL-DYVFJYSZSA-N 0 3 321.367 4.062 20 0 DIADHN COc1cc([C@H](C)NCc2ccncc2C)ccc1OC(C)C ZINC000565814399 1044459882 /nfs/dbraw/zinc/45/98/82/1044459882.db2.gz NLVOOAMIGQUGGH-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN CC[C@@H](O)CCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361943076 1044460725 /nfs/dbraw/zinc/46/07/25/1044460725.db2.gz ALQQAHAXYYLBDN-PSASIEDQSA-N 0 3 308.274 4.183 20 0 DIADHN Cn1ccnc1[C@@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)C1CC1 ZINC000294859649 1044467686 /nfs/dbraw/zinc/46/76/86/1044467686.db2.gz BWRGUHOQBJBZPY-ZQIUZPCESA-N 0 3 315.848 4.060 20 0 DIADHN CC[C@H](NC[C@]1(C)CCCO1)c1ccccc1Br ZINC000362022646 1044469243 /nfs/dbraw/zinc/46/92/43/1044469243.db2.gz FZCJCLWDJJRNIG-GJZGRUSLSA-N 0 3 312.251 4.059 20 0 DIADHN CCc1cccc2cc(CNCCOCC3CCCC3)oc21 ZINC001202682442 1044470466 /nfs/dbraw/zinc/47/04/66/1044470466.db2.gz CMPGWRSSOZNRPU-UHFFFAOYSA-N 0 3 301.430 4.292 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2ccc(C)s2)c2ccccc21 ZINC000362032299 1044471783 /nfs/dbraw/zinc/47/17/83/1044471783.db2.gz KNKZHSPRRPDGEO-BBRMVZONSA-N 0 3 314.454 4.205 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@@H]1CC[C@@H](C)O1 ZINC001202691225 1044472549 /nfs/dbraw/zinc/47/25/49/1044472549.db2.gz GQIIZDPLGYYHDA-QAPCUYQASA-N 0 3 322.468 4.109 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc3cccnc32)c2ccccc2O1 ZINC000294916896 1044473939 /nfs/dbraw/zinc/47/39/39/1044473939.db2.gz APHSUWHSYNDFEX-RDTXWAMCSA-N 0 3 304.393 4.237 20 0 DIADHN CCCC[C@H](C)[C@@H](C)Nc1ccccc1CN1CCC(O)CC1 ZINC000566391098 1044487130 /nfs/dbraw/zinc/48/71/30/1044487130.db2.gz ZMVVPRCNFIRSGP-DLBZAZTESA-N 0 3 318.505 4.270 20 0 DIADHN CC[C@H](N[C@H]1CCOc2c(OC)cccc21)c1cccs1 ZINC000362199118 1044488037 /nfs/dbraw/zinc/48/80/37/1044488037.db2.gz ILFVNQRQXIOXMB-KBPBESRZSA-N 0 3 303.427 4.321 20 0 DIADHN c1ccc2c(c1)nccc2C1CCN(Cc2cccnc2)CC1 ZINC000566423067 1044488724 /nfs/dbraw/zinc/48/87/24/1044488724.db2.gz AKGGLALZMLIGQG-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN Cc1cccc([C@H]2CCCN2C(=O)C[C@H](N)c2ccccc2)c1C ZINC000119253765 1044491405 /nfs/dbraw/zinc/49/14/05/1044491405.db2.gz OMTDOQHIFHXAPX-VQTJNVASSA-N 0 3 322.452 4.057 20 0 DIADHN Fc1cccc(Cl)c1CC1CCN(Cc2ccc[nH]2)CC1 ZINC001204009309 1044491762 /nfs/dbraw/zinc/49/17/62/1044491762.db2.gz VBJMJQJRVZBDSC-UHFFFAOYSA-N 0 3 306.812 4.262 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1ccc2[nH]ccc2c1 ZINC000566473753 1044492425 /nfs/dbraw/zinc/49/24/25/1044492425.db2.gz DAULZSPJUQUMMQ-ZDUSSCGKSA-N 0 3 310.445 4.279 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000475534763 1044492981 /nfs/dbraw/zinc/49/29/81/1044492981.db2.gz WSAJYIRSNWTGFG-XJKSGUPXSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1nc2ccc(Nc3cccc(C(=O)NCC(C)C)c3)cc2[nH]1 ZINC001213075264 1044494317 /nfs/dbraw/zinc/49/43/17/1044494317.db2.gz LQBGTKQCPRASTI-UHFFFAOYSA-N 0 3 322.412 4.001 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@H](c3cccc(F)c3)C2)s1 ZINC000475549717 1044496298 /nfs/dbraw/zinc/49/62/98/1044496298.db2.gz FVBALSLCIHOAMA-ZFWWWQNUSA-N 0 3 304.434 4.271 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@H](C)c2ccc(F)cc2)cc1 ZINC000119752649 1044498072 /nfs/dbraw/zinc/49/80/72/1044498072.db2.gz CWUHIVNOFBTEOY-CQSZACIVSA-N 0 3 314.404 4.271 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2ccc(C)c(C)c2)cc1 ZINC000120303750 1044504863 /nfs/dbraw/zinc/50/48/63/1044504863.db2.gz WLDBHLHLRZJRSH-UZLBHIALSA-N 0 3 313.441 4.086 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2ccc(C)c(C)c2)cc1 ZINC000120304225 1044506159 /nfs/dbraw/zinc/50/61/59/1044506159.db2.gz WLDBHLHLRZJRSH-OXJNMPFZSA-N 0 3 313.441 4.086 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(OCC2CC2)CC1 ZINC000362418206 1044509604 /nfs/dbraw/zinc/50/96/04/1044509604.db2.gz FJTRXMAMRCRMMD-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-n3cccn3)cc2)[C@@H](c2ccco2)C1 ZINC000362445285 1044512578 /nfs/dbraw/zinc/51/25/78/1044512578.db2.gz CLXTVGUGRMDBPY-VQIMIIECSA-N 0 3 321.424 4.439 20 0 DIADHN C[C@H]1C[C@@H](NC2(c3ccc4c(c3)OCO4)CC2)c2ccccc21 ZINC000566821025 1044517895 /nfs/dbraw/zinc/51/78/95/1044517895.db2.gz VMQDPFGFHIRVMF-SUMWQHHRSA-N 0 3 307.393 4.243 20 0 DIADHN Cc1ccc2c(CN[C@H](C)c3ccccc3C)cc(=O)oc2c1 ZINC000120944363 1044518012 /nfs/dbraw/zinc/51/80/12/1044518012.db2.gz QYTGUOWGHZZUNB-OAHLLOKOSA-N 0 3 307.393 4.261 20 0 DIADHN CCCc1cccc(CNCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000566825597 1044520075 /nfs/dbraw/zinc/52/00/75/1044520075.db2.gz ACFQJBKWBNKRCJ-UHFFFAOYSA-N 0 3 323.484 4.233 20 0 DIADHN C[C@@H](CCC(=O)Nc1ccccc1CN(C)C)c1ccccc1 ZINC000566835391 1044521257 /nfs/dbraw/zinc/52/12/57/1044521257.db2.gz HNBWITWARODZSQ-INIZCTEOSA-N 0 3 310.441 4.271 20 0 DIADHN COc1ccc2nc(CN3CC[C@@H](c4ccccc4)C3)sc2c1 ZINC000475581610 1044521929 /nfs/dbraw/zinc/52/19/29/1044521929.db2.gz PQQCZRUKDCAYHU-OAHLLOKOSA-N 0 3 324.449 4.294 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@H](O)c2ccc(Cl)cc2)s1 ZINC000303995500 1044524387 /nfs/dbraw/zinc/52/43/87/1044524387.db2.gz OYHGUMPKHUENLJ-NHYWBVRUSA-N 0 3 324.877 4.140 20 0 DIADHN CCOc1ccccc1[C@H](CC)N[C@H](C)c1cccc(CO)c1 ZINC000121597592 1044527219 /nfs/dbraw/zinc/52/72/19/1044527219.db2.gz XVAWQQYRPREALW-BEFAXECRSA-N 0 3 313.441 4.380 20 0 DIADHN CCOc1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1OCC ZINC001213075295 1044528671 /nfs/dbraw/zinc/52/86/71/1044528671.db2.gz NERFFGHAVMFBQN-UHFFFAOYSA-N 0 3 311.385 4.412 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(F)c(Cl)c1 ZINC000566996576 1044534017 /nfs/dbraw/zinc/53/40/17/1044534017.db2.gz GYFWUVGNTWQBRL-OAHLLOKOSA-N 0 3 323.770 4.404 20 0 DIADHN COC[C@@H](NC[C@@H]1CCC2(CCCC2)O1)c1cccc(Cl)c1 ZINC000567022151 1044537614 /nfs/dbraw/zinc/53/76/14/1044537614.db2.gz RFGQENWYYDAEFN-DLBZAZTESA-N 0 3 323.864 4.109 20 0 DIADHN COCCCC[C@H](NCc1cnc(C)s1)c1ccccc1 ZINC000348330872 1044547141 /nfs/dbraw/zinc/54/71/41/1044547141.db2.gz YTNZNLZQLCZBKT-KRWDZBQOSA-N 0 3 304.459 4.099 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)N[C@H](C)c1ccc(F)cc1Cl ZINC000305749463 1044549111 /nfs/dbraw/zinc/54/91/11/1044549111.db2.gz QWIYVSVSXYNBKW-HFAKWTLXSA-N 0 3 311.784 4.235 20 0 DIADHN CSc1ccccc1CN(C)Cc1ccc(-n2cccn2)cc1 ZINC000348332781 1044554824 /nfs/dbraw/zinc/55/48/24/1044554824.db2.gz WGCPBETZBJTEPS-UHFFFAOYSA-N 0 3 323.465 4.226 20 0 DIADHN CCSc1cccc(NC(=O)c2ccccc2CN(C)C)c1 ZINC000362851020 1044556948 /nfs/dbraw/zinc/55/69/48/1044556948.db2.gz NBEVEBNYVKHLPD-UHFFFAOYSA-N 0 3 314.454 4.113 20 0 DIADHN Cc1ccc2nc(CN3CC[C@H](Oc4ccccc4)C3)ccc2c1 ZINC001137215627 1044559172 /nfs/dbraw/zinc/55/91/72/1044559172.db2.gz DZYLZMTUTZNLGS-FQEVSTJZSA-N 0 3 318.420 4.197 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3CCCCC3(F)F)n2)cc1 ZINC000295783003 1044560189 /nfs/dbraw/zinc/56/01/89/1044560189.db2.gz CSLHWOXEWFAEMI-AWEZNQCLSA-N 0 3 321.371 4.091 20 0 DIADHN CCC1CC(N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000567374612 1044562004 /nfs/dbraw/zinc/56/20/04/1044562004.db2.gz BQYQZDRYAOIXFS-XUJQIHCRSA-N 0 3 308.425 4.145 20 0 DIADHN CO[C@](C)([C@H](C)NCc1ccc(CSC(F)F)o1)C1CC1 ZINC000840960545 1044566089 /nfs/dbraw/zinc/56/60/89/1044566089.db2.gz KCIBTNZDJVLIFU-ZUZCIYMTSA-N 0 3 319.417 4.029 20 0 DIADHN COC[C@H](N[C@H]1CCC[C@H](F)C1)c1cccc(Cl)c1F ZINC000567470599 1044567578 /nfs/dbraw/zinc/56/75/78/1044567578.db2.gz HPOAUDVDNNMRLP-MJVIPROJSA-N 0 3 303.780 4.037 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295861649 1044570508 /nfs/dbraw/zinc/57/05/08/1044570508.db2.gz GOVZJLDPMHXXIS-LRDDRELGSA-N 0 3 316.832 4.472 20 0 DIADHN C/C(=C\c1ccc(C)cc1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000778593280 1044572132 /nfs/dbraw/zinc/57/21/32/1044572132.db2.gz ZGIFIXWKZYDWMB-FOWTUZBSSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1ccc2nc(CN3CCC4(CCC(C)(C)O4)CC3)ccc2c1 ZINC001137218632 1044572658 /nfs/dbraw/zinc/57/26/58/1044572658.db2.gz GPZZWDFXWCFFEF-UHFFFAOYSA-N 0 3 324.468 4.467 20 0 DIADHN Clc1cccc([C@H]2CCCN2CCO[C@@H]2CCCCO2)c1 ZINC000444590940 1044572653 /nfs/dbraw/zinc/57/26/53/1044572653.db2.gz AUOLDJDHHVXRPQ-IAGOWNOFSA-N 0 3 309.837 4.020 20 0 DIADHN CN(Cc1nc(-c2ccccc2F)no1)C1CCCCCC1 ZINC000444582685 1044573694 /nfs/dbraw/zinc/57/36/94/1044573694.db2.gz KWINKOSUYUYZJD-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN FC(F)c1ccc(CN2CCC=C(c3cccnc3)C2)cc1 ZINC000295939564 1044577235 /nfs/dbraw/zinc/57/72/35/1044577235.db2.gz KVLUQJMLDQPRGH-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2ccccc2F)no1)C1CC1 ZINC000444623194 1044580147 /nfs/dbraw/zinc/58/01/47/1044580147.db2.gz IRUBHGIUAKKGBB-QWHCGFSZSA-N 0 3 317.408 4.275 20 0 DIADHN CCc1cc(CCCN2CCc3sccc3[C@@H]2CC)on1 ZINC000567720606 1044580270 /nfs/dbraw/zinc/58/02/70/1044580270.db2.gz PDAJMPRLQGDNGU-INIZCTEOSA-N 0 3 304.459 4.241 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2csc(C)c2)cc1OC ZINC000296014881 1044582328 /nfs/dbraw/zinc/58/23/28/1044582328.db2.gz PBWWNHKZVFNAAY-CYBMUJFWSA-N 0 3 305.443 4.315 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCOC3(CCCCC3)C2)cs1 ZINC000885980234 1044595770 /nfs/dbraw/zinc/59/57/70/1044595770.db2.gz WLXWDSIBCLRERL-UONOGXRCSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(Cl)cc2)C2CCC2)c1C ZINC000885970648 1044595927 /nfs/dbraw/zinc/59/59/27/1044595927.db2.gz GMEWQUWEHIXGDG-KRWDZBQOSA-N 0 3 303.837 4.311 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2ccc(Cl)cc2)c2nccn21 ZINC000296196671 1044596396 /nfs/dbraw/zinc/59/63/96/1044596396.db2.gz YVQZILVWFSAHRC-SWLSCSKDSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@H](NCc1nc(C2CCCCC2)cs1)[C@@H]1CCCOC1 ZINC000444675485 1044597261 /nfs/dbraw/zinc/59/72/61/1044597261.db2.gz NMWKVRPCQCJPAN-DZGCQCFKSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2C[C@@]23CCOC3)s1 ZINC000885966750 1044597625 /nfs/dbraw/zinc/59/76/25/1044597625.db2.gz CNBXGUVLCVPQTI-CRAIPNDOSA-N 0 3 317.454 4.078 20 0 DIADHN CC1=C(c2ccco2)CN(Cc2cnc(-c3ccccc3)[nH]2)CC1 ZINC000568054741 1044599490 /nfs/dbraw/zinc/59/94/90/1044599490.db2.gz DIRAWRPGXQITGS-UHFFFAOYSA-N 0 3 319.408 4.349 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)c1C ZINC000886001798 1044600165 /nfs/dbraw/zinc/60/01/65/1044600165.db2.gz JMCHVCSOAVDGEQ-IGGJQXEISA-N 0 3 303.837 4.167 20 0 DIADHN Cc1cc([C@@H](C)NCc2cn(C)nc2-c2ccccc2)cs1 ZINC000296249430 1044600543 /nfs/dbraw/zinc/60/05/43/1044600543.db2.gz BCYNIOWEVMBPPH-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H]2CCOC3(CCCCC3)C2)o1 ZINC000886000233 1044600524 /nfs/dbraw/zinc/60/05/24/1044600524.db2.gz YNZVKLRKOJCBRN-DOTOQJQBSA-N 0 3 321.461 4.001 20 0 DIADHN Cc1n[nH]c(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)c1C ZINC000886001799 1044600577 /nfs/dbraw/zinc/60/05/77/1044600577.db2.gz JMCHVCSOAVDGEQ-TYFHEEPYSA-N 0 3 303.837 4.167 20 0 DIADHN COc1ccc([C@H](C)NCc2ccnc(C(F)(F)F)c2)cc1C ZINC000296286317 1044605759 /nfs/dbraw/zinc/60/57/59/1044605759.db2.gz FGCPRCVFGQWTKM-LBPRGKRZSA-N 0 3 324.346 4.268 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1ccc2cccc(Cl)c2n1 ZINC001137225103 1044606124 /nfs/dbraw/zinc/60/61/24/1044606124.db2.gz YFQZRSHODQEBML-INIZCTEOSA-N 0 3 318.848 4.279 20 0 DIADHN Clc1cccc2ccc(CN3CC[C@@H](c4ccncc4)C3)nc12 ZINC001137224592 1044607191 /nfs/dbraw/zinc/60/71/91/1044607191.db2.gz OCPGKEYZMUIIEZ-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Fc1ccc(CN2CC[C@@H](CC(F)(F)F)C2)c2ncccc12 ZINC000444705773 1044608178 /nfs/dbraw/zinc/60/81/78/1044608178.db2.gz NCMOFBCHJSPDFF-NSHDSACASA-N 0 3 312.310 4.148 20 0 DIADHN C=CCN(Cc1cccs1)C[C@H](O)c1ccc(Cl)cc1 ZINC000042679688 1044615308 /nfs/dbraw/zinc/61/53/08/1044615308.db2.gz ALNLSQIIEYRZOV-INIZCTEOSA-N 0 3 307.846 4.123 20 0 DIADHN COc1ccc(-c2cnc(CN(CC3CCC3)C(C)C)o2)cc1 ZINC000444764042 1044620003 /nfs/dbraw/zinc/62/00/03/1044620003.db2.gz AKNHMJHYUJKWPX-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000568401214 1044620921 /nfs/dbraw/zinc/62/09/21/1044620921.db2.gz HGWFPXJIJSHDGL-SQWLQELKSA-N 0 3 318.486 4.258 20 0 DIADHN FC(F)(F)c1cc(CN[C@H]2CCCc3ccccc32)ccn1 ZINC000296525039 1044623883 /nfs/dbraw/zinc/62/38/83/1044623883.db2.gz QEDQBFRUSZZBHT-HNNXBMFYSA-N 0 3 306.331 4.268 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C\c1ccc(Cl)cc1 ZINC000042752763 1044624344 /nfs/dbraw/zinc/62/43/44/1044624344.db2.gz RQMNUFJYWMTNNR-XFXZXTDPSA-N 0 3 314.816 4.054 20 0 DIADHN CC[C@@H](NCCOc1cccc(F)c1)c1ccc(F)cc1F ZINC000523386786 1044626058 /nfs/dbraw/zinc/62/60/58/1044626058.db2.gz GHVOEEFEJJLSAR-QGZVFWFLSA-N 0 3 309.331 4.224 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000296563066 1044628070 /nfs/dbraw/zinc/62/80/70/1044628070.db2.gz SKEHGQQPJIZXPH-BDJLRTHQSA-N 0 3 306.331 4.124 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@@H]2CC[C@H]2C2CC2)n1 ZINC000568455346 1044628341 /nfs/dbraw/zinc/62/83/41/1044628341.db2.gz GSLNVOZOZVGXOC-SWLSCSKDSA-N 0 3 302.418 4.227 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@H]2CC[C@@H]2C2CC2)n1 ZINC000568455344 1044628438 /nfs/dbraw/zinc/62/84/38/1044628438.db2.gz GSLNVOZOZVGXOC-DOMZBBRYSA-N 0 3 302.418 4.227 20 0 DIADHN c1ccc2c(c1)CC[C@]1(CCN(c3ccnc4ccccc43)C1)O2 ZINC000568497258 1044630198 /nfs/dbraw/zinc/63/01/98/1044630198.db2.gz MZXDZKSBUBMJCQ-OAQYLSRUSA-N 0 3 316.404 4.209 20 0 DIADHN c1ccc(CCN2CCC[C@H]2c2nc3ccccc3s2)nc1 ZINC000060474154 1044631766 /nfs/dbraw/zinc/63/17/66/1044631766.db2.gz BGUVVUSOLOORHN-INIZCTEOSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1cnccc1CN[C@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000296605940 1044634204 /nfs/dbraw/zinc/63/42/04/1044634204.db2.gz YTBVWHWNZSMGID-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccnc(C(F)(F)F)c2)cc1 ZINC000296613973 1044635385 /nfs/dbraw/zinc/63/53/85/1044635385.db2.gz WLQKWCZSBCNLGG-LBPRGKRZSA-N 0 3 324.346 4.350 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccnc(C(F)(F)F)c2)cc1 ZINC000296613971 1044636628 /nfs/dbraw/zinc/63/66/28/1044636628.db2.gz WLQKWCZSBCNLGG-GFCCVEGCSA-N 0 3 324.346 4.350 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cs1 ZINC000296644196 1044638228 /nfs/dbraw/zinc/63/82/28/1044638228.db2.gz CYNXJWSPPZYJPB-QAJFTPDKSA-N 0 3 307.484 4.206 20 0 DIADHN Cc1ccccc1NC(=O)CN1C[C@@H](c2ccccc2C)C[C@H]1C ZINC000523441145 1044638770 /nfs/dbraw/zinc/63/87/70/1044638770.db2.gz DBLBVFIZCJBSIC-MSOLQXFVSA-N 0 3 322.452 4.120 20 0 DIADHN CCCCN(CC(=O)N1CCCCCC1)[C@H](C)c1ccccc1 ZINC000523449963 1044640025 /nfs/dbraw/zinc/64/00/25/1044640025.db2.gz BZYLFWNGHXAOGU-GOSISDBHSA-N 0 3 316.489 4.252 20 0 DIADHN CCCCN(CC(=O)N1CCCCCC1)[C@@H](C)c1ccccc1 ZINC000523449964 1044641369 /nfs/dbraw/zinc/64/13/69/1044641369.db2.gz BZYLFWNGHXAOGU-SFHVURJKSA-N 0 3 316.489 4.252 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN(C)Cc1cccn1C ZINC000568701536 1044643849 /nfs/dbraw/zinc/64/38/49/1044643849.db2.gz AVHLCLMVHJNXKV-SDNWHVSQSA-N 0 3 320.383 4.162 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccn1 ZINC000886489171 1044646307 /nfs/dbraw/zinc/64/63/07/1044646307.db2.gz BJXXVKKCDNNJAJ-KZULUSFZSA-N 0 3 309.388 4.430 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@H](OCC4CC4)C3)cc2c1C ZINC000428382197 1044650666 /nfs/dbraw/zinc/65/06/66/1044650666.db2.gz IWFAUWPPVFJYGG-GOSISDBHSA-N 0 3 312.457 4.176 20 0 DIADHN OC[C@@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccc1 ZINC000886528291 1044654232 /nfs/dbraw/zinc/65/42/32/1044654232.db2.gz JYUYWDGOLXEALQ-RBUKOAKNSA-N 0 3 324.399 4.008 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H](COC)C1 ZINC000445257671 1044695235 /nfs/dbraw/zinc/69/52/35/1044695235.db2.gz KNXLKSKOIXEUAF-IAQYHMDHSA-N 0 3 302.245 4.413 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H]1CCc2cccnc21 ZINC000886547395 1044658181 /nfs/dbraw/zinc/65/81/81/1044658181.db2.gz KTKVWTBIQLXQFR-XZOAIXRZSA-N 0 3 316.832 4.088 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1ccccc1C(C)C ZINC000523558025 1044659096 /nfs/dbraw/zinc/65/90/96/1044659096.db2.gz MFTFTNPTQWNVSP-MRXNPFEDSA-N 0 3 302.462 4.403 20 0 DIADHN CCCOc1ccc(CN[C@H](c2cnn(C)c2)C(C)C)c(C)c1 ZINC000886598512 1044665587 /nfs/dbraw/zinc/66/55/87/1044665587.db2.gz CGSZQKPFZSHAJY-IBGZPJMESA-N 0 3 315.461 4.004 20 0 DIADHN COc1ccc(C[C@H](C)NCc2ncoc2-c2ccccc2)cc1 ZINC000364493793 1044666694 /nfs/dbraw/zinc/66/66/94/1044666694.db2.gz SJXYOFHOWXHPMR-HNNXBMFYSA-N 0 3 322.408 4.071 20 0 DIADHN FC(F)(F)[C@H](CN[C@@H]1CCc2cccnc21)c1ccccc1 ZINC000886594172 1044667024 /nfs/dbraw/zinc/66/70/24/1044667024.db2.gz HBSFKLOOZJTSOA-HUUCEWRRSA-N 0 3 306.331 4.005 20 0 DIADHN CO[C@@H]1CCC[C@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000886603514 1044668862 /nfs/dbraw/zinc/66/88/62/1044668862.db2.gz PJWKDZOHEYJVOP-GARJFASQSA-N 0 3 322.396 4.160 20 0 DIADHN CCOc1cc(CNCc2ccc(SC)s2)ccc1OC ZINC000569055787 1044670543 /nfs/dbraw/zinc/67/05/43/1044670543.db2.gz RKXPSZMAGVZEGQ-UHFFFAOYSA-N 0 3 323.483 4.167 20 0 DIADHN CC(C)[C@@H](NCc1cc(Cl)cc(Cl)c1)c1cnn(C)c1 ZINC000886634993 1044673089 /nfs/dbraw/zinc/67/30/89/1044673089.db2.gz RWYVPTANCOJAIF-OAHLLOKOSA-N 0 3 312.244 4.214 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC[C@H](c2ncccn2)C1 ZINC000886678715 1044675846 /nfs/dbraw/zinc/67/58/46/1044675846.db2.gz JLHJHOIDEFKDPE-HIFRSBDPSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ncccn2)C1 ZINC000886678824 1044675950 /nfs/dbraw/zinc/67/59/50/1044675950.db2.gz LMCDSIGDFHDORK-UKRRQHHQSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@H](c2ncccn2)C1 ZINC000886676680 1044677132 /nfs/dbraw/zinc/67/71/32/1044677132.db2.gz KPUBPUYARIAMBN-KGLIPLIRSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ncccn2)C1 ZINC000886678823 1044677762 /nfs/dbraw/zinc/67/77/62/1044677762.db2.gz LMCDSIGDFHDORK-HIFRSBDPSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@@H](CCc1cncc2ccccc21)NCc1cc(C2CC2)no1 ZINC000886685783 1044679271 /nfs/dbraw/zinc/67/92/71/1044679271.db2.gz BXTNKLHUZVSFHM-AWEZNQCLSA-N 0 3 321.424 4.211 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccnc2)ccc1Br ZINC000073852441 1044681980 /nfs/dbraw/zinc/68/19/80/1044681980.db2.gz ZOFOWLJFZLKLNS-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)N(Cc1ccc(OC(F)(F)F)cc1)Cc1cccnc1 ZINC000569172598 1044681933 /nfs/dbraw/zinc/68/19/33/1044681933.db2.gz XGXMOYAXIAAXCH-UHFFFAOYSA-N 0 3 324.346 4.391 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCc3cn[nH]c32)c(Cl)c1Cl ZINC000365325809 1044686099 /nfs/dbraw/zinc/68/60/99/1044686099.db2.gz KZUQHDCGGDZWGV-LLVKDONJSA-N 0 3 314.191 4.023 20 0 DIADHN COC1(C[C@H](C)N[C@H](c2ccccc2)c2ccccn2)CCC1 ZINC000365402849 1044688777 /nfs/dbraw/zinc/68/87/77/1044688777.db2.gz LFIXRHANKXPPCK-QFBILLFUSA-N 0 3 310.441 4.108 20 0 DIADHN CCCCC[C@H](NCc1nc(CSC)n[nH]1)c1ccccc1 ZINC000886753547 1044693089 /nfs/dbraw/zinc/69/30/89/1044693089.db2.gz NQPSAOWQOVHZRX-HNNXBMFYSA-N 0 3 318.490 4.079 20 0 DIADHN CCCCC[C@@H](NCc1nc(CSC)n[nH]1)c1ccccc1 ZINC000886753548 1044693846 /nfs/dbraw/zinc/69/38/46/1044693846.db2.gz NQPSAOWQOVHZRX-OAHLLOKOSA-N 0 3 318.490 4.079 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(=O)[nH]n1)c1cccc2ccccc21 ZINC000886790358 1044699460 /nfs/dbraw/zinc/69/94/60/1044699460.db2.gz ALKRMMMGLIUCJR-SUMWQHHRSA-N 0 3 307.397 4.137 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(=O)[nH]n1)c1cccc2ccccc21 ZINC000886790356 1044699678 /nfs/dbraw/zinc/69/96/78/1044699678.db2.gz ALKRMMMGLIUCJR-DYVFJYSZSA-N 0 3 307.397 4.137 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H]2c2ccc(Cl)cc2)[n-]1 ZINC000569674244 1044705451 /nfs/dbraw/zinc/70/54/51/1044705451.db2.gz SMVOKYVJYWRCTO-HUUCEWRRSA-N 0 3 318.852 4.007 20 0 DIADHN C(N[C@@H]1CCCCC12CCCC2)c1nnc(C2CC2)n1C1CC1 ZINC000365815435 1044705634 /nfs/dbraw/zinc/70/56/34/1044705634.db2.gz WIZOIGIIQGZZSY-MRXNPFEDSA-N 0 3 314.477 4.083 20 0 DIADHN C[C@H](c1nc(-c2cccc(Cl)c2F)no1)N1[C@H](C)CC[C@H]1C ZINC000445386219 1044708469 /nfs/dbraw/zinc/70/84/69/1044708469.db2.gz SLWKOWRKPDYSJG-GMTAPVOTSA-N 0 3 323.799 4.463 20 0 DIADHN CCOc1cccc(CNCc2cccc(Br)c2)c1 ZINC000037505689 1044710371 /nfs/dbraw/zinc/71/03/71/1044710371.db2.gz LULLMCFOORJBRQ-UHFFFAOYSA-N 0 3 320.230 4.138 20 0 DIADHN FC(F)c1cccc(CN2CCC(c3ccccn3)CC2)c1 ZINC000366186006 1044713728 /nfs/dbraw/zinc/71/37/28/1044713728.db2.gz TYEAIYKTBNPPBI-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN C[C@H](CC1CCC1)N[C@H](C)c1ncc(Br)s1 ZINC000631738263 1044716204 /nfs/dbraw/zinc/71/62/04/1044716204.db2.gz IXGSIJITRKOWIO-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CC[C@H]([NH2+]Cc1ccc(Cl)cc1OC)c1ccccc1[O-] ZINC000155749528 1044723418 /nfs/dbraw/zinc/72/34/18/1044723418.db2.gz ANQPRMUZMBNPSV-HNNXBMFYSA-N 0 3 305.805 4.295 20 0 DIADHN C[C@H](NCc1ccc(-c2ccncc2)cc1)c1cccc(O)c1 ZINC000367036308 1044729386 /nfs/dbraw/zinc/72/93/86/1044729386.db2.gz NIZPUALJIPQAKF-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)[nH]c1C ZINC000570292187 1044747609 /nfs/dbraw/zinc/74/76/09/1044747609.db2.gz IGAIQSUYWFKWSI-ZBFHGGJFSA-N 0 3 303.837 4.106 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1)c1cccc(Cl)c1Cl ZINC000221735212 1044749232 /nfs/dbraw/zinc/74/92/32/1044749232.db2.gz NWUHQOLRMUAIQF-NHYWBVRUSA-N 0 3 310.224 4.378 20 0 DIADHN CC[C@@H](NCc1cnn(C)c1C)c1ccc2cc(OC)ccc2c1 ZINC000090888129 1044751525 /nfs/dbraw/zinc/75/15/25/1044751525.db2.gz LRBVJHJWXHQLEH-HXUWFJFHSA-N 0 3 323.440 4.131 20 0 DIADHN Brc1ccc2nc(CNC3(C4CC4)CCC3)oc2c1 ZINC000887148741 1044753107 /nfs/dbraw/zinc/75/31/07/1044753107.db2.gz SDCRNYPJIDOGRC-UHFFFAOYSA-N 0 3 321.218 4.013 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1 ZINC000093942216 1044760070 /nfs/dbraw/zinc/76/00/70/1044760070.db2.gz ABSUTJWFNRDONH-INIZCTEOSA-N 0 3 312.457 4.140 20 0 DIADHN Cc1cn[nH]c1CN[C@@H](c1cccs1)c1ccc(Cl)cc1 ZINC000368059278 1044764614 /nfs/dbraw/zinc/76/46/14/1044764614.db2.gz YCOMQXSUFSZSFV-MRXNPFEDSA-N 0 3 317.845 4.312 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N(C)Cc1ccccn1 ZINC000445575857 1044766012 /nfs/dbraw/zinc/76/60/12/1044766012.db2.gz RUDWWDVMWNEDRD-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cc2ccccc2n1C ZINC001202738882 1044766404 /nfs/dbraw/zinc/76/64/04/1044766404.db2.gz HECVGHVCEYRTMI-HNNXBMFYSA-N 0 3 308.425 4.346 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCCOc2ccccc21 ZINC000122656804 1044775954 /nfs/dbraw/zinc/77/59/54/1044775954.db2.gz SLVVFFALXLONNH-IBGZPJMESA-N 0 3 311.425 4.227 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2C[C@@H](OC(C)C)C2)cc1Cl ZINC001202748481 1044784592 /nfs/dbraw/zinc/78/45/92/1044784592.db2.gz BBCJTMCJXAMAFI-TXEJJXNPSA-N 0 3 318.244 4.048 20 0 DIADHN COc1c(C)cnc(CN(C)[C@H]2CCCc3ccccc32)c1C ZINC000524309481 1044797486 /nfs/dbraw/zinc/79/74/86/1044797486.db2.gz ZWSBEJBRTMDUJA-IBGZPJMESA-N 0 3 310.441 4.216 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N(C)CC1CCC1 ZINC000369177054 1044798745 /nfs/dbraw/zinc/79/87/45/1044798745.db2.gz NXDDMWVDZNQFJN-LLVKDONJSA-N 0 3 305.809 4.183 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000524321522 1044799739 /nfs/dbraw/zinc/79/97/39/1044799739.db2.gz UTDSNNASAOOTDT-HZPDHXFCSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000571126381 1044800000 /nfs/dbraw/zinc/80/00/00/1044800000.db2.gz DZVRNEJYHBHLRW-CZUORRHYSA-N 0 3 317.408 4.276 20 0 DIADHN Clc1cccc(OC2CCN(Cc3cccs3)CC2)c1 ZINC001204015281 1044800553 /nfs/dbraw/zinc/80/05/53/1044800553.db2.gz PHKYYWPDGLFNQM-UHFFFAOYSA-N 0 3 307.846 4.445 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H]2CCC[C@H]2F)cc1OC ZINC000571187061 1044802506 /nfs/dbraw/zinc/80/25/06/1044802506.db2.gz YJNNUBMGEDYCGF-IMJJTQAJSA-N 0 3 309.425 4.415 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccc2c(c1)ncn2C ZINC000571247094 1044805265 /nfs/dbraw/zinc/80/52/65/1044805265.db2.gz SQDQTMHSMUQVDD-SFHVURJKSA-N 0 3 323.440 4.165 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2cc(C)cc(C)c2)cn1 ZINC000126300810 1044810764 /nfs/dbraw/zinc/81/07/64/1044810764.db2.gz FRNPJFNXKLRWMM-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CCCC(=O)NC[C@@H]1CCCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC000263691168 1044816774 /nfs/dbraw/zinc/81/67/74/1044816774.db2.gz GYIFZSVBYMIDRZ-CABCVRRESA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc([C@H](NC2C[C@@H](C)O[C@H](C)C2)c2ccccn2)cc1 ZINC000370033415 1044820164 /nfs/dbraw/zinc/82/01/64/1044820164.db2.gz PHAVIARUXRHAQQ-QINHECLXSA-N 0 3 310.441 4.025 20 0 DIADHN CC(C)(C)c1csc(CNC2CCC3(CCCO3)CC2)n1 ZINC000370072082 1044825386 /nfs/dbraw/zinc/82/53/86/1044825386.db2.gz WEQLNDYXXFYXMM-UHFFFAOYSA-N 0 3 308.491 4.022 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cncn2Cc2ccccc2)c1C ZINC000445810315 1044827520 /nfs/dbraw/zinc/82/75/20/1044827520.db2.gz JHWXEHMOIMGCHY-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC[C@@H](NCc1cncn1Cc1ccccc1)c1ccc(C)cc1 ZINC000445811203 1044827611 /nfs/dbraw/zinc/82/76/11/1044827611.db2.gz OTHROVVASHHPJP-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN CCCC(=O)NC[C@H]1CCCN([C@H](C)c2cccc(Cl)c2)C1 ZINC000263801590 1044827613 /nfs/dbraw/zinc/82/76/13/1044827613.db2.gz ZMWDHUJYCUUWMF-HUUCEWRRSA-N 0 3 322.880 4.029 20 0 DIADHN CO[C@@H](CN1CCC(F)(F)[C@H](C)C1)c1ccc(Cl)cc1 ZINC000572207545 1044846553 /nfs/dbraw/zinc/84/65/53/1044846553.db2.gz ATSQZYMVPBZGMU-RISCZKNCSA-N 0 3 303.780 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2NCc2nnc(C(C)C)s2)cc1 ZINC000572186465 1044846709 /nfs/dbraw/zinc/84/67/09/1044846709.db2.gz CELPLFLHBWOCAK-HZPDHXFCSA-N 0 3 315.486 4.396 20 0 DIADHN Cc1nc([C@H](C)NC[C@H](c2ccc(Cl)cc2)N(C)C)cs1 ZINC000572180653 1044849036 /nfs/dbraw/zinc/84/90/36/1044849036.db2.gz LFWRSXYAHAIGQG-MEDUHNTESA-N 0 3 323.893 4.058 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnn3ccccc23)c1C ZINC000264378783 1044875012 /nfs/dbraw/zinc/87/50/12/1044875012.db2.gz NXQKMGDVTNQBFE-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@H](c3ccccc3C)C[C@@H]2C)c1 ZINC000524596721 1044885223 /nfs/dbraw/zinc/88/52/23/1044885223.db2.gz QNHQESSAXMMLKV-ZWKOTPCHSA-N 0 3 322.452 4.120 20 0 DIADHN COCC1(CN[C@@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000337387431 1044889697 /nfs/dbraw/zinc/88/96/97/1044889697.db2.gz GATATJFFLHUKRY-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@H](C)[C@@H]2C[C@H]2c2ccccc2)cs1 ZINC000283002586 1044889789 /nfs/dbraw/zinc/88/97/89/1044889789.db2.gz GJJXAXBAAFENEO-OQUILHJVSA-N 0 3 316.470 4.132 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@@H](C)CC1CCCC1)c1ccco1 ZINC000573354168 1044904609 /nfs/dbraw/zinc/90/46/09/1044904609.db2.gz KHTLTXHHSSNHFB-ZOBUZTSGSA-N 0 3 320.477 4.041 20 0 DIADHN CCC[C@@H](NCc1nc2cc(Cl)ccc2c(=O)[nH]1)C(C)(C)C ZINC000573436694 1044909170 /nfs/dbraw/zinc/90/91/70/1044909170.db2.gz KTRAHWCHSMXXTQ-CQSZACIVSA-N 0 3 321.852 4.293 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@@H]1OC(F)F)c1cc(F)ccc1F ZINC000337545056 1044916620 /nfs/dbraw/zinc/91/66/20/1044916620.db2.gz KZLYNTOULDYEEQ-MJBXVCDLSA-N 0 3 305.315 4.166 20 0 DIADHN CCC(CC)N(CC)CC(=O)Nc1ccccc1C(F)(F)F ZINC000573836524 1044923745 /nfs/dbraw/zinc/92/37/45/1044923745.db2.gz IPJBIRVJMFSIJZ-UHFFFAOYSA-N 0 3 316.367 4.154 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCc1ccc(F)cc1Cl ZINC000573852500 1044927774 /nfs/dbraw/zinc/92/77/74/1044927774.db2.gz CQVVUYIPWUOVMG-MRXNPFEDSA-N 0 3 321.827 4.199 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000574240347 1044945673 /nfs/dbraw/zinc/94/56/73/1044945673.db2.gz NOIVUDDMKXANKT-SFHVURJKSA-N 0 3 316.832 4.462 20 0 DIADHN C[C@@H](NCC1(c2c(Cl)cccc2Cl)CCC1)c1ncc[nH]1 ZINC000574351308 1044949598 /nfs/dbraw/zinc/94/95/98/1044949598.db2.gz KJIPXPQTGYLXSG-LLVKDONJSA-N 0 3 324.255 4.489 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4c(OC)cccc43)CC2)cc1 ZINC000574594768 1044960515 /nfs/dbraw/zinc/96/05/15/1044960515.db2.gz KZMGWSZPWYZMQI-IBGZPJMESA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1cc2ccccc2s1 ZINC000338021275 1044974778 /nfs/dbraw/zinc/97/47/78/1044974778.db2.gz SNPUBPWXLQSRGT-WFASDCNBSA-N 0 3 311.454 4.363 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN(CCc2cncs2)C2CC2)o1 ZINC000411130156 1044975919 /nfs/dbraw/zinc/97/59/19/1044975919.db2.gz UXGSEEGTTMLJGZ-BLLLJJGKSA-N 0 3 302.443 4.067 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N(C)CCC1CC1 ZINC000135307371 1044977791 /nfs/dbraw/zinc/97/77/91/1044977791.db2.gz QGMUANYAKRUDDO-HNNXBMFYSA-N 0 3 302.462 4.177 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H](n2cc(C)cn2)C1 ZINC000574945550 1044978025 /nfs/dbraw/zinc/97/80/25/1044978025.db2.gz OBOHCUAWWVVVDE-IAGOWNOFSA-N 0 3 303.837 4.243 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H](n2cc(C)cn2)C1 ZINC000574945549 1044978964 /nfs/dbraw/zinc/97/89/64/1044978964.db2.gz OBOHCUAWWVVVDE-DLBZAZTESA-N 0 3 303.837 4.243 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC[C@@H](c3nccs3)C2)c1 ZINC000411205639 1044984016 /nfs/dbraw/zinc/98/40/16/1044984016.db2.gz ANNSUKSIYUARHT-KBMXLJTQSA-N 0 3 302.418 4.018 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(CC(C)C)cc2)C(C)C)n1C ZINC000575138604 1044988402 /nfs/dbraw/zinc/98/84/02/1044988402.db2.gz GZJAKHKEOQFRCH-HXUWFJFHSA-N 0 3 313.489 4.414 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CCC3(C2)CCCCC3)c1C ZINC000525122907 1044989022 /nfs/dbraw/zinc/98/90/22/1044989022.db2.gz QFMSREGQTOFAMR-KRWDZBQOSA-N 0 3 314.473 4.287 20 0 DIADHN CCCN(C[C@@H]1CCCCO1)[C@@H](C)c1nc2ccccc2o1 ZINC000411362181 1045001243 /nfs/dbraw/zinc/00/12/43/1045001243.db2.gz BAZRCNAWSIROQS-GJZGRUSLSA-N 0 3 302.418 4.170 20 0 DIADHN CN(C)C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccc(F)cc1 ZINC000575781528 1045007974 /nfs/dbraw/zinc/00/79/74/1045007974.db2.gz NSAKHWIAFJOYDR-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@@H](CNCc1cccc(F)c1F)Oc1ccc(Cl)cc1 ZINC000525196234 1045010084 /nfs/dbraw/zinc/01/00/84/1045010084.db2.gz PWMACNNQRWCBNP-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN OCC1([C@@H](NCc2cccc(Cl)c2)c2ccccc2)CCC1 ZINC000575822453 1045010334 /nfs/dbraw/zinc/01/03/34/1045010334.db2.gz WMDFARHGXAFOFI-SFHVURJKSA-N 0 3 315.844 4.334 20 0 DIADHN c1coc(-c2cc(CN[C@@H](Cc3ccccc3)C3CC3)no2)c1 ZINC000411481113 1045010960 /nfs/dbraw/zinc/01/09/60/1045010960.db2.gz PYCHDRIPZWOQMC-KRWDZBQOSA-N 0 3 308.381 4.046 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@H](COC(F)F)C3)o2)cc1 ZINC000575837700 1045012041 /nfs/dbraw/zinc/01/20/41/1045012041.db2.gz KFTQAGBAYSSFEJ-AWEZNQCLSA-N 0 3 321.367 4.316 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC000525247205 1045016294 /nfs/dbraw/zinc/01/62/94/1045016294.db2.gz SISPKEOHXJLWJU-GOEBONIOSA-N 0 3 318.486 4.005 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC3(C)C)cs2)cc1 ZINC000575888880 1045016602 /nfs/dbraw/zinc/01/66/02/1045016602.db2.gz QOEWJEAUSZAHNC-HNNXBMFYSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccnc2)c2cccc(Br)c21 ZINC000151095948 1045016521 /nfs/dbraw/zinc/01/65/21/1045016521.db2.gz HABJQTJOUXPEDM-IAQYHMDHSA-N 0 3 317.230 4.182 20 0 DIADHN Cn1cccc1[C@H]1CCCN1C/C=C/c1ccc(F)c(F)c1 ZINC000575906814 1045017750 /nfs/dbraw/zinc/01/77/50/1045017750.db2.gz OOPRQGNXMNDSRW-PSQUURQMSA-N 0 3 302.368 4.154 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1coc(-c2ccc(F)cc2)n1 ZINC000525273215 1045019878 /nfs/dbraw/zinc/01/98/78/1045019878.db2.gz OZVUUOAZCHUSPE-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1coc(-c2ccc(F)cc2)n1 ZINC000525273216 1045020115 /nfs/dbraw/zinc/02/01/15/1045020115.db2.gz OZVUUOAZCHUSPE-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1ccc(CN2CCC(OCC3CCCCC3)CC2)cc1 ZINC000525271442 1045020646 /nfs/dbraw/zinc/02/06/46/1045020646.db2.gz INDFVFQONORSEQ-UHFFFAOYSA-N 0 3 305.437 4.387 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(CC(C)C)cc2)C2CC2)n1C ZINC000575936219 1045021991 /nfs/dbraw/zinc/02/19/91/1045021991.db2.gz OFUHJKSMHUWKAJ-HXUWFJFHSA-N 0 3 311.473 4.168 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@H](C(F)(F)F)[C@@H]3C)cc2c1 ZINC000411659747 1045022438 /nfs/dbraw/zinc/02/24/38/1045022438.db2.gz QAZVRCQPRBSENK-WFASDCNBSA-N 0 3 308.347 4.316 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1coc(-c2cccc(F)c2)n1 ZINC000525273932 1045023460 /nfs/dbraw/zinc/02/34/60/1045023460.db2.gz NXFKXFVJXQBNON-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCc2cncs2)CC1 ZINC000411667175 1045024106 /nfs/dbraw/zinc/02/41/06/1045024106.db2.gz HRAQQDUZYIYLAW-UHFFFAOYSA-N 0 3 324.852 4.300 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCCCC1)c1ncc[nH]1 ZINC000576010168 1045024660 /nfs/dbraw/zinc/02/46/60/1045024660.db2.gz UJFAXTRKUPLKRC-AWEZNQCLSA-N 0 3 301.409 4.102 20 0 DIADHN CC(=O)c1cc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)on1 ZINC000576049553 1045028855 /nfs/dbraw/zinc/02/88/55/1045028855.db2.gz CRLHFGLSQWBSEG-NLWGTHIKSA-N 0 3 324.424 4.035 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN(C1CCC1)C1CCC1 ZINC000411754351 1045028856 /nfs/dbraw/zinc/02/88/56/1045028856.db2.gz FAAMNUZLRYOCEY-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN COC[C@@H](NCc1nc2cc(Cl)ccc2s1)C1CCCC1 ZINC000446755589 1045030114 /nfs/dbraw/zinc/03/01/14/1045030114.db2.gz WXXDTCOTIJRVGH-CQSZACIVSA-N 0 3 324.877 4.245 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2cccc(-n3ccnc3)c2)cn1 ZINC000151715304 1045030405 /nfs/dbraw/zinc/03/04/05/1045030405.db2.gz QCWYCIBZOIUOQU-CVEARBPZSA-N 0 3 323.444 4.062 20 0 DIADHN CCC[C@@H](NCc1nc2ccc(Cl)cc2c(=O)[nH]1)C1CCC1 ZINC000411817712 1045031574 /nfs/dbraw/zinc/03/15/74/1045031574.db2.gz JWBQAWICNNXXRY-CQSZACIVSA-N 0 3 319.836 4.047 20 0 DIADHN c1sc2ccccc2c1CN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000576076663 1045032818 /nfs/dbraw/zinc/03/28/18/1045032818.db2.gz HQYWLZYFOOTICU-WMZOPIPTSA-N 0 3 313.466 4.435 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000411879304 1045033244 /nfs/dbraw/zinc/03/32/44/1045033244.db2.gz GWAVAEUARSZJCP-SJLPKXTDSA-N 0 3 310.441 4.371 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@@H](CC)c1ccccc1 ZINC000411896088 1045035945 /nfs/dbraw/zinc/03/59/45/1045035945.db2.gz VILPJELLEKIDGU-IBGZPJMESA-N 0 3 324.468 4.491 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)N[C@@H](C)Cc1c(C)noc1C ZINC000152176975 1045035969 /nfs/dbraw/zinc/03/59/69/1045035969.db2.gz PIMUGONQIXUTGY-WDEREUQCSA-N 0 3 322.836 4.235 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000152550787 1045043269 /nfs/dbraw/zinc/04/32/69/1045043269.db2.gz TXZJXVFLBSDGCR-HZPDHXFCSA-N 0 3 315.461 4.257 20 0 DIADHN Cc1cc(CN2CCC[C@@H](C(F)(F)F)[C@@H]2C)cc(C)c1O ZINC000576235763 1045045177 /nfs/dbraw/zinc/04/51/77/1045045177.db2.gz BMMJCPXYMVCIBD-GXTWGEPZSA-N 0 3 301.352 4.172 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000152712261 1045045845 /nfs/dbraw/zinc/04/58/45/1045045845.db2.gz KDWFOJQFWUNIEX-SMASLZHESA-N 0 3 315.482 4.398 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc(N2CCCC2)nc1 ZINC000152835530 1045048131 /nfs/dbraw/zinc/04/81/31/1045048131.db2.gz DEGCCPOILOYNGR-APWZRJJASA-N 0 3 321.468 4.328 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)c1 ZINC000152907860 1045048172 /nfs/dbraw/zinc/04/81/72/1045048172.db2.gz PGJXFUUOMIWMPF-AUUYWEPGSA-N 0 3 308.425 4.292 20 0 DIADHN N#CC(C(=O)CCc1ccccc1)c1ccc2ccccc2n1 ZINC000047376098 1045052096 /nfs/dbraw/zinc/05/20/96/1045052096.db2.gz YRCTUPYIMDWYBD-QGZVFWFLSA-N 0 3 300.361 4.044 20 0 DIADHN CCCOc1ccc(CN[C@H](CC)c2cccc(F)c2)cn1 ZINC000446840239 1045055485 /nfs/dbraw/zinc/05/54/85/1045055485.db2.gz XSOUTCNLYSJSGE-QGZVFWFLSA-N 0 3 302.393 4.250 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H]2C[C@H](C)c3c2cccc3C)c1 ZINC000153281578 1045055840 /nfs/dbraw/zinc/05/58/40/1045055840.db2.gz HKFVRYMSBONHES-HNAYVOBHSA-N 0 3 322.452 4.083 20 0 DIADHN CCOc1cc(CN2CCCC23CCC3)cc(Cl)c1OC ZINC000446847174 1045057400 /nfs/dbraw/zinc/05/74/00/1045057400.db2.gz JKKKRCHBXUJDJI-UHFFFAOYSA-N 0 3 309.837 4.266 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc(Cl)c1)c1ccccc1 ZINC000576432441 1045057731 /nfs/dbraw/zinc/05/77/31/1045057731.db2.gz FZRCOAFRXPBVPI-KRWDZBQOSA-N 0 3 303.833 4.189 20 0 DIADHN CN(Cc1cccc(NC(=O)c2ccccc2Cl)c1)C1CC1 ZINC000153757285 1045062230 /nfs/dbraw/zinc/06/22/30/1045062230.db2.gz UEMXIQWYNQGNFD-UHFFFAOYSA-N 0 3 314.816 4.187 20 0 DIADHN Fc1cc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)ccc1Cl ZINC000374495430 1045064274 /nfs/dbraw/zinc/06/42/74/1045064274.db2.gz IQBRQDWELQTGPK-QAPCUYQASA-N 0 3 319.807 4.347 20 0 DIADHN CCCC(C)(C)CC(=O)NC[C@H](N[C@@H](C)CC)c1ccco1 ZINC000576573429 1045065772 /nfs/dbraw/zinc/06/57/72/1045065772.db2.gz XMJZUCPJNQRWFL-GJZGRUSLSA-N 0 3 308.466 4.041 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(SC)s1 ZINC000576625392 1045070363 /nfs/dbraw/zinc/07/03/63/1045070363.db2.gz BBWCKCSQOSNQRB-CQSZACIVSA-N 0 3 323.458 4.395 20 0 DIADHN C[C@@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1cncs1 ZINC000576672707 1045072343 /nfs/dbraw/zinc/07/23/43/1045072343.db2.gz AVDQTBBNQOQQJV-YPMHNXCESA-N 0 3 314.376 4.215 20 0 DIADHN CCO[C@@H](CN[C@H]1CCc2c1c(F)ccc2F)c1ccccc1 ZINC000447039951 1045085384 /nfs/dbraw/zinc/08/53/84/1045085384.db2.gz QPRFDTOBZJIGHO-ROUUACIJSA-N 0 3 317.379 4.320 20 0 DIADHN CCOc1cccc(CN2CC[C@H]3CCC[C@H]32)c1OC(F)F ZINC000428465694 1045087935 /nfs/dbraw/zinc/08/79/35/1045087935.db2.gz XMZWQCZHTBTDBT-TZMCWYRMSA-N 0 3 311.372 4.061 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2sccc2C2CC2)c(F)c1 ZINC000412555625 1045092230 /nfs/dbraw/zinc/09/22/30/1045092230.db2.gz CHZUEVGJRDDNKX-UHFFFAOYSA-N 0 3 318.417 4.079 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC(Oc2ccncc2C)CC1 ZINC000447088873 1045092768 /nfs/dbraw/zinc/09/27/68/1045092768.db2.gz UQOXPHVONUZFRP-VKAVYKQESA-N 0 3 322.452 4.337 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)CCC1CCCCC1)c1ccco1 ZINC000577017451 1045093410 /nfs/dbraw/zinc/09/34/10/1045093410.db2.gz JZZTWPYKQROTGV-DOTOQJQBSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ccccc1C[C@@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC000447102330 1045096757 /nfs/dbraw/zinc/09/67/57/1045096757.db2.gz RYFLIVGHKXXQSE-CQSZACIVSA-N 0 3 322.374 4.472 20 0 DIADHN COCc1nc(CNC[C@@H](CC(C)C)c2ccccc2)cs1 ZINC000577330171 1045118029 /nfs/dbraw/zinc/11/80/29/1045118029.db2.gz SRUCLKZSEDYCKK-MRXNPFEDSA-N 0 3 318.486 4.209 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN(C[C@H](O)c2ccccc2)C2CC2)o1 ZINC000048055520 1045127043 /nfs/dbraw/zinc/12/70/43/1045127043.db2.gz DDNRISAHKHWCFH-ZMYBRWDISA-N 0 3 311.425 4.101 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1ncc(-c2ccccc2)o1 ZINC000447388171 1045127269 /nfs/dbraw/zinc/12/72/69/1045127269.db2.gz VZTSBEBHNQMUBN-IBGZPJMESA-N 0 3 314.429 4.123 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@@H](c2cccnc2)C1 ZINC000577488731 1045127373 /nfs/dbraw/zinc/12/73/73/1045127373.db2.gz GNBKHUHNOLZUJU-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN Brc1ccc(CN2CC[C@@H](c3ccco3)C2)cc1 ZINC000600687898 1045129670 /nfs/dbraw/zinc/12/96/70/1045129670.db2.gz NDSAEEBSLIYCAU-CYBMUJFWSA-N 0 3 306.203 4.032 20 0 DIADHN FC[C@@H]1CCCN1[C@@H](c1ccc(Cl)cc1)C1CCOCC1 ZINC000577506436 1045130662 /nfs/dbraw/zinc/13/06/62/1045130662.db2.gz WIWKBIDPQYBZSX-IRXDYDNUSA-N 0 3 311.828 4.242 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000577502131 1045131685 /nfs/dbraw/zinc/13/16/85/1045131685.db2.gz UIDHHQFVTKTEMF-AUUYWEPGSA-N 0 3 310.441 4.314 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@@H]1CCc2cc(F)ccc21 ZINC000577539380 1045134595 /nfs/dbraw/zinc/13/45/95/1045134595.db2.gz VPARIBPVOKAFMU-SJORKVTESA-N 0 3 315.363 4.238 20 0 DIADHN COc1ccc(Oc2ccc(CN[C@H]3C[C@@H]3C(F)F)cc2)cc1 ZINC000577556754 1045134617 /nfs/dbraw/zinc/13/46/17/1045134617.db2.gz GICQSMKLIJZKAL-IRXDYDNUSA-N 0 3 319.351 4.231 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2ccco2)N2CCCCC2)c(C)o1 ZINC000447518092 1045135017 /nfs/dbraw/zinc/13/50/17/1045135017.db2.gz VCBGEJGGPQQKOM-CRAIPNDOSA-N 0 3 316.445 4.367 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2ccco2)N2CCCCC2)c(C)o1 ZINC000447518099 1045135697 /nfs/dbraw/zinc/13/56/97/1045135697.db2.gz VCBGEJGGPQQKOM-QAPCUYQASA-N 0 3 316.445 4.367 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@@H]2C[C@H](C)c3ccccc32)cc1 ZINC000577557420 1045137023 /nfs/dbraw/zinc/13/70/23/1045137023.db2.gz DQJIORCLZOYAEQ-RVHYNSKXSA-N 0 3 324.468 4.136 20 0 DIADHN CCO[C@@H](CN[C@H](CC)c1ccc(F)cn1)c1ccccc1 ZINC000447567265 1045151333 /nfs/dbraw/zinc/15/13/33/1045151333.db2.gz AFGWCASOSPNOHO-AEFFLSMTSA-N 0 3 302.393 4.039 20 0 DIADHN Cc1nc(CN[C@H](Cc2ccccc2)C(C)C)nc2ccccc12 ZINC000779022635 1045154208 /nfs/dbraw/zinc/15/42/08/1045154208.db2.gz WEZDVYABTKXFPN-HXUWFJFHSA-N 0 3 319.452 4.295 20 0 DIADHN c1ccc2cc(OCCN(Cc3ccncc3)C3CC3)ccc2c1 ZINC000557209849 1045157745 /nfs/dbraw/zinc/15/77/45/1045157745.db2.gz KOSWCNIDMHFXOW-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1cc(C)oc1C ZINC000447581025 1045159402 /nfs/dbraw/zinc/15/94/02/1045159402.db2.gz RVOSGGNIFWNVSC-VBHSOAQHSA-N 0 3 305.393 4.462 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)nc2ccccc12 ZINC000526084273 1045166504 /nfs/dbraw/zinc/16/65/04/1045166504.db2.gz RCGPSBRRFMNTRU-QWHCGFSZSA-N 0 3 323.362 4.149 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@H](O)c1ccc(F)cc1Cl)C1CC1 ZINC000187284303 1045171045 /nfs/dbraw/zinc/17/10/45/1045171045.db2.gz BAWNOOSXTLMHCO-ZBEGNZNMSA-N 0 3 323.795 4.331 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2N2CCCC2)ccc1C ZINC000447796478 1045176898 /nfs/dbraw/zinc/17/68/98/1045176898.db2.gz VUSPFWQKAMVXDA-UHFFFAOYSA-N 0 3 324.468 4.236 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccnn1C1CCC1)c1ccc(OC)cc1 ZINC000924568175 1045178077 /nfs/dbraw/zinc/17/80/77/1045178077.db2.gz WXNYJJKJJFCHOS-KSSFIOAISA-N 0 3 313.445 4.419 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](N[C@@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924581466 1045179518 /nfs/dbraw/zinc/17/95/18/1045179518.db2.gz LGJRIOPVJSYFJW-DOXZYTNZSA-N 0 3 314.477 4.377 20 0 DIADHN Clc1ccccc1CCNCc1nc(-c2ccccc2)c[nH]1 ZINC000447848077 1045180004 /nfs/dbraw/zinc/18/00/04/1045180004.db2.gz KDEURLKIRBACOJ-UHFFFAOYSA-N 0 3 311.816 4.062 20 0 DIADHN c1coc([C@@H](N[C@@H]2CC[C@H]3COCC[C@@H]3C2)c2ccccc2)c1 ZINC000924580405 1045180504 /nfs/dbraw/zinc/18/05/04/1045180504.db2.gz KBQDYXRAFJWCND-RMJJICAUSA-N 0 3 311.425 4.164 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000447852341 1045183712 /nfs/dbraw/zinc/18/37/12/1045183712.db2.gz ZYHSFMDBVYJMQI-CVEARBPZSA-N 0 3 315.486 4.231 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2nc(-c3ccccc3)c[nH]2)o1 ZINC000447850597 1045183737 /nfs/dbraw/zinc/18/37/37/1045183737.db2.gz WEKNUOCZCPPCJM-CQSZACIVSA-N 0 3 309.413 4.089 20 0 DIADHN CCOCc1ccc(CN[C@H](c2ccccn2)C2CCC2)cc1 ZINC000924638411 1045185271 /nfs/dbraw/zinc/18/52/71/1045185271.db2.gz IUMHHCDLJFLHJG-FQEVSTJZSA-N 0 3 310.441 4.249 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000924628692 1045185814 /nfs/dbraw/zinc/18/58/14/1045185814.db2.gz WHCSWSNWGWGZJI-UTUOFQBUSA-N 0 3 302.340 4.071 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1cc2ccccc2[nH]1 ZINC000924637835 1045185985 /nfs/dbraw/zinc/18/59/85/1045185985.db2.gz VAXUSJVYEZEPII-OAHLLOKOSA-N 0 3 320.440 4.014 20 0 DIADHN Cc1cc(F)ccc1CCNCc1ncc(C(C)(C)C)s1 ZINC000447898590 1045187303 /nfs/dbraw/zinc/18/73/03/1045187303.db2.gz XAENWZCFHMJXCT-UHFFFAOYSA-N 0 3 306.450 4.220 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2c[nH]c3ccccc23)s1 ZINC000447944399 1045189830 /nfs/dbraw/zinc/18/98/30/1045189830.db2.gz MLQNDZGSPYOAPX-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN C[C@H](CNCc1ncc(C(C)(C)C)s1)c1ccc(F)cc1 ZINC000447926841 1045189888 /nfs/dbraw/zinc/18/98/88/1045189888.db2.gz CSGPHMDKJGIKIB-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN CCC[C@H](C)[C@H](CO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000577957476 1045194153 /nfs/dbraw/zinc/19/41/53/1045194153.db2.gz UBYPRVHQNSHWRW-DZKIICNBSA-N 0 3 318.486 4.258 20 0 DIADHN CCCn1nc(C)c(CNCc2ccc3sccc3c2)c1C ZINC000557531254 1045196532 /nfs/dbraw/zinc/19/65/32/1045196532.db2.gz YOWGHZYCNWSXNZ-UHFFFAOYSA-N 0 3 313.470 4.414 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H]2CCC[C@H](O)C2)c2cccnc2)cc1 ZINC000924758889 1045196769 /nfs/dbraw/zinc/19/67/69/1045196769.db2.gz AMNJQHYDZLVYLL-ACRUOGEOSA-N 0 3 324.468 4.188 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)[C@@H](CCO)c1ccccc1 ZINC000527191155 1045202345 /nfs/dbraw/zinc/20/23/45/1045202345.db2.gz DPMFJUNQNGWNOY-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H]3[C@H](C)CCC[C@@H]3C)o2)cc1 ZINC000557594213 1045203602 /nfs/dbraw/zinc/20/36/02/1045203602.db2.gz UHNVYULQEAEOIZ-BDQBCWGISA-N 0 3 314.429 4.265 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000527238646 1045206428 /nfs/dbraw/zinc/20/64/28/1045206428.db2.gz JUIKUQDIPAQRAD-SWLSCSKDSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@H](c1nc(-c2cnc3ccccc3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000527198307 1045207190 /nfs/dbraw/zinc/20/71/90/1045207190.db2.gz MULWGVKKQSUCMJ-MGPQQGTHSA-N 0 3 322.412 4.219 20 0 DIADHN COCCCCCN[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000924873987 1045207282 /nfs/dbraw/zinc/20/72/82/1045207282.db2.gz ZYBLFSIXLVSOGA-JTQLQIEISA-N 0 3 324.774 4.221 20 0 DIADHN Cc1ccc(CN[C@@H](CN(C)C)c2ccc(F)cc2)cc1Cl ZINC000578095684 1045207288 /nfs/dbraw/zinc/20/72/88/1045207288.db2.gz AAUBGUHOVKWEDV-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC1(c2ccc(F)cc2)CCC1 ZINC000924886877 1045208445 /nfs/dbraw/zinc/20/84/45/1045208445.db2.gz ZHXJGSCMABMXOA-INIZCTEOSA-N 0 3 314.404 4.211 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@@H](C)c2ccnnc2)C2CC2)cc1 ZINC000924895619 1045210264 /nfs/dbraw/zinc/21/02/64/1045210264.db2.gz GYLRXXRSJLSPRR-MGPUTAFESA-N 0 3 309.457 4.477 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@]2(CCOC2)C1 ZINC000578113345 1045210908 /nfs/dbraw/zinc/21/09/08/1045210908.db2.gz LKGOYKHQOWOUDI-SFHVURJKSA-N 0 3 320.502 4.193 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC1(c2ccc(Cl)cc2)CC1 ZINC000924922199 1045211434 /nfs/dbraw/zinc/21/14/34/1045211434.db2.gz XXBQAKTWCNBNDD-HNNXBMFYSA-N 0 3 316.832 4.335 20 0 DIADHN CC[C@H](C[C@](C)(O)CC)N[C@H](c1ccccc1)c1cccnc1 ZINC000924939622 1045213541 /nfs/dbraw/zinc/21/35/41/1045213541.db2.gz XUPSPJUYFIVIOY-VAMGGRTRSA-N 0 3 312.457 4.090 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc(C)s1)c1ccc(C(=O)OC)cc1 ZINC000601298572 1045213773 /nfs/dbraw/zinc/21/37/73/1045213773.db2.gz CBZZSPAWVZTAFZ-NHYWBVRUSA-N 0 3 318.442 4.040 20 0 DIADHN COC[C@@H](NCc1csc(-c2ccccc2)n1)C1CCCC1 ZINC000527349065 1045217300 /nfs/dbraw/zinc/21/73/00/1045217300.db2.gz QWEFIWKJFYDDNT-QGZVFWFLSA-N 0 3 316.470 4.105 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2ccc(C3CC3)cc2)c1 ZINC000418115645 1045217326 /nfs/dbraw/zinc/21/73/26/1045217326.db2.gz POAWYDYLULGPDK-AWEZNQCLSA-N 0 3 309.409 4.201 20 0 DIADHN CC[C@](C)(O)CN[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000924994589 1045217589 /nfs/dbraw/zinc/21/75/89/1045217589.db2.gz XIODMDQOQLJONC-PMACEKPBSA-N 0 3 312.457 4.045 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2ccc(C(C)(C)O)cc2)cn1 ZINC000925044353 1045220828 /nfs/dbraw/zinc/22/08/28/1045220828.db2.gz FFQZTNQGGYOSQA-LSDHHAIUSA-N 0 3 315.461 4.103 20 0 DIADHN Cc1ccc2cc(CN[C@H](C)c3cnn(C(C)C)c3)ccc2n1 ZINC000527361213 1045222875 /nfs/dbraw/zinc/22/28/75/1045222875.db2.gz YTQQWCCNHLITPB-OAHLLOKOSA-N 0 3 308.429 4.171 20 0 DIADHN CCCN(C(=O)C[C@H](N)c1ccccc1)[C@H]1CCc2ccccc21 ZINC000448243688 1045224092 /nfs/dbraw/zinc/22/40/92/1045224092.db2.gz SXIMBDXTYVFZAR-PMACEKPBSA-N 0 3 322.452 4.003 20 0 DIADHN CC(C)(C)[C@H](CC1CCCCC1)NCc1nnc2n1CCCC2 ZINC000557725840 1045224211 /nfs/dbraw/zinc/22/42/11/1045224211.db2.gz YBNDVERCMXQCNO-INIZCTEOSA-N 0 3 318.509 4.089 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)CC1CCC(C)CC1)c1ccco1 ZINC000578256297 1045224681 /nfs/dbraw/zinc/22/46/81/1045224681.db2.gz CAIXEZJHONGJED-XGHPGWEVSA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@@H](NC[C@H]1Cc2ccccc2O1)c1ccc(F)cc1F ZINC000578263982 1045225661 /nfs/dbraw/zinc/22/56/61/1045225661.db2.gz CKYMTVRPENXASW-RHSMWYFYSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccsc1)c1csc(C(F)(F)F)c1 ZINC000925108299 1045227875 /nfs/dbraw/zinc/22/78/75/1045227875.db2.gz GYMKBEOMYITPCH-KCJUWKMLSA-N 0 3 321.389 4.213 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1cc2ccccc2[nH]1 ZINC000925144921 1045231447 /nfs/dbraw/zinc/23/14/47/1045231447.db2.gz QDWQZTCYDIFLJC-SCLBCKFNSA-N 0 3 322.408 4.351 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H]1CCc2c1cccc2O ZINC000557797211 1045232315 /nfs/dbraw/zinc/23/23/15/1045232315.db2.gz VMZTVEDCYGVPGT-KRWDZBQOSA-N 0 3 301.817 4.440 20 0 DIADHN Cc1cccc([C@@H](NCCCC(F)(F)F)c2ccccn2)c1 ZINC000925191058 1045233755 /nfs/dbraw/zinc/23/37/55/1045233755.db2.gz NHJLTRYIEMGOFU-MRXNPFEDSA-N 0 3 308.347 4.412 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@H](C)c2ccc(F)cn2)cc1 ZINC000578340875 1045234477 /nfs/dbraw/zinc/23/44/77/1045234477.db2.gz MAOVTIOGHAMYAV-LSDHHAIUSA-N 0 3 316.420 4.462 20 0 DIADHN CN(C)C[C@H](NCc1ccc2ccccc2c1)c1ccc(F)cc1 ZINC000578360784 1045235510 /nfs/dbraw/zinc/23/55/10/1045235510.db2.gz FHIYKRCPHSNEJC-NRFANRHFSA-N 0 3 322.427 4.371 20 0 DIADHN Cc1cc(CN2CC=C(c3c[nH]c4cc(F)ccc43)CC2)ccn1 ZINC000527536755 1045235776 /nfs/dbraw/zinc/23/57/76/1045235776.db2.gz ZMUNYJFCBDDCID-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN CCC[C@H](CN(CCOC)Cc1cncs1)c1ccccc1 ZINC000448355270 1045236190 /nfs/dbraw/zinc/23/61/90/1045236190.db2.gz NKICATREMGWOKU-QGZVFWFLSA-N 0 3 318.486 4.175 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1CN(C)C)c1cccc(Cl)c1 ZINC000578382088 1045237123 /nfs/dbraw/zinc/23/71/23/1045237123.db2.gz XDBHUKVSFNNVJF-CYBMUJFWSA-N 0 3 316.832 4.144 20 0 DIADHN COc1cccc(CN[C@@]2(C)CCCc3ccccc32)c1OC ZINC000578389293 1045237501 /nfs/dbraw/zinc/23/75/01/1045237501.db2.gz JUUILBCPDDJKDC-FQEVSTJZSA-N 0 3 311.425 4.045 20 0 DIADHN FCc1ccc(CN(Cc2cccs2)C[C@@H]2CCCO2)cc1 ZINC000777551631 1045238903 /nfs/dbraw/zinc/23/89/03/1045238903.db2.gz SMXYPLCLPOXDTQ-KRWDZBQOSA-N 0 3 319.445 4.399 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccnc(C)c2)cc1OC ZINC000527539162 1045239623 /nfs/dbraw/zinc/23/96/23/1045239623.db2.gz OSBQOYOSJMAASE-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000925241974 1045240061 /nfs/dbraw/zinc/24/00/61/1045240061.db2.gz XZYFGAOKNQYMOL-AVGNSLFASA-N 0 3 316.367 4.319 20 0 DIADHN Clc1ccc(-c2nc(CNC[C@H]3CCSC3)cs2)cc1 ZINC000578410760 1045240480 /nfs/dbraw/zinc/24/04/80/1045240480.db2.gz XQGYRRNTAKJYRQ-LLVKDONJSA-N 0 3 324.902 4.306 20 0 DIADHN Fc1cccc(CC2(NCc3ccncc3Cl)CCC2)c1 ZINC000527695685 1045249363 /nfs/dbraw/zinc/24/93/63/1045249363.db2.gz QNCMZAJQCBKNTQ-UHFFFAOYSA-N 0 3 304.796 4.129 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2cc[nH]c2c1 ZINC000527704266 1045251910 /nfs/dbraw/zinc/25/19/10/1045251910.db2.gz GKYVWVVYACAQFG-KRWDZBQOSA-N 0 3 310.372 4.093 20 0 DIADHN CCc1nnc(CN[C@@H]2CCC[C@H](c3ccccc3)CC2)s1 ZINC000527710724 1045255138 /nfs/dbraw/zinc/25/51/38/1045255138.db2.gz LURQLEKJFNARSZ-JKSUJKDBSA-N 0 3 315.486 4.307 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H](c3ccccc3)CC2)[n-]1 ZINC000527713656 1045256895 /nfs/dbraw/zinc/25/68/95/1045256895.db2.gz RMWIQJGRRDNDMY-IAGOWNOFSA-N 0 3 312.461 4.134 20 0 DIADHN CC(C)n1cncc1CNCc1ccc(-c2ccccc2)s1 ZINC000527740067 1045257368 /nfs/dbraw/zinc/25/73/68/1045257368.db2.gz RGVFSGYIWLYIIH-UHFFFAOYSA-N 0 3 311.454 4.482 20 0 DIADHN Fc1cc(Br)c(CN[C@H]2CCC23CCC3)cc1F ZINC000578628999 1045259592 /nfs/dbraw/zinc/25/95/92/1045259592.db2.gz DITYYVARBCEBTI-ZDUSSCGKSA-N 0 3 316.189 4.150 20 0 DIADHN c1ccc([C@H](NCc2ccc3cnccc3c2)C2CCC2)nc1 ZINC000527771725 1045260329 /nfs/dbraw/zinc/26/03/29/1045260329.db2.gz MDEGLDDCPKFMNF-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN Clc1ccc(-n2cc(CN[C@@H]3CCc4ccccc43)cn2)cc1 ZINC000048685548 1045260970 /nfs/dbraw/zinc/26/09/70/1045260970.db2.gz AWQRTXIAPYUAKY-LJQANCHMSA-N 0 3 323.827 4.303 20 0 DIADHN FC(F)Oc1ccc(CN[C@H](c2ccccn2)C2CCC2)cc1 ZINC000527773807 1045262195 /nfs/dbraw/zinc/26/21/95/1045262195.db2.gz RLAYEKVITYNYQI-KRWDZBQOSA-N 0 3 318.367 4.314 20 0 DIADHN CC[C@@H](NCc1cnc([C@@H](C)O)s1)c1cc(C)ccc1C ZINC000527817866 1045263682 /nfs/dbraw/zinc/26/36/82/1045263682.db2.gz DAZXJBZKIHATTD-CZUORRHYSA-N 0 3 304.459 4.054 20 0 DIADHN CC[C@H](NCc1cnc(N(C)C)s1)c1cc(C)ccc1C ZINC000527819234 1045263983 /nfs/dbraw/zinc/26/39/83/1045263983.db2.gz IGSPYKKEPPXNHW-INIZCTEOSA-N 0 3 303.475 4.067 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(Cl)s2)C2CC2)cn1 ZINC000527840839 1045266506 /nfs/dbraw/zinc/26/65/06/1045266506.db2.gz JROMPPQRVAEWPK-HNNXBMFYSA-N 0 3 308.834 4.046 20 0 DIADHN COc1ccc([C@@H](NCc2ccccc2C2CC2)C2CC2)cn1 ZINC000527839999 1045266552 /nfs/dbraw/zinc/26/65/52/1045266552.db2.gz BGQCPFBZCKEREJ-FQEVSTJZSA-N 0 3 308.425 4.209 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2cc(F)c(Cl)cc21)c1ccccc1 ZINC000527861229 1045270345 /nfs/dbraw/zinc/27/03/45/1045270345.db2.gz COWIIFDPCHITQK-MSOLQXFVSA-N 0 3 319.807 4.180 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2cccc(C(N)=O)c2)cc1 ZINC000527847379 1045271083 /nfs/dbraw/zinc/27/10/83/1045271083.db2.gz MSMFVRMKJDRDCR-LSDHHAIUSA-N 0 3 310.441 4.150 20 0 DIADHN C[C@H](NC1CC(C(F)(F)F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527900770 1045273257 /nfs/dbraw/zinc/27/32/57/1045273257.db2.gz PABIFBUIAVNNLY-XGNXJENSSA-N 0 3 323.362 4.079 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2cccc(N3CCC3=O)c2)c1 ZINC000527894268 1045274428 /nfs/dbraw/zinc/27/44/28/1045274428.db2.gz MJOAIMVJESITIH-INIZCTEOSA-N 0 3 322.452 4.398 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCCC1)c1nc(-c2cccs2)no1 ZINC000578918544 1045281271 /nfs/dbraw/zinc/28/12/71/1045281271.db2.gz JDTUXBOICCRHBB-NWDGAFQWSA-N 0 3 305.447 4.418 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2cccnc2)[C@@H]1C1CC1 ZINC000578926984 1045281785 /nfs/dbraw/zinc/28/17/85/1045281785.db2.gz UCPZUIDTIPNFJL-HXUWFJFHSA-N 0 3 322.452 4.281 20 0 DIADHN COc1cccc(Cl)c1CNCC[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000579006645 1045288555 /nfs/dbraw/zinc/28/85/55/1045288555.db2.gz VPMLMPBLPSVRBD-BTTYYORXSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@H](N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000528004109 1045292883 /nfs/dbraw/zinc/29/28/83/1045292883.db2.gz QTABKFUIQPZKHY-LHLGERLTSA-N 0 3 316.470 4.273 20 0 DIADHN CC[C@@H](NCc1ccc(F)cc1C)c1ccc(OC)c(OC)c1 ZINC000579149791 1045296913 /nfs/dbraw/zinc/29/69/13/1045296913.db2.gz QPVMRNBBMDQZOJ-QGZVFWFLSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)n2)cc1F ZINC000579203957 1045300178 /nfs/dbraw/zinc/30/01/78/1045300178.db2.gz ZYRKZMOPKQQXCM-LMWOUKEDSA-N 0 3 315.392 4.023 20 0 DIADHN Cc1ccc(-c2nc(CNCCC3(F)CCC3)cs2)cc1 ZINC000579282329 1045304223 /nfs/dbraw/zinc/30/42/23/1045304223.db2.gz CJSBBHCEKUCTBA-UHFFFAOYSA-N 0 3 304.434 4.490 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)cc1F ZINC000579386080 1045311151 /nfs/dbraw/zinc/31/11/51/1045311151.db2.gz FSCQCTKWZLDDEW-NEPJUHHUSA-N 0 3 313.376 4.122 20 0 DIADHN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1ccc(F)cc1F ZINC000579413212 1045313434 /nfs/dbraw/zinc/31/34/34/1045313434.db2.gz KGZYPKMCWUWOLP-APPDUMDISA-N 0 3 323.408 4.165 20 0 DIADHN CO[C@H](C)CN(Cc1cc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000579414762 1045313704 /nfs/dbraw/zinc/31/37/04/1045313704.db2.gz TYDDTMCBAIIEND-CYBMUJFWSA-N 0 3 322.836 4.240 20 0 DIADHN C[C@H]1C[C@@H](NCc2csc(-c3cccc(Cl)c3)n2)CCO1 ZINC001168105603 1045321771 /nfs/dbraw/zinc/32/17/71/1045321771.db2.gz XAUVRPWRPLMPEP-FZMZJTMJSA-N 0 3 322.861 4.121 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1cc(C)oc1C ZINC000779149920 1045322106 /nfs/dbraw/zinc/32/21/06/1045322106.db2.gz QGRJBHZYFWIAEM-YVEFUNNKSA-N 0 3 307.821 4.416 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1cc(C)oc1C ZINC000779149919 1045322383 /nfs/dbraw/zinc/32/23/83/1045322383.db2.gz QGRJBHZYFWIAEM-SJKOYZFVSA-N 0 3 307.821 4.416 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)s1 ZINC000579554177 1045323375 /nfs/dbraw/zinc/32/33/75/1045323375.db2.gz XXSXFJZIOBCESF-HIFRSBDPSA-N 0 3 321.877 4.001 20 0 DIADHN O=C1CCC[C@H]1CCS[C@H]1CCCN(Cc2ccccc2)C1 ZINC000579591745 1045324073 /nfs/dbraw/zinc/32/40/73/1045324073.db2.gz MBRHTHSDGUHWFD-ROUUACIJSA-N 0 3 317.498 4.144 20 0 DIADHN Fc1cccc(CN(Cc2ccc(F)nc2)C2CCCC2)c1 ZINC000779153596 1045324973 /nfs/dbraw/zinc/32/49/73/1045324973.db2.gz HMKITWAEJKHEHJ-UHFFFAOYSA-N 0 3 302.368 4.305 20 0 DIADHN C[C@@H](C1CC1)N(C)CC(=O)Nc1cccc(Oc2ccccc2)c1 ZINC000579625894 1045325974 /nfs/dbraw/zinc/32/59/74/1045325974.db2.gz VUKVSJWNFLSFTN-HNNXBMFYSA-N 0 3 324.424 4.148 20 0 DIADHN Cc1csc(CN2CCC[C@H](Cc3ccc(C)cc3)C2)n1 ZINC000579623821 1045326325 /nfs/dbraw/zinc/32/63/25/1045326325.db2.gz IHQDSFPNRFZFAX-QGZVFWFLSA-N 0 3 300.471 4.215 20 0 DIADHN c1c2cccnc2oc1CN1CCCCC[C@@H]1c1ccncc1 ZINC000449003554 1045332177 /nfs/dbraw/zinc/33/21/77/1045332177.db2.gz RBLWTYHBEZBCDF-GOSISDBHSA-N 0 3 307.397 4.340 20 0 DIADHN Cc1cc(CN2CCC3(CC2)OCCc2ccsc23)c(C)o1 ZINC000779160821 1045334882 /nfs/dbraw/zinc/33/48/82/1045334882.db2.gz AUEXWXZHZXGGPA-UHFFFAOYSA-N 0 3 317.454 4.022 20 0 DIADHN CCOC(=O)c1cc(CN[C@@H](C)c2ccc(Cl)cc2)[nH]c1C ZINC000449066439 1045339056 /nfs/dbraw/zinc/33/90/56/1045339056.db2.gz ZZHIQYKMEPMUOH-NSHDSACASA-N 0 3 320.820 4.004 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2ccc(Cl)nc2)c1 ZINC000188723637 1045339156 /nfs/dbraw/zinc/33/91/56/1045339156.db2.gz AMSVVTVUMQAKBD-LBPRGKRZSA-N 0 3 306.862 4.449 20 0 DIADHN C[C@@H]1C[C@H]1[C@H](NCc1ccc(F)nc1)c1ccc(Cl)cc1 ZINC000189129530 1045342684 /nfs/dbraw/zinc/34/26/84/1045342684.db2.gz PMYDPNSCAJOZKD-RGYTYGDFSA-N 0 3 304.796 4.361 20 0 DIADHN CN(CCCc1ccccc1)Cc1cccnc1C(F)(F)F ZINC000528350162 1045343078 /nfs/dbraw/zinc/34/30/78/1045343078.db2.gz LAWOIMMHRMJYDD-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H](N[C@@H](C)c2nnc3n2CCCCC3)C1 ZINC000449073463 1045344001 /nfs/dbraw/zinc/34/40/01/1045344001.db2.gz NFZPWXBOTXHNQU-BBWFWOEESA-N 0 3 318.509 4.260 20 0 DIADHN CSCC1CCC(NCc2cc(-c3ccccc3)on2)CC1 ZINC000449110665 1045350904 /nfs/dbraw/zinc/35/09/04/1045350904.db2.gz FXNYZWWIDZXAFN-UHFFFAOYSA-N 0 3 316.470 4.353 20 0 DIADHN COc1ccc(CNCc2csc(C)c2)c(C(F)(F)F)c1 ZINC000449113605 1045350950 /nfs/dbraw/zinc/35/09/50/1045350950.db2.gz WNKROKGXAQVWQP-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OCCCCO2)c1cccs1 ZINC000449143009 1045352564 /nfs/dbraw/zinc/35/25/64/1045352564.db2.gz PKSXXDWZXADGEJ-UHFFFAOYSA-N 0 3 317.454 4.324 20 0 DIADHN CCc1ccc([C@@H](COC)NC2CCC(CSC)CC2)o1 ZINC000449156575 1045353023 /nfs/dbraw/zinc/35/30/23/1045353023.db2.gz SLSZKEYDHZRDJK-ZBCRRDGASA-N 0 3 311.491 4.041 20 0 DIADHN CC[C@@](C)(NC[C@H](C)c1cc(F)cc(F)c1)c1nccs1 ZINC000449157595 1045353265 /nfs/dbraw/zinc/35/32/65/1045353265.db2.gz QQITUPYXPXVVNH-MEDUHNTESA-N 0 3 310.413 4.440 20 0 DIADHN CCc1cnc(CNC2CCC(OC3CCCC3)CC2)s1 ZINC000449171473 1045354948 /nfs/dbraw/zinc/35/49/48/1045354948.db2.gz KPTFFFKDYKCKNG-UHFFFAOYSA-N 0 3 308.491 4.066 20 0 DIADHN OCc1ccc(F)c(CN[C@@H](c2ccccc2)C2CCCC2)c1 ZINC000528421035 1045355427 /nfs/dbraw/zinc/35/54/27/1045355427.db2.gz YTUJCJMRGJTPIY-FQEVSTJZSA-N 0 3 313.416 4.339 20 0 DIADHN COCC[C@H]1CCCCN(Cc2nc3cc(C)ccc3s2)C1 ZINC000528449570 1045362504 /nfs/dbraw/zinc/36/25/04/1045362504.db2.gz CSIVZJZKNOASGL-OAHLLOKOSA-N 0 3 318.486 4.243 20 0 DIADHN CC(=O)N1CC[C@H](NCc2cccc3ccoc32)c2ccccc21 ZINC000449214955 1045363285 /nfs/dbraw/zinc/36/32/85/1045363285.db2.gz MTXMDTHXGNSSJK-SFHVURJKSA-N 0 3 320.392 4.020 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000449249358 1045366306 /nfs/dbraw/zinc/36/63/06/1045366306.db2.gz LSORUTGPHXYLDS-CYBMUJFWSA-N 0 3 314.335 4.096 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cnc(-c2ccccc2F)s1 ZINC000449247178 1045368456 /nfs/dbraw/zinc/36/84/56/1045368456.db2.gz FSIJHKJPRKDPHJ-SECBINFHSA-N 0 3 318.339 4.380 20 0 DIADHN O[C@@H](CN1CCCCC[C@@H]1c1ccco1)c1ccc(F)cc1F ZINC000076803669 1045373135 /nfs/dbraw/zinc/37/31/35/1045373135.db2.gz IVIPNEPPGLRJCF-SJORKVTESA-N 0 3 321.367 4.209 20 0 DIADHN COc1cccc2c(CN(Cc3ccccn3)C3CC3)cccc12 ZINC000449331703 1045375235 /nfs/dbraw/zinc/37/52/35/1045375235.db2.gz PAVHTEJLOVBARM-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN CCCc1ccc(CN2CCC3(CC(F)(F)CO3)CC2)s1 ZINC001138523383 1045378000 /nfs/dbraw/zinc/37/80/00/1045378000.db2.gz CIZDQCBKNDXBRU-UHFFFAOYSA-N 0 3 315.429 4.091 20 0 DIADHN COCc1cccc(CN[C@H]2CCCc3c(F)cc(F)cc32)c1 ZINC000449346824 1045378796 /nfs/dbraw/zinc/37/87/96/1045378796.db2.gz AMDDTMQJXLMFCB-IBGZPJMESA-N 0 3 317.379 4.278 20 0 DIADHN COc1cccc(CN[C@H]2CCCc3c(F)cc(F)cc32)c1 ZINC000449348872 1045380106 /nfs/dbraw/zinc/38/01/06/1045380106.db2.gz HNHGUOVMNPVRJJ-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1cc(-c2ccccc2)n[nH]1 ZINC000449351702 1045380296 /nfs/dbraw/zinc/38/02/96/1045380296.db2.gz QRMRUTMWWZVQSQ-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN CCCc1csc(CNC[C@H]2CSc3ccccc32)n1 ZINC000449367512 1045383117 /nfs/dbraw/zinc/38/31/17/1045383117.db2.gz WTCGVSCBJBOKSM-LBPRGKRZSA-N 0 3 304.484 4.075 20 0 DIADHN CC(C)(NC[C@@H](O)CC1CCCC1)c1nc2ccccc2s1 ZINC000528604759 1045384100 /nfs/dbraw/zinc/38/41/00/1045384100.db2.gz VCHVWOFCVJNFTD-AWEZNQCLSA-N 0 3 318.486 4.062 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@H]2CSc3ccccc32)cs1 ZINC000449359686 1045385312 /nfs/dbraw/zinc/38/53/12/1045385312.db2.gz HIWLQKVKWLIVBA-GFCCVEGCSA-N 0 3 318.511 4.420 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cnc(-c2ccccn2)s1 ZINC000449380756 1045387251 /nfs/dbraw/zinc/38/72/51/1045387251.db2.gz OVCNYPNOTDOWJR-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1ccc(-c2ccncc2)cc1F)OC ZINC000449400756 1045389742 /nfs/dbraw/zinc/38/97/42/1045389742.db2.gz CWPVRXFHLHAJFG-IFXJQAMLSA-N 0 3 316.420 4.038 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000449411085 1045391441 /nfs/dbraw/zinc/39/14/41/1045391441.db2.gz FOJCCECJAXQXSP-KBPBESRZSA-N 0 3 322.880 4.015 20 0 DIADHN CS[C@H]1CCN(Cc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC000449445782 1045393391 /nfs/dbraw/zinc/39/33/91/1045393391.db2.gz LVJZUOCVIMNQQA-ZDUSSCGKSA-N 0 3 324.902 4.401 20 0 DIADHN CC[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)c1cc(C)ccc1C ZINC000528698113 1045398814 /nfs/dbraw/zinc/39/88/14/1045398814.db2.gz SDWGQZIYVBSADO-LJQANCHMSA-N 0 3 323.440 4.179 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@@H]1CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC000449476251 1045398857 /nfs/dbraw/zinc/39/88/57/1045398857.db2.gz VFQSRNMBGOARMR-OWCLPIDISA-N 0 3 314.256 4.119 20 0 DIADHN CCOC(C)(C)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000389647018 1045400641 /nfs/dbraw/zinc/40/06/41/1045400641.db2.gz OUJCTFCJPSPUEF-GOSISDBHSA-N 0 3 301.405 4.320 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3ccsc3)s2)C[C@@H]1C ZINC000449502289 1045404697 /nfs/dbraw/zinc/40/46/97/1045404697.db2.gz WRSBHDCYZAVTSI-SWLSCSKDSA-N 0 3 322.499 4.119 20 0 DIADHN CO[C@@H]1CCN(Cc2cc3c(cc(C)cc3C)[nH]2)CC1(C)C ZINC000449502939 1045404825 /nfs/dbraw/zinc/40/48/25/1045404825.db2.gz SIGYHJULXRSAFZ-GOSISDBHSA-N 0 3 300.446 4.032 20 0 DIADHN c1nc(-c2ccccc2)sc1CN1CCSC[C@@H]1C1CC1 ZINC000449528987 1045405501 /nfs/dbraw/zinc/40/55/01/1045405501.db2.gz UDWXOSQKBDQLRG-MRXNPFEDSA-N 0 3 316.495 4.138 20 0 DIADHN c1coc(-c2ncc(CN3C[C@H](C4CC4)[C@H]3C3CC3)s2)c1 ZINC000449534557 1045409408 /nfs/dbraw/zinc/40/94/08/1045409408.db2.gz GRYMBMIUJXYSRA-GDBMZVCRSA-N 0 3 300.427 4.024 20 0 DIADHN CO[C@H](CN1CCC(F)(F)C[C@H]1C)c1ccc(Cl)cc1 ZINC000528773016 1045412371 /nfs/dbraw/zinc/41/23/71/1045412371.db2.gz NVFULHUVJBCXHR-BXUZGUMPSA-N 0 3 303.780 4.147 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cnc([C@@H]3CCCO3)s2)[C@H]1C(C)C ZINC000449556183 1045413075 /nfs/dbraw/zinc/41/30/75/1045413075.db2.gz RRPUBTHCBCWSPH-JYJNAYRXSA-N 0 3 308.491 4.107 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H](C)CCc1ccc(Cl)s1 ZINC000449563090 1045414884 /nfs/dbraw/zinc/41/48/84/1045414884.db2.gz QZPHKOZAZACHBA-VXGBXAGGSA-N 0 3 311.882 4.290 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449564431 1045414924 /nfs/dbraw/zinc/41/49/24/1045414924.db2.gz ARHHPBHWYUIZBR-ZDEQEGDKSA-N 0 3 323.893 4.461 20 0 DIADHN C[C@H]1C[C@@H](NC2CC(c3ccccc3Cl)C2)c2nccn21 ZINC000449572813 1045415603 /nfs/dbraw/zinc/41/56/03/1045415603.db2.gz OEFVLAHKQQOGQT-PIISCBOPSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000449573874 1045415774 /nfs/dbraw/zinc/41/57/74/1045415774.db2.gz SKZPTGFXXLEJMN-JMSVASOKSA-N 0 3 323.362 4.129 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2CC=C(c3ccc(F)cc3)CC2)c2nccn21 ZINC000449573773 1045415805 /nfs/dbraw/zinc/41/58/05/1045415805.db2.gz SAEKDFULQYCCJZ-JEBQAFNWSA-N 0 3 311.404 4.254 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H]2CC=C(c3ccc(F)cc3)CC2)c2nccn21 ZINC000449573772 1045416187 /nfs/dbraw/zinc/41/61/87/1045416187.db2.gz SAEKDFULQYCCJZ-FSPWUOQZSA-N 0 3 311.404 4.254 20 0 DIADHN CN(Cc1cnc(-c2cccs2)s1)[C@@H](CO)CC(C)(C)C ZINC000449581811 1045416588 /nfs/dbraw/zinc/41/65/88/1045416588.db2.gz CBHWOKAZCUUWKM-GFCCVEGCSA-N 0 3 324.515 4.101 20 0 DIADHN CCCc1ncc(CNC2CC(c3ccccc3Cl)C2)o1 ZINC000449610403 1045418489 /nfs/dbraw/zinc/41/84/89/1045418489.db2.gz GMASWYUCLLJWPI-UHFFFAOYSA-N 0 3 304.821 4.316 20 0 DIADHN CCCc1ncc(CN[C@@H](C)CCc2ccc(F)cc2F)o1 ZINC000449609386 1045418726 /nfs/dbraw/zinc/41/87/26/1045418726.db2.gz BGZCOTLBKDMEBE-LBPRGKRZSA-N 0 3 308.372 4.016 20 0 DIADHN CC1(C)C[C@H](O)CCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000449618955 1045420050 /nfs/dbraw/zinc/42/00/50/1045420050.db2.gz MQLUWOYTXBSSAZ-GFCCVEGCSA-N 0 3 307.821 4.069 20 0 DIADHN COc1ccc(C)cc1CN[C@@H]1CCCN(C)c2ccccc21 ZINC000449646833 1045423444 /nfs/dbraw/zinc/42/34/44/1045423444.db2.gz CFYOQDYNFLHITB-GOSISDBHSA-N 0 3 310.441 4.065 20 0 DIADHN CN1CCC[C@H](NCc2ccc(F)c(F)c2)c2ccccc21 ZINC000449647529 1045423771 /nfs/dbraw/zinc/42/37/71/1045423771.db2.gz DSNLSCWJZGOKLX-KRWDZBQOSA-N 0 3 302.368 4.026 20 0 DIADHN COc1cc(C)ccc1CN[C@H]1CCCN(C)c2ccccc21 ZINC000449660168 1045425333 /nfs/dbraw/zinc/42/53/33/1045425333.db2.gz XEXNLRGHGMUCGB-SFHVURJKSA-N 0 3 310.441 4.065 20 0 DIADHN CC(C)C[C@@H](C(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000449665201 1045425731 /nfs/dbraw/zinc/42/57/31/1045425731.db2.gz CFJXXHFIUVCVAT-LJQANCHMSA-N 0 3 308.425 4.058 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@@]2(CCOC2)C1 ZINC000449679574 1045426454 /nfs/dbraw/zinc/42/64/54/1045426454.db2.gz IJMDJQGHUCBCAA-QGZVFWFLSA-N 0 3 305.805 4.089 20 0 DIADHN Clc1ccccc1C1CC(NCc2cnc(C3CC3)o2)C1 ZINC000449704943 1045429954 /nfs/dbraw/zinc/42/99/54/1045429954.db2.gz JANKFLYXIXZIAN-UHFFFAOYSA-N 0 3 302.805 4.241 20 0 DIADHN COC[C@H]1CCCN(Cc2cnc(-c3cccs3)s2)CC1 ZINC000449770693 1045435574 /nfs/dbraw/zinc/43/55/74/1045435574.db2.gz DNQWQBOMOBQDNC-ZDUSSCGKSA-N 0 3 322.499 4.120 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)cc1F ZINC000449765347 1045435775 /nfs/dbraw/zinc/43/57/75/1045435775.db2.gz HVTWZIJXCLFIFL-KRWDZBQOSA-N 0 3 319.445 4.189 20 0 DIADHN Cc1cccc([C@H](C)NCc2cnn(Cc3ccc(F)cc3)c2)c1 ZINC000449782066 1045437683 /nfs/dbraw/zinc/43/76/83/1045437683.db2.gz YKPRECCFAXOYHU-INIZCTEOSA-N 0 3 323.415 4.230 20 0 DIADHN Cc1ccc(CN(C)Cc2cnc3c(cnn3C(C)C)c2)cc1C ZINC000449763559 1045437743 /nfs/dbraw/zinc/43/77/43/1045437743.db2.gz SOSAVHWFULBWJS-UHFFFAOYSA-N 0 3 322.456 4.261 20 0 DIADHN CCC[C@@H]1CCC[C@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000450101093 1045469409 /nfs/dbraw/zinc/46/94/09/1045469409.db2.gz BYCRFDQKLMPHPJ-IAGOWNOFSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@@H](C)c3ccccn3)c2c1 ZINC000450213506 1045475963 /nfs/dbraw/zinc/47/59/63/1045475963.db2.gz HSIXQUIKUILYLY-AWEZNQCLSA-N 0 3 307.397 4.012 20 0 DIADHN CN(Cc1cc(Br)ccc1F)CC1CC(F)(F)C1 ZINC000450304014 1045485070 /nfs/dbraw/zinc/48/50/70/1045485070.db2.gz ZXQGTBVLUUIWHF-UHFFFAOYSA-N 0 3 322.168 4.065 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(F)c1)c1ccccn1)C1CC(F)(F)C1 ZINC000529542844 1045492213 /nfs/dbraw/zinc/49/22/13/1045492213.db2.gz LLOWOXPBWQVTPJ-PXAZEXFGSA-N 0 3 320.358 4.334 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3)c(Cl)c2)CC[C@H]1O ZINC000529548695 1045492820 /nfs/dbraw/zinc/49/28/20/1045492820.db2.gz KMEDHPMQQCFXNK-IFXJQAMLSA-N 0 3 315.844 4.210 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3)c(Cl)c2)CC[C@@H]1O ZINC000529548696 1045492974 /nfs/dbraw/zinc/49/29/74/1045492974.db2.gz KMEDHPMQQCFXNK-KUHUBIRLSA-N 0 3 315.844 4.210 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3)c(Cl)c2)CC[C@H]1O ZINC000529548694 1045493369 /nfs/dbraw/zinc/49/33/69/1045493369.db2.gz KMEDHPMQQCFXNK-AUUYWEPGSA-N 0 3 315.844 4.210 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)c1ccccn1)C1CC(F)(F)C1 ZINC000529536093 1045493950 /nfs/dbraw/zinc/49/39/50/1045493950.db2.gz JMBCVCUNSTULST-CXAGYDPISA-N 0 3 302.368 4.194 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000529538292 1045494186 /nfs/dbraw/zinc/49/41/86/1045494186.db2.gz ZLKYQZFQIYEDJO-DGCLKSJQSA-N 0 3 319.392 4.015 20 0 DIADHN CN(C[C@H]1OCCc2ccccc21)C[C@@H]1CCCCC1(F)F ZINC000450336840 1045494977 /nfs/dbraw/zinc/49/49/77/1045494977.db2.gz BTKOHLRFXAVLFY-DOTOQJQBSA-N 0 3 309.400 4.058 20 0 DIADHN Cc1cc(-c2nnc(CN[C@H]3CCC(C)(C)C[C@H]3C)o2)c(C)o1 ZINC000120818695 1045495262 /nfs/dbraw/zinc/49/52/62/1045495262.db2.gz UCOANLHIXOVMJV-ABAIWWIYSA-N 0 3 317.433 4.251 20 0 DIADHN COc1ccc(C(C)(C)NCc2cc(C)c(O)c(C)c2)cc1F ZINC000529653632 1045508511 /nfs/dbraw/zinc/50/85/11/1045508511.db2.gz SKTNBOHIZDJAAA-UHFFFAOYSA-N 0 3 317.404 4.182 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](C)CCOCc2ccccc2)c(C)s1 ZINC000389955805 1045509270 /nfs/dbraw/zinc/50/92/70/1045509270.db2.gz WQVOESUGQWWRNZ-UONOGXRCSA-N 0 3 318.486 4.406 20 0 DIADHN CCc1ccc2nccc(N3CCC(n4ccc(C)n4)CC3)c2c1 ZINC000450481720 1045511211 /nfs/dbraw/zinc/51/12/11/1045511211.db2.gz FKRIOGCDVHTRAW-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN COc1ccc([C@@H](NCc2c(C)oc3ccccc32)C2CC2)cn1 ZINC000529689335 1045514954 /nfs/dbraw/zinc/51/49/54/1045514954.db2.gz NCDDEHHLHJTWCM-FQEVSTJZSA-N 0 3 322.408 4.386 20 0 DIADHN CCCCOCCN1CCC(c2nc(C(C)(C)C)cs2)CC1 ZINC000750294099 1045518470 /nfs/dbraw/zinc/51/84/70/1045518470.db2.gz KWDVZYNGHHFIGE-UHFFFAOYSA-N 0 3 324.534 4.437 20 0 DIADHN FC1(F)CCCC[C@@H]1CNC1(c2nccs2)CCCC1 ZINC000450574726 1045521857 /nfs/dbraw/zinc/52/18/57/1045521857.db2.gz BAIBTPFETCOHAT-GFCCVEGCSA-N 0 3 300.418 4.328 20 0 DIADHN C[C@H]1CC(NCC(=O)c2cccc(Br)c2)C[C@H](C)C1 ZINC001258151240 1045523074 /nfs/dbraw/zinc/52/30/74/1045523074.db2.gz HIJABIGUYLPUPI-VXGBXAGGSA-N 0 3 324.262 4.046 20 0 DIADHN Cc1csc([C@@H](NC[C@]2(C)CC2(Cl)Cl)C2CC2)n1 ZINC000828722768 1045524355 /nfs/dbraw/zinc/52/43/55/1045524355.db2.gz RXPYBEUGHWSDNM-JQWIXIFHSA-N 0 3 305.274 4.076 20 0 DIADHN Cc1csc([C@H](NC[C@@]2(C)CC2(Cl)Cl)C2CC2)n1 ZINC000828722770 1045524758 /nfs/dbraw/zinc/52/47/58/1045524758.db2.gz RXPYBEUGHWSDNM-ZYHUDNBSSA-N 0 3 305.274 4.076 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC000628144338 1045530411 /nfs/dbraw/zinc/53/04/11/1045530411.db2.gz ZDKHKSDIVGBEPK-MRXNPFEDSA-N 0 3 321.424 4.413 20 0 DIADHN Fc1ccc(C[C@@H]2CCCCN2Cc2cnc(C3CC3)o2)cc1 ZINC000628163526 1045532360 /nfs/dbraw/zinc/53/23/60/1045532360.db2.gz GHFIWPJTCSTVFM-KRWDZBQOSA-N 0 3 314.404 4.288 20 0 DIADHN Fc1ccc(C[C@H]2CCCCN2Cc2cnc(C3CC3)o2)cc1 ZINC000628163527 1045533055 /nfs/dbraw/zinc/53/30/55/1045533055.db2.gz GHFIWPJTCSTVFM-QGZVFWFLSA-N 0 3 314.404 4.288 20 0 DIADHN FC1(F)CC[C@@H](CN2CC(c3nc4ccccc4s3)C2)C1 ZINC000450634488 1045534728 /nfs/dbraw/zinc/53/47/28/1045534728.db2.gz GMQKVVUUQYILCW-LLVKDONJSA-N 0 3 308.397 4.131 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cnc(C2CC2)o1 ZINC000628180705 1045536577 /nfs/dbraw/zinc/53/65/77/1045536577.db2.gz BGUCIFGGRNVAJP-DOMZBBRYSA-N 0 3 300.377 4.069 20 0 DIADHN Cc1nc(C)c(CNCCc2cccc(-c3ccccc3)c2)o1 ZINC000628228460 1045548881 /nfs/dbraw/zinc/54/88/81/1045548881.db2.gz OVZQYVBFXPLLEV-UHFFFAOYSA-N 0 3 306.409 4.291 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(Cl)c(OC)c1 ZINC000450721097 1045549282 /nfs/dbraw/zinc/54/92/82/1045549282.db2.gz VTUQYFHDSMXPRA-LBPRGKRZSA-N 0 3 304.821 4.157 20 0 DIADHN C[C@H]1C[C@H](NCc2cnn(Cc3ccccc3)c2)c2ccccc21 ZINC000390407363 1045549629 /nfs/dbraw/zinc/54/96/29/1045549629.db2.gz CANBLKCWBFAEPJ-KKSFZXQISA-N 0 3 317.436 4.270 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cnn(Cc3ccccc3)c2)c2ccccc21 ZINC000390407362 1045550129 /nfs/dbraw/zinc/55/01/29/1045550129.db2.gz CANBLKCWBFAEPJ-IIBYNOLFSA-N 0 3 317.436 4.270 20 0 DIADHN FC(F)(F)CCNCc1ccc(OCc2ccccc2)cc1 ZINC000077563588 1045560479 /nfs/dbraw/zinc/56/04/79/1045560479.db2.gz YYGMUJDWSARBRY-UHFFFAOYSA-N 0 3 309.331 4.308 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1ccccc1Cl)c1cccs1 ZINC000750839360 1045561625 /nfs/dbraw/zinc/56/16/25/1045561625.db2.gz VWVLIKQDUOXVNY-GDBMZVCRSA-N 0 3 321.873 4.490 20 0 DIADHN CC[C@@H](C)[C@H](C(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001122221285 1045562908 /nfs/dbraw/zinc/56/29/08/1045562908.db2.gz WKJIQIDXQGPIDU-KUHUBIRLSA-N 0 3 308.425 4.058 20 0 DIADHN Fc1ccccc1C[C@@H]1CCCCN1Cc1cnc(C2CC2)o1 ZINC000628324907 1045569295 /nfs/dbraw/zinc/56/92/95/1045569295.db2.gz PCPFXDRLCVWERC-INIZCTEOSA-N 0 3 314.404 4.288 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2C[C@@H](C)C(F)(F)[C@H](C)C2)cc1 ZINC000628390751 1045573604 /nfs/dbraw/zinc/57/36/04/1045573604.db2.gz NRJYUHFFGLXLIQ-ZIAGYGMSSA-N 0 3 319.399 4.108 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1)C(=O)Nc1ccccc1Cl ZINC000008118422 1045575682 /nfs/dbraw/zinc/57/56/82/1045575682.db2.gz FZURIOYOMLDJHO-CHWSQXEVSA-N 0 3 302.805 4.018 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@H](O)C(C)(C)C ZINC001253727962 1045589368 /nfs/dbraw/zinc/58/93/68/1045589368.db2.gz JFHRWCJHCLBUPQ-AWEZNQCLSA-N 0 3 311.878 4.394 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1)c1cccc2ccccc21 ZINC000008269051 1045589478 /nfs/dbraw/zinc/58/94/78/1045589478.db2.gz VMTQAMJEVGNMOT-OAHLLOKOSA-N 0 3 304.393 4.129 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCCC(=O)c1ccc(F)cc1 ZINC000450890705 1045589738 /nfs/dbraw/zinc/58/97/38/1045589738.db2.gz WPMWBODZPWHUBK-RISCZKNCSA-N 0 3 317.326 4.061 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(F)(c3ccccn3)CC2)c1 ZINC000450928662 1045595851 /nfs/dbraw/zinc/59/58/51/1045595851.db2.gz HDRIAWOBCDQILT-OAHLLOKOSA-N 0 3 314.404 4.112 20 0 DIADHN C[C@H](NCC1(C)OCCCO1)c1cccc(-c2ccccc2)c1 ZINC000190669962 1045597428 /nfs/dbraw/zinc/59/74/28/1045597428.db2.gz WBDLZDUDNKHWGW-INIZCTEOSA-N 0 3 311.425 4.157 20 0 DIADHN Cc1cc(CN[C@@]2(Cc3ccc(Cl)cc3)CCOC2)c(C)o1 ZINC000800311643 1045608038 /nfs/dbraw/zinc/60/80/38/1045608038.db2.gz RBWPRKBUTPTFGX-GOSISDBHSA-N 0 3 319.832 4.041 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@H](O)c1c(F)cccc1F ZINC000800397853 1045614378 /nfs/dbraw/zinc/61/43/78/1045614378.db2.gz XUHGDYZGMKQVDY-ABAIWWIYSA-N 0 3 323.408 4.071 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@@H](O)c1cc(F)ccc1F ZINC000800394496 1045614528 /nfs/dbraw/zinc/61/45/28/1045614528.db2.gz HDVRERYSCXMPJT-BDJLRTHQSA-N 0 3 323.408 4.071 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C[C@H](O)c2ccc(F)cc2)oc1C ZINC000800478808 1045625541 /nfs/dbraw/zinc/62/55/41/1045625541.db2.gz AADGBIYAGBIUFA-IGCXYCKISA-N 0 3 305.393 4.198 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc3ccccc3c2)oc1C ZINC000800479256 1045625709 /nfs/dbraw/zinc/62/57/09/1045625709.db2.gz YFUFLNHOQREGPL-LIRRHRJNSA-N 0 3 309.409 4.434 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc(OC(C)C)cc2)oc1C ZINC000800478615 1045625724 /nfs/dbraw/zinc/62/57/24/1045625724.db2.gz MIJTWXBMKJJKME-RDTXWAMCSA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2cccc(OC(C)C)c2)oc1C ZINC000800478500 1045625823 /nfs/dbraw/zinc/62/58/23/1045625823.db2.gz JFPFYNXPVBDIMH-RDTXWAMCSA-N 0 3 317.429 4.068 20 0 DIADHN CCCc1nc(C)c(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)o1 ZINC000451139469 1045628023 /nfs/dbraw/zinc/62/80/23/1045628023.db2.gz AANSHMXJLSIWIK-UHTWSYAYSA-N 0 3 318.383 4.487 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC2(CCC(O)CC2)CC1 ZINC000451146744 1045633029 /nfs/dbraw/zinc/63/30/29/1045633029.db2.gz PEDFZORAKAYREO-ZDUSSCGKSA-N 0 3 309.400 4.043 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2Cc3ccc(Cl)cc3C2)c(C)s1 ZINC000800496409 1045634129 /nfs/dbraw/zinc/63/41/29/1045634129.db2.gz VDDHPBHRBGCNBK-BJOHPYRUSA-N 0 3 306.862 4.231 20 0 DIADHN CCC(C)(C)N(C)Cc1cnc(NC(=O)OC(C)(C)C)s1 ZINC000800511159 1045636602 /nfs/dbraw/zinc/63/66/02/1045636602.db2.gz QJTUZFKERJCGRE-UHFFFAOYSA-N 0 3 313.467 4.111 20 0 DIADHN CC1=C(C)CN(Cc2c[nH]c(=NC(=O)OC(C)(C)C)s2)CC1 ZINC000800525472 1045639433 /nfs/dbraw/zinc/63/94/33/1045639433.db2.gz XCLSBUPAASSCTK-UHFFFAOYSA-N 0 3 323.462 4.032 20 0 DIADHN CC(C)CN(C(=O)C=C1CCC(C)(C)CC1)C1CCN(C)CC1 ZINC000751962450 1045645077 /nfs/dbraw/zinc/64/50/77/1045645077.db2.gz BBQPIVKEDVGJSL-UHFFFAOYSA-N 0 3 320.521 4.092 20 0 DIADHN CC[C@@](C)(NC[C@H]1CCC2(CCCC2)O1)c1nc(C)cs1 ZINC000530358214 1045651332 /nfs/dbraw/zinc/65/13/32/1045651332.db2.gz CBVRYMMJAARIBC-GDBMZVCRSA-N 0 3 308.491 4.158 20 0 DIADHN Fc1cccc(C2CCN(C[C@H]3CC3(Cl)Cl)CC2)c1 ZINC000752090375 1045657788 /nfs/dbraw/zinc/65/77/88/1045657788.db2.gz VHCBCTMNYRIOOT-CYBMUJFWSA-N 0 3 302.220 4.199 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1ccc(F)cc1)c1cccc(F)c1 ZINC000752090448 1045658650 /nfs/dbraw/zinc/65/86/50/1045658650.db2.gz YOJZQQZEZHNWFP-MOPGFXCFSA-N 0 3 317.379 4.225 20 0 DIADHN C[C@H](CCO)N[C@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000779396105 1045660966 /nfs/dbraw/zinc/66/09/66/1045660966.db2.gz HUAYIEZDRVKBKJ-SJKOYZFVSA-N 0 3 324.251 4.443 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCC4(CCCC4)O3)CCCC2)n1 ZINC000530410977 1045660980 /nfs/dbraw/zinc/66/09/80/1045660980.db2.gz XHCWXNKTRQKEMZ-HNNXBMFYSA-N 0 3 320.502 4.302 20 0 DIADHN C[C@@H](CCO)N[C@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000779396106 1045661159 /nfs/dbraw/zinc/66/11/59/1045661159.db2.gz HUAYIEZDRVKBKJ-YVEFUNNKSA-N 0 3 324.251 4.443 20 0 DIADHN CCOc1ccc(CN(C)C[C@@H]2CCC3(CCCC3)O2)cc1 ZINC000530413229 1045661158 /nfs/dbraw/zinc/66/11/58/1045661158.db2.gz LROIEHRBKBJLNR-SFHVURJKSA-N 0 3 303.446 4.009 20 0 DIADHN COc1ccc(CN(C[C@@H]2CCC3(CCCC3)O2)C2CC2)cc1 ZINC000530419365 1045662268 /nfs/dbraw/zinc/66/22/68/1045662268.db2.gz LGIINWLNITTWRY-IBGZPJMESA-N 0 3 315.457 4.151 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000451343400 1045665487 /nfs/dbraw/zinc/66/54/87/1045665487.db2.gz OFJSFMUEYRZJFW-OLUCIUBSSA-N 0 3 309.400 4.041 20 0 DIADHN C[C@@H](NCc1ccc(-n2ccnc2)cc1)c1csc(Cl)c1 ZINC000191218777 1045667037 /nfs/dbraw/zinc/66/70/37/1045667037.db2.gz XAWPVBJGVBNLGX-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN COC[C@@H](Cc1ccccc1)N(C)Cc1ccc(Cl)s1 ZINC000530442832 1045667723 /nfs/dbraw/zinc/66/77/23/1045667723.db2.gz AESLHGCQYQWXFG-CQSZACIVSA-N 0 3 309.862 4.091 20 0 DIADHN Clc1cccc2c1OCCC[C@H]2NC/C=C/c1ccncc1 ZINC000487535603 1045669355 /nfs/dbraw/zinc/66/93/55/1045669355.db2.gz IGMDGWMBPZZMAS-NKEDHYFDSA-N 0 3 314.816 4.252 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@@H](C)c2csc(Cl)c2)c1 ZINC000800993198 1045680139 /nfs/dbraw/zinc/68/01/39/1045680139.db2.gz WQURSJSNJCNZEI-SWLSCSKDSA-N 0 3 309.862 4.403 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cscc1C(F)F ZINC000900954811 1045687773 /nfs/dbraw/zinc/68/77/73/1045687773.db2.gz MEZZDWZUBXQKAP-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN OCCCCCCN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000779419670 1045698408 /nfs/dbraw/zinc/69/84/08/1045698408.db2.gz YZYODJHGMVQYNM-FQEVSTJZSA-N 0 3 324.424 4.060 20 0 DIADHN COCc1ccccc1CN[C@H]1CCCOc2ccc(C)cc21 ZINC000122069997 1045704723 /nfs/dbraw/zinc/70/47/23/1045704723.db2.gz YCXKRIFVDKLEMD-IBGZPJMESA-N 0 3 311.425 4.145 20 0 DIADHN COC/C(C)=C\CN[C@H](c1cccs1)c1ccc(F)cc1 ZINC000901353830 1045707891 /nfs/dbraw/zinc/70/78/91/1045707891.db2.gz UAMKAPYKCMLQGT-GQPJMJRUSA-N 0 3 305.418 4.159 20 0 DIADHN FC(F)(F)Cc1ccccc1-c1cccc([C@@H]2CNCCO2)c1 ZINC000629754357 1045709182 /nfs/dbraw/zinc/70/91/82/1045709182.db2.gz OOISZMSNWMPDDG-KRWDZBQOSA-N 0 3 321.342 4.119 20 0 DIADHN FC(F)(F)Cc1ccccc1-c1cccc([C@H]2CNCCO2)c1 ZINC000629754358 1045709598 /nfs/dbraw/zinc/70/95/98/1045709598.db2.gz OOISZMSNWMPDDG-QGZVFWFLSA-N 0 3 321.342 4.119 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000451705854 1045712715 /nfs/dbraw/zinc/71/27/15/1045712715.db2.gz ZMXQNFADBPMKHB-SYQHCUMBSA-N 0 3 311.828 4.023 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cc(OC)cc(OC)c2)cc1 ZINC000530723097 1045720634 /nfs/dbraw/zinc/72/06/34/1045720634.db2.gz RPGIVGZCKJNCTJ-UHFFFAOYSA-N 0 3 313.441 4.291 20 0 DIADHN CC[C@](C)(N)c1cc(-c2cc3ccccc3nc2Cl)no1 ZINC000901399598 1045722016 /nfs/dbraw/zinc/72/20/16/1045722016.db2.gz GOXUQVJJQMULNY-INIZCTEOSA-N 0 3 301.777 4.127 20 0 DIADHN Cc1cccc(CN[C@H](C)c2ccccc2O)c1Br ZINC000191541090 1045725156 /nfs/dbraw/zinc/72/51/56/1045725156.db2.gz ARVKVXLIVMRBAM-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN CC(C)(C)N1CC[C@@](F)(C(=O)Nc2ccc3sccc3c2)C1 ZINC001124588704 1045728448 /nfs/dbraw/zinc/72/84/48/1045728448.db2.gz HSSPSRKLSWEBBR-KRWDZBQOSA-N 0 3 320.433 4.052 20 0 DIADHN c1coc([C@@H]2N(CCCCC3CCOCC3)CC23CCC3)c1 ZINC000451854051 1045734166 /nfs/dbraw/zinc/73/41/66/1045734166.db2.gz MPNUSLUJYFFRHL-SFHVURJKSA-N 0 3 303.446 4.404 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451864297 1045737114 /nfs/dbraw/zinc/73/71/14/1045737114.db2.gz WWVYKMCUNWIHCG-HKUYNNGSSA-N 0 3 311.425 4.064 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451864306 1045737173 /nfs/dbraw/zinc/73/71/73/1045737173.db2.gz WWVYKMCUNWIHCG-PKOBYXMFSA-N 0 3 311.425 4.064 20 0 DIADHN COC1(CCN2CCCC[C@@H]2c2nc3ccccc3[nH]2)CCC1 ZINC000451878509 1045739405 /nfs/dbraw/zinc/73/94/05/1045739405.db2.gz NCDUZXQTRKXKIK-QGZVFWFLSA-N 0 3 313.445 4.049 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)s1 ZINC000475673866 1045739750 /nfs/dbraw/zinc/73/97/50/1045739750.db2.gz XPDBQKHEPLGXHD-MQMHXKEQSA-N 0 3 306.862 4.395 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@]2(CCCOC2)C1 ZINC000530865840 1045741712 /nfs/dbraw/zinc/74/17/12/1045741712.db2.gz JLHADBMIJZYBPR-ROUUACIJSA-N 0 3 309.400 4.309 20 0 DIADHN CC1CCN([C@H](CNC(=O)OC(C)(C)C)c2cccs2)CC1 ZINC000078574111 1045745663 /nfs/dbraw/zinc/74/56/63/1045745663.db2.gz FXKFBNDWVAPPOW-CQSZACIVSA-N 0 3 324.490 4.046 20 0 DIADHN Cc1ncsc1CCN1CCC[C@@H]1c1ccc(F)cc1F ZINC000451972822 1045758388 /nfs/dbraw/zinc/75/83/88/1045758388.db2.gz PIJNQHLNTRXNAY-OAHLLOKOSA-N 0 3 308.397 4.109 20 0 DIADHN COc1ccc(-c2cnc(CN3[C@H](C)CCC3(C)C)o2)cc1 ZINC000452001017 1045759717 /nfs/dbraw/zinc/75/97/17/1045759717.db2.gz CFLSWHRUQQXPRV-CYBMUJFWSA-N 0 3 300.402 4.113 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000452014887 1045761264 /nfs/dbraw/zinc/76/12/64/1045761264.db2.gz UQPSZPZTYYMULG-LLVKDONJSA-N 0 3 305.809 4.153 20 0 DIADHN COc1ccc(-c2nc(CN3[C@H](C)CCC3(C)C)co2)cc1 ZINC000452007517 1045762739 /nfs/dbraw/zinc/76/27/39/1045762739.db2.gz NLYNMSKWKXQPNQ-CYBMUJFWSA-N 0 3 300.402 4.113 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000452027809 1045762979 /nfs/dbraw/zinc/76/29/79/1045762979.db2.gz WFTWSFZDKUMASZ-NVXWUHKLSA-N 0 3 320.820 4.042 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1[C@H](C)CCC1(C)C ZINC000452005836 1045764698 /nfs/dbraw/zinc/76/46/98/1045764698.db2.gz KMRXOGHIDKRGHQ-CQSZACIVSA-N 0 3 302.462 4.013 20 0 DIADHN COc1ccc(-c2nc(CN3[C@@H](C)CCC3(C)C)co2)cc1 ZINC000452007518 1045765046 /nfs/dbraw/zinc/76/50/46/1045765046.db2.gz NLYNMSKWKXQPNQ-ZDUSSCGKSA-N 0 3 300.402 4.113 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)c1cccc(C)c1 ZINC000452098782 1045769851 /nfs/dbraw/zinc/76/98/51/1045769851.db2.gz ZYJARNOGICGQGG-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](c2ccc(F)cc2)c2cccnc2)C1 ZINC000753661041 1045785117 /nfs/dbraw/zinc/78/51/17/1045785117.db2.gz CVCDVAGRMZASRP-RCCFBDPRSA-N 0 3 316.445 4.184 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](c2ccccc2)c2ccc(F)cn2)C1 ZINC000753664782 1045786578 /nfs/dbraw/zinc/78/65/78/1045786578.db2.gz RCBVSRKZLRNWOS-NUJGCVRESA-N 0 3 316.445 4.184 20 0 DIADHN CSC(C)(C)CN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000753664808 1045787299 /nfs/dbraw/zinc/78/72/99/1045787299.db2.gz RQHRRLXKBWFDGA-MRXNPFEDSA-N 0 3 304.434 4.041 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](CO)c2ccccc2C)cc1C ZINC000753671707 1045787682 /nfs/dbraw/zinc/78/76/82/1045787682.db2.gz DJOSCVOKISGFLD-QFBILLFUSA-N 0 3 313.441 4.005 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccccc2N(C)C)cc1C ZINC000753680903 1045789475 /nfs/dbraw/zinc/78/94/75/1045789475.db2.gz YLAZHUAULJFQFR-INIZCTEOSA-N 0 3 312.457 4.229 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1C ZINC000753688611 1045790884 /nfs/dbraw/zinc/79/08/84/1045790884.db2.gz JXXMFJUIJIXDHC-UZLBHIALSA-N 0 3 324.468 4.149 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2cncc(F)c2)cc1C ZINC000753726760 1045797542 /nfs/dbraw/zinc/79/75/42/1045797542.db2.gz DGSLKIBOAWOYGA-UONOGXRCSA-N 0 3 302.393 4.258 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2csc(C)c2C)c1 ZINC000631044243 1045800125 /nfs/dbraw/zinc/80/01/25/1045800125.db2.gz IQBIPOVOKCPFFA-UHFFFAOYSA-N 0 3 302.443 4.003 20 0 DIADHN C[C@@H](NCCCNc1ccccc1F)c1cccnc1Cl ZINC000753772701 1045800137 /nfs/dbraw/zinc/80/01/37/1045800137.db2.gz ZPBFYLVGQKHEBG-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN FC(F)c1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cn1 ZINC000631054747 1045802837 /nfs/dbraw/zinc/80/28/37/1045802837.db2.gz VOOXPASOUDWTGH-CQSZACIVSA-N 0 3 308.759 4.450 20 0 DIADHN COCCc1ncc(CN[C@@H](CC(C)C)c2cccs2)s1 ZINC000631049076 1045803882 /nfs/dbraw/zinc/80/38/82/1045803882.db2.gz SZPVTLKJYNKZAJ-AWEZNQCLSA-N 0 3 324.515 4.271 20 0 DIADHN c1cc(CNCc2cc3ccccc3s2)n(CC2CCC2)n1 ZINC000631052861 1045805423 /nfs/dbraw/zinc/80/54/23/1045805423.db2.gz TYAGCIMVRGPPMG-UHFFFAOYSA-N 0 3 311.454 4.188 20 0 DIADHN COCCc1ncc(CN[C@H](c2ccccc2C)C(C)C)s1 ZINC000631069723 1045807068 /nfs/dbraw/zinc/80/70/68/1045807068.db2.gz GSSKPOBJOFYWKN-SFHVURJKSA-N 0 3 318.486 4.127 20 0 DIADHN CCn1nc(C)c(CNCc2ccc(F)c3ccccc23)c1C ZINC000631061996 1045807487 /nfs/dbraw/zinc/80/74/87/1045807487.db2.gz NSFYMHFTPSACOQ-UHFFFAOYSA-N 0 3 311.404 4.102 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000631104276 1045818159 /nfs/dbraw/zinc/81/81/59/1045818159.db2.gz DNTAJCAVOPHAKS-BDJLRTHQSA-N 0 3 324.346 4.024 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(C(F)F)nc1)[C@H](C)C2 ZINC000631109894 1045818243 /nfs/dbraw/zinc/81/82/43/1045818243.db2.gz IGJGIOFFDDLSJQ-PXAZEXFGSA-N 0 3 302.368 4.351 20 0 DIADHN Clc1c2ccccc2oc1CN[C@@H]1CCO[C@@H](C2CC2)C1 ZINC000631111712 1045819931 /nfs/dbraw/zinc/81/99/31/1045819931.db2.gz BLEPZJFIBDIQPI-IUODEOHRSA-N 0 3 305.805 4.133 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(C(F)F)nc1)CCC2 ZINC000631143015 1045825389 /nfs/dbraw/zinc/82/53/89/1045825389.db2.gz RFBVAJYKVZTIHT-MRXNPFEDSA-N 0 3 302.368 4.495 20 0 DIADHN Cc1cc(CNCc2ccc(CC(F)(F)F)cc2)cc(C)n1 ZINC000631160167 1045827486 /nfs/dbraw/zinc/82/74/86/1045827486.db2.gz PDBXTIKPBBMYMC-UHFFFAOYSA-N 0 3 308.347 4.093 20 0 DIADHN c1cc(CNCc2cccc3ccsc32)n(CC2CCC2)n1 ZINC000631166463 1045829234 /nfs/dbraw/zinc/82/92/34/1045829234.db2.gz JHKKFUQLDQUIMS-UHFFFAOYSA-N 0 3 311.454 4.188 20 0 DIADHN CC[C@@](C)(NCc1cnn(C(C)(C)C)c1)c1ccc(F)cc1 ZINC000631195376 1045831445 /nfs/dbraw/zinc/83/14/45/1045831445.db2.gz WGWYCXFUNAYYIW-GOSISDBHSA-N 0 3 303.425 4.192 20 0 DIADHN CC[C@@H](NCc1cnc(CCOC)s1)c1cc(C)ccc1C ZINC000631183096 1045832573 /nfs/dbraw/zinc/83/25/73/1045832573.db2.gz JJRBGZBJJRHRTQ-QGZVFWFLSA-N 0 3 318.486 4.190 20 0 DIADHN CCCCC[C@H](NCc1cccc(C(N)=O)c1)c1ccccc1 ZINC000079080210 1045840607 /nfs/dbraw/zinc/84/06/07/1045840607.db2.gz COFAPVHCSZBYMW-IBGZPJMESA-N 0 3 310.441 4.197 20 0 DIADHN CC[C@@H](NCc1cccc2[nH]ccc21)c1cccc(OC)c1OC ZINC000631265224 1045847014 /nfs/dbraw/zinc/84/70/14/1045847014.db2.gz XCILAYGLUUXOFA-QGZVFWFLSA-N 0 3 324.424 4.426 20 0 DIADHN C[C@H](NCc1ccnc(N(C)C)c1)c1cccc(C(F)(F)F)c1 ZINC000754103933 1045847997 /nfs/dbraw/zinc/84/79/97/1045847997.db2.gz DWCDTLLNLJFSPZ-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN CC(C)C[C@@H](NCC1(C)OCCCO1)c1ccc(Cl)cc1 ZINC000754120660 1045848616 /nfs/dbraw/zinc/84/86/16/1045848616.db2.gz BAMOOUFVKRUMES-MRXNPFEDSA-N 0 3 311.853 4.170 20 0 DIADHN C[C@@H](NCc1ccnc(N(C)C)c1)c1cccc(C(F)(F)F)c1 ZINC000754103931 1045848715 /nfs/dbraw/zinc/84/87/15/1045848715.db2.gz DWCDTLLNLJFSPZ-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN C[C@H](NCC1(C)OCCCO1)c1ccc(-c2ccccc2)s1 ZINC000754125095 1045850205 /nfs/dbraw/zinc/85/02/05/1045850205.db2.gz WJVFRPRQTKTOMM-AWEZNQCLSA-N 0 3 317.454 4.219 20 0 DIADHN CCN(C[C@]1(C)CC1(Cl)Cl)[C@@H](C)c1cccc(O)c1 ZINC000828000251 1045886903 /nfs/dbraw/zinc/88/69/03/1045886903.db2.gz SIINRXZTPPPGFU-FZMZJTMJSA-N 0 3 302.245 4.359 20 0 DIADHN COc1cc(CN2CCS[C@H]3CCCC[C@H]32)ccc1SC ZINC000531470426 1045853576 /nfs/dbraw/zinc/85/35/76/1045853576.db2.gz XMHQAYLHUJJJSL-ZBFHGGJFSA-N 0 3 323.527 4.277 20 0 DIADHN COc1ccccc1C[C@H](NC/C(Cl)=C\Cl)C(C)C ZINC000754480993 1045892602 /nfs/dbraw/zinc/89/26/02/1045892602.db2.gz FUKRVSLUHYWJBI-SSUFTNFISA-N 0 3 302.245 4.171 20 0 DIADHN CC(C)c1cnc(CN[C@@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000754227277 1045864002 /nfs/dbraw/zinc/86/40/02/1045864002.db2.gz GYDGNLXVNIYNSJ-INIZCTEOSA-N 0 3 304.409 4.294 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(C2CCC2)cc1 ZINC000631567158 1045870679 /nfs/dbraw/zinc/87/06/79/1045870679.db2.gz VCPRIVNFIFIVLL-AUUYWEPGSA-N 0 3 309.457 4.416 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ncccc21)c1cccc(OC(F)F)c1 ZINC000631571121 1045875457 /nfs/dbraw/zinc/87/54/57/1045875457.db2.gz YPZXPYSAISVKPN-YVEFUNNKSA-N 0 3 318.367 4.411 20 0 DIADHN CC(C)(C)OC(=O)C[C@H]1CCCN(C/C=C\c2ccccc2)C1 ZINC000531616317 1045885575 /nfs/dbraw/zinc/88/55/75/1045885575.db2.gz LMGIOSZBRIYRBU-RLGIIYIFSA-N 0 3 315.457 4.144 20 0 DIADHN CC(C)(C)OC(=O)C[C@@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000531616313 1045885611 /nfs/dbraw/zinc/88/56/11/1045885611.db2.gz LMGIOSZBRIYRBU-DBEXCURXSA-N 0 3 315.457 4.144 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC000531647856 1045886285 /nfs/dbraw/zinc/88/62/85/1045886285.db2.gz YMXVTXYWSAUEDE-CJNGLKHVSA-N 0 3 310.507 4.072 20 0 DIADHN CC(C)CN(Cc1csc(-c2ncccn2)n1)CC(C)(C)C ZINC000531634607 1045886336 /nfs/dbraw/zinc/88/63/36/1045886336.db2.gz XFEFMOSYOOEMMR-UHFFFAOYSA-N 0 3 318.490 4.104 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2ccc(C3CCC3)cc2)cn1 ZINC000631624245 1045890265 /nfs/dbraw/zinc/89/02/65/1045890265.db2.gz BWLDKZLUYYSTRU-CQSZACIVSA-N 0 3 323.440 4.158 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1cc(C)cs1 ZINC000631631581 1045891541 /nfs/dbraw/zinc/89/15/41/1045891541.db2.gz JUOYBANTXDTJMT-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN CCCc1ncc(CN[C@@H](C)CCc2c[nH]c3ccccc23)o1 ZINC000631634161 1045892459 /nfs/dbraw/zinc/89/24/59/1045892459.db2.gz VIVZGVDUZNTJIR-AWEZNQCLSA-N 0 3 311.429 4.219 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccccc2C(F)F)c1 ZINC001137562824 1045896544 /nfs/dbraw/zinc/89/65/44/1045896544.db2.gz MFNLCDBVQJMPTF-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1ccc(C(F)F)nc1 ZINC000631653563 1045898550 /nfs/dbraw/zinc/89/85/50/1045898550.db2.gz MKHUPSCXQDUWJE-MJEQTWJJSA-N 0 3 318.367 4.200 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1cc2cnccc2o1 ZINC000631653827 1045898614 /nfs/dbraw/zinc/89/86/14/1045898614.db2.gz OMCGSIMHUFXCHD-CRFBAAHOSA-N 0 3 308.381 4.009 20 0 DIADHN CC[C@@H](CNCc1ccc(COC)o1)Oc1cccc(Cl)c1 ZINC000531702104 1045900562 /nfs/dbraw/zinc/90/05/62/1045900562.db2.gz ZKNCOZUIBISJJJ-AWEZNQCLSA-N 0 3 323.820 4.027 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000631666332 1045900768 /nfs/dbraw/zinc/90/07/68/1045900768.db2.gz XIEDKPDPTMBFJZ-OALUTQOASA-N 0 3 308.425 4.102 20 0 DIADHN CC(C)COC[C@@H](N[C@H](C)Cc1ccsc1)c1ccco1 ZINC000531705766 1045902162 /nfs/dbraw/zinc/90/21/62/1045902162.db2.gz PDJPMJKOBDFOPT-GDBMZVCRSA-N 0 3 307.459 4.276 20 0 DIADHN CCCCN(CCC1OCCCO1)Cc1ccccc1Cl ZINC000754638909 1045902492 /nfs/dbraw/zinc/90/24/92/1045902492.db2.gz IJBSYSKCCAGJKR-UHFFFAOYSA-N 0 3 311.853 4.095 20 0 DIADHN CC(C)COC[C@H](N[C@@H](C)Cc1ccsc1)c1ccco1 ZINC000531705768 1045902534 /nfs/dbraw/zinc/90/25/34/1045902534.db2.gz PDJPMJKOBDFOPT-HOCLYGCPSA-N 0 3 307.459 4.276 20 0 DIADHN Cc1scc(CN[C@@H](C)c2cnn(C(C)(C)C)c2C)c1C ZINC000631681713 1045902855 /nfs/dbraw/zinc/90/28/55/1045902855.db2.gz FZWRQQDYGHRMOI-LBPRGKRZSA-N 0 3 305.491 4.476 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000531713927 1045903159 /nfs/dbraw/zinc/90/31/59/1045903159.db2.gz JDAAXVGJUJUCJD-UONOGXRCSA-N 0 3 301.352 4.099 20 0 DIADHN CC[C@](C)(NC[C@@]1(C)CC1(Cl)Cl)c1nc(C)cs1 ZINC000828352384 1045903251 /nfs/dbraw/zinc/90/32/51/1045903251.db2.gz IBTTXCOCJYKDPM-NEPJUHHUSA-N 0 3 307.290 4.250 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1cnn(C2CCCC2)c1 ZINC000631683323 1045904141 /nfs/dbraw/zinc/90/41/41/1045904141.db2.gz LIXXRTPKXNMYEE-HNNXBMFYSA-N 0 3 320.440 4.397 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(C)s2)cc1 ZINC000754659422 1045904818 /nfs/dbraw/zinc/90/48/18/1045904818.db2.gz OQCYOTVHXIDACH-KBPBESRZSA-N 0 3 316.470 4.297 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(C)c2)s1 ZINC000754660352 1045905185 /nfs/dbraw/zinc/90/51/85/1045905185.db2.gz ULWPYALJVOYTNE-GJZGRUSLSA-N 0 3 316.470 4.351 20 0 DIADHN Fc1cncc([C@@H](NCc2ccc3cccnc3c2)C2CC2)c1 ZINC000631685071 1045905283 /nfs/dbraw/zinc/90/52/83/1045905283.db2.gz WULXSLMKELKCBT-IBGZPJMESA-N 0 3 307.372 4.010 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2c(F)cccc2F)s1 ZINC000754660752 1045905801 /nfs/dbraw/zinc/90/58/01/1045905801.db2.gz FWIBRVASLIVXQJ-MNOVXSKESA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C(=O)OC(C)C)cc2)s1 ZINC000754660708 1045905883 /nfs/dbraw/zinc/90/58/83/1045905883.db2.gz FPBRVNRMNGPFJX-CQSZACIVSA-N 0 3 317.454 4.473 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2c(C)cccc2Cl)s1 ZINC000754667950 1045907211 /nfs/dbraw/zinc/90/72/11/1045907211.db2.gz CCQZBQVIJUCUCL-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cccc3c2CCOC3)c1 ZINC000631729591 1045908897 /nfs/dbraw/zinc/90/88/97/1045908897.db2.gz OXQVBTNWCKOGGF-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1ccc(F)c(Cl)c1 ZINC000631728892 1045908994 /nfs/dbraw/zinc/90/89/94/1045908994.db2.gz AHINQUIASKCNBP-GFCCVEGCSA-N 0 3 319.807 4.403 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)NCCC2=CCCCC2)s1 ZINC000754672994 1045909549 /nfs/dbraw/zinc/90/95/49/1045909549.db2.gz JYIOWFLZTMNFSY-HUUCEWRRSA-N 0 3 320.502 4.102 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2sc(C)c(C)c2C)s1 ZINC000754671104 1045910224 /nfs/dbraw/zinc/91/02/24/1045910224.db2.gz DRYBLIKHGWRZGZ-LBPRGKRZSA-N 0 3 322.499 4.333 20 0 DIADHN c1ccc2c(c1)SCC[C@@H]2NCc1cccc2c1CCOC2 ZINC000631729946 1045911008 /nfs/dbraw/zinc/91/10/08/1045911008.db2.gz LNFYCQAYAARYTQ-SFHVURJKSA-N 0 3 311.450 4.086 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1cccc2ncccc12 ZINC001137630571 1045911262 /nfs/dbraw/zinc/91/12/62/1045911262.db2.gz DQSMBYPUJWBBOJ-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1cccc2c1CCOC2 ZINC000631730017 1045911650 /nfs/dbraw/zinc/91/16/50/1045911650.db2.gz UYPTVYJWWBOZBE-FQEVSTJZSA-N 0 3 323.436 4.071 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1cnc2ccsc2c1 ZINC000631730241 1045911864 /nfs/dbraw/zinc/91/18/64/1045911864.db2.gz XSPHEGYHDOVYAC-CYBMUJFWSA-N 0 3 324.449 4.220 20 0 DIADHN Cc1nc([C@@H](C)NCC2([C@H]3CCCCO3)CCC2)c(C)s1 ZINC000631730918 1045914020 /nfs/dbraw/zinc/91/40/20/1045914020.db2.gz FFINZIDSQYDQDZ-IUODEOHRSA-N 0 3 308.491 4.150 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1C(F)(F)F)c1ccc(-c2ccncc2)cc1 ZINC000631754478 1045916039 /nfs/dbraw/zinc/91/60/39/1045916039.db2.gz IXQOERFBKNCQJA-HFBAOOFYSA-N 0 3 306.331 4.350 20 0 DIADHN C[C@H]1C[C@H](NCCc2cccc(Cl)c2Cl)c2nccn21 ZINC000453072994 1045918172 /nfs/dbraw/zinc/91/81/72/1045918172.db2.gz AGYGLOLCWCUBIA-GWCFXTLKSA-N 0 3 310.228 4.028 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H](CC)c2ccncc2)cc1 ZINC000453063847 1045924672 /nfs/dbraw/zinc/92/46/72/1045924672.db2.gz SFRSGQHPGFAVJG-SFHVURJKSA-N 0 3 311.429 4.061 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1cc(F)c(F)c(F)c1 ZINC000828687124 1045928031 /nfs/dbraw/zinc/92/80/31/1045928031.db2.gz DUIYLGGPNPMPQW-JMCQJSRRSA-N 0 3 312.162 4.338 20 0 DIADHN CC[C@@H](NCc1cccc(Cn2ccnc2)c1)c1ccsc1 ZINC000453093235 1045932309 /nfs/dbraw/zinc/93/23/09/1045932309.db2.gz TUEVDZQTQVFBPH-GOSISDBHSA-N 0 3 311.454 4.234 20 0 DIADHN c1c2ccccc2sc1CN1CCC(Oc2cccnc2)CC1 ZINC001137685194 1045933003 /nfs/dbraw/zinc/93/30/03/1045933003.db2.gz DKHRQZYKHHFJNF-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@@H](NC[C@@H](C)c1c(Cl)cccc1Cl)c1ccncn1 ZINC000453096187 1045934771 /nfs/dbraw/zinc/93/47/71/1045934771.db2.gz POLANMYKKWCPOZ-GHMZBOCLSA-N 0 3 310.228 4.238 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453100946 1045935607 /nfs/dbraw/zinc/93/56/07/1045935607.db2.gz XOHVPFAWUORWGS-HOTGVXAUSA-N 0 3 323.440 4.352 20 0 DIADHN Clc1ccc(C2(CN[C@@H]3CCn4ccnc43)CCCC2)cc1 ZINC000453104361 1045937082 /nfs/dbraw/zinc/93/70/82/1045937082.db2.gz ZIGSBWXMDXAYNP-MRXNPFEDSA-N 0 3 315.848 4.083 20 0 DIADHN CC[C@H]1CCN(Cc2csc(-c3ccc(OC)cc3)n2)C1 ZINC000531781278 1045937574 /nfs/dbraw/zinc/93/75/74/1045937574.db2.gz RUJBTYNJAZJFKR-ZDUSSCGKSA-N 0 3 302.443 4.051 20 0 DIADHN CCSCC[C@H](C)N[C@H](C)c1ncc(-c2ccccc2)n1C ZINC000453116898 1045943226 /nfs/dbraw/zinc/94/32/26/1045943226.db2.gz JUDTUNJJJRIZEQ-LSDHHAIUSA-N 0 3 317.502 4.269 20 0 DIADHN C[C@@H](NCCCC(F)(F)F)c1ncc(-c2ccccc2)n1C ZINC000453119193 1045944022 /nfs/dbraw/zinc/94/40/22/1045944022.db2.gz LXTLXNZPZIUIEF-GFCCVEGCSA-N 0 3 311.351 4.080 20 0 DIADHN CN(CCC1CCOCC1)Cc1ccc(Cl)cc1Cl ZINC000531815787 1045950811 /nfs/dbraw/zinc/95/08/11/1045950811.db2.gz UEXDXHXORYAUMM-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN Cc1ccc(CNCc2ccccc2N2CCCCC2)cc1F ZINC000755071099 1045951053 /nfs/dbraw/zinc/95/10/53/1045951053.db2.gz MJVUQYUHWRSIBJ-UHFFFAOYSA-N 0 3 312.432 4.414 20 0 DIADHN O[C@@H](CN[C@@H]1CCCc2occc21)c1cc2ccccc2s1 ZINC000192954191 1045957242 /nfs/dbraw/zinc/95/72/42/1045957242.db2.gz MFDMTGHHVLHWGW-CABCVRRESA-N 0 3 313.422 4.195 20 0 DIADHN C[C@@H]1C[C@H](NCCc2cccc(-c3ccccc3)c2)c2nccn21 ZINC000453139757 1045957957 /nfs/dbraw/zinc/95/79/57/1045957957.db2.gz JQVPQRJAKYRVDS-UZLBHIALSA-N 0 3 317.436 4.388 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@@H](O)c1ccc(F)cc1F ZINC000755195045 1045961612 /nfs/dbraw/zinc/96/16/12/1045961612.db2.gz MYYQOXHTHYRXKJ-NRJHKNTJSA-N 0 3 317.379 4.034 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000453157003 1045962006 /nfs/dbraw/zinc/96/20/06/1045962006.db2.gz XBYCAWFGPBJIMD-GFCCVEGCSA-N 0 3 323.843 4.324 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@@H](O)c1cc(F)ccc1F ZINC000755193982 1045962159 /nfs/dbraw/zinc/96/21/59/1045962159.db2.gz IKIGMXVWJALCKJ-NRJHKNTJSA-N 0 3 317.379 4.034 20 0 DIADHN c1ccc([C@H]2CCN2Cc2cccc(-c3cncnc3)c2)cc1 ZINC001137770354 1045963280 /nfs/dbraw/zinc/96/32/80/1045963280.db2.gz ICBYCTJPXPCCBU-HXUWFJFHSA-N 0 3 301.393 4.091 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H]1CC[C@H](C)c2ccsc21 ZINC000453174278 1045967171 /nfs/dbraw/zinc/96/71/71/1045967171.db2.gz KFASRHNJXXAGDH-BLLLJJGKSA-N 0 3 317.502 4.335 20 0 DIADHN Brc1ncccc1CN1CCC[C@@H]1C1CCCCC1 ZINC001137800570 1045967411 /nfs/dbraw/zinc/96/74/11/1045967411.db2.gz PXZHXJBNZTZHKN-OAHLLOKOSA-N 0 3 323.278 4.389 20 0 DIADHN COC[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1ccc(Cl)cc1 ZINC000829304893 1045969075 /nfs/dbraw/zinc/96/90/75/1045969075.db2.gz DQIXINKCOYLIMA-OLZOCXBDSA-N 0 3 322.663 4.201 20 0 DIADHN c1ccc(-c2cnc(CN[C@@H](C3CC3)C3CCCC3)nc2)cc1 ZINC000453190516 1045973237 /nfs/dbraw/zinc/97/32/37/1045973237.db2.gz CWMSRISVUOLYLP-HXUWFJFHSA-N 0 3 307.441 4.202 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CC[C@@H](C)c3ccsc32)ccn1 ZINC000453214654 1045985512 /nfs/dbraw/zinc/98/55/12/1045985512.db2.gz FBYPXNFIDWBQNX-TYNCELHUSA-N 0 3 302.443 4.441 20 0 DIADHN C=CCN1CCC(NC(=O)[C@](C)(CC)CCCCCC)CC1 ZINC000080079340 1045989247 /nfs/dbraw/zinc/98/92/47/1045989247.db2.gz RNWZGWKIIPRVGQ-LJQANCHMSA-N 0 3 308.510 4.140 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cs1 ZINC000453238347 1045998895 /nfs/dbraw/zinc/99/88/95/1045998895.db2.gz WJLZYZICYSESTG-GUYCJALGSA-N 0 3 306.450 4.149 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc([S@@](C)=O)cc2)ccc1F ZINC000453255119 1046004444 /nfs/dbraw/zinc/00/44/44/1046004444.db2.gz KNLRJNYQAOITRU-DJEJFTSGSA-N 0 3 319.445 4.283 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1ccc2ncsc2c1 ZINC000902498666 1046006133 /nfs/dbraw/zinc/00/61/33/1046006133.db2.gz HTXSWTNCAAEXEN-CYBMUJFWSA-N 0 3 311.454 4.497 20 0 DIADHN COc1ccc(Br)c(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001137905656 1046007242 /nfs/dbraw/zinc/00/72/42/1046007242.db2.gz YSPBRLPRKVRWNX-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc(Br)c(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001137905655 1046007564 /nfs/dbraw/zinc/00/75/64/1046007564.db2.gz YSPBRLPRKVRWNX-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-c2ccccc2)o1)[C@@H](O)C(F)F ZINC000453268025 1046010485 /nfs/dbraw/zinc/01/04/85/1046010485.db2.gz JMDXDFWTJXRGPB-DETPVDSQSA-N 0 3 309.356 4.002 20 0 DIADHN CC[C@H](N[C@H](C)c1cc2cc(C)c(C)cc2o1)[C@H](O)C(F)F ZINC000453276372 1046012447 /nfs/dbraw/zinc/01/24/47/1046012447.db2.gz YWCYNNIMPFBAJV-FFSVYQOJSA-N 0 3 311.372 4.105 20 0 DIADHN CC[C@@H](NCc1ccnc(C(F)(F)F)c1)c1cccs1 ZINC000453282730 1046014628 /nfs/dbraw/zinc/01/46/28/1046014628.db2.gz IWGHTBPRVDECML-LLVKDONJSA-N 0 3 300.349 4.403 20 0 DIADHN CO[C@@H]1CCCN(Cc2cc3cc(C)ccc3nc2Cl)CC1 ZINC001137922640 1046015187 /nfs/dbraw/zinc/01/51/87/1046015187.db2.gz FRRCZNZFKHMYFV-MRXNPFEDSA-N 0 3 318.848 4.198 20 0 DIADHN Cc1cccc([C@H](NCC2=CCOCC2)c2cccc(F)c2)c1 ZINC000453289107 1046015729 /nfs/dbraw/zinc/01/57/29/1046015729.db2.gz MFQCOWCUZKMMAK-FQEVSTJZSA-N 0 3 311.400 4.160 20 0 DIADHN C[C@H](NCC1=CCOCC1)c1ccccc1OCc1ccccc1 ZINC000453287364 1046015861 /nfs/dbraw/zinc/01/58/61/1046015861.db2.gz LAFRHFXZBHDVFC-KRWDZBQOSA-N 0 3 323.436 4.263 20 0 DIADHN Cc1ccc(CN(CC2CC2)C[C@]2(C)CC2(Cl)Cl)cn1 ZINC000829865810 1046019375 /nfs/dbraw/zinc/01/93/75/1046019375.db2.gz RBWAMHSDCYUPJP-HNNXBMFYSA-N 0 3 313.272 4.186 20 0 DIADHN COC[C@@H]1CCCCN1Cc1ccc(SC(F)(F)F)cc1 ZINC001137952921 1046029482 /nfs/dbraw/zinc/02/94/82/1046029482.db2.gz FJXWFDNSYZONAM-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN CN(Cc1nnc(-c2cccc(F)c2)o1)C1CCC(C)(C)CC1 ZINC000756030593 1046040245 /nfs/dbraw/zinc/04/02/45/1046040245.db2.gz YSHUULRAJZVPIG-UHFFFAOYSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](O)c3ccc(F)cc3)oc2c1 ZINC000756033080 1046040361 /nfs/dbraw/zinc/04/03/61/1046040361.db2.gz TZIFHCHXXSXNNO-GUYCJALGSA-N 0 3 313.372 4.265 20 0 DIADHN CC[C@@](C)(NCc1cnc([C@@H]2CCCO2)s1)c1ccccc1 ZINC000756025506 1046040826 /nfs/dbraw/zinc/04/08/26/1046040826.db2.gz KBNQSJLTRREHOU-FUHWJXTLSA-N 0 3 316.470 4.410 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC001137976208 1046043036 /nfs/dbraw/zinc/04/30/36/1046043036.db2.gz HRCIEPOVQXVLLL-INIZCTEOSA-N 0 3 318.848 4.279 20 0 DIADHN Fc1cccc(-n2cccn2)c1CN[C@H]1CCCc2ccccc21 ZINC000453339929 1046044795 /nfs/dbraw/zinc/04/47/95/1046044795.db2.gz CYITWAKHZLZAQQ-IBGZPJMESA-N 0 3 321.399 4.179 20 0 DIADHN C[C@H](c1cccc(C(F)(F)F)c1)N1CCC[C@]2(CCOC2)C1 ZINC000453343948 1046047005 /nfs/dbraw/zinc/04/70/05/1046047005.db2.gz DPZTZEUZJHWUIC-CJNGLKHVSA-N 0 3 313.363 4.269 20 0 DIADHN CC(=O)Oc1ccc(CN[C@@H](C)c2cccc(C3CC3)c2)cc1 ZINC000830195103 1046047768 /nfs/dbraw/zinc/04/77/68/1046047768.db2.gz LYZPATJUSKCIRJ-AWEZNQCLSA-N 0 3 309.409 4.340 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccc(F)c(F)c2)C(C)C)cn1 ZINC000453349768 1046051159 /nfs/dbraw/zinc/05/11/59/1046051159.db2.gz HNOIQBSICUBIBF-SFHVURJKSA-N 0 3 304.384 4.464 20 0 DIADHN CC[C@H](O)[C@H](CC)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000453348376 1046050903 /nfs/dbraw/zinc/05/09/03/1046050903.db2.gz GFSNUXORUWCRCB-NOLJZWGESA-N 0 3 304.459 4.010 20 0 DIADHN Cc1cc(CN(Cc2ccc(F)cc2)C2CCOCC2)c(C)o1 ZINC000756137244 1046054476 /nfs/dbraw/zinc/05/44/76/1046054476.db2.gz JZCMEHFWMATCNG-UHFFFAOYSA-N 0 3 317.404 4.217 20 0 DIADHN CC(=O)Oc1cccc(CN2CCC[C@H]2c2ccc(F)cc2)c1 ZINC001138037255 1046068205 /nfs/dbraw/zinc/06/82/05/1046068205.db2.gz PLCVVZXJADUCLP-IBGZPJMESA-N 0 3 313.372 4.088 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H]1CCCN(C)c2ccccc21 ZINC000453366718 1046068555 /nfs/dbraw/zinc/06/85/55/1046068555.db2.gz MJQKGFSGNJXABP-QAPCUYQASA-N 0 3 310.441 4.317 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H]1COc2ccccc2C1)c1nc(C)cs1 ZINC000902796683 1046071624 /nfs/dbraw/zinc/07/16/24/1046071624.db2.gz SYHRYCSMYYCRTR-IMJJTQAJSA-N 0 3 316.470 4.132 20 0 DIADHN Cc1ncsc1CN[C@@H](CCc1cccnc1)c1ccccc1 ZINC000902793288 1046072164 /nfs/dbraw/zinc/07/21/64/1046072164.db2.gz GXCATJKPYYPWFE-SFHVURJKSA-N 0 3 323.465 4.310 20 0 DIADHN CO[C@@H]([C@H](C)N[C@@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000453378483 1046076140 /nfs/dbraw/zinc/07/61/40/1046076140.db2.gz QSYJTHURQNQXGW-DCGLDWPTSA-N 0 3 316.470 4.274 20 0 DIADHN C[C@@H]1CCN1Cc1cnccc1-c1cccc(Br)c1 ZINC001138071134 1046082105 /nfs/dbraw/zinc/08/21/05/1046082105.db2.gz WXBZUCCVAAEXER-GFCCVEGCSA-N 0 3 317.230 4.105 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](C)O1)c1ccc(Oc2ccccc2)cn1 ZINC000453416561 1046083007 /nfs/dbraw/zinc/08/30/07/1046083007.db2.gz UUKYNGSSZXVOJU-BFYDXBDKSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@H]1C(=O)CCN(Cc2ccc(O)c3ccccc23)C12CCCC2 ZINC001138064018 1046083191 /nfs/dbraw/zinc/08/31/91/1046083191.db2.gz QZIAQZQYQZPOEC-HNNXBMFYSA-N 0 3 323.436 4.269 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCOC(C)(C)C2)c2ccccn2)c1 ZINC000634681275 1046083412 /nfs/dbraw/zinc/08/34/12/1046083412.db2.gz MDCVZDICNAZBPQ-HKUYNNGSSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCOC(C)(C)C2)c2ccccn2)cc1 ZINC000634682784 1046085540 /nfs/dbraw/zinc/08/55/40/1046085540.db2.gz AZEWVPOTEBICOH-MJGOQNOKSA-N 0 3 310.441 4.027 20 0 DIADHN CCOc1cccc(CN2CCC[C@H]2c2cccc(OC)c2)c1 ZINC001138101066 1046089624 /nfs/dbraw/zinc/08/96/24/1046089624.db2.gz IGNLZXGWKYUYEP-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN CCOc1cccc(CN2CC[C@@H](Oc3ccccc3C)C2)c1 ZINC001138100590 1046090963 /nfs/dbraw/zinc/09/09/63/1046090963.db2.gz ZVOHPAGTJQRDDK-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)cn1 ZINC000453597061 1046092005 /nfs/dbraw/zinc/09/20/05/1046092005.db2.gz BFNPDGQLQCXYBZ-FQEVSTJZSA-N 0 3 324.468 4.028 20 0 DIADHN c1sc(-c2ccccn2)nc1CNCC1(C2CCC2)CCC1 ZINC000453574205 1046093266 /nfs/dbraw/zinc/09/32/66/1046093266.db2.gz BREDIHDBFXKMOV-UHFFFAOYSA-N 0 3 313.470 4.265 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3ncccc3c1)CCS2 ZINC001138112668 1046100003 /nfs/dbraw/zinc/10/00/03/1046100003.db2.gz XEAHVUQYZHQSTN-UHFFFAOYSA-N 0 3 306.434 4.343 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1ccc(C(F)(F)F)cn1 ZINC000902882748 1046100122 /nfs/dbraw/zinc/10/01/22/1046100122.db2.gz WIZWMIXSKGLAJN-KOLCDFICSA-N 0 3 308.294 4.329 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(C(F)(F)F)cn1 ZINC000902882749 1046100184 /nfs/dbraw/zinc/10/01/84/1046100184.db2.gz WIZWMIXSKGLAJN-MWLCHTKSSA-N 0 3 308.294 4.329 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)c2ccsc2)C2CCCC2)o1 ZINC000756595511 1046106415 /nfs/dbraw/zinc/10/64/15/1046106415.db2.gz WFNPBDNTPZZDAQ-WBVHZDCISA-N 0 3 305.443 4.204 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2COC(C)C)cc1 ZINC000532205301 1046108468 /nfs/dbraw/zinc/10/84/68/1046108468.db2.gz CRPFHZYHZJKZGU-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2COC(C)C)cc1 ZINC000532205299 1046108906 /nfs/dbraw/zinc/10/89/06/1046108906.db2.gz CRPFHZYHZJKZGU-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2c3ccccc3C[C@H]2C)c1 ZINC000532192572 1046109536 /nfs/dbraw/zinc/10/95/36/1046109536.db2.gz ARFZJBSJXWNZOG-JLTOFOAXSA-N 0 3 308.425 4.058 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000532193938 1046111002 /nfs/dbraw/zinc/11/10/02/1046111002.db2.gz HXUPYZWGSFAAEP-VXGBXAGGSA-N 0 3 316.426 4.069 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1csc(C)n1 ZINC000532197792 1046112585 /nfs/dbraw/zinc/11/25/85/1046112585.db2.gz OHXHDXYOWXZZQD-LLVKDONJSA-N 0 3 324.877 4.397 20 0 DIADHN CC[C@H](N[C@H](c1nccn1C)c1ccccc1)C1CCCCC1 ZINC000532197741 1046113115 /nfs/dbraw/zinc/11/31/15/1046113115.db2.gz QHPFQZUJBDNIPI-OALUTQOASA-N 0 3 311.473 4.458 20 0 DIADHN C[C@H](N[C@@H](c1ccc(F)cc1)[C@H]1CCCO1)c1cccs1 ZINC000532218612 1046116770 /nfs/dbraw/zinc/11/67/70/1046116770.db2.gz NEGHKGSVXDMEOA-MJEQTWJJSA-N 0 3 305.418 4.458 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1ccccc1O ZINC000532217152 1046117305 /nfs/dbraw/zinc/11/73/05/1046117305.db2.gz SDUPZHVJKABKNQ-CYBMUJFWSA-N 0 3 319.832 4.338 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2C)cc1 ZINC000532249882 1046121306 /nfs/dbraw/zinc/12/13/06/1046121306.db2.gz TWXPYJCTVABSMC-UZLBHIALSA-N 0 3 313.441 4.168 20 0 DIADHN CCc1cc(CN[C@H](C)[C@@H](C)c2nc3ccccc3s2)on1 ZINC000532234675 1046123732 /nfs/dbraw/zinc/12/37/32/1046123732.db2.gz KJCZOGMMQIHZMB-VXGBXAGGSA-N 0 3 315.442 4.129 20 0 DIADHN C[C@H](NCc1cccs1)c1cc(Br)cs1 ZINC000193946367 1046136411 /nfs/dbraw/zinc/13/64/11/1046136411.db2.gz VIJOORAGWINBTC-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN Fc1ccccc1CN(Cc1ccccc1)C[C@H]1CCCOC1 ZINC000532276178 1046128158 /nfs/dbraw/zinc/12/81/58/1046128158.db2.gz FKMHZGSIZHMADG-GOSISDBHSA-N 0 3 313.416 4.255 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(Cl)c1CNCC(C)(C)C ZINC000195653634 1046129879 /nfs/dbraw/zinc/12/98/79/1046129879.db2.gz IISKGULLMPTVBF-UHFFFAOYSA-N 0 3 309.816 4.109 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc(C)c(Cl)c2)c1 ZINC000193731086 1046133623 /nfs/dbraw/zinc/13/36/23/1046133623.db2.gz GURABKSGTWYIOK-ZDUSSCGKSA-N 0 3 316.832 4.458 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2COC3(CCCCC3)O2)C2CCC2)o1 ZINC000756791094 1046135341 /nfs/dbraw/zinc/13/53/41/1046135341.db2.gz QMDIVKXIISGCCK-WMZOPIPTSA-N 0 3 319.445 4.095 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCC3(CC[C@@H](F)C3)CC2)n1 ZINC001138283889 1046137882 /nfs/dbraw/zinc/13/78/82/1046137882.db2.gz OOUGSPLGQCBNLO-OAHLLOKOSA-N 0 3 320.452 4.363 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CCC2(COC2)CC1 ZINC001138310738 1046146302 /nfs/dbraw/zinc/14/63/02/1046146302.db2.gz ONBYLBJKESZANU-UHFFFAOYSA-N 0 3 312.457 4.078 20 0 DIADHN CCSC1(CN[C@@H](C)c2ccc(C(F)(F)F)cn2)CC1 ZINC000903054977 1046148562 /nfs/dbraw/zinc/14/85/62/1046148562.db2.gz VHAMDBSJBFGUGE-JTQLQIEISA-N 0 3 304.381 4.037 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CC[C@@H]2OCC[C@H]2C1 ZINC001138315149 1046149027 /nfs/dbraw/zinc/14/90/27/1046149027.db2.gz VWEPCZOUDPTIHD-KSSFIOAISA-N 0 3 312.457 4.076 20 0 DIADHN Fc1ccccc1[C@@H](NCc1cccs1)C1CCOCC1 ZINC000903057965 1046150230 /nfs/dbraw/zinc/15/02/30/1046150230.db2.gz MCGTYSPHHGFESJ-KRWDZBQOSA-N 0 3 305.418 4.145 20 0 DIADHN Fc1ccc(CN[C@@H](c2ccccc2F)C2CCOCC2)cc1 ZINC000903056025 1046150249 /nfs/dbraw/zinc/15/02/49/1046150249.db2.gz PKWFNUKUEPGNQK-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN CC(C)=CCN(Cc1ccccc1)C[C@@H](O)c1ccsc1 ZINC000756905538 1046150578 /nfs/dbraw/zinc/15/05/78/1046150578.db2.gz ZVPBJALYHUKDKR-GOSISDBHSA-N 0 3 301.455 4.250 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2ccc(F)c(C)c2)c1 ZINC000903057613 1046150902 /nfs/dbraw/zinc/15/09/02/1046150902.db2.gz DRCUYLKHCZOVNR-AWEZNQCLSA-N 0 3 315.388 4.048 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2F)C2CCOCC2)cc1 ZINC000903059493 1046151339 /nfs/dbraw/zinc/15/13/39/1046151339.db2.gz YPHVPBOSTRNLAF-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN CC[C@@H]1CCN(Cc2c[nH]c3ccc(Br)cc23)C1 ZINC001138323394 1046151714 /nfs/dbraw/zinc/15/17/14/1046151714.db2.gz UGXORVLAFWZLGV-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN Brc1ccc2[nH]cc(CN3CC4CCC3CC4)c2c1 ZINC001138320045 1046151815 /nfs/dbraw/zinc/15/18/15/1046151815.db2.gz ONBBJYNALLVCSA-UHFFFAOYSA-N 0 3 319.246 4.305 20 0 DIADHN CCSc1ccc([C@@H](C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC000756926521 1046152414 /nfs/dbraw/zinc/15/24/14/1046152414.db2.gz SVJGBAQSSYIXRT-GFCCVEGCSA-N 0 3 305.418 4.494 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1cnn(CC2CCC2)c1 ZINC000903062445 1046152936 /nfs/dbraw/zinc/15/29/36/1046152936.db2.gz RTWHPTPRQGGPDO-MGPUTAFESA-N 0 3 309.457 4.485 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2F)C2CCOCC2)s1 ZINC000903072475 1046155535 /nfs/dbraw/zinc/15/55/35/1046155535.db2.gz JTCCNWVZAHIFIR-GOSISDBHSA-N 0 3 319.445 4.453 20 0 DIADHN CCc1cccc2c(CN3CCc4c(cccc4OC)C3)c[nH]c21 ZINC001138363484 1046157764 /nfs/dbraw/zinc/15/77/64/1046157764.db2.gz JYFDMYLBUORMOT-UHFFFAOYSA-N 0 3 320.436 4.297 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1cc(F)cc(F)c1F ZINC001168152001 1046162507 /nfs/dbraw/zinc/16/25/07/1046162507.db2.gz CRXNNOHJXSTJQZ-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001138396605 1046163993 /nfs/dbraw/zinc/16/39/93/1046163993.db2.gz VABDQYJPMDGABA-OAHLLOKOSA-N 0 3 322.330 4.143 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001138396605 1046163998 /nfs/dbraw/zinc/16/39/98/1046163998.db2.gz VABDQYJPMDGABA-OAHLLOKOSA-N 0 3 322.330 4.143 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H]1CCc2cccc3cccc1c32 ZINC000903108108 1046165203 /nfs/dbraw/zinc/16/52/03/1046165203.db2.gz MRFNAVFHFQPVOG-IFXJQAMLSA-N 0 3 303.409 4.276 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H]1CCc2cccc3cccc1c32 ZINC000903108109 1046165505 /nfs/dbraw/zinc/16/55/05/1046165505.db2.gz MRFNAVFHFQPVOG-KUHUBIRLSA-N 0 3 303.409 4.276 20 0 DIADHN CN(Cc1ncccc1NC(=O)OC(C)(C)C)C1CCCCC1 ZINC001138399827 1046165604 /nfs/dbraw/zinc/16/56/04/1046165604.db2.gz DCMYRUGDWSZDIJ-UHFFFAOYSA-N 0 3 319.449 4.193 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001138404059 1046166721 /nfs/dbraw/zinc/16/67/21/1046166721.db2.gz XXBSKQNTMGGKHX-QGZVFWFLSA-N 0 3 306.409 4.130 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2nc3cc(F)ccc3o2)CCO1 ZINC000634974659 1046166749 /nfs/dbraw/zinc/16/67/49/1046166749.db2.gz HOEVNKFCYBHUCS-RHSMWYFYSA-N 0 3 320.408 4.040 20 0 DIADHN C[C@@H]1CCCN(Cc2ncccc2NC(=O)OC(C)(C)C)[C@H]1C ZINC001138404639 1046167065 /nfs/dbraw/zinc/16/70/65/1046167065.db2.gz DKZVHTYVNVHXIT-KGLIPLIRSA-N 0 3 319.449 4.049 20 0 DIADHN O[C@H](CN[C@@H](c1ccccn1)C1CC1)c1cc2ccccc2s1 ZINC000903110530 1046167880 /nfs/dbraw/zinc/16/78/80/1046167880.db2.gz OYZOCABMEUNVBQ-VQIMIIECSA-N 0 3 324.449 4.071 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2coc3ccccc23)c1 ZINC000903121159 1046168241 /nfs/dbraw/zinc/16/82/41/1046168241.db2.gz RSPLARCMCULHJN-CQSZACIVSA-N 0 3 323.392 4.347 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccn1)C1CC1)c1ccccc1Cl ZINC000903126976 1046170136 /nfs/dbraw/zinc/17/01/36/1046170136.db2.gz VKTMEXRTKYFALP-ROUUACIJSA-N 0 3 316.832 4.163 20 0 DIADHN Cc1nc([C@H](C)NCC[C@@H]2CNc3ccccc32)c(C)s1 ZINC000635019656 1046174009 /nfs/dbraw/zinc/17/40/09/1046174009.db2.gz JCSMFOLZLJOGJQ-SMDDNHRTSA-N 0 3 301.459 4.010 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](Oc4cccc(F)c4)C3)cc2c1 ZINC001138421049 1046175519 /nfs/dbraw/zinc/17/55/19/1046175519.db2.gz XQSLLBGHXKBUPT-LJQANCHMSA-N 0 3 324.399 4.269 20 0 DIADHN CCOc1ccc(CN2CCC[C@H](C(F)(F)F)C2)c(C)c1 ZINC001138433834 1046179329 /nfs/dbraw/zinc/17/93/29/1046179329.db2.gz AQCDCDGGMUDOKA-AWEZNQCLSA-N 0 3 301.352 4.168 20 0 DIADHN COc1c(C)cc(CN2CC[C@H](C(=O)c3ccccc3)C2)cc1C ZINC001138429846 1046180104 /nfs/dbraw/zinc/18/01/04/1046180104.db2.gz NIHSVUXRJLBQGW-IBGZPJMESA-N 0 3 323.436 4.017 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cc(OC)c(OC)cc1C ZINC001138439558 1046182586 /nfs/dbraw/zinc/18/25/86/1046182586.db2.gz SWLOMXXNQQBUGO-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)N[C@@H](C)c2ccsc2)c1 ZINC000903167535 1046182859 /nfs/dbraw/zinc/18/28/59/1046182859.db2.gz ZXXLDVCKGOFRAP-STQMWFEESA-N 0 3 303.427 4.223 20 0 DIADHN CC[C@H](N[C@H]1CCc2cccc(Cl)c2C1)c1nccs1 ZINC000666091182 1046184091 /nfs/dbraw/zinc/18/40/91/1046184091.db2.gz XCGPIAVLLIIGOT-WFASDCNBSA-N 0 3 306.862 4.395 20 0 DIADHN Cc1ncc(CCNCc2ccc(Oc3ccccc3)o2)s1 ZINC000779983318 1046184954 /nfs/dbraw/zinc/18/49/54/1046184954.db2.gz KVSYVYHMLKKNLX-UHFFFAOYSA-N 0 3 314.410 4.169 20 0 DIADHN C[C@@H](N[C@H]1CC2(CCC2)Oc2ccccc21)c1cccc(CO)c1 ZINC000760166383 1046185592 /nfs/dbraw/zinc/18/55/92/1046185592.db2.gz FEMNYWUUHYMCOF-BEFAXECRSA-N 0 3 323.436 4.276 20 0 DIADHN C[C@@](O)(CNCc1ccc(Oc2ccccc2)o1)c1ccccc1 ZINC000779979962 1046185732 /nfs/dbraw/zinc/18/57/32/1046185732.db2.gz XALMTBMSDCYOEQ-HXUWFJFHSA-N 0 3 323.392 4.069 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000475728586 1046186013 /nfs/dbraw/zinc/18/60/13/1046186013.db2.gz LGNJAWSFZMRBKH-OAGGEKHMSA-N 0 3 311.429 4.135 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000475728048 1046186378 /nfs/dbraw/zinc/18/63/78/1046186378.db2.gz JHYNKUCPOMZIQK-UPJWGTAASA-N 0 3 319.836 4.256 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000475726563 1046186843 /nfs/dbraw/zinc/18/68/43/1046186843.db2.gz KTRPWMUCRGHDFX-PMPSAXMXSA-N 0 3 302.462 4.115 20 0 DIADHN C[C@H](C[C@H]1CCOC1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000666097670 1046188081 /nfs/dbraw/zinc/18/80/81/1046188081.db2.gz KJKTXWUAKGJTAX-QLFBSQMISA-N 0 3 316.470 4.276 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@@]2(CCCOC2)C1 ZINC000475733458 1046188895 /nfs/dbraw/zinc/18/88/95/1046188895.db2.gz KRXIUCDBCRCVTL-SUMWQHHRSA-N 0 3 311.828 4.433 20 0 DIADHN Cc1c2ccccc2sc1CN(CCO)Cc1cccs1 ZINC001138460186 1046190213 /nfs/dbraw/zinc/19/02/13/1046190213.db2.gz FXKYPPMJIXQSCP-UHFFFAOYSA-N 0 3 317.479 4.266 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000475738788 1046191213 /nfs/dbraw/zinc/19/12/13/1046191213.db2.gz KUZMTKVRXKREOB-DJIMGWMZSA-N 0 3 316.489 4.423 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1cc2ccccc2s1 ZINC000903198297 1046191621 /nfs/dbraw/zinc/19/16/21/1046191621.db2.gz IMNOMJZDTDRFPB-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1cc2ccccc2s1 ZINC000903198296 1046191760 /nfs/dbraw/zinc/19/17/60/1046191760.db2.gz IMNOMJZDTDRFPB-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1ccc(Cl)c(Cl)c1 ZINC000903202658 1046192058 /nfs/dbraw/zinc/19/20/58/1046192058.db2.gz HGOWDDLBXYUYRS-LLVKDONJSA-N 0 3 324.255 4.305 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1cc(N(C)C)ccn1 ZINC000903201616 1046192314 /nfs/dbraw/zinc/19/23/14/1046192314.db2.gz KXJSRQBPFAZECD-CQSZACIVSA-N 0 3 315.486 4.110 20 0 DIADHN CN(C)c1ccnc(CN[C@H](c2cccs2)C2CCCC2)c1 ZINC000903201715 1046192404 /nfs/dbraw/zinc/19/24/04/1046192404.db2.gz LPUWUKVCLNGUMB-SFHVURJKSA-N 0 3 315.486 4.230 20 0 DIADHN Cn1cnc2cc(CN[C@@H]3CCc4c3cccc4Cl)ccc21 ZINC000903206742 1046193715 /nfs/dbraw/zinc/19/37/15/1046193715.db2.gz KWRRBUZVORABKK-MRXNPFEDSA-N 0 3 311.816 4.004 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CC/C=C/c1cccnc1 ZINC001138483107 1046197588 /nfs/dbraw/zinc/19/75/88/1046197588.db2.gz ZLAVUSRIAFQNGQ-ZZXKWVIFSA-N 0 3 316.832 4.279 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1ccc(N(C)C)s1 ZINC001138492843 1046198640 /nfs/dbraw/zinc/19/86/40/1046198640.db2.gz DQZOQXZUVQPFJU-CYBMUJFWSA-N 0 3 304.459 4.016 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000757661379 1046199037 /nfs/dbraw/zinc/19/90/37/1046199037.db2.gz KTBBRMNAMFSXTK-QGZVFWFLSA-N 0 3 316.470 4.278 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc(N(C)C)s1 ZINC001138492847 1046199662 /nfs/dbraw/zinc/19/96/62/1046199662.db2.gz DQZOQXZUVQPFJU-ZDUSSCGKSA-N 0 3 304.459 4.016 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1sccc1Cl ZINC000487537374 1046199770 /nfs/dbraw/zinc/19/97/70/1046199770.db2.gz LDPNRXXGJJVRCR-BMIGLBTASA-N 0 3 313.825 4.406 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000757661375 1046199855 /nfs/dbraw/zinc/19/98/55/1046199855.db2.gz KTBBRMNAMFSXTK-KRWDZBQOSA-N 0 3 316.470 4.278 20 0 DIADHN CCN(Cc1ccc(N(C)C)s1)Cc1cccc(Cl)c1 ZINC001138492772 1046200101 /nfs/dbraw/zinc/20/01/01/1046200101.db2.gz JWWJESUFROLJSE-UHFFFAOYSA-N 0 3 308.878 4.490 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC[C@H]2CCC[C@@H]21 ZINC001138502098 1046201084 /nfs/dbraw/zinc/20/10/84/1046201084.db2.gz IUNPSOWUQLGTJF-CTNGQTDRSA-N 0 3 323.484 4.313 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C3CCC3)c2)cc1C ZINC000903235304 1046202845 /nfs/dbraw/zinc/20/28/45/1046202845.db2.gz XREKQMXSLCKXSO-HUUCEWRRSA-N 0 3 313.445 4.337 20 0 DIADHN Cc1ccc([C@@H](NCc2c[nH]c(=O)c3ccccc23)C2CCC2)o1 ZINC000903234258 1046203123 /nfs/dbraw/zinc/20/31/23/1046203123.db2.gz IVJXHHVGOZHHKW-IBGZPJMESA-N 0 3 322.408 4.473 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC2CCC(CC2)C1 ZINC001138504225 1046203504 /nfs/dbraw/zinc/20/35/04/1046203504.db2.gz CJMOBXSAPZEJDR-UHFFFAOYSA-N 0 3 323.484 4.170 20 0 DIADHN C[C@H](NCc1cnn(CC2CCC2)c1)c1cc2c(s1)CCC2 ZINC000903239228 1046203911 /nfs/dbraw/zinc/20/39/11/1046203911.db2.gz BNLZGGCPEPJLFX-ZDUSSCGKSA-N 0 3 315.486 4.084 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccnc(Cl)c1)c1ccc2c(c1)CCO2 ZINC000903238701 1046204345 /nfs/dbraw/zinc/20/43/45/1046204345.db2.gz STQJDBBJDRXVHT-NEPJUHHUSA-N 0 3 302.805 4.082 20 0 DIADHN C[C@H](NCc1cnn(CC2CCC2)c1)c1cc2ccccc2[nH]1 ZINC000903240582 1046204933 /nfs/dbraw/zinc/20/49/33/1046204933.db2.gz UYERWCYTDPCTHW-AWEZNQCLSA-N 0 3 308.429 4.015 20 0 DIADHN C[C@@H]1CCN1Cc1c[nH]c2ccc(OCc3ccccc3)cc12 ZINC001138514370 1046207694 /nfs/dbraw/zinc/20/76/94/1046207694.db2.gz RTZHIJQQQVEVNA-OAHLLOKOSA-N 0 3 306.409 4.341 20 0 DIADHN CC(C)(NC[C@H](O)c1ccc(Cl)cc1)c1ccccc1F ZINC000795232782 1046209629 /nfs/dbraw/zinc/20/96/29/1046209629.db2.gz KJLOXXKOZAODNR-INIZCTEOSA-N 0 3 307.796 4.037 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2cnn(CCF)c2)cc1 ZINC000903275252 1046217723 /nfs/dbraw/zinc/21/77/23/1046217723.db2.gz YKFRPNFJSQSXAC-LSDHHAIUSA-N 0 3 303.425 4.217 20 0 DIADHN Cc1ccc([C@H](NCc2cnn(CCF)c2)C2CCCC2)cc1 ZINC000903274768 1046218073 /nfs/dbraw/zinc/21/80/73/1046218073.db2.gz SGDHTYKGNHOFNG-LJQANCHMSA-N 0 3 315.436 4.182 20 0 DIADHN CCC[C@H]1CCCCN1Cc1cccnc1NC(=O)C(C)(C)C ZINC001138541171 1046218086 /nfs/dbraw/zinc/21/80/86/1046218086.db2.gz BLTXIYBIMZIPGL-INIZCTEOSA-N 0 3 317.477 4.221 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1noc2ccccc12 ZINC001168163715 1046218651 /nfs/dbraw/zinc/21/86/51/1046218651.db2.gz BJLUJJBRZWFGHA-GFCCVEGCSA-N 0 3 300.789 4.202 20 0 DIADHN C[C@@H](N[C@@H]1CCCC2(CC2)C1)c1nc(Br)cs1 ZINC000903280621 1046220473 /nfs/dbraw/zinc/22/04/73/1046220473.db2.gz WHRBMVRURNHQGN-NXEZZACHSA-N 0 3 315.280 4.279 20 0 DIADHN C[C@H](N[C@H]1CCCC2(CC2)C1)c1nc(Br)cs1 ZINC000903280622 1046220434 /nfs/dbraw/zinc/22/04/34/1046220434.db2.gz WHRBMVRURNHQGN-UWVGGRQHSA-N 0 3 315.280 4.279 20 0 DIADHN C[C@H](N[C@@H]1CCCC2(CC2)C1)c1ncc(Br)s1 ZINC000903281034 1046220665 /nfs/dbraw/zinc/22/06/65/1046220665.db2.gz YCMAOBLQEPQECQ-VHSXEESVSA-N 0 3 315.280 4.279 20 0 DIADHN CC1(C)C[C@H](N[C@@H](c2ccccc2)c2ccccn2)CCS1 ZINC000903283494 1046221298 /nfs/dbraw/zinc/22/12/98/1046221298.db2.gz KJACOXAJIOXSDT-AEFFLSMTSA-N 0 3 312.482 4.435 20 0 DIADHN CC1(C)C[C@@H](N[C@H](c2ccccc2)c2ccccn2)CCS1 ZINC000903283496 1046222240 /nfs/dbraw/zinc/22/22/40/1046222240.db2.gz KJACOXAJIOXSDT-FUHWJXTLSA-N 0 3 312.482 4.435 20 0 DIADHN O[C@H](CCN(Cc1ccccc1F)C1CCC1)c1ccccc1 ZINC001168164616 1046222891 /nfs/dbraw/zinc/22/28/91/1046222891.db2.gz RFNTXYKYPQQMMW-HXUWFJFHSA-N 0 3 313.416 4.304 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@H](C)c1cncs1 ZINC000903305593 1046230869 /nfs/dbraw/zinc/23/08/69/1046230869.db2.gz OBAUFTDEKLTMRE-HWWQOWPSSA-N 0 3 302.443 4.126 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@H](C)c1cncs1 ZINC000903305596 1046231780 /nfs/dbraw/zinc/23/17/80/1046231780.db2.gz OBAUFTDEKLTMRE-OZVIIMIRSA-N 0 3 302.443 4.126 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC2(CCc3ccccc32)CC1 ZINC001138577283 1046232581 /nfs/dbraw/zinc/23/25/81/1046232581.db2.gz WJCYFDAWRQMIMP-UHFFFAOYSA-N 0 3 323.484 4.187 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1cc2ccccc2o1 ZINC000903306892 1046233368 /nfs/dbraw/zinc/23/33/68/1046233368.db2.gz CSYZLTAKLPMQJS-HNNXBMFYSA-N 0 3 309.409 4.393 20 0 DIADHN COc1ccc2c(CN3CCC4(CC(F)(F)C4)CC3)c[nH]c2c1 ZINC001138585354 1046233251 /nfs/dbraw/zinc/23/32/51/1046233251.db2.gz MUZXHHZDWUHABD-UHFFFAOYSA-N 0 3 320.383 4.188 20 0 DIADHN CN(Cc1c[nH]nc1C(C)(C)C)Cc1cccc2ccccc21 ZINC001138579931 1046233715 /nfs/dbraw/zinc/23/37/15/1046233715.db2.gz ZMKQPKSDVCJXJY-UHFFFAOYSA-N 0 3 307.441 4.492 20 0 DIADHN COc1ccc2c(CN3CCC[C@@H]3c3ccc(C)nc3)c[nH]c2c1 ZINC001138590281 1046236527 /nfs/dbraw/zinc/23/65/27/1046236527.db2.gz PFMNDHILXUVNFQ-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2c(CN3CCc4ccc(C)cc4C3)c[nH]c2c1 ZINC001138588858 1046236781 /nfs/dbraw/zinc/23/67/81/1046236781.db2.gz YTQIIKVMXVIQLE-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1ccc2c(CN3CCC4(CC[C@@H](F)C4)CC3)c[nH]c2c1 ZINC001138590183 1046237054 /nfs/dbraw/zinc/23/70/54/1046237054.db2.gz OFDRXZKNEGWPLG-OAHLLOKOSA-N 0 3 316.420 4.281 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccccc2N2CCCCC2)C1 ZINC001138605345 1046237895 /nfs/dbraw/zinc/23/78/95/1046237895.db2.gz FWCXCHZRMCSIGJ-INIZCTEOSA-N 0 3 308.416 4.154 20 0 DIADHN c1ccc(N2CCCCC2)c(CN2CCc3ccccc3C2)c1 ZINC001138603881 1046238106 /nfs/dbraw/zinc/23/81/06/1046238106.db2.gz RUVSSXLYJMLKOG-UHFFFAOYSA-N 0 3 306.453 4.235 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](N[C@@H](c2ncccn2)C2CC2)C1 ZINC000903326949 1046238590 /nfs/dbraw/zinc/23/85/90/1046238590.db2.gz NYSIWOUVDSDJFG-NSHGMRRFSA-N 0 3 307.441 4.028 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccccc2N2CCCCC2)C1 ZINC001138604988 1046238918 /nfs/dbraw/zinc/23/89/18/1046238918.db2.gz ASJMYGUQBOGAEP-HNNXBMFYSA-N 0 3 312.379 4.061 20 0 DIADHN C[C@@H](CCc1c[nH]c2ccccc12)N[C@@H](c1ncccn1)C1CC1 ZINC000903324885 1046239499 /nfs/dbraw/zinc/23/94/99/1046239499.db2.gz SGNGVODVDYYHFB-IFXJQAMLSA-N 0 3 320.440 4.020 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccccc1N1CCCC1 ZINC001138614438 1046242630 /nfs/dbraw/zinc/24/26/30/1046242630.db2.gz XXQOSFOGFCHPML-UHFFFAOYSA-N 0 3 324.468 4.318 20 0 DIADHN CSC(C)(C)C[C@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC001258335848 1046244811 /nfs/dbraw/zinc/24/48/11/1046244811.db2.gz QUHFPMHMQVFOHA-NSHDSACASA-N 0 3 320.424 4.452 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CC1)c1cccc(-n2ccnc2)c1 ZINC000903334960 1046245567 /nfs/dbraw/zinc/24/55/67/1046245567.db2.gz JERZFQCENYLKOL-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CCOC[C@@H](C2CCC2)C1 ZINC000795295673 1046245779 /nfs/dbraw/zinc/24/57/79/1046245779.db2.gz BUUJEKPGSNZAKT-YJBOKZPZSA-N 0 3 305.849 4.076 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CC1)c1cccc(-n2ccnc2)c1 ZINC000903334962 1046245929 /nfs/dbraw/zinc/24/59/29/1046245929.db2.gz JERZFQCENYLKOL-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000903334715 1046247195 /nfs/dbraw/zinc/24/71/95/1046247195.db2.gz FNEGWIFDHPAMJK-XOBRGWDASA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000903337263 1046248253 /nfs/dbraw/zinc/24/82/53/1046248253.db2.gz BUWYVPSJTIONLD-OXQOHEQNSA-N 0 3 324.424 4.045 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H](c1ccccn1)C1CC1 ZINC000903335429 1046248663 /nfs/dbraw/zinc/24/86/63/1046248663.db2.gz PCDYFSSIKRDBKR-FXAWDEMLSA-N 0 3 308.425 4.209 20 0 DIADHN Fc1ccccc1-n1cccc1CN1CC[C@H](c2cccnc2)C1 ZINC001138634807 1046251395 /nfs/dbraw/zinc/25/13/95/1046251395.db2.gz XOYDPEGFHUQUER-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc3c(c2)CCO3)s1 ZINC000897418830 1046252937 /nfs/dbraw/zinc/25/29/37/1046252937.db2.gz YGHMDYSOQXCMCS-LLVKDONJSA-N 0 3 305.468 4.256 20 0 DIADHN CCCn1ncc(CN2CCC3(C=Cc4ccccc43)CC2)c1C ZINC001138635363 1046253297 /nfs/dbraw/zinc/25/32/97/1046253297.db2.gz ODEZSURCXDVCEC-UHFFFAOYSA-N 0 3 321.468 4.162 20 0 DIADHN CCCn1ncc(CN2CC[C@H](c3ccccc3Cl)C2)c1C ZINC001138638789 1046253506 /nfs/dbraw/zinc/25/35/06/1046253506.db2.gz IDDUYMPGRPAQOL-HNNXBMFYSA-N 0 3 317.864 4.244 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000245654787 1046253591 /nfs/dbraw/zinc/25/35/91/1046253591.db2.gz FQCNQJXPSDBQCC-HLNSBACISA-N 0 3 317.404 4.144 20 0 DIADHN C[C@@H](NC[C@H]1CCCC(C)(C)O1)c1ccc(C(F)(F)F)cn1 ZINC000903357449 1046255973 /nfs/dbraw/zinc/25/59/73/1046255973.db2.gz ZEQCCCQRGDQWKY-DGCLKSJQSA-N 0 3 316.367 4.099 20 0 DIADHN Cc1cnc(=S)n(CN2C[C@@H](c3ccccc3C)C[C@H]2C)c1 ZINC000758327729 1046259919 /nfs/dbraw/zinc/25/99/19/1046259919.db2.gz STBYRBUARBXKNN-CVEARBPZSA-N 0 3 313.470 4.065 20 0 DIADHN Cc1ccc(NC(=O)c2cc(Cl)cs2)c(CN(C)C)c1 ZINC000758340881 1046263279 /nfs/dbraw/zinc/26/32/79/1046263279.db2.gz HBGPMGSLUUDCFF-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN CC[C@H](N[C@@H](C)C[C@@H](O)c1ccco1)c1cc(F)ccc1F ZINC000245733207 1046263909 /nfs/dbraw/zinc/26/39/09/1046263909.db2.gz ZHWKCAXHOMQOOZ-KNXALSJPSA-N 0 3 309.356 4.111 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H](C)c1cnn(CC2CCC2)c1 ZINC000903403913 1046266995 /nfs/dbraw/zinc/26/69/95/1046266995.db2.gz HTYGYRXPDVFFIL-ZIAGYGMSSA-N 0 3 303.475 4.465 20 0 DIADHN Clc1[nH]c2ccccc2c1CN1CCC2(CCCOC2)CC1 ZINC001138688964 1046271714 /nfs/dbraw/zinc/27/17/14/1046271714.db2.gz MMANEHCHSSYQAF-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN Fc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)CCCO3)c1 ZINC001138716670 1046275081 /nfs/dbraw/zinc/27/50/81/1046275081.db2.gz SLKABOXLPWYEDD-IBGZPJMESA-N 0 3 311.400 4.488 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1cnc2ccsc2c1 ZINC000903428732 1046276310 /nfs/dbraw/zinc/27/63/10/1046276310.db2.gz PVYOCLZGLQCJNE-SGTLLEGYSA-N 0 3 309.438 4.493 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000903427774 1046277368 /nfs/dbraw/zinc/27/73/68/1046277368.db2.gz JWWFHDHYTYAGMY-YVEFUNNKSA-N 0 3 318.367 4.485 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2ccncc2)C2CC2)cc1F ZINC000903428184 1046277768 /nfs/dbraw/zinc/27/77/68/1046277768.db2.gz NAGJGKDBGIXLAE-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1nccc2ccccc21 ZINC000903430395 1046279246 /nfs/dbraw/zinc/27/92/46/1046279246.db2.gz ZMPRRGNAMLNRMK-VLIAUNLRSA-N 0 3 303.409 4.432 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000903429214 1046280134 /nfs/dbraw/zinc/28/01/34/1046280134.db2.gz SWQQZFRULQIOIA-PXAZEXFGSA-N 0 3 318.367 4.485 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CCC[C@@H]2CCO2)c1 ZINC000637876471 1046280690 /nfs/dbraw/zinc/28/06/90/1046280690.db2.gz SZWNWBNVTSHWLE-DYVFJYSZSA-N 0 3 319.495 4.326 20 0 DIADHN COc1ccc2c(c1)CN([C@@H](C)Cc1ccc(Cl)cc1)C2 ZINC001168179333 1046282612 /nfs/dbraw/zinc/28/26/12/1046282612.db2.gz JDHLBHZUWQKEJW-ZDUSSCGKSA-N 0 3 301.817 4.295 20 0 DIADHN CC1(C)CCc2cc(CN[C@@H](c3cccnc3)C3CC3)ccc2O1 ZINC000758626864 1046283401 /nfs/dbraw/zinc/28/34/01/1046283401.db2.gz YEIIVLXDTKOQOZ-HXUWFJFHSA-N 0 3 322.452 4.426 20 0 DIADHN c1cc2cc(CN3CCC(Sc4ccccc4)CC3)cnc2[nH]1 ZINC001138737726 1046283461 /nfs/dbraw/zinc/28/34/61/1046283461.db2.gz LQQCSIAQWRELGJ-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN CO[C@H](Cc1ccccc1)CN(C)Cc1ccc(Cl)s1 ZINC001462019669 1046285514 /nfs/dbraw/zinc/28/55/14/1046285514.db2.gz LIXJDSWXJSLSKY-CQSZACIVSA-N 0 3 309.862 4.091 20 0 DIADHN COc1ccc(CN(C)C2CC(F)(F)C2)c2c(OC)cccc12 ZINC001138750597 1046285695 /nfs/dbraw/zinc/28/56/95/1046285695.db2.gz QSEOWRDUYVVGRR-UHFFFAOYSA-N 0 3 321.367 4.087 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN(C)CC(=O)Nc1ccccc1Cl ZINC000753539589 1046285698 /nfs/dbraw/zinc/28/56/98/1046285698.db2.gz RQPZWUXMTUOXNH-KGLIPLIRSA-N 0 3 308.853 4.037 20 0 DIADHN C[C@H](C1CC1)N(C)CC(=O)Nc1ccccc1Oc1ccccc1 ZINC000073778984 1046287208 /nfs/dbraw/zinc/28/72/08/1046287208.db2.gz PUJGJFUBAPEJFX-OAHLLOKOSA-N 0 3 324.424 4.148 20 0 DIADHN CCCCc1ccc(CN2CCC[C@H](c3noc(C)n3)C2)s1 ZINC001138753546 1046289671 /nfs/dbraw/zinc/28/96/71/1046289671.db2.gz WIIUGNKQHADZIY-AWEZNQCLSA-N 0 3 319.474 4.162 20 0 DIADHN Cn1ccnc1[C@H](C1CC1)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000638073342 1046290876 /nfs/dbraw/zinc/29/08/76/1046290876.db2.gz CTHNPGIIPRLPCD-WBVHZDCISA-N 0 3 315.848 4.014 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2ccc(OC)cc2)c1 ZINC000089725335 1046302017 /nfs/dbraw/zinc/30/20/17/1046302017.db2.gz WEZJTYXTCKUOMH-MAUKXSAKSA-N 0 3 324.424 4.227 20 0 DIADHN C[C@H](N[C@H](c1nnc[nH]1)C1CCCCC1)c1ccc(Cl)cc1 ZINC000638290300 1046310173 /nfs/dbraw/zinc/31/01/73/1046310173.db2.gz FFMPLJBMXWGDAH-LRDDRELGSA-N 0 3 318.852 4.430 20 0 DIADHN C[C@@H](N[C@H](c1nnc[nH]1)C1CCCCC1)c1cccc(Cl)c1 ZINC000638289919 1046310218 /nfs/dbraw/zinc/31/02/18/1046310218.db2.gz BZMXUQUPHZTGPK-WBMJQRKESA-N 0 3 318.852 4.430 20 0 DIADHN CC(C)[C@H](N[C@H](c1nc[nH]n1)C1CCCCC1)c1ccccc1 ZINC000638314922 1046311371 /nfs/dbraw/zinc/31/13/71/1046311371.db2.gz ABRAKPQONMMJBV-ROUUACIJSA-N 0 3 312.461 4.413 20 0 DIADHN CC(C)[C@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)c1ccccc1 ZINC000638314922 1046311380 /nfs/dbraw/zinc/31/13/80/1046311380.db2.gz ABRAKPQONMMJBV-ROUUACIJSA-N 0 3 312.461 4.413 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc3ccccc3n2)c1 ZINC001138863576 1046312565 /nfs/dbraw/zinc/31/25/65/1046312565.db2.gz RATZUHXHPHTIPI-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN c1ccc([C@H]2CCCCN2Cc2cnc3ccccc3n2)cc1 ZINC001138863255 1046313698 /nfs/dbraw/zinc/31/36/98/1046313698.db2.gz BNAMUHSYVADXBU-HXUWFJFHSA-N 0 3 303.409 4.357 20 0 DIADHN CC1(C)CN(Cc2c[nH]nc2-c2ccc(F)cc2)CCC1(F)F ZINC001138878314 1046314516 /nfs/dbraw/zinc/31/45/16/1046314516.db2.gz GJAKJROYINVPIB-UHFFFAOYSA-N 0 3 323.362 4.083 20 0 DIADHN c1ccc([C@@H]2OCCC[C@H]2CNCc2nc3ccccc3o2)cc1 ZINC000638416378 1046321149 /nfs/dbraw/zinc/32/11/49/1046321149.db2.gz WHRNQSWAPARFCN-JXFKEZNVSA-N 0 3 322.408 4.085 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cnc(Cl)s2)C12CCCCC2 ZINC000718205340 1046324233 /nfs/dbraw/zinc/32/42/33/1046324233.db2.gz RYXQPPYJESCXOC-OLZOCXBDSA-N 0 3 314.882 4.014 20 0 DIADHN Cc1ccccc1[C@@H](N[C@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000638591224 1046331331 /nfs/dbraw/zinc/33/13/31/1046331331.db2.gz DUWMAYKJGLRAHH-OALUTQOASA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccccc1[C@@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)C1CC1 ZINC000638591224 1046331356 /nfs/dbraw/zinc/33/13/56/1046331356.db2.gz DUWMAYKJGLRAHH-OALUTQOASA-N 0 3 324.472 4.475 20 0 DIADHN CC[C@@H](NC[C@@H]1CCN(c2ccccc2)C1)c1nc(C)cs1 ZINC000638579760 1046331914 /nfs/dbraw/zinc/33/19/14/1046331914.db2.gz XELPKZHURDTCQP-DOTOQJQBSA-N 0 3 315.486 4.019 20 0 DIADHN FC(F)C1(CNCc2cccc(Br)c2Cl)CC1 ZINC000666410670 1046337487 /nfs/dbraw/zinc/33/74/87/1046337487.db2.gz XEQJPHUXBUAYKP-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)[C@@H]2CCC(C)=C(C)C2)cs1 ZINC000638719784 1046337832 /nfs/dbraw/zinc/33/78/32/1046337832.db2.gz GLCMNJJTHDQSEU-RRFJBIMHSA-N 0 3 308.491 4.465 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](c2nnc[nH]2)C2CCCCC2)cc1F ZINC000638762202 1046340895 /nfs/dbraw/zinc/34/08/95/1046340895.db2.gz BWKVNCBXPIPBOY-GUYCJALGSA-N 0 3 316.424 4.224 20 0 DIADHN CCC(=O)Oc1ccc(CN(Cc2ccccc2)C2CC2)cc1 ZINC001138964853 1046342837 /nfs/dbraw/zinc/34/28/37/1046342837.db2.gz FTKGKTYONJSJIG-UHFFFAOYSA-N 0 3 309.409 4.167 20 0 DIADHN CCC(=O)Oc1ccc(CN2CC=C(c3ccccc3)CC2)cc1 ZINC001138965646 1046342901 /nfs/dbraw/zinc/34/29/01/1046342901.db2.gz YNRJDVNSYCXGOH-UHFFFAOYSA-N 0 3 321.420 4.291 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CC(F)(F)F)c2ccc(F)cc2)CS1 ZINC000638800913 1046343480 /nfs/dbraw/zinc/34/34/80/1046343480.db2.gz QPNBPMLHHLXKQD-JIMOISOXSA-N 0 3 307.356 4.303 20 0 DIADHN CCOc1ccc(CN2CCC[C@](C)(F)CC2)c(OCC)c1 ZINC001138977282 1046346239 /nfs/dbraw/zinc/34/62/39/1046346239.db2.gz KGUQZSXRFOXBAI-SFHVURJKSA-N 0 3 309.425 4.198 20 0 DIADHN CCOc1ccc(CN2CCC[C@@](C)(F)CC2)c(OCC)c1 ZINC001138977281 1046347331 /nfs/dbraw/zinc/34/73/31/1046347331.db2.gz KGUQZSXRFOXBAI-GOSISDBHSA-N 0 3 309.425 4.198 20 0 DIADHN Cc1ccc(CNCCc2nc(C3CCCCC3)cs2)o1 ZINC000237771002 1046347902 /nfs/dbraw/zinc/34/79/02/1046347902.db2.gz ZPSQILRIHBHJKR-UHFFFAOYSA-N 0 3 304.459 4.425 20 0 DIADHN CCN(CC)Cc1cc(Br)ccc1OC(C)C ZINC001138986945 1046348428 /nfs/dbraw/zinc/34/84/28/1046348428.db2.gz FTNQTTPUGCUVBF-UHFFFAOYSA-N 0 3 300.240 4.078 20 0 DIADHN OCC[C@@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000051917210 1046348914 /nfs/dbraw/zinc/34/89/14/1046348914.db2.gz UKMBVFMAGVWEIB-LBPRGKRZSA-N 0 3 309.862 4.149 20 0 DIADHN C[C@H](NCc1ccccc1OCc1ccccc1)[C@@H]1CC1(F)F ZINC000666445175 1046349622 /nfs/dbraw/zinc/34/96/22/1046349622.db2.gz QQITWBQTCBNUEW-YOEHRIQHSA-N 0 3 317.379 4.399 20 0 DIADHN c1nnc([C@@H](Nc2cccc3ccncc32)C2CCCCC2)[nH]1 ZINC000638887120 1046349437 /nfs/dbraw/zinc/34/94/37/1046349437.db2.gz TYDPLGMBTCIVNQ-KRWDZBQOSA-N 0 3 307.401 4.086 20 0 DIADHN CCOc1ccc(Br)cc1CN1CCC2(CC2)CC1 ZINC001138991054 1046352386 /nfs/dbraw/zinc/35/23/86/1046352386.db2.gz QMAAYDTYLKIJNU-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1N[C@H](c1nccn1C)C1CC1 ZINC000639052811 1046353072 /nfs/dbraw/zinc/35/30/72/1046353072.db2.gz ZDMOQYDUJDJIPR-CCKFTAQKSA-N 0 3 309.457 4.175 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1N[C@@H](c1nccn1C)C1CC1 ZINC000639052812 1046354268 /nfs/dbraw/zinc/35/42/68/1046354268.db2.gz ZDMOQYDUJDJIPR-MDASCCDHSA-N 0 3 309.457 4.175 20 0 DIADHN Cc1ccc(CCN(C)Cc2ccc(C(F)(F)F)cn2)cc1 ZINC001139014995 1046354853 /nfs/dbraw/zinc/35/48/53/1046354853.db2.gz QZELBPVCCVYEIX-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCCN(Cc1cccc(F)c1N)[C@@H]1CCCc2cccnc21 ZINC000639067231 1046356544 /nfs/dbraw/zinc/35/65/44/1046356544.db2.gz UXRDVRVKNSNURC-QGZVFWFLSA-N 0 3 313.420 4.093 20 0 DIADHN CC(C)(C)C1CCN(Cc2ccc(C(F)(F)F)cn2)CC1 ZINC001139012326 1046356827 /nfs/dbraw/zinc/35/68/27/1046356827.db2.gz MXFFVFAYZVIGCT-UHFFFAOYSA-N 0 3 300.368 4.359 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139018977 1046357505 /nfs/dbraw/zinc/35/75/05/1046357505.db2.gz PALMZGCUWMGNJC-CYBMUJFWSA-N 0 3 307.484 4.478 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@@]4(CCCO4)C3)s2)c1 ZINC001139017148 1046357792 /nfs/dbraw/zinc/35/77/92/1046357792.db2.gz JLHPWGSHQFQBPS-INIZCTEOSA-N 0 3 305.468 4.232 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@@H]4CCCO[C@H]4C3)s2)c1 ZINC001139016759 1046358362 /nfs/dbraw/zinc/35/83/62/1046358362.db2.gz BOOWCNGXSXGBNA-ZFWWWQNUSA-N 0 3 319.495 4.478 20 0 DIADHN c1csc(-c2ccc(CN3CCN4CCCC[C@H]4C3)s2)c1 ZINC001139018628 1046359401 /nfs/dbraw/zinc/35/94/01/1046359401.db2.gz KSSFMNOYTMUBJY-AWEZNQCLSA-N 0 3 318.511 4.147 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccccc1CC(F)(F)F ZINC000639168356 1046365119 /nfs/dbraw/zinc/36/51/19/1046365119.db2.gz RBYUYUHSKSXPKP-UHFFFAOYSA-N 0 3 308.347 4.209 20 0 DIADHN CCCn1cc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)cn1 ZINC001139041594 1046368023 /nfs/dbraw/zinc/36/80/23/1046368023.db2.gz LVOXSEBBTQTJJF-AWEZNQCLSA-N 0 3 317.864 4.108 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)cc1F)[C@@H]1CC1(F)F ZINC000639189715 1046369106 /nfs/dbraw/zinc/36/91/06/1046369106.db2.gz VKBYRRGFKOENDQ-RNSXUZJQSA-N 0 3 322.168 4.283 20 0 DIADHN COc1ccc(CC[C@H](C)NCc2nc(C3CC3)cs2)cc1 ZINC000090459087 1046371585 /nfs/dbraw/zinc/37/15/85/1046371585.db2.gz PBTJHRSVMWAOBN-ZDUSSCGKSA-N 0 3 316.470 4.140 20 0 DIADHN c1ccc([C@@H]2C[C@H]3[C@H](CCCN3CC3CCSCC3)O2)cc1 ZINC000639313860 1046379283 /nfs/dbraw/zinc/37/92/83/1046379283.db2.gz ZXGJVLBXHLSUKR-FHWLQOOXSA-N 0 3 317.498 4.124 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CCC[C@H](C(C)=O)C1 ZINC001139070182 1046380256 /nfs/dbraw/zinc/38/02/56/1046380256.db2.gz HCGHYXWMUMWAHZ-IBGZPJMESA-N 0 3 323.436 4.163 20 0 DIADHN CC[C@@](C)(N[C@H](C)c1cnc(C)nc1C)c1ccc(F)cc1 ZINC000639359453 1046381376 /nfs/dbraw/zinc/38/13/76/1046381376.db2.gz NMOICECXKRSLGR-FZKQIMNGSA-N 0 3 301.409 4.209 20 0 DIADHN Brc1ccc2oc(CN[C@H]3CCCC34CC4)nc2c1 ZINC000639359530 1046382826 /nfs/dbraw/zinc/38/28/26/1046382826.db2.gz ACPIRASBVFPGPW-ZDUSSCGKSA-N 0 3 321.218 4.013 20 0 DIADHN O[C@@H]1CCN(Cc2ccc(C(F)F)cc2)[C@@H](c2ccccc2)C1 ZINC000639365737 1046386457 /nfs/dbraw/zinc/38/64/57/1046386457.db2.gz FXTRYZSSQJLJFE-QZTJIDSGSA-N 0 3 317.379 4.322 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001139101994 1046387633 /nfs/dbraw/zinc/38/76/33/1046387633.db2.gz GHCQFGQLWFVQCD-INIZCTEOSA-N 0 3 323.362 4.382 20 0 DIADHN Cc1ncc([C@H](C)NC[C@H](OC(C)C)c2ccccc2)s1 ZINC000639383138 1046388119 /nfs/dbraw/zinc/38/81/19/1046388119.db2.gz RPIQLHJKMWIYEZ-BBRMVZONSA-N 0 3 304.459 4.268 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@@H]3c3cccnc3)cc21 ZINC001139119227 1046389336 /nfs/dbraw/zinc/38/93/36/1046389336.db2.gz HPKCYUBSRRSYFC-LJQANCHMSA-N 0 3 305.425 4.393 20 0 DIADHN CCn1ccc2ccc(CN3CC[C@H](F)C(F)(F)CC3)cc21 ZINC001139119268 1046390558 /nfs/dbraw/zinc/39/05/58/1046390558.db2.gz JCHMZZKUHWKNLF-INIZCTEOSA-N 0 3 310.363 4.230 20 0 DIADHN CCC1(CC)CCC2(CC1)NC(=N)Nc1nc3ccccc3n12 ZINC000639407057 1046391501 /nfs/dbraw/zinc/39/15/01/1046391501.db2.gz PUDCGFULSNFPQI-UHFFFAOYSA-N 0 3 311.433 4.019 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000321789126 1046396674 /nfs/dbraw/zinc/39/66/74/1046396674.db2.gz JXSMENCTDGARMV-CQSZACIVSA-N 0 3 311.425 4.260 20 0 DIADHN CC1CCC(NCC(=O)c2ccc(Cl)c(Cl)c2)CC1 ZINC001168199859 1046396715 /nfs/dbraw/zinc/39/67/15/1046396715.db2.gz XPWPDRQVQNXGCX-UHFFFAOYSA-N 0 3 300.229 4.344 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc2c1ccn2C ZINC001139161744 1046398601 /nfs/dbraw/zinc/39/86/01/1046398601.db2.gz KAVURKGJPHOKSX-OAHLLOKOSA-N 0 3 308.425 4.380 20 0 DIADHN CCn1ccc2c1cccc2CN1CCOC2(CCCCC2)C1 ZINC001139158198 1046398762 /nfs/dbraw/zinc/39/87/62/1046398762.db2.gz GKLSGOSUEIWKAC-UHFFFAOYSA-N 0 3 312.457 4.196 20 0 DIADHN CCn1ccc2c1cccc2CN(C)Cc1ccc(Cl)nc1 ZINC001139158167 1046399237 /nfs/dbraw/zinc/39/92/37/1046399237.db2.gz FHRJHTKKMQPYEU-UHFFFAOYSA-N 0 3 313.832 4.342 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3cccnc3c2)cn1 ZINC001139171469 1046403057 /nfs/dbraw/zinc/40/30/57/1046403057.db2.gz UYHCTUHXMXJJHR-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)c1ccc(C)nc1C)CCC2 ZINC000780745792 1046403894 /nfs/dbraw/zinc/40/38/94/1046403894.db2.gz KGEVVGGXUSRVMA-DOMZBBRYSA-N 0 3 301.459 4.192 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3c(ccn3C)c2)cn1 ZINC001139193072 1046406322 /nfs/dbraw/zinc/40/63/22/1046406322.db2.gz WBXCQVAQAIVMDO-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1nccc2ccccc21 ZINC000780793874 1046406624 /nfs/dbraw/zinc/40/66/24/1046406624.db2.gz WFTOKTIKDRSBRJ-GXTWGEPZSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1nccc2ccccc21 ZINC000780793875 1046407400 /nfs/dbraw/zinc/40/74/00/1046407400.db2.gz WFTOKTIKDRSBRJ-JSGCOSHPSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCC(=O)[C@H](C)C34CCCC4)c21 ZINC001139198123 1046409157 /nfs/dbraw/zinc/40/91/57/1046409157.db2.gz HLBUNWNAVKIHHQ-HNNXBMFYSA-N 0 3 310.441 4.200 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cccc(OC(F)F)c2)c(C)n1 ZINC000639561219 1046411862 /nfs/dbraw/zinc/41/18/62/1046411862.db2.gz GXXSPUVYGHMCBE-ZDUSSCGKSA-N 0 3 320.383 4.459 20 0 DIADHN c1ccc(CC2CCN(Cc3ccc4nsnc4c3)CC2)cc1 ZINC001139208143 1046413012 /nfs/dbraw/zinc/41/30/12/1046413012.db2.gz MMGCJCCAHZTLOB-UHFFFAOYSA-N 0 3 323.465 4.146 20 0 DIADHN CC(C)C[C@@H](NCc1c[nH]cn1)c1cccc(C(F)(F)F)c1 ZINC000171134889 1046414396 /nfs/dbraw/zinc/41/43/96/1046414396.db2.gz QEHSXJSZRYCRSJ-OAHLLOKOSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)C[C@@H](NCc1cnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000171134889 1046414400 /nfs/dbraw/zinc/41/44/00/1046414400.db2.gz QEHSXJSZRYCRSJ-OAHLLOKOSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)[C@H](NCc1cc[nH]c(=O)c1)c1ccc(Cl)cc1F ZINC000639597560 1046416592 /nfs/dbraw/zinc/41/65/92/1046416592.db2.gz LVXTWPYWVQWYPN-INIZCTEOSA-N 0 3 308.784 4.067 20 0 DIADHN Nc1ncc(CN2CCC(c3ccc4ccccc4c3)CC2)s1 ZINC001139229322 1046416690 /nfs/dbraw/zinc/41/66/90/1046416690.db2.gz ZXUWWNDCJSRSQQ-UHFFFAOYSA-N 0 3 323.465 4.258 20 0 DIADHN F[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)nc2)CC1 ZINC001139243937 1046418692 /nfs/dbraw/zinc/41/86/92/1046418692.db2.gz IMHCIUGAJCOLMG-MRXNPFEDSA-N 0 3 300.377 4.198 20 0 DIADHN Cc1cc2cc(NCc3cccc4cn(C)nc43)ccc2cn1 ZINC001168207608 1046419643 /nfs/dbraw/zinc/41/96/43/1046419643.db2.gz RHGNKAMHNNNXKF-UHFFFAOYSA-N 0 3 302.381 4.042 20 0 DIADHN c1c(CN2CCC[C@@H](c3cccnc3)C2)onc1-c1ccccc1 ZINC000639693650 1046421949 /nfs/dbraw/zinc/42/19/49/1046421949.db2.gz RPBCZVDLYCWJLA-GOSISDBHSA-N 0 3 319.408 4.116 20 0 DIADHN C[C@H](NCC1([C@H](O)c2ccccc2)CC1)c1cc(F)ccc1F ZINC000090771010 1046422406 /nfs/dbraw/zinc/42/24/06/1046422406.db2.gz IVWSONGSCJKIOE-SCLBCKFNSA-N 0 3 317.379 4.129 20 0 DIADHN Fc1cccc(C2(NCc3cccc4c3CCOC4)CCC2)c1 ZINC000639694175 1046422651 /nfs/dbraw/zinc/42/26/51/1046422651.db2.gz UIWRVYDMPHIAON-UHFFFAOYSA-N 0 3 311.400 4.067 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccc(C)nc1C)CC2 ZINC000639729273 1046426030 /nfs/dbraw/zinc/42/60/30/1046426030.db2.gz NCCBYBXSARICJB-UHFFFAOYSA-N 0 3 319.452 4.338 20 0 DIADHN CC[C@@H](C)C[C@@H](CO)NCc1ccc(F)c(Cl)c1Cl ZINC001202921772 1046429197 /nfs/dbraw/zinc/42/91/97/1046429197.db2.gz JKMRXDYDMWMDSN-KOLCDFICSA-N 0 3 308.224 4.019 20 0 DIADHN CC[C@H](C)C[C@H](CO)NCc1ccc(F)c(Cl)c1Cl ZINC001202921771 1046429785 /nfs/dbraw/zinc/42/97/85/1046429785.db2.gz JKMRXDYDMWMDSN-GXSJLCMTSA-N 0 3 308.224 4.019 20 0 DIADHN CC(C)COc1cccc(CN2CCC3(CCCC3=O)CC2)c1 ZINC000639836418 1046430692 /nfs/dbraw/zinc/43/06/92/1046430692.db2.gz LJYVVEIRGGDSDG-UHFFFAOYSA-N 0 3 315.457 4.057 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000781188160 1046431914 /nfs/dbraw/zinc/43/19/14/1046431914.db2.gz GEUFPAGGWVEEOY-LPHOPBHVSA-N 0 3 308.510 4.140 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2ccc(N3CCCCC3)nc2)cc1 ZINC001139344397 1046433273 /nfs/dbraw/zinc/43/32/73/1046433273.db2.gz URTZLZDQXWZRQK-HXUWFJFHSA-N 0 3 321.468 4.409 20 0 DIADHN Fc1ccc(C2CCN(Cc3cnc4ccccc4c3)CC2)nc1 ZINC000639874443 1046433808 /nfs/dbraw/zinc/43/38/08/1046433808.db2.gz UZTWQMRHGBJIHK-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(N3CCCCC3)nc2)cc1C ZINC001139347022 1046434291 /nfs/dbraw/zinc/43/42/91/1046434291.db2.gz VQWQEUDDFBYTNG-UHFFFAOYSA-N 0 3 323.484 4.321 20 0 DIADHN CN(Cc1ccc(N2CCCCC2)nc1)[C@@H]1CCc2ccccc21 ZINC001139346769 1046434824 /nfs/dbraw/zinc/43/48/24/1046434824.db2.gz ANDXMIMPRSMBGB-HXUWFJFHSA-N 0 3 321.468 4.191 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cc(Cl)cnc1Cl)CC2 ZINC001139359172 1046436724 /nfs/dbraw/zinc/43/67/24/1046436724.db2.gz NOVDUTRPGPTIBZ-CYBMUJFWSA-N 0 3 317.235 4.493 20 0 DIADHN Clc1ncc(Cn2cccc2CN2CCC3(CC3)CC2)s1 ZINC001139365803 1046438060 /nfs/dbraw/zinc/43/80/60/1046438060.db2.gz WFYGNVJHKKLISJ-UHFFFAOYSA-N 0 3 321.877 4.022 20 0 DIADHN C[C@H]1CN(Cc2cccn2Cc2cnc(Cl)s2)C2(CC2)C1 ZINC001139368175 1046438484 /nfs/dbraw/zinc/43/84/84/1046438484.db2.gz FXWKWGBSOXFHRU-GFCCVEGCSA-N 0 3 321.877 4.021 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CNCc2c(C)cccc2C)c1 ZINC000091060550 1046440190 /nfs/dbraw/zinc/44/01/90/1046440190.db2.gz YROQIXFMDWAFGZ-SFHVURJKSA-N 0 3 308.425 4.274 20 0 DIADHN CCC[C@H](NCC(=O)N(CC)c1ccccc1)c1ccccc1 ZINC000781353724 1046440956 /nfs/dbraw/zinc/44/09/56/1046440956.db2.gz KYHAOHRCABHVLF-IBGZPJMESA-N 0 3 310.441 4.171 20 0 DIADHN CCC(C)(C)CCN1CCN(c2ccc(F)cc2Cl)CC1 ZINC001168244322 1046445125 /nfs/dbraw/zinc/44/51/25/1046445125.db2.gz LXFWVXRLOWHCBB-UHFFFAOYSA-N 0 3 312.860 4.427 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1ccc(COC)o1 ZINC000676908040 1046446413 /nfs/dbraw/zinc/44/64/13/1046446413.db2.gz QLJOUMDERWXCQC-CQSZACIVSA-N 0 3 305.443 4.087 20 0 DIADHN c1n[nH]cc1CN[C@@H](c1ccccc1)[C@H]1CCCc2ccccc21 ZINC000676903408 1046446556 /nfs/dbraw/zinc/44/65/56/1046446556.db2.gz DFZAFKOJIYECDD-SFTDATJTSA-N 0 3 317.436 4.361 20 0 DIADHN Clc1ccc(-c2ccc(CN3CCCC4(COC4)C3)o2)cc1 ZINC001139414899 1046446902 /nfs/dbraw/zinc/44/69/02/1046446902.db2.gz DDCCQKQVVRAGAE-UHFFFAOYSA-N 0 3 317.816 4.212 20 0 DIADHN O=c1[nH]ccc2c1CCN(Cc1ccc(-c3ccccc3)s1)C2 ZINC001139415709 1046447593 /nfs/dbraw/zinc/44/75/93/1046447593.db2.gz GABQJBJIJANFMZ-UHFFFAOYSA-N 0 3 322.433 4.074 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139418794 1046448569 /nfs/dbraw/zinc/44/85/69/1046448569.db2.gz WYEKLAHANDTOOS-CQSZACIVSA-N 0 3 303.789 4.011 20 0 DIADHN CN(Cc1ccc(=O)[nH]c1)Cc1cccc(Oc2ccccc2)c1 ZINC001139469283 1046452224 /nfs/dbraw/zinc/45/22/24/1046452224.db2.gz OKLJKXXIGQGYOG-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CCC[C@H](C4CC4)C3)nc2c1 ZINC000677029501 1046454875 /nfs/dbraw/zinc/45/48/75/1046454875.db2.gz MLXURFUJCXUZMD-LBPRGKRZSA-N 0 3 324.346 4.469 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CCC[C@@H](C3CC3)C2)n1 ZINC000677040434 1046456022 /nfs/dbraw/zinc/45/60/22/1046456022.db2.gz KYVOPGYWFAHSKF-GDBMZVCRSA-N 0 3 311.429 4.228 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139499458 1046456700 /nfs/dbraw/zinc/45/67/00/1046456700.db2.gz NJRGBGNCEIZIPF-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN c1cc(-c2ccc(CN3CC(c4ccccc4)C3)cn2)cs1 ZINC001139503583 1046458054 /nfs/dbraw/zinc/45/80/54/1046458054.db2.gz IKEPBTGQTVBRQD-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCC[C@@H](C4CC4)C3)o2)c1 ZINC000677051142 1046458065 /nfs/dbraw/zinc/45/80/65/1046458065.db2.gz FSIYYMQHWCCWFY-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC[C@H](C4CC4)C3)co2)c1 ZINC000677046892 1046458263 /nfs/dbraw/zinc/45/82/63/1046458263.db2.gz DKTSQJALMQIYBX-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN CC[C@H](N[C@@H]1Cc2ccc(OC)cc2C1)c1c(F)cccc1F ZINC001168258873 1046465333 /nfs/dbraw/zinc/46/53/33/1046465333.db2.gz HSTPOADNTBBBFY-KDOFPFPSSA-N 0 3 317.379 4.182 20 0 DIADHN CC[C@H]1CCCCCN1C(=O)c1cccc(CN2CCCC2)c1 ZINC000125426287 1046468574 /nfs/dbraw/zinc/46/85/74/1046468574.db2.gz XQVRCOPDUQEDNE-IBGZPJMESA-N 0 3 314.473 4.077 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001139556706 1046470032 /nfs/dbraw/zinc/47/00/32/1046470032.db2.gz MHUAWMCSONRQJU-CALCHBBNSA-N 0 3 315.420 4.163 20 0 DIADHN CC(C)N(Cc1cccnc1)Cc1cc(F)cc2cccnc21 ZINC000125635254 1046470223 /nfs/dbraw/zinc/47/02/23/1046470223.db2.gz REMVQQNUXKDOGG-UHFFFAOYSA-N 0 3 309.388 4.180 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]c(-c3ccccc3)n1)CC2 ZINC001139557139 1046470221 /nfs/dbraw/zinc/47/02/21/1046470221.db2.gz XAPZDUSQVATPPY-UHFFFAOYSA-N 0 3 313.420 4.181 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C)c(OCc2ccccc2)c1 ZINC001139558503 1046470700 /nfs/dbraw/zinc/47/07/00/1046470700.db2.gz POYTWEPVJRXLBZ-INIZCTEOSA-N 0 3 311.425 4.259 20 0 DIADHN CC(C)CN(Cc1cn2c(cccc2F)n1)Cc1ccccc1 ZINC001139571276 1046474175 /nfs/dbraw/zinc/47/41/75/1046474175.db2.gz UMPHTHSVZICCGQ-UHFFFAOYSA-N 0 3 311.404 4.132 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cc(Cl)ccn2)cc1 ZINC001139566521 1046474328 /nfs/dbraw/zinc/47/43/28/1046474328.db2.gz OPPXHNGJOORGCR-KRWDZBQOSA-N 0 3 304.796 4.081 20 0 DIADHN C[C@H]1C[C@H](O)CCN1Cc1ccccc1-c1ccccc1Cl ZINC001139577345 1046476543 /nfs/dbraw/zinc/47/65/43/1046476543.db2.gz XGPAAPGHTYXBFX-GOEBONIOSA-N 0 3 315.844 4.352 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC[C@H](c3ccccc3)C2)c1 ZINC001139590767 1046478498 /nfs/dbraw/zinc/47/84/98/1046478498.db2.gz ZOJQZMBKZHRXDK-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CCCC(C)(C)CC1 ZINC000127132675 1046480362 /nfs/dbraw/zinc/48/03/62/1046480362.db2.gz MPWOMOQARCBMQI-LBPRGKRZSA-N 0 3 305.447 4.371 20 0 DIADHN CCOc1ccc(CN2CCCC[C@@H]2CCOC)cc1Cl ZINC001139595874 1046480838 /nfs/dbraw/zinc/48/08/38/1046480838.db2.gz MPOXJWUZMWLBJB-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2C(C)(C)OC)cc1Cl ZINC001139597470 1046481929 /nfs/dbraw/zinc/48/19/29/1046481929.db2.gz XMVFJLOLNRJAKW-MRXNPFEDSA-N 0 3 311.853 4.128 20 0 DIADHN CCOc1ccc(CN2CCC3(CCOCC3)CC2)cc1Cl ZINC001139597020 1046482665 /nfs/dbraw/zinc/48/26/65/1046482665.db2.gz JDWBODTWSJLATF-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1ccco1)c1ccc(Cl)cc1F ZINC000781877756 1046482910 /nfs/dbraw/zinc/48/29/10/1046482910.db2.gz IUFGDLGXZHNQTF-GOEBONIOSA-N 0 3 311.784 4.092 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2ccc(N(C)C)nc2)cc1 ZINC000677321210 1046483571 /nfs/dbraw/zinc/48/35/71/1046483571.db2.gz QUWMBMLMNJXALA-UHFFFAOYSA-N 0 3 311.473 4.296 20 0 DIADHN C[C@@H](c1cccc2ccccc21)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640992503 1046483710 /nfs/dbraw/zinc/48/37/10/1046483710.db2.gz RNLZVSIEZKDPSE-SCLBCKFNSA-N 0 3 308.429 4.087 20 0 DIADHN CN(C)c1ccc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC000677319130 1046484229 /nfs/dbraw/zinc/48/42/29/1046484229.db2.gz MAGGWQMAFGOUTI-GOSISDBHSA-N 0 3 315.848 4.042 20 0 DIADHN COC(CN[C@H](c1cccc(Cl)c1)C1CCCCC1)OC ZINC000781902676 1046484648 /nfs/dbraw/zinc/48/46/48/1046484648.db2.gz IGOCQPVXGPSEOA-KRWDZBQOSA-N 0 3 311.853 4.170 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccc(Cl)c(OC)c1 ZINC001139614407 1046487066 /nfs/dbraw/zinc/48/70/66/1046487066.db2.gz FTOQIZCLWGLHBG-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(OC)c(C(C)C)c2)c1 ZINC001139618203 1046488105 /nfs/dbraw/zinc/48/81/05/1046488105.db2.gz NTIJAVDTDJVMQR-UHFFFAOYSA-N 0 3 313.441 4.459 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2cccnc2)cc1CC ZINC001139618912 1046488995 /nfs/dbraw/zinc/48/89/95/1046488995.db2.gz QKFBYNCKVNROHN-IBGZPJMESA-N 0 3 310.441 4.380 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)o1 ZINC000091958501 1046489318 /nfs/dbraw/zinc/48/93/18/1046489318.db2.gz PXILSFXFWHPOIC-JHNDHUHGSA-N 0 3 315.413 4.084 20 0 DIADHN CCOc1ccncc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001139629272 1046490376 /nfs/dbraw/zinc/49/03/76/1046490376.db2.gz NCUAXUYSEFFQQS-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1cccc2nc(CN(Cc3ccccc3)C(C)(C)C)cn21 ZINC001137294276 1046491410 /nfs/dbraw/zinc/49/14/10/1046491410.db2.gz YHFWMJGPXMCWCV-UHFFFAOYSA-N 0 3 307.441 4.443 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001139679968 1046499078 /nfs/dbraw/zinc/49/90/78/1046499078.db2.gz LLGPNVVLRCKYMS-INIZCTEOSA-N 0 3 315.436 4.055 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CCC[C@@H]1c1cccs1 ZINC001139681327 1046499360 /nfs/dbraw/zinc/49/93/60/1046499360.db2.gz VDUMGTFCHUZGMP-OAHLLOKOSA-N 0 3 311.454 4.350 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)CC1(CC)COC1 ZINC001202963862 1046503226 /nfs/dbraw/zinc/50/32/26/1046503226.db2.gz CCVFNYCPYAKFFI-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000247881116 1046503562 /nfs/dbraw/zinc/50/35/62/1046503562.db2.gz VOESVEBPEYXROC-TYOLEZHBSA-N 0 3 307.437 4.145 20 0 DIADHN CC(C)(C)C[C@@H](NCc1ccncc1N)c1ccc(F)cc1 ZINC000641708759 1046506533 /nfs/dbraw/zinc/50/65/33/1046506533.db2.gz ZUTBBAPVBGSGEK-QGZVFWFLSA-N 0 3 301.409 4.070 20 0 DIADHN Nc1cnccc1CN[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000641741442 1046509618 /nfs/dbraw/zinc/50/96/18/1046509618.db2.gz JRCPKLPEUSIXEB-SFHVURJKSA-N 0 3 315.848 4.338 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cnc2cccnc2c1 ZINC001139743410 1046509684 /nfs/dbraw/zinc/50/96/84/1046509684.db2.gz FOBAKVKVWIEXJI-HXUWFJFHSA-N 0 3 303.409 4.139 20 0 DIADHN Cc1ccccc1-c1ccccc1CN[C@H](CO)CC(F)(F)F ZINC000641745543 1046509850 /nfs/dbraw/zinc/50/98/50/1046509850.db2.gz UHSANOYNPNYGEO-HNNXBMFYSA-N 0 3 323.358 4.065 20 0 DIADHN CC(C)[C@@H](NCc1ccncc1N)c1ccc(C(F)(F)F)cc1 ZINC000641742999 1046509982 /nfs/dbraw/zinc/50/99/82/1046509982.db2.gz OUBBJIKGMINIOZ-MRXNPFEDSA-N 0 3 323.362 4.170 20 0 DIADHN c1ccc2c(c1)oc1ccc(CN3CC4(C3)CCCOC4)cc12 ZINC001139752489 1046512711 /nfs/dbraw/zinc/51/27/11/1046512711.db2.gz YPCUOQNVESNEHW-UHFFFAOYSA-N 0 3 307.393 4.198 20 0 DIADHN Cc1cc(Br)ccc1[C@@H](C)NCc1cccnc1C ZINC000641783781 1046513711 /nfs/dbraw/zinc/51/37/11/1046513711.db2.gz VSUVVFXREZRITR-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CCC2(CCOC2)CC1 ZINC000677718642 1046516555 /nfs/dbraw/zinc/51/65/55/1046516555.db2.gz GLYSTPPIAAOYFY-ZDUSSCGKSA-N 0 3 324.262 4.013 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC(C)(F)CC3)s2)cc1 ZINC001139789638 1046517008 /nfs/dbraw/zinc/51/70/08/1046517008.db2.gz KOEAIADPWFAVNW-UHFFFAOYSA-N 0 3 320.433 4.143 20 0 DIADHN CCc1cccc2cc(CNC[C@H](C)C(=O)OC(C)(C)C)oc21 ZINC001202971132 1046517284 /nfs/dbraw/zinc/51/72/84/1046517284.db2.gz OVKRDUZEAMMVAC-ZDUSSCGKSA-N 0 3 317.429 4.063 20 0 DIADHN FC(F)c1ccccc1CN1CC[C@@H](OCc2ccccc2)C1 ZINC001139800945 1046521735 /nfs/dbraw/zinc/52/17/35/1046521735.db2.gz HKYAECKGABZNSZ-QGZVFWFLSA-N 0 3 317.379 4.415 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](C)c1cnc(C)s1 ZINC000677754613 1046521877 /nfs/dbraw/zinc/52/18/77/1046521877.db2.gz GRTYRDSQWFDTGX-ZBEGNZNMSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ccc(-c2nc(CNC3CCC(F)(F)CC3)co2)cc1 ZINC000677752118 1046522922 /nfs/dbraw/zinc/52/29/22/1046522922.db2.gz LJQPRTXZDJYROQ-UHFFFAOYSA-N 0 3 306.356 4.318 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000677761420 1046524368 /nfs/dbraw/zinc/52/43/68/1046524368.db2.gz YSHNSONZRMMZRJ-INIZCTEOSA-N 0 3 316.489 4.186 20 0 DIADHN COCc1ccc(CN2CCC(c3cccs3)CC2)s1 ZINC001139827319 1046527027 /nfs/dbraw/zinc/52/70/27/1046527027.db2.gz VNLLKWSKHSZHMT-UHFFFAOYSA-N 0 3 307.484 4.336 20 0 DIADHN CN(C)[C@@H](CNc1ncc(Cl)cc1Cl)c1cccs1 ZINC000641935779 1046528695 /nfs/dbraw/zinc/52/86/95/1046528695.db2.gz GTUMNYQNHHFWRP-NSHDSACASA-N 0 3 316.257 4.165 20 0 DIADHN COCc1ccc(CN2CCC[C@H]2c2cccc(OC)c2)s1 ZINC001139828482 1046530439 /nfs/dbraw/zinc/53/04/39/1046530439.db2.gz OEJKVNHPTAVTMO-SFHVURJKSA-N 0 3 317.454 4.240 20 0 DIADHN C[C@H](NC(=O)CN(C)CC1CCCCC1)c1ccc(Cl)cc1 ZINC000641958795 1046532251 /nfs/dbraw/zinc/53/22/51/1046532251.db2.gz PNXAHQLYEPRKSB-AWEZNQCLSA-N 0 3 322.880 4.029 20 0 DIADHN COc1ccc(-c2nc(CNCCC3CCCC3)cs2)cc1 ZINC000641973457 1046533532 /nfs/dbraw/zinc/53/35/32/1046533532.db2.gz ORWSOOSCMBVMEU-UHFFFAOYSA-N 0 3 316.470 4.489 20 0 DIADHN CC[C@@](C)(NCc1cncn1Cc1ccccc1)c1ccccc1 ZINC000782529447 1046533819 /nfs/dbraw/zinc/53/38/19/1046533819.db2.gz OOOGQRIVXMJKQM-OAQYLSRUSA-N 0 3 319.452 4.346 20 0 DIADHN C[C@@H]1CN(Cc2cccc3c4ccccc4oc32)CCCC1=O ZINC001139858216 1046534290 /nfs/dbraw/zinc/53/42/90/1046534290.db2.gz ZQJWLZCPVKGOBH-CQSZACIVSA-N 0 3 307.393 4.387 20 0 DIADHN FC(F)(F)c1ccc(CN(C[C@@H]2CCCCO2)C2CC2)cc1 ZINC000641976347 1046534832 /nfs/dbraw/zinc/53/48/32/1046534832.db2.gz YAFQLNNTFNMEBC-INIZCTEOSA-N 0 3 313.363 4.239 20 0 DIADHN CC(C)CCN(CC(=O)N[C@H](C)c1ccccc1Cl)C1CC1 ZINC000641976980 1046534858 /nfs/dbraw/zinc/53/48/58/1046534858.db2.gz CUSINLCGYGUIQX-CQSZACIVSA-N 0 3 322.880 4.028 20 0 DIADHN Cn1c(CN2CCC3(CC[C@@H](F)C3)CC2)cc2ccccc21 ZINC001139872400 1046537175 /nfs/dbraw/zinc/53/71/75/1046537175.db2.gz NIIXAXWLRDJTLD-MRXNPFEDSA-N 0 3 300.421 4.283 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133681572 1046541363 /nfs/dbraw/zinc/54/13/63/1046541363.db2.gz XSGLKPOJWWYGBN-SMDDNHRTSA-N 0 3 320.506 4.166 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC001139883884 1046542608 /nfs/dbraw/zinc/54/26/08/1046542608.db2.gz MIIYPTOXBGAMEE-CZUORRHYSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@@H](c2ccccn2)C1 ZINC001139885143 1046544289 /nfs/dbraw/zinc/54/42/89/1046544289.db2.gz MPDVKMWBXPFIJC-CQSZACIVSA-N 0 3 320.358 4.398 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC001137320145 1046546691 /nfs/dbraw/zinc/54/66/91/1046546691.db2.gz YWHKCVLCJAKDJL-UHFFFAOYSA-N 0 3 320.436 4.306 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cncc3ccccc32)cn1 ZINC001139897214 1046549482 /nfs/dbraw/zinc/54/94/82/1046549482.db2.gz YJGGWQJRDUCGPI-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1nc(CCN2CCC(c3ccc(F)c(F)c3)CC2)cs1 ZINC000678075475 1046553445 /nfs/dbraw/zinc/55/34/45/1046553445.db2.gz JBKBVXBTJYOFOQ-UHFFFAOYSA-N 0 3 322.424 4.152 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1sccc1Br ZINC000309541638 1046555904 /nfs/dbraw/zinc/55/59/04/1046555904.db2.gz GAJCMPCIZQDCID-NKWVEPMBSA-N 0 3 316.186 4.360 20 0 DIADHN c1ccc2c(CN3CCCCC[C@H]3c3ccncc3)n[nH]c2c1 ZINC000678119877 1046556577 /nfs/dbraw/zinc/55/65/77/1046556577.db2.gz BZGMUCQSEMYLDR-IBGZPJMESA-N 0 3 306.413 4.075 20 0 DIADHN c1ccc2c(c1)n[nH]c2CN1CCCCC[C@H]1c1ccncc1 ZINC000678119877 1046556586 /nfs/dbraw/zinc/55/65/86/1046556586.db2.gz BZGMUCQSEMYLDR-IBGZPJMESA-N 0 3 306.413 4.075 20 0 DIADHN C[C@H](N[C@@H](CN1CCCCC1)c1ccccc1)c1cscn1 ZINC000678114968 1046556658 /nfs/dbraw/zinc/55/66/58/1046556658.db2.gz RMSDTMJGFVXVBH-RDJZCZTQSA-N 0 3 315.486 4.021 20 0 DIADHN C[C@H](NCCc1c[nH]c2cc(Cl)ccc12)c1cscn1 ZINC000678115341 1046556820 /nfs/dbraw/zinc/55/68/20/1046556820.db2.gz ZAOIBHXXFIJFER-JTQLQIEISA-N 0 3 305.834 4.171 20 0 DIADHN C[C@H]1CN(Cc2c[nH]c3cccc(Br)c23)C2(CC2)C1 ZINC001139966064 1046559877 /nfs/dbraw/zinc/55/98/77/1046559877.db2.gz JCEKVPWXXBJKJH-LLVKDONJSA-N 0 3 319.246 4.305 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2nn(C)c3ccccc23)cc1 ZINC000678134285 1046561208 /nfs/dbraw/zinc/56/12/08/1046561208.db2.gz VKNXDSASMVWRAX-LJQANCHMSA-N 0 3 305.425 4.219 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3c[nH]c4cccc(Br)c34)C[C@@H]21 ZINC001139965831 1046561066 /nfs/dbraw/zinc/56/10/66/1046561066.db2.gz BLPNCHFLZBVOCB-TXEJJXNPSA-N 0 3 319.246 4.018 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2n[nH]c3ccccc32)cc1 ZINC000678127228 1046562809 /nfs/dbraw/zinc/56/28/09/1046562809.db2.gz LWJACVOBXBZWNL-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2[nH]nc3ccccc32)cc1 ZINC000678127228 1046562821 /nfs/dbraw/zinc/56/28/21/1046562821.db2.gz LWJACVOBXBZWNL-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CCS[C@@H](C(=O)C(C#N)c1nc2ccccc2s1)C(C)C ZINC000067600694 1046564536 /nfs/dbraw/zinc/56/45/36/1046564536.db2.gz QHEYWPRAIICKGK-XHDPSFHLSA-N 0 3 318.467 4.250 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@@H](C)c2ccc(C)s2)s1 ZINC000092740635 1046564454 /nfs/dbraw/zinc/56/44/54/1046564454.db2.gz QFYOUQPYUFOMRE-RYUDHWBXSA-N 0 3 324.515 4.422 20 0 DIADHN CCS[C@H](C(=O)C(C#N)c1nc2ccccc2s1)C(C)C ZINC000067600693 1046564843 /nfs/dbraw/zinc/56/48/43/1046564843.db2.gz QHEYWPRAIICKGK-NHYWBVRUSA-N 0 3 318.467 4.250 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cc3ccccc3cn1)CCC2 ZINC001140000249 1046567275 /nfs/dbraw/zinc/56/72/75/1046567275.db2.gz HGOHDCKYZNBIJY-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN Clc1ccc(CN(Cc2ccc3[nH]cnc3c2)C2CC2)cc1 ZINC000536633528 1046569088 /nfs/dbraw/zinc/56/90/88/1046569088.db2.gz MXKIOYWSNFKLCI-UHFFFAOYSA-N 0 3 311.816 4.381 20 0 DIADHN CCCN(Cc1c[nH]nc1C(C)(C)C)Cc1ccc(OC)cc1 ZINC000678145545 1046569258 /nfs/dbraw/zinc/56/92/58/1046569258.db2.gz OLONJHDXVHWTIZ-UHFFFAOYSA-N 0 3 315.461 4.128 20 0 DIADHN CCN(Cc1n[nH]c2ccccc21)[C@@H](C)c1cccc(OC)c1 ZINC000678150786 1046573058 /nfs/dbraw/zinc/57/30/58/1046573058.db2.gz LNHCOTJBYPAQCC-AWEZNQCLSA-N 0 3 309.413 4.155 20 0 DIADHN CCN(Cc1[nH]nc2ccccc21)[C@@H](C)c1cccc(OC)c1 ZINC000678150786 1046573073 /nfs/dbraw/zinc/57/30/73/1046573073.db2.gz LNHCOTJBYPAQCC-AWEZNQCLSA-N 0 3 309.413 4.155 20 0 DIADHN Fc1ccccc1SCCN1CCC(c2cccnc2)CC1 ZINC000678168853 1046577312 /nfs/dbraw/zinc/57/73/12/1046577312.db2.gz UNSNGPKLUNQVCP-UHFFFAOYSA-N 0 3 316.445 4.192 20 0 DIADHN COc1c(Cl)cccc1CN1CCCC2(CC(F)C2)CC1 ZINC001140061908 1046577602 /nfs/dbraw/zinc/57/76/02/1046577602.db2.gz BABSKCOCPGIHHX-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000642189959 1046578307 /nfs/dbraw/zinc/57/83/07/1046578307.db2.gz QFTIUGMLBKOBAG-CJNGLKHVSA-N 0 3 302.393 4.491 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@H](c2cc[nH]n2)C1 ZINC000678184498 1046578537 /nfs/dbraw/zinc/57/85/37/1046578537.db2.gz IZNLCTLNPPKTLF-LBPRGKRZSA-N 0 3 315.804 4.189 20 0 DIADHN Clc1ccc2c(c1Cl)OCC[C@@H]2NC[C@H]1CCC=CO1 ZINC000783307571 1046579284 /nfs/dbraw/zinc/57/92/84/1046579284.db2.gz NIQMUWSUVKBSFM-MFKMUULPSA-N 0 3 314.212 4.099 20 0 DIADHN CCN(C(=O)CN(C)[C@H](C)C(C)C)c1cccc2ccccc21 ZINC000642252674 1046586514 /nfs/dbraw/zinc/58/65/14/1046586514.db2.gz KVMQQLLBZGTKAM-MRXNPFEDSA-N 0 3 312.457 4.169 20 0 DIADHN CCN(CCc1ccc(OC)cc1)Cc1ccc(O)cc1Cl ZINC001140087042 1046586449 /nfs/dbraw/zinc/58/64/49/1046586449.db2.gz IEUNVZBOHRMWPP-UHFFFAOYSA-N 0 3 319.832 4.119 20 0 DIADHN COc1ccc2oc(CN3CCC[C@@H]3c3ccc(O)cc3)cc2c1 ZINC000678212629 1046587905 /nfs/dbraw/zinc/58/79/05/1046587905.db2.gz BUQHQYRVIOQXPC-LJQANCHMSA-N 0 3 323.392 4.484 20 0 DIADHN CCOCOc1ccc(CN(C)[C@@H](C)c2ccc(F)cc2)cc1 ZINC000783394805 1046588870 /nfs/dbraw/zinc/58/88/70/1046588870.db2.gz YUVBWWGAENQOCC-HNNXBMFYSA-N 0 3 317.404 4.392 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc(C(F)(F)F)ccn1 ZINC001140106669 1046591009 /nfs/dbraw/zinc/59/10/09/1046591009.db2.gz LNGBHQSLXHEEJV-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@H](C)CCc2cccc(F)c2)no1 ZINC000783407157 1046591087 /nfs/dbraw/zinc/59/10/87/1046591087.db2.gz BFYHIIZWKPCLAQ-ACJLOTCBSA-N 0 3 302.393 4.280 20 0 DIADHN CCOCOc1ccc(CN2CCc3ccccc3[C@@H]2C)cc1 ZINC000783458600 1046595470 /nfs/dbraw/zinc/59/54/70/1046595470.db2.gz AJWCOVBCSPDQEW-INIZCTEOSA-N 0 3 311.425 4.179 20 0 DIADHN Fc1ccc(/C=C\CN2CCCO[C@@H](c3ccccc3)C2)cc1 ZINC000678239007 1046595538 /nfs/dbraw/zinc/59/55/38/1046595538.db2.gz UFSPLJLXDMYAEX-RDBXKPKDSA-N 0 3 311.400 4.303 20 0 DIADHN CCN(Cc1cc(C)sc1C)Cc1ccc2c(c1)OCO2 ZINC000195673948 1046596690 /nfs/dbraw/zinc/59/66/90/1046596690.db2.gz YBAFVQVUWQGEDU-UHFFFAOYSA-N 0 3 303.427 4.116 20 0 DIADHN CSCc1cnc(CN[C@@H](C)[C@H]2CC3CCC2CC3)s1 ZINC001203005889 1046597029 /nfs/dbraw/zinc/59/70/29/1046597029.db2.gz JNOIIIYHTNNAFU-LFUGVXIPSA-N 0 3 310.532 4.311 20 0 DIADHN CCOCOc1ccc(CN2CCCc3sccc3C2)cc1 ZINC000783467490 1046597037 /nfs/dbraw/zinc/59/70/37/1046597037.db2.gz MQXXRANAFVATNX-UHFFFAOYSA-N 0 3 317.454 4.069 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)CN1C[C@@H]1CCOc2ccccc21 ZINC000678236346 1046597446 /nfs/dbraw/zinc/59/74/46/1046597446.db2.gz YVFWCXHRUWOKFZ-PLMTUMEDSA-N 0 3 323.436 4.015 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCc1ccncc1 ZINC000237511758 1046597601 /nfs/dbraw/zinc/59/76/01/1046597601.db2.gz JWUWANAPGYNHAU-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN CCOCOc1ccc(CN2CCc3ccccc3[C@H]2C)cc1 ZINC000783458601 1046597959 /nfs/dbraw/zinc/59/79/59/1046597959.db2.gz AJWCOVBCSPDQEW-MRXNPFEDSA-N 0 3 311.425 4.179 20 0 DIADHN CSCc1cnc(CN[C@H](C)[C@@H]2CC3CCC2CC3)s1 ZINC001203005890 1046598189 /nfs/dbraw/zinc/59/81/89/1046598189.db2.gz JNOIIIYHTNNAFU-LPXQKFACSA-N 0 3 310.532 4.311 20 0 DIADHN CC(C)[C@H](C)N(C)CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000642330245 1046598777 /nfs/dbraw/zinc/59/87/77/1046598777.db2.gz GTWXGSAVOULFGX-SFHVURJKSA-N 0 3 324.468 4.196 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@@H]2CCC[C@H]21 ZINC001140155101 1046601989 /nfs/dbraw/zinc/60/19/89/1046601989.db2.gz LZPRCEVEYPVHEA-SWLSCSKDSA-N 0 3 324.262 4.222 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CC[C@@H](c3ccco3)C2)c1 ZINC000678262346 1046602148 /nfs/dbraw/zinc/60/21/48/1046602148.db2.gz NEEDEEPCQQKXNL-CYBMUJFWSA-N 0 3 307.340 4.179 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(N2CCCC2)nc1 ZINC000678267163 1046603520 /nfs/dbraw/zinc/60/35/20/1046603520.db2.gz PSNLLLLWQOKFLB-HXUWFJFHSA-N 0 3 321.468 4.191 20 0 DIADHN c1coc([C@@H]2CCN(Cc3cnc(C4CCCC4)s3)C2)c1 ZINC000678262736 1046604491 /nfs/dbraw/zinc/60/44/91/1046604491.db2.gz VKCCWXYODJAUDJ-CQSZACIVSA-N 0 3 302.443 4.383 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(-c2ccncc2)cc1 ZINC001140167388 1046605207 /nfs/dbraw/zinc/60/52/07/1046605207.db2.gz CRQXYJKKKPKAKN-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CCCSC2)c2ccccc2)c1 ZINC000783576398 1046610534 /nfs/dbraw/zinc/61/05/34/1046610534.db2.gz LNRMOKDYYGIAOZ-QZTJIDSGSA-N 0 3 301.430 4.400 20 0 DIADHN Cc1cc(C)cc([C@H](N[C@H]2CCS[C@H]2C)c2cccnc2)c1 ZINC000783636832 1046616824 /nfs/dbraw/zinc/61/68/24/1046616824.db2.gz CIFRKKGQYGOVAI-ZYSHUDEJSA-N 0 3 312.482 4.271 20 0 DIADHN Cc1cc(C)cc([C@H](N[C@@H]2CCS[C@@H]2C)c2cccnc2)c1 ZINC000783636829 1046616852 /nfs/dbraw/zinc/61/68/52/1046616852.db2.gz CIFRKKGQYGOVAI-ATZDWAIDSA-N 0 3 312.482 4.271 20 0 DIADHN FC(F)(F)c1ccc(-c2ncc(CN3CCCC3)s2)cc1 ZINC001140213535 1046617521 /nfs/dbraw/zinc/61/75/21/1046617521.db2.gz IDEUMYRTOWFWFO-UHFFFAOYSA-N 0 3 312.360 4.425 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(C(C)(C)C)s1 ZINC000678337422 1046621506 /nfs/dbraw/zinc/62/15/06/1046621506.db2.gz POIVGMLHZBDZBT-INIZCTEOSA-N 0 3 317.502 4.425 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1cc2cc(C)c(C)cc2o1 ZINC000678338696 1046621615 /nfs/dbraw/zinc/62/16/15/1046621615.db2.gz ZMGIVNQXFMVXFV-IBGZPJMESA-N 0 3 323.440 4.429 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1c[nH]c2cccc(O)c12 ZINC001140233659 1046621729 /nfs/dbraw/zinc/62/17/29/1046621729.db2.gz SNAOLLMYCDIEEE-KRWDZBQOSA-N 0 3 322.408 4.219 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1nc(Br)cs1 ZINC000834487974 1046623253 /nfs/dbraw/zinc/62/32/53/1046623253.db2.gz VGXPTJWLNYOVMC-KXUCPTDWSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)CC[C@@H](C)N[C@@H](C)c1nc(Br)cs1 ZINC000834487519 1046623349 /nfs/dbraw/zinc/62/33/49/1046623349.db2.gz FKGJHJDIZDBFDG-ZJUUUORDSA-N 0 3 305.285 4.381 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc3c(c2)COC(C)(C)O3)c1 ZINC000827094618 1046623458 /nfs/dbraw/zinc/62/34/58/1046623458.db2.gz SGZQPBSJYUYGMY-UHFFFAOYSA-N 0 3 311.425 4.238 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cnccc2C)cc1Br ZINC000678361662 1046624899 /nfs/dbraw/zinc/62/48/99/1046624899.db2.gz ICIXEQUNRZXHET-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cnccc2C)cc1Br ZINC000678361661 1046625751 /nfs/dbraw/zinc/62/57/51/1046625751.db2.gz ICIXEQUNRZXHET-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](Cc1ccc(-c2ccccc2)cc1)N[C@@H]1CCn2ccnc21 ZINC000834541157 1046629359 /nfs/dbraw/zinc/62/93/59/1046629359.db2.gz PZLODZAYYBCGCU-OXJNMPFZSA-N 0 3 317.436 4.216 20 0 DIADHN Cc1ccc2c(c1)c(CN1CCCC1)cn2C(=O)OC(C)(C)C ZINC001140255964 1046629407 /nfs/dbraw/zinc/62/94/07/1046629407.db2.gz CPHYSXWYUWTDKN-UHFFFAOYSA-N 0 3 314.429 4.329 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(C)nc2C)cc1F ZINC000678412595 1046630943 /nfs/dbraw/zinc/63/09/43/1046630943.db2.gz YCFUUADAECWJQT-JSGCOSHPSA-N 0 3 302.393 4.258 20 0 DIADHN COc1ccc(Nc2ccc3nc(C)[nH]c3c2)c2ccncc12 ZINC001213076649 1046632435 /nfs/dbraw/zinc/63/24/35/1046632435.db2.gz WNOQKLWUQGKMQQ-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCC1)c1nc(Br)cs1 ZINC000834585280 1046633658 /nfs/dbraw/zinc/63/36/58/1046633658.db2.gz LMLCZNJSZUNPOO-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccccc3)C[C@H]2C)nc2ccccc12 ZINC000532977714 1046633767 /nfs/dbraw/zinc/63/37/67/1046633767.db2.gz GYAKCQQOXZXDPE-QAPCUYQASA-N 0 3 317.436 4.316 20 0 DIADHN CCOc1ccc2[nH]cc(CN(C)Cc3cccc(OC)c3)c2c1 ZINC001140271170 1046635334 /nfs/dbraw/zinc/63/53/34/1046635334.db2.gz ZHIXZHKYRJRTEL-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC[C@@H]3c3ccncc3)c2c1 ZINC001140270757 1046635980 /nfs/dbraw/zinc/63/59/80/1046635980.db2.gz QJUUJYHGLNKMEI-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](C)c1cnccc1C)CCC2 ZINC000678425660 1046636775 /nfs/dbraw/zinc/63/67/75/1046636775.db2.gz BNHMOOXSOYEHMD-GXTWGEPZSA-N 0 3 301.459 4.137 20 0 DIADHN COc1cc(CN[C@H](c2cccs2)C2CC2)cc(OC)c1 ZINC000642973516 1046636931 /nfs/dbraw/zinc/63/69/31/1046636931.db2.gz CBVIHRWRXAYTPU-KRWDZBQOSA-N 0 3 303.427 4.006 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)CC3CCCC3)co2)cc1 ZINC000533005080 1046637413 /nfs/dbraw/zinc/63/74/13/1046637413.db2.gz XGYZOBMRTUODSR-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000678428512 1046637553 /nfs/dbraw/zinc/63/75/53/1046637553.db2.gz UJFRNZHNYHKSOW-KBPBESRZSA-N 0 3 323.440 4.032 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000678428519 1046638118 /nfs/dbraw/zinc/63/81/18/1046638118.db2.gz UJFRNZHNYHKSOW-ZIAGYGMSSA-N 0 3 323.440 4.032 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000678428516 1046638194 /nfs/dbraw/zinc/63/81/94/1046638194.db2.gz UJFRNZHNYHKSOW-KGLIPLIRSA-N 0 3 323.440 4.032 20 0 DIADHN CC(C)N(CC(=O)Nc1cccc(Cl)c1Cl)CC1CC1 ZINC000533035178 1046641650 /nfs/dbraw/zinc/64/16/50/1046641650.db2.gz WATCVXNAGAHIMM-UHFFFAOYSA-N 0 3 315.244 4.052 20 0 DIADHN Clc1ccc(-c2ncc(CN3CCC[C@H]4C[C@H]43)s2)cc1 ZINC001140296879 1046642941 /nfs/dbraw/zinc/64/29/41/1046642941.db2.gz IISDHKCUKFAVEH-SWLSCSKDSA-N 0 3 304.846 4.448 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC001140296905 1046643306 /nfs/dbraw/zinc/64/33/06/1046643306.db2.gz JKHGVJMTJUQCBH-CQSZACIVSA-N 0 3 322.861 4.074 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccc3c2OCCO3)c2sccc21 ZINC000834650463 1046644740 /nfs/dbraw/zinc/64/47/40/1046644740.db2.gz LYFHLYYXFOSAEH-SWLSCSKDSA-N 0 3 315.438 4.248 20 0 DIADHN CC(C)N(Cc1csc(-c2ncccn2)n1)C1CCCCC1 ZINC000533048607 1046644714 /nfs/dbraw/zinc/64/47/14/1046644714.db2.gz PBWUAYANSLYHJN-UHFFFAOYSA-N 0 3 316.474 4.143 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC001140298502 1046644933 /nfs/dbraw/zinc/64/49/33/1046644933.db2.gz UYORGTZZRLBGQU-CORIIIEPSA-N 0 3 322.836 4.396 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cccc3c2OCCO3)c2sccc21 ZINC000834650462 1046645136 /nfs/dbraw/zinc/64/51/36/1046645136.db2.gz LYFHLYYXFOSAEH-IUODEOHRSA-N 0 3 315.438 4.248 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)cc1Cl)[C@@H](C)C(C)C ZINC000533256162 1046679859 /nfs/dbraw/zinc/67/98/59/1046679859.db2.gz ANHZKPWVJFYTHC-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN C[C@@H](N[C@H]1CCC(C)(C)C1)c1nc(Br)cs1 ZINC000834697358 1046650261 /nfs/dbraw/zinc/65/02/61/1046650261.db2.gz JKSHVEYWOBNGBS-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1cnc(CN2CCCC[C@@H]2CCc2ccccc2)s1 ZINC000678531912 1046651764 /nfs/dbraw/zinc/65/17/64/1046651764.db2.gz SATAOYSMUIPYCA-QGZVFWFLSA-N 0 3 300.471 4.439 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2C[C@@H](O)C2)c2ccccc2Cl)cc1 ZINC000643273501 1046653129 /nfs/dbraw/zinc/65/31/29/1046653129.db2.gz QHNWILVNZLMHMP-GMBSWORKSA-N 0 3 315.844 4.098 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000678541709 1046653228 /nfs/dbraw/zinc/65/32/28/1046653228.db2.gz KCFBVSSDINVXEM-WIYYLYMNSA-N 0 3 323.484 4.268 20 0 DIADHN CC(C)C[C@H](C)NCc1nc2cc(Br)ccc2o1 ZINC000643885373 1046688813 /nfs/dbraw/zinc/68/88/13/1046688813.db2.gz TURASKPPDPEIGS-JTQLQIEISA-N 0 3 311.223 4.115 20 0 DIADHN Fc1ccc2[nH]cc(CN3CC[C@@H](OCc4ccccc4)C3)c2c1 ZINC001137340248 1046659518 /nfs/dbraw/zinc/65/95/18/1046659518.db2.gz XHUHVUAWNLIHLL-GOSISDBHSA-N 0 3 324.399 4.098 20 0 DIADHN Cc1ccccc1[C@H](NCc1c2c(nn1C)CCCC2)C(C)C ZINC000643321504 1046659606 /nfs/dbraw/zinc/65/96/06/1046659606.db2.gz YNTHSCGMHDKTPF-HXUWFJFHSA-N 0 3 311.473 4.094 20 0 DIADHN Cc1ccccc1[C@@H](NCc1c2c(nn1C)CCCC2)C(C)C ZINC000643321503 1046659898 /nfs/dbraw/zinc/65/98/98/1046659898.db2.gz YNTHSCGMHDKTPF-FQEVSTJZSA-N 0 3 311.473 4.094 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1C[C@@H](C)c2ccccc21 ZINC000678582762 1046662276 /nfs/dbraw/zinc/66/22/76/1046662276.db2.gz NYHMFBYPVVBNJE-BVGQSLNGSA-N 0 3 309.409 4.357 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1C[C@H](C)c2ccccc21 ZINC000678582765 1046662691 /nfs/dbraw/zinc/66/26/91/1046662691.db2.gz NYHMFBYPVVBNJE-KKXDTOCCSA-N 0 3 309.409 4.357 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@H]1CCc3ccc(C)cc31)CCO2 ZINC000678584475 1046663420 /nfs/dbraw/zinc/66/34/20/1046663420.db2.gz QSXJZLKLTUEJQC-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CCCc2ccc(C)cc21 ZINC000678587489 1046664137 /nfs/dbraw/zinc/66/41/37/1046664137.db2.gz CZJOLYGIXHCAJT-MOPGFXCFSA-N 0 3 323.436 4.494 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@H]1c3ccccc3C[C@@H]1C)CCO2 ZINC000678585796 1046664424 /nfs/dbraw/zinc/66/44/24/1046664424.db2.gz LTMUAVQTJWHMLU-UQIDSAAFSA-N 0 3 323.436 4.432 20 0 DIADHN CCn1cc(CN[C@H](C)c2cccc(-c3ccccc3)c2)cn1 ZINC000678590361 1046666569 /nfs/dbraw/zinc/66/65/69/1046666569.db2.gz UVFXHVUQMRVLHQ-MRXNPFEDSA-N 0 3 305.425 4.421 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@@H](OC)c1ccccc1Cl ZINC000678596833 1046669185 /nfs/dbraw/zinc/66/91/85/1046669185.db2.gz RPMRDWJJLXCZRH-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnccc1C)c1ccccc1Cl ZINC000678596715 1046669482 /nfs/dbraw/zinc/66/94/82/1046669482.db2.gz LJEWVODDYWQURL-SUMWQHHRSA-N 0 3 304.821 4.082 20 0 DIADHN C[C@H](NC1CCC2(CC2)CC1)c1nc(Br)cs1 ZINC000834784337 1046670305 /nfs/dbraw/zinc/67/03/05/1046670305.db2.gz VUOTWDQSMNNRHG-VIFPVBQESA-N 0 3 315.280 4.279 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cnc2c(cnn2C(C)C)c1 ZINC000236780396 1046670437 /nfs/dbraw/zinc/67/04/37/1046670437.db2.gz UISZPSSWRSCHBB-OAHLLOKOSA-N 0 3 308.429 4.171 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000643539432 1046670886 /nfs/dbraw/zinc/67/08/86/1046670886.db2.gz AZXFQHYVPFGDAQ-IBGZPJMESA-N 0 3 314.379 4.100 20 0 DIADHN c1ccc([C@@H]2CCN2Cc2ccc(-c3cncnc3)cc2)cc1 ZINC001140353457 1046671898 /nfs/dbraw/zinc/67/18/98/1046671898.db2.gz IQMUSCHIRGXPSZ-FQEVSTJZSA-N 0 3 301.393 4.091 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NCc1c(C)cccc1C)CCO2 ZINC000678598675 1046672431 /nfs/dbraw/zinc/67/24/31/1046672431.db2.gz LETXAVHKMMXANT-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN CCCN(Cc1sc(N2CCCC2)nc1Cl)[C@H](C)CC ZINC001140361044 1046672487 /nfs/dbraw/zinc/67/24/87/1046672487.db2.gz WTTQGFRBILMOAA-GFCCVEGCSA-N 0 3 315.914 4.407 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2cncnc2)cc1 ZINC001140357210 1046672565 /nfs/dbraw/zinc/67/25/65/1046672565.db2.gz VDVSEBGLTGGMSM-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](N[C@H]1CCCc3cn[nH]c31)CC2 ZINC000678598344 1046672766 /nfs/dbraw/zinc/67/27/66/1046672766.db2.gz FWADYMRRZPQFPH-KGLIPLIRSA-N 0 3 322.239 4.371 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000533239305 1046673906 /nfs/dbraw/zinc/67/39/06/1046673906.db2.gz UDPODKZHYKIIHA-BMGDILEWSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1nc([C@H](C)NCC2(Cc3ccccc3)CC2)c(C)s1 ZINC000678602556 1046674082 /nfs/dbraw/zinc/67/40/82/1046674082.db2.gz CQTRMVSQJQFBNO-ZDUSSCGKSA-N 0 3 300.471 4.433 20 0 DIADHN CCC[C@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000678601469 1046674593 /nfs/dbraw/zinc/67/45/93/1046674593.db2.gz XLJLYRROBUWOQP-RBUKOAKNSA-N 0 3 314.473 4.051 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CN(C)Cc3ccccc32)c(C)s1 ZINC000678606910 1046677361 /nfs/dbraw/zinc/67/73/61/1046677361.db2.gz XYVPHDFGSYDLAY-UGSOOPFHSA-N 0 3 300.471 4.202 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H]2CCc3cc(Cl)ccc32)C1 ZINC000678606906 1046677449 /nfs/dbraw/zinc/67/74/49/1046677449.db2.gz XTZBNVOHDYFYRR-RTBURBONSA-N 0 3 312.844 4.104 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CN(C)Cc3ccccc32)cc(C)c1F ZINC000678606556 1046677518 /nfs/dbraw/zinc/67/75/18/1046677518.db2.gz OEZIKRCNXPAPKE-KXBFYZLASA-N 0 3 312.432 4.280 20 0 DIADHN CN(CCOc1ccccc1)Cc1ccc(-c2ccccn2)cc1 ZINC001140380279 1046678903 /nfs/dbraw/zinc/67/89/03/1046678903.db2.gz RPOHIZFVPRHHEP-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCC(=O)Nc1cccc2ccccc21 ZINC000533247765 1046678900 /nfs/dbraw/zinc/67/89/00/1046678900.db2.gz DNSPPQFDFLKRMD-HNNXBMFYSA-N 0 3 322.408 4.454 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1c2ccccc2CC[C@H]1C ZINC000678609179 1046679105 /nfs/dbraw/zinc/67/91/05/1046679105.db2.gz HEYYPJPLIDWICW-HEFCMCLBSA-N 0 3 323.436 4.432 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CC2CCCC2)c(Cl)c1 ZINC000533260298 1046680428 /nfs/dbraw/zinc/68/04/28/1046680428.db2.gz WOLSUWGACVEWOD-CQSZACIVSA-N 0 3 322.880 4.406 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc(-c3ccccn3)cc2)C1 ZINC001140381352 1046681134 /nfs/dbraw/zinc/68/11/34/1046681134.db2.gz WBPOEJYCLBHVIS-HNNXBMFYSA-N 0 3 306.331 4.133 20 0 DIADHN CC(C)(C)Nc1nc(Cl)c(CN2C[C@H]3CCC[C@@H]3C2)s1 ZINC001140390480 1046686598 /nfs/dbraw/zinc/68/65/98/1046686598.db2.gz QMIKZNFMGIXFIH-GHMZBOCLSA-N 0 3 313.898 4.239 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1c(C)cccc1C ZINC000533290058 1046687933 /nfs/dbraw/zinc/68/79/33/1046687933.db2.gz NSYLLDCBVORGEL-PBHICJAKSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCc1cccc(N(C)C)c1 ZINC000678742768 1046693318 /nfs/dbraw/zinc/69/33/18/1046693318.db2.gz QEKCGFIJLLVXDG-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN F[C@@H]1CCCCN(Cc2ccc(-c3ccc(Cl)nn3)cc2)C1 ZINC001140409871 1046693310 /nfs/dbraw/zinc/69/33/10/1046693310.db2.gz GCIACDXIZJQUFE-OAHLLOKOSA-N 0 3 319.811 4.121 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3cccc(Cl)n3)C2)c1 ZINC000784495623 1046693489 /nfs/dbraw/zinc/69/34/89/1046693489.db2.gz WIABHKVYNVVQOY-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN CCN(C(=O)CN[C@@H](C)c1ccc(C)cc1C)c1ccccc1 ZINC000678737889 1046693633 /nfs/dbraw/zinc/69/36/33/1046693633.db2.gz WDRGANHWRJEJPZ-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3ccnc(Cl)c3)C2)c1 ZINC000784495633 1046693992 /nfs/dbraw/zinc/69/39/92/1046693992.db2.gz WXFGMWSTJWIGCY-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2c3ccccc3CC[C@H]2C)cn1 ZINC000678765752 1046695170 /nfs/dbraw/zinc/69/51/70/1046695170.db2.gz DYTKDMWXJVQPCU-QRWLVFNGSA-N 0 3 310.441 4.282 20 0 DIADHN C[C@]1(CN[C@@H](c2ncc[nH]2)C2CCCCC2)CC1(Cl)Cl ZINC000834884588 1046696318 /nfs/dbraw/zinc/69/63/18/1046696318.db2.gz NVAABOPLBNVXPK-TZMCWYRMSA-N 0 3 316.276 4.205 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1c2ccccc2CC[C@H]1C ZINC000678770107 1046698243 /nfs/dbraw/zinc/69/82/43/1046698243.db2.gz LCGMDYUVCUXPCP-XWRIVVANSA-N 0 3 323.436 4.432 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1cnn(C2CCCC2)c1 ZINC000834885764 1046698306 /nfs/dbraw/zinc/69/83/06/1046698306.db2.gz XVEVKVQENGNOGS-RISCZKNCSA-N 0 3 316.276 4.233 20 0 DIADHN C[C@]1(CN[C@@H]2CCCOc3ccc(F)cc32)CC1(Cl)Cl ZINC000834885224 1046698723 /nfs/dbraw/zinc/69/87/23/1046698723.db2.gz UDAGYUSFSJSVEA-TZMCWYRMSA-N 0 3 318.219 4.213 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](CC2CC2)c2ccccc2)c(C)c1 ZINC000678776412 1046699699 /nfs/dbraw/zinc/69/96/99/1046699699.db2.gz LQXNEWXPJJGCKE-HXUWFJFHSA-N 0 3 322.452 4.373 20 0 DIADHN CC[NH+](CC)Cc1ccccc1Nc1ncc(Cl)cc1[O-] ZINC001211988626 1046700065 /nfs/dbraw/zinc/70/00/65/1046700065.db2.gz AFZCRTTZMPXPKM-UHFFFAOYSA-N 0 3 305.809 4.026 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1ccc2ccccc2n1 ZINC000834885125 1046700316 /nfs/dbraw/zinc/70/03/16/1046700316.db2.gz TUHHHQICJUXQBO-NHYWBVRUSA-N 0 3 309.240 4.469 20 0 DIADHN C[C@]1(CF)CCN(Cc2cnc(-c3cccc(F)c3)s2)C1 ZINC001140416381 1046704138 /nfs/dbraw/zinc/70/41/38/1046704138.db2.gz BLNBKFNEEUHFDP-MRXNPFEDSA-N 0 3 308.397 4.131 20 0 DIADHN O=C(Nc1ccccc1)[C@H](NCC1CCCC1)c1ccccc1 ZINC000533350487 1046704273 /nfs/dbraw/zinc/70/42/73/1046704273.db2.gz TUBWESPXLOSQLO-LJQANCHMSA-N 0 3 308.425 4.146 20 0 DIADHN CC(C)N(C(=O)CN[C@@H](c1ccccc1)C(C)(C)C)C(C)C ZINC000533353340 1046706007 /nfs/dbraw/zinc/70/60/07/1046706007.db2.gz RYANYKQVVCPLAP-SFHVURJKSA-N 0 3 304.478 4.009 20 0 DIADHN Fc1cccc(-c2ncc(CN3CC[C@@]4(CC4(F)F)C3)s2)c1 ZINC001140419822 1046706326 /nfs/dbraw/zinc/70/63/26/1046706326.db2.gz MLBFEEYIJWFCKH-OAHLLOKOSA-N 0 3 324.371 4.180 20 0 DIADHN CC(C)c1ccc([C@@H](C)OC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000784729313 1046708032 /nfs/dbraw/zinc/70/80/32/1046708032.db2.gz NMRZOGGIBWNMJU-OAHLLOKOSA-N 0 3 315.457 4.289 20 0 DIADHN CSC1CCN(Cc2cnc(-c3cccc(F)c3)s2)CC1 ZINC001140419856 1046710245 /nfs/dbraw/zinc/71/02/45/1046710245.db2.gz NRFHITMCKVTVEB-UHFFFAOYSA-N 0 3 322.474 4.277 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1c(F)cccc1-n1cccn1 ZINC000834901462 1046711557 /nfs/dbraw/zinc/71/15/57/1046711557.db2.gz ABCNGSJOAQJWMQ-LJQANCHMSA-N 0 3 321.399 4.097 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1csc(-c2ncccn2)n1 ZINC000533390139 1046713418 /nfs/dbraw/zinc/71/34/18/1046713418.db2.gz RQWMZKBAAYTICO-DZGCQCFKSA-N 0 3 316.474 4.001 20 0 DIADHN C[C@@H]1CN(Cc2nc3c(s2)CCC3)CC[C@@H]1c1ccccc1 ZINC000678835757 1046717754 /nfs/dbraw/zinc/71/77/54/1046717754.db2.gz ZUVLGHWPMWHKGQ-ZBFHGGJFSA-N 0 3 312.482 4.257 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1Cl)N(C)CC(C)(C)C ZINC000533416296 1046717911 /nfs/dbraw/zinc/71/79/11/1046717911.db2.gz UBMBHTFGHVQDLP-SNVBAGLBSA-N 0 3 317.260 4.298 20 0 DIADHN CCc1nn(C)cc1CN(C1CC1)C1CC(c2ccccc2C)C1 ZINC000678835302 1046717993 /nfs/dbraw/zinc/71/79/93/1046717993.db2.gz KLRQJUFKFCURKG-UHFFFAOYSA-N 0 3 323.484 4.202 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)N(C)CC1CCC1 ZINC000533440355 1046719106 /nfs/dbraw/zinc/71/91/06/1046719106.db2.gz OXXNCKCBNRCBOQ-CABCVRRESA-N 0 3 302.462 4.259 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000246165498 1046720432 /nfs/dbraw/zinc/72/04/32/1046720432.db2.gz UXDZMSVQPMGWDR-JECHBYEQSA-N 0 3 320.795 4.055 20 0 DIADHN CC(C)[C@@H](NCc1ccc(N(C)C)nc1)c1ccc(Cl)cc1 ZINC000678871668 1046723647 /nfs/dbraw/zinc/72/36/47/1046723647.db2.gz HOMVEQNJLMAURY-GOSISDBHSA-N 0 3 317.864 4.288 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2nc(Cl)ccc2C)C1 ZINC001140442190 1046726378 /nfs/dbraw/zinc/72/63/78/1046726378.db2.gz YXEJJUFCLMVYCP-CQSZACIVSA-N 0 3 316.832 4.042 20 0 DIADHN CC[C@H](NCc1nnc(-c2cc(C)oc2C)o1)C1CCCCC1 ZINC000678896467 1046727017 /nfs/dbraw/zinc/72/70/17/1046727017.db2.gz IYMJVEKZHRFUMR-INIZCTEOSA-N 0 3 317.433 4.395 20 0 DIADHN Cc1ccc(Cl)nc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC001140447505 1046729294 /nfs/dbraw/zinc/72/92/94/1046729294.db2.gz ACIXWDGUUGPCOK-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(-c2cccnc2)cc1 ZINC000796778165 1046731373 /nfs/dbraw/zinc/73/13/73/1046731373.db2.gz GBAGMQVJTFRNET-IFXJQAMLSA-N 0 3 318.424 4.200 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccccc2-c2nccs2)C1 ZINC001140452985 1046732377 /nfs/dbraw/zinc/73/23/77/1046732377.db2.gz CULPMZZYPMFPRJ-CYBMUJFWSA-N 0 3 308.397 4.287 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC3CC3)C(C)C)co2)cc1 ZINC000533495679 1046734351 /nfs/dbraw/zinc/73/43/51/1046734351.db2.gz VTUVWIAFGFFXKY-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN CCc1nc([C@H](C)NC[C@@H](C)c2ccc(OC)cc2)cs1 ZINC000678930651 1046737063 /nfs/dbraw/zinc/73/70/63/1046737063.db2.gz AONDKOWFILLHNP-OLZOCXBDSA-N 0 3 304.459 4.168 20 0 DIADHN CC(C)N(Cc1nccn1Cc1ccccc1)C1CCCCC1 ZINC000533507781 1046737713 /nfs/dbraw/zinc/73/77/13/1046737713.db2.gz CGINMXTZSZBHLK-UHFFFAOYSA-N 0 3 311.473 4.475 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1ccc2c[nH]nc2c1 ZINC000678939197 1046741116 /nfs/dbraw/zinc/74/11/16/1046741116.db2.gz OPSVSWGSBMOXPH-LJQANCHMSA-N 0 3 323.440 4.449 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(-c2ccccc2)nc1 ZINC000533523912 1046741279 /nfs/dbraw/zinc/74/12/79/1046741279.db2.gz XWBHUGWUAOSGEF-OAHLLOKOSA-N 0 3 315.486 4.107 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(-c2ccccc2)nc1 ZINC000533523904 1046742445 /nfs/dbraw/zinc/74/24/45/1046742445.db2.gz XWBHUGWUAOSGEF-HNNXBMFYSA-N 0 3 315.486 4.107 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc(F)cc(OC)c2)cc1 ZINC001140483691 1046744095 /nfs/dbraw/zinc/74/40/95/1046744095.db2.gz YLBLHNYVRNPFDS-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN COc1cc(F)cc(CN(C)Cc2cccc3cccnc32)c1 ZINC001140482824 1046744535 /nfs/dbraw/zinc/74/45/35/1046744535.db2.gz BUUJBBNDGQWGLG-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2nc3c(s2)CCCC3)o1 ZINC000678956122 1046744599 /nfs/dbraw/zinc/74/45/99/1046744599.db2.gz UKJONCGECXLROD-GFCCVEGCSA-N 0 3 304.459 4.034 20 0 DIADHN c1ccc(C[C@H]2CCN(Cc3nc4c(s3)CCCC4)C2)cc1 ZINC000678958748 1046745758 /nfs/dbraw/zinc/74/57/58/1046745758.db2.gz LMJJWYMDFCICOW-MRXNPFEDSA-N 0 3 312.482 4.087 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3nc4c(s3)CCCC4)C2)cc1 ZINC000644893551 1046748291 /nfs/dbraw/zinc/74/82/91/1046748291.db2.gz YNEYDKMHUJNJTP-CTYIDZIISA-N 0 3 316.445 4.197 20 0 DIADHN CN(Cc1cc(Cl)cc(C(F)(F)F)c1)CC1=CCCOC1 ZINC000827190540 1046748301 /nfs/dbraw/zinc/74/83/01/1046748301.db2.gz WNGHWBWGTSPTLQ-UHFFFAOYSA-N 0 3 319.754 4.137 20 0 DIADHN CCCCn1nc(C)c(CNCCC2CC(F)(F)C2)c1Cl ZINC000835062001 1046751042 /nfs/dbraw/zinc/75/10/42/1046751042.db2.gz WSRUDIWQZUKEIT-UHFFFAOYSA-N 0 3 319.827 4.170 20 0 DIADHN CC(C)Oc1ccc([C@@H]2CCC[C@H]2NCc2cscn2)cc1 ZINC000679000218 1046753700 /nfs/dbraw/zinc/75/37/00/1046753700.db2.gz YHAMQHHIWIVUKI-ZWKOTPCHSA-N 0 3 316.470 4.356 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3noc4c3CCCC4)C2)cc1 ZINC000645007998 1046755183 /nfs/dbraw/zinc/75/51/83/1046755183.db2.gz RPRBNLYCBRYSHD-KRWDZBQOSA-N 0 3 310.441 4.105 20 0 DIADHN CC[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1cccc(C)c1 ZINC000796896806 1046755584 /nfs/dbraw/zinc/75/55/84/1046755584.db2.gz AXRZWOGZGJYVBZ-NRFANRHFSA-N 0 3 323.484 4.310 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H](c2ccccc2)C2CCCC2)n[nH]1 ZINC000644998244 1046756090 /nfs/dbraw/zinc/75/60/90/1046756090.db2.gz MLQUNQCLVKKNSZ-KRWDZBQOSA-N 0 3 312.461 4.123 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccc(F)cc1OC(F)F ZINC000679071442 1046767825 /nfs/dbraw/zinc/76/78/25/1046767825.db2.gz IVLXDSMHFBRBGL-SCZZXKLOSA-N 0 3 309.278 4.266 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccc(F)cc1OC(F)F ZINC000679071548 1046769360 /nfs/dbraw/zinc/76/93/60/1046769360.db2.gz IVLXDSMHFBRBGL-WPRPVWTQSA-N 0 3 309.278 4.266 20 0 DIADHN C[C@@H](NC1(CCOCc2ccccc2)CC1)c1ccc(F)cn1 ZINC000679087272 1046772727 /nfs/dbraw/zinc/77/27/27/1046772727.db2.gz UXISKWSOSFMTIR-OAHLLOKOSA-N 0 3 314.404 4.011 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(F)cc(Cl)c3)C2)cc1 ZINC001140542110 1046773496 /nfs/dbraw/zinc/77/34/96/1046773496.db2.gz BTHMQXUYKFVAOK-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1ccc(F)cc1OC(F)F ZINC000679091643 1046774739 /nfs/dbraw/zinc/77/47/39/1046774739.db2.gz HLXYCMMJWSKSRP-COPLHBTASA-N 0 3 317.351 4.035 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@H](C)c2ccc(F)cc2F)cc1 ZINC000797061760 1046783526 /nfs/dbraw/zinc/78/35/26/1046783526.db2.gz ORRBJKVWYNWARP-SNUQEOBHSA-N 0 3 305.368 4.046 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@H](C)c2ccccc2Cl)cc1 ZINC000797061753 1046783851 /nfs/dbraw/zinc/78/38/51/1046783851.db2.gz OQRFVYYBIGTWHI-QWQRMKEZSA-N 0 3 303.833 4.421 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000797065568 1046784542 /nfs/dbraw/zinc/78/45/42/1046784542.db2.gz LWYBWIUVVZRBNR-OAVHHTNSSA-N 0 3 323.358 4.185 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000797065208 1046784831 /nfs/dbraw/zinc/78/48/31/1046784831.db2.gz KLLAVIXHQJUDHW-VMDGZTHMSA-N 0 3 319.395 4.436 20 0 DIADHN CCc1ccc2nccc(N3CC4(C[C@H]3C)CCOCC4)c2c1 ZINC000645384531 1046786996 /nfs/dbraw/zinc/78/69/96/1046786996.db2.gz PZQIZLVIFDCIKG-OAHLLOKOSA-N 0 3 310.441 4.193 20 0 DIADHN CCC[C@H](N[C@H]1CCO[C@H](C(C)C)C1)c1ncccc1Cl ZINC000925293483 1046787493 /nfs/dbraw/zinc/78/74/93/1046787493.db2.gz BLKQQZFLWVBGRV-BPUTZDHNSA-N 0 3 310.869 4.369 20 0 DIADHN CC(C)n1ccc(CN[C@H](Cc2ccccc2Cl)C2CC2)n1 ZINC000645411221 1046789052 /nfs/dbraw/zinc/78/90/52/1046789052.db2.gz YSWHAZWPAMPCOY-GOSISDBHSA-N 0 3 317.864 4.228 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCCOC(C)(C)C ZINC001203048736 1046790962 /nfs/dbraw/zinc/79/09/62/1046790962.db2.gz YTDGXZAZEKRIOR-UHFFFAOYSA-N 0 3 308.447 4.006 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Cl)cc(Cl)c21)c1cc[nH]c(=O)c1 ZINC000645485601 1046794674 /nfs/dbraw/zinc/79/46/74/1046794674.db2.gz YMBODSPSGCCZAP-OTYXRUKQSA-N 0 3 323.223 4.432 20 0 DIADHN Cc1ccc(F)cc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001140594673 1046799792 /nfs/dbraw/zinc/79/97/92/1046799792.db2.gz ICLCFBOJCAQOKH-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccccc3)CC2)cc(C)c1O ZINC000409846800 1046800830 /nfs/dbraw/zinc/80/08/30/1046800830.db2.gz ZWHYNUDAMRENBH-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccccc2C2CC2)cn1 ZINC000679289794 1046804041 /nfs/dbraw/zinc/80/40/41/1046804041.db2.gz MDVHUKMJCOQVTF-UHFFFAOYSA-N 0 3 310.441 4.426 20 0 DIADHN CC(C)[C@@H](NCc1ccc(CO)cc1)c1cc2ccccc2o1 ZINC000679321242 1046808711 /nfs/dbraw/zinc/80/87/11/1046808711.db2.gz PRGXRZIHZJNOEI-HXUWFJFHSA-N 0 3 309.409 4.412 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H]1c2cc(C)ccc2C[C@H]1C ZINC000679337610 1046816715 /nfs/dbraw/zinc/81/67/15/1046816715.db2.gz XZORFVCDGRMJCV-KUHUBIRLSA-N 0 3 310.441 4.039 20 0 DIADHN CN(C)Cc1cnc(CNC[C@@H]2CCCC[C@@H]2C(C)(C)C)s1 ZINC000796277060 1046822350 /nfs/dbraw/zinc/82/23/50/1046822350.db2.gz BPTXIGRIOSCQHD-HOCLYGCPSA-N 0 3 323.550 4.147 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1ccccn1)[C@@H]1CCCCO1 ZINC000679354930 1046823087 /nfs/dbraw/zinc/82/30/87/1046823087.db2.gz OAYSOAZCPMEWBS-VQTJNVASSA-N 0 3 324.468 4.356 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1ccccn1)[C@H]1CCCCO1 ZINC000679354931 1046823625 /nfs/dbraw/zinc/82/36/25/1046823625.db2.gz OAYSOAZCPMEWBS-WOJBJXKFSA-N 0 3 324.468 4.356 20 0 DIADHN c1sc2ccccc2c1CN1CCC(Oc2cccnc2)CC1 ZINC001140637963 1046824989 /nfs/dbraw/zinc/82/49/89/1046824989.db2.gz BRPGRVZRQILTFG-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CC[C@@H](NCCC[C@@H]1CCOC1)c1nc(C(F)(F)F)cs1 ZINC000645853726 1046826262 /nfs/dbraw/zinc/82/62/62/1046826262.db2.gz DYGNBXHFHYVICW-GHMZBOCLSA-N 0 3 322.396 4.019 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(C(C)(C)C)[nH]2)C1 ZINC001137371049 1046827337 /nfs/dbraw/zinc/82/73/37/1046827337.db2.gz XRXPXRWHGFXRFL-OAHLLOKOSA-N 0 3 312.457 4.310 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)NCc1noc2c1CCCC2 ZINC000679368042 1046830411 /nfs/dbraw/zinc/83/04/11/1046830411.db2.gz GGSWJXYRJKESFP-QGZVFWFLSA-N 0 3 316.420 4.049 20 0 DIADHN FC(F)(F)Oc1cccc([C@H](NC/C=C/Cl)C2CC2)c1 ZINC000796291832 1046833901 /nfs/dbraw/zinc/83/39/01/1046833901.db2.gz VNBGTOFNUXNHFP-DRRGCAIJSA-N 0 3 305.727 4.378 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2-c2ccnn2C)C2CC2)o1 ZINC000646052805 1046848288 /nfs/dbraw/zinc/84/82/88/1046848288.db2.gz LUPYGROIXMXITQ-UHFFFAOYSA-N 0 3 321.424 4.153 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)o1 ZINC000248671225 1046849729 /nfs/dbraw/zinc/84/97/29/1046849729.db2.gz BEWGNASWTGMTCH-LIVBEALHSA-N 0 3 309.409 4.034 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)o1 ZINC000248671226 1046850563 /nfs/dbraw/zinc/85/05/63/1046850563.db2.gz BEWGNASWTGMTCH-ZTQAJYAQSA-N 0 3 309.409 4.034 20 0 DIADHN CCCCN(Cc1csc(C)n1)[C@@H]1CCc2ncsc2C1 ZINC000646129110 1046863114 /nfs/dbraw/zinc/86/31/14/1046863114.db2.gz VLGWJPYYWKCLSL-CQSZACIVSA-N 0 3 321.515 4.068 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCCC[C@H]2C2CCC2)n1 ZINC000646138541 1046863803 /nfs/dbraw/zinc/86/38/03/1046863803.db2.gz LKXSEXYNGPNACA-IBGZPJMESA-N 0 3 309.457 4.086 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CCCC[C@H]1C1CCC1 ZINC000646138568 1046864737 /nfs/dbraw/zinc/86/47/37/1046864737.db2.gz MBLRVSXLZFABKA-KRWDZBQOSA-N 0 3 313.470 4.360 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cc(C)nc(Cl)c2)cc1 ZINC000797594341 1046864813 /nfs/dbraw/zinc/86/48/13/1046864813.db2.gz DSDKOACNBDQDIO-ZDUSSCGKSA-N 0 3 304.821 4.293 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CCCC[C@@H]1C1CCC1 ZINC000646138569 1046865272 /nfs/dbraw/zinc/86/52/72/1046865272.db2.gz MBLRVSXLZFABKA-QGZVFWFLSA-N 0 3 313.470 4.360 20 0 DIADHN Nc1ccc(Cl)cc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC001140717200 1046870628 /nfs/dbraw/zinc/87/06/28/1046870628.db2.gz OKDWYCWWGIKQBJ-ZDUSSCGKSA-N 0 3 304.796 4.051 20 0 DIADHN COc1ccc(CN[C@H]2CCC(C)(C)c3ccccc32)cc1C#N ZINC000237361116 1046871193 /nfs/dbraw/zinc/87/11/93/1046871193.db2.gz ZEOKXYSOSRDYDR-IBGZPJMESA-N 0 3 320.436 4.469 20 0 DIADHN COCCC1CCN(Cc2c(Cl)ncc3ccccc32)CC1 ZINC001140722528 1046875480 /nfs/dbraw/zinc/87/54/80/1046875480.db2.gz XNIODEMFSFNMGG-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1cccc(-n2cccn2)c1 ZINC000679584450 1046879529 /nfs/dbraw/zinc/87/95/29/1046879529.db2.gz PGYBMCZHURSVFP-DZGCQCFKSA-N 0 3 323.362 4.035 20 0 DIADHN CCOc1cccc(CN2C[C@H](C(F)(F)F)CC[C@@H]2C)c1 ZINC000679584389 1046881319 /nfs/dbraw/zinc/88/13/19/1046881319.db2.gz NPUDUGMMWSEOTA-GXTWGEPZSA-N 0 3 301.352 4.248 20 0 DIADHN COc1ccc([C@@H](C)N(C)C[C@]2(C)CC2(Cl)Cl)cc1 ZINC000827287660 1046883839 /nfs/dbraw/zinc/88/38/39/1046883839.db2.gz OATBIAPNJFKZRE-RISCZKNCSA-N 0 3 302.245 4.272 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@H]32)c(Br)c1 ZINC001140760848 1046888066 /nfs/dbraw/zinc/88/80/66/1046888066.db2.gz WGSBRNQBTMHUIH-BLLLJJGKSA-N 0 3 324.262 4.222 20 0 DIADHN COc1ccc(CN(C)CC2CCCC2)c(Br)c1 ZINC001140760731 1046888944 /nfs/dbraw/zinc/88/89/44/1046888944.db2.gz RUPVZBYHKMOFLL-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1cc(Cl)sc1Cl ZINC000251659920 1046889775 /nfs/dbraw/zinc/88/97/75/1046889775.db2.gz HYLSPUPASLEBOO-URPMGSGRSA-N 0 3 306.258 4.273 20 0 DIADHN Brc1ccnc(CN2CCC[C@@H]2C2CCCCC2)c1 ZINC001140766702 1046890985 /nfs/dbraw/zinc/89/09/85/1046890985.db2.gz OZMOWMSHHORZNX-MRXNPFEDSA-N 0 3 323.278 4.389 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C)nc2N2CCCC2)c(C)c1 ZINC000679723699 1046895523 /nfs/dbraw/zinc/89/55/23/1046895523.db2.gz QCVOZJXHVPGBKK-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2CC2)c(N2CCCC2)n1 ZINC000679722911 1046895697 /nfs/dbraw/zinc/89/56/97/1046895697.db2.gz IITYTBHCWTVNCP-HXUWFJFHSA-N 0 3 321.468 4.231 20 0 DIADHN Cc1cccnc1O[C@H]1CCCN(Cc2coc3ccccc23)C1 ZINC001140785958 1046898644 /nfs/dbraw/zinc/89/86/44/1046898644.db2.gz CCVRRYYMUSPVLW-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(O)cc(Cl)c3)C2)cc1 ZINC001140790612 1046900528 /nfs/dbraw/zinc/90/05/28/1046900528.db2.gz CVEDHAYAPOLQGI-OAHLLOKOSA-N 0 3 317.816 4.044 20 0 DIADHN Cc1ccc(CCNCc2cscc2Br)cc1C ZINC001203067259 1046903020 /nfs/dbraw/zinc/90/30/20/1046903020.db2.gz BOOONARXINKKEZ-UHFFFAOYSA-N 0 3 324.287 4.460 20 0 DIADHN CCOC(=O)CCC1CCN(Cc2ccc(C)cc2Cl)CC1 ZINC001140798335 1046903820 /nfs/dbraw/zinc/90/38/20/1046903820.db2.gz JFWSNHVBPAKRFC-UHFFFAOYSA-N 0 3 323.864 4.204 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2Cc2cnc3cc[nH]cc2-3)c1 ZINC001140807034 1046911503 /nfs/dbraw/zinc/91/15/03/1046911503.db2.gz IXIALAPKSSDPFV-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2Cc2c[nH]c3ccncc23)c1 ZINC001140807034 1046911512 /nfs/dbraw/zinc/91/15/12/1046911512.db2.gz IXIALAPKSSDPFV-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN CCCCCN1CCN(Cc2cccc(-c3ccncc3)c2)CC1 ZINC001137393590 1046914188 /nfs/dbraw/zinc/91/41/88/1046914188.db2.gz WQLNITXMSYMXSD-UHFFFAOYSA-N 0 3 323.484 4.056 20 0 DIADHN C[C@@H](c1ccco1)N(CCCN(C)C(=O)OC(C)(C)C)C1CC1 ZINC000797975130 1046914386 /nfs/dbraw/zinc/91/43/86/1046914386.db2.gz YCXQQLYVGKJGQH-AWEZNQCLSA-N 0 3 322.449 4.062 20 0 DIADHN COc1ccc(CCN(C)Cc2ccc(OC)cc2Cl)cc1 ZINC001140822392 1046916683 /nfs/dbraw/zinc/91/66/83/1046916683.db2.gz NJXGSIGKIFOWBZ-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN C[C@@H]1CN(Cc2cc3ccccc3[nH]c2=O)[C@H]1c1ccccc1 ZINC000253551321 1046921495 /nfs/dbraw/zinc/92/14/95/1046921495.db2.gz OEMUJFGPNGBDBL-AUUYWEPGSA-N 0 3 304.393 4.133 20 0 DIADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000303519101 1046927955 /nfs/dbraw/zinc/92/79/55/1046927955.db2.gz DUSKXNSOGHSIOF-DLBZAZTESA-N 0 3 318.848 4.014 20 0 DIADHN Cc1cc([C@H]2CCCN2Cc2cc(C(C)C)c(C)cc2O)on1 ZINC000054514731 1046932675 /nfs/dbraw/zinc/93/26/75/1046932675.db2.gz YFIRRQBHBYNUNC-QGZVFWFLSA-N 0 3 314.429 4.458 20 0 DIADHN Cc1c[nH]c(CN2CCC(Oc3ccc(Cl)cc3)CC2)c1C ZINC001140859066 1046932898 /nfs/dbraw/zinc/93/28/98/1046932898.db2.gz SFUKPNXSCDJKDX-UHFFFAOYSA-N 0 3 318.848 4.328 20 0 DIADHN CC(C)C[C@H](NCc1ccnn1CC(C)C)c1cccs1 ZINC000647174080 1046949384 /nfs/dbraw/zinc/94/93/84/1046949384.db2.gz MUALBANTHKMGMO-INIZCTEOSA-N 0 3 305.491 4.478 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@H](c2ccccc2)C2CC2)cn1 ZINC000647169676 1046949456 /nfs/dbraw/zinc/94/94/56/1046949456.db2.gz WTNLXNPVIUGPKV-OAQYLSRUSA-N 0 3 317.436 4.422 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2cccs2)c2ccc(Cl)cc2)CO1 ZINC000647171923 1046949812 /nfs/dbraw/zinc/94/98/12/1046949812.db2.gz AMXRMYFUZFGXMN-WPGHFRTFSA-N 0 3 307.846 4.258 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2cccs2)c2ccc(Cl)cc2)CO1 ZINC000647171924 1046949885 /nfs/dbraw/zinc/94/98/85/1046949885.db2.gz AMXRMYFUZFGXMN-XFJVYGCCSA-N 0 3 307.846 4.258 20 0 DIADHN C1=CC2(CCN(Cc3c[nH]c4ncccc34)CC2)c2ccccc21 ZINC001137397199 1046950711 /nfs/dbraw/zinc/95/07/11/1046950711.db2.gz CTRCHUDBGGUIRK-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN CC(C)(C)c1nc(CNC2(C)CCC(F)(F)CC2)cs1 ZINC000680137617 1046951998 /nfs/dbraw/zinc/95/19/98/1046951998.db2.gz PCAJSIOWMRWSQD-UHFFFAOYSA-N 0 3 302.434 4.498 20 0 DIADHN CC[C@H](C)CCCCC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000680189415 1046954754 /nfs/dbraw/zinc/95/47/54/1046954754.db2.gz UTZUGRYAAQBERM-KRWDZBQOSA-N 0 3 310.526 4.172 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H](C)CC(F)F)cs2)cc1 ZINC000647225963 1046955673 /nfs/dbraw/zinc/95/56/73/1046955673.db2.gz KSWCVVNQFCXRTD-LBPRGKRZSA-N 0 3 310.413 4.499 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140941709 1046955760 /nfs/dbraw/zinc/95/57/60/1046955760.db2.gz SSUMDTRDFRRMDJ-SFHVURJKSA-N 0 3 318.848 4.130 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1CN1CCC(F)(F)[C@H](C)C1 ZINC001140960851 1046964472 /nfs/dbraw/zinc/96/44/72/1046964472.db2.gz GLLSRPITACRGTP-LLVKDONJSA-N 0 3 323.305 4.371 20 0 DIADHN Cc1cc(CN2CCC(c3csc4ccccc34)CC2)n[nH]1 ZINC001203082911 1046964885 /nfs/dbraw/zinc/96/48/85/1046964885.db2.gz BYVJBCDJUWGDIG-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN COc1ccc([C@H](CC(C)C)NCc2cnc(C)s2)cc1 ZINC000647288222 1046968410 /nfs/dbraw/zinc/96/84/10/1046968410.db2.gz UNIWCMSWSXGQEG-KRWDZBQOSA-N 0 3 304.459 4.337 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1)c1cc2n(n1)CCCC2 ZINC000647292612 1046970175 /nfs/dbraw/zinc/97/01/75/1046970175.db2.gz ZTWXBGUMGVJOOT-CQSZACIVSA-N 0 3 317.864 4.156 20 0 DIADHN CCSc1cc(CN[C@@H](CC(C)C)c2ccncc2)ccn1 ZINC000647300621 1046972946 /nfs/dbraw/zinc/97/29/46/1046972946.db2.gz HCPMSWZLIRPOMR-KRWDZBQOSA-N 0 3 315.486 4.466 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(-c3ccccn3)s2)cc1 ZINC001140999665 1046973817 /nfs/dbraw/zinc/97/38/17/1046973817.db2.gz CLVPNPKKYNIZOL-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCOc1cccc2ccccc21 ZINC000680380893 1046976669 /nfs/dbraw/zinc/97/66/69/1046976669.db2.gz JBZJMASNUZYZKM-CYBMUJFWSA-N 0 3 310.397 4.174 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2ccc(CO)cc2)cc1 ZINC000647322256 1046977305 /nfs/dbraw/zinc/97/73/05/1046977305.db2.gz NIZLWMKZYIAMJO-MRXNPFEDSA-N 0 3 313.441 4.209 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCOc1ccc2ccccc2c1 ZINC000680383298 1046977915 /nfs/dbraw/zinc/97/79/15/1046977915.db2.gz PGLVYORFXKZTGX-ZDUSSCGKSA-N 0 3 310.397 4.174 20 0 DIADHN COc1ccccc1C1(N[C@H](C)[C@@H](OC)c2ccccc2)CC1 ZINC000647329133 1046979116 /nfs/dbraw/zinc/97/91/16/1046979116.db2.gz HLTHWEFGMICAGD-DNVCBOLYSA-N 0 3 311.425 4.050 20 0 DIADHN COc1ccccc1C1(N[C@H](C)[C@H](OC)c2ccccc2)CC1 ZINC000647329132 1046979191 /nfs/dbraw/zinc/97/91/91/1046979191.db2.gz HLTHWEFGMICAGD-BEFAXECRSA-N 0 3 311.425 4.050 20 0 DIADHN CC(C)N(Cc1c[nH]nc1C(F)(F)F)[C@@H](C)c1ccccc1 ZINC001141018735 1046979743 /nfs/dbraw/zinc/97/97/43/1046979743.db2.gz IWSYVIILJBOJGA-LBPRGKRZSA-N 0 3 311.351 4.400 20 0 DIADHN Oc1cccc2ccc(CN3CC[C@@H](c4cccc(F)c4)C3)nc12 ZINC001141022207 1046981806 /nfs/dbraw/zinc/98/18/06/1046981806.db2.gz PVXZTYSQXDQJQY-MRXNPFEDSA-N 0 3 322.383 4.069 20 0 DIADHN Oc1cccc2ccc(CN3CCC[C@@H]3c3ccccc3)nc12 ZINC001141023662 1046982022 /nfs/dbraw/zinc/98/20/22/1046982022.db2.gz SEABAQFVNVFFAE-GOSISDBHSA-N 0 3 304.393 4.278 20 0 DIADHN CC[C@@H](NCCc1cccc(-c2ccccc2)c1)c1ccn(C)n1 ZINC000680466668 1046984358 /nfs/dbraw/zinc/98/43/58/1046984358.db2.gz XIKPDHWMZHMUCI-HXUWFJFHSA-N 0 3 319.452 4.371 20 0 DIADHN c1ccc([C@H]2CCCCN2Cc2ccc3c(c2)OCCO3)cc1 ZINC001141036458 1046987322 /nfs/dbraw/zinc/98/73/22/1046987322.db2.gz CXIPUOYOLVAIJG-GOSISDBHSA-N 0 3 309.409 4.185 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NC1(c2cccc(Cl)c2)CCC1 ZINC000647348880 1046990035 /nfs/dbraw/zinc/99/00/35/1046990035.db2.gz ATLPFBFLWDQVJH-MRXNPFEDSA-N 0 3 315.848 4.120 20 0 DIADHN Cc1ncoc1CN1CCC(c2cccc(C(F)(F)F)c2)CC1 ZINC001141054186 1046991966 /nfs/dbraw/zinc/99/19/66/1046991966.db2.gz IRRXGJSMLKBDJL-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1csc(-c2ccccc2F)n1 ZINC000647359497 1046992316 /nfs/dbraw/zinc/99/23/16/1046992316.db2.gz HWUZNJZWOQVZDE-WDMOLILDSA-N 0 3 322.474 4.494 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@H](c2ccccn2)C1 ZINC000798963761 1046994138 /nfs/dbraw/zinc/99/41/38/1046994138.db2.gz JMEBZGNAFIEAHO-ZDUSSCGKSA-N 0 3 312.800 4.471 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H](C[C@H]4CCOC4)C3)c2c1 ZINC000680623424 1046995937 /nfs/dbraw/zinc/99/59/37/1046995937.db2.gz RDQYBUFMOCYIOP-DLBZAZTESA-N 0 3 310.441 4.186 20 0 DIADHN CCCCc1ncc(CN2CCC(c3ccc(F)cc3)CC2)[nH]1 ZINC001141070140 1046996830 /nfs/dbraw/zinc/99/68/30/1046996830.db2.gz QNEUTCDFRSXZRK-UHFFFAOYSA-N 0 3 315.436 4.271 20 0 DIADHN CCCCc1ncc(CN2CCC(c3ccccc3F)CC2)[nH]1 ZINC001141070328 1046997073 /nfs/dbraw/zinc/99/70/73/1046997073.db2.gz VAVZZJLAUFMCBK-UHFFFAOYSA-N 0 3 315.436 4.271 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CCCC2(CC(F)C2)CC1 ZINC001139680232 1046998782 /nfs/dbraw/zinc/99/87/82/1046998782.db2.gz VXKNUIZINNUFMG-UHFFFAOYSA-N 0 3 315.436 4.055 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1CCCCC1 ZINC001141078888 1047000156 /nfs/dbraw/zinc/00/01/56/1047000156.db2.gz MRDBTFQNKIKTBY-UHFFFAOYSA-N 0 3 311.425 4.260 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CC[C@H]3CCCCO3)nc2c1 ZINC000347400410 1047000355 /nfs/dbraw/zinc/00/03/55/1047000355.db2.gz OYVACGAOZZLSDH-GDBMZVCRSA-N 0 3 316.470 4.392 20 0 DIADHN CCC[C@@H](NCc1ccc(N)nc1)c1cccc(C(F)(F)F)c1 ZINC000647550939 1047006093 /nfs/dbraw/zinc/00/60/93/1047006093.db2.gz PRTUYEDNKCDWPX-OAHLLOKOSA-N 0 3 323.362 4.144 20 0 DIADHN CCCN(Cc1ccc(N)nc1)[C@@H](C)c1cc2ccccc2o1 ZINC000647514359 1047006655 /nfs/dbraw/zinc/00/66/55/1047006655.db2.gz AQEWQHKHHQKHKV-AWEZNQCLSA-N 0 3 309.413 4.214 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@H](c2ccccn2)C1 ZINC000799196318 1047011873 /nfs/dbraw/zinc/01/18/73/1047011873.db2.gz MNJPONKRONARRV-JSGCOSHPSA-N 0 3 304.796 4.425 20 0 DIADHN c1csc(-c2ccccc2CN2CC(N3CCCCC3)C2)c1 ZINC001141096541 1047013397 /nfs/dbraw/zinc/01/33/97/1047013397.db2.gz IDMBMTDNJIJOLG-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN CC(C)(C)c1cccc(CN2CC[C@H](Oc3cccnc3)C2)c1 ZINC001141104312 1047014555 /nfs/dbraw/zinc/01/45/55/1047014555.db2.gz ZZYZZKHAHQQJBN-IBGZPJMESA-N 0 3 310.441 4.032 20 0 DIADHN CC(C)(C)c1cccc(CN2CC[C@@H](Oc3ccncc3)C2)c1 ZINC001141106097 1047016438 /nfs/dbraw/zinc/01/64/38/1047016438.db2.gz NGRKHKRRKPGNPR-LJQANCHMSA-N 0 3 310.441 4.032 20 0 DIADHN Cc1noc(C)c1CCN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000533777242 1047017628 /nfs/dbraw/zinc/01/76/28/1047017628.db2.gz XIBFAYTYDKICEP-QGZVFWFLSA-N 0 3 304.821 4.324 20 0 DIADHN C[C@@H](NCC1CCC(C)CC1)c1ncc(Br)s1 ZINC000533783312 1047018543 /nfs/dbraw/zinc/01/85/43/1047018543.db2.gz KWMKLJARCGIKEE-HSOILSAZSA-N 0 3 317.296 4.383 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@@H](O)c1ccc(F)cc1F ZINC000799340696 1047021248 /nfs/dbraw/zinc/02/12/48/1047021248.db2.gz PVDYZLFFHRIWMX-MEDUHNTESA-N 0 3 323.408 4.071 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2cccs2)o1 ZINC000162685903 1047025762 /nfs/dbraw/zinc/02/57/62/1047025762.db2.gz CVDXDLFJYPVGSP-CQSZACIVSA-N 0 3 313.426 4.377 20 0 DIADHN COc1ccc2nccc(CN3CCC[C@@H]4CCCC[C@H]43)c2c1 ZINC001141151102 1047027357 /nfs/dbraw/zinc/02/73/57/1047027357.db2.gz WJXIRBWCAMPIFZ-MGPUTAFESA-N 0 3 310.441 4.398 20 0 DIADHN COc1ccc2nccc(CN3CCc4c(C)cccc4C3)c2c1 ZINC001141147211 1047027474 /nfs/dbraw/zinc/02/74/74/1047027474.db2.gz UARCYZGPKPKFJU-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN COc1ccc2nccc(CN3CCC4(CCCC4)CC3)c2c1 ZINC001141148732 1047027838 /nfs/dbraw/zinc/02/78/38/1047027838.db2.gz VJBKVQDJRHOIEC-UHFFFAOYSA-N 0 3 310.441 4.400 20 0 DIADHN C[C@H]([C@@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cn[nH]c1 ZINC001203099140 1047029434 /nfs/dbraw/zinc/02/94/34/1047029434.db2.gz HSEVHEAKSVVOSF-OXQOHEQNSA-N 0 3 321.424 4.050 20 0 DIADHN COc1ccc2cc(CN(C)C3CCCC3)c(Cl)nc2c1 ZINC000037496560 1047030573 /nfs/dbraw/zinc/03/05/73/1047030573.db2.gz MLJNMONMVHHAFZ-UHFFFAOYSA-N 0 3 304.821 4.271 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(-c3ncccn3)cc1)CC2 ZINC001141185211 1047038339 /nfs/dbraw/zinc/03/83/39/1047038339.db2.gz FEKLWCVUNMKRPB-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1ccc(C)cc1F ZINC001141195292 1047039943 /nfs/dbraw/zinc/03/99/43/1047039943.db2.gz IAMLRKUUIXRKSL-OAHLLOKOSA-N 0 3 301.405 4.206 20 0 DIADHN c1ccc(CN(Cc2ccc(-c3ncccn3)cc2)C2CC2)cc1 ZINC001141187563 1047040402 /nfs/dbraw/zinc/04/04/02/1047040402.db2.gz JNTKPZGCNVNHAG-UHFFFAOYSA-N 0 3 315.420 4.308 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc(-c2ncccn2)cc1 ZINC001141188588 1047041042 /nfs/dbraw/zinc/04/10/42/1047041042.db2.gz JWJNWWZNLYPJAD-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1ccc(C)cc1F ZINC001141195291 1047041165 /nfs/dbraw/zinc/04/11/65/1047041165.db2.gz IAMLRKUUIXRKSL-HNNXBMFYSA-N 0 3 301.405 4.206 20 0 DIADHN CCN(Cc1ccc(-c2ncccn2)cc1)Cc1cccc(F)c1 ZINC001141187375 1047042156 /nfs/dbraw/zinc/04/21/56/1047042156.db2.gz GTMNQKILSGDAHM-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN Fc1ccc(-c2cccc(CN3CCC[C@@H](C(F)F)C3)n2)cc1 ZINC001141208056 1047046828 /nfs/dbraw/zinc/04/68/28/1047046828.db2.gz XLIKOVVQLSBMFA-CQSZACIVSA-N 0 3 320.358 4.365 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cc3cc(Cl)cnc3o2)CC1 ZINC000648363199 1047049093 /nfs/dbraw/zinc/04/90/93/1047049093.db2.gz XMLUZMSWCQZDPJ-UHFFFAOYSA-N 0 3 322.836 4.261 20 0 DIADHN CCn1c2ccccc2nc1CN(C)[C@@H]1CCc2ccccc2C1 ZINC000536669435 1047051293 /nfs/dbraw/zinc/05/12/93/1047051293.db2.gz FATCVRFILYGXPC-GOSISDBHSA-N 0 3 319.452 4.046 20 0 DIADHN COc1cccc(CN(C)CCc2cccc(Cl)c2)c1F ZINC001141223154 1047052218 /nfs/dbraw/zinc/05/22/18/1047052218.db2.gz OSBRPXUBLVIULM-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc3c[nH]nc3c2)[C@@H]1c1ccccc1 ZINC000536660436 1047052859 /nfs/dbraw/zinc/05/28/59/1047052859.db2.gz IXHFURJFIVOKKY-LIRRHRJNSA-N 0 3 323.465 4.242 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001141234708 1047058373 /nfs/dbraw/zinc/05/83/73/1047058373.db2.gz SVMIKAZODFQLIT-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc2cc(Cl)cnc2o1 ZINC000648520499 1047061165 /nfs/dbraw/zinc/06/11/65/1047061165.db2.gz HSLMTQUEAZWHAG-SNVBAGLBSA-N 0 3 306.715 4.302 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](Nc2ncc(Cl)s2)C1 ZINC000680892830 1047063799 /nfs/dbraw/zinc/06/37/99/1047063799.db2.gz SROXSKKJGPNVEU-YPMHNXCESA-N 0 3 307.850 4.044 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](Nc2ncc(Cl)s2)C1 ZINC000680892825 1047064030 /nfs/dbraw/zinc/06/40/30/1047064030.db2.gz SROXSKKJGPNVEU-AAEUAGOBSA-N 0 3 307.850 4.044 20 0 DIADHN COc1cc(CN2CCC23CCC3)ccc1OCc1ccccc1 ZINC000536682352 1047064296 /nfs/dbraw/zinc/06/42/96/1047064296.db2.gz JBFLVMGXXDRENS-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN C[C@@H]1C[C@@H](Nc2ncc(Cl)s2)CCN1Cc1ccccc1 ZINC000680895298 1047065474 /nfs/dbraw/zinc/06/54/74/1047065474.db2.gz JVGHMORRDPYXTA-OCCSQVGLSA-N 0 3 321.877 4.262 20 0 DIADHN Cc1ccc(CN2CCC[C@@]2(C)Cc2ccccc2Cl)nn1 ZINC000827488727 1047067427 /nfs/dbraw/zinc/06/74/27/1047067427.db2.gz JCEXCULZWDAAQZ-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN Cc1ccc([C@@H]2C[C@H]2C(=O)Nc2cccc(CN(C)C)c2)cc1C ZINC001141263550 1047068091 /nfs/dbraw/zinc/06/80/91/1047068091.db2.gz XVAUAAUOOAXSCE-VQTJNVASSA-N 0 3 322.452 4.107 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CC1=Cc2ccccc2OC1 ZINC000536683894 1047070527 /nfs/dbraw/zinc/07/05/27/1047070527.db2.gz YESDYTGPRAYARK-IBGZPJMESA-N 0 3 321.420 4.308 20 0 DIADHN Cc1cc(CN2CCSC[C@H]2C)ccc1Oc1cccnc1 ZINC000536685666 1047071303 /nfs/dbraw/zinc/07/13/03/1047071303.db2.gz FBLUFURTADNCPM-OAHLLOKOSA-N 0 3 314.454 4.120 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(C)(CC)CC)c1ccsc1 ZINC000680995890 1047076687 /nfs/dbraw/zinc/07/66/87/1047076687.db2.gz GMMZLRXMSZEZCN-HNNXBMFYSA-N 0 3 310.507 4.074 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(C)(CC)CC)c1ccsc1 ZINC000680995892 1047076811 /nfs/dbraw/zinc/07/68/11/1047076811.db2.gz GMMZLRXMSZEZCN-OAHLLOKOSA-N 0 3 310.507 4.074 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(Cl)cc2)cc1OC ZINC001115817216 1047082223 /nfs/dbraw/zinc/08/22/23/1047082223.db2.gz OSKRRQXGHQGWGN-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1cccc([C@@H]2CCCN2C[C@@H]2CC2(Cl)Cl)c1 ZINC001115823614 1047084436 /nfs/dbraw/zinc/08/44/36/1047084436.db2.gz KZKSDLAPTITHCA-JSGCOSHPSA-N 0 3 300.229 4.026 20 0 DIADHN Cc1ncc([C@@H](C)NCc2cccc(-c3cccnc3)c2)s1 ZINC000681056154 1047089132 /nfs/dbraw/zinc/08/91/32/1047089132.db2.gz VNGSVTFRKKZWBQ-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1c3ccccc3CC[C@@H]1C)CCO2 ZINC000681056750 1047089431 /nfs/dbraw/zinc/08/94/31/1047089431.db2.gz DNMBXIOAGHHRAT-ONTRVFCTSA-N 0 3 323.436 4.432 20 0 DIADHN CCCCOc1ccccc1CN1CCC([C@@H]2CCOC2)CC1 ZINC000681081200 1047091045 /nfs/dbraw/zinc/09/10/45/1047091045.db2.gz BVAJJZORDNHZJR-LJQANCHMSA-N 0 3 317.473 4.114 20 0 DIADHN CCCN(CCc1cccs1)Cc1nc2c(s1)CCC2 ZINC000681082565 1047091291 /nfs/dbraw/zinc/09/12/91/1047091291.db2.gz VSUBGWUPENWJGG-UHFFFAOYSA-N 0 3 306.500 4.148 20 0 DIADHN COc1cc(CNCc2ccc(OC(C)C)cc2)ccc1Cl ZINC000648816975 1047092775 /nfs/dbraw/zinc/09/27/75/1047092775.db2.gz WERABWMDTROHLI-UHFFFAOYSA-N 0 3 319.832 4.426 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)CCC3CC3)cs2)cc1 ZINC000681095027 1047094156 /nfs/dbraw/zinc/09/41/56/1047094156.db2.gz KNVBDWBSPCRJCL-CYBMUJFWSA-N 0 3 316.470 4.487 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)N[C@H](C)c2nc(C)sc2C)c1 ZINC000648870584 1047095472 /nfs/dbraw/zinc/09/54/72/1047095472.db2.gz HVULGQYFPADDEG-GHMZBOCLSA-N 0 3 322.449 4.189 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)N[C@@H](C)c2nc(C)sc2C)c1 ZINC000648870585 1047096463 /nfs/dbraw/zinc/09/64/63/1047096463.db2.gz HVULGQYFPADDEG-MNOVXSKESA-N 0 3 322.449 4.189 20 0 DIADHN Cc1nc(CCN[C@H](c2ccccc2)c2ccc(F)cc2)c(C)o1 ZINC000536770818 1047098909 /nfs/dbraw/zinc/09/89/09/1047098909.db2.gz GDIXHYPFEQKRJK-HXUWFJFHSA-N 0 3 324.399 4.352 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(CN(C)C)c2)c(Cl)c1C ZINC000648967322 1047102050 /nfs/dbraw/zinc/10/20/50/1047102050.db2.gz WAKMILGNIZNMLM-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000536829358 1047102699 /nfs/dbraw/zinc/10/26/99/1047102699.db2.gz YECYBTYBEZILAW-SCLBCKFNSA-N 0 3 303.833 4.287 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000536834878 1047106984 /nfs/dbraw/zinc/10/69/84/1047106984.db2.gz SLSTWMQKWSJPMZ-MOPGFXCFSA-N 0 3 315.844 4.213 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@H](CO)CC(F)(F)F)CCC2 ZINC000536841868 1047107952 /nfs/dbraw/zinc/10/79/52/1047107952.db2.gz WQYHVFFWRCVZMC-HOCLYGCPSA-N 0 3 315.379 4.090 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)cc2N(C)C)c(C)c1 ZINC000536850077 1047111334 /nfs/dbraw/zinc/11/13/34/1047111334.db2.gz DHXJMGSMIFDICP-AWEZNQCLSA-N 0 3 316.420 4.060 20 0 DIADHN C[C@H](NCC1(CCO)CCC1)c1cc(Cl)sc1Cl ZINC000536854949 1047112917 /nfs/dbraw/zinc/11/29/17/1047112917.db2.gz XKTKZYNUKSSOQA-VIFPVBQESA-N 0 3 308.274 4.258 20 0 DIADHN C[C@@H](NCCOc1ccccc1C(C)(C)C)c1cncs1 ZINC000536864688 1047115188 /nfs/dbraw/zinc/11/51/88/1047115188.db2.gz RJKGDDJLIZERMZ-CYBMUJFWSA-N 0 3 304.459 4.170 20 0 DIADHN C[C@H](NCc1cccc(OC(F)F)c1)c1ccc2c(c1)COC2 ZINC000536871003 1047116289 /nfs/dbraw/zinc/11/62/89/1047116289.db2.gz NWADANQDOXZJDW-LBPRGKRZSA-N 0 3 319.351 4.169 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3c[nH]nc32)cc1 ZINC001116220171 1047120125 /nfs/dbraw/zinc/12/01/25/1047120125.db2.gz DRBDPAQEQZBOJA-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCCC(=O)c2ccccc2)n1 ZINC000649353736 1047121963 /nfs/dbraw/zinc/12/19/63/1047121963.db2.gz LFIRJCDJVWXDEL-IBGZPJMESA-N 0 3 308.425 4.190 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2CCC[C@H]2C2CC2)n1 ZINC000681402153 1047123100 /nfs/dbraw/zinc/12/31/00/1047123100.db2.gz VJBLYBCJDXFFQD-HNNXBMFYSA-N 0 3 320.408 4.463 20 0 DIADHN COCCCOCCN(C)[C@H](C)c1ccc(Cl)c(Cl)c1 ZINC000536933514 1047123842 /nfs/dbraw/zinc/12/38/42/1047123842.db2.gz BWCQOWZHSJKVAP-GFCCVEGCSA-N 0 3 320.260 4.039 20 0 DIADHN c1ccc(OC2CC2)c(CN2CCC(c3cccnc3)CC2)c1 ZINC000649406335 1047127061 /nfs/dbraw/zinc/12/70/61/1047127061.db2.gz YHMOQOONAUECJZ-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN CO[C@H]1CCCN(CCc2c(Cl)cccc2Cl)CC1 ZINC000649421965 1047128858 /nfs/dbraw/zinc/12/88/58/1047128858.db2.gz WRTQWHISVWQYCH-LBPRGKRZSA-N 0 3 302.245 4.037 20 0 DIADHN C[C@H](NCC(=O)NCCC(C)(C)C)c1cccc2ccccc21 ZINC000537040402 1047134973 /nfs/dbraw/zinc/13/49/73/1047134973.db2.gz YADIYHCNHNOBCV-HNNXBMFYSA-N 0 3 312.457 4.043 20 0 DIADHN O=C(Nc1ccccc1Cl)C(C[C@H]1CCCO1)C(F)(F)F ZINC000649476569 1047136308 /nfs/dbraw/zinc/13/63/08/1047136308.db2.gz KNKGLEBIQRFIEN-ZJUUUORDSA-N 0 3 321.726 4.026 20 0 DIADHN C[C@H](NCc1cnc(-c2ccccc2)s1)c1cccc(CO)c1 ZINC001116393444 1047136242 /nfs/dbraw/zinc/13/62/42/1047136242.db2.gz IAEZPFXHEZSCQC-AWEZNQCLSA-N 0 3 324.449 4.153 20 0 DIADHN CC(C)(C)CN(Cc1nccn1CC(F)(F)F)CC(C)(C)C ZINC000537120103 1047138746 /nfs/dbraw/zinc/13/87/46/1047138746.db2.gz YAGMYPHBSQUHAC-UHFFFAOYSA-N 0 3 319.415 4.340 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2)c1 ZINC000537115789 1047139588 /nfs/dbraw/zinc/13/95/88/1047139588.db2.gz RJVFSJHAZPJNNT-QZTJIDSGSA-N 0 3 324.468 4.001 20 0 DIADHN Clc1ccc(-c2cnc(CN3CC[C@H]4CCC[C@H]43)o2)cc1 ZINC000681710142 1047143125 /nfs/dbraw/zinc/14/31/25/1047143125.db2.gz PUSAMSJZXDWTKD-IUODEOHRSA-N 0 3 302.805 4.369 20 0 DIADHN CCN(Cc1cn2cccc(C)c2n1)[C@H](C)Cc1ccsc1 ZINC000681709106 1047143932 /nfs/dbraw/zinc/14/39/32/1047143932.db2.gz AYTKCVUHIUNGMW-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN COCc1ccc(CN2C[C@@H]3CCCC[C@@]32c2ccccc2)o1 ZINC001116449069 1047144108 /nfs/dbraw/zinc/14/41/08/1047144108.db2.gz JQINYOSJFCZWQX-FXAWDEMLSA-N 0 3 311.425 4.327 20 0 DIADHN CCc1ccc(C(=O)Nc2ccc(C)cc2CN(C)C)cc1CC ZINC001116468144 1047145163 /nfs/dbraw/zinc/14/51/63/1047145163.db2.gz CRUQLXAGTQNUCZ-UHFFFAOYSA-N 0 3 324.468 4.434 20 0 DIADHN Cc1ccc2cc(CN(C)CCC(=O)c3cccs3)ccc2n1 ZINC001141482653 1047146664 /nfs/dbraw/zinc/14/66/64/1047146664.db2.gz DOPXQQVCQQACCP-UHFFFAOYSA-N 0 3 324.449 4.310 20 0 DIADHN CCOc1ccc([C@H]2CCCN2C[C@@H]2CC2(Cl)Cl)cc1 ZINC000838527300 1047147727 /nfs/dbraw/zinc/14/77/27/1047147727.db2.gz OZNQZHRXCVLRJJ-DZGCQCFKSA-N 0 3 314.256 4.416 20 0 DIADHN CC[C@H](N[C@H](C)C[C@@H](O)c1ccco1)c1ccc(F)cc1F ZINC000305699133 1047149104 /nfs/dbraw/zinc/14/91/04/1047149104.db2.gz CPFZLEDXHOJRNB-XFBWCDHKSA-N 0 3 309.356 4.111 20 0 DIADHN Cc1nc2ccccn2c1CNCc1csc2ccccc12 ZINC000537291797 1047159162 /nfs/dbraw/zinc/15/91/62/1047159162.db2.gz XTXDEZRRGZNXPV-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN COc1ccc(C2CCN([C@H](C)c3ccccc3F)CC2)nc1 ZINC000649652166 1047159993 /nfs/dbraw/zinc/15/99/93/1047159993.db2.gz LCGXEVWOFFPEKB-CQSZACIVSA-N 0 3 314.404 4.170 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCOC3CCCC3)oc21 ZINC000537295928 1047161110 /nfs/dbraw/zinc/16/11/10/1047161110.db2.gz QSYYXLYKEAAFHW-AWEZNQCLSA-N 0 3 317.429 4.441 20 0 DIADHN CC[C@H](C)c1ccc(-c2nc([C@H]3CN4CCC3CC4)no2)cc1 ZINC001116612420 1047161494 /nfs/dbraw/zinc/16/14/94/1047161494.db2.gz OFXUIJYAYMPEJQ-GUYCJALGSA-N 0 3 311.429 4.059 20 0 DIADHN O=C1CCC(CN2CCc3oc(-c4ccccc4)cc3C2)CC1 ZINC000649676437 1047161818 /nfs/dbraw/zinc/16/18/18/1047161818.db2.gz HOPYZKCKNVEWAN-UHFFFAOYSA-N 0 3 309.409 4.064 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)N[C@H](C)c1ccc(F)cc1Cl ZINC000305749465 1047161945 /nfs/dbraw/zinc/16/19/45/1047161945.db2.gz QWIYVSVSXYNBKW-UEKVPHQBSA-N 0 3 311.784 4.235 20 0 DIADHN CCCN(Cc1ccc(C)nc1Cl)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000838856644 1047164850 /nfs/dbraw/zinc/16/48/50/1047164850.db2.gz ZTYAZEIJYKBFHM-BZUAXINKSA-N 0 3 322.880 4.069 20 0 DIADHN CCCN(Cc1ccc(C)nc1Cl)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000838856643 1047165396 /nfs/dbraw/zinc/16/53/96/1047165396.db2.gz ZTYAZEIJYKBFHM-ARFHVFGLSA-N 0 3 322.880 4.069 20 0 DIADHN Cc1cccc([C@H](C)N2CCC3(CC2)C(F)(F)C3(F)F)c1 ZINC000649710589 1047166782 /nfs/dbraw/zinc/16/67/82/1047166782.db2.gz GIIQUXNFGXLUDL-LBPRGKRZSA-N 0 3 301.327 4.422 20 0 DIADHN C[C@H](NCCc1ccc2ccccc2n1)c1cccc(F)c1F ZINC000537343570 1047173086 /nfs/dbraw/zinc/17/30/86/1047173086.db2.gz MUDZFXCKOWERPX-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN FC1(F)CC12CCN(Cc1cnc(-c3ccccc3)s1)CC2 ZINC000649777036 1047174871 /nfs/dbraw/zinc/17/48/71/1047174871.db2.gz BRLWASDTNSXXJL-UHFFFAOYSA-N 0 3 320.408 4.431 20 0 DIADHN Fc1ccc(-c2nc(CNC3(C4CCC4)CC3)co2)cc1F ZINC000649807914 1047177451 /nfs/dbraw/zinc/17/74/51/1047177451.db2.gz KBCLZUSRCKWWEA-UHFFFAOYSA-N 0 3 304.340 4.042 20 0 DIADHN C[C@@H](NC1(C2CCC2)CC1)c1nc2ccccc2n1C(F)F ZINC000649810102 1047178078 /nfs/dbraw/zinc/17/80/78/1047178078.db2.gz XNGSRRDGWNIUHI-LLVKDONJSA-N 0 3 305.372 4.415 20 0 DIADHN COc1ccc(-c2nc(CNC3(C4CCC4)CC3)cs2)cc1 ZINC000649806865 1047178496 /nfs/dbraw/zinc/17/84/96/1047178496.db2.gz DSWWNEMTISCHQQ-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN Clc1cccc(-c2nc(CNC3(C4CCC4)CC3)co2)c1 ZINC000649807258 1047178523 /nfs/dbraw/zinc/17/85/23/1047178523.db2.gz OYYLTFYCHINCTO-UHFFFAOYSA-N 0 3 302.805 4.417 20 0 DIADHN Clc1cccc2cc(CN3CC4CC(C4)C3)c(Cl)nc12 ZINC001141550187 1047179746 /nfs/dbraw/zinc/17/97/46/1047179746.db2.gz QAQJNMNAXKOKNG-UHFFFAOYSA-N 0 3 307.224 4.383 20 0 DIADHN CC(C)(NCc1nc(-c2ccsc2)no1)C1CCCCC1 ZINC001116823014 1047180806 /nfs/dbraw/zinc/18/08/06/1047180806.db2.gz VNRCHLATKDHGDF-UHFFFAOYSA-N 0 3 305.447 4.247 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2cnc(-c3ccco3)s2)C1 ZINC001116839112 1047182382 /nfs/dbraw/zinc/18/23/82/1047182382.db2.gz GVVLEGIEUXSJIH-NEPJUHHUSA-N 0 3 308.472 4.119 20 0 DIADHN CCc1nc(C)c(CN2CC[C@@H](c3cccc(Cl)c3)C2)o1 ZINC000433314820 1047184685 /nfs/dbraw/zinc/18/46/85/1047184685.db2.gz WTQHYQHZPAYMLB-CQSZACIVSA-N 0 3 304.821 4.188 20 0 DIADHN CCC[C@H](N[C@H]1CCN(C2CCCCC2)C1=O)c1ccsc1 ZINC000682376524 1047186411 /nfs/dbraw/zinc/18/64/11/1047186411.db2.gz SMZJJMDFTPXHFO-IRXDYDNUSA-N 0 3 320.502 4.113 20 0 DIADHN COc1cccc2cc(CN[C@@H](c3cccnc3)C3CCC3)oc21 ZINC001116878272 1047186315 /nfs/dbraw/zinc/18/63/15/1047186315.db2.gz PMLUORYUMCYQDR-LJQANCHMSA-N 0 3 322.408 4.467 20 0 DIADHN COCC1(N[C@H](C)c2ccc(Oc3ccccc3)c(F)c2)CC1 ZINC000537447896 1047186729 /nfs/dbraw/zinc/18/67/29/1047186729.db2.gz UTAXMLDJSNPEJF-CQSZACIVSA-N 0 3 315.388 4.448 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@](C)(CO)c1ccccc1 ZINC000682373849 1047186809 /nfs/dbraw/zinc/18/68/09/1047186809.db2.gz NSZBNZQESRDOLN-QGZVFWFLSA-N 0 3 323.358 4.011 20 0 DIADHN COCC1(N[C@@H](C)c2ccc(Oc3ccccc3)c(F)c2)CC1 ZINC000537447895 1047187232 /nfs/dbraw/zinc/18/72/32/1047187232.db2.gz UTAXMLDJSNPEJF-AWEZNQCLSA-N 0 3 315.388 4.448 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)c(C)n1 ZINC000649916825 1047191016 /nfs/dbraw/zinc/19/10/16/1047191016.db2.gz PIASUVCBUWZIMV-ACRUOGEOSA-N 0 3 322.452 4.193 20 0 DIADHN CC[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000476051311 1047194876 /nfs/dbraw/zinc/19/48/76/1047194876.db2.gz QNUQLFZNZGFOOU-NVXWUHKLSA-N 0 3 302.462 4.212 20 0 DIADHN CCc1nnc(CNC(C2CCCCC2)C2CCCCC2)o1 ZINC000839127710 1047196763 /nfs/dbraw/zinc/19/67/63/1047196763.db2.gz ONHYJGJDNPBLII-UHFFFAOYSA-N 0 3 305.466 4.251 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1csc(Cl)c1 ZINC000682493724 1047200717 /nfs/dbraw/zinc/20/07/17/1047200717.db2.gz JEKFCBOPWYULPK-NHYWBVRUSA-N 0 3 307.846 4.366 20 0 DIADHN C[C@@H](CN[C@@H](c1ccccc1)c1ccccn1)CC(F)(F)F ZINC000396983516 1047206098 /nfs/dbraw/zinc/20/60/98/1047206098.db2.gz XNGIFKKGRSOQHI-CJNGLKHVSA-N 0 3 308.347 4.349 20 0 DIADHN O[C@@H](CN[C@@H]1CCc2cc(Cl)cc(Cl)c21)c1ccccc1 ZINC000760315159 1047209617 /nfs/dbraw/zinc/20/96/17/1047209617.db2.gz JHHQYGDHLJFOTI-CVEARBPZSA-N 0 3 322.235 4.304 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(F)c(OC)c(OC)c1 ZINC001137506386 1047221416 /nfs/dbraw/zinc/22/14/16/1047221416.db2.gz SRDMNWUTJMGBGK-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN Cc1ncsc1CN1CCC(Cc2ccc(C)cc2)CC1 ZINC001117668515 1047235325 /nfs/dbraw/zinc/23/53/25/1047235325.db2.gz IWIKNNUGOAGPAX-UHFFFAOYSA-N 0 3 300.471 4.215 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc2cccnc2cc1F ZINC001141628915 1047237052 /nfs/dbraw/zinc/23/70/52/1047237052.db2.gz ODWCSSBSKATHRZ-UHFFFAOYSA-N 0 3 308.400 4.439 20 0 DIADHN CC1(C)C[C@@H](N(Cc2ccccc2)Cc2cccnc2)C(C)(C)O1 ZINC000537466461 1047242119 /nfs/dbraw/zinc/24/21/19/1047242119.db2.gz AROHHAQYQPYPNF-LJQANCHMSA-N 0 3 324.468 4.430 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc2cccnc2c1 ZINC000682950592 1047243029 /nfs/dbraw/zinc/24/30/29/1047243029.db2.gz ASUXXDFPELUGMM-GOSISDBHSA-N 0 3 304.393 4.108 20 0 DIADHN C[C@H]1CN(Cc2ccc3cc(F)ccc3n2)Cc2ccccc21 ZINC001141640247 1047243008 /nfs/dbraw/zinc/24/30/08/1047243008.db2.gz SBFSVPPVAYEDRA-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN CC(C)(C)[C@@H](NCc1ccc2nonc2c1)c1cccc(F)c1 ZINC001117777360 1047243176 /nfs/dbraw/zinc/24/31/76/1047243176.db2.gz MKZONFYFSLZRAW-KRWDZBQOSA-N 0 3 313.376 4.239 20 0 DIADHN CC(C)CC1CCN(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000760479567 1047244994 /nfs/dbraw/zinc/24/49/94/1047244994.db2.gz DDADSQGQECZMEF-UHFFFAOYSA-N 0 3 317.477 4.038 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cc2ccccc2nc1Cl)C1CC1 ZINC000839639106 1047251541 /nfs/dbraw/zinc/25/15/41/1047251541.db2.gz LVSRGIIGYULJJL-SGTLLEGYSA-N 0 3 318.848 4.181 20 0 DIADHN CC(C)N(Cc1cc(Br)cc(F)c1F)C(C)C ZINC001141796025 1047291718 /nfs/dbraw/zinc/29/17/18/1047291718.db2.gz WQVCAMRVNQYRBD-UHFFFAOYSA-N 0 3 306.194 4.346 20 0 DIADHN CC[C@H]1CCCC[C@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000476258034 1047267806 /nfs/dbraw/zinc/26/78/06/1047267806.db2.gz XAVFYMZPXWFGHQ-DLBZAZTESA-N 0 3 302.462 4.212 20 0 DIADHN C[C@@H]1CC2(CC(NCc3ccc(Oc4ccccc4)o3)C2)CO1 ZINC000897778793 1047288348 /nfs/dbraw/zinc/28/83/48/1047288348.db2.gz KFAXHCBUOLQDHE-TZGCNFNXSA-N 0 3 313.397 4.119 20 0 DIADHN CC1(C)C[C@@H](NCc2c(Cl)cncc2Cl)CCS1 ZINC000897790357 1047289950 /nfs/dbraw/zinc/28/99/50/1047289950.db2.gz UPTRVTXWBNCBRU-VIFPVBQESA-N 0 3 305.274 4.152 20 0 DIADHN CC(C)N(Cc1cc(Br)cc(F)c1F)CC1CC1 ZINC001141802026 1047291677 /nfs/dbraw/zinc/29/16/77/1047291677.db2.gz NGWIFLUSDANNBZ-UHFFFAOYSA-N 0 3 318.205 4.348 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C(C)(C)O)cc2)cc1F ZINC000390484525 1047296770 /nfs/dbraw/zinc/29/67/70/1047296770.db2.gz KJXKDNNXLDRVCE-AWEZNQCLSA-N 0 3 301.405 4.212 20 0 DIADHN O[C@@H](CN1CC=C(c2cccc(Cl)c2)CC1)c1ccccc1 ZINC000796456097 1047296802 /nfs/dbraw/zinc/29/68/02/1047296802.db2.gz BKMFSKOHCWBOPG-IBGZPJMESA-N 0 3 313.828 4.163 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@H](C)c1cncs1 ZINC000897932797 1047298558 /nfs/dbraw/zinc/29/85/58/1047298558.db2.gz QASHONXUUQQUQI-SEVUFMINSA-N 0 3 324.396 4.499 20 0 DIADHN CCC[C@](C)(NCc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000897925264 1047300209 /nfs/dbraw/zinc/30/02/09/1047300209.db2.gz FVBLYWCIHWKDJW-GUYCJALGSA-N 0 3 304.459 4.002 20 0 DIADHN C[C@@H]1CCc2nc(CNCC(C)(C)CC(F)(F)F)sc2C1 ZINC001118568018 1047303005 /nfs/dbraw/zinc/30/30/05/1047303005.db2.gz IGQPZBAYLSSLKJ-SNVBAGLBSA-N 0 3 320.424 4.336 20 0 DIADHN CC(C)(C)OC1CC(N2CC[C@@H](c3c(F)cccc3F)C2)C1 ZINC000482540057 1047307878 /nfs/dbraw/zinc/30/78/78/1047307878.db2.gz VWCJYGDPBJMIBB-IYXRBSQSSA-N 0 3 309.400 4.100 20 0 DIADHN c1cc(CC2CN(Cc3ccccc3N3CCCC3)C2)cs1 ZINC001118756131 1047309006 /nfs/dbraw/zinc/30/90/06/1047309006.db2.gz AYZVDNXHFDYYLK-UHFFFAOYSA-N 0 3 312.482 4.023 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cncc2ccccc21 ZINC000393449920 1047310850 /nfs/dbraw/zinc/31/08/50/1047310850.db2.gz KVKDAEATFHHICE-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000651410688 1047312291 /nfs/dbraw/zinc/31/22/91/1047312291.db2.gz VEPHYTAGTSWFCS-ABKXIKBNSA-N 0 3 307.800 4.313 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cn1nccc1-c1cccnc1 ZINC000725767983 1047313524 /nfs/dbraw/zinc/31/35/24/1047313524.db2.gz IHFJVMXCXWRGFZ-QGZVFWFLSA-N 0 3 320.440 4.203 20 0 DIADHN CC(=O)Nc1cccc(CN2CC=C(c3ccc(C)cc3)CC2)c1 ZINC000725795996 1047317043 /nfs/dbraw/zinc/31/70/43/1047317043.db2.gz NYRPIOQPLUHNDZ-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cccc4c3OCO4)C2)c1 ZINC001141958734 1047317931 /nfs/dbraw/zinc/31/79/31/1047317931.db2.gz WJPZFHZJKAKRSD-CQSZACIVSA-N 0 3 315.800 4.058 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cc2ccccc2[nH]1)c1cccs1 ZINC000651591069 1047319786 /nfs/dbraw/zinc/31/97/86/1047319786.db2.gz WCOHPLGRYZXAOX-KRWDZBQOSA-N 0 3 314.454 4.079 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2cc3cnccc3o2)cc1 ZINC000651680325 1047321869 /nfs/dbraw/zinc/32/18/69/1047321869.db2.gz GZHPQZCKPOZGOV-CQSZACIVSA-N 0 3 310.397 4.466 20 0 DIADHN C[C@@H](NCc1ccc(CCO)cc1)c1ccccc1C(F)(F)F ZINC000898170345 1047322241 /nfs/dbraw/zinc/32/22/41/1047322241.db2.gz DTOMYOGMBADYEZ-CYBMUJFWSA-N 0 3 323.358 4.091 20 0 DIADHN C[C@H](NCc1ccc(CCO)cc1)c1ccccc1C(F)(F)F ZINC000898170347 1047322812 /nfs/dbraw/zinc/32/28/12/1047322812.db2.gz DTOMYOGMBADYEZ-ZDUSSCGKSA-N 0 3 323.358 4.091 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000840746041 1047324208 /nfs/dbraw/zinc/32/42/08/1047324208.db2.gz XJERZDNVWCATRZ-LRDDRELGSA-N 0 3 316.470 4.497 20 0 DIADHN CCC(CC)[C@H](O)CN[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651716093 1047325824 /nfs/dbraw/zinc/32/58/24/1047325824.db2.gz KUQZEXHEMGANFT-FOIQADDNSA-N 0 3 312.457 4.196 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cc3ccccc3n1C)CCC2 ZINC001119302277 1047327409 /nfs/dbraw/zinc/32/74/09/1047327409.db2.gz PAICPSCGJMDYAG-GOSISDBHSA-N 0 3 322.456 4.123 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@H](c3cccc(Cl)c3)C2)co1 ZINC000840758482 1047327557 /nfs/dbraw/zinc/32/75/57/1047327557.db2.gz CXTBJIKRGUMMPS-HIFRSBDPSA-N 0 3 304.821 4.316 20 0 DIADHN C[C@@H](Cc1ccsc1)N1CCC2(CC1)C(F)(F)C2(F)F ZINC000651900678 1047332458 /nfs/dbraw/zinc/33/24/58/1047332458.db2.gz DGRPPWSWIGMLCT-JTQLQIEISA-N 0 3 307.356 4.046 20 0 DIADHN CC[C@H](OCCNCc1sccc1OC)c1ccccc1 ZINC000651908529 1047334269 /nfs/dbraw/zinc/33/42/69/1047334269.db2.gz HILQBIBPAZFCBS-HNNXBMFYSA-N 0 3 305.443 4.014 20 0 DIADHN C[C@H]1CCCN(Cc2sccc2Oc2ccccc2)[C@@H]1CO ZINC001141994237 1047335728 /nfs/dbraw/zinc/33/57/28/1047335728.db2.gz DIXOFCRZOPEAHX-GOEBONIOSA-N 0 3 317.454 4.133 20 0 DIADHN C[C@@H]1CC[C@H](CO)CN1Cc1sccc1Oc1ccccc1 ZINC001141994298 1047336157 /nfs/dbraw/zinc/33/61/57/1047336157.db2.gz GVZCBERTLOMMKL-CABCVRRESA-N 0 3 317.454 4.133 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnn1-c1ccc(F)cc1)CC2 ZINC000651959184 1047336570 /nfs/dbraw/zinc/33/65/70/1047336570.db2.gz BOLPQVZKMWBTSW-HXUWFJFHSA-N 0 3 321.399 4.097 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@H]1CCc2ccc(C)cc21 ZINC000651959515 1047337138 /nfs/dbraw/zinc/33/71/38/1047337138.db2.gz PVFYWPWFRDJTLD-IBGZPJMESA-N 0 3 311.473 4.340 20 0 DIADHN Fc1cc(CN[C@H](c2ccncc2)C2CC2)ccc1C(F)F ZINC000898317640 1047340060 /nfs/dbraw/zinc/34/00/60/1047340060.db2.gz KQHFEJXYKIJFAC-INIZCTEOSA-N 0 3 306.331 4.399 20 0 DIADHN Fc1ccc(-c2nc(CNC3CC4(CCC4)C3)cs2)cc1 ZINC000652041661 1047340690 /nfs/dbraw/zinc/34/06/90/1047340690.db2.gz MJXFTCKSYIFQEQ-UHFFFAOYSA-N 0 3 302.418 4.372 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCC[C@H](C)C2)c2ccccn2)cc1 ZINC000652144123 1047343384 /nfs/dbraw/zinc/34/33/84/1047343384.db2.gz WIHCUHSPZGMCAN-KNBMTAEXSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CC[C@H](C)CC2)c2ccccn2)cc1 ZINC000652165513 1047344439 /nfs/dbraw/zinc/34/44/39/1047344439.db2.gz RYRUEIGXDWLCCU-WRWLIDTKSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccc([C@H](N[C@H]2CC[C@H](C)CC2)c2ccccn2)cc1 ZINC000652165512 1047344920 /nfs/dbraw/zinc/34/49/20/1047344920.db2.gz RYRUEIGXDWLCCU-KNBMTAEXSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1ccccc1Cl ZINC000105876380 1047345798 /nfs/dbraw/zinc/34/57/98/1047345798.db2.gz GORQLHBNFNFPKE-CYBMUJFWSA-N 0 3 305.805 4.078 20 0 DIADHN COc1ccc([C@@H](NC[C@@H]2C[C@H]2C2CCC2)c2ccccn2)cc1 ZINC000652210111 1047346012 /nfs/dbraw/zinc/34/60/12/1047346012.db2.gz GZBYOUTWSSROJL-HFSMHLIXSA-N 0 3 322.452 4.205 20 0 DIADHN COc1ccc([C@H](NC[C@@H](C)CC(F)F)c2ccccn2)cc1 ZINC000652212198 1047347741 /nfs/dbraw/zinc/34/77/41/1047347741.db2.gz ZIECNJCBZOBBBG-UGSOOPFHSA-N 0 3 320.383 4.061 20 0 DIADHN CCc1ncc(CN[C@H](c2ccccc2)C2CCCCC2)cn1 ZINC000840877105 1047351393 /nfs/dbraw/zinc/35/13/93/1047351393.db2.gz XIPZOMRWQISAHZ-HXUWFJFHSA-N 0 3 309.457 4.450 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000106860286 1047364749 /nfs/dbraw/zinc/36/47/49/1047364749.db2.gz KKFDWAHZZUKHTP-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@H](NCc1cc(Br)ccc1Cl)[C@@H]1CC1(F)F ZINC000840969179 1047365510 /nfs/dbraw/zinc/36/55/10/1047365510.db2.gz YVKCGJYEQVVBCL-XVKPBYJWSA-N 0 3 324.596 4.236 20 0 DIADHN O[C@@H](CN1CCC2(CCCCC2)CC1)c1ccc(F)c(F)c1 ZINC000726598031 1047368356 /nfs/dbraw/zinc/36/83/56/1047368356.db2.gz MTGPKEXILAYPII-KRWDZBQOSA-N 0 3 309.400 4.045 20 0 DIADHN O[C@H](CN1CCC2(CCCCC2)CC1)c1ccc(F)cc1F ZINC000726598811 1047368505 /nfs/dbraw/zinc/36/85/05/1047368505.db2.gz YGKSIWBWEKWBQX-QGZVFWFLSA-N 0 3 309.400 4.045 20 0 DIADHN O[C@H](CN1CCC2(CCCCC2)CC1)c1c(F)cccc1F ZINC000726597546 1047368724 /nfs/dbraw/zinc/36/87/24/1047368724.db2.gz CSOZYFYSYBRAQV-MRXNPFEDSA-N 0 3 309.400 4.045 20 0 DIADHN CCCN(CC1CCCCC1)C(=O)[C@@H]1CCCCN1CCC ZINC001142094964 1047377315 /nfs/dbraw/zinc/37/73/15/1047377315.db2.gz XFQLXYRSKHEWOP-SFHVURJKSA-N 0 3 308.510 4.070 20 0 DIADHN Fc1cccc(C=C2CCN(C[C@@H]3C[C@@H]4CCC[C@H]4O3)CC2)c1 ZINC001168302670 1047377750 /nfs/dbraw/zinc/37/77/50/1047377750.db2.gz PDGLRZIZPMJRQU-YSIASYRMSA-N 0 3 315.432 4.263 20 0 DIADHN Cc1cc2cc(CNCc3ccc4c(c3)CC(C)(C)O4)ccc2[nH]1 ZINC000796528522 1047378959 /nfs/dbraw/zinc/37/89/59/1047378959.db2.gz VKQXKMVBCJVNHJ-UHFFFAOYSA-N 0 3 320.436 4.480 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1ccnc(OC(F)F)c1)CC2 ZINC000655767229 1047381263 /nfs/dbraw/zinc/38/12/63/1047381263.db2.gz ZUTQLWOJRHVCMA-OAHLLOKOSA-N 0 3 318.367 4.077 20 0 DIADHN Fc1ccc(CN2CCC(Nc3ccc(F)cc3)CC2)cc1 ZINC000107747573 1047383390 /nfs/dbraw/zinc/38/33/90/1047383390.db2.gz RPQPYHQNWKYIQB-UHFFFAOYSA-N 0 3 302.368 4.041 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1cccc(OC(F)F)c1 ZINC000191665699 1047384563 /nfs/dbraw/zinc/38/45/63/1047384563.db2.gz ZTTAZXHVSGFHRI-MRXNPFEDSA-N 0 3 319.351 4.161 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@H](C)C(F)(F)CC1 ZINC001142162894 1047393013 /nfs/dbraw/zinc/39/30/13/1047393013.db2.gz FHJAUVFYXFENJV-LBPRGKRZSA-N 0 3 313.436 4.122 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCc2noc3ccccc32)s1 ZINC000653553916 1047400137 /nfs/dbraw/zinc/40/01/37/1047400137.db2.gz IVLKZLAKETWLGV-LBPRGKRZSA-N 0 3 315.442 4.137 20 0 DIADHN Cc1csc(C(C)(C)NCCCc2c(F)cccc2F)n1 ZINC000653580791 1047402877 /nfs/dbraw/zinc/40/28/77/1047402877.db2.gz DVIMUDIBJSGIOL-UHFFFAOYSA-N 0 3 310.413 4.187 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1CCc1noc2ccccc21 ZINC000653610580 1047406481 /nfs/dbraw/zinc/40/64/81/1047406481.db2.gz OEWBGJWUPQRDDY-NWDGAFQWSA-N 0 3 312.335 4.033 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CCC(c2ccc(F)cc2)CC1 ZINC001142226730 1047406672 /nfs/dbraw/zinc/40/66/72/1047406672.db2.gz OJKDWPDJYCDCNW-UHFFFAOYSA-N 0 3 315.436 4.226 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCc3cccs3)CC2)cc1 ZINC000749440335 1047418464 /nfs/dbraw/zinc/41/84/64/1047418464.db2.gz FSAZNQKKRSZLFP-UHFFFAOYSA-N 0 3 313.466 4.194 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc2c(c1)OCCCO2 ZINC001142267036 1047420633 /nfs/dbraw/zinc/42/06/33/1047420633.db2.gz UNRLAIIHMIAQPP-UHFFFAOYSA-N 0 3 317.816 4.133 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)C1CCC(C)(C)CC1 ZINC001142307333 1047426825 /nfs/dbraw/zinc/42/68/25/1047426825.db2.gz XRVJQASSYGKGRD-UHFFFAOYSA-N 0 3 322.537 4.173 20 0 DIADHN CC(=O)C1CCN(Cc2ccc(-c3ccc(C)cc3)cc2)CC1 ZINC001142305635 1047427498 /nfs/dbraw/zinc/42/74/98/1047427498.db2.gz GKGAWGSLHYHTDA-UHFFFAOYSA-N 0 3 307.437 4.463 20 0 DIADHN C[C@@H](NCc1cc(-c2ccco2)on1)C1CCC(F)(F)CC1 ZINC000653867833 1047428554 /nfs/dbraw/zinc/42/85/54/1047428554.db2.gz HYUWGVCTVFBPEX-LLVKDONJSA-N 0 3 310.344 4.238 20 0 DIADHN CC[C@H](NCc1cc(-c2ccc(C)cc2)no1)[C@@H]1CCCCO1 ZINC000653880766 1047430463 /nfs/dbraw/zinc/43/04/63/1047430463.db2.gz RBYOGJJKXINOHZ-HKUYNNGSSA-N 0 3 314.429 4.087 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001142371951 1047436015 /nfs/dbraw/zinc/43/60/15/1047436015.db2.gz JZSPWVCJMCRWCM-QUCCMNQESA-N 0 3 307.437 4.482 20 0 DIADHN Cc1ccc2ccccc2c1CN1CCN(Cc2ccco2)CC1 ZINC001142380124 1047437381 /nfs/dbraw/zinc/43/73/81/1047437381.db2.gz CQHHIAJEZUMSNZ-UHFFFAOYSA-N 0 3 320.436 4.059 20 0 DIADHN COc1cc2c(cn1)CCN(Cc1c(C)ccc3ccccc31)C2 ZINC001142387704 1047439081 /nfs/dbraw/zinc/43/90/81/1047439081.db2.gz BPQPKOHJLOCRGL-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1NCc1ccc2ccccc2n1 ZINC000119058660 1047441555 /nfs/dbraw/zinc/44/15/55/1047441555.db2.gz XYANPHTXZXHVFO-ZBFHGGJFSA-N 0 3 308.347 4.446 20 0 DIADHN C[C@H](NCc1cc(F)cnc1Cl)[C@@H]1C[C@H]1c1cccs1 ZINC000841757730 1047453423 /nfs/dbraw/zinc/45/34/23/1047453423.db2.gz PVLAUYJDWCPVBD-TVYUQYBPSA-N 0 3 310.825 4.217 20 0 DIADHN Cc1ccc(OC(=O)C2(C)CCN(Cc3ccccc3)CC2)cc1 ZINC000780260676 1047458492 /nfs/dbraw/zinc/45/84/92/1047458492.db2.gz BOANSLWUPWJZJE-UHFFFAOYSA-N 0 3 323.436 4.203 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C[C@@H]1CCCO1 ZINC000119476885 1047459307 /nfs/dbraw/zinc/45/93/07/1047459307.db2.gz ZIJLDRBOTCSPEU-ZBFHGGJFSA-N 0 3 316.470 4.290 20 0 DIADHN CCn1nc(C)c(CN2CC[C@@H](C)[C@@H]2c2cccc(F)c2)c1C ZINC000537621585 1047467542 /nfs/dbraw/zinc/46/75/42/1047467542.db2.gz ZIRJAJHRUBANBS-BFUOFWGJSA-N 0 3 315.436 4.242 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1cccc2[nH]cnc21 ZINC001142686998 1047479031 /nfs/dbraw/zinc/47/90/31/1047479031.db2.gz LZLQQTJBZNVPIN-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nc(C2CC2)no1 ZINC000120090806 1047483571 /nfs/dbraw/zinc/48/35/71/1047483571.db2.gz IJECRIIKACEOMS-SJORKVTESA-N 0 3 311.429 4.105 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)Cc1cccc2nc[nH]c21 ZINC001142695850 1047483752 /nfs/dbraw/zinc/48/37/52/1047483752.db2.gz BXKPZFGJYBHTEU-SFHVURJKSA-N 0 3 321.424 4.046 20 0 DIADHN COC[C@H](N[C@H]1CCCc2cc(OC)ccc21)c1ccccc1 ZINC000089668070 1047487943 /nfs/dbraw/zinc/48/79/43/1047487943.db2.gz NPJBCMQVABKSOP-PMACEKPBSA-N 0 3 311.425 4.050 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1ccc(F)c(F)c1 ZINC000120304618 1047490391 /nfs/dbraw/zinc/49/03/91/1047490391.db2.gz QSJJXOIJCDYCOQ-CXAGYDPISA-N 0 3 320.383 4.046 20 0 DIADHN c1csc([C@H](NCc2cccc3c2OCCCO3)C2CC2)c1 ZINC000120535895 1047495967 /nfs/dbraw/zinc/49/59/67/1047495967.db2.gz QDDNXAOVMIPUPB-QGZVFWFLSA-N 0 3 315.438 4.150 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H]2CCc3ccc(OC)cc32)c1 ZINC000120568705 1047498708 /nfs/dbraw/zinc/49/87/08/1047498708.db2.gz KOUMPYVZLDIQMG-VBKZILBWSA-N 0 3 311.425 4.432 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2cccc(-c3ccccn3)c2)C1 ZINC001142844633 1047502674 /nfs/dbraw/zinc/50/26/74/1047502674.db2.gz YNNDRTJRISAPFW-OAHLLOKOSA-N 0 3 306.331 4.133 20 0 DIADHN CCC[C@@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000120827647 1047503441 /nfs/dbraw/zinc/50/34/41/1047503441.db2.gz CIDKLUZFBOLESG-DLBZAZTESA-N 0 3 302.462 4.143 20 0 DIADHN CCC[C@@H](NCc1ccccc1-n1ccc(C)n1)c1ccccn1 ZINC000090518837 1047505149 /nfs/dbraw/zinc/50/51/49/1047505149.db2.gz ROMJJHDXCKKUDH-GOSISDBHSA-N 0 3 320.440 4.207 20 0 DIADHN CCn1c2ccccc2c2cc(CN3CC[C@H]3COC)ccc21 ZINC001142862629 1047505226 /nfs/dbraw/zinc/50/52/26/1047505226.db2.gz GKAXMLDBACGXJK-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN C[C@@H](N[C@H]1CCOC2(CCCC2)C1)c1nc2c(s1)CCCC2 ZINC000090527109 1047506224 /nfs/dbraw/zinc/50/62/24/1047506224.db2.gz OZNNRSWZYYVCCD-KGLIPLIRSA-N 0 3 320.502 4.164 20 0 DIADHN c1cc2c(nccc2CN2CCC3(CCc4ccccc43)CC2)[nH]1 ZINC001142871237 1047507001 /nfs/dbraw/zinc/50/70/01/1047507001.db2.gz BSNCUHPFIQSVSG-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN COC[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)C(C)C ZINC000090969034 1047513002 /nfs/dbraw/zinc/51/30/02/1047513002.db2.gz WLKGOPVVVLLFIY-KBPBESRZSA-N 0 3 307.331 4.080 20 0 DIADHN CCC1(O)CCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000537635832 1047518847 /nfs/dbraw/zinc/51/88/47/1047518847.db2.gz ZUBQUJKHIQKFQO-UHFFFAOYSA-N 0 3 319.445 4.291 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000121406114 1047519087 /nfs/dbraw/zinc/51/90/87/1047519087.db2.gz VHDFONMMCYUDGF-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN CC1CCN(Cc2nccnc2-c2ccc(Cl)cc2)CC1 ZINC001142963962 1047519540 /nfs/dbraw/zinc/51/95/40/1047519540.db2.gz XEISMDPNGXUALP-UHFFFAOYSA-N 0 3 301.821 4.029 20 0 DIADHN Clc1ccc(-c2nccnc2CN2CCCCC23CC3)cc1 ZINC001142978393 1047522050 /nfs/dbraw/zinc/52/20/50/1047522050.db2.gz QIAQQKWOGKKROP-UHFFFAOYSA-N 0 3 313.832 4.316 20 0 DIADHN Cc1nccn1CCCN1CC=C(c2ccccc2Cl)CC1 ZINC000091856694 1047523584 /nfs/dbraw/zinc/52/35/84/1047523584.db2.gz SDXQDUDYVTYGPP-UHFFFAOYSA-N 0 3 315.848 4.024 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc3nccn3c2)cc1 ZINC001143027470 1047526324 /nfs/dbraw/zinc/52/63/24/1047526324.db2.gz NFZKEWVTMXQKGF-IBGZPJMESA-N 0 3 321.424 4.070 20 0 DIADHN CCCCCCN(C)CC(=O)NC1(c2cccc(C)c2)CCC1 ZINC001143114992 1047533571 /nfs/dbraw/zinc/53/35/71/1047533571.db2.gz PCMASEUCZDHUSH-UHFFFAOYSA-N 0 3 316.489 4.003 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(C)cc1 ZINC000121981893 1047534207 /nfs/dbraw/zinc/53/42/07/1047534207.db2.gz HGZWKMLRPVHAKR-GOSISDBHSA-N 0 3 306.409 4.077 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC(n4ccnc4)CC3)o2)cc1 ZINC000092648893 1047537857 /nfs/dbraw/zinc/53/78/57/1047537857.db2.gz IDQCAMXEBOELEA-UHFFFAOYSA-N 0 3 321.424 4.289 20 0 DIADHN CC(C)CN(Cc1scc2c1OCCO2)Cc1ccccc1 ZINC001143182377 1047539013 /nfs/dbraw/zinc/53/90/13/1047539013.db2.gz ZAXLEPKLCFEIHH-UHFFFAOYSA-N 0 3 317.454 4.178 20 0 DIADHN c1sc(CN2CCC3(CCCCC3)CC2)c2c1OCCO2 ZINC001143180847 1047539069 /nfs/dbraw/zinc/53/90/69/1047539069.db2.gz AQEQTWNQKUUEMG-UHFFFAOYSA-N 0 3 307.459 4.066 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2scc3c2OCCO3)c1 ZINC001143178849 1047539133 /nfs/dbraw/zinc/53/91/33/1047539133.db2.gz NZJPMJZKJFITBU-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN Fc1ccc2oc(CN3CCC[C@H](c4nccs4)C3)cc2c1 ZINC000092788497 1047539136 /nfs/dbraw/zinc/53/91/36/1047539136.db2.gz ZRAAOZPLLYMSPX-LBPRGKRZSA-N 0 3 316.401 4.408 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001143244257 1047543506 /nfs/dbraw/zinc/54/35/06/1047543506.db2.gz QJIUGVUHGOCGLK-ZDUSSCGKSA-N 0 3 311.269 4.348 20 0 DIADHN Cc1cccc(NC(=O)N(CCN(C)C)C2CCCCC2)c1C ZINC000122276517 1047544044 /nfs/dbraw/zinc/54/40/44/1047544044.db2.gz WNMPHDCYCWXDCR-UHFFFAOYSA-N 0 3 317.477 4.032 20 0 DIADHN CCn1nc(C)c(CN(Cc2ccc(F)cc2)CC2CC2)c1C ZINC000093479977 1047548009 /nfs/dbraw/zinc/54/80/09/1047548009.db2.gz IEOKWTBHNAPVTO-UHFFFAOYSA-N 0 3 315.436 4.071 20 0 DIADHN Cc1cccc([C@H]2CCCN2CC2=Cc3ccccc3OC2)n1 ZINC000093453414 1047548523 /nfs/dbraw/zinc/54/85/23/1047548523.db2.gz JELBVISVSAAKLJ-LJQANCHMSA-N 0 3 306.409 4.003 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@H](c3cccnc3)C2)o1 ZINC000843419239 1047548587 /nfs/dbraw/zinc/54/85/87/1047548587.db2.gz GUVAGBSRLZGDPV-ZDUSSCGKSA-N 0 3 324.396 4.120 20 0 DIADHN FC(F)C1CC(NCc2cc(Cl)ccc2Br)C1 ZINC000843415592 1047548641 /nfs/dbraw/zinc/54/86/41/1047548641.db2.gz CWIADWDCYDMJJJ-UHFFFAOYSA-N 0 3 324.596 4.236 20 0 DIADHN COc1ccc(F)c(C(F)(F)F)c1CN(C(C)C)C(C)C ZINC001143295902 1047549713 /nfs/dbraw/zinc/54/97/13/1047549713.db2.gz PMJFGSCSXCYURM-UHFFFAOYSA-N 0 3 307.331 4.472 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(NC2CCCC2)c1)N(CC)CC ZINC001149257262 1047558764 /nfs/dbraw/zinc/55/87/64/1047558764.db2.gz OYYXLKWEIXVLHC-GOSISDBHSA-N 0 3 317.477 4.100 20 0 DIADHN Cc1n[nH]cc1CN1CC[C@@H](C)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000537654477 1047569018 /nfs/dbraw/zinc/56/90/18/1047569018.db2.gz AOINWNHZMSMLEK-BDJLRTHQSA-N 0 3 323.362 4.320 20 0 DIADHN Cc1ccc(SCCN(C)[C@@H](C)c2ccncc2)cc1C ZINC000537678795 1047575530 /nfs/dbraw/zinc/57/55/30/1047575530.db2.gz VGWUISMVEDZOQC-INIZCTEOSA-N 0 3 300.471 4.484 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@H]2COCC[C@@H]21 ZINC000537690029 1047575674 /nfs/dbraw/zinc/57/56/74/1047575674.db2.gz BHGWUSNHZYILHZ-RDJZCZTQSA-N 0 3 320.502 4.192 20 0 DIADHN COCC(C)(C)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC000514769740 1047585701 /nfs/dbraw/zinc/58/57/01/1047585701.db2.gz UTTKDGMMFOYRSW-UHFFFAOYSA-N 0 3 324.877 4.226 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@H](C)CC(C)(C)C)c1 ZINC001151012286 1047589559 /nfs/dbraw/zinc/58/95/59/1047589559.db2.gz RZYBAIQKWIKVKW-HNNXBMFYSA-N 0 3 304.478 4.458 20 0 DIADHN CC(C)=C[C@@H]1[C@@H](C(=O)Nc2cc(C)cc(CN(C)C)c2)C1(C)C ZINC001151013195 1047590137 /nfs/dbraw/zinc/59/01/37/1047590137.db2.gz ZBFNZPHKDJFMOX-MSOLQXFVSA-N 0 3 314.473 4.234 20 0 DIADHN CCCc1noc(CN2CCC[C@H]2[C@@H](CC)c2ccccc2)n1 ZINC000477220037 1047604865 /nfs/dbraw/zinc/60/48/65/1047604865.db2.gz KPXOBLXNUGAELY-IRXDYDNUSA-N 0 3 313.445 4.180 20 0 DIADHN Cc1nc2ccc(C(=O)NCCP(C(C)C)C(C)C)cc2o1 ZINC001152122238 1047607925 /nfs/dbraw/zinc/60/79/25/1047607925.db2.gz KEKGBYXSMFYURB-UHFFFAOYSA-N 0 3 320.373 4.165 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1ccn(C2CCCC2)n1 ZINC000477286316 1047610694 /nfs/dbraw/zinc/61/06/94/1047610694.db2.gz RSVGZOQIJMQOBZ-AEFFLSMTSA-N 0 3 309.457 4.376 20 0 DIADHN CC(C)[C@H](C(=O)Nc1ccc2c(c1)C(C)(C)CC2(C)C)N(C)C ZINC001153112281 1047620843 /nfs/dbraw/zinc/62/08/43/1047620843.db2.gz KYCCEUWAKYPDFY-QGZVFWFLSA-N 0 3 316.489 4.170 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@H]1C(F)(F)F)c1ncccc1Cl ZINC000926946318 1047630377 /nfs/dbraw/zinc/63/03/77/1047630377.db2.gz BVTHCIOLARKKIQ-UMNHJUIQSA-N 0 3 306.759 4.364 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N1CCCCCC1 ZINC001153856959 1047633338 /nfs/dbraw/zinc/63/33/38/1047633338.db2.gz ADFQFOBXZCXIDA-SJORKVTESA-N 0 3 314.473 4.181 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)ccn1 ZINC000565962957 1047634516 /nfs/dbraw/zinc/63/45/16/1047634516.db2.gz ZHRGURXRXLYQSV-BFUOFWGJSA-N 0 3 314.404 4.421 20 0 DIADHN CCOc1cc(CN2CCCCC2)ccc1OCC(F)(F)F ZINC000537721417 1047635996 /nfs/dbraw/zinc/63/59/96/1047635996.db2.gz DQFCCSOHCKPSMB-UHFFFAOYSA-N 0 3 317.351 4.012 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCCOc1ncccc1F ZINC000537949967 1047645883 /nfs/dbraw/zinc/64/58/83/1047645883.db2.gz DOYXUJCJICDMDE-ZDUSSCGKSA-N 0 3 314.360 4.005 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc(OC)cc2C)s1 ZINC000537955053 1047646825 /nfs/dbraw/zinc/64/68/25/1047646825.db2.gz FQVMKJYGGFRVTK-LBPRGKRZSA-N 0 3 304.459 4.182 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc2ccncc2c1)C(C)C ZINC001154602394 1047651211 /nfs/dbraw/zinc/65/12/11/1047651211.db2.gz DBMANUOJIGAIDM-UHFFFAOYSA-N 0 3 316.385 4.263 20 0 DIADHN C[C@@H](NCCOc1ccc(C(C)(C)C)cc1)c1ccc(F)cn1 ZINC000537971977 1047651729 /nfs/dbraw/zinc/65/17/29/1047651729.db2.gz PPJNUFRGLGTPIN-CQSZACIVSA-N 0 3 316.420 4.248 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(OC(F)(F)F)cc1 ZINC000538002454 1047652583 /nfs/dbraw/zinc/65/25/83/1047652583.db2.gz ODQCDZWFXKDZQK-LBPRGKRZSA-N 0 3 324.346 4.393 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCc1c(F)cccc1Cl ZINC000538036809 1047654276 /nfs/dbraw/zinc/65/42/76/1047654276.db2.gz DUWVSMGDMHVYEW-MRXNPFEDSA-N 0 3 321.827 4.199 20 0 DIADHN Cc1cc(C)nc(NCCCc2cncc(C(F)(F)F)c2)c1 ZINC001154712953 1047655217 /nfs/dbraw/zinc/65/52/17/1047655217.db2.gz ZBLLYSAXKKANSN-UHFFFAOYSA-N 0 3 309.335 4.157 20 0 DIADHN CCn1nc(C)c(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)c1C ZINC000538099139 1047656527 /nfs/dbraw/zinc/65/65/27/1047656527.db2.gz RHUZHGPAFOELDX-LJQANCHMSA-N 0 3 315.436 4.208 20 0 DIADHN COCc1cc(CN[C@@H](c2ccc(F)cc2)C2CC2)ccc1F ZINC000538115109 1047659556 /nfs/dbraw/zinc/65/95/56/1047659556.db2.gz YASUEVPXICTUBP-LJQANCHMSA-N 0 3 317.379 4.352 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(C)cc1F)c1ccc(C)cc1 ZINC000538157959 1047660120 /nfs/dbraw/zinc/66/01/20/1047660120.db2.gz ONLRONXGHRHREO-KRWDZBQOSA-N 0 3 314.404 4.122 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(C)cc1F)c1ccc(C)cc1 ZINC000538157961 1047660424 /nfs/dbraw/zinc/66/04/24/1047660424.db2.gz ONLRONXGHRHREO-QGZVFWFLSA-N 0 3 314.404 4.122 20 0 DIADHN CCC(CC)[C@H](NCc1cccc(OC)n1)c1cccs1 ZINC000538177868 1047661117 /nfs/dbraw/zinc/66/11/17/1047661117.db2.gz IDQKLHCCOIUMHZ-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc2cc[nH]c2c1F)C(C)C ZINC001154954351 1047662836 /nfs/dbraw/zinc/66/28/36/1047662836.db2.gz IDJQFOSJJNLAFV-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN O=C(CN[C@H](c1cccs1)C1CC1)Nc1ccccc1Cl ZINC000538264188 1047664627 /nfs/dbraw/zinc/66/46/27/1047664627.db2.gz FYATVAIEYNXORK-INIZCTEOSA-N 0 3 320.845 4.081 20 0 DIADHN Cc1c(Cl)cnc(Cl)c1-n1c2ccccc2nc1[C@@H](C)N ZINC001155257623 1047674025 /nfs/dbraw/zinc/67/40/25/1047674025.db2.gz HQZCKNRUHYQGMV-SECBINFHSA-N 0 3 321.211 4.055 20 0 DIADHN CC[C@H](C(=O)N(c1ccc(C)cc1)C1CCC1)N(CC)CC ZINC001155274538 1047674308 /nfs/dbraw/zinc/67/43/08/1047674308.db2.gz HOGXGDUEWHAGLU-GOSISDBHSA-N 0 3 302.462 4.001 20 0 DIADHN CCC1(CC)[C@@H](NCc2ncoc2-c2ccccc2)C[C@@H]1OC ZINC000538393840 1047674331 /nfs/dbraw/zinc/67/43/31/1047674331.db2.gz HGOQVHBWBVPYLZ-IRXDYDNUSA-N 0 3 314.429 4.025 20 0 DIADHN CC[C@@H](C(=O)N(c1ccc(C)cc1)C1CCC1)N(CC)CC ZINC001155274539 1047674344 /nfs/dbraw/zinc/67/43/44/1047674344.db2.gz HOGXGDUEWHAGLU-SFHVURJKSA-N 0 3 302.462 4.001 20 0 DIADHN Cn1cc(CNC(C)(C)c2ccnc(Cl)c2)c2ccccc21 ZINC001155286955 1047675914 /nfs/dbraw/zinc/67/59/14/1047675914.db2.gz NNZVYCRDSRDOHU-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cnc(C2CC2)s1 ZINC000538486551 1047682416 /nfs/dbraw/zinc/68/24/16/1047682416.db2.gz PAKBMJFEIYLALQ-BDJLRTHQSA-N 0 3 320.433 4.025 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc(Cl)s1 ZINC000538487538 1047682563 /nfs/dbraw/zinc/68/25/63/1047682563.db2.gz LCAOCNAFDDVPEW-ZUZCIYMTSA-N 0 3 313.825 4.406 20 0 DIADHN CC[C@H](NCCOC(C)(C)C)c1ccccc1Br ZINC000538524735 1047683088 /nfs/dbraw/zinc/68/30/88/1047683088.db2.gz RFSIOCBCMGFYOL-AWEZNQCLSA-N 0 3 314.267 4.305 20 0 DIADHN Clc1cccc2c(N[C@@H]3CNCc4ccsc43)nccc12 ZINC001155560525 1047684300 /nfs/dbraw/zinc/68/43/00/1047684300.db2.gz GHXZTBCRGTWADC-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN CC[C@H](Nc1ccccc1N1CCN(CC)CC1)c1ccccc1 ZINC000538544166 1047685047 /nfs/dbraw/zinc/68/50/47/1047685047.db2.gz GFORABCABRJSLD-IBGZPJMESA-N 0 3 323.484 4.392 20 0 DIADHN Cc1nc(N[C@H]2CNCc3ccsc32)c(Cl)cc1Cl ZINC001155565375 1047685153 /nfs/dbraw/zinc/68/51/53/1047685153.db2.gz NAKOQPADWAKBSV-NSHDSACASA-N 0 3 314.241 4.015 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643397 1047685881 /nfs/dbraw/zinc/68/58/81/1047685881.db2.gz QJLABARAZDRBOK-DYVFJYSZSA-N 0 3 324.896 4.131 20 0 DIADHN Cc1ccc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)cc1C ZINC000538551157 1047686779 /nfs/dbraw/zinc/68/67/79/1047686779.db2.gz LBDWOIJCWDEHTE-HNNXBMFYSA-N 0 3 309.409 4.372 20 0 DIADHN Cc1ccsc1[C@H](C)NC1(c2ccc3c(c2)OCO3)CC1 ZINC000538550832 1047687029 /nfs/dbraw/zinc/68/70/29/1047687029.db2.gz IHPXFODZRVHJML-LBPRGKRZSA-N 0 3 301.411 4.125 20 0 DIADHN c1nc(-c2ccccn2)sc1CN1CC[C@@H]1Cc1ccccc1 ZINC000538723556 1047700835 /nfs/dbraw/zinc/70/08/35/1047700835.db2.gz LUINZNVAPIJIOJ-MRXNPFEDSA-N 0 3 321.449 4.022 20 0 DIADHN CCCCN(CCOC)Cc1cnc(-c2ccccc2)s1 ZINC000538729486 1047702397 /nfs/dbraw/zinc/70/23/97/1047702397.db2.gz TTYFVXRMMWZRAJ-UHFFFAOYSA-N 0 3 304.459 4.059 20 0 DIADHN Fc1ccccc1C1CCN(Cc2ccc3c[nH]nc3c2)CC1 ZINC000538726328 1047706582 /nfs/dbraw/zinc/70/65/82/1047706582.db2.gz LJOHAPLYXPGCSC-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cc2ccccc2o1 ZINC000538879522 1047717694 /nfs/dbraw/zinc/71/76/94/1047717694.db2.gz ZTCVNVLZLFUXDL-BFUOFWGJSA-N 0 3 313.372 4.438 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1Br)[C@H]1CCCO1 ZINC000539041111 1047726640 /nfs/dbraw/zinc/72/66/40/1047726640.db2.gz RDDRCYCSEFUZIZ-BYCMXARLSA-N 0 3 312.251 4.057 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1ccccc1F ZINC000539055047 1047728052 /nfs/dbraw/zinc/72/80/52/1047728052.db2.gz SGPFEIWCRBRIAU-VBHSOAQHSA-N 0 3 305.368 4.392 20 0 DIADHN C[C@H](N[C@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000539056127 1047729552 /nfs/dbraw/zinc/72/95/52/1047729552.db2.gz WPCNLSKBDSEMQE-BLLLJJGKSA-N 0 3 309.331 4.090 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001156964554 1047730322 /nfs/dbraw/zinc/73/03/22/1047730322.db2.gz LOMHOFZQELXQLF-AEFFLSMTSA-N 0 3 308.510 4.116 20 0 DIADHN CN(C)Cc1ccnc(NC2c3ccccc3-c3ccccc32)c1 ZINC001156965774 1047731327 /nfs/dbraw/zinc/73/13/27/1047731327.db2.gz HGRSGAPBTVASGT-UHFFFAOYSA-N 0 3 315.420 4.325 20 0 DIADHN CC(C)N1CC[C@@H](N[C@@H](C)c2ccc(-c3ccccc3)cc2)C1=O ZINC000539085728 1047732801 /nfs/dbraw/zinc/73/28/01/1047732801.db2.gz UDRMRYDWFBESTI-OXJNMPFZSA-N 0 3 322.452 4.014 20 0 DIADHN C[C@@H](NCCOc1ccccc1C(C)(C)C)c1ccc(F)cn1 ZINC000539079181 1047734977 /nfs/dbraw/zinc/73/49/77/1047734977.db2.gz JQROWRXHUINAML-CQSZACIVSA-N 0 3 316.420 4.248 20 0 DIADHN CCOC[C@@H](C)N[C@@H](c1ccccc1)c1ccc(OCC)cc1 ZINC000539094616 1047735874 /nfs/dbraw/zinc/73/58/74/1047735874.db2.gz XKIMRRSOTZEKBQ-UZLBHIALSA-N 0 3 313.441 4.189 20 0 DIADHN CCCCCCN(C)CC(=O)N1C[C@H](C)C[C@@H]1c1ccccc1 ZINC001157230775 1047737598 /nfs/dbraw/zinc/73/75/98/1047737598.db2.gz BZWBPFYFGQDSMW-IEBWSBKVSA-N 0 3 316.489 4.108 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCc2ccc(Cl)cc21 ZINC000539121622 1047738242 /nfs/dbraw/zinc/73/82/42/1047738242.db2.gz JNQCVWIITBCGTF-LSDHHAIUSA-N 0 3 307.846 4.020 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2c2cc(CN(C)C)ccn2)cc1C ZINC001157376576 1047742050 /nfs/dbraw/zinc/74/20/50/1047742050.db2.gz VWMBSGIIMOSVKQ-FQEVSTJZSA-N 0 3 323.484 4.492 20 0 DIADHN CC(C)[C@H](NCc1ccc(CO)c(F)c1)c1ccc(Cl)cc1 ZINC000539214745 1047742308 /nfs/dbraw/zinc/74/23/08/1047742308.db2.gz ANIIINXJXNLYQG-SFHVURJKSA-N 0 3 321.823 4.458 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)N1CCC[C@@H](C)C1)c1cccs1 ZINC000539277152 1047744028 /nfs/dbraw/zinc/74/40/28/1047744028.db2.gz NYBRETORULJJCS-RDTXWAMCSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](CC(C)C)c1ccccc1 ZINC000539242669 1047744155 /nfs/dbraw/zinc/74/41/55/1047744155.db2.gz PIURKWICIHESGH-LJQANCHMSA-N 0 3 310.441 4.311 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2cc(C)cc(C)c2)c(C)s1 ZINC000539321090 1047746469 /nfs/dbraw/zinc/74/64/69/1047746469.db2.gz WYOMHIOGLHVAIH-ZDUSSCGKSA-N 0 3 317.454 4.311 20 0 DIADHN CCC(C)(C)NC(=O)CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000539307964 1047747531 /nfs/dbraw/zinc/74/75/31/1047747531.db2.gz FCYQANDHTGYNTL-QGZVFWFLSA-N 0 3 322.880 4.076 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2cccs2)C2CC2)c(C)s1 ZINC000539368320 1047749851 /nfs/dbraw/zinc/74/98/51/1047749851.db2.gz NQRSUOZZJMEKNV-HNNXBMFYSA-N 0 3 321.467 4.146 20 0 DIADHN C[C@@H]1CCN(Cc2cccc3nccn32)[C@@H]1c1cccc(F)c1 ZINC000539381703 1047751058 /nfs/dbraw/zinc/75/10/58/1047751058.db2.gz NUQRBYJOLMRTKH-KUHUBIRLSA-N 0 3 309.388 4.057 20 0 DIADHN Clc1ccc2c(ccnc2N2CCN[C@H](c3ccccc3)C2)c1 ZINC001157758705 1047753327 /nfs/dbraw/zinc/75/33/27/1047753327.db2.gz DAVITZKAIZIQEY-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN CC(C)(N[C@@H]1CCNc2ccccc21)c1ccnc(Cl)c1 ZINC001157840256 1047758601 /nfs/dbraw/zinc/75/86/01/1047758601.db2.gz TZZFNDHMSHALQI-OAHLLOKOSA-N 0 3 301.821 4.117 20 0 DIADHN Cc1ccc(C(C)(C)Nc2cc(N3CCCC3)nc(C)n2)cc1 ZINC001157974130 1047764884 /nfs/dbraw/zinc/76/48/84/1047764884.db2.gz ACMWQDIFOAMGJO-UHFFFAOYSA-N 0 3 310.445 4.041 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccccc1C(F)(F)F ZINC000061780311 1047769546 /nfs/dbraw/zinc/76/95/46/1047769546.db2.gz UDTUAIKHTJWEDA-UHFFFAOYSA-N 0 3 323.358 4.354 20 0 DIADHN CC1(C)C[C@@H](NCc2ncc(-c3ccccc3)s2)C(C)(C)O1 ZINC000478272437 1047778660 /nfs/dbraw/zinc/77/86/60/1047778660.db2.gz KYCQCUADMVWMCK-OAHLLOKOSA-N 0 3 316.470 4.246 20 0 DIADHN C[C@H]1C[C@H](Nc2cc(Cl)nc3c2CCNC3)c2ccccc21 ZINC001158471499 1047783213 /nfs/dbraw/zinc/78/32/13/1047783213.db2.gz HACFAAROBBZWGC-NHYWBVRUSA-N 0 3 313.832 4.041 20 0 DIADHN C[C@@H]1C[C@@H](Nc2ccc(CN(C)C3CC3)cn2)c2ccccc21 ZINC001158474993 1047784300 /nfs/dbraw/zinc/78/43/00/1047784300.db2.gz AAFXGBDHRBMEDY-AUUYWEPGSA-N 0 3 307.441 4.336 20 0 DIADHN CC[C@@H](N[C@H](c1cnn(C)c1)C(C)C)c1cc(C)ccc1OC ZINC000927067885 1047792664 /nfs/dbraw/zinc/79/26/64/1047792664.db2.gz IHRVOFDGQKRBPA-MJGOQNOKSA-N 0 3 315.461 4.175 20 0 DIADHN CN(C)c1cccc(NC2(c3ccccc3C(F)(F)F)CC2)n1 ZINC001158689412 1047795558 /nfs/dbraw/zinc/79/55/58/1047795558.db2.gz YXMVKIUVTVJAMR-UHFFFAOYSA-N 0 3 321.346 4.268 20 0 DIADHN [O-]c1cccc2sc(Nc3ccc([C@@H]4CCC[NH2+]4)cc3)nc21 ZINC001159113376 1047813849 /nfs/dbraw/zinc/81/38/49/1047813849.db2.gz OVNOAZZLRAYCLZ-ZDUSSCGKSA-N 0 3 311.410 4.170 20 0 DIADHN CC(C)Oc1ccc2cc(Nc3ccnc(CN)c3)ccc2c1 ZINC001159296760 1047826723 /nfs/dbraw/zinc/82/67/23/1047826723.db2.gz TYPCPDGMIKGDCN-UHFFFAOYSA-N 0 3 307.397 4.224 20 0 DIADHN NCc1cc(NC=Cc2ccc(-c3ccccc3)cc2)ccn1 ZINC001159297036 1047826797 /nfs/dbraw/zinc/82/67/97/1047826797.db2.gz YHEWRJXRZKDTBT-ZRDIBKRKSA-N 0 3 301.393 4.290 20 0 DIADHN Fc1ccc(Cl)c(Cl)c1[N-]c1cc([C@H]2CCC[NH2+]2)no1 ZINC001159307630 1047829120 /nfs/dbraw/zinc/82/91/20/1047829120.db2.gz BZKVLEMGYKFOTP-SECBINFHSA-N 0 3 316.163 4.289 20 0 DIADHN C[C@H](CN(C)[C@@H](C)c1nc(-c2cccs2)no1)C(C)(C)C ZINC001197601941 1047853927 /nfs/dbraw/zinc/85/39/27/1047853927.db2.gz MJENIYIHBDYYBI-NEPJUHHUSA-N 0 3 307.463 4.473 20 0 DIADHN FC(F)n1ccnc1CNCC1CCC(c2ccccc2)CC1 ZINC000553473868 1047857607 /nfs/dbraw/zinc/85/76/07/1047857607.db2.gz UHVFIJKLINGELN-UHFFFAOYSA-N 0 3 319.399 4.342 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@H]2c2ccccc2)cc1 ZINC001197661081 1047860245 /nfs/dbraw/zinc/86/02/45/1047860245.db2.gz TXOYUMCYLQQJTG-JXFKEZNVSA-N 0 3 308.425 4.017 20 0 DIADHN O[C@H](CN(Cc1cccc(F)c1)C1CC1)c1ccc(Cl)cc1 ZINC000774558440 1047861946 /nfs/dbraw/zinc/86/19/46/1047861946.db2.gz CZONLYOCWPLHNI-GOSISDBHSA-N 0 3 319.807 4.177 20 0 DIADHN CC(C)P(CCNC(=S)Nc1cccc(F)c1)C(C)C ZINC001197670375 1047864126 /nfs/dbraw/zinc/86/41/26/1047864126.db2.gz JKPBPICQZDWLKH-UHFFFAOYSA-N 0 3 314.410 4.411 20 0 DIADHN Cc1cnccc1Nc1ccc(N2CCCC2)c(C(F)(F)F)c1 ZINC001174539301 1047864615 /nfs/dbraw/zinc/86/46/15/1047864615.db2.gz HCFLJTDTUAXVLY-UHFFFAOYSA-N 0 3 321.346 4.175 20 0 DIADHN Cc1cnccc1N(c1cc(Br)ccc1N)C(C)C ZINC001174539032 1047865094 /nfs/dbraw/zinc/86/50/94/1047865094.db2.gz YUSSVSFEDLEWJP-UHFFFAOYSA-N 0 3 320.234 4.281 20 0 DIADHN Cc1cnccc1Nc1cc(NC(=O)OC(C)(C)C)ccc1F ZINC001174538141 1047865157 /nfs/dbraw/zinc/86/51/57/1047865157.db2.gz BXQDWJKYWQPCQB-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN Cc1cnccc1Nc1ccc(C=Cc2ccc(N)cc2)cc1 ZINC001174539407 1047865905 /nfs/dbraw/zinc/86/59/05/1047865905.db2.gz KRBGMVSGSDMGRZ-NSCUHMNNSA-N 0 3 301.393 4.308 20 0 DIADHN Cc1cnccc1Nc1ccc(Sc2ccc(N)cc2)cc1 ZINC001174539660 1047866996 /nfs/dbraw/zinc/86/69/96/1047866996.db2.gz PGATWMPLDAYECZ-UHFFFAOYSA-N 0 3 307.422 4.289 20 0 DIADHN CCOc1cc(CN2CCC[C@H](C3CCC3)C2)c(F)cc1OC ZINC001182959596 1047866898 /nfs/dbraw/zinc/86/68/98/1047866898.db2.gz MDLXTJKGXLPJEB-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN CCC(CC)C(=O)N1CCN(CC2CCC(C(C)C)CC2)CC1 ZINC001183001281 1047875630 /nfs/dbraw/zinc/87/56/30/1047875630.db2.gz SQFUSUTYIUHSHI-UHFFFAOYSA-N 0 3 322.537 4.029 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1Cc2c[nH]nc2C[C@@H](C)C1 ZINC001197785296 1047879889 /nfs/dbraw/zinc/87/98/89/1047879889.db2.gz CMQNMPKERNLWCS-NEPJUHHUSA-N 0 3 323.362 4.184 20 0 DIADHN Cc1ccc(Br)c(F)c1CN(C)C[C@H]1CC1(C)C ZINC001183074719 1047881121 /nfs/dbraw/zinc/88/11/21/1047881121.db2.gz KAHADPDIZVBPRA-LLVKDONJSA-N 0 3 314.242 4.375 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2N[C@H](C)c1cc[nH]c(=O)c1 ZINC000924721332 1047886419 /nfs/dbraw/zinc/88/64/19/1047886419.db2.gz AIMPOCUCCBHWCR-IUODEOHRSA-N 0 3 300.402 4.053 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000924721335 1047886696 /nfs/dbraw/zinc/88/66/96/1047886696.db2.gz AIMPOCUCCBHWCR-SWLSCSKDSA-N 0 3 300.402 4.053 20 0 DIADHN Oc1ccc(C2=CCN([C@@H]3CCc4ccc(F)cc43)CC2)cc1 ZINC000479416585 1047888114 /nfs/dbraw/zinc/88/81/14/1047888114.db2.gz YVYHUAMLPDVTNM-HXUWFJFHSA-N 0 3 309.384 4.308 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@H](OCCC(C)C)C3)[nH]c2c1 ZINC001183093903 1047891737 /nfs/dbraw/zinc/89/17/37/1047891737.db2.gz GNYFDNYLHCXMHJ-GOSISDBHSA-N 0 3 300.446 4.113 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1Cc2ccccc2O1 ZINC001203886895 1047892662 /nfs/dbraw/zinc/89/26/62/1047892662.db2.gz HBPVYUMDGJYWBJ-INIZCTEOSA-N 0 3 321.342 4.141 20 0 DIADHN CCCn1nccc1CN1CCC(c2c[nH]c3ccccc23)CC1 ZINC000667492426 1047899288 /nfs/dbraw/zinc/89/92/88/1047899288.db2.gz HXGXJXBOGRHNPF-UHFFFAOYSA-N 0 3 322.456 4.154 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC[C@@H](Cc2nccs2)C1 ZINC001197921348 1047900699 /nfs/dbraw/zinc/90/06/99/1047900699.db2.gz QTDHPVCSGVEIRN-KBPBESRZSA-N 0 3 304.434 4.298 20 0 DIADHN Oc1ccc([C@H]2CCN(C/C=C\c3ccc(F)cc3F)C2)cc1 ZINC001183157788 1047903079 /nfs/dbraw/zinc/90/30/79/1047903079.db2.gz UFDGJGZMZZWTRO-AIMAZDRCSA-N 0 3 315.363 4.173 20 0 DIADHN CCOc1ccc(CN2CCC3(CCSCC3)CC2)cc1F ZINC001183196937 1047903741 /nfs/dbraw/zinc/90/37/41/1047903741.db2.gz KSGOYUCPXGNBQA-UHFFFAOYSA-N 0 3 323.477 4.334 20 0 DIADHN CCC(O)(CC)CN(C)Cc1ccc(Cl)c(C)c1Cl ZINC001183196734 1047904205 /nfs/dbraw/zinc/90/42/05/1047904205.db2.gz HWDUYDDRQSDONC-UHFFFAOYSA-N 0 3 304.261 4.285 20 0 DIADHN COC1(CN[C@H](C)c2nc3c(s2)CCCC3)CCC(C)CC1 ZINC000479493121 1047908446 /nfs/dbraw/zinc/90/84/46/1047908446.db2.gz VCTVGRYAJFJNMB-TXKKKEFDSA-N 0 3 322.518 4.268 20 0 DIADHN CC[C@@H](NC[C@H](OC)C1CCCC1)c1cc(F)ccc1OC ZINC001197968624 1047909744 /nfs/dbraw/zinc/90/97/44/1047909744.db2.gz KTQLNFQRRSGPMA-AEFFLSMTSA-N 0 3 309.425 4.080 20 0 DIADHN CC[C@@H](NC[C@@H](OC)C1CCCC1)c1cc(F)ccc1OC ZINC001197968626 1047910357 /nfs/dbraw/zinc/91/03/57/1047910357.db2.gz KTQLNFQRRSGPMA-SJLPKXTDSA-N 0 3 309.425 4.080 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC001174629556 1047915765 /nfs/dbraw/zinc/91/57/65/1047915765.db2.gz AREGSXMLIBTERR-KSSFIOAISA-N 0 3 323.864 4.130 20 0 DIADHN CC(C)[C@](C)(Cc1ccccc1)[NH2+]Cc1ccc([O-])c(F)c1 ZINC001183324010 1047917884 /nfs/dbraw/zinc/91/78/84/1047917884.db2.gz JSKIIWHPJBOOOY-IBGZPJMESA-N 0 3 301.405 4.278 20 0 DIADHN Cc1c(Cl)cccc1CN1CC[C@H](Oc2cccc(F)c2)C1 ZINC001183363210 1047919348 /nfs/dbraw/zinc/91/93/48/1047919348.db2.gz HWUCNCWEOYRTDA-KRWDZBQOSA-N 0 3 319.807 4.441 20 0 DIADHN CCCCN(CCn1cc(Cl)cn1)Cc1c(C)oc(C)c1C ZINC001198019755 1047920551 /nfs/dbraw/zinc/92/05/51/1047920551.db2.gz DOKLXABGHYOBKJ-UHFFFAOYSA-N 0 3 323.868 4.357 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1coc(-c2cccs2)n1 ZINC000479544804 1047924062 /nfs/dbraw/zinc/92/40/62/1047924062.db2.gz SEVLROOHGHIHGS-HIFRSBDPSA-N 0 3 302.443 4.485 20 0 DIADHN C[C@H]1C[C@@H](NCC2(c3cccc(Cl)c3)CCC2)c2nccn21 ZINC001183370695 1047924099 /nfs/dbraw/zinc/92/40/99/1047924099.db2.gz JQRYSNQQPWWQGO-XJKSGUPXSA-N 0 3 315.848 4.254 20 0 DIADHN CC(C)c1ccc([C@H](C)NCC(=O)N2Cc3ccccc3C2)cc1 ZINC000479530608 1047925516 /nfs/dbraw/zinc/92/55/16/1047925516.db2.gz UTUKJHPLKGRVEF-INIZCTEOSA-N 0 3 322.452 4.003 20 0 DIADHN COC[C@@H](C)Oc1ccc(CN(C)[C@H](C)c2cccs2)cc1 ZINC000667510474 1047926381 /nfs/dbraw/zinc/92/63/81/1047926381.db2.gz JWRFZNNIBVVDAD-HUUCEWRRSA-N 0 3 319.470 4.355 20 0 DIADHN C[C@H]1CCC[C@H](C2CN(Cc3cc(F)ccc3N(C)C)C2)C1 ZINC001183441842 1047927690 /nfs/dbraw/zinc/92/76/90/1047927690.db2.gz AMKGMJOJPSHXDK-GJZGRUSLSA-N 0 3 304.453 4.150 20 0 DIADHN COCc1cc(CN[C@H]2CCc3ccc(Cl)cc32)ccc1F ZINC000479551948 1047928113 /nfs/dbraw/zinc/92/81/13/1047928113.db2.gz AHNSRFZCZCGREU-SFHVURJKSA-N 0 3 319.807 4.403 20 0 DIADHN COCc1cccc(CNCc2cc3c(cc(C)cc3C)[nH]2)c1 ZINC000479552408 1047928354 /nfs/dbraw/zinc/92/83/54/1047928354.db2.gz GNLLNWFOWIWOEX-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN Cc1cc(C)nc(CN2CC=C(c3c[nH]c4ccccc34)CC2)c1 ZINC001198122308 1047929181 /nfs/dbraw/zinc/92/91/81/1047929181.db2.gz FKUZXXMFIJUFKG-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN Fc1ccc(CCN[C@H]2c3ccccc3CC[C@H]2F)cc1F ZINC001183490545 1047930897 /nfs/dbraw/zinc/93/08/97/1047930897.db2.gz IPIWSYIUCKSSNX-AEFFLSMTSA-N 0 3 305.343 4.123 20 0 DIADHN CCOCOc1ccc(CN(C)Cc2ccc3cc[nH]c3c2)cc1 ZINC001183470882 1047931765 /nfs/dbraw/zinc/93/17/65/1047931765.db2.gz DTYKHCVTZRKORR-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN C[C@]1(CNCc2noc3cc(F)ccc23)C[C@@H]1c1ccccc1 ZINC001183483290 1047933148 /nfs/dbraw/zinc/93/31/48/1047933148.db2.gz VCCSAAMSXPFNAO-VQIMIIECSA-N 0 3 310.372 4.250 20 0 DIADHN Cc1cccc(C)c1CN(C)Cc1cnc2c(cnn2C(C)C)c1 ZINC001198194984 1047936093 /nfs/dbraw/zinc/93/60/93/1047936093.db2.gz OFQIFHPQLGDGLJ-UHFFFAOYSA-N 0 3 322.456 4.261 20 0 DIADHN Cc1cc(Nc2cc(C3CCC3)nn2-c2ccccc2)ccn1 ZINC001174655190 1047938062 /nfs/dbraw/zinc/93/80/62/1047938062.db2.gz XAXFLPBWLMCNPW-UHFFFAOYSA-N 0 3 304.397 4.009 20 0 DIADHN C[C@@H](CNCc1cnc(-c2ccsc2)s1)C(F)(F)F ZINC000479606207 1047938500 /nfs/dbraw/zinc/93/85/00/1047938500.db2.gz JNJHCPIJHQCWNV-QMMMGPOBSA-N 0 3 306.378 4.160 20 0 DIADHN C[C@]12CN(Cc3cscc3Br)C[C@H]1[C@H]1C=C[C@@H]2C1 ZINC001198251685 1047939649 /nfs/dbraw/zinc/93/96/49/1047939649.db2.gz KGFKNQVIIUWYMR-QMPIGLIWSA-N 0 3 324.287 4.155 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc(F)c3C)cc2)CC1 ZINC001174669455 1047942271 /nfs/dbraw/zinc/94/22/71/1047942271.db2.gz WVLHRCHLBJYYNE-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@@H]1CCC[C@H](C)C1 ZINC001159891589 1047942535 /nfs/dbraw/zinc/94/25/35/1047942535.db2.gz NVFFJCPETWKETR-WNRNVDISSA-N 0 3 308.510 4.067 20 0 DIADHN Cc1ccc([C@H](C)CN2CCN([C@H](C)c3ccccc3)CC2)cc1 ZINC001183532595 1047943522 /nfs/dbraw/zinc/94/35/22/1047943522.db2.gz FDDWMQGSLISRLQ-WOJBJXKFSA-N 0 3 322.496 4.477 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001183642751 1047950216 /nfs/dbraw/zinc/95/02/16/1047950216.db2.gz LKLJXLKRDHVCBV-CABCVRRESA-N 0 3 304.478 4.496 20 0 DIADHN CC[C@H](Cc1cccs1)NCc1noc2c1CC(C)(C)CC2 ZINC001183643755 1047951090 /nfs/dbraw/zinc/95/10/90/1047951090.db2.gz WTWAKAONRMDDOC-CYBMUJFWSA-N 0 3 318.486 4.362 20 0 DIADHN CCC[C@@H]1CCCN1Cc1nc2cc(Br)ccc2[nH]1 ZINC001198431652 1047955549 /nfs/dbraw/zinc/95/55/49/1047955549.db2.gz ZOWAKADGDSBGSA-GFCCVEGCSA-N 0 3 322.250 4.090 20 0 DIADHN CCc1ncc(CN2CCC(c3ccc4[nH]ccc4c3)CC2)o1 ZINC001198450860 1047957506 /nfs/dbraw/zinc/95/75/06/1047957506.db2.gz PBVYEIQNCMSWPC-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN COc1cc(Cl)c(CN(C)C[C@H](C)C(C)(C)C)cc1OC ZINC001183697413 1047957550 /nfs/dbraw/zinc/95/75/50/1047957550.db2.gz RSBOPTDLVHMCQR-LBPRGKRZSA-N 0 3 313.869 4.471 20 0 DIADHN COc1ccncc1CN(C)[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000553885833 1047961578 /nfs/dbraw/zinc/96/15/78/1047961578.db2.gz LVEHCJZODDAXNR-KGLIPLIRSA-N 0 3 318.848 4.368 20 0 DIADHN CN(Cc1cc2ccncc2s1)C[C@@H]1OCCc2ccccc21 ZINC000667547844 1047963945 /nfs/dbraw/zinc/96/39/45/1047963945.db2.gz ZUQMTBHROBGYDE-SFHVURJKSA-N 0 3 324.449 4.042 20 0 DIADHN Cc1ncsc1-c1ccc(CN(C)C[C@@H]2CCCCO2)cc1 ZINC000667549182 1047966383 /nfs/dbraw/zinc/96/63/83/1047966383.db2.gz FDMZLTYUUZCULL-KRWDZBQOSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC(c4ccccn4)CC3)cc21 ZINC000667554717 1047971881 /nfs/dbraw/zinc/97/18/81/1047971881.db2.gz AYHALMZIQRZUCA-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN FC(F)(F)[C@]12CCC[C@H]1CN(Cc1nccc3c1CCCC3)C2 ZINC001183855892 1047972370 /nfs/dbraw/zinc/97/23/70/1047972370.db2.gz WZPUHKXKWFETQS-YOEHRIQHSA-N 0 3 324.390 4.125 20 0 DIADHN [O-]c1cc(Nc2ccccc2C2=CC[NH2+]CC2)ccc1Cl ZINC001160023646 1047973187 /nfs/dbraw/zinc/97/31/87/1047973187.db2.gz SXHVXTBJDMVCGS-UHFFFAOYSA-N 0 3 300.789 4.166 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CC[C@@H](Cc2nccs2)C1 ZINC001198572294 1047974946 /nfs/dbraw/zinc/97/49/46/1047974946.db2.gz CRPVDMRXRGLVOW-LRDDRELGSA-N 0 3 318.873 4.348 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@H](Cc2nccs2)C1 ZINC001198575544 1047976590 /nfs/dbraw/zinc/97/65/90/1047976590.db2.gz XRGJWXZBSKZFLS-WBMJQRKESA-N 0 3 322.424 4.437 20 0 DIADHN CC[C@@H](Nc1cc(N2CCCC2)nc(C)n1)c1ccccc1C ZINC001160033245 1047976617 /nfs/dbraw/zinc/97/66/17/1047976617.db2.gz MAQVOTXXJBTLRI-QGZVFWFLSA-N 0 3 310.445 4.257 20 0 DIADHN CC(C)(C)CN(CC(=O)OC(C)(C)C)CC1CC2(CCC2)C1 ZINC001174818506 1047982211 /nfs/dbraw/zinc/98/22/11/1047982211.db2.gz HRSXOHYNVIHDOX-UHFFFAOYSA-N 0 3 309.494 4.257 20 0 DIADHN COCc1csc(CN(Cc2cccc(O)c2)CC2CC2)c1 ZINC000667564107 1047983023 /nfs/dbraw/zinc/98/30/23/1047983023.db2.gz ANUSFUJGRQSZHK-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN C[C@@H](Cc1ccc2c(c1)CCCC2)N[C@H](c1ncccn1)C1CC1 ZINC001183929378 1047984903 /nfs/dbraw/zinc/98/49/03/1047984903.db2.gz MVNMCAIYUXIWJK-YWZLYKJASA-N 0 3 321.468 4.027 20 0 DIADHN COc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)cc1C ZINC001174834420 1047986609 /nfs/dbraw/zinc/98/66/09/1047986609.db2.gz BQTXNFHBKKUAGH-QGZVFWFLSA-N 0 3 312.413 4.132 20 0 DIADHN COc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc1C ZINC001174834419 1047987207 /nfs/dbraw/zinc/98/72/07/1047987207.db2.gz BQTXNFHBKKUAGH-KRWDZBQOSA-N 0 3 312.413 4.132 20 0 DIADHN C[C@@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1cc(F)ccc1F ZINC000479873233 1047988886 /nfs/dbraw/zinc/98/88/86/1047988886.db2.gz LSGPUFDAOSDINL-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@H](NC[C@H](c1cccc(F)c1)N(C)C)c1cc(F)ccc1F ZINC000479873230 1047988967 /nfs/dbraw/zinc/98/89/67/1047988967.db2.gz LSGPUFDAOSDINL-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001203243811 1047989902 /nfs/dbraw/zinc/98/99/02/1047989902.db2.gz RVTKIZJZGOXIHH-RTWAWAEBSA-N 0 3 309.453 4.412 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2ccc3cc[nH]c3c2)c2ccccc21 ZINC001198697126 1047993536 /nfs/dbraw/zinc/99/35/36/1047993536.db2.gz ZYHHFRSHMPQNPJ-QVKFZJNVSA-N 0 3 320.436 4.043 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cccc2c[nH]nc21 ZINC001160204596 1047993644 /nfs/dbraw/zinc/99/36/44/1047993644.db2.gz PGYFSVHCHYEYTL-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(F)ccc3C)c2C1 ZINC001174886287 1047995326 /nfs/dbraw/zinc/99/53/26/1047995326.db2.gz VHEOXZLARDJUQP-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN Nc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001160208461 1047997763 /nfs/dbraw/zinc/99/77/63/1047997763.db2.gz KDDZFXAIHCHILH-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Fc1ccc([C@H]2CCN2C[C@@H]2CCN(c3ccccc3)C2)cc1 ZINC001184143112 1047998925 /nfs/dbraw/zinc/99/89/25/1047998925.db2.gz OHIBWJBCAYSWRG-OXQOHEQNSA-N 0 3 310.416 4.099 20 0 DIADHN C/C=C/c1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@H]2C)cc1 ZINC000554093045 1048001488 /nfs/dbraw/zinc/00/14/88/1048001488.db2.gz ULNBCXLVILGCBQ-JCTNWGICSA-N 0 3 321.468 4.437 20 0 DIADHN Cc1cc(C)cc(C2CCN(Cc3cccc(F)c3N)CC2)c1 ZINC001184187593 1048004285 /nfs/dbraw/zinc/00/42/85/1048004285.db2.gz GZTVIQFGZVCXET-UHFFFAOYSA-N 0 3 312.432 4.404 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(C)cc1 ZINC000554086866 1048006460 /nfs/dbraw/zinc/00/64/60/1048006460.db2.gz RLJDZXNKWYFFCC-UXHICEINSA-N 0 3 314.448 4.478 20 0 DIADHN Nc1cc(Nc2cccc(CN3CCCCC3)c2F)ccc1F ZINC001160243216 1048008084 /nfs/dbraw/zinc/00/80/84/1048008084.db2.gz JCFNYHISOFWKBE-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN CC(C)(C)[C@H]1CCN(C/C=C/c2ccc(Cl)cc2)CCO1 ZINC001198800218 1048008175 /nfs/dbraw/zinc/00/81/75/1048008175.db2.gz HQACMGCGFQXXTJ-LAQIPUCWSA-N 0 3 307.865 4.490 20 0 DIADHN CC(C)(C)[C@H]1CCN(C/C=C\c2ccc(Cl)cc2)CCO1 ZINC001198800219 1048008275 /nfs/dbraw/zinc/00/82/75/1048008275.db2.gz HQACMGCGFQXXTJ-VGOKWCNXSA-N 0 3 307.865 4.490 20 0 DIADHN CC(C)(C)[C@@H]1CCN(C/C=C\c2ccc(Cl)cc2)CCO1 ZINC001198800217 1048008492 /nfs/dbraw/zinc/00/84/92/1048008492.db2.gz HQACMGCGFQXXTJ-CHYADLBTSA-N 0 3 307.865 4.490 20 0 DIADHN NCc1cnccc1Nc1ccc(-c2ccccc2)cc1Cl ZINC001160246704 1048009317 /nfs/dbraw/zinc/00/93/17/1048009317.db2.gz KRUJACRANRGROO-UHFFFAOYSA-N 0 3 309.800 4.026 20 0 DIADHN CC[C@H](C)N1CCc2cccc(NC(=O)OC(C)(C)C)c2C1 ZINC001198844676 1048009781 /nfs/dbraw/zinc/00/97/81/1048009781.db2.gz QLMONUBUWPCGIO-ZDUSSCGKSA-N 0 3 304.434 4.190 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1cncc(F)c1 ZINC000554115977 1048011763 /nfs/dbraw/zinc/01/17/63/1048011763.db2.gz IRVUPLKJIXLOSA-JEBQAFNWSA-N 0 3 314.404 4.117 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1cncc(F)c1 ZINC000554115976 1048012125 /nfs/dbraw/zinc/01/21/25/1048012125.db2.gz IRVUPLKJIXLOSA-DOPJRALCSA-N 0 3 314.404 4.117 20 0 DIADHN C[C@@H](CN(C)Cc1cc(-c2ccccc2)n[nH]1)c1ccc(F)cc1 ZINC001184239402 1048015433 /nfs/dbraw/zinc/01/54/33/1048015433.db2.gz MTYAOYYIJYWJDN-HNNXBMFYSA-N 0 3 323.415 4.451 20 0 DIADHN Brc1cccc(CN2CCCC23CCCCC3)n1 ZINC000794433535 1048016752 /nfs/dbraw/zinc/01/67/52/1048016752.db2.gz BHCGMZJERUHMGS-UHFFFAOYSA-N 0 3 309.251 4.143 20 0 DIADHN CCCCC1(NCc2sc(N3CCCC3)nc2Cl)CC1 ZINC001184249964 1048018582 /nfs/dbraw/zinc/01/85/82/1048018582.db2.gz ALEFHLWYPUEOJM-UHFFFAOYSA-N 0 3 313.898 4.209 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc4ncccc43)cccc21 ZINC001174909442 1048021621 /nfs/dbraw/zinc/02/16/21/1048021621.db2.gz PTRDXSDJIUCZRU-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN CC1(C)C[NH2+]Cc2cc(Nc3cccc(Cl)c3[O-])ccc21 ZINC001160285402 1048022984 /nfs/dbraw/zinc/02/29/84/1048022984.db2.gz SIKXZCUWWSUHMO-UHFFFAOYSA-N 0 3 302.805 4.170 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1cccc(C(=O)OC)c1 ZINC000554181065 1048023658 /nfs/dbraw/zinc/02/36/58/1048023658.db2.gz MLXITVKAMNQSNI-UHFFFAOYSA-N 0 3 315.388 4.025 20 0 DIADHN Cc1cccc2[nH]c(CN3CCCO[C@@H](c4ccccc4)C3)cc21 ZINC000667592368 1048030399 /nfs/dbraw/zinc/03/03/99/1048030399.db2.gz SGCDVIDBUAFUBV-OAQYLSRUSA-N 0 3 320.436 4.440 20 0 DIADHN CCOC(=O)CC(C)(C)CN1CCc2cc(C(C)C)ccc2C1 ZINC001184417539 1048036077 /nfs/dbraw/zinc/03/60/77/1048036077.db2.gz FSGSZUUMYDKWOT-UHFFFAOYSA-N 0 3 317.473 4.148 20 0 DIADHN COCc1ccccc1CNCc1cccc(CC2CCC2)c1 ZINC001199100536 1048036720 /nfs/dbraw/zinc/03/67/20/1048036720.db2.gz JUAXHWMLTOSTHI-UHFFFAOYSA-N 0 3 309.453 4.465 20 0 DIADHN CCCCOc1ccccc1CNCCN(C)c1ccccc1 ZINC001199151972 1048038861 /nfs/dbraw/zinc/03/88/61/1048038861.db2.gz HSDPCTAVEZTLHU-UHFFFAOYSA-N 0 3 312.457 4.092 20 0 DIADHN CCCc1ccc(CNCc2ccc(N(CC)CC)nc2)s1 ZINC001199286981 1048051705 /nfs/dbraw/zinc/05/17/05/1048051705.db2.gz WNZNORLMHYHPDI-UHFFFAOYSA-N 0 3 317.502 4.232 20 0 DIADHN CC[C@H](NCc1cc(F)c(C(F)(F)F)cc1F)[C@@H]1CCCO1 ZINC000554272634 1048052987 /nfs/dbraw/zinc/05/29/87/1048052987.db2.gz PQJCEMPDDIGPJV-KBPBESRZSA-N 0 3 323.305 4.031 20 0 DIADHN CCCOc1ccc(CNCc2cnc3ccccc3c2)cc1 ZINC001199365469 1048060946 /nfs/dbraw/zinc/06/09/46/1048060946.db2.gz JMEGVAVFJGFZII-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN CCCCC[C@H](CC)C(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001160519128 1048063333 /nfs/dbraw/zinc/06/33/33/1048063333.db2.gz JJHJJEDJEAYEQO-YJBOKZPZSA-N 0 3 322.468 4.151 20 0 DIADHN Clc1ccc(CN2CCC[C@H]2CCc2ccccc2)nc1 ZINC000554317634 1048063698 /nfs/dbraw/zinc/06/36/98/1048063698.db2.gz BMKJICHHJCVTLJ-SFHVURJKSA-N 0 3 300.833 4.332 20 0 DIADHN C[C@H](O)CN[C@@H](C)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480152935 1048070625 /nfs/dbraw/zinc/07/06/25/1048070625.db2.gz ZMZYGCCSFSHUCA-RYUDHWBXSA-N 0 3 323.795 4.303 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)O[C@H](C)CC(C)C)N(C)C)cc1 ZINC001184639015 1048071180 /nfs/dbraw/zinc/07/11/80/1048071180.db2.gz GFFAYUIXGLGLSI-CRAIPNDOSA-N 0 3 320.477 4.013 20 0 DIADHN C[C@@H](O)CN[C@H](C)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480165558 1048074069 /nfs/dbraw/zinc/07/40/69/1048074069.db2.gz ZMZYGCCSFSHUCA-VXGBXAGGSA-N 0 3 323.795 4.303 20 0 DIADHN CCN1CCN(c2ccc(NCc3ccc(C)c(C)c3)cc2)CC1 ZINC001199514387 1048074703 /nfs/dbraw/zinc/07/47/03/1048074703.db2.gz LMNYZGZZUSNUNB-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN COc1cc(C)c(CNC(C)(C)c2ccnc(Cl)c2)cc1C ZINC001160776150 1048081105 /nfs/dbraw/zinc/08/11/05/1048081105.db2.gz PARBVLKRRBGPNN-UHFFFAOYSA-N 0 3 318.848 4.385 20 0 DIADHN CC(C)c1cnc(CNCCCCN(C)c2ccccc2)s1 ZINC001199648472 1048082352 /nfs/dbraw/zinc/08/23/52/1048082352.db2.gz JZSGIUYMBAJRJW-UHFFFAOYSA-N 0 3 317.502 4.273 20 0 DIADHN COC[C@@H](NC[C@H]1CCC(F)(F)C1)c1cccc(Cl)c1F ZINC000554375519 1048087226 /nfs/dbraw/zinc/08/72/26/1048087226.db2.gz QTMIZTPAOKFQMG-GXFFZTMASA-N 0 3 321.770 4.192 20 0 DIADHN FC(F)(F)c1ccc(CCN2CCCC2)c(C(F)(F)F)c1 ZINC001250166234 1048090520 /nfs/dbraw/zinc/09/05/20/1048090520.db2.gz GCJPIEHKFHZZSN-UHFFFAOYSA-N 0 3 311.269 4.363 20 0 DIADHN CC(C)Cc1ccc(CN[C@H](C)c2ccc3c(c2)CCCO3)cn1 ZINC001203195018 1048090661 /nfs/dbraw/zinc/09/06/61/1048090661.db2.gz VDQRIUFLJHERJE-MRXNPFEDSA-N 0 3 324.468 4.456 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CN2CCCC2)c2ccccc2)o1 ZINC000480220482 1048094278 /nfs/dbraw/zinc/09/42/78/1048094278.db2.gz CUFPHDPLQYPSSG-QFBILLFUSA-N 0 3 312.457 4.330 20 0 DIADHN C[C@H](NCCc1nc(C(C)(C)C)cs1)c1ccc(Cl)cn1 ZINC000480236762 1048098686 /nfs/dbraw/zinc/09/86/86/1048098686.db2.gz VZVNONAWJRXHKU-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN Cc1cccc2[nH]c(CN(C)[C@H](CCO)c3ccccc3)cc21 ZINC000667642944 1048101283 /nfs/dbraw/zinc/10/12/83/1048101283.db2.gz PAEDDZFQCONTLO-HXUWFJFHSA-N 0 3 308.425 4.032 20 0 DIADHN Cc1ccc2cc(CN(C)[C@H](CCO)c3ccccc3)[nH]c2c1 ZINC000667642871 1048101637 /nfs/dbraw/zinc/10/16/37/1048101637.db2.gz MZDWRLWADWXFIB-HXUWFJFHSA-N 0 3 308.425 4.032 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2)[C@H]2CCCO2)c(C)c1 ZINC001199783619 1048102270 /nfs/dbraw/zinc/10/22/70/1048102270.db2.gz GVIRHVJAMCCRCT-WOJBJXKFSA-N 0 3 311.425 4.014 20 0 DIADHN CCCn1cncc1CN[C@H](c1cccc(F)c1)C(C)(C)C ZINC001199811390 1048105979 /nfs/dbraw/zinc/10/59/79/1048105979.db2.gz BBGIDMUSEBVNJA-QGZVFWFLSA-N 0 3 303.425 4.309 20 0 DIADHN CCCn1cncc1CN[C@@H](c1cccc(F)c1)C(C)(C)C ZINC001199811388 1048106189 /nfs/dbraw/zinc/10/61/89/1048106189.db2.gz BBGIDMUSEBVNJA-KRWDZBQOSA-N 0 3 303.425 4.309 20 0 DIADHN CC(C)CCOCCNCc1c(Cl)ccc2cccnc21 ZINC001199843615 1048106518 /nfs/dbraw/zinc/10/65/18/1048106518.db2.gz QNMUWOJXKMTUIV-UHFFFAOYSA-N 0 3 306.837 4.041 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C2CC2)o1 ZINC000554484444 1048110773 /nfs/dbraw/zinc/11/07/73/1048110773.db2.gz RLSCWQWIQGYXIX-IRXDYDNUSA-N 0 3 311.429 4.105 20 0 DIADHN Cc1cc(F)c(CNC[C@@H](C)COCc2ccccc2)c(F)c1 ZINC001199907440 1048112548 /nfs/dbraw/zinc/11/25/48/1048112548.db2.gz WDNQUEIHSYKTHG-OAHLLOKOSA-N 0 3 319.395 4.216 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000776032641 1048114601 /nfs/dbraw/zinc/11/46/01/1048114601.db2.gz YGBQLJCPDVTMHB-HXUWFJFHSA-N 0 3 323.415 4.331 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2ccc(Cl)cn2)cc1 ZINC000554496298 1048114834 /nfs/dbraw/zinc/11/48/34/1048114834.db2.gz PWXQHNIEWXQJDH-SFHVURJKSA-N 0 3 300.833 4.251 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1c(C2CC2)cnn1C ZINC000667655485 1048119937 /nfs/dbraw/zinc/11/99/37/1048119937.db2.gz HJJLYAVYFJIADU-ZDUSSCGKSA-N 0 3 317.864 4.014 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCC23CCOCC3)c2ccccn2)cc1 ZINC000554558024 1048130029 /nfs/dbraw/zinc/13/00/29/1048130029.db2.gz UGXKGAMRPVXUKM-UXHICEINSA-N 0 3 322.452 4.028 20 0 DIADHN Cc1ccc([C@H](CNCc2ccsc2Cl)N(C)C)cc1 ZINC000480370354 1048134088 /nfs/dbraw/zinc/13/40/88/1048134088.db2.gz GIYDCPGRURWHSF-HNNXBMFYSA-N 0 3 308.878 4.102 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc3ccccc3c2)cc1 ZINC000774842618 1048147929 /nfs/dbraw/zinc/14/79/29/1048147929.db2.gz BJFWFRHSIPPEHO-MRXNPFEDSA-N 0 3 318.420 4.438 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C(C)C)C(C)(C)O)cs2)cc1 ZINC000480467307 1048149089 /nfs/dbraw/zinc/14/90/89/1048149089.db2.gz JHZVWZUDHFUFMV-INIZCTEOSA-N 0 3 318.486 4.004 20 0 DIADHN CC(C)c1ncc(CNC[C@@H]2CCC3(CCCCC3)O2)s1 ZINC000480469174 1048149307 /nfs/dbraw/zinc/14/93/07/1048149307.db2.gz PJRHVQSQPDIMSR-AWEZNQCLSA-N 0 3 308.491 4.238 20 0 DIADHN CN(C)Cc1ccc(NC(=O)/C=C\C2CCCCCC2)c(F)c1 ZINC001161700327 1048157214 /nfs/dbraw/zinc/15/72/14/1048157214.db2.gz WTXVHFPTCGNIOK-BENRWUELSA-N 0 3 318.436 4.352 20 0 DIADHN CCc1ncc(CN[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)s1 ZINC000554721742 1048165914 /nfs/dbraw/zinc/16/59/14/1048165914.db2.gz FVSQOSXNTGGCPB-JKSUJKDBSA-N 0 3 316.470 4.041 20 0 DIADHN CCCCO[C@H]1C[C@H]([NH2+]Cc2cc([O-])cc(Cl)c2)C1(C)C ZINC001200952196 1048169124 /nfs/dbraw/zinc/16/91/24/1048169124.db2.gz QZYHFKOBPXMZBT-HOTGVXAUSA-N 0 3 311.853 4.119 20 0 DIADHN C[C@@H]1CN(Cc2ccc(O[C@@H]3CCOC3)cc2)[C@@H]1c1ccccc1 ZINC000667689651 1048170906 /nfs/dbraw/zinc/17/09/06/1048170906.db2.gz FFMWDAMOLXAWRL-HBGVWJBISA-N 0 3 323.436 4.047 20 0 DIADHN CCCc1ccc(CNc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC001201069458 1048180197 /nfs/dbraw/zinc/18/01/97/1048180197.db2.gz BUGWHTFOUBVANJ-UHFFFAOYSA-N 0 3 323.484 4.003 20 0 DIADHN COc1cc(C)ccc1CNCc1cc(C)c2ncccc2c1 ZINC001201055480 1048180239 /nfs/dbraw/zinc/18/02/39/1048180239.db2.gz UETNMEWIWBNNGA-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(F)(F)F)C[C@H]2C)c(C)c1 ZINC001205907364 1048192881 /nfs/dbraw/zinc/19/28/81/1048192881.db2.gz HEOFWDQFCRLTJV-TZMCWYRMSA-N 0 3 301.352 4.166 20 0 DIADHN CC(C)(c1noc(C2CCN(C3CC3)CC2)n1)C1CCCCC1 ZINC000554832427 1048195545 /nfs/dbraw/zinc/19/55/45/1048195545.db2.gz QBSFHSXXPKTGBV-UHFFFAOYSA-N 0 3 317.477 4.269 20 0 DIADHN O[C@H](CNCc1cc2ccccc2o1)c1cc2ccccc2s1 ZINC001201140646 1048199303 /nfs/dbraw/zinc/19/93/03/1048199303.db2.gz JHODAMBKTPMLSS-MRXNPFEDSA-N 0 3 323.417 4.471 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(OCC3CC3)cc2)c1 ZINC000480636059 1048204895 /nfs/dbraw/zinc/20/48/95/1048204895.db2.gz BKLARDMXBASMCB-OAHLLOKOSA-N 0 3 311.425 4.335 20 0 DIADHN Brc1ccccc1[C@H]1CCCN1C[C@@H]1CCC=CO1 ZINC000774910617 1048207112 /nfs/dbraw/zinc/20/71/12/1048207112.db2.gz SUOFFHAUFSYQAQ-XJKSGUPXSA-N 0 3 322.246 4.279 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1cn(C(C)C)nn1 ZINC000554856107 1048209489 /nfs/dbraw/zinc/20/94/89/1048209489.db2.gz JDMQKHYLUHBKBL-LJQANCHMSA-N 0 3 314.477 4.011 20 0 DIADHN Oc1cccc(CN2CCC([C@H](F)c3ccccc3F)CC2)c1 ZINC000554873325 1048211855 /nfs/dbraw/zinc/21/18/55/1048211855.db2.gz XGNBNLNGLSSOBG-IBGZPJMESA-N 0 3 317.379 4.454 20 0 DIADHN CC[C@](C)(NCc1ccccc1N(C)C)c1ccc(F)cc1 ZINC001175238288 1048214047 /nfs/dbraw/zinc/21/40/47/1048214047.db2.gz JPIFLAFWVYYRHT-IBGZPJMESA-N 0 3 300.421 4.307 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)NCc1noc2c1CCCC2 ZINC000554888059 1048216659 /nfs/dbraw/zinc/21/66/59/1048216659.db2.gz HVANODHRKBYZOE-OAHLLOKOSA-N 0 3 312.457 4.399 20 0 DIADHN FC(F)(F)c1cncc(CCCNc2c[nH]cc3ccnc2-3)c1 ZINC001162044275 1048219381 /nfs/dbraw/zinc/21/93/81/1048219381.db2.gz MJNKJDDLDIYSSL-UHFFFAOYSA-N 0 3 320.318 4.021 20 0 DIADHN CCCc1csc(CNCC2CC(c3ccccc3)C2)n1 ZINC000554918411 1048226640 /nfs/dbraw/zinc/22/66/40/1048226640.db2.gz BKJDNTKUPUSPBC-UHFFFAOYSA-N 0 3 300.471 4.379 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(OC(C)C)nc2)cc(C)c1F ZINC000480725635 1048231739 /nfs/dbraw/zinc/23/17/39/1048231739.db2.gz ZASORCQCDJRDGU-HNNXBMFYSA-N 0 3 316.420 4.476 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(N3CCCCC3)nc2)cc1 ZINC000480731324 1048231919 /nfs/dbraw/zinc/23/19/19/1048231919.db2.gz QMUQSMKEGWGDSE-KRWDZBQOSA-N 0 3 323.484 4.485 20 0 DIADHN Cc1cc(Br)cc(CNCc2ccccc2C)c1 ZINC000774942708 1048233569 /nfs/dbraw/zinc/23/35/69/1048233569.db2.gz SGXOJXPJWKRUTL-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccnc(OC2CCCC2)c1 ZINC000480766986 1048235714 /nfs/dbraw/zinc/23/57/14/1048235714.db2.gz MANTXUQLRMTGFL-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN C[C@@H](NC[C@@H](c1cccc(Cl)c1)N1CCCC1)c1ccco1 ZINC000480767968 1048236534 /nfs/dbraw/zinc/23/65/34/1048236534.db2.gz IVALGIYHAFVNCS-PBHICJAKSA-N 0 3 318.848 4.421 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@H]3N(C)C)ccc1F ZINC001175257673 1048240878 /nfs/dbraw/zinc/24/08/78/1048240878.db2.gz LRXUWUNMLQZKGM-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](c2cccs2)C2CC2)cc1O ZINC000554987954 1048243693 /nfs/dbraw/zinc/24/36/93/1048243693.db2.gz OEPGCLGXUZKJCC-PIGZYNQJSA-N 0 3 303.427 4.264 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCC[C@H]1CCOC1 ZINC000645817393 1048252145 /nfs/dbraw/zinc/25/21/45/1048252145.db2.gz QLSGUPVBIUPVDR-KBPBESRZSA-N 0 3 304.459 4.106 20 0 DIADHN CC(C)(CNc1ncccc1CN1CCCC1)CC(F)(F)F ZINC001162254064 1048252555 /nfs/dbraw/zinc/25/25/55/1048252555.db2.gz DJNWMTAPDPIHCU-UHFFFAOYSA-N 0 3 315.383 4.068 20 0 DIADHN CO[C@@H](CN(C)Cc1ccccc1SC)c1ccccc1 ZINC000555016365 1048253720 /nfs/dbraw/zinc/25/37/20/1048253720.db2.gz MFXJUJKYGRWHRA-KRWDZBQOSA-N 0 3 301.455 4.228 20 0 DIADHN Fc1ccc(F)c(CNC[C@H]2[C@@H]3c4ccccc4C[C@H]23)c1Cl ZINC001201380795 1048255439 /nfs/dbraw/zinc/25/54/39/1048255439.db2.gz VIIAELHTYVFPCZ-PBFPGSCMSA-N 0 3 319.782 4.294 20 0 DIADHN Cc1ccc(CN[C@@H]2CCO[C@H]2c2ccccc2)c(Cl)c1 ZINC001175311871 1048258893 /nfs/dbraw/zinc/25/88/93/1048258893.db2.gz AOZGTDFPLMENAL-MSOLQXFVSA-N 0 3 301.817 4.268 20 0 DIADHN CCN(CC)[C@H](CNc1nc2cccc(F)c2o1)c1ccco1 ZINC001162308424 1048259208 /nfs/dbraw/zinc/25/92/08/1048259208.db2.gz VITMEIWFSHSMNC-CQSZACIVSA-N 0 3 317.364 4.055 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CO[C@@H](C3CC3)C2)c2cccs2)cc1 ZINC000667768587 1048263278 /nfs/dbraw/zinc/26/32/78/1048263278.db2.gz VDBPDCCNTQNVFU-NUJGCVRESA-N 0 3 317.429 4.134 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(C)cc3F)cc2)CC1 ZINC001175325054 1048264934 /nfs/dbraw/zinc/26/49/34/1048264934.db2.gz IBNIIBNDRUNTFE-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN CC(C)P(CCNc1nnc(Cl)c2ccncc21)C(C)C ZINC001162358112 1048265913 /nfs/dbraw/zinc/26/59/13/1048265913.db2.gz KPMZRXHSHQGJBW-UHFFFAOYSA-N 0 3 324.796 4.389 20 0 DIADHN COc1ccc([C@H]2CCCC[C@@H]2NCc2nccs2)cc1F ZINC000480879097 1048266284 /nfs/dbraw/zinc/26/62/84/1048266284.db2.gz GMDMUGKSAAQEJS-HIFRSBDPSA-N 0 3 320.433 4.107 20 0 DIADHN CC(C)P(CCNC(F)(F)c1ccnc(Cl)n1)C(C)C ZINC001162357092 1048266406 /nfs/dbraw/zinc/26/64/06/1048266406.db2.gz BSCDMZXABAOYNX-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CO[C@@H](C4CC4)C3)oc21 ZINC000667770139 1048267801 /nfs/dbraw/zinc/26/78/01/1048267801.db2.gz PJYISGPDWNIRHE-XLWVJDPXSA-N 0 3 315.413 4.050 20 0 DIADHN C[C@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1cc(F)ccc1F ZINC000480896309 1048267816 /nfs/dbraw/zinc/26/78/16/1048267816.db2.gz IVXRMRYMULRMKA-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)P(CCNc1ncnc2cc(Cl)cnc21)C(C)C ZINC001162361839 1048268550 /nfs/dbraw/zinc/26/85/50/1048268550.db2.gz HGLFBQMHXVTJCQ-UHFFFAOYSA-N 0 3 324.796 4.389 20 0 DIADHN COc1ccc(CN[C@H]2CCc3c2cccc3C)cc1Cl ZINC000776174269 1048269006 /nfs/dbraw/zinc/26/90/06/1048269006.db2.gz GLDJFUHWZHTGKG-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1cccc2cc(CNC[C@@H]3CCCS3)c(Cl)nc12 ZINC001201442155 1048275027 /nfs/dbraw/zinc/27/50/27/1048275027.db2.gz ISDRJWWJLVJJOG-AWEZNQCLSA-N 0 3 306.862 4.182 20 0 DIADHN CC1(C)CC[C@H](NCc2c(F)cccc2OC(F)(F)F)C1 ZINC001201492518 1048283190 /nfs/dbraw/zinc/28/31/90/1048283190.db2.gz QIPGAWRJEOIBOC-JTQLQIEISA-N 0 3 305.315 4.393 20 0 DIADHN CC[C@H](NCc1cc(C)cc(Br)c1)c1ccncc1 ZINC000775008944 1048287786 /nfs/dbraw/zinc/28/77/86/1048287786.db2.gz XTQLPNXCGQAJSY-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Fc1c(F)c(F)c(Nc2ccnc(C3CC3)c2)c(F)c1F ZINC001175384063 1048289295 /nfs/dbraw/zinc/28/92/95/1048289295.db2.gz HFSYRJFQJIEOCU-UHFFFAOYSA-N 0 3 300.230 4.398 20 0 DIADHN Oc1cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ccc1F ZINC001201474247 1048293419 /nfs/dbraw/zinc/29/34/19/1048293419.db2.gz BBLGSMIJWHPOAU-MSOLQXFVSA-N 0 3 324.399 4.264 20 0 DIADHN Cc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1O ZINC001201474269 1048293970 /nfs/dbraw/zinc/29/39/70/1048293970.db2.gz BHHILSXQIBYTNN-MOPGFXCFSA-N 0 3 320.436 4.433 20 0 DIADHN Oc1ccccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201478026 1048296343 /nfs/dbraw/zinc/29/63/43/1048296343.db2.gz RFNLBXYMMWJFTO-MSOLQXFVSA-N 0 3 306.409 4.125 20 0 DIADHN Cc1cc(N)ccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477181 1048297821 /nfs/dbraw/zinc/29/78/21/1048297821.db2.gz CTHQHCIUFBJWRW-UXHICEINSA-N 0 3 319.452 4.310 20 0 DIADHN Cc1nocc1CN1CCC[C@@]1(C)Cc1ccc(F)c(Cl)c1 ZINC001175419485 1048300588 /nfs/dbraw/zinc/30/05/88/1048300588.db2.gz VICMKLFXXMBVFS-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN C[C@@H](NCc1ccc2cnn(C)c2c1)c1ccc(Cl)c(F)c1 ZINC000555242069 1048304390 /nfs/dbraw/zinc/30/43/90/1048304390.db2.gz GXQITZXQKXDQRU-LLVKDONJSA-N 0 3 317.795 4.217 20 0 DIADHN CCCOc1c(CNc2cc(C)cc(C)n2)cccc1OCC ZINC001201549111 1048305459 /nfs/dbraw/zinc/30/54/59/1048305459.db2.gz HBZKTXFZNUJCNP-UHFFFAOYSA-N 0 3 314.429 4.498 20 0 DIADHN CCn1cc(CNCc2cc(C)cc(C)c2)c(-c2ccccc2)n1 ZINC001203214572 1048310253 /nfs/dbraw/zinc/31/02/53/1048310253.db2.gz FJEXMWSPFXTPSH-UHFFFAOYSA-N 0 3 319.452 4.477 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000481128291 1048312969 /nfs/dbraw/zinc/31/29/69/1048312969.db2.gz SCLSSYRDZRTIPX-RYUDHWBXSA-N 0 3 305.447 4.103 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H]3CCC(C)(C)C[C@H]3C)o2)cc1 ZINC000481125611 1048313256 /nfs/dbraw/zinc/31/32/56/1048313256.db2.gz MCQSCETZDOLNJY-GDBMZVCRSA-N 0 3 313.445 4.349 20 0 DIADHN CCO[C@H](CNCc1cc(Cl)ccc1OC)c1ccccc1 ZINC000775026851 1048314201 /nfs/dbraw/zinc/31/42/01/1048314201.db2.gz AMXULVMWHBIRPH-GOSISDBHSA-N 0 3 319.832 4.216 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(OC)c([N+](=O)[O-])c2)cc1 ZINC000154931864 1048318973 /nfs/dbraw/zinc/31/89/73/1048318973.db2.gz JWGVDCGYRCWRNR-CYBMUJFWSA-N 0 3 314.385 4.017 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCCCC3(C)C)o2)cc1 ZINC000481168179 1048319261 /nfs/dbraw/zinc/31/92/61/1048319261.db2.gz HMZYIDXBZZGEBQ-QGZVFWFLSA-N 0 3 314.429 4.409 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2cscc2Cl)CC1 ZINC001201595733 1048325522 /nfs/dbraw/zinc/32/55/22/1048325522.db2.gz SHDVNWCWJRTROQ-HNNXBMFYSA-N 0 3 307.846 4.005 20 0 DIADHN Cc1ccc(CN[C@H]2CCN(c3ccc(Cl)cc3)C2)c(F)c1 ZINC001201634940 1048328937 /nfs/dbraw/zinc/32/89/37/1048328937.db2.gz WOSNKQPMGLRYIQ-INIZCTEOSA-N 0 3 318.823 4.156 20 0 DIADHN CCCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1ccccc1C ZINC001201606236 1048331223 /nfs/dbraw/zinc/33/12/23/1048331223.db2.gz RAOLQFGSKAUYNX-SFHVURJKSA-N 0 3 324.468 4.277 20 0 DIADHN c1ccc(CN2CC=C(Nc3cc4ccccc4cn3)CC2)cc1 ZINC001175504422 1048337067 /nfs/dbraw/zinc/33/70/67/1048337067.db2.gz AITKKFGKWPYKHJ-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN c1ccc(CN2CC=C(Nc3nccc4ccccc43)CC2)cc1 ZINC001175504759 1048338166 /nfs/dbraw/zinc/33/81/66/1048338166.db2.gz CVJGHYGWCUJXKJ-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN COc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(C)c1 ZINC001175506212 1048339672 /nfs/dbraw/zinc/33/96/72/1048339672.db2.gz YWOKIAQKCKKLLF-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN Oc1cc(Cl)ccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506041 1048339955 /nfs/dbraw/zinc/33/99/55/1048339955.db2.gz LPUFRYHUBOFVFW-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN CSc1ccccc1NC(=O)Nc1cccc(CN(C)C)c1 ZINC001186746650 1048340150 /nfs/dbraw/zinc/34/01/50/1048340150.db2.gz HTIGPNFFVKHNON-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@H]1c1cccn1C ZINC000555405742 1048341585 /nfs/dbraw/zinc/34/15/85/1048341585.db2.gz XANQCAJELJRXRB-FQEVSTJZSA-N 0 3 311.473 4.443 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccc(OC(F)F)c(F)c1 ZINC000555419683 1048342084 /nfs/dbraw/zinc/34/20/84/1048342084.db2.gz SVALAKDSNHEGBZ-GTNSWQLSSA-N 0 3 321.342 4.450 20 0 DIADHN Cc1ccc(N)c(NC2=CCN(Cc3ccccc3)CC2)c1C ZINC001175506394 1048342109 /nfs/dbraw/zinc/34/21/09/1048342109.db2.gz XMOOOEIHMBYIKF-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](CC1CCC1)c1ccccc1 ZINC000481251735 1048345137 /nfs/dbraw/zinc/34/51/37/1048345137.db2.gz FRMZRBULBQMHLC-FQEVSTJZSA-N 0 3 322.452 4.455 20 0 DIADHN c1noc2cc(NC3=CCN(Cc4ccccc4)CC3)ccc12 ZINC001175507520 1048345213 /nfs/dbraw/zinc/34/52/13/1048345213.db2.gz YYCADNRKFZAIIF-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(CC(F)(F)F)cc1 ZINC001162765720 1048353760 /nfs/dbraw/zinc/35/37/60/1048353760.db2.gz IMBUBSIHEYNDON-NSHDSACASA-N 0 3 319.330 4.150 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@H]3N(C)C)cc2c[nH]nc21 ZINC001175521330 1048354334 /nfs/dbraw/zinc/35/43/34/1048354334.db2.gz NCXUFBIZHOWCCQ-QGZVFWFLSA-N 0 3 322.412 4.000 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(C4CC4)nc3)cccc21 ZINC001201718667 1048355697 /nfs/dbraw/zinc/35/56/97/1048355697.db2.gz IPFHGEFZBJDUQM-SFHVURJKSA-N 0 3 309.413 4.088 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc2c(c1)CCC(C)(C)O2 ZINC001162777312 1048356848 /nfs/dbraw/zinc/35/68/48/1048356848.db2.gz PNDAELXHTQTVDK-ZDUSSCGKSA-N 0 3 321.424 4.149 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)C[C@@H]1CCC[C@H]1O ZINC000555478923 1048361636 /nfs/dbraw/zinc/36/16/36/1048361636.db2.gz CDEVZOJIQXEMAX-JRPNMDOOSA-N 0 3 302.245 4.147 20 0 DIADHN Cn1c(CN[C@H]2CCCOc3cc(F)ccc32)cc2ccccc21 ZINC001201742819 1048362964 /nfs/dbraw/zinc/36/29/64/1048362964.db2.gz VGKPEMFHGOUKKQ-SFHVURJKSA-N 0 3 324.399 4.321 20 0 DIADHN COc1ccccc1Oc1ccc(NC2=CCCN(C)C2)cc1 ZINC001175578003 1048376303 /nfs/dbraw/zinc/37/63/03/1048376303.db2.gz AFESGORNBYKODC-UHFFFAOYSA-N 0 3 310.397 4.119 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnc(C3CC3)s1)CCC2 ZINC001201787425 1048380023 /nfs/dbraw/zinc/38/00/23/1048380023.db2.gz RJELDBAHNURRQU-QGZVFWFLSA-N 0 3 314.454 4.196 20 0 DIADHN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000481357236 1048380500 /nfs/dbraw/zinc/38/05/00/1048380500.db2.gz CZFVMUSFZOPIHN-UZLBHIALSA-N 0 3 322.452 4.413 20 0 DIADHN Cc1[nH]nc(CNC2(c3ccc(F)cc3F)CCCCC2)c1C ZINC001201857456 1048381840 /nfs/dbraw/zinc/38/18/40/1048381840.db2.gz MVTNFAVDBYZPQZ-UHFFFAOYSA-N 0 3 319.399 4.254 20 0 DIADHN C[C@H](NCc1cccc(COc2ccccc2)c1)c1ccc[nH]1 ZINC001201832556 1048385314 /nfs/dbraw/zinc/38/53/14/1048385314.db2.gz BAJWRNIGSLSHSY-INIZCTEOSA-N 0 3 306.409 4.445 20 0 DIADHN CCOc1cncc(CN[C@@H]2c3ccccc3CCC[C@H]2C)c1 ZINC001201901673 1048391202 /nfs/dbraw/zinc/39/12/02/1048391202.db2.gz OWEBGWACCOFKQU-QRWLVFNGSA-N 0 3 310.441 4.284 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@H]1NCc1ccnn1CC1CC1 ZINC001201911017 1048399445 /nfs/dbraw/zinc/39/94/45/1048399445.db2.gz LEZJKIWDXVBJSL-CTNGQTDRSA-N 0 3 323.484 4.342 20 0 DIADHN CC(C)P(CCNC(=O)N[C@@H]1C[C@H]1c1ccccc1)C(C)C ZINC001201944025 1048406142 /nfs/dbraw/zinc/40/61/42/1048406142.db2.gz OAYJKFWMQNWRIM-DLBZAZTESA-N 0 3 320.417 4.140 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2C[C@H]2c2ccccc2)s1 ZINC000555619592 1048409912 /nfs/dbraw/zinc/40/99/12/1048409912.db2.gz YPCZNXVQZPPOOL-GJZGRUSLSA-N 0 3 300.471 4.334 20 0 DIADHN Cc1nccnc1CN1CC[C@@H](c2ccccc2Cl)C1(C)C ZINC001175715103 1048421156 /nfs/dbraw/zinc/42/11/56/1048421156.db2.gz NJPJLQWEMGHEII-HNNXBMFYSA-N 0 3 315.848 4.207 20 0 DIADHN Cc1ncc(Nc2cc(C)ccc2OCc2ccccc2)n1C ZINC001175719526 1048422754 /nfs/dbraw/zinc/42/27/54/1048422754.db2.gz IQOJRKJFZPQFGL-UHFFFAOYSA-N 0 3 307.397 4.360 20 0 DIADHN FC(F)c1ccc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)cc1 ZINC001175717553 1048423027 /nfs/dbraw/zinc/42/30/27/1048423027.db2.gz ZYLYTEBVTBNGPH-ROUUACIJSA-N 0 3 314.379 4.087 20 0 DIADHN CC(C)=CCCNCc1c(C)nn(-c2ccccc2)c1Cl ZINC001201988435 1048423896 /nfs/dbraw/zinc/42/38/96/1048423896.db2.gz ASCSQIARTNFVRZ-UHFFFAOYSA-N 0 3 303.837 4.280 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)COc1ccc(F)cc1F ZINC000481536833 1048425227 /nfs/dbraw/zinc/42/52/27/1048425227.db2.gz UPGZCQONTYZXMG-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CC(C)c1nc(CNCCC2Cc3ccccc3C2)cs1 ZINC001201999703 1048426125 /nfs/dbraw/zinc/42/61/25/1048426125.db2.gz IEKJIEPMBMMGDR-UHFFFAOYSA-N 0 3 300.471 4.161 20 0 DIADHN CSc1cc(Br)ccc1CNCC=C(C)C ZINC001201999926 1048426261 /nfs/dbraw/zinc/42/62/61/1048426261.db2.gz JNRQMKFHRUSPMS-UHFFFAOYSA-N 0 3 300.265 4.227 20 0 DIADHN COc1ccccc1[C@H](C)C(=O)NCCP(C(C)C)C(C)C ZINC001187692941 1048428348 /nfs/dbraw/zinc/42/83/48/1048428348.db2.gz IGLUHLILKFBNNR-HNNXBMFYSA-N 0 3 323.417 4.214 20 0 DIADHN COc1ccc(CN[C@@H](C)CSc2ccc(C)cc2)c(F)c1 ZINC001202051177 1048430505 /nfs/dbraw/zinc/43/05/05/1048430505.db2.gz WSXOBZLXDPOMNO-AWEZNQCLSA-N 0 3 319.445 4.413 20 0 DIADHN C[C@@H]1CCCCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000481562595 1048432692 /nfs/dbraw/zinc/43/26/92/1048432692.db2.gz BLTWCCIKKUNMJM-GFCCVEGCSA-N 0 3 306.356 4.242 20 0 DIADHN CC(C)(C)c1cc(Nc2ccnc(Br)c2)ccn1 ZINC001175745018 1048433420 /nfs/dbraw/zinc/43/34/20/1048433420.db2.gz KUULNEOISIBJNL-UHFFFAOYSA-N 0 3 306.207 4.280 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CCCSC(C)(C)C)CC1 ZINC001175748687 1048434571 /nfs/dbraw/zinc/43/45/71/1048434571.db2.gz GXDBFCJTNVDYMY-QGZVFWFLSA-N 0 3 320.546 4.287 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CO[C@@H](C3CC3)C2)c2ccccc2)c1 ZINC000667909578 1048436678 /nfs/dbraw/zinc/43/66/78/1048436678.db2.gz XKQLEOOGHMRGPM-XUVXKRRUSA-N 0 3 311.400 4.072 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1cncc(-c3ccccc3)c1)CNC2 ZINC001163229390 1048440690 /nfs/dbraw/zinc/44/06/90/1048440690.db2.gz PESAAVIWZHOCBH-KRWDZBQOSA-N 0 3 307.422 4.067 20 0 DIADHN COc1ccc(N[C@@H]2CNCc3ccsc32)cc1C(C)C ZINC001163228826 1048440925 /nfs/dbraw/zinc/44/09/25/1048440925.db2.gz DHWOKXIDFKBMME-OAHLLOKOSA-N 0 3 302.443 4.137 20 0 DIADHN Cc1cc(OC(C)C)ccc1N[C@H]1CNCc2ccsc21 ZINC001163228878 1048441083 /nfs/dbraw/zinc/44/10/83/1048441083.db2.gz DXAODYRKHLIBKX-INIZCTEOSA-N 0 3 302.443 4.100 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc4occc43)cc2)CC1 ZINC001175782598 1048450527 /nfs/dbraw/zinc/45/05/27/1048450527.db2.gz SEWUMYTZKWJJLH-UHFFFAOYSA-N 0 3 321.424 4.318 20 0 DIADHN CN(C)Cc1ccnc(N[C@@H]2CCC[C@H](c3ccccc3)C2)c1 ZINC001163330718 1048452198 /nfs/dbraw/zinc/45/21/98/1048452198.db2.gz DANAJXGCBXXTSR-RBUKOAKNSA-N 0 3 309.457 4.282 20 0 DIADHN CCCn1cncc1CN[C@@H]1CC(C)(C)CCc2ccccc21 ZINC001202126801 1048457279 /nfs/dbraw/zinc/45/72/79/1048457279.db2.gz ODHJXUBMRXJPGK-LJQANCHMSA-N 0 3 311.473 4.487 20 0 DIADHN CC(C)c1ccc(CN[C@@]2(CO)CCCc3ccccc32)cc1 ZINC001202127927 1048457468 /nfs/dbraw/zinc/45/74/68/1048457468.db2.gz ZLCHECYIOVFHEK-OAQYLSRUSA-N 0 3 309.453 4.124 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000481691145 1048458601 /nfs/dbraw/zinc/45/86/01/1048458601.db2.gz FYEBREMILQNABT-MGPUTAFESA-N 0 3 311.400 4.408 20 0 DIADHN Clc1cncc(Cl)c1CNCCc1cccc2[nH]ccc21 ZINC001202150387 1048460067 /nfs/dbraw/zinc/46/00/67/1048460067.db2.gz IFRVVPHCXGZKLB-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN CCOc1ccc(CN2CCC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000481711244 1048466330 /nfs/dbraw/zinc/46/63/30/1048466330.db2.gz ZFICWSOAOXWOGS-NRFANRHFSA-N 0 3 323.436 4.107 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccc(F)c(F)c3)C2(C)C)sn1 ZINC001175821288 1048466436 /nfs/dbraw/zinc/46/64/36/1048466436.db2.gz JKYTZLJIPSEECL-AWEZNQCLSA-N 0 3 322.424 4.498 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@H](c3ccncc3)C2)c1 ZINC000555879916 1048466756 /nfs/dbraw/zinc/46/67/56/1048466756.db2.gz HLJWFBTYHFRDNS-HNNXBMFYSA-N 0 3 306.331 4.090 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481737258 1048474550 /nfs/dbraw/zinc/47/45/50/1048474550.db2.gz OZKWDSUAOFPKQT-KBPBESRZSA-N 0 3 316.420 4.312 20 0 DIADHN CCn1cnc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)c1 ZINC001202150761 1048474717 /nfs/dbraw/zinc/47/47/17/1048474717.db2.gz LVNLMDHAJGILSA-JOCQHMNTSA-N 0 3 324.255 4.246 20 0 DIADHN C[C@@H]1CC[C@@H](CNCc2ncc(Br)cc2Cl)C1 ZINC001202250727 1048490349 /nfs/dbraw/zinc/49/03/49/1048490349.db2.gz JBBXHFNEHCQXIU-NXEZZACHSA-N 0 3 317.658 4.023 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccnn2CC2CCC2)s1 ZINC000924768361 1048480870 /nfs/dbraw/zinc/48/08/70/1048480870.db2.gz YEUCLPYYRJPWSJ-UONOGXRCSA-N 0 3 303.475 4.465 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CCO[C@@H](C(F)(F)F)CC2)c(C)c1 ZINC001175874606 1048488910 /nfs/dbraw/zinc/48/89/10/1048488910.db2.gz RSMUEZMBRBQXDN-HUUCEWRRSA-N 0 3 315.379 4.326 20 0 DIADHN COc1ncc(CN2Cc3ccccc3[C@@H](C)C2)c2ccccc21 ZINC001175936175 1048490127 /nfs/dbraw/zinc/49/01/27/1048490127.db2.gz VCJICQLOWIUZBM-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1cc2ccncc2s1 ZINC000667959043 1048492638 /nfs/dbraw/zinc/49/26/38/1048492638.db2.gz CEKLTAUTZCOZFX-PWSUYJOCSA-N 0 3 314.376 4.459 20 0 DIADHN COC1(CNCc2cccc(OC3CC3)c2F)CCC(C)CC1 ZINC001202259299 1048494751 /nfs/dbraw/zinc/49/47/51/1048494751.db2.gz PDEMCGAEXOFPHE-UHFFFAOYSA-N 0 3 321.436 4.052 20 0 DIADHN COC1(CNCc2ccc(-c3ccco3)cc2F)CCCC1 ZINC001202258645 1048494943 /nfs/dbraw/zinc/49/49/43/1048494943.db2.gz ICXGQRGCGRKLQP-UHFFFAOYSA-N 0 3 303.377 4.135 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2ncc(Br)s2)[C@@H]1C ZINC000481820344 1048496680 /nfs/dbraw/zinc/49/66/80/1048496680.db2.gz BOMSMDDSKYAHCT-MXWKQRLJSA-N 0 3 317.296 4.068 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc(C(F)(F)F)[nH]1)C(C)C ZINC001188517183 1048516783 /nfs/dbraw/zinc/51/67/83/1048516783.db2.gz BJRBTBRINADRLT-UHFFFAOYSA-N 0 3 322.311 4.062 20 0 DIADHN C[C@@]1(C(F)(F)F)CCN(Cc2cccc(OC(F)F)c2)C1 ZINC001175925694 1048502207 /nfs/dbraw/zinc/50/22/07/1048502207.db2.gz LTAZBSHIZKJJOX-CYBMUJFWSA-N 0 3 309.278 4.062 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001175927409 1048502799 /nfs/dbraw/zinc/50/27/99/1048502799.db2.gz XHUSOJLXFIOAGX-CJNGLKHVSA-N 0 3 303.352 4.179 20 0 DIADHN Cc1csc([C@H]2CCN([C@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001175934411 1048503710 /nfs/dbraw/zinc/50/37/10/1048503710.db2.gz BCRMZDLNNUCGBR-YPMHNXCESA-N 0 3 308.397 4.280 20 0 DIADHN Cn1c(CN[C@H]2CCCc3ccc(F)cc32)cc2ccccc21 ZINC001202280985 1048509400 /nfs/dbraw/zinc/50/94/00/1048509400.db2.gz RBXAZSWZBONVHT-IBGZPJMESA-N 0 3 308.400 4.485 20 0 DIADHN CCOc1ccc(NC(=O)[C@@H](C)N(C)C[C@@H](C)C(C)(C)C)cc1 ZINC001175983815 1048516815 /nfs/dbraw/zinc/51/68/15/1048516815.db2.gz QXXBGZAQWAPFBC-HUUCEWRRSA-N 0 3 320.477 4.026 20 0 DIADHN FC(F)(F)CCN1CCC2(CC1)OCc1ccc(Cl)cc12 ZINC001202352105 1048526499 /nfs/dbraw/zinc/52/64/99/1048526499.db2.gz AHCGCAVKXBBZMD-UHFFFAOYSA-N 0 3 319.754 4.114 20 0 DIADHN CCCCOCCN[C@H](C)c1ccccc1OC(F)(F)F ZINC000481957331 1048535658 /nfs/dbraw/zinc/53/56/58/1048535658.db2.gz FZXAVYVLNVDELX-GFCCVEGCSA-N 0 3 305.340 4.053 20 0 DIADHN Cc1cc(F)ccc1CN1CC[C@H](c2c(F)cccc2F)C1 ZINC001176019981 1048536964 /nfs/dbraw/zinc/53/69/64/1048536964.db2.gz BJVKYGDUDHNGRZ-AWEZNQCLSA-N 0 3 305.343 4.402 20 0 DIADHN C[C@]1(CN2CC[C@H](c3c(F)cccc3F)C2)CC1(Cl)Cl ZINC001176021422 1048537019 /nfs/dbraw/zinc/53/70/19/1048537019.db2.gz PLLRDMHZMJPRAZ-IINYFYTJSA-N 0 3 320.210 4.338 20 0 DIADHN Cc1cc2[nH]c(CN3CC[C@H](OCC(C)C)C3)cc2c(C)c1 ZINC000481977797 1048537594 /nfs/dbraw/zinc/53/75/94/1048537594.db2.gz ALWVEIICVMSARH-KRWDZBQOSA-N 0 3 300.446 4.032 20 0 DIADHN Cc1cc2[nH]c(CN(C)[C@H](CCO)c3ccccc3)cc2c(C)c1 ZINC000482004124 1048542140 /nfs/dbraw/zinc/54/21/40/1048542140.db2.gz BOJHANXUKNHEAE-OAQYLSRUSA-N 0 3 322.452 4.340 20 0 DIADHN Fc1ccc(OC2CCN(CCCC(F)(F)F)CC2)cc1 ZINC001202416493 1048542984 /nfs/dbraw/zinc/54/29/84/1048542984.db2.gz WZWSVSORYSLFOW-UHFFFAOYSA-N 0 3 305.315 4.011 20 0 DIADHN FC1(F)C[C@@]2(CCN(CCc3ccccc3Cl)C2)C1(F)F ZINC001176088131 1048549150 /nfs/dbraw/zinc/54/91/50/1048549150.db2.gz TUIDPPNZQRGHQG-CYBMUJFWSA-N 0 3 321.745 4.249 20 0 DIADHN Cc1cccc2[nH]c(CN3C[C@H](C)C[C@@H]3c3cccnc3)cc21 ZINC000667985970 1048558018 /nfs/dbraw/zinc/55/80/18/1048558018.db2.gz MGKYOPLDGDNDQB-JLTOFOAXSA-N 0 3 305.425 4.454 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccccc1Cl ZINC000042337666 1048562375 /nfs/dbraw/zinc/56/23/75/1048562375.db2.gz POEMOLKDGZEAAV-MEDUHNTESA-N 0 3 310.224 4.378 20 0 DIADHN Cc1cc(CN)nn1-c1ccc(/C=C/c2ccc(C)cc2)cc1 ZINC001163986021 1048566299 /nfs/dbraw/zinc/56/62/99/1048566299.db2.gz OJECLKWIVVXPOT-BQYQJAHWSA-N 0 3 303.409 4.118 20 0 DIADHN CC(C)=CCC[C@H](C)CCNCc1nccn1CC(F)(F)F ZINC000482234833 1048574317 /nfs/dbraw/zinc/57/43/17/1048574317.db2.gz AQOHWEZQCMNNPZ-AWEZNQCLSA-N 0 3 317.399 4.308 20 0 DIADHN Cc1c2[nH]cnc2ccc1N[C@H](c1ccccc1)c1ccncc1 ZINC001164075440 1048576581 /nfs/dbraw/zinc/57/65/81/1048576581.db2.gz QNKVZXDQCHFXOM-HXUWFJFHSA-N 0 3 314.392 4.468 20 0 DIADHN CO[C@@H](CNC(C)(C)c1ccc(F)cc1F)Cc1ccccc1 ZINC001176175136 1048581423 /nfs/dbraw/zinc/58/14/23/1048581423.db2.gz LMIWJJQKVODIKC-MRXNPFEDSA-N 0 3 319.395 4.047 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2ccc[nH]2)c(-c2ccnn2C)c1 ZINC000338919237 1048583889 /nfs/dbraw/zinc/58/38/89/1048583889.db2.gz DRDAHLQCDWZJIX-FQEVSTJZSA-N 0 3 320.440 4.061 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)c1ccc3c(c1)COC3)CCC2 ZINC000780747323 1048588579 /nfs/dbraw/zinc/58/85/79/1048588579.db2.gz WUVFPZVZIRDRCE-MEDUHNTESA-N 0 3 314.454 4.210 20 0 DIADHN CC[C@@](C)(NCc1cnn(Cc2ccccc2)c1)c1ccccc1 ZINC000107717764 1048590302 /nfs/dbraw/zinc/59/03/02/1048590302.db2.gz NSIXJAXNJREOMK-OAQYLSRUSA-N 0 3 319.452 4.346 20 0 DIADHN CC[C@@](C)(NCc1cnn(-c2ccccc2)c1)c1ccccc1 ZINC000107718036 1048590383 /nfs/dbraw/zinc/59/03/83/1048590383.db2.gz QFSJIQFHMJNHPZ-HXUWFJFHSA-N 0 3 305.425 4.287 20 0 DIADHN CC[C@](C)(NCc1cnn(Cc2ccccc2)c1)c1ccccc1 ZINC000107717761 1048591247 /nfs/dbraw/zinc/59/12/47/1048591247.db2.gz NSIXJAXNJREOMK-NRFANRHFSA-N 0 3 319.452 4.346 20 0 DIADHN CC(=O)c1ccsc1Nc1cccc(CN2CCCC2)c1 ZINC001176195661 1048592406 /nfs/dbraw/zinc/59/24/06/1048592406.db2.gz FSCLLEBXWVMHCO-UHFFFAOYSA-N 0 3 300.427 4.290 20 0 DIADHN CN(C)C(=O)c1cc(Nc2ccnc(C3CC3)c2)ccc1Cl ZINC001176151472 1048593878 /nfs/dbraw/zinc/59/38/78/1048593878.db2.gz KUSMFRAGXQUFDY-UHFFFAOYSA-N 0 3 315.804 4.058 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668042090 1048603278 /nfs/dbraw/zinc/60/32/78/1048603278.db2.gz IZISMCMYOIDNHQ-ZDUSSCGKSA-N 0 3 314.376 4.459 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC=CCc3ccccc3)cccc21 ZINC001176220621 1048607968 /nfs/dbraw/zinc/60/79/68/1048607968.db2.gz VSOWHAPFQPUAHL-FZVHGAAGSA-N 0 3 308.425 4.240 20 0 DIADHN CC[C@H](NCc1cc(COC)cs1)c1ccccc1OC ZINC000850028600 1048611016 /nfs/dbraw/zinc/61/10/16/1048611016.db2.gz NJXCVXVVIQZLFR-INIZCTEOSA-N 0 3 305.443 4.144 20 0 DIADHN [O-]c1cccc(Nc2cccc(C[NH+]3CCCC3)c2)c1Cl ZINC001176198823 1048615619 /nfs/dbraw/zinc/61/56/19/1048615619.db2.gz MMXKECUJOUPCFK-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN CCN(C)c1ccccc1CNCc1cc2ccc(C)cc2[nH]1 ZINC000850125687 1048617786 /nfs/dbraw/zinc/61/77/86/1048617786.db2.gz IVKFWAOHULZONY-UHFFFAOYSA-N 0 3 307.441 4.222 20 0 DIADHN C[C@H](C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1)CC1CCCCC1 ZINC000482502368 1048620926 /nfs/dbraw/zinc/62/09/26/1048620926.db2.gz SZHAILHFRJZJOS-MAUKXSAKSA-N 0 3 312.461 4.090 20 0 DIADHN COc1cncc(CN[C@H]2CCCc3ccc(C(C)C)cc32)c1 ZINC000850228597 1048625139 /nfs/dbraw/zinc/62/51/39/1048625139.db2.gz SYTWHBMGXOTTSQ-FQEVSTJZSA-N 0 3 310.441 4.381 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC[C@H](Cc3nccs3)C2)c1 ZINC001176272963 1048626859 /nfs/dbraw/zinc/62/68/59/1048626859.db2.gz LDKRTWYASBFNLV-XOKHGSTOSA-N 0 3 316.445 4.093 20 0 DIADHN CCOC(C)(C)CNCc1cc(Cl)ccc1Br ZINC000159284003 1048630017 /nfs/dbraw/zinc/63/00/17/1048630017.db2.gz VQSPOKBWHNRJSA-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN C[C@]1(CNCc2cccc(OC3CC3)c2F)CC1(Cl)Cl ZINC000850315494 1048632440 /nfs/dbraw/zinc/63/24/40/1048632440.db2.gz DIHBOENQDIEZDB-CQSZACIVSA-N 0 3 318.219 4.040 20 0 DIADHN CN1CCc2ccc(Nc3ccc(O)cc3C(F)(F)F)cc2C1 ZINC001176341594 1048633380 /nfs/dbraw/zinc/63/33/80/1048633380.db2.gz KJDMBYLWYYGGNG-UHFFFAOYSA-N 0 3 322.330 4.143 20 0 DIADHN CC[C@H](N[C@@H](C)c1nnn(-c2ccccc2)c1C)C(C)(C)C ZINC000482556274 1048634260 /nfs/dbraw/zinc/63/42/60/1048634260.db2.gz JCPFVLBSKZWZKK-BBRMVZONSA-N 0 3 300.450 4.051 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@H]2COC3(CCC3)C2)o1 ZINC000850367305 1048640798 /nfs/dbraw/zinc/64/07/98/1048640798.db2.gz DAUBZBOSNHJAIK-CYBMUJFWSA-N 0 3 317.816 4.401 20 0 DIADHN Fc1cccc(-c2ccc(CN[C@H]3COC4(CCC4)C3)o2)c1F ZINC000850371176 1048641998 /nfs/dbraw/zinc/64/19/98/1048641998.db2.gz ZKKKFYDBYIKPKK-GFCCVEGCSA-N 0 3 319.351 4.026 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H]1C[C@@H](O)c2ccccc21 ZINC000850373190 1048642300 /nfs/dbraw/zinc/64/23/00/1048642300.db2.gz DNYOKEWOUHDJAG-DLBZAZTESA-N 0 3 321.342 4.282 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H]1C[C@H](O)c2ccccc21 ZINC000850373193 1048642687 /nfs/dbraw/zinc/64/26/87/1048642687.db2.gz DNYOKEWOUHDJAG-SJORKVTESA-N 0 3 321.342 4.282 20 0 DIADHN CC(C)(C)c1ccc(CN[C@@H]2C[C@H](O)c3ccccc32)s1 ZINC000850372307 1048642865 /nfs/dbraw/zinc/64/28/65/1048642865.db2.gz SLQJQWVYCPHOTJ-CVEARBPZSA-N 0 3 301.455 4.314 20 0 DIADHN c1sc(-c2ccccc2)nc1CNCCC1SCCS1 ZINC000850407778 1048649854 /nfs/dbraw/zinc/64/98/54/1048649854.db2.gz QPCJVYYSGXHPLO-UHFFFAOYSA-N 0 3 322.524 4.096 20 0 DIADHN CCOc1cccc(CN[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850424449 1048652133 /nfs/dbraw/zinc/65/21/33/1048652133.db2.gz KJBQTRWJVXFENY-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN CCc1cc(C(=O)Nc2cc(CN(C)C)ccc2C)sc1C ZINC000482636413 1048653912 /nfs/dbraw/zinc/65/39/12/1048653912.db2.gz WFUAILNAVNLHMR-UHFFFAOYSA-N 0 3 316.470 4.241 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc3c(c2)CCCO3)cc1 ZINC000850427697 1048654013 /nfs/dbraw/zinc/65/40/13/1048654013.db2.gz ZHDUVTDQMXUABL-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850429827 1048654041 /nfs/dbraw/zinc/65/40/41/1048654041.db2.gz JYORZINIZBSCFJ-HNAYVOBHSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCCO2 ZINC000850443937 1048658401 /nfs/dbraw/zinc/65/84/01/1048658401.db2.gz ULVLQCMUOBZGGW-DJJJIMSYSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1ccc(SC(F)F)cc1 ZINC000850444232 1048658596 /nfs/dbraw/zinc/65/85/96/1048658596.db2.gz HXCBONURQAVFJL-SMDDNHRTSA-N 0 3 323.412 4.384 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000850450184 1048660060 /nfs/dbraw/zinc/66/00/60/1048660060.db2.gz YCJZXPBJAMKZQN-XOBRGWDASA-N 0 3 308.425 4.209 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc4c(c3)CCCO4)CC2)c1 ZINC000850452066 1048661505 /nfs/dbraw/zinc/66/15/05/1048661505.db2.gz LLTXRWWPIYGGTO-OAHLLOKOSA-N 0 3 323.436 4.360 20 0 DIADHN Cc1nccc([C@H]2CCCN([C@@H](C)c3ccccc3Cl)C2)n1 ZINC000613212539 1048666492 /nfs/dbraw/zinc/66/64/92/1048666492.db2.gz WGRHENNLSALLTC-ZFWWWQNUSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1nccc([C@H]2CCCN([C@H](C)c3ccccc3Cl)C2)n1 ZINC000613212537 1048666655 /nfs/dbraw/zinc/66/66/55/1048666655.db2.gz WGRHENNLSALLTC-HIFRSBDPSA-N 0 3 315.848 4.379 20 0 DIADHN CC[C@H](N[C@H]1COC2(CCC2)C1)c1cc(Cl)ccc1OC ZINC000850526550 1048679238 /nfs/dbraw/zinc/67/92/38/1048679238.db2.gz VZMPFKNWXRVQBS-HIFRSBDPSA-N 0 3 309.837 4.101 20 0 DIADHN O[C@@H]1C[C@@H](N[C@H]2CCCc3c4ccccc4[nH]c32)c2ccccc21 ZINC000850527170 1048681405 /nfs/dbraw/zinc/68/14/05/1048681405.db2.gz UNZIJIDZXRGAQS-XUVXKRRUSA-N 0 3 318.420 4.313 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@]34OCCC[C@H]3C4(F)F)oc2c1 ZINC000850531825 1048684673 /nfs/dbraw/zinc/68/46/73/1048684673.db2.gz HXUSMSUXOSMPMI-VUCTXSBTSA-N 0 3 321.367 4.206 20 0 DIADHN C[C@@H](NC[C@]12OCCC[C@H]1C2(F)F)c1cccc(C2CC2)c1 ZINC000850532559 1048685051 /nfs/dbraw/zinc/68/50/51/1048685051.db2.gz MQTWGMZXMRPHCC-JLZZUVOBSA-N 0 3 307.384 4.029 20 0 DIADHN Cc1ccc(C=CNc2ccc(N3CCN(C)CC3)cc2C)cc1 ZINC001176423091 1048686342 /nfs/dbraw/zinc/68/63/42/1048686342.db2.gz WRSMYKFPBYHEEA-ZHACJKMWSA-N 0 3 321.468 4.138 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000850542125 1048686328 /nfs/dbraw/zinc/68/63/28/1048686328.db2.gz IDKFJSRSRKFWOD-BFUOFWGJSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1noc(C)c1CN[C@@H]1CCCC[C@H]1Oc1ccccc1C ZINC000482840082 1048687356 /nfs/dbraw/zinc/68/73/56/1048687356.db2.gz CUANUQGIRWREAR-IEBWSBKVSA-N 0 3 314.429 4.080 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@H]2CCC[C@H](C(C)C)CC2)s1 ZINC001205480544 1048688746 /nfs/dbraw/zinc/68/87/46/1048688746.db2.gz DMCWTSUPSFQHSI-IHRRRGAJSA-N 0 3 324.490 4.185 20 0 DIADHN CC(C)Oc1ccc(NCC2CN(Cc3ccccc3)C2)cc1 ZINC001165454388 1048690310 /nfs/dbraw/zinc/69/03/10/1048690310.db2.gz LIFCAFAVFQXVHQ-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN CSc1cc(NCC2CN(Cc3ccccc3)C2)ccc1C ZINC001165468278 1048690583 /nfs/dbraw/zinc/69/05/83/1048690583.db2.gz DVWCMNHTYJEWRQ-UHFFFAOYSA-N 0 3 312.482 4.261 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](O)c2ccc(Cl)c(Cl)c2)o1 ZINC000850556262 1048691284 /nfs/dbraw/zinc/69/12/84/1048691284.db2.gz KCBWXVVJSSABTL-HZMBPMFUSA-N 0 3 314.212 4.279 20 0 DIADHN Fc1ccc([C@H](NCC2SCCS2)c2ccccc2)cc1 ZINC000850562260 1048693093 /nfs/dbraw/zinc/69/30/93/1048693093.db2.gz YRAOAWATDBAPFZ-QGZVFWFLSA-N 0 3 319.470 4.311 20 0 DIADHN C[C@H](NCC1SCCS1)c1ccccc1OC(F)(F)F ZINC000850562228 1048693120 /nfs/dbraw/zinc/69/31/20/1048693120.db2.gz YJAUOOFRORIUQI-VIFPVBQESA-N 0 3 323.405 4.042 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1c(C)cc(C)cc1Cl ZINC000482878074 1048693245 /nfs/dbraw/zinc/69/32/45/1048693245.db2.gz YTMCQHDNZJEVKT-GFCCVEGCSA-N 0 3 310.869 4.263 20 0 DIADHN CC(C)CC1(N[C@H](C)c2cc(Br)ncc2F)CC1 ZINC000850565665 1048693993 /nfs/dbraw/zinc/69/39/93/1048693993.db2.gz DXVDXGVTUJTCNK-SNVBAGLBSA-N 0 3 315.230 4.213 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc4c(c3)CCO4)CCC2)c1 ZINC000850566798 1048695929 /nfs/dbraw/zinc/69/59/29/1048695929.db2.gz STLAVFMELYSVKO-OAHLLOKOSA-N 0 3 323.436 4.360 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](c2ccccc2)[C@H](C)O)cc1C ZINC000850583207 1048700983 /nfs/dbraw/zinc/70/09/83/1048700983.db2.gz JLLDITXEJXTJPO-FTRWYGJKSA-N 0 3 313.441 4.085 20 0 DIADHN c1ccc(N2CCN(CCCSCC3CCCC3)CC2)cc1 ZINC001190259160 1048711448 /nfs/dbraw/zinc/71/14/48/1048711448.db2.gz WXMZQERJXGGRJE-UHFFFAOYSA-N 0 3 318.530 4.122 20 0 DIADHN C[C@H](C(=O)NCC1CC(C)(C)CC(C)(C)C1)N1CCCCCC1 ZINC001165786477 1048717069 /nfs/dbraw/zinc/71/70/69/1048717069.db2.gz ZDSLJJPNRPZURN-MRXNPFEDSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1ccc(COC)cc1 ZINC001190332557 1048718290 /nfs/dbraw/zinc/71/82/90/1048718290.db2.gz UERSDNFTCJTYDZ-KRWDZBQOSA-N 0 3 301.455 4.404 20 0 DIADHN CCOC(=O)N[C@H]1CCCN([C@H](C)c2ccc(C(C)C)cc2)C1 ZINC001190332082 1048719224 /nfs/dbraw/zinc/71/92/24/1048719224.db2.gz OZGJCLUOEIETLU-QAPCUYQASA-N 0 3 318.461 4.082 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cnc(Cl)c(C)c1 ZINC000776499392 1048724944 /nfs/dbraw/zinc/72/49/44/1048724944.db2.gz VGDGJYZMPHGZRM-BLLLJJGKSA-N 0 3 322.811 4.048 20 0 DIADHN C(=C/c1ccccc1)\CNc1cc(CN2CCCCC2)ccn1 ZINC001166065229 1048733037 /nfs/dbraw/zinc/73/30/37/1048733037.db2.gz HODUOIXYAOAFLN-JXMROGBWSA-N 0 3 307.441 4.193 20 0 DIADHN CN(Cc1nnc(-c2ccccc2Cl)o1)[C@@H]1CCC(C)(C)C1 ZINC000483113476 1048740174 /nfs/dbraw/zinc/74/01/74/1048740174.db2.gz JXAQCJNTEUGLEJ-GFCCVEGCSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000775387505 1048740144 /nfs/dbraw/zinc/74/01/44/1048740144.db2.gz NNGSIDKZIQFDLY-HXUWFJFHSA-N 0 3 310.441 4.157 20 0 DIADHN C[C@H](NC[C@H](c1c(F)cccc1F)N(C)C)c1ccccc1F ZINC000483133635 1048744566 /nfs/dbraw/zinc/74/45/66/1048744566.db2.gz ISYUAEFDIWEFCN-YVEFUNNKSA-N 0 3 322.374 4.057 20 0 DIADHN CCCCC(=O)CS[C@H]1CCCN(Cc2ccccc2)C1 ZINC001166393645 1048748668 /nfs/dbraw/zinc/74/86/68/1048748668.db2.gz SOXDNFLBUYFPLW-SFHVURJKSA-N 0 3 305.487 4.144 20 0 DIADHN CC(C)c1ccc([C@H](C)N2C[C@]3(CCOC3)OC(C)(C)C2)cc1 ZINC000483180342 1048748834 /nfs/dbraw/zinc/74/88/34/1048748834.db2.gz DHAWQDPGIBRZAA-JXFKEZNVSA-N 0 3 317.473 4.141 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccc(OCC2CC2)cc1 ZINC000483176097 1048750088 /nfs/dbraw/zinc/75/00/88/1048750088.db2.gz WKWDDIAKXITXHH-HXUWFJFHSA-N 0 3 310.441 4.151 20 0 DIADHN CC1(C)CCC[C@H](CN(Cc2ccccc2)C[C@H]2CCCO2)O1 ZINC001190530048 1048751520 /nfs/dbraw/zinc/75/15/20/1048751520.db2.gz ZBCBWFHZYAGLDI-RTBURBONSA-N 0 3 317.473 4.015 20 0 DIADHN CCOc1ccc2c(c1)CN(CCSc1ccccc1)CC2 ZINC001190570895 1048755672 /nfs/dbraw/zinc/75/56/72/1048755672.db2.gz LICSFAUCBORRBA-UHFFFAOYSA-N 0 3 313.466 4.236 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@H]1C ZINC000483201550 1048755869 /nfs/dbraw/zinc/75/58/69/1048755869.db2.gz RMMHNFHBKSYHQW-MNOVXSKESA-N 0 3 312.335 4.467 20 0 DIADHN C[C@H](N(C)Cc1nc2cc(C(F)(F)F)ccc2o1)C1(C)CC1 ZINC000483217618 1048759560 /nfs/dbraw/zinc/75/95/60/1048759560.db2.gz DKRKBCYEWGJGQI-JTQLQIEISA-N 0 3 312.335 4.467 20 0 DIADHN COCCN(CCOC1CCCCC1)Cc1ccc(C)cc1C ZINC001190589677 1048762195 /nfs/dbraw/zinc/76/21/95/1048762195.db2.gz SGYJWAKHPHNIDW-UHFFFAOYSA-N 0 3 319.489 4.101 20 0 DIADHN CC(C)CN(C)CC(=O)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001190596956 1048763072 /nfs/dbraw/zinc/76/30/72/1048763072.db2.gz HWQQYBBPBRDBPR-QGZVFWFLSA-N 0 3 322.468 4.007 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC(C)(c2cccc(Cl)c2)CC1 ZINC000483272418 1048764714 /nfs/dbraw/zinc/76/47/14/1048764714.db2.gz RJHCZXQOIFYDPQ-AWEZNQCLSA-N 0 3 315.848 4.245 20 0 DIADHN CC[C@@H](NC(=O)CN1CCC[C@@H](C)CC1)c1ccc(Cl)cc1 ZINC001190660519 1048767115 /nfs/dbraw/zinc/76/71/15/1048767115.db2.gz LPHTXQYSTXHPOB-RHSMWYFYSA-N 0 3 322.880 4.029 20 0 DIADHN c1cc(-c2nc(CN(CC3CC3)CC3CCC3)cs2)co1 ZINC000483264400 1048768497 /nfs/dbraw/zinc/76/84/97/1048768497.db2.gz QEHONNCMJHFJAO-UHFFFAOYSA-N 0 3 302.443 4.415 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H](O)CCc1ccccc1 ZINC001190635271 1048770380 /nfs/dbraw/zinc/77/03/80/1048770380.db2.gz HHXDILHSUSBEER-IBGZPJMESA-N 0 3 315.432 4.030 20 0 DIADHN Cc1cc(N2CCN(C)CC2)ccc1Nc1cccc(C2CC2)c1 ZINC001176724202 1048771078 /nfs/dbraw/zinc/77/10/78/1048771078.db2.gz QPDITDKAKPNPKR-UHFFFAOYSA-N 0 3 321.468 4.368 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(Cl)cc3)c2C1 ZINC001176725937 1048772913 /nfs/dbraw/zinc/77/29/13/1048772913.db2.gz MFFYSZFATFADPA-UHFFFAOYSA-N 0 3 321.877 4.495 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(CC1CC1)C1CCC1 ZINC000483293782 1048775034 /nfs/dbraw/zinc/77/50/34/1048775034.db2.gz FADLMOJFIHVGDL-UHFFFAOYSA-N 0 3 300.446 4.013 20 0 DIADHN COCc1csc(CN2CCC[C@H](CC(F)(F)F)C2)c1 ZINC000668243765 1048791782 /nfs/dbraw/zinc/79/17/82/1048791782.db2.gz IHIAGDZZMQOWBX-LLVKDONJSA-N 0 3 307.381 4.059 20 0 DIADHN CCC1(C)CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)CC1 ZINC001190682806 1048777168 /nfs/dbraw/zinc/77/71/68/1048777168.db2.gz OHEINPLKXCWDIU-OKILXGFUSA-N 0 3 319.411 4.436 20 0 DIADHN CCc1cccc2cc(CN3CCC(c4ccncn4)CC3)oc21 ZINC000668237771 1048784090 /nfs/dbraw/zinc/78/40/90/1048784090.db2.gz YFJSCOUPBUBKDR-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN CCC[C@H]1CCCN1Cc1nc2ccc(Br)cc2o1 ZINC001176782459 1048787255 /nfs/dbraw/zinc/78/72/55/1048787255.db2.gz FFVVNOSNCRWDLH-LBPRGKRZSA-N 0 3 323.234 4.355 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CCc4ccsc4C3)cc2)n1 ZINC001176761414 1048789324 /nfs/dbraw/zinc/78/93/24/1048789324.db2.gz YHGFXUDRYBUCTO-UHFFFAOYSA-N 0 3 323.465 4.109 20 0 DIADHN CCN(Cc1nc(-c2ccc(F)c(C)c2)no1)[C@H](C)C(C)C ZINC000483411929 1048792056 /nfs/dbraw/zinc/79/20/56/1048792056.db2.gz WIHIOIOMHQBHSA-CYBMUJFWSA-N 0 3 305.397 4.051 20 0 DIADHN C[C@H]1CSCCCN1Cc1ccccc1Oc1cccnc1 ZINC001176828650 1048794407 /nfs/dbraw/zinc/79/44/07/1048794407.db2.gz VPOANQOSYPRFFQ-HNNXBMFYSA-N 0 3 314.454 4.201 20 0 DIADHN Cc1ccc2sc(CN(C[C@H](C)O)C3CCCCC3)nc2c1 ZINC001190853950 1048794378 /nfs/dbraw/zinc/79/43/78/1048794378.db2.gz CXMBRYUZFFOUIJ-AWEZNQCLSA-N 0 3 318.486 4.120 20 0 DIADHN COC1(CN(CCC(F)(F)F)Cc2ccccc2)CCCC1 ZINC000483423313 1048795233 /nfs/dbraw/zinc/79/52/33/1048795233.db2.gz SZINTDDCINQQOO-UHFFFAOYSA-N 0 3 315.379 4.400 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCSc2ccccc2)nc1 ZINC001176871488 1048797062 /nfs/dbraw/zinc/79/70/62/1048797062.db2.gz MGPTYBXRFHGIJV-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN Clc1ccccc1CCN1CCO[C@@H](c2ccccc2)CC1 ZINC001176939779 1048802986 /nfs/dbraw/zinc/80/29/86/1048802986.db2.gz NKROOECFDSRRFN-LJQANCHMSA-N 0 3 315.844 4.346 20 0 DIADHN CCCN(CCc1cccc(F)c1)CC1(Br)CC1 ZINC001190945000 1048803994 /nfs/dbraw/zinc/80/39/94/1048803994.db2.gz XIFRPVKZPHERIF-UHFFFAOYSA-N 0 3 314.242 4.008 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ncccc1C)c1ccc(Cl)cc1 ZINC001176897212 1048806928 /nfs/dbraw/zinc/80/69/28/1048806928.db2.gz KTEALLYCZCKTOK-XJKSGUPXSA-N 0 3 304.821 4.082 20 0 DIADHN ClC(Cl)=CCN[C@H](c1ccccc1)C1CCOCC1 ZINC000851904505 1048811571 /nfs/dbraw/zinc/81/15/71/1048811571.db2.gz YPXLURUROYFKIT-OAHLLOKOSA-N 0 3 300.229 4.063 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001190966819 1048811757 /nfs/dbraw/zinc/81/17/57/1048811757.db2.gz ROGCTYQAMOOGGR-ZIAGYGMSSA-N 0 3 308.853 4.179 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(C)[C@@H](c1ccccc1)C(C)C ZINC001190954942 1048815797 /nfs/dbraw/zinc/81/57/97/1048815797.db2.gz SMFXKPPOZKMNQM-FXAWDEMLSA-N 0 3 318.505 4.209 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](c3ccccc3)C[C@@H]2C)cc1C ZINC001191003101 1048818780 /nfs/dbraw/zinc/81/87/80/1048818780.db2.gz ATLUPWRWKOSRQM-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ncc(CN(Cc2ccc(F)cc2)C2CCCCC2)cn1 ZINC000338942846 1048831442 /nfs/dbraw/zinc/83/14/42/1048831442.db2.gz WWAGXEUJNZIEGE-UHFFFAOYSA-N 0 3 313.420 4.259 20 0 DIADHN O=C(CCN1CCC[C@H]1c1ccsc1)OCc1ccccc1 ZINC000776579717 1048834623 /nfs/dbraw/zinc/83/46/23/1048834623.db2.gz ONEAXYBTHTYXBP-KRWDZBQOSA-N 0 3 315.438 4.019 20 0 DIADHN CCCc1ccc(CN2CCN(Cc3ccccc3)C[C@H]2C)cc1 ZINC001191058281 1048836246 /nfs/dbraw/zinc/83/62/46/1048836246.db2.gz OJVSJOQCVWFFOR-LJQANCHMSA-N 0 3 322.496 4.345 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2cncs2)cc1 ZINC000483678912 1048838016 /nfs/dbraw/zinc/83/80/16/1048838016.db2.gz FCZWUQWPDIUVDH-QRTARXTBSA-N 0 3 302.443 4.022 20 0 DIADHN Cc1ccccc1[C@@H](O)CN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426010 1048841697 /nfs/dbraw/zinc/84/16/97/1048841697.db2.gz FTMMCGWEWDJMEH-PKOBYXMFSA-N 0 3 311.425 4.239 20 0 DIADHN Cc1cc(CN2[C@H](C)C[C@H]3CCCC[C@@H]32)cnc1Br ZINC001191079658 1048842786 /nfs/dbraw/zinc/84/27/86/1048842786.db2.gz ZGHUZNNKIWBNSD-YUELXQCFSA-N 0 3 323.278 4.306 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000483717807 1048843782 /nfs/dbraw/zinc/84/37/82/1048843782.db2.gz YVNOBTRXRYGWKM-FRFSOERESA-N 0 3 316.470 4.418 20 0 DIADHN FC1(F)CC(CN2CCc3nc(-c4ccccc4)sc3C2)C1 ZINC000852477329 1048844638 /nfs/dbraw/zinc/84/46/38/1048844638.db2.gz RINSGLXHKLTIFC-UHFFFAOYSA-N 0 3 320.408 4.214 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001191047709 1048846924 /nfs/dbraw/zinc/84/69/24/1048846924.db2.gz HRCIAJLALXRIPZ-SWLSCSKDSA-N 0 3 304.796 4.425 20 0 DIADHN COc1cc(Cl)c(CNC[C@@H]2C(C)(C)C2(F)F)cc1Cl ZINC001203222949 1048847648 /nfs/dbraw/zinc/84/76/48/1048847648.db2.gz QIQBAYMQHGPNIR-GFCCVEGCSA-N 0 3 324.198 4.383 20 0 DIADHN O=C1CCCC12CCN([C@@H]1CCc3cc(Cl)ccc31)CC2 ZINC000852631443 1048850173 /nfs/dbraw/zinc/85/01/73/1048850173.db2.gz SBWZLBQPZMGXLQ-MRXNPFEDSA-N 0 3 303.833 4.163 20 0 DIADHN Cc1nc(CNCCc2ccc(C(C)(C)C)cc2)sc1C ZINC001177249902 1048855743 /nfs/dbraw/zinc/85/57/43/1048855743.db2.gz FREVILSNFBCTSN-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN CCCN(Cc1cccn1C)Cc1cccc(Br)c1 ZINC001203265572 1048856462 /nfs/dbraw/zinc/85/64/62/1048856462.db2.gz JFRJPASWAXLYEG-UHFFFAOYSA-N 0 3 321.262 4.200 20 0 DIADHN CSc1ccc(CN[C@H]2C[C@H](Sc3ccccc3)C2)o1 ZINC001203294088 1048860303 /nfs/dbraw/zinc/86/03/03/1048860303.db2.gz FUBWSJQLIWABTD-NNUKFRKNSA-N 0 3 305.468 4.414 20 0 DIADHN Cc1ccc(CN2CCC3(C[C@@H](c4ccccc4)CO3)CC2)o1 ZINC001203296389 1048860396 /nfs/dbraw/zinc/86/03/96/1048860396.db2.gz OLKQPSOHFNYCFM-GOSISDBHSA-N 0 3 311.425 4.127 20 0 DIADHN NC1(C(=O)Nc2ccc(Nc3ccccc3)cc2)CC2(CCC2)C1 ZINC000852801651 1048861462 /nfs/dbraw/zinc/86/14/62/1048861462.db2.gz TYPUNNFFUYGVAL-UHFFFAOYSA-N 0 3 321.424 4.030 20 0 DIADHN c1coc([C@@H]2CCCCCN2Cc2ccc3c(c2)OCCO3)c1 ZINC001191085057 1048862290 /nfs/dbraw/zinc/86/22/90/1048862290.db2.gz GNYLXJCHLUWTEP-INIZCTEOSA-N 0 3 313.397 4.168 20 0 DIADHN Cc1ccc(CN2CCC(COc3ccc(F)cc3)CC2)o1 ZINC001203301279 1048863040 /nfs/dbraw/zinc/86/30/40/1048863040.db2.gz HFEVARCOWUYPIE-UHFFFAOYSA-N 0 3 303.377 4.018 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@H]2CCCC(C)(C)O2)s1 ZINC001191146688 1048863431 /nfs/dbraw/zinc/86/34/31/1048863431.db2.gz XNBQDKWCSUOIAB-UONOGXRCSA-N 0 3 310.507 4.354 20 0 DIADHN COc1ccccc1/C=C\CN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000483818824 1048863588 /nfs/dbraw/zinc/86/35/88/1048863588.db2.gz JBJVVNVKNSIFPX-NSKUMVKISA-N 0 3 308.425 4.187 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1ccc(C(F)F)c(F)c1 ZINC001177268405 1048864485 /nfs/dbraw/zinc/86/44/85/1048864485.db2.gz YKIMQXORMCYJRY-LRDDRELGSA-N 0 3 315.379 4.448 20 0 DIADHN CCOc1ccc(C(=O)C2CCN(Cc3ccccc3)CC2)cc1 ZINC001203312451 1048865401 /nfs/dbraw/zinc/86/54/01/1048865401.db2.gz DNSCVTZRYHIFNH-UHFFFAOYSA-N 0 3 323.436 4.180 20 0 DIADHN CCNc1nc(CN2C[C@@H](c3ccccc3C)C[C@@H]2C)cs1 ZINC001191155667 1048865881 /nfs/dbraw/zinc/86/58/81/1048865881.db2.gz IURYQGDHCTUIIH-GJZGRUSLSA-N 0 3 315.486 4.261 20 0 DIADHN COC(=O)c1cccc(CN(Cc2ccc(C)c(C)c2)C2CC2)c1 ZINC001191164521 1048868239 /nfs/dbraw/zinc/86/82/39/1048868239.db2.gz FVGSGBHZRUUXDO-UHFFFAOYSA-N 0 3 323.436 4.255 20 0 DIADHN CCCN1CCC[C@@H]1c1nc(-c2cccc3ccccc32)no1 ZINC000483834278 1048868359 /nfs/dbraw/zinc/86/83/59/1048868359.db2.gz JXQYGAXJCLQOPA-QGZVFWFLSA-N 0 3 307.397 4.437 20 0 DIADHN C[C@H](CN[C@H](C)c1ccco1)Oc1ccc(Br)cc1 ZINC000483842824 1048871980 /nfs/dbraw/zinc/87/19/80/1048871980.db2.gz TUJUXCVIPNDAKE-VXGBXAGGSA-N 0 3 324.218 4.160 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@@H]2CCC3(CCC3)CO2)s1 ZINC001191146080 1048877603 /nfs/dbraw/zinc/87/76/03/1048877603.db2.gz TVPQAYKAZWRYPN-GJZGRUSLSA-N 0 3 322.518 4.356 20 0 DIADHN CCN1CCN(c2ccc(NCc3cccc(C)c3C)cc2)CC1 ZINC001177378887 1048878212 /nfs/dbraw/zinc/87/82/12/1048878212.db2.gz GDNYDODWRHSEGQ-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3ccccc3C(F)(F)F)n2)C[C@H]1C ZINC001191194118 1048878975 /nfs/dbraw/zinc/87/89/75/1048878975.db2.gz QPPKOTSWQZHUMQ-VXGBXAGGSA-N 0 3 324.346 4.448 20 0 DIADHN COC(=O)Nc1cccc(CNCc2cccc(C(C)C)c2)c1 ZINC000853088068 1048879803 /nfs/dbraw/zinc/87/98/03/1048879803.db2.gz WBAKAEGWQQFCBK-UHFFFAOYSA-N 0 3 312.413 4.278 20 0 DIADHN C[C@H]1OC2(CCN(Cc3ccccc3F)CC2)c2ccccc21 ZINC001203359550 1048881063 /nfs/dbraw/zinc/88/10/63/1048881063.db2.gz CWLZAXMFRTYILU-OAHLLOKOSA-N 0 3 311.400 4.408 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001191201718 1048881683 /nfs/dbraw/zinc/88/16/83/1048881683.db2.gz CDXVZGRVTAHNCN-PKOBYXMFSA-N 0 3 305.462 4.109 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001191201716 1048882710 /nfs/dbraw/zinc/88/27/10/1048882710.db2.gz CDXVZGRVTAHNCN-IEBWSBKVSA-N 0 3 305.462 4.109 20 0 DIADHN Cc1cc(CN[C@]23C[C@H]2CCCC3)c(Br)cc1F ZINC001203369595 1048883161 /nfs/dbraw/zinc/88/31/61/1048883161.db2.gz SVTJPKLGYSIWGG-IUODEOHRSA-N 0 3 312.226 4.319 20 0 DIADHN CCOc1ccc(CNCc2cc(C)sc2C)cc1OC ZINC001177411741 1048884870 /nfs/dbraw/zinc/88/48/70/1048884870.db2.gz QSPVIYSDYFGYNC-UHFFFAOYSA-N 0 3 305.443 4.062 20 0 DIADHN c1ccc(COCc2ccccc2CNCc2ccncc2)cc1 ZINC000067670836 1048889128 /nfs/dbraw/zinc/88/91/28/1048889128.db2.gz HSMMZJGKUXDVQY-UHFFFAOYSA-N 0 3 318.420 4.088 20 0 DIADHN COc1cc(CNCc2cccc(OCC(C)C)c2)ccc1C ZINC001177463618 1048890666 /nfs/dbraw/zinc/89/06/66/1048890666.db2.gz FCAQRRHOVWMMML-UHFFFAOYSA-N 0 3 313.441 4.328 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1N(C)C)c1ccc2c(c1)CCCO2 ZINC000853240134 1048891348 /nfs/dbraw/zinc/89/13/48/1048891348.db2.gz GJBXWJDBYBHLDG-HZPDHXFCSA-N 0 3 324.468 4.489 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC[C@@H](C)[C@@H]1C ZINC001191181350 1048893553 /nfs/dbraw/zinc/89/35/53/1048893553.db2.gz GUCXFVJSOAJZGW-KGLIPLIRSA-N 0 3 315.413 4.230 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cn(C)c4ccccc34)cccc21 ZINC001203425070 1048900709 /nfs/dbraw/zinc/90/07/09/1048900709.db2.gz UVAQDLMCOOXXQT-SFHVURJKSA-N 0 3 321.424 4.307 20 0 DIADHN COc1cccc(CN(C)CCc2ccccc2Cl)c1OC ZINC001203450992 1048903672 /nfs/dbraw/zinc/90/36/72/1048903672.db2.gz JWMKAHBGQBPXNV-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001191233238 1048905459 /nfs/dbraw/zinc/90/54/59/1048905459.db2.gz MACYKOLCGVCPIG-UZLBHIALSA-N 0 3 322.452 4.413 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc(Cl)ccc1F)c1ccco1 ZINC001177553966 1048905831 /nfs/dbraw/zinc/90/58/31/1048905831.db2.gz HZSXYZWCYPRBJW-INIZCTEOSA-N 0 3 324.827 4.245 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1C[C@@H]1c1cccs1)C(C)C ZINC001177551992 1048906198 /nfs/dbraw/zinc/90/61/98/1048906198.db2.gz RUZSDVCOMHCYQE-UONOGXRCSA-N 0 3 311.431 4.267 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cc(F)cc(OCC)c2)c1 ZINC001177554444 1048906448 /nfs/dbraw/zinc/90/64/48/1048906448.db2.gz YPFHYTHJAANCFI-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cc(F)cc(OCC)c2)c1 ZINC001177554445 1048907580 /nfs/dbraw/zinc/90/75/80/1048907580.db2.gz YPFHYTHJAANCFI-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@@H]2CCC3(CCC3)O2)c1 ZINC001203470313 1048910554 /nfs/dbraw/zinc/91/05/54/1048910554.db2.gz DXRGRTAVPFESLU-SFHVURJKSA-N 0 3 315.457 4.199 20 0 DIADHN COc1ccc(CN(C)CCc2cccc(Cl)c2)c(OC)c1 ZINC001203480751 1048912673 /nfs/dbraw/zinc/91/26/73/1048912673.db2.gz HAQMTWGBMQYPJR-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(C)cc2)c(OC)c1 ZINC001203479158 1048912744 /nfs/dbraw/zinc/91/27/44/1048912744.db2.gz RSNXVFRWPLSVQS-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN COc1cc(CN[C@H](C)c2ccccc2)c(SC)cc1OC ZINC000077988128 1048914880 /nfs/dbraw/zinc/91/48/80/1048914880.db2.gz BQFWWCDTDSXXKU-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001177576253 1048917777 /nfs/dbraw/zinc/91/77/77/1048917777.db2.gz UGSACDMQVDGRQE-ZFWWWQNUSA-N 0 3 314.498 4.297 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CCCC(C)(C)CC1 ZINC001191297902 1048919173 /nfs/dbraw/zinc/91/91/73/1048919173.db2.gz SKWMRQOOOACVLB-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN CC[C@@H](NC[C@H]1CCC[C@@H](CC)C1)c1nnc2n1CCCCC2 ZINC001177589998 1048919493 /nfs/dbraw/zinc/91/94/93/1048919493.db2.gz BOLNFEIFTJHDEZ-IXDOHACOSA-N 0 3 318.509 4.262 20 0 DIADHN COc1ccc2c(c1)nccc2-n1cc(C)c2c1cccc2N ZINC001203528535 1048921103 /nfs/dbraw/zinc/92/11/03/1048921103.db2.gz QPIQHQWMQDEOQU-UHFFFAOYSA-N 0 3 303.365 4.078 20 0 DIADHN CC1CCC(NCc2nccn2CCCc2ccccc2)CC1 ZINC000484298488 1048922056 /nfs/dbraw/zinc/92/20/56/1048922056.db2.gz XVHSISHMVLCCQK-UHFFFAOYSA-N 0 3 311.473 4.184 20 0 DIADHN COc1ccc(OC)c(CN(C)Cc2cccc3ccccc32)c1 ZINC001203526946 1048922231 /nfs/dbraw/zinc/92/22/31/1048922231.db2.gz GUCOZSDUHWJFQY-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN COc1ccc(OC)c(CN2CCC[C@H]2c2ccc(C)cc2)c1 ZINC001203527605 1048922300 /nfs/dbraw/zinc/92/23/00/1048922300.db2.gz WDNQQLVLHAJYMM-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN C[C@H](NCc1csc(CCc2ccccc2)n1)C1(C)CC1 ZINC000484312278 1048923991 /nfs/dbraw/zinc/92/39/91/1048923991.db2.gz SKBUAYOUWQTXDH-AWEZNQCLSA-N 0 3 300.471 4.207 20 0 DIADHN COc1ccc(OC)c(CN(Cc2ccccc2)C2CCC2)c1 ZINC001203531700 1048924182 /nfs/dbraw/zinc/92/41/82/1048924182.db2.gz HWSJMZJXQNQKHR-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN CCOc1ccccc1CN1CCC[C@H]1c1cccc(OC)c1 ZINC001203543924 1048924463 /nfs/dbraw/zinc/92/44/63/1048924463.db2.gz KNSIMPGYFISJEY-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CN(CCc1cccs1)Cc1cccc(F)c1OC(F)F ZINC001191316526 1048925059 /nfs/dbraw/zinc/92/50/59/1048925059.db2.gz JIKRYAQWCZUBCU-UHFFFAOYSA-N 0 3 315.360 4.163 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccccc1SC ZINC000194839847 1048931483 /nfs/dbraw/zinc/93/14/83/1048931483.db2.gz HJWINEPHPHRXGT-CQSZACIVSA-N 0 3 301.455 4.406 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OC(F)F)c2)[C@H]1c1ccccc1 ZINC001191399724 1048932507 /nfs/dbraw/zinc/93/25/07/1048932507.db2.gz LJQQCBHDMSDWTI-CXAGYDPISA-N 0 3 303.352 4.481 20 0 DIADHN CC1(C)Cc2cccc(CNC3CC(Nc4ccccc4)C3)c2O1 ZINC001203581180 1048935095 /nfs/dbraw/zinc/93/50/95/1048935095.db2.gz JNVDUBXESPEACQ-UHFFFAOYSA-N 0 3 322.452 4.133 20 0 DIADHN CCN(C)c1ccccc1CNC/C=C/c1ccc(F)cc1F ZINC001177693210 1048934934 /nfs/dbraw/zinc/93/49/34/1048934934.db2.gz MZMPOIQHDPINOF-SOFGYWHQSA-N 0 3 316.395 4.224 20 0 DIADHN CN(Cc1ccccc1Cl)[C@@H]1CCCN(c2ccccc2)C1 ZINC001191416932 1048938931 /nfs/dbraw/zinc/93/89/31/1048938931.db2.gz LIDBRADBPAWIJP-GOSISDBHSA-N 0 3 314.860 4.441 20 0 DIADHN CN(CCCOc1cccc2ccccc21)Cc1cccc(O)c1 ZINC001191416332 1048939929 /nfs/dbraw/zinc/93/99/29/1048939929.db2.gz HOWHMSWCMXGUMV-UHFFFAOYSA-N 0 3 321.420 4.446 20 0 DIADHN Cc1ccccc1OCCCNCc1cc(Cl)ccc1F ZINC001177779514 1048943315 /nfs/dbraw/zinc/94/33/15/1048943315.db2.gz LKPWRKWCKAYYLC-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN CC(C)(C)c1ccccc1OCCNCc1cc(F)cc(F)c1 ZINC001177784319 1048944445 /nfs/dbraw/zinc/94/44/45/1048944445.db2.gz MSRHXUJJKLIUQZ-UHFFFAOYSA-N 0 3 319.395 4.431 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnn(C)c1C1CC1 ZINC001177844876 1048953011 /nfs/dbraw/zinc/95/30/11/1048953011.db2.gz WHKFGIBAXDCLKO-LJQANCHMSA-N 0 3 311.473 4.483 20 0 DIADHN CC(C)(CNCc1nccn1-c1ccccc1)Cc1ccccc1 ZINC001177855324 1048954072 /nfs/dbraw/zinc/95/40/72/1048954072.db2.gz RIBVLLCZBZAEON-UHFFFAOYSA-N 0 3 319.452 4.231 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCSc2c(F)cccc21 ZINC000854226443 1048955842 /nfs/dbraw/zinc/95/58/42/1048955842.db2.gz PEIUMHAUIDHVBX-DLBZAZTESA-N 0 3 314.429 4.222 20 0 DIADHN CC1(C)CCC(NCc2c(F)cncc2Br)CC1 ZINC001177924269 1048960652 /nfs/dbraw/zinc/96/06/52/1048960652.db2.gz HUPQZDHWVJKOKR-UHFFFAOYSA-N 0 3 315.230 4.042 20 0 DIADHN CCC1(CC)CCN(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001191541611 1048960804 /nfs/dbraw/zinc/96/08/04/1048960804.db2.gz UZFBYALJMAGKDB-UHFFFAOYSA-N 0 3 309.837 4.139 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2c(C)cccc2Cl)C12CCC2 ZINC001191550097 1048962368 /nfs/dbraw/zinc/96/23/68/1048962368.db2.gz JACDCOLRFVRUMK-IAGOWNOFSA-N 0 3 307.865 4.428 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2c(C)cccc2Cl)C12CCC2 ZINC001191550098 1048963366 /nfs/dbraw/zinc/96/33/66/1048963366.db2.gz JACDCOLRFVRUMK-IRXDYDNUSA-N 0 3 307.865 4.428 20 0 DIADHN COc1cccc([C@@H]2CN(C[C@H]3CCCCC3(C)C)CCO2)c1 ZINC001191560295 1048964810 /nfs/dbraw/zinc/96/48/10/1048964810.db2.gz ZGFKCPBQQMAOEU-MJGOQNOKSA-N 0 3 317.473 4.285 20 0 DIADHN Cc1ccc(CNCC2=Cc3ccccc3OC2)c(OC(C)C)c1 ZINC001178000170 1048972864 /nfs/dbraw/zinc/97/28/64/1048972864.db2.gz ZWULBOVOTGADBG-UHFFFAOYSA-N 0 3 323.436 4.348 20 0 DIADHN CC(C)CCC1CCN(C[C@@H](O)c2ccc(F)cc2F)CC1 ZINC001191611175 1048973392 /nfs/dbraw/zinc/97/33/92/1048973392.db2.gz YAJJSFSTUAYSHZ-GOSISDBHSA-N 0 3 311.416 4.146 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCCCC2(C)C)c1Br ZINC001178060629 1048980940 /nfs/dbraw/zinc/98/09/40/1048980940.db2.gz VMDCFEDNWWCRCR-CYBMUJFWSA-N 0 3 311.267 4.211 20 0 DIADHN CCCCCN(C/C=C(\C)C(=O)OC)Cc1ccc(Cl)cc1 ZINC001191774036 1048989420 /nfs/dbraw/zinc/98/94/20/1048989420.db2.gz QTDQOGWWVLRHIA-RVDMUPIBSA-N 0 3 323.864 4.452 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H](NCc2nc3c(s2)COCC3)CC1 ZINC001203781506 1048995644 /nfs/dbraw/zinc/99/56/44/1048995644.db2.gz AUKMWJMFBXFLKY-UONOGXRCSA-N 0 3 322.518 4.300 20 0 DIADHN C[C@@H]1OC2(CCN(Cc3cccc(F)c3)CC2)c2ccccc21 ZINC001203788526 1048997652 /nfs/dbraw/zinc/99/76/52/1048997652.db2.gz NLFPHDHNZAHZBW-HNNXBMFYSA-N 0 3 311.400 4.408 20 0 DIADHN c1cc(-c2noc(CN[C@H]3CCCC[C@H]3c3ccccc3)n2)co1 ZINC000484634442 1049000526 /nfs/dbraw/zinc/00/05/26/1049000526.db2.gz CATXXTAOXPARBN-IRXDYDNUSA-N 0 3 323.396 4.146 20 0 DIADHN CC(C)c1cccc(CN(C)[C@@H](C)CNC(=O)OC(C)(C)C)c1 ZINC001191852601 1049004739 /nfs/dbraw/zinc/00/47/39/1049004739.db2.gz AHONPDGSIIMZAS-HNNXBMFYSA-N 0 3 320.477 4.155 20 0 DIADHN COc1ccc(Oc2cccc(CN3CCC(F)CC3)c2)cc1 ZINC001203813010 1049006486 /nfs/dbraw/zinc/00/64/86/1049006486.db2.gz IGZCPTSWTHDGKC-UHFFFAOYSA-N 0 3 315.388 4.421 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC001203812705 1049006669 /nfs/dbraw/zinc/00/66/69/1049006669.db2.gz CHXGLYMPYLYILE-HXUWFJFHSA-N 0 3 311.425 4.478 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cc(C)cc(C)n2)C1(CC)CC ZINC001191821881 1049007502 /nfs/dbraw/zinc/00/75/02/1049007502.db2.gz RGJOPUXGVPIRPL-ROUUACIJSA-N 0 3 304.478 4.114 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(OC(C)C)cc2)cn1 ZINC000194981578 1049008524 /nfs/dbraw/zinc/00/85/24/1049008524.db2.gz GVVXABSDHMDJIJ-LSDHHAIUSA-N 0 3 301.434 4.102 20 0 DIADHN O[C@@H]1CCc2ccccc2[C@@H]1NCc1csc2ccccc12 ZINC001203819514 1049008784 /nfs/dbraw/zinc/00/87/84/1049008784.db2.gz GEYGVKQMOMGONN-MJGOQNOKSA-N 0 3 309.434 4.039 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cccc(OC)c1F ZINC001178268193 1049009042 /nfs/dbraw/zinc/00/90/42/1049009042.db2.gz YKIXCDIWMUQGBA-HNNXBMFYSA-N 0 3 319.445 4.495 20 0 DIADHN CCC1(CC)[C@H](NCc2nc3ccc(F)cc3s2)C[C@@H]1OC ZINC001178284790 1049010802 /nfs/dbraw/zinc/01/08/02/1049010802.db2.gz BJTNNDACLSJTOF-CABCVRRESA-N 0 3 322.449 4.119 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCCCOC(C)C ZINC001178291517 1049010833 /nfs/dbraw/zinc/01/08/33/1049010833.db2.gz YFTAUKSRBSCLGI-UHFFFAOYSA-N 0 3 308.447 4.006 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@H]1CCCCS1 ZINC001178290244 1049011228 /nfs/dbraw/zinc/01/12/28/1049011228.db2.gz ALOSGBLQBWBJQI-SNVBAGLBSA-N 0 3 321.820 4.317 20 0 DIADHN Cc1ccc(CNCCn2c3ccccc3c3ccccc32)o1 ZINC001178284822 1049012729 /nfs/dbraw/zinc/01/27/29/1049012729.db2.gz CCIJHTDRQGHTFH-UHFFFAOYSA-N 0 3 304.393 4.486 20 0 DIADHN CC[C@H](NCc1cc(OC)c(OC)cc1C)c1ccc(F)cc1 ZINC001178286125 1049012757 /nfs/dbraw/zinc/01/27/57/1049012757.db2.gz XFJHTSOGYXLBPE-KRWDZBQOSA-N 0 3 317.404 4.392 20 0 DIADHN CC(C)n1cc(CN[C@H]2CCC[C@@H](c3ccccc3)CC2)nn1 ZINC001203847565 1049014120 /nfs/dbraw/zinc/01/41/20/1049014120.db2.gz FERDOFAVFSVWGV-MSOLQXFVSA-N 0 3 312.461 4.065 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(OC)c(OC)c1 ZINC001203842249 1049014255 /nfs/dbraw/zinc/01/42/55/1049014255.db2.gz JCLMFRBLBZSOGK-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)n1cc(CN[C@H]2CCC[C@H](c3ccccc3)CC2)nn1 ZINC001203847569 1049014663 /nfs/dbraw/zinc/01/46/63/1049014663.db2.gz FERDOFAVFSVWGV-ROUUACIJSA-N 0 3 312.461 4.065 20 0 DIADHN CCCCn1cc(CNCc2ccc(CC(C)C)cc2)c(C)n1 ZINC001178330769 1049015298 /nfs/dbraw/zinc/01/52/98/1049015298.db2.gz IRSABPPPMAEROC-UHFFFAOYSA-N 0 3 313.489 4.480 20 0 DIADHN COc1cc(CN2CCC[C@@H]2c2ccc(C)cc2)cc(OC)c1 ZINC001203859327 1049015498 /nfs/dbraw/zinc/01/54/98/1049015498.db2.gz LSVLPYOQPVHXCE-HXUWFJFHSA-N 0 3 311.425 4.349 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cc(OC)cc(OC)c1 ZINC001203857746 1049015750 /nfs/dbraw/zinc/01/57/50/1049015750.db2.gz MLSYSNZVVBUUPO-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)NCc2ccc(C)cc2F)cc1 ZINC001178331830 1049018006 /nfs/dbraw/zinc/01/80/06/1049018006.db2.gz XOKFNTHJVABXNC-IFXJQAMLSA-N 0 3 317.404 4.009 20 0 DIADHN O[C@H]1CCCC[C@H]1CCNCc1cc(Cl)ccc1Cl ZINC001203871747 1049018593 /nfs/dbraw/zinc/01/85/93/1049018593.db2.gz JNCONDXTUJHVKU-NHYWBVRUSA-N 0 3 302.245 4.024 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cccc2c1OCCCO2 ZINC001178316849 1049019226 /nfs/dbraw/zinc/01/92/26/1049019226.db2.gz BRPZQYYNSNGSMN-MGPUTAFESA-N 0 3 323.436 4.261 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2csc(C3CC3)n2)CCO1 ZINC001178352331 1049019560 /nfs/dbraw/zinc/01/95/60/1049019560.db2.gz ZMLPXRNBUHWYIK-GDBMZVCRSA-N 0 3 308.491 4.094 20 0 DIADHN COc1ccc2oc(CN[C@H](C)c3ccc4c(c3)CCO4)cc2c1 ZINC001203871393 1049019804 /nfs/dbraw/zinc/01/98/04/1049019804.db2.gz HBPQMFVBMDMVKT-CYBMUJFWSA-N 0 3 323.392 4.227 20 0 DIADHN C[C@@H](NCc1ccc(OCC2CC2)cc1)c1ccc2c(c1)CCO2 ZINC001203873120 1049021378 /nfs/dbraw/zinc/02/13/78/1049021378.db2.gz XWMXCJBYKMVGAQ-OAHLLOKOSA-N 0 3 323.436 4.261 20 0 DIADHN CCCc1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@H]2C)cc1 ZINC000776803748 1049025673 /nfs/dbraw/zinc/02/56/73/1049025673.db2.gz UUUPPSWLDGPQRN-DYESRHJHSA-N 0 3 323.484 4.357 20 0 DIADHN CCCc1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@@H]2C)cc1 ZINC000776803749 1049027437 /nfs/dbraw/zinc/02/74/37/1049027437.db2.gz UUUPPSWLDGPQRN-LAUBAEHRSA-N 0 3 323.484 4.357 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NC/C=C/c1cccc(F)c1)CCC2 ZINC001178430286 1049029317 /nfs/dbraw/zinc/02/93/17/1049029317.db2.gz DLCXEMHARSQARS-FZMUQLTDSA-N 0 3 313.420 4.284 20 0 DIADHN C[C@H](NCc1ccc2c(c1)COC2)c1ccc2ncsc2c1 ZINC000776808891 1049029907 /nfs/dbraw/zinc/02/99/07/1049029907.db2.gz PLAJGIYKPRNNIO-LBPRGKRZSA-N 0 3 310.422 4.177 20 0 DIADHN C[C@@H](NCc1c[nH]nc1-c1ccc(F)cc1)c1ccc(F)cc1 ZINC001203915935 1049030338 /nfs/dbraw/zinc/03/03/38/1049030338.db2.gz DTMHBIFNAABNHK-GFCCVEGCSA-N 0 3 313.351 4.206 20 0 DIADHN Clc1ccc(CN2CCC(COc3cccnc3)CC2)cc1 ZINC001203919065 1049031863 /nfs/dbraw/zinc/03/18/63/1049031863.db2.gz QJRNGMGZRCXNJC-UHFFFAOYSA-N 0 3 316.832 4.026 20 0 DIADHN Cc1occc1CNC[C@@H](O)c1ccc(-c2ccccc2)cc1 ZINC001178425924 1049036770 /nfs/dbraw/zinc/03/67/70/1049036770.db2.gz MLNZPHIMWOUHMN-HXUWFJFHSA-N 0 3 307.393 4.078 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@@H]4CCCO[C@@H]4C3)cc2)cc1 ZINC001203941037 1049036881 /nfs/dbraw/zinc/03/68/81/1049036881.db2.gz FVVYBYDWIBWLJR-GHTZIAJQSA-N 0 3 323.436 4.480 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@@H]4CCO[C@H]4C3)cc2)cc1 ZINC001203942232 1049039507 /nfs/dbraw/zinc/03/95/07/1049039507.db2.gz VBPKUUSDOPWWBR-XLIONFOSSA-N 0 3 309.409 4.090 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCOc3ccc(F)cc32)cc1 ZINC001178493224 1049039437 /nfs/dbraw/zinc/03/94/37/1049039437.db2.gz UFYAXLQEIFJNJU-IMLGJKEDSA-N 0 3 301.336 4.091 20 0 DIADHN FC(F)(F)CCN(CC[C@H]1CCCCO1)Cc1ccccc1 ZINC000484803205 1049039781 /nfs/dbraw/zinc/03/97/81/1049039781.db2.gz FHIYSKNPPVWIMF-MRXNPFEDSA-N 0 3 315.379 4.400 20 0 DIADHN Fc1ccc(Cl)cc1CNC[C@@H]1CCC2(CCCCC2)O1 ZINC001178493398 1049039836 /nfs/dbraw/zinc/03/98/36/1049039836.db2.gz YCAPERCFSRJVMA-HNNXBMFYSA-N 0 3 311.828 4.451 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC(C)(C)CSC ZINC001203954929 1049043141 /nfs/dbraw/zinc/04/31/41/1049043141.db2.gz YDRPAIOQJLLPBV-UHFFFAOYSA-N 0 3 308.274 4.233 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001192173219 1049047371 /nfs/dbraw/zinc/04/73/71/1049047371.db2.gz HGGWFDAJUYWUTH-RHSMWYFYSA-N 0 3 320.502 4.248 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cccc2c1OC(C)(C)C2 ZINC001178509436 1049047711 /nfs/dbraw/zinc/04/77/11/1049047711.db2.gz JLBJYPBNHQQQPD-CQSZACIVSA-N 0 3 315.379 4.467 20 0 DIADHN CSCc1cccc(CNCc2ccc3scnc3c2)c1 ZINC001178519144 1049050464 /nfs/dbraw/zinc/05/04/64/1049050464.db2.gz LPZFGDJPNCQAOI-UHFFFAOYSA-N 0 3 314.479 4.449 20 0 DIADHN CCOc1cccc(F)c1CN[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001203987408 1049052013 /nfs/dbraw/zinc/05/20/13/1049052013.db2.gz SFQSAOVZMFIIOS-OAHLLOKOSA-N 0 3 319.807 4.135 20 0 DIADHN COc1ccc(CN(C)Cc2cccnc2C)c2ccccc12 ZINC001203996925 1049055678 /nfs/dbraw/zinc/05/56/78/1049055678.db2.gz JYGJXVVPUQYCOV-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cc(Br)cn1C ZINC001192243441 1049060138 /nfs/dbraw/zinc/06/01/38/1049060138.db2.gz JXARJNFFIYVHFE-INIZCTEOSA-N 0 3 321.262 4.371 20 0 DIADHN CC[C@@H](CSC)NCc1csc(-c2ccc(F)cc2)n1 ZINC000163864252 1049062880 /nfs/dbraw/zinc/06/28/80/1049062880.db2.gz PUTOBIRCCHLZES-ZDUSSCGKSA-N 0 3 310.463 4.180 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC001178575684 1049064075 /nfs/dbraw/zinc/06/40/75/1049064075.db2.gz BQUCVCWBCYCKFD-KOMQPUFPSA-N 0 3 317.379 4.399 20 0 DIADHN c1cc(CN2CCC[C@]3(C[C@H](c4ccccc4)CO3)C2)cs1 ZINC001204036169 1049069887 /nfs/dbraw/zinc/06/98/87/1049069887.db2.gz OUIPCOJHGOBKMX-OALUTQOASA-N 0 3 313.466 4.287 20 0 DIADHN CN(Cc1ccsc1)[C@H]1CCCC[C@H]1NC(=O)OC(C)(C)C ZINC001204042976 1049070437 /nfs/dbraw/zinc/07/04/37/1049070437.db2.gz RACOTUQZPWXDQW-CABCVRRESA-N 0 3 324.490 4.016 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2cccc3c2CCC3)c2ccccc21 ZINC001204047279 1049070929 /nfs/dbraw/zinc/07/09/29/1049070929.db2.gz BSLGLESKLYDRHR-OPAMFIHVSA-N 0 3 321.464 4.050 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2ccsc2)C1 ZINC001204042985 1049072061 /nfs/dbraw/zinc/07/20/61/1049072061.db2.gz RBHGQEZCVGUNEZ-CQSZACIVSA-N 0 3 305.468 4.123 20 0 DIADHN c1[nH]c2ccccc2c1CN1CCC2(CC1)OCc1ccccc12 ZINC001204043442 1049072300 /nfs/dbraw/zinc/07/23/00/1049072300.db2.gz URCSLTJJEYAXRT-UHFFFAOYSA-N 0 3 318.420 4.189 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2CC[C@@H]2C)cc1Cl ZINC001178668318 1049072722 /nfs/dbraw/zinc/07/27/22/1049072722.db2.gz UOSGRSYEBCRUHY-ISVAXAHUSA-N 0 3 302.643 4.299 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Cl)c3ccccc23)[C@@H](C)CN1C ZINC001192314925 1049076112 /nfs/dbraw/zinc/07/61/12/1049076112.db2.gz MARCKTCEPBDONM-KGLIPLIRSA-N 0 3 302.849 4.018 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2C[C@H]2Cc2ccccc2)c(F)c1 ZINC001204063487 1049077008 /nfs/dbraw/zinc/07/70/08/1049077008.db2.gz HFPXNZYIMDUABR-HZPDHXFCSA-N 0 3 301.380 4.242 20 0 DIADHN Fc1ccc2[nH]cc(CCCNCc3c(F)cccc3F)c2c1 ZINC001178709252 1049079186 /nfs/dbraw/zinc/07/91/86/1049079186.db2.gz DUYRVHHTXCFOGS-UHFFFAOYSA-N 0 3 318.342 4.308 20 0 DIADHN Oc1ccc(Br)c(CNC[C@@H]2C[C@@H]2C2CCCC2)c1 ZINC001204089375 1049080768 /nfs/dbraw/zinc/08/07/68/1049080768.db2.gz MLKAUBCOLRZETK-SWLSCSKDSA-N 0 3 324.262 4.071 20 0 DIADHN CC[C@H](C)[C@H](CNCc1ccc(F)c(Cl)c1Cl)OC ZINC001178766546 1049084990 /nfs/dbraw/zinc/08/49/90/1049084990.db2.gz RJXNDMXKAGWNDC-CABZTGNLSA-N 0 3 308.224 4.283 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CCOC1CCCCC1 ZINC001192304870 1049085184 /nfs/dbraw/zinc/08/51/84/1049085184.db2.gz VIQYKKBENOMTFV-HUUCEWRRSA-N 0 3 305.437 4.483 20 0 DIADHN CO[C@](C)(CNCc1cc(Cl)ccc1F)c1ccccc1 ZINC001178762279 1049085979 /nfs/dbraw/zinc/08/59/79/1049085979.db2.gz ICZXUJGCMZKMLR-QGZVFWFLSA-N 0 3 307.796 4.131 20 0 DIADHN [O-]c1ccc(Nc2cccc(C[NH+]3CCCCC3)c2)c(F)c1F ZINC001204109296 1049086170 /nfs/dbraw/zinc/08/61/70/1049086170.db2.gz DVJGBEPRVZRGEY-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CC(=O)c1cccc(Nc2cccc(CN3CCCCC3)c2)c1O ZINC001204119074 1049087747 /nfs/dbraw/zinc/08/77/47/1049087747.db2.gz UXIOYKATPLOBEI-UHFFFAOYSA-N 0 3 324.424 4.324 20 0 DIADHN CC(C)(C)C(C)(C)CNCc1c(F)cncc1Br ZINC001178794653 1049089008 /nfs/dbraw/zinc/08/90/08/1049089008.db2.gz BCLRFHVKUKANIE-UHFFFAOYSA-N 0 3 317.246 4.145 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)C2(C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000776883412 1049093679 /nfs/dbraw/zinc/09/36/79/1049093679.db2.gz XLKXMJZQINSCBB-WCQYABFASA-N 0 3 318.852 4.068 20 0 DIADHN CSc1ccc(CN2CC[C@@H](Oc3cccc(F)c3)C2)cc1 ZINC001204144972 1049096537 /nfs/dbraw/zinc/09/65/37/1049096537.db2.gz DJOPZYXPGRDKIE-QGZVFWFLSA-N 0 3 317.429 4.201 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1COc2c(C)cccc2C1 ZINC001178850732 1049098800 /nfs/dbraw/zinc/09/88/00/1049098800.db2.gz CTSZHTQBNCEDCK-MRXNPFEDSA-N 0 3 315.844 4.304 20 0 DIADHN CC(C)c1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1 ZINC001204167196 1049103005 /nfs/dbraw/zinc/10/30/05/1049103005.db2.gz HHCDQYSMUODHGG-UHFFFAOYSA-N 0 3 309.400 4.200 20 0 DIADHN CCCc1ccc(CNCc2cccc(NC(=O)OC)c2)s1 ZINC000856787429 1049105742 /nfs/dbraw/zinc/10/57/42/1049105742.db2.gz BTZFTXBQAARNRY-UHFFFAOYSA-N 0 3 318.442 4.169 20 0 DIADHN CC[C@H](Cc1nc(-c2ccc(CN(C)C)cc2)no1)C(C)C ZINC000904621105 1049106457 /nfs/dbraw/zinc/10/64/57/1049106457.db2.gz NXLKXRDEFGIHOQ-OAHLLOKOSA-N 0 3 301.434 4.023 20 0 DIADHN CC[C@@H](CC(C)C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622298 1049107721 /nfs/dbraw/zinc/10/77/21/1049107721.db2.gz GSGUGRVCVVFHRA-HNNXBMFYSA-N 0 3 301.434 4.338 20 0 DIADHN CCOc1cc(CN(C)C/C=C/c2ccccc2)ccc1OC ZINC001204191076 1049107916 /nfs/dbraw/zinc/10/79/16/1049107916.db2.gz ZDNKSAQTYXUCGL-DHZHZOJOSA-N 0 3 311.425 4.239 20 0 DIADHN CCOc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)ccc1OC ZINC001204189808 1049107935 /nfs/dbraw/zinc/10/79/35/1049107935.db2.gz DNCZTUOFNCIFQF-MRXNPFEDSA-N 0 3 321.436 4.198 20 0 DIADHN CC1=CC[C@](C)(c2nc(-c3ccc(CN(C)C)cc3)no2)CC1 ZINC000904624700 1049108005 /nfs/dbraw/zinc/10/80/05/1049108005.db2.gz JLQUJAVVAGGTSO-IBGZPJMESA-N 0 3 311.429 4.186 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3CC4CCC3CC4)n2)cc1 ZINC000904623791 1049108488 /nfs/dbraw/zinc/10/84/88/1049108488.db2.gz OYWNUYUJVHNCTP-PGEKIEPBSA-N 0 3 311.429 4.092 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(CCCC(F)(F)F)CC1 ZINC001204211650 1049111003 /nfs/dbraw/zinc/11/10/03/1049111003.db2.gz CXBXKEJCEPQPOE-MRXNPFEDSA-N 0 3 314.395 4.098 20 0 DIADHN Cc1cc(CNCc2ccc(Cl)c3cccnc23)cc(C)n1 ZINC001178976996 1049111199 /nfs/dbraw/zinc/11/11/99/1049111199.db2.gz FCFWNSKBKWZOAW-UHFFFAOYSA-N 0 3 311.816 4.190 20 0 DIADHN C=Cn1cc(CN[C@](C)(Cc2ccccc2)c2ccccc2)cn1 ZINC001178950306 1049112965 /nfs/dbraw/zinc/11/29/65/1049112965.db2.gz MHPSZQCDDFPNEM-OAQYLSRUSA-N 0 3 317.436 4.231 20 0 DIADHN CCSc1ccc([C@H](C)NC[C@](O)(CC)C(F)(F)F)cc1 ZINC001192556862 1049114260 /nfs/dbraw/zinc/11/42/60/1049114260.db2.gz HKMQICIXGLJYNI-SMDDNHRTSA-N 0 3 321.408 4.153 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904625786 1049115491 /nfs/dbraw/zinc/11/54/91/1049115491.db2.gz QPOAYJJEFGWXKM-APWZRJJASA-N 0 3 313.445 4.266 20 0 DIADHN CCC[C@H](NCCC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC001192575898 1049118150 /nfs/dbraw/zinc/11/81/50/1049118150.db2.gz XSMSSFFPCXNECC-HNNXBMFYSA-N 0 3 313.388 4.127 20 0 DIADHN CCCN(Cc1ccc(OC)cc1F)[C@@H]1C[C@@H](OCC)C1(C)C ZINC001204235751 1049119487 /nfs/dbraw/zinc/11/94/87/1049119487.db2.gz CTXYVGBIWWMXTG-QZTJIDSGSA-N 0 3 323.452 4.250 20 0 DIADHN CSc1ccc(C(=O)NCCP(C(C)C)C(C)C)s1 ZINC001192650973 1049123605 /nfs/dbraw/zinc/12/36/05/1049123605.db2.gz OWOYZZRQGITMFG-UHFFFAOYSA-N 0 3 317.460 4.499 20 0 DIADHN Cc1noc2ncc(CN3CCc4ccccc4[C@H]3C(C)C)cc12 ZINC001204261736 1049123906 /nfs/dbraw/zinc/12/39/06/1049123906.db2.gz OFPCIIOBJVEIHN-LJQANCHMSA-N 0 3 321.424 4.287 20 0 DIADHN CCSCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC001192625727 1049124765 /nfs/dbraw/zinc/12/47/65/1049124765.db2.gz GAFXARUJGKGUTH-VIFPVBQESA-N 0 3 309.809 4.345 20 0 DIADHN C[C@H]1CCN(Cc2ccc3nccnc3c2)[C@H](c2ccco2)C1 ZINC001204269879 1049125048 /nfs/dbraw/zinc/12/50/48/1049125048.db2.gz FMSMVVQYGVEGTE-KSSFIOAISA-N 0 3 307.397 4.196 20 0 DIADHN CC(C)Cc1ccc(CNC2(c3ccc4c(c3)OCO4)CC2)cc1 ZINC001192635213 1049125015 /nfs/dbraw/zinc/12/50/15/1049125015.db2.gz LPYDJMDYUXHNQP-UHFFFAOYSA-N 0 3 323.436 4.393 20 0 DIADHN CCCn1nccc1CN1CCC[C@@]2(CCCc3ccccc32)C1 ZINC001204278313 1049126562 /nfs/dbraw/zinc/12/65/62/1049126562.db2.gz ALHUVGWUEKHBON-NRFANRHFSA-N 0 3 323.484 4.163 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541908 1049128655 /nfs/dbraw/zinc/12/86/55/1049128655.db2.gz FZCKHVBAKLLNMT-ZWKOTPCHSA-N 0 3 323.358 4.124 20 0 DIADHN Cn1ccc(CN2Cc3ccccc3C[C@@H]2c2ccccc2)c1 ZINC001204289414 1049130035 /nfs/dbraw/zinc/13/00/35/1049130035.db2.gz ZFUVRLUAWDHJON-OAQYLSRUSA-N 0 3 302.421 4.325 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775542880 1049130087 /nfs/dbraw/zinc/13/00/87/1049130087.db2.gz PKEBGYNLXLUUSX-ZWKOTPCHSA-N 0 3 323.358 4.268 20 0 DIADHN COC(=O)/C(C)=C\CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC001192689991 1049130137 /nfs/dbraw/zinc/13/01/37/1049130137.db2.gz NUAQFYQSWPGVMO-RCBBPTIPSA-N 0 3 309.837 4.136 20 0 DIADHN Fc1cccc(C2(NCCSc3ccc(F)cc3F)CC2)c1 ZINC001192689754 1049130176 /nfs/dbraw/zinc/13/01/76/1049130176.db2.gz KOXUJKYSGLEIPV-UHFFFAOYSA-N 0 3 323.383 4.475 20 0 DIADHN CC(C)(C)[C@H](NC[C@@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775542676 1049130205 /nfs/dbraw/zinc/13/02/05/1049130205.db2.gz NTGDZPBAKNHPII-QZTJIDSGSA-N 0 3 319.395 4.375 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541560 1049130230 /nfs/dbraw/zinc/13/02/30/1049130230.db2.gz BBLPSVHSVHBNNI-ZWKOTPCHSA-N 0 3 323.358 4.268 20 0 DIADHN COC[C@H](NC/C(C)=C\c1ccccc1)c1cccc(OC)c1 ZINC001179096496 1049130339 /nfs/dbraw/zinc/13/03/39/1049130339.db2.gz ZCXPEHQBLMENCK-WNJRYGEDSA-N 0 3 311.425 4.076 20 0 DIADHN COc1ccc(CN(C)Cc2c(C)[nH]c3ccccc32)cc1OC ZINC001204289698 1049130738 /nfs/dbraw/zinc/13/07/38/1049130738.db2.gz SVRRUOVOXMAWRE-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN CC[C@@H](NCCOc1cccc(OC)c1)c1ccc(F)cc1F ZINC001192689638 1049131118 /nfs/dbraw/zinc/13/11/18/1049131118.db2.gz KHHJJMHXAOORLM-GOSISDBHSA-N 0 3 321.367 4.093 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@H]1CC2(CO1)CCCCC2 ZINC001192663046 1049132543 /nfs/dbraw/zinc/13/25/43/1049132543.db2.gz SRDOSTZVOSCBBE-GDBMZVCRSA-N 0 3 321.436 4.224 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(Cl)c(F)c2)cc1 ZINC001192730436 1049132856 /nfs/dbraw/zinc/13/28/56/1049132856.db2.gz IBWAODACSXKWLS-LBPRGKRZSA-N 0 3 320.795 4.077 20 0 DIADHN COc1cc(Cl)c(CNC[C@H]2CCC[C@H](C)O2)cc1Cl ZINC001179178419 1049134542 /nfs/dbraw/zinc/13/45/42/1049134542.db2.gz WBYLZOXJBXSMLZ-CMPLNLGQSA-N 0 3 318.244 4.049 20 0 DIADHN COc1cc(Cl)c(CNC[C@@H]2CCC[C@@H](C)O2)cc1Cl ZINC001179178421 1049134587 /nfs/dbraw/zinc/13/45/87/1049134587.db2.gz WBYLZOXJBXSMLZ-PWSUYJOCSA-N 0 3 318.244 4.049 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2OCC2CC2)CC1(F)F ZINC001179178521 1049134632 /nfs/dbraw/zinc/13/46/32/1049134632.db2.gz YKXGWNLJYDIDJO-OAHLLOKOSA-N 0 3 315.791 4.264 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(Cc2cccc3c2OCC3)C1 ZINC001204305263 1049136017 /nfs/dbraw/zinc/13/60/17/1049136017.db2.gz AQFBZSMHSUZUCJ-IFXJQAMLSA-N 0 3 311.400 4.344 20 0 DIADHN C[C@H]1COCCCN1Cc1ccc(Sc2ccccc2)cc1 ZINC001204309095 1049138502 /nfs/dbraw/zinc/13/85/02/1049138502.db2.gz XXEYXYCJIBXSLO-INIZCTEOSA-N 0 3 313.466 4.449 20 0 DIADHN CC[C@](O)(CN[C@@H](c1ccccc1Cl)C(C)C)C(F)(F)F ZINC001192831749 1049139712 /nfs/dbraw/zinc/13/97/12/1049139712.db2.gz DYVHWRCGTYANSX-KGLIPLIRSA-N 0 3 323.786 4.330 20 0 DIADHN CSc1ccc(OCCN[C@@H](C)c2ccc(F)cc2F)cc1 ZINC001192825321 1049139258 /nfs/dbraw/zinc/13/92/58/1049139258.db2.gz YNVDMLGWAWLMHR-LBPRGKRZSA-N 0 3 323.408 4.416 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@H](OC(C)C)C2)cc1 ZINC001204315234 1049140697 /nfs/dbraw/zinc/14/06/97/1049140697.db2.gz ISWHFDIWLIQGCY-IBGZPJMESA-N 0 3 305.462 4.255 20 0 DIADHN Cc1cccn2c(CNCc3ccccc3CC(C)C)cnc12 ZINC001179222276 1049142976 /nfs/dbraw/zinc/14/29/76/1049142976.db2.gz GAMHIVNCPWDMKB-UHFFFAOYSA-N 0 3 307.441 4.131 20 0 DIADHN CCCCN(CC)[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000484992167 1049143277 /nfs/dbraw/zinc/14/32/77/1049143277.db2.gz KPENVDRYNKDCJL-CYBMUJFWSA-N 0 3 305.397 4.367 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN(C)Cc1cnc[nH]1 ZINC000668422098 1049143913 /nfs/dbraw/zinc/14/39/13/1049143913.db2.gz PXNZZWIUWQEVKT-UHFFFAOYSA-N 0 3 305.834 4.218 20 0 DIADHN Fc1ccc2c(c1)OC[C@@H](NCc1ccc(-c3ccccc3)o1)C2 ZINC001179194433 1049144142 /nfs/dbraw/zinc/14/41/42/1049144142.db2.gz UNWUXAAKZHUCBL-KRWDZBQOSA-N 0 3 323.367 4.179 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cnn(C3CCC3)c2)C1(CC)CC ZINC001204334348 1049145022 /nfs/dbraw/zinc/14/50/22/1049145022.db2.gz JGALDPAJTVZUBM-ROUUACIJSA-N 0 3 319.493 4.024 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc(C)nc3c2CCCC3)n1 ZINC001204347664 1049146832 /nfs/dbraw/zinc/14/68/32/1049146832.db2.gz KEDBQMCMJMQVNP-OAQYLSRUSA-N 0 3 321.468 4.309 20 0 DIADHN C[C@@]1(CN2CCc3cc(-c4ccccc4)oc3C2)CCCCO1 ZINC001204358598 1049148899 /nfs/dbraw/zinc/14/88/99/1049148899.db2.gz LVDVFELFGGMOSG-FQEVSTJZSA-N 0 3 311.425 4.264 20 0 DIADHN CC[C@H]1CN(Cc2ccnn2CC2CC2)CC[C@@H]1c1ccccc1 ZINC001204358188 1049149259 /nfs/dbraw/zinc/14/92/59/1049149259.db2.gz JKPZVDOESHUMED-RXVVDRJESA-N 0 3 323.484 4.309 20 0 DIADHN CC[C@@H]1CN(Cc2ccnn2CC2CC2)CC[C@@H]1c1ccccc1 ZINC001204358186 1049149411 /nfs/dbraw/zinc/14/94/11/1049149411.db2.gz JKPZVDOESHUMED-NQIIRXRSSA-N 0 3 323.484 4.309 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cnn(C3CCC3)c2)C1(C)C ZINC001204359416 1049149702 /nfs/dbraw/zinc/14/97/02/1049149702.db2.gz POPLUZPIWMJCGD-ROUUACIJSA-N 0 3 319.493 4.024 20 0 DIADHN CC(C)(C)[C@@H]1CCN([C@H]2CCc3cc(Cl)ccc32)C[C@H]1O ZINC001192945550 1049150826 /nfs/dbraw/zinc/15/08/26/1049150826.db2.gz CPKYMALKDJZCEN-IXDOHACOSA-N 0 3 307.865 4.056 20 0 DIADHN CCCCCCOc1ccc(CN2CC[C@H](C(C)=O)C2)cc1 ZINC001204367000 1049151453 /nfs/dbraw/zinc/15/14/53/1049151453.db2.gz UCOFWKYTHSKMHH-SFHVURJKSA-N 0 3 303.446 4.057 20 0 DIADHN CCCCCCOc1ccc(CN2CCC[C@]3(CCO3)C2)cc1 ZINC001204367039 1049151665 /nfs/dbraw/zinc/15/16/65/1049151665.db2.gz VAWKOZDDOBOFCI-FQEVSTJZSA-N 0 3 317.473 4.401 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCC[C@@H]2F)cc1OCC ZINC001179304781 1049152376 /nfs/dbraw/zinc/15/23/76/1049152376.db2.gz TTWNLEVUKXSWEW-JKSUJKDBSA-N 0 3 309.425 4.244 20 0 DIADHN CC(C)OCCNCc1cc(Cl)ccc1OC1CCCC1 ZINC001179277910 1049152710 /nfs/dbraw/zinc/15/27/10/1049152710.db2.gz PIQIBEGJTLTETJ-UHFFFAOYSA-N 0 3 311.853 4.176 20 0 DIADHN COc1cc(CN2CC[C@@H](C(C)C)C2)cc(C(F)(F)F)c1 ZINC001204389288 1049155903 /nfs/dbraw/zinc/15/59/03/1049155903.db2.gz LILWXPHHKZKKEV-CYBMUJFWSA-N 0 3 301.352 4.192 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc(OC(F)F)cc1 ZINC001204423195 1049159509 /nfs/dbraw/zinc/15/95/09/1049159509.db2.gz OWYGPDYOLHMWJS-UHFFFAOYSA-N 0 3 309.331 4.449 20 0 DIADHN CC[C@H](C[C@@H](C)O)NCc1c(Cl)cccc1SC(C)C ZINC001179401535 1049159720 /nfs/dbraw/zinc/15/97/20/1049159720.db2.gz GYWOLHXGMVCNNN-CHWSQXEVSA-N 0 3 315.910 4.480 20 0 DIADHN CC1(C)CN(Cc2ccc(OC(F)(F)F)cc2)CCC1(F)F ZINC001204414292 1049159776 /nfs/dbraw/zinc/15/97/76/1049159776.db2.gz BNOJNACISQZGFR-UHFFFAOYSA-N 0 3 323.305 4.452 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2cccc(OC(F)(F)F)c2)C1 ZINC001204433200 1049162011 /nfs/dbraw/zinc/16/20/11/1049162011.db2.gz YVQZQXKISDILHM-LLVKDONJSA-N 0 3 309.278 4.062 20 0 DIADHN Cc1ccc(-c2ncc(CNCc3cccc4[nH]ccc43)s2)o1 ZINC001179429455 1049162570 /nfs/dbraw/zinc/16/25/70/1049162570.db2.gz ARCSPMOEXJLLCB-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN Cc1ccc(NC(=O)CN(CC(C)(C)C)CC(C)(C)C)cc1F ZINC001192977394 1049164507 /nfs/dbraw/zinc/16/45/07/1049164507.db2.gz WTPZCAIXFQNRON-UHFFFAOYSA-N 0 3 322.468 4.467 20 0 DIADHN Cc1ccc(N2CC[C@H](N[C@@H](C)c3cc(C)ccc3C)C2=O)cc1 ZINC001193012393 1049165867 /nfs/dbraw/zinc/16/58/67/1049165867.db2.gz QLCRBWMDENTCKG-PXNSSMCTSA-N 0 3 322.452 4.068 20 0 DIADHN CC(C)c1ccccc1CN1CCN(C)C[C@H]1c1ccccc1 ZINC001193021019 1049167878 /nfs/dbraw/zinc/16/78/78/1049167878.db2.gz GENJKFLCXTXQAC-NRFANRHFSA-N 0 3 308.469 4.299 20 0 DIADHN Fc1cccc(Br)c1CNCC1(CC2CC2)CC1 ZINC001179464005 1049168749 /nfs/dbraw/zinc/16/87/49/1049168749.db2.gz MOQITNPPSBFNMO-UHFFFAOYSA-N 0 3 312.226 4.258 20 0 DIADHN CC1(C)C[C@@]1(C)CNCc1cc(Br)cnc1Cl ZINC001179468558 1049168995 /nfs/dbraw/zinc/16/89/95/1049168995.db2.gz HEFDOQSKAPZOAG-ZDUSSCGKSA-N 0 3 317.658 4.023 20 0 DIADHN COc1ccccc1/C=C\CNCc1cc(C2CC2)ccc1OC ZINC001179468381 1049169214 /nfs/dbraw/zinc/16/92/14/1049169214.db2.gz AFFDXWLRFUEREJ-ALCCZGGFSA-N 0 3 323.436 4.384 20 0 DIADHN COCC[C@H]1CCCCN1Cc1ccc(C(F)(F)F)cc1F ZINC001204470640 1049170102 /nfs/dbraw/zinc/17/01/02/1049170102.db2.gz ANGOUKGGMGELCI-CQSZACIVSA-N 0 3 319.342 4.236 20 0 DIADHN CN(Cc1cc(C(F)(F)F)ccc1F)CC1CC(F)(F)C1 ZINC001204473893 1049170740 /nfs/dbraw/zinc/17/07/40/1049170740.db2.gz FHXXBSBZPCOKOD-UHFFFAOYSA-N 0 3 311.269 4.322 20 0 DIADHN CC[C@H](NCc1cccc(Cl)c1F)[C@H](O)c1ccccc1 ZINC001179524912 1049172282 /nfs/dbraw/zinc/17/22/82/1049172282.db2.gz DFRRLBFIJFFMIN-DOTOQJQBSA-N 0 3 307.796 4.081 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1ccc(F)cc1C(F)(F)F ZINC001204483625 1049172784 /nfs/dbraw/zinc/17/27/84/1049172784.db2.gz UZJJGCOUZNXDJS-CQSZACIVSA-N 0 3 319.342 4.234 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cn(C(C)(C)C)nc2C)c(C)s1 ZINC001193071295 1049173206 /nfs/dbraw/zinc/17/32/06/1049173206.db2.gz WRIQRMFATBAMMZ-LBPRGKRZSA-N 0 3 305.491 4.476 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1C[C@H](C(=O)OC(C)(C)C)C1 ZINC001179502509 1049175932 /nfs/dbraw/zinc/17/59/32/1049175932.db2.gz QXYAFQLNRYEZEZ-HDJSIYSDSA-N 0 3 323.864 4.112 20 0 DIADHN c1ccc(CO[C@@H]2CCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC001204504666 1049175900 /nfs/dbraw/zinc/17/59/00/1049175900.db2.gz UEEJICXKXCFZQW-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2ccc3ccccc3n2)C1 ZINC001204503036 1049176929 /nfs/dbraw/zinc/17/69/29/1049176929.db2.gz ARRXVUPDZXEURY-LJQANCHMSA-N 0 3 318.420 4.197 20 0 DIADHN CNc1ccccc1CN1CCC(Oc2cc(C)ccc2C)CC1 ZINC001204514726 1049179096 /nfs/dbraw/zinc/17/90/96/1049179096.db2.gz ASDBQKOTTMJMSB-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cnccc1N(C)C ZINC001179541575 1049179469 /nfs/dbraw/zinc/17/94/69/1049179469.db2.gz OPTJIJCJWPSERC-UHFFFAOYSA-N 0 3 319.452 4.153 20 0 DIADHN COc1ccc(CNCc2cc3ccncc3s2)cc1Cl ZINC001179538089 1049179815 /nfs/dbraw/zinc/17/98/15/1049179815.db2.gz XUYZOLMAUXNGNL-UHFFFAOYSA-N 0 3 318.829 4.248 20 0 DIADHN C[C@H]1CC[C@H](NCc2oc3ccccc3c2Br)C1 ZINC001179598495 1049182182 /nfs/dbraw/zinc/18/21/82/1049182182.db2.gz PGUUDNBHTLHVIN-QWRGUYRKSA-N 0 3 308.219 4.474 20 0 DIADHN Cc1cc(C)cc(CN2CC3(CC(OCc4ccccc4)C3)C2)c1 ZINC001204525256 1049182455 /nfs/dbraw/zinc/18/24/55/1049182455.db2.gz VKPURJZGDVMDGR-UHFFFAOYSA-N 0 3 321.464 4.485 20 0 DIADHN COc1cccc(CCCN(C)Cc2cc(F)c(F)c(F)c2)c1 ZINC001204529601 1049183475 /nfs/dbraw/zinc/18/34/75/1049183475.db2.gz JYTZCVHZIVSFEL-UHFFFAOYSA-N 0 3 323.358 4.177 20 0 DIADHN CC[C@H](CC(F)F)CN1CCO[C@@H](CCc2ccccc2)C1 ZINC001193198593 1049186573 /nfs/dbraw/zinc/18/65/73/1049186573.db2.gz RHEZRQZTRPHZBZ-WBVHZDCISA-N 0 3 311.416 4.001 20 0 DIADHN Fc1cncc(F)c1CNC1(Cc2ccccc2)CCCC1 ZINC001193224759 1049188232 /nfs/dbraw/zinc/18/82/32/1049188232.db2.gz OARRQAOYJWATKA-UHFFFAOYSA-N 0 3 302.368 4.005 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)CC1(C)C ZINC001193210755 1049188746 /nfs/dbraw/zinc/18/87/46/1049188746.db2.gz OXVHAUWCLPAGGW-ABAIWWIYSA-N 0 3 316.272 4.395 20 0 DIADHN COc1ccccc1C[C@H](NCc1ncccc1Cl)C(C)C ZINC001193247866 1049190457 /nfs/dbraw/zinc/19/04/57/1049190457.db2.gz AOLRBBJRDSMECS-INIZCTEOSA-N 0 3 318.848 4.101 20 0 DIADHN CCOc1cncc(CN[C@@H]2CCc3cccc4cccc2c43)c1 ZINC001179636765 1049190907 /nfs/dbraw/zinc/19/09/07/1049190907.db2.gz LLJQLQSSALEIKH-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN CC(C)N(Cc1ccco1)C[C@H](O)c1ccc(F)cc1Cl ZINC000775586802 1049191536 /nfs/dbraw/zinc/19/15/36/1049191536.db2.gz OIXLVBYSCKYLQO-INIZCTEOSA-N 0 3 311.784 4.016 20 0 DIADHN CC(C)N(Cc1ccco1)C[C@@H](O)c1ccc(F)cc1Cl ZINC000775586804 1049192518 /nfs/dbraw/zinc/19/25/18/1049192518.db2.gz OIXLVBYSCKYLQO-MRXNPFEDSA-N 0 3 311.784 4.016 20 0 DIADHN Cc1ccc(CN[C@@]2(C)CCOc3ccccc32)cc1Cl ZINC001179662491 1049193543 /nfs/dbraw/zinc/19/35/43/1049193543.db2.gz MTKAYSAIENVBAP-SFHVURJKSA-N 0 3 301.817 4.436 20 0 DIADHN CSc1ccc(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)o1 ZINC001179670500 1049195904 /nfs/dbraw/zinc/19/59/04/1049195904.db2.gz UAEOQDKREWAKOG-UMSPYCQHSA-N 0 3 309.381 4.316 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@H]2C(C)=CCC[C@H]2C)c1C ZINC001204601009 1049196516 /nfs/dbraw/zinc/19/65/16/1049196516.db2.gz AQCLWUAPCBPRLY-AEFFLSMTSA-N 0 3 314.473 4.166 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC(C)(C)[C@H](C)O ZINC001179729893 1049199810 /nfs/dbraw/zinc/19/98/10/1049199810.db2.gz PIDMXARWCNCNQZ-LBPRGKRZSA-N 0 3 315.910 4.337 20 0 DIADHN CCOc1cc(C[N@@H+]2CCCC(C)(C)CC2)cc(Cl)c1[O-] ZINC001204616241 1049199880 /nfs/dbraw/zinc/19/98/80/1049199880.db2.gz RBTJDFMWTWVWGQ-UHFFFAOYSA-N 0 3 311.853 4.456 20 0 DIADHN CCOc1cc(C[N@H+]2CCCC(C)(C)CC2)cc(Cl)c1[O-] ZINC001204616241 1049199884 /nfs/dbraw/zinc/19/98/84/1049199884.db2.gz RBTJDFMWTWVWGQ-UHFFFAOYSA-N 0 3 311.853 4.456 20 0 DIADHN COC(=O)[C@@H](C)N(CC1CCC(C(C)(C)C)CC1)C1CCC1 ZINC001193373158 1049202947 /nfs/dbraw/zinc/20/29/47/1049202947.db2.gz HGSLIYJNEMNYPR-QQFBHYJXSA-N 0 3 309.494 4.255 20 0 DIADHN CC1CCC(N(C)Cc2nc3ccc(Cl)cc3n2C)CC1 ZINC001204625076 1049204908 /nfs/dbraw/zinc/20/49/08/1049204908.db2.gz LULBCFGWYFKETN-UHFFFAOYSA-N 0 3 305.853 4.237 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H]1CCCc2cn[nH]c21 ZINC000857865655 1049205306 /nfs/dbraw/zinc/20/53/06/1049205306.db2.gz PPSXSGNGBXKEFW-HUUCEWRRSA-N 0 3 323.362 4.379 20 0 DIADHN Cc1cccc(OC[C@H](C)N[C@H]2CCc3ccc(Cl)nc32)c1 ZINC000857901568 1049206781 /nfs/dbraw/zinc/20/67/81/1049206781.db2.gz LZPUOHYCQMHCCS-BBRMVZONSA-N 0 3 316.832 4.088 20 0 DIADHN COC(=O)c1cc(CN2CCC(C(C)(C)C)CC2)c(C)s1 ZINC001193437692 1049206722 /nfs/dbraw/zinc/20/67/22/1049206722.db2.gz JKSFWKJODYFYHN-UHFFFAOYSA-N 0 3 309.475 4.101 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2ncccc2Br)C1 ZINC001179793052 1049207369 /nfs/dbraw/zinc/20/73/69/1049207369.db2.gz FGTKPGQJISQQJY-QWHCGFSZSA-N 0 3 311.267 4.149 20 0 DIADHN CC[C@@H]1CCC[C@H](CNCc2ncccc2Br)C1 ZINC001179793203 1049207449 /nfs/dbraw/zinc/20/74/49/1049207449.db2.gz HESZRLXOMHULCQ-OLZOCXBDSA-N 0 3 311.267 4.150 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857907042 1049208874 /nfs/dbraw/zinc/20/88/74/1049208874.db2.gz KYSIZSQEPZMYGM-BBRMVZONSA-N 0 3 316.832 4.088 20 0 DIADHN Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C[C@H]1C[C@@H]1C ZINC001204640727 1049209049 /nfs/dbraw/zinc/20/90/49/1049209049.db2.gz SSEBWLYXOBWNKT-CFVMTHIKSA-N 0 3 309.885 4.294 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@H]1OCc2ccccc21 ZINC001179799953 1049209326 /nfs/dbraw/zinc/20/93/26/1049209326.db2.gz LNGZXZUJRJHCBR-CQSZACIVSA-N 0 3 313.344 4.128 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2ccccc2F)n1 ZINC001193412582 1049209471 /nfs/dbraw/zinc/20/94/71/1049209471.db2.gz FQRHZAVFMONBGE-YOEHRIQHSA-N 0 3 301.409 4.022 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@@H]1OCc2ccccc21 ZINC001179800445 1049209661 /nfs/dbraw/zinc/20/96/61/1049209661.db2.gz QXZMMFFGWBVJJN-FQEVSTJZSA-N 0 3 305.377 4.308 20 0 DIADHN Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1C[C@H]1C[C@H]1C ZINC001204640730 1049209912 /nfs/dbraw/zinc/20/99/12/1049209912.db2.gz SSEBWLYXOBWNKT-YUELXQCFSA-N 0 3 309.885 4.294 20 0 DIADHN Cc1csc2nc(C)c(CN(C)CCCc3ccccc3)n12 ZINC001204647844 1049210513 /nfs/dbraw/zinc/21/05/13/1049210513.db2.gz LTDQYRXGEUSTCL-UHFFFAOYSA-N 0 3 313.470 4.077 20 0 DIADHN CN(Cc1ccccc1N)C(c1ccccc1)c1ccccc1 ZINC001204646436 1049210946 /nfs/dbraw/zinc/21/09/46/1049210946.db2.gz SEBICZDSKKRRDE-UHFFFAOYSA-N 0 3 302.421 4.490 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)cc1C ZINC001179829945 1049211124 /nfs/dbraw/zinc/21/11/24/1049211124.db2.gz IVOXSNTYOLUTCE-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN C[C@@H]1CN(CCC2CCCCCC2)CCN1C(=O)OC(C)(C)C ZINC001193421841 1049212385 /nfs/dbraw/zinc/21/23/85/1049212385.db2.gz HYEOKKJJRXWKCJ-MRXNPFEDSA-N 0 3 324.509 4.288 20 0 DIADHN Cc1ccccc1[C@@H]1CN(C[C@@H]2CCc3ccccc3C2)CCO1 ZINC001204648265 1049212495 /nfs/dbraw/zinc/21/24/95/1049212495.db2.gz PQJDCTSFNSMWLB-GCJKJVERSA-N 0 3 321.464 4.173 20 0 DIADHN C(=C/c1ccccc1)\CN1CC[C@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858015349 1049214973 /nfs/dbraw/zinc/21/49/73/1049214973.db2.gz UDLRDRHVHNEJGV-PDGUBNMISA-N 0 3 320.436 4.126 20 0 DIADHN CCOc1cc(F)cc(CN2CCCC[C@H](SCC)C2)c1 ZINC001179892513 1049219020 /nfs/dbraw/zinc/21/90/20/1049219020.db2.gz JWGGCISYADAIOO-KRWDZBQOSA-N 0 3 311.466 4.332 20 0 DIADHN CCCC[C@@H](NCc1ccnc(F)c1)c1ccc(OC)cc1 ZINC000858124505 1049219300 /nfs/dbraw/zinc/21/93/00/1049219300.db2.gz WTLPFFLNNHCGMQ-QGZVFWFLSA-N 0 3 302.393 4.250 20 0 DIADHN Cc1cccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001204674148 1049220296 /nfs/dbraw/zinc/22/02/96/1049220296.db2.gz UYFKBWGSTOJZKC-IEBWSBKVSA-N 0 3 310.441 4.132 20 0 DIADHN CCCCOc1ccccc1CN1CCS[C@@H](C(C)C)C1 ZINC001204682436 1049224631 /nfs/dbraw/zinc/22/46/31/1049224631.db2.gz RUVQUJVOWNUURM-GOSISDBHSA-N 0 3 307.503 4.439 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001204686730 1049225865 /nfs/dbraw/zinc/22/58/65/1049225865.db2.gz IWCROFDLZIXZAN-HKUYNNGSSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2ccnc(Cl)c2Cl)nc1 ZINC000858241972 1049230451 /nfs/dbraw/zinc/23/04/51/1049230451.db2.gz LPDYIJVBBPPJSF-UHFFFAOYSA-N 0 3 324.255 4.159 20 0 DIADHN Cc1ccc(C[C@H](C)[N@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001179935888 1049231553 /nfs/dbraw/zinc/23/15/53/1049231553.db2.gz DABJDWLZESDFEN-AWEZNQCLSA-N 0 3 303.833 4.417 20 0 DIADHN Cc1ccc(C[C@H](C)[N@@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001179935888 1049231557 /nfs/dbraw/zinc/23/15/57/1049231557.db2.gz DABJDWLZESDFEN-AWEZNQCLSA-N 0 3 303.833 4.417 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@H](C(C)(C)C)CC2)o1 ZINC001179931958 1049231892 /nfs/dbraw/zinc/23/18/92/1049231892.db2.gz WDMOZWHJRSXMHW-AWEZNQCLSA-N 0 3 307.434 4.105 20 0 DIADHN O=C(Nc1ccccc1CN1CCCC1)OC1CCCCC1 ZINC001193629416 1049233862 /nfs/dbraw/zinc/23/38/62/1049233862.db2.gz MHNNXMDIZHYYHN-UHFFFAOYSA-N 0 3 302.418 4.164 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2c(F)cccc2F)CC12CCCCC2 ZINC001193637236 1049234562 /nfs/dbraw/zinc/23/45/62/1049234562.db2.gz SKFDSEOUJJYVJD-RHSMWYFYSA-N 0 3 323.427 4.291 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)C2CC2)cc1OC1CC1 ZINC001179906257 1049237277 /nfs/dbraw/zinc/23/72/77/1049237277.db2.gz VXGDEKOXWWPVLO-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN CC(C)[C@@H]1c2ccccc2CCN1Cc1ccc2c(n1)CCC2 ZINC001179909459 1049238491 /nfs/dbraw/zinc/23/84/91/1049238491.db2.gz MMMRZOBHWZXWCF-OAQYLSRUSA-N 0 3 306.453 4.326 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)c1cnc(C)s1 ZINC000631230305 1049239745 /nfs/dbraw/zinc/23/97/45/1049239745.db2.gz ZXXNBKXKMNGPNO-KADHNRKRSA-N 0 3 316.470 4.352 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)[C@@H]1C ZINC001193605782 1049239487 /nfs/dbraw/zinc/23/94/87/1049239487.db2.gz KLSHBNSSTCNEEE-HZSPNIEDSA-N 0 3 308.853 4.096 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204717191 1049240560 /nfs/dbraw/zinc/24/05/60/1049240560.db2.gz BISBXQXROZUSTJ-AWEZNQCLSA-N 0 3 303.789 4.011 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC[C@@]3(CCCO3)C2)o1 ZINC001204726307 1049242608 /nfs/dbraw/zinc/24/26/08/1049242608.db2.gz XUTOTCKOTZVIHN-SFHVURJKSA-N 0 3 317.816 4.355 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCc4ccc(Cl)cc43)n2c1 ZINC000858321002 1049242999 /nfs/dbraw/zinc/24/29/99/1049242999.db2.gz PNRGIBWNNCLIAH-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cnn(C2CCC2)c1 ZINC001179989149 1049246553 /nfs/dbraw/zinc/24/65/53/1049246553.db2.gz LOWLFBPNWQEMAF-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN COc1cccc2cc([C@H](C)NCCOCCC(C)C)oc21 ZINC000195712459 1049247805 /nfs/dbraw/zinc/24/78/05/1049247805.db2.gz JOUYYBVQOBQSBM-AWEZNQCLSA-N 0 3 305.418 4.155 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCc3ccccc3[C@H]2CO)cc1C ZINC001179956963 1049248919 /nfs/dbraw/zinc/24/89/19/1049248919.db2.gz IZICAYQHITZCPH-IOOHMRRSSA-N 0 3 321.464 4.217 20 0 DIADHN Cc1cc(CN2CCC(C(F)F)CC2)ccc1C(F)(F)F ZINC001179957810 1049249010 /nfs/dbraw/zinc/24/90/10/1049249010.db2.gz RVTPGATXUGNIBP-UHFFFAOYSA-N 0 3 307.306 4.491 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H]2CCCN(c3ccc(C)cc3)C2)n1 ZINC000858366522 1049248880 /nfs/dbraw/zinc/24/88/80/1049248880.db2.gz GGLLSHUDXMALDH-HZPDHXFCSA-N 0 3 315.486 4.080 20 0 DIADHN CCC[C@@H](O)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC001193703648 1049251716 /nfs/dbraw/zinc/25/17/16/1049251716.db2.gz KRPVROGNBPPEAY-CVEARBPZSA-N 0 3 315.379 4.393 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001179963287 1049253439 /nfs/dbraw/zinc/25/34/39/1049253439.db2.gz YNXZYHMYAMDHMW-BXUZGUMPSA-N 0 3 321.408 4.402 20 0 DIADHN CCOC(=O)C[C@@H]1CCCN1Cc1c(Cl)oc2ccccc21 ZINC001193714375 1049253836 /nfs/dbraw/zinc/25/38/36/1049253836.db2.gz NQBIMOGUYZNGTP-LBPRGKRZSA-N 0 3 321.804 4.004 20 0 DIADHN CSCCCCCCC(=O)Nc1cccc(CN(C)C)c1 ZINC000858429569 1049256043 /nfs/dbraw/zinc/25/60/43/1049256043.db2.gz NVQZYPROYWSWCZ-UHFFFAOYSA-N 0 3 308.491 4.000 20 0 DIADHN ClC1(Cl)C[C@@H]1CCCN[C@H]1CCCc2scnc21 ZINC000858429084 1049256455 /nfs/dbraw/zinc/25/64/55/1049256455.db2.gz BNQIQZNJNVECNL-UWVGGRQHSA-N 0 3 305.274 4.084 20 0 DIADHN c1cc(-c2ccccc2)sc1CN[C@@H]1CCCc2n[nH]cc21 ZINC000858445434 1049260650 /nfs/dbraw/zinc/26/06/50/1049260650.db2.gz JMPAAKPEBQFABX-MRXNPFEDSA-N 0 3 309.438 4.305 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CNc1ccnc(CO)c1 ZINC000858486370 1049263739 /nfs/dbraw/zinc/26/37/39/1049263739.db2.gz OEXRSQYJIPMZFP-UHFFFAOYSA-N 0 3 322.408 4.126 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@@H](C(C)(C)C)C2)c1 ZINC001193808595 1049268518 /nfs/dbraw/zinc/26/85/18/1049268518.db2.gz XKHFMANZVSVPSH-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN C[C@H](NCc1cn(C(F)F)c2ccccc12)c1cncs1 ZINC000858536556 1049269747 /nfs/dbraw/zinc/26/97/47/1049269747.db2.gz MRCKHHLLZVJCNC-JTQLQIEISA-N 0 3 307.369 4.344 20 0 DIADHN FC(F)(F)c1cscc1CN1CCc2ccccc2CC1 ZINC001204809144 1049272403 /nfs/dbraw/zinc/27/24/03/1049272403.db2.gz XRHRUFNYHUXAAG-UHFFFAOYSA-N 0 3 311.372 4.368 20 0 DIADHN C[C@@H](NC[C@@H](O)Cc1ccccc1)c1ccc(Cl)cc1Cl ZINC001193859306 1049274181 /nfs/dbraw/zinc/27/41/81/1049274181.db2.gz JPLPYMGYYBUQCF-DOMZBBRYSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](NCC1CC2(CCC2)C1)c1nc(C(F)(F)F)cs1 ZINC001193859992 1049277045 /nfs/dbraw/zinc/27/70/45/1049277045.db2.gz PLICRLPSWVITNN-SECBINFHSA-N 0 3 304.381 4.393 20 0 DIADHN CCSc1cccc(CN(C)Cc2cccc(N(C)C)c2)c1 ZINC001180137383 1049279659 /nfs/dbraw/zinc/27/96/59/1049279659.db2.gz XYKVUAJHWFSBTC-UHFFFAOYSA-N 0 3 314.498 4.497 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cc2ccccc2n1C ZINC001180136684 1049280662 /nfs/dbraw/zinc/28/06/62/1049280662.db2.gz JRZKAFURUWLMKT-FQEVSTJZSA-N 0 3 307.441 4.244 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc2c(s1)CCCC2 ZINC001180097687 1049284552 /nfs/dbraw/zinc/28/45/52/1049284552.db2.gz FWXVYNLFALAXPW-INIZCTEOSA-N 0 3 314.498 4.475 20 0 DIADHN Fc1ccccc1C=C1CCN(Cc2cccc3n[nH]cc32)CC1 ZINC001180107167 1049285000 /nfs/dbraw/zinc/28/50/00/1049285000.db2.gz DQSOWHXNIQZFGF-UHFFFAOYSA-N 0 3 321.399 4.381 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1CCc1ccc(Cl)cc1 ZINC001193962307 1049285916 /nfs/dbraw/zinc/28/59/16/1049285916.db2.gz FRESPSRXTGZULR-SFHVURJKSA-N 0 3 323.864 4.080 20 0 DIADHN C[C@@H]1CC[C@H](C)N1Cc1ccnc2c(Br)cccc12 ZINC001180108685 1049288328 /nfs/dbraw/zinc/28/83/28/1049288328.db2.gz VXYQKLJKVLSPNB-TXEJJXNPSA-N 0 3 319.246 4.370 20 0 DIADHN CNc1ccccc1CN(C)Cc1cccc(OC(F)(F)F)c1 ZINC001180115655 1049289571 /nfs/dbraw/zinc/28/95/71/1049289571.db2.gz BGNROUDNZKAPSL-UHFFFAOYSA-N 0 3 324.346 4.259 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2cccc3c2NCC3)CC1 ZINC001204869600 1049290843 /nfs/dbraw/zinc/29/08/43/1049290843.db2.gz FOZDIXQULZJOJM-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(CC(C)C)nc2)cc1 ZINC001180116269 1049292887 /nfs/dbraw/zinc/29/28/87/1049292887.db2.gz HYJRPFLDXPFTII-HXUWFJFHSA-N 0 3 324.468 4.106 20 0 DIADHN COc1cccc(OC)c1CN1CCC(CCC(C)C)CC1 ZINC001180117116 1049293205 /nfs/dbraw/zinc/29/32/05/1049293205.db2.gz RNPJOEJJLHGOPI-UHFFFAOYSA-N 0 3 305.462 4.352 20 0 DIADHN CNc1ccc(C)cc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC001180175966 1049294565 /nfs/dbraw/zinc/29/45/65/1049294565.db2.gz ZUDPOHMJDZNQNU-HXUWFJFHSA-N 0 3 324.468 4.218 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1-c1nccc(N)c1C ZINC001204877030 1049294484 /nfs/dbraw/zinc/29/44/84/1049294484.db2.gz ZJTUHKYLBGGLAV-UHFFFAOYSA-N 0 3 320.392 4.227 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3cccnc3)C2)ccc1C(F)(F)F ZINC001204880067 1049296147 /nfs/dbraw/zinc/29/61/47/1049296147.db2.gz VMFQUDIJQUSNQZ-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1cccc(F)c1CN(CCC[C@H]1CCO1)C1CCCC1 ZINC001180184483 1049297601 /nfs/dbraw/zinc/29/76/01/1049297601.db2.gz QCFIJBINNCGRAU-HNNXBMFYSA-N 0 3 309.400 4.279 20 0 DIADHN C[C@@H](CCSc1ccccc1)N1CCO[C@H](C(C)(C)C)C1 ZINC001194021071 1049302832 /nfs/dbraw/zinc/30/28/32/1049302832.db2.gz WOQSECIAFJUFEK-RDJZCZTQSA-N 0 3 307.503 4.304 20 0 DIADHN C[C@H](CCSc1ccccc1)N1CCO[C@H](C(C)(C)C)C1 ZINC001194021072 1049303075 /nfs/dbraw/zinc/30/30/75/1049303075.db2.gz WOQSECIAFJUFEK-WBVHZDCISA-N 0 3 307.503 4.304 20 0 DIADHN CC[C@@H]1CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)C[C@@H](C)O1 ZINC001204899542 1049303557 /nfs/dbraw/zinc/30/35/57/1049303557.db2.gz RHVKLTBZCQKMTL-XJKCOSOUSA-N 0 3 315.379 4.308 20 0 DIADHN COc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)c(F)c1 ZINC001204907479 1049305776 /nfs/dbraw/zinc/30/57/76/1049305776.db2.gz NOKZZBOCTVQBTG-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN CN(CC(=O)Nc1ccc(C(C)(C)C)cc1)[C@H]1CCC(C)(C)C1 ZINC001194042204 1049306972 /nfs/dbraw/zinc/30/69/72/1049306972.db2.gz OFFCTPHHYLMPNU-KRWDZBQOSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1ccc(-c2noc([C@H]3NC[C@H]4[C@@H]3C4(C)C)n2)c2ccccc12 ZINC001204909514 1049308553 /nfs/dbraw/zinc/30/85/53/1049308553.db2.gz RPYSMJWPXUSGOA-ULQDDVLXSA-N 0 3 319.408 4.115 20 0 DIADHN CCCC1CCC(N(C)Cc2cc(C(F)(F)F)nn2C)CC1 ZINC001194051475 1049309214 /nfs/dbraw/zinc/30/92/14/1049309214.db2.gz DFDGMQDOADEURX-UHFFFAOYSA-N 0 3 317.399 4.230 20 0 DIADHN CCSc1cccc(CN2CCN(C)c3ccccc3C2)c1 ZINC001180212470 1049310632 /nfs/dbraw/zinc/31/06/32/1049310632.db2.gz AUGWGOLQOKTVTM-UHFFFAOYSA-N 0 3 312.482 4.251 20 0 DIADHN COc1ccc(CN(C)CCc2ccc(Cl)cc2)c(F)c1 ZINC001204927999 1049311879 /nfs/dbraw/zinc/31/18/79/1049311879.db2.gz DKJFHLPGAYAFRU-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN CC(C)COc1ccccc1CN1CCc2ccccc2CC1 ZINC001180229321 1049313187 /nfs/dbraw/zinc/31/31/87/1049313187.db2.gz KWODQCXVVRTAGV-UHFFFAOYSA-N 0 3 309.453 4.322 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CCc2ccccc2CC1 ZINC001180229686 1049313993 /nfs/dbraw/zinc/31/39/93/1049313993.db2.gz QPCPTVXWOZDLFA-UHFFFAOYSA-N 0 3 321.342 4.028 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001180199423 1049315385 /nfs/dbraw/zinc/31/53/85/1049315385.db2.gz SZDJFIIZVNTLNO-FZMZJTMJSA-N 0 3 301.352 4.334 20 0 DIADHN C[C@H]1c2c(F)cccc2CCN1C[C@H]1CCN(c2ccccc2)C1 ZINC001180240464 1049318217 /nfs/dbraw/zinc/31/82/17/1049318217.db2.gz YOHFSZGRYOZAQO-DLBZAZTESA-N 0 3 324.443 4.271 20 0 DIADHN COC(=O)c1cc(CN(C)Cc2cc(C)cc(C)c2)ccc1C ZINC001194083483 1049318355 /nfs/dbraw/zinc/31/83/55/1049318355.db2.gz SFWTVUQPOYHLKV-UHFFFAOYSA-N 0 3 311.425 4.030 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc3ncsc3c2)c1 ZINC000485709352 1049319078 /nfs/dbraw/zinc/31/90/78/1049319078.db2.gz DHOACKRJEQCDNZ-ZDUSSCGKSA-N 0 3 312.438 4.294 20 0 DIADHN C[C@@H]1c2c(F)cccc2CCN1C[C@H]1CCN(c2ccccc2)C1 ZINC001180240465 1049320109 /nfs/dbraw/zinc/32/01/09/1049320109.db2.gz YOHFSZGRYOZAQO-IAGOWNOFSA-N 0 3 324.443 4.271 20 0 DIADHN CCc1ccc([C@@H]2CCCN(Cc3cc(OC)ccn3)C2)cc1 ZINC001194116700 1049320549 /nfs/dbraw/zinc/32/05/49/1049320549.db2.gz VFHFDQKCMDSJFP-GOSISDBHSA-N 0 3 310.441 4.032 20 0 DIADHN CO[C@@](C)(CN(C)[C@H](C)c1nc2ccccc2s1)C1CC1 ZINC001180249574 1049321002 /nfs/dbraw/zinc/32/10/02/1049321002.db2.gz RWMDUXCNEUBJPR-PXAZEXFGSA-N 0 3 304.459 4.104 20 0 DIADHN CCc1ccc([C@H]2CCCN(CCOCC(F)(F)F)C2)cc1 ZINC001194115988 1049321394 /nfs/dbraw/zinc/32/13/94/1049321394.db2.gz JMPZJVLBECLRRI-INIZCTEOSA-N 0 3 315.379 4.007 20 0 DIADHN CC[C@@H]1CCC[C@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000485697083 1049321853 /nfs/dbraw/zinc/32/18/53/1049321853.db2.gz OPXIJSUYAYMNPU-CABCVRRESA-N 0 3 308.466 4.296 20 0 DIADHN Cc1ccc(CN(C/C=C/c2c(C)cc(C)cc2C)CCO)cc1 ZINC001204952928 1049322777 /nfs/dbraw/zinc/32/27/77/1049322777.db2.gz OZDWZWOHYRWUNR-AATRIKPKSA-N 0 3 323.480 4.428 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3ncsc3c2)cc1F ZINC000485711300 1049323736 /nfs/dbraw/zinc/32/37/36/1049323736.db2.gz JGBORPMOIQBVRW-LLVKDONJSA-N 0 3 316.401 4.295 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](C)[C@H]3CCCC[C@H]32)c(C)n1 ZINC001180271140 1049323918 /nfs/dbraw/zinc/32/39/18/1049323918.db2.gz DSXSKQMICPMHDR-FDQGKXFDSA-N 0 3 302.462 4.108 20 0 DIADHN CC(C)(C)OCc1cc(CNCC2CCC(C)(C)CC2)no1 ZINC000485701607 1049324638 /nfs/dbraw/zinc/32/46/38/1049324638.db2.gz LCZZBTZUUOFXNF-UHFFFAOYSA-N 0 3 308.466 4.296 20 0 DIADHN CN(Cc1cc(F)cc(Cl)c1)[C@@H](CCO)c1ccccc1 ZINC000485725333 1049325413 /nfs/dbraw/zinc/32/54/13/1049325413.db2.gz CSICZEGWKKAKNU-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN Fc1cc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)ccn1 ZINC000859253706 1049326340 /nfs/dbraw/zinc/32/63/40/1049326340.db2.gz CJWXLOFLMNAJER-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN OCc1ccc(CN2CCC[C@H]2c2ccc(Cl)cc2)cc1 ZINC000485734195 1049331234 /nfs/dbraw/zinc/33/12/34/1049331234.db2.gz CJBOJSRVWWWUFE-SFHVURJKSA-N 0 3 301.817 4.169 20 0 DIADHN COc1ccc(F)c(CN(CCc2ccc(F)cc2)C2CC2)c1 ZINC001180296856 1049340309 /nfs/dbraw/zinc/34/03/09/1049340309.db2.gz NKPHVACLYSDQMX-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN CCC[C@H]1CN(Cc2cc(C)co2)CCN1Cc1ccccc1 ZINC001180322564 1049341371 /nfs/dbraw/zinc/34/13/71/1049341371.db2.gz JJJXXWBLNJPTCT-IBGZPJMESA-N 0 3 312.457 4.075 20 0 DIADHN COC(=O)c1cccc(CN([C@@H](C)c2ccccc2)C2CC2)c1 ZINC001194213761 1049342755 /nfs/dbraw/zinc/34/27/55/1049342755.db2.gz UJULPFPAZFDESR-HNNXBMFYSA-N 0 3 309.409 4.199 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NCCOC1CCC1)CC2 ZINC000777120567 1049347780 /nfs/dbraw/zinc/34/77/80/1049347780.db2.gz JGTUXGPVUKQLJP-CQSZACIVSA-N 0 3 300.229 4.139 20 0 DIADHN c1cc2cc(CNCc3ccc(-c4cnco4)cc3)ccc2[nH]1 ZINC000906485714 1049348269 /nfs/dbraw/zinc/34/82/69/1049348269.db2.gz LWLUSIZLQNOOHH-UHFFFAOYSA-N 0 3 303.365 4.113 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCCOC1CCC1)CCCO2 ZINC000777121110 1049349242 /nfs/dbraw/zinc/34/92/42/1049349242.db2.gz ZRNIJUFTXQQETE-INIZCTEOSA-N 0 3 309.837 4.021 20 0 DIADHN c1ncc(-c2ccc(CN[C@@H]3CCCNc4ccccc43)cc2)o1 ZINC000906525412 1049349593 /nfs/dbraw/zinc/34/95/93/1049349593.db2.gz NMTOFPWDGAISKD-LJQANCHMSA-N 0 3 319.408 4.378 20 0 DIADHN c1ncc(-c2ccc(CN[C@H]3CCCNc4ccccc43)cc2)o1 ZINC000906525411 1049349624 /nfs/dbraw/zinc/34/96/24/1049349624.db2.gz NMTOFPWDGAISKD-IBGZPJMESA-N 0 3 319.408 4.378 20 0 DIADHN CCn1nccc1CN1CCC(CCc2ccc(C)cc2)CC1 ZINC001180363923 1049351000 /nfs/dbraw/zinc/35/10/00/1049351000.db2.gz IZCCDSASZXULBB-UHFFFAOYSA-N 0 3 311.473 4.056 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c(C2CCCCC2)n1 ZINC001180402934 1049351401 /nfs/dbraw/zinc/35/14/01/1049351401.db2.gz ISVAOYLQROOLRX-SJLPKXTDSA-N 0 3 315.505 4.480 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1ccc(-c2cnco2)cc1 ZINC000906527579 1049352208 /nfs/dbraw/zinc/35/22/08/1049352208.db2.gz PRJVJNFCSCRCTK-LJQANCHMSA-N 0 3 320.392 4.127 20 0 DIADHN Cc1c(Br)cncc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001180403648 1049352856 /nfs/dbraw/zinc/35/28/56/1049352856.db2.gz MFPATKGBVVFWEH-ZIAGYGMSSA-N 0 3 323.278 4.165 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1ccc(-c2cnco2)cc1 ZINC000906527578 1049353411 /nfs/dbraw/zinc/35/34/11/1049353411.db2.gz PRJVJNFCSCRCTK-IBGZPJMESA-N 0 3 320.392 4.127 20 0 DIADHN COCCOCCN(CC(C)C)[C@H](C)c1ccccc1Cl ZINC001194301213 1049355286 /nfs/dbraw/zinc/35/52/86/1049355286.db2.gz YQRWQCGSSVEYEB-OAHLLOKOSA-N 0 3 313.869 4.022 20 0 DIADHN C[C@H]1CCCCCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000485881151 1049358302 /nfs/dbraw/zinc/35/83/02/1049358302.db2.gz LBJPXQJGRSOHQO-LBPRGKRZSA-N 0 3 306.356 4.384 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001194336245 1049364584 /nfs/dbraw/zinc/36/45/84/1049364584.db2.gz LVFCOLJWYFLXKH-QWHCGFSZSA-N 0 3 309.837 4.122 20 0 DIADHN C[C@H](NCCOc1cc(Cl)ccc1Cl)c1ccns1 ZINC000860051152 1049365217 /nfs/dbraw/zinc/36/52/17/1049365217.db2.gz FJNNHRVLVFWNGO-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN Fc1ccc2nc(CN3CC[C@@H]4CCCC[C@H]4C3)sc2c1 ZINC001205080918 1049365778 /nfs/dbraw/zinc/36/57/78/1049365778.db2.gz BFPJUGCJMLGOEP-STQMWFEESA-N 0 3 304.434 4.448 20 0 DIADHN C[C@@H](NCCn1ccc2cc(Cl)ccc21)c1ccns1 ZINC000860060995 1049366509 /nfs/dbraw/zinc/36/65/09/1049366509.db2.gz DSGFKSRWPNYLMT-LLVKDONJSA-N 0 3 305.834 4.102 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)CC2=CCCOC2)o1 ZINC000668568526 1049367734 /nfs/dbraw/zinc/36/77/34/1049367734.db2.gz IRKBWONZOJUCOT-UHFFFAOYSA-N 0 3 315.388 4.173 20 0 DIADHN Fc1c(CN2CC[C@@H]3CCCC[C@@H]3C2)cccc1OC1CC1 ZINC001205083149 1049369537 /nfs/dbraw/zinc/36/95/37/1049369537.db2.gz UURNMGBWKORNIT-LSDHHAIUSA-N 0 3 303.421 4.379 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2C(C)C)cc1OC ZINC001180531351 1049374294 /nfs/dbraw/zinc/37/42/94/1049374294.db2.gz HEYKJJDUXOWFFJ-UHFFFAOYSA-N 0 3 313.441 4.459 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(Cl)cc2Cl)C12CCC2 ZINC001194444441 1049378091 /nfs/dbraw/zinc/37/80/91/1049378091.db2.gz BKNVWDVFSCNWKR-LSDHHAIUSA-N 0 3 314.256 4.431 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2csc(-c3ccco3)n2)C1 ZINC000117394209 1049380780 /nfs/dbraw/zinc/38/07/80/1049380780.db2.gz VCKQZNCCJDCCSU-DGCLKSJQSA-N 0 3 308.472 4.167 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCN(CC(F)(F)F)CC2(C)C)C1 ZINC001180612685 1049383895 /nfs/dbraw/zinc/38/38/95/1049383895.db2.gz KQBFLLWMLVPRAB-HUUCEWRRSA-N 0 3 320.443 4.161 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OCCCc2ccccc2)C1 ZINC001205120074 1049383974 /nfs/dbraw/zinc/38/39/74/1049383974.db2.gz DBVXEEJHAFQRSN-QUCCMNQESA-N 0 3 324.468 4.256 20 0 DIADHN COC1(CN[C@H]2CCCc3cn(C(C)C)nc32)CCCCCC1 ZINC001180613728 1049384232 /nfs/dbraw/zinc/38/42/32/1049384232.db2.gz TWJNOHDZVLYREI-KRWDZBQOSA-N 0 3 319.493 4.170 20 0 DIADHN CSCCCCCCC(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000860448609 1049387380 /nfs/dbraw/zinc/38/73/80/1049387380.db2.gz VHILDOIWDCNKEW-UHFFFAOYSA-N 0 3 322.518 4.309 20 0 DIADHN Cl/C=C\CN1CC[C@@H](Cc2ccc(Br)cc2)C1 ZINC001194550732 1049389594 /nfs/dbraw/zinc/38/95/94/1049389594.db2.gz AKMBVKMJMCFOOI-GDTHVTPJSA-N 0 3 314.654 4.066 20 0 DIADHN Fc1cc(Br)cc(CN2CC[C@@H]3CCCC[C@@H]32)c1 ZINC000163083355 1049390993 /nfs/dbraw/zinc/39/09/93/1049390993.db2.gz AMOHYZMBFVVZJU-WFASDCNBSA-N 0 3 312.226 4.353 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nc(-c2ccccc2)no1 ZINC001180672389 1049392041 /nfs/dbraw/zinc/39/20/41/1049392041.db2.gz HGICHCJWFKDIBK-DOTOQJQBSA-N 0 3 313.445 4.431 20 0 DIADHN CN(CC(=O)Nc1cccc(-c2cccs2)c1)C1CCCC1 ZINC001180628903 1049392174 /nfs/dbraw/zinc/39/21/74/1049392174.db2.gz BUTPROCOFQZWTK-UHFFFAOYSA-N 0 3 314.454 4.228 20 0 DIADHN CC(C)OCCN[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000486136867 1049393745 /nfs/dbraw/zinc/39/37/45/1049393745.db2.gz MLHFSGNSOXGGBJ-GOSISDBHSA-N 0 3 303.833 4.444 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H](CCF)C2)c2ccccn21 ZINC000668591620 1049395386 /nfs/dbraw/zinc/39/53/86/1049395386.db2.gz CYGPQDLEARMXNY-HNNXBMFYSA-N 0 3 303.425 4.029 20 0 DIADHN Cc1cc(CN2C[C@@H](C)C[C@@H]2c2cccnc2)c2c(n1)CCCC2 ZINC001180665279 1049395903 /nfs/dbraw/zinc/39/59/03/1049395903.db2.gz LYCREDNTOJZBPW-YCRPNKLZSA-N 0 3 321.468 4.247 20 0 DIADHN Cc1ccc(CN2CCC(n3cnc4ccccc43)CC2)cc1F ZINC001205251110 1049416443 /nfs/dbraw/zinc/41/64/43/1049416443.db2.gz GXEQHGUGQRWCRP-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN CCCCN(Cc1cn(C(C)(C)C)nn1)[C@@H]1CCC[C@@H](C)C1 ZINC001194713664 1049420504 /nfs/dbraw/zinc/42/05/04/1049420504.db2.gz AJRCYIKDARZENF-NVXWUHKLSA-N 0 3 306.498 4.214 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)o1 ZINC001203297685 1049422717 /nfs/dbraw/zinc/42/27/17/1049422717.db2.gz TZJNTYFHYFTLGK-UHFFFAOYSA-N 0 3 311.425 4.345 20 0 DIADHN O=C(OC1CCC(F)(F)CC1)c1cccc(CN2CCCC2)c1 ZINC000860974289 1049426477 /nfs/dbraw/zinc/42/64/77/1049426477.db2.gz JLBWTTFYQRUHGY-UHFFFAOYSA-N 0 3 323.383 4.017 20 0 DIADHN CC[C@@H]1CCN(Cc2cccc(Br)c2OC)[C@H]1C ZINC001181041641 1049429378 /nfs/dbraw/zinc/42/93/78/1049429378.db2.gz AOSBVLCYCVGBOC-NWDGAFQWSA-N 0 3 312.251 4.078 20 0 DIADHN COc1cc(C)ccc1CN1CCC[C@]2(C1)OCc1ccccc12 ZINC001181056071 1049432001 /nfs/dbraw/zinc/43/20/01/1049432001.db2.gz OPABVEQWJKOPHP-OAQYLSRUSA-N 0 3 323.436 4.025 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC([C@@H]4CCCCO4)CC3)cc21 ZINC000668627367 1049433084 /nfs/dbraw/zinc/43/30/84/1049433084.db2.gz HQAYYSGLKABCEV-FQEVSTJZSA-N 0 3 312.457 4.257 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)s1 ZINC000668631196 1049434469 /nfs/dbraw/zinc/43/44/69/1049434469.db2.gz ONSCZPDWOMEJHC-NVXWUHKLSA-N 0 3 322.518 4.123 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC([C@@H]3CCCCO3)CC2)o1 ZINC000668629453 1049435448 /nfs/dbraw/zinc/43/54/48/1049435448.db2.gz VPKFXXDJTODLAJ-JLSDUUJJSA-N 0 3 303.446 4.184 20 0 DIADHN CCn1c(CNC2CC(c3ccc(F)cc3)C2)nc2ccccc21 ZINC001205320507 1049435941 /nfs/dbraw/zinc/43/59/41/1049435941.db2.gz HHLHVZJVJIEUDU-UHFFFAOYSA-N 0 3 323.415 4.231 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@@](C)(C(=O)OC(C)(C)C)C1 ZINC001194907650 1049445679 /nfs/dbraw/zinc/44/56/79/1049445679.db2.gz YMTOVPWWDKZPGF-FZKQIMNGSA-N 0 3 323.864 4.455 20 0 DIADHN CN(CC(=O)N1CCCCC12CCCCCC2)CC(C)(C)C ZINC001181139312 1049446357 /nfs/dbraw/zinc/44/63/57/1049446357.db2.gz AESZNHZHQREDTR-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3ccccc3[nH]2)cc1OC ZINC000775622398 1049456025 /nfs/dbraw/zinc/45/60/25/1049456025.db2.gz BZYLQUQCIJZCCW-CYBMUJFWSA-N 0 3 310.397 4.036 20 0 DIADHN CCCOc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1 ZINC000486706208 1049456929 /nfs/dbraw/zinc/45/69/29/1049456929.db2.gz KAFXYIJENDORAP-UHFFFAOYSA-N 0 3 312.388 4.386 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@H]2COc3cc(F)cc(F)c32)cc1 ZINC001205394013 1049458675 /nfs/dbraw/zinc/45/86/75/1049458675.db2.gz HFVBODAGIRVFMP-LRDDRELGSA-N 0 3 303.352 4.100 20 0 DIADHN CC[C@H](C)N(CC(=O)OC)CC1CCC2(CCCCC2)CC1 ZINC001181284535 1049459998 /nfs/dbraw/zinc/45/99/98/1049459998.db2.gz CSZDBQIAWWQBQO-INIZCTEOSA-N 0 3 309.494 4.401 20 0 DIADHN CC1(C)CC2(CC(NCc3cc(F)c(Cl)cc3F)C2)CO1 ZINC001181337804 1049462712 /nfs/dbraw/zinc/46/27/12/1049462712.db2.gz TYXCOWUYHFSBTQ-UHFFFAOYSA-N 0 3 315.791 4.056 20 0 DIADHN CCCCC[C@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000486729989 1049463106 /nfs/dbraw/zinc/46/31/06/1049463106.db2.gz MKZCMHHPAYSJCI-SFHVURJKSA-N 0 3 317.477 4.325 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@@H]3CCc4ccccc43)CCO2)cc1 ZINC001205411598 1049464348 /nfs/dbraw/zinc/46/43/48/1049464348.db2.gz IRAMAAXNFMGQTE-UNMCSNQZSA-N 0 3 321.464 4.352 20 0 DIADHN c1c(CN2CCCC3(CCCCC3)CC2)[nH]nc1-c1ccccn1 ZINC001205418796 1049465442 /nfs/dbraw/zinc/46/54/42/1049465442.db2.gz ZYNMGNSANGIKII-UHFFFAOYSA-N 0 3 324.472 4.408 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2cc3ccccc3[nH]2)ccc1OC ZINC000775630974 1049466517 /nfs/dbraw/zinc/46/65/17/1049466517.db2.gz DJNQSEZYUUADPB-AWEZNQCLSA-N 0 3 324.424 4.426 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)Cc1ccccc1C ZINC001181360301 1049466509 /nfs/dbraw/zinc/46/65/09/1049466509.db2.gz FKXZUWNMFBMNPE-GOSISDBHSA-N 0 3 303.833 4.468 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](c2ccc(C)o2)C2CCCC2)o1 ZINC000775629818 1049469049 /nfs/dbraw/zinc/46/90/49/1049469049.db2.gz ADQSCLSBPNXPMV-QAPCUYQASA-N 0 3 303.402 4.044 20 0 DIADHN FC(F)(F)c1ccc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)cn1 ZINC001205444245 1049472334 /nfs/dbraw/zinc/47/23/34/1049472334.db2.gz ULUKFPQJFMFMTO-OKILXGFUSA-N 0 3 318.342 4.187 20 0 DIADHN COc1c2ccccc2oc1CN[C@H]1CS[C@H](C(C)(C)C)C1 ZINC001205453714 1049474068 /nfs/dbraw/zinc/47/40/68/1049474068.db2.gz NPURGDUUYCBEKP-WBMJQRKESA-N 0 3 319.470 4.451 20 0 DIADHN CCCCOc1ccccc1CN1CCOCC2(CCCC2)C1 ZINC001205454142 1049474840 /nfs/dbraw/zinc/47/48/40/1049474840.db2.gz ZBGZCUFEROMXPD-UHFFFAOYSA-N 0 3 317.473 4.258 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000486811769 1049476568 /nfs/dbraw/zinc/47/65/68/1049476568.db2.gz MNKHNZIJNFJDCM-QGZVFWFLSA-N 0 3 302.462 4.287 20 0 DIADHN CCN(CCc1ccccn1)Cc1csc(C(F)(F)F)c1 ZINC000862231764 1049480508 /nfs/dbraw/zinc/48/05/08/1049480508.db2.gz LDHYCLLNAKJXOS-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN CCN1CCN(c2cccc(NCC(C(C)C)C(C)C)c2)CC1 ZINC001205477566 1049481328 /nfs/dbraw/zinc/48/13/28/1049481328.db2.gz CCGPQYIFTTZKCZ-UHFFFAOYSA-N 0 3 317.521 4.169 20 0 DIADHN Cc1c(F)nccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000862261745 1049482549 /nfs/dbraw/zinc/48/25/49/1049482549.db2.gz KJCZCIZWIMACFD-INIZCTEOSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@](Cc1ccccc1)(NCc1ccnc(F)c1)c1ccccc1 ZINC001195147693 1049483767 /nfs/dbraw/zinc/48/37/67/1049483767.db2.gz NONNHSNYHHGCOZ-OAQYLSRUSA-N 0 3 320.411 4.468 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)OC(F)(F)O3)cc1C ZINC001195146894 1049483865 /nfs/dbraw/zinc/48/38/65/1049483865.db2.gz HIXLJVCFZKRIGH-UHFFFAOYSA-N 0 3 319.351 4.257 20 0 DIADHN Cc1c(CN[C@@H]2c3cccc(F)c3CC[C@@H]2C)ccnc1F ZINC000862283640 1049484424 /nfs/dbraw/zinc/48/44/24/1049484424.db2.gz MNJVJSPOWUYDNA-GTNSWQLSSA-N 0 3 302.368 4.081 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccnc(F)c2C)cc1 ZINC000862277608 1049485207 /nfs/dbraw/zinc/48/52/07/1049485207.db2.gz HGCZUBYPGJWBDW-ZDUSSCGKSA-N 0 3 304.434 4.492 20 0 DIADHN Cc1c(CN[C@H]2c3cccc(F)c3CC[C@H]2C)ccnc1F ZINC000862283641 1049485217 /nfs/dbraw/zinc/48/52/17/1049485217.db2.gz MNJVJSPOWUYDNA-PIGZYNQJSA-N 0 3 302.368 4.081 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1c(C)nc2scc(C3CC3)n12 ZINC001181534608 1049487918 /nfs/dbraw/zinc/48/79/18/1049487918.db2.gz NOEJPYPBYQXHKH-ZDUSSCGKSA-N 0 3 323.531 4.155 20 0 DIADHN CSc1ccccc1[C@@H](C)NC1CC(C(=O)OC(C)(C)C)C1 ZINC001205508972 1049488120 /nfs/dbraw/zinc/48/81/20/1049488120.db2.gz AWMYVGBLICOVRO-IYXRBSQSSA-N 0 3 321.486 4.179 20 0 DIADHN COCCCC[C@H](NCc1ccnc(F)c1C)c1ccccc1 ZINC000862351756 1049488745 /nfs/dbraw/zinc/48/87/45/1049488745.db2.gz SZGNJYJDWOVMEF-SFHVURJKSA-N 0 3 316.420 4.177 20 0 DIADHN COc1cc(C)c(CN2CCC(c3ccsc3)CC2)c(C)n1 ZINC000486904370 1049491245 /nfs/dbraw/zinc/49/12/45/1049491245.db2.gz ASYNKQSGMOSZJD-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2F)no1)[C@H]1CCC[C@@H](C)C1 ZINC001195176739 1049492147 /nfs/dbraw/zinc/49/21/47/1049492147.db2.gz CREVLWKEJXYNLC-RDBSUJKOSA-N 0 3 317.408 4.180 20 0 DIADHN CSC1(CN[C@@H](C)c2ccc(C(F)(F)F)nc2Cl)CC1 ZINC000862379140 1049492330 /nfs/dbraw/zinc/49/23/30/1049492330.db2.gz HXJWOXJLQXJHEM-QMMMGPOBSA-N 0 3 324.799 4.300 20 0 DIADHN Cc1c(F)nccc1CN(C)[C@H](C)CSc1ccccc1 ZINC000862401270 1049492473 /nfs/dbraw/zinc/49/24/73/1049492473.db2.gz MKGUKWLTDMLJEA-CYBMUJFWSA-N 0 3 304.434 4.142 20 0 DIADHN C(=C\c1ccncc1)\CNCc1ccc(Oc2ccccc2)nc1 ZINC000486936701 1049497582 /nfs/dbraw/zinc/49/75/82/1049497582.db2.gz LGHDWNZOMALAFI-PLNGDYQASA-N 0 3 317.392 4.072 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)c1 ZINC001205563400 1049498769 /nfs/dbraw/zinc/49/87/69/1049498769.db2.gz OZBVXNDWOPNDQJ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCn1cnc(Cl)c1CN1C[C@H](c2ccc(C)cc2)C[C@H]1C ZINC001205567879 1049498900 /nfs/dbraw/zinc/49/89/00/1049498900.db2.gz FDQIKRWRVGKEGQ-GDBMZVCRSA-N 0 3 317.864 4.243 20 0 DIADHN Fc1c(CNCC2(C(F)(F)F)CCCC2)ccnc1Cl ZINC000862536036 1049500546 /nfs/dbraw/zinc/50/05/46/1049500546.db2.gz DULAURZDVNYCAZ-UHFFFAOYSA-N 0 3 310.722 4.086 20 0 DIADHN CC[C@@H](NCc1cccn1C(F)F)c1ccc(OC)c(OC)c1 ZINC001181655529 1049501319 /nfs/dbraw/zinc/50/13/19/1049501319.db2.gz KSNDQMRJLRXIOT-CQSZACIVSA-N 0 3 324.371 4.141 20 0 DIADHN CC1(C)CC[C@H](CN2CCC[C@H]2Cc2cc(F)ccc2F)OC1 ZINC001195258460 1049502115 /nfs/dbraw/zinc/50/21/15/1049502115.db2.gz OTFXEYNMHNPMOA-DLBZAZTESA-N 0 3 323.427 4.177 20 0 DIADHN CC(C)CCOc1ccccc1CNC/C=C\c1ccncc1 ZINC000486987576 1049503466 /nfs/dbraw/zinc/50/34/66/1049503466.db2.gz GOOXEIZHUQAWQF-WAYWQWQTSA-N 0 3 310.441 4.310 20 0 DIADHN CC(C)c1ccc(NC(=O)CN([C@H](C)C2CC2)C2CC2)cc1 ZINC000031653722 1049505245 /nfs/dbraw/zinc/50/52/45/1049505245.db2.gz ZSBLXYKWVMUHSZ-CQSZACIVSA-N 0 3 300.446 4.011 20 0 DIADHN Fc1cccc(OC(F)F)c1CN[C@H]1CCc2ccccc2C1 ZINC001205622519 1049513082 /nfs/dbraw/zinc/51/30/82/1049513082.db2.gz UIIJVBXONVGMFD-AWEZNQCLSA-N 0 3 321.342 4.074 20 0 DIADHN Cc1coc2ccc(NC(=O)c3ccccc3CN(C)C)cc12 ZINC000487097585 1049513231 /nfs/dbraw/zinc/51/32/31/1049513231.db2.gz HFZDVFYXBZFHOI-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN C[C@@H]1CCC[C@H](CN2CCc3nccc(Br)c3C2)C1 ZINC001195414314 1049523206 /nfs/dbraw/zinc/52/32/06/1049523206.db2.gz YMMUHMRRTTXQNZ-OLZOCXBDSA-N 0 3 323.278 4.029 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@@H](C2CCCC2)C1 ZINC001195305216 1049514837 /nfs/dbraw/zinc/51/48/37/1049514837.db2.gz AZTLRZDRFAICGW-UKRRQHHQSA-N 0 3 320.864 4.179 20 0 DIADHN Cc1ccc2c(c1)CCN(CCOc1ccc(Cl)c(F)c1)C2 ZINC001195306102 1049515354 /nfs/dbraw/zinc/51/53/54/1049515354.db2.gz ILUHGDQRBZIHSX-UHFFFAOYSA-N 0 3 319.807 4.225 20 0 DIADHN O=C(CN1CC[C@H](C2CCCC2)C1)Nc1ccc2ccccc2c1 ZINC001195306492 1049516516 /nfs/dbraw/zinc/51/65/16/1049516516.db2.gz KURHPEBQFZFBLI-IBGZPJMESA-N 0 3 322.452 4.290 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1CCC2(CCC2)O1 ZINC001195342688 1049517819 /nfs/dbraw/zinc/51/78/19/1049517819.db2.gz RYAQOJNORQDRNN-AWEZNQCLSA-N 0 3 323.864 4.275 20 0 DIADHN [O-]c1ccc(C[NH2+][C@@H]2CC[C@@H](c3cccc(F)c3)C2)cc1F ZINC001205650411 1049524114 /nfs/dbraw/zinc/52/41/14/1049524114.db2.gz LLAUEUWJDKQWIM-GDBMZVCRSA-N 0 3 303.352 4.096 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCCc3ccccc3CC2)cs1 ZINC001181818309 1049524846 /nfs/dbraw/zinc/52/48/46/1049524846.db2.gz LLYHEFPHBOOJBV-UHFFFAOYSA-N 0 3 323.465 4.129 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(C(C)C)c2)c(CN(C)C)c1 ZINC000668750761 1049526957 /nfs/dbraw/zinc/52/69/57/1049526957.db2.gz HVYUQZCBQPBDCZ-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001181844619 1049530808 /nfs/dbraw/zinc/53/08/08/1049530808.db2.gz XHKJOCUBOLDNEG-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN CCN(C/C=C(/C)C(=O)OC)C(c1ccccc1)c1ccccc1 ZINC001195477844 1049536209 /nfs/dbraw/zinc/53/62/09/1049536209.db2.gz QTDKCWKOHJHMKU-ICFOKQHNSA-N 0 3 323.436 4.217 20 0 DIADHN CCCCC[C@H](CC)C(=O)Nc1cc(CN(C)C)ccc1OC ZINC000775659571 1049537723 /nfs/dbraw/zinc/53/77/23/1049537723.db2.gz YLKASGIQAXPYAX-INIZCTEOSA-N 0 3 320.477 4.302 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000487288524 1049545269 /nfs/dbraw/zinc/54/52/69/1049545269.db2.gz IJVHSAWBABFPDR-VXGBXAGGSA-N 0 3 307.825 4.429 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000487291078 1049546710 /nfs/dbraw/zinc/54/67/10/1049546710.db2.gz LIRMZLPFELJAOZ-NWDGAFQWSA-N 0 3 307.825 4.429 20 0 DIADHN CCOc1cccc(CN2CC[C@H](C(F)(F)F)C[C@@H]2C)c1 ZINC001181912720 1049547408 /nfs/dbraw/zinc/54/74/08/1049547408.db2.gz HEONCZFNFBYERN-JSGCOSHPSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736710 1049548017 /nfs/dbraw/zinc/54/80/17/1049548017.db2.gz XPNMPDBTCZZMFL-WFASDCNBSA-N 0 3 303.352 4.254 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736710 1049548031 /nfs/dbraw/zinc/54/80/31/1049548031.db2.gz XPNMPDBTCZZMFL-WFASDCNBSA-N 0 3 303.352 4.254 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2)cc1OC)C[C@H](C)OC ZINC001181933387 1049549402 /nfs/dbraw/zinc/54/94/02/1049549402.db2.gz MWJYNHHVENRHAD-INIZCTEOSA-N 0 3 313.441 4.219 20 0 DIADHN CN(Cc1coc2ccccc12)[C@H](CO)c1ccc(Cl)cc1 ZINC001181931985 1049549618 /nfs/dbraw/zinc/54/96/18/1049549618.db2.gz ADHCAJCAMCZXKQ-QGZVFWFLSA-N 0 3 315.800 4.252 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc([S@@](C)=O)cc2)cc1 ZINC000085332494 1049553881 /nfs/dbraw/zinc/55/38/81/1049553881.db2.gz SVAMVEBVGUVYQS-QFIPXVFZSA-N 0 3 315.482 4.011 20 0 DIADHN COc1ccc(SCCN2CCC=C(c3ccco3)C2)cc1 ZINC001205753519 1049554155 /nfs/dbraw/zinc/55/41/55/1049554155.db2.gz XNNYFCUTEWZSMK-UHFFFAOYSA-N 0 3 315.438 4.170 20 0 DIADHN CCN1CCN(Cc2ccc3oc4ccccc4c3c2)[C@H](C)C1 ZINC001205758411 1049556003 /nfs/dbraw/zinc/55/60/03/1049556003.db2.gz PYRBZTOQFBQPKD-OAHLLOKOSA-N 0 3 308.425 4.112 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1C[C@@H](C)C[C@H](C)C1 ZINC000042247729 1049557899 /nfs/dbraw/zinc/55/78/99/1049557899.db2.gz LNQPCNZHBPNXCU-JYJNAYRXSA-N 0 3 316.489 4.116 20 0 DIADHN CC(C)(C)c1nc(CCNCc2sccc2Cl)cs1 ZINC000487561166 1049558826 /nfs/dbraw/zinc/55/88/26/1049558826.db2.gz RGKGCQNHHUNYRL-UHFFFAOYSA-N 0 3 314.907 4.488 20 0 DIADHN CC[C@](C)(CN(Cc1ccco1)Cc1cccc(C)c1)OC ZINC001204315285 1049559548 /nfs/dbraw/zinc/55/95/48/1049559548.db2.gz UOSJAACISFCKFE-LJQANCHMSA-N 0 3 301.430 4.405 20 0 DIADHN CC(C)(C)N(Cc1ccc(F)cc1)Cc1cccc2nccn21 ZINC000775670164 1049562571 /nfs/dbraw/zinc/56/25/71/1049562571.db2.gz UUDLXOBVXXCBOH-UHFFFAOYSA-N 0 3 311.404 4.274 20 0 DIADHN CCC[C@@H](C)[C@@H](CO)NCc1cc(Cl)cc(Cl)c1F ZINC001195757046 1049563167 /nfs/dbraw/zinc/56/31/67/1049563167.db2.gz KSBDERGKTHKTHQ-NOZJJQNGSA-N 0 3 308.224 4.019 20 0 DIADHN CC(C)[C@H]1CN(Cc2cccc(OC3CC3)c2F)[C@H]1C(C)C ZINC001205789892 1049564974 /nfs/dbraw/zinc/56/49/74/1049564974.db2.gz WTDDIZNJVRYJJG-APWZRJJASA-N 0 3 305.437 4.479 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1nnc(-c2cccc(F)c2)o1 ZINC000773973984 1049566278 /nfs/dbraw/zinc/56/62/78/1049566278.db2.gz CJYPKJCZUYUDPZ-ZDUSSCGKSA-N 0 3 317.408 4.276 20 0 DIADHN CCc1cnc(CN2[C@H](C)C[C@H](c3ccccc3)[C@@H]2C)s1 ZINC001182052833 1049567323 /nfs/dbraw/zinc/56/73/23/1049567323.db2.gz LCNBIVRXBKJAPG-KEYYUXOJSA-N 0 3 300.471 4.472 20 0 DIADHN Cc1ccc(C2(NCC(=O)Nc3cccc(C)c3C)CCC2)cc1 ZINC001195778283 1049569426 /nfs/dbraw/zinc/56/94/26/1049569426.db2.gz RDYXORQZPKGRSL-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN c1cc2nsnc2c(CN2CCC(C3CCCCC3)CC2)c1 ZINC001195860721 1049573333 /nfs/dbraw/zinc/57/33/33/1049573333.db2.gz DYRQMDWOKSZGIB-UHFFFAOYSA-N 0 3 315.486 4.484 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)[C@H]1C[C@@H](OC)C12CCC2 ZINC001205821519 1049574339 /nfs/dbraw/zinc/57/43/39/1049574339.db2.gz BTYIRLGVIOBMGL-JKSUJKDBSA-N 0 3 323.864 4.128 20 0 DIADHN O[C@@H](CN1CCC(C2CCCCC2)CC1)c1ccc(F)cc1F ZINC001195863372 1049581015 /nfs/dbraw/zinc/58/10/15/1049581015.db2.gz SIMMJCHZQRNHEB-IBGZPJMESA-N 0 3 323.427 4.291 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccncc2C)CC1 ZINC001205850791 1049582590 /nfs/dbraw/zinc/58/25/90/1049582590.db2.gz PDBYJJSKINDXLE-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN CCN(Cc1cccc(C(F)(F)F)c1)Cc1ccncc1C ZINC001205867888 1049588063 /nfs/dbraw/zinc/58/80/63/1049588063.db2.gz PBBLEEHXQBRFRA-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN Cc1ccc(F)cc1NC(=S)Nc1cccc(CN(C)C)c1 ZINC001195906698 1049588099 /nfs/dbraw/zinc/58/80/99/1049588099.db2.gz LXEPWEPNEYUWLJ-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN CC(C)c1cccc(CN[C@@H](c2ccncc2)C2(CO)CCC2)c1 ZINC000668813749 1049588679 /nfs/dbraw/zinc/58/86/79/1049588679.db2.gz QJCLMATYGRBTPS-FQEVSTJZSA-N 0 3 324.468 4.199 20 0 DIADHN COCCN(Cc1coc(-c2cccs2)n1)[C@H]1CCC[C@H]1C ZINC000487971035 1049589136 /nfs/dbraw/zinc/58/91/36/1049589136.db2.gz JHEBBAUGDUNCAH-HIFRSBDPSA-N 0 3 320.458 4.040 20 0 DIADHN COC[C@H]1CCCCN(Cc2cc3ccccc3c(Cl)n2)C1 ZINC000794563808 1049595010 /nfs/dbraw/zinc/59/50/10/1049595010.db2.gz MLSKKQKYTMDDCA-AWEZNQCLSA-N 0 3 318.848 4.137 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccccc2-n2cccn2)c1 ZINC000119647317 1049595830 /nfs/dbraw/zinc/59/58/30/1049595830.db2.gz CYHDHKONHZNQIY-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(F)(F)F)C[C@@H]2C)c(C)c1 ZINC001205907361 1049597152 /nfs/dbraw/zinc/59/71/52/1049597152.db2.gz HEOFWDQFCRLTJV-GXTWGEPZSA-N 0 3 301.352 4.166 20 0 DIADHN CC(C)(C)N(Cc1ccsc1)CC1(Br)CC1 ZINC001196024446 1049603881 /nfs/dbraw/zinc/60/38/81/1049603881.db2.gz ZHOSPLPUUQLEOI-UHFFFAOYSA-N 0 3 302.281 4.276 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc3[nH]c(=O)oc31)C(C)(C)C2 ZINC001205967106 1049612634 /nfs/dbraw/zinc/61/26/34/1049612634.db2.gz IRVNYWWIIXGVBE-UHFFFAOYSA-N 0 3 322.408 4.179 20 0 DIADHN COCCSCCCN1CCC(=Cc2ccccc2F)CC1 ZINC000488236045 1049617769 /nfs/dbraw/zinc/61/77/69/1049617769.db2.gz QAQDKSUMROTVBA-UHFFFAOYSA-N 0 3 323.477 4.075 20 0 DIADHN CCCCCCN1CCN(C(=O)OC(C)(C)C)C[C@H]1C(C)C ZINC001196158654 1049625317 /nfs/dbraw/zinc/62/53/17/1049625317.db2.gz IOTKEUIDXMDMKU-INIZCTEOSA-N 0 3 312.498 4.144 20 0 DIADHN C[C@H](CO[C@H]1CCCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001206010450 1049627336 /nfs/dbraw/zinc/62/73/36/1049627336.db2.gz HBMZDFCDIODIFE-HBFSDRIKSA-N 0 3 324.468 4.427 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001196174903 1049628118 /nfs/dbraw/zinc/62/81/18/1049628118.db2.gz AATVZSPHESTFGY-CHWSQXEVSA-N 0 3 309.837 4.137 20 0 DIADHN C[C@H]1CN(Cc2ccc3occc3c2)CCN1Cc1ccccc1 ZINC001182344357 1049630360 /nfs/dbraw/zinc/63/03/60/1049630360.db2.gz INVVSUNFJWOEKA-KRWDZBQOSA-N 0 3 320.436 4.139 20 0 DIADHN C[C@@H]1CN(Cc2ccc3occc3c2)CCN1Cc1ccccc1 ZINC001182344358 1049630676 /nfs/dbraw/zinc/63/06/76/1049630676.db2.gz INVVSUNFJWOEKA-QGZVFWFLSA-N 0 3 320.436 4.139 20 0 DIADHN COc1ccc(CCN(C)Cc2cc(Cl)ccc2F)cc1 ZINC001206028119 1049632564 /nfs/dbraw/zinc/63/25/64/1049632564.db2.gz KWKVFIQLTZTEIU-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN CN(Cc1cn(C)nc1Cl)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC001182368626 1049636434 /nfs/dbraw/zinc/63/64/34/1049636434.db2.gz FBRHJBCWWNIHFN-DLBZAZTESA-N 0 3 317.864 4.232 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C)oc1C)[C@H](O)c1c(F)cccc1F ZINC000774257755 1049638236 /nfs/dbraw/zinc/63/82/36/1049638236.db2.gz KWGNGLGNSCLZRN-PBQZTSCYSA-N 0 3 323.383 4.337 20 0 DIADHN CC(C)(CCN[C@@H](CO)c1cccc(Cl)c1Cl)C1CC1 ZINC001196285739 1049639072 /nfs/dbraw/zinc/63/90/72/1049639072.db2.gz RHYPLEXUCYFVTB-AWEZNQCLSA-N 0 3 316.272 4.443 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)C[C@H]1C[C@H]1C ZINC000488359452 1049640397 /nfs/dbraw/zinc/64/03/97/1049640397.db2.gz ZYLUVDLYTMDEGN-CHWSQXEVSA-N 0 3 319.836 4.258 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)[C@@H]2C[C@H]2C2CC2)c2ccco2)cc1 ZINC001182396384 1049642505 /nfs/dbraw/zinc/64/25/05/1049642505.db2.gz GDWVUTBAXHMFAX-VYVMZURQSA-N 0 3 311.425 4.402 20 0 DIADHN CO[C@H](CN[C@@H]1C[C@@H](C(C)C)c2ccccc21)C(F)(F)F ZINC000774294383 1049643046 /nfs/dbraw/zinc/64/30/46/1049643046.db2.gz WZJHFTXMXZELJO-RRFJBIMHSA-N 0 3 301.352 4.038 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccn(CCc2ccccc2)n1 ZINC001196330537 1049644165 /nfs/dbraw/zinc/64/41/65/1049644165.db2.gz ZSMLTLMBNOOUCJ-FXAWDEMLSA-N 0 3 311.473 4.279 20 0 DIADHN CN(CC1=CCSC1)Cc1cccc(SC(F)(F)F)c1 ZINC001196358711 1049647032 /nfs/dbraw/zinc/64/70/32/1049647032.db2.gz WIDYEEMNYNNNIZ-UHFFFAOYSA-N 0 3 319.417 4.404 20 0 DIADHN CCC(CC)N(CC)Cc1nc(C(F)(F)F)n2c1CCCC2 ZINC001206069969 1049647351 /nfs/dbraw/zinc/64/73/51/1049647351.db2.gz WRBQPTUGPMYNKE-UHFFFAOYSA-N 0 3 317.399 4.249 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccco1)c1nc2ccc(Cl)cc2n1C ZINC000774377894 1049653237 /nfs/dbraw/zinc/65/32/37/1049653237.db2.gz OYYZZQHJHDBYNN-NWDGAFQWSA-N 0 3 317.820 4.102 20 0 DIADHN Fc1ccc2ccc(CN3CCC[C@@H](C4CC4)C3)nc2c1F ZINC001206116169 1049657794 /nfs/dbraw/zinc/65/77/94/1049657794.db2.gz MLUJLIGKTQOKFD-CQSZACIVSA-N 0 3 302.368 4.135 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](c2ccccc2F)C2CCCC2)o1 ZINC000774429865 1049661629 /nfs/dbraw/zinc/66/16/29/1049661629.db2.gz AUTXPKMQHZQHKJ-PKOBYXMFSA-N 0 3 317.404 4.282 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@H](c3ccccc3)C2)[n-]1 ZINC000488505062 1049663894 /nfs/dbraw/zinc/66/38/94/1049663894.db2.gz XESGJVHSWGKVDN-OTRWWLKZSA-N 0 3 312.461 4.305 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)[C@H](C)c2ccccc2)[n-]1 ZINC000488493385 1049664161 /nfs/dbraw/zinc/66/41/61/1049664161.db2.gz FYZZSMKCMNNURS-XQLPTFJDSA-N 0 3 300.450 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCc2cccc(F)c2)[n-]1 ZINC000488507703 1049666019 /nfs/dbraw/zinc/66/60/19/1049666019.db2.gz RNJXFOGYTDMRMD-IHRRRGAJSA-N 0 3 318.440 4.129 20 0 DIADHN COC[C@@H](N[C@H](C)CSC(C)(C)C)c1ccc(F)c(F)c1 ZINC000774473655 1049672767 /nfs/dbraw/zinc/67/27/67/1049672767.db2.gz PWIDSHPZNRAVSF-IAQYHMDHSA-N 0 3 317.445 4.162 20 0 DIADHN Fc1ccc2cc(CN3CC[C@H](COc4ccccc4)C3)[nH]c2c1 ZINC000488549745 1049674146 /nfs/dbraw/zinc/67/41/46/1049674146.db2.gz WNYVCQDQBUQWPT-HNNXBMFYSA-N 0 3 324.399 4.208 20 0 DIADHN Fc1ccc2cc(CN3CC[C@@H](COc4ccccc4)C3)[nH]c2c1 ZINC000488549746 1049674847 /nfs/dbraw/zinc/67/48/47/1049674847.db2.gz WNYVCQDQBUQWPT-OAHLLOKOSA-N 0 3 324.399 4.208 20 0 DIADHN COc1ccc([C@H](NCc2cccc(C(C)C)c2)C2CC2)cn1 ZINC001196667850 1049681257 /nfs/dbraw/zinc/68/12/57/1049681257.db2.gz ZVZKPKIRJHKNIC-HXUWFJFHSA-N 0 3 310.441 4.455 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccc3c(c2)CCC3)C2CC2)cn1 ZINC001196667817 1049681756 /nfs/dbraw/zinc/68/17/56/1049681756.db2.gz YVZWXBMVPBXXKZ-SPLOXXLWSA-N 0 3 322.452 4.381 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCCC[C@H]2C2CCCCC2)[nH]1 ZINC001206231714 1049685331 /nfs/dbraw/zinc/68/53/31/1049685331.db2.gz BCJFJIUTQJATKJ-HOTGVXAUSA-N 0 3 318.509 4.331 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCCC[C@@H]2C2CCCCC2)[nH]1 ZINC001206231712 1049685353 /nfs/dbraw/zinc/68/53/53/1049685353.db2.gz BCJFJIUTQJATKJ-CVEARBPZSA-N 0 3 318.509 4.331 20 0 DIADHN Clc1ccnc(Cl)c1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC001182574475 1049687009 /nfs/dbraw/zinc/68/70/09/1049687009.db2.gz OZPVVDGNHBXMBD-CHWSQXEVSA-N 0 3 307.224 4.282 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1ccc(SC(F)F)cc1 ZINC001206240179 1049688263 /nfs/dbraw/zinc/68/82/63/1049688263.db2.gz TUYUMMPOHILZJF-AWEZNQCLSA-N 0 3 315.429 4.392 20 0 DIADHN C[C@@H](CN1CCc2ccccc2[C@H]1CO)c1cccc(Cl)c1 ZINC001204348016 1049689193 /nfs/dbraw/zinc/68/91/93/1049689193.db2.gz NJLNBRLMAMEVSR-IFXJQAMLSA-N 0 3 315.844 4.035 20 0 DIADHN CCc1cccc2cc(CN3C[C@@H](O)C[C@H]3c3ccccc3)oc21 ZINC001206248248 1049689601 /nfs/dbraw/zinc/68/96/01/1049689601.db2.gz STJLCBKNXWPISL-ICSRJNTNSA-N 0 3 321.420 4.303 20 0 DIADHN CCCN(CC)[C@@H](C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000488762464 1049693797 /nfs/dbraw/zinc/69/37/97/1049693797.db2.gz ODLGHQZRBGGWLM-INIZCTEOSA-N 0 3 310.441 4.413 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000794797144 1049696153 /nfs/dbraw/zinc/69/61/53/1049696153.db2.gz ZUHVTHQDCJBWIS-ROUUACIJSA-N 0 3 323.358 4.124 20 0 DIADHN Cc1ccc(C)c(NC(=S)Nc2cccc(CN(C)C)c2)c1 ZINC000044133156 1049697606 /nfs/dbraw/zinc/69/76/06/1049697606.db2.gz YDAOSZQGPVNMQM-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN COc1ccc(Cl)c2c1CCC[C@@H]2N(C)CC1(OC)CCC1 ZINC001182683818 1049698982 /nfs/dbraw/zinc/69/89/82/1049698982.db2.gz HAJJJPCJMPUCAR-HNNXBMFYSA-N 0 3 323.864 4.227 20 0 DIADHN COc1c(Br)cccc1CN1CC[C@@H](C2CCC2)C1 ZINC001206284537 1049699663 /nfs/dbraw/zinc/69/96/63/1049699663.db2.gz BKWVGXCSNWAUDY-CYBMUJFWSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cc3ccc(C)cc3[nH]1)C2 ZINC000911595586 1049701100 /nfs/dbraw/zinc/70/11/00/1049701100.db2.gz ISCDWBUXGJOAGZ-UHFFFAOYSA-N 0 3 320.436 4.433 20 0 DIADHN C[C@@H]1CN(Cc2cnc3ccccc3c2)CCc2ccccc21 ZINC000911595607 1049701536 /nfs/dbraw/zinc/70/15/36/1049701536.db2.gz KLAGUILNJAREBP-MRXNPFEDSA-N 0 3 302.421 4.397 20 0 DIADHN Cc1ncoc1CN1CCC[C@@]1(C)Cc1cccc(Cl)c1 ZINC001182704841 1049701642 /nfs/dbraw/zinc/70/16/42/1049701642.db2.gz RVHWKGCIMSZNOE-KRWDZBQOSA-N 0 3 304.821 4.234 20 0 DIADHN CC(C)P(CCNC(=S)Nc1ccc(F)cc1)C(C)C ZINC001196972228 1049704154 /nfs/dbraw/zinc/70/41/54/1049704154.db2.gz OJPZWWPMUODZSY-UHFFFAOYSA-N 0 3 314.410 4.411 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)Cc1cccn1C(F)F ZINC001182754100 1049705477 /nfs/dbraw/zinc/70/54/77/1049705477.db2.gz MABALXXUGIXPMQ-CYBMUJFWSA-N 0 3 310.413 4.496 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c2ccco2)cc1 ZINC001206308182 1049707919 /nfs/dbraw/zinc/70/79/19/1049707919.db2.gz PDOSYDGGNJJOQH-PYQAKABTSA-N 0 3 311.425 4.403 20 0 DIADHN CCN(C)c1ccc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001182796474 1049711692 /nfs/dbraw/zinc/71/16/92/1049711692.db2.gz VSKXQXGEJUJKCG-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN Fc1ccccc1C[C@@H]1CCCCN1C[C@H]1CC1(Cl)Cl ZINC001182765743 1049714069 /nfs/dbraw/zinc/71/40/69/1049714069.db2.gz WCJUSWOWAMTUKJ-KGLIPLIRSA-N 0 3 316.247 4.417 20 0 DIADHN COCc1cc(C)c(-c2ccc(OC)c(CN(C)C)c2)c(C)c1 ZINC001206330394 1049714179 /nfs/dbraw/zinc/71/41/79/1049714179.db2.gz ATSLQFZPKZNREM-UHFFFAOYSA-N 0 3 313.441 4.187 20 0 DIADHN COc1ccc([C@@H]2CN(CC3CCCCCC3)CCO2)cc1 ZINC001197013188 1049714385 /nfs/dbraw/zinc/71/43/85/1049714385.db2.gz NQLQZCCCRNUQOA-IBGZPJMESA-N 0 3 303.446 4.039 20 0 DIADHN CC(C)Cc1ncc(CN2CCSC[C@H]2C2CCC2)s1 ZINC001206349702 1049718058 /nfs/dbraw/zinc/71/80/58/1049718058.db2.gz CDSYNKXHDSFLTH-HNNXBMFYSA-N 0 3 310.532 4.059 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@@H](C4CCCC4)C3)sc2C1 ZINC001206351846 1049718566 /nfs/dbraw/zinc/71/85/66/1049718566.db2.gz YKWXHZZYQGXBGN-UKRRQHHQSA-N 0 3 304.503 4.280 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@H](OC2CCC2)CC1 ZINC001197070075 1049719441 /nfs/dbraw/zinc/71/94/41/1049719441.db2.gz QVFWHTYCRPRSMN-BBRMVZONSA-N 0 3 309.400 4.449 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@H]2CC3CCC2CC3)s1 ZINC001206401493 1049727411 /nfs/dbraw/zinc/72/74/11/1049727411.db2.gz MIWZAJZKNADQRR-VQXHTEKXSA-N 0 3 304.381 4.078 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CC[C@]2(C)C2CCCCC2)c1 ZINC001197238770 1049730594 /nfs/dbraw/zinc/73/05/94/1049730594.db2.gz IJIHBVFHWYVUKM-HXUWFJFHSA-N 0 3 314.473 4.287 20 0 DIADHN Cc1ccc(CN(Cc2cc3ccccc3n2C)CC2CC2)cn1 ZINC001206418220 1049731651 /nfs/dbraw/zinc/73/16/51/1049731651.db2.gz ALQCEBYKBBCFCR-UHFFFAOYSA-N 0 3 319.452 4.294 20 0 DIADHN CC(C)O[C@@H](CN1CCC2(CCCCO2)CC1)c1ccccc1 ZINC001197256472 1049733139 /nfs/dbraw/zinc/73/31/39/1049733139.db2.gz QWVKDGBICYVZLG-IBGZPJMESA-N 0 3 317.473 4.188 20 0 DIADHN CCN(CC1CC1)[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000489251020 1049737510 /nfs/dbraw/zinc/73/75/10/1049737510.db2.gz DDSHXDUEKJZBOK-IBGZPJMESA-N 0 3 308.425 4.098 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccccc1Cl ZINC000489303263 1049740552 /nfs/dbraw/zinc/74/05/52/1049740552.db2.gz WOXJDBRADJVNDY-BBRMVZONSA-N 0 3 308.853 4.179 20 0 DIADHN CCCCC[C@H](C)CCC(=O)N1CC2(C1)CN(C1CCCC1)C2 ZINC001273742321 1049744568 /nfs/dbraw/zinc/74/45/68/1049744568.db2.gz QKZYGQWHMWTVIF-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN1C[C@@H](O)c1c(F)cccc1F ZINC001197342165 1049745574 /nfs/dbraw/zinc/74/55/74/1049745574.db2.gz NOQWIYYNSOBXJI-MJGOQNOKSA-N 0 3 317.379 4.009 20 0 DIADHN C[C@]1(c2ccccc2)CCCN1C[C@@H](O)c1c(F)cccc1F ZINC001197342162 1049745630 /nfs/dbraw/zinc/74/56/30/1049745630.db2.gz NOQWIYYNSOBXJI-IEBWSBKVSA-N 0 3 317.379 4.009 20 0 DIADHN CC[C@@H]1CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)[C@@H](C)CO1 ZINC001206523904 1049750519 /nfs/dbraw/zinc/75/05/19/1049750519.db2.gz VNOLOORHZDLGIJ-DVOMOZLQSA-N 0 3 315.379 4.308 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@@H](c1ccccc1F)[C@H](C)O ZINC001206530558 1049751389 /nfs/dbraw/zinc/75/13/89/1049751389.db2.gz UKPHUPAMWPJOTG-FHSNZYRGSA-N 0 3 321.823 4.122 20 0 DIADHN CCN(Cc1ccc(-c2cccc(F)c2F)o1)[C@@H]1CCCOC1 ZINC001206544872 1049752982 /nfs/dbraw/zinc/75/29/82/1049752982.db2.gz PIHVTMAHWGZFJA-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN CCCCC[C@@H](OCCCN1CCN(C)CC1)[C@@H](C)CCC ZINC001206596580 1049765824 /nfs/dbraw/zinc/76/58/24/1049765824.db2.gz PAFONNYUDJNMLD-RBUKOAKNSA-N 0 3 312.542 4.026 20 0 DIADHN CSCC1(CCNCc2c(Cl)ccnc2Cl)CC1 ZINC001206613038 1049769550 /nfs/dbraw/zinc/76/95/50/1049769550.db2.gz QBBKSCHNTWYCBR-UHFFFAOYSA-N 0 3 305.274 4.011 20 0 DIADHN c1cc2cncc(-c3ccc4cnn([C@H]5CCCCO5)c4c3)c2[nH]1 ZINC001206658118 1049776287 /nfs/dbraw/zinc/77/62/87/1049776287.db2.gz ZZXVEZLMBUHTOV-GOSISDBHSA-N 0 3 318.380 4.279 20 0 DIADHN [O-]c1ccc(C[NH2+]CCCCCCc2ccccc2)cc1F ZINC001206689371 1049779614 /nfs/dbraw/zinc/77/96/14/1049779614.db2.gz XKKLTQXHZZNQJS-UHFFFAOYSA-N 0 3 301.405 4.424 20 0 DIADHN OC[C@H](NC1CC2(C1)CCCC2)c1cccc(Cl)c1Cl ZINC001206721203 1049783066 /nfs/dbraw/zinc/78/30/66/1049783066.db2.gz SNOPRRDDSPHBCE-AWEZNQCLSA-N 0 3 314.256 4.339 20 0 DIADHN Fc1ccc(CCN2CCC(OCC3CCCC3)CC2)cc1F ZINC000489835127 1049783910 /nfs/dbraw/zinc/78/39/10/1049783910.db2.gz DNSGJCCMGPAWEK-UHFFFAOYSA-N 0 3 323.427 4.179 20 0 DIADHN CN(Cc1coc(-c2c(F)cccc2F)n1)C1(C)CCCC1 ZINC000489870069 1049786627 /nfs/dbraw/zinc/78/66/27/1049786627.db2.gz BCPYXWRDLHMAKS-UHFFFAOYSA-N 0 3 306.356 4.384 20 0 DIADHN OC[C@H](NC1CCC2(CCCC2)CC1)c1ccc(F)cc1F ZINC001206752857 1049791744 /nfs/dbraw/zinc/79/17/44/1049791744.db2.gz JIROFIUQEKXSDY-KRWDZBQOSA-N 0 3 309.400 4.091 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3nc4cccnc4s3)C2)cc1 ZINC000490051995 1049797166 /nfs/dbraw/zinc/79/71/66/1049797166.db2.gz DWGRDDBGRAZQTB-GOEBONIOSA-N 0 3 323.465 4.378 20 0 DIADHN Cc1ncc(CN(Cc2cccc(Cl)c2)CC(C)C)n1C ZINC001206791067 1049799652 /nfs/dbraw/zinc/79/96/52/1049799652.db2.gz XWPQVWRXPOYUMW-UHFFFAOYSA-N 0 3 305.853 4.040 20 0 DIADHN CC1CCC(CN[C@@H](c2cnn(C)c2)c2cccc(F)c2)CC1 ZINC000490149305 1049803607 /nfs/dbraw/zinc/80/36/07/1049803607.db2.gz IQQVKOYNIUQMQF-JFIBYHEFSA-N 0 3 315.436 4.065 20 0 DIADHN COc1ccc(-c2cc(CN3CCCCC[C@H]3C)no2)cc1 ZINC001206825395 1049807764 /nfs/dbraw/zinc/80/77/64/1049807764.db2.gz IZEIKSNWKMAGOL-CQSZACIVSA-N 0 3 300.402 4.115 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@@H](C)[C@H](C)C3)no2)cc1 ZINC001206825172 1049808718 /nfs/dbraw/zinc/80/87/18/1049808718.db2.gz LLJZWJGAXUKJDL-HUUCEWRRSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCCC2(CC2)CC1 ZINC001206991316 1049816990 /nfs/dbraw/zinc/81/69/90/1049816990.db2.gz KPHOCUBHCZPULE-UHFFFAOYSA-N 0 3 313.420 4.086 20 0 DIADHN Cc1cc(CN2CC(Cc3cccs3)C2)c2c(n1)CCCC2 ZINC001207026643 1049820587 /nfs/dbraw/zinc/82/05/87/1049820587.db2.gz SVYRVOQQGQLDQT-UHFFFAOYSA-N 0 3 312.482 4.005 20 0 DIADHN Fc1ccc(CN(CCc2ccccc2)Cc2ccccc2)nc1 ZINC001207041233 1049825483 /nfs/dbraw/zinc/82/54/83/1049825483.db2.gz PMAPETKNEZOVGL-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2ccc3c(c2)CCC3)cc1O ZINC000490378439 1049826790 /nfs/dbraw/zinc/82/67/90/1049826790.db2.gz SWWHUTIDWHLQRZ-AWEZNQCLSA-N 0 3 311.425 4.083 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3c2cccc3Cl)cc1O ZINC000490387714 1049829063 /nfs/dbraw/zinc/82/90/63/1049829063.db2.gz YWMXDISDAPQUFW-INIZCTEOSA-N 0 3 317.816 4.174 20 0 DIADHN COc1c(O)cccc1CN1CC[C@H](c2ccccc2)[C@H](C)C1 ZINC000490545116 1049838199 /nfs/dbraw/zinc/83/81/99/1049838199.db2.gz MGHIIFSFKOUDPW-QAPCUYQASA-N 0 3 311.425 4.026 20 0 DIADHN C[C@@H](NCc1cccc2cccnc21)c1cnn(C2CCC2)c1 ZINC000924854428 1049838770 /nfs/dbraw/zinc/83/87/70/1049838770.db2.gz HEKDPVDYERQDFM-CQSZACIVSA-N 0 3 306.413 4.007 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@@H]1c1cc(C)ccc1C ZINC000490543052 1049840420 /nfs/dbraw/zinc/84/04/20/1049840420.db2.gz KHDISOVUELLDTB-GOSISDBHSA-N 0 3 311.425 4.355 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@H]1c1cc(C)ccc1C ZINC000490543085 1049840950 /nfs/dbraw/zinc/84/09/50/1049840950.db2.gz KHDISOVUELLDTB-SFHVURJKSA-N 0 3 311.425 4.355 20 0 DIADHN CC1(C)CCN(Cc2ccc(Br)c3cccnc23)C1 ZINC000490561635 1049842563 /nfs/dbraw/zinc/84/25/63/1049842563.db2.gz DJJDPFCVHWVURT-UHFFFAOYSA-N 0 3 319.246 4.229 20 0 DIADHN FC(F)c1cccc(CN2CCC(Cc3ccncc3)CC2)c1 ZINC001207132234 1049843409 /nfs/dbraw/zinc/84/34/09/1049843409.db2.gz VDECOJRRTZFMBT-UHFFFAOYSA-N 0 3 316.395 4.474 20 0 DIADHN Cc1cncc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)c1 ZINC001207163390 1049847121 /nfs/dbraw/zinc/84/71/21/1049847121.db2.gz QGZACNLGCAJPDE-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1cnc2c(c1)CN(C[C@@H]1C[C@@H]1c1ccc(Cl)cc1)CC2 ZINC001207194855 1049857955 /nfs/dbraw/zinc/85/79/55/1049857955.db2.gz CGWARFVTPMUALC-MAUKXSAKSA-N 0 3 312.844 4.205 20 0 DIADHN CCSc1ccc(CNCc2cc(C)c(O)c(C)c2)cc1 ZINC001207316359 1049879390 /nfs/dbraw/zinc/87/93/90/1049879390.db2.gz ZARLXVBUXCMZGN-UHFFFAOYSA-N 0 3 301.455 4.411 20 0 DIADHN C[C@@H](NCCc1ccns1)c1ccc(-c2ccccc2)cn1 ZINC001207326818 1049880434 /nfs/dbraw/zinc/88/04/34/1049880434.db2.gz UPHGJRBXIPQMSW-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2coc3ccccc23)CC1 ZINC001207327070 1049880940 /nfs/dbraw/zinc/88/09/40/1049880940.db2.gz XJBCHUPREPAWNM-KRWDZBQOSA-N 0 3 320.436 4.312 20 0 DIADHN CC1(C)C[C@@H](CN2CCn3cccc3[C@H]2c2ccccc2)CCO1 ZINC001207352052 1049883512 /nfs/dbraw/zinc/88/35/12/1049883512.db2.gz CUSWPURXHWEANO-FXAWDEMLSA-N 0 3 324.468 4.098 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](C2CC2)C1 ZINC000491141203 1049886411 /nfs/dbraw/zinc/88/64/11/1049886411.db2.gz QHMAWHVMPCGRTL-ZOBUZTSGSA-N 0 3 314.473 4.259 20 0 DIADHN CN(Cc1cncs1)C[C@H]1CC=C(CCCC(C)(C)O)CC1 ZINC001207411707 1049889715 /nfs/dbraw/zinc/88/97/15/1049889715.db2.gz JKVLUEORXAVBTM-INIZCTEOSA-N 0 3 322.518 4.243 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1cc(O)cc(C(F)(F)F)c1 ZINC000570889591 1049890683 /nfs/dbraw/zinc/89/06/83/1049890683.db2.gz QZXPFOFBRNWLBH-DIFFPNOSSA-N 0 3 321.342 4.434 20 0 DIADHN Clc1cccc(CCN2CC[C@@H](OCc3ccccc3)C2)c1 ZINC001207422459 1049890899 /nfs/dbraw/zinc/89/08/99/1049890899.db2.gz FXOGYJUWBOAQLQ-LJQANCHMSA-N 0 3 315.844 4.174 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(OC2CCCCC2)CC1 ZINC000491194109 1049891421 /nfs/dbraw/zinc/89/14/21/1049891421.db2.gz JLDVJJWQAMCBSX-UHFFFAOYSA-N 0 3 305.437 4.448 20 0 DIADHN Fc1ccccc1CCN1CCC[C@@H](OCc2ccccc2)C1 ZINC001207504359 1049901022 /nfs/dbraw/zinc/90/10/22/1049901022.db2.gz LFGGHPNEPPZBTM-LJQANCHMSA-N 0 3 313.416 4.049 20 0 DIADHN Cc1cccc(CCN2CCC(C(=O)c3ccccc3)CC2)c1 ZINC001207533263 1049905717 /nfs/dbraw/zinc/90/57/17/1049905717.db2.gz WSHPXGQNFARFNH-UHFFFAOYSA-N 0 3 307.437 4.132 20 0 DIADHN c1ccc(C(CN2CCCCC23COC3)c2ccccc2)cc1 ZINC001207526998 1049906034 /nfs/dbraw/zinc/90/60/34/1049906034.db2.gz VTFLIIJXTYEGCP-UHFFFAOYSA-N 0 3 307.437 4.073 20 0 DIADHN C[C@H](CCc1ccc(F)cc1F)NCc1nc2c(s1)CCC2 ZINC001207548908 1049907296 /nfs/dbraw/zinc/90/72/96/1049907296.db2.gz ONFSUIZYWFONRH-LLVKDONJSA-N 0 3 322.424 4.021 20 0 DIADHN Cc1cccc(CCN2CC3(CC(OCc4ccccc4)C3)C2)c1 ZINC001207536858 1049908252 /nfs/dbraw/zinc/90/82/52/1049908252.db2.gz RCUVCKBBYIBPDE-UHFFFAOYSA-N 0 3 321.464 4.219 20 0 DIADHN CSC1(CNCc2c(Cl)ccnc2F)CCCCC1 ZINC001207569193 1049910716 /nfs/dbraw/zinc/91/07/16/1049910716.db2.gz GJIGZIHBKFEDBU-UHFFFAOYSA-N 0 3 302.846 4.030 20 0 DIADHN Clc1cccc(CCN2CCC[C@@H](Cc3nccs3)C2)c1 ZINC001207594953 1049914680 /nfs/dbraw/zinc/91/46/80/1049914680.db2.gz NIKANUCPNVBZTC-HNNXBMFYSA-N 0 3 320.889 4.294 20 0 DIADHN CCCCCC[C@H]1CCC[C@H]1NCc1nc2c(s1)COCC2 ZINC001207638258 1049919518 /nfs/dbraw/zinc/91/95/18/1049919518.db2.gz CBMOPWVQKGZUSC-LSDHHAIUSA-N 0 3 322.518 4.445 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN1CCc2nc(Cl)ccc2C1 ZINC001207670971 1049924455 /nfs/dbraw/zinc/92/44/55/1049924455.db2.gz PFJBDKLFWCCCDP-AWEZNQCLSA-N 0 3 324.896 4.061 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1)C1CC1 ZINC001207669987 1049924631 /nfs/dbraw/zinc/92/46/31/1049924631.db2.gz RAHYKNFAGLSDDU-QMTHXVAHSA-N 0 3 305.809 4.229 20 0 DIADHN Cc1cc([C@@](C)(O)CN[C@@H](C)c2cc3ccccc3o2)c(C)o1 ZINC000164171917 1049925590 /nfs/dbraw/zinc/92/55/90/1049925590.db2.gz LOYJYFBZSHUDKG-DJJJIMSYSA-N 0 3 313.397 4.201 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN(C)Cc1ccc(F)cc1F ZINC001207683000 1049926021 /nfs/dbraw/zinc/92/60/21/1049926021.db2.gz IMDVMAYJWNUQOG-CQSZACIVSA-N 0 3 313.432 4.364 20 0 DIADHN Cc1cccc(C)c1CN(C)Cc1c[nH]nc1-c1ccsc1 ZINC001207679437 1049926389 /nfs/dbraw/zinc/92/63/89/1049926389.db2.gz NFALPEQIVFEHLU-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN1CCC[C@@H]1c1nccs1 ZINC001207678397 1049926629 /nfs/dbraw/zinc/92/66/29/1049926629.db2.gz LFOYLUSUKZJESV-LSDHHAIUSA-N 0 3 310.507 4.247 20 0 DIADHN CC1(C)[C@H](N[C@@H]2CCCc3cccnc32)C[C@@H]1Oc1ccccc1 ZINC001207711840 1049930096 /nfs/dbraw/zinc/93/00/96/1049930096.db2.gz OXJGFZFMZZXTEB-QRVBRYPASA-N 0 3 322.452 4.295 20 0 DIADHN Fc1ccc([C@H]2CSCCN2CC2CCSCC2)cc1 ZINC001207751300 1049934047 /nfs/dbraw/zinc/93/40/47/1049934047.db2.gz COCFOYBIPOJJTA-MRXNPFEDSA-N 0 3 311.491 4.059 20 0 DIADHN CCN(C/C=C/c1ccc(F)c(F)c1)[C@@H](C)c1cccnc1 ZINC000571568567 1049939895 /nfs/dbraw/zinc/93/98/95/1049939895.db2.gz OSHCIMOYIKQILW-GJBLVYBDSA-N 0 3 302.368 4.456 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CCc3occc3C2)c1 ZINC001207845751 1049946070 /nfs/dbraw/zinc/94/60/70/1049946070.db2.gz MEQVWVAFJUUMKL-UHFFFAOYSA-N 0 3 309.331 4.289 20 0 DIADHN O[C@H](CN(Cc1cccs1)C1CC1)c1ccc(Cl)cc1 ZINC000045007342 1049947034 /nfs/dbraw/zinc/94/70/34/1049947034.db2.gz VKAYLHPAUXKLDP-MRXNPFEDSA-N 0 3 307.846 4.100 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2ccc(C)c(Cl)c2)c1 ZINC001168381893 1049960858 /nfs/dbraw/zinc/96/08/58/1049960858.db2.gz JLOQWWZARKEWJE-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCC(=O)[C@@H](F)CC2)CC1 ZINC001207941771 1049963199 /nfs/dbraw/zinc/96/31/99/1049963199.db2.gz SVAAUKDDFLGKTE-MSOLQXFVSA-N 0 3 307.453 4.462 20 0 DIADHN CCN(CCC(=O)Nc1cccc2ccccc21)Cc1ccoc1 ZINC000588169387 1049972358 /nfs/dbraw/zinc/97/23/58/1049972358.db2.gz XVGPILWDMUXTKC-UHFFFAOYSA-N 0 3 322.408 4.284 20 0 DIADHN c1ccc2c(c1)C=C(CN[C@@H]1CSCc3ccccc31)CO2 ZINC000588178718 1049973822 /nfs/dbraw/zinc/97/38/22/1049973822.db2.gz OOXHSNFEEZZDOX-GOSISDBHSA-N 0 3 309.434 4.040 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCN(C)C[C@@H]2c2ccccc2)o1 ZINC001208153129 1049978112 /nfs/dbraw/zinc/97/81/12/1049978112.db2.gz XSEXZUVCBWSZBN-QFBILLFUSA-N 0 3 312.457 4.070 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCC(=O)[C@@H](C)C23CCCC3)o1 ZINC001208159555 1049978669 /nfs/dbraw/zinc/97/86/69/1049978669.db2.gz BTFPYCPTJHPKJD-GOEBONIOSA-N 0 3 303.446 4.305 20 0 DIADHN COc1cccc(CN(C)CC[C@@H](C)c2ccc(C)o2)c1OC ZINC001208169917 1049981244 /nfs/dbraw/zinc/98/12/44/1049981244.db2.gz WBDJDSLEDNXKOV-CQSZACIVSA-N 0 3 317.429 4.231 20 0 DIADHN FC[C@H]1CCCN(CCCc2ccccc2Br)C1 ZINC001208844797 1050016119 /nfs/dbraw/zinc/01/61/19/1050016119.db2.gz CKDBHTFNRNNIHN-CYBMUJFWSA-N 0 3 314.242 4.063 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN(C)C1Cc2ccccc2C1 ZINC000588671719 1049988423 /nfs/dbraw/zinc/98/84/23/1049988423.db2.gz VNFMGBZHXLSGIY-UHFFFAOYSA-N 0 3 324.449 4.311 20 0 DIADHN COc1cccc(CN(C)CCSc2ccc(F)cc2)c1 ZINC000588783468 1049996355 /nfs/dbraw/zinc/99/63/55/1049996355.db2.gz MUJVXAJUNMMHHM-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1ccccc1C(F)(F)F)CC2 ZINC000588754207 1049997033 /nfs/dbraw/zinc/99/70/33/1049997033.db2.gz OPAJCJVQDRKGDB-UHFFFAOYSA-N 0 3 321.342 4.012 20 0 DIADHN FC(F)(F)CC1CCN(CCSc2ccccc2)CC1 ZINC000572228655 1049998961 /nfs/dbraw/zinc/99/89/61/1049998961.db2.gz HRXPFQFHZOXODD-UHFFFAOYSA-N 0 3 303.393 4.443 20 0 DIADHN CC(C)n1ccc(CN2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)n1 ZINC000572305610 1049999902 /nfs/dbraw/zinc/99/99/02/1049999902.db2.gz UWFUGOURDDZOJN-FNLKRUPLSA-N 0 3 309.457 4.375 20 0 DIADHN CC(C)(CCN1CCc2ncc(Cl)cc2C1)c1ccccc1 ZINC001208669225 1050000804 /nfs/dbraw/zinc/00/08/04/1050000804.db2.gz JBYHUGVCBVZYKV-UHFFFAOYSA-N 0 3 314.860 4.461 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000572463421 1050004783 /nfs/dbraw/zinc/00/47/83/1050004783.db2.gz MTTDWAICAQTFBL-LSDHHAIUSA-N 0 3 301.434 4.193 20 0 DIADHN C[C@@H](CN1CCc2ccncc2C1)c1cccc2ccccc21 ZINC001208714220 1050005490 /nfs/dbraw/zinc/00/54/90/1050005490.db2.gz FKFLPAUBCLTPBO-INIZCTEOSA-N 0 3 302.421 4.397 20 0 DIADHN CC(C)CCCN1CC(c2ncn3cc(-c4ccoc4)ccc23)C1 ZINC001208734918 1050006624 /nfs/dbraw/zinc/00/66/24/1050006624.db2.gz GQAPSOPKVOKQKT-UHFFFAOYSA-N 0 3 323.440 4.430 20 0 DIADHN Brc1cccc(CCCN2CCc3occc3C2)c1 ZINC001208824821 1050012874 /nfs/dbraw/zinc/01/28/74/1050012874.db2.gz QOAQSNPGTINAJB-UHFFFAOYSA-N 0 3 320.230 4.033 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CCc2ccncc2C1 ZINC001208831223 1050013371 /nfs/dbraw/zinc/01/33/71/1050013371.db2.gz KCEQCGBAHMMIIC-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN C[C@]1(F)CCCN(CCCc2ccccc2C(F)(F)F)C1 ZINC001208829829 1050013835 /nfs/dbraw/zinc/01/38/35/1050013835.db2.gz UMCLUBFGNIKDOC-HNNXBMFYSA-N 0 3 303.343 4.462 20 0 DIADHN C[C@@H]1CCN(CCCc2ccccc2C(F)(F)F)C[C@H]1F ZINC001208826964 1050014324 /nfs/dbraw/zinc/01/43/24/1050014324.db2.gz GPHKVXZCFZCAJN-IUODEOHRSA-N 0 3 303.343 4.318 20 0 DIADHN Clc1ccc(CCCN2CC(c3cccnc3)C2)cc1Cl ZINC001208836358 1050015576 /nfs/dbraw/zinc/01/55/76/1050015576.db2.gz BTRPIMNIEXMQPI-UHFFFAOYSA-N 0 3 321.251 4.420 20 0 DIADHN Clc1cnc2c(c1)CN(CCCc1ccccc1Cl)CC2 ZINC001208875526 1050019618 /nfs/dbraw/zinc/01/96/18/1050019618.db2.gz XGRDZCYJPUZJAW-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN C[C@@H](CN1CCC[C@]2(CCc3c2cccc3F)C1)C(F)(F)F ZINC001208898725 1050020514 /nfs/dbraw/zinc/02/05/14/1050020514.db2.gz XSXHJZSRXKBWQS-LRDDRELGSA-N 0 3 315.354 4.304 20 0 DIADHN Cc1ccccc1OC1CCN(C[C@H](C)C(F)(F)F)CC1 ZINC001208887668 1050020782 /nfs/dbraw/zinc/02/07/82/1050020782.db2.gz OCFGRFQSEMJTMV-ZDUSSCGKSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@H](CN1CCC[C@@]2(CCc3c2cccc3F)C1)C(F)(F)F ZINC001208898726 1050020975 /nfs/dbraw/zinc/02/09/75/1050020975.db2.gz XSXHJZSRXKBWQS-MLGOLLRUSA-N 0 3 315.354 4.304 20 0 DIADHN Cc1cc(Br)c(NC2=CCN(C)CC2)cc1Cl ZINC001208909836 1050022119 /nfs/dbraw/zinc/02/21/19/1050022119.db2.gz HDAOJDBMWVDISD-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN CCN(CCSCc1ccccc1)[C@@H](C)c1cccnc1 ZINC000589206140 1050024830 /nfs/dbraw/zinc/02/48/30/1050024830.db2.gz KGJUSIWOOPELQC-INIZCTEOSA-N 0 3 300.471 4.398 20 0 DIADHN CN1CC=C(Nc2ccc(OC(F)(F)F)cc2C2CC2)CC1 ZINC001208980956 1050029763 /nfs/dbraw/zinc/02/97/63/1050029763.db2.gz ZCFCVXQIWFGJRY-UHFFFAOYSA-N 0 3 312.335 4.094 20 0 DIADHN O=C(OCCN1CCCC[C@@H]1c1ccccc1)c1ccccc1 ZINC001209040338 1050031274 /nfs/dbraw/zinc/03/12/74/1050031274.db2.gz MLSYKVSFFGGOIO-LJQANCHMSA-N 0 3 309.409 4.071 20 0 DIADHN CO[C@@H]1CCN(CCc2ccc(Cl)cc2Cl)[C@H](C)C1 ZINC001209082810 1050033107 /nfs/dbraw/zinc/03/31/07/1050033107.db2.gz DFHUFNWCFLBWDL-BXUZGUMPSA-N 0 3 302.245 4.035 20 0 DIADHN COCC(C)(C)CCCN[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000572671550 1050040039 /nfs/dbraw/zinc/04/00/39/1050040039.db2.gz JMRHIVDRVCNVRT-JTQLQIEISA-N 0 3 324.412 4.265 20 0 DIADHN Fc1cc(F)cc(CCN2CC[C@H](c3ccccc3F)C2)c1 ZINC001209139694 1050040133 /nfs/dbraw/zinc/04/01/33/1050040133.db2.gz DFXUQPNJXGZVAB-AWEZNQCLSA-N 0 3 305.343 4.136 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@@H]1COc2ccccc21 ZINC000572877996 1050047182 /nfs/dbraw/zinc/04/71/82/1050047182.db2.gz LIHAUIHNDXXCCK-GFCCVEGCSA-N 0 3 313.784 4.352 20 0 DIADHN c1cc2c(s1)CCN(CCCCOCc1ccccc1)C2 ZINC001209255322 1050048156 /nfs/dbraw/zinc/04/81/56/1050048156.db2.gz ZNBASVCKVYGBRE-UHFFFAOYSA-N 0 3 301.455 4.103 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc3cccnc3c2)c1 ZINC000589639241 1050050871 /nfs/dbraw/zinc/05/08/71/1050050871.db2.gz YMEQQOTVXFTRRI-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc3cccnc3c2)c1 ZINC000589639242 1050051151 /nfs/dbraw/zinc/05/11/51/1050051151.db2.gz YMEQQOTVXFTRRI-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc3cccnc3c2)cc1 ZINC000589635462 1050051197 /nfs/dbraw/zinc/05/11/97/1050051197.db2.gz QIELYWLPERDBPD-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc3cccnc3c2)cc1 ZINC000589639788 1050052618 /nfs/dbraw/zinc/05/26/18/1050052618.db2.gz UZXRMJVTPORSHI-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1ccc2cccnc2c1 ZINC000589647786 1050053849 /nfs/dbraw/zinc/05/38/49/1050053849.db2.gz XVUYKQHXPCWBIR-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cccc2c(CN3CC[C@](CF)(C(F)(F)F)C3)c[nH]c21 ZINC001209482575 1050062364 /nfs/dbraw/zinc/06/23/64/1050062364.db2.gz OYANAVKEHSKEME-OAHLLOKOSA-N 0 3 314.326 4.200 20 0 DIADHN Cc1cccc2c(CN3CCC4(CCOC4(C)C)CC3)c[nH]c21 ZINC001209492773 1050064086 /nfs/dbraw/zinc/06/40/86/1050064086.db2.gz JDEWDOQIPZBFPT-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000589860533 1050064161 /nfs/dbraw/zinc/06/41/61/1050064161.db2.gz MSTUVSXTJAJQHI-YQVWRLOYSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cccc2c(CN3CCC4(CCC(C)(C)O4)CC3)c[nH]c21 ZINC001209493646 1050064246 /nfs/dbraw/zinc/06/42/46/1050064246.db2.gz MUKRLCHYHPBNKD-UHFFFAOYSA-N 0 3 312.457 4.400 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cc3c(cn2)OCCC3)cc1 ZINC001209502641 1050065012 /nfs/dbraw/zinc/06/50/12/1050065012.db2.gz JZJCNNNGTRMUQP-IBGZPJMESA-N 0 3 308.425 4.052 20 0 DIADHN Cc1cccc(CN(Cc2c3ccccc3nn2C)C2CC2)c1C ZINC001209540433 1050066915 /nfs/dbraw/zinc/06/69/15/1050066915.db2.gz WSCZFKLYUYAKPA-UHFFFAOYSA-N 0 3 319.452 4.355 20 0 DIADHN Cn1nc2ccccc2c1CN1CCC(c2ccccc2F)CC1 ZINC001209536246 1050067610 /nfs/dbraw/zinc/06/76/10/1050067610.db2.gz JPNYJSGBHMUKSZ-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN Cc1n[nH]cc1CN1CCC(CCc2ccc(Cl)cc2)CC1 ZINC001209555884 1050068634 /nfs/dbraw/zinc/06/86/34/1050068634.db2.gz NKABNOOXDAQFTC-UHFFFAOYSA-N 0 3 317.864 4.216 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2cccc(Br)c2)[nH]1 ZINC001209577339 1050069847 /nfs/dbraw/zinc/06/98/47/1050069847.db2.gz PUNPQRCYAWNTGB-LBPRGKRZSA-N 0 3 307.235 4.279 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@@H+]1CCC[C@H]1c1ccccn1 ZINC000057103333 1050071006 /nfs/dbraw/zinc/07/10/06/1050071006.db2.gz LPKCKECDQWSMNI-IBGZPJMESA-N 0 3 304.393 4.278 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@H+]1CCC[C@H]1c1ccccn1 ZINC000057103333 1050071013 /nfs/dbraw/zinc/07/10/13/1050071013.db2.gz LPKCKECDQWSMNI-IBGZPJMESA-N 0 3 304.393 4.278 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cnc(Cl)cc2C)C1 ZINC001209627729 1050071355 /nfs/dbraw/zinc/07/13/55/1050071355.db2.gz CQGFEXIFTHAVMV-AWEZNQCLSA-N 0 3 316.832 4.042 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]c3ncc(Cl)cc13)CC2 ZINC001209598280 1050071429 /nfs/dbraw/zinc/07/14/29/1050071429.db2.gz BERJBZDCPZJQEY-CQSZACIVSA-N 0 3 321.827 4.321 20 0 DIADHN CCCCN(Cc1c(C)nc2ccccn21)Cc1ccccc1 ZINC001209647321 1050073429 /nfs/dbraw/zinc/07/34/29/1050073429.db2.gz LLSDTWAAUOELNG-UHFFFAOYSA-N 0 3 307.441 4.445 20 0 DIADHN c1cn(Cc2ccccc2)c(CN(CC2CC2)C2CCCCC2)n1 ZINC000590062902 1050075512 /nfs/dbraw/zinc/07/55/12/1050075512.db2.gz QOTCDECFAITCCA-UHFFFAOYSA-N 0 3 323.484 4.476 20 0 DIADHN CSCc1cnc(CNCCCc2ccc(C)cc2C)s1 ZINC000590180661 1050080344 /nfs/dbraw/zinc/08/03/44/1050080344.db2.gz STCMMOKIAIDFNU-UHFFFAOYSA-N 0 3 320.527 4.345 20 0 DIADHN Nc1ccncc1CN1CCC(c2csc3ccccc32)CC1 ZINC001209733456 1050080668 /nfs/dbraw/zinc/08/06/68/1050080668.db2.gz MXZMEIXZNMCOHO-UHFFFAOYSA-N 0 3 323.465 4.088 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2c[nH]nc2-c2ccccc2)cc1 ZINC001209762828 1050081514 /nfs/dbraw/zinc/08/15/14/1050081514.db2.gz CWCIJEFOOATZHD-OAHLLOKOSA-N 0 3 321.424 4.278 20 0 DIADHN CN(Cc1n[nH]c2ccc(Cl)cc21)[C@H]1CCc2ccccc21 ZINC001209758043 1050082189 /nfs/dbraw/zinc/08/21/89/1050082189.db2.gz DKVWCYKTUMSAPG-SFHVURJKSA-N 0 3 311.816 4.336 20 0 DIADHN CN(Cc1[nH]nc2ccc(Cl)cc21)[C@H]1CCc2ccccc21 ZINC001209758043 1050082194 /nfs/dbraw/zinc/08/21/94/1050082194.db2.gz DKVWCYKTUMSAPG-SFHVURJKSA-N 0 3 311.816 4.336 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2ccccc2N(C)C)CC1 ZINC001209773486 1050082739 /nfs/dbraw/zinc/08/27/39/1050082739.db2.gz CNYMRSGLOAIZPZ-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](Oc4ccccc4)C3)cc2c1 ZINC001209771287 1050083169 /nfs/dbraw/zinc/08/31/69/1050083169.db2.gz LWPHXBHLGMZPKV-SFHVURJKSA-N 0 3 323.392 4.095 20 0 DIADHN COc1ccc2oc(CN3CCC4(CC(F)(F)C4)CC3)cc2c1 ZINC001209770420 1050083192 /nfs/dbraw/zinc/08/31/92/1050083192.db2.gz VGLIZMGCBVMTDX-UHFFFAOYSA-N 0 3 321.367 4.453 20 0 DIADHN CC[C@H](N[C@H](C)c1ccsc1)c1ccc(C(=O)OC)cc1 ZINC000590254412 1050083414 /nfs/dbraw/zinc/08/34/14/1050083414.db2.gz GNCPCVCVKMTGAD-WBMJQRKESA-N 0 3 303.427 4.337 20 0 DIADHN COc1ccc(-c2nc(CNC3(C)CCCC3)cs2)cc1 ZINC000590302906 1050087030 /nfs/dbraw/zinc/08/70/30/1050087030.db2.gz UONNYGUBYVSLCC-UHFFFAOYSA-N 0 3 302.443 4.241 20 0 DIADHN CC[NH+](CC)Cc1ccc(Nc2cc([O-])c(F)cc2F)cc1 ZINC001209823200 1050089495 /nfs/dbraw/zinc/08/94/95/1050089495.db2.gz ACGZAXCWWCJIKV-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ccoc1)NC(=O)OC(C)(C)C ZINC000224406488 1050097326 /nfs/dbraw/zinc/09/73/26/1050097326.db2.gz VIRPIBCSQXHGDY-CYBMUJFWSA-N 0 3 310.438 4.014 20 0 DIADHN CO[C@@H]1CCC[C@@H]1N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000224435606 1050099535 /nfs/dbraw/zinc/09/95/35/1050099535.db2.gz WCPZCEKDVKOQQS-ULCPSODYSA-N 0 3 306.208 4.351 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1ccc(SC)cc1)C2 ZINC000590789981 1050107673 /nfs/dbraw/zinc/10/76/73/1050107673.db2.gz UNSDKCQYJFFTLI-UHFFFAOYSA-N 0 3 313.466 4.366 20 0 DIADHN Cn1ccnc1Nc1cc(F)c(OCc2ccccc2)c(F)c1 ZINC001209996917 1050119608 /nfs/dbraw/zinc/11/96/08/1050119608.db2.gz DACDGFSPOVMUNY-UHFFFAOYSA-N 0 3 315.323 4.021 20 0 DIADHN COC(=O)c1cc(CN[C@@H](CC2CC2)c2ccccc2)cs1 ZINC000591023423 1050121311 /nfs/dbraw/zinc/12/13/11/1050121311.db2.gz LLLSTKWCZZXANG-INIZCTEOSA-N 0 3 315.438 4.166 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC001168400406 1050133291 /nfs/dbraw/zinc/13/32/91/1050133291.db2.gz AKLJXVKCONXMIK-KBPBESRZSA-N 0 3 322.880 4.343 20 0 DIADHN CC(C)CC[C@H](N[C@@H](c1nccn1C)c1ccccc1)C1CC1 ZINC000573187811 1050139503 /nfs/dbraw/zinc/13/95/03/1050139503.db2.gz RBMFCELZBMFNAB-RBUKOAKNSA-N 0 3 311.473 4.314 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ccnc(Cl)c1F ZINC000823966513 1050170676 /nfs/dbraw/zinc/17/06/76/1050170676.db2.gz RYGJSAUWGBMMHF-RYUDHWBXSA-N 0 3 302.846 4.028 20 0 DIADHN CN(Cc1cc(F)ccc1Br)C(C1CC1)C1CC1 ZINC000591374191 1050142564 /nfs/dbraw/zinc/14/25/64/1050142564.db2.gz KIUPRYWIVGFDRQ-UHFFFAOYSA-N 0 3 312.226 4.209 20 0 DIADHN CCn1nnc(C)c1CN[C@@H](c1ccccc1)C1CCCCC1 ZINC000823653729 1050145864 /nfs/dbraw/zinc/14/58/64/1050145864.db2.gz CXEPNVSDSWOHCA-IBGZPJMESA-N 0 3 312.461 4.018 20 0 DIADHN CCCCC[C@H](NCc1c(C)nnn1CC)c1ccccc1 ZINC000823778118 1050154384 /nfs/dbraw/zinc/15/43/84/1050154384.db2.gz NWKMSXBURGQFTB-KRWDZBQOSA-N 0 3 300.450 4.018 20 0 DIADHN CCCCC[C@@H](NCc1c(C)nnn1CC)c1ccccc1 ZINC000823778119 1050154890 /nfs/dbraw/zinc/15/48/90/1050154890.db2.gz NWKMSXBURGQFTB-QGZVFWFLSA-N 0 3 300.450 4.018 20 0 DIADHN Cc1ccccc1[C@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000805840066 1050156308 /nfs/dbraw/zinc/15/63/08/1050156308.db2.gz WPYCOVCZBXUJLT-WBVHZDCISA-N 0 3 301.455 4.173 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1csc2ccccc12 ZINC000823789883 1050157823 /nfs/dbraw/zinc/15/78/23/1050157823.db2.gz RTROGCSFBFGGBP-GFCCVEGCSA-N 0 3 311.454 4.363 20 0 DIADHN CC[C@@H](C(=O)N1CCCCC[C@@H]1c1ccc(Cl)cc1)N(C)C ZINC001136785879 1050159582 /nfs/dbraw/zinc/15/95/82/1050159582.db2.gz LYYPKAHATYZNHM-DLBZAZTESA-N 0 3 322.880 4.124 20 0 DIADHN CCCN1CCCC[C@H]1c1ccc(NC(C)(C)CC(C)=O)nc1 ZINC001160300668 1050159893 /nfs/dbraw/zinc/15/98/93/1050159893.db2.gz KQBAJNUTRKZSEK-KRWDZBQOSA-N 0 3 317.477 4.188 20 0 DIADHN CCc1cc(CNCc2ccccc2-c2ccccc2C)[nH]n1 ZINC000823861180 1050161290 /nfs/dbraw/zinc/16/12/90/1050161290.db2.gz YQZYSSDIYSHSGJ-UHFFFAOYSA-N 0 3 305.425 4.237 20 0 DIADHN CCc1cc(CNCc2ccccc2-c2ccccc2C)n[nH]1 ZINC000823861180 1050161299 /nfs/dbraw/zinc/16/12/99/1050161299.db2.gz YQZYSSDIYSHSGJ-UHFFFAOYSA-N 0 3 305.425 4.237 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCc2ncc(C)cc2C)s1 ZINC000573364558 1050163873 /nfs/dbraw/zinc/16/38/73/1050163873.db2.gz GJXXVCXTJUIQSG-OAHLLOKOSA-N 0 3 317.502 4.261 20 0 DIADHN O=C(CCN1CCC[C@@H]1c1ccsc1)c1ccc(F)cc1 ZINC000348005284 1050169478 /nfs/dbraw/zinc/16/94/78/1050169478.db2.gz SIFCTPLWOJECLQ-MRXNPFEDSA-N 0 3 303.402 4.297 20 0 DIADHN Clc1cccc2c1CC[C@@H](N1CCc3sccc3C1)C2 ZINC001171037530 1050171340 /nfs/dbraw/zinc/17/13/40/1050171340.db2.gz MMMYLZDEZQUFMR-CQSZACIVSA-N 0 3 303.858 4.317 20 0 DIADHN Cc1cnccc1CN[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000824006883 1050172614 /nfs/dbraw/zinc/17/26/14/1050172614.db2.gz MJBRJJCGOBPTTB-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN CN(Cc1ccc(-c2ccccc2F)s1)[C@@H]1CCCOC1 ZINC000573407182 1050174743 /nfs/dbraw/zinc/17/47/43/1050174743.db2.gz HQUIGJOLXPTVTK-CYBMUJFWSA-N 0 3 305.418 4.165 20 0 DIADHN F[C@@H]1CCN([C@H]2CCc3c(Cl)cccc3C2)CCC1(F)F ZINC001171038396 1050175197 /nfs/dbraw/zinc/17/51/97/1050175197.db2.gz WYSWVBTWRJEHEJ-SWLSCSKDSA-N 0 3 317.782 4.267 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@H](CC1CCC1)c1ccccc1 ZINC000824113536 1050186035 /nfs/dbraw/zinc/18/60/35/1050186035.db2.gz ICJIOXAUEIDBEJ-LJQANCHMSA-N 0 3 309.457 4.319 20 0 DIADHN CC[C@@H](NCc1cccc2cccnc21)c1ccccc1OC ZINC000378883312 1050191640 /nfs/dbraw/zinc/19/16/40/1050191640.db2.gz GIBHTKYYBVKRCO-GOSISDBHSA-N 0 3 306.409 4.484 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCC1=Cc2ccccc2OC1 ZINC000591718288 1050191935 /nfs/dbraw/zinc/19/19/35/1050191935.db2.gz VPFLCSHWYYNXHH-LJQANCHMSA-N 0 3 321.420 4.138 20 0 DIADHN COc1ccccc1CNCc1cc(C)cc2c(C)c(C)[nH]c21 ZINC000591709067 1050199377 /nfs/dbraw/zinc/19/93/77/1050199377.db2.gz LXIGADLRFMLCLF-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136862731 1050201260 /nfs/dbraw/zinc/20/12/60/1050201260.db2.gz YKYOFWIXRXSECT-INIZCTEOSA-N 0 3 306.837 4.211 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@](C)(O)c3ccccc3)o2)cc1 ZINC000734005129 1050212078 /nfs/dbraw/zinc/21/20/78/1050212078.db2.gz WZJZKFJDZISMAI-OAQYLSRUSA-N 0 3 321.420 4.252 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1C[C@H](O)c1ccc(F)c(F)c1 ZINC000734003287 1050212179 /nfs/dbraw/zinc/21/21/79/1050212179.db2.gz ZUMAPQILAYVGAB-MOPGFXCFSA-N 0 3 317.379 4.008 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1C[C@@H](O)c1ccc(F)c(F)c1 ZINC000734003297 1050213021 /nfs/dbraw/zinc/21/30/21/1050213021.db2.gz ZUMAPQILAYVGAB-RTBURBONSA-N 0 3 317.379 4.008 20 0 DIADHN c1c(CN2CCC[C@H](CCc3ccccc3)C2)nc2ccccn12 ZINC001136883899 1050213439 /nfs/dbraw/zinc/21/34/39/1050213439.db2.gz JKBARHKGXGNMLA-LJQANCHMSA-N 0 3 319.452 4.179 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1ccc(C(F)(F)F)s1 ZINC000573578145 1050215546 /nfs/dbraw/zinc/21/55/46/1050215546.db2.gz HNNWZGPJUQDSTO-ZDUSSCGKSA-N 0 3 312.360 4.126 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1ccc(C(F)(F)F)s1 ZINC000573578144 1050216272 /nfs/dbraw/zinc/21/62/72/1050216272.db2.gz HNNWZGPJUQDSTO-CYBMUJFWSA-N 0 3 312.360 4.126 20 0 DIADHN CC(C)(C)C(=O)CN[C@H]1CCc2cc(Cl)cc(Cl)c2C1 ZINC001171058428 1050217398 /nfs/dbraw/zinc/21/73/98/1050217398.db2.gz BMAIENFLSQRABU-LBPRGKRZSA-N 0 3 314.256 4.056 20 0 DIADHN Clc1ccc(CCCCN[C@@H]2CCn3ccnc32)cc1Cl ZINC000824527211 1050218575 /nfs/dbraw/zinc/21/85/75/1050218575.db2.gz TUYOSRAKAOISRI-OAHLLOKOSA-N 0 3 324.255 4.247 20 0 DIADHN CC(C)n1ncc2cc(CN(C)C3CCCCC3)c(Cl)nc21 ZINC000157495416 1050219256 /nfs/dbraw/zinc/21/92/56/1050219256.db2.gz VGUDUUWNFYOPIB-UHFFFAOYSA-N 0 3 320.868 4.430 20 0 DIADHN Cc1ccn2c(CN(Cc3ccccc3)CC(C)C)cnc2c1 ZINC001136885022 1050219863 /nfs/dbraw/zinc/21/98/63/1050219863.db2.gz BUNSTUWTQHSSMO-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cnc2cc(C)ccn12 ZINC001136891583 1050221201 /nfs/dbraw/zinc/22/12/01/1050221201.db2.gz UYIIHOAGOVBJCB-IBGZPJMESA-N 0 3 305.425 4.152 20 0 DIADHN Fc1cnc(Cl)c(CN[C@@H]2CC[C@@H](c3ccccc3)C2)c1 ZINC000824544602 1050225394 /nfs/dbraw/zinc/22/53/94/1050225394.db2.gz ZOCGBIWMNMPRSJ-CZUORRHYSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cncc3ccccc32)c2ccccc2O1 ZINC000824651361 1050230985 /nfs/dbraw/zinc/23/09/85/1050230985.db2.gz YQWOCHMJYWSRTL-AUUYWEPGSA-N 0 3 304.393 4.237 20 0 DIADHN CCOc1ccccc1CN1CCCO[C@@H](c2ccccc2)C1 ZINC000591811792 1050231380 /nfs/dbraw/zinc/23/13/80/1050231380.db2.gz RQQNCUXUMSFIQM-HXUWFJFHSA-N 0 3 311.425 4.049 20 0 DIADHN C[C@]1(CNCc2ccnc(Cl)c2Cl)CC1(Cl)Cl ZINC000824774231 1050242327 /nfs/dbraw/zinc/24/23/27/1050242327.db2.gz RVCBSMHFUDNAMV-SNVBAGLBSA-N 0 3 314.043 4.062 20 0 DIADHN CC1(C)CN(Cc2ccc(OCC(F)F)cc2)CCC1(F)F ZINC001136935893 1050245569 /nfs/dbraw/zinc/24/55/69/1050245569.db2.gz YPRUTTFLRWOAFU-UHFFFAOYSA-N 0 3 319.342 4.198 20 0 DIADHN CC(C)(C)C[C@@H]1CC[N@H+](Cc2cc(=O)[n-]c(C3CC3)n2)C1(C)C ZINC001171069466 1050246874 /nfs/dbraw/zinc/24/68/74/1050246874.db2.gz RQPPFTRIWIGROM-AWEZNQCLSA-N 0 3 317.477 4.096 20 0 DIADHN CC(C)(C)C[C@@H]1CC[N@@H+](Cc2cc(=O)[n-]c(C3CC3)n2)C1(C)C ZINC001171069466 1050246886 /nfs/dbraw/zinc/24/68/86/1050246886.db2.gz RQPPFTRIWIGROM-AWEZNQCLSA-N 0 3 317.477 4.096 20 0 DIADHN CC(C)C[C@@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000771456475 1050251299 /nfs/dbraw/zinc/25/12/99/1050251299.db2.gz ZUOUFZDZLAQKRG-GOSISDBHSA-N 0 3 319.395 4.051 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3cc(C)ccc13)CC2 ZINC001136969674 1050255779 /nfs/dbraw/zinc/25/57/79/1050255779.db2.gz XEJLUJVKQCYZPS-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC[C@@H]3C[C@@H](O)c3ccco3)cc21 ZINC000824927941 1050257245 /nfs/dbraw/zinc/25/72/45/1050257245.db2.gz RWTUOVLTPWQTRD-VQIMIIECSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@@H]3C[C@@H](O)c3ccco3)[nH]c2c1 ZINC000824928319 1050258419 /nfs/dbraw/zinc/25/84/19/1050258419.db2.gz WBGCRYHTQDLKCH-IEBWSBKVSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1ccc2c(CN(C)CCC(=O)c3ccccc3)c[nH]c2c1 ZINC001136975073 1050260478 /nfs/dbraw/zinc/26/04/78/1050260478.db2.gz YXXGUSCNHWKGEL-UHFFFAOYSA-N 0 3 306.409 4.181 20 0 DIADHN COc1ccc(C2(NCc3ccc4c(n3)CCC4)CCCC2)cc1 ZINC000573928228 1050261571 /nfs/dbraw/zinc/26/15/71/1050261571.db2.gz LFALIKGTSWUPJL-UHFFFAOYSA-N 0 3 322.452 4.138 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)c1 ZINC000573868912 1050262266 /nfs/dbraw/zinc/26/22/66/1050262266.db2.gz UHBSGTYSIGGJOE-GOSISDBHSA-N 0 3 309.457 4.072 20 0 DIADHN FC1CCN([C@@H]2CCc3cc(Cl)cc(Cl)c3C2)CC1 ZINC001171073136 1050267771 /nfs/dbraw/zinc/26/77/71/1050267771.db2.gz BDLNLEAADFCRIY-CYBMUJFWSA-N 0 3 302.220 4.285 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)OCc2ccccc2Cl)o1 ZINC000825282244 1050290155 /nfs/dbraw/zinc/29/01/55/1050290155.db2.gz KAEJHGTWMXGGDZ-UHFFFAOYSA-N 0 3 321.804 4.132 20 0 DIADHN CN(C)c1ccc(CNCc2ccc(C(C)(C)C)cc2)cc1F ZINC000058475072 1050303875 /nfs/dbraw/zinc/30/38/75/1050303875.db2.gz NMRLHAZYNXGAGS-UHFFFAOYSA-N 0 3 314.448 4.479 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C(F)=C/C1CCCCC1 ZINC000825441841 1050304696 /nfs/dbraw/zinc/30/46/96/1050304696.db2.gz XXWDJAYSYZIPCU-VBKFSLOCSA-N 0 3 304.409 4.120 20 0 DIADHN CC(C)(C)c1ccc([C@@H](O)CNCc2cccc(F)c2F)cc1 ZINC000734848141 1050324689 /nfs/dbraw/zinc/32/46/89/1050324689.db2.gz BIVAADNWWFPQOS-KRWDZBQOSA-N 0 3 319.395 4.086 20 0 DIADHN CCC1CCN(Cc2ccnc(NC(=O)OC(C)(C)C)c2)CC1 ZINC001143683620 1050335298 /nfs/dbraw/zinc/33/52/98/1050335298.db2.gz BXOSHMBHKORDLZ-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN CC(C)(O)[C@H]1CCCN1Cc1ccc(C(F)(F)F)cc1Cl ZINC001143715507 1050339193 /nfs/dbraw/zinc/33/91/93/1050339193.db2.gz KWXFKTHAIUUOFT-CYBMUJFWSA-N 0 3 321.770 4.094 20 0 DIADHN CCc1ccc([C@H]2CCCN([C@@H](CC(C)C)C(=O)OC)C2)cc1 ZINC001170040937 1050347984 /nfs/dbraw/zinc/34/79/84/1050347984.db2.gz ZQSSGXXHANMETL-OALUTQOASA-N 0 3 317.473 4.016 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H](NCc1ncccc1Cl)C2 ZINC001171100205 1050348938 /nfs/dbraw/zinc/34/89/38/1050348938.db2.gz PKBKKMGMUNREKJ-CQSZACIVSA-N 0 3 307.224 4.036 20 0 DIADHN CC(=O)[C@H](N[C@H]1CCc2cc(Cl)ccc2C1)c1ccccc1 ZINC001171099673 1050349528 /nfs/dbraw/zinc/34/95/28/1050349528.db2.gz JZKUQAOYEYKHRW-OALUTQOASA-N 0 3 313.828 4.117 20 0 DIADHN Cc1c(F)cc(F)cc1CN1CCC(c2ccc(O)cc2)CC1 ZINC001143769182 1050352996 /nfs/dbraw/zinc/35/29/96/1050352996.db2.gz JQKSLCWHIBEQPX-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(F)cc(F)c2C)C1 ZINC001143757505 1050355090 /nfs/dbraw/zinc/35/50/90/1050355090.db2.gz BQQOZQIQBXLLIM-CQSZACIVSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@@H](NCCc1ccc(N2CCCCC2)cc1)c1nccs1 ZINC000772442360 1050357115 /nfs/dbraw/zinc/35/71/15/1050357115.db2.gz FLMHTABGHHCZPB-OAHLLOKOSA-N 0 3 315.486 4.027 20 0 DIADHN COc1c(F)c(F)c(Nc2ccnc(C3CC3)c2)c(F)c1F ZINC001210762974 1050362104 /nfs/dbraw/zinc/36/21/04/1050362104.db2.gz VCJOSWJLBLXUSV-UHFFFAOYSA-N 0 3 312.266 4.268 20 0 DIADHN C[C@@H](CNCc1occc1Br)c1cccc(F)c1 ZINC000735324258 1050370190 /nfs/dbraw/zinc/37/01/90/1050370190.db2.gz KZFFRSSETKOYEV-JTQLQIEISA-N 0 3 312.182 4.075 20 0 DIADHN C[C@@H](O)[C@@](C)(CNCc1ccsc1Cl)Cc1ccccc1 ZINC000480482567 1050376764 /nfs/dbraw/zinc/37/67/64/1050376764.db2.gz QQVWJFZSRYYSQC-CXAGYDPISA-N 0 3 323.889 4.121 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](C)c1ccncc1CC)CCC2 ZINC000574226419 1050379246 /nfs/dbraw/zinc/37/92/46/1050379246.db2.gz JNJXSKVIDKFVNT-IUODEOHRSA-N 0 3 315.486 4.391 20 0 DIADHN CO[C@@H]1CCN(Cc2cccc(C(F)(F)F)c2C)[C@@H](C)C1 ZINC001143929419 1050401594 /nfs/dbraw/zinc/40/15/94/1050401594.db2.gz KFVGYDQMNGAZCP-SMDDNHRTSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1c(CN2CC[C@H]3OCCC[C@H]3C2)cccc1C(F)(F)F ZINC001143917924 1050407264 /nfs/dbraw/zinc/40/72/64/1050407264.db2.gz QYYPKYPFPNZOHJ-GOEBONIOSA-N 0 3 313.363 4.015 20 0 DIADHN Cc1c(CN2CCC3(CCCO3)CC2)cccc1C(F)(F)F ZINC001143930093 1050412545 /nfs/dbraw/zinc/41/25/45/1050412545.db2.gz LANLLSFKRIOOAM-UHFFFAOYSA-N 0 3 313.363 4.159 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@@H]1CCc3ccccc31)CCO2 ZINC000178464153 1050426489 /nfs/dbraw/zinc/42/64/89/1050426489.db2.gz DLLBZYWFUDLMRS-RTBURBONSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CCC2(CCOCC2)[C@@H]1C ZINC000481179110 1050435178 /nfs/dbraw/zinc/43/51/78/1050435178.db2.gz UPNNLPMSCRUUES-STQMWFEESA-N 0 3 311.828 4.431 20 0 DIADHN COC1CCN(Cc2cccc(Oc3ccc(C)cc3)c2)CC1 ZINC001144086491 1050457073 /nfs/dbraw/zinc/45/70/73/1050457073.db2.gz TWHBEHQPWFUUHK-UHFFFAOYSA-N 0 3 311.425 4.398 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3cccnc3Cl)C2)cc1 ZINC000786126773 1050457653 /nfs/dbraw/zinc/45/76/53/1050457653.db2.gz SLUPNJRRSWACLZ-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3ccnc(Cl)c3)C2)cc1 ZINC000786123845 1050457700 /nfs/dbraw/zinc/45/77/00/1050457700.db2.gz ATSCNOUHHAFWBI-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3cccc(Cl)n3)C2)cc1 ZINC000786124199 1050457815 /nfs/dbraw/zinc/45/78/15/1050457815.db2.gz DGDCGZNDCHHXLR-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cccc(O)c3C)CC2)c1 ZINC001144118510 1050470001 /nfs/dbraw/zinc/47/00/01/1050470001.db2.gz YWFZQKKMCXHTFL-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H](NCc1cccc2nsnc21)c1cc2ccccc2o1 ZINC000786444654 1050480948 /nfs/dbraw/zinc/48/09/48/1050480948.db2.gz HDOHZLNWHMPMDD-NSHDSACASA-N 0 3 309.394 4.288 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cccnc1Cl ZINC000736630163 1050486044 /nfs/dbraw/zinc/48/60/44/1050486044.db2.gz XLFAZUZEMZKXGB-DOMZBBRYSA-N 0 3 304.796 4.252 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc3cc[nH]c3n2)c1 ZINC001144179950 1050488015 /nfs/dbraw/zinc/48/80/15/1050488015.db2.gz ZTWXFNUMFRTPBV-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN CC[C@H](NCC(C)(C)NC(=O)OC(C)(C)C)c1ccccc1F ZINC000226608864 1050489706 /nfs/dbraw/zinc/48/97/06/1050489706.db2.gz HEEKBCPIVOHPTB-HNNXBMFYSA-N 0 3 324.440 4.170 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC[C@@H](c4ccccc4)CC3)c2c1 ZINC001144201662 1050493692 /nfs/dbraw/zinc/49/36/92/1050493692.db2.gz CYFDLHFHDBYGDB-MRXNPFEDSA-N 0 3 323.415 4.472 20 0 DIADHN COC[C@@H](NCCSC(C)(C)C)c1ccc(Cl)cc1 ZINC000786848095 1050521123 /nfs/dbraw/zinc/52/11/23/1050521123.db2.gz HJWJLGJFRWNUPL-CQSZACIVSA-N 0 3 301.883 4.149 20 0 DIADHN Cc1cc(Br)cc(CN(C)Cc2cccs2)c1 ZINC001144219045 1050498231 /nfs/dbraw/zinc/49/82/31/1050498231.db2.gz UZVXVIMFTXRXJC-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@@H](c2ccncc2)C1 ZINC001170062774 1050509434 /nfs/dbraw/zinc/50/94/34/1050509434.db2.gz YTRZACBOGLIMPA-MRXNPFEDSA-N 0 3 316.395 4.172 20 0 DIADHN CC(C)(c1noc([C@H]2CC[C@H](C3CC3)N2)n1)C1CCCCC1 ZINC000844915650 1050519902 /nfs/dbraw/zinc/51/99/02/1050519902.db2.gz HCNMJHWCZWTORP-HUUCEWRRSA-N 0 3 303.450 4.131 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@@H](N[C@@H](C)c1ccsc1)CCC2 ZINC000594504720 1050521719 /nfs/dbraw/zinc/52/17/19/1050521719.db2.gz ULZDJHNVDCPAKF-SJCJKPOMSA-N 0 3 315.438 4.263 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1Cl)c1csc(Cl)c1 ZINC000786914569 1050526563 /nfs/dbraw/zinc/52/65/63/1050526563.db2.gz BIMJPCUEFKJDQV-ZANVPECISA-N 0 3 316.253 4.439 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1csc(Cl)c1 ZINC000786914557 1050526661 /nfs/dbraw/zinc/52/66/61/1050526661.db2.gz BIMJPCUEFKJDQV-NOZJJQNGSA-N 0 3 316.253 4.439 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1Cl)c1csc(Cl)c1 ZINC000786914561 1050526796 /nfs/dbraw/zinc/52/67/96/1050526796.db2.gz BIMJPCUEFKJDQV-RNCFNFMXSA-N 0 3 316.253 4.439 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1F)c1csc(Cl)c1 ZINC000786917382 1050529042 /nfs/dbraw/zinc/52/90/42/1050529042.db2.gz OVXKJFLXYCWUKA-UFBFGSQYSA-N 0 3 317.788 4.064 20 0 DIADHN CCN(C)c1ccccc1CNCc1cccn1CCC(C)C ZINC000738430255 1050533483 /nfs/dbraw/zinc/53/34/83/1050533483.db2.gz NDZYCHYZOQAWDH-UHFFFAOYSA-N 0 3 313.489 4.280 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCCOc1cccc(C)c1C ZINC000786995982 1050534678 /nfs/dbraw/zinc/53/46/78/1050534678.db2.gz FKIITZVANJESQA-HNNXBMFYSA-N 0 3 316.445 4.282 20 0 DIADHN CSc1cc(NC(=O)c2ccc(CN(C)C)cc2)ccc1C ZINC000845031917 1050537986 /nfs/dbraw/zinc/53/79/86/1050537986.db2.gz BVODLRAHTRTLJL-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](O)c2cc(F)cc(Cl)c2)o1 ZINC000787023434 1050538402 /nfs/dbraw/zinc/53/84/02/1050538402.db2.gz ODPHGFPICQSYIC-BMIGLBTASA-N 0 3 311.784 4.019 20 0 DIADHN CCc1cccc(CN2CCC3(CC(=O)c4ccccc43)CC2)c1 ZINC001144326791 1050545303 /nfs/dbraw/zinc/54/53/03/1050545303.db2.gz TVAMIFBMGUXUNY-UHFFFAOYSA-N 0 3 319.448 4.369 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1cc(F)cc(Cl)c1)c1ccccn1 ZINC000787039974 1050546396 /nfs/dbraw/zinc/54/63/96/1050546396.db2.gz YEYIJXVCQSEOMK-WBVHZDCISA-N 0 3 322.811 4.039 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)C[C@H]1CC1(Cl)Cl ZINC000746653074 1050559589 /nfs/dbraw/zinc/55/95/89/1050559589.db2.gz RXOUSFAZTLATAE-CYBMUJFWSA-N 0 3 302.245 4.101 20 0 DIADHN CCCCCCC(=O)N1CCN(Cc2ccccc2)CC[C@H]1C ZINC000746641222 1050555604 /nfs/dbraw/zinc/55/56/04/1050555604.db2.gz ONKAVPMCLBGJDH-GOSISDBHSA-N 0 3 316.489 4.080 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(O)cc1C(F)(F)F ZINC001144385940 1050557949 /nfs/dbraw/zinc/55/79/49/1050557949.db2.gz LAPHGTIHXZFCRF-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000746654585 1050561190 /nfs/dbraw/zinc/56/11/90/1050561190.db2.gz JPAKVRKWJDHPIJ-XIKOKIGWSA-N 0 3 323.358 4.139 20 0 DIADHN Cc1cccc2c1CCN(Cc1c[nH]c3nc(Cl)ccc13)C2 ZINC001144406157 1050565596 /nfs/dbraw/zinc/56/55/96/1050565596.db2.gz UIVPEDKWUNGPEQ-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1c[nH]c3nc(Cl)ccc13)CC2 ZINC001144405852 1050566226 /nfs/dbraw/zinc/56/62/26/1050566226.db2.gz IJAGIZZYUIXPLU-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCOc1cccc(Cl)c1 ZINC000574777974 1050567632 /nfs/dbraw/zinc/56/76/32/1050567632.db2.gz GAKFQTMBENMNEF-UHFFFAOYSA-N 0 3 322.836 4.239 20 0 DIADHN CC[C@@H](C)[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000574844637 1050568615 /nfs/dbraw/zinc/56/86/15/1050568615.db2.gz QBRPOIOVOBBJGE-PXAZEXFGSA-N 0 3 304.821 4.318 20 0 DIADHN Clc1ccc2c(CN3CCC[C@H]4CCCC[C@H]43)c[nH]c2n1 ZINC001144415594 1050570030 /nfs/dbraw/zinc/57/00/30/1050570030.db2.gz KNLZRFDQJJOGBF-IUODEOHRSA-N 0 3 303.837 4.371 20 0 DIADHN Cc1nc2ccc(Nc3cc(F)ccc3OCC(F)F)cc2[nH]1 ZINC001213075050 1050576503 /nfs/dbraw/zinc/57/65/03/1050576503.db2.gz AMORNEUOHYKHFF-UHFFFAOYSA-N 0 3 321.302 4.398 20 0 DIADHN COc1cccc2cc(Nc3ccc4nc(C)[nH]c4c3)cnc21 ZINC001213072351 1050578606 /nfs/dbraw/zinc/57/86/06/1050578606.db2.gz OUTHVPJZPQTHGM-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN Cc1nc2ccc(Nc3ccnc(Br)c3C)cc2[nH]1 ZINC001213072115 1050579161 /nfs/dbraw/zinc/57/91/61/1050579161.db2.gz DAWWHJXHSCNXNI-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN COc1ccc(Nc2ccc3nc(C)[nH]c3c2)c2ncccc12 ZINC001213075332 1050579990 /nfs/dbraw/zinc/57/99/90/1050579990.db2.gz PAGHUZXTMWZPAO-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN [O-]c1ccc(C[NH2+][C@@H]2CC[C@H](c3ccc(F)cc3)C2)cc1F ZINC000780531111 1050580868 /nfs/dbraw/zinc/58/08/68/1050580868.db2.gz YSBWLUQBMQGQCJ-GOEBONIOSA-N 0 3 303.352 4.096 20 0 DIADHN Cc1nc2ccc(Nc3cc(N)ccc3OC(F)(F)F)cc2[nH]1 ZINC001213076482 1050581635 /nfs/dbraw/zinc/58/16/35/1050581635.db2.gz UBPJONWNGADNIT-UHFFFAOYSA-N 0 3 322.290 4.096 20 0 DIADHN Cc1nc2ccc(Nc3cc(C(F)(F)F)ccc3O)cc2[nH]1 ZINC001213075635 1050581682 /nfs/dbraw/zinc/58/16/82/1050581682.db2.gz XQWMGXIADYCXID-UHFFFAOYSA-N 0 3 307.275 4.339 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC000787269663 1050582533 /nfs/dbraw/zinc/58/25/33/1050582533.db2.gz YDJFIIFSORXBCK-OAHLLOKOSA-N 0 3 316.395 4.438 20 0 DIADHN Fc1ccc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)nc1 ZINC000787264055 1050584592 /nfs/dbraw/zinc/58/45/92/1050584592.db2.gz YMLHZNDIONYXNN-HNNXBMFYSA-N 0 3 309.388 4.082 20 0 DIADHN COc1ncc(CN(Cc2ccccc2)C(C)(C)C)cc1F ZINC001144450764 1050586229 /nfs/dbraw/zinc/58/62/29/1050586229.db2.gz FICQBWHEZCHUSG-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccccc2F)[C@@H]1c1cccc(F)c1 ZINC000746678094 1050596074 /nfs/dbraw/zinc/59/60/74/1050596074.db2.gz IQZIIDZZPZLOGO-VMDGZTHMSA-N 0 3 317.379 4.081 20 0 DIADHN CSCC1(CCN[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000595067484 1050597403 /nfs/dbraw/zinc/59/74/03/1050597403.db2.gz IYVPUZJRMYPRAV-SECBINFHSA-N 0 3 324.437 4.346 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCc2cc(C)cc(C)c2)c1 ZINC000595071849 1050598748 /nfs/dbraw/zinc/59/87/48/1050598748.db2.gz CAZRSKIYURRIOQ-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN CSCC1(CCN[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000595073456 1050598822 /nfs/dbraw/zinc/59/88/22/1050598822.db2.gz UAUIVLOLBFXWLE-GOSISDBHSA-N 0 3 312.482 4.294 20 0 DIADHN COc1ccc(CN2CCCC23CC3)cc1OCc1ccccc1 ZINC001144494912 1050602672 /nfs/dbraw/zinc/60/26/72/1050602672.db2.gz GDWXZJHEEKSWEQ-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@@H](c3cccnc3)C2)c1 ZINC000574875172 1050604892 /nfs/dbraw/zinc/60/48/92/1050604892.db2.gz WAPQCVJASATNRM-OAHLLOKOSA-N 0 3 306.331 4.090 20 0 DIADHN CC(=O)c1ccnc(Cl)c1Nc1ccnc(C(C)(C)C)c1 ZINC001213162636 1050605040 /nfs/dbraw/zinc/60/50/40/1050605040.db2.gz GVZWAJCKRQVHNF-UHFFFAOYSA-N 0 3 303.793 4.374 20 0 DIADHN CC(C)(C)c1cc(Nc2c[nH]c(=O)c(C(F)(F)F)c2)ccn1 ZINC001213164031 1050605561 /nfs/dbraw/zinc/60/55/61/1050605561.db2.gz GNVZPKUWJPLEFL-UHFFFAOYSA-N 0 3 311.307 4.242 20 0 DIADHN CC(=O)N1CCc2c1cccc2Nc1ccnc(C(C)(C)C)c1 ZINC001213168253 1050605573 /nfs/dbraw/zinc/60/55/73/1050605573.db2.gz BFAVNVKCFKVSJJ-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc3c(C4CC4)cnn3c2)ccn1 ZINC001213164131 1050605704 /nfs/dbraw/zinc/60/57/04/1050605704.db2.gz JDTYBSZHLONURJ-UHFFFAOYSA-N 0 3 307.401 4.043 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)CNC(=O)C3(C)C)ccn1 ZINC001213168300 1050607963 /nfs/dbraw/zinc/60/79/63/1050607963.db2.gz DJMLJDFQSGGVDJ-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN FC(F)(F)c1ncccc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC001144531431 1050608288 /nfs/dbraw/zinc/60/82/88/1050608288.db2.gz DKCSVCNSZJVBJV-HNNXBMFYSA-N 0 3 320.358 4.165 20 0 DIADHN CC1=C[C@@H](C)C[C@@H](CNCc2coc(-c3cccs3)n2)C1 ZINC000574964442 1050624197 /nfs/dbraw/zinc/62/41/97/1050624197.db2.gz IRAWLOKNTHMFGC-TZMCWYRMSA-N 0 3 302.443 4.485 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1C ZINC001171201766 1050631278 /nfs/dbraw/zinc/63/12/78/1050631278.db2.gz RXTGERKNMYQAMH-OAQYLSRUSA-N 0 3 323.484 4.310 20 0 DIADHN Cc1ccc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)nn1 ZINC000846011057 1050645421 /nfs/dbraw/zinc/64/54/21/1050645421.db2.gz JLANXPGNJLZPST-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2ccccc2C2CC2)CC1 ZINC000669573244 1050645839 /nfs/dbraw/zinc/64/58/39/1050645839.db2.gz JWEZXIXMNCFCRE-UHFFFAOYSA-N 0 3 313.363 4.107 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@@]2(C)C[C@@]2(F)Cl)cs1 ZINC000846035629 1050653121 /nfs/dbraw/zinc/65/31/21/1050653121.db2.gz KMPRUPVJHXBCPF-KBPBESRZSA-N 0 3 304.862 4.235 20 0 DIADHN C[C@H](NCc1cc(-c2cccnc2)n[nH]1)c1ccc(C2CC2)cc1 ZINC000669574709 1050654440 /nfs/dbraw/zinc/65/44/40/1050654440.db2.gz JUPSUTOESVPTID-AWEZNQCLSA-N 0 3 318.424 4.200 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@]2(C)C[C@]2(F)Cl)s1 ZINC000846038714 1050655965 /nfs/dbraw/zinc/65/59/65/1050655965.db2.gz QUOIVEVMEXGFRT-ZIAGYGMSSA-N 0 3 304.862 4.235 20 0 DIADHN CC(C)(C)CCCCCC(=O)NCCc1cnc2cc[nH]cc1-2 ZINC001144685355 1050657331 /nfs/dbraw/zinc/65/73/31/1050657331.db2.gz DMBGZBDYMIBDOY-UHFFFAOYSA-N 0 3 315.461 4.218 20 0 DIADHN CC(C)(C)CCCCCC(=O)NCCc1c[nH]c2ccncc12 ZINC001144685355 1050657343 /nfs/dbraw/zinc/65/73/43/1050657343.db2.gz DMBGZBDYMIBDOY-UHFFFAOYSA-N 0 3 315.461 4.218 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC[C@@]2(CC2(F)F)C1 ZINC000846107548 1050664125 /nfs/dbraw/zinc/66/41/25/1050664125.db2.gz JKZFNZJNZTWAMM-GOSISDBHSA-N 0 3 317.379 4.470 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2cccc3cc[nH]c32)CC1 ZINC001144717524 1050667531 /nfs/dbraw/zinc/66/75/31/1050667531.db2.gz VNMVYLZQKYEMQU-QGZVFWFLSA-N 0 3 319.452 4.047 20 0 DIADHN C[C@@H](CN1CCC[C@]2(CC2(F)F)C1)c1cc(F)cc(F)c1 ZINC000846105988 1050669915 /nfs/dbraw/zinc/66/99/15/1050669915.db2.gz ZZLOAMOMUPRBMR-NHYWBVRUSA-N 0 3 301.327 4.190 20 0 DIADHN Cc1ccc([C@H](O)CNCc2cccc(Oc3ccccc3)c2)o1 ZINC000741262604 1050670113 /nfs/dbraw/zinc/67/01/13/1050670113.db2.gz VEZVTYRCTZYMLF-LJQANCHMSA-N 0 3 323.392 4.204 20 0 DIADHN ClC1(Cl)C[C@@H]1CCCNCc1nc2c(s1)CCCC2 ZINC000846106132 1050672101 /nfs/dbraw/zinc/67/21/01/1050672101.db2.gz XXSTVEMDJOOZLH-JTQLQIEISA-N 0 3 319.301 4.086 20 0 DIADHN CC1(C)Cc2cc(CN3CCC[C@]4(CC4(F)F)C3)ccc2O1 ZINC000846107027 1050672588 /nfs/dbraw/zinc/67/25/88/1050672588.db2.gz DTPSZLSWBGNGAI-KRWDZBQOSA-N 0 3 307.384 4.021 20 0 DIADHN CC(C)CC[C@@H](C)N[C@H](C)c1nc(Br)ccc1F ZINC000788498290 1050692167 /nfs/dbraw/zinc/69/21/67/1050692167.db2.gz MXIDCWUIZKQUCB-GHMZBOCLSA-N 0 3 317.246 4.459 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](C)C[C@@H]2c2ccccc2)cc1 ZINC001170084855 1050681191 /nfs/dbraw/zinc/68/11/91/1050681191.db2.gz DVUOGZFZUGXFSR-QFBILLFUSA-N 0 3 308.425 4.017 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](C)C[C@H]2c2ccccc2)cc1 ZINC001170084851 1050681312 /nfs/dbraw/zinc/68/13/12/1050681312.db2.gz DVUOGZFZUGXFSR-APWZRJJASA-N 0 3 308.425 4.017 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CNCc2cccs2)cc1 ZINC000227586484 1050687798 /nfs/dbraw/zinc/68/77/98/1050687798.db2.gz KUYJVOVWLXPXBF-UHFFFAOYSA-N 0 3 318.442 4.385 20 0 DIADHN Brc1c[nH]c(CN2CCC[C@@H]2C2CCCCC2)c1 ZINC001231575722 1050689959 /nfs/dbraw/zinc/68/99/59/1050689959.db2.gz PNAJTSJNDFMNTD-OAHLLOKOSA-N 0 3 311.267 4.322 20 0 DIADHN CCCCCCN[C@H](C)c1nc(Br)ccc1F ZINC000788499092 1050692298 /nfs/dbraw/zinc/69/22/98/1050692298.db2.gz SODYXDMLGRPLKT-SNVBAGLBSA-N 0 3 303.219 4.214 20 0 DIADHN CC(C)[C@@H](NCc1cscc1Cl)[C@@H](O)c1ccccc1 ZINC000788536165 1050699025 /nfs/dbraw/zinc/69/90/25/1050699025.db2.gz FDQGJLNCXRQIIE-CVEARBPZSA-N 0 3 309.862 4.249 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1COCc2cccc(Cl)c21 ZINC000788564201 1050703903 /nfs/dbraw/zinc/70/39/03/1050703903.db2.gz SMPNOAMGGSJDIZ-PXAZEXFGSA-N 0 3 319.807 4.271 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nc(Br)ccc1F ZINC000788565916 1050706641 /nfs/dbraw/zinc/70/66/41/1050706641.db2.gz WSSFWSVMCBIXCG-GUBZILKMSA-N 0 3 303.219 4.068 20 0 DIADHN Cc1cccc(CCN[C@H]2COCc3cccc(Cl)c32)c1 ZINC000788584769 1050709347 /nfs/dbraw/zinc/70/93/47/1050709347.db2.gz QHKNZGOSJZJDNV-KRWDZBQOSA-N 0 3 301.817 4.052 20 0 DIADHN CCc1ccc(NC(=O)CN2C[C@H](C)C[C@@H]2c2ccccc2)cc1 ZINC001170086899 1050714700 /nfs/dbraw/zinc/71/47/00/1050714700.db2.gz ZHFSICBEXJOAQN-OXQOHEQNSA-N 0 3 322.452 4.271 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@@H]1CCc2ccc(Cl)nc21 ZINC000788616184 1050716977 /nfs/dbraw/zinc/71/69/77/1050716977.db2.gz XZUUNGXCOFZIEM-DZGCQCFKSA-N 0 3 316.832 4.088 20 0 DIADHN CC(C)C[C@H](C)N[C@H](C)c1nc(Br)ccc1F ZINC000788669641 1050729411 /nfs/dbraw/zinc/72/94/11/1050729411.db2.gz FKLVXQLBOBPCIH-VHSXEESVSA-N 0 3 303.219 4.068 20 0 DIADHN CCCC1(CN[C@H](C)c2nc(Br)ccc2F)CC1 ZINC000788668430 1050730095 /nfs/dbraw/zinc/73/00/95/1050730095.db2.gz KQNBFNJWKDUPDV-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN Cc1ccccc1[C@H](C)N(C)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000741783360 1050737902 /nfs/dbraw/zinc/73/79/02/1050737902.db2.gz ZYWQLDPOAOOEMO-WMZOPIPTSA-N 0 3 324.424 4.013 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Cl)[C@H](O)c1ccccc1 ZINC000808446267 1050741398 /nfs/dbraw/zinc/74/13/98/1050741398.db2.gz STEQXNCVGYVCCE-BZNIZROVSA-N 0 3 310.224 4.205 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@@H]2COCc3cccc(Cl)c32)s1 ZINC000788741827 1050743905 /nfs/dbraw/zinc/74/39/05/1050743905.db2.gz VXIKZMGYFYUBRY-IINYFYTJSA-N 0 3 322.861 4.070 20 0 DIADHN CSCCCCCCN[C@@H]1COCc2cccc(Cl)c21 ZINC000788739789 1050744399 /nfs/dbraw/zinc/74/43/99/1050744399.db2.gz OXPCVYFGBIPJJZ-OAHLLOKOSA-N 0 3 313.894 4.424 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CC1)c1nc(Br)ccc1F ZINC000788749253 1050745918 /nfs/dbraw/zinc/74/59/18/1050745918.db2.gz DLXFLMBQSGDUMH-VHSXEESVSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@H](CN[C@H]1COCc2cccc(Cl)c21)c1ccccc1 ZINC000788743620 1050746166 /nfs/dbraw/zinc/74/61/66/1050746166.db2.gz ZQYURRNFQBZJOW-DYVFJYSZSA-N 0 3 301.817 4.305 20 0 DIADHN C[C@@H](CCC1CC1)N[C@@H](C)c1nc(Br)ccc1F ZINC000788749252 1050746748 /nfs/dbraw/zinc/74/67/48/1050746748.db2.gz DLXFLMBQSGDUMH-UWVGGRQHSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H](CN[C@H]1COCc2cccc(Cl)c21)c1ccccc1 ZINC000788743624 1050746900 /nfs/dbraw/zinc/74/69/00/1050746900.db2.gz ZQYURRNFQBZJOW-GUYCJALGSA-N 0 3 301.817 4.305 20 0 DIADHN Cc1cccc(C2(O)CCN(C/C=C\c3ccccc3)CC2)c1C ZINC000741801030 1050746738 /nfs/dbraw/zinc/74/67/38/1050746738.db2.gz WBRAZAQGIHBKMH-XFFZJAGNSA-N 0 3 321.464 4.300 20 0 DIADHN Cc1cccc(C2(O)CCN(C/C=C/c3ccccc3)CC2)c1C ZINC000741801032 1050747264 /nfs/dbraw/zinc/74/72/64/1050747264.db2.gz WBRAZAQGIHBKMH-YRNVUSSQSA-N 0 3 321.464 4.300 20 0 DIADHN Fc1ccccc1CCCN[C@@H]1COCc2cccc(Cl)c21 ZINC000788801562 1050748691 /nfs/dbraw/zinc/74/86/91/1050748691.db2.gz ARUDFUNWPWLDLM-QGZVFWFLSA-N 0 3 319.807 4.273 20 0 DIADHN COC(C)(C)[C@H](C)N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000788780308 1050753921 /nfs/dbraw/zinc/75/39/21/1050753921.db2.gz CSLPQFWDNPATKR-CABZTGNLSA-N 0 3 318.244 4.220 20 0 DIADHN COc1cccc(C2CC2)c1Nc1ccc2c(c1)CN(C)CC2 ZINC001213822958 1050754055 /nfs/dbraw/zinc/75/40/55/1050754055.db2.gz YXYDHPDJQKCZAP-UHFFFAOYSA-N 0 3 308.425 4.304 20 0 DIADHN c1ccc([C@H](NCc2cccc3c2OCCCO3)C2CCC2)cc1 ZINC000596670900 1050759866 /nfs/dbraw/zinc/75/98/66/1050759866.db2.gz MUOJKMFLGHAKFX-FQEVSTJZSA-N 0 3 323.436 4.479 20 0 DIADHN C[C@@H](NCc1ccc2nonc2c1)c1cccc(C(F)(F)F)c1 ZINC000846842043 1050768744 /nfs/dbraw/zinc/76/87/44/1050768744.db2.gz VBZKIQTYGSBWJC-SNVBAGLBSA-N 0 3 321.302 4.092 20 0 DIADHN CC[C@@H](NCc1ccc2nonc2c1)c1ccc(Cl)cc1 ZINC000846843006 1050769108 /nfs/dbraw/zinc/76/91/08/1050769108.db2.gz LHMYDOCITLUTOQ-CQSZACIVSA-N 0 3 301.777 4.117 20 0 DIADHN COc1c2ccccc2oc1CN[C@H](C)CSC(C)(C)C ZINC000788980401 1050778714 /nfs/dbraw/zinc/77/87/14/1050778714.db2.gz MZTWOYIRGLXDDU-GFCCVEGCSA-N 0 3 307.459 4.451 20 0 DIADHN C/C(=C\C=C\N)NCc1cccc(OCC2CCCCC2)c1 ZINC001171261077 1050797826 /nfs/dbraw/zinc/79/78/26/1050797826.db2.gz REQWGZKEPYKNNB-HPEOGFCYSA-N 0 3 300.446 4.112 20 0 DIADHN CC[C@@H](NCc1cccc2nsnc21)c1ccccc1F ZINC000847025406 1050798583 /nfs/dbraw/zinc/79/85/83/1050798583.db2.gz KNPQMYWOQVGDGR-CQSZACIVSA-N 0 3 301.390 4.071 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC000847014781 1050799846 /nfs/dbraw/zinc/79/98/46/1050799846.db2.gz TVJGIHRYHCICBT-QGZVFWFLSA-N 0 3 309.400 4.440 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@@H]1c1ccc(Cl)cc1 ZINC000742137167 1050803896 /nfs/dbraw/zinc/80/38/96/1050803896.db2.gz ANLOBIBOUNDNQA-QGZVFWFLSA-N 0 3 322.880 4.126 20 0 DIADHN COc1ccc(CNCc2cccc(OC(C)C)c2)cc1Cl ZINC000742367424 1050821839 /nfs/dbraw/zinc/82/18/39/1050821839.db2.gz SHMWTRYBKBEOTJ-UHFFFAOYSA-N 0 3 319.832 4.426 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3c(c2)CCO3)cc1Cl ZINC000742368658 1050822335 /nfs/dbraw/zinc/82/23/35/1050822335.db2.gz KHMICYLQEQXSKA-LBPRGKRZSA-N 0 3 317.816 4.134 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H](C)Oc1ccccc1F ZINC000742380640 1050824692 /nfs/dbraw/zinc/82/46/92/1050824692.db2.gz HTVCBWCXNIUDKN-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1c[nH]nc1C)c1ccc(OC)cc1 ZINC000180424675 1050834608 /nfs/dbraw/zinc/83/46/08/1050834608.db2.gz HJEGYCKPCVICIT-FZKQIMNGSA-N 0 3 301.434 4.309 20 0 DIADHN C[C@@H](CNCc1occc1Br)Cc1cccs1 ZINC000742374984 1050836099 /nfs/dbraw/zinc/83/60/99/1050836099.db2.gz DUPUZZACFQLTFU-SNVBAGLBSA-N 0 3 314.248 4.072 20 0 DIADHN Cc1ccc(N2CCC[C@@H](NCc3ccc(F)cc3F)C2)cc1 ZINC000742380091 1050837562 /nfs/dbraw/zinc/83/75/62/1050837562.db2.gz KTMNBXFQBCOBCZ-QGZVFWFLSA-N 0 3 316.395 4.032 20 0 DIADHN Cc1nc(C)c(CNCc2ccc3c(c2)CCC(C)(C)O3)s1 ZINC000742377546 1050837579 /nfs/dbraw/zinc/83/75/79/1050837579.db2.gz VLJJGCPSQBVPFV-UHFFFAOYSA-N 0 3 316.470 4.153 20 0 DIADHN COc1ccc(Cl)c2c1C[C@@H](N[C@H](C)c1nccs1)CC2 ZINC001171290063 1050846706 /nfs/dbraw/zinc/84/67/06/1050846706.db2.gz WDKABNAOLHDVPC-MNOVXSKESA-N 0 3 322.861 4.013 20 0 DIADHN CC(C)N(CC(=O)Nc1ccccc1-c1ccccc1)C(C)C ZINC000180444656 1050847701 /nfs/dbraw/zinc/84/77/01/1050847701.db2.gz GBYYWUKPGGGJGQ-UHFFFAOYSA-N 0 3 310.441 4.411 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(Cl)cc1Cl)C(C)C ZINC000180448604 1050847751 /nfs/dbraw/zinc/84/77/51/1050847751.db2.gz JZAQDQHNDKNQGS-UHFFFAOYSA-N 0 3 303.233 4.051 20 0 DIADHN C[C@@H](N[C@H](CN1CCCCC1)c1ccccc1)c1cccc(O)c1 ZINC000669614493 1050856933 /nfs/dbraw/zinc/85/69/33/1050856933.db2.gz KAAGCXYZXABBAE-DYESRHJHSA-N 0 3 324.468 4.270 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)/C=C(\C)C(C)(C)C)c1ccsc1 ZINC000742538892 1050882585 /nfs/dbraw/zinc/88/25/85/1050882585.db2.gz JPRSHJIFLQSQFT-UKYUDJEDSA-N 0 3 322.518 4.240 20 0 DIADHN CN1CCC(N(C(=O)CCC2CCCC2)c2ccccc2)CC1 ZINC000601961037 1050883957 /nfs/dbraw/zinc/88/39/57/1050883957.db2.gz BXROCOQZAKMPJR-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN CN1CCC(N(C(=O)CCCC(C)(C)C)c2ccccc2)CC1 ZINC000601967902 1050886540 /nfs/dbraw/zinc/88/65/40/1050886540.db2.gz NUGLEEAOOSXLAA-UHFFFAOYSA-N 0 3 316.489 4.330 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccc(Br)cc3o2)[C@@H](C)[C@H]1C ZINC001171308690 1050887389 /nfs/dbraw/zinc/88/73/89/1050887389.db2.gz SPNALHIRWFYHPW-VWYCJHECSA-N 0 3 323.234 4.067 20 0 DIADHN CC(C)c1ccc(-c2nnc(SCCN3CCCC3)o2)cc1 ZINC000789920596 1050891852 /nfs/dbraw/zinc/89/18/52/1050891852.db2.gz ODXYLZAGCCHZLY-UHFFFAOYSA-N 0 3 317.458 4.048 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000742581908 1050894412 /nfs/dbraw/zinc/89/44/12/1050894412.db2.gz CLGFBYPZFWSPIT-QFBILLFUSA-N 0 3 308.510 4.116 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2cccc3c2OCCO3)cc1 ZINC000772983136 1050896771 /nfs/dbraw/zinc/89/67/71/1050896771.db2.gz KBKFCDXYCUWPAT-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN COCOc1ccc(Cl)cc1Nc1ccccc1CN(C)C ZINC001214425860 1050899831 /nfs/dbraw/zinc/89/98/31/1050899831.db2.gz FGIOXAMOCHKMMN-UHFFFAOYSA-N 0 3 320.820 4.128 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CC[C@@H](C)C3)cs2)c1 ZINC001170122889 1050901484 /nfs/dbraw/zinc/90/14/84/1050901484.db2.gz AOSXNNANXQKTBW-OCCSQVGLSA-N 0 3 302.443 4.097 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N1CCCN(CC)CC1 ZINC000742651487 1050903360 /nfs/dbraw/zinc/90/33/60/1050903360.db2.gz LHXYJTNFSDNLRC-IBGZPJMESA-N 0 3 310.526 4.317 20 0 DIADHN COCOc1cccc(F)c1Nc1ccnc(C2CCC2)c1 ZINC001214435655 1050903386 /nfs/dbraw/zinc/90/33/86/1050903386.db2.gz SZWVZZUXOHMDEH-UHFFFAOYSA-N 0 3 302.349 4.215 20 0 DIADHN FC(F)Sc1ccc(CN2CCC[C@@H](n3ccnc3)C2)cc1 ZINC000772747868 1050912228 /nfs/dbraw/zinc/91/22/28/1050912228.db2.gz VSLBKQDMENTDAG-CQSZACIVSA-N 0 3 323.412 4.035 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN1CC[C@@H](C2CCCC2)C1 ZINC001171332700 1050949800 /nfs/dbraw/zinc/94/98/00/1050949800.db2.gz SHEZWRHHZOWDLG-HXUWFJFHSA-N 0 3 323.484 4.138 20 0 DIADHN C[C@@H](C(=O)N(CC1CCC1)c1ccccc1)N1[C@H](C)CC[C@H]1C ZINC001148856539 1050977654 /nfs/dbraw/zinc/97/76/54/1050977654.db2.gz CKCOUGBQXUTFNO-ZACQAIPSSA-N 0 3 314.473 4.081 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1c(F)ccc([O-])c1F ZINC001241295303 1050979170 /nfs/dbraw/zinc/97/91/70/1050979170.db2.gz NHJNUHLFFIARAM-UHFFFAOYSA-N 0 3 321.367 4.188 20 0 DIADHN Cc1nc(CCN2CCS[C@H](C)[C@@H]2c2ccccc2)cs1 ZINC000348159574 1050996393 /nfs/dbraw/zinc/99/63/93/1050996393.db2.gz KDNWRHDAAASXOA-CXAGYDPISA-N 0 3 318.511 4.173 20 0 DIADHN COc1cccc([C@H](C)N[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348209602 1051003587 /nfs/dbraw/zinc/00/35/87/1051003587.db2.gz YXWZPMDDFZVXPM-SCLBCKFNSA-N 0 3 319.832 4.123 20 0 DIADHN Cc1ccc([C@@H](O)CNC(c2ccccc2)c2ccccc2)cc1 ZINC000743251665 1051003742 /nfs/dbraw/zinc/00/37/42/1051003742.db2.gz DFSPXWFNTKUIKV-NRFANRHFSA-N 0 3 317.432 4.408 20 0 DIADHN CN(Cc1cccc(Cl)c1)C[C@@H](O)c1cccc(Cl)c1 ZINC000743249430 1051004893 /nfs/dbraw/zinc/00/48/93/1051004893.db2.gz BLFVTPUUACULJY-MRXNPFEDSA-N 0 3 310.224 4.159 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](CCO)c1ccc(Cl)cc1)CC2 ZINC000348208305 1051005265 /nfs/dbraw/zinc/00/52/65/1051005265.db2.gz SSTZKVWFHLRJKE-OALUTQOASA-N 0 3 315.844 4.349 20 0 DIADHN C[C@H](NC[C@H](O)c1cccc(Cl)c1)c1ccccc1Cl ZINC000743250505 1051005124 /nfs/dbraw/zinc/00/51/24/1051005124.db2.gz ZUQCJTJQLZBVFC-ZBEGNZNMSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(N(C)C(C)C)nc2)s1 ZINC000790956163 1051008521 /nfs/dbraw/zinc/00/85/21/1051008521.db2.gz PBAZEEUBTFKWHR-AWEZNQCLSA-N 0 3 303.475 4.147 20 0 DIADHN CCC(CC)N(CN1C(=O)C[C@H]1c1ccc(Cl)cc1)C1CC1 ZINC000743351531 1051015370 /nfs/dbraw/zinc/01/53/70/1051015370.db2.gz PVHUVAPMXNSPTI-KRWDZBQOSA-N 0 3 320.864 4.224 20 0 DIADHN COc1ccc(-c2ccc(CN[C@H](C)c3c[nH]nc3C)cc2)cc1 ZINC000180921306 1051020622 /nfs/dbraw/zinc/02/06/22/1051020622.db2.gz AHHLCYQRFUFCCJ-CQSZACIVSA-N 0 3 321.424 4.245 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2ccsc2Cl)CC1 ZINC000790983694 1051021490 /nfs/dbraw/zinc/02/14/90/1051021490.db2.gz UZMSZAXVIWFKOA-CQSZACIVSA-N 0 3 307.846 4.005 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H]1OCc2ccccc21 ZINC001204064189 1051021653 /nfs/dbraw/zinc/02/16/53/1051021653.db2.gz SHORVGIEPMIHAD-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cn2ccc(-c3ccccc3)n2)C1 ZINC000743399268 1051023423 /nfs/dbraw/zinc/02/34/23/1051023423.db2.gz NGEZFEONTHANKA-DLBZAZTESA-N 0 3 315.486 4.114 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1Cl)c1cccc(F)c1 ZINC000773018502 1051023633 /nfs/dbraw/zinc/02/36/33/1051023633.db2.gz WKNHIYZMODENBN-ZUZCIYMTSA-N 0 3 311.759 4.002 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CC)[C@@H](O)c2c(F)cccc2F)o1 ZINC000773025747 1051027651 /nfs/dbraw/zinc/02/76/51/1051027651.db2.gz CESASMDWGDPMAB-GEWABHDNSA-N 0 3 323.383 4.283 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000773029685 1051028002 /nfs/dbraw/zinc/02/80/02/1051028002.db2.gz ZLYYPKFXOKDQSU-RYUDHWBXSA-N 0 3 322.836 4.317 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1F)[C@@H](O)c1c(F)cccc1F ZINC000773030216 1051030532 /nfs/dbraw/zinc/03/05/32/1051030532.db2.gz MAHCGDOIQQJWRR-DPZKZMLUSA-N 0 3 323.358 4.267 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)s1 ZINC000773041369 1051038293 /nfs/dbraw/zinc/03/82/93/1051038293.db2.gz PPWOJXDZZAJHEJ-BFHYXJOUSA-N 0 3 307.507 4.284 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](CC)Oc1ccccc1C ZINC000773048223 1051040333 /nfs/dbraw/zinc/04/03/33/1051040333.db2.gz QAUOAXBJJUXNEZ-ZBFHGGJFSA-N 0 3 316.445 4.362 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@@H](O)c1cccc(C)c1 ZINC000773066075 1051045852 /nfs/dbraw/zinc/04/58/52/1051045852.db2.gz USFHRYSTUDUUFL-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@H](NC[C@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC000773071207 1051049362 /nfs/dbraw/zinc/04/93/62/1051049362.db2.gz RMSOSRXKFATZGX-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN Cc1cccc([C@@H](O)CN[C@@H](C)c2ccc(F)cc2Cl)c1 ZINC000773073585 1051050471 /nfs/dbraw/zinc/05/04/71/1051050471.db2.gz ZLKXQDILNBBEED-SJCJKPOMSA-N 0 3 307.796 4.172 20 0 DIADHN Cc1cccc([C@H](O)CN[C@H](C)c2ccc(F)cc2Cl)c1 ZINC000773073591 1051053716 /nfs/dbraw/zinc/05/37/16/1051053716.db2.gz ZLKXQDILNBBEED-SJKOYZFVSA-N 0 3 307.796 4.172 20 0 DIADHN C[C@H](NCc1cccc(F)n1)[C@H](C)c1nc2ccccc2s1 ZINC000773090645 1051060167 /nfs/dbraw/zinc/06/01/67/1051060167.db2.gz VKAHAEIZUBPVQD-RYUDHWBXSA-N 0 3 315.417 4.112 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cc(Br)cc2F)c1ccc[nH]1 ZINC000773114935 1051064315 /nfs/dbraw/zinc/06/43/15/1051064315.db2.gz DHTSNIZLIKCBEJ-VFZGTOFNSA-N 0 3 323.209 4.254 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000743803088 1051065048 /nfs/dbraw/zinc/06/50/48/1051065048.db2.gz IGDRPOFXDQTFPY-DLBZAZTESA-N 0 3 320.477 4.091 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc[nH]2)cc1Br ZINC000773115550 1051065335 /nfs/dbraw/zinc/06/53/35/1051065335.db2.gz GAYZHMUBCZNZKE-MNOVXSKESA-N 0 3 323.234 4.198 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2ccc[nH]2)cc1OCC ZINC000773115567 1051065531 /nfs/dbraw/zinc/06/55/31/1051065531.db2.gz GHCXCJSDVHRECM-UONOGXRCSA-N 0 3 302.418 4.224 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000743803095 1051065747 /nfs/dbraw/zinc/06/57/47/1051065747.db2.gz IGDRPOFXDQTFPY-IAGOWNOFSA-N 0 3 320.477 4.091 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@H](C)c1ccc[nH]1 ZINC000773116317 1051066599 /nfs/dbraw/zinc/06/65/99/1051066599.db2.gz JEBUQGOLCDXODP-VXGBXAGGSA-N 0 3 312.442 4.253 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3ccnc(Cl)c3)C2)c(C)c1 ZINC000791606387 1051068587 /nfs/dbraw/zinc/06/85/87/1051068587.db2.gz IQXLPAFSIZMNOD-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc([C@H](NCCc2cccs2)c2ccccn2)cc1 ZINC000791641580 1051069013 /nfs/dbraw/zinc/06/90/13/1051069013.db2.gz VSIDUDSPSDFGPQ-IBGZPJMESA-N 0 3 308.450 4.373 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccc(F)cc1)c1ccccc1Cl ZINC000773120157 1051069171 /nfs/dbraw/zinc/06/91/71/1051069171.db2.gz RCFRKTXKBLFRRL-PXAZEXFGSA-N 0 3 307.796 4.037 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccc[nH]1 ZINC000773118886 1051069250 /nfs/dbraw/zinc/06/92/50/1051069250.db2.gz NRPCLFUQANRUEL-VXGBXAGGSA-N 0 3 312.335 4.368 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2cccc(Cl)n2)cc1 ZINC000743847722 1051070925 /nfs/dbraw/zinc/07/09/25/1051070925.db2.gz HIYBRDPGUNOPJM-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000743850887 1051075541 /nfs/dbraw/zinc/07/55/41/1051075541.db2.gz FRNLJMFRAXCLPR-BBRMVZONSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)C[C@H]2CC2(Cl)Cl)cc1 ZINC000743855589 1051077979 /nfs/dbraw/zinc/07/79/79/1051077979.db2.gz SEESIVYZKVFSFW-CQSZACIVSA-N 0 3 300.273 4.398 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCc3c(F)cccc3C2)c1F ZINC001171395737 1051082288 /nfs/dbraw/zinc/08/22/88/1051082288.db2.gz HYVGAFHEYCRKEW-ZDUSSCGKSA-N 0 3 305.343 4.059 20 0 DIADHN C[C@H](N[C@@H]1CCc2c(F)cccc2C1)c1c(F)cccc1F ZINC001171406254 1051092129 /nfs/dbraw/zinc/09/21/29/1051092129.db2.gz DCNKGXCRDOKALA-WCQYABFASA-N 0 3 305.343 4.312 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H]1CCc2c(F)cccc2C1 ZINC001171408153 1051095006 /nfs/dbraw/zinc/09/50/06/1051095006.db2.gz KOHMKHXISVOYML-JSGCOSHPSA-N 0 3 317.379 4.182 20 0 DIADHN CC[C@H](C)C[C@@H](N)C(=O)Nc1ccccc1-c1cccs1 ZINC000401043601 1051101111 /nfs/dbraw/zinc/10/11/11/1051101111.db2.gz ZTKPFAGSACXRHN-GXTWGEPZSA-N 0 3 302.443 4.117 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccco1)c1ccccc1Br ZINC000036993861 1051106254 /nfs/dbraw/zinc/10/62/54/1051106254.db2.gz VVJGPDUMCVPGBL-NWDGAFQWSA-N 0 3 308.219 4.324 20 0 DIADHN CSCCCN(Cc1ccc(F)cc1Cl)CC1CC1 ZINC001170206985 1051149160 /nfs/dbraw/zinc/14/91/60/1051149160.db2.gz ZIYHPXDWSICRJL-UHFFFAOYSA-N 0 3 301.858 4.444 20 0 DIADHN Fc1cccc2c1CC[C@@H](N1Cc3cccc(Cl)c3C1)C2 ZINC001171441673 1051126408 /nfs/dbraw/zinc/12/64/08/1051126408.db2.gz MYFZLNWTLCTKLR-CQSZACIVSA-N 0 3 301.792 4.352 20 0 DIADHN CCc1ccc(CN(Cc2cc(C)nnc2C)CC(C)C)cc1 ZINC001171446747 1051128256 /nfs/dbraw/zinc/12/82/56/1051128256.db2.gz QPQMMUCKCUTTOH-UHFFFAOYSA-N 0 3 311.473 4.314 20 0 DIADHN C[C@@H]1C[C@H](NCCc2ccc(Cl)c(Cl)c2)c2nccn21 ZINC000716304380 1051130152 /nfs/dbraw/zinc/13/01/52/1051130152.db2.gz YLHGKTVKCXNVFM-YGRLFVJLSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@H]1C[C@H](NCCc2ccc(Cl)c(Cl)c2)c2nccn21 ZINC000716304371 1051130944 /nfs/dbraw/zinc/13/09/44/1051130944.db2.gz YLHGKTVKCXNVFM-HZMBPMFUSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1ccc(CSC(F)F)o1 ZINC000037291933 1051131874 /nfs/dbraw/zinc/13/18/74/1051131874.db2.gz KZBMJUCIOKMXTG-JTQLQIEISA-N 0 3 301.358 4.049 20 0 DIADHN CC/C=C\CCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@H]1C ZINC001171455548 1051137480 /nfs/dbraw/zinc/13/74/80/1051137480.db2.gz BWACYCJZJKAWST-BKPJBLJZSA-N 0 3 310.482 4.061 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC001171455713 1051137980 /nfs/dbraw/zinc/13/79/80/1051137980.db2.gz CYZWIZULAOKIEB-ZFWWWQNUSA-N 0 3 309.885 4.030 20 0 DIADHN CC[C@@H]1C[C@@H](NC2c3ccccc3Oc3ccccc32)CCO1 ZINC001170181913 1051145378 /nfs/dbraw/zinc/14/53/78/1051145378.db2.gz KOGNSRCMJGGACO-LSDHHAIUSA-N 0 3 309.409 4.429 20 0 DIADHN CN(Cc1ccccc1F)[C@@H]1CCc2cc(F)c(F)c(F)c2C1 ZINC001171541713 1051153394 /nfs/dbraw/zinc/15/33/94/1051153394.db2.gz LBAVLLRMKGRASV-CYBMUJFWSA-N 0 3 323.333 4.232 20 0 DIADHN Cc1cccc2c1CN([C@H]1CCc3cc(F)c(F)c(F)c3C1)C2 ZINC001171534556 1051153694 /nfs/dbraw/zinc/15/36/94/1051153694.db2.gz WSOPYWFENMRHKK-AWEZNQCLSA-N 0 3 317.354 4.285 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@@H](N1CC[C@@H]1c1ccccc1)CC2 ZINC001171543506 1051154649 /nfs/dbraw/zinc/15/46/49/1051154649.db2.gz KXWJJBUFYFTNIG-WMLDXEAASA-N 0 3 317.354 4.408 20 0 DIADHN CC[C@@H]1C[C@@H](N(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC001170203138 1051155534 /nfs/dbraw/zinc/15/55/34/1051155534.db2.gz IZUXTIBJPOGHNP-UONOGXRCSA-N 0 3 302.245 4.383 20 0 DIADHN CC(=O)CC(C)(C)Nc1cc(CN2CCCCC2)ccc1C ZINC001171502969 1051155479 /nfs/dbraw/zinc/15/54/79/1051155479.db2.gz YPJNNIPIICDICQ-UHFFFAOYSA-N 0 3 302.462 4.151 20 0 DIADHN Cc1cc(C)c(-c2nc(-c3ccc(CN(C)C)cc3)no2)c(C)c1 ZINC001215310168 1051162002 /nfs/dbraw/zinc/16/20/02/1051162002.db2.gz LLIYNXRBEOFPSZ-UHFFFAOYSA-N 0 3 321.424 4.390 20 0 DIADHN CC[C@H]1C[C@@H](N2CCc3ccc(C(F)(F)F)cc3C2)CCO1 ZINC001170227896 1051162725 /nfs/dbraw/zinc/16/27/25/1051162725.db2.gz QJSJZLXAUMBXLO-HOTGVXAUSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1cccc2c1CC[C@@H](NCc1c(F)ccc(F)c1F)C2 ZINC001171550869 1051163126 /nfs/dbraw/zinc/16/31/26/1051163126.db2.gz LZQAQSFZVCMELB-CYBMUJFWSA-N 0 3 305.343 4.059 20 0 DIADHN Cc1cccc2c1CC[C@@H](n1nc(-c3ccccc3)cc1CN)C2 ZINC001171551772 1051163171 /nfs/dbraw/zinc/16/31/71/1051163171.db2.gz RQQQQUBIQSQNKW-GOSISDBHSA-N 0 3 317.436 4.047 20 0 DIADHN Cc1ccc(C(=O)CN[C@@H](C)Cc2ccccc2Cl)cc1 ZINC001170257059 1051163392 /nfs/dbraw/zinc/16/33/92/1051163392.db2.gz RSFUUEJTJJJNGM-AWEZNQCLSA-N 0 3 301.817 4.052 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@H]1COc2ccc(F)cc21 ZINC001170256795 1051163798 /nfs/dbraw/zinc/16/37/98/1051163798.db2.gz NEPFXDBALHWONC-ZBEGNZNMSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cccc2c1CC[C@@H](NCc1cc(-c3ccccc3)on1)C2 ZINC001171555913 1051165140 /nfs/dbraw/zinc/16/51/40/1051165140.db2.gz DGHCYRLOQFSXDQ-GOSISDBHSA-N 0 3 318.420 4.297 20 0 DIADHN COc1cccc(-c2nc(CN[C@H](C)C3CCCC3)co2)c1 ZINC001170264806 1051167860 /nfs/dbraw/zinc/16/78/60/1051167860.db2.gz YMYIYAQTDZXVDR-CYBMUJFWSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1cccc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)n1 ZINC001204068575 1051172842 /nfs/dbraw/zinc/17/28/42/1051172842.db2.gz BXEHGSWZTVBTEQ-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)Cc1ccccc1Cl ZINC001170250890 1051173414 /nfs/dbraw/zinc/17/34/14/1051173414.db2.gz VFJVFNYKSIIYMP-GFCCVEGCSA-N 0 3 307.796 4.209 20 0 DIADHN COC(=O)c1cccc([C@H]2CCCN2Cc2ccc(C)s2)c1 ZINC001204035714 1051183260 /nfs/dbraw/zinc/18/32/60/1051183260.db2.gz QURPIALXVFZCMX-QGZVFWFLSA-N 0 3 315.438 4.180 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCC(=O)c2ccc(Cl)cc2)cc1 ZINC001171658186 1051183982 /nfs/dbraw/zinc/18/39/82/1051183982.db2.gz PKWPSFFCDHUSMC-OAHLLOKOSA-N 0 3 315.844 4.442 20 0 DIADHN CC[C@H](C)N1CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC001170316291 1051184014 /nfs/dbraw/zinc/18/40/14/1051184014.db2.gz WBMIGNFKWPTENX-ZDUSSCGKSA-N 0 3 306.837 4.002 20 0 DIADHN C[C@H]1CN(Cc2ccc(F)c(F)c2)CCc2ccc(F)cc21 ZINC001171670784 1051189684 /nfs/dbraw/zinc/18/96/84/1051189684.db2.gz KHJLVAPTCCJJJQ-LBPRGKRZSA-N 0 3 305.343 4.266 20 0 DIADHN Cc1ncc(Nc2cc(OCc3ccccc3)ccc2C)n1C ZINC001215406893 1051191200 /nfs/dbraw/zinc/19/12/00/1051191200.db2.gz HSTARPDUQHXTLU-UHFFFAOYSA-N 0 3 307.397 4.360 20 0 DIADHN COc1cccc(CN2CCC[C@H]3O[C@@H](c4ccccc4)C[C@H]32)c1 ZINC001171678693 1051192459 /nfs/dbraw/zinc/19/24/59/1051192459.db2.gz VGXQQUMEZBHMLS-NJDAHSKKSA-N 0 3 323.436 4.190 20 0 DIADHN C[C@@H]1CN(CCc2ccc(Cl)cc2)CC2(CCCCC2)O1 ZINC001171636831 1051193868 /nfs/dbraw/zinc/19/38/68/1051193868.db2.gz QHGAOLCIXDGTNY-OAHLLOKOSA-N 0 3 307.865 4.306 20 0 DIADHN Clc1cc2c(cc1CN1CCCC[C@H]1C1CCC1)OCCO2 ZINC001171751724 1051204492 /nfs/dbraw/zinc/20/44/92/1051204492.db2.gz NLBLLCHJEFLADR-INIZCTEOSA-N 0 3 321.848 4.266 20 0 DIADHN COCC[C@H](NC/C=C\Cl)c1ccc(Cl)c(Cl)c1 ZINC000792926740 1051232159 /nfs/dbraw/zinc/23/21/59/1051232159.db2.gz NFRUERULEVBBMO-XYRDXQRHSA-N 0 3 308.636 4.413 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1cccc(Cl)c1Cl)C2 ZINC001171774971 1051211433 /nfs/dbraw/zinc/21/14/33/1051211433.db2.gz GVAGBWJOKFDYQY-GFCCVEGCSA-N 0 3 310.199 4.390 20 0 DIADHN Cc1cncc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)c1 ZINC000181841269 1051212559 /nfs/dbraw/zinc/21/25/59/1051212559.db2.gz ZQXZFEKOOKXSKS-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN C[C@H](NCCOc1cc(Cl)ccc1Cl)c1ccccn1 ZINC000181906211 1051215194 /nfs/dbraw/zinc/21/51/94/1051215194.db2.gz IHAUJUZQBRYHII-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN CCC(C)(C)CCOC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000745094728 1051222208 /nfs/dbraw/zinc/22/22/08/1051222208.db2.gz SJVPCIMGGMVOQH-UHFFFAOYSA-N 0 3 317.473 4.268 20 0 DIADHN C[C@@]12CCN(Cc3cccc4cccnc43)C[C@@H]1C2(Cl)Cl ZINC000792908063 1051224824 /nfs/dbraw/zinc/22/48/24/1051224824.db2.gz QZSYNEVWAIHTPB-GOEBONIOSA-N 0 3 321.251 4.251 20 0 DIADHN C[C@]12CCN(CCSc3ccccc3)C[C@H]1C2(Cl)Cl ZINC000792900941 1051225727 /nfs/dbraw/zinc/22/57/27/1051225727.db2.gz FODKGFBYOCKQMM-KGLIPLIRSA-N 0 3 316.297 4.294 20 0 DIADHN Clc1cc(CN2CCC[C@@H]2[C@@H]2CCCO2)c2ccccc2n1 ZINC000792927346 1051231087 /nfs/dbraw/zinc/23/10/87/1051231087.db2.gz QIMGGKBEAHXURT-SJORKVTESA-N 0 3 316.832 4.032 20 0 DIADHN COC(=O)c1cccc(CN(Cc2ccsc2)C(C)(C)C)c1 ZINC001171900708 1051235837 /nfs/dbraw/zinc/23/58/37/1051235837.db2.gz ODRLIMHLIJOFBD-UHFFFAOYSA-N 0 3 317.454 4.335 20 0 DIADHN CC(C)[C@@H]1N(C[C@@H](O)c2ccc(Cl)c(F)c2)CC12CCC2 ZINC000793005561 1051237317 /nfs/dbraw/zinc/23/73/17/1051237317.db2.gz WXSFDMJMJIEWEN-CVEARBPZSA-N 0 3 311.828 4.023 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001171917254 1051240798 /nfs/dbraw/zinc/24/07/98/1051240798.db2.gz VMRAFHCDPOLMJY-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN CC(C)(C)OC(=O)C1CCC(N2Cc3cccc(F)c3C2)CC1 ZINC001171928709 1051252530 /nfs/dbraw/zinc/25/25/30/1051252530.db2.gz RAYILWNAUCFTCJ-UHFFFAOYSA-N 0 3 319.420 4.042 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000793167275 1051256585 /nfs/dbraw/zinc/25/65/85/1051256585.db2.gz GMUXWUBWRBDFGI-WDEREUQCSA-N 0 3 305.809 4.229 20 0 DIADHN COCc1ccc(Cl)c(Nc2ccc3c(c2)CN(C)CC3)c1 ZINC001215597450 1051265550 /nfs/dbraw/zinc/26/55/50/1051265550.db2.gz JFDQMLRJEJFPMG-UHFFFAOYSA-N 0 3 316.832 4.218 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2cc3ccccc3nc2Cl)CC1 ZINC000793342302 1051281034 /nfs/dbraw/zinc/28/10/34/1051281034.db2.gz XMABZHCIIFKXPM-SFHVURJKSA-N 0 3 318.848 4.279 20 0 DIADHN Cc1cc(Nc2cccc(CN3CCCCC3)c2F)sn1 ZINC001215675615 1051293187 /nfs/dbraw/zinc/29/31/87/1051293187.db2.gz MWUSLDMDKWZLHG-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cnn2ccccc12 ZINC001215675500 1051293282 /nfs/dbraw/zinc/29/32/82/1051293282.db2.gz JXPYZBIRDYIRBN-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1cc(F)nc(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215676531 1051294090 /nfs/dbraw/zinc/29/40/90/1051294090.db2.gz CIKQGQOOBMSCEL-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN CN(c1cccc(N)c1)c1cccc(CN2CCCCC2)c1F ZINC001215678659 1051295600 /nfs/dbraw/zinc/29/56/00/1051295600.db2.gz IEIBXVNHGSASPW-UHFFFAOYSA-N 0 3 313.420 4.162 20 0 DIADHN Oc1cccc(F)c1Nc1cccc(CN2CCCCC2)c1F ZINC001215676870 1051295662 /nfs/dbraw/zinc/29/56/62/1051295662.db2.gz IDEAQVSWWSPYNK-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Cc1cc(N)ccc1Nc1cccc(CN2CCCCC2)c1F ZINC001215677390 1051296399 /nfs/dbraw/zinc/29/63/99/1051296399.db2.gz NIVVCGJUAPZDFZ-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cc2ccccn2n1 ZINC001215677917 1051296566 /nfs/dbraw/zinc/29/65/66/1051296566.db2.gz VOQDBCITABAOCO-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN CC(C)Oc1ccc(-c2cccc(CN(C)C)n2)cc1Cl ZINC001241825729 1051306284 /nfs/dbraw/zinc/30/62/84/1051306284.db2.gz LJQVCIZDXOZCBO-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN Cc1cc([C@H](C)N[C@H](Cc2ccccc2)c2ccccc2)n(C)n1 ZINC000793520656 1051306999 /nfs/dbraw/zinc/30/69/99/1051306999.db2.gz VBDFWSCMDPEGNU-FXAWDEMLSA-N 0 3 319.452 4.363 20 0 DIADHN Cc1nc2ccc(Nc3cccnc3-c3ccccn3)cc2[nH]1 ZINC001213073646 1051307127 /nfs/dbraw/zinc/30/71/27/1051307127.db2.gz VEQNMJOYKMYXEJ-UHFFFAOYSA-N 0 3 301.353 4.072 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](Cc2ccccc2)c2ccccc2)n(C)n1 ZINC000793520657 1051307748 /nfs/dbraw/zinc/30/77/48/1051307748.db2.gz VBDFWSCMDPEGNU-PXNSSMCTSA-N 0 3 319.452 4.363 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NC[C@H](O)c2ccco2)cc1Cl ZINC000793524094 1051308153 /nfs/dbraw/zinc/30/81/53/1051308153.db2.gz JWDGINRIBSWHJC-DOMZBBRYSA-N 0 3 323.820 4.104 20 0 DIADHN c1c(CN[C@@H]2CSc3ccccc3C2)onc1-c1ccccc1 ZINC001172185534 1051308324 /nfs/dbraw/zinc/30/83/24/1051308324.db2.gz STDJAVMHUYWZLP-INIZCTEOSA-N 0 3 322.433 4.148 20 0 DIADHN c1nn(CN(CCc2ccccc2)C2CC2)cc1-c1ccccc1 ZINC000746026417 1051309667 /nfs/dbraw/zinc/30/96/67/1051309667.db2.gz VHVQDPMAWUGVEX-UHFFFAOYSA-N 0 3 317.436 4.215 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000746049447 1051311211 /nfs/dbraw/zinc/31/12/11/1051311211.db2.gz PFBZQQLVATXGLW-YVEFUNNKSA-N 0 3 313.470 4.235 20 0 DIADHN COc1ncc([C@@H](C)NCc2c(C)cccc2C)cc1Cl ZINC000793546961 1051316118 /nfs/dbraw/zinc/31/61/18/1051316118.db2.gz HGKKDRJFISQDRX-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN Fc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001215710347 1051316738 /nfs/dbraw/zinc/31/67/38/1051316738.db2.gz UOMDLTRUWGSROK-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Fc1cc(Nc2cccc3nccn32)ccc1CN1CCCCC1 ZINC001215709700 1051317033 /nfs/dbraw/zinc/31/70/33/1051317033.db2.gz CGVLUTIXZNDBJB-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN CN(c1ccc(CN2CCCCC2)c(F)c1)c1ccccc1N ZINC001215712045 1051319436 /nfs/dbraw/zinc/31/94/36/1051319436.db2.gz NGUZAJLKFDQJCY-UHFFFAOYSA-N 0 3 313.420 4.162 20 0 DIADHN Fc1cc(Nc2ccn3ccnc3c2)ccc1CN1CCCCC1 ZINC001215711576 1051319852 /nfs/dbraw/zinc/31/98/52/1051319852.db2.gz FEQOUPYSHMWOMY-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN COc1c(F)cccc1[C@@H](C)N[C@H]1CSc2ccccc2C1 ZINC001172193738 1051323556 /nfs/dbraw/zinc/32/35/56/1051323556.db2.gz ONANWBBTTMHZRW-TZMCWYRMSA-N 0 3 317.429 4.202 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H](O)c1ccc(F)c(F)c1 ZINC000746133975 1051324645 /nfs/dbraw/zinc/32/46/45/1051324645.db2.gz MFAXMVRBRLOCCL-GOSISDBHSA-N 0 3 323.358 4.048 20 0 DIADHN CC(C)N(Cc1ccc(F)cc1)C[C@@H](O)c1c(F)cccc1F ZINC000746134264 1051324894 /nfs/dbraw/zinc/32/48/94/1051324894.db2.gz AHTNGFHVIQUCJF-QGZVFWFLSA-N 0 3 323.358 4.048 20 0 DIADHN Cc1c(F)ccc(CN[C@H]2CSc3ccccc3C2)c1F ZINC001172198241 1051325762 /nfs/dbraw/zinc/32/57/62/1051325762.db2.gz SGOSAFPRABTVCP-CQSZACIVSA-N 0 3 305.393 4.080 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)c2ccc(C(C)(C)C)cc2)c1 ZINC000746152652 1051330442 /nfs/dbraw/zinc/33/04/42/1051330442.db2.gz PMOAMFNBWBYSHT-UHFFFAOYSA-N 0 3 324.468 4.322 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2Cc3ccccc32)c2ccccc2)nc1 ZINC000183554208 1051332551 /nfs/dbraw/zinc/33/25/51/1051332551.db2.gz TXOLWHOBSOGLRP-DYESRHJHSA-N 0 3 318.395 4.240 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1c(F)cc(Cl)cc1F ZINC001172325087 1051342976 /nfs/dbraw/zinc/34/29/76/1051342976.db2.gz JDXZFXVPRBQIOA-WDEREUQCSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1cnc(Cl)cc1Cl ZINC001172325186 1051343164 /nfs/dbraw/zinc/34/31/64/1051343164.db2.gz RSQBNMRQHWQWKE-MNOVXSKESA-N 0 3 305.249 4.072 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1c(F)cc(Cl)cc1F ZINC001172325085 1051343606 /nfs/dbraw/zinc/34/36/06/1051343606.db2.gz JDXZFXVPRBQIOA-MNOVXSKESA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1c(F)ccc(C)c1Cl ZINC001172322835 1051344008 /nfs/dbraw/zinc/34/40/08/1051344008.db2.gz ZAYSOAAUOIMTDA-QWHCGFSZSA-N 0 3 301.833 4.471 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccco2)o1)[C@H](C)C1CCCCC1 ZINC000185505031 1051347405 /nfs/dbraw/zinc/34/74/05/1051347405.db2.gz BBBZJNSOOPONJR-QWHCGFSZSA-N 0 3 303.406 4.024 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N[C@@H](c1ccccc1)c1ccccn1 ZINC001172327249 1051347806 /nfs/dbraw/zinc/34/78/06/1051347806.db2.gz MLLYKZDRFHSHAN-ZWOKBUDYSA-N 0 3 312.457 4.354 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)s1 ZINC000186846372 1051348869 /nfs/dbraw/zinc/34/88/69/1051348869.db2.gz XRBJKPZAMJBTIZ-MFKMUULPSA-N 0 3 314.376 4.448 20 0 DIADHN CC(C)OCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000186636291 1051350592 /nfs/dbraw/zinc/35/05/92/1051350592.db2.gz GVQTUFWLRIBSHP-SNVBAGLBSA-N 0 3 307.768 4.017 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c2c[nH]nc21 ZINC001215760566 1051350982 /nfs/dbraw/zinc/35/09/82/1051350982.db2.gz QKZVFHDICTVQQD-KRWDZBQOSA-N 0 3 322.412 4.000 20 0 DIADHN Cn1ccnc1Nc1ccc(OCc2ccccc2)cc1Cl ZINC001215760667 1051351221 /nfs/dbraw/zinc/35/12/21/1051351221.db2.gz UMYXCZMNJFUKDZ-UHFFFAOYSA-N 0 3 313.788 4.396 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC(c2ccsc2)CC1 ZINC000186923476 1051351533 /nfs/dbraw/zinc/35/15/33/1051351533.db2.gz UMTPSAHNDOWQLL-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN C[C@@H]1CC[C@@H](N2CCc3nn(-c4ccccc4)cc3C2)C[C@H]1C ZINC001172378812 1051354735 /nfs/dbraw/zinc/35/47/35/1051354735.db2.gz MFTKWKMDQOAROT-GPMSIDNRSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001172342256 1051362651 /nfs/dbraw/zinc/36/26/51/1051362651.db2.gz XOBNFSUZCLRUHM-JKSUJKDBSA-N 0 3 324.896 4.056 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N[C@H](c1ncccc1Cl)C1CC1 ZINC001172353808 1051369796 /nfs/dbraw/zinc/36/97/96/1051369796.db2.gz YAXVWABYJXCLHL-XEZPLFJOSA-N 0 3 310.869 4.369 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@@H](C)[C@@H](C)C3)co2)cc1 ZINC001172367272 1051376595 /nfs/dbraw/zinc/37/65/95/1051376595.db2.gz LJLNSBJKAGIZCN-IJEWVQPXSA-N 0 3 314.429 4.265 20 0 DIADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@@H]1C ZINC001172363753 1051376787 /nfs/dbraw/zinc/37/67/87/1051376787.db2.gz PAEGPXHIWGNZBZ-TYNCELHUSA-N 0 3 313.363 4.302 20 0 DIADHN C[C@H](CCNCc1nc(C(F)(F)F)cs1)c1ccccc1 ZINC000194477617 1051376908 /nfs/dbraw/zinc/37/69/08/1051376908.db2.gz NHWMLTIGFBRWSF-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2coc(-c3ccc(F)cc3)n2)C[C@H]1C ZINC001172367741 1051378941 /nfs/dbraw/zinc/37/89/41/1051378941.db2.gz MBWKCSXYICNNEH-XJKCOSOUSA-N 0 3 302.393 4.395 20 0 DIADHN CCCCCC[C@H](CCC)N[C@H]1c2ccccc2CNC1=O ZINC001172391646 1051394022 /nfs/dbraw/zinc/39/40/22/1051394022.db2.gz SAZVODXXEAGCFD-WMZOPIPTSA-N 0 3 302.462 4.086 20 0 DIADHN CC[C@H](CC(C)C)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001172391097 1051394163 /nfs/dbraw/zinc/39/41/63/1051394163.db2.gz DFUJRHFEFCJSSF-GFCCVEGCSA-N 0 3 319.342 4.442 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1cc(-c2ccccc2F)no1 ZINC001172470310 1051400610 /nfs/dbraw/zinc/40/06/10/1051400610.db2.gz CVVCAYYFJUCXIQ-CQSZACIVSA-N 0 3 320.408 4.022 20 0 DIADHN CC(C)OCC[C@@H](C)NCc1csc(-c2cccc(F)c2)n1 ZINC001172444575 1051407940 /nfs/dbraw/zinc/40/79/40/1051407940.db2.gz MYIXDKBQZCHNCP-CYBMUJFWSA-N 0 3 322.449 4.242 20 0 DIADHN CC(C)OCC[C@@H](C)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001172443879 1051408039 /nfs/dbraw/zinc/40/80/39/1051408039.db2.gz SFLIXSHHNHCSEP-WBVHZDCISA-N 0 3 318.486 4.220 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2cccc(SC(=O)N(C)C)c2)C1 ZINC000752300944 1051411420 /nfs/dbraw/zinc/41/14/20/1051411420.db2.gz MLTVOGPXQLUHDG-SFHVURJKSA-N 0 3 320.502 4.472 20 0 DIADHN CC(C)C1CCN(Cc2cccc(SC(=O)N(C)C)c2)CC1 ZINC000752299432 1051411600 /nfs/dbraw/zinc/41/16/00/1051411600.db2.gz OKMYKXUODUEQPU-UHFFFAOYSA-N 0 3 320.502 4.328 20 0 DIADHN CC(C)COCC[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172465938 1051415200 /nfs/dbraw/zinc/41/52/00/1051415200.db2.gz ZDEGBYOPADOOMZ-HNNXBMFYSA-N 0 3 318.486 4.351 20 0 DIADHN CC(C)COCC[C@H](C)n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001172464258 1051415377 /nfs/dbraw/zinc/41/53/77/1051415377.db2.gz IRMMTOIKAPTLPM-HOTGVXAUSA-N 0 3 315.461 4.194 20 0 DIADHN Brc1sccc1CNC[C@H]1C[C@@H]1C1CCCC1 ZINC001204089028 1051430558 /nfs/dbraw/zinc/43/05/58/1051430558.db2.gz JVMDVRAOMYTROI-CHWSQXEVSA-N 0 3 314.292 4.427 20 0 DIADHN C[C@@H](NC[C@@H]1SCCc2ccccc21)c1cccnc1Cl ZINC000766485920 1051435862 /nfs/dbraw/zinc/43/58/62/1051435862.db2.gz BSBIGGHCGWCGCR-WBMJQRKESA-N 0 3 318.873 4.416 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(N(C)CC(F)F)CC1 ZINC000746481529 1051439906 /nfs/dbraw/zinc/43/99/06/1051439906.db2.gz KYYKIMSOTBUBMA-LBPRGKRZSA-N 0 3 316.823 4.062 20 0 DIADHN c1ccc([C@@H]2CC[C@H](N3CCOC[C@@H]3c3ccccc3)C2)cc1 ZINC001172564048 1051442687 /nfs/dbraw/zinc/44/26/87/1051442687.db2.gz SURBPDJKQMDSGE-QHAWAJNXSA-N 0 3 307.437 4.396 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCCN1[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172562707 1051443207 /nfs/dbraw/zinc/44/32/07/1051443207.db2.gz CPKDBXFGZSKANS-KURKYZTESA-N 0 3 315.457 4.129 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)n1ccc([C@H](C)N)n1 ZINC001172567643 1051444329 /nfs/dbraw/zinc/44/43/29/1051444329.db2.gz KYNCVGDHRMIGQL-ZJUUUORDSA-N 0 3 313.779 4.028 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](N3CCc4cnc(C5CC5)nc4C3)C2)cc1 ZINC001172565938 1051445206 /nfs/dbraw/zinc/44/52/06/1051445206.db2.gz QJJBERSLPKHDTN-IEBWSBKVSA-N 0 3 319.452 4.048 20 0 DIADHN C[C@@H](CCc1c(F)cc(Cl)cc1F)N1CCC(F)CC1 ZINC001172572426 1051446217 /nfs/dbraw/zinc/44/62/17/1051446217.db2.gz WULURIKVDNXTMI-JTQLQIEISA-N 0 3 305.771 4.373 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)N1CCCO[C@@H](C)C1 ZINC001172569129 1051446673 /nfs/dbraw/zinc/44/66/73/1051446673.db2.gz DRKATEBQAJTHGK-NEPJUHHUSA-N 0 3 317.807 4.050 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)n1ccnc1[C@H](C)N ZINC001172575989 1051448793 /nfs/dbraw/zinc/44/87/93/1051448793.db2.gz KVCQVIFTMKCHHN-ZJUUUORDSA-N 0 3 313.779 4.028 20 0 DIADHN Cc1ccc(CN)nc1N(C)[C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172538588 1051452577 /nfs/dbraw/zinc/45/25/77/1051452577.db2.gz QCEAURHUAZIWQC-IEBWSBKVSA-N 0 3 309.457 4.011 20 0 DIADHN CC1(C)CN(CCc2ccc(F)c(F)c2)Cc2ccccc21 ZINC001168859880 1051454207 /nfs/dbraw/zinc/45/42/07/1051454207.db2.gz SZUDNJUIXIODHT-UHFFFAOYSA-N 0 3 301.380 4.301 20 0 DIADHN NCc1nn([C@H]2CCC[C@@H](c3ccccc3)C2)c2ccccc12 ZINC001172542479 1051455339 /nfs/dbraw/zinc/45/53/39/1051455339.db2.gz HMHDARCLIHXMSZ-SJORKVTESA-N 0 3 305.425 4.394 20 0 DIADHN O=C(CN[C@H]1CC[C@@H](c2ccccc2)C1)c1ccc(Cl)cc1 ZINC001172546130 1051457525 /nfs/dbraw/zinc/45/75/25/1051457525.db2.gz JZIKODIRWPKXSK-AEFFLSMTSA-N 0 3 313.828 4.449 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cccnc1Cl)Oc1cccc(F)c1 ZINC000766528325 1051466731 /nfs/dbraw/zinc/46/67/31/1051466731.db2.gz LFDWSGXRPHWKPC-GXTWGEPZSA-N 0 3 322.811 4.382 20 0 DIADHN CC1(C)CC(NCc2c(Cl)cncc2Cl)CC(C)(C)O1 ZINC001172646303 1051468636 /nfs/dbraw/zinc/46/86/36/1051468636.db2.gz FXTMVHHCEYWWBL-UHFFFAOYSA-N 0 3 317.260 4.214 20 0 DIADHN COC(=O)[C@@H]1CCC[C@@H](N(C)[C@@H](C)c2ccccc2Cl)C1 ZINC001172625378 1051476130 /nfs/dbraw/zinc/47/61/30/1051476130.db2.gz WAEYBPATUVTHIG-BFHYXJOUSA-N 0 3 309.837 4.065 20 0 DIADHN Cc1cc([C@@H](C)NCCOc2ccc(F)c(Cl)c2)c(C)o1 ZINC001168983013 1051477609 /nfs/dbraw/zinc/47/76/09/1051477609.db2.gz VFKLGPOWYDVVPO-LLVKDONJSA-N 0 3 311.784 4.419 20 0 DIADHN Oc1ccc(CN2CCC[C@H](Oc3ccc(Cl)cc3)C2)cc1 ZINC001204096059 1051478012 /nfs/dbraw/zinc/47/80/12/1051478012.db2.gz HITSSLWHNXCNMP-SFHVURJKSA-N 0 3 317.816 4.089 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3cncc(F)c3)C2)cc1 ZINC001168968273 1051480698 /nfs/dbraw/zinc/48/06/98/1051480698.db2.gz GELQEIHJPYWDDO-QGZVFWFLSA-N 0 3 312.432 4.409 20 0 DIADHN Cc1ccc2nc(Cl)c(CN3C[C@@H]4CCC[C@H]4C3)cc2c1 ZINC001137918923 1051485375 /nfs/dbraw/zinc/48/53/75/1051485375.db2.gz URRUVDBEUFDCOK-KBPBESRZSA-N 0 3 300.833 4.429 20 0 DIADHN OC[C@@H](NC1CCC2(CCCC2)CC1)c1cc(F)ccc1F ZINC001172728757 1051488100 /nfs/dbraw/zinc/48/81/00/1051488100.db2.gz NAJLKXDOCAFBCN-QGZVFWFLSA-N 0 3 309.400 4.091 20 0 DIADHN CC[C@@H](NC(=O)CN(CC)CCC1CC1)c1ccc(Cl)cc1 ZINC001169014807 1051489450 /nfs/dbraw/zinc/48/94/50/1051489450.db2.gz QRMDAZFXFCEKQH-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N(CCN(C)C)Cc1ccsc1 ZINC001129701012 1051489308 /nfs/dbraw/zinc/48/93/08/1051489308.db2.gz OHEYIMYYZNYRON-HNNXBMFYSA-N 0 3 324.534 4.101 20 0 DIADHN CC[C@H](N[C@@H](C)CCC(=O)OC(C)C)c1cc(F)ccc1F ZINC001172744916 1051489660 /nfs/dbraw/zinc/48/96/60/1051489660.db2.gz WPADRUVVOMYCOF-LRDDRELGSA-N 0 3 313.388 4.126 20 0 DIADHN Fc1cc(F)c(CN[C@H]2Cc3ccc(Cl)cc3C2)cc1F ZINC001172708744 1051491827 /nfs/dbraw/zinc/49/18/27/1051491827.db2.gz MFJQGHHRWFYACM-ZDUSSCGKSA-N 0 3 311.734 4.014 20 0 DIADHN C[C@H](c1nccs1)N1CCN(CC2CCC(C)(C)CC2)CC1 ZINC001169023698 1051492730 /nfs/dbraw/zinc/49/27/30/1051492730.db2.gz HYWJLZKSVIEODY-OAHLLOKOSA-N 0 3 321.534 4.038 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@@H]2Cc3ccc(Cl)cc3C2)c1 ZINC001172709325 1051494074 /nfs/dbraw/zinc/49/40/74/1051494074.db2.gz YGQVMCFBHZMDFA-CQSZACIVSA-N 0 3 311.734 4.014 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)NCC(=O)c1ccc(F)cc1 ZINC001169049271 1051495336 /nfs/dbraw/zinc/49/53/36/1051495336.db2.gz FXJCHTLKHZSEIF-LJQANCHMSA-N 0 3 313.416 4.255 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](N[C@H]1COc3ccc(Cl)cc31)C2 ZINC001172714508 1051496992 /nfs/dbraw/zinc/49/69/92/1051496992.db2.gz AFVVGWLKXGMWDZ-HOCLYGCPSA-N 0 3 320.219 4.184 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)NC(C)(C)c1ccccc1Cl ZINC001172759140 1051499086 /nfs/dbraw/zinc/49/90/86/1051499086.db2.gz JHMXAXHZZUEIQP-CYBMUJFWSA-N 0 3 311.853 4.285 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)NCC(=O)c1ccccc1F ZINC001169058518 1051501010 /nfs/dbraw/zinc/50/10/10/1051501010.db2.gz HSHRXLGZWFKOMR-KRWDZBQOSA-N 0 3 313.416 4.255 20 0 DIADHN c1nc2c(s1)CN(C1CCC(Cc3ccccc3)CC1)CC2 ZINC001172825108 1051516534 /nfs/dbraw/zinc/51/65/34/1051516534.db2.gz PFCDASLRAZHANN-UHFFFAOYSA-N 0 3 312.482 4.303 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)N1CCO[C@@H](c2ccccn2)C1 ZINC001169085614 1051517662 /nfs/dbraw/zinc/51/76/62/1051517662.db2.gz PHGOAFXZYORAFK-PZJWPPBQSA-N 0 3 324.468 4.112 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cnc(Cl)s1 ZINC001172837454 1051521825 /nfs/dbraw/zinc/52/18/25/1051521825.db2.gz NAMJMTJJMVHTAH-MRVPVSSYSA-N 0 3 319.232 4.310 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CC[C@@]2(C1)CCCCO2 ZINC001172865064 1051525305 /nfs/dbraw/zinc/52/53/05/1051525305.db2.gz ZCZNOYMJSDEVOF-SUMWQHHRSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1ccc(Cl)cn1 ZINC001172842228 1051529576 /nfs/dbraw/zinc/52/95/76/1051529576.db2.gz PXYOTDIVYNTGLG-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CC(C)c1cc(CN[C@H](C)Cc2c(F)cccc2Cl)on1 ZINC001172843110 1051530102 /nfs/dbraw/zinc/53/01/02/1051530102.db2.gz VCURDSOCOIXVIM-LLVKDONJSA-N 0 3 310.800 4.311 20 0 DIADHN Nc1ccc(Nc2ccnc(C3CC3)c2)cc1OC(F)(F)F ZINC001216032353 1051537832 /nfs/dbraw/zinc/53/78/32/1051537832.db2.gz VUKPRFJWLCNXSI-UHFFFAOYSA-N 0 3 309.291 4.183 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CC[C@@H](c2ccccn2)C1 ZINC001172853527 1051538691 /nfs/dbraw/zinc/53/86/91/1051538691.db2.gz MHWXCCLKYZGIID-UONOGXRCSA-N 0 3 318.823 4.295 20 0 DIADHN COCCC1CCN([C@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172852561 1051539659 /nfs/dbraw/zinc/53/96/59/1051539659.db2.gz GYEWAEPHBBYUAG-CYBMUJFWSA-N 0 3 313.844 4.159 20 0 DIADHN O=C(c1cccc(Nc2ccnc(C3CC3)c2)c1)C(F)(F)F ZINC001203341846 1051539941 /nfs/dbraw/zinc/53/99/41/1051539941.db2.gz ZYEZCHMVNLPAQT-UHFFFAOYSA-N 0 3 306.287 4.448 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CC[C@@]2(CC2(F)F)C1 ZINC001172859258 1051545658 /nfs/dbraw/zinc/54/56/58/1051545658.db2.gz ZDKQMCJTCKUXLY-IINYFYTJSA-N 0 3 303.755 4.141 20 0 DIADHN Cc1ncccc1CN(C)[C@H](C)Cc1c(F)cccc1Cl ZINC001172861656 1051547392 /nfs/dbraw/zinc/54/73/92/1051547392.db2.gz MSPCUJJPCSSCJJ-GFCCVEGCSA-N 0 3 306.812 4.246 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)Nc1cccc(F)c1CN ZINC001172861107 1051548287 /nfs/dbraw/zinc/54/82/87/1051548287.db2.gz HKBHBAYOOHLFIX-JTQLQIEISA-N 0 3 310.775 4.120 20 0 DIADHN COc1c(Cl)ccc(Nc2ccccc2CN(C)C)c1F ZINC001212585990 1051549220 /nfs/dbraw/zinc/54/92/20/1051549220.db2.gz KPTGSXQLSBOFKE-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@@H]2CCCC(F)(F)C2)nc1 ZINC001169214416 1051552963 /nfs/dbraw/zinc/55/29/63/1051552963.db2.gz YJGFLTXMHSJURB-CABCVRRESA-N 0 3 309.404 4.228 20 0 DIADHN CCn1ccc(CN(C)CCSc2ccc(Cl)cc2)c1 ZINC001169262534 1051560388 /nfs/dbraw/zinc/56/03/88/1051560388.db2.gz YWXKVZIFADUNKI-UHFFFAOYSA-N 0 3 308.878 4.386 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N[C@@H]1CCc2cccnc21 ZINC001172884211 1051567120 /nfs/dbraw/zinc/56/71/20/1051567120.db2.gz WRIDYRIRDXYVQJ-BLLLJJGKSA-N 0 3 318.873 4.493 20 0 DIADHN CO[C@@H]1CCC[C@H](n2c3ccccc3nc2[C@H](N)CC(C)C)C1 ZINC001172924173 1051575004 /nfs/dbraw/zinc/57/50/04/1051575004.db2.gz SSYFLCFTSVPWCO-ARFHVFGLSA-N 0 3 315.461 4.212 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC001169270207 1051576969 /nfs/dbraw/zinc/57/69/69/1051576969.db2.gz FVNCYLHMPMSPJJ-RAIGVLPGSA-N 0 3 318.490 4.120 20 0 DIADHN Cc1cc(C)c(N)c(Nc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC001216102826 1051580899 /nfs/dbraw/zinc/58/08/99/1051580899.db2.gz NGMKRKOOECJMTJ-KRWDZBQOSA-N 0 3 311.429 4.014 20 0 DIADHN Cc1nc2ccc(Nc3ccc(O)c(Br)c3)cc2[nH]1 ZINC001213074484 1051583842 /nfs/dbraw/zinc/58/38/42/1051583842.db2.gz WUGNFUQGCHZFJA-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2ccc(F)cc2)cc1OC ZINC000103173384 1051585214 /nfs/dbraw/zinc/58/52/14/1051585214.db2.gz MBYOEUHLJSRICN-UHFFFAOYSA-N 0 3 317.404 4.258 20 0 DIADHN CCNc1nc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)cs1 ZINC001169366209 1051590787 /nfs/dbraw/zinc/59/07/87/1051590787.db2.gz LEVVIIXTCAVZKI-WBVHZDCISA-N 0 3 315.486 4.076 20 0 DIADHN CCCOC1CCC(N[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC001173088929 1051596453 /nfs/dbraw/zinc/59/64/53/1051596453.db2.gz GWTGTJJUHWXJGN-GRERDSQWSA-N 0 3 324.468 4.498 20 0 DIADHN C[C@H]1CC[C@@H](N2CC3(C2)CCCCC3)CN1C(=O)OC(C)(C)C ZINC001173067845 1051601439 /nfs/dbraw/zinc/60/14/39/1051601439.db2.gz ZIBLTIRPMSRAQU-JKSUJKDBSA-N 0 3 322.493 4.041 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2cccc(OC(C)C)c2)c1 ZINC000312291963 1051602246 /nfs/dbraw/zinc/60/22/46/1051602246.db2.gz ZMGCXTAYHXVFCB-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3CCCC[C@@H](C)C3)n2)ccc1F ZINC001169394999 1051605855 /nfs/dbraw/zinc/60/58/55/1051605855.db2.gz CIXRZVLFMBDRRL-OCCSQVGLSA-N 0 3 317.408 4.367 20 0 DIADHN CC[C@H](NCc1ccc2cnccc2c1)c1ccccc1OC ZINC000324167642 1051606673 /nfs/dbraw/zinc/60/66/73/1051606673.db2.gz DWFCSPMUKCDBHW-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN Cc1ccc(CN)nc1N(C)C1CCC2(CCCCC2)CC1 ZINC001173115271 1051611966 /nfs/dbraw/zinc/61/19/66/1051611966.db2.gz CGVOWZJZGYMPCR-UHFFFAOYSA-N 0 3 301.478 4.178 20 0 DIADHN CC[C@H](N[C@@H](C)CC(=O)N(CC)CC)c1ccccc1Cl ZINC001169449915 1051616961 /nfs/dbraw/zinc/61/69/61/1051616961.db2.gz XMSZJANBSHEQLY-BBRMVZONSA-N 0 3 310.869 4.028 20 0 DIADHN CC[C@H](N[C@H](C)c1cccs1)C(=O)N(C)c1ccc(C)cc1 ZINC001169430802 1051618761 /nfs/dbraw/zinc/61/87/61/1051618761.db2.gz HMWNLEMWHOSPDR-ZBFHGGJFSA-N 0 3 316.470 4.149 20 0 DIADHN Clc1cnc(CNC2CCC(Oc3ccccc3)CC2)s1 ZINC001173198691 1051629733 /nfs/dbraw/zinc/62/97/33/1051629733.db2.gz COZORLJMGBDFLD-UHFFFAOYSA-N 0 3 322.861 4.276 20 0 DIADHN CC(=O)[C@@H](NC1CCC(Oc2ccccc2)CC1)c1ccccc1 ZINC001173197799 1051629783 /nfs/dbraw/zinc/62/97/83/1051629783.db2.gz JHABYGAVXFLVKQ-DQVLVPHSSA-N 0 3 323.436 4.297 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@]2(C1)OCc1ccccc12 ZINC001169507238 1051630766 /nfs/dbraw/zinc/63/07/66/1051630766.db2.gz KRBNCRIHOLUGRG-HXUWFJFHSA-N 0 3 311.400 4.156 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)n1cncc1CN ZINC001173204996 1051643654 /nfs/dbraw/zinc/64/36/54/1051643654.db2.gz ARDIAKFIPIKCIW-MRXNPFEDSA-N 0 3 305.425 4.125 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3CC(C)(C)OC3(C)C)nc2c1 ZINC001169568042 1051646536 /nfs/dbraw/zinc/64/65/36/1051646536.db2.gz PTEHZUMKPZOALP-CQSZACIVSA-N 0 3 304.459 4.040 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)N1CCO[C@@H](C)C1 ZINC001173207877 1051647284 /nfs/dbraw/zinc/64/72/84/1051647284.db2.gz XZJHWMNEEHBNOW-MSOLQXFVSA-N 0 3 309.453 4.318 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1cnc(-c2ccccc2)o1 ZINC001169604926 1051654010 /nfs/dbraw/zinc/65/40/10/1051654010.db2.gz QFPZZYJPVYASFD-MSOLQXFVSA-N 0 3 314.429 4.025 20 0 DIADHN CCCC[C@@H](CC(C)C)n1nc(CN)c2cc(OC)ccc21 ZINC001173258089 1051658687 /nfs/dbraw/zinc/65/86/87/1051658687.db2.gz NYNHWOLETPSQPD-AWEZNQCLSA-N 0 3 303.450 4.281 20 0 DIADHN CC[C@@H]1CC[C@H](N[C@@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001173263561 1051663530 /nfs/dbraw/zinc/66/35/30/1051663530.db2.gz MXWLTYMIHOVFCJ-GMXABZIVSA-N 0 3 302.245 4.195 20 0 DIADHN CC(=O)NCCN(CCCC1CCCCC1)Cc1ccsc1 ZINC001169657909 1051667813 /nfs/dbraw/zinc/66/78/13/1051667813.db2.gz ZUFWGIUQTMCVIR-UHFFFAOYSA-N 0 3 322.518 4.047 20 0 DIADHN CC[C@@H]1CC[C@H](NCc2coc(-c3ccc(OC)cc3)n2)C1 ZINC001173271702 1051668631 /nfs/dbraw/zinc/66/86/31/1051668631.db2.gz HTIGCJVGUDZLFX-HIFRSBDPSA-N 0 3 300.402 4.019 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1ccc(F)c(F)c1 ZINC001169666269 1051670479 /nfs/dbraw/zinc/67/04/79/1051670479.db2.gz JINFEVFPMBRLOJ-UHFFFAOYSA-N 0 3 305.368 4.004 20 0 DIADHN COC(=O)CCCN(CC=C(C)C)Cc1ccc(Cl)cc1 ZINC001169687888 1051673609 /nfs/dbraw/zinc/67/36/09/1051673609.db2.gz YNOLJHDHAZFTPB-UHFFFAOYSA-N 0 3 309.837 4.061 20 0 DIADHN COCOc1ccc(Nc2ccnc(C3CCC3)c2)c(OC)c1 ZINC001216283181 1051673902 /nfs/dbraw/zinc/67/39/02/1051673902.db2.gz AIMQHGYNVKZQHS-UHFFFAOYSA-N 0 3 314.385 4.084 20 0 DIADHN CCSC[C@@H](C)N[C@H](c1ncccc1C(F)(F)F)C1CC1 ZINC001173296251 1051678336 /nfs/dbraw/zinc/67/83/36/1051678336.db2.gz QRTLAVVTVQNELG-MFKMUULPSA-N 0 3 318.408 4.283 20 0 DIADHN Clc1ccc(CN[C@H]2CCc3ccccc3OC2)c(Cl)c1 ZINC001169715900 1051681322 /nfs/dbraw/zinc/68/13/22/1051681322.db2.gz QPYGIPYMXIUPRO-HNNXBMFYSA-N 0 3 322.235 4.477 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccc(F)cc1)c1ccccc1F ZINC000494046173 1051683004 /nfs/dbraw/zinc/68/30/04/1051683004.db2.gz CFDCWPBLXJDAJC-QZTJIDSGSA-N 0 3 305.368 4.393 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C(C)(C)C)C2)c(C)c1 ZINC001169724195 1051683695 /nfs/dbraw/zinc/68/36/95/1051683695.db2.gz MNDWBRHQUPNIFL-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001169724199 1051684384 /nfs/dbraw/zinc/68/43/84/1051684384.db2.gz MOSDVQCUTKGOFS-HNNXBMFYSA-N 0 3 315.436 4.270 20 0 DIADHN CCSC[C@H](C)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001173311868 1051684434 /nfs/dbraw/zinc/68/44/34/1051684434.db2.gz QROLLQBQJFUQKC-DZGCQCFKSA-N 0 3 303.475 4.165 20 0 DIADHN c1ccc([C@@H](NC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)cc1 ZINC000403709406 1051684718 /nfs/dbraw/zinc/68/47/18/1051684718.db2.gz VFRHOEHXKCAKRZ-RGPMPMONSA-N 0 3 310.466 4.187 20 0 DIADHN Cc1ccc([C@@H](O)CN2CC(C)(C)[C@@H]2c2cccs2)cc1 ZINC000805839244 1051689185 /nfs/dbraw/zinc/68/91/85/1051689185.db2.gz OVLMMJZBBLPHLF-RDJZCZTQSA-N 0 3 301.455 4.173 20 0 DIADHN Cc1nc2ccc(CN[C@H]3CCc4c3cccc4O)cc2s1 ZINC000494104799 1051690401 /nfs/dbraw/zinc/69/04/01/1051690401.db2.gz FPDKAIYAYWQGHO-HNNXBMFYSA-N 0 3 310.422 4.087 20 0 DIADHN OCC[C@@H](NCc1ccc(CF)cc1)c1ccc(Cl)cc1 ZINC000805822169 1051692534 /nfs/dbraw/zinc/69/25/34/1051692534.db2.gz PQFSTANTWIHOMX-QGZVFWFLSA-N 0 3 307.796 4.023 20 0 DIADHN Cc1c2[nH]cnc2ccc1N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001169756851 1051692694 /nfs/dbraw/zinc/69/26/94/1051692694.db2.gz LFCRLRDBUCYBCU-CRAIPNDOSA-N 0 3 307.397 4.204 20 0 DIADHN Cc1ccc(OCCCN[C@@H](C)c2cccnc2Cl)cc1 ZINC000152948411 1051697844 /nfs/dbraw/zinc/69/78/44/1051697844.db2.gz CHUDYOFVZBTENE-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc2nc(C)sc2c1 ZINC000494176505 1051698732 /nfs/dbraw/zinc/69/87/32/1051698732.db2.gz PUOVTBQBXNJGLW-INIZCTEOSA-N 0 3 324.449 4.390 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000494195502 1051702168 /nfs/dbraw/zinc/70/21/68/1051702168.db2.gz PIHVZOMPYZAGHR-RZAIGCCYSA-N 0 3 319.807 4.336 20 0 DIADHN CCCc1csc(CNC[C@@H](c2cccnc2)C(C)C)n1 ZINC000494194934 1051702735 /nfs/dbraw/zinc/70/27/35/1051702735.db2.gz LCMMTRRACRZJAW-MRXNPFEDSA-N 0 3 303.475 4.020 20 0 DIADHN O=c1[nH]ccc2c1CCN([C@H]1CCCC3(CCCCC3)C1)C2 ZINC001173348344 1051705305 /nfs/dbraw/zinc/70/53/05/1051705305.db2.gz HAPOYCPHVSHJBH-INIZCTEOSA-N 0 3 300.446 4.038 20 0 DIADHN CCSC[C@H](C)N1CCN(c2cccc3sccc32)CC1 ZINC001173314797 1051709701 /nfs/dbraw/zinc/70/97/01/1051709701.db2.gz KXQUKGNOKXQUES-AWEZNQCLSA-N 0 3 320.527 4.165 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3scnc3Cl)C2)cc1 ZINC001169853249 1051713772 /nfs/dbraw/zinc/71/37/72/1051713772.db2.gz DEXNHHGSNHQNGW-GXTWGEPZSA-N 0 3 306.862 4.483 20 0 DIADHN Cc1nocc1Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001212723750 1051715864 /nfs/dbraw/zinc/71/58/64/1051715864.db2.gz GDVWWHQAZWTWAA-QGZVFWFLSA-N 0 3 319.408 4.304 20 0 DIADHN Fc1cc2c(cc1F)CC(NC1(c3ccccc3Cl)CC1)C2 ZINC001173471678 1051720593 /nfs/dbraw/zinc/72/05/93/1051720593.db2.gz QHDXEQSVLBBQEY-UHFFFAOYSA-N 0 3 319.782 4.364 20 0 DIADHN CC1(C)CCN(CCc2c(F)cccc2Cl)CCS1 ZINC001169873615 1051721714 /nfs/dbraw/zinc/72/17/14/1051721714.db2.gz WBZBCUCIQHSZMJ-UHFFFAOYSA-N 0 3 301.858 4.239 20 0 DIADHN c1cc2c[nH]cc(NCc3ccc(-c4ccccn4)cc3)c-2n1 ZINC001169894485 1051723181 /nfs/dbraw/zinc/72/31/81/1051723181.db2.gz GNLRCOAWJICDDI-UHFFFAOYSA-N 0 3 300.365 4.237 20 0 DIADHN c1cc2cncc(NCc3ccc(-c4ccccn4)cc3)c2[nH]1 ZINC001169894485 1051723198 /nfs/dbraw/zinc/72/31/98/1051723198.db2.gz GNLRCOAWJICDDI-UHFFFAOYSA-N 0 3 300.365 4.237 20 0 DIADHN Fc1ccc(Cl)cc1CNC1Cc2cc(F)c(F)cc2C1 ZINC001173475988 1051723408 /nfs/dbraw/zinc/72/34/08/1051723408.db2.gz RCSOZJHANGDPNT-UHFFFAOYSA-N 0 3 311.734 4.014 20 0 DIADHN C[C@H](NC1Cc2cc(F)c(F)cc2C1)c1c(F)cccc1F ZINC001173474807 1051723433 /nfs/dbraw/zinc/72/34/33/1051723433.db2.gz DOHGXZFRQNMIHF-VIFPVBQESA-N 0 3 309.306 4.061 20 0 DIADHN CSc1ccccc1CN(C)CCc1ncc(C)cc1C ZINC001169899884 1051725688 /nfs/dbraw/zinc/72/56/88/1051725688.db2.gz JQKNWWQAEBWVAX-UHFFFAOYSA-N 0 3 300.471 4.095 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cncc(C)c3)ccc2c1 ZINC000184264633 1051729364 /nfs/dbraw/zinc/72/93/64/1051729364.db2.gz LOZNIMYULNVATC-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1ccn(-c2cccc(F)c2)n1 ZINC001169933350 1051729806 /nfs/dbraw/zinc/72/98/06/1051729806.db2.gz MHPKERVSFKNFTK-HNNXBMFYSA-N 0 3 315.436 4.412 20 0 DIADHN Cc1cccc([C@@H](C)N2CCN(c3ccccc3F)C[C@@H]2C)c1 ZINC001169928265 1051730070 /nfs/dbraw/zinc/73/00/70/1051730070.db2.gz LAFJEZNYBBFZMT-DLBZAZTESA-N 0 3 312.432 4.406 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@H]1CCc2nc(Cl)ccc21 ZINC000806133782 1051732715 /nfs/dbraw/zinc/73/27/15/1051732715.db2.gz DSDCKTRCHLNNJM-CABCVRRESA-N 0 3 302.780 4.139 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC000806132778 1051732861 /nfs/dbraw/zinc/73/28/61/1051732861.db2.gz ZYGDCDGFNVBOCP-XHDPSFHLSA-N 0 3 302.805 4.082 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC000806135140 1051734213 /nfs/dbraw/zinc/73/42/13/1051734213.db2.gz GWPFJQCDFQENDD-MLGOLLRUSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)N1CCCC12COC2 ZINC001173552880 1051743441 /nfs/dbraw/zinc/74/34/41/1051743441.db2.gz FOOSLPHTCPQXBX-GFCCVEGCSA-N 0 3 314.256 4.179 20 0 DIADHN FC(F)Oc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806128238 1051743544 /nfs/dbraw/zinc/74/35/44/1051743544.db2.gz PMCQMBBXSJOFJU-CYBMUJFWSA-N 0 3 324.758 4.114 20 0 DIADHN COCCC1(CN[C@H](C)c2nc3ccccc3o2)CCCCC1 ZINC000184728175 1051746401 /nfs/dbraw/zinc/74/64/01/1051746401.db2.gz DXZJAMULDAVCEL-OAHLLOKOSA-N 0 3 316.445 4.465 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000806176687 1051747577 /nfs/dbraw/zinc/74/75/77/1051747577.db2.gz TZERXGLQOVDGEU-HOTGVXAUSA-N 0 3 323.415 4.293 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(Cl)ccc3O)cccc21 ZINC001216403240 1051748434 /nfs/dbraw/zinc/74/84/34/1051748434.db2.gz NXJJOVSWUISQNH-HNNXBMFYSA-N 0 3 318.804 4.174 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H](CCO)c1ccc(Cl)cc1 ZINC000806176803 1051748310 /nfs/dbraw/zinc/74/83/10/1051748310.db2.gz PSOHCFNKBCCXKH-RTBURBONSA-N 0 3 315.844 4.349 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000806176801 1051748666 /nfs/dbraw/zinc/74/86/66/1051748666.db2.gz PSOHCFNKBCCXKH-OALUTQOASA-N 0 3 315.844 4.349 20 0 DIADHN COC[C@H](N[C@H]1CC[C@@H](SC)C1)c1cccc(Cl)c1F ZINC000806142788 1051750360 /nfs/dbraw/zinc/75/03/60/1051750360.db2.gz QSSOCARPOOUFBE-WDMOLILDSA-N 0 3 317.857 4.040 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3nc(Cl)ccc32)ccc1Cl ZINC000806158646 1051760526 /nfs/dbraw/zinc/76/05/26/1051760526.db2.gz NVIVGESCAYWXQO-CQSZACIVSA-N 0 3 307.224 4.474 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(O)cc3Cl)cccc21 ZINC001216430644 1051761556 /nfs/dbraw/zinc/76/15/56/1051761556.db2.gz SCWIFKHBTTWHTJ-INIZCTEOSA-N 0 3 318.804 4.174 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806162356 1051764681 /nfs/dbraw/zinc/76/46/81/1051764681.db2.gz VHSHPXXTTKXUCC-MRXNPFEDSA-N 0 3 315.848 4.334 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C)[C@H](c2ccccc2)N(C)C)s1 ZINC000185677274 1051778810 /nfs/dbraw/zinc/77/88/10/1051778810.db2.gz GXGHQMAEJUWDIC-KBXCAEBGSA-N 0 3 317.502 4.048 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000185650334 1051779516 /nfs/dbraw/zinc/77/95/16/1051779516.db2.gz JYBBTBGOZFKIBO-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN COCC1=CCN(Cc2cccc(OCc3ccccc3)c2)CC1 ZINC000185892595 1051788090 /nfs/dbraw/zinc/78/80/90/1051788090.db2.gz ZMKMFYQQJBTUIP-UHFFFAOYSA-N 0 3 323.436 4.044 20 0 DIADHN CN(Cc1ccc(C(=O)OC(C)(C)C)s1)C1CC(C)(C)C1 ZINC001206215844 1051788463 /nfs/dbraw/zinc/78/84/63/1051788463.db2.gz RMYTXXKJPOENEQ-UHFFFAOYSA-N 0 3 309.475 4.324 20 0 DIADHN Cc1cc(CN[C@H](CN2CCCCC2)c2ccccc2)c(C)o1 ZINC000767354874 1051791371 /nfs/dbraw/zinc/79/13/71/1051791371.db2.gz UNERGTVWHUSFPJ-HXUWFJFHSA-N 0 3 312.457 4.213 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cc(C)sc2C)o1 ZINC000186137518 1051797605 /nfs/dbraw/zinc/79/76/05/1051797605.db2.gz JRECEPRFVYUSCK-INIZCTEOSA-N 0 3 305.443 4.441 20 0 DIADHN Cc1cc(CNC[C@H](O)c2ccc(Cl)cc2Cl)c(C)o1 ZINC000767383708 1051799725 /nfs/dbraw/zinc/79/97/25/1051799725.db2.gz SPEDOLWJPVPBHY-HNNXBMFYSA-N 0 3 314.212 4.026 20 0 DIADHN O=C(CCN1Cc2ccccc2C[C@@H]1c1ccccc1)C1CC1 ZINC000767409175 1051800390 /nfs/dbraw/zinc/80/03/90/1051800390.db2.gz IOZIRKVJWUBGOZ-HXUWFJFHSA-N 0 3 305.421 4.155 20 0 DIADHN CC(C)c1nc([C@H](C)NCCN(C)Cc2ccccc2)cs1 ZINC000186268768 1051804259 /nfs/dbraw/zinc/80/42/59/1051804259.db2.gz HAPMJBLRYXLICJ-HNNXBMFYSA-N 0 3 317.502 4.049 20 0 DIADHN CC(C)c1nc([C@H](C)NCC2(CCO)CCCCC2)cs1 ZINC000186378368 1051813725 /nfs/dbraw/zinc/81/37/25/1051813725.db2.gz DOLVOLLAGHZCPU-AWEZNQCLSA-N 0 3 310.507 4.250 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(C)c3)c2C1 ZINC001173809078 1051814795 /nfs/dbraw/zinc/81/47/95/1051814795.db2.gz PXNJVJSCAGMTGD-UHFFFAOYSA-N 0 3 301.459 4.150 20 0 DIADHN COc1ccccc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC000186433820 1051815596 /nfs/dbraw/zinc/81/55/96/1051815596.db2.gz DJJMFYRFJBBKEJ-OAHLLOKOSA-N 0 3 309.331 4.131 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H]2CCC[C@@H](C3CC3)C2)no1 ZINC000186820301 1051830471 /nfs/dbraw/zinc/83/04/71/1051830471.db2.gz LOWSWZYGLUHWCU-CABCVRRESA-N 0 3 306.450 4.048 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc(F)c3ccccc32)n(C)n1 ZINC000806662249 1051844055 /nfs/dbraw/zinc/84/40/55/1051844055.db2.gz BADLFAOHIFIAFP-KGLIPLIRSA-N 0 3 311.404 4.433 20 0 DIADHN CCCOc1ccc(CNCc2cnc3cc(C)ccn23)c(C)c1 ZINC000188791607 1051852729 /nfs/dbraw/zinc/85/27/29/1051852729.db2.gz IEHNCGXFQZZDHL-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN Cc1cccc(C)c1-c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC001216664039 1051857108 /nfs/dbraw/zinc/85/71/08/1051857108.db2.gz IWMJHSCICGSLAW-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CCOc1ccc(CNCc2ccc3nc(C)sc3c2)cc1 ZINC000494311104 1051868455 /nfs/dbraw/zinc/86/84/55/1051868455.db2.gz LRSLCJZCPVZNTG-UHFFFAOYSA-N 0 3 312.438 4.293 20 0 DIADHN C[C@@](O)(CNCc1ccc(Cl)cc1Cl)c1cccs1 ZINC000153019360 1051873885 /nfs/dbraw/zinc/87/38/85/1051873885.db2.gz WFCSMSUEFFZQRC-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN CCCc1csc(CNC[C@@H](C)COCc2ccccc2)n1 ZINC000494308056 1051874086 /nfs/dbraw/zinc/87/40/86/1051874086.db2.gz GWEMWYZQHSKEMQ-OAHLLOKOSA-N 0 3 318.486 4.038 20 0 DIADHN COCc1cccc(CNCc2ccc3nc(C)sc3c2)c1 ZINC000494305140 1051874114 /nfs/dbraw/zinc/87/41/14/1051874114.db2.gz AHJAUFGDQULVSV-UHFFFAOYSA-N 0 3 312.438 4.041 20 0 DIADHN CCNc1nc(CN2CC[C@@H](c3ccc(C)cc3C)C2)cs1 ZINC001169979781 1051876714 /nfs/dbraw/zinc/87/67/14/1051876714.db2.gz PTWBJMCAGSCPEH-OAHLLOKOSA-N 0 3 315.486 4.181 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1ccc(F)c(OC)c1 ZINC000768103474 1051881869 /nfs/dbraw/zinc/88/18/69/1051881869.db2.gz FQSANAIFWBIZCW-CQSZACIVSA-N 0 3 316.420 4.141 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2N[C@H]1CCCc2nc(C)ncc21 ZINC000768102865 1051881940 /nfs/dbraw/zinc/88/19/40/1051881940.db2.gz CSHTVWKAQBLUDU-AGRHKRQWSA-N 0 3 307.441 4.309 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCOC(F)(F)F)oc21 ZINC000189225887 1051896394 /nfs/dbraw/zinc/89/63/94/1051896394.db2.gz DMIZJCMNJLAKNU-SNVBAGLBSA-N 0 3 317.307 4.019 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2ccc(Cl)cn2)c1C ZINC000494505139 1051898995 /nfs/dbraw/zinc/89/89/95/1051898995.db2.gz ORMHRXNKEOZRGO-HNNXBMFYSA-N 0 3 318.848 4.472 20 0 DIADHN Cc1cnn(-c2ccc(F)cc2)c1Nc1ccccc1CN(C)C ZINC001173929081 1051900215 /nfs/dbraw/zinc/90/02/15/1051900215.db2.gz UHIWQLOBKQYQPG-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@H]1CCOc2c(F)cccc21 ZINC000494479709 1051901768 /nfs/dbraw/zinc/90/17/68/1051901768.db2.gz YOGCURHGOYPPQZ-JEBQAFNWSA-N 0 3 315.388 4.015 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccccc1N1CCCCC1 ZINC000768196429 1051902105 /nfs/dbraw/zinc/90/21/05/1051902105.db2.gz DDPODEHBQGJCGZ-NRFANRHFSA-N 0 3 323.484 4.353 20 0 DIADHN CC(=O)Nc1ccc(Cl)c(Nc2ccccc2CN(C)C)c1 ZINC001173931025 1051902331 /nfs/dbraw/zinc/90/23/31/1051902331.db2.gz DECIOKSBANRZLC-UHFFFAOYSA-N 0 3 317.820 4.104 20 0 DIADHN CC(=O)n1ccc2cc(Nc3ccccc3CN(C)C)ccc21 ZINC001173930922 1051902490 /nfs/dbraw/zinc/90/24/90/1051902490.db2.gz SBZHCWNEFQNESL-UHFFFAOYSA-N 0 3 307.397 4.107 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccccc1C1=NC(C)(C)CO1 ZINC001173931358 1051902618 /nfs/dbraw/zinc/90/26/18/1051902618.db2.gz IEAISDYHBKOJCN-UHFFFAOYSA-N 0 3 323.440 4.047 20 0 DIADHN CN(C)Cc1ccccc1Nc1c(F)cccc1N1CCCC1 ZINC001173930386 1051903314 /nfs/dbraw/zinc/90/33/14/1051903314.db2.gz FJZGMCRYEOHCOC-UHFFFAOYSA-N 0 3 313.420 4.231 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(Br)ccc1F ZINC001173929263 1051903530 /nfs/dbraw/zinc/90/35/30/1051903530.db2.gz ACJKXDCVYOPMPA-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc2c1NC(=O)CC2(C)C ZINC001173931190 1051903934 /nfs/dbraw/zinc/90/39/34/1051903934.db2.gz FSSTWEWCGZBVCT-UHFFFAOYSA-N 0 3 323.440 4.112 20 0 DIADHN COc1cc2cccnc2c(Nc2ccccc2CN(C)C)c1 ZINC001173932262 1051905886 /nfs/dbraw/zinc/90/58/86/1051905886.db2.gz QDDNIYQFFCJHQS-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2nccc3ccccc32)cc1 ZINC000768236760 1051906016 /nfs/dbraw/zinc/90/60/16/1051906016.db2.gz KSEIROOPFAWIDU-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cc(C)oc2C)cc1OC ZINC000768232171 1051907620 /nfs/dbraw/zinc/90/76/20/1051907620.db2.gz YJBBFTHMRQHYTG-QGZVFWFLSA-N 0 3 315.413 4.251 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000768269488 1051911496 /nfs/dbraw/zinc/91/14/96/1051911496.db2.gz PNGKMUVJSPVINM-GFCCVEGCSA-N 0 3 308.857 4.142 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3cc(C)ccc31)CCO2 ZINC000768283777 1051914317 /nfs/dbraw/zinc/91/43/17/1051914317.db2.gz GHGUSMQKGZHJHH-OALUTQOASA-N 0 3 309.409 4.104 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2ccccc2N2CCCCC2)nc1 ZINC000768355696 1051920705 /nfs/dbraw/zinc/92/07/05/1051920705.db2.gz PKSSGJWTLVXZGK-OAQYLSRUSA-N 0 3 321.468 4.409 20 0 DIADHN C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CCCCN1Cc1cccs1 ZINC000768545278 1051944296 /nfs/dbraw/zinc/94/42/96/1051944296.db2.gz XEGSOVRQDVRBLZ-UKRRQHHQSA-N 0 3 324.490 4.016 20 0 DIADHN COCCN(Cc1cncc2ccccc21)[C@@H](C)c1ccccc1 ZINC000768597315 1051946913 /nfs/dbraw/zinc/94/69/13/1051946913.db2.gz RPBAMGXITHBKTN-KRWDZBQOSA-N 0 3 320.436 4.444 20 0 DIADHN C[C@@H]1CSCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000190849552 1051952183 /nfs/dbraw/zinc/95/21/83/1051952183.db2.gz NSPRMOHYQCTWFH-NSHDSACASA-N 0 3 310.513 4.057 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)(F)F)cc2C)cc1 ZINC000190805365 1051952638 /nfs/dbraw/zinc/95/26/38/1051952638.db2.gz KOVJLFYXABJHNI-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1ccc(F)cc1Br ZINC000223826788 1051955436 /nfs/dbraw/zinc/95/54/36/1051955436.db2.gz ONDASCKAKUNGDH-MWLCHTKSSA-N 0 3 320.271 4.380 20 0 DIADHN Cc1csc([C@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)n1 ZINC000191013508 1051956850 /nfs/dbraw/zinc/95/68/50/1051956850.db2.gz YJMMIHXFPKSUBB-JKSUJKDBSA-N 0 3 320.502 4.374 20 0 DIADHN Cc1csc([C@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)n1 ZINC000191013544 1051957712 /nfs/dbraw/zinc/95/77/12/1051957712.db2.gz YJMMIHXFPKSUBB-HZPDHXFCSA-N 0 3 320.502 4.374 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1csc(Cl)c1 ZINC000191286045 1051968693 /nfs/dbraw/zinc/96/86/93/1051968693.db2.gz IDUPGDGZAZFCSQ-LLVKDONJSA-N 0 3 308.834 4.081 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1ccc(F)cc1Br ZINC000224431048 1051974501 /nfs/dbraw/zinc/97/45/01/1051974501.db2.gz NDRGDEYBECHQHX-ONGXEEELSA-N 0 3 318.255 4.134 20 0 DIADHN COC[C@H](N[C@H]1CCCC(F)(F)C1)c1ccc(Cl)cc1 ZINC000494594298 1051983283 /nfs/dbraw/zinc/98/32/83/1051983283.db2.gz CELLTBRLRYJNAA-KBPBESRZSA-N 0 3 303.780 4.195 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](OC)c1ccccc1)c1c(C)noc1C ZINC000494598510 1051983868 /nfs/dbraw/zinc/98/38/68/1051983868.db2.gz XWOZPOFFFUDUSG-SKDZVZGDSA-N 0 3 302.418 4.108 20 0 DIADHN C[C@@H](COc1ccc(C(C)(C)C)cc1)NCc1cncc(F)c1 ZINC000494610197 1051986134 /nfs/dbraw/zinc/98/61/34/1051986134.db2.gz YMBHFSGYADXYTP-AWEZNQCLSA-N 0 3 316.420 4.075 20 0 DIADHN CC(C)CNC(=O)c1ccc(Nc2ccnc(C3CC3)c2)cc1 ZINC001174077309 1051995201 /nfs/dbraw/zinc/99/52/01/1051995201.db2.gz JCIMGRDJXFXFCE-UHFFFAOYSA-N 0 3 309.413 4.088 20 0 DIADHN C[C@@H]1CCN(Cc2ccccc2Oc2cccnc2)CCS1 ZINC000192170307 1052002908 /nfs/dbraw/zinc/00/29/08/1052002908.db2.gz KRDPRIAEASWYMT-OAHLLOKOSA-N 0 3 314.454 4.201 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(OC)c(OC)c2C)cc1 ZINC000808376836 1052010131 /nfs/dbraw/zinc/01/01/31/1052010131.db2.gz ZWOUIQHWWFFFBG-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN CO[C@H](CN1C[C@H](C(F)(F)F)CC[C@@H]1C)c1ccccc1 ZINC000670085428 1052025925 /nfs/dbraw/zinc/02/59/25/1052025925.db2.gz BQXUZJGZAWFGFP-NWANDNLSSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ncc(Nc2ccc(F)cc2OCc2ccccc2)n1C ZINC001174119855 1052027019 /nfs/dbraw/zinc/02/70/19/1052027019.db2.gz NOQIKRPHRVXUMU-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN Cc1ncc(Nc2cc(F)ccc2OCc2ccccc2)n1C ZINC001174120418 1052028205 /nfs/dbraw/zinc/02/82/05/1052028205.db2.gz BSAZBDPVIVSBEE-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CCCCn1nc(C)c(CNCCC2(F)CCC2)c1Cl ZINC000808428590 1052030293 /nfs/dbraw/zinc/03/02/93/1052030293.db2.gz HJGXZLMHPPZHRD-UHFFFAOYSA-N 0 3 301.837 4.017 20 0 DIADHN CC(C)n1ncc2cc(CN(C)[C@H]3CCc4ccccc43)cnc21 ZINC000195052910 1052032025 /nfs/dbraw/zinc/03/20/25/1052032025.db2.gz NPZLNLAWGKBVET-IBGZPJMESA-N 0 3 320.440 4.132 20 0 DIADHN COc1ccc(CNCc2cc(Cl)cs2)cc1Cl ZINC000193154547 1052032535 /nfs/dbraw/zinc/03/25/35/1052032535.db2.gz XDTBSHFGZDVLPB-UHFFFAOYSA-N 0 3 302.226 4.353 20 0 DIADHN Cc1noc(C)c1CCCN[C@@H](C)c1csc(C(C)C)n1 ZINC000769414897 1052045762 /nfs/dbraw/zinc/04/57/62/1052045762.db2.gz LZOHSROEVPMPBX-LBPRGKRZSA-N 0 3 307.463 4.155 20 0 DIADHN Cc1cc(CN2C[C@@H](c3ccccc3)C[C@@H]2C)cnc1Cl ZINC000769445500 1052056513 /nfs/dbraw/zinc/05/65/13/1052056513.db2.gz JVAJWNZXEDTADP-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cnccc1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC001170558595 1052057153 /nfs/dbraw/zinc/05/71/53/1052057153.db2.gz CUNTZHIHYRDHPB-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1cnccc1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC001170558593 1052057381 /nfs/dbraw/zinc/05/73/81/1052057381.db2.gz CUNTZHIHYRDHPB-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1CC[C@@H](c2ccccc2)[C@@H]1C ZINC000769499937 1052067965 /nfs/dbraw/zinc/06/79/65/1052067965.db2.gz XWFHZNGRSVHHTE-DZGCQCFKSA-N 0 3 317.864 4.014 20 0 DIADHN CC(C)C(=O)CCN(C)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000808713459 1052073127 /nfs/dbraw/zinc/07/31/27/1052073127.db2.gz OTWZIPPTKZSBOS-GFCCVEGCSA-N 0 3 301.352 4.313 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1Cl)[C@H](O)c1ccccc1 ZINC000808720597 1052077778 /nfs/dbraw/zinc/07/77/78/1052077778.db2.gz BHBDUIOGUXNUBL-JKDFXYPNSA-N 0 3 307.796 4.252 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccccc1)c1ccc(F)cc1Cl ZINC000808720598 1052077966 /nfs/dbraw/zinc/07/79/66/1052077966.db2.gz BHBDUIOGUXNUBL-NVGCLXPQSA-N 0 3 307.796 4.252 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@H](C)[C@@H](O)c1ccccc1 ZINC000808720778 1052080594 /nfs/dbraw/zinc/08/05/94/1052080594.db2.gz YFHUVGLOJYPOOZ-VEVIJQCQSA-N 0 3 319.832 4.121 20 0 DIADHN Cc1csc(C2(NCCCc3cccc(F)c3)CCC2)n1 ZINC000494785776 1052090299 /nfs/dbraw/zinc/09/02/99/1052090299.db2.gz BRVQNVFPGYYAJM-UHFFFAOYSA-N 0 3 304.434 4.192 20 0 DIADHN C[C@H](NCCCOC(F)F)c1nc(-c2ccccc2)cs1 ZINC000194024388 1052090595 /nfs/dbraw/zinc/09/05/95/1052090595.db2.gz HQGANNWJDSBLLL-NSHDSACASA-N 0 3 312.385 4.090 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CCCCC1(C)C ZINC000194268090 1052103079 /nfs/dbraw/zinc/10/30/79/1052103079.db2.gz YJYRQIVPZYUULE-INIZCTEOSA-N 0 3 302.462 4.212 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCSCc1ccc(C)cc1 ZINC000769865252 1052114331 /nfs/dbraw/zinc/11/43/31/1052114331.db2.gz AWHMOHLTVBBMSK-AWEZNQCLSA-N 0 3 318.486 4.438 20 0 DIADHN c1ccc(CN2CCC=C(Nc3ccc4c(c3)N=NC4)C2)cc1 ZINC001174394625 1052115725 /nfs/dbraw/zinc/11/57/25/1052115725.db2.gz PTEZLFKXSBBDMH-UHFFFAOYSA-N 0 3 304.397 4.486 20 0 DIADHN COc1cc(NC2=CCCN(Cc3ccccc3)C2)ccc1F ZINC001174396614 1052118585 /nfs/dbraw/zinc/11/85/85/1052118585.db2.gz JZSVQHNLSKGTAD-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN CCSc1cccc(CN[C@@H](CCO)c2cccs2)c1 ZINC000769915124 1052120853 /nfs/dbraw/zinc/12/08/53/1052120853.db2.gz YGMRDEPFTYJGLZ-HNNXBMFYSA-N 0 3 307.484 4.073 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)NCc2ncc(Cl)s2)cc1 ZINC000769918182 1052122022 /nfs/dbraw/zinc/12/20/22/1052122022.db2.gz URQHEJRROJAPDT-QWRGUYRKSA-N 0 3 310.850 4.087 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](O)c1ccc2ccccc2c1 ZINC000769873460 1052124006 /nfs/dbraw/zinc/12/40/06/1052124006.db2.gz IFZULCDVCHKYMU-DJJJIMSYSA-N 0 3 324.424 4.083 20 0 DIADHN CCOCOc1ccc(CN(C)Cc2ccc(F)cc2C)cc1 ZINC000754151266 1052131008 /nfs/dbraw/zinc/13/10/08/1052131008.db2.gz XEDJLLGVNVFNFZ-UHFFFAOYSA-N 0 3 317.404 4.139 20 0 DIADHN COc1ccccc1[C@H](CNCc1cc(C)oc1C)OC(C)C ZINC000769932644 1052133530 /nfs/dbraw/zinc/13/35/30/1052133530.db2.gz HAYXQWGAGZWOPG-IBGZPJMESA-N 0 3 317.429 4.161 20 0 DIADHN O[C@@H](CN1CCCC12CCC2)c1ccc(Cl)cc1Cl ZINC000769983203 1052135063 /nfs/dbraw/zinc/13/50/63/1052135063.db2.gz GRQMYDDMHPDOAF-AWEZNQCLSA-N 0 3 300.229 4.045 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@@H](O)c1ccccc1 ZINC000769990301 1052137318 /nfs/dbraw/zinc/13/73/18/1052137318.db2.gz LJUIACBVTLXCCT-IAGOWNOFSA-N 0 3 323.358 4.312 20 0 DIADHN CCCn1cc(CN[C@H](c2ccc(Cl)cc2)C(C)C)cn1 ZINC000227282621 1052138333 /nfs/dbraw/zinc/13/83/33/1052138333.db2.gz KCIXMIHWBRXDGR-KRWDZBQOSA-N 0 3 305.853 4.433 20 0 DIADHN O=c1[nH]c2ccccc2cc1CNCc1ccc(C2CCC2)cc1 ZINC000494844711 1052150175 /nfs/dbraw/zinc/15/01/75/1052150175.db2.gz JDVOJKGAEHVFOT-UHFFFAOYSA-N 0 3 318.420 4.498 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@H]3N(C)C)c(C)s1 ZINC001174421896 1052151758 /nfs/dbraw/zinc/15/17/58/1052151758.db2.gz PMVXXDBMUVJIAT-MRXNPFEDSA-N 0 3 302.443 4.494 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)[C@@H]1C ZINC000494903139 1052163530 /nfs/dbraw/zinc/16/35/30/1052163530.db2.gz LTOZIFFECAPCGQ-VVWQDTPRSA-N 0 3 308.425 4.001 20 0 DIADHN CC1(C)N[C@@H](CO[C@H](/C=C/c2ccccc2)c2ccccc2)CO1 ZINC001217891620 1052165972 /nfs/dbraw/zinc/16/59/72/1052165972.db2.gz AARDONVJYBDEOI-FGXJKGHSSA-N 0 3 323.436 4.182 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc(OCC)c(F)c2)CC1 ZINC000494923978 1052173568 /nfs/dbraw/zinc/17/35/68/1052173568.db2.gz RUUGGMVIYZVNNO-INIZCTEOSA-N 0 3 309.425 4.006 20 0 DIADHN Fc1ccc([C@@H](C[C@@H]2CCOC2)NCc2cccc(F)c2)cc1 ZINC000494920945 1052174220 /nfs/dbraw/zinc/17/42/20/1052174220.db2.gz FZEQPZPNHMHUDG-HNAYVOBHSA-N 0 3 317.379 4.222 20 0 DIADHN c1sc(-c2ccccc2)nc1CO[C@H]1CNCc2ccccc21 ZINC001217941851 1052175321 /nfs/dbraw/zinc/17/53/21/1052175321.db2.gz UQOKWEIGKKYAJZ-SFHVURJKSA-N 0 3 322.433 4.171 20 0 DIADHN COc1ccc([C@@H](NC[C@@H](C)SC)c2ccc(F)cc2)cc1 ZINC000494989245 1052190503 /nfs/dbraw/zinc/19/05/03/1052190503.db2.gz SLSVGRHHBRGOAV-ACJLOTCBSA-N 0 3 319.445 4.265 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1ccc(Cl)cc1-c1ccccc1 ZINC001218073081 1052191944 /nfs/dbraw/zinc/19/19/44/1052191944.db2.gz YUNYSUDUXQPKOC-NVXWUHKLSA-N 0 3 305.780 4.086 20 0 DIADHN F[C@@H]1CNCC[C@H]1Oc1cccc2c3ccccc3sc21 ZINC001218069666 1052192431 /nfs/dbraw/zinc/19/24/31/1052192431.db2.gz JRWNJCAPEYGXHK-ZIAGYGMSSA-N 0 3 301.386 4.133 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1cccc2ccc(Cl)c(Cl)c21 ZINC001218064010 1052192804 /nfs/dbraw/zinc/19/28/04/1052192804.db2.gz FOGRJNIIGPCIPU-NEPJUHHUSA-N 0 3 314.187 4.225 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1c(Cl)cc(Cl)c2ccccc21 ZINC001218065549 1052192980 /nfs/dbraw/zinc/19/29/80/1052192980.db2.gz FXMOJHDWEGWJFU-KBPBESRZSA-N 0 3 314.187 4.225 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(CCc3ccccc3)n2)[C@@H]1C ZINC000495054925 1052205416 /nfs/dbraw/zinc/20/54/16/1052205416.db2.gz XUWVVQHSYDNCJN-CKEIUWERSA-N 0 3 300.471 4.062 20 0 DIADHN CCCC[C@H](NCc1cc(C)ns1)c1ccc(OC)cc1 ZINC001168476351 1052205726 /nfs/dbraw/zinc/20/57/26/1052205726.db2.gz SUTWCRTZNPQWGM-KRWDZBQOSA-N 0 3 304.459 4.481 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1cccc(C(F)(F)F)c1 ZINC001218197776 1052207193 /nfs/dbraw/zinc/20/71/93/1052207193.db2.gz UVRFEVJUCYKOEL-NSHDSACASA-N 0 3 307.315 4.449 20 0 DIADHN Brc1csc(CN2C[C@@H]3CCCC[C@@H]3C2)c1 ZINC000309682637 1052232788 /nfs/dbraw/zinc/23/27/88/1052232788.db2.gz ADABPDHKBODQQJ-PHIMTYICSA-N 0 3 300.265 4.133 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cc3ccccc3[nH]2)C1 ZINC001218410886 1052236396 /nfs/dbraw/zinc/23/63/96/1052236396.db2.gz OQJYOSWHNWSCLZ-NVXWUHKLSA-N 0 3 321.424 4.167 20 0 DIADHN Cc1cccc(C2(Nc3ccnc4cc(C)ccc43)COC2)c1 ZINC001168479011 1052239832 /nfs/dbraw/zinc/23/98/32/1052239832.db2.gz UAOTZTIKLKCXTM-UHFFFAOYSA-N 0 3 304.393 4.189 20 0 DIADHN CC(C)Oc1ccc(COc2cccc3c2CNC3)cc1Cl ZINC001218443539 1052240028 /nfs/dbraw/zinc/24/00/28/1052240028.db2.gz UABVDGVLBNHNFW-UHFFFAOYSA-N 0 3 317.816 4.309 20 0 DIADHN FC1(F)CCNC[C@@H]1Oc1cc(Cl)cc(Cl)c1Cl ZINC001218449217 1052240061 /nfs/dbraw/zinc/24/00/61/1052240061.db2.gz RREWWRZKGCVQHM-VIFPVBQESA-N 0 3 316.562 4.023 20 0 DIADHN FC1(F)CCNC[C@@H]1Oc1cc(Cl)c(Cl)c(Cl)c1 ZINC001218453268 1052241624 /nfs/dbraw/zinc/24/16/24/1052241624.db2.gz SMHWSMQJMJBMJB-VIFPVBQESA-N 0 3 316.562 4.023 20 0 DIADHN Clc1cc2cccnc2c(O[C@@H]2CNCc3ccccc32)c1 ZINC001218484172 1052245534 /nfs/dbraw/zinc/24/55/34/1052245534.db2.gz JBJHEERQXNWLSI-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Cc1cc(O[C@@H]2CNCc3ccccc32)nc2ccc(Cl)cc12 ZINC001218485765 1052246131 /nfs/dbraw/zinc/24/61/31/1052246131.db2.gz NAVWGDMXVQBBHG-GOSISDBHSA-N 0 3 324.811 4.420 20 0 DIADHN Cc1c(Cl)ccc2ccc(O[C@@H]3CNCc4ccccc43)nc21 ZINC001218487445 1052247441 /nfs/dbraw/zinc/24/74/41/1052247441.db2.gz HNJKPOPOJAOANH-QGZVFWFLSA-N 0 3 324.811 4.420 20 0 DIADHN CCOc1ccc2ccc(O[C@@H]3CNCc4ccccc43)cc2c1 ZINC001218487724 1052247714 /nfs/dbraw/zinc/24/77/14/1052247714.db2.gz WBJXKKFMZKBUPF-OAQYLSRUSA-N 0 3 319.404 4.462 20 0 DIADHN Clc1ccnc2c(O[C@H]3CNCc4ccccc43)cccc12 ZINC001218486974 1052247968 /nfs/dbraw/zinc/24/79/68/1052247968.db2.gz GHRZEOZVRYYAHN-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Cc1c(Cl)ccc2ccc(O[C@H]3CNCc4ccccc43)nc21 ZINC001218487439 1052247992 /nfs/dbraw/zinc/24/79/92/1052247992.db2.gz HNJKPOPOJAOANH-KRWDZBQOSA-N 0 3 324.811 4.420 20 0 DIADHN Clc1cnc2ccc(O[C@H]3CNCc4ccccc43)cc2c1 ZINC001218486300 1052248516 /nfs/dbraw/zinc/24/85/16/1052248516.db2.gz AMSTZSDSJBIRJT-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1ccc(C(F)(F)F)c(O[C@@H]2CNCc3ccccc32)c1 ZINC001218491952 1052249385 /nfs/dbraw/zinc/24/93/85/1052249385.db2.gz ZFRPKZPFXKQEMG-OAHLLOKOSA-N 0 3 311.278 4.068 20 0 DIADHN Cc1cccc(C(F)(F)F)c1O[C@H]1CNCc2ccccc21 ZINC001218492510 1052250190 /nfs/dbraw/zinc/25/01/90/1052250190.db2.gz CJRIXBNGJIBARV-HNNXBMFYSA-N 0 3 307.315 4.237 20 0 DIADHN N[C@H](CC(=O)Nc1ccsc1)c1cccc(Cl)c1Cl ZINC001218534713 1052251524 /nfs/dbraw/zinc/25/15/24/1052251524.db2.gz CNILUQMABHPUPZ-LLVKDONJSA-N 0 3 315.225 4.084 20 0 DIADHN Cc1ccc(C[C@H](N)C(=O)Nc2cccc(C(C)(C)C)c2)c(C)c1 ZINC001218900345 1052261677 /nfs/dbraw/zinc/26/16/77/1052261677.db2.gz INLKBDYFPVIFCK-IBGZPJMESA-N 0 3 324.468 4.109 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C\c2ccccc2Cl)c1 ZINC000914843933 1052265692 /nfs/dbraw/zinc/26/56/92/1052265692.db2.gz GIIZGEIJIUFDPC-KHPPLWFESA-N 0 3 314.816 4.054 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H]2CCC[C@H](c3ccccc3)N2)cc1C ZINC001219007081 1052266240 /nfs/dbraw/zinc/26/62/40/1052266240.db2.gz GELJOYCOLTWOKZ-RTBURBONSA-N 0 3 322.452 4.434 20 0 DIADHN CC(C)Cc1ccc([C@H](C)C(=O)Nc2cccc3c2CNC3)cc1 ZINC001218994208 1052266799 /nfs/dbraw/zinc/26/67/99/1052266799.db2.gz FFIZBJQTZMZVIS-HNNXBMFYSA-N 0 3 322.452 4.231 20 0 DIADHN CC(C)(C)CCCCCC(=O)Nc1ccc2c(c1)CNCCO2 ZINC001219026007 1052268387 /nfs/dbraw/zinc/26/83/87/1052268387.db2.gz ZMFQYCACWHYLTG-UHFFFAOYSA-N 0 3 318.461 4.104 20 0 DIADHN Cc1ccc(CN[C@@H](C)CC(F)(F)F)cc1Br ZINC000231751239 1052289553 /nfs/dbraw/zinc/28/95/53/1052289553.db2.gz SNQVUPQDYMJHGA-VIFPVBQESA-N 0 3 310.157 4.188 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(C(F)(F)F)c2)cc1 ZINC000301606914 1052292047 /nfs/dbraw/zinc/29/20/47/1052292047.db2.gz WEJNJHIQWURWBU-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CC(C)c1cccc(NC(=O)CN(C2CC2)C2CCCC2)c1 ZINC000303230791 1052317325 /nfs/dbraw/zinc/31/73/25/1052317325.db2.gz VLEBIRYARGKKQK-UHFFFAOYSA-N 0 3 300.446 4.156 20 0 DIADHN CC[C@H](CC(=O)NC[C@@H](c1ccsc1)N(CC)CC)C(C)C ZINC000303193715 1052317682 /nfs/dbraw/zinc/31/76/82/1052317682.db2.gz FZXVVLSGLSXHEY-WBVHZDCISA-N 0 3 324.534 4.320 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N[C@H](C)c1cccs1 ZINC000192587076 1052318527 /nfs/dbraw/zinc/31/85/27/1052318527.db2.gz BWQBXKRAXGLVAD-VXGBXAGGSA-N 0 3 322.861 4.388 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)[C@@H]1CCC[C@@H](c2ccccc2)N1 ZINC001220251691 1052325153 /nfs/dbraw/zinc/32/51/53/1052325153.db2.gz BTAKLTOVSVVJBF-WDSOQIARSA-N 0 3 316.489 4.201 20 0 DIADHN CCC1(NC(=O)[C@@H]2CCC[C@@H](c3ccccc3)N2)CCCCC1 ZINC001220338500 1052329679 /nfs/dbraw/zinc/32/96/79/1052329679.db2.gz BDCKFXXBHYHFNB-ROUUACIJSA-N 0 3 314.473 4.099 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccc(OC(C)(C)C)nc1 ZINC000495400350 1052335354 /nfs/dbraw/zinc/33/53/54/1052335354.db2.gz AALNMCKLNYGQJG-LIRRHRJNSA-N 0 3 310.441 4.282 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C(C)(C)C)CC1)c1cccs1 ZINC000303703088 1052338772 /nfs/dbraw/zinc/33/87/72/1052338772.db2.gz XQHUAVATSBEGMR-GJZGRUSLSA-N 0 3 322.518 4.074 20 0 DIADHN CCCCCC[C@@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000915345354 1052398857 /nfs/dbraw/zinc/39/88/57/1052398857.db2.gz AWRIFUBOEKJLFS-OAHLLOKOSA-N 0 3 305.466 4.229 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cc(Cl)cs3)n2)cc1 ZINC000762572549 1052400995 /nfs/dbraw/zinc/40/09/95/1052400995.db2.gz VUVQASBGGIJEGK-UHFFFAOYSA-N 0 3 319.817 4.180 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCc2c1cc(F)cc2F ZINC000154563472 1052422038 /nfs/dbraw/zinc/42/20/38/1052422038.db2.gz NUCOVYGDSUXRGF-LJQANCHMSA-N 0 3 317.379 4.278 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCc2c1cc(F)cc2F ZINC000154563575 1052422691 /nfs/dbraw/zinc/42/26/91/1052422691.db2.gz NUCOVYGDSUXRGF-IBGZPJMESA-N 0 3 317.379 4.278 20 0 DIADHN CCCC(CCC)N[C@@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000236778945 1052430643 /nfs/dbraw/zinc/43/06/43/1052430643.db2.gz MIWFSFYARSILSQ-ZDUSSCGKSA-N 0 3 317.433 4.365 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](c2ccccc2)C(C)C)s1 ZINC000236952924 1052432988 /nfs/dbraw/zinc/43/29/88/1052432988.db2.gz OENLFFAVBRHMFI-QGZVFWFLSA-N 0 3 317.502 4.476 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](c2ccccc2)C(C)C)s1 ZINC000236950950 1052433485 /nfs/dbraw/zinc/43/34/85/1052433485.db2.gz OENLFFAVBRHMFI-KRWDZBQOSA-N 0 3 317.502 4.476 20 0 DIADHN N[C@@H](CC(=O)Nc1ccc(OC2CCCC2)cc1)c1ccccc1 ZINC000237907498 1052447574 /nfs/dbraw/zinc/44/75/74/1052447574.db2.gz WWLLGWFZOLALKU-IBGZPJMESA-N 0 3 324.424 4.037 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2c(F)cccc2F)C12CCCC2 ZINC000315763859 1052453272 /nfs/dbraw/zinc/45/32/72/1052453272.db2.gz CFWGDZBJBOOKLR-UHOFOFEASA-N 0 3 309.400 4.353 20 0 DIADHN C[C@@H](NCc1ccc(-n2ccnc2)cc1)c1cc2ccccc2o1 ZINC000026313779 1052488437 /nfs/dbraw/zinc/48/84/37/1052488437.db2.gz NHJKQFRRHCKIBJ-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@H]2CCCC[C@H]21 ZINC001235641072 1052489674 /nfs/dbraw/zinc/48/96/74/1052489674.db2.gz ABCSNOSSXWKAGB-CZUORRHYSA-N 0 3 323.278 4.307 20 0 DIADHN Cn1cc(-c2ccc(C3=NCCC3)cc2)c(-c2ccccc2)n1 ZINC001222529938 1052509525 /nfs/dbraw/zinc/50/95/25/1052509525.db2.gz GZKRBBPDDKIUHJ-UHFFFAOYSA-N 0 3 301.393 4.337 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCC(C)(C)c1ccccc1C ZINC001649025071 1052530208 /nfs/dbraw/zinc/53/02/08/1052530208.db2.gz HKUXNNWGKPCZST-UHFFFAOYSA-N 0 3 305.853 4.001 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1ccn(-c2ccccc2)n1 ZINC001649025632 1052534814 /nfs/dbraw/zinc/53/48/14/1052534814.db2.gz SLLJBZFQJUDDAK-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN Cc1ccc(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001222855867 1052539883 /nfs/dbraw/zinc/53/98/83/1052539883.db2.gz XOUNNRLFZBVSBT-PKOBYXMFSA-N 0 3 310.441 4.051 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)[C@H](C)CCc1ccccc1 ZINC000055898658 1052542783 /nfs/dbraw/zinc/54/27/83/1052542783.db2.gz YUQRXCYBIXKMSB-QGZVFWFLSA-N 0 3 324.468 4.141 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000107070195 1052543618 /nfs/dbraw/zinc/54/36/18/1052543618.db2.gz ZMZRBROLNVFTPL-JKSUJKDBSA-N 0 3 324.468 4.489 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)c1 ZINC000107071157 1052543790 /nfs/dbraw/zinc/54/37/90/1052543790.db2.gz UXCDRXVMNVNPQG-ZIAGYGMSSA-N 0 3 314.454 4.086 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000107070996 1052544382 /nfs/dbraw/zinc/54/43/82/1052544382.db2.gz ZMZRBROLNVFTPL-CVEARBPZSA-N 0 3 324.468 4.489 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000107071456 1052544526 /nfs/dbraw/zinc/54/45/26/1052544526.db2.gz SZDKMGJACPHSLT-HZPDHXFCSA-N 0 3 310.441 4.488 20 0 DIADHN C[C@@H](NC[C@@H](C)C(C)(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000670269578 1052546099 /nfs/dbraw/zinc/54/60/99/1052546099.db2.gz QIHJVFJDTGMZTC-VXGBXAGGSA-N 0 3 305.397 4.209 20 0 DIADHN Cc1cccc(CO[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1C ZINC001223033372 1052559419 /nfs/dbraw/zinc/55/94/19/1052559419.db2.gz ULUMPUGWMKLIKB-UYAOXDASSA-N 0 3 324.468 4.441 20 0 DIADHN CCOC[C@H]1CCCN(Cc2ccccc2CC(F)(F)F)C1 ZINC000638084753 1052569932 /nfs/dbraw/zinc/56/99/32/1052569932.db2.gz LYYUABUPQGNWPF-AWEZNQCLSA-N 0 3 315.379 4.040 20 0 DIADHN C=Cc1ccc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001223152209 1052572907 /nfs/dbraw/zinc/57/29/07/1052572907.db2.gz UTSCCZLYBCLETF-FXAWDEMLSA-N 0 3 322.452 4.467 20 0 DIADHN COCc1cccc(CN[C@@H]2CCc3sc(Cl)cc32)c1 ZINC000123395069 1052606082 /nfs/dbraw/zinc/60/60/82/1052606082.db2.gz FVALXJMWPXQBSL-CQSZACIVSA-N 0 3 307.846 4.325 20 0 DIADHN C=C(O[C@H]1CCCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223639627 1052622775 /nfs/dbraw/zinc/62/27/75/1052622775.db2.gz SXPUVLBZUFSXPH-LPHOPBHVSA-N 0 3 308.425 4.295 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@H]1CCc2c1c(F)ccc2F ZINC000763409593 1052624800 /nfs/dbraw/zinc/62/48/00/1052624800.db2.gz IVBJYYJDSXUDLB-OCBCSQNSSA-N 0 3 317.379 4.054 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@@H]1CCc2c1c(F)ccc2F ZINC000763409597 1052624817 /nfs/dbraw/zinc/62/48/17/1052624817.db2.gz IVBJYYJDSXUDLB-PJSAGSTRSA-N 0 3 317.379 4.054 20 0 DIADHN CC[C@H](O[C@@H]1CCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223703908 1052628223 /nfs/dbraw/zinc/62/82/23/1052628223.db2.gz AEFLRPVAUUJIGK-HQRMLTQVSA-N 0 3 310.441 4.385 20 0 DIADHN Cc1cc(CN(CCc2ccc(F)cc2)C2CCCC2)no1 ZINC000123470397 1052629347 /nfs/dbraw/zinc/62/93/47/1052629347.db2.gz UNFSEPGRTKPXBJ-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(OC)nc3ccccc23)cc1 ZINC000124974602 1052644384 /nfs/dbraw/zinc/64/43/84/1052644384.db2.gz RTIOIEXZAYLTLI-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CNC[C@@H]1C[C@H]1C ZINC000916834388 1052657472 /nfs/dbraw/zinc/65/74/72/1052657472.db2.gz QJXJWCNZKJLGGA-PWSUYJOCSA-N 0 3 324.255 4.233 20 0 DIADHN COc1ccc(Cl)cc1CNCCO[C@H]1CCCC[C@H]1C ZINC000916838678 1052657788 /nfs/dbraw/zinc/65/77/88/1052657788.db2.gz QPGQCICCVGHNRR-CJNGLKHVSA-N 0 3 311.853 4.034 20 0 DIADHN COc1cccc2cc(CNCCO[C@H]3CCCC[C@@H]3C)oc21 ZINC000916838456 1052658390 /nfs/dbraw/zinc/65/83/90/1052658390.db2.gz CSQFNBFKRROKGB-YOEHRIQHSA-N 0 3 317.429 4.126 20 0 DIADHN COCc1ccccc1CNCc1ccc(C(C)(C)C)s1 ZINC000126335830 1052669231 /nfs/dbraw/zinc/66/92/31/1052669231.db2.gz RUGDCIBSFPQXCQ-UHFFFAOYSA-N 0 3 303.471 4.482 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(SC(C)C)cc2)cn1 ZINC000126430792 1052670344 /nfs/dbraw/zinc/67/03/44/1052670344.db2.gz RDJSUSAKUNTROO-AWEZNQCLSA-N 0 3 316.470 4.442 20 0 DIADHN CCS[C@H](C)c1noc(CN(CC2CC2)C2CCCCC2)n1 ZINC000126674213 1052673815 /nfs/dbraw/zinc/67/38/15/1052673815.db2.gz PCWLRRUZQXHXHD-CYBMUJFWSA-N 0 3 323.506 4.428 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCc3c2cccc3C)cn1 ZINC000126789612 1052677096 /nfs/dbraw/zinc/67/70/96/1052677096.db2.gz BQRBXZPAVUWGBJ-IBGZPJMESA-N 0 3 309.457 4.013 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000917000619 1052678498 /nfs/dbraw/zinc/67/84/98/1052678498.db2.gz XBIYMQJTSDILFO-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccccc1Cl)c1ccccc1F ZINC000917012639 1052680383 /nfs/dbraw/zinc/68/03/83/1052680383.db2.gz KDCGFLMQQAPETA-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@H](O)c1ccccc1F ZINC000917013003 1052680534 /nfs/dbraw/zinc/68/05/34/1052680534.db2.gz NNWPJUMWLKNCJU-PXAZEXFGSA-N 0 3 307.796 4.206 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccccc1Cl)c1ccc(F)cc1 ZINC000917012726 1052680657 /nfs/dbraw/zinc/68/06/57/1052680657.db2.gz LEKLAAKIOHNJPH-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccccc1Cl)c1ccc(F)cc1 ZINC000917012728 1052680742 /nfs/dbraw/zinc/68/07/42/1052680742.db2.gz LEKLAAKIOHNJPH-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000917024450 1052681404 /nfs/dbraw/zinc/68/14/04/1052681404.db2.gz VOESVEBPEYXROC-PWYGHMFVSA-N 0 3 307.437 4.145 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)s1 ZINC000127281520 1052692175 /nfs/dbraw/zinc/69/21/75/1052692175.db2.gz PHOMSSINBWSXRV-WDEREUQCSA-N 0 3 318.442 4.154 20 0 DIADHN COCC(C)(C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000066996010 1052699657 /nfs/dbraw/zinc/69/96/57/1052699657.db2.gz DFBQDMHZHOJGKT-UHFFFAOYSA-N 0 3 316.426 4.071 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1ccns1 ZINC000925434009 1052702406 /nfs/dbraw/zinc/70/24/06/1052702406.db2.gz DMVSRBBJRBSJBN-HFAKWTLXSA-N 0 3 310.850 4.223 20 0 DIADHN C[C@@H](c1cccnc1)N(C)Cc1ccc(Cl)c2cccnc12 ZINC000127895623 1052703951 /nfs/dbraw/zinc/70/39/51/1052703951.db2.gz QLHVCLKOMZRLPA-ZDUSSCGKSA-N 0 3 311.816 4.476 20 0 DIADHN CCN(C[C@H](O)c1c(F)cccc1F)[C@@H](C)c1ccc(F)cc1 ZINC000917141193 1052710662 /nfs/dbraw/zinc/71/06/62/1052710662.db2.gz QBRPELKEFLBOGO-SJCJKPOMSA-N 0 3 323.358 4.220 20 0 DIADHN c1nn(-c2ccccc2)cc1CN(CCc1ccccc1)C1CC1 ZINC000917177588 1052714137 /nfs/dbraw/zinc/71/41/37/1052714137.db2.gz VWYZNEHOFQXELZ-UHFFFAOYSA-N 0 3 317.436 4.079 20 0 DIADHN CCCC[C@H](CC)CO[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001224707966 1052718813 /nfs/dbraw/zinc/71/88/13/1052718813.db2.gz SGPPLUBUTBIDRV-FGTMMUONSA-N 0 3 304.478 4.450 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](OCC2CC(C)(C)C2)C1 ZINC001224769020 1052724276 /nfs/dbraw/zinc/72/42/76/1052724276.db2.gz IONBQIJLZRKZKT-WBVHZDCISA-N 0 3 302.462 4.060 20 0 DIADHN Cc1cc2cc([C@H](C)NC[C@@H](O)c3ccsc3)oc2cc1C ZINC000154437566 1052734241 /nfs/dbraw/zinc/73/42/41/1052734241.db2.gz ATZIOSBFKGEYIW-XJKSGUPXSA-N 0 3 315.438 4.495 20 0 DIADHN Nc1cc(Br)ccc1CN1CCCCCCCC1 ZINC000321773236 1052749820 /nfs/dbraw/zinc/74/98/20/1052749820.db2.gz QCFFKXHFHRKIHH-UHFFFAOYSA-N 0 3 311.267 4.188 20 0 DIADHN Fc1cccc(F)c1OC1CCN(Cc2ccccc2)CC1 ZINC001225206139 1052755129 /nfs/dbraw/zinc/75/51/29/1052755129.db2.gz IMAODGAAQKYQSC-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN Cc1cccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1C ZINC001225219792 1052757751 /nfs/dbraw/zinc/75/77/51/1052757751.db2.gz KZOREEWEACUSHW-MSOLQXFVSA-N 0 3 310.441 4.303 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cccc(Cl)c2)C1 ZINC001225232939 1052760361 /nfs/dbraw/zinc/76/03/61/1052760361.db2.gz ZMVAGLKFTSZVSK-RHSMWYFYSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1cc(C)cc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001225245131 1052762810 /nfs/dbraw/zinc/76/28/10/1052762810.db2.gz POMDAHVCQKAWRN-ZWKOTPCHSA-N 0 3 310.441 4.303 20 0 DIADHN CCC[C@@H](NCCCc1nccs1)c1ncccc1Cl ZINC000925444615 1052763167 /nfs/dbraw/zinc/76/31/67/1052763167.db2.gz YWGJXOZXQNUKNZ-CYBMUJFWSA-N 0 3 309.866 4.255 20 0 DIADHN CC(C)(C)c1ccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001225257526 1052764753 /nfs/dbraw/zinc/76/47/53/1052764753.db2.gz NASMNLHIZSJHSV-IZAXUBKRSA-N 0 3 317.473 4.006 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937562 1052765523 /nfs/dbraw/zinc/76/55/23/1052765523.db2.gz GEFXADIWSIDVTD-CABCVRRESA-N 0 3 318.505 4.328 20 0 DIADHN C[C@@H](NCCCn1ccc2ccccc21)c1cccnc1Cl ZINC000154585721 1052765951 /nfs/dbraw/zinc/76/59/51/1052765951.db2.gz MYWKYFKHCRQCHF-CQSZACIVSA-N 0 3 313.832 4.431 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2ccc3c(c2)CCC3)C1 ZINC001225267968 1052766097 /nfs/dbraw/zinc/76/60/97/1052766097.db2.gz SZLGTTSTWUTDRY-UZLBHIALSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3c(c2)CCC3)C1 ZINC001225267964 1052766117 /nfs/dbraw/zinc/76/61/17/1052766117.db2.gz SZLGTTSTWUTDRY-OXQOHEQNSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3[nH]ccc3c2)C1 ZINC001225272592 1052766962 /nfs/dbraw/zinc/76/69/62/1052766962.db2.gz BURQKZWAXSRVMR-CRAIPNDOSA-N 0 3 321.424 4.167 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1ccc(-c2ccccc2)o1 ZINC000154584865 1052769034 /nfs/dbraw/zinc/76/90/34/1052769034.db2.gz HPQRDXWCQPIKRQ-CZUORRHYSA-N 0 3 313.422 4.392 20 0 DIADHN CCCCC(=O)[C@@H](CCCC)Oc1ccc(CN(C)C)cc1 ZINC001225333338 1052775672 /nfs/dbraw/zinc/77/56/72/1052775672.db2.gz ONSCQBLCYMUKIA-LJQANCHMSA-N 0 3 305.462 4.445 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccncc1F)Nc1ccccc1 ZINC000556075928 1052796462 /nfs/dbraw/zinc/79/64/62/1052796462.db2.gz HWMIOSJBFYNQSF-RDTXWAMCSA-N 0 3 301.409 4.008 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NC[C@H]2CC(C)(C)CO2)cc1 ZINC001307655009 1052798259 /nfs/dbraw/zinc/79/82/59/1052798259.db2.gz IWNBLPZHXGZHLX-HUUCEWRRSA-N 0 3 315.379 4.393 20 0 DIADHN c1cc(CNCc2ccc(C3CCC3)cc2)n(-c2ccccc2)n1 ZINC000556091849 1052798585 /nfs/dbraw/zinc/79/85/85/1052798585.db2.gz MBAVROUFSOZTFK-UHFFFAOYSA-N 0 3 317.436 4.430 20 0 DIADHN C[N@H+](Cc1ccccc1[O-])Cc1cc(Cl)nc2ccccc12 ZINC000154738706 1052801127 /nfs/dbraw/zinc/80/11/27/1052801127.db2.gz FFARUNYJZKXRGW-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN C[N@@H+](Cc1ccccc1[O-])Cc1cc(Cl)nc2ccccc12 ZINC000154738706 1052801129 /nfs/dbraw/zinc/80/11/29/1052801129.db2.gz FFARUNYJZKXRGW-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Cc1oncc1CN1CCC(c2ccc(C(F)(F)F)cc2)CC1 ZINC000129677864 1052801460 /nfs/dbraw/zinc/80/14/60/1052801460.db2.gz SVZOIXKSWVSJSK-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN CN(CCc1cscn1)Cc1ccc(Cl)c(Cl)c1 ZINC000556125969 1052802297 /nfs/dbraw/zinc/80/22/97/1052802297.db2.gz XZYGECRHQTVTKD-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN CC(=O)c1ccccc1O[C@H]1CCN(Cc2ccccc2)C[C@@H]1C ZINC001225573734 1052803972 /nfs/dbraw/zinc/80/39/72/1052803972.db2.gz IVTFVDFJMJYQBQ-JXFKEZNVSA-N 0 3 323.436 4.179 20 0 DIADHN C[C@H](c1ccncc1)N(C)CCSc1ccc(F)cc1F ZINC000660141551 1052809071 /nfs/dbraw/zinc/80/90/71/1052809071.db2.gz VOJPYJRVEKVGMP-GFCCVEGCSA-N 0 3 308.397 4.145 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3cc[nH]c3c2)C1 ZINC001225654246 1052813256 /nfs/dbraw/zinc/81/32/56/1052813256.db2.gz UIOOYAHWUUVFON-MAUKXSAKSA-N 0 3 321.424 4.167 20 0 DIADHN CSc1ccccc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001225668238 1052814802 /nfs/dbraw/zinc/81/48/02/1052814802.db2.gz JCDFCCKOHYGARQ-QGZVFWFLSA-N 0 3 313.466 4.452 20 0 DIADHN C[C@@H](CCN[C@@H](c1nnc[nH]1)c1ccc(F)cc1)CC(C)(C)C ZINC000556178688 1052817291 /nfs/dbraw/zinc/81/72/91/1052817291.db2.gz LKHOURISVKAQQQ-XJKSGUPXSA-N 0 3 318.440 4.085 20 0 DIADHN Fc1cc(Cl)ccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001225714382 1052820395 /nfs/dbraw/zinc/82/03/95/1052820395.db2.gz ZOZZGZZLYJSFSL-HNNXBMFYSA-N 0 3 305.780 4.132 20 0 DIADHN Fc1cc(Cl)c(OC2CCN(C3CC3)CC2)c(Cl)c1 ZINC001226005964 1052858802 /nfs/dbraw/zinc/85/88/02/1052858802.db2.gz OPHCVYCUCUVLLJ-UHFFFAOYSA-N 0 3 304.192 4.138 20 0 DIADHN Cc1ccc(C)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1C ZINC001225803716 1052833087 /nfs/dbraw/zinc/83/30/87/1052833087.db2.gz KBZYDJQHIOINQT-ZWKOTPCHSA-N 0 3 310.441 4.221 20 0 DIADHN CC(=O)c1cccc(O[C@@H]2CN(Cc3ccccc3)CC[C@@H]2C)c1 ZINC001225812130 1052833655 /nfs/dbraw/zinc/83/36/55/1052833655.db2.gz OHBNLROATBBCNI-HRAATJIYSA-N 0 3 323.436 4.179 20 0 DIADHN CC(C)[C@@H](C)SC[C@H]1CN(Cc2ccccc2)CCCO1 ZINC000556338032 1052839670 /nfs/dbraw/zinc/83/96/70/1052839670.db2.gz DXCOSWHUUNAWBM-SJLPKXTDSA-N 0 3 307.503 4.055 20 0 DIADHN CC(=O)c1ccc(O[C@H](CCN(C)C)c2ccccc2)c(F)c1 ZINC001225873499 1052840719 /nfs/dbraw/zinc/84/07/19/1052840719.db2.gz RYTKCFWKOJFBJS-GOSISDBHSA-N 0 3 315.388 4.100 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCc3c2cccc3F)ccc1F ZINC000498479992 1052840726 /nfs/dbraw/zinc/84/07/26/1052840726.db2.gz DUWVCRLFYVHNLM-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN Cc1c(Cl)cccc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001225922216 1052847966 /nfs/dbraw/zinc/84/79/66/1052847966.db2.gz GJQKMZIEBXAJTK-LSDHHAIUSA-N 0 3 316.832 4.258 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000347476151 1052851432 /nfs/dbraw/zinc/85/14/32/1052851432.db2.gz HYTXOUKPYPJKJR-BLLLJJGKSA-N 0 3 305.372 4.019 20 0 DIADHN FC(F)Oc1cc(CNCc2cc3ccccc3s2)ccn1 ZINC000556429388 1052851611 /nfs/dbraw/zinc/85/16/11/1052851611.db2.gz CBSFAXPUSFTWQO-UHFFFAOYSA-N 0 3 320.364 4.188 20 0 DIADHN CC(C)(NCc1ccc2c(c1)COC2)c1cccc(Cl)c1 ZINC000763433325 1052854937 /nfs/dbraw/zinc/85/49/37/1052854937.db2.gz QOYRIUCBGQRXNO-UHFFFAOYSA-N 0 3 301.817 4.395 20 0 DIADHN CCc1nc(CN[C@@H](Cc2ccccc2C)C2CC2)cs1 ZINC000556581628 1052867191 /nfs/dbraw/zinc/86/71/91/1052867191.db2.gz ZRKJUSNAPNERAR-KRWDZBQOSA-N 0 3 300.471 4.125 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccnc(OC(F)F)c2)cc1 ZINC000556608556 1052870128 /nfs/dbraw/zinc/87/01/28/1052870128.db2.gz HUUCKWWHYSRJGD-INIZCTEOSA-N 0 3 320.383 4.486 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H](NCc2cnc3n2CCCC3)C1 ZINC000556608906 1052870855 /nfs/dbraw/zinc/87/08/55/1052870855.db2.gz LGMHMEOYHPFSRI-IBGZPJMESA-N 0 3 323.484 4.413 20 0 DIADHN CCCN(Cc1cnc2nc(C)cc(C)n12)Cc1ccc(C)cc1 ZINC000556787035 1052882382 /nfs/dbraw/zinc/88/23/82/1052882382.db2.gz SEASNHUVWXRZIF-UHFFFAOYSA-N 0 3 322.456 4.067 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccc3occc3c2)c1 ZINC000556837401 1052887832 /nfs/dbraw/zinc/88/78/32/1052887832.db2.gz ZJFYHOLGBLKNBW-UHFFFAOYSA-N 0 3 308.381 4.071 20 0 DIADHN CCN(C[C@H]1CC1(Cl)Cl)[C@H](C)c1cccc(OC)c1 ZINC000919770608 1052888786 /nfs/dbraw/zinc/88/87/86/1052888786.db2.gz LVJPGEOORYMRFN-DGCLKSJQSA-N 0 3 302.245 4.272 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCc1c(F)cccc1Cl ZINC000556886241 1052892439 /nfs/dbraw/zinc/89/24/39/1052892439.db2.gz RCYNUHDYBMUKBE-UHFFFAOYSA-N 0 3 310.800 4.151 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cnn3ccccc13)CCC2 ZINC000556911958 1052898849 /nfs/dbraw/zinc/89/88/49/1052898849.db2.gz NLUSRQKZJKTTGJ-QGZVFWFLSA-N 0 3 311.816 4.155 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccccc2)C1)c1c(F)cncc1F ZINC000556917017 1052898965 /nfs/dbraw/zinc/89/89/65/1052898965.db2.gz LMJQXDXOHLBQHJ-QEJZJMRPSA-N 0 3 302.368 4.347 20 0 DIADHN Cc1ccc(C(Oc2ccnc(N)c2)c2ccc(C)cc2)cc1 ZINC001226654681 1052919156 /nfs/dbraw/zinc/91/91/56/1052919156.db2.gz BQMMAYXYQQDARV-UHFFFAOYSA-N 0 3 304.393 4.449 20 0 DIADHN CCCCC(=O)c1ccc(O[C@H]2[C@@H]3CCC[C@H]2CN(C)C3)cc1 ZINC001226772045 1052929320 /nfs/dbraw/zinc/92/93/20/1052929320.db2.gz KHMKTMLMUWUCQB-YRWFTTLQSA-N 0 3 315.457 4.169 20 0 DIADHN CCC(=O)c1ccc(O[C@@H](CCN(C)C)c2cccs2)cc1 ZINC001226953665 1052947433 /nfs/dbraw/zinc/94/74/33/1052947433.db2.gz GZUXEYMEEYUGDB-KRWDZBQOSA-N 0 3 317.454 4.413 20 0 DIADHN CCC(=O)c1ccc(O[C@H](CCN(C)C)c2ccccc2)cc1 ZINC001226960462 1052948852 /nfs/dbraw/zinc/94/88/52/1052948852.db2.gz TWLNRQZBCARESL-HXUWFJFHSA-N 0 3 311.425 4.351 20 0 DIADHN CC(=O)Nc1cccc2c1CCN(CC/C=C\c1ccccc1)C2 ZINC000649254051 1052955480 /nfs/dbraw/zinc/95/54/80/1052955480.db2.gz KIPQKKVKAPMHMA-YHYXMXQVSA-N 0 3 320.436 4.107 20 0 DIADHN CC(=O)c1ccc(C)cc1O[C@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001227118975 1052962959 /nfs/dbraw/zinc/96/29/59/1052962959.db2.gz NENGODNKDTWEPF-KKSFZXQISA-N 0 3 323.436 4.097 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)NCCC2=CCCCC2)cc1 ZINC000195538992 1052972539 /nfs/dbraw/zinc/97/25/39/1052972539.db2.gz CHNOHMQZBOIHAT-SJORKVTESA-N 0 3 314.473 4.041 20 0 DIADHN Cc1ccc(CSCCNCc2cccc(F)c2F)cc1 ZINC000609354186 1052973823 /nfs/dbraw/zinc/97/38/23/1052973823.db2.gz RSWMDOHEFSYIQA-UHFFFAOYSA-N 0 3 307.409 4.296 20 0 DIADHN CC(=O)c1cccc(F)c1O[C@@H](CCN(C)C)c1cccs1 ZINC001227341302 1052982697 /nfs/dbraw/zinc/98/26/97/1052982697.db2.gz KHTICYQJVFAKNB-HNNXBMFYSA-N 0 3 321.417 4.162 20 0 DIADHN COC(=O)c1cc(CN(C)[C@H](c2ccccc2)C(C)C)c(C)o1 ZINC000609578173 1052983285 /nfs/dbraw/zinc/98/32/85/1052983285.db2.gz WKBZGMRSPWGVMI-SFHVURJKSA-N 0 3 315.413 4.204 20 0 DIADHN C/C=C/c1ccc(O[C@H]2CCN(Cc3ccccc3)C2)c(OC)c1 ZINC001227409290 1052988652 /nfs/dbraw/zinc/98/86/52/1052988652.db2.gz BBLIILTVSLYDRH-CZXUKVBWSA-N 0 3 323.436 4.382 20 0 DIADHN FC(F)Sc1ccc(CN2CCC[C@@H](c3cc[nH]n3)C2)cc1 ZINC000064557471 1052993077 /nfs/dbraw/zinc/99/30/77/1052993077.db2.gz UPIGDMDCILIZLY-CYBMUJFWSA-N 0 3 323.412 4.104 20 0 DIADHN OCCCCCCCN1CCC[C@@H]1c1nc2ccccc2s1 ZINC000175480297 1052999850 /nfs/dbraw/zinc/99/98/50/1052999850.db2.gz QBKPFKBDDDJGGC-MRXNPFEDSA-N 0 3 318.486 4.376 20 0 DIADHN ClC1(Cl)C[C@@H]1CNCc1cnc(-c2ccccc2)s1 ZINC001330624502 1053011215 /nfs/dbraw/zinc/01/12/15/1053011215.db2.gz CQHFBDYWDGZXQP-LLVKDONJSA-N 0 3 313.253 4.094 20 0 DIADHN CC[C@@H](NCc1cc(F)c(F)c(F)c1)c1ccc(OC)cc1 ZINC000067946165 1053015326 /nfs/dbraw/zinc/01/53/26/1053015326.db2.gz XTFOBYUILXSEDO-MRXNPFEDSA-N 0 3 309.331 4.353 20 0 DIADHN Cc1ccc([C@H](O)CNCc2ccc(-c3ccccc3)o2)cc1 ZINC000237651794 1053017207 /nfs/dbraw/zinc/01/72/07/1053017207.db2.gz WUVYKDWTTUBXKV-LJQANCHMSA-N 0 3 307.393 4.078 20 0 DIADHN Cc1ccccc1[C@H](O)CNCc1ccc(-c2ccccc2)o1 ZINC000237675661 1053018514 /nfs/dbraw/zinc/01/85/14/1053018514.db2.gz NXYHXRDSUHXQIL-LJQANCHMSA-N 0 3 307.393 4.078 20 0 DIADHN C[C@@H](NCc1ccc(O[C@@H](C)C#N)cc1)c1ccc(Cl)cc1 ZINC000237705322 1053020918 /nfs/dbraw/zinc/02/09/18/1053020918.db2.gz OWVWXVOWOQOUFU-UONOGXRCSA-N 0 3 314.816 4.482 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(Cl)c1CN[C@@H]1CC=CCC1 ZINC000237781030 1053027135 /nfs/dbraw/zinc/02/71/35/1053027135.db2.gz JPCAKASRBGZIJA-CQSZACIVSA-N 0 3 319.811 4.172 20 0 DIADHN Cc1ccsc1CNCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000237778211 1053027172 /nfs/dbraw/zinc/02/71/72/1053027172.db2.gz XLAJMTYJPZFEPC-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN C#CCOc1ccc(CN[C@H]2CCc3c2cccc3Cl)cc1 ZINC000237786290 1053027857 /nfs/dbraw/zinc/02/78/57/1053027857.db2.gz KCQIEJNGXZUTLM-IBGZPJMESA-N 0 3 311.812 4.129 20 0 DIADHN Cc1ccc(CNCc2ccc3ccccc3c2)cc1[N+](=O)[O-] ZINC000237789950 1053028475 /nfs/dbraw/zinc/02/84/75/1053028475.db2.gz KTBIAFNQDYDZJC-UHFFFAOYSA-N 0 3 306.365 4.346 20 0 DIADHN Cc1ccc(CN[C@H]2CCc3c2cccc3Cl)cc1[N+](=O)[O-] ZINC000237804837 1053029050 /nfs/dbraw/zinc/02/90/50/1053029050.db2.gz VRKBDZWDDSOABU-INIZCTEOSA-N 0 3 316.788 4.334 20 0 DIADHN Fc1ccc2cccnc2c1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001227920730 1053029200 /nfs/dbraw/zinc/02/92/00/1053029200.db2.gz KLVOAZRFIAPAJG-QGZVFWFLSA-N 0 3 322.383 4.027 20 0 DIADHN Cc1cc(Cl)cc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001227985785 1053033990 /nfs/dbraw/zinc/03/39/90/1053033990.db2.gz YOOGQRAGGNPWGS-GOEBONIOSA-N 0 3 316.832 4.258 20 0 DIADHN Cc1cc(C)cc(CCC(=O)C(C#N)c2nc3ccccc3o2)c1 ZINC000179916488 1053035274 /nfs/dbraw/zinc/03/52/74/1053035274.db2.gz ROYDSPITUWBZHW-INIZCTEOSA-N 0 3 318.376 4.254 20 0 DIADHN C[C@@H](NC[C@H](C)C(=O)OC(C)(C)C)c1cc2ccccc2o1 ZINC000616176580 1053048761 /nfs/dbraw/zinc/04/87/61/1053048761.db2.gz ZFVWBCUMPROGOV-QWHCGFSZSA-N 0 3 303.402 4.061 20 0 DIADHN CC[C@H](NCc1ccc(-n2ccnc2)cc1)c1ccccc1F ZINC000180265394 1053050950 /nfs/dbraw/zinc/05/09/50/1053050950.db2.gz ZFHNORLWYCPNGJ-IBGZPJMESA-N 0 3 309.388 4.252 20 0 DIADHN CC(=O)c1c(O[C@@H]2CCCN(C(C)C)C2)ccc2ccccc21 ZINC001228247468 1053056806 /nfs/dbraw/zinc/05/68/06/1053056806.db2.gz BTHISWVLVUNCPS-QGZVFWFLSA-N 0 3 311.425 4.294 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(N3CCOC3=O)c2)cc1 ZINC000068707673 1053060885 /nfs/dbraw/zinc/06/08/85/1053060885.db2.gz DGIWVJHTEQMJTI-HNNXBMFYSA-N 0 3 324.424 4.056 20 0 DIADHN CC(C)c1ccccc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001228281725 1053063528 /nfs/dbraw/zinc/06/35/28/1053063528.db2.gz RIHKYHXUZCRLBV-IAGOWNOFSA-N 0 3 310.441 4.419 20 0 DIADHN Clc1ccc(CCN[C@@H](c2ccccc2)c2ccccn2)cn1 ZINC000180771333 1053087679 /nfs/dbraw/zinc/08/76/79/1053087679.db2.gz WZZGWLKIQQZXMR-IBGZPJMESA-N 0 3 323.827 4.052 20 0 DIADHN CCCCOc1ccc(O[C@H]2CCN(C(C)C)C2)c(F)c1F ZINC001228386220 1053091825 /nfs/dbraw/zinc/09/18/25/1053091825.db2.gz WARWKAZMAUFECY-ZDUSSCGKSA-N 0 3 313.388 4.005 20 0 DIADHN Cc1nc2ccc(Nc3cnc(C)c(Br)c3)cc2[nH]1 ZINC001213070264 1053100303 /nfs/dbraw/zinc/10/03/03/1053100303.db2.gz HKQXSZWNIHTGTJ-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN CN(C)Cc1c(OCCOC2CCCC2)ccc2ccccc21 ZINC001228440341 1053104374 /nfs/dbraw/zinc/10/43/74/1053104374.db2.gz CKKQHZIAFMMZJB-UHFFFAOYSA-N 0 3 313.441 4.239 20 0 DIADHN Cc1cc(Cl)ncc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001228532830 1053126635 /nfs/dbraw/zinc/12/66/35/1053126635.db2.gz LKIGVMIQOHZOMP-MRXNPFEDSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@H]3CCCC[C@H]3C2)c(C)c1 ZINC001317889380 1053139073 /nfs/dbraw/zinc/13/90/73/1053139073.db2.gz JZTHTQGGINFVBJ-KSZLIROESA-N 0 3 314.473 4.143 20 0 DIADHN CCN(C[C@H](O)c1ccc(C)cc1)[C@@H](C)c1nc2ccccc2o1 ZINC000181125730 1053140684 /nfs/dbraw/zinc/14/06/84/1053140684.db2.gz SBJCTGRBNWEWAT-YJBOKZPZSA-N 0 3 324.424 4.253 20 0 DIADHN CCCC[C@H](CC)CNCc1c(F)cncc1Br ZINC001560247517 1053141606 /nfs/dbraw/zinc/14/16/06/1053141606.db2.gz ILEXRKJTGQSLDP-NSHDSACASA-N 0 3 317.246 4.289 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@@H]1CCC[C@@H](F)C1 ZINC001560515600 1053146478 /nfs/dbraw/zinc/14/64/78/1053146478.db2.gz CTQDPTIDWYDDGG-RKDXNWHRSA-N 0 3 322.168 4.098 20 0 DIADHN Cc1nc(Cl)ccc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001228701606 1053162389 /nfs/dbraw/zinc/16/23/89/1053162389.db2.gz BFZVSLODTPNGQP-INIZCTEOSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1cc(CNC2(c3ccc(Cl)cc3)CCCCC2)[nH]n1 ZINC001318418704 1053166587 /nfs/dbraw/zinc/16/65/87/1053166587.db2.gz IXOPAJFYQGENSB-UHFFFAOYSA-N 0 3 303.837 4.321 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC[C@@H]4CCCC[C@H]4C3)o2)cc1 ZINC001318460856 1053168214 /nfs/dbraw/zinc/16/82/14/1053168214.db2.gz GJTRHISMYCYMOP-RDJZCZTQSA-N 0 3 311.429 4.057 20 0 DIADHN Cc1cccc2c(CCNCc3csc(C(C)C)n3)c[nH]c21 ZINC001318778064 1053191626 /nfs/dbraw/zinc/19/16/26/1053191626.db2.gz OSZSDHRWJSICFZ-UHFFFAOYSA-N 0 3 313.470 4.389 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@H]3CCCC[C@@H]3C2)o1 ZINC001318813819 1053195761 /nfs/dbraw/zinc/19/57/61/1053195761.db2.gz HHVAHNNGQXMFSI-HUUCEWRRSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cccc3cc[nH]c32)C1 ZINC001228889886 1053200128 /nfs/dbraw/zinc/20/01/28/1053200128.db2.gz FULGHPLAMNMIJZ-RDJZCZTQSA-N 0 3 321.424 4.167 20 0 DIADHN CCCC1CCN(Cc2cnc3c(cnn3C(C)C)c2)CC1 ZINC001318908832 1053203348 /nfs/dbraw/zinc/20/33/48/1053203348.db2.gz YNNWTABWOKHVBE-UHFFFAOYSA-N 0 3 300.450 4.024 20 0 DIADHN CN1CCc2cc(O[C@H]3CCc4c3cc(F)cc4F)ccc2C1 ZINC001228945948 1053211629 /nfs/dbraw/zinc/21/16/29/1053211629.db2.gz YMSLSOMWVKUMKB-IBGZPJMESA-N 0 3 315.363 4.019 20 0 DIADHN Cc1ccnc(Cl)c1OC1CCN(Cc2ccccc2)CC1 ZINC001228986921 1053218930 /nfs/dbraw/zinc/21/89/30/1053218930.db2.gz NDMFEGKZCIRSQF-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN C[C@@H](N[C@@H](Cc1ccccc1)c1cccs1)c1cnn(C)c1 ZINC000090015621 1053222635 /nfs/dbraw/zinc/22/26/35/1053222635.db2.gz XKYLKIOXKUSEHI-PBHICJAKSA-N 0 3 311.454 4.116 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cs1 ZINC000381683306 1053230271 /nfs/dbraw/zinc/23/02/71/1053230271.db2.gz DKVHAWGOBBKGJI-WDEREUQCSA-N 0 3 301.415 4.050 20 0 DIADHN COc1ccc([C@@H](CCO)NCc2cccc(C(C)C)c2)cc1 ZINC000660179156 1053239363 /nfs/dbraw/zinc/23/93/63/1053239363.db2.gz XUKPSOVPMKLOFO-HXUWFJFHSA-N 0 3 313.441 4.032 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N(C)c1ccccc1-c1ccccc1 ZINC001351916700 1053243230 /nfs/dbraw/zinc/24/32/30/1053243230.db2.gz BSAMXKMEWYZPKG-FQEVSTJZSA-N 0 3 322.452 4.189 20 0 DIADHN Cc1ccc(N(C)C(=O)Nc2cccc(CN(C)C)c2)cc1C ZINC000847110146 1053247055 /nfs/dbraw/zinc/24/70/55/1053247055.db2.gz DNSRBGDXCMVMQW-UHFFFAOYSA-N 0 3 311.429 4.033 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCS1)c1nc2ccc(Cl)cc2n1C ZINC000091370369 1053263940 /nfs/dbraw/zinc/26/39/40/1053263940.db2.gz ITCRXGMXLZQKJK-MEDUHNTESA-N 0 3 323.893 4.163 20 0 DIADHN Fc1cnccc1CN[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000449303518 1053265882 /nfs/dbraw/zinc/26/58/82/1053265882.db2.gz YINBAKQASMNLMF-CTYIDZIISA-N 0 3 324.321 4.275 20 0 DIADHN C[C@]1(CO)CCCN(Cc2ccc(F)c(-c3cccs3)c2)C1 ZINC001319712699 1053266202 /nfs/dbraw/zinc/26/62/02/1053266202.db2.gz SHGULTLEFLQLEX-SFHVURJKSA-N 0 3 319.445 4.149 20 0 DIADHN Cc1noc(C)c1CCN1CCc2cc(C(C)(C)C)ccc2C1 ZINC001319720206 1053268384 /nfs/dbraw/zinc/26/83/84/1053268384.db2.gz QJFYILDKLOSEFM-UHFFFAOYSA-N 0 3 312.457 4.190 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CCC[C@H]3CCC[C@H]32)o1 ZINC000252481003 1053268643 /nfs/dbraw/zinc/26/86/43/1053268643.db2.gz HJGVGJPYOHFLSA-CZUORRHYSA-N 0 3 300.377 4.245 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1scnc1C1CC1 ZINC000449351066 1053270091 /nfs/dbraw/zinc/27/00/91/1053270091.db2.gz OCWVKCWAWGFNNH-OAHLLOKOSA-N 0 3 302.418 4.327 20 0 DIADHN COc1cccc2cc(CN[C@H](C)c3ccc4c(c3)COC4)oc21 ZINC001319763617 1053272118 /nfs/dbraw/zinc/27/21/18/1053272118.db2.gz PLPBALKKWBJBJS-CYBMUJFWSA-N 0 3 323.392 4.322 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCN(C)[C@@H]2c2ccc(F)cc2)o1 ZINC001319894778 1053285146 /nfs/dbraw/zinc/28/51/46/1053285146.db2.gz SQWASAZUFCHRDN-SOVGHPHASA-N 0 3 316.420 4.077 20 0 DIADHN Cc1cccc2c1[C@H](Oc1ccc(C(=O)CCN(C)C)cc1)CC2 ZINC001229307129 1053295915 /nfs/dbraw/zinc/29/59/15/1053295915.db2.gz SRXZVQNKDNJDAW-HXUWFJFHSA-N 0 3 323.436 4.196 20 0 DIADHN Cc1ccccc1[C@@H](C)Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229302331 1053296483 /nfs/dbraw/zinc/29/64/83/1053296483.db2.gz HBWRIQXLNMGPRB-MRXNPFEDSA-N 0 3 311.425 4.269 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C)c1ccc(F)cc1 ZINC001320030244 1053298585 /nfs/dbraw/zinc/29/85/85/1053298585.db2.gz HATPWVAQQXAMET-FSZRXZPDSA-N 0 3 320.452 4.044 20 0 DIADHN CC[C@@H](CC1CCCCC1)NCc1cc(C(F)(F)F)n(C)n1 ZINC001474744537 1053298882 /nfs/dbraw/zinc/29/88/82/1053298882.db2.gz KRYWVVRRPCKXRY-ZDUSSCGKSA-N 0 3 317.399 4.278 20 0 DIADHN Cc1cccnc1CNCCc1nc(C2CCCCC2)cs1 ZINC001320104001 1053306084 /nfs/dbraw/zinc/30/60/84/1053306084.db2.gz QVSFYGOBBWJRAX-UHFFFAOYSA-N 0 3 315.486 4.227 20 0 DIADHN CCOC1CCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000618444589 1053309537 /nfs/dbraw/zinc/30/95/37/1053309537.db2.gz FUSVWWGGZDOJDO-UHFFFAOYSA-N 0 3 311.425 4.480 20 0 DIADHN Cc1nccc([C@H]2CCCN([C@H](C)c3cccc(Cl)c3)C2)n1 ZINC000618442926 1053310023 /nfs/dbraw/zinc/31/00/23/1053310023.db2.gz QBYKVOJAJFZCMH-CJNGLKHVSA-N 0 3 315.848 4.379 20 0 DIADHN COc1ccccc1C[C@@H](NCc1ncoc1C(C)C)C(C)C ZINC001320220166 1053315368 /nfs/dbraw/zinc/31/53/68/1053315368.db2.gz IANUBJHQJOYJBX-MRXNPFEDSA-N 0 3 316.445 4.164 20 0 DIADHN CC(C)OC1CC(N[C@@H](C)c2ccc(F)cc2OC(F)F)C1 ZINC001320486014 1053337609 /nfs/dbraw/zinc/33/76/09/1053337609.db2.gz JRRPRYNSVNAVIV-PKSQDBQZSA-N 0 3 317.351 4.034 20 0 DIADHN CC(C)c1nc(CN[C@@H](C)[C@@H](c2ccccc2)N(C)C)cs1 ZINC001320638944 1053351159 /nfs/dbraw/zinc/35/11/59/1053351159.db2.gz USOBRWXYQCSRRR-YOEHRIQHSA-N 0 3 317.502 4.048 20 0 DIADHN CC(=O)c1cccc(-c2ccccc2)c1O[C@@H]1CCN(C(C)C)C1 ZINC001229565407 1053353294 /nfs/dbraw/zinc/35/32/94/1053353294.db2.gz FLVJXGHANMBLFZ-GOSISDBHSA-N 0 3 323.436 4.418 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CC[C@@H](C)[C@H](C)C2)c1 ZINC001545808798 1053366671 /nfs/dbraw/zinc/36/66/71/1053366671.db2.gz KBZLIKIEYHBTLV-BFYDXBDKSA-N 0 3 302.462 4.067 20 0 DIADHN O=C(c1cccs1)C1CCN([C@H]2CCc3ccccc32)CC1 ZINC001320918771 1053374505 /nfs/dbraw/zinc/37/45/05/1053374505.db2.gz WEAVLQKVKCXFFA-KRWDZBQOSA-N 0 3 311.450 4.330 20 0 DIADHN CCC[C@H](NCc1ccc(NC(=O)C2CC2)cc1)c1cccnc1 ZINC001321024286 1053383508 /nfs/dbraw/zinc/38/35/08/1053383508.db2.gz RNHXCRDXBHHVHZ-IBGZPJMESA-N 0 3 323.440 4.061 20 0 DIADHN c1ccc(N2CCCC2)c(CNCc2ccc3ncccc3c2)c1 ZINC001321073786 1053386066 /nfs/dbraw/zinc/38/60/66/1053386066.db2.gz ICJZMERYLNQNCT-UHFFFAOYSA-N 0 3 317.436 4.125 20 0 DIADHN CN(C)Cc1ccccc1O[C@H]1CC[C@H](C(F)(F)F)CC1 ZINC001229700319 1053388291 /nfs/dbraw/zinc/38/82/91/1053388291.db2.gz YGDCVTRSJRKSBB-HDJSIYSDSA-N 0 3 301.352 4.248 20 0 DIADHN CN(C)Cc1ccccc1O[C@H]1CCc2cc(Cl)ccc21 ZINC001229698950 1053388509 /nfs/dbraw/zinc/38/85/09/1053388509.db2.gz IUHLHGDPKHPAIF-SFHVURJKSA-N 0 3 301.817 4.468 20 0 DIADHN CN(C)CCN(C(=O)CCC1CCCCCC1)c1ccccc1 ZINC001434925218 1053390021 /nfs/dbraw/zinc/39/00/21/1053390021.db2.gz SAWYHTCYPGODOP-UHFFFAOYSA-N 0 3 316.489 4.332 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)C[C@H]1CC=CCC1 ZINC001474754111 1053391179 /nfs/dbraw/zinc/39/11/79/1053391179.db2.gz NYDNHFIMFIEAQA-CABCVRRESA-N 0 3 304.503 4.446 20 0 DIADHN CC(C)(C)c1cnc(CNCCCOCc2ccccc2)s1 ZINC001321152177 1053394893 /nfs/dbraw/zinc/39/48/93/1053394893.db2.gz RLOIXEUVRUFCCX-UHFFFAOYSA-N 0 3 318.486 4.137 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)c1ccnn1-c1ccccc1 ZINC001321187503 1053400114 /nfs/dbraw/zinc/40/01/14/1053400114.db2.gz KZIPTAIAIQNRFE-INIZCTEOSA-N 0 3 317.436 4.212 20 0 DIADHN COc1cccc2cc(CN[C@H]3CCCNc4ccccc43)oc21 ZINC001321283122 1053404999 /nfs/dbraw/zinc/40/49/99/1053404999.db2.gz POOSCDLDNDBTSX-SFHVURJKSA-N 0 3 322.408 4.478 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc(F)cc2Cl)c(C)o1 ZINC001321393858 1053410295 /nfs/dbraw/zinc/41/02/95/1053410295.db2.gz ISCVYBOKBAUDMY-HWPZZCPQSA-N 0 3 311.784 4.073 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)NCc1c(Cl)oc2ccccc21 ZINC000763523482 1053417665 /nfs/dbraw/zinc/41/76/65/1053417665.db2.gz CMBLHSWVUJPNJS-BXUZGUMPSA-N 0 3 319.788 4.281 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001435045890 1053426496 /nfs/dbraw/zinc/42/64/96/1053426496.db2.gz RYZQUDNHIFRDLF-AEFFLSMTSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN(C)[C@H]2c2ccc(F)cc2)oc1C ZINC001321700810 1053427884 /nfs/dbraw/zinc/42/78/84/1053427884.db2.gz JNLHOZBNVYAWJF-IXDGSTSKSA-N 0 3 316.420 4.132 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1ccc(C)c(C)c1)C1CC1 ZINC000093608223 1053428800 /nfs/dbraw/zinc/42/88/00/1053428800.db2.gz LFFAUOAHOMOHDA-UHFFFAOYSA-N 0 3 322.452 4.426 20 0 DIADHN CC[C@@H](C)CCC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001435066407 1053429782 /nfs/dbraw/zinc/42/97/82/1053429782.db2.gz XMSXVVYGSDAYDW-OAHLLOKOSA-N 0 3 324.896 4.057 20 0 DIADHN c1nc([C@H](NCCc2cc3ccccc3o2)C2CCCCC2)n[nH]1 ZINC000572552180 1053440323 /nfs/dbraw/zinc/44/03/23/1053440323.db2.gz BFAAWJDJHOXXOM-GOSISDBHSA-N 0 3 324.428 4.005 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C)CCc2ccc(O)cc2)s1 ZINC001200192585 1053450203 /nfs/dbraw/zinc/45/02/03/1053450203.db2.gz BTUVGZCWVILQIC-ZDUSSCGKSA-N 0 3 304.459 4.083 20 0 DIADHN CCCC[C@@H](C(=O)NC[C@H](C)N(C)Cc1ccccc1)C(C)C ZINC001435166314 1053460164 /nfs/dbraw/zinc/46/01/64/1053460164.db2.gz JSFGTHUNKPSITB-PKOBYXMFSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H]([C@H](C)C(=O)N1CCN(C2CCC(C)CC2)CC1)C(C)(C)C ZINC001435171772 1053462861 /nfs/dbraw/zinc/46/28/61/1053462861.db2.gz YRWWNOWLILHSJN-JDEYZFBPSA-N 0 3 322.537 4.028 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)c(CN(C)C)c1 ZINC001435187785 1053467591 /nfs/dbraw/zinc/46/75/91/1053467591.db2.gz ZZGGKKNPTXEHRV-LSDHHAIUSA-N 0 3 304.478 4.313 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccccc1)c1cccc(Cl)c1F ZINC000763539427 1053468515 /nfs/dbraw/zinc/46/85/15/1053468515.db2.gz QWUJLDGUAPOKAK-HOTGVXAUSA-N 0 3 307.796 4.253 20 0 DIADHN COc1nsc(CN[C@H]2CCC[C@@H](C(C)C)C2)c1Cl ZINC001200212893 1053471584 /nfs/dbraw/zinc/47/15/84/1053471584.db2.gz DYOOJLLQEUWQDB-MNOVXSKESA-N 0 3 302.871 4.110 20 0 DIADHN COc1nsc(CN[C@H]2CCC[C@H](C(C)C)C2)c1Cl ZINC001200212894 1053471634 /nfs/dbraw/zinc/47/16/34/1053471634.db2.gz DYOOJLLQEUWQDB-QWRGUYRKSA-N 0 3 302.871 4.110 20 0 DIADHN COc1ncc(CNC2(c3ccccc3C)CCC2)cc1Cl ZINC000649644494 1053473975 /nfs/dbraw/zinc/47/39/75/1053473975.db2.gz DXVWQWQVKKMSLW-UHFFFAOYSA-N 0 3 316.832 4.221 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000182625230 1053488955 /nfs/dbraw/zinc/48/89/55/1053488955.db2.gz XXYOPCRFDZTNCT-HXUWFJFHSA-N 0 3 309.457 4.002 20 0 DIADHN CC(=O)c1cccc(C)c1O[C@@H](CCN(C)C)c1cccs1 ZINC001230319313 1053518668 /nfs/dbraw/zinc/51/86/68/1053518668.db2.gz SOVNHPGPOMINAP-INIZCTEOSA-N 0 3 317.454 4.331 20 0 DIADHN CC(=O)c1cccc(C)c1OC(C(=O)c1ccco1)c1ccco1 ZINC001230319795 1053518884 /nfs/dbraw/zinc/51/88/84/1053518884.db2.gz YIGRWDOKCWFYKL-LJQANCHMSA-N 0 3 324.332 4.387 20 0 DIADHN FC(F)c1cccc(CN[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC001322702047 1053537180 /nfs/dbraw/zinc/53/71/80/1053537180.db2.gz BXPCKOLEJQOBDV-CYBMUJFWSA-N 0 3 308.759 4.450 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H]2CCc3nc(Cl)ccc32)c1 ZINC001322702697 1053537631 /nfs/dbraw/zinc/53/76/31/1053537631.db2.gz LWZOVAXVHNRYOS-MRXNPFEDSA-N 0 3 316.832 4.299 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H](C)COc2ccc(F)cc2)s1 ZINC001200312556 1053542134 /nfs/dbraw/zinc/54/21/34/1053542134.db2.gz CSSIMXSNAGNJDP-ZDUSSCGKSA-N 0 3 322.449 4.038 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000152882946 1053543375 /nfs/dbraw/zinc/54/33/75/1053543375.db2.gz JILGIKFWNKCEHW-AEFFLSMTSA-N 0 3 317.477 4.181 20 0 DIADHN CC(C)N1CC[C@H](Oc2ccc(OC(F)(F)F)cc2Cl)C1 ZINC001230481687 1053545051 /nfs/dbraw/zinc/54/50/51/1053545051.db2.gz NKPLREPDSJJSRE-NSHDSACASA-N 0 3 323.742 4.100 20 0 DIADHN CN(C)CCN(Cc1cccs1)C(=O)CCCCC(C)(C)C ZINC001435424013 1053551565 /nfs/dbraw/zinc/55/15/65/1053551565.db2.gz XFSRYLINRQTMGF-UHFFFAOYSA-N 0 3 324.534 4.245 20 0 DIADHN COc1ccccc1C1(NCc2ccnc3ccccc23)CCC1 ZINC001323474898 1053581533 /nfs/dbraw/zinc/58/15/33/1053581533.db2.gz DNLCKBVGKJSCNZ-UHFFFAOYSA-N 0 3 318.420 4.412 20 0 DIADHN CCCOc1cc(C)ccc1CN[C@@H](C)c1cccc(CO)c1 ZINC000305634822 1053590355 /nfs/dbraw/zinc/59/03/55/1053590355.db2.gz HJVAYVKWYAUDFQ-INIZCTEOSA-N 0 3 313.441 4.127 20 0 DIADHN Clc1cccc(-c2cnc(CN3C[C@@H]4CCC[C@@H]4C3)o2)c1 ZINC000153156368 1053591014 /nfs/dbraw/zinc/59/10/14/1053591014.db2.gz PDXGJMLZQPBHJB-OKILXGFUSA-N 0 3 302.805 4.227 20 0 DIADHN C[C@@H]1CCc2nc(CN(C)C3CCC(F)(F)CC3)sc2C1 ZINC001323814872 1053601239 /nfs/dbraw/zinc/60/12/39/1053601239.db2.gz BVNOHFLHZVMCIY-LLVKDONJSA-N 0 3 314.445 4.278 20 0 DIADHN CC(=O)c1cc2c(cc1O[C@@H]1CCCN(C(C)C)C1)CCCC2 ZINC001230790744 1053604192 /nfs/dbraw/zinc/60/41/92/1053604192.db2.gz TVNRBCYHXUMHAE-GOSISDBHSA-N 0 3 315.457 4.020 20 0 DIADHN CCCc1ccc(CNCc2ccc(-n3ccnc3)c(F)c2)cc1 ZINC000620119800 1053606489 /nfs/dbraw/zinc/60/64/89/1053606489.db2.gz QUDCIUWNJYCUKN-UHFFFAOYSA-N 0 3 323.415 4.254 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)[C@@H]1CCOC(C)(C)C1 ZINC001323936816 1053611034 /nfs/dbraw/zinc/61/10/34/1053611034.db2.gz NVZUVWPPSFWHAE-UONOGXRCSA-N 0 3 322.518 4.047 20 0 DIADHN CC(C)[C@H]1CCCN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000620139837 1053611817 /nfs/dbraw/zinc/61/18/17/1053611817.db2.gz MRJBNVDJFCGIBR-QGZVFWFLSA-N 0 3 302.462 4.043 20 0 DIADHN Cc1ccc([C@H]2CCCN2CC(=O)N(C(C)C)C(C)C)cc1C ZINC000620139942 1053612107 /nfs/dbraw/zinc/61/21/07/1053612107.db2.gz BLHOZRMEHYZSIJ-LJQANCHMSA-N 0 3 316.489 4.086 20 0 DIADHN CCC(CC)[C@@H](NCc1c(C)cnn1COC)c1ccccc1 ZINC001324039355 1053618986 /nfs/dbraw/zinc/61/89/86/1053618986.db2.gz OEYBWLVMQMGOAY-LJQANCHMSA-N 0 3 315.461 4.063 20 0 DIADHN CCC(CC)[C@H](NCc1c(C)cnn1COC)c1ccccc1 ZINC001324039353 1053619341 /nfs/dbraw/zinc/61/93/41/1053619341.db2.gz OEYBWLVMQMGOAY-IBGZPJMESA-N 0 3 315.461 4.063 20 0 DIADHN CCc1noc(C)c1CN1C[C@@H]2CCCC[C@]21c1ccccc1 ZINC001324058928 1053621306 /nfs/dbraw/zinc/62/13/06/1053621306.db2.gz DDHXDIGQZCVSAI-PXNSSMCTSA-N 0 3 310.441 4.447 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001435628803 1053622090 /nfs/dbraw/zinc/62/20/90/1053622090.db2.gz JZYGRZAQIFHCAW-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CCCC[C@@H](C(=O)N1CCN(C2CCC(C)CC2)CC1)C(C)C ZINC001353602357 1053624390 /nfs/dbraw/zinc/62/43/90/1053624390.db2.gz HFHLFRBFGFCOOT-CTWPCTMYSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1cccc(F)c1F ZINC000183284764 1053627657 /nfs/dbraw/zinc/62/76/57/1053627657.db2.gz OWKHPWKZXZNNKX-PEBVRCNWSA-N 0 3 323.358 4.267 20 0 DIADHN Brc1ccncc1CN1CCC[C@@H]1C1CCCCC1 ZINC001237393007 1053631646 /nfs/dbraw/zinc/63/16/46/1053631646.db2.gz OQTJIQMFGGLSAW-MRXNPFEDSA-N 0 3 323.278 4.389 20 0 DIADHN CCc1sc(-c2nnc(CN3CCC[C@@H]3C(C)C)o2)cc1C ZINC000620279038 1053639837 /nfs/dbraw/zinc/63/98/37/1053639837.db2.gz AEPVALMPTITRNB-CYBMUJFWSA-N 0 3 319.474 4.289 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1cccn1C1CC1)c1ccccc1 ZINC001324424352 1053652503 /nfs/dbraw/zinc/65/25/03/1053652503.db2.gz KPBGMQADRRTLTC-GOSISDBHSA-N 0 3 308.425 4.060 20 0 DIADHN Cc1cc(Br)ccc1CNCc1cccn1C1CC1 ZINC001324425205 1053653094 /nfs/dbraw/zinc/65/30/94/1053653094.db2.gz PUVQTPRUGAZDIW-UHFFFAOYSA-N 0 3 319.246 4.184 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@H](C)C(C)(C)C)o2)cc1 ZINC000620367807 1053654945 /nfs/dbraw/zinc/65/49/45/1053654945.db2.gz ZEOLMCGJZKEZAV-CYBMUJFWSA-N 0 3 302.418 4.217 20 0 DIADHN Cc1ccc(CNCCN2c3ccccc3CC[C@H]2C)c(F)c1 ZINC001200439646 1053663637 /nfs/dbraw/zinc/66/36/37/1053663637.db2.gz SWLULAGNJZEVJP-MRXNPFEDSA-N 0 3 312.432 4.065 20 0 DIADHN COc1cccc2c1CC[C@@H]2NC1(c2ccccc2OC)CCC1 ZINC001324967695 1053691050 /nfs/dbraw/zinc/69/10/50/1053691050.db2.gz ZYGGFPGZIBCUFT-SFHVURJKSA-N 0 3 323.436 4.360 20 0 DIADHN CCCCCC(=O)c1ccc(O[C@@H]2CCN(C(C)C)C2)cc1 ZINC001231256934 1053711316 /nfs/dbraw/zinc/71/13/16/1053711316.db2.gz JPWVCZIAOPFORK-GOSISDBHSA-N 0 3 303.446 4.311 20 0 DIADHN CCn1nc(C)c(CN[C@H](C)c2ccc3c(c2)CCCC3)c1C ZINC000307965289 1053721044 /nfs/dbraw/zinc/72/10/44/1053721044.db2.gz LMBGHJKOOGVBTA-CQSZACIVSA-N 0 3 311.473 4.249 20 0 DIADHN CCCN(CCC)Cc1c(C(F)(F)F)nc2sccn12 ZINC001231431561 1053752810 /nfs/dbraw/zinc/75/28/10/1053752810.db2.gz DRWLRWVEOFJZPB-UHFFFAOYSA-N 0 3 305.369 4.037 20 0 DIADHN O[C@H](CNC1c2ccccc2-c2ccccc21)c1ccc(F)cc1 ZINC000183694547 1053734446 /nfs/dbraw/zinc/73/44/46/1053734446.db2.gz NRBSMXHGYDZGQM-HXUWFJFHSA-N 0 3 319.379 4.219 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001435970161 1053740387 /nfs/dbraw/zinc/74/03/87/1053740387.db2.gz GGXTXIAAHRWQDU-BHIYHBOVSA-N 0 3 318.505 4.256 20 0 DIADHN O[C@H](CNC1c2ccccc2-c2ccccc21)c1cccc(F)c1 ZINC000183718596 1053741368 /nfs/dbraw/zinc/74/13/68/1053741368.db2.gz LRPZIHHXACPBOA-HXUWFJFHSA-N 0 3 319.379 4.219 20 0 DIADHN CCCC(=CC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C)CCC ZINC001435971809 1053742432 /nfs/dbraw/zinc/74/24/32/1053742432.db2.gz ZTJMUIIHWXMFJR-OXJNMPFZSA-N 0 3 316.489 4.321 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2ccc(C)c(Cl)c2)C[C@H]1C ZINC001231425232 1053749391 /nfs/dbraw/zinc/74/93/91/1053749391.db2.gz OAXRAPITOQMAGQ-GDBMZVCRSA-N 0 3 323.864 4.060 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccc(Br)cc1C ZINC001200552383 1053753867 /nfs/dbraw/zinc/75/38/67/1053753867.db2.gz QGWVQEUUWAKPQX-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccc2cc(N(C)C)ccc2c1 ZINC001231440757 1053754381 /nfs/dbraw/zinc/75/43/81/1053754381.db2.gz BNAMQDRNXHJVNH-UHFFFAOYSA-N 0 3 319.452 4.323 20 0 DIADHN CN(Cc1c(C(F)(F)F)nc2sccn12)CC1CCCC1 ZINC001231439905 1053754790 /nfs/dbraw/zinc/75/47/90/1053754790.db2.gz KNOMCRFHIJWOAC-UHFFFAOYSA-N 0 3 317.380 4.037 20 0 DIADHN FC(F)(F)c1cccnc1CN1CC[C@@H](c2ccccc2)C1 ZINC000155055443 1053760658 /nfs/dbraw/zinc/76/06/58/1053760658.db2.gz WRYITKNEUSLNSZ-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2nc(-c3ccco3)no2)C1 ZINC000155213732 1053768582 /nfs/dbraw/zinc/76/85/82/1053768582.db2.gz MAPSFHJEWOBONO-LSDHHAIUSA-N 0 3 323.396 4.016 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1cccc(C(F)(F)F)c1 ZINC000268845408 1053769142 /nfs/dbraw/zinc/76/91/42/1053769142.db2.gz DQOALNJCCUTFDF-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1c[nH]c2cccnc12 ZINC001231489560 1053769290 /nfs/dbraw/zinc/76/92/90/1053769290.db2.gz HJVJUDOQRDZZNW-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(N3CCCC3=O)c2)cc1 ZINC000155264558 1053772658 /nfs/dbraw/zinc/77/26/58/1053772658.db2.gz KJHZYOWCOVCMKA-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN c1ccc(-c2cccc(CN3CC(c4cccnc4)C3)c2)cc1 ZINC001231511390 1053773432 /nfs/dbraw/zinc/77/34/32/1053773432.db2.gz NUWKIODDSCGPMZ-UHFFFAOYSA-N 0 3 300.405 4.348 20 0 DIADHN C1=CC2(CCN(Cc3c[nH]c4cnccc34)CC2)c2ccccc21 ZINC001231515015 1053776505 /nfs/dbraw/zinc/77/65/05/1053776505.db2.gz BLZKLFXHKYJALJ-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN CC(C)c1ccccc1OCCNCc1cc(F)cc(F)c1 ZINC001200572840 1053777006 /nfs/dbraw/zinc/77/70/06/1053777006.db2.gz SIIDNAWSGMXCEL-UHFFFAOYSA-N 0 3 305.368 4.257 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2ccc3c(c2)CCCC3)c1 ZINC000155497198 1053777691 /nfs/dbraw/zinc/77/76/91/1053777691.db2.gz RWRBYDZDURGRIL-OAHLLOKOSA-N 0 3 322.452 4.375 20 0 DIADHN c1cc(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)n(C2CC2)c1 ZINC001326484314 1053785391 /nfs/dbraw/zinc/78/53/91/1053785391.db2.gz PFERMUVBBMQTMC-ACRUOGEOSA-N 0 3 322.452 4.318 20 0 DIADHN COc1cc(CNC2(c3cccc(F)c3)CCC2)ccc1F ZINC001326490518 1053785639 /nfs/dbraw/zinc/78/56/39/1053785639.db2.gz HXTWSGAUXNOYNP-UHFFFAOYSA-N 0 3 303.352 4.142 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2cccc(C(=O)NC)c2)cc1 ZINC000155833999 1053787967 /nfs/dbraw/zinc/78/79/67/1053787967.db2.gz JRSYPPNDNSDXSI-MRXNPFEDSA-N 0 3 324.468 4.240 20 0 DIADHN CN(Cc1nc2c(s1)CCCC2)C(C)(C)Cc1ccccc1 ZINC001231585775 1053789777 /nfs/dbraw/zinc/78/97/77/1053789777.db2.gz VTIBRRIDKDIFKL-UHFFFAOYSA-N 0 3 314.498 4.475 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H]1CCCNc3ccccc31)CCC2 ZINC001326563892 1053791951 /nfs/dbraw/zinc/79/19/51/1053791951.db2.gz ZIUPKFKVIQQLEB-RBUKOAKNSA-N 0 3 324.472 4.378 20 0 DIADHN C#CCCCN[C@H](c1ccco1)c1ccc(Br)cc1 ZINC000183826019 1053795329 /nfs/dbraw/zinc/79/53/29/1053795329.db2.gz OBMMDGLQTJQOMF-INIZCTEOSA-N 0 3 318.214 4.135 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001436158207 1053798196 /nfs/dbraw/zinc/79/81/96/1053798196.db2.gz PTWCSCSPWPCBIU-IAGOWNOFSA-N 0 3 310.526 4.220 20 0 DIADHN C[C@@H](NCC1(Br)CC1)c1ccc(Cl)c(F)c1 ZINC001326718080 1053800518 /nfs/dbraw/zinc/80/05/18/1053800518.db2.gz ZBGUBLQZTJUDFQ-MRVPVSSYSA-N 0 3 306.606 4.057 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCc4cc(Cl)ccc43)n2c1 ZINC000156230907 1053801500 /nfs/dbraw/zinc/80/15/00/1053801500.db2.gz KPEUEOBOEKHWFG-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cccc(O)c2)cc1C(C)C ZINC001231624147 1053806453 /nfs/dbraw/zinc/80/64/53/1053806453.db2.gz BOBUMHQFJPEOKV-UHFFFAOYSA-N 0 3 313.441 4.465 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3ccccc3)s2)[C@H]2CCCC[C@H]12 ZINC000156461009 1053809587 /nfs/dbraw/zinc/80/95/87/1053809587.db2.gz POYUDZBBQSDEMP-BMFZPTHFSA-N 0 3 313.470 4.216 20 0 DIADHN Cc1sc2ccccc2c1CN1CCN(C)c2ncccc2C1 ZINC001231643494 1053813283 /nfs/dbraw/zinc/81/32/83/1053813283.db2.gz DRYXDEPIPPAANH-UHFFFAOYSA-N 0 3 323.465 4.057 20 0 DIADHN Cc1cccc2cc(CN3C[C@@H]4CCC[C@@H]4C3)c(Cl)nc12 ZINC001231644773 1053813860 /nfs/dbraw/zinc/81/38/60/1053813860.db2.gz BOQNMBCNPSONMC-GASCZTMLSA-N 0 3 300.833 4.429 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccc(Cl)s1)c1ccco1 ZINC000156557338 1053817693 /nfs/dbraw/zinc/81/76/93/1053817693.db2.gz QTOTXHZFRIEOFD-DGCLKSJQSA-N 0 3 313.850 4.293 20 0 DIADHN CCOc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)cn1 ZINC001231650702 1053818508 /nfs/dbraw/zinc/81/85/08/1053818508.db2.gz BSXJJZFIMUOFSN-UHFFFAOYSA-N 0 3 320.436 4.041 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc2cc(C)nn2c1C)c1ccccc1 ZINC000271596617 1053819204 /nfs/dbraw/zinc/81/92/04/1053819204.db2.gz UTWREJGQNWATEP-KBXCAEBGSA-N 0 3 308.429 4.148 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)cn1 ZINC001231655201 1053823028 /nfs/dbraw/zinc/82/30/28/1053823028.db2.gz BROYSDMEHQLDPF-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCc1cccc(CO)c1 ZINC000271857110 1053823255 /nfs/dbraw/zinc/82/32/55/1053823255.db2.gz JNOBNZXUKKXLAR-CQSZACIVSA-N 0 3 309.409 4.338 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000156884152 1053828771 /nfs/dbraw/zinc/82/87/71/1053828771.db2.gz NNMAUXJRJDFFKE-ZDUSSCGKSA-N 0 3 315.461 4.065 20 0 DIADHN CCN(Cc1ccc(C)c(Cl)c1Cl)C1CCOCC1 ZINC001231667523 1053830111 /nfs/dbraw/zinc/83/01/11/1053830111.db2.gz QKZFLNCTWQUJSZ-UHFFFAOYSA-N 0 3 302.245 4.303 20 0 DIADHN c1sc(CN2CCc3ccccc3CC2)nc1-c1ccccc1 ZINC001231683503 1053832999 /nfs/dbraw/zinc/83/29/99/1053832999.db2.gz VKANGPSXHNZURG-UHFFFAOYSA-N 0 3 320.461 4.411 20 0 DIADHN COCC[C@H]1CCCCN1Cc1nc(-c2ccccc2)cs1 ZINC001231683526 1053833763 /nfs/dbraw/zinc/83/37/63/1053833763.db2.gz VUFNUPRFINUXQX-MRXNPFEDSA-N 0 3 316.470 4.201 20 0 DIADHN Clc1ccccc1OC[C@@H]1CCCN(Cc2ccncc2)C1 ZINC000157058867 1053837720 /nfs/dbraw/zinc/83/77/20/1053837720.db2.gz WHBGPFCVELJWPF-MRXNPFEDSA-N 0 3 316.832 4.026 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](CCCO)c2ccccc2)cc1 ZINC001200646691 1053847336 /nfs/dbraw/zinc/84/73/36/1053847336.db2.gz HGFQYLNEUPFRNQ-HXUWFJFHSA-N 0 3 313.441 4.077 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCC[C@@H](F)C2)c2ccccn2)cc1 ZINC001327324455 1053849625 /nfs/dbraw/zinc/84/96/25/1053849625.db2.gz MSZAARJPCSULCS-GJYPPUQNSA-N 0 3 314.404 4.050 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCC[C@H](F)C2)c2ccccn2)cc1 ZINC001327324453 1053850403 /nfs/dbraw/zinc/85/04/03/1053850403.db2.gz MSZAARJPCSULCS-BXWFABGCSA-N 0 3 314.404 4.050 20 0 DIADHN CCOc1ncccc1CN1CCC(C)(c2ccccc2)CC1 ZINC001231766871 1053851593 /nfs/dbraw/zinc/85/15/93/1053851593.db2.gz MAETVHDMRIRIID-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN CC(C)Sc1nc2sccn2c1CN1C[C@@H]2CCC[C@H]2C1 ZINC001231774266 1053852158 /nfs/dbraw/zinc/85/21/58/1053852158.db2.gz OZAOLEVTIWGAQH-STQMWFEESA-N 0 3 321.515 4.128 20 0 DIADHN CCOc1ncccc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001231769176 1053852500 /nfs/dbraw/zinc/85/25/00/1053852500.db2.gz VOUCFNKBLPNAEE-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCCCc1ccc(CN2CCC(c3nnc(C)o3)CC2)cc1 ZINC001231781124 1053858523 /nfs/dbraw/zinc/85/85/23/1053858523.db2.gz DKPHFHVSRFFPBA-UHFFFAOYSA-N 0 3 313.445 4.100 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001231799423 1053859537 /nfs/dbraw/zinc/85/95/37/1053859537.db2.gz RPINKWUOXAAXEC-LPHOPBHVSA-N 0 3 307.441 4.217 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CCCC(F)(F)CC1 ZINC001231796241 1053860906 /nfs/dbraw/zinc/86/09/06/1053860906.db2.gz NQTJFSUAMSTZEZ-UHFFFAOYSA-N 0 3 317.383 4.073 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@@H](C)C3CCC3)n2)ccc1F ZINC000157709602 1053864979 /nfs/dbraw/zinc/86/49/79/1053864979.db2.gz JPCPDANERCKNFV-NWDGAFQWSA-N 0 3 303.381 4.023 20 0 DIADHN CCOc1cc(CN(C)[C@@H](C)c2cccs2)ccc1OC ZINC000157825026 1053873115 /nfs/dbraw/zinc/87/31/15/1053873115.db2.gz AZQMAEXSBCZQPE-ZDUSSCGKSA-N 0 3 305.443 4.348 20 0 DIADHN Cc1cc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)c(C)nn1 ZINC001354486529 1053882691 /nfs/dbraw/zinc/88/26/91/1053882691.db2.gz RNMAKGGGNCKVAQ-KRWDZBQOSA-N 0 3 301.409 4.110 20 0 DIADHN Cc1csc2sc(CN3CCN(CC4CC4)CC3)c(C)c12 ZINC001231834094 1053886330 /nfs/dbraw/zinc/88/63/30/1053886330.db2.gz KCBYFRDKCSFKCB-UHFFFAOYSA-N 0 3 320.527 4.107 20 0 DIADHN FC(F)c1cc(CN2CC[C@@H](Cc3ccccc3)C2)ccn1 ZINC001231888886 1053905089 /nfs/dbraw/zinc/90/50/89/1053905089.db2.gz JQGHCMONKKMQKL-INIZCTEOSA-N 0 3 302.368 4.084 20 0 DIADHN c1ccc([C@@H]2CCCCN2Cc2cccc3c2OCCO3)cc1 ZINC001231883701 1053905456 /nfs/dbraw/zinc/90/54/56/1053905456.db2.gz NHFLDUPEBWZSKI-SFHVURJKSA-N 0 3 309.409 4.185 20 0 DIADHN FC(F)c1cc(CN2CCC3(CC(F)(F)C3)CC2)ccn1 ZINC001231888677 1053907063 /nfs/dbraw/zinc/90/70/63/1053907063.db2.gz FMDZBYXJFIKOAY-UHFFFAOYSA-N 0 3 302.315 4.031 20 0 DIADHN COc1cccc2c(CN(C)[C@H](C)c3ccccc3OC)c[nH]c21 ZINC001231899644 1053908515 /nfs/dbraw/zinc/90/85/15/1053908515.db2.gz RMVFIQKMKGPKKV-CQSZACIVSA-N 0 3 324.424 4.378 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CC[C@H]3CCC[C@@H]3C2)cn1 ZINC001231898972 1053908617 /nfs/dbraw/zinc/90/86/17/1053908617.db2.gz BPAREIPTZJRNLE-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN COc1cccc2c(CN3CCC[C@@H]3c3ccc(C)nc3)c[nH]c21 ZINC001231898151 1053910422 /nfs/dbraw/zinc/91/04/22/1053910422.db2.gz FTVZGZGCDKASJB-GOSISDBHSA-N 0 3 321.424 4.217 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2C[C@@H]3CCCC[C@H]3C2)cn1 ZINC001231901750 1053911286 /nfs/dbraw/zinc/91/12/86/1053911286.db2.gz UUEZTMDFTUZLHM-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc3c[nH]nc3c2)c1 ZINC001231906915 1053912657 /nfs/dbraw/zinc/91/26/57/1053912657.db2.gz JGERAJGNEGCPDB-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN Cc1cc(CN2CCC3(CCCc4ccccc43)CC2)cnc1F ZINC001231924716 1053915244 /nfs/dbraw/zinc/91/52/44/1053915244.db2.gz JEMBUEVBJJJYBA-UHFFFAOYSA-N 0 3 324.443 4.399 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1cccc2c[nH]nc21 ZINC001231972563 1053924827 /nfs/dbraw/zinc/92/48/27/1053924827.db2.gz NXSNGAASJRMAPI-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN C[C@@H]1CC2(CCC2)CN1Cc1ccc(CSC(F)F)o1 ZINC000763648154 1053925745 /nfs/dbraw/zinc/92/57/45/1053925745.db2.gz QUUDDABDSVHPMR-LLVKDONJSA-N 0 3 301.402 4.500 20 0 DIADHN Cc1cc(F)ncc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001231983752 1053928714 /nfs/dbraw/zinc/92/87/14/1053928714.db2.gz CSKIPDREKBXOLQ-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN C[C@@H](NCc1cccc(C(F)(F)F)c1)c1ccc2c(c1)OCO2 ZINC000071857762 1053931567 /nfs/dbraw/zinc/93/15/67/1053931567.db2.gz VPUFESUIUCKWRK-LLVKDONJSA-N 0 3 323.314 4.285 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3cc(C)nc(C)c3)C2)cc1 ZINC001232000804 1053933602 /nfs/dbraw/zinc/93/36/02/1053933602.db2.gz YOIXECXABSSACH-HXUWFJFHSA-N 0 3 310.441 4.050 20 0 DIADHN FC(F)(F)c1cnc(Cl)c(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001232008247 1053939035 /nfs/dbraw/zinc/93/90/35/1053939035.db2.gz SYYHIPHPAFEUAQ-MNOVXSKESA-N 0 3 318.770 4.376 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1cc2c[nH]ccc-2n1 ZINC001232013745 1053940133 /nfs/dbraw/zinc/94/01/33/1053940133.db2.gz DOBHFDAYYZNYCW-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1Cc1cc2c[nH]ccc-2n1 ZINC001232017107 1053942037 /nfs/dbraw/zinc/94/20/37/1053942037.db2.gz LKBNPAIMCFPUBM-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1Cc1cc2cnccc2[nH]1 ZINC001232017107 1053942051 /nfs/dbraw/zinc/94/20/51/1053942051.db2.gz LKBNPAIMCFPUBM-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN COCCCC[C@H](NCc1cncc(Cl)c1)c1ccccc1 ZINC000621288896 1053942722 /nfs/dbraw/zinc/94/27/22/1053942722.db2.gz MMPUUCKZVSWWQL-SFHVURJKSA-N 0 3 318.848 4.383 20 0 DIADHN COc1cccc2[nH]cc(CN3Cc4ccccc4[C@@H](C)C3)c21 ZINC001232035191 1053943614 /nfs/dbraw/zinc/94/36/14/1053943614.db2.gz YRZXWRPMNRHEOI-AWEZNQCLSA-N 0 3 306.409 4.296 20 0 DIADHN CCCc1ccc(CNCc2ccccc2OCCOC)s1 ZINC000621330299 1053947902 /nfs/dbraw/zinc/94/79/02/1053947902.db2.gz GTHAHTPEWGGVFU-UHFFFAOYSA-N 0 3 319.470 4.016 20 0 DIADHN CCOc1cncc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c1 ZINC000621333870 1053948530 /nfs/dbraw/zinc/94/85/30/1053948530.db2.gz USXFGUDIALLHRM-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN Cn1nc(C(F)F)c(CN2CC[C@H]3CCCC[C@H]3C2)c1Cl ZINC001232054795 1053948700 /nfs/dbraw/zinc/94/87/00/1053948700.db2.gz DKNFQBRFAYEREL-MNOVXSKESA-N 0 3 317.811 4.023 20 0 DIADHN COc1cccc2[nH]cc(CN3CCC[C@H]3c3ccc(C)nc3)c21 ZINC001232038498 1053949466 /nfs/dbraw/zinc/94/94/66/1053949466.db2.gz MYQHVEIIBNVHBP-SFHVURJKSA-N 0 3 321.424 4.217 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cc4ncccc4[nH]3)C2)c1 ZINC001232065268 1053950307 /nfs/dbraw/zinc/95/03/07/1053950307.db2.gz QDFWJEYGVOQSFS-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN CCCc1ccc(CN[C@@H](CC)c2c(C)nn(C)c2C)s1 ZINC000621338768 1053950924 /nfs/dbraw/zinc/95/09/24/1053950924.db2.gz LFMSULTZHRWAAK-INIZCTEOSA-N 0 3 305.491 4.292 20 0 DIADHN CCOc1cncc(CN[C@@H]2c3cccc(F)c3CC[C@H]2C)c1 ZINC000621339616 1053952017 /nfs/dbraw/zinc/95/20/17/1053952017.db2.gz FZEUNTAFOIGRAC-YJYMSZOUSA-N 0 3 314.404 4.033 20 0 DIADHN CCOc1cncc(CN[C@H]2CCc3ccc(C(C)C)cc32)c1 ZINC000621345493 1053954654 /nfs/dbraw/zinc/95/46/54/1053954654.db2.gz NRDDRYSHVHSIGP-FQEVSTJZSA-N 0 3 310.441 4.381 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@]3(C2)CC(F)(F)CO3)cc1 ZINC001232080576 1053954875 /nfs/dbraw/zinc/95/48/75/1053954875.db2.gz JSZDAFRALROSLO-GOSISDBHSA-N 0 3 323.427 4.374 20 0 DIADHN CN(Cc1cc2ncccc2[nH]1)Cc1cccc2ccccc21 ZINC001232070889 1053955034 /nfs/dbraw/zinc/95/50/34/1053955034.db2.gz ZEWNGSFCFDXWOR-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CCC(C)(C)c1ccc(CN2C[C@@H]3COC[C@H](C2)C3(F)F)cc1 ZINC001232080902 1053955355 /nfs/dbraw/zinc/95/53/55/1053955355.db2.gz MEVUQMBSEBTJFQ-CALCHBBNSA-N 0 3 323.427 4.088 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cccc(C(F)(F)F)n1 ZINC001232073781 1053956403 /nfs/dbraw/zinc/95/64/03/1053956403.db2.gz KKPMGOZNKNNXPA-QHHAFSJGSA-N 0 3 321.346 4.031 20 0 DIADHN Cc1ccc(CN2CCC3(CCc4ccccc43)CC2)c(C)n1 ZINC001232081037 1053957346 /nfs/dbraw/zinc/95/73/46/1053957346.db2.gz OOVYBJXFBHPVNE-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC[C@H]1CC1(F)F ZINC000621377764 1053960212 /nfs/dbraw/zinc/96/02/12/1053960212.db2.gz FSZOABIIQITUTJ-QMMMGPOBSA-N 0 3 311.706 4.076 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3ccccc3Cl)C2)c(C)n1 ZINC001232083740 1053960521 /nfs/dbraw/zinc/96/05/21/1053960521.db2.gz KBSMJBNXXSNNTM-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN c1c2ccncc2[nH]c1CN(Cc1ccccn1)C1CCCCC1 ZINC001232095825 1053961301 /nfs/dbraw/zinc/96/13/01/1053961301.db2.gz QBIIUEBGBHQNET-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN Cc1cccc(CN(Cc2cc3ccncc3[nH]2)C2CC2)c1C ZINC001232098565 1053963579 /nfs/dbraw/zinc/96/35/79/1053963579.db2.gz LPFWWWWZXZBWRK-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(F)c(Br)c2)c1 ZINC000621356006 1053964783 /nfs/dbraw/zinc/96/47/83/1053964783.db2.gz YLBRRVGCJIVOLB-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN c1csc([C@H]2CCCN2Cc2cnc(-c3cccnc3)o2)c1 ZINC001232137104 1053967553 /nfs/dbraw/zinc/96/75/53/1053967553.db2.gz HEGLEMCSQHFQDR-OAHLLOKOSA-N 0 3 311.410 4.135 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc(-c3cccnc3)o2)cc1 ZINC001232134257 1053967956 /nfs/dbraw/zinc/96/79/56/1053967956.db2.gz AHKOAHNOBFNGIJ-IBGZPJMESA-N 0 3 319.408 4.382 20 0 DIADHN c1nc(-c2cccnc2)oc1CN(Cc1ccccc1)C1CCC1 ZINC001232137668 1053968277 /nfs/dbraw/zinc/96/82/77/1053968277.db2.gz MPMSTYGWTSTPFF-UHFFFAOYSA-N 0 3 319.408 4.291 20 0 DIADHN Fc1ccc(OCc2ccccc2)c(CN2C[C@H]3CC[C@@H]2C3)c1 ZINC001232120441 1053968671 /nfs/dbraw/zinc/96/86/71/1053968671.db2.gz QGQZXBOKXVHVTJ-QFBILLFUSA-N 0 3 311.400 4.389 20 0 DIADHN c1nc(-c2cccnc2)oc1CN1CCC[C@@H]1c1ccccc1 ZINC001232136600 1053969037 /nfs/dbraw/zinc/96/90/37/1053969037.db2.gz VJCIJOKFSCZFNJ-GOSISDBHSA-N 0 3 305.381 4.074 20 0 DIADHN CCN(Cc1cc(F)cc(CN(C)C)c1)Cc1ccccc1F ZINC001232146408 1053971164 /nfs/dbraw/zinc/97/11/64/1053971164.db2.gz PYNBVFLSMAGMIG-UHFFFAOYSA-N 0 3 318.411 4.049 20 0 DIADHN Brc1[nH]c2ccccc2c1CN1C2CCC1CC2 ZINC001232142949 1053971907 /nfs/dbraw/zinc/97/19/07/1053971907.db2.gz SRWVLLKMJHZYIZ-UHFFFAOYSA-N 0 3 305.219 4.057 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCC(Nc2ccccc2)CC1 ZINC001329176501 1053972160 /nfs/dbraw/zinc/97/21/60/1053972160.db2.gz HCMHSZFGZVZEEB-CYBMUJFWSA-N 0 3 313.272 4.147 20 0 DIADHN Cc1cc(C)cc(C[C@H](C)[NH2+]Cc2cc([O-])cc(Cl)c2)c1 ZINC001200802986 1053989383 /nfs/dbraw/zinc/98/93/83/1053989383.db2.gz NBEZYISCQBCHDP-AWEZNQCLSA-N 0 3 303.833 4.383 20 0 DIADHN Fc1cccc2c(CN3CCC(c4cccnc4)CC3)ccnc12 ZINC001232213445 1053992256 /nfs/dbraw/zinc/99/22/56/1053992256.db2.gz IPONLKJUFDVTKQ-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC1(C)CN(Cc2cccn2Cc2ccccc2)CCC1(F)F ZINC001232235275 1053997966 /nfs/dbraw/zinc/99/79/66/1053997966.db2.gz IYOUKQGEMYMUGL-UHFFFAOYSA-N 0 3 318.411 4.404 20 0 DIADHN FC1CC2(C1)CCN(Cc1cccn1Cc1ccccc1)CC2 ZINC001232236706 1053998914 /nfs/dbraw/zinc/99/89/14/1053998914.db2.gz STLCDVLOPMPOKG-UHFFFAOYSA-N 0 3 312.432 4.251 20 0 DIADHN FC1CC2(C1)CCCN(Cc1ccnc(C(F)(F)F)c1)CC2 ZINC001232240720 1054001389 /nfs/dbraw/zinc/00/13/89/1054001389.db2.gz OSIBKNHXRDUECB-UHFFFAOYSA-N 0 3 316.342 4.205 20 0 DIADHN FC(F)(F)Oc1ccc(CNCc2ccc3c(c2)CCC3)cc1 ZINC001329737936 1054005736 /nfs/dbraw/zinc/00/57/36/1054005736.db2.gz HKWCHNFZZZYPCA-UHFFFAOYSA-N 0 3 321.342 4.364 20 0 DIADHN CC(=O)N1CC[C@H](NC2(c3ccccc3C)CC2)c2ccccc21 ZINC000621764411 1054011603 /nfs/dbraw/zinc/01/16/03/1054011603.db2.gz FYOUEDWYGPLETK-IBGZPJMESA-N 0 3 320.436 4.072 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](C)c1nccc2ccccc21 ZINC001329955464 1054012348 /nfs/dbraw/zinc/01/23/48/1054012348.db2.gz JXLKEAMZXCPHGL-ZIAGYGMSSA-N 0 3 314.429 4.006 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc2cnn(C)c2c1 ZINC001330069042 1054019128 /nfs/dbraw/zinc/01/91/28/1054019128.db2.gz DYVMVLNRIBYEMA-CYBMUJFWSA-N 0 3 311.454 4.146 20 0 DIADHN Cc1cc(Br)ncc1CN1CCC2(CCCC2)CC1 ZINC001232314083 1054019811 /nfs/dbraw/zinc/01/98/11/1054019811.db2.gz JZCNXTRKLGKPIX-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN CCOc1ccc(CN(Cc2ccccc2)C2CCC2)c(C)n1 ZINC001232313592 1054019899 /nfs/dbraw/zinc/01/98/99/1054019899.db2.gz BWRNAGCPZQJCMS-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2Cc2ccc(F)cc2)cn1 ZINC001232331990 1054022282 /nfs/dbraw/zinc/02/22/82/1054022282.db2.gz ZXKVEVPXQVDWQV-QGZVFWFLSA-N 0 3 316.445 4.150 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cccc3ccccc32)cn1 ZINC001232355549 1054029662 /nfs/dbraw/zinc/02/96/62/1054029662.db2.gz SQABQFDDRPAGPA-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CCC[C@H]3C[C@H]32)c1 ZINC001232382241 1054032647 /nfs/dbraw/zinc/03/26/47/1054032647.db2.gz IOMBZGDCQQJWFX-FXAWDEMLSA-N 0 3 323.436 4.259 20 0 DIADHN CCOc1ccc(OC)cc1CN1CCCc2ccccc2C1 ZINC001232384042 1054033232 /nfs/dbraw/zinc/03/32/32/1054033232.db2.gz KGCTXNJJPHMTKB-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN CCOc1ccc(OC)cc1CN1CCC[C@H]1c1ccccc1 ZINC001232384193 1054033797 /nfs/dbraw/zinc/03/37/97/1054033797.db2.gz MHYGDOMQRKMWPA-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2C3CCC2CC3)c1 ZINC001232381126 1054033846 /nfs/dbraw/zinc/03/38/46/1054033846.db2.gz KNCDVPKUFCQTLB-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN CCOc1ccc(OC)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001232385363 1054034728 /nfs/dbraw/zinc/03/47/28/1054034728.db2.gz OGVCFVXBPRSTFN-MRXNPFEDSA-N 0 3 321.436 4.198 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)C[C@@H](O)c1cc2ccccc2o1 ZINC001330333405 1054035271 /nfs/dbraw/zinc/03/52/71/1054035271.db2.gz GRNKQLFHLYBDKU-GOSISDBHSA-N 0 3 320.392 4.080 20 0 DIADHN CCOCc1ccc(CNc2c(C)cnc3ccccc32)cc1 ZINC001330406141 1054036710 /nfs/dbraw/zinc/03/67/10/1054036710.db2.gz VHNNXBVFGYPMEP-UHFFFAOYSA-N 0 3 306.409 4.114 20 0 DIADHN Cc1cccc(CN2CCC3(CC(F)C3)CC2)c1OC(C)C ZINC001232394314 1054037287 /nfs/dbraw/zinc/03/72/87/1054037287.db2.gz ZBPYHSXIDDCPTF-UHFFFAOYSA-N 0 3 305.437 4.496 20 0 DIADHN Cc1cccc(CN2CCC(OC3CCC3)CC2)c1OC(C)C ZINC001232394210 1054037354 /nfs/dbraw/zinc/03/73/54/1054037354.db2.gz XEHQMDUUIJRVAN-UHFFFAOYSA-N 0 3 317.473 4.316 20 0 DIADHN Cc1cccc(CN2CCC3(CCOCC3)CC2)c1OC(C)C ZINC001232395801 1054039011 /nfs/dbraw/zinc/03/90/11/1054039011.db2.gz YCDMNVGQNILACE-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(F)c(C)c(F)c3)C2)c1 ZINC001232424285 1054042247 /nfs/dbraw/zinc/04/22/47/1054042247.db2.gz FQKDUKTYASMBMO-INIZCTEOSA-N 0 3 317.379 4.271 20 0 DIADHN CCC(=O)CCCN1CC=C(c2c(C)[nH]c3ccccc32)CC1 ZINC001330591134 1054043267 /nfs/dbraw/zinc/04/32/67/1054043267.db2.gz BVNLZFXROFJMNT-UHFFFAOYSA-N 0 3 310.441 4.325 20 0 DIADHN CCN(Cc1csc(-c2ccc(O)c(OC)c2)n1)C(C)(C)C ZINC001330600670 1054043534 /nfs/dbraw/zinc/04/35/34/1054043534.db2.gz FKOFVVBFZTWYOZ-UHFFFAOYSA-N 0 3 320.458 4.145 20 0 DIADHN c1c(CN2CCC[C@H]3CCC[C@@H]32)onc1OCc1ccccc1 ZINC001232451140 1054045599 /nfs/dbraw/zinc/04/55/99/1054045599.db2.gz DOXRUUBAIICOAR-AEFFLSMTSA-N 0 3 312.413 4.018 20 0 DIADHN Cc1ncc(CN(C)Cc2ccc(Oc3ccccc3)cc2)[nH]1 ZINC001232463968 1054048563 /nfs/dbraw/zinc/04/85/63/1054048563.db2.gz QFCJNPFHBQIKKH-UHFFFAOYSA-N 0 3 307.397 4.142 20 0 DIADHN Cc1ncc(CN2CCC(c3ccccc3C(F)(F)F)CC2)[nH]1 ZINC001232464538 1054051240 /nfs/dbraw/zinc/05/12/40/1054051240.db2.gz GMLYDLKQDUDCKA-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC001232509781 1054058902 /nfs/dbraw/zinc/05/89/02/1054058902.db2.gz RIAHVOWFWQLCEP-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN COc1ccccc1CCN(C)Cc1ccc(Cl)cc1OC ZINC001232501210 1054061605 /nfs/dbraw/zinc/06/16/05/1054061605.db2.gz MBMYVPJGPCOPEH-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1cc(Cl)ccc1CN1CCCC2(CC(F)C2)CC1 ZINC001232501122 1054061991 /nfs/dbraw/zinc/06/19/91/1054061991.db2.gz HTNIMHQYYYFXSX-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN Nc1c(Br)cccc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001232525455 1054064312 /nfs/dbraw/zinc/06/43/12/1054064312.db2.gz MHBZALQSVIQHPU-DOMZBBRYSA-N 0 3 323.278 4.186 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1cccc(Br)c1N ZINC001232525438 1054064481 /nfs/dbraw/zinc/06/44/81/1054064481.db2.gz LNURHCKONPLWDH-CYBMUJFWSA-N 0 3 311.267 4.186 20 0 DIADHN CC(C)C[C@@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000292862842 1054064685 /nfs/dbraw/zinc/06/46/85/1054064685.db2.gz BHYNJIJYCFTGCD-MRXNPFEDSA-N 0 3 307.388 4.025 20 0 DIADHN Nc1c(Br)cccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001232525613 1054064746 /nfs/dbraw/zinc/06/47/46/1054064746.db2.gz PCTZUGAWMFTFNW-OLZOCXBDSA-N 0 3 323.278 4.043 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232537497 1054065293 /nfs/dbraw/zinc/06/52/93/1054065293.db2.gz HGXIASLNHXHABK-ZIAGYGMSSA-N 0 3 319.449 4.192 20 0 DIADHN Cc1ncc(CN[C@H]2CCCc3c4cc(F)ccc4[nH]c32)s1 ZINC001331230036 1054066388 /nfs/dbraw/zinc/06/63/88/1054066388.db2.gz QJGHQNHTZISWNE-INIZCTEOSA-N 0 3 315.417 4.239 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)s1 ZINC000164961940 1054067950 /nfs/dbraw/zinc/06/79/50/1054067950.db2.gz XKLCEBDKFBBXMS-LLVKDONJSA-N 0 3 308.834 4.081 20 0 DIADHN Fc1ccc(CC2CCN(Cc3cc4ccoc4cn3)CC2)cc1 ZINC001232553221 1054068459 /nfs/dbraw/zinc/06/84/59/1054068459.db2.gz KNZOVUADIWTEDU-UHFFFAOYSA-N 0 3 324.399 4.422 20 0 DIADHN CCC[C@@H](NCc1nc2cc(Cl)ccc2c(=O)[nH]1)C1CCC1 ZINC000324927977 1054068505 /nfs/dbraw/zinc/06/85/05/1054068505.db2.gz UDVIGCYEPGAUIU-CQSZACIVSA-N 0 3 319.836 4.047 20 0 DIADHN CCOc1ccnc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001232584436 1054072337 /nfs/dbraw/zinc/07/23/37/1054072337.db2.gz FCFXOWACMLAFPO-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN COc1cccc([C@H]2CCCN(Cc3cc4ccoc4cn3)C2)c1 ZINC001232557169 1054073751 /nfs/dbraw/zinc/07/37/51/1054073751.db2.gz QGCVOPCWIZSBTC-KRWDZBQOSA-N 0 3 322.408 4.216 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccccc1-n1cccn1 ZINC000325124517 1054074122 /nfs/dbraw/zinc/07/41/22/1054074122.db2.gz ANRYENITOHZHQS-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN COc1cccc([C@@H]2C[C@H]2CNCc2csc(C(C)C)n2)c1 ZINC001331397088 1054074658 /nfs/dbraw/zinc/07/46/58/1054074658.db2.gz ALSJOHMCHNNYPO-YOEHRIQHSA-N 0 3 316.470 4.168 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1cc(OCc2ccccc2)ccn1 ZINC001232585702 1054075550 /nfs/dbraw/zinc/07/55/50/1054075550.db2.gz GPRYLQCEHKWADD-IAGOWNOFSA-N 0 3 310.441 4.424 20 0 DIADHN c1ccc(COc2ccnc(CN3CCC4(CCC4)CC3)c2)cc1 ZINC001232585769 1054076007 /nfs/dbraw/zinc/07/60/07/1054076007.db2.gz OXHXXVAMFXNNDO-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN C[C@@H]1CN(Cc2cc(OCc3ccccc3)ccn2)C2(CC2)C1 ZINC001232589861 1054076745 /nfs/dbraw/zinc/07/67/45/1054076745.db2.gz PFGJJNJOIRVPCH-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN C[C@H]1CN(Cc2cc(OCc3ccccc3)ccn2)C2(CC2)C1 ZINC001232589864 1054077590 /nfs/dbraw/zinc/07/75/90/1054077590.db2.gz PFGJJNJOIRVPCH-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN C[C@@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)CC1 ZINC001232585780 1054077826 /nfs/dbraw/zinc/07/78/26/1054077826.db2.gz PEVQHLFTGBIIOT-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccc(-n3cccn3)cc2Cl)CC1 ZINC001232595079 1054078471 /nfs/dbraw/zinc/07/84/71/1054078471.db2.gz YBDBRMSGUZODMH-KRWDZBQOSA-N 0 3 321.827 4.240 20 0 DIADHN CCC1CCN(Cc2cccc(NC(=O)OC(C)(C)C)n2)CC1 ZINC001232601849 1054079097 /nfs/dbraw/zinc/07/90/97/1054079097.db2.gz YVYCKIALMGBRDX-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN CC(C)c1nc(CNC[C@@]2(C)CCOC3(CCC3)C2)cs1 ZINC001331703274 1054085807 /nfs/dbraw/zinc/08/58/07/1054085807.db2.gz PZMNLQJRTCCTGO-INIZCTEOSA-N 0 3 308.491 4.096 20 0 DIADHN CN(Cc1ccc(Br)c(C(F)(F)F)c1)C1CC1 ZINC001232659734 1054093928 /nfs/dbraw/zinc/09/39/28/1054093928.db2.gz BHMCNEZCXZGQJL-UHFFFAOYSA-N 0 3 308.141 4.062 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1ccc(F)cc1OC(F)F ZINC001331727273 1054089087 /nfs/dbraw/zinc/08/90/87/1054089087.db2.gz YOQPPBBDAGTZMZ-LAEOZQHASA-N 0 3 309.278 4.121 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cncc2cnccc21 ZINC001232654920 1054095686 /nfs/dbraw/zinc/09/56/86/1054095686.db2.gz ZUXCOLIEABMZRC-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc(Br)[nH]1 ZINC001232695128 1054101321 /nfs/dbraw/zinc/10/13/21/1054101321.db2.gz XDTKSEUVRMUTGI-AWEZNQCLSA-N 0 3 307.235 4.360 20 0 DIADHN CC(C)N(Cc1ccc(Br)[nH]1)Cc1ccccc1 ZINC001232687790 1054102162 /nfs/dbraw/zinc/10/21/62/1054102162.db2.gz IMQBXNXCYPUCLO-UHFFFAOYSA-N 0 3 307.235 4.188 20 0 DIADHN Cc1ncoc1CN[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC001332004376 1054105954 /nfs/dbraw/zinc/10/59/54/1054105954.db2.gz LBXGZFGGKAGUDL-MQMHXKEQSA-N 0 3 310.319 4.038 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2cccc3ncsc32)C1 ZINC001232730381 1054108314 /nfs/dbraw/zinc/10/83/14/1054108314.db2.gz LXAGGBPTZFEHCF-CYBMUJFWSA-N 0 3 318.339 4.020 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1cccc2ncsc21 ZINC001232736051 1054110561 /nfs/dbraw/zinc/11/05/61/1054110561.db2.gz GZSYEYZBWAULMA-UHFFFAOYSA-N 0 3 316.451 4.063 20 0 DIADHN c1nc2cccc(CN3CC[C@@H]3COCc3ccccc3)c2s1 ZINC001232733676 1054110804 /nfs/dbraw/zinc/11/08/04/1054110804.db2.gz RJXRFLXASXPNLJ-QGZVFWFLSA-N 0 3 324.449 4.087 20 0 DIADHN c1nc2ccc(CN3CC[C@H](OCc4ccccc4)C3)cc2s1 ZINC001232742051 1054112163 /nfs/dbraw/zinc/11/21/63/1054112163.db2.gz RFUIXAAPCLYPJZ-KRWDZBQOSA-N 0 3 324.449 4.087 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccnc(Cl)c3C)C2)cc1 ZINC001232757013 1054115362 /nfs/dbraw/zinc/11/53/62/1054115362.db2.gz LIJRNVDPLGKPPJ-MRXNPFEDSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1c(CN2CCC[C@H](c3ccccc3)C2)ccnc1Cl ZINC001232752503 1054115489 /nfs/dbraw/zinc/11/54/89/1054115489.db2.gz JVHQHOMKBBHWMD-KRWDZBQOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1c(CN2CC[C@@H](c3ccc(F)cc3)C2)ccnc1Cl ZINC001232753912 1054115599 /nfs/dbraw/zinc/11/55/99/1054115599.db2.gz SVKXZBYZJOTPMA-OAHLLOKOSA-N 0 3 304.796 4.172 20 0 DIADHN Fc1cc(CN[C@H]2CCc3ccccc32)ccc1OC(F)F ZINC000167995387 1054116171 /nfs/dbraw/zinc/11/61/71/1054116171.db2.gz WXRKHGFWZVSOBC-HNNXBMFYSA-N 0 3 307.315 4.204 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(C)c(F)cc3F)C2)c1 ZINC001232784303 1054117803 /nfs/dbraw/zinc/11/78/03/1054117803.db2.gz KKBGYTXNERRYBA-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(C)c(F)cc2F)C1 ZINC001232780368 1054119804 /nfs/dbraw/zinc/11/98/04/1054119804.db2.gz OCPHTEVKQZKHKG-CQSZACIVSA-N 0 3 317.379 4.271 20 0 DIADHN CN(Cc1ccc(CCO)cc1)Cc1cc(Cl)cc(Cl)c1 ZINC001232780572 1054119928 /nfs/dbraw/zinc/11/99/28/1054119928.db2.gz PXBBWVCRXWQDPW-UHFFFAOYSA-N 0 3 324.251 4.160 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(N2CCCCC2)nc1 ZINC000848527645 1054127783 /nfs/dbraw/zinc/12/77/83/1054127783.db2.gz DGESQMZJFTYXTK-UHFFFAOYSA-N 0 3 323.484 4.485 20 0 DIADHN C[C@@H](NCCCc1cccnc1)c1nc(C(C)(C)C)cs1 ZINC001332885111 1054130171 /nfs/dbraw/zinc/13/01/71/1054130171.db2.gz OMYNRWZNWNEDLJ-CYBMUJFWSA-N 0 3 303.475 4.119 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccccc2CF)o1 ZINC001332906299 1054131997 /nfs/dbraw/zinc/13/19/97/1054131997.db2.gz ODNKWECISZBPQC-KRWDZBQOSA-N 0 3 303.377 4.233 20 0 DIADHN Oc1ccc2[nH]cc(CN3CCC[C@@H]3c3cccc(F)c3)c2c1 ZINC001232866524 1054135049 /nfs/dbraw/zinc/13/50/49/1054135049.db2.gz ZNAAZCPIIBPROK-LJQANCHMSA-N 0 3 310.372 4.350 20 0 DIADHN c1ccc(-c2ncc(CN3CC[C@H]4CCCC[C@@H]4C3)cn2)cc1 ZINC001333038353 1054136262 /nfs/dbraw/zinc/13/62/62/1054136262.db2.gz PQIOCRHZOBTOLK-IEBWSBKVSA-N 0 3 307.441 4.156 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](CC1CCCC1)c1ccccc1 ZINC000848542846 1054136989 /nfs/dbraw/zinc/13/69/89/1054136989.db2.gz DWCAPEAVHQNPRO-KRWDZBQOSA-N 0 3 317.864 4.485 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cc(C)cc(O)c3)CC2)c1 ZINC001232882811 1054137834 /nfs/dbraw/zinc/13/78/34/1054137834.db2.gz BQWZLAQQSWELDQ-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN CC[C@@H](NCc1ccc(OC(F)F)c(F)c1)c1ccncc1 ZINC000168390261 1054150759 /nfs/dbraw/zinc/15/07/59/1054150759.db2.gz PDYILJOMBDYHEV-CQSZACIVSA-N 0 3 310.319 4.063 20 0 DIADHN FC(F)(F)Sc1cccc(CN2C[C@H]3[C@H](CC3(F)F)C2)c1 ZINC001232943811 1054153098 /nfs/dbraw/zinc/15/30/98/1054153098.db2.gz GEWCOKCRJBULDR-PWSUYJOCSA-N 0 3 323.330 4.386 20 0 DIADHN COC/C(C)=C\CN[C@@H](CC(F)(F)F)c1ccccc1C ZINC001333486322 1054156207 /nfs/dbraw/zinc/15/62/07/1054156207.db2.gz KFNIBHZVELCFQK-PINLSGDHSA-N 0 3 301.352 4.171 20 0 DIADHN CN(Cc1cccc(SC(F)(F)F)c1)C[C@H]1CCCCO1 ZINC001232947869 1054156962 /nfs/dbraw/zinc/15/69/62/1054156962.db2.gz KRXYYGYBRCNEGL-CYBMUJFWSA-N 0 3 319.392 4.299 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cnn(C)c2C)cc2ccccc21 ZINC001333556028 1054158098 /nfs/dbraw/zinc/15/80/98/1054158098.db2.gz UKRXSXGWYZVXCT-ZIAGYGMSSA-N 0 3 323.440 4.302 20 0 DIADHN CCN(Cc1ccc(OC(F)F)nc1)Cc1ccc(C)c(C)c1 ZINC001232957246 1054159144 /nfs/dbraw/zinc/15/91/44/1054159144.db2.gz XSBFRFJDPQVCNF-UHFFFAOYSA-N 0 3 320.383 4.322 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2cccc(F)c2OC(F)F)C1 ZINC001333581207 1054159615 /nfs/dbraw/zinc/15/96/15/1054159615.db2.gz CEGQYZQYBYTVRA-VXGBXAGGSA-N 0 3 319.392 4.143 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232985920 1054166073 /nfs/dbraw/zinc/16/60/73/1054166073.db2.gz CINFORDGCSDCOW-FQEVSTJZSA-N 0 3 305.421 4.269 20 0 DIADHN Fc1cccc([C@@H](NCCCC(F)(F)F)c2ccccn2)c1 ZINC001333650143 1054166622 /nfs/dbraw/zinc/16/66/22/1054166622.db2.gz GUDGVUROUUPPPU-OAHLLOKOSA-N 0 3 312.310 4.242 20 0 DIADHN CCC[N@H+](Cc1cc([O-])cc(Br)c1)[C@@H](C)CC ZINC001232995194 1054167764 /nfs/dbraw/zinc/16/77/64/1054167764.db2.gz BDMFTHLAXFZLSU-NSHDSACASA-N 0 3 300.240 4.165 20 0 DIADHN CCC[N@@H+](Cc1cc([O-])cc(Br)c1)[C@@H](C)CC ZINC001232995194 1054167776 /nfs/dbraw/zinc/16/77/76/1054167776.db2.gz BDMFTHLAXFZLSU-NSHDSACASA-N 0 3 300.240 4.165 20 0 DIADHN FC(F)(F)Oc1ccc(CN2C[C@H]3CC[C@@H]2C3)c(Cl)c1 ZINC001232992908 1054168025 /nfs/dbraw/zinc/16/80/25/1054168025.db2.gz DMFQAOVYZBJSAK-GXSJLCMTSA-N 0 3 305.727 4.223 20 0 DIADHN Cc1ncc(F)cc1CN1CCC[C@@H](Cc2ccc(F)cc2)C1 ZINC001232990971 1054168575 /nfs/dbraw/zinc/16/85/75/1054168575.db2.gz SNVNJOBGMUCILA-INIZCTEOSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)cn1 ZINC001233009216 1054170665 /nfs/dbraw/zinc/17/06/65/1054170665.db2.gz NLKRKFKDFDSQRC-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](Sc3ccc(F)cc3)C2)cn1 ZINC001233011876 1054171666 /nfs/dbraw/zinc/17/16/66/1054171666.db2.gz JLGLZPWVAYWXEP-SFHVURJKSA-N 0 3 316.445 4.204 20 0 DIADHN [O-]c1cnc(Cl)cc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001233038866 1054172921 /nfs/dbraw/zinc/17/29/21/1054172921.db2.gz HZSNVZAUACDCBD-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@@H+]1CC[C@H](c2cccc(Cl)c2)C1 ZINC001233038866 1054172931 /nfs/dbraw/zinc/17/29/31/1054172931.db2.gz HZSNVZAUACDCBD-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@H+]1CC[C@H](c2cccc(Cl)c2)C1 ZINC001233038866 1054172938 /nfs/dbraw/zinc/17/29/38/1054172938.db2.gz HZSNVZAUACDCBD-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)c1cnn(CC2CCC2)c1 ZINC001333841110 1054174029 /nfs/dbraw/zinc/17/40/29/1054174029.db2.gz KKZFJRSSAQXKAY-CQSZACIVSA-N 0 3 309.413 4.280 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cncc(Cl)c1Cl)CC2 ZINC001238010972 1054180350 /nfs/dbraw/zinc/18/03/50/1054180350.db2.gz GEMSOBBEOOZIAN-GFCCVEGCSA-N 0 3 317.235 4.493 20 0 DIADHN CN(Cc1[nH]nc2cc(F)ccc21)Cc1cccc2ccccc21 ZINC001233125428 1054187602 /nfs/dbraw/zinc/18/76/02/1054187602.db2.gz WHRRWSMLBRKAAD-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1n[nH]c2cc(F)ccc21 ZINC001233127240 1054188105 /nfs/dbraw/zinc/18/81/05/1054188105.db2.gz UOOGCXDLOULBBI-LJQANCHMSA-N 0 3 309.388 4.211 20 0 DIADHN Fc1ccc2c(c1)n[nH]c2CN1CCCC[C@@H]1c1ccccc1 ZINC001233119709 1054189630 /nfs/dbraw/zinc/18/96/30/1054189630.db2.gz OHXKBFDNTQXKEF-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN Cc1cc(CN2CC[C@H](Sc3ccc(F)cc3)C2)cnc1C ZINC001233163205 1054193701 /nfs/dbraw/zinc/19/37/01/1054193701.db2.gz UGAJBYXIHIIGBL-SFHVURJKSA-N 0 3 316.445 4.204 20 0 DIADHN CC[C@H](C(=O)N[C@H](CC(C)(C)C)c1ccc(Cl)cc1)N(C)C ZINC000622389772 1054201067 /nfs/dbraw/zinc/20/10/67/1054201067.db2.gz ZUJPNZTZFXWPKL-HZPDHXFCSA-N 0 3 324.896 4.274 20 0 DIADHN C[C@@H](NC1CC2(C1)CO[C@H](C)C2)c1nc(C(C)(C)C)cs1 ZINC001335209782 1054209756 /nfs/dbraw/zinc/20/97/56/1054209756.db2.gz DPZRAGNXFFOONQ-SDQNGVQFSA-N 0 3 308.491 4.049 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(-c2cnco2)cc1 ZINC001335343948 1054213807 /nfs/dbraw/zinc/21/38/07/1054213807.db2.gz ZTEQFLZBNKRGPU-GOSISDBHSA-N 0 3 320.392 4.127 20 0 DIADHN Cc1ccc(Cl)cc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001233251384 1054216467 /nfs/dbraw/zinc/21/64/67/1054216467.db2.gz YQQRTBZHUHHKCE-UHFFFAOYSA-N 0 3 305.849 4.374 20 0 DIADHN CC(=O)C1CCN(Cc2ccc(Cc3ccccc3)cc2)CC1 ZINC001233331169 1054233838 /nfs/dbraw/zinc/23/38/38/1054233838.db2.gz KMEHINKDJSDITO-UHFFFAOYSA-N 0 3 307.437 4.078 20 0 DIADHN CC[C@@H](C(=O)N1CCCC[C@@H]1c1ccc(C(C)C)cc1)N(C)C ZINC000622472051 1054241683 /nfs/dbraw/zinc/24/16/83/1054241683.db2.gz QMWYNGHBDIPSEY-RBUKOAKNSA-N 0 3 316.489 4.204 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2cc3cccnc3s2)C1 ZINC001233345634 1054241956 /nfs/dbraw/zinc/24/19/56/1054241956.db2.gz BCUDHIZUYLKHPR-CYBMUJFWSA-N 0 3 318.339 4.020 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1cc2cccnc2s1 ZINC001233348960 1054242497 /nfs/dbraw/zinc/24/24/97/1054242497.db2.gz XNXNOODWXGKVPR-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC[C@](C)(CO)CC1 ZINC001233350828 1054244795 /nfs/dbraw/zinc/24/47/95/1054244795.db2.gz VPGRGDGEHYFOBY-INIZCTEOSA-N 0 3 313.894 4.046 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC2(CCOC2)CC1 ZINC001233350822 1054246513 /nfs/dbraw/zinc/24/65/13/1054246513.db2.gz VIYAFMKWQCLFSP-UHFFFAOYSA-N 0 3 311.878 4.064 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(Nc3ccccc3)CC2)cc1 ZINC001337009522 1054254659 /nfs/dbraw/zinc/25/46/59/1054254659.db2.gz CJJRSKLIBDHWSK-SNAWJCMRSA-N 0 3 310.416 4.415 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC001337160932 1054258075 /nfs/dbraw/zinc/25/80/75/1054258075.db2.gz XPICRXIMXFCNRD-LIRRHRJNSA-N 0 3 317.404 4.181 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3c(c2)CCC3)cn1 ZINC001337380934 1054267163 /nfs/dbraw/zinc/26/71/63/1054267163.db2.gz MJYUDXVQIJYMPJ-UHFFFAOYSA-N 0 3 310.441 4.037 20 0 DIADHN Brc1cc(CN2CC[C@H](C3CCCCC3)C2)c[nH]1 ZINC001233437648 1054275001 /nfs/dbraw/zinc/27/50/01/1054275001.db2.gz IKGKCLQRLDVDSW-AWEZNQCLSA-N 0 3 311.267 4.179 20 0 DIADHN CCN(CC(C)(C)C)C(=O)c1cccc(CN2CCCCC2)c1 ZINC000622610909 1054305551 /nfs/dbraw/zinc/30/55/51/1054305551.db2.gz LKRHWDGTNHVFOD-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN CCOc1ccc(CNCc2cccc(C(F)F)c2)cc1OC ZINC001338516016 1054307317 /nfs/dbraw/zinc/30/73/17/1054307317.db2.gz AEXXCMWRUYJBSW-UHFFFAOYSA-N 0 3 321.367 4.321 20 0 DIADHN CC(C)CCCCC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001356331222 1054320140 /nfs/dbraw/zinc/32/01/40/1054320140.db2.gz RLCDQLWMMWVHEF-HKUYNNGSSA-N 0 3 316.489 4.155 20 0 DIADHN CN(C)C1(C(=O)Nc2ccc(-c3ccccc3)cc2)CCCC1 ZINC001440490272 1054356317 /nfs/dbraw/zinc/35/63/17/1054356317.db2.gz UGKONBDZFVGCNG-UHFFFAOYSA-N 0 3 308.425 4.167 20 0 DIADHN C[C@H](NCCCC(F)F)c1ncc(C(F)(F)F)cc1Cl ZINC001340134985 1054357087 /nfs/dbraw/zinc/35/70/87/1054357087.db2.gz YNNSBPWNWWJHGJ-ZETCQYMHSA-N 0 3 316.701 4.450 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c2ccccn2)c1 ZINC001340117959 1054357416 /nfs/dbraw/zinc/35/74/16/1054357416.db2.gz NLGCBRXAKRWHSD-KYHPRHEASA-N 0 3 314.379 4.113 20 0 DIADHN O=c1[nH]cc(CN2CC=C(c3ccccc3)CC2)c2ccccc21 ZINC001234007665 1054412445 /nfs/dbraw/zinc/41/24/45/1054412445.db2.gz JLUFVTUWWSXFSA-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H]1CCCc2cnn(C(C)C)c21 ZINC001342637191 1054426787 /nfs/dbraw/zinc/42/67/87/1054426787.db2.gz LDWGWPBRCOGWTC-MOPGFXCFSA-N 0 3 309.457 4.427 20 0 DIADHN CCCCCCN(C)CC(=O)NC[C@@H]1C[C@@H](C)CC[C@H]1C(C)C ZINC001442621376 1054427834 /nfs/dbraw/zinc/42/78/34/1054427834.db2.gz RKPMDXUBRWJTTO-FHWLQOOXSA-N 0 3 324.553 4.323 20 0 DIADHN CCCCC[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001443160016 1054441107 /nfs/dbraw/zinc/44/11/07/1054441107.db2.gz JRMXKBUQBRJLPL-ZIFCJYIRSA-N 0 3 316.489 4.297 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1cc2c(s1)CCCC2 ZINC000336852947 1054451763 /nfs/dbraw/zinc/45/17/63/1054451763.db2.gz BDYODQQZBHJWBV-DOMZBBRYSA-N 0 3 315.486 4.089 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H]3OCCC[C@@H]3C2)c1 ZINC001343899209 1054454904 /nfs/dbraw/zinc/45/49/04/1054454904.db2.gz XLMDQVYCMBGYOB-CJNGLKHVSA-N 0 3 314.256 4.037 20 0 DIADHN CN(CCCOC(C)(C)C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000671243507 1054459456 /nfs/dbraw/zinc/45/94/56/1054459456.db2.gz BMIKYIOTGKTJBZ-HXUWFJFHSA-N 0 3 317.473 4.162 20 0 DIADHN Cc1cccc(NC[C@@H]2CCCN2Cc2ccccc2Cl)n1 ZINC001344076075 1054462992 /nfs/dbraw/zinc/46/29/92/1054462992.db2.gz RUDGWGCNSLCSLQ-INIZCTEOSA-N 0 3 315.848 4.120 20 0 DIADHN C[C@H](c1ccccc1Br)N1CC[C@@]2(C1)CCCOC2 ZINC000671254634 1054463615 /nfs/dbraw/zinc/46/36/15/1054463615.db2.gz FQLWHQVGBIEILA-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN Clc1cccc(CCN2CC[C@]3(C2)CCCOC3)c1Cl ZINC000671255068 1054463957 /nfs/dbraw/zinc/46/39/57/1054463957.db2.gz YYBFRLVSFCXTEP-INIZCTEOSA-N 0 3 314.256 4.038 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N1CC[C@]2(C1)CCCOC2 ZINC000671253611 1054464352 /nfs/dbraw/zinc/46/43/52/1054464352.db2.gz LHJIUZVPYDOAIZ-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN CC[C@H]1CCCC[C@@H]1Oc1c(F)cc(CN(C)C)cc1OC ZINC001234245420 1054471460 /nfs/dbraw/zinc/47/14/60/1054471460.db2.gz YJQFTIUZDCKBKP-HOCLYGCPSA-N 0 3 309.425 4.244 20 0 DIADHN CC(C)[C@H](CC(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001344591181 1054476084 /nfs/dbraw/zinc/47/60/84/1054476084.db2.gz UGOAPDLAGBZFEA-KRWDZBQOSA-N 0 3 308.425 4.058 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@H](C)N2CCCCCC2)c1 ZINC001444861220 1054490222 /nfs/dbraw/zinc/49/02/22/1054490222.db2.gz IOTOYGGBDMBRNK-HNNXBMFYSA-N 0 3 302.462 4.014 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)c1 ZINC001444868775 1054490884 /nfs/dbraw/zinc/49/08/84/1054490884.db2.gz SXJCXOOBNVAOJE-KFWWJZLASA-N 0 3 302.462 4.011 20 0 DIADHN CC(=O)Oc1ccc(CN2Cc3ccccc3C3(CCC3)C2)cc1 ZINC001345390418 1054493800 /nfs/dbraw/zinc/49/38/00/1054493800.db2.gz KIQWNKJMKRFFCK-UHFFFAOYSA-N 0 3 321.420 4.049 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(OCC(F)(F)F)nc2)c1 ZINC000173915819 1054497883 /nfs/dbraw/zinc/49/78/83/1054497883.db2.gz HJOZMXKYQBFCDB-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cccc(OCC(F)F)c2)c1 ZINC000174039698 1054500084 /nfs/dbraw/zinc/50/00/84/1054500084.db2.gz LSMBKSOFUCXCMN-CQSZACIVSA-N 0 3 305.368 4.490 20 0 DIADHN Cc1cccc([C@H](C)NCc2cccc(OCC(F)F)c2)c1 ZINC000174039713 1054500175 /nfs/dbraw/zinc/50/01/75/1054500175.db2.gz LSMBKSOFUCXCMN-AWEZNQCLSA-N 0 3 305.368 4.490 20 0 DIADHN CCc1nocc1CN1CCC[C@H](CCCc2ccccc2)C1 ZINC001473291508 1054504753 /nfs/dbraw/zinc/50/47/53/1054504753.db2.gz NGYMXIOVXNRLJH-SFHVURJKSA-N 0 3 312.457 4.472 20 0 DIADHN COc1ccc(C2(NCc3scnc3C)CCCC2)cc1 ZINC000174400408 1054508731 /nfs/dbraw/zinc/50/87/31/1054508731.db2.gz MIUGREPLRAJWRF-UHFFFAOYSA-N 0 3 302.443 4.019 20 0 DIADHN FC(F)Oc1ccc(Cl)cc1CN1CCC2(CC2)CC1 ZINC001234413775 1054518077 /nfs/dbraw/zinc/51/80/77/1054518077.db2.gz QIBKNPFMLKCKOG-UHFFFAOYSA-N 0 3 301.764 4.317 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(-n2cccn2)cc1 ZINC000175017810 1054518223 /nfs/dbraw/zinc/51/82/23/1054518223.db2.gz GQFWTHIVROYFGW-XOBRGWDASA-N 0 3 321.399 4.350 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc(OCC(F)F)c1)CC2 ZINC000175006216 1054518792 /nfs/dbraw/zinc/51/87/92/1054518792.db2.gz PSGIMKXBVCIYQD-KRWDZBQOSA-N 0 3 321.342 4.247 20 0 DIADHN COc1ncc([C@@H](C)N[C@H](C)c2ccc(C)cc2)cc1Cl ZINC000671294832 1054525882 /nfs/dbraw/zinc/52/58/82/1054525882.db2.gz RZOSMHRMOKOPHY-CHWSQXEVSA-N 0 3 304.821 4.464 20 0 DIADHN C[C@@H](NCc1ccsc1)c1cccc(OC(F)(F)F)c1 ZINC000175754109 1054532641 /nfs/dbraw/zinc/53/26/41/1054532641.db2.gz JJBBPYXRFMUJPR-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN CC1(C)[C@@H](NCc2ccc(Cl)cn2)C[C@@H]1Oc1ccccc1 ZINC000671298548 1054533455 /nfs/dbraw/zinc/53/34/55/1054533455.db2.gz JEKYXZLFUNMYJG-IRXDYDNUSA-N 0 3 316.832 4.071 20 0 DIADHN COc1cc(C)c(CN2CC[C@@H]2C)cc1OCc1ccccc1 ZINC001234487155 1054533490 /nfs/dbraw/zinc/53/34/90/1054533490.db2.gz SALUAGADICBQFH-INIZCTEOSA-N 0 3 311.425 4.177 20 0 DIADHN O=C(Nc1ccc(C2CC2)cc1)[C@H]1CCCCN1C1CCCC1 ZINC001446982591 1054550084 /nfs/dbraw/zinc/55/00/84/1054550084.db2.gz CYJZMISOSWKJQL-LJQANCHMSA-N 0 3 312.457 4.300 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(O)(c2ccccc2)CC1 ZINC000185502386 1054554963 /nfs/dbraw/zinc/55/49/63/1054554963.db2.gz QPJNNESGXJOVNE-OAHLLOKOSA-N 0 3 315.844 4.385 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC2(CCOCC2)CC1 ZINC000671313106 1054556155 /nfs/dbraw/zinc/55/61/55/1054556155.db2.gz XZCOXOBXFCOEGW-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccccc2Br)C1 ZINC000379962492 1054565810 /nfs/dbraw/zinc/56/58/10/1054565810.db2.gz KZLJYKNLSHUKMB-LBPRGKRZSA-N 0 3 314.292 4.024 20 0 DIADHN c1coc([C@@H]2N(Cc3cccc4ccoc43)CC23CCOCC3)c1 ZINC001347471800 1054569943 /nfs/dbraw/zinc/56/99/43/1054569943.db2.gz HLOLEAQTJUVAGM-IBGZPJMESA-N 0 3 323.392 4.380 20 0 DIADHN C[C@@H](NCc1ccsc1)[C@H](O)c1c(Cl)cccc1Cl ZINC000072925592 1054575353 /nfs/dbraw/zinc/57/53/53/1054575353.db2.gz LROBXQNUBJEPAL-OTYXRUKQSA-N 0 3 316.253 4.267 20 0 DIADHN CCN(Cc1cnc(NC(=O)OC(C)(C)C)s1)C(C)(C)C ZINC001347792137 1054584907 /nfs/dbraw/zinc/58/49/07/1054584907.db2.gz NZBZRIUYNPTTJH-UHFFFAOYSA-N 0 3 313.467 4.111 20 0 DIADHN COc1ccc(CN2CCC[C@@](C)(F)CC2)c(OC(C)C)c1 ZINC001234689421 1054576811 /nfs/dbraw/zinc/57/68/11/1054576811.db2.gz STINNNRWFBDTJV-GOSISDBHSA-N 0 3 309.425 4.197 20 0 DIADHN COc1ccc(CN2CCC[C@@H]3CCC[C@@H]32)c(OC(C)C)c1 ZINC001234720686 1054583086 /nfs/dbraw/zinc/58/30/86/1054583086.db2.gz PMILOURYMZIULU-YJBOKZPZSA-N 0 3 303.446 4.247 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](C)c1ccc(SC)cc1 ZINC001448188525 1054591968 /nfs/dbraw/zinc/59/19/68/1054591968.db2.gz WDBWMEAQBJMUSS-OAHLLOKOSA-N 0 3 322.518 4.098 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccs2)cc1OC1CC1 ZINC000623422914 1054593407 /nfs/dbraw/zinc/59/34/07/1054593407.db2.gz LSRONMGYYGHZJJ-UHFFFAOYSA-N 0 3 317.454 4.323 20 0 DIADHN Cc1cnc(C2CCN(CCOc3ccc(C)cc3)CC2)s1 ZINC000763953457 1054601329 /nfs/dbraw/zinc/60/13/29/1054601329.db2.gz SOCNXCXBGVIZSW-UHFFFAOYSA-N 0 3 316.470 4.018 20 0 DIADHN CCOC(OCC)c1ccc(CN2CCCC[C@](C)(F)C2)cc1 ZINC001234869625 1054608778 /nfs/dbraw/zinc/60/87/78/1054608778.db2.gz PBBLLULBMNEPDV-IBGZPJMESA-N 0 3 323.452 4.472 20 0 DIADHN F[C@]1(c2ccccc2)CCN(Cc2ccc3cnccc3c2)C1 ZINC000670272177 1054620253 /nfs/dbraw/zinc/62/02/53/1054620253.db2.gz SYYSKDPUDISPMM-HXUWFJFHSA-N 0 3 306.384 4.306 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)[C@H](CC)CC1CCCC1 ZINC001449357389 1054621473 /nfs/dbraw/zinc/62/14/73/1054621473.db2.gz SEHDXIJOVIKOIJ-LJQANCHMSA-N 0 3 322.537 4.317 20 0 DIADHN C[C@H](NCCN1C[C@H](C)C[C@@H](C)C1)c1nc(C(C)(C)C)cs1 ZINC001473356033 1054625875 /nfs/dbraw/zinc/62/58/75/1054625875.db2.gz PTBRBLMXVAKPGI-KFWWJZLASA-N 0 3 323.550 4.069 20 0 DIADHN Cc1cnc(C2CCN(CCOc3ccccc3C)CC2)s1 ZINC000763963712 1054631279 /nfs/dbraw/zinc/63/12/79/1054631279.db2.gz RDEZOWJMLFUPMW-UHFFFAOYSA-N 0 3 316.470 4.018 20 0 DIADHN Clc1ccc([C@@H](N[C@H]2CCCOC2)c2cccs2)cc1 ZINC000177898232 1054636338 /nfs/dbraw/zinc/63/63/38/1054636338.db2.gz IREQIOTWQVQGJT-GOEBONIOSA-N 0 3 307.846 4.260 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cnn(Cc3ccccc3)c2)c1 ZINC000177948324 1054639417 /nfs/dbraw/zinc/63/94/17/1054639417.db2.gz LYIOCACCJREGPX-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2ncc(C(C)C)s2)nc1 ZINC000623648590 1054639698 /nfs/dbraw/zinc/63/96/98/1054639698.db2.gz QFMYRZDZGYLDLY-UHFFFAOYSA-N 0 3 303.475 4.037 20 0 DIADHN C[C@H](NC(=O)C(C)(C)N1CCCCC1)c1cccc(C2CC2)c1 ZINC001450253523 1054641500 /nfs/dbraw/zinc/64/15/00/1054641500.db2.gz YNFPBVCHKBNNKN-HNNXBMFYSA-N 0 3 314.473 4.006 20 0 DIADHN C/C(=C\C1CCC(C)CC1)C(=O)Nc1ccccc1CN(C)C ZINC001450221705 1054642081 /nfs/dbraw/zinc/64/20/81/1054642081.db2.gz ZTXHXIFYEWJRBO-DTQAZKPQSA-N 0 3 314.473 4.459 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]c(-c3ccccc3F)c2)c1 ZINC001235037589 1054643980 /nfs/dbraw/zinc/64/39/80/1054643980.db2.gz FRYHNOJBKBXRLV-UHFFFAOYSA-N 0 3 324.399 4.461 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c(-c3ccccc3F)c2)cc1 ZINC001235033188 1054644758 /nfs/dbraw/zinc/64/47/58/1054644758.db2.gz SPHSCHIWMFIALA-UHFFFAOYSA-N 0 3 324.399 4.461 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000178459208 1054650939 /nfs/dbraw/zinc/65/09/39/1054650939.db2.gz GKEZOFPSBYSYNK-MGPUTAFESA-N 0 3 306.409 4.273 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)c2cn(C)nc2C)cc1 ZINC000178621507 1054654366 /nfs/dbraw/zinc/65/43/66/1054654366.db2.gz KRSWJTWWPJKYKV-STQMWFEESA-N 0 3 303.475 4.252 20 0 DIADHN CCn1nc(CNCC(C)(C)C(F)(F)CC)c2ccccc21 ZINC000623698221 1054657549 /nfs/dbraw/zinc/65/75/49/1054657549.db2.gz TVFHXNKZCKLLJH-UHFFFAOYSA-N 0 3 309.404 4.217 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001450694442 1054658837 /nfs/dbraw/zinc/65/88/37/1054658837.db2.gz AXSZKPCIIDZDNK-CRAIPNDOSA-N 0 3 322.468 4.151 20 0 DIADHN FC1(F)CCCN(Cc2ccc(Oc3cccnc3)cc2)CC1 ZINC001235113149 1054659499 /nfs/dbraw/zinc/65/94/99/1054659499.db2.gz VEJWCALIRMHRHP-UHFFFAOYSA-N 0 3 318.367 4.495 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnccc2N(C)C)c2ccccc21 ZINC000623706961 1054661901 /nfs/dbraw/zinc/66/19/01/1054661901.db2.gz UFCAAPBUUHNLGD-OALUTQOASA-N 0 3 309.457 4.122 20 0 DIADHN C[C@H]1Cc2cc(-c3ccc(CNCc4cc[nH]c4)cc3)ccc2O1 ZINC000179322993 1054676535 /nfs/dbraw/zinc/67/65/35/1054676535.db2.gz UEOBIEJEOMUGBM-HNNXBMFYSA-N 0 3 318.420 4.295 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1 ZINC000179403920 1054678894 /nfs/dbraw/zinc/67/88/94/1054678894.db2.gz OSDXVYKPSYJNDK-CQSZACIVSA-N 0 3 300.471 4.325 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1 ZINC000179403905 1054679102 /nfs/dbraw/zinc/67/91/02/1054679102.db2.gz OSDXVYKPSYJNDK-AWEZNQCLSA-N 0 3 300.471 4.325 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc3cccc(F)c3[nH]2)C1 ZINC001235233685 1054687226 /nfs/dbraw/zinc/68/72/26/1054687226.db2.gz GVEKEBMPKWBVCV-HNNXBMFYSA-N 0 3 324.399 4.305 20 0 DIADHN Fc1cccc2cc(CN3CC[C@H](c4ccccc4F)C3)[nH]c21 ZINC001235246985 1054689609 /nfs/dbraw/zinc/68/96/09/1054689609.db2.gz OTODRVKEECDPHD-AWEZNQCLSA-N 0 3 312.363 4.436 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3cc4cccc(F)c4[nH]3)C2)cc1 ZINC001235248733 1054692227 /nfs/dbraw/zinc/69/22/27/1054692227.db2.gz JIEZRJPVAIHHIC-OAHLLOKOSA-N 0 3 312.363 4.436 20 0 DIADHN Cc1cnc(F)c(CN2CCC3(CCc4ccccc43)CC2)c1 ZINC001235328031 1054699773 /nfs/dbraw/zinc/69/97/73/1054699773.db2.gz IEFADBKVVOSYOZ-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN CCN1CCN(c2ccccc2N[C@H](C)c2ccsc2)CC1 ZINC000179776460 1054701302 /nfs/dbraw/zinc/70/13/02/1054701302.db2.gz MEVXRJNATSTWSD-OAHLLOKOSA-N 0 3 315.486 4.063 20 0 DIADHN CCC(CC)[C@@H](NCc1cccc(C(=O)NC)c1)c1ccccc1 ZINC000179792449 1054703242 /nfs/dbraw/zinc/70/32/42/1054703242.db2.gz UIPOPQNJSDXLDW-HXUWFJFHSA-N 0 3 324.468 4.313 20 0 DIADHN CCOc1cc(O)cc(CN2CCC[C@@H]2c2ccccc2C)c1 ZINC001235391507 1054711272 /nfs/dbraw/zinc/71/12/72/1054711272.db2.gz DSELMHLJNPMMBD-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN CN(Cc1cccc(Br)c1Cl)C1CCCC1 ZINC001235602617 1054751691 /nfs/dbraw/zinc/75/16/91/1054751691.db2.gz AEPGIBNGCHWEBW-UHFFFAOYSA-N 0 3 302.643 4.477 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001235433329 1054719954 /nfs/dbraw/zinc/71/99/54/1054719954.db2.gz PFZOHZJWMKJBLJ-KRWDZBQOSA-N 0 3 320.452 4.281 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2N[C@H]1CCCOc2cc(F)ccc21 ZINC000179968059 1054721845 /nfs/dbraw/zinc/72/18/45/1054721845.db2.gz WDIYLENCYJLCLE-ZWKOTPCHSA-N 0 3 315.363 4.456 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3nc4c(o3)CCCC4)C2)cc1 ZINC001473433589 1054722746 /nfs/dbraw/zinc/72/27/46/1054722746.db2.gz WQLGESBELHNUFZ-DOTOQJQBSA-N 0 3 310.441 4.240 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CO[C@H](C3CC3)C2)o1 ZINC000623752995 1054724587 /nfs/dbraw/zinc/72/45/87/1054724587.db2.gz PHWYOLBWYOWIEB-KXBFYZLASA-N 0 3 315.388 4.051 20 0 DIADHN Brc1cccc([C@H]2CCN([C@H]3C=CCCC3)C2)c1 ZINC001473486154 1054728706 /nfs/dbraw/zinc/72/87/06/1054728706.db2.gz QKFXYLFSPIGOJD-HOCLYGCPSA-N 0 3 306.247 4.347 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]c3cnc(Cl)cc13)CC2 ZINC001235517161 1054734394 /nfs/dbraw/zinc/73/43/94/1054734394.db2.gz UPENDMYKXMQJNI-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1ncccc1CN1CCC(Cc2cccc(F)c2F)CC1 ZINC001473544188 1054737782 /nfs/dbraw/zinc/73/77/82/1054737782.db2.gz NRRAHQHVOJLMRY-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@H](NCc1ncccc1Br)[C@H]1CCC[C@H](C)C1 ZINC001473553451 1054742594 /nfs/dbraw/zinc/74/25/94/1054742594.db2.gz INRZSOWKGGLASO-AVGNSLFASA-N 0 3 311.267 4.149 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H](Cc3ccccc3)C2)c1Cl ZINC001235578746 1054743960 /nfs/dbraw/zinc/74/39/60/1054743960.db2.gz DLNFBLLKMRHQKD-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3nccc(C)c3Cl)C2)cc1 ZINC001235587299 1054748700 /nfs/dbraw/zinc/74/87/00/1054748700.db2.gz AVMIAHVNYUSVPV-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC[C@H]1CC1CCC1 ZINC001453884722 1054750321 /nfs/dbraw/zinc/75/03/21/1054750321.db2.gz PMGRUJWWRDKEAV-SFHVURJKSA-N 0 3 308.510 4.070 20 0 DIADHN C[C@H]1CN(Cc2ccccn2)CC[C@@H]1Nc1cccc(Cl)c1 ZINC000180371982 1054752315 /nfs/dbraw/zinc/75/23/15/1054752315.db2.gz MSSSFVGTPXHTFE-KSSFIOAISA-N 0 3 315.848 4.058 20 0 DIADHN CCOC(=O)CCN(C)Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235602942 1054752805 /nfs/dbraw/zinc/75/28/05/1054752805.db2.gz PRCJTAJUPQFSPJ-UHFFFAOYSA-N 0 3 324.635 4.032 20 0 DIADHN CN(Cc1cc(Cl)c(Cl)c(Cl)c1)[C@H]1CCCOC1 ZINC001235599968 1054755152 /nfs/dbraw/zinc/75/51/52/1054755152.db2.gz ZSPPQKDCGMMADC-JTQLQIEISA-N 0 3 308.636 4.258 20 0 DIADHN c1cc2c(s1)CCN(Cc1ccccc1-c1ccncc1)C2 ZINC001235614595 1054755661 /nfs/dbraw/zinc/75/56/61/1054755661.db2.gz FUKYLENAUPMQAL-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H](C2CCCCC2)C1 ZINC001454038287 1054755782 /nfs/dbraw/zinc/75/57/82/1054755782.db2.gz NZBFDKSCRGWHFF-LJQANCHMSA-N 0 3 322.537 4.317 20 0 DIADHN CCN(Cc1c(C)cncc1Br)C1CCCCC1 ZINC001235634718 1054759817 /nfs/dbraw/zinc/75/98/17/1054759817.db2.gz GQKXYDLJTPYFRO-UHFFFAOYSA-N 0 3 311.267 4.307 20 0 DIADHN CC(C)(CNCc1cc2n(n1)CCC2)c1ccc2ccccc2c1 ZINC000623784858 1054761353 /nfs/dbraw/zinc/76/13/53/1054761353.db2.gz NATHLYMVBOBYLT-UHFFFAOYSA-N 0 3 319.452 4.050 20 0 DIADHN CN(Cc1cccn1C(=O)OC(C)(C)C)CC1CCCCC1 ZINC001235642749 1054761523 /nfs/dbraw/zinc/76/15/23/1054761523.db2.gz OCMRHWDRVUESJK-UHFFFAOYSA-N 0 3 306.450 4.283 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1ccc2c(c1)NCCC2 ZINC001235663084 1054765759 /nfs/dbraw/zinc/76/57/59/1054765759.db2.gz ICBRVJXHZHDORW-UHFFFAOYSA-N 0 3 300.833 4.330 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNC[C@@H]2CCCCO2)c1 ZINC001473968074 1054773281 /nfs/dbraw/zinc/77/32/81/1054773281.db2.gz ITYLQRQGTVOOPL-LBPRGKRZSA-N 0 3 307.743 4.018 20 0 DIADHN Fc1ccc2nc(CN3CCC(Cc4ccccc4)CC3)[nH]c2c1 ZINC001235682004 1054775901 /nfs/dbraw/zinc/77/59/01/1054775901.db2.gz UEBCANUBSKNZOY-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN O=C(c1cccs1)C1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC001349636882 1054779451 /nfs/dbraw/zinc/77/94/51/1054779451.db2.gz PLUQDFRCMNUKPZ-LBPRGKRZSA-N 0 3 313.413 4.078 20 0 DIADHN CCOc1cccc(CNCc2ccccc2CC(F)(F)F)c1 ZINC000623820351 1054780143 /nfs/dbraw/zinc/78/01/43/1054780143.db2.gz UVEDRMYGLCQSIZ-UHFFFAOYSA-N 0 3 323.358 4.480 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(SC)cc2)C2CC2)cn1 ZINC000623844940 1054784326 /nfs/dbraw/zinc/78/43/26/1054784326.db2.gz MEDXAGKSTOWOTF-SFHVURJKSA-N 0 3 314.454 4.053 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc(N)c(F)c2)c1 ZINC001235725997 1054788400 /nfs/dbraw/zinc/78/84/00/1054788400.db2.gz LHQYPLNUWZPGDW-LJQANCHMSA-N 0 3 314.404 4.144 20 0 DIADHN COc1ccc([C@H](NCc2ccc(SC)s2)C2CC2)cn1 ZINC000623845561 1054788557 /nfs/dbraw/zinc/78/85/57/1054788557.db2.gz MDCVXYXMHTYION-MRXNPFEDSA-N 0 3 320.483 4.115 20 0 DIADHN COc1ccc2cc(CNCc3cccn3C3CC3)ccc2c1 ZINC001349761423 1054791270 /nfs/dbraw/zinc/79/12/70/1054791270.db2.gz FPNCBVQZNXQOEP-UHFFFAOYSA-N 0 3 306.409 4.275 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1nc(C)cs1)c1ccsc1 ZINC000623862071 1054792450 /nfs/dbraw/zinc/79/24/50/1054792450.db2.gz LHJOBLPSUZCAKQ-HIFRSBDPSA-N 0 3 323.531 4.247 20 0 DIADHN CC[C@H](NCc1ccc(Br)nc1)c1ccccc1 ZINC001474182164 1054793065 /nfs/dbraw/zinc/79/30/65/1054793065.db2.gz BOQDSKRSXRJVLP-AWEZNQCLSA-N 0 3 305.219 4.085 20 0 DIADHN Cc1csc([C@@H](C)NCCCO[C@@H](C)c2ccccc2)n1 ZINC000623854322 1054796498 /nfs/dbraw/zinc/79/64/98/1054796498.db2.gz ZAOACBMRYHJISF-CABCVRRESA-N 0 3 304.459 4.270 20 0 DIADHN CCN(CCCN[C@H](C)c1nc(C)cs1)c1ccccc1 ZINC000623854297 1054796811 /nfs/dbraw/zinc/79/68/11/1054796811.db2.gz YHGLEQZXYBYANZ-OAHLLOKOSA-N 0 3 303.475 4.019 20 0 DIADHN CCC[C@@H](NCc1ccccc1CO)c1cc(C)sc1C ZINC000623872870 1054797343 /nfs/dbraw/zinc/79/73/43/1054797343.db2.gz RERARNWJTOZNGI-GOSISDBHSA-N 0 3 303.471 4.488 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@@H]1CCCc2scnc21 ZINC000623879843 1054798490 /nfs/dbraw/zinc/79/84/90/1054798490.db2.gz PRDBRKZDRZODSL-CQSZACIVSA-N 0 3 316.470 4.097 20 0 DIADHN CC(C)(CN[C@H]1CCCc2scnc21)c1ccccc1F ZINC000623882205 1054802727 /nfs/dbraw/zinc/80/27/27/1054802727.db2.gz NJODGFCSEYQWAA-AWEZNQCLSA-N 0 3 304.434 4.227 20 0 DIADHN Cc1ccc(Br)cc1[C@H](C)NCc1cccc(O)c1 ZINC000623882732 1054802861 /nfs/dbraw/zinc/80/28/61/1054802861.db2.gz GRTVSMTVLYRWBI-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN Cc1cc(Br)cc(C)c1CN1CCC(CF)CC1 ZINC001235789831 1054807606 /nfs/dbraw/zinc/80/76/06/1054807606.db2.gz PKWRMDMPUNTYGE-UHFFFAOYSA-N 0 3 314.242 4.247 20 0 DIADHN CCOc1cc(CN2CC[C@@H](c3cccc(F)c3)C2)ccc1F ZINC001235803004 1054809369 /nfs/dbraw/zinc/80/93/69/1054809369.db2.gz HLHRVTXDVLNLJA-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN CC(C)[C@@H](CN[C@@H]1CCCc2scnc21)Nc1ccccc1 ZINC000623889851 1054810333 /nfs/dbraw/zinc/81/03/33/1054810333.db2.gz XZGAHWJNDJIRFV-HZPDHXFCSA-N 0 3 315.486 4.247 20 0 DIADHN Cc1cc(CN[C@@H]2CCCC[C@H]2Sc2ccc(F)cc2)on1 ZINC000623888634 1054810891 /nfs/dbraw/zinc/81/08/91/1054810891.db2.gz OATUAVVZKNMVRB-IAGOWNOFSA-N 0 3 320.433 4.315 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccc(F)nc2C)CC1 ZINC001235809026 1054811173 /nfs/dbraw/zinc/81/11/73/1054811173.db2.gz BAJOFIIIODAYGM-UHFFFAOYSA-N 0 3 324.443 4.318 20 0 DIADHN CCO[C@@H](CCN[C@H]1CCCc2scnc21)C1CCCC1 ZINC000623902570 1054813199 /nfs/dbraw/zinc/81/31/99/1054813199.db2.gz LDICZLUJEWSFQA-GJZGRUSLSA-N 0 3 308.491 4.096 20 0 DIADHN COc1ccc(C(C)(C)CN[C@@H](C)c2nc(C)cs2)cc1 ZINC000623910855 1054814732 /nfs/dbraw/zinc/81/47/32/1054814732.db2.gz DIPZMNKDAALEDH-ZDUSSCGKSA-N 0 3 304.459 4.089 20 0 DIADHN Cc1csc([C@@H](C)NCC2(c3ccccc3Cl)CC2)n1 ZINC000623926620 1054817345 /nfs/dbraw/zinc/81/73/45/1054817345.db2.gz LELLYNIRGPFHBP-GFCCVEGCSA-N 0 3 306.862 4.487 20 0 DIADHN CC[C@H](N[C@H](CO)c1cccc(F)c1)c1cc(C)ccc1C ZINC000623915765 1054817409 /nfs/dbraw/zinc/81/74/09/1054817409.db2.gz QZVMBWBVGKIDIJ-RBUKOAKNSA-N 0 3 301.405 4.217 20 0 DIADHN Fc1ccc(CCN[C@H]2CCCc3scnc32)c(Cl)c1 ZINC000623914041 1054818046 /nfs/dbraw/zinc/81/80/46/1054818046.db2.gz JYPPKWODWFCJNY-ZDUSSCGKSA-N 0 3 310.825 4.145 20 0 DIADHN CN(Cc1ccc(N)c(F)c1)Cc1ccccc1C(F)(F)F ZINC001235839403 1054819610 /nfs/dbraw/zinc/81/96/10/1054819610.db2.gz QJVWGPDQEUZDBN-UHFFFAOYSA-N 0 3 312.310 4.059 20 0 DIADHN CCC[C@@H](NCc1cccc(N(C)C)n1)c1cc(C)sc1C ZINC000623925201 1054827276 /nfs/dbraw/zinc/82/72/76/1054827276.db2.gz ZXXKOXMQAFVMRL-QGZVFWFLSA-N 0 3 317.502 4.457 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3ccc(SC)o3)C2)c1 ZINC001474575859 1054828653 /nfs/dbraw/zinc/82/86/53/1054828653.db2.gz FAGZKHUCQSMQFU-SHTZXODSSA-N 0 3 317.454 4.388 20 0 DIADHN CNC(=O)C[C@H](N[C@H](C)c1cccc(C(C)C)c1)c1ccccc1 ZINC000623976573 1054843666 /nfs/dbraw/zinc/84/36/66/1054843666.db2.gz ORJIWWUSBYLZNX-UZLBHIALSA-N 0 3 324.468 4.338 20 0 DIADHN C[C@@H](CCOCc1ccccc1)N[C@H]1CCCc2scnc21 ZINC000623983471 1054846037 /nfs/dbraw/zinc/84/60/37/1054846037.db2.gz BJBUMMGZLVLBGY-HOCLYGCPSA-N 0 3 316.470 4.106 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@H](C)c3nc(C)cs3)C2)c1 ZINC000623996969 1054848885 /nfs/dbraw/zinc/84/88/85/1054848885.db2.gz YFRBLXRNPRXXSF-BPLDGKMQSA-N 0 3 302.443 4.057 20 0 DIADHN COC[C@@H](CN[C@H](C)c1nc(C)cs1)c1ccc(Cl)cc1 ZINC000623993988 1054849156 /nfs/dbraw/zinc/84/91/56/1054849156.db2.gz KNVFOCUHOBQECI-TZMCWYRMSA-N 0 3 324.877 4.186 20 0 DIADHN Fc1ccc([C@H]2C[C@H](N[C@@H]3CCCc4scnc43)C2)cc1 ZINC000623989007 1054852982 /nfs/dbraw/zinc/85/29/82/1054852982.db2.gz DUNZMZLVUQDGLJ-BPLDGKMQSA-N 0 3 302.418 4.195 20 0 DIADHN Cc1cccc([C@@H](NCc2cccnc2N2CCCC2)C(C)C)c1 ZINC000624009782 1054859654 /nfs/dbraw/zinc/85/96/54/1054859654.db2.gz BMPJSYAHQMSDRX-FQEVSTJZSA-N 0 3 323.484 4.477 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2NCc1ccc(-c2ccccc2)s1 ZINC000624035145 1054862203 /nfs/dbraw/zinc/86/22/03/1054862203.db2.gz MCJMWYQXXAYOSU-INIZCTEOSA-N 0 3 309.438 4.236 20 0 DIADHN OCCCN(Cc1cccc(Cl)c1)Cc1cccc(Cl)c1 ZINC001235949960 1054867344 /nfs/dbraw/zinc/86/73/44/1054867344.db2.gz KZRWZWJJYJPBKJ-UHFFFAOYSA-N 0 3 324.251 4.378 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000624061803 1054868584 /nfs/dbraw/zinc/86/85/84/1054868584.db2.gz KMHQHJPCMQBEQP-BBRMVZONSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](c1cccnc1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000624062093 1054869016 /nfs/dbraw/zinc/86/90/16/1054869016.db2.gz UBIRGIYWOHPQSS-CJNGLKHVSA-N 0 3 302.368 4.300 20 0 DIADHN Nc1ccc(F)c(CN2CCC[C@@H](c3ccccc3)CC2)c1F ZINC001235959847 1054871588 /nfs/dbraw/zinc/87/15/88/1054871588.db2.gz LQHHHUSNPSYEGP-OAHLLOKOSA-N 0 3 316.395 4.317 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1cccc2c1NCC2 ZINC001235960216 1054872259 /nfs/dbraw/zinc/87/22/59/1054872259.db2.gz QCFYOLWYJSBEGQ-UHFFFAOYSA-N 0 3 300.833 4.330 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@@H](C)c2ccncc2Cl)nc1 ZINC000624112270 1054882120 /nfs/dbraw/zinc/88/21/20/1054882120.db2.gz RNKONGBEZAALLU-ZDUSSCGKSA-N 0 3 303.837 4.067 20 0 DIADHN Cc1nc2[nH]ccc2c(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236002411 1054888652 /nfs/dbraw/zinc/88/86/52/1054888652.db2.gz JHZAGNCFRAHGMY-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN CCCc1ccnc(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236004790 1054891673 /nfs/dbraw/zinc/89/16/73/1054891673.db2.gz PVVSITORVOWCIV-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2nccnc2c1 ZINC001236012144 1054896335 /nfs/dbraw/zinc/89/63/35/1054896335.db2.gz LGLBINRZXQNCBM-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1ccc(Br)cc1[C@H](C)NCc1cccnc1C ZINC000624164336 1054897761 /nfs/dbraw/zinc/89/77/61/1054897761.db2.gz GWAXIBGULBVQDS-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COc1cc(-c2cccc(CN3CCCCC3)c2F)c(F)cn1 ZINC001236014515 1054898375 /nfs/dbraw/zinc/89/83/75/1054898375.db2.gz DUMAPNUPKQGMQJ-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1nccc(-c2cccc(CN3CCCCC3)c2F)c1F ZINC001236018986 1054899799 /nfs/dbraw/zinc/89/97/99/1054899799.db2.gz TVTIFFMJXVZVLB-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCCC3)c2F)c(F)n1 ZINC001236020005 1054901138 /nfs/dbraw/zinc/90/11/38/1054901138.db2.gz GRPOKFGGJVJMFN-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@H](NCc1cn(C)nc1-c1ccccc1)c1cccc(F)c1 ZINC000181030623 1054901157 /nfs/dbraw/zinc/90/11/57/1054901157.db2.gz PTKJEFFFFKUXIX-AWEZNQCLSA-N 0 3 309.388 4.077 20 0 DIADHN Cc1c(F)nccc1-c1cccc(CN2CCCCC2)c1F ZINC001236016020 1054901796 /nfs/dbraw/zinc/90/17/96/1054901796.db2.gz SFBDLWQGQPSCNX-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC(C)C(=O)N1CCCN([C@H](C)c2ccc(C(C)C)cc2)CC1 ZINC000181719511 1054932203 /nfs/dbraw/zinc/93/22/03/1054932203.db2.gz HBAZYXKXCZAXDB-QGZVFWFLSA-N 0 3 316.489 4.061 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000083411411 1054932548 /nfs/dbraw/zinc/93/25/48/1054932548.db2.gz JWKWOAPPPFGPOS-RDTXWAMCSA-N 0 3 323.864 4.061 20 0 DIADHN c1c([C@@H]2CNCCO2)cn2c1cccc2-c1cccc(C2CC2)c1 ZINC001236085622 1054933729 /nfs/dbraw/zinc/93/37/29/1054933729.db2.gz WJTSMOAWFPXAOV-NRFANRHFSA-N 0 3 318.420 4.145 20 0 DIADHN CC(C)Cn1cc(CNC2(c3ccc(F)cc3)CCCC2)cn1 ZINC000181787860 1054939725 /nfs/dbraw/zinc/93/97/25/1054939725.db2.gz ZKNOGKZIIXSDIB-UHFFFAOYSA-N 0 3 315.436 4.237 20 0 DIADHN CNc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)cc1 ZINC001236096216 1054941507 /nfs/dbraw/zinc/94/15/07/1054941507.db2.gz UKYSPXIBJKHYRB-UHFFFAOYSA-N 0 3 322.452 4.088 20 0 DIADHN CN(C)Cc1cncc(-c2cc(C(F)(F)F)ccc2Cl)c1 ZINC001236099902 1054944005 /nfs/dbraw/zinc/94/40/05/1054944005.db2.gz UFEJYNMWIFFLAD-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CCCCCOc1ccc(CN2CCc3cc(N)ccc3C2)cc1 ZINC001236105584 1054949033 /nfs/dbraw/zinc/94/90/33/1054949033.db2.gz QBPTUUWMOZYOLV-UHFFFAOYSA-N 0 3 324.468 4.396 20 0 DIADHN O=C(CCN1CCC[C@H]1c1cccs1)c1ccc(F)cc1 ZINC000181940364 1054949146 /nfs/dbraw/zinc/94/91/46/1054949146.db2.gz KQOGLPWWQQOCGR-HNNXBMFYSA-N 0 3 303.402 4.297 20 0 DIADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000624222885 1054975626 /nfs/dbraw/zinc/97/56/26/1054975626.db2.gz IRAOWJTWXFITFJ-YYFZDKIDSA-N 0 3 324.424 4.092 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(C)cc2Cl)cc1 ZINC000182314055 1054980684 /nfs/dbraw/zinc/98/06/84/1054980684.db2.gz BNTKCXYJACUTQO-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@H](C)c1ccc(C)cc1 ZINC000182317047 1054980860 /nfs/dbraw/zinc/98/08/60/1054980860.db2.gz HKWFGHUIEAXAIE-MRXNPFEDSA-N 0 3 324.468 4.409 20 0 DIADHN CC[C@@H](NCc1cc(-c2ccc(Cl)cc2)no1)[C@H]1CCCO1 ZINC000182310233 1054980879 /nfs/dbraw/zinc/98/08/79/1054980879.db2.gz HGSDDLWTFYDUPB-NVXWUHKLSA-N 0 3 320.820 4.042 20 0 DIADHN Cc1sc(NC(=O)CN[C@@H](C)c2ccc(C)cc2)c(C)c1C ZINC000182343809 1054983486 /nfs/dbraw/zinc/98/34/86/1054983486.db2.gz GRLGLVQJAVNRLR-AWEZNQCLSA-N 0 3 316.470 4.271 20 0 DIADHN CSc1ccc(NC(=O)CN[C@H](C)c2ccc(C)cc2)cc1 ZINC000182339933 1054983559 /nfs/dbraw/zinc/98/35/59/1054983559.db2.gz HQVFUFYZGNCMAD-CQSZACIVSA-N 0 3 314.454 4.006 20 0 DIADHN CC[C@H](NCc1cc(C)nc2ccccc12)c1c(C)noc1C ZINC000182420574 1054985279 /nfs/dbraw/zinc/98/52/79/1054985279.db2.gz WCXYJCIDMQWKMQ-KRWDZBQOSA-N 0 3 309.413 4.389 20 0 DIADHN CC[C@@H](NCCSc1ccc(F)cc1)c1c(C)noc1C ZINC000182434933 1054987542 /nfs/dbraw/zinc/98/75/42/1054987542.db2.gz JQVVNVMSVVJLNL-OAHLLOKOSA-N 0 3 308.422 4.264 20 0 DIADHN Nc1ccc(F)cc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236191335 1054991516 /nfs/dbraw/zinc/99/15/16/1054991516.db2.gz LTVPIBDSFYKBSX-IBGZPJMESA-N 0 3 309.388 4.226 20 0 DIADHN C[C@@H](C(=O)N1CCN(CC2CCCCC2)CC1)[C@@H](C)C(C)(C)C ZINC001535620461 1054992068 /nfs/dbraw/zinc/99/20/68/1054992068.db2.gz XPVUGKZCZLGUTO-IAGOWNOFSA-N 0 3 322.537 4.029 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)ccn1 ZINC001236194778 1054993573 /nfs/dbraw/zinc/99/35/73/1054993573.db2.gz QDPYUVNIYXEPSM-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN c1[nH]c2ccccc2c1[C@H]1CCCN1Cc1ncn2ccccc12 ZINC001236194024 1054994053 /nfs/dbraw/zinc/99/40/53/1054994053.db2.gz VKSUFULTLADUMS-LJQANCHMSA-N 0 3 316.408 4.153 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cccc2c1NCC2 ZINC001236194596 1054994196 /nfs/dbraw/zinc/99/41/96/1054994196.db2.gz OUXJFMGELWVGPJ-UHFFFAOYSA-N 0 3 300.833 4.330 20 0 DIADHN Nc1nc2cc(-c3cc(Cl)cc(C(F)(F)F)c3)ccc2[nH]1 ZINC001236203695 1054997695 /nfs/dbraw/zinc/99/76/95/1054997695.db2.gz FZCBMGDMFNPNBF-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2ccc(-c3cc(Cl)cc(C(F)(F)F)c3)cc2[nH]1 ZINC001236203695 1054997702 /nfs/dbraw/zinc/99/77/02/1054997702.db2.gz FZCBMGDMFNPNBF-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN CN(C)Cc1ccnc(-c2cc(Cl)cc(C(F)(F)F)c2)c1 ZINC001236203295 1054998338 /nfs/dbraw/zinc/99/83/38/1054998338.db2.gz KYVLXEUTFZFVBW-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN C[C@H](Nc1cccc(CN2CCSCC2)c1)c1ccoc1 ZINC000182803589 1055009910 /nfs/dbraw/zinc/00/99/10/1055009910.db2.gz XPYMADGXNSNEGE-AWEZNQCLSA-N 0 3 302.443 4.002 20 0 DIADHN COc1ccc([C@@H](CCC(C)C)NCc2ccc[nH]c2=O)cc1 ZINC000624258437 1055016239 /nfs/dbraw/zinc/01/62/39/1055016239.db2.gz JUANURKTHHLDKL-GOSISDBHSA-N 0 3 314.429 4.063 20 0 DIADHN C[C@H]1CN(Cc2ccccn2)CC[C@@H]1Nc1ccc(Cl)cc1 ZINC000182913450 1055016812 /nfs/dbraw/zinc/01/68/12/1055016812.db2.gz UUYQOFAPEZEFBV-KSSFIOAISA-N 0 3 315.848 4.058 20 0 DIADHN CC(C)[C@@H](NCc1cccc2[nH]c(=O)oc21)c1ccc(F)cc1 ZINC000921511109 1055018413 /nfs/dbraw/zinc/01/84/13/1055018413.db2.gz BSXSAGBIDPKBIS-MRXNPFEDSA-N 0 3 314.360 4.159 20 0 DIADHN C[C@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C1CCCCC1 ZINC000182940054 1055019026 /nfs/dbraw/zinc/01/90/26/1055019026.db2.gz AXPFXHJKMOKBMT-LBPRGKRZSA-N 0 3 319.836 4.448 20 0 DIADHN Cn1ccc2c1ccnc2-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236231191 1055021336 /nfs/dbraw/zinc/02/13/36/1055021336.db2.gz ASNNMXKPWXDQHY-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Nc1ccc2c(c1)CN(Cc1cccc3c(F)cccc13)CC2 ZINC001236232755 1055022148 /nfs/dbraw/zinc/02/21/48/1055022148.db2.gz DLIJYGOIYUEAMO-UHFFFAOYSA-N 0 3 306.384 4.119 20 0 DIADHN Fc1cc(-c2ncc3ccccc3n2)ccc1CN1CCCCC1 ZINC001236234948 1055023406 /nfs/dbraw/zinc/02/34/06/1055023406.db2.gz PXSVUEZMWYIKLQ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cc(C)cc(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001236234120 1055024087 /nfs/dbraw/zinc/02/40/87/1055024087.db2.gz SAGFUBJDQQLUCN-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2nccc3ccncc32)ccc1CN1CCCCC1 ZINC001236233402 1055024185 /nfs/dbraw/zinc/02/41/85/1055024185.db2.gz MKSGWJXFCJGWSH-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CCCCOc1ccc(CN2CCc3ccc(N)cc3C2)cc1 ZINC001236234520 1055025081 /nfs/dbraw/zinc/02/50/81/1055025081.db2.gz ZLKQMYFLYPZXSN-UHFFFAOYSA-N 0 3 310.441 4.006 20 0 DIADHN C[S@@](=O)C[C@@H](NCc1cccc2ccccc21)c1ccccc1 ZINC000183071006 1055027367 /nfs/dbraw/zinc/02/73/67/1055027367.db2.gz CEYMFDYBQIBCGJ-NFBKMPQASA-N 0 3 323.461 4.049 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3c(c1)NCCC3)CCS2 ZINC001236241291 1055028159 /nfs/dbraw/zinc/02/81/59/1055028159.db2.gz ROSHBHJNXRGFDA-UHFFFAOYSA-N 0 3 310.466 4.153 20 0 DIADHN COc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ncc1F ZINC001236246142 1055028444 /nfs/dbraw/zinc/02/84/44/1055028444.db2.gz ZFTHHTKXWZNOQB-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1cc(C)cnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236244235 1055028697 /nfs/dbraw/zinc/02/86/97/1055028697.db2.gz IWVYKOYAOLTUTE-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCCc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ncn1 ZINC001236244028 1055030120 /nfs/dbraw/zinc/03/01/20/1055030120.db2.gz GOTKGRWXEWGUKR-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Fc1cc(-c2cnc3cc[nH]c3c2)ccc1CN1CCCCC1 ZINC001236248989 1055031763 /nfs/dbraw/zinc/03/17/63/1055031763.db2.gz NIIPRWOUUXMDSM-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cc(F)n1 ZINC001236248044 1055031787 /nfs/dbraw/zinc/03/17/87/1055031787.db2.gz CANJRPMMHQZUPS-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN C[C@H](c1ccccc1Cl)N(CCCn1ccnc1)C1CC1 ZINC000183171631 1055033305 /nfs/dbraw/zinc/03/33/05/1055033305.db2.gz GCXPRFSXZVLSON-CQSZACIVSA-N 0 3 303.837 4.152 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccccc2)c2ccc(F)cn2)cc1 ZINC000183278722 1055037237 /nfs/dbraw/zinc/03/72/37/1055037237.db2.gz DDMWKTSVYVYSTI-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccccc2)c2ccc(F)cn2)cc1 ZINC000183278699 1055037459 /nfs/dbraw/zinc/03/74/59/1055037459.db2.gz DDMWKTSVYVYSTI-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CC(C)C[C@H](CCO)CN[C@@H](c1ccco1)c1ccccc1 ZINC000183692392 1055053126 /nfs/dbraw/zinc/05/31/26/1055053126.db2.gz KZHQUMCHTCDZOS-QFBILLFUSA-N 0 3 301.430 4.003 20 0 DIADHN CC(C)C[C@@H](CCO)CN[C@@H](c1ccco1)c1ccccc1 ZINC000183692372 1055053571 /nfs/dbraw/zinc/05/35/71/1055053571.db2.gz KZHQUMCHTCDZOS-VQIMIIECSA-N 0 3 301.430 4.003 20 0 DIADHN CC(C)c1cnc(CNCCCc2c[nH]c3ccccc23)s1 ZINC000183739281 1055057156 /nfs/dbraw/zinc/05/71/56/1055057156.db2.gz QDMHTTRFBRWVRX-UHFFFAOYSA-N 0 3 313.470 4.470 20 0 DIADHN Nc1ccc(C2CCN(Cc3ccccc3Cl)CC2)cc1 ZINC001236336828 1055063312 /nfs/dbraw/zinc/06/33/12/1055063312.db2.gz WHVYFLZAXUGTDJ-UHFFFAOYSA-N 0 3 300.833 4.302 20 0 DIADHN Cc1csc([C@H](C)NCCCOc2ccc(C)c(C)c2)n1 ZINC000184178527 1055070816 /nfs/dbraw/zinc/07/08/16/1055070816.db2.gz XBLYQOHSSGOYHV-HNNXBMFYSA-N 0 3 304.459 4.188 20 0 DIADHN C[C@H](NCCCCCn1cccn1)c1nc(C(C)(C)C)cs1 ZINC000184484249 1055078239 /nfs/dbraw/zinc/07/82/39/1055078239.db2.gz IBEBFWSVMQDDGU-AWEZNQCLSA-N 0 3 320.506 4.158 20 0 DIADHN COC[C@@H](N[C@H](C)CCSc1ccccc1)c1ccco1 ZINC000184595618 1055079472 /nfs/dbraw/zinc/07/94/72/1055079472.db2.gz UHPOQHLVGRTIAJ-GDBMZVCRSA-N 0 3 305.443 4.128 20 0 DIADHN CO[C@H](C)CN[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184949172 1055085059 /nfs/dbraw/zinc/08/50/59/1055085059.db2.gz WASVTSKIACMQMU-GHMZBOCLSA-N 0 3 324.877 4.457 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)o1)[C@@H](C)C1CCCCC1 ZINC000185518777 1055092636 /nfs/dbraw/zinc/09/26/36/1055092636.db2.gz AJCCIMYOTBYISP-HUUCEWRRSA-N 0 3 313.445 4.431 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)o1)[C@@H](C)C1CCCCC1 ZINC000185518807 1055093380 /nfs/dbraw/zinc/09/33/80/1055093380.db2.gz AJCCIMYOTBYISP-CABCVRRESA-N 0 3 313.445 4.431 20 0 DIADHN C[C@H]1CCCN(C(=O)c2cccc(CN(C)C)c2)c2ccccc21 ZINC000185470995 1055093796 /nfs/dbraw/zinc/09/37/96/1055093796.db2.gz UDBBKQBUXUFBJG-INIZCTEOSA-N 0 3 322.452 4.292 20 0 DIADHN C[C@@H](CC(=O)N1CCN(C2CCCCC2)CC1)C1CCCCC1 ZINC001536411865 1055102396 /nfs/dbraw/zinc/10/23/96/1055102396.db2.gz HOLGNJHLKBWOEV-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000194374485 1055122441 /nfs/dbraw/zinc/12/24/41/1055122441.db2.gz UDCPDGNXBZSEKL-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN CSc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ncn1 ZINC001236470587 1055129932 /nfs/dbraw/zinc/12/99/32/1055129932.db2.gz ZZHAKKIUNZCTGU-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN CSc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nn1 ZINC001236470373 1055130112 /nfs/dbraw/zinc/13/01/12/1055130112.db2.gz FQHFQJMZLJFLGZ-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](c1ccccc1)C1CC1 ZINC000194552678 1055130059 /nfs/dbraw/zinc/13/00/59/1055130059.db2.gz BHJFZYYPWYBOCG-NRFANRHFSA-N 0 3 322.452 4.237 20 0 DIADHN CC(C)c1ccccc1NC(=O)CNC1(c2ccccc2)CCC1 ZINC000194554031 1055130182 /nfs/dbraw/zinc/13/01/82/1055130182.db2.gz DZRDKHYZHKMTEH-UHFFFAOYSA-N 0 3 322.452 4.418 20 0 DIADHN Cc1nc(C)c(C)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236471513 1055131329 /nfs/dbraw/zinc/13/13/29/1055131329.db2.gz YKBLIYNDGKFNCI-UXHICEINSA-N 0 3 319.452 4.222 20 0 DIADHN CCCc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nn1 ZINC001236471285 1055131822 /nfs/dbraw/zinc/13/18/22/1055131822.db2.gz RCGDSKQUWOCTIS-UXHICEINSA-N 0 3 319.452 4.249 20 0 DIADHN Cc1ncc(F)cc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236474518 1055133998 /nfs/dbraw/zinc/13/39/98/1055133998.db2.gz KFTCWSNIFOYFKW-MOPGFXCFSA-N 0 3 308.400 4.349 20 0 DIADHN COc1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)c2c[nH]nc21 ZINC001236476098 1055134850 /nfs/dbraw/zinc/13/48/50/1055134850.db2.gz KGPROMKIWLALSP-UHFFFAOYSA-N 0 3 319.408 4.240 20 0 DIADHN COc1cc(C)ncc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236477207 1055136606 /nfs/dbraw/zinc/13/66/06/1055136606.db2.gz XPPWBLXXUJPNRQ-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN COc1ncc(C)cc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236477216 1055137129 /nfs/dbraw/zinc/13/71/29/1055137129.db2.gz XSGVWZWRCWBLQV-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)NCC2CCCCC2)c(C)s1 ZINC000195585741 1055157710 /nfs/dbraw/zinc/15/77/10/1055157710.db2.gz QRBWVCVOLATYDD-KGLIPLIRSA-N 0 3 322.518 4.101 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N[C@@H](C)c1cc(C)sc1C ZINC000195585747 1055158344 /nfs/dbraw/zinc/15/83/44/1055158344.db2.gz BUNISQCFYCKUNO-SQWLQELKSA-N 0 3 322.518 4.195 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cc(C)cc(C)c2Cl)c1 ZINC001236547878 1055175889 /nfs/dbraw/zinc/17/58/89/1055175889.db2.gz KEMHGNYUORLBBP-UHFFFAOYSA-N 0 3 318.848 4.097 20 0 DIADHN CO[C@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000381762924 1055181941 /nfs/dbraw/zinc/18/19/41/1055181941.db2.gz HSCUBDCOKKKULQ-RTBURBONSA-N 0 3 301.405 4.176 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1ccsc1Br ZINC000381778801 1055182202 /nfs/dbraw/zinc/18/22/02/1055182202.db2.gz XMXAYWDMDVFWPV-SECBINFHSA-N 0 3 316.289 4.293 20 0 DIADHN CC(C)Oc1cc(-c2ccc(CN3CCCC3)c(F)c2)ccn1 ZINC001236570719 1055191601 /nfs/dbraw/zinc/19/16/01/1055191601.db2.gz TUQMQVCUUYVQFB-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1oc(C)nc1C ZINC001236597764 1055202236 /nfs/dbraw/zinc/20/22/36/1055202236.db2.gz SLLSIVPRLXFYKE-OAHLLOKOSA-N 0 3 302.443 4.350 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccccc1)c1cc(Cl)ccc1OC ZINC000764557942 1055209035 /nfs/dbraw/zinc/20/90/35/1055209035.db2.gz DTSJMVZFDZXOSH-DLBZAZTESA-N 0 3 319.832 4.123 20 0 DIADHN CCOc1ccc(CN2C[C@@H]3CCC[C@H]3C2)cc1Br ZINC001236658873 1055213965 /nfs/dbraw/zinc/21/39/65/1055213965.db2.gz IKSQIXBDNPWGHJ-KBPBESRZSA-N 0 3 324.262 4.080 20 0 DIADHN C[C@H](NCc1ccc(OC(F)F)cc1)c1ccnc(Cl)c1 ZINC000764606633 1055217570 /nfs/dbraw/zinc/21/75/70/1055217570.db2.gz FRAPXLBVHQJQLW-JTQLQIEISA-N 0 3 312.747 4.187 20 0 DIADHN COc1cccc(Br)c1CN1CCC[C@H]2CCC[C@H]21 ZINC001236674906 1055220309 /nfs/dbraw/zinc/22/03/09/1055220309.db2.gz AMNNHRVAOMCGJI-IUODEOHRSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cc2ccccc2cc1CN1CC(c2ccccc2)C1 ZINC001236679081 1055226510 /nfs/dbraw/zinc/22/65/10/1055226510.db2.gz IVEFACTZGXTVEA-UHFFFAOYSA-N 0 3 303.405 4.448 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cccc3sccc31)CC2 ZINC001236682191 1055229968 /nfs/dbraw/zinc/22/99/68/1055229968.db2.gz LOBKQECGOZYECV-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CC1(C)CCc2onc(CN[C@H](Cc3ccccc3)C3CC3)c2C1 ZINC001168533046 1055233283 /nfs/dbraw/zinc/23/32/83/1055233283.db2.gz XHPMRFVTINISRW-GOSISDBHSA-N 0 3 324.468 4.300 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1ccc(F)c(C)c1Cl)C2 ZINC001236711042 1055238292 /nfs/dbraw/zinc/23/82/92/1055238292.db2.gz PNKQAAYHYMKNFV-PBHICJAKSA-N 0 3 311.828 4.036 20 0 DIADHN Cc1cccnc1O[C@@H]1CCCN(Cc2cccc3occc32)C1 ZINC001236744718 1055246551 /nfs/dbraw/zinc/24/65/51/1055246551.db2.gz DDVBJKRWMWZCRS-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H](NCCN(C)c1ccccc1)c1nc2ccccc2s1 ZINC000764789660 1055255588 /nfs/dbraw/zinc/25/55/88/1055255588.db2.gz QUEDTTYDRAADKE-CQSZACIVSA-N 0 3 311.454 4.083 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cc(F)cc(C(=O)OC)c1 ZINC001236784116 1055257375 /nfs/dbraw/zinc/25/73/75/1055257375.db2.gz YPWRUGIOYUKQSP-SFHVURJKSA-N 0 3 315.388 4.195 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc2ccccc2c1)c1nccn1C ZINC000624267201 1055269854 /nfs/dbraw/zinc/26/98/54/1055269854.db2.gz FMSPZRPZSBNZER-HNNXBMFYSA-N 0 3 307.441 4.202 20 0 DIADHN Cc1cccc(C)c1-c1cccc(CN2CC[C@]23CCOC3)c1 ZINC001236815657 1055272260 /nfs/dbraw/zinc/27/22/60/1055272260.db2.gz SIUJNLMOZVSCTJ-OAQYLSRUSA-N 0 3 307.437 4.335 20 0 DIADHN Cc1cccc(C)c1-c1cccc(CN2C[C@H]3CC[C@@H](C2)C3=O)c1 ZINC001236814750 1055273090 /nfs/dbraw/zinc/27/30/90/1055273090.db2.gz LJNHCBOWQMHYLD-BGYRXZFFSA-N 0 3 319.448 4.381 20 0 DIADHN Cc1cccc(C)c1-c1cccc(CN2CC(N3CCCC3)C2)c1 ZINC001236821446 1055275230 /nfs/dbraw/zinc/27/52/30/1055275230.db2.gz YNQQCBXSHXMYMB-UHFFFAOYSA-N 0 3 320.480 4.250 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc2ccccc2c1)c1nccn1C ZINC000624267202 1055277075 /nfs/dbraw/zinc/27/70/75/1055277075.db2.gz FMSPZRPZSBNZER-OAHLLOKOSA-N 0 3 307.441 4.202 20 0 DIADHN Cc1ncccc1CNCc1cccc(OCc2ccccc2)c1 ZINC000383417103 1055277183 /nfs/dbraw/zinc/27/71/83/1055277183.db2.gz WXAOHNVBZRSNQT-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN c1cc(CNc2ccnc3ccc(Oc4ccccc4)cc32)no1 ZINC000764852221 1055278979 /nfs/dbraw/zinc/27/89/79/1055278979.db2.gz VUAJOLIILUSPOY-UHFFFAOYSA-N 0 3 317.348 4.049 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2cccc(C)c2Cl)C[C@H]1C ZINC001236856552 1055292111 /nfs/dbraw/zinc/29/21/11/1055292111.db2.gz RFZLNNIOMCOBHC-CABCVRRESA-N 0 3 323.864 4.060 20 0 DIADHN CCCC(CCC)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001587440674 1055296842 /nfs/dbraw/zinc/29/68/42/1055296842.db2.gz RSLFGMHCVDTGLK-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN COc1ccc([C@H](C)NCCCOc2ccccc2F)c(F)c1 ZINC000764926265 1055297670 /nfs/dbraw/zinc/29/76/70/1055297670.db2.gz JKUWRUGRPHBOEY-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN CC(C)N(Cc1cc2sccc2nc1Cl)C1CCOCC1 ZINC001236874186 1055298901 /nfs/dbraw/zinc/29/89/01/1055298901.db2.gz OCWRJOWMAOSXGF-UHFFFAOYSA-N 0 3 324.877 4.339 20 0 DIADHN C[C@@](O)(CNCc1cscc1Cl)c1ccccc1Cl ZINC000764981475 1055308716 /nfs/dbraw/zinc/30/87/16/1055308716.db2.gz VJAWVGLVXQLYKY-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(C(F)F)cc2)cc1 ZINC000764980175 1055310181 /nfs/dbraw/zinc/31/01/81/1055310181.db2.gz LDAMESQHJUWSQT-UHFFFAOYSA-N 0 3 321.367 4.287 20 0 DIADHN CC/C(C)=C(\C)C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001587488136 1055310631 /nfs/dbraw/zinc/31/06/31/1055310631.db2.gz LJNMVVDZLVYDPL-WUKNDPDISA-N 0 3 320.521 4.092 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc(OC2CCCC2)nc1 ZINC000765058820 1055321202 /nfs/dbraw/zinc/32/12/02/1055321202.db2.gz FMFVDVWUCMRBEW-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN FCC1CCN(Cc2ccc(-c3ccccc3F)nc2)CC1 ZINC001236991786 1055328049 /nfs/dbraw/zinc/32/80/49/1055328049.db2.gz ZHVGODQHTVTGJO-UHFFFAOYSA-N 0 3 302.368 4.069 20 0 DIADHN CCO[C@H]1CCCN(Cc2cncc(-c3ccc(C)cc3)c2)C1 ZINC001236993113 1055330090 /nfs/dbraw/zinc/33/00/90/1055330090.db2.gz JFNSSJUWIHPGML-FQEVSTJZSA-N 0 3 310.441 4.058 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CC[C@@]3(CC3(F)F)C2)cn1 ZINC001236990945 1055330352 /nfs/dbraw/zinc/33/03/52/1055330352.db2.gz RPEUJVGHJMOANT-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN COC1(C)CCN(Cc2cncc(-c3ccc(C)cc3)c2)CC1 ZINC001236990746 1055330381 /nfs/dbraw/zinc/33/03/81/1055330381.db2.gz HNMPBHOXHAGTPR-UHFFFAOYSA-N 0 3 310.441 4.058 20 0 DIADHN COCCN(Cc1ccc(-c2ccc(Cl)cc2)nc1)C(C)C ZINC001237009399 1055335824 /nfs/dbraw/zinc/33/58/24/1055335824.db2.gz QMRIVJSBVGMCTN-UHFFFAOYSA-N 0 3 318.848 4.259 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3ccccc3Cl)nc2)C1 ZINC001237012203 1055340735 /nfs/dbraw/zinc/34/07/35/1055340735.db2.gz BAOHPOZLWNMUHT-OAHLLOKOSA-N 0 3 316.832 4.013 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CCc3cc(C)ccc32)cn1 ZINC000765239586 1055342191 /nfs/dbraw/zinc/34/21/91/1055342191.db2.gz PBQOAPJLMFKIMF-LJQANCHMSA-N 0 3 309.457 4.013 20 0 DIADHN C[C@@H]1CCCN(Cc2ccc(NC(=O)OC(C)(C)C)c(F)c2)C1 ZINC001237041158 1055347145 /nfs/dbraw/zinc/34/71/45/1055347145.db2.gz NZIKDXARNPAHMM-CYBMUJFWSA-N 0 3 322.424 4.405 20 0 DIADHN CCCCc1ccc(N(C)C(=O)[C@H](C)N2CCCCCC2)cc1 ZINC001587694939 1055347972 /nfs/dbraw/zinc/34/79/72/1055347972.db2.gz ZZPALABQGYTHSG-KRWDZBQOSA-N 0 3 316.489 4.257 20 0 DIADHN Cc1oc(C(C)(C)C)cc1C(=O)Nc1cccc(CN(C)C)c1 ZINC001587785060 1055366190 /nfs/dbraw/zinc/36/61/90/1055366190.db2.gz BYFNZWQDHQNEGZ-UHFFFAOYSA-N 0 3 314.429 4.199 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(F)c(N2CCCC2)c1 ZINC001237090765 1055368369 /nfs/dbraw/zinc/36/83/69/1055368369.db2.gz XGHLXGSOWMAFRU-UHFFFAOYSA-N 0 3 312.432 4.366 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(F)c(N3CCCC3)c2)cc1 ZINC001237087990 1055368979 /nfs/dbraw/zinc/36/89/79/1055368979.db2.gz CQRWFJDZOTUHCC-UHFFFAOYSA-N 0 3 312.432 4.366 20 0 DIADHN COc1cccc2c(CN3CCC[C@H]4CCCC[C@@H]43)ccnc12 ZINC001237116261 1055373434 /nfs/dbraw/zinc/37/34/34/1055373434.db2.gz MUUDPIPTGZRFQJ-QAPCUYQASA-N 0 3 310.441 4.398 20 0 DIADHN COc1cccc2c(CN3CCC[C@@H]4CCCC[C@H]43)ccnc12 ZINC001237116259 1055376794 /nfs/dbraw/zinc/37/67/94/1055376794.db2.gz MUUDPIPTGZRFQJ-MAUKXSAKSA-N 0 3 310.441 4.398 20 0 DIADHN CCOc1ccc([C@H](C)NCc2nccc3ccccc32)cc1 ZINC000765404624 1055380835 /nfs/dbraw/zinc/38/08/35/1055380835.db2.gz LMSIBQXZDRJGLG-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1nccc2ccccc21 ZINC000765404627 1055381475 /nfs/dbraw/zinc/38/14/75/1055381475.db2.gz LNIBPGFIAOKSPC-GOSISDBHSA-N 0 3 304.393 4.021 20 0 DIADHN CC(C)[C@H](NCc1cnn(C2CCC2)c1)c1ccc(F)cc1 ZINC000921512169 1055382403 /nfs/dbraw/zinc/38/24/03/1055382403.db2.gz IKXFKYRRUNARJJ-SFHVURJKSA-N 0 3 301.409 4.234 20 0 DIADHN CC(C)[C@@H](NCc1c2c(nn1C)CCC2)c1ccc(Cl)cc1 ZINC000921512368 1055383380 /nfs/dbraw/zinc/38/33/80/1055383380.db2.gz IGURJQAETLAEOO-GOSISDBHSA-N 0 3 317.864 4.049 20 0 DIADHN CSC1CCN(Cc2ccnc3c(Cl)cccc23)CC1 ZINC001237142576 1055384835 /nfs/dbraw/zinc/38/48/35/1055384835.db2.gz BBEAEBZYDHFSOP-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccc(F)c(F)c1C ZINC001237163593 1055390916 /nfs/dbraw/zinc/39/09/16/1055390916.db2.gz SPCVLOQLFWVFDV-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000765439791 1055392219 /nfs/dbraw/zinc/39/22/19/1055392219.db2.gz LLNWIZLZNQIQBU-HBMCJLEFSA-N 0 3 307.437 4.492 20 0 DIADHN CCC[C@H](CN[C@H](C)c1cc(Br)ccc1F)OC ZINC000385937486 1055399205 /nfs/dbraw/zinc/39/92/05/1055399205.db2.gz FJYHMJPBLDTQTR-ZYHUDNBSSA-N 0 3 318.230 4.054 20 0 DIADHN COc1cccc([C@H]2CCCC[N@@H+]2Cc2occc2[S-])c1 ZINC001237189180 1055399431 /nfs/dbraw/zinc/39/94/31/1055399431.db2.gz BHHSSYSEORZJJV-OAHLLOKOSA-N 0 3 303.427 4.304 20 0 DIADHN COc1cccc([C@H]2CCCC[N@H+]2Cc2occc2[S-])c1 ZINC001237189180 1055399440 /nfs/dbraw/zinc/39/94/40/1055399440.db2.gz BHHSSYSEORZJJV-OAHLLOKOSA-N 0 3 303.427 4.304 20 0 DIADHN Cc1csc([C@@H](NC[C@H]2CC3(CO2)CCCCC3)C2CC2)n1 ZINC001168541524 1055406797 /nfs/dbraw/zinc/40/67/97/1055406797.db2.gz JQZFZJNUKDEXNT-CVEARBPZSA-N 0 3 320.502 4.232 20 0 DIADHN Cc1ccc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@H]3C2)s1 ZINC001237237938 1055408033 /nfs/dbraw/zinc/40/80/33/1055408033.db2.gz ZLKIEDCJXSZYJU-WBVHZDCISA-N 0 3 318.873 4.494 20 0 DIADHN FC(F)(F)c1cccc(CNCc2ccc3c(c2)COC3)c1 ZINC001620839270 1055408112 /nfs/dbraw/zinc/40/81/12/1055408112.db2.gz JCSFAKOIRKKSDT-UHFFFAOYSA-N 0 3 307.315 4.025 20 0 DIADHN CSC1CCN(Cc2ccc3nccc(Cl)c3c2)CC1 ZINC001237268022 1055409873 /nfs/dbraw/zinc/40/98/73/1055409873.db2.gz FNOTUYNLQXTJDK-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN Clc1ccnc2ccc(CN3CC[C@]4(C3)CCCCO4)cc12 ZINC001237264005 1055410003 /nfs/dbraw/zinc/41/00/03/1055410003.db2.gz JPCKWQHGSZYJIT-SFHVURJKSA-N 0 3 316.832 4.033 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCC3(CCCC3)O2)C2CC2)c(F)c1 ZINC001168541776 1055410574 /nfs/dbraw/zinc/41/05/74/1055410574.db2.gz LADIFIXWFHUELG-QAPCUYQASA-N 0 3 321.411 4.497 20 0 DIADHN CCOCC1CCN(Cc2ccc3nccc(Cl)c3c2)CC1 ZINC001237273531 1055412102 /nfs/dbraw/zinc/41/21/02/1055412102.db2.gz RHWKFTOCZQIGCB-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@@H](c1ccccc1)C(C)C ZINC000011099981 1055422794 /nfs/dbraw/zinc/42/27/94/1055422794.db2.gz QFMDRDBMOYRXNW-QFBILLFUSA-N 0 3 310.441 4.309 20 0 DIADHN COc1ccc(CN(C)Cc2c(C)cc(F)cc2C)cc1OC ZINC001237322641 1055425832 /nfs/dbraw/zinc/42/58/32/1055425832.db2.gz QKDMXBVIFXOXFM-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC[C@@H](C)C3)cs2)cc1 ZINC001237339980 1055433314 /nfs/dbraw/zinc/43/33/14/1055433314.db2.gz RCPRTLCXFVEEOG-CQSZACIVSA-N 0 3 316.470 4.441 20 0 DIADHN Fc1cc(CN2CCC3(CC(F)C3)CC2)ccc1OC(F)F ZINC001237353255 1055436987 /nfs/dbraw/zinc/43/69/87/1055436987.db2.gz TXZRMCQFUFQDMV-UHFFFAOYSA-N 0 3 317.326 4.141 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2ccc3ccccc3c2)cc1 ZINC000015306271 1055442896 /nfs/dbraw/zinc/44/28/96/1055442896.db2.gz JMVQECABAJZURP-UHFFFAOYSA-N 0 3 319.404 4.258 20 0 DIADHN COc1ccc2c(c1)[nH]nc2CN1CCC[C@H]1c1ccccc1C ZINC001237385760 1055445213 /nfs/dbraw/zinc/44/52/13/1055445213.db2.gz CHSCJOZIBMALEG-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN CC(C)c1cccc(C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)c1 ZINC001537814408 1055447960 /nfs/dbraw/zinc/44/79/60/1055447960.db2.gz ARUOEXXUQOWXRG-OXJNMPFZSA-N 0 3 324.468 4.231 20 0 DIADHN CCCCC[C@H](C)CC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001537814482 1055448321 /nfs/dbraw/zinc/44/83/21/1055448321.db2.gz BVQASJYZSJCJBJ-QKLQHJQFSA-N 0 3 318.505 4.401 20 0 DIADHN C[C@@H]1CCN(Cc2c(Cl)[nH]c3cnccc32)CCC1(F)F ZINC001237450941 1055452676 /nfs/dbraw/zinc/45/26/76/1055452676.db2.gz ZOGVVNLMTSTDTQ-SNVBAGLBSA-N 0 3 313.779 4.084 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1c(Cl)[nH]c2cnccc21 ZINC001237466851 1055456027 /nfs/dbraw/zinc/45/60/27/1055456027.db2.gz MBCRVLVRRDHXPT-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN CCC(=O)N(CC)C1CCN(Cc2cccc(C(C)C)c2)CC1 ZINC001237460305 1055456502 /nfs/dbraw/zinc/45/65/02/1055456502.db2.gz MTZYLQIRVDZZAS-UHFFFAOYSA-N 0 3 316.489 4.033 20 0 DIADHN O=c1[nH]c2ccccc2c(Cl)c1CN1CCCCC12CC2 ZINC001237499495 1055461259 /nfs/dbraw/zinc/46/12/59/1055461259.db2.gz HLYVKIKQHPEYLM-UHFFFAOYSA-N 0 3 302.805 4.112 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1cccc(C)c1OC ZINC001237509815 1055463944 /nfs/dbraw/zinc/46/39/44/1055463944.db2.gz CQYKAUUKJKSSHP-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cccc(C)c2OC)cc1 ZINC001237509566 1055464891 /nfs/dbraw/zinc/46/48/91/1055464891.db2.gz AMJAUFCMSXJBFR-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN COc1cc(CN(C(C)C)[C@@H](C)c2ccccc2)cc(OC)n1 ZINC001237557505 1055475149 /nfs/dbraw/zinc/47/51/49/1055475149.db2.gz ALTXNHKEMBALOD-HNNXBMFYSA-N 0 3 314.429 4.070 20 0 DIADHN Clc1ncnc2ccc(CN3CCCC4(CCCC4)C3)cc12 ZINC001237577483 1055475527 /nfs/dbraw/zinc/47/55/27/1055475527.db2.gz WDTPEOPMXRQHPT-UHFFFAOYSA-N 0 3 315.848 4.439 20 0 DIADHN CC(C)C1CCN(Cc2ccc3ncnc(Cl)c3c2)CC1 ZINC001237580869 1055477857 /nfs/dbraw/zinc/47/78/57/1055477857.db2.gz YQTUONKOSLNZDD-UHFFFAOYSA-N 0 3 303.837 4.151 20 0 DIADHN CCc1cccc2cc(CNC[C@H](O)c3cccc(C)c3)oc21 ZINC001620854368 1055483125 /nfs/dbraw/zinc/48/31/25/1055483125.db2.gz CNINMRYUFWYIKH-IBGZPJMESA-N 0 3 309.409 4.127 20 0 DIADHN Cc1ccn(-c2ccccc2CNCc2cc(C)sc2C)n1 ZINC001620856226 1055484710 /nfs/dbraw/zinc/48/47/10/1055484710.db2.gz HWGMXGMTZJAXBW-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccnc(OC(F)F)c1 ZINC001237613824 1055486317 /nfs/dbraw/zinc/48/63/17/1055486317.db2.gz IFSHLIRSKOMNCH-UHFFFAOYSA-N 0 3 306.356 4.095 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cccc3nccc(C)c13)CC2 ZINC001237628243 1055488243 /nfs/dbraw/zinc/48/82/43/1055488243.db2.gz ZBPJLCDJONJSRZ-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CN1CC[C@@H](NCc2cscc2Cl)[C@H]1c1ccc(F)cc1 ZINC001620862255 1055490205 /nfs/dbraw/zinc/49/02/05/1055490205.db2.gz KOMAWYZOGHWMGB-HZPDHXFCSA-N 0 3 324.852 4.076 20 0 DIADHN CCOc1ccc(CN(C)CC/C=C/c2cccnc2)c(F)c1 ZINC001237633144 1055491150 /nfs/dbraw/zinc/49/11/50/1055491150.db2.gz QFULZIDQQICWPN-QPJJXVBHSA-N 0 3 314.404 4.155 20 0 DIADHN CCc1n[nH]cc1CN1CCC[C@H]1c1ccccc1SC ZINC001237652905 1055493952 /nfs/dbraw/zinc/49/39/52/1055493952.db2.gz HFCGDTAIVGFMDG-INIZCTEOSA-N 0 3 301.459 4.031 20 0 DIADHN CCc1n[nH]cc1CN1CCC[C@@H]1c1ccccc1SC ZINC001237652908 1055494947 /nfs/dbraw/zinc/49/49/47/1055494947.db2.gz HFCGDTAIVGFMDG-MRXNPFEDSA-N 0 3 301.459 4.031 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1ccc3scnc3c1)CCC2 ZINC001620873285 1055501535 /nfs/dbraw/zinc/50/15/35/1055501535.db2.gz WBUUPCDLLMGSFN-LBPRGKRZSA-N 0 3 315.467 4.228 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1ccc(C)cc1F ZINC001620877143 1055504759 /nfs/dbraw/zinc/50/47/59/1055504759.db2.gz SJVIXMBUFUICKC-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN COc1cccc(CNC/C=C\c2ccccc2)c1OC(C)C ZINC001620877981 1055505498 /nfs/dbraw/zinc/50/54/98/1055505498.db2.gz VZPBNTPWULPGAM-FLIBITNWSA-N 0 3 311.425 4.285 20 0 DIADHN Cc1ccsc1CCNCc1c(Cl)cncc1Cl ZINC001620877514 1055506012 /nfs/dbraw/zinc/50/60/12/1055506012.db2.gz FHOYEEVZXBHURA-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCCOc3cc(F)ccc32)cc1 ZINC001620883229 1055508805 /nfs/dbraw/zinc/50/88/05/1055508805.db2.gz RNMSJACTPKLCKW-PBMKKYJASA-N 0 3 315.363 4.482 20 0 DIADHN Cc1nnc([C@H]2CCCN(Cc3cc(C)cc(C)c3Cl)C2)o1 ZINC001237702258 1055509772 /nfs/dbraw/zinc/50/97/72/1055509772.db2.gz QFJSMZQQYVCUJQ-AWEZNQCLSA-N 0 3 319.836 4.028 20 0 DIADHN Cc1cc(CN2CC[C@H](F)C(F)(F)CC2)ccc1OC(C)C ZINC001237712397 1055511698 /nfs/dbraw/zinc/51/16/98/1055511698.db2.gz CLOLKCQLRUDVLA-INIZCTEOSA-N 0 3 315.379 4.351 20 0 DIADHN COc1ccc(C2=CCN(Cc3cc(OC)ccc3C)CC2)cc1 ZINC001237712267 1055512927 /nfs/dbraw/zinc/51/29/27/1055512927.db2.gz AVFQEWOSVGBYLX-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc(Cl)cc(Cl)c1N ZINC001620893286 1055518725 /nfs/dbraw/zinc/51/87/25/1055518725.db2.gz ICKDDPGFCSLDRD-SECBINFHSA-N 0 3 315.166 4.396 20 0 DIADHN Cc1cnccc1O[C@@H]1CCN(Cc2cccc(Cl)c2C)C1 ZINC001237732608 1055515376 /nfs/dbraw/zinc/51/53/76/1055515376.db2.gz MTPPQLZTVNQOHM-MRXNPFEDSA-N 0 3 316.832 4.005 20 0 DIADHN C[C@H](NCc1ccc(SC(F)(F)F)cc1)c1ccc[nH]1 ZINC001620889305 1055516178 /nfs/dbraw/zinc/51/61/78/1055516178.db2.gz OLPCRRUTQCZMOC-JTQLQIEISA-N 0 3 300.349 4.477 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C/c1ccc(Cl)s1 ZINC000024643879 1055516707 /nfs/dbraw/zinc/51/67/07/1055516707.db2.gz UMCPODMYLAFUIO-CSKARUKUSA-N 0 3 320.845 4.115 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1c(C)cc(C)cc1C)CCO2 ZINC001620894876 1055518697 /nfs/dbraw/zinc/51/86/97/1055518697.db2.gz IOHASNGJRCPTET-LJQANCHMSA-N 0 3 311.425 4.234 20 0 DIADHN COc1cc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)sn1 ZINC001620894666 1055519453 /nfs/dbraw/zinc/51/94/53/1055519453.db2.gz ALRAJSGCYDIKBK-QAPCUYQASA-N 0 3 316.470 4.201 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1c2c(nn1C)CCCC2 ZINC001620894520 1055519627 /nfs/dbraw/zinc/51/96/27/1055519627.db2.gz VNJVAYDDVHQDBT-BTYIYWSLSA-N 0 3 323.484 4.102 20 0 DIADHN Cc1nnc(CN[C@@H]2CCCC[C@@H](c3ccccc3)C2)s1 ZINC001620898345 1055524127 /nfs/dbraw/zinc/52/41/27/1055524127.db2.gz QNEYCBCQBHROHP-HZPDHXFCSA-N 0 3 301.459 4.053 20 0 DIADHN CCCCCC[C@H](C)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000741885528 1055528269 /nfs/dbraw/zinc/52/82/69/1055528269.db2.gz NPVZTKXDQHYWQY-WMZOPIPTSA-N 0 3 304.478 4.012 20 0 DIADHN COc1cccc(CCCN(C)Cc2cnc(C3CC3)s2)c1 ZINC001237791401 1055528927 /nfs/dbraw/zinc/52/89/27/1055528927.db2.gz HFVVICKWVMHAIS-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN COc1ccc(-c2nc(CNCC3CC(C)(C)C3)cs2)cc1 ZINC001620904426 1055529400 /nfs/dbraw/zinc/52/94/00/1055529400.db2.gz DLRREBSTYFQSMO-UHFFFAOYSA-N 0 3 316.470 4.345 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1cnc(C2CC2)s1 ZINC001237790861 1055529904 /nfs/dbraw/zinc/52/99/04/1055529904.db2.gz WGJDLICGNYYLFO-UHFFFAOYSA-N 0 3 306.862 4.348 20 0 DIADHN COCCC1(C[NH2+]Cc2cc([O-])cc(Cl)c2)CCCCC1 ZINC001620909384 1055534313 /nfs/dbraw/zinc/53/43/13/1055534313.db2.gz IRBLAHHQSVSEFH-UHFFFAOYSA-N 0 3 311.853 4.122 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)ccc1F ZINC001237820782 1055537343 /nfs/dbraw/zinc/53/73/43/1055537343.db2.gz PHRAAKRYBIAGIM-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@](O)(c2ccccc2)C(F)(F)F)cc1 ZINC000765685659 1055541851 /nfs/dbraw/zinc/54/18/51/1055541851.db2.gz SYCLTZGJEBXHOK-PBHICJAKSA-N 0 3 323.358 4.096 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3Cl)C2)s1 ZINC001237826180 1055542127 /nfs/dbraw/zinc/54/21/27/1055542127.db2.gz HGRKSCZNARTXQN-GFCCVEGCSA-N 0 3 306.862 4.348 20 0 DIADHN COc1cccc(CN2CCCC[C@H](C)C2)c1Br ZINC001237857669 1055542994 /nfs/dbraw/zinc/54/29/94/1055542994.db2.gz LUSQGZATIPVJOS-LBPRGKRZSA-N 0 3 312.251 4.080 20 0 DIADHN c1nc2cc(CNCc3ccc4ncccc4c3)ccc2s1 ZINC001620926131 1055545694 /nfs/dbraw/zinc/54/56/94/1055545694.db2.gz HUXOZBDFDFDMQO-UHFFFAOYSA-N 0 3 305.406 4.134 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCn4cccc4[C@H]3C)cc21 ZINC001237885167 1055551134 /nfs/dbraw/zinc/55/11/34/1055551134.db2.gz GMCOCHOJBSNUBK-MRXNPFEDSA-N 0 3 321.468 4.066 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)C(C(C)C)=NCC3)cn1 ZINC001237889910 1055552229 /nfs/dbraw/zinc/55/22/29/1055552229.db2.gz QKSVPUNVGTYVNZ-UHFFFAOYSA-N 0 3 321.468 4.023 20 0 DIADHN Cc1ccc(OC(F)F)c(CNC[C@@]2(C)CC2(Cl)Cl)c1 ZINC001620941131 1055553379 /nfs/dbraw/zinc/55/33/79/1055553379.db2.gz OJVRBUCJPJRCBU-CYBMUJFWSA-N 0 3 324.198 4.270 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1ccc(-c2ccccc2F)o1)OC ZINC001620944124 1055559079 /nfs/dbraw/zinc/55/90/79/1055559079.db2.gz INSSIRJVINQOIF-FZKQIMNGSA-N 0 3 305.393 4.236 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccccc3F)C2)cc1F ZINC001237956371 1055563306 /nfs/dbraw/zinc/56/33/06/1055563306.db2.gz NYVHEBFIOOZVCA-OAHLLOKOSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccc(OC(F)F)c(CNC[C@@H]2CCCCC2(F)F)c1 ZINC001620949404 1055563721 /nfs/dbraw/zinc/56/37/21/1055563721.db2.gz NMHYOSQSCFZUOO-NSHDSACASA-N 0 3 323.305 4.342 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccccc3F)C2)cc1F ZINC001237956368 1055565201 /nfs/dbraw/zinc/56/52/01/1055565201.db2.gz NYVHEBFIOOZVCA-HNNXBMFYSA-N 0 3 317.379 4.353 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2cccc(Cl)c2)cc1 ZINC000387344997 1055565662 /nfs/dbraw/zinc/56/56/62/1055565662.db2.gz WYKICAXBMQKWNG-CYBMUJFWSA-N 0 3 317.816 4.320 20 0 DIADHN COc1cccc(O[C@H]2CCCN(Cc3ccsc3C)C2)c1 ZINC001237969563 1055566662 /nfs/dbraw/zinc/56/66/62/1055566662.db2.gz BOJAARBEZNYZPY-SFHVURJKSA-N 0 3 317.454 4.109 20 0 DIADHN CC/C=C/CNCc1cc(Cl)ccc1OCc1cccnc1 ZINC001620950799 1055567128 /nfs/dbraw/zinc/56/71/28/1055567128.db2.gz NEAYDGYJCVCBQE-ONEGZZNKSA-N 0 3 316.832 4.370 20 0 DIADHN Fc1ccc2oc(CNC[C@@H](c3ccccc3)C3CC3)nc2c1 ZINC001620950911 1055567437 /nfs/dbraw/zinc/56/74/37/1055567437.db2.gz QOSDOTWBPOVDIF-INIZCTEOSA-N 0 3 310.372 4.250 20 0 DIADHN Cc1sccc1CN1CCC(Oc2ccccc2C)CC1 ZINC001237965025 1055567865 /nfs/dbraw/zinc/56/78/65/1055567865.db2.gz SLIXRAWIZNHHPF-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1csc(-c2ccc(O)cc2)n1 ZINC001237990643 1055575759 /nfs/dbraw/zinc/57/57/59/1055575759.db2.gz NTHPOIPBAKSHHU-INIZCTEOSA-N 0 3 302.443 4.136 20 0 DIADHN Oc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]4C3)cs2)cc1 ZINC001237986913 1055578738 /nfs/dbraw/zinc/57/87/38/1055578738.db2.gz UGIOSKGKMAVPDE-DZGCQCFKSA-N 0 3 314.454 4.138 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cccc(N2CCCCC2)n1 ZINC001238002911 1055582477 /nfs/dbraw/zinc/58/24/77/1055582477.db2.gz DMQCFZAADGUUSQ-UHFFFAOYSA-N 0 3 323.484 4.402 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@H]1CCC[C@@H](C)C1 ZINC000026469308 1055593681 /nfs/dbraw/zinc/59/36/81/1055593681.db2.gz CQPRMPAZEINIOW-PBHICJAKSA-N 0 3 302.462 4.006 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccc(Oc3ccccc3)cc2C)C1 ZINC001238052783 1055595407 /nfs/dbraw/zinc/59/54/07/1055595407.db2.gz IASLLFFEAUCQCZ-SFHVURJKSA-N 0 3 309.409 4.198 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1CN1CC[C@H]2OCC[C@H]21 ZINC001238062392 1055596935 /nfs/dbraw/zinc/59/69/35/1055596935.db2.gz OGRWDZLGQYROPA-WOJBJXKFSA-N 0 3 309.409 4.151 20 0 DIADHN C[C@H]1CN(Cc2cccc(OCC3CCCCC3)c2)CCCO1 ZINC001238064794 1055597382 /nfs/dbraw/zinc/59/73/82/1055597382.db2.gz CEMFFTJEVTZNFO-KRWDZBQOSA-N 0 3 317.473 4.257 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1CN1C[C@H]2CC[C@@H](C1)C2=O ZINC001238058528 1055597678 /nfs/dbraw/zinc/59/76/78/1055597678.db2.gz UOZQFCWCEMSGFG-HDICACEKSA-N 0 3 321.420 4.198 20 0 DIADHN C[C@H](N(C)CC(=O)Nc1ccccc1C(F)(F)F)C(C)(C)C ZINC000027132416 1055598638 /nfs/dbraw/zinc/59/86/38/1055598638.db2.gz MYQJZWNIOOCDMG-NSHDSACASA-N 0 3 316.367 4.010 20 0 DIADHN CC(C)c1ccc(CN(Cc2ccc(C(N)=O)cc2)C2CC2)cc1 ZINC000027368492 1055603439 /nfs/dbraw/zinc/60/34/39/1055603439.db2.gz LSGVKMIHHTXPPQ-UHFFFAOYSA-N 0 3 322.452 4.074 20 0 DIADHN Clc1cccc([C@@H]2CCCN2Cc2cccc3cncn32)c1 ZINC001238111727 1055608089 /nfs/dbraw/zinc/60/80/89/1055608089.db2.gz WVRSVCKYFYCNTA-SFHVURJKSA-N 0 3 311.816 4.325 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1cccc2cncn21 ZINC001238111935 1055608331 /nfs/dbraw/zinc/60/83/31/1055608331.db2.gz ZDIJTUWKQOKNDE-GOSISDBHSA-N 0 3 323.465 4.393 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1Cc1cccc2cncn21 ZINC001238113827 1055608956 /nfs/dbraw/zinc/60/89/56/1055608956.db2.gz MUALWNTXNOWOQS-GOSISDBHSA-N 0 3 311.816 4.325 20 0 DIADHN CN(Cc1cccc2ccccc21)Cc1cccc2cncn21 ZINC001238112157 1055609100 /nfs/dbraw/zinc/60/91/00/1055609100.db2.gz BNGHYVFBLDDVQO-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN Cc1ccc(NC(=O)CCCCc2ccccc2)c(CN(C)C)c1 ZINC001538364653 1055611252 /nfs/dbraw/zinc/61/12/52/1055611252.db2.gz FYVSTCVCUBSBFD-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN C[C@H](NCCc1c[nH]c2cc(F)c(Cl)cc12)c1cscn1 ZINC000624291365 1055612041 /nfs/dbraw/zinc/61/20/41/1055612041.db2.gz QOGXPJDNENEWBL-VIFPVBQESA-N 0 3 323.824 4.310 20 0 DIADHN Cc1ccc(CN(C)Cc2cc(=O)oc3cc(C)ccc23)cc1 ZINC000016587551 1055612511 /nfs/dbraw/zinc/61/25/11/1055612511.db2.gz AUAXTXGYJRQXDT-UHFFFAOYSA-N 0 3 307.393 4.042 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1cncc(C2CC2)c1 ZINC001238189099 1055614069 /nfs/dbraw/zinc/61/40/69/1055614069.db2.gz MNLXXEQEGNCTQS-UHFFFAOYSA-N 0 3 300.833 4.287 20 0 DIADHN CC(C)c1cccc(NC(=O)CN([C@@H](C)C2CC2)C2CC2)c1 ZINC000029725791 1055622333 /nfs/dbraw/zinc/62/23/33/1055622333.db2.gz DVNFIFARCQDYPU-AWEZNQCLSA-N 0 3 300.446 4.011 20 0 DIADHN CCN(CCn1cccn1)Cc1cc(Cl)c(Cl)cc1C ZINC001238266789 1055634534 /nfs/dbraw/zinc/63/45/34/1055634534.db2.gz KEPZQTYOKCWMQH-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN Cc1[nH]c2ccc(C(=O)Nc3ccccc3CN(C)C)cc2c1C ZINC000031233324 1055636469 /nfs/dbraw/zinc/63/64/69/1055636469.db2.gz ICWKWZHJKADMLW-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN Fc1cccc(CN2C[C@H]3CC[C@@H]2C3)c1OCc1ccccc1 ZINC001238338979 1055641418 /nfs/dbraw/zinc/64/14/18/1055641418.db2.gz RODQACCIZXXVMY-FUHWJXTLSA-N 0 3 311.400 4.389 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cccc(F)c1OC(C)C ZINC001238330646 1055641730 /nfs/dbraw/zinc/64/17/30/1055641730.db2.gz WXVCKFDGMDTUIB-INIZCTEOSA-N 0 3 309.425 4.002 20 0 DIADHN COc1cc(CN(C)C23CC4CC(CC(C4)C2)C3)c(Cl)cn1 ZINC001238356742 1055643139 /nfs/dbraw/zinc/64/31/39/1055643139.db2.gz CTRMLLCWOVYFSR-UHFFFAOYSA-N 0 3 320.864 4.144 20 0 DIADHN c1ccc(COc2cncc(CN3CC4CCC3CC4)c2)cc1 ZINC001238370412 1055646353 /nfs/dbraw/zinc/64/63/53/1055646353.db2.gz ZMCPAMZDDWSGQO-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN CSCCN(C)Cc1cc(F)cc(OCc2ccccc2)c1 ZINC001238381811 1055649328 /nfs/dbraw/zinc/64/93/28/1055649328.db2.gz CUGTWZWNEZQPBU-UHFFFAOYSA-N 0 3 319.445 4.200 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccccn3)C2)c(Cl)c1 ZINC001238398242 1055651557 /nfs/dbraw/zinc/65/15/57/1055651557.db2.gz AIIJEIWEUQTMIO-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CC(C)Oc1cccc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c1F ZINC001238423793 1055656069 /nfs/dbraw/zinc/65/60/69/1055656069.db2.gz MUJXKYDMTXQSRV-OKILXGFUSA-N 0 3 313.363 4.090 20 0 DIADHN Cc1cnc(CN2CCC(c3nc4ccccc4o3)CC2)c(C)c1 ZINC001238434609 1055658427 /nfs/dbraw/zinc/65/84/27/1055658427.db2.gz MSRSKAIHLYUYBV-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN Cc1cnc(CN2CC[C@H](c3cccc(Cl)c3)C2)c(C)c1 ZINC001238436807 1055660113 /nfs/dbraw/zinc/66/01/13/1055660113.db2.gz CZXKPBRCMULLHR-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)[C@@H]1CC[C@@H]2CCCCN21 ZINC001596047582 1055662985 /nfs/dbraw/zinc/66/29/85/1055662985.db2.gz RAODSIFLVKNMGO-HOCLYGCPSA-N 0 3 318.486 4.143 20 0 DIADHN COCC[C@H]1CCCCN1Cc1ccc(F)c(OC(C)C)c1 ZINC001238460997 1055663762 /nfs/dbraw/zinc/66/37/62/1055663762.db2.gz SADHPKNRXQPQKX-MRXNPFEDSA-N 0 3 309.425 4.004 20 0 DIADHN CC1CN(Cc2ccc(-c3cccc(C(F)(F)F)c3)nc2)C1 ZINC001238506420 1055675386 /nfs/dbraw/zinc/67/53/86/1055675386.db2.gz HFPVKKHXTGQZGE-UHFFFAOYSA-N 0 3 306.331 4.219 20 0 DIADHN Cc1cc(CN2[C@@H]3CC[C@H]2CC(=O)C3)ccc1Oc1ccccc1 ZINC001238519473 1055678441 /nfs/dbraw/zinc/67/84/41/1055678441.db2.gz CPECQMVGAXMEMM-HDICACEKSA-N 0 3 321.420 4.483 20 0 DIADHN Fc1ccc(CN2CCC[C@H](C(F)F)C2)cc1-c1ccncc1 ZINC001238554638 1055684453 /nfs/dbraw/zinc/68/44/53/1055684453.db2.gz GBEPKHUUZPFTNA-HNNXBMFYSA-N 0 3 320.358 4.365 20 0 DIADHN Cc1ccc(Cl)c(CN2CCC[C@@H](c3ccccn3)C2)c1 ZINC001238557762 1055687408 /nfs/dbraw/zinc/68/74/08/1055687408.db2.gz VAHHVIMJESSHOV-OAHLLOKOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(Cl)c(CN2CCC(c3cccnc3)CC2)c1 ZINC001238570047 1055690170 /nfs/dbraw/zinc/69/01/70/1055690170.db2.gz VKRSVJXXNDIKKW-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(Cl)c(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)c1 ZINC001238566754 1055690185 /nfs/dbraw/zinc/69/01/85/1055690185.db2.gz NZHWUUDMGQOWDN-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN CO[C@H]1C[C@@H](CN[C@@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000624312131 1055693360 /nfs/dbraw/zinc/69/33/60/1055693360.db2.gz JXWIPFCLDSIBPC-RWMBFGLXSA-N 0 3 320.433 4.025 20 0 DIADHN COc1cc(CN2CCC3(CC3)CC2)c(-c2ccccc2)cn1 ZINC001238598651 1055694482 /nfs/dbraw/zinc/69/44/82/1055694482.db2.gz ARHMYIBBTFLECX-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN Cc1cc(CN2CC[C@@]3(C2)CCCCO3)cnc1-c1ccccc1 ZINC001238605345 1055694706 /nfs/dbraw/zinc/69/47/06/1055694706.db2.gz DMHYAIZIJQPBGL-OAQYLSRUSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1cc(CN(C)CCc2ccncc2)cnc1-c1ccccc1 ZINC001238609019 1055695842 /nfs/dbraw/zinc/69/58/42/1055695842.db2.gz DNYJQODZQLEBCI-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN CCOC1CCN(Cc2cnc(-c3ccccc3)c(C)c2)CC1 ZINC001238612739 1055696936 /nfs/dbraw/zinc/69/69/36/1055696936.db2.gz UDULRHVXTAXAME-UHFFFAOYSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1cc(CN2CCC(c3ccncc3)CC2)cnc1C1CC1 ZINC001238617920 1055697981 /nfs/dbraw/zinc/69/79/81/1055697981.db2.gz NMCGQGADEZNCPN-UHFFFAOYSA-N 0 3 307.441 4.042 20 0 DIADHN Cc1cc(CN2CCC(c3cccnc3)CC2)cnc1C1CC1 ZINC001238620636 1055701130 /nfs/dbraw/zinc/70/11/30/1055701130.db2.gz ACXFWBLIIFGUIF-UHFFFAOYSA-N 0 3 307.441 4.042 20 0 DIADHN Cc1cc(CN2CCC3(CCC(C)(C)O3)CC2)cnc1C1CC1 ZINC001238622188 1055702317 /nfs/dbraw/zinc/70/23/17/1055702317.db2.gz CZLPNLOCRJUCAS-UHFFFAOYSA-N 0 3 314.473 4.191 20 0 DIADHN COc1cc(F)ccc1-c1cccc(CN2CC3(CCC3)C2)c1 ZINC001238628710 1055704833 /nfs/dbraw/zinc/70/48/33/1055704833.db2.gz SATTXKBPDOZSHX-UHFFFAOYSA-N 0 3 311.400 4.487 20 0 DIADHN CCCCc1ccc(NC(=O)[C@H]2CCCN2CC(C)C)cc1 ZINC001538779503 1055713554 /nfs/dbraw/zinc/71/35/54/1055713554.db2.gz ASMDJQZCNKRMKW-GOSISDBHSA-N 0 3 302.462 4.088 20 0 DIADHN CSc1ccc(Cl)cc1CN1CCC[C@@](C)(CO)CC1 ZINC001238670427 1055713638 /nfs/dbraw/zinc/71/36/38/1055713638.db2.gz GFAYQCJXMHAOSN-MRXNPFEDSA-N 0 3 313.894 4.046 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H](C)[C@](C)(O)CC1 ZINC001238669920 1055715237 /nfs/dbraw/zinc/71/52/37/1055715237.db2.gz CEPCSOOBPZBUOU-MLGOLLRUSA-N 0 3 313.894 4.045 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc(F)c2)cc1OC ZINC000078616703 1055716518 /nfs/dbraw/zinc/71/65/18/1055716518.db2.gz PNHWOENIKUZRGS-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)C=C1CCC(C(C)(C)C)CC1 ZINC001565650589 1055716827 /nfs/dbraw/zinc/71/68/27/1055716827.db2.gz VZFAZUZEJOZAKG-UHFFFAOYSA-N 0 3 322.537 4.195 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@]2(C1)CCCOC2 ZINC001238677965 1055717524 /nfs/dbraw/zinc/71/75/24/1055717524.db2.gz RONRBXUSHBUODA-INIZCTEOSA-N 0 3 311.878 4.064 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H](c2cccnc2)C1 ZINC001238678004 1055718196 /nfs/dbraw/zinc/71/81/96/1055718196.db2.gz RRILPGRPFAFLOP-AWEZNQCLSA-N 0 3 318.873 4.446 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1nc(C(F)(F)F)cs1 ZINC000624314363 1055719668 /nfs/dbraw/zinc/71/96/68/1055719668.db2.gz HUGWITKNYUREEY-ZJUUUORDSA-N 0 3 304.381 4.393 20 0 DIADHN O=C(Nc1cccc(Cl)c1)[C@H]1CCCCN1C1CCCC1 ZINC001538812765 1055725316 /nfs/dbraw/zinc/72/53/16/1055725316.db2.gz GZLPPOBZNQNVOR-MRXNPFEDSA-N 0 3 306.837 4.076 20 0 DIADHN Fc1cc(CN2CCC3(CC(F)C3)CC2)cc(N2CCCC2)c1 ZINC001238703628 1055725536 /nfs/dbraw/zinc/72/55/36/1055725536.db2.gz JXYQLKYGIYWFAE-UHFFFAOYSA-N 0 3 320.427 4.140 20 0 DIADHN CCCCCCc1ccc(CN(C)CCc2noc(C)n2)s1 ZINC001238705086 1055728890 /nfs/dbraw/zinc/72/88/90/1055728890.db2.gz IEPJKXRTRXAOEJ-UHFFFAOYSA-N 0 3 321.490 4.237 20 0 DIADHN COc1ccc2cc(CN[C@H]3CCc4c3cccc4O)ccc2c1 ZINC000105487468 1055728944 /nfs/dbraw/zinc/72/89/44/1055728944.db2.gz BRHZGRGCIAYVAB-FQEVSTJZSA-N 0 3 319.404 4.331 20 0 DIADHN CSc1ncc(C)cc1CN(C)CCc1cccc(Cl)c1 ZINC001238717045 1055730985 /nfs/dbraw/zinc/73/09/85/1055730985.db2.gz QQZFHXTVFLKLMY-UHFFFAOYSA-N 0 3 320.889 4.440 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CCCC2(C1)CCCCCC2 ZINC001620969201 1055734262 /nfs/dbraw/zinc/73/42/62/1055734262.db2.gz CMBJSVCHORKSGK-SFHVURJKSA-N 0 3 320.521 4.214 20 0 DIADHN CC(C)c1ccc(CN2CCC3(CCCO3)CC2)c(Cl)n1 ZINC001238723750 1055735072 /nfs/dbraw/zinc/73/50/72/1055735072.db2.gz FDXMJZGYHQCPML-UHFFFAOYSA-N 0 3 308.853 4.003 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H](c2nccn2C)C1 ZINC000444796335 1055744453 /nfs/dbraw/zinc/74/44/53/1055744453.db2.gz BGHPMFUOZGWIEU-TZMCWYRMSA-N 0 3 321.827 4.153 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001238765882 1055745401 /nfs/dbraw/zinc/74/54/01/1055745401.db2.gz GLDOQHVXBUOLQR-UHFFFAOYSA-N 0 3 305.437 4.416 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1cccnc1OCc1ccccc1 ZINC001238773319 1055746751 /nfs/dbraw/zinc/74/67/51/1055746751.db2.gz VLBRZTWANSCNRR-IAGOWNOFSA-N 0 3 310.441 4.424 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC[C@H]3CCC[C@H]32)cc1 ZINC001238776237 1055747364 /nfs/dbraw/zinc/74/73/64/1055747364.db2.gz FGWKRXHPZWZSGQ-UYAOXDASSA-N 0 3 322.452 4.425 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC3(CCC3)CC2)cc1 ZINC001238771300 1055747496 /nfs/dbraw/zinc/74/74/96/1055747496.db2.gz YAOXQPMXUGAMPH-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238777378 1055747914 /nfs/dbraw/zinc/74/79/14/1055747914.db2.gz KMQSFKIGBYEKCW-CALCHBBNSA-N 0 3 310.441 4.139 20 0 DIADHN CN(Cc1cccnc1OCc1ccccc1)CC1CCCC1 ZINC001238776687 1055747953 /nfs/dbraw/zinc/74/79/53/1055747953.db2.gz HXECWTOXZAGXID-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CC[C@H](c2cccnc2)C1 ZINC001238784356 1055749630 /nfs/dbraw/zinc/74/96/30/1055749630.db2.gz HYQSWSGRLLUHDC-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1cc(F)cc(CN2CC[C@H](c3ccncc3)C2)c1Cl ZINC001238788545 1055752217 /nfs/dbraw/zinc/75/22/17/1055752217.db2.gz WCVFLVWOWIHLFY-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@H]3CCCC[C@H]3C2)c(F)cn1 ZINC001238801747 1055755181 /nfs/dbraw/zinc/75/51/81/1055755181.db2.gz GDBFSWBCSPJEGU-CABCVRRESA-N 0 3 306.425 4.020 20 0 DIADHN CC[C@H](CC(=O)Nc1cc(C)cc(CN(C)C)c1)C(C)(C)C ZINC001566423913 1055771179 /nfs/dbraw/zinc/77/11/79/1055771179.db2.gz AGNRFHXGQQVGQX-MRXNPFEDSA-N 0 3 304.478 4.458 20 0 DIADHN Fc1ccc(-c2cnn(C(F)F)c2)cc1CN1CCCCC1 ZINC001238868740 1055774364 /nfs/dbraw/zinc/77/43/64/1055774364.db2.gz DYEBQBLVBJRKBG-UHFFFAOYSA-N 0 3 309.335 4.070 20 0 DIADHN CN1CCCC[C@H]1c1ccc(-c2cc3ccccc3[nH]c2=O)nc1 ZINC001238878868 1055776466 /nfs/dbraw/zinc/77/64/66/1055776466.db2.gz DYKOPPBKNBSGTO-IBGZPJMESA-N 0 3 319.408 4.159 20 0 DIADHN C[C@@H](NC(=O)C1CCC(C)(C)CC1)[C@@H](c1ccccc1)N(C)C ZINC001539174091 1055796745 /nfs/dbraw/zinc/79/67/45/1055796745.db2.gz YSRUCMMFASURGY-QAPCUYQASA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccccc1CNCc1cccc(NC(=O)CC(C)C)c1 ZINC000064411749 1055803768 /nfs/dbraw/zinc/80/37/68/1055803768.db2.gz BROONSBRPQHNPV-UHFFFAOYSA-N 0 3 310.441 4.269 20 0 DIADHN CCCCOc1ncc(-c2cnccc2/C=C/N(C)C)cc1C ZINC001238971995 1055808705 /nfs/dbraw/zinc/80/87/05/1055808705.db2.gz LJTAPMWADSTHEW-CSKARUKUSA-N 0 3 311.429 4.163 20 0 DIADHN C[C@H](NC(=O)CN(C)CC(C)(C)C)c1cc2ccccc2s1 ZINC001567648189 1055810792 /nfs/dbraw/zinc/81/07/92/1055810792.db2.gz NFNANYPUJSOCGB-ZDUSSCGKSA-N 0 3 318.486 4.056 20 0 DIADHN Cn1ncc(Cl)c1CN[C@@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC001620974287 1055811480 /nfs/dbraw/zinc/81/14/80/1055811480.db2.gz IEORFKCBBMADHY-GXTWGEPZSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)c2ccc(C(C)C)cc2)N(C)C)cc1 ZINC000060156158 1055829889 /nfs/dbraw/zinc/82/98/89/1055829889.db2.gz GANRXNBVGQVFAW-HXUWFJFHSA-N 0 3 324.468 4.151 20 0 DIADHN COc1cnc(F)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001239039362 1055830808 /nfs/dbraw/zinc/83/08/08/1055830808.db2.gz FOSIUUWYXWZSDD-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN c1oc2ccccc2c1-c1cccc2cc([C@@H]3CNCCO3)cn21 ZINC001239064739 1055836893 /nfs/dbraw/zinc/83/68/93/1055836893.db2.gz PNVDPBQHYFDRPN-FQEVSTJZSA-N 0 3 318.376 4.013 20 0 DIADHN CC(C)(O)/C=C/c1ccc(C(F)(F)F)cc1CN1CCCC1 ZINC001239161762 1055841643 /nfs/dbraw/zinc/84/16/43/1055841643.db2.gz USILNCYLNNIQBE-BQYQJAHWSA-N 0 3 313.363 4.085 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1Cl ZINC001568749829 1055844425 /nfs/dbraw/zinc/84/44/25/1055844425.db2.gz GVKSHYURROGETQ-AVGNSLFASA-N 0 3 308.853 4.102 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@@H]1CC1CCCCC1 ZINC000060892976 1055855707 /nfs/dbraw/zinc/85/57/07/1055855707.db2.gz RCSWEUZGGYUHES-GOSISDBHSA-N 0 3 314.473 4.368 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001569752414 1055871238 /nfs/dbraw/zinc/87/12/38/1055871238.db2.gz ALJVHTGBGJRDJZ-ZIAGYGMSSA-N 0 3 319.493 4.361 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)N(C)[C@H]1CCCN(C)C1 ZINC001539624207 1055873266 /nfs/dbraw/zinc/87/32/66/1055873266.db2.gz KZQACVLYZXLFHA-PKOBYXMFSA-N 0 3 310.526 4.316 20 0 DIADHN Clc1cccc2c1CC[C@@H]2NCc1cccc2nsnc21 ZINC001649071198 1055883084 /nfs/dbraw/zinc/88/30/84/1055883084.db2.gz ZCEMLZXBLOHRFR-AWEZNQCLSA-N 0 3 315.829 4.122 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1ccccc1OCC1CC1 ZINC000063522409 1055893872 /nfs/dbraw/zinc/89/38/72/1055893872.db2.gz WZVUYZBPSIVQTO-QFBILLFUSA-N 0 3 317.473 4.160 20 0 DIADHN C[C@@H](NC(=O)CN(C)CC1CCCC1)c1cccc2ccccc21 ZINC000064021085 1055910059 /nfs/dbraw/zinc/91/00/59/1055910059.db2.gz ZEYAIOYVPAYRLB-MRXNPFEDSA-N 0 3 324.468 4.139 20 0 DIADHN CCCCc1oc2ccccc2c1CN(C)CCn1cccn1 ZINC000064138683 1055911883 /nfs/dbraw/zinc/91/18/83/1055911883.db2.gz LEWCQKJRNJTFEW-UHFFFAOYSA-N 0 3 311.429 4.104 20 0 DIADHN CC[C@H](C)C[C@@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001620981269 1055915600 /nfs/dbraw/zinc/91/56/00/1055915600.db2.gz JXSXOAYDIHBTCL-STQMWFEESA-N 0 3 323.383 4.112 20 0 DIADHN Cc1ccc(C)c(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)c1 ZINC001620750889 1055924457 /nfs/dbraw/zinc/92/44/57/1055924457.db2.gz RNYSKZPDUNVBRE-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN CCC(CC)N(CCOC)Cc1coc(-c2ccc(F)cc2)n1 ZINC000064806895 1055928572 /nfs/dbraw/zinc/92/85/72/1055928572.db2.gz SQNUQWNFRKGNHS-UHFFFAOYSA-N 0 3 320.408 4.118 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742267 1055930852 /nfs/dbraw/zinc/93/08/52/1055930852.db2.gz NCOZBSJDAUOOAD-BDAKNGLRSA-N 0 3 317.414 4.019 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1ccc2c(c1)ncn2C ZINC001620759414 1055931216 /nfs/dbraw/zinc/93/12/16/1055931216.db2.gz XONUBOCGPVYCDC-QGZVFWFLSA-N 0 3 323.440 4.213 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1ccccn1 ZINC000671742298 1055931651 /nfs/dbraw/zinc/93/16/51/1055931651.db2.gz NIDOFLRRCVTSEY-WSTLGDPDSA-N 0 3 310.441 4.084 20 0 DIADHN COCCN(Cc1cccs1)Cc1c(C)cc(C)cc1C ZINC000065040834 1055935092 /nfs/dbraw/zinc/93/50/92/1055935092.db2.gz DFHYFUCTLZTICN-UHFFFAOYSA-N 0 3 303.471 4.322 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc3[nH]c(C)c(C)c3c2)cc1 ZINC000065013599 1055935959 /nfs/dbraw/zinc/93/59/59/1055935959.db2.gz VYNXKIUVICHUHP-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)cc1 ZINC000671742893 1055936357 /nfs/dbraw/zinc/93/63/57/1055936357.db2.gz JVIFPUFHQUZKPC-LLVKDONJSA-N 0 3 314.410 4.106 20 0 DIADHN CCc1nc(CNCC(C)(C)c2ccccc2Cl)cs1 ZINC001620766069 1055937223 /nfs/dbraw/zinc/93/72/23/1055937223.db2.gz HIJQJAAVRRKKIE-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN COc1nsc(CNCC(C)(C)c2ccc(C)cc2)c1Cl ZINC001620771816 1055941201 /nfs/dbraw/zinc/94/12/01/1055941201.db2.gz VWKYWMZPMSJMGD-UHFFFAOYSA-N 0 3 324.877 4.181 20 0 DIADHN CN(Cc1ccc(F)cc1Br)C(C1CC1)C1CC1 ZINC000065243533 1055942204 /nfs/dbraw/zinc/94/22/04/1055942204.db2.gz NTCUVCPTHXPQML-UHFFFAOYSA-N 0 3 312.226 4.209 20 0 DIADHN CC(C)COc1ccc(CCNCc2c(F)cccc2F)cc1 ZINC001620776483 1055943053 /nfs/dbraw/zinc/94/30/53/1055943053.db2.gz ATPBMCGXWPUHRD-UHFFFAOYSA-N 0 3 319.395 4.332 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@H]2CCCC[C@@H]2C)co1 ZINC001617217634 1055944036 /nfs/dbraw/zinc/94/40/36/1055944036.db2.gz UAFBTILCMOJTHJ-YOEHRIQHSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccccc1CC(C)(C)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001620777450 1055944117 /nfs/dbraw/zinc/94/41/17/1055944117.db2.gz VEEQTULTMIARRU-UHFFFAOYSA-N 0 3 303.833 4.465 20 0 DIADHN Cc1cccc(Oc2ccccc2CNCc2ccc(=O)[nH]c2)c1 ZINC001620777697 1055947266 /nfs/dbraw/zinc/94/72/66/1055947266.db2.gz FZKXKRZZUYMIBC-UHFFFAOYSA-N 0 3 320.392 4.178 20 0 DIADHN C/C=C\C[C@@H]1CCCN([C@@H](C)c2cc(C)c(C(=O)OC)o2)C1 ZINC001620468541 1055954942 /nfs/dbraw/zinc/95/49/42/1055954942.db2.gz GBIXZHSTSRXPQA-RWCKICOTSA-N 0 3 305.418 4.114 20 0 DIADHN Cc1cc(Br)c2c(c1)CCN(CC1CCCC1)C2 ZINC001620491038 1055955238 /nfs/dbraw/zinc/95/52/38/1055955238.db2.gz WAHJVOVMMPRSFD-UHFFFAOYSA-N 0 3 308.263 4.306 20 0 DIADHN CC(C)c1cc(CN[C@@H](C)CCc2ccc(F)cc2F)on1 ZINC000671747788 1055955472 /nfs/dbraw/zinc/95/54/72/1055955472.db2.gz OLTDZXJQJCSHIW-LBPRGKRZSA-N 0 3 308.372 4.187 20 0 DIADHN C/C=C/C[C@@H]1CCCN([C@H](C)c2cc(C)c(C(=O)OC)o2)C1 ZINC001620468542 1055955486 /nfs/dbraw/zinc/95/54/86/1055955486.db2.gz GBIXZHSTSRXPQA-XEVLESSRSA-N 0 3 305.418 4.114 20 0 DIADHN Fc1ccc(CNC[C@@H]2CSc3ccccc3O2)c(Cl)c1 ZINC001620985620 1055958768 /nfs/dbraw/zinc/95/87/68/1055958768.db2.gz PHDUPOBWFNHONT-CYBMUJFWSA-N 0 3 323.820 4.122 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)c(C)s1 ZINC000671748765 1055960600 /nfs/dbraw/zinc/96/06/00/1055960600.db2.gz OBYUOIZFLIZNFU-SNVBAGLBSA-N 0 3 302.399 4.063 20 0 DIADHN CC[C@H](C)CCNCc1c(C)nn(-c2ccc(F)cc2)c1Cl ZINC001620998729 1055966931 /nfs/dbraw/zinc/96/69/31/1055966931.db2.gz KMBLEOOZNVLICS-LBPRGKRZSA-N 0 3 323.843 4.499 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671751551 1055967212 /nfs/dbraw/zinc/96/72/12/1055967212.db2.gz JUJSNQRMPODOPH-BLLLJJGKSA-N 0 3 308.381 4.180 20 0 DIADHN COC(=O)CC[C@@H](NCc1cccc(C2CC2)c1)c1ccccc1 ZINC001649091647 1055970654 /nfs/dbraw/zinc/97/06/54/1055970654.db2.gz TUTBQUKMDRMVCT-HXUWFJFHSA-N 0 3 323.436 4.348 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1cc2cccc(C)c2nc1Cl ZINC001620793085 1055972446 /nfs/dbraw/zinc/97/24/46/1055972446.db2.gz MBCWDQLCNNNSLG-FZKQIMNGSA-N 0 3 320.864 4.083 20 0 DIADHN CCO[C@@]1(C)C[C@H]1NCc1ccc(Oc2cccc(F)c2)cc1 ZINC001621011589 1055978558 /nfs/dbraw/zinc/97/85/58/1055978558.db2.gz MJRNKGZKVOLICT-MOPGFXCFSA-N 0 3 315.388 4.275 20 0 DIADHN CCc1ccccc1Oc1ccc(CNCCCO)c(Cl)c1 ZINC001620694766 1055979286 /nfs/dbraw/zinc/97/92/86/1055979286.db2.gz SSKMQXHRGWWITC-UHFFFAOYSA-N 0 3 319.832 4.167 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(OC)c1 ZINC001239623957 1055983300 /nfs/dbraw/zinc/98/33/00/1055983300.db2.gz ZIANRIKQFHWRQV-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN COc1cncc(CN[C@@H](C)c2cccc3ccccc32)c1C ZINC001620704586 1055983637 /nfs/dbraw/zinc/98/36/37/1055983637.db2.gz UGHIRFLUCFQMJE-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1ccc(CCNCc2sc(C)cc2Br)o1 ZINC001620719588 1055984436 /nfs/dbraw/zinc/98/44/36/1055984436.db2.gz DNXFKNQVFGQHOC-UHFFFAOYSA-N 0 3 314.248 4.053 20 0 DIADHN Cc1coc(CN[C@@H](C(C)C)[C@@H](O)c2ccc(Cl)cc2)c1 ZINC001621019176 1055984636 /nfs/dbraw/zinc/98/46/36/1055984636.db2.gz ADUANXLTFDBJNH-IRXDYDNUSA-N 0 3 307.821 4.089 20 0 DIADHN CO[C@@H](CNCc1ccccc1OCC(C)C)C1CCCCC1 ZINC001621018612 1055985281 /nfs/dbraw/zinc/98/52/81/1055985281.db2.gz DLBONVOCSOGTGH-FQEVSTJZSA-N 0 3 319.489 4.406 20 0 DIADHN F[C@H]1CCCC[C@H]1NCc1ccc(OCc2ccncc2)cc1 ZINC001621025928 1055990281 /nfs/dbraw/zinc/99/02/81/1055990281.db2.gz NHEJUNZOPUOYSJ-RBUKOAKNSA-N 0 3 314.404 4.031 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)COc1cccc(C)c1 ZINC001620739305 1055991092 /nfs/dbraw/zinc/99/10/92/1055991092.db2.gz LTQRKFWFIMJBMT-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)(F)CNCc1cccc(OCc2ccc(F)cc2)c1 ZINC001621026445 1055991397 /nfs/dbraw/zinc/99/13/97/1055991397.db2.gz HYZPDYGZOKEQRF-UHFFFAOYSA-N 0 3 305.368 4.242 20 0 DIADHN CCOc1cccc(F)c1CNC[C@@H]1CCCO[C@H]1C(C)(C)C ZINC001620798270 1055993365 /nfs/dbraw/zinc/99/33/65/1055993365.db2.gz ZBMZTGBMUZUDLP-KBXCAEBGSA-N 0 3 323.452 4.155 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nc3c(s2)CCC3)cc1 ZINC001649101278 1055995214 /nfs/dbraw/zinc/99/52/14/1055995214.db2.gz GSAHDPIVECVAHJ-UHFFFAOYSA-N 0 3 300.471 4.008 20 0 DIADHN Fc1ccc(CN[C@H]2CCCN(c3ccccc3)C2)c(Cl)c1 ZINC001620801628 1055996499 /nfs/dbraw/zinc/99/64/99/1055996499.db2.gz KFIRTQDJOJXZIC-INIZCTEOSA-N 0 3 318.823 4.238 20 0 DIADHN Clc1cccc(C2(CNCc3cn(C4CC4)cn3)CCC2)c1 ZINC001621035170 1055996869 /nfs/dbraw/zinc/99/68/69/1055996869.db2.gz IYEOAZAATKYTRC-UHFFFAOYSA-N 0 3 315.848 4.083 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671760966 1055997549 /nfs/dbraw/zinc/99/75/49/1055997549.db2.gz PVABDQJJAGONQG-LLVKDONJSA-N 0 3 322.364 4.439 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671761388 1055997958 /nfs/dbraw/zinc/99/79/58/1055997958.db2.gz DGRQTCVGJZYIHA-SNVBAGLBSA-N 0 3 318.323 4.322 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(Oc2ccccc2)o1 ZINC001620805406 1056000035 /nfs/dbraw/zinc/00/00/35/1056000035.db2.gz SEDVELJELMQJIC-OAHLLOKOSA-N 0 3 303.402 4.367 20 0 DIADHN COc1cccc(OCCCNCc2cccc(Cl)c2F)c1 ZINC001620805216 1056000230 /nfs/dbraw/zinc/00/02/30/1056000230.db2.gz MYTZUPPGRWYPEK-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccccc2OCC2CC2)cc1 ZINC001620807701 1056001620 /nfs/dbraw/zinc/00/16/20/1056001620.db2.gz JSQLNNSOFPQCAM-ARJAWSKDSA-N 0 3 311.400 4.418 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccccc1OC ZINC001621040970 1056002823 /nfs/dbraw/zinc/00/28/23/1056002823.db2.gz QPLZIIDCVLCJKU-RDTXWAMCSA-N 0 3 311.425 4.260 20 0 DIADHN Cc1ccnc(CNC2CCC(C)(C)CC2)c1Br ZINC001620811873 1056004834 /nfs/dbraw/zinc/00/48/34/1056004834.db2.gz MQBFOURPDLCWDA-UHFFFAOYSA-N 0 3 311.267 4.211 20 0 DIADHN Cc1coc(CN[C@H]2CCCN(c3ccccc3Cl)C2)c1 ZINC001620811701 1056005704 /nfs/dbraw/zinc/00/57/04/1056005704.db2.gz GLBWSYBWCOVZPE-AWEZNQCLSA-N 0 3 304.821 4.000 20 0 DIADHN CCCc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)s1 ZINC001587516517 1056005845 /nfs/dbraw/zinc/00/58/45/1056005845.db2.gz CDDZNGJGEKOJAS-UHFFFAOYSA-N 0 3 316.470 4.323 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H](C)Oc1ccccc1C ZINC001620816100 1056009724 /nfs/dbraw/zinc/00/97/24/1056009724.db2.gz RPJNSZUURWYJOQ-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCCC1CCC1 ZINC001621054072 1056012302 /nfs/dbraw/zinc/01/23/02/1056012302.db2.gz XOMGPIIOWHEYLS-UHFFFAOYSA-N 0 3 304.178 4.007 20 0 DIADHN Cc1ccc(CNC2CC(Nc3ccccc3)C2)c(Cl)c1 ZINC001621056979 1056014288 /nfs/dbraw/zinc/01/42/88/1056014288.db2.gz LWNIANGJQOMRPE-UHFFFAOYSA-N 0 3 300.833 4.381 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC(F)(F)CC1)c1c(F)cncc1F ZINC000671770709 1056016427 /nfs/dbraw/zinc/01/64/27/1056016427.db2.gz IITYMMXSMZLQLO-UWVGGRQHSA-N 0 3 304.331 4.224 20 0 DIADHN CC(C)N(CCN(C)C)C(=O)c1csc(C2CCCCC2)c1 ZINC001583089128 1056018503 /nfs/dbraw/zinc/01/85/03/1056018503.db2.gz RFTKISRYPIRRMH-UHFFFAOYSA-N 0 3 322.518 4.208 20 0 DIADHN CCCCc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1 ZINC001540356300 1056018800 /nfs/dbraw/zinc/01/88/00/1056018800.db2.gz LSGSNUPFAAQEDZ-OAGGEKHMSA-N 0 3 302.462 4.229 20 0 DIADHN CCCCc1ccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001540356301 1056019465 /nfs/dbraw/zinc/01/94/65/1056019465.db2.gz LSGSNUPFAAQEDZ-OWCLPIDISA-N 0 3 302.462 4.229 20 0 DIADHN CCCC[C@H](CC)C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C ZINC001540451264 1056033520 /nfs/dbraw/zinc/03/35/20/1056033520.db2.gz QFBCHKYDNAULJY-PKOBYXMFSA-N 0 3 318.505 4.184 20 0 DIADHN CC(C)[C@H]1CN(Cc2cc(Cl)cc3c2OCC3)[C@H]1C(C)C ZINC001621334806 1056038184 /nfs/dbraw/zinc/03/81/84/1056038184.db2.gz XFNUCWQHAPEDQH-SJORKVTESA-N 0 3 307.865 4.387 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(F)ccc2OC)c1F ZINC001239724552 1056042720 /nfs/dbraw/zinc/04/27/20/1056042720.db2.gz UWLZBQBCKNBHNS-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(OC)c(Cl)c2)c1 ZINC000112528395 1056061568 /nfs/dbraw/zinc/06/15/68/1056061568.db2.gz IHIRZDZWRYOUOA-ZDUSSCGKSA-N 0 3 319.832 4.346 20 0 DIADHN COC1CCC(Nc2ccnc3ccc(C(F)(F)F)cc32)CC1 ZINC001168608898 1056062992 /nfs/dbraw/zinc/06/29/92/1056062992.db2.gz SHTLLJAEWQEQSX-UHFFFAOYSA-N 0 3 324.346 4.045 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540702679 1056064139 /nfs/dbraw/zinc/06/41/39/1056064139.db2.gz UUHRUZWCFMGAPK-OXQOHEQNSA-N 0 3 318.505 4.354 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)Cc1ccc(C(C)C)cc1 ZINC001540734189 1056068743 /nfs/dbraw/zinc/06/87/43/1056068743.db2.gz WBEXHVMVIBWWBC-UHFFFAOYSA-N 0 3 318.505 4.281 20 0 DIADHN CC[C@@H]1CCC[C@H]1C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001540779126 1056075479 /nfs/dbraw/zinc/07/54/79/1056075479.db2.gz GKYVNGJJUGRNCR-DJIMGWMZSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@H](CC(=O)N1CCN(CCC(C)(C)C)CC1)C(C)(C)C ZINC001542199455 1056110307 /nfs/dbraw/zinc/11/03/07/1056110307.db2.gz YRCXRTFSFCCHQH-MRXNPFEDSA-N 0 3 310.526 4.029 20 0 DIADHN C[C@@H](C(=O)N(C)c1ccc(C(C)(C)C)cc1)N1CCCCCC1 ZINC001542239016 1056111719 /nfs/dbraw/zinc/11/17/19/1056111719.db2.gz LSBUKCVDNMRCEN-INIZCTEOSA-N 0 3 316.489 4.211 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2Cc3ccc(Cl)cc3C2)n1 ZINC000765805460 1056120081 /nfs/dbraw/zinc/12/00/81/1056120081.db2.gz VVQJQVWRGUIYML-CQSZACIVSA-N 0 3 320.889 4.351 20 0 DIADHN CCN(CC)C(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001239888962 1056133466 /nfs/dbraw/zinc/13/34/66/1056133466.db2.gz PJFOLQHYXIZCKS-UHFFFAOYSA-N 0 3 320.436 4.419 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@H]1CCCC[C@@H]1C(C)(C)C ZINC001542629478 1056147954 /nfs/dbraw/zinc/14/79/54/1056147954.db2.gz QLLLRPRLMMGCOI-FGTMMUONSA-N 0 3 322.537 4.220 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@@H]1CCCC[C@H]1C(C)(C)C ZINC001542629481 1056148076 /nfs/dbraw/zinc/14/80/76/1056148076.db2.gz QLLLRPRLMMGCOI-RCCFBDPRSA-N 0 3 322.537 4.220 20 0 DIADHN C[C@H](NC[C@](C)(O)c1cccc(Cl)c1)c1ccccc1F ZINC000765814712 1056170464 /nfs/dbraw/zinc/17/04/64/1056170464.db2.gz IAWYWADAKUXIPB-SJCJKPOMSA-N 0 3 307.796 4.037 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1CC1CCCCC1 ZINC001583834181 1056180926 /nfs/dbraw/zinc/18/09/26/1056180926.db2.gz IQNDAKYISKPLRE-IBGZPJMESA-N 0 3 322.537 4.460 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C)c1C ZINC000115602483 1056184784 /nfs/dbraw/zinc/18/47/84/1056184784.db2.gz BMLVTPLMAZSWOS-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CSc1cccc(CN[C@@H](c2ccccc2)C(C)(C)CO)c1 ZINC000660428022 1056185067 /nfs/dbraw/zinc/18/50/67/1056185067.db2.gz YRUJIHJMCHAMRD-SFHVURJKSA-N 0 3 315.482 4.258 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCCOC1CC1 ZINC001168621983 1056211466 /nfs/dbraw/zinc/21/14/66/1056211466.db2.gz DKPKCXKCSZFEDD-INIZCTEOSA-N 0 3 311.853 4.204 20 0 DIADHN CCCOc1ccc(-c2cnccc2/C=C/N(C)C)c(F)c1F ZINC001239976913 1056214909 /nfs/dbraw/zinc/21/49/09/1056214909.db2.gz RIGATFFMWHZTQH-CSKARUKUSA-N 0 3 318.367 4.348 20 0 DIADHN Cc1cccc([C@H](NC(=O)CN2[C@@H](C)CC[C@@H]2C)C(C)(C)C)c1 ZINC001543467590 1056227891 /nfs/dbraw/zinc/22/78/91/1056227891.db2.gz BVOMBKDBEIPPCV-BXWFABGCSA-N 0 3 316.489 4.071 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(F)cc2OC)ccc1F ZINC001240001033 1056240540 /nfs/dbraw/zinc/24/05/40/1056240540.db2.gz YKHKJAVKNZCQHF-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(-c3ccc(C)cc3)s2)[C@H](C)C1 ZINC000282283048 1056251684 /nfs/dbraw/zinc/25/16/84/1056251684.db2.gz LELBBRKSFVDODJ-ZBFHGGJFSA-N 0 3 316.470 4.118 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCC2(CCC2)O1)c1cc(F)ccc1F ZINC001168632929 1056259170 /nfs/dbraw/zinc/25/91/70/1056259170.db2.gz FFZIPVGPJCIYQL-WMLDXEAASA-N 0 3 309.400 4.497 20 0 DIADHN COc1nsc(CN[C@H](C)[C@H](C)c2ccccc2)c1Cl ZINC001620823042 1056299788 /nfs/dbraw/zinc/29/97/88/1056299788.db2.gz AYGUGNQKWLJXST-WDEREUQCSA-N 0 3 310.850 4.087 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2Cl)cc1 ZINC000056201583 1056301698 /nfs/dbraw/zinc/30/16/98/1056301698.db2.gz AMANWMMTHGPGLP-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H](CN1CCC(C)CC1)Nc1nc(C2CCCCC2)ns1 ZINC001612607685 1056310742 /nfs/dbraw/zinc/31/07/42/1056310742.db2.gz WNPKKGIAWAZVQA-AWEZNQCLSA-N 0 3 322.522 4.118 20 0 DIADHN FC(F)n1c2ccccc2nc1CN(C1CC1)C1CCCC1 ZINC000107791102 1056314008 /nfs/dbraw/zinc/31/40/08/1056314008.db2.gz LFHBGAQGKCXZTQ-UHFFFAOYSA-N 0 3 305.372 4.338 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(N[C@H]2CCNc3ccccc32)n1 ZINC001168640438 1056314091 /nfs/dbraw/zinc/31/40/91/1056314091.db2.gz INHPUNPCMFMFIJ-QAPCUYQASA-N 0 3 322.456 4.429 20 0 DIADHN CSc1ccc(CN[C@@H]2CCN(c3cccc(Cl)c3)C2)o1 ZINC001620823597 1056319977 /nfs/dbraw/zinc/31/99/77/1056319977.db2.gz ARNFXRYURWQZOP-CYBMUJFWSA-N 0 3 322.861 4.023 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2sccc21 ZINC000765848810 1056320320 /nfs/dbraw/zinc/32/03/20/1056320320.db2.gz KCUGUQAAFOKVPV-INIZCTEOSA-N 0 3 300.471 4.371 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2cc(OC)ccc21 ZINC000765849061 1056322566 /nfs/dbraw/zinc/32/25/66/1056322566.db2.gz MMUHMSJKLYLVCA-HXUWFJFHSA-N 0 3 324.468 4.319 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccccc2N(C)CC)c1 ZINC000765849742 1056325669 /nfs/dbraw/zinc/32/56/69/1056325669.db2.gz PNHATZVMPASDJU-MRXNPFEDSA-N 0 3 312.457 4.392 20 0 DIADHN C[C@H](NCC1(c2cccc(C(F)(F)F)c2)CCC1)c1ncc[nH]1 ZINC000353528018 1056329163 /nfs/dbraw/zinc/32/91/63/1056329163.db2.gz FNVTVJANNSNAGZ-LBPRGKRZSA-N 0 3 323.362 4.201 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNCc1cc(C2CC2)nn1C ZINC001621082024 1056349839 /nfs/dbraw/zinc/34/98/39/1056349839.db2.gz KPUSYNZZTDMNQW-UKTHLTGXSA-N 0 3 315.848 4.144 20 0 DIADHN Fc1ccc(-c2ccc3n[nH]cc3c2)cc1CN1CCCCC1 ZINC001240214921 1056374193 /nfs/dbraw/zinc/37/41/93/1056374193.db2.gz MMYSLHJMSSVOBL-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Fc1cc(-c2cccc(-n3cccn3)c2)ccc1CN1CCCC1 ZINC001240249499 1056386218 /nfs/dbraw/zinc/38/62/18/1056386218.db2.gz ISEFHEQWLOLFRK-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc2[nH]c(=O)oc21)C1CC1 ZINC000921731606 1056390023 /nfs/dbraw/zinc/39/00/23/1056390023.db2.gz DWNGREUEVFIQOR-QGZVFWFLSA-N 0 3 308.381 4.083 20 0 DIADHN c1ccc(Oc2cccc(-c3ccc4c(n3)OCCNC4)c2)cc1 ZINC001240271644 1056404998 /nfs/dbraw/zinc/40/49/98/1056404998.db2.gz MASBOOMEKIVVOQ-UHFFFAOYSA-N 0 3 318.376 4.023 20 0 DIADHN CCCCCCN(C)CC(=O)NC(C)(C)c1cccc(Cl)c1 ZINC001544322390 1056406039 /nfs/dbraw/zinc/40/60/39/1056406039.db2.gz KPHFFSXQDKMFJH-UHFFFAOYSA-N 0 3 324.896 4.203 20 0 DIADHN CN1CCN(Cc2cccc(-c3cccc(C(F)F)c3)c2)CC1 ZINC001240293229 1056417655 /nfs/dbraw/zinc/41/76/55/1056417655.db2.gz DIQJWIKOPAIVPW-UHFFFAOYSA-N 0 3 316.395 4.039 20 0 DIADHN CC(C)[C@@H](C)NC(=O)CN(CC1CCC1)[C@H](C)c1ccccc1 ZINC000303826136 1056423889 /nfs/dbraw/zinc/42/38/89/1056423889.db2.gz KUHGVOIJUOTGHZ-IAGOWNOFSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4ncn(C)c4c3)cc21 ZINC001240320036 1056426978 /nfs/dbraw/zinc/42/69/78/1056426978.db2.gz WOPYGLOYGUYDFS-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CC(C)CCc1ccc(NC(=O)[C@H]2CCCN2CC(C)C)cc1 ZINC001544500373 1056445113 /nfs/dbraw/zinc/44/51/13/1056445113.db2.gz QYLYPNBCBTWIHL-LJQANCHMSA-N 0 3 316.489 4.334 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)C(C)C ZINC000921743838 1056451554 /nfs/dbraw/zinc/45/15/54/1056451554.db2.gz AGSHPKOXHDIFQC-BEFAXECRSA-N 0 3 310.441 4.200 20 0 DIADHN CCCC[C@H](C(=O)N(CCN(C)C)C1CCCCC1)C(C)C ZINC001584968672 1056452534 /nfs/dbraw/zinc/45/25/34/1056452534.db2.gz XZJWBTYFSFVQJA-SFHVURJKSA-N 0 3 310.526 4.172 20 0 DIADHN CC(C)n1cnc2cc(-c3ccc4c(N)ccnc4c3)ccc21 ZINC001240371606 1056459080 /nfs/dbraw/zinc/45/90/80/1056459080.db2.gz STOSOMYGCDIUJT-UHFFFAOYSA-N 0 3 302.381 4.415 20 0 DIADHN CC(C)(C)c1ccnc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240383475 1056463812 /nfs/dbraw/zinc/46/38/12/1056463812.db2.gz DYUCAKFVKXDMQX-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN CC(C)OCc1ccc(C2=CCCN(Cc3ccccc3)C2)nc1 ZINC001240386125 1056465538 /nfs/dbraw/zinc/46/55/38/1056465538.db2.gz BDZHNHVTOGIKKD-UHFFFAOYSA-N 0 3 322.452 4.296 20 0 DIADHN CCCCc1nc(C)cc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240386344 1056466335 /nfs/dbraw/zinc/46/63/35/1056466335.db2.gz LQCOLZFBAJCZAG-UHFFFAOYSA-N 0 3 321.468 4.417 20 0 DIADHN FC(F)(F)c1cncc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240387651 1056468728 /nfs/dbraw/zinc/46/87/28/1056468728.db2.gz ROUDMSQQNXPVGG-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN CCN(Cc1ccn(-c2ccccc2F)n1)C1CCCCC1 ZINC001615149835 1056470107 /nfs/dbraw/zinc/47/01/07/1056470107.db2.gz RCOGOLQMQNTYGO-UHFFFAOYSA-N 0 3 301.409 4.166 20 0 DIADHN FC(F)c1cc(C2=CCCN(Cc3ccccc3)C2)ccn1 ZINC001240388459 1056471398 /nfs/dbraw/zinc/47/13/98/1056471398.db2.gz KWFZYUNTNFPYAG-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN(CC1CCCCC1)C1CC1 ZINC001615214384 1056478312 /nfs/dbraw/zinc/47/83/12/1056478312.db2.gz BKCTURUZDFPSNH-APWZRJJASA-N 0 3 320.521 4.068 20 0 DIADHN COc1ccc(CN(Cc2cc(C)ns2)[C@@H](C)C2CC2)cc1 ZINC001615230499 1056479867 /nfs/dbraw/zinc/47/98/67/1056479867.db2.gz YMYCITWMLMZSRJ-AWEZNQCLSA-N 0 3 316.470 4.261 20 0 DIADHN CCCN(C(=O)c1ccc2c(c1)CNC2)[C@H](CC)c1ccccc1 ZINC000347668355 1056482842 /nfs/dbraw/zinc/48/28/42/1056482842.db2.gz LVOQBVUAYHKTMK-HXUWFJFHSA-N 0 3 322.452 4.293 20 0 DIADHN CCCN(C(=O)c1ccc2c(c1)CNC2)[C@@H](CC)c1ccccc1 ZINC000347668352 1056482883 /nfs/dbraw/zinc/48/28/83/1056482883.db2.gz LVOQBVUAYHKTMK-FQEVSTJZSA-N 0 3 322.452 4.293 20 0 DIADHN Cc1ccc2sc(CN(C)C3Cc4ccccc4C3)nc2c1 ZINC001615273005 1056486480 /nfs/dbraw/zinc/48/64/80/1056486480.db2.gz VNTSLKHKNBGUDC-UHFFFAOYSA-N 0 3 308.450 4.204 20 0 DIADHN Fc1cc(-c2ccnc3[nH]ccc32)ccc1CN1CCCCC1 ZINC001240416425 1056492519 /nfs/dbraw/zinc/49/25/19/1056492519.db2.gz IQMMNQNWJXPGPT-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN COc1cccc(-c2nc(CN(C)[C@H]3CCC[C@@H](C)C3)co2)c1 ZINC001615308538 1056492692 /nfs/dbraw/zinc/49/26/92/1056492692.db2.gz PEAKLVWZEGHYPW-PBHICJAKSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1ccc(-c2ccnc3[nH]ccc32)cc1CN1CCCCC1 ZINC001240416757 1056492780 /nfs/dbraw/zinc/49/27/80/1056492780.db2.gz NNKZLKCNJZNNCT-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H](O)[C@H](C)c1ccccc1 ZINC001615327244 1056493905 /nfs/dbraw/zinc/49/39/05/1056493905.db2.gz WNYDMUGWXDIFQA-UZLBHIALSA-N 0 3 315.432 4.201 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1coc(-c2ccccc2F)n1 ZINC001615398811 1056504505 /nfs/dbraw/zinc/50/45/05/1056504505.db2.gz WEVFLJDJTAJLDY-OAHLLOKOSA-N 0 3 302.393 4.491 20 0 DIADHN COc1ccc(F)c(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001240432360 1056505161 /nfs/dbraw/zinc/50/51/61/1056505161.db2.gz BYGHSXMACMOWOS-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN FC(F)(F)Oc1ccc(CCN2Cc3ccccc3C2)cc1 ZINC001615445070 1056510613 /nfs/dbraw/zinc/51/06/13/1056510613.db2.gz KRHMDILIMXPMKO-UHFFFAOYSA-N 0 3 307.315 4.144 20 0 DIADHN CSCc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000921818615 1056513328 /nfs/dbraw/zinc/51/33/28/1056513328.db2.gz IFCFGZSQLQTOEJ-KRWDZBQOSA-N 0 3 317.502 4.160 20 0 DIADHN CCCN(Cc1cc(Cl)cc(Cl)c1F)CC(C)(C)O ZINC001615453531 1056513675 /nfs/dbraw/zinc/51/36/75/1056513675.db2.gz BPRILISYZRIOHQ-UHFFFAOYSA-N 0 3 308.224 4.115 20 0 DIADHN CCCCCCN1CCN([C@@H](C)c2ccc(F)cc2F)CC1 ZINC001615474486 1056516062 /nfs/dbraw/zinc/51/60/62/1056516062.db2.gz NIGKYVQUEHOXRN-HNNXBMFYSA-N 0 3 310.432 4.224 20 0 DIADHN CCS[C@H]1CCCCN(Cc2ccc(OC)c(Cl)c2)C1 ZINC001615481278 1056516123 /nfs/dbraw/zinc/51/61/23/1056516123.db2.gz LDHICOKIGCRDBB-AWEZNQCLSA-N 0 3 313.894 4.456 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2CC3(CCC3)CO2)cc1 ZINC001615485205 1056520866 /nfs/dbraw/zinc/52/08/66/1056520866.db2.gz OAVUEEJKTLJEBZ-ROUUACIJSA-N 0 3 317.498 4.044 20 0 DIADHN CC(C)[C@H]1CCCCN1CC(=O)Nc1ccc2ccccc2c1 ZINC001615520042 1056525733 /nfs/dbraw/zinc/52/57/33/1056525733.db2.gz PXAJTTIMJIZYIO-LJQANCHMSA-N 0 3 310.441 4.289 20 0 DIADHN C[C@@H]1CN([C@H]2C=CCCCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001615524534 1056526501 /nfs/dbraw/zinc/52/65/01/1056526501.db2.gz UGHCLZTWRZPRMD-BBWFWOEESA-N 0 3 322.493 4.205 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@H](C(C)C)CC2)c(C)c1 ZINC001615539714 1056528585 /nfs/dbraw/zinc/52/85/85/1056528585.db2.gz KQAQNJNZNUJGGW-ROUUACIJSA-N 0 3 316.489 4.389 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2Cc3cccc(Cl)c3C2)cc1F ZINC001168683918 1056547473 /nfs/dbraw/zinc/54/74/73/1056547473.db2.gz BZTNMJPXUMOQSQ-LLVKDONJSA-N 0 3 311.734 4.014 20 0 DIADHN COc1cccc(CCCN2CCc3cccc(F)c3[C@@H]2C)c1 ZINC001615630079 1056547316 /nfs/dbraw/zinc/54/73/16/1056547316.db2.gz JLTQHEDRFAXFHK-HNNXBMFYSA-N 0 3 313.416 4.386 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2c(C)nsc2C)C1(CC)CC ZINC001307551669 1056549484 /nfs/dbraw/zinc/54/94/84/1056549484.db2.gz OBAHHGYDWOFZRF-JKSUJKDBSA-N 0 3 310.507 4.176 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(C(C)C)nc2C)C1(C)C ZINC001615630904 1056550110 /nfs/dbraw/zinc/55/01/10/1056550110.db2.gz SWCUWJFHHVMPRL-ZWKOTPCHSA-N 0 3 304.478 4.149 20 0 DIADHN O[C@@H](CN1CCC2(C1)CCCCC2)c1ccc(F)cc1Cl ZINC001615643450 1056554525 /nfs/dbraw/zinc/55/45/25/1056554525.db2.gz DXZQQYCXKOUGET-INIZCTEOSA-N 0 3 311.828 4.169 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2CCn2cc(Cl)cn2)c1C ZINC001615651252 1056555413 /nfs/dbraw/zinc/55/54/13/1056555413.db2.gz ZEJNTAFUKQVPMT-SFHVURJKSA-N 0 3 317.864 4.381 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2Cc2ccc(CO)cc2)o1 ZINC001615669803 1056562397 /nfs/dbraw/zinc/56/23/97/1056562397.db2.gz XIAMIANHTWSXLE-KXBFYZLASA-N 0 3 313.441 4.308 20 0 DIADHN CC(C)[C@H](CO)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC001615700443 1056564006 /nfs/dbraw/zinc/56/40/06/1056564006.db2.gz OJODCHNDRZQEIX-INIZCTEOSA-N 0 3 323.889 4.421 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1CCOc1ccc(Cl)c(F)c1 ZINC001615725964 1056568853 /nfs/dbraw/zinc/56/88/53/1056568853.db2.gz OAIQVSUFEYIKBR-CYBMUJFWSA-N 0 3 319.807 4.305 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CCC(C2CCCC2)CC1 ZINC001615728774 1056569539 /nfs/dbraw/zinc/56/95/39/1056569539.db2.gz RORBUKUFTPNCGQ-UHFFFAOYSA-N 0 3 319.445 4.031 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2coc(-c3ccccc3F)n2)C1 ZINC001615727934 1056569628 /nfs/dbraw/zinc/56/96/28/1056569628.db2.gz HHBVYJVKBMFKNY-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN CC1(C)C[C@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC000921864074 1056572699 /nfs/dbraw/zinc/57/26/99/1056572699.db2.gz RSVLEVJKMQIWKM-INIZCTEOSA-N 0 3 308.381 4.046 20 0 DIADHN C[C@H](NC(=O)CC1(C)CCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001585551784 1056578166 /nfs/dbraw/zinc/57/81/66/1056578166.db2.gz DZZDMNPJVABOJT-LPHOPBHVSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H](NC(=O)CC1(C)CCCCC1)[C@H](c1ccccc1)N(C)C ZINC001585551785 1056579341 /nfs/dbraw/zinc/57/93/41/1056579341.db2.gz DZZDMNPJVABOJT-QFBILLFUSA-N 0 3 316.489 4.155 20 0 DIADHN C[C@@H](NC(=O)CCCCC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC001585552091 1056579485 /nfs/dbraw/zinc/57/94/85/1056579485.db2.gz IASCCOOWTURQAP-VQIMIIECSA-N 0 3 318.505 4.401 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccccc1C)c1cc(F)ccc1F ZINC000765951354 1056583333 /nfs/dbraw/zinc/58/33/33/1056583333.db2.gz HLCJEXUPDKCYHQ-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN C[C@H]1N(CCCc2ccc(C(F)(F)F)cc2)CCOC1(C)C ZINC001615867527 1056586884 /nfs/dbraw/zinc/58/68/84/1056586884.db2.gz HTLJUMBWPIPXAH-CYBMUJFWSA-N 0 3 315.379 4.137 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccccc2OC(C)C)C12CCC2 ZINC001615870491 1056587059 /nfs/dbraw/zinc/58/70/59/1056587059.db2.gz BEQVAZAVZOFVIK-OALUTQOASA-N 0 3 317.473 4.253 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)Cc2cccc(N(C)C)c2)s1 ZINC000075718247 1056588085 /nfs/dbraw/zinc/58/80/85/1056588085.db2.gz RZUNGRHCMAPEPU-ZDUSSCGKSA-N 0 3 303.475 4.019 20 0 DIADHN CC(C)[C@H]1CCN([C@@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001615867779 1056587944 /nfs/dbraw/zinc/58/79/44/1056587944.db2.gz KDBCEPBGBQJIOK-YJBOKZPZSA-N 0 3 310.441 4.145 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccccc1C)c1cc(F)ccc1F ZINC000765950819 1056589563 /nfs/dbraw/zinc/58/95/63/1056589563.db2.gz HLCJEXUPDKCYHQ-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN CCc1onc(C)c1CN1C[C@@H]2CCCC[C@]21c1ccccc1 ZINC001615910095 1056594786 /nfs/dbraw/zinc/59/47/86/1056594786.db2.gz JMZKHFMUKKPRTO-PXNSSMCTSA-N 0 3 310.441 4.447 20 0 DIADHN COc1cc(C)nc(CN2C[C@@H]3CCCC[C@]32c2ccccc2)c1 ZINC001615910266 1056596179 /nfs/dbraw/zinc/59/61/79/1056596179.db2.gz NXJUDPNQXLXKGW-RXVVDRJESA-N 0 3 322.452 4.300 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@@H](c1ccccc1)C(C)(C)C ZINC001615906597 1056596355 /nfs/dbraw/zinc/59/63/55/1056596355.db2.gz ABRZOKMJTNZVMX-LPHOPBHVSA-N 0 3 318.505 4.256 20 0 DIADHN COc1ccc(-c2ccc(N3CCCC3)cc2)cc1CN(C)C ZINC001240591263 1056597163 /nfs/dbraw/zinc/59/71/63/1056597163.db2.gz OBTNGJDWOPGLOM-UHFFFAOYSA-N 0 3 310.441 4.024 20 0 DIADHN Oc1ccc([C@H]2CCCN2CCCc2c(F)cccc2F)cc1 ZINC001615919801 1056597579 /nfs/dbraw/zinc/59/75/79/1056597579.db2.gz JJWYXHYQRZAOAF-LJQANCHMSA-N 0 3 317.379 4.440 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CC(=O)N(C(C)C)C(C)C)cc1C ZINC000063619341 1056598942 /nfs/dbraw/zinc/59/89/42/1056598942.db2.gz BLHOZRMEHYZSIJ-IBGZPJMESA-N 0 3 316.489 4.086 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2csc(-c3ccc(F)cc3)n2)C1 ZINC000253603605 1056602218 /nfs/dbraw/zinc/60/22/18/1056602218.db2.gz IPIZSZIZYKEZMD-BETUJISGSA-N 0 3 304.434 4.427 20 0 DIADHN NC(=O)C1(NCc2ccc(C3CCCCC3)cc2)CCCCC1 ZINC001615991223 1056603740 /nfs/dbraw/zinc/60/37/40/1056603740.db2.gz PAGQRKUIXQGEHX-UHFFFAOYSA-N 0 3 314.473 4.012 20 0 DIADHN CCC(C)(CC)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001585689271 1056605454 /nfs/dbraw/zinc/60/54/54/1056605454.db2.gz DDBZNPFLNFRKJS-SFHVURJKSA-N 0 3 318.505 4.086 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(Cl)cn2)C1(CC)CC ZINC001615998834 1056606062 /nfs/dbraw/zinc/60/60/62/1056606062.db2.gz JUYAJROUUTZMFF-CVEARBPZSA-N 0 3 310.869 4.151 20 0 DIADHN CCOc1c(Cl)cc(-c2ccc3c(n2)CNCC3)cc1Cl ZINC001240603498 1056609947 /nfs/dbraw/zinc/60/99/47/1056609947.db2.gz JNOBVGPTWZNDGE-UHFFFAOYSA-N 0 3 323.223 4.100 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cccc(OC(C)(C)C)n1 ZINC001616151026 1056614555 /nfs/dbraw/zinc/61/45/55/1056614555.db2.gz DGYSYZXOMUTRMR-AWEZNQCLSA-N 0 3 310.507 4.222 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(C)c(Cl)n2)C1(C)C ZINC001616167248 1056616078 /nfs/dbraw/zinc/61/60/78/1056616078.db2.gz IRNNBXOUYICVAD-HOTGVXAUSA-N 0 3 324.896 4.459 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(C)c(Cl)n2)C1(C)C ZINC001616167247 1056616656 /nfs/dbraw/zinc/61/66/56/1056616656.db2.gz IRNNBXOUYICVAD-CVEARBPZSA-N 0 3 324.896 4.459 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNC1CC(C)C1 ZINC001620830079 1056620006 /nfs/dbraw/zinc/62/00/06/1056620006.db2.gz YSDCPELXSJNMIQ-UHFFFAOYSA-N 0 3 317.864 4.339 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1cc(CO)ccc1F ZINC001616214710 1056621598 /nfs/dbraw/zinc/62/15/98/1056621598.db2.gz HVNXWXBBCJNFIL-UHFFFAOYSA-N 0 3 301.405 4.119 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1scnc1C1CC1)CCC2 ZINC000355489842 1056624648 /nfs/dbraw/zinc/62/46/48/1056624648.db2.gz ZCPPDKJCDIRQAG-HNNXBMFYSA-N 0 3 302.418 4.327 20 0 DIADHN CC(C)CC[C@@H]1CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001616310049 1056631164 /nfs/dbraw/zinc/63/11/64/1056631164.db2.gz XCYKRSQOYSPZJR-INIZCTEOSA-N 0 3 308.853 4.179 20 0 DIADHN CCC[C@@H](C)CCCN1CCO[C@]2(CCc3ccccc32)C1 ZINC001616374469 1056645517 /nfs/dbraw/zinc/64/55/17/1056645517.db2.gz GEHIDNGSFMYZRW-YLJYHZDGSA-N 0 3 301.474 4.377 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N(C)CCN(C)C(=O)OC(C)(C)C ZINC001616389712 1056649101 /nfs/dbraw/zinc/64/91/01/1056649101.db2.gz QVGZKALQSZMOEA-INIZCTEOSA-N 0 3 324.440 4.076 20 0 DIADHN CCCC[C@H](CC)CC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001128188570 1056649304 /nfs/dbraw/zinc/64/93/04/1056649304.db2.gz FXRPVAUGGYXVKI-ZWOKBUDYSA-N 0 3 318.505 4.401 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C[C@H]1CCC2(CCC2)O1 ZINC001616401672 1056650189 /nfs/dbraw/zinc/65/01/89/1056650189.db2.gz DONCCOVFOPEIHP-CKEIUWERSA-N 0 3 303.421 4.235 20 0 DIADHN C[C@@H](NC(=O)C1CCCCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001128190513 1056650895 /nfs/dbraw/zinc/65/08/95/1056650895.db2.gz VCTHQKWVYJAJNG-APWZRJJASA-N 0 3 316.489 4.155 20 0 DIADHN CC[C@@H]1C[C@H]1CN1Cc2cc(Br)ccc2C[C@@H]1C ZINC001616419992 1056652966 /nfs/dbraw/zinc/65/29/66/1056652966.db2.gz SEPMQWNKTDNDIY-SCRDCRAPSA-N 0 3 308.263 4.242 20 0 DIADHN CC[C@@H]1C[C@H]1CN1Cc2cc(Br)ccc2C[C@H]1C ZINC001616419987 1056654056 /nfs/dbraw/zinc/65/40/56/1056654056.db2.gz SEPMQWNKTDNDIY-BZPMIXESSA-N 0 3 308.263 4.242 20 0 DIADHN CCc1ncc(CN2CCC[C@H](CCCc3ccccc3)C2)o1 ZINC001616441887 1056657464 /nfs/dbraw/zinc/65/74/64/1056657464.db2.gz QPIWTJGOPRZFOC-SFHVURJKSA-N 0 3 312.457 4.472 20 0 DIADHN CCCOc1ccc2c(c1)CCN(CCc1cccc(F)c1)C2 ZINC001616457915 1056660536 /nfs/dbraw/zinc/66/05/36/1056660536.db2.gz YHWUJHIJOZBJOD-UHFFFAOYSA-N 0 3 313.416 4.215 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1cccc(CC2CCC2)c1 ZINC001620831504 1056661321 /nfs/dbraw/zinc/66/13/21/1056661321.db2.gz WNGYLKHEWMOUFY-OAQYLSRUSA-N 0 3 309.453 4.161 20 0 DIADHN CCc1c(C)cc(-c2cc(CN(C)CCOC)ccn2)cc1C ZINC001240740409 1056672169 /nfs/dbraw/zinc/67/21/69/1056672169.db2.gz ZVTMGBSXJVFHMR-UHFFFAOYSA-N 0 3 312.457 4.006 20 0 DIADHN Cc1ccc(N2CCC[C@H](N[C@@H](C)c3cccc(C)c3)C2=O)cc1 ZINC001616531223 1056672610 /nfs/dbraw/zinc/67/26/10/1056672610.db2.gz OSLDGCZPCHTGMJ-PXNSSMCTSA-N 0 3 322.452 4.150 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2cccs2)no1)C1CC1 ZINC000064808546 1056674239 /nfs/dbraw/zinc/67/42/39/1056674239.db2.gz FBVVHWUUEGHLBU-NEPJUHHUSA-N 0 3 305.447 4.197 20 0 DIADHN c1ccc([C@H](NCC2=NOCC2)[C@H]2CCCc3ccccc32)cc1 ZINC001616615711 1056687669 /nfs/dbraw/zinc/68/76/69/1056687669.db2.gz KJSHCSGPOGABFF-SFTDATJTSA-N 0 3 320.436 4.214 20 0 DIADHN C[C@@H](NCCN(C)Cc1ccccc1)c1cc(Cl)ccc1F ZINC001616623002 1056689173 /nfs/dbraw/zinc/68/91/73/1056689173.db2.gz NAMVSMVHOLLZAL-CQSZACIVSA-N 0 3 320.839 4.262 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001616653429 1056695020 /nfs/dbraw/zinc/69/50/20/1056695020.db2.gz HJFAPNOYSBMMKB-RHSMWYFYSA-N 0 3 307.384 4.107 20 0 DIADHN CC[N@H+]1CC=C(c2cnc(-c3ccc([O-])c(F)c3)c(C)c2)CC1 ZINC001240782442 1056695591 /nfs/dbraw/zinc/69/55/91/1056695591.db2.gz BJHOMSQYFYQLBX-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN CC[N@@H+]1CC=C(c2cnc(-c3ccc([O-])c(F)c3)c(C)c2)CC1 ZINC001240782442 1056695600 /nfs/dbraw/zinc/69/56/00/1056695600.db2.gz BJHOMSQYFYQLBX-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2ccc([O-])c(F)c2)nc1 ZINC001240785711 1056696931 /nfs/dbraw/zinc/69/69/31/1056696931.db2.gz BUPYBCJEACOAEU-KRWDZBQOSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2ccc([O-])c(F)c2)nc1 ZINC001240785711 1056696941 /nfs/dbraw/zinc/69/69/41/1056696941.db2.gz BUPYBCJEACOAEU-KRWDZBQOSA-N 0 3 300.377 4.140 20 0 DIADHN CCC/C(C)=C\C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001128250784 1056703785 /nfs/dbraw/zinc/70/37/85/1056703785.db2.gz DTQAEZQJVBVLHV-BLMOFCDVSA-N 0 3 316.489 4.006 20 0 DIADHN CC[C@H](NCCCC(=O)OC(C)(C)C)c1ccc(F)cc1F ZINC001616714688 1056705046 /nfs/dbraw/zinc/70/50/46/1056705046.db2.gz QDQIOKJIVTUWDW-HNNXBMFYSA-N 0 3 313.388 4.127 20 0 DIADHN C[C@H](CCCC(C)(C)O)Nc1cccc(N2CCCC[C@H]2C)n1 ZINC001168698603 1056707467 /nfs/dbraw/zinc/70/74/67/1056707467.db2.gz LHABNIJPIGFMDZ-HZPDHXFCSA-N 0 3 319.493 4.202 20 0 DIADHN C[C@H](NCCC1OCCO1)c1ccc(Cl)c(Cl)c1Cl ZINC001616779442 1056715871 /nfs/dbraw/zinc/71/58/71/1056715871.db2.gz ZTOMTJXIRWEFHF-QMMMGPOBSA-N 0 3 324.635 4.060 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@@H]2C2CC2)cs1 ZINC000077281169 1056718659 /nfs/dbraw/zinc/71/86/59/1056718659.db2.gz PNJKVAWIHPKMLA-MRXNPFEDSA-N 0 3 314.454 4.193 20 0 DIADHN CCc1ccc(C2=CCN(CCC(=O)OC(C)(C)C)CC2)cc1 ZINC001616832165 1056725560 /nfs/dbraw/zinc/72/55/60/1056725560.db2.gz NFCYLBMCJKZLDJ-UHFFFAOYSA-N 0 3 315.457 4.070 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cnc4c(ccn4C)c3)cc21 ZINC001240845135 1056725652 /nfs/dbraw/zinc/72/56/52/1056725652.db2.gz RMCLRLPPRQLXOZ-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccc(C)c(C)c1)c1ccccc1 ZINC001616841913 1056727348 /nfs/dbraw/zinc/72/73/48/1056727348.db2.gz NZFLHTUAFMTMMS-IBGZPJMESA-N 0 3 310.441 4.373 20 0 DIADHN CC(C)(C)[C@H]1CC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000672605106 1056735107 /nfs/dbraw/zinc/73/51/07/1056735107.db2.gz LEQHPPRWRDWOGU-UONOGXRCSA-N 0 3 301.459 4.119 20 0 DIADHN NCc1ccc(-c2cc(C(F)(F)F)cc(C(F)(F)F)c2)cn1 ZINC001240886104 1056740914 /nfs/dbraw/zinc/74/09/14/1056740914.db2.gz QEFGEYYPSZRXDS-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN C[C@H](Cc1cccs1)N[C@H](C)c1nccn1-c1ccccc1 ZINC000672617279 1056742817 /nfs/dbraw/zinc/74/28/17/1056742817.db2.gz PZXKNBUSNKEMMJ-HUUCEWRRSA-N 0 3 311.454 4.216 20 0 DIADHN COC(=O)/C(C)=C\CN1CCC[C@H]1c1ccc(C(C)C)cc1 ZINC001616935609 1056743668 /nfs/dbraw/zinc/74/36/68/1056743668.db2.gz GCRQVCSSVFBFMY-RXBGNRNISA-N 0 3 301.430 4.066 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000672616714 1056744504 /nfs/dbraw/zinc/74/45/04/1056744504.db2.gz FGDHTDMLXBSRAF-PMACEKPBSA-N 0 3 312.432 4.014 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)C[C@H]1CCC2(CCC2)CO1 ZINC001616942367 1056744456 /nfs/dbraw/zinc/74/44/56/1056744456.db2.gz MFTSHKMLIZAKFM-CQSZACIVSA-N 0 3 311.828 4.260 20 0 DIADHN CC(C)Cn1cc(-c2ccc(F)c(CN3CCCCC3)c2)cn1 ZINC001240894010 1056746973 /nfs/dbraw/zinc/74/69/73/1056746973.db2.gz QSYBSRRMYMSFKJ-UHFFFAOYSA-N 0 3 315.436 4.331 20 0 DIADHN Cc1cnc(C(C)(C)NC[C@H](C)COCc2ccccc2)s1 ZINC001616989037 1056755700 /nfs/dbraw/zinc/75/57/00/1056755700.db2.gz OSSUUHHCZJTROG-AWEZNQCLSA-N 0 3 318.486 4.129 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](CO)NCc1cccc(Cl)c1Cl ZINC001616998817 1056757469 /nfs/dbraw/zinc/75/74/69/1056757469.db2.gz SQIWEQSDFMXQEO-LRDDRELGSA-N 0 3 324.251 4.248 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1cccc2nsnc21 ZINC001617015838 1056761402 /nfs/dbraw/zinc/76/14/02/1056761402.db2.gz VNPPAVLQVZGMBG-INIZCTEOSA-N 0 3 309.438 4.028 20 0 DIADHN CC(C)c1ccc(C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)cc1 ZINC001128299776 1056761451 /nfs/dbraw/zinc/76/14/51/1056761451.db2.gz PAQLILCOCIFTKP-OXJNMPFZSA-N 0 3 324.468 4.231 20 0 DIADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC001617039798 1056764768 /nfs/dbraw/zinc/76/47/68/1056764768.db2.gz KROXVXXYMRGGSJ-MGPQQGTHSA-N 0 3 317.408 4.353 20 0 DIADHN c1ccc(CC[C@@H]2CN([C@H]3CCCc4ccccc43)CCO2)cc1 ZINC001617073453 1056772590 /nfs/dbraw/zinc/77/25/90/1056772590.db2.gz TWZMAMVUFYDYPI-IRLDBZIGSA-N 0 3 321.464 4.398 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(N(C)C)c3)c(C)c2)CC1 ZINC001240983993 1056798614 /nfs/dbraw/zinc/79/86/14/1056798614.db2.gz TXILNUUJIPZNQM-UHFFFAOYSA-N 0 3 321.468 4.232 20 0 DIADHN CC[C@@]1(C)CN(C[C@H](C)CSc2ccccc2C)CCO1 ZINC001617258506 1056803210 /nfs/dbraw/zinc/80/32/10/1056803210.db2.gz BOAQOZNKEJOIHM-YJBOKZPZSA-N 0 3 307.503 4.224 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2ccn(-c3ccc(Cl)cc3)n2)C1 ZINC001617274624 1056805165 /nfs/dbraw/zinc/80/51/65/1056805165.db2.gz RYBKRYNQUUUNGS-HNNXBMFYSA-N 0 3 317.864 4.394 20 0 DIADHN Cc1cc(C)c2c(c1)CN(CCO[C@H]1CCCC[C@@H]1C)CC2 ZINC001617301787 1056810436 /nfs/dbraw/zinc/81/04/36/1056810436.db2.gz IEEDAEQAODYBNB-JXFKEZNVSA-N 0 3 301.474 4.257 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2ncc(-c3ccccc3F)o2)[C@@H]1C ZINC001617361573 1056820607 /nfs/dbraw/zinc/82/06/07/1056820607.db2.gz VTLTYCIHWFJYIJ-XJKCOSOUSA-N 0 3 302.393 4.395 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@@H](O)c1ccccc1Cl ZINC000132227320 1056821970 /nfs/dbraw/zinc/82/19/70/1056821970.db2.gz CRMBZBDTPACBGU-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](O)c1ccccc1Cl ZINC000132227139 1056822334 /nfs/dbraw/zinc/82/23/34/1056822334.db2.gz CRMBZBDTPACBGU-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN CC[C@H](CC(F)F)CN1CCN([C@@H](C)c2ccsc2)CC1 ZINC001617381581 1056823233 /nfs/dbraw/zinc/82/32/33/1056823233.db2.gz HWDQNHPPTPXGAD-UONOGXRCSA-N 0 3 316.461 4.108 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCN([C@H](C)c2ccsc2)CC1 ZINC001617381655 1056824077 /nfs/dbraw/zinc/82/40/77/1056824077.db2.gz JPLSKIQCHDTFSQ-SJORKVTESA-N 0 3 314.498 4.496 20 0 DIADHN CCC[C@@H](CC)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001128354074 1056824455 /nfs/dbraw/zinc/82/44/55/1056824455.db2.gz ZCZCWTONANJFPH-MOPGFXCFSA-N 0 3 318.505 4.086 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2Nc1ccnc2ccccc21 ZINC000078380476 1056833292 /nfs/dbraw/zinc/83/32/92/1056833292.db2.gz SWKNUZVXHPLMJW-SFHVURJKSA-N 0 3 306.365 4.179 20 0 DIADHN CCN(Cc1ccccc1Br)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC001617482978 1056840797 /nfs/dbraw/zinc/84/07/97/1056840797.db2.gz HTXZPIXRZHBICH-YOWGUQMCSA-N 0 3 308.263 4.317 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(C(C)(C)C)cc1)N1CCCCCC1 ZINC001586985730 1056844747 /nfs/dbraw/zinc/84/47/47/1056844747.db2.gz SMDJHQNBVPKEDV-HNNXBMFYSA-N 0 3 302.462 4.187 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@H](c1ccc(F)cc1)C(C)C ZINC001617538723 1056846650 /nfs/dbraw/zinc/84/66/50/1056846650.db2.gz JHWXXSBZMKHTBM-KXBFYZLASA-N 0 3 322.468 4.005 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@H](c1ccc(F)cc1)C(C)C ZINC001617538720 1056847383 /nfs/dbraw/zinc/84/73/83/1056847383.db2.gz JHWXXSBZMKHTBM-BEFAXECRSA-N 0 3 322.468 4.005 20 0 DIADHN CC(C)[C@@H](NC(=O)CN(C)C(C)C)c1ccc(C(C)(C)C)cc1 ZINC001617551497 1056848179 /nfs/dbraw/zinc/84/81/79/1056848179.db2.gz CFCZUDMKSQVOOU-LJQANCHMSA-N 0 3 318.505 4.138 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001587001367 1056848440 /nfs/dbraw/zinc/84/84/40/1056848440.db2.gz KBBFKAYDIZYUCB-HXUWFJFHSA-N 0 3 322.452 4.413 20 0 DIADHN CCCCc1ccc(-c2cc(CN(C)CCOC)ccn2)cc1 ZINC001241052989 1056848702 /nfs/dbraw/zinc/84/87/02/1056848702.db2.gz QVMBZCPJQQUWLF-UHFFFAOYSA-N 0 3 312.457 4.169 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1ccc(Cl)cc1OC ZINC001617562272 1056849084 /nfs/dbraw/zinc/84/90/84/1056849084.db2.gz PRTFNHOUIARKET-QGZVFWFLSA-N 0 3 311.853 4.130 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1ccc(Cl)cc1OC ZINC001617562271 1056849774 /nfs/dbraw/zinc/84/97/74/1056849774.db2.gz PRTFNHOUIARKET-KRWDZBQOSA-N 0 3 311.853 4.130 20 0 DIADHN CCOc1ccc(-c2cncc(CN(C)C)c2)cc1C(F)(F)F ZINC001241054758 1056850786 /nfs/dbraw/zinc/85/07/86/1056850786.db2.gz ITBBCQHTJHBTBJ-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN CN(CCOc1ccccc1C(C)(C)C)C[C@H]1CCC(C)(C)O1 ZINC001617597911 1056854825 /nfs/dbraw/zinc/85/48/25/1056854825.db2.gz PKEDWSMIUROEMO-MRXNPFEDSA-N 0 3 319.489 4.252 20 0 DIADHN CCOc1ccc(F)c(-c2cncc(CN3CCCC3)c2)c1F ZINC001241061325 1056857589 /nfs/dbraw/zinc/85/75/89/1056857589.db2.gz RNWQYTCNZZVAEU-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cn1cc(CN(CCC2CCC2)Cc2ccc(Cl)cc2)cn1 ZINC001617627425 1056860668 /nfs/dbraw/zinc/86/06/68/1056860668.db2.gz CTPLWKTYEDKIIS-UHFFFAOYSA-N 0 3 317.864 4.266 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1CC1CCC(F)(F)CC1 ZINC001617637720 1056861794 /nfs/dbraw/zinc/86/17/94/1056861794.db2.gz SLTIWAOBGGVBRR-MRXNPFEDSA-N 0 3 317.420 4.010 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)C[C@@H]1CCC2(CCC2)O1 ZINC001617653587 1056865278 /nfs/dbraw/zinc/86/52/78/1056865278.db2.gz FVFFKTSQZLAVIV-DLBZAZTESA-N 0 3 323.864 4.061 20 0 DIADHN CCC1(CC)[C@H](NCc2nc(C(C)(C)C)cs2)[C@@H](C)[C@H]1OC ZINC001617736108 1056876551 /nfs/dbraw/zinc/87/65/51/1056876551.db2.gz YAQYDLCABAXNDK-DAXOMENPSA-N 0 3 324.534 4.370 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCc3n[nH]cc32)C2CC2)cc1Cl ZINC000453309396 1056879259 /nfs/dbraw/zinc/87/92/59/1056879259.db2.gz DURHFSFYGPPSIF-DOTOQJQBSA-N 0 3 319.811 4.321 20 0 DIADHN Fc1cccc2c1CC[C@H]2N1CCC(F)(c2ccccn2)CC1 ZINC001617783242 1056881084 /nfs/dbraw/zinc/88/10/84/1056881084.db2.gz KHQFBHLHXLZECJ-QGZVFWFLSA-N 0 3 314.379 4.169 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(Cl)ccc2F)C12CCCC2 ZINC001617799396 1056885103 /nfs/dbraw/zinc/88/51/03/1056885103.db2.gz KTSYGXSWYIUBMX-HOTGVXAUSA-N 0 3 311.828 4.307 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H]1Cc2cccc(Cl)c2C1 ZINC001168704319 1056890532 /nfs/dbraw/zinc/89/05/32/1056890532.db2.gz MHTWWSXPBNQJFY-AAEUAGOBSA-N 0 3 319.807 4.306 20 0 DIADHN C[C@@H]1CC[C@@H](c2ccccc2)N(CCn2cc(Cl)cn2)C1 ZINC001617836618 1056890934 /nfs/dbraw/zinc/89/09/34/1056890934.db2.gz JIDFVMMEAYVLQD-PBHICJAKSA-N 0 3 303.837 4.010 20 0 DIADHN C[C@@H]1CC[C@H](c2ccccc2)N(CCn2cc(Cl)cn2)C1 ZINC001617836620 1056891090 /nfs/dbraw/zinc/89/10/90/1056891090.db2.gz JIDFVMMEAYVLQD-RHSMWYFYSA-N 0 3 303.837 4.010 20 0 DIADHN C[C@H](N[C@H]1CCS[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000682160382 1056893685 /nfs/dbraw/zinc/89/36/85/1056893685.db2.gz SYHIAIZUMXFYMC-OBJOEFQTSA-N 0 3 304.484 4.355 20 0 DIADHN CCOc1ccc(F)cc1-c1cncc(CN2CCCCC2)c1 ZINC001241103258 1056895772 /nfs/dbraw/zinc/89/57/72/1056895772.db2.gz DVDRIWAFKUNCLE-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1cc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)ccn1 ZINC001618139378 1056927243 /nfs/dbraw/zinc/92/72/43/1056927243.db2.gz MBNRBVKPRDKVCY-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN COc1c(C)cnc(CN(C)CC/C=C/c2ccccc2)c1C ZINC001618170944 1056928060 /nfs/dbraw/zinc/92/80/60/1056928060.db2.gz FUBMRNKENXBRTI-XYOKQWHBSA-N 0 3 310.441 4.242 20 0 DIADHN C[C@H](O)[C@](C)(CNCc1cscc1Cl)Cc1ccccc1 ZINC000682952225 1056928409 /nfs/dbraw/zinc/92/84/09/1056928409.db2.gz RKZXXRDHAJSBCZ-GUYCJALGSA-N 0 3 323.889 4.121 20 0 DIADHN C[C@@H](CCCC(C)(C)O)Nc1ccc(F)c(CN2CCCC2)c1 ZINC001168707457 1056933171 /nfs/dbraw/zinc/93/31/71/1056933171.db2.gz IZFUMTQOZFNWDU-HNNXBMFYSA-N 0 3 322.468 4.163 20 0 DIADHN Cc1cnc(Cl)c(CN[C@@H]2CCO[C@H](c3cccs3)C2)c1 ZINC000922161773 1056933904 /nfs/dbraw/zinc/93/39/04/1056933904.db2.gz HLHUVBOLOVBHKP-KGLIPLIRSA-N 0 3 322.861 4.115 20 0 DIADHN CCOc1cc(-c2cc(CN(C)C)ccn2)cc(C(F)(F)F)c1 ZINC001241161536 1056937194 /nfs/dbraw/zinc/93/71/94/1056937194.db2.gz WXJFKCIZVDZVJQ-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN CCOc1cc(-c2cccc(CN(C)C)n2)cc(C(F)(F)F)c1 ZINC001241164081 1056939310 /nfs/dbraw/zinc/93/93/10/1056939310.db2.gz ZDBQGQBMHXCKKD-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN CC1(C)CN([C@H]2CCc3c2cccc3F)Cc2ccccc2O1 ZINC001618288733 1056946507 /nfs/dbraw/zinc/94/65/07/1056946507.db2.gz UJGBHCBBBUWKKV-SFHVURJKSA-N 0 3 311.400 4.486 20 0 DIADHN CCOc1ccc(-c2ccc3c(N)ccnc3c2)c(F)c1F ZINC001241180342 1056949248 /nfs/dbraw/zinc/94/92/48/1056949248.db2.gz YRIOHPMQINNSIM-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)NCc2cnn3c2CCC3)cc1 ZINC000657413827 1056954186 /nfs/dbraw/zinc/95/41/86/1056954186.db2.gz DWFJAIXKHPZKQQ-UHFFFAOYSA-N 0 3 311.473 4.152 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cccc(O[C@H]3CCCCO3)c2)n1 ZINC001241210160 1056959512 /nfs/dbraw/zinc/95/95/12/1056959512.db2.gz IVNDTRXNJWOGNQ-SFHVURJKSA-N 0 3 308.381 4.444 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cccc(OC2CC2)c1F ZINC000657433869 1056959712 /nfs/dbraw/zinc/95/97/12/1056959712.db2.gz CNKGGBUQPAGVRH-LLVKDONJSA-N 0 3 305.315 4.188 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cccc(OC2CC2)c1F ZINC000657433871 1056960032 /nfs/dbraw/zinc/96/00/32/1056960032.db2.gz CNKGGBUQPAGVRH-NSHDSACASA-N 0 3 305.315 4.188 20 0 DIADHN CCC(C)(CC)NCc1csc(COc2ccccc2)n1 ZINC000116358881 1056973109 /nfs/dbraw/zinc/97/31/09/1056973109.db2.gz QBYCEFUWFNFWLH-UHFFFAOYSA-N 0 3 304.459 4.390 20 0 DIADHN Fc1cccc2c1SCC[C@H]2N[C@H](c1cccnc1)C1CC1 ZINC000358369832 1056983451 /nfs/dbraw/zinc/98/34/51/1056983451.db2.gz QYLMLRBUWOWOLX-SJORKVTESA-N 0 3 314.429 4.499 20 0 DIADHN CCOc1cccc(-c2cncc(CN3CCCCC3)c2)c1F ZINC001241265494 1056987756 /nfs/dbraw/zinc/98/77/56/1056987756.db2.gz IQPOFKQVFUCMBW-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CCOc1ccc(CNC2(c3ccccc3)CC2)cc1OCC ZINC000068980233 1056991588 /nfs/dbraw/zinc/99/15/88/1056991588.db2.gz WLQACIUWHRZWEV-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cccc2[nH]c(=O)oc21 ZINC000922264226 1056992455 /nfs/dbraw/zinc/99/24/55/1056992455.db2.gz AUARPFPZLWPVOO-INIZCTEOSA-N 0 3 308.381 4.009 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H]2Cc3cccc(Cl)c3C2)o1 ZINC001168718354 1056994938 /nfs/dbraw/zinc/99/49/38/1056994938.db2.gz OLBRQVBBBGGCLW-JTQLQIEISA-N 0 3 315.722 4.209 20 0 DIADHN CN(C)Cc1cncc(-c2ccc(C(F)(F)F)c(Cl)c2)c1 ZINC001241289424 1056999194 /nfs/dbraw/zinc/99/91/94/1056999194.db2.gz HEFLIVPNNFLZDA-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CC(C)[C@@H](NCc1ccc2c(c1)OCCO2)c1ccccc1F ZINC000657614563 1057000702 /nfs/dbraw/zinc/00/07/02/1057000702.db2.gz FSJYMQRJIVMZCP-LJQANCHMSA-N 0 3 315.388 4.084 20 0 DIADHN CCC(CC)(CNCc1cnn(C2CCC2)c1)C(F)(F)F ZINC000922314053 1057004877 /nfs/dbraw/zinc/00/48/77/1057004877.db2.gz HRJXXIODRCHCGJ-UHFFFAOYSA-N 0 3 303.372 4.066 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1cc2cnccc2o1 ZINC000922320981 1057005861 /nfs/dbraw/zinc/00/58/61/1057005861.db2.gz RHOLWMUVJPNPNN-CHWSQXEVSA-N 0 3 308.381 4.002 20 0 DIADHN CN1CC=C(c2nnc(-c3cccs3)c3ccccc32)CC1 ZINC001241313171 1057010078 /nfs/dbraw/zinc/01/00/78/1057010078.db2.gz VDEABVBDQXDQAA-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN CN1CC=C(c2nnc(-c3ccc(F)cc3)c3ccccc32)CC1 ZINC001241312639 1057010099 /nfs/dbraw/zinc/01/00/99/1057010099.db2.gz KIMTYONWNFOHJY-UHFFFAOYSA-N 0 3 319.383 4.155 20 0 DIADHN CN1CC=C(c2ccc(-c3cc(F)c(F)c(F)c3)cc2)CC1 ZINC001241320242 1057012166 /nfs/dbraw/zinc/01/21/66/1057012166.db2.gz YMDYGHXDIZVTBY-UHFFFAOYSA-N 0 3 303.327 4.490 20 0 DIADHN CN1CC=C(c2ccc(C(F)(F)F)cc2C(F)(F)F)CC1 ZINC001241319260 1057012709 /nfs/dbraw/zinc/01/27/09/1057012709.db2.gz FYFKKFOYRBSKGC-UHFFFAOYSA-N 0 3 309.253 4.443 20 0 DIADHN CN1CC=C(c2cc(F)c(OCc3ccccc3)c(F)c2)CC1 ZINC001241321698 1057013490 /nfs/dbraw/zinc/01/34/90/1057013490.db2.gz AJUFHYPLLWFREJ-UHFFFAOYSA-N 0 3 315.363 4.263 20 0 DIADHN CC(C)(C)c1cnc(CNCC2C[C@H]3CC[C@@H](C2)S3)s1 ZINC000922422487 1057023241 /nfs/dbraw/zinc/02/32/41/1057023241.db2.gz LCJXEUJRWNXWGQ-YHWZYXNKSA-N 0 3 310.532 4.204 20 0 DIADHN CC(C)Cc1ccc(CNCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000922494070 1057028267 /nfs/dbraw/zinc/02/82/67/1057028267.db2.gz SOGOAEGKTRDZOU-UHFFFAOYSA-N 0 3 320.436 4.429 20 0 DIADHN O=c1[nH]cc(CNCc2ccc(C3CC3)cc2)c2ccccc21 ZINC000922493102 1057028627 /nfs/dbraw/zinc/02/86/27/1057028627.db2.gz CQHIMRVQDLFJHS-UHFFFAOYSA-N 0 3 304.393 4.108 20 0 DIADHN Cc1cc(CO)cc(C)c1CNCc1ccccc1C(F)(F)F ZINC000922562177 1057032401 /nfs/dbraw/zinc/03/24/01/1057032401.db2.gz ZBDWKVUJPKBDRB-UHFFFAOYSA-N 0 3 323.358 4.104 20 0 DIADHN Fc1ccc(-c2cnc3cccnc3c2)cc1CN1CCCCC1 ZINC001241385954 1057033498 /nfs/dbraw/zinc/03/34/98/1057033498.db2.gz QCVXDEQEGUGQDF-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](c1ccccn1)C1CC1 ZINC000922585602 1057035836 /nfs/dbraw/zinc/03/58/36/1057035836.db2.gz SNDJHMZREZCGFK-RDOKFVIMSA-N 0 3 322.452 4.372 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](c1ccccn1)C1CC1 ZINC000922585603 1057036270 /nfs/dbraw/zinc/03/62/70/1057036270.db2.gz SNDJHMZREZCGFK-WMJZSHNHSA-N 0 3 322.452 4.372 20 0 DIADHN Fc1ccc(/C=C\CN[C@H](c2ccccn2)C2CC2)cc1F ZINC000922584135 1057036361 /nfs/dbraw/zinc/03/63/61/1057036361.db2.gz BPLLEBPJUBVEBW-JXCVUKRWSA-N 0 3 300.352 4.114 20 0 DIADHN C[C@@H](COCC1CCCCC1)NCc1ccc(C(F)F)nc1 ZINC000922602562 1057039603 /nfs/dbraw/zinc/03/96/03/1057039603.db2.gz MEVWZMXVFVHBDH-ZDUSSCGKSA-N 0 3 312.404 4.094 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnn(Cc3ccccc3)c1)CC2 ZINC000390429383 1057046315 /nfs/dbraw/zinc/04/63/15/1057046315.db2.gz ZQTCQNRPKFISSI-NRFANRHFSA-N 0 3 317.436 4.017 20 0 DIADHN OCC[C@H](NCc1ccccc1C1CC1)c1ccc(Cl)cc1 ZINC000673615503 1057055824 /nfs/dbraw/zinc/05/58/24/1057055824.db2.gz QDSOJPLSYDTFDW-IBGZPJMESA-N 0 3 315.844 4.431 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(-c3cccs3)n2)CCS1 ZINC000922835524 1057065420 /nfs/dbraw/zinc/06/54/20/1057065420.db2.gz GLNNGPOJJSGCHM-MNOVXSKESA-N 0 3 310.513 4.245 20 0 DIADHN C[C@H]1C[C@H](NCc2csc(-c3ccc(F)cc3)n2)CCS1 ZINC000922841357 1057065841 /nfs/dbraw/zinc/06/58/41/1057065841.db2.gz FWOXYNCPBQZGQL-SMDDNHRTSA-N 0 3 322.474 4.323 20 0 DIADHN Fc1ccc(-c2ccc3nccnc3c2)cc1CN1CCCCC1 ZINC001241499126 1057069536 /nfs/dbraw/zinc/06/95/36/1057069536.db2.gz KSVVQWOCBOUTDV-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1c(CN2CCCC2)cccc1-c1ccc2nccnc2c1 ZINC001241498742 1057069599 /nfs/dbraw/zinc/06/95/99/1057069599.db2.gz GIXNYLKWAMSSFI-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN Fc1ccc2ncc(C3=CCN(Cc4ccccc4)CC3)nc2c1 ZINC001241501659 1057070311 /nfs/dbraw/zinc/07/03/11/1057070311.db2.gz RFMYCZUYYVNKKM-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1csc2c1ncnc2C1=CCN(Cc2ccccc2)CC1 ZINC001241501370 1057070558 /nfs/dbraw/zinc/07/05/58/1057070558.db2.gz BUAHGKDZGYTZFZ-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CC(C)(C)c1nccc(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501400 1057071087 /nfs/dbraw/zinc/07/10/87/1057071087.db2.gz DIBSUVMRDIQCJC-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN c1ccn(-c2cccnc2C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241502284 1057071217 /nfs/dbraw/zinc/07/12/17/1057071217.db2.gz SPZVYPJKHLHQKS-UHFFFAOYSA-N 0 3 315.420 4.162 20 0 DIADHN Cc1cc2cccnc2c(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241502766 1057071325 /nfs/dbraw/zinc/07/13/25/1057071325.db2.gz ATEBAAJQKCFEJQ-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN c1ccc(CN2CC=C(c3ccc4c(n3)CCCC4)CC2)cc1 ZINC001241501429 1057071983 /nfs/dbraw/zinc/07/19/83/1057071983.db2.gz FZMDVHOKJQUGET-UHFFFAOYSA-N 0 3 304.437 4.250 20 0 DIADHN Cc1nc(C2=CCN(Cc3ccccc3)CC2)cc(C(C)C)n1 ZINC001241501493 1057072413 /nfs/dbraw/zinc/07/24/13/1057072413.db2.gz IUOSAXYKMFNHOW-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN FC(F)c1cncc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241504444 1057074744 /nfs/dbraw/zinc/07/47/44/1057074744.db2.gz VFBBJOFBOOLJMQ-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CC(C)(C)Cc3occc32)cc1 ZINC000186609630 1057077799 /nfs/dbraw/zinc/07/77/99/1057077799.db2.gz DYUXEFVVJQQBMM-QGZVFWFLSA-N 0 3 313.441 4.310 20 0 DIADHN CC[C@@H](N[C@H](C(=O)N1CCCC1)C(C)C)c1cccc(Cl)c1 ZINC000673626917 1057078756 /nfs/dbraw/zinc/07/87/56/1057078756.db2.gz GPWWPPJLVQYQAL-SJORKVTESA-N 0 3 322.880 4.028 20 0 DIADHN COc1c(F)cc(C)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241507921 1057079363 /nfs/dbraw/zinc/07/93/63/1057079363.db2.gz UTXKQPNUIOHPKZ-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(F)c2N)C2CCC2)cc1 ZINC000922886495 1057085638 /nfs/dbraw/zinc/08/56/38/1057085638.db2.gz AEAWQLDVXGMYTI-IBGZPJMESA-N 0 3 314.404 4.048 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1cccc2nsnc21 ZINC000766009500 1057085979 /nfs/dbraw/zinc/08/59/79/1057085979.db2.gz JJMDGTMSQMMDQB-SNVBAGLBSA-N 0 3 319.380 4.163 20 0 DIADHN COc1ccc([C@H](NCc2cccc(F)c2N)C2CCC2)cc1 ZINC000922886496 1057086008 /nfs/dbraw/zinc/08/60/08/1057086008.db2.gz AEAWQLDVXGMYTI-LJQANCHMSA-N 0 3 314.404 4.048 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cncc(F)c2)C2CCC2)cc1 ZINC000922888139 1057089499 /nfs/dbraw/zinc/08/94/99/1057089499.db2.gz KDDNHRRXSCMJDC-DJJJIMSYSA-N 0 3 314.404 4.421 20 0 DIADHN CN1CCC=C(c2nc(-c3cccs3)nc3ccccc32)C1 ZINC001241568479 1057092933 /nfs/dbraw/zinc/09/29/33/1057092933.db2.gz SWFQZXIPCHPDLV-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN Fc1ccccc1-c1nc(CN(C2CC2)C2CCCC2)co1 ZINC000625157704 1057093950 /nfs/dbraw/zinc/09/39/50/1057093950.db2.gz OLRSQHKZJKHJHR-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN COc1ccc([C@H](NCc2cnn(C(C)C)c2)C2CCC2)cc1 ZINC000922890868 1057095887 /nfs/dbraw/zinc/09/58/87/1057095887.db2.gz IPCFXIZWJQWVTD-LJQANCHMSA-N 0 3 313.445 4.104 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@H]1C)[C@H](C)c1ccc(C)o1 ZINC000673651039 1057098915 /nfs/dbraw/zinc/09/89/15/1057098915.db2.gz IGJSGIYBARCOAS-BFYDXBDKSA-N 0 3 320.477 4.151 20 0 DIADHN CN(CCC(=O)c1cccs1)[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168739411 1057104565 /nfs/dbraw/zinc/10/45/65/1057104565.db2.gz OSEQHHQYDNTVFJ-CYBMUJFWSA-N 0 3 319.857 4.074 20 0 DIADHN Cn1cc2cc(-c3ccc(F)c(CN4CCCCC4)c3)ccc2n1 ZINC001241608490 1057107358 /nfs/dbraw/zinc/10/73/58/1057107358.db2.gz DOEIZIRTIKDZFC-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1cc(C)c(-c2cc(OC3CCN(C)CC3)ccn2)cc1C ZINC001241628684 1057114335 /nfs/dbraw/zinc/11/43/35/1057114335.db2.gz VHUONYSXAAOIMD-UHFFFAOYSA-N 0 3 310.441 4.147 20 0 DIADHN Cc1csc([C@@H](NCCc2cccc(F)c2F)C2CC2)n1 ZINC000347800500 1057121667 /nfs/dbraw/zinc/12/16/67/1057121667.db2.gz RSQLBOJJDNCJPR-HNNXBMFYSA-N 0 3 308.397 4.013 20 0 DIADHN COc1cc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)cn1 ZINC001241665904 1057127410 /nfs/dbraw/zinc/12/74/10/1057127410.db2.gz SBRRMLWSHQJVRB-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCCC3)c2)c(F)cn1 ZINC001241665076 1057127538 /nfs/dbraw/zinc/12/75/38/1057127538.db2.gz AJHBJFVXUOAMFT-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1n[nH]c2ccc(-c3ccc(CN4CCCC4)c(F)c3)cc12 ZINC001241672027 1057130819 /nfs/dbraw/zinc/13/08/19/1057130819.db2.gz XIMSOHSRTZGORN-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN Cc1noc(C)c1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429138845 1057134982 /nfs/dbraw/zinc/13/49/82/1057134982.db2.gz FYSFSMXSYYSIBZ-OAHLLOKOSA-N 0 3 322.861 4.311 20 0 DIADHN COc1cc(F)c(-c2cc(CN3CCCCC3)ccn2)c(F)c1 ZINC001241692541 1057139256 /nfs/dbraw/zinc/13/92/56/1057139256.db2.gz ZZVBQUNFWMCVEF-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN c1ccc2c(c1)sc1ccc(-c3ncnc4c3CCNC4)cc12 ZINC001241713025 1057145541 /nfs/dbraw/zinc/14/55/41/1057145541.db2.gz SRUXEPZDWMWKNA-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@@H](C3CCC3)C2)co1 ZINC000625471309 1057146013 /nfs/dbraw/zinc/14/60/13/1057146013.db2.gz JMYPBHAXAGDIPJ-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN CCc1ccc(-c2ccc(-c3ncnc4c3CCNC4)cc2)cc1 ZINC001241725378 1057147563 /nfs/dbraw/zinc/14/75/63/1057147563.db2.gz KDCKUZOLDITKDT-UHFFFAOYSA-N 0 3 315.420 4.019 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4ccccc4cn3)cc2)CC1 ZINC001241737703 1057151939 /nfs/dbraw/zinc/15/19/39/1057151939.db2.gz GPAJIXDLMIPVMU-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCN1CCN(c2ccc(-c3nccc4ccsc43)cc2)CC1 ZINC001241738843 1057152248 /nfs/dbraw/zinc/15/22/48/1057152248.db2.gz SZYMMEDLSOJHEQ-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3cc(F)cc4[nH]ccc43)cc2)CC1 ZINC001241743939 1057154001 /nfs/dbraw/zinc/15/40/01/1057154001.db2.gz VTZXXHXSVVHCHK-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CN(C)c1ccccc1CNCc1cccc(-c2ccncc2)c1 ZINC000347840641 1057154143 /nfs/dbraw/zinc/15/41/43/1057154143.db2.gz MRWZJPGCLMGXEJ-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN CCN1CCN(c2ccc(-c3cncc4ccccc43)cc2)CC1 ZINC001241742745 1057154163 /nfs/dbraw/zinc/15/41/63/1057154163.db2.gz BVQLAUVFJMVDRL-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H]1CCc2cc(Cl)ccc21 ZINC000766043524 1057154528 /nfs/dbraw/zinc/15/45/28/1057154528.db2.gz IOBQLBWRBBKOIY-QZTJIDSGSA-N 0 3 313.832 4.093 20 0 DIADHN CCOc1ccc(C)cc1-c1ccc(N2CCN(CC)CC2)cc1 ZINC001241746179 1057155057 /nfs/dbraw/zinc/15/50/57/1057155057.db2.gz SDMSJGJMSADQJG-UHFFFAOYSA-N 0 3 324.468 4.203 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC(c3ccsc3)CC2)cc1 ZINC000186928483 1057158418 /nfs/dbraw/zinc/15/84/18/1057158418.db2.gz KSWBERVARUTNGD-UHFFFAOYSA-N 0 3 315.438 4.053 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000766045107 1057164129 /nfs/dbraw/zinc/16/41/29/1057164129.db2.gz PLBDBTQLYSVEDG-XOBRGWDASA-N 0 3 321.468 4.392 20 0 DIADHN c1ccc(Oc2ccccc2-c2ccc3c(n2)CNCC3)cc1 ZINC001241794035 1057167066 /nfs/dbraw/zinc/16/70/66/1057167066.db2.gz JGYOMLKKMBFJOC-UHFFFAOYSA-N 0 3 302.377 4.187 20 0 DIADHN OCc1cc(-c2ccc(F)c(CN3CCCCC3)c2)ccc1F ZINC001241796894 1057168619 /nfs/dbraw/zinc/16/86/19/1057168619.db2.gz AGSDPAXBYGMKIS-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H]1CC[C@H](C)c2ccccc21 ZINC000766046373 1057171145 /nfs/dbraw/zinc/17/11/45/1057171145.db2.gz XAXIWGRNVXQOGI-QTJFZDIUSA-N 0 3 307.441 4.391 20 0 DIADHN Fc1cc(-c2ccc3c(c2)CCCN3)ccc1CN1CCCC1 ZINC001241838693 1057184096 /nfs/dbraw/zinc/18/40/96/1057184096.db2.gz JISWYAKSJURQRG-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Cc1cccc2ncc(CN[C@H](CC3CC3)c3ccccc3)n21 ZINC000674045136 1057190247 /nfs/dbraw/zinc/19/02/47/1057190247.db2.gz GCPAZSXRYVRCAV-LJQANCHMSA-N 0 3 305.425 4.274 20 0 DIADHN COc1cccc(-c2ccc(CN(C)C3CC3)cn2)c1Cl ZINC001241856552 1057192399 /nfs/dbraw/zinc/19/23/99/1057192399.db2.gz ACGPMEURGOIGKW-UHFFFAOYSA-N 0 3 302.805 4.005 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H](C)c3ccncc3)cs2)cc1 ZINC000674069240 1057198482 /nfs/dbraw/zinc/19/84/82/1057198482.db2.gz DHLKTQPKYXNNLM-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@@H](NCc1ccsc1Br)C(C)(C)C(F)F ZINC000658003679 1057211990 /nfs/dbraw/zinc/21/19/90/1057211990.db2.gz KNWSMOMODIZBPF-SSDOTTSWSA-N 0 3 312.223 4.280 20 0 DIADHN c1ccc(CCC[C@@H](NCc2cncnc2)c2ccccc2)cc1 ZINC000171131516 1057213053 /nfs/dbraw/zinc/21/30/53/1057213053.db2.gz SAWQYIQCWKYQSH-OAQYLSRUSA-N 0 3 317.436 4.330 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000674233583 1057219673 /nfs/dbraw/zinc/21/96/73/1057219673.db2.gz OIZMBDWTCKCFML-STQMWFEESA-N 0 3 304.821 4.473 20 0 DIADHN CNCc1cc(-c2cc(C(C)(C)C)cc(C(C)(C)C)c2)ncn1 ZINC001241971889 1057226267 /nfs/dbraw/zinc/22/62/67/1057226267.db2.gz XKXZZKLJOKIPEV-UHFFFAOYSA-N 0 3 311.473 4.458 20 0 DIADHN c1ccc(-c2ccc(-c3cc(N4CCCC4)ccn3)cc2)nc1 ZINC001241974381 1057228350 /nfs/dbraw/zinc/22/83/50/1057228350.db2.gz SZBDCKDZVITKGH-UHFFFAOYSA-N 0 3 301.393 4.411 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@H](n2ccnc2)C1 ZINC000674322175 1057231136 /nfs/dbraw/zinc/23/11/36/1057231136.db2.gz LTTNCOTXZGRTJB-DLBZAZTESA-N 0 3 303.837 4.325 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](Cn2cccn2)c2ccccc2)c1 ZINC000674371042 1057236311 /nfs/dbraw/zinc/23/63/11/1057236311.db2.gz JBRCIXSNVDKJFH-FXAWDEMLSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](Cn1cccn1)c1ccccc1 ZINC000674370924 1057236529 /nfs/dbraw/zinc/23/65/29/1057236529.db2.gz GLUJWUORSNQQNT-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN Cc1cccn2cc(CN3CC[C@H](c4ccccc4)[C@@H]3C)nc12 ZINC000674375191 1057236688 /nfs/dbraw/zinc/23/66/88/1057236688.db2.gz AZPGRKBVFUUUOA-LPHOPBHVSA-N 0 3 305.425 4.021 20 0 DIADHN COc1cc(-c2cc(CN3CCCCC3)ccn2)c(F)cc1F ZINC001242043439 1057248262 /nfs/dbraw/zinc/24/82/62/1057248262.db2.gz SYHMELGXMHRRAS-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC(C)Oc1ccc(-c2ccc3[nH]c(N)nc3c2)c(Cl)c1 ZINC001242088258 1057259879 /nfs/dbraw/zinc/25/98/79/1057259879.db2.gz ZKHJBQSQXQUWOC-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN CC(C)Oc1ccc(-c2ccc3nc(N)[nH]c3c2)c(Cl)c1 ZINC001242088258 1057259883 /nfs/dbraw/zinc/25/98/83/1057259883.db2.gz ZKHJBQSQXQUWOC-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN CC(C)Oc1ccc(-c2cccc(CN)n2)c(C(F)(F)F)c1 ZINC001242102304 1057263712 /nfs/dbraw/zinc/26/37/12/1057263712.db2.gz ZOWKHUDIHFNAOB-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN CCCN(CCN1CCCC1)C(=O)c1ccc([C@@H](C)CC)cc1 ZINC001128771604 1057265319 /nfs/dbraw/zinc/26/53/19/1057265319.db2.gz MDQRJEFDTKNPCJ-KRWDZBQOSA-N 0 3 316.489 4.148 20 0 DIADHN CCC[C@H](NCc1cccnc1N1CCCC1)c1ccccc1 ZINC000658099152 1057265628 /nfs/dbraw/zinc/26/56/28/1057265628.db2.gz AANMYTZTDCFZKI-IBGZPJMESA-N 0 3 309.457 4.313 20 0 DIADHN CC[C@@H](NCc1cccnc1N1CCCC1)c1cccc(C)c1 ZINC000658107445 1057270545 /nfs/dbraw/zinc/27/05/45/1057270545.db2.gz VVHWWMBDZPWMQE-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN CCCN(CCC)Cc1nnc(-c2cc(C)c(CC)s2)o1 ZINC000674713731 1057275568 /nfs/dbraw/zinc/27/55/68/1057275568.db2.gz WYSWJLXDJNGRRD-UHFFFAOYSA-N 0 3 307.463 4.291 20 0 DIADHN C[C@@H](Oc1ccc([C@H](C)NC/C=C/CO)cc1)c1ccccc1 ZINC000658136291 1057279040 /nfs/dbraw/zinc/27/90/40/1057279040.db2.gz HNWSSTVKBSJMBG-YMPXZSTISA-N 0 3 311.425 4.026 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC)c(O)c2)ccc1F ZINC001242176885 1057287819 /nfs/dbraw/zinc/28/78/19/1057287819.db2.gz SELMTXGEMAXXNU-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CCOCCCN1CCC[C@H]1c1ccccc1Br ZINC000674868504 1057294086 /nfs/dbraw/zinc/29/40/86/1057294086.db2.gz KFQPIADXSKONIM-HNNXBMFYSA-N 0 3 312.251 4.013 20 0 DIADHN Cc1ccc([C@H](NCc2c(C3CC3)cnn2C)C2CCC2)cc1 ZINC000658213341 1057321531 /nfs/dbraw/zinc/32/15/31/1057321531.db2.gz PTPNJRJUOFRZCE-HXUWFJFHSA-N 0 3 309.457 4.237 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3ccc(O)cc31)CCC2 ZINC000658237930 1057334784 /nfs/dbraw/zinc/33/47/84/1057334784.db2.gz GZETZOHEMJCUHR-VQTJNVASSA-N 0 3 309.409 4.055 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H]1CCc2ccc(O)cc21 ZINC000658242193 1057336992 /nfs/dbraw/zinc/33/69/92/1057336992.db2.gz YFLHPBRHYMLXNS-UXHICEINSA-N 0 3 309.409 4.055 20 0 DIADHN C[C@@H](NCc1c(C2CCC2)cnn1C)c1ccc2c(c1)CCCC2 ZINC000658269378 1057347770 /nfs/dbraw/zinc/34/77/70/1057347770.db2.gz NVEHOVHFAKORBT-OAHLLOKOSA-N 0 3 323.484 4.417 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@H]1CC(C)(C)c2ccccc21 ZINC000658268574 1057347789 /nfs/dbraw/zinc/34/77/89/1057347789.db2.gz PDQLNSFRJFYCAM-SFHVURJKSA-N 0 3 309.457 4.200 20 0 DIADHN CN(C)Cc1c2ccccc2oc1C(=O)NCCCC(C)(C)C ZINC000174309117 1057356845 /nfs/dbraw/zinc/35/68/45/1057356845.db2.gz ISSRDNGDRFWXSQ-UHFFFAOYSA-N 0 3 316.445 4.051 20 0 DIADHN CCN(CC)Cc1cc(-c2cnc(OC)c(C)c2)ccc1F ZINC001242432985 1057366358 /nfs/dbraw/zinc/36/63/58/1057366358.db2.gz PZAOLDAYPIFZGX-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccc(-c3ccccc3)o2)o1 ZINC000766143114 1057380275 /nfs/dbraw/zinc/38/02/75/1057380275.db2.gz RAYJESBCSZBOLB-GOEBONIOSA-N 0 3 311.381 4.232 20 0 DIADHN COc1c(F)cccc1-c1cccc(CN2CCCC2)c1F ZINC001242485023 1057381031 /nfs/dbraw/zinc/38/10/31/1057381031.db2.gz LDLZEIPVVUOTFO-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COc1c(F)cccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001242485160 1057381208 /nfs/dbraw/zinc/38/12/08/1057381208.db2.gz OOTIDKPVTMENBM-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CN(C)Cc1ccnc(/C=C/c2ccccc2C(F)(F)F)c1 ZINC001242491211 1057381878 /nfs/dbraw/zinc/38/18/78/1057381878.db2.gz KTVZQPQVLCUQNL-BQYQJAHWSA-N 0 3 306.331 4.332 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(-c2ccccc2)c1C)c1ccncc1 ZINC000766145685 1057382217 /nfs/dbraw/zinc/38/22/17/1057382217.db2.gz RIQGZBXQCBPGQV-QRWLVFNGSA-N 0 3 320.440 4.378 20 0 DIADHN CC(C)CN(Cc1csc(-c2cnn(C)c2)n1)CC(C)(C)C ZINC000675552678 1057387134 /nfs/dbraw/zinc/38/71/34/1057387134.db2.gz NZKOWRDPIPFRJB-UHFFFAOYSA-N 0 3 320.506 4.048 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(Cl)cnc2OC)ccc1F ZINC001242509383 1057388677 /nfs/dbraw/zinc/38/86/77/1057388677.db2.gz GWNWTZBBFIWYSE-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN Cc1c(Cl)c(Cl)ccc1-c1ccc2c(c1)COC21CNC1 ZINC001242516357 1057391309 /nfs/dbraw/zinc/39/13/09/1057391309.db2.gz FYALMGDYZWGXQM-UHFFFAOYSA-N 0 3 320.219 4.298 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cc1F ZINC000675638243 1057394931 /nfs/dbraw/zinc/39/49/31/1057394931.db2.gz XEOBRUAKQNWBRE-SFHVURJKSA-N 0 3 324.399 4.483 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc([C@H](C)O)c2)c1F ZINC001242551635 1057402528 /nfs/dbraw/zinc/40/25/28/1057402528.db2.gz IXFHQDSMOHPIMC-AWEZNQCLSA-N 0 3 301.405 4.388 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](Cn1cccn1)c1ccccc1 ZINC000675722348 1057404406 /nfs/dbraw/zinc/40/44/06/1057404406.db2.gz GLESFPBSXZMWSS-YLJYHZDGSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc(C)c2C)c(C)c1 ZINC000675727408 1057405247 /nfs/dbraw/zinc/40/52/47/1057405247.db2.gz YELPLHSCMMFPNN-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000675751493 1057406569 /nfs/dbraw/zinc/40/65/69/1057406569.db2.gz UHQPYEPKCVYTDP-VXGBXAGGSA-N 0 3 300.427 4.045 20 0 DIADHN COc1ccccc1[C@@H](C)NCCSc1ccccc1F ZINC000675751109 1057406975 /nfs/dbraw/zinc/40/69/75/1057406975.db2.gz WVQDGEIVFKJCEO-CYBMUJFWSA-N 0 3 305.418 4.277 20 0 DIADHN COc1cccc(F)c1[C@H](C)NCCc1c(F)cccc1F ZINC000675753217 1057407916 /nfs/dbraw/zinc/40/79/16/1057407916.db2.gz CODXVBDXJRQMRO-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CC(C)COC[C@@H](N[C@H](C)Cc1cccs1)c1ccco1 ZINC000675800521 1057413437 /nfs/dbraw/zinc/41/34/37/1057413437.db2.gz SDHGSJVKPFCPOY-GDBMZVCRSA-N 0 3 307.459 4.276 20 0 DIADHN COc1cc(F)c(F)cc1-c1cnccc1CN1CCCCC1 ZINC001242580585 1057413525 /nfs/dbraw/zinc/41/35/25/1057413525.db2.gz WIFKMJMOHFLRTO-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@@H](N[C@@H]1CSCc2ccccc21)c1ccc2c(c1)COC2 ZINC000675783454 1057414221 /nfs/dbraw/zinc/41/42/21/1057414221.db2.gz ZWRUVVLBGAIIKD-BFUOFWGJSA-N 0 3 311.450 4.356 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2cc3ccccc3[nH]2)cc1OC ZINC000675790255 1057414910 /nfs/dbraw/zinc/41/49/10/1057414910.db2.gz ZJYUGFASMDQZFO-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(-c2ccncc2)cc1 ZINC000675802720 1057415994 /nfs/dbraw/zinc/41/59/94/1057415994.db2.gz VPMANEFZBWQDQZ-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN Oc1ccc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)cc1 ZINC000675801995 1057416336 /nfs/dbraw/zinc/41/63/36/1057416336.db2.gz GXLNWCPJDLHAJH-HNNXBMFYSA-N 0 3 308.208 4.476 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](Cn2cccn2)c2ccccc2)cc1 ZINC000675900072 1057428198 /nfs/dbraw/zinc/42/81/98/1057428198.db2.gz PDJXZQAUUULGBO-YLJYHZDGSA-N 0 3 305.425 4.284 20 0 DIADHN CC[C@H](N[C@@H](Cn1cccn1)c1ccccc1)c1cccs1 ZINC000675899550 1057428325 /nfs/dbraw/zinc/42/83/25/1057428325.db2.gz JGTDTGNUGRPZGH-IRXDYDNUSA-N 0 3 311.454 4.427 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](Cn2cccn2)c2ccccc2)s1 ZINC000675899947 1057428350 /nfs/dbraw/zinc/42/83/50/1057428350.db2.gz ONJZVAKVARPZBZ-NVXWUHKLSA-N 0 3 311.454 4.345 20 0 DIADHN CN(C)Cc1ccnc(-c2ccccc2Cc2ccccc2)c1 ZINC001242633829 1057430249 /nfs/dbraw/zinc/43/02/49/1057430249.db2.gz SSSSIDOFPDZUNJ-UHFFFAOYSA-N 0 3 302.421 4.401 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CCC[C@H]3C3CC3)nc2c1 ZINC000675888675 1057430927 /nfs/dbraw/zinc/43/09/27/1057430927.db2.gz KDWVWRWJNRLTPF-ZDUSSCGKSA-N 0 3 310.319 4.221 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1ccc(C)cc1C ZINC000675903359 1057433342 /nfs/dbraw/zinc/43/33/42/1057433342.db2.gz CJHKBSDUURZVBB-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCCc2ccccc21 ZINC000675903233 1057433404 /nfs/dbraw/zinc/43/34/04/1057433404.db2.gz BEYAQVNQKRGSTF-MOPGFXCFSA-N 0 3 309.409 4.186 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCc2ccc(C)cc21 ZINC000675903297 1057433425 /nfs/dbraw/zinc/43/34/25/1057433425.db2.gz CDMZBRMHDXKVCW-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCc2ccc(C)cc21 ZINC000675903295 1057433554 /nfs/dbraw/zinc/43/35/54/1057433554.db2.gz CDMZBRMHDXKVCW-OALUTQOASA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1CCc2ccc(C)cc21 ZINC000675903293 1057433607 /nfs/dbraw/zinc/43/36/07/1057433607.db2.gz CDMZBRMHDXKVCW-MOPGFXCFSA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1sccc1C ZINC000675904672 1057433647 /nfs/dbraw/zinc/43/36/47/1057433647.db2.gz XYEZAYGWAAWHQA-SWLSCSKDSA-N 0 3 303.427 4.240 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCCc2ccccc21 ZINC000675903242 1057433776 /nfs/dbraw/zinc/43/37/76/1057433776.db2.gz BEYAQVNQKRGSTF-RTBURBONSA-N 0 3 309.409 4.186 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H](CC)Cc2cccs2)o1 ZINC000675902667 1057433785 /nfs/dbraw/zinc/43/37/85/1057433785.db2.gz XYBSYVLSKSSXBN-XJKSGUPXSA-N 0 3 307.459 4.202 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1ccc(C)cc1C ZINC000675903325 1057434067 /nfs/dbraw/zinc/43/40/67/1057434067.db2.gz CJHKBSDUURZVBB-DNVCBOLYSA-N 0 3 311.425 4.486 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc3c(c2)COC3)s1 ZINC000676239005 1057458398 /nfs/dbraw/zinc/45/83/98/1057458398.db2.gz AJOACMFUVNOGKR-UHFFFAOYSA-N 0 3 301.455 4.366 20 0 DIADHN C[C@@H](C1CC1)N(CC(=O)C12CC3CC(CC(C3)C1)C2)C1CC1 ZINC000676405576 1057470360 /nfs/dbraw/zinc/47/03/60/1057470360.db2.gz XTYHUWFASCYMNT-IVKJLDKCSA-N 0 3 301.474 4.035 20 0 DIADHN CCO[C@@H]1CCCN(Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000676414052 1057471076 /nfs/dbraw/zinc/47/10/76/1057471076.db2.gz NIXPEFAQFMLSSA-MRXNPFEDSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H](c2ccc(C)cc2)N(C)C)c(C)s1 ZINC000676490773 1057476472 /nfs/dbraw/zinc/47/64/72/1057476472.db2.gz TWDSPFFWSVLPMA-CXAGYDPISA-N 0 3 317.502 4.022 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3ccc(F)cc3)CC2)c(C)s1 ZINC000676488405 1057478570 /nfs/dbraw/zinc/47/85/70/1057478570.db2.gz GAZUBRDNHAOHOZ-NSHDSACASA-N 0 3 304.434 4.281 20 0 DIADHN Fc1cccc(CCN(Cc2nccs2)C2CCCC2)c1 ZINC000676715964 1057497710 /nfs/dbraw/zinc/49/77/10/1057497710.db2.gz RBBLYOSKPIUEKZ-UHFFFAOYSA-N 0 3 304.434 4.270 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCCOC[C@@H]1C ZINC000676713049 1057497861 /nfs/dbraw/zinc/49/78/61/1057497861.db2.gz DWRXXQSGJWOOTI-INIZCTEOSA-N 0 3 313.441 4.238 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000218861262 1057502407 /nfs/dbraw/zinc/50/24/07/1057502407.db2.gz AIJHFDDTIYVUJU-HUUCEWRRSA-N 0 3 312.457 4.184 20 0 DIADHN CC[C@@H]1CCC[C@@H](N[C@H](C)c2nnc3n2CCCCC3)CC1 ZINC000316016276 1057505361 /nfs/dbraw/zinc/50/53/61/1057505361.db2.gz ZPGGPOBYXDPOGQ-BZUAXINKSA-N 0 3 304.482 4.014 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccccc32)cc(Cl)c1OC ZINC000073052599 1057506790 /nfs/dbraw/zinc/50/67/90/1057506790.db2.gz OZBPGAMZTSQODQ-MRXNPFEDSA-N 0 3 317.816 4.134 20 0 DIADHN Cn1nc(C2CC2)cc1CNCc1ccccc1-c1ccccc1 ZINC000922948347 1057515786 /nfs/dbraw/zinc/51/57/86/1057515786.db2.gz OKCQRUYORALKLU-UHFFFAOYSA-N 0 3 317.436 4.254 20 0 DIADHN CC(C)O[C@@H](CN1CC[C@H]2CC[C@@H](C1)S2)c1ccccc1 ZINC000625779969 1057516447 /nfs/dbraw/zinc/51/64/47/1057516447.db2.gz XLUFWFABVZMSRL-SQNIBIBYSA-N 0 3 305.487 4.123 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@H]2CCC3(CCC3)O2)s1 ZINC000922966937 1057517683 /nfs/dbraw/zinc/51/76/83/1057517683.db2.gz AUUQYUWHKDVIIZ-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccn1)C1CCC1)c1ccc(F)cc1 ZINC000625787399 1057518781 /nfs/dbraw/zinc/51/87/81/1057518781.db2.gz VVXIFXHMEIYYTG-RBUKOAKNSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1cc(CN[C@@]2(C)CCOc3ccccc32)cc2cccnc12 ZINC000923024122 1057521999 /nfs/dbraw/zinc/52/19/99/1057521999.db2.gz FSEOXRPTQJEQCF-NRFANRHFSA-N 0 3 318.420 4.331 20 0 DIADHN COc1ncc(-c2cccc(CN3CCCC3)c2F)cc1Cl ZINC001242933882 1057522177 /nfs/dbraw/zinc/52/21/77/1057522177.db2.gz FSHFCEKOTMSSMN-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN Cc1ccc2cc(CN[C@]3(C)CCOc4ccccc43)ccc2n1 ZINC000923024574 1057522343 /nfs/dbraw/zinc/52/23/43/1057522343.db2.gz JFUQNNMDVONDEI-OAQYLSRUSA-N 0 3 318.420 4.331 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2nc3ccccc3s2)C12CCC2 ZINC000766165605 1057531245 /nfs/dbraw/zinc/53/12/45/1057531245.db2.gz BSPIRHPESYHMJE-VBNZEHGJSA-N 0 3 316.470 4.295 20 0 DIADHN COCCN(C)Cc1ccnc(-c2c(C)ccc3ccccc32)c1 ZINC001242982140 1057535266 /nfs/dbraw/zinc/53/52/66/1057535266.db2.gz ZWZZIFKCVAKFAF-UHFFFAOYSA-N 0 3 320.436 4.288 20 0 DIADHN FCCCCN1CCC[C@H]1c1ccccc1Br ZINC000671683432 1057537685 /nfs/dbraw/zinc/53/76/85/1057537685.db2.gz JVKGFDVDNDIERH-AWEZNQCLSA-N 0 3 300.215 4.336 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H]2Cc3ccccc3O2)c1Cl ZINC001649133702 1057539933 /nfs/dbraw/zinc/53/99/33/1057539933.db2.gz IWWDVNHSLULLBR-CQSZACIVSA-N 0 3 322.235 4.395 20 0 DIADHN Cc1c(CO)cccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001243016298 1057547576 /nfs/dbraw/zinc/54/75/76/1057547576.db2.gz CSGLDRSQJGIBDG-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN OC[C@H](CC1CCCC1)NCc1csc(Cl)c1Cl ZINC000509444015 1057555959 /nfs/dbraw/zinc/55/59/59/1057555959.db2.gz KBHTUKRFJZBBHX-NSHDSACASA-N 0 3 308.274 4.086 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc3[nH]c(=O)oc3c1)CC2 ZINC000671751574 1057557067 /nfs/dbraw/zinc/55/70/67/1057557067.db2.gz NIMFFDTWPYGXBW-WBMJQRKESA-N 0 3 308.381 4.180 20 0 DIADHN Cn1cncc1-c1ccc(C(F)(F)F)cc1CN1CCCCC1 ZINC001243072659 1057562999 /nfs/dbraw/zinc/56/29/99/1057562999.db2.gz UGJTVVJNJVCRQG-UHFFFAOYSA-N 0 3 323.362 4.092 20 0 DIADHN CC[C@H](NCc1scnc1C1CC1)c1ccc(OC)cc1 ZINC000486965124 1057571113 /nfs/dbraw/zinc/57/11/13/1057571113.db2.gz JOLHKSPHZBVGFS-HNNXBMFYSA-N 0 3 302.443 4.270 20 0 DIADHN c1nc(C2CC2)c(CNCc2cccc(-c3ccccn3)c2)s1 ZINC000487577516 1057574146 /nfs/dbraw/zinc/57/41/46/1057574146.db2.gz ZJCUKCQEIYYUOU-UHFFFAOYSA-N 0 3 321.449 4.372 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1scnc1C1CC1 ZINC000487545382 1057574311 /nfs/dbraw/zinc/57/43/11/1057574311.db2.gz YADVMZXIVNWEKY-GTNSWQLSSA-N 0 3 320.433 4.025 20 0 DIADHN C[C@@H](NCc1ccccc1C1CC1)c1ccc([S@@](C)=O)cc1 ZINC000611120124 1057583146 /nfs/dbraw/zinc/58/31/46/1057583146.db2.gz IUSIAGVMRNQOJB-JLCFBVMHSA-N 0 3 313.466 4.152 20 0 DIADHN CC(C)(C)[C@@H](NCc1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000611278605 1057588987 /nfs/dbraw/zinc/58/89/87/1057588987.db2.gz WPKRTNFMBQRDMU-AWEZNQCLSA-N 0 3 311.351 4.306 20 0 DIADHN CC(C)(C)OC(=O)CCCCNCc1c(F)cccc1Cl ZINC000611346579 1057592179 /nfs/dbraw/zinc/59/21/79/1057592179.db2.gz SVMZWPGTZKWTCH-UHFFFAOYSA-N 0 3 315.816 4.081 20 0 DIADHN COC(=O)c1ccc(CN[C@H](CC2CC2)c2ccccc2)s1 ZINC000611395904 1057597249 /nfs/dbraw/zinc/59/72/49/1057597249.db2.gz YDOZZLJZHLTILZ-MRXNPFEDSA-N 0 3 315.438 4.166 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC000162200717 1057611194 /nfs/dbraw/zinc/61/11/94/1057611194.db2.gz PUKMWEWZHALEIM-CXAGYDPISA-N 0 3 303.425 4.480 20 0 DIADHN Fc1cccc(CCN(Cc2scnc2C2CC2)C2CC2)c1 ZINC000494994417 1057618833 /nfs/dbraw/zinc/61/88/33/1057618833.db2.gz JYOFJDBOIJPBIP-UHFFFAOYSA-N 0 3 316.445 4.367 20 0 DIADHN c1nc(C2CC2)c(CN2CCC(OCC3CCCC3)CC2)s1 ZINC000494956556 1057619195 /nfs/dbraw/zinc/61/91/95/1057619195.db2.gz DBGYATNEZBLJGS-UHFFFAOYSA-N 0 3 320.502 4.192 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1N(C)Cc1scnc1C1CC1 ZINC000494987177 1057619520 /nfs/dbraw/zinc/61/95/20/1057619520.db2.gz SNHBRNBEFCUPHH-GJZGRUSLSA-N 0 3 308.491 4.046 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2scnc2C2CC2)CC1 ZINC000494981955 1057619529 /nfs/dbraw/zinc/61/95/29/1057619529.db2.gz USSKBZLFBRBUKW-UHFFFAOYSA-N 0 3 315.486 4.276 20 0 DIADHN CCCOc1cccc(F)c1-c1ncccc1CN1CCCC1 ZINC001243267955 1057628608 /nfs/dbraw/zinc/62/86/08/1057628608.db2.gz NEOIMWLEACXFJL-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1cc(OC(C)C)ccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001243279907 1057633873 /nfs/dbraw/zinc/63/38/73/1057633873.db2.gz UDIOPWLLMSGQPO-UHFFFAOYSA-N 0 3 310.441 4.438 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNC[C@@H]2CCC=CO2)c1 ZINC000780568297 1057637905 /nfs/dbraw/zinc/63/79/05/1057637905.db2.gz OUNVAVANLCNQLK-LBPRGKRZSA-N 0 3 305.727 4.141 20 0 DIADHN Cc1sc([C@H](C)N[C@@H](C)[C@@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000521511832 1057643545 /nfs/dbraw/zinc/64/35/45/1057643545.db2.gz DEMHMMNHIDKGJX-GARJFASQSA-N 0 3 324.877 4.192 20 0 DIADHN Cc1sc([C@H](C)N[C@H](C)[C@@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000521511834 1057643803 /nfs/dbraw/zinc/64/38/03/1057643803.db2.gz DEMHMMNHIDKGJX-OUAUKWLOSA-N 0 3 324.877 4.192 20 0 DIADHN COc1ccc(-c2cccc(SC)c2F)cc1CN(C)C ZINC001243370419 1057662905 /nfs/dbraw/zinc/66/29/05/1057662905.db2.gz YHGKHWODOFRRAR-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN Nc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1Cl ZINC001243376490 1057664666 /nfs/dbraw/zinc/66/46/66/1057664666.db2.gz PRFWAKGOJRKPQN-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN FC(F)(F)Cn1cc(CNC2(c3ccccc3)CCCC2)cn1 ZINC000531655025 1057670860 /nfs/dbraw/zinc/67/08/60/1057670860.db2.gz WDVBFQREKKFTPP-UHFFFAOYSA-N 0 3 323.362 4.005 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCOC2CCCCCC2)n1 ZINC000532538067 1057672512 /nfs/dbraw/zinc/67/25/12/1057672512.db2.gz VMNVNQVBHOSNIH-LJQANCHMSA-N 0 3 302.462 4.266 20 0 DIADHN Cc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(OC(C)C)c1 ZINC001243420209 1057678458 /nfs/dbraw/zinc/67/84/58/1057678458.db2.gz HDOSSQYBYSAYQV-UHFFFAOYSA-N 0 3 310.441 4.438 20 0 DIADHN CC(C)Oc1ccc(F)c(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001243445216 1057686894 /nfs/dbraw/zinc/68/68/94/1057686894.db2.gz PNRZEQRQVBEJHF-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN CC(C)Oc1cccc(-c2cncc(CN3CCCC3)c2)c1F ZINC001243449414 1057688177 /nfs/dbraw/zinc/68/81/77/1057688177.db2.gz YQJXKSHCZVUWGW-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ncc[nH]1 ZINC000287614533 1057688452 /nfs/dbraw/zinc/68/84/52/1057688452.db2.gz SRAFILKNASVSPP-DLOVCJGASA-N 0 3 310.228 4.313 20 0 DIADHN COc1cncc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)c1 ZINC000289731723 1057690130 /nfs/dbraw/zinc/69/01/30/1057690130.db2.gz GRNHWUZDTNLJSK-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCc2cc(C)ccc21 ZINC000766189955 1057693948 /nfs/dbraw/zinc/69/39/48/1057693948.db2.gz VIBHKWOJQKKQAX-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000766189948 1057694324 /nfs/dbraw/zinc/69/43/24/1057694324.db2.gz VIBHKWOJQKKQAX-OALUTQOASA-N 0 3 309.409 4.104 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(C3CCCC3)cc2)c1 ZINC001243494129 1057695570 /nfs/dbraw/zinc/69/55/70/1057695570.db2.gz SQWPJPGNPJDOQL-UHFFFAOYSA-N 0 3 324.468 4.484 20 0 DIADHN c1cc(N2CCOCC2)cc(-c2ccc(C3CCCC3)cc2)n1 ZINC001243494097 1057695588 /nfs/dbraw/zinc/69/55/88/1057695588.db2.gz RUUGDJMRXOGTFX-UHFFFAOYSA-N 0 3 308.425 4.243 20 0 DIADHN COc1c(F)ccc(-c2cccc(CN3CCCC3)c2F)c1F ZINC001243509103 1057699106 /nfs/dbraw/zinc/69/91/06/1057699106.db2.gz LUKZDBAAVSKQBV-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H](C)c2csc3ccccc32)cc1 ZINC000730019977 1057700618 /nfs/dbraw/zinc/70/06/18/1057700618.db2.gz VQPHBDBRQMUYJH-ZDUSSCGKSA-N 0 3 324.449 4.112 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730020619 1057701088 /nfs/dbraw/zinc/70/10/88/1057701088.db2.gz CRMWJUZIRQRZEE-UONOGXRCSA-N 0 3 318.486 4.103 20 0 DIADHN CCOc1cc(-c2ncccc2CN2CCCC2)c(F)cc1F ZINC001243527113 1057703022 /nfs/dbraw/zinc/70/30/22/1057703022.db2.gz MYRXARDNQQUKQK-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCOc1cc(-c2ccc3c(N)ccnc3c2)c(F)cc1F ZINC001243530095 1057704146 /nfs/dbraw/zinc/70/41/46/1057704146.db2.gz KSTBKBJQFQSZOH-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN COc1ccc(C[NH+]2CCCCC2)cc1-c1cccc([O-])c1F ZINC001243546695 1057709217 /nfs/dbraw/zinc/70/92/17/1057709217.db2.gz SDNAFOFPTSDXJP-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COc1c(C)cnc(CN2CCC(c3ccccc3C)CC2)c1C ZINC000730379095 1057712508 /nfs/dbraw/zinc/71/25/08/1057712508.db2.gz YRUFXSQAQDSBBF-UHFFFAOYSA-N 0 3 324.468 4.395 20 0 DIADHN CC(C)(C)n1cc(-c2ccc(F)c(CN3CCCCC3)c2)cn1 ZINC001243565989 1057715912 /nfs/dbraw/zinc/71/59/12/1057715912.db2.gz IYZDFDGOXFFPFY-UHFFFAOYSA-N 0 3 315.436 4.430 20 0 DIADHN CSc1ncc(Cl)cc1-c1ccc2c(N)ccnc2c1 ZINC001243636121 1057740593 /nfs/dbraw/zinc/74/05/93/1057740593.db2.gz DBWPDNOFXMVBMW-UHFFFAOYSA-N 0 3 301.802 4.254 20 0 DIADHN CN(Cc1ccc(-c2ccc(C(C)(F)F)cc2)nc1)C1CC1 ZINC001243645168 1057742460 /nfs/dbraw/zinc/74/24/60/1057742460.db2.gz OLNAXNICXZKWBT-UHFFFAOYSA-N 0 3 302.368 4.455 20 0 DIADHN Cc1cc(OC(C)C)ccc1-c1cccc(N2CCN(C)CC2)c1 ZINC001243671274 1057749922 /nfs/dbraw/zinc/74/99/22/1057749922.db2.gz WFGXSLBNXJCXOX-UHFFFAOYSA-N 0 3 324.468 4.201 20 0 DIADHN C[C@@H](CN(C)Cn1ccc(-c2ccsc2)n1)c1ccccc1 ZINC000731660605 1057751254 /nfs/dbraw/zinc/75/12/54/1057751254.db2.gz OPRKBTQYPQIYCW-HNNXBMFYSA-N 0 3 311.454 4.305 20 0 DIADHN CSc1c(F)cc(-c2ccc3c(N)ccnc3c2)cc1F ZINC001243688630 1057753909 /nfs/dbraw/zinc/75/39/09/1057753909.db2.gz FCNCBUAHMVADSE-UHFFFAOYSA-N 0 3 302.349 4.484 20 0 DIADHN COc1c(C)cnc(CN(C2CC2)[C@@H]2CCc3ccccc32)c1C ZINC000731890065 1057757401 /nfs/dbraw/zinc/75/74/01/1057757401.db2.gz PGZDGJGZBYFUHM-HXUWFJFHSA-N 0 3 322.452 4.359 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCOc1ccc(C)cc1)CCC2 ZINC000732214028 1057770055 /nfs/dbraw/zinc/77/00/55/1057770055.db2.gz LMEOHWONIQUFJD-QGZVFWFLSA-N 0 3 316.470 4.148 20 0 DIADHN CCCCCCN(C)CC(=O)NCc1csc2ccccc12 ZINC000732271975 1057772490 /nfs/dbraw/zinc/77/24/90/1057772490.db2.gz BRLJJXKJLKCATN-UHFFFAOYSA-N 0 3 318.486 4.030 20 0 DIADHN CCOc1ncc(-c2ccc(CN(CC)CC)c(F)c2)cc1F ZINC001243775650 1057776523 /nfs/dbraw/zinc/77/65/23/1057776523.db2.gz LGKVXTHJOJZYPT-UHFFFAOYSA-N 0 3 320.383 4.267 20 0 DIADHN CCCCCCC(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000732732970 1057786760 /nfs/dbraw/zinc/78/67/60/1057786760.db2.gz UPQHJTCWDZBYRB-UHFFFAOYSA-N 0 3 302.462 4.084 20 0 DIADHN CC[C@H](Nc1ccc(N2CCN(CC)CC2)cc1)c1ccccc1 ZINC000732917934 1057791088 /nfs/dbraw/zinc/79/10/88/1057791088.db2.gz UFLLGQJWNXGBSM-NRFANRHFSA-N 0 3 323.484 4.392 20 0 DIADHN Fc1ccc(-c2cccc3c2CCN3)cc1CN1CCCCC1 ZINC001243855887 1057793442 /nfs/dbraw/zinc/79/34/42/1057793442.db2.gz NRYMNHXDXCDAMW-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cn1nccc1-c1ccncc1 ZINC000732979227 1057795230 /nfs/dbraw/zinc/79/52/30/1057795230.db2.gz JGSIZGBMPKWZKG-HXUWFJFHSA-N 0 3 320.440 4.232 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc2c(c1)CCC(C)(C)O2 ZINC001243919305 1057807389 /nfs/dbraw/zinc/80/73/89/1057807389.db2.gz QJDWZAGWTLXHDX-FMIVXFBMSA-N 0 3 308.425 4.385 20 0 DIADHN Fc1ccc2nc(C(F)F)nc(-c3cccc4nc[nH]c43)c2c1 ZINC001243932303 1057811318 /nfs/dbraw/zinc/81/13/18/1057811318.db2.gz NKIPYNXAAPFGKC-UHFFFAOYSA-N 0 3 314.270 4.250 20 0 DIADHN Fc1ccc(-c2cccc3[nH]cnc32)cc1CN1CCCCC1 ZINC001243936275 1057813047 /nfs/dbraw/zinc/81/30/47/1057813047.db2.gz YCFUWKKSSUUAGM-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CO[C@H](CNCc1cc(-c2ccccc2)cs1)C(F)(F)F ZINC000733330491 1057813669 /nfs/dbraw/zinc/81/36/69/1057813669.db2.gz ZMHPDHBEBUHUOS-CQSZACIVSA-N 0 3 315.360 4.082 20 0 DIADHN Cc1ncc(C2CC2)cc1-c1cncc(CN2CCCCC2)c1 ZINC001244142847 1057884799 /nfs/dbraw/zinc/88/47/99/1057884799.db2.gz VLIOWKINLYRHDS-UHFFFAOYSA-N 0 3 307.441 4.315 20 0 DIADHN CCN1CC=C(c2cnc(-c3cnccc3C3CC3)c(C)c2)CC1 ZINC001244280628 1057923018 /nfs/dbraw/zinc/92/30/18/1057923018.db2.gz ZNINGUGCPRZART-UHFFFAOYSA-N 0 3 319.452 4.438 20 0 DIADHN c1cc2cc(-c3cncc([C@H]4CN5CCC4CC5)n3)ccc2s1 ZINC001244296670 1057925496 /nfs/dbraw/zinc/92/54/96/1057925496.db2.gz KEKMNVFGYMOMAF-INIZCTEOSA-N 0 3 321.449 4.168 20 0 DIADHN FC(F)(F)Cc1ccc(-c2cccc(C3=NCCC3)c2)cn1 ZINC001244296769 1057925525 /nfs/dbraw/zinc/92/55/25/1057925525.db2.gz QYIHKXZGBGQAQD-UHFFFAOYSA-N 0 3 304.315 4.436 20 0 DIADHN Cc1ccc(-c2ccc(-c3cccnc3OC3CNC3)cc2)cc1 ZINC001244297680 1057926058 /nfs/dbraw/zinc/92/60/58/1057926058.db2.gz LWSDWOXPDJJPHT-UHFFFAOYSA-N 0 3 316.404 4.075 20 0 DIADHN CCCOc1cc(F)ccc1-c1ccc(OC)c(CN(C)C)c1 ZINC001244358978 1057943104 /nfs/dbraw/zinc/94/31/04/1057943104.db2.gz KSPQCPCEZPABQO-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CCN(CC)Cc1cccc(-c2c(F)cc(CO)cc2F)c1F ZINC001244371290 1057945833 /nfs/dbraw/zinc/94/58/33/1057945833.db2.gz UBGNALQAQZBAQP-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(F)cc(CO)cc2F)cc1F ZINC001244370244 1057946035 /nfs/dbraw/zinc/94/60/35/1057946035.db2.gz JLGQGLREQXISKF-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CC(C)c1cc(-c2cc(N3CCOCC3)ccn2)ccc1Cl ZINC001244437989 1057957976 /nfs/dbraw/zinc/95/79/76/1057957976.db2.gz DCZRLPYXYYEFCX-UHFFFAOYSA-N 0 3 316.832 4.362 20 0 DIADHN Cc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)c(CO)c1 ZINC001244490973 1057974023 /nfs/dbraw/zinc/97/40/23/1057974023.db2.gz DFRJNSYLLRUAAR-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(CO)c1 ZINC001244491811 1057974462 /nfs/dbraw/zinc/97/44/62/1057974462.db2.gz RQXXQVNTQJJANR-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN Cc1cc(Cl)c(-c2ccc3c(c2)COC32CNC2)c(Cl)c1 ZINC001244507781 1057980557 /nfs/dbraw/zinc/98/05/57/1057980557.db2.gz PCZLVIOENWRSBI-UHFFFAOYSA-N 0 3 320.219 4.298 20 0 DIADHN COc1c(C)ccc(F)c1-c1cncc(CN2CCCCC2)c1 ZINC001244527934 1057986621 /nfs/dbraw/zinc/98/66/21/1057986621.db2.gz PNPXWKNRSLGCQF-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(C)c(CO)c(C)c2)c1F ZINC001244712060 1058033313 /nfs/dbraw/zinc/03/33/13/1058033313.db2.gz GSQGJQRMBKRVCC-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1-c1ccc2c(n1)OCCNC2 ZINC001244833268 1058056057 /nfs/dbraw/zinc/05/60/57/1058056057.db2.gz AXZGZBYOSUMULS-UHFFFAOYSA-N 0 3 316.404 4.206 20 0 DIADHN CC(C)=CCC[C@H](C)CN1C[C@H]2CC[C@@H](C1)N2Cc1ccc[nH]1 ZINC001278392680 1058079505 /nfs/dbraw/zinc/07/95/05/1058079505.db2.gz IGOGKYGOWPBCHL-YSIASYRMSA-N 0 3 315.505 4.046 20 0 DIADHN c1cc(-c2cncc([C@@H]3CN4CCC3CC4)n2)cc(C2CCC2)c1 ZINC001244979626 1058085346 /nfs/dbraw/zinc/08/53/46/1058085346.db2.gz FWVHTNFXDMTLSB-LJQANCHMSA-N 0 3 319.452 4.220 20 0 DIADHN c1cc(-c2cc(N3CCOCC3)ccn2)cc(C2CCCC2)c1 ZINC001244986378 1058086806 /nfs/dbraw/zinc/08/68/06/1058086806.db2.gz PBRDIYSWXWJPLV-UHFFFAOYSA-N 0 3 308.425 4.243 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(O)c2OC)cc1F ZINC001244991847 1058088193 /nfs/dbraw/zinc/08/81/93/1058088193.db2.gz FMJMOBWLYIPGRE-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Fc1cc(Cl)c(-c2cncc(CN3CCCC3)c2)cc1F ZINC001245017081 1058094072 /nfs/dbraw/zinc/09/40/72/1058094072.db2.gz MJECZMUHYQMIOQ-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN CCOc1cc(C)c(C)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001245025537 1058096430 /nfs/dbraw/zinc/09/64/30/1058096430.db2.gz GYHUJKCSVQWEFO-UHFFFAOYSA-N 0 3 310.441 4.358 20 0 DIADHN CCCC[C@H](CC)CN1C[C@@H]2COC[C@H](C1)N2C[C@@H](C)CCC ZINC001278401587 1058135344 /nfs/dbraw/zinc/13/53/44/1058135344.db2.gz UXZYUXRPWCUDEC-LWYYNNOASA-N 0 3 324.553 4.024 20 0 DIADHN COc1c(-c2ccc3c(c2)CN(C)CC3)cccc1C(F)(F)F ZINC001245277613 1058138786 /nfs/dbraw/zinc/13/87/86/1058138786.db2.gz CQVWAECORJCPJG-UHFFFAOYSA-N 0 3 321.342 4.369 20 0 DIADHN FC(F)(F)c1ccc(-c2cc[nH]n2)c(CN2CCCCC2)c1 ZINC001245314170 1058143684 /nfs/dbraw/zinc/14/36/84/1058143684.db2.gz MRIPDKARFOOFSY-UHFFFAOYSA-N 0 3 309.335 4.081 20 0 DIADHN c1ccc(-c2ccc(C3=CC[C@@H](N4CCOCC4)CC3)cc2)nc1 ZINC001245426527 1058164537 /nfs/dbraw/zinc/16/45/37/1058164537.db2.gz SQYUKHSYRIUUDY-HXUWFJFHSA-N 0 3 320.436 4.017 20 0 DIADHN Fc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c2sccc21 ZINC001245426407 1058164550 /nfs/dbraw/zinc/16/45/50/1058164550.db2.gz IQSNNAGWHZGUIN-AWEZNQCLSA-N 0 3 317.429 4.309 20 0 DIADHN Cc1cc(C2=CC[C@H](N3CCOCC3)CC2)ccc1OC(C)C ZINC001245427796 1058164589 /nfs/dbraw/zinc/16/45/89/1058164589.db2.gz NUQPSPIZOSQPIW-IBGZPJMESA-N 0 3 315.457 4.050 20 0 DIADHN Cc1ccc2ccc(C3=CC[C@@H](N4CCOCC4)CC3)cc2c1 ZINC001245428669 1058164689 /nfs/dbraw/zinc/16/46/89/1058164689.db2.gz PYCXPCLGHTVTHX-OAQYLSRUSA-N 0 3 307.437 4.416 20 0 DIADHN CCSc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001245424853 1058164751 /nfs/dbraw/zinc/16/47/51/1058164751.db2.gz OHYNLFGQJSNOIO-QGZVFWFLSA-N 0 3 303.471 4.067 20 0 DIADHN c1cncc(-c2cccc(C3=CC[C@@H](N4CCOCC4)CC3)c2)c1 ZINC001245427470 1058164793 /nfs/dbraw/zinc/16/47/93/1058164793.db2.gz PIKQROGADRKOAK-OAQYLSRUSA-N 0 3 320.436 4.017 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(F)c(N)c2C)ccc1F ZINC001245462846 1058171103 /nfs/dbraw/zinc/17/11/03/1058171103.db2.gz FQKKVNNPGBIOLU-UHFFFAOYSA-N 0 3 304.384 4.364 20 0 DIADHN CCN(CC)Cc1cc(-c2cn(C)c3cnccc23)ccc1F ZINC001245494201 1058183823 /nfs/dbraw/zinc/18/38/23/1058183823.db2.gz ZJFKAZAPLIRYOT-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCCC[C@@H](CCC)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001545231277 1058187435 /nfs/dbraw/zinc/18/74/35/1058187435.db2.gz GCLCBHVOTBPWKU-MRXNPFEDSA-N 0 3 315.461 4.380 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1 ZINC001545565255 1058209912 /nfs/dbraw/zinc/20/99/12/1058209912.db2.gz FGAXTJSYNUZHHS-OAGGEKHMSA-N 0 3 302.462 4.085 20 0 DIADHN CC(C)Oc1cc(F)c(-c2cnccc2/C=C/N(C)C)c(F)c1 ZINC001245532011 1058211218 /nfs/dbraw/zinc/21/12/18/1058211218.db2.gz UWCHSFRRUFSLFC-SOFGYWHQSA-N 0 3 318.367 4.346 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H]1CCC[C@H]1C(C)(C)C ZINC001557081966 1058226170 /nfs/dbraw/zinc/22/61/70/1058226170.db2.gz LKGSNJSYOWISSN-SJORKVTESA-N 0 3 310.526 4.172 20 0 DIADHN C[C@@H]1CC[C@H](OC(=O)C2(C)CCN(Cc3ccccc3)CC2)C1 ZINC001527758821 1058231196 /nfs/dbraw/zinc/23/11/96/1058231196.db2.gz FGLCFRQFNHZWTJ-AEFFLSMTSA-N 0 3 315.457 4.021 20 0 DIADHN C[C@H]1CC[C@H](OC(=O)C2(C)CCN(Cc3ccccc3)CC2)C1 ZINC001527758824 1058231238 /nfs/dbraw/zinc/23/12/38/1058231238.db2.gz FGLCFRQFNHZWTJ-WMZOPIPTSA-N 0 3 315.457 4.021 20 0 DIADHN CCC(CC)CC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001547469088 1058251924 /nfs/dbraw/zinc/25/19/24/1058251924.db2.gz LXPJOFDTWCTZNQ-LJQANCHMSA-N 0 3 316.489 4.156 20 0 DIADHN Cc1nc([C@H]2CCCCN2C[C@H](O)c2ccccc2)sc1C ZINC001548900139 1058275726 /nfs/dbraw/zinc/27/57/26/1058275726.db2.gz AQQITIAYBRMTCL-SJORKVTESA-N 0 3 316.470 4.021 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)c1cnn3c1CCCC3)CCC2 ZINC001549034495 1058277928 /nfs/dbraw/zinc/27/79/28/1058277928.db2.gz UEIZPICRGHEJGF-BEFAXECRSA-N 0 3 309.457 4.256 20 0 DIADHN CC(C)CCCCC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001517812208 1058287556 /nfs/dbraw/zinc/28/75/56/1058287556.db2.gz BBZAYQOJZSVDLZ-APWZRJJASA-N 0 3 304.478 4.010 20 0 DIADHN [O-]c1cccc(F)c1-c1ccc2[nH]cc(CC[NH+]3CCCC3)c2c1 ZINC001245656161 1058313872 /nfs/dbraw/zinc/31/38/72/1058313872.db2.gz MNZTYMSFHKHKCN-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3c([O-])cccc3F)cc12 ZINC001245654189 1058315253 /nfs/dbraw/zinc/31/52/53/1058315253.db2.gz BAQLKJNPAAAQIY-OAHLLOKOSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3c([O-])cccc3F)cc12 ZINC001245654189 1058315266 /nfs/dbraw/zinc/31/52/66/1058315266.db2.gz BAQLKJNPAAAQIY-OAHLLOKOSA-N 0 3 324.399 4.316 20 0 DIADHN Cc1nnc(CN2[C@@H](C)C[C@H](c3ccccc3)C2(C)C)s1 ZINC001550156187 1058320187 /nfs/dbraw/zinc/32/01/87/1058320187.db2.gz FKSHAUYXJWXQQV-SWLSCSKDSA-N 0 3 301.459 4.003 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@H](c1ccncc1)C1CCC1 ZINC001550243269 1058326173 /nfs/dbraw/zinc/32/61/73/1058326173.db2.gz JXFGSVMZGZXKPB-ICSRJNTNSA-N 0 3 308.425 4.296 20 0 DIADHN Oc1ccc2c(c1)[C@@H](N[C@@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243260 1058327529 /nfs/dbraw/zinc/32/75/29/1058327529.db2.gz JKBVHEQLGHBYRD-VQTJNVASSA-N 0 3 308.425 4.296 20 0 DIADHN CCCC[C@@H](C)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001518298733 1058330783 /nfs/dbraw/zinc/33/07/83/1058330783.db2.gz CFVUCNHCVZSFMH-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC1CC2(C1)CC(F)(F)C2 ZINC001550500886 1058342422 /nfs/dbraw/zinc/34/24/22/1058342422.db2.gz JZMFFAZXXQXRDW-UHFFFAOYSA-N 0 3 324.390 4.093 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CC2(Cl)Cl)CC[C@H](c2ccccc2)O1 ZINC001551254271 1058382774 /nfs/dbraw/zinc/38/27/74/1058382774.db2.gz BIAKEAPNSVRTFU-VHDGCEQUSA-N 0 3 314.256 4.032 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](c3ccccc3)O[C@H](C)C2)s1 ZINC001551259134 1058382884 /nfs/dbraw/zinc/38/28/84/1058382884.db2.gz PTSIFASZFHSYPW-CXAGYDPISA-N 0 3 316.470 4.112 20 0 DIADHN C[C@H]1CN(Cc2ccc3c(c2)COC3)CC[C@@H](c2ccccc2)O1 ZINC001551261481 1058386088 /nfs/dbraw/zinc/38/60/88/1058386088.db2.gz WQOSRPLCPDISME-KKSFZXQISA-N 0 3 323.436 4.069 20 0 DIADHN C[C@H](N[C@H]1CCc2ccsc21)c1cnn(CC2CCC2)c1 ZINC001551390281 1058390717 /nfs/dbraw/zinc/39/07/17/1058390717.db2.gz PQGBOAXJDUWZBX-LRDDRELGSA-N 0 3 301.459 4.083 20 0 DIADHN COc1cc(OC)c(-c2ccc3c(N)ccnc3c2)cc1Cl ZINC001245728801 1058406812 /nfs/dbraw/zinc/40/68/12/1058406812.db2.gz VPYSUWFEGXYRTG-UHFFFAOYSA-N 0 3 314.772 4.155 20 0 DIADHN COc1ccc(-c2cc(Cl)ccc2OC)cc1CN(C)C ZINC001245811691 1058496528 /nfs/dbraw/zinc/49/65/28/1058496528.db2.gz HBBGIEIUEJUJIC-UHFFFAOYSA-N 0 3 305.805 4.086 20 0 DIADHN C[C@@H]1CN(Cc2cc3cnccc3o2)CC[C@H](c2ccccc2)O1 ZINC001553967749 1058513522 /nfs/dbraw/zinc/51/35/22/1058513522.db2.gz CYLUDNQKUVVNKN-FOIQADDNSA-N 0 3 322.408 4.180 20 0 DIADHN CCN(CC)Cc1cccc(-c2c(F)ccc(CO)c2F)c1F ZINC001245855125 1058561061 /nfs/dbraw/zinc/56/10/61/1058561061.db2.gz WUFSQODOSXHFTE-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CC(C)Oc1c(F)cc(-c2cccc(CN(C)C)n2)cc1Cl ZINC001245867805 1058576110 /nfs/dbraw/zinc/57/61/10/1058576110.db2.gz ONPKIYWEPKFKDL-UHFFFAOYSA-N 0 3 322.811 4.390 20 0 DIADHN C[C@H]1CCC[C@]1(C)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001556617951 1058619789 /nfs/dbraw/zinc/61/97/89/1058619789.db2.gz UADBUKLVYBLGHU-JXFKEZNVSA-N 0 3 322.537 4.172 20 0 DIADHN CCC(CC)C(=O)NC[C@@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001556900023 1058667199 /nfs/dbraw/zinc/66/71/99/1058667199.db2.gz VNHNJNQOBAONJH-IBGZPJMESA-N 0 3 318.505 4.040 20 0 DIADHN C[C@H](C(=O)N[C@H](C1CCC1)C1CCCCC1)N1[C@H](C)CC[C@@H]1C ZINC001557176307 1058713296 /nfs/dbraw/zinc/71/32/96/1058713296.db2.gz ZXHOOCYXPYNXNP-OLMMMNRUSA-N 0 3 320.521 4.113 20 0 DIADHN C/C=C(/CC)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979576 1058726876 /nfs/dbraw/zinc/72/68/76/1058726876.db2.gz MCBSDNVNVBBBTI-JJKQHFEOSA-N 0 3 322.880 4.195 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H]1CCCC12CCCCC2 ZINC001557484661 1058757586 /nfs/dbraw/zinc/75/75/86/1058757586.db2.gz QBHXBPUOYHOPRP-QGZVFWFLSA-N 0 3 308.510 4.118 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1C(F)(F)CC)N(CC)CC ZINC001558012937 1058827722 /nfs/dbraw/zinc/82/77/22/1058827722.db2.gz LJDZYILIRSPGNV-HNNXBMFYSA-N 0 3 312.404 4.247 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@]1(C)c1ccccc1 ZINC001558121901 1058842601 /nfs/dbraw/zinc/84/26/01/1058842601.db2.gz BZNFUDOZZLATJA-FQEVSTJZSA-N 0 3 316.489 4.036 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001558321533 1058861100 /nfs/dbraw/zinc/86/11/00/1058861100.db2.gz CGSOFFYLFFVATM-MSOLQXFVSA-N 0 3 320.521 4.234 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N[C@@H]2CN(C)CC2(C)C)CC1 ZINC001558502974 1058880399 /nfs/dbraw/zinc/88/03/99/1058880399.db2.gz LHORMVDFEOEKBG-OFLPRAFFSA-N 0 3 322.537 4.076 20 0 DIADHN C[C@H](C(=O)Nc1cccc(OC(C)(C)C)c1)N1[C@H](C)CC[C@@H]1C ZINC001558593190 1058888918 /nfs/dbraw/zinc/88/89/18/1058888918.db2.gz WFQMWRUFESFHHI-QLFBSQMISA-N 0 3 318.461 4.064 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(OC(C)(C)C)c1)N1[C@H](C)CC[C@@H]1C ZINC001558593192 1058890556 /nfs/dbraw/zinc/89/05/56/1058890556.db2.gz WFQMWRUFESFHHI-ZNMIVQPWSA-N 0 3 318.461 4.064 20 0 DIADHN CC(C)c1ccc(C(=O)NC[C@@H](C)N2CCCCC2)cc1Cl ZINC001558917164 1058922746 /nfs/dbraw/zinc/92/27/46/1058922746.db2.gz POQIHXLSHSQJEC-CQSZACIVSA-N 0 3 322.880 4.068 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H]1NCc1cc(CO)ccc1F ZINC000528437270 1058967234 /nfs/dbraw/zinc/96/72/34/1058967234.db2.gz PHPKVIDEMDESTR-IBGZPJMESA-N 0 3 313.416 4.121 20 0 DIADHN C[C@@H](CNC(=O)CCCCC(C)(C)C)N(C)Cc1ccccc1 ZINC001521764893 1058971092 /nfs/dbraw/zinc/97/10/92/1058971092.db2.gz OXABGVLSQWZAIL-KRWDZBQOSA-N 0 3 318.505 4.230 20 0 DIADHN CCC[C@@H](C(=O)N(CCC)CCN(C)C)c1cccc(Cl)c1 ZINC001522299979 1059080963 /nfs/dbraw/zinc/08/09/63/1059080963.db2.gz HVFFFEAJSGOLLU-QGZVFWFLSA-N 0 3 324.896 4.024 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CCc2ccc(N)cc2C1 ZINC001246907908 1059168250 /nfs/dbraw/zinc/16/82/50/1059168250.db2.gz WFLXGYKADOQBDM-GFCCVEGCSA-N 0 3 318.823 4.051 20 0 DIADHN N[C@H](c1nc2ccc(Oc3ccccc3)cc2[nH]1)c1ccccc1 ZINC001247012648 1059243144 /nfs/dbraw/zinc/24/31/44/1059243144.db2.gz QMEHVRSMATXABK-IBGZPJMESA-N 0 3 315.376 4.403 20 0 DIADHN CC[C@@H](N)c1nc2c(cc(C(F)(F)F)cc2C(F)(F)F)[nH]1 ZINC001247017538 1059246615 /nfs/dbraw/zinc/24/66/15/1059246615.db2.gz NUIMLNXIYYMWKE-SSDOTTSWSA-N 0 3 311.229 4.010 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523467610 1059249061 /nfs/dbraw/zinc/24/90/61/1059249061.db2.gz BZZOGTCFVOTDQL-NFBUACBFSA-N 0 3 316.489 4.010 20 0 DIADHN FC(F)(F)c1ccc2c(c1)nc([C@@H]1CCCCN1)n2C1CC1 ZINC001247039991 1059257137 /nfs/dbraw/zinc/25/71/37/1059257137.db2.gz CDZLQBULPGZCOO-LBPRGKRZSA-N 0 3 309.335 4.205 20 0 DIADHN CCCOc1ccc2nc([C@H](N)Cc3ccccc3)n(CC)c2c1 ZINC001247042008 1059261345 /nfs/dbraw/zinc/26/13/45/1059261345.db2.gz CQPZAUYORJBUQT-QGZVFWFLSA-N 0 3 323.440 4.088 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC001559491800 1059269136 /nfs/dbraw/zinc/26/91/36/1059269136.db2.gz UVSPBRRKKAAHCE-PMACEKPBSA-N 0 3 310.441 4.212 20 0 DIADHN Cc1ccc2nc([C@H](N)Cc3ccccc3)n(CC(C)C)c2c1 ZINC001247049426 1059274683 /nfs/dbraw/zinc/27/46/83/1059274683.db2.gz PQGUVNAMNMHGGA-QGZVFWFLSA-N 0 3 307.441 4.243 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1nc2c(o1)CCCC2 ZINC001559501095 1059282385 /nfs/dbraw/zinc/28/23/85/1059282385.db2.gz UNRUHEQMDFYQFD-UHFFFAOYSA-N 0 3 312.457 4.254 20 0 DIADHN CCCN(CC)CC(=O)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001559505708 1059290884 /nfs/dbraw/zinc/29/08/84/1059290884.db2.gz LVMZQQQJCDTERU-KRWDZBQOSA-N 0 3 322.468 4.151 20 0 DIADHN Cc1cccc([C@@H](O)CN(Cc2ccccc2F)C(C)C)c1 ZINC001559507378 1059297134 /nfs/dbraw/zinc/29/71/34/1059297134.db2.gz PTBQBJQFYYRHHY-IBGZPJMESA-N 0 3 301.405 4.078 20 0 DIADHN Brc1cccc2c1CCN(CC1CCCCC1)C2 ZINC001559515523 1059312689 /nfs/dbraw/zinc/31/26/89/1059312689.db2.gz MBWDNKLFFLLBDE-UHFFFAOYSA-N 0 3 308.263 4.388 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCO3)cc1C ZINC001559524005 1059322131 /nfs/dbraw/zinc/32/21/31/1059322131.db2.gz NJSYDADJFWBRGM-GOSISDBHSA-N 0 3 309.409 4.369 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)cc1Cl)C1CC1 ZINC001559530612 1059328704 /nfs/dbraw/zinc/32/87/04/1059328704.db2.gz AHTVXVXPJWATCK-KGLIPLIRSA-N 0 3 322.880 4.486 20 0 DIADHN COC(=O)c1cc(CN2CCCC3(CCCCC3)C2)cs1 ZINC001559528936 1059330567 /nfs/dbraw/zinc/33/05/67/1059330567.db2.gz XZSGSXHNYHGXIE-UHFFFAOYSA-N 0 3 307.459 4.081 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1ncccc1Cl ZINC001559548541 1059356341 /nfs/dbraw/zinc/35/63/41/1059356341.db2.gz SOWUQZBAGNIAMN-MRXNPFEDSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1ccc(CCN2C[C@H](c3ccccc3C)OC[C@H]2C)cc1 ZINC001559549441 1059360031 /nfs/dbraw/zinc/36/00/31/1059360031.db2.gz NEGCVLLBMNNOGP-WIYYLYMNSA-N 0 3 309.453 4.308 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nc(-c2ccc(F)c(C)c2)no1 ZINC001559552478 1059365247 /nfs/dbraw/zinc/36/52/47/1059365247.db2.gz CWEUKUPQYSYMLW-OAHLLOKOSA-N 0 3 317.408 4.339 20 0 DIADHN Cc1nc(CN[C@@](C)(CO)C2CCCCC2)sc1C(C)(C)C ZINC001559556127 1059369372 /nfs/dbraw/zinc/36/93/72/1059369372.db2.gz SQDWGLSWBXFQAY-SFHVURJKSA-N 0 3 324.534 4.170 20 0 DIADHN CCCN(Cc1c(F)ccc(C)c1F)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC001559558339 1059377809 /nfs/dbraw/zinc/37/78/09/1059377809.db2.gz UXRLUGRQFVRIQT-XWIAVFTESA-N 0 3 323.427 4.299 20 0 DIADHN CCCCCC(C)(C)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001523848880 1059379382 /nfs/dbraw/zinc/37/93/82/1059379382.db2.gz YDQYBOYXIXKMAA-FUHWJXTLSA-N 0 3 318.505 4.401 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1cc(Cl)n(C)n1 ZINC001559561555 1059383413 /nfs/dbraw/zinc/38/34/13/1059383413.db2.gz KHXRNMPDEBBGDA-KRWDZBQOSA-N 0 3 319.880 4.225 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@@](C)(CC)C1 ZINC001559562631 1059387115 /nfs/dbraw/zinc/38/71/15/1059387115.db2.gz GKXZJKPWGAFJKP-UYAOXDASSA-N 0 3 316.489 4.249 20 0 DIADHN CC[C@H](C(=O)NC[C@@H]1N(C)CCCC1(C)C)C1CCC(C)CC1 ZINC001531717335 1059393583 /nfs/dbraw/zinc/39/35/83/1059393583.db2.gz QCWUCMRTIHYOTR-FOIPXRHGSA-N 0 3 322.537 4.076 20 0 DIADHN CCC[C@]1(C)CCCN(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC001559567861 1059397220 /nfs/dbraw/zinc/39/72/20/1059397220.db2.gz LOFZRBCJVLXOFL-IAGOWNOFSA-N 0 3 313.844 4.415 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(CCCOC(F)(F)F)C1 ZINC001559574049 1059405561 /nfs/dbraw/zinc/40/55/61/1059405561.db2.gz RHYVKZZEDCRPNO-BXUZGUMPSA-N 0 3 305.315 4.135 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCCC(C)(C)CC2)c(C)c1 ZINC001559572177 1059406316 /nfs/dbraw/zinc/40/63/16/1059406316.db2.gz OVBGHLZRELMORY-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001559575416 1059408062 /nfs/dbraw/zinc/40/80/62/1059408062.db2.gz CZGCPUHXXWBCOQ-HXUWFJFHSA-N 0 3 323.484 4.372 20 0 DIADHN Cc1ccc2cccc(-c3noc([C@@H]4CCCN4C(C)C)n3)c2n1 ZINC001247368571 1059411056 /nfs/dbraw/zinc/41/10/56/1059411056.db2.gz MJFITPSTBYOOAV-INIZCTEOSA-N 0 3 322.412 4.139 20 0 DIADHN CSc1ccc(OCCN2C[C@H](C)[C@@H]2c2ccccc2)cc1 ZINC001559582783 1059413982 /nfs/dbraw/zinc/41/39/82/1059413982.db2.gz OGQGZMIHWRNDQB-HNAYVOBHSA-N 0 3 313.466 4.480 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1cc(-c2ccccc2)no1 ZINC001559582887 1059414763 /nfs/dbraw/zinc/41/47/63/1059414763.db2.gz QUJFJFQAARNMCZ-CQSZACIVSA-N 0 3 312.438 4.466 20 0 DIADHN CN(Cc1cccc(O)c1)C[C@H]1CCC[C@H](c2ccccc2)O1 ZINC001559584833 1059417509 /nfs/dbraw/zinc/41/75/09/1059417509.db2.gz YKPQZKUCCWULMB-WOJBJXKFSA-N 0 3 311.425 4.134 20 0 DIADHN Fc1cc(OCCN2CC[C@@H]2Cc2ccccc2)ccc1Cl ZINC001559586000 1059418554 /nfs/dbraw/zinc/41/85/54/1059418554.db2.gz NWDISOYTFQUYRE-OAHLLOKOSA-N 0 3 319.807 4.175 20 0 DIADHN CO[C@H](CN1CCSC2(CCCCC2)C1)c1ccccc1 ZINC001559589101 1059422504 /nfs/dbraw/zinc/42/25/04/1059422504.db2.gz RTGGOPHOPDMFOH-QGZVFWFLSA-N 0 3 305.487 4.126 20 0 DIADHN Cc1nsc(C)c1CN1CCC(Cc2ccccc2F)CC1 ZINC001559593446 1059427747 /nfs/dbraw/zinc/42/77/47/1059427747.db2.gz BIHJIIASNLXJNT-UHFFFAOYSA-N 0 3 318.461 4.354 20 0 DIADHN CCc1ccccc1CCN1CCN(c2cc(C)ccc2C)CC1 ZINC001559593930 1059428748 /nfs/dbraw/zinc/42/87/48/1059428748.db2.gz GIKRZLXXYMEAOD-UHFFFAOYSA-N 0 3 322.496 4.231 20 0 DIADHN CC(C)N(Cc1ccn(CCc2ccccc2)n1)C1CCCC1 ZINC001559599168 1059431174 /nfs/dbraw/zinc/43/11/74/1059431174.db2.gz HGVRDWJAWFXZEU-UHFFFAOYSA-N 0 3 311.473 4.279 20 0 DIADHN CCC1(CC)CCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559596927 1059431221 /nfs/dbraw/zinc/43/12/21/1059431221.db2.gz UYBJBFBLBOEOLT-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN Cc1cccc(CN(Cc2ccco2)C[C@H]2CCCC[C@@H]2O)c1 ZINC001559604502 1059436851 /nfs/dbraw/zinc/43/68/51/1059436851.db2.gz IBNKIEYUOQRVBY-QUCCMNQESA-N 0 3 313.441 4.141 20 0 DIADHN Cc1cccc(CN(Cc2ccco2)C[C@H]2CCCC[C@H]2O)c1 ZINC001559604503 1059438102 /nfs/dbraw/zinc/43/81/02/1059438102.db2.gz IBNKIEYUOQRVBY-UYAOXDASSA-N 0 3 313.441 4.141 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2cccc(C(C)C)c2)cc1 ZINC001559608878 1059443204 /nfs/dbraw/zinc/44/32/04/1059443204.db2.gz FTBWNUYOUCJRQM-UHFFFAOYSA-N 0 3 311.425 4.229 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001559616167 1059448704 /nfs/dbraw/zinc/44/87/04/1059448704.db2.gz UEKLKBOUOHCCQB-PBHICJAKSA-N 0 3 322.880 4.488 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(F)c(F)c2)C1(C)C ZINC001559632153 1059458858 /nfs/dbraw/zinc/45/88/58/1059458858.db2.gz BOBNEHPDQILXPZ-IRXDYDNUSA-N 0 3 311.416 4.380 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2c(C)ccc(C)c2C)o1 ZINC001531934209 1059460293 /nfs/dbraw/zinc/46/02/93/1059460293.db2.gz ZZXQKEHLEWPCFY-UHFFFAOYSA-N 0 3 315.413 4.266 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(F)c(OC)c2)no1 ZINC001247418148 1059470554 /nfs/dbraw/zinc/47/05/54/1059470554.db2.gz GJGPUJDOYXLRFY-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(F)ccc2F)no1 ZINC001247418993 1059470793 /nfs/dbraw/zinc/47/07/93/1059470793.db2.gz QXFHERKLAMETPJ-NSHDSACASA-N 0 3 309.360 4.198 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(CC)cc2)no1 ZINC001247417853 1059472040 /nfs/dbraw/zinc/47/20/40/1059472040.db2.gz RBPQDNJTQHIVSI-AWEZNQCLSA-N 0 3 301.434 4.482 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@@H]2CCC(C)C)c1 ZINC001559646332 1059472675 /nfs/dbraw/zinc/47/26/75/1059472675.db2.gz IBERQVIXOJCPIT-QGZVFWFLSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@H]1CCCC[C@@H]1CN1CCN(c2ccc(F)cc2Cl)CC1 ZINC001559659728 1059488299 /nfs/dbraw/zinc/48/82/99/1059488299.db2.gz YDAUTTKOHWALBJ-LSDHHAIUSA-N 0 3 324.871 4.427 20 0 DIADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CCOC1CCSCC1 ZINC001559658460 1059489607 /nfs/dbraw/zinc/48/96/07/1059489607.db2.gz JSRWXROICUTDBK-UONOGXRCSA-N 0 3 323.477 4.046 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N(C)CCC1CC1)c1ccc2ccccc2c1 ZINC001559660834 1059489817 /nfs/dbraw/zinc/48/98/17/1059489817.db2.gz DWWPAJVSETWYAN-HZPDHXFCSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N(C)CCC1CC1)c1ccc2ccccc2c1 ZINC001559660832 1059490826 /nfs/dbraw/zinc/49/08/26/1059490826.db2.gz DWWPAJVSETWYAN-CVEARBPZSA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@@H]1C[C@H]1CN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC001559666942 1059496311 /nfs/dbraw/zinc/49/63/11/1059496311.db2.gz FDFCWVMRITYITD-XUWXXGDYSA-N 0 3 300.833 4.460 20 0 DIADHN CCc1onc(C)c1CN1C[C@@H](C)C[C@@H]1c1cccc(OC)c1 ZINC001559676106 1059502280 /nfs/dbraw/zinc/50/22/80/1059502280.db2.gz VVZDEVVWWRZALI-SCLBCKFNSA-N 0 3 314.429 4.137 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H](O)c3cccc(C)c3)CC2)c1 ZINC001559678808 1059506207 /nfs/dbraw/zinc/50/62/07/1059506207.db2.gz DDZIDRQEEWOAOK-OAQYLSRUSA-N 0 3 307.437 4.126 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccc(F)cc2Cl)CC12CCC2 ZINC001559682219 1059509392 /nfs/dbraw/zinc/50/93/92/1059509392.db2.gz BVHVFCZXTPVRHW-WBMJQRKESA-N 0 3 311.828 4.025 20 0 DIADHN CCOC(=O)/C=C\CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC001559689946 1059518732 /nfs/dbraw/zinc/51/87/32/1059518732.db2.gz AXYTZQMSCGGPNT-MWWKQMNYSA-N 0 3 307.409 4.012 20 0 DIADHN CCN(C#N)CCN[C@H](CCC(C)C)c1ccc(Cl)cc1 ZINC001559690050 1059519324 /nfs/dbraw/zinc/51/93/24/1059519324.db2.gz DMKSTXZUJUFVGG-QGZVFWFLSA-N 0 3 307.869 4.210 20 0 DIADHN C[C@@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCC1=CCCCC1 ZINC001559690795 1059520818 /nfs/dbraw/zinc/52/08/18/1059520818.db2.gz VMBXLDIXYHFFNY-CVEARBPZSA-N 0 3 322.493 4.207 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cc(C(=O)OC)cs2)cc1 ZINC001559696209 1059525347 /nfs/dbraw/zinc/52/53/47/1059525347.db2.gz ROEIPWFQSUEARI-UHFFFAOYSA-N 0 3 317.454 4.122 20 0 DIADHN CCOC(CN[C@H](CC1CCCC1)c1ccccc1)OCC ZINC001559703213 1059530810 /nfs/dbraw/zinc/53/08/10/1059530810.db2.gz PIOGTVCAYZNFFS-GOSISDBHSA-N 0 3 305.462 4.297 20 0 DIADHN CC1(C)CCC(CN2CCO[C@@H](Cc3ccccc3)C2)CC1 ZINC001559706319 1059534369 /nfs/dbraw/zinc/53/43/69/1059534369.db2.gz NCLQZFLXDAXQTH-IBGZPJMESA-N 0 3 301.474 4.146 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1sc(C(C)C)nc1C)C1CCC1 ZINC001559712339 1059537407 /nfs/dbraw/zinc/53/74/07/1059537407.db2.gz JIWBNVBRYXYRPL-UKRRQHHQSA-N 0 3 310.507 4.383 20 0 DIADHN c1cc([C@@H]2CCCN2C[C@H]2CCC3(CCCCCC3)O2)ccn1 ZINC001559715072 1059540914 /nfs/dbraw/zinc/54/09/14/1059540914.db2.gz QGHNUFGEQZKREB-MOPGFXCFSA-N 0 3 314.473 4.491 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1N[C@H](C)c1nnnn1C1CCCCC1 ZINC001559724835 1059554003 /nfs/dbraw/zinc/55/40/03/1059554003.db2.gz IDBYUBBJFMGNHK-INMHGKMJSA-N 0 3 319.497 4.188 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1ncccc1Br ZINC001559725166 1059555066 /nfs/dbraw/zinc/55/50/66/1059555066.db2.gz PKFHCXSMLIXERI-GXTWGEPZSA-N 0 3 311.267 4.293 20 0 DIADHN C[C@@H](NCC1(O)CCCCCC1)c1nc(C(C)(C)C)cs1 ZINC001559724805 1059556064 /nfs/dbraw/zinc/55/60/64/1059556064.db2.gz HRJZZWKEHRSLBZ-CYBMUJFWSA-N 0 3 310.507 4.177 20 0 DIADHN CCOC(=O)[C@H](C)[C@@H](C)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC001559726744 1059560088 /nfs/dbraw/zinc/56/00/88/1059560088.db2.gz YTWURCQAISIZCJ-MXWKQRLJSA-N 0 3 318.244 4.232 20 0 DIADHN CCc1ccc([C@H](COC)NCCCc2ccccc2C)o1 ZINC001559735728 1059565547 /nfs/dbraw/zinc/56/55/47/1059565547.db2.gz SGGGKKYNICLQMO-SFHVURJKSA-N 0 3 301.430 4.060 20 0 DIADHN COCC[C@H](NC[C@@H]1CCC2(CCCCCC2)O1)c1ccco1 ZINC001559736545 1059568705 /nfs/dbraw/zinc/56/87/05/1059568705.db2.gz NXISNYJUQQZFQY-IRXDYDNUSA-N 0 3 321.461 4.219 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc([Si](C)(C)C)cc1 ZINC001559740792 1059572809 /nfs/dbraw/zinc/57/28/09/1059572809.db2.gz DKRAMKHOVXQSIF-HNNXBMFYSA-N 0 3 312.533 4.040 20 0 DIADHN Cc1ccc(-c2ccc(-c3noc([C@@H]4C[C@H]5C[C@H]5N4)n3)cc2)cc1 ZINC001247621530 1059573149 /nfs/dbraw/zinc/57/31/49/1059573149.db2.gz UJXICYDFPACAAT-KURKYZTESA-N 0 3 317.392 4.135 20 0 DIADHN Cc1cc(CN[C@H](CN2CCCCC2)c2ccccc2)cs1 ZINC001559742544 1059573600 /nfs/dbraw/zinc/57/36/00/1059573600.db2.gz PTXLNTAXZBONFY-LJQANCHMSA-N 0 3 314.498 4.373 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3cccnc3C(F)(F)F)C2)c1 ZINC001559743059 1059576163 /nfs/dbraw/zinc/57/61/63/1059576163.db2.gz DBNKVGORFAUBHO-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@@H](O)c1ccc(F)cc1C ZINC001559748103 1059577860 /nfs/dbraw/zinc/57/78/60/1059577860.db2.gz ZCURATQDLOOHER-WLEBTQASSA-N 0 3 313.416 4.203 20 0 DIADHN CCCCCCN1CCC[C@]1(Cc1ccccc1)C(=O)OCC ZINC001559749092 1059580603 /nfs/dbraw/zinc/58/06/03/1059580603.db2.gz FOEPJXYXBYSAIJ-FQEVSTJZSA-N 0 3 317.473 4.207 20 0 DIADHN Cc1cc(-c2noc(CN(C)[C@@H]3CCCC[C@H]3C)n2)ccc1F ZINC001559751527 1059582258 /nfs/dbraw/zinc/58/22/58/1059582258.db2.gz GSDRIPHBTJQFEK-MLGOLLRUSA-N 0 3 317.408 4.195 20 0 DIADHN CCC1(CC)CCCN(Cc2cc(C(=O)OC)ccc2F)CC1 ZINC001559753868 1059584824 /nfs/dbraw/zinc/58/48/24/1059584824.db2.gz WNYRTRSEXTVEKS-UHFFFAOYSA-N 0 3 321.436 4.405 20 0 DIADHN CC(C)[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559758520 1059589456 /nfs/dbraw/zinc/58/94/56/1059589456.db2.gz DYXQTTZYTDOQHH-UONOGXRCSA-N 0 3 308.853 4.035 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCC[C@H](C(C)C)C1 ZINC001559758680 1059592656 /nfs/dbraw/zinc/59/26/56/1059592656.db2.gz HLLBUSGHCUXANR-GJZGRUSLSA-N 0 3 322.880 4.343 20 0 DIADHN C[C@H]1CCCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC001559759679 1059594031 /nfs/dbraw/zinc/59/40/31/1059594031.db2.gz ADMKUDGQZTUDPC-NSHDSACASA-N 0 3 312.335 4.469 20 0 DIADHN CCC1(CC)CCN(CC(=O)N(C(C)C)C2CCCC2)CC1 ZINC001559764504 1059599674 /nfs/dbraw/zinc/59/96/74/1059599674.db2.gz WUWJJZOFQUIDQN-UHFFFAOYSA-N 0 3 308.510 4.068 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@]3(F)CCCC=C3F)n2)cc1 ZINC001247720402 1059599877 /nfs/dbraw/zinc/59/98/77/1059599877.db2.gz RDPXPZTVTXBXIC-KRWDZBQOSA-N 0 3 319.355 4.000 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@H]1C ZINC001559769612 1059606497 /nfs/dbraw/zinc/60/64/97/1059606497.db2.gz PWCVXIHZFSJANC-DLBZAZTESA-N 0 3 322.452 4.413 20 0 DIADHN CCC[C@@]1(CO)CCCN1CCc1c(Cl)cccc1Cl ZINC001559801415 1059639506 /nfs/dbraw/zinc/63/95/06/1059639506.db2.gz YYQSBKCTCZYAJQ-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN CCC(CC)[C@@H](c1ccc(Cl)cc1)N(C)CC[S@](C)=O ZINC001559802749 1059639288 /nfs/dbraw/zinc/63/92/88/1059639288.db2.gz KBLOZJMEFLHEIO-JXFKEZNVSA-N 0 3 315.910 4.128 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(c2ccccc2F)C[C@H]1C ZINC001559805229 1059643863 /nfs/dbraw/zinc/64/38/63/1059643863.db2.gz JQVUJBUFVFDHGM-VQIMIIECSA-N 0 3 312.432 4.488 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1CCO[C@@H](C(C)(C)C)C1 ZINC001559805672 1059644391 /nfs/dbraw/zinc/64/43/91/1059644391.db2.gz WATFTWLFPWDISV-MAUKXSAKSA-N 0 3 321.530 4.470 20 0 DIADHN CCCC[C@@H](C(=O)N(CCN(C)C)Cc1ccccc1)C(C)C ZINC001524834196 1059646995 /nfs/dbraw/zinc/64/69/95/1059646995.db2.gz ZUYJZXKBDNNXGS-LJQANCHMSA-N 0 3 318.505 4.039 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N(CCN(C)C)Cc1ccccc1 ZINC001524833418 1059647221 /nfs/dbraw/zinc/64/72/21/1059647221.db2.gz JNOJFIHXPATGMF-QGZVFWFLSA-N 0 3 318.505 4.039 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@H](C(=O)OC)[C@@H](C)CC)C2)cc1 ZINC001559813785 1059650357 /nfs/dbraw/zinc/65/03/57/1059650357.db2.gz ADLNKVPIHDXVPA-IPELMVKDSA-N 0 3 317.473 4.016 20 0 DIADHN CC(C)c1ccccc1CN(Cc1cccc(C(N)=O)c1)C1CC1 ZINC001559844123 1059671781 /nfs/dbraw/zinc/67/17/81/1059671781.db2.gz YSQFUUJMKTUSLL-UHFFFAOYSA-N 0 3 322.452 4.074 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)cc(Cl)c2F)[C@@H]2CCO[C@@H]21 ZINC001559850115 1059678519 /nfs/dbraw/zinc/67/85/19/1059678519.db2.gz QFIQKPBFVVXJIA-GDLCADMTSA-N 0 3 318.219 4.036 20 0 DIADHN CCN(CC(=O)Nc1ccccc1Cc1ccccc1)C(C)(C)C ZINC001559865292 1059685865 /nfs/dbraw/zinc/68/58/65/1059685865.db2.gz KRBBQVKGYINZNL-UHFFFAOYSA-N 0 3 324.468 4.336 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3cncc(Cl)c3)C2)cc1 ZINC001559871326 1059693079 /nfs/dbraw/zinc/69/30/79/1059693079.db2.gz UAZCZESHYHGQPO-KRWDZBQOSA-N 0 3 300.833 4.287 20 0 DIADHN COc1ccc(CN(C)CCSC(F)(F)F)cc1Cl ZINC001559916634 1059731608 /nfs/dbraw/zinc/73/16/08/1059731608.db2.gz DVWRIZRCSWFKCY-UHFFFAOYSA-N 0 3 313.772 4.033 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C(C)(C)C)C[C@@H]2C)cs1 ZINC001559879348 1059700381 /nfs/dbraw/zinc/70/03/81/1059700381.db2.gz GXRGBSGNOJZFNV-GXTWGEPZSA-N 0 3 309.475 4.181 20 0 DIADHN CC(C)[C@H](O)CCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC001559882693 1059702604 /nfs/dbraw/zinc/70/26/04/1059702604.db2.gz UCJNFXLLSIPIEP-ZBFHGGJFSA-N 0 3 316.272 4.441 20 0 DIADHN Cc1ccc(SCCN2CC(C)(C)[C@@H]2[C@@H]2CCCO2)cc1C ZINC001559884622 1059705453 /nfs/dbraw/zinc/70/54/53/1059705453.db2.gz ZOCUBBNGWFLRLU-ROUUACIJSA-N 0 3 319.514 4.285 20 0 DIADHN OCc1ccc(CN2CC3(CCCC3)[C@@H]2c2ccccc2)cc1 ZINC001559890752 1059709988 /nfs/dbraw/zinc/70/99/88/1059709988.db2.gz VEVMRYVWVDZVKC-FQEVSTJZSA-N 0 3 307.437 4.296 20 0 DIADHN Cc1nn(C)c(Cl)c1CN(C)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001559898984 1059713620 /nfs/dbraw/zinc/71/36/20/1059713620.db2.gz QZNZGAITGJCMPJ-DOTOQJQBSA-N 0 3 317.864 4.150 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC001559922221 1059735822 /nfs/dbraw/zinc/73/58/22/1059735822.db2.gz BRNSAMIOEZPQCN-GRYCIOLGSA-N 0 3 306.356 4.096 20 0 DIADHN Cc1ccc2c(c1)CCN(CCOc1ccc(F)c(Cl)c1)C2 ZINC001559924778 1059738664 /nfs/dbraw/zinc/73/86/64/1059738664.db2.gz BYFCBOWLBGBTLW-UHFFFAOYSA-N 0 3 319.807 4.225 20 0 DIADHN COC(=O)c1sccc1CN1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC001559935413 1059750969 /nfs/dbraw/zinc/75/09/69/1059750969.db2.gz ITAKIWBGQAZQOB-UKRRQHHQSA-N 0 3 321.486 4.183 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N(C)CCC(C)(C)C ZINC001559942326 1059757475 /nfs/dbraw/zinc/75/74/75/1059757475.db2.gz SUTWBBLNESBVQW-ZDUSSCGKSA-N 0 3 310.869 4.343 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@@H](c2cccnc2)C1 ZINC001559944437 1059757796 /nfs/dbraw/zinc/75/77/96/1059757796.db2.gz ONFGCNHRQLYPCX-MRXNPFEDSA-N 0 3 316.395 4.172 20 0 DIADHN CN1CCN(Cc2cc(Cl)sc2Cl)C[C@@H]1C(C)(C)C ZINC001559956390 1059763812 /nfs/dbraw/zinc/76/38/12/1059763812.db2.gz VAWVGWBECAWMIN-LLVKDONJSA-N 0 3 321.317 4.217 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1C[C@@H](C)OCC12CCC2 ZINC001559967887 1059775312 /nfs/dbraw/zinc/77/53/12/1059775312.db2.gz NWQYXHYGYCWMNH-DOTOQJQBSA-N 0 3 319.514 4.367 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)CCOCC1CCC1 ZINC001559967905 1059777960 /nfs/dbraw/zinc/77/79/60/1059777960.db2.gz NZLQIFBSDJTJDP-UHFFFAOYSA-N 0 3 301.430 4.298 20 0 DIADHN CCc1ccc(CN(C)[C@@H](C)CSc2ccccc2)nc1 ZINC001559973394 1059779259 /nfs/dbraw/zinc/77/92/59/1059779259.db2.gz JVFMTOVZEROHNB-HNNXBMFYSA-N 0 3 300.471 4.257 20 0 DIADHN CSc1ccc(OCCN2CCc3ccc(C)cc3C2)cc1 ZINC001559979965 1059785236 /nfs/dbraw/zinc/78/52/36/1059785236.db2.gz PGHQBJFSGUBLDS-UHFFFAOYSA-N 0 3 313.466 4.154 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cc(F)ccc2F)C12CCC2 ZINC001560000812 1059805618 /nfs/dbraw/zinc/80/56/18/1059805618.db2.gz YBKXVEYGAMHXSC-CVEARBPZSA-N 0 3 309.400 4.181 20 0 DIADHN CC(C)Oc1ccccc1CN1Cc2ccccc2N(C)C[C@@H]1C ZINC001560004788 1059809667 /nfs/dbraw/zinc/80/96/67/1059809667.db2.gz OROIDEZMNHTJAM-KRWDZBQOSA-N 0 3 324.468 4.314 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)c1 ZINC001560023489 1059835814 /nfs/dbraw/zinc/83/58/14/1059835814.db2.gz YUUIMWQODCIUJX-MRXNPFEDSA-N 0 3 316.395 4.356 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc(Cl)cn2ccnc12 ZINC001248447035 1059839562 /nfs/dbraw/zinc/83/95/62/1059839562.db2.gz DBGJDUCXBWZMDT-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN Clc1cc(CN2CCC[C@@H]3CCCC[C@@H]32)c2nccn2c1 ZINC001248452054 1059841764 /nfs/dbraw/zinc/84/17/64/1059841764.db2.gz WBINESKCMIXZMH-BBRMVZONSA-N 0 3 303.837 4.142 20 0 DIADHN Cc1ccccc1[C@@H](C)NCC(=O)Nc1ccc(Cl)c(F)c1 ZINC001560039095 1059852500 /nfs/dbraw/zinc/85/25/00/1059852500.db2.gz GUIASJFDOXJIHB-GFCCVEGCSA-N 0 3 320.795 4.077 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1cccc(-c2ccc(Cl)cc2)n1 ZINC001248516209 1059861720 /nfs/dbraw/zinc/86/17/20/1059861720.db2.gz VREKIHJECNZCIL-RRQGHBQHSA-N 0 3 316.807 4.334 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(Cc2nccs2)CC1 ZINC001560050093 1059862560 /nfs/dbraw/zinc/86/25/60/1059862560.db2.gz JDIXPJUCCFPHHK-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1cccc3nc(C)ccc13)C2 ZINC001248550981 1059881574 /nfs/dbraw/zinc/88/15/74/1059881574.db2.gz SKRHONGMUFFYQL-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN COc1cccc2c1CCN(Cc1cccc3nc(C)ccc13)C2 ZINC001248573031 1059892003 /nfs/dbraw/zinc/89/20/03/1059892003.db2.gz DWBNPEUDUNTFFK-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)C(C)(C)N1Cc1cnc(Cl)cn1 ZINC001560095964 1059905374 /nfs/dbraw/zinc/90/53/74/1059905374.db2.gz IPHAXRBXHPPLND-CZUORRHYSA-N 0 3 315.848 4.287 20 0 DIADHN CCN(Cc1cccc(C(F)(F)F)c1)[C@H](CO)c1ccccc1 ZINC001560097478 1059907777 /nfs/dbraw/zinc/90/77/77/1059907777.db2.gz FCPPPGUXJXBKFI-QGZVFWFLSA-N 0 3 323.358 4.261 20 0 DIADHN CSC1CCN(Cc2c(C)cccc2Br)CC1 ZINC001248613401 1059914202 /nfs/dbraw/zinc/91/42/02/1059914202.db2.gz COJCACFBDKLULM-UHFFFAOYSA-N 0 3 314.292 4.085 20 0 DIADHN C[C@@H]1CN(CC(=O)N[C@@H](c2cccs2)C(C)(C)C)C(C)(C)C1 ZINC001560107427 1059914800 /nfs/dbraw/zinc/91/48/00/1059914800.db2.gz QNKWAINYNTWQGU-BBRMVZONSA-N 0 3 322.518 4.072 20 0 DIADHN CC[C@]1(CCc2ccccc2)CCCN1Cc1nnc(C)s1 ZINC001560106843 1059914919 /nfs/dbraw/zinc/91/49/19/1059914919.db2.gz GLMLRLRAJBUBKZ-GOSISDBHSA-N 0 3 315.486 4.224 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC001560106268 1059915718 /nfs/dbraw/zinc/91/57/18/1059915718.db2.gz HDJMLBVZTUBNJB-SFHVURJKSA-N 0 3 320.480 4.054 20 0 DIADHN CC(C)[C@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccc(Cl)cc1 ZINC001525514705 1059919763 /nfs/dbraw/zinc/91/97/63/1059919763.db2.gz AQDJYEWTKBYJSA-SJORKVTESA-N 0 3 322.880 4.026 20 0 DIADHN Cc1cccc([C@H](C)N2CC[C@H](C(F)(F)F)O[C@@H](C)C2)c1 ZINC001560117909 1059919994 /nfs/dbraw/zinc/91/99/94/1059919994.db2.gz KCROAQRWFDFCEY-KCQAQPDRSA-N 0 3 301.352 4.098 20 0 DIADHN Cc1cccc([C@H](C)N2CC[C@@H](C(F)(F)F)O[C@H](C)C2)c1 ZINC001560117908 1059922469 /nfs/dbraw/zinc/92/24/69/1059922469.db2.gz KCROAQRWFDFCEY-IPYPFGDCSA-N 0 3 301.352 4.098 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC001560119335 1059922702 /nfs/dbraw/zinc/92/27/02/1059922702.db2.gz XETKCTUBDQWQBY-KPZWWZAWSA-N 0 3 319.807 4.132 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@@H](CF)C2)cc(OC(C)C)c1 ZINC001248657823 1059926828 /nfs/dbraw/zinc/92/68/28/1059926828.db2.gz CPSYMSXQPDEUBU-INIZCTEOSA-N 0 3 323.452 4.443 20 0 DIADHN COc1ccccc1-c1nc(CN(C)C[C@H](C)C(C)(C)C)co1 ZINC001560128603 1059929608 /nfs/dbraw/zinc/92/96/08/1059929608.db2.gz HPMBLWXGTTXKAB-AWEZNQCLSA-N 0 3 316.445 4.464 20 0 DIADHN CC(C)Oc1cc(CN2CCC(CF)CC2)cc(OC(C)C)c1 ZINC001248658158 1059930065 /nfs/dbraw/zinc/93/00/65/1059930065.db2.gz IONMJJZFFGEWAC-UHFFFAOYSA-N 0 3 323.452 4.443 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)C[C@H](C)C(C)(C)C ZINC001560128125 1059931441 /nfs/dbraw/zinc/93/14/41/1059931441.db2.gz BMTNDGYHDQZCRP-LBPRGKRZSA-N 0 3 310.869 4.201 20 0 DIADHN CCCN(C)[C@H](CNC(=O)[C@@H](C)C(C)C)c1ccc(Cl)cc1 ZINC001525553094 1059934413 /nfs/dbraw/zinc/93/44/13/1059934413.db2.gz MDCJEQLAZJJDNV-WMLDXEAASA-N 0 3 324.896 4.131 20 0 DIADHN c1sc(CCc2ccccc2)nc1CNC1(C2CC2)CCC1 ZINC001560133183 1059934279 /nfs/dbraw/zinc/93/42/79/1059934279.db2.gz QFIRRRVNLBODGR-UHFFFAOYSA-N 0 3 312.482 4.351 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H]([C@H]3CCCO3)C2)c1 ZINC001560130659 1059935180 /nfs/dbraw/zinc/93/51/80/1059935180.db2.gz LCBCPINFVVZXNX-XJKSGUPXSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1noc2ccc(CN(C)Cc3cccc(Cl)c3)cc12 ZINC001248702227 1059941678 /nfs/dbraw/zinc/94/16/78/1059941678.db2.gz FHFSIAWPBHOGFQ-UHFFFAOYSA-N 0 3 300.789 4.422 20 0 DIADHN Clc1cccc(OCCCN(Cc2ccoc2)C2CC2)c1 ZINC001560175617 1059967007 /nfs/dbraw/zinc/96/70/07/1059967007.db2.gz XFHUEPKGRWGYFR-UHFFFAOYSA-N 0 3 305.805 4.367 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(-c3ccn(C)n3)cc2)c1 ZINC001248763281 1059967528 /nfs/dbraw/zinc/96/75/28/1059967528.db2.gz BFVYLAJVHXYEQI-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001248780873 1059974668 /nfs/dbraw/zinc/97/46/68/1059974668.db2.gz MCNLAXUTORFYKA-CZUORRHYSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001248780871 1059975380 /nfs/dbraw/zinc/97/53/80/1059975380.db2.gz MCNLAXUTORFYKA-BBRMVZONSA-N 0 3 323.278 4.307 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc(Br)n1C ZINC001248776802 1059977032 /nfs/dbraw/zinc/97/70/32/1059977032.db2.gz LWTZUYZPEZAZMK-HNNXBMFYSA-N 0 3 321.262 4.371 20 0 DIADHN CCN(Cc1ncc(C(F)(F)F)cc1F)C1CCCCC1 ZINC001248786441 1059977444 /nfs/dbraw/zinc/97/74/44/1059977444.db2.gz OZUPGPBNWOZSSM-UHFFFAOYSA-N 0 3 304.331 4.394 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3CCOC34CCCCC4)nc2c1 ZINC001560199059 1059981928 /nfs/dbraw/zinc/98/19/28/1059981928.db2.gz KGXGNALLYDKASN-MRXNPFEDSA-N 0 3 316.470 4.186 20 0 DIADHN COC(=O)c1cccc([C@@H]2CCCN2Cc2csc(C)c2)c1 ZINC001248804410 1059984397 /nfs/dbraw/zinc/98/43/97/1059984397.db2.gz BKISCGARCLDUIP-KRWDZBQOSA-N 0 3 315.438 4.180 20 0 DIADHN Cc1cc(CN2CCC[C@H](OCc3ccccc3)C2)cs1 ZINC001248803748 1059989244 /nfs/dbraw/zinc/98/92/44/1059989244.db2.gz PGOOREZLFBQLJT-SFHVURJKSA-N 0 3 301.455 4.238 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cnc2ccccc2c1Cl ZINC001248813398 1059993287 /nfs/dbraw/zinc/99/32/87/1059993287.db2.gz WHDFZFMSMXMLFN-INIZCTEOSA-N 0 3 318.848 4.278 20 0 DIADHN Clc1ccc(/C=C/CN2CC[C@@H](Cc3nccs3)C2)cc1 ZINC001560214258 1059994482 /nfs/dbraw/zinc/99/44/82/1059994482.db2.gz JCJPMHJUEMKDDA-YLSAJCSVSA-N 0 3 318.873 4.374 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc(F)c3cc[nH]c32)cn1 ZINC001248818211 1059995500 /nfs/dbraw/zinc/99/55/00/1059995500.db2.gz CCVOVDHERAFPIH-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN CN(Cc1n[nH]c2cc(C(F)(F)F)ccc21)Cc1ccccc1 ZINC001248843826 1060013450 /nfs/dbraw/zinc/01/34/50/1060013450.db2.gz BGCGPSDTKNRSLR-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@H]4C3)co2)cc1 ZINC001248853540 1060021976 /nfs/dbraw/zinc/02/19/76/1060021976.db2.gz JQHVEEUGPVPIHL-ZFWWWQNUSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccccc2OC(F)F)cc1 ZINC001560255570 1060022266 /nfs/dbraw/zinc/02/22/66/1060022266.db2.gz XWVJLPORUMCNCL-ARJAWSKDSA-N 0 3 307.315 4.230 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccc(OCc3ccccc3)cn2)C1 ZINC001248864079 1060025221 /nfs/dbraw/zinc/02/52/21/1060025221.db2.gz OOCGZFAJGYNSMS-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN CC1(C)CCN(Cc2ccc(OCc3ccccc3)cn2)CC1 ZINC001248863349 1060028323 /nfs/dbraw/zinc/02/83/23/1060028323.db2.gz KHAXGNZAJXDHMZ-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccc(NC(=O)C(C)C)cc1 ZINC001560263515 1060033722 /nfs/dbraw/zinc/03/37/22/1060033722.db2.gz ICEAMHJVWSLPRL-UHFFFAOYSA-N 0 3 314.404 4.018 20 0 DIADHN Cc1nc(CN(C)CCc2cccc(Cl)c2)ccc1Cl ZINC001248878260 1060034280 /nfs/dbraw/zinc/03/42/80/1060034280.db2.gz QJTMFRJEQUKMBI-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COCc1cccc(CNCc2cccc(OC(C)(C)C)c2)c1 ZINC001560269816 1060042940 /nfs/dbraw/zinc/04/29/40/1060042940.db2.gz SZYKEEWKJDIEQZ-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN CCCOCc1ccccc1CNCc1cc(F)ccc1C ZINC001560272512 1060047205 /nfs/dbraw/zinc/04/72/05/1060047205.db2.gz FPQWXWCKXTXGOI-UHFFFAOYSA-N 0 3 301.405 4.351 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC/C=C\c1ccc(F)cc1 ZINC001560272517 1060047751 /nfs/dbraw/zinc/04/77/51/1060047751.db2.gz FSINWZNFDDEPCI-SCOBNMCVSA-N 0 3 303.352 4.337 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001560274370 1060049680 /nfs/dbraw/zinc/04/96/80/1060049680.db2.gz JMXRCJYPYQTQEL-HBXLWWENSA-N 0 3 324.468 4.328 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001560274371 1060051098 /nfs/dbraw/zinc/05/10/98/1060051098.db2.gz JMXRCJYPYQTQEL-HNAWSFBYSA-N 0 3 324.468 4.328 20 0 DIADHN CC(C)Oc1cccc(CNCc2cc3ccccc3n2C)c1 ZINC001560273903 1060051369 /nfs/dbraw/zinc/05/13/69/1060051369.db2.gz RUMCSRHEDVNCDC-UHFFFAOYSA-N 0 3 308.425 4.255 20 0 DIADHN CC(=O)Nc1cccc(CNC/C=C\c2cc(C)c(C)cc2C)c1 ZINC001560280219 1060058103 /nfs/dbraw/zinc/05/81/03/1060058103.db2.gz DRGVRBJQCXDWIZ-VURMDHGXSA-N 0 3 322.452 4.373 20 0 DIADHN CC(C)COc1ccccc1CNCc1cccc2c1CCC2 ZINC001560286309 1060063430 /nfs/dbraw/zinc/06/34/30/1060063430.db2.gz CMKLOGNKRUVQKV-UHFFFAOYSA-N 0 3 309.453 4.500 20 0 DIADHN Clc1ccc(CN[C@H](c2ccccc2)[C@H]2CCCO2)cc1 ZINC001560294439 1060075938 /nfs/dbraw/zinc/07/59/38/1060075938.db2.gz ZQVLFBAHWOAXFB-QZTJIDSGSA-N 0 3 301.817 4.350 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@H]2CCCO2)cc1 ZINC001560294194 1060077954 /nfs/dbraw/zinc/07/79/54/1060077954.db2.gz PZKMEACGXUDAEH-RTBURBONSA-N 0 3 313.466 4.418 20 0 DIADHN Cc1cc(CNCc2ccc(-n3ccnc3)cc2)ccc1Cl ZINC001560300977 1060085527 /nfs/dbraw/zinc/08/55/27/1060085527.db2.gz DIGSDWIEDSLZCJ-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CCn1nccc1CN[C@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC001560302657 1060090197 /nfs/dbraw/zinc/09/01/97/1060090197.db2.gz GMRRJHWMEBVCGF-HXUWFJFHSA-N 0 3 311.473 4.342 20 0 DIADHN CCOc1cc(F)cc(CN[C@H]2CCc3cc(F)ccc32)c1 ZINC001560310371 1060098274 /nfs/dbraw/zinc/09/82/74/1060098274.db2.gz SLKYAGHCRJCJON-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN Clc1cccc(Cl)c1CNCCCCNc1ccccn1 ZINC001560311245 1060099058 /nfs/dbraw/zinc/09/90/58/1060099058.db2.gz MOTHDKZVMFNHGQ-UHFFFAOYSA-N 0 3 324.255 4.370 20 0 DIADHN COc1cc(C)ccc1CNCc1cc2c(s1)CCCC2 ZINC001560319210 1060104125 /nfs/dbraw/zinc/10/41/25/1060104125.db2.gz VFVDFYFBSVXIEE-UHFFFAOYSA-N 0 3 301.455 4.234 20 0 DIADHN CCNc1ccccc1CNCc1cc2c(s1)CCCC2 ZINC001560318737 1060104809 /nfs/dbraw/zinc/10/48/09/1060104809.db2.gz AFLZEUYCYIHJQY-UHFFFAOYSA-N 0 3 300.471 4.349 20 0 DIADHN CCc1ccccc1CNCc1cccc(OCC(F)F)c1 ZINC001560322339 1060109665 /nfs/dbraw/zinc/10/96/65/1060109665.db2.gz AQXKXDKYHBHISH-UHFFFAOYSA-N 0 3 305.368 4.183 20 0 DIADHN Cc1cc(CN[C@H](C)c2cnn(C(C)C)c2)cc2cccnc12 ZINC001560327515 1060114529 /nfs/dbraw/zinc/11/45/29/1060114529.db2.gz MDBVKEZBDQFMEK-OAHLLOKOSA-N 0 3 308.429 4.171 20 0 DIADHN COc1ccc(Cl)cc1CCNCc1ccsc1Cl ZINC001560333204 1060118512 /nfs/dbraw/zinc/11/85/12/1060118512.db2.gz MSPQBYSZWCPWRE-UHFFFAOYSA-N 0 3 316.253 4.396 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2C)C2CC2)c(OC)c1 ZINC001560332336 1060118620 /nfs/dbraw/zinc/11/86/20/1060118620.db2.gz FLDFQPMKUKJMLB-FQEVSTJZSA-N 0 3 311.425 4.253 20 0 DIADHN COc1ccc([C@H](C)CC[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001560333612 1060119826 /nfs/dbraw/zinc/11/98/26/1060119826.db2.gz AGQZJZCIOVCVTF-CYBMUJFWSA-N 0 3 319.832 4.338 20 0 DIADHN Cc1cccc(CNCCOc2ccccc2C(C)C)c1F ZINC001560340352 1060125151 /nfs/dbraw/zinc/12/51/51/1060125151.db2.gz WSFRIRZQQOVMEP-UHFFFAOYSA-N 0 3 301.405 4.426 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H](C)Oc1cccc(C)c1 ZINC001560341576 1060127864 /nfs/dbraw/zinc/12/78/64/1060127864.db2.gz MCWLZYTYYIFQJY-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@@H]1CC[C@@H](CO)CN1Cc1sc(Cl)c2ccccc21 ZINC001249041853 1060128341 /nfs/dbraw/zinc/12/83/41/1060128341.db2.gz ANJWRRKZPCKHRU-VXGBXAGGSA-N 0 3 309.862 4.148 20 0 DIADHN Clc1sc(CN2CCCC3(COC3)C2)c2ccccc12 ZINC001249049291 1060130987 /nfs/dbraw/zinc/13/09/87/1060130987.db2.gz DHJRXVPQVXDACE-UHFFFAOYSA-N 0 3 307.846 4.167 20 0 DIADHN Clc1sc(CN2CC[C@]3(CCCO3)C2)c2ccccc12 ZINC001249051439 1060131265 /nfs/dbraw/zinc/13/12/65/1060131265.db2.gz QZNOLTHZBFDRHH-MRXNPFEDSA-N 0 3 307.846 4.310 20 0 DIADHN CC[C@@H](COC)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001560349967 1060136046 /nfs/dbraw/zinc/13/60/46/1060136046.db2.gz DBGHZTBOMVVCIV-HNNXBMFYSA-N 0 3 311.853 4.176 20 0 DIADHN Cc1ccc(CNC[C@H](C)Oc2ccc(F)cc2)c(Cl)c1 ZINC001560353802 1060140003 /nfs/dbraw/zinc/14/00/03/1060140003.db2.gz XAUGYKBLPTYMDZ-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN CCN(CCn1cccn1)Cc1sc(Cl)c2ccccc21 ZINC001249067139 1060141782 /nfs/dbraw/zinc/14/17/82/1060141782.db2.gz IKEBSERSXZZFQO-UHFFFAOYSA-N 0 3 319.861 4.273 20 0 DIADHN COC[C@H](NC[C@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC001560362578 1060148774 /nfs/dbraw/zinc/14/87/74/1060148774.db2.gz PYLYVLDHGRELRX-QAPCUYQASA-N 0 3 301.430 4.134 20 0 DIADHN C[C@]1(CNCc2cc(Cl)sc2Cl)CCCC[C@H]1O ZINC001560368968 1060155378 /nfs/dbraw/zinc/15/53/78/1060155378.db2.gz JHLLPBVMIZUWFK-ZWNOBZJWSA-N 0 3 308.274 4.086 20 0 DIADHN CC[C@@H](CNCc1ccccc1F)Oc1cccc(Cl)c1 ZINC001560371274 1060157285 /nfs/dbraw/zinc/15/72/85/1060157285.db2.gz OJPGKYVBDBGGEX-HNNXBMFYSA-N 0 3 307.796 4.426 20 0 DIADHN C[C@H]1CCC[C@H](NCc2nnc(-c3ccccc3)s2)CC1 ZINC001560394444 1060181706 /nfs/dbraw/zinc/18/17/06/1060181706.db2.gz XWRVITHUHCZWGB-ZFWWWQNUSA-N 0 3 301.459 4.264 20 0 DIADHN CC1(C)CC[C@@H](NCc2c(F)cc(Br)cc2F)C1 ZINC001560401329 1060185448 /nfs/dbraw/zinc/18/54/48/1060185448.db2.gz SQXJMCUVFKHFMN-SNVBAGLBSA-N 0 3 318.205 4.396 20 0 DIADHN CCn1cc(CNc2cc(C)cc(C)n2)c(-c2ccccc2)n1 ZINC001560405635 1060190026 /nfs/dbraw/zinc/19/00/26/1060190026.db2.gz JOTWSQDQSMUHNK-UHFFFAOYSA-N 0 3 306.413 4.194 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cccnc1Cl ZINC001560409611 1060195059 /nfs/dbraw/zinc/19/50/59/1060195059.db2.gz UBTGNVKVOJNEPB-CQSZACIVSA-N 0 3 306.862 4.396 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cnn(-c2ccccc2)c1 ZINC001560415811 1060199985 /nfs/dbraw/zinc/19/99/85/1060199985.db2.gz BCQBVWMSLCREPJ-KKSFZXQISA-N 0 3 317.436 4.286 20 0 DIADHN CC[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccc(C)c(F)c1 ZINC001560418440 1060202189 /nfs/dbraw/zinc/20/21/89/1060202189.db2.gz MTWXOBRUOUGGKN-OAHLLOKOSA-N 0 3 315.344 4.074 20 0 DIADHN Cc1ccc(CN[C@H](C)C[C@H](O)c2cccs2)c(Cl)c1 ZINC001560424183 1060209023 /nfs/dbraw/zinc/20/90/23/1060209023.db2.gz MGDXMOAZEAOJDA-DOMZBBRYSA-N 0 3 309.862 4.312 20 0 DIADHN COc1ccc(C[C@H](C)C[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001560425051 1060209526 /nfs/dbraw/zinc/20/95/26/1060209526.db2.gz QBPSXICFFWEFOY-ZDUSSCGKSA-N 0 3 319.832 4.023 20 0 DIADHN CCc1ccc([C@@H](C)NCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC001560425912 1060211740 /nfs/dbraw/zinc/21/17/40/1060211740.db2.gz HWBYRFUAGCAHAN-OAHLLOKOSA-N 0 3 305.425 4.490 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC001560425912 1060211749 /nfs/dbraw/zinc/21/17/49/1060211749.db2.gz HWBYRFUAGCAHAN-OAHLLOKOSA-N 0 3 305.425 4.490 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001249299058 1060215046 /nfs/dbraw/zinc/21/50/46/1060215046.db2.gz LROGCMBVGODVDE-YOEHRIQHSA-N 0 3 313.470 4.360 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H](NCc2cn(C(C)(C)C)nn2)CC1 ZINC001560441957 1060230439 /nfs/dbraw/zinc/23/04/39/1060230439.db2.gz IEVSROPXSAFPIF-LSDHHAIUSA-N 0 3 306.498 4.118 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN(C)CCc1ccccc1Cl ZINC001249407133 1060236571 /nfs/dbraw/zinc/23/65/71/1060236571.db2.gz BBWNXUCVVVSKHB-CQSZACIVSA-N 0 3 319.880 4.262 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCC2(CCCCC2)CC1 ZINC001249402626 1060236695 /nfs/dbraw/zinc/23/66/95/1060236695.db2.gz OVGKJXVHFQVJPJ-INIZCTEOSA-N 0 3 303.494 4.480 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cn3ccnc3s1)CC2 ZINC001560447854 1060238664 /nfs/dbraw/zinc/23/86/64/1060238664.db2.gz JHRXRIDMENNDEU-QGZVFWFLSA-N 0 3 311.454 4.296 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(-c3ccc(F)cc3)o2)O1 ZINC001560448839 1060238815 /nfs/dbraw/zinc/23/88/15/1060238815.db2.gz RHWOERFNUCSTJS-INIZCTEOSA-N 0 3 303.377 4.133 20 0 DIADHN COc1ccc2nc(CN3CCC[C@@H]3c3ccc(C)cc3)[nH]c2c1 ZINC001249413718 1060242984 /nfs/dbraw/zinc/24/29/84/1060242984.db2.gz CUIGYMYELSSUNF-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N(CCN(C)C)C(C)C)CC1 ZINC001534385366 1060245136 /nfs/dbraw/zinc/24/51/36/1060245136.db2.gz OPSNFDUHBJYIEQ-UHFFFAOYSA-N 0 3 324.553 4.418 20 0 DIADHN CC(C)(CNCc1c(F)cccc1OC(F)F)c1ccccc1 ZINC001560460734 1060251796 /nfs/dbraw/zinc/25/17/96/1060251796.db2.gz WMADZBHPAGAJOF-UHFFFAOYSA-N 0 3 323.358 4.495 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(C(C)(C)C)c[nH]2)C1 ZINC001249476222 1060255897 /nfs/dbraw/zinc/25/58/97/1060255897.db2.gz JKXQYULFAUTDSL-OAHLLOKOSA-N 0 3 312.457 4.310 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@@H]1CCC1(C)C ZINC001560469015 1060256930 /nfs/dbraw/zinc/25/69/30/1060256930.db2.gz TUCXIACTQXBVJX-CQSZACIVSA-N 0 3 301.261 4.338 20 0 DIADHN COC1(CN[C@@H]2CCc3cc(F)c(F)c(F)c32)CCCCC1 ZINC001560473713 1060264704 /nfs/dbraw/zinc/26/47/04/1060264704.db2.gz QUTIUIDQMIFNPY-CYBMUJFWSA-N 0 3 313.363 4.030 20 0 DIADHN Cc1csc(CNCc2cnn(-c3ccc(Cl)cc3)c2)c1 ZINC001560477958 1060265907 /nfs/dbraw/zinc/26/59/07/1060265907.db2.gz CVLWAZOUQOQSEV-UHFFFAOYSA-N 0 3 317.845 4.185 20 0 DIADHN CCC1(NCc2nccc(C)c2Br)CCCCC1 ZINC001560479246 1060268488 /nfs/dbraw/zinc/26/84/88/1060268488.db2.gz DDHXODBSOQCAEV-UHFFFAOYSA-N 0 3 311.267 4.355 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1nc2ccc(Br)cc2[nH]1 ZINC001249516762 1060270679 /nfs/dbraw/zinc/27/06/79/1060270679.db2.gz GOKYBVOENIVBIR-QWRGUYRKSA-N 0 3 322.250 4.088 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CC[C@H](c3ccccc3)C2)cn1 ZINC001249512384 1060271899 /nfs/dbraw/zinc/27/18/99/1060271899.db2.gz NWDCMAQHYHJAMN-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN Clc1cnccc1CNCCc1cc2ccccc2s1 ZINC001560485145 1060277732 /nfs/dbraw/zinc/27/77/32/1060277732.db2.gz LEMZTVBBWRIILN-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249526130 1060278521 /nfs/dbraw/zinc/27/85/21/1060278521.db2.gz QUWXDIJBJXEUBU-MRXNPFEDSA-N 0 3 322.383 4.012 20 0 DIADHN OCC[C@@H](NC/C=C/c1cccc(F)c1)c1ccc(Cl)cc1 ZINC001560490038 1060282479 /nfs/dbraw/zinc/28/24/79/1060282479.db2.gz ISDGPRSDBUCSFA-ROVVAWJSSA-N 0 3 319.807 4.206 20 0 DIADHN COC1(CNCc2ccc(F)c(Cl)c2Cl)CCCC1 ZINC001560488414 1060282774 /nfs/dbraw/zinc/28/27/74/1060282774.db2.gz DHWWUXPMYMUPFQ-UHFFFAOYSA-N 0 3 306.208 4.181 20 0 DIADHN CCSc1cccc(CNCc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001560493191 1060288630 /nfs/dbraw/zinc/28/86/30/1060288630.db2.gz UPDJECAIGFCDNP-AWEZNQCLSA-N 0 3 313.466 4.412 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@H]1CC[C@@H](C)O1 ZINC001560496554 1060290238 /nfs/dbraw/zinc/29/02/38/1060290238.db2.gz YIKMHFOSYNXJRM-CHWSQXEVSA-N 0 3 313.894 4.498 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@H]1CC[C@H](C)O1 ZINC001560496556 1060294240 /nfs/dbraw/zinc/29/42/40/1060294240.db2.gz YIKMHFOSYNXJRM-QWHCGFSZSA-N 0 3 313.894 4.498 20 0 DIADHN c1coc(-c2ccccc2NC2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001249562185 1060294699 /nfs/dbraw/zinc/29/46/99/1060294699.db2.gz XOOPSGRXLXXGBK-KRWDZBQOSA-N 0 3 324.424 4.127 20 0 DIADHN CC(C)c1cccc(F)c1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249561731 1060295066 /nfs/dbraw/zinc/29/50/66/1060295066.db2.gz RQSPRANUMPVNKL-MRXNPFEDSA-N 0 3 318.436 4.130 20 0 DIADHN COc1cccc(CNCc2ccc(C)c3ccccc23)c1OC ZINC001560506773 1060304682 /nfs/dbraw/zinc/30/46/82/1060304682.db2.gz QBCRZVNCQFSLHQ-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCCC(=O)OC(C)(C)C ZINC001560514228 1060313926 /nfs/dbraw/zinc/31/39/26/1060313926.db2.gz OLDSOTZUTYFLAU-UHFFFAOYSA-N 0 3 309.450 4.097 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2c(Cl)ccc3cccnc32)C1 ZINC001560519106 1060317952 /nfs/dbraw/zinc/31/79/52/1060317952.db2.gz SADLRGZIZVWFQZ-HDJSIYSDSA-N 0 3 318.848 4.324 20 0 DIADHN CCc1cccc(CNCc2ccc3c(c2)OCCCCO3)c1 ZINC001560521806 1060319837 /nfs/dbraw/zinc/31/98/37/1060319837.db2.gz QNOAHGHFKUEFAU-UHFFFAOYSA-N 0 3 311.425 4.090 20 0 DIADHN CC(C)C(C)(C)NCc1nc(Cl)ccc1Br ZINC001560525269 1060327027 /nfs/dbraw/zinc/32/70/27/1060327027.db2.gz VKPVUNISXNGTQT-UHFFFAOYSA-N 0 3 305.647 4.022 20 0 DIADHN CC(C)CC[C@@H](CO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC001560531948 1060335927 /nfs/dbraw/zinc/33/59/27/1060335927.db2.gz CCESSYGNZJWWEG-ZDUSSCGKSA-N 0 3 323.383 4.112 20 0 DIADHN Clc1ccc(CNCc2ccc3[nH]cnc3c2)cc1Cl ZINC001560535565 1060341882 /nfs/dbraw/zinc/34/18/82/1060341882.db2.gz ZJXCEPMXICRSCR-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN Clc1ccc(CNCc2ccc3nc[nH]c3c2)cc1Cl ZINC001560535565 1060341902 /nfs/dbraw/zinc/34/19/02/1060341902.db2.gz ZJXCEPMXICRSCR-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@H](Sc3ccccc3)C2)c1 ZINC001560549414 1060358508 /nfs/dbraw/zinc/35/85/08/1060358508.db2.gz CXVFQNFGEKIBKW-CZIWCDLHSA-N 0 3 317.429 4.247 20 0 DIADHN CN(C)c1ccccc1CNCc1ccnc(-c2ccccc2)c1 ZINC001560551244 1060360014 /nfs/dbraw/zinc/36/00/14/1060360014.db2.gz GDAWNPSVZXXYKY-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN COCc1cccc(CNCc2ccnc(-c3ccccc3)c2)c1 ZINC001560551200 1060361937 /nfs/dbraw/zinc/36/19/37/1060361937.db2.gz DCQWEMYDTWZRTG-UHFFFAOYSA-N 0 3 318.420 4.185 20 0 DIADHN COc1ccccc1CNCc1cn(C(F)F)c2ccccc12 ZINC001560554349 1060365054 /nfs/dbraw/zinc/36/50/54/1060365054.db2.gz SMJNILOAPQTBOF-UHFFFAOYSA-N 0 3 316.351 4.335 20 0 DIADHN Cc1nc(CNC[C@]2(Cc3ccccc3)C=CCCC2)[nH]c1C ZINC001560556169 1060366318 /nfs/dbraw/zinc/36/63/18/1060366318.db2.gz PZEHLGLERKSMPA-HXUWFJFHSA-N 0 3 309.457 4.085 20 0 DIADHN COc1cc(CNCc2ccc3cccnc3c2)ccc1SC ZINC001560556524 1060367198 /nfs/dbraw/zinc/36/71/98/1060367198.db2.gz BHCFELBNVKSMTI-UHFFFAOYSA-N 0 3 324.449 4.255 20 0 DIADHN Fc1ccc2oc(CNCc3ccc4cccnc4c3)cc2c1 ZINC001560556824 1060368010 /nfs/dbraw/zinc/36/80/10/1060368010.db2.gz RTBWUAHMRHVNRL-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN Cc1ccc(CNCCSCCC(F)(F)F)c(Cl)c1 ZINC001560560968 1060370720 /nfs/dbraw/zinc/37/07/20/1060370720.db2.gz XSANKQSWMUJUQX-UHFFFAOYSA-N 0 3 311.800 4.424 20 0 DIADHN Cc1c(Cl)ccc(CNCC[C@H](O)C2CCCC2)c1Cl ZINC001560564807 1060375576 /nfs/dbraw/zinc/37/55/76/1060375576.db2.gz KNNWQJYFSFNAPB-HNNXBMFYSA-N 0 3 316.272 4.333 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(N)cc3Cl)cccc21 ZINC001249739144 1060381075 /nfs/dbraw/zinc/38/10/75/1060381075.db2.gz HRSSAXWUGYEAIV-INIZCTEOSA-N 0 3 317.820 4.051 20 0 DIADHN CCN(c1ccccc1)c1ccc(CNC[C@@H]2CC(C)=NO2)cc1 ZINC001560574469 1060388230 /nfs/dbraw/zinc/38/82/30/1060388230.db2.gz FSGKTLRYDWTNDR-FQEVSTJZSA-N 0 3 323.440 4.099 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001560576371 1060392578 /nfs/dbraw/zinc/39/25/78/1060392578.db2.gz HTYAHJSEFDOHDL-GOEBONIOSA-N 0 3 302.462 4.272 20 0 DIADHN COc1ccc(CNC2CC(Nc3ccccc3)C2)c(Cl)c1 ZINC001560579776 1060393210 /nfs/dbraw/zinc/39/32/10/1060393210.db2.gz ZOHNNLYMMUFKHH-UHFFFAOYSA-N 0 3 316.832 4.081 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CNCc2cc3ccccc3o2)O1 ZINC001560581147 1060393552 /nfs/dbraw/zinc/39/35/52/1060393552.db2.gz RCHOLJCNTWKYAI-DOMZBBRYSA-N 0 3 313.319 4.022 20 0 DIADHN CCCc1ccc(CNCc2ccc3c(c2)C[C@H](C)O3)s1 ZINC001560581707 1060396397 /nfs/dbraw/zinc/39/63/97/1060396397.db2.gz ITOZMJHAMCQWMV-ZDUSSCGKSA-N 0 3 301.455 4.314 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1cc2ccccc2[nH]c1=O ZINC001560582772 1060396632 /nfs/dbraw/zinc/39/66/32/1060396632.db2.gz UDEFRLVBROYZGU-CYBMUJFWSA-N 0 3 310.372 4.239 20 0 DIADHN CCc1cccc2cc(CNCc3c(C)cc(CO)cc3C)oc21 ZINC001560595594 1060407090 /nfs/dbraw/zinc/40/70/90/1060407090.db2.gz HHLCHYZSROFUIB-UHFFFAOYSA-N 0 3 323.436 4.394 20 0 DIADHN Cc1cc(F)c(Nc2cccc3c2OCC[C@@H]3N(C)C)cc1F ZINC001249798540 1060407103 /nfs/dbraw/zinc/40/71/03/1060407103.db2.gz YIOHSLWTIHCXSQ-KRWDZBQOSA-N 0 3 318.367 4.402 20 0 DIADHN Cc1cc(Br)cc(CNC(C)(C)/C=C/Cl)c1 ZINC001560594915 1060408019 /nfs/dbraw/zinc/40/80/19/1060408019.db2.gz FAIWZAOVALEOPM-SNAWJCMRSA-N 0 3 302.643 4.378 20 0 DIADHN COc1cccc2c(CNCc3cccc4c3OCC4)cccc12 ZINC001560599963 1060413639 /nfs/dbraw/zinc/41/36/39/1060413639.db2.gz QGFQYQHYVWZRAJ-UHFFFAOYSA-N 0 3 319.404 4.073 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H](NCc2cc(C(F)(F)F)n(C)n2)C1 ZINC001560614100 1060426720 /nfs/dbraw/zinc/42/67/20/1060426720.db2.gz YFSZEQUBPFOORE-CHWSQXEVSA-N 0 3 317.399 4.133 20 0 DIADHN Fc1cc(CNC[C@@H]2OCc3ccccc32)ccc1C(F)F ZINC001560619586 1060431645 /nfs/dbraw/zinc/43/16/45/1060431645.db2.gz APGOVXHZUPKXEC-INIZCTEOSA-N 0 3 307.315 4.124 20 0 DIADHN Cc1cc2cc(CNC[C@H]3OCc4ccccc43)oc2cc1C ZINC001560620448 1060432641 /nfs/dbraw/zinc/43/26/41/1060432641.db2.gz YMPLYTNGQIQGJT-HXUWFJFHSA-N 0 3 307.393 4.411 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1cc(OC)c(OC)cc1F ZINC001560627440 1060437506 /nfs/dbraw/zinc/43/75/06/1060437506.db2.gz AVPFHYFZVXQDOE-CJNGLKHVSA-N 0 3 309.425 4.244 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001560627996 1060439081 /nfs/dbraw/zinc/43/90/81/1060439081.db2.gz ODZPKOLXBWLEEE-CHWSQXEVSA-N 0 3 309.475 4.324 20 0 DIADHN CC(C)N(Cc1nc(Br)cs1)C1CCCCC1 ZINC001560633365 1060444866 /nfs/dbraw/zinc/44/48/66/1060444866.db2.gz STLHIRLYZOFYSO-UHFFFAOYSA-N 0 3 317.296 4.449 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001560635700 1060446670 /nfs/dbraw/zinc/44/66/70/1060446670.db2.gz LCNLOMKVDQUETE-NWDGAFQWSA-N 0 3 321.408 4.402 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1c(OC)cc(F)cc1OC ZINC001560641218 1060459785 /nfs/dbraw/zinc/45/97/85/1060459785.db2.gz WNSVNRYSOSKESG-HNNXBMFYSA-N 0 3 309.425 4.388 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2scnc2C2CC2)C1(CC)CC ZINC001560644257 1060467205 /nfs/dbraw/zinc/46/72/05/1060467205.db2.gz LCDCOTZULSOYJY-JKSUJKDBSA-N 0 3 322.518 4.436 20 0 DIADHN CCn1nccc1CN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC001560647830 1060471004 /nfs/dbraw/zinc/47/10/04/1060471004.db2.gz DZVFNAGNVDZYKX-HSNDNRACSA-N 0 3 309.457 4.361 20 0 DIADHN Cc1n[nH]c(CN2CCCCC[C@H]2/C=C\c2ccccc2)c1C ZINC001560649053 1060474452 /nfs/dbraw/zinc/47/44/52/1060474452.db2.gz YZHXIVVIPPADDD-HSNDNRACSA-N 0 3 309.457 4.485 20 0 DIADHN Cc1n[nH]c(CN2CCCCC[C@@H]2/C=C\c2ccccc2)c1C ZINC001560649056 1060475011 /nfs/dbraw/zinc/47/50/11/1060475011.db2.gz YZHXIVVIPPADDD-SYGIOELKSA-N 0 3 309.457 4.485 20 0 DIADHN Cc1cc(Br)c(CN2CCC[C@@H](C)CC2)s1 ZINC001560657539 1060480901 /nfs/dbraw/zinc/48/09/01/1060480901.db2.gz QFWLQCOCSLWALS-SNVBAGLBSA-N 0 3 302.281 4.441 20 0 DIADHN CCN(CCC(F)(F)F)Cc1cc2c(cccc2O)s1 ZINC001560663059 1060490513 /nfs/dbraw/zinc/49/05/13/1060490513.db2.gz RTCHEMAQJOXDNX-UHFFFAOYSA-N 0 3 303.349 4.381 20 0 DIADHN COc1ccc2nc(CN3CCC[C@@H]3C(C)(C)C)sc2c1 ZINC001560667297 1060493991 /nfs/dbraw/zinc/49/39/91/1060493991.db2.gz DNACVCICOZDEQP-OAHLLOKOSA-N 0 3 304.459 4.315 20 0 DIADHN CC[C@H]1CCCC[C@H]1CN(C)CC(=O)Nc1cccc(C)c1C ZINC001560666256 1060494187 /nfs/dbraw/zinc/49/41/87/1060494187.db2.gz AXTQEVFXAVXJGY-ROUUACIJSA-N 0 3 316.489 4.390 20 0 DIADHN CCn1cc(CN(C2CC2)C2CC(c3ccccc3C)C2)c(C)n1 ZINC001560668451 1060495375 /nfs/dbraw/zinc/49/53/75/1060495375.db2.gz LIEZVWZJZDBIMV-UHFFFAOYSA-N 0 3 323.484 4.430 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(OC)c(OC2CC2)c1 ZINC001560670004 1060499862 /nfs/dbraw/zinc/49/98/62/1060499862.db2.gz NPTWYSHAROGFJX-UHFFFAOYSA-N 0 3 317.454 4.320 20 0 DIADHN CCOC(=O)CC(C)(C)CN1CCC[C@H]1c1ccc(Cl)cc1 ZINC001560674330 1060504391 /nfs/dbraw/zinc/50/43/91/1060504391.db2.gz WTIOKTZMBUFXDN-INIZCTEOSA-N 0 3 323.864 4.456 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CCC[C@H]2CCO2)cc1 ZINC001560675792 1060510580 /nfs/dbraw/zinc/51/05/80/1060510580.db2.gz NIYAJXIDMFYDGU-HOTGVXAUSA-N 0 3 324.262 4.155 20 0 DIADHN COc1cccc(CN2CCC[C@](C)(c3ccccc3)C2)c1F ZINC001560675582 1060513747 /nfs/dbraw/zinc/51/37/47/1060513747.db2.gz KPVYUDZDXDJSER-FQEVSTJZSA-N 0 3 313.416 4.388 20 0 DIADHN COC1(CN(Cc2ccco2)C[C@H]2CCCO2)CCCCCC1 ZINC001560682042 1060528183 /nfs/dbraw/zinc/52/81/83/1060528183.db2.gz BACUEGIZDPHUED-GOSISDBHSA-N 0 3 321.461 4.000 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1ccc(SC)o1 ZINC001560683904 1060528958 /nfs/dbraw/zinc/52/89/58/1060528958.db2.gz QGLAKWUYKURECF-ZDUSSCGKSA-N 0 3 305.443 4.073 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCC[C@H]1C(=O)OC(C)(C)C ZINC001560683953 1060529095 /nfs/dbraw/zinc/52/90/95/1060529095.db2.gz SLHKHBLJRHEMFL-RDJZCZTQSA-N 0 3 309.494 4.399 20 0 DIADHN C[C@H](CCN(Cc1ccco1)C[C@@H]1CCCO1)c1ccccc1 ZINC001560682024 1060529299 /nfs/dbraw/zinc/52/92/99/1060529299.db2.gz AOVBCODNVQJGQB-XLIONFOSSA-N 0 3 313.441 4.454 20 0 DIADHN O=C(CCC1=CCCN(Cc2ccccc2)C1)c1ccccc1 ZINC001250022934 1060548227 /nfs/dbraw/zinc/54/82/27/1060548227.db2.gz HUIQDGINFBFILO-UHFFFAOYSA-N 0 3 305.421 4.482 20 0 DIADHN Cc1cccc(CN(C)Cc2cn(C)nc2-c2ccc(F)cc2)c1 ZINC001560717089 1060573557 /nfs/dbraw/zinc/57/35/57/1060573557.db2.gz AKEGTFSZHRZOKD-UHFFFAOYSA-N 0 3 323.415 4.167 20 0 DIADHN COc1cc(CN2C[C@H](C)C[C@@H](C)C2)cc(C(F)(F)F)c1 ZINC001560715376 1060574636 /nfs/dbraw/zinc/57/46/36/1060574636.db2.gz FWPDKRTVEVYGQS-VXGBXAGGSA-N 0 3 301.352 4.192 20 0 DIADHN Cc1ccc(F)cc1CN(C)CCOc1ccccc1Cl ZINC001560721368 1060582511 /nfs/dbraw/zinc/58/25/11/1060582511.db2.gz IIAZKBFQYSERIP-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN Cc1cccc(N2CCN(Cc3ccc(C4CC4)cc3)CC2)c1 ZINC001560722421 1060585572 /nfs/dbraw/zinc/58/55/72/1060585572.db2.gz DETBGRATIQERCH-UHFFFAOYSA-N 0 3 306.453 4.195 20 0 DIADHN CCCOc1ccc(CN2CCC[C@H]2c2cccn2C)cc1C ZINC001560725885 1060589665 /nfs/dbraw/zinc/58/96/65/1060589665.db2.gz VBHKQAWYHQLDRE-IBGZPJMESA-N 0 3 312.457 4.459 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2ccc(O)c(F)c2)c1 ZINC001560752810 1060621306 /nfs/dbraw/zinc/62/13/06/1060621306.db2.gz NYEUKYSRMPYGPP-SCLBCKFNSA-N 0 3 315.388 4.123 20 0 DIADHN CCN1CCN(c2cccc(NC[C@@H]3CCCC[C@@H]3C)c2)CC1 ZINC001560774678 1060636246 /nfs/dbraw/zinc/63/62/46/1060636246.db2.gz PAHGOOLSOJPSTQ-ROUUACIJSA-N 0 3 315.505 4.067 20 0 DIADHN CCN(CC)Cc1ccc(F)c(CC(=O)c2ccc(F)cc2)c1 ZINC001250134896 1060637069 /nfs/dbraw/zinc/63/70/69/1060637069.db2.gz ABNCPMUKWCYLAG-UHFFFAOYSA-N 0 3 317.379 4.232 20 0 DIADHN CC[C@@H](C)C[C@@H](CC)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001560774734 1060639111 /nfs/dbraw/zinc/63/91/11/1060639111.db2.gz QWJWHWYLULWLIQ-QZTJIDSGSA-N 0 3 317.521 4.455 20 0 DIADHN CCC1(CC)CCC(NCc2ccsc2C(=O)OC)CC1 ZINC001560798657 1060658637 /nfs/dbraw/zinc/65/86/37/1060658637.db2.gz MIJSJFUUCGTEFS-UHFFFAOYSA-N 0 3 309.475 4.373 20 0 DIADHN C[C@H](O)C[C@H]1CCCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001560801100 1060661027 /nfs/dbraw/zinc/66/10/27/1060661027.db2.gz FXFJCTNUFXXABM-WCQYABFASA-N 0 3 324.877 4.075 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC2(CCOC2(C)C)CC1 ZINC001250188219 1060666208 /nfs/dbraw/zinc/66/62/08/1060666208.db2.gz VTBJFJGPRVLQKN-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN CCc1cc(Nc2cnc(Cl)c(Cl)c2Cl)ccn1 ZINC001250197828 1060675727 /nfs/dbraw/zinc/67/57/27/1060675727.db2.gz KLEUOJPEXFHBOX-UHFFFAOYSA-N 0 3 302.592 4.165 20 0 DIADHN CCc1ccc([C@@H]2CCCN(Cc3cnc(C4CC4)o3)C2)cc1 ZINC001560816845 1060677432 /nfs/dbraw/zinc/67/74/32/1060677432.db2.gz GNJAZLYMZRCGKV-GOSISDBHSA-N 0 3 310.441 4.494 20 0 DIADHN CO[C@@H](CN(Cc1ccc(F)cc1Cl)CC1CC1)C1CC1 ZINC001560826702 1060686785 /nfs/dbraw/zinc/68/67/85/1060686785.db2.gz VAPRBKZSADJNCJ-KRWDZBQOSA-N 0 3 311.828 4.116 20 0 DIADHN CC[C@H](C)N1CCN([C@@H]2C[C@H](C)Sc3sccc32)CC1 ZINC001560834868 1060690792 /nfs/dbraw/zinc/69/07/92/1060690792.db2.gz WWQOFOKDEIHKBD-KCQAQPDRSA-N 0 3 310.532 4.090 20 0 DIADHN Clc1ccc(C2CN(Cc3ccc4c(c3)CCCO4)C2)cc1 ZINC001560837399 1060696048 /nfs/dbraw/zinc/69/60/48/1060696048.db2.gz QCKIOIVFIKVYOK-UHFFFAOYSA-N 0 3 313.828 4.264 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)C[C@@H]2C)cc(C)c1O ZINC001560845031 1060699251 /nfs/dbraw/zinc/69/92/51/1060699251.db2.gz ONIPEFHEKORWDK-JSGCOSHPSA-N 0 3 301.352 4.172 20 0 DIADHN COC[C@]1(C)CCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001560844424 1060699691 /nfs/dbraw/zinc/69/96/91/1060699691.db2.gz DKPMTXKBICPQFS-MRXNPFEDSA-N 0 3 316.272 4.284 20 0 DIADHN COc1cc(CN2CC[C@H](C(F)(F)F)C[C@H]2C)ccc1C ZINC001560844492 1060700059 /nfs/dbraw/zinc/70/00/59/1060700059.db2.gz FWEVGURADXPKCD-OCCSQVGLSA-N 0 3 301.352 4.166 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1ccc(Br)o1 ZINC001560845246 1060702257 /nfs/dbraw/zinc/70/22/57/1060702257.db2.gz QTTWFMGBPNUWKL-CQSZACIVSA-N 0 3 300.240 4.443 20 0 DIADHN CCc1ccc(-c2ccc(CCCN3CCN(C)CC3)cc2)cc1 ZINC001250229350 1060709401 /nfs/dbraw/zinc/70/94/01/1060709401.db2.gz SELZVSLZEHDPBN-UHFFFAOYSA-N 0 3 322.496 4.096 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)cc1 ZINC001560855990 1060714788 /nfs/dbraw/zinc/71/47/88/1060714788.db2.gz GWLPEXPNIAVPOV-LJQANCHMSA-N 0 3 323.484 4.326 20 0 DIADHN CC[C@H]1CCCC[C@H]1CNCc1ncc(C(F)(F)F)s1 ZINC001560860416 1060717330 /nfs/dbraw/zinc/71/73/30/1060717330.db2.gz MJEHNLODOFNOAY-QWRGUYRKSA-N 0 3 306.397 4.468 20 0 DIADHN C[C@H](CN1CC2(CCC2)[C@@H]1[C@H]1CCCO1)c1cccc(Cl)c1 ZINC001560861009 1060718705 /nfs/dbraw/zinc/71/87/05/1060718705.db2.gz BMLFJHVLZJBYTC-OLMNPRSZSA-N 0 3 319.876 4.477 20 0 DIADHN CC(C)(C)C(=O)Nc1cccc(Cl)c1CCN1CCCCC1 ZINC001250242599 1060723274 /nfs/dbraw/zinc/72/32/74/1060723274.db2.gz VXAVDTWTCJPKRF-UHFFFAOYSA-N 0 3 322.880 4.353 20 0 DIADHN CCC1CN(Cc2ccc(OCc3cccc(OC)c3)cc2)C1 ZINC001560872989 1060732527 /nfs/dbraw/zinc/73/25/27/1060732527.db2.gz AIBXDQNXALTBCA-UHFFFAOYSA-N 0 3 311.425 4.116 20 0 DIADHN COc1c(C)cnc(CN2CC3(CCCC3)[C@H]2C(C)C)c1C ZINC001560883896 1060746099 /nfs/dbraw/zinc/74/60/99/1060746099.db2.gz IRPMOQCQWQCYIW-GOSISDBHSA-N 0 3 302.462 4.108 20 0 DIADHN C[C@H]1CN(C/C=C/c2cccc(F)c2)CCN1Cc1ccccc1 ZINC001560884824 1060746858 /nfs/dbraw/zinc/74/68/58/1060746858.db2.gz IIKKUDXVSOHICK-OPUMOTSWSA-N 0 3 324.443 4.045 20 0 DIADHN COc1c(C)cnc(CN2CC3(CCCC3)[C@@H]2C(C)C)c1C ZINC001560883897 1060747433 /nfs/dbraw/zinc/74/74/33/1060747433.db2.gz IRPMOQCQWQCYIW-SFHVURJKSA-N 0 3 302.462 4.108 20 0 DIADHN Fc1ccc(CN2CC[C@H](C3CCC3)C2)cc1C(F)(F)F ZINC001560885786 1060747966 /nfs/dbraw/zinc/74/79/66/1060747966.db2.gz NOZLKKLCJPHHBC-ZDUSSCGKSA-N 0 3 301.327 4.467 20 0 DIADHN OCc1ccc(OC(F)(F)F)cc1Nc1ccnc(C2CC2)c1 ZINC001250275240 1060748789 /nfs/dbraw/zinc/74/87/89/1060748789.db2.gz JQBCGTDEXVHHMZ-UHFFFAOYSA-N 0 3 324.302 4.094 20 0 DIADHN Brc1ccsc1CN1CC[C@@H](C2CCC2)C1 ZINC001560886171 1060749565 /nfs/dbraw/zinc/74/95/65/1060749565.db2.gz WXPSXKMLPZTCEN-LLVKDONJSA-N 0 3 300.265 4.133 20 0 DIADHN Fc1cc(CN2C[C@H]3CCCC[C@H]32)ccc1OCC(F)(F)F ZINC001560918728 1060779414 /nfs/dbraw/zinc/77/94/14/1060779414.db2.gz FTLIVVNFNCAPQX-TZMCWYRMSA-N 0 3 317.326 4.141 20 0 DIADHN CC[C@@H]1CO[C@@H](CC)CN1CCc1ccc(C(C)(C)C)cc1 ZINC001560919742 1060782688 /nfs/dbraw/zinc/78/26/88/1060782688.db2.gz MBGKRILMRCXABE-MOPGFXCFSA-N 0 3 303.490 4.416 20 0 DIADHN Cc1cc(N2CCCCC2)ccc1Nc1ccc2cncn2c1 ZINC001250331955 1060785302 /nfs/dbraw/zinc/78/53/02/1060785302.db2.gz MAWJHIPGYHFUII-UHFFFAOYSA-N 0 3 306.413 4.377 20 0 DIADHN CCOc1nccc(CN2CCC[C@@H]3CCCC[C@@H]32)c1Cl ZINC001250383479 1060792991 /nfs/dbraw/zinc/79/29/91/1060792991.db2.gz ZCSIZDCRMTWOBL-ZFWWWQNUSA-N 0 3 308.853 4.288 20 0 DIADHN CCCC[C@H](CC)CCCN(C)Cc1cc2c(cn1)OCCO2 ZINC001560939044 1060800888 /nfs/dbraw/zinc/80/08/88/1060800888.db2.gz WIOXETYFKCNVTC-INIZCTEOSA-N 0 3 320.477 4.281 20 0 DIADHN c1cc(CN2CCC[C@@H](C3CCC3)C2)n(CCc2ccccc2)n1 ZINC001560937654 1060802070 /nfs/dbraw/zinc/80/20/70/1060802070.db2.gz JZBPJPMEMBUYDP-HXUWFJFHSA-N 0 3 323.484 4.138 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)Cc1cc2c(cn1)OCCO2 ZINC001560939045 1060803015 /nfs/dbraw/zinc/80/30/15/1060803015.db2.gz WIOXETYFKCNVTC-MRXNPFEDSA-N 0 3 320.477 4.281 20 0 DIADHN Fc1c(CN2CCC[C@@H](C3CCC3)C2)cccc1OC1CC1 ZINC001560937588 1060803079 /nfs/dbraw/zinc/80/30/79/1060803079.db2.gz IGPWIJQCARWUPU-OAHLLOKOSA-N 0 3 303.421 4.379 20 0 DIADHN Cc1cccc(CC2CN(C/C=C\c3ccc(F)c(F)c3)C2)c1 ZINC001560943155 1060808273 /nfs/dbraw/zinc/80/82/73/1060808273.db2.gz KOPHCQHCQACUEN-UTCJRWHESA-N 0 3 313.391 4.461 20 0 DIADHN Cc1n[nH]c(CN2CCC[C@@]2(C)Cc2ccccc2Cl)c1C ZINC001560951988 1060820484 /nfs/dbraw/zinc/82/04/84/1060820484.db2.gz XEOHNHXBNVBPFA-SFHVURJKSA-N 0 3 317.864 4.277 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc2c(c(OC)c1)OCC=C2 ZINC001250497797 1060849979 /nfs/dbraw/zinc/84/99/79/1060849979.db2.gz PJPNOOLUIQEKPI-UHFFFAOYSA-N 0 3 309.409 4.123 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)cc1F)[C@H](O)c1ccccc1 ZINC001560999549 1060858380 /nfs/dbraw/zinc/85/83/80/1060858380.db2.gz XWOAEXBRJPYATD-IAGOWNOFSA-N 0 3 307.796 4.081 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)cc2)cc2c1OCC=C2 ZINC001250510260 1060860656 /nfs/dbraw/zinc/86/06/56/1060860656.db2.gz QGXWHFPXZROBSA-UHFFFAOYSA-N 0 3 309.409 4.041 20 0 DIADHN CCC1CCN(Cc2cccc(OC)c2OCC2CC2)CC1 ZINC001250520828 1060865995 /nfs/dbraw/zinc/86/59/95/1060865995.db2.gz FPAWJHLTVAYWIR-UHFFFAOYSA-N 0 3 303.446 4.106 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)N[C@@H](c1ncccn1)C1CC1 ZINC001561016981 1060872994 /nfs/dbraw/zinc/87/29/94/1060872994.db2.gz MEZIWGAONBNZHR-XHDPSFHLSA-N 0 3 321.877 4.254 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)[C@@H]1C[C@H]1c1cccs1 ZINC001561026854 1060881839 /nfs/dbraw/zinc/88/18/39/1060881839.db2.gz OKGQVYQASSPUNN-RWMBFGLXSA-N 0 3 305.418 4.178 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1ncccc1Br ZINC001561048577 1060899607 /nfs/dbraw/zinc/89/96/07/1060899607.db2.gz YTMOKDQJDGTZPU-STQMWFEESA-N 0 3 313.283 4.395 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2C[C@H]2C2CCCC2)cc(Cl)c1[O-] ZINC001561052016 1060902657 /nfs/dbraw/zinc/90/26/57/1060902657.db2.gz PVNMPTLNEVLTEA-GJZGRUSLSA-N 0 3 323.864 4.360 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1cncc3ccccc31)C2 ZINC001561055724 1060903942 /nfs/dbraw/zinc/90/39/42/1060903942.db2.gz VHTKPOYVISPCQD-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN Fc1ccc2nc([C@@H]3CCCCN3Cc3ccccc3)[nH]c2c1 ZINC001250571839 1060909057 /nfs/dbraw/zinc/90/90/57/1060909057.db2.gz FQYFCJRSAULJSJ-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccc2nc([C@H]3CCCCN3Cc3ccccc3)[nH]c2c1 ZINC001250571838 1060911218 /nfs/dbraw/zinc/91/12/18/1060911218.db2.gz FQYFCJRSAULJSJ-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1cc(O)ccc1Cl ZINC001473195488 1060951573 /nfs/dbraw/zinc/95/15/73/1060951573.db2.gz XVNUTDCOIAMMMO-INIZCTEOSA-N 0 3 303.833 4.499 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@@H](C)[C@@H](C)C3)c2)CC1 ZINC001462131310 1060953634 /nfs/dbraw/zinc/95/36/34/1060953634.db2.gz WPUZFSPOBIVHEZ-ZIFCJYIRSA-N 0 3 315.505 4.065 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(Br)c(C)s1 ZINC001462139697 1060959429 /nfs/dbraw/zinc/95/94/29/1060959429.db2.gz MJNGJGCYLCRPKI-TVQRCGJNSA-N 0 3 302.281 4.439 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(CCOCc2ccccc2)C1 ZINC001473198592 1060960524 /nfs/dbraw/zinc/96/05/24/1060960524.db2.gz OWDAPLNKDDOJND-JXFKEZNVSA-N 0 3 313.416 4.425 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CN2CCC(C3CCCC3)CC2)O1 ZINC001473201535 1060965928 /nfs/dbraw/zinc/96/59/28/1060965928.db2.gz AGDGMDDRDDUQPY-HZPDHXFCSA-N 0 3 319.411 4.389 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccc(-c2ccccn2)nc1 ZINC001473214984 1060984612 /nfs/dbraw/zinc/98/46/12/1060984612.db2.gz GLRQKOADRBQLQD-MRXNPFEDSA-N 0 3 315.420 4.263 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@@H](C)N(C)Cc1ccncc1F ZINC001473216118 1060989202 /nfs/dbraw/zinc/98/92/02/1060989202.db2.gz SZDHUSNCTMUABR-QWHCGFSZSA-N 0 3 306.812 4.498 20 0 DIADHN CC(C)CC1CCN(Cc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC001473217672 1060990943 /nfs/dbraw/zinc/99/09/43/1060990943.db2.gz QSDRKOPCQSCKHG-UHFFFAOYSA-N 0 3 311.372 4.266 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(Cl)ccc2OC)C12CCC2 ZINC001473221786 1060999872 /nfs/dbraw/zinc/99/98/72/1060999872.db2.gz LNLKAOWLSNJVGI-DLBZAZTESA-N 0 3 323.864 4.128 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCC(C)(C)CC2)cc1Cl ZINC001473221685 1061001721 /nfs/dbraw/zinc/00/17/21/1061001721.db2.gz JTBCCZJTOMINCA-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN Fc1cccnc1CN[C@@H]1CCC[C@@H]1c1ccccc1Cl ZINC001462184706 1061004491 /nfs/dbraw/zinc/00/44/91/1061004491.db2.gz SHWZFFOUFARISI-CZUORRHYSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCc2ccc(O)cc2CC1 ZINC001473225728 1061005459 /nfs/dbraw/zinc/00/54/59/1061005459.db2.gz YRZWLCHAQWOMKN-LBPRGKRZSA-N 0 3 319.807 4.347 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C1CC1 ZINC001462183266 1061005867 /nfs/dbraw/zinc/00/58/67/1061005867.db2.gz HMKABQJZVPLPFS-IAQYHMDHSA-N 0 3 303.381 4.023 20 0 DIADHN Fc1cccnc1CN[C@@H]1CCC[C@H]1c1ccccc1Cl ZINC001462184707 1061006076 /nfs/dbraw/zinc/00/60/76/1061006076.db2.gz SHWZFFOUFARISI-XJKSGUPXSA-N 0 3 304.796 4.300 20 0 DIADHN CC(C)CCC1CCN([C@@H]2CCCN(CC(C)(C)C)C2=O)CC1 ZINC001473228267 1061008676 /nfs/dbraw/zinc/00/86/76/1061008676.db2.gz XPSYHCSNOIBSGH-GOSISDBHSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@]1(C)Br)c1ccccc1Cl ZINC001462190394 1061011114 /nfs/dbraw/zinc/01/11/14/1061011114.db2.gz MIPFCEGRZQJOPY-GIPNMCIBSA-N 0 3 302.643 4.164 20 0 DIADHN COc1cccc(-c2c[nH]c([C@@H]3CCCN3CC3CCC3)n2)c1 ZINC001473244382 1061022028 /nfs/dbraw/zinc/02/20/28/1061022028.db2.gz RMOIQPUHVMYWJR-SFHVURJKSA-N 0 3 311.429 4.022 20 0 DIADHN CO[C@@H](CNC1(c2ccc(F)cc2)CC1)c1ccc(Cl)cc1 ZINC001473250872 1061027877 /nfs/dbraw/zinc/02/78/77/1061027877.db2.gz FNLORHBLXRXSKJ-KRWDZBQOSA-N 0 3 319.807 4.446 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cccc(F)c1OC(F)F ZINC001473258023 1061034999 /nfs/dbraw/zinc/03/49/99/1061034999.db2.gz CCLMZFMGEXKDAC-LLVKDONJSA-N 0 3 321.408 4.391 20 0 DIADHN CC(C)SCCN1CCc2cc(-c3ccccc3)oc2C1 ZINC001473260281 1061036968 /nfs/dbraw/zinc/03/69/68/1061036968.db2.gz UXNURKFWNOJNNL-UHFFFAOYSA-N 0 3 301.455 4.446 20 0 DIADHN CCOc1ccc(CN(Cc2ccc(F)cc2)C(C)(C)C)nc1 ZINC001473264818 1061040047 /nfs/dbraw/zinc/04/00/47/1061040047.db2.gz BPQRSMAHNCFNJS-UHFFFAOYSA-N 0 3 316.420 4.420 20 0 DIADHN CCSc1ccccc1C[C@H](C)N[C@H](C)c1nccn1CC ZINC001462232168 1061043247 /nfs/dbraw/zinc/04/32/47/1061043247.db2.gz NXRNYUPQIDDVBL-LSDHHAIUSA-N 0 3 317.502 4.297 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC1CC2(C1)CCCC2 ZINC001462232437 1061044570 /nfs/dbraw/zinc/04/45/70/1061044570.db2.gz AHKUGHCSEQRNMA-UHFFFAOYSA-N 0 3 302.437 4.238 20 0 DIADHN CC[C@]1(C)CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001473279044 1061051649 /nfs/dbraw/zinc/05/16/49/1061051649.db2.gz QXADSBWKUDGVTR-HUUCEWRRSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1cc(Br)cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)c1 ZINC001462251751 1061056001 /nfs/dbraw/zinc/05/60/01/1061056001.db2.gz RXOBGQBYWHPRGB-SJORKVTESA-N 0 3 320.274 4.378 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1ccccc1SC ZINC001473289273 1061059853 /nfs/dbraw/zinc/05/98/53/1061059853.db2.gz IQDGTGCDVSMHHB-SFHVURJKSA-N 0 3 315.482 4.182 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001473295109 1061061136 /nfs/dbraw/zinc/06/11/36/1061061136.db2.gz HLKXQUHUUMLPCQ-OLZOCXBDSA-N 0 3 317.399 4.087 20 0 DIADHN CC(C)Cc1ccc(CN2CC[C@@H](c3ccccc3F)C2)cn1 ZINC001462271938 1061065824 /nfs/dbraw/zinc/06/58/24/1061065824.db2.gz MGQMGTISVGCZEU-QGZVFWFLSA-N 0 3 312.432 4.409 20 0 DIADHN C[C@@H](CC1CCC1)NCc1nc2ccc(Br)cc2o1 ZINC001462278498 1061070849 /nfs/dbraw/zinc/07/08/49/1061070849.db2.gz FRHACUNRKRZDGF-JTQLQIEISA-N 0 3 323.234 4.259 20 0 DIADHN Cc1coc(CN(C)CCc2cccc(Br)c2)c1 ZINC001462277295 1061071863 /nfs/dbraw/zinc/07/18/63/1061071863.db2.gz BGVAXKMLUQPYPX-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN CCCCOc1ccccc1CN1CCOC[C@H](C2CCC2)C1 ZINC001462282210 1061076388 /nfs/dbraw/zinc/07/63/88/1061076388.db2.gz VXIXDJXVALLZGM-LJQANCHMSA-N 0 3 317.473 4.114 20 0 DIADHN CCCCC[C@H](NCc1ncnn1-c1ccccc1)C(C)(C)C ZINC001473313107 1061076468 /nfs/dbraw/zinc/07/64/68/1061076468.db2.gz FBZRDTFZOAWCAJ-KRWDZBQOSA-N 0 3 314.477 4.352 20 0 DIADHN C[C@H](NCc1ccn(-c2cccc(F)c2)n1)[C@@H](C)c1ccccc1 ZINC001473314261 1061079511 /nfs/dbraw/zinc/07/95/11/1061079511.db2.gz UCDXUTCYVLVFQD-CVEARBPZSA-N 0 3 323.415 4.293 20 0 DIADHN Fc1ccc([C@@H](NCCOCc2ccccc2)C2CC2)c(F)c1 ZINC001473315943 1061080344 /nfs/dbraw/zinc/08/03/44/1061080344.db2.gz PJTDOLRGSGVSSS-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN CC[C@@H](NC[C@H](O)c1cc(C)cc(C)c1)c1cc(F)ccc1F ZINC001473322956 1061085048 /nfs/dbraw/zinc/08/50/48/1061085048.db2.gz CRXVOANGIKQSLO-MOPGFXCFSA-N 0 3 319.395 4.356 20 0 DIADHN CC[C@H](NC[C@H](O)c1cc(C)cc(C)c1)c1cc(F)ccc1F ZINC001473322957 1061086262 /nfs/dbraw/zinc/08/62/62/1061086262.db2.gz CRXVOANGIKQSLO-OALUTQOASA-N 0 3 319.395 4.356 20 0 DIADHN C[C@]1(Br)C[C@@H]1CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC001462296340 1061086781 /nfs/dbraw/zinc/08/67/81/1061086781.db2.gz RLRGQQDRZZSLBX-OAGGEKHMSA-N 0 3 320.274 4.387 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1cc(C)cc(C)n1 ZINC001473327193 1061087923 /nfs/dbraw/zinc/08/79/23/1061087923.db2.gz QUFAZDLEEQSJPP-RDTXWAMCSA-N 0 3 318.848 4.218 20 0 DIADHN CCN(C)C(=O)CN[C@@H](c1cccc(Cl)c1)C1CCCCC1 ZINC001473327572 1061090601 /nfs/dbraw/zinc/09/06/01/1061090601.db2.gz QXRMMMQTQOPCPW-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1cnn([C@@H]2CCN(C/C=C/c3c(C)cc(C)cc3C)C2)c1 ZINC001462303707 1061091570 /nfs/dbraw/zinc/09/15/70/1061091570.db2.gz DGGJJIDJGBOCKP-ZMBJWTFHSA-N 0 3 309.457 4.077 20 0 DIADHN C[C@@H](NCCN1CCCc2ccccc21)c1cccc(F)c1F ZINC001473333055 1061092440 /nfs/dbraw/zinc/09/24/40/1061092440.db2.gz RAOPSGPKZYATLC-CQSZACIVSA-N 0 3 316.395 4.068 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC001462306082 1061093771 /nfs/dbraw/zinc/09/37/71/1061093771.db2.gz JSURJYKNIZKJCA-GDBMZVCRSA-N 0 3 303.494 4.421 20 0 DIADHN CCCSC[C@@H](C)N[C@@H](COC)c1cccc(Cl)c1 ZINC001462308229 1061096682 /nfs/dbraw/zinc/09/66/82/1061096682.db2.gz SPHNGIOVNPXEKO-DOMZBBRYSA-N 0 3 301.883 4.149 20 0 DIADHN CC(C)=CCC[C@H](C)CCN(C)C1CN(C(=O)OC(C)(C)C)C1 ZINC001462316258 1061100066 /nfs/dbraw/zinc/10/00/66/1061100066.db2.gz PGRITAGTTGZVES-INIZCTEOSA-N 0 3 324.509 4.310 20 0 DIADHN Cc1cc(Cl)cc(CN(Cc2cnn(C)c2)CC(C)C)c1 ZINC001462313456 1061100427 /nfs/dbraw/zinc/10/04/27/1061100427.db2.gz YKNDOOPOWLAQDT-UHFFFAOYSA-N 0 3 305.853 4.040 20 0 DIADHN Cc1cc(CN(C)[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)cc(C)n1 ZINC001462313533 1061100915 /nfs/dbraw/zinc/10/09/15/1061100915.db2.gz ACSJAHVTTQGGRZ-VQTJNVASSA-N 0 3 324.468 4.376 20 0 DIADHN Clc1ccc(CCCCN2CCc3n[nH]cc3C2)cc1Cl ZINC001473346854 1061102592 /nfs/dbraw/zinc/10/25/92/1061102592.db2.gz WJYMMVDPCXGQRW-UHFFFAOYSA-N 0 3 324.255 4.098 20 0 DIADHN CC(C)C(CN1CC(OCCCc2ccccc2)C1)C(C)C ZINC001462321483 1061103962 /nfs/dbraw/zinc/10/39/62/1061103962.db2.gz FWVQGORTNQAKTR-UHFFFAOYSA-N 0 3 303.490 4.248 20 0 DIADHN CC[C@@H]1CCN(Cc2ccc(OC)cc2Br)[C@H]1C ZINC001473402669 1061139258 /nfs/dbraw/zinc/13/92/58/1061139258.db2.gz LWSFZVUBIROFIG-NWDGAFQWSA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)(C)CN(Cc1nc(N)c2ccccc2n1)CC(C)(C)C ZINC001473348720 1061105871 /nfs/dbraw/zinc/10/58/71/1061105871.db2.gz ZWHNKQKYEPZDKR-UHFFFAOYSA-N 0 3 314.477 4.106 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)C[C@H]1CCCC2(CCC2)O1 ZINC001473350241 1061105926 /nfs/dbraw/zinc/10/59/26/1061105926.db2.gz ZDQHPBCSXRIACT-CQSZACIVSA-N 0 3 311.828 4.403 20 0 DIADHN COc1ncccc1CN1CC[C@@H](Cc2ccc(C(C)C)cc2)C1 ZINC001473355665 1061108321 /nfs/dbraw/zinc/10/83/21/1061108321.db2.gz VZUGQMXWCQCOBR-SFHVURJKSA-N 0 3 324.468 4.278 20 0 DIADHN COc1ncccc1CN1CC[C@H](Cc2ccc(C(C)C)cc2)C1 ZINC001473355664 1061108704 /nfs/dbraw/zinc/10/87/04/1061108704.db2.gz VZUGQMXWCQCOBR-GOSISDBHSA-N 0 3 324.468 4.278 20 0 DIADHN CC(C)C[C@H](C)CN(Cc1ccccc1)C[C@@H](O)C(F)(F)F ZINC001473370721 1061116108 /nfs/dbraw/zinc/11/61/08/1061116108.db2.gz ACHMKWZDXAHXNR-GOEBONIOSA-N 0 3 317.395 4.094 20 0 DIADHN COC[C@@H]1CCCCN1Cc1ccc(SC(F)F)cc1 ZINC001462340879 1061117910 /nfs/dbraw/zinc/11/79/10/1061117910.db2.gz XYYWHZUBEJEYPP-ZDUSSCGKSA-N 0 3 301.402 4.002 20 0 DIADHN CO[C@H](CN1C[C@H](C(F)(F)F)CC[C@@H]1C)c1ccc(F)cc1 ZINC001473373600 1061118480 /nfs/dbraw/zinc/11/84/80/1061118480.db2.gz FBSNYNSAWHXYRN-NJZAAPMLSA-N 0 3 319.342 4.176 20 0 DIADHN Cc1cc(Br)c(CN2CC(C)(C)C[C@@H]2C)s1 ZINC001462350499 1061123849 /nfs/dbraw/zinc/12/38/49/1061123849.db2.gz PAHADZJRQCIGSF-VIFPVBQESA-N 0 3 302.281 4.439 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC001473381561 1061124644 /nfs/dbraw/zinc/12/46/44/1061124644.db2.gz NJJDRUYAUVCFHZ-CZUORRHYSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1cnc(CN2CCC[C@@]2(C)Cc2ccc(F)cc2)s1 ZINC001462358490 1061125755 /nfs/dbraw/zinc/12/57/55/1061125755.db2.gz WLFPCFIZQSFROE-SFHVURJKSA-N 0 3 318.461 4.442 20 0 DIADHN CC1(C)CN(C[C@H]2CCC[C@@H](c3ccccc3)O2)CC(C)(C)O1 ZINC001473389324 1061129553 /nfs/dbraw/zinc/12/95/53/1061129553.db2.gz MXYOMYSKRPZLLU-MSOLQXFVSA-N 0 3 317.473 4.186 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nc(-c2ccccc2F)no1 ZINC001473389476 1061130191 /nfs/dbraw/zinc/13/01/91/1061130191.db2.gz UEUBKRHJIYLNHZ-XJKSGUPXSA-N 0 3 317.408 4.276 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC[C@@H](c3ccccc3)O2)CC(C)(C)O1 ZINC001473389357 1061130270 /nfs/dbraw/zinc/13/02/70/1061130270.db2.gz MXYOMYSKRPZLLU-ROUUACIJSA-N 0 3 317.473 4.186 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CCCC3(CCCC3)C2)n1 ZINC001473393693 1061132349 /nfs/dbraw/zinc/13/23/49/1061132349.db2.gz IBXLHIJOWKFCFV-UHFFFAOYSA-N 0 3 302.462 4.415 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CCCC[C@@H](C)C1 ZINC001473395728 1061133896 /nfs/dbraw/zinc/13/38/96/1061133896.db2.gz MZPBJJVYOFSCGS-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CC[C@@H](C)C2(CCCCC2)C1 ZINC001473406861 1061140914 /nfs/dbraw/zinc/14/09/14/1061140914.db2.gz XHDHPMVAESAZQU-IAGOWNOFSA-N 0 3 314.473 4.306 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCc2ccc(F)cc2[C@H](C)C1 ZINC001462397051 1061147752 /nfs/dbraw/zinc/14/77/52/1061147752.db2.gz OFQPBWCMHSCFPU-CQSZACIVSA-N 0 3 315.436 4.073 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H]2CCc3ccccc3OC2)c1 ZINC001473421047 1061151127 /nfs/dbraw/zinc/15/11/27/1061151127.db2.gz GNACTZFDHIQTDR-HNNXBMFYSA-N 0 3 322.235 4.477 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)C2)c1C ZINC001473421688 1061151182 /nfs/dbraw/zinc/15/11/82/1061151182.db2.gz HYFILJBYCHGMLP-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@H](C(C)(C)C)C2)c1 ZINC001473421741 1061151370 /nfs/dbraw/zinc/15/13/70/1061151370.db2.gz IZZDBNIQHAOUTE-HNNXBMFYSA-N 0 3 323.864 4.385 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(N2CCc3ccccc32)CC1 ZINC001473422704 1061153197 /nfs/dbraw/zinc/15/31/97/1061153197.db2.gz AMXFVOVXFIQXDY-UHFFFAOYSA-N 0 3 324.443 4.161 20 0 DIADHN CC(C)(C)OC(=O)CN(CC1CC1)C[C@H]1CCCC(C)(C)C1 ZINC001462413648 1061157560 /nfs/dbraw/zinc/15/75/60/1061157560.db2.gz YWGOSAZMPMSMSU-INIZCTEOSA-N 0 3 309.494 4.257 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1[C@H]1C=CCCCCC1 ZINC001473441524 1061160215 /nfs/dbraw/zinc/16/02/15/1061160215.db2.gz SFJFDXSXLKVHJJ-SJORKVTESA-N 0 3 302.437 4.225 20 0 DIADHN O=C(OCc1ccccc1)[C@@H]1CCCCN1CC1CCCCC1 ZINC001473440832 1061161057 /nfs/dbraw/zinc/16/10/57/1061161057.db2.gz YHVLJYSVXJQHTM-IBGZPJMESA-N 0 3 315.457 4.165 20 0 DIADHN CN(CCCOCCc1ccccc1)Cc1cc(F)cc(F)c1 ZINC001473446118 1061162415 /nfs/dbraw/zinc/16/24/15/1061162415.db2.gz IOPBPTWQGBZCHR-UHFFFAOYSA-N 0 3 319.395 4.046 20 0 DIADHN Fc1cncc(F)c1CN1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 ZINC001473452656 1061168468 /nfs/dbraw/zinc/16/84/68/1061168468.db2.gz SCOBPIRBBYJBIA-QMTMVMCOSA-N 0 3 314.379 4.128 20 0 DIADHN Cc1ccccc1CC1CN(Cc2cc3ccncc3s2)C1 ZINC001462440698 1061176034 /nfs/dbraw/zinc/17/60/34/1061176034.db2.gz DUISLHTULSYCLP-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN Cc1scc(CN2CC[C@H](C(=O)OC(C)(C)C)[C@@H](C)C2)c1C ZINC001462443361 1061177879 /nfs/dbraw/zinc/17/78/79/1061177879.db2.gz SKHHKDMAMDYXMR-LRDDRELGSA-N 0 3 323.502 4.165 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@@H]2NCc2nncs2)cc1 ZINC001473468846 1061178047 /nfs/dbraw/zinc/17/80/47/1061178047.db2.gz OAFYUXOPEAAQJZ-CABCVRRESA-N 0 3 321.877 4.398 20 0 DIADHN CC1=CC[C@@H](N[C@@H](CO)c2cccc(Cl)c2Cl)CC1 ZINC001462454520 1061183541 /nfs/dbraw/zinc/18/35/41/1061183541.db2.gz SOFJQHDNJFLTSM-RISCZKNCSA-N 0 3 300.229 4.115 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@H](CO)c1ccc(F)cc1F ZINC001462453000 1061183679 /nfs/dbraw/zinc/18/36/79/1061183679.db2.gz PCXMJEABCFLTHV-KBXCAEBGSA-N 0 3 311.416 4.360 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc3cc(C)ccc3s2)C12CCC2 ZINC001473482090 1061184896 /nfs/dbraw/zinc/18/48/96/1061184896.db2.gz CTKVTKHEPVNRJM-JKSUJKDBSA-N 0 3 316.470 4.042 20 0 DIADHN Cc1cc(CN2CCC(c3ccnc4ccccc43)CC2)sn1 ZINC001473487463 1061188365 /nfs/dbraw/zinc/18/83/65/1061188365.db2.gz PWVXWOAJZOXQOM-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN1CC(CC2CC2)C1 ZINC001462461091 1061189011 /nfs/dbraw/zinc/18/90/11/1061189011.db2.gz USFZMONRDWZTQD-UHFFFAOYSA-N 0 3 309.457 4.029 20 0 DIADHN CCN(CC(=O)N(CC)[C@@H](C)c1ccc(F)cc1)CC(C)(C)C ZINC001473500707 1061192515 /nfs/dbraw/zinc/19/25/15/1061192515.db2.gz KCKRZXMPKCBNSD-HNNXBMFYSA-N 0 3 322.468 4.103 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCC2)CO1)c1ccc(F)cc1Cl ZINC001473498942 1061194013 /nfs/dbraw/zinc/19/40/13/1061194013.db2.gz AJQAXUQWPNVONY-JSGCOSHPSA-N 0 3 311.828 4.479 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](C(F)(F)F)O1)c1ccccc1Cl ZINC001473501396 1061197303 /nfs/dbraw/zinc/19/73/03/1061197303.db2.gz IVAIAXXYTXMTBR-COPLHBTASA-N 0 3 321.770 4.491 20 0 DIADHN CN(CCCOc1cccc(C(C)(C)C)c1)Cc1ccccn1 ZINC001473502928 1061198424 /nfs/dbraw/zinc/19/84/24/1061198424.db2.gz BXESBLNNUVPSIT-UHFFFAOYSA-N 0 3 312.457 4.280 20 0 DIADHN O=C(CN(C1CCC1)C1CCC1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001473516404 1061208118 /nfs/dbraw/zinc/20/81/18/1061208118.db2.gz LMJVPTZRNFXILE-BETUJISGSA-N 0 3 317.395 4.331 20 0 DIADHN OCC[C@@H](NCc1ccc2cc[nH]c2c1)c1ccc(Cl)cc1 ZINC001473513803 1061209574 /nfs/dbraw/zinc/20/95/74/1061209574.db2.gz GSRFNYXAYAWVIW-QGZVFWFLSA-N 0 3 314.816 4.035 20 0 DIADHN CC(C)CC1(C)CCN(Cc2cccc3c2OCCO3)CC1 ZINC001473522972 1061218403 /nfs/dbraw/zinc/21/84/03/1061218403.db2.gz KDBKKBDPTGWTTJ-UHFFFAOYSA-N 0 3 303.446 4.106 20 0 DIADHN CC(C)CC1(C)CCN(C[C@@H](O)c2ccc(F)c(F)c2)CC1 ZINC001473523513 1061221730 /nfs/dbraw/zinc/22/17/30/1061221730.db2.gz UKNNISHBPFQZAS-QGZVFWFLSA-N 0 3 311.416 4.146 20 0 DIADHN CCOc1cc(F)cc(CN2CCC[C@@H](OC3CCC3)CC2)c1 ZINC001462515129 1061227211 /nfs/dbraw/zinc/22/72/11/1061227211.db2.gz MHQBCCJSNZFHBM-GOSISDBHSA-N 0 3 321.436 4.148 20 0 DIADHN CCOc1cc(F)cc(CN2CCC[C@H](OC3CCC3)CC2)c1 ZINC001462515135 1061227277 /nfs/dbraw/zinc/22/72/77/1061227277.db2.gz MHQBCCJSNZFHBM-SFHVURJKSA-N 0 3 321.436 4.148 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@@H](OC(C)(C)C)C2(C)C)c(Cl)n1 ZINC001473541429 1061231908 /nfs/dbraw/zinc/23/19/08/1061231908.db2.gz CEOHTDLGGQQEHO-UONOGXRCSA-N 0 3 310.869 4.115 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1[C@@H]2CC[C@H]1CCN(C)C2 ZINC001455763554 1061234762 /nfs/dbraw/zinc/23/47/62/1061234762.db2.gz LKFZLWOMESUZSQ-YQVWRLOYSA-N 0 3 308.510 4.068 20 0 DIADHN CCCCOc1ccccc1CN1CCC2(CCCCO2)CC1 ZINC001462524868 1061235270 /nfs/dbraw/zinc/23/52/70/1061235270.db2.gz CHFIADCOQKGLAU-UHFFFAOYSA-N 0 3 317.473 4.401 20 0 DIADHN COCCCC1(CN[C@H]2c3ccccc3CC[C@H]2F)CCC1 ZINC001462527319 1061238468 /nfs/dbraw/zinc/23/84/68/1061238468.db2.gz KDAFPZFLYFRLRR-MSOLQXFVSA-N 0 3 305.437 4.199 20 0 DIADHN Fc1ccc(C2CC(NCc3noc4cc(F)ccc43)C2)cc1 ZINC001462530906 1061239572 /nfs/dbraw/zinc/23/95/72/1061239572.db2.gz KVILHKMSFRVKIP-UHFFFAOYSA-N 0 3 314.335 4.142 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cccc3c2OC(F)(F)O3)C1 ZINC001473556219 1061242559 /nfs/dbraw/zinc/24/25/59/1061242559.db2.gz LUBFFFAJGQURPB-LBPRGKRZSA-N 0 3 311.372 4.266 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@@H](CC(C)(C)C)C1 ZINC001473556099 1061243058 /nfs/dbraw/zinc/24/30/58/1061243058.db2.gz KEXVSMFYVGUBPP-KBPBESRZSA-N 0 3 322.880 4.425 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001473556496 1061243553 /nfs/dbraw/zinc/24/35/53/1061243553.db2.gz PAHNUQJQLLKFQB-HNNXBMFYSA-N 0 3 315.436 4.270 20 0 DIADHN OCC[C@H]1CCCN(CCc2c(Cl)cccc2Cl)CC1 ZINC001473561589 1061251268 /nfs/dbraw/zinc/25/12/68/1061251268.db2.gz PQQMQKVRFKRCAY-ZDUSSCGKSA-N 0 3 316.272 4.020 20 0 DIADHN C[C@H]1CCCN(CC2CC3(CCC3)C2)[C@H]1C(=O)OC(C)(C)C ZINC001473572070 1061257704 /nfs/dbraw/zinc/25/77/04/1061257704.db2.gz YOKSUPOMQBPQMP-GOEBONIOSA-N 0 3 307.478 4.009 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](O)CNC1(c2ccccc2Cl)CC1 ZINC001473579867 1061266330 /nfs/dbraw/zinc/26/63/30/1061266330.db2.gz LZSUFOYGLXEZPG-KSSFIOAISA-N 0 3 315.844 4.083 20 0 DIADHN C[C@H](NCc1nc2c(s1)CCCC2)[C@@H]1C[C@H]1c1cccs1 ZINC001462565517 1061268612 /nfs/dbraw/zinc/26/86/12/1061268612.db2.gz MPIPFVUTRIAWIO-RWMBFGLXSA-N 0 3 318.511 4.365 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@@H](OC2CCC2)C1 ZINC001473595391 1061274874 /nfs/dbraw/zinc/27/48/74/1061274874.db2.gz DTQFQNSYTCGMMW-GOSISDBHSA-N 0 3 316.489 4.301 20 0 DIADHN C[C@@H]1CN(Cc2ccnc(Cl)c2)CCc2ccc(F)cc21 ZINC001473602967 1061280110 /nfs/dbraw/zinc/28/01/10/1061280110.db2.gz VXVVQQGKOMHVJT-GFCCVEGCSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1oncc1CN1CCC[C@@]1(C)Cc1cccc(Cl)c1 ZINC001473606089 1061282700 /nfs/dbraw/zinc/28/27/00/1061282700.db2.gz RDUACRHWJWZRLF-KRWDZBQOSA-N 0 3 304.821 4.234 20 0 DIADHN CCc1onc(C)c1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001473608524 1061284135 /nfs/dbraw/zinc/28/41/35/1061284135.db2.gz WXNASYDHGMWMNG-LBPRGKRZSA-N 0 3 318.848 4.361 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCCC[C@@H]2C2CCC2)c(C)c1 ZINC001473613842 1061288103 /nfs/dbraw/zinc/28/81/03/1061288103.db2.gz NHBLEGUFXIRWDM-GOSISDBHSA-N 0 3 314.473 4.205 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cc(C)nc3ccccc13)CC2 ZINC001473623696 1061297368 /nfs/dbraw/zinc/29/73/68/1061297368.db2.gz FLNJSHJEVDLXEQ-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN C[C@@H](NC[C@H]1CC1(Cl)Cl)c1cc(Cl)cc2c1OCC2 ZINC001462615633 1061299300 /nfs/dbraw/zinc/29/93/00/1061299300.db2.gz TUNVVQQTWNHIPY-PSASIEDQSA-N 0 3 320.647 4.119 20 0 DIADHN COc1ccc([C@H]2CCCN2CCc2cccc(F)c2)cc1F ZINC001473625703 1061300058 /nfs/dbraw/zinc/30/00/58/1061300058.db2.gz OMVKXFITHCEPEQ-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN CCOC(=O)C1(C(F)(F)F)CCN(CCCCC(C)C)CC1 ZINC001462624463 1061304215 /nfs/dbraw/zinc/30/42/15/1061304215.db2.gz PEISMQOPMPRZQG-UHFFFAOYSA-N 0 3 323.399 4.020 20 0 DIADHN C[C@H]1C(=O)Nc2ccccc2CN1C[C@H]1CCCCC1(C)C ZINC001473633791 1061304213 /nfs/dbraw/zinc/30/42/13/1061304213.db2.gz ZAJDCPRGAIWPBS-GOEBONIOSA-N 0 3 300.446 4.046 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1c(Cl)n(C)nc1C(F)(F)F ZINC001473636506 1061309023 /nfs/dbraw/zinc/30/90/23/1061309023.db2.gz AHQQMAARQSBZAS-MRVPVSSYSA-N 0 3 311.779 4.007 20 0 DIADHN COc1ccc(CN2CCC(c3cc(C)cc(C)c3)CC2)nc1 ZINC001462637699 1061310341 /nfs/dbraw/zinc/31/03/41/1061310341.db2.gz KUMYUAHFYXFPCX-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN Cc1ccc(NC(=O)CN2CC(C)(C)CCC2(C)C)c(C)c1 ZINC001473657284 1061321451 /nfs/dbraw/zinc/32/14/51/1061321451.db2.gz DPLQHROSPILRHF-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN Fc1ccccc1-c1cnc(CN(CCC2CC2)CC2CC2)o1 ZINC001473665683 1061329054 /nfs/dbraw/zinc/32/90/54/1061329054.db2.gz HLQPEPQANODWFJ-UHFFFAOYSA-N 0 3 314.404 4.493 20 0 DIADHN CCCN(CCN(C)C)C(=O)CC1CCC(C(C)(C)CC)CC1 ZINC001456549268 1061333467 /nfs/dbraw/zinc/33/34/67/1061333467.db2.gz LYPREUFTSICZLE-UHFFFAOYSA-N 0 3 324.553 4.419 20 0 DIADHN C[C@@H](c1nc2ccccc2n1C(F)F)N(C)C[C@@H]1CC1(C)C ZINC001473675785 1061336755 /nfs/dbraw/zinc/33/67/55/1061336755.db2.gz ZGFVHQMXTTZECP-RYUDHWBXSA-N 0 3 307.388 4.470 20 0 DIADHN COCc1csc(CN2CCc3cc(C)cc(C)c3C2)c1 ZINC001462685546 1061337284 /nfs/dbraw/zinc/33/72/84/1061337284.db2.gz QORYMGGDBNGXJU-UHFFFAOYSA-N 0 3 301.455 4.070 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001473684756 1061340724 /nfs/dbraw/zinc/34/07/24/1061340724.db2.gz JUYPBCRUQFJVFO-LLVKDONJSA-N 0 3 316.788 4.346 20 0 DIADHN CC(C)CCO[C@@H]1CCN([C@H]2CCc3cc(Cl)ccc32)C1 ZINC001473680196 1061341874 /nfs/dbraw/zinc/34/18/74/1061341874.db2.gz NDFLREJNNKYFHI-AEFFLSMTSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C)C[C@@H](C)C2CCCCC2)c1 ZINC001473706079 1061357425 /nfs/dbraw/zinc/35/74/25/1061357425.db2.gz IWWMZOCDKOVJNE-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC(Cc2ccc(Cl)cc2)CC1 ZINC001473725609 1061374588 /nfs/dbraw/zinc/37/45/88/1061374588.db2.gz RUUODSBFIUAPOB-AWEZNQCLSA-N 0 3 315.848 4.146 20 0 DIADHN CO[C@@H](CN1CCc2ccc(Cl)c(C)c2C1)c1ccccc1 ZINC001473737501 1061380745 /nfs/dbraw/zinc/38/07/45/1061380745.db2.gz KYHABGPYGJKTNH-IBGZPJMESA-N 0 3 315.844 4.394 20 0 DIADHN CO[C@H](CN1CCC2(CCCCO2)CC1)c1ccc(Cl)cc1 ZINC001473747498 1061386680 /nfs/dbraw/zinc/38/66/80/1061386680.db2.gz QXYMGRXMJJYEAF-QGZVFWFLSA-N 0 3 323.864 4.063 20 0 DIADHN C[C@H](NC(=O)CN(C)CC(C)(C)C)c1csc2ccccc21 ZINC001456954333 1061392441 /nfs/dbraw/zinc/39/24/41/1061392441.db2.gz VDBQTZXJSNOULC-ZDUSSCGKSA-N 0 3 318.486 4.056 20 0 DIADHN Cc1cc(C)nc(CN2CCCSC[C@@H]2c2ccccc2)c1 ZINC001473759339 1061396230 /nfs/dbraw/zinc/39/62/30/1061396230.db2.gz DHMHHZWLJFXNFG-LJQANCHMSA-N 0 3 312.482 4.379 20 0 DIADHN C[C@@H](CN(C)[C@H](C)C(=O)Nc1ccc(Cl)cc1)C(C)(C)C ZINC001473779708 1061405822 /nfs/dbraw/zinc/40/58/22/1061405822.db2.gz QNBBJBGGZPNNNT-QWHCGFSZSA-N 0 3 310.869 4.281 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC[C@@H](Cc2nccs2)C1 ZINC001473809667 1061416848 /nfs/dbraw/zinc/41/68/48/1061416848.db2.gz RDOSLAAQDHGRDV-KBPBESRZSA-N 0 3 304.434 4.298 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCC(C)(C)O1)c1cc(F)ccc1OC ZINC001473813458 1061419761 /nfs/dbraw/zinc/41/97/61/1061419761.db2.gz KOVPBELPYLDMSI-GOEBONIOSA-N 0 3 309.425 4.223 20 0 DIADHN CC[C@H](NC[C@H]1CCCC(C)(C)O1)c1cc(F)ccc1OC ZINC001473813460 1061420912 /nfs/dbraw/zinc/42/09/12/1061420912.db2.gz KOVPBELPYLDMSI-ZBFHGGJFSA-N 0 3 309.425 4.223 20 0 DIADHN CCC(CC)CN1CCC[C@H]1c1cncc(Br)c1 ZINC001473817595 1061423052 /nfs/dbraw/zinc/42/30/52/1061423052.db2.gz OBUXPPVYNIDPIX-HNNXBMFYSA-N 0 3 311.267 4.417 20 0 DIADHN Cc1nc(CCN2CC=C(c3c[nH]c4ccccc43)CC2)cs1 ZINC001473827482 1061424709 /nfs/dbraw/zinc/42/47/09/1061424709.db2.gz ADDCKXAKKRFOCW-UHFFFAOYSA-N 0 3 323.465 4.265 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2COc2ccc(F)cc2)cc1F ZINC001473834767 1061429567 /nfs/dbraw/zinc/42/95/67/1061429567.db2.gz VRAWHBCUVJTREH-KRWDZBQOSA-N 0 3 317.379 4.317 20 0 DIADHN FC(F)(F)c1cncc(CN2C[C@@H](C3CC3)C[C@H]2C2CC2)c1 ZINC001473845601 1061430697 /nfs/dbraw/zinc/43/06/97/1061430697.db2.gz KTXRKJMAWBWQQD-HOCLYGCPSA-N 0 3 310.363 4.111 20 0 DIADHN CCc1nocc1CN1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001473861165 1061436344 /nfs/dbraw/zinc/43/63/44/1061436344.db2.gz PXZDRRWRKGJEGI-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1C[C@H]2CSC[C@@]2(C)C1 ZINC001473862115 1061436696 /nfs/dbraw/zinc/43/66/96/1061436696.db2.gz VHZHEWBCWLNUHQ-DHSIGJKJSA-N 0 3 314.454 4.088 20 0 DIADHN Fc1cccc(Cl)c1CN1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC001473871182 1061441415 /nfs/dbraw/zinc/44/14/15/1061441415.db2.gz UVDKAOAYKCMLPW-UONOGXRCSA-N 0 3 311.828 4.118 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3C[C@H]4CCC[C@H]4O3)CC2)c1C ZINC001473872481 1061444101 /nfs/dbraw/zinc/44/41/01/1061444101.db2.gz OSNMXZFYCCZWGR-SVFBPWRDSA-N 0 3 311.469 4.350 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2ccc(F)cc2F)cc1 ZINC001473875354 1061444576 /nfs/dbraw/zinc/44/45/76/1061444576.db2.gz PGXOIPLDZSCIOJ-KRWDZBQOSA-N 0 3 317.379 4.268 20 0 DIADHN CC(C)c1ocnc1CN1CCCC[C@H]1Cc1ccc(O)cc1 ZINC001473874996 1061444699 /nfs/dbraw/zinc/44/46/99/1061444699.db2.gz KCLXXYSCQBZBKG-INIZCTEOSA-N 0 3 314.429 4.101 20 0 DIADHN Cc1cccnc1[C@@H](C)NCCOc1ccc(C(F)(F)F)cc1 ZINC001473880432 1061447704 /nfs/dbraw/zinc/44/77/04/1061447704.db2.gz ZEWXHYAEAIUVLA-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN Fc1cc2[nH]c(-c3cccc4cncn43)nc2cc1C(F)(F)F ZINC001251707344 1061450373 /nfs/dbraw/zinc/45/03/73/1061450373.db2.gz MIXNUMDHICDBJZ-UHFFFAOYSA-N 0 3 320.249 4.036 20 0 DIADHN CC(C)(C)[C@H]1CCN([C@H]2CCc3c2cccc3Cl)CCO1 ZINC001473898130 1061453096 /nfs/dbraw/zinc/45/30/96/1061453096.db2.gz WJUQVOCBDYPYKU-DLBZAZTESA-N 0 3 307.865 4.464 20 0 DIADHN CC(C)c1ccc(CCNCc2csc(C3CC3)n2)cc1 ZINC001473914139 1061460542 /nfs/dbraw/zinc/46/05/42/1061460542.db2.gz FDESXIDIHUPFEZ-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN CC[C@@H](NCc1c[nH]c(-c2ccccc2)n1)c1ccc(OC)cc1 ZINC001473915420 1061463516 /nfs/dbraw/zinc/46/35/16/1061463516.db2.gz WZLHOAKUYHRALD-LJQANCHMSA-N 0 3 321.424 4.326 20 0 DIADHN CC[C@@H](NCc1cnc(-c2ccccc2)[nH]1)c1ccc(OC)cc1 ZINC001473915420 1061463524 /nfs/dbraw/zinc/46/35/24/1061463524.db2.gz WZLHOAKUYHRALD-LJQANCHMSA-N 0 3 321.424 4.326 20 0 DIADHN CCCCC[C@H](C)NCc1cn(-c2ccc(F)cc2Cl)nn1 ZINC001473918584 1061468894 /nfs/dbraw/zinc/46/88/94/1061468894.db2.gz BXXBAZHOAHDDCW-LBPRGKRZSA-N 0 3 324.831 4.118 20 0 DIADHN CC[C@@H](NCc1ccc2oc(=O)oc2c1)c1ccc(Cl)cc1 ZINC001473923642 1061473434 /nfs/dbraw/zinc/47/34/34/1061473434.db2.gz WSFGQNUURWJBIG-CQSZACIVSA-N 0 3 317.772 4.280 20 0 DIADHN CC(C)(C)CCNCc1c(F)cc(Br)cc1F ZINC001473928352 1061474981 /nfs/dbraw/zinc/47/49/81/1061474981.db2.gz ZRSBZVMGMGWUEO-UHFFFAOYSA-N 0 3 306.194 4.253 20 0 DIADHN Cc1cc(CNCc2ccc(OC(C)C)cc2)c2c(n1)CCCC2 ZINC001473926133 1061475171 /nfs/dbraw/zinc/47/51/71/1061475171.db2.gz RVYQSOPANAJCBK-UHFFFAOYSA-N 0 3 324.468 4.346 20 0 DIADHN Cc1ccc(-c2ncc(CNCCSC(F)(F)F)s2)o1 ZINC001473933716 1061480646 /nfs/dbraw/zinc/48/06/46/1061480646.db2.gz PGRCANSFOPJZMP-UHFFFAOYSA-N 0 3 322.377 4.054 20 0 DIADHN CCOc1ccc(CNCc2cccc(Cl)c2C)cc1OC ZINC001473948242 1061490095 /nfs/dbraw/zinc/49/00/95/1061490095.db2.gz CFTRQPMPQRPDBT-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN CCOc1ccc(CNCc2cc(F)cc3cccnc32)cc1 ZINC001473958492 1061498946 /nfs/dbraw/zinc/49/89/46/1061498946.db2.gz CETJNMBZGCHLAN-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)c(C)c1 ZINC001473962214 1061504808 /nfs/dbraw/zinc/50/48/08/1061504808.db2.gz ABHARBXYJXHYDS-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccc4c(c3)C[C@@H](C)O4)cc2c1C ZINC001473964610 1061506310 /nfs/dbraw/zinc/50/63/10/1061506310.db2.gz GIPONZGFPDYJMN-CYBMUJFWSA-N 0 3 320.436 4.398 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)NC[C@@H](c2ccccc2)N(C)C)cc1 ZINC001463696504 1061512888 /nfs/dbraw/zinc/51/28/88/1061512888.db2.gz YXJARSDJSXPDMX-JXFKEZNVSA-N 0 3 324.468 4.233 20 0 DIADHN COc1ccc(Cl)cc1CCNCc1cscc1Cl ZINC001474001753 1061543513 /nfs/dbraw/zinc/54/35/13/1061543513.db2.gz BZHXJRNNWULOQQ-UHFFFAOYSA-N 0 3 316.253 4.396 20 0 DIADHN COc1cncc(CNCc2cccc(-c3cccc(C)c3)c2)c1 ZINC001473973484 1061517350 /nfs/dbraw/zinc/51/73/50/1061517350.db2.gz PRNZYAIHCOPLOT-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)[C@H](CC)CC(C)C)N(C)C)cc1 ZINC001463758424 1061519279 /nfs/dbraw/zinc/51/92/79/1061519279.db2.gz CILBBGGNEGDFQL-IEBWSBKVSA-N 0 3 318.505 4.040 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cnn(-c2ccc(F)cc2)c1 ZINC001473977941 1061520973 /nfs/dbraw/zinc/52/09/73/1061520973.db2.gz PKIYGCRQOXBTMX-HXUWFJFHSA-N 0 3 321.399 4.097 20 0 DIADHN Cc1cc(F)c(CNCCc2cccc3cccnc32)c(F)c1 ZINC001473980890 1061522628 /nfs/dbraw/zinc/52/26/28/1061522628.db2.gz OUBLYCFLZVCOAZ-UHFFFAOYSA-N 0 3 312.363 4.154 20 0 DIADHN COC(=O)CC[C@@H](NCc1cccc(C)c1C)c1ccccc1 ZINC001473980401 1061524359 /nfs/dbraw/zinc/52/43/59/1061524359.db2.gz KGNWOHCYXFUIIS-LJQANCHMSA-N 0 3 311.425 4.088 20 0 DIADHN Cc1ccnc(CN[C@H]2[C@H](C)CCC[C@@H]2C)c1Br ZINC001473989913 1061532453 /nfs/dbraw/zinc/53/24/53/1061532453.db2.gz KTNXURGOTUQGJL-JYAVWHMHSA-N 0 3 311.267 4.067 20 0 DIADHN COc1ccc(C(C)(C)CNCc2ncc(C(C)C)s2)cc1 ZINC001473991075 1061533783 /nfs/dbraw/zinc/53/37/83/1061533783.db2.gz XPMXERQLXGOKJG-UHFFFAOYSA-N 0 3 318.486 4.343 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H](C)C(=O)Nc2ccccc2)c(C)c1 ZINC001473995206 1061538177 /nfs/dbraw/zinc/53/81/77/1061538177.db2.gz FHCRIGVCLYIPBI-VEGGFIAOSA-N 0 3 322.452 4.242 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)c(C)n1 ZINC001474003820 1061543923 /nfs/dbraw/zinc/54/39/23/1061543923.db2.gz UBBLXTFXUFBPSU-OCBCSQNSSA-N 0 3 317.864 4.352 20 0 DIADHN C[C@H](NCc1ccc2scnc2c1)c1ccc(F)c(F)c1 ZINC001474011044 1061552647 /nfs/dbraw/zinc/55/26/47/1061552647.db2.gz HNPYSYLVICKCIH-JTQLQIEISA-N 0 3 304.365 4.425 20 0 DIADHN O[C@H](CNC1(c2ccccc2C(F)(F)F)CC1)c1ccccc1 ZINC001252008097 1061553651 /nfs/dbraw/zinc/55/36/51/1061553651.db2.gz ZYACDRZNSOXSSU-MRXNPFEDSA-N 0 3 321.342 4.018 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@](C)(O)CCC(C)C ZINC001474014185 1061555478 /nfs/dbraw/zinc/55/54/78/1061555478.db2.gz CYFNJDSJCAJILJ-QGZVFWFLSA-N 0 3 313.869 4.016 20 0 DIADHN Cc1cc(F)c(CNCCc2c[nH]c3c2cccc3C)c(F)c1 ZINC001474014035 1061555610 /nfs/dbraw/zinc/55/56/10/1061555610.db2.gz XIWYXHVDVLABIQ-UHFFFAOYSA-N 0 3 314.379 4.395 20 0 DIADHN CN(C)c1ccccc1CNCc1cccc(OC(C)(C)C)c1 ZINC001474016605 1061556748 /nfs/dbraw/zinc/55/67/48/1061556748.db2.gz UQRZXJSEZAVLIQ-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN Fc1cc(OC(F)F)ccc1CNCCCNc1ccccc1 ZINC001474018259 1061559371 /nfs/dbraw/zinc/55/93/71/1061559371.db2.gz FMWIULZCINGXJX-UHFFFAOYSA-N 0 3 324.346 4.019 20 0 DIADHN CC(C)c1nc(CNCCCNc2ccccc2)c2ccccn21 ZINC001474018618 1061560240 /nfs/dbraw/zinc/56/02/40/1061560240.db2.gz XUQULPAIAGYFHP-UHFFFAOYSA-N 0 3 322.456 4.050 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2C2CCCCC2)ncc1Br ZINC001474029427 1061570509 /nfs/dbraw/zinc/57/05/09/1061570509.db2.gz JNFARSWAVHJTOT-GOEBONIOSA-N 0 3 323.278 4.211 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccccc2C)c(Cl)c1 ZINC001474024341 1061565454 /nfs/dbraw/zinc/56/54/54/1061565454.db2.gz HWXNNPTWOHNFEO-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN CC[C@H](CNCc1cc(C)ccc1F)Oc1ccccc1C ZINC001474028138 1061569937 /nfs/dbraw/zinc/56/99/37/1061569937.db2.gz LTSJLKNFWAFJRM-QGZVFWFLSA-N 0 3 301.405 4.390 20 0 DIADHN CC[C@@H](NCc1cscc1Cl)[C@H](O)c1ccccc1F ZINC001474028950 1061570279 /nfs/dbraw/zinc/57/02/79/1061570279.db2.gz VUYZYUCTANDOPK-HUUCEWRRSA-N 0 3 313.825 4.142 20 0 DIADHN CC(C)n1cc(CN[C@H]2c3cccc(F)c3CC[C@H]2C)cn1 ZINC001474033516 1061576376 /nfs/dbraw/zinc/57/63/76/1061576376.db2.gz PSHFUDPIZBBIRE-FZKQIMNGSA-N 0 3 301.409 4.016 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1csc2ccccc12 ZINC001474034629 1061578016 /nfs/dbraw/zinc/57/80/16/1061578016.db2.gz BYJIDUPZXKJFON-AWEZNQCLSA-N 0 3 303.452 4.094 20 0 DIADHN Cn1c(CNC(C)(C)c2ccc(F)c(F)c2)cc2ccccc21 ZINC001474033866 1061578244 /nfs/dbraw/zinc/57/82/44/1061578244.db2.gz DHGWMOZSDZBLLI-UHFFFAOYSA-N 0 3 314.379 4.481 20 0 DIADHN Cc1cc(CNCCNc2ccccc2)c(OC(F)F)cc1C ZINC001474034796 1061581110 /nfs/dbraw/zinc/58/11/10/1061581110.db2.gz IUQIAZHKUOLLEI-UHFFFAOYSA-N 0 3 320.383 4.107 20 0 DIADHN CC[C@@H](O)CCNCc1c(Cl)cccc1Oc1ccccc1 ZINC001474045379 1061591546 /nfs/dbraw/zinc/59/15/46/1061591546.db2.gz VUEKKRITJHWOPH-CQSZACIVSA-N 0 3 319.832 4.383 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@@H](Cc4ccccc4)C3)n2)cs1 ZINC001252135327 1061595726 /nfs/dbraw/zinc/59/57/26/1061595726.db2.gz YWPLCDFKONSAKT-KRWDZBQOSA-N 0 3 323.465 4.134 20 0 DIADHN CC[C@@H](C(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1)N(C)C ZINC001464509033 1061599069 /nfs/dbraw/zinc/59/90/69/1061599069.db2.gz XPYVSYMINLRTOW-QRWLVFNGSA-N 0 3 324.468 4.232 20 0 DIADHN Cc1cccc([C@H](O)CNCc2cc3cc(C)c(C)cc3o2)c1 ZINC001474063834 1061610452 /nfs/dbraw/zinc/61/04/52/1061610452.db2.gz GZDVANZBZUURBG-LJQANCHMSA-N 0 3 309.409 4.181 20 0 DIADHN CC(C)C1CCC(CNCc2nnc(C(C)(C)C)s2)CC1 ZINC001474068777 1061614290 /nfs/dbraw/zinc/61/42/90/1061614290.db2.gz VESVHAVHUXSKBZ-UHFFFAOYSA-N 0 3 309.523 4.388 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CNCc1c(C)cccc1C ZINC001474082149 1061628982 /nfs/dbraw/zinc/62/89/82/1061628982.db2.gz NAUOVYZOYQLYDW-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN COc1cccc(C(C)(C)CNCc2c(F)cccc2F)c1 ZINC001474082749 1061629197 /nfs/dbraw/zinc/62/91/97/1061629197.db2.gz YEBMQURKZDRRRU-UHFFFAOYSA-N 0 3 305.368 4.041 20 0 DIADHN CCC(C)(C)c1ccc(CNCc2cnc(N(C)C)s2)cc1 ZINC001474082101 1061630122 /nfs/dbraw/zinc/63/01/22/1061630122.db2.gz KJOZMRUJNVONEY-UHFFFAOYSA-N 0 3 317.502 4.187 20 0 DIADHN CC(C)(C)Oc1ccc(CNC/C=C/c2cccc(F)c2)cn1 ZINC001474091381 1061636352 /nfs/dbraw/zinc/63/63/52/1061636352.db2.gz FQBVECINJZINQI-FNORWQNLSA-N 0 3 314.404 4.201 20 0 DIADHN Cn1c(CNCc2ccc(OC(C)(C)C)nc2)cc2ccccc21 ZINC001474091442 1061637006 /nfs/dbraw/zinc/63/70/06/1061637006.db2.gz HKDGQCBLRBXTCZ-UHFFFAOYSA-N 0 3 323.440 4.040 20 0 DIADHN Cc1ccc(CNC[C@@H]2COC3(CCC(C)CC3)O2)c(Cl)c1 ZINC001474091142 1061637340 /nfs/dbraw/zinc/63/73/40/1061637340.db2.gz WVOURWIQGOBBJA-CRPOECCBSA-N 0 3 323.864 4.060 20 0 DIADHN Cc1cc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)on1 ZINC001474097811 1061641569 /nfs/dbraw/zinc/64/15/69/1061641569.db2.gz YIBQAQYPULOVAE-UHFFFAOYSA-N 0 3 300.446 4.348 20 0 DIADHN Fc1ccccc1N1CCC[C@@H](NCc2cscc2Cl)C1 ZINC001474097158 1061642029 /nfs/dbraw/zinc/64/20/29/1061642029.db2.gz LMIWCSNEWWFKOJ-CYBMUJFWSA-N 0 3 324.852 4.299 20 0 DIADHN Cc1cn(C)nc1CNCC(C)(C)c1ccc(C(C)(C)C)cc1 ZINC001474097635 1061642789 /nfs/dbraw/zinc/64/27/89/1061642789.db2.gz UYVKRGSLZUXKFT-UHFFFAOYSA-N 0 3 313.489 4.093 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnc(Cl)c(C)c1)CCC2 ZINC001474095351 1061643381 /nfs/dbraw/zinc/64/33/81/1061643381.db2.gz OHDLWWDNQFGWNQ-QGZVFWFLSA-N 0 3 316.832 4.219 20 0 DIADHN COc1ccc(C[C@@H](C)CNCc2ncc(C(C)C)s2)cc1 ZINC001474097061 1061643419 /nfs/dbraw/zinc/64/34/19/1061643419.db2.gz SGNPSLYWTNDETQ-CQSZACIVSA-N 0 3 318.486 4.244 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CNCc1ccc(F)c(Cl)c1Cl ZINC001474100820 1061647347 /nfs/dbraw/zinc/64/73/47/1061647347.db2.gz UYVJYUNMRNDDCX-CQSZACIVSA-N 0 3 322.251 4.265 20 0 DIADHN COc1nsc(CN[C@H]2CCC[C@H](C(C)C)CC2)c1Cl ZINC001474120277 1061671988 /nfs/dbraw/zinc/67/19/88/1061671988.db2.gz WJSHBVFFQPCLHA-RYUDHWBXSA-N 0 3 316.898 4.500 20 0 DIADHN Cc1ccc(CNC[C@](C)(O)c2cccc(Cl)c2)c(Cl)c1 ZINC001474101879 1061648848 /nfs/dbraw/zinc/64/88/48/1061648848.db2.gz CKTAERNLKMBEOV-KRWDZBQOSA-N 0 3 324.251 4.299 20 0 DIADHN C[C@@H](NCc1cnn(C2CCC2)c1)c1ccc2c(c1)CCCC2 ZINC001474105016 1061653719 /nfs/dbraw/zinc/65/37/19/1061653719.db2.gz NJUGGLJOGXNRTP-OAHLLOKOSA-N 0 3 309.457 4.338 20 0 DIADHN COc1ccc(CNC[C@@H]2CCC3(CCCCC3)O2)c(Cl)c1 ZINC001474112945 1061660828 /nfs/dbraw/zinc/66/08/28/1061660828.db2.gz GNOHTMBBUKPZFO-INIZCTEOSA-N 0 3 323.864 4.320 20 0 DIADHN CSC1(CNCc2cc3cccc(C)c3nc2Cl)CC1 ZINC001474129035 1061673306 /nfs/dbraw/zinc/67/33/06/1061673306.db2.gz QRZYZVJCSBSHAI-UHFFFAOYSA-N 0 3 306.862 4.182 20 0 DIADHN CC(C)(NCc1ccc2nccnc2c1)c1ccc(Cl)cc1 ZINC001474145215 1061690089 /nfs/dbraw/zinc/69/00/89/1061690089.db2.gz KIJJAAVYTVRMQF-UHFFFAOYSA-N 0 3 311.816 4.308 20 0 DIADHN Clc1cnc(CNC[C@H]2CCC[C@H]2c2ccccc2)s1 ZINC001474159770 1061700676 /nfs/dbraw/zinc/70/06/76/1061700676.db2.gz OTOCRNUIGBSROC-KGLIPLIRSA-N 0 3 306.862 4.470 20 0 DIADHN C[C@H]1Cc2cc(CNCc3ccc(C(C)(F)F)cc3)ccc2O1 ZINC001474161696 1061702486 /nfs/dbraw/zinc/70/24/86/1061702486.db2.gz CGCJWVUBDCTKEP-ZDUSSCGKSA-N 0 3 317.379 4.412 20 0 DIADHN CC[C@@H](CNCc1cc(-c2ccccc2C)ccc1OC)OC ZINC001474167380 1061712870 /nfs/dbraw/zinc/71/28/70/1061712870.db2.gz KLOHQVNVQPCFLE-SFHVURJKSA-N 0 3 313.441 4.185 20 0 DIADHN CC(C)(C)c1ccncc1CNC/C=C\c1ccc(F)cc1F ZINC001474178928 1061725974 /nfs/dbraw/zinc/72/59/74/1061725974.db2.gz VOERWCPNPSWKTG-PLNGDYQASA-N 0 3 316.395 4.460 20 0 DIADHN CC[C@@H](C)N[C@@H](CNc1nc2ccc(F)cc2o1)c1ccco1 ZINC001458369206 1061726676 /nfs/dbraw/zinc/72/66/76/1061726676.db2.gz DWEDFJDYTNEFBT-RISCZKNCSA-N 0 3 317.364 4.101 20 0 DIADHN CC[C@@H](C)N[C@H](CNc1nc2ccc(F)cc2o1)c1ccco1 ZINC001458369196 1061726988 /nfs/dbraw/zinc/72/69/88/1061726988.db2.gz DWEDFJDYTNEFBT-BXUZGUMPSA-N 0 3 317.364 4.101 20 0 DIADHN Cc1ccc(Cl)cc1Oc1ccccc1CN[C@H]1C[C@@H](O)C1 ZINC001474182837 1061730802 /nfs/dbraw/zinc/73/08/02/1061730802.db2.gz ALJZKYRXVHEQDF-IYBDPMFKSA-N 0 3 317.816 4.054 20 0 DIADHN Cc1cc(CNCc2cccc(-c3ccccn3)c2)cc(C)n1 ZINC001474184503 1061734147 /nfs/dbraw/zinc/73/41/47/1061734147.db2.gz UGQNVCPXVLGUHG-UHFFFAOYSA-N 0 3 303.409 4.050 20 0 DIADHN CCCC1CCC(CNC(C)(C)c2cn(C(C)C)nn2)CC1 ZINC001474184928 1061734622 /nfs/dbraw/zinc/73/46/22/1061734622.db2.gz ZGLFHJNCKJGJKM-UHFFFAOYSA-N 0 3 306.498 4.290 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)C(C)(C)C)cs2)cc1 ZINC001474196104 1061747289 /nfs/dbraw/zinc/74/72/89/1061747289.db2.gz JYTYYRYTATUOTG-GFCCVEGCSA-N 0 3 304.459 4.343 20 0 DIADHN Cc1ccc(C2(NCc3cnn(CC4CCC4)c3)CCC2)cc1 ZINC001474200290 1061750122 /nfs/dbraw/zinc/75/01/22/1061750122.db2.gz BYPVZDMJOCBXCW-UHFFFAOYSA-N 0 3 309.457 4.161 20 0 DIADHN CC[C@H](OCCNCc1cc(C)ccc1OC)c1ccccc1 ZINC001474201370 1061753016 /nfs/dbraw/zinc/75/30/16/1061753016.db2.gz SVIUCIZAZJZLPB-IBGZPJMESA-N 0 3 313.441 4.261 20 0 DIADHN Cc1cccc2cc(CNCCCOC(C)(C)C)c(Cl)nc12 ZINC001474201621 1061754112 /nfs/dbraw/zinc/75/41/12/1061754112.db2.gz CRRTVJWWRVTUKN-UHFFFAOYSA-N 0 3 320.864 4.491 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@]2(C)C[C@@]2(F)Cl)n1 ZINC001474202510 1061754862 /nfs/dbraw/zinc/75/48/62/1061754862.db2.gz YIRJJEUDRMZCOZ-KGLIPLIRSA-N 0 3 304.862 4.235 20 0 DIADHN c1csc(-c2ccc(CNCc3cnc4ccccn34)cc2)c1 ZINC001474202908 1061758326 /nfs/dbraw/zinc/75/83/26/1061758326.db2.gz AEXYFQJCYLALNY-UHFFFAOYSA-N 0 3 319.433 4.353 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1ccc(F)c(Cl)c1Cl ZINC001474207344 1061762128 /nfs/dbraw/zinc/76/21/28/1061762128.db2.gz QQHQZMCAYXGLCV-LBPRGKRZSA-N 0 3 320.235 4.427 20 0 DIADHN Cc1cccc2c1C[C@H](NCc1cc(Cl)ccc1Cl)CO2 ZINC001474217737 1061774167 /nfs/dbraw/zinc/77/41/67/1061774167.db2.gz KKSGIDWFLROKAO-AWEZNQCLSA-N 0 3 322.235 4.395 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc2c(c1)CCCO2 ZINC001474216561 1061774349 /nfs/dbraw/zinc/77/43/49/1061774349.db2.gz XXHBBEVLHWZDFS-GJZGRUSLSA-N 0 3 323.436 4.186 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(-c2ccccc2)cc1)N(CC)CC ZINC001465541401 1061774463 /nfs/dbraw/zinc/77/44/63/1061774463.db2.gz COVBSKUNNUSUDJ-HXUWFJFHSA-N 0 3 324.468 4.437 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cc1Cl ZINC001474226518 1061790944 /nfs/dbraw/zinc/79/09/44/1061790944.db2.gz FNICJIZMPFVVSE-NSHDSACASA-N 0 3 316.788 4.346 20 0 DIADHN c1cn(C2CC2)c(CNC[C@]2(Cc3ccccc3)C=CCCC2)n1 ZINC001474233966 1061795961 /nfs/dbraw/zinc/79/59/61/1061795961.db2.gz GNLLLMJWJYXRPB-OAQYLSRUSA-N 0 3 321.468 4.277 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CN[C@H]3C[C@@H](CO)C3)o2)cc1 ZINC001474236734 1061797148 /nfs/dbraw/zinc/79/71/48/1061797148.db2.gz JYOMYULYHWDNIF-KDYLLFBJSA-N 0 3 313.441 4.105 20 0 DIADHN C[C@](O)(CN1CCC[C@H]1c1c(F)cccc1F)c1ccccc1 ZINC001253105317 1061807685 /nfs/dbraw/zinc/80/76/85/1061807685.db2.gz HTJICXZKUHBFBI-HKUYNNGSSA-N 0 3 317.379 4.009 20 0 DIADHN Cc1occc1CN[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1 ZINC001474243974 1061809121 /nfs/dbraw/zinc/80/91/21/1061809121.db2.gz AUJGSFUNXGFTRY-SJORKVTESA-N 0 3 305.805 4.251 20 0 DIADHN Cc1ccc(CN2CC[C@@H](NCc3cscc3Cl)C2)cc1 ZINC001474243193 1061809583 /nfs/dbraw/zinc/80/95/83/1061809583.db2.gz ZQZKMNFWTQSQJE-MRXNPFEDSA-N 0 3 320.889 4.074 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1ccsc1)CCCC2 ZINC001474249887 1061815285 /nfs/dbraw/zinc/81/52/85/1061815285.db2.gz WKLZRPPNOZHLJO-MRXNPFEDSA-N 0 3 317.454 4.323 20 0 DIADHN CC[C@H](CNCc1noc2c1CC(C)(C)CC2)c1ccccc1 ZINC001474254665 1061823252 /nfs/dbraw/zinc/82/32/52/1061823252.db2.gz FWDKSXSLLUHMTF-OAHLLOKOSA-N 0 3 312.457 4.473 20 0 DIADHN Cn1cnc2cc(CNCc3ccc(C(C)(C)C)s3)ccc21 ZINC001474258341 1061826354 /nfs/dbraw/zinc/82/63/54/1061826354.db2.gz VHLIKXSCUHFASL-UHFFFAOYSA-N 0 3 313.470 4.222 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC[C@@H]1C[C@H]1C1CC1 ZINC001474267432 1061832532 /nfs/dbraw/zinc/83/25/32/1061832532.db2.gz XZWMGWOWDPBLTD-NEPJUHHUSA-N 0 3 315.791 4.467 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC001465899577 1061835846 /nfs/dbraw/zinc/83/58/46/1061835846.db2.gz ISKFXMDJXMFCQS-QRFRQXIXSA-N 0 3 322.537 4.362 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cccc3F)c2c(n1)CCCC2 ZINC001474270616 1061837603 /nfs/dbraw/zinc/83/76/03/1061837603.db2.gz IIBKDTNLENQIBI-IBGZPJMESA-N 0 3 310.416 4.185 20 0 DIADHN C[C@]1(NC/C=C\c2ccc(F)c(F)c2)CCOc2ccccc21 ZINC001474278193 1061844802 /nfs/dbraw/zinc/84/48/02/1061844802.db2.gz JTBKJVFZFHQWIL-HMECNJMJSA-N 0 3 315.363 4.266 20 0 DIADHN Fc1c(Cl)cccc1CNC[C@@]1(c2ccccc2)CCCO1 ZINC001474276883 1061844862 /nfs/dbraw/zinc/84/48/62/1061844862.db2.gz PERLDPXXMRZRRQ-GOSISDBHSA-N 0 3 319.807 4.275 20 0 DIADHN Clc1ccc(CNC2([C@@H]3CCCCO3)CC2)cc1Cl ZINC001474278761 1061847039 /nfs/dbraw/zinc/84/70/39/1061847039.db2.gz VKUMYLFDOLZEIF-AWEZNQCLSA-N 0 3 300.229 4.185 20 0 DIADHN Clc1ccc(CNC2([C@H]3CCCCO3)CC2)cc1Cl ZINC001474278762 1061847103 /nfs/dbraw/zinc/84/71/03/1061847103.db2.gz VKUMYLFDOLZEIF-CQSZACIVSA-N 0 3 300.229 4.185 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1c[nH]nc1-c1ccsc1 ZINC001474287726 1061855716 /nfs/dbraw/zinc/85/57/16/1061855716.db2.gz XROGQCQJVCPNGV-MRXNPFEDSA-N 0 3 313.401 4.054 20 0 DIADHN c1csc(-c2ccc(CNCc3ccc4nonc4c3)cc2)c1 ZINC001474291775 1061861491 /nfs/dbraw/zinc/86/14/91/1061861491.db2.gz KLWFQHJMQCDXIR-UHFFFAOYSA-N 0 3 321.405 4.241 20 0 DIADHN Cc1cc2sc(N3CCN(C)C[C@@H]3CC(C)C)nc2c(C)c1 ZINC001458654049 1061863417 /nfs/dbraw/zinc/86/34/17/1061863417.db2.gz ZPKADSXXFZVVAQ-HNNXBMFYSA-N 0 3 317.502 4.080 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@H](C)c1cnn(CC2CCC2)c1 ZINC001474295520 1061863473 /nfs/dbraw/zinc/86/34/73/1061863473.db2.gz YGXQIMJLNBFWBY-ABPVARSCSA-N 0 3 309.457 4.437 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@@H](C)c1cnn(CC2CCC2)c1 ZINC001474295521 1061865109 /nfs/dbraw/zinc/86/51/09/1061865109.db2.gz YGXQIMJLNBFWBY-NIFLVVHWSA-N 0 3 309.457 4.437 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1C[C@@H]1Cc1ccccc1 ZINC001474300409 1061869064 /nfs/dbraw/zinc/86/90/64/1061869064.db2.gz XFEQDIPULWBEMG-RBUKOAKNSA-N 0 3 318.420 4.310 20 0 DIADHN CCOC(OCC)[C@H](C)NCc1ccccc1-c1ccccc1 ZINC001474306385 1061872278 /nfs/dbraw/zinc/87/22/78/1061872278.db2.gz CPFCDDQTPJVQJQ-INIZCTEOSA-N 0 3 313.441 4.231 20 0 DIADHN Cc1cc(C)cc(CN[C@@H]2C[C@@]3(CCOC3)Oc3ccccc32)c1 ZINC001474305880 1061872854 /nfs/dbraw/zinc/87/28/54/1061872854.db2.gz XXMVXYUFYVTLOZ-TZIWHRDSSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1cc(C)cc(CN[C@@H]2C[C@]3(CCOC3)Oc3ccccc32)c1 ZINC001474305877 1061873708 /nfs/dbraw/zinc/87/37/08/1061873708.db2.gz XXMVXYUFYVTLOZ-CTNGQTDRSA-N 0 3 323.436 4.076 20 0 DIADHN CC[C@@H]1CCC[C@@]1(C)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001466095252 1061875343 /nfs/dbraw/zinc/87/53/43/1061875343.db2.gz AROSBCFOFXMXRF-VQIMIIECSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474308910 1061876773 /nfs/dbraw/zinc/87/67/73/1061876773.db2.gz JKGQSZTXLGBXRZ-ZIAGYGMSSA-N 0 3 302.443 4.446 20 0 DIADHN CN1CCN(Cc2ccc(C3CCC3)cc2)[C@H](c2ccccc2)C1 ZINC001474308011 1061879412 /nfs/dbraw/zinc/87/94/12/1061879412.db2.gz YMNIRRFZDCPXQL-QFIPXVFZSA-N 0 3 320.480 4.443 20 0 DIADHN COc1ncc(CN(C)[C@@H]2CCC[C@H]2c2ccc(C)cc2)s1 ZINC001474313018 1061883415 /nfs/dbraw/zinc/88/34/15/1061883415.db2.gz SGQCHFNQIUNUCZ-DLBZAZTESA-N 0 3 316.470 4.228 20 0 DIADHN c1cn(C2CC2)c(CN2CCC[C@@H]2CCCc2ccccc2)n1 ZINC001474311064 1061883968 /nfs/dbraw/zinc/88/39/68/1061883968.db2.gz RQNQGOMBBJDSMH-SFHVURJKSA-N 0 3 309.457 4.205 20 0 DIADHN CC[C@@H](C(=O)N[C@H](c1cccc(Cl)c1)C1CCCC1)N(C)C ZINC001466190597 1061892471 /nfs/dbraw/zinc/89/24/71/1061892471.db2.gz DDHIPWSCLOOPLN-IRXDYDNUSA-N 0 3 322.880 4.028 20 0 DIADHN COCCN(CCc1ccc(C(C)C)cc1)Cc1ccco1 ZINC001474323245 1061901472 /nfs/dbraw/zinc/90/14/72/1061901472.db2.gz WMWHAEIDOCTSEG-UHFFFAOYSA-N 0 3 301.430 4.094 20 0 DIADHN CN(CCOCC1CC1)Cc1ccc2oc3ccccc3c2c1 ZINC001474325262 1061907797 /nfs/dbraw/zinc/90/77/97/1061907797.db2.gz WJLIVVNIWPXQKT-UHFFFAOYSA-N 0 3 309.409 4.444 20 0 DIADHN CCCCC[C@@H](O)CN[C@@H](c1ccncc1)c1ccccc1C ZINC001253518042 1061908211 /nfs/dbraw/zinc/90/82/11/1061908211.db2.gz ITXALRFYDFAVSZ-QUCCMNQESA-N 0 3 312.457 4.010 20 0 DIADHN CCn1nc(CN(C)[C@@H](C)Cc2ccc(C)cc2)c2ccccc21 ZINC001474323781 1061909136 /nfs/dbraw/zinc/90/91/36/1061909136.db2.gz HJJUUGQCEVPLMZ-KRWDZBQOSA-N 0 3 321.468 4.428 20 0 DIADHN CCOc1cncc(CN(C2CC2)[C@@H]2CCc3ccccc32)c1 ZINC001474329721 1061918899 /nfs/dbraw/zinc/91/88/99/1061918899.db2.gz SYAWAMDHZQXRLG-HXUWFJFHSA-N 0 3 308.425 4.132 20 0 DIADHN CCOC(=O)c1[nH]c(C)c(CN(C)[C@H](C)c2cccs2)c1C ZINC001474329564 1061920093 /nfs/dbraw/zinc/92/00/93/1061920093.db2.gz RDTITQBMOIMGPY-CYBMUJFWSA-N 0 3 320.458 4.063 20 0 DIADHN Cc1cccc(-c2nnc(-c3ccc(CN4CCCCC4)o3)o2)c1 ZINC001253684178 1061950861 /nfs/dbraw/zinc/95/08/61/1061950861.db2.gz XPSRHUKDQQZAGY-UHFFFAOYSA-N 0 3 323.396 4.291 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1C[C@@H](c2ccccc2)C[C@@H]1C ZINC001474355543 1061971126 /nfs/dbraw/zinc/97/11/26/1061971126.db2.gz NSLVBXYFGLVJQL-JSGCOSHPSA-N 0 3 303.837 4.004 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1ccc(Br)cc1)C1CC1 ZINC001474358136 1061978463 /nfs/dbraw/zinc/97/84/63/1061978463.db2.gz HBPBJAKZCUBHLV-CVEARBPZSA-N 0 3 324.262 4.011 20 0 DIADHN CC1(C)CCC[C@@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001474358482 1061979911 /nfs/dbraw/zinc/97/99/11/1061979911.db2.gz JOSZYZYSPDERCR-QGZVFWFLSA-N 0 3 323.484 4.447 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)[C@H]2CCCc3c2cnn3C)cc1C ZINC001474359605 1061982080 /nfs/dbraw/zinc/98/20/80/1061982080.db2.gz YGQICPHQVSXHQR-DUIUGDAFSA-N 0 3 323.484 4.368 20 0 DIADHN CC(C)(C)CC[C@H](CN1CCCCC1)NC(=O)OC(C)(C)C ZINC001474362121 1061987800 /nfs/dbraw/zinc/98/78/00/1061987800.db2.gz JTCNNNOUYMHMLO-OAHLLOKOSA-N 0 3 312.498 4.192 20 0 DIADHN CCCN(CCC(C)(C)SC)[C@@H](C)C(=O)Nc1ccccc1 ZINC001474369019 1062000480 /nfs/dbraw/zinc/00/04/80/1062000480.db2.gz BCDALUQNVSICOZ-HNNXBMFYSA-N 0 3 322.518 4.257 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(Oc3cccc(F)c3)cc2)C1 ZINC001474367721 1062001676 /nfs/dbraw/zinc/00/16/76/1062001676.db2.gz NAWKTUBVPATZIJ-LJQANCHMSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1c(Br)cncc1CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC001474385449 1062035263 /nfs/dbraw/zinc/03/52/63/1062035263.db2.gz FVSRABUAPPMRNM-AXAPSJFSSA-N 0 3 323.278 4.306 20 0 DIADHN COc1cc(Cl)c(CN(C)C[C@@H]2CC=CCC2)cc1OC ZINC001474390874 1062041971 /nfs/dbraw/zinc/04/19/71/1062041971.db2.gz GRFWAQNFIQZAQV-CYBMUJFWSA-N 0 3 309.837 4.145 20 0 DIADHN Cc1cc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)ccc1C1CC1 ZINC001459227356 1062047845 /nfs/dbraw/zinc/04/78/45/1062047845.db2.gz VTRWNHGKBUTHIR-IBGZPJMESA-N 0 3 318.436 4.023 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001459254403 1062058045 /nfs/dbraw/zinc/05/80/45/1062058045.db2.gz HFZYCGLVZWRUEK-JFIYKMOQSA-N 0 3 318.505 4.256 20 0 DIADHN CCCCC[C@H](CC)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001459256287 1062058147 /nfs/dbraw/zinc/05/81/47/1062058147.db2.gz RFZPZBOTQOREGZ-LNLFQRSKSA-N 0 3 318.505 4.401 20 0 DIADHN CCCCC[C@H](CC)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001459256284 1062058597 /nfs/dbraw/zinc/05/85/97/1062058597.db2.gz RFZPZBOTQOREGZ-JENIJYKNSA-N 0 3 318.505 4.401 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(OCC)cc2C)cc1 ZINC001474410560 1062072869 /nfs/dbraw/zinc/07/28/69/1062072869.db2.gz QJTDTMGDLHBMDD-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN C[C@H]1CCC[C@H](CCN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001459307035 1062075532 /nfs/dbraw/zinc/07/55/32/1062075532.db2.gz AGENDAPOFCIWLF-ZWKOTPCHSA-N 0 3 323.484 4.447 20 0 DIADHN CN(Cc1ccn(C)c1)[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC001474411136 1062076143 /nfs/dbraw/zinc/07/61/43/1062076143.db2.gz WEGQMYJULUZEPA-FQEVSTJZSA-N 0 3 308.400 4.386 20 0 DIADHN Cc1nn2c(CN(C)CC3CCCCC3)c(C(C)C)nc2s1 ZINC001474413175 1062076315 /nfs/dbraw/zinc/07/63/15/1062076315.db2.gz CAIWFKJWRWBAOJ-UHFFFAOYSA-N 0 3 320.506 4.235 20 0 DIADHN CCOc1cc(C[N@H+](C)CC2CCCCC2)cc(Cl)c1[O-] ZINC001474413142 1062077034 /nfs/dbraw/zinc/07/70/34/1062077034.db2.gz AEEOMHWCSNEWKU-UHFFFAOYSA-N 0 3 311.853 4.456 20 0 DIADHN CCOc1cc(C[N@@H+](C)CC2CCCCC2)cc(Cl)c1[O-] ZINC001474413142 1062077056 /nfs/dbraw/zinc/07/70/56/1062077056.db2.gz AEEOMHWCSNEWKU-UHFFFAOYSA-N 0 3 311.853 4.456 20 0 DIADHN COC1CCC(CN(Cc2ccc(F)cc2F)C2CC2)CC1 ZINC001474414415 1062082417 /nfs/dbraw/zinc/08/24/17/1062082417.db2.gz CXALLJUZIWDRCQ-UHFFFAOYSA-N 0 3 309.400 4.134 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001459318330 1062085982 /nfs/dbraw/zinc/08/59/82/1062085982.db2.gz PVJHCCYLTJOHPO-USJZOSNVSA-N 0 3 305.849 4.435 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1nc2ccccc2nc1C ZINC001474418002 1062088535 /nfs/dbraw/zinc/08/85/35/1062088535.db2.gz JRWGWOHEKFTSGK-GOSISDBHSA-N 0 3 317.436 4.275 20 0 DIADHN CC[C@@H](C)CCC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001459324727 1062090943 /nfs/dbraw/zinc/09/09/43/1062090943.db2.gz LOBRRTGJIVKNFO-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN COc1cncc(/C=C\CCN(C)[C@H](C)c2ccccc2F)c1 ZINC001459338195 1062095750 /nfs/dbraw/zinc/09/57/50/1062095750.db2.gz NJIQKXDZXJAQCB-DDJMYBDESA-N 0 3 314.404 4.326 20 0 DIADHN Fc1cccc([C@H]2CCCN2C[C@H]2CCC3(CCC3)CO2)c1 ZINC001459340593 1062096693 /nfs/dbraw/zinc/09/66/93/1062096693.db2.gz UXLBVTVEWWMKRB-QZTJIDSGSA-N 0 3 303.421 4.312 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1C1CCc2ccccc2CC1 ZINC001254448728 1062110166 /nfs/dbraw/zinc/11/01/66/1062110166.db2.gz BSWIRUBAOOUXRD-AWEZNQCLSA-N 0 3 305.425 4.176 20 0 DIADHN Clc1cncc(Cl)c1CNC1CCc2ccccc2CC1 ZINC001254452224 1062112883 /nfs/dbraw/zinc/11/28/83/1062112883.db2.gz GQQKGBUOYOZTRL-UHFFFAOYSA-N 0 3 321.251 4.426 20 0 DIADHN CCN(CCc1cccs1)Cc1cc(OC)ccc1Cl ZINC001459366312 1062116084 /nfs/dbraw/zinc/11/60/84/1062116084.db2.gz SJQRRUXGUYWSSH-UHFFFAOYSA-N 0 3 309.862 4.475 20 0 DIADHN CCCN(Cc1c(F)cccc1Cl)C[C@@H]1C[C@@]12CCOC2 ZINC001459379907 1062119775 /nfs/dbraw/zinc/11/97/75/1062119775.db2.gz QXIOLLNWVQGJSQ-SUMWQHHRSA-N 0 3 311.828 4.118 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@@H](C)c3ccccc3)CCO2)cc1 ZINC001474443743 1062121763 /nfs/dbraw/zinc/12/17/63/1062121763.db2.gz BUDIVPATBOOSGF-UTKZUKDTSA-N 0 3 309.453 4.426 20 0 DIADHN C[C@@H]1Cc2cc(CN3CC[C@H](C(F)(F)F)C3(C)C)ccc2O1 ZINC001474445627 1062123393 /nfs/dbraw/zinc/12/33/93/1062123393.db2.gz HNBWCSWCEOEHIR-ABAIWWIYSA-N 0 3 313.363 4.173 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H]1c2cc(C)ccc2NC1=O ZINC001254482620 1062125085 /nfs/dbraw/zinc/12/50/85/1062125085.db2.gz LHPDTXSXOZBROL-XLIONFOSSA-N 0 3 322.452 4.379 20 0 DIADHN c1cc2c(c(CN3CCCC4(CCCCC4)CC3)c1)OCO2 ZINC001474447292 1062127455 /nfs/dbraw/zinc/12/74/55/1062127455.db2.gz XWVMTEBJNJDSLY-UHFFFAOYSA-N 0 3 301.430 4.352 20 0 DIADHN CN(CCOCC1CCCC1)Cc1cccc(C(F)(F)F)c1 ZINC001459395396 1062128116 /nfs/dbraw/zinc/12/81/16/1062128116.db2.gz PCJJJYJAEOGRHH-UHFFFAOYSA-N 0 3 315.379 4.344 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N1CCCc2ccc(CN)nc21 ZINC001254487574 1062130754 /nfs/dbraw/zinc/13/07/54/1062130754.db2.gz QFHDNQYTFRMAKM-FQEVSTJZSA-N 0 3 323.484 4.094 20 0 DIADHN CC(C)COc1ccccc1CN1CCOCC2(CCCC2)C1 ZINC001474456541 1062134008 /nfs/dbraw/zinc/13/40/08/1062134008.db2.gz NLPQPGMRFGPVEZ-UHFFFAOYSA-N 0 3 317.473 4.114 20 0 DIADHN CC[C@@H](CN(CC)Cc1ccnc(OC)c1F)c1ccccc1 ZINC001474459453 1062136719 /nfs/dbraw/zinc/13/67/19/1062136719.db2.gz CGSBIONMRDGPBL-HNNXBMFYSA-N 0 3 316.420 4.245 20 0 DIADHN CC[C@H]1CCN(Cc2sc(N3CCCC3)nc2Cl)[C@@H]1C ZINC001474459455 1062137566 /nfs/dbraw/zinc/13/75/66/1062137566.db2.gz CGUWBODGGAAYLN-NEPJUHHUSA-N 0 3 313.898 4.017 20 0 DIADHN Cc1nnsc1[C@@H](C)N1CCC[C@@H](c2ccccc2)CC1 ZINC001459422996 1062137663 /nfs/dbraw/zinc/13/76/63/1062137663.db2.gz CCSJKCDBNYZXBH-GDBMZVCRSA-N 0 3 301.459 4.177 20 0 DIADHN CC(C)CCCCC(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001467481375 1062138303 /nfs/dbraw/zinc/13/83/03/1062138303.db2.gz CRDUVHSFCXGFJH-KRWDZBQOSA-N 0 3 324.896 4.275 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H](C)C3CCCCC3)c2)CC1 ZINC001474461316 1062139962 /nfs/dbraw/zinc/13/99/62/1062139962.db2.gz NXVNJMVGDIUMSM-KRWDZBQOSA-N 0 3 315.505 4.209 20 0 DIADHN CCCC[C@@H](C)[C@H](C)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001474461352 1062140594 /nfs/dbraw/zinc/14/05/94/1062140594.db2.gz OQQDEPOZFHASEJ-MSOLQXFVSA-N 0 3 317.521 4.455 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@@H](C)N(C)C[C@H]1C ZINC001474463651 1062142279 /nfs/dbraw/zinc/14/22/79/1062142279.db2.gz TZMFCJUYJPKKOT-VXGBXAGGSA-N 0 3 322.905 4.388 20 0 DIADHN CCCCCCN(C)CC(=O)NCC[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC001467635899 1062168247 /nfs/dbraw/zinc/16/82/47/1062168247.db2.gz JDPHJAABKRWMQK-QZTJIDSGSA-N 0 3 324.553 4.467 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1CC(=O)OCc1ccccc1 ZINC001459512860 1062175789 /nfs/dbraw/zinc/17/57/89/1062175789.db2.gz IFFJPGQLMIIISN-SFHVURJKSA-N 0 3 303.446 4.021 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cn(-c2ccc(C)cc2)nc1C ZINC001474504673 1062186841 /nfs/dbraw/zinc/18/68/41/1062186841.db2.gz IPYIIXMGHUYQHE-QGZVFWFLSA-N 0 3 317.502 4.063 20 0 DIADHN CCCN(Cc1ccns1)[C@H]1C[C@@H](OCC)C12CCCC2 ZINC001459534764 1062187979 /nfs/dbraw/zinc/18/79/79/1062187979.db2.gz OUGVQDZJSUXZLE-JKSUJKDBSA-N 0 3 308.491 4.093 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001459543737 1062197042 /nfs/dbraw/zinc/19/70/42/1062197042.db2.gz VRVMHSONEVWRET-GUYCJALGSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)(c1ccc(F)cc1)C1(NCc2cc(C3CC3)no2)CC1 ZINC001474520244 1062200390 /nfs/dbraw/zinc/20/03/90/1062200390.db2.gz BJADXEUWPYFLTE-UHFFFAOYSA-N 0 3 314.404 4.291 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(C(F)(F)F)co2)C1 ZINC001459559045 1062208851 /nfs/dbraw/zinc/20/88/51/1062208851.db2.gz JHYRLGCAMAUEEB-DGCLKSJQSA-N 0 3 307.381 4.404 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCS[C@H](C(C)C)C1 ZINC001459561524 1062212936 /nfs/dbraw/zinc/21/29/36/1062212936.db2.gz NILHNALARXYHFV-KRWDZBQOSA-N 0 3 316.470 4.223 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1)[C@H](CO)c1ccc(Cl)cc1 ZINC001474536498 1062222908 /nfs/dbraw/zinc/22/29/08/1062222908.db2.gz BAZZNDHFWZXPDM-LHRDXKRPSA-N 0 3 319.807 4.158 20 0 DIADHN CN(Cc1ccc2occc2c1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001474537028 1062227124 /nfs/dbraw/zinc/22/71/24/1062227124.db2.gz DCWDBZHMMFZRGV-KRWDZBQOSA-N 0 3 315.800 4.252 20 0 DIADHN CN(C)Cc1ccc(-c2nnc([C@@H]3C[C@@H]3c3ccccc3)o2)cc1 ZINC001254683176 1062228903 /nfs/dbraw/zinc/22/89/03/1062228903.db2.gz OSMWZZPTJCOBQE-QZTJIDSGSA-N 0 3 319.408 4.069 20 0 DIADHN CC(C)C[C@@H]1CCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001459589036 1062230516 /nfs/dbraw/zinc/23/05/16/1062230516.db2.gz FDXXQIFUAVHPKA-INIZCTEOSA-N 0 3 316.489 4.291 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CCC1CCCCC1 ZINC001467947086 1062234411 /nfs/dbraw/zinc/23/44/11/1062234411.db2.gz WXZSQRMDIZKPQV-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN CN1CCN([C@H]2CC[C@@](C)(c3ccccc3)C2)c2ccccc2C1 ZINC001474543531 1062234557 /nfs/dbraw/zinc/23/45/57/1062234557.db2.gz XYYDHYSLUMCDCZ-RBBKRZOGSA-N 0 3 320.480 4.449 20 0 DIADHN CN1CCN([C@H]2CC[C@](C)(c3ccccc3)C2)c2ccccc2C1 ZINC001474543532 1062234789 /nfs/dbraw/zinc/23/47/89/1062234789.db2.gz XYYDHYSLUMCDCZ-UNMCSNQZSA-N 0 3 320.480 4.449 20 0 DIADHN CS[C@H]1CCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001474548641 1062244105 /nfs/dbraw/zinc/24/41/05/1062244105.db2.gz DNBSFCUGCFYPLQ-NSHDSACASA-N 0 3 304.286 4.363 20 0 DIADHN F[C@@H]1CCCC[C@H]1NCc1ccc(Br)c(Cl)c1 ZINC001474578953 1062286774 /nfs/dbraw/zinc/28/67/74/1062286774.db2.gz OEMGRKZRQZEPIV-CHWSQXEVSA-N 0 3 320.633 4.473 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2sc(C)nc2C(F)(F)F)C1 ZINC001254776118 1062248675 /nfs/dbraw/zinc/24/86/75/1062248675.db2.gz ZSXYBUWBVDURMP-ZJUUUORDSA-N 0 3 324.437 4.186 20 0 DIADHN COc1cc2c(cc1Cl)CN(CC1(OC)CCCCC1)CC2 ZINC001474551731 1062250898 /nfs/dbraw/zinc/25/08/98/1062250898.db2.gz RMHATBLIHZMPQV-UHFFFAOYSA-N 0 3 323.864 4.056 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc(OC(F)F)c(F)c2)CC12CCC2 ZINC001474552106 1062251268 /nfs/dbraw/zinc/25/12/68/1062251268.db2.gz ZQPSAVIVUGNADQ-HNNXBMFYSA-N 0 3 313.363 4.438 20 0 DIADHN CC1(C2CC2)CCN(Cc2cc3c(ccnc3Cl)o2)CC1 ZINC001474553893 1062253788 /nfs/dbraw/zinc/25/37/88/1062253788.db2.gz ASJWJRXUGSPKOP-UHFFFAOYSA-N 0 3 304.821 4.493 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1cccc(OC(C)(C)C)n1 ZINC001459619064 1062253941 /nfs/dbraw/zinc/25/39/41/1062253941.db2.gz FFPASKZCRSWVBS-CQSZACIVSA-N 0 3 318.486 4.383 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCC(OCC2CCCC2)CC1 ZINC001459622553 1062258180 /nfs/dbraw/zinc/25/81/80/1062258180.db2.gz KEIOLFWKZGHAAJ-AWEZNQCLSA-N 0 3 320.304 4.242 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(N(C)c2ccccc2)CC1 ZINC001459624723 1062263300 /nfs/dbraw/zinc/26/33/00/1062263300.db2.gz IIRXUZZGLWSZLA-UHFFFAOYSA-N 0 3 312.432 4.235 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)CC(=O)Nc1ccc(C)c(C)c1 ZINC001459628883 1062267873 /nfs/dbraw/zinc/26/78/73/1062267873.db2.gz BBLYFMIMSWVOOG-FQEVSTJZSA-N 0 3 324.468 4.195 20 0 DIADHN CCCSC[C@@H](C)N[C@@H](C)c1nccn1-c1ccccc1 ZINC001474562069 1062267968 /nfs/dbraw/zinc/26/79/68/1062267968.db2.gz RJTIVBSHXDFNFN-CABCVRRESA-N 0 3 303.475 4.055 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3ccccc3)[C@H]2C)nc1Cl ZINC001459636944 1062269674 /nfs/dbraw/zinc/26/96/74/1062269674.db2.gz SYIGEQFCBXQGAV-WBVHZDCISA-N 0 3 314.860 4.497 20 0 DIADHN CC(C)CC1CCN(Cc2cnn(Cc3ccccc3)c2)CC1 ZINC001459634230 1062272872 /nfs/dbraw/zinc/27/28/72/1062272872.db2.gz XJDFRBLOICZFDD-UHFFFAOYSA-N 0 3 311.473 4.190 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001468226020 1062279933 /nfs/dbraw/zinc/27/99/33/1062279933.db2.gz VIAFAYJDHNDEPC-IBGZPJMESA-N 0 3 322.537 4.460 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)c(F)c1 ZINC001474573632 1062283215 /nfs/dbraw/zinc/28/32/15/1062283215.db2.gz ZCFMVXJXKYGOEV-MLGOLLRUSA-N 0 3 323.770 4.273 20 0 DIADHN O=C1C[C@H]2CC(NC3c4ccccc4Oc4ccccc43)C[C@@H]2C1 ZINC001254935194 1062283250 /nfs/dbraw/zinc/28/32/50/1062283250.db2.gz NDLGIEPNSPNWQS-ZIAGYGMSSA-N 0 3 319.404 4.229 20 0 DIADHN F[C@@H]1CCCC[C@H]1NCc1ccc(Cl)c(Br)c1 ZINC001474578849 1062285729 /nfs/dbraw/zinc/28/57/29/1062285729.db2.gz LLNGHVDTYAYMPU-CHWSQXEVSA-N 0 3 320.633 4.473 20 0 DIADHN COc1cc(CN2CC[C@H](C3CCC3)C2)cc(C(F)(F)F)c1 ZINC001474581084 1062290826 /nfs/dbraw/zinc/29/08/26/1062290826.db2.gz NUEIVFYZGOASOH-AWEZNQCLSA-N 0 3 313.363 4.336 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCc2ccc(F)cc2F)o1 ZINC001255000966 1062298186 /nfs/dbraw/zinc/29/81/86/1062298186.db2.gz BPAQSZYAKINBBK-QGZVFWFLSA-N 0 3 321.367 4.084 20 0 DIADHN Cc1cccc(C)c1CN(Cc1cnc(Cl)n1C)CC(C)C ZINC001474593546 1062304183 /nfs/dbraw/zinc/30/41/83/1062304183.db2.gz AQZYGGRFXSXYQC-UHFFFAOYSA-N 0 3 319.880 4.349 20 0 DIADHN Clc1ccc(-c2nc(CNC3CCSCC3)cs2)cc1 ZINC001255087695 1062310449 /nfs/dbraw/zinc/31/04/49/1062310449.db2.gz NYYDLLDHYIPCDU-UHFFFAOYSA-N 0 3 324.902 4.449 20 0 DIADHN Cc1csc2nc(C)c(CN[C@@H]3CCc4ccc(C)cc43)n12 ZINC001474609904 1062326286 /nfs/dbraw/zinc/32/62/86/1062326286.db2.gz NUVQMSBWWFJDOC-MRXNPFEDSA-N 0 3 311.454 4.098 20 0 DIADHN COC[C@H](CN(C)[C@@H](C)c1nc2ccccc2s1)C(C)C ZINC001459717724 1062329990 /nfs/dbraw/zinc/32/99/90/1062329990.db2.gz NVOKETXZUNZZAM-KBPBESRZSA-N 0 3 306.475 4.208 20 0 DIADHN COc1cc(CN2CC(Cc3ccco3)C2)cc2ccccc21 ZINC001474625907 1062345475 /nfs/dbraw/zinc/34/54/75/1062345475.db2.gz HNGXJCSMRZVQPO-UHFFFAOYSA-N 0 3 307.393 4.116 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC(c3ccon3)CC2)c(C)c1 ZINC001474634133 1062356074 /nfs/dbraw/zinc/35/60/74/1062356074.db2.gz ADLAFDADIRINEW-SNAWJCMRSA-N 0 3 310.441 4.493 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1nccc(OC)c1F ZINC001474632464 1062357779 /nfs/dbraw/zinc/35/77/79/1062357779.db2.gz PISMTUVRLYFWIK-MRXNPFEDSA-N 0 3 316.420 4.120 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](CO)c2cccc(Cl)c2Cl)C[C@H]1C ZINC001474636326 1062362264 /nfs/dbraw/zinc/36/22/64/1062362264.db2.gz MGHNQDLTOHWMQQ-MCYUEQNJSA-N 0 3 316.272 4.441 20 0 DIADHN CCCCCC[C@H](CC)CN(C)Cc1c2c(nn1C)CCC2 ZINC001474643277 1062368357 /nfs/dbraw/zinc/36/83/57/1062368357.db2.gz PAKRYMYINKVRGV-INIZCTEOSA-N 0 3 305.510 4.337 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CC(C)(C)OC2(C)C)C[C@@H](c2ccsc2)O1 ZINC001459757450 1062372685 /nfs/dbraw/zinc/37/26/85/1062372685.db2.gz UFZVNYQQNUEGEA-KBMXLJTQSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)=CCN1CC[C@@H](Oc2ccc(Cl)c(Cl)c2)C1 ZINC001459763856 1062374415 /nfs/dbraw/zinc/37/44/15/1062374415.db2.gz VFVMOUVZWPZDRN-CYBMUJFWSA-N 0 3 300.229 4.413 20 0 DIADHN Fc1c(Cl)cc(C(F)(F)F)cc1CN[C@@H]1CCSC1 ZINC001255273774 1062374569 /nfs/dbraw/zinc/37/45/69/1062374569.db2.gz FRMQONCYQMRFBC-SECBINFHSA-N 0 3 313.747 4.093 20 0 DIADHN Brc1ccccc1[C@H]1CCCN1[C@@H]1CCSC1 ZINC001255281696 1062376926 /nfs/dbraw/zinc/37/69/26/1062376926.db2.gz BKUKUDUFBUOESX-BXUZGUMPSA-N 0 3 312.276 4.092 20 0 DIADHN CC1(C)CCC(NCC(=O)c2ccc(C(F)(F)F)cc2)CC1 ZINC001255298690 1062380406 /nfs/dbraw/zinc/38/04/06/1062380406.db2.gz GQJHHESPSXXEKZ-UHFFFAOYSA-N 0 3 313.363 4.447 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1cc(C(=O)OC)ccc1F ZINC001459767838 1062380342 /nfs/dbraw/zinc/38/03/42/1062380342.db2.gz MTDLXFYRVNLYKY-INIZCTEOSA-N 0 3 307.409 4.157 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2nc3ccc(OC)cc3s2)C1 ZINC001474652194 1062381346 /nfs/dbraw/zinc/38/13/46/1062381346.db2.gz CLKQXUCHAPNGMD-RDFMZFSFSA-N 0 3 316.470 4.483 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)NCc1ccc(Cl)cc1 ZINC001459771626 1062382293 /nfs/dbraw/zinc/38/22/93/1062382293.db2.gz YWEGXJRHCPYZAS-QGZVFWFLSA-N 0 3 322.880 4.001 20 0 DIADHN O[C@@H]1CCN(C/C=C\c2ccc(F)cc2)[C@@H](c2ccccc2)C1 ZINC001474656277 1062385354 /nfs/dbraw/zinc/38/53/54/1062385354.db2.gz FKJYHYZWVNEKTO-TZFVZSICSA-N 0 3 311.400 4.037 20 0 DIADHN C[C@H](CCN1CCc2c(F)cccc2C1)OCc1ccccc1 ZINC001459776080 1062390712 /nfs/dbraw/zinc/39/07/12/1062390712.db2.gz MYNQXQHOFFSRMS-MRXNPFEDSA-N 0 3 313.416 4.179 20 0 DIADHN CC1(c2ccccc2)CCN(CCSC(F)(F)F)CC1 ZINC001459779840 1062392254 /nfs/dbraw/zinc/39/22/54/1062392254.db2.gz NAMHJGQXTZWJQQ-UHFFFAOYSA-N 0 3 303.393 4.293 20 0 DIADHN CCCC[C@@H](C)NCc1cn(Cc2ccccc2Cl)cn1 ZINC001255319938 1062394934 /nfs/dbraw/zinc/39/49/34/1062394934.db2.gz MUOWSZQVNLWPBX-CQSZACIVSA-N 0 3 305.853 4.253 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1ccc(C)cc1OC ZINC001474666531 1062395635 /nfs/dbraw/zinc/39/56/35/1062395635.db2.gz AWBFVJKXKBOIQS-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN CCCC[C@@H](C)NCc1cn(Cc2cccc(Cl)c2)cn1 ZINC001255321915 1062400009 /nfs/dbraw/zinc/40/00/09/1062400009.db2.gz XYVXJSMHOGTRJK-CQSZACIVSA-N 0 3 305.853 4.253 20 0 DIADHN CC(C)O[C@H](CN1CCc2cc(F)ccc2C1)c1ccccc1 ZINC001459787014 1062400367 /nfs/dbraw/zinc/40/03/67/1062400367.db2.gz STXMQDUBZWGYDV-HXUWFJFHSA-N 0 3 313.416 4.350 20 0 DIADHN Cc1ccc(CCCN2CCC[C@@](F)(c3ccccc3)C2)cn1 ZINC001474673741 1062405703 /nfs/dbraw/zinc/40/57/03/1062405703.db2.gz NEWNZWAOGKXIFL-FQEVSTJZSA-N 0 3 312.432 4.283 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](c1nc2ccccc2[nH]1)C1CCCCC1 ZINC001474676817 1062407905 /nfs/dbraw/zinc/40/79/05/1062407905.db2.gz WLUCEPDQYRALNV-IYOUNJFTSA-N 0 3 315.461 4.197 20 0 DIADHN Cc1ccc(CN(CCO)Cc2cccc(C(C)(C)C)c2)cc1 ZINC001459806428 1062413302 /nfs/dbraw/zinc/41/33/02/1062413302.db2.gz QXODWWZNSSCJKX-UHFFFAOYSA-N 0 3 311.469 4.287 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CC2(CCCCC2)[C@@H]1C(C)C ZINC001468838474 1062418090 /nfs/dbraw/zinc/41/80/90/1062418090.db2.gz LDJOTSVTWKUOLN-ROUUACIJSA-N 0 3 320.521 4.068 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2c(C)nsc2C)c1 ZINC001459813032 1062418388 /nfs/dbraw/zinc/41/83/88/1062418388.db2.gz HUBQLHCRBZFXKL-SGTLLEGYSA-N 0 3 316.470 4.352 20 0 DIADHN Cc1ccc(N(C)[C@@H]2CCCN(Cc3ccccc3F)C2)cc1 ZINC001459814434 1062418822 /nfs/dbraw/zinc/41/88/22/1062418822.db2.gz RZVRSGGMSKHRAY-LJQANCHMSA-N 0 3 312.432 4.235 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2CC2(Br)CC2)c1 ZINC001459814603 1062419911 /nfs/dbraw/zinc/41/99/11/1062419911.db2.gz PHTOLKDAQMIZTQ-SWLSCSKDSA-N 0 3 324.262 4.006 20 0 DIADHN Cc1ccc(C2=NO[C@@H](CNCc3ccccc3C(C)C)C2)cc1 ZINC001474692374 1062421094 /nfs/dbraw/zinc/42/10/94/1062421094.db2.gz DEFWEJPPHZZCTQ-LJQANCHMSA-N 0 3 322.452 4.401 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@H](c2ccccc2C)C2CC2)c1 ZINC001459832126 1062433217 /nfs/dbraw/zinc/43/32/17/1062433217.db2.gz GDQBRNJXLLGOOM-OAQYLSRUSA-N 0 3 322.452 4.291 20 0 DIADHN Fc1ccc([C@H](NCCOCC2CCCC2)C2CC2)c(F)c1 ZINC001459832736 1062433436 /nfs/dbraw/zinc/43/34/36/1062433436.db2.gz DQSBQTMRPDLNEV-GOSISDBHSA-N 0 3 309.400 4.212 20 0 DIADHN FC(F)(F)c1ccc(CNCC[C@@H]2CC[C@@H]3C[C@@H]32)c(Cl)n1 ZINC001474709409 1062436577 /nfs/dbraw/zinc/43/65/77/1062436577.db2.gz HVYNWNNQNPJUST-HOSYDEDBSA-N 0 3 318.770 4.280 20 0 DIADHN CN(C(=O)CN[C@H](CC(C)(C)C)c1ccc(Cl)cc1)C1CC1 ZINC001459842171 1062441556 /nfs/dbraw/zinc/44/15/56/1062441556.db2.gz FLUJYRKPFRQYAP-MRXNPFEDSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H](NCCN1CCc2ccccc21)c1ccc(F)cc1F ZINC001459845090 1062445070 /nfs/dbraw/zinc/44/50/70/1062445070.db2.gz QILAYKPCJTXQGR-GOSISDBHSA-N 0 3 316.395 4.068 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)Nc2ccc(C)c(C)c2)c1 ZINC001459851618 1062449992 /nfs/dbraw/zinc/44/99/92/1062449992.db2.gz RGOQZOLCRNKKTD-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@H]1CC[C@@H](C2CC2)O1 ZINC001474729118 1062450283 /nfs/dbraw/zinc/45/02/83/1062450283.db2.gz ZKURDDXAIVWEGS-DOMZBBRYSA-N 0 3 305.805 4.133 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1cc(C)cc(C)c1)c1ccccc1 ZINC001459849908 1062450456 /nfs/dbraw/zinc/45/04/56/1062450456.db2.gz LVVNHHUQEAWHAC-FQEVSTJZSA-N 0 3 310.441 4.157 20 0 DIADHN Cc1ccc(F)cc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC001459853698 1062453117 /nfs/dbraw/zinc/45/31/17/1062453117.db2.gz VPCQHXPIBQXFRU-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC[C@H](CC(=O)Nc1ccc(CN(C)C)cc1F)C(C)(C)C ZINC001468971072 1062454121 /nfs/dbraw/zinc/45/41/21/1062454121.db2.gz CFPZDKADQYCBSW-CQSZACIVSA-N 0 3 308.441 4.288 20 0 DIADHN CC(C)[C@@H](NCCn1cc(Cl)cn1)c1ccccc1Cl ZINC001459860072 1062455552 /nfs/dbraw/zinc/45/55/52/1062455552.db2.gz KFZJHYNXLALSOO-OAHLLOKOSA-N 0 3 312.244 4.177 20 0 DIADHN CCC[C@@H](NCc1cc(C(=O)OC)cs1)c1ccccc1 ZINC001459864073 1062457544 /nfs/dbraw/zinc/45/75/44/1062457544.db2.gz LNAPLIDXPDWEIL-MRXNPFEDSA-N 0 3 303.427 4.166 20 0 DIADHN CC(C)Oc1cccc(CN(C)CCSc2ccncc2)c1 ZINC001459880022 1062468114 /nfs/dbraw/zinc/46/81/14/1062468114.db2.gz CVWCUCZUIRXION-UHFFFAOYSA-N 0 3 316.470 4.093 20 0 DIADHN FC(F)(F)C1CCC(N2CCOC[C@@H]2C2CCCCC2)CC1 ZINC001255521781 1062472407 /nfs/dbraw/zinc/47/24/07/1062472407.db2.gz IJTIIYZORQXGDF-UYSNPLJNSA-N 0 3 319.411 4.389 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cc(C)ccc2C)c(C)c1 ZINC001459890365 1062474504 /nfs/dbraw/zinc/47/45/04/1062474504.db2.gz SVUIZHIOUAKWGG-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CC1(C)C[C@@H](N2CCc3c(cccc3C(F)(F)F)C2)CCO1 ZINC001255553912 1062481507 /nfs/dbraw/zinc/48/15/07/1062481507.db2.gz QGSDCTOLEHZGBZ-ZDUSSCGKSA-N 0 3 313.363 4.021 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cccc(OC)c2C)o1 ZINC001459899662 1062483822 /nfs/dbraw/zinc/48/38/22/1062483822.db2.gz HOHGLJKSSCQKQQ-KRWDZBQOSA-N 0 3 315.413 4.080 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCOCC(C)C)cc1 ZINC001459908728 1062489896 /nfs/dbraw/zinc/48/98/96/1062489896.db2.gz VMWGNXNZFFNNKJ-HNNXBMFYSA-N 0 3 303.368 4.251 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3cccc(F)n3)C2)cc1 ZINC001459906219 1062490196 /nfs/dbraw/zinc/49/01/96/1062490196.db2.gz YHKDQWAFVOQOMV-QGZVFWFLSA-N 0 3 312.432 4.409 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(-c2nccs2)cc1 ZINC001255636445 1062492741 /nfs/dbraw/zinc/49/27/41/1062492741.db2.gz CQHNEOVZWXSOML-JTQLQIEISA-N 0 3 300.349 4.241 20 0 DIADHN COc1ccccc1C1CCN([C@@H](C)CC(F)(F)F)CC1 ZINC001255656445 1062501723 /nfs/dbraw/zinc/50/17/23/1062501723.db2.gz UORJGXIOVNWOMD-LBPRGKRZSA-N 0 3 301.352 4.216 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC(OCc2ccc(F)cc2)CC1 ZINC001255658756 1062503431 /nfs/dbraw/zinc/50/34/31/1062503431.db2.gz VDMNGIYYKXMRHQ-LBPRGKRZSA-N 0 3 319.342 4.148 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC(Oc2ccc(F)cc2)CC1 ZINC001255657480 1062504137 /nfs/dbraw/zinc/50/41/37/1062504137.db2.gz HXIDBOPQFVLGPJ-LLVKDONJSA-N 0 3 305.315 4.010 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001255662732 1062506380 /nfs/dbraw/zinc/50/63/80/1062506380.db2.gz RQHHMQIMWMFFMP-BLLLJJGKSA-N 0 3 315.354 4.446 20 0 DIADHN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCC=C(C)C ZINC001459934960 1062507176 /nfs/dbraw/zinc/50/71/76/1062507176.db2.gz QOWFFFCWCQAYKR-MRXNPFEDSA-N 0 3 310.482 4.064 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC001255686415 1062514438 /nfs/dbraw/zinc/51/44/38/1062514438.db2.gz JCUJPUHQRSTBLK-BDAKNGLRSA-N 0 3 311.269 4.315 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@@H]1CCCC[C@H]1C ZINC001459948377 1062515120 /nfs/dbraw/zinc/51/51/20/1062515120.db2.gz GNLASBJIMMMKLT-MLGOLLRUSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)c1F ZINC001255686416 1062516042 /nfs/dbraw/zinc/51/60/42/1062516042.db2.gz JCUJPUHQRSTBLK-DTWKUNHWSA-N 0 3 311.269 4.315 20 0 DIADHN O=C(CN[C@H]1CCC[C@@H](C(F)(F)F)C1)c1ccc(Cl)cc1 ZINC001255688830 1062516821 /nfs/dbraw/zinc/51/68/21/1062516821.db2.gz FDUMTENCWADRHK-YPMHNXCESA-N 0 3 319.754 4.233 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255691649 1062520338 /nfs/dbraw/zinc/52/03/38/1062520338.db2.gz ZXXYFJUFJOWZQS-ZIAGYGMSSA-N 0 3 323.362 4.302 20 0 DIADHN COc1cccc(C2CCN(Cc3c(C)nsc3C)CC2)c1 ZINC001459953049 1062522185 /nfs/dbraw/zinc/52/21/85/1062522185.db2.gz OCFGUEGRUALWDE-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN CO[C@H]1CN(Cc2cccc(SC(F)(F)F)c2)CC[C@@H]1C ZINC001459959509 1062525058 /nfs/dbraw/zinc/52/50/58/1062525058.db2.gz DEXBMRVDKBJKLE-FZMZJTMJSA-N 0 3 319.392 4.155 20 0 DIADHN Fc1cccc2c1CCN([C@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255719394 1062536029 /nfs/dbraw/zinc/53/60/29/1062536029.db2.gz DFUHMXCNKGUPMB-OLZOCXBDSA-N 0 3 301.327 4.305 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CCN(C)C(C)(C)C1 ZINC001460022203 1062574684 /nfs/dbraw/zinc/57/46/84/1062574684.db2.gz DQRRQPZIMGBPEW-LLVKDONJSA-N 0 3 301.261 4.080 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1cc(C)cc(C)c1 ZINC001475907385 1062541668 /nfs/dbraw/zinc/54/16/68/1062541668.db2.gz QUMGUNLCAIOTDR-UHFFFAOYSA-N 0 3 317.477 4.033 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](N2CCOC3(CCCCC3)C2)C1 ZINC001255722396 1062543033 /nfs/dbraw/zinc/54/30/33/1062543033.db2.gz ZBMPLNPAAZISQH-KGLIPLIRSA-N 0 3 305.384 4.143 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1ncccc1Br ZINC001459982943 1062545148 /nfs/dbraw/zinc/54/51/48/1062545148.db2.gz KRNGTQUONLDFIF-OCCSQVGLSA-N 0 3 311.267 4.293 20 0 DIADHN CC[C@@H]1CCN(Cc2cc(Br)ccc2OC)[C@H]1C ZINC001459981619 1062545519 /nfs/dbraw/zinc/54/55/19/1062545519.db2.gz ATELBWBERPKLSJ-NWDGAFQWSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@H]1COc2ccc(F)cc21 ZINC001255729202 1062551181 /nfs/dbraw/zinc/55/11/81/1062551181.db2.gz FXWWMLVJYHTSHE-ZBEGNZNMSA-N 0 3 321.392 4.169 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@H]1COc2ccccc21 ZINC001255728295 1062551228 /nfs/dbraw/zinc/55/12/28/1062551228.db2.gz QCNOXZOHLGVRBZ-WBMJQRKESA-N 0 3 303.402 4.030 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2c(F)ccc(C)c2F)C1(CC)CC ZINC001459990432 1062552269 /nfs/dbraw/zinc/55/22/69/1062552269.db2.gz QURHWEOXBYFNCV-HZPDHXFCSA-N 0 3 311.416 4.347 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@H](C)c1ccc(F)cn1 ZINC001255731930 1062553830 /nfs/dbraw/zinc/55/38/30/1062553830.db2.gz MJGAREKTVCNOEN-VXGBXAGGSA-N 0 3 308.397 4.191 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@@H]1COCc2ccccc21 ZINC001255735752 1062557508 /nfs/dbraw/zinc/55/75/08/1062557508.db2.gz MVSYSGKMNJXNIG-SCLBCKFNSA-N 0 3 317.429 4.167 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CCc2cc(O)ccc2C1 ZINC001255743890 1062564242 /nfs/dbraw/zinc/56/42/42/1062564242.db2.gz XIDUIDCRTRZWLH-CYBMUJFWSA-N 0 3 317.429 4.070 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@H]2COCc3ccccc32)cc1 ZINC001255756661 1062578905 /nfs/dbraw/zinc/57/89/05/1062578905.db2.gz RBWJYVRHXFPFHO-KXBFYZLASA-N 0 3 313.466 4.337 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCOCC1CCC1 ZINC001460030062 1062585465 /nfs/dbraw/zinc/58/54/65/1062585465.db2.gz UKLIYJVOZHRHRH-MRXNPFEDSA-N 0 3 315.379 4.395 20 0 DIADHN Cc1cc(NC(=O)[C@@H]2CCCCN2C2CCCC2)ccc1Cl ZINC001469616025 1062588347 /nfs/dbraw/zinc/58/83/47/1062588347.db2.gz XKUPMCZOOJIJJI-KRWDZBQOSA-N 0 3 320.864 4.384 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccc([Si](C)(C)C)cc1 ZINC001460036608 1062589634 /nfs/dbraw/zinc/58/96/34/1062589634.db2.gz FWWLBCOWCDICSR-SWLSCSKDSA-N 0 3 315.455 4.005 20 0 DIADHN CCCCCN1CCN([C@H](C)CSc2ccc(C)cc2)CC1 ZINC001255768951 1062592044 /nfs/dbraw/zinc/59/20/44/1062592044.db2.gz XMVOPXQHYOLTOU-GOSISDBHSA-N 0 3 320.546 4.283 20 0 DIADHN COc1ccc(F)c(CN[C@@H](C)Cc2ccc(C)cc2)c1F ZINC001255778009 1062599954 /nfs/dbraw/zinc/59/99/54/1062599954.db2.gz PNYHTZCKJSVVGX-ZDUSSCGKSA-N 0 3 305.368 4.003 20 0 DIADHN CCCC1CCC(N(C)CC(=O)Nc2ccc(CC)cc2)CC1 ZINC001460056751 1062606195 /nfs/dbraw/zinc/60/61/95/1062606195.db2.gz UGQYCNYMYDIRET-UHFFFAOYSA-N 0 3 316.489 4.478 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@H](c2ccccc2)c2cccnn2)cc1 ZINC001255786845 1062609397 /nfs/dbraw/zinc/60/93/97/1062609397.db2.gz ZVVSCRCWUNTSCG-DYESRHJHSA-N 0 3 317.436 4.095 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2cc(C(F)(F)F)co2)C1(C)C ZINC001460076489 1062617910 /nfs/dbraw/zinc/61/79/10/1062617910.db2.gz NUWLUFALZWFPEW-UONOGXRCSA-N 0 3 319.367 4.372 20 0 DIADHN COc1cccc(OCCN2Cc3ccccc3C3(CCC3)C2)c1 ZINC001460086112 1062624291 /nfs/dbraw/zinc/62/42/91/1062624291.db2.gz SJHOFSBUFPWIFQ-UHFFFAOYSA-N 0 3 323.436 4.012 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001255840656 1062627841 /nfs/dbraw/zinc/62/78/41/1062627841.db2.gz FZVRQTLSQCBRDL-MEDUHNTESA-N 0 3 321.342 4.148 20 0 DIADHN CC(C)[C@H](O)CCN(Cc1ccc(F)cc1Cl)CC1CC1 ZINC001460097094 1062634280 /nfs/dbraw/zinc/63/42/80/1062634280.db2.gz FOUXCAIDVHIKBS-QGZVFWFLSA-N 0 3 313.844 4.098 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NCc1cnc(Cl)cc1Cl ZINC001255860339 1062634533 /nfs/dbraw/zinc/63/45/33/1062634533.db2.gz FQZZLVBDZSSHTO-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NC1(c2ccc(F)cc2F)CC1 ZINC001255863895 1062640918 /nfs/dbraw/zinc/64/09/18/1062640918.db2.gz SENXSNBWGUIJJM-LBPRGKRZSA-N 0 3 305.343 4.314 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2ccc(Cl)cc2Cl)C1(C)C ZINC001460116768 1062645125 /nfs/dbraw/zinc/64/51/25/1062645125.db2.gz BPPZSLOAIHHQHF-DZGCQCFKSA-N 0 3 302.245 4.287 20 0 DIADHN CC[C@H]1CN(CC)CCN1Cc1cc(Cl)cc(Cl)c1F ZINC001460142958 1062660040 /nfs/dbraw/zinc/66/00/40/1062660040.db2.gz JYPOFRCERMNNSS-ZDUSSCGKSA-N 0 3 319.251 4.049 20 0 DIADHN Cc1ccc(C)c(CN(C)[C@@H](CO)c2ccc(Cl)cc2)c1 ZINC001460140402 1062658327 /nfs/dbraw/zinc/65/83/27/1062658327.db2.gz BHPXCMVNQQVDDB-SFHVURJKSA-N 0 3 303.833 4.122 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc3c1OCCO3)C(C)(C)C2 ZINC001460144176 1062659742 /nfs/dbraw/zinc/65/97/42/1062659742.db2.gz IFJSNUBNTIXNCE-UHFFFAOYSA-N 0 3 323.436 4.103 20 0 DIADHN CCCCN(Cc1nnc(C(C)(C)C)[nH]1)[C@H]1CCC[C@H](C)C1 ZINC001460171469 1062677815 /nfs/dbraw/zinc/67/78/15/1062677815.db2.gz BWVAYGIDDJWCJX-GJZGRUSLSA-N 0 3 306.498 4.283 20 0 DIADHN CCc1cccc(CN2CCN(c3cccc(F)c3)C[C@@H]2C)c1 ZINC001460180442 1062682618 /nfs/dbraw/zinc/68/26/18/1062682618.db2.gz TURUXCNALLDQSA-INIZCTEOSA-N 0 3 312.432 4.099 20 0 DIADHN CCc1cccc(CN2CCN(c3cccc(F)c3)C[C@H]2C)c1 ZINC001460180445 1062682961 /nfs/dbraw/zinc/68/29/61/1062682961.db2.gz TURUXCNALLDQSA-MRXNPFEDSA-N 0 3 312.432 4.099 20 0 DIADHN Cc1cncc(CN(C)[C@@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC001460195186 1062694095 /nfs/dbraw/zinc/69/40/95/1062694095.db2.gz IFGZPOKEOSORJJ-RTBURBONSA-N 0 3 310.441 4.068 20 0 DIADHN CN(Cc1ccccc1N(C)C)C[C@H]1CC[C@H](c2ccccc2)O1 ZINC001460203222 1062699272 /nfs/dbraw/zinc/69/92/72/1062699272.db2.gz JRRYQYAVWCCWEB-TZIWHRDSSA-N 0 3 324.468 4.105 20 0 DIADHN CCCCCc1nnc(C2CCN(Cc3ccccc3)CC2)o1 ZINC001256094142 1062701730 /nfs/dbraw/zinc/70/17/30/1062701730.db2.gz ZAXFIGWVVCBLGF-UHFFFAOYSA-N 0 3 313.445 4.182 20 0 DIADHN Cc1cccc2c1[C@H](N[C@H]1CCC[C@H](c3ccccc3)C1)C(=O)N2 ZINC001256106226 1062703256 /nfs/dbraw/zinc/70/32/56/1062703256.db2.gz OSEUIXBFTODOIF-ZWOKBUDYSA-N 0 3 320.436 4.304 20 0 DIADHN Cc1cccc2c1[C@H](N[C@@H]1CCC[C@H](c3ccccc3)C1)C(=O)N2 ZINC001256106219 1062704473 /nfs/dbraw/zinc/70/44/73/1062704473.db2.gz OSEUIXBFTODOIF-QKLQHJQFSA-N 0 3 320.436 4.304 20 0 DIADHN O=C(CN[C@H]1CCC[C@H](c2ccccc2)C1)c1ccccc1F ZINC001256107432 1062706654 /nfs/dbraw/zinc/70/66/54/1062706654.db2.gz RLGORJUARKVBFE-IRXDYDNUSA-N 0 3 311.400 4.324 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@H](C2CCC2)C1 ZINC001460238390 1062716783 /nfs/dbraw/zinc/71/67/83/1062716783.db2.gz DGAJFEWMKNHGCA-HIFRSBDPSA-N 0 3 320.864 4.097 20 0 DIADHN c1coc(CN2CCN([C@@H]3CCC[C@H](c4ccccc4)C3)CC2)c1 ZINC001256117135 1062717692 /nfs/dbraw/zinc/71/76/92/1062717692.db2.gz FYIFNLIONXSXPB-VQTJNVASSA-N 0 3 324.468 4.124 20 0 DIADHN COc1cccc(C[C@@H](C)NCc2c(F)ccc(C)c2F)c1 ZINC001256143704 1062727900 /nfs/dbraw/zinc/72/79/00/1062727900.db2.gz FCZRWBZYONUVLN-CYBMUJFWSA-N 0 3 305.368 4.003 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3c(c2)CCC3)cc1Cl ZINC001460254656 1062733152 /nfs/dbraw/zinc/73/31/52/1062733152.db2.gz DEFWJMRLJOMWKZ-UHFFFAOYSA-N 0 3 315.844 4.469 20 0 DIADHN COc1cccc(C[C@H](C)N2CCc3c(Cl)cccc3C2)c1 ZINC001256167468 1062738344 /nfs/dbraw/zinc/73/83/44/1062738344.db2.gz MEATZPXWYKSCFD-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN COc1ccc(CN2CCC[C@H](CC(F)(F)F)C2)cc1C ZINC001460267069 1062743025 /nfs/dbraw/zinc/74/30/25/1062743025.db2.gz OWMWNFNQFASHLA-CQSZACIVSA-N 0 3 301.352 4.168 20 0 DIADHN COc1cc(C)nc(CN2CC(C)(C)C[C@@H]2c2ccccc2)c1 ZINC001460279286 1062752778 /nfs/dbraw/zinc/75/27/78/1062752778.db2.gz YIBSQTZRXVAXMD-LJQANCHMSA-N 0 3 310.441 4.372 20 0 DIADHN CN(CCC(C)(C)C)CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001460295580 1062764739 /nfs/dbraw/zinc/76/47/39/1062764739.db2.gz AMGIISVOQRFLFO-INIZCTEOSA-N 0 3 324.534 4.320 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@H](Oc4ccccc4)C3)cc2c1 ZINC001460299390 1062767049 /nfs/dbraw/zinc/76/70/49/1062767049.db2.gz FOCWAXGHRUXOHA-FQEVSTJZSA-N 0 3 318.420 4.197 20 0 DIADHN CC(C)N(C[C@@H]1COc2ccccc2O1)[C@H](C)c1ccsc1 ZINC001460307881 1062772295 /nfs/dbraw/zinc/77/22/95/1062772295.db2.gz YMKDMTSAUGTIBY-GDBMZVCRSA-N 0 3 317.454 4.360 20 0 DIADHN CC(C)CC[C@H](C)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001256357368 1062782031 /nfs/dbraw/zinc/78/20/31/1062782031.db2.gz GYMPHBUOUNTXPG-NSHDSACASA-N 0 3 319.342 4.442 20 0 DIADHN CC[C@@H](CN(C)[C@H]1CCCc2c(OC)ccc(Cl)c21)OC ZINC001460342242 1062791669 /nfs/dbraw/zinc/79/16/69/1062791669.db2.gz JCENZTGRZAOESD-WFASDCNBSA-N 0 3 311.853 4.083 20 0 DIADHN C[C@@H]1CN([C@H]2CCCc3ccc(F)cc32)CC2(CCCC2)O1 ZINC001460346328 1062796817 /nfs/dbraw/zinc/79/68/17/1062796817.db2.gz WSPDRZCJRLAKGA-KDOFPFPSSA-N 0 3 303.421 4.237 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001460364497 1062812092 /nfs/dbraw/zinc/81/20/92/1062812092.db2.gz MBEXPOXXBXJUJY-DZGCQCFKSA-N 0 3 316.247 4.373 20 0 DIADHN COC(=O)c1oc([C@H](C)N2CCC3(CCCCC3)CC2)cc1C ZINC001460365076 1062814460 /nfs/dbraw/zinc/81/44/60/1062814460.db2.gz MPQGHAVPNIFTCY-HNNXBMFYSA-N 0 3 319.445 4.482 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001256425037 1062817234 /nfs/dbraw/zinc/81/72/34/1062817234.db2.gz RGEYSOZXZYQGNU-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN CCOC1CCC(N2CCSC[C@@H]2c2ccc(F)cc2)CC1 ZINC001256443720 1062827323 /nfs/dbraw/zinc/82/73/23/1062827323.db2.gz CZQMCBGNWHHTJG-DAWZGUTISA-N 0 3 323.477 4.263 20 0 DIADHN C[C@]1(Cc2ccccc2Cl)CCCN1Cc1ccc(F)cn1 ZINC001460408869 1062839640 /nfs/dbraw/zinc/83/96/40/1062839640.db2.gz YFXHSQXXQLYKFI-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN OC[C@H](NCCCC1CCC1)c1cccc(Cl)c1Cl ZINC001460469688 1062869120 /nfs/dbraw/zinc/86/91/20/1062869120.db2.gz HGIULLDNHXXFOK-AWEZNQCLSA-N 0 3 302.245 4.197 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@@H]1CC1(C)C ZINC001460520743 1062898224 /nfs/dbraw/zinc/89/82/24/1062898224.db2.gz VUFCFDUMCLLYJR-YOEHRIQHSA-N 0 3 310.441 4.145 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC(Cc2nccs2)CC1 ZINC001460576620 1062918490 /nfs/dbraw/zinc/91/84/90/1062918490.db2.gz BMPYXRYYFNVLMF-CYBMUJFWSA-N 0 3 304.434 4.298 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1)NC(=O)[C@@H](C)CCC(C)(C)C ZINC001460616309 1062937878 /nfs/dbraw/zinc/93/78/78/1062937878.db2.gz JNWNJTVPQZAFJU-DLBZAZTESA-N 0 3 318.505 4.086 20 0 DIADHN C[C@H](Cc1ccncc1)NC1c2ccccc2Oc2ccccc21 ZINC001256726802 1062952695 /nfs/dbraw/zinc/95/26/95/1062952695.db2.gz CAYWGUOEOSJGNW-OAHLLOKOSA-N 0 3 316.404 4.498 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC001460653195 1062960353 /nfs/dbraw/zinc/96/03/53/1062960353.db2.gz LVJMWYGUNPCMHU-NEPJUHHUSA-N 0 3 307.846 4.271 20 0 DIADHN C[C@H](Cc1ccncc1)N1CCC[C@H]1c1c(F)cccc1F ZINC001256746737 1062963516 /nfs/dbraw/zinc/96/35/16/1062963516.db2.gz AHWGARMITHAYCB-DYVFJYSZSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001256747645 1062964132 /nfs/dbraw/zinc/96/41/32/1062964132.db2.gz HFVZQLJBQTVXHS-ZDUSSCGKSA-N 0 3 320.358 4.090 20 0 DIADHN CC[C@H](CC(=O)N(CC(C)C)C1CCN(C)CC1)C(C)(C)C ZINC001460663781 1062970304 /nfs/dbraw/zinc/97/03/04/1062970304.db2.gz BUPQTBYDUWVOIM-MRXNPFEDSA-N 0 3 310.526 4.028 20 0 DIADHN C[C@@H](NCc1ccc2cnccc2c1)c1cc2cnccc2o1 ZINC001460678295 1062984512 /nfs/dbraw/zinc/98/45/12/1062984512.db2.gz QBWVAZFODWBCQD-CYBMUJFWSA-N 0 3 303.365 4.227 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@@H]2CCO[C@H](c3ccccc3)C2)no1 ZINC001256807031 1062993466 /nfs/dbraw/zinc/99/34/66/1062993466.db2.gz MXCMSSWXIQJGDS-NEWSRXKRSA-N 0 3 312.413 4.040 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@H]2CCO[C@@H](c3ccccc3)C2)no1 ZINC001256807034 1062996307 /nfs/dbraw/zinc/99/63/07/1062996307.db2.gz MXCMSSWXIQJGDS-YTQUADARSA-N 0 3 312.413 4.040 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC001256822380 1063001400 /nfs/dbraw/zinc/00/14/00/1063001400.db2.gz QVNHOOGEDCXZFX-MJGOQNOKSA-N 0 3 317.379 4.317 20 0 DIADHN COc1ccc(CN2C[C@@H](C)CC2(C)C)c(Br)c1 ZINC001460707988 1063002935 /nfs/dbraw/zinc/00/29/35/1063002935.db2.gz LHHKIIHRGWTLLP-NSHDSACASA-N 0 3 312.251 4.078 20 0 DIADHN Cc1ccc(CN2CCN([C@H](C)c3ccccc3)CC2)cc1C ZINC001460709745 1063003149 /nfs/dbraw/zinc/00/31/49/1063003149.db2.gz XLSMGGLAUHLKHC-LJQANCHMSA-N 0 3 308.469 4.182 20 0 DIADHN CCCC1(CCC)CCCN1Cc1nc([C@H](C)OCC)no1 ZINC001460719378 1063009206 /nfs/dbraw/zinc/00/92/06/1063009206.db2.gz DPYUDZLTTLMKQX-AWEZNQCLSA-N 0 3 309.454 4.102 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC[C@H](CF)C1 ZINC001256845048 1063013576 /nfs/dbraw/zinc/01/35/76/1063013576.db2.gz UDIMFIJTBKZNKN-QWHCGFSZSA-N 0 3 314.242 4.062 20 0 DIADHN COC(=O)c1csc(CN2CC[C@H](C)[C@H]2c2ccccc2)c1 ZINC001460750695 1063042331 /nfs/dbraw/zinc/04/23/31/1063042331.db2.gz ZHQIGWZUKAAPHN-GUYCJALGSA-N 0 3 315.438 4.118 20 0 DIADHN Cc1nc(C2CCN(Cc3cccc(F)c3Cl)CC2)[nH]c1C ZINC001460794021 1063061998 /nfs/dbraw/zinc/06/19/98/1063061998.db2.gz XMGUMUDFVNEVOU-UHFFFAOYSA-N 0 3 321.827 4.199 20 0 DIADHN C[C@@H](NCC1([C@H]2CCCCO2)CCC1)c1c(F)cccc1F ZINC001460810845 1063072908 /nfs/dbraw/zinc/07/29/08/1063072908.db2.gz ZNXIWQJDFXDLSM-CZUORRHYSA-N 0 3 309.400 4.355 20 0 DIADHN C[C@@H]1CC(N(C)Cc2ccc(Cl)cc2Cl)C[C@@H](C)O1 ZINC001257090816 1063108417 /nfs/dbraw/zinc/10/84/17/1063108417.db2.gz XDCGXENFUFKQDK-GHMZBOCLSA-N 0 3 302.245 4.381 20 0 DIADHN C[C@H](NCC1([C@H]2CCCCO2)CCC1)c1c(F)cccc1F ZINC001460810846 1063075096 /nfs/dbraw/zinc/07/50/96/1063075096.db2.gz ZNXIWQJDFXDLSM-XJKSGUPXSA-N 0 3 309.400 4.355 20 0 DIADHN CCCc1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)cc1 ZINC001460839906 1063095739 /nfs/dbraw/zinc/09/57/39/1063095739.db2.gz XPFRSARJHPWCKI-UHFFFAOYSA-N 0 3 323.436 4.189 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCCC[C@@H]2c2ccccc2F)C1 ZINC001257063582 1063096123 /nfs/dbraw/zinc/09/61/23/1063096123.db2.gz QKUHSGFBFKVEHT-IIDMSEBBSA-N 0 3 319.420 4.085 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCCC[C@H]2c2ccccc2F)C1 ZINC001257063583 1063098502 /nfs/dbraw/zinc/09/85/02/1063098502.db2.gz QKUHSGFBFKVEHT-RKVPGOIHSA-N 0 3 319.420 4.085 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N(C)[C@H](C)c2ccccc2Cl)C1 ZINC001257071315 1063099464 /nfs/dbraw/zinc/09/94/64/1063099464.db2.gz QVPJOFSYIQDQMR-MGPQQGTHSA-N 0 3 309.837 4.065 20 0 DIADHN c1cc2c(s1)CN(C[C@@H]1CCC[C@H](c3ccccc3)O1)CC2 ZINC001460891779 1063120093 /nfs/dbraw/zinc/12/00/93/1063120093.db2.gz SLIRTUGEZHQNRV-ZWKOTPCHSA-N 0 3 313.466 4.417 20 0 DIADHN C[C@H]1CSCCCN1Cc1cc(-c2ccc(Cl)cc2)no1 ZINC001460917481 1063134599 /nfs/dbraw/zinc/13/45/99/1063134599.db2.gz SMFDKBJRQZZSKY-LBPRGKRZSA-N 0 3 322.861 4.322 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2cccc(Cl)n2)cc1 ZINC001460933591 1063142670 /nfs/dbraw/zinc/14/26/70/1063142670.db2.gz FEEGCDDBNCEFIR-MRXNPFEDSA-N 0 3 316.832 4.038 20 0 DIADHN Cc1ccc(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)cc1F ZINC001460946245 1063151501 /nfs/dbraw/zinc/15/15/01/1063151501.db2.gz IZFVVEWJANPHEE-VBKZILBWSA-N 0 3 313.416 4.009 20 0 DIADHN FC(F)(F)CCCCN1CCO[C@@H](c2ccccc2)CC1 ZINC001460952144 1063156365 /nfs/dbraw/zinc/15/63/65/1063156365.db2.gz TXMYAIMSQDSKHC-OAHLLOKOSA-N 0 3 301.352 4.183 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)n3nc(CN)cc3C)ccc2c1 ZINC001257268007 1063168869 /nfs/dbraw/zinc/16/88/69/1063168869.db2.gz DCYSNLCGLHJQJD-CQSZACIVSA-N 0 3 323.440 4.006 20 0 DIADHN CCCn1cc(CN[C@@H](c2ccc(OC)cc2)C(C)C)c(C)n1 ZINC001461017765 1063192371 /nfs/dbraw/zinc/19/23/71/1063192371.db2.gz XJEIHUHUDWYAJI-LJQANCHMSA-N 0 3 315.461 4.097 20 0 DIADHN CCC[C@H](C)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001257314989 1063199546 /nfs/dbraw/zinc/19/95/46/1063199546.db2.gz RJDREWYOXHYKPP-KXBFYZLASA-N 0 3 307.441 4.441 20 0 DIADHN Cn1nc2c(c1CN[C@@H](c1ccccc1)C(C)(C)C)CCCC2 ZINC001461034019 1063203323 /nfs/dbraw/zinc/20/33/23/1063203323.db2.gz BCYSLAWXULGAPW-IBGZPJMESA-N 0 3 311.473 4.176 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNC[C@@H]1C[C@H]1C ZINC001461051161 1063216044 /nfs/dbraw/zinc/21/60/44/1063216044.db2.gz GYRDKXAOVMNNAJ-HIFRSBDPSA-N 0 3 317.864 4.197 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1ccsc1C(F)(F)F ZINC001461052259 1063218343 /nfs/dbraw/zinc/21/83/43/1063218343.db2.gz LEGBBIDNXDBJCN-YPMHNXCESA-N 0 3 321.408 4.452 20 0 DIADHN Cc1ccc(CNCc2ccc3ccc(F)c(F)c3n2)c(C)c1 ZINC001461061191 1063224689 /nfs/dbraw/zinc/22/46/89/1063224689.db2.gz CHLKXZCDNZYAET-UHFFFAOYSA-N 0 3 312.363 4.420 20 0 DIADHN Cc1ccccc1OCCNCc1cscc1C(F)(F)F ZINC001461084268 1063242413 /nfs/dbraw/zinc/24/24/13/1063242413.db2.gz BIXJJWFVPOUBFZ-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N[C@H](C)c1cccc(F)c1F ZINC001257382215 1063244438 /nfs/dbraw/zinc/24/44/38/1063244438.db2.gz ZVWUTXIYMCEIAY-CHWSQXEVSA-N 0 3 313.388 4.127 20 0 DIADHN COc1ccc(F)cc1CNCCOc1ccc(C(C)C)cc1 ZINC001461090092 1063247905 /nfs/dbraw/zinc/24/79/05/1063247905.db2.gz VLQYOAFRUUBNOS-UHFFFAOYSA-N 0 3 317.404 4.126 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1ccc(F)cc1Cl ZINC001257389082 1063248359 /nfs/dbraw/zinc/24/83/59/1063248359.db2.gz QMAHLASCYNDPTP-GFCCVEGCSA-N 0 3 315.816 4.081 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N[C@@H](C)c1cc(F)ccc1F ZINC001257388569 1063248928 /nfs/dbraw/zinc/24/89/28/1063248928.db2.gz QSIKHKFKPPYKKJ-OLZOCXBDSA-N 0 3 313.388 4.127 20 0 DIADHN Cc1cc(C)cc(OCCNCc2ccc(F)cc2Cl)c1 ZINC001461098600 1063249654 /nfs/dbraw/zinc/24/96/54/1063249654.db2.gz WQWGAFIJCFWHNH-UHFFFAOYSA-N 0 3 307.796 4.265 20 0 DIADHN Cc1occc1CN[C@H](C)COc1ccc(C(C)(C)C)cc1 ZINC001461096940 1063249783 /nfs/dbraw/zinc/24/97/83/1063249783.db2.gz PZOHUWAWIXWSBT-CQSZACIVSA-N 0 3 301.430 4.443 20 0 DIADHN CCCCC1CCC(NCc2cnn(-c3ccccc3)n2)CC1 ZINC001461098484 1063249901 /nfs/dbraw/zinc/24/99/01/1063249901.db2.gz MKEIPOHLCWIQGC-UHFFFAOYSA-N 0 3 312.461 4.106 20 0 DIADHN C[C@H](COc1ccccc1F)NCc1ccc(F)cc1Cl ZINC001461096149 1063251867 /nfs/dbraw/zinc/25/18/67/1063251867.db2.gz IAGVRHUBQHQLBM-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@H]1c1cccc(F)c1 ZINC001257398929 1063252380 /nfs/dbraw/zinc/25/23/80/1063252380.db2.gz UJWGKJLZOYXEHU-YJBOKZPZSA-N 0 3 321.436 4.475 20 0 DIADHN CCCC1CCC(NCc2cn(-c3ccccc3C)nn2)CC1 ZINC001461100019 1063254170 /nfs/dbraw/zinc/25/41/70/1063254170.db2.gz FDKINMJNLRGUPA-UHFFFAOYSA-N 0 3 312.461 4.024 20 0 DIADHN CCCC[C@H](CC)NCC(=O)c1ccc(Br)cc1 ZINC001257408551 1063258410 /nfs/dbraw/zinc/25/84/10/1063258410.db2.gz BRPYFTYNUQNNAR-AWEZNQCLSA-N 0 3 312.251 4.190 20 0 DIADHN CCOc1cc(F)cc(CNCc2c(C)cc(C)cc2C)c1 ZINC001461113520 1063262599 /nfs/dbraw/zinc/26/25/99/1063262599.db2.gz TYXKGEYXFUDJTA-UHFFFAOYSA-N 0 3 301.405 4.439 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2nc3c(s2)CCC3)c1 ZINC001461117899 1063269101 /nfs/dbraw/zinc/26/91/01/1063269101.db2.gz AOXONKYXJMOXFF-UHFFFAOYSA-N 0 3 300.471 4.008 20 0 DIADHN COc1cc(CNCc2cccc3cccnc32)ccc1Cl ZINC001461121183 1063272501 /nfs/dbraw/zinc/27/25/01/1063272501.db2.gz OHQDCYZWLALYCR-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN Cc1cccc(C2(CNCc3cc4n(n3)CCCC4)CCCC2)c1 ZINC001461129469 1063279223 /nfs/dbraw/zinc/27/92/23/1063279223.db2.gz SZQMEYHUCXFFLR-UHFFFAOYSA-N 0 3 323.484 4.129 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCc1cccc(C)c1 ZINC001461137858 1063285796 /nfs/dbraw/zinc/28/57/96/1063285796.db2.gz YVWVTGNHRONEAY-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN CCn1cnc(Cl)c1CNCC1(c2ccc(Cl)cc2)CC1 ZINC001461139653 1063287349 /nfs/dbraw/zinc/28/73/49/1063287349.db2.gz MQFLADKNUNAPSX-UHFFFAOYSA-N 0 3 324.255 4.031 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)n1nc(-c2ccncc2)cc1CN ZINC001257467795 1063289958 /nfs/dbraw/zinc/28/99/58/1063289958.db2.gz ALHBHYPCASCSFH-LSDHHAIUSA-N 0 3 300.450 4.181 20 0 DIADHN CCC[C@H](C)CC[C@H](C)n1nc(-c2ccncc2)cc1CN ZINC001257467788 1063290111 /nfs/dbraw/zinc/29/01/11/1063290111.db2.gz ALHBHYPCASCSFH-GJZGRUSLSA-N 0 3 300.450 4.181 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1cc(OC2CCC2)ncn1 ZINC001257470116 1063291069 /nfs/dbraw/zinc/29/10/69/1063291069.db2.gz BDIHNMFZCXPAAJ-CABCVRRESA-N 0 3 305.466 4.102 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1ccn(CC(F)(F)F)n1 ZINC001257479150 1063301335 /nfs/dbraw/zinc/30/13/35/1063301335.db2.gz YXWAZHRHFPTNSW-QWHCGFSZSA-N 0 3 305.388 4.140 20 0 DIADHN CCC[C@H](C)CC[C@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC001257486399 1063308075 /nfs/dbraw/zinc/30/80/75/1063308075.db2.gz VOMFMGNXRONXAB-GJZGRUSLSA-N 0 3 301.478 4.317 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC001257486398 1063309693 /nfs/dbraw/zinc/30/96/93/1063309693.db2.gz VOMFMGNXRONXAB-CABCVRRESA-N 0 3 301.478 4.317 20 0 DIADHN CC1(C)CC[C@H](NCc2ccc3c(n2)CCC3)c2ccccc21 ZINC001461176245 1063311242 /nfs/dbraw/zinc/31/12/42/1063311242.db2.gz DFOVIXCIOULCPU-FQEVSTJZSA-N 0 3 306.453 4.473 20 0 DIADHN CC1(C)CC[C@@H](NCc2ccc3c(n2)CCC3)c2ccccc21 ZINC001461176249 1063311369 /nfs/dbraw/zinc/31/13/69/1063311369.db2.gz DFOVIXCIOULCPU-HXUWFJFHSA-N 0 3 306.453 4.473 20 0 DIADHN CCCCC[C@@H](C)NCc1cn(Cc2cccc(F)c2)cn1 ZINC001257507260 1063317930 /nfs/dbraw/zinc/31/79/30/1063317930.db2.gz YFZUQCLGSZUYGX-OAHLLOKOSA-N 0 3 303.425 4.129 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1ccc(F)c(Cl)c1Cl ZINC001461198257 1063325787 /nfs/dbraw/zinc/32/57/87/1063325787.db2.gz UJQGFGOKUIIKJA-LKFCYVNXSA-N 0 3 308.224 4.019 20 0 DIADHN CC(C)(CNCc1c(Cl)n[nH]c1C1CC1)c1cccs1 ZINC001461203927 1063328989 /nfs/dbraw/zinc/32/89/89/1063328989.db2.gz ASSTXWYSTBMOFA-UHFFFAOYSA-N 0 3 309.866 4.069 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](c2ccccc2)C2CCOCC2)c1 ZINC001461208615 1063332462 /nfs/dbraw/zinc/33/24/62/1063332462.db2.gz RJJBXHIZKXTKRO-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN COc1ccccc1C1(NCc2cccc3c2CCC3)CCC1 ZINC001461206660 1063332688 /nfs/dbraw/zinc/33/26/88/1063332688.db2.gz FHIGLLORCUUUAN-UHFFFAOYSA-N 0 3 307.437 4.353 20 0 DIADHN C[C@@H](Cc1ccc(Br)cc1)N1CC[C@@H](C)[C@H](F)C1 ZINC001257559178 1063337343 /nfs/dbraw/zinc/33/73/43/1063337343.db2.gz DSEJXFQCTXGINV-TYNCELHUSA-N 0 3 314.242 4.060 20 0 DIADHN CC[C@@H](CCO)NCc1c(Cl)cccc1Oc1ccccc1 ZINC001461220582 1063342830 /nfs/dbraw/zinc/34/28/30/1063342830.db2.gz WWINNWKIUKSOHY-AWEZNQCLSA-N 0 3 319.832 4.383 20 0 DIADHN Fc1ccc(OC(F)F)c(CN[C@@H]2C[C@@H]2Cc2ccccc2)c1 ZINC001461221654 1063343211 /nfs/dbraw/zinc/34/32/11/1063343211.db2.gz JDKVEZXBSRLLIU-XJKSGUPXSA-N 0 3 321.342 4.148 20 0 DIADHN CCc1ncc(CNCCOc2cccc(C(C)(C)C)c2)s1 ZINC001461220219 1063343958 /nfs/dbraw/zinc/34/39/58/1063343958.db2.gz YTQUHHXVBQDARA-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CCC(CC)SC[C@@H]1CN(Cc2ccccc2)CCCO1 ZINC001472986478 1063343993 /nfs/dbraw/zinc/34/39/93/1063343993.db2.gz GBUXPCPKOVGCME-KRWDZBQOSA-N 0 3 307.503 4.199 20 0 DIADHN CCc1ccccc1CNCc1cn(-c2ccc(C)cc2)nc1C ZINC001461230704 1063352028 /nfs/dbraw/zinc/35/20/28/1063352028.db2.gz JURBNEUOXHBIQS-UHFFFAOYSA-N 0 3 319.452 4.341 20 0 DIADHN CC[C@H](CNCc1cc(F)ccc1F)Oc1ccccc1C ZINC001461257832 1063370026 /nfs/dbraw/zinc/37/00/26/1063370026.db2.gz NSDUIKAGHAUNLT-MRXNPFEDSA-N 0 3 305.368 4.220 20 0 DIADHN CC[C@@H](CNCc1ccsc1Cl)Oc1cccc(F)c1 ZINC001461266564 1063377571 /nfs/dbraw/zinc/37/75/71/1063377571.db2.gz ZCESUPQYKXCQNS-ZDUSSCGKSA-N 0 3 313.825 4.488 20 0 DIADHN CCCCCC(C)(C)CNCc1cn(-c2ccc(F)cc2)nn1 ZINC001461282389 1063389806 /nfs/dbraw/zinc/38/98/06/1063389806.db2.gz GARRBIQHVNLCPG-UHFFFAOYSA-N 0 3 318.440 4.103 20 0 DIADHN Cc1coc(CNc2cc(CN3CCSCC3)ccc2C)c1 ZINC001461299651 1063399200 /nfs/dbraw/zinc/39/92/00/1063399200.db2.gz FOJFSWJMNUDTKH-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1cccc2c1CCOC2 ZINC001473105716 1063405380 /nfs/dbraw/zinc/40/53/80/1063405380.db2.gz QZPAPZHRZWOPNL-GOSISDBHSA-N 0 3 313.466 4.330 20 0 DIADHN CC1(C)C[C@@H](NCc2cnn(CC3CCC3)c2)c2ccccc21 ZINC001461312596 1063412250 /nfs/dbraw/zinc/41/22/50/1063412250.db2.gz HVXQHKUICLCNFH-LJQANCHMSA-N 0 3 309.457 4.195 20 0 DIADHN Oc1ccc(Cl)c(CN2CCC[C@@H]2Cc2ccc(F)cc2)c1 ZINC001473117269 1063419388 /nfs/dbraw/zinc/41/93/88/1063419388.db2.gz SSUHXZVPWDAIEM-MRXNPFEDSA-N 0 3 319.807 4.392 20 0 DIADHN CCN(Cc1nc2ccccc2n1-c1ccc(OC)cc1)C(C)C ZINC001473116226 1063419565 /nfs/dbraw/zinc/41/95/65/1063419565.db2.gz XAKASWRXCZTRRW-UHFFFAOYSA-N 0 3 323.440 4.264 20 0 DIADHN CC(C)c1nc(CNCCCc2cccs2)c2ccccn21 ZINC001461346167 1063431992 /nfs/dbraw/zinc/43/19/92/1063431992.db2.gz HELCAYAXOYPGIH-UHFFFAOYSA-N 0 3 313.470 4.242 20 0 DIADHN COc1ccc(-n2c(CN(C)CC(C)C)nc3ccccc32)cc1 ZINC001473128107 1063434026 /nfs/dbraw/zinc/43/40/26/1063434026.db2.gz XYRCJOKCRMMIQC-UHFFFAOYSA-N 0 3 323.440 4.122 20 0 DIADHN c1ccc2c(c1)Oc1ccccc1C2NC1CCC2(COC2)CC1 ZINC001257900516 1063434780 /nfs/dbraw/zinc/43/47/80/1063434780.db2.gz FREMAYRCSQUNAD-UHFFFAOYSA-N 0 3 321.420 4.431 20 0 DIADHN CC(C)c1cnc(CNCC2(C)Cc3ccccc3C2)s1 ZINC001461350945 1063435557 /nfs/dbraw/zinc/43/55/57/1063435557.db2.gz ZVCKADREESFXCM-UHFFFAOYSA-N 0 3 300.471 4.161 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H](O)c1ccc(Cl)cc1 ZINC001473129579 1063437017 /nfs/dbraw/zinc/43/70/17/1063437017.db2.gz HWLLKAYPTBWYTN-GOSISDBHSA-N 0 3 321.823 4.423 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(OC)c(F)c1)CCC2 ZINC001461359108 1063441698 /nfs/dbraw/zinc/44/16/98/1063441698.db2.gz HVJGXSWJAGYIGV-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1C[C@H]3CCC[C@H]3O1)C2 ZINC001473137400 1063444548 /nfs/dbraw/zinc/44/45/48/1063444548.db2.gz UOAJNQPNLXPESJ-LSTHTHJFSA-N 0 3 313.485 4.300 20 0 DIADHN C[C@@H](CCSc1ccccc1)N1CCOc2ccccc2C1 ZINC001473137022 1063444875 /nfs/dbraw/zinc/44/48/75/1063444875.db2.gz NLYQCLCJKQCGAS-INIZCTEOSA-N 0 3 313.466 4.452 20 0 DIADHN Fc1ccc(C2(N[C@@H]3COc4ccc(Cl)cc4C3)CC2)cc1 ZINC001257953561 1063447536 /nfs/dbraw/zinc/44/75/36/1063447536.db2.gz QXAXGEFVBSKWLB-INIZCTEOSA-N 0 3 317.791 4.062 20 0 DIADHN F[C@@H]1CCC2(C1)CCN([C@H]1COc3ccc(Cl)cc3C1)CC2 ZINC001257967608 1063449125 /nfs/dbraw/zinc/44/91/25/1063449125.db2.gz DBOKGKQKQMAVHL-HZPDHXFCSA-N 0 3 323.839 4.248 20 0 DIADHN Cc1ccc(CN[C@H](Cn2ccnc2)c2ccc(C)cc2C)cc1 ZINC001461368834 1063450055 /nfs/dbraw/zinc/45/00/55/1063450055.db2.gz JCSRIMVFWNPSRI-OAQYLSRUSA-N 0 3 319.452 4.339 20 0 DIADHN Cc1ccc(CN)nc1N(C)C1CCC(c2ccccc2)CC1 ZINC001257987574 1063450279 /nfs/dbraw/zinc/45/02/79/1063450279.db2.gz VWPXZJGOLLKXES-UHFFFAOYSA-N 0 3 309.457 4.011 20 0 DIADHN CC(C)COc1cccc(CN2CCN(C)c3ccccc3C2)c1 ZINC001473144929 1063450476 /nfs/dbraw/zinc/45/04/76/1063450476.db2.gz CJZYFUMYMMWERL-UHFFFAOYSA-N 0 3 324.468 4.173 20 0 DIADHN F[C@H]1CCC2(C1)CCN([C@H]1COc3ccc(Cl)cc3C1)CC2 ZINC001257967609 1063450839 /nfs/dbraw/zinc/45/08/39/1063450839.db2.gz DBOKGKQKQMAVHL-JKSUJKDBSA-N 0 3 323.839 4.248 20 0 DIADHN Cc1ccc([C@H](Cn2ccnc2)NCc2ccsc2)c(C)c1 ZINC001461370196 1063452717 /nfs/dbraw/zinc/45/27/17/1063452717.db2.gz RDCWANFYWZEASV-SFHVURJKSA-N 0 3 311.454 4.093 20 0 DIADHN Fc1cc(F)cc(CN2CCC[C@@H](Cc3ccccc3)C2)c1 ZINC001473150188 1063455404 /nfs/dbraw/zinc/45/54/04/1063455404.db2.gz FEGFZRAKCKMHPF-INIZCTEOSA-N 0 3 301.380 4.420 20 0 DIADHN CC[C@H](NC(=O)CN1[C@H](C)CCC[C@H]1C)c1ccc(Cl)cc1 ZINC001473151734 1063456527 /nfs/dbraw/zinc/45/65/27/1063456527.db2.gz HUWGJQWMUVCOQY-CPUCHLNUSA-N 0 3 322.880 4.170 20 0 DIADHN Cc1nc(CN2CCC[C@@](C)(c3ccccc3)C2)sc1C ZINC001473157336 1063457888 /nfs/dbraw/zinc/45/78/88/1063457888.db2.gz CYNFJCYNEZSDAE-GOSISDBHSA-N 0 3 300.471 4.314 20 0 DIADHN COc1cc2c(cn1)CCN(C1CCC(c3ccccc3)CC1)C2 ZINC001258001078 1063460030 /nfs/dbraw/zinc/46/00/30/1063460030.db2.gz ZVQOAHSBEFVKTB-UHFFFAOYSA-N 0 3 322.452 4.175 20 0 DIADHN Cc1nc(CN2CCC[C@](C)(c3ccccc3)C2)sc1C ZINC001473157337 1063460393 /nfs/dbraw/zinc/46/03/93/1063460393.db2.gz CYNFJCYNEZSDAE-SFHVURJKSA-N 0 3 300.471 4.314 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2C[C@H]3CCC[C@@H]3O2)cc1 ZINC001473159487 1063461251 /nfs/dbraw/zinc/46/12/51/1063461251.db2.gz LHFYHVRZIYPXIV-XWSJACJDSA-N 0 3 317.498 4.043 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2CCC3(CCC3)O2)cc1 ZINC001473159555 1063461821 /nfs/dbraw/zinc/46/18/21/1063461821.db2.gz NCPWMMIWLNEWJK-ROUUACIJSA-N 0 3 317.498 4.187 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2CCCOC(C)(C)C)cc1 ZINC001473159739 1063463037 /nfs/dbraw/zinc/46/30/37/1063463037.db2.gz RVUYCIZUFHHDPA-KRWDZBQOSA-N 0 3 307.503 4.290 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccnn1CC1CCC1 ZINC001461387490 1063467804 /nfs/dbraw/zinc/46/78/04/1063467804.db2.gz MWQZZWIVWDYYBL-YWZLYKJASA-N 0 3 309.457 4.096 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@@H](C(C)(C)C)CC1 ZINC001473166389 1063469819 /nfs/dbraw/zinc/46/98/19/1063469819.db2.gz VCBCFPUHVVFFIM-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccc2)cc1)[C@H](O)C(F)(F)F ZINC001461399436 1063472048 /nfs/dbraw/zinc/47/20/48/1063472048.db2.gz GUOANJVELDBPHE-SJORKVTESA-N 0 3 323.358 4.145 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cccc(C)c1F ZINC001461394897 1063472624 /nfs/dbraw/zinc/47/26/24/1063472624.db2.gz XTYTXOCNXWPZOH-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3C[C@@H](C)O[C@@H](C)C3)cs2)cc1 ZINC001461411093 1063482824 /nfs/dbraw/zinc/48/28/24/1063482824.db2.gz FVIWDKPUQYPJPA-MIFYACCESA-N 0 3 316.470 4.164 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nccn1Cc1ccccc1 ZINC001473178427 1063484188 /nfs/dbraw/zinc/48/41/88/1063484188.db2.gz DTFKZHFJCSIQAS-MJGOQNOKSA-N 0 3 311.473 4.332 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1ccnn1C1CCC1 ZINC001461415685 1063485313 /nfs/dbraw/zinc/48/53/13/1063485313.db2.gz COYGPMBKEWUDON-MGPUTAFESA-N 0 3 309.457 4.411 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nccn1Cc1ccccc1 ZINC001473178425 1063486321 /nfs/dbraw/zinc/48/63/21/1063486321.db2.gz DTFKZHFJCSIQAS-HKUYNNGSSA-N 0 3 311.473 4.332 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@@H]2CCC3(CCC3)O2)s1 ZINC001473180699 1063488214 /nfs/dbraw/zinc/48/82/14/1063488214.db2.gz QDBZHZPYPJIKOL-KGLIPLIRSA-N 0 3 308.491 4.108 20 0 DIADHN CC[C@H](O)[C@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC001473182040 1063488400 /nfs/dbraw/zinc/48/84/00/1063488400.db2.gz STCQSVKILJYBHQ-CABCVRRESA-N 0 3 307.821 4.212 20 0 DIADHN CC[C@@H](O)[C@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC001473182042 1063490072 /nfs/dbraw/zinc/49/00/72/1063490072.db2.gz STCQSVKILJYBHQ-HUUCEWRRSA-N 0 3 307.821 4.212 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(C(C)C)ccc2OC)C1(C)C ZINC001473182213 1063491092 /nfs/dbraw/zinc/49/10/92/1063491092.db2.gz XVPKEXHGJWHPIQ-RTBURBONSA-N 0 3 319.489 4.454 20 0 DIADHN c1ccc(C[C@H]2CCN(Cc3nsc4ccccc34)C2)cc1 ZINC001473185146 1063492805 /nfs/dbraw/zinc/49/28/05/1063492805.db2.gz NZSGVXMYDFTYAD-MRXNPFEDSA-N 0 3 308.450 4.361 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CCC[C@](C)(CC)C1 ZINC001473186818 1063493447 /nfs/dbraw/zinc/49/34/47/1063493447.db2.gz MZNRGYKDCFECQI-HKUYNNGSSA-N 0 3 302.462 4.224 20 0 DIADHN CCOCc1noc2c1CN([C@H](C)C[C@@H](C)CC(C)C)CC2 ZINC001258070628 1063496026 /nfs/dbraw/zinc/49/60/26/1063496026.db2.gz QMLGIZUDKQFMHE-LSDHHAIUSA-N 0 3 308.466 4.030 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)n1nc(-c2ccncc2)cc1CN ZINC001258069119 1063496826 /nfs/dbraw/zinc/49/68/26/1063496826.db2.gz XDPLDYBNBSZDJH-GJZGRUSLSA-N 0 3 300.450 4.037 20 0 DIADHN C[C@H]1CN(Cc2oc3ccccc3c2Br)C[C@H]1C ZINC001473187159 1063497926 /nfs/dbraw/zinc/49/79/26/1063497926.db2.gz XARGAFPZWJGEBM-PHIMTYICSA-N 0 3 308.219 4.283 20 0 DIADHN Fc1cccc(/C=C\CN[C@@H]2CCOc3ccc(F)cc32)c1 ZINC001461435056 1063500420 /nfs/dbraw/zinc/50/04/20/1063500420.db2.gz VPFYEZJTWUUHII-POKLJVKSSA-N 0 3 301.336 4.091 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H](NCc2cn(C3CCC3)nn2)CC1 ZINC001461441178 1063507514 /nfs/dbraw/zinc/50/75/14/1063507514.db2.gz HPAYFGAVNGTYQA-GJZGRUSLSA-N 0 3 304.482 4.088 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H](NCc2cn(C3CCC3)nn2)CC1 ZINC001461441184 1063507682 /nfs/dbraw/zinc/50/76/82/1063507682.db2.gz HPAYFGAVNGTYQA-HUUCEWRRSA-N 0 3 304.482 4.088 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1cn(Cc2ccc(F)cc2)cn1 ZINC001258088902 1063513367 /nfs/dbraw/zinc/51/33/67/1063513367.db2.gz XCQIIBKVZORLDU-MRXNPFEDSA-N 0 3 315.436 4.295 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1cn(Cc2ccc(F)cc2)cn1 ZINC001258088900 1063514608 /nfs/dbraw/zinc/51/46/08/1063514608.db2.gz XCQIIBKVZORLDU-INIZCTEOSA-N 0 3 315.436 4.295 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(F)c(Cl)c1Cl ZINC001461458005 1063516903 /nfs/dbraw/zinc/51/69/03/1063516903.db2.gz KBJCJZHPABAAQL-RYUDHWBXSA-N 0 3 306.208 4.180 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(-c3c(F)cccc3F)o2)O1 ZINC001461458073 1063517484 /nfs/dbraw/zinc/51/74/84/1063517484.db2.gz XVDLTGPMSYXMKP-CYBMUJFWSA-N 0 3 321.367 4.272 20 0 DIADHN CCc1ccccc1CN[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001461461624 1063522572 /nfs/dbraw/zinc/52/25/72/1063522572.db2.gz LSAGQXCGEAWRHG-IBGZPJMESA-N 0 3 316.876 4.295 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CNCc2cccc(Cl)c2Cl)c1 ZINC001461465964 1063524681 /nfs/dbraw/zinc/52/46/81/1063524681.db2.gz PUHWBLDCCYJSHA-INIZCTEOSA-N 0 3 324.251 4.433 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3C[C@H](C)C[C@H](C)C3)co2)c1 ZINC001258161987 1063537390 /nfs/dbraw/zinc/53/73/90/1063537390.db2.gz VTBYCYGMRMEIOC-FOLVSLTJSA-N 0 3 314.429 4.265 20 0 DIADHN C[C@H]1C[C@H](NCc2coc(-c3ccc(F)cc3)n2)C[C@@H](C)C1 ZINC001258160595 1063537852 /nfs/dbraw/zinc/53/78/52/1063537852.db2.gz MUCACMNPYBLPCX-VIKVFOODSA-N 0 3 302.393 4.395 20 0 DIADHN C[C@H]1CC(N2CCc3nn(-c4ccccc4)cc3C2)C[C@H](C)C1 ZINC001258169217 1063540642 /nfs/dbraw/zinc/54/06/42/1063540642.db2.gz WGSXYOGBOLYYSI-HZPDHXFCSA-N 0 3 309.457 4.055 20 0 DIADHN CCC[C@@]1(NCc2ccc(-c3ccc(F)cc3C)o2)CCOC1 ZINC001461499992 1063540739 /nfs/dbraw/zinc/54/07/39/1063540739.db2.gz UASRWMVRQDTYAI-LJQANCHMSA-N 0 3 317.404 4.443 20 0 DIADHN C[C@@H](Cc1ccco1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001258178747 1063545965 /nfs/dbraw/zinc/54/59/65/1063545965.db2.gz LFSDDHXPWYOARE-YOEHRIQHSA-N 0 3 312.438 4.241 20 0 DIADHN Fc1ccc(OCCNCc2sccc2Cl)cc1Cl ZINC001461513242 1063548462 /nfs/dbraw/zinc/54/84/62/1063548462.db2.gz KNDHHSIHJXMPNK-UHFFFAOYSA-N 0 3 320.216 4.363 20 0 DIADHN CSCC[C@H](C)N1CCn2cccc2[C@@H]1c1ccccc1 ZINC001258213428 1063561925 /nfs/dbraw/zinc/56/19/25/1063561925.db2.gz PGPJDSQZDVWQMO-YJBOKZPZSA-N 0 3 300.471 4.035 20 0 DIADHN CSCC[C@@H](C)N1CCn2cccc2[C@H]1c1ccccc1 ZINC001258213425 1063563539 /nfs/dbraw/zinc/56/35/39/1063563539.db2.gz PGPJDSQZDVWQMO-CRAIPNDOSA-N 0 3 300.471 4.035 20 0 DIADHN CC(C)[C@@H](CC1CCCCC1)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001461531993 1063563638 /nfs/dbraw/zinc/56/36/38/1063563638.db2.gz WVMLPBHHBPPFBB-ZWKOTPCHSA-N 0 3 322.537 4.218 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cccc(Br)c1F ZINC001258223055 1063566936 /nfs/dbraw/zinc/56/69/36/1063566936.db2.gz VELNWYXFHDTZDE-JTQLQIEISA-N 0 3 320.271 4.162 20 0 DIADHN Cc1cnc(CNC2(Cc3ccc(Cl)cc3)CCC2)s1 ZINC001461536866 1063567456 /nfs/dbraw/zinc/56/74/56/1063567456.db2.gz CYXQVSPZQXOELK-UHFFFAOYSA-N 0 3 306.862 4.360 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(F)cc(F)cc32)c(C)c1 ZINC001461542966 1063570542 /nfs/dbraw/zinc/57/05/42/1063570542.db2.gz WQBQQMARYBHXBZ-LJQANCHMSA-N 0 3 317.379 4.449 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCCC(C)(C)C3)co2)cc1 ZINC001258279537 1063583409 /nfs/dbraw/zinc/58/34/09/1063583409.db2.gz JCQPMYUYSSEBPN-OAHLLOKOSA-N 0 3 314.429 4.409 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCCC(C)(C)C3)co2)c1 ZINC001258281423 1063584315 /nfs/dbraw/zinc/58/43/15/1063584315.db2.gz HSQONPYZBJJSSF-OAHLLOKOSA-N 0 3 314.429 4.409 20 0 DIADHN CC1(C)CCC[C@@H](N2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001258289756 1063588944 /nfs/dbraw/zinc/58/89/44/1063588944.db2.gz PPQOSKJYAQHGEW-GOSISDBHSA-N 0 3 309.457 4.199 20 0 DIADHN Clc1cccc([C@H]2CC[C@H](NCc3nccn3C3CC3)C2)c1 ZINC001461585004 1063595183 /nfs/dbraw/zinc/59/51/83/1063595183.db2.gz LVCCEZMLXKXTKD-HOCLYGCPSA-N 0 3 315.848 4.297 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)n1ccnc1[C@H](C)N ZINC001258299046 1063595601 /nfs/dbraw/zinc/59/56/01/1063595601.db2.gz XIBIHELTVKMPBD-OLZOCXBDSA-N 0 3 307.463 4.159 20 0 DIADHN C[C@@H](N)c1ccn([C@H](C)CC(C)(C)SCc2ccco2)n1 ZINC001258304021 1063601236 /nfs/dbraw/zinc/60/12/36/1063601236.db2.gz YOLATXJVPWVOEO-CHWSQXEVSA-N 0 3 307.463 4.159 20 0 DIADHN Cc1cccc(C2(NCc3ccc(N(C)C(C)C)nc3)CCC2)c1 ZINC001461595063 1063602431 /nfs/dbraw/zinc/60/24/31/1063602431.db2.gz NENSMMJCSCTCMB-UHFFFAOYSA-N 0 3 323.484 4.404 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@@H]1CCC[C@@H](F)C1 ZINC001461603757 1063605733 /nfs/dbraw/zinc/60/57/33/1063605733.db2.gz XUKASLWUGDDHSR-NXEZZACHSA-N 0 3 307.743 4.312 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCC(=O)C(C)(C)C1 ZINC001258313997 1063605995 /nfs/dbraw/zinc/60/59/95/1063605995.db2.gz ODWOSEYTJQPHGY-AWEZNQCLSA-N 0 3 323.502 4.371 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCC(=O)C(C)(C)C1 ZINC001258313998 1063606281 /nfs/dbraw/zinc/60/62/81/1063606281.db2.gz ODWOSEYTJQPHGY-CQSZACIVSA-N 0 3 323.502 4.371 20 0 DIADHN CO[C@@H](C)CNCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001461614973 1063612700 /nfs/dbraw/zinc/61/27/00/1063612700.db2.gz ASCUFJFAMJLCNQ-JTQLQIEISA-N 0 3 314.212 4.378 20 0 DIADHN Cc1ccoc1CN[C@@]1(Cc2ccc(Cl)cc2)CCCOC1 ZINC001461617260 1063615647 /nfs/dbraw/zinc/61/56/47/1063615647.db2.gz BVOJTHZBUROSOA-GOSISDBHSA-N 0 3 319.832 4.123 20 0 DIADHN CC[C@@H](C)CCNCc1ncc(Br)cc1Cl ZINC001461620375 1063616444 /nfs/dbraw/zinc/61/64/44/1063616444.db2.gz BZGBQTPPZIGIMH-SECBINFHSA-N 0 3 305.647 4.023 20 0 DIADHN CO[C@H](C)CNCc1cc(F)ccc1Oc1ccc(Cl)cc1 ZINC001461620235 1063616636 /nfs/dbraw/zinc/61/66/36/1063616636.db2.gz JVKBEZWMDFGISP-GFCCVEGCSA-N 0 3 323.795 4.396 20 0 DIADHN CSC(C)(C)C[C@H](C)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001258333954 1063617220 /nfs/dbraw/zinc/61/72/20/1063617220.db2.gz QLCHJFWWBQHZCD-GXFFZTMASA-N 0 3 315.429 4.298 20 0 DIADHN CCC[C@H](C)[C@@H](CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC001461622682 1063618778 /nfs/dbraw/zinc/61/87/78/1063618778.db2.gz ZOQTWYSALZSLIY-WMLDXEAASA-N 0 3 318.486 4.005 20 0 DIADHN Cn1cc(-c2ccc(CNCc3ccc4occc4c3)cc2)cn1 ZINC001461621860 1063619568 /nfs/dbraw/zinc/61/95/68/1063619568.db2.gz MISURPGRHKNFCS-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN CSC(C)(C)C[C@@H](C)N1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC001258349802 1063621517 /nfs/dbraw/zinc/62/15/17/1063621517.db2.gz PKDQKKNFMVMSSJ-HLLBOEOZSA-N 0 3 307.503 4.369 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](CO)CCC(C)C)o1 ZINC001461626488 1063622818 /nfs/dbraw/zinc/62/28/18/1063622818.db2.gz JEMCZCWKJCDPIO-INIZCTEOSA-N 0 3 319.420 4.281 20 0 DIADHN COC(=O)CC[C@H](C)NC(C)(C)c1ccc(Cl)cc1Cl ZINC001258353831 1063623557 /nfs/dbraw/zinc/62/35/57/1063623557.db2.gz RQLANEASEQEQRF-JTQLQIEISA-N 0 3 318.244 4.160 20 0 DIADHN Cc1ccc(Br)cc1CNCc1ccc(F)cc1C ZINC001461645664 1063632052 /nfs/dbraw/zinc/63/20/52/1063632052.db2.gz RKDIVADCPZGFBC-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNC1CCC(C2CCC2)CC1 ZINC001461648488 1063634882 /nfs/dbraw/zinc/63/48/82/1063634882.db2.gz LXRFXUYZXQUPQA-UHFFFAOYSA-N 0 3 316.276 4.175 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)N(CCN(C)C)C2CCCC2)cc1 ZINC001515018135 1063638888 /nfs/dbraw/zinc/63/88/88/1063638888.db2.gz VHNXUDCHFAGVKK-MRXNPFEDSA-N 0 3 316.489 4.147 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)N(CCN(C)C)C2CCCC2)cc1 ZINC001515018133 1063639116 /nfs/dbraw/zinc/63/91/16/1063639116.db2.gz VHNXUDCHFAGVKK-INIZCTEOSA-N 0 3 316.489 4.147 20 0 DIADHN CN(C)CCN(C(=O)CCCC1CCCCC1)C1CCCC1 ZINC001515018148 1063640557 /nfs/dbraw/zinc/64/05/57/1063640557.db2.gz VKUYFOLCTGSDSX-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN COc1ccc(CC[C@@H](C)N2CCC[C@@H]2c2nccs2)cc1 ZINC001258405230 1063640633 /nfs/dbraw/zinc/64/06/33/1063640633.db2.gz BHXAXGCPBZBSTO-RHSMWYFYSA-N 0 3 316.470 4.310 20 0 DIADHN COc1ccc(CC[C@H](C)N2Cc3ccc(OC)cc3C2)cc1 ZINC001258407590 1063643181 /nfs/dbraw/zinc/64/31/81/1063643181.db2.gz FZSUUWJAHNSKLO-HNNXBMFYSA-N 0 3 311.425 4.041 20 0 DIADHN Cc1ccccc1-c1ccccc1CNCc1ccc[nH]c1=O ZINC001461677732 1063653362 /nfs/dbraw/zinc/65/33/62/1063653362.db2.gz VLMBSWBZOJSYTO-UHFFFAOYSA-N 0 3 304.393 4.052 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N(CCN(C)C)Cc1cccs1 ZINC001515160834 1063653698 /nfs/dbraw/zinc/65/36/98/1063653698.db2.gz TXSWQBIRDHSBKD-INIZCTEOSA-N 0 3 324.534 4.101 20 0 DIADHN Cn1c2ccc(C(F)(F)F)cc2nc1CN[C@]12C[C@H]1CCCC2 ZINC001461682861 1063657012 /nfs/dbraw/zinc/65/70/12/1063657012.db2.gz IPLDLLLMLNKQDT-MLGOLLRUSA-N 0 3 323.362 4.014 20 0 DIADHN CCCCC[C@H](CC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258442973 1063657274 /nfs/dbraw/zinc/65/72/74/1063657274.db2.gz ILEIQFSXSAPYEX-NSHDSACASA-N 0 3 303.372 4.079 20 0 DIADHN C[C@H](CC1CC1)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001258455672 1063663675 /nfs/dbraw/zinc/66/36/75/1063663675.db2.gz CFVLSECTLBXTNZ-QRWLVFNGSA-N 0 3 319.452 4.441 20 0 DIADHN CCOC(=O)CC[C@@H](C)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC001258473426 1063669028 /nfs/dbraw/zinc/66/90/28/1063669028.db2.gz UCTSVZUKOBJKQE-MNOVXSKESA-N 0 3 318.244 4.376 20 0 DIADHN C[C@@H](NCc1cccc2c1CCC2)c1ccc(C(F)(F)F)nc1 ZINC001461727730 1063681663 /nfs/dbraw/zinc/68/16/63/1063681663.db2.gz FFJJRDYGKPRMPA-GFCCVEGCSA-N 0 3 320.358 4.440 20 0 DIADHN CCCC1CCC(N2CCC(C(=O)C(F)(F)F)CC2)CC1 ZINC001258533034 1063687949 /nfs/dbraw/zinc/68/79/49/1063687949.db2.gz QLQIXRXVUGMNLU-UHFFFAOYSA-N 0 3 305.384 4.189 20 0 DIADHN CC1(C)CC[C@@H](NCc2ncc(Cl)cc2Br)C1 ZINC001258596276 1063700726 /nfs/dbraw/zinc/70/07/26/1063700726.db2.gz VZYHTJKPXNWJOC-SNVBAGLBSA-N 0 3 317.658 4.166 20 0 DIADHN Brc1cc(CNCc2cc[nH]c2)cc2ccccc12 ZINC001461754507 1063697774 /nfs/dbraw/zinc/69/77/74/1063697774.db2.gz PMPHJCHKVIVDSZ-UHFFFAOYSA-N 0 3 315.214 4.220 20 0 DIADHN C[C@@H](CCc1ccccc1)CNCc1c(F)cc(F)cc1F ZINC001461757086 1063699490 /nfs/dbraw/zinc/69/94/90/1063699490.db2.gz TZPMVJYOWDSIIZ-ZDUSSCGKSA-N 0 3 307.359 4.462 20 0 DIADHN Clc1cccc(CNC[C@H]2CC3(CCC3)CO2)c1Cl ZINC001461760310 1063701798 /nfs/dbraw/zinc/70/17/98/1063701798.db2.gz MNFFHEGYQWRQNR-GFCCVEGCSA-N 0 3 300.229 4.042 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@@H]1C[C@@H]2CCC[C@H]12 ZINC001461814721 1063733476 /nfs/dbraw/zinc/73/34/76/1063733476.db2.gz ZQROKUBPDOYQLA-MWODSPESSA-N 0 3 316.189 4.006 20 0 DIADHN Brc1cnc(CNC[C@H]2CCC=CCCC2)s1 ZINC001461815587 1063733499 /nfs/dbraw/zinc/73/34/99/1063733499.db2.gz WHETXHAGYVOPDC-NSHDSACASA-N 0 3 315.280 4.132 20 0 DIADHN CCC1(O)CCN([C@H](C)Cc2ccc(Cl)cc2Cl)CC1 ZINC001258683939 1063748664 /nfs/dbraw/zinc/74/86/64/1063748664.db2.gz KBYMKKNAAOXBEI-GFCCVEGCSA-N 0 3 316.272 4.161 20 0 DIADHN C[C@@H](Cc1cccnc1)NCc1cc(F)c(Cl)cc1Cl ZINC001258609935 1063710963 /nfs/dbraw/zinc/71/09/63/1063710963.db2.gz YVRRDHRBLADQOX-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1cccc(NCCNCc2ccc(Cl)c(C)c2Cl)n1 ZINC001461776192 1063710956 /nfs/dbraw/zinc/71/09/56/1063710956.db2.gz ILBQAHXSRBVGGR-UHFFFAOYSA-N 0 3 324.255 4.207 20 0 DIADHN Nc1c(F)cccc1CN[C@H]1CCc2cccc3cccc1c32 ZINC001461776831 1063711015 /nfs/dbraw/zinc/71/10/15/1063711015.db2.gz HXNXVEVNVKPYQX-SFHVURJKSA-N 0 3 306.384 4.338 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cnn(CC3CCC3)c2)c(C)c1 ZINC001461784519 1063714086 /nfs/dbraw/zinc/71/40/86/1063714086.db2.gz ZWDSJSPEXUQZTE-VMPITWQZSA-N 0 3 323.484 4.411 20 0 DIADHN C[C@H](Cc1cccnc1)N(C)Cc1cccc(Cl)c1Cl ZINC001258628867 1063715723 /nfs/dbraw/zinc/71/57/23/1063715723.db2.gz UTRPSGNWMLYFOV-GFCCVEGCSA-N 0 3 309.240 4.451 20 0 DIADHN C[C@@H](Cc1cccnc1)N(C)Cc1cccc(Cl)c1Cl ZINC001258628868 1063717019 /nfs/dbraw/zinc/71/70/19/1063717019.db2.gz UTRPSGNWMLYFOV-LBPRGKRZSA-N 0 3 309.240 4.451 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001258633440 1063718206 /nfs/dbraw/zinc/71/82/06/1063718206.db2.gz UJFMTTHMIZVXSJ-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC001258633983 1063719006 /nfs/dbraw/zinc/71/90/06/1063719006.db2.gz NBIUGRUQZHDPRS-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@]1(CNCc2ccc(-c3c(F)cccc3F)o2)CCCCO1 ZINC001461799050 1063724177 /nfs/dbraw/zinc/72/41/77/1063724177.db2.gz UCAFRLZIVRNFPK-SFHVURJKSA-N 0 3 321.367 4.274 20 0 DIADHN Cc1c[nH]c(=O)c(CNCc2ccc(-c3ccccc3)s2)c1 ZINC001461807252 1063728249 /nfs/dbraw/zinc/72/82/49/1063728249.db2.gz OCYQFLUOSLOPEM-UHFFFAOYSA-N 0 3 310.422 4.114 20 0 DIADHN COc1cccc2c(CN[C@@H](C)c3ncccc3C)cccc12 ZINC001461813381 1063733406 /nfs/dbraw/zinc/73/34/06/1063733406.db2.gz BSJDTLDZYJARMW-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@@H]2C[C@@H]2Cc2ccccc2)c1 ZINC001461819213 1063735204 /nfs/dbraw/zinc/73/52/04/1063735204.db2.gz HNAVYMNJOSQHIA-HOTGVXAUSA-N 0 3 301.817 4.014 20 0 DIADHN CCCCC[C@@H](CCC)n1nc(CN)c2cc(OC)ccc21 ZINC001258667318 1063735854 /nfs/dbraw/zinc/73/58/54/1063735854.db2.gz MSDAORGLNVULKN-CQSZACIVSA-N 0 3 303.450 4.425 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@@H]1C[C@H]1Cc1ccccc1 ZINC001461822507 1063736826 /nfs/dbraw/zinc/73/68/26/1063736826.db2.gz UATOXFFAZXDSRR-KGLIPLIRSA-N 0 3 321.251 4.357 20 0 DIADHN Cc1scc(CN[C@@H](C)c2cc(F)ccc2N(C)C)c1C ZINC001461832577 1063740537 /nfs/dbraw/zinc/74/05/37/1063740537.db2.gz AUQMACDZMUUTHG-LBPRGKRZSA-N 0 3 306.450 4.421 20 0 DIADHN CCOC(OCC)[C@@H](C)NC/C(C)=C\c1ccc(Cl)cc1 ZINC001461838194 1063742656 /nfs/dbraw/zinc/74/26/56/1063742656.db2.gz NBYAQEUKVAUWHZ-YBEMTRGBSA-N 0 3 311.853 4.121 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@@]3(CCOC3)Oc3ccccc32)cc1C ZINC001461834527 1063743911 /nfs/dbraw/zinc/74/39/11/1063743911.db2.gz FDUXAXZRCWKRQX-TZIWHRDSSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1c(Cl)ccc(CN(CCO)C2CCCCC2)c1Cl ZINC001461841211 1063744952 /nfs/dbraw/zinc/74/49/52/1063744952.db2.gz AEQHHPXHWZXKDH-UHFFFAOYSA-N 0 3 316.272 4.429 20 0 DIADHN CCCN(Cc1sc(C)cc1Br)CC1CC1 ZINC001461842853 1063745650 /nfs/dbraw/zinc/74/56/50/1063745650.db2.gz FWAWBMXYVVQPOJ-UHFFFAOYSA-N 0 3 302.281 4.441 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)c(F)c1 ZINC001461842194 1063746322 /nfs/dbraw/zinc/74/63/22/1063746322.db2.gz FJNIIAHPAPIDNK-BEFAXECRSA-N 0 3 313.416 4.460 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CCCO[C@H](C)C1 ZINC001258678986 1063746408 /nfs/dbraw/zinc/74/64/08/1063746408.db2.gz XPBVPISPKWZVLX-NWDGAFQWSA-N 0 3 302.245 4.035 20 0 DIADHN CCO[C@@H]1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258683903 1063748335 /nfs/dbraw/zinc/74/83/35/1063748335.db2.gz JBUJXXXAGNHESW-BXUZGUMPSA-N 0 3 302.245 4.035 20 0 DIADHN COc1cc(C[NH2+][C@@H]2C[C@@H](C)CC(C)(C)C2)cc(Cl)c1[O-] ZINC001258690105 1063753220 /nfs/dbraw/zinc/75/32/20/1063753220.db2.gz OCGRJCIFRHHQEA-DGCLKSJQSA-N 0 3 311.853 4.359 20 0 DIADHN CS[C@H]1CCCCN(Cc2cc3c(ccnc3Cl)o2)C1 ZINC001461860972 1063754003 /nfs/dbraw/zinc/75/40/03/1063754003.db2.gz HLWVDQJPECDDIY-LBPRGKRZSA-N 0 3 310.850 4.199 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N[C@H]1C(=O)Nc2cccc(C)c21 ZINC001258701334 1063760744 /nfs/dbraw/zinc/76/07/44/1063760744.db2.gz GZWBYKCRESODRZ-FXAWDEMLSA-N 0 3 322.452 4.379 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N1CCCc2ccc(CN)nc21 ZINC001258705348 1063763507 /nfs/dbraw/zinc/76/35/07/1063763507.db2.gz GSBYAEHQUURWLW-HXUWFJFHSA-N 0 3 323.484 4.094 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cccn2C2CC2)C1(C)C ZINC001461878959 1063769855 /nfs/dbraw/zinc/76/98/55/1063769855.db2.gz BLIWQMXZKVXWEN-ZWKOTPCHSA-N 0 3 304.478 4.239 20 0 DIADHN CCCC[C@H](Cc1ccccc1)N1CCO[C@H](c2ccncc2)C1 ZINC001258715246 1063773130 /nfs/dbraw/zinc/77/31/30/1063773130.db2.gz YTQOZAMJDNEBPI-RTWAWAEBSA-N 0 3 324.468 4.256 20 0 DIADHN C[C@@H](N(C)Cc1cccc(Br)c1F)C1(C)CC1 ZINC001461883117 1063773368 /nfs/dbraw/zinc/77/33/68/1063773368.db2.gz SKXFFRLSVBTJFH-SNVBAGLBSA-N 0 3 300.215 4.209 20 0 DIADHN Cc1cccc(CN2CCC(N(C)c3ccccc3)CC2)c1F ZINC001461884090 1063773563 /nfs/dbraw/zinc/77/35/63/1063773563.db2.gz VXVZXFMXVQRCAM-UHFFFAOYSA-N 0 3 312.432 4.235 20 0 DIADHN COc1ccc(CN2CCC[C@H](Cc3ccccc3)C2)c(F)c1 ZINC001461896315 1063782754 /nfs/dbraw/zinc/78/27/54/1063782754.db2.gz MDQWBKTZUCVOME-QGZVFWFLSA-N 0 3 313.416 4.289 20 0 DIADHN COc1ccc(CN2CCC[C@@H](Cc3ccccc3)C2)c(F)c1 ZINC001461896306 1063784384 /nfs/dbraw/zinc/78/43/84/1063784384.db2.gz MDQWBKTZUCVOME-KRWDZBQOSA-N 0 3 313.416 4.289 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)Cc1cccc(Cl)c1 ZINC001258737598 1063787411 /nfs/dbraw/zinc/78/74/11/1063787411.db2.gz HEZRWGCUWDXYKY-GFCCVEGCSA-N 0 3 307.796 4.209 20 0 DIADHN C[C@@H](c1ccccc1)N(CCO)Cc1ccc(C(F)F)c(F)c1 ZINC001461903661 1063789113 /nfs/dbraw/zinc/78/91/13/1063789113.db2.gz PABSFUTUKOZFBV-ZDUSSCGKSA-N 0 3 323.358 4.319 20 0 DIADHN CCCC1CCN(Cc2ccc(OC(F)F)c(F)c2)CC1 ZINC001461908380 1063791985 /nfs/dbraw/zinc/79/19/85/1063791985.db2.gz SAICJXMWAJRGFC-UHFFFAOYSA-N 0 3 301.352 4.439 20 0 DIADHN CO[C@@](C)(CN1Cc2ccccc2-c2ccccc2C1)C1CC1 ZINC001461912485 1063794878 /nfs/dbraw/zinc/79/48/78/1063794878.db2.gz STALRJSWYUKOEA-NRFANRHFSA-N 0 3 307.437 4.484 20 0 DIADHN CCn1ccc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC001461911420 1063794980 /nfs/dbraw/zinc/79/49/80/1063794980.db2.gz HHLXBZHGECRXDR-KBPBESRZSA-N 0 3 305.853 4.180 20 0 DIADHN CCC[C@H](CN1CCC[C@H]1c1nc2ccccc2n1CC)OC ZINC001461910527 1063795456 /nfs/dbraw/zinc/79/54/56/1063795456.db2.gz NQCUEYYHRXMZQO-QAPCUYQASA-N 0 3 315.461 4.008 20 0 DIADHN CCOc1cncc(CN2CC[C@](C)(CCc3ccccc3)C2)c1 ZINC001461917624 1063801729 /nfs/dbraw/zinc/80/17/29/1063801729.db2.gz HYXNDPQDSUSBIM-NRFANRHFSA-N 0 3 324.468 4.325 20 0 DIADHN CCCC[C@@H](CCC)NCc1nc(SC)ncc1Cl ZINC001258757421 1063802402 /nfs/dbraw/zinc/80/24/02/1063802402.db2.gz NSASFTUNINYNEJ-LLVKDONJSA-N 0 3 301.887 4.300 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2cccc(Cl)c2C)cc1 ZINC001461930653 1063816306 /nfs/dbraw/zinc/81/63/06/1063816306.db2.gz YTOQQNXGAUXOGM-QGZVFWFLSA-N 0 3 315.844 4.474 20 0 DIADHN CCOc1cncc(CN2CCC[C@@](C)(c3ccccc3)C2)c1 ZINC001461943474 1063826201 /nfs/dbraw/zinc/82/62/01/1063826201.db2.gz VRTPFSGTZQUFSG-HXUWFJFHSA-N 0 3 310.441 4.034 20 0 DIADHN CSc1ncc(Cl)c(CNC2CCC(C(C)C)CC2)n1 ZINC001258801025 1063834284 /nfs/dbraw/zinc/83/42/84/1063834284.db2.gz FCGUFDMVJSHKTP-UHFFFAOYSA-N 0 3 313.898 4.156 20 0 DIADHN COc1cc(C[NH2+]C2CCC(C(C)C)CC2)cc(Cl)c1[O-] ZINC001258801945 1063837091 /nfs/dbraw/zinc/83/70/91/1063837091.db2.gz DFBKFIQHGVRSPM-UHFFFAOYSA-N 0 3 311.853 4.359 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@@H](CC(C)C)C2)cc1OC ZINC001461984463 1063859255 /nfs/dbraw/zinc/85/92/55/1063859255.db2.gz UOHIRRPCPOTACN-ZDUSSCGKSA-N 0 3 311.853 4.225 20 0 DIADHN C[C@@H]1CN(CCCCc2cccc(C(F)(F)F)c2)C[C@H](C)O1 ZINC001462004431 1063873820 /nfs/dbraw/zinc/87/38/20/1063873820.db2.gz XSBVUKOUNVLPLG-OKILXGFUSA-N 0 3 315.379 4.137 20 0 DIADHN c1ccc(OCCCCCN2CCN(c3ccccc3)CC2)cc1 ZINC001462007459 1063876328 /nfs/dbraw/zinc/87/63/28/1063876328.db2.gz LDIRDRZJTWNUOU-UHFFFAOYSA-N 0 3 324.468 4.058 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001462021017 1063882307 /nfs/dbraw/zinc/88/23/07/1063882307.db2.gz UAWDWUYWFDVSDZ-OCCSQVGLSA-N 0 3 305.853 4.237 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@@H]2CCC[C@H](C)C2)cc(Cl)c1[O-] ZINC001462020386 1063883764 /nfs/dbraw/zinc/88/37/64/1063883764.db2.gz RJBLCDOUOLZSGM-GXTWGEPZSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@@H]2CCC[C@H](C)C2)cc(Cl)c1[O-] ZINC001462020386 1063883767 /nfs/dbraw/zinc/88/37/67/1063883767.db2.gz RJBLCDOUOLZSGM-GXTWGEPZSA-N 0 3 311.853 4.455 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001462021019 1063884147 /nfs/dbraw/zinc/88/41/47/1063884147.db2.gz UAWDWUYWFDVSDZ-TZMCWYRMSA-N 0 3 305.853 4.237 20 0 DIADHN CCN(C/C=C\c1cccc(F)c1)Cc1ccc2c(c1)OCO2 ZINC001462034489 1063891033 /nfs/dbraw/zinc/89/10/33/1063891033.db2.gz OHEBPDBADYGXAU-XQRVVYSFSA-N 0 3 313.372 4.090 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001462030642 1063892053 /nfs/dbraw/zinc/89/20/53/1063892053.db2.gz LVSTXSCXWMCSTK-MGPUTAFESA-N 0 3 323.436 4.356 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnn(CC3CCC3)c2)cc1 ZINC001462036554 1063893863 /nfs/dbraw/zinc/89/38/63/1063893863.db2.gz OUOAJNJPDKIYMK-HXUWFJFHSA-N 0 3 309.457 4.329 20 0 DIADHN Cc1scc(CN2CCN(c3ccc(C)c(C)c3)CC2)c1C ZINC001462044778 1063899182 /nfs/dbraw/zinc/89/91/82/1063899182.db2.gz WRXCDZGVZDUNOO-UHFFFAOYSA-N 0 3 314.498 4.304 20 0 DIADHN Cc1ccccc1C1CCN(Cc2ccc3c(n2)CCC3)CC1 ZINC001462058578 1063908728 /nfs/dbraw/zinc/90/87/28/1063908728.db2.gz SATMXGXFLAYMBH-UHFFFAOYSA-N 0 3 306.453 4.258 20 0 DIADHN COc1cccc2cc(CN3CCC(c4ccncc4)CC3)oc21 ZINC001261183482 1063911307 /nfs/dbraw/zinc/91/13/07/1063911307.db2.gz RQVKHCCBJXUWQJ-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN c1nc2cc(CN3CCC(Cc4cccnc4)CC3)ccc2s1 ZINC001462069440 1063911740 /nfs/dbraw/zinc/91/17/40/1063911740.db2.gz BYPQFWIXRQQMGC-UHFFFAOYSA-N 0 3 323.465 4.146 20 0 DIADHN C[C@H]1CCN(Cc2cc3c(ccnc3Cl)o2)CC12CCC2 ZINC001462068757 1063912674 /nfs/dbraw/zinc/91/26/74/1063912674.db2.gz NNAHRHOBQQZNRH-LBPRGKRZSA-N 0 3 304.821 4.493 20 0 DIADHN CC(C)Cc1ccc(CN2CCC(Cc3cccnc3)CC2)cn1 ZINC001462071520 1063914217 /nfs/dbraw/zinc/91/42/17/1063914217.db2.gz MEOIFHCFSAWFLT-UHFFFAOYSA-N 0 3 323.484 4.130 20 0 DIADHN Cc1csc(CNCc2sc(N3CCCCC3)nc2C)c1 ZINC001261256729 1063915905 /nfs/dbraw/zinc/91/59/05/1063915905.db2.gz RPHAGIVIMDKQJR-UHFFFAOYSA-N 0 3 321.515 4.102 20 0 DIADHN Cc1cccnc1CN1CC(Cc2ccc(C(F)(F)F)cc2)C1 ZINC001261297596 1063919543 /nfs/dbraw/zinc/91/95/43/1063919543.db2.gz HHWBELWLJJQDBI-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CC[C@H]3CCCC[C@H]3C1)CO2 ZINC001462087661 1063922576 /nfs/dbraw/zinc/92/25/76/1063922576.db2.gz NRXSCYNUDTYHPK-FIRUKDTASA-N 0 3 307.384 4.347 20 0 DIADHN C[C@@H]1CCSCCN1CCCc1c(F)cccc1Cl ZINC001462095959 1063925611 /nfs/dbraw/zinc/92/56/11/1063925611.db2.gz LQFIRXYXJUBWFZ-GFCCVEGCSA-N 0 3 301.858 4.239 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN(C)CC1(c2ccccc2)CC1 ZINC001462093663 1063925653 /nfs/dbraw/zinc/92/56/53/1063925653.db2.gz IOLYJXCYZBOQDH-UHFFFAOYSA-N 0 3 311.473 4.244 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC[C@H](F)C3)cs2)cc1 ZINC001261493486 1063929572 /nfs/dbraw/zinc/92/95/72/1063929572.db2.gz DGQOHLUNYRODDY-UONOGXRCSA-N 0 3 320.433 4.189 20 0 DIADHN FC1(F)CC12CC(NCc1ccc(Oc3ccccc3)o1)C2 ZINC001261671442 1063942532 /nfs/dbraw/zinc/94/25/32/1063942532.db2.gz PQPZGZCLRFSCNC-UHFFFAOYSA-N 0 3 305.324 4.349 20 0 DIADHN CC(C)C1(N[C@H](C(=O)Nc2ccccc2)c2ccccc2)CC1 ZINC000571466947 1063952933 /nfs/dbraw/zinc/95/29/33/1063952933.db2.gz MSRZVJFROVOPET-SFHVURJKSA-N 0 3 308.425 4.145 20 0 DIADHN CC(C)C1(N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)CC1 ZINC000571466946 1063952957 /nfs/dbraw/zinc/95/29/57/1063952957.db2.gz MSRZVJFROVOPET-GOSISDBHSA-N 0 3 308.425 4.145 20 0 DIADHN CCC[C@H](NC1CC2(CC2(F)F)C1)c1ncccc1Cl ZINC001262205686 1063961029 /nfs/dbraw/zinc/96/10/29/1063961029.db2.gz ZAKQCJNQACQKQG-KHJSKFAYSA-N 0 3 300.780 4.354 20 0 DIADHN COc1ccc(Br)cc1CN1C[C@@H](C)CC1(C)C ZINC000380291537 1063987718 /nfs/dbraw/zinc/98/77/18/1063987718.db2.gz CPVVSUVCBYWRKI-NSHDSACASA-N 0 3 312.251 4.078 20 0 DIADHN N[C@H](Cc1ccccc1)c1nc2cc(Cl)ccc2n1C1CC1 ZINC000385994122 1064032456 /nfs/dbraw/zinc/03/24/56/1064032456.db2.gz CBORDGGIXVRLSS-OAHLLOKOSA-N 0 3 311.816 4.267 20 0 DIADHN COCCNCc1cc(F)ccc1Oc1ccc(Cl)cc1C ZINC000386207349 1064042936 /nfs/dbraw/zinc/04/29/36/1064042936.db2.gz YALHPUOKQQVQCC-UHFFFAOYSA-N 0 3 323.795 4.316 20 0 DIADHN CCN(Cc1cccc(C(F)(F)F)c1)Cc1ccccc1N ZINC000386467596 1064052650 /nfs/dbraw/zinc/05/26/50/1064052650.db2.gz XVPWBCHWMMXVNS-UHFFFAOYSA-N 0 3 308.347 4.310 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)Nc1cc(Cl)cc(Cl)c1 ZINC000386640354 1064056158 /nfs/dbraw/zinc/05/61/58/1064056158.db2.gz IKJANBNBUBLMNN-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N(CCN(C)C)Cc1ccccc1 ZINC001264259563 1064059703 /nfs/dbraw/zinc/05/97/03/1064059703.db2.gz WEGJKPZPYJXAMC-IBGZPJMESA-N 0 3 318.505 4.039 20 0 DIADHN COc1ccc2cc(CN3C[C@H]4CCC[C@@H]4C3)c(Cl)nc2c1 ZINC000387665629 1064081486 /nfs/dbraw/zinc/08/14/86/1064081486.db2.gz YQURVJGDRINWPC-ZIAGYGMSSA-N 0 3 316.832 4.129 20 0 DIADHN CC(C)C1CCC(NCc2ccn(Cc3ccccc3)n2)CC1 ZINC000389963606 1064143175 /nfs/dbraw/zinc/14/31/75/1064143175.db2.gz KFQUHZNQKMWLDH-UHFFFAOYSA-N 0 3 311.473 4.236 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cnn(Cc3ccccc3)c2)c1 ZINC000390409014 1064159021 /nfs/dbraw/zinc/15/90/21/1064159021.db2.gz DRWVRTSSHPHNIR-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CCCN(CCN(C)C)C(=O)c1cccc(-c2cccc(C)c2)c1 ZINC001265291425 1064213094 /nfs/dbraw/zinc/21/30/94/1064213094.db2.gz NEOAQVJLDZLKOU-UHFFFAOYSA-N 0 3 324.468 4.076 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N(CCC)CCN(C)C ZINC001265291230 1064213203 /nfs/dbraw/zinc/21/32/03/1064213203.db2.gz HXTMVVYLVHMWPF-GOSISDBHSA-N 0 3 310.526 4.173 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CC[C@H](C)C[C@H]2C)o1 ZINC001265341581 1064220109 /nfs/dbraw/zinc/22/01/09/1064220109.db2.gz IEHMVYBIJUOWRK-SQWLQELKSA-N 0 3 307.434 4.103 20 0 DIADHN Clc1cc(CN2CCCN(Cc3ccccc3)CC2)cs1 ZINC000700734998 1064225549 /nfs/dbraw/zinc/22/55/49/1064225549.db2.gz PMQSEBDLMKCBGI-UHFFFAOYSA-N 0 3 320.889 4.109 20 0 DIADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccc(Cl)cc1Cl ZINC000701011187 1064234276 /nfs/dbraw/zinc/23/42/76/1064234276.db2.gz FNJPIZNUCDTQTA-YQEZVOHVSA-N 0 3 302.245 4.456 20 0 DIADHN CN(Cc1ccc(Br)c(F)c1F)C1CCCCC1 ZINC000701272861 1064244710 /nfs/dbraw/zinc/24/47/10/1064244710.db2.gz BCOYDZHLNAFUPV-UHFFFAOYSA-N 0 3 318.205 4.492 20 0 DIADHN CC(C)N(Cc1ccc(Br)c(F)c1F)CC1CC1 ZINC000701302748 1064245436 /nfs/dbraw/zinc/24/54/36/1064245436.db2.gz ZRDMPWXYNNNDNV-UHFFFAOYSA-N 0 3 318.205 4.348 20 0 DIADHN C[C@H]1C[C@@H](CC(=O)N(CCN(C)C)C2CCCC2)CC(C)(C)C1 ZINC001265738411 1064266676 /nfs/dbraw/zinc/26/66/76/1064266676.db2.gz VPXJXFXPNCFLFX-IRXDYDNUSA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)n1cc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000393399342 1064277125 /nfs/dbraw/zinc/27/71/25/1064277125.db2.gz LLVCGLBUFSVKPV-QGZVFWFLSA-N 0 3 303.425 4.480 20 0 DIADHN CCC(CC)[C@@H](NCc1cc(C(N)=O)cs1)c1ccccc1 ZINC000393568549 1064287160 /nfs/dbraw/zinc/28/71/60/1064287160.db2.gz MAAJHXDNGPBBFE-QGZVFWFLSA-N 0 3 316.470 4.114 20 0 DIADHN CCC(CC)[C@H](NCc1cc(C(N)=O)cs1)c1ccccc1 ZINC000393568544 1064287901 /nfs/dbraw/zinc/28/79/01/1064287901.db2.gz MAAJHXDNGPBBFE-KRWDZBQOSA-N 0 3 316.470 4.114 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1nccs1 ZINC001279347576 1064344273 /nfs/dbraw/zinc/34/42/73/1064344273.db2.gz DMIOSRRFHPJPPP-ZACQAIPSSA-N 0 3 321.534 4.008 20 0 DIADHN C[C@H]1c2ccccc2CN1C[C@H](O)c1cccc2ccccc21 ZINC000815055475 1064371574 /nfs/dbraw/zinc/37/15/74/1064371574.db2.gz BHGOESAEUNSTGG-BTYIYWSLSA-N 0 3 303.405 4.450 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001290312595 1064690894 /nfs/dbraw/zinc/69/08/94/1064690894.db2.gz NTOPORKYMNUZES-APWZRJJASA-N 0 3 316.489 4.012 20 0 DIADHN CCC[C@H](CC)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001290869878 1064716826 /nfs/dbraw/zinc/71/68/26/1064716826.db2.gz MTXIBCHUGYUYTN-HOCLYGCPSA-N 0 3 310.507 4.074 20 0 DIADHN CN(C)C1(C(=O)Nc2ccc3c(c2)oc2ccccc23)CCCC1 ZINC001290931781 1064717580 /nfs/dbraw/zinc/71/75/80/1064717580.db2.gz UUPLNYDJQUQWRE-UHFFFAOYSA-N 0 3 322.408 4.399 20 0 DIADHN CC(C)(C)CCN1CCN(C(=O)CCCC2CCCCC2)CC1 ZINC001291901120 1064748452 /nfs/dbraw/zinc/74/84/52/1064748452.db2.gz ALUIXFSXRYHADY-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@H](CC)c1ccccc1 ZINC001292226872 1064763924 /nfs/dbraw/zinc/76/39/24/1064763924.db2.gz XNIDLAZFLSCGIO-ZIFCJYIRSA-N 0 3 316.489 4.249 20 0 DIADHN CCCCC[C@@H](C)CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001292262245 1064765911 /nfs/dbraw/zinc/76/59/11/1064765911.db2.gz TYWWJQJJKPLDMC-IEBWSBKVSA-N 0 3 318.505 4.320 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N[C@H](C)CCC1CCCCC1 ZINC001293400321 1064814067 /nfs/dbraw/zinc/81/40/67/1064814067.db2.gz SLGNTGDPPAPGBQ-SJLPKXTDSA-N 0 3 308.510 4.116 20 0 DIADHN C/C(=C\C(=O)Nc1ccc(C)cc1CN(C)C)c1ccccc1C ZINC001293541792 1064821546 /nfs/dbraw/zinc/82/15/46/1064821546.db2.gz OZJCUORKEWPQDG-GHRIWEEISA-N 0 3 322.452 4.407 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC[C@H]1CCC(C)C ZINC001295005042 1064894383 /nfs/dbraw/zinc/89/43/83/1064894383.db2.gz RUKLYUCBVSAJNP-SFHVURJKSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](CC)c1ccc(C)c(F)c1 ZINC001295677606 1064923908 /nfs/dbraw/zinc/92/39/08/1064923908.db2.gz VLVHURUEFHGUPN-GOSISDBHSA-N 0 3 322.468 4.214 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC001297187162 1064973473 /nfs/dbraw/zinc/97/34/73/1064973473.db2.gz YBADLPZLMSSRLF-HLLBOEOZSA-N 0 3 314.473 4.036 20 0 DIADHN C[C@H](NCc1cccc(C(=O)C(F)(F)F)c1)c1cccs1 ZINC000839552615 1064994274 /nfs/dbraw/zinc/99/42/74/1064994274.db2.gz KMCSDBZMBJPQIM-JTQLQIEISA-N 0 3 313.344 4.344 20 0 DIADHN C[C@@H]1CCC[C@@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001307412086 1065374929 /nfs/dbraw/zinc/37/49/29/1065374929.db2.gz CHILBMXLBIGWLX-IAGOWNOFSA-N 0 3 309.457 4.057 20 0 DIADHN C[C@H]1CCC[C@@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001307412081 1065375666 /nfs/dbraw/zinc/37/56/66/1065375666.db2.gz CHILBMXLBIGWLX-DLBZAZTESA-N 0 3 309.457 4.057 20 0 DIADHN CCN(CCc1ccccc1)Cc1cc(OC)ccc1Cl ZINC001307416645 1065377068 /nfs/dbraw/zinc/37/70/68/1065377068.db2.gz LFDVTPWRCGCCRZ-UHFFFAOYSA-N 0 3 303.833 4.413 20 0 DIADHN Fc1cccc(CN(Cc2cccc3c2CCOC3)C2CC2)c1 ZINC001307420222 1065380477 /nfs/dbraw/zinc/38/04/77/1065380477.db2.gz CCXUWRTULVDRHH-UHFFFAOYSA-N 0 3 311.400 4.063 20 0 DIADHN Clc1cccc([C@@H]2CCCN2C[C@H]2CC[C@@H](C3CC3)O2)c1 ZINC001307418223 1065381199 /nfs/dbraw/zinc/38/11/99/1065381199.db2.gz UIYVRUGEZKWLBH-SQNIBIBYSA-N 0 3 305.849 4.435 20 0 DIADHN Cc1cc(CN2CCC[C@H]2Cc2ccc(F)cc2)cc(Cl)n1 ZINC001307425721 1065386263 /nfs/dbraw/zinc/38/62/63/1065386263.db2.gz MWGUHOWARFAPFK-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN CCc1ccccc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC001307430683 1065391408 /nfs/dbraw/zinc/39/14/08/1065391408.db2.gz ILJKNYLZYILWLC-NRFANRHFSA-N 0 3 309.453 4.430 20 0 DIADHN CC(C)N(CCC1(C(=O)OC(C)(C)C)CCC1)Cc1ccco1 ZINC001307435797 1065395791 /nfs/dbraw/zinc/39/57/91/1065395791.db2.gz IEQWMXPVHZRHAN-UHFFFAOYSA-N 0 3 321.461 4.392 20 0 DIADHN CN(Cc1cn2cc(Cl)cc(Cl)c2n1)CC(C)(C)C ZINC001307447841 1065407144 /nfs/dbraw/zinc/40/71/44/1065407144.db2.gz GGLXENCWDFHBLU-UHFFFAOYSA-N 0 3 300.233 4.119 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C[C@@H]1CCCC[C@H]1O)C1CC1 ZINC001307455272 1065410664 /nfs/dbraw/zinc/41/06/64/1065410664.db2.gz IZVPZTXRUSEXIM-QWQRMKEZSA-N 0 3 307.865 4.417 20 0 DIADHN C[C@@H](CN1CCC[C@H]1C(=O)OCc1ccccc1)CC(C)(C)C ZINC001307467728 1065417950 /nfs/dbraw/zinc/41/79/50/1065417950.db2.gz MVNQDSATDKJMDW-AEFFLSMTSA-N 0 3 317.473 4.267 20 0 DIADHN CCC[C@H](C)N1CCN([C@H](CC)c2ccc(F)cc2F)CC1 ZINC001307473658 1065421795 /nfs/dbraw/zinc/42/17/95/1065421795.db2.gz ZAACNGXUXMYXSE-KBXCAEBGSA-N 0 3 310.432 4.222 20 0 DIADHN COc1cccc(-c2nc(CN3CCCC[C@@H]3C(C)C)co2)c1 ZINC001307472189 1065421843 /nfs/dbraw/zinc/42/18/43/1065421843.db2.gz GIOBITWPQYDCBB-GOSISDBHSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2[N@@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478918 1065426368 /nfs/dbraw/zinc/42/63/68/1065426368.db2.gz LWLGXEDQVDBQRB-UWWQBHOKSA-N 0 3 323.440 4.002 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2[N@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478918 1065426371 /nfs/dbraw/zinc/42/63/71/1065426371.db2.gz LWLGXEDQVDBQRB-UWWQBHOKSA-N 0 3 323.440 4.002 20 0 DIADHN Clc1cccc2c1CCN(CC1([C@H]3CCCCO3)CCC1)C2 ZINC001307483768 1065430198 /nfs/dbraw/zinc/43/01/98/1065430198.db2.gz UWZNPBKNWGGMHP-GOSISDBHSA-N 0 3 319.876 4.438 20 0 DIADHN CCc1ccc(CN2CCN(C[C@@H](C)CC(C)C)CC2)s1 ZINC001307484870 1065430822 /nfs/dbraw/zinc/43/08/22/1065430822.db2.gz SNOQTRQRZZWAJG-INIZCTEOSA-N 0 3 308.535 4.110 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1ccc(C)c(C)c1 ZINC001307486182 1065432946 /nfs/dbraw/zinc/43/29/46/1065432946.db2.gz RVMBKCKJABUTEE-KBXCAEBGSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@@H](c2ccccc2C)C[C@H]1C ZINC001307488031 1065432961 /nfs/dbraw/zinc/43/29/61/1065432961.db2.gz FECHEHLHDJFGDX-SOLBZPMBSA-N 0 3 317.473 4.293 20 0 DIADHN COc1ncccc1CN1CCC[C@H](C)[C@@H]1c1ccc(C)cc1 ZINC001307489263 1065434689 /nfs/dbraw/zinc/43/46/89/1065434689.db2.gz IJDORUNBEWLZLE-QFBILLFUSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ncccc1CN1CCC[C@@H](C)[C@@H]1c1ccc(C)cc1 ZINC001307489278 1065435679 /nfs/dbraw/zinc/43/56/79/1065435679.db2.gz IJDORUNBEWLZLE-VQIMIIECSA-N 0 3 310.441 4.372 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCC[C@@H]2c2ccc(C)cc2)sn1 ZINC001307495534 1065440544 /nfs/dbraw/zinc/44/05/44/1065440544.db2.gz OANSFIVZXNEYQB-IAGOWNOFSA-N 0 3 316.470 4.228 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1cc(Cl)ccc1Cl ZINC001307510637 1065450669 /nfs/dbraw/zinc/45/06/69/1065450669.db2.gz IEPFUGODLNLEEE-NSHDSACASA-N 0 3 317.260 4.300 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1c(F)cccc1F ZINC001307520258 1065459386 /nfs/dbraw/zinc/45/93/86/1065459386.db2.gz VXXRBBPAULPAQE-ZBFHGGJFSA-N 0 3 301.380 4.418 20 0 DIADHN Cc1cc(CN2CCC[C@@H](CC(=O)OC(C)(C)C)C2)cs1 ZINC001307523881 1065461532 /nfs/dbraw/zinc/46/15/32/1065461532.db2.gz QSLIYGRLUXDXLK-AWEZNQCLSA-N 0 3 309.475 4.000 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCCc2c(F)cccc2F)n1 ZINC001307526215 1065464808 /nfs/dbraw/zinc/46/48/08/1065464808.db2.gz JHOPJSZVPGZYFH-LJQANCHMSA-N 0 3 316.395 4.438 20 0 DIADHN CC[C@H](O)CN1CCC(=Cc2cc(Cl)ccc2Cl)CC1 ZINC001307525905 1065465129 /nfs/dbraw/zinc/46/51/29/1065465129.db2.gz BPDDCONBBCKWEH-HNNXBMFYSA-N 0 3 314.256 4.244 20 0 DIADHN CC(C)N(Cc1ccn(-c2ccc(Cl)cc2)n1)CC1CC1 ZINC001307541576 1065472839 /nfs/dbraw/zinc/47/28/39/1065472839.db2.gz QIEAMVZAHHGDAU-UHFFFAOYSA-N 0 3 303.837 4.146 20 0 DIADHN Cc1nn(CC(C)C)c(Cl)c1[C@H]1CCCN1CC1CCC1 ZINC001307539278 1065473206 /nfs/dbraw/zinc/47/32/06/1065473206.db2.gz MWEVNMBHAKBDKD-OAHLLOKOSA-N 0 3 309.885 4.438 20 0 DIADHN CCC[C@@H]1CCCN([C@H](CC)C(=O)Nc2ccc(C)cc2)C1 ZINC001307562885 1065484329 /nfs/dbraw/zinc/48/43/29/1065484329.db2.gz HYEVHQCVSXHFLB-SJLPKXTDSA-N 0 3 302.462 4.224 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1ccnc(Br)c1 ZINC001307580669 1065494565 /nfs/dbraw/zinc/49/45/65/1065494565.db2.gz XGNFUQKKIOOCQU-OCCSQVGLSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@@H]1Cc2ccc(Br)cc2CN1CC1CCCC1 ZINC001307591374 1065500546 /nfs/dbraw/zinc/50/05/46/1065500546.db2.gz MKGHLKORKHYSBG-GFCCVEGCSA-N 0 3 308.263 4.386 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H]1CCC(C)(C)CO1 ZINC001307589199 1065500701 /nfs/dbraw/zinc/50/07/01/1065500701.db2.gz SONABAVDNUTHKG-KEYYUXOJSA-N 0 3 305.437 4.339 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CC[C@@H](O)c1ccccc1 ZINC001307588478 1065501325 /nfs/dbraw/zinc/50/13/25/1065501325.db2.gz KJXQUGLMDXVAAQ-QEEYODRMSA-N 0 3 313.416 4.257 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H]1CCC2(CCC2)CO1 ZINC001307587701 1065502214 /nfs/dbraw/zinc/50/22/14/1065502214.db2.gz DAGYFISYPOFDRF-VKJFTORMSA-N 0 3 317.448 4.483 20 0 DIADHN CCCOc1ccc2c(c1)CCN(CCCOC(C)(C)C)C2 ZINC001307595426 1065504033 /nfs/dbraw/zinc/50/40/33/1065504033.db2.gz JDLRTTZBGQIGTQ-UHFFFAOYSA-N 0 3 305.462 4.039 20 0 DIADHN Brc1cc(CN(CCC2CC2)C2CC2)cs1 ZINC001307598777 1065506660 /nfs/dbraw/zinc/50/66/60/1065506660.db2.gz XEKGIGOKHXLVDG-UHFFFAOYSA-N 0 3 300.265 4.275 20 0 DIADHN Cl/C=C\CN1CCN(C2c3ccccc3-c3ccccc32)CC1 ZINC001307602464 1065509332 /nfs/dbraw/zinc/50/93/32/1065509332.db2.gz CSESXWQWPKJDIV-YHYXMXQVSA-N 0 3 324.855 4.127 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H](O)c3cc(C)cc(C)c3)CC2)c1 ZINC001307613752 1065516129 /nfs/dbraw/zinc/51/61/29/1065516129.db2.gz XNFSHOXODBCVGP-JOCHJYFZSA-N 0 3 321.464 4.435 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCOC1CCCCCC1 ZINC001307619843 1065521667 /nfs/dbraw/zinc/52/16/67/1065521667.db2.gz JENGCSGGXCCDLH-AWEZNQCLSA-N 0 3 309.425 4.224 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307621584 1065521795 /nfs/dbraw/zinc/52/17/95/1065521795.db2.gz ORPUCMVATXXRGF-CMPLNLGQSA-N 0 3 315.244 4.195 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@H](CC(C)C)c1ccccc1 ZINC001307622080 1065522899 /nfs/dbraw/zinc/52/28/99/1065522899.db2.gz WGZHHVGUHXYTED-IEBWSBKVSA-N 0 3 318.505 4.256 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCC2(CCC2)O1)c1ccc(F)cc1F ZINC001307625510 1065524077 /nfs/dbraw/zinc/52/40/77/1065524077.db2.gz QDJIQKKDGKQGGH-WMLDXEAASA-N 0 3 309.400 4.497 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(-c3ccccn3)nc2)cc1 ZINC001307630369 1065528176 /nfs/dbraw/zinc/52/81/76/1065528176.db2.gz QEDXZWULULAJQM-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C)cc1)C(=O)N(C)c1ccc(C)cc1 ZINC001307631504 1065528199 /nfs/dbraw/zinc/52/81/99/1065528199.db2.gz VMCBQYWRAVKLKG-PXNSSMCTSA-N 0 3 324.468 4.396 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cccc(N(C)C)n1 ZINC001307634904 1065530114 /nfs/dbraw/zinc/53/01/14/1065530114.db2.gz MLEHOHIXHOFRPW-IBGZPJMESA-N 0 3 311.473 4.333 20 0 DIADHN CC(C)c1ccc([C@H](NCC(=O)NCC2CCC2)C(C)C)cc1 ZINC001307641008 1065535985 /nfs/dbraw/zinc/53/59/85/1065535985.db2.gz SFKSBPICSLAWHZ-HXUWFJFHSA-N 0 3 316.489 4.013 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@]2(C)Cc2ccccc2)cc1 ZINC001307655727 1065545076 /nfs/dbraw/zinc/54/50/76/1065545076.db2.gz PSACADDDPMESGN-OAQYLSRUSA-N 0 3 322.452 4.031 20 0 DIADHN COc1ccnc(CN2CC[C@H](Cc3ccc(C(C)C)cc3)C2)c1 ZINC001307654385 1065545447 /nfs/dbraw/zinc/54/54/47/1065545447.db2.gz CYIUPCCMPOCCAA-GOSISDBHSA-N 0 3 324.468 4.278 20 0 DIADHN CC1(C)CN(C[C@@H](O)c2ccc(F)cc2Cl)CC(C)(C)C1 ZINC001307659538 1065547541 /nfs/dbraw/zinc/54/75/41/1065547541.db2.gz QPLLWRINZJACPD-OAHLLOKOSA-N 0 3 313.844 4.271 20 0 DIADHN CC(C)COC[C@H](NC[C@H]1CC2CCC1CC2)c1ccco1 ZINC001307668093 1065551062 /nfs/dbraw/zinc/55/10/62/1065551062.db2.gz AGNAIYNDAQEPHR-HIEASXQVSA-N 0 3 305.462 4.409 20 0 DIADHN c1ccc(CC[C@H]2CN(CCCC3CCCC3)CCO2)cc1 ZINC001307667715 1065552070 /nfs/dbraw/zinc/55/20/70/1065552070.db2.gz YKECKNVUQOATSS-FQEVSTJZSA-N 0 3 301.474 4.290 20 0 DIADHN CC[C@@H](NC[C@H]1[C@H](c2ccccc2)C1(F)F)c1c(C)noc1C ZINC001307669453 1065553427 /nfs/dbraw/zinc/55/34/27/1065553427.db2.gz ZIINYOFEOLLOJJ-UXLLHSPISA-N 0 3 320.383 4.381 20 0 DIADHN CC[C@H]1CN(Cc2csc(C)c2)CCN1Cc1ccccc1 ZINC001307670259 1065554000 /nfs/dbraw/zinc/55/40/00/1065554000.db2.gz PHCWABXCEAALHK-IBGZPJMESA-N 0 3 314.498 4.153 20 0 DIADHN C[C@H]1COc2ccccc2CN1Cc1cccc2ncccc12 ZINC001307671469 1065554838 /nfs/dbraw/zinc/55/48/38/1065554838.db2.gz DSCGKFDNJLXUKA-HNNXBMFYSA-N 0 3 304.393 4.018 20 0 DIADHN CC1(C)CCCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001307682679 1065559078 /nfs/dbraw/zinc/55/90/78/1065559078.db2.gz AYTJNQPOVFIPKG-CQSZACIVSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCCC[C@@H](C)C1 ZINC001307692462 1065566327 /nfs/dbraw/zinc/56/63/27/1065566327.db2.gz IKGWVIMAZKNHTP-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CCC[C@H](C(C)C)C2)n1 ZINC001307693267 1065566419 /nfs/dbraw/zinc/56/64/19/1065566419.db2.gz UUKMTIHTCHJMLC-CVEARBPZSA-N 0 3 313.445 4.474 20 0 DIADHN CC[C@H](N[C@H](C)c1cccs1)C(=O)Nc1ccc(C)cc1 ZINC001307696506 1065568183 /nfs/dbraw/zinc/56/81/83/1065568183.db2.gz QIPHXYJIFOQLAO-HIFRSBDPSA-N 0 3 302.443 4.124 20 0 DIADHN CC[C@@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3o2)[C@H]1C ZINC001307703319 1065572588 /nfs/dbraw/zinc/57/25/88/1065572588.db2.gz RLYLWQZXHORJDF-WDEREUQCSA-N 0 3 312.335 4.467 20 0 DIADHN Cc1nc(CN[C@@H]2CC(C)(C)OC2(C)C)sc1C(C)(C)C ZINC001307706431 1065575882 /nfs/dbraw/zinc/57/58/82/1065575882.db2.gz MARCNIVWZFSJIC-GFCCVEGCSA-N 0 3 310.507 4.185 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001307713106 1065582089 /nfs/dbraw/zinc/58/20/89/1065582089.db2.gz MSXWFZFFMBGOJM-CPUCHLNUSA-N 0 3 322.518 4.072 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@H](C)CC(C)(C)C ZINC001307728140 1065588347 /nfs/dbraw/zinc/58/83/47/1065588347.db2.gz IABUPDLEHNZCRQ-NJAFHUGGSA-N 0 3 317.473 4.437 20 0 DIADHN CC(C)N(Cc1ccn(CCc2ccccc2)n1)CC1CCC1 ZINC001307728058 1065588401 /nfs/dbraw/zinc/58/84/01/1065588401.db2.gz NKDFIOWAUREGID-UHFFFAOYSA-N 0 3 311.473 4.136 20 0 DIADHN CC1(C)CC[C@@H](CN2CC=C(c3cccc(Cl)c3)CC2)O1 ZINC001307735072 1065592704 /nfs/dbraw/zinc/59/27/04/1065592704.db2.gz CFNXUZJGJCQJJV-KRWDZBQOSA-N 0 3 305.849 4.387 20 0 DIADHN COc1ncc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)c2ccccc21 ZINC001307733518 1065594299 /nfs/dbraw/zinc/59/42/99/1065594299.db2.gz IMXLDZGEUGMYHD-NHYWBVRUSA-N 0 3 324.346 4.016 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)c(Cl)c1 ZINC001307738677 1065595912 /nfs/dbraw/zinc/59/59/12/1065595912.db2.gz LVYOBSULCWIZPW-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(C)nc2Cl)C12CCCCC2 ZINC001307743688 1065600634 /nfs/dbraw/zinc/60/06/34/1065600634.db2.gz KMNRKQDHWNMHHW-JKSUJKDBSA-N 0 3 322.880 4.261 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(C)nc2Cl)C12CCCCC2 ZINC001307743685 1065601171 /nfs/dbraw/zinc/60/11/71/1065601171.db2.gz KMNRKQDHWNMHHW-CVEARBPZSA-N 0 3 322.880 4.261 20 0 DIADHN CCS[C@@H]1CCC[C@H]1NCc1ccc(Cl)nc1Cl ZINC001307753782 1065606031 /nfs/dbraw/zinc/60/60/31/1065606031.db2.gz JNKZZEUCUVRSLO-GHMZBOCLSA-N 0 3 305.274 4.152 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)[C@@H](CO)CC(C)(C)C ZINC001307771582 1065613854 /nfs/dbraw/zinc/61/38/54/1065613854.db2.gz GAMMWLAYLMEUGK-GFCCVEGCSA-N 0 3 309.837 4.315 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1C[C@@H]2CCCC[C@@H]2C1 ZINC001307776384 1065614959 /nfs/dbraw/zinc/61/49/59/1065614959.db2.gz MPUPZBWEQCEXSX-KFWWJZLASA-N 0 3 320.864 4.097 20 0 DIADHN CN(Cc1ccccc1C1CC1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001307788842 1065621282 /nfs/dbraw/zinc/62/12/82/1065621282.db2.gz BOOFCIMEFKRONN-IBGZPJMESA-N 0 3 315.844 4.383 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001307792776 1065624177 /nfs/dbraw/zinc/62/41/77/1065624177.db2.gz QPGRKONKECNXQC-NEPJUHHUSA-N 0 3 302.220 4.199 20 0 DIADHN C[C@H](NCCCC(=O)OC(C)(C)C)c1ccc(F)cc1Cl ZINC001307792534 1065624259 /nfs/dbraw/zinc/62/42/59/1065624259.db2.gz XCJFTEACWKQORX-NSHDSACASA-N 0 3 315.816 4.252 20 0 DIADHN Clc1ccc(O[C@H]2CCN(CCOC3CCCCC3)C2)cc1 ZINC001307796338 1065625767 /nfs/dbraw/zinc/62/57/67/1065625767.db2.gz HESBLCPAEKHACN-SFHVURJKSA-N 0 3 323.864 4.142 20 0 DIADHN CC[C@H](OCCN1CC(C)(C)[C@@H]1[C@H]1CCCO1)c1ccccc1 ZINC001307815910 1065635778 /nfs/dbraw/zinc/63/57/78/1065635778.db2.gz XUOZZSVMBDSALJ-OTWHNJEPSA-N 0 3 317.473 4.044 20 0 DIADHN C[C@@H]1CCC(C)(C)N1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001307834533 1065644576 /nfs/dbraw/zinc/64/45/76/1065644576.db2.gz KTFQRIHUTZYTFH-CQSZACIVSA-N 0 3 302.462 4.186 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2noc3c2CC(C)(C)CC3)C1 ZINC001307847884 1065649615 /nfs/dbraw/zinc/64/96/15/1065649615.db2.gz PPPGZLKYRFRONR-CQSZACIVSA-N 0 3 304.478 4.448 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccc(Cl)cc1)c1ccccc1 ZINC001307848172 1065649651 /nfs/dbraw/zinc/64/96/51/1065649651.db2.gz DRJKKCFVTQUCKN-SUMWQHHRSA-N 0 3 316.832 4.408 20 0 DIADHN Cc1nsc(C)c1CN1CCC[C@H]1Cc1cc(F)ccc1F ZINC001307852061 1065651496 /nfs/dbraw/zinc/65/14/96/1065651496.db2.gz YJSXIHPFQHQMCP-HNNXBMFYSA-N 0 3 322.424 4.245 20 0 DIADHN COC(=O)c1sccc1CN(C)[C@@H]1CCC[C@H]1C(C)(C)C ZINC001307853298 1065653872 /nfs/dbraw/zinc/65/38/72/1065653872.db2.gz LSPHATKVMBUECI-ZIAGYGMSSA-N 0 3 309.475 4.181 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@H](C3CCCC3)C2)c1 ZINC001307858202 1065656389 /nfs/dbraw/zinc/65/63/89/1065656389.db2.gz HXCRPMUFEIVVDN-HNNXBMFYSA-N 0 3 321.848 4.139 20 0 DIADHN C[C@H]1CCCN(CC2CCC3(CC3)CC2)[C@H]1C(=O)OC(C)(C)C ZINC001307859733 1065656556 /nfs/dbraw/zinc/65/65/56/1065656556.db2.gz LZWFVDKWSZBDEF-DOTOQJQBSA-N 0 3 321.505 4.399 20 0 DIADHN Cc1cc(F)ccc1CN1C[C@H](C(=O)OC(C)(C)C)CC[C@H]1C ZINC001307856945 1065656702 /nfs/dbraw/zinc/65/67/02/1065656702.db2.gz LZQNEJFYKLKCRE-GDBMZVCRSA-N 0 3 321.436 4.076 20 0 DIADHN C[C@@H]1CCCN(CC2CCC3(CC3)CC2)[C@@H]1C(=O)OC(C)(C)C ZINC001307859742 1065656923 /nfs/dbraw/zinc/65/69/23/1065656923.db2.gz LZWFVDKWSZBDEF-WBVHZDCISA-N 0 3 321.505 4.399 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N(C)CCC(C)(C)C ZINC001307874313 1065664979 /nfs/dbraw/zinc/66/49/79/1065664979.db2.gz MEUQPWAKZHFLAA-ZDUSSCGKSA-N 0 3 310.869 4.343 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(c3cccc(F)c3F)CC2)OC1 ZINC001307875294 1065665074 /nfs/dbraw/zinc/66/50/74/1065665074.db2.gz SFCAKYMORYOTHF-OAHLLOKOSA-N 0 3 323.427 4.349 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@H](CC)[C@H]1CCCCO1 ZINC001307882959 1065666276 /nfs/dbraw/zinc/66/62/76/1065666276.db2.gz OPECIGKNLLMNAQ-NVXWUHKLSA-N 0 3 311.853 4.176 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@H](CC)[C@@H]1CCCCO1 ZINC001307882964 1065666602 /nfs/dbraw/zinc/66/66/02/1065666602.db2.gz OPECIGKNLLMNAQ-WBVHZDCISA-N 0 3 311.853 4.176 20 0 DIADHN CCC[C@H](C)[C@H](CO)NCc1nc(C2CCCCC2)cs1 ZINC001307895574 1065671584 /nfs/dbraw/zinc/67/15/84/1065671584.db2.gz WCEZBVFHKFEBQL-ZFWWWQNUSA-N 0 3 310.507 4.078 20 0 DIADHN C[C@H]1CN(CCCc2cccc3ccccc32)CC2(CCC2)O1 ZINC001307894851 1065671853 /nfs/dbraw/zinc/67/18/53/1065671853.db2.gz LMQWPEGMARTHTK-KRWDZBQOSA-N 0 3 309.453 4.416 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N(C)Cc1ccc(OC)nc1 ZINC001307922531 1065682619 /nfs/dbraw/zinc/68/26/19/1065682619.db2.gz RXXVNNYOWAVSDZ-MRXNPFEDSA-N 0 3 304.821 4.327 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC001307926987 1065684910 /nfs/dbraw/zinc/68/49/10/1065684910.db2.gz BYOIQFMPIXLKIH-RUZUBIRVSA-N 0 3 314.256 4.147 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc(C)cc2C)cc1F ZINC001307941456 1065690197 /nfs/dbraw/zinc/69/01/97/1065690197.db2.gz DSODPWOHIHWMOZ-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CC[C@@H](Oc2ccccc2)C1 ZINC001307944351 1065693067 /nfs/dbraw/zinc/69/30/67/1065693067.db2.gz QSDZINUOCYZVGH-IEBWSBKVSA-N 0 3 313.828 4.481 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CC[C@@H](Oc2ccccc2)C1 ZINC001307944352 1065693324 /nfs/dbraw/zinc/69/33/24/1065693324.db2.gz QSDZINUOCYZVGH-MJGOQNOKSA-N 0 3 313.828 4.481 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(C[C@@H](C)C1CCCCC1)C2 ZINC001307950111 1065694640 /nfs/dbraw/zinc/69/46/40/1065694640.db2.gz AWOSZVQURKCKLD-OAHLLOKOSA-N 0 3 301.478 4.175 20 0 DIADHN COC(=O)c1coc(CN(Cc2ccc(C)cc2)C(C)(C)C)c1 ZINC001307952620 1065697158 /nfs/dbraw/zinc/69/71/58/1065697158.db2.gz VKOJMTYXURCOLL-UHFFFAOYSA-N 0 3 315.413 4.175 20 0 DIADHN C[C@@H]1c2ccccc2CN1CCc1ccc2c(c1)OC(F)(F)O2 ZINC001307958639 1065700044 /nfs/dbraw/zinc/70/00/44/1065700044.db2.gz PSIJKUHFMGYDRB-GFCCVEGCSA-N 0 3 317.335 4.127 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001307961958 1065701575 /nfs/dbraw/zinc/70/15/75/1065701575.db2.gz ZXQXDMTUTGTTNQ-DOPJRALCSA-N 0 3 323.839 4.433 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001307961960 1065702546 /nfs/dbraw/zinc/70/25/46/1065702546.db2.gz ZXQXDMTUTGTTNQ-XWIAVFTESA-N 0 3 323.839 4.433 20 0 DIADHN CCC(CC)CCCN(C)Cc1ccnc(Br)c1 ZINC001307970114 1065704779 /nfs/dbraw/zinc/70/47/79/1065704779.db2.gz YLKWSFPPCUDPDA-UHFFFAOYSA-N 0 3 313.283 4.492 20 0 DIADHN CC1(F)CCN(Cc2ccccc2Oc2cccnc2)CC1 ZINC001307970706 1065705026 /nfs/dbraw/zinc/70/50/26/1065705026.db2.gz MZQHVSUMFVUDHC-UHFFFAOYSA-N 0 3 300.377 4.198 20 0 DIADHN CCc1ccc(C2CCN(Cc3cc(C)nnc3C)CC2)cc1 ZINC001307980266 1065709600 /nfs/dbraw/zinc/70/96/00/1065709600.db2.gz SUONJMLKRXTWPC-UHFFFAOYSA-N 0 3 309.457 4.035 20 0 DIADHN FC(F)(F)c1cccc2c1CCN(C[C@@H]1CC[C@H]3C[C@H]3C1)C2 ZINC001307983952 1065711420 /nfs/dbraw/zinc/71/14/20/1065711420.db2.gz VCYZDCXOYNSGRG-VNHYZAJKSA-N 0 3 309.375 4.500 20 0 DIADHN CC1(C)[C@@H](c2ccccc2F)CCN1Cc1cc(C2CC2)no1 ZINC001308007639 1065720504 /nfs/dbraw/zinc/72/05/04/1065720504.db2.gz HYGRFBDDPULNLN-MRXNPFEDSA-N 0 3 314.404 4.459 20 0 DIADHN Cc1ccc([C@H](O)CN2CCc3ccc(Cl)c(C)c3C2)cc1 ZINC001308021777 1065728998 /nfs/dbraw/zinc/72/89/98/1065728998.db2.gz ANLWPXIYFKFEGW-LJQANCHMSA-N 0 3 315.844 4.049 20 0 DIADHN Cc1nocc1CN1CC[C@H](c2ccccc2Cl)C1(C)C ZINC001308036985 1065735747 /nfs/dbraw/zinc/73/57/47/1065735747.db2.gz WLLGYKKDLLLADE-OAHLLOKOSA-N 0 3 304.821 4.405 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](c3ccccc3Cl)C2(C)C)[nH]1 ZINC001308037105 1065737029 /nfs/dbraw/zinc/73/70/29/1065737029.db2.gz YWJPBYGPHJVHHG-OAHLLOKOSA-N 0 3 317.864 4.448 20 0 DIADHN C[C@H](O)CN(C)Cc1ccccc1Oc1ccc(F)c(Cl)c1 ZINC001308042141 1065738829 /nfs/dbraw/zinc/73/88/29/1065738829.db2.gz DESKHZVCCVDRHP-LBPRGKRZSA-N 0 3 323.795 4.084 20 0 DIADHN CCC1(CC)CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001308050765 1065740497 /nfs/dbraw/zinc/74/04/97/1065740497.db2.gz ODNSSWISIQJQQQ-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC001308071348 1065750555 /nfs/dbraw/zinc/75/05/55/1065750555.db2.gz RPIPFUHBWNKQML-GWCFXTLKSA-N 0 3 305.290 4.253 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001308086872 1065755480 /nfs/dbraw/zinc/75/54/80/1065755480.db2.gz IJIYMIYUFTWNKV-KGLIPLIRSA-N 0 3 303.837 4.014 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@@H](Cc2nccs2)C1 ZINC001308091078 1065756868 /nfs/dbraw/zinc/75/68/68/1065756868.db2.gz XCVZVTWBGVZAFY-AWEZNQCLSA-N 0 3 304.434 4.045 20 0 DIADHN CC[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1cc(F)ccc1OC ZINC001308090122 1065757537 /nfs/dbraw/zinc/75/75/37/1065757537.db2.gz LMHGLIMDMVBJSS-WBAXXEDZSA-N 0 3 323.452 4.470 20 0 DIADHN CC(C)CCOCCN1CC=C(c2c[nH]c3ccccc32)CC1 ZINC001308106759 1065765855 /nfs/dbraw/zinc/76/58/55/1065765855.db2.gz WIULLCFKDOWJGE-UHFFFAOYSA-N 0 3 312.457 4.320 20 0 DIADHN CCC[C@@H]1CCCN1Cc1coc(-c2ccccc2OC)n1 ZINC001308132839 1065772390 /nfs/dbraw/zinc/77/23/90/1065772390.db2.gz BULPFPUBFNEWSZ-OAHLLOKOSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@]1(Cc2ccc(Cl)s2)CCCN1CCOCC(F)F ZINC001308126798 1065773965 /nfs/dbraw/zinc/77/39/65/1065773965.db2.gz ZFUSDGPSCCQPJZ-CQSZACIVSA-N 0 3 323.836 4.080 20 0 DIADHN CSCC(C)(C)NCc1ccc(Br)cc1Cl ZINC001308134889 1065775646 /nfs/dbraw/zinc/77/56/46/1065775646.db2.gz TZKTWAUGFSYHPW-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN COc1ccnc(CN2CCC(c3cc(C)cc(C)c3)CC2)c1 ZINC001308137992 1065775335 /nfs/dbraw/zinc/77/53/35/1065775335.db2.gz YOFUWCRFZNOESC-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CCc1ccc2c(c1)CCN(CCc1ccc(F)c(F)c1)C2 ZINC001308170335 1065786811 /nfs/dbraw/zinc/78/68/11/1065786811.db2.gz KPIJMBBPRGHPHO-UHFFFAOYSA-N 0 3 301.380 4.128 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(CCO[C@H]1CCCC[C@H]1C)C2 ZINC001308173887 1065790019 /nfs/dbraw/zinc/79/00/19/1065790019.db2.gz PMRUUPKHZIARJU-UZLBHIALSA-N 0 3 301.474 4.257 20 0 DIADHN CC[C@H](C)Oc1cc(CNCc2ccc(C)cc2)ccc1OC ZINC001308180039 1065792225 /nfs/dbraw/zinc/79/22/25/1065792225.db2.gz GMEUMQRAOPWSKW-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN CC(C)CCCNCc1ncc(Br)cc1Cl ZINC001308190780 1065800620 /nfs/dbraw/zinc/80/06/20/1065800620.db2.gz KMFCWWOELUMZSQ-UHFFFAOYSA-N 0 3 305.647 4.023 20 0 DIADHN Cc1ccc([C@H](NCc2ccnn2CC2CCC2)C2CC2)cc1 ZINC001308191224 1065801829 /nfs/dbraw/zinc/80/18/29/1065801829.db2.gz ACWHRAIXMIJTAV-FQEVSTJZSA-N 0 3 309.457 4.233 20 0 DIADHN CC(C)(CCNCc1nc2cc(F)ccc2o1)c1ccccc1 ZINC001308221318 1065828238 /nfs/dbraw/zinc/82/82/38/1065828238.db2.gz QKFRQPSXBGYHAR-UHFFFAOYSA-N 0 3 312.388 4.424 20 0 DIADHN CO[C@@H](CNCc1cnc(C2CCCC2)s1)c1ccccc1 ZINC001308223154 1065829849 /nfs/dbraw/zinc/82/98/49/1065829849.db2.gz MLGILYXXLMXEOR-KRWDZBQOSA-N 0 3 316.470 4.278 20 0 DIADHN CCCCOc1ccc(CNCc2ccc([C@@H](C)O)cc2)cc1 ZINC001308224635 1065831915 /nfs/dbraw/zinc/83/19/15/1065831915.db2.gz MILCVUKNIAEZKF-MRXNPFEDSA-N 0 3 313.441 4.209 20 0 DIADHN c1ccc([C@H](NCc2ccc3c(c2)CCC3)[C@@H]2CCCO2)cc1 ZINC001308228494 1065833837 /nfs/dbraw/zinc/83/38/37/1065833837.db2.gz SBGYJJSOFNKBEB-SFTDATJTSA-N 0 3 307.437 4.185 20 0 DIADHN CCCCOc1ccccc1CN[C@@H](C)COc1ccccc1 ZINC001308229259 1065837292 /nfs/dbraw/zinc/83/72/92/1065837292.db2.gz YBYMZNFBJMFWTK-KRWDZBQOSA-N 0 3 313.441 4.423 20 0 DIADHN Cc1cccc(CNCc2ccc(Oc3ccccc3)nc2)c1 ZINC001308235136 1065841047 /nfs/dbraw/zinc/84/10/47/1065841047.db2.gz HFNFLPITGKPUQQ-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN CC(C)Oc1ccccc1CNCCN1CCCc2ccccc21 ZINC001308234636 1065841820 /nfs/dbraw/zinc/84/18/20/1065841820.db2.gz RRTORITVRVHCHT-UHFFFAOYSA-N 0 3 324.468 4.016 20 0 DIADHN C[C@H]1CCc2ccccc2N1CCNCc1ccsc1Cl ZINC001308262962 1065863743 /nfs/dbraw/zinc/86/37/43/1065863743.db2.gz DGJUWUOKOLYNTQ-ZDUSSCGKSA-N 0 3 320.889 4.332 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCc1ccc(C)cc1 ZINC001308269207 1065867654 /nfs/dbraw/zinc/86/76/54/1065867654.db2.gz QFAYVJJPECUYCN-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC001308267413 1065867913 /nfs/dbraw/zinc/86/79/13/1065867913.db2.gz WSWHDDXVSGSSBF-SDDDUWNISA-N 0 3 317.864 4.177 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2CCCO[C@H]2C(C)(C)C)c(F)c1 ZINC001308269891 1065869868 /nfs/dbraw/zinc/86/98/68/1065869868.db2.gz URIXTMJRZRHSIG-SUMWQHHRSA-N 0 3 311.416 4.204 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H]2CCCc3ccccc3C2)o1 ZINC001308276799 1065876798 /nfs/dbraw/zinc/87/67/98/1065876798.db2.gz YJBQNHFMAGZAPJ-PKOBYXMFSA-N 0 3 313.441 4.067 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1Cl)[C@H](O)c1ccccc1F ZINC001308291493 1065888477 /nfs/dbraw/zinc/88/84/77/1065888477.db2.gz UBCOGMBRQQHFMR-QZTJIDSGSA-N 0 3 321.823 4.389 20 0 DIADHN CC[C@@H](CNCc1cccc(F)c1F)Oc1cccc(F)c1 ZINC001308300265 1065895279 /nfs/dbraw/zinc/89/52/79/1065895279.db2.gz NVLSFPWYILGLTR-AWEZNQCLSA-N 0 3 309.331 4.051 20 0 DIADHN c1coc(-c2nc(CNC3CCc4ccccc4CC3)cs2)c1 ZINC001308305107 1065898162 /nfs/dbraw/zinc/89/81/62/1065898162.db2.gz AAMMRFCNOTXDOT-UHFFFAOYSA-N 0 3 324.449 4.440 20 0 DIADHN CSCc1cc(F)ccc1CNCc1cc(F)ccc1C ZINC001308304677 1065898639 /nfs/dbraw/zinc/89/86/39/1065898639.db2.gz AZUDSEAYOYDYEJ-UHFFFAOYSA-N 0 3 307.409 4.426 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1csc(-c2ccco2)n1 ZINC001308306796 1065900693 /nfs/dbraw/zinc/90/06/93/1065900693.db2.gz RPSGZEGHEZAFDK-DZGCQCFKSA-N 0 3 302.443 4.485 20 0 DIADHN Fc1ccc(CNCCc2c(F)cccc2Cl)c(F)c1F ZINC001308307445 1065901648 /nfs/dbraw/zinc/90/16/48/1065901648.db2.gz XVSARIUHAREIKW-UHFFFAOYSA-N 0 3 317.713 4.229 20 0 DIADHN Cc1cccc([C@H](O)CNCc2cc(Cl)ccc2Cl)c1 ZINC001308326246 1065914839 /nfs/dbraw/zinc/91/48/39/1065914839.db2.gz UQMQAKXHQZXXTN-MRXNPFEDSA-N 0 3 310.224 4.125 20 0 DIADHN Fc1ccc(F)c(CNCCCc2cccs2)c1Cl ZINC001308331898 1065919663 /nfs/dbraw/zinc/91/96/63/1065919663.db2.gz IHUFOXBRCIYGLT-UHFFFAOYSA-N 0 3 301.789 4.402 20 0 DIADHN COc1cccc(CNc2cc(C)cc(C)n2)c1OC(C)C ZINC001308336085 1065921241 /nfs/dbraw/zinc/92/12/41/1065921241.db2.gz DDSNVCKVIULYDT-UHFFFAOYSA-N 0 3 300.402 4.106 20 0 DIADHN Nc1c(Cl)cc(Cl)cc1CNC/C=C/c1ccccc1 ZINC001308347942 1065929790 /nfs/dbraw/zinc/92/97/90/1065929790.db2.gz BWJYRSKINNAFTI-QPJJXVBHSA-N 0 3 307.224 4.379 20 0 DIADHN c1ccc([C@@H](CC2CCC2)NCc2cccc3c2OCO3)cc1 ZINC001308352296 1065933334 /nfs/dbraw/zinc/93/33/34/1065933334.db2.gz AWJOCHHXVKCLGC-GOSISDBHSA-N 0 3 309.409 4.436 20 0 DIADHN CCc1ccc(CN[C@H]2CCCOc3cc(F)ccc32)s1 ZINC001308352557 1065933903 /nfs/dbraw/zinc/93/39/03/1065933903.db2.gz OFHAYSREMVDQCU-INIZCTEOSA-N 0 3 305.418 4.453 20 0 DIADHN Cn1ccnc1CNCC1(c2ccccc2Cl)CCCCC1 ZINC001308356202 1065936252 /nfs/dbraw/zinc/93/62/52/1065936252.db2.gz IDQSXHVPZOMKKC-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN CC[C@@H](NCc1cccc(C2CCCC2)c1)[C@H](O)C(F)(F)F ZINC001308357142 1065937222 /nfs/dbraw/zinc/93/72/22/1065937222.db2.gz PLPQWIZOLVQFGG-CVEARBPZSA-N 0 3 315.379 4.136 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cncc3ccccc31)CCC2 ZINC001308362270 1065940597 /nfs/dbraw/zinc/94/05/97/1065940597.db2.gz KFRSZFNYJUKKDI-IBGZPJMESA-N 0 3 320.440 4.179 20 0 DIADHN COc1cc(Cl)c(CNCCSCC(C)C)cc1Cl ZINC001308361496 1065940680 /nfs/dbraw/zinc/94/06/80/1065940680.db2.gz WDFSERSPVHUFIZ-UHFFFAOYSA-N 0 3 322.301 4.481 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1ccc3c(c1)CCC3)CCO2 ZINC001308366865 1065944171 /nfs/dbraw/zinc/94/41/71/1065944171.db2.gz SWOQGRVSJDDVFA-HXUWFJFHSA-N 0 3 307.437 4.351 20 0 DIADHN C[C@H](NCc1cccc(F)c1N)c1cc2ccccc2s1 ZINC001308373643 1065948188 /nfs/dbraw/zinc/94/81/88/1065948188.db2.gz OGHPDGUJCRJTPO-NSHDSACASA-N 0 3 300.402 4.473 20 0 DIADHN C[C@@](CO)(NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001308375235 1065949304 /nfs/dbraw/zinc/94/93/04/1065949304.db2.gz IFHWSXKCBRSLOK-NRFANRHFSA-N 0 3 309.453 4.027 20 0 DIADHN CC(C)[C@@H]1C[C@H]1CNCc1c(F)cccc1OC(F)(F)F ZINC001308407880 1065967962 /nfs/dbraw/zinc/96/79/62/1065967962.db2.gz RGBRTNLSFHWDKX-QWRGUYRKSA-N 0 3 305.315 4.106 20 0 DIADHN C[C@@H]1C[C@@H](NC/C=C/c2ccc(F)cc2F)c2ccccc2O1 ZINC001308412272 1065971323 /nfs/dbraw/zinc/97/13/23/1065971323.db2.gz WEKNZDBAZSLFTR-VSYMPVBISA-N 0 3 315.363 4.480 20 0 DIADHN CC/C=C\CNCc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC001308415485 1065973399 /nfs/dbraw/zinc/97/33/99/1065973399.db2.gz BCGAIMMEIMKMPH-PLNGDYQASA-N 0 3 324.424 4.003 20 0 DIADHN CCn1cnc(Cl)c1CNCCc1cc2ccccc2s1 ZINC001308415623 1065973443 /nfs/dbraw/zinc/97/34/43/1065973443.db2.gz HUWMFBYMUQYTDU-UHFFFAOYSA-N 0 3 319.861 4.103 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNCC[C@@H](C)F ZINC001308423373 1065977783 /nfs/dbraw/zinc/97/77/83/1065977783.db2.gz YIFMAJZSTTYGGW-CYBMUJFWSA-N 0 3 323.843 4.487 20 0 DIADHN CCCC1CCC(CN[C@@H](C)c2ncc(C(=O)OC)s2)CC1 ZINC001308441149 1065988551 /nfs/dbraw/zinc/98/85/51/1065988551.db2.gz FVKSCBLSLWMNQW-HSBZDZAISA-N 0 3 324.490 4.187 20 0 DIADHN CCCC1CCC(CN[C@H](C)c2ncc(C(=O)OC)s2)CC1 ZINC001308441150 1065988720 /nfs/dbraw/zinc/98/87/20/1065988720.db2.gz FVKSCBLSLWMNQW-IYXRBSQSSA-N 0 3 324.490 4.187 20 0 DIADHN COc1ccc(CNC2(c3ccc(Cl)cc3)CC2)c(C)c1 ZINC001308441701 1065990612 /nfs/dbraw/zinc/99/06/12/1065990612.db2.gz OXDAGDGCSKLCHI-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN c1csc(-c2nc(CNC[C@@H]3C[C@H]3C3CCC3)cs2)c1 ZINC001308442989 1065990855 /nfs/dbraw/zinc/99/08/55/1065990855.db2.gz CZUUMQTUKYUFNJ-JSGCOSHPSA-N 0 3 304.484 4.397 20 0 DIADHN CCc1cccc(CNCc2cc(F)cc(Br)c2)c1 ZINC001308452657 1065997057 /nfs/dbraw/zinc/99/70/57/1065997057.db2.gz HLHVWMCAHULLIQ-UHFFFAOYSA-N 0 3 322.221 4.440 20 0 DIADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1ccsc1Br ZINC001308455636 1065999910 /nfs/dbraw/zinc/99/99/10/1065999910.db2.gz BFMLSNAGXUSJJK-WDEREUQCSA-N 0 3 302.281 4.425 20 0 DIADHN Cn1cc(-c2ccc(CNCc3ccc4occc4c3)s2)cn1 ZINC001308455823 1066000308 /nfs/dbraw/zinc/00/03/08/1066000308.db2.gz QUNNFMDDXLXSPZ-UHFFFAOYSA-N 0 3 323.421 4.185 20 0 DIADHN CSc1ccc(CN[C@H]2C[C@H](OC(C)(C)C)C23CCC3)o1 ZINC001308464786 1066006059 /nfs/dbraw/zinc/00/60/59/1066006059.db2.gz BSKXMTNUALVIQC-KBPBESRZSA-N 0 3 309.475 4.217 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2ccc(-c3ccc(F)cc3)o2)O1 ZINC001308468065 1066008016 /nfs/dbraw/zinc/00/80/16/1066008016.db2.gz IXWDUZQBELGBPP-CZUORRHYSA-N 0 3 303.377 4.133 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H]2CCC[C@H](C)O2)c1Cl ZINC001308467515 1066008558 /nfs/dbraw/zinc/00/85/58/1066008558.db2.gz AMQGOEJFKHQGCY-GXFFZTMASA-N 0 3 302.245 4.349 20 0 DIADHN CO[C@H](CNCc1ccccc1OCC(C)C)C1CCCC1 ZINC001308476255 1066015153 /nfs/dbraw/zinc/01/51/53/1066015153.db2.gz MMHAVUOKHCAFPG-LJQANCHMSA-N 0 3 305.462 4.016 20 0 DIADHN CCC(C)(C)CCNCc1ncc(Br)cc1Cl ZINC001308486478 1066021253 /nfs/dbraw/zinc/02/12/53/1066021253.db2.gz PHIDCNZFPSDFFM-UHFFFAOYSA-N 0 3 319.674 4.413 20 0 DIADHN COc1cc(Cl)c(CN[C@]23C[C@H]2CCCC3)cc1Cl ZINC001308489546 1066023150 /nfs/dbraw/zinc/02/31/50/1066023150.db2.gz UWRSQMPTEACVEK-IAQYHMDHSA-N 0 3 300.229 4.424 20 0 DIADHN COc1cc(Cl)c(CN[C@@]23C[C@@H]2CCCC3)cc1Cl ZINC001308489547 1066023682 /nfs/dbraw/zinc/02/36/82/1066023682.db2.gz UWRSQMPTEACVEK-NHYWBVRUSA-N 0 3 300.229 4.424 20 0 DIADHN Cc1cccc(CN[C@@H](CCO)c2ccc(Cl)c(F)c2)c1C ZINC001308490584 1066024565 /nfs/dbraw/zinc/02/45/65/1066024565.db2.gz BPQUBSLSKWAHEJ-SFHVURJKSA-N 0 3 321.823 4.309 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCCCCC1CC1 ZINC001308493502 1066025517 /nfs/dbraw/zinc/02/55/17/1066025517.db2.gz XBBPWHDJZQKHQS-UHFFFAOYSA-N 0 3 305.315 4.394 20 0 DIADHN CC(C)c1ccc(CNCc2cc3c([nH]c2=O)CCCC3)cc1 ZINC001308496426 1066028247 /nfs/dbraw/zinc/02/82/47/1066028247.db2.gz CWAGEZFNYWSJCD-UHFFFAOYSA-N 0 3 310.441 4.079 20 0 DIADHN Clc1ccc2cccnc2c1CNC[C@@H]1CCC2(CCC2)O1 ZINC001308502350 1066032980 /nfs/dbraw/zinc/03/29/80/1066032980.db2.gz ZPNMVVDCQPOVCT-AWEZNQCLSA-N 0 3 316.832 4.080 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001308512194 1066039086 /nfs/dbraw/zinc/03/90/86/1066039086.db2.gz BYSKURGTAQKQIT-CYBMUJFWSA-N 0 3 301.817 4.262 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H]1CCC2(CCC2)CO1 ZINC001308522075 1066043244 /nfs/dbraw/zinc/04/32/44/1066043244.db2.gz ORVQZPDKEMAVDJ-HNNXBMFYSA-N 0 3 323.864 4.178 20 0 DIADHN Clc1sccc1CNCCc1ccc2ncccc2c1 ZINC001308522081 1066043642 /nfs/dbraw/zinc/04/36/42/1066043642.db2.gz OTCDBHOOOSPXGV-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3CCS[C@H](C)C3)cs2)cc1 ZINC001308540294 1066057692 /nfs/dbraw/zinc/05/76/92/1066057692.db2.gz RRZQNXSFYXQVHC-HIFRSBDPSA-N 0 3 318.511 4.492 20 0 DIADHN CCc1ccc(CN[C@]2(CO)CC[C@H](C)c3ccccc32)s1 ZINC001308550345 1066063843 /nfs/dbraw/zinc/06/38/43/1066063843.db2.gz CXLWKRPEGAKLLG-LIRRHRJNSA-N 0 3 315.482 4.185 20 0 DIADHN Cc1ccnc(CNC[C@@H]2C[C@H]2C2CCCC2)c1Br ZINC001308552771 1066065031 /nfs/dbraw/zinc/06/50/31/1066065031.db2.gz GKZWWCARGFGUOQ-KBPBESRZSA-N 0 3 323.278 4.068 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)c1C ZINC001308554771 1066065573 /nfs/dbraw/zinc/06/55/73/1066065573.db2.gz LNLJTXKVIVKDOC-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN c1cc(CN2CCC(C3CCCC3)CC2)n(-c2ccccc2)n1 ZINC001308559845 1066070022 /nfs/dbraw/zinc/07/00/22/1066070022.db2.gz FQOAOJFEYFKCFT-UHFFFAOYSA-N 0 3 309.457 4.275 20 0 DIADHN CCCn1cncc1CN1CCC[C@@H](Cc2ccc(C)cc2)C1 ZINC001308563287 1066071741 /nfs/dbraw/zinc/07/17/41/1066071741.db2.gz XDTUZRHYXLAYNJ-IBGZPJMESA-N 0 3 311.473 4.056 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1)CC1(C(C)C)CC1 ZINC001308566528 1066074870 /nfs/dbraw/zinc/07/48/70/1066074870.db2.gz CXSCTSBYOSXSSM-UHFFFAOYSA-N 0 3 322.880 4.427 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN(Cc2ccccc2)C2CC2)o1 ZINC001308569653 1066078978 /nfs/dbraw/zinc/07/89/78/1066078978.db2.gz JIAHMEDOGCKLHF-UHFFFAOYSA-N 0 3 321.424 4.153 20 0 DIADHN CCN(C)c1ccc(CN2CCc3ccccc3[C@@H]2C(C)C)cn1 ZINC001308567358 1066079105 /nfs/dbraw/zinc/07/91/05/1066079105.db2.gz FDUWBCISUAJVOV-NRFANRHFSA-N 0 3 323.484 4.293 20 0 DIADHN CSc1ccc(CN2CCN(CCC(C)(C)C)CC2)s1 ZINC001308576791 1066090797 /nfs/dbraw/zinc/09/07/97/1066090797.db2.gz CISBUVKDOQFAHG-UHFFFAOYSA-N 0 3 312.548 4.024 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1N(C)Cc1ccc(CC(C)C)nc1 ZINC001308571379 1066082563 /nfs/dbraw/zinc/08/25/63/1066082563.db2.gz RMBRVHLOEDIQNQ-RTBURBONSA-N 0 3 318.505 4.306 20 0 DIADHN CC[C@](C)(CN1CCCC[C@H]1c1nc2ccccc2o1)OC ZINC001308574080 1066085809 /nfs/dbraw/zinc/08/58/09/1066085809.db2.gz BVPAZVDGTNYOFX-MAUKXSAKSA-N 0 3 302.418 4.170 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ccc(N(C)C(C)C)nc1 ZINC001308574077 1066085937 /nfs/dbraw/zinc/08/59/37/1066085937.db2.gz MAIFLUWVOZVDRL-HXUWFJFHSA-N 0 3 323.484 4.436 20 0 DIADHN COc1cc(F)c(CN2CCC[C@H](C(C)(C)C)CC2)cc1OC ZINC001308573787 1066086196 /nfs/dbraw/zinc/08/61/96/1066086196.db2.gz LDDLGJDZNKHMNC-HNNXBMFYSA-N 0 3 323.452 4.491 20 0 DIADHN CNc1ccccc1CN1CCS[C@H](C)[C@H]1c1ccccc1 ZINC001308573507 1066088350 /nfs/dbraw/zinc/08/83/50/1066088350.db2.gz KQABCRMUVYEGBP-BEFAXECRSA-N 0 3 312.482 4.407 20 0 DIADHN CCNc1ccccc1CN1CC=C(c2ccc(O)cc2)CC1 ZINC001308576036 1066090701 /nfs/dbraw/zinc/09/07/01/1066090701.db2.gz IEMHMFIKNMRRAS-UHFFFAOYSA-N 0 3 308.425 4.113 20 0 DIADHN Nc1ncccc1CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001308581249 1066096841 /nfs/dbraw/zinc/09/68/41/1066096841.db2.gz AKYUUQHENOJWFW-SYGIOELKSA-N 0 3 307.441 4.122 20 0 DIADHN C[C@H](N(C)Cc1cccc(F)c1OC(F)(F)F)C1(C)CC1 ZINC001308580038 1066096997 /nfs/dbraw/zinc/09/69/97/1066096997.db2.gz JWOBVXBEOZKHNJ-JTQLQIEISA-N 0 3 305.315 4.345 20 0 DIADHN CCc1ncc(CN2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)cn1 ZINC001308588549 1066101520 /nfs/dbraw/zinc/10/15/20/1066101520.db2.gz RSBPTOYGWMLNRC-OXJNMPFZSA-N 0 3 309.457 4.321 20 0 DIADHN CO[C@@H](CN(Cc1ccccc1)Cc1ccc(F)cc1)C1CC1 ZINC001308586357 1066101733 /nfs/dbraw/zinc/10/17/33/1066101733.db2.gz IFTJTHURKSDWPC-FQEVSTJZSA-N 0 3 313.416 4.253 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1ccc3occc3c1)C2 ZINC001308585510 1066101816 /nfs/dbraw/zinc/10/18/16/1066101816.db2.gz SLBVCZJYTZMAQL-UHFFFAOYSA-N 0 3 307.393 4.390 20 0 DIADHN COc1ccc(F)cc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC001308586812 1066101830 /nfs/dbraw/zinc/10/18/30/1066101830.db2.gz TXOQZBPVQLSQMD-KRWDZBQOSA-N 0 3 313.416 4.289 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1cc(OC)cnc1F ZINC001308592742 1066104787 /nfs/dbraw/zinc/10/47/87/1066104787.db2.gz AHAYDZQIKHSSKX-QGZVFWFLSA-N 0 3 316.420 4.073 20 0 DIADHN Fc1cccc(/C=C\CN2CCC(OC3CCCC3)CC2)c1 ZINC001308597200 1066108346 /nfs/dbraw/zinc/10/83/46/1066108346.db2.gz BQKRELAULYNRHL-XQRVVYSFSA-N 0 3 303.421 4.263 20 0 DIADHN Cc1sc(CN2CC[C@H](C3CC3)C2)cc1Br ZINC001308598701 1066108801 /nfs/dbraw/zinc/10/88/01/1066108801.db2.gz MQJNZEIFEBMPTM-NSHDSACASA-N 0 3 300.265 4.051 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@@H]([C@H]3CCOC3)C2)c1Cl ZINC001308600164 1066110065 /nfs/dbraw/zinc/11/00/65/1066110065.db2.gz XNEPFGPPRPETMD-OCCSQVGLSA-N 0 3 314.256 4.160 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN1CCN(c2ccccc2Cl)CC1 ZINC001308601091 1066110467 /nfs/dbraw/zinc/11/04/67/1066110467.db2.gz PGRHUCFBDHVCJU-CVEARBPZSA-N 0 3 306.881 4.288 20 0 DIADHN COc1cccc(-c2csc(CN3[C@H](C)CC[C@H]3C)n2)c1 ZINC001308602905 1066111994 /nfs/dbraw/zinc/11/19/94/1066111994.db2.gz HFFWPXIJLMXRRA-CHWSQXEVSA-N 0 3 302.443 4.192 20 0 DIADHN CCN(Cc1cccs1)Cc1cc2ccc(F)cc2[nH]c1=O ZINC001308602213 1066112153 /nfs/dbraw/zinc/11/21/53/1066112153.db2.gz WCNBBAXEKHLTNY-UHFFFAOYSA-N 0 3 316.401 4.163 20 0 DIADHN COC1CCC(CN2CCc3c(F)cc(F)cc3[C@@H]2C)CC1 ZINC001308603009 1066112196 /nfs/dbraw/zinc/11/21/96/1066112196.db2.gz JFZWTYCTSOMRSB-OPFPJEHXSA-N 0 3 309.400 4.089 20 0 DIADHN CC(C)CC[C@H]1CCCCN1Cc1c2c(nn1C)CCSC2 ZINC001308605061 1066112885 /nfs/dbraw/zinc/11/28/85/1066112885.db2.gz ZFVRAFKVHVOUHN-OAHLLOKOSA-N 0 3 321.534 4.000 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccc(Cl)cc2)cc(C)n1 ZINC001308612830 1066117712 /nfs/dbraw/zinc/11/77/12/1066117712.db2.gz URMCXAFMJHEDCJ-UHFFFAOYSA-N 0 3 318.848 4.253 20 0 DIADHN Fc1cccn2cc(CN3CCC[C@@H]3CC3CCCCC3)nc12 ZINC001308613650 1066119388 /nfs/dbraw/zinc/11/93/88/1066119388.db2.gz HWRRUWBGLPJTRX-QGZVFWFLSA-N 0 3 315.436 4.408 20 0 DIADHN COc1cnc(F)c(CN2CCC[C@@H]2CC2CCCCC2)c1 ZINC001308613771 1066119783 /nfs/dbraw/zinc/11/97/83/1066119783.db2.gz OBHZFZVAKAHVTC-MRXNPFEDSA-N 0 3 306.425 4.164 20 0 DIADHN COC[C@@H](C)Oc1ccc(CN(C)Cc2ccc(C)s2)cc1 ZINC001308618170 1066120325 /nfs/dbraw/zinc/12/03/25/1066120325.db2.gz DNRYIRYMLJBENH-CQSZACIVSA-N 0 3 319.470 4.102 20 0 DIADHN C[C@H]1CCN(Cc2cnc(CCc3ccccc3)s2)C[C@H]1C ZINC001308617440 1066120450 /nfs/dbraw/zinc/12/04/50/1066120450.db2.gz AEVQAKXBCBUGRE-JKSUJKDBSA-N 0 3 314.498 4.406 20 0 DIADHN C[C@H]1CN(Cc2cnc(CCc3ccccc3)s2)CC[C@@H]1C ZINC001308617438 1066120582 /nfs/dbraw/zinc/12/05/82/1066120582.db2.gz AEVQAKXBCBUGRE-HOTGVXAUSA-N 0 3 314.498 4.406 20 0 DIADHN CN1CCN(Cc2ccc(F)c3ccccc23)Cc2ccccc21 ZINC001308620803 1066121630 /nfs/dbraw/zinc/12/16/30/1066121630.db2.gz YTZJUPJZWAUTPG-UHFFFAOYSA-N 0 3 320.411 4.431 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1Cc2ccc(C(=O)OC)cc2C1 ZINC001308629024 1066126101 /nfs/dbraw/zinc/12/61/01/1066126101.db2.gz ZAGPTOGZBUFIEY-ZBFHGGJFSA-N 0 3 301.430 4.005 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c(F)c1 ZINC001308632674 1066128999 /nfs/dbraw/zinc/12/89/99/1066128999.db2.gz BJQLQLJCUOPHBV-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN COCCN(CCSCc1ccccc1)Cc1ccccc1 ZINC001308656458 1066140747 /nfs/dbraw/zinc/14/07/47/1066140747.db2.gz NQDNDFDCYLIEOC-UHFFFAOYSA-N 0 3 315.482 4.068 20 0 DIADHN CC1(CN(Cc2cc(Br)ccc2F)C2CC2)CC1 ZINC001308662288 1066143790 /nfs/dbraw/zinc/14/37/90/1066143790.db2.gz HSGFBORBVHLZLK-UHFFFAOYSA-N 0 3 312.226 4.353 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1ccc(N(C)C(C)C)nc1 ZINC001308666568 1066145956 /nfs/dbraw/zinc/14/59/56/1066145956.db2.gz SGOATSXLQUQJBJ-FQEVSTJZSA-N 0 3 323.484 4.263 20 0 DIADHN COC(=O)CCC(C)(C)NCc1ccc(Cl)c(C)c1Cl ZINC001308672584 1066148184 /nfs/dbraw/zinc/14/81/84/1066148184.db2.gz MEOZEJSROPSRFM-UHFFFAOYSA-N 0 3 318.244 4.123 20 0 DIADHN C[C@H](CCNCc1ccncc1Br)CC(C)(C)C ZINC001308695236 1066184965 /nfs/dbraw/zinc/18/49/65/1066184965.db2.gz ZUYKQILBHLOKQP-GFCCVEGCSA-N 0 3 313.283 4.396 20 0 DIADHN CCCSC[C@H](C)NCc1cccc(C)c1Br ZINC001308697798 1066186536 /nfs/dbraw/zinc/18/65/36/1066186536.db2.gz UAGBQOAKPIKNSK-LBPRGKRZSA-N 0 3 316.308 4.379 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CCCCC[C@@H]1C ZINC001308701910 1066189094 /nfs/dbraw/zinc/18/90/94/1066189094.db2.gz QKGUTRZAURFBHE-LBPRGKRZSA-N 0 3 303.475 4.346 20 0 DIADHN CCOc1cc(CN2CCC[C@H](C(C)(C)C)C2)c(F)cc1OC ZINC001308717432 1066196005 /nfs/dbraw/zinc/19/60/05/1066196005.db2.gz DXIBRVLVUDKYPN-HNNXBMFYSA-N 0 3 323.452 4.491 20 0 DIADHN CC(C)C[C@]1(CO)CCCN1Cc1cscc1C(F)(F)F ZINC001308732377 1066207328 /nfs/dbraw/zinc/20/73/28/1066207328.db2.gz HZNBGYKCUACRAB-AWEZNQCLSA-N 0 3 321.408 4.140 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1c(F)cccc1OC(F)F ZINC001308734027 1066209156 /nfs/dbraw/zinc/20/91/56/1066209156.db2.gz IJWQORDOODQFSM-MRVPVSSYSA-N 0 3 315.257 4.248 20 0 DIADHN COCCN(Cc1cccc(OC2CC2)c1F)[C@@H]1CC[C@@H](C)C1 ZINC001308733647 1066210035 /nfs/dbraw/zinc/21/00/35/1066210035.db2.gz ZHUKLSULUBFSJW-GDBMZVCRSA-N 0 3 321.436 4.004 20 0 DIADHN CO[C@@H]1C[C@H](N(C)Cc2ccccc2OCC(C)C)C12CCC2 ZINC001308766563 1066240684 /nfs/dbraw/zinc/24/06/84/1066240684.db2.gz LXKZIVSVCZKMSS-RBUKOAKNSA-N 0 3 317.473 4.111 20 0 DIADHN COc1ccc(CN2CC(C)(C)[C@H]2C(C)C)cc1OC1CC1 ZINC001308790026 1066264742 /nfs/dbraw/zinc/26/47/42/1066264742.db2.gz DMVJCONXVZXLGN-GOSISDBHSA-N 0 3 303.446 4.103 20 0 DIADHN Fc1nccc(Cl)c1CNCC[C@@H]1CCc2ccccc21 ZINC001308790448 1066266052 /nfs/dbraw/zinc/26/60/52/1066266052.db2.gz BPSGYNCLQIPDHS-ZDUSSCGKSA-N 0 3 304.796 4.084 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2nc(-c3ccccc3)cs2)CS1 ZINC001308796267 1066273464 /nfs/dbraw/zinc/27/34/64/1066273464.db2.gz DNLFGAFLDAPAJP-HOCLYGCPSA-N 0 3 318.511 4.430 20 0 DIADHN CC1(C)CN(Cc2cccc(Oc3ccccn3)c2)[C@H]1C1CC1 ZINC001308802398 1066277458 /nfs/dbraw/zinc/27/74/58/1066277458.db2.gz MLRKCZNWNLYRSB-IBGZPJMESA-N 0 3 308.425 4.494 20 0 DIADHN CCOc1cc(C[NH2+]C2(C3CCC3)CCC2)cc(Cl)c1[O-] ZINC001308808487 1066284716 /nfs/dbraw/zinc/28/47/16/1066284716.db2.gz DCHHVGWVMQFNFW-UHFFFAOYSA-N 0 3 309.837 4.257 20 0 DIADHN CCC1(c2ccccc2)CN(Cc2cncc3ccccc32)C1 ZINC001308809422 1066287444 /nfs/dbraw/zinc/28/74/44/1066287444.db2.gz ORGSGXLYTGYPJZ-UHFFFAOYSA-N 0 3 302.421 4.398 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC[C@H]2[C@@H](C1)C2(F)F ZINC001308809722 1066287648 /nfs/dbraw/zinc/28/76/48/1066287648.db2.gz CNAPOXINAOBYMP-QWHCGFSZSA-N 0 3 305.290 4.101 20 0 DIADHN CN(C)c1c(CN2CC[C@H](CC(C)(C)C)C2)ccc(F)c1F ZINC001308822023 1066296253 /nfs/dbraw/zinc/29/62/53/1066296253.db2.gz ZGXUOPMSZNKYTO-CYBMUJFWSA-N 0 3 310.432 4.289 20 0 DIADHN CCOc1cc(CN2CC[C@H](CC(C)(C)C)C2)c(F)cc1OC ZINC001308824043 1066299477 /nfs/dbraw/zinc/29/94/77/1066299477.db2.gz YEUJJLSSRHFSQZ-CQSZACIVSA-N 0 3 323.452 4.491 20 0 DIADHN COc1cc(CN2C[C@H](C)[C@H](C)[C@@H]2C)cc(C(F)(F)F)c1 ZINC001308826377 1066300535 /nfs/dbraw/zinc/30/05/35/1066300535.db2.gz RIPUQZOJHVEYDR-SRVKXCTJSA-N 0 3 301.352 4.190 20 0 DIADHN COc1cc(CN2C[C@H](C)[C@@H](C)[C@@H]2C)cc(C(F)(F)F)c1 ZINC001308826378 1066301555 /nfs/dbraw/zinc/30/15/55/1066301555.db2.gz RIPUQZOJHVEYDR-TUAOUCFPSA-N 0 3 301.352 4.190 20 0 DIADHN CCc1ncc(CN(Cc2ccc(C)cc2C)CC(C)C)cn1 ZINC001308834998 1066312703 /nfs/dbraw/zinc/31/27/03/1066312703.db2.gz USYOLTIVUKCSEL-UHFFFAOYSA-N 0 3 311.473 4.314 20 0 DIADHN Fc1ccc(CCN2CCC[C@]3(CC3(F)F)C2)c(Cl)c1 ZINC001308845305 1066321543 /nfs/dbraw/zinc/32/15/43/1066321543.db2.gz GPZWVDSBHJQWDC-AWEZNQCLSA-N 0 3 303.755 4.143 20 0 DIADHN Cc1occc1CN1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@H]1C ZINC001308846224 1066323670 /nfs/dbraw/zinc/32/36/70/1066323670.db2.gz OIVQVJIOMTVAKK-GVDBMIGSSA-N 0 3 312.457 4.071 20 0 DIADHN Cc1ccc2ncc(CN3CCC(C4CCCCC4)CC3)n2c1 ZINC001308849714 1066325231 /nfs/dbraw/zinc/32/52/31/1066325231.db2.gz BQQGBYLWOMJJAO-UHFFFAOYSA-N 0 3 311.473 4.435 20 0 DIADHN C[C@H]1CN(Cc2cncc3ccccc32)CCc2ccccc21 ZINC001308849987 1066327940 /nfs/dbraw/zinc/32/79/40/1066327940.db2.gz KRKNROSQWVKKFF-INIZCTEOSA-N 0 3 302.421 4.397 20 0 DIADHN Cc1cc(F)ncc1CN1CCCC[C@H]1Cc1ccccc1F ZINC001308851971 1066329575 /nfs/dbraw/zinc/32/95/75/1066329575.db2.gz OSSBDGYLFIIUJK-KRWDZBQOSA-N 0 3 316.395 4.265 20 0 DIADHN Cn1ncc(C2CCC2)c1CN1CCC(C2CCCCC2)CC1 ZINC001308851945 1066331321 /nfs/dbraw/zinc/33/13/21/1066331321.db2.gz OFWSBTSAWAPQAL-UHFFFAOYSA-N 0 3 315.505 4.480 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCO[C@@](C)(C3CC3)C2)cc1 ZINC001308858398 1066338701 /nfs/dbraw/zinc/33/87/01/1066338701.db2.gz VEPDFJAJLGNLCS-HXUWFJFHSA-N 0 3 301.474 4.028 20 0 DIADHN CCOC(=O)c1cc(CN2CCCC3(CCCC3)CC2)[nH]c1C ZINC001308862083 1066341854 /nfs/dbraw/zinc/34/18/54/1066341854.db2.gz PWEPKGHEHCBTPJ-UHFFFAOYSA-N 0 3 318.461 4.046 20 0 DIADHN CC1(C)CC[C@@H](N[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001308870465 1066351963 /nfs/dbraw/zinc/35/19/63/1066351963.db2.gz CQCBVMQWFBNNNY-ZWNOBZJWSA-N 0 3 302.245 4.195 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2ccccc2C)[nH]c1C(C)C ZINC001308885397 1066365989 /nfs/dbraw/zinc/36/59/89/1066365989.db2.gz CHQCJYZNIPUJND-CQSZACIVSA-N 0 3 314.429 4.084 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2ccc(OC)c(OC)c2F)C1 ZINC001308886915 1066368123 /nfs/dbraw/zinc/36/81/23/1066368123.db2.gz SLIKRPZFUHHQFJ-ZRUQZJFASA-N 0 3 307.409 4.021 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(C)cc3F)C2)cc1F ZINC001308887570 1066369888 /nfs/dbraw/zinc/36/98/88/1066369888.db2.gz WXHQHQWNPKIOGZ-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@@H](CCN1CCC[C@]1(C)C(=O)OC(C)(C)C)c1ccccc1 ZINC001308889845 1066371638 /nfs/dbraw/zinc/37/16/38/1066371638.db2.gz QMQDAGXYOBTSGM-OXJNMPFZSA-N 0 3 317.473 4.376 20 0 DIADHN C[C@@H](NCc1cc(Cl)cc(Cl)c1F)[C@H]1CCCCO1 ZINC001308903255 1066382752 /nfs/dbraw/zinc/38/27/52/1066382752.db2.gz YMMIHWMEIYBQTD-NOZJJQNGSA-N 0 3 306.208 4.180 20 0 DIADHN C[C@@H](CCc1ccsc1)NCc1noc2ccc(F)cc21 ZINC001308905054 1066383485 /nfs/dbraw/zinc/38/34/85/1066383485.db2.gz BKAHEAVZHILPRD-NSHDSACASA-N 0 3 304.390 4.139 20 0 DIADHN Cc1occc1CN1CC(Cc2cccc(Br)c2)C1 ZINC001308904452 1066383513 /nfs/dbraw/zinc/38/35/13/1066383513.db2.gz WJFIYTKEKTVUFV-UHFFFAOYSA-N 0 3 320.230 4.025 20 0 DIADHN Clc1cccc(C2(CNCc3cc(C4CC4)no3)CCC2)c1 ZINC001308906464 1066385399 /nfs/dbraw/zinc/38/53/99/1066385399.db2.gz SIRLFTQQOBDEKR-UHFFFAOYSA-N 0 3 316.832 4.417 20 0 DIADHN CCOc1ccc(CN2CC([C@@H]3CCC[C@@H](C)C3)C2)cc1OC ZINC001308910695 1066388640 /nfs/dbraw/zinc/38/86/40/1066388640.db2.gz AFHFFBRXXWIKAZ-NVXWUHKLSA-N 0 3 317.473 4.352 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC([C@@H]2CCC[C@@H](C)C2)C1 ZINC001308911665 1066389359 /nfs/dbraw/zinc/38/93/59/1066389359.db2.gz KDFHGPDGSQIFFX-GDBMZVCRSA-N 0 3 309.457 4.196 20 0 DIADHN Cc1ccc(CNC2CC(Sc3ccccc3)C2)c(Cl)n1 ZINC001308918217 1066397606 /nfs/dbraw/zinc/39/76/06/1066397606.db2.gz NEGSOSGISHUWOL-UHFFFAOYSA-N 0 3 318.873 4.456 20 0 DIADHN CC1(C)CCc2onc(CN[C@@H]3CCCC34CCCC4)c2C1 ZINC001308927907 1066402798 /nfs/dbraw/zinc/40/27/98/1066402798.db2.gz WNUIDUBTSVQINK-QGZVFWFLSA-N 0 3 302.462 4.392 20 0 DIADHN CC1(C)CCc2onc(CN[C@H]3CCCC34CCCC4)c2C1 ZINC001308927906 1066403469 /nfs/dbraw/zinc/40/34/69/1066403469.db2.gz WNUIDUBTSVQINK-KRWDZBQOSA-N 0 3 302.462 4.392 20 0 DIADHN C[C@@H](NC[C@H]1CC2(CCC2)CO1)c1ccc(-c2ccccc2)cn1 ZINC001308944385 1066411302 /nfs/dbraw/zinc/41/13/02/1066411302.db2.gz RCEYOELUIWVREF-VQIMIIECSA-N 0 3 322.452 4.358 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC[C@H](c3ccn(C)n3)C2)cc1C ZINC001308945834 1066413432 /nfs/dbraw/zinc/41/34/32/1066413432.db2.gz RMTFUKUUTHVDKH-BPJPHKDRSA-N 0 3 323.484 4.238 20 0 DIADHN CC1(C)[C@H](Oc2ccccc2)C[C@@H]1N[C@H]1CCCc2cccnc21 ZINC001308963621 1066421225 /nfs/dbraw/zinc/42/12/25/1066421225.db2.gz OXJGFZFMZZXTEB-GBESFXJTSA-N 0 3 322.452 4.295 20 0 DIADHN CC1(C)[C@H](N[C@H]2CCCc3cccnc32)C[C@@H]1Oc1ccccc1 ZINC001308963625 1066422071 /nfs/dbraw/zinc/42/20/71/1066422071.db2.gz OXJGFZFMZZXTEB-OTWHNJEPSA-N 0 3 322.452 4.295 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2C[C@@H](c3ccccc3)Oc3ccccc32)CO1 ZINC001308965939 1066422940 /nfs/dbraw/zinc/42/29/40/1066422940.db2.gz ZJGJLTQAWVGMLC-CAUGKJMWSA-N 0 3 309.409 4.019 20 0 DIADHN FC1(C2CC2)CCN(Cc2cc3cc(Cl)cnc3o2)CC1 ZINC001308964766 1066423153 /nfs/dbraw/zinc/42/31/53/1066423153.db2.gz DDULAVDUFGGAHF-UHFFFAOYSA-N 0 3 308.784 4.195 20 0 DIADHN ClC1(Cl)C[C@H]1CN[C@@H](Cc1ccccc1)c1ccccn1 ZINC001308967840 1066424404 /nfs/dbraw/zinc/42/44/04/1066424404.db2.gz KQAWZLJVRMFISK-HOCLYGCPSA-N 0 3 321.251 4.149 20 0 DIADHN Cc1cccnc1[C@H](C)N[C@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC001308976746 1066428941 /nfs/dbraw/zinc/42/89/41/1066428941.db2.gz BZVHWHZCDWFNOB-SZMVWBNQSA-N 0 3 310.441 4.287 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cccc(C(F)(F)F)c1 ZINC000078943024 185133160 /nfs/dbraw/zinc/13/31/60/185133160.db2.gz WHKQEUYPILNZOD-OAHLLOKOSA-N 0 3 307.315 4.188 20 0 DIADHN CSCCCCCNCc1cscc1Br ZINC000308799138 231094903 /nfs/dbraw/zinc/09/49/03/231094903.db2.gz DFCDSKFHXPEGDM-UHFFFAOYSA-N 0 3 308.310 4.134 20 0 DIADHN C[C@@H](NCCc1nc(C2CCCCC2)cs1)c1ccccn1 ZINC000090046517 185279787 /nfs/dbraw/zinc/27/97/87/185279787.db2.gz XDEVAODSQDHWAD-CQSZACIVSA-N 0 3 315.486 4.479 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C)c1cnn(C)c1 ZINC000090114942 185282746 /nfs/dbraw/zinc/28/27/46/185282746.db2.gz LHZGTIJMPHDCPN-CZAMWMTLSA-N 0 3 303.837 4.121 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)[C@H](C)[C@@H](N)c2ccccc2)cc1 ZINC000236663532 201953097 /nfs/dbraw/zinc/95/30/97/201953097.db2.gz GIMQOJUORIUJMZ-DNVCBOLYSA-N 0 3 310.441 4.109 20 0 DIADHN COc1ccc(-c2nc(CN3[C@H](C)CCC[C@@H]3C)co2)cc1 ZINC000072101300 191036695 /nfs/dbraw/zinc/03/66/95/191036695.db2.gz IWYLYYLQGOMTNP-OKILXGFUSA-N 0 3 300.402 4.113 20 0 DIADHN CCCCC[C@@H](C)NCc1coc(-c2cccc(OC)c2)n1 ZINC000072181149 191072797 /nfs/dbraw/zinc/07/27/97/191072797.db2.gz RLZKWRQSNRGHRE-CQSZACIVSA-N 0 3 302.418 4.409 20 0 DIADHN CC[C@@H](NCCc1cnn(C)c1)c1ccc(Cl)cc1Cl ZINC000148807493 186046092 /nfs/dbraw/zinc/04/60/92/186046092.db2.gz BYKFXPNJMIHWSP-OAHLLOKOSA-N 0 3 312.244 4.010 20 0 DIADHN c1ccc(CCN2CCC[C@@H]2c2nc3ccccc3s2)nc1 ZINC000072589921 191198640 /nfs/dbraw/zinc/19/86/40/191198640.db2.gz BGUVVUSOLOORHN-MRXNPFEDSA-N 0 3 309.438 4.071 20 0 DIADHN Fc1cccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)c1 ZINC000004315270 181096321 /nfs/dbraw/zinc/09/63/21/181096321.db2.gz UGSRDLWOXXBHBD-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CCOC[C@@H]1CCN(Cc2nc3ccccc3c(C)c2Cl)C1 ZINC000081519045 192279549 /nfs/dbraw/zinc/27/95/49/192279549.db2.gz SHAUHLOONORSQP-CQSZACIVSA-N 0 3 318.848 4.055 20 0 DIADHN c1ccc2c(c1)cccc2CNCc1ccc2c(n1)CCCC2 ZINC000444009789 370692489 /nfs/dbraw/zinc/69/24/89/370692489.db2.gz OJQQKNXVFUDUIX-UHFFFAOYSA-N 0 3 302.421 4.403 20 0 DIADHN Cc1nc(C)c(CCN[C@H](C)c2cc3ccccc3o2)s1 ZINC000158009049 370698698 /nfs/dbraw/zinc/69/86/98/370698698.db2.gz IZIMUCANZMWUSR-LLVKDONJSA-N 0 3 300.427 4.399 20 0 DIADHN C[C@H](NC[C@]1(C)C[C@H]1c1ccccc1)c1cn2ccccc2n1 ZINC000568107833 370704575 /nfs/dbraw/zinc/70/45/75/370704575.db2.gz ZRUSVKKUJSSAMS-KNBMTAEXSA-N 0 3 305.425 4.179 20 0 DIADHN C[C@@H](NCC1CC(c2ccccc2)C1)c1cn2ccccc2n1 ZINC000584853888 370708787 /nfs/dbraw/zinc/70/87/87/370708787.db2.gz SDHOEYOITQTISE-KLHKWILBSA-N 0 3 305.425 4.179 20 0 DIADHN c1ccc([C@@H](NCc2ccnc(N3CCCCC3)c2)C2CC2)cc1 ZINC000091287576 193031336 /nfs/dbraw/zinc/03/13/36/193031336.db2.gz CEXCHIYZTBECBS-OAQYLSRUSA-N 0 3 321.468 4.313 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@H](c2ccccc2)C2CC2)c1 ZINC000091287282 193031890 /nfs/dbraw/zinc/03/18/90/193031890.db2.gz UJTUELHNYCUUNT-HXUWFJFHSA-N 0 3 322.452 4.067 20 0 DIADHN COc1cccc2c1CC[C@H](N[C@@H](C)c1cccc(F)c1F)C2 ZINC000426030992 334995546 /nfs/dbraw/zinc/99/55/46/334995546.db2.gz QBTVVHXCRFQDES-JSGCOSHPSA-N 0 3 317.379 4.182 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](C)c2ccccc2Br)CCO1 ZINC000148867441 334999136 /nfs/dbraw/zinc/99/91/36/334999136.db2.gz KZOHYBSTCHMUSO-FRRDWIJNSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1ccsc1CN[C@H](C)c1ccc2c(c1)OCCCO2 ZINC000092253026 193181607 /nfs/dbraw/zinc/18/16/07/193181607.db2.gz HQECLMXVIMVKSE-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN c1ccc2c(c1)cccc2CN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000563781777 335006610 /nfs/dbraw/zinc/00/66/10/335006610.db2.gz KTVWPFGZMLIJJM-PMACEKPBSA-N 0 3 307.437 4.373 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H](CO)C3CCCC3)oc21 ZINC000563783280 335006821 /nfs/dbraw/zinc/00/68/21/335006821.db2.gz DEEHOPCWQLNIOD-BBRMVZONSA-N 0 3 317.429 4.033 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)[C@H](c1ccccc1)C(C)C ZINC000093361684 193238347 /nfs/dbraw/zinc/23/83/47/193238347.db2.gz VSEQEGXNZCEJJH-FQEVSTJZSA-N 0 3 310.441 4.474 20 0 DIADHN CC[C@H]1CCCCN1CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000016334073 182041266 /nfs/dbraw/zinc/04/12/66/182041266.db2.gz ZXDIEOCQHHMHDG-JXFKEZNVSA-N 0 3 324.468 4.282 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccc3ccccc3n2)C1 ZINC000563801040 335020219 /nfs/dbraw/zinc/02/02/19/335020219.db2.gz CEYJYYYJBQAOCH-UHFFFAOYSA-N 0 3 302.421 4.445 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Br)c1)c1ccccc1 ZINC000019878691 182159946 /nfs/dbraw/zinc/15/99/46/182159946.db2.gz OFHDMNMMHILHPJ-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN C[C@@H](NCc1ccc(Br)cc1)c1cccc(F)c1 ZINC000020147752 182192504 /nfs/dbraw/zinc/19/25/04/182192504.db2.gz UJMYRLBLNLBIAA-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000518826381 335021625 /nfs/dbraw/zinc/02/16/25/335021625.db2.gz PASAMHXAAOLOTL-UZLBHIALSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1ccc2c(c1)OCCCO2 ZINC000020556314 182231833 /nfs/dbraw/zinc/23/18/33/182231833.db2.gz XNBQMPTUHJBSHO-OLZOCXBDSA-N 0 3 303.427 4.321 20 0 DIADHN CC(C)C[C@H](CNCc1cccc(Cl)c1Cl)N(C)C ZINC000020564947 182233281 /nfs/dbraw/zinc/23/32/81/182233281.db2.gz NAKCPFVVLAETON-CYBMUJFWSA-N 0 3 303.277 4.059 20 0 DIADHN CCCOc1ccc(Br)cc1CN[C@H](C)CC ZINC000020929287 182254345 /nfs/dbraw/zinc/25/43/45/182254345.db2.gz TZERWMBDKSOGCQ-LLVKDONJSA-N 0 3 300.240 4.126 20 0 DIADHN COCC[C@H](NC[C@H](C)c1cccc(Cl)c1)c1ccco1 ZINC000525863531 335030255 /nfs/dbraw/zinc/03/02/55/335030255.db2.gz SAOSXZZKKQCBOR-BBRMVZONSA-N 0 3 307.821 4.404 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCO1)c1cc(F)c(Cl)cc1Cl ZINC000037242621 182874838 /nfs/dbraw/zinc/87/48/38/182874838.db2.gz YSWVLBDZCLJADU-FZNYLWTLSA-N 0 3 306.208 4.351 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2ccc(Cl)cc2)cn1 ZINC000190675409 335036799 /nfs/dbraw/zinc/03/67/99/335036799.db2.gz OKGPDNUGLWMGEZ-CYBMUJFWSA-N 0 3 303.837 4.042 20 0 DIADHN C[C@@H](NCc1cccs1)c1ccc(Br)s1 ZINC000038002001 182958675 /nfs/dbraw/zinc/95/86/75/182958675.db2.gz ABNSBVVKVVKLTP-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN Cc1cccc(COc2ccc(CNCc3ccncc3)cc2)c1 ZINC000040722657 183124658 /nfs/dbraw/zinc/12/46/58/183124658.db2.gz CELOSVYULUQMSL-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN OCCCCNCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC000040744136 183127859 /nfs/dbraw/zinc/12/78/59/183127859.db2.gz ZKZDVPAZTUVNDY-UHFFFAOYSA-N 0 3 314.212 4.116 20 0 DIADHN Cc1cc(C)cc(CNCc2cccc(Br)c2)c1 ZINC000042304832 183252438 /nfs/dbraw/zinc/25/24/38/183252438.db2.gz XUYSYMCPKDKBLK-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)NC1CCN(C)CC1 ZINC000043171495 183343166 /nfs/dbraw/zinc/34/31/66/183343166.db2.gz WHYNUKMCYVDTMD-UHFFFAOYSA-N 0 3 317.477 4.149 20 0 DIADHN CC(C)[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1cccnc1 ZINC000563836448 335038711 /nfs/dbraw/zinc/03/87/11/335038711.db2.gz RLOPMUZOWFLFOR-AQNXPRMDSA-N 0 3 310.441 4.289 20 0 DIADHN CN(C)C(=O)c1cccc(CN[C@H](c2ccccc2)C2CCC2)c1 ZINC000104702241 194029933 /nfs/dbraw/zinc/02/99/33/194029933.db2.gz FIAVKCLZLTXAMC-HXUWFJFHSA-N 0 3 322.452 4.019 20 0 DIADHN CCOc1cc(CN[C@@H]2CCCc3sccc32)ccc1O ZINC000061359879 184169059 /nfs/dbraw/zinc/16/90/59/184169059.db2.gz OSUIXKRWBVTYHK-CQSZACIVSA-N 0 3 303.427 4.020 20 0 DIADHN CC[C@@H](NCc1ccc(C)nc1)c1cccc(Br)c1 ZINC000062051326 184202715 /nfs/dbraw/zinc/20/27/15/184202715.db2.gz NHIVKAVQPPJWIV-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCc1nccs1 ZINC000063133908 184272808 /nfs/dbraw/zinc/27/28/08/184272808.db2.gz VKWUITFRXAWXBE-JTQLQIEISA-N 0 3 309.504 4.099 20 0 DIADHN C[C@H](NCc1ccsc1)c1ccc(Br)s1 ZINC000063152528 184273803 /nfs/dbraw/zinc/27/38/03/184273803.db2.gz DMRGNIKKSWLVGI-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN Cc1ccc2cc([C@H](C)NCCCNc3ccccn3)oc2c1 ZINC000426041942 335045661 /nfs/dbraw/zinc/04/56/61/335045661.db2.gz APGOMOZXCBQIIC-HNNXBMFYSA-N 0 3 309.413 4.289 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]nc2-c2cccc(Cl)c2)CCS1 ZINC000190686584 335046916 /nfs/dbraw/zinc/04/69/16/335046916.db2.gz XMXYYWVTBLSZQR-LBPRGKRZSA-N 0 3 321.877 4.058 20 0 DIADHN Brc1cncc([C@H](NCc2ccsc2)C2CC2)c1 ZINC000284066016 532979980 /nfs/dbraw/zinc/97/99/80/532979980.db2.gz QFNVHULWBSPSPL-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-c3ccncc3)cc2F)CCS1 ZINC000190694304 335048026 /nfs/dbraw/zinc/04/80/26/335048026.db2.gz BFOHPOKKMFCQOP-CQSZACIVSA-N 0 3 316.445 4.215 20 0 DIADHN CC[C@@H](NCc1ccc2cnn(C)c2c1)c1cccc(Cl)c1 ZINC000563845653 335048098 /nfs/dbraw/zinc/04/80/98/335048098.db2.gz RRYVFBISSAHEHL-QGZVFWFLSA-N 0 3 313.832 4.468 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000193999331 335058627 /nfs/dbraw/zinc/05/86/27/335058627.db2.gz JXOXHGRSHMRHRB-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN C[C@H](CC[S@@](C)=O)N[C@H](C)c1ccc(-c2cccs2)cc1 ZINC000271533587 129930973 /nfs/dbraw/zinc/93/09/73/129930973.db2.gz SKFVYTUKVSDSMC-ZMOMAAQPSA-N 0 3 321.511 4.223 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1ccnc1)c1ccccc1F ZINC000272280930 130015109 /nfs/dbraw/zinc/01/51/09/130015109.db2.gz MSDIUAOFIMJKII-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272683573 130052427 /nfs/dbraw/zinc/05/24/27/130052427.db2.gz VWWAIIKYEPRVME-SBHAEUEKSA-N 0 3 324.468 4.354 20 0 DIADHN COCC[C@@H](NC1CC(c2cccc(F)c2)C1)c1ccco1 ZINC000272913801 130074064 /nfs/dbraw/zinc/07/40/64/130074064.db2.gz ILWKCSNGWZYLJR-BDVYOWHSSA-N 0 3 303.377 4.032 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3nnc(-c4ccccc4)o3)C2)c1 ZINC000273048552 130085458 /nfs/dbraw/zinc/08/54/58/130085458.db2.gz FZMQMGXSYFRQJY-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC)c(F)c2)cc1C ZINC000273082727 130088180 /nfs/dbraw/zinc/08/81/80/130088180.db2.gz PRZGXVZXMMJSFZ-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2-n2cccn2)cc1C ZINC000273125520 130092275 /nfs/dbraw/zinc/09/22/75/130092275.db2.gz YWRNXLUZFOKCBF-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000273393668 130115408 /nfs/dbraw/zinc/11/54/08/130115408.db2.gz FBIMQLGVWFKIAS-OWQGQXMQSA-N 0 3 303.377 4.298 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1c(F)cccc1Cl)c1cccnc1 ZINC000273450737 130123783 /nfs/dbraw/zinc/12/37/83/130123783.db2.gz FZXOVPJUUGGDTE-HZPDHXFCSA-N 0 3 322.811 4.039 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(-c2cnn(C)c2)no1 ZINC000274407112 130232362 /nfs/dbraw/zinc/23/23/62/130232362.db2.gz KZUGMFJXUFFLCQ-LJQANCHMSA-N 0 3 324.428 4.048 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(-c2cnn(C)c2)no1 ZINC000274407111 130232399 /nfs/dbraw/zinc/23/23/99/130232399.db2.gz KZUGMFJXUFFLCQ-IBGZPJMESA-N 0 3 324.428 4.048 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cn(C)nc1C(F)F ZINC000274458473 130237852 /nfs/dbraw/zinc/23/78/52/130237852.db2.gz GVYLOZWYFFKRNY-HNNXBMFYSA-N 0 3 307.388 4.331 20 0 DIADHN Cn1cc(CN[C@H](c2ccc(F)cc2)C2CCC2)c(C(F)F)n1 ZINC000274461529 130238110 /nfs/dbraw/zinc/23/81/10/130238110.db2.gz HLQOBQHGBGHUSD-HNNXBMFYSA-N 0 3 323.362 4.128 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1ccc(Cl)cn1 ZINC000274750937 130259504 /nfs/dbraw/zinc/25/95/04/130259504.db2.gz HMKDDOFLZJQHGZ-DEYYWGMASA-N 0 3 317.864 4.077 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(C(C)(C)O)cc2)ccc1F ZINC000274789653 130262669 /nfs/dbraw/zinc/26/26/69/130262669.db2.gz RCNPTUGENZMUHN-AWEZNQCLSA-N 0 3 301.405 4.212 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274810831 130264212 /nfs/dbraw/zinc/26/42/12/130264212.db2.gz XVGUEQBDMYEHDM-JKDFXYPNSA-N 0 3 322.811 4.301 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)c1ccco1 ZINC000274926734 130275155 /nfs/dbraw/zinc/27/51/55/130275155.db2.gz QATIJZBLOJXSQY-BBRMVZONSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@H](c2ccccc2)C(F)(F)F)c(C)n1 ZINC000274985895 130280585 /nfs/dbraw/zinc/28/05/85/130280585.db2.gz KUTUPQZZTAGQBQ-BDJLRTHQSA-N 0 3 323.362 4.090 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H](NCc1cncc(F)c1)c1ccc(Cl)cc1 ZINC000275040673 130286753 /nfs/dbraw/zinc/28/67/53/130286753.db2.gz GVPGTNFGJSSEGS-LQAWEQHXSA-N 0 3 304.796 4.361 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H]3CCC[C@H]3c3ccccc3)o2)cc1 ZINC000275588676 130343878 /nfs/dbraw/zinc/34/38/78/130343878.db2.gz IVPWLOSWKQVUQG-ZWKOTPCHSA-N 0 3 319.408 4.163 20 0 DIADHN O=C(Nc1ccsc1Cl)c1ccc(CN2CCCC2)cc1 ZINC000275656383 130357071 /nfs/dbraw/zinc/35/70/71/130357071.db2.gz NPQYYGASVRPZGF-UHFFFAOYSA-N 0 3 320.845 4.250 20 0 DIADHN CC[C@@H](NCc1ccc(C(C)(C)O)cc1)c1cccc(F)c1 ZINC000275813246 130390695 /nfs/dbraw/zinc/39/06/95/130390695.db2.gz ZZEQNUVQZKZOMS-GOSISDBHSA-N 0 3 301.405 4.294 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C)nc1)CCCS2 ZINC000275849082 130398349 /nfs/dbraw/zinc/39/83/49/130398349.db2.gz KUDMXWFSGPTOOG-KRWDZBQOSA-N 0 3 314.454 4.115 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc2ncccc2c1 ZINC000276651451 130531104 /nfs/dbraw/zinc/53/11/04/130531104.db2.gz TZNGFTXUXYKVOB-LJQANCHMSA-N 0 3 304.393 4.108 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cnccn1 ZINC000280766908 130816677 /nfs/dbraw/zinc/81/66/77/130816677.db2.gz ZFGPUULNBZPSLK-WHOFXGATSA-N 0 3 321.346 4.092 20 0 DIADHN FC1(F)CC[C@H](CNCc2nc(-c3ccccc3)cs2)C1 ZINC000281629631 130874945 /nfs/dbraw/zinc/87/49/45/130874945.db2.gz LRNWFHVBBDJQCH-LBPRGKRZSA-N 0 3 308.397 4.335 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)c1cc(C)ccc1C ZINC000340179463 131008876 /nfs/dbraw/zinc/00/88/76/131008876.db2.gz CRMFVHSMLOSSRU-UHFFFAOYSA-N 0 3 324.468 4.027 20 0 DIADHN CC(C)(C)c1ncc(CN[C@H]2CC(C)(C)c3ccccc32)cn1 ZINC000284256593 131064504 /nfs/dbraw/zinc/06/45/04/131064504.db2.gz FZVVAPDKFCJNAM-KRWDZBQOSA-N 0 3 309.457 4.286 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)NCc2ccc(Cl)cn2)cc1 ZINC000284290395 131066551 /nfs/dbraw/zinc/06/65/51/131066551.db2.gz KFUHYIQXMVBODN-JBBXEZCESA-N 0 3 316.832 4.025 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)NCc2ccc(Cl)cn2)cc1 ZINC000284290398 131066808 /nfs/dbraw/zinc/06/68/08/131066808.db2.gz KFUHYIQXMVBODN-NXOUGTEYSA-N 0 3 316.832 4.025 20 0 DIADHN CC[C@@H](NCc1ccnc(OC(F)F)c1)c1ccc(F)cc1 ZINC000284428013 131079617 /nfs/dbraw/zinc/07/96/17/131079617.db2.gz ONXOCGRBQWTLHV-CQSZACIVSA-N 0 3 310.319 4.063 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@@H](C)c2ccc(C)s2)cc1 ZINC000284448223 131081600 /nfs/dbraw/zinc/08/16/00/131081600.db2.gz UBDUADLRONAWQR-YOEHRIQHSA-N 0 3 318.486 4.019 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1sc(C)nc1C)c1ccccc1 ZINC000284446995 131081842 /nfs/dbraw/zinc/08/18/42/131081842.db2.gz QRCKGBLMTQCSBF-BBRMVZONSA-N 0 3 304.459 4.140 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnc(OC)c(Cl)c2)cc1 ZINC000284550638 131091597 /nfs/dbraw/zinc/09/15/97/131091597.db2.gz XWFVQWJLESPDSZ-VXGBXAGGSA-N 0 3 320.820 4.164 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc3ncccc3c1)CCC2 ZINC000285057240 131134550 /nfs/dbraw/zinc/13/45/50/131134550.db2.gz ISVQSUUZVBPQPO-HXUWFJFHSA-N 0 3 304.393 4.108 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H]2CCCc3ccccc32)c1 ZINC000285244393 131150963 /nfs/dbraw/zinc/15/09/63/131150963.db2.gz WGGXIZXJBUFRLA-GOSISDBHSA-N 0 3 310.397 4.032 20 0 DIADHN C[C@H](NCC1CCC(C(F)(F)F)CC1)c1c(F)cncc1F ZINC000285398899 131164749 /nfs/dbraw/zinc/16/47/49/131164749.db2.gz DXUQKMRVICUDTB-WHXUTIOJSA-N 0 3 322.321 4.379 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC[C@@](O)(C(F)(F)F)CC1 ZINC000285510414 131175680 /nfs/dbraw/zinc/17/56/80/131175680.db2.gz JUBZOCMRXMZEMU-GJZGRUSLSA-N 0 3 319.342 4.056 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC[C@](O)(C(F)(F)F)CC1 ZINC000285510418 131175985 /nfs/dbraw/zinc/17/59/85/131175985.db2.gz JUBZOCMRXMZEMU-HUUCEWRRSA-N 0 3 319.342 4.056 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc2c(c1)OCCO2 ZINC000285520828 131176813 /nfs/dbraw/zinc/17/68/13/131176813.db2.gz RSBCAHYDLNAPAA-ZDUSSCGKSA-N 0 3 309.365 4.055 20 0 DIADHN CC[C@@H](NCc1sccc1OC)c1ccc(OC)c(OC)c1 ZINC000285553182 131180275 /nfs/dbraw/zinc/18/02/75/131180275.db2.gz QXEBRGWUYDCTCJ-CYBMUJFWSA-N 0 3 321.442 4.015 20 0 DIADHN CC[C@H](NCc1sccc1OC)c1ccc(OC)c(OC)c1 ZINC000285553186 131180758 /nfs/dbraw/zinc/18/07/58/131180758.db2.gz QXEBRGWUYDCTCJ-ZDUSSCGKSA-N 0 3 321.442 4.015 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1F ZINC000285554114 131180786 /nfs/dbraw/zinc/18/07/86/131180786.db2.gz FIINICRKINCJDT-ZDUSSCGKSA-N 0 3 312.388 4.475 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2ccc(Cl)nc2)cc1 ZINC000285571511 131182046 /nfs/dbraw/zinc/18/20/46/131182046.db2.gz GOVFZMNFFOJSGH-LBPRGKRZSA-N 0 3 306.862 4.449 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](CCc1ccccc1)c1ccccc1 ZINC000285584674 131183779 /nfs/dbraw/zinc/18/37/79/131183779.db2.gz XGKHCQYCVMLCNY-FQEVSTJZSA-N 0 3 319.452 4.192 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1)c1c(F)cncc1F ZINC000285660186 131190349 /nfs/dbraw/zinc/19/03/49/131190349.db2.gz MEPQYLDHUQTBRT-JURCDPSOSA-N 0 3 302.368 4.203 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2csc(-c3ccccc3)n2)c1 ZINC000285690195 131193383 /nfs/dbraw/zinc/19/33/83/131193383.db2.gz OKEFLTFDGMJDNZ-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1cc(C)cc(CN2CCC(Oc3ccncc3C)CC2)c1 ZINC000340212064 131194015 /nfs/dbraw/zinc/19/40/15/131194015.db2.gz KGWUEBHDMQZJIT-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3occc32)c(C)c1OC ZINC000285730658 131197060 /nfs/dbraw/zinc/19/70/60/131197060.db2.gz QRBXMSNGZUMAHH-BBRMVZONSA-N 0 3 315.413 4.333 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(F)c2)c(C)c1OC ZINC000285889357 131207813 /nfs/dbraw/zinc/20/78/13/131207813.db2.gz ZXHYXOXKZHRJFQ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1cnn(C)c1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000285958514 131212320 /nfs/dbraw/zinc/21/23/20/131212320.db2.gz NAHUCMWLRGBRTN-JOCQHMNTSA-N 0 3 324.255 4.071 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@H]2CCCO[C@H]2c2ccccc2)c1 ZINC000286032013 131216314 /nfs/dbraw/zinc/21/63/14/131216314.db2.gz KEUXPZKSGMCCAP-QRFRQXIXSA-N 0 3 310.441 4.209 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCC(=O)N3)c1C ZINC000286043941 131217088 /nfs/dbraw/zinc/21/70/88/131217088.db2.gz KSEIHJMRYPXTAP-GJZGRUSLSA-N 0 3 324.424 4.046 20 0 DIADHN CCOC1CC(CN2CCC[C@@H]2c2nc3ccccc3s2)C1 ZINC000286082010 131219084 /nfs/dbraw/zinc/21/90/84/131219084.db2.gz SYBNVCKQRAITPE-ZBCRRDGASA-N 0 3 316.470 4.248 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCC(=O)N3)c1 ZINC000286253529 131226194 /nfs/dbraw/zinc/22/61/94/131226194.db2.gz UMUIRAGFOCDPNV-GJZGRUSLSA-N 0 3 324.424 4.046 20 0 DIADHN OCCC[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1ccccc1 ZINC000286451598 131236665 /nfs/dbraw/zinc/23/66/65/131236665.db2.gz JVLPHJJANIYBMF-FHHGHWCOSA-N 0 3 317.379 4.081 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CCO)c2ccc(Cl)cc2)s1 ZINC000286892085 131254570 /nfs/dbraw/zinc/25/45/70/131254570.db2.gz DCLHVKJJDAKESG-IUODEOHRSA-N 0 3 309.862 4.484 20 0 DIADHN CN(Cc1cc(-c2cccs2)on1)C1CCC(F)(F)CC1 ZINC000286909054 131254791 /nfs/dbraw/zinc/25/47/91/131254791.db2.gz JNPMHGYCUHLEMX-UHFFFAOYSA-N 0 3 312.385 4.413 20 0 DIADHN Fc1ccc2[nH]cc(C3CCN(Cc4ccccn4)CC3)c2c1 ZINC000286917792 131255796 /nfs/dbraw/zinc/25/57/96/131255796.db2.gz KFGLYAMVUQEVDM-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN C[C@H](NC1CCC(C(F)F)CC1)c1nccn1-c1ccccc1 ZINC000286986311 131258655 /nfs/dbraw/zinc/25/86/55/131258655.db2.gz JHFDUDYVHICZSQ-NFOMZHRRSA-N 0 3 319.399 4.347 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCC2=CCCOC2)cc1Cl ZINC000287047385 131261243 /nfs/dbraw/zinc/26/12/43/131261243.db2.gz MQOCQBHNQMFKJZ-ZDUSSCGKSA-N 0 3 309.837 4.125 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000287164197 131266549 /nfs/dbraw/zinc/26/65/49/131266549.db2.gz UDABBZKGJUOZBX-XJKSGUPXSA-N 0 3 306.450 4.149 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000287231132 131270159 /nfs/dbraw/zinc/27/01/59/131270159.db2.gz YEHLWNQWAUBRDZ-YVEFUNNKSA-N 0 3 307.796 4.253 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000287231128 131270543 /nfs/dbraw/zinc/27/05/43/131270543.db2.gz YEHLWNQWAUBRDZ-SJKOYZFVSA-N 0 3 307.796 4.253 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(NC(=O)OC)c2)cc1 ZINC000287431038 131280094 /nfs/dbraw/zinc/28/00/94/131280094.db2.gz KOAUTKMRRLSXQO-AWEZNQCLSA-N 0 3 312.413 4.278 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(F)c2ccccc21 ZINC000287451171 131281184 /nfs/dbraw/zinc/28/11/84/131281184.db2.gz VCJWZBIOWQVPME-DJJJIMSYSA-N 0 3 323.415 4.441 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000287493201 131283425 /nfs/dbraw/zinc/28/34/25/131283425.db2.gz NKSLNXFCZWBQAE-UHFFFAOYSA-N 0 3 314.429 4.334 20 0 DIADHN c1ccc2c(c1)C[C@@H](N[C@@H]1CC3(CCC3)Oc3ccccc31)CO2 ZINC000340262141 131302267 /nfs/dbraw/zinc/30/22/67/131302267.db2.gz RWORUGSGJOMVNO-SJLPKXTDSA-N 0 3 321.420 4.026 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H](C(F)(F)F)C1)c1ccc(F)cc1F ZINC000287874177 131304018 /nfs/dbraw/zinc/30/40/18/131304018.db2.gz YBPGIUPHKLWMMR-BPNCWPANSA-N 0 3 323.305 4.115 20 0 DIADHN CC[C@@H](NCc1coc2ccccc12)c1ccc(C(=O)OC)cc1 ZINC000340300807 131350886 /nfs/dbraw/zinc/35/08/86/131350886.db2.gz JITFATZXGBJCAR-GOSISDBHSA-N 0 3 323.392 4.460 20 0 DIADHN CC[C@H](NCc1coc2ccccc12)c1ccc(C(=O)OC)cc1 ZINC000340300820 131351039 /nfs/dbraw/zinc/35/10/39/131351039.db2.gz JITFATZXGBJCAR-SFHVURJKSA-N 0 3 323.392 4.460 20 0 DIADHN CCCN(Cc1cnc([C@H](C)O)s1)[C@H]1CCc2ccccc21 ZINC000289060812 131355737 /nfs/dbraw/zinc/35/57/37/131355737.db2.gz JAHKXWFQEYGBSC-GUYCJALGSA-N 0 3 316.470 4.096 20 0 DIADHN OC[C@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1ccc(F)cc1 ZINC000289100274 131357927 /nfs/dbraw/zinc/35/79/27/131357927.db2.gz CCWZMAWNQQZROX-MOPGFXCFSA-N 0 3 324.399 4.008 20 0 DIADHN C[C@H](NCc1ccc([S@@](C)=O)cc1)c1cccc(C2CC2)c1 ZINC000340304280 131358146 /nfs/dbraw/zinc/35/81/46/131358146.db2.gz TYBOYVDTCNZBQN-RCDICMHDSA-N 0 3 313.466 4.152 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)[C@H]2CCCO2)cc(C)c1O ZINC000289259153 131369588 /nfs/dbraw/zinc/36/95/88/131369588.db2.gz MLHVMFMZTORDEB-RTBURBONSA-N 0 3 311.425 4.019 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@H](c2ccncc2)C1 ZINC000289321510 131373940 /nfs/dbraw/zinc/37/39/40/131373940.db2.gz KCLBXCMMRTWCSL-HOCLYGCPSA-N 0 3 307.397 4.163 20 0 DIADHN FC1(c2ccccn2)CCN(Cc2cccc3cccnc32)CC1 ZINC000289393056 131382387 /nfs/dbraw/zinc/38/23/87/131382387.db2.gz RCYXXNBIRPCUKH-UHFFFAOYSA-N 0 3 321.399 4.091 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2ccco2)CC1 ZINC000289571457 131397076 /nfs/dbraw/zinc/39/70/76/131397076.db2.gz ZRGFTTRVJZYHGQ-UHFFFAOYSA-N 0 3 309.413 4.029 20 0 DIADHN Cc1nnc(CCN[C@@H](c2ccccc2)c2ccc(C)cc2)s1 ZINC000289589872 131399071 /nfs/dbraw/zinc/39/90/71/131399071.db2.gz BZFCRIGJXPXSQY-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN Cc1nnc(CCN[C@H](C)c2cc3cc(Cl)ccc3o2)s1 ZINC000289793563 131416998 /nfs/dbraw/zinc/41/69/98/131416998.db2.gz NKMWLTRJHCHMAK-SECBINFHSA-N 0 3 321.833 4.139 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000293831375 131773922 /nfs/dbraw/zinc/77/39/22/131773922.db2.gz XAXJSXDBDJIFGE-CABCVRRESA-N 0 3 324.403 4.127 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c(F)cc(F)cc21)c1cccc(CO)c1 ZINC000297177377 132003434 /nfs/dbraw/zinc/00/34/34/132003434.db2.gz DSQQGQWWUVIIQC-CWTRNNRKSA-N 0 3 317.379 4.185 20 0 DIADHN COc1ccc([C@@H](Cc2ccccc2)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000297192179 132003479 /nfs/dbraw/zinc/00/34/79/132003479.db2.gz FNJLBVWLKSLOSI-MGPUTAFESA-N 0 3 321.424 4.053 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H]1CCCc2c(F)cc(F)cc21 ZINC000297275427 132006896 /nfs/dbraw/zinc/00/68/96/132006896.db2.gz KYDVFSCVESKSSD-XIKOKIGWSA-N 0 3 302.368 4.396 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000297815180 132035163 /nfs/dbraw/zinc/03/51/63/132035163.db2.gz QZQLSIPMWZUQTR-ABAIWWIYSA-N 0 3 311.351 4.476 20 0 DIADHN CCOc1cc(CN[C@H](C)c2csc(C)c2)ccc1OC ZINC000297861539 132037737 /nfs/dbraw/zinc/03/77/37/132037737.db2.gz UCGSITGTAIAGOG-CYBMUJFWSA-N 0 3 305.443 4.315 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](NCc1ccnc(N)c1)C(C)C ZINC000298894391 132082186 /nfs/dbraw/zinc/08/21/86/132082186.db2.gz LPRGNCLBRUOATM-SFHVURJKSA-N 0 3 309.413 4.205 20 0 DIADHN FC(F)Oc1cc(CN[C@@H]2CCCCc3ccccc32)ccn1 ZINC000340620377 132093678 /nfs/dbraw/zinc/09/36/78/132093678.db2.gz PBKJBXLNESIRGK-MRXNPFEDSA-N 0 3 318.367 4.240 20 0 DIADHN COCc1ccccc1CN[C@H]1CCCc2cc(OC)ccc21 ZINC000119562407 132134429 /nfs/dbraw/zinc/13/44/29/132134429.db2.gz ZDOPLRWRKSVJHC-FQEVSTJZSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1ccc(OC(F)(F)F)cc1 ZINC000120383383 132141937 /nfs/dbraw/zinc/14/19/37/132141937.db2.gz OXLCKPICONTRDI-NEPJUHHUSA-N 0 3 310.319 4.392 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc3cccnc31)CC2 ZINC000120502405 132144010 /nfs/dbraw/zinc/14/40/10/132144010.db2.gz VWDHXTUIPRLWIJ-LJQANCHMSA-N 0 3 304.393 4.021 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1cccc2ccccc21 ZINC000121056394 132151648 /nfs/dbraw/zinc/15/16/48/132151648.db2.gz PKNLEACGAAKFAZ-LIRRHRJNSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c1cnn2C)c1cccc2ccccc21 ZINC000121056069 132152233 /nfs/dbraw/zinc/15/22/33/132152233.db2.gz PKNLEACGAAKFAZ-IFXJQAMLSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000121504480 132155871 /nfs/dbraw/zinc/15/58/71/132155871.db2.gz PAVZYJARLIVPGD-NWDGAFQWSA-N 0 3 319.351 4.148 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2O)c1cccc(OC(F)F)c1 ZINC000121449590 132156281 /nfs/dbraw/zinc/15/62/81/132156281.db2.gz ZPKWRRMMMFBDRZ-BZNIZROVSA-N 0 3 319.351 4.332 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc2c(c1)CCCC2 ZINC000122107674 132161951 /nfs/dbraw/zinc/16/19/51/132161951.db2.gz MOZPJVVJCCGHCW-LIRRHRJNSA-N 0 3 309.457 4.027 20 0 DIADHN Cc1ccc(C)c([C@H](NC[C@](C)(O)C2CC2)c2ccccc2)c1 ZINC000391731390 335085397 /nfs/dbraw/zinc/08/53/97/335085397.db2.gz DUYPGSHHMOHHON-RTWAWAEBSA-N 0 3 309.453 4.143 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425553588 335086910 /nfs/dbraw/zinc/08/69/10/335086910.db2.gz MQVUCLWJYVBVSO-KBXCAEBGSA-N 0 3 303.421 4.168 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)[C@H](C)c1cnccn1 ZINC000425554094 335086952 /nfs/dbraw/zinc/08/69/52/335086952.db2.gz VHHLBSLFGWNMED-CYBMUJFWSA-N 0 3 323.362 4.121 20 0 DIADHN COC[C@@H](C)CN[C@@H](c1cccs1)c1ccc(Cl)cc1 ZINC000525874524 335088384 /nfs/dbraw/zinc/08/83/84/335088384.db2.gz JOOIGZYQWWRBST-BLLLJJGKSA-N 0 3 309.862 4.363 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425558926 335089720 /nfs/dbraw/zinc/08/97/20/335089720.db2.gz YKAYOSXSXUEADC-RDTXWAMCSA-N 0 3 303.421 4.168 20 0 DIADHN COc1cccc2cc([C@H](C)NCC3(OC)CCCC3)oc21 ZINC000320605329 335093326 /nfs/dbraw/zinc/09/33/26/335093326.db2.gz AVDQUYOMJJUGMO-ZDUSSCGKSA-N 0 3 303.402 4.051 20 0 DIADHN N[C@@H]1CCCc2cn(CCCc3cccc4ccccc43)nc21 ZINC000570563517 332773745 /nfs/dbraw/zinc/77/37/45/332773745.db2.gz BLIASJIVQMKTOQ-LJQANCHMSA-N 0 3 305.425 4.005 20 0 DIADHN CCOc1cc2nccc(N3CCCCC3)c2cc1OCC ZINC000570747269 332810153 /nfs/dbraw/zinc/81/01/53/332810153.db2.gz PTPRFBGTHXRYIE-UHFFFAOYSA-N 0 3 300.402 4.023 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(C(F)(F)F)c1)CC2 ZINC000173226613 332825441 /nfs/dbraw/zinc/82/54/41/332825441.db2.gz FEBHWIDJUPKHPC-QGZVFWFLSA-N 0 3 321.342 4.491 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2Br)c1ccncc1 ZINC000174048909 332844059 /nfs/dbraw/zinc/84/40/59/332844059.db2.gz ORFCWYKARCMNRM-BDJLRTHQSA-N 0 3 317.230 4.182 20 0 DIADHN COc1ccc([C@@H](NCc2ccccc2N(C)C)C2CC2)cc1 ZINC000171127980 332855243 /nfs/dbraw/zinc/85/52/43/332855243.db2.gz PAFQSGNSEMOAMV-FQEVSTJZSA-N 0 3 310.441 4.002 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCC3(CCCCC3)O2)cc1 ZINC000318451590 332868926 /nfs/dbraw/zinc/86/89/26/332868926.db2.gz HHNUIIDAPWHRKT-MAUKXSAKSA-N 0 3 303.446 4.228 20 0 DIADHN CS[C@H](CO)[C@H](C)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000318518112 332892483 /nfs/dbraw/zinc/89/24/83/332892483.db2.gz RAFVVXCJLTZTBB-ZRGWGRIASA-N 0 3 315.482 4.117 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCc1cscn1 ZINC000108942086 331483905 /nfs/dbraw/zinc/48/39/05/331483905.db2.gz AFFMZJGIWCRSPM-JTQLQIEISA-N 0 3 309.504 4.099 20 0 DIADHN C[C@@H](NCc1ccc(-n2ccnc2)cc1)c1ccc(Cl)s1 ZINC000035253685 331490766 /nfs/dbraw/zinc/49/07/66/331490766.db2.gz FPMPJHLZGYLXCL-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(O)c1)c1ccc(Cl)cc1 ZINC000035257421 331491214 /nfs/dbraw/zinc/49/12/14/331491214.db2.gz CBQYVXFXEABNNG-OAHLLOKOSA-N 0 3 305.805 4.295 20 0 DIADHN CCC[C@H](NCc1ccccc1-n1ccc(C)n1)c1cccnc1 ZINC000186122749 332913302 /nfs/dbraw/zinc/91/33/02/332913302.db2.gz VHALMSOPVVLELV-IBGZPJMESA-N 0 3 320.440 4.207 20 0 DIADHN CCC(O)(CC)C(C)(C)CN[C@@H](C)c1nccc2ccccc21 ZINC000517177387 331509812 /nfs/dbraw/zinc/50/98/12/331509812.db2.gz QCWNFKFQNUKVBA-HNNXBMFYSA-N 0 3 314.473 4.463 20 0 DIADHN COc1ccc([C@@H](C)CNC2(c3nc(C)cs3)CCC2)cc1 ZINC000538396813 331515561 /nfs/dbraw/zinc/51/55/61/331515561.db2.gz CPVPBOMXIMYTNK-ZDUSSCGKSA-N 0 3 316.470 4.233 20 0 DIADHN CC(C)C[C@@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037033588 331533880 /nfs/dbraw/zinc/53/38/80/331533880.db2.gz FDKFKYBHDJYJNP-MRXNPFEDSA-N 0 3 306.475 4.033 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H]1CCSc2ccc(Cl)cc21 ZINC000037302917 331552168 /nfs/dbraw/zinc/55/21/68/331552168.db2.gz JIZQSLWTIZGMSV-QLFBSQMISA-N 0 3 311.878 4.424 20 0 DIADHN CC[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(Cl)c(Cl)c1 ZINC000037319809 331555110 /nfs/dbraw/zinc/55/51/10/331555110.db2.gz HQDTUDKVPNXTOB-XHDPSFHLSA-N 0 3 312.244 4.319 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC000180168415 331563890 /nfs/dbraw/zinc/56/38/90/331563890.db2.gz YGTFOAFEKAFQEA-ZIAGYGMSSA-N 0 3 302.462 4.067 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](c1ccccc1)c1ccccn1 ZINC000180448781 331569627 /nfs/dbraw/zinc/56/96/27/331569627.db2.gz FURUPMAVHCSKSB-IERDGZPVSA-N 0 3 318.420 4.097 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CO)CCC(C)(C)C)cc1Cl ZINC000530529570 331572335 /nfs/dbraw/zinc/57/23/35/331572335.db2.gz KVNZJJICDANNCR-TZMCWYRMSA-N 0 3 313.869 4.186 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CO)CCC(C)(C)C)cc1Cl ZINC000530529568 331572366 /nfs/dbraw/zinc/57/23/66/331572366.db2.gz KVNZJJICDANNCR-JSGCOSHPSA-N 0 3 313.869 4.186 20 0 DIADHN Cc1cccc(NC2CCN(Cc3ccccc3N(C)C)CC2)c1 ZINC000533530904 331573485 /nfs/dbraw/zinc/57/34/85/331573485.db2.gz QDWFDXUIILUJKZ-UHFFFAOYSA-N 0 3 323.484 4.138 20 0 DIADHN c1coc([C@@H](CN[C@@H]2CCCc3sccc32)N2CCCC2)c1 ZINC000102196133 332917663 /nfs/dbraw/zinc/91/76/63/332917663.db2.gz AABQMZWLNWAGLG-HZPDHXFCSA-N 0 3 316.470 4.145 20 0 DIADHN FC(F)(F)CCCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000317203885 331598990 /nfs/dbraw/zinc/59/89/90/331598990.db2.gz VEBADCYBDAHGEQ-INIZCTEOSA-N 0 3 308.347 4.493 20 0 DIADHN CCCCCc1ccc([C@@H](NCc2ccnn2C)C2CC2)cc1 ZINC000538059208 331599171 /nfs/dbraw/zinc/59/91/71/331599171.db2.gz OCQGDQCTNIOZFN-HXUWFJFHSA-N 0 3 311.473 4.394 20 0 DIADHN C[C@@H](CCO)C1(CNCc2c(Cl)oc3ccccc32)CCC1 ZINC000538446403 331611187 /nfs/dbraw/zinc/61/11/87/331611187.db2.gz SDMVWBPTWICRPQ-ZDUSSCGKSA-N 0 3 321.848 4.365 20 0 DIADHN Cc1ccc(C)c(Cc2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC000530632269 331613871 /nfs/dbraw/zinc/61/38/71/331613871.db2.gz ITLJSNHHSMPADI-UHFFFAOYSA-N 0 3 321.424 4.006 20 0 DIADHN Cn1ccc(CNCc2c(OC(F)F)ccc3ccccc32)c1 ZINC000530640404 331614126 /nfs/dbraw/zinc/61/41/26/331614126.db2.gz HTNIDWXZWOQXNZ-UHFFFAOYSA-N 0 3 316.351 4.070 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)NCc1csc2ccccc12 ZINC000530667510 331617033 /nfs/dbraw/zinc/61/70/33/331617033.db2.gz VMYRCXIAULVJDD-CQSZACIVSA-N 0 3 324.449 4.408 20 0 DIADHN CCN(C(=O)CN1[C@H](C)CC[C@H]1C)c1cccc2ccccc21 ZINC000530813692 331627884 /nfs/dbraw/zinc/62/78/84/331627884.db2.gz FWSBXYBZHPKORV-HZPDHXFCSA-N 0 3 310.441 4.066 20 0 DIADHN Fc1cc(CN[C@H]2CCCC(F)(F)C2)ccc1OC(F)F ZINC000533637721 331639107 /nfs/dbraw/zinc/63/91/07/331639107.db2.gz MUFYAOWAXDFOAF-JTQLQIEISA-N 0 3 309.278 4.095 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(F)cc2)cc1OC ZINC000074334651 331671022 /nfs/dbraw/zinc/67/10/22/331671022.db2.gz NCXXJWYZFCOXII-OLZOCXBDSA-N 0 3 303.377 4.255 20 0 DIADHN F[C@@H]1CC[C@H](NCc2ccc(Br)cc2Cl)C1 ZINC000309495912 331677395 /nfs/dbraw/zinc/67/73/95/331677395.db2.gz KDCGSWMXFGYCLU-MNOVXSKESA-N 0 3 306.606 4.083 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)c(F)c1 ZINC000537955665 331688502 /nfs/dbraw/zinc/68/85/02/331688502.db2.gz GGWSPVBEKDBJEW-HFSCJMQWSA-N 0 3 311.400 4.071 20 0 DIADHN CC(C)[C@H](NCCOc1cccc(Cl)c1)c1cccnc1 ZINC000539214275 331693326 /nfs/dbraw/zinc/69/33/26/331693326.db2.gz GDIYVVPZPIXNOQ-KRWDZBQOSA-N 0 3 304.821 4.101 20 0 DIADHN Cc1cccc(CNCc2cccc(Br)c2)c1C ZINC000043314309 331694725 /nfs/dbraw/zinc/69/47/25/331694725.db2.gz UTBBVLCVAOAMFB-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000530968044 331713822 /nfs/dbraw/zinc/71/38/22/331713822.db2.gz JEPREMPJEZKPNS-GOSISDBHSA-N 0 3 315.461 4.084 20 0 DIADHN CC(C)(C)c1nc(CNCCc2c[nH]c3ccccc23)cs1 ZINC000053016879 332927335 /nfs/dbraw/zinc/92/73/35/332927335.db2.gz AWHQPFSRSJXVOK-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)no1 ZINC000556585365 331717215 /nfs/dbraw/zinc/71/72/15/331717215.db2.gz KTMOSELFWYBURI-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2cnc(C(C)(C)C)nc2)cc1 ZINC000556595072 331718137 /nfs/dbraw/zinc/71/81/37/331718137.db2.gz AAUUBAXGYLZYCF-UHFFFAOYSA-N 0 3 311.473 4.150 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC(C)(c3ccccc3)CC2)cn1 ZINC000556603017 331719649 /nfs/dbraw/zinc/71/96/49/331719649.db2.gz XXBOIIVHUXRQNN-UHFFFAOYSA-N 0 3 323.484 4.328 20 0 DIADHN C[C@@H](NCc1ccn(Cc2ccccc2)n1)[C@H](C)c1ccccc1 ZINC000556611019 331720234 /nfs/dbraw/zinc/72/02/34/331720234.db2.gz WTDLQRULTKYPTL-ZWKOTPCHSA-N 0 3 319.452 4.213 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@H]2c3ccccc3C[C@H]2O)c1 ZINC000556881354 331747457 /nfs/dbraw/zinc/74/74/57/331747457.db2.gz ONZSDHUEPWOUJD-RVHYNSKXSA-N 0 3 309.453 4.194 20 0 DIADHN Cc1ccnc([C@@H](C)NCC2(c3ccc(F)cc3F)CC2)c1 ZINC000556887116 331747629 /nfs/dbraw/zinc/74/76/29/331747629.db2.gz ZSSXRQSGDFAJJU-CYBMUJFWSA-N 0 3 302.368 4.051 20 0 DIADHN Cc1ccsc1CCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000556885995 331748605 /nfs/dbraw/zinc/74/86/05/331748605.db2.gz ROHNSVZTLIDULJ-MRXNPFEDSA-N 0 3 303.475 4.233 20 0 DIADHN Cc1cccc(C)c1OC[C@H](N[C@@H](C)c1ncc[nH]1)C(C)(C)C ZINC000556893534 331749623 /nfs/dbraw/zinc/74/96/23/331749623.db2.gz VVLWOPXYMRBFTP-HOTGVXAUSA-N 0 3 315.461 4.171 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccccc3OC(F)F)C2)cc1 ZINC000556895003 331749794 /nfs/dbraw/zinc/74/97/94/331749794.db2.gz FMHYZCGYFLRWCD-KOMQPUFPSA-N 0 3 321.342 4.463 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1)c1ncc[nH]1 ZINC000556896486 331750673 /nfs/dbraw/zinc/75/06/73/331750673.db2.gz ZBKLXASNSDGJCP-NILFDRSVSA-N 0 3 323.362 4.415 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@@H](O)[C@H](C)CC)o2)cc1 ZINC000465020088 332925232 /nfs/dbraw/zinc/92/52/32/332925232.db2.gz YRKBKFASXMCMSD-RDTXWAMCSA-N 0 3 301.430 4.006 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000556921680 331754197 /nfs/dbraw/zinc/75/41/97/331754197.db2.gz QWSPIKWZXYNANG-KGLIPLIRSA-N 0 3 309.413 4.292 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@H]1CCc2sc(Cl)cc21 ZINC000557261163 331779007 /nfs/dbraw/zinc/77/90/07/331779007.db2.gz CSZWWECECGJLIR-ONGXEEELSA-N 0 3 315.866 4.099 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000557272979 331779998 /nfs/dbraw/zinc/77/99/98/331779998.db2.gz FQIREQCLXTYGNU-PXAZEXFGSA-N 0 3 318.367 4.485 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H](NCc2nccn2CC(F)(F)F)C1 ZINC000449117799 331804325 /nfs/dbraw/zinc/80/43/25/331804325.db2.gz QHYVHSUUWYTSAF-ZIAGYGMSSA-N 0 3 317.399 4.140 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CN[C@@H]2CCCSC2)o1 ZINC000557831445 331819913 /nfs/dbraw/zinc/81/99/13/331819913.db2.gz AFJNGHVIUXYSTJ-LLVKDONJSA-N 0 3 309.381 4.210 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CCCSC2)o1 ZINC000557832097 331819977 /nfs/dbraw/zinc/81/99/77/331819977.db2.gz KZEBXYUWLOVTNE-CYBMUJFWSA-N 0 3 305.418 4.379 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccc(F)cc2)C(C)(C)C)n1 ZINC000557886055 331824501 /nfs/dbraw/zinc/82/45/01/331824501.db2.gz IDGQMXFCYVBDTR-QGZVFWFLSA-N 0 3 303.425 4.016 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3cnn(C)c3c2)C2CCCC2)o1 ZINC000584146801 331842568 /nfs/dbraw/zinc/84/25/68/331842568.db2.gz KDHTYZPTSOGHIO-HXUWFJFHSA-N 0 3 323.440 4.496 20 0 DIADHN C[C@H](NC(C)(C)c1cnn(C)c1)c1ccc(C(F)(F)F)cc1 ZINC000558089836 331868234 /nfs/dbraw/zinc/86/82/34/331868234.db2.gz UMTFRSLKYVSCHF-NSHDSACASA-N 0 3 311.351 4.025 20 0 DIADHN Cn1c(CN[C@H]2CC[C@@H]2C(C)(C)C)nc2cc(Cl)ccc21 ZINC000558260352 331890573 /nfs/dbraw/zinc/89/05/73/331890573.db2.gz XWUDOPAGZDUSDO-STQMWFEESA-N 0 3 305.853 4.141 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1ccccc1C(F)(F)F ZINC000558406947 331902954 /nfs/dbraw/zinc/90/29/54/331902954.db2.gz WLFROSPMNBIXCY-GFCCVEGCSA-N 0 3 301.352 4.173 20 0 DIADHN CC[C@H](NCC[C@H](C)[S@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000090514380 331910875 /nfs/dbraw/zinc/91/08/75/331910875.db2.gz XZSIWBNMSWVSNB-RBXYMLTMSA-N 0 3 322.301 4.191 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(F)c(Cl)c1)c1ccccc1 ZINC000558476083 331911210 /nfs/dbraw/zinc/91/12/10/331911210.db2.gz JWFORJWAIUBAFI-KRWDZBQOSA-N 0 3 321.823 4.329 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc(O)cc(C(F)(F)F)c1 ZINC000558489309 331911916 /nfs/dbraw/zinc/91/19/16/331911916.db2.gz XTYNIPXADSQFMA-JTQLQIEISA-N 0 3 315.257 4.232 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2CCCC23CCCCC3)cs1 ZINC000449176868 331922425 /nfs/dbraw/zinc/92/24/25/331922425.db2.gz NXXLJWJTBMTWMB-HIFRSBDPSA-N 0 3 308.491 4.443 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1ccc(Cl)s1 ZINC000040495519 331936929 /nfs/dbraw/zinc/93/69/29/331936929.db2.gz OQAZDHCUZUYRMC-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN Fc1c(Br)cccc1CN[C@@H]1CCCC(F)(F)C1 ZINC000449186221 331940175 /nfs/dbraw/zinc/94/01/75/331940175.db2.gz JZOQNWYBTDPRSO-SNVBAGLBSA-N 0 3 322.168 4.256 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cc(F)c3ccccc3c2)cc1 ZINC000558949099 331960562 /nfs/dbraw/zinc/96/05/62/331960562.db2.gz FOGRSYBRQRCIRW-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)co2)cc1F ZINC000426770301 331964777 /nfs/dbraw/zinc/96/47/77/331964777.db2.gz QQUPGOZXAKAMGJ-KBPBESRZSA-N 0 3 318.367 4.242 20 0 DIADHN COc1ccsc1[C@H](C)NCC(C)(C)c1ncccc1C ZINC000517787343 331969891 /nfs/dbraw/zinc/96/98/91/331969891.db2.gz RDWFEAPYGKQDPG-ZDUSSCGKSA-N 0 3 304.459 4.089 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC)c(Cl)c2)cc1 ZINC000090339924 331992045 /nfs/dbraw/zinc/99/20/45/331992045.db2.gz SBBDJQQXIBXWLY-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN COCCCN(C)CCSc1cc(Cl)ccc1Cl ZINC000520043806 332030365 /nfs/dbraw/zinc/03/03/65/332030365.db2.gz LSCQKNAFCBLKTK-UHFFFAOYSA-N 0 3 308.274 4.054 20 0 DIADHN CCCOc1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000518266108 332002837 /nfs/dbraw/zinc/00/28/37/332002837.db2.gz LJFUJGYTNXRQJE-UHFFFAOYSA-N 0 3 309.409 4.041 20 0 DIADHN Fc1ccc(OCCCCNCc2c(F)cccc2F)cc1 ZINC000518268606 332003213 /nfs/dbraw/zinc/00/32/13/332003213.db2.gz MCHDGQPXYXSGGT-UHFFFAOYSA-N 0 3 309.331 4.053 20 0 DIADHN C[C@@H](CN1CCCCC1)NCc1ccc(-c2ccccc2F)o1 ZINC000518270064 332003608 /nfs/dbraw/zinc/00/36/08/332003608.db2.gz VVXYUXONWMQSMT-HNNXBMFYSA-N 0 3 316.420 4.050 20 0 DIADHN COCCC1(CN[C@@H](C)c2cccc(Cl)c2Cl)CC1 ZINC000090536706 332005361 /nfs/dbraw/zinc/00/53/61/332005361.db2.gz JTXAEQGMEBXZQZ-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN CCc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)nc1 ZINC000559267345 332009724 /nfs/dbraw/zinc/00/97/24/332009724.db2.gz MSBUQIIECCKJTL-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN CSCc1cnc(CN[C@@H](C)Cc2ccc(C)cc2C)s1 ZINC000559269721 332010990 /nfs/dbraw/zinc/01/09/90/332010990.db2.gz MTANUMGYHCTUJX-AWEZNQCLSA-N 0 3 320.527 4.344 20 0 DIADHN CO[C@H]1CC[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000190935209 332013189 /nfs/dbraw/zinc/01/31/89/332013189.db2.gz QWGYQKLTVZVXLU-NEPJUHHUSA-N 0 3 307.743 4.016 20 0 DIADHN OCC[C@@H](NC/C=C/c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000584254906 332014463 /nfs/dbraw/zinc/01/44/63/332014463.db2.gz KSOQCGINVKUMQY-ZMXQLYDGSA-N 0 3 319.807 4.206 20 0 DIADHN Cc1nocc1CNCC(C)(C)c1ccc(C(C)(C)C)cc1 ZINC000559282366 332014667 /nfs/dbraw/zinc/01/46/67/332014667.db2.gz OFLODZWFIZISRF-UHFFFAOYSA-N 0 3 300.446 4.348 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1ccc(F)c(F)c1 ZINC000128913880 332015863 /nfs/dbraw/zinc/01/58/63/332015863.db2.gz HLLYIGNJULOIBN-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN Clc1ccc(N2CCN(c3ccnc4ccccc43)CC2)cc1 ZINC000518366275 332018395 /nfs/dbraw/zinc/01/83/95/332018395.db2.gz UFZQVTJIQDJGMX-UHFFFAOYSA-N 0 3 323.827 4.215 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000559304474 332021670 /nfs/dbraw/zinc/02/16/70/332021670.db2.gz YXBXAKGDHVCGEI-GVDBMIGSSA-N 0 3 314.473 4.293 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)c2ccccc2C)c(C)c1 ZINC000559326194 332025893 /nfs/dbraw/zinc/02/58/93/332025893.db2.gz DGIKGAUWYGJEIE-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN C[C@@H](F)CCNCc1cn(Cc2ccccc2)nc1C(C)(C)C ZINC000559333354 332027922 /nfs/dbraw/zinc/02/79/22/332027922.db2.gz WOGNDEPUXRGECR-OAHLLOKOSA-N 0 3 317.452 4.067 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2CCc3c2cccc3C)c1 ZINC000518411517 332028184 /nfs/dbraw/zinc/02/81/84/332028184.db2.gz GXSQVGYQNWQZHH-LRDDRELGSA-N 0 3 315.438 4.181 20 0 DIADHN CSCCCCN[C@@H](C)c1cc(Br)ccc1F ZINC000131508507 332029738 /nfs/dbraw/zinc/02/97/38/332029738.db2.gz HTISEDBOYZSWMH-JTQLQIEISA-N 0 3 320.271 4.382 20 0 DIADHN CSCCCCN[C@H](C)c1cc(Br)ccc1F ZINC000131508680 332029808 /nfs/dbraw/zinc/02/98/08/332029808.db2.gz HTISEDBOYZSWMH-SNVBAGLBSA-N 0 3 320.271 4.382 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cncc(Br)c2)cc1 ZINC000131636449 332030661 /nfs/dbraw/zinc/03/06/61/332030661.db2.gz PANTWYDZLVNXNM-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2nc3ccccc3s2)o1 ZINC000409447005 332031197 /nfs/dbraw/zinc/03/11/97/332031197.db2.gz YYGBLCQZXYNYBI-TZMCWYRMSA-N 0 3 312.438 4.345 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2nc3ccccc3s2)o1 ZINC000409447002 332031231 /nfs/dbraw/zinc/03/12/31/332031231.db2.gz YYGBLCQZXYNYBI-GXTWGEPZSA-N 0 3 312.438 4.345 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C[C@H]1CCC2(CCCCC2)O1 ZINC000409447244 332031595 /nfs/dbraw/zinc/03/15/95/332031595.db2.gz FFYBCSBJAQAHEH-MAUKXSAKSA-N 0 3 303.446 4.267 20 0 DIADHN Cc1ccc([C@H](NCCc2nnc(C(C)C)s2)C2CCC2)o1 ZINC000426294382 332033777 /nfs/dbraw/zinc/03/37/77/332033777.db2.gz UGGLFYUAFKVIII-MRXNPFEDSA-N 0 3 319.474 4.236 20 0 DIADHN C/C(=C\c1ccccc1)CNC[C@@H](c1ccco1)N1CCCC1 ZINC000409476516 332034933 /nfs/dbraw/zinc/03/49/33/332034933.db2.gz SLISYXVDAVFERM-FLXZHSAZSA-N 0 3 310.441 4.110 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NC1CC(C(F)(F)F)C1)CC2 ZINC000449327103 332039030 /nfs/dbraw/zinc/03/90/30/332039030.db2.gz ABSQLEOBPWBGOW-KTOWXAHTSA-N 0 3 309.253 4.022 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccc2ccccc2c1 ZINC000409602660 332039959 /nfs/dbraw/zinc/03/99/59/332039959.db2.gz GUSZEXVUKQZVOF-OAHLLOKOSA-N 0 3 301.393 4.338 20 0 DIADHN CC(C(=O)N1CCc2cc(-c3ccccc3)ccc21)C(F)(F)F ZINC000409674246 332046549 /nfs/dbraw/zinc/04/65/49/332046549.db2.gz CQHAIWXSZMUQKK-GFCCVEGCSA-N 0 3 319.326 4.441 20 0 DIADHN C[C@@H]1CCC[C@@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000409848868 332058763 /nfs/dbraw/zinc/05/87/63/332058763.db2.gz FPZZEBJNGHAUGE-AYOQOUSVSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1ncsc1CNCCc1ccc(Cl)cc1Cl ZINC000088868779 332058888 /nfs/dbraw/zinc/05/88/88/332058888.db2.gz CHVUYDRPTSATJR-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN C[C@@H](NCCc1cccc2[nH]ccc21)c1cc(F)ccc1F ZINC000409840642 332059564 /nfs/dbraw/zinc/05/95/64/332059564.db2.gz AXAGAYUWPGYMJQ-GFCCVEGCSA-N 0 3 300.352 4.339 20 0 DIADHN CC(C)c1nnc(CCN[C@H]2CCCc3ccc(F)cc32)s1 ZINC000426340055 332062821 /nfs/dbraw/zinc/06/28/21/332062821.db2.gz YHTLBBUCFCBLCJ-HNNXBMFYSA-N 0 3 319.449 4.010 20 0 DIADHN COC1(CCNCc2ccc(-c3c(F)cccc3F)o2)CCC1 ZINC000569385223 332072262 /nfs/dbraw/zinc/07/22/62/332072262.db2.gz IDPKSQONHWQFRS-UHFFFAOYSA-N 0 3 321.367 4.274 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@H]1c1ccccc1)c1nc2c(s1)CCCC2 ZINC000569387675 332072957 /nfs/dbraw/zinc/07/29/57/332072957.db2.gz BBXWUQPKTGGYAH-KBMXLJTQSA-N 0 3 312.482 4.476 20 0 DIADHN Cc1cnn([C@@H]2CCN(Cc3ccc(-c4ccccc4)cc3)C2)c1 ZINC000569413048 332077236 /nfs/dbraw/zinc/07/72/36/332077236.db2.gz WUUIZSLMXQRLCF-OAQYLSRUSA-N 0 3 317.436 4.306 20 0 DIADHN Cc1cnn([C@H]2CCN(Cc3ccc(-c4ccccc4)cc3)C2)c1 ZINC000569413047 332077298 /nfs/dbraw/zinc/07/72/98/332077298.db2.gz WUUIZSLMXQRLCF-NRFANRHFSA-N 0 3 317.436 4.306 20 0 DIADHN CC(C)CCNCc1cc(Cl)ccc1OCc1cccnc1 ZINC000410068112 332085946 /nfs/dbraw/zinc/08/59/46/332085946.db2.gz DEOMZZHIGDOVSI-UHFFFAOYSA-N 0 3 318.848 4.450 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3cnc(-c4ccco4)s3)C2)C1 ZINC000426155351 332087089 /nfs/dbraw/zinc/08/70/89/332087089.db2.gz WTVVGXVDADTEHC-DYVFJYSZSA-N 0 3 302.443 4.415 20 0 DIADHN CC[C@@H](COCC(F)(F)F)NCc1cc2cc(F)ccc2o1 ZINC000449379986 332098777 /nfs/dbraw/zinc/09/87/77/332098777.db2.gz LJFZWUZXHABICT-LBPRGKRZSA-N 0 3 319.298 4.019 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](O)C1)c1cc(F)c(Cl)cc1Cl ZINC000224067034 332099185 /nfs/dbraw/zinc/09/91/85/332099185.db2.gz RFZUHOHMYBIZPX-HBNTYKKESA-N 0 3 320.235 4.334 20 0 DIADHN CCOc1ccccc1CN(C)CCCCC1CCOCC1 ZINC000410325854 332111014 /nfs/dbraw/zinc/11/10/14/332111014.db2.gz LDHMYTGKVQJERM-UHFFFAOYSA-N 0 3 305.462 4.114 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc([C@H]4C[C@H]4C)o3)nc21 ZINC000410323030 332111101 /nfs/dbraw/zinc/11/11/01/332111101.db2.gz KYMDCSNZEILWTQ-HIFRSBDPSA-N 0 3 310.397 4.185 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(Cl)c(C)c3)nc21 ZINC000410319934 332111689 /nfs/dbraw/zinc/11/16/89/332111689.db2.gz XYZYLVFJZGVNKI-UHFFFAOYSA-N 0 3 314.816 4.430 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cnc2ccccc2c1 ZINC000410327025 332112466 /nfs/dbraw/zinc/11/24/66/332112466.db2.gz QYFQGIUWDJLROG-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CC(C)n1nccc1CN(C)CCc1cccc2ccccc21 ZINC000410342920 332113381 /nfs/dbraw/zinc/11/33/81/332113381.db2.gz CJZWQOQWBGSLHV-UHFFFAOYSA-N 0 3 307.441 4.292 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(C)c(Cl)c3)nc21 ZINC000410353053 332115561 /nfs/dbraw/zinc/11/55/61/332115561.db2.gz KSHSQBHWQDWRPA-UHFFFAOYSA-N 0 3 314.816 4.430 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc4ncccc4c3)nc21 ZINC000410355950 332116682 /nfs/dbraw/zinc/11/66/82/332116682.db2.gz VMBZEAPDRLGZME-UHFFFAOYSA-N 0 3 317.392 4.017 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCCc1nc2c(cccc2C)o1 ZINC000410358100 332117226 /nfs/dbraw/zinc/11/72/26/332117226.db2.gz HJNHCMZDQPSEBN-OAHLLOKOSA-N 0 3 324.424 4.428 20 0 DIADHN CC(C)C[C@H](C)CN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000410379345 332120954 /nfs/dbraw/zinc/12/09/54/332120954.db2.gz OYVPSJDKEXIHTB-HNAYVOBHSA-N 0 3 317.452 4.263 20 0 DIADHN CCCCN(Cc1cnc2cnccn12)[C@@H](C)c1ccccc1 ZINC000092263412 332123285 /nfs/dbraw/zinc/12/32/85/332123285.db2.gz ZIELPDQJVCWKBQ-INIZCTEOSA-N 0 3 308.429 4.093 20 0 DIADHN CCCn1nc(C)c(CN2C[C@H](C)C[C@H]2c2ccccc2)c1C ZINC000569531363 332126281 /nfs/dbraw/zinc/12/62/81/332126281.db2.gz GTWFLTVYNHHKRI-QRWLVFNGSA-N 0 3 311.473 4.493 20 0 DIADHN CSc1ccc([C@@H](CNCc2ccc(Cl)o2)N(C)C)cc1 ZINC000559358082 332126284 /nfs/dbraw/zinc/12/62/84/332126284.db2.gz HQNWWGVKHGOUEZ-OAHLLOKOSA-N 0 3 324.877 4.047 20 0 DIADHN CCCn1nc(C)c(CN2C[C@@H](C)C[C@H]2c2ccccc2)c1C ZINC000569531364 332126401 /nfs/dbraw/zinc/12/64/01/332126401.db2.gz GTWFLTVYNHHKRI-YWZLYKJASA-N 0 3 311.473 4.493 20 0 DIADHN CC(C)(C)n1cc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)cn1 ZINC000559358530 332127711 /nfs/dbraw/zinc/12/77/11/332127711.db2.gz JZJOAWCSEYNQBE-SHTZXODSSA-N 0 3 317.864 4.327 20 0 DIADHN C[C@@H]1CN(Cc2cccc3[nH]ccc32)C[C@H](c2ccccc2)O1 ZINC000092486663 332131749 /nfs/dbraw/zinc/13/17/49/332131749.db2.gz BCEXVZINIYQBJY-FOIQADDNSA-N 0 3 306.409 4.130 20 0 DIADHN CC[C@H](O)CCN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000132763505 332132979 /nfs/dbraw/zinc/13/29/79/332132979.db2.gz NITUXQXQOOSCJQ-IUCAKERBSA-N 0 3 310.652 4.458 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)s1 ZINC000132908397 332134467 /nfs/dbraw/zinc/13/44/67/332134467.db2.gz VNPGKOAJPXNEHC-RYUDHWBXSA-N 0 3 317.458 4.213 20 0 DIADHN C[C@H](CCc1ccsc1)NCc1cccc(C(F)(F)F)n1 ZINC000449401622 332134902 /nfs/dbraw/zinc/13/49/02/332134902.db2.gz NKTAFXNRUPXJQG-LLVKDONJSA-N 0 3 314.376 4.273 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000426777609 332135668 /nfs/dbraw/zinc/13/56/68/332135668.db2.gz OVFHTTYDWGRVSA-KBPBESRZSA-N 0 3 302.443 4.334 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC1CCCCCC1 ZINC000426957503 332162363 /nfs/dbraw/zinc/16/23/63/332162363.db2.gz YDEBZAWWGMIEFP-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN CCc1nc(C)c(CN[C@@H]2CCCC[C@H]2Cc2ccccc2)o1 ZINC000428422123 332169442 /nfs/dbraw/zinc/16/94/42/332169442.db2.gz MSALZIBLEVBEPF-ZWKOTPCHSA-N 0 3 312.457 4.437 20 0 DIADHN Cc1cc(CN(C2CC2)C2CC(c3ccccc3C)C2)ncn1 ZINC000428252131 332171746 /nfs/dbraw/zinc/17/17/46/332171746.db2.gz FFUVFLQAMPDLTL-UHFFFAOYSA-N 0 3 307.441 4.004 20 0 DIADHN COc1ccccc1CCN(C)[C@@H](C)c1nc2ccccc2o1 ZINC000181087250 332173523 /nfs/dbraw/zinc/17/35/23/332173523.db2.gz KRHIOGHWZLZWOQ-AWEZNQCLSA-N 0 3 310.397 4.072 20 0 DIADHN CC(C(=O)Nc1cc(CCc2ccccc2)ccn1)C(F)(F)F ZINC000427193241 332183076 /nfs/dbraw/zinc/18/30/76/332183076.db2.gz RLNRWFCSYXPXTM-LBPRGKRZSA-N 0 3 322.330 4.004 20 0 DIADHN C[C@@H](NC[C@@H]1N(C)CCCC1(C)C)c1ccc2ccccc2n1 ZINC000448022675 332188742 /nfs/dbraw/zinc/18/87/42/332188742.db2.gz PFPOIMFAYFJLEE-BEFAXECRSA-N 0 3 311.473 4.006 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2ccnc(C(F)(F)F)c2)CS1 ZINC000449439683 332192728 /nfs/dbraw/zinc/19/27/28/332192728.db2.gz WDAZBCGJNUQKHG-WCQYABFASA-N 0 3 318.408 4.110 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3nc(C)sc3c2)c1 ZINC000426056856 335093887 /nfs/dbraw/zinc/09/38/87/335093887.db2.gz CVXMAYIDCRLJLB-UHFFFAOYSA-N 0 3 312.438 4.245 20 0 DIADHN FCC1(NCc2ccc(-c3ccccc3)s2)CCOCC1 ZINC000449444845 332198626 /nfs/dbraw/zinc/19/86/26/332198626.db2.gz DWVHDICWFBFKJV-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN FCC1(NCc2ccc(-c3cccc(F)c3)s2)CCOCC1 ZINC000449446707 332201102 /nfs/dbraw/zinc/20/11/02/332201102.db2.gz UCOCVFXCKVIRRD-UHFFFAOYSA-N 0 3 323.408 4.163 20 0 DIADHN Cc1csc([C@@H](NCCSc2ccccc2F)C2CC2)n1 ZINC000181618290 332205091 /nfs/dbraw/zinc/20/50/91/332205091.db2.gz FSCRHUCIPGQVEJ-HNNXBMFYSA-N 0 3 322.474 4.424 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537958695 332211541 /nfs/dbraw/zinc/21/15/41/332211541.db2.gz DYGFPVBPMDYWHZ-RLCCDNCMSA-N 0 3 309.400 4.135 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2cc(F)ccc2F)cc1 ZINC000531044462 332226458 /nfs/dbraw/zinc/22/64/58/332226458.db2.gz TZFYDJSWAMGZDD-OALUTQOASA-N 0 3 317.379 4.283 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(-c2cccs2)c1)N(CC)CC ZINC000531056532 332235057 /nfs/dbraw/zinc/23/50/57/332235057.db2.gz NOJFHEMCVWQKNJ-INIZCTEOSA-N 0 3 316.470 4.474 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(C)c(F)c1 ZINC000536392341 332238682 /nfs/dbraw/zinc/23/86/82/332238682.db2.gz HHLBEOGHZQAITL-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cc(C)ccc1F ZINC000536421762 332241914 /nfs/dbraw/zinc/24/19/14/332241914.db2.gz KHRMRKGLAYYHTH-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN COc1cc([C@H](C)NCCOC2CCCCC2)ccc1SC ZINC000537969566 332246048 /nfs/dbraw/zinc/24/60/48/332246048.db2.gz FTIMWPCPGQCRQR-AWEZNQCLSA-N 0 3 323.502 4.417 20 0 DIADHN c1ccc(CCCN2CC(c3nc4ccccc4s3)C2)cc1 ZINC000536470958 332249952 /nfs/dbraw/zinc/24/99/52/332249952.db2.gz GLBYTFKFZMBVIM-UHFFFAOYSA-N 0 3 308.450 4.328 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(CN(C)C)c(F)c1 ZINC000536490704 332252251 /nfs/dbraw/zinc/25/22/51/332252251.db2.gz HWWWLKDOODJZJT-WJDWOHSUSA-N 0 3 312.432 4.080 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H]1CCCc2ccc(Cl)cc21 ZINC000536499188 332253228 /nfs/dbraw/zinc/25/32/28/332253228.db2.gz SCXCAJZOAGFLRF-DLBZAZTESA-N 0 3 315.848 4.118 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccc(F)c3)n2)[C@H]2CCC[C@H]21 ZINC000559426613 332258517 /nfs/dbraw/zinc/25/85/17/332258517.db2.gz GCVNYLHFGYUADH-SJORKVTESA-N 0 3 314.404 4.491 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccc(F)c3)n2)[C@H]2CCC[C@@H]21 ZINC000559426612 332258696 /nfs/dbraw/zinc/25/86/96/332258696.db2.gz GCVNYLHFGYUADH-IRXDYDNUSA-N 0 3 314.404 4.491 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccc(F)c3)n2)[C@@H]2CCC[C@H]21 ZINC000559426611 332258732 /nfs/dbraw/zinc/25/87/32/332258732.db2.gz GCVNYLHFGYUADH-IAGOWNOFSA-N 0 3 314.404 4.491 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@H](C)c1nc2c(s1)CCC2 ZINC000426067729 335097458 /nfs/dbraw/zinc/09/74/58/335097458.db2.gz URJHDTYKXFUNNI-NXEZZACHSA-N 0 3 306.397 4.404 20 0 DIADHN CCc1nc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)cs1 ZINC000531104586 332263770 /nfs/dbraw/zinc/26/37/70/332263770.db2.gz OYXJUBREOBVXTK-GOEBONIOSA-N 0 3 300.471 4.159 20 0 DIADHN Clc1ccc(CN2CC[C@@H](c3ccncc3)C2)c2ncccc12 ZINC000555877833 332265998 /nfs/dbraw/zinc/26/59/98/332265998.db2.gz FQZWBPFGVFXAGZ-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2c(C)nsc2C)cc1 ZINC000555879183 332266049 /nfs/dbraw/zinc/26/60/49/332266049.db2.gz WBELKNDLIOUVKY-GOSISDBHSA-N 0 3 316.470 4.496 20 0 DIADHN Cc1cccc(CN[C@@H](Cc2ccccc2Cl)C2CC2)n1 ZINC000559739766 332278286 /nfs/dbraw/zinc/27/82/86/332278286.db2.gz BCSLDABEPWVDCB-SFHVURJKSA-N 0 3 300.833 4.154 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1cnc2ccccc2c1 ZINC000559775551 332280155 /nfs/dbraw/zinc/28/01/55/332280155.db2.gz DBWLIRDHLQCTHU-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN CN(CCCCc1ccccc1)C[C@H]1OCCc2ccccc21 ZINC000569614831 332282300 /nfs/dbraw/zinc/28/23/00/332282300.db2.gz RZNCDDOSCZJXED-OAQYLSRUSA-N 0 3 309.453 4.255 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](CC)c1ccc(C(=O)OC)cc1 ZINC000559842331 332284660 /nfs/dbraw/zinc/28/46/60/332284660.db2.gz MBNCJMVGIYYKNY-KGLIPLIRSA-N 0 3 317.351 4.245 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@H]1C(F)(F)F)c1ccncc1F ZINC000559858175 332286060 /nfs/dbraw/zinc/28/60/60/332286060.db2.gz RRONRLRPOOABGQ-DMDPSCGWSA-N 0 3 304.331 4.240 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1cc2ccccc2s1 ZINC000559879745 332287746 /nfs/dbraw/zinc/28/77/46/332287746.db2.gz YWVZYSJWPXUFLL-LBPRGKRZSA-N 0 3 305.443 4.111 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1ccc(F)cc1Br ZINC000224554435 332288478 /nfs/dbraw/zinc/28/84/78/332288478.db2.gz UOCRMLONAFOABT-GXSJLCMTSA-N 0 3 318.255 4.134 20 0 DIADHN Cc1cc([C@H](C)NCCc2cc(C(F)(F)F)ccn2)oc1C ZINC000559890514 332289524 /nfs/dbraw/zinc/28/95/24/332289524.db2.gz CRZDEFJXFOYJKK-NSHDSACASA-N 0 3 312.335 4.204 20 0 DIADHN CCCCOc1ccc(CCN[C@H](C)c2ccncc2F)cc1 ZINC000559895533 332290826 /nfs/dbraw/zinc/29/08/26/332290826.db2.gz WJKPLPUIHQVZAY-OAHLLOKOSA-N 0 3 316.420 4.293 20 0 DIADHN Cc1ccc(C2(CN[C@@H](C)c3nccn3C)CCCC2)c(C)c1 ZINC000559913030 332292457 /nfs/dbraw/zinc/29/24/57/332292457.db2.gz BMHNQYYGKFCUKD-KRWDZBQOSA-N 0 3 311.473 4.200 20 0 DIADHN CSc1ccc(CN(C)[C@@H](CCO)c2ccccc2)s1 ZINC000559928901 332294262 /nfs/dbraw/zinc/29/42/62/332294262.db2.gz SXOPTQNNAWGJOO-HNNXBMFYSA-N 0 3 307.484 4.026 20 0 DIADHN Cn1nccc1[C@@H]1CCC[C@@H](NCc2c(F)cccc2Cl)C1 ZINC000560024303 332302761 /nfs/dbraw/zinc/30/27/61/332302761.db2.gz CXMWRIHBRQVGII-CHWSQXEVSA-N 0 3 321.827 4.029 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@@H](C)c1nc2ccccc2n1C ZINC000426066234 335099811 /nfs/dbraw/zinc/09/98/11/335099811.db2.gz IBWGMVAQTJUGKV-NEPJUHHUSA-N 0 3 313.367 4.345 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@@H]2NCc2ncc[nH]2)cc1 ZINC000560092534 332310484 /nfs/dbraw/zinc/31/04/84/332310484.db2.gz SGGSIFMZJICUFC-CVEARBPZSA-N 0 3 303.837 4.269 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@H]2NCc2ncc[nH]2)cc1 ZINC000560092537 332310541 /nfs/dbraw/zinc/31/05/41/332310541.db2.gz SGGSIFMZJICUFC-JKSUJKDBSA-N 0 3 303.837 4.269 20 0 DIADHN O=C(Nc1ccccc1)[C@H](NCCCCCF)c1ccccc1 ZINC000560159485 332316198 /nfs/dbraw/zinc/31/61/98/332316198.db2.gz PTBKIWOTUNVHEC-GOSISDBHSA-N 0 3 314.404 4.096 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1ccc(C(F)(F)F)cn1 ZINC000560160286 332316457 /nfs/dbraw/zinc/31/64/57/332316457.db2.gz SWVONNJFONFNQF-HIFRSBDPSA-N 0 3 320.358 4.478 20 0 DIADHN CCn1c2ccccc2nc1[C@H]1CCCN1CCCCCF ZINC000560159907 332316482 /nfs/dbraw/zinc/31/64/82/332316482.db2.gz WUNSFKTXBHLSNS-QGZVFWFLSA-N 0 3 303.425 4.333 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1ccc(C(F)(F)F)cn1 ZINC000560160289 332316627 /nfs/dbraw/zinc/31/66/27/332316627.db2.gz SWVONNJFONFNQF-ZFWWWQNUSA-N 0 3 320.358 4.478 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2ccc(C(F)(F)F)cn2)cc1 ZINC000560160251 332316684 /nfs/dbraw/zinc/31/66/84/332316684.db2.gz RAAGLWIXBBHYIA-CQSZACIVSA-N 0 3 322.374 4.472 20 0 DIADHN CC1(C)CC[C@@H]1N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000560236363 332321508 /nfs/dbraw/zinc/32/15/08/332321508.db2.gz UACCDCSUSUZJSD-STQMWFEESA-N 0 3 321.770 4.170 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](CO)c2ccccc2)cc1 ZINC000560244355 332322608 /nfs/dbraw/zinc/32/26/08/332322608.db2.gz BQBIZDGLLHCQJA-OXJNMPFZSA-N 0 3 313.441 4.250 20 0 DIADHN CN(CCCCc1ccccc1)Cc1cnc(C(C)(C)C)nc1 ZINC000560261441 332324972 /nfs/dbraw/zinc/32/49/72/332324972.db2.gz XIHGECOYZRWFTC-UHFFFAOYSA-N 0 3 311.473 4.229 20 0 DIADHN CC(C)Cc1ccc([C@H](C)NCc2cnc3n2CCCC3)cc1 ZINC000560267206 332325389 /nfs/dbraw/zinc/32/53/89/332325389.db2.gz PRBXFRLSUKKKRC-INIZCTEOSA-N 0 3 311.473 4.269 20 0 DIADHN CCOC1CC(CN[C@@H](c2cccs2)c2ccc(F)cc2)C1 ZINC000560434069 332339224 /nfs/dbraw/zinc/33/92/24/332339224.db2.gz TVHYTTSHUVVMRZ-PVCYVWKFSA-N 0 3 319.445 4.381 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(C2CCCC2)cc1 ZINC000569617387 332339936 /nfs/dbraw/zinc/33/99/36/332339936.db2.gz DDYJQXUYKWFEEQ-UHFFFAOYSA-N 0 3 300.471 4.475 20 0 DIADHN CCN(Cc1cnn(C)c1C)C(c1ccccc1)c1ccccc1 ZINC000560471409 332343339 /nfs/dbraw/zinc/34/33/39/332343339.db2.gz DNLOSTGMHGQXMF-UHFFFAOYSA-N 0 3 319.452 4.340 20 0 DIADHN CC(C)n1cc([C@@H](C)NC/C=C\c2ccc(F)c(F)c2)cn1 ZINC000560494472 332346214 /nfs/dbraw/zinc/34/62/14/332346214.db2.gz MDBXFIPOQCXVCB-DSYXLKISSA-N 0 3 305.372 4.106 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cc(C)ccn1)c1ccsc1 ZINC000560517401 332349071 /nfs/dbraw/zinc/34/90/71/332349071.db2.gz VVBUDJIAKFJLHV-QAPCUYQASA-N 0 3 317.502 4.185 20 0 DIADHN COc1ccc([C@H](C)NC/C=C\c2ccccc2)c(C)c1OC ZINC000560525725 332350748 /nfs/dbraw/zinc/35/07/48/332350748.db2.gz WIPNCFAZVSCOOO-CLOOOTJHSA-N 0 3 311.425 4.376 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@H](C)Oc2ccc(Cl)cc2)c1 ZINC000560525853 332350983 /nfs/dbraw/zinc/35/09/83/332350983.db2.gz BHTUAFOCPRSPFB-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OC)c(OC)c2C)cc1 ZINC000560527405 332351624 /nfs/dbraw/zinc/35/16/24/332351624.db2.gz LBQGDNPHZGZPMM-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OC)c(OC)c2C)cc1 ZINC000560527407 332351787 /nfs/dbraw/zinc/35/17/87/332351787.db2.gz LBQGDNPHZGZPMM-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN C[C@@H](NC[C@@H](Cc1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000560530141 332352506 /nfs/dbraw/zinc/35/25/06/332352506.db2.gz ZWPVDWPTXGWGHE-VQIMIIECSA-N 0 3 305.425 4.087 20 0 DIADHN COc1ccc(CN2CCC[C@@H](c3ccccc3)C2)cc1OC ZINC000560541802 332355374 /nfs/dbraw/zinc/35/53/74/332355374.db2.gz IDGZZWAPQZKKKK-GOSISDBHSA-N 0 3 311.425 4.083 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3cn(C(C)C)nc3C)C2)cc1 ZINC000560542423 332355663 /nfs/dbraw/zinc/35/56/63/332355663.db2.gz QESVVTMYESUOTQ-LJQANCHMSA-N 0 3 311.473 4.324 20 0 DIADHN CCn1c2ccccc2nc1CNC[C@H](c1ccccc1)C1CC1 ZINC000560543170 332355936 /nfs/dbraw/zinc/35/59/36/332355936.db2.gz OTHCGQZENGLZBS-GOSISDBHSA-N 0 3 319.452 4.340 20 0 DIADHN CCn1c2ccccc2nc1CNC[C@@H](c1ccccc1)C1CC1 ZINC000560543174 332356014 /nfs/dbraw/zinc/35/60/14/332356014.db2.gz OTHCGQZENGLZBS-SFHVURJKSA-N 0 3 319.452 4.340 20 0 DIADHN FC(F)Oc1ccc(CN2CCC3(CCC3)C2)cc1Cl ZINC000560549682 332358278 /nfs/dbraw/zinc/35/82/78/332358278.db2.gz GOAWTXGQCFWECK-UHFFFAOYSA-N 0 3 301.764 4.317 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cnc(C3CC3)s2)cc1 ZINC000560551748 332358313 /nfs/dbraw/zinc/35/83/13/332358313.db2.gz OBEURDLEEAUCHI-CQSZACIVSA-N 0 3 300.471 4.392 20 0 DIADHN Cc1ccccc1[C@@H]1CN(Cc2cccc3cc[nH]c32)[C@@H](C)CO1 ZINC000560555716 332359426 /nfs/dbraw/zinc/35/94/26/332359426.db2.gz BWFHFHNWWKPMIU-JXFKEZNVSA-N 0 3 320.436 4.438 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cc(F)c(F)c(F)c3)C2)cc1 ZINC000560556108 332359500 /nfs/dbraw/zinc/35/95/00/332359500.db2.gz HMAZLMNFKQXVDL-MQMHXKEQSA-N 0 3 309.306 4.279 20 0 DIADHN CCCCOc1ccc(CN2CCC[C@@H](COCC)C2)cc1 ZINC000560559660 332360028 /nfs/dbraw/zinc/36/00/28/332360028.db2.gz QSKGFGAVHRXIAC-GOSISDBHSA-N 0 3 305.462 4.114 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCC1(C(F)(F)F)CC1 ZINC000560583986 332365113 /nfs/dbraw/zinc/36/51/13/332365113.db2.gz FGZZUPANJYJROQ-VIFPVBQESA-N 0 3 307.743 4.342 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1ccc(N2CCCC2=O)cc1 ZINC000119142066 332365167 /nfs/dbraw/zinc/36/51/67/332365167.db2.gz NJBXUIQSTBXFQM-YWZLYKJASA-N 0 3 320.436 4.152 20 0 DIADHN COc1ccc([C@@H](C)NCC2(C(F)(F)F)CC2)c(C)c1OC ZINC000560585432 332365330 /nfs/dbraw/zinc/36/53/30/332365330.db2.gz SRNADNAQMHXABL-LLVKDONJSA-N 0 3 317.351 4.005 20 0 DIADHN COc1ccc([C@H](C)NCC2(C(F)(F)F)CC2)c(C)c1OC ZINC000560585434 332365461 /nfs/dbraw/zinc/36/54/61/332365461.db2.gz SRNADNAQMHXABL-NSHDSACASA-N 0 3 317.351 4.005 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3cc(-c4ccccc4)no3)C2)c1 ZINC000560674262 332376206 /nfs/dbraw/zinc/37/62/06/332376206.db2.gz FLHRVZCIIVJHJN-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccncc1F)Oc1ccccc1C ZINC000583386270 332378485 /nfs/dbraw/zinc/37/84/85/332378485.db2.gz OGFBLSNEBOUAOX-HUUCEWRRSA-N 0 3 302.393 4.037 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)CC1(C)CC1)c1ccc(Cl)cc1 ZINC000560706905 332379722 /nfs/dbraw/zinc/37/97/22/332379722.db2.gz OCKGCQHFPNRLHH-INIZCTEOSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@H](Cc1cccc(O)c1)NCc1ccc(-c2ccccc2)o1 ZINC000560817597 332388205 /nfs/dbraw/zinc/38/82/05/332388205.db2.gz YTTUZWPOXJQIOE-OAHLLOKOSA-N 0 3 307.393 4.373 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc(OC)cc1 ZINC000560899414 332392606 /nfs/dbraw/zinc/39/26/06/332392606.db2.gz OPHDECNHRSEVBL-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN Fc1ccc(F)c(CCNCc2cscc2C(F)(F)F)c1 ZINC000564410454 332395532 /nfs/dbraw/zinc/39/55/32/332395532.db2.gz OMCPQUNYCPCULU-UHFFFAOYSA-N 0 3 321.314 4.377 20 0 DIADHN Clc1cccc(C2(NC[C@H]3CCC4(CCCC4)O3)CC2)c1 ZINC000560966964 332397641 /nfs/dbraw/zinc/39/76/41/332397641.db2.gz ZLKYRSSTVYUGLO-MRXNPFEDSA-N 0 3 305.849 4.411 20 0 DIADHN CC(C)(C)C(C)(C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000561063412 332406043 /nfs/dbraw/zinc/40/60/43/332406043.db2.gz XTHYTVVTFPBFRQ-KRWDZBQOSA-N 0 3 316.489 4.012 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC1CC(C)(C)C1)c1ccsc1 ZINC000428175733 332414897 /nfs/dbraw/zinc/41/48/97/332414897.db2.gz MBJOGWNUKOLVDA-MRXNPFEDSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H]1CCC[C@@H](C)C1 ZINC000427491208 332436273 /nfs/dbraw/zinc/43/62/73/332436273.db2.gz TWNQQPDGGZFDMW-QAPCUYQASA-N 0 3 314.473 4.356 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3c(c2)OCCO3)C2CCC2)cc1 ZINC000427526688 332443269 /nfs/dbraw/zinc/44/32/69/332443269.db2.gz XVHITBULRCWEGI-OAQYLSRUSA-N 0 3 323.436 4.397 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1ccc(C2CCCC2)cc1 ZINC000561379589 332444193 /nfs/dbraw/zinc/44/41/93/332444193.db2.gz LDWMDBJNDNYTMN-NRFANRHFSA-N 0 3 309.453 4.476 20 0 DIADHN COCCOc1cccc(CN[C@@H]2C[C@@H](C)c3ccccc32)c1 ZINC000561467485 332453836 /nfs/dbraw/zinc/45/38/36/332453836.db2.gz JQWNNGOHLNPISB-FOIQADDNSA-N 0 3 311.425 4.050 20 0 DIADHN COCCOc1cccc(CN[C@@H]2C[C@H](C)c3ccccc32)c1 ZINC000561467489 332453853 /nfs/dbraw/zinc/45/38/53/332453853.db2.gz JQWNNGOHLNPISB-MGPUTAFESA-N 0 3 311.425 4.050 20 0 DIADHN CC(C)c1nnc(CN[C@H](Cc2ccccc2)C(C)C)s1 ZINC000427704239 332493338 /nfs/dbraw/zinc/49/33/38/332493338.db2.gz CMKTWRVEPIXLAX-OAHLLOKOSA-N 0 3 303.475 4.018 20 0 DIADHN FC(F)(F)C1CCC(CNCc2cnc(C3CC3)o2)CC1 ZINC000449708759 332493572 /nfs/dbraw/zinc/49/35/72/332493572.db2.gz WKPNDVRRBNZHKC-UHFFFAOYSA-N 0 3 302.340 4.010 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000561878088 332510265 /nfs/dbraw/zinc/51/02/65/332510265.db2.gz QZSCKRSRPMFBSZ-KNQAVFIVSA-N 0 3 314.432 4.409 20 0 DIADHN COC[C@@H](NCCc1cccs1)c1cccc(Cl)c1F ZINC000562020362 332532129 /nfs/dbraw/zinc/53/21/29/332532129.db2.gz WRSJTHQYNIJPJU-CQSZACIVSA-N 0 3 313.825 4.060 20 0 DIADHN COCc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)ccc1F ZINC000151218420 332563269 /nfs/dbraw/zinc/56/32/69/332563269.db2.gz FWGAQOSBKHRXIU-GOSISDBHSA-N 0 3 319.807 4.403 20 0 DIADHN CCOc1ccc(CNCc2cc3c(s2)CCCC3)cc1F ZINC000562327880 332578154 /nfs/dbraw/zinc/57/81/54/332578154.db2.gz BTTKEZODOONRQL-UHFFFAOYSA-N 0 3 319.445 4.455 20 0 DIADHN CC1(C)CC[C@H](CN[C@H]2CCCOc3ccc(Cl)cc32)O1 ZINC000427979379 332578674 /nfs/dbraw/zinc/57/86/74/332578674.db2.gz IIGJJPODMDCWDA-HIFRSBDPSA-N 0 3 309.837 4.101 20 0 DIADHN CCOCc1ccc(CN[C@H]2c3cc(F)ccc3C[C@H]2C)cc1 ZINC000151652890 332599824 /nfs/dbraw/zinc/59/98/24/332599824.db2.gz RYFYHHYHSXMQSP-JLTOFOAXSA-N 0 3 313.416 4.385 20 0 DIADHN COc1cc(CNC[C@H](c2ccccc2)C(F)(F)F)ccc1C ZINC000562578633 332606671 /nfs/dbraw/zinc/60/66/71/332606671.db2.gz VNDWFPYUDVPQTR-MRXNPFEDSA-N 0 3 323.358 4.439 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2CO)c2ccccc12 ZINC000151783736 332617257 /nfs/dbraw/zinc/61/72/57/332617257.db2.gz CDISVBXBTONHIO-OAHLLOKOSA-N 0 3 321.420 4.192 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCCOCC2CC2)cc1Cl ZINC000562847787 332631953 /nfs/dbraw/zinc/63/19/53/332631953.db2.gz OGRQHEWZPDPFAD-ZDUSSCGKSA-N 0 3 311.853 4.204 20 0 DIADHN Cc1nc([C@@H](C)NC[C@]2(C)CCCc3ccccc32)cs1 ZINC000562866724 332634022 /nfs/dbraw/zinc/63/40/22/332634022.db2.gz OQZMNTDHXQPJOG-ACJLOTCBSA-N 0 3 300.471 4.396 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(F)c2ccccc21 ZINC000562894674 332637637 /nfs/dbraw/zinc/63/76/37/332637637.db2.gz QQVVNXZLNWIZMO-AWEZNQCLSA-N 0 3 309.384 4.322 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC000562902260 332638035 /nfs/dbraw/zinc/63/80/35/332638035.db2.gz DBPQMDPMORLFDV-WMZOPIPTSA-N 0 3 310.441 4.288 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(Br)s2)CS1 ZINC000381501381 332669076 /nfs/dbraw/zinc/66/90/76/332669076.db2.gz OYMDYUSVBGPQNY-GXSJLCMTSA-N 0 3 320.321 4.130 20 0 DIADHN CC[C@H](C)[C@H](CN[C@H](C)c1sc(C(C)(C)C)nc1C)OC ZINC000394481452 332671843 /nfs/dbraw/zinc/67/18/43/332671843.db2.gz LMFMYFZKFKMIED-SCRDCRAPSA-N 0 3 312.523 4.461 20 0 DIADHN CC[C@H]1CCC[C@H]1NCC(=O)Nc1c(Cl)cccc1Cl ZINC000279260286 332678214 /nfs/dbraw/zinc/67/82/14/332678214.db2.gz MERONPLZGBPYFX-GXFFZTMASA-N 0 3 315.244 4.100 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)C[C@H](N)c1ccccc1 ZINC000448239090 332685962 /nfs/dbraw/zinc/68/59/62/332685962.db2.gz IHZTTWPCBVUWGJ-RBUKOAKNSA-N 0 3 310.441 4.076 20 0 DIADHN COc1cccc(O[C@@H](C)CNCc2ccc(SC)s2)c1 ZINC000569861441 332687369 /nfs/dbraw/zinc/68/73/69/332687369.db2.gz WYLNROVFCADGOO-LBPRGKRZSA-N 0 3 323.483 4.036 20 0 DIADHN COc1ccc(CNC[C@@H](C)c2ccc(F)cc2)c(Cl)c1 ZINC000520958253 332692330 /nfs/dbraw/zinc/69/23/30/332692330.db2.gz PYQZWKSZIRBODY-GFCCVEGCSA-N 0 3 307.796 4.381 20 0 DIADHN Cc1ccc2ncc(CN3CCC(C4CCOCC4)CC3)cc2c1 ZINC000570024402 332703551 /nfs/dbraw/zinc/70/35/51/332703551.db2.gz QGOFSWXMIRIFSQ-UHFFFAOYSA-N 0 3 324.468 4.182 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)[nH]c1C ZINC000570292185 332736667 /nfs/dbraw/zinc/73/66/67/332736667.db2.gz IGAIQSUYWFKWSI-GOEBONIOSA-N 0 3 303.837 4.106 20 0 DIADHN C[C@H](NCc1ccc(-c2ccco2)cc1F)[C@]1(C)CCCO1 ZINC000570429962 332753572 /nfs/dbraw/zinc/75/35/72/332753572.db2.gz GWZSWEIXCTWJQF-UGSOOPFHSA-N 0 3 303.377 4.133 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](C)Cc2ccccc2F)c1 ZINC000323344130 332969941 /nfs/dbraw/zinc/96/99/41/332969941.db2.gz YCBZHUJOOOQPSN-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)c1ccc3c(c1)OCCO3)CC2 ZINC000178268447 333006142 /nfs/dbraw/zinc/00/61/42/333006142.db2.gz KGUSAUBOVUXNDU-KDOFPFPSSA-N 0 3 309.409 4.104 20 0 DIADHN Cc1cc(CN)cc(NC(=O)NCc2ccc(C3CCC3)cc2)c1 ZINC000562982561 333010087 /nfs/dbraw/zinc/01/00/87/333010087.db2.gz VBRCIAHVVFOCRL-UHFFFAOYSA-N 0 3 323.440 4.043 20 0 DIADHN C[C@@H](C1CC1)N(Cc1coc(-c2c(F)cccc2F)n1)C1CC1 ZINC000178593775 333016515 /nfs/dbraw/zinc/01/65/15/333016515.db2.gz WCLQQNRCYOZJAC-NSHDSACASA-N 0 3 318.367 4.383 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc(C(F)F)cc2)c1 ZINC000178664218 333018228 /nfs/dbraw/zinc/01/82/28/333018228.db2.gz CQXPIIVOTVEQGB-LBPRGKRZSA-N 0 3 318.367 4.433 20 0 DIADHN Cc1nccnc1[C@H](C)NCC1(c2cccs2)CCCCC1 ZINC000188049521 333019243 /nfs/dbraw/zinc/01/92/43/333019243.db2.gz KKWMLSDXOKWYJD-HNNXBMFYSA-N 0 3 315.486 4.399 20 0 DIADHN COc1ccncc1CN[C@H]1CCCc2c3ccccc3sc21 ZINC000570945637 333023241 /nfs/dbraw/zinc/02/32/41/333023241.db2.gz GERSGPBOWMTKAQ-INIZCTEOSA-N 0 3 324.449 4.472 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCC1)c1ncc(Br)s1 ZINC000179230307 333024677 /nfs/dbraw/zinc/02/46/77/333024677.db2.gz BSJXBOLZDKBEOY-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN COc1cccc(CCN[C@H](c2ccccc2)c2ccccn2)c1 ZINC000180137659 333027230 /nfs/dbraw/zinc/02/72/30/333027230.db2.gz QCKLFQSZMMDTNG-OAQYLSRUSA-N 0 3 318.420 4.012 20 0 DIADHN COc1ccc([C@H](C)NCc2c(C)c3ccccc3n2C)cc1 ZINC000323361597 333031592 /nfs/dbraw/zinc/03/15/92/333031592.db2.gz BNYFLERYBABTEV-HNNXBMFYSA-N 0 3 308.425 4.346 20 0 DIADHN COc1ccc([C@@H](C)NCc2c(C)c3ccccc3n2C)cc1 ZINC000323361599 333031731 /nfs/dbraw/zinc/03/17/31/333031731.db2.gz BNYFLERYBABTEV-OAHLLOKOSA-N 0 3 308.425 4.346 20 0 DIADHN C[C@@H](N[C@H]1CCOC(C)(C)C1)c1sccc1Br ZINC000215734644 333033644 /nfs/dbraw/zinc/03/36/44/333033644.db2.gz ZOWDMVVLLVWCJJ-ZJUUUORDSA-N 0 3 318.280 4.119 20 0 DIADHN CC[C@H](CN[C@@H](Cc1cccnc1)c1cccc(Cl)c1)OC ZINC000580249934 333041001 /nfs/dbraw/zinc/04/10/01/333041001.db2.gz SCCLEGOYZLGQHH-MSOLQXFVSA-N 0 3 318.848 4.033 20 0 DIADHN CCCCNC(=O)c1ccc(CNCc2ccc(C)cc2C)cc1 ZINC000065981179 335117978 /nfs/dbraw/zinc/11/79/78/335117978.db2.gz ICSGLZNAJFNHQD-UHFFFAOYSA-N 0 3 324.468 4.123 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1cccc(F)c1F ZINC000183205551 333053173 /nfs/dbraw/zinc/05/31/73/333053173.db2.gz CUJMNBSOPKKACH-CJNGLKHVSA-N 0 3 320.383 4.046 20 0 DIADHN CCC[C@H](CN[C@H](C)c1ccc(F)c(Br)c1)OC ZINC000378820097 333055623 /nfs/dbraw/zinc/05/56/23/333055623.db2.gz MILAVUDJMXLRLW-ZYHUDNBSSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H](CCNCc1ccn(-c2ccccc2)n1)c1ccccc1 ZINC000378870627 333058628 /nfs/dbraw/zinc/05/86/28/333058628.db2.gz MRNQOMSHXWRANZ-QGZVFWFLSA-N 0 3 305.425 4.156 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)n2)ccc1F ZINC000580285703 333060524 /nfs/dbraw/zinc/06/05/24/333060524.db2.gz KDKWFTMWBREBIU-CQJJVWNLSA-N 0 3 315.392 4.023 20 0 DIADHN COc1cnccc1[C@@H](C)NC[C@H](C)c1cccc(Cl)c1 ZINC000189011150 333060711 /nfs/dbraw/zinc/06/07/11/333060711.db2.gz VCNWIAUFVLGSLM-QWHCGFSZSA-N 0 3 304.821 4.198 20 0 DIADHN C[C@H](NCCCCCn1cccn1)c1ccc(F)cc1Cl ZINC000183788944 333063365 /nfs/dbraw/zinc/06/33/65/333063365.db2.gz PUZKDASSTZZSGK-ZDUSSCGKSA-N 0 3 309.816 4.197 20 0 DIADHN Clc1ccc(CNCCc2cccc(Br)c2)o1 ZINC000313716582 333066171 /nfs/dbraw/zinc/06/61/71/333066171.db2.gz NUORUYXGCVFEQM-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000460349493 333068838 /nfs/dbraw/zinc/06/88/38/333068838.db2.gz HLGMHRMBUWHRLD-GXTWGEPZSA-N 0 3 305.447 4.247 20 0 DIADHN Cc1ccccc1OCCCN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000460350890 333069284 /nfs/dbraw/zinc/06/92/84/333069284.db2.gz LNBJNUAAGHTMDA-VQIMIIECSA-N 0 3 310.441 4.242 20 0 DIADHN CC(C)Oc1cccc(CN2C[C@H](C)C[C@@H]2c2cccnc2)c1 ZINC000460354624 333070103 /nfs/dbraw/zinc/07/01/03/333070103.db2.gz AEPDEJWVTWCVJQ-OXQOHEQNSA-N 0 3 310.441 4.452 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCO[C@@H]2CCCC[C@@H]2C)C1 ZINC000460362429 333071582 /nfs/dbraw/zinc/07/15/82/333071582.db2.gz YGAKVZLZQREMNK-UKBAYJJMSA-N 0 3 302.462 4.060 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCO[C@H]2CCCC[C@@H]2C)C1 ZINC000460362426 333071640 /nfs/dbraw/zinc/07/16/40/333071640.db2.gz YGAKVZLZQREMNK-JFRXWTBNSA-N 0 3 302.462 4.060 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc3c(s2)CCCC3)nc1C ZINC000313883259 333074126 /nfs/dbraw/zinc/07/41/26/333074126.db2.gz CIMMAPMFSBFAOQ-CQSZACIVSA-N 0 3 300.471 4.490 20 0 DIADHN Clc1ccc(CN(CCCO[C@H]2CCCCO2)C2CC2)cc1 ZINC000460380432 333075343 /nfs/dbraw/zinc/07/53/43/333075343.db2.gz NLICDNYTISBGJS-SFHVURJKSA-N 0 3 323.864 4.238 20 0 DIADHN CCN(CCCO[C@H]1CCCCO1)Cc1ccccc1Cl ZINC000460386008 333076529 /nfs/dbraw/zinc/07/65/29/333076529.db2.gz LQBAIBPXEKYSOT-KRWDZBQOSA-N 0 3 311.853 4.095 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@@H](C)[C@H](C)C1 ZINC000466739847 333081684 /nfs/dbraw/zinc/08/16/84/333081684.db2.gz RSYZRQONCNKSMJ-UTUOFQBUSA-N 0 3 305.809 4.039 20 0 DIADHN COC(=O)CCC1CCN(C/C=C/c2ccc(Cl)cc2)CC1 ZINC000526056529 333090565 /nfs/dbraw/zinc/09/05/65/333090565.db2.gz WFANUJHQWTWCPV-NSCUHMNNSA-N 0 3 321.848 4.018 20 0 DIADHN Cc1cc(F)ccc1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000391832953 335120549 /nfs/dbraw/zinc/12/05/49/335120549.db2.gz YMSACMRTQGSRGN-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000215931457 333099980 /nfs/dbraw/zinc/09/99/80/333099980.db2.gz ZKCWPKFPZOSNTJ-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1ccccc1O[C@H](C)CNCc1ccc(OC(F)F)cc1 ZINC000462734615 333100679 /nfs/dbraw/zinc/10/06/79/333100679.db2.gz JMSOHFQTCHMDAH-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](F)C1)c1nc2ccc(Cl)cc2n1C ZINC000516650507 333109371 /nfs/dbraw/zinc/10/93/71/333109371.db2.gz OJFNGMQPMCXIMY-UHTWSYAYSA-N 0 3 309.816 4.158 20 0 DIADHN CCOc1ccc(CNCc2cscc2C)cc1OCC ZINC000487169926 333115486 /nfs/dbraw/zinc/11/54/86/333115486.db2.gz GRURAPGKKBRYAO-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462802565 333115576 /nfs/dbraw/zinc/11/55/76/333115576.db2.gz LKVQKMNLIYUWSE-SJKOYZFVSA-N 0 3 320.433 4.026 20 0 DIADHN Clc1csc(CN[C@H]2CCCN(c3ccccc3)C2)c1 ZINC000487307938 333125848 /nfs/dbraw/zinc/12/58/48/333125848.db2.gz RUBNHVLFZIABJA-AWEZNQCLSA-N 0 3 306.862 4.160 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(C(C)C)[C@@H]1CCOC1 ZINC000462869684 333126913 /nfs/dbraw/zinc/12/69/13/333126913.db2.gz GSJZNVJKWOVTBY-OAHLLOKOSA-N 0 3 301.352 4.013 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1ccc(F)cc1 ZINC000540165770 333127272 /nfs/dbraw/zinc/12/72/72/333127272.db2.gz XFRIMDMGDTUCQT-LXIYXOSZSA-N 0 3 305.368 4.392 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(CCCc1cncn1C)C1CC1 ZINC000582130297 333132360 /nfs/dbraw/zinc/13/23/60/333132360.db2.gz YWPBBSSQMKZMJR-AWEZNQCLSA-N 0 3 317.864 4.232 20 0 DIADHN Cc1nc(-c2ccc(Cl)cc2)sc1[C@H](C)N[C@@H]1CCOC1 ZINC000177974686 333133624 /nfs/dbraw/zinc/13/36/24/333133624.db2.gz QPGODVOCBQTWPY-IINYFYTJSA-N 0 3 322.861 4.211 20 0 DIADHN Cc1csc(N(C(=O)C2[C@H](C)C2(F)F)c2ccccc2)n1 ZINC000460765935 333137669 /nfs/dbraw/zinc/13/76/69/333137669.db2.gz GUJMEYSAUXKVPV-CMPLNLGQSA-N 0 3 308.353 4.017 20 0 DIADHN CCC[C@H](N[C@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000314531869 333139633 /nfs/dbraw/zinc/13/96/33/333139633.db2.gz BPCJUUSCTIAZHG-PBHICJAKSA-N 0 3 322.880 4.172 20 0 DIADHN CCN(C/C(C)=C\c1cccc(C(F)(F)F)c1)[C@H]1CCOC1 ZINC000463032135 333141705 /nfs/dbraw/zinc/14/17/05/333141705.db2.gz IHBDGJMYFXIXBP-OVHOFWQVSA-N 0 3 313.363 4.220 20 0 DIADHN CC[C@H](N[C@H](C)c1cn(C)nc1C)c1ccc(OC(F)F)cc1 ZINC000178722045 333142834 /nfs/dbraw/zinc/14/28/34/333142834.db2.gz QGQKWYLKSWZAKH-BZNIZROVSA-N 0 3 323.387 4.132 20 0 DIADHN CCN[C@@H](c1ccc(OC)cc1)c1ccc(C(F)(F)F)cc1 ZINC000314566573 333144505 /nfs/dbraw/zinc/14/45/05/333144505.db2.gz XKADJGVHGARPJU-MRXNPFEDSA-N 0 3 309.331 4.413 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000314570778 333145153 /nfs/dbraw/zinc/14/51/53/333145153.db2.gz UUUOZLUMXNKLNN-GTNSWQLSSA-N 0 3 310.507 4.215 20 0 DIADHN Cc1nn(C)cc1CN[C@H](CCc1ccccc1)c1ccccc1 ZINC000179066256 333146109 /nfs/dbraw/zinc/14/61/09/333146109.db2.gz VXRHGGGECRQEGZ-OAQYLSRUSA-N 0 3 319.452 4.192 20 0 DIADHN COC[C@@H](C)CN1CCc2sccc2[C@@H]1c1cccs1 ZINC000189586658 333149280 /nfs/dbraw/zinc/14/92/80/333149280.db2.gz BOPHXQUGSBJROH-BLLLJJGKSA-N 0 3 307.484 4.040 20 0 DIADHN C[C@H](O)C1CCN(Cc2ccc(-c3ccccc3)s2)CC1 ZINC000092970122 333155855 /nfs/dbraw/zinc/15/58/55/333155855.db2.gz MSIKGXCOVIVKBB-AWEZNQCLSA-N 0 3 301.455 4.008 20 0 DIADHN Cc1noc(C)c1CN1CCC[C@]1(C)Cc1cccc(F)c1 ZINC000580322522 333160996 /nfs/dbraw/zinc/16/09/96/333160996.db2.gz SSGMDMSKAVWPBQ-GOSISDBHSA-N 0 3 302.393 4.028 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1-c1ccccc1)N(CC)CC ZINC000460855894 333169514 /nfs/dbraw/zinc/16/95/14/333169514.db2.gz FMUDBAINJORXMG-LJQANCHMSA-N 0 3 310.441 4.413 20 0 DIADHN CCC[C@]1(CO)CCN(c2c3ccccc3nc(C)c2CC)C1 ZINC000582025087 333171324 /nfs/dbraw/zinc/17/13/24/333171324.db2.gz ZUJCBZBXUMKEJJ-FQEVSTJZSA-N 0 3 312.457 4.095 20 0 DIADHN CC[C@H](C(=O)N(C)[C@@H](C)c1cc2ccccc2o1)N(CC)CC ZINC000460863112 333174563 /nfs/dbraw/zinc/17/45/63/333174563.db2.gz PPDGGJQXLVWWKQ-GOEBONIOSA-N 0 3 316.445 4.073 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1sccc1Br ZINC000157122451 333182308 /nfs/dbraw/zinc/18/23/08/333182308.db2.gz LRABNAQATHBCPC-IUCAKERBSA-N 0 3 306.294 4.057 20 0 DIADHN Fc1ccc(CNCCCC(F)(F)F)c(Br)c1 ZINC000211686976 333200843 /nfs/dbraw/zinc/20/08/43/333200843.db2.gz QECNXENOWFTGTL-UHFFFAOYSA-N 0 3 314.120 4.020 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C(F)F)cc1)CC2 ZINC000185355941 333207371 /nfs/dbraw/zinc/20/73/71/333207371.db2.gz DXBCUDZUXCCAAM-QGZVFWFLSA-N 0 3 303.352 4.410 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)C[C@@H]1CCCOC1 ZINC000151984869 333211809 /nfs/dbraw/zinc/21/18/09/333211809.db2.gz BXGGFXAUMHVEQH-NEPJUHHUSA-N 0 3 302.245 4.413 20 0 DIADHN C[C@H](NCC1(c2cccc(Cl)c2)CCCC1)c1cnccn1 ZINC000151987792 333219414 /nfs/dbraw/zinc/21/94/14/333219414.db2.gz OXZCQLHQVYSLOQ-AWEZNQCLSA-N 0 3 315.848 4.293 20 0 DIADHN C(=C\c1ccccc1)\CNCc1cnc(-c2ccncc2)s1 ZINC000497199640 333220997 /nfs/dbraw/zinc/22/09/97/333220997.db2.gz PPPCLBRDPLZAFP-DAXSKMNVSA-N 0 3 307.422 4.008 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc(Cl)c(C)c2)cc1 ZINC000186759800 333222688 /nfs/dbraw/zinc/22/26/88/333222688.db2.gz SCBJUFCHNSSCFL-ZDUSSCGKSA-N 0 3 316.832 4.458 20 0 DIADHN C[C@@H](NCCOCc1ccccc1)c1cccc(OC(F)F)c1 ZINC000390090504 333224110 /nfs/dbraw/zinc/22/41/10/333224110.db2.gz IVFBZXGQNUTYNA-CQSZACIVSA-N 0 3 321.367 4.155 20 0 DIADHN COc1cccc(C[C@@H](C)NCc2ccc(OC)cc2Cl)c1 ZINC000497321398 333236094 /nfs/dbraw/zinc/23/60/94/333236094.db2.gz XKRYRIKZVNBABS-CYBMUJFWSA-N 0 3 319.832 4.078 20 0 DIADHN Cc1ccc(C(=O)CCN2CC[C@@H](C(F)(F)F)C2(C)C)cc1 ZINC000318978076 333237120 /nfs/dbraw/zinc/23/71/20/333237120.db2.gz IAKWQZITEIQTQO-OAHLLOKOSA-N 0 3 313.363 4.231 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000525759691 333239144 /nfs/dbraw/zinc/23/91/44/333239144.db2.gz KSMMHTYXIDJSQK-QWHCGFSZSA-N 0 3 323.383 4.112 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC000525759689 333239158 /nfs/dbraw/zinc/23/91/58/333239158.db2.gz KSMMHTYXIDJSQK-CHWSQXEVSA-N 0 3 323.383 4.112 20 0 DIADHN Cc1ccc([C@H](Cc2ccccc2)N[C@@H]2C=C[C@H](CO)C2)cc1C ZINC000497351306 333239171 /nfs/dbraw/zinc/23/91/71/333239171.db2.gz NBEPOGAMBNRASA-NNWRFLSQSA-N 0 3 321.464 4.114 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC(=O)N[C@H](C)c1ccccc1 ZINC000497386094 333243831 /nfs/dbraw/zinc/24/38/31/333243831.db2.gz HZOKFSHEDXRACS-UTKZUKDTSA-N 0 3 324.468 4.193 20 0 DIADHN C/C=C/c1ccc(NC(=O)NCc2cccc(CN(C)C)c2)cc1 ZINC000497411126 333247937 /nfs/dbraw/zinc/24/79/37/333247937.db2.gz JTAGFBJMKZBCNM-GQCTYLIASA-N 0 3 323.440 4.103 20 0 DIADHN CSc1ccc([C@@H](C)NCCOCc2ccccc2)cc1 ZINC000390232707 333251575 /nfs/dbraw/zinc/25/15/75/333251575.db2.gz INXNYMPEGKDSOZ-OAHLLOKOSA-N 0 3 301.455 4.276 20 0 DIADHN CCOCc1ccccc1CN[C@@H](CC)c1ccc(OC)cc1 ZINC000119544455 333254947 /nfs/dbraw/zinc/25/49/47/333254947.db2.gz APTBTXVDVHJJFO-FQEVSTJZSA-N 0 3 313.441 4.473 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H](c2ccnn2C)C1 ZINC000497495746 333256691 /nfs/dbraw/zinc/25/66/91/333256691.db2.gz NTKWQUPBPDJGGX-GXTWGEPZSA-N 0 3 321.827 4.153 20 0 DIADHN CC(C)[C@H](NCCOc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000497552378 333261776 /nfs/dbraw/zinc/26/17/76/333261776.db2.gz AXOAKNZQCCUNJV-KRWDZBQOSA-N 0 3 322.811 4.240 20 0 DIADHN CC(C)[C@@H](NCCOc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000497552379 333261822 /nfs/dbraw/zinc/26/18/22/333261822.db2.gz AXOAKNZQCCUNJV-QGZVFWFLSA-N 0 3 322.811 4.240 20 0 DIADHN CC[C@H]1CN(Cc2cnc(C)s2)CC[C@H]1c1ccccc1 ZINC000501212186 333269072 /nfs/dbraw/zinc/26/90/72/333269072.db2.gz VXJLMYNHZAABEJ-MAUKXSAKSA-N 0 3 300.471 4.467 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(C)s2)CC[C@@H]1c1ccccc1 ZINC000501212187 333269098 /nfs/dbraw/zinc/26/90/98/333269098.db2.gz VXJLMYNHZAABEJ-QAPCUYQASA-N 0 3 300.471 4.467 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H](c1ccccc1)c1cccc(F)c1 ZINC000501401390 333272920 /nfs/dbraw/zinc/27/29/20/333272920.db2.gz VRRKCLRNUNRUKK-KXBFYZLASA-N 0 3 301.405 4.318 20 0 DIADHN CCOc1cc(C[NH2+]CCc2ccccc2C)cc(Cl)c1[O-] ZINC000497683984 333277831 /nfs/dbraw/zinc/27/78/31/333277831.db2.gz NEJHVUIGPVOTRP-UHFFFAOYSA-N 0 3 319.832 4.085 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CCc2ccccc2C1 ZINC000497701133 333280184 /nfs/dbraw/zinc/28/01/84/333280184.db2.gz IHVSRDSSAWWNBR-GOSISDBHSA-N 0 3 324.443 4.073 20 0 DIADHN Cc1ccccc1OCCCN[C@H](C)c1nc2c(s1)CCC2 ZINC000497706381 333280638 /nfs/dbraw/zinc/28/06/38/333280638.db2.gz NMQDLQRLBXCMAG-CQSZACIVSA-N 0 3 316.470 4.060 20 0 DIADHN CC(C)COCCCN[C@H](C)c1ccccc1Br ZINC000020147244 333282085 /nfs/dbraw/zinc/28/20/85/333282085.db2.gz PJRRDHDCRMOTFT-CYBMUJFWSA-N 0 3 314.267 4.162 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccccc21)c1ccc(OC)c(OC)c1 ZINC000120478109 333284731 /nfs/dbraw/zinc/28/47/31/333284731.db2.gz FYQFVLZXSUKWLR-MSOLQXFVSA-N 0 3 311.425 4.432 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1cc(C)c(C)o1 ZINC000502388474 333290943 /nfs/dbraw/zinc/29/09/43/333290943.db2.gz FAHKPFJKKAJBGT-VBHSOAQHSA-N 0 3 305.393 4.462 20 0 DIADHN COc1ccc([C@H](NCCc2ccco2)c2ccccc2)cc1 ZINC000390552607 333298008 /nfs/dbraw/zinc/29/80/08/333298008.db2.gz QEKRYWZAUNBESI-HXUWFJFHSA-N 0 3 307.393 4.210 20 0 DIADHN COc1cc([C@H](C)NC[C@H]2CCCCC2(F)F)ccc1F ZINC000390562534 333300551 /nfs/dbraw/zinc/30/05/51/333300551.db2.gz AVTVSWBGEXXMTD-WCQYABFASA-N 0 3 301.352 4.310 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@H](c2ccc(F)cn2)C(C)C)cc1 ZINC000497854601 333302131 /nfs/dbraw/zinc/30/21/31/333302131.db2.gz AMDCEYYVEKEMAH-KXBFYZLASA-N 0 3 316.420 4.283 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)Oc1ccccc1F)c1ccc(F)cn1 ZINC000497864439 333302435 /nfs/dbraw/zinc/30/24/35/333302435.db2.gz KISKVJAVDVRYJI-ACJLOTCBSA-N 0 3 320.383 4.114 20 0 DIADHN CC(C)CN[C@H](c1ccco1)c1ccc(Br)cc1 ZINC000497858902 333302664 /nfs/dbraw/zinc/30/26/64/333302664.db2.gz RLALAHVRFDKGLY-HNNXBMFYSA-N 0 3 308.219 4.377 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)Oc1ccc(F)cc1)c1ccc(F)cn1 ZINC000497868223 333303682 /nfs/dbraw/zinc/30/36/82/333303682.db2.gz NTMRQYFQWHHVTI-SCLBCKFNSA-N 0 3 320.383 4.114 20 0 DIADHN c1c2ccccc2oc1CNC[C@H]1CCCN1Cc1ccccc1 ZINC000390603918 333305732 /nfs/dbraw/zinc/30/57/32/333305732.db2.gz ZTRCDVAYZLSQLW-LJQANCHMSA-N 0 3 320.436 4.187 20 0 DIADHN COCc1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc1 ZINC000393398502 333306116 /nfs/dbraw/zinc/30/61/16/333306116.db2.gz WAKDSDTVPRLKEI-LJQANCHMSA-N 0 3 301.405 4.459 20 0 DIADHN COCc1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)cc1 ZINC000393398500 333306210 /nfs/dbraw/zinc/30/62/10/333306210.db2.gz WAKDSDTVPRLKEI-IBGZPJMESA-N 0 3 301.405 4.459 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NCc1cc(-c2ccccc2)cs1 ZINC000054040754 333309418 /nfs/dbraw/zinc/30/94/18/333309418.db2.gz OCRNWDNTJINVRA-GOSISDBHSA-N 0 3 323.465 4.316 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000525783934 333309532 /nfs/dbraw/zinc/30/95/32/333309532.db2.gz WTUFUPGUYRELPI-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN CCOC(=O)CCN(CC)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000525784455 333309956 /nfs/dbraw/zinc/30/99/56/333309956.db2.gz PHUGAURVMLTITA-GFCCVEGCSA-N 0 3 317.351 4.042 20 0 DIADHN CCOc1ccc(CNC[C@H]2CCCCC2(F)F)cc1OC ZINC000390641938 333310150 /nfs/dbraw/zinc/31/01/50/333310150.db2.gz HIFRRQCUZWWOGN-CQSZACIVSA-N 0 3 313.388 4.009 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CCc2cc3ccccc3o2)c1 ZINC000497925030 333310200 /nfs/dbraw/zinc/31/02/00/333310200.db2.gz NBOQDGGEVNATLP-UHFFFAOYSA-N 0 3 322.408 4.066 20 0 DIADHN C[C@@H](c1ccc(OC(F)(F)F)cc1)N1C[C@@H](C)S[C@H](C)C1 ZINC000525785338 333311002 /nfs/dbraw/zinc/31/10/02/333311002.db2.gz LCXFPMAENOWFNK-UTUOFQBUSA-N 0 3 319.392 4.472 20 0 DIADHN COc1ccc([C@@H](C)NCc2c(C)cc(C)cc2C)c(OC)c1 ZINC000319529690 333313100 /nfs/dbraw/zinc/31/31/00/333313100.db2.gz BYFSLAXNPIFODW-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN CCN(C(=O)CCC(C)C)[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000497959692 333314600 /nfs/dbraw/zinc/31/46/00/333314600.db2.gz YUFYYWIMSUKHHG-PKOBYXMFSA-N 0 3 316.489 4.107 20 0 DIADHN CCC[C@@H](C)C(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000497983686 333317169 /nfs/dbraw/zinc/31/71/69/333317169.db2.gz CEKVPVJVXQBJFS-CVEARBPZSA-N 0 3 322.518 4.074 20 0 DIADHN Clc1ccsc1CNCCCCOCc1ccccc1 ZINC000390713245 333317807 /nfs/dbraw/zinc/31/78/07/333317807.db2.gz ZKNMUARJEIRHHF-UHFFFAOYSA-N 0 3 309.862 4.488 20 0 DIADHN C[C@H](N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1cccc(O)c1 ZINC000194937526 333317958 /nfs/dbraw/zinc/31/79/58/333317958.db2.gz WHEFBDCUBSDMEB-TWOQFEAHSA-N 0 3 311.425 4.211 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1cccc(O)c1 ZINC000194940756 333318106 /nfs/dbraw/zinc/31/81/06/333318106.db2.gz MHTCDYZWFWMQBL-LBPRGKRZSA-N 0 3 304.459 4.040 20 0 DIADHN Fc1ccc(CNC[C@H]2CCCC2(F)F)cc1Br ZINC000390719658 333318914 /nfs/dbraw/zinc/31/89/14/333318914.db2.gz ZBKBJNMFWWAEFK-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN Fc1ccc(CNC[C@H]2CCCC2(F)F)c(Br)c1 ZINC000390722002 333319689 /nfs/dbraw/zinc/31/96/89/333319689.db2.gz ZMMYVQVZRLGSRX-SNVBAGLBSA-N 0 3 322.168 4.113 20 0 DIADHN CN(C)[C@H](CNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC000020545911 333322111 /nfs/dbraw/zinc/32/21/11/333322111.db2.gz QSHVEJBDRKDEEN-MRXNPFEDSA-N 0 3 323.267 4.386 20 0 DIADHN COc1cc(CN(C)Cc2cccc3ccccc32)cc(OC)c1 ZINC000514091175 333323193 /nfs/dbraw/zinc/32/31/93/333323193.db2.gz XWLJCMHLEFYACE-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](c2cccnc2)C1 ZINC000563073554 333324039 /nfs/dbraw/zinc/32/40/39/333324039.db2.gz WOYVBRAOFQVLOE-IUODEOHRSA-N 0 3 304.796 4.425 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1cc2ccccc2[nH]c1=O ZINC000110107206 333326443 /nfs/dbraw/zinc/32/64/43/333326443.db2.gz QDJDTFMEOPONPH-KRWDZBQOSA-N 0 3 324.449 4.099 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2cnc(-c3cccs3)s2)C1(C)C ZINC000531199670 333331041 /nfs/dbraw/zinc/33/10/41/333331041.db2.gz FCZINGFSXHIDQS-XJKSGUPXSA-N 0 3 322.499 4.165 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000531206556 333331045 /nfs/dbraw/zinc/33/10/45/333331045.db2.gz RXXUMLUPWHNUDI-SFHVURJKSA-N 0 3 315.461 4.084 20 0 DIADHN Fc1cccc(F)c1CNC[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000531212704 333335089 /nfs/dbraw/zinc/33/50/89/333335089.db2.gz ARMURHHHQHZCET-WDEREUQCSA-N 0 3 307.306 4.423 20 0 DIADHN C[C@H](NCC1=Cc2ccccc2OC1)[C@H]1Oc2ccccc2[C@H]1C ZINC000531213900 333335350 /nfs/dbraw/zinc/33/53/50/333335350.db2.gz QNLRBLNCWPKDBU-WIEQDCTASA-N 0 3 321.420 4.005 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000537937799 333335740 /nfs/dbraw/zinc/33/57/40/333335740.db2.gz ARKMFNZJXDPCKJ-XHDPSFHLSA-N 0 3 301.833 4.173 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000537938104 333336398 /nfs/dbraw/zinc/33/63/98/333336398.db2.gz MVJUYSQUKGPARH-HNAYVOBHSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000531339914 333344599 /nfs/dbraw/zinc/34/45/99/333344599.db2.gz FSIANNCVEWPLLY-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@H]1CCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)CC1 ZINC000531340028 333344852 /nfs/dbraw/zinc/34/48/52/333344852.db2.gz PWNVSXVXKIQIMC-ZDUSSCGKSA-N 0 3 319.836 4.402 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccsc1 ZINC000537941036 333350726 /nfs/dbraw/zinc/35/07/26/333350726.db2.gz FWWXKPTZKQRDJD-DGCLKSJQSA-N 0 3 324.852 4.470 20 0 DIADHN COc1cc(Cl)c(CNC[C@@H]2CCC(C)(C)O2)cc1Cl ZINC000495160724 333352585 /nfs/dbraw/zinc/35/25/85/333352585.db2.gz UDIKZBBLEYTOPJ-NSHDSACASA-N 0 3 318.244 4.049 20 0 DIADHN Cn1cc([C@H](NCc2ccc3ccccc3c2)C(C)(C)C)cn1 ZINC000531445209 333354160 /nfs/dbraw/zinc/35/41/60/333354160.db2.gz BKGDVTNQBQPOPI-IBGZPJMESA-N 0 3 307.441 4.450 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@H](C)Oc2ccc(Cl)cc2)c1 ZINC000184373830 333356801 /nfs/dbraw/zinc/35/68/01/333356801.db2.gz FEFFUXXGRKIHPD-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN CC(C)(C)C(C)(C)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000583457560 333357548 /nfs/dbraw/zinc/35/75/48/333357548.db2.gz LMTYRMBREIXQFG-CQSZACIVSA-N 0 3 322.518 4.074 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1sccc1Br ZINC000394671480 333358936 /nfs/dbraw/zinc/35/89/36/333358936.db2.gz SQPMYXGUICDOBU-VIFPVBQESA-N 0 3 324.234 4.426 20 0 DIADHN C[C@H](NCCOc1ccc(F)cc1)c1nccc2ccccc21 ZINC000583470091 333360296 /nfs/dbraw/zinc/36/02/96/333360296.db2.gz UCAFCPFXHLJPJF-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN C[C@@H](NCc1coc(-c2ccc(F)c(F)c2)n1)C1CCCC1 ZINC000184663342 333363425 /nfs/dbraw/zinc/36/34/25/333363425.db2.gz KMGBKENLMWUFHH-LLVKDONJSA-N 0 3 306.356 4.288 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(Cl)s1 ZINC000184676374 333363649 /nfs/dbraw/zinc/36/36/49/333363649.db2.gz KOGHHZOWGRNIFR-LLVKDONJSA-N 0 3 309.862 4.480 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(Cl)s1 ZINC000184676359 333363666 /nfs/dbraw/zinc/36/36/66/333363666.db2.gz KOGHHZOWGRNIFR-NSHDSACASA-N 0 3 309.862 4.480 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1cccc(Cl)c1 ZINC000184675635 333363707 /nfs/dbraw/zinc/36/37/07/333363707.db2.gz KXOXDNYVWLGLBU-ZDUSSCGKSA-N 0 3 303.833 4.418 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(F)c(F)c1 ZINC000184675368 333364010 /nfs/dbraw/zinc/36/40/10/333364010.db2.gz GYNOLLBBVJNBHZ-LBPRGKRZSA-N 0 3 305.368 4.043 20 0 DIADHN CC(C)OCCCN[C@H](C)c1ccc(F)cc1Br ZINC000221755238 333365661 /nfs/dbraw/zinc/36/56/61/333365661.db2.gz HVSQKGRWWWPSRP-LLVKDONJSA-N 0 3 318.230 4.054 20 0 DIADHN CCN1CCC[C@H]1CN[C@@H](C)c1cc(Cl)sc1Cl ZINC000221765059 333365917 /nfs/dbraw/zinc/36/59/17/333365917.db2.gz IBSXBUNSBZJQEO-UWVGGRQHSA-N 0 3 307.290 4.190 20 0 DIADHN C[C@@H](NCCc1ccco1)c1ccc(F)cc1Br ZINC000221760415 333366130 /nfs/dbraw/zinc/36/61/30/333366130.db2.gz UCWFOFKOZIJDGE-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN C[C@H]1C[C@H](NCC(=O)Nc2ccc(Cl)cc2)c2ccccc21 ZINC000521502890 333368337 /nfs/dbraw/zinc/36/83/37/333368337.db2.gz HYKOFCIFOXNLFW-SJCJKPOMSA-N 0 3 314.816 4.117 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2ccccc2OC(F)F)CS1 ZINC000488411609 333374201 /nfs/dbraw/zinc/37/42/01/333374201.db2.gz NCZODWWZDVAFIW-YWPYICTPSA-N 0 3 315.429 4.469 20 0 DIADHN Cn1cc([C@H](N[C@H]2CS[C@@H](C(C)(C)C)C2)C(C)(C)C)cn1 ZINC000488422222 333375615 /nfs/dbraw/zinc/37/56/15/333375615.db2.gz LNFXYDLWLREYLH-KFWWJZLASA-N 0 3 309.523 4.017 20 0 DIADHN O[C@@]1(CNc2ccnc3ccccc32)CCC[C@@H](C(F)(F)F)C1 ZINC000186720519 333376833 /nfs/dbraw/zinc/37/68/33/333376833.db2.gz GAAXEIMUOAZYRG-WBMJQRKESA-N 0 3 324.346 4.130 20 0 DIADHN Clc1ccc2nc(CN3CCC[C@@H]3C3CCCC3)cn2c1 ZINC000521422392 333379031 /nfs/dbraw/zinc/37/90/31/333379031.db2.gz UZVPQCRLYADXNW-MRXNPFEDSA-N 0 3 303.837 4.142 20 0 DIADHN CCOC1(CNCc2cscc2C(F)(F)F)CCCC1 ZINC000581298721 333382159 /nfs/dbraw/zinc/38/21/59/333382159.db2.gz OXUMIYMTIAUBND-UHFFFAOYSA-N 0 3 307.381 4.206 20 0 DIADHN C[C@H](NC[C@](C)(O)C1CCCCC1)c1nc2c(s1)CCCC2 ZINC000188665476 333384903 /nfs/dbraw/zinc/38/49/03/333384903.db2.gz HZMRYOOHJIPGOW-UGSOOPFHSA-N 0 3 322.518 4.004 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@@H]1CCCN1Cc1ccc(Cl)c(Cl)c1 ZINC000228483455 333386988 /nfs/dbraw/zinc/38/69/88/333386988.db2.gz UDFJOWYFJILVNU-VBNZEHGJSA-N 0 3 314.256 4.119 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCSc2ccccc21)c1cccs1 ZINC000021501000 333388446 /nfs/dbraw/zinc/38/84/46/333388446.db2.gz KLGWTBBFSDNPLR-LSDHHAIUSA-N 0 3 318.511 4.178 20 0 DIADHN CC(C)[C@@H](C)N(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000522775699 333389070 /nfs/dbraw/zinc/38/90/70/333389070.db2.gz XKFJSPDVCKORIL-NSPYISDASA-N 0 3 322.301 4.077 20 0 DIADHN CC(C)[C@@H](C)N(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000522775701 333389099 /nfs/dbraw/zinc/38/90/99/333389099.db2.gz XKFJSPDVCKORIL-WYRIXSBYSA-N 0 3 322.301 4.077 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000122320266 333394614 /nfs/dbraw/zinc/39/46/14/333394614.db2.gz WGTAGGSWZIVNBV-QZTJIDSGSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)n(C)n1 ZINC000417801943 335137969 /nfs/dbraw/zinc/13/79/69/335137969.db2.gz AACMGJWKNWEZEE-KBPBESRZSA-N 0 3 305.853 4.006 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cc(C)ccc1C)CCO2 ZINC000319668039 333394826 /nfs/dbraw/zinc/39/48/26/333394826.db2.gz CPHPEIDXCFVMDD-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccccc1C(F)(F)F ZINC000122324420 333394960 /nfs/dbraw/zinc/39/49/60/333394960.db2.gz CHGXYFBFOGCTHB-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN F[C@H]1CC[C@H](NCc2ccc(Cl)cc2Br)C1 ZINC000390818255 333396089 /nfs/dbraw/zinc/39/60/89/333396089.db2.gz QJRRNWCGHJCYFE-QWRGUYRKSA-N 0 3 306.606 4.083 20 0 DIADHN CCc1ccc([C@H](NCc2cccc3c2OCO3)C(C)C)cc1 ZINC000319687206 333397214 /nfs/dbraw/zinc/39/72/14/333397214.db2.gz WBVWKFWQCABYHZ-LJQANCHMSA-N 0 3 311.425 4.465 20 0 DIADHN C[C@H](NCCc1cccc2cccnc21)c1cc(F)ccc1F ZINC000390849710 333399188 /nfs/dbraw/zinc/39/91/88/333399188.db2.gz IUTNCQJPRKXZKR-ZDUSSCGKSA-N 0 3 312.363 4.406 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccc3c(c2)OCCO3)cc1 ZINC000319760405 333403048 /nfs/dbraw/zinc/40/30/48/333403048.db2.gz DJRJOXJTWYAPHT-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN Clc1ccc(CNC[C@@H](c2ccccc2)N2CCCC2)s1 ZINC000021013753 333412207 /nfs/dbraw/zinc/41/22/07/333412207.db2.gz HZHRXCNPJZXIAN-INIZCTEOSA-N 0 3 320.889 4.328 20 0 DIADHN CO[C@H]1C[C@H](NCc2cnc(-c3ccc(C)cc3)s2)C1(C)C ZINC000531478976 333414735 /nfs/dbraw/zinc/41/47/35/333414735.db2.gz KQSFVCKEAOAOQF-HOTGVXAUSA-N 0 3 316.470 4.022 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@H]1CCCc2c(C)ccc(C)c21 ZINC000187059057 333416096 /nfs/dbraw/zinc/41/60/96/333416096.db2.gz ZZDGVEABQUMESJ-QAPCUYQASA-N 0 3 310.441 4.435 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(OC(F)F)c2)cc(C)c1O ZINC000319956320 333419342 /nfs/dbraw/zinc/41/93/42/333419342.db2.gz CQCPFTVHUIWDJU-ZDUSSCGKSA-N 0 3 321.367 4.461 20 0 DIADHN CC[C@H](NCc1ccnc(C)c1)c1ccc(Br)cc1 ZINC000391169899 333422372 /nfs/dbraw/zinc/42/23/72/333422372.db2.gz YLFRAEOMIIGCJV-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cc1C ZINC000320068023 333423329 /nfs/dbraw/zinc/42/33/29/333423329.db2.gz NBDIOIMKGLCCDP-HOTGVXAUSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3ccnc(C)c3)ccc2c1 ZINC000391200958 333423901 /nfs/dbraw/zinc/42/39/01/333423901.db2.gz PGINRXPMKWVVGB-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN c1ccc([C@H]2CCCC[C@@H]2NCc2nc3ccccc3[nH]2)cc1 ZINC000320093071 333424648 /nfs/dbraw/zinc/42/46/48/333424648.db2.gz DGRPAUMUONGXLF-SJORKVTESA-N 0 3 305.425 4.379 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc(F)c(Cl)c2)o1 ZINC000122548346 333425692 /nfs/dbraw/zinc/42/56/92/333425692.db2.gz FRTGXOBIKYDTLZ-UHFFFAOYSA-N 0 3 319.767 4.060 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCC1)c1ncc(Br)cc1F ZINC000187205740 333426461 /nfs/dbraw/zinc/42/64/61/333426461.db2.gz DCBBEYDYEKUOEH-UWVGGRQHSA-N 0 3 315.230 4.213 20 0 DIADHN c1csc(-c2n[nH]cc2CN[C@H]2CCCc3sccc32)c1 ZINC000061360152 333427987 /nfs/dbraw/zinc/42/79/87/333427987.db2.gz MEAIUKAKKLMBAV-ZDUSSCGKSA-N 0 3 315.467 4.367 20 0 DIADHN C[C@@H](N[C@H]1CCCC1(C)C)c1ncc(Br)cc1F ZINC000187283503 333428589 /nfs/dbraw/zinc/42/85/89/333428589.db2.gz FZTRLTWRMYQTKI-SKDRFNHKSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1cc2cc(Cl)ccc2o1 ZINC000187347129 333429876 /nfs/dbraw/zinc/42/98/76/333429876.db2.gz AELXPUCIYKFYLS-NBCLGQJJSA-N 0 3 305.805 4.304 20 0 DIADHN CCCn1c(CNCCc2cccc(C)c2)nc2ccccc21 ZINC000320159346 333431336 /nfs/dbraw/zinc/43/13/36/333431336.db2.gz OTNIOVZMRQOTHN-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN FC1(F)CCC[C@@H](CNCc2ccccc2Br)C1 ZINC000302480609 333450026 /nfs/dbraw/zinc/45/00/26/333450026.db2.gz QWRCKELXAQOCNR-LLVKDONJSA-N 0 3 318.205 4.364 20 0 DIADHN Brc1ccc2c(c1)[C@@H](N[C@@H]1CCC13CCC3)CCO2 ZINC000337490054 333450625 /nfs/dbraw/zinc/45/06/25/333450625.db2.gz VNPRNOACVNNEDF-DZGCQCFKSA-N 0 3 322.246 4.195 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@H]3Cc4ccccc4O3)o2)cc1 ZINC000391983729 335146409 /nfs/dbraw/zinc/14/64/09/335146409.db2.gz QSLUPEVCOOOHPL-GOSISDBHSA-N 0 3 323.367 4.179 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C)OC ZINC000563262799 333515246 /nfs/dbraw/zinc/51/52/46/333515246.db2.gz ROGQZTRWMIURIE-NHYWBVRUSA-N 0 3 322.449 4.333 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000237033979 333516346 /nfs/dbraw/zinc/51/63/46/333516346.db2.gz NUOFKIKRKRJZMY-NWDGAFQWSA-N 0 3 318.442 4.063 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H]1C[C@H](OCC)C12CCC2 ZINC000531724335 333544167 /nfs/dbraw/zinc/54/41/67/333544167.db2.gz ZDAVRHKBSRSPQW-WBAXXEDZSA-N 0 3 303.446 4.084 20 0 DIADHN CCn1nc(C)c(CN[C@H]2c3cccc(F)c3CC[C@H]2C)c1C ZINC000531710299 333548943 /nfs/dbraw/zinc/54/89/43/333548943.db2.gz QCUPXGMNGKXYTB-CWTRNNRKSA-N 0 3 315.436 4.072 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccc(Cl)cc1 ZINC000531711497 333549192 /nfs/dbraw/zinc/54/91/92/333549192.db2.gz KVLXVKMIUKCVGF-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1Br)c1ccoc1 ZINC000531737787 333551859 /nfs/dbraw/zinc/55/18/59/333551859.db2.gz VBVICTSLOSHPSM-NWDGAFQWSA-N 0 3 324.218 4.160 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cccnc1N(C)C)CCC2 ZINC000534173061 333565701 /nfs/dbraw/zinc/56/57/01/333565701.db2.gz WFADUGOWVYMVDW-FQEVSTJZSA-N 0 3 323.484 4.438 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccccc2)c2ccc(F)cc2)cn1 ZINC000534268251 333571468 /nfs/dbraw/zinc/57/14/68/333571468.db2.gz ZQKYFJXTISHMAX-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNC2CCC3(CCOCC3)CC2)o1 ZINC000343346081 335148408 /nfs/dbraw/zinc/14/84/08/335148408.db2.gz HTXCCKIHVMKMJA-YOEHRIQHSA-N 0 3 303.446 4.232 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000563419791 333603134 /nfs/dbraw/zinc/60/31/34/333603134.db2.gz ZGEUOMAWFCWTGQ-DYVFJYSZSA-N 0 3 301.409 4.362 20 0 DIADHN CC[C@H](NCc1ccc(Cn2ccnc2)cc1)c1cccs1 ZINC000152103761 333610485 /nfs/dbraw/zinc/61/04/85/333610485.db2.gz GSIMHERYBSLSBZ-KRWDZBQOSA-N 0 3 311.454 4.234 20 0 DIADHN COC1(CN[C@H](C)c2nccc3ccccc32)CCC(C)CC1 ZINC000563575036 333628346 /nfs/dbraw/zinc/62/83/46/333628346.db2.gz XRWOJAOMOHYNKG-LFDOHDQPSA-N 0 3 312.457 4.481 20 0 DIADHN CN(C(=O)c1cccc(CN2CCCCC2)c1)C1CCCCC1 ZINC000411128226 333636282 /nfs/dbraw/zinc/63/62/82/333636282.db2.gz CZBBIFQLGMUNTD-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@H](C)c1cn(C)nc1C)CCC2 ZINC000563625681 333640164 /nfs/dbraw/zinc/64/01/64/333640164.db2.gz RSISPXRVRYMXBW-XIKOKIGWSA-N 0 3 315.486 4.179 20 0 DIADHN C[C@@H](NCc1ccccc1-n1ccnc1)c1cccc(Cl)c1 ZINC000152244772 333650817 /nfs/dbraw/zinc/65/08/17/333650817.db2.gz OREIQCZXJHGXGH-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000571066826 333654895 /nfs/dbraw/zinc/65/48/95/333654895.db2.gz KFASKAKNXINFPD-ROUUACIJSA-N 0 3 311.400 4.407 20 0 DIADHN Clc1ccc(C2(NCCc3ccccn3)CC2)cc1Cl ZINC000411360966 333660889 /nfs/dbraw/zinc/66/08/89/333660889.db2.gz ZFMSDXUJZJEOIB-UHFFFAOYSA-N 0 3 307.224 4.210 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccc3cnn(C)c3c2)c2sccc21 ZINC000571156593 333671493 /nfs/dbraw/zinc/67/14/93/333671493.db2.gz CDRGGQHRYFEKQR-LRDDRELGSA-N 0 3 311.454 4.363 20 0 DIADHN CC1(C)CC[C@H](CN[C@@H](CC(F)(F)F)c2ccccc2)O1 ZINC000411457920 333673786 /nfs/dbraw/zinc/67/37/86/333673786.db2.gz OEPJPNLAPNVCSZ-KGLIPLIRSA-N 0 3 301.352 4.227 20 0 DIADHN C[C@@H](NCCOCC(F)(F)C(F)F)c1cccc(C2CC2)c1 ZINC000571230488 333686260 /nfs/dbraw/zinc/68/62/60/333686260.db2.gz YICFTVCGSFJDEC-LLVKDONJSA-N 0 3 319.342 4.132 20 0 DIADHN CC[C@@H](NCc1cnc2ccc(C)cc2c1)c1c(C)nn(C)c1C ZINC000411515565 333686641 /nfs/dbraw/zinc/68/66/41/333686641.db2.gz IFRNAMDIXZVBTA-GOSISDBHSA-N 0 3 322.456 4.134 20 0 DIADHN Cc1cnc([C@@H](N[C@H]2C[C@H]2c2cccc(F)c2)C2CC2)s1 ZINC000411520060 333687543 /nfs/dbraw/zinc/68/75/43/333687543.db2.gz USPWHSDTPJAIQN-JYJNAYRXSA-N 0 3 302.418 4.187 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCCC[C@H]3n3cccn3)cc2c1 ZINC000411557314 333693339 /nfs/dbraw/zinc/69/33/39/333693339.db2.gz JUDXQZQNQZTCOE-WOJBJXKFSA-N 0 3 320.440 4.013 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN[C@H]1CCC12CCCC2 ZINC000411785990 333706526 /nfs/dbraw/zinc/70/65/26/333706526.db2.gz CQQZIKZOMWRQPB-INIZCTEOSA-N 0 3 300.377 4.293 20 0 DIADHN C[C@@H]1CCCCCN1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000412108798 333727916 /nfs/dbraw/zinc/72/79/16/333727916.db2.gz IWSYOPDWQJIESN-QGZVFWFLSA-N 0 3 314.473 4.077 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccccc3Cl)s2)CCOC1 ZINC000534451064 333772323 /nfs/dbraw/zinc/77/23/23/333772323.db2.gz LDRHCTMMOMSADC-INIZCTEOSA-N 0 3 307.846 4.337 20 0 DIADHN c1ccc2c(CN[C@@H]3CCC[C@@H](c4cccnc4)C3)noc2c1 ZINC000571580703 333817379 /nfs/dbraw/zinc/81/73/79/333817379.db2.gz AMWGWVFYYZNEJE-GDBMZVCRSA-N 0 3 307.397 4.039 20 0 DIADHN c1ccc2c(CN[C@H]3CCC[C@@H](c4cccnc4)C3)noc2c1 ZINC000571580706 333817489 /nfs/dbraw/zinc/81/74/89/333817489.db2.gz AMWGWVFYYZNEJE-ZBFHGGJFSA-N 0 3 307.397 4.039 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1noc2c1CCCC2 ZINC000571568753 333818216 /nfs/dbraw/zinc/81/82/16/333818216.db2.gz RCNSHUAMAREXDU-STQMWFEESA-N 0 3 318.848 4.489 20 0 DIADHN CCCc1nc(C)c(CNCCCc2c(F)cccc2Cl)o1 ZINC000430141582 333833888 /nfs/dbraw/zinc/83/38/88/333833888.db2.gz YBWQZHHJROKDOE-UHFFFAOYSA-N 0 3 324.827 4.450 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000343437414 335164356 /nfs/dbraw/zinc/16/43/56/335164356.db2.gz DNHDLKQVPWDBHU-GARJFASQSA-N 0 3 310.488 4.254 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCOC1CCCCC1)CCS2 ZINC000343439076 335164648 /nfs/dbraw/zinc/16/46/48/335164648.db2.gz GQULBSAOYFKGCZ-KRWDZBQOSA-N 0 3 321.486 4.171 20 0 DIADHN C[C@H](N[C@@H](C)C(c1ccccc1)c1ccccc1)c1ccn(C)n1 ZINC000414068330 333890802 /nfs/dbraw/zinc/89/08/02/333890802.db2.gz JQDGQWZMMRJRMU-IRXDYDNUSA-N 0 3 319.452 4.291 20 0 DIADHN C[C@@H](CN[C@H](C)c1ccn(C)n1)c1c(Cl)cccc1Cl ZINC000414082496 333893173 /nfs/dbraw/zinc/89/31/73/333893173.db2.gz VEACQJDCZFASBD-WDEREUQCSA-N 0 3 312.244 4.181 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414095302 333895399 /nfs/dbraw/zinc/89/53/99/333895399.db2.gz HJKCJJDJJSEELI-GJZGRUSLSA-N 0 3 315.486 4.051 20 0 DIADHN CO[C@@H]1CCC[C@H]1CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414095737 333895437 /nfs/dbraw/zinc/89/54/37/333895437.db2.gz HRCFOPXMUQNHGI-UKPHBRMFSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000414099773 333896176 /nfs/dbraw/zinc/89/61/76/333896176.db2.gz KXNRXTMRPQZVMW-VNHYZAJKSA-N 0 3 302.443 4.028 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(OC(F)F)c2)c(C)c1 ZINC000414106062 333897201 /nfs/dbraw/zinc/89/72/01/333897201.db2.gz XBGSQYMQHCNCBF-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1csc(-c2ccccc2)n1)C(C)(C)O ZINC000414125024 333898842 /nfs/dbraw/zinc/89/88/42/333898842.db2.gz COSOBWVLHWOIOV-CJNGLKHVSA-N 0 3 318.486 4.256 20 0 DIADHN COC1(CCN[C@H](C)c2csc(-c3ccccc3)n2)CCC1 ZINC000414141597 333902185 /nfs/dbraw/zinc/90/21/85/333902185.db2.gz MJZLCOAIDJEECE-CQSZACIVSA-N 0 3 316.470 4.420 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@H](C)[C@H]2C[C@@H]2C)c1 ZINC000414115361 333905834 /nfs/dbraw/zinc/90/58/34/333905834.db2.gz MWKUMQSTTXEEHR-IYOUNJFTSA-N 0 3 312.413 4.017 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3OC)c(C)c1 ZINC000414117813 333906271 /nfs/dbraw/zinc/90/62/71/333906271.db2.gz SRITVKLWPVSJDP-AUUYWEPGSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccnc(C(F)(F)F)c2)c(C)c1 ZINC000414133003 333906491 /nfs/dbraw/zinc/90/64/91/333906491.db2.gz ASEPFRSASOYDHT-GFCCVEGCSA-N 0 3 324.346 4.268 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)N[C@@H](C)c1ccn(C)n1 ZINC000414178053 333909164 /nfs/dbraw/zinc/90/91/64/333909164.db2.gz KBQRQVGGHSDRNW-GXTWGEPZSA-N 0 3 323.893 4.295 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000343460625 335166330 /nfs/dbraw/zinc/16/63/30/335166330.db2.gz FIRWZOWWGROTCT-MRTMQBJTSA-N 0 3 308.274 4.445 20 0 DIADHN CC(C)[C@@H](N[C@@H]1C[C@H]1c1ccccc1F)c1ccc(F)cn1 ZINC000414182861 333909965 /nfs/dbraw/zinc/90/99/65/333909965.db2.gz NFGQSQGBPUPAPG-BMGDILEWSA-N 0 3 302.368 4.203 20 0 DIADHN C[C@@H](CC(C)(C)O)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414157629 333914210 /nfs/dbraw/zinc/91/42/10/333914210.db2.gz YEUNQSKWHODPNM-STQMWFEESA-N 0 3 304.459 4.010 20 0 DIADHN C[C@H]1C[C@H](NCC2(Cc3cccc(Cl)c3)CC2)c2nccn21 ZINC000414161286 333915341 /nfs/dbraw/zinc/91/53/41/333915341.db2.gz BSBGBZVEOMKYNC-BBRMVZONSA-N 0 3 315.848 4.155 20 0 DIADHN COCC[C@H](C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000414208174 333922025 /nfs/dbraw/zinc/92/20/25/333922025.db2.gz RJNPYNXERWYZLA-AWEZNQCLSA-N 0 3 319.395 4.316 20 0 DIADHN CC(C)(C)c1ccncc1CN[C@@H](c1cccnc1)C1CCC1 ZINC000414220984 333924051 /nfs/dbraw/zinc/92/40/51/333924051.db2.gz CQQRLYQDRWCHMY-LJQANCHMSA-N 0 3 309.457 4.405 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1cnccc1C(C)(C)C)CCC2 ZINC000414226040 333925142 /nfs/dbraw/zinc/92/51/42/333925142.db2.gz JKEWQQYXNFFLAG-HNNXBMFYSA-N 0 3 315.486 4.311 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccc(Cl)cc2)C1)c1cnccn1 ZINC000414232676 333927060 /nfs/dbraw/zinc/92/70/60/333927060.db2.gz IGUNWIRKVNZRDO-NOLJZWGESA-N 0 3 301.821 4.117 20 0 DIADHN C[C@@H]1C[C@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)[C@H](C)O1 ZINC000414255797 333928167 /nfs/dbraw/zinc/92/81/67/333928167.db2.gz CLIAMXLUPHRPTI-BAAGSCJXSA-N 0 3 313.416 4.318 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCC(C)(C)OCC1CC1)CCS2 ZINC000414271017 333931169 /nfs/dbraw/zinc/93/11/69/333931169.db2.gz PFZORRYCOKXVFT-INIZCTEOSA-N 0 3 321.486 4.027 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@@H](C)N[C@@H]1CCCC[C@H]1F ZINC000414283113 333933258 /nfs/dbraw/zinc/93/32/58/333933258.db2.gz IQKWCOLMTQWOGD-QGPMSJSTSA-N 0 3 320.452 4.397 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc2c(s1)CCCC2)CC(F)(F)F ZINC000414284414 333933376 /nfs/dbraw/zinc/93/33/76/333933376.db2.gz QQMIZSBAHVZBEZ-ZJUUUORDSA-N 0 3 306.397 4.261 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc(C(F)(F)F)cs1)CC(F)(F)F ZINC000414284601 333933432 /nfs/dbraw/zinc/93/34/32/333933432.db2.gz QTLKJSDXTCUKPH-RNFRBKRXSA-N 0 3 320.302 4.401 20 0 DIADHN COCc1cccc(CNCc2ccc(C(F)(F)F)c(C)c2)c1 ZINC000414286210 333933847 /nfs/dbraw/zinc/93/38/47/333933847.db2.gz MUSUULCIXVQNQH-UHFFFAOYSA-N 0 3 323.358 4.450 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](CO)C1)c1csc(-c2ccccc2)n1 ZINC000414349087 333938494 /nfs/dbraw/zinc/93/84/94/333938494.db2.gz XVPCUJZNWRWENM-OFQRWUPVSA-N 0 3 316.470 4.012 20 0 DIADHN OC[C@@H]1CCC[C@H](N[C@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000414342094 333942694 /nfs/dbraw/zinc/94/26/94/333942694.db2.gz PKUTVWUEUMMAMG-DGFSRKRXSA-N 0 3 314.256 4.121 20 0 DIADHN Cc1cccc(C2(CNCc3ccc(C)nn3)CCCCC2)c1 ZINC000414304521 333943951 /nfs/dbraw/zinc/94/39/51/333943951.db2.gz FGDDEOFNNCMBLZ-UHFFFAOYSA-N 0 3 309.457 4.085 20 0 DIADHN Fc1ccc([C@H](C[C@@H]2CCCO2)N[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414351796 333946018 /nfs/dbraw/zinc/94/60/18/333946018.db2.gz SEQCXUDEUVKACK-XWTMOSNGSA-N 0 3 315.388 4.175 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1ccc(Oc2ccccc2)o1 ZINC000343466345 335167274 /nfs/dbraw/zinc/16/72/74/335167274.db2.gz JEMZVAZWZKFOGU-HNNXBMFYSA-N 0 3 301.386 4.073 20 0 DIADHN C[C@@H]1OCC[C@@]1(C)NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000436409838 333960465 /nfs/dbraw/zinc/96/04/65/333960465.db2.gz JMIHBOCATHDHQB-TVQRCGJNSA-N 0 3 307.743 4.016 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CC(=O)N(C)C)c2ccccc2)c2ccccc21 ZINC000343474302 335167930 /nfs/dbraw/zinc/16/79/30/335167930.db2.gz IUMRKXZCCIPHMW-XPGWFJOJSA-N 0 3 322.452 4.044 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CC(C)(C)OC1(C)C)CCS2 ZINC000343475224 335168104 /nfs/dbraw/zinc/16/81/04/335168104.db2.gz YBVKKCUGPIIEKM-HOCLYGCPSA-N 0 3 321.486 4.168 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](CC(=O)N(C)C)c2ccccc2)c2ccccc21 ZINC000343474287 335168124 /nfs/dbraw/zinc/16/81/24/335168124.db2.gz IUMRKXZCCIPHMW-CDHQVMDDSA-N 0 3 322.452 4.044 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(C(F)(F)F)c(C)c2)cn1 ZINC000414466135 333989754 /nfs/dbraw/zinc/98/97/54/333989754.db2.gz ZCZXOEILHORIEU-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(c2ccncn2)CC1 ZINC000414472427 333991053 /nfs/dbraw/zinc/99/10/53/333991053.db2.gz RUGAHTXHMZUELI-UHFFFAOYSA-N 0 3 315.848 4.072 20 0 DIADHN Cc1ccc(CNCc2c[nH]nc2C(C)(C)C)c2ccccc12 ZINC000414489305 333996165 /nfs/dbraw/zinc/99/61/65/333996165.db2.gz YHRJQKOEDJVFQR-UHFFFAOYSA-N 0 3 307.441 4.459 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H]3CS[C@H](C)C3)s2)cc1 ZINC000414499671 333998586 /nfs/dbraw/zinc/99/85/86/333998586.db2.gz OTONWQUTXKELKP-OCCSQVGLSA-N 0 3 304.484 4.102 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cnc(-c2cccs2)s1)C1CC1 ZINC000414504294 333999949 /nfs/dbraw/zinc/99/99/49/333999949.db2.gz RYIUUYIFHIBEJM-BDJLRTHQSA-N 0 3 322.499 4.165 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cnc(-c2ccc(C)o2)s1)C1CC1 ZINC000414507881 334001869 /nfs/dbraw/zinc/00/18/69/334001869.db2.gz ZPTFQGNQMKRFPB-PXAZEXFGSA-N 0 3 320.458 4.005 20 0 DIADHN C[C@@H]1C[C@H](CNCc2ccc(-c3c(F)cccc3F)o2)[C@@H](C)O1 ZINC000414508427 334001991 /nfs/dbraw/zinc/00/19/91/334001991.db2.gz BERPTKOJRLDKSG-JHJVBQTASA-N 0 3 321.367 4.128 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3C[C@H](C)O[C@H]3C)cs2)cc1 ZINC000414509435 334002346 /nfs/dbraw/zinc/00/23/46/334002346.db2.gz CKGOPTMFEJKDLX-DZKIICNBSA-N 0 3 316.470 4.022 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3C[C@@H](C)O[C@@H]3C)cs2)cc1 ZINC000414509438 334002390 /nfs/dbraw/zinc/00/23/90/334002390.db2.gz CKGOPTMFEJKDLX-FMKPAKJESA-N 0 3 316.470 4.022 20 0 DIADHN CCc1cc(NCc2c(C)cc(C)[nH]c2=O)c2ccccc2n1 ZINC000269754582 334003900 /nfs/dbraw/zinc/00/39/00/334003900.db2.gz TUJSPMQRXACBJN-UHFFFAOYSA-N 0 3 307.397 4.127 20 0 DIADHN COCC1CCC(NCc2csc(Cl)c2Cl)CC1 ZINC000414515694 334004306 /nfs/dbraw/zinc/00/43/06/334004306.db2.gz UWJIVZJOYNTABN-UHFFFAOYSA-N 0 3 308.274 4.350 20 0 DIADHN CC[C@H](CNCc1cnc([C@H]2CCCO2)s1)CC(F)(F)F ZINC000414516322 334004348 /nfs/dbraw/zinc/00/43/48/334004348.db2.gz OEYVSWJWGKPKHA-CMPLNLGQSA-N 0 3 322.396 4.063 20 0 DIADHN CC[C@@H](CNCc1cnc([C@@H]2CCCO2)s1)CC(F)(F)F ZINC000414516326 334004407 /nfs/dbraw/zinc/00/44/07/334004407.db2.gz OEYVSWJWGKPKHA-PWSUYJOCSA-N 0 3 322.396 4.063 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(-c3cccc(F)c3F)o2)[C@@H](C)O1 ZINC000414518506 334005361 /nfs/dbraw/zinc/00/53/61/334005361.db2.gz SKJOQNZHPRAFRQ-UPJWGTAASA-N 0 3 321.367 4.128 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2cc(C(F)(F)F)ccc2Cl)[C@@H](C)O1 ZINC000414521436 334006090 /nfs/dbraw/zinc/00/60/90/334006090.db2.gz WEHMHDVKSYREPU-MXWKQRLJSA-N 0 3 321.770 4.262 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCCCC2)cn1 ZINC000414527330 334008260 /nfs/dbraw/zinc/00/82/60/334008260.db2.gz MRBYNJDSWXRWDV-FQEVSTJZSA-N 0 3 324.468 4.164 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000414527291 334008357 /nfs/dbraw/zinc/00/83/57/334008357.db2.gz MOJJGJUIEMFMEM-IRXDYDNUSA-N 0 3 319.399 4.436 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccccc2)n1)CC(F)(F)F ZINC000414536154 334010535 /nfs/dbraw/zinc/01/05/35/334010535.db2.gz YVXZCPWWMZAPSJ-LLVKDONJSA-N 0 3 314.376 4.488 20 0 DIADHN CC(C)(C)CN(CCCO)Cc1cnc(-c2ccsc2)s1 ZINC000093146161 334014452 /nfs/dbraw/zinc/01/44/52/334014452.db2.gz XBGJUWWLERZKFR-UHFFFAOYSA-N 0 3 324.515 4.102 20 0 DIADHN CCOc1c(Cl)cccc1CNCC(C)(C)c1cccnc1 ZINC000414554710 334016318 /nfs/dbraw/zinc/01/63/18/334016318.db2.gz HNQUBGSTWQHQHC-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CCC[C@@H](CO)C2)o1 ZINC000414560943 334019045 /nfs/dbraw/zinc/01/90/45/334019045.db2.gz AZLORTLXBDMAMT-CABCVRRESA-N 0 3 317.404 4.035 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(CSC(F)F)o2)CCO1 ZINC000271320262 334021087 /nfs/dbraw/zinc/02/10/87/334021087.db2.gz ARSGZIPENQKGKZ-BXUZGUMPSA-N 0 3 319.417 4.029 20 0 DIADHN Cc1ccncc1[C@H](C)NCCCOc1cccc2cccnc21 ZINC000271415279 334022840 /nfs/dbraw/zinc/02/28/40/334022840.db2.gz ASFHITCFBAVKDM-INIZCTEOSA-N 0 3 321.424 4.058 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2cnccc2C)cc1OC ZINC000271482773 334023881 /nfs/dbraw/zinc/02/38/81/334023881.db2.gz DOJIUQHVKOPNBL-GJZGRUSLSA-N 0 3 314.429 4.127 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@@H]1C[C@H]1c1ccco1 ZINC000414582745 334028146 /nfs/dbraw/zinc/02/81/46/334028146.db2.gz LLVRUAZWAMUEGO-PRYPJVTBSA-N 0 3 319.351 4.430 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1 ZINC000437109750 334028383 /nfs/dbraw/zinc/02/83/83/334028383.db2.gz YMTJPCUZISEZTQ-NHYWBVRUSA-N 0 3 316.367 4.273 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CCC[C@@]2(CCOC2)C1 ZINC000451903128 334031725 /nfs/dbraw/zinc/03/17/25/334031725.db2.gz PHLAHITURYPJHL-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC(c2ccc(O)cc2)CC1 ZINC000272350330 334033966 /nfs/dbraw/zinc/03/39/66/334033966.db2.gz VAOATMWXOZNBEA-CQSZACIVSA-N 0 3 322.408 4.474 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@H](C)C(F)(F)F)CCS2 ZINC000343516281 335173869 /nfs/dbraw/zinc/17/38/69/335173869.db2.gz XTCFYANDQDVFBH-JOYOIKCWSA-N 0 3 305.365 4.020 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc(Cn3ccnc3)cc2)c2ccccc21 ZINC000343526513 335174952 /nfs/dbraw/zinc/17/49/52/335174952.db2.gz JRIFTSPHGMBXHH-KKSFZXQISA-N 0 3 317.436 4.270 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC(OCC3CC3)CC1)CC2 ZINC000343532032 335175576 /nfs/dbraw/zinc/17/55/76/335175576.db2.gz FECYCLDLXICRKT-GOSISDBHSA-N 0 3 305.849 4.218 20 0 DIADHN Cc1cc(C)cc(NC(=O)Nc2ccccc2CCN(C)C)c1 ZINC000275628802 334072327 /nfs/dbraw/zinc/07/23/27/334072327.db2.gz KIUOEOYAXNCYOY-UHFFFAOYSA-N 0 3 311.429 4.052 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cnn(C(C)(C)C)c2C)cc1 ZINC000276387080 334076829 /nfs/dbraw/zinc/07/68/29/334076829.db2.gz QVKSZUNJGNFIGD-AWEZNQCLSA-N 0 3 315.461 4.196 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000276425239 334077839 /nfs/dbraw/zinc/07/78/39/334077839.db2.gz WEOMUBGGAOVGAH-AWEZNQCLSA-N 0 3 301.434 4.022 20 0 DIADHN CCOC(CCN[C@H](C)c1oc2ccccc2c1C)OCC ZINC000276558142 334081777 /nfs/dbraw/zinc/08/17/77/334081777.db2.gz RGFXPVXWYPUDBZ-CQSZACIVSA-N 0 3 305.418 4.181 20 0 DIADHN CCOC(CCN[C@H]1C[C@H](C)Sc2sccc21)OCC ZINC000276570524 334082353 /nfs/dbraw/zinc/08/23/53/334082353.db2.gz TXNVDHSVWXHJPY-AAEUAGOBSA-N 0 3 315.504 4.052 20 0 DIADHN CCOC(CCN[C@@H](CC)c1cccc(Cl)c1F)OCC ZINC000276579092 334082594 /nfs/dbraw/zinc/08/25/94/334082594.db2.gz VCTZCWYJXPSWOP-AWEZNQCLSA-N 0 3 317.832 4.309 20 0 DIADHN Cc1noc(C[C@H](N[C@H](C)c2cccc(C)c2)c2ccccc2)n1 ZINC000277072486 334086403 /nfs/dbraw/zinc/08/64/03/334086403.db2.gz IXMDBKLREOHGGO-BEFAXECRSA-N 0 3 321.424 4.321 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc3c(c2)OCCCO3)c2ccccc21 ZINC000343506901 335172514 /nfs/dbraw/zinc/17/25/14/335172514.db2.gz GOZKFFQJFCOUTA-KSSFIOAISA-N 0 3 309.409 4.186 20 0 DIADHN Cc1cccc2ncc(CNCc3csc4ccccc34)n21 ZINC000343567603 335178329 /nfs/dbraw/zinc/17/83/29/335178329.db2.gz JJUPPXMYKUXBJU-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2c(F)cncc2F)cc1 ZINC000285738426 334149777 /nfs/dbraw/zinc/14/97/77/334149777.db2.gz RGKOJQVAYOLNHO-STQMWFEESA-N 0 3 320.383 4.040 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000287323315 334157318 /nfs/dbraw/zinc/15/73/18/334157318.db2.gz FNKLLEGNBBWSMN-MBNYWOFBSA-N 0 3 304.484 4.212 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccccc1C(F)(F)F ZINC000414906309 334169576 /nfs/dbraw/zinc/16/95/76/334169576.db2.gz AQWRDZKVPOZGGH-KRWDZBQOSA-N 0 3 320.358 4.455 20 0 DIADHN C[C@H](NCC[C@@](C)(O)c1ccccc1)c1nccc2ccccc21 ZINC000290680557 334174152 /nfs/dbraw/zinc/17/41/52/334174152.db2.gz LVSYZBLJQZOOBD-HRAATJIYSA-N 0 3 320.436 4.183 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(OC(F)F)cc1 ZINC000414919673 334180400 /nfs/dbraw/zinc/18/04/00/334180400.db2.gz VOOLDEIINQDHRV-QGZVFWFLSA-N 0 3 318.367 4.037 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)[C@@H]1OCCc2sccc21 ZINC000291748482 334181410 /nfs/dbraw/zinc/18/14/10/334181410.db2.gz PWMLSTQBZIVCQI-DJJJIMSYSA-N 0 3 324.449 4.088 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3cc(N)ccc32)ccc1Cl ZINC000414926692 334188604 /nfs/dbraw/zinc/18/86/04/334188604.db2.gz LHQBJSPCMMVQSX-GOSISDBHSA-N 0 3 300.833 4.398 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3cc(N)ccc32)ccc1Cl ZINC000414926693 334188629 /nfs/dbraw/zinc/18/86/29/334188629.db2.gz LHQBJSPCMMVQSX-SFHVURJKSA-N 0 3 300.833 4.398 20 0 DIADHN CCc1cc(OC)ccc1CN[C@@H]1CCCc2cc(N)ccc21 ZINC000414930284 334193349 /nfs/dbraw/zinc/19/33/49/334193349.db2.gz OXIAZGILMDSWSE-HXUWFJFHSA-N 0 3 310.441 4.007 20 0 DIADHN CCc1cc(OC)ccc1CN[C@H]1CCCc2cc(N)ccc21 ZINC000414930281 334193396 /nfs/dbraw/zinc/19/33/96/334193396.db2.gz OXIAZGILMDSWSE-FQEVSTJZSA-N 0 3 310.441 4.007 20 0 DIADHN Cc1nc2ccccc2n1C[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000534474906 334271804 /nfs/dbraw/zinc/27/18/04/334271804.db2.gz SNTYGWMIZWLHQG-SFHVURJKSA-N 0 3 323.415 4.148 20 0 DIADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1ncc(Br)s1 ZINC000534495955 334277442 /nfs/dbraw/zinc/27/74/42/334277442.db2.gz BKOZKJKKCNWSQG-OPRDCNLKSA-N 0 3 317.296 4.381 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN[C@@H](C)c1cn[nH]c1 ZINC000293353855 334197447 /nfs/dbraw/zinc/19/74/47/334197447.db2.gz UAUUCNFAUNDXBB-JTQLQIEISA-N 0 3 305.834 4.437 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cc(Cl)ccc1OC ZINC000340450593 334205095 /nfs/dbraw/zinc/20/50/95/334205095.db2.gz VDNLCCMCWQAYIW-IAQYHMDHSA-N 0 3 320.820 4.251 20 0 DIADHN CC[C@H](CN[C@H](CC)c1cc(F)cc(Br)c1)OC ZINC000353559595 334209571 /nfs/dbraw/zinc/20/95/71/334209571.db2.gz KYUSLYUAABVEFY-ZIAGYGMSSA-N 0 3 318.230 4.054 20 0 DIADHN CCC1(O)CCN(Cc2ccc([C@@H](C)C(F)(F)F)cc2)CC1 ZINC000295066325 334216834 /nfs/dbraw/zinc/21/68/34/334216834.db2.gz PUSNUNJGBPOULW-CYBMUJFWSA-N 0 3 315.379 4.089 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccc2c(c1)CCCN2 ZINC000414981108 334225599 /nfs/dbraw/zinc/22/55/99/334225599.db2.gz QHBDNYPKGREZCB-UHFFFAOYSA-N 0 3 306.409 4.389 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000295924727 334228590 /nfs/dbraw/zinc/22/85/90/334228590.db2.gz AHOUQGCEMGYLQC-AZUAARDMSA-N 0 3 322.452 4.171 20 0 DIADHN CC[C@H](NCc1ccccc1-n1ccnc1)c1cccc(F)c1 ZINC000187828220 334274209 /nfs/dbraw/zinc/27/42/09/334274209.db2.gz SFUJPGSARVRCCG-SFHVURJKSA-N 0 3 309.388 4.252 20 0 DIADHN CCSc1cc(CN[C@@H]2CCCc3cc(F)ccc32)ccn1 ZINC000187788500 334274816 /nfs/dbraw/zinc/27/48/16/334274816.db2.gz CIFQHIBKAAYHNE-QGZVFWFLSA-N 0 3 316.445 4.500 20 0 DIADHN C[C@@H](NC[C@H]1CCCCC1(F)F)c1nc2c(s1)CCC2 ZINC000453246885 334242856 /nfs/dbraw/zinc/24/28/56/334242856.db2.gz JTUKMPOOICAMEX-GHMZBOCLSA-N 0 3 300.418 4.108 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](CC)COCC(F)(F)F)s1 ZINC000453249948 334244149 /nfs/dbraw/zinc/24/41/49/334244149.db2.gz DQEOKRFUGIQCSF-MWLCHTKSSA-N 0 3 324.412 4.022 20 0 DIADHN CC[C@H](COCC(F)(F)F)N[C@H](C)c1ccc(Cl)s1 ZINC000453266681 334246009 /nfs/dbraw/zinc/24/60/09/334246009.db2.gz ZQAIAXPVRIWQCX-RKDXNWHRSA-N 0 3 315.788 4.410 20 0 DIADHN Cc1ccc(C)c(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)c1 ZINC000415019217 334259004 /nfs/dbraw/zinc/25/90/04/334259004.db2.gz DMTCQJOQCVNKMO-SFHVURJKSA-N 0 3 310.441 4.278 20 0 DIADHN CCc1ccc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)cc1 ZINC000415021736 334259680 /nfs/dbraw/zinc/25/96/80/334259680.db2.gz IBDVUNVSTBPMNW-GOSISDBHSA-N 0 3 310.441 4.223 20 0 DIADHN CC1(C)C[C@@H](NCc2cccc(Cl)c2)c2cc(N)ccc2O1 ZINC000415027464 334259914 /nfs/dbraw/zinc/25/99/14/334259914.db2.gz WKVXYUOTGHWGKI-MRXNPFEDSA-N 0 3 316.832 4.314 20 0 DIADHN Fc1ccc(CNCc2cccc3c2CCCN3)c2ncccc12 ZINC000415032383 334260294 /nfs/dbraw/zinc/26/02/94/334260294.db2.gz IWYHABZVFPTHHV-UHFFFAOYSA-N 0 3 321.399 4.022 20 0 DIADHN CC(C)Oc1ccccc1CNCc1cccc2c1CCCN2 ZINC000415037570 334260419 /nfs/dbraw/zinc/26/04/19/334260419.db2.gz OWVXCCFDECRFIN-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cnn(C)c1C)c1ccc(Cl)cc1 ZINC000534468034 334263375 /nfs/dbraw/zinc/26/33/75/334263375.db2.gz RBPUYZVKOGLERP-PXAZEXFGSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1ccc2cc(CN[C@@H](C)c3ccc4c(c3)OCO4)ccc2n1 ZINC000187638423 334266313 /nfs/dbraw/zinc/26/63/13/334266313.db2.gz BWJMBHMERSOADR-AWEZNQCLSA-N 0 3 320.392 4.123 20 0 DIADHN COc1ccc(CN[C@@H]2CCSc3ccc(F)cc32)c(C)c1 ZINC000537961627 334268290 /nfs/dbraw/zinc/26/82/90/334268290.db2.gz RLMAVEJMDOKHGL-QGZVFWFLSA-N 0 3 317.429 4.469 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(C(N)=O)cc2)C2CCCC2)cc1 ZINC000187690633 334268314 /nfs/dbraw/zinc/26/83/14/334268314.db2.gz INXJBWPCGPQDAB-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2cccc(F)c2)cc1OC ZINC000187764106 334271302 /nfs/dbraw/zinc/27/13/02/334271302.db2.gz MWJMXSOAGVVUBO-QGZVFWFLSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](NCc1cccnc1N(C)C)c1cc2ccccc2s1 ZINC000187767089 334271698 /nfs/dbraw/zinc/27/16/98/334271698.db2.gz GXVAETHILSXYMU-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@@H]1C)c1ncc(Br)s1 ZINC000534495546 334277637 /nfs/dbraw/zinc/27/76/37/334277637.db2.gz AWSSCUUEHKDKHI-GARJFASQSA-N 0 3 317.296 4.383 20 0 DIADHN CCSc1ccc([C@@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000534497421 334277854 /nfs/dbraw/zinc/27/78/54/334277854.db2.gz VSWAGUKJJJMMEL-RHSMWYFYSA-N 0 3 318.486 4.345 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(Cl)c3c(c2)OCCO3)cc1 ZINC000048382295 334278761 /nfs/dbraw/zinc/27/87/61/334278761.db2.gz MTWONNYILKDSJQ-CYBMUJFWSA-N 0 3 317.816 4.270 20 0 DIADHN CN(CCCc1ccccc1)Cc1cn2cc(Cl)ccc2n1 ZINC000534600792 334294675 /nfs/dbraw/zinc/29/46/75/334294675.db2.gz WMLRPAWODWFPGV-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN Cc1ccc(NC(=O)CN(C(C)C)C2CCCC2)c(Cl)c1 ZINC000110792090 334295140 /nfs/dbraw/zinc/29/51/40/334295140.db2.gz SKRVRBSYTCXYHG-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1c(C)cccc1CC ZINC000302804903 334295499 /nfs/dbraw/zinc/29/54/99/334295499.db2.gz ADHULVYFHNNMED-UHFFFAOYSA-N 0 3 304.478 4.398 20 0 DIADHN Clc1ccc(CN[C@@H]2CSCCc3ccccc32)cc1 ZINC000531932658 334296895 /nfs/dbraw/zinc/29/68/95/334296895.db2.gz OXWPMAHIGJUVBQ-QGZVFWFLSA-N 0 3 303.858 4.460 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2N[C@H]1CCCc2cn[nH]c21 ZINC000537962368 334301045 /nfs/dbraw/zinc/30/10/45/334301045.db2.gz WPQKAZBUBIEFBF-GJZGRUSLSA-N 0 3 322.239 4.371 20 0 DIADHN COc1cccc([C@H](C)NCc2ccccc2COC(C)C)c1 ZINC000531949029 334303385 /nfs/dbraw/zinc/30/33/85/334303385.db2.gz QXMMHPDKVXFRKC-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN C[C@H](NCCCOCC1CC1)c1nc(-c2ccccc2)cs1 ZINC000162889898 338441706 /nfs/dbraw/zinc/44/17/06/338441706.db2.gz KZPCJLSSALSBMU-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN CCCC[C@@H](COC)NCc1nc2cc(Cl)ccc2s1 ZINC000531950813 334304330 /nfs/dbraw/zinc/30/43/30/334304330.db2.gz JRJABYSRDRHULV-LBPRGKRZSA-N 0 3 312.866 4.245 20 0 DIADHN Cc1ccc(OCCN[C@@H](C)c2ccc3c(c2)COC3)c(C)c1 ZINC000531954534 334305710 /nfs/dbraw/zinc/30/57/10/334305710.db2.gz XJLCVSXUIUZVRZ-INIZCTEOSA-N 0 3 311.425 4.063 20 0 DIADHN CC[C@@H](NCc1ccc(COC)o1)C(C)(C)c1ccccc1 ZINC000531957079 334313121 /nfs/dbraw/zinc/31/31/21/334313121.db2.gz ZERAFDFKXRGURA-GOSISDBHSA-N 0 3 301.430 4.272 20 0 DIADHN Cc1ccc(CCNCc2cc(C)c(Br)s2)o1 ZINC000531957257 334313250 /nfs/dbraw/zinc/31/32/50/334313250.db2.gz PPSHBNRMENACMI-UHFFFAOYSA-N 0 3 314.248 4.053 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000111253165 334314017 /nfs/dbraw/zinc/31/40/17/334314017.db2.gz CXJXKUCFSYVPNL-FZMZJTMJSA-N 0 3 310.869 4.262 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H]2CCC(C)(C)O2)ccc1SC ZINC000187870792 334315560 /nfs/dbraw/zinc/31/55/60/334315560.db2.gz HQFAEFWAGTUKAA-OCCSQVGLSA-N 0 3 309.475 4.025 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CC2CCC2)c(Cl)c1 ZINC000111296102 334318553 /nfs/dbraw/zinc/31/85/53/334318553.db2.gz GOFJMRJKBVTXSC-CYBMUJFWSA-N 0 3 308.853 4.016 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c1C ZINC000531966461 334325074 /nfs/dbraw/zinc/32/50/74/334325074.db2.gz GHUPDBBEILZOLN-HZPDHXFCSA-N 0 3 311.425 4.486 20 0 DIADHN CC(C)n1ncc2cc(CN3CC=C(C(C)(C)C)CC3)cnc21 ZINC000534693816 334327059 /nfs/dbraw/zinc/32/70/59/334327059.db2.gz ZIBKVNLXEVZIQU-UHFFFAOYSA-N 0 3 312.461 4.190 20 0 DIADHN C[C@@H](c1ccccc1)N(CC(=O)Nc1ccccc1)CC1CCC1 ZINC000303816317 334327385 /nfs/dbraw/zinc/32/73/85/334327385.db2.gz JDUHWVJJTJIUMG-KRWDZBQOSA-N 0 3 322.452 4.488 20 0 DIADHN Cc1csc(C(C)(C)NCCCOc2cccc(Cl)c2)n1 ZINC000303706248 334329608 /nfs/dbraw/zinc/32/96/08/334329608.db2.gz OYOOSCYADSDHOJ-UHFFFAOYSA-N 0 3 324.877 4.399 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc(F)c2Cl)c1 ZINC000534709310 334331598 /nfs/dbraw/zinc/33/15/98/334331598.db2.gz KDTVWFYINGNCLV-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(Cl)c(C)c2)c1 ZINC000534710510 334331682 /nfs/dbraw/zinc/33/16/82/334331682.db2.gz XCRRLXYPVHODQG-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1ccccc1N(C)C ZINC000303820687 334332277 /nfs/dbraw/zinc/33/22/77/334332277.db2.gz FNKRHGCJZOIGGA-MRXNPFEDSA-N 0 3 300.471 4.324 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1ccccc1Cl ZINC000101586598 334333181 /nfs/dbraw/zinc/33/31/81/334333181.db2.gz YFIKOGRUHZQFGV-ZDUSSCGKSA-N 0 3 302.805 4.019 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2ccccc2)s1 ZINC000303893969 334339766 /nfs/dbraw/zinc/33/97/66/334339766.db2.gz KOJOVQNQUPZKDY-AWEZNQCLSA-N 0 3 304.459 4.086 20 0 DIADHN COCCN(CCSC)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000534848339 334339800 /nfs/dbraw/zinc/33/98/00/334339800.db2.gz JEAZJZSSYYHQMI-GFCCVEGCSA-N 0 3 321.408 4.078 20 0 DIADHN CC1(C)CCCN(Cc2csc(-c3ccccn3)n2)CC1 ZINC000303921428 334347215 /nfs/dbraw/zinc/34/72/15/334347215.db2.gz ORNHEPGJYIJAQX-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1cccc(Cl)c1Cl ZINC000531976741 334347411 /nfs/dbraw/zinc/34/74/11/334347411.db2.gz COYNVINHRXHQDA-FOOGCRFISA-N 0 3 314.256 4.458 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H]1CCCN(C2CCCCC2)C1=O ZINC000443086738 338443772 /nfs/dbraw/zinc/44/37/72/338443772.db2.gz OYMVXNFLDVCMNZ-GDBMZVCRSA-N 0 3 320.502 4.031 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1cccc(C(F)(F)F)c1 ZINC000111634060 334348160 /nfs/dbraw/zinc/34/81/60/334348160.db2.gz RGLZZNPHUJGWSN-LBPRGKRZSA-N 0 3 301.352 4.315 20 0 DIADHN CCc1sc(C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1C ZINC000535000774 334353353 /nfs/dbraw/zinc/35/33/53/334353353.db2.gz GDDKYCQCHPYPOV-UHFFFAOYSA-N 0 3 316.470 4.241 20 0 DIADHN Cc1cc(CNCc2cccc(Br)c2)ccc1F ZINC000049943367 334359523 /nfs/dbraw/zinc/35/95/23/334359523.db2.gz SVURDLIRMCLKPA-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN c1nc2cc(CN3CCCCC[C@@H]3c3ccncc3)ccc2[nH]1 ZINC000535064943 334362297 /nfs/dbraw/zinc/36/22/97/334362297.db2.gz OHUOMCFAKJUBMK-LJQANCHMSA-N 0 3 306.413 4.075 20 0 DIADHN c1nc2ccc(CN3CCCCC[C@@H]3c3ccncc3)cc2[nH]1 ZINC000535064943 334362299 /nfs/dbraw/zinc/36/22/99/334362299.db2.gz OHUOMCFAKJUBMK-LJQANCHMSA-N 0 3 306.413 4.075 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccccn2)cc1 ZINC000535067505 334363041 /nfs/dbraw/zinc/36/30/41/334363041.db2.gz KRZTVOLVZHAPEU-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1C2CCC1CC2 ZINC000535067363 334363072 /nfs/dbraw/zinc/36/30/72/334363072.db2.gz PJSWRCYORHLDMK-UHFFFAOYSA-N 0 3 315.848 4.269 20 0 DIADHN Cn1ccc(CN2CCC[C@H](c3nc4ccccc4s3)C2)c1 ZINC000535068601 334363852 /nfs/dbraw/zinc/36/38/52/334363852.db2.gz NSMQMBXACFZAOL-HNNXBMFYSA-N 0 3 311.454 4.014 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@H]2CC(C)(C)OC2(C)C)c1 ZINC000531992463 334366284 /nfs/dbraw/zinc/36/62/84/334366284.db2.gz ADHPKMUNLRXPGZ-AWEZNQCLSA-N 0 3 313.388 4.032 20 0 DIADHN Cc1nn2c(CN(C)[C@@H](C)C(C)(C)C)c(C(C)C)nc2s1 ZINC000535085386 334366995 /nfs/dbraw/zinc/36/69/95/334366995.db2.gz XAELGOMDWGNVQB-NSHDSACASA-N 0 3 308.495 4.089 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000531994143 334367215 /nfs/dbraw/zinc/36/72/15/334367215.db2.gz RNZNSLNMEPITKW-DYVFJYSZSA-N 0 3 323.358 4.398 20 0 DIADHN C[C@@H](NCc1ccc(N(C)C)nc1)c1ccccc1C(C)(C)C ZINC000535089257 334369083 /nfs/dbraw/zinc/36/90/83/334369083.db2.gz RBUGCOUERBCURD-OAHLLOKOSA-N 0 3 311.473 4.296 20 0 DIADHN CNC(=O)c1ccc(CN[C@H](C)c2ccccc2C(C)(C)C)cc1 ZINC000535088204 334369107 /nfs/dbraw/zinc/36/91/07/334369107.db2.gz MGRGYJZXQVSGMV-OAHLLOKOSA-N 0 3 324.468 4.195 20 0 DIADHN COc1ccc(CN[C@@H]2CCOC3(CCCCC3)C2)c(Cl)c1 ZINC000532016011 334372157 /nfs/dbraw/zinc/37/21/57/334372157.db2.gz VLISSGHJBHVHFH-OAHLLOKOSA-N 0 3 323.864 4.320 20 0 DIADHN CCC(CC)N(Cc1nc(-c2ccc(F)c(F)c2)no1)C1CC1 ZINC000532013106 334373862 /nfs/dbraw/zinc/37/38/62/334373862.db2.gz WPNUKGZVLUPHPJ-UHFFFAOYSA-N 0 3 321.371 4.168 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000532013641 334374464 /nfs/dbraw/zinc/37/44/64/334374464.db2.gz FIQDTSPUVKHKOD-HIFRSBDPSA-N 0 3 316.474 4.001 20 0 DIADHN OCc1ccccc1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC000305122654 334375428 /nfs/dbraw/zinc/37/54/28/334375428.db2.gz KANWKOAGBGJDEN-QFIPXVFZSA-N 0 3 317.432 4.253 20 0 DIADHN CCCN(CCc1c(C)noc1C)[C@@H](C)c1ccccc1OC ZINC000535191756 334378097 /nfs/dbraw/zinc/37/80/97/334378097.db2.gz PUGMVSFWQGXFAP-HNNXBMFYSA-N 0 3 316.445 4.316 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000340836998 334388939 /nfs/dbraw/zinc/38/89/39/334388939.db2.gz DXYRQZNJSRMWAT-BLLLJJGKSA-N 0 3 317.433 4.347 20 0 DIADHN CC(C)c1ccoc1C(=O)N1C[C@@H]2CCCN2Cc2ccccc21 ZINC000340883243 334392963 /nfs/dbraw/zinc/39/29/63/334392963.db2.gz MIEWDSRQABWXJF-INIZCTEOSA-N 0 3 324.424 4.028 20 0 DIADHN CCSCCN1CC=C(c2c[nH]c3ccc(OC)cc32)CC1 ZINC000340917412 334397439 /nfs/dbraw/zinc/39/74/39/334397439.db2.gz VXQMKYTVBCFLHP-UHFFFAOYSA-N 0 3 316.470 4.019 20 0 DIADHN Cc1cnc(CNCCC(c2ccccc2)c2ccccc2)n1C ZINC000341084484 334407956 /nfs/dbraw/zinc/40/79/56/334407956.db2.gz YIFGCFMOTOBVPF-UHFFFAOYSA-N 0 3 319.452 4.040 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccc(F)c(F)c1)c1ccccc1 ZINC000341207193 334417241 /nfs/dbraw/zinc/41/72/41/334417241.db2.gz JIOYESBKPLFPJO-SJCJKPOMSA-N 0 3 318.367 4.033 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000341229186 334420302 /nfs/dbraw/zinc/42/03/02/334420302.db2.gz PEZBACDNGGWNSF-LRDDRELGSA-N 0 3 318.367 4.033 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1ccc(Br)s1 ZINC000443207252 338447160 /nfs/dbraw/zinc/44/71/60/338447160.db2.gz YVIJZJRHXXIJAI-GHMZBOCLSA-N 0 3 320.296 4.366 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000341185606 334421579 /nfs/dbraw/zinc/42/15/79/334421579.db2.gz ADWHDEHNVLKWQM-CZUORRHYSA-N 0 3 316.832 4.408 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000341185561 334421583 /nfs/dbraw/zinc/42/15/83/334421583.db2.gz ADWHDEHNVLKWQM-BBRMVZONSA-N 0 3 316.832 4.408 20 0 DIADHN Brc1cccc2c1CCC[C@@H]2NCc1cccnc1 ZINC000341193420 334422375 /nfs/dbraw/zinc/42/23/75/334422375.db2.gz NWGBXWFXPOAFAR-INIZCTEOSA-N 0 3 317.230 4.011 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000341284485 334428839 /nfs/dbraw/zinc/42/88/39/334428839.db2.gz JSIKGPUWCLITFG-PBHICJAKSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cc(F)ccc1-c1noc([C@H](C)N2[C@@H](C)CC[C@@H]2C)n1 ZINC000443213912 338447630 /nfs/dbraw/zinc/44/76/30/338447630.db2.gz SBSYXWMMOYLUST-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(F)(c3cccnc3)CC1)CC2 ZINC000342113411 334510958 /nfs/dbraw/zinc/51/09/58/334510958.db2.gz HBXGWDZZMRWRGN-GOSISDBHSA-N 0 3 314.379 4.169 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccccc32)c(C)c1OC ZINC000342131772 334512963 /nfs/dbraw/zinc/51/29/63/334512963.db2.gz VTLJSFFQJABSFL-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc3c(C)cccn13)CCC2 ZINC000342303954 334526769 /nfs/dbraw/zinc/52/67/69/334526769.db2.gz FQVXHIPMWJWYCN-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN COc1cc(C)c(CN[C@@H]2CCCc3ccc(C)cc32)c(C)n1 ZINC000342306360 334527079 /nfs/dbraw/zinc/52/70/79/334527079.db2.gz DTGUMRQWYYPCCK-LJQANCHMSA-N 0 3 310.441 4.183 20 0 DIADHN Cc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)c(C)c1 ZINC000342275173 334529497 /nfs/dbraw/zinc/52/94/97/334529497.db2.gz AHMKKTPDNSMCIU-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCc1nccs1 ZINC000532046068 334575697 /nfs/dbraw/zinc/57/56/97/334575697.db2.gz GCMADRBSMVGZDO-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1CCO)c1cc(Cl)ccc1Cl ZINC000187990229 334607198 /nfs/dbraw/zinc/60/71/98/334607198.db2.gz WUHFOECRJFFHSB-FIXISWKDSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1nc(CNCCCCCOc2ccccc2)sc1C ZINC000188041309 334610978 /nfs/dbraw/zinc/61/09/78/334610978.db2.gz HKQRRTNBWRQHMX-UHFFFAOYSA-N 0 3 304.459 4.099 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@H]1CCC[C@H]1CCC(C)C ZINC000415912541 334627799 /nfs/dbraw/zinc/62/77/99/334627799.db2.gz LWUJKZOEGZVARI-RDJZCZTQSA-N 0 3 317.477 4.132 20 0 DIADHN CNCc1cccc(NC(=O)N2CC[C@@H](C(C)(C)C)C[C@@H]2C)c1 ZINC000415933080 334633525 /nfs/dbraw/zinc/63/35/25/334633525.db2.gz OYYXIHWCUWGLTM-GOEBONIOSA-N 0 3 317.477 4.085 20 0 DIADHN CC(C)C[C@H]1CCCCCN1C(=O)Nc1ccc2c(c1)CNC2 ZINC000415986096 334637294 /nfs/dbraw/zinc/63/72/94/334637294.db2.gz ATHBINKVAWSTBN-GOSISDBHSA-N 0 3 315.461 4.112 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000416000307 334638581 /nfs/dbraw/zinc/63/85/81/334638581.db2.gz WYHOSEZWCWACEQ-OAHLLOKOSA-N 0 3 323.440 4.122 20 0 DIADHN C[C@@H](c1ccccc1)N(C(=O)Nc1ccc2c(c1)CNC2)C1CC1 ZINC000416021035 334642762 /nfs/dbraw/zinc/64/27/62/334642762.db2.gz YHEIOBCTQOVSDW-AWEZNQCLSA-N 0 3 321.424 4.047 20 0 DIADHN Cc1cc2cc[nH]c2cc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000416213981 334654983 /nfs/dbraw/zinc/65/49/83/334654983.db2.gz JTRDWXZBYDASDU-LJQANCHMSA-N 0 3 321.424 4.108 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CN[C@@H](c1nnc2ccccn21)C(C)C ZINC000443644594 338458965 /nfs/dbraw/zinc/45/89/65/338458965.db2.gz YQHSIYCHQLSJOT-LZLYRXPVSA-N 0 3 312.461 4.008 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H](C)Cc1c(Cl)cccc1Cl ZINC000188281745 334670895 /nfs/dbraw/zinc/67/08/95/334670895.db2.gz CJKWNQYZPAFYDV-JQWIXIFHSA-N 0 3 324.255 4.374 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1cccc(F)c1 ZINC000188275792 334671796 /nfs/dbraw/zinc/67/17/96/334671796.db2.gz ODQXPHLPPSUFKN-LIRRHRJNSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccccc1)c1cc(F)ccc1F ZINC000164965635 334688140 /nfs/dbraw/zinc/68/81/40/334688140.db2.gz OLFRBMZAZQIDDR-QGZVFWFLSA-N 0 3 318.367 4.034 20 0 DIADHN C[C@@H](N[C@@H]1CCOc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417309317 334704664 /nfs/dbraw/zinc/70/46/64/334704664.db2.gz JGVNNAOWTSQYJY-UZMCECQYSA-N 0 3 317.429 4.493 20 0 DIADHN FC(F)(F)COCCNCc1cc(-c2ccccc2)cs1 ZINC000070167557 334714281 /nfs/dbraw/zinc/71/42/81/334714281.db2.gz SFEURHPMLDRVIO-UHFFFAOYSA-N 0 3 315.360 4.084 20 0 DIADHN CCc1cc(N2CCC[C@@]3(CCCOC3)C2)c2ccccc2n1 ZINC000443890335 338462926 /nfs/dbraw/zinc/46/29/26/338462926.db2.gz WFWRFVFDDRMYHS-HXUWFJFHSA-N 0 3 310.441 4.194 20 0 DIADHN CCc1cc(N2CCC(OCC3CC3)CC2)c2ccccc2n1 ZINC000443899192 338463212 /nfs/dbraw/zinc/46/32/12/338463212.db2.gz TVUVYFWDLIPZIE-UHFFFAOYSA-N 0 3 310.441 4.193 20 0 DIADHN CCCc1cc(N2CC[C@H]([C@@H]3CCOC3)C2)c2ccccc2n1 ZINC000443900175 338463223 /nfs/dbraw/zinc/46/32/23/338463223.db2.gz VKEJSSXOQLSVES-JKSUJKDBSA-N 0 3 310.441 4.050 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](CC(C)C)OC)ccc1SC ZINC000417368836 334764432 /nfs/dbraw/zinc/76/44/32/334764432.db2.gz OVGACVNRJAGHIC-DZGCQCFKSA-N 0 3 311.491 4.129 20 0 DIADHN CCOCc1ccc(CNCc2ccc(C)c(Cl)c2)cc1 ZINC000443978598 338465054 /nfs/dbraw/zinc/46/50/54/338465054.db2.gz IGBMXCWAZWRMDC-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN Cc1nc([C@H](C)NC2CCC(C(=O)OC(C)(C)C)CC2)cs1 ZINC000330639907 334794444 /nfs/dbraw/zinc/79/44/44/334794444.db2.gz CEKVEEXIZGECSH-XGNXJENSSA-N 0 3 324.490 4.003 20 0 DIADHN Cc1ccc(CCCN[C@H](C)c2nc(C(C)(C)C)cs2)cn1 ZINC000474731481 334802032 /nfs/dbraw/zinc/80/20/32/334802032.db2.gz VNEXOOXYIITHLP-CQSZACIVSA-N 0 3 317.502 4.427 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000157882654 338466174 /nfs/dbraw/zinc/46/61/74/338466174.db2.gz LZZMCCSDBQJRQL-OXJNMPFZSA-N 0 3 305.425 4.055 20 0 DIADHN CC(C)(CNCc1cnc(C(F)(F)F)s1)C1CCCC1 ZINC000444003868 338466672 /nfs/dbraw/zinc/46/66/72/338466672.db2.gz KLDFWLVYRJTSAQ-UHFFFAOYSA-N 0 3 306.397 4.468 20 0 DIADHN CCOC(C)(C)CN[C@@H]1CCCSc2ccc(OC)cc21 ZINC000188684150 334868859 /nfs/dbraw/zinc/86/88/59/334868859.db2.gz QQHRFKLWSUYZAK-OAHLLOKOSA-N 0 3 309.475 4.027 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](CO)c1ccsc1 ZINC000474939957 334875431 /nfs/dbraw/zinc/87/54/31/334875431.db2.gz FJCDINXPCKDWQX-MQIPJXDCSA-N 0 3 309.862 4.217 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H]3CSC[C@H]3C)c(C)c2c1 ZINC000563697917 334878203 /nfs/dbraw/zinc/87/82/03/334878203.db2.gz QEIRPSAVCXPRRX-IFUGULHKSA-N 0 3 305.443 4.152 20 0 DIADHN FC(F)C1(CNCc2ccc(Cl)c(C(F)(F)F)c2)CC1 ZINC000475213538 334886380 /nfs/dbraw/zinc/88/63/80/334886380.db2.gz PCLBXOUMJHZQQA-UHFFFAOYSA-N 0 3 313.697 4.494 20 0 DIADHN CCOc1ccc(CNCc2ccc3nc(C)ccc3c2)cc1F ZINC000189325503 334891011 /nfs/dbraw/zinc/89/10/11/334891011.db2.gz RSERMGKRACFOPX-UHFFFAOYSA-N 0 3 324.399 4.371 20 0 DIADHN CSCc1cccc(CNCc2cccc(OC(F)F)c2)c1 ZINC000189480914 334897438 /nfs/dbraw/zinc/89/74/38/334897438.db2.gz VSVDUHPWIWNWAC-UHFFFAOYSA-N 0 3 323.408 4.441 20 0 DIADHN CO[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000425481444 334923231 /nfs/dbraw/zinc/92/32/31/334923231.db2.gz PZQIQSULKZPLKL-LSDHHAIUSA-N 0 3 301.352 4.003 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H]2C[C@H](C)c3ccccc32)c2ccccc21 ZINC000343707447 335189273 /nfs/dbraw/zinc/18/92/73/335189273.db2.gz KLSLKQSDOAVQQS-PNHOKKKMSA-N 0 3 320.436 4.322 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1C[C@H](C)c3ccccc31)CCO2 ZINC000343827887 335196062 /nfs/dbraw/zinc/19/60/62/335196062.db2.gz KXUCDQGLYYVWPI-MJXNMMHHSA-N 0 3 309.409 4.357 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cnc4cccc(C)n34)cc2c1C ZINC000343848405 335197066 /nfs/dbraw/zinc/19/70/66/335197066.db2.gz HJYAQPWQEIDCGH-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000343868116 335198751 /nfs/dbraw/zinc/19/87/51/335198751.db2.gz WALQSROWJOEVLA-AXFHLTTASA-N 0 3 322.396 4.016 20 0 DIADHN C[C@@H](NCC1(C2CC2)CCC1)c1nc(C(F)(F)F)cs1 ZINC000343835270 335200595 /nfs/dbraw/zinc/20/05/95/335200595.db2.gz AFNJQWMAKMYUJB-SECBINFHSA-N 0 3 304.381 4.393 20 0 DIADHN O=C(Nc1ccc(F)c(C2CC2)c1)[C@@H]1CCCN1C1CCCC1 ZINC000343908634 335201315 /nfs/dbraw/zinc/20/13/15/335201315.db2.gz RKKXMGMIXQKVMH-SFHVURJKSA-N 0 3 316.420 4.049 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(F)c(C3CC3)c2)c1 ZINC000343915346 335201723 /nfs/dbraw/zinc/20/17/23/335201723.db2.gz ZLNXXLNAHBTBHE-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN CC[C@@H](NCCC(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000343917078 335201924 /nfs/dbraw/zinc/20/19/24/335201924.db2.gz SBZZBJUFMGZSTA-QGZVFWFLSA-N 0 3 322.880 4.173 20 0 DIADHN C[C@@H]1C[C@H](NC[C@H]2COc3ccccc32)c2ccsc2S1 ZINC000343923389 335202103 /nfs/dbraw/zinc/20/21/03/335202103.db2.gz GVUZVUQQOQKKNV-XUJVJEKNSA-N 0 3 317.479 4.439 20 0 DIADHN Cc1cc(N2CCC=C(c3ccncc3)C2)c2ccccc2n1 ZINC000343924646 335202358 /nfs/dbraw/zinc/20/23/58/335202358.db2.gz XSXQWEMFKCMQMI-UHFFFAOYSA-N 0 3 301.393 4.232 20 0 DIADHN CCCC[C@@H](NCc1ccccc1OCCO)c1ccccc1 ZINC000343981670 335205170 /nfs/dbraw/zinc/20/51/70/335205170.db2.gz PSZHYGBAYNWNRU-LJQANCHMSA-N 0 3 313.441 4.079 20 0 DIADHN CCC(CC)N(CC)CC(=O)c1cc(C)n([C@H](C)COC)c1C ZINC000344027266 335210191 /nfs/dbraw/zinc/21/01/91/335210191.db2.gz KUCNPWPXJVBMPL-OAHLLOKOSA-N 0 3 322.493 4.006 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@H]1N[C@@H](CC1CCC1)c1ccccc1 ZINC000344030912 335210551 /nfs/dbraw/zinc/21/05/51/335210551.db2.gz ZIWSKCCICMIGDG-PWRODBHTSA-N 0 3 307.437 4.166 20 0 DIADHN CN(C)c1ncccc1CN[C@@H](CC1CCC1)c1ccccc1 ZINC000344036906 335211108 /nfs/dbraw/zinc/21/11/08/335211108.db2.gz VSBUCQXDTAKMKA-IBGZPJMESA-N 0 3 309.457 4.169 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H]2CCOc3c(Cl)cccc32)C12CCC2 ZINC000344038730 335211301 /nfs/dbraw/zinc/21/13/01/335211301.db2.gz BHBIGHLFJKXYAC-HRCADAONSA-N 0 3 321.848 4.101 20 0 DIADHN CC(C)(C)C[C@H](NC[C@@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000344099427 335218106 /nfs/dbraw/zinc/21/81/06/335218106.db2.gz KMVJXXNSQDMYRU-QWHCGFSZSA-N 0 3 305.796 4.033 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC[C@@H](C3CC3)C2)c(C)c1 ZINC000344149123 335221839 /nfs/dbraw/zinc/22/18/39/335221839.db2.gz SMTMSNXSGDUMRJ-FUHWJXTLSA-N 0 3 314.473 4.061 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](C)O1)c1ccc(Oc2cccnc2)cc1 ZINC000344105453 335223605 /nfs/dbraw/zinc/22/36/05/335223605.db2.gz PQUPILGFFFOUDQ-SPYBWZPUSA-N 0 3 312.413 4.092 20 0 DIADHN CO[C@@H](CN1CCCc2occc2C1)c1ccc(Cl)cc1 ZINC000344236459 335230928 /nfs/dbraw/zinc/23/09/28/335230928.db2.gz MTKGMWATVOUITO-KRWDZBQOSA-N 0 3 305.805 4.069 20 0 DIADHN CO[C@H](CN1CCCc2occc2C1)c1ccc(Cl)cc1 ZINC000344236460 335230990 /nfs/dbraw/zinc/23/09/90/335230990.db2.gz MTKGMWATVOUITO-QGZVFWFLSA-N 0 3 305.805 4.069 20 0 DIADHN CC[C@H](N[C@@H]1C[C@@H](OCC(C)C)C1(C)C)c1c(C)noc1C ZINC000344277030 335235437 /nfs/dbraw/zinc/23/54/37/335235437.db2.gz BWNGRFBZXKFARD-ARFHVFGLSA-N 0 3 308.466 4.172 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@H]2C[C@@H](C)Sc3sccc32)CC1 ZINC000344290832 335236993 /nfs/dbraw/zinc/23/69/93/335236993.db2.gz MOTBFZMJSOOXKS-KWCYVHTRSA-N 0 3 311.516 4.060 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2c(C)noc2C)C1(CC)CC ZINC000344313639 335240027 /nfs/dbraw/zinc/24/00/27/335240027.db2.gz ZEAVZPBSXVGPSR-HRCADAONSA-N 0 3 308.466 4.316 20 0 DIADHN C[C@]1(NCc2cc3ccccc3[nH]c2=O)CCCc2ccccc21 ZINC000344341658 335242379 /nfs/dbraw/zinc/24/23/79/335242379.db2.gz MMASSSHXKKRZML-NRFANRHFSA-N 0 3 318.420 4.282 20 0 DIADHN CC[C@@H](NCc1ccc(Br)s1)c1ccncc1 ZINC000344583595 335260222 /nfs/dbraw/zinc/26/02/22/335260222.db2.gz LSBVGVSTRZYDHL-GFCCVEGCSA-N 0 3 311.248 4.147 20 0 DIADHN CC[C@H](NCc1ccc2cc(OC)ccc2c1)c1ccncc1 ZINC000344546875 335260822 /nfs/dbraw/zinc/26/08/22/335260822.db2.gz HYFMJWIFXHVREO-FQEVSTJZSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@H](NCc1ccc(OC(F)(F)F)cc1)c1ccncc1 ZINC000344552373 335260980 /nfs/dbraw/zinc/26/09/80/335260980.db2.gz NLZHACFEVQCYPK-HNNXBMFYSA-N 0 3 310.319 4.221 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1cnc(C2CCCC2)s1 ZINC000344729188 335271986 /nfs/dbraw/zinc/27/19/86/335271986.db2.gz MUZABRPOSOBWDI-UHFFFAOYSA-N 0 3 324.490 4.012 20 0 DIADHN CC1(CNCc2cc(Cl)ccc2OCc2cccnc2)CC1 ZINC000344711883 335274757 /nfs/dbraw/zinc/27/47/57/335274757.db2.gz OOFPRQBZCIAYSA-UHFFFAOYSA-N 0 3 316.832 4.204 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc3ccccc3n1)CCC2 ZINC000344717313 335275324 /nfs/dbraw/zinc/27/53/24/335275324.db2.gz UKAFEBBZDGUGBD-NRFANRHFSA-N 0 3 318.420 4.411 20 0 DIADHN CO[C@@H](CCNCc1c(Cl)oc2ccccc21)C(F)(F)F ZINC000344802984 335278315 /nfs/dbraw/zinc/27/83/15/335278315.db2.gz ZPKWQJOFDDQHLG-LBPRGKRZSA-N 0 3 321.726 4.143 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2cnc(C3CCC3)s2)C1 ZINC000344880405 335282587 /nfs/dbraw/zinc/28/25/87/335282587.db2.gz ABXINVWKRKMMQH-AWEZNQCLSA-N 0 3 308.491 4.049 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000345021799 335292247 /nfs/dbraw/zinc/29/22/47/335292247.db2.gz CLLVRFNOKNSKFK-JXFKEZNVSA-N 0 3 313.416 4.392 20 0 DIADHN COC[C@@H](N[C@H](C)c1nc(-c2ccccc2)cs1)C(C)C ZINC000165961705 335294883 /nfs/dbraw/zinc/29/48/83/335294883.db2.gz VPIYJIPRJHUOET-UKRRQHHQSA-N 0 3 304.459 4.132 20 0 DIADHN CC(C)COC1CCN(C[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000190940795 335295332 /nfs/dbraw/zinc/29/53/32/335295332.db2.gz HHSXERPDFATPNM-GOSISDBHSA-N 0 3 309.494 4.005 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)N[C@H](C)Cn1cccn1 ZINC000345181816 335305576 /nfs/dbraw/zinc/30/55/76/335305576.db2.gz IPYGHSCKWPXGGK-AEFFLSMTSA-N 0 3 315.461 4.047 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cnn(C(C)C)c2C)C[C@@H]1OC ZINC000345273196 335309027 /nfs/dbraw/zinc/30/90/27/335309027.db2.gz NQCJJLFYXUVFDD-XYPHTWIQSA-N 0 3 307.482 4.017 20 0 DIADHN OCc1cccc(CN[C@H](CC2CC2)c2ccc(Cl)cc2)c1 ZINC000345270332 335309112 /nfs/dbraw/zinc/30/91/12/335309112.db2.gz HNSRSJTWHWSBCH-LJQANCHMSA-N 0 3 315.844 4.463 20 0 DIADHN C[C@](O)(CN[C@H](CC1CC1)c1ccc(Cl)cc1)C(F)(F)F ZINC000345286991 335313774 /nfs/dbraw/zinc/31/37/74/335313774.db2.gz WWLFMCFCERGQEI-KGLIPLIRSA-N 0 3 321.770 4.084 20 0 DIADHN Cc1c(CN[C@H](CC2CC2)c2ccc(Cl)cc2)cnn1C ZINC000345315176 335316636 /nfs/dbraw/zinc/31/66/36/335316636.db2.gz FPWBYKZPPHIURA-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN C[C@H](NCC[C@H]1COc2ccccc2O1)c1csc(Cl)c1 ZINC000345278381 335318373 /nfs/dbraw/zinc/31/83/73/335318373.db2.gz SSDRZBNYFXZCDN-AAEUAGOBSA-N 0 3 323.845 4.282 20 0 DIADHN C[C@H](NCC[C@@H]1COc2ccccc2O1)c1csc(Cl)c1 ZINC000345278384 335318396 /nfs/dbraw/zinc/31/83/96/335318396.db2.gz SSDRZBNYFXZCDN-WCQYABFASA-N 0 3 323.845 4.282 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345278623 335318436 /nfs/dbraw/zinc/31/84/36/335318436.db2.gz JEFJQUOCEKRFBN-SUMWQHHRSA-N 0 3 322.880 4.076 20 0 DIADHN C[C@@H](NCC[C@H]1COc2ccccc2O1)c1csc(Cl)c1 ZINC000345278385 335318556 /nfs/dbraw/zinc/31/85/56/335318556.db2.gz SSDRZBNYFXZCDN-YPMHNXCESA-N 0 3 323.845 4.282 20 0 DIADHN Cc1cccc2[nH]cc(CCNCc3csc(C4CC4)n3)c21 ZINC000113335138 335324888 /nfs/dbraw/zinc/32/48/88/335324888.db2.gz JRNOWGSFPMBVER-UHFFFAOYSA-N 0 3 311.454 4.143 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cnc(C(C)C)s1 ZINC000345695955 335342688 /nfs/dbraw/zinc/34/26/88/335342688.db2.gz ULLUESXQNVSYFS-LRDDRELGSA-N 0 3 322.449 4.271 20 0 DIADHN Brc1ccc([C@H]2CCCN2CC[C@@H]2CCCO2)cc1 ZINC000345832988 335354121 /nfs/dbraw/zinc/35/41/21/335354121.db2.gz AATQBZOOCRFIDJ-JKSUJKDBSA-N 0 3 324.262 4.155 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1cc(-n2ccnc2)cs1 ZINC000346118033 335376107 /nfs/dbraw/zinc/37/61/07/335376107.db2.gz CDFAXKNSNRNUFE-GFCCVEGCSA-N 0 3 303.456 4.188 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346189674 335388161 /nfs/dbraw/zinc/38/81/61/335388161.db2.gz JWNPHZFSBUDAPF-RISCZKNCSA-N 0 3 317.820 4.151 20 0 DIADHN Cc1nccn1CCN1CC=C(c2cccc3ccccc32)CC1 ZINC000346313476 335398997 /nfs/dbraw/zinc/39/89/97/335398997.db2.gz QXCSZMOVRRBLGV-UHFFFAOYSA-N 0 3 317.436 4.134 20 0 DIADHN Cc1nccn1CCN(C)[C@@H](c1ccccc1Cl)C(C)C ZINC000346314602 335399245 /nfs/dbraw/zinc/39/92/45/335399245.db2.gz SBZGJQUHKDMILF-QGZVFWFLSA-N 0 3 305.853 4.174 20 0 DIADHN Cc1nccn1CCN(Cc1ccc2ccccc2c1)C1CC1 ZINC000346318248 335399560 /nfs/dbraw/zinc/39/95/60/335399560.db2.gz XCWOEQOKIPSQCT-UHFFFAOYSA-N 0 3 305.425 4.009 20 0 DIADHN CCc1ccccc1CCN[C@@H](c1ncc(C)s1)C1CC1 ZINC000444691446 338483037 /nfs/dbraw/zinc/48/30/37/338483037.db2.gz YYMZYBAQFHFZHI-QGZVFWFLSA-N 0 3 300.471 4.297 20 0 DIADHN Cc1cccc([C@H](C)NCc2cnc3c(cnn3C(C)C)c2)c1 ZINC000346730935 335432371 /nfs/dbraw/zinc/43/23/71/335432371.db2.gz NYMIMEAIXTUJPM-HNNXBMFYSA-N 0 3 308.429 4.171 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCc3c(C)ccc(C)c32)ccn1 ZINC000347636343 335512334 /nfs/dbraw/zinc/51/23/34/335512334.db2.gz ZKTDMGIUYSJJDD-YJBOKZPZSA-N 0 3 310.441 4.435 20 0 DIADHN Cc1cc(CN2CCC(F)(c3ccccn3)CC2)c(C)s1 ZINC000347690873 335521717 /nfs/dbraw/zinc/52/17/17/335521717.db2.gz NCTSLNWRAWQVDT-UHFFFAOYSA-N 0 3 304.434 4.221 20 0 DIADHN c1ccc2ncc(CN[C@@H]3CCCNc4ccccc43)cc2c1 ZINC000347787141 335525031 /nfs/dbraw/zinc/52/50/31/335525031.db2.gz HGAYNCCFGSCIIJ-HXUWFJFHSA-N 0 3 303.409 4.271 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)cn1 ZINC000347748044 335526443 /nfs/dbraw/zinc/52/64/43/335526443.db2.gz AIMWVKPNCLQACF-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cccc(C)c1OCCN[C@@H]1CCCNc2ccccc21 ZINC000347880809 335536566 /nfs/dbraw/zinc/53/65/66/335536566.db2.gz QXDHJHAKRGMYSA-LJQANCHMSA-N 0 3 310.441 4.219 20 0 DIADHN CCc1ccc(CN[C@H](C)[C@@H](OC)c2ccccc2Cl)cn1 ZINC000348118284 335549179 /nfs/dbraw/zinc/54/91/79/335549179.db2.gz OWJVBGRULYCNGD-FZKQIMNGSA-N 0 3 318.848 4.163 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)c2ccccc2Cl)cn1 ZINC000348118286 335549268 /nfs/dbraw/zinc/54/92/68/335549268.db2.gz OWJVBGRULYCNGD-SCLBCKFNSA-N 0 3 318.848 4.163 20 0 DIADHN Cc1nc(CCN2CCC(c3ccccc3C)CC2)cs1 ZINC000348085353 335551795 /nfs/dbraw/zinc/55/17/95/335551795.db2.gz WZEGDUWYDGHNFR-UHFFFAOYSA-N 0 3 300.471 4.182 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348209603 335561645 /nfs/dbraw/zinc/56/16/45/335561645.db2.gz YXWZPMDDFZVXPM-UGSOOPFHSA-N 0 3 319.832 4.123 20 0 DIADHN CCO[C@H]1C[C@H](N[C@H](C)c2sc(CC)nc2C)C12CCC2 ZINC000166155435 335593102 /nfs/dbraw/zinc/59/31/02/335593102.db2.gz YNAPINYXINXHRI-XBFCOCLRSA-N 0 3 308.491 4.012 20 0 DIADHN Cc1cc(Cl)nc(CN[C@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000367066091 335623784 /nfs/dbraw/zinc/62/37/84/335623784.db2.gz LNOOPXYGOBYZER-HOCLYGCPSA-N 0 3 315.848 4.254 20 0 DIADHN Cc1cc(Cl)nc(CN[C@@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000367066090 335623804 /nfs/dbraw/zinc/62/38/04/335623804.db2.gz LNOOPXYGOBYZER-GOEBONIOSA-N 0 3 315.848 4.254 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000349445608 335672569 /nfs/dbraw/zinc/67/25/69/335672569.db2.gz GBYZEHSBFAHQRS-QMTHXVAHSA-N 0 3 321.770 4.274 20 0 DIADHN CC[C@H](NCc1cc2c(ccc(C)c2C)[nH]1)c1c(C)nn(C)c1C ZINC000349594702 335683231 /nfs/dbraw/zinc/68/32/31/335683231.db2.gz AUXRIBNIDSLWJF-SFHVURJKSA-N 0 3 324.472 4.376 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1cccc(Cl)c1Cl ZINC000389031180 335703434 /nfs/dbraw/zinc/70/34/34/335703434.db2.gz XKUCXZNLCSEKOE-SRVKXCTJSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H](c2ccc(F)cn2)C(C)C)s1 ZINC000349827185 335704099 /nfs/dbraw/zinc/70/40/99/335704099.db2.gz BOXXZPLIDWFNKX-NHYWBVRUSA-N 0 3 307.438 4.076 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N(CC)Cc1ccc(CN(C)C)cc1 ZINC000535646338 335705939 /nfs/dbraw/zinc/70/59/39/335705939.db2.gz FCSTVMWENCIXFC-INIZCTEOSA-N 0 3 318.505 4.169 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000398659752 335728532 /nfs/dbraw/zinc/72/85/32/335728532.db2.gz FDBHDNWZTVOQAP-UWVGGRQHSA-N 0 3 308.224 4.334 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(CC(C)C)cc2)C2CC2)n(C)n1 ZINC000417886727 335732620 /nfs/dbraw/zinc/73/26/20/335732620.db2.gz KDRWRTQBFSGBCE-FQEVSTJZSA-N 0 3 311.473 4.168 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc2oc(C(C)C)nc2c1 ZINC000535745665 335753841 /nfs/dbraw/zinc/75/38/41/335753841.db2.gz FFYRDEGIUAZFLC-LBPRGKRZSA-N 0 3 317.433 4.056 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](C)c2ccncc2)cc1OC ZINC000350514710 335758685 /nfs/dbraw/zinc/75/86/85/335758685.db2.gz MRORWXCZENOKOI-CABCVRRESA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](CNCc1noc2c1CCCC2)c1cccc(Cl)c1 ZINC000582203531 335783900 /nfs/dbraw/zinc/78/39/00/335783900.db2.gz JKLTXWFVLGRUGJ-GFCCVEGCSA-N 0 3 304.821 4.100 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@H]1COC(C)(C)C1 ZINC000417894625 335791618 /nfs/dbraw/zinc/79/16/18/335791618.db2.gz PBHBGGMIXJWCIZ-IUODEOHRSA-N 0 3 316.470 4.337 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CCCOc3c(Cl)cccc32)CC1 ZINC000353994394 335792476 /nfs/dbraw/zinc/79/24/76/335792476.db2.gz BEEWOOYAHQBADC-LBPRGKRZSA-N 0 3 319.754 4.486 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccc2c(c1)CCCN2 ZINC000519138838 335806368 /nfs/dbraw/zinc/80/63/68/335806368.db2.gz CKUKFLGUBYXXOA-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000096543920 335819746 /nfs/dbraw/zinc/81/97/46/335819746.db2.gz HFHFXFPWXHZSMP-HOTGVXAUSA-N 0 3 305.853 4.292 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](C(F)(F)F)C1)c1ccc(F)cn1 ZINC000536175759 335827026 /nfs/dbraw/zinc/82/70/26/335827026.db2.gz URZYXOZSVMJIPK-TUAOUCFPSA-N 0 3 304.331 4.240 20 0 DIADHN Cc1cc(Cl)cc(C(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC000536215121 335832327 /nfs/dbraw/zinc/83/23/27/335832327.db2.gz NSMXLAFNJJLRJQ-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@H](NC[C@@]1(CO)C[C@@H]1c1ccccc1)c1csc(Cl)c1 ZINC000582211076 335844068 /nfs/dbraw/zinc/84/40/68/335844068.db2.gz VCJKNESQOKFZGK-XGWLTEMNSA-N 0 3 321.873 4.218 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(OCC3CC3)CC2)s1 ZINC000536697483 335864446 /nfs/dbraw/zinc/86/44/46/335864446.db2.gz LAEZARTWUYDPII-UHFFFAOYSA-N 0 3 307.503 4.437 20 0 DIADHN CCCc1cc(N2CC[C@H](COC(F)F)C2)c2ccccc2n1 ZINC000519530970 335871696 /nfs/dbraw/zinc/87/16/96/335871696.db2.gz GTIBIAGHBZHYNT-ZDUSSCGKSA-N 0 3 320.383 4.253 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccc(F)cn1 ZINC000519570927 335878494 /nfs/dbraw/zinc/87/84/94/335878494.db2.gz NHEXOOCMAKENKA-AOIWGVFYSA-N 0 3 314.404 4.182 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1cc(F)cc(F)c1 ZINC000519611115 335882977 /nfs/dbraw/zinc/88/29/77/335882977.db2.gz KQKQDTQBBBVAAO-DIFFPNOSSA-N 0 3 323.408 4.165 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1cc(F)cc(F)c1 ZINC000519611145 335883141 /nfs/dbraw/zinc/88/31/41/335883141.db2.gz KQKQDTQBBBVAAO-PIGZYNQJSA-N 0 3 323.408 4.165 20 0 DIADHN Clc1ccccc1CCN1CCC(C2CCOCC2)CC1 ZINC000519682704 335889249 /nfs/dbraw/zinc/88/92/49/335889249.db2.gz AXRJBROWCBIOQL-UHFFFAOYSA-N 0 3 307.865 4.021 20 0 DIADHN C[C@H](NCc1cccc2cc[nH]c21)c1ccccc1-n1cccn1 ZINC000532105255 335902655 /nfs/dbraw/zinc/90/26/55/335902655.db2.gz VDLXPYSHEZXWPC-HNNXBMFYSA-N 0 3 316.408 4.204 20 0 DIADHN c1cc(CNC2(c3ccc4c(c3)OCCO4)CCCC2)cs1 ZINC000102426893 335902925 /nfs/dbraw/zinc/90/29/25/335902925.db2.gz GIZIKYYZWMROIO-UHFFFAOYSA-N 0 3 315.438 4.078 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2ccc(N3CCCC3)nc2)cc1 ZINC000532202517 335915768 /nfs/dbraw/zinc/91/57/68/335915768.db2.gz GYTDZGCQRNJSDW-HXUWFJFHSA-N 0 3 321.468 4.313 20 0 DIADHN C[C@H](N[C@H](c1ccc(F)cc1)[C@@H]1CCCO1)c1cccs1 ZINC000532218615 335916562 /nfs/dbraw/zinc/91/65/62/335916562.db2.gz NEGHKGSVXDMEOA-YLQAJVPDSA-N 0 3 305.418 4.458 20 0 DIADHN CCOc1ccccc1CN[C@H](C)c1cc2c(s1)CCC2 ZINC000532212421 335919019 /nfs/dbraw/zinc/91/90/19/335919019.db2.gz SPNXKGRXFPNYRD-CYBMUJFWSA-N 0 3 301.455 4.486 20 0 DIADHN C[C@@H](N[C@@H](CC1CC1)c1ccccc1)c1ccc(NC(N)=O)cc1 ZINC000532228390 335920845 /nfs/dbraw/zinc/92/08/45/335920845.db2.gz QRBRXLZREHUDLZ-KUHUBIRLSA-N 0 3 323.440 4.369 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H](C)c1ccc2ccccc2n1 ZINC000532248053 335926187 /nfs/dbraw/zinc/92/61/87/335926187.db2.gz CGXHHYPUFPTSHD-QFBILLFUSA-N 0 3 320.436 4.143 20 0 DIADHN Fc1ccc(CNCCc2nc3cc(Cl)ccc3s2)cc1 ZINC000532288803 335929024 /nfs/dbraw/zinc/92/90/24/335929024.db2.gz AASAFRMLLHWJIJ-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN CN(C)CCSc1ncnc2scc(-c3ccccc3)c21 ZINC000532299227 335929314 /nfs/dbraw/zinc/92/93/14/335929314.db2.gz DENYOIVBVCYYLP-UHFFFAOYSA-N 0 3 315.467 4.012 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccc(OC(F)F)cc1 ZINC000520211020 335939824 /nfs/dbraw/zinc/93/98/24/335939824.db2.gz PSQXKVUSLIMBNF-SDNWHVSQSA-N 0 3 303.352 4.481 20 0 DIADHN Brc1ccc(CNC/C=C\c2ccccc2)s1 ZINC000210539937 335993838 /nfs/dbraw/zinc/99/38/38/335993838.db2.gz XEUOGINRCFFCNS-DAXSKMNVSA-N 0 3 308.244 4.314 20 0 DIADHN CCCc1ncc(CN[C@H]2CCc3[nH]c4ccc(C)cc4c3C2)o1 ZINC000582221921 336023426 /nfs/dbraw/zinc/02/34/26/336023426.db2.gz KJRCBHVECMQTKE-AWEZNQCLSA-N 0 3 323.440 4.064 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCn2cc(C)nc21)c1ccc(F)cc1F ZINC000351293001 336026142 /nfs/dbraw/zinc/02/61/42/336026142.db2.gz WSPVVSIPKOVDNQ-SUMWQHHRSA-N 0 3 319.399 4.088 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@H]2C[C@@H]2c2ccccc2)cc1OC ZINC000351319873 336027334 /nfs/dbraw/zinc/02/73/34/336027334.db2.gz AKOBZAJAZACXSA-MJEQTWJJSA-N 0 3 315.388 4.050 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@@H]2C[C@H]2c2ccccc2)cc1OC ZINC000351320133 336027429 /nfs/dbraw/zinc/02/74/29/336027429.db2.gz AKOBZAJAZACXSA-YLQAJVPDSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000192463705 336032216 /nfs/dbraw/zinc/03/22/16/336032216.db2.gz WIBKESSQVINIOV-HNNXBMFYSA-N 0 3 311.400 4.482 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cncn2Cc2ccccc2)c1 ZINC000445810282 338510648 /nfs/dbraw/zinc/51/06/48/338510648.db2.gz IWQYMAMDFMNKTP-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN c1ccc2cc(OCCN[C@H](c3cccnc3)C3CC3)ccc2c1 ZINC000445814416 338510996 /nfs/dbraw/zinc/51/09/96/338510996.db2.gz XCHOKDTYKHCBJL-NRFANRHFSA-N 0 3 318.420 4.355 20 0 DIADHN CCCC1CCC(N(Cc2cc(=O)c(OC)co2)C(C)C)CC1 ZINC000351547921 336042520 /nfs/dbraw/zinc/04/25/20/336042520.db2.gz NBPLERQUODCIPQ-UHFFFAOYSA-N 0 3 321.461 4.218 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1cc(Br)ccc1F ZINC000211109440 336043140 /nfs/dbraw/zinc/04/31/40/336043140.db2.gz PZPMVFYSNYDOST-ONGXEEELSA-N 0 3 318.255 4.134 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2ccc(F)c3ccccc32)cnn1C ZINC000563901889 336044429 /nfs/dbraw/zinc/04/44/29/336044429.db2.gz GBTLYNFRKUJCRB-STQMWFEESA-N 0 3 311.404 4.433 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc(F)c3ccccc32)cnn1C ZINC000563901887 336044649 /nfs/dbraw/zinc/04/46/49/336044649.db2.gz GBTLYNFRKUJCRB-OLZOCXBDSA-N 0 3 311.404 4.433 20 0 DIADHN CCCN(Cc1c[nH]nc1-c1cccnc1)Cc1ccc(F)cc1 ZINC000351610299 336050126 /nfs/dbraw/zinc/05/01/26/336050126.db2.gz XHNWEZLHTMSNCV-UHFFFAOYSA-N 0 3 324.403 4.023 20 0 DIADHN CC[C@H](NCCc1ccncc1)c1ccccc1Br ZINC000351624457 336052514 /nfs/dbraw/zinc/05/25/14/336052514.db2.gz XTHFDLDGNGVFNU-INIZCTEOSA-N 0 3 319.246 4.128 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc(-c3ccccc3)c[nH]2)s1 ZINC000194324865 338514334 /nfs/dbraw/zinc/51/43/34/338514334.db2.gz AJIXEXFLNDHHBM-CYBMUJFWSA-N 0 3 311.454 4.167 20 0 DIADHN Cc1cccc(CN(C)CCn2ccc3cc(Cl)ccc32)n1 ZINC000351714304 336061810 /nfs/dbraw/zinc/06/18/10/336061810.db2.gz CAJVWCFPVGPFNR-UHFFFAOYSA-N 0 3 313.832 4.130 20 0 DIADHN CCOC1CC(CN[C@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC000351757857 336065469 /nfs/dbraw/zinc/06/54/69/336065469.db2.gz OGMRFJZPQIRULZ-WDIPEOLASA-N 0 3 319.342 4.224 20 0 DIADHN Cc1nc(-c2cc3c(s2)CCN(CCCCF)C3)cs1 ZINC000351760198 336065634 /nfs/dbraw/zinc/06/56/34/336065634.db2.gz TYCHJZAQDAQSTI-UHFFFAOYSA-N 0 3 310.463 4.288 20 0 DIADHN CCOC1CC(CN[C@@H](CC(F)(F)F)c2ccccc2)C1 ZINC000351767385 336066235 /nfs/dbraw/zinc/06/62/35/336066235.db2.gz VDCIPQJDPYXCDQ-ZALBZXLWSA-N 0 3 301.352 4.085 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H](C)c2cccc(Cl)c2)cn1 ZINC000480660014 336068844 /nfs/dbraw/zinc/06/88/44/336068844.db2.gz NYYPLCNFMGWFLL-CQSZACIVSA-N 0 3 317.864 4.430 20 0 DIADHN Cc1ncc(CN2CCCCC[C@H]2/C=C/c2ccccc2)cn1 ZINC000351876604 336075613 /nfs/dbraw/zinc/07/56/13/336075613.db2.gz OTLXPWIXAPYBFG-SGWGQVFISA-N 0 3 307.441 4.243 20 0 DIADHN c1ccc(CSCCN2CCC(OC3CCCC3)CC2)cc1 ZINC000192561474 336135830 /nfs/dbraw/zinc/13/58/30/336135830.db2.gz CVXYOOSAOUIDMO-UHFFFAOYSA-N 0 3 319.514 4.343 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000332119376 336159428 /nfs/dbraw/zinc/15/94/28/336159428.db2.gz HZTGNRARLNHZEE-COPLHBTASA-N 0 3 305.809 4.229 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1N[C@@H](C)c1nc2ccccc2c(=O)[nH]1 ZINC000332654387 336177600 /nfs/dbraw/zinc/17/76/00/336177600.db2.gz YXCMYOGMIXARPE-SQWLQELKSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@H]3c3ccccn3)ccc2n1 ZINC000526114607 336179430 /nfs/dbraw/zinc/17/94/30/336179430.db2.gz RQSSJXOXMUXWLI-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cc([C@@H]2CCCN2Cc2cc(C)nc3ccccc23)on1 ZINC000352096678 336194787 /nfs/dbraw/zinc/19/47/87/336194787.db2.gz IEOQEYZTPZPNQV-SFHVURJKSA-N 0 3 307.397 4.177 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@@H](OC(C)C)C2(C)C)cnn1C(C)(C)C ZINC000468827879 336199481 /nfs/dbraw/zinc/19/94/81/336199481.db2.gz FQQZVYGLBKVTPL-IAOVAPTHSA-N 0 3 321.509 4.189 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@H](OC(C)C)C2(C)C)cnn1C(C)(C)C ZINC000468828123 336199682 /nfs/dbraw/zinc/19/96/82/336199682.db2.gz FQQZVYGLBKVTPL-JQFCIGGWSA-N 0 3 321.509 4.189 20 0 DIADHN CCn1cncc1CN[C@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000417961968 336200994 /nfs/dbraw/zinc/20/09/94/336200994.db2.gz ZANAUHFNUQXHBC-INIZCTEOSA-N 0 3 323.362 4.163 20 0 DIADHN C[C@H]1CC[C@H](NC(=O)Nc2ccc3c(c2)CNC3)c2ccccc21 ZINC000333284202 336201140 /nfs/dbraw/zinc/20/11/40/336201140.db2.gz ICKSHDXQTANZML-DJJJIMSYSA-N 0 3 321.424 4.050 20 0 DIADHN c1cn(-c2ccccc2)nc1CN[C@H]1CC[C@@H](c2ccccc2)C1 ZINC000526118299 336202084 /nfs/dbraw/zinc/20/20/84/336202084.db2.gz MVJONSDHVMULLK-MOPGFXCFSA-N 0 3 317.436 4.298 20 0 DIADHN Clc1ccc(CNCc2ccc(Br)cc2)s1 ZINC000042238849 336239469 /nfs/dbraw/zinc/23/94/69/336239469.db2.gz XIGIMOZNBAKCTE-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN CC[C@H](NCc1cc(F)ccc1C)c1ccc(OC)c(OC)c1 ZINC000352242933 336206094 /nfs/dbraw/zinc/20/60/94/336206094.db2.gz UKLLGCORDOPTGF-KRWDZBQOSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@@H]2Cc2cccc(F)c2)s1 ZINC000352287858 336210372 /nfs/dbraw/zinc/21/03/72/336210372.db2.gz SUTHUTIGNSMJJV-MRXNPFEDSA-N 0 3 304.434 4.106 20 0 DIADHN COc1ccc(CN2CCC(OCCC(C)C)CC2)cc1C ZINC000352320182 336212209 /nfs/dbraw/zinc/21/22/09/336212209.db2.gz OLECASLNEYIPPP-UHFFFAOYSA-N 0 3 305.462 4.031 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccncc3C)CC2)cc1C ZINC000352342751 336213461 /nfs/dbraw/zinc/21/34/61/336213461.db2.gz XVPHAIRPMNTXGQ-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cnccc1OC1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000352348762 336213611 /nfs/dbraw/zinc/21/36/11/336213611.db2.gz DTPFZZBQYDTGJB-HNNXBMFYSA-N 0 3 314.404 4.134 20 0 DIADHN CCOC1CC(CN[C@H](CC(F)(F)F)c2ccccc2C)C1 ZINC000352413909 336216881 /nfs/dbraw/zinc/21/68/81/336216881.db2.gz RHLVDYSREHAESN-ZBCRRDGASA-N 0 3 315.379 4.393 20 0 DIADHN COc1ccc(CN(C)C)cc1NC[C@@H](C)c1ccc(F)cc1 ZINC000526124377 336220118 /nfs/dbraw/zinc/22/01/18/336220118.db2.gz SGQSCFUIEGMVNU-CQSZACIVSA-N 0 3 316.420 4.112 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnn(CC(F)F)c1 ZINC000352501905 336222100 /nfs/dbraw/zinc/22/21/00/336222100.db2.gz XTKNGBCNPAZLQN-QGZVFWFLSA-N 0 3 321.415 4.334 20 0 DIADHN Cc1c(CN2CCC[C@H]2c2cccc3ccccc32)cnn1C ZINC000352697671 336231081 /nfs/dbraw/zinc/23/10/81/336231081.db2.gz FIZABXZMVWWJBU-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN CC[C@H](NCCc1cnn(-c2ccccc2)c1)c1ccccc1F ZINC000352721979 336232433 /nfs/dbraw/zinc/23/24/33/336232433.db2.gz ZVGZLQRYCXLRRY-FQEVSTJZSA-N 0 3 323.415 4.295 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(N3CCCC3)c2)cn1 ZINC000352759264 336235447 /nfs/dbraw/zinc/23/54/47/336235447.db2.gz JXQNQIUUPPEZPO-INIZCTEOSA-N 0 3 309.457 4.095 20 0 DIADHN CC(F)(F)CCNCc1ccc(OCc2ccccc2)cc1 ZINC000379167731 336237052 /nfs/dbraw/zinc/23/70/52/336237052.db2.gz JRBOHLBOTQDRIF-UHFFFAOYSA-N 0 3 305.368 4.401 20 0 DIADHN CC[C@H](NC/C=C\c1ccc(F)c(F)c1)c1c(C)noc1C ZINC000352791539 336237060 /nfs/dbraw/zinc/23/70/60/336237060.db2.gz PIJFGLBCPHMFLV-KJPDOMRESA-N 0 3 306.356 4.324 20 0 DIADHN Cc1ccccc1[C@H](NCc1ncc(CN(C)C)s1)C(C)C ZINC000352794137 336237175 /nfs/dbraw/zinc/23/71/75/336237175.db2.gz UWVRSTIUNPTFRC-GOSISDBHSA-N 0 3 317.502 4.000 20 0 DIADHN COc1cc(CN2CCC[C@H](C)CC2)c(SC)cc1OC ZINC000352797539 336237770 /nfs/dbraw/zinc/23/77/70/336237770.db2.gz BIGNSCVWNWSGGQ-ZDUSSCGKSA-N 0 3 309.475 4.048 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1ccc2c(c1)OCO2 ZINC000042330039 336239005 /nfs/dbraw/zinc/23/90/05/336239005.db2.gz HTKZOLZOOUJSBK-DIFFPNOSSA-N 0 3 301.411 4.279 20 0 DIADHN OCC[C@H](NCc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000352800927 336239060 /nfs/dbraw/zinc/23/90/60/336239060.db2.gz IRZPXFIVUKLSOJ-INIZCTEOSA-N 0 3 310.224 4.207 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(Br)cc2)cc1 ZINC000042352292 336239589 /nfs/dbraw/zinc/23/95/89/336239589.db2.gz GTJPZCOQKAOUBX-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1ccccc1Br ZINC000042371727 336239765 /nfs/dbraw/zinc/23/97/65/336239765.db2.gz UFQVBEXWVPMREB-NSHDSACASA-N 0 3 310.260 4.231 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@H](C)C(=O)NC(C)(C)C)cc1 ZINC000103499563 336242025 /nfs/dbraw/zinc/24/20/25/336242025.db2.gz IDFNBYRYTARFOZ-UONOGXRCSA-N 0 3 322.518 4.141 20 0 DIADHN Cc1ccoc1CN[C@@H](C)Cc1ccccc1Br ZINC000532358532 336243193 /nfs/dbraw/zinc/24/31/93/336243193.db2.gz LPXAOROLHQIGPN-LBPRGKRZSA-N 0 3 308.219 4.071 20 0 DIADHN C[C@H](NC[C@H]1CCCO[C@H]1C(C)(C)C)c1cc(F)ccc1F ZINC000532353572 336243818 /nfs/dbraw/zinc/24/38/18/336243818.db2.gz CEVBDOJMBCTFDG-OGHNNQOOSA-N 0 3 311.416 4.457 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cscc2C(F)(F)F)C12CCC2 ZINC000352811953 336245489 /nfs/dbraw/zinc/24/54/89/336245489.db2.gz VAGZMFKSLYHLPS-OLZOCXBDSA-N 0 3 319.392 4.204 20 0 DIADHN CC(C)c1ccccc1NC(=O)NC[C@H](C)N1CCC[C@H](C)C1 ZINC000043229894 336246739 /nfs/dbraw/zinc/24/67/39/336246739.db2.gz SLZPOTFGRPATNX-HOTGVXAUSA-N 0 3 317.477 4.052 20 0 DIADHN Fc1cccc(C[C@@H](NC[C@H]2CCCO2)c2cccc(F)c2)c1 ZINC000532442303 336247441 /nfs/dbraw/zinc/24/74/41/336247441.db2.gz UNEMPAPZJQAPCS-RTBURBONSA-N 0 3 317.379 4.017 20 0 DIADHN CC[C@H](CO)N[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532441863 336247481 /nfs/dbraw/zinc/24/74/81/336247481.db2.gz ASIQPIKMEOWDOM-DZGCQCFKSA-N 0 3 303.377 4.040 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCc3ccc(C)cc32)c1 ZINC000532448302 336247897 /nfs/dbraw/zinc/24/78/97/336247897.db2.gz WGSVWCIPVMDJHT-IBGZPJMESA-N 0 3 308.425 4.121 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1cccc(OC(F)(F)F)c1 ZINC000532461638 336248716 /nfs/dbraw/zinc/24/87/16/336248716.db2.gz JHNCXRFUZPXGRB-LBPRGKRZSA-N 0 3 317.351 4.195 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000532471121 336249548 /nfs/dbraw/zinc/24/95/48/336249548.db2.gz ZYBXESFCYGIUAB-KVSKMBFKSA-N 0 3 314.473 4.224 20 0 DIADHN COCc1ccc(CNCCc2cccc(-c3ccccc3)c2)o1 ZINC000532473332 336249838 /nfs/dbraw/zinc/24/98/38/336249838.db2.gz UUCZDSOCFMXRGD-UHFFFAOYSA-N 0 3 321.420 4.425 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2ccc3c(c2)OCCCO3)cc1 ZINC000532497199 336250945 /nfs/dbraw/zinc/25/09/45/336250945.db2.gz LACMJCQWQKPZEY-LJQANCHMSA-N 0 3 323.436 4.479 20 0 DIADHN COc1ccccc1CC1CCN([C@@H](C)c2ccccn2)CC1 ZINC000532521438 336252024 /nfs/dbraw/zinc/25/20/24/336252024.db2.gz OPBHDOYDCDBEEX-INIZCTEOSA-N 0 3 310.441 4.106 20 0 DIADHN CCSc1ccccc1[C@H](C)NCCc1ccccc1O ZINC000532488275 336252071 /nfs/dbraw/zinc/25/20/71/336252071.db2.gz KTVPVFJXKLHVJO-AWEZNQCLSA-N 0 3 301.455 4.398 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccc(C)cc2OC)C12CCC2 ZINC000532522616 336252741 /nfs/dbraw/zinc/25/27/41/336252741.db2.gz OUTBHQYINSMDFF-BMGDILEWSA-N 0 3 303.446 4.002 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2ccc(-n3ccnc3)cc2)cn1 ZINC000532511421 336252900 /nfs/dbraw/zinc/25/29/00/336252900.db2.gz CADIHJXHQILKAL-CVEARBPZSA-N 0 3 323.444 4.062 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1cnn(C(C)C)c1)CCC2 ZINC000532511600 336252949 /nfs/dbraw/zinc/25/29/49/336252949.db2.gz NVFYNZQTUPGPCU-IFXJQAMLSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N(C)CC3CCCC3)n2)ccc1F ZINC000532513717 336253095 /nfs/dbraw/zinc/25/30/95/336253095.db2.gz UYJCIJKFHWIUCQ-CYBMUJFWSA-N 0 3 317.408 4.367 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(-c2ccccc2)nc1)c1ccccc1 ZINC000532518575 336253382 /nfs/dbraw/zinc/25/33/82/336253382.db2.gz ILZYMEZFRFGTFR-KRWDZBQOSA-N 0 3 317.436 4.379 20 0 DIADHN C[C@H](CN[C@H](C)c1ccoc1)Oc1cccc(Br)c1 ZINC000532530883 336253773 /nfs/dbraw/zinc/25/37/73/336253773.db2.gz UCMMJRBZIKOKOD-VXGBXAGGSA-N 0 3 324.218 4.160 20 0 DIADHN COC[C@H](N[C@H](C)CCc1ccc(C)cc1)c1ccc(C)o1 ZINC000532548017 336254843 /nfs/dbraw/zinc/25/48/43/336254843.db2.gz QQKPDHNGUQEEAE-QAPCUYQASA-N 0 3 301.430 4.195 20 0 DIADHN CSc1ccc([C@H](C)NCCOCc2ccccc2)cc1F ZINC000532549745 336254948 /nfs/dbraw/zinc/25/49/48/336254948.db2.gz UUYOCIYNICMEKT-AWEZNQCLSA-N 0 3 319.445 4.415 20 0 DIADHN CC[C@@H]1CCCN1Cc1csc(-c2ccccc2OC)n1 ZINC000532557293 336255532 /nfs/dbraw/zinc/25/55/32/336255532.db2.gz FLFBTJJVWBPKKI-CQSZACIVSA-N 0 3 302.443 4.193 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1c(C)cc(C)cc1C)C1CC1 ZINC000532570606 336255961 /nfs/dbraw/zinc/25/59/61/336255961.db2.gz AHMUJRQCHMUODV-WMLDXEAASA-N 0 3 316.489 4.449 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H](C)c1cc(C)c(F)c(C)c1 ZINC000532551130 336256150 /nfs/dbraw/zinc/25/61/50/336256150.db2.gz XFOUMAZRCWPECN-MAUKXSAKSA-N 0 3 301.405 4.135 20 0 DIADHN CO[C@@H](C)CN(Cc1c(C)cc(C)c(C(C)=O)c1C)C(C)C ZINC000532577931 336256309 /nfs/dbraw/zinc/25/63/09/336256309.db2.gz OYFIUMJRYGOIHI-HNNXBMFYSA-N 0 3 305.462 4.060 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@@H]2C(C)(C)C)c(C)c1 ZINC000532580083 336256383 /nfs/dbraw/zinc/25/63/83/336256383.db2.gz XKSFOJBSIZLLEO-MRXNPFEDSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](NCc1ccc(-n2cccn2)cc1)c1cc2ccccc2o1 ZINC000030545653 336258454 /nfs/dbraw/zinc/25/84/54/336258454.db2.gz SEKSBDQAZHJINI-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CC(F)(F)CCNCc1ccc(Br)cc1Cl ZINC000379179903 336258686 /nfs/dbraw/zinc/25/86/86/336258686.db2.gz OUGVZSZRICDJHA-UHFFFAOYSA-N 0 3 312.585 4.237 20 0 DIADHN COC[C@@H](CC(C)C)N[C@@H](CC(F)(F)F)c1ccccc1 ZINC000480888223 336258741 /nfs/dbraw/zinc/25/87/41/336258741.db2.gz XLLNIEDHWVTBEJ-CABCVRRESA-N 0 3 303.368 4.331 20 0 DIADHN CCOCC(C)(C)NCc1ccc(OCc2ccccc2)cc1 ZINC000379195355 336259357 /nfs/dbraw/zinc/25/93/57/336259357.db2.gz FOFNNAXNEBOOMF-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN COc1ncc(CN[C@@H](c2ccc(F)cc2)C2CC2)cc1Cl ZINC000580359184 336259620 /nfs/dbraw/zinc/25/96/20/336259620.db2.gz SAKDSBPOFLLSMQ-MRXNPFEDSA-N 0 3 320.795 4.124 20 0 DIADHN CC(C)c1ccc([C@H](C)NC(=O)C[C@@H](N)c2ccccc2)cc1 ZINC000192772723 336261368 /nfs/dbraw/zinc/26/13/68/336261368.db2.gz ZVGQXDCIBJJKGA-HNAYVOBHSA-N 0 3 310.441 4.077 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc2cc(C)nn2c1C)c1ccc(C)cc1 ZINC000192780281 336261610 /nfs/dbraw/zinc/26/16/10/336261610.db2.gz PWJHOXIAKPWZPQ-KXBFYZLASA-N 0 3 322.456 4.456 20 0 DIADHN Cc1ccc(-c2nc(CCN[C@H]3CCCc4occc43)co2)cc1 ZINC000192801956 336264590 /nfs/dbraw/zinc/26/45/90/336264590.db2.gz FXXTWJTTWYICLN-SFHVURJKSA-N 0 3 322.408 4.453 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C(C)C)C1CCCC1 ZINC000532687275 336267457 /nfs/dbraw/zinc/26/74/57/336267457.db2.gz IIYUKMFLJWCWCJ-UHFFFAOYSA-N 0 3 303.425 4.348 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3cccc(O)c3)ccc2c1 ZINC000192845392 336267552 /nfs/dbraw/zinc/26/75/52/336267552.db2.gz FSCIBUCAKZSWBH-AWEZNQCLSA-N 0 3 307.393 4.405 20 0 DIADHN C[C@H](NCc1ccc(OC(F)(F)F)cc1)c1cccc(O)c1 ZINC000192845675 336267805 /nfs/dbraw/zinc/26/78/05/336267805.db2.gz BTYUUYJQONDHLW-NSHDSACASA-N 0 3 311.303 4.142 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1ccc(NC(N)=O)cc1 ZINC000192847122 336268673 /nfs/dbraw/zinc/26/86/73/336268673.db2.gz WTRZZDWHLWXRGE-KGLIPLIRSA-N 0 3 323.440 4.078 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccc2c(c1)CCC2 ZINC000192847135 336268742 /nfs/dbraw/zinc/26/87/42/336268742.db2.gz WTRZZDWHLWXRGE-UONOGXRCSA-N 0 3 323.440 4.078 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cc2ccccc2o1 ZINC000192848777 336270148 /nfs/dbraw/zinc/27/01/48/336270148.db2.gz GXSKBUIOCJTIKH-STQMWFEESA-N 0 3 323.396 4.335 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)cc1 ZINC000192849133 336270485 /nfs/dbraw/zinc/27/04/85/336270485.db2.gz DYNOUCGTPDJMFB-KBPBESRZSA-N 0 3 311.429 4.151 20 0 DIADHN C[C@H](N(C)Cc1coc(-c2c(F)cccc2F)n1)C(C)(C)C ZINC000532779106 336270743 /nfs/dbraw/zinc/27/07/43/336270743.db2.gz DOUWCIDYSQNLFF-NSHDSACASA-N 0 3 308.372 4.486 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000182629082 336276681 /nfs/dbraw/zinc/27/66/81/336276681.db2.gz MBMSQODSCPSZJL-DLBZAZTESA-N 0 3 324.468 4.036 20 0 DIADHN CC(C)n1nccc1CN1CCCC[C@H]1Cc1ccc(F)cc1 ZINC000526971655 336277355 /nfs/dbraw/zinc/27/73/55/336277355.db2.gz SIAFUEPSVPYYTD-SFHVURJKSA-N 0 3 315.436 4.200 20 0 DIADHN Fc1ccc(OCCNCc2ccc(C(F)F)cc2)c(F)c1 ZINC000526981399 336277862 /nfs/dbraw/zinc/27/78/62/336277862.db2.gz HQFBGSLAPLUYNB-UHFFFAOYSA-N 0 3 313.294 4.071 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1csc(Cl)c1 ZINC000527042993 336280789 /nfs/dbraw/zinc/28/07/89/336280789.db2.gz CEOZTSPEUFPNMX-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN Oc1cc(CNCc2cccc3cc[nH]c32)cc(C(F)(F)F)c1 ZINC000352856132 336281069 /nfs/dbraw/zinc/28/10/69/336281069.db2.gz VNZGHCHHVINDDX-UHFFFAOYSA-N 0 3 320.314 4.182 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnc3)cc2)cc1 ZINC000325296740 336282904 /nfs/dbraw/zinc/28/29/04/336282904.db2.gz OIMGNMLMMDNALL-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H]2CCCc3ccccc32)c1 ZINC000192932217 336285130 /nfs/dbraw/zinc/28/51/30/336285130.db2.gz XPPUTKSMYBMEQJ-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H]2CCCc3ccccc32)c1 ZINC000192932205 336285136 /nfs/dbraw/zinc/28/51/36/336285136.db2.gz XPPUTKSMYBMEQJ-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@H]1CCC(C)(C)C[C@H]1C ZINC000168053971 336285892 /nfs/dbraw/zinc/28/58/92/336285892.db2.gz ZFQLLLJPUAJBAF-QLFBSQMISA-N 0 3 304.482 4.041 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@H]1CCC(C)(C)C[C@@H]1C ZINC000168054072 336285968 /nfs/dbraw/zinc/28/59/68/336285968.db2.gz ZFQLLLJPUAJBAF-SOUVJXGZSA-N 0 3 304.482 4.041 20 0 DIADHN CCOc1cc2nccc(NCc3ccccn3)c2cc1OCC ZINC000301243141 336296532 /nfs/dbraw/zinc/29/65/32/336296532.db2.gz PABBZEALHXBZKN-UHFFFAOYSA-N 0 3 323.396 4.039 20 0 DIADHN Cc1cc(NCc2ccco2)c2cc(OC(F)F)ccc2n1 ZINC000301338248 336301407 /nfs/dbraw/zinc/30/14/07/336301407.db2.gz FASKDXDABGNVAO-UHFFFAOYSA-N 0 3 304.296 4.350 20 0 DIADHN Cc1ccccc1CN1CCC(Nc2ccc(Cl)cn2)CC1 ZINC000301463636 336308373 /nfs/dbraw/zinc/30/83/73/336308373.db2.gz VUAQWJLWWZYOOS-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN C[C@H]1C[C@H](Nc2ccnc(Cl)c2)CCN1Cc1ccccc1 ZINC000301630754 336319367 /nfs/dbraw/zinc/31/93/67/336319367.db2.gz IVFBHDSWIIQXPQ-WMLDXEAASA-N 0 3 315.848 4.200 20 0 DIADHN CCc1cc(N[C@H](C)Cc2c(C)noc2C)c2ccccc2n1 ZINC000301772565 336328797 /nfs/dbraw/zinc/32/87/97/336328797.db2.gz FCEJVBFWXYYQRK-GFCCVEGCSA-N 0 3 309.413 4.445 20 0 DIADHN CCCc1cc(N2CCC[C@H](n3cccn3)C2)c2ccccc2n1 ZINC000301775061 336328987 /nfs/dbraw/zinc/32/89/87/336328987.db2.gz GCQNRXWIBJSJSX-KRWDZBQOSA-N 0 3 320.440 4.225 20 0 DIADHN CCCc1cc(N2CCC(n3cccn3)CC2)c2ccccc2n1 ZINC000301794415 336330303 /nfs/dbraw/zinc/33/03/03/336330303.db2.gz PDNDEIPIGGBHOU-UHFFFAOYSA-N 0 3 320.440 4.225 20 0 DIADHN CCc1cc(NCc2nccn2CC(C)C)c2ccccc2n1 ZINC000301812437 336331739 /nfs/dbraw/zinc/33/17/39/336331739.db2.gz YCWIMEFUIOGDOU-UHFFFAOYSA-N 0 3 308.429 4.262 20 0 DIADHN CCCc1cc(N2CCC[C@H](c3ncc[nH]3)C2)c2ccccc2n1 ZINC000301989627 336341726 /nfs/dbraw/zinc/34/17/26/336341726.db2.gz OORZNDYAOGZRBM-HNNXBMFYSA-N 0 3 320.440 4.294 20 0 DIADHN Cc1cc(N2CCC[C@@H](c3nccs3)C2)c2ccccc2n1 ZINC000302046390 336345287 /nfs/dbraw/zinc/34/52/87/336345287.db2.gz NFQLULCUTDCHGH-CQSZACIVSA-N 0 3 309.438 4.384 20 0 DIADHN CCc1c(C)nc2ccccc2c1NC[C@](C)(O)c1ccco1 ZINC000302073029 336347082 /nfs/dbraw/zinc/34/70/82/336347082.db2.gz WMHUCGJGJGCNQQ-IBGZPJMESA-N 0 3 310.397 4.018 20 0 DIADHN Cc1nc2ccccc2c(N2CCO[C@@H](c3cccs3)C2)c1C ZINC000302083620 336347767 /nfs/dbraw/zinc/34/77/67/336347767.db2.gz MOSZFWBWPKCYSM-QGZVFWFLSA-N 0 3 324.449 4.491 20 0 DIADHN CCN1CCC(Nc2nc(-c3ccccc3Cl)cs2)CC1 ZINC000302095246 336348169 /nfs/dbraw/zinc/34/81/69/336348169.db2.gz RQMYPWMJVGRKFX-UHFFFAOYSA-N 0 3 321.877 4.360 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC(C(=O)OC(C)(C)C)CC1 ZINC000514103793 336348740 /nfs/dbraw/zinc/34/87/40/336348740.db2.gz DWJHSIHCYWYFDL-JQIJEIRASA-N 0 3 315.457 4.144 20 0 DIADHN Cc1ccc2nccc(N(C)Cc3nc4ccccc4n3C)c2c1 ZINC000302149588 336350923 /nfs/dbraw/zinc/35/09/23/336350923.db2.gz JTMUCRZNCKJATD-UHFFFAOYSA-N 0 3 316.408 4.066 20 0 DIADHN CCc1c(C)nc2ccccc2c1N[C@H]1CCO[C@H](C2CC2)C1 ZINC000302159815 336351550 /nfs/dbraw/zinc/35/15/50/336351550.db2.gz GRJZMNGDEFZWPS-KXBFYZLASA-N 0 3 310.441 4.475 20 0 DIADHN Cc1nc(CCN(C)[C@H]2CCCC[C@H]2C(F)(F)F)cs1 ZINC000463448446 339118255 /nfs/dbraw/zinc/11/82/55/339118255.db2.gz DMQRDJHZXDIMGJ-OLZOCXBDSA-N 0 3 306.397 4.047 20 0 DIADHN Cc1ccc2nccc(N3CC[C@@]4(C3)OCc3ccccc34)c2c1 ZINC000302297013 336356939 /nfs/dbraw/zinc/35/69/39/336356939.db2.gz XXTMIKWIRVMWSE-NRFANRHFSA-N 0 3 316.404 4.179 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2cscc2C(F)(F)F)s1 ZINC000352892409 336360083 /nfs/dbraw/zinc/36/00/83/336360083.db2.gz LBKPFNBMKIDLIT-QMMMGPOBSA-N 0 3 320.405 4.425 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1cccn1C)c1ccc(Cl)cc1 ZINC000514114748 336368258 /nfs/dbraw/zinc/36/82/58/336368258.db2.gz JYKUVFVOKNTLCA-ROUUACIJSA-N 0 3 318.848 4.203 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1cccn1C)c1ccc(Cl)cc1 ZINC000514114746 336368367 /nfs/dbraw/zinc/36/83/67/336368367.db2.gz JYKUVFVOKNTLCA-MSOLQXFVSA-N 0 3 318.848 4.203 20 0 DIADHN c1ccc(Oc2ccc3nccc(N[C@H]4CCOC4)c3c2)cc1 ZINC000302852550 336371868 /nfs/dbraw/zinc/37/18/68/336371868.db2.gz QGSLQZUFENQXTI-AWEZNQCLSA-N 0 3 306.365 4.228 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000514134588 336374557 /nfs/dbraw/zinc/37/45/57/336374557.db2.gz OCCXZMFLOLXWPS-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCCO[C@H]1CCCCO1 ZINC000514134128 336374632 /nfs/dbraw/zinc/37/46/32/336374632.db2.gz IICBDGHTFXCXHC-VCBZYWHSSA-N 0 3 321.436 4.067 20 0 DIADHN CCCOc1ccc([C@H](C)NCCSC(C)C)cc1OC ZINC000514134318 336374645 /nfs/dbraw/zinc/37/46/45/336374645.db2.gz KSDVKFQTNGSEFD-AWEZNQCLSA-N 0 3 311.491 4.276 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1cccc(OC(F)F)c1 ZINC000514129882 336374960 /nfs/dbraw/zinc/37/49/60/336374960.db2.gz CVIGRDDLURMMAO-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN CCOc1ccc([C@H](C)NCCSC(C)C)cc1OCC ZINC000514131133 336375020 /nfs/dbraw/zinc/37/50/20/336375020.db2.gz UCHPUENMJHQJCP-AWEZNQCLSA-N 0 3 311.491 4.276 20 0 DIADHN Cc1ccc(C)c(OCCN2C[C@H](C)C[C@H]2c2cccnc2)c1 ZINC000563907159 336376029 /nfs/dbraw/zinc/37/60/29/336376029.db2.gz YAOSJONRGDUNDA-APWZRJJASA-N 0 3 310.441 4.160 20 0 DIADHN CC[C@@H](NCc1cccc(-c2cnn(C)c2)c1)c1ccccc1F ZINC000352924185 336377539 /nfs/dbraw/zinc/37/75/39/336377539.db2.gz BRJYXFTZHIZBFA-HXUWFJFHSA-N 0 3 323.415 4.467 20 0 DIADHN Cc1n[nH]cc1CN1CCC(c2cccc3ccccc32)CC1 ZINC000352954118 336379086 /nfs/dbraw/zinc/37/90/86/336379086.db2.gz CYEWILRYJKXSFU-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC[C@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1nccn1C ZINC000352966155 336379839 /nfs/dbraw/zinc/37/98/39/336379839.db2.gz HZHNTBKPGVWVBG-MSOLQXFVSA-N 0 3 313.420 4.236 20 0 DIADHN Cc1nn(C)cc1CN1CCC(c2cccc3ccccc32)CC1 ZINC000352975781 336380837 /nfs/dbraw/zinc/38/08/37/336380837.db2.gz HHLLLPCNFHQTBW-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN Fc1ccc([C@H](NCCOC2CCC2)c2cccs2)cc1 ZINC000563917155 336383615 /nfs/dbraw/zinc/38/36/15/336383615.db2.gz TZISCTPPNRQUJJ-KRWDZBQOSA-N 0 3 305.418 4.135 20 0 DIADHN CCN(C(=O)[C@H](C)N1CCC2(CCCC2)CC1)c1ccccc1 ZINC000563916273 336383625 /nfs/dbraw/zinc/38/36/25/336383625.db2.gz SNXZYCDRQBIHMK-KRWDZBQOSA-N 0 3 314.473 4.084 20 0 DIADHN Cc1cccc(OCCN2CCC(OC3CCCCC3)CC2)c1 ZINC000261386330 336384762 /nfs/dbraw/zinc/38/47/62/336384762.db2.gz XFIGLMFGXXUJJV-UHFFFAOYSA-N 0 3 317.473 4.188 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCCC[C@@H]1C(C)C ZINC000303695942 336387446 /nfs/dbraw/zinc/38/74/46/336387446.db2.gz QQCIYBHMDKEPDE-GOSISDBHSA-N 0 3 316.489 4.260 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1cnc(CC(C)C)s1 ZINC000567244697 336388505 /nfs/dbraw/zinc/38/85/05/336388505.db2.gz IBQZNPJFFHPOJJ-UHFFFAOYSA-N 0 3 317.502 4.112 20 0 DIADHN Cc1ccc(CN[C@@H]2CCO[C@@H](c3ccccn3)C2)c(Cl)c1 ZINC000563965268 336388822 /nfs/dbraw/zinc/38/88/22/336388822.db2.gz ZHSWTYDQYMMXBT-CRAIPNDOSA-N 0 3 316.832 4.053 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNCC1(C(F)(F)F)CC1 ZINC000353052841 336391661 /nfs/dbraw/zinc/39/16/61/336391661.db2.gz LOPHQSCDKVOALE-UHFFFAOYSA-N 0 3 324.321 4.320 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc2c(c1)COC2 ZINC000532886708 336393265 /nfs/dbraw/zinc/39/32/65/336393265.db2.gz GPFGBKISDIQZPT-UHFFFAOYSA-N 0 3 307.393 4.315 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000582252094 336394143 /nfs/dbraw/zinc/39/41/43/336394143.db2.gz UUJVMLRSDHISNX-XAUMDUMWSA-N 0 3 309.457 4.395 20 0 DIADHN CC(C)c1ncc(CN[C@H](C)CCOCc2ccccc2)s1 ZINC000563998234 336395042 /nfs/dbraw/zinc/39/50/42/336395042.db2.gz YBDHTHRNLRAIHH-OAHLLOKOSA-N 0 3 318.486 4.352 20 0 DIADHN C[C@H](NCCO[C@H]1CCCCO1)c1ccc(Cl)c(Cl)c1 ZINC000193083077 336395533 /nfs/dbraw/zinc/39/55/33/336395533.db2.gz CGJUOTBDNFVXFC-NHYWBVRUSA-N 0 3 318.244 4.187 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@H]1COC(C)(C)C1 ZINC000418034335 336395702 /nfs/dbraw/zinc/39/57/02/336395702.db2.gz OJNYGEXZUKUXPH-TZMCWYRMSA-N 0 3 301.352 4.146 20 0 DIADHN CCCOc1cccc(CN2CCC(OC3CCC3)CC2)c1 ZINC000418090499 336399037 /nfs/dbraw/zinc/39/90/37/336399037.db2.gz KZKUKXKKSPDESQ-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN C[C@@H](NCCCc1cncn1C)c1cccc(Cl)c1Cl ZINC000565159208 336400732 /nfs/dbraw/zinc/40/07/32/336400732.db2.gz WNAYGJSWALCAII-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN Cc1cc(CN2CCC(OC3CCC3)CC2)cc2cccnc12 ZINC000418098832 336401377 /nfs/dbraw/zinc/40/13/77/336401377.db2.gz YMIQCHFMOSBDFR-UHFFFAOYSA-N 0 3 310.441 4.077 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cc(C)c2ncccc2c1 ZINC000353239072 336407643 /nfs/dbraw/zinc/40/76/43/336407643.db2.gz QSGYUMUFUSLMTO-LJQANCHMSA-N 0 3 318.420 4.465 20 0 DIADHN C[C@@H](NCc1nc(C2CC2)cs1)C1CCC(F)(F)CC1 ZINC000353253937 336408390 /nfs/dbraw/zinc/40/83/90/336408390.db2.gz WCVPIJOKEDHFHB-SNVBAGLBSA-N 0 3 300.418 4.324 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2NC1CCC2(CCCC2)CC1 ZINC000353268629 336409196 /nfs/dbraw/zinc/40/91/96/336409196.db2.gz RIDGPZSKMADTFA-MRXNPFEDSA-N 0 3 316.493 4.329 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H](C)C2CCC(F)(F)CC2)cs1 ZINC000353314578 336411436 /nfs/dbraw/zinc/41/14/36/336411436.db2.gz NAQUULLNFDYZRW-WDEREUQCSA-N 0 3 318.433 4.154 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ccc(F)cc21)c1ccc2n[nH]cc2c1 ZINC000353322840 336411804 /nfs/dbraw/zinc/41/18/04/336411804.db2.gz DILNTVJVKBUELN-CWTRNNRKSA-N 0 3 309.388 4.430 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC(c3ccccc3C)CC2)cc1 ZINC000353327468 336411891 /nfs/dbraw/zinc/41/18/91/336411891.db2.gz CRPAVRIQTASGJX-UHFFFAOYSA-N 0 3 322.452 4.333 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)OCC(=O)N2)c1ccc(C)cc1 ZINC000353399940 336415437 /nfs/dbraw/zinc/41/54/37/336415437.db2.gz CUWOIIKRHGCLTM-YOEHRIQHSA-N 0 3 324.424 4.128 20 0 DIADHN CC[C@H](NCc1ccc(Cn2ccnc2)cc1)c1cccc(C)c1 ZINC000353408598 336415943 /nfs/dbraw/zinc/41/59/43/336415943.db2.gz UCWSMQFMLFUIKB-NRFANRHFSA-N 0 3 319.452 4.481 20 0 DIADHN CC[C@@H](N[C@H](CCO)c1ccc(OC)cc1)c1cccc(C)c1 ZINC000353420885 336416832 /nfs/dbraw/zinc/41/68/32/336416832.db2.gz HPBIIIDXFPSQGI-WOJBJXKFSA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@H](NCc1cccc(OCCOC)c1)c1cccc(C)c1 ZINC000353455655 336418800 /nfs/dbraw/zinc/41/88/00/336418800.db2.gz RGGKNSKDMXKMGD-FQEVSTJZSA-N 0 3 313.441 4.261 20 0 DIADHN CC[C@@H](NCc1cccc(OCCOC)c1)c1cccc(C)c1 ZINC000353455656 336418927 /nfs/dbraw/zinc/41/89/27/336418927.db2.gz RGGKNSKDMXKMGD-HXUWFJFHSA-N 0 3 313.441 4.261 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)N[C@H]2CCCc3c2cnn3C)c1 ZINC000353462231 336419132 /nfs/dbraw/zinc/41/91/32/336419132.db2.gz OCOXENXSGVLOPX-BEFAXECRSA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)CC[C@@H](NCCC(=O)N1CCCCCC1)c1ccoc1 ZINC000353495725 336420648 /nfs/dbraw/zinc/42/06/48/336420648.db2.gz IUKFNZDDSWMYHN-GOSISDBHSA-N 0 3 320.477 4.139 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(C(F)(F)F)cc2)CCS1 ZINC000464772806 339152295 /nfs/dbraw/zinc/15/22/95/339152295.db2.gz MVILXXUGLHLSAG-AWEZNQCLSA-N 0 3 303.393 4.279 20 0 DIADHN CC[C@H](NCc1ccccc1Cn1ccnc1)c1ccc(C)cc1 ZINC000353592313 336427475 /nfs/dbraw/zinc/42/74/75/336427475.db2.gz ACPKADIVJCLTPU-NRFANRHFSA-N 0 3 319.452 4.481 20 0 DIADHN Clc1cccc2c1CCC[C@H]2NCc1ccc2cc[nH]c2n1 ZINC000353612917 336428779 /nfs/dbraw/zinc/42/87/79/336428779.db2.gz UHBSHKQCDNHPEY-QGZVFWFLSA-N 0 3 311.816 4.384 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2NCc1ccc2cc[nH]c2n1 ZINC000353612916 336428782 /nfs/dbraw/zinc/42/87/82/336428782.db2.gz UHBSHKQCDNHPEY-KRWDZBQOSA-N 0 3 311.816 4.384 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(C[S@@](C)=O)cc2)s1 ZINC000353798472 336440944 /nfs/dbraw/zinc/44/09/44/336440944.db2.gz VFOSPGBZZXETKL-YEJXKQKISA-N 0 3 321.511 4.040 20 0 DIADHN c1ccc(OCCCCCN[C@@H]2COCc3ccccc32)cc1 ZINC000418106794 336444556 /nfs/dbraw/zinc/44/45/56/336444556.db2.gz NVQWJOBKXXSPIS-HXUWFJFHSA-N 0 3 311.425 4.097 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc3n[nH]cc3c1)CCC2 ZINC000353977083 336452246 /nfs/dbraw/zinc/45/22/46/336452246.db2.gz ZDKKIGDRXGLSNP-RBZFPXEDSA-N 0 3 321.424 4.300 20 0 DIADHN OCC[C@@H](N[C@@H]1CCC12CCC2)c1ccc(Cl)c(Cl)c1 ZINC000354018714 336454462 /nfs/dbraw/zinc/45/44/62/336454462.db2.gz RSFJJTOMSRMANQ-HUUCEWRRSA-N 0 3 314.256 4.339 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cn(-c2ccccc2)nc1C ZINC000354634820 336477035 /nfs/dbraw/zinc/47/70/35/336477035.db2.gz GOOVZDLBHXVYQJ-CQSZACIVSA-N 0 3 311.351 4.001 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cccc(NC(C)=O)c1 ZINC000354977731 336489890 /nfs/dbraw/zinc/48/98/90/336489890.db2.gz XCCGFCZLOYIPFV-MFKMUULPSA-N 0 3 302.340 4.027 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000354980405 336490018 /nfs/dbraw/zinc/49/00/18/336490018.db2.gz CUKCPXFUNYGMEX-MFKMUULPSA-N 0 3 302.340 4.027 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2csc(C)n2)CCC1 ZINC000355063885 336493691 /nfs/dbraw/zinc/49/36/91/336493691.db2.gz LAPHJDCKMYEEOT-ZDUSSCGKSA-N 0 3 316.470 4.233 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(c2ncc[nH]2)CC1 ZINC000355754905 336521134 /nfs/dbraw/zinc/52/11/34/336521134.db2.gz IJFXRURFVXUTBY-UHFFFAOYSA-N 0 3 303.837 4.005 20 0 DIADHN c1nc(CN[C@@H]2CCOC3(CCC3)C2)sc1-c1ccccc1 ZINC000356318185 336546086 /nfs/dbraw/zinc/54/60/86/336546086.db2.gz XUQWDFYLXXXIPA-OAHLLOKOSA-N 0 3 314.454 4.001 20 0 DIADHN CCCNc1ccc(CNCc2cnc(CC(C)C)s2)cc1 ZINC000193154244 336558481 /nfs/dbraw/zinc/55/84/81/336558481.db2.gz KXZPVVUZMBYVEW-UHFFFAOYSA-N 0 3 317.502 4.453 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)c1 ZINC000356765614 336560317 /nfs/dbraw/zinc/56/03/17/336560317.db2.gz DTCCDRVNSLDDFD-IAQYHMDHSA-N 0 3 302.443 4.188 20 0 DIADHN c1sc(CN[C@H]2CCC[C@H](c3cccnc3)C2)nc1C1CC1 ZINC000367254383 336574039 /nfs/dbraw/zinc/57/40/39/336574039.db2.gz VWCVURNLNGYHIV-HOCLYGCPSA-N 0 3 313.470 4.232 20 0 DIADHN c1ccc(OC2CCCC2)c(CN[C@@H]2CCC23CCOCC3)c1 ZINC000367258723 336581213 /nfs/dbraw/zinc/58/12/13/336581213.db2.gz DNSQJEAKVKHOPW-LJQANCHMSA-N 0 3 315.457 4.057 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(C(C)C)C(C)C)c1ccccc1 ZINC000357419415 336584699 /nfs/dbraw/zinc/58/46/99/336584699.db2.gz USMTVBXJYODXSM-SFHVURJKSA-N 0 3 304.478 4.009 20 0 DIADHN Fc1ccc(OCCN[C@@H]2CCSc3ccc(F)cc32)cc1 ZINC000358004150 336605613 /nfs/dbraw/zinc/60/56/13/336605613.db2.gz KONTYDFDEGIXDA-MRXNPFEDSA-N 0 3 321.392 4.170 20 0 DIADHN C[C@@H]1C[C@@H](NCCOc2ccc(F)cc2)c2ccsc2S1 ZINC000358011377 336605978 /nfs/dbraw/zinc/60/59/78/336605978.db2.gz ZTYHOPLBFOFXNN-IAQYHMDHSA-N 0 3 323.458 4.481 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cc(C)ccc21)c1ccc2c(c1)OCCO2 ZINC000358013790 336606086 /nfs/dbraw/zinc/60/60/86/336606086.db2.gz CFODENNLUFPMJQ-MOPGFXCFSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NC[C@@H]1CCCCO1 ZINC000358015845 336606303 /nfs/dbraw/zinc/60/63/03/336606303.db2.gz FQZZCAIQUOXDLN-HOCLYGCPSA-N 0 3 309.837 4.021 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1N(C)C)CCCO2 ZINC000358048387 336608444 /nfs/dbraw/zinc/60/84/44/336608444.db2.gz PTHZPXKMESXLNV-GOSISDBHSA-N 0 3 310.441 4.065 20 0 DIADHN CC(C)CCC[C@H](NCc1cccc(C(N)=O)c1)c1ccccc1 ZINC000358078380 336610187 /nfs/dbraw/zinc/61/01/87/336610187.db2.gz BSOLRKAMZCEDDH-FQEVSTJZSA-N 0 3 324.468 4.443 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(-c3ccncc3)cc2)ccn1 ZINC000358081097 336610345 /nfs/dbraw/zinc/61/03/45/336610345.db2.gz KPEUPAOPUWPGJL-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN CC(C)CCC[C@H](NCc1ccc(C(N)=O)cc1)c1ccccc1 ZINC000358082146 336610600 /nfs/dbraw/zinc/61/06/00/336610600.db2.gz JBKOMMSQWFLIQR-FQEVSTJZSA-N 0 3 324.468 4.443 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000358088176 336610949 /nfs/dbraw/zinc/61/09/49/336610949.db2.gz ACCQWYHDNFXPSD-YFYZNPQRSA-N 0 3 313.466 4.071 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H]1CCSc2ccccc21 ZINC000358088769 336610958 /nfs/dbraw/zinc/61/09/58/336610958.db2.gz QMLATUATYQLTAV-WMZOPIPTSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@@H](N[C@H](CCC(=O)OC)c1ccccc1)c1ccccc1 ZINC000358092348 336611246 /nfs/dbraw/zinc/61/12/46/336611246.db2.gz YLRGNDRKMMKLHL-RTBURBONSA-N 0 3 311.425 4.422 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1cnc2ccsc2c1 ZINC000358101431 336611859 /nfs/dbraw/zinc/61/18/59/336611859.db2.gz UZIYWTFFJCPTEM-NWDGAFQWSA-N 0 3 320.458 4.067 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000358167037 336614556 /nfs/dbraw/zinc/61/45/56/336614556.db2.gz XTLYJSVKOPPJEV-KHYOSLBOSA-N 0 3 311.425 4.190 20 0 DIADHN CCCC[C@@H](NCc1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000358185228 336615616 /nfs/dbraw/zinc/61/56/16/336615616.db2.gz GRRXEEZQKULLLT-HXUWFJFHSA-N 0 3 320.440 4.288 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccc(F)cc1)c1cccc(OC)c1 ZINC000358189538 336615854 /nfs/dbraw/zinc/61/58/54/336615854.db2.gz NAZHZCPFXJKNMC-OALUTQOASA-N 0 3 317.404 4.263 20 0 DIADHN COC(C)(C)CN[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000358197795 336616379 /nfs/dbraw/zinc/61/63/79/336616379.db2.gz GLHMTVYYKLMGOZ-JTQLQIEISA-N 0 3 310.488 4.256 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)Cc2occc21)[C@H]1COc2ccccc21 ZINC000358224088 336617851 /nfs/dbraw/zinc/61/78/51/336617851.db2.gz KDNFNKKZRQACJL-XKQJLSEDSA-N 0 3 311.425 4.447 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)Cc2occc21)[C@H]1COc2ccccc21 ZINC000358223379 336617870 /nfs/dbraw/zinc/61/78/70/336617870.db2.gz KDNFNKKZRQACJL-IAOVAPTHSA-N 0 3 311.425 4.447 20 0 DIADHN C[C@H](O)CN[C@@H](CCSc1ccccc1)c1ccc(F)cc1 ZINC000358239961 336618829 /nfs/dbraw/zinc/61/88/29/336618829.db2.gz PSJNAZTZLFNRBV-KSSFIOAISA-N 0 3 319.445 4.020 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@H](C)c1cnn(C(C)C)c1 ZINC000358304535 336622952 /nfs/dbraw/zinc/62/29/52/336622952.db2.gz XWUTXURHSGISQG-LBTNJELSSA-N 0 3 319.424 4.030 20 0 DIADHN CC(C)CSCCN[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000358346694 336625243 /nfs/dbraw/zinc/62/52/43/336625243.db2.gz AOXVNXROKKVQNU-MRXNPFEDSA-N 0 3 305.487 4.412 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2sccc2C)c2ccccc21 ZINC000358353923 336625697 /nfs/dbraw/zinc/62/56/97/336625697.db2.gz KYUJPQDWXALVNC-BBRMVZONSA-N 0 3 314.454 4.205 20 0 DIADHN CCC[C@H](NC[C@H](COC)OC)c1ccc(Cl)cc1Cl ZINC000358439118 336630045 /nfs/dbraw/zinc/63/00/45/336630045.db2.gz XJKRKJYERJRWID-DOMZBBRYSA-N 0 3 320.260 4.086 20 0 DIADHN CS[C@@H](C)CCC(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000358553287 336634319 /nfs/dbraw/zinc/63/43/19/336634319.db2.gz NGKSUQXNBXCCLS-HNNXBMFYSA-N 0 3 320.502 4.143 20 0 DIADHN CCCCn1cc(CN[C@@H](CC)c2ccc(F)cc2)c(C)n1 ZINC000358647168 336638107 /nfs/dbraw/zinc/63/81/07/336638107.db2.gz CKHQBZITKBSYMZ-SFHVURJKSA-N 0 3 303.425 4.372 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000358760561 336642251 /nfs/dbraw/zinc/64/22/51/336642251.db2.gz VJTQUFZDLKWQQR-FUHWJXTLSA-N 0 3 315.436 4.314 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NC[C@@H]3CCCCO3)cc2)cs1 ZINC000358824373 336644151 /nfs/dbraw/zinc/64/41/51/336644151.db2.gz KIRQJOQDPIWVOA-GUYCJALGSA-N 0 3 316.470 4.338 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000358914605 336647391 /nfs/dbraw/zinc/64/73/91/336647391.db2.gz LXEASQRYVXLPPN-SJLPKXTDSA-N 0 3 315.848 4.192 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000358931910 336647993 /nfs/dbraw/zinc/64/79/93/336647993.db2.gz JMNGXGWYEIKEGL-WMZOPIPTSA-N 0 3 317.864 4.438 20 0 DIADHN C[C@@H](NCc1cccc(-c2cccnc2)c1)c1cncc(F)c1 ZINC000358993995 336651970 /nfs/dbraw/zinc/65/19/70/336651970.db2.gz FTXLRIKFLUGLLQ-CQSZACIVSA-N 0 3 307.372 4.134 20 0 DIADHN FC(F)C1(CN[C@@H]2CCCOc3cc(Cl)ccc32)CC1 ZINC000358999510 336652368 /nfs/dbraw/zinc/65/23/68/336652368.db2.gz INIXLYZFONPHKY-GFCCVEGCSA-N 0 3 301.764 4.189 20 0 DIADHN CC[C@@H](NCc1ccc2ncccc2c1)c1cccc(OC)c1 ZINC000359019003 336653582 /nfs/dbraw/zinc/65/35/82/336653582.db2.gz OQPZCNUJDZZFFG-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](CCCCOC)c2ccccc2)cn1 ZINC000359038603 336654656 /nfs/dbraw/zinc/65/46/56/336654656.db2.gz RPROGOVJEIVUHT-VQIMIIECSA-N 0 3 315.461 4.112 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CCC1)c1nc(-c2ccccc2)cs1 ZINC000359042929 336654949 /nfs/dbraw/zinc/65/49/49/336654949.db2.gz CFJBHJWKQGITRI-XJKSGUPXSA-N 0 3 316.470 4.012 20 0 DIADHN CCC[C@@H](N[C@H](C)C(=O)N1Cc2ccccc2C1)c1ccccc1 ZINC000359045882 336655055 /nfs/dbraw/zinc/65/50/55/336655055.db2.gz KFPSBCJCBKCTNK-OXQOHEQNSA-N 0 3 322.452 4.048 20 0 DIADHN OC[C@@H](CC1CCC1)N[C@H]1CCSc2ccc(Cl)cc21 ZINC000359089934 336657250 /nfs/dbraw/zinc/65/72/50/336657250.db2.gz ZCMQEOCQIIMWLF-HIFRSBDPSA-N 0 3 311.878 4.018 20 0 DIADHN CCO[C@@H](CCN[C@@H]1CCCOc2cc(F)ccc21)C(C)C ZINC000359234015 336663875 /nfs/dbraw/zinc/66/38/75/336663875.db2.gz KMZMAHSFMJGIIY-SJORKVTESA-N 0 3 309.425 4.080 20 0 DIADHN CCCCn1cc(CN[C@@H](CC)c2ccc(OC)cc2)c(C)n1 ZINC000359296198 336666350 /nfs/dbraw/zinc/66/63/50/336666350.db2.gz BOGAANKNRREOLW-IBGZPJMESA-N 0 3 315.461 4.241 20 0 DIADHN CC[C@@H](NCCc1ccco1)c1ccccc1Br ZINC000359405068 336671500 /nfs/dbraw/zinc/67/15/00/336671500.db2.gz XUOISJQJRDKQEX-OAHLLOKOSA-N 0 3 308.219 4.326 20 0 DIADHN CC[C@H](NCc1ccc(C(F)F)cc1)c1c(C)nn(C)c1C ZINC000359571730 336678504 /nfs/dbraw/zinc/67/85/04/336678504.db2.gz LYOWFUJBEYZQII-HNNXBMFYSA-N 0 3 307.388 4.215 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC000360286502 336707257 /nfs/dbraw/zinc/70/72/57/336707257.db2.gz OZCPYMHHUZCXNY-UHFFFAOYSA-N 0 3 301.474 4.202 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)N(C)c2ccccc2)c(C)s1 ZINC000360481395 336712531 /nfs/dbraw/zinc/71/25/31/336712531.db2.gz FVWIBJOODIMZHX-CQSZACIVSA-N 0 3 316.470 4.069 20 0 DIADHN COC[C@@H](C)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360484701 336712755 /nfs/dbraw/zinc/71/27/55/336712755.db2.gz QKMDVJWXSDFNEQ-WCBMZHEXSA-N 0 3 308.274 4.304 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)cc1 ZINC000360589805 336715658 /nfs/dbraw/zinc/71/56/58/336715658.db2.gz XKCQALKXHGPMQC-OXJNMPFZSA-N 0 3 324.468 4.119 20 0 DIADHN C[C@@H](NCCCc1ccncc1)c1nc(-c2ccccc2)cs1 ZINC000360634563 336716744 /nfs/dbraw/zinc/71/67/44/336716744.db2.gz PMOCOGHYBCFUJZ-OAHLLOKOSA-N 0 3 323.465 4.489 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@H](c3ccccc3F)C2)c1 ZINC000360761557 336721493 /nfs/dbraw/zinc/72/14/93/336721493.db2.gz BTABQYVKGZJNSD-DZGCQCFKSA-N 0 3 303.352 4.096 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)s1 ZINC000360886315 336725750 /nfs/dbraw/zinc/72/57/50/336725750.db2.gz GBNHYYBQGVRCEF-BXKDBHETSA-N 0 3 307.488 4.253 20 0 DIADHN CCCc1ccc([C@H](C)N[C@@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360910029 336727068 /nfs/dbraw/zinc/72/70/68/336727068.db2.gz QWOQGFDBZAPZJS-JXFKEZNVSA-N 0 3 324.468 4.167 20 0 DIADHN Cc1ccccc1CN1CCC(Nc2nccc3occc32)CC1 ZINC000360951317 336729171 /nfs/dbraw/zinc/72/91/71/336729171.db2.gz MVAKFRXZDYYADS-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CN[C@@H](C)c1csc(C)n1 ZINC000361000590 336731863 /nfs/dbraw/zinc/73/18/63/336731863.db2.gz YYEHUUBJDILYSI-WFASDCNBSA-N 0 3 316.470 4.231 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCCc3ccc(O)cc32)cc1 ZINC000361002783 336732204 /nfs/dbraw/zinc/73/22/04/336732204.db2.gz LMLSHTGYCQEAAM-LJQANCHMSA-N 0 3 313.466 4.422 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361176054 336742325 /nfs/dbraw/zinc/74/23/25/336742325.db2.gz BVHFBYZQTFMWLP-NILFDRSVSA-N 0 3 313.363 4.296 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361180888 336742634 /nfs/dbraw/zinc/74/26/34/336742634.db2.gz VBWQBVALTJBJEH-MEDUHNTESA-N 0 3 321.342 4.148 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@@H]2NC1CCC(C(F)F)CC1 ZINC000361187802 336743449 /nfs/dbraw/zinc/74/34/49/336743449.db2.gz JKLYDEJAEZNTGA-ZPPKWKGLSA-N 0 3 317.326 4.202 20 0 DIADHN C[C@@H](CCC(F)(F)F)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361188100 336743476 /nfs/dbraw/zinc/74/34/76/336743476.db2.gz RHXSBHXALRWHKV-KWQFWETISA-N 0 3 309.278 4.109 20 0 DIADHN Cc1csc(C2(N[C@H]3C[C@H](OC(C)C)C3(C)C)CCC2)n1 ZINC000361235989 336745781 /nfs/dbraw/zinc/74/57/81/336745781.db2.gz GRXJPMAIUPEMMB-KBPBESRZSA-N 0 3 308.491 4.012 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](CC(F)(F)F)c1ccccc1 ZINC000361305873 336749890 /nfs/dbraw/zinc/74/98/90/336749890.db2.gz PTPVEAZAQYMQOE-LSDHHAIUSA-N 0 3 303.368 4.067 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000361306914 336749964 /nfs/dbraw/zinc/74/99/64/336749964.db2.gz ZNKBNIAUUHABBW-LSDHHAIUSA-N 0 3 321.358 4.206 20 0 DIADHN CC[C@H](NCCc1sc(C)nc1C)c1ccc(F)cc1F ZINC000361314376 336750373 /nfs/dbraw/zinc/75/03/73/336750373.db2.gz DEIKBDMJBUGSCD-HNNXBMFYSA-N 0 3 310.413 4.322 20 0 DIADHN FC(F)(F)c1cncc(CN[C@H](c2cccs2)C2CC2)c1 ZINC000361356695 336753311 /nfs/dbraw/zinc/75/33/11/336753311.db2.gz TUFBHOGZQOHNBB-AWEZNQCLSA-N 0 3 312.360 4.403 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000361481534 336758305 /nfs/dbraw/zinc/75/83/05/336758305.db2.gz OCIFCYZGDSONHJ-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1cccc(-n2ccnc2)c1 ZINC000361483505 336758743 /nfs/dbraw/zinc/75/87/43/336758743.db2.gz DXTGIPQINLQBAX-VBKZILBWSA-N 0 3 321.399 4.035 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2ccccc21)Oc1ccccc1F ZINC000361720680 336769823 /nfs/dbraw/zinc/76/98/23/336769823.db2.gz LHOTXFWXTZPRTB-RHSMWYFYSA-N 0 3 315.388 4.097 20 0 DIADHN CC1(C)Cc2occc2[C@H](N[C@@H]2CCCC[C@@H]2n2cccn2)C1 ZINC000361735956 336770518 /nfs/dbraw/zinc/77/05/18/336770518.db2.gz KLLXBLUEMDOLAJ-ZACQAIPSSA-N 0 3 313.445 4.263 20 0 DIADHN CC1(C)Cc2occc2[C@H](N[C@H]2CCCC[C@@H]2n2cccn2)C1 ZINC000361735947 336770628 /nfs/dbraw/zinc/77/06/28/336770628.db2.gz KLLXBLUEMDOLAJ-BBWFWOEESA-N 0 3 313.445 4.263 20 0 DIADHN C[C@@H](NCCCC(=O)OC(C)(C)C)c1cnc2ccsc2c1 ZINC000361806311 336773814 /nfs/dbraw/zinc/77/38/14/336773814.db2.gz HHINUKCIVKAQJV-GFCCVEGCSA-N 0 3 320.458 4.069 20 0 DIADHN CCSCC[C@@H](C)N[C@@H](C)c1cc(OC)c(OC)cc1F ZINC000361816015 336774166 /nfs/dbraw/zinc/77/41/66/336774166.db2.gz WVRXHJJOFVUIGG-NEPJUHHUSA-N 0 3 315.454 4.025 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(-n3ccnc3)cc2C)c1 ZINC000361864887 336776040 /nfs/dbraw/zinc/77/60/40/336776040.db2.gz GUBMGQRVNXZWIY-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@H]1C[C@H](C)Sc2sccc21 ZINC000361879102 336776341 /nfs/dbraw/zinc/77/63/41/336776341.db2.gz GBEGIUHROUJTRZ-NOLJZWGESA-N 0 3 313.532 4.450 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2cnc(C3CCC3)s2)C1(C)C ZINC000361907388 336777724 /nfs/dbraw/zinc/77/77/24/336777724.db2.gz XGDDJBLCSCUJMU-HUUCEWRRSA-N 0 3 308.491 4.092 20 0 DIADHN CC[C@H](NC[C@@H](O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000361966293 336780100 /nfs/dbraw/zinc/78/01/00/336780100.db2.gz LGZKLBSLNCAKRR-DLBZAZTESA-N 0 3 321.367 4.146 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCS1)c1ccccc1OC(F)F ZINC000362018764 336782163 /nfs/dbraw/zinc/78/21/63/336782163.db2.gz TXBSEAHRZKWEBZ-WCQYABFASA-N 0 3 301.402 4.224 20 0 DIADHN CC[C@H](NC[C@@H]1CCCS1)c1ccccc1OC(F)F ZINC000362018761 336782169 /nfs/dbraw/zinc/78/21/69/336782169.db2.gz TXBSEAHRZKWEBZ-AAEUAGOBSA-N 0 3 301.402 4.224 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2ccc(C)s2)c2ccccc21 ZINC000362032300 336782707 /nfs/dbraw/zinc/78/27/07/336782707.db2.gz KNKZHSPRRPDGEO-CJNGLKHVSA-N 0 3 314.454 4.205 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@H](C)[C@@H](C)C1 ZINC000362435407 336797207 /nfs/dbraw/zinc/79/72/07/336797207.db2.gz SPCJDMWPLFLJRJ-JYJNAYRXSA-N 0 3 302.462 4.033 20 0 DIADHN C[C@H](NCc1ccc2c(c1)ncn2C)c1ccc2ncsc2c1 ZINC000362514395 336801390 /nfs/dbraw/zinc/80/13/90/336801390.db2.gz YPYJJYNCJYPVTH-LBPRGKRZSA-N 0 3 322.437 4.034 20 0 DIADHN c1ccc(-n2nc(CNC3CCC4(CC4)CC3)c3c2CCC3)cc1 ZINC000362914378 336814593 /nfs/dbraw/zinc/81/45/93/336814593.db2.gz SQQLPEIVJPGCKX-UHFFFAOYSA-N 0 3 321.468 4.173 20 0 DIADHN FC(F)(F)CCCCN1CCC(Cc2cccnc2)CC1 ZINC000367521857 336824211 /nfs/dbraw/zinc/82/42/11/336824211.db2.gz ZIIBHGKWECXOEA-UHFFFAOYSA-N 0 3 300.368 4.069 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000368135309 336839278 /nfs/dbraw/zinc/83/92/78/336839278.db2.gz OEWHZIREOQZIDK-QZTJIDSGSA-N 0 3 313.420 4.258 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000368135308 336839347 /nfs/dbraw/zinc/83/93/47/336839347.db2.gz OEWHZIREOQZIDK-MSOLQXFVSA-N 0 3 313.420 4.258 20 0 DIADHN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1cccc(F)c1 ZINC000582271163 336868337 /nfs/dbraw/zinc/86/83/37/336868337.db2.gz MDUNZVNJRKGWSR-YVEFUNNKSA-N 0 3 305.418 4.026 20 0 DIADHN Fc1ccc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)cn1 ZINC000369701166 336869780 /nfs/dbraw/zinc/86/97/80/336869780.db2.gz DAVZFCREVXMXIU-CTYIDZIISA-N 0 3 324.321 4.275 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NC1CCOCC1 ZINC000118998096 336875950 /nfs/dbraw/zinc/87/59/50/336875950.db2.gz WFKGXCMNPXUZGY-JTQLQIEISA-N 0 3 306.258 4.201 20 0 DIADHN Clc1scc(CN[C@@H]2CCO[C@@H](C3CC3)C2)c1Cl ZINC000370665475 336890198 /nfs/dbraw/zinc/89/01/98/336890198.db2.gz OVGQPOCRGVNYPX-GHMZBOCLSA-N 0 3 306.258 4.102 20 0 DIADHN Clc1scc(CN[C@H]2CCO[C@@H](C3CC3)C2)c1Cl ZINC000370665478 336890236 /nfs/dbraw/zinc/89/02/36/336890236.db2.gz OVGQPOCRGVNYPX-WDEREUQCSA-N 0 3 306.258 4.102 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CCO)c2ccc(OC)cc2)cc1 ZINC000120278535 336905194 /nfs/dbraw/zinc/90/51/94/336905194.db2.gz DJFLDUWOPPMSAN-MGPUTAFESA-N 0 3 313.441 4.032 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC(n2cc(Cl)cn2)CC1 ZINC000371654426 336910058 /nfs/dbraw/zinc/91/00/58/336910058.db2.gz GSLGFHLEUYVSIK-GFCCVEGCSA-N 0 3 307.800 4.074 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)C[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000583687056 336950972 /nfs/dbraw/zinc/95/09/72/336950972.db2.gz MWGAOQLHNQKFJW-XUJVJEKNSA-N 0 3 317.408 4.351 20 0 DIADHN CC[C@H](NC(=O)[C@H](C)N1CCCCCC1)c1cccc(Cl)c1 ZINC000303608523 336965999 /nfs/dbraw/zinc/96/59/99/336965999.db2.gz YMLGXOXVYGTJSW-YOEHRIQHSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3ccccc3N(C)C)ccc2[nH]1 ZINC000582411700 336966944 /nfs/dbraw/zinc/96/69/44/336966944.db2.gz QCTWQJRNMZJATD-HNNXBMFYSA-N 0 3 307.441 4.393 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCCc2nc(C)sc21 ZINC000121945689 336987107 /nfs/dbraw/zinc/98/71/07/336987107.db2.gz CBXYOKZNXWJACU-GDBMZVCRSA-N 0 3 314.454 4.115 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000375084992 337002906 /nfs/dbraw/zinc/00/29/06/337002906.db2.gz OFIIHLKRVMLMBT-YSVLISHTSA-N 0 3 317.454 4.185 20 0 DIADHN C[C@@H](c1cnccn1)N1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000375117424 337003691 /nfs/dbraw/zinc/00/36/91/337003691.db2.gz ZXIUGHJHSWVGBT-GXTWGEPZSA-N 0 3 321.346 4.046 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC1(C2CC2)CCOCC1 ZINC000375122483 337003929 /nfs/dbraw/zinc/00/39/29/337003929.db2.gz BKYWMCVAKJHVLK-UHFFFAOYSA-N 0 3 313.397 4.121 20 0 DIADHN CCC[C@H](N[C@@H]1CCCc2cnn(C(C)C)c21)c1cccnc1 ZINC000375170810 337005063 /nfs/dbraw/zinc/00/50/63/337005063.db2.gz JTFOXYRDFKKRJT-ZWKOTPCHSA-N 0 3 312.461 4.367 20 0 DIADHN Cc1noc(C)c1CN[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000375642030 337015504 /nfs/dbraw/zinc/01/55/04/337015504.db2.gz ROCCWQWVLYYJLU-CTYIDZIISA-N 0 3 324.346 4.346 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000375782966 337019475 /nfs/dbraw/zinc/01/94/75/337019475.db2.gz PIKNWWXNVWQXBK-TZJWZKGTSA-N 0 3 315.848 4.427 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375890338 337022351 /nfs/dbraw/zinc/02/23/51/337022351.db2.gz RYCOSZRWFXQHLG-KZNAEPCWSA-N 0 3 319.493 4.214 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCC[C@@H]2NCc2ccon2)cc1 ZINC000376180666 337029053 /nfs/dbraw/zinc/02/90/53/337029053.db2.gz ICLDQPZLXCKNRH-GJZGRUSLSA-N 0 3 310.319 4.119 20 0 DIADHN CC(C)COC[C@H](NC1CCC2(CCCO2)CC1)c1ccco1 ZINC000376407295 337032835 /nfs/dbraw/zinc/03/28/35/337032835.db2.gz HWPGTPCNTNXFSR-HFCFLWKCSA-N 0 3 321.461 4.075 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1cccc(Br)c1 ZINC000123216100 337040871 /nfs/dbraw/zinc/04/08/71/337040871.db2.gz JXPKFYQGNWFDSH-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CN(Cc1ncc(-c2cccc(F)c2)o1)C1CCC(F)(F)CC1 ZINC000376900842 337043419 /nfs/dbraw/zinc/04/34/19/337043419.db2.gz BSKIWFFAGZIVPY-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@H](Cc3ccncc3)C2)c1 ZINC000377066839 337046211 /nfs/dbraw/zinc/04/62/11/337046211.db2.gz KDBMABWPNNYVCH-INIZCTEOSA-N 0 3 302.368 4.084 20 0 DIADHN Cc1cc(C)cc([C@@H](NC[C@@H]2CCSC2)c2cccnc2)c1 ZINC000377081859 337046494 /nfs/dbraw/zinc/04/64/94/337046494.db2.gz JKYZNKCPVAUONG-LPHOPBHVSA-N 0 3 312.482 4.131 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(C/C=C/c2ccc(F)c(F)c2)C1 ZINC000582453572 337053585 /nfs/dbraw/zinc/05/35/85/337053585.db2.gz QGGOWXUJEJPICZ-MXFOGMGMSA-N 0 3 314.379 4.456 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(C/C=C\c2ccc(F)c(F)c2)C1 ZINC000582453573 337053687 /nfs/dbraw/zinc/05/36/87/337053687.db2.gz QGGOWXUJEJPICZ-ZYPMXUCASA-N 0 3 314.379 4.456 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccccc2-c2cnn(C)c2)c2ccccc21 ZINC000377522282 337054190 /nfs/dbraw/zinc/05/41/90/337054190.db2.gz CUQWGSWPUJAAFQ-YCRPNKLZSA-N 0 3 317.436 4.425 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@H]2CC[C@H](c3ccccc3)O2)s1 ZINC000377589883 337055753 /nfs/dbraw/zinc/05/57/53/337055753.db2.gz UZWLMORXZSLIEN-JCURWCKSSA-N 0 3 316.470 4.331 20 0 DIADHN C[C@@H](O)c1ncc(CN2CCCC3(C2)CCCCCC3)s1 ZINC000377612543 337056243 /nfs/dbraw/zinc/05/62/43/337056243.db2.gz BASMQIGFEGBARD-CQSZACIVSA-N 0 3 308.491 4.133 20 0 DIADHN CCC(CC)CN1CCCC[C@H]1c1nnc(CC(F)(F)F)o1 ZINC000377710976 337059458 /nfs/dbraw/zinc/05/94/58/337059458.db2.gz YMLBLGPGFQUGAA-LBPRGKRZSA-N 0 3 319.371 4.138 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCN(C(C)=O)c3ccccc32)cc1 ZINC000378400281 337071930 /nfs/dbraw/zinc/07/19/30/337071930.db2.gz HTMVWVVOTBQGRR-QRWLVFNGSA-N 0 3 322.452 4.398 20 0 DIADHN CSC1(CNCc2csc(-c3ccc(F)cc3)n2)CCC1 ZINC000193648964 337078928 /nfs/dbraw/zinc/07/89/28/337078928.db2.gz HWLGTSWGPTWHLR-UHFFFAOYSA-N 0 3 322.474 4.325 20 0 DIADHN COc1ccc([C@H](NCC2(SC)CC2)c2ccccc2)cc1 ZINC000193821923 337080325 /nfs/dbraw/zinc/08/03/25/337080325.db2.gz XPZRNAZGXZBGAB-GOSISDBHSA-N 0 3 313.466 4.270 20 0 DIADHN CSC1(CN[C@H](C)c2nc(-c3ccccc3)cs2)CC1 ZINC000193816879 337080379 /nfs/dbraw/zinc/08/03/79/337080379.db2.gz IOKCCEOWMIYAMM-GFCCVEGCSA-N 0 3 304.484 4.356 20 0 DIADHN CSC1(CN[C@H](C)c2ccc(F)cc2Br)CC1 ZINC000193822919 337080404 /nfs/dbraw/zinc/08/04/04/337080404.db2.gz FOGMBRNTKRFISQ-SECBINFHSA-N 0 3 318.255 4.134 20 0 DIADHN CSC1(CN[C@H](C)c2ccc(Br)cc2F)CC1 ZINC000193822273 337080407 /nfs/dbraw/zinc/08/04/07/337080407.db2.gz GPPNNOUSWQBUCC-SECBINFHSA-N 0 3 318.255 4.134 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(Br)cs1)C(C)(C)C ZINC000493892457 339935816 /nfs/dbraw/zinc/93/58/16/339935816.db2.gz WHFYEXIPBUHIHQ-CABZTGNLSA-N 0 3 320.296 4.222 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cccc(COC)c2)cc1 ZINC000194166454 337082152 /nfs/dbraw/zinc/08/21/52/337082152.db2.gz GWURRRABOAKYLL-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN C=Cn1cc(CN[C@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000194177533 337082351 /nfs/dbraw/zinc/08/23/51/337082351.db2.gz XFZSDVHFJCPOFI-HXUWFJFHSA-N 0 3 303.409 4.057 20 0 DIADHN CC(C)C[C@@H](NC[C@@H]1OCCc2ccccc21)c1ccccn1 ZINC000360060032 337084696 /nfs/dbraw/zinc/08/46/96/337084696.db2.gz PGTYLNNXNGZEOZ-UXHICEINSA-N 0 3 310.441 4.072 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1ccc2ccccc2n1 ZINC000360063094 337084846 /nfs/dbraw/zinc/08/48/46/337084846.db2.gz XRTSSHDFJSVHTK-QVKFZJNVSA-N 0 3 318.420 4.199 20 0 DIADHN CCCOCc1ccccc1CNCc1ccc(C)c(OC)c1 ZINC000194229140 337085629 /nfs/dbraw/zinc/08/56/29/337085629.db2.gz UVUDRWSRQMQLKZ-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000194234357 337086813 /nfs/dbraw/zinc/08/68/13/337086813.db2.gz AIWFQNZQSHZARJ-KBPBESRZSA-N 0 3 323.362 4.287 20 0 DIADHN CC(C)CCN1CCC(C(=O)c2c(F)cc(F)cc2F)CC1 ZINC000172175158 337090124 /nfs/dbraw/zinc/09/01/24/337090124.db2.gz ZOXFBPYGDJKRIB-UHFFFAOYSA-N 0 3 313.363 4.045 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CSC2(C)C)c2ccccc2)cc1 ZINC000393824714 337093941 /nfs/dbraw/zinc/09/39/41/337093941.db2.gz FOLUTBCOKXGMPL-MSOLQXFVSA-N 0 3 313.466 4.268 20 0 DIADHN COc1ccc(C[C@H](C)N[C@@H](C)c2ccc(F)cc2F)cc1 ZINC000393457879 337094591 /nfs/dbraw/zinc/09/45/91/337094591.db2.gz QWWRMFJKRNEIPJ-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN CCC[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)OC ZINC000393470931 337094708 /nfs/dbraw/zinc/09/47/08/337094708.db2.gz DTXNBIQNNULSEW-RBUKOAKNSA-N 0 3 301.405 4.320 20 0 DIADHN CC(C)[C@@H](CO)CN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000394035203 337096408 /nfs/dbraw/zinc/09/64/08/337096408.db2.gz LLLJAYGCJJJBBH-NXEZZACHSA-N 0 3 308.224 4.048 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@H](CO)c1ccccc1)CCC2 ZINC000582487028 337097670 /nfs/dbraw/zinc/09/76/70/337097670.db2.gz IMPISJIRXZXDGG-RBUKOAKNSA-N 0 3 313.466 4.109 20 0 DIADHN Cc1cnn([C@H]2CCN([C@@H](C)c3ccc(C(C)(C)C)cc3)C2)c1 ZINC000582515562 337099941 /nfs/dbraw/zinc/09/99/41/337099941.db2.gz BVTBWCNJSZPNMC-LPHOPBHVSA-N 0 3 311.473 4.497 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000582573644 337107568 /nfs/dbraw/zinc/10/75/68/337107568.db2.gz RJFOMLVGWPVARO-IFXJQAMLSA-N 0 3 317.404 4.014 20 0 DIADHN C[C@H](N[C@@H](CO)C1CCCC1)c1nc(-c2ccccc2)cs1 ZINC000582637023 337116584 /nfs/dbraw/zinc/11/65/84/337116584.db2.gz SOAGBCQVVBJYPT-BBRMVZONSA-N 0 3 316.470 4.012 20 0 DIADHN COc1cncc(/C=C/CCN[C@H](C)c2cccc(F)c2F)c1 ZINC000582663575 337117800 /nfs/dbraw/zinc/11/78/00/337117800.db2.gz UXHBEHIXNUEMGH-VUUYWXRKSA-N 0 3 318.367 4.123 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3ccc(C(F)(F)F)cn3)C2)c1 ZINC000582656615 337121529 /nfs/dbraw/zinc/12/15/29/337121529.db2.gz IXDDNMHWVYHTNQ-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN CC(C)c1ccc(NC(=O)NCC(C)(C)N2CCCCC2)cc1 ZINC000582745098 337128636 /nfs/dbraw/zinc/12/86/36/337128636.db2.gz VTGQWFRHVYMWJT-UHFFFAOYSA-N 0 3 317.477 4.196 20 0 DIADHN C=Cn1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C(C)C)cn1 ZINC000194282464 337129626 /nfs/dbraw/zinc/12/96/26/337129626.db2.gz WQKNTPJEUOCGPK-INIZCTEOSA-N 0 3 323.362 4.489 20 0 DIADHN C=Cn1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C(C)C)cn1 ZINC000194282478 337129638 /nfs/dbraw/zinc/12/96/38/337129638.db2.gz WQKNTPJEUOCGPK-MRXNPFEDSA-N 0 3 323.362 4.489 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2ccccc2)cc1F ZINC000173350746 337134804 /nfs/dbraw/zinc/13/48/04/337134804.db2.gz QVAQYLVXKFSUGV-CQSZACIVSA-N 0 3 309.388 4.171 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1ccccc1-n1cccn1 ZINC000177184459 337154563 /nfs/dbraw/zinc/15/45/63/337154563.db2.gz UJHNLQKCBXOLBB-CVEARBPZSA-N 0 3 321.424 4.293 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C)c1C)c1ccc(OC(F)F)cc1 ZINC000177962839 337159501 /nfs/dbraw/zinc/15/95/01/337159501.db2.gz YOFABRXYVXPZNT-ZBEGNZNMSA-N 0 3 323.387 4.132 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000178050209 337160093 /nfs/dbraw/zinc/16/00/93/337160093.db2.gz AFVWFFDGWIHVML-GUYCJALGSA-N 0 3 315.388 4.399 20 0 DIADHN CC[C@H](N[C@@H](C)CCCOC)c1nc(C(F)(F)F)cs1 ZINC000178210322 337161336 /nfs/dbraw/zinc/16/13/36/337161336.db2.gz BRWQPZZJTGXSFP-UWVGGRQHSA-N 0 3 310.385 4.018 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1n1cccn1)c1ccc2ccccc2n1 ZINC000178222301 337161489 /nfs/dbraw/zinc/16/14/89/337161489.db2.gz PSZGAEMWNRTNFF-BEVDRBHNSA-N 0 3 320.440 4.266 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCCC1)c1ccsc1 ZINC000178162342 337162741 /nfs/dbraw/zinc/16/27/41/337162741.db2.gz OWBJKVNSPJOZPB-GDBMZVCRSA-N 0 3 304.459 4.219 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCCC1)c1ccsc1 ZINC000178162300 337162752 /nfs/dbraw/zinc/16/27/52/337162752.db2.gz OWBJKVNSPJOZPB-HOCLYGCPSA-N 0 3 304.459 4.219 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1sc(C)nc1C ZINC000178438657 337162870 /nfs/dbraw/zinc/16/28/70/337162870.db2.gz BQMJBGCCLDIAPT-ABAIWWIYSA-N 0 3 302.443 4.107 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc([C@@H](C)O)cc1)CCCO2 ZINC000178550534 337163630 /nfs/dbraw/zinc/16/36/30/337163630.db2.gz FTUPNQWEQFRJNU-BEFAXECRSA-N 0 3 311.425 4.052 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1cccs1 ZINC000178322657 337163909 /nfs/dbraw/zinc/16/39/09/337163909.db2.gz WZYIRKNFSPEJFN-XJKSGUPXSA-N 0 3 312.442 4.131 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1cn(C)nc1C)c1ccc(OC)cc1 ZINC000178615735 337165590 /nfs/dbraw/zinc/16/55/90/337165590.db2.gz BIEWBCZTKXYMGV-LIRRHRJNSA-N 0 3 315.461 4.319 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1cn(C)nc1-c1cccs1 ZINC000179714067 337169213 /nfs/dbraw/zinc/16/92/13/337169213.db2.gz MERSJVZTRHLZBV-GFCCVEGCSA-N 0 3 317.483 4.403 20 0 DIADHN Cc1ccc(CN(CCc2ccccc2)Cc2cnc[nH]2)s1 ZINC000179737972 337169367 /nfs/dbraw/zinc/16/93/67/337169367.db2.gz WIDGTMWXVJWCAB-UHFFFAOYSA-N 0 3 311.454 4.025 20 0 DIADHN Cc1nc(CCNCc2ccc(Cl)cc2Cl)cs1 ZINC000221820516 337175362 /nfs/dbraw/zinc/17/53/62/337175362.db2.gz FZTQPNUTJUYYCR-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CC[C@@H](N[C@H](CCCO)c1ccccc1)c1ccccc1F ZINC000180613822 337176632 /nfs/dbraw/zinc/17/66/32/337176632.db2.gz UGMFWNQAAHHCIJ-RTBURBONSA-N 0 3 301.405 4.380 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1ccc(C)s1)c1ccccc1 ZINC000180670990 337177092 /nfs/dbraw/zinc/17/70/92/337177092.db2.gz DOYGWKOKGMFPBA-HOCLYGCPSA-N 0 3 317.454 4.402 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1cccc(C)c1)c1ccccc1 ZINC000180672443 337177109 /nfs/dbraw/zinc/17/71/09/337177109.db2.gz HUNOBDOBNLIYDP-QFBILLFUSA-N 0 3 311.425 4.340 20 0 DIADHN C[C@@H](N[C@H]1CCCOCC1)c1nc(-c2ccccc2)cs1 ZINC000180687243 337177201 /nfs/dbraw/zinc/17/72/01/337177201.db2.gz BLTIJFDRXBESNX-HIFRSBDPSA-N 0 3 302.443 4.030 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1ccccc1C)c1ccccc1 ZINC000180673213 337177238 /nfs/dbraw/zinc/17/72/38/337177238.db2.gz JUOMBQSQHLQYGT-QFBILLFUSA-N 0 3 311.425 4.340 20 0 DIADHN CO[C@@H](CN[C@H]1CCCOc2ccccc21)c1ccc(F)cc1 ZINC000181199665 337180158 /nfs/dbraw/zinc/18/01/58/337180158.db2.gz JAJBODYPMJNBQE-HKUYNNGSSA-N 0 3 315.388 4.017 20 0 DIADHN C[S@](=O)C[C@@H](NCCCC1CCCCC1)c1ccccc1 ZINC000582812553 337184726 /nfs/dbraw/zinc/18/47/26/337184726.db2.gz LFAOJGIWYSRPMB-NQIIRXRSSA-N 0 3 307.503 4.056 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1cncc(C)c1 ZINC000181841080 337185055 /nfs/dbraw/zinc/18/50/55/337185055.db2.gz HSIZNSFOKZOBQO-CYBMUJFWSA-N 0 3 324.453 4.068 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CCC[C@@H]2C2CCC2)s1 ZINC000183579406 337191996 /nfs/dbraw/zinc/19/19/96/337191996.db2.gz YKIORHBHKJYPBK-LLVKDONJSA-N 0 3 304.381 4.235 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1C(F)(F)F)c1nccs1 ZINC000183989604 337194336 /nfs/dbraw/zinc/19/43/36/337194336.db2.gz KZIBTDXDLQVOQP-LLVKDONJSA-N 0 3 314.376 4.397 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc(OC(C)C)cc2)cc1 ZINC000321080153 337194654 /nfs/dbraw/zinc/19/46/54/337194654.db2.gz LRMDAYUTIKFNHY-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN CC[C@@H](O)CN[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184948584 337201930 /nfs/dbraw/zinc/20/19/30/337201930.db2.gz BVDFODCKJIGHOK-QMTHXVAHSA-N 0 3 324.877 4.193 20 0 DIADHN CC(C)C[C@H](C)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000185372978 337204440 /nfs/dbraw/zinc/20/44/40/337204440.db2.gz DIQWLRPHVNWTNB-IRXDYDNUSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)C[C@H](C)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000185373012 337204530 /nfs/dbraw/zinc/20/45/30/337204530.db2.gz DIQWLRPHVNWTNB-DLBZAZTESA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](N[C@@H](CO)C1CCCC1)c1cc(Cl)sc1Cl ZINC000395302692 337211742 /nfs/dbraw/zinc/21/17/42/337211742.db2.gz VRHQCNMSDTUOFC-KWQFWETISA-N 0 3 308.274 4.257 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNC1CCSCC1 ZINC000582910969 337216647 /nfs/dbraw/zinc/21/66/47/337216647.db2.gz YFPJAKWQZTWRDA-UHFFFAOYSA-N 0 3 319.301 4.045 20 0 DIADHN COCC1(CCN[C@@H](c2ccccc2)c2ccc(F)cc2)CC1 ZINC000583025439 337227485 /nfs/dbraw/zinc/22/74/85/337227485.db2.gz YUTBGFMDPGCFDY-IBGZPJMESA-N 0 3 313.416 4.321 20 0 DIADHN Fc1cccc(CN(CCc2ccccc2)Cc2cccnc2)c1 ZINC000583051908 337230052 /nfs/dbraw/zinc/23/00/52/337230052.db2.gz KQCBEEGWQBENRL-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN C[C@H](NCc1ccc(-c2ccc(F)cc2)o1)c1cc[nH]c(=O)c1 ZINC000583157035 337247813 /nfs/dbraw/zinc/24/78/13/337247813.db2.gz NCECUXZQBMOWRT-LBPRGKRZSA-N 0 3 312.344 4.037 20 0 DIADHN CSc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1 ZINC000186439474 337256235 /nfs/dbraw/zinc/25/62/35/337256235.db2.gz XVWWOQCGYNAAGK-LJQANCHMSA-N 0 3 311.450 4.040 20 0 DIADHN FC(F)c1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1 ZINC000186676162 337258373 /nfs/dbraw/zinc/25/83/73/337258373.db2.gz OLUQHBIUUHDCLC-LJQANCHMSA-N 0 3 315.363 4.256 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CCC2(CCC2)C1 ZINC000186994710 337262151 /nfs/dbraw/zinc/26/21/51/337262151.db2.gz OPIGXZHPNGDDBB-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN COc1ccc([C@@H](C)CN2CC(C)(CC(F)(F)F)C2)cc1 ZINC000459642509 337263294 /nfs/dbraw/zinc/26/32/94/337263294.db2.gz SEILFSRWGRQLOX-LBPRGKRZSA-N 0 3 301.352 4.073 20 0 DIADHN c1ccc([C@H]2CSCCN2C[C@H]2CCC3(CCCC3)O2)cc1 ZINC000530358167 337263400 /nfs/dbraw/zinc/26/34/00/337263400.db2.gz IFMDSOPYWZQHEV-QZTJIDSGSA-N 0 3 317.498 4.268 20 0 DIADHN CCOc1c(Cl)cccc1CN1CC[C@H](c2cccnc2)C1 ZINC000583204596 337270514 /nfs/dbraw/zinc/27/05/14/337270514.db2.gz ZXWRKVVNQNNVJA-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc(C3CC3)cc2)cc1 ZINC000188732459 337274521 /nfs/dbraw/zinc/27/45/21/337274521.db2.gz PJSGXIQPKPZFFJ-AWEZNQCLSA-N 0 3 308.425 4.373 20 0 DIADHN C[C@@H](NCc1ccc(C2CC2)cc1)c1ccc([S@@](C)=O)cc1 ZINC000188723439 337274621 /nfs/dbraw/zinc/27/46/21/337274621.db2.gz XXPBTZYEUZNPTL-JLCFBVMHSA-N 0 3 313.466 4.152 20 0 DIADHN Cc1ccc(C)c([C@@H](NCCC2(O)CCC2)c2ccccc2)c1 ZINC000397657111 337277887 /nfs/dbraw/zinc/27/78/87/337277887.db2.gz HJQHHNOXNSTHSY-FQEVSTJZSA-N 0 3 309.453 4.288 20 0 DIADHN Cc1cccc2nc(C3CCN(CCCOC(C)C)CC3)oc21 ZINC000189803549 337283119 /nfs/dbraw/zinc/28/31/19/337283119.db2.gz DMVHBCQTZRZXHE-UHFFFAOYSA-N 0 3 316.445 4.131 20 0 DIADHN CC[C@@H](NCCCn1ccc2ccccc21)c1ccc(F)cn1 ZINC000321708794 337284635 /nfs/dbraw/zinc/28/46/35/337284635.db2.gz XJUVXKJEHHYVJJ-QGZVFWFLSA-N 0 3 311.404 4.306 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000498956705 337286517 /nfs/dbraw/zinc/28/65/17/337286517.db2.gz MCWPIOAZIXBLJY-HZPDHXFCSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C[C@@H](C)C1 ZINC000498956704 337286625 /nfs/dbraw/zinc/28/66/25/337286625.db2.gz MCWPIOAZIXBLJY-HOTGVXAUSA-N 0 3 311.429 4.137 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@H]1CCCCC12CCCC2 ZINC000583251645 337288511 /nfs/dbraw/zinc/28/85/11/337288511.db2.gz YKBCLFAZUFRVBZ-KRWDZBQOSA-N 0 3 315.461 4.031 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(N(C)C(C)C)nc2)c(C)s1 ZINC000190726516 337289097 /nfs/dbraw/zinc/28/90/97/337289097.db2.gz NUTYBIPLUPKHEG-AWEZNQCLSA-N 0 3 317.502 4.455 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H]2CCCc3ccccc32)cn1 ZINC000190672193 337289493 /nfs/dbraw/zinc/28/94/93/337289493.db2.gz UYQXKKNZOQZYKC-IBGZPJMESA-N 0 3 309.457 4.093 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2ccc(Cl)s2)c1 ZINC000024925547 337289964 /nfs/dbraw/zinc/28/99/64/337289964.db2.gz HGRUUIMJTZKMQH-UHFFFAOYSA-N 0 3 322.861 4.496 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC[C@H](c1ccco1)N1CCCC1)CC2 ZINC000321779991 337290913 /nfs/dbraw/zinc/29/09/13/337290913.db2.gz RIPYCTDDANWYPO-RTBURBONSA-N 0 3 310.441 4.002 20 0 DIADHN C[C@@H](CN(C)Cc1ccc(OCC2CC2)cc1)c1nccs1 ZINC000191477048 337297225 /nfs/dbraw/zinc/29/72/25/337297225.db2.gz UBJHFLLWGZUZTM-AWEZNQCLSA-N 0 3 316.470 4.167 20 0 DIADHN C[C@@H]1CCSCCN1Cc1c[nH]nc1-c1cccc(Cl)c1 ZINC000191811158 337300393 /nfs/dbraw/zinc/30/03/93/337300393.db2.gz QODQAOFSQACHSD-GFCCVEGCSA-N 0 3 321.877 4.058 20 0 DIADHN C[C@@H]1CCSCCN1Cc1cc(Cl)cc2cccnc21 ZINC000191816430 337300508 /nfs/dbraw/zinc/30/05/08/337300508.db2.gz IGRBMFKRHHXUGH-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN COc1ccc(C)cc1N[C@H]1CCN(C)[C@@H](c2ccccc2)C1 ZINC000583277110 337301080 /nfs/dbraw/zinc/30/10/80/337301080.db2.gz GJZPINQMPQKEIT-PKOBYXMFSA-N 0 3 310.441 4.251 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN(C)[C@H](C)c1cccc(O)c1 ZINC000192103702 337302889 /nfs/dbraw/zinc/30/28/89/337302889.db2.gz NDJZYZGRIVLGPQ-MRXNPFEDSA-N 0 3 321.424 4.079 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN(C)[C@@H](C)c1cccc(O)c1 ZINC000192103696 337303011 /nfs/dbraw/zinc/30/30/11/337303011.db2.gz NDJZYZGRIVLGPQ-INIZCTEOSA-N 0 3 321.424 4.079 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc(-n3cccn3)c1)CCC2 ZINC000583285899 337305656 /nfs/dbraw/zinc/30/56/56/337305656.db2.gz VDQZNOYARQPHJC-NRFANRHFSA-N 0 3 317.436 4.348 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000525951732 337308206 /nfs/dbraw/zinc/30/82/06/337308206.db2.gz BRTONMZOPNZGIC-KGLIPLIRSA-N 0 3 318.486 4.247 20 0 DIADHN CCC(=O)CCCN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC000583719787 337317687 /nfs/dbraw/zinc/31/76/87/337317687.db2.gz COPFAFGTXOMVDK-UHFFFAOYSA-N 0 3 313.363 4.429 20 0 DIADHN CC(C)=CCOc1ccc(CC[C@H](C)NCc2ccno2)cc1 ZINC000584412162 337321443 /nfs/dbraw/zinc/32/14/43/337321443.db2.gz WHXORRRYUAPUTO-INIZCTEOSA-N 0 3 314.429 4.131 20 0 DIADHN CC[C@H](CN[C@H](C)c1sc(-c2ccccc2)nc1C)OC ZINC000584415559 337322299 /nfs/dbraw/zinc/32/22/99/337322299.db2.gz NSEVLOAALOLGJV-IUODEOHRSA-N 0 3 304.459 4.194 20 0 DIADHN Oc1ccc(CN(CC2=Cc3ccccc3OC2)CC2CC2)cc1 ZINC000584437437 337325218 /nfs/dbraw/zinc/32/52/18/337325218.db2.gz JFOBHUXECQWHLG-UHFFFAOYSA-N 0 3 321.420 4.080 20 0 DIADHN CC(C)CN(Cc1coc(-c2ccc(F)c(F)c2)n1)C(C)C ZINC000532922963 337326677 /nfs/dbraw/zinc/32/66/77/337326677.db2.gz RJJKJCCHOMLSSM-UHFFFAOYSA-N 0 3 308.372 4.486 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCC[C@@H](F)C2)c2ccccn2)cc1 ZINC000583812206 337336522 /nfs/dbraw/zinc/33/65/22/337336522.db2.gz WCUPGBANRPICGN-NUJGCVRESA-N 0 3 302.368 4.180 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N[C@H](c2ccccc2)C2CC2)cc1C ZINC000218867244 337340265 /nfs/dbraw/zinc/34/02/65/337340265.db2.gz DXAHITCRHFVBMQ-OXQOHEQNSA-N 0 3 322.452 4.371 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(c2cccc(OC)c2)CC1 ZINC000584476546 337346534 /nfs/dbraw/zinc/34/65/34/337346534.db2.gz RLLKEQNZWJNRGX-OAHLLOKOSA-N 0 3 310.441 4.035 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(c2cccc(OC)c2)CC1 ZINC000584476545 337346563 /nfs/dbraw/zinc/34/65/63/337346563.db2.gz RLLKEQNZWJNRGX-HNNXBMFYSA-N 0 3 310.441 4.035 20 0 DIADHN O[C@H](Cc1ccccc1)CN1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000219697301 337350445 /nfs/dbraw/zinc/35/04/45/337350445.db2.gz YYWDKPWAOVJOQS-RTBURBONSA-N 0 3 315.844 4.081 20 0 DIADHN FC(F)(F)C1CC(NCc2ncc(-c3ccccc3)s2)C1 ZINC000584552624 337360485 /nfs/dbraw/zinc/36/04/85/337360485.db2.gz KSSLMWGOXFUMMA-UHFFFAOYSA-N 0 3 312.360 4.241 20 0 DIADHN CC1(C)CN(Cc2ccc(OCc3ccncc3)cc2)[C@H]1C1CC1 ZINC000584561650 337361419 /nfs/dbraw/zinc/36/14/19/337361419.db2.gz RYUQLJBYZVOGJO-FQEVSTJZSA-N 0 3 322.452 4.281 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccccc1Cl ZINC000036971996 337361488 /nfs/dbraw/zinc/36/14/88/337361488.db2.gz OFUBLWWZFJETPU-UHFFFAOYSA-N 0 3 304.821 4.022 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCC[C@@H]2C(F)(F)F)cc1 ZINC000037099891 337363183 /nfs/dbraw/zinc/36/31/83/337363183.db2.gz VGMMNIPGJIWJEB-TUKIKUTGSA-N 0 3 301.352 4.467 20 0 DIADHN FC(F)(F)C[C@@H]1CCN(CCCOc2ccc(Cl)cc2)C1 ZINC000584568968 337364852 /nfs/dbraw/zinc/36/48/52/337364852.db2.gz GMRYBGCCGSGTBK-LBPRGKRZSA-N 0 3 321.770 4.383 20 0 DIADHN CCC[C@@H](NC[C@@H]1CSc2ccccc2O1)c1cccnc1 ZINC000584590260 337368698 /nfs/dbraw/zinc/36/86/98/337368698.db2.gz SVCWNQYVOHXVHZ-HZPDHXFCSA-N 0 3 314.454 4.066 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@H](C)c1cc(F)ccc1F ZINC000583901789 337384852 /nfs/dbraw/zinc/38/48/52/337384852.db2.gz SQTHQTZTNHIYPH-CYBMUJFWSA-N 0 3 304.384 4.297 20 0 DIADHN Cc1cccc2c1OC[C@@H](N[C@H](C)c1ccc3ccccc3n1)C2 ZINC000583901663 337384919 /nfs/dbraw/zinc/38/49/19/337384919.db2.gz MRWHLOKHWNEKQN-QAPCUYQASA-N 0 3 318.420 4.198 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2Cc2cccc(F)c2)cc1F ZINC000520785241 337391047 /nfs/dbraw/zinc/39/10/47/337391047.db2.gz DZKRNIVWHDJHCA-QGZVFWFLSA-N 0 3 317.379 4.181 20 0 DIADHN O=C(CN1CCCCC[C@@H]1c1ccccc1)Nc1ccccc1 ZINC000520787448 337391134 /nfs/dbraw/zinc/39/11/34/337391134.db2.gz ISEALARZJREBLG-LJQANCHMSA-N 0 3 308.425 4.242 20 0 DIADHN CC(C)CCOC1CCN(CCO[C@@H]2CCCC[C@H]2C)CC1 ZINC000520787484 337391227 /nfs/dbraw/zinc/39/12/27/337391227.db2.gz LLISBLGHKJZYEJ-IEBWSBKVSA-N 0 3 311.510 4.109 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N(C)C[C@H]1CCCCO1 ZINC000532927227 337393349 /nfs/dbraw/zinc/39/33/49/337393349.db2.gz ZIIODONHNBQHAP-IUODEOHRSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1csc(CN[C@@H]2CCCC[C@@H]2Oc2cccc(C)c2)n1 ZINC000532932974 337393803 /nfs/dbraw/zinc/39/38/03/337393803.db2.gz SXXHIMVQVSVAHN-SJORKVTESA-N 0 3 316.470 4.240 20 0 DIADHN Cc1ccc([C@H]2CCCN2C(=O)C[C@H](N)c2ccccc2)cc1C ZINC000118953204 337394176 /nfs/dbraw/zinc/39/41/76/337394176.db2.gz VQAOMQZURUJWOI-VQTJNVASSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1cccc([C@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)n1 ZINC000190983906 337399235 /nfs/dbraw/zinc/39/92/35/337399235.db2.gz HWAZQEULJKQYRH-PKOBYXMFSA-N 0 3 314.473 4.409 20 0 DIADHN Cc1ncc(CN[C@H](C)c2cccc(-c3ccncc3)c2)s1 ZINC000123787055 337401262 /nfs/dbraw/zinc/40/12/62/337401262.db2.gz CNRWVVWIUQRSSN-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN C[C@@H](NC1CCC(C)CC1)c1ncc(Br)s1 ZINC000126394617 337416539 /nfs/dbraw/zinc/41/65/39/337416539.db2.gz LKJCHMJILOJBKD-HWOCKDDLSA-N 0 3 303.269 4.135 20 0 DIADHN CCC[C@@H](NCc1ccc(NCCOC)cc1)c1ccccc1 ZINC000126976869 337418461 /nfs/dbraw/zinc/41/84/61/337418461.db2.gz ZHSZAPRZMFKMDN-HXUWFJFHSA-N 0 3 312.457 4.376 20 0 DIADHN COCCNc1ccc(CN[C@@H]2CC[C@H](C)c3ccccc32)cc1 ZINC000127167313 337419405 /nfs/dbraw/zinc/41/94/05/337419405.db2.gz HKBVKXFZEQLVMG-HRAATJIYSA-N 0 3 324.468 4.473 20 0 DIADHN CCC[C@H](NCCc1ccccc1OC(F)F)c1ccccn1 ZINC000188724161 337424373 /nfs/dbraw/zinc/42/43/73/337424373.db2.gz JCKCLYIYLXAEOI-HNNXBMFYSA-N 0 3 320.383 4.357 20 0 DIADHN CC[C@H](NCCc1cccnc1)c1cc(Cl)ccc1OC ZINC000133469142 337445384 /nfs/dbraw/zinc/44/53/84/337445384.db2.gz QIKPPFKCMDENRS-INIZCTEOSA-N 0 3 304.821 4.027 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133832716 337446874 /nfs/dbraw/zinc/44/68/74/337446874.db2.gz WSJKWQZKGYGEHC-NHYWBVRUSA-N 0 3 305.491 4.463 20 0 DIADHN CC[C@@H](NCc1cccc(Cl)c1)c1ccc2c(c1)OCCO2 ZINC000237016500 337467206 /nfs/dbraw/zinc/46/72/06/337467206.db2.gz HFTPQDOOKLNORQ-MRXNPFEDSA-N 0 3 317.816 4.352 20 0 DIADHN C[C@@H](NCc1cccc2cc[nH]c21)c1ccc(-n2cccn2)cc1 ZINC000237342323 337468582 /nfs/dbraw/zinc/46/85/82/337468582.db2.gz OALOKHAQLKXSQM-OAHLLOKOSA-N 0 3 316.408 4.204 20 0 DIADHN CCCCOCCN[C@@H]1CCCSc2ccc(OC)cc21 ZINC000527102312 337470043 /nfs/dbraw/zinc/47/00/43/337470043.db2.gz BUZSNQNSTDMLDL-MRXNPFEDSA-N 0 3 309.475 4.029 20 0 DIADHN COC1(C(F)(F)F)CCN(c2cc(C)nc3ccccc32)CC1 ZINC000418968064 337473312 /nfs/dbraw/zinc/47/33/12/337473312.db2.gz AGWZQZFRMHXUTN-UHFFFAOYSA-N 0 3 324.346 4.091 20 0 DIADHN C[C@H](NCc1cccc(N)c1)c1ccc(OC(F)(F)F)cc1 ZINC000420391408 337500508 /nfs/dbraw/zinc/50/05/08/337500508.db2.gz AUIOKPCOVVHNPP-NSHDSACASA-N 0 3 310.319 4.018 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)F)c1ccccc1)c1ccccc1 ZINC000420988444 337517559 /nfs/dbraw/zinc/51/75/59/337517559.db2.gz ACNFXCJJCCMSNZ-IRXDYDNUSA-N 0 3 305.368 4.360 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NC[C@@H]1CC12CCSCC2 ZINC000421199263 337524604 /nfs/dbraw/zinc/52/46/04/337524604.db2.gz KCHNWDBQOROUAU-NHYWBVRUSA-N 0 3 309.425 4.075 20 0 DIADHN CCN(CC)c1ccc(CNCc2cccc(C3CC3)c2)cn1 ZINC000421322302 337526335 /nfs/dbraw/zinc/52/63/35/337526335.db2.gz DITHYDNONYTFBJ-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](CC(F)F)c2ccccc2)n1 ZINC000421374437 337528432 /nfs/dbraw/zinc/52/84/32/337528432.db2.gz OVYWZLOQJKWVQK-CJNGLKHVSA-N 0 3 320.383 4.007 20 0 DIADHN Cc1cc(CN[C@@H](CC(F)F)c2ccccc2)cc(C)c1O ZINC000421375138 337528585 /nfs/dbraw/zinc/52/85/85/337528585.db2.gz PSQXDLBVDMYAAY-INIZCTEOSA-N 0 3 305.368 4.495 20 0 DIADHN CC[C@@H]1C[C@H](NCc2ccc(-c3cccc(F)c3F)o2)CCO1 ZINC000421385014 337529804 /nfs/dbraw/zinc/52/98/04/337529804.db2.gz MVOJBPFVIRMBEN-CHWSQXEVSA-N 0 3 321.367 4.272 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CC23CCSCC3)s1 ZINC000421391738 337530341 /nfs/dbraw/zinc/53/03/41/337530341.db2.gz WSHZHDBNEJFTSW-LBPRGKRZSA-N 0 3 310.532 4.064 20 0 DIADHN CC(C)[C@@H](O)C1(CNCc2ccc(F)c(Cl)c2Cl)CC1 ZINC000432257692 337545781 /nfs/dbraw/zinc/54/57/81/337545781.db2.gz HWSGGBNOCGHSAQ-CQSZACIVSA-N 0 3 320.235 4.019 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(F)c(F)c2)cc(C)c1O ZINC000564129393 337553507 /nfs/dbraw/zinc/55/35/07/337553507.db2.gz LJBIPNIQVIDKJL-UHFFFAOYSA-N 0 3 305.368 4.312 20 0 DIADHN C[C@H](Cc1cccc(O)c1)NCc1csc(-c2ccccc2)n1 ZINC000571710573 337571088 /nfs/dbraw/zinc/57/10/88/337571088.db2.gz WXQVSYNHRQRIMP-CQSZACIVSA-N 0 3 324.449 4.237 20 0 DIADHN C(=C/c1ccccc1)\CNCCc1nc(-c2ccccn2)cs1 ZINC000076894561 337574437 /nfs/dbraw/zinc/57/44/37/337574437.db2.gz RVFVCWQUYBFRKD-RMKNXTFCSA-N 0 3 321.449 4.051 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H]1CCc2c1ccc(OC)c2F ZINC000571956698 337583261 /nfs/dbraw/zinc/58/32/61/337583261.db2.gz LZOZYOVEDGGEGJ-SKDRFNHKSA-N 0 3 305.315 4.142 20 0 DIADHN CCC(CC)[C@@H](CCNCc1ncc(C(C)(C)C)s1)OC ZINC000572105173 337590988 /nfs/dbraw/zinc/59/09/88/337590988.db2.gz RGJFHWWWXWCYNV-CQSZACIVSA-N 0 3 312.523 4.372 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1cnc(C(C)(C)C)s1 ZINC000572208297 337597068 /nfs/dbraw/zinc/59/70/68/337597068.db2.gz GHJOAKWIXANLAS-UHFFFAOYSA-N 0 3 317.502 4.211 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CCCc3ccc(C)cc32)cc1 ZINC000536827313 337603876 /nfs/dbraw/zinc/60/38/76/337603876.db2.gz NWUMZHWTHZTNOR-RTWAWAEBSA-N 0 3 309.453 4.258 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1sccc1Br ZINC000162354420 337616194 /nfs/dbraw/zinc/61/61/94/337616194.db2.gz OJMUTMZNJBESGP-RKDXNWHRSA-N 0 3 308.310 4.303 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCCC2)c1)c1cccs1 ZINC000162417462 337619051 /nfs/dbraw/zinc/61/90/51/337619051.db2.gz JGQFVEVMWJOMPP-INIZCTEOSA-N 0 3 315.486 4.374 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(c2nccc3sccc32)CC1 ZINC000572678417 337621652 /nfs/dbraw/zinc/62/16/52/337621652.db2.gz QXMXAHXRJKRHSF-OAHLLOKOSA-N 0 3 323.465 4.180 20 0 DIADHN Cc1ccc(OCCCN[C@H](C)c2ccncc2Cl)cc1 ZINC000421826527 337646618 /nfs/dbraw/zinc/64/66/18/337646618.db2.gz SUQFCMROUDXLDK-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN Cc1ccc(OCCCN[C@@H](C)c2ccncc2Cl)cc1 ZINC000421826526 337646673 /nfs/dbraw/zinc/64/66/73/337646673.db2.gz SUQFCMROUDXLDK-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)NCCCc1ccccn1 ZINC000421830621 337647500 /nfs/dbraw/zinc/64/75/00/337647500.db2.gz BTEYKUPAOIPFKI-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1cc2cc(Cl)ccc2o1 ZINC000421853633 337650144 /nfs/dbraw/zinc/65/01/44/337650144.db2.gz JFSJKGMIQDVBQK-LBPRGKRZSA-N 0 3 307.821 4.432 20 0 DIADHN OC1(CCCN[C@H]2CCc3cc(Cl)cc(Cl)c32)CCC1 ZINC000421854252 337650284 /nfs/dbraw/zinc/65/02/84/337650284.db2.gz KHQJRPJSDKXEPC-AWEZNQCLSA-N 0 3 314.256 4.266 20 0 DIADHN COc1ccc([C@H](NC2CC(C(F)(F)F)C2)C(C)C)c(F)c1 ZINC000421856040 337650516 /nfs/dbraw/zinc/65/05/16/337650516.db2.gz OCKVTXDXTDNMLN-QKFMDRJYSA-N 0 3 319.342 4.462 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cc(C)n1 ZINC000421857279 337650592 /nfs/dbraw/zinc/65/05/92/337650592.db2.gz OYIUHXJYQSZZJJ-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN CC[C@@H](NCCCC1(O)CCC1)c1cc(Cl)ccc1OC ZINC000421857440 337650602 /nfs/dbraw/zinc/65/06/02/337650602.db2.gz ONSLCEITKRKHEI-OAHLLOKOSA-N 0 3 311.853 4.085 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cc(C)n1 ZINC000421857278 337650641 /nfs/dbraw/zinc/65/06/41/337650641.db2.gz OYIUHXJYQSZZJJ-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@H](C)c1ccncc1Cl ZINC000421859675 337651170 /nfs/dbraw/zinc/65/11/70/337651170.db2.gz STGIDPUIVCNHHZ-CYBMUJFWSA-N 0 3 303.837 4.067 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NCCC[C@@H]1CCCCO1 ZINC000421833883 337651827 /nfs/dbraw/zinc/65/18/27/337651827.db2.gz BGMOTSWJWFYAOB-ZFWWWQNUSA-N 0 3 311.853 4.349 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2F)CC1)c1ccncc1Cl ZINC000421835075 337651941 /nfs/dbraw/zinc/65/19/41/337651941.db2.gz LZGCYOXIFKIARU-NSHDSACASA-N 0 3 322.786 4.396 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000421836582 337652283 /nfs/dbraw/zinc/65/22/83/337652283.db2.gz HIGNYBCXRIYKTR-UYHISHBKSA-N 0 3 323.864 4.204 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccncc2Cl)C12CCCC2 ZINC000421836467 337652334 /nfs/dbraw/zinc/65/23/34/337652334.db2.gz RCCHQZADPDWPRI-DAXOMENPSA-N 0 3 308.853 4.123 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2ccncc2Cl)C12CCCC2 ZINC000421836466 337652397 /nfs/dbraw/zinc/65/23/97/337652397.db2.gz RCCHQZADPDWPRI-APHBMKBZSA-N 0 3 308.853 4.123 20 0 DIADHN COc1ccc(C[C@@H](C)CN[C@H](C)c2ccncc2Cl)cc1 ZINC000421840150 337653171 /nfs/dbraw/zinc/65/31/71/337653171.db2.gz ZNLDGYFOPUAOHT-ZIAGYGMSSA-N 0 3 318.848 4.273 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)c(F)c2)C(C)C)cc(C)n1 ZINC000421849806 337654928 /nfs/dbraw/zinc/65/49/28/337654928.db2.gz CJEKJOZQDMVLKN-GOSISDBHSA-N 0 3 304.384 4.464 20 0 DIADHN OC1(CCCN[C@@H](c2ccccc2)c2ccc(F)cc2)CCC1 ZINC000421861101 337656033 /nfs/dbraw/zinc/65/60/33/337656033.db2.gz TZAMNGKVIWQZKA-IBGZPJMESA-N 0 3 313.416 4.200 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCCCC1(O)CCC1)CCCO2 ZINC000421861510 337656051 /nfs/dbraw/zinc/65/60/51/337656051.db2.gz UKGMPBZPFYYMPJ-MRXNPFEDSA-N 0 3 323.864 4.147 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1cc(Cl)sc1Cl ZINC000421865188 337656557 /nfs/dbraw/zinc/65/65/57/337656557.db2.gz YLYAHOWKGJVZHF-SECBINFHSA-N 0 3 308.274 4.401 20 0 DIADHN FC(F)c1ccc(CNC[C@H](c2ccco2)N2CCCC2)cc1 ZINC000321795533 337661312 /nfs/dbraw/zinc/66/13/12/337661312.db2.gz WGIPILDPCJMANI-MRXNPFEDSA-N 0 3 320.383 4.144 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCC(=O)Nc1ccc(Cl)cc1Cl ZINC000429771955 337695223 /nfs/dbraw/zinc/69/52/23/337695223.db2.gz HQOHFRVEVYBOOP-MFKMUULPSA-N 0 3 315.244 4.100 20 0 DIADHN CSC1(CNCc2cc(C(F)(F)F)ccc2F)CCC1 ZINC000433175506 337698375 /nfs/dbraw/zinc/69/83/75/337698375.db2.gz ONKFGBJKOUXYMV-UHFFFAOYSA-N 0 3 307.356 4.220 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@H]1CSCCc2ccccc21 ZINC000423342807 337713113 /nfs/dbraw/zinc/71/31/13/337713113.db2.gz DGOLCVVWQFFLFA-PMACEKPBSA-N 0 3 324.493 4.266 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H]2CCCc3cc(N)ccc32)s1 ZINC000423344668 337713169 /nfs/dbraw/zinc/71/31/69/337713169.db2.gz FKULTLUJMVNRAU-ZBEGNZNMSA-N 0 3 301.459 4.070 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000423357264 337714198 /nfs/dbraw/zinc/71/41/98/337714198.db2.gz RWRICSGKVMXASJ-AUUYWEPGSA-N 0 3 310.441 4.314 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CCc2c1c(F)ccc2F ZINC000423358752 337714251 /nfs/dbraw/zinc/71/42/51/337714251.db2.gz UGQGCIKCVNMBEW-ZWKOTPCHSA-N 0 3 314.379 4.202 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000423357265 337714253 /nfs/dbraw/zinc/71/42/53/337714253.db2.gz RWRICSGKVMXASJ-IFXJQAMLSA-N 0 3 310.441 4.314 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCCc2cc(N)ccc21 ZINC000423359328 337714272 /nfs/dbraw/zinc/71/42/72/337714272.db2.gz UWWKJKQRJAVJSB-IFXJQAMLSA-N 0 3 310.441 4.396 20 0 DIADHN CCOc1ccccc1[C@@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000423359330 337714309 /nfs/dbraw/zinc/71/43/09/337714309.db2.gz UWWKJKQRJAVJSB-KUHUBIRLSA-N 0 3 310.441 4.396 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3cc(N)ccc32)cc1F ZINC000423361543 337714470 /nfs/dbraw/zinc/71/44/70/337714470.db2.gz XBDBVYPBKKKQAP-XIKOKIGWSA-N 0 3 314.404 4.145 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3cc(N)ccc32)cc1F ZINC000423361542 337714486 /nfs/dbraw/zinc/71/44/86/337714486.db2.gz XBDBVYPBKKKQAP-SGTLLEGYSA-N 0 3 314.404 4.145 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1cc(F)cc(F)c1 ZINC000423417390 337716631 /nfs/dbraw/zinc/71/66/31/337716631.db2.gz SGUDBKUFCLZPPW-LBPRGKRZSA-N 0 3 302.368 4.174 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423424045 337716887 /nfs/dbraw/zinc/71/68/87/337716887.db2.gz CIKAJGZXPCGBIP-RBUKOAKNSA-N 0 3 322.452 4.457 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423440337 337719332 /nfs/dbraw/zinc/71/93/32/337719332.db2.gz IOUWITWYNHZVME-NRFANRHFSA-N 0 3 322.452 4.221 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1nccc2ccccc21 ZINC000423453449 337720156 /nfs/dbraw/zinc/72/01/56/337720156.db2.gz XOTXAYJTGMLHJH-HNNXBMFYSA-N 0 3 317.436 4.444 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)CCCN3)c(C)c1 ZINC000423454926 337720303 /nfs/dbraw/zinc/72/03/03/337720303.db2.gz ZRCGVLWKPZSWDB-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)C[C@H](N)c1ccccc1 ZINC000423757596 337727457 /nfs/dbraw/zinc/72/74/57/337727457.db2.gz LPQLKCXJBZVZQM-FPOVZHCZSA-N 0 3 324.468 4.241 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000536829578 337733784 /nfs/dbraw/zinc/73/37/84/337733784.db2.gz ZERGYFWFXVPWHS-ZMPRRUGASA-N 0 3 315.482 4.453 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H](C)[C@@H]1COc2ccccc21 ZINC000536831601 337734512 /nfs/dbraw/zinc/73/45/12/337734512.db2.gz HMTBLRHUALCJDI-MPGHIAIKSA-N 0 3 311.425 4.301 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H](C)[C@@H]1COc2ccccc21 ZINC000536831573 337734606 /nfs/dbraw/zinc/73/46/06/337734606.db2.gz HMTBLRHUALCJDI-DAYGRLMNSA-N 0 3 311.425 4.301 20 0 DIADHN C[C@H](CNCc1ccccc1Br)CC(F)(F)F ZINC000396947152 337735790 /nfs/dbraw/zinc/73/57/90/337735790.db2.gz MULYTKONRGYMKV-VIFPVBQESA-N 0 3 310.157 4.127 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C1CCCCCC1)c1nnc2ccccn21 ZINC000527232689 337739439 /nfs/dbraw/zinc/73/94/39/337739439.db2.gz YTBLTZNYNBITHO-YJBOKZPZSA-N 0 3 314.477 4.375 20 0 DIADHN CCN[C@@H](c1ccccc1)c1ccc(Br)cc1F ZINC000035540509 337744091 /nfs/dbraw/zinc/74/40/91/337744091.db2.gz UQTYAEARKIOHOK-HNNXBMFYSA-N 0 3 308.194 4.287 20 0 DIADHN Cc1cccc(C[C@@H](NCc2ccn(C3CCCC3)n2)C2CC2)c1 ZINC000536848351 337744349 /nfs/dbraw/zinc/74/43/49/337744349.db2.gz VMZZUXLOTSXBOT-OAQYLSRUSA-N 0 3 323.484 4.418 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H](OC)c2ccc(F)cc2)o1 ZINC000536853488 337745732 /nfs/dbraw/zinc/74/57/32/337745732.db2.gz QWHZMHULEDGNOR-LXIYXOSZSA-N 0 3 305.393 4.408 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@H]1CCc2ccc(OC)cc21 ZINC000536861761 337746865 /nfs/dbraw/zinc/74/68/65/337746865.db2.gz DWCIYJVYCRNPIH-VQTJNVASSA-N 0 3 323.436 4.358 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](C)COCC(F)(F)F)cc1 ZINC000536861775 337746888 /nfs/dbraw/zinc/74/68/88/337746888.db2.gz HYIXCFQUBXRAGJ-GHMZBOCLSA-N 0 3 307.381 4.027 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)Cc2ccc(OC)c(OC)c2)o1 ZINC000536867378 337749630 /nfs/dbraw/zinc/74/96/30/337749630.db2.gz DCEIULUJELFZDX-UONOGXRCSA-N 0 3 317.429 4.141 20 0 DIADHN Cc1cc(CN2CCC(c3c[nH]c4ccc(F)cc43)CC2)ccn1 ZINC000527538255 337750256 /nfs/dbraw/zinc/75/02/56/337750256.db2.gz CLXHHUFICJOQQE-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc(-c2ccncc2)cs1 ZINC000536869531 337751846 /nfs/dbraw/zinc/75/18/46/337751846.db2.gz LOMKFOJRHITYID-NFAWXSAZSA-N 0 3 301.459 4.434 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCO2)c1cccc(OC)c1 ZINC000536871180 337752712 /nfs/dbraw/zinc/75/27/12/337752712.db2.gz PFMHTCMYELPEOB-DYVFJYSZSA-N 0 3 313.397 4.226 20 0 DIADHN FC(F)n1ccnc1CN[C@@H]1CCC[C@H](c2ccccc2)CC1 ZINC000527646955 337754232 /nfs/dbraw/zinc/75/42/32/337754232.db2.gz SOSLDKYXELRFRU-JKSUJKDBSA-N 0 3 319.399 4.484 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@H]1CCOc2ccc(F)cc21 ZINC000527657451 337756573 /nfs/dbraw/zinc/75/65/73/337756573.db2.gz MHLJOLQNEOEJLO-ZDUSSCGKSA-N 0 3 301.352 4.310 20 0 DIADHN Fc1ccc([C@@H]2CC[C@H](NCc3ccncc3Cl)C2)cc1 ZINC000527701834 337757583 /nfs/dbraw/zinc/75/75/83/337757583.db2.gz RYOLVVPHJDMSFU-CJNGLKHVSA-N 0 3 304.796 4.300 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc2cc[nH]c2c1 ZINC000527689355 337759829 /nfs/dbraw/zinc/75/98/29/337759829.db2.gz ZQLNZBHTRLTLDO-BFUOFWGJSA-N 0 3 312.388 4.173 20 0 DIADHN c1ccc([C@@H](NCc2ccc3cnccc3c2)C2CCC2)nc1 ZINC000527771724 337762599 /nfs/dbraw/zinc/76/25/99/337762599.db2.gz MDEGLDDCPKFMNF-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN CC[C@H](CCc1ccccc1)NCc1csc([C@H](C)OC)n1 ZINC000527869877 337766738 /nfs/dbraw/zinc/76/67/38/337766738.db2.gz NAEGOFBHPNYPEF-GOEBONIOSA-N 0 3 318.486 4.352 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(Cl)c(F)c2)C2CC2)cn1 ZINC000527840483 337767946 /nfs/dbraw/zinc/76/79/46/337767946.db2.gz GJRPTPRNOKQYGO-KRWDZBQOSA-N 0 3 320.795 4.124 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C(F)F)cc2)C2CC2)cn1 ZINC000527842309 337768133 /nfs/dbraw/zinc/76/81/33/337768133.db2.gz WYLWXHGPDDMSLO-KRWDZBQOSA-N 0 3 318.367 4.269 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)N(C)C)c1)c1cc(C)ccc1C ZINC000527847147 337768522 /nfs/dbraw/zinc/76/85/22/337768522.db2.gz LTGXXLYIBVYKQL-FQEVSTJZSA-N 0 3 324.468 4.246 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](C)c2cccc(C(C)C)c2)c1 ZINC000527850559 337768881 /nfs/dbraw/zinc/76/88/81/337768881.db2.gz IWGHOMKUQGJIHH-MRXNPFEDSA-N 0 3 324.468 4.411 20 0 DIADHN C[C@H](N[C@H](C(=O)Nc1ccccc1)c1ccccc1)[C@H]1CC12CC2 ZINC000527851864 337769020 /nfs/dbraw/zinc/76/90/20/337769020.db2.gz BLWDXKXJEFWKNK-IPELMVKDSA-N 0 3 320.436 4.145 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)N[C@@H](CO)CC(F)(F)F)cc1 ZINC000527877200 337771238 /nfs/dbraw/zinc/77/12/38/337771238.db2.gz XLDXLWJMYUCKFX-SLEUVZQESA-N 0 3 303.368 4.164 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000527901973 337772924 /nfs/dbraw/zinc/77/29/24/337772924.db2.gz DOVHXEJZKIHCKJ-DZGCQCFKSA-N 0 3 319.399 4.173 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2ccc(CC(N)=O)cc2)cc1 ZINC000527901133 337772947 /nfs/dbraw/zinc/77/29/47/337772947.db2.gz OBIMWWFYLYKSGP-CVEARBPZSA-N 0 3 324.468 4.079 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12)c1ccncc1Cl ZINC000527941875 337773424 /nfs/dbraw/zinc/77/34/24/337773424.db2.gz JABCISPWWLSEKU-ZLHYMXRASA-N 0 3 319.235 4.377 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21 ZINC000527941670 337773469 /nfs/dbraw/zinc/77/34/69/337773469.db2.gz HRHQHOSDTOCPOI-WUMIZFIASA-N 0 3 312.844 4.286 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12)c1ccc(Cl)cn1 ZINC000527948425 337773901 /nfs/dbraw/zinc/77/39/01/337773901.db2.gz WEXPWWYCQIREFN-ZLHYMXRASA-N 0 3 319.235 4.377 20 0 DIADHN COc1cccc([C@H](CN[C@@H]2CCCc3sccc32)OC)c1 ZINC000527920141 337774810 /nfs/dbraw/zinc/77/48/10/337774810.db2.gz LOOZUDFUZCNQNV-SJORKVTESA-N 0 3 317.454 4.111 20 0 DIADHN COc1cccc([C@H](CN[C@H](C)c2ccc(F)cc2F)OC)c1 ZINC000527918158 337774889 /nfs/dbraw/zinc/77/48/89/337774889.db2.gz DXRRIKIBWDLIKI-XIKOKIGWSA-N 0 3 321.367 4.012 20 0 DIADHN COc1cccc([C@H](CN[C@H](C)c2csc(C)c2)OC)c1 ZINC000527925431 337775670 /nfs/dbraw/zinc/77/56/70/337775670.db2.gz JWYDARVUGNWWHH-DYVFJYSZSA-N 0 3 305.443 4.103 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCCOC2)c2ccccc2)cc1 ZINC000527927135 337775857 /nfs/dbraw/zinc/77/58/57/337775857.db2.gz LOPFNZOSUYBMGU-JXFKEZNVSA-N 0 3 313.416 4.321 20 0 DIADHN Cc1ncccc1CN[C@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000527984268 337777667 /nfs/dbraw/zinc/77/76/67/337777667.db2.gz FLWSAXWKYIIOGS-CHWSQXEVSA-N 0 3 311.454 4.282 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cccnc1C ZINC000527988822 337778083 /nfs/dbraw/zinc/77/80/83/337778083.db2.gz VJJDMUAQFVKRII-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN Cn1cc2ccc(CN[C@@H]3CC(C)(C)c4ccccc43)cc2n1 ZINC000527960692 337780027 /nfs/dbraw/zinc/78/00/27/337780027.db2.gz DFUDPMLKXNLMAH-LJQANCHMSA-N 0 3 305.425 4.086 20 0 DIADHN Cn1cc2ccc(CN[C@@H]3CCc4ccc(Cl)cc43)cc2n1 ZINC000527967214 337781160 /nfs/dbraw/zinc/78/11/60/337781160.db2.gz XICJIMDGBNADFM-QGZVFWFLSA-N 0 3 311.816 4.004 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000527970173 337781333 /nfs/dbraw/zinc/78/13/33/337781333.db2.gz WKFRKGIOXAELFS-YSGRDPCXSA-N 0 3 310.441 4.289 20 0 DIADHN C[C@@H](NCC12CC(C1)CO2)c1ccccc1OCc1ccccc1 ZINC000527975835 337781808 /nfs/dbraw/zinc/78/18/08/337781808.db2.gz ABAZWZABQQGWQL-SRWJYRBRSA-N 0 3 323.436 4.095 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cccc(F)c2)C2CC2)cn1 ZINC000528043804 337785529 /nfs/dbraw/zinc/78/55/29/337785529.db2.gz QHKAQMXLFUOXFI-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN CC[C@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1cccs1 ZINC000528044482 337785794 /nfs/dbraw/zinc/78/57/94/337785794.db2.gz UACQKCRIGUEWTL-WMLDXEAASA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)n1cncc1CNC1CC(F)(c2cccc(Cl)c2)C1 ZINC000424186795 337802169 /nfs/dbraw/zinc/80/21/69/337802169.db2.gz HQRQWQZVLWITHS-UHFFFAOYSA-N 0 3 321.827 4.234 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@@H]2Oc2ccccc2C)nc1 ZINC000532985661 337804064 /nfs/dbraw/zinc/80/40/64/337804064.db2.gz WPXMQZWZCKESCK-QUCCMNQESA-N 0 3 310.441 4.178 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](NCc3ccc(Cl)cc3Cl)C[C@H]2C1 ZINC000424267741 337813714 /nfs/dbraw/zinc/81/37/14/337813714.db2.gz YMEDHEWDNVUMPM-CUFDPUGPSA-N 0 3 314.256 4.287 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](N[C@H](C)c3c(F)cccc3Cl)C[C@H]2C1 ZINC000424305260 337818323 /nfs/dbraw/zinc/81/83/23/337818323.db2.gz RXZCIHIMVKGPEY-DGTMBMJNSA-N 0 3 311.828 4.333 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)Cc2c(C)noc2C)c(Cl)c1 ZINC000424323402 337820532 /nfs/dbraw/zinc/82/05/32/337820532.db2.gz VYOJEKORTJVVBV-WDEREUQCSA-N 0 3 322.836 4.235 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2C[C@H](C)C[C@@H]2c2ccccc2)c1 ZINC000424423868 337832394 /nfs/dbraw/zinc/83/23/94/337832394.db2.gz GVNMTZMFCXIOPG-DNVCBOLYSA-N 0 3 323.440 4.069 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2C[C@H](C)C[C@H]2c2ccccc2)c1 ZINC000424423864 337832527 /nfs/dbraw/zinc/83/25/27/337832527.db2.gz GVNMTZMFCXIOPG-BEFAXECRSA-N 0 3 323.440 4.069 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C(=O)Nc2cc(C)cc(CN)c2)cc1 ZINC000424433162 337833075 /nfs/dbraw/zinc/83/30/75/337833075.db2.gz DBWAIFRJJZZHEA-IBGZPJMESA-N 0 3 323.440 4.131 20 0 DIADHN CC[C@H](NC(=O)Nc1cc(C)cc(CN)c1)c1ccc(C)cc1 ZINC000424443043 337833543 /nfs/dbraw/zinc/83/35/43/337833543.db2.gz ZFXOFWARKGSNNU-SFHVURJKSA-N 0 3 311.429 4.035 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C(=O)Nc2cc(C)cc(CN)c2)c1 ZINC000424448376 337833675 /nfs/dbraw/zinc/83/36/75/337833675.db2.gz XPLOWNMOGWBHOB-IBGZPJMESA-N 0 3 323.440 4.131 20 0 DIADHN Cc1ccc([C@@H](NC(=O)Nc2cc(C)cc(CN)c2)C2CC2)cc1 ZINC000424448425 337833765 /nfs/dbraw/zinc/83/37/65/337833765.db2.gz XTVFKWSYIZHXKP-LJQANCHMSA-N 0 3 323.440 4.035 20 0 DIADHN CCC1(CN[C@@H](C)c2nnn(-c3ccc(Cl)cc3)c2C)CC1 ZINC000536907972 337847266 /nfs/dbraw/zinc/84/72/66/337847266.db2.gz DBCBFYCJARSYKS-LBPRGKRZSA-N 0 3 318.852 4.070 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2Cn2cccn2)c(C)c1 ZINC000119274845 337855790 /nfs/dbraw/zinc/85/57/90/337855790.db2.gz NZIHSFAYUNMQTE-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1Cn1cccn1)CC2 ZINC000119276264 337855924 /nfs/dbraw/zinc/85/59/24/337855924.db2.gz NCVRCNXVLUCGDI-OAQYLSRUSA-N 0 3 317.436 4.017 20 0 DIADHN C[C@H](NCc1ccc(CN(C)C)cc1)c1ccccc1Cl ZINC000119546818 337862820 /nfs/dbraw/zinc/86/28/20/337862820.db2.gz VBRHOKYHYRVRPJ-AWEZNQCLSA-N 0 3 302.849 4.252 20 0 DIADHN Cc1cc([C@H](N[C@H]2CN(C)Cc3ccccc32)C2CC2)ccc1F ZINC000537012350 337864405 /nfs/dbraw/zinc/86/44/05/337864405.db2.gz MRNZDLOAUWSACJ-LEWJYISDSA-N 0 3 324.443 4.362 20 0 DIADHN CC(C)[C@@H](NCc1ccccc1F)c1ccc2c(c1)OCCO2 ZINC000057801267 337865485 /nfs/dbraw/zinc/86/54/85/337865485.db2.gz YEYQCPIXEQOYAI-LJQANCHMSA-N 0 3 315.388 4.084 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccccc1OC(F)F)CC2 ZINC000119787802 337865781 /nfs/dbraw/zinc/86/57/81/337865781.db2.gz QHQLVNPACXTQTR-INIZCTEOSA-N 0 3 303.352 4.374 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1ccc(C(F)(F)F)cc1 ZINC000120335184 337872625 /nfs/dbraw/zinc/87/26/25/337872625.db2.gz QSTNHJXMQXQMSX-LLVKDONJSA-N 0 3 323.314 4.285 20 0 DIADHN Cc1nn(C)c(C)c1C[C@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000120555864 337876516 /nfs/dbraw/zinc/87/65/16/337876516.db2.gz BXOPIQMZNPPBDZ-WDEREUQCSA-N 0 3 323.843 4.111 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2ccnc2)cc1)c1c(C)noc1C ZINC000090886632 337886695 /nfs/dbraw/zinc/88/66/95/337886695.db2.gz SYFGAQVOSBWIKQ-SCLBCKFNSA-N 0 3 324.428 4.279 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000090911287 337887156 /nfs/dbraw/zinc/88/71/56/337887156.db2.gz QKPLCETYYBAHKY-OCCSQVGLSA-N 0 3 311.385 4.092 20 0 DIADHN CC(C)[C@H](NCCC(=O)N1CCC[C@@H]1C)c1ccccc1Cl ZINC000537086536 337888023 /nfs/dbraw/zinc/88/80/23/337888023.db2.gz WTEQOSHULVYVLM-KSSFIOAISA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)[C@@H](NCCC(=O)N1CCC[C@@H]1C)c1ccccc1Cl ZINC000537086534 337888073 /nfs/dbraw/zinc/88/80/73/337888073.db2.gz WTEQOSHULVYVLM-KBXCAEBGSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1ccccc1OC(F)(F)F ZINC000537094322 337889397 /nfs/dbraw/zinc/88/93/97/337889397.db2.gz YOJUEAITFVVIPU-WDEREUQCSA-N 0 3 307.381 4.377 20 0 DIADHN CSCCCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091343636 337891941 /nfs/dbraw/zinc/89/19/41/337891941.db2.gz FFVCFXXNMIUMSP-NSHDSACASA-N 0 3 311.882 4.021 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](c3ccccc3)C3CC3)c(C)n2n1 ZINC000122211624 337909903 /nfs/dbraw/zinc/90/99/03/337909903.db2.gz LGPWISYPVFHOTL-VBKZILBWSA-N 0 3 320.440 4.148 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NCCc1nccs1 ZINC000122158568 337910421 /nfs/dbraw/zinc/91/04/21/337910421.db2.gz LDFHXWVFCOHRAF-INIZCTEOSA-N 0 3 316.470 4.313 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC(C3CCOCC3)CC2)s1 ZINC000093705124 337920735 /nfs/dbraw/zinc/92/07/35/337920735.db2.gz OILTYFXJWOMIMV-UHFFFAOYSA-N 0 3 322.518 4.079 20 0 DIADHN COC[C@@H](C)N[C@@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000537222012 337924982 /nfs/dbraw/zinc/92/49/82/337924982.db2.gz YDVQSMCFTWCQJO-YMTOWFKASA-N 0 3 321.770 4.434 20 0 DIADHN Cc1noc(C)c1CN[C@@H](COc1ccccc1F)C(C)(C)C ZINC000537292690 337928644 /nfs/dbraw/zinc/92/86/44/337928644.db2.gz ZTELSBZVRRFHJP-KRWDZBQOSA-N 0 3 320.408 4.014 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCC1([C@@H](O)C(C)C)CC1 ZINC000537303565 337929721 /nfs/dbraw/zinc/92/97/21/337929721.db2.gz KABXUTJSOCXGNE-DOMZBBRYSA-N 0 3 324.534 4.197 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000537305934 337929981 /nfs/dbraw/zinc/92/99/81/337929981.db2.gz AWOQEOGHLGTISD-RQUSPXKASA-N 0 3 317.379 4.318 20 0 DIADHN Cc1ccc(N[C@@H](C)c2ccc(OCCN(C)C)cc2)c(F)c1 ZINC000537444069 337936400 /nfs/dbraw/zinc/93/64/00/337936400.db2.gz GYLHOUWBZOCJGG-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN C[C@H](NCc1cccc2ccccc21)c1ccc(NC(N)=O)cc1 ZINC000123014929 337940427 /nfs/dbraw/zinc/94/04/27/337940427.db2.gz NJSLMUKDYRJTNT-AWEZNQCLSA-N 0 3 319.408 4.181 20 0 DIADHN Cc1ccc(NC(=O)CN[C@]2(C)CCCc3ccccc32)c(C)c1 ZINC000537605127 337947384 /nfs/dbraw/zinc/94/73/84/337947384.db2.gz KGONKRPAMCGKBH-OAQYLSRUSA-N 0 3 322.452 4.083 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCSc1ccc(Cl)cc1 ZINC000537883513 337964537 /nfs/dbraw/zinc/96/45/37/337964537.db2.gz UYHKSDPPOCIYJY-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN CC(C)CNCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000061801355 337965285 /nfs/dbraw/zinc/96/52/85/337965285.db2.gz LUDQBWDAAWRWRM-OAHLLOKOSA-N 0 3 300.471 4.161 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C(C)(C)CO)c(C)s1 ZINC000514758783 337970242 /nfs/dbraw/zinc/97/02/42/337970242.db2.gz PODTUFUBYRWQET-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](C)Sc2ccccc2)cc1O ZINC000514820499 337971141 /nfs/dbraw/zinc/97/11/41/337971141.db2.gz NRJQUBOBCIXABL-KGLIPLIRSA-N 0 3 317.454 4.232 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000314919775 337974651 /nfs/dbraw/zinc/97/46/51/337974651.db2.gz MHPXFYKMGPVXII-XIKOKIGWSA-N 0 3 315.438 4.321 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000314919773 337974668 /nfs/dbraw/zinc/97/46/68/337974668.db2.gz MHPXFYKMGPVXII-SGTLLEGYSA-N 0 3 315.438 4.321 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cnn(C(C)(C)C)c2C)c(C)c1 ZINC000515038340 337977387 /nfs/dbraw/zinc/97/73/87/337977387.db2.gz BQXCQSJFDMXHLB-AWEZNQCLSA-N 0 3 315.461 4.114 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1csc(C)n1)c1ccccc1 ZINC000315403347 337986341 /nfs/dbraw/zinc/98/63/41/337986341.db2.gz GPAVONHHRQDCDP-RDTXWAMCSA-N 0 3 317.502 4.185 20 0 DIADHN C(=C/c1ccccc1)\CN1CCN([C@@H]2C=CCCCCC2)CC1 ZINC000515374620 337987540 /nfs/dbraw/zinc/98/75/40/337987540.db2.gz RUNXYLBMGCYFNQ-VXUNPJCJSA-N 0 3 310.485 4.206 20 0 DIADHN Cc1cc([C@@H](C)Nc2cccc(OCCN(C)C)c2)c(C)s1 ZINC000315476151 337987764 /nfs/dbraw/zinc/98/77/64/337987764.db2.gz PIXZBTKWEWORLI-CQSZACIVSA-N 0 3 318.486 4.478 20 0 DIADHN C[C@H](NCCOC1CCCCC1)c1cccc(OC(F)F)c1 ZINC000315502363 337988271 /nfs/dbraw/zinc/98/82/71/337988271.db2.gz HGHWTWGRKUGCHH-ZDUSSCGKSA-N 0 3 313.388 4.288 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC000516104073 338003609 /nfs/dbraw/zinc/00/36/09/338003609.db2.gz UZJPCCOTHQOPKD-ZYSHUDEJSA-N 0 3 310.441 4.287 20 0 DIADHN Cc1cccc2c1CN(Cc1ccc(-c3cnn(C)c3)s1)CC2 ZINC000516074672 338003926 /nfs/dbraw/zinc/00/39/26/338003926.db2.gz WJTBFLAJWLADSH-UHFFFAOYSA-N 0 3 323.465 4.015 20 0 DIADHN Cc1nc2ccccn2c1CN(C)[C@@H]1CCCc2ccccc21 ZINC000516100194 338004515 /nfs/dbraw/zinc/00/45/15/338004515.db2.gz RSJHKJNBUSQZRW-GOSISDBHSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1cc(NC(=O)c2cccc(C3CC3)c2)ccc1CN(C)C ZINC000516193575 338006402 /nfs/dbraw/zinc/00/64/02/338006402.db2.gz NRVDANSUFXSRKW-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN CCC(C)(C)NC(=O)CN(Cc1ccccc1)C1CCCCC1 ZINC000516196482 338007002 /nfs/dbraw/zinc/00/70/02/338007002.db2.gz UXFITMVQOIEYRK-UHFFFAOYSA-N 0 3 316.489 4.126 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ncccc1C ZINC000516352941 338010491 /nfs/dbraw/zinc/01/04/91/338010491.db2.gz HFZJCIVBQBIWRX-RAXLEYEMSA-N 0 3 318.367 4.185 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1ncccc1C ZINC000516352940 338010550 /nfs/dbraw/zinc/01/05/50/338010550.db2.gz HFZJCIVBQBIWRX-JLHYYAGUSA-N 0 3 318.367 4.185 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@@H]1O)c1ccc(Cl)cc1Cl ZINC000085974590 338012733 /nfs/dbraw/zinc/01/27/33/338012733.db2.gz MIUYPAOHAFKVOR-PGUXBMHVSA-N 0 3 302.245 4.195 20 0 DIADHN CCc1noc(C)c1CN[C@@H](CSc1ccccc1)C(C)C ZINC000516497685 338013936 /nfs/dbraw/zinc/01/39/36/338013936.db2.gz WNZUEKMTAOBLLS-SFHVURJKSA-N 0 3 318.486 4.452 20 0 DIADHN CS[C@H]1CC[C@H]1N[C@H](C)c1ccc(Br)cc1F ZINC000425390468 338015493 /nfs/dbraw/zinc/01/54/93/338015493.db2.gz YNBMKLCRAFIWKD-WQHBLYJGSA-N 0 3 318.255 4.133 20 0 DIADHN CS[C@H]1CC[C@@H]1N[C@@H](C)c1ccc(Br)cc1F ZINC000425390465 338015508 /nfs/dbraw/zinc/01/55/08/338015508.db2.gz YNBMKLCRAFIWKD-HJIKLVIJSA-N 0 3 318.255 4.133 20 0 DIADHN OC[C@H](C1CC1)N(Cc1cc(Cl)cs1)Cc1ccccc1 ZINC000426150986 338021377 /nfs/dbraw/zinc/02/13/77/338021377.db2.gz VRGPNTGUOFOUMU-QGZVFWFLSA-N 0 3 321.873 4.175 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1cc(F)cc(F)c1 ZINC000426287981 338024623 /nfs/dbraw/zinc/02/46/23/338024623.db2.gz BVGOZORJLPCSPR-BLLLJJGKSA-N 0 3 320.358 4.033 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1ccc(F)cc1F ZINC000426289994 338024733 /nfs/dbraw/zinc/02/47/33/338024733.db2.gz NZRGAXKVWDLSGU-OCCSQVGLSA-N 0 3 320.358 4.033 20 0 DIADHN COCCC[C@@H](C)NCc1ccc(-c2ccccc2)cc1F ZINC000426295602 338025141 /nfs/dbraw/zinc/02/51/41/338025141.db2.gz ZCKLNAJDCZKHKK-OAHLLOKOSA-N 0 3 301.405 4.397 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2ccc(Cl)s2)s1 ZINC000426298098 338025239 /nfs/dbraw/zinc/02/52/39/338025239.db2.gz HYGJXFSJUOQTOO-SECBINFHSA-N 0 3 315.895 4.270 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)cc1 ZINC000426304036 338025760 /nfs/dbraw/zinc/02/57/60/338025760.db2.gz AWABPFXRHWWKQT-PMUMKWKESA-N 0 3 301.455 4.410 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)cc1 ZINC000426304034 338025772 /nfs/dbraw/zinc/02/57/72/338025772.db2.gz AWABPFXRHWWKQT-DEYYWGMASA-N 0 3 301.455 4.410 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1csc(Cl)c1 ZINC000426308946 338026064 /nfs/dbraw/zinc/02/60/64/338026064.db2.gz YAIQLMWDOHEQDF-DGCLKSJQSA-N 0 3 324.852 4.470 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)[C@@H]1OCCc2sccc21 ZINC000426309354 338026091 /nfs/dbraw/zinc/02/60/91/338026091.db2.gz ZYPAPADGTQRDNM-LSKLOWJMSA-N 0 3 317.429 4.167 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2cc3ccccc3o2)s1 ZINC000426310262 338026220 /nfs/dbraw/zinc/02/62/20/338026220.db2.gz MSUIBKUUAQQDFW-GFCCVEGCSA-N 0 3 315.442 4.301 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)[C@H]1OCCc3sccc31)CC2 ZINC000426310974 338026254 /nfs/dbraw/zinc/02/62/54/338026254.db2.gz PEPONDXIENGCFZ-OMWUSAIZSA-N 0 3 313.466 4.336 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)c(C)o1 ZINC000426314700 338026461 /nfs/dbraw/zinc/02/64/61/338026461.db2.gz QSQFSDLCZBLSEZ-PRXAMGSTSA-N 0 3 305.443 4.311 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)c(C)o1 ZINC000426314699 338026525 /nfs/dbraw/zinc/02/65/25/338026525.db2.gz QSQFSDLCZBLSEZ-NVGCLXPQSA-N 0 3 305.443 4.311 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1ccc(F)c(F)c1 ZINC000426321398 338026863 /nfs/dbraw/zinc/02/68/63/338026863.db2.gz CPRQXKUHMSMACR-OCCSQVGLSA-N 0 3 320.358 4.033 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1ccc(F)c(F)c1 ZINC000426321397 338026882 /nfs/dbraw/zinc/02/68/82/338026882.db2.gz CPRQXKUHMSMACR-JSGCOSHPSA-N 0 3 320.358 4.033 20 0 DIADHN CCc1nc(C)c(CN[C@@H](CCc2ccccc2)C(C)C)o1 ZINC000426331126 338027438 /nfs/dbraw/zinc/02/74/38/338027438.db2.gz QBCGNHYHJLLBEN-KRWDZBQOSA-N 0 3 300.446 4.292 20 0 DIADHN CCc1nc(C)c(CN[C@H](CCc2ccccc2)C(C)C)o1 ZINC000426331127 338027446 /nfs/dbraw/zinc/02/74/46/338027446.db2.gz QBCGNHYHJLLBEN-QGZVFWFLSA-N 0 3 300.446 4.292 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@@H](OCC)C23CCCCC3)o1 ZINC000426339135 338027848 /nfs/dbraw/zinc/02/78/48/338027848.db2.gz JGBUESTVXHMXSC-IAGOWNOFSA-N 0 3 320.477 4.153 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@@H]1OCCc2sccc21 ZINC000426343186 338027946 /nfs/dbraw/zinc/02/79/46/338027946.db2.gz CGJMSSBMXHTLDO-RVPKQNPDSA-N 0 3 323.408 4.379 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)[C@H]1OCCc2sccc21 ZINC000426343945 338027948 /nfs/dbraw/zinc/02/79/48/338027948.db2.gz GZPSWYNLYHCVDQ-LIXBPZJASA-N 0 3 317.429 4.167 20 0 DIADHN COCC(C)(C)CN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000426344226 338027963 /nfs/dbraw/zinc/02/79/63/338027963.db2.gz SRMGIARDCJKKFA-GFCCVEGCSA-N 0 3 322.449 4.272 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)[C@@H]1OCCc2sccc21 ZINC000426343946 338028027 /nfs/dbraw/zinc/02/80/27/338028027.db2.gz GZPSWYNLYHCVDQ-LSKLOWJMSA-N 0 3 317.429 4.167 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1cccc(F)c1 ZINC000426353282 338028572 /nfs/dbraw/zinc/02/85/72/338028572.db2.gz PDWSHNWCJIRMSL-NVGCLXPQSA-N 0 3 305.418 4.240 20 0 DIADHN COc1ccccc1/C=C\CN(C)Cc1cccc2[nH]ccc21 ZINC000426373255 338029381 /nfs/dbraw/zinc/02/93/81/338029381.db2.gz RRHQCZIMEJWJGI-TWGQIWQCSA-N 0 3 306.409 4.322 20 0 DIADHN CCc1ccc(CN(Cc2ccc(F)cc2)C2CCOCC2)o1 ZINC000426382333 338029706 /nfs/dbraw/zinc/02/97/06/338029706.db2.gz ZOPOJFBPBRWYFS-UHFFFAOYSA-N 0 3 317.404 4.162 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]nc2-c2ccc(Cl)cc2)CCS1 ZINC000426386635 338029957 /nfs/dbraw/zinc/02/99/57/338029957.db2.gz YIMDNSDVBZILMB-GFCCVEGCSA-N 0 3 321.877 4.058 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)C/C(C)=C/c1ccccc1 ZINC000426391554 338030025 /nfs/dbraw/zinc/03/00/25/338030025.db2.gz RCQOUFBOLJUNFH-DTQAZKPQSA-N 0 3 308.425 4.180 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)Cc1ccc2c(c1)ncn2C ZINC000426396417 338030460 /nfs/dbraw/zinc/03/04/60/338030460.db2.gz PCVJBMKENJGFFC-WJDWOHSUSA-N 0 3 305.425 4.109 20 0 DIADHN Clc1ccc(-c2cc(CN3CC[C@H]4CCC[C@H]43)on2)cc1 ZINC000426466516 338033010 /nfs/dbraw/zinc/03/30/10/338033010.db2.gz GNGDCUFAMYWDSY-CXAGYDPISA-N 0 3 302.805 4.369 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CC[C@H]4CCC[C@@H]43)n2)cc1 ZINC000426466337 338033089 /nfs/dbraw/zinc/03/30/89/338033089.db2.gz FMYFURULCOVXFU-WBVHZDCISA-N 0 3 311.429 4.234 20 0 DIADHN CCc1nc(C)c(CN2CC[C@](C)(CCc3ccccc3)C2)o1 ZINC000428200952 338042258 /nfs/dbraw/zinc/04/22/58/338042258.db2.gz ADPQDYHTISJTSR-FQEVSTJZSA-N 0 3 312.457 4.390 20 0 DIADHN Cc1ccc2nccc(NC[C@H]3CCO[C@@H]3c3ccccc3)c2c1 ZINC000428221417 338042990 /nfs/dbraw/zinc/04/29/90/338042990.db2.gz QUZONMLBZYZCEE-DYESRHJHSA-N 0 3 318.420 4.155 20 0 DIADHN CC(C)c1nc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)n[nH]1 ZINC000428227794 338043123 /nfs/dbraw/zinc/04/31/23/338043123.db2.gz KKCACEHAOIVEPT-CHWSQXEVSA-N 0 3 320.868 4.206 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C(C)C)[nH]1 ZINC000428227944 338043181 /nfs/dbraw/zinc/04/31/81/338043181.db2.gz YLTHBTZEDHWNTQ-IRXDYDNUSA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227944 338043182 /nfs/dbraw/zinc/04/31/82/338043182.db2.gz YLTHBTZEDHWNTQ-IRXDYDNUSA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227944 338043183 /nfs/dbraw/zinc/04/31/83/338043183.db2.gz YLTHBTZEDHWNTQ-IRXDYDNUSA-N 0 3 312.461 4.086 20 0 DIADHN CCCc1nc(C)c(CN2CCC(n3c(C)ccc3C)CC2)o1 ZINC000428232436 338043435 /nfs/dbraw/zinc/04/34/35/338043435.db2.gz ZHHYXHBKTQLTND-UHFFFAOYSA-N 0 3 315.461 4.191 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2n[nH]c(C(C)C)n2)cc1 ZINC000428236765 338043573 /nfs/dbraw/zinc/04/35/73/338043573.db2.gz GVWCBJBWIYLFJY-DLBZAZTESA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2[N@@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236765 338043574 /nfs/dbraw/zinc/04/35/74/338043574.db2.gz GVWCBJBWIYLFJY-DLBZAZTESA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2[N@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236765 338043575 /nfs/dbraw/zinc/04/35/75/338043575.db2.gz GVWCBJBWIYLFJY-DLBZAZTESA-N 0 3 312.461 4.005 20 0 DIADHN CCCc1nc(C)c(CN(CCc2ccc(F)cc2)C2CC2)o1 ZINC000428235732 338043601 /nfs/dbraw/zinc/04/36/01/338043601.db2.gz CEFBSFNTIDKSEC-UHFFFAOYSA-N 0 3 316.420 4.282 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1oc(C(C)C)nc1C ZINC000428243414 338044077 /nfs/dbraw/zinc/04/40/77/338044077.db2.gz ICDPHQBHJQBWGY-CQSZACIVSA-N 0 3 316.445 4.178 20 0 DIADHN CCCc1nc(C)c(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)o1 ZINC000428250281 338044283 /nfs/dbraw/zinc/04/42/83/338044283.db2.gz ZJMIJRFQFCLLDS-CJNGLKHVSA-N 0 3 316.420 4.453 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCC(O)(C(F)(F)F)CC1 ZINC000428266211 338044938 /nfs/dbraw/zinc/04/49/38/338044938.db2.gz CRRQBULWLPVXKK-AWEZNQCLSA-N 0 3 307.400 4.148 20 0 DIADHN Cc1cc(CN2CCC[C@H](CCCc3ccccc3)C2)ncn1 ZINC000428375606 338049475 /nfs/dbraw/zinc/04/94/75/338049475.db2.gz PDVQPNGTOSQSNV-IBGZPJMESA-N 0 3 309.457 4.020 20 0 DIADHN O[C@@H]1CN(Cc2ccc(-c3ccccc3Cl)s2)CC12CC2 ZINC000428385280 338049601 /nfs/dbraw/zinc/04/96/01/338049601.db2.gz YINKRVUKNVFSGB-MRXNPFEDSA-N 0 3 319.857 4.025 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NCc2n[nH]c(C)n2)C(C)C)cc1 ZINC000428387528 338049747 /nfs/dbraw/zinc/04/97/47/338049747.db2.gz GPKSDPYGHZSZDP-SCLBCKFNSA-N 0 3 300.450 4.114 20 0 DIADHN Cc1csc([C@H]2CCCN(Cc3oc(C(C)C)nc3C)C2)n1 ZINC000428395141 338049981 /nfs/dbraw/zinc/04/99/81/338049981.db2.gz AVGWTCLYFSZDIT-AWEZNQCLSA-N 0 3 319.474 4.251 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@@H](C)Cc2ccccc2)cs1 ZINC000428401233 338050124 /nfs/dbraw/zinc/05/01/24/338050124.db2.gz KYHCJIHBMDRTRM-KBXCAEBGSA-N 0 3 300.471 4.467 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3oc(C(C)C)nc3C)C2)cc1 ZINC000428399585 338050178 /nfs/dbraw/zinc/05/01/78/338050178.db2.gz MABUNYQPQUEUSJ-MRXNPFEDSA-N 0 3 314.429 4.105 20 0 DIADHN CCO[C@H]1C[C@H](NCc2oc(C(C)C)nc2C)C1(CC)CC ZINC000428422347 338050825 /nfs/dbraw/zinc/05/08/25/338050825.db2.gz LENYMBQGQVAWBE-HOTGVXAUSA-N 0 3 308.466 4.180 20 0 DIADHN CCCc1nc(C)c(CN(C)CCCCc2ccccc2)o1 ZINC000428457629 338053004 /nfs/dbraw/zinc/05/30/04/338053004.db2.gz KCJRWJIWKBISQO-UHFFFAOYSA-N 0 3 300.446 4.390 20 0 DIADHN CCc1nc(C)c(CN2CCC[C@H]2Cc2ccccc2Cl)o1 ZINC000428464505 338053569 /nfs/dbraw/zinc/05/35/69/338053569.db2.gz QAKOCLJBHYURCH-HNNXBMFYSA-N 0 3 318.848 4.406 20 0 DIADHN CC[C@@]1(CO)CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000428464592 338053597 /nfs/dbraw/zinc/05/35/97/338053597.db2.gz XTMDDHDUYABLMX-QGZVFWFLSA-N 0 3 307.821 4.071 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCC[C@H]32)c(-c2ccccc2Cl)n1 ZINC000428466093 338053817 /nfs/dbraw/zinc/05/38/17/338053817.db2.gz VYBMRUPHMQVWNO-CXAGYDPISA-N 0 3 315.848 4.115 20 0 DIADHN Fc1ccccc1-c1csc(CNC[C@H]2CC=CCC2)n1 ZINC000428471355 338054206 /nfs/dbraw/zinc/05/42/06/338054206.db2.gz XCOPZETYWZSYER-ZDUSSCGKSA-N 0 3 302.418 4.395 20 0 DIADHN Oc1cccc2c1C[C@@H](NCc1ccc(-c3ccc(F)cc3)o1)C2 ZINC000428548429 338056062 /nfs/dbraw/zinc/05/60/62/338056062.db2.gz NVFGBLIMMAZCGN-INIZCTEOSA-N 0 3 323.367 4.048 20 0 DIADHN CNCc1ccccc1NC(=O)c1ccc(SC(C)C)cc1 ZINC000428563233 338056382 /nfs/dbraw/zinc/05/63/82/338056382.db2.gz CEBCTXJPIOLBNI-UHFFFAOYSA-N 0 3 314.454 4.159 20 0 DIADHN Fc1ccccc1COc1cccc(CNC2CC(F)(F)C2)c1 ZINC000428635430 338057333 /nfs/dbraw/zinc/05/73/33/338057333.db2.gz GBCCJCVAPNIEGT-UHFFFAOYSA-N 0 3 321.342 4.292 20 0 DIADHN FC1(F)CC(NCC2=Cc3cc(Cl)cc(Cl)c3OC2)C1 ZINC000428648750 338057645 /nfs/dbraw/zinc/05/76/45/338057645.db2.gz DNPOGNMUXQYEQG-UHFFFAOYSA-N 0 3 320.166 4.157 20 0 DIADHN Cc1noc(C[C@H](NCc2cc(C)ccc2C)c2ccccc2)n1 ZINC000428650338 338057811 /nfs/dbraw/zinc/05/78/11/338057811.db2.gz OPQUXRZSYKKYIZ-IBGZPJMESA-N 0 3 321.424 4.068 20 0 DIADHN Fc1c(Cl)cccc1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000428681153 338058407 /nfs/dbraw/zinc/05/84/07/338058407.db2.gz FJPGAXCJIAABJA-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000428714094 338059261 /nfs/dbraw/zinc/05/92/61/338059261.db2.gz YGDIDARDUHBXHH-UHFFFAOYSA-N 0 3 301.352 4.096 20 0 DIADHN CCOC1(C)CCN(CCSc2ccc(Cl)cc2)CC1 ZINC000428725409 338059683 /nfs/dbraw/zinc/05/96/83/338059683.db2.gz YRJFFALTTDOJDL-UHFFFAOYSA-N 0 3 313.894 4.323 20 0 DIADHN CC(C)COc1ccccc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428752432 338060511 /nfs/dbraw/zinc/06/05/11/338060511.db2.gz UQCNSLDLVNUKAJ-SJORKVTESA-N 0 3 309.400 4.199 20 0 DIADHN CCOC1(C)CCN(CCOc2cccc3ccccc32)CC1 ZINC000428754652 338060541 /nfs/dbraw/zinc/06/05/41/338060541.db2.gz VHUGMWLIADQGLG-UHFFFAOYSA-N 0 3 313.441 4.110 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(C(F)(F)F)s2)C(C)(C)O1 ZINC000428877120 338064166 /nfs/dbraw/zinc/06/41/66/338064166.db2.gz SFOZOPYFYDGYKM-JTQLQIEISA-N 0 3 307.381 4.203 20 0 DIADHN CNC(=O)c1cccc(CN(C(C)C)[C@@H](C)c2ccccc2)c1 ZINC000428835138 338061634 /nfs/dbraw/zinc/06/16/34/338061634.db2.gz PEMMQJKCRWPSAZ-INIZCTEOSA-N 0 3 310.441 4.018 20 0 DIADHN COCc1ccc(CNCc2ccc(C(F)(F)F)s2)cc1 ZINC000428859799 338062982 /nfs/dbraw/zinc/06/29/82/338062982.db2.gz JHNHPQKUWWSFKS-UHFFFAOYSA-N 0 3 315.360 4.203 20 0 DIADHN Cc1csc([C@@H](C)CNCc2nc3ccc(F)cc3s2)n1 ZINC000428863246 338063142 /nfs/dbraw/zinc/06/31/42/338063142.db2.gz XHBOTWZIJMVKSI-VIFPVBQESA-N 0 3 321.446 4.094 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)(F)F)s1)N1CCCC[C@@H]1C ZINC000428866647 338063153 /nfs/dbraw/zinc/06/31/53/338063153.db2.gz YMFIGQJZKKQISB-RYUDHWBXSA-N 0 3 320.424 4.119 20 0 DIADHN CO[C@@H](CNCc1ccc(C(F)(F)F)s1)c1ccccc1 ZINC000428866500 338063335 /nfs/dbraw/zinc/06/33/35/338063335.db2.gz HOLGXFVMZKDQTD-ZDUSSCGKSA-N 0 3 315.360 4.244 20 0 DIADHN Fc1ccc2nc(CNCCCOC3CCCCC3)sc2c1 ZINC000428881775 338064309 /nfs/dbraw/zinc/06/43/09/338064309.db2.gz PYLRECAJWXBNIC-UHFFFAOYSA-N 0 3 322.449 4.264 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2nc3ccc(F)cc3s2)o1 ZINC000428879060 338064319 /nfs/dbraw/zinc/06/43/19/338064319.db2.gz FBCPFSQAOUOYQX-LLVKDONJSA-N 0 3 318.417 4.448 20 0 DIADHN COC1(CNCc2ccc(C(F)(F)F)s2)CCCCC1 ZINC000428883165 338064486 /nfs/dbraw/zinc/06/44/86/338064486.db2.gz UKUMCAORJIGTAO-UHFFFAOYSA-N 0 3 307.381 4.206 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(Cc3ccccn3)C2)c1 ZINC000429114355 338071149 /nfs/dbraw/zinc/07/11/49/338071149.db2.gz HAQLWLXRDSHLCL-MRXNPFEDSA-N 0 3 304.846 4.102 20 0 DIADHN CCC[C@@H](NC(=O)CN(C1CCC1)C1CCC1)c1ccccc1 ZINC000429125588 338071411 /nfs/dbraw/zinc/07/14/11/338071411.db2.gz ZRDZPUZWIKVFCW-LJQANCHMSA-N 0 3 314.473 4.051 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN(C1CCC1)C1CCC1 ZINC000429129587 338071438 /nfs/dbraw/zinc/07/14/38/338071438.db2.gz FKAVLXSKBPSTTM-UHFFFAOYSA-N 0 3 303.837 4.134 20 0 DIADHN Fc1ccccc1-c1cnc(CN(C2CCC2)C2CCC2)o1 ZINC000429140983 338071694 /nfs/dbraw/zinc/07/16/94/338071694.db2.gz IHMNOZRGYPADFO-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN Cc1ncccc1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429150190 338071820 /nfs/dbraw/zinc/07/18/20/338071820.db2.gz NJKGGAGDWSGWND-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000429161709 338072189 /nfs/dbraw/zinc/07/21/89/338072189.db2.gz QSSCBBDTSVWGBR-KSSFIOAISA-N 0 3 301.455 4.157 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)[C@H]1OCCc2sccc21 ZINC000429161714 338072249 /nfs/dbraw/zinc/07/22/49/338072249.db2.gz QSSCBBDTSVWGBR-RDTXWAMCSA-N 0 3 301.455 4.157 20 0 DIADHN COc1ccc(-c2nc(CN(C3CCC3)C3CCC3)co2)cc1 ZINC000429176601 338072561 /nfs/dbraw/zinc/07/25/61/338072561.db2.gz YBWHYWHMFYUWSQ-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCOC[C@@H](C2CCC2)C1 ZINC000429252879 338075007 /nfs/dbraw/zinc/07/50/07/338075007.db2.gz IQDWWLYLNDGMBM-KSSFIOAISA-N 0 3 305.849 4.076 20 0 DIADHN CN(Cc1ncccc1C(F)(F)F)CC1(c2ccccc2)CC1 ZINC000429284595 338076426 /nfs/dbraw/zinc/07/64/26/338076426.db2.gz MJVMQLDUKSLXPD-UHFFFAOYSA-N 0 3 320.358 4.264 20 0 DIADHN CSC[C@H]1CCCN(Cc2cnc(-c3ccccc3)s2)C1 ZINC000429289893 338076601 /nfs/dbraw/zinc/07/66/01/338076601.db2.gz FHLAJXMSXCRHJM-AWEZNQCLSA-N 0 3 318.511 4.385 20 0 DIADHN CC[C@@H](C)N(CCCC(C)C)Cc1nc2ccccc2c(=O)[nH]1 ZINC000429334185 338077896 /nfs/dbraw/zinc/07/78/96/338077896.db2.gz SXHKQPMGNXAKOW-OAHLLOKOSA-N 0 3 315.461 4.372 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000429428031 338080674 /nfs/dbraw/zinc/08/06/74/338080674.db2.gz OYGLSXJCPSNZNZ-LRDDRELGSA-N 0 3 322.811 4.382 20 0 DIADHN FC1(F)CC(N[C@H](Cc2cccnc2)c2cccc(Cl)c2)C1 ZINC000429465652 338081943 /nfs/dbraw/zinc/08/19/43/338081943.db2.gz DDZULCALVYWDEE-MRXNPFEDSA-N 0 3 322.786 4.406 20 0 DIADHN CCCCOc1ccc([C@H](C)NC2CC(F)(F)C2)cc1OC ZINC000429461225 338081995 /nfs/dbraw/zinc/08/19/95/338081995.db2.gz IBPDFAFGYWYFFS-LBPRGKRZSA-N 0 3 313.388 4.322 20 0 DIADHN COC1(C[C@@H](C)N[C@H](C)c2nc(C(C)(C)C)cs2)CCC1 ZINC000429526026 338082942 /nfs/dbraw/zinc/08/29/42/338082942.db2.gz HVMQVVUOWMSRQL-CHWSQXEVSA-N 0 3 310.507 4.439 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000429568066 338084494 /nfs/dbraw/zinc/08/44/94/338084494.db2.gz NNEJRXXSYUOZCE-PWSUYJOCSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000429580011 338085051 /nfs/dbraw/zinc/08/50/51/338085051.db2.gz YVIYPBNBNFWYBM-HUUCEWRRSA-N 0 3 302.462 4.043 20 0 DIADHN C[C@H]1CC[C@H](N(C)CC(=O)Nc2cccc(Cl)c2Cl)C1 ZINC000429582964 338085351 /nfs/dbraw/zinc/08/53/51/338085351.db2.gz HUMHUORFVVSLBP-QWRGUYRKSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CCSCCN1Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000429765340 338089266 /nfs/dbraw/zinc/08/92/66/338089266.db2.gz MPLMSINSZSWMQH-GFCCVEGCSA-N 0 3 322.861 4.322 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000429772777 338089529 /nfs/dbraw/zinc/08/95/29/338089529.db2.gz XZPZMNGELJXDFT-IAQYHMDHSA-N 0 3 306.356 4.288 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000429781119 338089741 /nfs/dbraw/zinc/08/97/41/338089741.db2.gz CVWFSHQDFUVMGN-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN C[C@H](CNCc1cc(Cl)ccc1OCC1CC1)C(F)(F)F ZINC000430012156 338093343 /nfs/dbraw/zinc/09/33/43/338093343.db2.gz IUJCYIZSJBZWCT-SNVBAGLBSA-N 0 3 321.770 4.417 20 0 DIADHN COc1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)c2ccccc12 ZINC000430088158 338095486 /nfs/dbraw/zinc/09/54/86/338095486.db2.gz VSCKGFFLAMVPPZ-MSOLQXFVSA-N 0 3 317.379 4.326 20 0 DIADHN CCOCc1cc(CN2CC3(CCC3)[C@H]2C(C)C)ccc1OC ZINC000430091577 338095840 /nfs/dbraw/zinc/09/58/40/338095840.db2.gz XYUCXTGIISBRDN-LJQANCHMSA-N 0 3 317.473 4.242 20 0 DIADHN COc1ccc([C@H](C)CN2CC(C)(C)[C@@H]2c2cccnc2)cc1 ZINC000430095483 338096060 /nfs/dbraw/zinc/09/60/60/338096060.db2.gz BKWMXBZKSUSAPE-BEFAXECRSA-N 0 3 310.441 4.277 20 0 DIADHN CC(C)[C@H]1N(Cc2c[nH]nc2-c2ccccc2F)CC12CCC2 ZINC000430103169 338096756 /nfs/dbraw/zinc/09/67/56/338096756.db2.gz GNHGDZJFZRTFPS-GOSISDBHSA-N 0 3 313.420 4.226 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc3c(c2)COC(C)(C)O3)CC12CCC2 ZINC000430110811 338097302 /nfs/dbraw/zinc/09/73/02/338097302.db2.gz KRAFFKXYYDFSTH-SFHVURJKSA-N 0 3 315.457 4.342 20 0 DIADHN COCC(C)(C)N(C)Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000430138196 338098772 /nfs/dbraw/zinc/09/87/72/338098772.db2.gz HLYRBXXFFQIEGB-UHFFFAOYSA-N 0 3 309.759 4.216 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)cn1 ZINC000430185226 338100094 /nfs/dbraw/zinc/10/00/94/338100094.db2.gz GQMIFKCJFDUEEA-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN Cn1ccc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)c1 ZINC000430191579 338100364 /nfs/dbraw/zinc/10/03/64/338100364.db2.gz GVDSXLCLHFQEET-INIZCTEOSA-N 0 3 306.862 4.045 20 0 DIADHN COc1cc(CN2CCC[C@@H](CSC)C2)ccc1OC(C)C ZINC000430191341 338100450 /nfs/dbraw/zinc/10/04/50/338100450.db2.gz JJSRRVGAOQQKOH-MRXNPFEDSA-N 0 3 323.502 4.057 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000430192604 338100642 /nfs/dbraw/zinc/10/06/42/338100642.db2.gz PATIQXLHCPEXJY-GFCCVEGCSA-N 0 3 319.392 4.002 20 0 DIADHN CCc1nc(C)c(CNC2CCC(c3ccc(O)cc3)CC2)o1 ZINC000430202891 338101359 /nfs/dbraw/zinc/10/13/59/338101359.db2.gz YVHJYHITPZVMGW-UHFFFAOYSA-N 0 3 314.429 4.067 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1cnc(-c2ccccc2OC)nc1 ZINC000430237340 338102642 /nfs/dbraw/zinc/10/26/42/338102642.db2.gz IQCHQLQADGRPTP-OAHLLOKOSA-N 0 3 313.445 4.020 20 0 DIADHN Cc1ccc(CN(CCc2ccccn2)Cc2cccnc2)cc1 ZINC000430335278 338105198 /nfs/dbraw/zinc/10/51/98/338105198.db2.gz WMMHGOLCIVFULI-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN CC(C(=O)N1CCCSc2ccc(Cl)cc21)C(F)(F)F ZINC000430458492 338107486 /nfs/dbraw/zinc/10/74/86/338107486.db2.gz HSQBUACVEWZKRK-MRVPVSSYSA-N 0 3 323.767 4.367 20 0 DIADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000430725675 338112415 /nfs/dbraw/zinc/11/24/15/338112415.db2.gz RNSPNYNTWSKDPJ-YUMQZZPRSA-N 0 3 314.323 4.248 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC(F)(F)C2)c2ccccn2)cc1 ZINC000430748083 338113404 /nfs/dbraw/zinc/11/34/04/338113404.db2.gz BOJNMLJOMAWGFP-DYVFJYSZSA-N 0 3 320.358 4.335 20 0 DIADHN CC[C@@H]1CC[C@H](C)C[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000430750519 338113469 /nfs/dbraw/zinc/11/34/69/338113469.db2.gz OMSYVEPFWSRHRW-VBQJREDUSA-N 0 3 308.466 4.294 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCSCc1ccccc1 ZINC000430751234 338113654 /nfs/dbraw/zinc/11/36/54/338113654.db2.gz UCJSKBHMRAVAHI-HNNXBMFYSA-N 0 3 301.455 4.318 20 0 DIADHN CC(C)N(CCSCc1ccccc1)CCc1nccs1 ZINC000430751176 338113677 /nfs/dbraw/zinc/11/36/77/338113677.db2.gz SWVGBYHYELGYBQ-UHFFFAOYSA-N 0 3 320.527 4.329 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000430754123 338113884 /nfs/dbraw/zinc/11/38/84/338113884.db2.gz MONKQRNQEFVMNA-GHMZBOCLSA-N 0 3 300.418 4.108 20 0 DIADHN COC[C@@H](NCCSCc1ccccc1)c1ccc(F)cc1 ZINC000430757444 338113985 /nfs/dbraw/zinc/11/39/85/338113985.db2.gz GGDWLJKEOMMCHT-GOSISDBHSA-N 0 3 319.445 4.036 20 0 DIADHN CCCCn1cc(CNCCSCc2ccccc2)c(C)n1 ZINC000430777372 338114568 /nfs/dbraw/zinc/11/45/68/338114568.db2.gz MTGQTCKVAVUNNB-UHFFFAOYSA-N 0 3 317.502 4.015 20 0 DIADHN CN(CCSCc1ccccc1)Cc1cc(C(C)(C)C)[nH]n1 ZINC000430776593 338114595 /nfs/dbraw/zinc/11/45/95/338114595.db2.gz KMEDFURNGBBROQ-UHFFFAOYSA-N 0 3 317.502 4.072 20 0 DIADHN CC(C)OCCCN[C@H](C)c1cc(Br)ccc1F ZINC000149318425 338114836 /nfs/dbraw/zinc/11/48/36/338114836.db2.gz NVGUZXIJVDPMOW-LLVKDONJSA-N 0 3 318.230 4.054 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCS2)c2ccccc2)cc1 ZINC000149490549 338115091 /nfs/dbraw/zinc/11/50/91/338115091.db2.gz PBALTEFXXPMZDI-ROUUACIJSA-N 0 3 301.430 4.400 20 0 DIADHN CCn1ccnc1[C@H]1CCCCN1C[C@H](C)Cc1ccccc1 ZINC000430862967 338117332 /nfs/dbraw/zinc/11/73/32/338117332.db2.gz GAYXWUPEPNVSAH-IEBWSBKVSA-N 0 3 311.473 4.309 20 0 DIADHN CC1=C[C@H](C)CN(Cc2cccc(OCc3ccccn3)c2)C1 ZINC000430865357 338117474 /nfs/dbraw/zinc/11/74/74/338117474.db2.gz NQUFBLILJVCRAS-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CCNCc2ccccc21 ZINC000430959701 338119418 /nfs/dbraw/zinc/11/94/18/338119418.db2.gz DCXFXZCNJOLZLU-OAHLLOKOSA-N 0 3 319.408 4.012 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CCNCc2ccccc21 ZINC000430959700 338119500 /nfs/dbraw/zinc/11/95/00/338119500.db2.gz DCXFXZCNJOLZLU-HNNXBMFYSA-N 0 3 319.408 4.012 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(C(C)(C)C)s1)c1ccco1 ZINC000149700148 338119586 /nfs/dbraw/zinc/11/95/86/338119586.db2.gz OVIDGKKQLPBWDP-AWEZNQCLSA-N 0 3 306.475 4.031 20 0 DIADHN C[C@H](N[C@H]1CC2CCC1CC2)c1nc(-c2cccs2)no1 ZINC000431119140 338123134 /nfs/dbraw/zinc/12/31/34/338123134.db2.gz IFDZQMCODYXWSQ-WTIISPKJSA-N 0 3 303.431 4.027 20 0 DIADHN CC(C(=O)Nc1c2c(ccc1Cl)CC(C)(C)O2)C(F)(F)F ZINC000431236984 338126418 /nfs/dbraw/zinc/12/64/18/338126418.db2.gz OUVPKYFDXOUMJG-SSDOTTSWSA-N 0 3 321.726 4.190 20 0 DIADHN CCC[C@](C)(O)CN[C@@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000431326138 338127967 /nfs/dbraw/zinc/12/79/67/338127967.db2.gz AKZSQHCGCZSYED-WBVHZDCISA-N 0 3 311.853 4.003 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NCC[C@@H](O)C(C)C ZINC000431330413 338128163 /nfs/dbraw/zinc/12/81/63/338128163.db2.gz AZFDYSUMPBSIQM-ZWKOTPCHSA-N 0 3 305.462 4.020 20 0 DIADHN CN(C)[C@@H](CC(=O)N1CCC[C@H]1c1ccccc1)c1ccccc1 ZINC000431521606 338133078 /nfs/dbraw/zinc/13/30/78/338133078.db2.gz GGSXYGNQTLHKQN-PMACEKPBSA-N 0 3 322.452 4.043 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1[C@H](C)[C@H]1c1ccccc1 ZINC000432120300 338146209 /nfs/dbraw/zinc/14/62/09/338146209.db2.gz OSDYRCPEVPXFHJ-UIAACRFSSA-N 0 3 322.452 4.045 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1[C@@H](C)[C@@H]1c1ccccc1 ZINC000432120298 338146249 /nfs/dbraw/zinc/14/62/49/338146249.db2.gz OSDYRCPEVPXFHJ-CWFSZBLJSA-N 0 3 322.452 4.045 20 0 DIADHN Clc1scc(CN[C@H]2CCN(C3CCCC3)C2)c1Cl ZINC000432241926 338150189 /nfs/dbraw/zinc/15/01/89/338150189.db2.gz UMQLQFHENFSGBN-NSHDSACASA-N 0 3 319.301 4.161 20 0 DIADHN Cc1nccn1CCCCNCc1csc(Cl)c1Cl ZINC000432243192 338150217 /nfs/dbraw/zinc/15/02/17/338150217.db2.gz SVOUFXXUTLABIJ-UHFFFAOYSA-N 0 3 318.273 4.130 20 0 DIADHN C[C@H](N[C@H]1CCOC[C@@H]1C)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000432248016 338150519 /nfs/dbraw/zinc/15/05/19/338150519.db2.gz VBJMIPMOENQSBE-HUBLWGQQSA-N 0 3 320.820 4.071 20 0 DIADHN C[C@@]1(CNCc2csc(Cl)c2Cl)CCO[C@H]1C1CC1 ZINC000432248476 338150617 /nfs/dbraw/zinc/15/06/17/338150617.db2.gz WRBNXURPCYQZSI-JSGCOSHPSA-N 0 3 320.285 4.350 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)NCc1csc(Cl)c1Cl ZINC000432247564 338150627 /nfs/dbraw/zinc/15/06/27/338150627.db2.gz RVGJUJBCSNOAEG-VIFPVBQESA-N 0 3 316.253 4.481 20 0 DIADHN CC(C)C[C@@H](CCO)CNCc1ccc(F)c(Cl)c1Cl ZINC000432250893 338150953 /nfs/dbraw/zinc/15/09/53/338150953.db2.gz ODWFPZYZVIEHDU-LLVKDONJSA-N 0 3 322.251 4.267 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1ccc(F)c(Cl)c1Cl ZINC000432251386 338151051 /nfs/dbraw/zinc/15/10/51/338151051.db2.gz SIEQDDQGFLSFHL-MWLCHTKSSA-N 0 3 308.224 4.019 20 0 DIADHN O[C@H]1CCCC[C@@H]1CCCNCc1csc(Cl)c1Cl ZINC000432254778 338151494 /nfs/dbraw/zinc/15/14/94/338151494.db2.gz PRPXEKOFMTYVCO-PWSUYJOCSA-N 0 3 322.301 4.476 20 0 DIADHN Clc1scc(CN[C@@H]2CCC[C@@H]3OCC[C@H]32)c1Cl ZINC000432257097 338151547 /nfs/dbraw/zinc/15/15/47/338151547.db2.gz VKGRORFQBYIEIZ-AXFHLTTASA-N 0 3 306.258 4.102 20 0 DIADHN C[C@H](CCO)C1(CNCc2csc(Cl)c2Cl)CCC1 ZINC000432259394 338151754 /nfs/dbraw/zinc/15/17/54/338151754.db2.gz ONRLZBGPMJXYRU-SNVBAGLBSA-N 0 3 322.301 4.333 20 0 DIADHN OC1CC(CCN[C@@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000432409266 338155303 /nfs/dbraw/zinc/15/53/03/338155303.db2.gz YBODNDAUZCTUJP-NWGYLPEXSA-N 0 3 320.285 4.183 20 0 DIADHN C[C@H](NC[C@@H]1CCCN(c2ccccc2)C1)c1ccccc1F ZINC000432507786 338157901 /nfs/dbraw/zinc/15/79/01/338157901.db2.gz VPHSNFGMWZHMEF-IRXDYDNUSA-N 0 3 312.432 4.393 20 0 DIADHN Fc1ccc([C@@H]2CCCCN2CCC2CCOCC2)c(F)c1 ZINC000432775901 338167238 /nfs/dbraw/zinc/16/72/38/338167238.db2.gz XSCBZDDKRHNYFB-SFHVURJKSA-N 0 3 309.400 4.309 20 0 DIADHN COC(=O)c1cc([C@H](C)N(C)CCCc2ccccc2)oc1C ZINC000432780198 338167522 /nfs/dbraw/zinc/16/75/22/338167522.db2.gz GIXRZCYKWLNVPM-AWEZNQCLSA-N 0 3 315.413 4.000 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@@H]2C)cc(Cl)c1OC ZINC000432790211 338167925 /nfs/dbraw/zinc/16/79/25/338167925.db2.gz VYDAMEKVAQPUGW-LBPRGKRZSA-N 0 3 309.837 4.122 20 0 DIADHN COc1ccc2nc(CN3CC4(CCC4)C[C@H]3C)sc2c1 ZINC000432816037 338168759 /nfs/dbraw/zinc/16/87/59/338168759.db2.gz MKQRNIXZZLLVJI-GFCCVEGCSA-N 0 3 302.443 4.069 20 0 DIADHN CCc1ccc([C@H](NCc2n[nH]c(C(C)(C)C)n2)C(C)C)cc1 ZINC000432827419 338169473 /nfs/dbraw/zinc/16/94/73/338169473.db2.gz CHFMHECHHWTSQW-QGZVFWFLSA-N 0 3 314.477 4.152 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C[C@@H](O)C(C)C ZINC000432837309 338170250 /nfs/dbraw/zinc/17/02/50/338170250.db2.gz ZPOPTRGCOYCJNE-GOEBONIOSA-N 0 3 318.486 4.128 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C[C@H](O)C(C)C ZINC000432837312 338170317 /nfs/dbraw/zinc/17/03/17/338170317.db2.gz ZPOPTRGCOYCJNE-ZBFHGGJFSA-N 0 3 318.486 4.128 20 0 DIADHN CCn1nccc1C1CCN(c2cc(C)nc3ccccc32)CC1 ZINC000432914233 338172745 /nfs/dbraw/zinc/17/27/45/338172745.db2.gz CWLPAVOCWRVCHA-UHFFFAOYSA-N 0 3 320.440 4.144 20 0 DIADHN CC(C)[C@@H](O)CN(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000432940763 338174615 /nfs/dbraw/zinc/17/46/15/338174615.db2.gz SQGHRZWFJJVDMW-RDJZCZTQSA-N 0 3 303.471 4.328 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@H](c2ccccc2)c2ccccc2C)C1 ZINC000433008292 338176063 /nfs/dbraw/zinc/17/60/63/338176063.db2.gz CTYLHQSNVFDPJI-NLWGTHIKSA-N 0 3 323.436 4.016 20 0 DIADHN CN(CCSCc1ncc(Cl)s1)Cc1ccccc1 ZINC000433014820 338176278 /nfs/dbraw/zinc/17/62/78/338176278.db2.gz HDCGFKVBDKEZGJ-UHFFFAOYSA-N 0 3 312.891 4.162 20 0 DIADHN COc1ccc(CN2CC3(CCC3)C[C@@H]2C)c(Cl)c1OC ZINC000433022964 338176701 /nfs/dbraw/zinc/17/67/01/338176701.db2.gz MNZBMSBAHXOTPV-LBPRGKRZSA-N 0 3 309.837 4.122 20 0 DIADHN CCOc1ccccc1CN1CCC(c2ncc(C)s2)CC1 ZINC000433030671 338177368 /nfs/dbraw/zinc/17/73/68/338177368.db2.gz KXLONNHXKIXHRV-UHFFFAOYSA-N 0 3 316.470 4.230 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@H](c4ccccc4)C3)cn21 ZINC000433043394 338178034 /nfs/dbraw/zinc/17/80/34/338178034.db2.gz IYJYZBFQDDUGNI-SFHVURJKSA-N 0 3 305.425 4.022 20 0 DIADHN Fc1cccc(-c2nc(CN[C@H]3CC4CCC3CC4)co2)c1 ZINC000433053006 338178726 /nfs/dbraw/zinc/17/87/26/338178726.db2.gz OKZDDMIGOSIGKX-FVKWTLKZSA-N 0 3 300.377 4.149 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H](C)Oc2ccc(Cl)cc2)cn1 ZINC000151574051 338178852 /nfs/dbraw/zinc/17/88/52/338178852.db2.gz RNMGJKFGRKYYQD-KBPBESRZSA-N 0 3 321.852 4.236 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CC4CCC3CC4)co2)cc1F ZINC000433056963 338179042 /nfs/dbraw/zinc/17/90/42/338179042.db2.gz FOSMTYRYXWQYPJ-MFGLSYLJSA-N 0 3 318.367 4.288 20 0 DIADHN C[C@H](NCC1(CO)CC2(CCC2)C1)c1ccc(F)c(Cl)c1 ZINC000433058598 338179139 /nfs/dbraw/zinc/17/91/39/338179139.db2.gz QHURBGMTFATTIQ-LBPRGKRZSA-N 0 3 311.828 4.073 20 0 DIADHN C[C@@H](NCC1(CO)CC2(CCC2)C1)c1ccc(F)c(Cl)c1 ZINC000433058597 338179182 /nfs/dbraw/zinc/17/91/82/338179182.db2.gz QHURBGMTFATTIQ-GFCCVEGCSA-N 0 3 311.828 4.073 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC[C@@H](c2ccccc2)C1 ZINC000433066194 338179834 /nfs/dbraw/zinc/17/98/34/338179834.db2.gz GPABXADWQAESJV-GOSISDBHSA-N 0 3 317.436 4.318 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCCC[C@H](C)O ZINC000433068140 338179976 /nfs/dbraw/zinc/17/99/76/338179976.db2.gz NYPCZFZLAPINOA-BBRMVZONSA-N 0 3 313.869 4.186 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCCC[C@@H](C)O ZINC000433068143 338180006 /nfs/dbraw/zinc/18/00/06/338180006.db2.gz NYPCZFZLAPINOA-CZUORRHYSA-N 0 3 313.869 4.186 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCC[C@@H](c3ccccc3)C2)o1 ZINC000433075964 338180445 /nfs/dbraw/zinc/18/04/45/338180445.db2.gz ISWOBWQWWJGUDJ-GDBMZVCRSA-N 0 3 313.397 4.007 20 0 DIADHN Cc1ccc2cc([C@H](C)NCC3(CO)CC4(CCC4)C3)oc2c1 ZINC000433081456 338180731 /nfs/dbraw/zinc/18/07/31/338180731.db2.gz GJQLLYLPSSJODE-HNNXBMFYSA-N 0 3 313.441 4.335 20 0 DIADHN CCn1cccc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)c1=O ZINC000433094654 338181395 /nfs/dbraw/zinc/18/13/95/338181395.db2.gz VPYXTOKVHDRFLL-QGZVFWFLSA-N 0 3 318.848 4.009 20 0 DIADHN CCn1cccc(CN[C@H]2CCCc3ccc(C(C)C)cc32)c1=O ZINC000433098778 338181748 /nfs/dbraw/zinc/18/17/48/338181748.db2.gz XKHFVBQKVIMIDY-FQEVSTJZSA-N 0 3 324.468 4.159 20 0 DIADHN CSC1(CN[C@H](c2ccc(F)cc2)c2cccnc2)CCC1 ZINC000433098760 338181770 /nfs/dbraw/zinc/18/17/70/338181770.db2.gz XHSCQYWJISTZSP-QGZVFWFLSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCCCN2C2CCCC2)c(C)c1 ZINC000433114217 338182198 /nfs/dbraw/zinc/18/21/98/338182198.db2.gz GMASTJKSQOPBAD-GOSISDBHSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1cnc(C2CCN(CCCOc3ccccc3)CC2)s1 ZINC000433143506 338182952 /nfs/dbraw/zinc/18/29/52/338182952.db2.gz HQCYVZIHOMKNLH-UHFFFAOYSA-N 0 3 316.470 4.100 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@H]3N(C)C)cc1C ZINC000433203776 338185682 /nfs/dbraw/zinc/18/56/82/338185682.db2.gz CZJFVZQZSSDPMP-LJQANCHMSA-N 0 3 310.441 4.301 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3cccc4[nH]ccc43)cccc21 ZINC000433207509 338185955 /nfs/dbraw/zinc/18/59/55/338185955.db2.gz KQSNTYGBXONKGR-LJQANCHMSA-N 0 3 321.424 4.165 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3sccc3Cl)cccc21 ZINC000433208983 338186046 /nfs/dbraw/zinc/18/60/46/338186046.db2.gz VZYFEDXFZGHWMA-AWEZNQCLSA-N 0 3 322.861 4.399 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N2CC3(CCC3)C[C@H]2C)c1 ZINC000433256165 338188066 /nfs/dbraw/zinc/18/80/66/338188066.db2.gz JWXOLYQHBHPJBK-ZIAGYGMSSA-N 0 3 318.486 4.000 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)c2ccccc2CN(C)C)c1 ZINC000433320781 338192145 /nfs/dbraw/zinc/19/21/45/338192145.db2.gz VRVCKNSIEWQRBA-UHFFFAOYSA-N 0 3 310.441 4.148 20 0 DIADHN c1cc(-c2ccc(CNC3(c4ccccc4)CCCC3)o2)n[nH]1 ZINC000433333936 338192983 /nfs/dbraw/zinc/19/29/83/338192983.db2.gz JCPQKDFUCGFYSW-UHFFFAOYSA-N 0 3 307.397 4.229 20 0 DIADHN C[C@@H](NCc1ncc(C(F)(F)F)s1)[C@H](C)c1ccccc1 ZINC000433335934 338193066 /nfs/dbraw/zinc/19/30/66/338193066.db2.gz SAJFEKKLKGJGQE-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cccc(C(C)(C)NC(=O)C[C@@H](c2ccccc2)N(C)C)c1 ZINC000433339772 338193228 /nfs/dbraw/zinc/19/32/28/338193228.db2.gz BWLIOYBNLDHNCQ-IBGZPJMESA-N 0 3 324.468 4.039 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(-c3cc[nH]n3)o2)C2CC2)cc1 ZINC000433339122 338193324 /nfs/dbraw/zinc/19/33/24/338193324.db2.gz JWQFIJABUHZANO-LJQANCHMSA-N 0 3 307.397 4.219 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)s1 ZINC000433341635 338193447 /nfs/dbraw/zinc/19/34/47/338193447.db2.gz SWLXHQHPRYVIJO-GARJFASQSA-N 0 3 304.381 4.220 20 0 DIADHN CCC1(C(=O)Nc2cccc(CN3CCCCC3)c2)CCC1 ZINC000433855708 338203559 /nfs/dbraw/zinc/20/35/59/338203559.db2.gz UZNHUTHEVFADCM-UHFFFAOYSA-N 0 3 300.446 4.191 20 0 DIADHN CC(C)n1ncnc1CN[C@@H](CC1CCCCC1)C(C)(C)C ZINC000434471683 338216734 /nfs/dbraw/zinc/21/67/34/338216734.db2.gz UWDYBWFROFSNNQ-INIZCTEOSA-N 0 3 306.498 4.334 20 0 DIADHN CN(CCc1ccccn1)CCc1cccc(Cl)c1Cl ZINC000434473448 338216923 /nfs/dbraw/zinc/21/69/23/338216923.db2.gz GDRXVIZIFWWCKH-UHFFFAOYSA-N 0 3 309.240 4.105 20 0 DIADHN Cc1sc(C2(N)CCCC2)nc1-c1c(F)cc(F)cc1F ZINC000434664634 338222641 /nfs/dbraw/zinc/22/26/41/338222641.db2.gz VXOAYPPKWNHAOR-UHFFFAOYSA-N 0 3 312.360 4.264 20 0 DIADHN COc1cc(CN2CCSC(C)(C)CC2)ccc1SC ZINC000434694510 338223782 /nfs/dbraw/zinc/22/37/82/338223782.db2.gz LWHXDPTXOCTOAN-UHFFFAOYSA-N 0 3 311.516 4.135 20 0 DIADHN CCCNc1ccc(CNCc2cnc(C(C)C)s2)cc1 ZINC000434762801 338225116 /nfs/dbraw/zinc/22/51/16/338225116.db2.gz IGNSUVOPMSIOIO-UHFFFAOYSA-N 0 3 303.475 4.378 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000434793594 338225885 /nfs/dbraw/zinc/22/58/85/338225885.db2.gz KYRNKIJZXJLAMY-YRNRMSPPSA-N 0 3 311.425 4.094 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(Cl)cc2C)CC1 ZINC000434797204 338225915 /nfs/dbraw/zinc/22/59/15/338225915.db2.gz XNEOSGOYYGIJLY-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000434832863 338226086 /nfs/dbraw/zinc/22/60/86/338226086.db2.gz TTYYZRSHLZETBW-SFHVURJKSA-N 0 3 323.396 4.015 20 0 DIADHN COc1ccsc1CN1CCC[C@H]1c1ccc(OC)cc1 ZINC000434984758 338228677 /nfs/dbraw/zinc/22/86/77/338228677.db2.gz ZFMDGZXGQNUAKX-HNNXBMFYSA-N 0 3 303.427 4.102 20 0 DIADHN COc1ccc([C@H]2CCCN2CC2=Cc3ccccc3OC2)cc1 ZINC000434986179 338228882 /nfs/dbraw/zinc/22/88/82/338228882.db2.gz FNWBNRURDRRSCS-HXUWFJFHSA-N 0 3 321.420 4.308 20 0 DIADHN C[C@@H](CNc1cccc2c1OCC[C@H]2N(C)C)c1ccccc1 ZINC000435023728 338229705 /nfs/dbraw/zinc/22/97/05/338229705.db2.gz NDQZMIKFLHJMFL-HNAYVOBHSA-N 0 3 310.441 4.287 20 0 DIADHN C[C@H](CNc1cccc2c1OCC[C@@H]2N(C)C)c1ccccc1 ZINC000435023726 338229714 /nfs/dbraw/zinc/22/97/14/338229714.db2.gz NDQZMIKFLHJMFL-BEFAXECRSA-N 0 3 310.441 4.287 20 0 DIADHN CC(C)[C@@H]1C[C@H](Nc2cccc3c2OCC[C@@H]3N(C)C)CS1 ZINC000435024987 338229884 /nfs/dbraw/zinc/22/98/84/338229884.db2.gz VJBDYJOGYHIHDL-JQFCIGGWSA-N 0 3 320.502 4.014 20 0 DIADHN CN(CCCCCO)Cc1ccc(-c2ccccc2)cc1F ZINC000435047509 338230816 /nfs/dbraw/zinc/23/08/16/338230816.db2.gz BFZFKPTXLWCLOD-UHFFFAOYSA-N 0 3 301.405 4.087 20 0 DIADHN COc1ccc2oc(CN3CCSC(C)(C)CC3)cc2c1 ZINC000435048843 338230976 /nfs/dbraw/zinc/23/09/76/338230976.db2.gz KKFWVCUASSHNRA-UHFFFAOYSA-N 0 3 305.443 4.159 20 0 DIADHN Cc1cc2cc(CN3CC[C@@H](Oc4ccncc4)C3)oc2cc1C ZINC000435057239 338231253 /nfs/dbraw/zinc/23/12/53/338231253.db2.gz PFZGOSFTMDDNIB-GOSISDBHSA-N 0 3 322.408 4.098 20 0 DIADHN COCc1ccc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)o1 ZINC000435297120 338236601 /nfs/dbraw/zinc/23/66/01/338236601.db2.gz JCZXTRZLZMSLJS-SFHVURJKSA-N 0 3 305.393 4.394 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cncc(F)c1 ZINC000435738551 338247111 /nfs/dbraw/zinc/24/71/11/338247111.db2.gz SKLWVKOEPNEFSD-BMGDILEWSA-N 0 3 308.441 4.245 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@H](C)c1cncc(F)c1 ZINC000435738552 338247114 /nfs/dbraw/zinc/24/71/14/338247114.db2.gz SKLWVKOEPNEFSD-ZTFGCOKTSA-N 0 3 308.441 4.245 20 0 DIADHN CC1(C)CCc2cc(CN3CCC[C@H]3c3ccc[nH]3)ccc2O1 ZINC000435772303 338248650 /nfs/dbraw/zinc/24/86/50/338248650.db2.gz OXNDYBLGQLBSNG-SFHVURJKSA-N 0 3 310.441 4.455 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2cnn(-c3ccccc3)c2)C1 ZINC000435781580 338249037 /nfs/dbraw/zinc/24/90/37/338249037.db2.gz JIDMTTXQDKUKFU-QAQDUYKDSA-N 0 3 321.399 4.047 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2ccccc2OC(F)F)C1 ZINC000435783210 338249051 /nfs/dbraw/zinc/24/90/51/338249051.db2.gz UEBUMTKUNXSGAB-HDJSIYSDSA-N 0 3 321.342 4.463 20 0 DIADHN COc1cc2c(c(CN[C@H]3C[C@H](c4ccccc4)C3)c1)O[C@@H](C)C2 ZINC000435786709 338249209 /nfs/dbraw/zinc/24/92/09/338249209.db2.gz IYMKFTSLMXIIIR-QOKNQOGYSA-N 0 3 323.436 4.054 20 0 DIADHN Cn1ncc(Cl)c1CNCC1CCC(c2ccccc2)CC1 ZINC000436230838 338262570 /nfs/dbraw/zinc/26/25/70/338262570.db2.gz JFGBEBZEIUCOPR-UHFFFAOYSA-N 0 3 317.864 4.137 20 0 DIADHN Cc1cccc2nc(CN[C@@H]3CC[C@@H](c4ccccc4)C3)cn21 ZINC000436233126 338262679 /nfs/dbraw/zinc/26/26/79/338262679.db2.gz QURYKQLVLUNGEY-QZTJIDSGSA-N 0 3 305.425 4.069 20 0 DIADHN Cc1cc(C)c(CNCc2c[nH]nc2-c2ccsc2)c(C)c1 ZINC000436240730 338263131 /nfs/dbraw/zinc/26/31/31/338263131.db2.gz JOFREURZVZWWEM-UHFFFAOYSA-N 0 3 311.454 4.353 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@H](Cc3ccccc3)C2)s1 ZINC000436243556 338263265 /nfs/dbraw/zinc/26/32/65/338263265.db2.gz ANOWEQINRSPNFY-CVEARBPZSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1cccnc1[C@H](NCc1cc2c(cccc2F)[nH]1)C(C)C ZINC000436247421 338263326 /nfs/dbraw/zinc/26/33/26/338263326.db2.gz OTPPIGMTGXIDBT-GOSISDBHSA-N 0 3 311.404 4.497 20 0 DIADHN COc1ccc(CNCc2cccc(-c3cccc(C)c3)c2)cn1 ZINC000436250272 338263578 /nfs/dbraw/zinc/26/35/78/338263578.db2.gz VJFBLGMTWJUXTH-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1cccn2cc(CN[C@H]3CC[C@@H](c4ccccc4)C3)nc12 ZINC000436253921 338263864 /nfs/dbraw/zinc/26/38/64/338263864.db2.gz RIUQPCFXIDPXHG-MSOLQXFVSA-N 0 3 305.425 4.069 20 0 DIADHN CCc1ccc(CNCc2c[nH]nc2-c2ccsc2)s1 ZINC000436275142 338265012 /nfs/dbraw/zinc/26/50/12/338265012.db2.gz NQRGTHWGPMRGGW-UHFFFAOYSA-N 0 3 303.456 4.052 20 0 DIADHN Clc1ccc(CNCc2c[nH]nc2-c2ccsc2)s1 ZINC000436275754 338265023 /nfs/dbraw/zinc/26/50/23/338265023.db2.gz QDNALLKJFZFSOF-UHFFFAOYSA-N 0 3 309.847 4.143 20 0 DIADHN CC(C)(NCc1cnn(Cc2ccc(F)cc2)c1)c1ccccc1 ZINC000436277410 338265239 /nfs/dbraw/zinc/26/52/39/338265239.db2.gz XTLLNFCQVBBSLO-UHFFFAOYSA-N 0 3 323.415 4.095 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@H](CC)c2ccc(Cl)s2)C1 ZINC000436295870 338267002 /nfs/dbraw/zinc/26/70/02/338267002.db2.gz SJYRKTWJIJUPGH-GRYCIOLGSA-N 0 3 315.866 4.174 20 0 DIADHN COC[C@H](N[C@H]1C[C@H](c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000436312241 338268560 /nfs/dbraw/zinc/26/85/60/338268560.db2.gz VMBXWAJHGUHCJN-BXWFABGCSA-N 0 3 317.379 4.188 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@H]3CC[C@@H](C)C3)cs2)cc1 ZINC000436404109 338271074 /nfs/dbraw/zinc/27/10/74/338271074.db2.gz CLOHRRFUYBCOJH-CABCVRRESA-N 0 3 316.470 4.309 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(C(C)C)cc32)cn1 ZINC000436405886 338271258 /nfs/dbraw/zinc/27/12/58/338271258.db2.gz PRMUEKAOLGICFE-LJQANCHMSA-N 0 3 310.441 4.381 20 0 DIADHN CCOC(C)(C)CNCc1ccc(-c2cccc(F)c2C)o1 ZINC000436409710 338271424 /nfs/dbraw/zinc/27/14/24/338271424.db2.gz IFGYNCSHYKEZDI-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN CCOC(C)(C)CNCc1c(OC(F)F)ccc2ccccc21 ZINC000436410529 338271452 /nfs/dbraw/zinc/27/14/52/338271452.db2.gz JVAYSKDMPRAFAX-UHFFFAOYSA-N 0 3 323.383 4.346 20 0 DIADHN CCOC(C)(C)CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000436417215 338271975 /nfs/dbraw/zinc/27/19/75/338271975.db2.gz TVGWEYNYIXLEPB-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN FC(F)c1ccc(CNCc2c[nH]nc2-c2ccsc2)cc1 ZINC000436420008 338272067 /nfs/dbraw/zinc/27/20/67/338272067.db2.gz RNLNYLWRBRBIQX-UHFFFAOYSA-N 0 3 319.380 4.366 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CNC2CC(C(C)(C)C)C2)c1 ZINC000436469090 338273289 /nfs/dbraw/zinc/27/32/89/338273289.db2.gz XWGLZVZMRJCZKC-RWBZWWBESA-N 0 3 316.489 4.129 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000437103454 338301082 /nfs/dbraw/zinc/30/10/82/338301082.db2.gz KJZOYSHSNUNFSL-SNVBAGLBSA-N 0 3 321.795 4.407 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H](C)C1(c2ccccc2)CCCC1 ZINC000437016523 338297842 /nfs/dbraw/zinc/29/78/42/338297842.db2.gz XDHGYMUNBXJDLC-IAGOWNOFSA-N 0 3 309.457 4.336 20 0 DIADHN O=C(Nc1ccccc1)C1(N[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC000437022537 338298087 /nfs/dbraw/zinc/29/80/87/338298087.db2.gz VOJLQZIIEQVCGY-IEBWSBKVSA-N 0 3 320.436 4.084 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H](C)CCc1ccc(Cl)s1 ZINC000437070041 338299232 /nfs/dbraw/zinc/29/92/32/338299232.db2.gz ADSANXQYSVNMAB-NEPJUHHUSA-N 0 3 324.877 4.477 20 0 DIADHN Cc1ccc(C2CC(N[C@@H]3CCOc4c(F)cccc43)C2)cc1 ZINC000437078124 338299614 /nfs/dbraw/zinc/29/96/14/338299614.db2.gz PYSHALUPRUECHM-LADRWXRNSA-N 0 3 311.400 4.494 20 0 DIADHN COC[C@H](CC(C)C)N[C@@H](C)c1ccc(Br)s1 ZINC000437082895 338299930 /nfs/dbraw/zinc/29/99/30/338299930.db2.gz MSHOEQVBPWQKLL-QWRGUYRKSA-N 0 3 320.296 4.222 20 0 DIADHN C[C@H](CCc1ccsc1)N[C@@H]1CCOc2c(F)cccc21 ZINC000437086280 338299992 /nfs/dbraw/zinc/29/99/92/338299992.db2.gz QWLDIZKUGHNGQD-MLGOLLRUSA-N 0 3 305.418 4.322 20 0 DIADHN COc1cnccc1[C@H](C)NC1CC(c2cccc(Cl)c2)C1 ZINC000437087377 338300188 /nfs/dbraw/zinc/30/01/88/338300188.db2.gz VMQAWDCVICQZCE-YGONEPDPSA-N 0 3 316.832 4.340 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@@H]1CCOc2c(F)cccc21 ZINC000437088365 338300199 /nfs/dbraw/zinc/30/01/99/338300199.db2.gz CVMBDTIKIUABCS-GXFFZTMASA-N 0 3 305.315 4.360 20 0 DIADHN CC[C@@H](N[C@H](CO)Cc1ccccc1)c1cccc(Cl)c1F ZINC000437092262 338300489 /nfs/dbraw/zinc/30/04/89/338300489.db2.gz DXFYZRVEJDWQEJ-WMLDXEAASA-N 0 3 321.823 4.123 20 0 DIADHN COc1cccc([C@H](C)NCc2ccccc2N(C)C(C)C)c1 ZINC000437093695 338300521 /nfs/dbraw/zinc/30/05/21/338300521.db2.gz GXLCCLDIHCGMDU-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN CC[C@@H](CO)N[C@@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000437095557 338300578 /nfs/dbraw/zinc/30/05/78/338300578.db2.gz LXXOQEOLVFNURD-YJBOKZPZSA-N 0 3 321.823 4.123 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)c(OC)c1 ZINC000437100647 338300855 /nfs/dbraw/zinc/30/08/55/338300855.db2.gz BOBPTYRJYUDVHA-BXUZGUMPSA-N 0 3 319.367 4.331 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000437103453 338301067 /nfs/dbraw/zinc/30/10/67/338301067.db2.gz KJZOYSHSNUNFSL-JTQLQIEISA-N 0 3 321.795 4.407 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)CC(C)(C)c1ccccc1 ZINC000437106332 338301332 /nfs/dbraw/zinc/30/13/32/338301332.db2.gz GYLVDUCBVWBBPK-HOTGVXAUSA-N 0 3 312.457 4.497 20 0 DIADHN Brc1cccc([C@@H]2CCCN2CC[C@H]2CCOC2)c1 ZINC000437576220 338313883 /nfs/dbraw/zinc/31/38/83/338313883.db2.gz BTIABKPZJWSDOU-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccccc2)cs1 ZINC000437574597 338313898 /nfs/dbraw/zinc/31/38/98/338313898.db2.gz FVDOUEPISRHZJJ-QGZVFWFLSA-N 0 3 309.438 4.475 20 0 DIADHN c1csc(CN(CC[C@H]2CCOC2)Cc2cccs2)c1 ZINC000437589967 338315158 /nfs/dbraw/zinc/31/51/58/338315158.db2.gz LMGNZWASSNGCTJ-AWEZNQCLSA-N 0 3 307.484 4.238 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CC[C@@H]2CCOC2)c1 ZINC000437594759 338315566 /nfs/dbraw/zinc/31/55/66/338315566.db2.gz WWXOBGRUPRXEIX-DYVFJYSZSA-N 0 3 319.495 4.184 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@H](NCc2ccc(Cl)cn2)C1 ZINC000437790901 338321704 /nfs/dbraw/zinc/32/17/04/338321704.db2.gz ZIUFGGULOVWKLE-FUHWJXTLSA-N 0 3 300.833 4.335 20 0 DIADHN Cn1c2ccccc2nc1CN[C@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000437986741 338327466 /nfs/dbraw/zinc/32/74/66/338327466.db2.gz OWIDMQAZRUHOMH-ROUUACIJSA-N 0 3 319.452 4.074 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@H]1CCN(c2ccccc2)C1 ZINC000438073100 338329786 /nfs/dbraw/zinc/32/97/86/338329786.db2.gz AHJKPEIDTQMCMF-CQSZACIVSA-N 0 3 318.823 4.095 20 0 DIADHN Cc1ccc2cc(CN(C)Cc3cccc(N(C)C)c3)ccc2n1 ZINC000438093026 338331193 /nfs/dbraw/zinc/33/11/93/338331193.db2.gz HWJXTQIDXXHVJA-UHFFFAOYSA-N 0 3 319.452 4.241 20 0 DIADHN Fc1ccc(F)c(CN(CC[C@@H]2CCOC2)C2CCCC2)c1 ZINC000438104372 338331800 /nfs/dbraw/zinc/33/18/00/338331800.db2.gz XEBYPXJZQPOTRO-CQSZACIVSA-N 0 3 309.400 4.136 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc3c(cccc3F)[nH]2)C1 ZINC000438105443 338331910 /nfs/dbraw/zinc/33/19/10/338331910.db2.gz BWZXKDFZUAWCJD-CQSZACIVSA-N 0 3 324.399 4.305 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc3c(cccc3F)[nH]2)C1 ZINC000438109590 338332211 /nfs/dbraw/zinc/33/22/11/338332211.db2.gz OVYDQMUOVRVVMC-UONOGXRCSA-N 0 3 306.450 4.413 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@@](C)(c3ccccc3)C2)s1 ZINC000438174956 338334860 /nfs/dbraw/zinc/33/48/60/338334860.db2.gz HPGMHOHZGXALGW-MAUKXSAKSA-N 0 3 300.471 4.306 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCc4c3cccc4O)ccc2n1 ZINC000438179865 338335212 /nfs/dbraw/zinc/33/52/12/338335212.db2.gz BINAGSCSKNDSAN-LJQANCHMSA-N 0 3 304.393 4.026 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CCC[C@@H](C(F)(F)F)C3)nc2c1 ZINC000438182990 338335356 /nfs/dbraw/zinc/33/53/56/338335356.db2.gz VPVFXOUJXVDGCZ-VXGBXAGGSA-N 0 3 311.351 4.082 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCC[C@@H](C(F)(F)F)C3)[nH]c2c1 ZINC000438182990 338335357 /nfs/dbraw/zinc/33/53/57/338335357.db2.gz VPVFXOUJXVDGCZ-VXGBXAGGSA-N 0 3 311.351 4.082 20 0 DIADHN CO[C@H](CNCc1ccc(Cl)cc1F)c1ccc(F)cc1 ZINC000438182775 338335382 /nfs/dbraw/zinc/33/53/82/338335382.db2.gz XWNRQCLTTJTQDA-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN CCc1ccc([C@H](COC)NC[C@@H](C)c2ccc(F)cc2)o1 ZINC000438185037 338335514 /nfs/dbraw/zinc/33/55/14/338335514.db2.gz BSCDREGQPQIVAH-DYVFJYSZSA-N 0 3 305.393 4.062 20 0 DIADHN CC(C)[C@H](CNCc1ccc(Cl)cc1F)c1cccnc1 ZINC000438183801 338335453 /nfs/dbraw/zinc/33/54/53/338335453.db2.gz BAZCNXGMHFWYJX-INIZCTEOSA-N 0 3 306.812 4.404 20 0 DIADHN Fc1cc(Cl)ccc1CNCCc1ccc2ccccc2n1 ZINC000438219998 338337096 /nfs/dbraw/zinc/33/70/96/338337096.db2.gz PHQROJFJZWBCAQ-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN CN(Cc1c[nH]c(-c2ccccc2)n1)CC1(c2ccccc2)CC1 ZINC000438266432 338338113 /nfs/dbraw/zinc/33/81/13/338338113.db2.gz BGDYDOXVFYMPCF-UHFFFAOYSA-N 0 3 317.436 4.240 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)[nH]1)CC1(c2ccccc2)CC1 ZINC000438266432 338338114 /nfs/dbraw/zinc/33/81/14/338338114.db2.gz BGDYDOXVFYMPCF-UHFFFAOYSA-N 0 3 317.436 4.240 20 0 DIADHN CC1(C)CN(Cc2cccc(Oc3ccccc3)c2)CC[C@@H]1O ZINC000438330570 338339879 /nfs/dbraw/zinc/33/98/79/338339879.db2.gz ZNRFPNJSMNUCKQ-IBGZPJMESA-N 0 3 311.425 4.072 20 0 DIADHN Cc1ccccc1-c1cccc(CN2CC[C@@H](O)C(C)(C)C2)c1 ZINC000438333146 338339978 /nfs/dbraw/zinc/33/99/78/338339978.db2.gz GOJLNOMTLQHINW-HXUWFJFHSA-N 0 3 309.453 4.255 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3)s2)CC[C@@H]1O ZINC000438340751 338340277 /nfs/dbraw/zinc/34/02/77/338340277.db2.gz IBXYXSXZYOAGTA-KRWDZBQOSA-N 0 3 301.455 4.008 20 0 DIADHN CSCc1cccc(CNCc2ccc3ncccc3c2)c1 ZINC000438366953 338341454 /nfs/dbraw/zinc/34/14/54/338341454.db2.gz TVWRZPQIQSQPMO-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN C[C@H](CN[C@@H]1CCOc2c(F)cccc21)c1ccc(F)cc1 ZINC000438460966 338344398 /nfs/dbraw/zinc/34/43/98/338344398.db2.gz NKJCYIRDBPUQNJ-SJKOYZFVSA-N 0 3 303.352 4.182 20 0 DIADHN CC[C@@H]1CCN([C@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000438482289 338345592 /nfs/dbraw/zinc/34/55/92/338345592.db2.gz XVQBHUVVWVXCTN-APWZRJJASA-N 0 3 308.425 4.098 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1ccc(OC(F)F)cc1 ZINC000438482529 338345686 /nfs/dbraw/zinc/34/56/86/338345686.db2.gz LGYSXPRMKFCBAK-LBPRGKRZSA-N 0 3 311.294 4.355 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@H](C(C)C)C2)cs1 ZINC000438509231 338346447 /nfs/dbraw/zinc/34/64/47/338346447.db2.gz ZQFKWFFOTKCKIY-AWEZNQCLSA-N 0 3 316.470 4.297 20 0 DIADHN CCN(CCc1cccc(OC(F)(F)F)c1)Cc1ccccn1 ZINC000438540476 338347374 /nfs/dbraw/zinc/34/73/74/338347374.db2.gz LHSFMBPOIRVTOE-UHFFFAOYSA-N 0 3 324.346 4.045 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCCOc1ccccc1Cl)CCC2 ZINC000438829049 338352830 /nfs/dbraw/zinc/35/28/30/338352830.db2.gz OQZFTTDOJCFWEV-KRWDZBQOSA-N 0 3 317.816 4.092 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H]3C[C@H]4OCCC[C@H]34)o2)cc1 ZINC000438908571 338355602 /nfs/dbraw/zinc/35/56/02/338355602.db2.gz AHCGFGSHFGZLGB-QWFCFKBJSA-N 0 3 311.425 4.166 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000438906451 338355639 /nfs/dbraw/zinc/35/56/39/338355639.db2.gz BZWBUNPLQHFRPC-ZVDOUQERSA-N 0 3 323.436 4.019 20 0 DIADHN CC(C)(C)CNCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000439471952 338370682 /nfs/dbraw/zinc/37/06/82/338370682.db2.gz RSKWCGBXFSNFGC-OAHLLOKOSA-N 0 3 311.853 4.034 20 0 DIADHN CC[C@H]1CN([C@@H](C)c2ccc(OC(F)(F)F)cc2)CCCO1 ZINC000439473781 338370801 /nfs/dbraw/zinc/37/08/01/338370801.db2.gz WDKGCRABJUVVMA-JSGCOSHPSA-N 0 3 317.351 4.147 20 0 DIADHN CCCCCNCc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000439477423 338370935 /nfs/dbraw/zinc/37/09/35/338370935.db2.gz KIOHNDOYFARHFN-INIZCTEOSA-N 0 3 311.853 4.178 20 0 DIADHN CC(C)CCNCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000439479347 338371125 /nfs/dbraw/zinc/37/11/25/338371125.db2.gz TZWXVWDTEZMHSK-MRXNPFEDSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCCC2CC2)c2ccccc2)cc1 ZINC000439644837 338375310 /nfs/dbraw/zinc/37/53/10/338375310.db2.gz RUQFUPGGFACOOY-IBGZPJMESA-N 0 3 308.425 4.065 20 0 DIADHN CC(C(=O)Nc1ccc(CCC(F)(F)F)cc1)C(F)(F)F ZINC000439656215 338375505 /nfs/dbraw/zinc/37/55/05/338375505.db2.gz QYCFFQDCNMRRQI-MRVPVSSYSA-N 0 3 313.241 4.318 20 0 DIADHN CC(C)CC(C)(C)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000439701612 338376137 /nfs/dbraw/zinc/37/61/37/338376137.db2.gz LAFFVYRSCFKQPY-HNNXBMFYSA-N 0 3 322.518 4.074 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000439785553 338377978 /nfs/dbraw/zinc/37/79/78/338377978.db2.gz VNOQJDOLEGPTAU-IBGZPJMESA-N 0 3 311.425 4.338 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000440325983 338385556 /nfs/dbraw/zinc/38/55/56/338385556.db2.gz JPOIYGGEKVJOBI-DLBZAZTESA-N 0 3 313.470 4.264 20 0 DIADHN CSc1ccc(OCCN(C)[C@H](C)c2cccc(O)c2)cc1 ZINC000440401073 338386745 /nfs/dbraw/zinc/38/67/45/338386745.db2.gz CPTZWAULLHPGKY-CQSZACIVSA-N 0 3 317.454 4.186 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCSc1ccc(F)cc1 ZINC000440409871 338387034 /nfs/dbraw/zinc/38/70/34/338387034.db2.gz BLJCSQUTPKNIPH-ZDUSSCGKSA-N 0 3 305.418 4.316 20 0 DIADHN CC(C)c1ncc(CN(C)CCCc2ccc(Cl)cc2)cn1 ZINC000440478841 338388322 /nfs/dbraw/zinc/38/83/22/338388322.db2.gz PPOKEQQAYOYUCR-UHFFFAOYSA-N 0 3 317.864 4.318 20 0 DIADHN CC[C@](C)(NCCCOc1c(C)cccc1C)c1nccs1 ZINC000440699755 338395378 /nfs/dbraw/zinc/39/53/78/338395378.db2.gz CZDBCTWLUIYXEA-SFHVURJKSA-N 0 3 318.486 4.444 20 0 DIADHN CC[C@H](O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000440787558 338397436 /nfs/dbraw/zinc/39/74/36/338397436.db2.gz WQRKEDPZFNAXTM-WFASDCNBSA-N 0 3 301.352 4.003 20 0 DIADHN Cc1cccc(C2(NCc3cc(C(F)(F)F)n[nH]3)CCCC2)c1 ZINC000440810525 338397942 /nfs/dbraw/zinc/39/79/42/338397942.db2.gz VYZGOMOGPATAEE-UHFFFAOYSA-N 0 3 323.362 4.296 20 0 DIADHN CCc1c2ccccc2oc1C(=O)Nc1ccc(CN(C)C)cc1 ZINC000448648875 338401184 /nfs/dbraw/zinc/40/11/84/338401184.db2.gz RPGZAUJHELMDNQ-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN COc1cccc(Cl)c1CNCCc1ccc2[nH]ccc2c1 ZINC000441207734 338405327 /nfs/dbraw/zinc/40/53/27/338405327.db2.gz LEBREKROIUGQMB-UHFFFAOYSA-N 0 3 314.816 4.162 20 0 DIADHN Fc1ccc(Cl)cc1CNCCc1ccc2[nH]ccc2c1 ZINC000441215939 338405500 /nfs/dbraw/zinc/40/55/00/338405500.db2.gz BLEXXASGSRSJFH-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN CCC[C@@H](C)CNCc1nc(COC)sc1-c1ccccc1 ZINC000441364346 338410393 /nfs/dbraw/zinc/41/03/93/338410393.db2.gz YUZMQHXDFHTKNR-CQSZACIVSA-N 0 3 318.486 4.482 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1ccn(-c2ccc(F)cc2)n1 ZINC000441488650 338415387 /nfs/dbraw/zinc/41/53/87/338415387.db2.gz HRLOBKOFINXSOQ-UHFFFAOYSA-N 0 3 303.425 4.316 20 0 DIADHN Clc1cccc([C@@H](NC2CCOCC2)c2ccccc2)c1 ZINC000164513690 338424055 /nfs/dbraw/zinc/42/40/55/338424055.db2.gz GYWGGJAQONWGIE-SFHVURJKSA-N 0 3 301.817 4.198 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@@H](c1ccccc1)N(C)C ZINC000442632833 338426417 /nfs/dbraw/zinc/42/64/17/338426417.db2.gz GKMTZZHWDRBKAS-QAPCUYQASA-N 0 3 314.498 4.362 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@@H](c1ccccc1)N(C)C ZINC000442632834 338426430 /nfs/dbraw/zinc/42/64/30/338426430.db2.gz GKMTZZHWDRBKAS-YJBOKZPZSA-N 0 3 314.498 4.362 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)NCc1ccc(Cl)cc1F ZINC000157527652 338427147 /nfs/dbraw/zinc/42/71/47/338427147.db2.gz HOARSVNOUUYKAO-GFCCVEGCSA-N 0 3 307.796 4.296 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccccc1SC)c1ccccc1 ZINC000442723027 338429104 /nfs/dbraw/zinc/42/91/04/338429104.db2.gz WNJKXTBQGPWZQZ-RHSMWYFYSA-N 0 3 301.455 4.447 20 0 DIADHN CSc1ccccc1[C@H](C)NCCn1cnc2ccccc21 ZINC000442763695 338429917 /nfs/dbraw/zinc/42/99/17/338429917.db2.gz BILPUDZYUSACIC-AWEZNQCLSA-N 0 3 311.454 4.109 20 0 DIADHN CC(C)COC[C@@H](NC1CCC(C(F)F)CC1)c1ccco1 ZINC000442775271 338430336 /nfs/dbraw/zinc/43/03/36/338430336.db2.gz AJOWFGWPCYVJKB-YMAMQOFZSA-N 0 3 315.404 4.407 20 0 DIADHN CC[C@H](CN[C@H](C)c1cccc(O)c1)Oc1ccccc1F ZINC000442781529 338430849 /nfs/dbraw/zinc/43/08/49/338430849.db2.gz JCSBJJBTYFJNOG-CZUORRHYSA-N 0 3 303.377 4.040 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H]1CCCC[C@@H]1n1cccn1 ZINC000442796619 338432157 /nfs/dbraw/zinc/43/21/57/338432157.db2.gz UNVVJMPGJGRMFI-UAGQMJEPSA-N 0 3 315.486 4.440 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H](C)c1ccccc1SC ZINC000442796252 338432217 /nfs/dbraw/zinc/43/22/17/338432217.db2.gz VRVOSFXPGSJDJT-NVXWUHKLSA-N 0 3 315.482 4.317 20 0 DIADHN CC[C@H](NCc1nc(COC)sc1-c1ccccc1)C1CC1 ZINC000442850236 338434588 /nfs/dbraw/zinc/43/45/88/338434588.db2.gz CGLBDWZPBJNNKQ-HNNXBMFYSA-N 0 3 316.470 4.235 20 0 DIADHN OC1(CCN[C@H](c2ccccc2)c2ccc3ccccc3c2)CC1 ZINC000442862785 338435783 /nfs/dbraw/zinc/43/57/83/338435783.db2.gz BIKCPFVKMJKOHG-OAQYLSRUSA-N 0 3 317.432 4.434 20 0 DIADHN CCCC[C@@H](CCC)NC(=O)Nc1ccccc1CCN(C)C ZINC000446568669 338530443 /nfs/dbraw/zinc/53/04/43/338530443.db2.gz DRKPPFDKMCZQMU-QGZVFWFLSA-N 0 3 319.493 4.271 20 0 DIADHN C[C@@H](CCC(C)(C)C)NC(=O)Nc1ccccc1CCN(C)C ZINC000446569711 338530471 /nfs/dbraw/zinc/53/04/71/338530471.db2.gz RGABATXJHKDQFG-HNNXBMFYSA-N 0 3 319.493 4.127 20 0 DIADHN CC[C@@H](NC[C@H](c1ccsc1)N(C)C)c1cccc(F)c1 ZINC000446741423 338534369 /nfs/dbraw/zinc/53/43/69/338534369.db2.gz ADEFJMTYKYOPBV-IAGOWNOFSA-N 0 3 306.450 4.231 20 0 DIADHN C[C@@H](CNCc1nc2cccc(Cl)c2n1C)c1ccccc1 ZINC000446802186 338536204 /nfs/dbraw/zinc/53/62/04/338536204.db2.gz OKHACUPGKCBCML-ZDUSSCGKSA-N 0 3 313.832 4.120 20 0 DIADHN CCO[C@H](CNCc1cccc(OC(C)C)c1)c1ccccc1 ZINC000446835947 338537652 /nfs/dbraw/zinc/53/76/52/338537652.db2.gz RAMAEPGLXBXZFK-HXUWFJFHSA-N 0 3 313.441 4.341 20 0 DIADHN C[C@@H](N[C@@H](C)CCn1cccn1)c1ccc(Cl)cc1Cl ZINC000446843797 338538111 /nfs/dbraw/zinc/53/81/11/338538111.db2.gz CCXQCAMYMZHNFT-NWDGAFQWSA-N 0 3 312.244 4.319 20 0 DIADHN Cc1nccnc1[C@@H](C)NC1CCC(c2ccc(F)cc2)CC1 ZINC000446886358 338539410 /nfs/dbraw/zinc/53/94/10/338539410.db2.gz ZACWYFOQCZSKAB-MXWWQKGMSA-N 0 3 313.420 4.301 20 0 DIADHN Cc1csc(C2(N[C@@H](C)Cc3ccccc3F)CCC2)n1 ZINC000446928990 338540990 /nfs/dbraw/zinc/54/09/90/338540990.db2.gz IGCHWENTSSZUHT-LBPRGKRZSA-N 0 3 304.434 4.191 20 0 DIADHN Cc1csc(C2(N[C@H](C)Cc3ccccc3F)CCC2)n1 ZINC000446928989 338541005 /nfs/dbraw/zinc/54/10/05/338541005.db2.gz IGCHWENTSSZUHT-GFCCVEGCSA-N 0 3 304.434 4.191 20 0 DIADHN CC[C@H](N[C@H](C)COC)c1ccc(Br)cc1Cl ZINC000446928085 338541011 /nfs/dbraw/zinc/54/10/11/338541011.db2.gz DMHNAYKABQCPPS-RNCFNFMXSA-N 0 3 320.658 4.178 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cc(F)ccc1F)c1ccccc1 ZINC000447034817 338543482 /nfs/dbraw/zinc/54/34/82/338543482.db2.gz ALKHZBOCZMECBL-FZKQIMNGSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(F)cc1F)c1ccccc1 ZINC000447052811 338543722 /nfs/dbraw/zinc/54/37/22/338543722.db2.gz VPYCIJBIXIQLAI-UGSOOPFHSA-N 0 3 305.368 4.393 20 0 DIADHN Fc1cccnc1OC1CCN(CCCC2CCCC2)CC1 ZINC000447366067 338550658 /nfs/dbraw/zinc/55/06/58/338550658.db2.gz RMZHGMASHCGMSJ-UHFFFAOYSA-N 0 3 306.425 4.034 20 0 DIADHN Cc1cc([C@H](C)NCCCn2c(C)nc3ccccc32)c(C)o1 ZINC000447517629 338553603 /nfs/dbraw/zinc/55/36/03/338553603.db2.gz LRNUKXRRSYZVNH-AWEZNQCLSA-N 0 3 311.429 4.295 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCCc2occc21)c1ccccc1Cl ZINC000447519729 338553723 /nfs/dbraw/zinc/55/37/23/338553723.db2.gz ZGRVCEQHDNKJHF-IAGOWNOFSA-N 0 3 318.848 4.203 20 0 DIADHN C[C@@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnn1C ZINC000447528820 338554254 /nfs/dbraw/zinc/55/42/54/338554254.db2.gz IPKXGLBVJXTTIK-CJNGLKHVSA-N 0 3 303.425 4.387 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)COc2ccc(F)cc2F)c(C)o1 ZINC000447532575 338554813 /nfs/dbraw/zinc/55/48/13/338554813.db2.gz UYJITVHHARNBTO-JQWIXIFHSA-N 0 3 309.356 4.293 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCCOCC(F)(F)F)c2ccccc21 ZINC000447537413 338555146 /nfs/dbraw/zinc/55/51/46/338555146.db2.gz VSHAQJMRTUVFNH-LSDHHAIUSA-N 0 3 301.352 4.040 20 0 DIADHN COC(=O)c1coc([C@@H](C)NCCCCCc2ccccc2)c1 ZINC000447540057 338555422 /nfs/dbraw/zinc/55/54/22/338555422.db2.gz BWWUQGCQROFSEC-OAHLLOKOSA-N 0 3 315.413 4.130 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1sccc1OC)c1ccccc1 ZINC000447554817 338556455 /nfs/dbraw/zinc/55/64/55/338556455.db2.gz ZLIORCQJXNJCQB-BBRMVZONSA-N 0 3 305.443 4.185 20 0 DIADHN CCO[C@H](CN[C@@H]1CCCOc2ccccc21)c1ccccc1 ZINC000447558139 338556636 /nfs/dbraw/zinc/55/66/36/338556636.db2.gz MWIFMUIRSAAPHO-UYAOXDASSA-N 0 3 311.425 4.268 20 0 DIADHN CCO[C@@H](CN[C@H]1CCc2c1cccc2OC)c1ccccc1 ZINC000447564360 338557180 /nfs/dbraw/zinc/55/71/80/338557180.db2.gz GPVIOLFHCAOACE-ICSRJNTNSA-N 0 3 311.425 4.050 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cccc(F)c1F)c1ccccc1 ZINC000447568293 338557587 /nfs/dbraw/zinc/55/75/87/338557587.db2.gz ISGJCDPWMGIPDU-SUMWQHHRSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(OC)c(F)c1)c1ccccc1 ZINC000447569434 338557752 /nfs/dbraw/zinc/55/77/52/338557752.db2.gz PCEXBDKMYHVUIW-LIRRHRJNSA-N 0 3 317.404 4.263 20 0 DIADHN Clc1ccc(N2CC[C@@H](N[C@H]3CCCc4occc43)C2)cc1 ZINC000447588056 338559891 /nfs/dbraw/zinc/55/98/91/338559891.db2.gz SWJODFORXBSKPW-PBHICJAKSA-N 0 3 316.832 4.179 20 0 DIADHN Cc1cc([C@H](C)NCCc2nc3c(s2)CCCC3)c(C)o1 ZINC000447589811 338560077 /nfs/dbraw/zinc/56/00/77/338560077.db2.gz ZAWJTENIJDAMPF-LBPRGKRZSA-N 0 3 304.459 4.125 20 0 DIADHN Cc1cc([C@@H](C)NCCc2ccccc2OC(F)F)c(C)o1 ZINC000447597571 338560997 /nfs/dbraw/zinc/56/09/97/338560997.db2.gz FRZCUTXHRRRPAU-GFCCVEGCSA-N 0 3 309.356 4.391 20 0 DIADHN Fc1ccc(C[C@@H](NC2CC(F)(F)C2)c2ccccc2)cc1 ZINC000447600825 338561461 /nfs/dbraw/zinc/56/14/61/338561461.db2.gz NUXNFRFFKIYKDX-QGZVFWFLSA-N 0 3 305.343 4.497 20 0 DIADHN COC[C@@H](NCC[C@@H](C)c1ccccc1)c1ccc(F)cc1 ZINC000447820811 338567942 /nfs/dbraw/zinc/56/79/42/338567942.db2.gz IIHZODQBVPWRQV-DNVCBOLYSA-N 0 3 301.405 4.297 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)c[nH]1)c1ccccc1 ZINC000447830610 338568301 /nfs/dbraw/zinc/56/83/01/338568301.db2.gz IZFXZYPZKVREEY-UHFFFAOYSA-N 0 3 305.425 4.144 20 0 DIADHN FC(F)(F)C1CCC(NCc2nc(-c3ccccc3)c[nH]2)CC1 ZINC000447848527 338569138 /nfs/dbraw/zinc/56/91/38/338569138.db2.gz LYYGOWRRUUEJGN-UHFFFAOYSA-N 0 3 323.362 4.287 20 0 DIADHN CCc1ccc(CNCc2ccc3c(c2)OCCCCO3)s1 ZINC000449080109 338591642 /nfs/dbraw/zinc/59/16/42/338591642.db2.gz SSXODJKZXNDVLJ-UHFFFAOYSA-N 0 3 317.454 4.152 20 0 DIADHN c1oc2ccccc2c1CNCc1ccc2c(c1)OCCCCO2 ZINC000449145966 338596907 /nfs/dbraw/zinc/59/69/07/338596907.db2.gz AQVIVZJPEDOFCE-UHFFFAOYSA-N 0 3 323.392 4.274 20 0 DIADHN CC[C@H](C)[C@H](NCc1c(C)nnn1CC)c1ccc(Cl)cc1 ZINC000449175174 338599482 /nfs/dbraw/zinc/59/94/82/338599482.db2.gz QQFFHJHLVCKJBH-SJCJKPOMSA-N 0 3 320.868 4.137 20 0 DIADHN CCc1cnc(CN[C@@H](C)Cc2coc3ccccc23)s1 ZINC000449178263 338599683 /nfs/dbraw/zinc/59/96/83/338599683.db2.gz XJKLMFBPCJDCSH-LBPRGKRZSA-N 0 3 300.427 4.173 20 0 DIADHN CSCC1CCC(NCc2ncoc2-c2ccccc2)CC1 ZINC000449184473 338600313 /nfs/dbraw/zinc/60/03/13/338600313.db2.gz HVYRBXQEPJSEBW-UHFFFAOYSA-N 0 3 316.470 4.353 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN[C@H]2C=CCCC2)s1 ZINC000449196719 338601454 /nfs/dbraw/zinc/60/14/54/338601454.db2.gz SRSGZFIWYBRYDN-NSHDSACASA-N 0 3 306.381 4.287 20 0 DIADHN Cc1cc(CNCCc2nc3ccccc3n2C(F)F)cs1 ZINC000449219701 338603495 /nfs/dbraw/zinc/60/34/95/338603495.db2.gz AVMCVHXCTBWOPA-UHFFFAOYSA-N 0 3 321.396 4.134 20 0 DIADHN CCCN[C@@H](C)C(=O)Nc1ccccc1SC1CCCC1 ZINC000449224783 338604031 /nfs/dbraw/zinc/60/40/31/338604031.db2.gz MRZHUWKMCRFYAR-ZDUSSCGKSA-N 0 3 306.475 4.048 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)CC(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000449225118 338604051 /nfs/dbraw/zinc/60/40/51/338604051.db2.gz NUDVWBIVJJIOHY-DZGCQCFKSA-N 0 3 316.449 4.243 20 0 DIADHN Cc1cc(CN2CCC3(CC2)OCCc2ccsc23)cs1 ZINC000449229292 338604504 /nfs/dbraw/zinc/60/45/04/338604504.db2.gz ZPRHDKWEBJLOIF-UHFFFAOYSA-N 0 3 319.495 4.182 20 0 DIADHN CC(C)COc1ccccc1CNC[C@H](C)c1nccs1 ZINC000449236420 338605176 /nfs/dbraw/zinc/60/51/76/338605176.db2.gz KCEDFVGTLYROPM-AWEZNQCLSA-N 0 3 304.459 4.071 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc3c(OC)cccc23)ccn1 ZINC000449328832 338613369 /nfs/dbraw/zinc/61/33/69/338613369.db2.gz GNGLZXMJHJMPIY-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN CCCOc1c(CNCCC(C)(F)F)cccc1OCC ZINC000449355152 338617188 /nfs/dbraw/zinc/61/71/88/338617188.db2.gz WEEMYMLOUZTIBS-UHFFFAOYSA-N 0 3 301.377 4.009 20 0 DIADHN C[C@H](CCO)CCNCc1ccc(-c2ccccc2Cl)o1 ZINC000449361679 338617614 /nfs/dbraw/zinc/61/76/14/338617614.db2.gz YFKDJXOBKRSWQO-ZDUSSCGKSA-N 0 3 307.821 4.098 20 0 DIADHN OC[C@@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccc(F)cc1 ZINC000449379861 338619467 /nfs/dbraw/zinc/61/94/67/338619467.db2.gz KJPCHPWWYFMRCA-IUPXNIOVSA-N 0 3 319.807 4.048 20 0 DIADHN Cc1csc(CNCc2ccc(OC(F)F)c(F)c2)c1 ZINC000449475926 338628598 /nfs/dbraw/zinc/62/85/98/338628598.db2.gz FZBMVCAPOOEXNC-UHFFFAOYSA-N 0 3 301.333 4.087 20 0 DIADHN Cc1cccnc1CCCN[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449487559 338629907 /nfs/dbraw/zinc/62/99/07/338629907.db2.gz ZDQWNEOPKAYCEZ-OAHLLOKOSA-N 0 3 320.358 4.017 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](C)C1CCC(C(F)(F)F)CC1 ZINC000449566911 338638246 /nfs/dbraw/zinc/63/82/46/338638246.db2.gz SGKSCXCDDLQKHG-FEPKRQSRSA-N 0 3 317.399 4.311 20 0 DIADHN C[C@H]1C[C@H](NC2CC(c3cccc(Cl)c3)C2)c2nccn21 ZINC000449568928 338638659 /nfs/dbraw/zinc/63/86/59/338638659.db2.gz FAEIKNVOFUGJIP-WIRQWFGLSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449574055 338639138 /nfs/dbraw/zinc/63/91/38/338639138.db2.gz SVEYSQIBKBMYLJ-QCPWZWHMSA-N 0 3 315.848 4.468 20 0 DIADHN CCCc1ncc(CN[C@H](C)C2CCC(C(F)(F)F)CC2)o1 ZINC000449609011 338640829 /nfs/dbraw/zinc/64/08/29/338640829.db2.gz ADUHMCVKZJDPLU-PNESKVBLSA-N 0 3 318.383 4.474 20 0 DIADHN CN1CCC[C@@H](NCc2cc3ccc(F)cc3[nH]2)c2ccccc21 ZINC000449647226 338644193 /nfs/dbraw/zinc/64/41/93/338644193.db2.gz DDHJGTGVLUPCCU-GOSISDBHSA-N 0 3 323.415 4.368 20 0 DIADHN CN1CCC[C@@H](NCc2cc(Cl)cs2)c2ccccc21 ZINC000449652585 338644495 /nfs/dbraw/zinc/64/44/95/338644495.db2.gz QIUFYYNTGFHFEN-OAHLLOKOSA-N 0 3 306.862 4.462 20 0 DIADHN CN1CCC[C@H](NCc2scnc2C2CC2)c2ccccc21 ZINC000449655392 338644608 /nfs/dbraw/zinc/64/46/08/338644608.db2.gz YXSLPSSGHRDORO-HNNXBMFYSA-N 0 3 313.470 4.081 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNCCC[C@@H]2CCOC2)c1 ZINC000449686905 338646227 /nfs/dbraw/zinc/64/62/27/338646227.db2.gz YYHLWHXBTJFACL-LLVKDONJSA-N 0 3 321.770 4.265 20 0 DIADHN CCOC1CC2(C1)CCN(c1ccnc3ccc(CC)cc31)C2 ZINC000450523150 338689366 /nfs/dbraw/zinc/68/93/66/338689366.db2.gz DIPHVMLKNZPINA-UHFFFAOYSA-N 0 3 310.441 4.193 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(Cl)c(OC)c2)cc1F ZINC000450666016 338697251 /nfs/dbraw/zinc/69/72/51/338697251.db2.gz XABTVBSSWRXJCG-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CN[C@@H](C)c1ccc(Cl)s1 ZINC000450726967 338701787 /nfs/dbraw/zinc/70/17/87/338701787.db2.gz WSAXJZNKMMDSEH-MBNYWOFBSA-N 0 3 309.862 4.217 20 0 DIADHN C[C@H](NC[C@H]1CCCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450763008 338704366 /nfs/dbraw/zinc/70/43/66/338704366.db2.gz OUMVQVSKVDMZBP-NWDGAFQWSA-N 0 3 314.445 4.498 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC[C@@H]1CCCCC1(F)F ZINC000450764473 338704370 /nfs/dbraw/zinc/70/43/70/338704370.db2.gz CMLGURVWRPWJAT-JSGCOSHPSA-N 0 3 313.436 4.423 20 0 DIADHN FC1(c2ccccn2)CCN(CC/C=C/c2ccccc2)CC1 ZINC000450929101 338712932 /nfs/dbraw/zinc/71/29/32/338712932.db2.gz IOADGVJLGUSYKQ-BJMVGYQFSA-N 0 3 310.416 4.446 20 0 DIADHN OCc1ccc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)cc1 ZINC000451352172 338730731 /nfs/dbraw/zinc/73/07/31/338730731.db2.gz HATWCVOFFWAYRE-GOSISDBHSA-N 0 3 319.807 4.212 20 0 DIADHN CCC[C@H](NCc1nnc(-c2cc(C)oc2C)o1)C(C)(C)C ZINC000451427292 338734307 /nfs/dbraw/zinc/73/43/07/338734307.db2.gz WUIQCGRBXBDRPI-AWEZNQCLSA-N 0 3 305.422 4.251 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(OCCOC(C)C)CC1 ZINC000451951131 338748729 /nfs/dbraw/zinc/74/87/29/338748729.db2.gz KAYWCKSDLBCNKD-IBGZPJMESA-N 0 3 323.452 4.183 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000452060088 338752245 /nfs/dbraw/zinc/75/22/45/338752245.db2.gz LNXGLEOQMNEVQI-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN CSc1ccc(NC(=O)CN[C@@H](C)c2cccc(C)c2)cc1 ZINC000452079082 338752947 /nfs/dbraw/zinc/75/29/47/338752947.db2.gz FQCLGNRKPFDYKU-AWEZNQCLSA-N 0 3 314.454 4.006 20 0 DIADHN C[C@H](NCCc1csc(-c2ccccc2)n1)c1cccc(O)c1 ZINC000194836943 338764629 /nfs/dbraw/zinc/76/46/29/338764629.db2.gz YTHISRZMWLLVJH-AWEZNQCLSA-N 0 3 324.449 4.409 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccc3c(c2)OCO3)c2sccc21 ZINC000453052282 338787926 /nfs/dbraw/zinc/78/79/26/338787926.db2.gz CNLMOBRSQSTHKU-FZMZJTMJSA-N 0 3 301.411 4.205 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCc1ccc(Cl)cc1Cl ZINC000453054302 338788127 /nfs/dbraw/zinc/78/81/27/338788127.db2.gz HIQBRXYEEIUDPZ-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H](C)c1ccc(F)cc1F ZINC000453054222 338788148 /nfs/dbraw/zinc/78/81/48/338788148.db2.gz HAEZPQOABPRDMX-ZIAGYGMSSA-N 0 3 304.384 4.422 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccccc1Cl)N(C)C)c1ccncc1 ZINC000453059523 338788679 /nfs/dbraw/zinc/78/86/79/338788679.db2.gz QNNBWUANSGEFJZ-MSOLQXFVSA-N 0 3 317.864 4.079 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@@H](C)c1cc(F)ccc1F ZINC000453061046 338789013 /nfs/dbraw/zinc/78/90/13/338789013.db2.gz CSHZWTCVLVGVDY-KGLIPLIRSA-N 0 3 304.384 4.422 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2CC[C@@H](C)c3ccsc32)c1 ZINC000453078637 338791146 /nfs/dbraw/zinc/79/11/46/338791146.db2.gz RYHJDBJZOWGCAS-WBMJQRKESA-N 0 3 317.454 4.494 20 0 DIADHN Cc1cccc(CN[C@H](C)c2cc(-c3ccccc3)nn2C)c1 ZINC000453085940 338791937 /nfs/dbraw/zinc/79/19/37/338791937.db2.gz XSYPRMVLGMTXQX-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1coc(-c2ccccc2)n1 ZINC000453111003 338794995 /nfs/dbraw/zinc/79/49/95/338794995.db2.gz AKQAYMXWRUBZAC-INIZCTEOSA-N 0 3 321.424 4.238 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(-n2ccnc2)c1)c1ccncc1 ZINC000453119123 338796006 /nfs/dbraw/zinc/79/60/06/338796006.db2.gz LQVGXPGFBXSHEZ-DNVCBOLYSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(N2CCCC2)c1)c1ccncc1 ZINC000453122032 338796476 /nfs/dbraw/zinc/79/64/76/338796476.db2.gz FJABUHUMRQUASL-OXJNMPFZSA-N 0 3 309.457 4.484 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)Cc2cccc(Cl)c2)c2nccn21 ZINC000453131509 338797721 /nfs/dbraw/zinc/79/77/21/338797721.db2.gz PHHXGUZGETVSNI-CJNGLKHVSA-N 0 3 317.864 4.401 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1cc(-c2ccccc2)no1 ZINC000453141404 338798932 /nfs/dbraw/zinc/79/89/32/338798932.db2.gz RCJMPIPLYAQTAL-MRXNPFEDSA-N 0 3 321.424 4.238 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1cc(-c2ccccc2)nn1C ZINC000453165832 338801788 /nfs/dbraw/zinc/80/17/88/338801788.db2.gz SQNCKAGXCNYKTK-DZGCQCFKSA-N 0 3 319.399 4.316 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cc(-c2ccccc2)nn1C ZINC000453165839 338801797 /nfs/dbraw/zinc/80/17/97/338801797.db2.gz SQNCKAGXCNYKTK-ZFWWWQNUSA-N 0 3 319.399 4.316 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnccn1)c1ccc(Cl)cc1Cl ZINC000453202160 338807116 /nfs/dbraw/zinc/80/71/16/338807116.db2.gz CZNVFVAZWFHSKI-WDEREUQCSA-N 0 3 310.228 4.065 20 0 DIADHN C[C@@H](Cc1cnccn1)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC000453205177 338807529 /nfs/dbraw/zinc/80/75/29/338807529.db2.gz ZSQZTEFXGNLCJJ-QWRGUYRKSA-N 0 3 310.228 4.065 20 0 DIADHN Cc1cscc1CN[C@@H](C)c1cc(-c2ccccc2)nn1C ZINC000453220834 338809688 /nfs/dbraw/zinc/80/96/88/338809688.db2.gz PGZSUGMPECSAKT-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H](NCCC1(F)CCC1)c1cc(-c2ccccc2)nn1C ZINC000453220252 338809746 /nfs/dbraw/zinc/80/97/46/338809746.db2.gz JRYSAHZHPTVZOI-AWEZNQCLSA-N 0 3 301.409 4.020 20 0 DIADHN C[C@@H](CCO)CCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000453237339 338812243 /nfs/dbraw/zinc/81/22/43/338812243.db2.gz PRXHLHPVWBHWHZ-GHMZBOCLSA-N 0 3 321.795 4.001 20 0 DIADHN CC1(F)CC(N[C@@H](c2ccc(Br)s2)C2CC2)C1 ZINC000453239593 338812634 /nfs/dbraw/zinc/81/26/34/338812634.db2.gz QISGDMKSBWXKEQ-BKDHPRRPSA-N 0 3 318.255 4.442 20 0 DIADHN C[C@@H](NC1CC(C)(F)C1)c1ccc(F)c(Br)c1 ZINC000453246869 338813782 /nfs/dbraw/zinc/81/37/82/338813782.db2.gz JPOOARFEJNZFQA-NCZMNARYSA-N 0 3 304.178 4.129 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H](CC)COCC(F)(F)F ZINC000453252506 338814472 /nfs/dbraw/zinc/81/44/72/338814472.db2.gz GZDJSCIWPFFBQP-QWHCGFSZSA-N 0 3 319.367 4.093 20 0 DIADHN FC(F)(F)c1cccc(CN[C@@H](Cc2ccccc2)C2CC2)n1 ZINC000453266546 338816185 /nfs/dbraw/zinc/81/61/85/338816185.db2.gz LFOBSDSZRXKNAS-INIZCTEOSA-N 0 3 320.358 4.211 20 0 DIADHN C[C@@H](CCCCO)N[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000453286266 338818896 /nfs/dbraw/zinc/81/88/96/338818896.db2.gz NEKGTFLFQWDTMO-YWZLYKJASA-N 0 3 324.424 4.058 20 0 DIADHN Cc1cccc([C@@H](NCC2=CCOCC2)c2cccc(F)c2)c1 ZINC000453289118 338819303 /nfs/dbraw/zinc/81/93/03/338819303.db2.gz MFQCOWCUZKMMAK-HXUWFJFHSA-N 0 3 311.400 4.160 20 0 DIADHN C[C@H]1C[C@H](NCc2ccnc(C(F)(F)F)c2)c2ccccc21 ZINC000453289421 338819331 /nfs/dbraw/zinc/81/93/31/338819331.db2.gz QIZOHGUGYPKVRJ-NHYWBVRUSA-N 0 3 306.331 4.439 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1ncc(C(F)(F)F)cn1 ZINC000453290065 338819376 /nfs/dbraw/zinc/81/93/76/338819376.db2.gz AIHHONTVPZRYSP-STQMWFEESA-N 0 3 315.383 4.190 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)N[C@@H]3CCOC3(C)C)cs2)cs1 ZINC000453299604 338820821 /nfs/dbraw/zinc/82/08/21/338820821.db2.gz VAKZYZFEWTYQQM-MEBBXXQBSA-N 0 3 322.499 4.398 20 0 DIADHN CC(C)[C@@H](NCC1(CF)CCOCC1)c1cc(F)ccc1F ZINC000453308989 338822211 /nfs/dbraw/zinc/82/22/11/338822211.db2.gz MXUNPDOPIJOWHL-MRXNPFEDSA-N 0 3 315.379 4.018 20 0 DIADHN CC[C@@H](NCCc1scnc1C)c1cc(C)ccc1OC ZINC000453311145 338822535 /nfs/dbraw/zinc/82/25/35/338822535.db2.gz QXWWRQWTALKUHF-OAHLLOKOSA-N 0 3 304.459 4.052 20 0 DIADHN CC[C@H](N[C@@H](C)CC(C)(C)O)c1ccccc1Br ZINC000453317532 338823685 /nfs/dbraw/zinc/82/36/85/338823685.db2.gz KZKDSAYAWNNROP-FZMZJTMJSA-N 0 3 314.267 4.039 20 0 DIADHN CC[C@@H](NCCc1ncccc1C)c1ccccc1OC(F)F ZINC000453317370 338823724 /nfs/dbraw/zinc/82/37/24/338823724.db2.gz YJRLLXARYGBZOK-OAHLLOKOSA-N 0 3 320.383 4.275 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000453319836 338824117 /nfs/dbraw/zinc/82/41/17/338824117.db2.gz DSWVZBZUQZWGKW-PSOPSSQASA-N 0 3 322.499 4.398 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(F)c(Cl)c1)C1CC1)c1cnn(C)c1 ZINC000453321733 338824528 /nfs/dbraw/zinc/82/45/28/338824528.db2.gz OPVIRBOEGDRWLH-QLJPJBMISA-N 0 3 307.800 4.015 20 0 DIADHN CC[C@H](NCc1cc(C)cs1)c1ccc2c(c1)OCCO2 ZINC000453327607 338825421 /nfs/dbraw/zinc/82/54/21/338825421.db2.gz WEZSYTCTMQFCJE-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1nccc2ccccc21)c1ccccc1 ZINC000453331209 338825962 /nfs/dbraw/zinc/82/59/62/338825962.db2.gz XUAQYKQJMFLTMB-HRAATJIYSA-N 0 3 320.436 4.447 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H]2CCOC3(CCC3)C2)cs1 ZINC000453330979 338826011 /nfs/dbraw/zinc/82/60/11/338826011.db2.gz ZBGKEEMAYLHZBW-KGLIPLIRSA-N 0 3 308.491 4.266 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccc2c(c1)COC2 ZINC000453338356 338827278 /nfs/dbraw/zinc/82/72/78/338827278.db2.gz BNPHFGOLLZVYOS-MGPUTAFESA-N 0 3 322.452 4.339 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1ccccc1N(C)C ZINC000453338825 338827412 /nfs/dbraw/zinc/82/74/12/338827412.db2.gz BAWITVDVPBKPFT-APWZRJJASA-N 0 3 323.484 4.375 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H]2CCCN(C)c3ccccc32)s1 ZINC000453375087 338834185 /nfs/dbraw/zinc/83/41/85/338834185.db2.gz OWYSTIBEWWKKAX-CZUORRHYSA-N 0 3 315.486 4.382 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H]2CCCN(C)c3ccccc32)s1 ZINC000453375085 338834196 /nfs/dbraw/zinc/83/41/96/338834196.db2.gz OWYSTIBEWWKKAX-CJNGLKHVSA-N 0 3 315.486 4.382 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000453378485 338834694 /nfs/dbraw/zinc/83/46/94/338834694.db2.gz QSYJTHURQNQXGW-IGCXYCKISA-N 0 3 316.470 4.274 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccc2c(c1)OCO2 ZINC000453393993 338837255 /nfs/dbraw/zinc/83/72/55/338837255.db2.gz ZUXMTQGOWLQQSN-RHSMWYFYSA-N 0 3 324.424 4.037 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](c2cccc(Cl)c2)C1)c1nccn1C ZINC000453399940 338838349 /nfs/dbraw/zinc/83/83/49/338838349.db2.gz ODZYRHRGYUOLMD-QRTARXTBSA-N 0 3 317.864 4.451 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000453403359 338838923 /nfs/dbraw/zinc/83/89/23/338838923.db2.gz QMCMYUDYWDFFAN-QRTARXTBSA-N 0 3 315.848 4.425 20 0 DIADHN COc1cc(F)cc(C[C@H](C)N[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000453404378 338839109 /nfs/dbraw/zinc/83/91/09/338839109.db2.gz RNPQAYTVSSDERL-RYUDHWBXSA-N 0 3 322.811 4.165 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000453420394 338840979 /nfs/dbraw/zinc/84/09/79/338840979.db2.gz KHLPZIAZZVZDMD-DYVFJYSZSA-N 0 3 303.425 4.063 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC2(CC1)OCCc1ccccc12 ZINC000453574907 338848662 /nfs/dbraw/zinc/84/86/62/338848662.db2.gz MVLCWWNZWYYDLY-RMKNXTFCSA-N 0 3 319.448 4.264 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CNCC1(C2CCC2)CCC1 ZINC000453584672 338849217 /nfs/dbraw/zinc/84/92/17/338849217.db2.gz LXPXPMDIETWKDK-HNNXBMFYSA-N 0 3 306.475 4.055 20 0 DIADHN Cc1ccc(CNCc2ccc(-n3cccn3)cc2)cc1Cl ZINC000195437290 338899732 /nfs/dbraw/zinc/89/97/32/338899732.db2.gz OPZUFGJTEYOECR-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc2c(c1)CCC2 ZINC000195613818 338910197 /nfs/dbraw/zinc/91/01/97/338910197.db2.gz GLAMPJVJXSJYBW-KBPBESRZSA-N 0 3 309.409 4.316 20 0 DIADHN C[C@@H](NCCOc1ccccc1)c1ccc(OC(F)F)cc1 ZINC000195670124 338913818 /nfs/dbraw/zinc/91/38/18/338913818.db2.gz BMQGKTFAJRRPHP-CYBMUJFWSA-N 0 3 307.340 4.018 20 0 DIADHN Cc1cc(NC(=O)c2cccc(C(F)F)c2)ccc1CN(C)C ZINC000458295505 338995105 /nfs/dbraw/zinc/99/51/05/338995105.db2.gz WWFJSXQZUZSAOM-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN CCCC[C@@H](C)N(CCCC)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000458645232 339002424 /nfs/dbraw/zinc/00/24/24/339002424.db2.gz YHPOIMIIBFGHKV-IAGOWNOFSA-N 0 3 323.525 4.027 20 0 DIADHN CCCC[C@H](C)N(CCCC)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000458645227 339002428 /nfs/dbraw/zinc/00/24/28/339002428.db2.gz YHPOIMIIBFGHKV-DLBZAZTESA-N 0 3 323.525 4.027 20 0 DIADHN CCCN(Cc1cnc(C2CC2)nc1)[C@H]1CCc2ccccc21 ZINC000459290524 339019509 /nfs/dbraw/zinc/01/95/09/339019509.db2.gz BRFWYVHOCWSOQU-IBGZPJMESA-N 0 3 307.441 4.254 20 0 DIADHN CCCN(Cc1cnc(C2CC2)nc1)[C@@H]1CCc2ccccc21 ZINC000459290609 339019532 /nfs/dbraw/zinc/01/95/32/339019532.db2.gz BRFWYVHOCWSOQU-LJQANCHMSA-N 0 3 307.441 4.254 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2c2cccs2)ccc1O ZINC000459295360 339019789 /nfs/dbraw/zinc/01/97/89/339019789.db2.gz PWNVBWSDXBRWNB-AWEZNQCLSA-N 0 3 303.427 4.190 20 0 DIADHN CN(Cc1cn(C2CCCC2)nc1-c1ccccn1)C1CCC1 ZINC000459341278 339022942 /nfs/dbraw/zinc/02/29/42/339022942.db2.gz JPZBISHJYOMPAW-UHFFFAOYSA-N 0 3 310.445 4.045 20 0 DIADHN c1c(CN2CCCCC2)c(-c2ccccn2)nn1C1CCCC1 ZINC000459369318 339025043 /nfs/dbraw/zinc/02/50/43/339025043.db2.gz WRNAGIIOUQSSGB-UHFFFAOYSA-N 0 3 310.445 4.046 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN(CC1CCC(F)(F)CC1)CC2 ZINC000459374662 339025469 /nfs/dbraw/zinc/02/54/69/339025469.db2.gz LQXNBZULLZOYCW-UHFFFAOYSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)C[C@@H](NCc1cnc(C2CC2)nc1)c1cccs1 ZINC000459374988 339025510 /nfs/dbraw/zinc/02/55/10/339025510.db2.gz PPBWKOVXEDPHIL-OAHLLOKOSA-N 0 3 301.459 4.293 20 0 DIADHN CC(C)OC1CC(N2CC=C(c3ccc(Cl)cc3)CC2)C1 ZINC000459396122 339026917 /nfs/dbraw/zinc/02/69/17/339026917.db2.gz VSGIGYAORSNMOU-UHFFFAOYSA-N 0 3 305.849 4.385 20 0 DIADHN CCOc1cc(CNC2(c3ccccc3)CCCC2)ccc1O ZINC000459417034 339028031 /nfs/dbraw/zinc/02/80/31/339028031.db2.gz BOICOCVRWSYPTB-UHFFFAOYSA-N 0 3 311.425 4.350 20 0 DIADHN CCOc1cc(CNC[C@@H](C)Sc2ccccc2)ccc1O ZINC000459417609 339028128 /nfs/dbraw/zinc/02/81/28/339028128.db2.gz FATWAGJLJWQMLG-CQSZACIVSA-N 0 3 317.454 4.061 20 0 DIADHN Cc1cccnc1OC1CCN(CC2CCC(F)(F)CC2)CC1 ZINC000459434347 339028921 /nfs/dbraw/zinc/02/89/21/339028921.db2.gz UPVCXADLYDFORG-UHFFFAOYSA-N 0 3 324.415 4.059 20 0 DIADHN c1ccc([C@H](NCc2ccc(C3CC3)cc2)[C@@H]2CCOC2)cc1 ZINC000459472249 339031174 /nfs/dbraw/zinc/03/11/74/339031174.db2.gz VPHIRMBKDKBPBZ-RTWAWAEBSA-N 0 3 307.437 4.431 20 0 DIADHN CCOc1cc(C[NH2+]CCCCCSC)cc(Cl)c1[O-] ZINC000459506074 339033026 /nfs/dbraw/zinc/03/30/26/339033026.db2.gz UCKSAUBJCQKXIO-UHFFFAOYSA-N 0 3 317.882 4.067 20 0 DIADHN c1ccc2c(c1)CO[C@@]21CCN(Cc2ccc(C3CC3)cc2)C1 ZINC000459513534 339033430 /nfs/dbraw/zinc/03/34/30/339033430.db2.gz BVQBJFMSRPJLQN-OAQYLSRUSA-N 0 3 305.421 4.195 20 0 DIADHN CC(C)c1c(NC2CCN(C3CC3)CC2)cnn1-c1ccccc1 ZINC000459530663 339034179 /nfs/dbraw/zinc/03/41/79/339034179.db2.gz SKMKFWYOYSDUQM-UHFFFAOYSA-N 0 3 324.472 4.034 20 0 DIADHN CCn1cc(CCN2CC3(CCCC3)[C@@H]2c2ccccc2)cn1 ZINC000459568851 339036308 /nfs/dbraw/zinc/03/63/08/339036308.db2.gz BUSMVWJJKRXVQF-IBGZPJMESA-N 0 3 309.457 4.063 20 0 DIADHN CC/C=C\CNCc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459577111 339036947 /nfs/dbraw/zinc/03/69/47/339036947.db2.gz LXFWJMNBZQOMSL-CLTKARDFSA-N 0 3 310.445 4.116 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H](C)c1nc2ccccc2o1 ZINC000459630231 339039185 /nfs/dbraw/zinc/03/91/85/339039185.db2.gz AOTSHUCPHWCIPX-HUUCEWRRSA-N 0 3 309.413 4.285 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@H](C)CCCc1cccnc1 ZINC000459643059 339039831 /nfs/dbraw/zinc/03/98/31/339039831.db2.gz TZJNYHBBTDLCNK-CQSZACIVSA-N 0 3 301.434 4.002 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H](C)Cc1ccn(C(C)C)n1 ZINC000459646411 339040173 /nfs/dbraw/zinc/04/01/73/339040173.db2.gz CBVVFVKCIDUOSE-CVEARBPZSA-N 0 3 315.461 4.063 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccsc1)c1nc2c(s1)CCC2 ZINC000459648970 339040341 /nfs/dbraw/zinc/04/03/41/339040341.db2.gz LFGCFLKRSNMMNT-NWDGAFQWSA-N 0 3 306.500 4.365 20 0 DIADHN COC1CCC(NCc2csc(-c3ccc(C)cc3)n2)CC1 ZINC000459669986 339042081 /nfs/dbraw/zinc/04/20/81/339042081.db2.gz DLNBEIDJDHWCLD-UHFFFAOYSA-N 0 3 316.470 4.166 20 0 DIADHN CN(Cc1nc(-c2ccco2)no1)[C@H]1CCC[C@H]1c1ccccc1 ZINC000459677169 339042665 /nfs/dbraw/zinc/04/26/65/339042665.db2.gz PJVUCQPYCKDKEA-HOTGVXAUSA-N 0 3 323.396 4.098 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000459689623 339043203 /nfs/dbraw/zinc/04/32/03/339043203.db2.gz ZFEHNTNEVYEDRL-JKSUJKDBSA-N 0 3 305.372 4.046 20 0 DIADHN C[C@H]1CCc2nc(CNC3CCC(C(F)F)CC3)sc2C1 ZINC000459711805 339043812 /nfs/dbraw/zinc/04/38/12/339043812.db2.gz MLPVQSXUAYJRSV-UNXYVOJBSA-N 0 3 314.445 4.181 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)co2)cc1 ZINC000459764206 339047073 /nfs/dbraw/zinc/04/70/73/339047073.db2.gz CNSPNYZUGSNOPY-FHLIZLRMSA-N 0 3 312.413 4.019 20 0 DIADHN Fc1ccccc1-c1cnc(CNC2(C3CCC3)CCC2)o1 ZINC000459768875 339047387 /nfs/dbraw/zinc/04/73/87/339047387.db2.gz LSJDSOBGPYTCKR-UHFFFAOYSA-N 0 3 300.377 4.293 20 0 DIADHN Clc1ccc(-c2nc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)co2)cc1 ZINC000459773357 339047674 /nfs/dbraw/zinc/04/76/74/339047674.db2.gz IMBIIRZKERLPFI-UHOFOFEASA-N 0 3 302.805 4.273 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000459783201 339048331 /nfs/dbraw/zinc/04/83/31/339048331.db2.gz ZNEPVQBUGZFTRP-SNPRPXQTSA-N 0 3 300.427 4.104 20 0 DIADHN C[C@@H](c1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1)C(F)(F)F ZINC000459787288 339048574 /nfs/dbraw/zinc/04/85/74/339048574.db2.gz DGRAKECTFAGCMN-BPNCWPANSA-N 0 3 301.377 4.042 20 0 DIADHN CCC[C@H](NCc1nnc(-c2cc(C)oc2C)o1)C1CCC1 ZINC000459811918 339049509 /nfs/dbraw/zinc/04/95/09/339049509.db2.gz VIVHGIQWZFDVEK-HNNXBMFYSA-N 0 3 303.406 4.005 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)CSC)c2ccccc2)cc1 ZINC000166084502 339053028 /nfs/dbraw/zinc/05/30/28/339053028.db2.gz BDHDSOBFMVMFMF-KBXCAEBGSA-N 0 3 301.455 4.126 20 0 DIADHN C[C@H](CCn1cccn1)N[C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000498929706 339056980 /nfs/dbraw/zinc/05/69/80/339056980.db2.gz OKAKIYFZQTUHOZ-DYVFJYSZSA-N 0 3 321.415 4.317 20 0 DIADHN C[C@@H](NCc1c2c(nn1C)CCCC2)c1ccc2ccccc2c1 ZINC000461083985 339058798 /nfs/dbraw/zinc/05/87/98/339058798.db2.gz GQALXHHVPWDUOV-OAHLLOKOSA-N 0 3 319.452 4.303 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1cccc(Cl)c1F ZINC000461084519 339058919 /nfs/dbraw/zinc/05/89/19/339058919.db2.gz DYQHNRBWHHZZDM-YUDUHTQSSA-N 0 3 311.828 4.333 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@@H]2NCCc1ccco1 ZINC000461101708 339059299 /nfs/dbraw/zinc/05/92/99/339059299.db2.gz TYBXFWVHMPGURD-HNNXBMFYSA-N 0 3 324.193 4.001 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461369141 339062487 /nfs/dbraw/zinc/06/24/87/339062487.db2.gz ZIAWOMBIEADNNU-SFHVURJKSA-N 0 3 319.395 4.397 20 0 DIADHN C[C@H](O)CCNCc1ccccc1Oc1ccc(F)cc1Cl ZINC000461429594 339063836 /nfs/dbraw/zinc/06/38/36/339063836.db2.gz SEBISTVMBSCXEA-LBPRGKRZSA-N 0 3 323.795 4.132 20 0 DIADHN CCN(CC)CCSCc1c(C)cc(C)c(C(C)=O)c1C ZINC000461527752 339064735 /nfs/dbraw/zinc/06/47/35/339064735.db2.gz YJQUWGVHNINRHR-UHFFFAOYSA-N 0 3 307.503 4.389 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1ncoc1-c1ccccc1)C2 ZINC000462120027 339072485 /nfs/dbraw/zinc/07/24/85/339072485.db2.gz IPHYDCVCPHOJGV-QGZVFWFLSA-N 0 3 324.811 4.252 20 0 DIADHN CCOc1ccccc1C1=CCN(CCCc2ccncc2)CC1 ZINC000462309910 339075545 /nfs/dbraw/zinc/07/55/45/339075545.db2.gz DOYXOXHULPAROM-UHFFFAOYSA-N 0 3 322.452 4.202 20 0 DIADHN CC(C)(O)CCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000462319089 339075789 /nfs/dbraw/zinc/07/57/89/339075789.db2.gz OIZLJWAAYIAXNT-OAHLLOKOSA-N 0 3 315.379 4.393 20 0 DIADHN C[C@@H](c1cccs1)N(CCC(C)(C)O)Cc1ccccc1 ZINC000462326523 339075878 /nfs/dbraw/zinc/07/58/78/339075878.db2.gz SRBGGHAIPVWWHQ-HNNXBMFYSA-N 0 3 303.471 4.472 20 0 DIADHN COCc1cccc(CN[C@@H](C)COc2c(C)cccc2C)c1 ZINC000462358199 339076588 /nfs/dbraw/zinc/07/65/88/339076588.db2.gz KBRRSDPRXIYLPP-KRWDZBQOSA-N 0 3 313.441 4.007 20 0 DIADHN COc1ccc(CN[C@H](C)COc2cccc(F)c2)cc1Cl ZINC000462360908 339076609 /nfs/dbraw/zinc/07/66/09/339076609.db2.gz RGTRWLCBFBESBE-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN CCCNC(=O)[C@H](C)N[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000462359583 339076620 /nfs/dbraw/zinc/07/66/20/339076620.db2.gz MYABQCGEUGOZLD-STQMWFEESA-N 0 3 318.848 4.059 20 0 DIADHN C[C@H](NC[C@H](O)C(F)(F)F)c1ccc(Cl)c2ccccc21 ZINC000462471095 339079514 /nfs/dbraw/zinc/07/95/14/339079514.db2.gz HOPSETQVEBXQPC-XPTSAGLGSA-N 0 3 317.738 4.067 20 0 DIADHN COc1cc(C)nc(CN[C@H]2c3ccccc3CCC[C@H]2C)c1 ZINC000462545565 339081141 /nfs/dbraw/zinc/08/11/41/339081141.db2.gz XQRBGXPCUQMVJQ-JLTOFOAXSA-N 0 3 310.441 4.202 20 0 DIADHN Cc1ccn2c(CN[C@H]3c4ccccc4CCC[C@H]3C)cnc2c1 ZINC000462559487 339081403 /nfs/dbraw/zinc/08/14/03/339081403.db2.gz ZIYHNCOAXQUYPY-IIBYNOLFSA-N 0 3 319.452 4.446 20 0 DIADHN CC[C@@H]1CCC[C@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000462671973 339083817 /nfs/dbraw/zinc/08/38/17/339083817.db2.gz DHYLCKIPFNOXAC-OCCSQVGLSA-N 0 3 316.367 4.081 20 0 DIADHN COc1ccc(CNCCO[C@@H]2CCCC[C@@H]2C)cc1Cl ZINC000462684624 339084011 /nfs/dbraw/zinc/08/40/11/339084011.db2.gz QYQYNRFOKZUKPC-XJKSGUPXSA-N 0 3 311.853 4.034 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2cccc(F)c2)c(Cl)c1 ZINC000462733640 339085450 /nfs/dbraw/zinc/08/54/50/339085450.db2.gz JUHKGYBRXCXTHC-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Fc1cccc(F)c1CNCCc1c[nH]c2ccc(Cl)cc12 ZINC000462733503 339085465 /nfs/dbraw/zinc/08/54/65/339085465.db2.gz DTBWORRVHPYRCL-UHFFFAOYSA-N 0 3 320.770 4.432 20 0 DIADHN Cc1ccccc1O[C@@H](C)CNCc1cccc(OC(F)F)c1 ZINC000462735869 339085703 /nfs/dbraw/zinc/08/57/03/339085703.db2.gz RATIRWJESYERGN-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN Cc1cccc(O[C@H](C)CNCc2cccc(OC(F)F)c2)c1 ZINC000462736102 339085762 /nfs/dbraw/zinc/08/57/62/339085762.db2.gz MVTWHFNGWZXDJV-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@@H](CNC/C=C/c1ccccc1)c1ccc(Cl)cc1 ZINC000462740444 339086184 /nfs/dbraw/zinc/08/61/84/339086184.db2.gz HUWVNPWRAYNXIP-MOTZXUDYSA-N 0 3 301.817 4.331 20 0 DIADHN COc1cccc(O[C@@H](C)CNCc2ccc(Cl)c(F)c2)c1 ZINC000462741158 339086393 /nfs/dbraw/zinc/08/63/93/339086393.db2.gz SKQUVTXAIUSZND-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CO[C@H](CNCc1cc(C)ccc1C)c1cccc(Cl)c1 ZINC000462745664 339086410 /nfs/dbraw/zinc/08/64/10/339086410.db2.gz LLDNKSKYWZHNOQ-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN Cc1cccc(O[C@@H](C)CNCc2ccccc2OC(F)F)c1 ZINC000462744113 339086481 /nfs/dbraw/zinc/08/64/81/339086481.db2.gz YIHGUUWPUBYMGJ-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccccc2F)c(Cl)c1 ZINC000462744789 339086484 /nfs/dbraw/zinc/08/64/84/339086484.db2.gz WVLYKIKCTOZJEW-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Brc1ccccc1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC000462752425 339086899 /nfs/dbraw/zinc/08/68/99/339086899.db2.gz ASMCKOOESNYRTJ-RDJZCZTQSA-N 0 3 316.242 4.170 20 0 DIADHN CO[C@H](CNC/C=C\c1ccccc1)c1cccc(Cl)c1 ZINC000462752796 339087063 /nfs/dbraw/zinc/08/70/63/339087063.db2.gz IWNODXYCEOFACW-OTIVFRFGSA-N 0 3 301.817 4.331 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)o1)Oc1ccc(Cl)cc1 ZINC000462753013 339087099 /nfs/dbraw/zinc/08/70/99/339087099.db2.gz CJOSLLPQCOYLRY-JTQLQIEISA-N 0 3 300.185 4.144 20 0 DIADHN CO[C@@H](CNCc1ccc(C)c(F)c1)c1cccc(Cl)c1 ZINC000462756895 339087143 /nfs/dbraw/zinc/08/71/43/339087143.db2.gz RHIKKRRZVZHFNS-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN CCC(CC)(CNCc1cn2ccccc2n1)c1ccccc1 ZINC000462756091 339087151 /nfs/dbraw/zinc/08/71/51/339087151.db2.gz YQICOUDRTDOTOS-UHFFFAOYSA-N 0 3 307.441 4.182 20 0 DIADHN CO[C@@H](CNCc1ccccc1Cl)c1cccc(Cl)c1 ZINC000462756499 339087192 /nfs/dbraw/zinc/08/71/92/339087192.db2.gz NUTHGYGQPJINGI-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)NCc2cn(C)nc2C(F)F)cc1 ZINC000462775114 339088074 /nfs/dbraw/zinc/08/80/74/339088074.db2.gz GRVKTFYUDPWSCU-CYBMUJFWSA-N 0 3 321.415 4.202 20 0 DIADHN COc1ccc(F)cc1CNC[C@H](C)c1ccc(F)cc1F ZINC000462784423 339088395 /nfs/dbraw/zinc/08/83/95/339088395.db2.gz CRTFNPZTDFBMOO-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN C[C@@H](NCC[C@@H]1CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462807683 339090443 /nfs/dbraw/zinc/09/04/43/339090443.db2.gz MRUCPLRSGVJVPU-CHWSQXEVSA-N 0 3 320.433 4.026 20 0 DIADHN CC[C@@H](NC[C@](C)(OC)C1CC1)c1ccccc1OC(F)F ZINC000462808889 339090578 /nfs/dbraw/zinc/09/05/78/339090578.db2.gz QBFNRUIVPSUOPE-PBHICJAKSA-N 0 3 313.388 4.144 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@](C)(OC)C3CC3)oc21 ZINC000462809151 339090581 /nfs/dbraw/zinc/09/05/81/339090581.db2.gz WQVVCVGJXSOHDZ-DJJJIMSYSA-N 0 3 317.429 4.297 20 0 DIADHN CC[C@H](NCc1c2c(nn1C)CCCC2)c1cccc(Cl)c1 ZINC000462814582 339091245 /nfs/dbraw/zinc/09/12/45/339091245.db2.gz VCMSDDVRAPLFGV-INIZCTEOSA-N 0 3 317.864 4.193 20 0 DIADHN C=Cc1ccc(CCN[C@H](COC)c2ccc(F)c(F)c2)cc1 ZINC000462818939 339091749 /nfs/dbraw/zinc/09/17/49/339091749.db2.gz LPIJFDWDVGUCFF-LJQANCHMSA-N 0 3 317.379 4.128 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000462819093 339091825 /nfs/dbraw/zinc/09/18/25/339091825.db2.gz WQOXDXBAJMEYSP-ACJLOTCBSA-N 0 3 316.470 4.276 20 0 DIADHN CCN(Cc1ccc(Cl)c(C(F)(F)F)c1)C[C@H](C)OC ZINC000462829351 339092816 /nfs/dbraw/zinc/09/28/16/339092816.db2.gz BQUAGNXFMAGSNJ-JTQLQIEISA-N 0 3 309.759 4.216 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2OC)cc1)C[C@@H](C)OC ZINC000462832974 339093136 /nfs/dbraw/zinc/09/31/36/339093136.db2.gz NEBBFUYYVYRDIN-MRXNPFEDSA-N 0 3 313.441 4.219 20 0 DIADHN C[C@@H](CNc1ccnc2ccsc21)N(C)Cc1ccccc1 ZINC000462839592 339093539 /nfs/dbraw/zinc/09/35/39/339093539.db2.gz NOLYUMTVYNVPOM-AWEZNQCLSA-N 0 3 311.454 4.229 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccncc2)C1(C)C ZINC000462843940 339093867 /nfs/dbraw/zinc/09/38/67/339093867.db2.gz KKRGFZCTQAJYBP-ZCNNSNEGSA-N 0 3 324.468 4.353 20 0 DIADHN Cc1ccc(-c2ncc(CN(C(C)C)[C@H]3CCOC3)s2)cc1 ZINC000462871662 339095424 /nfs/dbraw/zinc/09/54/24/339095424.db2.gz QKEKUNHOZDFCTQ-INIZCTEOSA-N 0 3 316.470 4.118 20 0 DIADHN CC(C)N(Cc1ccc(-c2cccc(F)c2F)o1)[C@@H]1CCOC1 ZINC000462872924 339095631 /nfs/dbraw/zinc/09/56/31/339095631.db2.gz WQXJPEVRAAKEML-CYBMUJFWSA-N 0 3 321.367 4.224 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1Nc1cccc(CN2CC[C@@H](O)C2)c1 ZINC000462878348 339096097 /nfs/dbraw/zinc/09/60/97/339096097.db2.gz RFIHBVTYFFAMHS-RLLQIKCJSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cn(C)nc1C(F)F ZINC000462899580 339096703 /nfs/dbraw/zinc/09/67/03/339096703.db2.gz ADRHVWMKQRBASB-BLLLJJGKSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cn(C)nc1C(F)F ZINC000462899583 339096736 /nfs/dbraw/zinc/09/67/36/339096736.db2.gz ADRHVWMKQRBASB-WBMJQRKESA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cn(C)nc1C(F)F ZINC000462901941 339096923 /nfs/dbraw/zinc/09/69/23/339096923.db2.gz YULBGLIYZWODFF-UKRRQHHQSA-N 0 3 319.399 4.126 20 0 DIADHN Fc1ccccc1N1CC[C@@H](CNCc2ccccc2Cl)C1 ZINC000462906725 339097122 /nfs/dbraw/zinc/09/71/22/339097122.db2.gz CBBNUGYQNXAVAF-AWEZNQCLSA-N 0 3 318.823 4.095 20 0 DIADHN CO[C@@](C)(CNCc1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000462905638 339097195 /nfs/dbraw/zinc/09/71/95/339097195.db2.gz ISKUFGFJBMQARF-AWEZNQCLSA-N 0 3 321.770 4.264 20 0 DIADHN CN(C)[C@H](CNc1ccnc2ccsc21)c1cccc(F)c1 ZINC000462917438 339098004 /nfs/dbraw/zinc/09/80/04/339098004.db2.gz SYVBUIOQZKIZEU-MRXNPFEDSA-N 0 3 315.417 4.150 20 0 DIADHN CO[C@H](CNc1ccnc2ccccc21)c1cccc(Cl)c1 ZINC000462933521 339098307 /nfs/dbraw/zinc/09/83/07/339098307.db2.gz UEWHUABZBACMNA-GOSISDBHSA-N 0 3 312.800 4.110 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2Cc2cn(C)nc2C(F)F)c1 ZINC000462952022 339098748 /nfs/dbraw/zinc/09/87/48/339098748.db2.gz HTTLAPDTMVFNON-MRXNPFEDSA-N 0 3 319.399 4.312 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)Cc1cn(C)nc1C(F)F ZINC000462954239 339099012 /nfs/dbraw/zinc/09/90/12/339099012.db2.gz PQCQSUKBUZPREK-INIZCTEOSA-N 0 3 321.415 4.119 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000463011712 339100347 /nfs/dbraw/zinc/10/03/47/339100347.db2.gz PSIQWWBFYZXWSD-HNAYVOBHSA-N 0 3 315.844 4.037 20 0 DIADHN CCN(Cc1ccc(-c2ccc(F)cc2)s1)[C@H]1CCOC1 ZINC000463029926 339101613 /nfs/dbraw/zinc/10/16/13/339101613.db2.gz UIHGZECWEBJNKX-HNNXBMFYSA-N 0 3 305.418 4.165 20 0 DIADHN Cc1ccc(-c2cccc(CN3CC[C@H](n4cccn4)C3)c2)cc1 ZINC000463035189 339102142 /nfs/dbraw/zinc/10/21/42/339102142.db2.gz HWOCVULXSXVJEH-NRFANRHFSA-N 0 3 317.436 4.306 20 0 DIADHN CCN(Cc1c(OC(C)C)ccc2ccccc21)[C@H]1CCOC1 ZINC000463037494 339102363 /nfs/dbraw/zinc/10/23/63/339102363.db2.gz TXTWBCZECXRRKL-KRWDZBQOSA-N 0 3 313.441 4.238 20 0 DIADHN CCN(Cc1ccc(F)c(-c2cccs2)c1)[C@H]1CCOC1 ZINC000463039198 339102513 /nfs/dbraw/zinc/10/25/13/339102513.db2.gz YUDNSOXJTPIBKE-AWEZNQCLSA-N 0 3 305.418 4.165 20 0 DIADHN Fc1ccccc1C1CN(Cc2cccc(-c3ccncc3)c2)C1 ZINC000463041628 339102717 /nfs/dbraw/zinc/10/27/17/339102717.db2.gz ZKSZBHQLWHVWQO-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN CCN(CCc1ccccc1)Cc1cncc(C(F)(F)F)c1 ZINC000463073311 339104302 /nfs/dbraw/zinc/10/43/02/339104302.db2.gz IIMBXPYHLJSHKG-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CCC[C@@H](C)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463073914 339104407 /nfs/dbraw/zinc/10/44/07/339104407.db2.gz LIAJXCGEMROGRI-SNVBAGLBSA-N 0 3 311.223 4.211 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1cncc(C(F)(F)F)c1 ZINC000463084344 339105153 /nfs/dbraw/zinc/10/51/53/339105153.db2.gz JURQNCSEHJRNQR-SUMWQHHRSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1cncc(C(F)(F)F)c1 ZINC000463084342 339105163 /nfs/dbraw/zinc/10/51/63/339105163.db2.gz JURQNCSEHJRNQR-DYVFJYSZSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3ccc(Br)cc3o2)[C@@H]1C ZINC000463092601 339105555 /nfs/dbraw/zinc/10/55/55/339105555.db2.gz KLEAVKUHDNHCCV-GHMZBOCLSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1cncc(C(F)(F)F)c1 ZINC000463092643 339105568 /nfs/dbraw/zinc/10/55/68/339105568.db2.gz YGUVFDXHCZBRCA-BBRMVZONSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H]1CC[C@H](C)N(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463100294 339105674 /nfs/dbraw/zinc/10/56/74/339105674.db2.gz XYFFINYMHWHNTJ-MNOVXSKESA-N 0 3 323.234 4.211 20 0 DIADHN C[C@H]1CN(Cc2nc3ccc(Br)cc3o2)C(C)(C)C1 ZINC000463107466 339105982 /nfs/dbraw/zinc/10/59/82/339105982.db2.gz TYAOJTXKXGLMRX-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@@H]1C[C@H]1c1ccccc1 ZINC000463122255 339106511 /nfs/dbraw/zinc/10/65/11/339106511.db2.gz IDBZOSAVWLQQJZ-IRXDYDNUSA-N 0 3 319.452 4.202 20 0 DIADHN C[C@H](C1CCC1)N(C)Cc1nc2ccc(Br)cc2o1 ZINC000463157489 339107527 /nfs/dbraw/zinc/10/75/27/339107527.db2.gz YGULLDQPILYCDO-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2Cc2ccc(F)c(F)c2)C1 ZINC000463194279 339108740 /nfs/dbraw/zinc/10/87/40/339108740.db2.gz QSUMUZNGFSWWLH-MDUILUSMSA-N 0 3 323.427 4.116 20 0 DIADHN CC1(C)CCC[C@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463215808 339109801 /nfs/dbraw/zinc/10/98/01/339109801.db2.gz YMNIUIAFSCNCKI-CYBMUJFWSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@@H]1CC[C@H](N(C)Cc2nc3ccc(Br)cc3o2)C1 ZINC000463216824 339110052 /nfs/dbraw/zinc/11/00/52/339110052.db2.gz YIGQNKPOPNYGPG-PWSUYJOCSA-N 0 3 323.234 4.211 20 0 DIADHN CC(C)(O)CCN[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000463230516 339110665 /nfs/dbraw/zinc/11/06/65/339110665.db2.gz PCBBJRPEPWJKOP-KRWDZBQOSA-N 0 3 303.833 4.180 20 0 DIADHN Clc1cccc(C2CCN(C[C@@H]3CCCO3)CC2)c1Cl ZINC000463371568 339115940 /nfs/dbraw/zinc/11/59/40/339115940.db2.gz VMEDCENVPFSSAT-ZDUSSCGKSA-N 0 3 314.256 4.352 20 0 DIADHN CCN(C(=O)C(C)C(F)(F)F)c1ccc(Br)cc1 ZINC000463413139 339116987 /nfs/dbraw/zinc/11/69/87/339116987.db2.gz GWBOUCCEDPBEEB-QMMMGPOBSA-N 0 3 324.140 4.000 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000463437658 339117629 /nfs/dbraw/zinc/11/76/29/339117629.db2.gz QLBIRUNFCFMJJV-HNAYVOBHSA-N 0 3 315.844 4.037 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@](CO)(c2ccccc2)C1 ZINC000463437660 339117699 /nfs/dbraw/zinc/11/76/99/339117699.db2.gz QLBIRUNFCFMJJV-KXBFYZLASA-N 0 3 315.844 4.037 20 0 DIADHN Cc1ccc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)c(C)n1 ZINC000463439358 339117921 /nfs/dbraw/zinc/11/79/21/339117921.db2.gz ABPZSVCQXXGFSS-CABCVRRESA-N 0 3 300.368 4.251 20 0 DIADHN c1cc(-c2noc(CN[C@@H]3CCCCC34CCCC4)n2)cs1 ZINC000463442729 339118007 /nfs/dbraw/zinc/11/80/07/339118007.db2.gz VSLPWUUBUBOOBF-CQSZACIVSA-N 0 3 317.458 4.391 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@H]1C[C@H](OC(C)C)C1(C)C ZINC000463573780 339121767 /nfs/dbraw/zinc/12/17/67/339121767.db2.gz GKADMZJNSJEYEF-JQFCIGGWSA-N 0 3 321.509 4.189 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@@H]1C[C@H](OC(C)C)C1(C)C ZINC000463573782 339121839 /nfs/dbraw/zinc/12/18/39/339121839.db2.gz GKADMZJNSJEYEF-XKQJLSEDSA-N 0 3 321.509 4.189 20 0 DIADHN COc1ccsc1CNCCc1c(C)oc2ccccc21 ZINC000463716637 339124431 /nfs/dbraw/zinc/12/44/31/339124431.db2.gz RXKRXIMXJNVNQO-UHFFFAOYSA-N 0 3 301.411 4.144 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(OC(F)F)c(Cl)c1 ZINC000463719368 339124589 /nfs/dbraw/zinc/12/45/89/339124589.db2.gz RJIULZDUOJWLTD-SSDOTTSWSA-N 0 3 317.685 4.372 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cc(Cl)cc2cccnc21 ZINC000463727850 339124790 /nfs/dbraw/zinc/12/47/90/339124790.db2.gz JXLZXXWMRDNFEE-SECBINFHSA-N 0 3 302.727 4.319 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](c1cnn(C)c1)C(C)(C)C ZINC000463741279 339125370 /nfs/dbraw/zinc/12/53/70/339125370.db2.gz ZJDKDOUIUUDGDD-MRXNPFEDSA-N 0 3 305.853 4.259 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)Nc1cccc(C2CCC2)c1 ZINC000463826159 339126690 /nfs/dbraw/zinc/12/66/90/339126690.db2.gz QWUWEWYJIPGODK-UHFFFAOYSA-N 0 3 317.477 4.006 20 0 DIADHN COC[C@@H](C)N[C@H](Cc1ccccc1Cl)c1ccccc1 ZINC000463901808 339128395 /nfs/dbraw/zinc/12/83/95/339128395.db2.gz LMQNZGTYEMLXRN-RDTXWAMCSA-N 0 3 303.833 4.248 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCc1csc2ccccc12 ZINC000464059446 339132346 /nfs/dbraw/zinc/13/23/46/339132346.db2.gz YAFRKEDSPILVMK-UHFFFAOYSA-N 0 3 313.470 4.319 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCOc1ccc(C(C)C)cc1 ZINC000464065040 339132796 /nfs/dbraw/zinc/13/27/96/339132796.db2.gz HMAOVZVHMYIUQS-UHFFFAOYSA-N 0 3 315.461 4.064 20 0 DIADHN COC[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@@H]1C)c1ccc(F)cc1 ZINC000464066859 339132828 /nfs/dbraw/zinc/13/28/28/339132828.db2.gz IPEOOOCCSKVPSZ-PSAKCFHXSA-N 0 3 313.416 4.295 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000464068281 339132911 /nfs/dbraw/zinc/13/29/11/339132911.db2.gz RGBXSUOSQSTEHE-MGPQQGTHSA-N 0 3 311.828 4.164 20 0 DIADHN Cc1nn(C(C)C)cc1CNCC1(c2ccc(Cl)cc2)CC1 ZINC000464076993 339133327 /nfs/dbraw/zinc/13/33/27/339133327.db2.gz ZMNNTEWRFATDNB-UHFFFAOYSA-N 0 3 317.864 4.247 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1C[C@H](c2ccccc2)C1(C)C ZINC000464079292 339133436 /nfs/dbraw/zinc/13/34/36/339133436.db2.gz YDHBPQKKEJJWLC-VQIMIIECSA-N 0 3 312.457 4.471 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1C[C@@H](c2ccccc2)C1(C)C ZINC000464079290 339133511 /nfs/dbraw/zinc/13/35/11/339133511.db2.gz YDHBPQKKEJJWLC-LPHOPBHVSA-N 0 3 312.457 4.471 20 0 DIADHN Cc1sc(CN[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)nc1C(C)C ZINC000464080002 339133587 /nfs/dbraw/zinc/13/35/87/339133587.db2.gz XIIJDQDKYPYBLG-BZUAXINKSA-N 0 3 322.518 4.260 20 0 DIADHN c1ccc(COC2CC(NCc3ccc4ccccc4n3)C2)cc1 ZINC000464086795 339133970 /nfs/dbraw/zinc/13/39/70/339133970.db2.gz WURZENQSXROVBY-UHFFFAOYSA-N 0 3 318.420 4.072 20 0 DIADHN CC(C)CN(Cc1nnc(-c2ccccc2)s1)CC(C)C ZINC000464113979 339134519 /nfs/dbraw/zinc/13/45/19/339134519.db2.gz YGUCHLYTZMYWRM-UHFFFAOYSA-N 0 3 303.475 4.319 20 0 DIADHN CC(C)CCC[C@H](C)N(CCC(C)C)Cc1cc(=O)c(O)co1 ZINC000464741686 339150613 /nfs/dbraw/zinc/15/06/13/339150613.db2.gz VDRYRQUILVIUKY-INIZCTEOSA-N 0 3 323.477 4.408 20 0 DIADHN CC(C)CCC[C@@H](C)N(CCC(C)C)Cc1cc(=O)c(O)co1 ZINC000464741687 339150759 /nfs/dbraw/zinc/15/07/59/339150759.db2.gz VDRYRQUILVIUKY-MRXNPFEDSA-N 0 3 323.477 4.408 20 0 DIADHN Cc1ccc(-c2cc(CN(C)CCc3cccs3)on2)cc1 ZINC000464755158 339151341 /nfs/dbraw/zinc/15/13/41/339151341.db2.gz HDLHGDHQHGRTMM-UHFFFAOYSA-N 0 3 312.438 4.386 20 0 DIADHN COC(=O)CCCN(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464766019 339151908 /nfs/dbraw/zinc/15/19/08/339151908.db2.gz RSOXNGLMOZBJRE-INIZCTEOSA-N 0 3 311.425 4.300 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(Cl)ccc2OC)C1 ZINC000464768939 339152209 /nfs/dbraw/zinc/15/22/09/339152209.db2.gz CPKQRNNKIWBBFM-GJZGRUSLSA-N 0 3 313.894 4.455 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2CCOCC(F)(F)F)c1C ZINC000464772014 339152466 /nfs/dbraw/zinc/15/24/66/339152466.db2.gz FAUCYIAAPVNKJP-INIZCTEOSA-N 0 3 315.379 4.409 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2ccc(OC(F)F)cc2)CCS1 ZINC000464787121 339153703 /nfs/dbraw/zinc/15/37/03/339153703.db2.gz XDFDOMMGRTYVLI-SMDDNHRTSA-N 0 3 301.402 4.176 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)N(C)Cc1ccn(C(C)C)n1 ZINC000464786686 339153776 /nfs/dbraw/zinc/15/37/76/339153776.db2.gz PJYIPQBDXIYHTN-IBGZPJMESA-N 0 3 317.452 4.302 20 0 DIADHN CC[C@](C)(NCCCOc1ccc(F)cc1)c1nc(C)cs1 ZINC000464804987 339154631 /nfs/dbraw/zinc/15/46/31/339154631.db2.gz IVLCSWIVYSHMCV-KRWDZBQOSA-N 0 3 322.449 4.275 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N1C[C@H](C)[C@H](C)C1 ZINC000464845660 339157155 /nfs/dbraw/zinc/15/71/55/339157155.db2.gz OHLRHMTUYKUCTG-CAOSSQGBSA-N 0 3 302.462 4.115 20 0 DIADHN COc1ccccc1OCCN1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000464846739 339157385 /nfs/dbraw/zinc/15/73/85/339157385.db2.gz BLNBQJSNCSCQDZ-HZPDHXFCSA-N 0 3 315.413 4.140 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C)C[C@H]2c2ccco2)cc1 ZINC000464850227 339157804 /nfs/dbraw/zinc/15/78/04/339157804.db2.gz MQRPUBRRMRMVDS-PBHICJAKSA-N 0 3 313.397 4.039 20 0 DIADHN c1ccc(Oc2ccccc2CN2CC[C@@]3(CCOC3)C2)cc1 ZINC000464852156 339157816 /nfs/dbraw/zinc/15/78/16/339157816.db2.gz IKAJLMPPCUJWCC-HXUWFJFHSA-N 0 3 309.409 4.091 20 0 DIADHN COc1ccc(OCCN2CC[C@@H](C)C[C@@H]2c2ccco2)cc1 ZINC000464863073 339158849 /nfs/dbraw/zinc/15/88/49/339158849.db2.gz ZJUINLALIGTRMO-CRAIPNDOSA-N 0 3 315.413 4.140 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2ccc(C)cc2)cc1F ZINC000464864149 339159041 /nfs/dbraw/zinc/15/90/41/339159041.db2.gz HGPKMNOTVRWJPU-CQSZACIVSA-N 0 3 315.388 4.114 20 0 DIADHN COC(=O)c1cc(CN[C@@H](c2ccccc2)C(C)C)c(C)s1 ZINC000464866100 339159098 /nfs/dbraw/zinc/15/90/98/339159098.db2.gz OLDGWGJBFQCZRX-QGZVFWFLSA-N 0 3 317.454 4.330 20 0 DIADHN Fc1ccccc1-c1nc(CN2C[C@H]3CCC[C@@H]3C2)cs1 ZINC000464895461 339160746 /nfs/dbraw/zinc/16/07/46/339160746.db2.gz PWUBVHFEKDVONN-CHWSQXEVSA-N 0 3 302.418 4.181 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2nc3cc(F)ccc3o2)cc1 ZINC000464894977 339160790 /nfs/dbraw/zinc/16/07/90/339160790.db2.gz PDBOMNWKNODTSM-CQSZACIVSA-N 0 3 312.388 4.338 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)C(C)(C)C ZINC000465007065 339165211 /nfs/dbraw/zinc/16/52/11/339165211.db2.gz TYURDLIZPGIRRT-QGZVFWFLSA-N 0 3 305.393 4.236 20 0 DIADHN CCOCCCCNCc1ccc(-c2ccc(CC)cc2)o1 ZINC000465019686 339165566 /nfs/dbraw/zinc/16/55/66/339165566.db2.gz UCBCETLWPZBFCT-UHFFFAOYSA-N 0 3 301.430 4.415 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccccc2Cl)o1)C(C)C ZINC000465017764 339165624 /nfs/dbraw/zinc/16/56/24/339165624.db2.gz VFIOGOLMBYMKNL-KRWDZBQOSA-N 0 3 307.821 4.361 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC(C)(C)CC)c1ccsc1 ZINC000465034583 339166237 /nfs/dbraw/zinc/16/62/37/339166237.db2.gz KGFBQOLATXLNDU-OAHLLOKOSA-N 0 3 310.507 4.074 20 0 DIADHN CCc1oc2ccccc2c1CNCCCC(=O)OC(C)(C)C ZINC000465064688 339167438 /nfs/dbraw/zinc/16/74/38/339167438.db2.gz IISOIWJMPRDRSN-UHFFFAOYSA-N 0 3 317.429 4.207 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000466362335 339200720 /nfs/dbraw/zinc/20/07/20/339200720.db2.gz LZOJYKINNCDBIJ-RBSFLKMASA-N 0 3 323.864 4.034 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2cn(C)nc2C(C)(C)C)C1 ZINC000466403816 339202785 /nfs/dbraw/zinc/20/27/85/339202785.db2.gz HNLBPRILQKOGEJ-JCNLHEQBSA-N 0 3 311.473 4.062 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc(-n3cccn3)c2)cc1 ZINC000466410790 339203202 /nfs/dbraw/zinc/20/32/02/339203202.db2.gz URBQHBKATUKQPN-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN OC[C@H](CNCc1cnc(C2CCCC2)s1)CC1CCCC1 ZINC000466417033 339203623 /nfs/dbraw/zinc/20/36/23/339203623.db2.gz NGUCZGNUYVQPDN-HNNXBMFYSA-N 0 3 322.518 4.079 20 0 DIADHN c1coc(-c2ncc(CNC3CC(c4ccccc4)C3)s2)c1 ZINC000466421401 339203966 /nfs/dbraw/zinc/20/39/66/339203966.db2.gz KTBFHWATZBUCLJ-UHFFFAOYSA-N 0 3 310.422 4.439 20 0 DIADHN C[C@@H]1CCC[C@H]1CNCc1csc(COc2ccccc2)n1 ZINC000466421096 339204026 /nfs/dbraw/zinc/20/40/26/339204026.db2.gz BTUYIWRMAZJEBS-CABCVRRESA-N 0 3 316.470 4.248 20 0 DIADHN Cc1csc(CNC2(Cc3ccccc3)CCCCC2)n1 ZINC000466454667 339205766 /nfs/dbraw/zinc/20/57/66/339205766.db2.gz MFGXZVSLKXAVAB-UHFFFAOYSA-N 0 3 300.471 4.487 20 0 DIADHN Cc1ccc(CNC2CC(c3ccc(F)c(Cl)c3)C2)nc1 ZINC000466472394 339206440 /nfs/dbraw/zinc/20/64/40/339206440.db2.gz HMYKOAMXCCTGSC-UHFFFAOYSA-N 0 3 304.796 4.218 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CC(C)(C)CC(C)(C)C2)c1 ZINC000466704374 339213516 /nfs/dbraw/zinc/21/35/16/339213516.db2.gz RGQTZQYDKPAEFR-UHFFFAOYSA-N 0 3 317.477 4.038 20 0 DIADHN COc1cc(CN2CC[C@H](CC(C)C)C2)ccc1OC(F)F ZINC000466716238 339213938 /nfs/dbraw/zinc/21/39/38/339213938.db2.gz GAEWYMCVIZCZHL-CQSZACIVSA-N 0 3 313.388 4.165 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N2CC[C@@H](CC(C)C)C2)c1 ZINC000466718725 339214069 /nfs/dbraw/zinc/21/40/69/339214069.db2.gz ORTWGZMSKDMILL-GJZGRUSLSA-N 0 3 320.502 4.104 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N2CC[C@@H](CC(C)C)C2)c1 ZINC000466718724 339214073 /nfs/dbraw/zinc/21/40/73/339214073.db2.gz ORTWGZMSKDMILL-CABCVRRESA-N 0 3 320.502 4.104 20 0 DIADHN CC/C=C\CCN[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000466816877 339217961 /nfs/dbraw/zinc/21/79/61/339217961.db2.gz ZXESBSIUORDFOU-ZRHASKPBSA-N 0 3 308.425 4.312 20 0 DIADHN CC/C=C/CCN[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000466816876 339218026 /nfs/dbraw/zinc/21/80/26/339218026.db2.gz ZXESBSIUORDFOU-RTLBZRNLSA-N 0 3 308.425 4.312 20 0 DIADHN CC1(C)Cc2cccc(CNCc3cccc4cc[nH]c43)c2O1 ZINC000466895702 339220336 /nfs/dbraw/zinc/22/03/36/339220336.db2.gz KNEYOTAXSDCVAG-UHFFFAOYSA-N 0 3 306.409 4.171 20 0 DIADHN C[C@H](NCc1ccc2c(c1)ncn2C)c1cc2ccccc2o1 ZINC000466918351 339221481 /nfs/dbraw/zinc/22/14/81/339221481.db2.gz LPBQHCVRYJTEKJ-ZDUSSCGKSA-N 0 3 305.381 4.170 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1csc(Cc2ccccc2)n1 ZINC000466932862 339222114 /nfs/dbraw/zinc/22/21/14/339222114.db2.gz DRTRXPSMTSCFCQ-WMLDXEAASA-N 0 3 300.471 4.354 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1csc(-c2ccc(OC)cc2)n1 ZINC000466932055 339222150 /nfs/dbraw/zinc/22/21/50/339222150.db2.gz FCEJVRFKMFSCNJ-CJNGLKHVSA-N 0 3 316.470 4.439 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2c(CC)noc2C)C12CCCCC2 ZINC000466967122 339222982 /nfs/dbraw/zinc/22/29/82/339222982.db2.gz MDVWJFJAKPCZDZ-ZWKOTPCHSA-N 0 3 320.477 4.105 20 0 DIADHN C[C@H](NCC1(c2ccccc2)CCCCCC1)c1cnccn1 ZINC000466985771 339223401 /nfs/dbraw/zinc/22/34/01/339223401.db2.gz PFMPPGVUGNFOQQ-KRWDZBQOSA-N 0 3 309.457 4.419 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCCc2cccc(F)c2)o1 ZINC000467116656 339226659 /nfs/dbraw/zinc/22/66/59/339226659.db2.gz INJILXBFFDNZDY-GOSISDBHSA-N 0 3 317.404 4.335 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCCc2ccsc2)o1 ZINC000467117390 339226773 /nfs/dbraw/zinc/22/67/73/339226773.db2.gz KYZDTDYPRLYDOD-INIZCTEOSA-N 0 3 305.443 4.257 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCO[C@@H]2CCCC[C@@H]2C)o1 ZINC000467119354 339226951 /nfs/dbraw/zinc/22/69/51/339226951.db2.gz BCYAJMNLCARVAQ-RYQLBKOJSA-N 0 3 321.461 4.158 20 0 DIADHN C[C@H](c1ccc(OC(F)(F)F)cc1)N(C)C[C@@H]1CCCCO1 ZINC000467186801 339227306 /nfs/dbraw/zinc/22/73/06/339227306.db2.gz NHPORSXXUUVDNI-DOMZBBRYSA-N 0 3 317.351 4.147 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCC3(CCCCC3)O2)cc1F ZINC000467189995 339227544 /nfs/dbraw/zinc/22/75/44/339227544.db2.gz DMNVIRKFXFWPRS-HOCLYGCPSA-N 0 3 321.436 4.367 20 0 DIADHN CCc1cnccc1[C@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000467196798 339227844 /nfs/dbraw/zinc/22/78/44/339227844.db2.gz RHNJAJRNEUEDLO-DOTOQJQBSA-N 0 3 302.462 4.177 20 0 DIADHN Cn1cccc1[C@H]1CCCN1C[C@@H]1CCC2(CCCCC2)O1 ZINC000467197658 339227918 /nfs/dbraw/zinc/22/79/18/339227918.db2.gz UNTRAXQUTVLKQE-FUHWJXTLSA-N 0 3 302.462 4.044 20 0 DIADHN C[C@H](NC[C@H](O)CCc1ccccc1)c1cc2ccccc2o1 ZINC000467276260 339229597 /nfs/dbraw/zinc/22/95/97/339229597.db2.gz ZRFNRGJCJJHLGP-MAUKXSAKSA-N 0 3 309.409 4.077 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cccc(C(F)(F)F)c1)c1ccccn1 ZINC000467305977 339230723 /nfs/dbraw/zinc/23/07/23/339230723.db2.gz WDJOLAOVOZGELQ-QWHCGFSZSA-N 0 3 308.347 4.382 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCCSc1ccccc1 ZINC000467305710 339230764 /nfs/dbraw/zinc/23/07/64/339230764.db2.gz LRLCAVITWPYCAH-QGZVFWFLSA-N 0 3 317.429 4.204 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCc2cc(F)c(OC)cc21 ZINC000467331496 339231945 /nfs/dbraw/zinc/23/19/45/339231945.db2.gz MFXNQIFNXSBTHI-KZULUSFZSA-N 0 3 314.404 4.130 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@@H]1CCCc2c[nH]nc21 ZINC000467333814 339232194 /nfs/dbraw/zinc/23/21/94/339232194.db2.gz DLFDMPDYHINKLV-IAQYHMDHSA-N 0 3 323.362 4.027 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](NCc2ccc(F)cc2F)C1 ZINC000467338634 339232341 /nfs/dbraw/zinc/23/23/41/339232341.db2.gz LMNKASYGTUJMQG-RHSMWYFYSA-N 0 3 316.395 4.484 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1c2ccccc2C[C@H]1C ZINC000467348211 339232978 /nfs/dbraw/zinc/23/29/78/339232978.db2.gz RDKLTMMHJFJPGQ-OACQNMCBSA-N 0 3 311.400 4.345 20 0 DIADHN CC[C@@H](N[C@@H](C)[C@@H](C)OC)c1cc(F)cc(Br)c1 ZINC000467364459 339234311 /nfs/dbraw/zinc/23/43/11/339234311.db2.gz CIWKDQAWHLFXDR-IMSIIYSGSA-N 0 3 318.230 4.052 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2cc3ccccc3o2)n1 ZINC000467367776 339234419 /nfs/dbraw/zinc/23/44/19/339234419.db2.gz SBPVNROERZULJL-KGLIPLIRSA-N 0 3 310.397 4.118 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@@H](c1cncc(F)c1)C1CC1 ZINC000467368219 339234558 /nfs/dbraw/zinc/23/45/58/339234558.db2.gz GEECSEJGHRZMNZ-IFXJQAMLSA-N 0 3 314.404 4.037 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](c2cncc(F)c2)C2CC2)C1(CC)CC ZINC000467370297 339234748 /nfs/dbraw/zinc/23/47/48/339234748.db2.gz PBAHOMGTAFVSGI-KZNAEPCWSA-N 0 3 320.452 4.245 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000467370866 339234815 /nfs/dbraw/zinc/23/48/15/339234815.db2.gz RMYSYSNBCCORAU-GVDBMIGSSA-N 0 3 313.441 4.340 20 0 DIADHN OC[C@H](N[C@@H]1CCC[C@@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000467409311 339236901 /nfs/dbraw/zinc/23/69/01/339236901.db2.gz WBEMOYXNWLHHRF-IOASZLSFSA-N 0 3 311.828 4.071 20 0 DIADHN Cc1ccc(C[C@@H](CO)N[C@@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000467415340 339237359 /nfs/dbraw/zinc/23/73/59/339237359.db2.gz DJXNWTKJJMYYAL-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@@H](NC[C@H](CO)CC1CCCC1)c1csc(Cl)c1 ZINC000467441639 339238881 /nfs/dbraw/zinc/23/88/81/339238881.db2.gz AHTVGIQKHSQHBW-DGCLKSJQSA-N 0 3 301.883 4.241 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H]1C)c1ncc(Br)cc1F ZINC000467452076 339239450 /nfs/dbraw/zinc/23/94/50/339239450.db2.gz BODKSOFDQNURON-OUAUKWLOSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1nn(C(C)C)cc1CN(C)CCc1ccc(Cl)cc1 ZINC000467457929 339239776 /nfs/dbraw/zinc/23/97/76/339239776.db2.gz XHNFKLUVAUEUMR-UHFFFAOYSA-N 0 3 305.853 4.100 20 0 DIADHN Cc1nn(C(C)C)cc1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC000467461046 339239883 /nfs/dbraw/zinc/23/98/83/339239883.db2.gz WHUOIYVIGZEUMA-CQSZACIVSA-N 0 3 319.880 4.489 20 0 DIADHN Cc1nn(C(C)C)cc1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000467461045 339239888 /nfs/dbraw/zinc/23/98/88/339239888.db2.gz WHUOIYVIGZEUMA-AWEZNQCLSA-N 0 3 319.880 4.489 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](c2ccccc2)[C@H](C)C1 ZINC000467460246 339239930 /nfs/dbraw/zinc/23/99/30/339239930.db2.gz UMAXTDHVCFVPHR-OXQOHEQNSA-N 0 3 311.473 4.398 20 0 DIADHN C[C@@H](NC[C@@H](CO)CC1CCCC1)c1ccc2ccccc2n1 ZINC000467461276 339239939 /nfs/dbraw/zinc/23/99/39/339239939.db2.gz WFWCYFYQNLBOAF-WBVHZDCISA-N 0 3 312.457 4.074 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)N1CCCC[C@@H]1c1ccnn1C ZINC000467540720 339241390 /nfs/dbraw/zinc/24/13/90/339241390.db2.gz CATXTZADDPQDFP-HNAYVOBHSA-N 0 3 315.436 4.108 20 0 DIADHN COc1c(O)cccc1CN1CC[C@H](c2ccccc2)C[C@@H]1C ZINC000467550949 339242092 /nfs/dbraw/zinc/24/20/92/339242092.db2.gz PLNLAVHTZJLLFD-RDJZCZTQSA-N 0 3 311.425 4.169 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cccc2nccn21 ZINC000467550618 339242123 /nfs/dbraw/zinc/24/21/23/339242123.db2.gz LXARIXHXCHMAQJ-WMZOPIPTSA-N 0 3 305.425 4.102 20 0 DIADHN Cc1[nH]nc2ncc(CN3CC[C@@H](c4ccccc4)C[C@@H]3C)cc12 ZINC000467555045 339242563 /nfs/dbraw/zinc/24/25/63/339242563.db2.gz SFENNUYPEDJKIZ-KBXCAEBGSA-N 0 3 320.440 4.034 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CCN1Cc1cnc2ccccn12 ZINC000467562875 339242910 /nfs/dbraw/zinc/24/29/10/339242910.db2.gz DDRZYRZWZDXXSS-AEFFLSMTSA-N 0 3 305.425 4.102 20 0 DIADHN Cc1cncc([C@H](C)N[C@H]2CCC[C@H]2OCc2ccccc2)c1 ZINC000467733946 339245062 /nfs/dbraw/zinc/24/50/62/339245062.db2.gz DAROWOWVLLHMQD-FFZOFVMBSA-N 0 3 310.441 4.179 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2ccc(F)c(C)c2)cc1 ZINC000467762837 339246107 /nfs/dbraw/zinc/24/61/07/339246107.db2.gz DJPMAVYOWSXCHW-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc(F)c(F)c1)Oc1ccccc1F ZINC000467854996 339247742 /nfs/dbraw/zinc/24/77/42/339247742.db2.gz XSDZRNSHVSGPFY-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@H](C)c2ccc(F)c(F)c2)c1 ZINC000467862754 339248060 /nfs/dbraw/zinc/24/80/60/339248060.db2.gz IPAIGCQXLFIMIE-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1CC(c3ccc(C)cc3)C1)CCO2 ZINC000468030343 339251688 /nfs/dbraw/zinc/25/16/88/339251688.db2.gz PEFSMJLGBOMGBW-UHYCVJNDSA-N 0 3 323.436 4.363 20 0 DIADHN CCN(C(=O)c1cccc(CN(C)C)c1)c1cccc(C)c1C ZINC000468125104 339254701 /nfs/dbraw/zinc/25/47/01/339254701.db2.gz RKYSKMMDPCPWCR-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@H](C)C[C@H](C)C3)o2)cc1C ZINC000468271718 339258339 /nfs/dbraw/zinc/25/83/39/339258339.db2.gz ZDPDAUYLHCYVNK-ZENOOKHLSA-N 0 3 313.445 4.392 20 0 DIADHN C/C=C\COc1ccc(CC[C@@H](C)NCc2cscn2)cc1 ZINC000468383386 339261119 /nfs/dbraw/zinc/26/11/19/339261119.db2.gz OODFBJDLPGLSKI-ABCZVMIZSA-N 0 3 316.470 4.209 20 0 DIADHN Fc1ccc(CN[C@H]2CCC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000468508525 339264778 /nfs/dbraw/zinc/26/47/78/339264778.db2.gz SVIISBCATCTBRN-IRXDYDNUSA-N 0 3 304.796 4.300 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000468508524 339264839 /nfs/dbraw/zinc/26/48/39/339264839.db2.gz SVIISBCATCTBRN-IAGOWNOFSA-N 0 3 304.796 4.300 20 0 DIADHN CCCn1nc(C)c(CN2CCSC(CC)(CC)C2)c1C ZINC000468541420 339265773 /nfs/dbraw/zinc/26/57/73/339265773.db2.gz KYMMDYRWMIJRRZ-UHFFFAOYSA-N 0 3 309.523 4.018 20 0 DIADHN COC[C@H]1CCCCN(Cc2ccc(F)cc2C(F)(F)F)C1 ZINC000468695979 339268930 /nfs/dbraw/zinc/26/89/30/339268930.db2.gz UTULZNUWZXGTAH-LBPRGKRZSA-N 0 3 319.342 4.093 20 0 DIADHN CS[C@@H](CN[C@H](C)c1cnn(C(C)(C)C)c1C)C(C)(C)C ZINC000468810559 339271537 /nfs/dbraw/zinc/27/15/37/339271537.db2.gz DWELDWOMZSRBHF-DOMZBBRYSA-N 0 3 311.539 4.375 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cccc(Nc2ccccc2)c1 ZINC000468845317 339272458 /nfs/dbraw/zinc/27/24/58/339272458.db2.gz FWWAIRKLYVQQJZ-IYBDPMFKSA-N 0 3 323.440 4.242 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cccc(Nc2ccccc2)c1 ZINC000468843826 339272467 /nfs/dbraw/zinc/27/24/67/339272467.db2.gz FWWAIRKLYVQQJZ-HZPDHXFCSA-N 0 3 323.440 4.242 20 0 DIADHN C[C@H](NC[C@@H](Cc1ccccc1)N(C)C)c1csc(Cl)c1 ZINC000468875223 339273292 /nfs/dbraw/zinc/27/32/92/339273292.db2.gz HMLLIZQEAPYIJK-XJKSGUPXSA-N 0 3 322.905 4.225 20 0 DIADHN CCC(CC)CC(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000468889016 339273699 /nfs/dbraw/zinc/27/36/99/339273699.db2.gz JUHCNPZNWBSVCN-MRXNPFEDSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCCO[C@@H]2CC(C)C)cnn1C(C)(C)C ZINC000468902639 339274254 /nfs/dbraw/zinc/27/42/54/339274254.db2.gz JJKLMRKCVWSJBQ-JCGIZDLHSA-N 0 3 321.509 4.191 20 0 DIADHN C[C@@H](NC[C@@H](c1ccsc1)N(C)C)c1csc(Cl)c1 ZINC000468953443 339275829 /nfs/dbraw/zinc/27/58/29/339275829.db2.gz NGPCJAJOSIGIQS-MFKMUULPSA-N 0 3 314.907 4.417 20 0 DIADHN C[C@H](Cn1cccn1)NCc1ccccc1Sc1ccccc1 ZINC000468960420 339276071 /nfs/dbraw/zinc/27/60/71/339276071.db2.gz KFBILAVJULYXMW-MRXNPFEDSA-N 0 3 323.465 4.213 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCOCC(F)(F)C(F)F)c1 ZINC000468964400 339276125 /nfs/dbraw/zinc/27/61/25/339276125.db2.gz NNEVQKCKIIOIEU-AWEZNQCLSA-N 0 3 319.342 4.049 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1csc(Cl)c1 ZINC000469022447 339277839 /nfs/dbraw/zinc/27/78/39/339277839.db2.gz RZIMYFHLKSLIMZ-UWVGGRQHSA-N 0 3 303.855 4.172 20 0 DIADHN CC(C)CO[C@H]1CCN([C@H](C)c2ccc(OC(F)F)cc2)C1 ZINC000469087357 339279785 /nfs/dbraw/zinc/27/97/85/339279785.db2.gz VXVORLLUUFSTCT-CJNGLKHVSA-N 0 3 313.388 4.096 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cnn(C(C)C)c2C)C1(CC)CC ZINC000469097017 339280057 /nfs/dbraw/zinc/28/00/57/339280057.db2.gz YFUPCQUDZSQUAH-BMGDILEWSA-N 0 3 321.509 4.407 20 0 DIADHN CC(C)[C@@H](CNCc1ncc(Cl)s1)Cc1ccccc1 ZINC000469094417 339280072 /nfs/dbraw/zinc/28/00/72/339280072.db2.gz YRKDNKHGPYOFRE-CQSZACIVSA-N 0 3 308.878 4.401 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)cn1)c1csc(Cl)c1 ZINC000469264949 339284079 /nfs/dbraw/zinc/28/40/79/339284079.db2.gz UIFFXYLWSWUUCF-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN Cc1ccc([C@H]2CCCN2CCOCC(F)(F)C(F)F)cc1 ZINC000469368490 339287084 /nfs/dbraw/zinc/28/70/84/339287084.db2.gz ZODLFSPYLLGHGC-CQSZACIVSA-N 0 3 319.342 4.049 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)CCOCC(F)(F)C(F)F)c1 ZINC000469599017 339294136 /nfs/dbraw/zinc/29/41/36/339294136.db2.gz OZLNMBSZBXYZMY-ZDUSSCGKSA-N 0 3 321.358 4.213 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2C[C@@H](O)CC(F)(F)F)c1C ZINC000469608731 339294540 /nfs/dbraw/zinc/29/45/40/339294540.db2.gz HUUDOXYNPZVGOF-HOCLYGCPSA-N 0 3 315.379 4.144 20 0 DIADHN CCc1cccc(CNC2(c3ccc(F)cc3)CCOCC2)c1 ZINC000469714847 339296118 /nfs/dbraw/zinc/29/61/18/339296118.db2.gz GGJICBPDUXVBSQ-UHFFFAOYSA-N 0 3 313.416 4.184 20 0 DIADHN COC(C)(C)CCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000469717978 339296345 /nfs/dbraw/zinc/29/63/45/339296345.db2.gz OPPPIVJNBCTLOW-KRWDZBQOSA-N 0 3 318.848 4.229 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2C[C@H](O)CC(F)(F)F)cc1 ZINC000469720166 339296451 /nfs/dbraw/zinc/29/64/51/339296451.db2.gz DPSLMAWLODEMRC-HZPDHXFCSA-N 0 3 315.379 4.260 20 0 DIADHN OCC[C@H](N[C@@H]1CCC[C@@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000469776505 339299028 /nfs/dbraw/zinc/29/90/28/339299028.db2.gz QXDAOANURPRPDD-ZACQAIPSSA-N 0 3 319.832 4.282 20 0 DIADHN OCC[C@H](N[C@@H]1CCC[C@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000469776502 339299105 /nfs/dbraw/zinc/29/91/05/339299105.db2.gz QXDAOANURPRPDD-BBWFWOEESA-N 0 3 319.832 4.282 20 0 DIADHN OCC[C@H](N[C@H]1CCC[C@@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000469776503 339299128 /nfs/dbraw/zinc/29/91/28/339299128.db2.gz QXDAOANURPRPDD-IKGGRYGDSA-N 0 3 319.832 4.282 20 0 DIADHN Cc1ccccc1O[C@H]1CCCC[C@H]1NCc1ccc(F)cn1 ZINC000469777943 339299198 /nfs/dbraw/zinc/29/91/98/339299198.db2.gz ZHUOOBSHSSTROC-MJGOQNOKSA-N 0 3 314.404 4.009 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1nc2ccccc2s1 ZINC000469796044 339300799 /nfs/dbraw/zinc/30/07/99/339300799.db2.gz IJVUKJZGRSCVHI-OCCSQVGLSA-N 0 3 306.500 4.450 20 0 DIADHN C[C@@H](c1cncs1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469807294 339301425 /nfs/dbraw/zinc/30/14/25/339301425.db2.gz HIFYBRGWOHVCMB-LBPRGKRZSA-N 0 3 322.424 4.437 20 0 DIADHN C[C@H](c1cncs1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469807292 339301465 /nfs/dbraw/zinc/30/14/65/339301465.db2.gz HIFYBRGWOHVCMB-GFCCVEGCSA-N 0 3 322.424 4.437 20 0 DIADHN CCOCCOCCN(C)[C@H](C)c1ccc(Cl)c(Cl)c1 ZINC000469854834 339302734 /nfs/dbraw/zinc/30/27/34/339302734.db2.gz HJNNPWYNRWFGCZ-GFCCVEGCSA-N 0 3 320.260 4.039 20 0 DIADHN Cc1ccc(C)n1C1CCN(CCc2ccccc2F)CC1 ZINC000469903728 339304340 /nfs/dbraw/zinc/30/43/40/339304340.db2.gz FHPINYCEFIJZHW-UHFFFAOYSA-N 0 3 300.421 4.124 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cccc(OC(F)F)c1 ZINC000469991949 339307344 /nfs/dbraw/zinc/30/73/44/339307344.db2.gz NEYUYFZEHNYMFQ-LBPRGKRZSA-N 0 3 323.305 4.451 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](C(F)(F)F)C2(C)C)cc1 ZINC000469995493 339307488 /nfs/dbraw/zinc/30/74/88/339307488.db2.gz BBNKLYFQQIODLG-CQSZACIVSA-N 0 3 301.352 4.248 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccc2ccccc2n1 ZINC000470008180 339308177 /nfs/dbraw/zinc/30/81/77/339308177.db2.gz GTOFJYKZGRYWCL-HNNXBMFYSA-N 0 3 308.347 4.398 20 0 DIADHN COc1ccccc1/C=C/CN1CC[C@@H](C(F)(F)F)C1(C)C ZINC000470131456 339311146 /nfs/dbraw/zinc/31/11/46/339311146.db2.gz GPOYHDUXGGBAJA-PMGBHYCQSA-N 0 3 313.363 4.371 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CC1=Cc2ccccc2OC1 ZINC000470132859 339311319 /nfs/dbraw/zinc/31/13/19/339311319.db2.gz LWIBEVHPOKXMRB-OAHLLOKOSA-N 0 3 311.347 4.125 20 0 DIADHN CCOc1cccc(CN2CC[C@H](C(F)(F)F)C2(C)C)c1 ZINC000470156326 339311980 /nfs/dbraw/zinc/31/19/80/339311980.db2.gz MSJCLRLIXAHCFR-AWEZNQCLSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@@H]1C[C@@H](C)N(Cc2cc(Br)cc3cccnc32)C1 ZINC000470204476 339314115 /nfs/dbraw/zinc/31/41/15/339314115.db2.gz VLFBCEICNIJBEQ-VXGBXAGGSA-N 0 3 319.246 4.228 20 0 DIADHN Fc1ccccc1CCN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000470253671 339315374 /nfs/dbraw/zinc/31/53/74/339315374.db2.gz DNSKSXIJSXCOMZ-SFHVURJKSA-N 0 3 311.400 4.404 20 0 DIADHN C[C@H](CN[C@@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000470355247 339318072 /nfs/dbraw/zinc/31/80/72/339318072.db2.gz VVBSRGIUSRJPDL-KGLIPLIRSA-N 0 3 316.832 4.060 20 0 DIADHN C[C@@H](CN[C@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000470355448 339318076 /nfs/dbraw/zinc/31/80/76/339318076.db2.gz VVBSRGIUSRJPDL-UONOGXRCSA-N 0 3 316.832 4.060 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCCO[C@H]2C(C)(C)C)c(F)c1 ZINC000470452616 339320133 /nfs/dbraw/zinc/32/01/33/339320133.db2.gz QJOZNPVROHXCMD-SUNYJGFJSA-N 0 3 323.452 4.326 20 0 DIADHN CCCCCN(C(=O)c1ccc2c(c1)CNC2)[C@H](C)CCC ZINC000470479951 339320719 /nfs/dbraw/zinc/32/07/19/339320719.db2.gz MNMBYTLIBOKQAM-OAHLLOKOSA-N 0 3 302.462 4.111 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1C[C@H](c3ccccc3)[C@@H]1C)n2C ZINC000470546781 339321879 /nfs/dbraw/zinc/32/18/79/339321879.db2.gz ODGHCKWVIMUGGB-RYQLBKOJSA-N 0 3 319.452 4.164 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(Cc2cccc3cc[nH]c32)C1 ZINC000470544099 339321895 /nfs/dbraw/zinc/32/18/95/339321895.db2.gz QTUXNEAOPRYPOZ-AWEZNQCLSA-N 0 3 312.363 4.436 20 0 DIADHN Cc1ccc(C2CC(NCc3nc4cc(C)ccc4n3C)C2)cc1 ZINC000470546531 339321976 /nfs/dbraw/zinc/32/19/76/339321976.db2.gz MTSLSQCFYXXASK-UHFFFAOYSA-N 0 3 319.452 4.226 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470761993 339326972 /nfs/dbraw/zinc/32/69/72/339326972.db2.gz NTAUPFZROGIKOJ-GOSISDBHSA-N 0 3 319.395 4.397 20 0 DIADHN COc1ccc(C2(NCc3cccc(C(F)F)c3)CC2)cc1 ZINC000470761845 339327023 /nfs/dbraw/zinc/32/70/23/339327023.db2.gz MDBGICUXQQKHNZ-UHFFFAOYSA-N 0 3 303.352 4.412 20 0 DIADHN C[C@H](NCCOC(F)(F)F)c1cc2cc(Cl)ccc2o1 ZINC000470762376 339327096 /nfs/dbraw/zinc/32/70/96/339327096.db2.gz SCUZKZQLQXTAEL-QMMMGPOBSA-N 0 3 307.699 4.273 20 0 DIADHN O[C@H](Cc1ccc2ccccc2c1)CN1CC[C@@H]1c1ccccc1 ZINC000470779176 339327804 /nfs/dbraw/zinc/32/78/04/339327804.db2.gz KSSXRHXWYUUVBM-FGZHOGPDSA-N 0 3 317.432 4.190 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1C[C@H]1CCC2(CCCCC2)O1 ZINC000470938912 339331622 /nfs/dbraw/zinc/33/16/22/339331622.db2.gz ALMJOUQCAIZPBW-MGPQQGTHSA-N 0 3 305.384 4.141 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](C(F)(F)F)[C@H]2C)cc1 ZINC000470953437 339332764 /nfs/dbraw/zinc/33/27/64/339332764.db2.gz OQBUXGIGYKUCLY-DOMZBBRYSA-N 0 3 301.352 4.247 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4ccccc4o3)C2)c(C)c1 ZINC000471027970 339334905 /nfs/dbraw/zinc/33/49/05/339334905.db2.gz JXSLBUOTFIVFPO-MRXNPFEDSA-N 0 3 306.409 4.434 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccccc1F ZINC000471578927 339347851 /nfs/dbraw/zinc/34/78/51/339347851.db2.gz SKLSMUGLULTNFY-MQIPJXDCSA-N 0 3 323.433 4.323 20 0 DIADHN COc1ccsc1[C@@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000471583766 339348070 /nfs/dbraw/zinc/34/80/70/339348070.db2.gz BSBCKZLEZXXWCI-LLVKDONJSA-N 0 3 323.408 4.417 20 0 DIADHN C[C@H](NCC1(Cc2ccccc2)CCCCC1)c1nccn1C ZINC000471594442 339348618 /nfs/dbraw/zinc/34/86/18/339348618.db2.gz PNCBPOIGROJKAL-KRWDZBQOSA-N 0 3 311.473 4.264 20 0 DIADHN COc1ccsc1[C@H](C)NCCCNc1ccccc1F ZINC000471596980 339348808 /nfs/dbraw/zinc/34/88/08/339348808.db2.gz ZKMPGMZAVSCDIJ-LBPRGKRZSA-N 0 3 308.422 4.049 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC3(CO)CCCC3)oc21 ZINC000471605987 339349248 /nfs/dbraw/zinc/34/92/48/339349248.db2.gz PJNPPQRJBWXCLD-AWEZNQCLSA-N 0 3 317.429 4.035 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1ccc(F)cc1F ZINC000471608345 339349407 /nfs/dbraw/zinc/34/94/07/339349407.db2.gz CJUNRTIEPWDBQY-NJSLBKSFSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471611405 339349493 /nfs/dbraw/zinc/34/94/93/339349493.db2.gz QFEAICSHOKUJTO-FTRWYGJKSA-N 0 3 312.457 4.037 20 0 DIADHN CCC(O)(CC)CCNCc1cc(Cl)cc(Cl)c1OC ZINC000471826029 339355509 /nfs/dbraw/zinc/35/55/09/339355509.db2.gz MBUPFWMVOHVRPO-UHFFFAOYSA-N 0 3 320.260 4.033 20 0 DIADHN CC[C@@H](NCCC(O)(CC)CC)c1ccccc1OC(F)F ZINC000471755830 339353204 /nfs/dbraw/zinc/35/32/04/339353204.db2.gz GRTXTGOSPRJMLC-CQSZACIVSA-N 0 3 315.404 4.270 20 0 DIADHN CCC(O)(CC)CCN[C@H]1CCCOc2cc(Cl)ccc21 ZINC000471762435 339353330 /nfs/dbraw/zinc/35/33/30/339353330.db2.gz WTBCGRUGBCHZMR-HNNXBMFYSA-N 0 3 311.853 4.085 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1oc2ccc(OC)cc2c1C ZINC000471796125 339354350 /nfs/dbraw/zinc/35/43/50/339354350.db2.gz BSULWGNBPDGWED-AWEZNQCLSA-N 0 3 319.445 4.342 20 0 DIADHN CCC(O)(CC)CCN[C@@H]1CCCOc2ccc(Cl)cc21 ZINC000471799269 339354492 /nfs/dbraw/zinc/35/44/92/339354492.db2.gz OBDLZSGMBYRAEU-OAHLLOKOSA-N 0 3 311.853 4.085 20 0 DIADHN C[C@H]1C[C@@H](O)CN1Cc1ccc(-c2cccc(Cl)c2)s1 ZINC000471805967 339354852 /nfs/dbraw/zinc/35/48/52/339354852.db2.gz VNDRRTMGMTWPRG-SMDDNHRTSA-N 0 3 307.846 4.024 20 0 DIADHN CCC(O)(CC)CCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000471820931 339355233 /nfs/dbraw/zinc/35/52/33/339355233.db2.gz ORMKURWEALBGAO-UHFFFAOYSA-N 0 3 305.393 4.117 20 0 DIADHN CCn1nc(CNCC(C)(C)Oc2ccccc2)c2ccccc21 ZINC000472036251 339359813 /nfs/dbraw/zinc/35/98/13/339359813.db2.gz CMHJKRCHYCRATH-UHFFFAOYSA-N 0 3 323.440 4.003 20 0 DIADHN COc1ccccc1[C@@H](CNCc1cccc(C)c1)OC(C)C ZINC000472038460 339360040 /nfs/dbraw/zinc/36/00/40/339360040.db2.gz OHUHDCQEEBNDTA-HXUWFJFHSA-N 0 3 313.441 4.260 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CCOc4ccccc43)cc2c1C ZINC000472042206 339360186 /nfs/dbraw/zinc/36/01/86/339360186.db2.gz CBMZLXMCZBDICE-SFHVURJKSA-N 0 3 306.409 4.398 20 0 DIADHN CCn1nc(CNCCc2cccc(Cl)c2)c2ccccc21 ZINC000472045688 339360531 /nfs/dbraw/zinc/36/05/31/339360531.db2.gz VQNXCCAMMGNAME-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN CO[C@H](CNCc1cc2c(ccc(C)c2C)[nH]1)c1ccccc1 ZINC000472047400 339360643 /nfs/dbraw/zinc/36/06/43/339360643.db2.gz JYCLLZNKFDZCJG-HXUWFJFHSA-N 0 3 308.425 4.262 20 0 DIADHN CCSc1cccc(CNC[C@H](OC)c2ccccc2)c1 ZINC000472047248 339360742 /nfs/dbraw/zinc/36/07/42/339360742.db2.gz JBBPAXOOLFLYOP-SFHVURJKSA-N 0 3 301.455 4.276 20 0 DIADHN CCn1nc(CNCCc2cc3ccccc3o2)c2ccccc21 ZINC000472047744 339360758 /nfs/dbraw/zinc/36/07/58/339360758.db2.gz MEDXEXDRYIEJGJ-UHFFFAOYSA-N 0 3 319.408 4.135 20 0 DIADHN COc1cc(F)cc(CNCc2cc3c(ccc(C)c3C)[nH]2)c1 ZINC000472052213 339360981 /nfs/dbraw/zinc/36/09/81/339360981.db2.gz KKVQWIAQWLWYIE-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN CCSc1cccc(CN[C@@H](C)CC(=O)OC(C)(C)C)c1 ZINC000472059651 339361637 /nfs/dbraw/zinc/36/16/37/339361637.db2.gz OBWXTRSIGABUMH-ZDUSSCGKSA-N 0 3 309.475 4.009 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H](C)c3ccc4c(c3)OCO4)cc2c1C ZINC000472062034 339361778 /nfs/dbraw/zinc/36/17/78/339361778.db2.gz GIDCSYPEKKSQLQ-CQSZACIVSA-N 0 3 322.408 4.364 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1CNCc1ncc(Cl)s1 ZINC000472074183 339362528 /nfs/dbraw/zinc/36/25/28/339362528.db2.gz LGDCDAXMKLUMPF-RKDXNWHRSA-N 0 3 312.788 4.255 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](C)Oc1cccc(C)c1 ZINC000472126873 339363644 /nfs/dbraw/zinc/36/36/44/339363644.db2.gz VZWCKLHLCRXIRS-UONOGXRCSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)COc1cccc(C)c1 ZINC000472132304 339363896 /nfs/dbraw/zinc/36/38/96/339363896.db2.gz JWOGGLSKOQMVKH-KGLIPLIRSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)COc1cccc(C)c1 ZINC000472132306 339363939 /nfs/dbraw/zinc/36/39/39/339363939.db2.gz JWOGGLSKOQMVKH-ZIAGYGMSSA-N 0 3 305.443 4.183 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cnn(C(C)(C)C)c2)cc1 ZINC000472150049 339364043 /nfs/dbraw/zinc/36/40/43/339364043.db2.gz CSQJIEXCZHMRJG-OAHLLOKOSA-N 0 3 317.502 4.217 20 0 DIADHN C/C=C\C[C@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000472139963 339364055 /nfs/dbraw/zinc/36/40/55/339364055.db2.gz JRLYGMHIGXPHTL-XQJDBVBESA-N 0 3 305.805 4.017 20 0 DIADHN C[C@@H]1CCN(C)CCN1C(=O)C1(C2CCCCC2)CCCCC1 ZINC000472159792 339364798 /nfs/dbraw/zinc/36/47/98/339364798.db2.gz SEHQFLYVQSCQFS-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@H]1C[C@H](NC(=O)C2(C3CCCCC3)CCCCC2)CCN1C ZINC000472201847 339365888 /nfs/dbraw/zinc/36/58/88/339365888.db2.gz VAHPLOWJMUTBOP-FUHWJXTLSA-N 0 3 320.521 4.116 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2ccncc2Cl)C1 ZINC000472250386 339367613 /nfs/dbraw/zinc/36/76/13/339367613.db2.gz ILOFWUIWIWUNJX-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN Clc1cnccc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC000472249219 339367635 /nfs/dbraw/zinc/36/76/35/339367635.db2.gz HQVXASQPRZFOFT-INIZCTEOSA-N 0 3 300.833 4.190 20 0 DIADHN Clc1cnccc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC000472249220 339367657 /nfs/dbraw/zinc/36/76/57/339367657.db2.gz HQVXASQPRZFOFT-MRXNPFEDSA-N 0 3 300.833 4.190 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)CC1(C)C ZINC000472257968 339367969 /nfs/dbraw/zinc/36/79/69/339367969.db2.gz JDYDTWAONGKMKB-CQSZACIVSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1ccncc1Cl ZINC000472323217 339369799 /nfs/dbraw/zinc/36/97/99/339369799.db2.gz FMOYQWQSQSNMGQ-HOCLYGCPSA-N 0 3 300.833 4.188 20 0 DIADHN CCn1nccc1CCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000472594297 339374898 /nfs/dbraw/zinc/37/48/98/339374898.db2.gz CPKAGSYWGKZXTP-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN COc1c(C)cc(CN2C[C@H](C)C[C@H]2c2cccnc2)cc1C ZINC000472603082 339375018 /nfs/dbraw/zinc/37/50/18/339375018.db2.gz RVDONQPRWGNEJY-KUHUBIRLSA-N 0 3 310.441 4.290 20 0 DIADHN CC(C)c1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000473031697 339380502 /nfs/dbraw/zinc/38/05/02/339380502.db2.gz BMBLTZTZCRNVQS-NRFANRHFSA-N 0 3 307.437 4.441 20 0 DIADHN c1ccc2c(c1)CO[C@]21CCN(Cc2ccc3ccccc3c2)C1 ZINC000473053650 339380746 /nfs/dbraw/zinc/38/07/46/339380746.db2.gz VKXDHSKGSBMXMJ-QFIPXVFZSA-N 0 3 315.416 4.471 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1cc(O)cc(F)c1 ZINC000473115772 339381384 /nfs/dbraw/zinc/38/13/84/339381384.db2.gz CHAWONRMPFDVGJ-GTNSWQLSSA-N 0 3 323.795 4.051 20 0 DIADHN CCCc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000473119259 339381430 /nfs/dbraw/zinc/38/14/30/339381430.db2.gz PUTGCXNBLGFTFU-NRFANRHFSA-N 0 3 307.437 4.271 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@]4(CCCOC4)C3)cc2c1C ZINC000473147645 339382929 /nfs/dbraw/zinc/38/29/29/339382929.db2.gz YHFRFAPNCYHIBU-FQEVSTJZSA-N 0 3 312.457 4.177 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@]4(CCCOC4)C3)cc2c1C ZINC000473147648 339382953 /nfs/dbraw/zinc/38/29/53/339382953.db2.gz YHFRFAPNCYHIBU-HXUWFJFHSA-N 0 3 312.457 4.177 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NCCCCC(F)(F)F ZINC000473163146 339383334 /nfs/dbraw/zinc/38/33/34/339383334.db2.gz QOPIWJRCNGQJRY-UHFFFAOYSA-N 0 3 313.367 4.131 20 0 DIADHN CSc1ccccc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000473165990 339383397 /nfs/dbraw/zinc/38/33/97/339383397.db2.gz OCZSMVOLJUKFPD-LJQANCHMSA-N 0 3 311.450 4.040 20 0 DIADHN Cc1cc2occ(C(=O)Nc3ccc(CN(C)C)cc3)c2cc1C ZINC000473646006 339389412 /nfs/dbraw/zinc/38/94/12/339389412.db2.gz YCXZTXOXRZOWJY-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN FC(F)(F)OCCN[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000473667645 339389422 /nfs/dbraw/zinc/38/94/22/339389422.db2.gz WPCSQPNFJDVDLV-NSHDSACASA-N 0 3 314.134 4.107 20 0 DIADHN COc1c2ccccc2oc1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000473987028 339396238 /nfs/dbraw/zinc/39/62/38/339396238.db2.gz FFHJSGURJUEXGB-DOTOQJQBSA-N 0 3 307.393 4.335 20 0 DIADHN COc1ccc2nc(CNCCc3sccc3C)sc2c1 ZINC000474020325 339397173 /nfs/dbraw/zinc/39/71/73/339397173.db2.gz JFGHLCSQHLKUCD-UHFFFAOYSA-N 0 3 318.467 4.007 20 0 DIADHN C[C@H](NCCOC(F)(F)F)c1ccc(OC(C)(C)C)cc1 ZINC000474036488 339398111 /nfs/dbraw/zinc/39/81/11/339398111.db2.gz XKKHYQGMZCGMNX-NSHDSACASA-N 0 3 305.340 4.051 20 0 DIADHN COc1ccc(CN[C@@H]2CC(C)(C)c3cc(OC)ccc32)cc1 ZINC000474037819 339398298 /nfs/dbraw/zinc/39/82/98/339398298.db2.gz TYJNJPKOPLWFJG-LJQANCHMSA-N 0 3 311.425 4.216 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1ccc2c(c1)COC2 ZINC000474053040 339399456 /nfs/dbraw/zinc/39/94/56/339399456.db2.gz HBOQCIPWHDRITE-FQEVSTJZSA-N 0 3 323.436 4.238 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NC[C@H](C)C(F)(F)F ZINC000474060374 339399898 /nfs/dbraw/zinc/39/98/98/339399898.db2.gz ZTYGHIHNJOOMPQ-IINYFYTJSA-N 0 3 301.352 4.206 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H]1c2cc(F)ccc2C[C@@H]1C ZINC000474074074 339400995 /nfs/dbraw/zinc/40/09/95/339400995.db2.gz JUQWCHGDVVRMQX-BKTGTZMESA-N 0 3 311.400 4.481 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1cc(C)ccc1OC(F)F)C(C)C ZINC000474078569 339401141 /nfs/dbraw/zinc/40/11/41/339401141.db2.gz ZLKLWWKGROSMCB-ZFWWWQNUSA-N 0 3 315.404 4.308 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)C(C)C ZINC000474095098 339402107 /nfs/dbraw/zinc/40/21/07/339402107.db2.gz KVVYIYWXBLIGKJ-FZMZJTMJSA-N 0 3 319.367 4.139 20 0 DIADHN COc1ccc2nc(CN[C@H]3C[C@H]3Cc3ccccc3)sc2c1 ZINC000474378291 339411544 /nfs/dbraw/zinc/41/15/44/339411544.db2.gz OSIPFDSKQKTOKK-PBHICJAKSA-N 0 3 324.449 4.026 20 0 DIADHN Cc1ccccc1-n1nccc1CN[C@H]1CCCc2ccccc21 ZINC000474472327 339416128 /nfs/dbraw/zinc/41/61/28/339416128.db2.gz NRRICABQNOYWKW-FQEVSTJZSA-N 0 3 317.436 4.348 20 0 DIADHN CC(C)C[C@@H](CN[C@@H](C)c1nnc2ccccn21)c1ccccc1 ZINC000474484162 339417325 /nfs/dbraw/zinc/41/73/25/339417325.db2.gz FXWATNZGYVHIOU-WMZOPIPTSA-N 0 3 322.456 4.210 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)CC/C=C\c1ccccc1 ZINC000474622346 339420513 /nfs/dbraw/zinc/42/05/13/339420513.db2.gz NIZAFGZAHBRWSM-SDQBBNPISA-N 0 3 322.452 4.223 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@H](C(C)C)C1)c1nnc2n1CCCCC2 ZINC000474625432 339420643 /nfs/dbraw/zinc/42/06/43/339420643.db2.gz ZGIQDPXCFWWRMC-ULQDDVLXSA-N 0 3 318.509 4.260 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@@H](C(C)C)C1)c1nnc2n1CCCCC2 ZINC000474625431 339420689 /nfs/dbraw/zinc/42/06/89/339420689.db2.gz ZGIQDPXCFWWRMC-IKGGRYGDSA-N 0 3 318.509 4.260 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@]1(C)CCOC1 ZINC000474726909 339424236 /nfs/dbraw/zinc/42/42/36/339424236.db2.gz GJXPMJNLVPQXSN-MRXNPFEDSA-N 0 3 313.894 4.357 20 0 DIADHN Cc1ccc(CCCN[C@H](C)c2ccc(F)cc2Cl)cn1 ZINC000474813461 339427755 /nfs/dbraw/zinc/42/77/55/339427755.db2.gz CUJVOELHVLOVKN-CYBMUJFWSA-N 0 3 306.812 4.466 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](CCO)c1ccco1 ZINC000474927778 339431584 /nfs/dbraw/zinc/43/15/84/339431584.db2.gz REZRICCSBLLYAO-HEHGZKQESA-N 0 3 307.821 4.138 20 0 DIADHN CC[C@@](C)(NCC[C@H](C)OCc1ccccc1)c1nccs1 ZINC000474978798 339434208 /nfs/dbraw/zinc/43/42/08/339434208.db2.gz MRFXLVBAJGYSAU-MAUKXSAKSA-N 0 3 318.486 4.353 20 0 DIADHN C[C@H]1CC[C@H](CNC2(c3ccc(Cl)c(Cl)c3)CC2)O1 ZINC000475044368 339436734 /nfs/dbraw/zinc/43/67/34/339436734.db2.gz HJDHKIZWKQOYRD-CMPLNLGQSA-N 0 3 300.229 4.140 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1nc(C(F)(F)F)cs1 ZINC000475152584 339440026 /nfs/dbraw/zinc/44/00/26/339440026.db2.gz AGHHVPQBLAHBSJ-WDEREUQCSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1csc(Cc2ccccc2)n1 ZINC000475149832 339440065 /nfs/dbraw/zinc/44/00/65/339440065.db2.gz IYABOXSCPMYYFN-YOEHRIQHSA-N 0 3 300.471 4.402 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCCC[C@H]3C)n2)ccc1F ZINC000475151205 339440083 /nfs/dbraw/zinc/44/00/83/339440083.db2.gz NFZXUZDHODXBBR-FFSVYQOJSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H]3CCCC[C@H]3C(C)C)o2)cc1 ZINC000475158031 339440259 /nfs/dbraw/zinc/44/02/59/339440259.db2.gz WHQAFJKZZXXUNI-DLBZAZTESA-N 0 3 313.445 4.349 20 0 DIADHN C[C@@H](Nc1cccc2c1OCC[C@H]2N(C)C)C1CCCCC1 ZINC000475225577 339442399 /nfs/dbraw/zinc/44/23/99/339442399.db2.gz VYESZYNTGUCMRJ-RDTXWAMCSA-N 0 3 302.462 4.453 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCC2CCN(c3ccccc3)CC2)o1 ZINC000475274748 339443190 /nfs/dbraw/zinc/44/31/90/339443190.db2.gz CXHUHBOIIOJLMT-OXJNMPFZSA-N 0 3 324.468 4.409 20 0 DIADHN O[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1)C1CCCCC1 ZINC000475309050 339445434 /nfs/dbraw/zinc/44/54/34/339445434.db2.gz FKRZUACDFXDQEK-HOTGVXAUSA-N 0 3 315.379 4.211 20 0 DIADHN Cc1ccc([C@@H](N[C@H](C)CC2CCOCC2)c2ccccn2)cc1 ZINC000475329669 339446732 /nfs/dbraw/zinc/44/67/32/339446732.db2.gz ACUQKZXNNXDZHG-DYESRHJHSA-N 0 3 324.468 4.274 20 0 DIADHN Cn1c(CN[C@H]2CC[C@@H](c3ccc(F)cc3)C2)nc2ccccc21 ZINC000475350361 339448535 /nfs/dbraw/zinc/44/85/35/339448535.db2.gz PGJTUJCWLSJDIO-WBVHZDCISA-N 0 3 323.415 4.138 20 0 DIADHN Cc1sc(NC(=O)CNC(C)(C)c2ccccc2)c(C)c1C ZINC000475533583 339454412 /nfs/dbraw/zinc/45/44/12/339454412.db2.gz UKYZMGPSVLRZFV-UHFFFAOYSA-N 0 3 316.470 4.137 20 0 DIADHN Fc1cccc([C@@H]2CC[C@@H](NCc3ncc(Cl)s3)C2)c1 ZINC000475545533 339454698 /nfs/dbraw/zinc/45/46/98/339454698.db2.gz DSNZJBIHSZPAMG-DGCLKSJQSA-N 0 3 310.825 4.362 20 0 DIADHN CC(C)(C)c1nc(CNCCCc2ccccc2F)cs1 ZINC000475552872 339454777 /nfs/dbraw/zinc/45/47/77/339454777.db2.gz MYVUNUJRGXIJKY-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN Fc1ccccc1CCCNCc1cnc(C(F)(F)F)s1 ZINC000475577419 339456403 /nfs/dbraw/zinc/45/64/03/339456403.db2.gz DOLURPGVVNNIBC-UHFFFAOYSA-N 0 3 318.339 4.023 20 0 DIADHN CC(C)(C)c1ncc(CNCCCc2ccccc2F)s1 ZINC000475577454 339456422 /nfs/dbraw/zinc/45/64/22/339456422.db2.gz DYHLINWTKYKMBX-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN COc1cc(C)nc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c1 ZINC000475672980 339459189 /nfs/dbraw/zinc/45/91/89/339459189.db2.gz VYKIMOHFXNSCSR-KOMQPUFPSA-N 0 3 316.832 4.088 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@](C)(Cc2ccccc2)[C@@H](C)O)o1 ZINC000477849140 339502498 /nfs/dbraw/zinc/50/24/98/339502498.db2.gz OKPQOSBRHYIYMX-RZQQEMMASA-N 0 3 315.457 4.122 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cc(F)ccc1C ZINC000478325863 339513482 /nfs/dbraw/zinc/51/34/82/339513482.db2.gz JAJHAGKNQPUAHW-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2Cl)cc1CNC1CC1 ZINC000478343350 339513886 /nfs/dbraw/zinc/51/38/86/339513886.db2.gz OMKBTZXRYOZZGS-UHFFFAOYSA-N 0 3 303.837 4.075 20 0 DIADHN C[C@H](NCC12CCC(CC1)C2)c1nc(-c2cccc(F)c2)no1 ZINC000478409321 339514908 /nfs/dbraw/zinc/51/49/08/339514908.db2.gz CWBLZENIYZLEPM-ZVLZOBCMSA-N 0 3 315.392 4.107 20 0 DIADHN COC1(CNCc2cnc(-c3ccccc3)s2)CCCCC1 ZINC000479386909 339532706 /nfs/dbraw/zinc/53/27/06/339532706.db2.gz DRUMPVGATUNLOB-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(C(C)(C)C)cc1 ZINC000479436465 339534981 /nfs/dbraw/zinc/53/49/81/339534981.db2.gz JKTMZFPXBYQMIV-GKCIPKSASA-N 0 3 309.453 4.293 20 0 DIADHN COc1cc(OC)c([C@H](C)NCC2(C(F)F)CC2)cc1Cl ZINC000479446097 339535432 /nfs/dbraw/zinc/53/54/32/339535432.db2.gz NODCOARLZJHAOF-VIFPVBQESA-N 0 3 319.779 4.053 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CCC1)c1ccc(Cl)cc1Cl ZINC000479550098 339540741 /nfs/dbraw/zinc/54/07/41/339540741.db2.gz YZOWYUTTWJCLMK-GXFFZTMASA-N 0 3 302.245 4.195 20 0 DIADHN COC1(CN[C@H](C)c2ccc3ccccc3n2)CCC(C)CC1 ZINC000479475932 339537078 /nfs/dbraw/zinc/53/70/78/339537078.db2.gz OTAHPBIIEDXVAD-LFDOHDQPSA-N 0 3 312.457 4.481 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CCC1)c1cc(Cl)cc(Cl)c1 ZINC000479513510 339538741 /nfs/dbraw/zinc/53/87/41/339538741.db2.gz OGDOQJCMBPCTTK-ZUZCIYMTSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](NCCc1cccc(OCC(F)(F)F)c1)c1ccco1 ZINC000479521692 339539198 /nfs/dbraw/zinc/53/91/98/339539198.db2.gz IJWLICIHOHLJPD-LBPRGKRZSA-N 0 3 313.319 4.114 20 0 DIADHN CCCc1cccc(CNCc2ccc(Cn3cccn3)cc2)c1 ZINC000479532534 339539671 /nfs/dbraw/zinc/53/96/71/339539671.db2.gz XSBCVOLMWXFQRD-UHFFFAOYSA-N 0 3 319.452 4.174 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1cnc(C(C)(C)C)s1 ZINC000479573791 339541777 /nfs/dbraw/zinc/54/17/77/339541777.db2.gz DLLRSQGFRUJZEO-CABCVRRESA-N 0 3 310.507 4.124 20 0 DIADHN COc1ccc(CNC[C@@H](C)C(F)(F)F)cc1OCC(C)C ZINC000479572891 339541816 /nfs/dbraw/zinc/54/18/16/339541816.db2.gz FJZXZEWXLBKQNO-GFCCVEGCSA-N 0 3 319.367 4.018 20 0 DIADHN COc1ccc(CN[C@@H]2CCCO[C@@H]2CC(C)C)cc1Cl ZINC000479603886 339543345 /nfs/dbraw/zinc/54/33/45/339543345.db2.gz RSRBNDYOQWKFHN-NVXWUHKLSA-N 0 3 311.853 4.032 20 0 DIADHN CCCCN[C@H](C)c1ccc(OC(F)F)cc1OC(F)F ZINC000479859698 339549646 /nfs/dbraw/zinc/54/96/46/339549646.db2.gz KVHAFDJQBMSNHR-SECBINFHSA-N 0 3 309.303 4.340 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1ccc(OC2CCCC2)cc1 ZINC000479841358 339549964 /nfs/dbraw/zinc/54/99/64/339549964.db2.gz LZVJHPNQOAUFNN-SFHVURJKSA-N 0 3 318.461 4.113 20 0 DIADHN Cc1c([C@@H](C)NC2CC2)cnn1-c1ccc(Cl)cc1Cl ZINC000479858079 339550960 /nfs/dbraw/zinc/55/09/60/339550960.db2.gz HSVUZRZHBKZHEW-SECBINFHSA-N 0 3 310.228 4.301 20 0 DIADHN C[C@@H](NC[C@H](c1cccc(F)c1)N(C)C)c1cc(F)ccc1F ZINC000479873231 339552058 /nfs/dbraw/zinc/55/20/58/339552058.db2.gz LSGPUFDAOSDINL-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN COc1cccc([C@@H](C)NCCSCc2ccccc2)c1 ZINC000480029789 339555983 /nfs/dbraw/zinc/55/59/83/339555983.db2.gz MVQCQEXFKMVEQT-OAHLLOKOSA-N 0 3 301.455 4.279 20 0 DIADHN CCSc1ccccc1[C@@H](C)NC[C@@H](c1ccco1)N(C)C ZINC000480086505 339558599 /nfs/dbraw/zinc/55/85/99/339558599.db2.gz NYMZTOIONXRPLT-ZBFHGGJFSA-N 0 3 318.486 4.345 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000480183639 339562476 /nfs/dbraw/zinc/56/24/76/339562476.db2.gz RYZPTIOSUWUMSV-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](C)c2cc(C)c(C)cc2C)c1 ZINC000480220538 339563917 /nfs/dbraw/zinc/56/39/17/339563917.db2.gz CWRRENXFLIRPAA-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1cn2cc(Cl)ccc2n1 ZINC000480224565 339564132 /nfs/dbraw/zinc/56/41/32/339564132.db2.gz FMFFKNOANQOMAI-JTQLQIEISA-N 0 3 319.758 4.198 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@H](C)c3ccc(Cl)cn3)c21 ZINC000480254802 339565626 /nfs/dbraw/zinc/56/56/26/339565626.db2.gz YKBBWUQYEFXGHC-CYBMUJFWSA-N 0 3 313.832 4.418 20 0 DIADHN Cc1ccc(NCc2ccsc2Cl)c(OCCN(C)C)c1 ZINC000480286774 339567292 /nfs/dbraw/zinc/56/72/92/339567292.db2.gz VZGWYNBMOCDILQ-UHFFFAOYSA-N 0 3 324.877 4.262 20 0 DIADHN Clc1sccc1CN[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000480310027 339568509 /nfs/dbraw/zinc/56/85/09/339568509.db2.gz YXWZEBZHUBJWPX-CABCVRRESA-N 0 3 307.846 4.411 20 0 DIADHN Clc1sccc1CNCCc1ccc2ccccc2n1 ZINC000480351385 339570007 /nfs/dbraw/zinc/57/00/07/339570007.db2.gz GGZWYZMBNOHQKU-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN COC1(CNCc2nc3cc(Cl)ccc3s2)CCCCC1 ZINC000480354272 339570163 /nfs/dbraw/zinc/57/01/63/339570163.db2.gz GAXVQZQWGKOZDJ-UHFFFAOYSA-N 0 3 324.877 4.389 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OCC3CC3)cc2)cc1 ZINC000480363653 339570805 /nfs/dbraw/zinc/57/08/05/339570805.db2.gz MFOYOKRKRCFXGR-OAHLLOKOSA-N 0 3 311.425 4.335 20 0 DIADHN CC(C)(CNCc1nccn1-c1ccccc1)c1cccc(F)c1 ZINC000480390201 339571883 /nfs/dbraw/zinc/57/18/83/339571883.db2.gz RNIRCCJGYOOOAG-UHFFFAOYSA-N 0 3 323.415 4.079 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](c2ccccc2C)C(C)C)cn1 ZINC000480397060 339572205 /nfs/dbraw/zinc/57/22/05/339572205.db2.gz WHGIGKDCWDAKNQ-HXUWFJFHSA-N 0 3 311.473 4.333 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@H]2CCC3(CCCCC3)O2)s1 ZINC000480457920 339573669 /nfs/dbraw/zinc/57/36/69/339573669.db2.gz ACJQXISOSGMVSU-AWEZNQCLSA-N 0 3 322.518 4.412 20 0 DIADHN FC(F)COc1ccc(CNCc2ccc(C3CC3)cc2)cc1 ZINC000480479949 339574385 /nfs/dbraw/zinc/57/43/85/339574385.db2.gz WRURYMCBSNNMIY-UHFFFAOYSA-N 0 3 317.379 4.498 20 0 DIADHN CCN(CCN[C@H](C)c1sc(C)nc1C)c1ccccc1C ZINC000480606435 339579348 /nfs/dbraw/zinc/57/93/48/339579348.db2.gz ANDIUHJIQXDTAH-CQSZACIVSA-N 0 3 317.502 4.245 20 0 DIADHN C[C@@H](NC[C@H](C)N(C)c1ccccc1)c1ccc2ccccc2n1 ZINC000480631264 339580617 /nfs/dbraw/zinc/58/06/17/339580617.db2.gz QESDWDYPXJKYBG-DLBZAZTESA-N 0 3 319.452 4.410 20 0 DIADHN C[C@H](NC[C@H](O)Cc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000480648225 339581038 /nfs/dbraw/zinc/58/10/38/339581038.db2.gz OAPAOKBYOCHIHF-SWLSCSKDSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@@H](CN[C@H]1CCc2cc(Br)ccc21)C(F)(F)F ZINC000480673985 339582164 /nfs/dbraw/zinc/58/21/64/339582164.db2.gz WHIXWJIZCVKEGG-UFBFGSQYSA-N 0 3 322.168 4.224 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](C)C(F)(F)F)ccc1SC ZINC000480671098 339582602 /nfs/dbraw/zinc/58/26/02/339582602.db2.gz FDZFPKZZNDRWQR-NXEZZACHSA-N 0 3 307.381 4.266 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000480696303 339583802 /nfs/dbraw/zinc/58/38/02/339583802.db2.gz WNNRXHGATHSKHX-NYHFZMIOSA-N 0 3 315.482 4.398 20 0 DIADHN CCC[C@H](C)[C@@H](CC)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707521 339584246 /nfs/dbraw/zinc/58/42/46/339584246.db2.gz HJCVPAIMZPKKSW-GDIGMMSISA-N 0 3 317.452 4.453 20 0 DIADHN C[C@H](CC1CCCC1)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721405 339584776 /nfs/dbraw/zinc/58/47/76/339584776.db2.gz VPDUJNPVJRKJGU-AUUYWEPGSA-N 0 3 315.436 4.207 20 0 DIADHN CCC[C@@H](NCc1nncn1-c1ccccc1)C1CCCCC1 ZINC000480758727 339586108 /nfs/dbraw/zinc/58/61/08/339586108.db2.gz ODSRIRBEDMHQRT-GOSISDBHSA-N 0 3 312.461 4.106 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1O)c1cc(F)c(Cl)cc1Cl ZINC000480776175 339586737 /nfs/dbraw/zinc/58/67/37/339586737.db2.gz ZSEUXGWMNFQBGU-GFWSLJDESA-N 0 3 306.208 4.087 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2cccc(C)c2C)cn1 ZINC000480879179 339589284 /nfs/dbraw/zinc/58/92/84/339589284.db2.gz GZALBSZBUBJVTC-KRWDZBQOSA-N 0 3 311.473 4.395 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2ccc(C)c(C)c2)cn1 ZINC000480897323 339589948 /nfs/dbraw/zinc/58/99/48/339589948.db2.gz LASCFAYJJWWIOE-QGZVFWFLSA-N 0 3 311.473 4.395 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCC(=O)c1ccc(C)cc1 ZINC000480898287 339590044 /nfs/dbraw/zinc/59/00/44/339590044.db2.gz MXEKCFMLKWWHEV-CQSZACIVSA-N 0 3 315.388 4.066 20 0 DIADHN CC(C)n1ccc(CN[C@H]2CCCC[C@H]2Cc2ccccc2)n1 ZINC000481177146 339597317 /nfs/dbraw/zinc/59/73/17/339597317.db2.gz ZBHVKEDZQGIUNJ-ICSRJNTNSA-N 0 3 311.473 4.355 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nc2ccccc2n1C(F)F ZINC000481187763 339597793 /nfs/dbraw/zinc/59/77/93/339597793.db2.gz XVLVZHUXYUFQKJ-CHWSQXEVSA-N 0 3 307.388 4.490 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccc(OC2CCCC2)nc1 ZINC000481303571 339600324 /nfs/dbraw/zinc/60/03/24/339600324.db2.gz BWFPCMGQHWMQRG-BTYIYWSLSA-N 0 3 322.452 4.426 20 0 DIADHN CCCCCN[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000481401804 339603370 /nfs/dbraw/zinc/60/33/70/339603370.db2.gz IYGYJJBNKXXCBI-LJQANCHMSA-N 0 3 307.441 4.443 20 0 DIADHN COc1cccc(CN[C@H](C)[C@H](OC)c2ccccc2Cl)c1 ZINC000481428819 339604314 /nfs/dbraw/zinc/60/43/14/339604314.db2.gz VKSQDBVIELWWFT-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481545551 339606260 /nfs/dbraw/zinc/60/62/60/339606260.db2.gz JRJRHBMDHQNMJC-CYBMUJFWSA-N 0 3 318.461 4.464 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCCc1ccc2ccccc2n1 ZINC000481720248 339611101 /nfs/dbraw/zinc/61/11/01/339611101.db2.gz FQELASUMIUITJD-INIZCTEOSA-N 0 3 320.436 4.445 20 0 DIADHN COc1ccc([C@@H](C)NCCc2ccc3ccccc3n2)c(F)c1 ZINC000481728310 339611566 /nfs/dbraw/zinc/61/15/66/339611566.db2.gz SGLQTNFQVDMUFD-CQSZACIVSA-N 0 3 324.399 4.276 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC000481730628 339611791 /nfs/dbraw/zinc/61/17/91/339611791.db2.gz TWLWYPALJAQOLO-HKUYNNGSSA-N 0 3 314.429 4.025 20 0 DIADHN CC[C@H](N[C@@H]1CCC(C)(C)C[C@H]1C)c1nnc2n1CCCCC2 ZINC000481936881 339617429 /nfs/dbraw/zinc/61/74/29/339617429.db2.gz VOLNAOSQFXDYRT-OWCLPIDISA-N 0 3 318.509 4.260 20 0 DIADHN CCN(CC)[C@H](CN[C@@H]1CCc2cc(F)ccc21)c1ccco1 ZINC000481955223 339617889 /nfs/dbraw/zinc/61/78/89/339617889.db2.gz UDFFTCMXILIHDH-QZTJIDSGSA-N 0 3 316.420 4.079 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NC1CC(F)(c2cccc(Cl)c2)C1 ZINC000482081024 339622342 /nfs/dbraw/zinc/62/23/42/339622342.db2.gz XLKZNINXCLSHEC-NFXPUDJFSA-N 0 3 321.827 4.060 20 0 DIADHN C[C@@H](NCCc1cc(F)cc(F)c1)c1ccc2ccccc2n1 ZINC000482108238 339623385 /nfs/dbraw/zinc/62/33/85/339623385.db2.gz HAWKYGHNHSJXFU-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](C)c1oc2ccccc2c1C)N(CC)CC ZINC000482167913 339625330 /nfs/dbraw/zinc/62/53/30/339625330.db2.gz GTZDIEMEAOLGMK-HOCLYGCPSA-N 0 3 316.445 4.039 20 0 DIADHN Cc1csc(CNCCCc2c(Cl)cccc2Cl)n1 ZINC000482164456 339625461 /nfs/dbraw/zinc/62/54/61/339625461.db2.gz PFAWPNMRJFIUTD-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN Cc1ccc(Oc2ccccc2CNCc2ccn(C)c2)cc1 ZINC000482545760 339635343 /nfs/dbraw/zinc/63/53/43/339635343.db2.gz YWIUVZYRJMQQLZ-UHFFFAOYSA-N 0 3 306.409 4.416 20 0 DIADHN CCSc1ccccc1[C@H](C)NC[C@H](O)Cc1ccccc1 ZINC000482562234 339636240 /nfs/dbraw/zinc/63/62/40/339636240.db2.gz CSAYZAJYUBNVEF-DOTOQJQBSA-N 0 3 315.482 4.053 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(CC)s2)cn1 ZINC000482621315 339637699 /nfs/dbraw/zinc/63/76/99/339637699.db2.gz WZEIBNQKFNCGAI-ZDUSSCGKSA-N 0 3 304.459 4.345 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H]1c2ccccc2C[C@@H]1C ZINC000482639044 339638483 /nfs/dbraw/zinc/63/84/83/339638483.db2.gz FPERLHXYLHMIPR-KPZWWZAWSA-N 0 3 301.817 4.372 20 0 DIADHN C[C@H]1OCC[C@H]1NCc1ccc(Oc2cc(F)cc(F)c2)cc1 ZINC000482725828 339640918 /nfs/dbraw/zinc/64/09/18/339640918.db2.gz QTOAAXBKVXBEAP-KZULUSFZSA-N 0 3 319.351 4.024 20 0 DIADHN Cc1noc(C)c1CCN1CCC(c2cccc(Cl)c2)CC1 ZINC000483098619 339651603 /nfs/dbraw/zinc/65/16/03/339651603.db2.gz OVQRJGKJGDYUKC-UHFFFAOYSA-N 0 3 318.848 4.367 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2c(F)cccc2F)N(C)C)o1 ZINC000483122525 339652536 /nfs/dbraw/zinc/65/25/36/339652536.db2.gz SIOSHTDPWGGUMT-WBMJQRKESA-N 0 3 322.399 4.074 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1ccccc1Cl ZINC000483120406 339652696 /nfs/dbraw/zinc/65/26/96/339652696.db2.gz OKRXWCFZJWNTNF-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@@H](NC[C@H](c1c(F)cccc1F)N(C)C)c1ccc(F)cc1 ZINC000483151436 339654177 /nfs/dbraw/zinc/65/41/77/339654177.db2.gz QPEPWBHSOYLNQF-SJKOYZFVSA-N 0 3 322.374 4.057 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(-n3ccnc3)c2)c(C)c1 ZINC000483157366 339654479 /nfs/dbraw/zinc/65/44/79/339654479.db2.gz OVQKAOXSJINDHV-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN CCCC[C@@H](COC)N[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000483196728 339656025 /nfs/dbraw/zinc/65/60/25/339656025.db2.gz DSNCJAWJKYITTK-DOTOQJQBSA-N 0 3 303.446 4.228 20 0 DIADHN CC[C@@H](NCCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000483317140 339661411 /nfs/dbraw/zinc/66/14/11/339661411.db2.gz WVDAOKVISRHMQQ-MRXNPFEDSA-N 0 3 304.482 4.016 20 0 DIADHN CC(C)[C@H]1CCC[C@H]1N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483356267 339662479 /nfs/dbraw/zinc/66/24/79/339662479.db2.gz LKSXWFWCGIQKSN-APHBMKBZSA-N 0 3 317.408 4.351 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](C)Oc2ccccc2F)c(F)c1 ZINC000483605475 339669293 /nfs/dbraw/zinc/66/92/93/339669293.db2.gz KTCNFIMYGCPLGO-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@H](C)c2cc(F)ccc2F)c1 ZINC000483628509 339669890 /nfs/dbraw/zinc/66/98/90/339669890.db2.gz PZMGBXPSFHWZLW-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1ccc2ccccc2n1 ZINC000483627343 339669897 /nfs/dbraw/zinc/66/98/97/339669897.db2.gz UVTJZVQOOKWCCP-LSDHHAIUSA-N 0 3 324.399 4.492 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@@H](C)c2ccc(F)cc2F)cc1 ZINC000483643713 339670488 /nfs/dbraw/zinc/67/04/88/339670488.db2.gz OKHCYOCOSZQHOT-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CCCC[C@H](CC)N[C@H](C)c1nc(-c2cccc(OC)c2)no1 ZINC000483654644 339670985 /nfs/dbraw/zinc/67/09/85/339670985.db2.gz ODRZZQRKGSLQQZ-HIFRSBDPSA-N 0 3 317.433 4.365 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)CCc2ccc(C)o2)c(F)c1 ZINC000483660576 339671135 /nfs/dbraw/zinc/67/11/35/339671135.db2.gz MGEZJRHOSROBIR-JSGCOSHPSA-N 0 3 305.393 4.408 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C)c1ccc(F)cc1Cl ZINC000483719585 339672764 /nfs/dbraw/zinc/67/27/64/339672764.db2.gz DROCIVXEVXFXHI-YWSWHFDRSA-N 0 3 311.828 4.333 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)nnn1-c1ccccc1 ZINC000483720567 339672783 /nfs/dbraw/zinc/67/27/83/339672783.db2.gz APBHYXPJQHIMHE-DZJNRPSUSA-N 0 3 324.472 4.195 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@H]2Cc2ccccc2)sc1C ZINC000483846597 339676210 /nfs/dbraw/zinc/67/62/10/339676210.db2.gz CFALFBPJBVLQLB-IRXDYDNUSA-N 0 3 300.471 4.261 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1nc(C(F)(F)F)cs1)[C@H]1CCOC1 ZINC000483848282 339676239 /nfs/dbraw/zinc/67/62/39/339676239.db2.gz GBMWJACRYJDUQG-AXFHLTTASA-N 0 3 322.396 4.018 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1ccnc(Oc2cccc(C)c2C)c1 ZINC000483854374 339676587 /nfs/dbraw/zinc/67/65/87/339676587.db2.gz VODZZCQKFSBQSW-HZPDHXFCSA-N 0 3 314.429 4.004 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@H](CCO)CC(C)C ZINC000483870315 339677551 /nfs/dbraw/zinc/67/75/51/339677551.db2.gz NAUVZDXUHBGHPF-KBPBESRZSA-N 0 3 313.869 4.044 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(Br)s1)C(C)(C)C ZINC000483873087 339677688 /nfs/dbraw/zinc/67/76/88/339677688.db2.gz QDDFLPCIYYBNQW-ONGXEEELSA-N 0 3 320.296 4.222 20 0 DIADHN COC[C@H](N[C@@H](Cc1ccccc1)c1ccccc1F)C1CC1 ZINC000484125679 339681365 /nfs/dbraw/zinc/68/13/65/339681365.db2.gz HPDCFHNSUVDMDB-PMACEKPBSA-N 0 3 313.416 4.124 20 0 DIADHN C[C@@H](NCC1(CCO)CCCCC1)c1ccc(F)cc1Cl ZINC000484274873 339683454 /nfs/dbraw/zinc/68/34/54/339683454.db2.gz GLORQDHYFBBEFJ-CYBMUJFWSA-N 0 3 313.844 4.463 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2cccc(Cl)c2)C1)c1ccsc1 ZINC000484288844 339683795 /nfs/dbraw/zinc/68/37/95/339683795.db2.gz FCWUVIDWBFRUDM-DOMZBBRYSA-N 0 3 306.862 4.331 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@H]2CCC[C@H](C3CC3)C2)n1 ZINC000484310714 339684615 /nfs/dbraw/zinc/68/46/15/339684615.db2.gz QRGJAOXGVOGOBP-PMACEKPBSA-N 0 3 323.484 4.184 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccc(F)cc1F)c1cccnc1 ZINC000484312301 339684700 /nfs/dbraw/zinc/68/47/00/339684700.db2.gz SQAQMTIVOPYZGC-GUYCJALGSA-N 0 3 304.384 4.450 20 0 DIADHN Clc1sccc1CNC[C@@H]1CCO[C@H]1c1ccccc1 ZINC000484394500 339685875 /nfs/dbraw/zinc/68/58/75/339685875.db2.gz CWBGTTGUWLSIJO-ZFWWWQNUSA-N 0 3 307.846 4.269 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1ccccc1OC(F)(F)F ZINC000484486491 339687564 /nfs/dbraw/zinc/68/75/64/339687564.db2.gz LINYZNKMBITHNB-QWRGUYRKSA-N 0 3 305.365 4.131 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1ccc2ncsc2c1 ZINC000485715256 339710840 /nfs/dbraw/zinc/71/08/40/339710840.db2.gz ATPUCHVWHSNNBT-NSHDSACASA-N 0 3 308.410 4.023 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC000485720490 339711095 /nfs/dbraw/zinc/71/10/95/339711095.db2.gz RQNYCMHULHXKKG-INIZCTEOSA-N 0 3 323.358 4.439 20 0 DIADHN C[C@H](NCCOc1ccccc1F)c1ccc2ncsc2c1 ZINC000485800436 339713194 /nfs/dbraw/zinc/71/31/94/339713194.db2.gz GZOCREYDGWVJOC-LBPRGKRZSA-N 0 3 316.401 4.165 20 0 DIADHN CC(C)OCCN[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000486136868 339721820 /nfs/dbraw/zinc/72/18/20/339721820.db2.gz MLHFSGNSOXGGBJ-SFHVURJKSA-N 0 3 303.833 4.444 20 0 DIADHN Fc1ccc2cc(CN[C@H](c3ccccc3)[C@H]3CCOC3)[nH]c2c1 ZINC000486678418 339730969 /nfs/dbraw/zinc/73/09/69/339730969.db2.gz AGHKBFAUBQCVSK-OXJNMPFZSA-N 0 3 324.399 4.174 20 0 DIADHN CN1CCC(CC(=O)N2CCC[C@H]2CCC2CCCCC2)CC1 ZINC000486756434 339733247 /nfs/dbraw/zinc/73/32/47/339733247.db2.gz YPORHFGRYXHJFV-IBGZPJMESA-N 0 3 320.521 4.070 20 0 DIADHN C[C@H]1CC[C@H](NC(=O)c2ccccc2CN(C)C)c2ccccc21 ZINC000486929848 339738492 /nfs/dbraw/zinc/73/84/92/339738492.db2.gz VYEVQJAQOVZZEH-YWZLYKJASA-N 0 3 322.452 4.117 20 0 DIADHN C[C@H](COc1ccc(F)cc1F)NCc1cc(Cl)cs1 ZINC000486931562 339738654 /nfs/dbraw/zinc/73/86/54/339738654.db2.gz FPGSDBJXTVDDQV-SECBINFHSA-N 0 3 317.788 4.237 20 0 DIADHN Cc1ccc([C@@H](CNCc2cc(Cl)cs2)N(C)C)cc1 ZINC000486937361 339739009 /nfs/dbraw/zinc/73/90/09/339739009.db2.gz LZOHBWJHJLSGBQ-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN Clc1ccccc1CCNCc1cnc(-c2ccco2)s1 ZINC000486952941 339739750 /nfs/dbraw/zinc/73/97/50/339739750.db2.gz DISURQMGXDYBRM-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1cccc(C(F)(F)F)c1 ZINC000486953453 339739779 /nfs/dbraw/zinc/73/97/79/339739779.db2.gz GBZZCHHCRGYIED-DHCBQETCSA-N 0 3 306.331 4.464 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC/C=C/c1ccncc1)CCS2 ZINC000486964778 339740432 /nfs/dbraw/zinc/74/04/32/339740432.db2.gz HVBOWLCGOQWSAL-XGBNDESESA-N 0 3 300.402 4.061 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1ccc(C(F)(F)F)cc1 ZINC000486980517 339741253 /nfs/dbraw/zinc/74/12/53/339741253.db2.gz NHIMLKJTKLXORT-XQJDBVBESA-N 0 3 306.331 4.464 20 0 DIADHN CC(C)CCOc1ccccc1CNC/C=C/c1ccncc1 ZINC000486987575 339741709 /nfs/dbraw/zinc/74/17/09/339741709.db2.gz GOOXEIZHUQAWQF-AATRIKPKSA-N 0 3 310.441 4.310 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1ccc(OC(F)F)cc1 ZINC000486991337 339741891 /nfs/dbraw/zinc/74/18/91/339741891.db2.gz VGLNSEUCJCAJPZ-IBUXWKBASA-N 0 3 304.340 4.047 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1ccc(OC(F)F)cc1 ZINC000486991338 339741927 /nfs/dbraw/zinc/74/19/27/339741927.db2.gz VGLNSEUCJCAJPZ-XQJDBVBESA-N 0 3 304.340 4.047 20 0 DIADHN COc1ccc(CNCc2cscc2C)cc1OCC(C)C ZINC000487156906 339744714 /nfs/dbraw/zinc/74/47/14/339744714.db2.gz KFRQXKIAKLKONC-UHFFFAOYSA-N 0 3 319.470 4.390 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1CCCC(F)(F)C1 ZINC000487276668 339748607 /nfs/dbraw/zinc/74/86/07/339748607.db2.gz JIDDRSPDTYHBKC-LBPRGKRZSA-N 0 3 303.780 4.406 20 0 DIADHN CC(C)COc1ccc([C@@H](C)NC/C=C/c2ccncc2)cc1 ZINC000487286084 339749185 /nfs/dbraw/zinc/74/91/85/339749185.db2.gz DUEYDQALNSYCTO-LAQIPUCWSA-N 0 3 310.441 4.480 20 0 DIADHN CCOc1ccc(CNCc2cccc(CSC)c2)cc1F ZINC000487552059 339756932 /nfs/dbraw/zinc/75/69/32/339756932.db2.gz OJZLILYCAMLTRG-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN FC(F)Oc1ccccc1CCNCc1sccc1Cl ZINC000487557402 339757304 /nfs/dbraw/zinc/75/73/04/339757304.db2.gz JTPSAGCGTWDKIV-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccc(F)cc1)c1nccn1-c1ccccc1 ZINC000488272551 339775247 /nfs/dbraw/zinc/77/52/47/339775247.db2.gz MKGFMZRYPFGEFU-HZPDHXFCSA-N 0 3 323.415 4.466 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCSc3c(F)cccc32)CS1 ZINC000488426880 339781480 /nfs/dbraw/zinc/78/14/80/339781480.db2.gz TXMJEZBCSCUVAC-GLQYFDAESA-N 0 3 311.491 4.482 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H](c2ccccc2)[C@@H]2CCCO2)CS1 ZINC000488428235 339781574 /nfs/dbraw/zinc/78/15/74/339781574.db2.gz HDQZELDRJFEWLH-XLAORIBOSA-N 0 3 319.514 4.417 20 0 DIADHN COc1cccc(C(NC[C@H]2C[C@H]2C)c2cccc(OC)c2)c1 ZINC000488436077 339782095 /nfs/dbraw/zinc/78/20/95/339782095.db2.gz WRYXVUHHDKTLRL-RHSMWYFYSA-N 0 3 311.425 4.039 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(OC3CCCC3)nc2)CS1 ZINC000488450313 339783019 /nfs/dbraw/zinc/78/30/19/339783019.db2.gz BZIQTPJNLFULFJ-DOTOQJQBSA-N 0 3 320.502 4.023 20 0 DIADHN CC(C)C1CC(N[C@@H](C)c2nc(-c3ccncc3)cs2)C1 ZINC000488494389 339785403 /nfs/dbraw/zinc/78/54/03/339785403.db2.gz AOVYNVMAAQKZDD-GRTSSRMGSA-N 0 3 301.459 4.290 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000489108312 339801946 /nfs/dbraw/zinc/80/19/46/339801946.db2.gz VQXXERYMORWVIP-FZKQIMNGSA-N 0 3 321.848 4.487 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NC[C@]1(C)CCCO1)CCCO2 ZINC000489521483 339811666 /nfs/dbraw/zinc/81/16/66/339811666.db2.gz DYYYMDJUWIJMPB-RDJZCZTQSA-N 0 3 309.837 4.021 20 0 DIADHN Fc1cccc([C@@H](N[C@H]2CCCOC2)c2cccc(Cl)c2)c1 ZINC000489942779 339821837 /nfs/dbraw/zinc/82/18/37/339821837.db2.gz QUNZIPVPKZXBIA-ROUUACIJSA-N 0 3 319.807 4.337 20 0 DIADHN CCC[C@H](C)C(=O)NC[C@@H](c1ccccc1)N1CCC(C)CC1 ZINC000490245017 339829447 /nfs/dbraw/zinc/82/94/47/339829447.db2.gz OGFJQARAPHJPDO-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)COC1CCN([C@H]2CCc3c2cccc3Cl)CC1 ZINC000490382482 339831459 /nfs/dbraw/zinc/83/14/59/339831459.db2.gz XGNWJNZBZXVMCD-SFHVURJKSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)OCCCO3)[C@@H](C)C2 ZINC000491049645 339847062 /nfs/dbraw/zinc/84/70/62/339847062.db2.gz FWLMAERNSRNLMN-BTYIYWSLSA-N 0 3 323.436 4.179 20 0 DIADHN CC[C@H](NCCc1nc(C(C)(C)C)cs1)c1ccc(F)cn1 ZINC000491058755 339847392 /nfs/dbraw/zinc/84/73/92/339847392.db2.gz YIEBQIPBWLCOHR-ZDUSSCGKSA-N 0 3 321.465 4.258 20 0 DIADHN Fc1cccc([C@H](NC2CC2)c2cccc(Br)c2)c1 ZINC000491424392 339861813 /nfs/dbraw/zinc/86/18/13/339861813.db2.gz TWYURXWUZDVNJO-MRXNPFEDSA-N 0 3 320.205 4.430 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1COc2c1ccc(C)c2C ZINC000491892707 339886254 /nfs/dbraw/zinc/88/62/54/339886254.db2.gz NSJRBICJIBMQPR-QSFXBCCZSA-N 0 3 311.425 4.103 20 0 DIADHN CC(C)[C@@](C)(O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492065551 339890607 /nfs/dbraw/zinc/89/06/07/339890607.db2.gz JOCJRAKTCQDEME-IBGZPJMESA-N 0 3 319.395 4.051 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1ccc2c[nH]nc2c1 ZINC000492211961 339894363 /nfs/dbraw/zinc/89/43/63/339894363.db2.gz WDAAIUMAESFVRF-ZDUSSCGKSA-N 0 3 317.845 4.445 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2ccc(Cl)cc2)s1 ZINC000492209472 339894365 /nfs/dbraw/zinc/89/43/65/339894365.db2.gz LMNBGUJRXBZERC-LLVKDONJSA-N 0 3 309.866 4.208 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1cccc2c[nH]nc21 ZINC000492437805 339900659 /nfs/dbraw/zinc/90/06/59/339900659.db2.gz IOIKZNHZSRYZPF-CYBMUJFWSA-N 0 3 317.845 4.445 20 0 DIADHN CC[C@H](CCO)N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039055 339915640 /nfs/dbraw/zinc/91/56/40/339915640.db2.gz XSRFMBUWJXQFQQ-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN CCO[C@@H](CCN[C@@H](CC)c1ccc(F)cn1)C1CCCC1 ZINC000493082978 339916801 /nfs/dbraw/zinc/91/68/01/339916801.db2.gz VTXIMYPRJYKKRE-WMZOPIPTSA-N 0 3 308.441 4.247 20 0 DIADHN C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1ccccc1CN(C)C ZINC000493108655 339917435 /nfs/dbraw/zinc/91/74/35/339917435.db2.gz WZBOATAWJNYKTB-DOTOQJQBSA-N 0 3 316.489 4.035 20 0 DIADHN c1ccc(C[C@H]2CCC[C@H]2NCc2nc3cccnc3s2)cc1 ZINC000493654450 339930516 /nfs/dbraw/zinc/93/05/16/339930516.db2.gz IWGVNKCVRCUDBU-HZPDHXFCSA-N 0 3 323.465 4.192 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1cc(F)ccc1SC ZINC000493882128 339935517 /nfs/dbraw/zinc/93/55/17/339935517.db2.gz HJAHAMCPTRYUIA-UGSOOPFHSA-N 0 3 319.445 4.413 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494002248 339938618 /nfs/dbraw/zinc/93/86/18/339938618.db2.gz ACELYPNXZXIKAL-BLLLJJGKSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1cccc(C)c1 ZINC000494037536 339939557 /nfs/dbraw/zinc/93/95/57/339939557.db2.gz HFEVQSYGFVWIOE-AEFFLSMTSA-N 0 3 316.420 4.427 20 0 DIADHN Cc1nc2ccc(CN[C@@H]3CCO[C@H]3c3ccccc3)cc2s1 ZINC000494138364 339941803 /nfs/dbraw/zinc/94/18/03/339941803.db2.gz YXYUTFQVDORYRX-MJGOQNOKSA-N 0 3 324.449 4.225 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2cscc2C)cc1OC ZINC000494450675 339949628 /nfs/dbraw/zinc/94/96/28/339949628.db2.gz DSQKIHACMSARJH-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN CC(C)(CNCc1cncc(F)c1)c1c(F)cccc1Cl ZINC000494601815 339954823 /nfs/dbraw/zinc/95/48/23/339954823.db2.gz YOKRJNYEHDIFGM-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccc(C(F)F)cc1 ZINC000494772396 339959728 /nfs/dbraw/zinc/95/97/28/339959728.db2.gz LUZPBIPZTDWDQX-DYVFJYSZSA-N 0 3 305.368 4.490 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ccc(C(N)=O)cc1)C1CCCC1 ZINC000494890103 339963737 /nfs/dbraw/zinc/96/37/37/339963737.db2.gz DJUNVONUNBWVAN-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN Fc1ccccc1CC1CCN(C/C=C\c2ccncc2)CC1 ZINC000494930899 339965423 /nfs/dbraw/zinc/96/54/23/339965423.db2.gz NCUMKUIITVANBO-ARJAWSKDSA-N 0 3 310.416 4.189 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C(=O)N(C)C)cc1)c1cccs1 ZINC000494933730 339965542 /nfs/dbraw/zinc/96/55/42/339965542.db2.gz VUBVLWOQJGAMPV-CJNGLKHVSA-N 0 3 316.470 4.252 20 0 DIADHN Clc1ccccc1C[C@H](N[C@H]1CCOC1)c1cccs1 ZINC000494955936 339966961 /nfs/dbraw/zinc/96/69/61/339966961.db2.gz CWCRTMMMTRRUCF-ZFWWWQNUSA-N 0 3 307.846 4.064 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCC(F)(F)C1)c1nc2ccccc2n1C ZINC000495004149 339969969 /nfs/dbraw/zinc/96/99/69/339969969.db2.gz KUOBWNJCMDZCOK-ZIAGYGMSSA-N 0 3 321.415 4.440 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccc(OC(C)(C)C)nc1 ZINC000495400349 339982804 /nfs/dbraw/zinc/98/28/04/339982804.db2.gz AALNMCKLNYGQJG-KUHUBIRLSA-N 0 3 310.441 4.282 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@H](C)NC/C=C\c1ccccc1 ZINC000495406916 339983199 /nfs/dbraw/zinc/98/31/99/339983199.db2.gz HDYPNHWGFDLIMI-XMELGKRDSA-N 0 3 324.424 4.018 20 0 DIADHN C[C@@H](N[C@@H](CN1CCCC1)c1ccccc1)c1ccccc1F ZINC000495638410 339988953 /nfs/dbraw/zinc/98/89/53/339988953.db2.gz LMQOSJPHMLPVIN-UZLBHIALSA-N 0 3 312.432 4.313 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(C)c(Cl)c2)c1 ZINC000495688607 339990213 /nfs/dbraw/zinc/99/02/13/339990213.db2.gz PCHXQIHIHKFLKQ-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](NC(=O)OC(C)(C)C)C1)c1ccco1 ZINC000496331225 340003245 /nfs/dbraw/zinc/00/32/45/340003245.db2.gz UGOAKEHDHUDDHR-KKUMJFAQSA-N 0 3 322.449 4.014 20 0 DIADHN C[C@@H](C1CC1)N(C)Cc1cc(Br)ccc1Cl ZINC000496838816 340014241 /nfs/dbraw/zinc/01/42/41/340014241.db2.gz LWHYGNYBGRJRNZ-VIFPVBQESA-N 0 3 302.643 4.333 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccc(F)cn1)C(C)C)c1ccc(F)cc1 ZINC000498769178 340022960 /nfs/dbraw/zinc/02/29/60/340022960.db2.gz ZTCCRWRWXSXPAI-ZWKOTPCHSA-N 0 3 320.383 4.034 20 0 DIADHN C[C@H](CC[S@](C)=O)N[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000498766185 340022971 /nfs/dbraw/zinc/02/29/71/340022971.db2.gz UDRFZXJYTYVZJK-OLPMXTGOSA-N 0 3 321.486 4.267 20 0 DIADHN Fc1ccc(C2=CC[C@H](N[C@@H]3CCCc4c[nH]nc43)CC2)cc1 ZINC000498791044 340023376 /nfs/dbraw/zinc/02/33/76/340023376.db2.gz USSMFGWKPKEQGS-ZWKOTPCHSA-N 0 3 311.404 4.152 20 0 DIADHN C[C@H](CCN[C@@H](c1cc2ccccc2o1)C(C)(C)C)[S@](C)=O ZINC000498804563 340023507 /nfs/dbraw/zinc/02/35/07/340023507.db2.gz BBSSYNVLXHZQQD-BUTRMIIQSA-N 0 3 321.486 4.267 20 0 DIADHN CC(C(=O)Nc1ccc(F)cc1OC(C)(C)C)C(F)(F)F ZINC000498857037 340024453 /nfs/dbraw/zinc/02/44/53/340024453.db2.gz ZAARIXHJFMLEML-QMMMGPOBSA-N 0 3 307.287 4.140 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)nn1)C1CCCCCC1 ZINC000498945532 340026847 /nfs/dbraw/zinc/02/68/47/340026847.db2.gz JDTTWWWWIIWXNI-UHFFFAOYSA-N 0 3 311.429 4.424 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499053635 340028550 /nfs/dbraw/zinc/02/85/50/340028550.db2.gz NOLIJUUYLCVQED-INIZCTEOSA-N 0 3 311.429 4.137 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H]3C3CCCC3)nn2)cc1 ZINC000499056944 340028735 /nfs/dbraw/zinc/02/87/35/340028735.db2.gz RUCNLXJMZMUVJP-LJQANCHMSA-N 0 3 323.440 4.424 20 0 DIADHN CCN(CCn1ccnc1)[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000499054635 340028773 /nfs/dbraw/zinc/02/87/73/340028773.db2.gz KJJPLZXBQPQMIE-FQEVSTJZSA-N 0 3 323.415 4.134 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499065472 340028976 /nfs/dbraw/zinc/02/89/76/340028976.db2.gz SDCIRRGQOPBGDM-CVEARBPZSA-N 0 3 311.429 4.279 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499069927 340029120 /nfs/dbraw/zinc/02/91/20/340029120.db2.gz OSJSVGYMSLTSGM-QGZVFWFLSA-N 0 3 311.429 4.279 20 0 DIADHN COc1ccc([C@@H](C)NCc2cnc3ccccc3c2)cc1F ZINC000499600136 340040509 /nfs/dbraw/zinc/04/05/09/340040509.db2.gz KPJAATREDLUGOR-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CN(Cc1cnc2ccccc2c1)CC1(c2ccccc2)CC1 ZINC000499707254 340043021 /nfs/dbraw/zinc/04/30/21/340043021.db2.gz NPAQTDNEBXYHSL-UHFFFAOYSA-N 0 3 302.421 4.398 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000499814049 340045143 /nfs/dbraw/zinc/04/51/43/340045143.db2.gz HZQYJUGYGHDWHA-IEBWSBKVSA-N 0 3 320.521 4.068 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N(C)CC1CCN(C)CC1 ZINC000499980401 340048071 /nfs/dbraw/zinc/04/80/71/340048071.db2.gz GUAQPHHXHYQHFO-LJQANCHMSA-N 0 3 322.537 4.173 20 0 DIADHN CC(C)N(C)CC(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000500020524 340048693 /nfs/dbraw/zinc/04/86/93/340048693.db2.gz RKYWKIKXGRQIAH-MRXNPFEDSA-N 0 3 324.468 4.232 20 0 DIADHN CC(C)COCCN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000500087612 340049955 /nfs/dbraw/zinc/04/99/55/340049955.db2.gz BXVNABSEWZUXJJ-UHFFFAOYSA-N 0 3 316.420 4.069 20 0 DIADHN FCCCN1CCC(F)(c2ccccc2OC(F)(F)F)CC1 ZINC000500126562 340050809 /nfs/dbraw/zinc/05/08/09/340050809.db2.gz AXKVMYXJJFOIPI-UHFFFAOYSA-N 0 3 323.305 4.205 20 0 DIADHN CN(CCSC1CCCCC1)[C@H](CCO)c1ccccc1 ZINC000500136355 340051118 /nfs/dbraw/zinc/05/11/18/340051118.db2.gz WBTXNNNGFNPEAM-GOSISDBHSA-N 0 3 307.503 4.108 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN(Cc2nc3ccccc3o2)C1 ZINC000500235979 340053603 /nfs/dbraw/zinc/05/36/03/340053603.db2.gz ZKCUPQBEQMLITQ-HXUWFJFHSA-N 0 3 306.409 4.382 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2nc3ccccc3o2)cc1 ZINC000500232046 340053718 /nfs/dbraw/zinc/05/37/18/340053718.db2.gz RLXXYDLZPOWFNW-KRWDZBQOSA-N 0 3 306.409 4.343 20 0 DIADHN Fc1ccc2nc(CNC[C@@H]3CCCC(F)(F)C3)sc2c1 ZINC000500351375 340055467 /nfs/dbraw/zinc/05/54/67/340055467.db2.gz BVWXTSMCXHWSTD-SNVBAGLBSA-N 0 3 314.376 4.351 20 0 DIADHN C[C@H]1C[C@]1(NCc1cccc2nccn21)c1ccc(Cl)cc1 ZINC000500423651 340058081 /nfs/dbraw/zinc/05/80/81/340058081.db2.gz KMZCYAZFMJCEIE-SCLBCKFNSA-N 0 3 311.816 4.013 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@H](CO)c1ccc(Cl)cc1 ZINC000500447313 340059051 /nfs/dbraw/zinc/05/90/51/340059051.db2.gz PTIKCKOGWLIJSL-DEQJRXDXSA-N 0 3 301.817 4.067 20 0 DIADHN Fc1c(Cl)cccc1CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000500461576 340059675 /nfs/dbraw/zinc/05/96/75/340059675.db2.gz OGQVNTMDXSMPNA-VXGBXAGGSA-N 0 3 307.743 4.119 20 0 DIADHN C[C@@H]1C[C@@]1(NCc1ccc2c[nH]nc2c1)c1ccc(Cl)cc1 ZINC000500466791 340059817 /nfs/dbraw/zinc/05/98/17/340059817.db2.gz YRMVLXQCKSOJJW-XIKOKIGWSA-N 0 3 311.816 4.241 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3c(F)cccc3F)C2)cc1F ZINC000500473524 340059970 /nfs/dbraw/zinc/05/99/70/340059970.db2.gz CYFUOZZIKYMEQB-JOCQHMNTSA-N 0 3 321.342 4.148 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1c(Cl)oc2ccccc21 ZINC000500476853 340060099 /nfs/dbraw/zinc/06/00/99/340060099.db2.gz XOZLGCNNXZBLSS-DGCLKSJQSA-N 0 3 315.747 4.336 20 0 DIADHN Fc1ccc(CNCCc2cccc3[nH]ccc32)c(Cl)c1 ZINC000500483789 340060301 /nfs/dbraw/zinc/06/03/01/340060301.db2.gz FFGRDUZTLGTYEP-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1ccc(N(C)CC)nc1)c1cccc(C)c1 ZINC000500503022 340060836 /nfs/dbraw/zinc/06/08/36/340060836.db2.gz YUNIUOOQSAXAQD-RXVVDRJESA-N 0 3 323.484 4.261 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3cc(F)ccc3F)C2)cc1F ZINC000500505370 340060964 /nfs/dbraw/zinc/06/09/64/340060964.db2.gz XOTWRYXJTZCTJL-NNUKFRKNSA-N 0 3 321.342 4.148 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cnc2c(C)cccn12)c1cccc(C)c1 ZINC000500507280 340060973 /nfs/dbraw/zinc/06/09/73/340060973.db2.gz INWOOONDFXQOBV-UWJYYQICSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@H]1C[C@]1(NCc1ccc2c[nH]nc2c1)c1cccc(C)c1 ZINC000500527779 340061491 /nfs/dbraw/zinc/06/14/91/340061491.db2.gz DXYPULFUQRYSGT-FXAWDEMLSA-N 0 3 305.425 4.286 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cnc2cccc(C)n12)c1cccc(C)c1 ZINC000500531549 340061574 /nfs/dbraw/zinc/06/15/74/340061574.db2.gz DAPPXWAFIQWKRM-LAUBAEHRSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1sc(C)nc1C)c1cccc(C)c1 ZINC000500533128 340061576 /nfs/dbraw/zinc/06/15/76/340061576.db2.gz NEXSMLPOVXIRIL-CRAIPNDOSA-N 0 3 300.471 4.483 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H](c1ccc(F)cc1)C(C)C ZINC000500532701 340061606 /nfs/dbraw/zinc/06/16/06/340061606.db2.gz FQJIJZOOSRFCKD-GOSISDBHSA-N 0 3 303.425 4.398 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1sc(C)nc1C)c1cccc(C)c1 ZINC000500533131 340061630 /nfs/dbraw/zinc/06/16/30/340061630.db2.gz NEXSMLPOVXIRIL-YJBOKZPZSA-N 0 3 300.471 4.483 20 0 DIADHN CC[C@H]1C[C@]1(NCc1sc(C)nc1C)c1cccc(C)c1 ZINC000500533129 340061695 /nfs/dbraw/zinc/06/16/95/340061695.db2.gz NEXSMLPOVXIRIL-MAUKXSAKSA-N 0 3 300.471 4.483 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cnn2ccccc12)c1cccc(C)c1 ZINC000500539689 340061787 /nfs/dbraw/zinc/06/17/87/340061787.db2.gz YQGNJRJIXPIVKE-PXNSSMCTSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cnn2ccccc12)c1cccc(C)c1 ZINC000500539690 340061874 /nfs/dbraw/zinc/06/18/74/340061874.db2.gz YQGNJRJIXPIVKE-XLIONFOSSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cnn2ccccc12)c1cccc(C)c1 ZINC000500539691 340061890 /nfs/dbraw/zinc/06/18/90/340061890.db2.gz YQGNJRJIXPIVKE-YLJYHZDGSA-N 0 3 305.425 4.058 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3ccc(Cl)o3)C2)cc1F ZINC000500571736 340062722 /nfs/dbraw/zinc/06/27/22/340062722.db2.gz PBJNFNHXBSONCL-HAQNSBGRSA-N 0 3 309.768 4.117 20 0 DIADHN CCC[C@@H](NCCOc1ccc(Cl)cc1)c1ccccn1 ZINC000500622914 340063924 /nfs/dbraw/zinc/06/39/24/340063924.db2.gz FPMNDOMVPJRTNW-MRXNPFEDSA-N 0 3 304.821 4.245 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC000500702198 340065410 /nfs/dbraw/zinc/06/54/10/340065410.db2.gz ZKRMKGGVHOCDLF-UHFFFAOYSA-N 0 3 303.377 4.087 20 0 DIADHN CC(C)CN(C(=O)CCCC1CCCCC1)C1CCN(C)CC1 ZINC000500718945 340065788 /nfs/dbraw/zinc/06/57/88/340065788.db2.gz YDFUDVXKRFHBAB-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN CCCC[C@H](C)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000500822127 340067830 /nfs/dbraw/zinc/06/78/30/340067830.db2.gz JSKMIZZWIWAOBE-IRXDYDNUSA-N 0 3 316.489 4.155 20 0 DIADHN CCOc1ccccc1CNCCc1ccc(F)cc1Cl ZINC000500885566 340069173 /nfs/dbraw/zinc/06/91/73/340069173.db2.gz CPXSTAYEKNDMEX-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN O=C(CC1CCCCC1)NCCNCc1cccc2ccccc21 ZINC000500887652 340069282 /nfs/dbraw/zinc/06/92/82/340069282.db2.gz HUNSIDYNGMZHEC-UHFFFAOYSA-N 0 3 324.468 4.016 20 0 DIADHN CC(C)COCCN1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000500997800 340071859 /nfs/dbraw/zinc/07/18/59/340071859.db2.gz ZETRSAINNJHCKN-IBGZPJMESA-N 0 3 300.446 4.368 20 0 DIADHN C[C@H](CCN[C@H](C)c1cn(-c2ccccc2)nn1)c1ccccc1 ZINC000501007755 340072113 /nfs/dbraw/zinc/07/21/13/340072113.db2.gz ZWUJMXHXRVJECA-IAGOWNOFSA-N 0 3 320.440 4.112 20 0 DIADHN COc1ccc2oc([C@@H](C)NCCOCC(C)C)c(C)c2c1 ZINC000501025410 340072550 /nfs/dbraw/zinc/07/25/50/340072550.db2.gz RXXAYCLJGWHABT-CQSZACIVSA-N 0 3 305.418 4.073 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000501376223 340079424 /nfs/dbraw/zinc/07/94/24/340079424.db2.gz DMTJJGPOBXJWFF-RTYFKUQISA-N 0 3 320.436 4.325 20 0 DIADHN CC(C)OC[C@H](C)NCc1ccc(OCc2ccccc2)cc1 ZINC000501377920 340079531 /nfs/dbraw/zinc/07/95/31/340079531.db2.gz NEJAADGYCGFEOM-KRWDZBQOSA-N 0 3 313.441 4.169 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000501388248 340079813 /nfs/dbraw/zinc/07/98/13/340079813.db2.gz OHOHIHUHECCUKK-BEFAXECRSA-N 0 3 301.405 4.318 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](COc1ccccc1)c1ccccc1 ZINC000501409910 340080351 /nfs/dbraw/zinc/08/03/51/340080351.db2.gz FHFVBSBNGRZHNY-FXAWDEMLSA-N 0 3 313.441 4.210 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@@H](c1nnc2ccccn21)C(C)C ZINC000501421625 340080590 /nfs/dbraw/zinc/08/05/90/340080590.db2.gz NMWNXYIKUXCWEN-NRMGUKERSA-N 0 3 320.440 4.120 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN[C@@H](C)c1cnn(C)c1 ZINC000501461262 340081612 /nfs/dbraw/zinc/08/16/12/340081612.db2.gz JVKJJJWVFPPIJM-RPHSKFLZSA-N 0 3 323.362 4.193 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(OC(F)F)cc1 ZINC000501480774 340082061 /nfs/dbraw/zinc/08/20/61/340082061.db2.gz RORYJKHXCQSHDJ-UHFFFAOYSA-N 0 3 321.367 4.149 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)NC[C@@H](C)N1CCCC[C@H]1C ZINC000501485522 340082078 /nfs/dbraw/zinc/08/20/78/340082078.db2.gz ZBQLOUBEASJAGW-ZIAGYGMSSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@@H](C)N2CCC[C@@H](C)C2)cc1 ZINC000501516796 340082482 /nfs/dbraw/zinc/08/24/82/340082482.db2.gz PKHPKHDZICILRX-HZPDHXFCSA-N 0 3 317.477 4.052 20 0 DIADHN CCC[C@@H](C)N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000501898729 340090325 /nfs/dbraw/zinc/09/03/25/340090325.db2.gz ISMTUPZVDMWJAE-RNCFNFMXSA-N 0 3 309.759 4.170 20 0 DIADHN C[C@H]1CCC[C@H]1NCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000501931740 340090886 /nfs/dbraw/zinc/09/08/86/340090886.db2.gz XBUULKXCKMDKMS-DOTOQJQBSA-N 0 3 324.424 4.196 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccc(F)cc1)c1nc2c(s1)CCC2 ZINC000502010842 340092899 /nfs/dbraw/zinc/09/28/99/340092899.db2.gz AHXCFFJAPMVCMJ-VXGBXAGGSA-N 0 3 304.434 4.225 20 0 DIADHN C[C@@H](NC1CC(C)(c2ccccc2)C1)c1nc2c(s1)CCC2 ZINC000502018985 340093132 /nfs/dbraw/zinc/09/31/32/340093132.db2.gz QLDRUGVTSZWMGA-VZDIAYEVSA-N 0 3 312.482 4.403 20 0 DIADHN C[C@@H](NC1CC(c2ccc(F)cc2)C1)c1nc2c(s1)CCC2 ZINC000502028016 340093462 /nfs/dbraw/zinc/09/34/62/340093462.db2.gz CLXNWMUCVJFUPW-NUYPLMSZSA-N 0 3 316.445 4.368 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@@H]1C)c1nc2c(s1)CCC2 ZINC000502032089 340093553 /nfs/dbraw/zinc/09/35/53/340093553.db2.gz LGHMWAWLMFHZAK-GZIXRCOHSA-N 0 3 312.482 4.475 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@H]1C)c1nc2c(s1)CCC2 ZINC000502032090 340093582 /nfs/dbraw/zinc/09/35/82/340093582.db2.gz LGHMWAWLMFHZAK-IARIHHJXSA-N 0 3 312.482 4.475 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1CCCC12CCOCC2 ZINC000502238601 340097403 /nfs/dbraw/zinc/09/74/03/340097403.db2.gz BSFWLPDTKAUQCL-OAHLLOKOSA-N 0 3 314.256 4.432 20 0 DIADHN Clc1cccc(Cl)c1CN[C@H]1CCCC12CCOCC2 ZINC000502238597 340097454 /nfs/dbraw/zinc/09/74/54/340097454.db2.gz BSFWLPDTKAUQCL-HNNXBMFYSA-N 0 3 314.256 4.432 20 0 DIADHN COc1cc(C)c(C[C@H](C)N[C@H](C)c2ccc(C)o2)cc1OC ZINC000502250960 340097771 /nfs/dbraw/zinc/09/77/71/340097771.db2.gz QSXKVMBKTFYNAY-DZGCQCFKSA-N 0 3 317.429 4.195 20 0 DIADHN C[C@]12C[C@H]1CCC[C@H]2NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000502260284 340098097 /nfs/dbraw/zinc/09/80/97/340098097.db2.gz WHBXYJBUXTVSPB-LPTQZCDUSA-N 0 3 321.468 4.029 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC[C@H](C(F)(F)F)C1 ZINC000502310391 340099401 /nfs/dbraw/zinc/09/94/01/340099401.db2.gz PFUYNSGBNKHEJO-AWEZNQCLSA-N 0 3 301.352 4.032 20 0 DIADHN C[C@H](NCCCOc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502385062 340101119 /nfs/dbraw/zinc/10/11/19/340101119.db2.gz CDEMTQAOIRYBAV-INIZCTEOSA-N 0 3 313.445 4.118 20 0 DIADHN Cc1cc([C@@H](C)NCC(C)(C)Cc2cccc(Cl)c2)nn1C ZINC000502385752 340101337 /nfs/dbraw/zinc/10/13/37/340101337.db2.gz FAIWFLANSPIXAG-CQSZACIVSA-N 0 3 319.880 4.301 20 0 DIADHN C[C@]12C[C@H]1CCC[C@H]2NCc1nccn1CCCc1ccccc1 ZINC000502397498 340101618 /nfs/dbraw/zinc/10/16/18/340101618.db2.gz ATGQEBDLAHUVRL-SBHAEUEKSA-N 0 3 323.484 4.184 20 0 DIADHN Cc1cc([C@@H](C)NCCc2nc3c(s2)CCCC3)oc1C ZINC000502403859 340101924 /nfs/dbraw/zinc/10/19/24/340101924.db2.gz HNMLRZOKOZBLEX-GFCCVEGCSA-N 0 3 304.459 4.125 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1)c1cnn(C2CCCC2)c1 ZINC000502412191 340102355 /nfs/dbraw/zinc/10/23/55/340102355.db2.gz BZVALTKELZKKLO-CQSZACIVSA-N 0 3 301.409 4.031 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)oc1C ZINC000502413159 340102429 /nfs/dbraw/zinc/10/24/29/340102429.db2.gz GIIZDBNFMHZPGC-PBQZTSCYSA-N 0 3 321.367 4.355 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)oc1C ZINC000502445406 340103830 /nfs/dbraw/zinc/10/38/30/340103830.db2.gz NSSCUAOCNBQJLA-WMZOPIPTSA-N 0 3 312.457 4.464 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]1Cc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502452002 340104136 /nfs/dbraw/zinc/10/41/36/340104136.db2.gz MESBDXMXCYJVCO-RIFZZMRRSA-N 0 3 309.457 4.280 20 0 DIADHN Cc1cc([C@H](C)NCCc2cccc(-c3ccccc3)c2)nn1C ZINC000502454016 340104225 /nfs/dbraw/zinc/10/42/25/340104225.db2.gz RCAJFJKDWMQUSN-KRWDZBQOSA-N 0 3 319.452 4.289 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502471282 340105062 /nfs/dbraw/zinc/10/50/62/340105062.db2.gz IWFKUGYSBDJJGF-YPMHNXCESA-N 0 3 303.372 4.380 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CC=C(c3ccc(F)cc3)CC2)nn1C ZINC000502475997 340105347 /nfs/dbraw/zinc/10/53/47/340105347.db2.gz LHDYSSQEECRSPV-KSSFIOAISA-N 0 3 313.420 4.154 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C2(c3ccccc3)CCCC2)nn1C ZINC000502480694 340105598 /nfs/dbraw/zinc/10/55/98/340105598.db2.gz IRBKSCAZVSSQIW-DLBZAZTESA-N 0 3 311.473 4.280 20 0 DIADHN C[C@@H](NC[C@H]1CCCC(F)(F)C1)c1nc2c(s1)CCC2 ZINC000502509098 340106377 /nfs/dbraw/zinc/10/63/77/340106377.db2.gz LPLSDBLNXGGULO-MNOVXSKESA-N 0 3 300.418 4.108 20 0 DIADHN C[C@H](NC[C@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000502509688 340106496 /nfs/dbraw/zinc/10/64/96/340106496.db2.gz HCGDDJWVKQLHQY-RYUDHWBXSA-N 0 3 314.445 4.498 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000502509689 340106515 /nfs/dbraw/zinc/10/65/15/340106515.db2.gz HCGDDJWVKQLHQY-VXGBXAGGSA-N 0 3 314.445 4.498 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000502541321 340107306 /nfs/dbraw/zinc/10/73/06/340107306.db2.gz KDKHYPXLURITBD-LJQANCHMSA-N 0 3 314.404 4.271 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CCN(C2CCC2)CC1 ZINC000502566431 340108019 /nfs/dbraw/zinc/10/80/19/340108019.db2.gz BDDIXSQUJKKVNU-GFCCVEGCSA-N 0 3 313.272 4.225 20 0 DIADHN COC[C@@H](NC[C@@H]1CCCC(F)(F)C1)c1ccc(F)c(F)c1 ZINC000502581357 340108373 /nfs/dbraw/zinc/10/83/73/340108373.db2.gz XYOIVKQJEZPNLC-IAQYHMDHSA-N 0 3 319.342 4.067 20 0 DIADHN CC(C)Oc1ccccc1CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000502580216 340108433 /nfs/dbraw/zinc/10/84/33/340108433.db2.gz SIZGDHCNGDYKSA-GDBMZVCRSA-N 0 3 313.388 4.114 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccc(F)cc1F ZINC000502592729 340108683 /nfs/dbraw/zinc/10/86/83/340108683.db2.gz QTZZESMSJRWJPE-OWYVNGRQSA-N 0 3 305.315 4.166 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1ccc(F)cc1F ZINC000502630482 340109392 /nfs/dbraw/zinc/10/93/92/340109392.db2.gz ACNDFQPLJRAJLW-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CN2CCCC2)c2ccccc2)oc1C ZINC000502694999 340110871 /nfs/dbraw/zinc/11/08/71/340110871.db2.gz CJGVIPWBRXOQPF-VQIMIIECSA-N 0 3 312.457 4.384 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502723111 340112228 /nfs/dbraw/zinc/11/22/28/340112228.db2.gz BAIRQQXJHDTHQE-GJZGRUSLSA-N 0 3 315.436 4.419 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@H]1C)c1cnn(C2CCCC2)c1 ZINC000502722554 340112373 /nfs/dbraw/zinc/11/23/73/340112373.db2.gz LCWVTJOYALXOSY-JTDSTZFVSA-N 0 3 319.493 4.244 20 0 DIADHN CCN(Cc1ccncc1)[C@@H](C)c1ccc(Br)cc1 ZINC000502732396 340112436 /nfs/dbraw/zinc/11/24/36/340112436.db2.gz KFZLQHYEUUEYGN-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN CC(C)CN1CCCC[C@H]1c1nc(-c2cccc(F)c2)c[nH]1 ZINC000502732551 340112573 /nfs/dbraw/zinc/11/25/73/340112573.db2.gz ZMXJOQLCGBMUIY-KRWDZBQOSA-N 0 3 301.409 4.399 20 0 DIADHN CCN(CCOc1ccc2ccccc2c1)Cc1ccncc1 ZINC000502750415 340113011 /nfs/dbraw/zinc/11/30/11/340113011.db2.gz NKAGQIZEXYIWNF-UHFFFAOYSA-N 0 3 306.409 4.136 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2cccc3ccsc32)c1 ZINC000502918586 340116721 /nfs/dbraw/zinc/11/67/21/340116721.db2.gz HZYSKCWAGHTWAZ-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN c1ccc(-c2nnc(CNC[C@H]3C[C@@H]3c3ccccc3)s2)cc1 ZINC000502991460 340118126 /nfs/dbraw/zinc/11/81/26/340118126.db2.gz SPUITXDIJKSOJO-IAGOWNOFSA-N 0 3 321.449 4.098 20 0 DIADHN c1nc(CNC[C@H]2C[C@H]2c2ccccc2)c(-c2ccccc2)o1 ZINC000503002792 340118398 /nfs/dbraw/zinc/11/83/98/340118398.db2.gz DMFRRCQIGFFYDK-MSOLQXFVSA-N 0 3 304.393 4.235 20 0 DIADHN CC[C@H](CNCc1csc([C@@H](C)OC)n1)c1ccccc1 ZINC000503006398 340118580 /nfs/dbraw/zinc/11/85/80/340118580.db2.gz UCSXJDGUHVELMO-ZIAGYGMSSA-N 0 3 304.459 4.134 20 0 DIADHN c1csc(-c2nc(CNC[C@H]3C[C@@H]3c3ccccc3)co2)c1 ZINC000503013838 340118686 /nfs/dbraw/zinc/11/86/86/340118686.db2.gz NOLGQKKBAAUXFC-GDBMZVCRSA-N 0 3 310.422 4.296 20 0 DIADHN CCCC[C@H](C)C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000503317394 340125263 /nfs/dbraw/zinc/12/52/63/340125263.db2.gz CZXWYYITFIDOOT-JKSUJKDBSA-N 0 3 304.478 4.058 20 0 DIADHN Cc1noc(C)c1CCN[C@@]1(c2ccc(Cl)cc2)C[C@@H]1C ZINC000503364014 340126257 /nfs/dbraw/zinc/12/62/57/340126257.db2.gz CDXWEUQATFYAPY-GTNSWQLSSA-N 0 3 304.821 4.012 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCC(OC2CCCC2)CC1 ZINC000503563985 340130717 /nfs/dbraw/zinc/13/07/17/340130717.db2.gz XQROUPOWOINIRE-VQIMIIECSA-N 0 3 309.494 4.005 20 0 DIADHN C[C@H](CNCc1ccc(-c2ccccc2)cc1F)Cn1ccnc1 ZINC000503569550 340130813 /nfs/dbraw/zinc/13/08/13/340130813.db2.gz UCUQIHFSKSDPNX-MRXNPFEDSA-N 0 3 323.415 4.115 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@H](CC(F)(F)F)C1 ZINC000503570651 340131102 /nfs/dbraw/zinc/13/11/02/340131102.db2.gz JIMHAKLATNPXNV-GFCCVEGCSA-N 0 3 301.352 4.032 20 0 DIADHN CC(C)(CCCO)CNCc1ccc(-c2ccccc2)cc1F ZINC000503593025 340131950 /nfs/dbraw/zinc/13/19/50/340131950.db2.gz SLRCYYYTMYJKFZ-UHFFFAOYSA-N 0 3 315.432 4.381 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@@H](Cc4ccccc4)C3)cn21 ZINC000503653714 340132980 /nfs/dbraw/zinc/13/29/80/340132980.db2.gz FVXQLGALKWBEHZ-IBGZPJMESA-N 0 3 319.452 4.097 20 0 DIADHN COc1ccc([C@H]2C[C@H](N[C@@H](C)c3ccc(C)o3)C2)cc1F ZINC000503855021 340135095 /nfs/dbraw/zinc/13/50/95/340135095.db2.gz JBBNKPVMFSFZCL-QEJZJMRPSA-N 0 3 303.377 4.333 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)ccc1F ZINC000503857982 340135332 /nfs/dbraw/zinc/13/53/32/340135332.db2.gz QEMSQUSWBJECPB-ZLKJLUDKSA-N 0 3 317.351 4.035 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccccc1F ZINC000503889716 340135981 /nfs/dbraw/zinc/13/59/81/340135981.db2.gz XLATZYPQGPAGMA-RRFJBIMHSA-N 0 3 301.352 4.417 20 0 DIADHN COCC[C@@H](NC[C@H](C)CCc1ccccc1)c1ccco1 ZINC000503902773 340136165 /nfs/dbraw/zinc/13/61/65/340136165.db2.gz PVTWRRRZIABKLR-SJLPKXTDSA-N 0 3 301.430 4.216 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cccc(OC(F)F)c1 ZINC000503910576 340136453 /nfs/dbraw/zinc/13/64/53/340136453.db2.gz MPTZEKGXGMHJAV-ZWKOPEQDSA-N 0 3 321.314 4.099 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cc(F)c(F)c(F)c1 ZINC000503914404 340136687 /nfs/dbraw/zinc/13/66/87/340136687.db2.gz XZBIYJWHRRPAIY-ZEGGKSINSA-N 0 3 323.305 4.305 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCc2cccc3[nH]ccc32)c1 ZINC000503913939 340136763 /nfs/dbraw/zinc/13/67/63/340136763.db2.gz WXNDOXUWOBEYKG-CQSZACIVSA-N 0 3 324.424 4.078 20 0 DIADHN C[C@H](NCC1CCC(F)(F)CC1)c1nc2c(s1)CCCC2 ZINC000503923407 340136947 /nfs/dbraw/zinc/13/69/47/340136947.db2.gz CCAZMZUIUWWQDB-NSHDSACASA-N 0 3 314.445 4.498 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCC1CCC(F)(F)CC1 ZINC000503921002 340137014 /nfs/dbraw/zinc/13/70/14/340137014.db2.gz QUXANFXRTHYFJV-LLVKDONJSA-N 0 3 301.352 4.310 20 0 DIADHN CO[C@H]1CCCN(C/C(C)=C/c2ccccc2C(F)(F)F)C1 ZINC000503929170 340137259 /nfs/dbraw/zinc/13/72/59/340137259.db2.gz OGDNMLKCMPJOLO-VOMSXAGXSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCC[C@H]2C)nnn1-c1cccc(Cl)c1 ZINC000503930414 340137302 /nfs/dbraw/zinc/13/73/02/340137302.db2.gz RVWUZOBNZSSWGQ-WQGACYEGSA-N 0 3 318.852 4.068 20 0 DIADHN COC1(CNCc2c(OC(F)F)ccc3ccccc32)CCC1 ZINC000503931768 340137394 /nfs/dbraw/zinc/13/73/94/340137394.db2.gz SMWXIMLJCYKHNA-UHFFFAOYSA-N 0 3 321.367 4.100 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CCC[C@@H]2C)nnn1-c1cccc(Cl)c1 ZINC000503930412 340137402 /nfs/dbraw/zinc/13/74/02/340137402.db2.gz RVWUZOBNZSSWGQ-MKBNYLNASA-N 0 3 318.852 4.068 20 0 DIADHN CC[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccccc1OC ZINC000503939190 340137795 /nfs/dbraw/zinc/13/77/95/340137795.db2.gz YUCCXVLCTWHZST-IIAWOOMASA-N 0 3 313.388 4.286 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCCC[C@H]1OC(F)F ZINC000503938391 340137801 /nfs/dbraw/zinc/13/78/01/340137801.db2.gz UFVGOSRTNGEDNS-JGGQBBKZSA-N 0 3 313.388 4.286 20 0 DIADHN C[C@@H](NCC1CCC(F)(F)CC1)c1nc2ccccc2n1C ZINC000503954780 340138682 /nfs/dbraw/zinc/13/86/82/340138682.db2.gz YRJKQWKUCXALSV-GFCCVEGCSA-N 0 3 307.388 4.049 20 0 DIADHN COC[C@@H](NCC1CCC(F)(F)CC1)c1ccc(F)c(F)c1 ZINC000503954747 340138686 /nfs/dbraw/zinc/13/86/86/340138686.db2.gz YDPUAHQEQKZABD-OAHLLOKOSA-N 0 3 319.342 4.067 20 0 DIADHN Cc1cccc(CCNCc2c(F)cccc2N2CCCC2)c1 ZINC000504048235 340140083 /nfs/dbraw/zinc/14/00/83/340140083.db2.gz JBVQZFGKFARNIR-UHFFFAOYSA-N 0 3 312.432 4.067 20 0 DIADHN CNC(=O)c1cccc(CN2CCCCC[C@@H]2c2ccccc2)c1 ZINC000504095151 340140726 /nfs/dbraw/zinc/14/07/26/340140726.db2.gz PLJDTLZVNZGPNL-HXUWFJFHSA-N 0 3 322.452 4.164 20 0 DIADHN COc1ccc2c(c1)OC[C@@H](N[C@@H](C)c1ccc(Cl)s1)C2 ZINC000504386766 340146733 /nfs/dbraw/zinc/14/67/33/340146733.db2.gz CFSPTCPLBAOTOU-JQWIXIFHSA-N 0 3 323.845 4.064 20 0 DIADHN CC(C)N1CCN(c2cccc(N[C@H](C)c3ccccc3)c2)CC1 ZINC000504394378 340146965 /nfs/dbraw/zinc/14/69/65/340146965.db2.gz NOGOTBIYYMMFBA-GOSISDBHSA-N 0 3 323.484 4.390 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](c1ccc(F)cc1)C(C)C ZINC000504581902 340149694 /nfs/dbraw/zinc/14/96/94/340149694.db2.gz GKCNVTZDUSNHJQ-LJQANCHMSA-N 0 3 317.452 4.171 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc2c(c1)OCCO2 ZINC000504899197 340155149 /nfs/dbraw/zinc/15/51/49/340155149.db2.gz CDVPGSQRXXQWOB-PBHICJAKSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](C)Oc2ccccc2F)ccc1F ZINC000504899625 340155368 /nfs/dbraw/zinc/15/53/68/340155368.db2.gz JMPCUCBRLJZIMF-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN CCN(CC)Cc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000505249086 340164830 /nfs/dbraw/zinc/16/48/30/340164830.db2.gz KQXABQBOGIVMDY-UHFFFAOYSA-N 0 3 305.853 4.043 20 0 DIADHN c1ccc2c(c1)C=C(CN1CCCCC[C@H]1c1ccncc1)CO2 ZINC000505248519 340164867 /nfs/dbraw/zinc/16/48/67/340164867.db2.gz COEYPDPCKDNMTH-FQEVSTJZSA-N 0 3 320.436 4.475 20 0 DIADHN CN(C)c1ccccc1CN1CCCCC[C@@H]1c1ccncc1 ZINC000505274853 340165240 /nfs/dbraw/zinc/16/52/40/340165240.db2.gz WNDNESCFOCWTSG-HXUWFJFHSA-N 0 3 309.457 4.265 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CCC[C@@H]3CCCC[C@H]23)c1 ZINC000505331267 340166333 /nfs/dbraw/zinc/16/63/33/340166333.db2.gz PLMOBGGSPGXEJP-YTQUADARSA-N 0 3 314.473 4.293 20 0 DIADHN CCCN(CCC)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000505350215 340166798 /nfs/dbraw/zinc/16/67/98/340166798.db2.gz OEKUNILLLWATNC-UHFFFAOYSA-N 0 3 313.367 4.214 20 0 DIADHN CC[C@H]1CCCCN1Cc1cc(OC)c(OC)cc1SC ZINC000505361835 340167123 /nfs/dbraw/zinc/16/71/23/340167123.db2.gz HSDCPVPNCGBRQT-AWEZNQCLSA-N 0 3 309.475 4.190 20 0 DIADHN Cc1[nH]nc2ncc(CN(Cc3ccccc3)C(C)(C)C)cc12 ZINC000505455675 340168837 /nfs/dbraw/zinc/16/88/37/340168837.db2.gz OYNZNVKRTRMZKU-UHFFFAOYSA-N 0 3 308.429 4.067 20 0 DIADHN CC[C@H](C)CCC(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000505526043 340170327 /nfs/dbraw/zinc/17/03/27/340170327.db2.gz LKMKYXHMOXJVDS-GOEBONIOSA-N 0 3 310.507 4.074 20 0 DIADHN COc1ccccc1CCCN1CCCC[C@H]1c1cccn1C ZINC000505581854 340171675 /nfs/dbraw/zinc/17/16/75/340171675.db2.gz OYGZTQZBASOOOX-IBGZPJMESA-N 0 3 312.457 4.194 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000505597897 340172240 /nfs/dbraw/zinc/17/22/40/340172240.db2.gz WUZXRXDMQLEKOI-AYBZRNKSSA-N 0 3 304.478 4.010 20 0 DIADHN c1ccc(OC[C@H]2CCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC000505844838 340179440 /nfs/dbraw/zinc/17/94/40/340179440.db2.gz IURYZPYCVFEWEQ-KRWDZBQOSA-N 0 3 318.420 4.136 20 0 DIADHN Cc1nc(COc2ccc(CN3CCC(C)CC3)cc2)cs1 ZINC000505848162 340179602 /nfs/dbraw/zinc/17/96/02/340179602.db2.gz NGEIGSUIEMAGGN-UHFFFAOYSA-N 0 3 316.470 4.262 20 0 DIADHN COC(=O)C1CCN(Cc2cccc(-c3ccccc3C)c2)CC1 ZINC000505852928 340179688 /nfs/dbraw/zinc/17/96/88/340179688.db2.gz CKDVRIRJQCDZCD-UHFFFAOYSA-N 0 3 323.436 4.047 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(SC)c(OC)c1 ZINC000505852862 340179691 /nfs/dbraw/zinc/17/96/91/340179691.db2.gz BKJDMXGTDDIRQW-UHFFFAOYSA-N 0 3 301.455 4.439 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1cccc(-c2cccs2)c1 ZINC000505898884 340181055 /nfs/dbraw/zinc/18/10/55/340181055.db2.gz MTLFFWHMBVZAQE-MRXNPFEDSA-N 0 3 314.454 4.228 20 0 DIADHN O[C@@H]1CCCN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000505907676 340181309 /nfs/dbraw/zinc/18/13/09/340181309.db2.gz PLRMZNWXKWESRZ-GFCCVEGCSA-N 0 3 307.846 4.025 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2cccs2)cc1OC ZINC000506089019 340185373 /nfs/dbraw/zinc/18/53/73/340185373.db2.gz ZHNXLWWSNNOOFB-HNNXBMFYSA-N 0 3 317.454 4.493 20 0 DIADHN CN(Cc1ccc(Br)o1)C[C@H]1C[C@H]1c1ccccc1 ZINC000506189202 340188067 /nfs/dbraw/zinc/18/80/67/340188067.db2.gz JLEFGWMWAZWXKV-HIFRSBDPSA-N 0 3 320.230 4.278 20 0 DIADHN Cc1nn2c(CN(C)[C@H]3CCC[C@@H](C)C3)c(C(C)C)nc2s1 ZINC000506206665 340188609 /nfs/dbraw/zinc/18/86/09/340188609.db2.gz VQWANOWTMOBBGE-OCCSQVGLSA-N 0 3 320.506 4.233 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2cnc(-c3ccccn3)s2)C1 ZINC000506220240 340189059 /nfs/dbraw/zinc/18/90/59/340189059.db2.gz VGFFMJCFPMYOKB-KBPBESRZSA-N 0 3 301.459 4.216 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)[C@@H]2CCSC2)o1 ZINC000506230122 340189367 /nfs/dbraw/zinc/18/93/67/340189367.db2.gz ARTOPUWZHRQOMA-CYBMUJFWSA-N 0 3 305.418 4.331 20 0 DIADHN COc1ccc(-c2n[nH]cc2CN(C)Cc2cccc(C)c2)cc1 ZINC000506230295 340189435 /nfs/dbraw/zinc/18/94/35/340189435.db2.gz BMBTXOADJUFPKZ-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN COc1ccc2ccccc2c1CNC[C@@H](C)Oc1ccccc1 ZINC000506286090 340191161 /nfs/dbraw/zinc/19/11/61/340191161.db2.gz UGYSYBWFFDKXPA-MRXNPFEDSA-N 0 3 321.420 4.406 20 0 DIADHN CCN(Cc1cc(Cl)ccc1OC[C@@H]1CCCO1)C(C)C ZINC000506325809 340192316 /nfs/dbraw/zinc/19/23/16/340192316.db2.gz KBASPLNMEKVQTI-INIZCTEOSA-N 0 3 311.853 4.128 20 0 DIADHN CCN(Cc1cc(Cl)ccc1OC[C@H]1CCCO1)C(C)C ZINC000506325810 340192324 /nfs/dbraw/zinc/19/23/24/340192324.db2.gz KBASPLNMEKVQTI-MRXNPFEDSA-N 0 3 311.853 4.128 20 0 DIADHN C[C@H](CNCc1ccc(Br)cc1)Oc1ccccc1 ZINC000506331689 340192398 /nfs/dbraw/zinc/19/23/98/340192398.db2.gz OYFVCGUDPAINRP-CYBMUJFWSA-N 0 3 320.230 4.006 20 0 DIADHN CN(Cc1cnc(-c2ccncc2)s1)CC1CCCCC1 ZINC000506344148 340192948 /nfs/dbraw/zinc/19/29/48/340192948.db2.gz YPHNEMLFPKVJDQ-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cccc(-n3cccn3)c2)cc1 ZINC000506396121 340193972 /nfs/dbraw/zinc/19/39/72/340193972.db2.gz QKJVZEQJWDPQHD-INIZCTEOSA-N 0 3 321.424 4.074 20 0 DIADHN Cc1cccc2ncc(CN3CCC(c4ccccc4)CC3)n21 ZINC000506397958 340194038 /nfs/dbraw/zinc/19/40/38/340194038.db2.gz WPWCOZGGSYSHKX-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccc(OCC(F)F)cc1 ZINC000506421219 340194401 /nfs/dbraw/zinc/19/44/01/340194401.db2.gz JADXRQXSUMUPFP-UHFFFAOYSA-N 0 3 309.356 4.334 20 0 DIADHN COc1c(C)cc(CN2CCC(C(F)(F)F)CC2)cc1C ZINC000506421172 340194467 /nfs/dbraw/zinc/19/44/67/340194467.db2.gz ICMUHGVCJHWIFN-UHFFFAOYSA-N 0 3 301.352 4.086 20 0 DIADHN CCN(CCOC)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000506495397 340195814 /nfs/dbraw/zinc/19/58/14/340195814.db2.gz LKALEPKHYFEKSX-UHFFFAOYSA-N 0 3 316.426 4.025 20 0 DIADHN Clc1oc2ccccc2c1CN(C[C@@H]1CCOC1)C1CC1 ZINC000506554129 340196700 /nfs/dbraw/zinc/19/67/00/340196700.db2.gz HCQYVHPQLIGITC-LBPRGKRZSA-N 0 3 305.805 4.087 20 0 DIADHN COc1cccc([C@@H]2CCCN2CC2=Cc3ccccc3OC2)c1 ZINC000506617360 340197726 /nfs/dbraw/zinc/19/77/26/340197726.db2.gz IFUGZYWJMGKHLI-FQEVSTJZSA-N 0 3 321.420 4.308 20 0 DIADHN CCOc1cccc(CN2CCC(c3ccc(O)cc3)CC2)c1 ZINC000506623387 340197796 /nfs/dbraw/zinc/19/77/96/340197796.db2.gz JVGQRNGIXUYSSY-UHFFFAOYSA-N 0 3 311.425 4.171 20 0 DIADHN C(=C/c1ccccc1)\CN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000506629255 340198005 /nfs/dbraw/zinc/19/80/05/340198005.db2.gz ACYCBVNZRPZGIF-VMPITWQZSA-N 0 3 315.420 4.365 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)[nH]1)[C@@H]1CCc2ccccc21 ZINC000506689400 340199423 /nfs/dbraw/zinc/19/94/23/340199423.db2.gz KMJOISDNFULJDT-LJQANCHMSA-N 0 3 303.409 4.196 20 0 DIADHN Cc1ccc(CN2CCC(C(=O)c3ccccc3)CC2)c(C)c1 ZINC000506690990 340199707 /nfs/dbraw/zinc/19/97/07/340199707.db2.gz UXARZTVMKCLCBB-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN COc1ccc(OC)c([C@H]2CCCN2Cc2sccc2C)c1 ZINC000506711777 340200017 /nfs/dbraw/zinc/20/00/17/340200017.db2.gz UFKJGYADWGFBSO-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2sccc2C)c(OC)c1 ZINC000506728594 340200301 /nfs/dbraw/zinc/20/03/01/340200301.db2.gz XOZVTSOSMHRYDA-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN CN(Cc1c[nH]nc1-c1cccs1)Cc1ccc(Cl)cc1 ZINC000506766869 340201316 /nfs/dbraw/zinc/20/13/16/340201316.db2.gz ITTPQEPHFGTMBP-UHFFFAOYSA-N 0 3 317.845 4.424 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCC(C)(C)C3)n2)ccc1F ZINC000506860871 340202947 /nfs/dbraw/zinc/20/29/47/340202947.db2.gz IYXQUDCXEFWWEV-JSGCOSHPSA-N 0 3 317.408 4.413 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@H]1c1cccn1C ZINC000507005808 340205104 /nfs/dbraw/zinc/20/51/04/340205104.db2.gz BLGOUAZKCOCLES-JIHAQRTOSA-N 0 3 324.468 4.412 20 0 DIADHN COc1ccc(CN(Cc2ccc(C)s2)C(C)C)cc1OC ZINC000507023864 340205603 /nfs/dbraw/zinc/20/56/03/340205603.db2.gz WWNVSJOSNYRIKL-UHFFFAOYSA-N 0 3 319.470 4.484 20 0 DIADHN COc1ccc(OC)c([C@H]2CCCN2Cc2ccsc2C)c1 ZINC000507020745 340205649 /nfs/dbraw/zinc/20/56/49/340205649.db2.gz HSNGIRDUDPELFH-QGZVFWFLSA-N 0 3 317.454 4.411 20 0 DIADHN C[C@H]1c2ccsc2CCN1Cc1ccccc1-c1ccnn1C ZINC000507025428 340205868 /nfs/dbraw/zinc/20/58/68/340205868.db2.gz IBGRYNFZODIAPS-AWEZNQCLSA-N 0 3 323.465 4.268 20 0 DIADHN COc1cc(CN2CC[C@H](c3ccccc3)C2)ccc1SC ZINC000507084590 340206945 /nfs/dbraw/zinc/20/69/45/340206945.db2.gz JSPWCDRGKYDIKX-KRWDZBQOSA-N 0 3 313.466 4.407 20 0 DIADHN CN(Cc1cn(Cc2ccccc2)nc1-c1cccs1)C1CC1 ZINC000507112780 340207775 /nfs/dbraw/zinc/20/77/75/340207775.db2.gz XKXMMHUGTNAGHF-UHFFFAOYSA-N 0 3 323.465 4.254 20 0 DIADHN c1ccc(CC[C@H]2CCCN2Cc2nc3ccccc3[nH]2)cc1 ZINC000507111692 340207846 /nfs/dbraw/zinc/20/78/46/340207846.db2.gz RCTYIVRTZNIIKM-QGZVFWFLSA-N 0 3 305.425 4.160 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H]1c1cccc(F)c1 ZINC000507132620 340208725 /nfs/dbraw/zinc/20/87/25/340208725.db2.gz NWQZJVBXAATHCI-LJQANCHMSA-N 0 3 315.436 4.021 20 0 DIADHN CN(Cc1cc(Br)cc(C(F)(F)F)c1)C1CC1 ZINC000507132094 340208753 /nfs/dbraw/zinc/20/87/53/340208753.db2.gz OMVPSTUWSHWTTA-UHFFFAOYSA-N 0 3 308.141 4.062 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@H]1c1cccc(F)c1 ZINC000507132619 340208798 /nfs/dbraw/zinc/20/87/98/340208798.db2.gz NWQZJVBXAATHCI-IBGZPJMESA-N 0 3 315.436 4.021 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@@H]1c1ccccc1C ZINC000507154156 340209851 /nfs/dbraw/zinc/20/98/51/340209851.db2.gz HUUIJBRILXVRMC-GOSISDBHSA-N 0 3 305.425 4.288 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N2CC[C@@H](c3ccccc3C)C2)c1 ZINC000507180904 340211637 /nfs/dbraw/zinc/21/16/37/340211637.db2.gz OZPMQUHVBFZYIA-QZTJIDSGSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](c2ccccc2C)C1 ZINC000507184522 340211812 /nfs/dbraw/zinc/21/18/12/340211812.db2.gz SLPRDMSYSPLMCL-QZTJIDSGSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)Cc2ccc(N3CCCC3)nc2)c1 ZINC000507199841 340212694 /nfs/dbraw/zinc/21/26/94/340212694.db2.gz BFXMGXKERBVMFN-GOSISDBHSA-N 0 3 323.484 4.492 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)Cc2ccc([S@](C)=O)cc2)c1 ZINC000507210922 340213577 /nfs/dbraw/zinc/21/35/77/340213577.db2.gz LDNQLEKPPCDGJQ-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@H](C)c2ccc(C)cc2C)cc1 ZINC000507230724 340214749 /nfs/dbraw/zinc/21/47/49/340214749.db2.gz KOMUIMJAQRWNOK-MRXNPFEDSA-N 0 3 310.441 4.455 20 0 DIADHN CCN(Cc1c(C)nn(Cc2ccccc2Cl)c1C)C1CC1 ZINC000507234556 340214841 /nfs/dbraw/zinc/21/48/41/340214841.db2.gz SKTZEXQBMNSDME-UHFFFAOYSA-N 0 3 317.864 4.186 20 0 DIADHN CN(CCCc1ccccc1)Cc1conc1Cc1ccccc1 ZINC000507244650 340215365 /nfs/dbraw/zinc/21/53/65/340215365.db2.gz SXJMACYXWCUSQL-UHFFFAOYSA-N 0 3 320.436 4.330 20 0 DIADHN CCOCCCN(Cc1cccc(F)c1)Cc1cccc(F)c1 ZINC000507406954 340218683 /nfs/dbraw/zinc/21/86/83/340218683.db2.gz SLYMJNBJKOBWCY-UHFFFAOYSA-N 0 3 319.395 4.394 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1cccc(F)c1)c1ccco1 ZINC000507419719 340219003 /nfs/dbraw/zinc/21/90/03/340219003.db2.gz INIGOIPVLJXIHE-YOEHRIQHSA-N 0 3 305.393 4.107 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCOc3ccc(F)cc31)[C@H](C)C2 ZINC000507431343 340219311 /nfs/dbraw/zinc/21/93/11/340219311.db2.gz VRTHNMDSORDQOS-CFSSXQINSA-N 0 3 311.400 4.481 20 0 DIADHN Cc1nocc1CN(CCc1ccc(F)cc1)C1CCCC1 ZINC000507434254 340219432 /nfs/dbraw/zinc/21/94/32/340219432.db2.gz QETDOBDFAVPDOF-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN COCC[C@H](N[C@H](C)Cc1ccc(Cl)cc1)c1ccco1 ZINC000507440089 340219531 /nfs/dbraw/zinc/21/95/31/340219531.db2.gz FFRICEMJRAPMEM-CJNGLKHVSA-N 0 3 307.821 4.231 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](CC)Oc1ccccc1F ZINC000507467107 340221010 /nfs/dbraw/zinc/22/10/10/340221010.db2.gz DVMUIPQRRDWBSA-HOCLYGCPSA-N 0 3 316.420 4.291 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](c1ccc(OC)cc1)C1CC1 ZINC000507469456 340221262 /nfs/dbraw/zinc/22/12/62/340221262.db2.gz KKFXGLLLPXTPQZ-JLTOFOAXSA-N 0 3 310.441 4.455 20 0 DIADHN CCCCOc1ccc([C@H](C)NCC2(OC)CCC2)cc1OC ZINC000507472063 340221563 /nfs/dbraw/zinc/22/15/63/340221563.db2.gz DASWHAYQEWTPSE-HNNXBMFYSA-N 0 3 321.461 4.094 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](c1ccccc1)N1CCCC1 ZINC000507480096 340221742 /nfs/dbraw/zinc/22/17/42/340221742.db2.gz ALWOVZBSYPWUBB-FXAWDEMLSA-N 0 3 323.484 4.132 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1cccc(F)c1F ZINC000507477936 340221783 /nfs/dbraw/zinc/22/17/83/340221783.db2.gz LVTUUOYBIOYCLR-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CCOC)c2ccco2)C12CCCCC2 ZINC000507480837 340221872 /nfs/dbraw/zinc/22/18/72/340221872.db2.gz QAHXVEUDJHEDME-RYQLBKOJSA-N 0 3 321.461 4.075 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](OC)c1cccc(Cl)c1 ZINC000507485061 340222211 /nfs/dbraw/zinc/22/22/11/340222211.db2.gz KOKHKRPKJTUTKB-CXAGYDPISA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](OC)c1cccc(Cl)c1 ZINC000507485064 340222224 /nfs/dbraw/zinc/22/22/24/340222224.db2.gz KOKHKRPKJTUTKB-GUYCJALGSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC(C)(C)c1ccc(OC)cc1 ZINC000507486600 340222311 /nfs/dbraw/zinc/22/23/11/340222311.db2.gz OZYYCSPXSCKSRG-OAHLLOKOSA-N 0 3 312.457 4.281 20 0 DIADHN CCc1cccnc1[C@H](C)NCC(C)(C)c1ccccc1F ZINC000507498127 340222913 /nfs/dbraw/zinc/22/29/13/340222913.db2.gz UGPPZSOOCFGSHB-AWEZNQCLSA-N 0 3 300.421 4.412 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@H](CN1CCCC1)c1ccccc1 ZINC000507499794 340222933 /nfs/dbraw/zinc/22/29/33/340222933.db2.gz ZUIQZRHEKNQNGN-YLJYHZDGSA-N 0 3 323.484 4.132 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)C(=O)NC(CC)CC)cc1 ZINC000507508101 340223149 /nfs/dbraw/zinc/22/31/49/340223149.db2.gz IJNNJVVPJSYACQ-KBPBESRZSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@H](C)NCCOc1ccc(Cl)cc1 ZINC000507511129 340223454 /nfs/dbraw/zinc/22/34/54/340223454.db2.gz NXALQUKRHKHUPZ-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)C(=O)NCCC(C)C)cc1 ZINC000507513575 340223564 /nfs/dbraw/zinc/22/35/64/340223564.db2.gz WQRJNRFVWYVLKD-LSDHHAIUSA-N 0 3 322.518 4.000 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1nc(C(C)(C)C)cs1 ZINC000507517215 340223819 /nfs/dbraw/zinc/22/38/19/340223819.db2.gz YUUQFWLBUUGZQY-ZDUSSCGKSA-N 0 3 317.502 4.291 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCO[C@H](C)c1ccccc1 ZINC000507520667 340224145 /nfs/dbraw/zinc/22/41/45/340224145.db2.gz SFFXHUPGECCCJQ-DLBZAZTESA-N 0 3 312.457 4.463 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1cc(F)c(Cl)cc1Cl ZINC000300413320 340225513 /nfs/dbraw/zinc/22/55/13/340225513.db2.gz AEGWUZDEIOGFID-SVGYWBMUSA-N 0 3 318.219 4.351 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](C)c2cc(F)c(Cl)cc2Cl)C1 ZINC000300421070 340225724 /nfs/dbraw/zinc/22/57/24/340225724.db2.gz FEWLHDDPSYYZBR-KXUCPTDWSA-N 0 3 306.208 4.351 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@@H](C)c1ccncc1 ZINC000507654279 340227269 /nfs/dbraw/zinc/22/72/69/340227269.db2.gz SNGQVLJYGQTPDL-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC000507670914 340227758 /nfs/dbraw/zinc/22/77/58/340227758.db2.gz XASKBESMCJFHGT-SFHVURJKSA-N 0 3 317.436 4.141 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1CC[C@@H](Cc2ccccc2)C1 ZINC000507670914 340227759 /nfs/dbraw/zinc/22/77/59/340227759.db2.gz XASKBESMCJFHGT-SFHVURJKSA-N 0 3 317.436 4.141 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC(Oc2ccccn2)CC1 ZINC000507671118 340227768 /nfs/dbraw/zinc/22/77/68/340227768.db2.gz CWAYOIBNCLSPKD-ICFOKQHNSA-N 0 3 308.425 4.028 20 0 DIADHN CCN(Cc1ccc(OC)c(F)c1)[C@H](C)c1cccc(OC)c1 ZINC000507706458 340228926 /nfs/dbraw/zinc/22/89/26/340228926.db2.gz WZICVLIXNSIOAQ-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ccc(-n2ccnc2)cc1 ZINC000507709725 340229033 /nfs/dbraw/zinc/22/90/33/340229033.db2.gz NNEOALZZBAXPRX-UHFFFAOYSA-N 0 3 323.415 4.424 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cc1cccc(NC(C)=O)c1 ZINC000507709082 340229160 /nfs/dbraw/zinc/22/91/60/340229160.db2.gz LNHDHAPZGBSKKR-MRXNPFEDSA-N 0 3 310.441 4.446 20 0 DIADHN COc1ccccc1/C=C/CN1CC[C@@H](COc2ccccc2)C1 ZINC000507713961 340229236 /nfs/dbraw/zinc/22/92/36/340229236.db2.gz MYBBEEOPMSRJCV-PDFOZTTASA-N 0 3 323.436 4.109 20 0 DIADHN CCc1nocc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC000507716687 340229501 /nfs/dbraw/zinc/22/95/01/340229501.db2.gz IHCXTYYZFFDTHQ-HNNXBMFYSA-N 0 3 304.821 4.098 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)c1 ZINC000507743416 340230202 /nfs/dbraw/zinc/23/02/02/340230202.db2.gz SISAKWSGXRUOCR-HXUWFJFHSA-N 0 3 310.441 4.098 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)cc1F)C[C@H]1CCCOC1 ZINC000507781547 340231159 /nfs/dbraw/zinc/23/11/59/340231159.db2.gz AUGUTJZDFNJMIM-MRXNPFEDSA-N 0 3 313.416 4.351 20 0 DIADHN OCCC[C@@H]1CCCN1Cc1ccc(-c2ccccc2)cc1F ZINC000507782534 340231221 /nfs/dbraw/zinc/23/12/21/340231221.db2.gz HDPQMCDOTSJOJQ-IBGZPJMESA-N 0 3 313.416 4.230 20 0 DIADHN CCOC[C@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC000507784357 340231369 /nfs/dbraw/zinc/23/13/69/340231369.db2.gz RDDHWHWTBGDGPX-INIZCTEOSA-N 0 3 315.413 4.320 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000507788535 340231624 /nfs/dbraw/zinc/23/16/24/340231624.db2.gz CCRROYMASZGHGC-GFCCVEGCSA-N 0 3 320.795 4.159 20 0 DIADHN C[C@]1(CN[C@@H]2CCc3c2cc(Cl)cc3Cl)CCCO1 ZINC000507813851 340232858 /nfs/dbraw/zinc/23/28/58/340232858.db2.gz UCSSXOUGASMTBR-HUUCEWRRSA-N 0 3 300.229 4.139 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1cc(Cl)ccc1Cl ZINC000507842630 340233823 /nfs/dbraw/zinc/23/38/23/340233823.db2.gz BLDPPAMNEOVXRB-YZENIEGJSA-N 0 3 314.256 4.458 20 0 DIADHN COc1cccc(CN2CC=C(c3c[nH]c4ccccc43)CC2)c1 ZINC000507853630 340234186 /nfs/dbraw/zinc/23/41/86/340234186.db2.gz ALKXNIXXISSQFE-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000507861274 340234493 /nfs/dbraw/zinc/23/44/93/340234493.db2.gz QTLKNHNTLMJZPL-UHFFFAOYSA-N 0 3 313.416 4.494 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2Cl)c1ccc2c(c1)OCO2 ZINC000507866814 340234633 /nfs/dbraw/zinc/23/46/33/340234633.db2.gz UQPNWSGOKYGLHC-ZBEGNZNMSA-N 0 3 315.800 4.407 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2/C=C/c2ccccc2)cc1O ZINC000507883135 340235236 /nfs/dbraw/zinc/23/52/36/340235236.db2.gz UTFHJIIKDMAKER-SXAWMYDMSA-N 0 3 309.409 4.079 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1[C@H](C)CC[C@H]1C ZINC000507959691 340236475 /nfs/dbraw/zinc/23/64/75/340236475.db2.gz YXRZSOKXBXQZMG-GMTAPVOTSA-N 0 3 315.244 4.193 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)c1cccc2ccccc21 ZINC000507956387 340236596 /nfs/dbraw/zinc/23/65/96/340236596.db2.gz NJVOEPSKBOOMLV-NCOADZHNSA-N 0 3 324.468 4.278 20 0 DIADHN COCCN(CC1=Cc2ccccc2OC1)[C@H](C)c1ccccc1 ZINC000508517000 340245332 /nfs/dbraw/zinc/24/53/32/340245332.db2.gz WOCYMFBRGDMGSE-QGZVFWFLSA-N 0 3 323.436 4.172 20 0 DIADHN COc1ccc(CCN(Cc2conc2C)CC(C)(C)C)cc1 ZINC000508618565 340248393 /nfs/dbraw/zinc/24/83/93/340248393.db2.gz KEPOLZNXBOCZOV-UHFFFAOYSA-N 0 3 316.445 4.082 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN2CCCSCC2)o1 ZINC000508629120 340248744 /nfs/dbraw/zinc/24/87/44/340248744.db2.gz JGMGNUINXSYSCV-UHFFFAOYSA-N 0 3 305.418 4.333 20 0 DIADHN CC[C@@H]1CN(C/C(C)=C\c2ccccc2COC)CCS1 ZINC000508631403 340248943 /nfs/dbraw/zinc/24/89/43/340248943.db2.gz KMTMVXIMHMOLTE-VHUCWBOISA-N 0 3 305.487 4.064 20 0 DIADHN Cc1ccc([C@H](C)NC(=O)CNC(C)(C)c2ccccc2)cc1C ZINC000508640114 340249168 /nfs/dbraw/zinc/24/91/68/340249168.db2.gz OUKGJYSCPAKJAC-KRWDZBQOSA-N 0 3 324.468 4.006 20 0 DIADHN CCN(Cc1c(C)nc2ccccn21)[C@H](C)c1cccc(OC)c1 ZINC000508642878 340249280 /nfs/dbraw/zinc/24/92/80/340249280.db2.gz CJCWBHALXPOGFB-MRXNPFEDSA-N 0 3 323.440 4.234 20 0 DIADHN Fc1ccc(CCN(Cc2cncs2)C2CCCC2)cc1 ZINC000508691145 340250742 /nfs/dbraw/zinc/25/07/42/340250742.db2.gz VWHUHIMMMYLGMY-UHFFFAOYSA-N 0 3 304.434 4.270 20 0 DIADHN CCn1nccc1CN(CCc1ccc(F)cc1)C1CCCC1 ZINC000508695059 340250778 /nfs/dbraw/zinc/25/07/78/340250778.db2.gz PKIHGTWEMNZCTF-UHFFFAOYSA-N 0 3 315.436 4.029 20 0 DIADHN Cc1noc(C)c1CN(CCc1ccccc1F)C1CCCC1 ZINC000508726446 340251651 /nfs/dbraw/zinc/25/16/51/340251651.db2.gz UDOVSJRHUWYOIL-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN COc1ccc(CN(Cc2ccn(C)c2)Cc2ccccc2)cc1 ZINC000508773193 340252849 /nfs/dbraw/zinc/25/28/49/340252849.db2.gz GTTWMTPIPXVLPZ-UHFFFAOYSA-N 0 3 320.436 4.236 20 0 DIADHN CC(C)(C)OCCNCc1ccc(-c2cccc(F)c2F)o1 ZINC000516613272 340253498 /nfs/dbraw/zinc/25/34/98/340253498.db2.gz JCJCOEAQNPMSQY-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN CCOC1CC(CN[C@H](C)c2cc(Cl)ccc2Cl)C1 ZINC000508978682 340256848 /nfs/dbraw/zinc/25/68/48/340256848.db2.gz RBJSGOZGPQAXLQ-XSRFYTQQSA-N 0 3 302.245 4.459 20 0 DIADHN CCOC1CC(CN2CCc3cc(-c4ccccc4)oc3C2)C1 ZINC000509007485 340257862 /nfs/dbraw/zinc/25/78/62/340257862.db2.gz RXECSYRVDMWVNG-UHFFFAOYSA-N 0 3 311.425 4.120 20 0 DIADHN CC[C@@H](C)CN(C)Cc1nc2cc(Br)ccc2o1 ZINC000509006977 340257922 /nfs/dbraw/zinc/25/79/22/340257922.db2.gz NWSLJQPGPBLSDJ-SNVBAGLBSA-N 0 3 311.223 4.068 20 0 DIADHN COc1ccc(C(=O)CCN(Cc2cccs2)C(C)C)cc1 ZINC000509260114 340264755 /nfs/dbraw/zinc/26/47/55/340264755.db2.gz KVJAXCBKWCKHEQ-UHFFFAOYSA-N 0 3 317.454 4.240 20 0 DIADHN CC(C)[C@H]1CCN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509387657 340267917 /nfs/dbraw/zinc/26/79/17/340267917.db2.gz NNNNPGMWXQWTPO-NSHDSACASA-N 0 3 323.234 4.068 20 0 DIADHN CC[C@@H](C)CN(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000509390663 340268166 /nfs/dbraw/zinc/26/81/66/340268166.db2.gz XIAHLZMLYBKCIT-LLVKDONJSA-N 0 3 313.367 4.070 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cccc(Cl)c1 ZINC000509430664 340269285 /nfs/dbraw/zinc/26/92/85/340269285.db2.gz NCKAYEOBEWAGPT-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN Cn1cc(-c2ccc(CNCc3cccc(Cl)c3)s2)cn1 ZINC000509475762 340270840 /nfs/dbraw/zinc/27/08/40/340270840.db2.gz NJTJWJVBBLPPKQ-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC(c2c[nH]c3ncccc23)CC1 ZINC000509589521 340274333 /nfs/dbraw/zinc/27/43/33/340274333.db2.gz WWDJKPJNNQHECI-VMPITWQZSA-N 0 3 317.436 4.456 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nc2cc(Br)ccc2o1 ZINC000509649455 340275568 /nfs/dbraw/zinc/27/55/68/340275568.db2.gz FMWWYKJWETVUJX-PWSUYJOCSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3cc(Br)ccc3o2)[C@H]1C ZINC000509684635 340276872 /nfs/dbraw/zinc/27/68/72/340276872.db2.gz MAYHWCPYRQJUAB-MNOVXSKESA-N 0 3 323.234 4.211 20 0 DIADHN Cn1nccc1-c1ccccc1CNCc1ccc(Cl)s1 ZINC000509732732 340278084 /nfs/dbraw/zinc/27/80/84/340278084.db2.gz DABPDMFCAXVEBE-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN CCOc1ccc(OCCN2CCC[C@H]2c2cccs2)cc1 ZINC000302734269 340280270 /nfs/dbraw/zinc/28/02/70/340280270.db2.gz AFHZVUOMGMKACY-KRWDZBQOSA-N 0 3 317.454 4.363 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cc(C)cc(C)c2)cc1OC ZINC000302740888 340280800 /nfs/dbraw/zinc/28/08/00/340280800.db2.gz KFBCVASMPPCXGV-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN Cc1cc(C)cc(CN2CCC(C(=O)Nc3ccccc3)CC2)c1 ZINC000302748326 340281031 /nfs/dbraw/zinc/28/10/31/340281031.db2.gz CRFAONMVPYEJIP-UHFFFAOYSA-N 0 3 322.452 4.154 20 0 DIADHN COc1ccc(C(=O)CCN2CCC[C@@H]2c2ccccc2)cc1 ZINC000509850844 340281630 /nfs/dbraw/zinc/28/16/30/340281630.db2.gz GKANKVDJGXSJPP-LJQANCHMSA-N 0 3 309.409 4.105 20 0 DIADHN COCC[C@H](NCc1sccc1Br)C(C)(C)C ZINC000509957229 340283268 /nfs/dbraw/zinc/28/32/68/340283268.db2.gz JKFBNWIYHKELEG-LBPRGKRZSA-N 0 3 320.296 4.051 20 0 DIADHN C[C@H](NC[C@H]1CCCO1)c1ccc(OCc2ccccc2)cc1 ZINC000302832979 340283379 /nfs/dbraw/zinc/28/33/79/340283379.db2.gz XNDIKVGNNXOTJT-OXJNMPFZSA-N 0 3 311.425 4.095 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(C)s1 ZINC000509955622 340283892 /nfs/dbraw/zinc/28/38/92/340283892.db2.gz AYIZOWNKQPDEJG-UVTDQMKNSA-N 0 3 301.455 4.396 20 0 DIADHN COCC[C@@H](NCc1csc(-c2ccccc2)n1)C(C)(C)C ZINC000509964680 340284125 /nfs/dbraw/zinc/28/41/25/340284125.db2.gz WWESIHAVNIGLRU-MRXNPFEDSA-N 0 3 318.486 4.351 20 0 DIADHN C[C@@H](NCC1CCC(C(F)(F)F)CC1)c1ccncc1F ZINC000510006947 340285240 /nfs/dbraw/zinc/28/52/40/340285240.db2.gz SDELAZKORXNKHQ-VOMCLLRMSA-N 0 3 304.331 4.240 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)Nc1ccc(CN(C)C)cc1 ZINC000510071478 340287291 /nfs/dbraw/zinc/28/72/91/340287291.db2.gz LXGARZCCMPMLAS-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1ccc(OCc2ccccc2)cc1 ZINC000302931016 340287850 /nfs/dbraw/zinc/28/78/50/340287850.db2.gz OUNPIDWIRXCTBE-DLBZAZTESA-N 0 3 313.441 4.341 20 0 DIADHN CCc1ccc(CNCc2ccc3cc(OC)ccc3c2)cn1 ZINC000510211701 340292725 /nfs/dbraw/zinc/29/27/25/340292725.db2.gz WDXIWMBUYNPCHB-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1CCC(F)(F)F ZINC000510216337 340292792 /nfs/dbraw/zinc/29/27/92/340292792.db2.gz WNCPPDXEDPHEQM-JSGCOSHPSA-N 0 3 301.352 4.421 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1CCC(F)(F)F ZINC000510216339 340292817 /nfs/dbraw/zinc/29/28/17/340292817.db2.gz WNCPPDXEDPHEQM-TZMCWYRMSA-N 0 3 301.352 4.421 20 0 DIADHN OCc1ccc(CN(Cc2ccccc2Cl)CC2CC2)cc1 ZINC000510221424 340292915 /nfs/dbraw/zinc/29/29/15/340292915.db2.gz IARQYRUXNHXCRQ-UHFFFAOYSA-N 0 3 315.844 4.245 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1C[C@H]1CC[C@H](C)O1 ZINC000510223520 340293152 /nfs/dbraw/zinc/29/31/52/340293152.db2.gz PXUFCWQVCQXETO-CUSZFKRNSA-N 0 3 303.446 4.036 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1cnccc1C ZINC000510237086 340293643 /nfs/dbraw/zinc/29/36/43/340293643.db2.gz FNDDQNYNUBSPTD-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN CCc1ccc(CNCCSc2ccc(Cl)cc2)cn1 ZINC000510252797 340294163 /nfs/dbraw/zinc/29/41/63/340294163.db2.gz GJOMRRUWDDTKQX-UHFFFAOYSA-N 0 3 306.862 4.179 20 0 DIADHN c1cn(-c2ccccc2)nc1CN1CCC[C@@H]1Cc1ccccc1 ZINC000303005754 340294883 /nfs/dbraw/zinc/29/48/83/340294883.db2.gz IRSSNPRPBWHKDI-OAQYLSRUSA-N 0 3 317.436 4.079 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@@H](c3ccccc3)C2)cc1C ZINC000303030002 340296472 /nfs/dbraw/zinc/29/64/72/340296472.db2.gz WZZCFQZOKOAWKI-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](c3ccccc3)C2)cc1C ZINC000303029999 340296493 /nfs/dbraw/zinc/29/64/93/340296493.db2.gz WZZCFQZOKOAWKI-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN COc1ccc(Cl)cc1CN(C[C@H]1CCCO1)C1CCCC1 ZINC000303044452 340297653 /nfs/dbraw/zinc/29/76/53/340297653.db2.gz QMHHKSFIZNVROG-QGZVFWFLSA-N 0 3 323.864 4.272 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC[C@@H]1Cc1cccc(F)c1 ZINC000510392963 340297858 /nfs/dbraw/zinc/29/78/58/340297858.db2.gz GFFHKQXTUFTYCF-QGZVFWFLSA-N 0 3 315.436 4.053 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@H](n2ncc3ccccc32)C1 ZINC000510418509 340298666 /nfs/dbraw/zinc/29/86/66/340298666.db2.gz XBDKAESXAMXHCG-KDOFPFPSSA-N 0 3 309.388 4.183 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC[C@H](n3ncc4ccccc43)C1)CC2 ZINC000510423956 340299051 /nfs/dbraw/zinc/29/90/51/340299051.db2.gz IGJQQUSLTSOCJC-PXNSSMCTSA-N 0 3 321.399 4.110 20 0 DIADHN COc1cccc([C@@H](C)N2CC[C@@H](n3ncc4ccccc43)C2)c1 ZINC000510447866 340299770 /nfs/dbraw/zinc/29/97/70/340299770.db2.gz XTQOFWDZPQNLMV-CRAIPNDOSA-N 0 3 321.424 4.053 20 0 DIADHN COc1cccc([C@@H](C)N2CC[C@H](n3ncc4ccccc43)C2)c1 ZINC000510447868 340299777 /nfs/dbraw/zinc/29/97/77/340299777.db2.gz XTQOFWDZPQNLMV-QAPCUYQASA-N 0 3 321.424 4.053 20 0 DIADHN Cc1ccc(CN(Cc2cnc[nH]2)[C@H]2CCc3ccccc32)cc1 ZINC000510463688 340300179 /nfs/dbraw/zinc/30/01/79/340300179.db2.gz WXEKIEGRXXOVQU-NRFANRHFSA-N 0 3 317.436 4.408 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)N(C)C2CCN(C)CC2)CC1 ZINC000510478942 340300672 /nfs/dbraw/zinc/30/06/72/340300672.db2.gz ODIAQVVQFVZMAC-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)c(CN(C)C)c1 ZINC000510480939 340300710 /nfs/dbraw/zinc/30/07/10/340300710.db2.gz VSYFRWWUDKNKPK-QAQDUYKDSA-N 0 3 316.489 4.458 20 0 DIADHN CC(C)c1cccc(NC(=O)N[C@H](C)CN2CCC[C@H](C)C2)c1 ZINC000510485848 340300832 /nfs/dbraw/zinc/30/08/32/340300832.db2.gz RAKPLJZJRYGHIU-JKSUJKDBSA-N 0 3 317.477 4.052 20 0 DIADHN CC(C)CCOC1CCN(C/C=C\c2ccc(F)cc2)CC1 ZINC000510509914 340301450 /nfs/dbraw/zinc/30/14/50/340301450.db2.gz YFIVXGYOCATFQP-ARJAWSKDSA-N 0 3 305.437 4.366 20 0 DIADHN Cc1cccc(C(=O)N[C@H](C)[C@H](c2ccccc2C)N(C)C)c1C ZINC000510544359 340302363 /nfs/dbraw/zinc/30/23/63/340302363.db2.gz DKDPJXRGXOLBQP-YLJYHZDGSA-N 0 3 324.468 4.033 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@@H](c3nc(C)no3)C2)cc1 ZINC000510571553 340302938 /nfs/dbraw/zinc/30/29/38/340302938.db2.gz PFFVPOBRSSANIH-MRXNPFEDSA-N 0 3 313.445 4.055 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1cc(-c2ccccc2)on1 ZINC000510646963 340304867 /nfs/dbraw/zinc/30/48/67/340304867.db2.gz BRUVSWGWICDJLL-WMLDXEAASA-N 0 3 302.393 4.350 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)NCc1nc(C2CC2)cs1 ZINC000510655063 340305405 /nfs/dbraw/zinc/30/54/05/340305405.db2.gz FUCLSEXJBQHIPD-LLVKDONJSA-N 0 3 322.424 4.410 20 0 DIADHN Cc1nc2ccccn2c1CN(C1CC1)[C@@H]1CCc2ccccc21 ZINC000510663712 340305607 /nfs/dbraw/zinc/30/56/07/340305607.db2.gz NWXZZNSBUPGOND-LJQANCHMSA-N 0 3 317.436 4.295 20 0 DIADHN COCCCCN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510662760 340305643 /nfs/dbraw/zinc/30/56/43/340305643.db2.gz HYNOWJGYQIYJAI-LJQANCHMSA-N 0 3 301.405 4.240 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)CCc2c(F)cccc2F)cs1 ZINC000510667323 340305861 /nfs/dbraw/zinc/30/58/61/340305861.db2.gz QXGGASDAYQXZFM-MNOVXSKESA-N 0 3 310.413 4.402 20 0 DIADHN CCOc1cccc(CN(C)CC(C)(C)C)c1OC(F)F ZINC000510676647 340306289 /nfs/dbraw/zinc/30/62/89/340306289.db2.gz AOBWHSYQJDDIGC-UHFFFAOYSA-N 0 3 301.377 4.165 20 0 DIADHN Cc1cccc([C@H](NC[C@]2(C)CCOC2)c2cccc(F)c2)c1 ZINC000510684393 340306535 /nfs/dbraw/zinc/30/65/35/340306535.db2.gz PXASJFDGZPFMPD-PMACEKPBSA-N 0 3 313.416 4.240 20 0 DIADHN CC[C@H](O)CCCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510711576 340307549 /nfs/dbraw/zinc/30/75/49/340307549.db2.gz DRYXFJBHDBVEMK-PMACEKPBSA-N 0 3 315.432 4.364 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CC[C@H]2C2CCC2)c2ccccn2)c1 ZINC000510720780 340308097 /nfs/dbraw/zinc/30/80/97/340308097.db2.gz LVDNJECBXIMBJJ-BJLQDIEVSA-N 0 3 310.416 4.478 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2nc3ccccc3o2)CC1 ZINC000510785504 340311008 /nfs/dbraw/zinc/31/10/08/340311008.db2.gz ZOIOFRJGRDRSHW-UHFFFAOYSA-N 0 3 306.409 4.382 20 0 DIADHN FCCCN1CCC[C@@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000510806507 340311747 /nfs/dbraw/zinc/31/17/47/340311747.db2.gz LEWQQVDVTXDUKW-HNNXBMFYSA-N 0 3 323.456 4.122 20 0 DIADHN CC(C)(C)CCCC(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000303203339 340312315 /nfs/dbraw/zinc/31/23/15/340312315.db2.gz MHZLVFINZPEPHQ-SFHVURJKSA-N 0 3 316.489 4.156 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1CCc2c1cccc2O ZINC000510929386 340315209 /nfs/dbraw/zinc/31/52/09/340315209.db2.gz FVDWZJZQMHNDNW-NLBZTSMMSA-N 0 3 323.436 4.219 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCc2cc(F)ccc21 ZINC000510935110 340315408 /nfs/dbraw/zinc/31/54/08/340315408.db2.gz RHZTXMQNHYSCFH-QZTJIDSGSA-N 0 3 315.363 4.238 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCc2cc(F)ccc21 ZINC000510935115 340315428 /nfs/dbraw/zinc/31/54/28/340315428.db2.gz RHZTXMQNHYSCFH-ZWKOTPCHSA-N 0 3 315.363 4.238 20 0 DIADHN C[C@H](C(=O)N(C)[C@@H](C)c1ccc(Cl)cc1)N1CCCCCC1 ZINC000510950473 340315998 /nfs/dbraw/zinc/31/59/98/340315998.db2.gz XFWVLTRSHLKAEH-LSDHHAIUSA-N 0 3 322.880 4.124 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@H](CO)CC3CCCC3)oc21 ZINC000510954288 340316161 /nfs/dbraw/zinc/31/61/61/340316161.db2.gz XYZMRDNJOSBYML-BBRMVZONSA-N 0 3 317.429 4.033 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCCc2ccccc21 ZINC000510964169 340316424 /nfs/dbraw/zinc/31/64/24/340316424.db2.gz XAABLHYAGLMOQM-MOPGFXCFSA-N 0 3 311.400 4.489 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCCc2ccccc21 ZINC000510964177 340316488 /nfs/dbraw/zinc/31/64/88/340316488.db2.gz XAABLHYAGLMOQM-RBUKOAKNSA-N 0 3 311.400 4.489 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H](C)c1cccc(F)c1 ZINC000510977709 340316852 /nfs/dbraw/zinc/31/68/52/340316852.db2.gz JNJYPMNRCSFVCP-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@H](C)c1ccc(C)cc1)C1CCCCC1 ZINC000510999640 340317699 /nfs/dbraw/zinc/31/76/99/340317699.db2.gz PHCMQLFJKRAABF-IAGOWNOFSA-N 0 3 316.489 4.215 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2OC1)c1cccc(F)c1F ZINC000511008353 340318053 /nfs/dbraw/zinc/31/80/53/340318053.db2.gz DSQVLXMBXHCZIV-OCCSQVGLSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2OC1)c1cccc(F)c1F ZINC000511008351 340318106 /nfs/dbraw/zinc/31/81/06/340318106.db2.gz DSQVLXMBXHCZIV-JSGCOSHPSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1F)[C@@H]1COc2ccccc21 ZINC000162961127 340318788 /nfs/dbraw/zinc/31/87/88/340318788.db2.gz CDBYWWVAGDWJLY-OZVIIMIRSA-N 0 3 303.352 4.180 20 0 DIADHN CCN(Cc1ccc(C(=O)N[C@@H](C)CCC(C)C)cc1)C1CC1 ZINC000511039084 340319140 /nfs/dbraw/zinc/31/91/40/340319140.db2.gz YZQVEVPFFALYRB-INIZCTEOSA-N 0 3 316.489 4.225 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1c(F)cccc1F)c1ccco1 ZINC000511050044 340319270 /nfs/dbraw/zinc/31/92/70/340319270.db2.gz OACGEXYGRPVGAF-CXAGYDPISA-N 0 3 323.383 4.246 20 0 DIADHN Cc1cccc([C@@H](NCCOC(C)C)c2cccc(F)c2)c1 ZINC000511046839 340319316 /nfs/dbraw/zinc/31/93/16/340319316.db2.gz HSXDCQKSDNIYQD-LJQANCHMSA-N 0 3 301.405 4.238 20 0 DIADHN Fc1ccc(CN(CC2CC2)C[C@H]2CCCOC2)c(Cl)c1 ZINC000511068160 340319976 /nfs/dbraw/zinc/31/99/76/340319976.db2.gz YXLVGKKRSMFFJV-CQSZACIVSA-N 0 3 311.828 4.118 20 0 DIADHN CSc1ccccc1CN1CCC(c2ccc(O)cc2)CC1 ZINC000511101400 340320686 /nfs/dbraw/zinc/32/06/86/340320686.db2.gz MWYLBLHRWSIMPL-UHFFFAOYSA-N 0 3 313.466 4.494 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2Cc2ccccc2Cl)nc1 ZINC000511111615 340321227 /nfs/dbraw/zinc/32/12/27/340321227.db2.gz WEUBQSIQUUNEBI-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@H]2c2ccc(C)c(C)c2)c1C ZINC000511120855 340321584 /nfs/dbraw/zinc/32/15/84/340321584.db2.gz FAMDRSZXUUDSQJ-FQEVSTJZSA-N 0 3 311.473 4.474 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CC=C(c2ccnn2C)CC1 ZINC000511127536 340321650 /nfs/dbraw/zinc/32/16/50/340321650.db2.gz WBJNWNTYZHYZMF-INIZCTEOSA-N 0 3 317.436 4.424 20 0 DIADHN CC(C)Sc1ccc(CN2CC[C@@]3(O)CCCC[C@@H]3C2)cc1 ZINC000511160909 340322799 /nfs/dbraw/zinc/32/27/99/340322799.db2.gz LARJIRJCGJLYIZ-MJGOQNOKSA-N 0 3 319.514 4.314 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(O)cc1)c1ccc(F)cc1Cl ZINC000511222896 340324123 /nfs/dbraw/zinc/32/41/23/340324123.db2.gz PTVOOMNEEOMOLJ-NWDGAFQWSA-N 0 3 307.796 4.467 20 0 DIADHN CCCCCN(C(=O)N[C@H](C)C1=CCN(C)CC1)[C@H](C)CCC ZINC000511291047 340324835 /nfs/dbraw/zinc/32/48/35/340324835.db2.gz UXUPLBWDUNYLSE-IAGOWNOFSA-N 0 3 323.525 4.027 20 0 DIADHN C[C@@H](C(=O)N(C)Cc1ccc2ccccc2c1)N1[C@H](C)CC[C@@H]1C ZINC000511356065 340325805 /nfs/dbraw/zinc/32/58/05/340325805.db2.gz UVVXAMYMPZRWSF-BBWFWOEESA-N 0 3 324.468 4.060 20 0 DIADHN CC(C)OCCN[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000511541609 340330034 /nfs/dbraw/zinc/33/00/34/340330034.db2.gz MEWMKILBCWXYLB-OAHLLOKOSA-N 0 3 317.351 4.051 20 0 DIADHN CN(CCc1ccccc1F)Cc1ccccc1N1CCCC1 ZINC000511543494 340330122 /nfs/dbraw/zinc/33/01/22/340330122.db2.gz TYILZPQAIIOYOE-UHFFFAOYSA-N 0 3 312.432 4.100 20 0 DIADHN CN(C[C@H]1C[C@H]1c1ccccc1)C[C@@H]1OCCc2ccccc21 ZINC000511627981 340333002 /nfs/dbraw/zinc/33/30/02/340333002.db2.gz OHIAKQHUVFOZGP-GIVPXCGWSA-N 0 3 307.437 4.036 20 0 DIADHN CCc1noc(CC)c1CNC1CC(c2ccccc2Cl)C1 ZINC000511689326 340335361 /nfs/dbraw/zinc/33/53/61/340335361.db2.gz VYUOBWQLRCWHAV-UHFFFAOYSA-N 0 3 318.848 4.489 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNCC1(C2CC2)CC1 ZINC000511716496 340336109 /nfs/dbraw/zinc/33/61/09/340336109.db2.gz UAGHXMCZQSBMLT-UHFFFAOYSA-N 0 3 300.427 4.106 20 0 DIADHN c1csc(N2CCCN(Cc3ccc4ccccc4c3)CC2)n1 ZINC000511747803 340336802 /nfs/dbraw/zinc/33/68/02/340336802.db2.gz BCRUBGHMOWBHEM-UHFFFAOYSA-N 0 3 323.465 4.009 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(Sc3ccccn3)o2)c1 ZINC000511738739 340336887 /nfs/dbraw/zinc/33/68/87/340336887.db2.gz QOEYFNCWXXIBHI-UHFFFAOYSA-N 0 3 311.410 4.450 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccc3ncccc3c2)cc1F ZINC000511760082 340337466 /nfs/dbraw/zinc/33/74/66/340337466.db2.gz VRIJJWJSBZUBMD-HNQUOIGGSA-N 0 3 310.347 4.316 20 0 DIADHN CCn1cc(CN2CCC[C@@H]2c2cccc3ccccc32)cn1 ZINC000511759736 340337521 /nfs/dbraw/zinc/33/75/21/340337521.db2.gz GDANDLMTHFCUBA-HXUWFJFHSA-N 0 3 305.425 4.393 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccc3c(n2)CCCC3)cc1F ZINC000511777516 340338070 /nfs/dbraw/zinc/33/80/70/340338070.db2.gz NGINITJATMWXJK-ARJAWSKDSA-N 0 3 314.379 4.042 20 0 DIADHN Cc1ccc(CN(Cc2c(C)nc3ccccn32)C(C)C)s1 ZINC000511842647 340340080 /nfs/dbraw/zinc/34/00/80/340340080.db2.gz HNYPYQJCEPMLTB-UHFFFAOYSA-N 0 3 313.470 4.423 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc4ccccc4[nH]3)[C@H]3CCC[C@H]23)cc1 ZINC000511844781 340340194 /nfs/dbraw/zinc/34/01/94/340340194.db2.gz STVUNMISLKCQEP-UWVAXJGDSA-N 0 3 317.436 4.331 20 0 DIADHN COc1cc(CN2CCC[C@H]3CCC[C@@H]32)cc(Cl)c1OC ZINC000511858594 340340657 /nfs/dbraw/zinc/34/06/57/340340657.db2.gz HVLUUXLSYVLUGP-HIFRSBDPSA-N 0 3 309.837 4.122 20 0 DIADHN C[C@@H]1CN(Cc2cccc3cc[nH]c32)C[C@@H](c2ccccc2)O1 ZINC000511860085 340340770 /nfs/dbraw/zinc/34/07/70/340340770.db2.gz OZJHMAIHVDFBPZ-BEFAXECRSA-N 0 3 306.409 4.130 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@H]32)c(Cl)c1OC ZINC000511886416 340341783 /nfs/dbraw/zinc/34/17/83/340341783.db2.gz CUIFWIHJOYSOGH-TZMCWYRMSA-N 0 3 309.837 4.122 20 0 DIADHN CCCCn1cc(CNC/C=C/c2ccc(F)c(F)c2)c(C)n1 ZINC000511923579 340342892 /nfs/dbraw/zinc/34/28/92/340342892.db2.gz QFDBGZBFMIJYKH-AATRIKPKSA-N 0 3 319.399 4.073 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1CC[C@H](C)C1 ZINC000511958041 340343701 /nfs/dbraw/zinc/34/37/01/340343701.db2.gz RSNCMQGWJOZDBT-ZDUSSCGKSA-N 0 3 317.864 4.043 20 0 DIADHN Cc1cnn(C)c1CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000511957110 340343752 /nfs/dbraw/zinc/34/37/52/340343752.db2.gz MOQQHKUZDXGPBQ-CYBMUJFWSA-N 0 3 313.832 4.386 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1cc(C)c(Br)s1 ZINC000511975844 340344245 /nfs/dbraw/zinc/34/42/45/340344245.db2.gz WZUWPMISVMLZBD-SNVBAGLBSA-N 0 3 320.296 4.285 20 0 DIADHN CCc1ccc(CNCc2ccc(C(F)(F)F)cc2C)cn1 ZINC000511975696 340344294 /nfs/dbraw/zinc/34/42/94/340344294.db2.gz ZKEOFBDOJIFSLK-UHFFFAOYSA-N 0 3 308.347 4.261 20 0 DIADHN COc1cc(CN2CC[C@H](C)C2)ccc1OCc1cccs1 ZINC000511979201 340344340 /nfs/dbraw/zinc/34/43/40/340344340.db2.gz SOXPQGDDJFQXOA-AWEZNQCLSA-N 0 3 317.454 4.178 20 0 DIADHN COc1cc(CNCc2ccc3occc3c2)c2ccccc2n1 ZINC000512006671 340345119 /nfs/dbraw/zinc/34/51/19/340345119.db2.gz LDPDMFQFDSKECK-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN COc1cccc(C2(NC/C=C/c3ccc(F)c(F)c3)CC2)c1 ZINC000512045604 340346618 /nfs/dbraw/zinc/34/66/18/340346618.db2.gz NPRYRKLYDBEGIE-ONEGZZNKSA-N 0 3 315.363 4.266 20 0 DIADHN Cn1cc([C@H](NC/C=C/c2ccc(F)c(F)c2)C(C)(C)C)cn1 ZINC000512047293 340346678 /nfs/dbraw/zinc/34/66/78/340346678.db2.gz YZJLNWYLHCORLU-RTRPANQVSA-N 0 3 319.399 4.089 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H](c2cccnc2)C2CC2)cc1F ZINC000512068418 340347439 /nfs/dbraw/zinc/34/74/39/340347439.db2.gz RKFFVVXKIUEZCS-PBMKKYJASA-N 0 3 300.352 4.114 20 0 DIADHN C[C@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000512083955 340348003 /nfs/dbraw/zinc/34/80/03/340348003.db2.gz MZUOJIDBEKEPNH-JDGPPOGSSA-N 0 3 317.335 4.058 20 0 DIADHN c1cc2cc(CNCc3ccc(OC4CCCC4)nc3)ccc2o1 ZINC000512097694 340348461 /nfs/dbraw/zinc/34/84/61/340348461.db2.gz GDFUFNQIHCHZRX-UHFFFAOYSA-N 0 3 322.408 4.439 20 0 DIADHN CN(C)Cc1cccc(NC/C=C\c2ccc(F)c(F)c2)c1 ZINC000512130055 340349455 /nfs/dbraw/zinc/34/94/55/340349455.db2.gz MXQGYAUCSNIQSN-XQRVVYSFSA-N 0 3 302.368 4.152 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC[C@@]2(CC=CCC2)C1 ZINC000512191567 340351645 /nfs/dbraw/zinc/35/16/45/340351645.db2.gz NDJYDNRTNXECIX-FQEVSTJZSA-N 0 3 313.441 4.090 20 0 DIADHN C[C@@H](c1ccccc1)N(CCOCC(F)(F)C(F)F)C1CC1 ZINC000512241038 340353703 /nfs/dbraw/zinc/35/37/03/340353703.db2.gz GAVYAVRIVDNFSX-LBPRGKRZSA-N 0 3 319.342 4.129 20 0 DIADHN CN(Cc1csc(-c2ccoc2)n1)[C@@H]1CCc2ccccc2C1 ZINC000512317940 340356703 /nfs/dbraw/zinc/35/67/03/340356703.db2.gz HTTNXJJKRYIYQI-GOSISDBHSA-N 0 3 324.449 4.392 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cn(-c2ccccc2)nc1C ZINC000512338135 340357903 /nfs/dbraw/zinc/35/79/03/340357903.db2.gz WEZBHBQYIYWVTL-HNNXBMFYSA-N 0 3 311.454 4.401 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(c3ncc[nH]3)CC2)s1 ZINC000512380187 340359576 /nfs/dbraw/zinc/35/95/76/340359576.db2.gz XGRXSADNTNTGIW-UHFFFAOYSA-N 0 3 303.475 4.148 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2ccc(F)c(F)c2)cs1 ZINC000173373583 340363656 /nfs/dbraw/zinc/36/36/56/340363656.db2.gz ZLHDSWSDIBEMNL-LLVKDONJSA-N 0 3 310.413 4.438 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@@H](C)c1nnc2n1CCCCC2 ZINC000512493742 340363987 /nfs/dbraw/zinc/36/39/87/340363987.db2.gz KAZKAGBJSDAKGX-SJORKVTESA-N 0 3 318.509 4.428 20 0 DIADHN CC(C)(C)C1CCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000512542542 340366125 /nfs/dbraw/zinc/36/61/25/340366125.db2.gz QPCKYKSDZMSEEM-UHFFFAOYSA-N 0 3 315.486 4.463 20 0 DIADHN OCCC1(NCc2ccc(-c3c(F)cccc3F)o2)CCCC1 ZINC000512568268 340367314 /nfs/dbraw/zinc/36/73/14/340367314.db2.gz GQOVATMJSIHLPV-UHFFFAOYSA-N 0 3 321.367 4.010 20 0 DIADHN Clc1ccc([C@H](CNCc2cncs2)OCC2CC2)cc1 ZINC000512570642 340367428 /nfs/dbraw/zinc/36/74/28/340367428.db2.gz XNGHNHYSUBDXRU-INIZCTEOSA-N 0 3 322.861 4.054 20 0 DIADHN c1ccc(-c2noc(CN[C@@H]3CCCCC34CCCC4)n2)cc1 ZINC000512577078 340367725 /nfs/dbraw/zinc/36/77/25/340367725.db2.gz GXTJNAHRWHYDOK-MRXNPFEDSA-N 0 3 311.429 4.329 20 0 DIADHN CCc1ncc(CNCCn2ccc3cc(Cl)ccc32)s1 ZINC000512580193 340367860 /nfs/dbraw/zinc/36/78/60/340367860.db2.gz AATHUVHYHULHAL-UHFFFAOYSA-N 0 3 319.861 4.103 20 0 DIADHN COCCCOc1ccc(CNCc2ccc(C)cc2C)cc1 ZINC000512581759 340367938 /nfs/dbraw/zinc/36/79/38/340367938.db2.gz JIVLKKMVXNMVJA-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)CC(F)(F)F ZINC000512590048 340368141 /nfs/dbraw/zinc/36/81/41/340368141.db2.gz HEPPUQXHAKPCGI-FSBNRTBOSA-N 0 3 301.352 4.167 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)CC(F)(F)F ZINC000512590051 340368165 /nfs/dbraw/zinc/36/81/65/340368165.db2.gz HEPPUQXHAKPCGI-YQCJOKCJSA-N 0 3 301.352 4.167 20 0 DIADHN CC(C)n1cc(CN(C)CCc2cccc3ccccc32)cn1 ZINC000512663546 340371674 /nfs/dbraw/zinc/37/16/74/340371674.db2.gz NDVPXZMFGZNGHA-UHFFFAOYSA-N 0 3 307.441 4.292 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC=C(c2cccc3ccccc32)CC1 ZINC000512678982 340372770 /nfs/dbraw/zinc/37/27/70/340372770.db2.gz TWRDPUODDRVRRE-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CC[C@H](CN(CC)Cc1cnn(CC(F)F)c1)c1ccccc1 ZINC000512681906 340372897 /nfs/dbraw/zinc/37/28/97/340372897.db2.gz CGRDDVLLKYDTGK-MRXNPFEDSA-N 0 3 321.415 4.164 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CC[C@H](COC(F)F)C1 ZINC000512812031 340378767 /nfs/dbraw/zinc/37/87/67/340378767.db2.gz OOYOCFIROWWUBL-QWRGUYRKSA-N 0 3 323.305 4.328 20 0 DIADHN CCOC1CC2(C1)CCN(C/C=C/c1ccc(Cl)cc1)C2 ZINC000512818427 340379147 /nfs/dbraw/zinc/37/91/47/340379147.db2.gz UBIFDTJMZBWZFY-ONEGZZNKSA-N 0 3 305.849 4.244 20 0 DIADHN CCOC1CC2(C1)CCN([C@H]1CCc3c1cccc3Cl)C2 ZINC000512826422 340379493 /nfs/dbraw/zinc/37/94/93/340379493.db2.gz DKLICWCTTXPEJY-VXVMZULRSA-N 0 3 305.849 4.218 20 0 DIADHN C[C@H](NCCOc1ccccc1-c1ccccc1)c1ccncc1 ZINC000174008413 340380017 /nfs/dbraw/zinc/38/00/17/340380017.db2.gz IUAFWLKIZWPTPT-KRWDZBQOSA-N 0 3 318.420 4.478 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc3c2OCCO3)s1 ZINC000261487651 340380090 /nfs/dbraw/zinc/38/00/90/340380090.db2.gz VGFWCLLOMFGGHC-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN Fc1ccc(OCCCCNCc2cc(F)ccc2F)cc1 ZINC000512841331 340380261 /nfs/dbraw/zinc/38/02/61/340380261.db2.gz QWAVKGBEDYDROF-UHFFFAOYSA-N 0 3 309.331 4.053 20 0 DIADHN CC(C)Sc1ccc(CN2CC[C@@H](COC(F)F)C2)cc1 ZINC000512845002 340380451 /nfs/dbraw/zinc/38/04/51/340380451.db2.gz QOOBTZURFNLQHZ-CQSZACIVSA-N 0 3 315.429 4.248 20 0 DIADHN CCOc1cccc(CNCCSc2ccc(C)cc2)c1 ZINC000512846098 340380567 /nfs/dbraw/zinc/38/05/67/340380567.db2.gz OAMLXQDGFWTGBA-UHFFFAOYSA-N 0 3 301.455 4.276 20 0 DIADHN Brc1cccc(-c2ccc(CNCC3CC3)o2)c1 ZINC000512853839 340381050 /nfs/dbraw/zinc/38/10/50/340381050.db2.gz DBEKCVJCVUEYAZ-UHFFFAOYSA-N 0 3 306.203 4.209 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000512873774 340381974 /nfs/dbraw/zinc/38/19/74/340381974.db2.gz FMRJKDZFNRWUSF-HZMBPMFUSA-N 0 3 324.902 4.305 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC000512881065 340382291 /nfs/dbraw/zinc/38/22/91/340382291.db2.gz ZXGKNSRUPLXDKQ-PRHODGIISA-N 0 3 309.784 4.200 20 0 DIADHN CCC[C@]1(NCc2cc(Cl)cc(Cl)c2OC)CCOC1 ZINC000512889786 340382738 /nfs/dbraw/zinc/38/27/38/340382738.db2.gz GTDXFZDCBIGZNU-HNNXBMFYSA-N 0 3 318.244 4.051 20 0 DIADHN CSCc1ccc(CNCc2ccc(OC(F)F)cc2)cc1 ZINC000512977854 340386584 /nfs/dbraw/zinc/38/65/84/340386584.db2.gz SYUFOEVCLNPMHO-UHFFFAOYSA-N 0 3 323.408 4.441 20 0 DIADHN CSCc1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000512976882 340386611 /nfs/dbraw/zinc/38/66/11/340386611.db2.gz BWCIZABVQYROPG-UHFFFAOYSA-N 0 3 311.450 4.115 20 0 DIADHN C[C@H](NCc1ccc(C(F)(F)F)cc1)c1ccc2n[nH]cc2c1 ZINC000512989395 340387122 /nfs/dbraw/zinc/38/71/22/340387122.db2.gz TXRUPMRUOIBZKT-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN CCn1cc(CNCc2ccc(-c3ccccc3F)s2)cn1 ZINC000513007186 340388101 /nfs/dbraw/zinc/38/81/01/340388101.db2.gz KPTLLPNDKAJOHS-UHFFFAOYSA-N 0 3 315.417 4.060 20 0 DIADHN c1nc2c(s1)CN(CC(c1ccccc1)c1ccccc1)CC2 ZINC000513013431 340388407 /nfs/dbraw/zinc/38/84/07/340388407.db2.gz ZPTWZSFSRINPLM-UHFFFAOYSA-N 0 3 320.461 4.333 20 0 DIADHN CC(C)COc1ccc(CN[C@H](C)c2ccc3n[nH]cc3c2)cc1 ZINC000513018047 340388779 /nfs/dbraw/zinc/38/87/79/340388779.db2.gz VOEBIVMBJJEENS-OAHLLOKOSA-N 0 3 323.440 4.449 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNCc1ccc(CO)c(F)c1 ZINC000513026004 340389123 /nfs/dbraw/zinc/38/91/23/340389123.db2.gz NDKIDWGDLAIHCB-JYRVWZFOSA-N 0 3 319.807 4.165 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000513028268 340389247 /nfs/dbraw/zinc/38/92/47/340389247.db2.gz XOEYKRRWOSSXQV-DLGQBQFBSA-N 0 3 313.351 4.205 20 0 DIADHN C[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000513028270 340389370 /nfs/dbraw/zinc/38/93/70/340389370.db2.gz XOEYKRRWOSSXQV-QAVQXKDTSA-N 0 3 313.351 4.205 20 0 DIADHN COc1ccc2oc(CN[C@H](C)c3ccc4n[nH]cc4c3)cc2c1 ZINC000513027849 340389411 /nfs/dbraw/zinc/38/94/11/340389411.db2.gz PWPDTODEOAOGAZ-GFCCVEGCSA-N 0 3 321.380 4.169 20 0 DIADHN C[C@H]1CCN(CCCOCC(F)(F)F)[C@H](c2ccco2)C1 ZINC000174509233 340390417 /nfs/dbraw/zinc/39/04/17/340390417.db2.gz BNRWEUFWSSWFPT-STQMWFEESA-N 0 3 305.340 4.022 20 0 DIADHN C[C@@H](CCc1ccccc1)CN[C@@H](C)c1c(F)cncc1F ZINC000513059110 340391422 /nfs/dbraw/zinc/39/14/22/340391422.db2.gz VLZZXJWGHSFIIK-KBPBESRZSA-N 0 3 304.384 4.279 20 0 DIADHN C[C@@H](CN[C@@H](C)c1c(F)cncc1F)c1cccc(Cl)c1 ZINC000513073648 340392146 /nfs/dbraw/zinc/39/21/46/340392146.db2.gz DGEABOIFEHHGES-QWRGUYRKSA-N 0 3 310.775 4.468 20 0 DIADHN CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NCc1ccsc1 ZINC000513078671 340392416 /nfs/dbraw/zinc/39/24/16/340392416.db2.gz ZDLLIBUWOSHLOE-OKZBNKHCSA-N 0 3 301.455 4.189 20 0 DIADHN CC[C@H](N[C@@H](C(=O)NCc1ccccc1)c1ccccc1)C(C)C ZINC000513117823 340393986 /nfs/dbraw/zinc/39/39/86/340393986.db2.gz VNCDSLUAEHITBU-VQTJNVASSA-N 0 3 324.468 4.068 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2cccc3c2OCCO3)s1 ZINC000513128499 340394415 /nfs/dbraw/zinc/39/44/15/340394415.db2.gz RHLGKWHDRFMHMU-MRXNPFEDSA-N 0 3 315.438 4.165 20 0 DIADHN CN(Cc1cc(F)cc2c1OCOC2)C1CCC(C)(C)CC1 ZINC000513192317 340396359 /nfs/dbraw/zinc/39/63/59/340396359.db2.gz IHNRLEOBBSZQBY-UHFFFAOYSA-N 0 3 307.409 4.093 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2c(C)cc(C)cc2C)CC1 ZINC000513229197 340398356 /nfs/dbraw/zinc/39/83/56/340398356.db2.gz TVZOTEACDLYEAM-UHFFFAOYSA-N 0 3 316.489 4.451 20 0 DIADHN C[C@@H](NCCCc1ccccc1Cl)c1c(F)cncc1F ZINC000513241934 340399065 /nfs/dbraw/zinc/39/90/65/340399065.db2.gz NEPVZAMIAFASHZ-LLVKDONJSA-N 0 3 310.775 4.297 20 0 DIADHN CC(C)[C@@H](NCC(=O)NCCC(C)(C)C)c1ccc(Cl)cc1 ZINC000513409066 340406306 /nfs/dbraw/zinc/40/63/06/340406306.db2.gz RVBFLJAEPRACKS-QGZVFWFLSA-N 0 3 324.896 4.179 20 0 DIADHN CCCCN(C(=O)CN(CC)CC)[C@@H](CC)c1ccccc1 ZINC000513565644 340413706 /nfs/dbraw/zinc/41/37/06/340413706.db2.gz JQDFBFMKXCETFB-SFHVURJKSA-N 0 3 304.478 4.108 20 0 DIADHN CC(C)Oc1ccccc1CNCCO[C@@H]1CCCC[C@@H]1C ZINC000513642584 340416727 /nfs/dbraw/zinc/41/67/27/340416727.db2.gz IWTYZAWKGAETEL-FUHWJXTLSA-N 0 3 305.462 4.159 20 0 DIADHN CC(C)Oc1ccccc1CNCCO[C@@H]1CCCC[C@H]1C ZINC000513642585 340416774 /nfs/dbraw/zinc/41/67/74/340416774.db2.gz IWTYZAWKGAETEL-SJLPKXTDSA-N 0 3 305.462 4.159 20 0 DIADHN COc1ccc([C@H](C)NCCOC2CCCCC2)c(C)c1OC ZINC000513685285 340419351 /nfs/dbraw/zinc/41/93/51/340419351.db2.gz YJJGFIUBQWWYAO-HNNXBMFYSA-N 0 3 321.461 4.012 20 0 DIADHN Cc1ccnc([C@H](C)NCCOc2cccc(C(F)(F)F)c2)c1 ZINC000513713792 340421243 /nfs/dbraw/zinc/42/12/43/340421243.db2.gz UAMJDAAQCYFQEU-ZDUSSCGKSA-N 0 3 324.346 4.138 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2cccn2)cc1)c1cccc(C)c1 ZINC000513754158 340423496 /nfs/dbraw/zinc/42/34/96/340423496.db2.gz IMNCQTDCYUMGRR-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN CC(C)[C@@](C)(O)CN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000513821291 340426730 /nfs/dbraw/zinc/42/67/30/340426730.db2.gz GISJAMZWDMPQJQ-MJGOQNOKSA-N 0 3 317.473 4.210 20 0 DIADHN C[C@@]1(CN[C@H]2CC3(CCCCC3)Oc3ccccc32)CCCO1 ZINC000513827506 340427123 /nfs/dbraw/zinc/42/71/23/340427123.db2.gz QOQNURYXGRAAMS-HKUYNNGSSA-N 0 3 315.457 4.372 20 0 DIADHN COc1cccc(COCCN[C@@H](C)c2cccc(Cl)c2)c1 ZINC000513860460 340429079 /nfs/dbraw/zinc/42/90/79/340429079.db2.gz YEYUBSUVIRIACY-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3c2cccc3F)cc1F ZINC000513865378 340429391 /nfs/dbraw/zinc/42/93/91/340429391.db2.gz FIYKGNPHTCKJQU-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN Brc1ccsc1CNC1CCC2(CC2)CC1 ZINC000309582671 340430299 /nfs/dbraw/zinc/43/02/99/340430299.db2.gz TVZKWVQMAZTXPL-UHFFFAOYSA-N 0 3 300.265 4.323 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H](C)c1ccccc1C ZINC000177329098 340439347 /nfs/dbraw/zinc/43/93/47/340439347.db2.gz IMVQANUEQBJOMQ-QGZVFWFLSA-N 0 3 324.468 4.497 20 0 DIADHN CC[C@H](NC[C@](C)(O)C1CC1)c1ccc(Cl)cc1Cl ZINC000311551334 340447923 /nfs/dbraw/zinc/44/79/23/340447923.db2.gz PQKHFPSBUCKILI-GJZGRUSLSA-N 0 3 302.245 4.195 20 0 DIADHN CCC[C@H](NCCOCC(F)(F)C(F)F)c1ccsc1 ZINC000517070691 340463096 /nfs/dbraw/zinc/46/30/96/340463096.db2.gz LKDUZZJHVXWIBH-NSHDSACASA-N 0 3 313.360 4.096 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1cccc2ccccc21 ZINC000213334846 340483915 /nfs/dbraw/zinc/48/39/15/340483915.db2.gz FFDZZLIZGQOPAM-CQSZACIVSA-N 0 3 305.377 4.419 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2cncc(C)c2)N2CCCC2)cc1 ZINC000184330306 340492016 /nfs/dbraw/zinc/49/20/16/340492016.db2.gz ZSYCCAJYBDZZAY-WIYYLYMNSA-N 0 3 323.484 4.186 20 0 DIADHN COCCC1(CN[C@@H](C)c2nc3ccccc3o2)CCCCC1 ZINC000184728156 340495402 /nfs/dbraw/zinc/49/54/02/340495402.db2.gz DXZJAMULDAVCEL-HNNXBMFYSA-N 0 3 316.445 4.465 20 0 DIADHN Oc1cc(F)cc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000185449867 340501135 /nfs/dbraw/zinc/50/11/35/340501135.db2.gz XBUKSDTWMNQVEV-QGZVFWFLSA-N 0 3 305.780 4.426 20 0 DIADHN C[C@@H](N[C@H](CO)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000219277699 340504076 /nfs/dbraw/zinc/50/40/76/340504076.db2.gz DJVCTFJXIUZROW-OCCSQVGLSA-N 0 3 324.251 4.248 20 0 DIADHN CCc1ccc(CNCc2cccc(OC(F)(F)F)c2)s1 ZINC000219581867 340507501 /nfs/dbraw/zinc/50/75/01/340507501.db2.gz IFSRJAFRZUIHAC-UHFFFAOYSA-N 0 3 315.360 4.499 20 0 DIADHN COc1ccsc1[C@@H](C)NCCCOCCc1ccccc1 ZINC000186117044 340508396 /nfs/dbraw/zinc/50/83/96/340508396.db2.gz SNJLUCMHIFEYFJ-OAHLLOKOSA-N 0 3 319.470 4.057 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC([C@H]2CCCO2)CC1 ZINC000189156482 340528158 /nfs/dbraw/zinc/52/81/58/340528158.db2.gz IHQDPTQQONMFNV-MRXNPFEDSA-N 0 3 313.363 4.096 20 0 DIADHN COc1cccc2c1CCC[C@H]2NC[C@H](OC)c1ccccc1 ZINC000521763736 340531038 /nfs/dbraw/zinc/53/10/38/340531038.db2.gz VLRNPJVDZOCOCU-QUCCMNQESA-N 0 3 311.425 4.050 20 0 DIADHN Fc1ccccc1OCCNCc1cccc(Cl)c1Cl ZINC000521822699 340533590 /nfs/dbraw/zinc/53/35/90/340533590.db2.gz GQTCUUGISUHREF-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN FC(F)(F)COc1ccc(CNC/C=C\c2ccccc2)cc1 ZINC000521821899 340534031 /nfs/dbraw/zinc/53/40/31/340534031.db2.gz DFDFIAYDLBYUQK-DAXSKMNVSA-N 0 3 321.342 4.431 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@H]1CC[C@@H](O)C1 ZINC000189841763 340540315 /nfs/dbraw/zinc/54/03/15/340540315.db2.gz IDHLWEDRRKMYAI-CHWSQXEVSA-N 0 3 313.894 4.091 20 0 DIADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1cccc(C(F)(F)F)c1 ZINC000223694575 340547073 /nfs/dbraw/zinc/54/70/73/340547073.db2.gz LKONSNNTIOJLBK-SMDDNHRTSA-N 0 3 303.368 4.153 20 0 DIADHN COCc1cccc(CNCc2ccc(C(F)(F)F)cc2C)c1 ZINC000190840483 340547429 /nfs/dbraw/zinc/54/74/29/340547429.db2.gz YYYQCZWUSIZKFC-UHFFFAOYSA-N 0 3 323.358 4.450 20 0 DIADHN Cc1c([C@@H](C)NCCOc2cccc(Cl)c2)cnn1C(C)C ZINC000191223675 340551463 /nfs/dbraw/zinc/55/14/63/340551463.db2.gz OJCGZTLFHCBRBX-CYBMUJFWSA-N 0 3 321.852 4.155 20 0 DIADHN COc1ccc(C(=O)Nc2cccc(CNCC=C(C)C)c2)cc1 ZINC000191632722 340556761 /nfs/dbraw/zinc/55/67/61/340556761.db2.gz WYHSGQQWZOYMPY-UHFFFAOYSA-N 0 3 324.424 4.003 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000193629468 340580633 /nfs/dbraw/zinc/58/06/33/340580633.db2.gz OJIMPIFTHWIJRU-MRXNPFEDSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)N(C)Cc2ccccc2C)cc1 ZINC000195538946 340581100 /nfs/dbraw/zinc/58/11/00/340581100.db2.gz BDKLSOXQIJIODW-QZTJIDSGSA-N 0 3 324.468 4.001 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000195539000 340581168 /nfs/dbraw/zinc/58/11/68/340581168.db2.gz YBNANOPDNRMSGM-SJORKVTESA-N 0 3 310.441 4.087 20 0 DIADHN FC(F)(F)COCCN(Cc1ccccc1)Cc1ccccc1 ZINC000195570757 340581890 /nfs/dbraw/zinc/58/18/90/340581890.db2.gz GBIASQXVXMTYJE-UHFFFAOYSA-N 0 3 323.358 4.268 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000195675533 340585413 /nfs/dbraw/zinc/58/54/13/340585413.db2.gz TUPTVVOAOVFOSU-DRZSPHRISA-N 0 3 305.397 4.269 20 0 DIADHN C[C@H](CCc1ccc(C(F)(F)F)cc1)NCc1nccs1 ZINC000193977058 340587144 /nfs/dbraw/zinc/58/71/44/340587144.db2.gz GSKQTWWFPHFYBG-LLVKDONJSA-N 0 3 314.376 4.273 20 0 DIADHN COc1ccc([C@@H](NCCCOC(F)F)c2ccccc2)cc1 ZINC000194038347 340588423 /nfs/dbraw/zinc/58/84/23/340588423.db2.gz ONHFHOGXDRMYLO-KRWDZBQOSA-N 0 3 321.367 4.004 20 0 DIADHN CC(C)CCOC1CCN(Cc2cccc3cccnc32)CC1 ZINC000194339052 340591305 /nfs/dbraw/zinc/59/13/05/340591305.db2.gz GNGUWRVZZUZDGB-UHFFFAOYSA-N 0 3 312.457 4.262 20 0 DIADHN COC1(CN[C@@H](C)c2cc(F)c(Cl)cc2Cl)CCC1 ZINC000227494206 340599500 /nfs/dbraw/zinc/59/95/00/340599500.db2.gz NFSRTQBFHGLTOV-VIFPVBQESA-N 0 3 306.208 4.352 20 0 DIADHN C[C@@H](NCC1(C)CCOCC1)c1ccc(Cl)cc1Cl ZINC000227792071 340602904 /nfs/dbraw/zinc/60/29/04/340602904.db2.gz OZGQCBWXRNKTSW-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN CSC1(CNCc2cc(Cl)ccc2OC(F)F)CCC1 ZINC000227945275 340604928 /nfs/dbraw/zinc/60/49/28/340604928.db2.gz MQNHEVQHKMWXMD-UHFFFAOYSA-N 0 3 321.820 4.317 20 0 DIADHN COCC(C)(C)NCc1ccc(Oc2ccccc2F)c(F)c1 ZINC000523017975 340613613 /nfs/dbraw/zinc/61/36/13/340613613.db2.gz VDCYEIHHMJDYKH-UHFFFAOYSA-N 0 3 321.367 4.272 20 0 DIADHN Cc1cc(NCCc2csc(C(C)C)n2)c2ccccc2n1 ZINC000523297007 340619008 /nfs/dbraw/zinc/61/90/08/340619008.db2.gz VIJGRMGDPCEOKA-UHFFFAOYSA-N 0 3 311.454 4.200 20 0 DIADHN C[C@H](CNCc1ccc(F)c(C(F)(F)F)c1)c1nccs1 ZINC000230692811 340620693 /nfs/dbraw/zinc/62/06/93/340620693.db2.gz YHIZIDNRKWSVRG-SECBINFHSA-N 0 3 318.339 4.194 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2sccc2Br)C1 ZINC000231368549 340622353 /nfs/dbraw/zinc/62/23/53/340622353.db2.gz PQSLZIWTTRDRCM-ZJUUUORDSA-N 0 3 320.321 4.274 20 0 DIADHN C[C@@H](NCC(=O)N(C)c1ccccc1)c1cccc2ccccc21 ZINC000302758070 340630860 /nfs/dbraw/zinc/63/08/60/340630860.db2.gz SKTCLRXSDFDTAX-MRXNPFEDSA-N 0 3 318.420 4.153 20 0 DIADHN CC(C)C[C@H](C)CC(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000303372922 340635146 /nfs/dbraw/zinc/63/51/46/340635146.db2.gz KCVZGXIMBQWQHP-IRXDYDNUSA-N 0 3 318.505 4.304 20 0 DIADHN Cc1cccnc1[C@H](NCCSc1ccccc1)C(C)C ZINC000303732571 340640654 /nfs/dbraw/zinc/64/06/54/340640654.db2.gz VPNNSCUVAYPPDV-QGZVFWFLSA-N 0 3 300.471 4.469 20 0 DIADHN CCc1nc(CN[C@H](CC)Cc2ccc(Cl)cc2)cs1 ZINC000523864524 340643280 /nfs/dbraw/zinc/64/32/80/340643280.db2.gz RZVOGRDPKWNVRJ-CQSZACIVSA-N 0 3 308.878 4.470 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCC[C@H]1CN1CCCCC1 ZINC000524213139 340649932 /nfs/dbraw/zinc/64/99/32/340649932.db2.gz AXAQUECGUMNJJX-MSOLQXFVSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@@H](NCc2cccc(F)c2)C1 ZINC000524225144 340650136 /nfs/dbraw/zinc/65/01/36/340650136.db2.gz YMQGPLRHVGCNAD-GOSISDBHSA-N 0 3 316.395 4.032 20 0 DIADHN c1cn(Cc2ccccc2)c(CNCCCC2CCCCC2)n1 ZINC000524457825 340654719 /nfs/dbraw/zinc/65/47/19/340654719.db2.gz BVCOMHJLHWQNLZ-UHFFFAOYSA-N 0 3 311.473 4.382 20 0 DIADHN Fc1cccc(CCCNCc2ccccc2Br)c1 ZINC000524686737 340661101 /nfs/dbraw/zinc/66/11/01/340661101.db2.gz HYXHXLDYWQVORZ-UHFFFAOYSA-N 0 3 322.221 4.311 20 0 DIADHN c1nc(CCNCc2cccc(Oc3ccccc3)c2)cs1 ZINC000236747447 340664632 /nfs/dbraw/zinc/66/46/32/340664632.db2.gz OOHWQLWAXYSQJH-UHFFFAOYSA-N 0 3 310.422 4.268 20 0 DIADHN CC[C@H](C)[C@@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236980349 340666914 /nfs/dbraw/zinc/66/69/14/340666914.db2.gz OJLUFBUEIBNUKQ-LRDDRELGSA-N 0 3 307.821 4.097 20 0 DIADHN C[C@@H](CCc1ccc(Br)cc1)NCc1ccco1 ZINC000237302114 340669872 /nfs/dbraw/zinc/66/98/72/340669872.db2.gz AJGNKYQKWPBPON-LBPRGKRZSA-N 0 3 308.219 4.153 20 0 DIADHN Cc1ccc([C@H](CNCc2cccc(Cl)c2)N2CCCC2)o1 ZINC000237633579 340672828 /nfs/dbraw/zinc/67/28/28/340672828.db2.gz HWHJKWYZZBWPAX-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN Fc1ccc(CCNCc2nc(-c3ccccc3)cs2)cc1 ZINC000237754616 340673610 /nfs/dbraw/zinc/67/36/10/340673610.db2.gz AEIZIDJOVXDALS-UHFFFAOYSA-N 0 3 312.413 4.282 20 0 DIADHN Clc1cccc(CNC[C@H](c2ccco2)N2CCCCC2)c1 ZINC000237851806 340674580 /nfs/dbraw/zinc/67/45/80/340674580.db2.gz NJJSKQQEWVFDLQ-QGZVFWFLSA-N 0 3 318.848 4.250 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)c(C)c1 ZINC000237883720 340674808 /nfs/dbraw/zinc/67/48/08/340674808.db2.gz WBIQFCSEYBPRGD-OAQYLSRUSA-N 0 3 323.436 4.316 20 0 DIADHN Cc1ccc([C@@H](CNCc2cccc(Cl)c2)N2CCCC2)o1 ZINC000237886468 340674909 /nfs/dbraw/zinc/67/49/09/340674909.db2.gz HWHJKWYZZBWPAX-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NCCOCC(F)(F)F ZINC000316067326 340678158 /nfs/dbraw/zinc/67/81/58/340678158.db2.gz PFGRXTRJEHXSTR-LLVKDONJSA-N 0 3 315.335 4.225 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1ccc2c(c1)OCO2 ZINC000316612416 340693476 /nfs/dbraw/zinc/69/34/76/340693476.db2.gz JOBIHMPCDOUQRG-ZBEGNZNMSA-N 0 3 315.800 4.407 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1F)Oc1cccc(Cl)c1 ZINC000525181995 340693892 /nfs/dbraw/zinc/69/38/92/340693892.db2.gz OLGRNWSXALGSAS-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000525246172 340696861 /nfs/dbraw/zinc/69/68/61/340696861.db2.gz RIKDYUVPJAXLPU-KBPBESRZSA-N 0 3 321.848 4.487 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)OC1(C)C)c1ccccc1OC(F)F ZINC000316881002 340697439 /nfs/dbraw/zinc/69/74/39/340697439.db2.gz ZVEAFXRNMWKIMN-SMDDNHRTSA-N 0 3 313.388 4.285 20 0 DIADHN CCCCOC1CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000525268240 340697553 /nfs/dbraw/zinc/69/75/53/340697553.db2.gz FUFLXTMGUGSMBX-UHFFFAOYSA-N 0 3 315.379 4.487 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2C[C@@H](C)S[C@H](C)C2)c1C ZINC000525273265 340698028 /nfs/dbraw/zinc/69/80/28/340698028.db2.gz XCZLGWPWXMWISF-ZIAGYGMSSA-N 0 3 305.487 4.140 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H](N[C@H](C)c2nnc3n2CCCCC3)C1 ZINC000322561970 340705145 /nfs/dbraw/zinc/70/51/45/340705145.db2.gz IYZQJAIMBZXOLS-IXDOHACOSA-N 0 3 318.509 4.260 20 0 DIADHN CCC(CC)C(=O)NC[C@@H](c1ccccc1)N1CCC(C)CC1 ZINC000040615881 340709419 /nfs/dbraw/zinc/70/94/19/340709419.db2.gz MPWIWNLPOOYSHE-IBGZPJMESA-N 0 3 316.489 4.012 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(C/C=C(\C)Cl)CC1 ZINC000528432023 340718564 /nfs/dbraw/zinc/71/85/64/340718564.db2.gz OSJCXBZASCQLFR-RIYZIHGNSA-N 0 3 317.864 4.378 20 0 DIADHN OCc1ccc(F)c(CN[C@@H](CC2CCC2)c2ccccc2)c1 ZINC000528442584 340719200 /nfs/dbraw/zinc/71/92/00/340719200.db2.gz ZNEVRVMKKKXJQP-FQEVSTJZSA-N 0 3 313.416 4.339 20 0 DIADHN C[C@H](NCc1csc(-c2ccccc2)n1)C1CC(F)(F)C1 ZINC000529535007 340760321 /nfs/dbraw/zinc/76/03/21/340760321.db2.gz XVHPWVGPOGVBRS-NSHDSACASA-N 0 3 308.397 4.334 20 0 DIADHN COc1ccc([C@H](NCc2c(C)oc3ccccc32)C2CC2)cn1 ZINC000529689337 340767894 /nfs/dbraw/zinc/76/78/94/340767894.db2.gz NCDDEHHLHJTWCM-HXUWFJFHSA-N 0 3 322.408 4.386 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(Br)c1)c1ccncc1 ZINC000037217878 340774840 /nfs/dbraw/zinc/77/48/40/340774840.db2.gz QFLJTKFJVAQFTI-MNOVXSKESA-N 0 3 323.209 4.395 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(F)c(Br)c1 ZINC000037217879 340774843 /nfs/dbraw/zinc/77/48/43/340774843.db2.gz QFLJTKFJVAQFTI-WDEREUQCSA-N 0 3 323.209 4.395 20 0 DIADHN Clc1ccc(CNc2ccc3c(c2)OCCNC3)c(Cl)c1 ZINC000530182632 340782170 /nfs/dbraw/zinc/78/21/70/340782170.db2.gz XFRFBPQFQGXLGR-UHFFFAOYSA-N 0 3 323.223 4.088 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CN[C@@H]2CCSC2)c1 ZINC000530278229 340786016 /nfs/dbraw/zinc/78/60/16/340786016.db2.gz QDJUHTFKRMKSFT-CQSZACIVSA-N 0 3 311.878 4.257 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1)c1ccc2ccccc2n1 ZINC000530329036 340787816 /nfs/dbraw/zinc/78/78/16/340787816.db2.gz DZAXAOPAJRHGKS-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(c2cncc3ccccc32)CC1 ZINC000530366456 340789599 /nfs/dbraw/zinc/78/95/99/340789599.db2.gz IERSBNKLHIIRNS-QGZVFWFLSA-N 0 3 317.436 4.118 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccccc1)c1ccc(Cl)s1 ZINC000537949804 340792683 /nfs/dbraw/zinc/79/26/83/340792683.db2.gz WKXMKFXOQYGIKV-RVSPLBMKSA-N 0 3 320.889 4.498 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@@H](C)c1nnn(-c2ccccc2)c1C ZINC000537983565 340795509 /nfs/dbraw/zinc/79/55/09/340795509.db2.gz PQOVXCWVEXDGIC-ZNMIVQPWSA-N 0 3 300.450 4.051 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nc3c(s2)CCCC3)cc1 ZINC000537983297 340795575 /nfs/dbraw/zinc/79/55/75/340795575.db2.gz GLEVYXDQCOXQGC-CQSZACIVSA-N 0 3 300.471 4.224 20 0 DIADHN Oc1cccc(CNCCc2nc(C3CCCCC3)cs2)c1 ZINC000124155298 340797456 /nfs/dbraw/zinc/79/74/56/340797456.db2.gz ABRVOWXTYRDJJJ-UHFFFAOYSA-N 0 3 316.470 4.229 20 0 DIADHN Cc1nc2c(s1)[C@@H](NC[C@H](C)Oc1cccc(F)c1)CCC2 ZINC000124559383 340799718 /nfs/dbraw/zinc/79/97/18/340799718.db2.gz MAENMASXTUTTKX-NHYWBVRUSA-N 0 3 320.433 4.025 20 0 DIADHN CC[C@@H](N[C@H](C)COCC(F)(F)F)c1cccc(Cl)c1 ZINC000537991368 340806145 /nfs/dbraw/zinc/80/61/45/340806145.db2.gz RKWFSOSCSQPHPU-ZWNOBZJWSA-N 0 3 309.759 4.348 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)NCc1cc(C)no1 ZINC000125683278 340805424 /nfs/dbraw/zinc/80/54/24/340805424.db2.gz WXFSDWQEQSYCBU-ZDUSSCGKSA-N 0 3 310.850 4.297 20 0 DIADHN FC(F)(F)CCN[C@H]1CCCc2ccc(Br)cc21 ZINC000537986589 340805680 /nfs/dbraw/zinc/80/56/80/340805680.db2.gz JPWSQNDITHDYNY-LBPRGKRZSA-N 0 3 322.168 4.369 20 0 DIADHN C[C@H](NC1C[C@@H](C)O[C@H](C)C1)c1sccc1Br ZINC000539046005 340867165 /nfs/dbraw/zinc/86/71/65/340867165.db2.gz FPHCKGCNOXASHF-BBBLOLIVSA-N 0 3 318.280 4.117 20 0 DIADHN COC[C@H](NCC1(C)CCC1)c1cccc(C(F)(F)F)c1 ZINC000538018358 340807427 /nfs/dbraw/zinc/80/74/27/340807427.db2.gz RKOQPUBUXYPQBP-AWEZNQCLSA-N 0 3 301.352 4.173 20 0 DIADHN Fc1ccc([C@@H](NCc2cccc(-n3ccnc3)c2)C2CC2)cc1 ZINC000538099555 340809191 /nfs/dbraw/zinc/80/91/91/340809191.db2.gz XIFITLDLXFJQLW-FQEVSTJZSA-N 0 3 321.399 4.252 20 0 DIADHN C[C@@H](NCC1(C)Cc2ccccc2C1)c1nc2ccccc2n1C ZINC000538117776 340810378 /nfs/dbraw/zinc/81/03/78/340810378.db2.gz VPKGUJFKRSRKBI-OAHLLOKOSA-N 0 3 319.452 4.029 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCc1ccc(F)c(F)c1 ZINC000538166290 340812039 /nfs/dbraw/zinc/81/20/39/340812039.db2.gz QOPIUGIHOJADOQ-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cc(C)cc(C)c2)c(F)c1 ZINC000538217034 340813778 /nfs/dbraw/zinc/81/37/78/340813778.db2.gz JHKAJOFUWLJBCP-HNNXBMFYSA-N 0 3 314.404 4.040 20 0 DIADHN CCc1noc(C)c1CN[C@@H](CC)C(C)(C)c1ccccc1 ZINC000538323944 340818280 /nfs/dbraw/zinc/81/82/80/340818280.db2.gz WRYZZAWYKSRVEA-SFHVURJKSA-N 0 3 300.446 4.391 20 0 DIADHN COC[C@@H](Cc1ccccc1)NCc1ccccc1C(F)(F)F ZINC000538411726 340822130 /nfs/dbraw/zinc/82/21/30/340822130.db2.gz CXRPTKWMMVNUIO-MRXNPFEDSA-N 0 3 323.358 4.053 20 0 DIADHN CCSCC[C@@H](C)NCc1ccccc1Br ZINC000127488119 340851761 /nfs/dbraw/zinc/85/17/61/340851761.db2.gz VPADVUHEPWSFLI-LLVKDONJSA-N 0 3 302.281 4.070 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2csc(C(C)(C)C)n2)CCO1 ZINC000538533184 340831962 /nfs/dbraw/zinc/83/19/62/340831962.db2.gz YNEBRLJFPBZYPM-ZFWWWQNUSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1cccc(N[C@@H](C)c2ccc(OCCN(C)C)cc2)c1F ZINC000538578757 340833882 /nfs/dbraw/zinc/83/38/82/340833882.db2.gz KPXRPAVHLVPISD-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN CCCCOCCN[C@H](C)c1ccc(Br)cc1F ZINC000127012593 340840994 /nfs/dbraw/zinc/84/09/94/340840994.db2.gz QFNLVHMSBJYDAP-LLVKDONJSA-N 0 3 318.230 4.056 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2ccc3c(c2)CCC3)cc1 ZINC000127055064 340842772 /nfs/dbraw/zinc/84/27/72/340842772.db2.gz MLCFEFJGXDFIDX-INIZCTEOSA-N 0 3 324.468 4.084 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000127185130 340846305 /nfs/dbraw/zinc/84/63/05/340846305.db2.gz PUBLJJQNPMSNQO-CQSZACIVSA-N 0 3 318.848 4.249 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCCCC2)C1)c1cc(F)ccc1F ZINC000127456301 340851372 /nfs/dbraw/zinc/85/13/72/340851372.db2.gz KZTIUBVPAULYQV-UKRRQHHQSA-N 0 3 309.400 4.497 20 0 DIADHN CCSCC[C@H](C)NCc1ccccc1Br ZINC000127488367 340851759 /nfs/dbraw/zinc/85/17/59/340851759.db2.gz VPADVUHEPWSFLI-NSHDSACASA-N 0 3 302.281 4.070 20 0 DIADHN COc1cccc(CNCc2ccc(C)c(Br)c2)c1 ZINC000127847490 340856937 /nfs/dbraw/zinc/85/69/37/340856937.db2.gz FWZSTDZPAMTEJD-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN C[C@H](N[C@H](COc1ccccc1F)C(C)(C)C)c1cccnc1 ZINC000538867446 340859470 /nfs/dbraw/zinc/85/94/70/340859470.db2.gz DDQDKMPWWAKERU-KBXCAEBGSA-N 0 3 316.420 4.365 20 0 DIADHN CCSc1ccccc1[C@H](C)NCCc1nc(C)oc1C ZINC000538986975 340864602 /nfs/dbraw/zinc/86/46/02/340864602.db2.gz KOGXDWPHDUFRBP-LBPRGKRZSA-N 0 3 304.459 4.297 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000539042733 340866539 /nfs/dbraw/zinc/86/65/39/340866539.db2.gz HZAAGPAHUMZHAE-ZENOOKHLSA-N 0 3 322.518 4.266 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000539046779 340866999 /nfs/dbraw/zinc/86/69/99/340866999.db2.gz MGKQDLZYYBBDBL-IIBYNOLFSA-N 0 3 324.468 4.313 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1cccc(Cl)c1 ZINC000539050471 340867671 /nfs/dbraw/zinc/86/76/71/340867671.db2.gz GDSSAMXREAMEJG-FZKQIMNGSA-N 0 3 300.833 4.177 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000539056130 340868563 /nfs/dbraw/zinc/86/85/63/340868563.db2.gz WPCNLSKBDSEMQE-WBMJQRKESA-N 0 3 309.331 4.090 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCCc1ccccn1 ZINC000539061854 340869521 /nfs/dbraw/zinc/86/95/21/340869521.db2.gz OQWYPZPLXUMVPC-AWEZNQCLSA-N 0 3 318.848 4.417 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc3c(s1)CCCC3)CC2 ZINC000539064789 340869753 /nfs/dbraw/zinc/86/97/53/340869753.db2.gz ICSIOFOLFAGELQ-SFHVURJKSA-N 0 3 313.466 4.413 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(OC(F)F)c2)c(C)c1 ZINC000539064674 340869779 /nfs/dbraw/zinc/86/97/79/340869779.db2.gz IFQYYZTUQYPHRS-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN Cc1ccc([C@@H](C)NCCc2nc3ccccc3n2C(F)F)o1 ZINC000539085128 340871737 /nfs/dbraw/zinc/87/17/37/340871737.db2.gz WTULEVHUSSZUPG-GFCCVEGCSA-N 0 3 319.355 4.226 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2C[C@H]2C2CCCCC2)c1 ZINC000539086402 340872111 /nfs/dbraw/zinc/87/21/11/340872111.db2.gz KYJVNTVAVQBYEM-FASAQXTFSA-N 0 3 300.446 4.264 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000539215766 340876659 /nfs/dbraw/zinc/87/66/59/340876659.db2.gz RMYSYSNBCCORAU-ZACQAIPSSA-N 0 3 313.441 4.340 20 0 DIADHN Cc1nc(CCN[C@@H](c2ccccc2Cl)C(C)C)c(C)o1 ZINC000539217450 340876944 /nfs/dbraw/zinc/87/69/44/340876944.db2.gz KXBWUGORZZXEBT-QGZVFWFLSA-N 0 3 306.837 4.474 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(Cl)cc2C)cc1 ZINC000539234439 340877748 /nfs/dbraw/zinc/87/77/48/340877748.db2.gz XYLSAJVFEJHETG-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1nccn1CCN[C@H](c1oc2ccccc2c1C)C(C)C ZINC000539260138 340878752 /nfs/dbraw/zinc/87/87/52/340878752.db2.gz MJTKKPWHMVZJHF-SFHVURJKSA-N 0 3 311.429 4.233 20 0 DIADHN C[C@H](N[C@H]1C=CCCC1)c1ccc(NC(=O)c2ccncc2)cc1 ZINC000539290021 340879513 /nfs/dbraw/zinc/87/95/13/340879513.db2.gz VZXJPRYZDATUMW-YJBOKZPZSA-N 0 3 321.424 4.093 20 0 DIADHN CO[C@@H]1CCC[C@H]1CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000539297664 340879812 /nfs/dbraw/zinc/87/98/12/340879812.db2.gz LCKFECXDXSJGAX-DFQSSKMNSA-N 0 3 313.416 4.320 20 0 DIADHN CC[C@H](NCCc1cccc(Cl)c1Cl)c1nccn1C ZINC000539310503 340880502 /nfs/dbraw/zinc/88/05/02/340880502.db2.gz DKFKTQZAXUWNGY-ZDUSSCGKSA-N 0 3 312.244 4.010 20 0 DIADHN Cc1nc(CCN[C@H](c2ccc(F)cc2F)C(C)C)cs1 ZINC000539325404 340881163 /nfs/dbraw/zinc/88/11/63/340881163.db2.gz LWHCQHZDUORWMW-INIZCTEOSA-N 0 3 310.413 4.259 20 0 DIADHN COc1cc(CN[C@H](c2cccc(F)c2)C(C)(C)C)ccn1 ZINC000539332470 340881572 /nfs/dbraw/zinc/88/15/72/340881572.db2.gz RQDLHFZIXIDGQT-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN CC(C)OCCNC1(c2cccc(C(F)(F)F)c2)CCC1 ZINC000539360889 340882501 /nfs/dbraw/zinc/88/25/01/340882501.db2.gz BCDFQPICPYOJCF-UHFFFAOYSA-N 0 3 301.352 4.099 20 0 DIADHN CSc1ccc(C)c(NC(=O)[C@@H](C(C)C)N2CCCCC2)c1 ZINC000539444423 340885647 /nfs/dbraw/zinc/88/56/47/340885647.db2.gz ILPDNMCAQGMNAG-QGZVFWFLSA-N 0 3 320.502 4.166 20 0 DIADHN Cc1ccc(CNCC2=CCCOC2)c(OCCCC(C)C)c1 ZINC000539523922 340889340 /nfs/dbraw/zinc/88/93/40/340889340.db2.gz JLMNLOOKDBFERI-UHFFFAOYSA-N 0 3 317.473 4.246 20 0 DIADHN Cc1ccc(N[C@H](C)c2ccc(OCCN(C)C)cc2)cc1F ZINC000539611148 340892726 /nfs/dbraw/zinc/89/27/26/340892726.db2.gz SXEWTFAGLGGOED-OAHLLOKOSA-N 0 3 316.420 4.248 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)Cc2c(C)cccc2C)c1 ZINC000539634020 340893756 /nfs/dbraw/zinc/89/37/56/340893756.db2.gz BLBMWIHSHYKJEX-UHFFFAOYSA-N 0 3 324.468 4.326 20 0 DIADHN Cc1cccc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c1C ZINC000539651328 340894251 /nfs/dbraw/zinc/89/42/51/340894251.db2.gz NTZBQIOUGQICLA-HNNXBMFYSA-N 0 3 309.409 4.372 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000539656181 340894832 /nfs/dbraw/zinc/89/48/32/340894832.db2.gz WNAMYUPJWILOHW-ZDUSSCGKSA-N 0 3 324.399 4.050 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(SC)cc1 ZINC000539977239 340911584 /nfs/dbraw/zinc/91/15/84/340911584.db2.gz DBIDDUZHKJMGLU-FZKQIMNGSA-N 0 3 319.445 4.413 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccccc3Cl)o2)CCCOC1 ZINC000540068302 340914133 /nfs/dbraw/zinc/91/41/33/340914133.db2.gz ISOPHQZYWSECOB-KRWDZBQOSA-N 0 3 305.805 4.259 20 0 DIADHN CCC[C@H](NCCOCc1ccc(Cl)cc1)c1ccccn1 ZINC000540139900 340916254 /nfs/dbraw/zinc/91/62/54/340916254.db2.gz HKQZNZOTTXWEQB-KRWDZBQOSA-N 0 3 318.848 4.383 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000540148745 340917327 /nfs/dbraw/zinc/91/73/27/340917327.db2.gz CFCJPHRHEBXIHD-GUYCJALGSA-N 0 3 323.358 4.398 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000540148746 340917337 /nfs/dbraw/zinc/91/73/37/340917337.db2.gz CFCJPHRHEBXIHD-SUMWQHHRSA-N 0 3 323.358 4.398 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@@H](C)c1cccc(F)c1 ZINC000540151641 340917582 /nfs/dbraw/zinc/91/75/82/340917582.db2.gz QDYOCSZZUSMIFH-LXIYXOSZSA-N 0 3 305.368 4.392 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc3c(c2)COC3)cc1F ZINC000540156017 340918251 /nfs/dbraw/zinc/91/82/51/340918251.db2.gz CVSWQMBBMGLSQY-LBPRGKRZSA-N 0 3 317.429 4.429 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)N[C@H](C)c1cn[nH]c1 ZINC000540155073 340918350 /nfs/dbraw/zinc/91/83/50/340918350.db2.gz HHTMBTJEQYSDTO-RISCZKNCSA-N 0 3 309.866 4.285 20 0 DIADHN COC[C@H](N[C@H](c1ccccc1)c1ccccc1OC)C(C)C ZINC000540168878 340919919 /nfs/dbraw/zinc/91/99/19/340919919.db2.gz NYXASDXDPNKMGJ-AZUAARDMSA-N 0 3 313.441 4.045 20 0 DIADHN COC[C@H](N[C@@H](c1cccs1)c1ccc(F)cc1)C(C)C ZINC000540169949 340919994 /nfs/dbraw/zinc/91/99/94/340919994.db2.gz ATGUABMLLWOWKV-DOTOQJQBSA-N 0 3 307.434 4.237 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000540172168 340920413 /nfs/dbraw/zinc/92/04/13/340920413.db2.gz QEKGRGBYZWQUAW-YGRLFVJLSA-N 0 3 321.770 4.274 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1cccc(OC(F)(F)F)c1 ZINC000540185467 340921760 /nfs/dbraw/zinc/92/17/60/340921760.db2.gz OWQOWGFTIOASPZ-MRVPVSSYSA-N 0 3 301.230 4.188 20 0 DIADHN C[C@H](NCCOc1cccc(Cl)c1Cl)c1cncs1 ZINC000540192089 340922465 /nfs/dbraw/zinc/92/24/65/340922465.db2.gz ZWDIAEIVCGGNNL-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN Cc1c([C@@H](C)NC2CC(C)C2)cnn1-c1cccc(Cl)c1 ZINC000540191073 340922492 /nfs/dbraw/zinc/92/24/92/340922492.db2.gz YKUWWVBUISXBHY-MQYJIDSJSA-N 0 3 303.837 4.283 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1Cl)c1nccs1 ZINC000540190856 340922500 /nfs/dbraw/zinc/92/25/00/340922500.db2.gz JGWNYWIUVRBTLP-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CC(C)c1ccc(OCCN[C@H](C)c2cnn(C(C)C)c2)cc1 ZINC000540192675 340922699 /nfs/dbraw/zinc/92/26/99/340922699.db2.gz LUSWVTLKTLGUSC-MRXNPFEDSA-N 0 3 315.461 4.317 20 0 DIADHN Cc1cccc(NCCN[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000540221903 340924305 /nfs/dbraw/zinc/92/43/05/340924305.db2.gz YQANELJQDVIDOT-ZDUSSCGKSA-N 0 3 323.362 4.172 20 0 DIADHN CCCCn1cc(CN[C@@H](C)c2cc3c(s2)CCC3)c(C)n1 ZINC000540321182 340927033 /nfs/dbraw/zinc/92/70/33/340927033.db2.gz PSLYDUXLLMYJCO-AWEZNQCLSA-N 0 3 317.502 4.393 20 0 DIADHN COc1cc(F)c([C@H](C)NC[C@@H](C)c2ccccc2)cc1OC ZINC000540386338 340929060 /nfs/dbraw/zinc/92/90/60/340929060.db2.gz PRFJSYIMCXODLH-KGLIPLIRSA-N 0 3 317.404 4.297 20 0 DIADHN Cc1noc(C)c1CCNC(C)(c1ccccc1)c1ccccc1 ZINC000540403201 340929817 /nfs/dbraw/zinc/92/98/17/340929817.db2.gz CFRUTKJPKVVZQV-UHFFFAOYSA-N 0 3 320.436 4.387 20 0 DIADHN CC[C@@H](NCC1(c2cccs2)CCCCC1)c1nccn1C ZINC000540415974 340930358 /nfs/dbraw/zinc/93/03/58/340930358.db2.gz SLJWUCKBNRWYBW-OAHLLOKOSA-N 0 3 317.502 4.424 20 0 DIADHN C[C@@H]1C[C@H]1[C@H](NCCOCC(F)(F)F)c1ccc(Cl)cc1 ZINC000540519238 340934619 /nfs/dbraw/zinc/93/46/19/340934619.db2.gz GHQFZVOBYHPAQI-LERXQTSPSA-N 0 3 321.770 4.206 20 0 DIADHN CCOCCC1(CNCc2ccc([C@H]3C[C@H]3C)o2)CCCC1 ZINC000540611542 340938864 /nfs/dbraw/zinc/93/88/64/340938864.db2.gz KNPMNIRNSSXYMB-WBVHZDCISA-N 0 3 305.462 4.480 20 0 DIADHN CC[C@@](C)(CCO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000540626704 340939371 /nfs/dbraw/zinc/93/93/71/340939371.db2.gz QQELDZDLEZKKEF-KRWDZBQOSA-N 0 3 307.821 4.241 20 0 DIADHN CSC[C@@H](C)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000540991352 340954773 /nfs/dbraw/zinc/95/47/73/340954773.db2.gz CGELDSWMRWVYPX-ZWNOBZJWSA-N 0 3 323.449 4.451 20 0 DIADHN Fc1ccc(C(NCCc2ccco2)c2ccc(F)cc2)cc1 ZINC000540915030 340951447 /nfs/dbraw/zinc/95/14/47/340951447.db2.gz PJLUPIBZNFNSSA-UHFFFAOYSA-N 0 3 313.347 4.480 20 0 DIADHN c1c(CN[C@@H](Cc2ccccc2)C2CC2)onc1-c1ccccc1 ZINC000540917684 340951641 /nfs/dbraw/zinc/95/16/41/340951641.db2.gz BNDBDLCFQKOCJU-FQEVSTJZSA-N 0 3 318.420 4.453 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000541227904 340962823 /nfs/dbraw/zinc/96/28/23/340962823.db2.gz DDYDVFAZNLESKK-LXIYXOSZSA-N 0 3 321.823 4.318 20 0 DIADHN CCCOc1cccc(CN[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000069675190 340975797 /nfs/dbraw/zinc/97/57/97/340975797.db2.gz BWJWMERAMFDLMX-AWEZNQCLSA-N 0 3 313.397 4.055 20 0 DIADHN CC(C)COC[C@H](NCCCc1ccsc1)c1ccco1 ZINC000541627449 340978387 /nfs/dbraw/zinc/97/83/87/340978387.db2.gz XKLYXHPWVGTZCV-INIZCTEOSA-N 0 3 307.459 4.277 20 0 DIADHN COC[C@H](N[C@@H](C)CCSc1ccccc1)c1ccc(C)o1 ZINC000541630249 340978650 /nfs/dbraw/zinc/97/86/50/340978650.db2.gz GGQFTPMATKPNOF-YOEHRIQHSA-N 0 3 319.470 4.436 20 0 DIADHN Cc1ccc([C@H](C)CN[C@H](c2ccccc2)c2cncnc2)cc1 ZINC000541734091 340981269 /nfs/dbraw/zinc/98/12/69/340981269.db2.gz HXIWIAXJHDEAIM-DYESRHJHSA-N 0 3 317.436 4.268 20 0 DIADHN CCOCCCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000541843955 340985343 /nfs/dbraw/zinc/98/53/43/340985343.db2.gz CVPWDPJIPRFREC-SFHVURJKSA-N 0 3 303.833 4.446 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2sccc2C)c(OC)c1 ZINC000070090340 340988681 /nfs/dbraw/zinc/98/86/81/340988681.db2.gz YWDDZNPACOCIAI-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN Cc1ccc([C@@H](NCCCC(=O)OC(C)(C)C)C2CCC2)o1 ZINC000564253526 341000073 /nfs/dbraw/zinc/00/00/73/341000073.db2.gz TTYQPBHLVBXVDG-KRWDZBQOSA-N 0 3 307.434 4.141 20 0 DIADHN Fc1cccc(CCNC2(c3nccs3)CCCC2)c1F ZINC000542212490 341000385 /nfs/dbraw/zinc/00/03/85/341000385.db2.gz NAPWMBCFVVVFIV-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN CN(Cc1coc(-c2cccc(F)c2)n1)C[C@@H]1CC=CCC1 ZINC000542243960 341001604 /nfs/dbraw/zinc/00/16/04/341001604.db2.gz ZQQISGXYEMHDJP-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN CN(Cc1coc(-c2ccc(F)c(F)c2)n1)C[C@H]1CC=CCC1 ZINC000542246262 341001715 /nfs/dbraw/zinc/00/17/15/341001715.db2.gz BSEBXWBTTVGFTO-ZDUSSCGKSA-N 0 3 318.367 4.408 20 0 DIADHN CN(Cc1coc(-c2ccc(F)cc2)n1)C[C@H]1CC=CCC1 ZINC000542251474 341001991 /nfs/dbraw/zinc/00/19/91/341001991.db2.gz BRUDKVPQXWYFIS-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N(C)C[C@@H]3CC=CCC3)o2)cc1 ZINC000542251725 341002018 /nfs/dbraw/zinc/00/20/18/341002018.db2.gz MODCNHCUQTZTHR-HZPDHXFCSA-N 0 3 311.429 4.394 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC[C@@]3(CCCOC3)C1)CC2 ZINC000542282322 341003442 /nfs/dbraw/zinc/00/34/42/341003442.db2.gz QWVZULWAIVGLCS-ZWKOTPCHSA-N 0 3 305.849 4.220 20 0 DIADHN CS[C@H](CNCc1ccccc1OC(F)F)C(C)(C)C ZINC000542313469 341004303 /nfs/dbraw/zinc/00/43/03/341004303.db2.gz TYPYUNISUDILPH-CYBMUJFWSA-N 0 3 303.418 4.155 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccc(F)cc3)o2)CCO[C@H]1C1CC1 ZINC000542313735 341004324 /nfs/dbraw/zinc/00/43/24/341004324.db2.gz WXTHJYWGEIWEJQ-RBUKOAKNSA-N 0 3 315.388 4.133 20 0 DIADHN C[C@H]1OCC[C@@]1(C)NCc1cc(C(F)(F)F)ccc1Cl ZINC000542316530 341004514 /nfs/dbraw/zinc/00/45/14/341004514.db2.gz UVUKNRRYWLXPOX-NOZJJQNGSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](C(C)(C)C)C3)o2)cc1 ZINC000542322466 341004987 /nfs/dbraw/zinc/00/49/87/341004987.db2.gz KNIJRMWTVDGTBZ-GDBMZVCRSA-N 0 3 313.445 4.474 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2ncc(-c3ccccc3F)o2)C1 ZINC000542322479 341005009 /nfs/dbraw/zinc/00/50/09/341005009.db2.gz KZXYUGGLEBBUJN-CYBMUJFWSA-N 0 3 302.393 4.349 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000542322012 341005068 /nfs/dbraw/zinc/00/50/68/341005068.db2.gz FJXZMRBYKAVNJG-CYBMUJFWSA-N 0 3 319.836 4.258 20 0 DIADHN CCc1ccc(CN(Cc2ccccc2NC(C)=O)C2CC2)cc1 ZINC000130202131 341006304 /nfs/dbraw/zinc/00/63/04/341006304.db2.gz GJSFNHJVGMNYAR-UHFFFAOYSA-N 0 3 322.452 4.372 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2ccn(C3CCCC3)n2)C1 ZINC000130225886 341007422 /nfs/dbraw/zinc/00/74/22/341007422.db2.gz JAEBMDPNTRWQBH-OLZOCXBDSA-N 0 3 315.383 4.209 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2ccn(C3CCCC3)n2)C1 ZINC000130225261 341007468 /nfs/dbraw/zinc/00/74/68/341007468.db2.gz JAEBMDPNTRWQBH-QWHCGFSZSA-N 0 3 315.383 4.209 20 0 DIADHN CC(C)COc1ccc(CN(C)C[C@H](C)c2nccs2)cc1 ZINC000542463946 341008231 /nfs/dbraw/zinc/00/82/31/341008231.db2.gz SXIGIAPGUMDCST-HNNXBMFYSA-N 0 3 318.486 4.413 20 0 DIADHN CC(C)COc1ccc(CN(C)C[C@@H](C)c2nccs2)cc1 ZINC000542463947 341008297 /nfs/dbraw/zinc/00/82/97/341008297.db2.gz SXIGIAPGUMDCST-OAHLLOKOSA-N 0 3 318.486 4.413 20 0 DIADHN C[C@@H](NCc1ccc(OC2CCCCC2)cc1)[C@@H]1CCCO1 ZINC000542472064 341008766 /nfs/dbraw/zinc/00/87/66/341008766.db2.gz YLLMJAMAMSTINT-BEFAXECRSA-N 0 3 303.446 4.055 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2N[C@@H](C)C1CCCCCC1 ZINC000542472962 341008869 /nfs/dbraw/zinc/00/88/69/341008869.db2.gz QFTPGYOHZJTJFH-GOEBONIOSA-N 0 3 304.482 4.185 20 0 DIADHN C[C@@H](CN[C@@H](c1ccco1)c1ccccc1)N1CCC(C)CC1 ZINC000542478135 341009102 /nfs/dbraw/zinc/00/91/02/341009102.db2.gz JEXKVRUKAYWJLX-FXAWDEMLSA-N 0 3 312.457 4.079 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCC(=O)Nc3ccccc32)cc1 ZINC000542478026 341009208 /nfs/dbraw/zinc/00/92/08/341009208.db2.gz IHXXOPALLQWQKO-SFHVURJKSA-N 0 3 324.424 4.037 20 0 DIADHN C[C@@H](NC[C@@H](O)CCc1ccccc1)c1ccc(F)cc1Cl ZINC000542486824 341009758 /nfs/dbraw/zinc/00/97/58/341009758.db2.gz NNUCJWZOHZAJRV-CJNGLKHVSA-N 0 3 321.823 4.123 20 0 DIADHN FCCCN[C@H](c1ccc(F)cc1)c1cnc2ccccc2c1 ZINC000542493600 341010233 /nfs/dbraw/zinc/01/02/33/341010233.db2.gz DFEPYOGSSPTRMY-LJQANCHMSA-N 0 3 312.363 4.413 20 0 DIADHN FCCCN[C@@H](c1ccc(F)cc1)c1cnc2ccccc2c1 ZINC000542493598 341010273 /nfs/dbraw/zinc/01/02/73/341010273.db2.gz DFEPYOGSSPTRMY-IBGZPJMESA-N 0 3 312.363 4.413 20 0 DIADHN COc1ccc(OC)c([C@H](C)NC[C@@H](SC)C(C)(C)C)c1 ZINC000542497103 341010368 /nfs/dbraw/zinc/01/03/68/341010368.db2.gz XKIUPOVNOWTNCE-BLLLJJGKSA-N 0 3 311.491 4.132 20 0 DIADHN CC(C)OCCCCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000542496880 341010415 /nfs/dbraw/zinc/01/04/15/341010415.db2.gz LUIZWZSETYOYBV-IBGZPJMESA-N 0 3 316.420 4.105 20 0 DIADHN COc1cccc(C=C2CCN(CC[C@H]3CCCCO3)CC2)c1 ZINC000542505830 341010946 /nfs/dbraw/zinc/01/09/46/341010946.db2.gz NFBXAAQOMVLUFU-LJQANCHMSA-N 0 3 315.457 4.134 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H](C)Cc2ccccc2)sc1C ZINC000542516641 341011518 /nfs/dbraw/zinc/01/15/18/341011518.db2.gz VASWJYDMZFUYHA-ZDUSSCGKSA-N 0 3 302.487 4.463 20 0 DIADHN CCCC[C@@H](N[C@H](C)C(=O)c1c[nH]c2ncccc12)C1CCC1 ZINC000542522341 341012041 /nfs/dbraw/zinc/01/20/41/341012041.db2.gz ULJOKYPKLHTZLG-CXAGYDPISA-N 0 3 313.445 4.083 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)NC1(C2CC2)CC1 ZINC000542527007 341012163 /nfs/dbraw/zinc/01/21/63/341012163.db2.gz YDELYWVZVWYHBM-UONOGXRCSA-N 0 3 300.446 4.059 20 0 DIADHN COCC[C@@H](NCCCOc1cccc(Cl)c1)c1ccco1 ZINC000542528602 341012318 /nfs/dbraw/zinc/01/23/18/341012318.db2.gz WFZOKRSMDZBMBJ-MRXNPFEDSA-N 0 3 323.820 4.069 20 0 DIADHN Cc1cnc([C@H](NC[C@@H]2CCc3ccccc3C2)C2CC2)s1 ZINC000542534485 341012597 /nfs/dbraw/zinc/01/25/97/341012597.db2.gz WVIMVOLGWAEWRL-RDTXWAMCSA-N 0 3 312.482 4.297 20 0 DIADHN COC[C@@H](NCCCc1cccc2ccccc21)c1ccco1 ZINC000542559483 341013609 /nfs/dbraw/zinc/01/36/09/341013609.db2.gz ROCAWLUEBSBHLC-LJQANCHMSA-N 0 3 309.409 4.343 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)c2cccs2)cc1Cl ZINC000542567670 341013958 /nfs/dbraw/zinc/01/39/58/341013958.db2.gz BUJOXXQEBALDST-UHFFFAOYSA-N 0 3 322.861 4.173 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)c1C ZINC000542574506 341014220 /nfs/dbraw/zinc/01/42/20/341014220.db2.gz ONTRZRNUPYZPCQ-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN Cc1ccc([C@H](NCCC(=O)Nc2ccccc2)C2CCC2)o1 ZINC000542659797 341015774 /nfs/dbraw/zinc/01/57/74/341015774.db2.gz AGTIZFOTNJFQIN-LJQANCHMSA-N 0 3 312.413 4.048 20 0 DIADHN c1ccc([C@H](N[C@H]2C[C@@H]2C2CCCCC2)c2cncnc2)cc1 ZINC000542668982 341016392 /nfs/dbraw/zinc/01/63/92/341016392.db2.gz WPVHQQMZCCBCTF-AABGKKOBSA-N 0 3 307.441 4.124 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccccc2)c2cncnc2)c(C)c1 ZINC000542668092 341016407 /nfs/dbraw/zinc/01/64/07/341016407.db2.gz FIVHKFWEOFIRFS-NRFANRHFSA-N 0 3 317.436 4.015 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccc(Cl)o3)CCO2)cc1 ZINC000542688522 341017280 /nfs/dbraw/zinc/01/72/80/341017280.db2.gz AKUHDIKHEZOVCQ-UKRRQHHQSA-N 0 3 309.768 4.082 20 0 DIADHN CC(C)N(C/C=C\c1ccc(F)cc1F)CCc1nccs1 ZINC000542702773 341017922 /nfs/dbraw/zinc/01/79/22/341017922.db2.gz SWEUAWDQGHRKSD-ARJAWSKDSA-N 0 3 322.424 4.388 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1F)[C@@H](CCO)c1ccccc1 ZINC000542702871 341018151 /nfs/dbraw/zinc/01/81/51/341018151.db2.gz XNJQUJSEAWLPTM-ZXYDQQDNSA-N 0 3 317.379 4.034 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2CC[C@@H]1C)c1ccc2c(c1)OCCO2 ZINC000542776248 341020096 /nfs/dbraw/zinc/02/00/96/341020096.db2.gz GQHXHEAPTKDRIL-VFCRVFHLSA-N 0 3 323.436 4.432 20 0 DIADHN CCCCN(CC(=O)Nc1ccccc1C(F)(F)F)C(C)C ZINC000070979885 341020693 /nfs/dbraw/zinc/02/06/93/341020693.db2.gz SOGUEEVGSSMACI-UHFFFAOYSA-N 0 3 316.367 4.154 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNC2CCN(Cc3ccccc3)CC2)o1 ZINC000070982404 341020897 /nfs/dbraw/zinc/02/08/97/341020897.db2.gz BGIOFHADFPYSKU-JXFKEZNVSA-N 0 3 324.468 4.157 20 0 DIADHN CC[C@@H]1C[C@H](CNCc2c(Cl)cccc2Cl)CCO1 ZINC000542799690 341021012 /nfs/dbraw/zinc/02/10/12/341021012.db2.gz OVUJSELCNRFUHU-VXGBXAGGSA-N 0 3 302.245 4.288 20 0 DIADHN c1ccc(COc2cccc(CN3CCCSCC3)c2)cc1 ZINC000542819962 341022101 /nfs/dbraw/zinc/02/21/01/341022101.db2.gz BWZRBNIYHNWVKC-UHFFFAOYSA-N 0 3 313.466 4.205 20 0 DIADHN CC[C@@H](COC)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000542846755 341023346 /nfs/dbraw/zinc/02/33/46/341023346.db2.gz NLXCPYULMQDGER-KBPBESRZSA-N 0 3 321.408 4.124 20 0 DIADHN CC[C@@H](COC)N[C@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000542846758 341023368 /nfs/dbraw/zinc/02/33/68/341023368.db2.gz NLXCPYULMQDGER-UONOGXRCSA-N 0 3 321.408 4.124 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1Cl)C1CCCCC1 ZINC000130503179 341024114 /nfs/dbraw/zinc/02/41/14/341024114.db2.gz GYTXBYFWNVLHCG-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccco3)n2)[C@H]2CCCC[C@H]12 ZINC000542966511 341027417 /nfs/dbraw/zinc/02/74/17/341027417.db2.gz DOPMYOCHBHLFFM-YUELXQCFSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccco3)n2)[C@@H]2CCCC[C@H]21 ZINC000542966509 341027442 /nfs/dbraw/zinc/02/74/42/341027442.db2.gz DOPMYOCHBHLFFM-VHDGCEQUSA-N 0 3 302.443 4.414 20 0 DIADHN CO[C@@H](C)CN[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000542980660 341027637 /nfs/dbraw/zinc/02/76/37/341027637.db2.gz JWYRUYMRTXYXTP-KBPBESRZSA-N 0 3 303.377 4.304 20 0 DIADHN C[C@H](NCCc1cn2ccccc2n1)c1ccc(F)cc1Cl ZINC000130586348 341029556 /nfs/dbraw/zinc/02/95/56/341029556.db2.gz HGFPYBMOFNQUKH-LBPRGKRZSA-N 0 3 317.795 4.020 20 0 DIADHN COC[C@@H](NC1CC(c2ccccc2C)C1)c1ccc(F)cc1 ZINC000543063872 341030131 /nfs/dbraw/zinc/03/01/31/341030131.db2.gz FHUGRVAJNGGXPE-OUNSHVDWSA-N 0 3 313.416 4.357 20 0 DIADHN COC[C@@H](N[C@H](C)Cc1ccccc1SC)c1ccc(C)o1 ZINC000543079197 341030854 /nfs/dbraw/zinc/03/08/54/341030854.db2.gz YGIZYNWRGZVPSM-CZUORRHYSA-N 0 3 319.470 4.218 20 0 DIADHN CC(C)Cn1cc(CN2CC[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC000543093367 341031615 /nfs/dbraw/zinc/03/16/15/341031615.db2.gz ZPJSLHPDEPRBOI-KRWDZBQOSA-N 0 3 317.864 4.182 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1ccc(C(F)(F)F)cc1 ZINC000130630775 341034786 /nfs/dbraw/zinc/03/47/86/341034786.db2.gz UFIUZQOTAQFDRN-OAHLLOKOSA-N 0 3 323.362 4.382 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN(C)C[C@H]1CC=CCC1 ZINC000543174425 341035104 /nfs/dbraw/zinc/03/51/04/341035104.db2.gz IIIJYFJKQCTHNA-AWEZNQCLSA-N 0 3 302.443 4.500 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)C[C@@H]1CC=CCC1 ZINC000543175126 341035343 /nfs/dbraw/zinc/03/53/43/341035343.db2.gz HTVPRQNJDQZEDX-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN CSc1ccccc1NC(=O)[C@@H](C)N(C)C[C@H]1CC=CCC1 ZINC000543175237 341035346 /nfs/dbraw/zinc/03/53/46/341035346.db2.gz QACGTNBDACWMKQ-CABCVRRESA-N 0 3 318.486 4.024 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCc1cccc(F)c1F ZINC000543182892 341035703 /nfs/dbraw/zinc/03/57/03/341035703.db2.gz DPTXFOMSBFGSNA-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN Cc1cc(C2CCN(CCCc3ccccc3Cl)CC2)n[nH]1 ZINC000543186850 341035853 /nfs/dbraw/zinc/03/58/53/341035853.db2.gz TZQCCSIIRZIOMM-UHFFFAOYSA-N 0 3 317.864 4.184 20 0 DIADHN Cc1cc(C2CCN(CCCc3ccccc3Cl)CC2)[nH]n1 ZINC000543186850 341035854 /nfs/dbraw/zinc/03/58/54/341035854.db2.gz TZQCCSIIRZIOMM-UHFFFAOYSA-N 0 3 317.864 4.184 20 0 DIADHN C[C@@H](NCCCCC(=O)OC(C)(C)C)c1c(F)cccc1F ZINC000543185608 341035876 /nfs/dbraw/zinc/03/58/76/341035876.db2.gz QZFLWTVXOIJYEL-GFCCVEGCSA-N 0 3 313.388 4.127 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CCCCC(=O)OC(C)(C)C ZINC000543208856 341036911 /nfs/dbraw/zinc/03/69/11/341036911.db2.gz HLRKGJDZRDAJLP-QGZVFWFLSA-N 0 3 320.477 4.064 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ccc(Cl)cc2Cl)CCO1 ZINC000543230053 341037241 /nfs/dbraw/zinc/03/72/41/341037241.db2.gz KGUDEHPRDPJERB-VXGBXAGGSA-N 0 3 302.245 4.288 20 0 DIADHN CS[C@@H](CNCc1csc(-c2ccccn2)n1)C(C)(C)C ZINC000543236832 341037468 /nfs/dbraw/zinc/03/74/68/341037468.db2.gz CRGHKCGKPGXQMX-AWEZNQCLSA-N 0 3 321.515 4.072 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3nc(C)c4ccccc4n3)C2)c1 ZINC000543247271 341038070 /nfs/dbraw/zinc/03/80/70/341038070.db2.gz VSDMIANRVIYNBR-GOSISDBHSA-N 0 3 317.436 4.236 20 0 DIADHN Fc1ccc(-c2nc(CNCC3(C4CC4)CC3)cs2)cc1 ZINC000130676816 341038874 /nfs/dbraw/zinc/03/88/74/341038874.db2.gz AFBCOCUKJDNXFC-UHFFFAOYSA-N 0 3 302.418 4.229 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)CN1Cc1cccc2[nH]ccc21 ZINC000130686049 341039243 /nfs/dbraw/zinc/03/92/43/341039243.db2.gz LYFHMCUUDDOYPA-QRWLVFNGSA-N 0 3 306.409 4.130 20 0 DIADHN CC[C@@H]1CCC[C@H](CN(C)Cc2ccc([S@](C)=O)cc2)C1 ZINC000543393756 341041717 /nfs/dbraw/zinc/04/17/17/341041717.db2.gz DVEOZLGLSPQGKI-KUDFPVQQSA-N 0 3 307.503 4.072 20 0 DIADHN CC[C@@H]1CCC[C@H](CN(C)Cc2ccc([S@@](C)=O)cc2)C1 ZINC000543393758 341041895 /nfs/dbraw/zinc/04/18/95/341041895.db2.gz DVEOZLGLSPQGKI-LDBYXDLTSA-N 0 3 307.503 4.072 20 0 DIADHN CS[C@@H]1CCCCN(Cc2cn(C)nc2C2CCCCC2)C1 ZINC000543396263 341041972 /nfs/dbraw/zinc/04/19/72/341041972.db2.gz MMGGGOPIGGETHH-QGZVFWFLSA-N 0 3 321.534 4.185 20 0 DIADHN CS[C@H]1CCCCN(Cc2cn(C)nc2C2CCCCC2)C1 ZINC000543396262 341042137 /nfs/dbraw/zinc/04/21/37/341042137.db2.gz MMGGGOPIGGETHH-KRWDZBQOSA-N 0 3 321.534 4.185 20 0 DIADHN COc1ccc(CN(C)C[C@H]2CC=CCC2)c(Cl)c1OC ZINC000543411460 341042900 /nfs/dbraw/zinc/04/29/00/341042900.db2.gz OAXMCGWPLILYEX-ZDUSSCGKSA-N 0 3 309.837 4.145 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(c2ccc(OC)cc2)CCC1 ZINC000543416658 341043397 /nfs/dbraw/zinc/04/33/97/341043397.db2.gz XMPHFTATTVQQOA-MRXNPFEDSA-N 0 3 324.468 4.425 20 0 DIADHN CC(C)[C@@H]1OCCC[C@@H]1CN[C@H](c1ccco1)c1ccccc1 ZINC000543424801 341043826 /nfs/dbraw/zinc/04/38/26/341043826.db2.gz ZBZJLUSTRURJGT-HOJAQTOUSA-N 0 3 313.441 4.410 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc2c3c(cccc31)CC2 ZINC000543431767 341044292 /nfs/dbraw/zinc/04/42/92/341044292.db2.gz SKWLNHOCFNVYEJ-ZDUSSCGKSA-N 0 3 310.441 4.044 20 0 DIADHN Fc1ccccc1C=C1CCN(CC[C@H]2CCCCO2)CC1 ZINC000543438428 341044609 /nfs/dbraw/zinc/04/46/09/341044609.db2.gz OLRBLVVHTYCXSN-GOSISDBHSA-N 0 3 303.421 4.264 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H](C)[C@@H](C)CC)co2)cc1 ZINC000543470610 341046283 /nfs/dbraw/zinc/04/62/83/341046283.db2.gz XDHDTSXMOKIZPX-UONOGXRCSA-N 0 3 302.418 4.265 20 0 DIADHN CCc1sc(-c2nnc(CN[C@H](C)[C@H](C)CC)o2)cc1C ZINC000543471473 341046359 /nfs/dbraw/zinc/04/63/59/341046359.db2.gz GKYLFXMGPDWJEZ-ZYHUDNBSSA-N 0 3 307.463 4.193 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](C)[C@H](C)c2ccccc2)c1 ZINC000543472826 341046426 /nfs/dbraw/zinc/04/64/26/341046426.db2.gz LYTCWGJXTDYCPF-ULQDDVLXSA-N 0 3 310.441 4.104 20 0 DIADHN C[C@@H](NCc1csc(N(C)C2CC2)n1)[C@@H](C)c1ccccc1 ZINC000543472441 341046475 /nfs/dbraw/zinc/04/64/75/341046475.db2.gz WEMDCMQPMMQLIT-ZIAGYGMSSA-N 0 3 315.486 4.024 20 0 DIADHN CC[C@H](NC[C@@H]1CCc2ccccc2C1)c1nc(C)cs1 ZINC000543486073 341047001 /nfs/dbraw/zinc/04/70/01/341047001.db2.gz SDDGNDLWFGEWRO-PBHICJAKSA-N 0 3 300.471 4.297 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000543484744 341047033 /nfs/dbraw/zinc/04/70/33/341047033.db2.gz IBCFXVBYKOZAFN-KKUMJFAQSA-N 0 3 310.507 4.118 20 0 DIADHN CC[C@@H](NC[C@H]1CCc2ccccc2C1)c1nc(C)cs1 ZINC000543486080 341047037 /nfs/dbraw/zinc/04/70/37/341047037.db2.gz SDDGNDLWFGEWRO-WMLDXEAASA-N 0 3 300.471 4.297 20 0 DIADHN CC[C@@H](NC[C@H](O)Cc1ccccc1)c1ccc(Cl)s1 ZINC000543488580 341047319 /nfs/dbraw/zinc/04/73/19/341047319.db2.gz CKFNGKXPVQZKMI-ZIAGYGMSSA-N 0 3 309.862 4.046 20 0 DIADHN CC(C(=O)N1CCCCc2c(Cl)cccc21)C(F)(F)F ZINC000543497998 341047586 /nfs/dbraw/zinc/04/75/86/341047586.db2.gz YAUCPBMYOIIHPO-SECBINFHSA-N 0 3 305.727 4.208 20 0 DIADHN C[C@@H](NC(C)(C)c1cccs1)C(=O)Nc1cccc(Cl)c1 ZINC000543503672 341047711 /nfs/dbraw/zinc/04/77/11/341047711.db2.gz FMSLANMRWRPAFI-LLVKDONJSA-N 0 3 322.861 4.253 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC2(CCOCC2)[C@H]1C ZINC000543575327 341049497 /nfs/dbraw/zinc/04/94/97/341049497.db2.gz WDKXIXAMZAQYNH-QWHCGFSZSA-N 0 3 311.828 4.431 20 0 DIADHN CN(CCc1ccncc1)Cc1cc(-c2ccccc2)cs1 ZINC000543621150 341051408 /nfs/dbraw/zinc/05/14/08/341051408.db2.gz FZRCRTJOCFWODS-UHFFFAOYSA-N 0 3 308.450 4.485 20 0 DIADHN CC1(C)CCC(CN[C@H](c2ccccc2)c2cncnc2)CC1 ZINC000543625124 341051632 /nfs/dbraw/zinc/05/16/32/341051632.db2.gz GJLCRLFYMQEALY-LJQANCHMSA-N 0 3 309.457 4.372 20 0 DIADHN C[C@H](NCc1ccccc1)c1ccc(Br)c(F)c1 ZINC000130971652 341055240 /nfs/dbraw/zinc/05/52/40/341055240.db2.gz CGMJZVYVMVSNSW-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCCOc2ccccc2)o1 ZINC000130992830 341055795 /nfs/dbraw/zinc/05/57/95/341055795.db2.gz LIPSJWNUTSXVDE-GOSISDBHSA-N 0 3 315.413 4.032 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCc3ccc(F)cc32)cc1 ZINC000543775361 341058120 /nfs/dbraw/zinc/05/81/20/341058120.db2.gz BZDOORBEIKUCOZ-CWTRNNRKSA-N 0 3 312.388 4.122 20 0 DIADHN CC[C@H](NCc1cncc(C)c1)c1ccc(Br)cc1 ZINC000131090857 341059384 /nfs/dbraw/zinc/05/93/84/341059384.db2.gz LIUUHTIJDGBQGY-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@H]1C[C@@H](NC(=O)N(c2ccccc2)c2ccccc2)CCN1C ZINC000543907088 341063038 /nfs/dbraw/zinc/06/30/38/341063038.db2.gz UUMPYJZFZNJRNR-IRXDYDNUSA-N 0 3 323.440 4.017 20 0 DIADHN C[C@H]1C[C@H](NC(=O)N(c2ccccc2)c2ccccc2)CCN1C ZINC000543907075 341063164 /nfs/dbraw/zinc/06/31/64/341063164.db2.gz UUMPYJZFZNJRNR-DLBZAZTESA-N 0 3 323.440 4.017 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cc(C)nc2ccccc12 ZINC000543981696 341064935 /nfs/dbraw/zinc/06/49/35/341064935.db2.gz JFHKMOUHMWETAO-HNNXBMFYSA-N 0 3 305.425 4.351 20 0 DIADHN Cc1ncc([C@@H](C)NCCc2ccc(Cl)cc2Cl)c(C)n1 ZINC000131243780 341068224 /nfs/dbraw/zinc/06/82/24/341068224.db2.gz UAISZSWBLPUHCE-SNVBAGLBSA-N 0 3 324.255 4.294 20 0 DIADHN C[C@@H](NCC1(C2CC2)CC1)c1sccc1Br ZINC000131321037 341075222 /nfs/dbraw/zinc/07/52/22/341075222.db2.gz QWIJRFOFDKXNFU-SECBINFHSA-N 0 3 300.265 4.351 20 0 DIADHN CCc1cnc(CNCCc2c[nH]c3c2cccc3CC)s1 ZINC000544112001 341070656 /nfs/dbraw/zinc/07/06/56/341070656.db2.gz LGNKMBOAZQZOQB-UHFFFAOYSA-N 0 3 313.470 4.082 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@H](C)CC(C)(C)C3)o2)cc1 ZINC000544118473 341070775 /nfs/dbraw/zinc/07/07/75/341070775.db2.gz ULGNOESUGWDFDH-CABCVRRESA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cnn([C@H]2CCCN([C@@H]3CCc4ccc(Cl)cc43)C2)c1 ZINC000544142713 341071885 /nfs/dbraw/zinc/07/18/85/341071885.db2.gz GRMCLDGOQNIREI-FUHWJXTLSA-N 0 3 315.848 4.169 20 0 DIADHN C[C@H]1C[C@H](CCNCc2csc(-c3ccccc3)n2)CCO1 ZINC000544165783 341072594 /nfs/dbraw/zinc/07/25/94/341072594.db2.gz OJRKBOBITNINQL-LSDHHAIUSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ncc(-c3ccccc3)s2)CCO1 ZINC000544166724 341072666 /nfs/dbraw/zinc/07/26/66/341072666.db2.gz WWBYBGPDKBDAIV-LSDHHAIUSA-N 0 3 316.470 4.105 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@]1(C)CCO[C@H]1C ZINC000544185614 341073338 /nfs/dbraw/zinc/07/33/38/341073338.db2.gz QGCLDGOXGSEYCF-YWZLYKJASA-N 0 3 311.425 4.019 20 0 DIADHN CCCN(Cc1ncc(C(C)C)s1)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000544348058 341077318 /nfs/dbraw/zinc/07/73/18/341077318.db2.gz HPGHCLKAIAMGGN-XKQJLSEDSA-N 0 3 322.518 4.292 20 0 DIADHN CCO[C@@H](CCN[C@H](c1ccco1)c1ccccc1)C(C)C ZINC000544354584 341077890 /nfs/dbraw/zinc/07/78/90/341077890.db2.gz HHIYTOIBSNNOIV-HKUYNNGSSA-N 0 3 301.430 4.410 20 0 DIADHN Cc1nn2c(CN3CC[C@@H](C(C)(C)C)C3)c(C(C)C)nc2s1 ZINC000544366649 341078523 /nfs/dbraw/zinc/07/85/23/341078523.db2.gz XXUVLMPJWCIZHJ-CYBMUJFWSA-N 0 3 320.506 4.091 20 0 DIADHN COCc1ccc(CN2C[C@H](C)C[C@H]2c2cccc(OC)c2)o1 ZINC000544369343 341078556 /nfs/dbraw/zinc/07/85/56/341078556.db2.gz OJZHRQLCJJLUFO-KUHUBIRLSA-N 0 3 315.413 4.018 20 0 DIADHN COc1cccc(CN2CC[C@H](c3ccc(C)cc3)C2)c1OC ZINC000544369623 341078701 /nfs/dbraw/zinc/07/87/01/341078701.db2.gz FYMNYNADUJDWHA-KRWDZBQOSA-N 0 3 311.425 4.002 20 0 DIADHN Clc1ccc([C@H](NCC[C@H]2CCOC2)c2ccccc2)cc1 ZINC000544373375 341078851 /nfs/dbraw/zinc/07/88/51/341078851.db2.gz DJKNAUITBKZNHI-HNAYVOBHSA-N 0 3 315.844 4.446 20 0 DIADHN C[C@H](NC(c1ccc(F)cc1)c1ccc(F)cc1)[C@@H]1CCCO1 ZINC000544388048 341079819 /nfs/dbraw/zinc/07/98/19/341079819.db2.gz XWPZOVDIUAOZHA-UGSOOPFHSA-N 0 3 317.379 4.211 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)CCc2ccccc2)[C@@H](c2ccco2)C1 ZINC000544397072 341080165 /nfs/dbraw/zinc/08/01/65/341080165.db2.gz YNPFGILGQKTUOC-BHIYHBOVSA-N 0 3 313.441 4.046 20 0 DIADHN CCN(CCO[C@@H]1CCCCO1)[C@@H](C)c1cc2ccccc2o1 ZINC000544403906 341080608 /nfs/dbraw/zinc/08/06/08/341080608.db2.gz UIDZSRBIRWVKAW-HNAYVOBHSA-N 0 3 317.429 4.359 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN(CCCc2ccncc2)C2CC2)o1 ZINC000544410292 341081043 /nfs/dbraw/zinc/08/10/43/341081043.db2.gz DTMZEAMVIOTWMV-DNVCBOLYSA-N 0 3 310.441 4.395 20 0 DIADHN Cc1nc(CN[C@H](Cc2ccc(F)cc2)C(C)C)c(C)s1 ZINC000544442379 341082306 /nfs/dbraw/zinc/08/23/06/341082306.db2.gz NBJFKUPXQMRTJK-MRXNPFEDSA-N 0 3 306.450 4.256 20 0 DIADHN CC(C)C[C@H](N[C@@H](C)C(=O)Nc1ccccc1)c1cccs1 ZINC000544448436 341082620 /nfs/dbraw/zinc/08/26/20/341082620.db2.gz UHBPFSGNQHWLRB-HOCLYGCPSA-N 0 3 316.470 4.452 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000544448431 341082687 /nfs/dbraw/zinc/08/26/87/341082687.db2.gz UFUZBHSRNBLGCB-GJZGRUSLSA-N 0 3 310.507 4.120 20 0 DIADHN CCN(C(=O)[C@@H](C)NC(C)(C)c1cccs1)c1ccccc1 ZINC000544464828 341083408 /nfs/dbraw/zinc/08/34/08/341083408.db2.gz LZOJXKWLFJDCPV-CQSZACIVSA-N 0 3 316.470 4.014 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)c1 ZINC000544469781 341083433 /nfs/dbraw/zinc/08/34/33/341083433.db2.gz WHPSGWMHMXXTRZ-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN CC(C)n1cc([C@H](C)NCCCSCc2ccccc2)cn1 ZINC000544469818 341083558 /nfs/dbraw/zinc/08/35/58/341083558.db2.gz XFHPDCMNDCRULC-INIZCTEOSA-N 0 3 317.502 4.438 20 0 DIADHN COCC[C@@H](NCCCOc1ccccc1C)c1ccc(C)o1 ZINC000544472540 341083609 /nfs/dbraw/zinc/08/36/09/341083609.db2.gz PYLLAYVLCAVZEO-QGZVFWFLSA-N 0 3 317.429 4.033 20 0 DIADHN Cc1cnc(C(C)(C)NCCc2c(F)cccc2Cl)s1 ZINC000544475217 341083772 /nfs/dbraw/zinc/08/37/72/341083772.db2.gz NJGXGUXBMMVHFN-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN Cc1csc([C@H](C)NCCCOc2c(C)cccc2C)n1 ZINC000544476310 341083911 /nfs/dbraw/zinc/08/39/11/341083911.db2.gz CHGMZDPYWGALPH-HNNXBMFYSA-N 0 3 304.459 4.188 20 0 DIADHN C[C@H](CN(C)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1)C(F)(F)F ZINC000544491320 341084237 /nfs/dbraw/zinc/08/42/37/341084237.db2.gz BHTZXMVEOFPKIA-DFBGVHRSSA-N 0 3 319.342 4.176 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc2c(s1)CCCC2)c1ccccc1 ZINC000544574317 341086545 /nfs/dbraw/zinc/08/65/45/341086545.db2.gz QXROQZHVJUVTFD-UONOGXRCSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1ccc2c(c1)CCC(C)(C)O2 ZINC000544599929 341087879 /nfs/dbraw/zinc/08/78/79/341087879.db2.gz RGOVEMGOUHZPKG-HNNXBMFYSA-N 0 3 310.441 4.378 20 0 DIADHN Cc1ccc([C@H](NCC2([C@H](O)C(C)C)CC2)C2CCCC2)o1 ZINC000544601560 341088055 /nfs/dbraw/zinc/08/80/55/341088055.db2.gz BJEVQMUYCZRMCQ-QZTJIDSGSA-N 0 3 305.462 4.206 20 0 DIADHN COc1c(O)cccc1CNC1(Cc2ccccc2)CCCC1 ZINC000544600454 341088084 /nfs/dbraw/zinc/08/80/84/341088084.db2.gz WDYNGNKGFOZQMT-UHFFFAOYSA-N 0 3 311.425 4.046 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@H](C)c1ncc(C)s1 ZINC000544603459 341088227 /nfs/dbraw/zinc/08/82/27/341088227.db2.gz HHRHEPBKAKKDFV-JSGCOSHPSA-N 0 3 304.459 4.223 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000544616161 341089404 /nfs/dbraw/zinc/08/94/04/341089404.db2.gz AKUNSLQIQPOUAM-GOEBONIOSA-N 0 3 323.795 4.424 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(F)c(F)c(F)c1)c1ccccc1 ZINC000131452755 341090029 /nfs/dbraw/zinc/09/00/29/341090029.db2.gz VPZSDTCHTBVGSP-BZNIZROVSA-N 0 3 309.331 4.142 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H](C)[C@@H]3CCCC[C@H]32)s1 ZINC000544748518 341092827 /nfs/dbraw/zinc/09/28/27/341092827.db2.gz ZXWHPWBPVZLDAV-ZMLRMANQSA-N 0 3 318.408 4.481 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccnc(N3CCCC3)c2)cc1C ZINC000131523951 341093795 /nfs/dbraw/zinc/09/37/95/341093795.db2.gz BUAWWLLCSMCAQC-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)C(=O)N(C)Cc1ccccc1 ZINC000544895421 341097838 /nfs/dbraw/zinc/09/78/38/341097838.db2.gz CEGOYOPQTIMPIJ-QZTJIDSGSA-N 0 3 324.468 4.001 20 0 DIADHN COc1ncc(CN[C@H](C)c2c(C)cccc2C)cc1Cl ZINC000544895926 341097844 /nfs/dbraw/zinc/09/78/44/341097844.db2.gz WUMMZYMZDREWJH-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN C/C=C/C=C\c1nc(-c2ccccc2CN2CCCCC2)no1 ZINC000544915183 341099209 /nfs/dbraw/zinc/09/92/09/341099209.db2.gz AKZJKQSCODSANM-JUIVEEMKSA-N 0 3 309.413 4.312 20 0 DIADHN c1cnn(-c2cccc(CNC3(c4ccccc4)CCC3)c2)c1 ZINC000131726378 341110032 /nfs/dbraw/zinc/11/00/32/341110032.db2.gz PWEZDWBUQLOZEF-UHFFFAOYSA-N 0 3 303.409 4.041 20 0 DIADHN CCCN(CC(=O)Nc1c(C)cc(C)cc1Cl)CC1CC1 ZINC000072950428 341111353 /nfs/dbraw/zinc/11/13/53/341111353.db2.gz SBPOFVUQPJMXQM-UHFFFAOYSA-N 0 3 308.853 4.017 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@H]3c4ccccc4CCC[C@H]3C)cc12 ZINC000545196218 341112986 /nfs/dbraw/zinc/11/29/86/341112986.db2.gz OKIGBVIMZGEQMI-BFUOFWGJSA-N 0 3 320.440 4.070 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCCN2CCn2ccnc2)cc1 ZINC000131888706 341113254 /nfs/dbraw/zinc/11/32/54/341113254.db2.gz LEXYYFXDWNMGIG-MRXNPFEDSA-N 0 3 323.362 4.129 20 0 DIADHN c1nc(CNCC(Cc2ccccc2)Cc2ccccc2)cs1 ZINC000545240385 341116751 /nfs/dbraw/zinc/11/67/51/341116751.db2.gz PBGJLQJPTPCQHM-UHFFFAOYSA-N 0 3 322.477 4.334 20 0 DIADHN CCn1ccnc1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000545251030 341117344 /nfs/dbraw/zinc/11/73/44/341117344.db2.gz JVVAVENTJUVVBG-JOCQHMNTSA-N 0 3 324.255 4.246 20 0 DIADHN CCn1c2ccccc2nc1CNC1CC(C)(c2ccccc2)C1 ZINC000545253314 341117549 /nfs/dbraw/zinc/11/75/49/341117549.db2.gz VFCKWBBIHIKYIL-UHFFFAOYSA-N 0 3 319.452 4.266 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@@H]1CC[C@H](c2ccccc2)C1 ZINC000132218709 341118530 /nfs/dbraw/zinc/11/85/30/341118530.db2.gz GISJQOZCZIHWDF-ZWKOTPCHSA-N 0 3 309.457 4.424 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCc3ncc(C(F)(F)F)cc32)cc1 ZINC000132344150 341121078 /nfs/dbraw/zinc/12/10/78/341121078.db2.gz VKJGXLIRHYVTBT-OAHLLOKOSA-N 0 3 324.321 4.407 20 0 DIADHN Fc1ccc(CN[C@H]2CCCc3ncc(C(F)(F)F)cc32)cc1 ZINC000132344286 341121105 /nfs/dbraw/zinc/12/11/05/341121105.db2.gz VKJGXLIRHYVTBT-HNNXBMFYSA-N 0 3 324.321 4.407 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3ccncc3Cl)C2)c1 ZINC000545322358 341121567 /nfs/dbraw/zinc/12/15/67/341121567.db2.gz UWQNVGKGBHANJT-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@@H](C)Cc1ccccc1F ZINC000132397007 341122003 /nfs/dbraw/zinc/12/20/03/341122003.db2.gz VBVUFUDRWBCUHX-KBPBESRZSA-N 0 3 317.452 4.367 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(OC)cc1OC ZINC000545457549 341125815 /nfs/dbraw/zinc/12/58/15/341125815.db2.gz GGYNGSNYOGFOHP-GHMZBOCLSA-N 0 3 305.340 4.085 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc2cc(OC)ccc2o1 ZINC000545471412 341126551 /nfs/dbraw/zinc/12/65/51/341126551.db2.gz BGMDCZAZPWHKTK-NSHDSACASA-N 0 3 301.308 4.262 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000545517539 341128119 /nfs/dbraw/zinc/12/81/19/341128119.db2.gz MDBVEVDLLGOVAL-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(c2ccccc2OC)CCC1 ZINC000545519165 341128217 /nfs/dbraw/zinc/12/82/17/341128217.db2.gz BCRIIRJJYBSNNZ-MRXNPFEDSA-N 0 3 324.468 4.425 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)c1ccc(-n2cncn2)cc1 ZINC000545520817 341128352 /nfs/dbraw/zinc/12/83/52/341128352.db2.gz UBQLRZABZJVLRG-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN CCc1cc(CCCN2CCC[C@@H]2c2cccc(F)c2)on1 ZINC000564294868 341143306 /nfs/dbraw/zinc/14/33/06/341143306.db2.gz LCPWHGQFJHBYMT-GOSISDBHSA-N 0 3 302.393 4.146 20 0 DIADHN CC1(CO)CCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000545874845 341143758 /nfs/dbraw/zinc/14/37/58/341143758.db2.gz SYRZBJIJHILWFU-UHFFFAOYSA-N 0 3 319.445 4.149 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(CCCOc2ccc(F)cc2)C1 ZINC000545884479 341143962 /nfs/dbraw/zinc/14/39/62/341143962.db2.gz BESVETNRMVNBBB-HNAYVOBHSA-N 0 3 314.404 4.073 20 0 DIADHN COCCCCN(Cc1cccs1)Cc1ccccc1OC ZINC000074328637 341144035 /nfs/dbraw/zinc/14/40/35/341144035.db2.gz DIWNYXHRDZRMBO-UHFFFAOYSA-N 0 3 319.470 4.186 20 0 DIADHN COc1ccc(CNCc2ccc(-c3ccccc3)cc2)cn1 ZINC000074336791 341144133 /nfs/dbraw/zinc/14/41/33/341144133.db2.gz ORGWEVPRLMQGSE-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)o1 ZINC000545907293 341145525 /nfs/dbraw/zinc/14/55/25/341145525.db2.gz ZTMYEXZRJYKMHH-HBPKQKSZSA-N 0 3 303.446 4.088 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cn(C(C)(C)C)nc2C)C[C@@H]1OC ZINC000545943550 341147707 /nfs/dbraw/zinc/14/77/07/341147707.db2.gz PWBALEMBIOTLDG-XYPHTWIQSA-N 0 3 321.509 4.191 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H]1CCC(=O)Nc2ccccc21 ZINC000545943920 341147734 /nfs/dbraw/zinc/14/77/34/341147734.db2.gz UUOVWPGRCPRKEZ-KRWDZBQOSA-N 0 3 320.392 4.304 20 0 DIADHN C[C@@H](O)[C@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000545949490 341148007 /nfs/dbraw/zinc/14/80/07/341148007.db2.gz NQXUTPFTZFSMAB-QAPCUYQASA-N 0 3 313.416 4.086 20 0 DIADHN c1ccc([C@H]2CCc3nc(CNC4CCCC4)sc3C2)cc1 ZINC000545951628 341148233 /nfs/dbraw/zinc/14/82/33/341148233.db2.gz BXCSDLGXNJJBFM-HNNXBMFYSA-N 0 3 312.482 4.448 20 0 DIADHN FC1(CN2CCC(c3cccc(Br)c3)CC2)CC1 ZINC000545985566 341149685 /nfs/dbraw/zinc/14/96/85/341149685.db2.gz CBCWFUKNLIRZSP-UHFFFAOYSA-N 0 3 312.226 4.131 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2CCc3ccccc3C2)cs1 ZINC000545985204 341149730 /nfs/dbraw/zinc/14/97/30/341149730.db2.gz RMFSNQIYWJCEMK-XJKSGUPXSA-N 0 3 300.471 4.475 20 0 DIADHN Cc1ccc2[nH]c(CN(Cc3ccccc3)C[C@H](C)O)cc2c1C ZINC000546035184 341152259 /nfs/dbraw/zinc/15/22/59/341152259.db2.gz BRAVSNXRZCNGAW-INIZCTEOSA-N 0 3 322.452 4.168 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cccc(Br)c1 ZINC000546881577 341185296 /nfs/dbraw/zinc/18/52/96/341185296.db2.gz ZNIIQNMSGKYYCF-LLVKDONJSA-N 0 3 310.157 4.270 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1cnccc1C ZINC000546165116 341155385 /nfs/dbraw/zinc/15/53/85/341155385.db2.gz SQEBHCYAQVTVNA-LBTNJELSSA-N 0 3 318.848 4.470 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000546166092 341155543 /nfs/dbraw/zinc/15/55/43/341155543.db2.gz JBKZYYQDNGCYTA-WCQYABFASA-N 0 3 317.351 4.230 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc(OCCOC)cc1 ZINC000546167989 341155630 /nfs/dbraw/zinc/15/56/30/341155630.db2.gz YEZHBLNAVYWVKT-JSGCOSHPSA-N 0 3 319.367 4.093 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1cncs1 ZINC000546166721 341155681 /nfs/dbraw/zinc/15/56/81/341155681.db2.gz CZTCODNXLSCQDL-RWSFTLGLSA-N 0 3 310.850 4.223 20 0 DIADHN CCCC[C@H](CC)CN1CCCN(C(=O)c2ccsc2)CC1 ZINC000546222334 341157717 /nfs/dbraw/zinc/15/77/17/341157717.db2.gz GZNWCGLQEOJSTC-INIZCTEOSA-N 0 3 322.518 4.112 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N(CC)CCC1CC1 ZINC000546225884 341157790 /nfs/dbraw/zinc/15/77/90/341157790.db2.gz RJPBXSMAZBWZRQ-HNNXBMFYSA-N 0 3 316.489 4.260 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2c(C)cccc2C)c(C)s1 ZINC000546227237 341157989 /nfs/dbraw/zinc/15/79/89/341157989.db2.gz FXSFAYJLXRHHOV-ZDUSSCGKSA-N 0 3 317.454 4.311 20 0 DIADHN c1ccc2c(c1)nc(CN[C@H]1CCCC[C@H]1C1CC1)n2C1CC1 ZINC000546266298 341160237 /nfs/dbraw/zinc/16/02/37/341160237.db2.gz RUZCUBWMTZYYKE-IRXDYDNUSA-N 0 3 309.457 4.430 20 0 DIADHN Clc1ccc(CNC[C@@H](c2ccco2)N2CCCCC2)cc1 ZINC000075114407 341165571 /nfs/dbraw/zinc/16/55/71/341165571.db2.gz OLCQDOGQGXUQJL-KRWDZBQOSA-N 0 3 318.848 4.250 20 0 DIADHN Cc1nc(-c2ccc(CNCc3ccc(C)nc3)cc2)cs1 ZINC000546611039 341174726 /nfs/dbraw/zinc/17/47/26/341174726.db2.gz UYUAVSACAYUESP-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(F)c(OC)c1)c1ccccc1 ZINC000564306308 341176871 /nfs/dbraw/zinc/17/68/71/341176871.db2.gz BESXCWHYKYBPHB-LIRRHRJNSA-N 0 3 317.404 4.263 20 0 DIADHN COC(=O)c1ccc([C@H](C)N[C@@H]2CCC[C@@H]2c2ccccc2)o1 ZINC000133558776 341178295 /nfs/dbraw/zinc/17/82/95/341178295.db2.gz UXEHJEMGEWVIOV-NUEKZKHPSA-N 0 3 313.397 4.053 20 0 DIADHN c1ccc([C@H]2CCCCN2Cc2ccc(N3CCCC3)nc2)cc1 ZINC000546691673 341179202 /nfs/dbraw/zinc/17/92/02/341179202.db2.gz WFRIPGKKIJOVNZ-HXUWFJFHSA-N 0 3 321.468 4.409 20 0 DIADHN c1csc(CCNCc2ccccc2OCc2cccnc2)c1 ZINC000075734053 341181536 /nfs/dbraw/zinc/18/15/36/341181536.db2.gz OBVCPRSPCZXZEC-UHFFFAOYSA-N 0 3 324.449 4.054 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cc(OC)c(OC)cc1C ZINC000546870107 341184341 /nfs/dbraw/zinc/18/43/41/341184341.db2.gz IHKRBNPGZRISME-NWDGAFQWSA-N 0 3 319.367 4.394 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cccc(-n2cccn2)c1 ZINC000546880264 341185108 /nfs/dbraw/zinc/18/51/08/341185108.db2.gz ZXAWFGZPMZJEMM-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000546936438 341187496 /nfs/dbraw/zinc/18/74/96/341187496.db2.gz YUXLOMWWRMBSOX-MSOLQXFVSA-N 0 3 324.468 4.174 20 0 DIADHN c1ccc(-c2noc([C@H]3CC=CCC3)n2)c(CN2CCCC2)c1 ZINC000546941075 341187969 /nfs/dbraw/zinc/18/79/69/341187969.db2.gz SOZLPKBKBROBMP-HNNXBMFYSA-N 0 3 309.413 4.156 20 0 DIADHN CC[C@H](N[C@H]1CCCc2sccc21)c1c(C)nn(C)c1C ZINC000133790815 341188363 /nfs/dbraw/zinc/18/83/63/341188363.db2.gz ZWPBIRAMPJJHQN-GJZGRUSLSA-N 0 3 303.475 4.217 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H]2CCC[C@@H]2c2ccccc2)c(C)c1 ZINC000133896493 341198057 /nfs/dbraw/zinc/19/80/57/341198057.db2.gz UKLOYZHTBIFLDU-QUCCMNQESA-N 0 3 322.452 4.168 20 0 DIADHN CC(C)(Cc1ccc(Cl)cc1Cl)NCc1ccccn1 ZINC000236762316 341198701 /nfs/dbraw/zinc/19/87/01/341198701.db2.gz ATMVAZNBTDLIHK-UHFFFAOYSA-N 0 3 309.240 4.499 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC[C@H]2C(C)=CCC[C@H]2C)c(C)c1 ZINC000133935008 341201202 /nfs/dbraw/zinc/20/12/02/341201202.db2.gz HQAFVALFYDMVDO-QAPCUYQASA-N 0 3 314.473 4.132 20 0 DIADHN CCC[C@@H](CCO)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236880246 341203911 /nfs/dbraw/zinc/20/39/11/341203911.db2.gz SFQCKYQFGVQFTL-AWEZNQCLSA-N 0 3 321.848 4.488 20 0 DIADHN FC(F)c1ccc(CN2CCC(Nc3ccccn3)CC2)cc1 ZINC000547308369 341204838 /nfs/dbraw/zinc/20/48/38/341204838.db2.gz WUMSCHGGLQOBDH-UHFFFAOYSA-N 0 3 317.383 4.096 20 0 DIADHN CCCC[C@@H](COC)N[C@H](C)c1ccc(SC)c(OC)c1 ZINC000134080706 341206117 /nfs/dbraw/zinc/20/61/17/341206117.db2.gz DSPRIHYBWPXXKS-HIFRSBDPSA-N 0 3 311.491 4.273 20 0 DIADHN CCC[C@H](CCO)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000237026730 341206144 /nfs/dbraw/zinc/20/61/44/341206144.db2.gz SFQCKYQFGVQFTL-CQSZACIVSA-N 0 3 321.848 4.488 20 0 DIADHN c1cc(CN2CCC[C@H](Cc3csc4ccccc34)C2)on1 ZINC000547345539 341207540 /nfs/dbraw/zinc/20/75/40/341207540.db2.gz MWULTMLQVBXKPR-CQSZACIVSA-N 0 3 312.438 4.344 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2nc(-c3ccccc3)cs2)C1(C)C ZINC000237165785 341208392 /nfs/dbraw/zinc/20/83/92/341208392.db2.gz AJGJWNWMXXKKJH-YJBOKZPZSA-N 0 3 316.470 4.103 20 0 DIADHN COc1cc2c(cc1CNC1CC(c3ccccc3)C1)O[C@@H](C)C2 ZINC000237297168 341210962 /nfs/dbraw/zinc/21/09/62/341210962.db2.gz NBRAQZVUSHBXKJ-ASFAAARLSA-N 0 3 323.436 4.054 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC1CC(c2ccccc2)C1 ZINC000547398773 341211082 /nfs/dbraw/zinc/21/10/82/341211082.db2.gz USFXHVLSYNWNEP-UHFFFAOYSA-N 0 3 311.473 4.291 20 0 DIADHN COc1cccc([C@H](NCC2CC2)c2ccccc2Cl)c1 ZINC000237321108 341211712 /nfs/dbraw/zinc/21/17/12/341211712.db2.gz WKGHUGHQKMWFJB-SFHVURJKSA-N 0 3 301.817 4.438 20 0 DIADHN CCCCOCCCNCc1nc(-c2ccccc2)cs1 ZINC000134293804 341212195 /nfs/dbraw/zinc/21/21/95/341212195.db2.gz OKFRCMZNUUDJDL-UHFFFAOYSA-N 0 3 304.459 4.107 20 0 DIADHN C[C@@H](O)CCNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000237383161 341212860 /nfs/dbraw/zinc/21/28/60/341212860.db2.gz VXZAJERATITYGU-SNVBAGLBSA-N 0 3 314.212 4.114 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000134386116 341214242 /nfs/dbraw/zinc/21/42/42/341214242.db2.gz SMSQWMAFHNITJQ-CRAIPNDOSA-N 0 3 317.477 4.181 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCCCOCc2ccccc2)o1 ZINC000237459801 341214526 /nfs/dbraw/zinc/21/45/26/341214526.db2.gz QTACTXDZGUPDDG-VQIMIIECSA-N 0 3 313.441 4.490 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC2CCN(c3ccccc3)CC2)o1 ZINC000237465079 341214573 /nfs/dbraw/zinc/21/45/73/341214573.db2.gz DJODEPOTTQGGML-DNVCBOLYSA-N 0 3 310.441 4.162 20 0 DIADHN CCCc1cccc(CNCc2cccc(NC(=O)CC)c2)c1 ZINC000547499255 341218167 /nfs/dbraw/zinc/21/81/67/341218167.db2.gz IAMAYTSRZCNXRL-UHFFFAOYSA-N 0 3 310.441 4.277 20 0 DIADHN C[C@H](NC1CC(OCc2ccccc2)C1)c1ccc(Cl)cn1 ZINC000547506879 341218690 /nfs/dbraw/zinc/21/86/90/341218690.db2.gz UGVKTHHRZGGGBM-IGEOTXOUSA-N 0 3 316.832 4.133 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccc(F)c3cccnc23)C1 ZINC000134615725 341219778 /nfs/dbraw/zinc/21/97/78/341219778.db2.gz MTNGKOABUOYQOR-CQSZACIVSA-N 0 3 304.434 4.091 20 0 DIADHN C[C@]1(CNCc2ccc(Cl)c(C(F)(F)F)c2)CCCO1 ZINC000237782366 341220520 /nfs/dbraw/zinc/22/05/20/341220520.db2.gz BFLPFAIMRVJQOI-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1ccnc2ccccc12 ZINC000547525140 341220538 /nfs/dbraw/zinc/22/05/38/341220538.db2.gz MTTGNVPYBUHAFO-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(SC2CCCC2)cc1 ZINC000134645848 341220824 /nfs/dbraw/zinc/22/08/24/341220824.db2.gz SQODUKCRGYCDPD-YQQAZPJKSA-N 0 3 317.498 4.309 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(F)cc1)c1nc2c(s1)CCC2 ZINC000134780688 341224080 /nfs/dbraw/zinc/22/40/80/341224080.db2.gz ONDTYHMJGSDAAL-NWDGAFQWSA-N 0 3 304.434 4.053 20 0 DIADHN OCc1ccc(CNCC2(c3ccc(Cl)cc3)CCCC2)o1 ZINC000237984538 341224148 /nfs/dbraw/zinc/22/41/48/341224148.db2.gz QEXPSBVNFSWJLX-UHFFFAOYSA-N 0 3 319.832 4.027 20 0 DIADHN C[C@H](CNC(=O)N(c1ccccc1)c1ccccc1)N1CCCC1 ZINC000547714656 341228318 /nfs/dbraw/zinc/22/83/18/341228318.db2.gz GHGXJZUUCRYSSU-QGZVFWFLSA-N 0 3 323.440 4.019 20 0 DIADHN CCC(CC)N(Cc1nc(-c2ccccc2F)no1)C1CC1 ZINC000547738018 341229590 /nfs/dbraw/zinc/22/95/90/341229590.db2.gz DMVLAYOYHCLBRF-UHFFFAOYSA-N 0 3 303.381 4.029 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)[C@@H](C)c2cccs2)c1 ZINC000078061946 341234971 /nfs/dbraw/zinc/23/49/71/341234971.db2.gz ZFGMJSKOHPBTOE-AWEZNQCLSA-N 0 3 316.470 4.332 20 0 DIADHN CCc1csc(NC2CCN([C@H](C)c3ccccc3)CC2)n1 ZINC000547825898 341235628 /nfs/dbraw/zinc/23/56/28/341235628.db2.gz VHNKJFAENIQERR-CQSZACIVSA-N 0 3 315.486 4.343 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cccc(OC(F)(F)F)c1)C(F)(F)F ZINC000547829837 341235934 /nfs/dbraw/zinc/23/59/34/341235934.db2.gz NCHPMRWNBGTXBZ-IUCAKERBSA-N 0 3 315.257 4.434 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccoc1 ZINC000547831536 341236240 /nfs/dbraw/zinc/23/62/40/341236240.db2.gz BVEOOISBADCCRZ-GXTWGEPZSA-N 0 3 324.346 4.228 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H](C)C(F)(F)F)oc21 ZINC000548157597 341250179 /nfs/dbraw/zinc/25/01/79/341250179.db2.gz VHJKPUFUJQOXTF-VHSXEESVSA-N 0 3 301.308 4.290 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCO2)c1ccc(F)cc1 ZINC000078973178 341250985 /nfs/dbraw/zinc/25/09/85/341250985.db2.gz VTYYNFXFHKYPPI-WBMJQRKESA-N 0 3 301.361 4.356 20 0 DIADHN CC(C)(C)OCc1cc(CNC[C@@H]2CCCC(C)(C)C2)no1 ZINC000548269829 341253536 /nfs/dbraw/zinc/25/35/36/341253536.db2.gz DSWPYCRILAIWSD-CQSZACIVSA-N 0 3 308.466 4.296 20 0 DIADHN Cc1nccn1CCCN(C)Cc1ccc(Cl)cc1Cl ZINC000136294999 341256323 /nfs/dbraw/zinc/25/63/23/341256323.db2.gz LBWXMENVVUTZDS-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2F)cc1 ZINC000079324328 341256619 /nfs/dbraw/zinc/25/66/19/341256619.db2.gz KVEBKXFSMJMFTC-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1ccc(Br)cc1F ZINC000136345117 341257057 /nfs/dbraw/zinc/25/70/57/341257057.db2.gz QRZOMZPPYPOKKC-ONGXEEELSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1coc(-c2cccc(F)c2)n1 ZINC000548402764 341258904 /nfs/dbraw/zinc/25/89/04/341258904.db2.gz XOXPPVFRNLRAEU-SUMWQHHRSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1ncc(-c2ccccc2F)o1 ZINC000548402546 341258948 /nfs/dbraw/zinc/25/89/48/341258948.db2.gz FQGADJTUKMVPNZ-XJKSGUPXSA-N 0 3 302.393 4.491 20 0 DIADHN CCC(O)(CC)C(C)(C)CN[C@H](c1ccc(F)cn1)C(C)C ZINC000564351776 341262049 /nfs/dbraw/zinc/26/20/49/341262049.db2.gz QCWLDXOFEJNWOD-INIZCTEOSA-N 0 3 310.457 4.085 20 0 DIADHN CC1(C)CCC(NCc2csc(-c3ccccn3)n2)CC1 ZINC000079677174 341263397 /nfs/dbraw/zinc/26/33/97/341263397.db2.gz UIOMLULWXSAKRN-UHFFFAOYSA-N 0 3 301.459 4.264 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000548488601 341263595 /nfs/dbraw/zinc/26/35/95/341263595.db2.gz SAORETOXRIFMRH-RDJZCZTQSA-N 0 3 313.445 4.494 20 0 DIADHN COCC1=CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC1 ZINC000548504519 341264635 /nfs/dbraw/zinc/26/46/35/341264635.db2.gz DTSPUFZOXZTZDM-UHFFFAOYSA-N 0 3 317.816 4.379 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(Cc3ccccc3)c2)cc1 ZINC000079728500 341264829 /nfs/dbraw/zinc/26/48/29/341264829.db2.gz KVANPIKNYVAAHG-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCc1cccc(Br)c1 ZINC000136866206 341268472 /nfs/dbraw/zinc/26/84/72/341268472.db2.gz XLNNIYXXUOZTIE-ZDUSSCGKSA-N 0 3 319.246 4.080 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1cccc2c1OC(C)(C)C2 ZINC000080509035 341273931 /nfs/dbraw/zinc/27/39/31/341273931.db2.gz BZGZGOALUXGJGH-CYBMUJFWSA-N 0 3 301.455 4.182 20 0 DIADHN Clc1cc(CN[C@H]2CCC23CCOCC3)c(Cl)s1 ZINC000548792296 341275899 /nfs/dbraw/zinc/27/58/99/341275899.db2.gz XVZNNDCJYYSPTP-JTQLQIEISA-N 0 3 306.258 4.104 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)cc1O ZINC000080962057 341277161 /nfs/dbraw/zinc/27/71/61/341277161.db2.gz XBXVSIMBMZOTQG-LBPRGKRZSA-N 0 3 305.805 4.247 20 0 DIADHN COCC1(CNCc2cnc(C3CCCCC3)s2)CCC1 ZINC000548845956 341277795 /nfs/dbraw/zinc/27/77/95/341277795.db2.gz KRXWLECWFCDWSQ-UHFFFAOYSA-N 0 3 308.491 4.097 20 0 DIADHN CC(C)(CNCc1ccccc1OC(F)(F)F)C(F)(F)F ZINC000548859648 341278583 /nfs/dbraw/zinc/27/85/83/341278583.db2.gz PQHRQNOGOIWNMJ-UHFFFAOYSA-N 0 3 315.257 4.263 20 0 DIADHN FC(F)(F)C[C@H](N[C@H]1CCC12CCOCC2)c1ccccc1 ZINC000548861209 341278605 /nfs/dbraw/zinc/27/86/05/341278605.db2.gz PNEYJMYWIQHTTA-GJZGRUSLSA-N 0 3 313.363 4.229 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC[C@H]1c1cccc(C)n1 ZINC000548874518 341279209 /nfs/dbraw/zinc/27/92/09/341279209.db2.gz QHPXOJGCJNWYMU-FQEVSTJZSA-N 0 3 310.441 4.298 20 0 DIADHN COCc1ccc([C@@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)o1 ZINC000548915094 341280848 /nfs/dbraw/zinc/28/08/48/341280848.db2.gz OPKWQEAFIIOZAL-WBVHZDCISA-N 0 3 319.445 4.055 20 0 DIADHN COc1cccc(CN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)c1 ZINC000548934568 341281483 /nfs/dbraw/zinc/28/14/83/341281483.db2.gz JYVDHGMQOIBLSN-OAHLLOKOSA-N 0 3 313.363 4.250 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@H](C)C1CCCCC1 ZINC000548929652 341281446 /nfs/dbraw/zinc/28/14/46/341281446.db2.gz DEPQXXZHLKSIBG-CQSZACIVSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)CCOCCN1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000548934046 341281578 /nfs/dbraw/zinc/28/15/78/341281578.db2.gz OFNCNTWEGCRFLQ-CQSZACIVSA-N 0 3 307.400 4.104 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CC[C@H](C)[C@@H]3CCCC[C@H]32)c1 ZINC000548937707 341281647 /nfs/dbraw/zinc/28/16/47/341281647.db2.gz OHLYCQKPLUUZEN-VDZJLULYSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CC[C@H](C)[C@@H]3CCCC[C@@H]32)c1 ZINC000548937705 341281733 /nfs/dbraw/zinc/28/17/33/341281733.db2.gz OHLYCQKPLUUZEN-IEZWGBDMSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H]1CC(CCNCc2csc(C(C)(C)C)n2)C[C@@H](C)O1 ZINC000548993263 341283123 /nfs/dbraw/zinc/28/31/23/341283123.db2.gz IRKXWIPWCBJPII-CHWSQXEVSA-N 0 3 310.507 4.124 20 0 DIADHN Clc1ccsc1CN1CC[C@H](COCc2ccccc2)C1 ZINC000549041094 341284364 /nfs/dbraw/zinc/28/43/64/341284364.db2.gz WBPZOMZXFBXAGP-HNNXBMFYSA-N 0 3 321.873 4.440 20 0 DIADHN CO[C@H](CNC1(c2nc(C)cs2)CCCC1)c1ccccc1 ZINC000549067700 341285284 /nfs/dbraw/zinc/28/52/84/341285284.db2.gz ZPTVRJLJOBUFJJ-MRXNPFEDSA-N 0 3 316.470 4.198 20 0 DIADHN Fc1ccc(C2=CCN(C[C@H]3CCC4(CCCC4)O3)CC2)cc1 ZINC000549120008 341286330 /nfs/dbraw/zinc/28/63/30/341286330.db2.gz IQKVXBOBZZJYTG-LJQANCHMSA-N 0 3 315.432 4.407 20 0 DIADHN Fc1ccc([C@@H]2CCCCN2CCCO[C@@H]2CCCCO2)cc1 ZINC000549138718 341286914 /nfs/dbraw/zinc/28/69/14/341286914.db2.gz KMKXAYYOKJTETB-RBUKOAKNSA-N 0 3 321.436 4.286 20 0 DIADHN CCCc1cc(NCc2c(C)onc2CC)c2ccccc2n1 ZINC000549194961 341288491 /nfs/dbraw/zinc/28/84/91/341288491.db2.gz UXTVVSGKJYGPLY-UHFFFAOYSA-N 0 3 309.413 4.080 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccsc1 ZINC000549222508 341288967 /nfs/dbraw/zinc/28/89/67/341288967.db2.gz MCJJXVPDBGYZMI-BLLLJJGKSA-N 0 3 308.878 4.355 20 0 DIADHN C[C@@H]1CN(C(=O)c2ccc(CN3CCCC3)cc2)c2ccccc21 ZINC000549242752 341289478 /nfs/dbraw/zinc/28/94/78/341289478.db2.gz NKTXVLFRIUEWLG-MRXNPFEDSA-N 0 3 320.436 4.046 20 0 DIADHN Cc1cc(N2CCC[C@@H]2CF)c2cc(OC(F)F)ccc2n1 ZINC000549257465 341289733 /nfs/dbraw/zinc/28/97/33/341289733.db2.gz XKOLAGQUZVTSAD-LLVKDONJSA-N 0 3 310.319 4.083 20 0 DIADHN COc1ccc(CN(C[C@@H](OC)c2ccccc2)C2CC2)cc1 ZINC000549350316 341292018 /nfs/dbraw/zinc/29/20/18/341292018.db2.gz SSGMJWZJCIEWGM-HXUWFJFHSA-N 0 3 311.425 4.047 20 0 DIADHN COCCN(C[C@H](OC)c1ccccc1)[C@H](C)c1ccccc1 ZINC000549349322 341292158 /nfs/dbraw/zinc/29/21/58/341292158.db2.gz XRWCFWNPKVDYKL-XLIONFOSSA-N 0 3 313.441 4.084 20 0 DIADHN Cc1ccc(CN(C)Cc2cccs2)c(-c2cnn(C)c2)c1 ZINC000549382585 341292865 /nfs/dbraw/zinc/29/28/65/341292865.db2.gz FUDUODIAQJXDCL-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCC[C@@H]1C ZINC000245449842 341294846 /nfs/dbraw/zinc/29/48/46/341294846.db2.gz OBLUOMQLPGJMBB-JKSUJKDBSA-N 0 3 308.425 4.165 20 0 DIADHN CO[C@H](C)c1nc(CN2CCC[C@H]2C2CCCCC2)cs1 ZINC000245466379 341295780 /nfs/dbraw/zinc/29/57/80/341295780.db2.gz JEKBKNVOZSYWGS-CJNGLKHVSA-N 0 3 308.491 4.395 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N[C@H](C)[C@H](C)c1ccccc1 ZINC000245480377 341296382 /nfs/dbraw/zinc/29/63/82/341296382.db2.gz XFUNUBOLPIHADE-KSZLIROESA-N 0 3 324.468 4.412 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](C)[C@@H]3C[C@H]3c3ccccc3)nc2c1 ZINC000549626596 341299459 /nfs/dbraw/zinc/29/94/59/341299459.db2.gz UKOKHILBKHDFAL-XIRDDKMYSA-N 0 3 305.425 4.153 20 0 DIADHN Cc1ccc2nc(CN[C@@H](C)[C@@H]3C[C@H]3c3ccccc3)[nH]c2c1 ZINC000549626596 341299460 /nfs/dbraw/zinc/29/94/60/341299460.db2.gz UKOKHILBKHDFAL-XIRDDKMYSA-N 0 3 305.425 4.153 20 0 DIADHN CSc1ccc([C@H](CNc2ncccc2Cl)N(C)C)cc1 ZINC000549683384 341300409 /nfs/dbraw/zinc/30/04/09/341300409.db2.gz AWRXUJRJOQMZLW-HNNXBMFYSA-N 0 3 321.877 4.172 20 0 DIADHN Cc1nocc1CNCC(C)(C)c1ccc(Cl)c(Cl)c1 ZINC000549727373 341301628 /nfs/dbraw/zinc/30/16/28/341301628.db2.gz UNKGPXHBMUJYKE-UHFFFAOYSA-N 0 3 313.228 4.357 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-c3c(F)cccc3F)o2)C[C@H](C)O1 ZINC000245556520 341301643 /nfs/dbraw/zinc/30/16/43/341301643.db2.gz AMINVJWJAMNAOT-CLLJXQQHSA-N 0 3 321.367 4.270 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2ccc(F)cc2)s1 ZINC000549733695 341301797 /nfs/dbraw/zinc/30/17/97/341301797.db2.gz NNTCWUVJULSFOI-UHFFFAOYSA-N 0 3 324.490 4.213 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)NCc1conc1C ZINC000549754423 341302242 /nfs/dbraw/zinc/30/22/42/341302242.db2.gz HDCOFVXAAYNUEG-CQSZACIVSA-N 0 3 313.228 4.401 20 0 DIADHN c1onc(Cc2ccccc2)c1CNC[C@H]1CCc2ccccc21 ZINC000549755519 341302283 /nfs/dbraw/zinc/30/22/83/341302283.db2.gz QLYBQAWJDNDBDP-GOSISDBHSA-N 0 3 318.420 4.085 20 0 DIADHN Clc1ccccc1C1CC(NCc2cn3ccccc3n2)C1 ZINC000549801490 341303259 /nfs/dbraw/zinc/30/32/59/341303259.db2.gz ZKNLYESXTXPJHO-UHFFFAOYSA-N 0 3 311.816 4.024 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000549820929 341304063 /nfs/dbraw/zinc/30/40/63/341304063.db2.gz GWEXIRYHDBMYPY-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1cccc2ncc(CNCc3cc4ccccc4s3)n21 ZINC000549830207 341304322 /nfs/dbraw/zinc/30/43/22/341304322.db2.gz FWSSKBNCHOJUDI-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN CC1(C)CCC[C@@]1(O)CNCc1cnc(C2CCCCC2)s1 ZINC000549855568 341305078 /nfs/dbraw/zinc/30/50/78/341305078.db2.gz VKPMBDOXCDCZAX-GOSISDBHSA-N 0 3 322.518 4.222 20 0 DIADHN CCOCC(C)(C)NCc1ccc(-c2ccccc2OC)cc1 ZINC000549858211 341305117 /nfs/dbraw/zinc/30/51/17/341305117.db2.gz HXDWZVIOBHSGBL-UHFFFAOYSA-N 0 3 313.441 4.267 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)c(C)c1 ZINC000549859316 341305141 /nfs/dbraw/zinc/30/51/41/341305141.db2.gz BKBBIJMRVUYXBN-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cccc(-n2cccn2)c1 ZINC000549869391 341305606 /nfs/dbraw/zinc/30/56/06/341305606.db2.gz MJZFJPSDZKYIQM-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1ccc(N2CCCC2)nc1 ZINC000549868553 341305636 /nfs/dbraw/zinc/30/56/36/341305636.db2.gz ZZRWGRAVRQFKGQ-UHFFFAOYSA-N 0 3 309.457 4.015 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H](C)c1ccc2c(c1)OCO2 ZINC000549928473 341307063 /nfs/dbraw/zinc/30/70/63/341307063.db2.gz RJEJAXOGUVIEGP-CYBMUJFWSA-N 0 3 312.413 4.093 20 0 DIADHN CCc1nocc1CN(C)[C@@H](C)CCSc1ccccc1 ZINC000549961076 341307882 /nfs/dbraw/zinc/30/78/82/341307882.db2.gz YQOUBETZIDFIHR-AWEZNQCLSA-N 0 3 304.459 4.240 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@H]1CCO[C@@H]1c1ccccc1 ZINC000549997326 341308374 /nfs/dbraw/zinc/30/83/74/341308374.db2.gz JLRKWKGQFFLEIS-LEWJYISDSA-N 0 3 324.468 4.387 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccco3)n2)[C@H]2CCC[C@H]21 ZINC000550082720 341309733 /nfs/dbraw/zinc/30/97/33/341309733.db2.gz VZSHPOBWUKYNBQ-KGLIPLIRSA-N 0 3 302.443 4.414 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2ccccc2C)s1 ZINC000550121976 341310338 /nfs/dbraw/zinc/31/03/38/341310338.db2.gz DKAQAPZSDGZRFK-UHFFFAOYSA-N 0 3 320.527 4.382 20 0 DIADHN Cc1cnc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)o1 ZINC000550370628 341315782 /nfs/dbraw/zinc/31/57/82/341315782.db2.gz STKMPJXUTHTWAK-QGZVFWFLSA-N 0 3 306.837 4.383 20 0 DIADHN FC[C@@H]1CCCN1CC/C=C/c1ccc(Br)cc1 ZINC000550390781 341316171 /nfs/dbraw/zinc/31/61/71/341316171.db2.gz ITGLJSFYGREDPP-AWXVTKJVSA-N 0 3 312.226 4.286 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccncc2)C12CCC2 ZINC000245871658 341316791 /nfs/dbraw/zinc/31/67/91/341316791.db2.gz JKWQCCKOMOPKLU-VAMGGRTRSA-N 0 3 322.452 4.108 20 0 DIADHN F[C@H]1CCC[C@H]1NC1CC(c2ccc(Br)cc2)C1 ZINC000551307209 341338044 /nfs/dbraw/zinc/33/80/44/341338044.db2.gz AUCHSGINDPBBIK-RIXNLZLZSA-N 0 3 312.226 4.175 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(C)ccc32)c(C)c1OC ZINC000564371388 341317089 /nfs/dbraw/zinc/31/70/89/341317089.db2.gz QSYMHROEEUFLKM-SFHVURJKSA-N 0 3 311.425 4.098 20 0 DIADHN CC1(C)CN(Cc2coc(-c3ccc(F)cc3)n2)[C@@H]2CCC[C@@H]21 ZINC000550444961 341317178 /nfs/dbraw/zinc/31/71/78/341317178.db2.gz VZCOHPXHJUUWPT-DLBZAZTESA-N 0 3 314.404 4.491 20 0 DIADHN CCCCN(Cc1nncn1-c1ccccc1)C1CCCCC1 ZINC000550524634 341318120 /nfs/dbraw/zinc/31/81/20/341318120.db2.gz ARUOBUFKRIVNDY-UHFFFAOYSA-N 0 3 312.461 4.202 20 0 DIADHN C[C@@H]1CN(Cc2ccccn2)CC[C@H]1Nc1ccc(Cl)cc1 ZINC000245930743 341318807 /nfs/dbraw/zinc/31/88/07/341318807.db2.gz UUYQOFAPEZEFBV-RDTXWAMCSA-N 0 3 315.848 4.058 20 0 DIADHN CCc1ccc(CN[C@H](CC)c2ccc(C(=O)OC)cc2)s1 ZINC000550623080 341319860 /nfs/dbraw/zinc/31/98/60/341319860.db2.gz SYELNPAIBMGTOL-QGZVFWFLSA-N 0 3 317.454 4.338 20 0 DIADHN CCCC[C@@H](CCC)C[NH2+][C@@H](c1cccs1)c1nnc[n-]1 ZINC000550679987 341321237 /nfs/dbraw/zinc/32/12/37/341321237.db2.gz DUYBWRAZONQKTL-HIFRSBDPSA-N 0 3 306.479 4.152 20 0 DIADHN CCCC[C@@H](CCC)CN[C@@H](c1cccs1)c1nnc[nH]1 ZINC000550679987 341321238 /nfs/dbraw/zinc/32/12/38/341321238.db2.gz DUYBWRAZONQKTL-HIFRSBDPSA-N 0 3 306.479 4.152 20 0 DIADHN CN1CC[C@@H](NCc2cc(Cl)ccc2F)[C@@H]1c1ccccc1 ZINC000550685490 341321444 /nfs/dbraw/zinc/32/14/44/341321444.db2.gz XQNWMZKJNYKGGH-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccncc1F)Oc1cccc(C)c1 ZINC000550700371 341321603 /nfs/dbraw/zinc/32/16/03/341321603.db2.gz GIRCWRAMXPBUBM-GJZGRUSLSA-N 0 3 302.393 4.037 20 0 DIADHN CN1CC[C@@H](NCc2ccc(F)cc2Cl)[C@H]1c1ccccc1 ZINC000550713159 341321872 /nfs/dbraw/zinc/32/18/72/341321872.db2.gz WHQNLTVUKPDUAK-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)C[C@@H]1CCC(F)(F)C1 ZINC000550708212 341321945 /nfs/dbraw/zinc/32/19/45/341321945.db2.gz DVCDTTPFPXVGHW-OAHLLOKOSA-N 0 3 324.415 4.081 20 0 DIADHN C[C@H](NCCc1c(Cl)cccc1Cl)c1ccncc1F ZINC000550885750 341325445 /nfs/dbraw/zinc/32/54/45/341325445.db2.gz LDQWXFOZSLSQFF-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cccc(OC(C)C)c2)ccn1 ZINC000550892770 341325958 /nfs/dbraw/zinc/32/59/58/341325958.db2.gz SWWNIDXPZSAKLM-GJZGRUSLSA-N 0 3 314.429 4.289 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2cccc(F)c2)C1)c1ccncc1F ZINC000550899473 341326259 /nfs/dbraw/zinc/32/62/59/341326259.db2.gz WDIBGCKWMZBWFL-DUVNUKRYSA-N 0 3 302.368 4.347 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1F)c1ccc(OCC(F)(F)F)cc1 ZINC000550932608 341326663 /nfs/dbraw/zinc/32/66/63/341326663.db2.gz LXZPKVXHNWNZKP-HONMWMINSA-N 0 3 305.315 4.169 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1F)c1ccc(OCC(F)(F)F)cc1 ZINC000550932593 341326691 /nfs/dbraw/zinc/32/66/91/341326691.db2.gz LXZPKVXHNWNZKP-GDLCADMTSA-N 0 3 305.315 4.169 20 0 DIADHN C[C@H](NCC(C)(C)c1c(Cl)cccc1Cl)c1cn[nH]c1 ZINC000550937509 341326860 /nfs/dbraw/zinc/32/68/60/341326860.db2.gz IZGIUULBQFIFBE-JTQLQIEISA-N 0 3 312.244 4.345 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccncc1F ZINC000550927707 341326955 /nfs/dbraw/zinc/32/69/55/341326955.db2.gz DETQVTAHHFIQQI-ZTFGCOKTSA-N 0 3 308.441 4.245 20 0 DIADHN COc1cc([C@H](C)N[C@H](c2ccc(F)cc2)C(C)C)ccn1 ZINC000550932145 341327172 /nfs/dbraw/zinc/32/71/72/341327172.db2.gz UCVKSFMCYKPNKZ-UGSOOPFHSA-N 0 3 302.393 4.277 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2cc(C)c(O)c(C)c2)o1 ZINC000550954536 341327752 /nfs/dbraw/zinc/32/77/52/341327752.db2.gz VFUDWOBELRYTOY-KRWDZBQOSA-N 0 3 315.413 4.086 20 0 DIADHN CC[C@H](NCc1cccc(Cl)c1)c1ccc(C(=O)OC)cc1 ZINC000550978716 341328237 /nfs/dbraw/zinc/32/82/37/341328237.db2.gz UUQCVUBHQRNYMN-KRWDZBQOSA-N 0 3 317.816 4.368 20 0 DIADHN CN(CCc1cscn1)C1c2ccccc2-c2ccccc21 ZINC000551068806 341330993 /nfs/dbraw/zinc/33/09/93/341330993.db2.gz JRACMKKJQCGJKK-UHFFFAOYSA-N 0 3 306.434 4.387 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)n1)c1ccc(F)c2ccccc21 ZINC000551085945 341331215 /nfs/dbraw/zinc/33/12/15/341331215.db2.gz JVSSIAGSOFOUBZ-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN FC1(F)CC[C@@H](CN2CCC[C@H]2c2cccc3c2OCCO3)C1 ZINC000551094311 341331490 /nfs/dbraw/zinc/33/14/90/341331490.db2.gz XVWLLTFEYDEUCK-HIFRSBDPSA-N 0 3 323.383 4.030 20 0 DIADHN COc1cc(F)ccc1N[C@@H]1CCN(C)[C@H](c2ccccc2)C1 ZINC000551125107 341332092 /nfs/dbraw/zinc/33/20/92/341332092.db2.gz KCRVPDTUOCDUTI-AEFFLSMTSA-N 0 3 314.404 4.082 20 0 DIADHN CSC[C@@H](O)CN(CC(C)C)[C@H](C)c1ccccc1Cl ZINC000551716387 341349954 /nfs/dbraw/zinc/34/99/54/341349954.db2.gz SKPIUHRTFIFIFY-KGLIPLIRSA-N 0 3 315.910 4.083 20 0 DIADHN COCC1(NC/C(C)=C/c2ccccc2C(F)(F)F)CCC1 ZINC000551214717 341334226 /nfs/dbraw/zinc/33/42/26/341334226.db2.gz BYUQWLYPTFEAIY-JLHYYAGUSA-N 0 3 313.363 4.267 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@H](C)c1ccc2ccccc2c1 ZINC000551221650 341334301 /nfs/dbraw/zinc/33/43/01/341334301.db2.gz FASBRMNVXKIAKU-JKSUJKDBSA-N 0 3 312.457 4.043 20 0 DIADHN Cc1cccc(NC(=O)C[C@@H](C)NCc2coc3ccccc23)c1 ZINC000551240530 341335016 /nfs/dbraw/zinc/33/50/16/341335016.db2.gz MQNYYRZXZPKTIO-OAHLLOKOSA-N 0 3 322.408 4.248 20 0 DIADHN C[C@@H](NC[C@]1(C)C[C@H]1c1ccccc1)c1nc2ccccc2n1C ZINC000551241753 341335190 /nfs/dbraw/zinc/33/51/90/341335190.db2.gz NKMGLJBGXDHUHG-KUDFPVQQSA-N 0 3 319.452 4.418 20 0 DIADHN COC1(CCN[C@@H](C)c2ccccc2OC(F)(F)F)CCC1 ZINC000551246677 341335448 /nfs/dbraw/zinc/33/54/48/341335448.db2.gz VUPVAKHBZCAOMG-LBPRGKRZSA-N 0 3 317.351 4.195 20 0 DIADHN C[C@@H](NCCc1ccccc1C(F)(F)F)c1ccncc1F ZINC000551266883 341336271 /nfs/dbraw/zinc/33/62/71/341336271.db2.gz HDBADNJYBQXOSX-LLVKDONJSA-N 0 3 312.310 4.133 20 0 DIADHN COc1ccc([C@@H](N[C@H]2COCc3ccccc32)C(C)C)cc1 ZINC000551267936 341336283 /nfs/dbraw/zinc/33/62/83/341336283.db2.gz XXWJELNSQODXLI-PMACEKPBSA-N 0 3 311.425 4.253 20 0 DIADHN Cn1cc([C@@H](NC[C@@H]2C[C@H]2C2CCCCC2)c2ccccc2)cn1 ZINC000551275836 341336913 /nfs/dbraw/zinc/33/69/13/341336913.db2.gz ZAOSWRZKLKOPFM-JBACZVJFSA-N 0 3 323.484 4.316 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](Cc2ccccc2)C1)c1cn2ccccc2n1 ZINC000551284025 341337037 /nfs/dbraw/zinc/33/70/37/341337037.db2.gz YJXUGFHAMRLWMQ-UHOSZYNNSA-N 0 3 319.452 4.396 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@@H]1CCCc2c(O)cccc21 ZINC000551287013 341337297 /nfs/dbraw/zinc/33/72/97/341337297.db2.gz ZFKMVGBTDJXKDC-LJQANCHMSA-N 0 3 324.468 4.404 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@H](c1ccc(Br)s1)C1CC1 ZINC000551307175 341338012 /nfs/dbraw/zinc/33/80/12/341338012.db2.gz AOBIVQAQQCGXOO-CWSCBRNRSA-N 0 3 318.255 4.442 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@@H](c1ccc(Br)s1)C1CC1 ZINC000551306892 341338058 /nfs/dbraw/zinc/33/80/58/341338058.db2.gz AOBIVQAQQCGXOO-GBIKHYSHSA-N 0 3 318.255 4.442 20 0 DIADHN Cc1ccc([C@@H](C)NCCC(=O)Nc2cccc(Cl)c2C)o1 ZINC000152669933 341339214 /nfs/dbraw/zinc/33/92/14/341339214.db2.gz MENFCGYLOPGQPS-CYBMUJFWSA-N 0 3 320.820 4.229 20 0 DIADHN COc1ccc(CNC2(c3cccc(C(F)(F)F)c3)CC2)cc1 ZINC000551395547 341340514 /nfs/dbraw/zinc/34/05/14/341340514.db2.gz TTYWJIZWCMLBAK-UHFFFAOYSA-N 0 3 321.342 4.493 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1CN(C)C)c1ccc(F)cc1 ZINC000551422206 341341233 /nfs/dbraw/zinc/34/12/33/341341233.db2.gz YJXBFGDUWZVVRU-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2csc3ccccc23)CC1 ZINC000551419103 341341251 /nfs/dbraw/zinc/34/12/51/341341251.db2.gz RRPPXYSSZHEDLN-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CC(C)(C)CSC[C@@H]1CN(Cc2ccccc2)CCCO1 ZINC000551458249 341342162 /nfs/dbraw/zinc/34/21/62/341342162.db2.gz RQKMCAJGFCZSRR-KRWDZBQOSA-N 0 3 307.503 4.057 20 0 DIADHN Cc1cc([C@@H](NCCCCO)c2cccc(Cl)c2)c(C)s1 ZINC000564380451 341343049 /nfs/dbraw/zinc/34/30/49/341343049.db2.gz MWDVDWHYNMDYGA-KRWDZBQOSA-N 0 3 323.889 4.470 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCC[C@@H]2NCc2ccon2)c1 ZINC000551521553 341343815 /nfs/dbraw/zinc/34/38/15/341343815.db2.gz KDWREAXYNAECNB-GJZGRUSLSA-N 0 3 310.319 4.119 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)N[C@@H](C)c2nc(C)cs2)cc1 ZINC000551534837 341344468 /nfs/dbraw/zinc/34/44/68/341344468.db2.gz RGVJKUPBDQIESC-WRFANHODSA-N 0 3 316.470 4.303 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)N[C@H](C)c2nc(C)cs2)cc1 ZINC000551534832 341344522 /nfs/dbraw/zinc/34/45/22/341344522.db2.gz RGVJKUPBDQIESC-BQGCOEIASA-N 0 3 316.470 4.303 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCC[C@@H]3c3ccc(F)cc3)cn2c1 ZINC000551537058 341344684 /nfs/dbraw/zinc/34/46/84/341344684.db2.gz ZRMJIOZXHQTDAP-MOPGFXCFSA-N 0 3 323.415 4.208 20 0 DIADHN CC(C)C(CN[C@H](c1cnn(C)c1)c1ccc(F)cc1)C(C)C ZINC000551552302 341345048 /nfs/dbraw/zinc/34/50/48/341345048.db2.gz KSUAVHAARBDXCQ-IBGZPJMESA-N 0 3 317.452 4.166 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551557755 341345264 /nfs/dbraw/zinc/34/52/64/341345264.db2.gz ICEXPYOKNYCJOS-YJBOKZPZSA-N 0 3 309.457 4.152 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1cnc(C(C)(C)C)nc1 ZINC000551558347 341345530 /nfs/dbraw/zinc/34/55/30/341345530.db2.gz DTODHLUJYPFINK-SFHVURJKSA-N 0 3 311.473 4.227 20 0 DIADHN CC(C)[C@@H](NCc1cnc2n1CCCC2)c1ccc(Cl)cc1 ZINC000551574739 341346179 /nfs/dbraw/zinc/34/61/79/341346179.db2.gz OUZYKNCNSRZUNL-GOSISDBHSA-N 0 3 317.864 4.360 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)[C@H]1CCOC1 ZINC000246383410 341346514 /nfs/dbraw/zinc/34/65/14/341346514.db2.gz ACQCMPWXOGMVFH-UTLUCORTSA-N 0 3 306.208 4.208 20 0 DIADHN C[C@H]1OCC[C@]1(C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551593610 341346831 /nfs/dbraw/zinc/34/68/31/341346831.db2.gz NWZKLXBPZUEZHL-BZNIZROVSA-N 0 3 322.861 4.121 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1cn2cc(C)ccc2n1 ZINC000551595639 341346833 /nfs/dbraw/zinc/34/68/33/341346833.db2.gz GXPFRYCSPICNIM-UHFFFAOYSA-N 0 3 319.408 4.030 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)[C@H]2COc3ccccc32)c(F)c1 ZINC000246415999 341347913 /nfs/dbraw/zinc/34/79/13/341347913.db2.gz MWJYEQGORGGARV-OGHNNQOOSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)c(F)c(F)c1)[C@H]1COc2ccccc21 ZINC000246436023 341348746 /nfs/dbraw/zinc/34/87/46/341348746.db2.gz OEUSBWRMRYCMMP-MISXGVKJSA-N 0 3 321.342 4.319 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccc(Cl)c(F)c1)c1ccco1 ZINC000246442278 341348967 /nfs/dbraw/zinc/34/89/67/341348967.db2.gz OQRGPJKLUMFNKE-CXMBCZLWSA-N 0 3 322.811 4.168 20 0 DIADHN Cc1cnn(CCN[C@H](c2oc3ccccc3c2C)C(C)C)c1 ZINC000551704179 341349462 /nfs/dbraw/zinc/34/94/62/341349462.db2.gz PNLYUBIFDIRRBW-SFHVURJKSA-N 0 3 311.429 4.233 20 0 DIADHN CN(Cc1c(OC(F)F)ccc2ccccc21)[C@H]1CCCOC1 ZINC000564382340 341350175 /nfs/dbraw/zinc/35/01/75/341350175.db2.gz RYKGJJJDHXLOMT-AWEZNQCLSA-N 0 3 321.367 4.052 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000246483070 341350467 /nfs/dbraw/zinc/35/04/67/341350467.db2.gz RKKFEGLHJMMCFD-GRCBOLBRSA-N 0 3 317.864 4.430 20 0 DIADHN COc1ncc([C@H](C)N[C@H](C)Cc2ccccc2F)cc1Cl ZINC000551787963 341351470 /nfs/dbraw/zinc/35/14/70/341351470.db2.gz QHBKDPOPHDIQOZ-NEPJUHHUSA-N 0 3 322.811 4.165 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2CCc3cc(C)ccc32)cc1Cl ZINC000551789152 341351517 /nfs/dbraw/zinc/35/15/17/341351517.db2.gz NPWFJRSFPQKRTG-SJCJKPOMSA-N 0 3 316.832 4.390 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC(F)(c2cccnc2)CC1 ZINC000551823074 341352969 /nfs/dbraw/zinc/35/29/69/341352969.db2.gz BBCKIVUMCYVZAH-VKAVYKQESA-N 0 3 310.416 4.446 20 0 DIADHN COCCOc1ccc(CN2CCC=C(c3ccccc3)C2)cc1 ZINC000551827505 341353204 /nfs/dbraw/zinc/35/32/04/341353204.db2.gz UBVKZOLPOXPSOE-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@H](C)c2nc3c(s2)CCCC3)C1 ZINC000246582119 341353891 /nfs/dbraw/zinc/35/38/91/341353891.db2.gz YSOMUGYCQHTAFF-FRRDWIJNSA-N 0 3 310.532 4.347 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1c(F)cccc1F ZINC000246719908 341356308 /nfs/dbraw/zinc/35/63/08/341356308.db2.gz NORFVDXIVJFNHP-SGMGOOAPSA-N 0 3 303.352 4.180 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1COc2ccc(C)cc21 ZINC000552004056 341359018 /nfs/dbraw/zinc/35/90/18/341359018.db2.gz OVKXKTDIGIFFEZ-NXZBIGBUSA-N 0 3 323.436 4.268 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1cccc(Cl)c1)[C@@H]1CCOC1 ZINC000247018579 341359981 /nfs/dbraw/zinc/35/99/81/341359981.db2.gz MRHUABSOUHRVCX-POZUXBRTSA-N 0 3 315.844 4.444 20 0 DIADHN c1c[nH]c([C@H](NCCc2c[nH]c3ccccc23)C2CCCCC2)n1 ZINC000552034858 341363743 /nfs/dbraw/zinc/36/37/43/341363743.db2.gz KVWUTJDWMILEAU-LJQANCHMSA-N 0 3 322.456 4.345 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000247414618 341364467 /nfs/dbraw/zinc/36/44/67/341364467.db2.gz CXJXKUCFSYVPNL-SMDDNHRTSA-N 0 3 310.869 4.262 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1c(C)cccc1C(C)C ZINC000247417466 341364478 /nfs/dbraw/zinc/36/44/78/341364478.db2.gz DELJGNZJZNXGQQ-GOEBONIOSA-N 0 3 304.478 4.423 20 0 DIADHN FCC[C@H]1CCC[C@H]1NCc1c(F)cccc1OC(F)F ZINC000552056828 341365621 /nfs/dbraw/zinc/36/56/21/341365621.db2.gz UVTRQACTNNZSQK-ZWNOBZJWSA-N 0 3 305.315 4.045 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000552059969 341365992 /nfs/dbraw/zinc/36/59/92/341365992.db2.gz AUTCVWIVUIWQAB-MRXNPFEDSA-N 0 3 321.399 4.205 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@@H](C)c1cc(C)ccn1 ZINC000552061402 341366078 /nfs/dbraw/zinc/36/60/78/341366078.db2.gz JMJICUQFULOUCM-AWEZNQCLSA-N 0 3 318.848 4.335 20 0 DIADHN CC(C)CSCCCN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000552061434 341366093 /nfs/dbraw/zinc/36/60/93/341366093.db2.gz LIAMOYSDWUXJAO-INIZCTEOSA-N 0 3 309.523 4.400 20 0 DIADHN CC1(C)CN(CCCc2ccc3c(c2)CCO3)[C@H]1c1ccncc1 ZINC000552064432 341366603 /nfs/dbraw/zinc/36/66/03/341366603.db2.gz OFWSQCLPSSAAAI-FQEVSTJZSA-N 0 3 322.452 4.032 20 0 DIADHN CC(C)C1CCN(Cc2ccc(Oc3cnccn3)cc2)CC1 ZINC000552064438 341366615 /nfs/dbraw/zinc/36/66/15/341366615.db2.gz OPIDEVHYKWVIDL-UHFFFAOYSA-N 0 3 311.429 4.137 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2cc(C)ccn2)CCC1 ZINC000552065809 341366840 /nfs/dbraw/zinc/36/68/40/341366840.db2.gz OSLGGKDJZBRWKZ-MRXNPFEDSA-N 0 3 310.441 4.171 20 0 DIADHN COc1ccc2ccccc2c1CN(C)CCCOC(C)C ZINC000552068730 341367111 /nfs/dbraw/zinc/36/71/11/341367111.db2.gz JIHLCZNUXGAFQA-UHFFFAOYSA-N 0 3 301.430 4.095 20 0 DIADHN CC(C)OCCCN(C)Cc1cnc(-c2ccccc2)s1 ZINC000552071471 341367375 /nfs/dbraw/zinc/36/73/75/341367375.db2.gz HMJAWLBFTOMCCM-UHFFFAOYSA-N 0 3 304.459 4.057 20 0 DIADHN CC(C)c1cnc(CN[C@H]2C[C@H](c3ccc(F)cc3)C2)s1 ZINC000552071379 341367508 /nfs/dbraw/zinc/36/75/08/341367508.db2.gz AETINUMBPHFMFA-CTYIDZIISA-N 0 3 304.434 4.441 20 0 DIADHN OCC1([C@@H](NCc2ccc3c(c2)CCC3)c2ccccc2)CCC1 ZINC000552085863 341368350 /nfs/dbraw/zinc/36/83/50/341368350.db2.gz OXZGIZXZOIEIMU-NRFANRHFSA-N 0 3 321.464 4.169 20 0 DIADHN CC(C)Cc1cccc([C@H](C)NCc2cnn3ccccc23)c1 ZINC000552089541 341368888 /nfs/dbraw/zinc/36/88/88/341368888.db2.gz NBIKHRZYORKIDC-INIZCTEOSA-N 0 3 307.441 4.384 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H](c1nccn1C)C1CC1 ZINC000552090426 341369023 /nfs/dbraw/zinc/36/90/23/341369023.db2.gz JQKFONKRUHTXOP-RBUKOAKNSA-N 0 3 311.473 4.262 20 0 DIADHN C[C@H](NCC1(c2ccccn2)CCC1)c1cc(F)c(F)c(F)c1 ZINC000552097854 341369557 /nfs/dbraw/zinc/36/95/57/341369557.db2.gz OYUHTYHZMMELNU-LBPRGKRZSA-N 0 3 320.358 4.271 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)C[C@H]1CCCO1 ZINC000247793062 341370281 /nfs/dbraw/zinc/37/02/81/341370281.db2.gz ZIJLDRBOTCSPEU-GOEBONIOSA-N 0 3 316.470 4.290 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC2(CCOCC2)[C@@H]1C1CC1 ZINC000552129757 341371642 /nfs/dbraw/zinc/37/16/42/341371642.db2.gz JILITTGDEMFOGL-SFHVURJKSA-N 0 3 319.876 4.348 20 0 DIADHN COc1ccsc1CN1CC[C@H](Oc2ccc(Cl)cc2)C1 ZINC000552129670 341371693 /nfs/dbraw/zinc/37/16/93/341371693.db2.gz DMUMNYDJDCVPOM-AWEZNQCLSA-N 0 3 323.845 4.063 20 0 DIADHN C[C@@H](N[C@@H]1CC[C@@H](C)c2ccccc21)c1ccc(NC(N)=O)cc1 ZINC000247985031 341371825 /nfs/dbraw/zinc/37/18/25/341371825.db2.gz ITHWFIIUPNBBMC-PJIJBLCYSA-N 0 3 323.440 4.466 20 0 DIADHN Cc1ccccc1CN(C)Cc1cc(Cl)c2c(c1)OCCO2 ZINC000248161578 341374228 /nfs/dbraw/zinc/37/42/28/341374228.db2.gz PAJGCEYPSKMKSS-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN COc1cccc([C@@H]2CCCN2CCCOc2ccccc2)c1 ZINC000248185872 341374588 /nfs/dbraw/zinc/37/45/88/341374588.db2.gz HLINYQHSRHMNIT-FQEVSTJZSA-N 0 3 311.425 4.301 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@@H]1CCCC[C@H]1C ZINC000248527609 341379105 /nfs/dbraw/zinc/37/91/05/341379105.db2.gz HXJBQZXEYAHXQP-RHSMWYFYSA-N 0 3 302.462 4.006 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCC1(C(F)(F)F)CC1 ZINC000552243234 341380761 /nfs/dbraw/zinc/38/07/61/341380761.db2.gz SPODHZMRYJLZGG-LCYFTJDESA-N 0 3 313.363 4.168 20 0 DIADHN Cc1ccc([C@H](CN[C@@H](C)c2cc(C)ccn2)N2CCCC2)cc1 ZINC000552246018 341381042 /nfs/dbraw/zinc/38/10/42/341381042.db2.gz FTLRSQLOOKWYJD-RXVVDRJESA-N 0 3 323.484 4.186 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCCc2ccccc2Cl)c1 ZINC000552248630 341381245 /nfs/dbraw/zinc/38/12/45/341381245.db2.gz NOKRQHYOJOATEW-LLVKDONJSA-N 0 3 323.845 4.081 20 0 DIADHN CC[C@H](NCc1ccc(OCC2CC2)nc1)c1cccc(C)c1 ZINC000552249673 341381339 /nfs/dbraw/zinc/38/13/39/341381339.db2.gz HLIBWNKNSMBEAO-IBGZPJMESA-N 0 3 310.441 4.420 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2ccsc2)no1)C1CC1 ZINC000552254289 341381682 /nfs/dbraw/zinc/38/16/82/341381682.db2.gz WTAMCIGDIZOLBZ-NWDGAFQWSA-N 0 3 305.447 4.197 20 0 DIADHN C[C@H](NCC1(c2ccc(Cl)cc2Cl)CCC1)c1ncc[nH]1 ZINC000552275118 341383114 /nfs/dbraw/zinc/38/31/14/341383114.db2.gz LTWPYDCQEKOAME-NSHDSACASA-N 0 3 324.255 4.489 20 0 DIADHN COc1ccc([C@H](C)CN2CC(C)(C)[C@H]2c2ccncc2)cc1 ZINC000552279387 341383609 /nfs/dbraw/zinc/38/36/09/341383609.db2.gz DWAHLECWKRWNNA-DNVCBOLYSA-N 0 3 310.441 4.277 20 0 DIADHN FCC[C@H]1CCC[C@@H]1Nc1cccc(CN2CCSCC2)c1 ZINC000552288426 341384421 /nfs/dbraw/zinc/38/44/21/341384421.db2.gz VUSCCEMHYLPNKR-AEFFLSMTSA-N 0 3 322.493 4.176 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cnc(C4CC4)s3)C2)cc1 ZINC000552292049 341384716 /nfs/dbraw/zinc/38/47/16/341384716.db2.gz CXIKQYBDMWQXJR-CTYIDZIISA-N 0 3 302.418 4.195 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1cncc(C)c1 ZINC000552317401 341386074 /nfs/dbraw/zinc/38/60/74/341386074.db2.gz AGGNHGFHPMDOEY-KRZXBLKESA-N 0 3 324.468 4.392 20 0 DIADHN COc1cc([C@H](C)NCc2ccc3[nH]c(C)cc3c2)ccc1F ZINC000552323487 341386657 /nfs/dbraw/zinc/38/66/57/341386657.db2.gz JOEAJQUQPNOAFT-ZDUSSCGKSA-N 0 3 312.388 4.475 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1sccc1Br ZINC000087667957 341394418 /nfs/dbraw/zinc/39/44/18/341394418.db2.gz FDQVVVHPKGPVGY-RKDXNWHRSA-N 0 3 306.294 4.057 20 0 DIADHN Cc1ccc(CC[C@@H](C)N[C@@H](C)c2c(F)cncc2F)cc1 ZINC000552329602 341387004 /nfs/dbraw/zinc/38/70/04/341387004.db2.gz WALSRZPPSKRYSN-KGLIPLIRSA-N 0 3 304.384 4.340 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1 ZINC000552339847 341387767 /nfs/dbraw/zinc/38/77/67/341387767.db2.gz FSDIUWNVGDQIHN-OAHLLOKOSA-N 0 3 314.498 4.466 20 0 DIADHN c1csc([C@H]2CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)n1 ZINC000248831300 341388031 /nfs/dbraw/zinc/38/80/31/341388031.db2.gz LFQPFSUGXYYOPN-JKSUJKDBSA-N 0 3 320.502 4.204 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000248881134 341389207 /nfs/dbraw/zinc/38/92/07/341389207.db2.gz KPYFTJZWHQCMTD-SNRMKQJTSA-N 0 3 311.425 4.415 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1N[C@H]1CCCc2c1cnn2C ZINC000248886996 341389483 /nfs/dbraw/zinc/38/94/83/341389483.db2.gz SGAHJKXIINOOHW-LRQRDZAKSA-N 0 3 323.484 4.347 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H](C)N2CCC[C@@H](C)C2)cs1 ZINC000249009168 341391950 /nfs/dbraw/zinc/39/19/50/341391950.db2.gz OICKZXVVIXYMQA-KFWWJZLASA-N 0 3 309.523 4.038 20 0 DIADHN CC1(C)CN(Cc2c(C3CC3)nc3sccn23)[C@@H]2CCC[C@H]21 ZINC000552410247 341392209 /nfs/dbraw/zinc/39/22/09/341392209.db2.gz PTFBVNXCIMWZJR-ZIAGYGMSSA-N 0 3 315.486 4.284 20 0 DIADHN Cc1ccc(C)c(OCCCN(Cc2cccnc2)C2CC2)c1 ZINC000552464729 341394922 /nfs/dbraw/zinc/39/49/22/341394922.db2.gz QKSCKKLIZUFGQP-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H]2CCC[C@@H](C(F)(F)F)C2)o1 ZINC000249188537 341395136 /nfs/dbraw/zinc/39/51/36/341395136.db2.gz MGJBQOREUKEFAH-DYEKYZERSA-N 0 3 319.367 4.240 20 0 DIADHN C[C@H](C1CC1)N(C)CC(=O)Nc1ccc(Oc2ccccc2)cc1 ZINC000552494019 341396337 /nfs/dbraw/zinc/39/63/37/341396337.db2.gz SVRXMJIBVCODKR-OAHLLOKOSA-N 0 3 324.424 4.148 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cnccc1C)Oc1cccc(F)c1 ZINC000552522303 341397308 /nfs/dbraw/zinc/39/73/08/341397308.db2.gz OBUFHGHJNDWKTO-GOEBONIOSA-N 0 3 302.393 4.037 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H]1C)c1ccccc1OCc1ccccc1 ZINC000552536573 341397864 /nfs/dbraw/zinc/39/78/64/341397864.db2.gz KPECCTXNQTWTKY-GJYPPUQNSA-N 0 3 311.425 4.094 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCCOc1ccc(F)cc1 ZINC000552536407 341397957 /nfs/dbraw/zinc/39/79/57/341397957.db2.gz DVFSKHVXQPECIB-LBPRGKRZSA-N 0 3 322.449 4.444 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2C[C@@H](O)CC(F)(F)F)o1 ZINC000249508502 341398470 /nfs/dbraw/zinc/39/84/70/341398470.db2.gz ZDQRTSYYKKXEMF-GXTWGEPZSA-N 0 3 319.367 4.072 20 0 DIADHN C[C@H](N[C@H](CO)CC(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000552579701 341399633 /nfs/dbraw/zinc/39/96/33/341399633.db2.gz GZZBLHUOQRPXSQ-GUYCJALGSA-N 0 3 323.358 4.318 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCO)c1ccc(Cl)cc1Cl ZINC000249772479 341400814 /nfs/dbraw/zinc/40/08/14/341400814.db2.gz BUNURBPFJZFWEJ-ZIBATOQPSA-N 0 3 302.245 4.195 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2C[C@@H]3OCCC[C@@H]23)c1 ZINC000249845584 341401426 /nfs/dbraw/zinc/40/14/26/341401426.db2.gz OKMBMMGDJPAGCC-YUTCNCBUSA-N 0 3 319.754 4.016 20 0 DIADHN CCOCCC1(CN[C@@H](C)c2ccc(OC)cc2F)CCC1 ZINC000090457610 341415901 /nfs/dbraw/zinc/41/59/01/341415901.db2.gz HLSZHMDUUMSITJ-AWEZNQCLSA-N 0 3 309.425 4.082 20 0 DIADHN COC[C@H](N[C@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000090718000 341418686 /nfs/dbraw/zinc/41/86/86/341418686.db2.gz ZHLORPWUCMCYOP-RNCFNFMXSA-N 0 3 319.779 4.017 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2cnc3ccsc3c2)c1 ZINC000090723817 341418734 /nfs/dbraw/zinc/41/87/34/341418734.db2.gz YAKJFMCVIPINOL-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@@H](C)c1ccccn1 ZINC000090881635 341419989 /nfs/dbraw/zinc/41/99/89/341419989.db2.gz HVUKHXKIITWYJA-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)NCc1cnn(C)c1C ZINC000090887780 341420092 /nfs/dbraw/zinc/42/00/92/341420092.db2.gz NUCRUSMEGYZEPF-HNNXBMFYSA-N 0 3 323.893 4.042 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)OC)c1)c1cccc(Cl)c1 ZINC000553209244 341420183 /nfs/dbraw/zinc/42/01/83/341420183.db2.gz WFLYWWATRLKDJE-QGZVFWFLSA-N 0 3 317.816 4.368 20 0 DIADHN COc1ccccc1[C@H](N[C@@H]1CCCC[C@@H]1C)c1ccccn1 ZINC000090913619 341420220 /nfs/dbraw/zinc/42/02/20/341420220.db2.gz RMDVXSCUQZGUHT-VPWXQRGCSA-N 0 3 310.441 4.348 20 0 DIADHN CC(C)[C@H](NCc1ccnn1C)c1ccc(Cl)c(Cl)c1 ZINC000091284381 341424053 /nfs/dbraw/zinc/42/40/53/341424053.db2.gz LHVKHRDXLWAEMJ-HNNXBMFYSA-N 0 3 312.244 4.214 20 0 DIADHN CC(C)[C@@H](NCc1cnn(C)c1)c1ccc(Cl)c(Cl)c1 ZINC000091306068 341424626 /nfs/dbraw/zinc/42/46/26/341424626.db2.gz WPLWPQBGGZSRGC-OAHLLOKOSA-N 0 3 312.244 4.214 20 0 DIADHN c1ccc([C@H](NCc2ccc(OCC3CC3)nc2)C2CC2)cc1 ZINC000091314460 341424678 /nfs/dbraw/zinc/42/46/78/341424678.db2.gz ZYKCNHSUKUKVMV-FQEVSTJZSA-N 0 3 308.425 4.111 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2)c1ccc2ccccc2n1 ZINC000252734659 341430350 /nfs/dbraw/zinc/43/03/50/341430350.db2.gz YTYDIWUVIAKUHI-YDGZCJQPSA-N 0 3 322.452 4.233 20 0 DIADHN C[C@@H](N[C@@H](C)C[C@H](C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000252770977 341431749 /nfs/dbraw/zinc/43/17/49/341431749.db2.gz UEIGTPBHWBFMIQ-XHNCKOQMSA-N 0 3 310.652 4.457 20 0 DIADHN COC[C@@H](NCCCO[C@@H](C)c1ccccc1)c1ccccc1 ZINC000554050466 341441801 /nfs/dbraw/zinc/44/18/01/341441801.db2.gz OKYOEILXIPGLNC-FXAWDEMLSA-N 0 3 313.441 4.132 20 0 DIADHN C[C@@H](NCCC1(F)CCC1)c1nc2ccc(Cl)cc2n1C ZINC000554086778 341443195 /nfs/dbraw/zinc/44/31/95/341443195.db2.gz PQLMLTOZZHDNRV-LLVKDONJSA-N 0 3 309.816 4.160 20 0 DIADHN C[C@H](NCCC1(F)CCC1)c1ccccc1Br ZINC000554088281 341443594 /nfs/dbraw/zinc/44/35/94/341443594.db2.gz ISKMMUHWCQBMEZ-NSHDSACASA-N 0 3 300.215 4.382 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1NCc1cncc(F)c1 ZINC000554264859 341448016 /nfs/dbraw/zinc/44/80/16/341448016.db2.gz QEWDNBHJASSCRQ-IEBWSBKVSA-N 0 3 314.404 4.009 20 0 DIADHN COc1cc([C@H](C)NCCSc2ccc(Cl)cc2)ccn1 ZINC000554311594 341449447 /nfs/dbraw/zinc/44/94/47/341449447.db2.gz NPPHLMGBNRVVIU-LBPRGKRZSA-N 0 3 322.861 4.187 20 0 DIADHN COC[C@@H](NC[C@@H](OC)c1cccc(Cl)c1)c1ccccc1 ZINC000554369639 341451580 /nfs/dbraw/zinc/45/15/80/341451580.db2.gz NIEIRVLTWVMYGR-QZTJIDSGSA-N 0 3 319.832 4.005 20 0 DIADHN CC1=C[C@H](C)C[C@H](CN[C@H](c2nc(C3CC3)no2)C(C)C)C1 ZINC000554972536 341470964 /nfs/dbraw/zinc/47/09/64/341470964.db2.gz OCXSEEUBAKYHTM-NOLJZWGESA-N 0 3 303.450 4.226 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cncc(F)c2)C(C)C)cc1 ZINC000163059388 341470973 /nfs/dbraw/zinc/47/09/73/341470973.db2.gz BZCMFFWHKUKYCF-SCLBCKFNSA-N 0 3 302.393 4.277 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CCCC1)c1nccs1 ZINC000093398621 341470991 /nfs/dbraw/zinc/47/09/91/341470991.db2.gz GIQJUUKXMDKJKM-CYBMUJFWSA-N 0 3 304.434 4.445 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cncc(F)c2)C(C)C)cc1 ZINC000163059629 341471003 /nfs/dbraw/zinc/47/10/03/341471003.db2.gz BZCMFFWHKUKYCF-FZKQIMNGSA-N 0 3 302.393 4.277 20 0 DIADHN CC1=C[C@H](C)C[C@@H](CN[C@H](c2nc(C3CC3)no2)C(C)C)C1 ZINC000554972531 341471008 /nfs/dbraw/zinc/47/10/08/341471008.db2.gz OCXSEEUBAKYHTM-BJJXKVORSA-N 0 3 303.450 4.226 20 0 DIADHN OC[C@@H](CNCc1cscc1C(F)(F)F)CC1CCCC1 ZINC000554979616 341471294 /nfs/dbraw/zinc/47/12/94/341471294.db2.gz WCTHVMSUBCKKGH-GFCCVEGCSA-N 0 3 321.408 4.045 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@H](C)[C@H]1COc2ccccc21 ZINC000555423740 341482613 /nfs/dbraw/zinc/48/26/13/341482613.db2.gz QESNCXPNBXNLMC-CRAIPNDOSA-N 0 3 324.468 4.422 20 0 DIADHN COC1(CCN2CCC(c3c(F)cccc3F)CC2)CCC1 ZINC000555427881 341482831 /nfs/dbraw/zinc/48/28/31/341482831.db2.gz SILAJPYLNKNATB-UHFFFAOYSA-N 0 3 309.400 4.103 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000555591345 341486169 /nfs/dbraw/zinc/48/61/69/341486169.db2.gz WZVCKDRDHSUIAU-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN COc1cc(CCNCc2ncc(C(C)C)s2)ccc1Cl ZINC000555638002 341487898 /nfs/dbraw/zinc/48/78/98/341487898.db2.gz PAVJNAVJMZVSNH-UHFFFAOYSA-N 0 3 324.877 4.261 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@H](O)C1CCCCC1 ZINC000163093484 341492204 /nfs/dbraw/zinc/49/22/04/341492204.db2.gz OFGLKQBHVQSQKJ-WFASDCNBSA-N 0 3 310.507 4.167 20 0 DIADHN COCCOc1ccc([C@H](C)NC/C=C\c2ccccc2)cc1 ZINC000254950215 341494723 /nfs/dbraw/zinc/49/47/23/341494723.db2.gz CVJUEOHCJHRLKA-SKHCZCESSA-N 0 3 311.425 4.076 20 0 DIADHN CCc1cc(CN[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)on1 ZINC000556138027 341495346 /nfs/dbraw/zinc/49/53/46/341495346.db2.gz FIMPAICBNFBNKP-BBRMVZONSA-N 0 3 304.821 4.316 20 0 DIADHN c1cc(CNCc2cc3c(s2)CCCC3)n(C2CCCC2)n1 ZINC000556156269 341495828 /nfs/dbraw/zinc/49/58/28/341495828.db2.gz CQDCUKIXCQKADB-UHFFFAOYSA-N 0 3 315.486 4.228 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](NCc2cnc(C3CC3)o2)C1 ZINC000556177092 341496387 /nfs/dbraw/zinc/49/63/87/341496387.db2.gz QZGGXDNBPZBCHS-CVEARBPZSA-N 0 3 311.429 4.071 20 0 DIADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(OC(C)(C)C)cc1 ZINC000556225701 341498114 /nfs/dbraw/zinc/49/81/14/341498114.db2.gz YVRYTHAWTYNAJO-KBPBESRZSA-N 0 3 314.429 4.376 20 0 DIADHN C[C@H](NCCC(C)(C)C1CC1)c1ccc(Br)cn1 ZINC000556253115 341499387 /nfs/dbraw/zinc/49/93/87/341499387.db2.gz FBEHSYHEBJGWSS-NSHDSACASA-N 0 3 311.267 4.321 20 0 DIADHN Cc1cnc(CNC[C@@H](C)c2ccc(C(F)(F)F)cc2)s1 ZINC000556271513 341500219 /nfs/dbraw/zinc/50/02/19/341500219.db2.gz XQDHHLZQTGHNQG-SNVBAGLBSA-N 0 3 314.376 4.364 20 0 DIADHN COCC1(CN[C@@H](c2ccccc2)c2ccccn2)CCCCC1 ZINC000564427563 341501689 /nfs/dbraw/zinc/50/16/89/341501689.db2.gz LOUJCTPNWAJEMJ-FQEVSTJZSA-N 0 3 324.468 4.358 20 0 DIADHN c1ccc2c(c1)cccc2-c1noc([C@@H]2C[C@H]3CCCC[C@@H]3N2)n1 ZINC000261678123 341504094 /nfs/dbraw/zinc/50/40/94/341504094.db2.gz MNXFNKBWZCJFRP-JLSDUUJJSA-N 0 3 319.408 4.483 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1cccc(F)c1F ZINC000564615996 341505170 /nfs/dbraw/zinc/50/51/70/341505170.db2.gz MXUBXZKYYOPTKU-GTNSWQLSSA-N 0 3 323.408 4.165 20 0 DIADHN CC1(C)CC[C@H]1N[C@H](Cc1ccccc1)C(=O)Nc1ccccc1 ZINC000564646416 341506023 /nfs/dbraw/zinc/50/60/23/341506023.db2.gz WEYNYVNBWWXTNW-RTBURBONSA-N 0 3 322.452 4.015 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@H](C)NC[C@H]1CCCO1 ZINC000153056113 341522676 /nfs/dbraw/zinc/52/26/76/341522676.db2.gz MUTWHTYLSWOBEG-XJKSGUPXSA-N 0 3 316.470 4.257 20 0 DIADHN CC(C)(C)OC1CC(N2CCC(OCC3CCCCC3)CC2)C1 ZINC000565339324 341524280 /nfs/dbraw/zinc/52/42/80/341524280.db2.gz NJQFSAHNCJMPFT-UHFFFAOYSA-N 0 3 323.521 4.394 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)no1 ZINC000153186610 341528637 /nfs/dbraw/zinc/52/86/37/341528637.db2.gz FVFZIXAOMHCGIJ-GOEBONIOSA-N 0 3 324.346 4.428 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2ccc(Sc3ccccn3)o2)C1 ZINC000565597877 341532268 /nfs/dbraw/zinc/53/22/68/341532268.db2.gz RXRDUWGGSPFDMW-QWHCGFSZSA-N 0 3 306.406 4.196 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000565690399 341535152 /nfs/dbraw/zinc/53/51/52/341535152.db2.gz SGPPSDMSOGCALL-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1C[C@H](C)c3ccccc31)CCO2 ZINC000566032540 341545942 /nfs/dbraw/zinc/54/59/42/341545942.db2.gz KXUCDQGLYYVWPI-AGRHKRQWSA-N 0 3 309.409 4.357 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)c1ccc2c(c1)CNC2 ZINC000566243977 341551651 /nfs/dbraw/zinc/55/16/51/341551651.db2.gz HNBNXDNYGCEATO-UHFFFAOYSA-N 0 3 308.425 4.131 20 0 DIADHN CC(C)[C@H](CC1CCCC1)C(=O)N(C)[C@@H](C)C1CCN(C)CC1 ZINC000566284043 341553000 /nfs/dbraw/zinc/55/30/00/341553000.db2.gz QXFKPNBRWMYTCG-LPHOPBHVSA-N 0 3 322.537 4.028 20 0 DIADHN CSC1(CNCc2cnc(-c3ccc(Cl)cc3)s2)CC1 ZINC000566392653 341556334 /nfs/dbraw/zinc/55/63/34/341556334.db2.gz PKKUXFOXLXKZQX-UHFFFAOYSA-N 0 3 324.902 4.449 20 0 DIADHN C/C=C\c1ccc(NC2CCN(Cc3ccncc3)CC2)cc1 ZINC000566756064 341567211 /nfs/dbraw/zinc/56/72/11/341567211.db2.gz PJJGQEXYSVOVIH-IHWYPQMZSA-N 0 3 307.441 4.191 20 0 DIADHN CC(C)(CNCc1cc(O)cc(C(F)(F)F)c1)C(F)(F)F ZINC000566884182 341570893 /nfs/dbraw/zinc/57/08/93/341570893.db2.gz CEEQYILSNOZLLN-UHFFFAOYSA-N 0 3 315.257 4.089 20 0 DIADHN Cc1cc(CN2CCC(c3ccncc3)CC2)ccc1Cl ZINC000567000724 341573921 /nfs/dbraw/zinc/57/39/21/341573921.db2.gz PAOAUYRNYPYJMW-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2cccc(C)c2)cc1F ZINC000153731544 341577928 /nfs/dbraw/zinc/57/79/28/341577928.db2.gz AVYBOICCEAXLHW-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccnc(N3CCCCC3)c2)c2ccccc21 ZINC000567154884 341583106 /nfs/dbraw/zinc/58/31/06/341583106.db2.gz BTWUNXHMWQWFEF-UZLBHIALSA-N 0 3 321.468 4.410 20 0 DIADHN CC[C@@](C)(NCCc1ccc(F)c(F)c1)c1nc(C)cs1 ZINC000154077939 341584225 /nfs/dbraw/zinc/58/42/25/341584225.db2.gz CZQPNZJLHLAZEQ-MRXNPFEDSA-N 0 3 310.413 4.187 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(O)c2)c2ccccc12 ZINC000154408476 341589005 /nfs/dbraw/zinc/58/90/05/341589005.db2.gz YZQPWGDOXVTNMF-CQSZACIVSA-N 0 3 307.393 4.405 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCc3c2cccc3F)c1 ZINC000267099504 341589543 /nfs/dbraw/zinc/58/95/43/341589543.db2.gz ZBGRFIHQBIZDPT-BLVKFPJESA-N 0 3 312.388 4.122 20 0 DIADHN Clc1cc(Br)ccc1CNC1(C2CC2)CC1 ZINC000309546882 341594024 /nfs/dbraw/zinc/59/40/24/341594024.db2.gz CCNWCMZKQJUFRD-UHFFFAOYSA-N 0 3 300.627 4.135 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1cccc(-n2cccn2)c1 ZINC000154788445 341596044 /nfs/dbraw/zinc/59/60/44/341596044.db2.gz UGRWPQSXAPOLDE-JLTOFOAXSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCc1ccccc1O ZINC000154981171 341599476 /nfs/dbraw/zinc/59/94/76/341599476.db2.gz FPAGBDOITRFXPP-LBPRGKRZSA-N 0 3 318.486 4.348 20 0 DIADHN CC(C)[C@@H]1CC[C@@H]1NCc1nccn1CCCc1ccccc1 ZINC000567376125 341599795 /nfs/dbraw/zinc/59/97/95/341599795.db2.gz YCCZJIYZGOTAAZ-OALUTQOASA-N 0 3 311.473 4.040 20 0 DIADHN CC(C)C[C@H](NC[C@@H](c1cccs1)N(C)C)c1ccccn1 ZINC000155050927 341600711 /nfs/dbraw/zinc/60/07/11/341600711.db2.gz DXCHEWWTKKSZIV-IRXDYDNUSA-N 0 3 317.502 4.123 20 0 DIADHN Cc1ccc([C@H](C)NCCc2coc(-c3ccc(C)cc3)n2)o1 ZINC000155137090 341602555 /nfs/dbraw/zinc/60/25/55/341602555.db2.gz FTESKCADJCCMLQ-HNNXBMFYSA-N 0 3 310.397 4.445 20 0 DIADHN CCC(CC)(CCO)CN[C@H](C)c1ccccc1OC(F)F ZINC000155347907 341606527 /nfs/dbraw/zinc/60/65/27/341606527.db2.gz WWXZXTPXCYSZBP-CYBMUJFWSA-N 0 3 315.404 4.127 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@H](C)NC1CC(C(C)(C)C)C1 ZINC000567479989 341609427 /nfs/dbraw/zinc/60/94/27/341609427.db2.gz UHCGVASWPZJKOL-YGONEPDPSA-N 0 3 318.461 4.129 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@H](C)c1ccc(SC(C)C)cc1 ZINC000156554179 341622868 /nfs/dbraw/zinc/62/28/68/341622868.db2.gz STULYVCAHVSPQR-HUUCEWRRSA-N 0 3 322.518 4.143 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cc(C)sc2C)cn1 ZINC000156656557 341624576 /nfs/dbraw/zinc/62/45/76/341624576.db2.gz VCYNQJTUNOGSNF-CYBMUJFWSA-N 0 3 304.459 4.400 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H]3CCO[C@@H](C4CC4)C3)o2)cc1 ZINC000156929016 341629054 /nfs/dbraw/zinc/62/90/54/341629054.db2.gz PNNLLABRGVZJKB-FXAWDEMLSA-N 0 3 311.425 4.302 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccc(OC)c(Cl)c2)cs1 ZINC000157073728 341631784 /nfs/dbraw/zinc/63/17/84/341631784.db2.gz SSQVPZJJHHUKLK-LLVKDONJSA-N 0 3 324.877 4.261 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@H](C)C3CCC3)n2)cc1F ZINC000157713311 341644050 /nfs/dbraw/zinc/64/40/50/341644050.db2.gz DFSWXYOIDMJNSZ-VXGBXAGGSA-N 0 3 303.381 4.023 20 0 DIADHN CCCCN(C(=O)C1CCN(C2CC2)CC1)[C@@H]1CCC[C@H](C)C1 ZINC000568017737 341645791 /nfs/dbraw/zinc/64/57/91/341645791.db2.gz IXIXOISGILJNEW-QFBILLFUSA-N 0 3 320.521 4.068 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cccc(N2CCCC2=O)c1 ZINC000157972153 341648687 /nfs/dbraw/zinc/64/86/87/341648687.db2.gz IKCMLJVMUSWMMQ-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(CSC)cc1)CC2 ZINC000568064789 341649269 /nfs/dbraw/zinc/64/92/69/341649269.db2.gz QHCOUYBVKUNYNK-IBGZPJMESA-N 0 3 313.466 4.335 20 0 DIADHN Cc1nc(C)c(CCN[C@@H](C)c2cc3ccccc3o2)s1 ZINC000158008910 341649842 /nfs/dbraw/zinc/64/98/42/341649842.db2.gz IZIMUCANZMWUSR-NSHDSACASA-N 0 3 300.427 4.399 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H](c2ccccc2)C1)c1ccc(F)cn1 ZINC000158101689 341651543 /nfs/dbraw/zinc/65/15/43/341651543.db2.gz PLIBWDPPCGRPTR-LNLFQRSKSA-N 0 3 314.404 4.182 20 0 DIADHN FCCCN[C@H](c1ccccc1)c1ccc(Br)cc1 ZINC000568580276 341675687 /nfs/dbraw/zinc/67/56/87/341675687.db2.gz CNHQZPPTUXKXCP-MRXNPFEDSA-N 0 3 322.221 4.488 20 0 DIADHN COc1cc(Cl)c(CNCCC2(F)CCC2)cc1Cl ZINC000568614517 341676447 /nfs/dbraw/zinc/67/64/47/341676447.db2.gz JPAJHZKFUDUVDM-UHFFFAOYSA-N 0 3 306.208 4.374 20 0 DIADHN COC[C@H](NC[C@H](OC)c1ccccc1)c1cccc(Cl)c1 ZINC000569030201 341688745 /nfs/dbraw/zinc/68/87/45/341688745.db2.gz FKJZXVABJRQKQR-ROUUACIJSA-N 0 3 319.832 4.005 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2ccccc2CO)cc1 ZINC000569073746 341689938 /nfs/dbraw/zinc/68/99/38/341689938.db2.gz HLUIMSVBNNSDRI-MRXNPFEDSA-N 0 3 313.441 4.209 20 0 DIADHN COC[C@H](N[C@H](C)CCC(F)(F)F)c1cccc(Cl)c1 ZINC000569167225 341692862 /nfs/dbraw/zinc/69/28/62/341692862.db2.gz UVSWFPFWWRDKGZ-MFKMUULPSA-N 0 3 309.759 4.348 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](F)C1)c1ncc(-c2ccccc2)n1C ZINC000569240860 341695363 /nfs/dbraw/zinc/69/53/63/341695363.db2.gz IQBKBMDCDHMQKA-CWRNSKLLSA-N 0 3 301.409 4.018 20 0 DIADHN COC(=O)c1coc([C@H](C)NCCc2ccc(C(C)C)cc2)c1 ZINC000580514215 341711917 /nfs/dbraw/zinc/71/19/17/341711917.db2.gz ONFYDNGOYRQQPN-AWEZNQCLSA-N 0 3 315.413 4.083 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)[C@@H](C)c1ccc(OC)cc1 ZINC000166975678 341722290 /nfs/dbraw/zinc/72/22/90/341722290.db2.gz CUSBWJMVZXQEEI-ZIAGYGMSSA-N 0 3 316.445 4.090 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H](C)CC1CCCC1)c1ccco1 ZINC000573354153 341725854 /nfs/dbraw/zinc/72/58/54/341725854.db2.gz KHTLTXHHSSNHFB-HLLBOEOZSA-N 0 3 320.477 4.041 20 0 DIADHN CC1(C)[C@H](NCc2cscc2C(F)(F)F)[C@H]2CCCO[C@@H]21 ZINC000573375863 341726532 /nfs/dbraw/zinc/72/65/32/341726532.db2.gz YCYVHNBAMHIEQE-RTXFEEFZSA-N 0 3 319.392 4.060 20 0 DIADHN COc1cccc(Cl)c1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000573602729 341733234 /nfs/dbraw/zinc/73/32/34/341733234.db2.gz UCHOYNRZDHZSOY-CABCVRRESA-N 0 3 301.817 4.242 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1sccc1Br ZINC000573656449 341735136 /nfs/dbraw/zinc/73/51/36/341735136.db2.gz PXLPZNIAPDIOJT-YUSALJHKSA-N 0 3 318.280 4.119 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)Nc1ccc2c(c1)CNC2)C(C)C ZINC000573784468 341739255 /nfs/dbraw/zinc/73/92/55/341739255.db2.gz DTPCEUOIGQWKKE-LJQANCHMSA-N 0 3 323.440 4.117 20 0 DIADHN Cc1nccnc1[C@@H](C)NC[C@@H](C)Oc1ccc(C(C)C)cc1 ZINC000573826483 341740464 /nfs/dbraw/zinc/74/04/64/341740464.db2.gz CIMKWUZXZNKQDG-GDBMZVCRSA-N 0 3 313.445 4.027 20 0 DIADHN Cc1nccnc1[C@@H](C)NC[C@H](C)Oc1ccc(C(C)C)cc1 ZINC000573826484 341740485 /nfs/dbraw/zinc/74/04/85/341740485.db2.gz CIMKWUZXZNKQDG-GOEBONIOSA-N 0 3 313.445 4.027 20 0 DIADHN C[C@@H](NC1CCC2(CC2)CC1)c1nc(-c2cccc(F)c2)no1 ZINC000573870198 341741780 /nfs/dbraw/zinc/74/17/80/341741780.db2.gz CTEMGGNKIGYLRN-GFCCVEGCSA-N 0 3 315.392 4.249 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](CCO)C(C)(C)C)o1 ZINC000574152085 341750131 /nfs/dbraw/zinc/75/01/31/341750131.db2.gz HTDYWPSVWDBPJL-GOSISDBHSA-N 0 3 319.420 4.281 20 0 DIADHN C[C@@H](NCC1(c2cccc(Cl)c2F)CCCC1)c1ncc[nH]1 ZINC000574180306 341751209 /nfs/dbraw/zinc/75/12/09/341751209.db2.gz FYJFAAHRAPVREL-GFCCVEGCSA-N 0 3 321.827 4.365 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCCOCC1CCC1)CCS2 ZINC000574676005 341765517 /nfs/dbraw/zinc/76/55/17/341765517.db2.gz RMMCOBKTSLAHBF-HNNXBMFYSA-N 0 3 311.878 4.283 20 0 DIADHN Clc1ccc(CN[C@H]2CCC23CCOCC3)c(Cl)c1 ZINC000574772363 341768565 /nfs/dbraw/zinc/76/85/65/341768565.db2.gz OTFWOLFAKZSOKI-AWEZNQCLSA-N 0 3 300.229 4.042 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCCC[C@H]2C)cs1 ZINC000171413764 341777554 /nfs/dbraw/zinc/77/75/54/341777554.db2.gz SNWLZWXDDSYYIJ-CJNGLKHVSA-N 0 3 316.470 4.487 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccccc21)c1ccc2c(c1)CCC(=O)N2 ZINC000171978188 341789361 /nfs/dbraw/zinc/78/93/61/341789361.db2.gz IRMPHDLRWZJJNV-XOBRGWDASA-N 0 3 320.436 4.300 20 0 DIADHN Cc1ccncc1CN1CCC(c2ccnc3ccccc32)CC1 ZINC000575758862 341803015 /nfs/dbraw/zinc/80/30/15/341803015.db2.gz DWUZWPAENGDMMF-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)NC(C)(C)c2cccs2)c(C)c1 ZINC000575836960 341808555 /nfs/dbraw/zinc/80/85/55/341808555.db2.gz CSRDHDJMTYOTDA-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN Cc1ccccc1CN[C@H](C)c1cncc(Br)c1 ZINC000576053066 341822964 /nfs/dbraw/zinc/82/29/64/341822964.db2.gz APJYMTVFBHXXND-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccc(-n2cccn2)cc1 ZINC000174370839 341826376 /nfs/dbraw/zinc/82/63/76/341826376.db2.gz MEGVJELEBBUVLE-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN CC(C)(C)c1cc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)no1 ZINC000576505389 341849662 /nfs/dbraw/zinc/84/96/62/341849662.db2.gz DTNDCSWZPGDJSK-FUHWJXTLSA-N 0 3 312.457 4.473 20 0 DIADHN COC[C@@H](N[C@@H]1CCCC(F)(F)C1)c1cccc(Cl)c1 ZINC000576506352 341849667 /nfs/dbraw/zinc/84/96/67/341849667.db2.gz SBSRZNWPUAYSCI-ZIAGYGMSSA-N 0 3 303.780 4.195 20 0 DIADHN Cc1ccccc1C1CC(N[C@@H](c2ccccc2F)[C@H](C)O)C1 ZINC000576589348 341854071 /nfs/dbraw/zinc/85/40/71/341854071.db2.gz NTWGNXJVOVUEPL-DRUAIGIBSA-N 0 3 313.416 4.092 20 0 DIADHN COc1ccc([C@@H](NCc2c(C)nsc2C)C2CC2)cc1 ZINC000576714182 341859881 /nfs/dbraw/zinc/85/98/81/341859881.db2.gz UBNCJCGOEPVPCG-KRWDZBQOSA-N 0 3 302.443 4.009 20 0 DIADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cccc(C(F)(F)F)c1 ZINC000577197567 341896305 /nfs/dbraw/zinc/89/63/05/341896305.db2.gz ZVXXWWOZNAPCIE-QWRGUYRKSA-N 0 3 310.319 4.218 20 0 DIADHN OC[C@@H](CNCc1cscc1C(F)(F)F)C1CCCCC1 ZINC000577234728 341899535 /nfs/dbraw/zinc/89/95/35/341899535.db2.gz SQVHBCMRUQSQED-GFCCVEGCSA-N 0 3 321.408 4.045 20 0 DIADHN CCOc1cc(C)ccc1CN[C@@H]1CCCNc2ccccc21 ZINC000192952333 341899946 /nfs/dbraw/zinc/89/99/46/341899946.db2.gz OLNNPWYLMTWLGV-LJQANCHMSA-N 0 3 310.441 4.430 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2cccc(Cl)c2)o1 ZINC000577347958 341905294 /nfs/dbraw/zinc/90/52/94/341905294.db2.gz HNBZBGJEKWWVRR-FIXIBIHLSA-N 0 3 317.820 4.229 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2nc(C(C)(C)C)cs2)n1 ZINC000577391006 341907720 /nfs/dbraw/zinc/90/77/20/341907720.db2.gz MOQYBXZOAUZYSU-ZDUSSCGKSA-N 0 3 303.475 4.037 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N[C@@H]1CCC1(C)C ZINC000577549176 341916977 /nfs/dbraw/zinc/91/69/77/341916977.db2.gz IXEHGIKPMZVFHX-GOEBONIOSA-N 0 3 302.462 4.224 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000177372072 341919089 /nfs/dbraw/zinc/91/90/89/341919089.db2.gz RYNFHMXRBGDXLD-HNAYVOBHSA-N 0 3 315.432 4.302 20 0 DIADHN CC(C)[C@H]1C[C@H](N[C@@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000577575595 341919548 /nfs/dbraw/zinc/91/95/48/341919548.db2.gz RECHRHNQMZVAHO-IVAOSVALSA-N 0 3 307.437 4.119 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000177937518 341940061 /nfs/dbraw/zinc/94/00/61/341940061.db2.gz YJLTUTWCSOCTLF-GHTZIAJQSA-N 0 3 321.420 4.186 20 0 DIADHN CCOC[C@@H](C)N[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000178133052 341947335 /nfs/dbraw/zinc/94/73/35/341947335.db2.gz FXMLOZHDSOJOPL-OLZOCXBDSA-N 0 3 304.459 4.194 20 0 DIADHN Cc1cccc(COc2ccc(CNCC3=CCCOC3)cc2)c1 ZINC000178159677 341948312 /nfs/dbraw/zinc/94/83/12/341948312.db2.gz HUHJZWIUQJCRLU-UHFFFAOYSA-N 0 3 323.436 4.010 20 0 DIADHN CC(C)n1cc([C@H](C)NCCCSc2ccc(F)cc2)cn1 ZINC000178169615 341948461 /nfs/dbraw/zinc/94/84/61/341948461.db2.gz OQIBMNIIDMFYGI-AWEZNQCLSA-N 0 3 321.465 4.436 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3OC)cc1 ZINC000178471618 341955749 /nfs/dbraw/zinc/95/57/49/341955749.db2.gz WMEUSYNFWVGYOP-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H](CO)c1ccsc1 ZINC000349413306 341973425 /nfs/dbraw/zinc/97/34/25/341973425.db2.gz MVXLHEQOJRDUQE-IRXDYDNUSA-N 0 3 301.455 4.066 20 0 DIADHN CC(C)(C)[C@@H](CCO)NCc1cnc(C2CCCCC2)s1 ZINC000570370759 517386840 /nfs/dbraw/zinc/38/68/40/517386840.db2.gz CMMQAFPOMIPSOM-OAHLLOKOSA-N 0 3 310.507 4.078 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2cnccn2)CC1 ZINC000580165296 517546141 /nfs/dbraw/zinc/54/61/41/517546141.db2.gz ISUPKTFBEGSXRU-UHFFFAOYSA-N 0 3 315.848 4.074 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)N[C@H]1CCN(c2ccccn2)C1 ZINC000090802004 518186279 /nfs/dbraw/zinc/18/62/79/518186279.db2.gz XTEXSWSJWVTPBU-HOTGVXAUSA-N 0 3 321.424 4.066 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CCC2)ccc1F ZINC000556910067 518187183 /nfs/dbraw/zinc/18/71/83/518187183.db2.gz TXJUWCMFTNLDDW-IBGZPJMESA-N 0 3 313.416 4.128 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H](c3ccccc3)C[C@H](C)C2)nc(C)n1 ZINC000556410800 518187199 /nfs/dbraw/zinc/18/71/99/518187199.db2.gz WFJYITGAVIESJW-GDIGMMSISA-N 0 3 309.457 4.155 20 0 DIADHN Fc1cccc(Cl)c1CN[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442778998 518196152 /nfs/dbraw/zinc/19/61/52/518196152.db2.gz KTBHYHQWTKORIS-LLVKDONJSA-N 0 3 314.791 4.217 20 0 DIADHN Brc1ccc([C@@H](NCc2cccnc2)C2CC2)s1 ZINC000190571249 518215558 /nfs/dbraw/zinc/21/55/58/518215558.db2.gz LMJVMUZCXOGQEQ-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN Brc1cncc(CN[C@H](c2ccccc2)C2CC2)c1 ZINC000158453572 518231686 /nfs/dbraw/zinc/23/16/86/518231686.db2.gz UUDXYHDVAUYNCU-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCCC[C@H]2[C@@H]2CCOC2)n1 ZINC000365060163 519082807 /nfs/dbraw/zinc/08/28/07/519082807.db2.gz DUIOJOXMSLTRBV-QLFBSQMISA-N 0 3 322.518 4.126 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000338009486 519449571 /nfs/dbraw/zinc/44/95/71/519449571.db2.gz WOQMKGNRCWMKPJ-HNAYVOBHSA-N 0 3 311.473 4.347 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](C)c2ccc(F)cc2)c1 ZINC000192908307 519748875 /nfs/dbraw/zinc/74/88/75/519748875.db2.gz WRPMFMAPCNXOSV-STQMWFEESA-N 0 3 300.377 4.196 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCCCC[C@@H]1c1ccncc1 ZINC000157034384 519750750 /nfs/dbraw/zinc/75/07/50/519750750.db2.gz LTZSSCBSWZUPEU-HXUWFJFHSA-N 0 3 323.440 4.157 20 0 DIADHN CC(C)Oc1cccc(CN2CCC[C@@H](c3nccs3)C2)c1 ZINC000156989998 519782992 /nfs/dbraw/zinc/78/29/92/519782992.db2.gz OEFCOKXLURAUPN-MRXNPFEDSA-N 0 3 316.470 4.310 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CCCC(F)(F)C1)c1nc(C2CC2)no1 ZINC000338284370 519820787 /nfs/dbraw/zinc/82/07/87/519820787.db2.gz ADCFUTQAAKYABE-YPMHNXCESA-N 0 3 313.392 4.059 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@]2(C)CCO[C@@H]2C2CC2)cs1 ZINC000364225816 519867089 /nfs/dbraw/zinc/86/70/89/519867089.db2.gz IVRNFNXAKIQAJJ-XGWLTEMNSA-N 0 3 308.491 4.122 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000158882885 519877750 /nfs/dbraw/zinc/87/77/50/519877750.db2.gz SCIJVMYLKXGCJB-CYBMUJFWSA-N 0 3 302.443 4.338 20 0 DIADHN CCCCCOc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000163928677 520255189 /nfs/dbraw/zinc/25/51/89/520255189.db2.gz WSHAZEVBVDWNQS-HZPDHXFCSA-N 0 3 315.461 4.401 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000127385720 520551178 /nfs/dbraw/zinc/55/11/78/520551178.db2.gz SHIMRDZZBPVHQV-CQSZACIVSA-N 0 3 316.470 4.081 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@@H](C)c2ccccc2)cc1 ZINC000129399132 520552451 /nfs/dbraw/zinc/55/24/51/520552451.db2.gz APLRSWJSLCDFKQ-INIZCTEOSA-N 0 3 310.441 4.019 20 0 DIADHN CC1(C)OCc2cc(CNCc3cccc(Cl)c3)ccc2O1 ZINC000186359490 520612269 /nfs/dbraw/zinc/61/22/69/520612269.db2.gz ZPAZASCHHKCLBA-UHFFFAOYSA-N 0 3 317.816 4.275 20 0 DIADHN CCOc1ccc(CNCc2coc3ccccc23)cc1OC ZINC000339406377 521004686 /nfs/dbraw/zinc/00/46/86/521004686.db2.gz FZJWRDFSNZSVFE-UHFFFAOYSA-N 0 3 311.381 4.130 20 0 DIADHN CCCC[C@@H](NCc1cccnc1-n1ccnc1)c1ccccc1 ZINC000266773421 521102058 /nfs/dbraw/zinc/10/20/58/521102058.db2.gz BMAZUQAENDXBPJ-LJQANCHMSA-N 0 3 320.440 4.288 20 0 DIADHN CCC[C@H](NC[C@@](C)(O)c1ccccc1Cl)c1cccnc1 ZINC000157517564 521161727 /nfs/dbraw/zinc/16/17/27/521161727.db2.gz OKXXPKXDVKEXMZ-ZWKOTPCHSA-N 0 3 318.848 4.074 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCc3ccccn3)oc21 ZINC000181391189 521434788 /nfs/dbraw/zinc/43/47/88/521434788.db2.gz JZNSNVXQAJXFBN-CQSZACIVSA-N 0 3 310.397 4.120 20 0 DIADHN CCOc1ccccc1[C@@H](CC)N[C@@H](C)c1cccc(CO)c1 ZINC000121597430 521577797 /nfs/dbraw/zinc/57/77/97/521577797.db2.gz XVAWQQYRPREALW-HNAYVOBHSA-N 0 3 313.441 4.380 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000267912386 521650416 /nfs/dbraw/zinc/65/04/16/521650416.db2.gz OMVDVKWEQXORSG-NSHDSACASA-N 0 3 307.463 4.197 20 0 DIADHN CCOCCC1(CN[C@H](c2ccccc2)c2ccccn2)CCC1 ZINC000180950966 521692179 /nfs/dbraw/zinc/69/21/79/521692179.db2.gz KXPVKUZGAOWKAD-HXUWFJFHSA-N 0 3 324.468 4.358 20 0 DIADHN CCSCCCNCc1ccc(-c2ccncc2)cc1F ZINC000337254318 521704650 /nfs/dbraw/zinc/70/46/50/521704650.db2.gz MFVSKXOYXOMMII-UHFFFAOYSA-N 0 3 304.434 4.121 20 0 DIADHN CCSCCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000337441243 521705427 /nfs/dbraw/zinc/70/54/27/521705427.db2.gz YLEPTXJRSDISPQ-KRWDZBQOSA-N 0 3 304.434 4.043 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2ccc(Br)o2)C1 ZINC000361571507 521732976 /nfs/dbraw/zinc/73/29/76/521732976.db2.gz LWGHQKNNVCOBOX-JQWIXIFHSA-N 0 3 318.280 4.148 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2csc(-c3ccoc3)n2)C1 ZINC000364143796 521873605 /nfs/dbraw/zinc/87/36/05/521873605.db2.gz BKQQRYCAPACQDG-AWEZNQCLSA-N 0 3 320.458 4.042 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H]2CCc3cc(F)ccc32)s1 ZINC000163496742 522225350 /nfs/dbraw/zinc/22/53/50/522225350.db2.gz ZWJKGBCLKZQLDV-BMIGLBTASA-N 0 3 304.434 4.491 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2cccc3cc[nH]c32)cc1OC ZINC000237284756 522232191 /nfs/dbraw/zinc/23/21/91/522232191.db2.gz YIEILWCTUHYRDL-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN CCc1nc(CCN[C@@H]2CCCOc3ccc(C)cc32)cs1 ZINC000157101450 522282325 /nfs/dbraw/zinc/28/23/25/522282325.db2.gz IXOJKPSLYIOYNR-MRXNPFEDSA-N 0 3 316.470 4.060 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCC[C@H](c3cccnc3)C2)cs1 ZINC000337379860 522455235 /nfs/dbraw/zinc/45/52/35/522455235.db2.gz IPJAMLGNKWTBHA-OFQRWUPVSA-N 0 3 315.486 4.478 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)CCc1csc(C)n1)CCC2 ZINC000129282330 522471302 /nfs/dbraw/zinc/47/13/02/522471302.db2.gz XRHWSKLMLMZYCW-AWEZNQCLSA-N 0 3 321.515 4.022 20 0 DIADHN CC[C@H](NCCCn1ccnc1C)c1cccc(Cl)c1F ZINC000338013417 522588991 /nfs/dbraw/zinc/58/89/91/522588991.db2.gz RSPCTLJFIQZKHI-HNNXBMFYSA-N 0 3 309.816 4.115 20 0 DIADHN CC[C@H](NCCc1c(C)noc1C)c1ccccc1OC(F)F ZINC000156040429 522599863 /nfs/dbraw/zinc/59/98/63/522599863.db2.gz AXYJLLDZDQLFIV-HNNXBMFYSA-N 0 3 324.371 4.176 20 0 DIADHN CC[C@H](NCc1ccc(CN2CCCC2=O)cc1)c1ccccc1 ZINC000128475392 522646524 /nfs/dbraw/zinc/64/65/24/522646524.db2.gz GOSGNVYJGRAJQT-FQEVSTJZSA-N 0 3 322.452 4.050 20 0 DIADHN COC1CCC(N[C@H](C)c2nc(-c3ccccc3)cs2)CC1 ZINC000183153111 522693091 /nfs/dbraw/zinc/69/30/91/522693091.db2.gz YWBZBMMTAZPERD-IUDNXUCKSA-N 0 3 316.470 4.418 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1F ZINC000180005152 522695253 /nfs/dbraw/zinc/69/52/53/522695253.db2.gz LDGFWPYWXSVFQQ-LIRRHRJNSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccnc1)c1ccc(OC(F)F)cc1 ZINC000158549577 522697749 /nfs/dbraw/zinc/69/77/49/522697749.db2.gz XCXUHUILQNYMRZ-LRDDRELGSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc(OC)c(OC)c1)c1ccncc1 ZINC000163688987 522706664 /nfs/dbraw/zinc/70/66/64/522706664.db2.gz BTPBVJHDYZTQLZ-IRXDYDNUSA-N 0 3 314.429 4.291 20 0 DIADHN CC[C@H](N[C@H](C)COC(C)C)c1ccccc1OC(F)F ZINC000337341903 522732242 /nfs/dbraw/zinc/73/22/42/522732242.db2.gz QTKXJEAEBPUXIH-OCCSQVGLSA-N 0 3 301.377 4.142 20 0 DIADHN CC[C@H](N[C@H]1CCc2c1cccc2O)c1ccc2c(c1)CCO2 ZINC000130348073 522760609 /nfs/dbraw/zinc/76/06/09/522760609.db2.gz FPTRFDGYTITFLU-ROUUACIJSA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@@H](NCCSC1CCCCC1)c1c(C)nn(C)c1C ZINC000337313361 522839194 /nfs/dbraw/zinc/83/91/94/522839194.db2.gz GSRBEWBOKFULLA-MRXNPFEDSA-N 0 3 309.523 4.144 20 0 DIADHN CC[C@@H](NCc1ccc(CN2CCCC2=O)cc1)c1ccccc1 ZINC000128475652 522875830 /nfs/dbraw/zinc/87/58/30/522875830.db2.gz GOSGNVYJGRAJQT-HXUWFJFHSA-N 0 3 322.452 4.050 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cccnc2)ccc1SC ZINC000158542490 522911135 /nfs/dbraw/zinc/91/11/35/522911135.db2.gz QRRRJCXMWCVSKV-CHWSQXEVSA-N 0 3 302.443 4.224 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccncc1 ZINC000163655099 522914969 /nfs/dbraw/zinc/91/49/69/522914969.db2.gz IZOZLMHNKRHJKA-SUMWQHHRSA-N 0 3 323.440 4.113 20 0 DIADHN CCc1nocc1CNCCc1nc(C2CCCCC2)cs1 ZINC000339273834 522941304 /nfs/dbraw/zinc/94/13/04/522941304.db2.gz SHLDNYDHTBOHGA-UHFFFAOYSA-N 0 3 319.474 4.074 20 0 DIADHN COCc1cccc(CN[C@H]2CCCOc3cc(C)ccc32)c1 ZINC000158523274 523059716 /nfs/dbraw/zinc/05/97/16/523059716.db2.gz YBLTWBXPGWGSBU-IBGZPJMESA-N 0 3 311.425 4.145 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000191657699 523444316 /nfs/dbraw/zinc/44/43/16/523444316.db2.gz MCLWJTQRWBIXAN-OBJOEFQTSA-N 0 3 304.459 4.193 20 0 DIADHN CO[C@@H](CN(C)C[C@@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000338285147 523497928 /nfs/dbraw/zinc/49/79/28/523497928.db2.gz DMDOBLKYRQYNMF-DOMZBBRYSA-N 0 3 324.877 4.220 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccccc1-n1cccn1 ZINC000128916183 523853370 /nfs/dbraw/zinc/85/33/70/523853370.db2.gz MIPVDILMZLHGFW-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CCc1ccc(CN[C@@H](Cc2ccccn2)c2cccnc2)s1 ZINC000338190029 523945795 /nfs/dbraw/zinc/94/57/95/523945795.db2.gz DTJPKXHQIBRWLW-IBGZPJMESA-N 0 3 323.465 4.174 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000131374100 524026542 /nfs/dbraw/zinc/02/65/42/524026542.db2.gz XXTYJJZEEHNISC-MRXNPFEDSA-N 0 3 309.457 4.095 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000131374296 524046476 /nfs/dbraw/zinc/04/64/76/524046476.db2.gz XXTYJJZEEHNISC-INIZCTEOSA-N 0 3 309.457 4.095 20 0 DIADHN Cc1ccc(C)c(CNC2(c3ccc(F)cc3)CCOCC2)c1 ZINC000237662916 524103434 /nfs/dbraw/zinc/10/34/34/524103434.db2.gz SWLUOEQZHWFPNK-UHFFFAOYSA-N 0 3 313.416 4.238 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H](C)c1cccc(CO)c1 ZINC000191554767 524203972 /nfs/dbraw/zinc/20/39/72/524203972.db2.gz PMYWLMWOEORTGS-ZDUSSCGKSA-N 0 3 323.358 4.357 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN[C@@H]1CCCC1(C)C ZINC000191297020 524234552 /nfs/dbraw/zinc/23/45/52/524234552.db2.gz PJGXWFDXFMZVFS-MRXNPFEDSA-N 0 3 302.462 4.225 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000163520209 524241301 /nfs/dbraw/zinc/24/13/01/524241301.db2.gz DIGSDCDEGZCLPN-CRAIPNDOSA-N 0 3 310.441 4.393 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(CN(C)C)cc1)c1ccc(Cl)s1 ZINC000267346273 524250436 /nfs/dbraw/zinc/25/04/36/524250436.db2.gz ISGKBSASULBWHO-LLVKDONJSA-N 0 3 322.861 4.205 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CC[C@@H](c2ccccc2)C1 ZINC000130249873 524281123 /nfs/dbraw/zinc/28/11/23/524281123.db2.gz WJUKMQLXKHYWGM-MOPGFXCFSA-N 0 3 322.452 4.168 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)c(C)c1 ZINC000107070459 524303652 /nfs/dbraw/zinc/30/36/52/524303652.db2.gz QAAAEWFPIYRBDA-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000155884308 524461723 /nfs/dbraw/zinc/46/17/23/524461723.db2.gz AWGGRXCBCITLSD-HUUCEWRRSA-N 0 3 311.429 4.206 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)c1 ZINC000158796516 524461857 /nfs/dbraw/zinc/46/18/57/524461857.db2.gz RVLUHBXIEAEBFA-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@H](C)c1cccnc1Cl ZINC000270651531 524620077 /nfs/dbraw/zinc/62/00/77/524620077.db2.gz QPKAZEHEUOGWCX-XHBSWPGZSA-N 0 3 322.811 4.037 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3ccccc3Cl)o2)[C@H](C)C1 ZINC000119302935 524703675 /nfs/dbraw/zinc/70/36/75/524703675.db2.gz KWUFDKLJIUDMKM-JMSVASOKSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@H](C)c1nccs1 ZINC000180226157 524729199 /nfs/dbraw/zinc/72/91/99/524729199.db2.gz XUIZOVHXTIFCJQ-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)ccc1Cl ZINC000181039055 524766266 /nfs/dbraw/zinc/76/62/66/524766266.db2.gz GFJVCPDXBRQGEM-ZSEKCTLFSA-N 0 3 321.873 4.237 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccnc(N3CCCC3)c2)c1C ZINC000131385553 524876116 /nfs/dbraw/zinc/87/61/16/524876116.db2.gz PHXFUXSZPQKHNH-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000337316521 524935527 /nfs/dbraw/zinc/93/55/27/524935527.db2.gz KQFFQZJKQSEYQS-GJZGRUSLSA-N 0 3 323.465 4.487 20 0 DIADHN Cc1cccc2oc(CCN[C@@H]3CCCOc4ccccc43)nc21 ZINC000337127147 524998070 /nfs/dbraw/zinc/99/80/70/524998070.db2.gz GLVUHSPTIDALMX-MRXNPFEDSA-N 0 3 322.408 4.182 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccnc(N2CCCCC2)c1 ZINC000162414345 524999005 /nfs/dbraw/zinc/99/90/05/524999005.db2.gz DPFZWCSIKOJIHY-KKSFZXQISA-N 0 3 321.468 4.095 20 0 DIADHN C[C@]1(CNCc2nccn2-c2ccccc2)C[C@H]1c1ccccc1 ZINC000339455926 525267907 /nfs/dbraw/zinc/26/79/07/525267907.db2.gz ULGJZMYSOKDOMR-PZJWPPBQSA-N 0 3 317.436 4.156 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-c3ccccc3Cl)o2)CCO1 ZINC000271222540 525441962 /nfs/dbraw/zinc/44/19/62/525441962.db2.gz GIKJRGCTPCIYAK-CHWSQXEVSA-N 0 3 305.805 4.257 20 0 DIADHN C[C@H](NCc1ccc(SC(=O)N(C)C)cc1)c1ccccc1 ZINC000181352828 525447826 /nfs/dbraw/zinc/44/78/26/525447826.db2.gz UHJWQQJSZWVTSJ-AWEZNQCLSA-N 0 3 314.454 4.311 20 0 DIADHN C[C@H](NCc1cccc(NC(=O)C2CCC2)c1)c1ccccc1 ZINC000129393529 525456958 /nfs/dbraw/zinc/45/69/58/525456958.db2.gz GEUUETAXBAZYQJ-HNNXBMFYSA-N 0 3 308.425 4.276 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCCC2=O)cc1)c1ccccc1 ZINC000129440445 525525121 /nfs/dbraw/zinc/52/51/21/525525121.db2.gz LRGRBNCPORCMNU-MRXNPFEDSA-N 0 3 308.425 4.054 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCCCC2)nc1)c1ccccc1 ZINC000129413550 525526271 /nfs/dbraw/zinc/52/62/71/525526271.db2.gz KVVLNAUSCCUPDF-QGZVFWFLSA-N 0 3 309.457 4.313 20 0 DIADHN C[C@@H]1N(Cc2cnc(C3CCCC3)s2)CCC12CCOCC2 ZINC000364945377 525546163 /nfs/dbraw/zinc/54/61/63/525546163.db2.gz RKRMZRYVBLKNBC-AWEZNQCLSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H](C)c1ccc2c(c1)COC2)C(C)C ZINC000268845331 525549920 /nfs/dbraw/zinc/54/99/20/525549920.db2.gz ATKPZRUFSFYPRR-BEFAXECRSA-N 0 3 310.441 4.468 20 0 DIADHN C[C@H](N[C@H](CCCO)c1ccccc1)c1ccc(F)c(F)c1 ZINC000266751160 525592827 /nfs/dbraw/zinc/59/28/27/525592827.db2.gz CSRYNZXBJNFMCX-SCLBCKFNSA-N 0 3 305.368 4.129 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1cccc(-n2ccnc2)c1 ZINC000190942302 525613783 /nfs/dbraw/zinc/61/37/83/525613783.db2.gz WRCFYWTZFISDKO-KSSFIOAISA-N 0 3 307.397 4.194 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1cccc(-n2cccn2)c1 ZINC000154809171 525634654 /nfs/dbraw/zinc/63/46/54/525634654.db2.gz RJZPSOLUDFYKFF-YWZLYKJASA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1cccs1 ZINC000182157242 525645395 /nfs/dbraw/zinc/64/53/95/525645395.db2.gz WEIDUYKPXSJGGV-QSGSBWRWSA-N 0 3 309.381 4.207 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cn(C)nc2-c2ccccc2)cc1 ZINC000180413321 525737496 /nfs/dbraw/zinc/73/74/96/525737496.db2.gz PGMLJVIISBMJSO-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182164927 525749155 /nfs/dbraw/zinc/74/91/55/525749155.db2.gz YARGTUIQXXWYPR-XQAQDONZSA-N 0 3 307.340 4.047 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2coc3ccccc23)o1 ZINC000339405514 525796414 /nfs/dbraw/zinc/79/64/14/525796414.db2.gz AYNLAILVEFTFDJ-UHFFFAOYSA-N 0 3 307.353 4.014 20 0 DIADHN Cc1cn2c(n1)[C@H](CNCc1cc(Cl)ccc1Cl)CCC2 ZINC000338212661 525940428 /nfs/dbraw/zinc/94/04/28/525940428.db2.gz JYEPXZOXZCFHRV-LBPRGKRZSA-N 0 3 324.255 4.166 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC(c2ncc[nH]2)CC1 ZINC000266728215 526046217 /nfs/dbraw/zinc/04/62/17/526046217.db2.gz IWHWKJQJPGUQSE-GFCCVEGCSA-N 0 3 323.362 4.369 20 0 DIADHN Cc1cc(Br)cc(C)c1CN[C@H](C)c1cccnc1 ZINC000264832396 526155147 /nfs/dbraw/zinc/15/51/47/526155147.db2.gz DIJBGJDUMHIXCW-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cn(C)nc1-c1ccccc1)CC2 ZINC000180414677 526191447 /nfs/dbraw/zinc/19/14/47/526191447.db2.gz UANONUBUMZVQPH-FQEVSTJZSA-N 0 3 317.436 4.173 20 0 DIADHN Cc1ccc2cc(CN3CCC(c4ccccn4)CC3)ccc2n1 ZINC000364851004 526229641 /nfs/dbraw/zinc/22/96/41/526229641.db2.gz WIBUCZRUFBOYLC-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN FC1(F)CCC(CNCc2csc(-c3ccccn3)n2)CC1 ZINC000337290243 526275131 /nfs/dbraw/zinc/27/51/31/526275131.db2.gz LZESDTDERDLDNA-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN FCCCCCN1CCC(c2nc(-c3ccccc3)no2)CC1 ZINC000368502877 526281345 /nfs/dbraw/zinc/28/13/45/526281345.db2.gz IOKVWBNNTGMMFG-UHFFFAOYSA-N 0 3 317.408 4.056 20 0 DIADHN O[C@H](C[C@H]1CCCCCN1Cc1ccccn1)c1cccs1 ZINC000267436507 526295129 /nfs/dbraw/zinc/29/51/29/526295129.db2.gz GNIGRMJRHSHRIQ-IAGOWNOFSA-N 0 3 316.470 4.011 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCC[C@H](c3cccnc3)C2)c(F)c1 ZINC000339523471 526303682 /nfs/dbraw/zinc/30/36/82/526303682.db2.gz LTSHOHGSTPJQHQ-WFASDCNBSA-N 0 3 320.358 4.315 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cnc2ccccc2c1 ZINC000337213734 526367201 /nfs/dbraw/zinc/36/72/01/526367201.db2.gz WPJRLVWTFFSWGV-IBGZPJMESA-N 0 3 304.393 4.108 20 0 DIADHN c1c[nH]c([C@H]2CCCN2Cc2cccc(-c3cccnc3)c2)c1 ZINC000127412403 526410875 /nfs/dbraw/zinc/41/08/75/526410875.db2.gz WMAZVRSATHBNNK-HXUWFJFHSA-N 0 3 303.409 4.414 20 0 DIADHN c1ccc([C@@H](N[C@H]2CCOC3(CCCC3)C2)c2ccccn2)cc1 ZINC000180961922 526491658 /nfs/dbraw/zinc/49/16/58/526491658.db2.gz FZZYOPGOSFTYDO-AZUAARDMSA-N 0 3 322.452 4.252 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000155957364 526497977 /nfs/dbraw/zinc/49/79/77/526497977.db2.gz ZGVFJAPVJCWSGO-LLVKDONJSA-N 0 3 324.371 4.095 20 0 DIADHN Cc1noc(C)c1CN1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000363826027 526506835 /nfs/dbraw/zinc/50/68/35/526506835.db2.gz SGTFNSMIHFQECR-CQSZACIVSA-N 0 3 324.346 4.300 20 0 DIADHN c1cncc([C@@H]2CCCN2C[C@H]2CCC3(CCCCC3)O2)c1 ZINC000336861490 526547389 /nfs/dbraw/zinc/54/73/89/526547389.db2.gz BJIIVNVPCQYCGS-MSOLQXFVSA-N 0 3 300.446 4.100 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H]1CCCOc2cc(F)ccc21 ZINC000182164229 526565245 /nfs/dbraw/zinc/56/52/45/526565245.db2.gz VPNPXDYZJGITDI-ZBEGNZNMSA-N 0 3 318.392 4.038 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H]3CC[C@@H]3C3CCC3)o2)cs1 ZINC000337993957 526571334 /nfs/dbraw/zinc/57/13/34/526571334.db2.gz FKTSPMBPDPDHEU-CABCVRRESA-N 0 3 302.443 4.380 20 0 DIADHN c1csc(C2CCN(C[C@@H]3CCC4(CCCCC4)O3)CC2)n1 ZINC000336861540 526576964 /nfs/dbraw/zinc/57/69/64/526576964.db2.gz CEFSUUBPJUFRTE-INIZCTEOSA-N 0 3 320.502 4.204 20 0 DIADHN Cc1noc(C2CCC(N[C@@H](C)c3ccc(F)cc3F)CC2)n1 ZINC000267822376 526586108 /nfs/dbraw/zinc/58/61/08/526586108.db2.gz XWPXNGSEQFLNNO-JKDOVBDQSA-N 0 3 321.371 4.033 20 0 DIADHN c1csc([C@H](NCc2cnc([C@@H]3CCCO3)s2)C2CC2)c1 ZINC000339514607 526588629 /nfs/dbraw/zinc/58/86/29/526588629.db2.gz FAYJHMACEARWAF-DZGCQCFKSA-N 0 3 320.483 4.297 20 0 DIADHN CN(Cc1ccc(C(F)F)cc1)[C@H](CCO)c1ccccc1 ZINC000274159027 536466656 /nfs/dbraw/zinc/46/66/56/536466656.db2.gz LZNLVXVYRIVUNE-QGZVFWFLSA-N 0 3 305.368 4.180 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC[C@H](O)c1ccc(F)cc1 ZINC000268760991 526677273 /nfs/dbraw/zinc/67/72/73/526677273.db2.gz NRZLCSKNAYBTFW-ABAIWWIYSA-N 0 3 322.449 4.098 20 0 DIADHN Cc1nc([C@H]2CCCCN2CCc2ccc3ccccc3c2)n[nH]1 ZINC000338323434 527073319 /nfs/dbraw/zinc/07/33/19/527073319.db2.gz XFUJGAXXVVOIHI-LJQANCHMSA-N 0 3 320.440 4.036 20 0 DIADHN Cn1cc(CNCc2coc3ccccc23)c(-c2ccccc2)n1 ZINC000339416219 527220646 /nfs/dbraw/zinc/22/06/46/527220646.db2.gz YPAHVEOTDCVHDQ-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN Cc1nccc(CN[C@@H](C)[C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000339335357 527337066 /nfs/dbraw/zinc/33/70/66/527337066.db2.gz VVXYABNINRNEJB-RYUDHWBXSA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nccc(CN[C@H](C)[C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000339335358 527338693 /nfs/dbraw/zinc/33/86/93/527338693.db2.gz VVXYABNINRNEJB-VXGBXAGGSA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nccc(CN[C@H](C)[C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000339335354 527339521 /nfs/dbraw/zinc/33/95/21/527339521.db2.gz VVXYABNINRNEJB-NWDGAFQWSA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nccn1CCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000338016693 527422018 /nfs/dbraw/zinc/42/20/18/527422018.db2.gz WXIAKBDFZSQSTQ-FQEVSTJZSA-N 0 3 323.415 4.100 20 0 DIADHN Cc1nccn1CCCN[C@H](C)c1cc(Cl)ccc1Cl ZINC000338008578 527422806 /nfs/dbraw/zinc/42/28/06/527422806.db2.gz PJRWKGXWOHFZNB-LLVKDONJSA-N 0 3 312.244 4.239 20 0 DIADHN OC1(CN[C@@H]2CCSc3ccc(Cl)cc32)CCCCC1 ZINC000109121860 527509558 /nfs/dbraw/zinc/50/95/58/527509558.db2.gz VFSLQTMWRSVCPL-CQSZACIVSA-N 0 3 311.878 4.162 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)N[C@@H]1CCc2cc(F)ccc21 ZINC000178088631 527584160 /nfs/dbraw/zinc/58/41/60/527584160.db2.gz QYLOSYXTPZKSIZ-KZULUSFZSA-N 0 3 315.436 4.424 20 0 DIADHN O[C@@H](C[C@H]1CCCCCN1Cc1cccnc1)c1cccs1 ZINC000267439864 527663107 /nfs/dbraw/zinc/66/31/07/527663107.db2.gz BOXPGPNEHIPEHY-SJORKVTESA-N 0 3 316.470 4.011 20 0 DIADHN FC(F)(F)c1cccc(C2(NCC3=CCCOC3)CCC2)c1 ZINC000127585711 527685240 /nfs/dbraw/zinc/68/52/40/527685240.db2.gz DNCBXNVEPDFCIB-UHFFFAOYSA-N 0 3 311.347 4.021 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@H]2C[C@@H]2c2ccccc2)s1 ZINC000339506871 527690790 /nfs/dbraw/zinc/69/07/90/527690790.db2.gz FGFATFVLWBYRRO-DGCLKSJQSA-N 0 3 312.360 4.055 20 0 DIADHN Cc1nn(C)cc1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000189578664 527693306 /nfs/dbraw/zinc/69/33/06/527693306.db2.gz UFRCZYAPRKQIOY-OAQYLSRUSA-N 0 3 317.436 4.173 20 0 DIADHN FC(F)c1ccc(CN2CCC(c3ccccn3)CC2)cc1 ZINC000364876938 527698690 /nfs/dbraw/zinc/69/86/90/527698690.db2.gz CXCJZNICQBLRAA-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@H](C)c2cccnc2Cl)CCO1 ZINC000272544156 535124723 /nfs/dbraw/zinc/12/47/23/535124723.db2.gz CGXHNWYUDUSIAB-INWMFGNUSA-N 0 3 310.869 4.369 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@@H]2C[C@@H](C)c3ccccc32)c1 ZINC000289090182 535144491 /nfs/dbraw/zinc/14/44/91/535144491.db2.gz BMWDTFCEGORXIB-FOIQADDNSA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000299238751 535183502 /nfs/dbraw/zinc/18/35/02/535183502.db2.gz UEHFZCKOOZXUTJ-CQSZACIVSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)NC[C@@H](C)N(C)C ZINC000298374871 535375043 /nfs/dbraw/zinc/37/50/43/535375043.db2.gz BSLBXBJMLGFHPW-CQSZACIVSA-N 0 3 305.466 4.005 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](C)c2cccc(C3CC3)c2)cc1 ZINC000340183650 535422772 /nfs/dbraw/zinc/42/27/72/535422772.db2.gz HXEOUMJRRCOROT-OAHLLOKOSA-N 0 3 322.452 4.165 20 0 DIADHN CCOc1ccc([C@H](COC)NCCCCC(F)(F)F)cc1 ZINC000284902377 535422917 /nfs/dbraw/zinc/42/29/17/535422917.db2.gz WZJZXVNTMWAFQW-HNNXBMFYSA-N 0 3 319.367 4.095 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000299369848 535807793 /nfs/dbraw/zinc/80/77/93/535807793.db2.gz FIHQZWGSUXHIDW-FUHWJXTLSA-N 0 3 310.441 4.371 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2nc(Cl)ccc21)c1ccncc1 ZINC000297817900 535809047 /nfs/dbraw/zinc/80/90/47/535809047.db2.gz REGHGBKDPOCPOU-CABCVRRESA-N 0 3 301.821 4.248 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H](C(F)(F)F)C1)c1ccc(F)cc1F ZINC000287874190 535939544 /nfs/dbraw/zinc/93/95/44/535939544.db2.gz YBPGIUPHKLWMMR-SWHYSGLUSA-N 0 3 323.305 4.115 20 0 DIADHN CN(C)[C@@H](CNc1nccc2ccc(F)cc21)c1cccs1 ZINC000340201851 536131917 /nfs/dbraw/zinc/13/19/17/536131917.db2.gz BKLIYOIUEKLFTN-HNNXBMFYSA-N 0 3 315.417 4.150 20 0 DIADHN CN(Cc1ccccc1F)[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000273391932 536482659 /nfs/dbraw/zinc/48/26/59/536482659.db2.gz FFGIWOAYSPKUCY-MJGOQNOKSA-N 0 3 317.379 4.317 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(Cl)nc2)cc1C ZINC000273186097 536618478 /nfs/dbraw/zinc/61/84/78/536618478.db2.gz PBAJLJGQXVPHCT-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2csc(C)c2)cc1 ZINC000297264062 536619147 /nfs/dbraw/zinc/61/91/47/536619147.db2.gz KFOQUVKNAXINTM-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2sccc2C)cc1 ZINC000287330014 536619770 /nfs/dbraw/zinc/61/97/70/536619770.db2.gz FWLCVXKEWGKHHH-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc([C@H](C)O)cc2)cc1C ZINC000273159571 536624635 /nfs/dbraw/zinc/62/46/35/536624635.db2.gz KCGJGXPNNAFXGF-HOTGVXAUSA-N 0 3 313.441 4.216 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3ccccc32)c(C)c1OC ZINC000285728593 536904639 /nfs/dbraw/zinc/90/46/39/536904639.db2.gz QNHLJAZBWDECET-KBXCAEBGSA-N 0 3 311.425 4.350 20 0 DIADHN Clc1cccc(CNCc2ccc(Br)s2)c1 ZINC000037977141 1042266830 /nfs/dbraw/zinc/26/68/30/1042266830.db2.gz OSCJOPZENNNPGJ-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1cncc(Br)c1 ZINC000579143108 1045295335 /nfs/dbraw/zinc/29/53/35/1045295335.db2.gz OLGVIMBAVDWLIA-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](C)c2ccc(Br)cc2)no1 ZINC000903246999 1046206128 /nfs/dbraw/zinc/20/61/28/1046206128.db2.gz SHSNTKCSMYXXFA-CMPLNLGQSA-N 0 3 323.234 4.200 20 0 DIADHN CC(C)CC[C@H](CO)NCc1ccc(F)c(Cl)c1Cl ZINC001203127855 1047522729 /nfs/dbraw/zinc/52/27/29/1047522729.db2.gz QBBAHXYCLLFUPW-LLVKDONJSA-N 0 3 308.224 4.019 20 0 DIADHN C[C@H](F)CCNCc1oc2ccccc2c1Br ZINC000655777671 1048163029 /nfs/dbraw/zinc/16/30/29/1048163029.db2.gz VTKCQXMHUIIILO-VIFPVBQESA-N 0 3 300.171 4.033 20 0 DIADHN C[C@@H](F)CCNCc1oc2ccccc2c1Br ZINC000655777670 1048163075 /nfs/dbraw/zinc/16/30/75/1048163075.db2.gz VTKCQXMHUIIILO-SECBINFHSA-N 0 3 300.171 4.033 20 0 DIADHN COCCSCCCN(C)[C@H](C)c1ccccc1Cl ZINC000486800234 1049475993 /nfs/dbraw/zinc/47/59/93/1049475993.db2.gz IVFSFBVOIRBBCR-CYBMUJFWSA-N 0 3 301.883 4.103 20 0 DIADHN CCCC1(CN[C@@H](C)c2nc(Br)ccc2F)CC1 ZINC000788668427 1050728459 /nfs/dbraw/zinc/72/84/59/1050728459.db2.gz KQNBFNJWKDUPDV-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cccc(Br)c1C ZINC001616333329 1056636550 /nfs/dbraw/zinc/63/65/50/1056636550.db2.gz YDWWWLVEJYJGPX-ZDUSSCGKSA-N 0 3 314.292 4.085 20 0 DIADHN CC[C@@](C)(CN[C@@H]1CCOc2c(Cl)c(Cl)ccc21)OC ZINC001474495615 1062175225 /nfs/dbraw/zinc/17/52/25/1062175225.db2.gz JBUKRTBFUGGVMN-DOMZBBRYSA-N 0 3 318.244 4.222 20 0 DIADHN Cc1cc(CNCc2ccc(-c3cccnc3)cc2)cc(C)c1O ZINC000579726727 1035538719 /nfs/dbraw/zinc/53/87/19/1035538719.db2.gz RUBVUAHFTDBNOZ-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccc(OC(F)(F)F)cc2)C1 ZINC001204415823 1035574782 /nfs/dbraw/zinc/57/47/82/1035574782.db2.gz XRPCQWQNCOREJR-NSHDSACASA-N 0 3 309.278 4.062 20 0 DIADHN COc1cccc2cc(CN3CCC(OCC(C)C)CC3)oc21 ZINC000092223070 1035619499 /nfs/dbraw/zinc/61/94/99/1035619499.db2.gz LRFBXULPHAUTBS-UHFFFAOYSA-N 0 3 317.429 4.078 20 0 DIADHN CCn1nccc1CN[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000118966264 1035642573 /nfs/dbraw/zinc/64/25/73/1035642573.db2.gz ZEBQCDLEVZDOMO-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN C[C@H](NCc1ccc(-n2ccnc2)cc1)c1ccc(Cl)s1 ZINC000035253683 1035644938 /nfs/dbraw/zinc/64/49/38/1035644938.db2.gz FPMPJHLZGYLXCL-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CCCC1CCCCC1 ZINC000046412503 1035714622 /nfs/dbraw/zinc/71/46/22/1035714622.db2.gz IYHOKXNPSHKYBQ-UHFFFAOYSA-N 0 3 302.462 4.437 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCc2ncsc2C1 ZINC001208220601 1035734121 /nfs/dbraw/zinc/73/41/21/1035734121.db2.gz UDIMVYKMUACUDG-CQSZACIVSA-N 0 3 310.507 4.123 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1sccc1Br ZINC000530513104 1035766850 /nfs/dbraw/zinc/76/68/50/1035766850.db2.gz DHZJWHCNJIIWHC-JTQLQIEISA-N 0 3 320.296 4.102 20 0 DIADHN CC(C)(CCN1CCc2cnc(C3CC3)nc2C1)c1ccccc1 ZINC001208674019 1035796424 /nfs/dbraw/zinc/79/64/24/1035796424.db2.gz ZFTVTHUPSUUPHT-UHFFFAOYSA-N 0 3 321.468 4.080 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc3[nH]ccc3c2)cc1OC ZINC000579852712 1035833244 /nfs/dbraw/zinc/83/32/44/1035833244.db2.gz VOHOHFRMXARIMT-AWEZNQCLSA-N 0 3 324.424 4.426 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]c3cccc(Br)c23)C2(CC2)C1 ZINC001139966065 1035852435 /nfs/dbraw/zinc/85/24/35/1035852435.db2.gz JCEKVPWXXBJKJH-NSHDSACASA-N 0 3 319.246 4.305 20 0 DIADHN FC1(F)CC2(CN(CCCCCOCc3ccccc3)C2)C1 ZINC001208803568 1035868386 /nfs/dbraw/zinc/86/83/86/1035868386.db2.gz HKJOHYKUWOEVEU-UHFFFAOYSA-N 0 3 309.400 4.105 20 0 DIADHN CCOCc1cc(CN(C)Cc2ccc(C)s2)ccc1OC ZINC000179421746 1035875769 /nfs/dbraw/zinc/87/57/69/1035875769.db2.gz PQYZDCBLVUCDPT-UHFFFAOYSA-N 0 3 319.470 4.234 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccc(F)c(OC)c1 ZINC000179431920 1035876326 /nfs/dbraw/zinc/87/63/26/1035876326.db2.gz FKGLPRTXSJDYOB-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN CCCCN(Cc1nnc(C)n1C1CC1)[C@H]1CCC[C@H](C)C1 ZINC000339644153 1035898258 /nfs/dbraw/zinc/89/82/58/1035898258.db2.gz CQRLHFPXUDMVKW-YOEHRIQHSA-N 0 3 304.482 4.102 20 0 DIADHN CCOCc1cc(CN(C)Cc2ccc(F)cc2)ccc1OC ZINC000179646166 1035914531 /nfs/dbraw/zinc/91/45/31/1035914531.db2.gz ZRSZDCABCGIUMP-UHFFFAOYSA-N 0 3 317.404 4.003 20 0 DIADHN c1csc([C@H]2CCCN2Cc2cnc(-c3ccccc3)[nH]2)c1 ZINC000179691994 1035922724 /nfs/dbraw/zinc/92/27/24/1035922724.db2.gz JCONIHRKAPXMKJ-MRXNPFEDSA-N 0 3 309.438 4.475 20 0 DIADHN FC1(CCNCc2cc(Cl)ccc2Br)CCC1 ZINC000705430073 1035927109 /nfs/dbraw/zinc/92/71/09/1035927109.db2.gz NJUSECHUQUJACE-UHFFFAOYSA-N 0 3 320.633 4.474 20 0 DIADHN Fc1cccc(CN[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)c1F ZINC001203200119 1035932733 /nfs/dbraw/zinc/93/27/33/1035932733.db2.gz NIUWBUQTCMESDK-UKPHBRMFSA-N 0 3 305.755 4.046 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2cc(C)ccc2C)c2ccccc21 ZINC000339756166 1035959794 /nfs/dbraw/zinc/95/97/94/1035959794.db2.gz LUHFRHMNRBBSEM-OXQOHEQNSA-N 0 3 322.452 4.452 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc3cnccc3c2)c1 ZINC000326901318 1035962988 /nfs/dbraw/zinc/96/29/88/1035962988.db2.gz KCJBVUXKKIUAMN-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN Fc1cccc([C@H]2CCCN2Cc2cccc3c2CCOC3)c1 ZINC001167094421 1035982342 /nfs/dbraw/zinc/98/23/42/1035982342.db2.gz OSXAUNNRJBXYGH-HXUWFJFHSA-N 0 3 311.400 4.236 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N(C)C[C@@H](C)c1ccccc1 ZINC000066854568 1036002050 /nfs/dbraw/zinc/00/20/50/1036002050.db2.gz PMIVKRJLAKMYIR-IAGOWNOFSA-N 0 3 310.441 4.058 20 0 DIADHN CO[C@@H]1CCN(CCc2ccc(Cl)cc2Cl)[C@@H](C)C1 ZINC001209082813 1036006635 /nfs/dbraw/zinc/00/66/35/1036006635.db2.gz DFHUFNWCFLBWDL-SMDDNHRTSA-N 0 3 302.245 4.035 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2cccc(F)c2)c1 ZINC000154850525 1036036947 /nfs/dbraw/zinc/03/69/47/1036036947.db2.gz QSRNPCJSUVCKLX-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN CC(C)CCN(C)[C@@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001167100166 1036037208 /nfs/dbraw/zinc/03/72/08/1036037208.db2.gz FWMQKNWVHXXABA-NSHDSACASA-N 0 3 317.260 4.298 20 0 DIADHN c1ccc([C@@H](NC[C@@H]2CCc3ccccc32)c2ccccn2)cc1 ZINC000180517239 1036067410 /nfs/dbraw/zinc/06/74/10/1036067410.db2.gz ATCRVKPEBDWOLZ-SIKLNZKXSA-N 0 3 314.432 4.491 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(Cl)c(Cl)c2)c1 ZINC000047452701 1036075504 /nfs/dbraw/zinc/07/55/04/1036075504.db2.gz VRMIJSGXOLFUBN-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(C(F)(F)F)cc2)c1 ZINC000047452644 1036075755 /nfs/dbraw/zinc/07/57/55/1036075755.db2.gz FOASVVRDUSLNPB-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)Cc3cccs3)co2)cc1 ZINC000085299589 1036116922 /nfs/dbraw/zinc/11/69/22/1036116922.db2.gz OYAIYIYTLMKEQY-CQSZACIVSA-N 0 3 312.438 4.432 20 0 DIADHN C[C@H](CNCc1csc(Cl)c1Cl)c1ccncc1 ZINC000683342783 1036175855 /nfs/dbraw/zinc/17/58/55/1036175855.db2.gz XDCDEOFYZPECPL-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnc(C)s1)c1ccccc1Cl ZINC000090453640 1036188953 /nfs/dbraw/zinc/18/89/53/1036188953.db2.gz RVLYVSZFUMZFFY-IINYFYTJSA-N 0 3 310.850 4.143 20 0 DIADHN c1ccc(-c2cccc(CN[C@@H](c3cccnc3)C3CC3)c2)nc1 ZINC000340103819 1036201710 /nfs/dbraw/zinc/20/17/10/1036201710.db2.gz CAQHSYFAAWQUSZ-OAQYLSRUSA-N 0 3 315.420 4.385 20 0 DIADHN Brc1csc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000340107379 1036202524 /nfs/dbraw/zinc/20/25/24/1036202524.db2.gz CZLKJEOWERVYQN-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN CC(C)(C)c1ccc(C2(NCc3cncnc3)CCCC2)cc1 ZINC000120648762 1036216082 /nfs/dbraw/zinc/21/60/82/1036216082.db2.gz JTSSZZRSYZYPIR-UHFFFAOYSA-N 0 3 309.457 4.333 20 0 DIADHN Oc1cc(CN2CC[C@H](c3ccccc3)C2)cc(C(F)(F)F)c1 ZINC001167205376 1036273524 /nfs/dbraw/zinc/27/35/24/1036273524.db2.gz CXHFRTOQSPNMTM-HNNXBMFYSA-N 0 3 321.342 4.401 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000247128725 1036273721 /nfs/dbraw/zinc/27/37/21/1036273721.db2.gz QGHIBUYMBDWENK-PXAZEXFGSA-N 0 3 305.372 4.019 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2nc3ccccc3s2)CS1 ZINC000754122691 1036278751 /nfs/dbraw/zinc/27/87/51/1036278751.db2.gz OXWWQLDZEQQSNR-ZOWXZIJZSA-N 0 3 306.500 4.477 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccsc3)cc2)CC(C)(C)O1 ZINC001167218832 1036281419 /nfs/dbraw/zinc/28/14/19/1036281419.db2.gz SWWUFXUDWWAPGO-AWEZNQCLSA-N 0 3 301.455 4.414 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OCCO2)c1ccc(Cl)cc1 ZINC000236703491 1036307144 /nfs/dbraw/zinc/30/71/44/1036307144.db2.gz XQPYDKAWHHCXNX-UHFFFAOYSA-N 0 3 317.816 4.136 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccccc2)s1)[C@@H]1CCCCO1 ZINC000653875069 1036330984 /nfs/dbraw/zinc/33/09/84/1036330984.db2.gz PEAYHMVZZLHDCL-CVEARBPZSA-N 0 3 316.470 4.247 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccccc2)s1)[C@H]1CCCCO1 ZINC000653875071 1036331649 /nfs/dbraw/zinc/33/16/49/1036331649.db2.gz PEAYHMVZZLHDCL-HZPDHXFCSA-N 0 3 316.470 4.247 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC[C@@H]2CC(C)(C)C)c1 ZINC000143366416 1036337916 /nfs/dbraw/zinc/33/79/16/1036337916.db2.gz AUXQAZQDVAWUQH-QGZVFWFLSA-N 0 3 317.477 4.181 20 0 DIADHN COCCN(Cc1c(Cl)oc2ccccc21)C1CCCC1 ZINC001167261829 1036341262 /nfs/dbraw/zinc/34/12/62/1036341262.db2.gz MINAKWUBXZBCGK-UHFFFAOYSA-N 0 3 307.821 4.477 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2sccc2C2CC2)c1 ZINC000340333909 1036364629 /nfs/dbraw/zinc/36/46/29/1036364629.db2.gz ATTNHFWLCUEJIO-UHFFFAOYSA-N 0 3 314.454 4.248 20 0 DIADHN CCN(Cc1c(F)cccc1F)C[C@H]1CCCC12CCOCC2 ZINC001167283464 1036399139 /nfs/dbraw/zinc/39/91/39/1036399139.db2.gz HBFDFUUURFVUHU-OAHLLOKOSA-N 0 3 323.427 4.384 20 0 DIADHN CC[C@H]1CCCN([C@H](C)c2nc(-c3ccc(F)c(C)c3)no2)C1 ZINC000302927999 1036414467 /nfs/dbraw/zinc/41/44/67/1036414467.db2.gz FBWRHYWVRUFQIU-KGLIPLIRSA-N 0 3 317.408 4.367 20 0 DIADHN CC(C)[C@H](NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000008002567 1036446069 /nfs/dbraw/zinc/44/60/69/1036446069.db2.gz PNZFNTIBFLWNHK-SFHVURJKSA-N 0 3 316.832 4.265 20 0 DIADHN OCCC[C@H](N[C@H]1CCc2c1cc(F)cc2F)c1ccccc1 ZINC000121430728 1036454135 /nfs/dbraw/zinc/45/41/35/1036454135.db2.gz FHSOLARSZFSMTM-OALUTQOASA-N 0 3 317.379 4.056 20 0 DIADHN Cn1c2ccc(C(F)(F)F)cc2nc1CNC1(C2CCC2)CC1 ZINC000651959639 1036456932 /nfs/dbraw/zinc/45/69/32/1036456932.db2.gz WKVMEQRVWPMKTN-UHFFFAOYSA-N 0 3 323.362 4.014 20 0 DIADHN COc1ccc(C[C@@H](C)N(C)Cc2ccc(F)cc2F)cc1 ZINC001167291720 1036472273 /nfs/dbraw/zinc/47/22/73/1036472273.db2.gz VXJANMWXGWELKO-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1ccc(N3CCCC3)nc1)CC2 ZINC000655687196 1036478072 /nfs/dbraw/zinc/47/80/72/1036478072.db2.gz LXQAFBQYIYEDAO-LJQANCHMSA-N 0 3 321.468 4.076 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001167294420 1036485628 /nfs/dbraw/zinc/48/56/28/1036485628.db2.gz PYAPNAMOXHWPGK-IEZWGBDMSA-N 0 3 305.437 4.385 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H](O)c1cccc(C)c1 ZINC001167294615 1036486120 /nfs/dbraw/zinc/48/61/20/1036486120.db2.gz UCRHBVCCVBHHFJ-FQEVSTJZSA-N 0 3 315.432 4.470 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nc3cc(Cl)ccc3s2)CS1 ZINC000655701133 1036489097 /nfs/dbraw/zinc/48/90/97/1036489097.db2.gz ULDAFVCATYGWQH-KOLCDFICSA-N 0 3 312.891 4.323 20 0 DIADHN C[C@@H](CN[C@@H]1CCCn2ccnc21)c1c(Cl)cccc1Cl ZINC000655704304 1036492284 /nfs/dbraw/zinc/49/22/84/1036492284.db2.gz AEZZTCKZWNTDJD-SMDDNHRTSA-N 0 3 324.255 4.418 20 0 DIADHN Cc1ccc(CNCc2cn(-c3ccc(C)cc3)nc2C)s1 ZINC000655712986 1036495961 /nfs/dbraw/zinc/49/59/61/1036495961.db2.gz XRJHNMBVTCEQFO-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1cn2ccccc2n1)Nc1ccccc1 ZINC000655712750 1036496416 /nfs/dbraw/zinc/49/64/16/1036496416.db2.gz RLJGWEQULRYBAP-WMZOPIPTSA-N 0 3 322.456 4.122 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN[C@H](C)c1ccc(C)cc1 ZINC000655712256 1036496570 /nfs/dbraw/zinc/49/65/70/1036496570.db2.gz PJYGDZJGXQPMIF-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN Clc1ccc(C2(CN[C@@H]3CCCn4ccnc43)CCC2)cc1 ZINC000655708478 1036496610 /nfs/dbraw/zinc/49/66/10/1036496610.db2.gz QLGBRJOPCNOXCF-MRXNPFEDSA-N 0 3 315.848 4.083 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H]1CCCn2ccnc21 ZINC000655738856 1036513969 /nfs/dbraw/zinc/51/39/69/1036513969.db2.gz ZWMNGIFOTCWURE-IAQYHMDHSA-N 0 3 324.255 4.246 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1cccc(Br)c1 ZINC000071212476 1036529939 /nfs/dbraw/zinc/52/99/39/1036529939.db2.gz BGZSIAAHUGJPHS-SECBINFHSA-N 0 3 311.248 4.065 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCc1ccc(CO)cc1 ZINC000389010071 1036531414 /nfs/dbraw/zinc/53/14/14/1036531414.db2.gz JVDPUSGQOHSDPZ-LBPRGKRZSA-N 0 3 318.486 4.092 20 0 DIADHN Cc1csc(CNCc2cn(-c3ccc(C)cc3)nc2C)c1 ZINC000655778534 1036535181 /nfs/dbraw/zinc/53/51/81/1036535181.db2.gz ONBZOZUMJSDJKG-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN Clc1ccc(CCNCc2nc3c(s2)CCCC3)s1 ZINC001648822170 1036587185 /nfs/dbraw/zinc/58/71/85/1036587185.db2.gz VEWYYWINGRFZQU-UHFFFAOYSA-N 0 3 312.891 4.069 20 0 DIADHN C[C@H](N[C@H]1C[C@H](OC(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000655839875 1036592596 /nfs/dbraw/zinc/59/25/96/1036592596.db2.gz XVEQAEWYYFSVPR-AVGNSLFASA-N 0 3 308.491 4.019 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc(-n2ccnc2)cc1 ZINC000340428726 1036597159 /nfs/dbraw/zinc/59/71/59/1036597159.db2.gz URJHEUJDLLYFRM-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN C[C@@H](NCc1cnc2ccccn12)c1ccc2c(c1)CCCC2 ZINC000121831586 1036602573 /nfs/dbraw/zinc/60/25/73/1036602573.db2.gz QXZDOZSYMVIMGF-OAHLLOKOSA-N 0 3 305.425 4.064 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCO[C@@H]1CCCC[C@@H]1C ZINC001167309936 1036610582 /nfs/dbraw/zinc/61/05/82/1036610582.db2.gz KJPXQICUHVYFPL-YTQUADARSA-N 0 3 317.473 4.427 20 0 DIADHN Clc1cnc2oc(CNC[C@@H]3CCC4(CCCC4)O3)cc2c1 ZINC000655863849 1036619174 /nfs/dbraw/zinc/61/91/74/1036619174.db2.gz VQXRAEQNKJNYEJ-AWEZNQCLSA-N 0 3 320.820 4.063 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H](C)c2sccc2C)c1 ZINC000070091022 1036621869 /nfs/dbraw/zinc/62/18/69/1036621869.db2.gz SRCOFKQONSVKID-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN Fc1ccc2oc(CNCCOc3cccc(Cl)c3)cc2c1 ZINC001648878919 1036669846 /nfs/dbraw/zinc/66/98/46/1036669846.db2.gz OGMUXLVRTLOLKA-UHFFFAOYSA-N 0 3 319.763 4.394 20 0 DIADHN Cc1ccc(CN2CCC(c3ccccn3)CC2)c(Cl)c1 ZINC000683668292 1036670063 /nfs/dbraw/zinc/67/00/63/1036670063.db2.gz WQFZXWSQVFIKCF-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1ccc2[nH]ccc2c1 ZINC000579978221 1036672300 /nfs/dbraw/zinc/67/23/00/1036672300.db2.gz QMYQZYFAYYPGJC-SFHVURJKSA-N 0 3 310.372 4.311 20 0 DIADHN Cc1nnc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)s1 ZINC000579979292 1036672500 /nfs/dbraw/zinc/67/25/00/1036672500.db2.gz FMVGADCRRLSFRV-MRXNPFEDSA-N 0 3 323.893 4.247 20 0 DIADHN Cc1cc(CN[C@H](C)COc2ccc(C(C)(C)C)cc2)sn1 ZINC001648898634 1036678983 /nfs/dbraw/zinc/67/89/83/1036678983.db2.gz SIITWGUWIXATQA-CQSZACIVSA-N 0 3 318.486 4.306 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCc3ccc(O)cc32)cc1 ZINC000340472074 1036691912 /nfs/dbraw/zinc/69/19/12/1036691912.db2.gz ACOMWWMXGHHJJF-HXUWFJFHSA-N 0 3 311.425 4.348 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(F)cc2)cc1OC ZINC000074334667 1036698128 /nfs/dbraw/zinc/69/81/28/1036698128.db2.gz NCXXJWYZFCOXII-CHWSQXEVSA-N 0 3 303.377 4.255 20 0 DIADHN C[C@@H](N[C@@H](C)[C@H]1CC1(F)F)c1ccc(Br)cc1F ZINC000639193517 1036700732 /nfs/dbraw/zinc/70/07/32/1036700732.db2.gz VKBYRRGFKOENDQ-VHSKPIJISA-N 0 3 322.168 4.283 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCCc3ccc(O)cc32)cc1 ZINC000340475815 1036712662 /nfs/dbraw/zinc/71/26/62/1036712662.db2.gz ZAGIKMBBSLSFIA-HXUWFJFHSA-N 0 3 311.425 4.347 20 0 DIADHN CC1(CN2C[C@H](C(F)(F)F)C[C@H](C(F)(F)F)C2)CCC1 ZINC000683696695 1036725604 /nfs/dbraw/zinc/72/56/04/1036725604.db2.gz FYCASRPDMSYHTH-AOOOYVTPSA-N 0 3 303.290 4.239 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCc3cc(Cl)ccc32)c1 ZINC000155498610 1036729898 /nfs/dbraw/zinc/72/98/98/1036729898.db2.gz QAXFCNRYYXGEAE-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN COc1cc(CNC(C)(C)c2ccc(F)c(F)c2)ccc1C ZINC000683706181 1036742383 /nfs/dbraw/zinc/74/23/83/1036742383.db2.gz PJMMVQQEHIZFPB-UHFFFAOYSA-N 0 3 305.368 4.307 20 0 DIADHN C[C@@H](NC1CCC(C2CCCCC2)CC1)c1nnc2n1CCC2 ZINC000683708268 1036748153 /nfs/dbraw/zinc/74/81/53/1036748153.db2.gz BPWUPIZQDZSSKP-ODIFPOPNSA-N 0 3 316.493 4.014 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@@H]1CCCc2ccc(O)cc21 ZINC000340496386 1036762589 /nfs/dbraw/zinc/76/25/89/1036762589.db2.gz GEWYGZOBZVLQIA-HXUWFJFHSA-N 0 3 324.468 4.404 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2ccncc2F)cc1 ZINC000340518430 1036776174 /nfs/dbraw/zinc/77/61/74/1036776174.db2.gz IYCKIXBKMYSPFQ-KYNGSXCRSA-N 0 3 314.404 4.100 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCCc2c(O)cccc21 ZINC000340531151 1036791858 /nfs/dbraw/zinc/79/18/58/1036791858.db2.gz SEUXBHPKQWMNGM-ZWKOTPCHSA-N 0 3 309.409 4.055 20 0 DIADHN Fc1ccc(SCCN2CCC[C@H]2c2ccccn2)cc1 ZINC000530962437 1036796510 /nfs/dbraw/zinc/79/65/10/1036796510.db2.gz HXELKUVDAUVBCU-KRWDZBQOSA-N 0 3 302.418 4.150 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001167338195 1036807789 /nfs/dbraw/zinc/80/77/89/1036807789.db2.gz KZJWCOAMWPMNHJ-SJORKVTESA-N 0 3 310.526 4.314 20 0 DIADHN CO[C@H](CNCc1scnc1C1CC1)c1ccccc1Cl ZINC000683771655 1036885479 /nfs/dbraw/zinc/88/54/79/1036885479.db2.gz ZASCOPHGWHVRTK-CQSZACIVSA-N 0 3 322.861 4.151 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc(Br)c2Cl)CS1 ZINC000706453065 1036936419 /nfs/dbraw/zinc/93/64/19/1036936419.db2.gz SBTXSVVMSMYQRM-PSASIEDQSA-N 0 3 320.683 4.086 20 0 DIADHN Cn1cncc1CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000291420305 1036940051 /nfs/dbraw/zinc/94/00/51/1036940051.db2.gz HTVGEZYWIFALSJ-MRXNPFEDSA-N 0 3 324.255 4.010 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(C3CCCCC3)s2)C[C@@H]1C ZINC000580155290 1036979189 /nfs/dbraw/zinc/97/91/89/1036979189.db2.gz INVFSVHDWMSOFN-BBRMVZONSA-N 0 3 308.491 4.048 20 0 DIADHN O=C(OCc1ccccc1)[C@H]1CCCN1CC1CCCCCC1 ZINC001167380242 1037056367 /nfs/dbraw/zinc/05/63/67/1037056367.db2.gz FGXAOBBGIPEMMZ-LJQANCHMSA-N 0 3 315.457 4.165 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)c(F)c(C)c2)cc1OC ZINC001143379958 1037060611 /nfs/dbraw/zinc/06/06/11/1037060611.db2.gz XDOLRMRTPONHBQ-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN C[C@H]1C[C@@H](NCc2sccc2Cl)CN1Cc1ccccc1 ZINC000398004209 1037075089 /nfs/dbraw/zinc/07/50/89/1037075089.db2.gz ACUAUFOGMNTSQG-DZGCQCFKSA-N 0 3 320.889 4.154 20 0 DIADHN Cc1nc(C)c(CN2CCC(CCc3ccc(C)cc3)CC2)o1 ZINC000683896998 1037075786 /nfs/dbraw/zinc/07/57/86/1037075786.db2.gz HRPTUNYMMLNHAY-UHFFFAOYSA-N 0 3 312.457 4.445 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccccc3F)CC2)oc1C ZINC001204535838 1037098230 /nfs/dbraw/zinc/09/82/30/1037098230.db2.gz ZQFLDMRSQYAIKS-UHFFFAOYSA-N 0 3 317.404 4.217 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cc2ccccc2[nH]1 ZINC000683926852 1037110135 /nfs/dbraw/zinc/11/01/35/1037110135.db2.gz HKBOYWSAKPKHHK-LJQANCHMSA-N 0 3 306.409 4.344 20 0 DIADHN c1c2ccccc2[nH]c1CNCc1ccnc(OC2CCCC2)c1 ZINC000683928110 1037116810 /nfs/dbraw/zinc/11/68/10/1037116810.db2.gz VRFFAMVJUWADMX-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN COc1cc(CNCc2cc3ccccc3[nH]2)c2ccccc2n1 ZINC000683930217 1037119117 /nfs/dbraw/zinc/11/91/17/1037119117.db2.gz WWVJNCRGFMJAFI-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)CC2)cc1 ZINC001167406281 1037149851 /nfs/dbraw/zinc/14/98/51/1037149851.db2.gz OMPBLJDPESPGLG-QGZVFWFLSA-N 0 3 316.489 4.336 20 0 DIADHN C[C@H]1CN(CCCC2CCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001167411233 1037165165 /nfs/dbraw/zinc/16/51/65/1037165165.db2.gz AZAVRTLQCCQMIJ-HOTGVXAUSA-N 0 3 324.509 4.287 20 0 DIADHN Brc1ccc2oc(CN[C@]34C[C@H]3CCCC4)nc2c1 ZINC000639378731 1037189568 /nfs/dbraw/zinc/18/95/68/1037189568.db2.gz CNTZKIYXKQJNRE-MEBBXXQBSA-N 0 3 321.218 4.013 20 0 DIADHN [O-]c1cnccc1C[N@@H+]1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001140277048 1037199259 /nfs/dbraw/zinc/19/92/59/1037199259.db2.gz RGLJYICHKAAZOE-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnccc1C[N@H+]1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001140277048 1037199268 /nfs/dbraw/zinc/19/92/68/1037199268.db2.gz RGLJYICHKAAZOE-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN CCN(Cc1nc(-c2ccc(Cl)cc2)no1)C1CCCC1 ZINC000047965410 1037218338 /nfs/dbraw/zinc/21/83/38/1037218338.db2.gz MVICESQMMOWUBM-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)CC1 ZINC001167424278 1037227810 /nfs/dbraw/zinc/22/78/10/1037227810.db2.gz GDENEDWEFADZMX-CQSZACIVSA-N 0 3 323.864 4.369 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@@H](C)c1cnccc1N)CCC2 ZINC000580639869 1037234538 /nfs/dbraw/zinc/23/45/38/1037234538.db2.gz SXENGBGFEAGPLE-XOBRGWDASA-N 0 3 309.457 4.346 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)CCSC(C)C ZINC000580661205 1037239574 /nfs/dbraw/zinc/23/95/74/1037239574.db2.gz JQTZGUCLGYXXOZ-OAHLLOKOSA-N 0 3 301.883 4.101 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCCO[C@@H](c2ccccc2)C1 ZINC000684022001 1037247626 /nfs/dbraw/zinc/24/76/26/1037247626.db2.gz AYSJIQJXPUADIR-HXUWFJFHSA-N 0 3 306.409 4.132 20 0 DIADHN OCCN(Cc1cc2ccccc2[nH]1)[C@@H]1CCCc2ccccc21 ZINC000684021602 1037249411 /nfs/dbraw/zinc/24/94/11/1037249411.db2.gz PZVPUMKHGYTYAB-OAQYLSRUSA-N 0 3 320.436 4.040 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2ccn(-c3ccccc3F)n2)C1 ZINC001167430028 1037251071 /nfs/dbraw/zinc/25/10/71/1037251071.db2.gz QLVKIQUPXOSYLT-HUUCEWRRSA-N 0 3 301.409 4.022 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2ccn(-c3ccccc3F)n2)C1 ZINC001167430027 1037251938 /nfs/dbraw/zinc/25/19/38/1037251938.db2.gz QLVKIQUPXOSYLT-GJZGRUSLSA-N 0 3 301.409 4.022 20 0 DIADHN Brc1ccsc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000087780345 1037284537 /nfs/dbraw/zinc/28/45/37/1037284537.db2.gz QFTASMAYEVBFOA-JQWIXIFHSA-N 0 3 300.265 4.275 20 0 DIADHN COc1cccc(CN2CCC(OC3CCCCC3)CC2)c1 ZINC000126932600 1037331414 /nfs/dbraw/zinc/33/14/14/1037331414.db2.gz WXMKZAFHOQMTNJ-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN CCC[C@H](N[C@H](c1ccccc1)[C@@H](C)O)c1ccc(OC)cc1 ZINC000658364104 1037347541 /nfs/dbraw/zinc/34/75/41/1037347541.db2.gz ZRALHGGFWLSJQN-XPGWFJOJSA-N 0 3 313.441 4.248 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2c(F)cccc2Cl)cs1 ZINC000834667902 1037347603 /nfs/dbraw/zinc/34/76/03/1037347603.db2.gz CSMJKRFESHAFGP-YGRLFVJLSA-N 0 3 313.825 4.233 20 0 DIADHN c1coc([C@@H]2CCCCCN2C[C@H]2COC3(CCCCC3)O2)c1 ZINC001167438578 1037355143 /nfs/dbraw/zinc/35/51/43/1037355143.db2.gz PHZNTCQRTNGWDY-IRXDYDNUSA-N 0 3 319.445 4.273 20 0 DIADHN CCN(Cc1ccc(F)c(OC)c1)[C@@H](C)c1cccc(O)c1 ZINC000091975332 1037355633 /nfs/dbraw/zinc/35/56/33/1037355633.db2.gz RVCUVJXUFUGMLW-ZDUSSCGKSA-N 0 3 303.377 4.123 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@@H]1CC[C@@H]1C1CC1 ZINC000580944086 1037374357 /nfs/dbraw/zinc/37/43/57/1037374357.db2.gz LQBSCKRTCAAWJQ-QZTJIDSGSA-N 0 3 316.514 4.144 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H]2CCc3ccc(F)cc32)n1 ZINC000127659054 1037375135 /nfs/dbraw/zinc/37/51/35/1037375135.db2.gz OTMVTYLFETZBOV-IBGZPJMESA-N 0 3 321.399 4.097 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cc(C)cc(Cl)c1 ZINC000580965070 1037387908 /nfs/dbraw/zinc/38/79/08/1037387908.db2.gz HOGQQTNJKGODNV-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N[C@@H]2CCCC[C@H]2C)c(C)s1 ZINC000255955829 1037417326 /nfs/dbraw/zinc/41/73/26/1037417326.db2.gz YDUWYGAEWCLOSO-ABYLEIOUSA-N 0 3 322.518 4.099 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N(C)CCCN(C)Cc1ccco1 ZINC000581087568 1037429493 /nfs/dbraw/zinc/42/94/93/1037429493.db2.gz PMPXAWUYPJNJOM-CQSZACIVSA-N 0 3 322.399 4.073 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N(C)CCCN(C)Cc1ccco1 ZINC000581087563 1037429651 /nfs/dbraw/zinc/42/96/51/1037429651.db2.gz PMPXAWUYPJNJOM-AWEZNQCLSA-N 0 3 322.399 4.073 20 0 DIADHN CCOc1cc(F)cc(CN2CCSC3(CCCCC3)C2)c1 ZINC001204551439 1037431822 /nfs/dbraw/zinc/43/18/22/1037431822.db2.gz KJUDZLBUTGYJOD-UHFFFAOYSA-N 0 3 323.477 4.476 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3c(c(Cl)c2)OCO3)cc1F ZINC000316593151 1037467971 /nfs/dbraw/zinc/46/79/71/1037467971.db2.gz SVRSGBWCGUFQKV-LLVKDONJSA-N 0 3 321.779 4.367 20 0 DIADHN C[C@H](O)C[C@H]1CCCCN1Cc1cnc(C2CCCCC2)s1 ZINC000581231622 1037486317 /nfs/dbraw/zinc/48/63/17/1037486317.db2.gz SUINESHJFDNGPR-GOEBONIOSA-N 0 3 322.518 4.316 20 0 DIADHN c1ccc2[nH]c(C3CN(Cc4ccc(C5CC5)cc4)C3)nc2c1 ZINC000656443621 1037498575 /nfs/dbraw/zinc/49/85/75/1037498575.db2.gz YQUYKDGBLZYNJK-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000276085190 1037499939 /nfs/dbraw/zinc/49/99/39/1037499939.db2.gz AFPMYJGTYZBZHJ-KGLIPLIRSA-N 0 3 301.434 4.193 20 0 DIADHN CCC(CC)C(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000656494624 1037550595 /nfs/dbraw/zinc/55/05/95/1037550595.db2.gz BTEICFIFQINEQW-INIZCTEOSA-N 0 3 322.518 4.074 20 0 DIADHN CSc1cccc(NC(=O)CN[C@@H](C)c2ccc(C)s2)c1 ZINC000754655105 1037561308 /nfs/dbraw/zinc/56/13/08/1037561308.db2.gz DWQWXRAMLGMJMB-LBPRGKRZSA-N 0 3 320.483 4.068 20 0 DIADHN COc1cc(CNCc2cccc(CSC)c2)ccc1C ZINC000581409166 1037599455 /nfs/dbraw/zinc/59/94/55/1037599455.db2.gz XMGQMEQCLSLDFD-UHFFFAOYSA-N 0 3 301.455 4.156 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N(CCN(C)C)c1ccccc1 ZINC001125498359 1037606710 /nfs/dbraw/zinc/60/67/10/1037606710.db2.gz GFYUXOBISVNPTG-QGZVFWFLSA-N 0 3 304.478 4.188 20 0 DIADHN C[C@H](c1nc(-c2nccc3ccccc32)no1)N1CCCCCC1 ZINC000276250737 1037667275 /nfs/dbraw/zinc/66/72/75/1037667275.db2.gz WCYFNHZKFGRULL-CQSZACIVSA-N 0 3 322.412 4.222 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](c3ccccc3C)C[C@@H]2C)cc1 ZINC001167464748 1037668597 /nfs/dbraw/zinc/66/85/97/1037668597.db2.gz QDYJIALQLRSYIP-ZWKOTPCHSA-N 0 3 322.452 4.120 20 0 DIADHN COc1ccc([C@H](C)NC[C@]2(C)CC2(Cl)Cl)c(F)c1 ZINC000834883108 1037698794 /nfs/dbraw/zinc/69/87/94/1037698794.db2.gz CIJXLRRFPKCPQH-ZANVPECISA-N 0 3 306.208 4.069 20 0 DIADHN C[C@H](CN[C@@H](c1ccccc1)c1cncnc1)c1ccc(F)cc1 ZINC000581516238 1037704257 /nfs/dbraw/zinc/70/42/57/1037704257.db2.gz BBIHIMGFZCFLQG-QRWLVFNGSA-N 0 3 321.399 4.098 20 0 DIADHN CCOC(CCN[C@H](C)c1cc(Cl)ccc1Cl)OCC ZINC000276331090 1037711132 /nfs/dbraw/zinc/71/11/32/1037711132.db2.gz RHIDMAPGQVUNHD-LLVKDONJSA-N 0 3 320.260 4.433 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001167467861 1037713936 /nfs/dbraw/zinc/71/39/36/1037713936.db2.gz NAJPPVVETXLSNT-ZWKOTPCHSA-N 0 3 305.462 4.109 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCC=C(c2ccco2)C1 ZINC000348671474 1037735437 /nfs/dbraw/zinc/73/54/37/1037735437.db2.gz KMOCFCGFKZWQCR-UHFFFAOYSA-N 0 3 313.445 4.137 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCOC[C@@H](C2CCC2)C1 ZINC000581561343 1037739969 /nfs/dbraw/zinc/73/99/69/1037739969.db2.gz QHQKIZIAUGNORX-SFHVURJKSA-N 0 3 316.489 4.016 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000341209606 1037745594 /nfs/dbraw/zinc/74/55/94/1037745594.db2.gz MFEDGIGZANPNDF-BLLLJJGKSA-N 0 3 318.367 4.033 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cc(F)ccc1C)c1ccccc1 ZINC000341212985 1037748183 /nfs/dbraw/zinc/74/81/83/1037748183.db2.gz QWDQDSLPAQZTMK-WMLDXEAASA-N 0 3 314.404 4.202 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](CC(F)(F)F)C3)cc2c1 ZINC000581587424 1037757481 /nfs/dbraw/zinc/75/74/81/1037757481.db2.gz FPWGATVJYLWFPN-NSHDSACASA-N 0 3 313.319 4.216 20 0 DIADHN Cc1ccc(C)c(OC2CCN(Cc3ccncc3C)CC2)c1 ZINC000581588357 1037758649 /nfs/dbraw/zinc/75/86/49/1037758649.db2.gz NIBQDMUVJQICDI-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000341229185 1037770222 /nfs/dbraw/zinc/77/02/22/1037770222.db2.gz PEZBACDNGGWNSF-BLLLJJGKSA-N 0 3 318.367 4.033 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1cc(Cl)ccc1Cl ZINC000656683625 1037788651 /nfs/dbraw/zinc/78/86/51/1037788651.db2.gz KHYRACROJCNJRJ-JQWIXIFHSA-N 0 3 300.229 4.356 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1cc(Cl)ccc1Cl ZINC000656683626 1037790551 /nfs/dbraw/zinc/79/05/51/1037790551.db2.gz KHYRACROJCNJRJ-PWSUYJOCSA-N 0 3 300.229 4.356 20 0 DIADHN C[C@H](c1ccc(CN2CCSC[C@@H]2C)cc1)C(F)(F)F ZINC001167477268 1037876667 /nfs/dbraw/zinc/87/66/67/1037876667.db2.gz CDEMUCRSBLUPOY-NWDGAFQWSA-N 0 3 303.393 4.290 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)NC(C)(C)CC)c1cccc(Cl)c1 ZINC000341286376 1037854919 /nfs/dbraw/zinc/85/49/19/1037854919.db2.gz KOIDVMFKXCERAK-IUODEOHRSA-N 0 3 310.869 4.074 20 0 DIADHN CC(C)(C)c1ccc(CNc2ccc([C@@H]3CNCCO3)cc2)cc1 ZINC000656791509 1037871568 /nfs/dbraw/zinc/87/15/68/1037871568.db2.gz IECBJGFEZCROJK-FQEVSTJZSA-N 0 3 324.468 4.257 20 0 DIADHN Cc1ccc([C@H](NCc2cccc3c2OCCO3)C2CC2)cc1 ZINC000077326484 1037881067 /nfs/dbraw/zinc/88/10/67/1037881067.db2.gz BWWXSGWNYHXZQC-IBGZPJMESA-N 0 3 309.409 4.007 20 0 DIADHN FC1(F)CCCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC000625768637 1037883993 /nfs/dbraw/zinc/88/39/93/1037883993.db2.gz YQTSAAPGAIMARF-UHFFFAOYSA-N 0 3 308.397 4.431 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2cccs2)c2ccc(Cl)cc2)CO1 ZINC000647171921 1037888584 /nfs/dbraw/zinc/88/85/84/1037888584.db2.gz AMXRMYFUZFGXMN-DIOULYMOSA-N 0 3 307.846 4.258 20 0 DIADHN C[C@@H](NCc1csc(N(C)C)n1)[C@@H](C)c1ccc(Cl)cc1 ZINC000684382130 1037907361 /nfs/dbraw/zinc/90/73/61/1037907361.db2.gz PMQGEYVJGAQJRW-VXGBXAGGSA-N 0 3 323.893 4.144 20 0 DIADHN CO[C@@H]1CCC[C@@H](N[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000684413022 1037958994 /nfs/dbraw/zinc/95/89/94/1037958994.db2.gz LQRQIIVTRMQVJS-QWFCFKBJSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ccc2ncc(CN[C@H](C)c3ccc4c(c3)CCO4)cc2c1 ZINC000933489854 1038021531 /nfs/dbraw/zinc/02/15/31/1038021531.db2.gz KDOWBLLAROJXCQ-OAHLLOKOSA-N 0 3 318.420 4.329 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)N1CCc2sccc2[C@H]1CC ZINC000581853188 1038030833 /nfs/dbraw/zinc/03/08/33/1038030833.db2.gz QWQHZOQGASTFHS-GDBMZVCRSA-N 0 3 322.518 4.094 20 0 DIADHN Cc1ccccc1C1CC(NCc2nccn2-c2ccccc2)C1 ZINC000341495763 1038062067 /nfs/dbraw/zinc/06/20/67/1038062067.db2.gz PRFCPYBLGLYNKH-UHFFFAOYSA-N 0 3 317.436 4.217 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc([C@@H]4C[C@H]4C)o3)CC2)cn1 ZINC000341528471 1038070906 /nfs/dbraw/zinc/07/09/06/1038070906.db2.gz KEYDCXWFZSRWGZ-AUUYWEPGSA-N 0 3 310.441 4.486 20 0 DIADHN CSC1CCN(Cc2ccccc2CC(F)(F)F)CC1 ZINC000602727423 1038081298 /nfs/dbraw/zinc/08/12/98/1038081298.db2.gz RWFDRSMNJIHLCI-UHFFFAOYSA-N 0 3 303.393 4.119 20 0 DIADHN C[C@H]1CCSCCN1Cc1cnc(-c2ccccc2F)s1 ZINC000449282527 1038103063 /nfs/dbraw/zinc/10/30/63/1038103063.db2.gz HLITZWHKBWALOA-LBPRGKRZSA-N 0 3 322.474 4.277 20 0 DIADHN C[C@@H](NCc1ccc(Br)c(F)c1)c1ccccc1 ZINC000083798961 1038111015 /nfs/dbraw/zinc/11/10/15/1038111015.db2.gz OPJCSZAGUITDFL-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)s1 ZINC000090418534 1038114420 /nfs/dbraw/zinc/11/44/20/1038114420.db2.gz KDEVDAKMFYDVNK-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)s1 ZINC000090418536 1038114823 /nfs/dbraw/zinc/11/48/23/1038114823.db2.gz KDEVDAKMFYDVNK-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cnc(CC(F)(F)F)s1)C1CC1 ZINC000891668331 1038118540 /nfs/dbraw/zinc/11/85/40/1038118540.db2.gz OMAPMYXRGUYICA-VIFPVBQESA-N 0 3 304.381 4.011 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000341560808 1038136953 /nfs/dbraw/zinc/13/69/53/1038136953.db2.gz GOHGSAODRBRDIC-HUUCEWRRSA-N 0 3 323.465 4.378 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H]3CSC3(C)C)c(C)c2c1 ZINC000581931560 1038159083 /nfs/dbraw/zinc/15/90/83/1038159083.db2.gz JRYPAIXOWIQYQA-XHDPSFHLSA-N 0 3 305.443 4.294 20 0 DIADHN Cc1nn(Cc2ccc(F)cc2)c(Cl)c1CNCCC(C)C ZINC000061003098 1038160123 /nfs/dbraw/zinc/16/01/23/1038160123.db2.gz BPTWHSYSRDGFCN-UHFFFAOYSA-N 0 3 323.843 4.168 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)C(F)(F)F)c1ccns1 ZINC000926214415 1038164923 /nfs/dbraw/zinc/16/49/23/1038164923.db2.gz APQOVRHRNCIFDL-CMPLNLGQSA-N 0 3 300.349 4.140 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CNCc2ccc(C3CC3)cc2)o1 ZINC000647205758 1038169727 /nfs/dbraw/zinc/16/97/27/1038169727.db2.gz QREQPQKTFRMHFF-UHFFFAOYSA-N 0 3 321.424 4.156 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000581959156 1038174575 /nfs/dbraw/zinc/17/45/75/1038174575.db2.gz UBNLWKBQGGXMJT-GOEBONIOSA-N 0 3 305.805 4.230 20 0 DIADHN Cc1csc(C(=O)Nc2cc(CN(C)C)ccc2C)c1Cl ZINC000276755004 1038185404 /nfs/dbraw/zinc/18/54/04/1038185404.db2.gz IDHOUVHXFAXBDU-UHFFFAOYSA-N 0 3 322.861 4.332 20 0 DIADHN CCCCCOc1ccc(CNCc2ccc(C#N)cc2)cc1 ZINC000061079333 1038196976 /nfs/dbraw/zinc/19/69/76/1038196976.db2.gz OTYKOQLUQLXOMM-UHFFFAOYSA-N 0 3 308.425 4.417 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CCC[C@@H]1c1ccc(O)cc1 ZINC000891796187 1038200453 /nfs/dbraw/zinc/20/04/53/1038200453.db2.gz JWGGQVRCZHUCHC-LJQANCHMSA-N 0 3 313.445 4.124 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1ccnn1C1CCC1 ZINC000926238654 1038205332 /nfs/dbraw/zinc/20/53/32/1038205332.db2.gz XSDPVHXMBBMRRP-YPMHNXCESA-N 0 3 303.372 4.236 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cnccc2C)cc1OC ZINC000341657160 1038211531 /nfs/dbraw/zinc/21/15/31/1038211531.db2.gz KBEKTVOKLCCTEK-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN COc1cccc(CNC[C@@H](Nc2ccccc2)C(C)C)c1F ZINC000669681792 1038213706 /nfs/dbraw/zinc/21/37/06/1038213706.db2.gz YDRYFSXCJRRLQR-QGZVFWFLSA-N 0 3 316.420 4.061 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@H](Cn1cccn1)c1ccccc1 ZINC000669682638 1038215214 /nfs/dbraw/zinc/21/52/14/1038215214.db2.gz UXLWHGQDNDXUPU-WOBAJENOSA-N 0 3 317.436 4.318 20 0 DIADHN Cc1ccc(OCc2nc(CNC[C@@H]3CC3(C)C)cs2)cc1 ZINC000603513951 1038234668 /nfs/dbraw/zinc/23/46/68/1038234668.db2.gz QLRNZCYKCABIKV-AWEZNQCLSA-N 0 3 316.470 4.166 20 0 DIADHN Clc1cccc(CNC[C@H]2OCCc3ccccc32)c1Cl ZINC000603516440 1038234938 /nfs/dbraw/zinc/23/49/38/1038234938.db2.gz SIZKKTGCXSZDFS-MRXNPFEDSA-N 0 3 322.235 4.397 20 0 DIADHN Cc1ncc(CN[C@@H](C)[C@@H](C)c2ccccc2C(F)(F)F)o1 ZINC000657102883 1038247564 /nfs/dbraw/zinc/24/75/64/1038247564.db2.gz QULIYDNAGUMADD-MNOVXSKESA-N 0 3 312.335 4.284 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H](C)CC(C)(C)C)c(CN(C)C)c1 ZINC000603694905 1038265750 /nfs/dbraw/zinc/26/57/50/1038265750.db2.gz IETSXEJCKZOZHZ-OAHLLOKOSA-N 0 3 304.478 4.458 20 0 DIADHN CC(C)=C[C@@H]1[C@H](C(=O)Nc2ccc(C)cc2CN(C)C)C1(C)C ZINC000603694982 1038266554 /nfs/dbraw/zinc/26/65/54/1038266554.db2.gz WIESLMZGLLAIDM-SJLPKXTDSA-N 0 3 314.473 4.234 20 0 DIADHN CCN(Cc1cccc(Cl)c1)C[C@H](O)c1ccccc1F ZINC000061253785 1038274869 /nfs/dbraw/zinc/27/48/69/1038274869.db2.gz ARECVJXEKJMJCL-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN C[C@@H](OCCCNc1ccnc2ccccc21)c1ccccc1 ZINC000599446910 1038282361 /nfs/dbraw/zinc/28/23/61/1038282361.db2.gz RQCMAVFRCFARNV-MRXNPFEDSA-N 0 3 306.409 4.237 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2ccc(C(F)(F)F)cn2)C1 ZINC000341874083 1038304238 /nfs/dbraw/zinc/30/42/38/1038304238.db2.gz SLYCQBAPZGEVAB-UONOGXRCSA-N 0 3 318.408 4.206 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@H]1CCC12CCC2 ZINC000669703499 1038313087 /nfs/dbraw/zinc/31/30/87/1038313087.db2.gz HFLAIYUHJSVUFI-SFHVURJKSA-N 0 3 316.514 4.288 20 0 DIADHN c1ccc(-c2ncc(CN3CCCc4ccccc4C3)cn2)cc1 ZINC000535739933 1038322799 /nfs/dbraw/zinc/32/27/99/1038322799.db2.gz FLJRGUMBDNTYEK-UHFFFAOYSA-N 0 3 315.420 4.092 20 0 DIADHN CCCc1ccc(CNCc2ccc(OC)c(OCC)c2)cc1 ZINC000603949218 1038328542 /nfs/dbraw/zinc/32/85/42/1038328542.db2.gz AIHMIPJFCJEAAF-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN CCCCCn1cc(CNCc2ccnc3ccccc23)c(C)n1 ZINC000535764709 1038329142 /nfs/dbraw/zinc/32/91/42/1038329142.db2.gz LHUICEMFPWJHFG-UHFFFAOYSA-N 0 3 322.456 4.220 20 0 DIADHN CCN(Cc1ccc(Cl)s1)Cc1ccc2[nH]cnc2c1 ZINC000535781328 1038337453 /nfs/dbraw/zinc/33/74/53/1038337453.db2.gz FOUHHYIYKBIWNG-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN CCN(Cc1ccc(Cl)s1)Cc1ccc2nc[nH]c2c1 ZINC000535781328 1038337468 /nfs/dbraw/zinc/33/74/68/1038337468.db2.gz FOUHHYIYKBIWNG-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN CC(C)COc1ccc(CN2CCC(OC(C)C)CC2)cc1 ZINC000535796769 1038340573 /nfs/dbraw/zinc/34/05/73/1038340573.db2.gz KJQWSMAATXRLSV-UHFFFAOYSA-N 0 3 305.462 4.111 20 0 DIADHN CCS[C@H]1CCCCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000341895799 1038345998 /nfs/dbraw/zinc/34/59/98/1038345998.db2.gz XFQKYALLOBEUHV-AWEZNQCLSA-N 0 3 318.408 4.208 20 0 DIADHN C[C@H](c1nc(-c2cncc3ccccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276988334 1038346707 /nfs/dbraw/zinc/34/67/07/1038346707.db2.gz WYGBWMSKORKHEP-MGPQQGTHSA-N 0 3 322.412 4.219 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1noc2c1CCCC2 ZINC000604052174 1038349361 /nfs/dbraw/zinc/34/93/61/1038349361.db2.gz QDUBZFOKMZDWFP-QGZVFWFLSA-N 0 3 310.441 4.069 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CCNCc1nccn1Cc1ccccc1 ZINC000604065853 1038354132 /nfs/dbraw/zinc/35/41/32/1038354132.db2.gz NOZHTSSPRSKTKU-IEBWSBKVSA-N 0 3 311.473 4.237 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2Nc1ccnc2ccccc21 ZINC000599833461 1038359934 /nfs/dbraw/zinc/35/99/34/1038359934.db2.gz OSLXSHYZAGCQPL-IBGZPJMESA-N 0 3 304.393 4.155 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(OC2CCC(C)CC2)CC1 ZINC000342002964 1038365186 /nfs/dbraw/zinc/36/51/86/1038365186.db2.gz MIROHDQVYBHEOP-UPTPFMHGSA-N 0 3 302.462 4.202 20 0 DIADHN Cc1noc(C[C@H](N[C@H](C)c2ccc(C)cc2)c2ccccc2)n1 ZINC000277110590 1038369396 /nfs/dbraw/zinc/36/93/96/1038369396.db2.gz MLKFSYXRENXTLP-BEFAXECRSA-N 0 3 321.424 4.321 20 0 DIADHN COC(=O)C(C)(C)[C@H](NCc1cccc(C)c1)c1ccccc1 ZINC000610908804 1038399640 /nfs/dbraw/zinc/39/96/40/1038399640.db2.gz IXCYHEQTXUDBEO-GOSISDBHSA-N 0 3 311.425 4.025 20 0 DIADHN Fc1ccc(Br)c(CN(CC2CC2)CC2CC2)c1 ZINC000604394864 1038411612 /nfs/dbraw/zinc/41/16/12/1038411612.db2.gz ICYBHBNYAQXTKS-UHFFFAOYSA-N 0 3 312.226 4.210 20 0 DIADHN Cc1cccn2cc(CN3C[C@H](c4ccccc4)C[C@@H]3C)nc12 ZINC000604392928 1038412613 /nfs/dbraw/zinc/41/26/13/1038412613.db2.gz LIUMAOPRFMIJBV-FUHWJXTLSA-N 0 3 305.425 4.021 20 0 DIADHN C[C@@H](O)CCN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626113269 1038418899 /nfs/dbraw/zinc/41/88/99/1038418899.db2.gz LKHVUTCRBXFFHR-CQSZACIVSA-N 0 3 314.454 4.003 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2cccs2)N2CCCC2)o1 ZINC000150908646 1038424504 /nfs/dbraw/zinc/42/45/04/1038424504.db2.gz NNJOVTGGXFOOQK-LSDHHAIUSA-N 0 3 304.459 4.137 20 0 DIADHN C[C@@H](CCC1CCCCC1)N[C@H](C(=O)N(C)C)c1ccccc1 ZINC000604773460 1038445737 /nfs/dbraw/zinc/44/57/37/1038445737.db2.gz ORBGYVJMWXXYEH-LPHOPBHVSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1cccc(CN[C@](C)(CO)c2cccc(C(F)(F)F)c2)c1 ZINC000604767592 1038450926 /nfs/dbraw/zinc/45/09/26/1038450926.db2.gz QLHCLRLSUOMNQK-QGZVFWFLSA-N 0 3 323.358 4.011 20 0 DIADHN C[C@@H](NCCc1ccc(-c2ccccc2)cc1)c1cnccn1 ZINC000090468715 1038451258 /nfs/dbraw/zinc/45/12/58/1038451258.db2.gz XJIQLBLTSYWDRI-MRXNPFEDSA-N 0 3 303.409 4.037 20 0 DIADHN CCN(Cc1nocc1C)[C@H](Cc1ccc(F)cc1)C(C)C ZINC001167498990 1038452867 /nfs/dbraw/zinc/45/28/67/1038452867.db2.gz ABIBEMZGWXQGEO-GOSISDBHSA-N 0 3 304.409 4.211 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@@H](c2ccccc2)[C@H](C)C1 ZINC001167499626 1038454465 /nfs/dbraw/zinc/45/44/65/1038454465.db2.gz LYWQHYNOQIVQPL-IVMMDQJWSA-N 0 3 301.459 4.033 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)CC2=CCCOC2)o1 ZINC000668568533 1038461267 /nfs/dbraw/zinc/46/12/67/1038461267.db2.gz IVVNKGDBXKAOLV-UHFFFAOYSA-N 0 3 315.388 4.173 20 0 DIADHN Brc1ncc(Nc2ccnc(C3CCC3)c2)s1 ZINC001216266868 1038462641 /nfs/dbraw/zinc/46/26/41/1038462641.db2.gz UPKCWIINBHOBHP-UHFFFAOYSA-N 0 3 310.220 4.312 20 0 DIADHN CC(C)N(C)CC(=O)N1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000604982740 1038471665 /nfs/dbraw/zinc/47/16/65/1038471665.db2.gz VGKZRYPGHMTJMC-QGZVFWFLSA-N 0 3 322.880 4.124 20 0 DIADHN CC[C@H]1CCCN(Cc2coc(-c3cccc(F)c3)n2)CC1 ZINC000604999149 1038475414 /nfs/dbraw/zinc/47/54/14/1038475414.db2.gz QFAXATYQTLEZKZ-AWEZNQCLSA-N 0 3 302.393 4.493 20 0 DIADHN Clc1ccc([C@@H]2CCCCCN2CCn2cccn2)cc1 ZINC000605000516 1038476061 /nfs/dbraw/zinc/47/60/61/1038476061.db2.gz XBAJMMKOJCXUME-KRWDZBQOSA-N 0 3 303.837 4.154 20 0 DIADHN Cc1nccn1CCCNC1(c2c(Cl)cccc2Cl)CC1 ZINC000342217109 1038481692 /nfs/dbraw/zinc/48/16/92/1038481692.db2.gz FBNQCCKMRDEGTQ-UHFFFAOYSA-N 0 3 324.255 4.167 20 0 DIADHN COc1cc(C)c(CN(C)[C@H](C)c2ccc(F)cc2)cc1OC ZINC000216367625 1038486129 /nfs/dbraw/zinc/48/61/29/1038486129.db2.gz GTLAYJSDCLYXOQ-CQSZACIVSA-N 0 3 317.404 4.344 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CN1CCC2(CCC2)C1 ZINC000892055536 1038492615 /nfs/dbraw/zinc/49/26/15/1038492615.db2.gz IOJUEDYUFIPDDU-UHFFFAOYSA-N 0 3 322.474 4.471 20 0 DIADHN COc1ccc(C)cc1CN1CCS[C@@H](c2ccccc2)C1 ZINC000605156101 1038493878 /nfs/dbraw/zinc/49/38/78/1038493878.db2.gz KBQZUOUJLQVTAB-LJQANCHMSA-N 0 3 313.466 4.294 20 0 DIADHN Cc1cccc(OCCN2CCS[C@@H](c3ccccc3)C2)c1 ZINC000605155027 1038493964 /nfs/dbraw/zinc/49/39/64/1038493964.db2.gz HRPPSZORKRGQMC-LJQANCHMSA-N 0 3 313.466 4.164 20 0 DIADHN c1ccc(SCCN2CCCO[C@@H](c3ccccc3)C2)cc1 ZINC000605150752 1038494072 /nfs/dbraw/zinc/49/40/72/1038494072.db2.gz XCKQOQHWIILBFY-LJQANCHMSA-N 0 3 313.466 4.242 20 0 DIADHN CCOc1ccc(CN2CCS[C@@H](c3ccccc3)C2)cc1 ZINC000605187948 1038496493 /nfs/dbraw/zinc/49/64/93/1038496493.db2.gz YBMRVJQLMXIOOM-LJQANCHMSA-N 0 3 313.466 4.375 20 0 DIADHN Cc1c[nH]c(CNCCC(c2ccccc2)c2ccccc2)n1 ZINC000657285546 1038502092 /nfs/dbraw/zinc/50/20/92/1038502092.db2.gz ISZALJBVMDJBGB-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN CC(C)(C)[C@@H]1OCCC[C@H]1CNCc1c(F)cccc1Cl ZINC000605323441 1038506500 /nfs/dbraw/zinc/50/65/00/1038506500.db2.gz WYDBVMKYIAKOKB-BLLLJJGKSA-N 0 3 313.844 4.410 20 0 DIADHN COc1cccc(Cl)c1CNC[C@H](C)c1ccc(F)cc1 ZINC000605322266 1038506654 /nfs/dbraw/zinc/50/66/54/1038506654.db2.gz QGVZQQPSFSVUHR-LBPRGKRZSA-N 0 3 307.796 4.381 20 0 DIADHN COc1ccc(CCCNCc2c(Cl)cccc2OC)cc1 ZINC000605322236 1038507300 /nfs/dbraw/zinc/50/73/00/1038507300.db2.gz NQGWZRJVWDUFMR-UHFFFAOYSA-N 0 3 319.832 4.080 20 0 DIADHN Clc1ccc([C@@H](NCc2ccnn2CC2CC2)C2CC2)cc1 ZINC000657293064 1038508798 /nfs/dbraw/zinc/50/87/98/1038508798.db2.gz VUTBWOUGIWQAHE-SFHVURJKSA-N 0 3 315.848 4.187 20 0 DIADHN C[C@H](NCc1ccnn1CC1CC1)c1ccc2c(c1)CCCC2 ZINC000657293674 1038510497 /nfs/dbraw/zinc/51/04/97/1038510497.db2.gz RTFDUEOSHBKOET-HNNXBMFYSA-N 0 3 309.457 4.023 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(OC)c(F)c2)c(C)c1 ZINC000657295319 1038512729 /nfs/dbraw/zinc/51/27/29/1038512729.db2.gz AHDTZJMOJJYADZ-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN CCN(CC)C(=O)C1(NCc2ccc(Cl)cc2)CCCCC1 ZINC000605421575 1038513347 /nfs/dbraw/zinc/51/33/47/1038513347.db2.gz MWLWCHRJXWRMDA-UHFFFAOYSA-N 0 3 322.880 4.001 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(-c3ccncc3)c2)ccn1 ZINC000342288397 1038517741 /nfs/dbraw/zinc/51/77/41/1038517741.db2.gz BLMNETWHJWXPFU-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN Cc1cccc(C2(NCc3cnc4cccc(C)n34)CCC2)c1 ZINC000647236758 1038520132 /nfs/dbraw/zinc/52/01/32/1038520132.db2.gz CVJFZIPLESNEAW-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN Cc1ccc2cc(CN[C@H](C)c3ccc4c(c3)OCO4)[nH]c2c1 ZINC000657301576 1038525978 /nfs/dbraw/zinc/52/59/78/1038525978.db2.gz JFBLBEYEZONFSE-CYBMUJFWSA-N 0 3 308.381 4.056 20 0 DIADHN OCC[C@H](NC/C=C\c1ccccc1)c1ccc(Cl)cc1 ZINC000342311169 1038527252 /nfs/dbraw/zinc/52/72/52/1038527252.db2.gz UYOVQTBSAUOOMB-GINYUPMKSA-N 0 3 301.817 4.067 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(-c3cc[nH]n3)o1)CCC2 ZINC000342316187 1038530234 /nfs/dbraw/zinc/53/02/34/1038530234.db2.gz PVMJOLILPSCORV-KRWDZBQOSA-N 0 3 307.397 4.145 20 0 DIADHN CCOCc1ccc(CNCc2cc3c(cccc3C)[nH]2)cc1 ZINC000657306226 1038531629 /nfs/dbraw/zinc/53/16/29/1038531629.db2.gz DRRANFBPPJIZJR-UHFFFAOYSA-N 0 3 308.425 4.303 20 0 DIADHN Cc1ccn2c(CN[C@H]3CCCc4ccc(C)cc43)cnc2c1 ZINC000342320390 1038532766 /nfs/dbraw/zinc/53/27/66/1038532766.db2.gz VHNPNEDEKUNQIY-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN Cc1ccc(CCN2CCc3[nH]c4ccc(C)cc4c3C2)cc1 ZINC000605574334 1038537141 /nfs/dbraw/zinc/53/71/41/1038537141.db2.gz MJHSQICOCCRXTC-UHFFFAOYSA-N 0 3 304.437 4.386 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@@H](c1cccs1)C1CC1 ZINC000605811290 1038551334 /nfs/dbraw/zinc/55/13/34/1038551334.db2.gz SZGQZJYVGQULIV-QGZVFWFLSA-N 0 3 310.422 4.243 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(CCOC2CCSCC2)C1 ZINC001167533683 1038552585 /nfs/dbraw/zinc/55/25/85/1038552585.db2.gz JPJMPMDXPFJUTI-KDOFPFPSSA-N 0 3 323.477 4.121 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(CCOC2CCSCC2)C1 ZINC001167533685 1038553622 /nfs/dbraw/zinc/55/36/22/1038553622.db2.gz JPJMPMDXPFJUTI-RDTXWAMCSA-N 0 3 323.477 4.121 20 0 DIADHN CCCOCc1ccccc1CNCc1cc(COC)cs1 ZINC000657315636 1038556554 /nfs/dbraw/zinc/55/65/54/1038556554.db2.gz ZWVKSAVDGHUCMP-UHFFFAOYSA-N 0 3 319.470 4.111 20 0 DIADHN Cc1ccc2ncc(CN3Cc4ccccc4C[C@H]3C)cc2c1 ZINC001167543637 1038560935 /nfs/dbraw/zinc/56/09/35/1038560935.db2.gz DDUKVQYXICKSCI-MRXNPFEDSA-N 0 3 302.421 4.490 20 0 DIADHN CCN(CC)c1ccc(CNCc2cc3ccc(C)cc3[nH]2)cn1 ZINC000657318454 1038563930 /nfs/dbraw/zinc/56/39/30/1038563930.db2.gz OLXBWCNXIPEMIH-UHFFFAOYSA-N 0 3 322.456 4.007 20 0 DIADHN COCc1csc(CNCc2ccc3[nH]c(C)c(C)c3c2)c1 ZINC000657330877 1038575443 /nfs/dbraw/zinc/57/54/43/1038575443.db2.gz DXEXGDONLPQMKC-UHFFFAOYSA-N 0 3 314.454 4.282 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@H]2CCCCN2C2CCCC2)c1 ZINC000622275727 1038577245 /nfs/dbraw/zinc/57/72/45/1038577245.db2.gz PSQNVJDHNDVAHR-GOSISDBHSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@@H]3CCCO3)cc21 ZINC000657335446 1038579916 /nfs/dbraw/zinc/57/99/16/1038579916.db2.gz JIXXFEDXKJAVBG-SFTDATJTSA-N 0 3 320.436 4.486 20 0 DIADHN CC(C)c1nc(CCNCc2ccc(F)c(F)c2F)cs1 ZINC000657342313 1038584736 /nfs/dbraw/zinc/58/47/36/1038584736.db2.gz KNGNQTYVDNFBLX-UHFFFAOYSA-N 0 3 314.376 4.016 20 0 DIADHN CCCn1nccc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000657347233 1038587917 /nfs/dbraw/zinc/58/79/17/1038587917.db2.gz VYZOHJNKEAFVKC-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cc2ccncc2s1 ZINC000657350773 1038593563 /nfs/dbraw/zinc/59/35/63/1038593563.db2.gz ZPOKMXGXRWPDFU-QGZVFWFLSA-N 0 3 324.449 4.472 20 0 DIADHN c1c(CNCC2CCC(c3ccccc3)CC2)nnn1C1CCC1 ZINC000657355213 1038595093 /nfs/dbraw/zinc/59/50/93/1038595093.db2.gz GFLSTMHHLIJLBF-UHFFFAOYSA-N 0 3 324.472 4.067 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCc2cnn3c2CCC3)cc1 ZINC000657353407 1038597003 /nfs/dbraw/zinc/59/70/03/1038597003.db2.gz MLPFHUFDUCVFNS-AWEZNQCLSA-N 0 3 315.486 4.181 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCc2cnc3n2CCC3)cc1 ZINC000657354169 1038597036 /nfs/dbraw/zinc/59/70/36/1038597036.db2.gz RVHQKVMSEJTHDX-AWEZNQCLSA-N 0 3 315.486 4.181 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2C[C@H](c3cccc(F)c3)C2)c(C)s1 ZINC000684773715 1038597720 /nfs/dbraw/zinc/59/77/20/1038597720.db2.gz FGPHXNVZWBRYFY-FSBGKCOPSA-N 0 3 304.434 4.496 20 0 DIADHN COCc1csc(CN[C@H](c2ccc(F)cc2)C2CC2)c1 ZINC000657355061 1038598177 /nfs/dbraw/zinc/59/81/77/1038598177.db2.gz APKPLRURCZOMIH-KRWDZBQOSA-N 0 3 305.418 4.275 20 0 DIADHN C[C@H](NCc1ccccc1-n1ccnc1)c1cc(F)cc(F)c1 ZINC000152245977 1038598266 /nfs/dbraw/zinc/59/82/66/1038598266.db2.gz DWJQOLOXXJWHGA-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000606434369 1038601242 /nfs/dbraw/zinc/60/12/42/1038601242.db2.gz WMMBXIYNMNVSHT-DOTOQJQBSA-N 0 3 313.445 4.446 20 0 DIADHN CCc1ccccc1NC(=O)CN1CCCC[C@@H]1[C@@H](C)CC ZINC000606434394 1038601796 /nfs/dbraw/zinc/60/17/96/1038601796.db2.gz XUSVHDNTYJNUCY-MAUKXSAKSA-N 0 3 302.462 4.088 20 0 DIADHN CN(CCC(C)(C)C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000606432232 1038602063 /nfs/dbraw/zinc/60/20/63/1038602063.db2.gz IYISCLYHDCFACS-QGZVFWFLSA-N 0 3 303.425 4.017 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@H]1[C@H](C)CC ZINC000606434283 1038602308 /nfs/dbraw/zinc/60/23/08/1038602308.db2.gz RWLWGQYHIKSXQB-QAPCUYQASA-N 0 3 316.489 4.397 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](CC)c2ccc(F)cc2)c1 ZINC000606467152 1038606161 /nfs/dbraw/zinc/60/61/61/1038606161.db2.gz RMBCEMMBQKEGJD-SFHVURJKSA-N 0 3 314.404 4.415 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)NCc1nccn1C(F)F ZINC000606470144 1038607267 /nfs/dbraw/zinc/60/72/67/1038607267.db2.gz DRDVVIIZIOACMU-ZDUSSCGKSA-N 0 3 313.779 4.043 20 0 DIADHN Fc1ccc(CN2CC3CCC2CC3)cc1OC(F)(F)F ZINC001143442192 1038607733 /nfs/dbraw/zinc/60/77/33/1038607733.db2.gz HVGOJSDKCJBDAQ-UHFFFAOYSA-N 0 3 303.299 4.099 20 0 DIADHN CCN(C)c1ccc(CN([C@H](C)c2ccccc2)C2CC2)cn1 ZINC000582208694 1038612176 /nfs/dbraw/zinc/61/21/76/1038612176.db2.gz JBKLQXWUTCKMFH-MRXNPFEDSA-N 0 3 309.457 4.263 20 0 DIADHN Cc1ccc2cc(CN[C@H](CCCO)c3ccccc3)[nH]c2c1 ZINC000657373406 1038620114 /nfs/dbraw/zinc/62/01/14/1038620114.db2.gz VCQGFKQFJMCVTE-LJQANCHMSA-N 0 3 308.425 4.080 20 0 DIADHN Cc1ccoc1CN[C@@](C)(CNC(=O)OC(C)(C)C)CC(C)C ZINC000230661085 1038621646 /nfs/dbraw/zinc/62/16/46/1038621646.db2.gz KFBXITKCJQTXQY-GOSISDBHSA-N 0 3 324.465 4.007 20 0 DIADHN CS[C@@H]1CC[C@H](NCc2csc(-c3ccc(F)cc3)n2)C1 ZINC000230696191 1038621628 /nfs/dbraw/zinc/62/16/28/1038621628.db2.gz OJBHPXUCXYIXNM-DZGCQCFKSA-N 0 3 322.474 4.323 20 0 DIADHN COCc1csc(CN[C@@H]2CCCc3ccc(OC)cc32)c1 ZINC000657386422 1038627917 /nfs/dbraw/zinc/62/79/17/1038627917.db2.gz BJFAMCIXCJLFLH-GOSISDBHSA-N 0 3 317.454 4.070 20 0 DIADHN O=C(CC1CCCCC1)N(CCN1CCCC1)c1ccccc1 ZINC000606814749 1038630132 /nfs/dbraw/zinc/63/01/32/1038630132.db2.gz LEQSJACXUQZVLP-UHFFFAOYSA-N 0 3 314.473 4.086 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1cccc(Cl)c1 ZINC000582317861 1038631549 /nfs/dbraw/zinc/63/15/49/1038631549.db2.gz MWEDCXWXTLNFTD-SFHVURJKSA-N 0 3 303.833 4.113 20 0 DIADHN Cc1cccc([C@H](NCc2ccnn2CC2CC2)C(C)(C)C)c1 ZINC000657390257 1038631711 /nfs/dbraw/zinc/63/17/11/1038631711.db2.gz VRVIGECGQXCUSN-IBGZPJMESA-N 0 3 311.473 4.479 20 0 DIADHN Cc1ccc(-c2cccc(CNCc3cnn4c3CCC4)c2)cc1 ZINC000657394564 1038636426 /nfs/dbraw/zinc/63/64/26/1038636426.db2.gz QQPYOEFRLBQOQB-UHFFFAOYSA-N 0 3 317.436 4.095 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)no1 ZINC000582380758 1038644702 /nfs/dbraw/zinc/64/47/02/1038644702.db2.gz NRDPGLAQFPGKIN-CRAIPNDOSA-N 0 3 319.408 4.115 20 0 DIADHN Cc1cc(CN2CC=C(c3ccc(O)cc3)CC2)cc(C)c1O ZINC000684837932 1038646003 /nfs/dbraw/zinc/64/60/03/1038646003.db2.gz QAEKTZDQEIGHLA-UHFFFAOYSA-N 0 3 309.409 4.004 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CC1)c1ccncc1F ZINC000563318317 1038647152 /nfs/dbraw/zinc/64/71/52/1038647152.db2.gz DQWYAXUIYCMKKE-ZDUSSCGKSA-N 0 3 302.418 4.196 20 0 DIADHN Cc1cc([C@H](N[C@H](C)CO)c2cccc(Cl)c2)c(C)s1 ZINC000582389898 1038652282 /nfs/dbraw/zinc/65/22/82/1038652282.db2.gz IOWWCGVNMMWWQO-QLJPJBMISA-N 0 3 309.862 4.078 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c(C3CCC3)cnn2C)c2ccccc21 ZINC000657415916 1038654896 /nfs/dbraw/zinc/65/48/96/1038654896.db2.gz PDTUNPBFGDQLOW-AUUYWEPGSA-N 0 3 309.457 4.416 20 0 DIADHN CCCOc1ccc(CN[C@H](c2cccnc2)C2CC2)c(C)c1 ZINC000657420077 1038657175 /nfs/dbraw/zinc/65/71/75/1038657175.db2.gz SIJFBABCPNZTBT-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2cccnc2)C2CC2)c(C)c1 ZINC000657420078 1038657288 /nfs/dbraw/zinc/65/72/88/1038657288.db2.gz SIJFBABCPNZTBT-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1c[nH]c(CN[C@@H](C)[C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000657422929 1038659821 /nfs/dbraw/zinc/65/98/21/1038659821.db2.gz DMKVPGFSMGBEIA-NEPJUHHUSA-N 0 3 311.351 4.019 20 0 DIADHN CC1(C)CC[C@H]2C[C@H](NCc3cnc4n3CCC4)c3cccc1c32 ZINC000657427344 1038663603 /nfs/dbraw/zinc/66/36/03/1038663603.db2.gz CPYKWACKBVMGEO-KSSFIOAISA-N 0 3 321.468 4.219 20 0 DIADHN CC1(C)CC[C@H]2C[C@H](NCc3cnn4c3CCC4)c3cccc1c32 ZINC000657428374 1038664755 /nfs/dbraw/zinc/66/47/55/1038664755.db2.gz IVVFYYZJEZKIFW-KSSFIOAISA-N 0 3 321.468 4.219 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(F)cc1F)c1ccccc1Cl ZINC000048330953 1038666806 /nfs/dbraw/zinc/66/68/06/1038666806.db2.gz FBWLGVRAYNRBFT-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1F)c1ccccc1Cl ZINC000048330954 1038666837 /nfs/dbraw/zinc/66/68/37/1038666837.db2.gz FBWLGVRAYNRBFT-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN c1cc(CN[C@@H](CC2CCC2)c2ccccc2)n(CC2CC2)n1 ZINC000657429523 1038669071 /nfs/dbraw/zinc/66/90/71/1038669071.db2.gz RDXACJLOPULWAQ-FQEVSTJZSA-N 0 3 309.457 4.314 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2ccc(F)c(F)c2F)CCO1 ZINC000657430516 1038670943 /nfs/dbraw/zinc/67/09/43/1038670943.db2.gz ZVLHGSMYDLUCDU-HIFRSBDPSA-N 0 3 315.379 4.177 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1ccnn1CC1CC1 ZINC000657434326 1038672150 /nfs/dbraw/zinc/67/21/50/1038672150.db2.gz FXUAVSIAVNKLOO-QRWLVFNGSA-N 0 3 309.457 4.096 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1ccccc1C(F)(F)F ZINC000607359325 1038674877 /nfs/dbraw/zinc/67/48/77/1038674877.db2.gz RGRPJRUYJBIOBU-CQSZACIVSA-N 0 3 323.358 4.088 20 0 DIADHN Cc1noc(C[C@@H](NCc2cc(C)cc(C)c2)c2ccccc2)n1 ZINC000277622739 1038676626 /nfs/dbraw/zinc/67/66/26/1038676626.db2.gz KDQIJNGSLBWPEP-LJQANCHMSA-N 0 3 321.424 4.068 20 0 DIADHN Cc1cc(CNCc2cnc3n2CCC3)ccc1-c1ccccc1 ZINC000657440902 1038679806 /nfs/dbraw/zinc/67/98/06/1038679806.db2.gz ZGSVBIDEVKUTPI-UHFFFAOYSA-N 0 3 317.436 4.095 20 0 DIADHN CCCNc1ccc(CNCc2cc(COC)cs2)cc1 ZINC000657450160 1038690182 /nfs/dbraw/zinc/69/01/82/1038690182.db2.gz KLUASBIHCVGOCZ-UHFFFAOYSA-N 0 3 304.459 4.006 20 0 DIADHN C=CCN(Cc1cccc(C#N)c1)Cc1cc(C)c(C)cc1O ZINC000063281023 1038691216 /nfs/dbraw/zinc/69/12/16/1038691216.db2.gz WYFKUEFCBUVTIL-UHFFFAOYSA-N 0 3 306.409 4.069 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1cc(C(F)(F)F)co1 ZINC001167581387 1038691888 /nfs/dbraw/zinc/69/18/88/1038691888.db2.gz AAXQHMWUJGMSDC-SNVBAGLBSA-N 0 3 303.349 4.423 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000657455757 1038697449 /nfs/dbraw/zinc/69/74/49/1038697449.db2.gz XKWFBWDGVJMKGB-KOMQPUFPSA-N 0 3 303.837 4.153 20 0 DIADHN COC[C@@H](C)Oc1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000657462526 1038701350 /nfs/dbraw/zinc/70/13/50/1038701350.db2.gz UOXIBGIBUNUIRM-ZIAGYGMSSA-N 0 3 305.443 4.013 20 0 DIADHN COC[C@H](C)Oc1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000657462524 1038701527 /nfs/dbraw/zinc/70/15/27/1038701527.db2.gz UOXIBGIBUNUIRM-UONOGXRCSA-N 0 3 305.443 4.013 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cc2ccc(C)cc2[nH]1 ZINC000657462421 1038702225 /nfs/dbraw/zinc/70/22/25/1038702225.db2.gz SGXMGRKJSIXFNE-GOSISDBHSA-N 0 3 324.399 4.401 20 0 DIADHN Cc1c[nH]c(CN[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)n1 ZINC000657465162 1038703973 /nfs/dbraw/zinc/70/39/73/1038703973.db2.gz WNWSEDLRKPSFIB-LSDHHAIUSA-N 0 3 323.362 4.163 20 0 DIADHN Fc1ccc(CNC[C@@H]2CSc3ccccc32)c(F)c1F ZINC000657476299 1038711400 /nfs/dbraw/zinc/71/14/00/1038711400.db2.gz DPDVSYSBZSIPPH-LLVKDONJSA-N 0 3 309.356 4.083 20 0 DIADHN O=c1[nH]cc(CN(CCC(F)(F)F)CC2CC2)c2ccccc12 ZINC001167586167 1038712760 /nfs/dbraw/zinc/71/27/60/1038712760.db2.gz IPMMYTVZYBZRDR-UHFFFAOYSA-N 0 3 324.346 4.105 20 0 DIADHN Cc1noc(C[C@H](NCc2ccc(C)cc2C)c2ccccc2)n1 ZINC000277718123 1038714420 /nfs/dbraw/zinc/71/44/20/1038714420.db2.gz SULCUCLOHLTDKC-IBGZPJMESA-N 0 3 321.424 4.068 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1Cc1sc(C)nc1C ZINC000607730926 1038717370 /nfs/dbraw/zinc/71/73/70/1038717370.db2.gz BTLVQWPNEQMDIP-MRXNPFEDSA-N 0 3 316.470 4.496 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2ccc(C)c(Cl)c2)CC1 ZINC001167587183 1038718535 /nfs/dbraw/zinc/71/85/35/1038718535.db2.gz VKBTUPHKERUXHG-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000607742910 1038719682 /nfs/dbraw/zinc/71/96/82/1038719682.db2.gz YDNYSJMFEVLHSB-OLZOCXBDSA-N 0 3 319.836 4.401 20 0 DIADHN c1c(CNC[C@@H]2CCCC[C@H]2c2ccccc2)nnn1C1CCC1 ZINC000657485887 1038720692 /nfs/dbraw/zinc/72/06/92/1038720692.db2.gz UPEBGROWDMVHDQ-PXNSSMCTSA-N 0 3 324.472 4.067 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000607742909 1038720609 /nfs/dbraw/zinc/72/06/09/1038720609.db2.gz YDNYSJMFEVLHSB-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1cccc2nc(CN3CC[C@H](c4ccccc4)[C@@H](C)C3)cn21 ZINC000607752219 1038721672 /nfs/dbraw/zinc/72/16/72/1038721672.db2.gz NOVZSGMUCRPAKN-JXFKEZNVSA-N 0 3 319.452 4.268 20 0 DIADHN C[C@H]1CN(Cc2nc3ccccc3o2)CC[C@@H]1c1ccccc1 ZINC000607752153 1038725514 /nfs/dbraw/zinc/72/55/14/1038725514.db2.gz KOYALUFXIAFYIY-RDJZCZTQSA-N 0 3 306.409 4.453 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)CC1(c2ccccc2)CC1 ZINC000444684339 1038732030 /nfs/dbraw/zinc/73/20/30/1038732030.db2.gz LSTJTHBZFQUFIW-UHFFFAOYSA-N 0 3 317.436 4.102 20 0 DIADHN Cc1ccc(C(C)(C)C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000533965220 1038735941 /nfs/dbraw/zinc/73/59/41/1038735941.db2.gz LZZKRKGSPFBOLY-UHFFFAOYSA-N 0 3 324.468 4.281 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCc3cccnc32)C2CC2)cc1 ZINC000684953291 1038738887 /nfs/dbraw/zinc/73/88/87/1038738887.db2.gz BKNXPWDPOOSDJC-RBUKOAKNSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2ccc(-c3ccncc3)cc2)cnn1C ZINC000153010940 1038756238 /nfs/dbraw/zinc/75/62/38/1038756238.db2.gz WMZCAFDSYIQGFK-GJZGRUSLSA-N 0 3 320.440 4.202 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NC/C(C)=C\c1ccccc1 ZINC000608062683 1038762836 /nfs/dbraw/zinc/76/28/36/1038762836.db2.gz HCUCUEJLBVXYNG-LJQBUTKESA-N 0 3 309.409 4.212 20 0 DIADHN CCOc1ccccc1CNC[C@@H](OC)c1ccc(Cl)cc1 ZINC000608062800 1038763011 /nfs/dbraw/zinc/76/30/11/1038763011.db2.gz PQVCODBGHLRGNH-GOSISDBHSA-N 0 3 319.832 4.216 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2cccc3c2OCCO3)cc1 ZINC000608064139 1038763355 /nfs/dbraw/zinc/76/33/55/1038763355.db2.gz PSWWBZUFQLCWDJ-GOSISDBHSA-N 0 3 309.409 4.089 20 0 DIADHN COC1CC(CCNCc2csc(-c3ccc(C)cc3)n2)C1 ZINC000657527919 1038764577 /nfs/dbraw/zinc/76/45/77/1038764577.db2.gz HYBUCNZQXQJUCW-UHFFFAOYSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](Cc2nccn2C)c2ccccc2)c1 ZINC000608073115 1038766047 /nfs/dbraw/zinc/76/60/47/1038766047.db2.gz NKMDKRWYZSRIKV-FQEVSTJZSA-N 0 3 319.452 4.111 20 0 DIADHN Cc1cc(CNCc2ccc(-c3cc(C)cc(C)c3)cc2)n[nH]1 ZINC000608057734 1038767982 /nfs/dbraw/zinc/76/79/82/1038767982.db2.gz HJSVOWMWWMMCEL-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](C)C2)c(OCc2ccccc2)n1 ZINC001167601855 1038768326 /nfs/dbraw/zinc/76/83/26/1038768326.db2.gz GXHPBQAXLKWEPC-OAHLLOKOSA-N 0 3 310.441 4.119 20 0 DIADHN Fc1ccc(CC2CN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000685003770 1038773885 /nfs/dbraw/zinc/77/38/85/1038773885.db2.gz OAJJYWLSWOLGRZ-UHFFFAOYSA-N 0 3 306.384 4.048 20 0 DIADHN C[C@H](CNCc1csc(Cl)c1Cl)c1ccccn1 ZINC000657535804 1038773986 /nfs/dbraw/zinc/77/39/86/1038773986.db2.gz RBEOCHWPWKCUIO-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CCOC1CC(N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000342790211 1038785585 /nfs/dbraw/zinc/78/55/85/1038785585.db2.gz ZWKTZCWWSSNBFH-LRVUVFPRSA-N 0 3 302.443 4.028 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000075022109 1038785666 /nfs/dbraw/zinc/78/56/66/1038785666.db2.gz CDRRYRZUKAEINN-GDBMZVCRSA-N 0 3 302.462 4.177 20 0 DIADHN Cc1ccc(CN[C@H](Cc2ccccc2)c2ccccc2)nc1 ZINC000133596098 1038792271 /nfs/dbraw/zinc/79/22/71/1038792271.db2.gz FZMHFCIGPQSMHQ-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN C[C@H]1CN(Cc2ccc(F)c(OC(F)(F)F)c2)C2(CC2)C1 ZINC001143446716 1038798769 /nfs/dbraw/zinc/79/87/69/1038798769.db2.gz ILYBJEABIRZJOS-SNVBAGLBSA-N 0 3 303.299 4.099 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CCC3(CCCC3)C2)n1 ZINC000173902574 1038818279 /nfs/dbraw/zinc/81/82/79/1038818279.db2.gz XJBVZPVNUQEQQX-HNNXBMFYSA-N 0 3 311.429 4.372 20 0 DIADHN Clc1ccc(C2(NCc3cccc(-n4cccn4)c3)CC2)cc1 ZINC000133651428 1038829851 /nfs/dbraw/zinc/82/98/51/1038829851.db2.gz KEMCXOWQKUJIIU-UHFFFAOYSA-N 0 3 323.827 4.305 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H](O)c1ccccc1F ZINC000063755086 1038831934 /nfs/dbraw/zinc/83/19/34/1038831934.db2.gz MGAGHZSVFTWSBQ-IBGZPJMESA-N 0 3 319.395 4.301 20 0 DIADHN c1ccc(C2(NCc3ccc(Oc4cnccn4)cc3)CC2)cc1 ZINC000608382893 1038836562 /nfs/dbraw/zinc/83/65/62/1038836562.db2.gz RDADCEPYVJWFSG-UHFFFAOYSA-N 0 3 317.392 4.048 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC(F)F)c1)CCC2 ZINC000342811641 1038846239 /nfs/dbraw/zinc/84/62/39/1038846239.db2.gz GVIVMLBXSVUKLW-INIZCTEOSA-N 0 3 318.367 4.159 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(OC3CCCC3)cc2)s1 ZINC000076868960 1038849165 /nfs/dbraw/zinc/84/91/65/1038849165.db2.gz XRTWAUPWACATKZ-UHFFFAOYSA-N 0 3 316.470 4.371 20 0 DIADHN c1cc(-c2ncc(CN3CCC[C@@H]3[C@H]3CCCO3)s2)cs1 ZINC000342817714 1038860113 /nfs/dbraw/zinc/86/01/13/1038860113.db2.gz GHYSHANQDSXXTR-HUUCEWRRSA-N 0 3 320.483 4.015 20 0 DIADHN Fc1ccc(C[C@H]2CCCCN2Cc2ccncc2Cl)cc1 ZINC000608494593 1038862541 /nfs/dbraw/zinc/86/25/41/1038862541.db2.gz JMLACMKOQMFNKN-QGZVFWFLSA-N 0 3 318.823 4.471 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)[C@@H](CCO)c1ccccc1 ZINC000608501590 1038874714 /nfs/dbraw/zinc/87/47/14/1038874714.db2.gz TVIMFJVDWSMGKU-KRWDZBQOSA-N 0 3 323.358 4.261 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC=C(c3ccccc3)C2)cs1 ZINC000342836326 1038898389 /nfs/dbraw/zinc/89/83/89/1038898389.db2.gz JBEUUYUBPDHMOT-UHFFFAOYSA-N 0 3 321.449 4.428 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@]2(C1)CCCOC2 ZINC000342841802 1038904285 /nfs/dbraw/zinc/90/42/85/1038904285.db2.gz SEMFTIDHNAIKMC-IBGZPJMESA-N 0 3 313.397 4.074 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC4(CCCC4)C3)o2)cc1 ZINC000174267593 1038911220 /nfs/dbraw/zinc/91/12/20/1038911220.db2.gz UDCGBMVWZNYFLQ-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1csc(-c2ccccn2)n1 ZINC000657616023 1038914869 /nfs/dbraw/zinc/91/48/69/1038914869.db2.gz KXRWQBWGUJFBGN-SCLBCKFNSA-N 0 3 321.449 4.023 20 0 DIADHN COC[C@@H](C)Oc1ccc(CNCc2cc(C)cc(C)c2)cc1 ZINC000657626223 1038922926 /nfs/dbraw/zinc/92/29/26/1038922926.db2.gz SOZYNYWLFNICKJ-QGZVFWFLSA-N 0 3 313.441 4.007 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(C(=O)OC)co1 ZINC000608832833 1038930503 /nfs/dbraw/zinc/93/05/03/1038930503.db2.gz RVXHVFLGIFRVCS-QGZVFWFLSA-N 0 3 301.386 4.039 20 0 DIADHN Cc1scc(CNCc2cc(Cl)c3c(c2)OCCO3)c1C ZINC000399845090 1038946985 /nfs/dbraw/zinc/94/69/85/1038946985.db2.gz VIPHZECIMVAQKX-UHFFFAOYSA-N 0 3 323.845 4.079 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCCOc3cc(C)ccc32)s1 ZINC000119819316 1038950413 /nfs/dbraw/zinc/95/04/13/1038950413.db2.gz GSMJVMDCYFPBQD-HNNXBMFYSA-N 0 3 302.443 4.072 20 0 DIADHN COc1cc([C@@H](C)NCc2sc(C)nc2C)ccc1SC ZINC000119879138 1038953087 /nfs/dbraw/zinc/95/30/87/1038953087.db2.gz WONWRSRUBZJMDI-SNVBAGLBSA-N 0 3 322.499 4.341 20 0 DIADHN COCc1csc(CNCc2ccc3nc(C)sc3c2)c1 ZINC000657659375 1038961724 /nfs/dbraw/zinc/96/17/24/1038961724.db2.gz GTZXWUDUSVPJCA-UHFFFAOYSA-N 0 3 318.467 4.102 20 0 DIADHN COCc1csc(CNCc2c(C)cc(Cl)cc2C)c1 ZINC000657659804 1038967631 /nfs/dbraw/zinc/96/76/31/1038967631.db2.gz WHSYSINADWHPER-UHFFFAOYSA-N 0 3 309.862 4.455 20 0 DIADHN C[C@@]1(CNCc2c(Cl)cccc2N2CCCC2)CC1(F)F ZINC000657665328 1038974119 /nfs/dbraw/zinc/97/41/19/1038974119.db2.gz NSXUBRBAJMYWAX-HNNXBMFYSA-N 0 3 314.807 4.075 20 0 DIADHN C[C@@]1(CCNCc2csc(-c3cccs3)n2)CC1(F)F ZINC000657671801 1038986340 /nfs/dbraw/zinc/98/63/40/1038986340.db2.gz SIRWTCSGWJPVIW-CYBMUJFWSA-N 0 3 314.426 4.397 20 0 DIADHN Cc1csc(CN2CCCC[C@H]2CCc2ccccc2)n1 ZINC000534125873 1038987188 /nfs/dbraw/zinc/98/71/88/1038987188.db2.gz DFTOJKGWYXBABR-KRWDZBQOSA-N 0 3 300.471 4.439 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2CCCC(F)(F)C2)cc1OC ZINC000631089503 1038988553 /nfs/dbraw/zinc/98/85/53/1038988553.db2.gz CAHRAYNWFAQCIP-NSHDSACASA-N 0 3 319.779 4.025 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1C[C@@H]1CC2(CO1)CCCCC2 ZINC001167633008 1039009545 /nfs/dbraw/zinc/00/95/45/1039009545.db2.gz CLMQTCIKOHTBOK-PKOBYXMFSA-N 0 3 316.489 4.292 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc(C(F)(F)F)c1)CC2 ZINC000657691388 1039012117 /nfs/dbraw/zinc/01/21/17/1039012117.db2.gz FIUCEDWUKGPLPE-MRXNPFEDSA-N 0 3 307.315 4.188 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(C(F)(F)F)cc1)CC2 ZINC000657691830 1039014801 /nfs/dbraw/zinc/01/48/01/1039014801.db2.gz KAHKRGRLKBSFLY-MRXNPFEDSA-N 0 3 307.315 4.188 20 0 DIADHN Cc1ccc2[nH]c(C(=O)Nc3cc(C)cc(CN(C)C)c3)cc2c1 ZINC000534284896 1039020958 /nfs/dbraw/zinc/02/09/58/1039020958.db2.gz JNQXDMCWEJJRAS-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)[C@H]1CCCc2ccccc21 ZINC000534333084 1039032047 /nfs/dbraw/zinc/03/20/47/1039032047.db2.gz WXLRMANLXWQULB-FQEVSTJZSA-N 0 3 308.425 4.154 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2cccc(Cl)c2)C[C@@H](C)S1 ZINC000534349934 1039033498 /nfs/dbraw/zinc/03/34/98/1039033498.db2.gz WRAVGTFLUIWAHB-VXGBXAGGSA-N 0 3 321.877 4.056 20 0 DIADHN CC(C)N(Cc1cnn(-c2ccccc2)c1)Cc1ccccc1 ZINC000342942169 1039035789 /nfs/dbraw/zinc/03/57/89/1039035789.db2.gz LOFOUBFRHNYZLN-UHFFFAOYSA-N 0 3 305.425 4.283 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(N3CCCC3)nc2)[C@@H]2CCCC[C@H]12 ZINC000534337101 1039038089 /nfs/dbraw/zinc/03/80/89/1039038089.db2.gz GXJYWBHFESVZIB-BHIYHBOVSA-N 0 3 313.489 4.082 20 0 DIADHN c1ccc([C@@H](NCc2cccc3c2OCCCO3)C2CC2)cc1 ZINC000078991843 1039059176 /nfs/dbraw/zinc/05/91/76/1039059176.db2.gz HHROUWUJGUVOBF-LJQANCHMSA-N 0 3 309.409 4.089 20 0 DIADHN CCOc1ccccc1[C@@H](CC)N[C@H](C)c1cccc(CO)c1 ZINC000121597738 1039059589 /nfs/dbraw/zinc/05/95/89/1039059589.db2.gz XVAWQQYRPREALW-DNVCBOLYSA-N 0 3 313.441 4.380 20 0 DIADHN CC(C)N(CC(=O)c1ccccc1)CC1CCC(F)(F)CC1 ZINC001207347669 1039088306 /nfs/dbraw/zinc/08/83/06/1039088306.db2.gz IDXQWONBBQYMBQ-UHFFFAOYSA-N 0 3 309.400 4.405 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1ccc(C(C)(C)C)s1 ZINC000657719023 1039092767 /nfs/dbraw/zinc/09/27/67/1039092767.db2.gz HOWQOVFYASRPKM-UHFFFAOYSA-N 0 3 317.502 4.336 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2cccc(C)c2C)c2ccccc21 ZINC000367548353 1039097093 /nfs/dbraw/zinc/09/70/93/1039097093.db2.gz BWBBXTJWFWSLED-UZLBHIALSA-N 0 3 322.452 4.452 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1C[C@@H](C)CCC[C@@H]1C ZINC000525636693 1039126322 /nfs/dbraw/zinc/12/63/22/1039126322.db2.gz JBKQFISXVHXBFR-HOTGVXAUSA-N 0 3 316.489 4.260 20 0 DIADHN Cc1ccc(CN(C)CCCc2cc(-c3ccccc3)no2)cn1 ZINC000153806685 1039129201 /nfs/dbraw/zinc/12/92/01/1039129201.db2.gz COIWETRSICPICF-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN CC1(C)[C@H](CNCc2nc(-c3ccccc3)cs2)C1(F)F ZINC000657736380 1039161227 /nfs/dbraw/zinc/16/12/27/1039161227.db2.gz CCFONEDCZRDJJR-ZDUSSCGKSA-N 0 3 308.397 4.191 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@@H](O)C(C)C)cc1 ZINC000657742461 1039205693 /nfs/dbraw/zinc/20/56/93/1039205693.db2.gz RKDFUHCDHDGDLV-MOPGFXCFSA-N 0 3 315.482 4.256 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cccc(Cl)c1)c1ccccc1 ZINC000657742230 1039207852 /nfs/dbraw/zinc/20/78/52/1039207852.db2.gz OACNQTUJYHSJAB-ROUUACIJSA-N 0 3 303.833 4.188 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc2ncccc2c1)c1ccccc1 ZINC000657742220 1039207912 /nfs/dbraw/zinc/20/79/12/1039207912.db2.gz NVTGIPOELRLUCL-LEWJYISDSA-N 0 3 320.436 4.083 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cccc(Cl)c1)c1ccccc1 ZINC000657742231 1039208421 /nfs/dbraw/zinc/20/84/21/1039208421.db2.gz OACNQTUJYHSJAB-ZWKOTPCHSA-N 0 3 303.833 4.188 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cccc2cc[nH]c21)c1ccccc1 ZINC000657743486 1039213264 /nfs/dbraw/zinc/21/32/64/1039213264.db2.gz ALQLPMSQELKQJW-PMACEKPBSA-N 0 3 308.425 4.016 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@@H](O)C(C)C)cc21 ZINC000657744018 1039224454 /nfs/dbraw/zinc/22/44/54/1039224454.db2.gz CLTSITQJZMGTFH-RTWAWAEBSA-N 0 3 322.452 4.324 20 0 DIADHN CC(C)OCCCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000154944953 1039224684 /nfs/dbraw/zinc/22/46/84/1039224684.db2.gz IZNISHNNHSNKDL-AWEZNQCLSA-N 0 3 304.459 4.276 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN(C/C=C/c1ccccc1)CC2 ZINC000535972536 1039226095 /nfs/dbraw/zinc/22/60/95/1039226095.db2.gz TXXVWKSNXISTLJ-VMPITWQZSA-N 0 3 305.421 4.003 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc2[nH]ccc2c1)c1ccccc1 ZINC000657745261 1039231461 /nfs/dbraw/zinc/23/14/61/1039231461.db2.gz ILVWJHTZIFJLLV-WOJBJXKFSA-N 0 3 308.425 4.016 20 0 DIADHN Cn1c(CN2CCC[C@H]2C2CCCCC2)nnc1-c1ccccc1 ZINC000535523308 1039231763 /nfs/dbraw/zinc/23/17/63/1039231763.db2.gz DKMGNSJWFPXQLL-SFHVURJKSA-N 0 3 324.472 4.027 20 0 DIADHN CCC[C@H](NCc1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000155254113 1039243047 /nfs/dbraw/zinc/24/30/47/1039243047.db2.gz IAVZCBOGZISBPV-FQEVSTJZSA-N 0 3 322.452 4.444 20 0 DIADHN CC[C@H](N[C@@H]1CCSc2ccccc21)c1c(C)nn(C)c1C ZINC000155412351 1039254135 /nfs/dbraw/zinc/25/41/35/1039254135.db2.gz QOYSMZNPIAGPBT-JKSUJKDBSA-N 0 3 315.486 4.315 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc(C(C)(C)C)cs2)C12CCCC2 ZINC000539494191 1039267552 /nfs/dbraw/zinc/26/75/52/1039267552.db2.gz AVWMFUOUJARUFI-UKRRQHHQSA-N 0 3 322.518 4.268 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2cccc(C(F)(F)F)c2)c1 ZINC000155632309 1039269601 /nfs/dbraw/zinc/26/96/01/1039269601.db2.gz KBISDDVGADFAKW-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN Clc1cc(CN[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)c(Cl)s1 ZINC000539495541 1039270407 /nfs/dbraw/zinc/27/04/07/1039270407.db2.gz XBGDYENKDDFTNY-MVWJERBFSA-N 0 3 318.269 4.102 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccc(F)c(C)c2)no1)C(C)(C)C ZINC000539492193 1039270664 /nfs/dbraw/zinc/27/06/64/1039270664.db2.gz JJCRXPUQTMCQAK-CQSZACIVSA-N 0 3 305.397 4.098 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)C(=O)c2cccc(CN(C)C)c2)c1 ZINC000155691519 1039272314 /nfs/dbraw/zinc/27/23/14/1039272314.db2.gz ZNAHLQVASPPDSY-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccccc1Br)OC ZINC000394450206 1039272595 /nfs/dbraw/zinc/27/25/95/1039272595.db2.gz OKMUVRVAJBDICZ-YWPYICTPSA-N 0 3 314.267 4.161 20 0 DIADHN CCC[C@H](NCc1csc(C(=O)OCC)n1)C1CCCCC1 ZINC000539492942 1039274220 /nfs/dbraw/zinc/27/42/20/1039274220.db2.gz LLGAVCQLKPZZOI-HNNXBMFYSA-N 0 3 324.490 4.158 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(-c3ccncc3)cc2)cn1 ZINC000155779887 1039279164 /nfs/dbraw/zinc/27/91/64/1039279164.db2.gz UDXMUJAAQWVNQA-HZPDHXFCSA-N 0 3 320.440 4.377 20 0 DIADHN Cc1ccc(CNCc2ccc3[nH]cnc3c2)c(OC(C)(C)C)c1 ZINC000539520891 1039282169 /nfs/dbraw/zinc/28/21/69/1039282169.db2.gz QDUBOMQMCAIZHC-UHFFFAOYSA-N 0 3 323.440 4.338 20 0 DIADHN Cc1ccc(CNCc2ccc3nc[nH]c3c2)c(OC(C)(C)C)c1 ZINC000539520891 1039282173 /nfs/dbraw/zinc/28/21/73/1039282173.db2.gz QDUBOMQMCAIZHC-UHFFFAOYSA-N 0 3 323.440 4.338 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1cccc(F)c1F ZINC000539558018 1039288727 /nfs/dbraw/zinc/28/87/27/1039288727.db2.gz SNBFFCJKRQKQNN-IRWQIABSSA-N 0 3 316.395 4.061 20 0 DIADHN C[C@@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1cccc(F)c1F ZINC000539551952 1039291641 /nfs/dbraw/zinc/29/16/41/1039291641.db2.gz BGMUTRTVOTWEFQ-PXAZEXFGSA-N 0 3 322.374 4.057 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccsc2)cc1OCC ZINC000669830903 1039293581 /nfs/dbraw/zinc/29/35/81/1039293581.db2.gz BFXJSOMDSSSJRE-CYBMUJFWSA-N 0 3 305.443 4.396 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2csc(-c3ccsc3)n2)C1(C)C ZINC000539589117 1039295307 /nfs/dbraw/zinc/29/53/07/1039295307.db2.gz LPTPRORNKYOMTC-DDTOSNHZSA-N 0 3 322.499 4.021 20 0 DIADHN CCN1Cc2cc(Nc3ccnc(C(C)(C)C)c3)ccc2C1=O ZINC001213168586 1039299653 /nfs/dbraw/zinc/29/96/53/1039299653.db2.gz MKKOCYKETXSDLJ-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)c1ccc(-n2ccnc2)cc1 ZINC000539656598 1039309725 /nfs/dbraw/zinc/30/97/25/1039309725.db2.gz DFUBXTYHVYGKES-OAHLLOKOSA-N 0 3 321.399 4.351 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1(c3cccc(F)c3)CC1)CCC2 ZINC000539656802 1039312828 /nfs/dbraw/zinc/31/28/28/1039312828.db2.gz LXSCZGWPNPXVES-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cc(C)sc2C)cc1 ZINC000035276410 1039325022 /nfs/dbraw/zinc/32/50/22/1039325022.db2.gz FNJIKHUZUXADLI-LBPRGKRZSA-N 0 3 303.427 4.002 20 0 DIADHN C[C@@H](C1CC1)N(Cc1coc(-c2cccc(F)c2)n1)C1CC1 ZINC000047909364 1039332701 /nfs/dbraw/zinc/33/27/01/1039332701.db2.gz UDPKHTCIYYUMJV-LBPRGKRZSA-N 0 3 300.377 4.244 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1c(C)cccc1Cl)C1CC1 ZINC000536039873 1039337464 /nfs/dbraw/zinc/33/74/64/1039337464.db2.gz SEUYLDOSNYFXNM-OCCSQVGLSA-N 0 3 322.880 4.486 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCCc3c2cnn3C)c2ccccc21 ZINC000278268895 1039338597 /nfs/dbraw/zinc/33/85/97/1039338597.db2.gz OTYGWCMPSVMKBZ-WDSOQIARSA-N 0 3 309.457 4.272 20 0 DIADHN C[C@@H](CN[C@H](c1cnn(C)c1)c1cccc(F)c1)c1ccccc1 ZINC000491283110 1039339633 /nfs/dbraw/zinc/33/96/33/1039339633.db2.gz ICERTBATFPCEOX-YWZLYKJASA-N 0 3 323.415 4.042 20 0 DIADHN CO[C@H](C)CN(Cc1cnc(-c2ccccc2)s1)C(C)C ZINC000539819411 1039349111 /nfs/dbraw/zinc/34/91/11/1039349111.db2.gz FFILHIRNSIHZHB-CQSZACIVSA-N 0 3 304.459 4.055 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc(-c3ccccc3)o2)s1 ZINC000539820521 1039349153 /nfs/dbraw/zinc/34/91/53/1039349153.db2.gz ONWDNGAPFOHTSA-UHFFFAOYSA-N 0 3 312.438 4.386 20 0 DIADHN C[C@@H](C1CC1)N(Cc1nc2ccccc2n1C(F)F)C1CC1 ZINC000047909815 1039352772 /nfs/dbraw/zinc/35/27/72/1039352772.db2.gz YKZIXIVRANZBIN-NSHDSACASA-N 0 3 305.372 4.194 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cnc([C@H]3CCCO3)s2)CC1 ZINC000539827756 1039353276 /nfs/dbraw/zinc/35/32/76/1039353276.db2.gz XVVSKTUKAMYOFX-GOEBONIOSA-N 0 3 308.491 4.253 20 0 DIADHN CCCCN(CCOC)Cc1cnc(-c2cccs2)s1 ZINC000539827853 1039357255 /nfs/dbraw/zinc/35/72/55/1039357255.db2.gz JEECMZIVWVLHOK-UHFFFAOYSA-N 0 3 310.488 4.120 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccc2c(c1)CC(C)(C)O2 ZINC000539832784 1039361374 /nfs/dbraw/zinc/36/13/74/1039361374.db2.gz ZOWFQRSCOGLGJB-CQSZACIVSA-N 0 3 311.425 4.299 20 0 DIADHN FC(F)C1CCN(Cc2cccc(OC3CCCC3)c2)CC1 ZINC000539837660 1039364538 /nfs/dbraw/zinc/36/45/38/1039364538.db2.gz RNUBFOZQXYCTCR-UHFFFAOYSA-N 0 3 309.400 4.485 20 0 DIADHN Clc1cc(Cl)cc(CN2CC[C@@H](C3CCOCC3)C2)c1 ZINC000539850467 1039368553 /nfs/dbraw/zinc/36/85/53/1039368553.db2.gz PANZVZFNHDKRDK-CQSZACIVSA-N 0 3 314.256 4.242 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)C(=O)NC3(C)C)ccn1 ZINC001213170445 1039374782 /nfs/dbraw/zinc/37/47/82/1039374782.db2.gz GAUSOGZTLUYYPS-UHFFFAOYSA-N 0 3 309.413 4.101 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC2(CC2(F)F)CC1 ZINC000653779229 1039378475 /nfs/dbraw/zinc/37/84/75/1039378475.db2.gz PXFGTLVGRXBOEM-UHFFFAOYSA-N 0 3 309.400 4.440 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(C(=O)CCCO)cc2)ccn1 ZINC001213170585 1039380826 /nfs/dbraw/zinc/38/08/26/1039380826.db2.gz LJKOOOCTVFDWGE-UHFFFAOYSA-N 0 3 312.413 4.078 20 0 DIADHN CC(C)CC(=O)CCN1CCC[C@H](c2nc3ccccc3o2)C1 ZINC000828021188 1039388182 /nfs/dbraw/zinc/38/81/82/1039388182.db2.gz NGSCNUGHFCWXQK-HNNXBMFYSA-N 0 3 314.429 4.013 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@@H]1NCc1ccc(C2CCCC2)cc1 ZINC000462782127 1039394344 /nfs/dbraw/zinc/39/43/44/1039394344.db2.gz YTXLHVHIWIBUMQ-RTWAWAEBSA-N 0 3 307.437 4.092 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1sccc1Br ZINC000157122333 1039401352 /nfs/dbraw/zinc/40/13/52/1039401352.db2.gz LRABNAQATHBCPC-RKDXNWHRSA-N 0 3 306.294 4.057 20 0 DIADHN COc1ccccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC000167820070 1039408680 /nfs/dbraw/zinc/40/86/80/1039408680.db2.gz FDYVYSYEGLTSJM-UHFFFAOYSA-N 0 3 305.421 4.256 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@@]3(CCCc4ccccc43)C2)o1 ZINC000540052636 1039409941 /nfs/dbraw/zinc/40/99/41/1039409941.db2.gz DHUYFGAQCVESPP-JXFKEZNVSA-N 0 3 310.441 4.414 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NCc2cc(C)ccc2F)cc1 ZINC000540069095 1039415612 /nfs/dbraw/zinc/41/56/12/1039415612.db2.gz ZOMJWXRVYZSVLX-AUUYWEPGSA-N 0 3 317.404 4.009 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cnc(-c2ccco2)s1 ZINC000278366399 1039416003 /nfs/dbraw/zinc/41/60/03/1039416003.db2.gz JOTZVDRFBGBDDR-MRXNPFEDSA-N 0 3 310.422 4.489 20 0 DIADHN c1coc(CCCNCc2csc(-c3cccs3)n2)c1 ZINC000657780627 1039416642 /nfs/dbraw/zinc/41/66/42/1039416642.db2.gz UZURAPZHPGANSL-UHFFFAOYSA-N 0 3 304.440 4.187 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCCOc1ccc(Cl)cc1 ZINC000540100415 1039417033 /nfs/dbraw/zinc/41/70/33/1039417033.db2.gz YIQJORXBZMQPPX-CQSZACIVSA-N 0 3 304.821 4.197 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000278372019 1039422654 /nfs/dbraw/zinc/42/26/54/1039422654.db2.gz KPJCFQNOSVVALT-ZDUSSCGKSA-N 0 3 302.393 4.167 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1cnccn1 ZINC000540141260 1039424379 /nfs/dbraw/zinc/42/43/79/1039424379.db2.gz GYYQIJHDXKGJIB-HOTGVXAUSA-N 0 3 315.486 4.315 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CC(C)(C)c3ccccc32)cc1 ZINC000540144060 1039424463 /nfs/dbraw/zinc/42/44/63/1039424463.db2.gz LMVZHFBVEXRGCS-VQTJNVASSA-N 0 3 309.453 4.295 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccccc1)c1cc(F)ccc1F ZINC000540155083 1039432395 /nfs/dbraw/zinc/43/23/95/1039432395.db2.gz HXGAZTWYMRXRRZ-FASAQXTFSA-N 0 3 316.395 4.061 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccccc1)c1cc(F)ccc1F ZINC000540155081 1039433278 /nfs/dbraw/zinc/43/32/78/1039433278.db2.gz HXGAZTWYMRXRRZ-AGRHKRQWSA-N 0 3 316.395 4.061 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(F)cc1N(C)C ZINC000540175870 1039438744 /nfs/dbraw/zinc/43/87/44/1039438744.db2.gz ZKABHJQHBFLXIF-KPZWWZAWSA-N 0 3 316.395 4.369 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H]1CCc2cc(F)ccc21 ZINC000540177726 1039445800 /nfs/dbraw/zinc/44/58/00/1039445800.db2.gz JXZLHPCJGKJNTE-OALUTQOASA-N 0 3 311.400 4.489 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@H](OC)c1cccc(Cl)c1 ZINC000540186685 1039447170 /nfs/dbraw/zinc/44/71/70/1039447170.db2.gz IKPMHUYGHCPHPO-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN C[C@H](N[C@H](CO)c1cccc(F)c1)c1cccc2ccccc21 ZINC000540183566 1039448213 /nfs/dbraw/zinc/44/82/13/1039448213.db2.gz ITFGPYREDVWZOS-VBKZILBWSA-N 0 3 309.384 4.363 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CC(C)(C)c2ccccc21 ZINC000540222238 1039459392 /nfs/dbraw/zinc/45/93/92/1039459392.db2.gz NRIDUXWPCFBNFF-CVEARBPZSA-N 0 3 301.455 4.102 20 0 DIADHN CCCCn1cc(CN[C@H](C)c2cc3c(s2)CCC3)c(C)n1 ZINC000540321183 1039473665 /nfs/dbraw/zinc/47/36/65/1039473665.db2.gz PSLYDUXLLMYJCO-CQSZACIVSA-N 0 3 317.502 4.393 20 0 DIADHN C[C@H](NCc1nc2ccc(F)cc2o1)C(C)(C)c1ccccc1 ZINC000540360977 1039483628 /nfs/dbraw/zinc/48/36/28/1039483628.db2.gz LUGCRHIYEJGARW-ZDUSSCGKSA-N 0 3 312.388 4.423 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3CCCc4c(C)cccc43)n2c1 ZINC000657798904 1039483671 /nfs/dbraw/zinc/48/36/71/1039483671.db2.gz QUFNERIYXPSQIM-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN Cc1cccc(NC(=O)CNC2(c3ccccc3)CCCC2)c1C ZINC000540394032 1039486826 /nfs/dbraw/zinc/48/68/26/1039486826.db2.gz CRQGSHGTSZFOKQ-UHFFFAOYSA-N 0 3 322.452 4.301 20 0 DIADHN COC[C@H](NCCSc1ccccc1)c1ccc(F)c(F)c1 ZINC000540423917 1039492142 /nfs/dbraw/zinc/49/21/42/1039492142.db2.gz MITIVHOHZREINR-KRWDZBQOSA-N 0 3 323.408 4.034 20 0 DIADHN CC(C)OCCN[C@H](Cc1ccccc1)c1ccccc1F ZINC000540459133 1039496388 /nfs/dbraw/zinc/49/63/88/1039496388.db2.gz WDQBKIVGOMMZKG-LJQANCHMSA-N 0 3 301.405 4.124 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2c(C)cccc2C)cc1 ZINC000540456129 1039499585 /nfs/dbraw/zinc/49/95/85/1039499585.db2.gz SOESISHEVNONEX-UHFFFAOYSA-N 0 3 324.468 4.329 20 0 DIADHN C[C@H](CCCc1ccccc1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000540496777 1039503325 /nfs/dbraw/zinc/50/33/25/1039503325.db2.gz TWHGQIMUGZPCJP-QGZVFWFLSA-N 0 3 324.468 4.346 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@H](O)c1ccc(Cl)cc1 ZINC000780381102 1039508936 /nfs/dbraw/zinc/50/89/36/1039508936.db2.gz ZKOPHOXASJJUIL-OSMZGAPFSA-N 0 3 321.770 4.036 20 0 DIADHN CCOc1c(Cl)cccc1CNCC(C)(C)C(F)(F)F ZINC000583946673 1039516204 /nfs/dbraw/zinc/51/62/04/1039516204.db2.gz LXVBAKULNGUIRL-UHFFFAOYSA-N 0 3 309.759 4.417 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)CC1 ZINC000647315969 1039519719 /nfs/dbraw/zinc/51/97/19/1039519719.db2.gz IHOLAMJOYJPAMB-HNNXBMFYSA-N 0 3 320.436 4.220 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2ccccc2)c2ccncc2)C[C@@H]1OC ZINC000540597890 1039523533 /nfs/dbraw/zinc/52/35/33/1039523533.db2.gz WAVXXSNDNOSONN-AABGKKOBSA-N 0 3 324.468 4.354 20 0 DIADHN COCc1cc(CN[C@@H]2c3cc(F)ccc3C[C@@H]2C)ccc1F ZINC000151231464 1039524802 /nfs/dbraw/zinc/52/48/02/1039524802.db2.gz GZNBOSNMCBKPGE-BUXKBTBVSA-N 0 3 317.379 4.134 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@@](C)(O)C(F)(F)F)c1ccc(F)cc1 ZINC000540605790 1039525657 /nfs/dbraw/zinc/52/56/57/1039525657.db2.gz FBCNJJWJMKIYCN-UKRRQHHQSA-N 0 3 321.358 4.206 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NC2(c3ccccc3C)CC2)cc1 ZINC000647316401 1039525854 /nfs/dbraw/zinc/52/58/54/1039525854.db2.gz GKEZJYCMHOALAZ-HNNXBMFYSA-N 0 3 308.425 4.293 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccc(F)nc2C)c1C ZINC000278536051 1039531651 /nfs/dbraw/zinc/53/16/51/1039531651.db2.gz SNLIIBOXFKWVOZ-UHFFFAOYSA-N 0 3 311.404 4.225 20 0 DIADHN COc1nsc(CNCC[C@H]2CCCC2(C)C)c1Cl ZINC001203207043 1039537782 /nfs/dbraw/zinc/53/77/82/1039537782.db2.gz GSKZMWSOKPSOOU-SNVBAGLBSA-N 0 3 302.871 4.111 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H](c1ccccc1)[C@@H](C)O ZINC000657816601 1039544505 /nfs/dbraw/zinc/54/45/05/1039544505.db2.gz JYBHBGQOEWVWND-CXAGYDPISA-N 0 3 323.358 4.226 20 0 DIADHN CN(Cc1cc[nH]n1)[C@@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000540694395 1039548393 /nfs/dbraw/zinc/54/83/93/1039548393.db2.gz YGDGJHZLIBNENJ-HZPDHXFCSA-N 0 3 323.362 4.197 20 0 DIADHN CC(C)(C)OCCN[C@H]1CC2(CCCC2)Oc2ccccc21 ZINC000540726089 1039554471 /nfs/dbraw/zinc/55/44/71/1039554471.db2.gz NEFWOJDHGOQJNW-INIZCTEOSA-N 0 3 303.446 4.228 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NC1(c2cccc(F)c2)CC1 ZINC000540753331 1039557162 /nfs/dbraw/zinc/55/71/62/1039557162.db2.gz KYHKNFVXZJADEM-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN CC1(C)C[C@@H](NC2(c3ccc4c(c3)OCO4)CC2)c2ccccc21 ZINC000540752173 1039557366 /nfs/dbraw/zinc/55/73/66/1039557366.db2.gz VWIUAEJREQUFIN-QGZVFWFLSA-N 0 3 321.420 4.417 20 0 DIADHN CC1(C)C[C@H](N(Cc2ccccc2)Cc2ccccn2)C(C)(C)O1 ZINC000540767915 1039561751 /nfs/dbraw/zinc/56/17/51/1039561751.db2.gz AGAOVIZMPHFWRM-IBGZPJMESA-N 0 3 324.468 4.430 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(C)cc2Cl)C1 ZINC001307632126 1039562196 /nfs/dbraw/zinc/56/21/96/1039562196.db2.gz ZIDMSTWVZHIGOP-OAHLLOKOSA-N 0 3 323.864 4.060 20 0 DIADHN Cn1cccc1CNCc1ccc(Sc2ccccc2)cc1 ZINC000540792508 1039567508 /nfs/dbraw/zinc/56/75/08/1039567508.db2.gz MAKZZFOULCBXOZ-UHFFFAOYSA-N 0 3 308.450 4.466 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000278587903 1039571981 /nfs/dbraw/zinc/57/19/81/1039571981.db2.gz ZDFHWQZYWBBXDQ-VOJFVSQTSA-N 0 3 302.368 4.081 20 0 DIADHN Clc1ccc([C@@H](N[C@H]2CCCn3nccc32)C2CC2)cc1 ZINC000657823719 1039582855 /nfs/dbraw/zinc/58/28/55/1039582855.db2.gz AJJRFYKTBAUWKV-RDJZCZTQSA-N 0 3 301.821 4.112 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@H]3COC4(CCC4)C3)oc21 ZINC000657824659 1039588037 /nfs/dbraw/zinc/58/80/37/1039588037.db2.gz SJAPLWXOEFXBQW-UKRRQHHQSA-N 0 3 315.413 4.194 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2N[C@H](Cc1ccccc1)c1ccccc1 ZINC000657823775 1039588580 /nfs/dbraw/zinc/58/85/80/1039588580.db2.gz BJTRNDHOSWFJCM-VQTJNVASSA-N 0 3 317.436 4.292 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657826725 1039594565 /nfs/dbraw/zinc/59/45/65/1039594565.db2.gz JZSMOQYHZGCYAK-LBPRGKRZSA-N 0 3 306.356 4.016 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)C[C@@H]1CCCC[C@H]1O ZINC000540916762 1039594876 /nfs/dbraw/zinc/59/48/76/1039594876.db2.gz OPRULYXGERWLRQ-DOTOQJQBSA-N 0 3 322.518 4.174 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(C(F)(F)F)cc1 ZINC000657825815 1039596885 /nfs/dbraw/zinc/59/68/85/1039596885.db2.gz DESUUEYWQYFSMA-BXUZGUMPSA-N 0 3 309.335 4.088 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(OC(C)(C)C)cc1 ZINC000647322059 1039600210 /nfs/dbraw/zinc/60/02/10/1039600210.db2.gz DLEJSUJRBMTXBU-HNNXBMFYSA-N 0 3 313.441 4.207 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccc(CO)cc2)cc1 ZINC000647322255 1039606190 /nfs/dbraw/zinc/60/61/90/1039606190.db2.gz NIZLWMKZYIAMJO-INIZCTEOSA-N 0 3 313.441 4.209 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657829240 1039612121 /nfs/dbraw/zinc/61/21/21/1039612121.db2.gz BJTRBPMPOYIOJB-NVXWUHKLSA-N 0 3 303.837 4.358 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cncc(Br)c1 ZINC000657829046 1039612154 /nfs/dbraw/zinc/61/21/54/1039612154.db2.gz XWRRWLCILCEDHN-BDJLRTHQSA-N 0 3 317.230 4.182 20 0 DIADHN Brc1cnc(CN[C@H]2CCCC23CCCC3)s1 ZINC000714932054 1039613690 /nfs/dbraw/zinc/61/36/90/1039613690.db2.gz HUPOMVLDIKMQRM-JTQLQIEISA-N 0 3 315.280 4.108 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2)no1)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000541020855 1039613972 /nfs/dbraw/zinc/61/39/72/1039613972.db2.gz KQUQFPFNVZKSQQ-VCBZYWHSSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H]3[C@@H]3C[C@H](C)CC[C@@H]3O)c2c1 ZINC000541077354 1039617942 /nfs/dbraw/zinc/61/79/42/1039617942.db2.gz PNOOMGBJGGNAPZ-GRVMKYMCSA-N 0 3 324.468 4.309 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000080656661 1039618047 /nfs/dbraw/zinc/61/80/47/1039618047.db2.gz GVWGKBYBXYHODF-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@]1(c2ccc(F)cc2)CCN(Cc2cnc(C3CC3)s2)C1 ZINC000584148089 1039620293 /nfs/dbraw/zinc/62/02/93/1039620293.db2.gz TYBDBPBJMLUHLW-SFHVURJKSA-N 0 3 316.445 4.323 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000541229273 1039637419 /nfs/dbraw/zinc/63/74/19/1039637419.db2.gz QKZFSYJYHGFQCG-ZJNRKIDTSA-N 0 3 321.823 4.318 20 0 DIADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000248567803 1039648874 /nfs/dbraw/zinc/64/88/74/1039648874.db2.gz LGEXWLIBFYCSCB-ATCWAGBWSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000248571741 1039649011 /nfs/dbraw/zinc/64/90/11/1039649011.db2.gz MGSATBAUUXBLNI-ATCWAGBWSA-N 0 3 319.836 4.304 20 0 DIADHN CCc1ccc([C@@H](COC)NC2CC(c3ccccc3C)C2)o1 ZINC000541249670 1039650285 /nfs/dbraw/zinc/65/02/85/1039650285.db2.gz RLGIEYNZZBDOGN-LADRWXRNSA-N 0 3 313.441 4.374 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCC[C@@H]1c1cccc(F)c1 ZINC000541281421 1039657943 /nfs/dbraw/zinc/65/79/43/1039657943.db2.gz CDJHTCUOCKBLJC-MRXNPFEDSA-N 0 3 307.409 4.085 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657838653 1039662772 /nfs/dbraw/zinc/66/27/72/1039662772.db2.gz DSGQULOXHOPZJA-LBPRGKRZSA-N 0 3 306.356 4.016 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000541325907 1039664573 /nfs/dbraw/zinc/66/45/73/1039664573.db2.gz SAIQFZVFPWKUSX-LSDHHAIUSA-N 0 3 320.864 4.264 20 0 DIADHN C[C@H]1c2c(F)cccc2CCN1CCCCC(=O)OC(C)(C)C ZINC000541348257 1039671926 /nfs/dbraw/zinc/67/19/26/1039671926.db2.gz BQTVVJZPDKYOFI-AWEZNQCLSA-N 0 3 321.436 4.257 20 0 DIADHN c1sc(-c2ccccc2)nc1CNC[C@H]1CCCCS1 ZINC000541377279 1039677428 /nfs/dbraw/zinc/67/74/28/1039677428.db2.gz BWORVENNQRCGPY-OAHLLOKOSA-N 0 3 304.484 4.185 20 0 DIADHN C[C@H]1OCC[C@]1(C)NCc1ccc(-c2ccccc2Cl)o1 ZINC000541385272 1039677543 /nfs/dbraw/zinc/67/75/43/1039677543.db2.gz BOKDZMZPZYMBTC-PXAZEXFGSA-N 0 3 305.805 4.257 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000541388223 1039678536 /nfs/dbraw/zinc/67/85/36/1039678536.db2.gz YKOQLHXCSOHMNM-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657843693 1039687304 /nfs/dbraw/zinc/68/73/04/1039687304.db2.gz NXPGBXPLOCSVOM-NWDGAFQWSA-N 0 3 306.356 4.440 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000080974149 1039694990 /nfs/dbraw/zinc/69/49/90/1039694990.db2.gz SGBFDTLXLKSODM-HOCLYGCPSA-N 0 3 322.412 4.005 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@H]1C)c1cc2cnccc2o1 ZINC000657845836 1039697698 /nfs/dbraw/zinc/69/76/98/1039697698.db2.gz YCOWRHSYGRZRAS-YCPHGPKFSA-N 0 3 302.418 4.074 20 0 DIADHN CC[C@H](Cc1ccc(C(F)(F)F)cc1)NCc1cc(C)on1 ZINC000657849864 1039700591 /nfs/dbraw/zinc/70/05/91/1039700591.db2.gz CVTGLUDHPDOUQV-CQSZACIVSA-N 0 3 312.335 4.113 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cccc3F)cc1OC ZINC000081181380 1039701942 /nfs/dbraw/zinc/70/19/42/1039701942.db2.gz VFZNMEBNWWMOSC-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1ccc(CCN[C@H](c2cccnc2)c2ccc(F)c(C)c2)o1 ZINC000541552949 1039704532 /nfs/dbraw/zinc/70/45/32/1039704532.db2.gz XTZSDRNTDFCNJU-FQEVSTJZSA-N 0 3 324.399 4.352 20 0 DIADHN Cn1cncc1CN[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000135977130 1039706813 /nfs/dbraw/zinc/70/68/13/1039706813.db2.gz ZTEQOVDOAWBPBW-QGZVFWFLSA-N 0 3 303.837 4.095 20 0 DIADHN CCc1nc(CN[C@H](c2ccc(CC(C)C)cc2)C(C)C)n[nH]1 ZINC000541587302 1039714537 /nfs/dbraw/zinc/71/45/37/1039714537.db2.gz ZGGKQEOGONUVBM-IBGZPJMESA-N 0 3 314.477 4.053 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@H]1NCc1nnc(-c2ccccc2)o1 ZINC000541614809 1039716907 /nfs/dbraw/zinc/71/69/07/1039716907.db2.gz WXZCNWLPJSTLDW-DJIMGWMZSA-N 0 3 313.445 4.287 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NCc1nnc(-c2ccccc2)o1 ZINC000541614812 1039717558 /nfs/dbraw/zinc/71/75/58/1039717558.db2.gz WXZCNWLPJSTLDW-PVAVHDDUSA-N 0 3 313.445 4.287 20 0 DIADHN CCC[C@@H]1CCCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC000541665157 1039725006 /nfs/dbraw/zinc/72/50/06/1039725006.db2.gz GNOYSUATBSBJAY-QGZVFWFLSA-N 0 3 302.462 4.205 20 0 DIADHN CO[C@H]1CCCN(Cc2cc(C)cc(C(F)(F)F)c2)CC1 ZINC001143454708 1039728185 /nfs/dbraw/zinc/72/81/85/1039728185.db2.gz IMFYZTHZCBHKDT-HNNXBMFYSA-N 0 3 301.352 4.015 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1cccs1)c1cccc(Cl)c1 ZINC000174706898 1039731104 /nfs/dbraw/zinc/73/11/04/1039731104.db2.gz CNEMUJVQENNQCT-HUUCEWRRSA-N 0 3 307.846 4.272 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1cccs1)c1cccc(Cl)c1 ZINC000174706912 1039732556 /nfs/dbraw/zinc/73/25/56/1039732556.db2.gz CNEMUJVQENNQCT-CABCVRRESA-N 0 3 307.846 4.272 20 0 DIADHN OC[C@H](N[C@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000541731882 1039734121 /nfs/dbraw/zinc/73/41/21/1039734121.db2.gz BYQQSMRJBGKZQD-ROUUACIJSA-N 0 3 301.817 4.041 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000172682854 1039737038 /nfs/dbraw/zinc/73/70/38/1039737038.db2.gz PURLIAPAPGSSRD-SJKOYZFVSA-N 0 3 303.425 4.306 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000172682650 1039737067 /nfs/dbraw/zinc/73/70/67/1039737067.db2.gz ASQCJCLQKQYCSY-WDEREUQCSA-N 0 3 311.351 4.159 20 0 DIADHN COc1ccc(C2CCC(N[C@@H](C)c3ccon3)CC2)cc1 ZINC000541769293 1039743311 /nfs/dbraw/zinc/74/33/11/1039743311.db2.gz WMKPSVZFFWWLLR-HLIUYOAVSA-N 0 3 300.402 4.060 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(CC2CCC2)c2ccccc2)c1 ZINC000084373456 1039743620 /nfs/dbraw/zinc/74/36/20/1039743620.db2.gz NTFWHFSJVCBRCX-UHFFFAOYSA-N 0 3 322.452 4.195 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CN(C)Cc3ccccc31)[C@@H](C)C2 ZINC000541847793 1039747370 /nfs/dbraw/zinc/74/73/70/1039747370.db2.gz UNHJFZCMAVSCEI-ONGXBYRLSA-N 0 3 306.453 4.005 20 0 DIADHN Cc1n[nH]cc1CN[C@H](Cc1ccccc1)c1ccc(C)c(C)c1 ZINC000084674876 1039747409 /nfs/dbraw/zinc/74/74/09/1039747409.db2.gz SCYHYRDHILSTRK-OAQYLSRUSA-N 0 3 319.452 4.409 20 0 DIADHN CC(C)[C@H](NCc1ccc([S@](C)=O)cc1)c1ccc(F)cc1 ZINC000085298808 1039756289 /nfs/dbraw/zinc/75/62/89/1039756289.db2.gz NPOBHCYXAQIQAZ-PGRDOPGGSA-N 0 3 319.445 4.050 20 0 DIADHN CC(C)[C@H](NCc1ccc2c(c1)OCO2)c1ccc(F)c(F)c1 ZINC000541915047 1039763004 /nfs/dbraw/zinc/76/30/04/1039763004.db2.gz WCMHWIRIRWNIRB-SFHVURJKSA-N 0 3 319.351 4.180 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3ccc(Oc4ccccc4)nn3)C2)C1 ZINC000541953422 1039771432 /nfs/dbraw/zinc/77/14/32/1039771432.db2.gz LGTDERJPKMNBCV-OXQOHEQNSA-N 0 3 323.440 4.281 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc([S@](C)=O)cc2)cc1C ZINC000090221030 1039775099 /nfs/dbraw/zinc/77/50/99/1039775099.db2.gz ZUUMJIMZYACFMW-OPAMFIHVSA-N 0 3 315.482 4.200 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc([S@](C)=O)cc2)cc1C ZINC000090221031 1039776757 /nfs/dbraw/zinc/77/67/57/1039776757.db2.gz ZUUMJIMZYACFMW-KSFYIVLOSA-N 0 3 315.482 4.200 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2C)c1 ZINC000089613677 1039777419 /nfs/dbraw/zinc/77/74/19/1039777419.db2.gz LGPOFXYFOFLOKF-CKNLXJGOSA-N 0 3 308.425 4.229 20 0 DIADHN OCc1cccc(CN[C@H]2CCc3c2cc(Cl)cc3Cl)c1 ZINC000089701632 1039779996 /nfs/dbraw/zinc/77/99/96/1039779996.db2.gz CSXFDLIONJNNCC-KRWDZBQOSA-N 0 3 322.235 4.263 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552464 1039784042 /nfs/dbraw/zinc/78/40/42/1039784042.db2.gz DGMOSFORJXMBLW-HOCLYGCPSA-N 0 3 302.418 4.245 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552463 1039784412 /nfs/dbraw/zinc/78/44/12/1039784412.db2.gz DGMOSFORJXMBLW-GOEBONIOSA-N 0 3 302.418 4.245 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccccc3n2C(F)F)[C@H]2CCCC[C@@H]12 ZINC000542041858 1039784719 /nfs/dbraw/zinc/78/47/19/1039784719.db2.gz UOTZBHCAUYPODM-IPYPFGDCSA-N 0 3 319.399 4.442 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3cccs3)n2)[C@H]2CCCC[C@H]12 ZINC000542044977 1039785766 /nfs/dbraw/zinc/78/57/66/1039785766.db2.gz DKBOFQADVVHRJB-YUELXQCFSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552462 1039786183 /nfs/dbraw/zinc/78/61/83/1039786183.db2.gz DGMOSFORJXMBLW-ZBFHGGJFSA-N 0 3 302.418 4.245 20 0 DIADHN C[C@@H]1CN(Cc2ncc(-c3cccs3)o2)[C@@H]2CCCC[C@H]21 ZINC000542050987 1039787245 /nfs/dbraw/zinc/78/72/45/1039787245.db2.gz GKGSYUKBBYGVDZ-HZSPNIEDSA-N 0 3 302.443 4.414 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@H]3CCCn4nccc43)ccc2c1 ZINC000657860660 1039791319 /nfs/dbraw/zinc/79/13/19/1039791319.db2.gz BOABLNUDYDVTIY-KUHUBIRLSA-N 0 3 321.424 4.231 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657860647 1039792358 /nfs/dbraw/zinc/79/23/58/1039792358.db2.gz BJVZCJMZGMQSOW-VXGBXAGGSA-N 0 3 306.356 4.440 20 0 DIADHN Cc1ncc([C@H](C)NCc2c(C)c(C)c(C)c(C)c2C)c(C)n1 ZINC000090581076 1039812197 /nfs/dbraw/zinc/81/21/97/1039812197.db2.gz RJXGMWBNCULRHJ-INIZCTEOSA-N 0 3 311.473 4.486 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@H](C)c1ccc(F)cn1 ZINC000090778507 1039819571 /nfs/dbraw/zinc/81/95/71/1039819571.db2.gz GIIIIBOIIIGYHW-UKRRQHHQSA-N 0 3 304.434 4.442 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](CC)c2ccc(OC)cc2)cc1 ZINC000090843896 1039820012 /nfs/dbraw/zinc/82/00/12/1039820012.db2.gz ONVHQEOBSUESSH-WOJBJXKFSA-N 0 3 313.441 4.032 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](C)c2cc(C)sc2C)cc1 ZINC000090843796 1039820868 /nfs/dbraw/zinc/82/08/68/1039820868.db2.gz MAGUMADRXKMIGR-FZKQIMNGSA-N 0 3 303.471 4.312 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cc(F)cc(OC)c2)c1 ZINC000090972420 1039825386 /nfs/dbraw/zinc/82/53/86/1039825386.db2.gz HFYIMIRYASWGLL-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(C[C@@H]2CCC3(CCCC3)O2)C1 ZINC000669933645 1039829409 /nfs/dbraw/zinc/82/94/09/1039829409.db2.gz PTYNVQKPVLCINN-GJZGRUSLSA-N 0 3 321.411 4.246 20 0 DIADHN CC(C)[C@H](NCc1cccc(Br)c1)c1cccnc1 ZINC000091312661 1039840619 /nfs/dbraw/zinc/84/06/19/1039840619.db2.gz HQAPKTIKWAKANE-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2sc(C)nc2C)c1 ZINC000172742909 1039841147 /nfs/dbraw/zinc/84/11/47/1039841147.db2.gz GPHXLRLIGWQUTL-MRXNPFEDSA-N 0 3 302.443 4.106 20 0 DIADHN CC(C)[C@H](NCc1ccc(Br)cc1)c1cccnc1 ZINC000091310333 1039842067 /nfs/dbraw/zinc/84/20/67/1039842067.db2.gz GGTQFBAIKHMVJU-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1nc2ccccc2n1C(F)F ZINC000091348642 1039846400 /nfs/dbraw/zinc/84/64/00/1039846400.db2.gz AYQWFBDFUYQZOX-ZLDLUXBVSA-N 0 3 323.387 4.040 20 0 DIADHN C[C@H](NCc1ccc(N(C)C)nc1)c1ccccc1C(F)(F)F ZINC000151753800 1039868372 /nfs/dbraw/zinc/86/83/72/1039868372.db2.gz PFPLXTHEFRMMEE-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN C[C@@H](NCCSc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000092720597 1039877543 /nfs/dbraw/zinc/87/75/43/1039877543.db2.gz IRXUSMHSDKPRDW-LLVKDONJSA-N 0 3 310.825 4.317 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(F)cn1)c1ccsc1 ZINC000092738951 1039881675 /nfs/dbraw/zinc/88/16/75/1039881675.db2.gz QWVKFVQIGFJOOI-GUYCJALGSA-N 0 3 321.465 4.016 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(F)cn1)c1ccsc1 ZINC000092738954 1039881992 /nfs/dbraw/zinc/88/19/92/1039881992.db2.gz QWVKFVQIGFJOOI-CXAGYDPISA-N 0 3 321.465 4.016 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccc(F)cn1)Nc1ccccc1 ZINC000092747226 1039886348 /nfs/dbraw/zinc/88/63/48/1039886348.db2.gz LLNQXKCPMHTEJX-KBXCAEBGSA-N 0 3 301.409 4.008 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cnc(C2CC2)s1 ZINC000093102444 1039894438 /nfs/dbraw/zinc/89/44/38/1039894438.db2.gz OCWLYEMUEBHLRN-ZDUSSCGKSA-N 0 3 316.470 4.092 20 0 DIADHN Cc1cccc(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)c1C ZINC000093889276 1039903364 /nfs/dbraw/zinc/90/33/64/1039903364.db2.gz KJZXJNQFKVTVLW-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN CC(C)(NCc1ccc(-n2ccnc2)cc1)c1ccccc1F ZINC000492052796 1039909844 /nfs/dbraw/zinc/90/98/44/1039909844.db2.gz ZMCVHNGXHYZRMK-UHFFFAOYSA-N 0 3 309.388 4.036 20 0 DIADHN CCCOc1ccc(CN[C@@H]2c3cc(C)ccc3C[C@@H]2C)cn1 ZINC000492122344 1039921803 /nfs/dbraw/zinc/92/18/03/1039921803.db2.gz LVAFGIXJKSMQSW-YWZLYKJASA-N 0 3 310.441 4.202 20 0 DIADHN CC(C)c1ncc(CN[C@@H](C)[C@H]2OCCc3sccc32)s1 ZINC000492155524 1039928315 /nfs/dbraw/zinc/92/83/15/1039928315.db2.gz WHRBQXACNBSNEI-XHDPSFHLSA-N 0 3 322.499 4.120 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000492194808 1039932793 /nfs/dbraw/zinc/93/27/93/1039932793.db2.gz LJONQZHYIQWPJI-INIZCTEOSA-N 0 3 306.356 4.240 20 0 DIADHN OC1(CN[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)CCC1 ZINC000492224776 1039937427 /nfs/dbraw/zinc/93/74/27/1039937427.db2.gz OHWXRIVSPSPJCV-QGZVFWFLSA-N 0 3 319.807 4.073 20 0 DIADHN C[C@H](N[C@H](CCCO)c1ccccc1)c1ccc(C(F)F)nc1 ZINC000657886103 1039999549 /nfs/dbraw/zinc/99/95/49/1039999549.db2.gz HNRNCWMZLBGQIG-XJKSGUPXSA-N 0 3 320.383 4.184 20 0 DIADHN CO[C@@H](C)c1nc(CN2CCC[C@@H]2C2CCCCC2)cs1 ZINC000175107192 1040010129 /nfs/dbraw/zinc/01/01/29/1040010129.db2.gz JEKBKNVOZSYWGS-XJKSGUPXSA-N 0 3 308.491 4.395 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000492848362 1040027316 /nfs/dbraw/zinc/02/73/16/1040027316.db2.gz VKYPVHIZNUIWOP-NVXWUHKLSA-N 0 3 313.445 4.494 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@@H]2CCCC[C@H]2C)c(C)c1C ZINC000444041073 1040035597 /nfs/dbraw/zinc/03/55/97/1040035597.db2.gz WGRWBRWBLSRDKF-IAQYHMDHSA-N 0 3 308.491 4.122 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)c2cc3ccncc3s2)s1 ZINC000657890767 1040040221 /nfs/dbraw/zinc/04/02/21/1040040221.db2.gz QBAQHYBGNKJIDH-SECBINFHSA-N 0 3 303.456 4.220 20 0 DIADHN CCc1ccc(CN2C[C@H](C)C[C@@H]2c2cccc(OC)c2)cn1 ZINC000685427308 1040044723 /nfs/dbraw/zinc/04/47/23/1040044723.db2.gz SKFHMBHBKCTYTM-FOIQADDNSA-N 0 3 310.441 4.236 20 0 DIADHN CC(C)(CO)[C@H](NCc1cc2ccccc2[nH]1)c1ccccc1 ZINC000685429567 1040046171 /nfs/dbraw/zinc/04/61/71/1040046171.db2.gz CWQKAUFVOCKVKD-LJQANCHMSA-N 0 3 308.425 4.017 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2cnccc2c1)c1ccccc1 ZINC000685429593 1040047442 /nfs/dbraw/zinc/04/74/42/1040047442.db2.gz HOSBJNVZSLTTMR-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN COCCCN(C/C(C)=C\c1ccccc1)Cc1ccncc1 ZINC000685457411 1040055873 /nfs/dbraw/zinc/05/58/73/1040055873.db2.gz GQONQTPPAXRTOC-SDXDJHTJSA-N 0 3 310.441 4.024 20 0 DIADHN Oc1ccc(CN(CCc2ccccc2)Cc2ccncc2)cc1 ZINC000685455401 1040058509 /nfs/dbraw/zinc/05/85/09/1040058509.db2.gz OYCYCGAUYCPVFH-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN Cc1ccc(CN2CCC(c3cccnc3)CC2)cc1Cl ZINC000685455870 1040059180 /nfs/dbraw/zinc/05/91/80/1040059180.db2.gz BYRWQHWJGNZEQA-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H](C[C@H]3CCOC3)C2)s1 ZINC000685464062 1040063042 /nfs/dbraw/zinc/06/30/42/1040063042.db2.gz CEAAJLKIRREQLO-HUUCEWRRSA-N 0 3 322.518 4.079 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000685464074 1040063971 /nfs/dbraw/zinc/06/39/71/1040063971.db2.gz CRAGSAYHYFOJPL-HUUCEWRRSA-N 0 3 320.502 4.049 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H](C[C@@H]3CCOC3)C2)s1 ZINC000685464061 1040064725 /nfs/dbraw/zinc/06/47/25/1040064725.db2.gz CEAAJLKIRREQLO-GJZGRUSLSA-N 0 3 322.518 4.079 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C/C=C/c1ccc(F)c(F)c1 ZINC000685470091 1040067092 /nfs/dbraw/zinc/06/70/92/1040067092.db2.gz TZYDDPJKKNURIM-SHCNBLLESA-N 0 3 302.368 4.456 20 0 DIADHN CCN(Cc1ccc(OCC(F)F)cc1)[C@@H](C)c1cccnc1 ZINC000685469939 1040068662 /nfs/dbraw/zinc/06/86/62/1040068662.db2.gz VKRNVTGYZHFMJA-AWEZNQCLSA-N 0 3 320.383 4.309 20 0 DIADHN CC(C)[C@H]1C[C@H](N[C@@H](C)c2nccn2-c2ccccc2)CS1 ZINC000685472086 1040069860 /nfs/dbraw/zinc/06/98/60/1040069860.db2.gz NHEMJRNDVBSVPL-YQQAZPJKSA-N 0 3 315.486 4.053 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(Oc2cccnc2)cc1 ZINC000685474353 1040076034 /nfs/dbraw/zinc/07/60/34/1040076034.db2.gz YFNDBYWDNNVXIE-HNNXBMFYSA-N 0 3 320.392 4.430 20 0 DIADHN CC(C)COc1ccc([C@H](C)N[C@@H]2CCCn3nccc32)cc1 ZINC000657905581 1040106801 /nfs/dbraw/zinc/10/68/01/1040106801.db2.gz SEIAAVODZMKDGA-MAUKXSAKSA-N 0 3 313.445 4.104 20 0 DIADHN CC(C)COc1ccc([C@@H](C)N[C@@H]2CCCn3nccc32)cc1 ZINC000657905580 1040106840 /nfs/dbraw/zinc/10/68/40/1040106840.db2.gz SEIAAVODZMKDGA-CRAIPNDOSA-N 0 3 313.445 4.104 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(F)cc1Cl ZINC000177316351 1040117025 /nfs/dbraw/zinc/11/70/25/1040117025.db2.gz QPXHKWVSXMPAPS-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1cccc(C)c1)c1ccc(F)cn1 ZINC000493174802 1040117248 /nfs/dbraw/zinc/11/72/48/1040117248.db2.gz JVISYBQPMCNWMV-PBHICJAKSA-N 0 3 302.393 4.037 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(C(F)F)nc1 ZINC000657912759 1040125730 /nfs/dbraw/zinc/12/57/30/1040125730.db2.gz JJSRRNGWTRTXGS-BMIGLBTASA-N 0 3 306.331 4.496 20 0 DIADHN Cc1cnc(CNC2CCC(c3ccc(F)cc3)CC2)s1 ZINC000493205310 1040130840 /nfs/dbraw/zinc/13/08/40/1040130840.db2.gz MYMMRJLRJKFALB-UHFFFAOYSA-N 0 3 304.434 4.407 20 0 DIADHN FC1(F)CC2(CN(CCn3ccc4cc(Cl)ccc43)C2)C1 ZINC000685570068 1040137134 /nfs/dbraw/zinc/13/71/34/1040137134.db2.gz INIUZFVEJXZHKI-UHFFFAOYSA-N 0 3 310.775 4.026 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](NC[C@@H]1CCCO1)C1CC1 ZINC000685587687 1040147021 /nfs/dbraw/zinc/14/70/21/1040147021.db2.gz JGRIKUKNKQALFG-NHYWBVRUSA-N 0 3 300.229 4.213 20 0 DIADHN Cc1cn[nH]c1CN[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000685614129 1040155178 /nfs/dbraw/zinc/15/51/78/1040155178.db2.gz NSGBIHDHEAWEAS-SFHVURJKSA-N 0 3 311.816 4.251 20 0 DIADHN COC[C@H](N[C@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000657917075 1040161861 /nfs/dbraw/zinc/16/18/61/1040161861.db2.gz VISNYXIDIJOQPX-CJNGLKHVSA-N 0 3 312.438 4.335 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000657917077 1040162793 /nfs/dbraw/zinc/16/27/93/1040162793.db2.gz VISNYXIDIJOQPX-XJKSGUPXSA-N 0 3 312.438 4.335 20 0 DIADHN C[C@]1(CNCc2cscc2Cl)OCCc2sccc21 ZINC000685629326 1040169336 /nfs/dbraw/zinc/16/93/36/1040169336.db2.gz LXBFNXQVHPBKJX-CQSZACIVSA-N 0 3 313.875 4.041 20 0 DIADHN COc1ccc(C)cc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000685629015 1040170487 /nfs/dbraw/zinc/17/04/87/1040170487.db2.gz ITPLQQXAWAALQT-QUCCMNQESA-N 0 3 311.425 4.014 20 0 DIADHN c1csc([C@H](Cc2ccccc2)N[C@H]2CCCn3nccc32)c1 ZINC000657919433 1040172640 /nfs/dbraw/zinc/17/26/40/1040172640.db2.gz RWSQFLAVLXWFAU-IRXDYDNUSA-N 0 3 323.465 4.353 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000685644409 1040178417 /nfs/dbraw/zinc/17/84/17/1040178417.db2.gz WVIIFVMAJFEKAD-ZIAGYGMSSA-N 0 3 315.413 4.211 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@H]1C)c1nccc2ccccc21 ZINC000685645014 1040181176 /nfs/dbraw/zinc/18/11/76/1040181176.db2.gz PAJXXPVXRMQRCB-GJYPPUQNSA-N 0 3 312.457 4.481 20 0 DIADHN COc1cc(CN[C@@H](CC(C)(C)C)c2ccccc2)sn1 ZINC000399239336 1040187252 /nfs/dbraw/zinc/18/72/52/1040187252.db2.gz CCDUBPUZRVNWSY-HNNXBMFYSA-N 0 3 304.459 4.419 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3nc(C)sc3C)CC2)c1 ZINC000685655269 1040189043 /nfs/dbraw/zinc/18/90/43/1040189043.db2.gz NSAMMBQPUSPXGP-GFCCVEGCSA-N 0 3 316.470 4.151 20 0 DIADHN C[C@H](NCc1cccc(OC(C)(C)C)n1)C(C)(C)C(F)F ZINC000657935363 1040194889 /nfs/dbraw/zinc/19/48/89/1040194889.db2.gz COPJCOKKMVXHDF-NSHDSACASA-N 0 3 300.393 4.028 20 0 DIADHN C[C@H](NCc1occc1Br)C1CCC(F)(F)CC1 ZINC000690774871 1040217362 /nfs/dbraw/zinc/21/73/62/1040217362.db2.gz CZNMLHBWXBNMAF-VIFPVBQESA-N 0 3 322.193 4.346 20 0 DIADHN Cc1nnc(CN[C@H]2CCCC[C@H]2c2ccccc2Cl)s1 ZINC000657972981 1040234724 /nfs/dbraw/zinc/23/47/24/1040234724.db2.gz UITHYCJKTRFZAP-ZFWWWQNUSA-N 0 3 321.877 4.316 20 0 DIADHN Cc1cccc(-c2ccc(CN[C@H]3CCCn4nccc43)cc2)c1 ZINC000657975152 1040237724 /nfs/dbraw/zinc/23/77/24/1040237724.db2.gz WZTOLHWBUPGNIN-FQEVSTJZSA-N 0 3 317.436 4.483 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000030143825 1040252569 /nfs/dbraw/zinc/25/25/69/1040252569.db2.gz QHIMVLUHAUIZLX-HOTGVXAUSA-N 0 3 302.462 4.259 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000657993772 1040255381 /nfs/dbraw/zinc/25/53/81/1040255381.db2.gz MAYWYZFHANDQSF-KUHUBIRLSA-N 0 3 310.397 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657996613 1040257300 /nfs/dbraw/zinc/25/73/00/1040257300.db2.gz WLKFZTVTPCDLSZ-DTWKUNHWSA-N 0 3 310.410 4.098 20 0 DIADHN COc1c(C)cccc1CN[C@@H](C)c1cc2ccncc2s1 ZINC000658047213 1040288357 /nfs/dbraw/zinc/28/83/57/1040288357.db2.gz PCBMNAFROYRTQN-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN CCc1ccc([C@H](CC)NCc2cnc(C(F)(F)F)nc2)cc1 ZINC000658079244 1040293481 /nfs/dbraw/zinc/29/34/81/1040293481.db2.gz QAFLKFWIQHYLSY-HNNXBMFYSA-N 0 3 323.362 4.299 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccnc2N2CCCC2)c(C)c1 ZINC000658099730 1040302720 /nfs/dbraw/zinc/30/27/20/1040302720.db2.gz FLSJHWLPEYPQHL-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@H](NC[C@H](OC1CCCC1)C(F)(F)F)c1cccs1 ZINC000658123069 1040318973 /nfs/dbraw/zinc/31/89/73/1040318973.db2.gz JAZKCTMMSJJCAG-GWCFXTLKSA-N 0 3 307.381 4.289 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cccnc1N1CCCC1 ZINC000658103123 1040311372 /nfs/dbraw/zinc/31/13/72/1040311372.db2.gz HFDFANWGIBCJKG-APWZRJJASA-N 0 3 321.468 4.328 20 0 DIADHN CC1(C)C[C@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658101449 1040312569 /nfs/dbraw/zinc/31/25/69/1040312569.db2.gz QHRMOLVEYWGZQE-IBGZPJMESA-N 0 3 321.468 4.194 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccnc1N1CCCC1)[C@@H](C)C2 ZINC000658104167 1040314632 /nfs/dbraw/zinc/31/46/32/1040314632.db2.gz PEXLSIMIJTYPSG-JXFKEZNVSA-N 0 3 321.468 4.013 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc3occc3c1)CCCO2 ZINC000658120351 1040321553 /nfs/dbraw/zinc/32/15/53/1040321553.db2.gz QFUAFFHNFPITOJ-SFHVURJKSA-N 0 3 323.392 4.445 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)COc1c(F)cccc1F ZINC000658178830 1040345038 /nfs/dbraw/zinc/34/50/38/1040345038.db2.gz WBYHTKHMLLPNFU-STQMWFEESA-N 0 3 320.383 4.040 20 0 DIADHN C[C@@H](NCCCOC(C)(C)C)c1nc2ccc(Cl)cc2n1C ZINC000658187966 1040345485 /nfs/dbraw/zinc/34/54/85/1040345485.db2.gz XOPMLTDDCPRHSY-GFCCVEGCSA-N 0 3 323.868 4.083 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc2c(c1)CCCC2 ZINC000658211526 1040349788 /nfs/dbraw/zinc/34/97/88/1040349788.db2.gz CZLLJEMOOIAZSY-CQSZACIVSA-N 0 3 309.457 4.027 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)NCc2c(C3CC3)cnn2C)c1 ZINC000658213143 1040352807 /nfs/dbraw/zinc/35/28/07/1040352807.db2.gz OKBLWTHKIILPHO-OAHLLOKOSA-N 0 3 311.473 4.347 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@H](c2ccccc2)CO1)c1ccccn1 ZINC000658205623 1040354971 /nfs/dbraw/zinc/35/49/71/1040354971.db2.gz CNQZTVALAHFEDG-FHWLQOOXSA-N 0 3 310.441 4.085 20 0 DIADHN COCc1csc(CN[C@@H](CC(C)C)c2ccncc2)c1 ZINC000658209983 1040359314 /nfs/dbraw/zinc/35/93/14/1040359314.db2.gz MEKAOCPGAQOZOG-KRWDZBQOSA-N 0 3 304.459 4.167 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c(C3CC3)cnn2C)c2sccc21 ZINC000658214326 1040371579 /nfs/dbraw/zinc/37/15/79/1040371579.db2.gz YAGCBBZMJWUJBY-IAQYHMDHSA-N 0 3 301.459 4.087 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(C3CC3)cnn2C)c2sccc21 ZINC000658214339 1040371821 /nfs/dbraw/zinc/37/18/21/1040371821.db2.gz YAGCBBZMJWUJBY-NHYWBVRUSA-N 0 3 301.459 4.087 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H](c1cccs1)C1CCCC1 ZINC000658214631 1040372411 /nfs/dbraw/zinc/37/24/11/1040372411.db2.gz XIGNODRCNBNIOQ-GOSISDBHSA-N 0 3 315.486 4.380 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1cc2c(s1)CCCC2 ZINC000658212643 1040373704 /nfs/dbraw/zinc/37/37/04/1040373704.db2.gz LPMQEQLJHVAFLW-GFCCVEGCSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1cc(Br)ccc1F ZINC000658217558 1040376239 /nfs/dbraw/zinc/37/62/39/1040376239.db2.gz GKGQDOHCNBHTEP-PRHODGIISA-N 0 3 322.168 4.284 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@H](CC1CC1)c1ccccc1 ZINC000658268630 1040387866 /nfs/dbraw/zinc/38/78/66/1040387866.db2.gz QIHWPBWFNKIHIT-LJQANCHMSA-N 0 3 309.457 4.319 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1c(C2CCC2)cnn1C ZINC000658268207 1040394365 /nfs/dbraw/zinc/39/43/65/1040394365.db2.gz KUXKHJBJIKWQEI-KSSFIOAISA-N 0 3 309.457 4.334 20 0 DIADHN CCCc1ccc([C@H](C)NCc2c(C3CCC3)cnn2C)cc1 ZINC000658269480 1040399088 /nfs/dbraw/zinc/39/90/88/1040399088.db2.gz OGECRPDHVCVPMM-HNNXBMFYSA-N 0 3 311.473 4.491 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1c(C2CCC2)cnn1C ZINC000658269590 1040399484 /nfs/dbraw/zinc/39/94/84/1040399484.db2.gz WBBIEPICKAWRII-JLTOFOAXSA-N 0 3 309.457 4.101 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2c(C3CCC3)cnn2C)cc1C ZINC000658269390 1040400113 /nfs/dbraw/zinc/40/01/13/1040400113.db2.gz NYOQTHSXZDXKCA-MRXNPFEDSA-N 0 3 311.473 4.464 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](O)C(C)C)cc1 ZINC000658286840 1040403679 /nfs/dbraw/zinc/40/36/79/1040403679.db2.gz BZBXJSCMQNQWJA-RYGJVYDSSA-N 0 3 313.441 4.104 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccccc2)[C@@H](O)C(C)C)cs1 ZINC000658286516 1040405697 /nfs/dbraw/zinc/40/56/97/1040405697.db2.gz ALNWUZSHHNTURY-QGTPRVQTSA-N 0 3 303.471 4.465 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(Br)cc2)cnc1F ZINC000892431630 1040416168 /nfs/dbraw/zinc/41/61/68/1040416168.db2.gz UEYFWBYXGSNPRX-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN C[C@H](NCC1(O)CCC(F)(F)CC1)c1ccc(F)cc1Cl ZINC000658323542 1040422445 /nfs/dbraw/zinc/42/24/45/1040422445.db2.gz RRFYEQDKQJRIRU-JTQLQIEISA-N 0 3 321.770 4.070 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc(C)nc3C)CCC2)c1 ZINC000658322893 1040422524 /nfs/dbraw/zinc/42/25/24/1040422524.db2.gz DXYKNFXTBNAUAT-INIZCTEOSA-N 0 3 310.441 4.437 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2N[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000658340426 1040431361 /nfs/dbraw/zinc/43/13/61/1040431361.db2.gz YVXLPGLIVZIHCT-RBUKOAKNSA-N 0 3 324.472 4.402 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000658340426 1040431370 /nfs/dbraw/zinc/43/13/70/1040431370.db2.gz YVXLPGLIVZIHCT-RBUKOAKNSA-N 0 3 324.472 4.402 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000658359144 1040436167 /nfs/dbraw/zinc/43/61/67/1040436167.db2.gz AKCNASHOHFPXSU-UYHISHBKSA-N 0 3 301.817 4.039 20 0 DIADHN COc1cccc(CN[C@H](C)c2cccc(OC(F)F)c2)c1C ZINC000658353449 1040436557 /nfs/dbraw/zinc/43/65/57/1040436557.db2.gz MXRCSDFOQXLKFL-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN C[C@H](NC/C=C/Cl)c1cccc(OCc2ccccn2)c1 ZINC000179695906 1040526383 /nfs/dbraw/zinc/52/63/83/1040526383.db2.gz SROPUBWMUVTQQI-KVEVGEHQSA-N 0 3 302.805 4.064 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)C(C)C)c(C)n1 ZINC000892487641 1040565793 /nfs/dbraw/zinc/56/57/93/1040565793.db2.gz OJCUAPXAYPLRER-KRWDZBQOSA-N 0 3 305.853 4.352 20 0 DIADHN CCCN(C(=O)C[C@@H](N)c1ccccc1)[C@@H]1CCc2ccccc21 ZINC000448243691 1040574953 /nfs/dbraw/zinc/57/49/53/1040574953.db2.gz SXIMBDXTYVFZAR-WOJBJXKFSA-N 0 3 322.452 4.003 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1NC(C)(C)C)N1[C@H](C)CC[C@H]1C ZINC000413744515 1040599829 /nfs/dbraw/zinc/59/98/29/1040599829.db2.gz HRTSDXLURMNIQS-RBSFLKMASA-N 0 3 317.477 4.097 20 0 DIADHN Cc1ncc(CN2CCC(C)(c3cccc(Cl)c3)CC2)o1 ZINC000628256857 1040600938 /nfs/dbraw/zinc/60/09/38/1040600938.db2.gz OYPWDVFPILOLGE-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN CC(C)CC[C@@](C)(O)CNCc1nc(-c2ccccc2)cs1 ZINC000236619145 1040606582 /nfs/dbraw/zinc/60/65/82/1040606582.db2.gz PZFJMXYNAZGRNP-GOSISDBHSA-N 0 3 318.486 4.087 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2N[C@@H](C)Cc1ccc(F)cc1C ZINC000926683243 1040650111 /nfs/dbraw/zinc/65/01/11/1040650111.db2.gz KWRDZXINLCGISQ-BLLLJJGKSA-N 0 3 302.393 4.029 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H](C)Cc1ccc(F)cc1C ZINC000926683244 1040650211 /nfs/dbraw/zinc/65/02/11/1040650211.db2.gz KWRDZXINLCGISQ-LRDDRELGSA-N 0 3 302.393 4.029 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1coc2ccccc21 ZINC000924550571 1040653008 /nfs/dbraw/zinc/65/30/08/1040653008.db2.gz AHNBFZHWOOJADT-CYBMUJFWSA-N 0 3 309.365 4.055 20 0 DIADHN FC(F)(F)c1cc(CN2CCC[C@@H]2[C@H]2CCCOC2)cs1 ZINC000628321195 1040715800 /nfs/dbraw/zinc/71/58/00/1040715800.db2.gz QNIFQGOFHGQTBD-QWHCGFSZSA-N 0 3 319.392 4.158 20 0 DIADHN Cc1cc(C)cc([C@H](NC[C@]2(C)CC2(F)F)c2cccnc2)c1 ZINC000926703163 1040716271 /nfs/dbraw/zinc/71/62/71/1040716271.db2.gz DEHQUPBRXSBBMG-MSOLQXFVSA-N 0 3 316.395 4.423 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@]3(C)CCCS3)co2)cc1 ZINC000133532230 1040729485 /nfs/dbraw/zinc/72/94/85/1040729485.db2.gz QICBRAIRMKKUKI-QGZVFWFLSA-N 0 3 302.443 4.025 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)c(F)c1)c1cc2ccccc2o1 ZINC000177753757 1040770909 /nfs/dbraw/zinc/77/09/09/1040770909.db2.gz LBOXOCGNCPGKKJ-BDJLRTHQSA-N 0 3 317.335 4.095 20 0 DIADHN Cc1cn[nH]c1CN(Cc1ccccc1Cl)C1CCCC1 ZINC000685836069 1040772392 /nfs/dbraw/zinc/77/23/92/1040772392.db2.gz CLHIATFZLMNYQH-UHFFFAOYSA-N 0 3 303.837 4.316 20 0 DIADHN CCN(Cc1[nH]ncc1C)[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000685836163 1040773005 /nfs/dbraw/zinc/77/30/05/1040773005.db2.gz SDUFPOOJYBAFGR-FQEVSTJZSA-N 0 3 323.415 4.469 20 0 DIADHN Cc1cn[nH]c1CN1Cc2ccccc2C[C@@H]1c1ccccc1 ZINC000685837977 1040777411 /nfs/dbraw/zinc/77/74/11/1040777411.db2.gz RVPWLEWXHTWMLK-HXUWFJFHSA-N 0 3 303.409 4.018 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC(F)(F)[C@H](C)C1 ZINC000685849541 1040794053 /nfs/dbraw/zinc/79/40/53/1040794053.db2.gz XZVSBFPRQXUEEE-LLVKDONJSA-N 0 3 307.306 4.491 20 0 DIADHN C[C@@H](NCCCOCC1CC1)c1csc(-c2ccccc2)n1 ZINC000414057851 1040797100 /nfs/dbraw/zinc/79/71/00/1040797100.db2.gz GJXUGFHCOPRPDB-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN CCC[C@H](NCCC1SCCS1)c1ncccc1Cl ZINC000926728807 1040800821 /nfs/dbraw/zinc/80/08/21/1040800821.db2.gz SNJFZIHBYZDMTI-LBPRGKRZSA-N 0 3 316.923 4.362 20 0 DIADHN CC[C@H](O)CCCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414085863 1040838786 /nfs/dbraw/zinc/83/87/86/1040838786.db2.gz HEPUMYFEFNGKFN-HIFRSBDPSA-N 0 3 304.459 4.012 20 0 DIADHN CCC[C@H](CCO)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414089181 1040841070 /nfs/dbraw/zinc/84/10/70/1040841070.db2.gz CIPGUBWCXNPJGG-LSDHHAIUSA-N 0 3 318.486 4.259 20 0 DIADHN CCCc1ccc(CNCc2cccc(NC(=O)CC)c2)cc1 ZINC000613261919 1040843743 /nfs/dbraw/zinc/84/37/43/1040843743.db2.gz CQHDNLYJKBICNX-UHFFFAOYSA-N 0 3 310.441 4.277 20 0 DIADHN CCCCn1cc(CN[C@@H](C)c2ccc(OC)cc2C)c(C)n1 ZINC000414107581 1040873802 /nfs/dbraw/zinc/87/38/02/1040873802.db2.gz SESFJALNYVIDGA-INIZCTEOSA-N 0 3 315.461 4.159 20 0 DIADHN C[C@@H](NCC1(c2cccs2)CCCCC1)c1ccn(C)n1 ZINC000414110213 1040875540 /nfs/dbraw/zinc/87/55/40/1040875540.db2.gz HZAYUYINSPYLAE-CQSZACIVSA-N 0 3 303.475 4.034 20 0 DIADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1csc(-c2ccccc2)n1 ZINC000414114854 1040879607 /nfs/dbraw/zinc/87/96/07/1040879607.db2.gz ZZUPDEHVKUKERP-DZGCQCFKSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1cccc2c1[C@@H](NCc1cnccc1C(F)(F)F)CC2 ZINC000926739677 1040882549 /nfs/dbraw/zinc/88/25/49/1040882549.db2.gz XBJJUFGNDDTOOD-HNNXBMFYSA-N 0 3 306.331 4.186 20 0 DIADHN CSC1(CN[C@@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000414122851 1040893036 /nfs/dbraw/zinc/89/30/36/1040893036.db2.gz BEWRNWCSILTDOL-LBPRGKRZSA-N 0 3 304.484 4.356 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ccn(C)n1 ZINC000414127250 1040895014 /nfs/dbraw/zinc/89/50/14/1040895014.db2.gz GCYFJUPGOAYTRE-UXIGCNINSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccccc3)no2)[C@H]2CCCC[C@H]12 ZINC000613416028 1040930229 /nfs/dbraw/zinc/93/02/29/1040930229.db2.gz PMKYJVNFDDKNBL-OIISXLGYSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccsc3)no2)[C@@H]2CCCC[C@H]12 ZINC000613415653 1040933388 /nfs/dbraw/zinc/93/33/88/1040933388.db2.gz JCCYIHHOBQDFCI-BPLDGKMQSA-N 0 3 317.458 4.199 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@@H]3CCCC[C@H]32)c(C)c1 ZINC000613416766 1040933952 /nfs/dbraw/zinc/93/39/52/1040933952.db2.gz YOVKWNGPMJCQHU-VDZJLULYSA-N 0 3 314.473 4.143 20 0 DIADHN CCCC[C@H](NCc1c(C)nn(C)c1C)c1ccc(F)cc1 ZINC000174024311 1040943291 /nfs/dbraw/zinc/94/32/91/1040943291.db2.gz AOEVGHKTUGICBW-SFHVURJKSA-N 0 3 303.425 4.197 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2Br)c1ccncc1 ZINC000174048918 1040943709 /nfs/dbraw/zinc/94/37/09/1040943709.db2.gz ORFCWYKARCMNRM-ZBEGNZNMSA-N 0 3 317.230 4.182 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2c(C)cc(C)cc2C)s1 ZINC000178130791 1040943923 /nfs/dbraw/zinc/94/39/23/1040943923.db2.gz ONSCMLHQICABCX-HNNXBMFYSA-N 0 3 316.470 4.271 20 0 DIADHN COc1ccc(OCCCN(Cc2ccc(C)o2)C2CC2)cc1 ZINC000613442896 1040952831 /nfs/dbraw/zinc/95/28/31/1040952831.db2.gz BIVJOMIGZFZUPK-UHFFFAOYSA-N 0 3 315.413 4.030 20 0 DIADHN CCn1ccnc1[C@H](C)NCC1(Cc2cccc(Cl)c2)CC1 ZINC000414181494 1040960115 /nfs/dbraw/zinc/96/01/15/1040960115.db2.gz MHMICNFUMHTIAJ-AWEZNQCLSA-N 0 3 317.864 4.230 20 0 DIADHN Cc1ccc(NC(=O)CN(C2CC2)C2CCC(C)CC2)c(F)c1 ZINC000613472629 1040983538 /nfs/dbraw/zinc/98/35/38/1040983538.db2.gz JWZXTWXBFQBXNR-UHFFFAOYSA-N 0 3 318.436 4.116 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@@H]3CCc3ccccc3)nc12 ZINC000613473609 1040984428 /nfs/dbraw/zinc/98/44/28/1040984428.db2.gz HMHRLIUTZLTZDK-HXUWFJFHSA-N 0 3 319.452 4.240 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@H]1CCc1ccccc1 ZINC000613476058 1040985671 /nfs/dbraw/zinc/98/56/71/1040985671.db2.gz GPUNTULDRNTVNG-IBGZPJMESA-N 0 3 322.452 4.031 20 0 DIADHN Cc1ccccc1NC(=O)CCN(C)[C@@H](C)c1ccccc1F ZINC000174738197 1041002402 /nfs/dbraw/zinc/00/24/02/1041002402.db2.gz ONASIJUKPHVBLX-HNNXBMFYSA-N 0 3 314.404 4.156 20 0 DIADHN COCC[C@H](C)CN[C@H](c1cc2ccccc2o1)c1cccnc1 ZINC000414214104 1041008969 /nfs/dbraw/zinc/00/89/69/1041008969.db2.gz YSSBHPBYPMTVJV-YWZLYKJASA-N 0 3 324.424 4.179 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1CC(=O)N[C@@H](C)c1ccccc1 ZINC000613494208 1041009637 /nfs/dbraw/zinc/00/96/37/1041009637.db2.gz YFCITFYOERTMGG-PXNSSMCTSA-N 0 3 322.452 4.009 20 0 DIADHN Cc1cccc(NC(=O)CN(CC2CCCCC2)C2CC2)c1C ZINC000613502363 1041019412 /nfs/dbraw/zinc/01/94/12/1041019412.db2.gz IMFNTMIDSDGRLM-UHFFFAOYSA-N 0 3 314.473 4.287 20 0 DIADHN COC[C@H](NCCSC(C)(C)C)c1cccc(Cl)c1 ZINC000808801006 1041031172 /nfs/dbraw/zinc/03/11/72/1041031172.db2.gz PUVXNBLWXZFCRK-AWEZNQCLSA-N 0 3 301.883 4.149 20 0 DIADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000414231991 1041035034 /nfs/dbraw/zinc/03/50/34/1041035034.db2.gz POAFSXYEXVOORC-MBNYWOFBSA-N 0 3 304.484 4.355 20 0 DIADHN Cn1c(CN(CC2CC2)C2CCCCC2)nnc1-c1ccccc1 ZINC000170785430 1041043200 /nfs/dbraw/zinc/04/32/00/1041043200.db2.gz ADVCGUNUAGAEMC-UHFFFAOYSA-N 0 3 324.472 4.027 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC001170570017 1041081189 /nfs/dbraw/zinc/08/11/89/1041081189.db2.gz BGZAIGRFGKMNRS-FHSNZYRGSA-N 0 3 321.823 4.294 20 0 DIADHN Cc1cc(CN2CCC[C@H](C(F)F)C2)cc(OC(F)(F)F)c1 ZINC001143469315 1041085170 /nfs/dbraw/zinc/08/51/70/1041085170.db2.gz SCFAHSDGNNSGNG-LBPRGKRZSA-N 0 3 323.305 4.371 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000414324580 1041129969 /nfs/dbraw/zinc/12/99/69/1041129969.db2.gz KRYVSFSLRBZRFX-CVEARBPZSA-N 0 3 313.470 4.150 20 0 DIADHN C[C@H](Cc1cn(C)c2ccc(F)cc12)N[C@H](C)c1nccs1 ZINC000414347834 1041155480 /nfs/dbraw/zinc/15/54/80/1041155480.db2.gz NSBIENCSDMQZBT-VXGBXAGGSA-N 0 3 317.433 4.056 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)Nc1ccccc1C(C)(C)C ZINC000176542135 1041183381 /nfs/dbraw/zinc/18/33/81/1041183381.db2.gz PCSNYHAWISDURQ-UHFFFAOYSA-N 0 3 319.493 4.036 20 0 DIADHN C[C@H](O)c1ncc(CN(C)C2CCC(C(C)(C)C)CC2)s1 ZINC000809546614 1041189068 /nfs/dbraw/zinc/18/90/68/1041189068.db2.gz VVGNOMGOECGRCI-HSBZDZAISA-N 0 3 310.507 4.233 20 0 DIADHN Clc1ccc(Cl)c(CNCCCn2cccn2)c1Cl ZINC000134389853 1041192368 /nfs/dbraw/zinc/19/23/68/1041192368.db2.gz OZQLKRBSNUFHAZ-UHFFFAOYSA-N 0 3 318.635 4.023 20 0 DIADHN CCCCC1CCC(NCc2ncc(C3OCCO3)s2)CC1 ZINC000809609399 1041194318 /nfs/dbraw/zinc/19/43/18/1041194318.db2.gz IVEKDPBUEUYLKZ-UHFFFAOYSA-N 0 3 324.490 4.027 20 0 DIADHN CCC[C@@H](NCc1ncc(C2OCCO2)s1)C1CCCCC1 ZINC000809614379 1041196882 /nfs/dbraw/zinc/19/68/82/1041196882.db2.gz KKWQGGDUKHUEAG-CQSZACIVSA-N 0 3 324.490 4.027 20 0 DIADHN CCc1ccc([C@@H](NCc2cnc([C@@H](C)O)s2)C(C)C)cc1 ZINC000809601566 1041202627 /nfs/dbraw/zinc/20/26/27/1041202627.db2.gz GGLFJLMOZXEBDC-DYVFJYSZSA-N 0 3 318.486 4.246 20 0 DIADHN CC[C@@H](CN(CC)Cc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000809642448 1041209869 /nfs/dbraw/zinc/20/98/69/1041209869.db2.gz GATJWEZWWFQXBV-CABCVRRESA-N 0 3 318.486 4.212 20 0 DIADHN O[C@@H](CN(Cc1ccsc1)Cc1ccccc1)c1ccccc1 ZINC000809699770 1041218342 /nfs/dbraw/zinc/21/83/42/1041218342.db2.gz WJRNGTMARDGZJW-FQEVSTJZSA-N 0 3 323.461 4.484 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCOCc2ccccc2)c1 ZINC001204625167 1041222378 /nfs/dbraw/zinc/22/23/78/1041222378.db2.gz NQDSTHZDBCNYFI-UHFFFAOYSA-N 0 3 303.833 4.297 20 0 DIADHN c1ccc(N2CCCCC2)c(CN2CCC[C@H]2c2ccncc2)c1 ZINC000809729229 1041224053 /nfs/dbraw/zinc/22/40/53/1041224053.db2.gz RGQCEWOFAXSOKG-FQEVSTJZSA-N 0 3 321.468 4.409 20 0 DIADHN CCCCCC[C@@H](CC)n1nc(-c2ccncc2)cc1CN ZINC001170585446 1041226657 /nfs/dbraw/zinc/22/66/57/1041226657.db2.gz FJGYSVJBUVWSGC-MRXNPFEDSA-N 0 3 300.450 4.325 20 0 DIADHN CSCc1ccc(CNCc2cc(C)nc(Cl)c2)cc1 ZINC000809710903 1041231237 /nfs/dbraw/zinc/23/12/37/1041231237.db2.gz CKUNDPLHPYFCRD-UHFFFAOYSA-N 0 3 306.862 4.196 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)N(Cc2nn(C)c3ccccc23)C1 ZINC000809716300 1041233128 /nfs/dbraw/zinc/23/31/28/1041233128.db2.gz SQAIWOIMEHSNTH-FOIQADDNSA-N 0 3 305.425 4.156 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1ccc(CSC(F)F)o1 ZINC000809766964 1041235474 /nfs/dbraw/zinc/23/54/74/1041235474.db2.gz CPFVUWGUSIALGE-JTQLQIEISA-N 0 3 309.447 4.309 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1nc(C)cs1)c1ccsc1 ZINC000623862073 1041257017 /nfs/dbraw/zinc/25/70/17/1041257017.db2.gz LHJOBLPSUZCAKQ-ZFWWWQNUSA-N 0 3 323.531 4.247 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2SCCc3ccccc32)c1 ZINC000531151330 1041262827 /nfs/dbraw/zinc/26/28/27/1041262827.db2.gz ZRXJYJDKBSPAJU-KRWDZBQOSA-N 0 3 305.393 4.085 20 0 DIADHN C[C@H](CO)CNC(c1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000678028043 1041264267 /nfs/dbraw/zinc/26/42/67/1041264267.db2.gz QLBSNWHTZYTCGZ-LBPRGKRZSA-N 0 3 324.251 4.301 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NC[C@@H]1CCN1C1CCCC1 ZINC001131916467 1041269072 /nfs/dbraw/zinc/26/90/72/1041269072.db2.gz QBDHRUFJQJBKCE-PKOBYXMFSA-N 0 3 308.510 4.116 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)C[C@H]2NCc3ccccc32)c(C)c1 ZINC000659258431 1041279413 /nfs/dbraw/zinc/27/94/13/1041279413.db2.gz SYDZQETUTGCSFA-OXQOHEQNSA-N 0 3 322.452 4.057 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc(C3CC3)cc2)cc1 ZINC000177608049 1041282194 /nfs/dbraw/zinc/28/21/94/1041282194.db2.gz XGGRGAMTVIORDB-UHFFFAOYSA-N 0 3 303.352 4.455 20 0 DIADHN CCCCCC[C@@H](CC)n1nc(CN)c2cc(OC)ccc21 ZINC001170591557 1041282716 /nfs/dbraw/zinc/28/27/16/1041282716.db2.gz WNJZCBXCJBDTGA-CQSZACIVSA-N 0 3 303.450 4.425 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)C[C@@H]2NCc3ccccc32)cc1 ZINC000659280732 1041287333 /nfs/dbraw/zinc/28/73/33/1041287333.db2.gz UKUCCGXHXBWWEK-LIRRHRJNSA-N 0 3 324.424 4.037 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N(C)CCc1ccccc1C ZINC001170593449 1041291386 /nfs/dbraw/zinc/29/13/86/1041291386.db2.gz LUPREUBHZJWLQC-FQEVSTJZSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(N2CCCCC2=O)cc1)c1ccncc1 ZINC000810130597 1041314277 /nfs/dbraw/zinc/31/42/77/1041314277.db2.gz WKMABVUCIOYWMA-HOTGVXAUSA-N 0 3 323.440 4.010 20 0 DIADHN C[C@H](N[C@@H](C)c1cccnc1)c1ccc(N2CCCCC2=O)cc1 ZINC000810129368 1041315455 /nfs/dbraw/zinc/31/54/55/1041315455.db2.gz MMKYYIOIQVSMFV-HOTGVXAUSA-N 0 3 323.440 4.010 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2nc3ccccc3o2)c1 ZINC000613563562 1041315752 /nfs/dbraw/zinc/31/57/52/1041315752.db2.gz MNNIEPOPNFDSCF-INIZCTEOSA-N 0 3 322.408 4.044 20 0 DIADHN N[C@@H](CC(=O)Nc1ccc2c(c1)C=CCCC2)c1ccccc1 ZINC000659367268 1041317687 /nfs/dbraw/zinc/31/76/87/1041317687.db2.gz GQKOQSAMOJENKG-IBGZPJMESA-N 0 3 306.409 4.065 20 0 DIADHN CC(C)(C)SCCNCc1ccc(CSC(F)F)o1 ZINC000236598126 1041319502 /nfs/dbraw/zinc/31/95/02/1041319502.db2.gz ZICCBGYOZBWSPX-UHFFFAOYSA-N 0 3 309.447 4.357 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1ccc(Br)nc1 ZINC000810217502 1041337213 /nfs/dbraw/zinc/33/72/13/1041337213.db2.gz FSVUWCOMNMVGGO-DMTLFAOVSA-N 0 3 317.230 4.208 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1cnn(C2CCC2)c1 ZINC000924592948 1041340799 /nfs/dbraw/zinc/34/07/99/1041340799.db2.gz UIXIPKAABQOANR-YVEFUNNKSA-N 0 3 301.459 4.472 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1cnn(C2CCC2)c1 ZINC000924592940 1041341079 /nfs/dbraw/zinc/34/10/79/1041341079.db2.gz UIXIPKAABQOANR-PXAZEXFGSA-N 0 3 301.459 4.472 20 0 DIADHN CCCC[C@H](CC)C(=O)N(CCN(C)C)Cc1cccc(C)c1 ZINC001125846934 1041346700 /nfs/dbraw/zinc/34/67/00/1041346700.db2.gz BEIGHOPWPNBQAY-IBGZPJMESA-N 0 3 318.505 4.102 20 0 DIADHN FC(F)SCc1ccc(CN[C@@H]2CCCC(F)(F)C2)o1 ZINC000695092878 1041363592 /nfs/dbraw/zinc/36/35/92/1041363592.db2.gz ARJHMOFZUNGJLS-SECBINFHSA-N 0 3 311.344 4.403 20 0 DIADHN CCCCCC[C@H](CC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001170601857 1041365446 /nfs/dbraw/zinc/36/54/46/1041365446.db2.gz UPHDAHYRRXTXRU-LBPRGKRZSA-N 0 3 317.399 4.469 20 0 DIADHN COCC(C)(C)CCN[C@H](c1ccc(C)cc1)c1ccccn1 ZINC000926824378 1041367577 /nfs/dbraw/zinc/36/75/77/1041367577.db2.gz WTQKSATWTNJXFN-LJQANCHMSA-N 0 3 312.457 4.132 20 0 DIADHN CCCCCC[C@@H](CC)N1CCc2cnc(C3CC3)nc2C1 ZINC001170604766 1041380459 /nfs/dbraw/zinc/38/04/59/1041380459.db2.gz VRXWAYIEKDWAHR-QGZVFWFLSA-N 0 3 301.478 4.461 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(SC)c2)cc1OC ZINC000659826000 1041380658 /nfs/dbraw/zinc/38/06/58/1041380658.db2.gz BXTOIUWASMHVDK-UHFFFAOYSA-N 0 3 317.454 4.058 20 0 DIADHN CN(Cc1ccc2ccccc2c1)[C@H]1CCCc2c1cnn2C ZINC000135553694 1041387540 /nfs/dbraw/zinc/38/75/40/1041387540.db2.gz CGNSITJXFQWGPJ-IBGZPJMESA-N 0 3 305.425 4.083 20 0 DIADHN CC[C@@H](NCc1cnc(CC(F)(F)F)s1)c1ccccc1 ZINC000892742937 1041393816 /nfs/dbraw/zinc/39/38/16/1041393816.db2.gz ZJFANMRDZONRAW-CYBMUJFWSA-N 0 3 314.376 4.489 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1sc(C)nc1C ZINC000111361401 1041404245 /nfs/dbraw/zinc/40/42/45/1041404245.db2.gz PTSBVCRBKLMRGZ-LBPRGKRZSA-N 0 3 304.459 4.147 20 0 DIADHN CCCC[C@@H](NCc1cn(CC)nc1C)c1ccc(OC)cc1 ZINC000892876871 1041413743 /nfs/dbraw/zinc/41/37/43/1041413743.db2.gz YIQDFKGEEZPVEB-LJQANCHMSA-N 0 3 315.461 4.241 20 0 DIADHN COc1cc(CN2CCC[C@H]2c2cccc3ccccc32)on1 ZINC000659854823 1041456540 /nfs/dbraw/zinc/45/65/40/1041456540.db2.gz XBLBOYNXUJIORW-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN CCC[C@@H](CN[C@H](C)c1ccc(Br)cc1F)OC ZINC000393541865 1041466449 /nfs/dbraw/zinc/46/64/49/1041466449.db2.gz FESVDDOAAFFMQW-PWSUYJOCSA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(C)c(F)c3F)C2)cc1 ZINC001137971024 1041467490 /nfs/dbraw/zinc/46/74/90/1041467490.db2.gz ARRCLULEWVETKH-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000236795148 1041480649 /nfs/dbraw/zinc/48/06/49/1041480649.db2.gz NSPNXYWLMQRJGN-AWEZNQCLSA-N 0 3 313.397 4.053 20 0 DIADHN CC(C)c1nnc(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)s1 ZINC000893101199 1041487864 /nfs/dbraw/zinc/48/78/64/1041487864.db2.gz KGBDMIMDVVYBAU-QWRGUYRKSA-N 0 3 321.412 4.120 20 0 DIADHN CC(C)c1nnc(CNC[C@H]2CCC[C@@H](C(F)(F)F)C2)s1 ZINC000893101200 1041488347 /nfs/dbraw/zinc/48/83/47/1041488347.db2.gz KGBDMIMDVVYBAU-WDEREUQCSA-N 0 3 321.412 4.120 20 0 DIADHN C[C@@H]1CN(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)CCC1(F)F ZINC000930511680 1041512644 /nfs/dbraw/zinc/51/26/44/1041512644.db2.gz GTUHGAHSGADVSC-MRVWCRGKSA-N 0 3 301.327 4.012 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000416007187 1041515323 /nfs/dbraw/zinc/51/53/23/1041515323.db2.gz KVIFHHGUWYKVKG-UHFFFAOYSA-N 0 3 323.440 4.077 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C)c1)c1ccc2cc(OC)ccc2c1 ZINC000163878676 1041517336 /nfs/dbraw/zinc/51/73/36/1041517336.db2.gz ICMRBTLYJCDJJL-VLIAUNLRSA-N 0 3 323.440 4.384 20 0 DIADHN CC(C)(CNCc1ccnc(Cl)c1Cl)[C@H]1CCCCO1 ZINC000811483582 1041521104 /nfs/dbraw/zinc/52/11/04/1041521104.db2.gz IFENWEHTZLFVEZ-GFCCVEGCSA-N 0 3 317.260 4.073 20 0 DIADHN Clc1nccc(CNCCCc2cccs2)c1Cl ZINC000811485720 1041523624 /nfs/dbraw/zinc/52/36/24/1041523624.db2.gz YMNHHDTUNZZYLJ-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1ccnc(Cl)c1Cl ZINC000811474759 1041527541 /nfs/dbraw/zinc/52/75/41/1041527541.db2.gz AUQHNXMRYQCSBT-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1ccnc(Cl)c1Cl ZINC000811474043 1041527768 /nfs/dbraw/zinc/52/77/68/1041527768.db2.gz CRYAXAXAHWIUBJ-GFCCVEGCSA-N 0 3 309.240 4.418 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1ccnc(Cl)c1Cl ZINC000811474044 1041527820 /nfs/dbraw/zinc/52/78/20/1041527820.db2.gz CRYAXAXAHWIUBJ-LBPRGKRZSA-N 0 3 309.240 4.418 20 0 DIADHN c1csc([C@@H](Cc2ccccc2)NCc2cncs2)c1 ZINC000136163155 1041528224 /nfs/dbraw/zinc/52/82/24/1041528224.db2.gz YPBOWBPIRCRWRH-OAHLLOKOSA-N 0 3 300.452 4.278 20 0 DIADHN Clc1nccc(CNCCc2cc3ccccc3o2)c1Cl ZINC000811480840 1041528762 /nfs/dbraw/zinc/52/87/62/1041528762.db2.gz HXFRBSOLOOVSCU-UHFFFAOYSA-N 0 3 321.207 4.467 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000180322599 1041528994 /nfs/dbraw/zinc/52/89/94/1041528994.db2.gz WASJJEVKXUFYPW-XIKOKIGWSA-N 0 3 301.409 4.439 20 0 DIADHN COCCCC1(CNCc2csc(-c3ccco3)n2)CCC1 ZINC000893169216 1041529266 /nfs/dbraw/zinc/52/92/66/1041529266.db2.gz QNRGMJRRNGHXDT-UHFFFAOYSA-N 0 3 320.458 4.090 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)F)c(F)c2)c(C)c1 ZINC000893173382 1041533766 /nfs/dbraw/zinc/53/37/66/1041533766.db2.gz NRQJFFUMQCXZEW-UHFFFAOYSA-N 0 3 309.331 4.370 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](Cc1ccccc1)c1cccs1 ZINC000180903841 1041539390 /nfs/dbraw/zinc/53/93/90/1041539390.db2.gz KGFBUHHPYVBECN-GUYCJALGSA-N 0 3 311.454 4.414 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cc(C2CC2)no1 ZINC000659938580 1041549786 /nfs/dbraw/zinc/54/97/86/1041549786.db2.gz BHYBYUKDSXYZRG-SWLSCSKDSA-N 0 3 300.377 4.069 20 0 DIADHN Cc1cc([C@@H](NCc2cnc(F)c(C)c2)C2CC2)ccc1F ZINC000893235959 1041570563 /nfs/dbraw/zinc/57/05/63/1041570563.db2.gz CZOSTNVQYHNYFI-KRWDZBQOSA-N 0 3 302.368 4.218 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H]1COc2ccc(C)cc21 ZINC000811820005 1041575809 /nfs/dbraw/zinc/57/58/09/1041575809.db2.gz ZTSKUTVGPYDJGR-GOSISDBHSA-N 0 3 306.365 4.097 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1nc2ccccc2o1)c1ccccc1 ZINC000363857305 1041581127 /nfs/dbraw/zinc/58/11/27/1041581127.db2.gz KQGANFDKGJIPFA-ZWKOTPCHSA-N 0 3 322.408 4.088 20 0 DIADHN Brc1ccc(CN2C[C@H]3CCCC[C@@H]3C2)s1 ZINC000309682329 1041587139 /nfs/dbraw/zinc/58/71/39/1041587139.db2.gz AYZFCFZNUWBIEY-GHMZBOCLSA-N 0 3 300.265 4.133 20 0 DIADHN OCC1([C@H](NCc2ccc3[nH]ccc3c2)c2ccccc2)CCC1 ZINC000628294061 1041599279 /nfs/dbraw/zinc/59/92/79/1041599279.db2.gz DTJGGCFBVPMYDK-HXUWFJFHSA-N 0 3 320.436 4.161 20 0 DIADHN CC[C@@H](C)N(CCC1CCC1)Cc1nc2ccccc2c(=O)[nH]1 ZINC000660077455 1041602562 /nfs/dbraw/zinc/60/25/62/1041602562.db2.gz KEAWNTCJZVDRFH-CQSZACIVSA-N 0 3 313.445 4.126 20 0 DIADHN CO[C@@H](CNC1(c2nc(C)cs2)CCCC1)C1CCCC1 ZINC000660089848 1041608096 /nfs/dbraw/zinc/60/80/96/1041608096.db2.gz KREDUSMNENJLFG-HNNXBMFYSA-N 0 3 308.491 4.016 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000651410691 1041619175 /nfs/dbraw/zinc/61/91/75/1041619175.db2.gz VEPHYTAGTSWFCS-XXFAHNHDSA-N 0 3 307.800 4.313 20 0 DIADHN COc1cccc(CN(CCc2nccs2)C(C)C)c1Cl ZINC000660125795 1041623984 /nfs/dbraw/zinc/62/39/84/1041623984.db2.gz KXWDVEWRAPRYLP-UHFFFAOYSA-N 0 3 324.877 4.258 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccc(C(C)(C)O)cc1 ZINC000187508597 1041655932 /nfs/dbraw/zinc/65/59/32/1041655932.db2.gz AFTVNVNRBXOULQ-UHFFFAOYSA-N 0 3 317.860 4.474 20 0 DIADHN C[C@H](NCc1nc(C2CC2)cs1)[C@@H]1C[C@H]1c1cccs1 ZINC000417311905 1041656716 /nfs/dbraw/zinc/65/67/16/1041656716.db2.gz QRUSDXKRLXAIPN-WCFLWFBJSA-N 0 3 304.484 4.364 20 0 DIADHN Fc1ccc(C2CC(NCc3ccc(Cl)cn3)C2)c(F)c1 ZINC000893301824 1041659363 /nfs/dbraw/zinc/65/93/63/1041659363.db2.gz DUKSBUOCNGEPSG-UHFFFAOYSA-N 0 3 308.759 4.049 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1Cc1ccc(C(F)F)cc1 ZINC000364088328 1041660554 /nfs/dbraw/zinc/66/05/54/1041660554.db2.gz YCXXYXVGANPUDA-MRXNPFEDSA-N 0 3 305.372 4.003 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@H](N1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001170693974 1041662746 /nfs/dbraw/zinc/66/27/46/1041662746.db2.gz GCNKHFDIJBMNSP-YPMHNXCESA-N 0 3 319.317 4.096 20 0 DIADHN FC(F)(F)c1ccc(CN(CCOC2CCC2)C2CC2)cc1 ZINC000558088989 1041663125 /nfs/dbraw/zinc/66/31/25/1041663125.db2.gz ZYFRJBFHZMTNAK-UHFFFAOYSA-N 0 3 313.363 4.239 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@H](C)c1cnn(C(C)C)c1 ZINC000584818497 1041681096 /nfs/dbraw/zinc/68/10/96/1041681096.db2.gz SHPVPHJKHRIWNP-KDOFPFPSSA-N 0 3 313.445 4.201 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H](C)c1cnn(C(C)C)c1 ZINC000584818498 1041681259 /nfs/dbraw/zinc/68/12/59/1041681259.db2.gz SHPVPHJKHRIWNP-KSSFIOAISA-N 0 3 313.445 4.201 20 0 DIADHN CC1(C)C[C@@H](O)CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000584820341 1041682064 /nfs/dbraw/zinc/68/20/64/1041682064.db2.gz VUYSDEUWVRZSEF-HNNXBMFYSA-N 0 3 322.518 4.174 20 0 DIADHN CN(Cc1ccccc1F)[C@H]1CCc2cc(F)cc(F)c2C1 ZINC001170699873 1041690017 /nfs/dbraw/zinc/69/00/17/1041690017.db2.gz KDUCKLGFSJHUOQ-HNNXBMFYSA-N 0 3 305.343 4.093 20 0 DIADHN CC(C)(C)SCCNCc1ccccc1OC(F)(F)F ZINC000397319918 1041700972 /nfs/dbraw/zinc/70/09/72/1041700972.db2.gz MTUJBMJQHVBTSV-UHFFFAOYSA-N 0 3 307.381 4.207 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H]2CCCN(C)c3ccccc32)s1 ZINC000651465680 1041720878 /nfs/dbraw/zinc/72/08/78/1041720878.db2.gz BQIIAMNAIFXZME-DOMZBBRYSA-N 0 3 301.459 4.073 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C3CC3)cc2)cc(OC)c1 ZINC000418110437 1041725787 /nfs/dbraw/zinc/72/57/87/1041725787.db2.gz JIQMTOKPYMZWLT-CQSZACIVSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(C2CC2)cc1 ZINC000418118931 1041728596 /nfs/dbraw/zinc/72/85/96/1041728596.db2.gz WQKGYGHXZLOVJR-JLCFBVMHSA-N 0 3 313.466 4.152 20 0 DIADHN Cc1nc([C@H](C)NCCCn2ccc3ccccc32)c(C)s1 ZINC000314395907 1041758043 /nfs/dbraw/zinc/75/80/43/1041758043.db2.gz SYUYCCLAZGTJPL-ZDUSSCGKSA-N 0 3 313.470 4.456 20 0 DIADHN Cc1ccc(CN2CCN(c3cccc(F)c3)C[C@H]2C)c(C)c1 ZINC001170722157 1041760055 /nfs/dbraw/zinc/76/00/55/1041760055.db2.gz DDNRXOCLPIROSV-QGZVFWFLSA-N 0 3 312.432 4.153 20 0 DIADHN CC1(C)Cc2cc(CN[C@H]3CCCOc4ccccc43)ccc2O1 ZINC000236696924 1041778042 /nfs/dbraw/zinc/77/80/42/1041778042.db2.gz HGXYDKNKLJPWNX-SFHVURJKSA-N 0 3 323.436 4.404 20 0 DIADHN CC1(C)Cc2cc(CN[C@H]3CCc4cc(F)ccc43)ccc2O1 ZINC000236697243 1041778101 /nfs/dbraw/zinc/77/81/01/1041778101.db2.gz BFNCYIRTKXPWRV-SFHVURJKSA-N 0 3 311.400 4.316 20 0 DIADHN C[C@@H]1CN(C2CC(OCc3ccccc3)C2)Cc2ccccc2O1 ZINC001170733019 1041787306 /nfs/dbraw/zinc/78/73/06/1041787306.db2.gz PRLTYJHVAGGRPG-PBPGXSGUSA-N 0 3 323.436 4.017 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc2ccccc2s1)C(F)(F)F ZINC000783270226 1041789692 /nfs/dbraw/zinc/78/96/92/1041789692.db2.gz SGSYTUMKYIIJPE-NOZJJQNGSA-N 0 3 303.349 4.129 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3csc(-c4cccc(F)c4)n3)C[C@@H]21 ZINC001170736414 1041801564 /nfs/dbraw/zinc/80/15/64/1041801564.db2.gz MEBSZUIUFAISTI-MKZZRHPPSA-N 0 3 316.445 4.473 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N3CCn4cccc4[C@H]3c3ccccc3)C[C@@H]21 ZINC001170741293 1041810267 /nfs/dbraw/zinc/81/02/67/1041810267.db2.gz VCHJFFHBAVELOW-AJYBTWMASA-N 0 3 306.453 4.328 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N(C)CC1CCC1 ZINC000111297506 1041816940 /nfs/dbraw/zinc/81/69/40/1041816940.db2.gz WVIHXBQCHPVLKE-HNNXBMFYSA-N 0 3 302.462 4.177 20 0 DIADHN CCCCOc1ccc(CCNCc2nc3ccccc3o2)cc1 ZINC000613750433 1041817721 /nfs/dbraw/zinc/81/77/21/1041817721.db2.gz ICMSVCGZENTNNI-UHFFFAOYSA-N 0 3 324.424 4.339 20 0 DIADHN C=Cn1cc(CN[C@H](Cc2ccccc2)c2sccc2C)cn1 ZINC000194324472 1041823522 /nfs/dbraw/zinc/82/35/22/1041823522.db2.gz KBVYEBOMIGAYKW-GOSISDBHSA-N 0 3 323.465 4.427 20 0 DIADHN CSc1cccc(CN[C@H](c2cccs2)C(C)(C)CO)c1 ZINC000660557187 1041832446 /nfs/dbraw/zinc/83/24/46/1041832446.db2.gz LBWMNQXLOCXXJL-MRXNPFEDSA-N 0 3 321.511 4.319 20 0 DIADHN CN(Cc1cc(C2CC2)no1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000660560303 1041833127 /nfs/dbraw/zinc/83/31/27/1041833127.db2.gz FMXTXDBGSFJAFY-TXEJJXNPSA-N 0 3 302.340 4.105 20 0 DIADHN COc1ccc([C@H](NCCC2CCCC2)c2ccccn2)cc1 ZINC000652136301 1041844962 /nfs/dbraw/zinc/84/49/62/1041844962.db2.gz LSYBPPWKMGQTQJ-FQEVSTJZSA-N 0 3 310.441 4.350 20 0 DIADHN COc1ccc(CN[C@@H](CC(C)C)c2ccc(F)cc2)cn1 ZINC000652137122 1041845611 /nfs/dbraw/zinc/84/56/11/1041845611.db2.gz AHRXBTXHRQTICM-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3ccnc(Cl)c3)C2)cc1 ZINC000814640663 1041846722 /nfs/dbraw/zinc/84/67/22/1041846722.db2.gz NXNTYDZSWFVVEH-KRWDZBQOSA-N 0 3 300.833 4.287 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3cccc(Cl)n3)C2)cc1 ZINC000814640763 1041847171 /nfs/dbraw/zinc/84/71/71/1041847171.db2.gz QYXCZWCNQWNDHP-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN c1ccc(C2=CCCN(CCc3nsc4ccccc34)C2)nc1 ZINC000660628754 1041847299 /nfs/dbraw/zinc/84/72/99/1041847299.db2.gz NSNUHZLSYGUTHB-UHFFFAOYSA-N 0 3 321.449 4.023 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)NC[C@@H]1CCCCN1C ZINC001134556126 1041850984 /nfs/dbraw/zinc/85/09/84/1041850984.db2.gz NMZQHJAXJPKTPJ-HKUYNNGSSA-N 0 3 310.526 4.364 20 0 DIADHN O[C@H](CN1CC[C@@H](c2cccc(Cl)c2)C1)c1cccc(F)c1 ZINC000814666929 1041851032 /nfs/dbraw/zinc/85/10/32/1041851032.db2.gz LMMZCBCSWWVCQN-CRAIPNDOSA-N 0 3 319.807 4.002 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCC[C@@H]1CCOC1 ZINC000660708488 1041855087 /nfs/dbraw/zinc/85/50/87/1041855087.db2.gz PRKZBODYMGSYLI-QWHCGFSZSA-N 0 3 321.852 4.026 20 0 DIADHN COCCCCCN(C)[C@@H](C)c1nc2cc(Cl)ccc2[nH]1 ZINC000660709299 1041855256 /nfs/dbraw/zinc/85/52/56/1041855256.db2.gz ITSDHMJMKXHMJY-LBPRGKRZSA-N 0 3 309.841 4.026 20 0 DIADHN COc1cccc(CN2CC[C@H](c3cc(C)ccn3)C2)c1Cl ZINC000660734908 1041856759 /nfs/dbraw/zinc/85/67/59/1041856759.db2.gz OHUDXMZUIRTRFU-AWEZNQCLSA-N 0 3 316.832 4.042 20 0 DIADHN COCCN(Cc1cc2cnccc2o1)[C@@H](C)c1ccccc1 ZINC000651617703 1041857787 /nfs/dbraw/zinc/85/77/87/1041857787.db2.gz NJJGBHPQHOUMDS-HNNXBMFYSA-N 0 3 310.397 4.037 20 0 DIADHN c1c2cnccc2oc1CN1CCC(OC2CCCCC2)CC1 ZINC000651627198 1041859345 /nfs/dbraw/zinc/85/93/45/1041859345.db2.gz QFRJKNHLCKSPPT-UHFFFAOYSA-N 0 3 314.429 4.142 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N3Cc4cnc(-c5ccc(F)cc5)nc4C3)C[C@@H]21 ZINC001170765371 1041866592 /nfs/dbraw/zinc/86/65/92/1041866592.db2.gz SQHPLYGLABCPQY-BJWYYQGGSA-N 0 3 323.415 4.033 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNCc1ccccc1C ZINC000651666309 1041871294 /nfs/dbraw/zinc/87/12/94/1041871294.db2.gz XTERTMUKASDWKR-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN COc1ccc([C@H](NCc2cc3cnccc3o2)C2CC2)cc1 ZINC000651670167 1041872458 /nfs/dbraw/zinc/87/24/58/1041872458.db2.gz YABZNJPQSJSHFM-LJQANCHMSA-N 0 3 308.381 4.077 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2ccc(-c3ccccc3)cn2)cs1 ZINC000651674555 1041876172 /nfs/dbraw/zinc/87/61/72/1041876172.db2.gz UQUHQMTVFOQPIM-AWEZNQCLSA-N 0 3 323.465 4.407 20 0 DIADHN COC[C@@H](CC(C)(C)C)N[C@H](c1ccccc1)c1ccncc1 ZINC000651704232 1041888532 /nfs/dbraw/zinc/88/85/32/1041888532.db2.gz AMMVKJMEVUKFSP-RTBURBONSA-N 0 3 312.457 4.212 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000651718832 1041890934 /nfs/dbraw/zinc/89/09/34/1041890934.db2.gz ZYKOFHYELZJLHR-RFAUZJTJSA-N 0 3 322.811 4.292 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1cc2cnccc2o1 ZINC000651729146 1041896198 /nfs/dbraw/zinc/89/61/98/1041896198.db2.gz XQOZQNIICAGCRU-BUXKBTBVSA-N 0 3 310.372 4.380 20 0 DIADHN Brc1cc(CN2CCC3(CCCC3)CC2)ccn1 ZINC000815052088 1041906385 /nfs/dbraw/zinc/90/63/85/1041906385.db2.gz CDJUTDLTOFGTEQ-UHFFFAOYSA-N 0 3 309.251 4.000 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1coc(-c2ccc(OC)cc2)n1 ZINC000661235751 1041908237 /nfs/dbraw/zinc/90/82/37/1041908237.db2.gz VKZBHWHJUPMQHI-CYBMUJFWSA-N 0 3 302.418 4.265 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1coc(-c2ccc(OC)cc2)n1 ZINC000661235752 1041908342 /nfs/dbraw/zinc/90/83/42/1041908342.db2.gz VKZBHWHJUPMQHI-ZDUSSCGKSA-N 0 3 302.418 4.265 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000661233129 1041908784 /nfs/dbraw/zinc/90/87/84/1041908784.db2.gz BZELGVCWISAUDA-ZDUSSCGKSA-N 0 3 302.418 4.265 20 0 DIADHN CC(C)(NCc1ccnc(Br)c1)c1ccc(F)cc1 ZINC000815069200 1041910098 /nfs/dbraw/zinc/91/00/98/1041910098.db2.gz QTWPZASPSQTFEB-UHFFFAOYSA-N 0 3 323.209 4.008 20 0 DIADHN COc1ccc(CN[C@@H](CC(C)C)c2ccc(F)cc2)cc1O ZINC000652146898 1041918535 /nfs/dbraw/zinc/91/85/35/1041918535.db2.gz AZYZQAHJOONJQV-KRWDZBQOSA-N 0 3 317.404 4.417 20 0 DIADHN C[C@@H](CCCCO)NCc1c(Cl)ccc(Cl)c1Cl ZINC000398586057 1041922711 /nfs/dbraw/zinc/92/27/11/1041922711.db2.gz NPDCEINMQYGZSE-VIFPVBQESA-N 0 3 310.652 4.288 20 0 DIADHN c1coc([C@H]2N(CCCC3CCOCC3)CC23CCCC3)c1 ZINC000661435849 1041937466 /nfs/dbraw/zinc/93/74/66/1041937466.db2.gz IXBIFXJNCXQYDE-GOSISDBHSA-N 0 3 303.446 4.404 20 0 DIADHN C[C@H](N[C@@H]1Cc2ccccc2[C@@H]1C)c1nnc(-c2ccccc2)o1 ZINC000661526748 1041948106 /nfs/dbraw/zinc/94/81/06/1041948106.db2.gz JYZUAKMFKVZFIU-SUNYJGFJSA-N 0 3 319.408 4.116 20 0 DIADHN CC[C@H](OCCNCc1nccc2ccccc21)c1ccccc1 ZINC000651908985 1041949390 /nfs/dbraw/zinc/94/93/90/1041949390.db2.gz UFXJJWKUZCPPRJ-NRFANRHFSA-N 0 3 320.436 4.492 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000815553487 1041961969 /nfs/dbraw/zinc/96/19/69/1041961969.db2.gz BHLORVBYZNMXLY-KGLIPLIRSA-N 0 3 315.388 4.317 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(F)cc2C)ccc1OC ZINC000815580088 1041966384 /nfs/dbraw/zinc/96/63/84/1041966384.db2.gz BVDNFKODZCHHOL-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc(C(C)(C)O)cc1 ZINC000815617530 1041974789 /nfs/dbraw/zinc/97/47/89/1041974789.db2.gz OYCKOYKWGQYHHU-CQSZACIVSA-N 0 3 301.405 4.212 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000815627337 1041977337 /nfs/dbraw/zinc/97/73/37/1041977337.db2.gz YTUNNSVCDVKVPN-KUHUBIRLSA-N 0 3 318.411 4.227 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cccnc1Cl ZINC000815632579 1041978870 /nfs/dbraw/zinc/97/88/70/1041978870.db2.gz RJCGEXNJBUSBKX-UXIGCNINSA-N 0 3 304.796 4.471 20 0 DIADHN COc1cc(Cl)ncc1CN1CCC[C@@H]1C1CCCCC1 ZINC001141344641 1041998773 /nfs/dbraw/zinc/99/87/73/1041998773.db2.gz IAOWNTKKOQOGNV-OAHLLOKOSA-N 0 3 308.853 4.288 20 0 DIADHN Fc1cc(CN2CCC([C@@H]3CCOC3)CC2)ccc1C(F)F ZINC000893521744 1042000917 /nfs/dbraw/zinc/00/09/17/1042000917.db2.gz RMHUVNVJZWAZKR-CQSZACIVSA-N 0 3 313.363 4.012 20 0 DIADHN OCc1ccc(CN[C@@H]2CCCc3sc(Cl)cc32)cc1 ZINC000227459604 1042002040 /nfs/dbraw/zinc/00/20/40/1042002040.db2.gz TZCCPGGMOKMEGP-CQSZACIVSA-N 0 3 307.846 4.061 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)o1 ZINC000661838107 1042003311 /nfs/dbraw/zinc/00/33/11/1042003311.db2.gz DKYJESQSXBEVHY-CVEARBPZSA-N 0 3 320.477 4.151 20 0 DIADHN COc1cc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)ccc1Cl ZINC000661872341 1042012974 /nfs/dbraw/zinc/01/29/74/1042012974.db2.gz AEPOXHAJIJXGEN-NVXWUHKLSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000661881320 1042014081 /nfs/dbraw/zinc/01/40/81/1042014081.db2.gz UTJPNEKSRPQUTL-GLJUWKHASA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000661876369 1042015243 /nfs/dbraw/zinc/01/52/43/1042015243.db2.gz RAQMHYARCUHCOM-SIIHOXLZSA-N 0 3 309.400 4.307 20 0 DIADHN CCN(CC)Cc1cccc(NCOc2ccccc2)c1F ZINC001170811454 1042019846 /nfs/dbraw/zinc/01/98/46/1042019846.db2.gz BEOULDWTGMVZIR-UHFFFAOYSA-N 0 3 302.393 4.116 20 0 DIADHN CC[C@H](NCC/C=C\c1ccccc1)c1nnc2n1CCCCC2 ZINC000661940836 1042027224 /nfs/dbraw/zinc/02/72/24/1042027224.db2.gz BBADUSHCGGXHST-ZHJQRIIBSA-N 0 3 324.472 4.149 20 0 DIADHN O=C1CCCC12CCN([C@@H]1CCCc3ccc(F)cc31)CC2 ZINC000661958691 1042029816 /nfs/dbraw/zinc/02/98/16/1042029816.db2.gz WUAFRALALBSANY-QGZVFWFLSA-N 0 3 301.405 4.038 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@@H]2CCCN2CC(C)C)cc1 ZINC001135506230 1042038464 /nfs/dbraw/zinc/03/84/64/1042038464.db2.gz VRDSPQPPPKLWDQ-IBGZPJMESA-N 0 3 316.489 4.478 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)s1)C1CCC(F)CC1 ZINC000662000062 1042039236 /nfs/dbraw/zinc/03/92/36/1042039236.db2.gz ZIPMDAHIXHQENT-DNOWBOINSA-N 0 3 319.449 4.212 20 0 DIADHN C[C@@H](NCc1coc(-c2cccc(F)c2)n1)C1CCC(F)CC1 ZINC000662002435 1042040059 /nfs/dbraw/zinc/04/00/59/1042040059.db2.gz FNAKPWOQIKKJQU-DNOWBOINSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@H](NCc1ncc(-c2ccc(F)cc2)o1)C1CCC(F)CC1 ZINC000662002175 1042040348 /nfs/dbraw/zinc/04/03/48/1042040348.db2.gz DQXWVVYUCOSOGE-OPFPJEHXSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CC[C@H](N(C)c2ccccc2)C1 ZINC000662017499 1042042730 /nfs/dbraw/zinc/04/27/30/1042042730.db2.gz PVHZIIAHYWTULZ-WBVHZDCISA-N 0 3 321.424 4.100 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CC[C@@H](N(C)c2ccccc2)C1 ZINC000662017492 1042043124 /nfs/dbraw/zinc/04/31/24/1042043124.db2.gz PVHZIIAHYWTULZ-DOTOQJQBSA-N 0 3 321.424 4.100 20 0 DIADHN CC[C@@H](OCCN1CCC(c2ccon2)CC1)c1ccccc1 ZINC000662049365 1042049779 /nfs/dbraw/zinc/04/97/79/1042049779.db2.gz YVNCNETXYXCLDD-LJQANCHMSA-N 0 3 314.429 4.022 20 0 DIADHN OC[C@H](NC[C@@H]1CCC(F)(F)C1)c1cccc(Cl)c1Cl ZINC000662053155 1042050327 /nfs/dbraw/zinc/05/03/27/1042050327.db2.gz WNTZIAMJMSJHFK-SKDRFNHKSA-N 0 3 324.198 4.052 20 0 DIADHN COc1ccccc1-c1nc(CNC2(CC(C)C)CC2)cs1 ZINC000662068082 1042056276 /nfs/dbraw/zinc/05/62/76/1042056276.db2.gz BBZLMNAONWMYTP-UHFFFAOYSA-N 0 3 316.470 4.487 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2cnn(C)c2Cl)cc1 ZINC000816515838 1042061730 /nfs/dbraw/zinc/06/17/30/1042061730.db2.gz MKBTWACKUXVFOG-IAGOWNOFSA-N 0 3 317.864 4.150 20 0 DIADHN C[C@H](c1ccc2c(c1)CCC2)N1CC[C@@H](n2cc(Cl)cn2)C1 ZINC001170834705 1042069310 /nfs/dbraw/zinc/06/93/10/1042069310.db2.gz GRBZSQITBOMJEZ-FZKQIMNGSA-N 0 3 315.848 4.033 20 0 DIADHN Cc1cc(CNCc2ccc(C(C)(F)F)cc2)cc(Cl)n1 ZINC000816627982 1042069823 /nfs/dbraw/zinc/06/98/23/1042069823.db2.gz CZHTWVIRKYUTIE-UHFFFAOYSA-N 0 3 310.775 4.445 20 0 DIADHN Brc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000058474358 1042071225 /nfs/dbraw/zinc/07/12/25/1042071225.db2.gz QVXVPUIZAJYILP-UHFFFAOYSA-N 0 3 315.214 4.220 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1CCC[C@@H](c2ccccc2)C1 ZINC000816619291 1042072764 /nfs/dbraw/zinc/07/27/64/1042072764.db2.gz ABKRNYOEKHKDEA-OAHLLOKOSA-N 0 3 317.864 4.016 20 0 DIADHN c1cc2c(o1)CCCN(C[C@@H]1CCC[C@@H](c3ccccc3)O1)C2 ZINC001170835619 1042073522 /nfs/dbraw/zinc/07/35/22/1042073522.db2.gz NBRNGAIIVSPLHF-ICSRJNTNSA-N 0 3 311.425 4.338 20 0 DIADHN Cn1nc(C(F)(F)F)c(CNC2CC(C(C)(C)C)C2)c1Cl ZINC000816641661 1042075067 /nfs/dbraw/zinc/07/50/67/1042075067.db2.gz BBSBQTBKRSHPMV-UHFFFAOYSA-N 0 3 323.790 4.007 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1C[C@@H](C)[C@H]1C ZINC000816647669 1042075719 /nfs/dbraw/zinc/07/57/19/1042075719.db2.gz WOFPBNSRTYUXEV-TZMCWYRMSA-N 0 3 317.864 4.042 20 0 DIADHN C[C@H](NCc1nnc(-c2cccc(Cl)c2)o1)C1CCCC1 ZINC000184652362 1042086334 /nfs/dbraw/zinc/08/63/34/1042086334.db2.gz CSRZSVIFMYJJSG-NSHDSACASA-N 0 3 305.809 4.058 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662215298 1042091623 /nfs/dbraw/zinc/09/16/23/1042091623.db2.gz SNUCZHPZJYEKLS-INIZCTEOSA-N 0 3 306.409 4.083 20 0 DIADHN O[C@@H](CN1CCC[C@H](CC(F)(F)F)C1)c1ccc(Cl)cc1 ZINC000817281716 1042100315 /nfs/dbraw/zinc/10/03/15/1042100315.db2.gz OGNNUMIYWPJWDB-RISCZKNCSA-N 0 3 321.770 4.038 20 0 DIADHN CC[C@@H](CC(=O)N1CCN(C2CCC(C)CC2)CC1)C(C)(C)C ZINC001126219345 1042103008 /nfs/dbraw/zinc/10/30/08/1042103008.db2.gz FLJMUJKTEYXDLH-ADKAHSJRSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)CCC(C)(C)O3)cc(C)n1 ZINC000817409520 1042106959 /nfs/dbraw/zinc/10/69/59/1042106959.db2.gz DEKMNVQBFZIBPG-UHFFFAOYSA-N 0 3 310.441 4.092 20 0 DIADHN C[C@@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)c1cnn(C)c1 ZINC000161570346 1042111315 /nfs/dbraw/zinc/11/13/15/1042111315.db2.gz VDGLQJYZGCXBAY-DYVFJYSZSA-N 0 3 303.425 4.387 20 0 DIADHN CCC[C@@H](C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C)C(C)C ZINC001135926053 1042112018 /nfs/dbraw/zinc/11/20/18/1042112018.db2.gz BEAXGYJLMYXVCE-RTBURBONSA-N 0 3 318.505 4.040 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@H](c1ccc(CC)cc1)N(C)C ZINC001135926513 1042112057 /nfs/dbraw/zinc/11/20/57/1042112057.db2.gz KYZDFOYQJHEPKY-QUCCMNQESA-N 0 3 318.505 4.184 20 0 DIADHN CCC[C@H](C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C)C(C)C ZINC001135926051 1042112570 /nfs/dbraw/zinc/11/25/70/1042112570.db2.gz BEAXGYJLMYXVCE-OALUTQOASA-N 0 3 318.505 4.040 20 0 DIADHN Fc1cc(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)cc(F)c1F ZINC000062707106 1042113458 /nfs/dbraw/zinc/11/34/58/1042113458.db2.gz QEQXJRWIOFMGEY-JOYOIKCWSA-N 0 3 311.269 4.315 20 0 DIADHN CC1(C)CC[C@@H]1N[C@H](c1nc(Br)cs1)C1CC1 ZINC000817585303 1042117471 /nfs/dbraw/zinc/11/74/71/1042117471.db2.gz KUTWJKYQSIPJNG-ONGXEEELSA-N 0 3 315.280 4.135 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H](C)[C@H](O)c1ccccc1 ZINC000817584428 1042117628 /nfs/dbraw/zinc/11/76/28/1042117628.db2.gz CELNGUVGDLKVDW-LXIYXOSZSA-N 0 3 319.832 4.121 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H](c2nc(Br)cs2)C2CC2)C1 ZINC000817584338 1042117687 /nfs/dbraw/zinc/11/76/87/1042117687.db2.gz WYSRRVMUIVNXHB-UISBYWKRSA-N 0 3 315.280 4.135 20 0 DIADHN CC(C)(C)C[C@H](NC(=O)c1cccc2c1CNC2)c1ccccc1 ZINC000662386698 1042118402 /nfs/dbraw/zinc/11/84/02/1042118402.db2.gz FCCKLDBVIHWFBS-IBGZPJMESA-N 0 3 322.452 4.197 20 0 DIADHN CC1=C(C)C[C@](C)(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC001135964152 1042122231 /nfs/dbraw/zinc/12/22/31/1042122231.db2.gz PVMQJBSIILWJQQ-LJQANCHMSA-N 0 3 300.446 4.213 20 0 DIADHN CC1(C)C[C@@]1(NCc1ccc(N2CCCC2)nc1)c1ccccc1 ZINC000663338223 1042123452 /nfs/dbraw/zinc/12/34/52/1042123452.db2.gz PDZFWIVZHYWXIY-OAQYLSRUSA-N 0 3 321.468 4.097 20 0 DIADHN CC(C)Oc1cccc(CN2CCC(OC(C)(C)C)CC2)c1 ZINC000162565187 1042129133 /nfs/dbraw/zinc/12/91/33/1042129133.db2.gz NKXPABNGWJCNCG-UHFFFAOYSA-N 0 3 305.462 4.253 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NC(=O)c1cccc2c1CNC2 ZINC000662458502 1042130578 /nfs/dbraw/zinc/13/05/78/1042130578.db2.gz XCSOHYBHMGCVOM-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2nccc3ccccc32)C1 ZINC000643294897 1042131882 /nfs/dbraw/zinc/13/18/82/1042131882.db2.gz LMMMXRCKXHCFTD-CYBMUJFWSA-N 0 3 308.347 4.399 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccnc(Cl)c1 ZINC000817968911 1042133968 /nfs/dbraw/zinc/13/39/68/1042133968.db2.gz YQHKPSQOQWKBEQ-BZNIZROVSA-N 0 3 301.821 4.045 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000817974894 1042135089 /nfs/dbraw/zinc/13/50/89/1042135089.db2.gz HEORYMQKTAHHRX-MOPGFXCFSA-N 0 3 322.452 4.457 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)c1cccc2c1CNC2)C(C)(C)C ZINC000662495766 1042136532 /nfs/dbraw/zinc/13/65/32/1042136532.db2.gz QLBQIXOSHDRTOE-IBGZPJMESA-N 0 3 322.452 4.115 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)c1cccc2c1CNC2)C(C)(C)C ZINC000662495767 1042136537 /nfs/dbraw/zinc/13/65/37/1042136537.db2.gz QLBQIXOSHDRTOE-LJQANCHMSA-N 0 3 322.452 4.115 20 0 DIADHN CCC1(CC)CN(C(=O)c2cccc3c2CNC3)c2ccccc21 ZINC000662507308 1042137885 /nfs/dbraw/zinc/13/78/85/1042137885.db2.gz SEABNDLEGNOAPF-UHFFFAOYSA-N 0 3 320.436 4.008 20 0 DIADHN C[C@@H](NCc1cc(N)ccn1)c1ccccc1SC(C)(C)C ZINC000925768428 1042138947 /nfs/dbraw/zinc/13/89/47/1042138947.db2.gz UJODAPGKEUJKRU-CYBMUJFWSA-N 0 3 315.486 4.405 20 0 DIADHN CN(CC(=O)N(C)C1CCC(C(C)(C)C)CC1)CC(C)(C)C ZINC001136112906 1042139198 /nfs/dbraw/zinc/13/91/98/1042139198.db2.gz BYCPCKBLKANXRJ-UHFFFAOYSA-N 0 3 310.526 4.028 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)c1cccc2c1CCNC2 ZINC000662545136 1042143041 /nfs/dbraw/zinc/14/30/41/1042143041.db2.gz DRRTZGQVTAHOGE-UHFFFAOYSA-N 0 3 322.452 4.173 20 0 DIADHN Cc1ccc(CN2CC[C@@](C)(C(=O)OC(C)(C)C)C2)c(Cl)c1 ZINC001170892163 1042143473 /nfs/dbraw/zinc/14/34/73/1042143473.db2.gz QTZZCKMLEVLZCN-GOSISDBHSA-N 0 3 323.864 4.202 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@H](C)c2cnn(C)c2)cc1 ZINC000165358198 1042144744 /nfs/dbraw/zinc/14/47/44/1042144744.db2.gz NJAVKMKNXQNCLJ-UONOGXRCSA-N 0 3 303.475 4.332 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)c2cccc3c2CNC3)cc1 ZINC000662578837 1042146235 /nfs/dbraw/zinc/14/62/35/1042146235.db2.gz XIGJPBVKIKOZJI-UHFFFAOYSA-N 0 3 322.452 4.078 20 0 DIADHN Clc1cccnc1CN[C@@H]1CCc2cccc(Cl)c2C1 ZINC001170897534 1042147599 /nfs/dbraw/zinc/14/75/99/1042147599.db2.gz PQQGSTSCNVEMCB-GFCCVEGCSA-N 0 3 307.224 4.036 20 0 DIADHN CCCCN(C(=O)c1cccc2c1CNC2)[C@H](C)c1ccccc1 ZINC000662623009 1042148934 /nfs/dbraw/zinc/14/89/34/1042148934.db2.gz VXPZSPGPZQQYSV-MRXNPFEDSA-N 0 3 322.452 4.293 20 0 DIADHN Clc1ccc(-c2ncc(CN3CC(C4CC4)C3)s2)cc1 ZINC000643345265 1042159758 /nfs/dbraw/zinc/15/97/58/1042159758.db2.gz LNPIKZBVPQFPPU-UHFFFAOYSA-N 0 3 304.846 4.305 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000658358810 1042168161 /nfs/dbraw/zinc/16/81/61/1042168161.db2.gz ZRLHOLHMNIIQFA-VEVIJQCQSA-N 0 3 312.438 4.069 20 0 DIADHN CCn1nccc1CN[C@@H]1CCCc2c3ccccc3sc21 ZINC000663468673 1042180984 /nfs/dbraw/zinc/18/09/84/1042180984.db2.gz XGTCNRUHKFHTNX-MRXNPFEDSA-N 0 3 311.454 4.285 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCCC[C@@H]1C)c1ccsc1 ZINC001136215705 1042181038 /nfs/dbraw/zinc/18/10/38/1042181038.db2.gz NQNSXFWGVGWEHN-BHYGNILZSA-N 0 3 322.518 4.074 20 0 DIADHN FC(F)(F)c1ccncc1CN[C@H](c1ccccc1)C1CC1 ZINC000663469712 1042182373 /nfs/dbraw/zinc/18/23/73/1042182373.db2.gz HGNCDOLKILPKNP-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN FC(F)(F)c1ccncc1CN[C@@H](c1ccccc1)C1CC1 ZINC000663469711 1042182430 /nfs/dbraw/zinc/18/24/30/1042182430.db2.gz HGNCDOLKILPKNP-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN CC[C@H](NCc1cnccc1C(F)(F)F)c1cccs1 ZINC000663474782 1042186088 /nfs/dbraw/zinc/18/60/88/1042186088.db2.gz QMRAEPWUWNUXAT-LBPRGKRZSA-N 0 3 300.349 4.403 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2-n2ccnc21)C(C)(C)C(F)F ZINC000663473796 1042187075 /nfs/dbraw/zinc/18/70/75/1042187075.db2.gz GMNFDQRGPKNHQJ-TZMCWYRMSA-N 0 3 319.399 4.129 20 0 DIADHN CC[C@@H](CN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1)OC ZINC001170929306 1042187703 /nfs/dbraw/zinc/18/77/03/1042187703.db2.gz LIPSWQHVSLHUSG-XJKSGUPXSA-N 0 3 321.852 4.168 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000165914801 1042188321 /nfs/dbraw/zinc/18/83/21/1042188321.db2.gz PABRPKRGYKHQHH-VFCRVFHLSA-N 0 3 301.455 4.144 20 0 DIADHN Clc1cccc2c1C[C@@H](N1CCOc3ccccc3C1)CC2 ZINC001170931870 1042190142 /nfs/dbraw/zinc/19/01/42/1042190142.db2.gz BJBLHRUSHKLKGD-INIZCTEOSA-N 0 3 313.828 4.092 20 0 DIADHN Clc1cccc2c1C[C@H](N1CCOc3ccccc3C1)CC2 ZINC001170931871 1042190184 /nfs/dbraw/zinc/19/01/84/1042190184.db2.gz BJBLHRUSHKLKGD-MRXNPFEDSA-N 0 3 313.828 4.092 20 0 DIADHN FC(F)(F)[C@H]1CCN([C@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170936027 1042193533 /nfs/dbraw/zinc/19/35/33/1042193533.db2.gz XPUHSBYEHWMLEA-RYUDHWBXSA-N 0 3 303.755 4.082 20 0 DIADHN Fc1cccc2c1CN([C@@H]1CCc3cccc(Cl)c3C1)C2 ZINC001170935978 1042193841 /nfs/dbraw/zinc/19/38/41/1042193841.db2.gz WJFYIPPTNSQART-CQSZACIVSA-N 0 3 301.792 4.352 20 0 DIADHN CSC(C)(C)CCN1CCC[C@@H]1c1nc2ccccc2n1C ZINC000820855976 1042230314 /nfs/dbraw/zinc/23/03/14/1042230314.db2.gz HKGIXVZLOXIAAW-MRXNPFEDSA-N 0 3 317.502 4.242 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1ccc(C(F)(F)F)nc1Cl ZINC000820847106 1042231889 /nfs/dbraw/zinc/23/18/89/1042231889.db2.gz RIGXOBUZGXBBIT-RKDXNWHRSA-N 0 3 324.799 4.300 20 0 DIADHN Fc1ccc(CCN(Cc2nc3ccccc3o2)C2CC2)cc1 ZINC000604903130 1042232107 /nfs/dbraw/zinc/23/21/07/1042232107.db2.gz OLOLMJCUAXLFRV-UHFFFAOYSA-N 0 3 310.372 4.174 20 0 DIADHN CCc1ccccc1CN[C@@H](c1ccc(F)cc1)[C@@H]1CCCO1 ZINC001648940416 1042249612 /nfs/dbraw/zinc/24/96/12/1042249612.db2.gz AKCRZCXIORWCRD-PMACEKPBSA-N 0 3 313.416 4.398 20 0 DIADHN CCc1ccccc1CN[C@H](c1ccc(F)cc1)[C@@H]1CCCO1 ZINC001648940418 1042249829 /nfs/dbraw/zinc/24/98/29/1042249829.db2.gz AKCRZCXIORWCRD-VQTJNVASSA-N 0 3 313.416 4.398 20 0 DIADHN Cc1ccc(-c2ccc(NCCN3CCSCC3)cc2)cc1 ZINC001167837949 1042250076 /nfs/dbraw/zinc/25/00/76/1042250076.db2.gz SLKCMHLICHPFSC-UHFFFAOYSA-N 0 3 312.482 4.123 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCCCN(C(=O)OC(C)(C)C)C2)o1 ZINC000223561746 1042262261 /nfs/dbraw/zinc/26/22/61/1042262261.db2.gz UILVWRSIHXPRID-CABCVRRESA-N 0 3 322.449 4.028 20 0 DIADHN CC[C@@H]1CCC[C@@H]1[NH2+][C@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000279172743 1042279692 /nfs/dbraw/zinc/27/96/92/1042279692.db2.gz CAJNTSKFIITEEZ-RTXFEEFZSA-N 0 3 319.474 4.243 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCSc3c(F)cccc32)cc1 ZINC000178552762 1042288269 /nfs/dbraw/zinc/28/82/69/1042288269.db2.gz IBMORFOHUCUCOI-PXAZEXFGSA-N 0 3 317.429 4.206 20 0 DIADHN FC(F)c1cccc(CN2CCC(c3ccncc3)CC2)c1 ZINC000279281347 1042288777 /nfs/dbraw/zinc/28/87/77/1042288777.db2.gz KFOKFDCBHIWFKH-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CN1CCCC2(CC2)C1 ZINC000893663198 1042295876 /nfs/dbraw/zinc/29/58/76/1042295876.db2.gz DNRPRYYEYUOTRG-UHFFFAOYSA-N 0 3 322.474 4.471 20 0 DIADHN ClC1(Cl)C[C@H]1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000822696138 1042298180 /nfs/dbraw/zinc/29/81/80/1042298180.db2.gz KYSUKGUFRCYVDF-GDBMZVCRSA-N 0 3 321.251 4.345 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(C)cc2)C2CCC2)cc1O ZINC000187134894 1042302048 /nfs/dbraw/zinc/30/20/48/1042302048.db2.gz SYQQJNGHAYAEAR-HXUWFJFHSA-N 0 3 311.425 4.340 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CC[C@H]1CC1(Cl)Cl ZINC000822833171 1042303338 /nfs/dbraw/zinc/30/33/38/1042303338.db2.gz YQOPSEORNSCXJX-UTLUCORTSA-N 0 3 304.183 4.233 20 0 DIADHN CN(C)[C@@H](CNCc1c(Cl)cccc1Cl)c1ccccc1 ZINC000021506669 1042305540 /nfs/dbraw/zinc/30/55/40/1042305540.db2.gz XGOJKHBYJMWBHY-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc(Br)cc1F)C1CCCC1 ZINC000187205780 1042308919 /nfs/dbraw/zinc/30/89/19/1042308919.db2.gz DCBBEYDYEKUOEH-ZJUUUORDSA-N 0 3 315.230 4.213 20 0 DIADHN FC(F)(F)CC1CCN(CC[C@@H]2CC2(Cl)Cl)CC1 ZINC000823032217 1042309949 /nfs/dbraw/zinc/30/99/49/1042309949.db2.gz YAIABKDYEZJKIU-SNVBAGLBSA-N 0 3 304.183 4.235 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)/C=C\[C@]1(C)CC[C@@H](C)C1(C)C ZINC001136692845 1042318819 /nfs/dbraw/zinc/31/88/19/1042318819.db2.gz LSUMWKYVVSWYHB-YEWSQIFHSA-N 0 3 322.537 4.051 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1ccnc(Br)c1 ZINC000292933746 1042319231 /nfs/dbraw/zinc/31/92/31/1042319231.db2.gz JGTPAQSSTLQPJL-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN OCC[C@H](NCc1ccc(CF)cc1)c1ccc(Cl)cc1 ZINC000805822166 1042322299 /nfs/dbraw/zinc/32/22/99/1042322299.db2.gz PQFSTANTWIHOMX-KRWDZBQOSA-N 0 3 307.796 4.023 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN1CCCC(F)(F)[C@@H](F)C1 ZINC001207635645 1042323442 /nfs/dbraw/zinc/32/34/42/1042323442.db2.gz KRHPCKFZSDFPGX-KGLIPLIRSA-N 0 3 309.416 4.023 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)[C@H](C)N1CCCCCC1)C(C)C ZINC001136737080 1042331148 /nfs/dbraw/zinc/33/11/48/1042331148.db2.gz XHIYDYBIQXQVEY-PKOBYXMFSA-N 0 3 316.489 4.073 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1ccc2c(c1)CCCC2 ZINC000823596712 1042335084 /nfs/dbraw/zinc/33/50/84/1042335084.db2.gz SUICSSXKOLWYTL-AWEZNQCLSA-N 0 3 309.457 4.027 20 0 DIADHN C[C@H](OCCCNCc1ccnc(Cl)c1F)c1ccccc1 ZINC000823608564 1042335727 /nfs/dbraw/zinc/33/57/27/1042335727.db2.gz JLDRZUWGNCUICW-ZDUSSCGKSA-N 0 3 322.811 4.132 20 0 DIADHN CCn1cc(CN[C@H](CCc2ccccc2)c2ccccc2)cn1 ZINC000112097092 1042339118 /nfs/dbraw/zinc/33/91/18/1042339118.db2.gz DYJOXYCUVGTPHV-OAQYLSRUSA-N 0 3 319.452 4.367 20 0 DIADHN Clc1cccc(SCCN2CCC(c3ccon3)CC2)c1 ZINC000662048584 1042343402 /nfs/dbraw/zinc/34/34/02/1042343402.db2.gz FSVXOJOOMWTXKG-UHFFFAOYSA-N 0 3 322.861 4.300 20 0 DIADHN CC(C)c1ccc([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000324894434 1042347635 /nfs/dbraw/zinc/34/76/35/1042347635.db2.gz UIYHIHLDRMSGJM-KGLIPLIRSA-N 0 3 323.440 4.391 20 0 DIADHN Cc1ccccc1C1(NCc2cccc(OC(F)F)c2)CC1 ZINC000645979516 1042351317 /nfs/dbraw/zinc/35/13/17/1042351317.db2.gz OUKWWTVURPIPRU-UHFFFAOYSA-N 0 3 303.352 4.375 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)c1c(C)noc1C ZINC000644885303 1042359540 /nfs/dbraw/zinc/35/95/40/1042359540.db2.gz OPFMTGPMYCRHNR-QLKUMGTLSA-N 0 3 314.429 4.192 20 0 DIADHN Cc1nc2ccc(Nc3c(N)cc(F)cc3C(F)(F)F)cc2[nH]1 ZINC001213077049 1042362413 /nfs/dbraw/zinc/36/24/13/1042362413.db2.gz CFQLZTGSVNTQIU-UHFFFAOYSA-N 0 3 324.281 4.355 20 0 DIADHN CCOc1ccc(CNCc2cccn2CCC(C)C)cc1F ZINC000236960767 1042372941 /nfs/dbraw/zinc/37/29/41/1042372941.db2.gz MMRKRZQRXLITRP-UHFFFAOYSA-N 0 3 318.436 4.362 20 0 DIADHN COc1ccccc1CN1CCC2(CCc3ccccc32)CC1 ZINC001203425146 1042376909 /nfs/dbraw/zinc/37/69/09/1042376909.db2.gz GTUCMAJYYRLTCE-UHFFFAOYSA-N 0 3 307.437 4.175 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@@H]3CCC[C@H]3C)cs2)cc1 ZINC000237427764 1042377278 /nfs/dbraw/zinc/37/72/78/1042377278.db2.gz HQENFULLVTVMCT-RHSMWYFYSA-N 0 3 316.470 4.309 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CN[C@H]1CC=CCC1 ZINC000237662665 1042378817 /nfs/dbraw/zinc/37/88/17/1042378817.db2.gz XYBCBALGNKWCQV-INIZCTEOSA-N 0 3 315.848 4.092 20 0 DIADHN C[C@@H](NCCn1ccnc1)c1ccc(Cl)c(Cl)c1Cl ZINC000041119623 1042392919 /nfs/dbraw/zinc/39/29/19/1042392919.db2.gz FBCQYFZHHYPLSG-SECBINFHSA-N 0 3 318.635 4.194 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cc(OC)c(OC)cc2C)cc1 ZINC000236925383 1042394505 /nfs/dbraw/zinc/39/45/05/1042394505.db2.gz WAVDVDWAOVMCPP-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN [O-]c1ccc(C[NH2+]C23CCC(C(F)(F)F)(CC2)CC3)cc1F ZINC000894081843 1042406853 /nfs/dbraw/zinc/40/68/53/1042406853.db2.gz GQLLCRYDIDHPJX-UHFFFAOYSA-N 0 3 317.326 4.276 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)o1 ZINC000894088246 1042407400 /nfs/dbraw/zinc/40/74/00/1042407400.db2.gz JKGXYCCLMAAFHN-OCCSQVGLSA-N 0 3 323.483 4.151 20 0 DIADHN CCOc1cccc(CNCc2ccccc2N2CCCCC2)c1 ZINC000236909403 1042409723 /nfs/dbraw/zinc/40/97/23/1042409723.db2.gz SWPUEPLFGBMMDS-UHFFFAOYSA-N 0 3 324.468 4.365 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3ccnc3)cc2)cc1F ZINC000067120017 1042417509 /nfs/dbraw/zinc/41/75/09/1042417509.db2.gz YTGDKTYECKXHTJ-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)CCN[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000018937015 1042427115 /nfs/dbraw/zinc/42/71/15/1042427115.db2.gz ISRKIRQXYAWPCG-SFHVURJKSA-N 0 3 314.404 4.141 20 0 DIADHN C[C@H](NCc1ccnn1C)c1ccc(-c2ccc(F)cc2)s1 ZINC000152196816 1042430779 /nfs/dbraw/zinc/43/07/79/1042430779.db2.gz DTIDELPRPNBDDG-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN CC[C@@H](CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C)OC ZINC000419233474 1042434763 /nfs/dbraw/zinc/43/47/63/1042434763.db2.gz HCGQCYKECRTYAX-MAUKXSAKSA-N 0 3 315.461 4.179 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1ccc(-c2ncco2)cc1F ZINC000411373983 1042442730 /nfs/dbraw/zinc/44/27/30/1042442730.db2.gz KHSNIDKCCYHCPF-GFCCVEGCSA-N 0 3 308.422 4.054 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)s1 ZINC000164961815 1042450864 /nfs/dbraw/zinc/45/08/64/1042450864.db2.gz XKLCEBDKFBBXMS-NSHDSACASA-N 0 3 308.834 4.081 20 0 DIADHN CCc1noc(C)c1CN1CCC[C@H]1Cc1cc(F)ccc1F ZINC000419297985 1042462715 /nfs/dbraw/zinc/46/27/15/1042462715.db2.gz HKWYPUDBUBTPJL-HNNXBMFYSA-N 0 3 320.383 4.031 20 0 DIADHN CC[C@H](NCc1nnc(-c2cccc(Cl)c2)o1)[C@H]1CC1(C)C ZINC000419325967 1042469459 /nfs/dbraw/zinc/46/94/59/1042469459.db2.gz XTGSUVREVCDRAS-KGLIPLIRSA-N 0 3 319.836 4.304 20 0 DIADHN CC[C@@H](NCc1nnc(-c2cccc(Cl)c2)o1)[C@@H]1CC1(C)C ZINC000419325968 1042469715 /nfs/dbraw/zinc/46/97/15/1042469715.db2.gz XTGSUVREVCDRAS-UONOGXRCSA-N 0 3 319.836 4.304 20 0 DIADHN COc1cc(CN(Cc2cc(F)ccc2C)C2CC2)ccc1O ZINC000429982472 1042472494 /nfs/dbraw/zinc/47/24/94/1042472494.db2.gz PTAXQHYOOBTWPU-UHFFFAOYSA-N 0 3 315.388 4.013 20 0 DIADHN c1csc([C@@H](NCc2cnc[nH]2)c2ccc3c(c2)CCCC3)c1 ZINC000894157091 1042476201 /nfs/dbraw/zinc/47/62/01/1042476201.db2.gz VIFQZJXUOLSXHA-IBGZPJMESA-N 0 3 323.465 4.229 20 0 DIADHN c1csc([C@H](NCc2cnc[nH]2)c2ccc3c(c2)CCCC3)c1 ZINC000894157093 1042476235 /nfs/dbraw/zinc/47/62/35/1042476235.db2.gz VIFQZJXUOLSXHA-LJQANCHMSA-N 0 3 323.465 4.229 20 0 DIADHN CC(C)N(Cc1cccc(Br)c1)Cc1ccccn1 ZINC000052217987 1042477690 /nfs/dbraw/zinc/47/76/90/1042477690.db2.gz QVQDMUOUMQLIIG-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN CC(C)N(Cc1ccccn1)Cc1ccc(F)c2cccnc12 ZINC000052218536 1042477963 /nfs/dbraw/zinc/47/79/63/1042477963.db2.gz WWPKQHZZVNDYGD-UHFFFAOYSA-N 0 3 309.388 4.180 20 0 DIADHN CC(C)OCc1ccccc1CNCc1cccc2c1NCCC2 ZINC000894165686 1042481997 /nfs/dbraw/zinc/48/19/97/1042481997.db2.gz RONDPYPXVMIOPI-UHFFFAOYSA-N 0 3 324.468 4.260 20 0 DIADHN CCCCN(C)[C@@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000025510111 1042494199 /nfs/dbraw/zinc/49/41/99/1042494199.db2.gz YXURKGDKGYQDLS-KRWDZBQOSA-N 0 3 324.468 4.336 20 0 DIADHN COc1ccccc1CN1CCC(c2ccccc2OC)CC1 ZINC001203435180 1042517281 /nfs/dbraw/zinc/51/72/81/1042517281.db2.gz WRWGVCJEQVXXLT-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(OC(F)F)cc1)CCC2 ZINC000283933769 1042528103 /nfs/dbraw/zinc/52/81/03/1042528103.db2.gz FCUXDCWBTUDZEH-KRWDZBQOSA-N 0 3 319.351 4.161 20 0 DIADHN Cc1ncoc1CNCC(C)(C)c1cccc(C(F)(F)F)c1 ZINC000894218128 1042530182 /nfs/dbraw/zinc/53/01/82/1042530182.db2.gz IEFXAHSEFQDFEC-UHFFFAOYSA-N 0 3 312.335 4.069 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)o1 ZINC000513422672 1042540082 /nfs/dbraw/zinc/54/00/82/1042540082.db2.gz WVTJTIAQPJZOHZ-KYJSFNMBSA-N 0 3 321.424 4.200 20 0 DIADHN COCC1CCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000513443117 1042542113 /nfs/dbraw/zinc/54/21/13/1042542113.db2.gz LVWILTIUHWYGKS-UHFFFAOYSA-N 0 3 309.862 4.413 20 0 DIADHN OCCCN(Cc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC000513460038 1042544558 /nfs/dbraw/zinc/54/45/58/1042544558.db2.gz BBZLFJCXKJRXHO-UHFFFAOYSA-N 0 3 323.358 4.090 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1ccc(C)c(C)c1 ZINC000513531623 1042550869 /nfs/dbraw/zinc/55/08/69/1042550869.db2.gz WSOQVZJPUAQVJF-UHFFFAOYSA-N 0 3 317.477 4.033 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000026466083 1042554343 /nfs/dbraw/zinc/55/43/43/1042554343.db2.gz YGXMUEABMYZHOJ-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)Oc1ccccc1CNCCO[C@H]1CCCC[C@H]1C ZINC000513642583 1042555896 /nfs/dbraw/zinc/55/58/96/1042555896.db2.gz IWTYZAWKGAETEL-AEFFLSMTSA-N 0 3 305.462 4.159 20 0 DIADHN CCCc1ccc([C@@H](N[C@@H](C)c2ccc(=O)[nH]n2)C(C)C)cc1 ZINC000513654040 1042556703 /nfs/dbraw/zinc/55/67/03/1042556703.db2.gz GWLLGURTQRHKHX-LIRRHRJNSA-N 0 3 313.445 4.183 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000513685209 1042559473 /nfs/dbraw/zinc/55/94/73/1042559473.db2.gz XMJDHXPIATVCGA-HNNXBMFYSA-N 0 3 303.409 4.196 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc2ccccc2c1 ZINC000280208192 1042566973 /nfs/dbraw/zinc/56/69/73/1042566973.db2.gz TZIFSJSDWPAJTN-AUUYWEPGSA-N 0 3 305.425 4.302 20 0 DIADHN Cc1nc2ccccc2n1C1CCN([C@H](C)c2cccnc2)CC1 ZINC000280216185 1042567635 /nfs/dbraw/zinc/56/76/35/1042567635.db2.gz KPWWFUQXOTVDGX-OAHLLOKOSA-N 0 3 320.440 4.138 20 0 DIADHN CCOCc1ccccc1CN[C@@H](c1cccnc1)C1CCC1 ZINC000280243288 1042568150 /nfs/dbraw/zinc/56/81/50/1042568150.db2.gz IIWYCYDHSFLURW-HXUWFJFHSA-N 0 3 310.441 4.249 20 0 DIADHN Cc1nc2ccccc2n1C1CCN([C@@H](C)c2ccccn2)CC1 ZINC000280228586 1042568271 /nfs/dbraw/zinc/56/82/71/1042568271.db2.gz MBOCGIDAMSSWIS-HNNXBMFYSA-N 0 3 320.440 4.138 20 0 DIADHN CCOc1cc(CNCc2ccc(C(F)F)cc2)ccc1O ZINC000280389348 1042572681 /nfs/dbraw/zinc/57/26/81/1042572681.db2.gz UVIJLMKLGZXIIO-UHFFFAOYSA-N 0 3 307.340 4.018 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N(C)Cc2cnc[nH]2)cc1 ZINC000280491926 1042574445 /nfs/dbraw/zinc/57/44/45/1042574445.db2.gz OXSUJWORDBHYNV-HNNXBMFYSA-N 0 3 321.424 4.278 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccoc2C(C)C)c1 ZINC000280559731 1042575157 /nfs/dbraw/zinc/57/51/57/1042575157.db2.gz UQRSJBFFJNYZJU-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN Cc1cccc(OCCN[C@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000513697475 1042575223 /nfs/dbraw/zinc/57/52/23/1042575223.db2.gz NVWBEMDGVVRGTK-SFHVURJKSA-N 0 3 313.445 4.008 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)[C@H](C)[C@H]1C ZINC000419398732 1042580216 /nfs/dbraw/zinc/58/02/16/1042580216.db2.gz XVKJAJNUMHUIMV-HRCADAONSA-N 0 3 302.462 4.033 20 0 DIADHN Fc1cccc(CCN[C@H](c2ncc[nH]2)C2CCCCC2)c1F ZINC000513708809 1042592006 /nfs/dbraw/zinc/59/20/06/1042592006.db2.gz XUDJEUQDCWPZGD-KRWDZBQOSA-N 0 3 319.399 4.142 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C(F)(F)F)c(C)c2)ccn1 ZINC000414444056 1042596338 /nfs/dbraw/zinc/59/63/38/1042596338.db2.gz OYTIXFHWLBZWEB-GFCCVEGCSA-N 0 3 324.346 4.268 20 0 DIADHN CC[C@H](NCc1cn(-c2ccccc2)nc1C)c1ccccc1 ZINC000414491786 1042622733 /nfs/dbraw/zinc/62/27/33/1042622733.db2.gz DUWCGIQVMAQURP-FQEVSTJZSA-N 0 3 305.425 4.422 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CCO2)c1ccccc1OC ZINC000319667996 1042624024 /nfs/dbraw/zinc/62/40/24/1042624024.db2.gz CNBGOUOSIXAUSK-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCCc2ccccc2C1 ZINC000513741519 1042625732 /nfs/dbraw/zinc/62/57/32/1042625732.db2.gz OQPLACGOAGSFJO-UHFFFAOYSA-N 0 3 311.473 4.203 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cnccc1C(C)(C)C ZINC000414497708 1042625805 /nfs/dbraw/zinc/62/58/05/1042625805.db2.gz LHLZIBHZJLESCQ-UHFFFAOYSA-N 0 3 312.457 4.240 20 0 DIADHN FC(F)Oc1cccc(CNCc2cccc(C3CC3)c2)c1 ZINC000421321687 1042629787 /nfs/dbraw/zinc/62/97/87/1042629787.db2.gz DURQQUCHVWCHTD-UHFFFAOYSA-N 0 3 303.352 4.455 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2cccc(C3CC3)c2)c2ccccc21 ZINC000421340145 1042630854 /nfs/dbraw/zinc/63/08/54/1042630854.db2.gz IBBAZJJYROZWCR-HXUWFJFHSA-N 0 3 320.436 4.152 20 0 DIADHN Cn1cnc2cc(CN[C@H](CC(F)F)c3ccccc3)ccc21 ZINC000421365492 1042632630 /nfs/dbraw/zinc/63/26/30/1042632630.db2.gz ASLIOVDUEUBBFS-OAHLLOKOSA-N 0 3 315.367 4.059 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000421391747 1042635745 /nfs/dbraw/zinc/63/57/45/1042635745.db2.gz PJPQSMOKTSOGOR-CYBMUJFWSA-N 0 3 323.362 4.241 20 0 DIADHN CC(C)c1ccc(CCNC(C)(C)c2cn(C(C)C)nn2)cc1 ZINC000421408964 1042637340 /nfs/dbraw/zinc/63/73/40/1042637340.db2.gz ZIHOEYXAMNOROQ-UHFFFAOYSA-N 0 3 314.477 4.050 20 0 DIADHN COC1([C@H](C)NCc2csc(-c3ccccc3)n2)CCCC1 ZINC000421823709 1042653207 /nfs/dbraw/zinc/65/32/07/1042653207.db2.gz DWPLQEPTCPUTQL-AWEZNQCLSA-N 0 3 316.470 4.247 20 0 DIADHN C[C@@H](NCC1(c2cccc(F)c2)CC1)c1ccncc1Cl ZINC000421828474 1042654723 /nfs/dbraw/zinc/65/47/23/1042654723.db2.gz CXVHLASQDQSEPO-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN C[C@H](NCCCOCCc1ccccc1)c1ccncc1Cl ZINC000421818349 1042656943 /nfs/dbraw/zinc/65/69/43/1042656943.db2.gz AGSVANZQTZBCIM-HNNXBMFYSA-N 0 3 318.848 4.035 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@@H](C)c2ccncc2Cl)c1 ZINC000421839539 1042659075 /nfs/dbraw/zinc/65/90/75/1042659075.db2.gz XKMQIUFWDJECPG-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc([C@@H](NC[C@@H](C)C(F)(F)F)C2CC2)c(F)c1 ZINC000421843913 1042659444 /nfs/dbraw/zinc/65/94/44/1042659444.db2.gz RWDMNCZJBADDOP-OTYXRUKQSA-N 0 3 305.315 4.073 20 0 DIADHN COc1ccc([C@H](NC[C@H](C)C(F)(F)F)C2CC2)c(F)c1 ZINC000421843912 1042659708 /nfs/dbraw/zinc/65/97/08/1042659708.db2.gz RWDMNCZJBADDOP-LKFCYVNXSA-N 0 3 305.315 4.073 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCc1cc(C)nc(C)c1)CCCO2 ZINC000421876420 1042660236 /nfs/dbraw/zinc/66/02/36/1042660236.db2.gz JVDBHHKQJQZSBU-LJQANCHMSA-N 0 3 310.441 4.319 20 0 DIADHN COc1cc([C@H](C)NCc2cc(C)nc(C)c2)ccc1SC ZINC000421888580 1042661175 /nfs/dbraw/zinc/66/11/75/1042661175.db2.gz TYSZFXARACQODO-AWEZNQCLSA-N 0 3 316.470 4.280 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NC2CSC2)cc1OC ZINC000679624542 1042662849 /nfs/dbraw/zinc/66/28/49/1042662849.db2.gz CGPQUQLNOZQLHD-CYBMUJFWSA-N 0 3 309.475 4.030 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(SC(F)F)ccc21)c1cn[nH]c1 ZINC000271755830 1042667716 /nfs/dbraw/zinc/66/77/16/1042667716.db2.gz YSAVHWWLPXZTEO-BONVTDFDSA-N 0 3 323.412 4.453 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(SC(F)F)ccc21)c1cn[nH]c1 ZINC000271755839 1042667820 /nfs/dbraw/zinc/66/78/20/1042667820.db2.gz YSAVHWWLPXZTEO-ZUZCIYMTSA-N 0 3 323.412 4.453 20 0 DIADHN C[C@H](NC1(c2ccccc2)CC1)c1ccc(N2CCCC2=O)cc1 ZINC000271989226 1042674494 /nfs/dbraw/zinc/67/44/94/1042674494.db2.gz LISOSDYHQMZGHT-INIZCTEOSA-N 0 3 320.436 4.153 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(OC)ccc1Cl ZINC001167949289 1042683779 /nfs/dbraw/zinc/68/37/79/1042683779.db2.gz JOUUNXZVMKZDRW-GFCCVEGCSA-N 0 3 301.883 4.312 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C)c1cnccc1N ZINC000422924292 1042692123 /nfs/dbraw/zinc/69/21/23/1042692123.db2.gz UDNMBDQTCHEZMV-ABXQLRGKSA-N 0 3 315.848 4.195 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000423351680 1042707862 /nfs/dbraw/zinc/70/78/62/1042707862.db2.gz ITVQVYXLOCMLEF-NHYWBVRUSA-N 0 3 302.443 4.067 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc3c1CCCN3)CCCO2 ZINC000423419423 1042710588 /nfs/dbraw/zinc/71/05/88/1042710588.db2.gz WCTADFZKWDODKF-FQEVSTJZSA-N 0 3 322.452 4.357 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)Oc2ccc(N)cc21)c1cccs1 ZINC000423415272 1042711047 /nfs/dbraw/zinc/71/10/47/1042711047.db2.gz ALRAXKCSBIULKI-BXUZGUMPSA-N 0 3 302.443 4.283 20 0 DIADHN c1ccc2c(c1)CCSC[C@H]2NCc1cccc2c1CCCN2 ZINC000423414731 1042711671 /nfs/dbraw/zinc/71/16/71/1042711671.db2.gz NTEKMPWROOYFCF-HXUWFJFHSA-N 0 3 324.493 4.165 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)CCCN3)cc1F ZINC000423451073 1042712376 /nfs/dbraw/zinc/71/23/76/1042712376.db2.gz UOUZMLZKUASQNY-ZDUSSCGKSA-N 0 3 314.404 4.043 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3c(c2)CCCN3)cc1F ZINC000423451072 1042712466 /nfs/dbraw/zinc/71/24/66/1042712466.db2.gz UOUZMLZKUASQNY-CYBMUJFWSA-N 0 3 314.404 4.043 20 0 DIADHN c1ccc2c(c1)SCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423448016 1042712683 /nfs/dbraw/zinc/71/26/83/1042712683.db2.gz PPFPXXMOBKMCAK-GOSISDBHSA-N 0 3 310.466 4.371 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)CCCN3)CCCO2 ZINC000423435965 1042713101 /nfs/dbraw/zinc/71/31/01/1042713101.db2.gz FCIFREUBPJQVHZ-FQEVSTJZSA-N 0 3 322.452 4.357 20 0 DIADHN CC(F)(F)c1cccc(NC(=O)[C@H](N)CC2CCCCC2)c1 ZINC000423907770 1042722392 /nfs/dbraw/zinc/72/23/92/1042722392.db2.gz BPNJUXGJIPHZRK-OAHLLOKOSA-N 0 3 310.388 4.035 20 0 DIADHN CC(C)(C)[C@@H]1CN(C(=O)c2ccc3c(c2)CNC3)c2ccccc21 ZINC000423910909 1042722767 /nfs/dbraw/zinc/72/27/67/1042722767.db2.gz BJWLBAOQXFHISC-GOSISDBHSA-N 0 3 320.436 4.080 20 0 DIADHN Cc1cc([C@@H](NCc2cncn2C(C)C)C2CC2)ccc1F ZINC000424165114 1042728208 /nfs/dbraw/zinc/72/82/08/1042728208.db2.gz YCBMHUUEUISUFT-SFHVURJKSA-N 0 3 301.409 4.152 20 0 DIADHN CC(=O)Nc1ccc(CNCc2cc(Cl)cc(Cl)c2)cc1 ZINC000054173318 1042730316 /nfs/dbraw/zinc/73/03/16/1042730316.db2.gz ROIDYELZMUCWMU-UHFFFAOYSA-N 0 3 323.223 4.242 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2C[C@H]2C2CCC2)c2ccccc2)nc1 ZINC000424303066 1042735026 /nfs/dbraw/zinc/73/50/26/1042735026.db2.gz YXXSDIVCDZNHDE-XKGZKEIXSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(Cl)cc1)C1CCCC1)c1cnnn1C ZINC000924970462 1042739884 /nfs/dbraw/zinc/73/98/84/1042739884.db2.gz OLVIARKKCHKRIJ-SJKOYZFVSA-N 0 3 318.852 4.051 20 0 DIADHN C[C@@H](NC(=O)CCC1CCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001269735315 1042755554 /nfs/dbraw/zinc/75/55/54/1042755554.db2.gz ZPGSDNRBYNADFV-UZLBHIALSA-N 0 3 316.489 4.155 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@H]2NCCOCC1CC1 ZINC000513832895 1042757906 /nfs/dbraw/zinc/75/79/06/1042757906.db2.gz RPXWQQKFPLSVKU-GOSISDBHSA-N 0 3 315.457 4.229 20 0 DIADHN COc1ccc(Cl)cc1CN(CCc1nccs1)C(C)C ZINC000513978958 1042764747 /nfs/dbraw/zinc/76/47/47/1042764747.db2.gz VRUKDGCIWMUJLW-UHFFFAOYSA-N 0 3 324.877 4.258 20 0 DIADHN CCc1nc(CN2CCC[C@H]2Cc2ccccc2C)cs1 ZINC000514181152 1042773231 /nfs/dbraw/zinc/77/32/31/1042773231.db2.gz ZFGVRHHPKNEFNI-KRWDZBQOSA-N 0 3 300.471 4.221 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(SC)cc2)cc1 ZINC000058875869 1042776451 /nfs/dbraw/zinc/77/64/51/1042776451.db2.gz OIKHDMLJXOWCPA-UHFFFAOYSA-N 0 3 301.455 4.439 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nnc(-c2ccccc2F)s1 ZINC000514241706 1042777256 /nfs/dbraw/zinc/77/72/56/1042777256.db2.gz MOADGCSYDGLXOQ-SRVKXCTJSA-N 0 3 307.438 4.429 20 0 DIADHN COCCN(Cc1cc(-c2ccc(Cl)cc2)no1)CC(C)C ZINC000514243903 1042777894 /nfs/dbraw/zinc/77/78/94/1042777894.db2.gz ZOOBPJJASICLAV-UHFFFAOYSA-N 0 3 322.836 4.099 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000281289953 1042779740 /nfs/dbraw/zinc/77/97/40/1042779740.db2.gz XYWYIBWNFJUWQH-BPUTZDHNSA-N 0 3 303.837 4.060 20 0 DIADHN Fc1cc(CN2CCC3(CCOCC3)CC2)ccc1C(F)F ZINC000894300752 1042779797 /nfs/dbraw/zinc/77/97/97/1042779797.db2.gz KRDKHUFNHDVNSH-UHFFFAOYSA-N 0 3 313.363 4.156 20 0 DIADHN OC[C@H]1CCCN([C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000514710909 1042788730 /nfs/dbraw/zinc/78/87/30/1042788730.db2.gz NWUUFVOHMBSIQW-KXBFYZLASA-N 0 3 315.844 4.134 20 0 DIADHN Cc1cc(CN(Cc2ccc(F)cc2)C2CCCCC2)on1 ZINC000514710912 1042788750 /nfs/dbraw/zinc/78/87/50/1042788750.db2.gz OJHGCUUGOQIIMO-UHFFFAOYSA-N 0 3 302.393 4.457 20 0 DIADHN CC(C)CC[C@@H](O)CN1CCc2cc(-c3ccccc3)oc2C1 ZINC001167958336 1042788990 /nfs/dbraw/zinc/78/89/90/1042788990.db2.gz HGXZITLTHWCRMH-GOSISDBHSA-N 0 3 313.441 4.102 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000425338937 1042793819 /nfs/dbraw/zinc/79/38/19/1042793819.db2.gz BNQQEFXMXOSJLL-JHJVBQTASA-N 0 3 307.356 4.303 20 0 DIADHN CC(C)CCCC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001269939566 1042794752 /nfs/dbraw/zinc/79/47/52/1042794752.db2.gz NFVMSLTZWBXVSC-IBGZPJMESA-N 0 3 318.505 4.086 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2cncn2C(C)C)c1 ZINC000425362698 1042796108 /nfs/dbraw/zinc/79/61/08/1042796108.db2.gz VQJPYSBDRGQSOA-BEFAXECRSA-N 0 3 313.445 4.056 20 0 DIADHN Clc1ccc(CN2CC3(CCC3)[C@H]2C2CCOCC2)s1 ZINC000425371372 1042799678 /nfs/dbraw/zinc/79/96/78/1042799678.db2.gz LAPVINXFSATDPS-OAHLLOKOSA-N 0 3 311.878 4.183 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC000514978863 1042803268 /nfs/dbraw/zinc/80/32/68/1042803268.db2.gz CIIOOBBOLIOAEW-HXUWFJFHSA-N 0 3 322.452 4.191 20 0 DIADHN CCCCCC(=O)N1CC2(CCN2C[C@H](CC)CCCC)C1 ZINC001269968382 1042805049 /nfs/dbraw/zinc/80/50/49/1042805049.db2.gz ZJMWGFMWXNQALO-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN CCSc1ccccc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000515030494 1042806542 /nfs/dbraw/zinc/80/65/42/1042806542.db2.gz SEBGLVQPLBWZQK-UHFFFAOYSA-N 0 3 314.454 4.113 20 0 DIADHN FC(F)(F)C[C@@H]1CCN(Cc2ccccc2OCC2CC2)C1 ZINC000515034315 1042807005 /nfs/dbraw/zinc/80/70/05/1042807005.db2.gz FCTBCMBQEDTSJR-AWEZNQCLSA-N 0 3 313.363 4.250 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2ncccc2c1)c1ccccc1 ZINC000515094010 1042808340 /nfs/dbraw/zinc/80/83/40/1042808340.db2.gz XJUUNXYGAHUTLY-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN CN(Cc1coc(-c2ccc(F)cc2)n1)CC1CCCCC1 ZINC000515193930 1042812184 /nfs/dbraw/zinc/81/21/84/1042812184.db2.gz HHAHTWSZVKVVFP-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2c(F)cccc2N2CCCC2)CS1 ZINC000515417182 1042818769 /nfs/dbraw/zinc/81/87/69/1042818769.db2.gz IBXDQARJXMFCHN-KSSFIOAISA-N 0 3 322.493 4.046 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2c(F)cccc2N2CCCC2)CS1 ZINC000515417181 1042818931 /nfs/dbraw/zinc/81/89/31/1042818931.db2.gz IBXDQARJXMFCHN-KDOFPFPSSA-N 0 3 322.493 4.046 20 0 DIADHN COc1ccc(OCCN2CCC[C@H]2c2cccc(F)c2)cc1 ZINC000515498005 1042822861 /nfs/dbraw/zinc/82/28/61/1042822861.db2.gz GDXQYWLEFMGOJO-IBGZPJMESA-N 0 3 315.388 4.050 20 0 DIADHN Fc1ccc(C[C@H]2CCN(Cc3ccc(F)c(F)c3)C2)cc1 ZINC000515492695 1042823193 /nfs/dbraw/zinc/82/31/93/1042823193.db2.gz VELUUHCOCMCTHZ-OAHLLOKOSA-N 0 3 305.343 4.169 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)N[C@@H](C)c2ccc(Cl)cc2)C1 ZINC000515542366 1042826255 /nfs/dbraw/zinc/82/62/55/1042826255.db2.gz WJECLNJUWCLYMM-SOUVJXGZSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(Cl)cc2)cc1 ZINC000515717535 1042832349 /nfs/dbraw/zinc/83/23/49/1042832349.db2.gz NETWORMPNZVASG-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1CCN(CC2CC2)CC1 ZINC000515782173 1042835795 /nfs/dbraw/zinc/83/57/95/1042835795.db2.gz XNNNTHBQBMOXSF-LBPRGKRZSA-N 0 3 313.272 4.082 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2[C@@H]2CCCOC2)s1 ZINC000516770865 1042853382 /nfs/dbraw/zinc/85/33/82/1042853382.db2.gz PYBFVLGRVHSBGD-YPMHNXCESA-N 0 3 319.392 4.158 20 0 DIADHN Fc1ccc([C@H](NCc2ccc3[nH]ccc3c2)[C@@H]2CCCO2)cc1 ZINC000516877148 1042857893 /nfs/dbraw/zinc/85/78/93/1042857893.db2.gz AWZPILUDJBYNTO-PMACEKPBSA-N 0 3 324.399 4.317 20 0 DIADHN Cc1ccc2nccc(N3C[C@@H](C)O[C@H](CC(F)(F)F)C3)c2c1 ZINC000664635805 1042861394 /nfs/dbraw/zinc/86/13/94/1042861394.db2.gz RIZXTWCDWWVRNM-CHWSQXEVSA-N 0 3 324.346 4.089 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@@H]2c2ccccc2Cl)[n-]1 ZINC000517071383 1042865680 /nfs/dbraw/zinc/86/56/80/1042865680.db2.gz HYUGTYVPJVPHGL-UKRRQHHQSA-N 0 3 318.852 4.007 20 0 DIADHN CCc1ccccc1NC(=O)CN([C@H](C)[C@@H](C)CC)C1CC1 ZINC000517121345 1042868622 /nfs/dbraw/zinc/86/86/22/1042868622.db2.gz SJFHNMNDLVXIRU-LSDHHAIUSA-N 0 3 302.462 4.087 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2o1)[C@@H](C)Cc1ccsc1 ZINC000425927456 1042876668 /nfs/dbraw/zinc/87/66/68/1042876668.db2.gz XHOFJAURWPMUHO-LBPRGKRZSA-N 0 3 318.417 4.482 20 0 DIADHN c1csc([C@@H](NCc2cnc(-c3ccccc3)nc2)C2CC2)c1 ZINC000517542184 1042884929 /nfs/dbraw/zinc/88/49/29/1042884929.db2.gz OIAJKZLLSPINOY-SFHVURJKSA-N 0 3 321.449 4.446 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H](C)[C@H]3CC3(C)C)n2)ccc1F ZINC000425970269 1042890762 /nfs/dbraw/zinc/89/07/62/1042890762.db2.gz ZPTJSUOHPOSORL-SGMGOOAPSA-N 0 3 317.408 4.269 20 0 DIADHN Fc1cccnc1CN[C@@H]1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000517679967 1042893271 /nfs/dbraw/zinc/89/32/71/1042893271.db2.gz INSDZSNYJAGJEQ-DZGCQCFKSA-N 0 3 304.796 4.300 20 0 DIADHN CC1CCC(NCc2nn(-c3ccccc3)c3c2CCC3)CC1 ZINC000517793694 1042897135 /nfs/dbraw/zinc/89/71/35/1042897135.db2.gz OXZDCALZMHNFNN-UHFFFAOYSA-N 0 3 309.457 4.029 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C1CC1 ZINC000517898916 1042902377 /nfs/dbraw/zinc/90/23/77/1042902377.db2.gz XIMOOPWHUAAWAP-XJKSGUPXSA-N 0 3 302.462 4.141 20 0 DIADHN Cc1sccc1CN[C@@H](C)c1cccc(N2CCCC2=O)c1 ZINC000293535083 1042903054 /nfs/dbraw/zinc/90/30/54/1042903054.db2.gz JSWQSLKGZXGOGD-ZDUSSCGKSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1ccccc1[C@H](O)CN[C@@H](C)c1cc(-c2ccccc2)no1 ZINC000925039449 1042908966 /nfs/dbraw/zinc/90/89/66/1042908966.db2.gz FUCHXLIYEMUDPD-HNAYVOBHSA-N 0 3 322.408 4.034 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(OC)c3ccccc32)cn1 ZINC000296048804 1042915140 /nfs/dbraw/zinc/91/51/40/1042915140.db2.gz UXZHBCKLHPXHDY-HUUCEWRRSA-N 0 3 323.440 4.477 20 0 DIADHN COc1ccc2ccc([O-])c(C[N@H+](C)Cc3ccccc3C)c2c1 ZINC000007091714 1042921390 /nfs/dbraw/zinc/92/13/90/1042921390.db2.gz NEZHVWXOBWRFQV-UHFFFAOYSA-N 0 3 321.420 4.494 20 0 DIADHN COc1ccc2ccc([O-])c(C[N@@H+](C)Cc3ccccc3C)c2c1 ZINC000007091714 1042921393 /nfs/dbraw/zinc/92/13/93/1042921393.db2.gz NEZHVWXOBWRFQV-UHFFFAOYSA-N 0 3 321.420 4.494 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3ccccc32)c(OC)c1 ZINC000015298244 1042922861 /nfs/dbraw/zinc/92/28/61/1042922861.db2.gz HFVJZZQGILRARY-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@H]43)cs2)cc1 ZINC000426460411 1042922883 /nfs/dbraw/zinc/92/28/83/1042922883.db2.gz OQOWOPTZXIMGAC-BLLLJJGKSA-N 0 3 302.418 4.324 20 0 DIADHN Clc1cccc(-c2nc(CN3CC[C@@H]4CCC[C@H]43)co2)c1 ZINC000426464627 1042923101 /nfs/dbraw/zinc/92/31/01/1042923101.db2.gz XJCZJHLTTDMIMC-BLLLJJGKSA-N 0 3 302.805 4.369 20 0 DIADHN Cc1ccc(CNCc2ccnc(O[C@H](C)CC(C)C)c2)cc1 ZINC000518978890 1042927417 /nfs/dbraw/zinc/92/74/17/1042927417.db2.gz JUVOJKGCSIKENF-QGZVFWFLSA-N 0 3 312.457 4.493 20 0 DIADHN Cc1ccc(CNCc2ccnc(O[C@@H](C)CC(C)C)c2)cc1 ZINC000518978889 1042927716 /nfs/dbraw/zinc/92/77/16/1042927716.db2.gz JUVOJKGCSIKENF-KRWDZBQOSA-N 0 3 312.457 4.493 20 0 DIADHN Cc1ccccc1NC(=O)CN(C)C1CCC(C(C)(C)C)CC1 ZINC000519002425 1042928525 /nfs/dbraw/zinc/92/85/25/1042928525.db2.gz SPKQFJVFPQBACD-UHFFFAOYSA-N 0 3 316.489 4.470 20 0 DIADHN CCC(CC)N(CC(=O)Nc1cccc(Cl)c1C)C1CC1 ZINC000519192351 1042937432 /nfs/dbraw/zinc/93/74/32/1042937432.db2.gz CMRNNUQMWWHJDA-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN CN(C(=O)CN1CCCCC[C@@H]1c1ccccc1)c1ccccc1 ZINC000519219752 1042939048 /nfs/dbraw/zinc/93/90/48/1042939048.db2.gz MLQHFNQDZWKAPT-HXUWFJFHSA-N 0 3 322.452 4.267 20 0 DIADHN CC(C)CCN(Cc1nc(-c2ccoc2)no1)C1CCCC1 ZINC000519253215 1042940561 /nfs/dbraw/zinc/94/05/61/1042940561.db2.gz FDDFZZFZULWTMK-UHFFFAOYSA-N 0 3 303.406 4.120 20 0 DIADHN N#Cc1ccc(CN2CCC[C@H](Cc3ccccc3)C2)c(F)c1 ZINC000519287072 1042942305 /nfs/dbraw/zinc/94/23/05/1042942305.db2.gz SRAFWCGEWGCUGD-GOSISDBHSA-N 0 3 308.400 4.152 20 0 DIADHN c1ccc(C[C@@H]2CCCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000519288658 1042942530 /nfs/dbraw/zinc/94/25/30/1042942530.db2.gz FBAOSOPZVUTJLO-KRWDZBQOSA-N 0 3 306.409 4.283 20 0 DIADHN COCC1(C)CCN(Cc2cc3ccnc(Cl)c3s2)CC1 ZINC000894424774 1042957256 /nfs/dbraw/zinc/95/72/56/1042957256.db2.gz MPDTYQXLKVGYML-UHFFFAOYSA-N 0 3 324.877 4.198 20 0 DIADHN Cc1cc2cc(CNCc3c(C)nn(C(C)C)c3C)ccc2[nH]1 ZINC000894434434 1042958267 /nfs/dbraw/zinc/95/82/67/1042958267.db2.gz ANLJNGYLENFPMP-UHFFFAOYSA-N 0 3 310.445 4.160 20 0 DIADHN C[C@@H](CCN1CC(C)(C)[C@H]1c1ccncc1)OCc1ccccc1 ZINC000664827959 1042967113 /nfs/dbraw/zinc/96/71/13/1042967113.db2.gz AQKAKYWTSDRVMB-FXAWDEMLSA-N 0 3 324.468 4.460 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664832010 1042969204 /nfs/dbraw/zinc/96/92/04/1042969204.db2.gz IGDRARWZKRKEGJ-OALUTQOASA-N 0 3 310.441 4.242 20 0 DIADHN COCCCC1(CN2CC(C)(C)[C@@H]2c2cccnc2)CCC1 ZINC000894583766 1042969817 /nfs/dbraw/zinc/96/98/17/1042969817.db2.gz APKYEWHQPVTLHD-KRWDZBQOSA-N 0 3 302.462 4.061 20 0 DIADHN Clc1nccc2cc(CN3CCC[C@@H]3[C@H]3CCCO3)sc21 ZINC000894689515 1042973570 /nfs/dbraw/zinc/97/35/70/1042973570.db2.gz GLCNVQWXWAOASO-ZIAGYGMSSA-N 0 3 322.861 4.093 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H](C)Cc2ccc(-c3ccccc3)cc2)[nH]1 ZINC000894778873 1042977269 /nfs/dbraw/zinc/97/72/69/1042977269.db2.gz TZUFTKIQAXODKG-GJZGRUSLSA-N 0 3 320.440 4.062 20 0 DIADHN C[C@H](NC[C@]1(C)OCCc2sccc21)c1cc(F)cc(F)c1 ZINC000519611130 1042986667 /nfs/dbraw/zinc/98/66/67/1042986667.db2.gz KQKQDTQBBBVAAO-GTNSWQLSSA-N 0 3 323.408 4.165 20 0 DIADHN C[C@H](NCc1nccc2ccccc21)[C@H]1OCCc2sccc21 ZINC000894852907 1042987983 /nfs/dbraw/zinc/98/79/83/1042987983.db2.gz RGVQJLZGDXASQZ-ORAYPTAESA-N 0 3 324.449 4.088 20 0 DIADHN Cc1cnc([C@@H](C)Nc2cccc3c2CN(CCC(C)C)C3)cn1 ZINC000427443572 1042988261 /nfs/dbraw/zinc/98/82/61/1042988261.db2.gz MDXMWSNDXWPXCP-MRXNPFEDSA-N 0 3 324.472 4.320 20 0 DIADHN Cc1cnc([C@@H](C)Nc2cccc3c2CN([C@@H](C)C(C)C)C3)cn1 ZINC000427443219 1042988548 /nfs/dbraw/zinc/98/85/48/1042988548.db2.gz KTEXHKORILJQDC-CVEARBPZSA-N 0 3 324.472 4.318 20 0 DIADHN Cc1nc(C)c(CN2C[C@H](C)C[C@@H]2c2ccccc2F)s1 ZINC000353697347 1042990998 /nfs/dbraw/zinc/99/09/98/1042990998.db2.gz GELLEEDDILOPDP-BDJLRTHQSA-N 0 3 304.434 4.482 20 0 DIADHN Cc1cccc2c1CCN(Cc1cccc(OC(F)F)c1)C2 ZINC000729480141 1042997657 /nfs/dbraw/zinc/99/76/57/1042997657.db2.gz NHKXHSTYCTVWFD-UHFFFAOYSA-N 0 3 303.352 4.155 20 0 DIADHN c1ccc(C[C@@H]2CC[C@@H](NCc3noc4c3CCCC4)C2)cc1 ZINC000519728405 1042999945 /nfs/dbraw/zinc/99/99/45/1042999945.db2.gz POYQINSENOJKJF-DLBZAZTESA-N 0 3 310.441 4.054 20 0 DIADHN Cc1n[nH]cc1CN[C@H](C)c1ccc(-c2ccccc2F)s1 ZINC000519752474 1043001256 /nfs/dbraw/zinc/00/12/56/1043001256.db2.gz FKYIEAMIYCLBQJ-GFCCVEGCSA-N 0 3 315.417 4.437 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC000519753072 1043001388 /nfs/dbraw/zinc/00/13/88/1043001388.db2.gz DKNUQGAOLAPEFH-UHFFFAOYSA-N 0 3 317.454 4.122 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@@H](C)Cc1c(Cl)cccc1Cl ZINC000188281718 1043019363 /nfs/dbraw/zinc/01/93/63/1043019363.db2.gz CJKWNQYZPAFYDV-CMPLNLGQSA-N 0 3 324.255 4.374 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ccc(OC)c(F)c1 ZINC000520173816 1043021628 /nfs/dbraw/zinc/02/16/28/1043021628.db2.gz CNBYKSAKSCPIMS-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN C[C@@H]1CCCC[C@H]1CC(=O)Nc1ccc(CN(C)C)cc1F ZINC000520189834 1043022473 /nfs/dbraw/zinc/02/24/73/1043022473.db2.gz YYAFDRHRPAFATM-HIFRSBDPSA-N 0 3 306.425 4.042 20 0 DIADHN COCc1cc(CN[C@@H](C)c2cc3ccccc3[nH]2)ccc1F ZINC000925097839 1043022598 /nfs/dbraw/zinc/02/25/98/1043022598.db2.gz RCWJGAQNEPROSD-ZDUSSCGKSA-N 0 3 312.388 4.304 20 0 DIADHN CCc1ccc([C@H](N[C@@H](C)CSC)c2cccnc2)cc1 ZINC000925097563 1043022780 /nfs/dbraw/zinc/02/27/80/1043022780.db2.gz SGPHHVBTFPOYLE-KSSFIOAISA-N 0 3 300.471 4.075 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1ccccc1OCC1CC1 ZINC000520202602 1043023564 /nfs/dbraw/zinc/02/35/64/1043023564.db2.gz WZVUYZBPSIVQTO-VQIMIIECSA-N 0 3 317.473 4.160 20 0 DIADHN Fc1ccc([C@H](NCc2cccc3cc[nH]c32)[C@@H]2CCCO2)cc1 ZINC000520221621 1043025923 /nfs/dbraw/zinc/02/59/23/1043025923.db2.gz LCXXGPSSCCSQON-ICSRJNTNSA-N 0 3 324.399 4.317 20 0 DIADHN COc1ccc(C2(NCc3cccc4cccnc43)CC2)cc1 ZINC000520246798 1043028554 /nfs/dbraw/zinc/02/85/54/1043028554.db2.gz DNNHOJRCGSNMBI-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN CSc1ccc(OCCN2CCc3sccc3[C@H]2C)cc1 ZINC000441251620 1043030318 /nfs/dbraw/zinc/03/03/18/1043030318.db2.gz HSODEABOVRPVKM-CYBMUJFWSA-N 0 3 319.495 4.468 20 0 DIADHN Cc1sc(NC(=O)CN(C)C2CCCCCC2)c(C)c1C ZINC000441244525 1043031196 /nfs/dbraw/zinc/03/11/96/1043031196.db2.gz CLPQQOKIZKEQSL-UHFFFAOYSA-N 0 3 308.491 4.266 20 0 DIADHN CC[C@H](NCCC(=O)Nc1ccccc1)c1cc(F)ccc1F ZINC000164965516 1043031741 /nfs/dbraw/zinc/03/17/41/1043031741.db2.gz OLFRBMZAZQIDDR-KRWDZBQOSA-N 0 3 318.367 4.034 20 0 DIADHN CSc1ccc(OCCN(Cc2ccco2)C(C)C)cc1 ZINC000441278500 1043033681 /nfs/dbraw/zinc/03/36/81/1043033681.db2.gz AATIARSDHSZKDZ-UHFFFAOYSA-N 0 3 305.443 4.291 20 0 DIADHN CCn1nccc1CNCc1sc2ccc(Cl)cc2c1C ZINC000441359322 1043038511 /nfs/dbraw/zinc/03/85/11/1043038511.db2.gz PGQWWXKPXZBTNL-UHFFFAOYSA-N 0 3 319.861 4.369 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)CC(=O)Nc2ccccc2)cc1 ZINC000441346864 1043040389 /nfs/dbraw/zinc/04/03/89/1043040389.db2.gz LDJBGLWDUGDOJS-PMACEKPBSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@H](c1cc(F)ccc1F)C(C)C ZINC000794805406 1043049643 /nfs/dbraw/zinc/04/96/43/1043049643.db2.gz GNCYIWBPUQMCIR-OALUTQOASA-N 0 3 319.395 4.294 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1ccsc1)c1cc(F)ccc1F ZINC000794803007 1043051361 /nfs/dbraw/zinc/05/13/61/1043051361.db2.gz PSYXTMKCMRHBDI-CVEARBPZSA-N 0 3 311.397 4.047 20 0 DIADHN COC[C@@H]1CCCN(Cc2cc3ccnc(Cl)c3s2)CC1 ZINC000894952243 1043052340 /nfs/dbraw/zinc/05/23/40/1043052340.db2.gz ICWWAEWNZGDWOI-GFCCVEGCSA-N 0 3 324.877 4.198 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H](C)c2cccc(F)c2)cc1 ZINC000173926728 1043055066 /nfs/dbraw/zinc/05/50/66/1043055066.db2.gz BVPFXOVCCOYQNM-CQSZACIVSA-N 0 3 314.404 4.415 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1csc2ccccc12 ZINC000794810002 1043056216 /nfs/dbraw/zinc/05/62/16/1043056216.db2.gz SVHDHICROCOMCK-WBMJQRKESA-N 0 3 311.454 4.363 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H](C)c2cccc(F)c2)cc1 ZINC000173926716 1043056236 /nfs/dbraw/zinc/05/62/36/1043056236.db2.gz BVPFXOVCCOYQNM-AWEZNQCLSA-N 0 3 314.404 4.415 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccncc1 ZINC000174019463 1043059121 /nfs/dbraw/zinc/05/91/21/1043059121.db2.gz NBQJRTQWVLMPCP-PXAZEXFGSA-N 0 3 318.367 4.411 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cc(F)cc(F)c1 ZINC000120488211 1043081324 /nfs/dbraw/zinc/08/13/24/1043081324.db2.gz KOLMKSGCJYBYMC-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)CC1CCC1 ZINC000175516476 1043087298 /nfs/dbraw/zinc/08/72/98/1043087298.db2.gz BTWCEHSAICXEAG-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1F)c1ccc(Cl)s1 ZINC000049129149 1043093724 /nfs/dbraw/zinc/09/37/24/1043093724.db2.gz UBGXHKNQTMYUJC-PRHODGIISA-N 0 3 317.788 4.064 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc[nH]2)c(C)c1Br ZINC000865512434 1043108853 /nfs/dbraw/zinc/10/88/53/1043108853.db2.gz GMBZAKBZPUSJDD-GFCCVEGCSA-N 0 3 307.235 4.245 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(N2CCOC2=O)c1)c1ccsc1 ZINC000177749851 1043112531 /nfs/dbraw/zinc/11/25/31/1043112531.db2.gz RTDSROUWPVQLCO-CHWSQXEVSA-N 0 3 316.426 4.117 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2c(Cl)cncc2Cl)C[C@H](C)O1 ZINC000865556493 1043113903 /nfs/dbraw/zinc/11/39/03/1043113903.db2.gz FBPCRWSROFHEOJ-ZSBIGDGJSA-N 0 3 317.260 4.072 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(SC(F)F)cc2)ccn1 ZINC000177842849 1043115396 /nfs/dbraw/zinc/11/53/96/1043115396.db2.gz RJBAMSYOJNVAKL-LLVKDONJSA-N 0 3 324.396 4.256 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2CC23CCSCC3)n1 ZINC000865605783 1043116659 /nfs/dbraw/zinc/11/66/59/1043116659.db2.gz HBMDLBAPVOZOPX-GFCCVEGCSA-N 0 3 310.532 4.064 20 0 DIADHN Fc1ccc(-n2ccc(CN3CC[C@@H](c4ccccc4)C3)n2)cc1 ZINC000441538554 1043117314 /nfs/dbraw/zinc/11/73/14/1043117314.db2.gz VUKKUGZJDSGMRJ-QGZVFWFLSA-N 0 3 321.399 4.001 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)nc1)c1ccc2c(c1)CCCO2 ZINC000865635981 1043120862 /nfs/dbraw/zinc/12/08/62/1043120862.db2.gz JENNHZRAGKCGDH-GFCCVEGCSA-N 0 3 318.367 4.195 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178137742 1043123138 /nfs/dbraw/zinc/12/31/38/1043123138.db2.gz KDWFOJQFWUNIEX-DFFLPILJSA-N 0 3 315.482 4.398 20 0 DIADHN CC(C)c1cnc(CNC2CCC(OC(C)(C)C)CC2)s1 ZINC000865698130 1043124473 /nfs/dbraw/zinc/12/44/73/1043124473.db2.gz IXRXKHKOAKJPBU-UHFFFAOYSA-N 0 3 310.507 4.482 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H]2CCCOc3ccccc32)s1 ZINC000178316264 1043126882 /nfs/dbraw/zinc/12/68/82/1043126882.db2.gz OKXZZMIZSWFZCR-WFASDCNBSA-N 0 3 302.443 4.324 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCCOc3ccccc31)CCC2 ZINC000178316574 1043127039 /nfs/dbraw/zinc/12/70/39/1043127039.db2.gz QOQBXVHLKQNVBO-GDBMZVCRSA-N 0 3 314.454 4.332 20 0 DIADHN C[C@H](N[C@@H]1CCCOc2ccccc21)c1ccc2c(c1)CCO2 ZINC000178315703 1043128073 /nfs/dbraw/zinc/12/80/73/1043128073.db2.gz CUKTYMYFKNGAAI-KBXCAEBGSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1c(Cl)cccc1CNCc1cccc2c1CCOC2 ZINC000865724314 1043128438 /nfs/dbraw/zinc/12/84/38/1043128438.db2.gz OYBMSPPYCXDECH-UHFFFAOYSA-N 0 3 301.817 4.011 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(OC)c(F)c1)CCC2 ZINC000178530410 1043131837 /nfs/dbraw/zinc/13/18/37/1043131837.db2.gz GKHVNTLDAVWNLW-ZDUSSCGKSA-N 0 3 320.433 4.020 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000178551937 1043132640 /nfs/dbraw/zinc/13/26/40/1043132640.db2.gz OAFHEMSSZBDGLQ-KXBFYZLASA-N 0 3 323.436 4.276 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000178551208 1043133101 /nfs/dbraw/zinc/13/31/01/1043133101.db2.gz FCDRCDONMQXJSS-VXGBXAGGSA-N 0 3 307.796 4.383 20 0 DIADHN C[C@@H](NCc1ccc([C@H](C)O)cc1)c1cccc(OC(F)F)c1 ZINC000178549012 1043133462 /nfs/dbraw/zinc/13/34/62/1043133462.db2.gz HLKGMORFHCWLEK-OLZOCXBDSA-N 0 3 321.367 4.192 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1ccc([C@H](C)O)cc1 ZINC000178545941 1043133593 /nfs/dbraw/zinc/13/35/93/1043133593.db2.gz BLHQZTUKMIVEMC-HNAYVOBHSA-N 0 3 311.425 4.052 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cc(-n3ccnc3)cs2)o1 ZINC000865814178 1043134810 /nfs/dbraw/zinc/13/48/10/1043134810.db2.gz BOPLQOHLGOGXDZ-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2cccc(O)c2)cc1 ZINC000178756829 1043137570 /nfs/dbraw/zinc/13/75/70/1043137570.db2.gz ILEICEPHKYFVQY-HUUCEWRRSA-N 0 3 321.424 4.298 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN[C@H](C)c1ccnn1C ZINC000865838442 1043139332 /nfs/dbraw/zinc/13/93/32/1043139332.db2.gz IZKIGEZRLFEOQN-LLVKDONJSA-N 0 3 319.861 4.447 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(Cl)nc1)c1ccco1 ZINC000179115608 1043140655 /nfs/dbraw/zinc/14/06/55/1043140655.db2.gz MACKPGUROOSSLQ-ZFWWWQNUSA-N 0 3 321.852 4.062 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(Cl)nc2)cc1 ZINC000179103060 1043141133 /nfs/dbraw/zinc/14/11/33/1043141133.db2.gz ZOEBVXVMUULRMU-CYBMUJFWSA-N 0 3 304.821 4.122 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(C(F)(F)F)cc1 ZINC000189260338 1043146031 /nfs/dbraw/zinc/14/60/31/1043146031.db2.gz UXNANKXERJJNAC-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000120863961 1043150753 /nfs/dbraw/zinc/15/07/53/1043150753.db2.gz RCTHKFNYKDJRHU-SWLSCSKDSA-N 0 3 317.408 4.180 20 0 DIADHN C[C@H](CN(C)Cc1cnc(C(C)(C)C)s1)c1nccs1 ZINC000183257880 1043158800 /nfs/dbraw/zinc/15/88/00/1043158800.db2.gz IYNPQAHCZYMKRQ-LLVKDONJSA-N 0 3 309.504 4.133 20 0 DIADHN C[C@H](CCc1cccnc1)N[C@@H](c1ccco1)c1ccccc1 ZINC000866219809 1043160529 /nfs/dbraw/zinc/16/05/29/1043160529.db2.gz YNUPQSJYAYXANI-OXQOHEQNSA-N 0 3 306.409 4.375 20 0 DIADHN CC(C)OCc1ccccc1CN[C@H](c1ccccn1)C(C)C ZINC000866241885 1043164133 /nfs/dbraw/zinc/16/41/33/1043164133.db2.gz HBFHEBIENNKZFV-FQEVSTJZSA-N 0 3 312.457 4.494 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000183517062 1043167145 /nfs/dbraw/zinc/16/71/45/1043167145.db2.gz KAAUUICFDFRDOA-YMRXKLBXSA-N 0 3 320.795 4.055 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(F)cc1F ZINC000120998846 1043168544 /nfs/dbraw/zinc/16/85/44/1043168544.db2.gz UNGXEYBIJRRHMP-FTLABTOESA-N 0 3 323.358 4.267 20 0 DIADHN CC(C)c1cc(CN[C@H](C)CCc2cncc3ccccc32)on1 ZINC000866267891 1043169518 /nfs/dbraw/zinc/16/95/18/1043169518.db2.gz ZOERQHIHQUOBNQ-OAHLLOKOSA-N 0 3 323.440 4.457 20 0 DIADHN COc1cc(CN[C@H](c2ccccn2)C(C)C)c2ccccc2n1 ZINC000866275055 1043170646 /nfs/dbraw/zinc/17/06/46/1043170646.db2.gz WSOCSUCLUMNYGA-FQEVSTJZSA-N 0 3 321.424 4.125 20 0 DIADHN c1cc2c(s1)CCC[C@H]2NCc1cnc(-c2ccccc2)[nH]1 ZINC000183788516 1043172936 /nfs/dbraw/zinc/17/29/36/1043172936.db2.gz ZPXOTWFJYULSNO-MRXNPFEDSA-N 0 3 309.438 4.305 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000163289508 1043174268 /nfs/dbraw/zinc/17/42/68/1043174268.db2.gz WMLFHTMSBSCCEE-DOMZBBRYSA-N 0 3 319.836 4.448 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000163374935 1043175332 /nfs/dbraw/zinc/17/53/32/1043175332.db2.gz CUWDHRYBOXJQJW-DOMZBBRYSA-N 0 3 319.836 4.448 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1ccc(C(F)F)cc1 ZINC000183984069 1043177000 /nfs/dbraw/zinc/17/70/00/1043177000.db2.gz PRPXQZLHIBWYMH-HNNXBMFYSA-N 0 3 305.372 4.301 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2C[C@@H]3OCCC[C@H]23)c1 ZINC000190343096 1043178526 /nfs/dbraw/zinc/17/85/26/1043178526.db2.gz OKMBMMGDJPAGCC-BNOWGMLFSA-N 0 3 319.754 4.016 20 0 DIADHN Cc1sc(NC(=O)CN2[C@H](C)C[C@@H]3CCCC[C@H]32)c(C)c1C ZINC000441489198 1043182023 /nfs/dbraw/zinc/18/20/23/1043182023.db2.gz SGSAGMRBPAVTBR-XFBWCDHKSA-N 0 3 320.502 4.265 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(Br)cc2)c1 ZINC000184322488 1043182283 /nfs/dbraw/zinc/18/22/83/1043182283.db2.gz PHLZZRUZCWXHAY-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H]1CCCc2nc(C)sc21 ZINC000866335436 1043182984 /nfs/dbraw/zinc/18/29/84/1043182984.db2.gz AGFRXEFIKJQPGS-NVXWUHKLSA-N 0 3 314.454 4.115 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(Br)cc2C)c1 ZINC000184402638 1043184403 /nfs/dbraw/zinc/18/44/03/1043184403.db2.gz HJIUBEDZCYWSCW-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](C)c2cccc(N3CCCC3)c2)c1 ZINC000184386074 1043185124 /nfs/dbraw/zinc/18/51/24/1043185124.db2.gz LXRZTEJGSATPAC-IAGOWNOFSA-N 0 3 309.457 4.402 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN([C@@H](C)c2cnccn2)CC1 ZINC000184463576 1043186668 /nfs/dbraw/zinc/18/66/68/1043186668.db2.gz NBTSQCMJXLOKBQ-HNNXBMFYSA-N 0 3 320.440 4.207 20 0 DIADHN CCC[C@@H](NCc1ccc(OC(F)F)cc1)c1cccnc1 ZINC000184877105 1043191125 /nfs/dbraw/zinc/19/11/25/1043191125.db2.gz IFCCKZGMYKCTJW-MRXNPFEDSA-N 0 3 306.356 4.314 20 0 DIADHN CCC(C)(C)OCCN[C@H](C)c1cc2c(ccnc2Cl)o1 ZINC000866369912 1043191591 /nfs/dbraw/zinc/19/15/91/1043191591.db2.gz QNGDCARBNOUTCX-LLVKDONJSA-N 0 3 310.825 4.337 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)N[C@@H](C)c1cscn1 ZINC000866388364 1043195190 /nfs/dbraw/zinc/19/51/90/1043195190.db2.gz IARJPPDOOPVJBA-KGLIPLIRSA-N 0 3 311.454 4.363 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc(OC(C)(C)C)cc2)cnn1C ZINC000185197345 1043196681 /nfs/dbraw/zinc/19/66/81/1043196681.db2.gz GGSFKLUNBBXBNQ-KGLIPLIRSA-N 0 3 315.461 4.318 20 0 DIADHN Fc1ccc([C@H](NCC[C@@H]2CCSC2)c2ccccc2)nc1 ZINC000866402116 1043197918 /nfs/dbraw/zinc/19/79/18/1043197918.db2.gz ICMDBEYYZOGNFP-RDTXWAMCSA-N 0 3 316.445 4.043 20 0 DIADHN CC(C)(C)OC1CCC(N[C@H]2CCCc3scnc32)CC1 ZINC000866450213 1043203034 /nfs/dbraw/zinc/20/30/34/1043203034.db2.gz DKGOEMYTESGNSD-RUXDESIVSA-N 0 3 308.491 4.236 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@H](C)N[C@@H]2CCCC[C@@H]2F)cc1 ZINC000866449846 1043203334 /nfs/dbraw/zinc/20/33/34/1043203334.db2.gz JKYGRJWBUATULA-RRQGHBQHSA-N 0 3 321.440 4.148 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)c2cnc(C)s2)cc1C ZINC000866456403 1043205427 /nfs/dbraw/zinc/20/54/27/1043205427.db2.gz YRJWJWBHFNUKIM-QWHCGFSZSA-N 0 3 304.459 4.489 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ccn(-c2ccc(F)cc2)n1 ZINC000441526986 1043209082 /nfs/dbraw/zinc/20/90/82/1043209082.db2.gz XHIXHLVIODDUHS-GOSISDBHSA-N 0 3 301.409 4.022 20 0 DIADHN COC(C)(C)CCN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000866505002 1043212296 /nfs/dbraw/zinc/21/22/96/1043212296.db2.gz RKTCOPAKFCOAIY-LJQANCHMSA-N 0 3 324.424 4.322 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCC23CCOCC3)cs1 ZINC000866529797 1043217397 /nfs/dbraw/zinc/21/73/97/1043217397.db2.gz SIERAOPDCHRMOY-KBPBESRZSA-N 0 3 308.491 4.124 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)c1ccc(-c2ccccc2)cc1 ZINC000520555837 1043220298 /nfs/dbraw/zinc/22/02/98/1043220298.db2.gz UGSSDFCDUMLFCU-UHFFFAOYSA-N 0 3 324.468 4.013 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)COC(C)(C)O3)cc1 ZINC000186367736 1043222054 /nfs/dbraw/zinc/22/20/54/1043222054.db2.gz BSHPARHYVQGORE-HNNXBMFYSA-N 0 3 311.425 4.491 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@H]2C[C@@H](c3ccccc3)C2(C)C)n1 ZINC000866566006 1043223686 /nfs/dbraw/zinc/22/36/86/1043223686.db2.gz WHDKVYDGROEPTL-HOCLYGCPSA-N 0 3 319.399 4.030 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2NCc2nn(C)cc2C(F)F)cc1 ZINC000866567207 1043224466 /nfs/dbraw/zinc/22/44/66/1043224466.db2.gz MMQBTFCNQRMXLW-ZBFHGGJFSA-N 0 3 319.399 4.092 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccccn1 ZINC000186607975 1043229215 /nfs/dbraw/zinc/22/92/15/1043229215.db2.gz OQHITAIOQMKUSS-LJQANCHMSA-N 0 3 312.457 4.186 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc(C(C)(C)O)cc2)s1 ZINC000186621511 1043231508 /nfs/dbraw/zinc/23/15/08/1043231508.db2.gz GVSKSYSBVABTCW-LBPRGKRZSA-N 0 3 318.486 4.092 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1cc(F)cc(F)c1 ZINC000186611842 1043232036 /nfs/dbraw/zinc/23/20/36/1043232036.db2.gz ARKXZGMDSLQJIQ-GFCCVEGCSA-N 0 3 305.368 4.043 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccnc(Cl)c1 ZINC000866607926 1043233057 /nfs/dbraw/zinc/23/30/57/1043233057.db2.gz WJDPBGARQHCVAA-MVWJERBFSA-N 0 3 304.743 4.283 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](C)c1cc2cnccc2o1 ZINC000866622003 1043237910 /nfs/dbraw/zinc/23/79/10/1043237910.db2.gz LAVHAFZCKXVIRO-SJCJKPOMSA-N 0 3 308.381 4.175 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H](C)c2cc3cnccc3o2)cc1 ZINC000866620336 1043238807 /nfs/dbraw/zinc/23/88/07/1043238807.db2.gz KQWMCWXYIUUXHP-STQMWFEESA-N 0 3 323.396 4.198 20 0 DIADHN CC[C@H](C[C@@H](C)O)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000866632915 1043241381 /nfs/dbraw/zinc/24/13/81/1043241381.db2.gz DHRGRFJLJSLMNJ-FRRDWIJNSA-N 0 3 322.449 4.149 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC000441647163 1043242893 /nfs/dbraw/zinc/24/28/93/1043242893.db2.gz NIGCWMOVFMCXLD-QGZVFWFLSA-N 0 3 316.489 4.451 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CCSc2cc(C)c(C)cc21 ZINC000187161906 1043243091 /nfs/dbraw/zinc/24/30/91/1043243091.db2.gz SKLBCOSFMBRHKA-CXAGYDPISA-N 0 3 315.486 4.233 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1cccc(CO)c1)CCS2 ZINC000187171018 1043243699 /nfs/dbraw/zinc/24/36/99/1043243699.db2.gz GZKYYDLLGNWVKL-SFHVURJKSA-N 0 3 313.466 4.122 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000441650859 1043243920 /nfs/dbraw/zinc/24/39/20/1043243920.db2.gz WAGZLGDKJNDYJU-BNOWGMLFSA-N 0 3 314.256 4.413 20 0 DIADHN CC[C@H](NCc1ccc(OCCOC)cc1)c1cccc(F)c1 ZINC000187765602 1043249947 /nfs/dbraw/zinc/24/99/47/1043249947.db2.gz OWNCTYBWXSPJAQ-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC[C@H](C(F)(F)F)C1(C)C ZINC000470001030 1043257369 /nfs/dbraw/zinc/25/73/69/1043257369.db2.gz WFPGURXAKCBFSZ-HNNXBMFYSA-N 0 3 323.362 4.101 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccnc(Cl)c1 ZINC000121934728 1043267236 /nfs/dbraw/zinc/26/72/36/1043267236.db2.gz FFPOSHKZSIATRA-NHYWBVRUSA-N 0 3 302.805 4.082 20 0 DIADHN CC1(C)[C@@H](N)c2ccccc2N1C(=O)c1sccc1C1CC1 ZINC000867990594 1043268566 /nfs/dbraw/zinc/26/85/66/1043268566.db2.gz FGWLBWGJJRVBTH-INIZCTEOSA-N 0 3 312.438 4.064 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C)c1ccnn1C ZINC000193009083 1043277293 /nfs/dbraw/zinc/27/72/93/1043277293.db2.gz SAMCXFOSHMIGPP-DJZPMSPPSA-N 0 3 303.837 4.121 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C)c1ccnn1C ZINC000193009103 1043277580 /nfs/dbraw/zinc/27/75/80/1043277580.db2.gz SAMCXFOSHMIGPP-ZILJWTLNSA-N 0 3 303.837 4.121 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C)c1ccnn1C ZINC000193009040 1043277646 /nfs/dbraw/zinc/27/76/46/1043277646.db2.gz SAMCXFOSHMIGPP-PZNPJNSTSA-N 0 3 303.837 4.121 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C)c1ccnn1C ZINC000193009052 1043277778 /nfs/dbraw/zinc/27/77/78/1043277778.db2.gz SAMCXFOSHMIGPP-WXRXAMBDSA-N 0 3 303.837 4.121 20 0 DIADHN Cc1cc(CN2CCC3(CC2)c2ccccc2N=C3C)sn1 ZINC000895129051 1043289539 /nfs/dbraw/zinc/28/95/39/1043289539.db2.gz IYNHJQFCKDZHRX-UHFFFAOYSA-N 0 3 311.454 4.091 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NCc1cccc(N)c1 ZINC000665234145 1043291826 /nfs/dbraw/zinc/29/18/26/1043291826.db2.gz YSSMHLHKRQFOMH-LJQANCHMSA-N 0 3 310.441 4.396 20 0 DIADHN COc1ccccc1[C@H](NCc1cccc(N)c1)C1CCCC1 ZINC000665233172 1043291871 /nfs/dbraw/zinc/29/18/71/1043291871.db2.gz AMDRDJXQSGEQKS-HXUWFJFHSA-N 0 3 310.441 4.299 20 0 DIADHN CC[C@H](NCc1cccc(N)c1)c1cc(Cl)ccc1OC ZINC000665233176 1043292258 /nfs/dbraw/zinc/29/22/58/1043292258.db2.gz AVODNOGLZHZUIR-INIZCTEOSA-N 0 3 304.821 4.172 20 0 DIADHN Nc1cccc(CN[C@@H]2CCSc3c(Cl)cccc32)c1 ZINC000665233258 1043292765 /nfs/dbraw/zinc/29/27/65/1043292765.db2.gz CMPCKZRVMXERST-OAHLLOKOSA-N 0 3 304.846 4.249 20 0 DIADHN C[C@H](NCc1cccc(N)c1)c1ccccc1OC(F)(F)F ZINC000665233629 1043293333 /nfs/dbraw/zinc/29/33/33/1043293333.db2.gz LVTJYBDCDKTNJX-NSHDSACASA-N 0 3 310.319 4.018 20 0 DIADHN C[C@H](CN(C)Cc1cnc(-c2ccco2)s1)c1nccs1 ZINC000194479904 1043293536 /nfs/dbraw/zinc/29/35/36/1043293536.db2.gz MKIOADIKCMEMGV-LLVKDONJSA-N 0 3 319.455 4.095 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cccc(N)c1)CCCO2 ZINC000665233622 1043293784 /nfs/dbraw/zinc/29/37/84/1043293784.db2.gz LTQNAAUUDRMAAZ-IBGZPJMESA-N 0 3 310.441 4.396 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cccc(N)c1)CCCO2 ZINC000665233623 1043293807 /nfs/dbraw/zinc/29/38/07/1043293807.db2.gz LTQNAAUUDRMAAZ-LJQANCHMSA-N 0 3 310.441 4.396 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccccc2SC)cc1OC ZINC000194831328 1043294344 /nfs/dbraw/zinc/29/43/44/1043294344.db2.gz OVCQFJBXEZSHKN-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc(NC(C)=O)cc1 ZINC000194838977 1043295293 /nfs/dbraw/zinc/29/52/93/1043295293.db2.gz NZTNFSLBNYFRLE-CYBMUJFWSA-N 0 3 314.454 4.218 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc(-n2cccn2)cc1)C(C)C ZINC001168011141 1043322358 /nfs/dbraw/zinc/32/23/58/1043322358.db2.gz VSMJBGUDSBMVBF-LJQANCHMSA-N 0 3 320.440 4.063 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccc(N2CCCCC2)nc1 ZINC000268697860 1043324217 /nfs/dbraw/zinc/32/42/17/1043324217.db2.gz AFHYDAZGQPTREI-QGZVFWFLSA-N 0 3 309.457 4.231 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@@H](C)c1ccc2c(c1)COC2 ZINC000268712672 1043325070 /nfs/dbraw/zinc/32/50/70/1043325070.db2.gz FMBYIHYOPGKTRU-GJZGRUSLSA-N 0 3 311.425 4.446 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCSC2(CCCCC2)C1 ZINC000428219483 1043331270 /nfs/dbraw/zinc/33/12/70/1043331270.db2.gz MQSWCQMGLFDZLW-UHFFFAOYSA-N 0 3 308.491 4.358 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cnn(Cc2ccccc2)c1 ZINC000268784042 1043331398 /nfs/dbraw/zinc/33/13/98/1043331398.db2.gz ZCANCESKHNTJKS-IIBYNOLFSA-N 0 3 317.436 4.270 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](C)c2cccc(OC)c2)s1 ZINC000268805141 1043333988 /nfs/dbraw/zinc/33/39/88/1043333988.db2.gz RMIXYDTZFWUAKQ-RYUDHWBXSA-N 0 3 304.459 4.434 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000268811108 1043334430 /nfs/dbraw/zinc/33/44/30/1043334430.db2.gz AXODWOSQSMUDQQ-VVBPWWLESA-N 0 3 315.482 4.453 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)COC2 ZINC000268810484 1043334658 /nfs/dbraw/zinc/33/46/58/1043334658.db2.gz GXFOEVHMLYORDD-LLVKDONJSA-N 0 3 305.780 4.360 20 0 DIADHN COc1cc2c(cc1CN[C@H](c1ccccc1)C1CC1)O[C@H](C)C2 ZINC000053953996 1043336218 /nfs/dbraw/zinc/33/62/18/1043336218.db2.gz BKCCCFRBKGWUKA-SPLOXXLWSA-N 0 3 323.436 4.260 20 0 DIADHN Cc1cc(CNc2cccc(CN3CCSCC3)c2)c(C)o1 ZINC000122904291 1043336822 /nfs/dbraw/zinc/33/68/22/1043336822.db2.gz ZRSYTGUQFWLAQR-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](C)c1cnn(C(C)C)c1 ZINC000268883445 1043340445 /nfs/dbraw/zinc/34/04/45/1043340445.db2.gz FRTMWKLJCYDRNF-KUHUBIRLSA-N 0 3 313.445 4.201 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@H](C)c2cncc(F)c2)c1C ZINC000268923585 1043343042 /nfs/dbraw/zinc/34/30/42/1043343042.db2.gz QSKKSPHXUCWPNF-CQSZACIVSA-N 0 3 311.404 4.478 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@@H](C)c2cnc(C)nc2C)c1C ZINC000268910738 1043343481 /nfs/dbraw/zinc/34/34/81/1043343481.db2.gz ODAJEDGHOJUSOH-AWEZNQCLSA-N 0 3 322.456 4.351 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](CCCO)c2ccccc2)s1 ZINC000268963882 1043346648 /nfs/dbraw/zinc/34/66/48/1043346648.db2.gz GKBSGYLANLQHEM-BBRMVZONSA-N 0 3 318.486 4.178 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)NCc1csc([C@H](C)OC)n1 ZINC000269037515 1043352415 /nfs/dbraw/zinc/35/24/15/1043352415.db2.gz FUKMLALAPDDXQM-GOEBONIOSA-N 0 3 318.486 4.270 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1ccc(F)cc1 ZINC000269036663 1043352463 /nfs/dbraw/zinc/35/24/63/1043352463.db2.gz SSMUGGKNEBLUQU-JCHSIQAQSA-N 0 3 319.445 4.365 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000269048734 1043354200 /nfs/dbraw/zinc/35/42/00/1043354200.db2.gz VKZPLIQLKAWKAO-TYPHKJRUSA-N 0 3 323.436 4.192 20 0 DIADHN CCCN(CC(=O)N1CCCC[C@@H]1C)[C@H](CC)c1ccccc1 ZINC000521193502 1043370340 /nfs/dbraw/zinc/37/03/40/1043370340.db2.gz GMIXXXXMWPWWHI-PKOBYXMFSA-N 0 3 316.489 4.251 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCOC(C)(C)C)CC2)c(C)c1 ZINC000521195051 1043372153 /nfs/dbraw/zinc/37/21/53/1043372153.db2.gz SLEZLMTVPZSLBA-UHFFFAOYSA-N 0 3 317.473 4.013 20 0 DIADHN COc1ccc(CN(Cc2ccco2)C2CCCC2)cc1F ZINC000521184498 1043372318 /nfs/dbraw/zinc/37/23/18/1043372318.db2.gz YFFZAFVCBKAKEW-UHFFFAOYSA-N 0 3 303.377 4.372 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)NCc1ccc(C)cc1 ZINC000521197635 1043374504 /nfs/dbraw/zinc/37/45/04/1043374504.db2.gz VHJOCZLZIOWIKU-FQEVSTJZSA-N 0 3 324.468 4.084 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N1CCCC[C@@H]1CC ZINC000521198047 1043375161 /nfs/dbraw/zinc/37/51/61/1043375161.db2.gz AGVZKRMQPUGKHD-OALUTQOASA-N 0 3 316.489 4.251 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)c(F)c1)c1cccs1 ZINC000270009795 1043378323 /nfs/dbraw/zinc/37/83/23/1043378323.db2.gz UCVRDFGVGIQLRY-SECBINFHSA-N 0 3 301.333 4.339 20 0 DIADHN C[C@@H](N[C@H]1CCSc2c(F)cccc21)c1cccc(CO)c1 ZINC000270433229 1043386981 /nfs/dbraw/zinc/38/69/81/1043386981.db2.gz IPFJCOIRQWAHCD-PXAZEXFGSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000521349167 1043388645 /nfs/dbraw/zinc/38/86/45/1043388645.db2.gz FRNOXZVPIAYVJM-SUMWQHHRSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@H](C3CCC3)C2)c(C)c1 ZINC000665286027 1043389258 /nfs/dbraw/zinc/38/92/58/1043389258.db2.gz CNWDKYGQLRZUOS-AEFFLSMTSA-N 0 3 314.473 4.061 20 0 DIADHN CCc1nc(CN(CCc2ccccc2)CC(C)C)cs1 ZINC000521372749 1043390858 /nfs/dbraw/zinc/39/08/58/1043390858.db2.gz JWEDDAMBSSKFJO-UHFFFAOYSA-N 0 3 302.487 4.406 20 0 DIADHN C[C@@H](NCc1cccc(C(F)(F)F)c1)c1cccc(CO)c1 ZINC000270539948 1043391171 /nfs/dbraw/zinc/39/11/71/1043391171.db2.gz XELWBVSQZOWZMI-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)C[C@@H](O)c2cccs2)c(F)c1 ZINC000270642995 1043392851 /nfs/dbraw/zinc/39/28/51/1043392851.db2.gz OYXQPWUTZAWCLS-MQIPJXDCSA-N 0 3 323.433 4.059 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3cc(OC)ccc3o2)cc1 ZINC000270658386 1043393712 /nfs/dbraw/zinc/39/37/12/1043393712.db2.gz SLWJITYGAIRMFO-CYBMUJFWSA-N 0 3 311.381 4.301 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000270686734 1043395667 /nfs/dbraw/zinc/39/56/67/1043395667.db2.gz UWFLLQVJFSSEJG-NEBZKDRISA-N 0 3 305.443 4.066 20 0 DIADHN CC[C@H](NCCn1cnc2ccccc21)c1ccc(F)cc1F ZINC000346789053 1043396027 /nfs/dbraw/zinc/39/60/27/1043396027.db2.gz CVLPCELIRBJZQG-INIZCTEOSA-N 0 3 315.367 4.055 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cccc(C(=O)N(C)C)c1 ZINC000271138546 1043403796 /nfs/dbraw/zinc/40/37/96/1043403796.db2.gz NTYMWVXHJJBDQD-DNVCBOLYSA-N 0 3 322.452 4.035 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@@H](C)c1cscn1 ZINC000271124044 1043404685 /nfs/dbraw/zinc/40/46/85/1043404685.db2.gz KFHURIYJCMOPHR-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN CCC1(O)CCC(N[C@@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000925295038 1043407409 /nfs/dbraw/zinc/40/74/09/1043407409.db2.gz ILDBIPBKQQUIIZ-CKHPTIKVSA-N 0 3 324.468 4.153 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCO[C@@H](C(C)C)C2)c2ccccn2)c1 ZINC000925300310 1043407651 /nfs/dbraw/zinc/40/76/51/1043407651.db2.gz KKFFMXHDMVUOMH-NRSPTQNISA-N 0 3 324.468 4.273 20 0 DIADHN CCc1ccc([C@H](NCCc2cscn2)c2cccnc2)cc1 ZINC000925285368 1043407988 /nfs/dbraw/zinc/40/79/88/1043407988.db2.gz IUNZMGHXAZAXGM-IBGZPJMESA-N 0 3 323.465 4.022 20 0 DIADHN Clc1cccc(CN2CCCC[C@H]2CNc2ccccn2)c1 ZINC000557876570 1043408708 /nfs/dbraw/zinc/40/87/08/1043408708.db2.gz UCQUAIQNXCOGQF-KRWDZBQOSA-N 0 3 315.848 4.202 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000871870121 1043411482 /nfs/dbraw/zinc/41/14/82/1043411482.db2.gz IGOLLWUDENLRNC-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H](CC1CCCC1)NCc1nccn1CCc1ccccc1 ZINC000442772837 1043414088 /nfs/dbraw/zinc/41/40/88/1043414088.db2.gz RZRCWHLKYKBMTO-KRWDZBQOSA-N 0 3 311.473 4.184 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C)s1 ZINC000271430211 1043416062 /nfs/dbraw/zinc/41/60/62/1043416062.db2.gz NWZLLGJHFUMPOD-CVEARBPZSA-N 0 3 301.459 4.005 20 0 DIADHN CCCCCOc1ccc([C@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000271414729 1043417598 /nfs/dbraw/zinc/41/75/98/1043417598.db2.gz BDJZAXDIZICVAS-LSDHHAIUSA-N 0 3 301.434 4.391 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1cc(Cl)ccc1Cl ZINC000271446017 1043418572 /nfs/dbraw/zinc/41/85/72/1043418572.db2.gz CYGGKSIFRDVDEU-YMTOWFKASA-N 0 3 310.228 4.445 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C)c1ccnnc1 ZINC000925469759 1043421480 /nfs/dbraw/zinc/42/14/80/1043421480.db2.gz FPDVWVJLASTHFN-CZAMWMTLSA-N 0 3 301.821 4.178 20 0 DIADHN CC[C@@H](N[C@@H]1CCCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000442789327 1043423380 /nfs/dbraw/zinc/42/33/80/1043423380.db2.gz YWGRFHIWEAEFPU-RTBURBONSA-N 0 3 314.473 4.051 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000271492066 1043427354 /nfs/dbraw/zinc/42/73/54/1043427354.db2.gz ICYIPWFUAOOJJH-BXUZGUMPSA-N 0 3 305.809 4.153 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccc(N3CCC3=O)c2)cc1 ZINC000442814335 1043428383 /nfs/dbraw/zinc/42/83/83/1043428383.db2.gz HRUNNWNCGHTGRR-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@H](N[C@H]1CCCc2nc(-c3ccccc3)sc21)c1cn[nH]c1 ZINC000271509704 1043429150 /nfs/dbraw/zinc/42/91/50/1043429150.db2.gz NARXSJONAVTHGM-WFASDCNBSA-N 0 3 324.453 4.261 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H](C)c2cccc3ccccc32)n[nH]1 ZINC000271523365 1043430118 /nfs/dbraw/zinc/43/01/18/1043430118.db2.gz OTOPBWKLHKABFV-ZIAGYGMSSA-N 0 3 308.429 4.493 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCOC3(CCCC3)C2)on1 ZINC000872038505 1043430885 /nfs/dbraw/zinc/43/08/85/1043430885.db2.gz LUVQOGKIQBHGAL-OAHLLOKOSA-N 0 3 306.450 4.160 20 0 DIADHN CC(C)[C@H](C[NH2+][C@H](C)c1cccc([O-])c1F)c1cccnc1 ZINC000872041981 1043433362 /nfs/dbraw/zinc/43/33/62/1043433362.db2.gz LTUSZELENJDDEZ-CJNGLKHVSA-N 0 3 302.393 4.017 20 0 DIADHN CC(C)[C@H](C[NH2+][C@@H](C)c1cccc([O-])c1F)c1cccnc1 ZINC000872041980 1043433490 /nfs/dbraw/zinc/43/34/90/1043433490.db2.gz LTUSZELENJDDEZ-BBRMVZONSA-N 0 3 302.393 4.017 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)s1 ZINC000271537281 1043434805 /nfs/dbraw/zinc/43/48/05/1043434805.db2.gz QLKJKTUUGNSGNQ-BYVOGVQKSA-N 0 3 321.511 4.460 20 0 DIADHN C[C@H]([NH2+][C@H]1CCOC2(CCCCC2)C1)c1cccc([O-])c1F ZINC000872049014 1043434883 /nfs/dbraw/zinc/43/48/83/1043434883.db2.gz ODERCVDKNMSWDS-KBPBESRZSA-N 0 3 307.409 4.064 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000925603138 1043435995 /nfs/dbraw/zinc/43/59/95/1043435995.db2.gz IBKFYSDGLXTLKG-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN CCOCCC1(CN[C@@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000925599142 1043436649 /nfs/dbraw/zinc/43/66/49/1043436649.db2.gz QGGHHWGRIGJDQF-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2c2c(C)n[nH]c2C)cc1 ZINC000271549976 1043436885 /nfs/dbraw/zinc/43/68/85/1043436885.db2.gz LFTIVIFAGQDEEF-INIZCTEOSA-N 0 3 301.459 4.086 20 0 DIADHN Clc1cccc(OCCCN2CCC[C@H]2c2cccnc2)c1 ZINC000558095408 1043438198 /nfs/dbraw/zinc/43/81/98/1043438198.db2.gz SRCPVICKGXKDPK-SFHVURJKSA-N 0 3 316.832 4.341 20 0 DIADHN C[C@H](NCCC[C@H]1CCOC1=O)c1cc(Cl)sc1Cl ZINC000872112258 1043462907 /nfs/dbraw/zinc/46/29/07/1043462907.db2.gz HYAPAJCAPPYHRN-IUCAKERBSA-N 0 3 322.257 4.049 20 0 DIADHN C[C@@H](NCCCCOc1ccc(Cl)cc1)c1nccs1 ZINC000271577694 1043438548 /nfs/dbraw/zinc/43/85/48/1043438548.db2.gz QXJHGUNEWFDQQK-GFCCVEGCSA-N 0 3 310.850 4.306 20 0 DIADHN OC1(CCN[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)CC1 ZINC000442864441 1043438904 /nfs/dbraw/zinc/43/89/04/1043438904.db2.gz ZOFUXXDDQSNBQE-QGZVFWFLSA-N 0 3 319.807 4.073 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1cc2ccccc2[nH]1 ZINC000925633285 1043440273 /nfs/dbraw/zinc/44/02/73/1043440273.db2.gz DAUJCMLNZTZCEC-VLIAUNLRSA-N 0 3 305.425 4.005 20 0 DIADHN COCCC[C@@H](C)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000558122029 1043442133 /nfs/dbraw/zinc/44/21/33/1043442133.db2.gz WDEXPZGFJALEDQ-LLVKDONJSA-N 0 3 302.245 4.387 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](C)c1cccc(N2CCCC2)c1 ZINC000271624637 1043444352 /nfs/dbraw/zinc/44/43/52/1043444352.db2.gz ZBMFYBNITCKOCU-IRXDYDNUSA-N 0 3 309.457 4.402 20 0 DIADHN Cc1cncc(CN2CCC(c3ccc(Cl)cc3)CC2)c1 ZINC000442886220 1043447369 /nfs/dbraw/zinc/44/73/69/1043447369.db2.gz OITCBIFSQJAUFQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CN(Cc1coc(-c2ccc(F)cc2)n1)C1CCC(F)(F)CC1 ZINC000558176533 1043452389 /nfs/dbraw/zinc/45/23/89/1043452389.db2.gz PMVMQYXOGGAPFY-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN C[C@@H]([NH2+][C@@H]1CCO[C@@H](c2cccs2)C1)c1cccc([O-])c1F ZINC000872079671 1043452645 /nfs/dbraw/zinc/45/26/45/1043452645.db2.gz ZXVIZXNJNSKQCJ-LALPHHSUSA-N 0 3 321.417 4.164 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1ccc(C)nc1 ZINC000348704755 1043461445 /nfs/dbraw/zinc/46/14/45/1043461445.db2.gz FEPIAELNJXVAAG-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN Clc1cnc(CN[C@@H]2CCC[C@H](c3cccnc3)C2)s1 ZINC000872112049 1043462966 /nfs/dbraw/zinc/46/29/66/1043462966.db2.gz HEPOPSAEKXGRTD-WCQYABFASA-N 0 3 307.850 4.008 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3oc(=O)[nH]c3c2)cc(C)c1F ZINC000872123964 1043468370 /nfs/dbraw/zinc/46/83/70/1043468370.db2.gz YNKSUHQJCKNMFT-LBPRGKRZSA-N 0 3 314.360 4.140 20 0 DIADHN CC(C)[C@@H](NCc1cc(N)ccn1)c1ccc(Cl)c(Cl)c1 ZINC000925773201 1043469007 /nfs/dbraw/zinc/46/90/07/1043469007.db2.gz ZXOGFNCECFUBRH-MRXNPFEDSA-N 0 3 324.255 4.458 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@@H]2CC[C@@](C)(c3ccccc3)C2)n1 ZINC000348815558 1043469781 /nfs/dbraw/zinc/46/97/81/1043469781.db2.gz KBJMQLKCTIGWSV-ZIFCJYIRSA-N 0 3 311.429 4.025 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(C(F)(F)F)nc1)c1ccncc1 ZINC000872129456 1043471505 /nfs/dbraw/zinc/47/15/05/1043471505.db2.gz BZFXAHBUCQMEKU-BXUZGUMPSA-N 0 3 309.335 4.297 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000558254178 1043472789 /nfs/dbraw/zinc/47/27/89/1043472789.db2.gz AXGNTESZLJPHHN-CXAGYDPISA-N 0 3 320.477 4.403 20 0 DIADHN CCSc1cccc(CN2CCC=C(c3ccncc3)C2)c1 ZINC000348835816 1043472865 /nfs/dbraw/zinc/47/28/65/1043472865.db2.gz UVESYNFFBKSDBB-UHFFFAOYSA-N 0 3 310.466 4.483 20 0 DIADHN C[C@@H](NC1CC(Nc2ccccc2)C1)c1ccncc1Cl ZINC000872132173 1043475920 /nfs/dbraw/zinc/47/59/20/1043475920.db2.gz CQFCDMRYKSKFSB-LRVUVFPRSA-N 0 3 301.821 4.029 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H](C)c1cnccc1N ZINC000925831087 1043480540 /nfs/dbraw/zinc/48/05/40/1043480540.db2.gz LWDHCGUOGYFHRA-CQSZACIVSA-N 0 3 305.425 4.061 20 0 DIADHN C[C@H](c1nc(-c2cccc(Cl)c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000443087439 1043482831 /nfs/dbraw/zinc/48/28/31/1043482831.db2.gz JRXZDPPETYJARF-GRYCIOLGSA-N 0 3 305.809 4.324 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@H]3c3cccnc3)ccc2n1 ZINC000348934018 1043483469 /nfs/dbraw/zinc/48/34/69/1043483469.db2.gz LEZHQLYFYRZTFA-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000777578002 1043484950 /nfs/dbraw/zinc/48/49/50/1043484950.db2.gz GIVZZWHNKWMAQR-RHSMWYFYSA-N 0 3 318.424 4.118 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1nnc(-c2ccccc2)[nH]1 ZINC000777578002 1043484957 /nfs/dbraw/zinc/48/49/57/1043484957.db2.gz GIVZZWHNKWMAQR-RHSMWYFYSA-N 0 3 318.424 4.118 20 0 DIADHN CCCN(CC(=O)N(C(C)C)C(C)C)[C@H]1CCc2ccccc21 ZINC000521819064 1043485101 /nfs/dbraw/zinc/48/51/01/1043485101.db2.gz SBCIENXDEBGDCX-IBGZPJMESA-N 0 3 316.489 4.031 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@@H](OC2CCC2)CC1 ZINC000872261479 1043486498 /nfs/dbraw/zinc/48/64/98/1043486498.db2.gz FUVBVPMSUVYEKW-MRXNPFEDSA-N 0 3 320.502 4.334 20 0 DIADHN CCn1ncc2c1CCC[C@H]2N[C@H](C)c1coc2ccccc12 ZINC000925887030 1043489042 /nfs/dbraw/zinc/48/90/42/1043489042.db2.gz PTHKYBUEIGOUQS-CXAGYDPISA-N 0 3 309.413 4.377 20 0 DIADHN CCn1ncc2c1CCC[C@@H]2N[C@@H](C)c1coc2ccccc12 ZINC000925887032 1043489362 /nfs/dbraw/zinc/48/93/62/1043489362.db2.gz PTHKYBUEIGOUQS-GUYCJALGSA-N 0 3 309.413 4.377 20 0 DIADHN CCn1ncc2c1CCC[C@H]2N[C@@H](C)c1cc2ccccc2[nH]1 ZINC000925886924 1043489510 /nfs/dbraw/zinc/48/95/10/1043489510.db2.gz NGOFZFMKECIYEO-SUMWQHHRSA-N 0 3 308.429 4.113 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@@H](OC2CCC2)CC1 ZINC000872280797 1043491088 /nfs/dbraw/zinc/49/10/88/1043491088.db2.gz PLDAXQOKMGIYML-GOEBONIOSA-N 0 3 314.429 4.312 20 0 DIADHN Cc1nc(C)c(CN2CCCCC[C@@H]2c2c(C)n[nH]c2C)s1 ZINC000349149097 1043493293 /nfs/dbraw/zinc/49/32/93/1043493293.db2.gz LCMXJTGWOQQWHE-OAHLLOKOSA-N 0 3 318.490 4.217 20 0 DIADHN Cc1ccc2cc(CN(Cc3cccnc3)C(C)C)ccc2n1 ZINC000349274496 1043499958 /nfs/dbraw/zinc/49/99/58/1043499958.db2.gz FVTQYJYDRBARSC-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN COc1ccc2oc(CN(Cc3cccnc3)C(C)C)cc2c1 ZINC000349252913 1043500411 /nfs/dbraw/zinc/50/04/11/1043500411.db2.gz VOOZZODRDXETSV-UHFFFAOYSA-N 0 3 310.397 4.247 20 0 DIADHN CC(C)[C@H](c1ccccc1Cl)N(C)Cc1ncccc1O ZINC000558402087 1043500804 /nfs/dbraw/zinc/50/08/04/1043500804.db2.gz ZLVQHTGADVDBHK-QGZVFWFLSA-N 0 3 304.821 4.270 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](C4CC4)C3)o2)cc1C ZINC000367027799 1043505767 /nfs/dbraw/zinc/50/57/67/1043505767.db2.gz HBEDPEFFBIMNAV-YOEHRIQHSA-N 0 3 311.429 4.146 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cc2cc(OC)ccc2o1 ZINC000558425088 1043509320 /nfs/dbraw/zinc/50/93/20/1043509320.db2.gz PJHCGEMGTMCPJS-SFHVURJKSA-N 0 3 310.397 4.420 20 0 DIADHN CCOc1cc(CN(C)Cc2sccc2C)ccc1OC ZINC000349524050 1043510300 /nfs/dbraw/zinc/51/03/00/1043510300.db2.gz QEDUTPBELXVWKZ-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN Cc1ccc(F)cc1-c1noc([C@H](C)N2[C@H](C)CC[C@H]2C)n1 ZINC000443209625 1043511855 /nfs/dbraw/zinc/51/18/55/1043511855.db2.gz GDTJPZNSEPBNMI-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN Cc1ccc2c(c1C)OC[C@H]2NCc1cccc2ncccc12 ZINC000873044980 1043512515 /nfs/dbraw/zinc/51/25/15/1043512515.db2.gz CUSHKXVVQGEXPP-LJQANCHMSA-N 0 3 304.393 4.075 20 0 DIADHN CC(C)c1ccc(C(=O)NC[C@@H](C)N(C)Cc2ccccc2)cc1 ZINC000521956207 1043515020 /nfs/dbraw/zinc/51/50/20/1043515020.db2.gz LSEPYXKWRDWGHG-QGZVFWFLSA-N 0 3 324.468 4.060 20 0 DIADHN CCCCCn1cc(CNCc2cccc3ncccc23)c(C)n1 ZINC000873099799 1043515761 /nfs/dbraw/zinc/51/57/61/1043515761.db2.gz RCAKOZNEEGSJDA-UHFFFAOYSA-N 0 3 322.456 4.220 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2ccc(N3CCCC3)o2)C1 ZINC001137068038 1043518027 /nfs/dbraw/zinc/51/80/27/1043518027.db2.gz SKECXNVSCVMIKK-HNNXBMFYSA-N 0 3 314.404 4.008 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000558478769 1043518321 /nfs/dbraw/zinc/51/83/21/1043518321.db2.gz ZTMOOFYQNUJFAI-KURKYZTESA-N 0 3 303.421 4.310 20 0 DIADHN COCC(C)(C)CCNCc1csc(-c2cccs2)n1 ZINC000873152942 1043518834 /nfs/dbraw/zinc/51/88/34/1043518834.db2.gz ODTODHNUVGVUPI-UHFFFAOYSA-N 0 3 310.488 4.024 20 0 DIADHN Fc1cccc(OCCNCc2cscc2C(F)(F)F)c1 ZINC000558490764 1043520460 /nfs/dbraw/zinc/52/04/60/1043520460.db2.gz GJPARTCBWODROW-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N(C)C[C@@H]2CC=CCC2)cc1 ZINC000522030349 1043526605 /nfs/dbraw/zinc/52/66/05/1043526605.db2.gz FGPSHCMZZURLDC-LSDHHAIUSA-N 0 3 318.486 4.024 20 0 DIADHN CSC1(CNCc2c(Cl)cc(Cl)cc2N(C)C)CC1 ZINC000522038564 1043526721 /nfs/dbraw/zinc/52/67/21/1043526721.db2.gz ZGBKCSOJKVYJQG-UHFFFAOYSA-N 0 3 319.301 4.045 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)s1 ZINC000873186731 1043527013 /nfs/dbraw/zinc/52/70/13/1043527013.db2.gz WUQDFUZWXHBVBI-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2cc(N)cc(F)c2)cc1 ZINC000873287435 1043531557 /nfs/dbraw/zinc/53/15/57/1043531557.db2.gz FBOMLSBCDKHQDF-CYBMUJFWSA-N 0 3 302.393 4.046 20 0 DIADHN C[C@@H](NCc1cc(N)cc(F)c1)c1cccc(C(F)(F)F)c1 ZINC000873288247 1043532328 /nfs/dbraw/zinc/53/23/28/1043532328.db2.gz ZGFWORQJZHNKEU-SNVBAGLBSA-N 0 3 312.310 4.278 20 0 DIADHN CCc1ccc(CN[C@H]2C[C@@H](OCc3ccccc3)C2(C)C)nc1 ZINC000717975843 1043535135 /nfs/dbraw/zinc/53/51/35/1043535135.db2.gz SCTISINBEDZIMU-VQTJNVASSA-N 0 3 324.468 4.118 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc(C)s2)cc1OC ZINC000349967247 1043535607 /nfs/dbraw/zinc/53/56/07/1043535607.db2.gz SKWDRSZYSBSHFL-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cc(-c2ccccc2)no1)c1nccs1 ZINC000926159768 1043536106 /nfs/dbraw/zinc/53/61/06/1043536106.db2.gz FCVXISWIKBDYBC-STQMWFEESA-N 0 3 313.426 4.252 20 0 DIADHN C[C@@H]1c2ccsc2CCN1Cc1cccc(-n2cccn2)c1 ZINC000350035761 1043537466 /nfs/dbraw/zinc/53/74/66/1043537466.db2.gz NXTRVXQDRZXQMF-CQSZACIVSA-N 0 3 309.438 4.053 20 0 DIADHN C[C@H]1c2ccsc2CCN1Cc1cccc(-n2cccn2)c1 ZINC000350035760 1043537484 /nfs/dbraw/zinc/53/74/84/1043537484.db2.gz NXTRVXQDRZXQMF-AWEZNQCLSA-N 0 3 309.438 4.053 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCO[C@H](C3CC3)C2)c2ccccn2)c1 ZINC000926182929 1043540285 /nfs/dbraw/zinc/54/02/85/1043540285.db2.gz LCHCJZSTBWBTFZ-JBACZVJFSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H]([NH2+][C@H]1CCO[C@@H](c2ccccc2)C1)c1cc([O-])cc(F)c1 ZINC000926179856 1043540342 /nfs/dbraw/zinc/54/03/42/1043540342.db2.gz HCSXGMRBOMYOBU-SOVGHPHASA-N 0 3 315.388 4.102 20 0 DIADHN Clc1cccc([C@@H](NC2CCSCC2)c2ccccn2)c1 ZINC000124531015 1043540411 /nfs/dbraw/zinc/54/04/11/1043540411.db2.gz PSQFZBNYWLARPL-QGZVFWFLSA-N 0 3 318.873 4.310 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1C(C)=CCC[C@H]1C ZINC000443407979 1043541908 /nfs/dbraw/zinc/54/19/08/1043541908.db2.gz JIDLMWFRCQYWBV-CRAIPNDOSA-N 0 3 314.473 4.166 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccnn1C1CCC1 ZINC000926187433 1043543277 /nfs/dbraw/zinc/54/32/77/1043543277.db2.gz CMWQXAKXBHRMGV-WFASDCNBSA-N 0 3 301.459 4.398 20 0 DIADHN Fc1c(F)c(F)c(CN[C@@H]2CC3CCC2CC3)c(F)c1F ZINC001307794908 1043545316 /nfs/dbraw/zinc/54/53/16/1043545316.db2.gz ZAQIEPBBYXUUKG-SFVIPPHHSA-N 0 3 305.290 4.050 20 0 DIADHN Fc1ccc(CCN2CCC(c3ccncc3)CC2)c(Cl)c1 ZINC000558572138 1043545589 /nfs/dbraw/zinc/54/55/89/1043545589.db2.gz ZDILGSOVICLDSR-UHFFFAOYSA-N 0 3 318.823 4.296 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H](c1ccccc1)C(F)(F)F ZINC000926217237 1043547944 /nfs/dbraw/zinc/54/79/44/1043547944.db2.gz QOWICRNIWQRKHZ-HZMBPMFUSA-N 0 3 312.335 4.288 20 0 DIADHN CCc1ccc([C@H](NC[C@@H]2CCC=CO2)c2cccnc2)cc1 ZINC000926232087 1043550407 /nfs/dbraw/zinc/55/04/07/1043550407.db2.gz QSWFELRTVIOBQE-PMACEKPBSA-N 0 3 308.425 4.016 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1cnn(C2CCC2)c1 ZINC000926236847 1043552597 /nfs/dbraw/zinc/55/25/97/1043552597.db2.gz QATXDYIRCXTWPV-BXUZGUMPSA-N 0 3 303.372 4.236 20 0 DIADHN Cc1sccc1CN1CCC(Oc2ccc(F)cc2)CC1 ZINC000350826106 1043553247 /nfs/dbraw/zinc/55/32/47/1043553247.db2.gz BHAYEFYFBLJRAS-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccnn1C1CCC1)c1ccccc1 ZINC000926301021 1043559611 /nfs/dbraw/zinc/55/96/11/1043559611.db2.gz YYWCJBJDXUOCNQ-HNAYVOBHSA-N 0 3 313.445 4.037 20 0 DIADHN Cc1cc(F)cc(CN2CCC[C@@H](Sc3ccncc3)C2)c1 ZINC001143590522 1043559953 /nfs/dbraw/zinc/55/99/53/1043559953.db2.gz XMLNGPRXIIROEX-GOSISDBHSA-N 0 3 316.445 4.286 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2cc(O)cc(F)c2)cc1 ZINC000926288996 1043559956 /nfs/dbraw/zinc/55/99/56/1043559956.db2.gz VUKTXWFAOWVQGZ-ZDUSSCGKSA-N 0 3 302.393 4.204 20 0 DIADHN C[C@@H](NC1C[C@H]2CC[C@@H](C1)S2)c1nc(C(F)(F)F)cs1 ZINC000926318436 1043561730 /nfs/dbraw/zinc/56/17/30/1043561730.db2.gz XZAXKLPDXSGVOP-GQDPBHBBSA-N 0 3 322.421 4.239 20 0 DIADHN Cc1ccc([C@@H](NC2CC3(C2)CO[C@H](C)C3)c2ccccn2)cc1 ZINC000926331692 1043563419 /nfs/dbraw/zinc/56/34/19/1043563419.db2.gz OAJQOBPCHOEXNP-CNQIAFTFSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cn(C)cn1 ZINC000926340983 1043565743 /nfs/dbraw/zinc/56/57/43/1043565743.db2.gz BCEYRRKRMYCLPA-UXIGCNINSA-N 0 3 323.362 4.036 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cn(C)cn1 ZINC000926354534 1043569681 /nfs/dbraw/zinc/56/96/81/1043569681.db2.gz UXVQZSXJCURQOL-DRZSPHRISA-N 0 3 324.255 4.324 20 0 DIADHN Cc1cccc([C@H](NC[C@H]2CCCSC2)c2ccccn2)c1 ZINC000926431626 1043576102 /nfs/dbraw/zinc/57/61/02/1043576102.db2.gz PPQRJLDYNKNBQP-APWZRJJASA-N 0 3 312.482 4.212 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cnn(C(C)C)c2)CC1 ZINC001137077951 1043576096 /nfs/dbraw/zinc/57/60/96/1043576096.db2.gz QDQDJSWTQGIJGC-UHFFFAOYSA-N 0 3 323.484 4.252 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1cc(-c2ccccc2)no1 ZINC000926437080 1043577626 /nfs/dbraw/zinc/57/76/26/1043577626.db2.gz RUGQRHYIGOGULE-AVYPCKFXSA-N 0 3 322.408 4.114 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H](C)C2CCCCC2)c(C)c1 ZINC000558733552 1043583409 /nfs/dbraw/zinc/58/34/09/1043583409.db2.gz GKWSLJGMWCLKLK-QGZVFWFLSA-N 0 3 316.489 4.451 20 0 DIADHN COCC1(CCN[C@@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000926482283 1043584160 /nfs/dbraw/zinc/58/41/60/1043584160.db2.gz LEYHBBAAXLGQNB-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN COc1cc(C)c(CNCc2ccc3[nH]c(C)cc3c2)cc1OC ZINC000353124779 1043586062 /nfs/dbraw/zinc/58/60/62/1043586062.db2.gz KGJBUCHHCSIMNY-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN c1cc(C2=CCCN(C[C@H]3CCC4(CCCCC4)O3)C2)ccn1 ZINC000353170351 1043587538 /nfs/dbraw/zinc/58/75/38/1043587538.db2.gz GLSJEXGWXGMIRI-LJQANCHMSA-N 0 3 312.457 4.053 20 0 DIADHN CC(C)n1cc(CN2CCC(n3ccc4ccccc43)CC2)cn1 ZINC001137080831 1043588221 /nfs/dbraw/zinc/58/82/21/1043588221.db2.gz VIBGLEHEKKLEJK-UHFFFAOYSA-N 0 3 322.456 4.256 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H]2CCC[C@@H]2c2ccccc2)nn1 ZINC000926499759 1043588716 /nfs/dbraw/zinc/58/87/16/1043588716.db2.gz CCGDYDGJDFMKJD-CGTJXYLNSA-N 0 3 312.461 4.094 20 0 DIADHN FC1(F)CCCC[C@H]1CN[C@@H]1CCc2c1nccc2Cl ZINC000926500530 1043589940 /nfs/dbraw/zinc/58/99/40/1043589940.db2.gz DPPCULMLLJYBQV-GXFFZTMASA-N 0 3 300.780 4.137 20 0 DIADHN CCC1(CC)CCC(NCc2nccn2CC(F)(F)F)CC1 ZINC000353208160 1043589952 /nfs/dbraw/zinc/58/99/52/1043589952.db2.gz KEWFSTSKYLJFSD-UHFFFAOYSA-N 0 3 317.399 4.284 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1cc(-c2ccccc2)no1 ZINC000926526422 1043593089 /nfs/dbraw/zinc/59/30/89/1043593089.db2.gz ZTOMPVWKOGYXOW-JSGCOSHPSA-N 0 3 306.356 4.428 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@@H](c2cccs2)C1)c1cc([O-])cc(F)c1 ZINC000926536617 1043596446 /nfs/dbraw/zinc/59/64/46/1043596446.db2.gz FPRQFAHPGMDSAS-SGIREYDYSA-N 0 3 321.417 4.164 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2cc3ccccc3c(OC)c2)cn1 ZINC000926544018 1043596636 /nfs/dbraw/zinc/59/66/36/1043596636.db2.gz UBQFWYJQRCYELZ-LSDHHAIUSA-N 0 3 323.440 4.477 20 0 DIADHN C[C@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccnn1C1CCC1 ZINC000926538441 1043596957 /nfs/dbraw/zinc/59/69/57/1043596957.db2.gz FBROJBAGYDMUJW-MAUKXSAKSA-N 0 3 324.472 4.230 20 0 DIADHN Cc1ccc(CCCN(Cc2ccc(F)cc2F)C2CC2)cn1 ZINC000474097013 1043600686 /nfs/dbraw/zinc/60/06/86/1043600686.db2.gz KSVWRFSTDHZAFI-UHFFFAOYSA-N 0 3 316.395 4.265 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)OCCO3)s1 ZINC000353331918 1043600651 /nfs/dbraw/zinc/60/06/51/1043600651.db2.gz XDJOMPSDATVUGF-HNNXBMFYSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2cnccc2C)CC1 ZINC000353378595 1043602597 /nfs/dbraw/zinc/60/25/97/1043602597.db2.gz HFNYUBTYXNMBCZ-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CC(C)(C)CN(CCCO)Cc1cnc(C2CCCC2)s1 ZINC000353407488 1043605238 /nfs/dbraw/zinc/60/52/38/1043605238.db2.gz WMRYZTQRJNXZGQ-UHFFFAOYSA-N 0 3 310.507 4.031 20 0 DIADHN CC[C@H](NCc1cnn(-c2ccccc2)c1)c1cccc(C)c1 ZINC000353443774 1043609191 /nfs/dbraw/zinc/60/91/91/1043609191.db2.gz SZMKQLOVNKQDLQ-FQEVSTJZSA-N 0 3 305.425 4.422 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(-n2cnnc2)c1)c1ccccc1F ZINC000926662502 1043614139 /nfs/dbraw/zinc/61/41/39/1043614139.db2.gz MUYMRZMVXQIVAI-AUUYWEPGSA-N 0 3 324.403 4.208 20 0 DIADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1cc(-c2ccccc2)no1 ZINC000926668399 1043614377 /nfs/dbraw/zinc/61/43/77/1043614377.db2.gz HURVETHINCEXAS-GJZGRUSLSA-N 0 3 307.397 4.018 20 0 DIADHN CC(C)CC[C@H](NCCC(=O)Nc1ccccc1)c1ccoc1 ZINC000353541493 1043615248 /nfs/dbraw/zinc/61/52/48/1043615248.db2.gz IJEPLXJXRCZRMP-SFHVURJKSA-N 0 3 314.429 4.375 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@@H](C)C2(CO)CC2)c2cccnc2)cc1 ZINC000926691728 1043615914 /nfs/dbraw/zinc/61/59/14/1043615914.db2.gz JQPWTISEHMKPTP-OXJNMPFZSA-N 0 3 324.468 4.045 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)c(C)s1 ZINC000443606208 1043616720 /nfs/dbraw/zinc/61/67/20/1043616720.db2.gz SHSOYGFMGBQPKC-NHYWBVRUSA-N 0 3 304.434 4.277 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1csc2ccccc12 ZINC000926704729 1043618727 /nfs/dbraw/zinc/61/87/27/1043618727.db2.gz ZWCLCMFUTHWKQP-GFCCVEGCSA-N 0 3 311.454 4.363 20 0 DIADHN COCc1csc(CN[C@@H](C)c2coc3ccccc32)c1 ZINC000926701641 1043618749 /nfs/dbraw/zinc/61/87/49/1043618749.db2.gz CAEIHXIOCISYHF-LBPRGKRZSA-N 0 3 301.411 4.492 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000443621353 1043621871 /nfs/dbraw/zinc/62/18/71/1043621871.db2.gz VHHXNDWZRLRPQA-GFCCVEGCSA-N 0 3 306.356 4.098 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@@H]2CCCc3nn(C)cc32)c1 ZINC000353601599 1043622634 /nfs/dbraw/zinc/62/26/34/1043622634.db2.gz BIAHHPZFLZWGPJ-HNAYVOBHSA-N 0 3 311.473 4.347 20 0 DIADHN COc1cc([C@@H](C)NCc2cccnc2C)cc2ccccc21 ZINC000926759671 1043626193 /nfs/dbraw/zinc/62/61/93/1043626193.db2.gz DPBHCTDLMPNDPH-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccc(OC(F)(F)F)cc1 ZINC000353643806 1043626363 /nfs/dbraw/zinc/62/63/63/1043626363.db2.gz KYKOVSJTHJSACR-IINYFYTJSA-N 0 3 313.323 4.110 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(=O)[nH]n1)CCC2 ZINC000353629394 1043627060 /nfs/dbraw/zinc/62/70/60/1043627060.db2.gz FQHGOLXIYZICDA-UGSOOPFHSA-N 0 3 311.429 4.034 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@H](C)c1ccc(=O)[nH]n1)CCC2 ZINC000353629392 1043627150 /nfs/dbraw/zinc/62/71/50/1043627150.db2.gz FQHGOLXIYZICDA-ACJLOTCBSA-N 0 3 311.429 4.034 20 0 DIADHN Cc1cnc(CN(C2CC2)C2CC(c3ccccc3C)C2)cn1 ZINC000353667717 1043632009 /nfs/dbraw/zinc/63/20/09/1043632009.db2.gz VCBZVBMLLPAQDY-UHFFFAOYSA-N 0 3 307.441 4.004 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC1CCC(OC(C)(C)C)CC1 ZINC000926783812 1043632107 /nfs/dbraw/zinc/63/21/07/1043632107.db2.gz AOIWKSIJILOUEV-NRXISQOPSA-N 0 3 306.450 4.076 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cnn(C(C)C)c2)C12CCCCC2 ZINC000353666385 1043632620 /nfs/dbraw/zinc/63/26/20/1043632620.db2.gz OUAKSWWCDCIKML-ZWKOTPCHSA-N 0 3 319.493 4.024 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CCCS2)c2ccccn2)c1 ZINC000926789006 1043634321 /nfs/dbraw/zinc/63/43/21/1043634321.db2.gz DYOKRXQRCCPRSR-MJGOQNOKSA-N 0 3 312.482 4.355 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@H](C)C[C@@H](C)C1 ZINC000443638947 1043634833 /nfs/dbraw/zinc/63/48/33/1043634833.db2.gz FEGOVXPWSVTGMN-JKSUJKDBSA-N 0 3 316.489 4.425 20 0 DIADHN C[C@H](NCc1cccc2ncccc12)c1cnn(C2CCC2)c1 ZINC000926835947 1043639259 /nfs/dbraw/zinc/63/92/59/1043639259.db2.gz YAPFVHUZHYDIMX-AWEZNQCLSA-N 0 3 306.413 4.007 20 0 DIADHN Clc1ccnc2c1CCC[C@H]2NCc1cccc2ncccc12 ZINC000926833431 1043639892 /nfs/dbraw/zinc/63/98/92/1043639892.db2.gz NJAMESQBWBENGM-GOSISDBHSA-N 0 3 323.827 4.450 20 0 DIADHN Cc1noc2ncc(CN[C@H]3CCCc4c(C)cccc43)cc12 ZINC000895340289 1043641270 /nfs/dbraw/zinc/64/12/70/1043641270.db2.gz NZCDDSYGQWLCAG-SFHVURJKSA-N 0 3 307.397 4.007 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H](C)c1cc[nH]c(=O)c1 ZINC000926844363 1043642176 /nfs/dbraw/zinc/64/21/76/1043642176.db2.gz KFAPJZPJSSXWLJ-GFCCVEGCSA-N 0 3 320.820 4.079 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2CCC3(CCC3)O2)c2cccnc2)cc1 ZINC000926858773 1043643329 /nfs/dbraw/zinc/64/33/29/1043643329.db2.gz CATCFUTWKGSYIY-VQTJNVASSA-N 0 3 322.452 4.171 20 0 DIADHN CO[C@@H](C)CN(Cc1ccc(-c2ccncc2)cc1F)C(C)C ZINC000353781679 1043643343 /nfs/dbraw/zinc/64/33/43/1043643343.db2.gz QOCSMGJAQFDKFK-HNNXBMFYSA-N 0 3 316.420 4.133 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@]12CCCO2)c1cc(-c2ccccc2)no1 ZINC000926863939 1043644410 /nfs/dbraw/zinc/64/44/10/1043644410.db2.gz DSSLJMHVOLJWFZ-KYNGSXCRSA-N 0 3 312.413 4.094 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C[C@@H](C)C1 ZINC000443652075 1043645401 /nfs/dbraw/zinc/64/54/01/1043645401.db2.gz KXXYTBNRYFERKF-OLZOCXBDSA-N 0 3 319.836 4.258 20 0 DIADHN CCc1cc2oc(=O)cc(CN3[C@H](C)CC[C@@H]3C)c2cc1Cl ZINC001168024612 1043646285 /nfs/dbraw/zinc/64/62/85/1043646285.db2.gz CBBYWHBELVSLEM-TXEJJXNPSA-N 0 3 319.832 4.382 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1csc2ccccc21 ZINC000926881471 1043648974 /nfs/dbraw/zinc/64/89/74/1043648974.db2.gz AYFNRBCOIOZTMK-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2Cc2cnc3n[nH]c(C)c3c2)o1 ZINC000353830737 1043649246 /nfs/dbraw/zinc/64/92/46/1043649246.db2.gz VAMQLYWIHJUPGJ-QGZVFWFLSA-N 0 3 324.428 4.285 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(F)cc2C)cc1O ZINC000926897834 1043650161 /nfs/dbraw/zinc/65/01/61/1043650161.db2.gz LQCUNZBIKAOGPE-CHWSQXEVSA-N 0 3 303.377 4.260 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(F)cc2C)cc1O ZINC000926897840 1043650334 /nfs/dbraw/zinc/65/03/34/1043650334.db2.gz LQCUNZBIKAOGPE-STQMWFEESA-N 0 3 303.377 4.260 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1cnn(C2CCC2)c1 ZINC000926898515 1043650694 /nfs/dbraw/zinc/65/06/94/1043650694.db2.gz NRIVLQJHQPKKAC-ZIAGYGMSSA-N 0 3 301.409 4.467 20 0 DIADHN Cc1nc(C)c(CN(Cc2ccc(F)cc2)CC2CC2)s1 ZINC000353858754 1043651465 /nfs/dbraw/zinc/65/14/65/1043651465.db2.gz UPVZPYNFHGRUDD-UHFFFAOYSA-N 0 3 304.434 4.311 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccnc(Cl)c2)cc1C ZINC000926902996 1043652496 /nfs/dbraw/zinc/65/24/96/1043652496.db2.gz CBHJBGNKGMNOJL-QWHCGFSZSA-N 0 3 304.821 4.464 20 0 DIADHN Cc1ccc([C@H](NC[C@]23CCC[C@H]2OCC3)c2ccccn2)cc1 ZINC000926916935 1043653874 /nfs/dbraw/zinc/65/38/74/1043653874.db2.gz UZZCUQRJRTXHBO-QHAWAJNXSA-N 0 3 322.452 4.028 20 0 DIADHN CN(Cc1cnc([C@@H]2CCCO2)s1)C1CCC(C)(C)CC1 ZINC000353895549 1043654317 /nfs/dbraw/zinc/65/43/17/1043654317.db2.gz GKHGPPLCKOWVEV-HNNXBMFYSA-N 0 3 308.491 4.395 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1ccc(Cl)nc1 ZINC000777611248 1043654351 /nfs/dbraw/zinc/65/43/51/1043654351.db2.gz FANXFDLNXWLWFM-MRXNPFEDSA-N 0 3 304.821 4.327 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1nccc2ccccc21 ZINC000926918113 1043656343 /nfs/dbraw/zinc/65/63/43/1043656343.db2.gz GQDFXWIMNVNENX-GJZGRUSLSA-N 0 3 318.420 4.409 20 0 DIADHN CC(=O)OCc1ccc(CN(Cc2ccccc2)CC(C)C)o1 ZINC001141782257 1043656646 /nfs/dbraw/zinc/65/66/46/1043656646.db2.gz WTDNZRCTTRYKFI-UHFFFAOYSA-N 0 3 315.413 4.001 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H]3CCCNc4ccccc43)ccc2O1 ZINC000926922431 1043657262 /nfs/dbraw/zinc/65/72/62/1043657262.db2.gz ZMKBDDUKOUPQPL-AUUYWEPGSA-N 0 3 308.425 4.047 20 0 DIADHN C[C@H](c1ccc2c(c1)CCC2)N(C)Cc1ccc(Cl)nc1 ZINC000777612347 1043660625 /nfs/dbraw/zinc/66/06/25/1043660625.db2.gz VLRKCLLDGREDHA-CYBMUJFWSA-N 0 3 300.833 4.417 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CC[C@@H]1Cc1ccccc1 ZINC000353946506 1043663770 /nfs/dbraw/zinc/66/37/70/1043663770.db2.gz HHVYOFQQPUVFBY-QGZVFWFLSA-N 0 3 321.449 4.022 20 0 DIADHN C[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cccc(O)c1F ZINC000926976488 1043665463 /nfs/dbraw/zinc/66/54/63/1043665463.db2.gz IMSQFJFABRPUMP-DJSGYFEHSA-N 0 3 321.342 4.231 20 0 DIADHN C[C@@H]([NH2+]C[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cc([O-])cc(F)c1 ZINC000926976188 1043665501 /nfs/dbraw/zinc/66/55/01/1043665501.db2.gz HJCBDUPGAAXLAH-SSKCKEOISA-N 0 3 321.342 4.231 20 0 DIADHN C[C@H]([NH2+]C[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cc([O-])cc(F)c1 ZINC000926976189 1043666018 /nfs/dbraw/zinc/66/60/18/1043666018.db2.gz HJCBDUPGAAXLAH-YMRXKLBXSA-N 0 3 321.342 4.231 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2cnc(C3CCC3)s2)C1(C)C ZINC000353963872 1043666407 /nfs/dbraw/zinc/66/64/07/1043666407.db2.gz YLOHEFYPMWMFIZ-WMLDXEAASA-N 0 3 308.491 4.046 20 0 DIADHN Cn1cnc2cc(CN3CC4(CCC4)[C@H]3c3ccccc3)ccc21 ZINC000353971172 1043667128 /nfs/dbraw/zinc/66/71/28/1043667128.db2.gz IOBKXMLHXGDHLW-HXUWFJFHSA-N 0 3 317.436 4.301 20 0 DIADHN COc1ncc([C@H](C)NCC2(C(F)(F)F)CCC2)cc1Cl ZINC000353957268 1043667155 /nfs/dbraw/zinc/66/71/55/1043667155.db2.gz OTIHPLYFWJTJDT-VIFPVBQESA-N 0 3 322.758 4.127 20 0 DIADHN CC(C)C[C@@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000353971473 1043667330 /nfs/dbraw/zinc/66/73/30/1043667330.db2.gz KIAHLORAGAFNBY-HOCLYGCPSA-N 0 3 308.491 4.253 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCS[C@@H](C)C2)c2ccccn2)cc1 ZINC000927030977 1043668419 /nfs/dbraw/zinc/66/84/19/1043668419.db2.gz VEAHNXURTFBTJW-WDYCEAGBSA-N 0 3 312.482 4.353 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCS[C@H](C)C2)c2ccccn2)cc1 ZINC000927030976 1043669045 /nfs/dbraw/zinc/66/90/45/1043669045.db2.gz VEAHNXURTFBTJW-SUMDDJOVSA-N 0 3 312.482 4.353 20 0 DIADHN Clc1cccc2c1[C@@H](N[C@H]1CCSC3(CCC3)C1)COC2 ZINC000927037966 1043672586 /nfs/dbraw/zinc/67/25/86/1043672586.db2.gz OCNXNPFDMMXOCQ-ZFWWWQNUSA-N 0 3 323.889 4.319 20 0 DIADHN Fc1ccccc1SCCN1CCC(c2ccncc2)CC1 ZINC000191612390 1043673172 /nfs/dbraw/zinc/67/31/72/1043673172.db2.gz ACQSZQFMQTXYRZ-UHFFFAOYSA-N 0 3 316.445 4.192 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2cc(F)cc3cccnc32)c1 ZINC000354032500 1043675083 /nfs/dbraw/zinc/67/50/83/1043675083.db2.gz AXVNDLXHDWVRKL-UHFFFAOYSA-N 0 3 323.415 4.072 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2cccnc21)c1ccc2c(c1)CCO2 ZINC000927060849 1043675073 /nfs/dbraw/zinc/67/50/73/1043675073.db2.gz CZHNEPDVKLMMFY-MSOLQXFVSA-N 0 3 308.425 4.135 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2CCCc3cccnc32)cc1C ZINC000927062180 1043677068 /nfs/dbraw/zinc/67/70/68/1043677068.db2.gz YAVDUKCJZMCAPM-MAUKXSAKSA-N 0 3 310.441 4.435 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cccc(OC(F)F)c1)c1cnn(C)c1 ZINC000927065444 1043679037 /nfs/dbraw/zinc/67/90/37/1043679037.db2.gz HIRCVMOUYBSKIW-WBMJQRKESA-N 0 3 323.387 4.069 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1cnn(C)c1 ZINC000927069595 1043681263 /nfs/dbraw/zinc/68/12/63/1043681263.db2.gz XUCYJGGHGCBTIW-DLBZAZTESA-N 0 3 303.837 4.048 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](c1cnn(C)c1)C(C)C ZINC000927068510 1043681409 /nfs/dbraw/zinc/68/14/09/1043681409.db2.gz JOSGMCAEBUVORV-WFASDCNBSA-N 0 3 320.506 4.356 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCc3cccnc32)C2CCC2)cc1 ZINC000927068115 1043681420 /nfs/dbraw/zinc/68/14/20/1043681420.db2.gz TWRPXXQYAAQGPJ-OALUTQOASA-N 0 3 308.425 4.209 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CC(C)(C)Cc2occc21)c1cnn(C)c1 ZINC000927069635 1043682625 /nfs/dbraw/zinc/68/26/25/1043682625.db2.gz LWTAFRLDXYRFLP-NVXWUHKLSA-N 0 3 301.434 4.014 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1nccc2ccccc21)c1cnn(C)c1 ZINC000927070707 1043683223 /nfs/dbraw/zinc/68/32/23/1043683223.db2.gz QBVLGQROXLJETG-KBXCAEBGSA-N 0 3 308.429 4.016 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccc(CF)cc1)CCC2 ZINC000777615218 1043684827 /nfs/dbraw/zinc/68/48/27/1043684827.db2.gz CVHODAAFRDKRDB-MRXNPFEDSA-N 0 3 304.434 4.430 20 0 DIADHN Fc1cc2cccnc2c(CN2CCS[C@@H]3CCCC[C@@H]32)c1 ZINC000354121131 1043685004 /nfs/dbraw/zinc/68/50/04/1043685004.db2.gz QUVOMMOZOSLVKA-DLBZAZTESA-N 0 3 316.445 4.234 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1cc2c(s1)CCCC2 ZINC000927100076 1043687802 /nfs/dbraw/zinc/68/78/02/1043687802.db2.gz SJFAKHXEZYEXIO-GFCCVEGCSA-N 0 3 315.486 4.089 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@]1(c2ccccc2)CCCO1 ZINC000927115499 1043690456 /nfs/dbraw/zinc/69/04/56/1043690456.db2.gz PPKNYKZFOUEVFM-JXFKEZNVSA-N 0 3 310.441 4.001 20 0 DIADHN CCn1cncc1CN[C@@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC000417895261 1043691091 /nfs/dbraw/zinc/69/10/91/1043691091.db2.gz UNYMJSISZISHPA-FQEVSTJZSA-N 0 3 311.473 4.342 20 0 DIADHN COc1ccc(CN[C@@H](C)c2csc(C)c2)c(C)c1OC ZINC000927123399 1043692414 /nfs/dbraw/zinc/69/24/14/1043692414.db2.gz PTFORTWVEDBWAQ-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN c1ccc([C@@H](NCC[C@@H]2CCC3(CCC3)O2)c2ccccn2)cc1 ZINC000927129504 1043694422 /nfs/dbraw/zinc/69/44/22/1043694422.db2.gz PRVBKSMZAGNHGL-AZUAARDMSA-N 0 3 322.452 4.252 20 0 DIADHN c1ccc([C@H](NCC[C@@H]2CCC3(CCC3)O2)c2ccccn2)cc1 ZINC000927129505 1043695291 /nfs/dbraw/zinc/69/52/91/1043695291.db2.gz PRVBKSMZAGNHGL-ICSRJNTNSA-N 0 3 322.452 4.252 20 0 DIADHN CCc1ccc([C@@H](C)Nc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC000777615723 1043700861 /nfs/dbraw/zinc/70/08/61/1043700861.db2.gz VBPOWLCUOLZFAK-QGZVFWFLSA-N 0 3 323.484 4.174 20 0 DIADHN Cc1nccn1CCCCNc1ccnc2cc(Cl)ccc21 ZINC000033968127 1043701417 /nfs/dbraw/zinc/70/14/17/1043701417.db2.gz USOXRKJPKGGQRO-UHFFFAOYSA-N 0 3 314.820 4.285 20 0 DIADHN C[C@H](NC1([C@@H]2CCCCO2)CC1)c1ccccc1OC(F)F ZINC000927164378 1043702154 /nfs/dbraw/zinc/70/21/54/1043702154.db2.gz QBQLLIIJKPDRTQ-WFASDCNBSA-N 0 3 311.372 4.040 20 0 DIADHN O=c1[nH]ccc2oc(CN3CCC4(CCCCC4)CC3)cc21 ZINC000876679054 1043703486 /nfs/dbraw/zinc/70/34/86/1043703486.db2.gz BBFWZNQEIDMEFJ-UHFFFAOYSA-N 0 3 300.402 4.080 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cc3c(ccnc3Cl)o2)CC1 ZINC000876720362 1043704822 /nfs/dbraw/zinc/70/48/22/1043704822.db2.gz GKZCIAITALODCP-ZDUSSCGKSA-N 0 3 322.836 4.262 20 0 DIADHN CC[C@@H](O)CCNCc1c(Cl)cccc1SC(C)C ZINC000354517069 1043705887 /nfs/dbraw/zinc/70/58/87/1043705887.db2.gz WMPFAZWERSWILW-GFCCVEGCSA-N 0 3 301.883 4.091 20 0 DIADHN Cn1ncc(CNCc2ccc(-c3ccccc3)s2)c1C1CC1 ZINC000895559554 1043706217 /nfs/dbraw/zinc/70/62/17/1043706217.db2.gz BGYHNSUZQGFLJF-UHFFFAOYSA-N 0 3 323.465 4.316 20 0 DIADHN O=c1[nH]ccc2oc(CN3CCCC4(CCCCC4)C3)cc21 ZINC000876733310 1043708025 /nfs/dbraw/zinc/70/80/25/1043708025.db2.gz VBLBDLVSFHSOPK-UHFFFAOYSA-N 0 3 300.402 4.080 20 0 DIADHN CN(C)[C@H](CNc1ccnc2cc(F)ccc21)c1ccsc1 ZINC000354649144 1043712709 /nfs/dbraw/zinc/71/27/09/1043712709.db2.gz ADSMXHRPWQEGSC-QGZVFWFLSA-N 0 3 315.417 4.150 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cn(-c2ccccc2)nc1C ZINC000354634814 1043712766 /nfs/dbraw/zinc/71/27/66/1043712766.db2.gz GOOVZDLBHXVYQJ-AWEZNQCLSA-N 0 3 311.351 4.001 20 0 DIADHN Cc1ccccc1-n1nccc1CN[C@@H]1CCCc2sccc21 ZINC000354666235 1043712918 /nfs/dbraw/zinc/71/29/18/1043712918.db2.gz PVXGTLVBOOMIMW-QGZVFWFLSA-N 0 3 323.465 4.409 20 0 DIADHN c1cc(CNCc2ccc(C3CCC3)cc2)cc(N2CCCC2)n1 ZINC000559243760 1043715249 /nfs/dbraw/zinc/71/52/49/1043715249.db2.gz MWFMFDBTBDOXNX-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)N[C@H](C)c1c(F)cccc1F ZINC000876950404 1043726841 /nfs/dbraw/zinc/72/68/41/1043726841.db2.gz MJZMNXXHCRYJLC-ZSMADZGOSA-N 0 3 319.332 4.338 20 0 DIADHN C[C@@H](CCN1CCc2sccc2C1)OCc1ccccc1 ZINC000354873164 1043726898 /nfs/dbraw/zinc/72/68/98/1043726898.db2.gz RKNVBFFKXCDRKG-HNNXBMFYSA-N 0 3 301.455 4.102 20 0 DIADHN ClC1(Cl)C[C@H]1CNCc1ccnc(Oc2ccccc2)c1 ZINC000876925433 1043727114 /nfs/dbraw/zinc/72/71/14/1043727114.db2.gz FSWIISCRJFKSON-ZDUSSCGKSA-N 0 3 323.223 4.157 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CC1(Cl)Cl)c1nc2ccccc2[nH]1 ZINC000876986187 1043731097 /nfs/dbraw/zinc/73/10/97/1043731097.db2.gz ZDXJTBXZPBYCDU-GWCFXTLKSA-N 0 3 312.244 4.043 20 0 DIADHN CCCC(CCC)NCC(=O)c1ccc(Br)cc1 ZINC001257327760 1043735013 /nfs/dbraw/zinc/73/50/13/1043735013.db2.gz BWGAMGDPOXGZIB-UHFFFAOYSA-N 0 3 312.251 4.190 20 0 DIADHN COc1ccccc1-c1ccc(CNCCC(F)(F)F)cc1 ZINC000237323337 1043735860 /nfs/dbraw/zinc/73/58/60/1043735860.db2.gz PBNVYBVUOIESCS-UHFFFAOYSA-N 0 3 309.331 4.404 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)N[C@@H](C)c1csc(CC)n1 ZINC000877046177 1043737487 /nfs/dbraw/zinc/73/74/87/1043737487.db2.gz JVCCJUUMOVVHER-UFYHVXEKSA-N 0 3 318.423 4.079 20 0 DIADHN Cc1csc([C@H](C)NCC[C@@H](C)OCc2ccccc2)n1 ZINC000355285066 1043757025 /nfs/dbraw/zinc/75/70/25/1043757025.db2.gz SKCHTABDGYHAQM-CABCVRRESA-N 0 3 304.459 4.098 20 0 DIADHN CC[C@@H](NCC[C@H](C)OCc1ccccc1)c1nccs1 ZINC000355297499 1043760479 /nfs/dbraw/zinc/76/04/79/1043760479.db2.gz USLKYFZEQOIUOI-GOEBONIOSA-N 0 3 304.459 4.179 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CC1CC1 ZINC000053183918 1043770107 /nfs/dbraw/zinc/77/01/07/1043770107.db2.gz DNMDHDDORWJRBI-HNNXBMFYSA-N 0 3 308.425 4.022 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cnc(-c3ccccc3)[nH]1)CCC2 ZINC000355494507 1043771631 /nfs/dbraw/zinc/77/16/31/1043771631.db2.gz KQHZKDGJEVRQOB-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN CCn1cc([C@@H](C)NCc2cc3nc(Cl)ccc3s2)cn1 ZINC000877573546 1043776997 /nfs/dbraw/zinc/77/69/97/1043776997.db2.gz ZZTHRXAJPQYTOY-SNVBAGLBSA-N 0 3 320.849 4.017 20 0 DIADHN Cc1cc(CNCc2cc3nc(Cl)ccc3s2)cc(C)n1 ZINC000877594976 1043779469 /nfs/dbraw/zinc/77/94/69/1043779469.db2.gz OMRFQDQEPQPVKM-UHFFFAOYSA-N 0 3 317.845 4.251 20 0 DIADHN FCc1ccc(CN2CC=C(c3ccc(Cl)nc3)CC2)cc1 ZINC000777719327 1043781362 /nfs/dbraw/zinc/78/13/62/1043781362.db2.gz BMRDRTDBAZTJLP-UHFFFAOYSA-N 0 3 316.807 4.494 20 0 DIADHN CC[C@@H](NCc1ccc(CF)cc1)c1ccc2c(c1)OCCO2 ZINC000777721517 1043782566 /nfs/dbraw/zinc/78/25/66/1043782566.db2.gz NOVXJJFNBNDUFO-QGZVFWFLSA-N 0 3 315.388 4.168 20 0 DIADHN CN(Cc1coc2ccc(F)cc12)C[C@@H]1Cc2ccccc2O1 ZINC001141858442 1043783092 /nfs/dbraw/zinc/78/30/92/1043783092.db2.gz LNMRRNUKZZZOJN-INIZCTEOSA-N 0 3 311.356 4.007 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000355666021 1043783916 /nfs/dbraw/zinc/78/39/16/1043783916.db2.gz YBHVWIUFZAUWTL-ZFWWWQNUSA-N 0 3 308.491 4.253 20 0 DIADHN c1cnc2c(c1)CC[C@H]2N[C@@H]1CCc2cccc3cccc1c32 ZINC000895929940 1043784213 /nfs/dbraw/zinc/78/42/13/1043784213.db2.gz ZODPPHOQOKTWOM-RTBURBONSA-N 0 3 300.405 4.499 20 0 DIADHN Cc1c(CN[C@H]2CCc3cccc4cccc2c43)ccnc1F ZINC000895933128 1043786122 /nfs/dbraw/zinc/78/61/22/1043786122.db2.gz AKCYCGMTGPKMOC-SFHVURJKSA-N 0 3 306.384 4.459 20 0 DIADHN Cc1nc(CCNCc2ccc(Oc3ccccc3)o2)c(C)o1 ZINC000355678867 1043786156 /nfs/dbraw/zinc/78/61/56/1043786156.db2.gz VUQNQQNCMYESDD-UHFFFAOYSA-N 0 3 312.369 4.009 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777735384 1043786539 /nfs/dbraw/zinc/78/65/39/1043786539.db2.gz JKTINOQGWMGSLZ-UGSOOPFHSA-N 0 3 319.807 4.134 20 0 DIADHN FC(F)(F)c1cc(CNC[C@H]2CC2(Cl)Cl)cs1 ZINC000877658787 1043786869 /nfs/dbraw/zinc/78/68/69/1043786869.db2.gz XLLQUXWKFWDGKF-SSDOTTSWSA-N 0 3 304.164 4.050 20 0 DIADHN Cc1scc(CNCc2ccc3c(c2)COC(C)(C)O3)c1C ZINC000877673626 1043787759 /nfs/dbraw/zinc/78/77/59/1043787759.db2.gz IPIGCFGLPZHGIO-UHFFFAOYSA-N 0 3 317.454 4.300 20 0 DIADHN Cc1ccc(CN2CCC(c3nc(C4CCC4)no3)CC2)cc1 ZINC000877736216 1043792776 /nfs/dbraw/zinc/79/27/76/1043792776.db2.gz QWAGQEQRNPTYPK-UHFFFAOYSA-N 0 3 311.429 4.025 20 0 DIADHN CSc1ccc(CN2CC[C@H](c3nc(C)cs3)C2)s1 ZINC000877813949 1043805035 /nfs/dbraw/zinc/80/50/35/1043805035.db2.gz LZMHNXRZJSHDOE-NSHDSACASA-N 0 3 310.513 4.224 20 0 DIADHN CCCCCC[C@H](C)NCc1nc(Br)cs1 ZINC001257359623 1043806579 /nfs/dbraw/zinc/80/65/79/1043806579.db2.gz VAGCQHOJHWWYCI-JTQLQIEISA-N 0 3 305.285 4.354 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000468758566 1043812501 /nfs/dbraw/zinc/81/25/01/1043812501.db2.gz ALVQUHSZMAFDGR-QKLQHJQFSA-N 0 3 316.489 4.023 20 0 DIADHN FC(F)(F)c1cscc1CN1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000356128813 1043814947 /nfs/dbraw/zinc/81/49/47/1043814947.db2.gz AXQXFWSSACAWQU-VXGBXAGGSA-N 0 3 319.392 4.015 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cc(F)ccc1OC ZINC000777787910 1043822354 /nfs/dbraw/zinc/82/23/54/1043822354.db2.gz OAKZLKPZUSUYJA-UHFFFAOYSA-N 0 3 312.344 4.003 20 0 DIADHN Cc1cc(CN[C@H]2CCc3cccc4cccc2c43)cnc1F ZINC000896076888 1043823339 /nfs/dbraw/zinc/82/33/39/1043823339.db2.gz VAQATOWOEKFEIX-SFHVURJKSA-N 0 3 306.384 4.459 20 0 DIADHN CC[C@@H]1c2ccccc2CN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000929562102 1043824818 /nfs/dbraw/zinc/82/48/18/1043824818.db2.gz AZIBOQXOMCIJLI-LJQANCHMSA-N 0 3 323.440 4.247 20 0 DIADHN CC[C@@H]1CCCCN1Cc1coc(-c2ccccc2OC)n1 ZINC000877933575 1043828019 /nfs/dbraw/zinc/82/80/19/1043828019.db2.gz ODQXSTSIXHCQJB-OAHLLOKOSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1ccccc1[C@H](C)CC(=O)Nc1cccc(CN(C)C)c1 ZINC000115991082 1043832105 /nfs/dbraw/zinc/83/21/05/1043832105.db2.gz PXJIZVZBYCSINV-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN COc1ccccc1-c1nc(CN([C@H](C)C2CC2)C2CC2)co1 ZINC000877964325 1043834291 /nfs/dbraw/zinc/83/42/91/1043834291.db2.gz FICRUJHSTPQHSL-CYBMUJFWSA-N 0 3 312.413 4.113 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1ccccc1-c1cccs1 ZINC000929752955 1043839507 /nfs/dbraw/zinc/83/95/07/1043839507.db2.gz JODKHZNNBMZGGD-INIZCTEOSA-N 0 3 314.454 4.226 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCCc1cccnc1 ZINC000929859898 1043847779 /nfs/dbraw/zinc/84/77/79/1043847779.db2.gz BVQCOBKQOYYELP-CQSZACIVSA-N 0 3 311.454 4.317 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@H]3CCC[C@@H]32)co1 ZINC000878135310 1043850798 /nfs/dbraw/zinc/85/07/98/1043850798.db2.gz WOQYUJLPMSBNGQ-PBHICJAKSA-N 0 3 312.413 4.115 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC[C@@H]3c3ccccn3)O2)cc1 ZINC000878175297 1043853489 /nfs/dbraw/zinc/85/34/89/1043853489.db2.gz OTUKPQWOZZDGQK-RLLQIKCJSA-N 0 3 308.425 4.139 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](c1ccccc1)C(C)(C)C ZINC000054396954 1043854362 /nfs/dbraw/zinc/85/43/62/1043854362.db2.gz YYMSMDGGCLCXJC-LJQANCHMSA-N 0 3 310.441 4.311 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC[C@@H]1CCc2ccccc21 ZINC000878206405 1043857882 /nfs/dbraw/zinc/85/78/82/1043857882.db2.gz WIHJGMJXQHVYHE-KRWDZBQOSA-N 0 3 322.452 4.197 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cccnc2)ccc1OC(C)C ZINC000356671679 1043859534 /nfs/dbraw/zinc/85/95/34/1043859534.db2.gz HCTMSZZKAPWFIF-HUUCEWRRSA-N 0 3 314.429 4.289 20 0 DIADHN CCN(CCc1ccccn1)Cc1oc(-c2ccccc2)nc1C ZINC000878216942 1043861164 /nfs/dbraw/zinc/86/11/64/1043861164.db2.gz SGCWGOCTOBRJTP-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929971409 1043861816 /nfs/dbraw/zinc/86/18/16/1043861816.db2.gz KTCKVVMWOFAXMY-FSPWUOQZSA-N 0 3 317.379 4.434 20 0 DIADHN C=C/C=C\CCN(Cc1nc2ccccc2c(=O)[nH]1)[C@@H](C)CC ZINC000878249135 1043863767 /nfs/dbraw/zinc/86/37/67/1043863767.db2.gz KMBBKUQHMVGXFE-XHPSBEMXSA-N 0 3 311.429 4.068 20 0 DIADHN C=C/C=C/CCN(Cc1nc2ccccc2c(=O)[nH]1)[C@@H](C)CC ZINC000878249130 1043864909 /nfs/dbraw/zinc/86/49/09/1043864909.db2.gz KMBBKUQHMVGXFE-LFAOLKIESA-N 0 3 311.429 4.068 20 0 DIADHN CCCC[C@@H](NCc1cncc(OC)c1)c1ccc(OC)cc1 ZINC000289692338 1043864967 /nfs/dbraw/zinc/86/49/67/1043864967.db2.gz DYFFHAPJYYCAOK-LJQANCHMSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@@H]3c3ccncc3)ccc2n1 ZINC000289722027 1043868629 /nfs/dbraw/zinc/86/86/29/1043868629.db2.gz HHBOUYVMFCDMTJ-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1coc(-c2ccccc2OC)n1 ZINC000878340791 1043870510 /nfs/dbraw/zinc/87/05/10/1043870510.db2.gz OXAAQHWPEHIXRI-UKRRQHHQSA-N 0 3 300.402 4.113 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3cc(Cl)ccc32)cc1O ZINC000289762540 1043871808 /nfs/dbraw/zinc/87/18/08/1043871808.db2.gz NAMTVGJRZRYXQY-ZBEGNZNMSA-N 0 3 317.816 4.392 20 0 DIADHN Cc1nc([C@H](C)NCCCCCC(=O)OC(C)(C)C)cs1 ZINC000356783452 1043874045 /nfs/dbraw/zinc/87/40/45/1043874045.db2.gz LTFNISMEOJZTJE-LBPRGKRZSA-N 0 3 312.479 4.004 20 0 DIADHN Cc1nc([C@@H](C)NCCCCCC(=O)OC(C)(C)C)cs1 ZINC000356783448 1043874221 /nfs/dbraw/zinc/87/42/21/1043874221.db2.gz LTFNISMEOJZTJE-GFCCVEGCSA-N 0 3 312.479 4.004 20 0 DIADHN CCCN(CCC)CC(=O)Nc1ccccc1Cc1ccccc1 ZINC000561060563 1043874874 /nfs/dbraw/zinc/87/48/74/1043874874.db2.gz PKQJTSQAJAGALQ-UHFFFAOYSA-N 0 3 324.468 4.338 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCc1ccns1 ZINC000930093720 1043875762 /nfs/dbraw/zinc/87/57/62/1043875762.db2.gz DFUGHNXAFCBVMK-GFCCVEGCSA-N 0 3 309.504 4.267 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H]1CCC[C@H](c2ccccc2)O1 ZINC000878363464 1043878292 /nfs/dbraw/zinc/87/82/92/1043878292.db2.gz BAXFQIQDPWGHFV-DOPJRALCSA-N 0 3 314.429 4.252 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CC[C@H](O)c2ccccc2)s1 ZINC000289827482 1043881047 /nfs/dbraw/zinc/88/10/47/1043881047.db2.gz AKAFUIXEILQJIS-ZBFHGGJFSA-N 0 3 318.486 4.130 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cccc2cc[nH]c21 ZINC000356866352 1043883282 /nfs/dbraw/zinc/88/32/82/1043883282.db2.gz IQTTYZNMXBGQAS-GOSISDBHSA-N 0 3 306.409 4.344 20 0 DIADHN COc1ncc(CN[C@@H](C)c2ccc3c(c2)CCC3)cc1Cl ZINC000561105712 1043885072 /nfs/dbraw/zinc/88/50/72/1043885072.db2.gz CPGKBJPXZNTAFT-LBPRGKRZSA-N 0 3 316.832 4.083 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H]1CCCOC1)c1nc(C(F)(F)F)cs1 ZINC000356872815 1043888850 /nfs/dbraw/zinc/88/88/50/1043888850.db2.gz PDTABCOEEFLJRE-AXFHLTTASA-N 0 3 322.396 4.018 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnc(Br)c(C)c2)cc1 ZINC000930189560 1043890567 /nfs/dbraw/zinc/89/05/67/1043890567.db2.gz XQQYJJBADSGDNU-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(F)cc2)C2CCCC2)[nH]1 ZINC000930206902 1043894044 /nfs/dbraw/zinc/89/40/44/1043894044.db2.gz YFCWHXQSPOBPOZ-SFHVURJKSA-N 0 3 301.409 4.187 20 0 DIADHN Cc1nc(C)c(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)[nH]1 ZINC000930204776 1043894527 /nfs/dbraw/zinc/89/45/27/1043894527.db2.gz OQCUIXJMVCZWIX-INIZCTEOSA-N 0 3 303.425 4.433 20 0 DIADHN CCN(Cc1coc(-c2ccccc2)n1)[C@@H]1CCOC(C)(C)C1 ZINC000289926020 1043895682 /nfs/dbraw/zinc/89/56/82/1043895682.db2.gz UPVCUESFTUHYJL-QGZVFWFLSA-N 0 3 314.429 4.121 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCCC2(C)C)co1 ZINC000878476260 1043896945 /nfs/dbraw/zinc/89/69/45/1043896945.db2.gz YAACAPMOLUYNJI-MRXNPFEDSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2ccc(SC(C)C)cc2)[nH]1 ZINC000930215752 1043897167 /nfs/dbraw/zinc/89/71/67/1043897167.db2.gz NQJCALCSTUVCRF-LBPRGKRZSA-N 0 3 303.475 4.378 20 0 DIADHN CCC[C@H](O)CNCc1c(Cl)cccc1SC(C)C ZINC000474709353 1043897449 /nfs/dbraw/zinc/89/74/49/1043897449.db2.gz DFZMNJWXFKWTIU-LBPRGKRZSA-N 0 3 301.883 4.091 20 0 DIADHN CCSc1ccccc1CN[C@H](CCCO)c1ccccc1 ZINC000930225939 1043898603 /nfs/dbraw/zinc/89/86/03/1043898603.db2.gz HCVIXWQPXCFLHN-GOSISDBHSA-N 0 3 315.482 4.402 20 0 DIADHN CC(C)C[C@@H]1CCC[C@@H](NCc2nccn2CC(F)(F)F)C1 ZINC000474716718 1043898534 /nfs/dbraw/zinc/89/85/34/1043898534.db2.gz DCFHMXHWPWZWNO-UONOGXRCSA-N 0 3 317.399 4.140 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(OC)c(O)c1)c1ccc(OC)cc1 ZINC000289939682 1043898992 /nfs/dbraw/zinc/89/89/92/1043898992.db2.gz WATRATJYCOQYBS-GUYCJALGSA-N 0 3 315.413 4.211 20 0 DIADHN Cc1c2ccccc2oc1[C@H](NCc1ccc(N)nc1)C(C)C ZINC000930222793 1043900090 /nfs/dbraw/zinc/90/00/90/1043900090.db2.gz RGDNSRLYWSMIPG-GOSISDBHSA-N 0 3 309.413 4.205 20 0 DIADHN C[C@H](N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1ccc(F)nc1 ZINC000930232739 1043902202 /nfs/dbraw/zinc/90/22/02/1043902202.db2.gz LYBGYQHLXWEGEC-MPGHIAIKSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)c(C)nn1 ZINC000930237488 1043903728 /nfs/dbraw/zinc/90/37/28/1043903728.db2.gz IHZFYNIKNALHDN-FJNPEDAXSA-N 0 3 315.848 4.234 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)s1 ZINC000289988321 1043905005 /nfs/dbraw/zinc/90/50/05/1043905005.db2.gz YFXKGNBTFCSZAQ-OAHLLOKOSA-N 0 3 315.486 4.211 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)o1 ZINC000930248630 1043906625 /nfs/dbraw/zinc/90/66/25/1043906625.db2.gz LBTOHLQWBPHQPQ-CQSZACIVSA-N 0 3 312.335 4.408 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc2ccccc21)C(=O)N1CCC(C)CC1 ZINC000098069539 1043906419 /nfs/dbraw/zinc/90/64/19/1043906419.db2.gz MCEPKUYQITVFLQ-IAGOWNOFSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](C1CCC1)N(C)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000357033629 1043908481 /nfs/dbraw/zinc/90/84/81/1043908481.db2.gz HJVYLJSELYBZFW-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H](C1CCC1)N(C)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000357023787 1043909697 /nfs/dbraw/zinc/90/96/97/1043909697.db2.gz MAPPUPKEJVTOJO-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccccc1-c1nc(CN2[C@H](C)CCC2(C)C)co1 ZINC000878689415 1043912781 /nfs/dbraw/zinc/91/27/81/1043912781.db2.gz AKPFIEDQCMPLLN-CYBMUJFWSA-N 0 3 300.402 4.113 20 0 DIADHN Fc1ccccc1CN[C@@H]1c2ccccc2CC12CCOCC2 ZINC000290049053 1043913379 /nfs/dbraw/zinc/91/33/79/1043913379.db2.gz DEUDHZMIWZINIC-LJQANCHMSA-N 0 3 311.400 4.010 20 0 DIADHN C[C@H](NCC[S@@](=O)C(C)(C)C)c1ccc(Cl)c(Cl)c1 ZINC000127287751 1043914189 /nfs/dbraw/zinc/91/41/89/1043914189.db2.gz UHGVRJQYMQXIRJ-OVWNDWIMSA-N 0 3 322.301 4.191 20 0 DIADHN C[C@@H](NCc1cnc(N(C)C)c2ccccc12)c1ccsc1 ZINC000930345287 1043914240 /nfs/dbraw/zinc/91/42/40/1043914240.db2.gz LLCPPOCEQDCGKB-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN CCc1ccc(-c2noc(CN(C(CC)CC)C3CC3)n2)cc1 ZINC000522473388 1043921079 /nfs/dbraw/zinc/92/10/79/1043921079.db2.gz PXNIOMDWEKEEKS-UHFFFAOYSA-N 0 3 313.445 4.452 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccc3ccc(Cl)cc3n1)C2 ZINC000878813854 1043923216 /nfs/dbraw/zinc/92/32/16/1043923216.db2.gz SERVMYOEARZULB-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN O=C1CCC(CN2CCC[C@@H]2c2nc3ccccc3s2)CC1 ZINC000290160911 1043924558 /nfs/dbraw/zinc/92/45/58/1043924558.db2.gz XLDPCVCOESQKSJ-MRXNPFEDSA-N 0 3 314.454 4.193 20 0 DIADHN CC(C)c1nnc(CNC[C@H](c2ccccc2)C(C)C)s1 ZINC000474822607 1043928549 /nfs/dbraw/zinc/92/85/49/1043928549.db2.gz ZELPHLLIKMCWCA-HNNXBMFYSA-N 0 3 303.475 4.191 20 0 DIADHN COC(=O)C(C)(C)CCNC(c1cccs1)c1cccs1 ZINC000474819764 1043931915 /nfs/dbraw/zinc/93/19/15/1043931915.db2.gz WRJMRRNHIKODKB-UHFFFAOYSA-N 0 3 323.483 4.078 20 0 DIADHN OC[C@@H](NCc1ccc(-c2ccccc2)s1)c1ccccc1 ZINC000102888306 1043933363 /nfs/dbraw/zinc/93/33/63/1043933363.db2.gz PNBBSIJNTQXMBS-GOSISDBHSA-N 0 3 309.434 4.238 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](c2ccccc2)O1)c1ccns1 ZINC000879061423 1043940759 /nfs/dbraw/zinc/94/07/59/1043940759.db2.gz CBMIDYYOQKQLEU-IMJJTQAJSA-N 0 3 302.443 4.104 20 0 DIADHN CSC1CCN(Cc2cc(Cl)nc3ccccc23)CC1 ZINC000879099934 1043942382 /nfs/dbraw/zinc/94/23/82/1043942382.db2.gz WXVAPQWUJSXMCA-UHFFFAOYSA-N 0 3 306.862 4.216 20 0 DIADHN CC(C)c1ccccc1CN[C@@H](c1cccnc1)C(C)(C)CO ZINC000930578137 1043943837 /nfs/dbraw/zinc/94/38/37/1043943837.db2.gz QYCMHRGVAGKVFS-IBGZPJMESA-N 0 3 312.457 4.054 20 0 DIADHN Cc1ccnc(CNC[C@@H]2C[C@@H]2C2CCCC2)c1Br ZINC001308552782 1043945328 /nfs/dbraw/zinc/94/53/28/1043945328.db2.gz GKZWWCARGFGUOQ-UONOGXRCSA-N 0 3 323.278 4.068 20 0 DIADHN COc1ccc([C@@H](Cc2ccccc2)NCc2ccncc2)cc1 ZINC000561405751 1043946102 /nfs/dbraw/zinc/94/61/02/1043946102.db2.gz KQEWQPDDGYCUPL-OAQYLSRUSA-N 0 3 318.420 4.164 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3cc(Cl)ccc32)cc1O ZINC000777921020 1043947839 /nfs/dbraw/zinc/94/78/39/1043947839.db2.gz WRHSCUVYBDOVPT-INIZCTEOSA-N 0 3 317.816 4.174 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)N(C)c1ccccc1)c1ccccc1 ZINC000561436967 1043951323 /nfs/dbraw/zinc/95/13/23/1043951323.db2.gz MMBFVEOHIQSSGM-APWZRJJASA-N 0 3 310.441 4.025 20 0 DIADHN CCc1ncc(CNC2(c3ccc(F)cc3)CCCC2)s1 ZINC000561442600 1043951671 /nfs/dbraw/zinc/95/16/71/1043951671.db2.gz BLEXZWISQGJNJR-UHFFFAOYSA-N 0 3 304.434 4.404 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1nccc2ccccc21 ZINC000290594362 1043967039 /nfs/dbraw/zinc/96/70/39/1043967039.db2.gz GTCUEOYRBSRVCV-HNNXBMFYSA-N 0 3 320.436 4.313 20 0 DIADHN Cc1nc(CN2CC3(C[C@@H]2C)CCOCC3)sc1C(C)(C)C ZINC000930823687 1043967613 /nfs/dbraw/zinc/96/76/13/1043967613.db2.gz SESDDMDYEBTOPH-ZDUSSCGKSA-N 0 3 322.518 4.140 20 0 DIADHN CCSCCN1CCc2sc(-c3csc(C)n3)cc2C1 ZINC000357615249 1043968538 /nfs/dbraw/zinc/96/85/38/1043968538.db2.gz UNDWLSNZQUNCNJ-UHFFFAOYSA-N 0 3 324.540 4.291 20 0 DIADHN CC(C)N(CCSc1ccc(F)cc1)Cc1cccnc1 ZINC000357617433 1043968670 /nfs/dbraw/zinc/96/86/70/1043968670.db2.gz QOWLMCRQOFHANE-UHFFFAOYSA-N 0 3 304.434 4.223 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H](C)c2ccc(Cl)cc2)[n-]1 ZINC000474890148 1043969918 /nfs/dbraw/zinc/96/99/18/1043969918.db2.gz JEPYGWPGDCZWPY-UPJWGTAASA-N 0 3 320.868 4.424 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@H]2CC[C@@H](c3ccccc3)O2)C1 ZINC000879547413 1043971727 /nfs/dbraw/zinc/97/17/27/1043971727.db2.gz HUBAAOOECOVUDV-XHSDSOJGSA-N 0 3 313.363 4.181 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2cc(COC(C)(C)C)on2)C1 ZINC000474925407 1043981440 /nfs/dbraw/zinc/98/14/40/1043981440.db2.gz VFRAGACZQOZFOB-CABCVRRESA-N 0 3 308.466 4.294 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@H]([C@@H](C)O)C1 ZINC000474927719 1043983110 /nfs/dbraw/zinc/98/31/10/1043983110.db2.gz LHJQINOSUGFSIB-OLZOCXBDSA-N 0 3 313.894 4.043 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@H]([C@H](C)O)C1 ZINC000474927721 1043983362 /nfs/dbraw/zinc/98/33/62/1043983362.db2.gz LHJQINOSUGFSIB-STQMWFEESA-N 0 3 313.894 4.043 20 0 DIADHN CC[C@H](N[C@@H](CCO)c1ccccc1)c1cc(C)ccc1OC ZINC000357789818 1043985201 /nfs/dbraw/zinc/98/52/01/1043985201.db2.gz FGRRCSWJAKZJBW-OALUTQOASA-N 0 3 313.441 4.168 20 0 DIADHN Cc1cncc([C@@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)c1 ZINC000879749122 1043986481 /nfs/dbraw/zinc/98/64/81/1043986481.db2.gz DOIYVTVTMRJSQS-MSOLQXFVSA-N 0 3 300.446 4.019 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(-c2cccnc2)cc1 ZINC000357796075 1043988467 /nfs/dbraw/zinc/98/84/67/1043988467.db2.gz PGLQKYAPJVUXNM-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2[nH]nc3c2cccc3C)cc1 ZINC001137146654 1043992161 /nfs/dbraw/zinc/99/21/61/1043992161.db2.gz SBURSXXYADSJDI-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@@H]1NC/C=C/c1ccc(F)c(F)c1 ZINC000561753474 1044000676 /nfs/dbraw/zinc/00/06/76/1044000676.db2.gz RDTATFCJAMJXPP-JZIJIAHKSA-N 0 3 301.336 4.090 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2[nH]nc3c2cccc3C)cc1 ZINC001137149032 1044002811 /nfs/dbraw/zinc/00/28/11/1044002811.db2.gz BHFHGNZOWYKXJD-CQSZACIVSA-N 0 3 309.413 4.073 20 0 DIADHN C[C@H]1CN(Cc2cccc(-c3cccnc3)c2)CCC1(F)F ZINC000290999065 1044007053 /nfs/dbraw/zinc/00/70/53/1044007053.db2.gz AQMMQLVWEXVWDM-AWEZNQCLSA-N 0 3 302.368 4.226 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cn2)C(C)(C)C)cc1 ZINC000561793248 1044007975 /nfs/dbraw/zinc/00/79/75/1044007975.db2.gz YGFXVXPAXSNXGW-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(C(F)(F)F)nc1)c1ccccc1 ZINC000880103713 1044014791 /nfs/dbraw/zinc/01/47/91/1044014791.db2.gz YSVKTWKANYDWPX-WFASDCNBSA-N 0 3 324.346 4.139 20 0 DIADHN C[C@H]1C[C@H](NCCOc2ccccc2F)c2ccsc2S1 ZINC000358082008 1044017174 /nfs/dbraw/zinc/01/71/74/1044017174.db2.gz IRVIKUDSDZQYPU-FZMZJTMJSA-N 0 3 323.458 4.481 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccc(F)nc1 ZINC000931374864 1044018946 /nfs/dbraw/zinc/01/89/46/1044018946.db2.gz QGDYDMMNCXPNAK-JGFGOQIWSA-N 0 3 320.795 4.055 20 0 DIADHN CO[C@@H](C)CCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291084000 1044020970 /nfs/dbraw/zinc/02/09/70/1044020970.db2.gz CFXFLJGSJDOODY-NWDGAFQWSA-N 0 3 308.422 4.025 20 0 DIADHN CC[C@@H](OCCN1CCC(c2ncco2)CC1)c1ccccc1 ZINC000931445107 1044027429 /nfs/dbraw/zinc/02/74/29/1044027429.db2.gz FTWQMHGHYULPJO-GOSISDBHSA-N 0 3 314.429 4.022 20 0 DIADHN C[C@H](NCC(C)(C)CCO)c1csc(-c2ccccc2F)n1 ZINC000291155044 1044030653 /nfs/dbraw/zinc/03/06/53/1044030653.db2.gz IQNDCFBEQHPHGL-LBPRGKRZSA-N 0 3 322.449 4.008 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NCCC(F)(F)F ZINC000358183940 1044030925 /nfs/dbraw/zinc/03/09/25/1044030925.db2.gz FCVRFNZUKYFCBZ-GFCCVEGCSA-N 0 3 307.743 4.404 20 0 DIADHN C[N@H+](Cc1ccccc1-c1ccccc1)Cc1ncccc1[O-] ZINC000561938594 1044031299 /nfs/dbraw/zinc/03/12/99/1044031299.db2.gz SATTURSXMSOGBT-UHFFFAOYSA-N 0 3 304.393 4.086 20 0 DIADHN C[N@@H+](Cc1ccccc1-c1ccccc1)Cc1ncccc1[O-] ZINC000561938594 1044031316 /nfs/dbraw/zinc/03/13/16/1044031316.db2.gz SATTURSXMSOGBT-UHFFFAOYSA-N 0 3 304.393 4.086 20 0 DIADHN C[C@H](O)CCCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000475022345 1044033184 /nfs/dbraw/zinc/03/31/84/1044033184.db2.gz HTOUYWNQEMDOLQ-XJKSGUPXSA-N 0 3 315.379 4.393 20 0 DIADHN CCc1noc(C)c1CN1CCCSC[C@@H]1c1ccccc1 ZINC000880387000 1044034095 /nfs/dbraw/zinc/03/40/95/1044034095.db2.gz DOXILEDOMFXTAV-GOSISDBHSA-N 0 3 316.470 4.226 20 0 DIADHN Cc1sccc1CN[C@@H](c1ccccc1)C1(CO)CC=CC1 ZINC000648069086 1044035037 /nfs/dbraw/zinc/03/50/37/1044035037.db2.gz NYWCHWGXLJTNSY-SFHVURJKSA-N 0 3 313.466 4.216 20 0 DIADHN COc1cc(CNCc2ccc3c(c2)CC(C)(C)O3)ccc1C ZINC000237271519 1044040713 /nfs/dbraw/zinc/04/07/13/1044040713.db2.gz CHJWVTICBOWXBP-UHFFFAOYSA-N 0 3 311.425 4.007 20 0 DIADHN Cc1ccc2ncc(CN3CCC4(CCCCO4)CC3)cc2c1 ZINC000880458156 1044041335 /nfs/dbraw/zinc/04/13/35/1044041335.db2.gz GETKQGZEQRQEJL-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN CC[C@@H](NCc1csc(-c2ccc(C)o2)n1)[C@@H]1CCCOC1 ZINC000880467017 1044042161 /nfs/dbraw/zinc/04/21/61/1044042161.db2.gz UPQYWIVDYKENSB-UKRRQHHQSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H]1CCCc2c(O)cccc21)C(C)C ZINC000291248212 1044046566 /nfs/dbraw/zinc/04/65/66/1044046566.db2.gz PEMQCSIOOSBYJQ-HKUYNNGSSA-N 0 3 310.441 4.460 20 0 DIADHN CC(=O)Nc1cccc(CN2C[C@@H]3CC[C@H]2c2ccccc23)c1 ZINC000880539962 1044047151 /nfs/dbraw/zinc/04/71/51/1044047151.db2.gz NEMIGGWCAQFEQQ-JXFKEZNVSA-N 0 3 306.409 4.079 20 0 DIADHN Cc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)c(C)c1 ZINC000880569653 1044048605 /nfs/dbraw/zinc/04/86/05/1044048605.db2.gz NNOOAHPEDMDDMG-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN c1cn(C[C@@H](NCc2ccccc2C2CC2)c2ccccc2)cn1 ZINC000880569667 1044049435 /nfs/dbraw/zinc/04/94/35/1044049435.db2.gz NZDMIHCPBOENOZ-OAQYLSRUSA-N 0 3 317.436 4.292 20 0 DIADHN Cc1csc(CN2CCC(Oc3cccc(F)c3)CC2)c1 ZINC001137157016 1044050501 /nfs/dbraw/zinc/05/05/01/1044050501.db2.gz IILWYXKQXRFYRG-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN C[C@@H](CN[C@H](C)c1csc(-c2ccccc2F)n1)C[C@H](C)O ZINC000291278022 1044052575 /nfs/dbraw/zinc/05/25/75/1044052575.db2.gz RACIPQWBCNNJFO-FRRDWIJNSA-N 0 3 322.449 4.007 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000562058162 1044055700 /nfs/dbraw/zinc/05/57/00/1044055700.db2.gz SIXQPBUYUSESBU-MGPUTAFESA-N 0 3 314.448 4.342 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccc(C(C)C)cc1)c1nccn1C ZINC000562057936 1044056541 /nfs/dbraw/zinc/05/65/41/1044056541.db2.gz KMGQMHLJHNVAAK-QAPCUYQASA-N 0 3 315.461 4.052 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCCc4ccc(O)cc43)ccc2[nH]1 ZINC000291310851 1044056897 /nfs/dbraw/zinc/05/68/97/1044056897.db2.gz TXQHGPYYRBGZLP-HXUWFJFHSA-N 0 3 306.409 4.349 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCCOc3cc(C)ccc32)c1 ZINC000358345450 1044058114 /nfs/dbraw/zinc/05/81/14/1044058114.db2.gz ZOVHUVFTNIZJHW-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)c(F)c1 ZINC000562058213 1044058396 /nfs/dbraw/zinc/05/83/96/1044058396.db2.gz UGSYJVCVMOFAKW-XIKOKIGWSA-N 0 3 322.374 4.057 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2sccc2C)c2ccccc21 ZINC000358353929 1044059525 /nfs/dbraw/zinc/05/95/25/1044059525.db2.gz KYUJPQDWXALVNC-XJKSGUPXSA-N 0 3 314.454 4.205 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000358353313 1044059604 /nfs/dbraw/zinc/05/96/04/1044059604.db2.gz KHSJEZPSORFBQE-ORAYPTAESA-N 0 3 313.420 4.089 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2nc(C(C)C)cs2)cc1 ZINC000128571319 1044061031 /nfs/dbraw/zinc/06/10/31/1044061031.db2.gz VNFHVFMQCSIJBX-CQSZACIVSA-N 0 3 318.486 4.386 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](c2cccnc2)C2CC2)s1 ZINC000358365180 1044061889 /nfs/dbraw/zinc/06/18/89/1044061889.db2.gz IMHBFBKUFJRVDQ-BLLLJJGKSA-N 0 3 301.459 4.211 20 0 DIADHN CC(C)(C)c1nc(CN2CCCC[C@H](C3CCOCC3)C2)co1 ZINC000931708342 1044062933 /nfs/dbraw/zinc/06/29/33/1044062933.db2.gz XDWPVQZSKAMPJG-INIZCTEOSA-N 0 3 320.477 4.001 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCCc2ccc(C)cc21 ZINC000358394602 1044064245 /nfs/dbraw/zinc/06/42/45/1044064245.db2.gz HIUVBFXUFZOKKE-IRXDYDNUSA-N 0 3 301.455 4.066 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@H]1CCCOc2ccccc21 ZINC000291374678 1044067402 /nfs/dbraw/zinc/06/74/02/1044067402.db2.gz YZLHLXHMUWLECY-ROUUACIJSA-N 0 3 309.409 4.273 20 0 DIADHN CCC[C@@H](NC[C@@H](COC)OC)c1ccc(Cl)cc1Cl ZINC000358439121 1044070548 /nfs/dbraw/zinc/07/05/48/1044070548.db2.gz XJKRKJYERJRWID-SWLSCSKDSA-N 0 3 320.260 4.086 20 0 DIADHN Cc1csc(CN2CCC(Oc3cccc(Cl)n3)CC2)c1 ZINC001137160117 1044077139 /nfs/dbraw/zinc/07/71/39/1044077139.db2.gz RUNQDKFSTQSIMF-UHFFFAOYSA-N 0 3 322.861 4.148 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC(F)(F)C2)c2ccccc2)nc1 ZINC000280893453 1044081515 /nfs/dbraw/zinc/08/15/15/1044081515.db2.gz DSLCDLUYGVLOSB-CXAGYDPISA-N 0 3 320.358 4.335 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCC(F)(F)C2)c2ccccc2)nc1 ZINC000280893462 1044081729 /nfs/dbraw/zinc/08/17/29/1044081729.db2.gz DSLCDLUYGVLOSB-SUMWQHHRSA-N 0 3 320.358 4.335 20 0 DIADHN c1cncc([C@H](NCc2ccc3ncccc3c2)C2CCC2)c1 ZINC000280941156 1044084976 /nfs/dbraw/zinc/08/49/76/1044084976.db2.gz SLBXBJJJDPMENA-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN FC1(F)C[C@]2(CCN(Cc3cncc4ccccc43)C2)C1(F)F ZINC000931915342 1044085645 /nfs/dbraw/zinc/08/56/45/1044085645.db2.gz CMOXWQGOVUSBEF-HNNXBMFYSA-N 0 3 324.321 4.101 20 0 DIADHN Cn1ccnc1[C@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)C1CC1 ZINC000280996542 1044088276 /nfs/dbraw/zinc/08/82/76/1044088276.db2.gz NGJDGBZXWQBNRC-DJIMGWMZSA-N 0 3 315.848 4.060 20 0 DIADHN Cc1csc(CN2CCC3(CC(=O)c4ccccc43)CC2)c1 ZINC001137161546 1044089378 /nfs/dbraw/zinc/08/93/78/1044089378.db2.gz HIOYVXMNYYMOIO-UHFFFAOYSA-N 0 3 311.450 4.177 20 0 DIADHN CCOCCCCN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000346448035 1044103979 /nfs/dbraw/zinc/10/39/79/1044103979.db2.gz AYQKUKHAWHZCEZ-IBGZPJMESA-N 0 3 316.420 4.025 20 0 DIADHN c1csc(C[C@H]2CCCN(Cc3cnc4ccccc4c3)C2)n1 ZINC000932200146 1044109010 /nfs/dbraw/zinc/10/90/10/1044109010.db2.gz COEYGVMKIDUPDA-OAHLLOKOSA-N 0 3 323.465 4.146 20 0 DIADHN COC(C)(C)CCN(Cc1cccc(C)c1)Cc1ccccn1 ZINC000346501504 1044111034 /nfs/dbraw/zinc/11/10/34/1044111034.db2.gz PERWWXBJOIGSPP-UHFFFAOYSA-N 0 3 312.457 4.207 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC2([C@H](C)CCO)CCC2)cs1 ZINC000346527215 1044112113 /nfs/dbraw/zinc/11/21/13/1044112113.db2.gz IOEZGVBOQMODCD-ZIAGYGMSSA-N 0 3 310.507 4.106 20 0 DIADHN Cc1ccccc1CN[C@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000358930522 1044112212 /nfs/dbraw/zinc/11/22/12/1044112212.db2.gz ICBWJZAHUUVANY-FQEVSTJZSA-N 0 3 317.436 4.348 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCC[C@H]2NCc1ccccc1 ZINC000358942106 1044113322 /nfs/dbraw/zinc/11/33/22/1044113322.db2.gz ZRQQEUYCQJMZCQ-LJQANCHMSA-N 0 3 303.409 4.040 20 0 DIADHN C[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C(C)(C)C)o1 ZINC000932279591 1044114407 /nfs/dbraw/zinc/11/44/07/1044114407.db2.gz QFRGGBCFDYZREI-GDBMZVCRSA-N 0 3 313.445 4.135 20 0 DIADHN COCc1nc(CN2CCC[C@H]2[C@H](C)c2ccccc2)cs1 ZINC000932277352 1044114547 /nfs/dbraw/zinc/11/45/47/1044114547.db2.gz HRCDNIJNNVCZMC-PBHICJAKSA-N 0 3 316.470 4.058 20 0 DIADHN Fc1cncc([C@H](NCc2ccc(C(F)(F)F)cc2)C2CC2)c1 ZINC000281307330 1044114719 /nfs/dbraw/zinc/11/47/19/1044114719.db2.gz RQCSTGJIDQIFQZ-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(-c2ccco2)o1 ZINC000932279240 1044114687 /nfs/dbraw/zinc/11/46/87/1044114687.db2.gz MODZSKKGGKFWIL-HOCLYGCPSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1c([C@H](C)NCCC2(C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000358980388 1044117136 /nfs/dbraw/zinc/11/71/36/1044117136.db2.gz HZGOTZADJFCIES-LBPRGKRZSA-N 0 3 318.852 4.070 20 0 DIADHN Cc1cccc(CN(CCc2cccnc2)Cc2ccco2)c1 ZINC000358991057 1044117784 /nfs/dbraw/zinc/11/77/84/1044117784.db2.gz WWSJRJPEBDQNHL-UHFFFAOYSA-N 0 3 306.409 4.228 20 0 DIADHN C[C@H](NCc1cccc(-c2cccnc2)c1)c1cncc(F)c1 ZINC000358993994 1044119762 /nfs/dbraw/zinc/11/97/62/1044119762.db2.gz FTXLRIKFLUGLLQ-AWEZNQCLSA-N 0 3 307.372 4.134 20 0 DIADHN COCCCC[C@H](N[C@H](C)c1cncs1)c1ccccc1 ZINC000359015314 1044120926 /nfs/dbraw/zinc/12/09/26/1044120926.db2.gz CLWYSCXFQYAELX-ZBFHGGJFSA-N 0 3 304.459 4.352 20 0 DIADHN c1cnc([C@@H](NCCCc2cccc3ccccc32)C2CC2)nc1 ZINC000932360579 1044121570 /nfs/dbraw/zinc/12/15/70/1044121570.db2.gz MQNUOEINJFXRDB-FQEVSTJZSA-N 0 3 317.436 4.303 20 0 DIADHN O[C@H](CN[C@H](c1ccccn1)C1CC1)c1ccc2ccccc2c1 ZINC000932380381 1044121598 /nfs/dbraw/zinc/12/15/98/1044121598.db2.gz SFSDYJIDFFJYDM-RTWAWAEBSA-N 0 3 318.420 4.009 20 0 DIADHN COCCCC[C@@H](N[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000359035385 1044123632 /nfs/dbraw/zinc/12/36/32/1044123632.db2.gz KHVCGPGSXXQQCZ-HNAYVOBHSA-N 0 3 316.420 4.429 20 0 DIADHN C[C@@H]1C[C@@H](CN(Cc2nc3ccccc3s2)C2CC2)CCO1 ZINC000932397333 1044126520 /nfs/dbraw/zinc/12/65/20/1044126520.db2.gz SRUBOVBHNHBGAN-KGLIPLIRSA-N 0 3 316.470 4.076 20 0 DIADHN CCC1(CC)[C@H](NC2(c3nc(C)cs3)CCC2)C[C@H]1OC ZINC000346661067 1044128063 /nfs/dbraw/zinc/12/80/63/1044128063.db2.gz UZPJUVITPKJFAY-ZIAGYGMSSA-N 0 3 308.491 4.014 20 0 DIADHN Cc1ccc([C@H]2CCCN2[C@H](C)C(=O)N(C(C)C)C(C)C)s1 ZINC000359078472 1044128829 /nfs/dbraw/zinc/12/88/29/1044128829.db2.gz SRQRKVNCMPKSOE-HZPDHXFCSA-N 0 3 322.518 4.227 20 0 DIADHN CC(C)c1ccc(CN[C@H](C)c2ccc(C(=O)N(C)C)cc2)cc1 ZINC000292096660 1044136667 /nfs/dbraw/zinc/13/66/67/1044136667.db2.gz JFFDGXNATYOTCT-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN CC[C@@H](NC1(c2ccccc2)CC1)c1ccc(OC)c(OC)c1 ZINC000346737009 1044136737 /nfs/dbraw/zinc/13/67/37/1044136737.db2.gz ZFMOOJCRQAWOCA-QGZVFWFLSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1cc(CN[C@H](c2nnc[nH]2)C2CCCCC2)ccc1Cl ZINC000292107720 1044137432 /nfs/dbraw/zinc/13/74/32/1044137432.db2.gz JYQILNZYBCSPMS-INIZCTEOSA-N 0 3 318.852 4.178 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3c(C4CC4)nc4sccn34)C2)C1 ZINC000359310229 1044153889 /nfs/dbraw/zinc/15/38/89/1044153889.db2.gz RPEDKCWCGSYQME-ACJLOTCBSA-N 0 3 315.486 4.285 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(OC)c(OC)c1 ZINC000359331124 1044155845 /nfs/dbraw/zinc/15/58/45/1044155845.db2.gz LWZZAEJCOHMTHS-UONOGXRCSA-N 0 3 314.429 4.073 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000359330507 1044156434 /nfs/dbraw/zinc/15/64/34/1044156434.db2.gz KZAKJADBEQLVNT-KBPBESRZSA-N 0 3 311.429 4.014 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1n[nH]c(C(C)C)n1)c1ccc(Cl)cc1 ZINC000428322950 1044157274 /nfs/dbraw/zinc/15/72/74/1044157274.db2.gz IJJMGKLYQVMHQJ-MLGOLLRUSA-N 0 3 320.868 4.459 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](C)c2ccncc2CC)s1 ZINC000359350920 1044158821 /nfs/dbraw/zinc/15/88/21/1044158821.db2.gz XORLCQVGCZLELL-VXGBXAGGSA-N 0 3 303.475 4.383 20 0 DIADHN CC[C@H]1CCCN1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639470803 1044160768 /nfs/dbraw/zinc/16/07/68/1044160768.db2.gz IRFGTFPRYKQPJX-HNNXBMFYSA-N 0 3 307.397 4.264 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)c2ccccc2C)c(CN(C)C)c1 ZINC000932808090 1044161312 /nfs/dbraw/zinc/16/13/12/1044161312.db2.gz PDMRQSQHUZJNDH-MRXNPFEDSA-N 0 3 310.441 4.107 20 0 DIADHN c1cncc([C@@H]2CCCCN2Cc2cccc3cccnc32)c1 ZINC001137176197 1044162492 /nfs/dbraw/zinc/16/24/92/1044162492.db2.gz VXUIMPBEBTVIAS-IBGZPJMESA-N 0 3 303.409 4.357 20 0 DIADHN O[C@@H](CNC(c1ccccc1)c1ccccc1)c1ccc(F)cc1 ZINC000025217694 1044165991 /nfs/dbraw/zinc/16/59/91/1044165991.db2.gz QJVIHJWXYBUZQO-FQEVSTJZSA-N 0 3 321.395 4.238 20 0 DIADHN OCC1(CN[C@H]2CCCc3c(Cl)sc(Cl)c32)CCC1 ZINC000346876317 1044166778 /nfs/dbraw/zinc/16/67/78/1044166778.db2.gz JXFMIPJWZVTWRZ-JTQLQIEISA-N 0 3 320.285 4.185 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000359537777 1044174098 /nfs/dbraw/zinc/17/40/98/1044174098.db2.gz AKVCZQBACAYCIJ-GZRFBZBPSA-N 0 3 314.473 4.143 20 0 DIADHN COc1cccc(CN[C@@H]2CCCOc3c(C)cc(C)cc32)c1 ZINC000359520415 1044174453 /nfs/dbraw/zinc/17/44/53/1044174453.db2.gz AKMIGMXOOQZCJS-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000359563718 1044175955 /nfs/dbraw/zinc/17/59/55/1044175955.db2.gz SWXFEWISJVESQG-CLCXKQKWSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](C2CCOCC2)C1 ZINC000933081247 1044181738 /nfs/dbraw/zinc/18/17/38/1044181738.db2.gz KVVPNLHFGRTFPZ-ZBFHGGJFSA-N 0 3 314.429 4.027 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C1CCC1)C1CCC1 ZINC000292543574 1044182788 /nfs/dbraw/zinc/18/27/88/1044182788.db2.gz YAIQCOMAULINBI-UHFFFAOYSA-N 0 3 314.473 4.464 20 0 DIADHN CCc1cnc(CN[C@@H]2CCC[C@H](c3ncccc3C)C2)s1 ZINC000281741927 1044187558 /nfs/dbraw/zinc/18/75/58/1044187558.db2.gz VOXQOXJNLJAFLV-LSDHHAIUSA-N 0 3 315.486 4.225 20 0 DIADHN Fc1cncc([C@H](NCc2ccc(C(F)F)cc2)C2CC2)c1 ZINC000281799426 1044193880 /nfs/dbraw/zinc/19/38/80/1044193880.db2.gz YGYWYEZXAPZIQY-MRXNPFEDSA-N 0 3 306.331 4.399 20 0 DIADHN CCN(C)c1ccc(CN[C@H]2CCC(C)(C)c3ccccc32)cn1 ZINC000359737964 1044194384 /nfs/dbraw/zinc/19/43/84/1044194384.db2.gz GDINRTRHPJBFQQ-IBGZPJMESA-N 0 3 323.484 4.440 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(Cl)c2N)C2CC2)cc1 ZINC000882881403 1044201118 /nfs/dbraw/zinc/20/11/18/1044201118.db2.gz LRHRNKZXNYFKMP-SFHVURJKSA-N 0 3 316.832 4.172 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc(C)nc2C)cc1 ZINC000359852955 1044208813 /nfs/dbraw/zinc/20/88/13/1044208813.db2.gz ZHIPWUGSJZHQFD-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(OC(C)(C)C)CC1 ZINC000292795909 1044212548 /nfs/dbraw/zinc/21/25/48/1044212548.db2.gz LYQYXZDXYSPFPD-UHFFFAOYSA-N 0 3 304.478 4.293 20 0 DIADHN CSCC[C@H](C)NCc1csc(-c2ccc(F)cc2)n1 ZINC000130744206 1044213902 /nfs/dbraw/zinc/21/39/02/1044213902.db2.gz YOWPOQSFOMVBLM-NSHDSACASA-N 0 3 310.463 4.180 20 0 DIADHN C[C@@H]1C[C@H](C)N(Cc2nnc(-c3cccc4ccccc43)o2)C1 ZINC000639495678 1044214371 /nfs/dbraw/zinc/21/43/71/1044214371.db2.gz SQVFUHLCKXKRAO-KGLIPLIRSA-N 0 3 307.397 4.120 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc(Cl)c2N)cc1 ZINC000883110602 1044223045 /nfs/dbraw/zinc/22/30/45/1044223045.db2.gz FUBDCZQMKNQDJW-LLVKDONJSA-N 0 3 306.862 4.495 20 0 DIADHN C[S@](=O)c1ccc(CN2CCCC3(CCCCC3)CC2)cc1 ZINC000347273770 1044223379 /nfs/dbraw/zinc/22/33/79/1044223379.db2.gz SYQRWLXTHGDQJC-QFIPXVFZSA-N 0 3 319.514 4.360 20 0 DIADHN C[C@H](NCc1ccc2oc(=O)oc2c1)c1cccc(C2CC2)c1 ZINC000883131600 1044224804 /nfs/dbraw/zinc/22/48/04/1044224804.db2.gz JVFBZIMXVVQHPZ-LBPRGKRZSA-N 0 3 309.365 4.114 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCCC[C@H]2C2CC2)c(F)c1 ZINC000563195286 1044229659 /nfs/dbraw/zinc/22/96/59/1044229659.db2.gz OOBKHMWJUUXKSQ-JKSUJKDBSA-N 0 3 318.436 4.042 20 0 DIADHN O[C@@H]1CCCC[C@@H]1N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000360041820 1044230569 /nfs/dbraw/zinc/23/05/69/1044230569.db2.gz ZTPUXWKCCZCPDQ-GARJFASQSA-N 0 3 320.285 4.325 20 0 DIADHN CCC[C@](C)(NCc1cccc(NC(C)=O)c1)c1ccccc1 ZINC000934185135 1044231333 /nfs/dbraw/zinc/23/13/33/1044231333.db2.gz FYENDXYKAKJIRM-FQEVSTJZSA-N 0 3 310.441 4.450 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)Cc3cccnc3)cs2)cc1 ZINC000934238799 1044233809 /nfs/dbraw/zinc/23/38/09/1044233809.db2.gz LRWKZIQNQYZDPR-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cc(Br)ccc1[C@@H](C)N[C@H](C)c1ccc[nH]1 ZINC000883209221 1044234340 /nfs/dbraw/zinc/23/43/40/1044234340.db2.gz XSNKUQQGTUNEHB-VXGBXAGGSA-N 0 3 307.235 4.497 20 0 DIADHN Cc1cccnc1[C@@H](NC[C@H]1CC1(Cl)Cl)C(C)(C)C ZINC000934295936 1044234992 /nfs/dbraw/zinc/23/49/92/1044234992.db2.gz ZQXKHWAEXSJFKV-DGCLKSJQSA-N 0 3 301.261 4.261 20 0 DIADHN CCSc1ccc(CNCc2cccc3c2CCOC3)cc1 ZINC000883234824 1044241289 /nfs/dbraw/zinc/24/12/89/1044241289.db2.gz NCDADYWBZCDMHT-UHFFFAOYSA-N 0 3 313.466 4.161 20 0 DIADHN c1nc(CN[C@H]2CCOC23CCCC3)sc1-c1ccccc1 ZINC000934386389 1044245324 /nfs/dbraw/zinc/24/53/24/1044245324.db2.gz ZFUQDDQSGFHFOW-INIZCTEOSA-N 0 3 314.454 4.001 20 0 DIADHN CCCCN(CC)Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000522946230 1044250093 /nfs/dbraw/zinc/25/00/93/1044250093.db2.gz DEOYDKIRDMPABR-UHFFFAOYSA-N 0 3 300.233 4.263 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@@H](c2nccn2C)C1 ZINC000563351093 1044250570 /nfs/dbraw/zinc/25/05/70/1044250570.db2.gz WEAAUUYTISQRHM-DGCLKSJQSA-N 0 3 324.255 4.277 20 0 DIADHN COCC[C@H](NC[C@H](OC(C)C)c1ccccc1)c1ccco1 ZINC000293134537 1044251517 /nfs/dbraw/zinc/25/15/17/1044251517.db2.gz LLPIYWLJIIDMLA-HKUYNNGSSA-N 0 3 317.429 4.113 20 0 DIADHN CC(C)c1nc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)n[nH]1 ZINC000293139710 1044252433 /nfs/dbraw/zinc/25/24/33/1044252433.db2.gz LSUUTXXAYHJTIZ-QGZVFWFLSA-N 0 3 316.424 4.088 20 0 DIADHN CC(C)c1nc(CN[C@H](c2ccc(F)cc2)C2CCCC2)n[nH]1 ZINC000293139694 1044252502 /nfs/dbraw/zinc/25/25/02/1044252502.db2.gz LSUUTXXAYHJTIZ-KRWDZBQOSA-N 0 3 316.424 4.088 20 0 DIADHN CCCC[C@@H](COC)NCc1cc(Cl)cc(Cl)c1OC ZINC000522962067 1044255024 /nfs/dbraw/zinc/25/50/24/1044255024.db2.gz VJWKLVVKLZROPF-ZDUSSCGKSA-N 0 3 320.260 4.297 20 0 DIADHN C=C/C=C/CCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000883268397 1044255409 /nfs/dbraw/zinc/25/54/09/1044255409.db2.gz KDKOHCXHMRYUIV-PCAWENJQSA-N 0 3 313.264 4.079 20 0 DIADHN FC1(F)CCC[C@H]1CCNCc1csc(-c2ccccn2)n1 ZINC000883267125 1044255891 /nfs/dbraw/zinc/25/58/91/1044255891.db2.gz TYKPPEDWCOYDHN-LBPRGKRZSA-N 0 3 323.412 4.120 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1nnc(-c2ccccc2F)s1 ZINC000563464188 1044267346 /nfs/dbraw/zinc/26/73/46/1044267346.db2.gz QTEFNIUVKBURRX-MJVIPROJSA-N 0 3 305.422 4.183 20 0 DIADHN c1nc(-c2ccccc2)sc1CN[C@@H](c1ccncc1)C1CC1 ZINC000934686371 1044268086 /nfs/dbraw/zinc/26/80/86/1044268086.db2.gz LIGNFUHTVKFNHC-GOSISDBHSA-N 0 3 321.449 4.446 20 0 DIADHN FC(F)(F)Oc1ccc(CN[C@H](c2ccncc2)C2CC2)cc1 ZINC000934683464 1044268334 /nfs/dbraw/zinc/26/83/34/1044268334.db2.gz QFMHZWPDVCHEBP-INIZCTEOSA-N 0 3 322.330 4.221 20 0 DIADHN Cc1nocc1CN[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000293289341 1044268388 /nfs/dbraw/zinc/26/83/88/1044268388.db2.gz OPZGCIAWHSXSNZ-NNUKFRKNSA-N 0 3 310.319 4.038 20 0 DIADHN Cc1ccoc1CN[C@@H](C)CC(=O)Nc1ccc(C)cc1Cl ZINC000293300757 1044269679 /nfs/dbraw/zinc/26/96/79/1044269679.db2.gz DQXLHJDKZBCFEZ-ZDUSSCGKSA-N 0 3 320.820 4.057 20 0 DIADHN CC(C)COc1cccc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934701165 1044273744 /nfs/dbraw/zinc/27/37/44/1044273744.db2.gz LMPSRBXARLQZAC-HXUWFJFHSA-N 0 3 310.441 4.357 20 0 DIADHN FC(F)(F)Oc1cccc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934701243 1044274587 /nfs/dbraw/zinc/27/45/87/1044274587.db2.gz NGZFQSCHRNFMRI-MRXNPFEDSA-N 0 3 322.330 4.221 20 0 DIADHN Cc1ccnc(CN2CC[C@@H](C3CCCC3)C2)c1Br ZINC001308827962 1044274820 /nfs/dbraw/zinc/27/48/20/1044274820.db2.gz VKQHTPOEJARUPQ-CQSZACIVSA-N 0 3 323.278 4.165 20 0 DIADHN c1onc(Cc2ccccc2)c1CN[C@@H]1C[C@@H]1C1CCCCC1 ZINC000563500464 1044274950 /nfs/dbraw/zinc/27/49/50/1044274950.db2.gz HSPKSUVWTFFSDQ-UYAOXDASSA-N 0 3 310.441 4.324 20 0 DIADHN c1onc(Cc2ccccc2)c1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC000563500462 1044275165 /nfs/dbraw/zinc/27/51/65/1044275165.db2.gz HSPKSUVWTFFSDQ-QUCCMNQESA-N 0 3 310.441 4.324 20 0 DIADHN COc1ccc2nc(CN(C)C[C@@H]3CC=CCC3)sc2c1 ZINC000475111227 1044276029 /nfs/dbraw/zinc/27/60/29/1044276029.db2.gz COHUOWLFLWBSFC-CYBMUJFWSA-N 0 3 302.443 4.093 20 0 DIADHN CC[C@H](N[C@@H]1CCCOc2c(OC)cccc21)c1ccncc1 ZINC000883331043 1044276496 /nfs/dbraw/zinc/27/64/96/1044276496.db2.gz QVUCKLHMUTUZCS-DLBZAZTESA-N 0 3 312.413 4.045 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2cccs2)s1 ZINC000293427950 1044283599 /nfs/dbraw/zinc/28/35/99/1044283599.db2.gz AZJFQFGPGJMECK-UHFFFAOYSA-N 0 3 312.529 4.135 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1CCCC[C@H]([C@H]2CCOC2)C1 ZINC000934838708 1044287107 /nfs/dbraw/zinc/28/71/07/1044287107.db2.gz NPRRMSXWRVKIDP-PMPSAXMXSA-N 0 3 320.477 4.172 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(C3CC3)c2)c(CN(C)C)c1 ZINC000563630356 1044287478 /nfs/dbraw/zinc/28/74/78/1044287478.db2.gz SZVUSGABXBFKLZ-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c1C ZINC000360589193 1044288273 /nfs/dbraw/zinc/28/82/73/1044288273.db2.gz PCDOPCRTLIIYAX-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCCC[C@H](C)C2)c(C)c1 ZINC000360628169 1044291294 /nfs/dbraw/zinc/29/12/94/1044291294.db2.gz GLBLJMPBEMSGAS-SUMWQHHRSA-N 0 3 302.462 4.061 20 0 DIADHN c1ccc2ncc(CN[C@H](c3cccnc3)C3CCC3)cc2c1 ZINC000360658095 1044296782 /nfs/dbraw/zinc/29/67/82/1044296782.db2.gz QUABAQAEQVWOPR-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc(-n2cccn2)cc1 ZINC000360687367 1044299641 /nfs/dbraw/zinc/29/96/41/1044299641.db2.gz CASITFMOWOJAJM-CZUORRHYSA-N 0 3 323.362 4.035 20 0 DIADHN c1cn(C[C@H](N[C@@H]2CCCc3sccc32)c2ccccc2)cn1 ZINC000883386135 1044300215 /nfs/dbraw/zinc/30/02/15/1044300215.db2.gz AFFVNPRWKBSHAQ-MSOLQXFVSA-N 0 3 323.465 4.353 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](Cn2ccnc2)c2ccccc2)c1 ZINC000883386916 1044300908 /nfs/dbraw/zinc/30/09/08/1044300908.db2.gz OPDYEOKTOWHRAK-YLJYHZDGSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1scc(CN[C@H](Cn2ccnc2)c2ccccc2)c1C ZINC000883397587 1044304226 /nfs/dbraw/zinc/30/42/26/1044304226.db2.gz QCACDXSZPSOWAV-GOSISDBHSA-N 0 3 311.454 4.093 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000293605797 1044304566 /nfs/dbraw/zinc/30/45/66/1044304566.db2.gz DTEXMUFALYRODO-CABCVRRESA-N 0 3 324.403 4.127 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883388331 1044304434 /nfs/dbraw/zinc/30/44/34/1044304434.db2.gz SBPGRXMUEKSIRG-UZLBHIALSA-N 0 3 323.415 4.423 20 0 DIADHN Cc1nc(CNC2(C)CCCCC2)sc1Br ZINC000719305605 1044307986 /nfs/dbraw/zinc/30/79/86/1044307986.db2.gz WXKXEOZDRUVDDH-UHFFFAOYSA-N 0 3 303.269 4.026 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1cccc2cccnc21 ZINC000883463621 1044308160 /nfs/dbraw/zinc/30/81/60/1044308160.db2.gz UQMYDOFSMLKRKQ-LJQANCHMSA-N 0 3 303.409 4.270 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1cccc2cccnc21 ZINC000883463620 1044308438 /nfs/dbraw/zinc/30/84/38/1044308438.db2.gz UQMYDOFSMLKRKQ-IBGZPJMESA-N 0 3 303.409 4.270 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1cnc2ccccc2c1 ZINC000883464148 1044311414 /nfs/dbraw/zinc/31/14/14/1044311414.db2.gz GYTJJZFRJHAYFA-IBGZPJMESA-N 0 3 303.409 4.270 20 0 DIADHN Cc1c2ccccc2n(C)c1CNCc1cnc(C(C)C)s1 ZINC000475193359 1044312342 /nfs/dbraw/zinc/31/23/42/1044312342.db2.gz CLXIWCBUYXCSKW-UHFFFAOYSA-N 0 3 313.470 4.356 20 0 DIADHN FC(F)c1ccc(NC2CCN(Cc3ccncc3)CC2)cc1 ZINC000897101970 1044312896 /nfs/dbraw/zinc/31/28/96/1044312896.db2.gz CABJSKCHTWQXMJ-UHFFFAOYSA-N 0 3 317.383 4.096 20 0 DIADHN Cc1nnsc1CN[C@H]1CCCc2c3ccccc3sc21 ZINC000293700781 1044315386 /nfs/dbraw/zinc/31/53/86/1044315386.db2.gz OIKDDAMMSVGWOA-ZDUSSCGKSA-N 0 3 315.467 4.228 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1cc2cc(F)ccc2o1 ZINC000360849078 1044319113 /nfs/dbraw/zinc/31/91/13/1044319113.db2.gz WWRXXIKLOXLHQC-CXAGYDPISA-N 0 3 305.393 4.257 20 0 DIADHN CC[C@H](NCc1ccc(OCC(F)(F)F)cc1)c1ccncc1 ZINC000360896814 1044324479 /nfs/dbraw/zinc/32/44/79/1044324479.db2.gz UIORZMSOOURBAZ-INIZCTEOSA-N 0 3 324.346 4.264 20 0 DIADHN CC(C)[C@H](CN(C)Cc1cn2c(n1)CCCC2)c1ccccc1 ZINC000475214334 1044327288 /nfs/dbraw/zinc/32/72/88/1044327288.db2.gz YQUSRDSGMQZEJT-IBGZPJMESA-N 0 3 311.473 4.091 20 0 DIADHN CCCc1ccc([C@H](C)N[C@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360910030 1044327815 /nfs/dbraw/zinc/32/78/15/1044327815.db2.gz QWOQGFDBZAPZJS-OXJNMPFZSA-N 0 3 324.468 4.167 20 0 DIADHN FC(F)C1(CNCc2c(Cl)cccc2N2CCCC2)CC1 ZINC000475224533 1044330017 /nfs/dbraw/zinc/33/00/17/1044330017.db2.gz PQLHQFGNEGMXPT-UHFFFAOYSA-N 0 3 314.807 4.075 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)c(C)s1 ZINC000192846990 1044331330 /nfs/dbraw/zinc/33/13/30/1044331330.db2.gz JALXSQZKJOSBGY-RYUDHWBXSA-N 0 3 317.458 4.267 20 0 DIADHN Cc1cccc2ncc(CN(C)Cc3cccc4ccccc43)n21 ZINC001137196691 1044333057 /nfs/dbraw/zinc/33/30/57/1044333057.db2.gz WDIBBISXTWPNHJ-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN OCC[C@H](N[C@H]1CCc2c1cccc2F)c1ccc(Cl)cc1 ZINC000348233965 1044336360 /nfs/dbraw/zinc/33/63/60/1044336360.db2.gz WOGWDLSHZMGOKM-ROUUACIJSA-N 0 3 319.807 4.180 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NCc1ccc(C2CCCC2)cc1 ZINC000360985387 1044338426 /nfs/dbraw/zinc/33/84/26/1044338426.db2.gz VTLLLTGVNRRYDM-IBGZPJMESA-N 0 3 309.457 4.245 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(OC(F)(F)F)c1)c1ccncc1 ZINC000132917462 1044339381 /nfs/dbraw/zinc/33/93/81/1044339381.db2.gz LLMYOOICRNVCHE-NEPJUHHUSA-N 0 3 310.319 4.392 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(OC(F)(F)F)c1)c1ccncc1 ZINC000132917286 1044339998 /nfs/dbraw/zinc/33/99/98/1044339998.db2.gz LLMYOOICRNVCHE-RYUDHWBXSA-N 0 3 310.319 4.392 20 0 DIADHN COc1ccc2oc(CN3CC[C@H](C(F)(F)F)[C@@H]3C)cc2c1 ZINC000475278535 1044340060 /nfs/dbraw/zinc/34/00/60/1044340060.db2.gz KQCZAEDYWGPBGA-HZMBPMFUSA-N 0 3 313.319 4.214 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2ccc(C)c(Cl)n2)C1(C)C ZINC001307757298 1044342681 /nfs/dbraw/zinc/34/26/81/1044342681.db2.gz MEKJOEDRHHJRDD-HUUCEWRRSA-N 0 3 310.869 4.117 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CN(C)C)c2ccc(F)cc2)c2ccccc21 ZINC000564153554 1044349257 /nfs/dbraw/zinc/34/92/57/1044349257.db2.gz VVSLQBKJCQEMFN-UAOJZALGSA-N 0 3 312.432 4.267 20 0 DIADHN O[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1)C1CCCCC1 ZINC000475309052 1044354407 /nfs/dbraw/zinc/35/44/07/1044354407.db2.gz FKRZUACDFXDQEK-JKSUJKDBSA-N 0 3 315.379 4.211 20 0 DIADHN Cc1ccc(CN(CC(C)C)[C@H]2CCCc3cccnc32)cn1 ZINC000361053020 1044354620 /nfs/dbraw/zinc/35/46/20/1044354620.db2.gz KQYKIAGPHFDHFQ-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCc1cccc(N(C)C)c1)CCCO2 ZINC000361113261 1044360473 /nfs/dbraw/zinc/36/04/73/1044360473.db2.gz LIPCJJIGBYSLRD-FQEVSTJZSA-N 0 3 324.468 4.373 20 0 DIADHN Cc1ccc([C@H](N[C@@H](C)CC2CCOCC2)c2ccccn2)cc1 ZINC000475329672 1044362872 /nfs/dbraw/zinc/36/28/72/1044362872.db2.gz ACUQKZXNNXDZHG-UWJYYQICSA-N 0 3 324.468 4.274 20 0 DIADHN CCCCc1oc2ccccc2c1C[NH2+]Cc1ncccc1[O-] ZINC000475330912 1044365117 /nfs/dbraw/zinc/36/51/17/1044365117.db2.gz GWOKDLVYJKQEBC-UHFFFAOYSA-N 0 3 310.397 4.166 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@H](c3ccc(F)cc3)C2)s1 ZINC000475331604 1044365751 /nfs/dbraw/zinc/36/57/51/1044365751.db2.gz HHHPRMUNNWWOFL-DZGCQCFKSA-N 0 3 304.434 4.271 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2ccsc2C)cc1 ZINC000294022709 1044367326 /nfs/dbraw/zinc/36/73/26/1044367326.db2.gz HNBCIMRVUNBFAH-UHFFFAOYSA-N 0 3 302.443 4.085 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNCC1=CCCCC1 ZINC001202595319 1044367851 /nfs/dbraw/zinc/36/78/51/1044367851.db2.gz UNQAXMPKJUGYFY-UHFFFAOYSA-N 0 3 309.457 4.388 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)NCc1c(F)cc(C)cc1F ZINC000897213061 1044372275 /nfs/dbraw/zinc/37/22/75/1044372275.db2.gz NXJOBTPEWIHQCR-GTJPDFRWSA-N 0 3 319.332 4.086 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](N[C@@H]1C[C@@H]1C(F)F)CCCO2 ZINC000348266113 1044381554 /nfs/dbraw/zinc/38/15/54/1044381554.db2.gz BAGLXUBHAWQKTJ-CYZMBNFOSA-N 0 3 301.764 4.105 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](N[C@@H]1C[C@H]1C(F)F)CCCO2 ZINC000348266114 1044381641 /nfs/dbraw/zinc/38/16/41/1044381641.db2.gz BAGLXUBHAWQKTJ-RAIGVLPGSA-N 0 3 301.764 4.105 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN(C)Cc1ccoc1 ZINC000361295180 1044384817 /nfs/dbraw/zinc/38/48/17/1044384817.db2.gz DBFVRCPDCNRGNR-UHFFFAOYSA-N 0 3 311.429 4.240 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cncc(C(F)(F)F)c2)C1 ZINC000361318860 1044390300 /nfs/dbraw/zinc/39/03/00/1044390300.db2.gz QQIDHRXOZIOIKV-KGLIPLIRSA-N 0 3 318.408 4.206 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cncc(C(F)(F)F)c2)C1 ZINC000361318861 1044390503 /nfs/dbraw/zinc/39/05/03/1044390503.db2.gz QQIDHRXOZIOIKV-UONOGXRCSA-N 0 3 318.408 4.206 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cncc(C(F)(F)F)c1 ZINC000361322803 1044392420 /nfs/dbraw/zinc/39/24/20/1044392420.db2.gz AGGAAWXAKNGMDT-NSHDSACASA-N 0 3 306.397 4.064 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@H](C)c1cccc(CO)c1 ZINC000282870281 1044392991 /nfs/dbraw/zinc/39/29/91/1044392991.db2.gz VERFKMGUJXCVOY-ZIAGYGMSSA-N 0 3 301.455 4.313 20 0 DIADHN Cc1ccc2c(CN(C)[C@H]3CCc4ccccc43)cc(=O)oc2c1 ZINC000029484564 1044395906 /nfs/dbraw/zinc/39/59/06/1044395906.db2.gz GMTVDMUIELAIGC-IBGZPJMESA-N 0 3 319.404 4.221 20 0 DIADHN Cc1cccc(C(=O)N(CCN2CCCC2)c2ccccc2)c1C ZINC000564690064 1044396879 /nfs/dbraw/zinc/39/68/79/1044396879.db2.gz XPSMOEQZJAFDNW-UHFFFAOYSA-N 0 3 322.452 4.046 20 0 DIADHN Cc1cc2oc(=O)cc(CN[C@H](C)c3ccccc3)c2cc1C ZINC000007783091 1044397311 /nfs/dbraw/zinc/39/73/11/1044397311.db2.gz IWBDSUHZORZTTI-OAHLLOKOSA-N 0 3 307.393 4.261 20 0 DIADHN CC(C)c1ccc(CN(Cc2c[nH]c3nccnc23)C2CC2)cc1 ZINC000564761658 1044402494 /nfs/dbraw/zinc/40/24/94/1044402494.db2.gz LNFVZOWWSJZSQO-UHFFFAOYSA-N 0 3 320.440 4.198 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@H](C(C)(C)C)C3)o2)c(C)o1 ZINC000361543138 1044416425 /nfs/dbraw/zinc/41/64/25/1044416425.db2.gz KWALVABKEVATGS-AWEZNQCLSA-N 0 3 317.433 4.205 20 0 DIADHN CC(C)CSCCCN1Cc2ccc(O)cc2C2(CC2)C1 ZINC000361563636 1044420765 /nfs/dbraw/zinc/42/07/65/1044420765.db2.gz JJACJOSKKCLRIH-UHFFFAOYSA-N 0 3 305.487 4.019 20 0 DIADHN COCCN(Cc1cnc(C2CCC2)s1)[C@@H]1CC[C@H](C)C1 ZINC000361641315 1044426703 /nfs/dbraw/zinc/42/67/03/1044426703.db2.gz YSBCGUHQYDNXIR-DZGCQCFKSA-N 0 3 308.491 4.048 20 0 DIADHN Cc1ccncc1CCCN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000565331275 1044427918 /nfs/dbraw/zinc/42/79/18/1044427918.db2.gz HKULNXDXAQKLQX-CQSZACIVSA-N 0 3 317.502 4.427 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc(Cl)c1N)CCC2 ZINC001202639178 1044430179 /nfs/dbraw/zinc/43/01/79/1044430179.db2.gz VCRBBIREMVVUKH-KRWDZBQOSA-N 0 3 300.833 4.398 20 0 DIADHN CC(C)c1cccc(CN[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC001202637752 1044430385 /nfs/dbraw/zinc/43/03/85/1044430385.db2.gz GTMJQYBYLVKIKF-HXUWFJFHSA-N 0 3 314.448 4.342 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(C)C[C@@H](C)c1nccs1 ZINC000294537754 1044431544 /nfs/dbraw/zinc/43/15/44/1044431544.db2.gz KCQMELVLJRXNQR-CYBMUJFWSA-N 0 3 303.475 4.205 20 0 DIADHN CC(C)(CO)[C@H](NCc1cc(Cl)cs1)c1ccccc1 ZINC000565566417 1044443354 /nfs/dbraw/zinc/44/33/54/1044443354.db2.gz LRFLYUBDAPJQFF-OAHLLOKOSA-N 0 3 309.862 4.251 20 0 DIADHN C[C@@H](N[C@H](C)c1cccnc1)c1ccc(OCC(F)(F)F)cc1 ZINC000112536083 1044443558 /nfs/dbraw/zinc/44/35/58/1044443558.db2.gz WSVUAYNLCJNUNP-CHWSQXEVSA-N 0 3 324.346 4.435 20 0 DIADHN CCCCCC(C)(C)C[NH2+][C@H](c1cccs1)c1nnc[n-]1 ZINC000294689618 1044448139 /nfs/dbraw/zinc/44/81/39/1044448139.db2.gz IMMFFHKNBKLPPN-CQSZACIVSA-N 0 3 306.479 4.152 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)cs1)c1ccccn1 ZINC000294699016 1044450035 /nfs/dbraw/zinc/45/00/35/1044450035.db2.gz CWSVZFQOYJPTTK-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN CC(C)CNC(=O)[C@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361843263 1044451447 /nfs/dbraw/zinc/45/14/47/1044451447.db2.gz LUXUEGSWQVCTCL-YOEHRIQHSA-N 0 3 322.468 4.053 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc(C(=O)OC)cc1)c1ccncc1 ZINC000294721066 1044453721 /nfs/dbraw/zinc/45/37/21/1044453721.db2.gz KBRSXOXGSZDRNY-ROUUACIJSA-N 0 3 312.413 4.060 20 0 DIADHN Cc1csc(C[C@@H]2CCN(Cc3cnc(C(C)C)s3)C2)n1 ZINC000897299322 1044454836 /nfs/dbraw/zinc/45/48/36/1044454836.db2.gz YRFGOSYAPLEUID-ZDUSSCGKSA-N 0 3 321.515 4.096 20 0 DIADHN CO[C@H](C)c1nc(CNC2CCC(C(F)(F)F)CC2)cs1 ZINC000361895456 1044457230 /nfs/dbraw/zinc/45/72/30/1044457230.db2.gz XOYIBTKLJDLINT-KPPDAEKUSA-N 0 3 322.396 4.061 20 0 DIADHN CC[C@@H](N[C@H](CCO)CSC)c1ccc(Cl)cc1Cl ZINC000361893317 1044458080 /nfs/dbraw/zinc/45/80/80/1044458080.db2.gz QDIGULHINBWNNU-BXUZGUMPSA-N 0 3 322.301 4.148 20 0 DIADHN CCC[C@H](NC[C@@H](O)C(F)F)c1ccc(Cl)c(Cl)c1 ZINC000361965013 1044462733 /nfs/dbraw/zinc/46/27/33/1044462733.db2.gz ATTKANGPMAJYOT-NWDGAFQWSA-N 0 3 312.187 4.050 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)NC(C)(C)c1cccs1 ZINC000348303244 1044466442 /nfs/dbraw/zinc/46/64/42/1044466442.db2.gz CNJJSLWYZYWDNZ-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN Cc1nc2ccccc2n1C1CCN(Cc2ccc(F)cc2)CC1 ZINC000475507476 1044469069 /nfs/dbraw/zinc/46/90/69/1044469069.db2.gz MFHMTXZWZQFFCC-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2ccc(C)cc2)c2ccccc21 ZINC000362034448 1044471734 /nfs/dbraw/zinc/47/17/34/1044471734.db2.gz XVSMPIXTWMYABG-BEFAXECRSA-N 0 3 308.425 4.144 20 0 DIADHN CSCC1CCN(CCCc2c(F)cccc2Cl)CC1 ZINC001308961102 1044476109 /nfs/dbraw/zinc/47/61/09/1044476109.db2.gz PGXFGMPYDWQYCB-UHFFFAOYSA-N 0 3 315.885 4.487 20 0 DIADHN CC[C@@H](N)C(=O)Nc1ccc([C@@H](C)Sc2ccccc2)cc1 ZINC000566190277 1044476378 /nfs/dbraw/zinc/47/63/78/1044476378.db2.gz JLPBLZWCSLGFIG-CXAGYDPISA-N 0 3 314.454 4.216 20 0 DIADHN CO[C@H]1CCN(Cc2cnc(-c3ccccc3)s2)CC1(C)C ZINC000294960207 1044477102 /nfs/dbraw/zinc/47/71/02/1044477102.db2.gz IEWDNMVFLVATOF-INIZCTEOSA-N 0 3 316.470 4.057 20 0 DIADHN Fc1ccc(-c2nc(CN[C@@H]3CCC[C@@H](F)C3)cs2)cc1 ZINC000566217129 1044478324 /nfs/dbraw/zinc/47/83/24/1044478324.db2.gz LUUCTGSNCIODMH-ZIAGYGMSSA-N 0 3 308.397 4.320 20 0 DIADHN FC(F)(F)CCN(CC[C@@H]1CCCO1)Cc1ccccc1 ZINC000362122906 1044479451 /nfs/dbraw/zinc/47/94/51/1044479451.db2.gz BMQQYWQHYJNJQM-HNNXBMFYSA-N 0 3 301.352 4.010 20 0 DIADHN Cc1nc(F)ccc1CN1[C@H](C)[C@H](CC(F)(F)F)C[C@@H]1C ZINC000295037794 1044483161 /nfs/dbraw/zinc/48/31/61/1044483161.db2.gz DRAVTCRVNDDQHI-NDMJEZRESA-N 0 3 304.331 4.080 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(Cl)c3cccnc23)CC1(C)C ZINC000295099567 1044490232 /nfs/dbraw/zinc/49/02/32/1044490232.db2.gz UQHWCIGLGFNQTO-INIZCTEOSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C(=O)C[C@@H](N)c2ccccc2)c1C ZINC000119253876 1044491113 /nfs/dbraw/zinc/49/11/13/1044491113.db2.gz OMTDOQHIFHXAPX-UXHICEINSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1nn2c(CN(C)[C@@H]3CCC(C)(C)C3)c(C(C)C)nc2s1 ZINC000475546346 1044493721 /nfs/dbraw/zinc/49/37/21/1044493721.db2.gz FCEVBLAQZZMXBI-CYBMUJFWSA-N 0 3 320.506 4.233 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000295151783 1044494350 /nfs/dbraw/zinc/49/43/50/1044494350.db2.gz CRTMNGLDUFTLLY-LALPHHSUSA-N 0 3 323.362 4.129 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@@H](c3cccc(F)c3)C2)s1 ZINC000475549715 1044495607 /nfs/dbraw/zinc/49/56/07/1044495607.db2.gz FVBALSLCIHOAMA-HIFRSBDPSA-N 0 3 304.434 4.271 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@H](c3cccc(F)c3)C2)s1 ZINC000475549714 1044496063 /nfs/dbraw/zinc/49/60/63/1044496063.db2.gz FVBALSLCIHOAMA-DZGCQCFKSA-N 0 3 304.434 4.271 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@H](c3cccc(F)c3)C2)cs1 ZINC000475556182 1044498381 /nfs/dbraw/zinc/49/83/81/1044498381.db2.gz PCXMWOREURFKBE-HIFRSBDPSA-N 0 3 304.434 4.271 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](c3cccc(F)c3)C2)cs1 ZINC000475556184 1044498655 /nfs/dbraw/zinc/49/86/55/1044498655.db2.gz PCXMWOREURFKBE-ZFWWWQNUSA-N 0 3 304.434 4.271 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCC[C@H](C)C2)cc(Cl)c1[O-] ZINC000566577433 1044501322 /nfs/dbraw/zinc/50/13/22/1044501322.db2.gz KFWLTEPYGNHHNL-QWHCGFSZSA-N 0 3 311.853 4.360 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2cccc(C)c2C)cc1 ZINC000120259292 1044504727 /nfs/dbraw/zinc/50/47/27/1044504727.db2.gz OMCQETKXHAQSNH-JXFKEZNVSA-N 0 3 313.441 4.086 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2cc(C(=O)OC)ccc2Cl)C1 ZINC001307767280 1044507186 /nfs/dbraw/zinc/50/71/86/1044507186.db2.gz AATVZSPHESTFGY-QWHCGFSZSA-N 0 3 309.837 4.137 20 0 DIADHN COCCCC[C@H](NCc1ccccc1F)c1ccccc1 ZINC000348320791 1044507508 /nfs/dbraw/zinc/50/75/08/1044507508.db2.gz CLZPELBEZUVTAF-IBGZPJMESA-N 0 3 301.405 4.473 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(OCC(C)C)cc2)cn1 ZINC000120776543 1044513149 /nfs/dbraw/zinc/51/31/49/1044513149.db2.gz CADBTGYXBXRXRR-JKSUJKDBSA-N 0 3 315.461 4.350 20 0 DIADHN C[C@H]1CCN(Cc2ccc(-n3cccn3)cc2)[C@@H](c2ccco2)C1 ZINC000362445283 1044514325 /nfs/dbraw/zinc/51/43/25/1044514325.db2.gz CLXTVGUGRMDBPY-QFBILLFUSA-N 0 3 321.424 4.439 20 0 DIADHN Clc1sccc1CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000566769762 1044515436 /nfs/dbraw/zinc/51/54/36/1044515436.db2.gz RZCQGMTWDODGHE-HNNXBMFYSA-N 0 3 318.873 4.039 20 0 DIADHN Cc1ncc(CN2CCC(c3cccc(Cl)c3)CC2)s1 ZINC000475577708 1044517316 /nfs/dbraw/zinc/51/73/16/1044517316.db2.gz GYCZQYFEXJTAFC-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN(C)Cc2ccc([S@](C)=O)cc2)C1 ZINC000362488653 1044519770 /nfs/dbraw/zinc/51/97/70/1044519770.db2.gz ZQQJANBEGQBXEG-XFQAVAEZSA-N 0 3 307.503 4.072 20 0 DIADHN COCC1CCC(N[C@@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000295390019 1044521596 /nfs/dbraw/zinc/52/15/96/1044521596.db2.gz LEPSBLDHPJIPAM-XTXLOEGASA-N 0 3 324.468 4.274 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@@H](O)c2ccc(Cl)cc2)s1 ZINC000303995498 1044525521 /nfs/dbraw/zinc/52/55/21/1044525521.db2.gz OYHGUMPKHUENLJ-IAQYHMDHSA-N 0 3 324.877 4.140 20 0 DIADHN Cc1cc(OCc2nc(C(F)(F)F)cs2)c(C)c(C)n1 ZINC000566946975 1044530047 /nfs/dbraw/zinc/53/00/47/1044530047.db2.gz VXMWEPOTELIEJP-UHFFFAOYSA-N 0 3 302.321 4.061 20 0 DIADHN OC[C@H](NCc1csc(Cl)c1Cl)C1CCCCC1 ZINC000567010599 1044534241 /nfs/dbraw/zinc/53/42/41/1044534241.db2.gz WLUCCYAXENOYNJ-NSHDSACASA-N 0 3 308.274 4.086 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1nccc2ccccc21 ZINC000567033845 1044536641 /nfs/dbraw/zinc/53/66/41/1044536641.db2.gz DHGWWWQGEFDDKP-JLTOFOAXSA-N 0 3 303.409 4.432 20 0 DIADHN COC[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1cccc(Cl)c1 ZINC000567022152 1044536739 /nfs/dbraw/zinc/53/67/39/1044536739.db2.gz RFGQENWYYDAEFN-IAGOWNOFSA-N 0 3 323.864 4.109 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCC(c2ccsc2)CC1 ZINC000362657636 1044542089 /nfs/dbraw/zinc/54/20/89/1044542089.db2.gz OEDARONNTGAREF-UHFFFAOYSA-N 0 3 309.475 4.049 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCCCCc3ccccc32)c1 ZINC000444448703 1044544766 /nfs/dbraw/zinc/54/47/66/1044544766.db2.gz CXDJOEFSZNARTQ-UHFFFAOYSA-N 0 3 322.452 4.121 20 0 DIADHN CCCC[C@H](CCC)C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000567142184 1044547016 /nfs/dbraw/zinc/54/70/16/1044547016.db2.gz HVHUUNWULCFCSQ-DOTOQJQBSA-N 0 3 300.450 4.090 20 0 DIADHN CSc1ccccc1CN(C)Cc1cc2ccccc2[nH]c1=O ZINC000348331194 1044550931 /nfs/dbraw/zinc/55/09/31/1044550931.db2.gz QKIUDNQWSFHHFY-UHFFFAOYSA-N 0 3 324.449 4.294 20 0 DIADHN Cc1nc(C2CCN(c3ccnc4cc(F)ccc43)CC2)[nH]c1C ZINC000884707797 1044552086 /nfs/dbraw/zinc/55/20/86/1044552086.db2.gz ZONXUFVLUILACA-UHFFFAOYSA-N 0 3 324.403 4.098 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)NCc1noc2ccccc12 ZINC000567249160 1044553045 /nfs/dbraw/zinc/55/30/45/1044553045.db2.gz ZIJWSJIYVBQWSY-GFCCVEGCSA-N 0 3 316.351 4.217 20 0 DIADHN CC[C@H](NCc1cc(C)cs1)c1cccc(OC)c1OC ZINC000631631063 1044553043 /nfs/dbraw/zinc/55/30/43/1044553043.db2.gz HQNMDFUWROJZDN-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN C[C@@H](CCc1c(F)cccc1F)NCc1noc2ccccc12 ZINC000567249161 1044554059 /nfs/dbraw/zinc/55/40/59/1044554059.db2.gz ZIJWSJIYVBQWSY-LBPRGKRZSA-N 0 3 316.351 4.217 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295797354 1044559581 /nfs/dbraw/zinc/55/95/81/1044559581.db2.gz BXRIIGVPZRDGHY-MRXNPFEDSA-N 0 3 316.832 4.301 20 0 DIADHN COc1ncc(CN[C@H](C)c2ccc(C3CC3)cc2)cc1Cl ZINC000567363873 1044560963 /nfs/dbraw/zinc/56/09/63/1044560963.db2.gz PHQFIFDNXAEJCO-GFCCVEGCSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1ccc2nc(CN3Cc4ccccc4C[C@@H]3C)ccc2c1 ZINC001137217335 1044562037 /nfs/dbraw/zinc/56/20/37/1044562037.db2.gz SWHVDBGUKSHZSW-INIZCTEOSA-N 0 3 302.421 4.490 20 0 DIADHN CCOC1CC(N(C)Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000567399779 1044563410 /nfs/dbraw/zinc/56/34/10/1044563410.db2.gz UOXVAIGRWUKHDP-UHFFFAOYSA-N 0 3 316.470 4.118 20 0 DIADHN CCN(Cc1nc(-c2ccccc2F)no1)C1CCCCC1 ZINC000444573101 1044568028 /nfs/dbraw/zinc/56/80/28/1044568028.db2.gz MSMJFULECZTDID-UHFFFAOYSA-N 0 3 303.381 4.030 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCC[C@H]3CCCC[C@H]32)n1 ZINC000444570643 1044569138 /nfs/dbraw/zinc/56/91/38/1044569138.db2.gz IAQFKZVCERBORT-CZUORRHYSA-N 0 3 315.392 4.030 20 0 DIADHN CN(Cc1ccc(SC(F)F)cc1)C[C@H]1CCCCO1 ZINC000143466982 1044572072 /nfs/dbraw/zinc/57/20/72/1044572072.db2.gz LNSGYFMYWBFEOO-CYBMUJFWSA-N 0 3 301.402 4.002 20 0 DIADHN Cc1ccc2nc(CN3CCC4(CCC(=O)CC4)CC3)ccc2c1 ZINC001137218968 1044575891 /nfs/dbraw/zinc/57/58/91/1044575891.db2.gz TYXJIHHXQYZZEX-UHFFFAOYSA-N 0 3 322.452 4.269 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nc(-c2ccccc2F)no1 ZINC000444599791 1044577579 /nfs/dbraw/zinc/57/75/79/1044577579.db2.gz SDCGFPCLZYQYEO-ZDUSSCGKSA-N 0 3 303.381 4.030 20 0 DIADHN CCC(CC)N(CC)Cc1nc(-c2ccc(F)c(C)c2)no1 ZINC000567646141 1044579350 /nfs/dbraw/zinc/57/93/50/1044579350.db2.gz GDQLYJGAUDVNRQ-UHFFFAOYSA-N 0 3 305.397 4.195 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(OC)c3ccccc32)cn1 ZINC000296048806 1044584103 /nfs/dbraw/zinc/58/41/03/1044584103.db2.gz UXZHBCKLHPXHDY-LSDHHAIUSA-N 0 3 323.440 4.477 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000925158259 1044591284 /nfs/dbraw/zinc/59/12/84/1044591284.db2.gz RJUPLDIPKRBQAE-SCTDSRPQSA-N 0 3 312.482 4.353 20 0 DIADHN COc1cc(C)cc(CN[C@H](c2cccs2)C2CC2)c1OC ZINC000885910054 1044591914 /nfs/dbraw/zinc/59/19/14/1044591914.db2.gz CSZIVXHYUOTMNB-KRWDZBQOSA-N 0 3 317.454 4.315 20 0 DIADHN CCCC[C@H](NCc1[nH]nc(C)c1C)c1ccc(OC)cc1 ZINC000885967844 1044597366 /nfs/dbraw/zinc/59/73/66/1044597366.db2.gz ZPEYGIZSBYBIAQ-KRWDZBQOSA-N 0 3 301.434 4.056 20 0 DIADHN Cc1n[nH]c(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)c1C ZINC000885970649 1044597587 /nfs/dbraw/zinc/59/75/87/1044597587.db2.gz GMEWQUWEHIXGDG-QGZVFWFLSA-N 0 3 303.837 4.311 20 0 DIADHN Cc1n[nH]c(CN[C@@H](Cc2ccccc2)c2cccs2)c1C ZINC000885990455 1044597833 /nfs/dbraw/zinc/59/78/33/1044597833.db2.gz HPMDXSQFAXYBFX-INIZCTEOSA-N 0 3 311.454 4.162 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000363253194 1044599017 /nfs/dbraw/zinc/59/90/17/1044599017.db2.gz JYEDKDLEZCUAER-HONMWMINSA-N 0 3 317.820 4.039 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000296261118 1044602600 /nfs/dbraw/zinc/60/26/00/1044602600.db2.gz BQUSUNKRFJBTFN-YSVLISHTSA-N 0 3 301.409 4.029 20 0 DIADHN Cc1[nH]nc(CNCc2c(OC(C)C)ccc3ccccc32)c1C ZINC000886064727 1044603741 /nfs/dbraw/zinc/60/37/41/1044603741.db2.gz HEUQWNVUQMYMJT-UHFFFAOYSA-N 0 3 323.440 4.257 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000296261063 1044603570 /nfs/dbraw/zinc/60/35/70/1044603570.db2.gz BQUSUNKRFJBTFN-LXZKKBNFSA-N 0 3 301.409 4.029 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN(CC2CCC(=O)CC2)C2CC2)o1 ZINC000296288438 1044605535 /nfs/dbraw/zinc/60/55/35/1044605535.db2.gz HRMACAKXLYKWOJ-FZKQIMNGSA-N 0 3 301.430 4.127 20 0 DIADHN COCC[C@H]1CCCCN1Cc1ccc2cccc(Cl)c2n1 ZINC001137225104 1044606305 /nfs/dbraw/zinc/60/63/05/1044606305.db2.gz YFQZRSHODQEBML-MRXNPFEDSA-N 0 3 318.848 4.279 20 0 DIADHN Cc1ccnc([C@@H]2CCN([C@@H](C)c3ccc(F)c(F)c3)C2)c1 ZINC000568180761 1044608014 /nfs/dbraw/zinc/60/80/14/1044608014.db2.gz BHRHKRKPODLYTI-DZGCQCFKSA-N 0 3 302.368 4.219 20 0 DIADHN FC(F)(F)C[C@H]1CCN(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000444700461 1044608297 /nfs/dbraw/zinc/60/82/97/1044608297.db2.gz GGBSEDKLWNAILR-LLVKDONJSA-N 0 3 311.269 4.480 20 0 DIADHN C[C@H](CCCO)CN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000296363914 1044610581 /nfs/dbraw/zinc/61/05/81/1044610581.db2.gz IVXFKSOMWCPWFB-CHWSQXEVSA-N 0 3 322.449 4.008 20 0 DIADHN Fc1cc2cccnc2c(CN2CC[C@@H](CC(F)(F)F)C2)c1 ZINC000444711847 1044612121 /nfs/dbraw/zinc/61/21/21/1044612121.db2.gz XZGYSRIPSJDKOE-NSHDSACASA-N 0 3 312.310 4.148 20 0 DIADHN COc1ncc(CN[C@H]2C[C@H](C)c3ccccc32)cc1Cl ZINC000296380482 1044612911 /nfs/dbraw/zinc/61/29/11/1044612911.db2.gz JPCNXUXXSRMHKH-ZBEGNZNMSA-N 0 3 302.805 4.082 20 0 DIADHN Clc1cccc2ccc(CN3CC[C@H](c4cccnc4)C3)nc12 ZINC001137225857 1044619517 /nfs/dbraw/zinc/61/95/17/1044619517.db2.gz FMFJULGDFGXUHP-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN O=C(CN1CCCC12CCC2)Nc1ccccc1-c1ccccc1 ZINC000444772837 1044622877 /nfs/dbraw/zinc/62/28/77/1044622877.db2.gz BMHJTWCKLWMGGA-UHFFFAOYSA-N 0 3 320.436 4.311 20 0 DIADHN C[C@H]1C[C@@H](N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000296508052 1044622997 /nfs/dbraw/zinc/62/29/97/1044622997.db2.gz QKOXXAAGHWLFSW-DLKVLKDVSA-N 0 3 301.821 4.078 20 0 DIADHN c1ccc2c(CN[C@@H]3CCOC4(CCCCC4)C3)nsc2c1 ZINC000886190334 1044623373 /nfs/dbraw/zinc/62/33/73/1044623373.db2.gz MWHAIWCAOHDUHC-CQSZACIVSA-N 0 3 316.470 4.268 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CCC[C@@H](C(C)C)C3)o2)cc1 ZINC000444772540 1044623430 /nfs/dbraw/zinc/62/34/30/1044623430.db2.gz NXPBFUOHXSSTQR-NVXWUHKLSA-N 0 3 313.445 4.474 20 0 DIADHN Fc1ccc2c(c1)CCC[C@@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000296525058 1044624989 /nfs/dbraw/zinc/62/49/89/1044624989.db2.gz QEEJTBQBNJTGSQ-HNNXBMFYSA-N 0 3 324.321 4.407 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H]2CCCc3ccccc32)ccn1 ZINC000296525043 1044625750 /nfs/dbraw/zinc/62/57/50/1044625750.db2.gz QEDQBFRUSZZBHT-OAHLLOKOSA-N 0 3 306.331 4.268 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000296584026 1044629484 /nfs/dbraw/zinc/62/94/84/1044629484.db2.gz WFGZHJPOZFFDSC-XNRPHZJLSA-N 0 3 303.837 4.153 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@H]1CC[C@@H](C3CC3)O1)CC2 ZINC000886267768 1044629765 /nfs/dbraw/zinc/62/97/65/1044629765.db2.gz UWMJSYFXETUCEN-XLIONFOSSA-N 0 3 324.468 4.084 20 0 DIADHN Cc1ccccc1[C@H](NCc1n[nH]c(C(C)C)n1)C1CCCC1 ZINC000296564314 1044629789 /nfs/dbraw/zinc/62/97/89/1044629789.db2.gz SKXSRSSDFFYLSB-GOSISDBHSA-N 0 3 312.461 4.258 20 0 DIADHN C[C@@H]1C[C@@H](NCCCCCc2ccc(F)cc2)c2nccn21 ZINC000296565111 1044630052 /nfs/dbraw/zinc/63/00/52/1044630052.db2.gz UQNLVXBYFSDIQP-RHSMWYFYSA-N 0 3 301.409 4.031 20 0 DIADHN CC(C)(C)c1csc(CNC[C@]2(C)CCOC3(CCC3)C2)n1 ZINC000886340497 1044631254 /nfs/dbraw/zinc/63/12/54/1044631254.db2.gz HQPUOMTZLXXJOC-QGZVFWFLSA-N 0 3 322.518 4.270 20 0 DIADHN COc1ccc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)nc1 ZINC000568535644 1044632277 /nfs/dbraw/zinc/63/22/77/1044632277.db2.gz DGDUZXUUVGRHIH-GOSISDBHSA-N 0 3 302.393 4.058 20 0 DIADHN CC[C@H](NCc1c(C)nnn1CC)c1cccc2ccccc21 ZINC000886361864 1044635962 /nfs/dbraw/zinc/63/59/62/1044635962.db2.gz YLQYSMAAEYECFJ-SFHVURJKSA-N 0 3 308.429 4.001 20 0 DIADHN CCCCN(CC(=O)NC(C)(C)CC)[C@H](C)c1ccccc1 ZINC000523450515 1044636249 /nfs/dbraw/zinc/63/62/49/1044636249.db2.gz YWWJEEGPNSSFTM-MRXNPFEDSA-N 0 3 304.478 4.155 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cs1 ZINC000296644197 1044637703 /nfs/dbraw/zinc/63/77/03/1044637703.db2.gz CYNXJWSPPZYJPB-UVARLRKDSA-N 0 3 307.484 4.206 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N([C@H](C)C(=O)Nc2ccccc2)C1 ZINC000523441588 1044638200 /nfs/dbraw/zinc/63/82/00/1044638200.db2.gz ZUQFMXXARIHQAZ-KZNAEPCWSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1ccccc1NC(=O)CN1C[C@@H](c2ccccc2C)C[C@@H]1C ZINC000523441147 1044638832 /nfs/dbraw/zinc/63/88/32/1044638832.db2.gz DBLBVFIZCJBSIC-ROUUACIJSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2cn3ccsc3n2)C1 ZINC000523439958 1044638851 /nfs/dbraw/zinc/63/88/51/1044638851.db2.gz UWXOCNGROBEFEA-HUUCEWRRSA-N 0 3 311.454 4.082 20 0 DIADHN CCCCN(CC(=O)N1CCC[C@@H](C)C1)[C@@H](C)c1ccccc1 ZINC000523450227 1044640312 /nfs/dbraw/zinc/64/03/12/1044640312.db2.gz MZGXSZJXEMNYEB-MSOLQXFVSA-N 0 3 316.489 4.108 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@H](C)N1Cc1nnc(-c2ccco2)o1 ZINC000296656186 1044640600 /nfs/dbraw/zinc/64/06/00/1044640600.db2.gz JMWDQORNTMJMPW-IJEWVQPXSA-N 0 3 323.396 4.096 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCC(=O)Nc4ccccc43)ccc2[nH]1 ZINC000568671858 1044643018 /nfs/dbraw/zinc/64/30/18/1044643018.db2.gz LSPWHAPDMVPXKU-GOSISDBHSA-N 0 3 319.408 4.040 20 0 DIADHN COc1cc(CNCc2ccc(C3CCC3)cc2)cc(OC)c1 ZINC000568667564 1044643205 /nfs/dbraw/zinc/64/32/05/1044643205.db2.gz MDEAUIXDVRCEAW-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCC(=O)Nc4ccccc43)ccc2[nH]1 ZINC000568671859 1044643225 /nfs/dbraw/zinc/64/32/25/1044643225.db2.gz LSPWHAPDMVPXKU-SFHVURJKSA-N 0 3 319.408 4.040 20 0 DIADHN CCC(CC)N(CC)CC(=O)Nc1c(C)cc(C)cc1Cl ZINC000568689998 1044644227 /nfs/dbraw/zinc/64/42/27/1044644227.db2.gz AHBIRPDROZMDFB-UHFFFAOYSA-N 0 3 310.869 4.406 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN(C)Cc1cccn1C ZINC000568701535 1044644897 /nfs/dbraw/zinc/64/48/97/1044644897.db2.gz AVHLCLMVHJNXKV-KAMYIIQDSA-N 0 3 320.383 4.162 20 0 DIADHN COc1ccc2cc(CN[C@@H](c3cnn(C)c3)C(C)C)ccc2c1 ZINC000886495054 1044648094 /nfs/dbraw/zinc/64/80/94/1044648094.db2.gz GHVGWBIJNGZMKE-HXUWFJFHSA-N 0 3 323.440 4.069 20 0 DIADHN COC(=O)c1cncc([C@@H](C)N[C@@H](c2ccccc2)C2CCC2)c1 ZINC000886522519 1044654765 /nfs/dbraw/zinc/65/47/65/1044654765.db2.gz LDUTVBVGLNZFMB-KUHUBIRLSA-N 0 3 324.424 4.060 20 0 DIADHN OC[C@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccc1 ZINC000886540727 1044655917 /nfs/dbraw/zinc/65/59/17/1044655917.db2.gz JYUYWDGOLXEALQ-OALUTQOASA-N 0 3 324.399 4.008 20 0 DIADHN Cc1n[nH]cc1CN[C@@H]1CCCc2c3cccc(Cl)c3[nH]c21 ZINC000886550413 1044657825 /nfs/dbraw/zinc/65/78/25/1044657825.db2.gz ZKMUXWKVAOJGMJ-OAHLLOKOSA-N 0 3 314.820 4.020 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H]1CCc2cccnc21 ZINC000886547393 1044658841 /nfs/dbraw/zinc/65/88/41/1044658841.db2.gz KTKVWTBIQLXQFR-NJAPINKUSA-N 0 3 316.832 4.088 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(C)(C)C)cc1)c1cnn(C)c1 ZINC000886568428 1044662187 /nfs/dbraw/zinc/66/21/87/1044662187.db2.gz JZMVYWDJZXMMNL-GOSISDBHSA-N 0 3 315.461 4.084 20 0 DIADHN CCCN(CC(=O)NCc1ccccc1)[C@H](CC)c1ccccc1 ZINC000523612743 1044666692 /nfs/dbraw/zinc/66/66/92/1044666692.db2.gz KVXQTBYJJBEMHQ-HXUWFJFHSA-N 0 3 324.468 4.166 20 0 DIADHN Cc1cc(C)c(CN[C@@H]2CCCc3c4cc(F)ccc4[nH]c32)cn1 ZINC000886621886 1044671114 /nfs/dbraw/zinc/67/11/14/1044671114.db2.gz FDNDRESUSSMOAB-LJQANCHMSA-N 0 3 323.415 4.486 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4cc(F)ccc4[nH]c32)ccn1 ZINC000886617048 1044671141 /nfs/dbraw/zinc/67/11/41/1044671141.db2.gz CEPNZNRXFUTGIZ-GOSISDBHSA-N 0 3 309.388 4.178 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3c4cc(F)ccc4[nH]c32)nc1C ZINC000886623962 1044671289 /nfs/dbraw/zinc/67/12/89/1044671289.db2.gz UUAYYCXPCAJADL-LJQANCHMSA-N 0 3 323.415 4.486 20 0 DIADHN CC(C)[C@H](NCc1cccc(OC(C)(C)C)c1)c1cnn(C)c1 ZINC000886649507 1044673900 /nfs/dbraw/zinc/67/39/00/1044673900.db2.gz CGQPZWPRXLMGEN-SFHVURJKSA-N 0 3 315.461 4.084 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CCC[C@H]3N[C@H]1CCCn2nccc21 ZINC000886649500 1044674799 /nfs/dbraw/zinc/67/47/99/1044674799.db2.gz CBMQNFWFLUPYSC-DLBZAZTESA-N 0 3 324.403 4.006 20 0 DIADHN CC(C)[C@@H](NCc1cccc(OC(C)(C)C)c1)c1cnn(C)c1 ZINC000886649506 1044675118 /nfs/dbraw/zinc/67/51/18/1044675118.db2.gz CGQPZWPRXLMGEN-GOSISDBHSA-N 0 3 315.461 4.084 20 0 DIADHN Cc1nc(C2CCN([C@H](C)c3ccc(F)c(F)c3)CC2)[nH]c1C ZINC000886666532 1044676092 /nfs/dbraw/zinc/67/60/92/1044676092.db2.gz UUVNTOFNFATESI-CYBMUJFWSA-N 0 3 319.399 4.245 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000523693068 1044676621 /nfs/dbraw/zinc/67/66/21/1044676621.db2.gz IIFORSIZGWBBDC-JTQLQIEISA-N 0 3 300.233 4.117 20 0 DIADHN Cc1nc(C2CCN([C@H](C)c3ccccc3F)CC2)[nH]c1C ZINC000886668414 1044677873 /nfs/dbraw/zinc/67/78/73/1044677873.db2.gz SHFHRTOJMMGWSG-CQSZACIVSA-N 0 3 301.409 4.106 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1cc(C2CC2)no1 ZINC000886685024 1044679831 /nfs/dbraw/zinc/67/98/31/1044679831.db2.gz YPHAFVNOAOUPMG-JTQLQIEISA-N 0 3 308.784 4.065 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)NCc1cc(C2CC2)no1 ZINC000886684635 1044679980 /nfs/dbraw/zinc/67/99/80/1044679980.db2.gz PDNPWRIJQUGWGJ-NSHDSACASA-N 0 3 324.346 4.292 20 0 DIADHN Cc1cc(OCc2noc(C3CCCCC3)n2)c(C)c(C)n1 ZINC000569177951 1044681266 /nfs/dbraw/zinc/68/12/66/1044681266.db2.gz ANNRAOAYBBSTFW-UHFFFAOYSA-N 0 3 301.390 4.017 20 0 DIADHN Cc1cccc2nc(CN3C[C@H](c4ccccc4)C[C@H]3C)cn21 ZINC000523760500 1044683434 /nfs/dbraw/zinc/68/34/34/1044683434.db2.gz ZBJOZOOSYMMDOO-SJLPKXTDSA-N 0 3 305.425 4.021 20 0 DIADHN Cc1cccc2nc(CN3C[C@@H](c4ccccc4)C[C@@H]3C)cn21 ZINC000523760501 1044683584 /nfs/dbraw/zinc/68/35/84/1044683584.db2.gz ZBJOZOOSYMMDOO-WMZOPIPTSA-N 0 3 305.425 4.021 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1cc(-c2ccco2)on1 ZINC000523788610 1044685075 /nfs/dbraw/zinc/68/50/75/1044685075.db2.gz DTDKPOMUVPZIPC-ZBFHGGJFSA-N 0 3 308.381 4.313 20 0 DIADHN C[C@@H](NCC1(c2cccc(Cl)c2)CCCC1)c1ncc[nH]1 ZINC000569270518 1044688620 /nfs/dbraw/zinc/68/86/20/1044688620.db2.gz HGPIOTDPPVVSIB-CYBMUJFWSA-N 0 3 303.837 4.226 20 0 DIADHN C[C@H](NCC1(c2cccc(Cl)c2)CCCC1)c1ncc[nH]1 ZINC000569270522 1044689406 /nfs/dbraw/zinc/68/94/06/1044689406.db2.gz HGPIOTDPPVVSIB-ZDUSSCGKSA-N 0 3 303.837 4.226 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(=O)[nH]n1)c1cccc2ccccc21 ZINC000886790357 1044698340 /nfs/dbraw/zinc/69/83/40/1044698340.db2.gz ALKRMMMGLIUCJR-GUYCJALGSA-N 0 3 307.397 4.137 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc3ccccc3c2)c1 ZINC000155500642 1044702046 /nfs/dbraw/zinc/70/20/46/1044702046.db2.gz GUBWWJVNYDZSHT-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN CCn1c2ccccc2nc1[C@@H]1CCCN1CCCCCOC ZINC000084131249 1044724780 /nfs/dbraw/zinc/72/47/80/1044724780.db2.gz YZDGWYZKZWGYEM-SFHVURJKSA-N 0 3 315.461 4.010 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1cccc2ccccc21 ZINC000366942581 1044728228 /nfs/dbraw/zinc/72/82/28/1044728228.db2.gz FHJINVLSNNITSW-OAHLLOKOSA-N 0 3 305.425 4.223 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccccc2)cc1NC(C)=O ZINC000089553303 1044741403 /nfs/dbraw/zinc/74/14/03/1044741403.db2.gz SPHRORCCSXAKEP-KGLIPLIRSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@@H](NCCCO[C@H]1CCCc2ccccc21)c1nccs1 ZINC000089721634 1044743958 /nfs/dbraw/zinc/74/39/58/1044743958.db2.gz KQLVQKYZGIVKJI-PBHICJAKSA-N 0 3 316.470 4.278 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1cncc(F)c1 ZINC000090034952 1044745986 /nfs/dbraw/zinc/74/59/86/1044745986.db2.gz PRPSITCPTJKLAH-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2cc(C)ccn2)cc1 ZINC000367601858 1044746655 /nfs/dbraw/zinc/74/66/55/1044746655.db2.gz QADRMQAZVHQKRW-CVEARBPZSA-N 0 3 320.440 4.296 20 0 DIADHN CC[C@@H](N[C@@H]1CCN(C(C)=O)c2ccccc21)c1cccs1 ZINC000367570719 1044746752 /nfs/dbraw/zinc/74/67/52/1044746752.db2.gz RWQSMHWUBSUNIE-HZPDHXFCSA-N 0 3 314.454 4.287 20 0 DIADHN CC[C@H](N[C@@H]1CCN(C(C)=O)c2ccccc21)c1cccs1 ZINC000367570721 1044747721 /nfs/dbraw/zinc/74/77/21/1044747721.db2.gz RWQSMHWUBSUNIE-JKSUJKDBSA-N 0 3 314.454 4.287 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2cnn(C)c2C)cc1 ZINC000090887890 1044751282 /nfs/dbraw/zinc/75/12/82/1044751282.db2.gz QPVKAOBCLGIHPP-HNNXBMFYSA-N 0 3 315.461 4.148 20 0 DIADHN C[C@H](NCc1ccc(-c2ccco2)cc1F)[C@@]1(C)CCCO1 ZINC000570429961 1044758069 /nfs/dbraw/zinc/75/80/69/1044758069.db2.gz GWZSWEIXCTWJQF-SCLBCKFNSA-N 0 3 303.377 4.133 20 0 DIADHN CCCCN(Cc1nnc(C)n1CCC)[C@H]1CCC[C@H](C)C1 ZINC000570499750 1044763513 /nfs/dbraw/zinc/76/35/13/1044763513.db2.gz LNYZEEUOTWXZIJ-RDJZCZTQSA-N 0 3 306.498 4.177 20 0 DIADHN CCC[C@H](NC[C@]1(C)OCCc2sccc21)c1ccccn1 ZINC000368056227 1044765004 /nfs/dbraw/zinc/76/50/04/1044765004.db2.gz RCKZURHKVIOOJK-YJBOKZPZSA-N 0 3 316.470 4.062 20 0 DIADHN CCC[C@@H](NC[C@]1(C)OCCc2sccc21)c1ccccn1 ZINC000368056226 1044765416 /nfs/dbraw/zinc/76/54/16/1044765416.db2.gz RCKZURHKVIOOJK-QAPCUYQASA-N 0 3 316.470 4.062 20 0 DIADHN COCc1ccccc1CN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000122090880 1044772225 /nfs/dbraw/zinc/77/22/25/1044772225.db2.gz NMDUKRQHDGDUAA-IBGZPJMESA-N 0 3 323.436 4.369 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN([C@H]2CCCc3ccccc32)C1 ZINC000524251473 1044779752 /nfs/dbraw/zinc/77/97/52/1044779752.db2.gz DPCLAEGJJHKTTN-HOCLYGCPSA-N 0 3 313.363 4.107 20 0 DIADHN c1[nH]nc2c1[C@@H](N[C@@H](Cc1ccccc1)c1ccccc1)CCC2 ZINC000368608585 1044783346 /nfs/dbraw/zinc/78/33/46/1044783346.db2.gz QAPTVNSZOKXEKZ-FPOVZHCZSA-N 0 3 317.436 4.361 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C3CC3)cc2)cc1OC ZINC000418108088 1044788891 /nfs/dbraw/zinc/78/88/91/1044788891.db2.gz FSKAXQLCNXAANI-AWEZNQCLSA-N 0 3 311.425 4.432 20 0 DIADHN CCCc1cc(N2CCc3ncsc3C2)c2ccccc2n1 ZINC000445670018 1044790858 /nfs/dbraw/zinc/79/08/58/1044790858.db2.gz KIHWHZKPSVLKJC-UHFFFAOYSA-N 0 3 309.438 4.207 20 0 DIADHN COc1c(C)cnc(CN2CCC[C@@H]2c2cccc(C)c2)c1C ZINC000524309117 1044794985 /nfs/dbraw/zinc/79/49/85/1044794985.db2.gz LZMJKXCPFRNJJS-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN CCCNc1ccc(CNCc2c[nH]nc2-c2ccc(C)o2)cc1 ZINC000193154519 1044799635 /nfs/dbraw/zinc/79/96/35/1044799635.db2.gz IYRDNLIOVYMRLZ-UHFFFAOYSA-N 0 3 324.428 4.090 20 0 DIADHN CCC1(CN2CCCn3c(cc4ccccc43)C2)CCOCC1 ZINC000571126321 1044799921 /nfs/dbraw/zinc/79/99/21/1044799921.db2.gz BMGFAAILMDUPTH-UHFFFAOYSA-N 0 3 312.457 4.054 20 0 DIADHN CC[C@@H](NCc1cncn1Cc1ccccc1)c1cccs1 ZINC000445772193 1044810790 /nfs/dbraw/zinc/81/07/90/1044810790.db2.gz GPQKXBRQRBOSPJ-QGZVFWFLSA-N 0 3 311.454 4.234 20 0 DIADHN Cc1csc(CN[C@@H](C)[C@H](C)c2nc3ccccc3s2)n1 ZINC000126245856 1044812336 /nfs/dbraw/zinc/81/23/36/1044812336.db2.gz LJDVHWDPVRKIPP-RYUDHWBXSA-N 0 3 317.483 4.343 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2cccs2)C(C)C)c1 ZINC000263645303 1044813660 /nfs/dbraw/zinc/81/36/60/1044813660.db2.gz AMKOJUJQGYGHFH-UHFFFAOYSA-N 0 3 302.443 4.117 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2ccc(C)c(C)c2)cn1 ZINC000126368950 1044815012 /nfs/dbraw/zinc/81/50/12/1044815012.db2.gz OLRQPPWQQCQGLV-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@H]2c2ccsc2)c1 ZINC000263717358 1044820625 /nfs/dbraw/zinc/82/06/25/1044820625.db2.gz NYVVOBRAIBERIC-KRWDZBQOSA-N 0 3 300.427 4.044 20 0 DIADHN CC(C)OC1CCN(CCCc2c(F)cccc2Cl)CC1 ZINC000571969066 1044836812 /nfs/dbraw/zinc/83/68/12/1044836812.db2.gz BZTVRQHAUDYTRN-UHFFFAOYSA-N 0 3 313.844 4.301 20 0 DIADHN CC(C)CC1(C(=O)Nc2cccc(CN(C)C)c2)CCCC1 ZINC000445844677 1044838525 /nfs/dbraw/zinc/83/85/25/1044838525.db2.gz HQLAZHBFDCJAPW-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN CC(C)COc1ccccc1CN(C)C[C@H](C)c1nccs1 ZINC000572075557 1044840832 /nfs/dbraw/zinc/84/08/32/1044840832.db2.gz ZQJGUQXKLKSQIF-HNNXBMFYSA-N 0 3 318.486 4.413 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cc(-c2ccccc2)no1 ZINC000445888287 1044844262 /nfs/dbraw/zinc/84/42/62/1044844262.db2.gz WDNUWGLDAGSXNM-OAHLLOKOSA-N 0 3 312.335 4.408 20 0 DIADHN COc1ccc(-c2nc(COc3cc(C)nc(C)c3C)co2)cc1 ZINC000572330961 1044854313 /nfs/dbraw/zinc/85/43/13/1044854313.db2.gz PUSMIDFTBCGMGY-UHFFFAOYSA-N 0 3 324.380 4.249 20 0 DIADHN Cc1ccc(CCCN2CC(C)(C)[C@H]2c2cccs2)cn1 ZINC000572563461 1044863200 /nfs/dbraw/zinc/86/32/00/1044863200.db2.gz BKDIIRMFWUARNQ-QGZVFWFLSA-N 0 3 300.471 4.467 20 0 DIADHN CCc1cccc(F)c1CNC[C@@]1(C)OCCc2sccc21 ZINC000572505970 1044863894 /nfs/dbraw/zinc/86/38/94/1044863894.db2.gz MKMNWAQHSBMSDF-GOSISDBHSA-N 0 3 319.445 4.027 20 0 DIADHN Cc1cccc(CN(Cc2cn3ccccc3n2)CC(C)C)c1 ZINC000524547704 1044864924 /nfs/dbraw/zinc/86/49/24/1044864924.db2.gz UATQSTXSSCJIJW-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCC[C@H]3c3ccccc3)nc2c1 ZINC000445993890 1044869865 /nfs/dbraw/zinc/86/98/65/1044869865.db2.gz OELVRZBUPBKURQ-JKSUJKDBSA-N 0 3 310.372 4.393 20 0 DIADHN O=C(c1cccs1)C1CCN(Cc2cccc3[nH]ccc32)CC1 ZINC000371421337 1044878876 /nfs/dbraw/zinc/87/88/76/1044878876.db2.gz QXOSPTARJBJXQI-UHFFFAOYSA-N 0 3 324.449 4.324 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cn3c(cccc3C)n2)cc1 ZINC000524594692 1044880259 /nfs/dbraw/zinc/88/02/59/1044880259.db2.gz UCMJZUSWBIWZRZ-KRWDZBQOSA-N 0 3 307.441 4.014 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@H](c3ccccc3C)C[C@H]2C)c1 ZINC000524596719 1044885052 /nfs/dbraw/zinc/88/50/52/1044885052.db2.gz QNHQESSAXMMLKV-QZTJIDSGSA-N 0 3 322.452 4.120 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CCCc3c(C)ccc(C)c32)c1C ZINC000572931674 1044885240 /nfs/dbraw/zinc/88/52/40/1044885240.db2.gz OPENZICTFIKVEA-IBGZPJMESA-N 0 3 311.473 4.304 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2ccc(Cl)cn2)C1 ZINC000572981428 1044886167 /nfs/dbraw/zinc/88/61/67/1044886167.db2.gz JAMVZEYITXTMKC-HUUCEWRRSA-N 0 3 300.833 4.421 20 0 DIADHN CCCCN(CC(=O)N1CCCC[C@@H]1C)[C@H](C)c1ccccc1 ZINC000572981518 1044887075 /nfs/dbraw/zinc/88/70/75/1044887075.db2.gz MMEWUVPVPVEUJG-ZWKOTPCHSA-N 0 3 316.489 4.251 20 0 DIADHN COc1ccc(-c2nc(CN3C[C@@H](C)C[C@H]3C)cs2)cc1 ZINC000524620114 1044894497 /nfs/dbraw/zinc/89/44/97/1044894497.db2.gz VKQUWBKOWRHYKU-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN COc1ccc2ncc(Nc3ccc4nc(C)[nH]c4c3)cc2c1 ZINC001213071316 1044895117 /nfs/dbraw/zinc/89/51/17/1044895117.db2.gz LHNNZIJXBMYUKP-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN Cc1nc2ccc(Nc3cncc(N4CCCCC4)c3)cc2[nH]1 ZINC001213072365 1044899318 /nfs/dbraw/zinc/89/93/18/1044899318.db2.gz PKPOVQNBWOMWSI-UHFFFAOYSA-N 0 3 307.401 4.000 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccc2c[nH]nc2c1 ZINC000573249694 1044900705 /nfs/dbraw/zinc/90/07/05/1044900705.db2.gz VORNANIJQVCEAM-SFHVURJKSA-N 0 3 309.413 4.155 20 0 DIADHN CC(C)Oc1ccccc1CN1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000573350465 1044904198 /nfs/dbraw/zinc/90/41/98/1044904198.db2.gz ZYWMNIYGMJIWTQ-LPHOPBHVSA-N 0 3 310.441 4.452 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCOC2(CCOCC2)CC1 ZINC000372206880 1044910259 /nfs/dbraw/zinc/91/02/59/1044910259.db2.gz TVFLHYOGOMRHLG-QGZVFWFLSA-N 0 3 323.864 4.063 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2cnn(-c3ccccc3)c2)C1 ZINC000337505540 1044912057 /nfs/dbraw/zinc/91/20/57/1044912057.db2.gz OJJDYWIHURIQPK-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN CCc1cnc(CN(CC)[C@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000524752701 1044912586 /nfs/dbraw/zinc/91/25/86/1044912586.db2.gz BCOFDDKIVWPSOM-GOSISDBHSA-N 0 3 318.436 4.465 20 0 DIADHN Clc1ccc(CN2CC[C@@H](COCc3ccccc3)C2)cc1 ZINC000524755679 1044914440 /nfs/dbraw/zinc/91/44/40/1044914440.db2.gz LUKQSRQBLZWJLH-GOSISDBHSA-N 0 3 315.844 4.379 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](c2ccc(F)cc2)C(C)C)c(C)n1 ZINC000337551962 1044918001 /nfs/dbraw/zinc/91/80/01/1044918001.db2.gz PAFUQASSTFQSDE-SCLBCKFNSA-N 0 3 301.409 4.280 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000524756314 1044918215 /nfs/dbraw/zinc/91/82/15/1044918215.db2.gz XQJABSOMXASSGI-CABCVRRESA-N 0 3 301.352 4.181 20 0 DIADHN CC(C)CN(Cc1ccc(F)cn1)[C@H]1CCCc2cccnc21 ZINC000372749249 1044920939 /nfs/dbraw/zinc/92/09/39/1044920939.db2.gz LURZNLRUVMSCKY-SFHVURJKSA-N 0 3 313.420 4.151 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1cc(C)ccc1C ZINC000524812185 1044927619 /nfs/dbraw/zinc/92/76/19/1044927619.db2.gz YFUDCTSKKSRELD-CRAIPNDOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](CCN1CCC2(C1)Oc1ccccc1O2)c1ccccc1 ZINC000573962358 1044934037 /nfs/dbraw/zinc/93/40/37/1044934037.db2.gz YTPGMQPVKWCUAK-INIZCTEOSA-N 0 3 309.409 4.054 20 0 DIADHN CC(C)CN(C(=O)C[C@H]1CCCC(C)(C)C1)C1CCN(C)CC1 ZINC000573984872 1044934193 /nfs/dbraw/zinc/93/41/93/1044934193.db2.gz JKNAGXBVAVBHMI-QGZVFWFLSA-N 0 3 322.537 4.172 20 0 DIADHN CC(=O)Nc1cccc(CN([C@@H](C)c2ccccc2)C2CC2)c1 ZINC000337660309 1044937176 /nfs/dbraw/zinc/93/71/76/1044937176.db2.gz LADIXKCXGCJERC-HNNXBMFYSA-N 0 3 308.425 4.371 20 0 DIADHN Oc1ccc(C2=CCN(CCOC3CCCCCC3)CC2)cc1 ZINC000524893135 1044942577 /nfs/dbraw/zinc/94/25/77/1044942577.db2.gz CLPHIDHFQRFYLO-UHFFFAOYSA-N 0 3 315.457 4.221 20 0 DIADHN CCC(C)(C)NC(=O)CN(CC1CCC1)[C@H](C)c1ccccc1 ZINC000524893829 1044943307 /nfs/dbraw/zinc/94/33/07/1044943307.db2.gz PIGXNZKUYWIMAG-MRXNPFEDSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000574243847 1044945377 /nfs/dbraw/zinc/94/53/77/1044945377.db2.gz NJFNAXAVJBHWSF-ZBFHGGJFSA-N 0 3 322.374 4.462 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(CCc2c(F)cccc2Cl)C1 ZINC000574542963 1044956844 /nfs/dbraw/zinc/95/68/44/1044956844.db2.gz MTXMBNPQYGJNAZ-UGSOOPFHSA-N 0 3 318.823 4.500 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(CC(C)C)cc2)C2CC2)cn1 ZINC000337880272 1044960884 /nfs/dbraw/zinc/96/08/84/1044960884.db2.gz QZWCUFUHRRRWFZ-HXUWFJFHSA-N 0 3 309.457 4.224 20 0 DIADHN CSc1ccccc1CN[C@H](c1cccs1)C(C)(C)CO ZINC000574608525 1044962235 /nfs/dbraw/zinc/96/22/35/1044962235.db2.gz XDHYFEMASPDKIH-MRXNPFEDSA-N 0 3 321.511 4.319 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)CCc2ccc(OC(C)C)cc2)no1 ZINC000574745999 1044968719 /nfs/dbraw/zinc/96/87/19/1044968719.db2.gz DCNZHECDTYLJJO-GOEBONIOSA-N 0 3 316.445 4.442 20 0 DIADHN C[C@H](N[C@H](CO)c1ccccc1)c1ccc(F)c2ccccc21 ZINC000574930900 1044978895 /nfs/dbraw/zinc/97/88/95/1044978895.db2.gz RRIXAJFZOPCOQU-VBKZILBWSA-N 0 3 309.384 4.363 20 0 DIADHN CCN(CCOCC1CC1)Cc1ccc(Cl)c(Cl)c1 ZINC000525121136 1044987442 /nfs/dbraw/zinc/98/74/42/1044987442.db2.gz TYDHHWGPUYFUDA-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN COC(=O)c1coc(CN2CCC[C@@H]2c2cccc(C)c2C)c1 ZINC000525120965 1044989840 /nfs/dbraw/zinc/98/98/40/1044989840.db2.gz HFUKWYRLMIKRPL-GOSISDBHSA-N 0 3 313.397 4.020 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CC[C@@H](c2ccccc2)[C@H](C)C1 ZINC000525125413 1044991534 /nfs/dbraw/zinc/99/15/34/1044991534.db2.gz FWCDGABIAHWISA-FUHIMQAGSA-N 0 3 322.452 4.139 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CN[C@@H](C)C1CC1 ZINC000302607655 1044993715 /nfs/dbraw/zinc/99/37/15/1044993715.db2.gz FSHVUKOXPKTPOH-JTQLQIEISA-N 0 3 324.255 4.376 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000525127380 1044994451 /nfs/dbraw/zinc/99/44/51/1044994451.db2.gz BYDWSJNXDMERNR-STQMWFEESA-N 0 3 319.836 4.401 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC(C)(C)CC1 ZINC000525127887 1044995845 /nfs/dbraw/zinc/99/58/45/1044995845.db2.gz QYGDHXQHLNNSFZ-UHFFFAOYSA-N 0 3 308.853 4.099 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@@H]3c3cccn3C)cc2c1 ZINC000411319652 1044995782 /nfs/dbraw/zinc/99/57/82/1044995782.db2.gz FOENQRINESJPBY-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN CCCc1ccc([C@H](N[C@H](C)c2n[nH]c(C)n2)C(C)C)cc1 ZINC000411391334 1045003724 /nfs/dbraw/zinc/00/37/24/1045003724.db2.gz BIYHJTWXZMCNTI-CXAGYDPISA-N 0 3 300.450 4.114 20 0 DIADHN c1coc(-c2cc(CN[C@H](Cc3ccccc3)C3CC3)no2)c1 ZINC000411481115 1045010837 /nfs/dbraw/zinc/01/08/37/1045010837.db2.gz PYCHDRIPZWOQMC-QGZVFWFLSA-N 0 3 308.381 4.046 20 0 DIADHN Nc1cc(CN2CCCCC[C@H]2/C=C/c2cccs2)ccn1 ZINC000411509528 1045013333 /nfs/dbraw/zinc/01/33/33/1045013333.db2.gz WXVCRQRICPXLBK-WAVCKPEOSA-N 0 3 313.470 4.183 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC000575870525 1045014513 /nfs/dbraw/zinc/01/45/13/1045014513.db2.gz FTMBEAIBLYMRAV-ZWKOTPCHSA-N 0 3 314.473 4.212 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1cccc2c1CCCN2 ZINC000575914833 1045019172 /nfs/dbraw/zinc/01/91/72/1045019172.db2.gz GWDRGGNAOZBWCD-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN CCc1cnc(CN(CC)[C@H](CC)Cc2ccc(C)cc2)o1 ZINC000525272203 1045020753 /nfs/dbraw/zinc/02/07/53/1045020753.db2.gz WFCKNZMLJSRKKZ-QGZVFWFLSA-N 0 3 300.446 4.389 20 0 DIADHN CCc1ccc(CN[C@H](CCCCOC)c2ccccc2)nc1 ZINC000411657900 1045022764 /nfs/dbraw/zinc/02/27/64/1045022764.db2.gz JQZGDVZZJIUWNM-HXUWFJFHSA-N 0 3 312.457 4.292 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](C(C)C)C1 ZINC000446728041 1045024321 /nfs/dbraw/zinc/02/43/21/1045024321.db2.gz ZMZMJDKQAPHFQP-HOTGVXAUSA-N 0 3 302.462 4.115 20 0 DIADHN CCc1ccc(CN[C@@H](CCCCOC)c2ccccc2)nc1 ZINC000411657899 1045024361 /nfs/dbraw/zinc/02/43/61/1045024361.db2.gz JQZGDVZZJIUWNM-FQEVSTJZSA-N 0 3 312.457 4.292 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)Cc1cnc(Cl)cn1 ZINC000799122155 1045028071 /nfs/dbraw/zinc/02/80/71/1045028071.db2.gz RGRUYTBVPMPTFA-QGZVFWFLSA-N 0 3 303.837 4.268 20 0 DIADHN COCc1ccc(CN[C@@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000151611487 1045027920 /nfs/dbraw/zinc/02/79/20/1045027920.db2.gz DFACJKUKICOYCV-LJQANCHMSA-N 0 3 323.436 4.369 20 0 DIADHN COCc1ccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000151611543 1045028407 /nfs/dbraw/zinc/02/84/07/1045028407.db2.gz DFACJKUKICOYCV-IBGZPJMESA-N 0 3 323.436 4.369 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCc3ccc(OC)cc32)cc1 ZINC000151621822 1045028929 /nfs/dbraw/zinc/02/89/29/1045028929.db2.gz JMRMJQFWAFHICI-FQEVSTJZSA-N 0 3 311.425 4.009 20 0 DIADHN O[C@@H]1CCCN([C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000411771905 1045030185 /nfs/dbraw/zinc/03/01/85/1045030185.db2.gz PMIABNSKJZRGLE-RTBURBONSA-N 0 3 315.844 4.276 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3CC[C@H](C(C)C)C3)n2)ccc1F ZINC000446736696 1045030376 /nfs/dbraw/zinc/03/03/76/1045030376.db2.gz WGRHIENOGRHGGH-ZFWWWQNUSA-N 0 3 317.408 4.223 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3CC[C@@H](C(C)C)C3)n2)ccc1F ZINC000446736693 1045030721 /nfs/dbraw/zinc/03/07/21/1045030721.db2.gz WGRHIENOGRHGGH-DZGCQCFKSA-N 0 3 317.408 4.223 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ncc(-c2ccc(F)cc2)o1 ZINC000525274941 1045031923 /nfs/dbraw/zinc/03/19/23/1045031923.db2.gz VEIJUAFXVSEGNB-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)n1 ZINC000576124358 1045035839 /nfs/dbraw/zinc/03/58/39/1045035839.db2.gz PGDGYTWLXUDZES-WMZOPIPTSA-N 0 3 300.446 4.019 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000152103151 1045036629 /nfs/dbraw/zinc/03/66/29/1045036629.db2.gz VOWPRUUHNSUYHV-ZJUUUORDSA-N 0 3 310.800 4.366 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@H](C)Cc1c(C)noc1C ZINC000152176731 1045037178 /nfs/dbraw/zinc/03/71/78/1045037178.db2.gz PIMUGONQIXUTGY-MNOVXSKESA-N 0 3 322.836 4.235 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccncc3)c2)[C@H]1[C@@H]1CCCO1 ZINC000576156240 1045038143 /nfs/dbraw/zinc/03/81/43/1045038143.db2.gz FPNUAKVGHJCVCS-PMACEKPBSA-N 0 3 322.452 4.138 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1scnc1C ZINC000576147721 1045038254 /nfs/dbraw/zinc/03/82/54/1045038254.db2.gz JRBJEQHXVUIQFN-BBRMVZONSA-N 0 3 316.470 4.433 20 0 DIADHN O[C@@H]1C[C@H](c2ccccc2)N(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000411954163 1045041384 /nfs/dbraw/zinc/04/13/84/1045041384.db2.gz USTZFTJEIUEAQR-IAGOWNOFSA-N 0 3 321.342 4.013 20 0 DIADHN CC(C)n1ccnc1CN1C[C@H](c2ccccc2)C2(CCC2)C1 ZINC000411984085 1045044625 /nfs/dbraw/zinc/04/46/25/1045044625.db2.gz MHKCBVBTHDRMTN-GOSISDBHSA-N 0 3 309.457 4.234 20 0 DIADHN Cc1cncc(CN[C@@H](c2ccccc2)C2(CO)CCCCC2)c1 ZINC000412006537 1045047090 /nfs/dbraw/zinc/04/70/90/1045047090.db2.gz HMYRCUUWNQWYCJ-FQEVSTJZSA-N 0 3 324.468 4.164 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1cn2ccccc2n1 ZINC000576291940 1045049239 /nfs/dbraw/zinc/04/92/39/1045049239.db2.gz XHWDMCVZKMQUDJ-KKUMJFAQSA-N 0 3 309.388 4.070 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1ccnc(N2CCCC2)c1 ZINC000152805723 1045049657 /nfs/dbraw/zinc/04/96/57/1045049657.db2.gz SHTNLZCRTFVFOJ-QFBILLFUSA-N 0 3 321.468 4.328 20 0 DIADHN COC1([C@@H](C)NCc2cc(-c3ccc(Cl)cc3)no2)CCC1 ZINC000412018221 1045049923 /nfs/dbraw/zinc/04/99/23/1045049923.db2.gz DVTYCGVPJOWEKR-GFCCVEGCSA-N 0 3 320.820 4.042 20 0 DIADHN CC(C)CC1(CN[C@@H](c2nnc[nH]2)c2ccccc2)CCCC1 ZINC000576427045 1045056406 /nfs/dbraw/zinc/05/64/06/1045056406.db2.gz KQWMINFPGDLMFW-QGZVFWFLSA-N 0 3 312.461 4.090 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@@H]1CCCC(C)(C)C1)c1ccco1 ZINC000576424080 1045057283 /nfs/dbraw/zinc/05/72/83/1045057283.db2.gz XFQRAIVPMOZJMK-XHSDSOJGSA-N 0 3 320.477 4.041 20 0 DIADHN Clc1ccccc1CN[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000374469442 1045060916 /nfs/dbraw/zinc/06/09/16/1045060916.db2.gz GRSSBUPPBJVEIL-WMZOPIPTSA-N 0 3 301.817 4.207 20 0 DIADHN FC1(CCNCc2c(Cl)cccc2N2CCCC2)CCC1 ZINC000576499066 1045062126 /nfs/dbraw/zinc/06/21/26/1045062126.db2.gz MOJXTQUMCYVKCL-UHFFFAOYSA-N 0 3 310.844 4.312 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(F)cc1F ZINC000374490561 1045062996 /nfs/dbraw/zinc/06/29/96/1045062996.db2.gz XQEYUQGCNMUUFN-OHNRDTAOSA-N 0 3 317.379 4.393 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@@H](C)C[C@@H]3C)cs2)cc1 ZINC000525410046 1045064767 /nfs/dbraw/zinc/06/47/67/1045064767.db2.gz DSVBPACTERSPDX-KBPBESRZSA-N 0 3 316.470 4.439 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)C[C@@H]3C)cs2)cc1 ZINC000525410047 1045064939 /nfs/dbraw/zinc/06/49/39/1045064939.db2.gz DSVBPACTERSPDX-KGLIPLIRSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1nccnc1[C@@H](C)NCCCOc1ccc2ccccc2c1 ZINC000446938262 1045073255 /nfs/dbraw/zinc/07/32/55/1045073255.db2.gz HVTLLUHRXFRMEW-OAHLLOKOSA-N 0 3 321.424 4.058 20 0 DIADHN CCc1cc(CNC[C@H](C)c2ccc(C(F)(F)F)cc2)on1 ZINC000576741857 1045078091 /nfs/dbraw/zinc/07/80/91/1045078091.db2.gz LXNOVOWGYXGZEV-NSHDSACASA-N 0 3 312.335 4.149 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(CN(C)C)cc1F)c1ccccc1 ZINC000412562308 1045091680 /nfs/dbraw/zinc/09/16/80/1045091680.db2.gz WIJDSHLQIDGYOE-INIZCTEOSA-N 0 3 314.404 4.020 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C(C)(C)C2CCCC2)c(F)c1 ZINC000412556000 1045092140 /nfs/dbraw/zinc/09/21/40/1045092140.db2.gz DFDJMWHEGQVBPU-UHFFFAOYSA-N 0 3 306.425 4.042 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1coc(-c2ccccc2)n1 ZINC000447069820 1045095005 /nfs/dbraw/zinc/09/50/05/1045095005.db2.gz QMZCYTGSBXVWHC-LJQANCHMSA-N 0 3 314.429 4.123 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC000577025832 1045095489 /nfs/dbraw/zinc/09/54/89/1045095489.db2.gz DCODXBYTBPDPEE-FUHWJXTLSA-N 0 3 310.441 4.054 20 0 DIADHN CC[C@H]1CCCN(Cc2ncc(-c3ccccc3F)o2)CC1 ZINC000525634317 1045101402 /nfs/dbraw/zinc/10/14/02/1045101402.db2.gz NKMPUIPVOXLQQM-AWEZNQCLSA-N 0 3 302.393 4.493 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C)[C@H]1C)c1ccc2ccccc2c1 ZINC000525638262 1045103930 /nfs/dbraw/zinc/10/39/30/1045103930.db2.gz AITIQEUKAUHCTK-IXDOHACOSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C)[C@@H]1C)c1ccc2ccccc2c1 ZINC000525638261 1045104148 /nfs/dbraw/zinc/10/41/48/1045104148.db2.gz AITIQEUKAUHCTK-IKGGRYGDSA-N 0 3 324.468 4.137 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)[C@H]1CCC(C)(C)C1 ZINC000577160571 1045105100 /nfs/dbraw/zinc/10/51/00/1045105100.db2.gz ZEBZHKFXCSDOQT-HNNXBMFYSA-N 0 3 302.393 4.491 20 0 DIADHN COCc1cccc(CNCc2ccc3[nH]c(C)c(C)c3c2)c1 ZINC000525703570 1045110174 /nfs/dbraw/zinc/11/01/74/1045110174.db2.gz YVLPQEOKNXOSEZ-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN COC[C@H](NCCc1cc(Cl)cc(Cl)c1)c1ccco1 ZINC000447294402 1045116823 /nfs/dbraw/zinc/11/68/23/1045116823.db2.gz FSRVCMHTIXYPJG-AWEZNQCLSA-N 0 3 314.212 4.106 20 0 DIADHN CCSc1nnc(SC[C@H]2CCCCN2C(C)C)s1 ZINC000412980923 1045117122 /nfs/dbraw/zinc/11/71/22/1045117122.db2.gz FRFCSEMKQBCZIY-LLVKDONJSA-N 0 3 317.549 4.005 20 0 DIADHN C[C@]1(CCCO)CCCN1CCc1cc(Cl)cc(Cl)c1 ZINC000447312187 1045118391 /nfs/dbraw/zinc/11/83/91/1045118391.db2.gz LCIXBVFJVAFYLX-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1ccc(F)c2ccccc21 ZINC000577371553 1045119620 /nfs/dbraw/zinc/11/96/20/1045119620.db2.gz QIMTWVKTBMHGRM-CQSZACIVSA-N 0 3 309.384 4.322 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCC2(C)C)nnn1-c1cccc(Cl)c1 ZINC000577429926 1045122512 /nfs/dbraw/zinc/12/25/12/1045122512.db2.gz QENFCZCRAMNPJM-XHDPSFHLSA-N 0 3 318.852 4.068 20 0 DIADHN Clc1ccccc1-c1nnc(CN[C@@H]2CC3CCC2CC3)o1 ZINC000577452997 1045124551 /nfs/dbraw/zinc/12/45/51/1045124551.db2.gz AJAHJWKXBNMWFF-KOHJWAIASA-N 0 3 317.820 4.058 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1ccn(C(CC)CC)n1 ZINC000447391260 1045127338 /nfs/dbraw/zinc/12/73/38/1045127338.db2.gz JKSUVIVPBMCQOC-GOSISDBHSA-N 0 3 307.482 4.025 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1ncc(-c2ccccc2)o1 ZINC000447388172 1045127354 /nfs/dbraw/zinc/12/73/54/1045127354.db2.gz VZTSBEBHNQMUBN-LJQANCHMSA-N 0 3 314.429 4.123 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@H](c2cccnc2)C1 ZINC000577488728 1045127666 /nfs/dbraw/zinc/12/76/66/1045127666.db2.gz GNBKHUHNOLZUJU-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN FC[C@H]1CCCN1[C@@H](c1ccc(Cl)cc1)C1CCOCC1 ZINC000577506437 1045130870 /nfs/dbraw/zinc/13/08/70/1045130870.db2.gz WIWKBIDPQYBZSX-SJORKVTESA-N 0 3 311.828 4.242 20 0 DIADHN FC[C@@H]1CCCN1[C@H](c1ccc(Cl)cc1)C1CCOCC1 ZINC000577506434 1045131937 /nfs/dbraw/zinc/13/19/37/1045131937.db2.gz WIWKBIDPQYBZSX-DLBZAZTESA-N 0 3 311.828 4.242 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000600720077 1045133832 /nfs/dbraw/zinc/13/38/32/1045133832.db2.gz QRPKFZHNDBOCPS-CQSZACIVSA-N 0 3 312.800 4.471 20 0 DIADHN CSc1ccc(CN(C)CCCC(=O)OC(C)(C)C)s1 ZINC000577550831 1045134701 /nfs/dbraw/zinc/13/47/01/1045134701.db2.gz SGHFHIJMLRZULC-UHFFFAOYSA-N 0 3 315.504 4.024 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CC[C@](C)(CF)C1 ZINC001256838323 1045135068 /nfs/dbraw/zinc/13/50/68/1045135068.db2.gz NHWLKBSNICMDBN-SWLSCSKDSA-N 0 3 314.242 4.062 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CCCC2(CCCCC2)C1 ZINC000600747216 1045135659 /nfs/dbraw/zinc/13/56/59/1045135659.db2.gz LALPDSIKUBKUDR-KRWDZBQOSA-N 0 3 314.473 4.368 20 0 DIADHN Cc1ccc([C@H](NCC2(CCO)CCCC2)c2ccccn2)cc1 ZINC000925196367 1045136113 /nfs/dbraw/zinc/13/61/13/1045136113.db2.gz ZRCCAUDABMPCIO-FQEVSTJZSA-N 0 3 324.468 4.012 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@H]1/C=C\c1cccs1 ZINC000577561504 1045137666 /nfs/dbraw/zinc/13/76/66/1045137666.db2.gz RTTLFHLGPUXURV-YDZSZYSISA-N 0 3 315.486 4.248 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CN2CCCC2)c2ccccc2)c(C)o1 ZINC000447531388 1045139923 /nfs/dbraw/zinc/13/99/23/1045139923.db2.gz PRZVFHLTENCDTQ-UZLBHIALSA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2c(F)cccc2F)N(C)C)c(C)o1 ZINC000447559645 1045149906 /nfs/dbraw/zinc/14/99/06/1045149906.db2.gz AJYCSUIEPAMLJU-SJCJKPOMSA-N 0 3 322.399 4.128 20 0 DIADHN Cc1cc2cc(CN3CC[C@@H](COC(F)F)C3)oc2cc1C ZINC000577662118 1045152560 /nfs/dbraw/zinc/15/25/60/1045152560.db2.gz MSHZLLYTAGOOPW-CYBMUJFWSA-N 0 3 309.356 4.111 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1C[C@@H](c2ccccc2)C[C@@H]1C ZINC000577673403 1045154438 /nfs/dbraw/zinc/15/44/38/1045154438.db2.gz VVHXZGAKPYKIRX-LNLFQRSKSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1c([C@@H](C)NC2CCC3(CC3)CC2)nnn1-c1ccc(C)cc1 ZINC000447591378 1045154667 /nfs/dbraw/zinc/15/46/67/1045154667.db2.gz HTZJQJRDURJOSO-OAHLLOKOSA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc(C)c(OCCCN(Cc2ccccn2)C2CC2)c1 ZINC000557201183 1045156419 /nfs/dbraw/zinc/15/64/19/1045156419.db2.gz KHBZUVHYLHJJCG-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3ncccc3F)CC2)cc1 ZINC000525998354 1045157194 /nfs/dbraw/zinc/15/71/94/1045157194.db2.gz IOMOJHQAVKAQNF-MRXNPFEDSA-N 0 3 300.352 4.086 20 0 DIADHN CCC1(CN[C@H](c2cccc(C)c2)c2ccccn2)CCOCC1 ZINC000925198983 1045162428 /nfs/dbraw/zinc/16/24/28/1045162428.db2.gz ZOXANJDMTJMDJT-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@@H]2CCC3(CCCC3)O2)s1 ZINC000577772455 1045169803 /nfs/dbraw/zinc/16/98/03/1045169803.db2.gz UTDDQCWAJBVGBV-ZFWWWQNUSA-N 0 3 308.491 4.245 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2cccs2)c(C)c1OC ZINC000577799701 1045172878 /nfs/dbraw/zinc/17/28/78/1045172878.db2.gz RRJLKTRIWXHVOX-CYBMUJFWSA-N 0 3 305.443 4.267 20 0 DIADHN Brc1cnc2c(c1)[C@@H](NCc1ccccc1)CCC2 ZINC000924543497 1045175854 /nfs/dbraw/zinc/17/58/54/1045175854.db2.gz RNIICIXKBUTURH-HNNXBMFYSA-N 0 3 317.230 4.011 20 0 DIADHN CC(C)c1ccc([C@H](NC[C@H]2CCCO2)c2cccnc2)cc1 ZINC000924541640 1045176568 /nfs/dbraw/zinc/17/65/68/1045176568.db2.gz DWXSXVFLRFLIBZ-UXHICEINSA-N 0 3 310.441 4.063 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)O[C@@]3(CCO[C@@H]3C)C2)cc1 ZINC000447823361 1045178258 /nfs/dbraw/zinc/17/82/58/1045178258.db2.gz UFYNJRFSCJZUEH-VIPLHTEESA-N 0 3 317.473 4.139 20 0 DIADHN CC[C@H](N[C@H](c1cccs1)C1CC1)c1cccc(OC)n1 ZINC000924592117 1045182035 /nfs/dbraw/zinc/18/20/35/1045182035.db2.gz QJYQROMJNATVAW-GUYCJALGSA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)s1 ZINC000447894132 1045185305 /nfs/dbraw/zinc/18/53/05/1045185305.db2.gz RMRLYJBSXRUBQY-DAYGRLMNSA-N 0 3 312.482 4.116 20 0 DIADHN CCOCc1ccc(CN[C@@H](c2ccccn2)C2CCC2)cc1 ZINC000924638412 1045185840 /nfs/dbraw/zinc/18/58/40/1045185840.db2.gz IUMHHCDLJFLHJG-HXUWFJFHSA-N 0 3 310.441 4.249 20 0 DIADHN C[C@H](NCc1ccc(N2CCCC2)nc1)c1cc2ccccc2[nH]1 ZINC000924637833 1045185949 /nfs/dbraw/zinc/18/59/49/1045185949.db2.gz VAXUSJVYEZEPII-HNNXBMFYSA-N 0 3 320.440 4.014 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000447896104 1045188408 /nfs/dbraw/zinc/18/84/08/1045188408.db2.gz GBGMEQVBBZRFMA-OLZOCXBDSA-N 0 3 310.507 4.124 20 0 DIADHN c1cc(CN[C@@H]2CCCc3ccccc32)n(-c2ccccc2)n1 ZINC000447941742 1045189457 /nfs/dbraw/zinc/18/94/57/1045189457.db2.gz GCSLREMEUGGIRT-HXUWFJFHSA-N 0 3 303.409 4.040 20 0 DIADHN Fc1ccc2oc(CN3CC[C@@H](CC(F)(F)F)C3)cc2c1 ZINC000577932273 1045190351 /nfs/dbraw/zinc/19/03/51/1045190351.db2.gz ACDYMDOZAGANQV-JTQLQIEISA-N 0 3 301.283 4.346 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CCCO[C@@H]2C(C)(C)C)s1 ZINC000447928447 1045190168 /nfs/dbraw/zinc/19/01/68/1045190168.db2.gz HOGNQXWCZTWMLF-CJNGLKHVSA-N 0 3 324.534 4.372 20 0 DIADHN C[C@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnnc1 ZINC000924694076 1045190798 /nfs/dbraw/zinc/19/07/98/1045190798.db2.gz AZAHDWLOVTYQRM-SUMWQHHRSA-N 0 3 301.409 4.444 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)c(F)c1)c1ccccc1Cl ZINC000048330970 1045192604 /nfs/dbraw/zinc/19/26/04/1045192604.db2.gz MHVFRMJIYDADLB-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1nnsc1CN(C)[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000577955721 1045193648 /nfs/dbraw/zinc/19/36/48/1045193648.db2.gz FKQCAZWLDFJAAX-CMPLNLGQSA-N 0 3 309.866 4.124 20 0 DIADHN CCC[C@H](C)[C@@H](CO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000577957478 1045194452 /nfs/dbraw/zinc/19/44/52/1045194452.db2.gz UBYPRVHQNSHWRW-OFQRWUPVSA-N 0 3 318.486 4.258 20 0 DIADHN COC[C@@H](C)CN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000527106712 1045196119 /nfs/dbraw/zinc/19/61/19/1045196119.db2.gz NSLPNIGSZOPISY-NHYWBVRUSA-N 0 3 302.245 4.413 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)NCc1ccc(CO)c(F)c1 ZINC000924761928 1045196703 /nfs/dbraw/zinc/19/67/03/1045196703.db2.gz WQBYYPYUVROHDN-GFCCVEGCSA-N 0 3 313.372 4.223 20 0 DIADHN CN(Cc1ccc(CF)cc1)Cc1ccc(OC(F)F)cc1 ZINC000777534713 1045196933 /nfs/dbraw/zinc/19/69/33/1045196933.db2.gz CZSCOFNABDVGSZ-UHFFFAOYSA-N 0 3 309.331 4.390 20 0 DIADHN COC[C@H](C)CN1CCC[C@H]1c1nc2ccccc2n1C(C)C ZINC000527116343 1045198299 /nfs/dbraw/zinc/19/82/99/1045198299.db2.gz PEITZLFAOCAJDU-QAPCUYQASA-N 0 3 315.461 4.037 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2N[C@@H](C)Cc1ccccc1Cl ZINC000924817303 1045200985 /nfs/dbraw/zinc/20/09/85/1045200985.db2.gz JNAXFIICAIULRV-XHDPSFHLSA-N 0 3 304.821 4.235 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCCc1ccc2ccccc2c1 ZINC000924834209 1045201659 /nfs/dbraw/zinc/20/16/59/1045201659.db2.gz ZKJPOCFZMMUJOK-GOSISDBHSA-N 0 3 306.409 4.346 20 0 DIADHN COc1cc(CN[C@H](c2cccc(F)c2)C2CCCC2)ccn1 ZINC000557577197 1045201819 /nfs/dbraw/zinc/20/18/19/1045201819.db2.gz RENWGBXTDFYMSL-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)ccc1C ZINC000924830986 1045202020 /nfs/dbraw/zinc/20/20/20/1045202020.db2.gz JFVAGBQRTROJEH-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC1(c2ccc(F)cc2)CCC1 ZINC000924886878 1045207351 /nfs/dbraw/zinc/20/73/51/1045207351.db2.gz ZHXJGSCMABMXOA-MRXNPFEDSA-N 0 3 314.404 4.211 20 0 DIADHN Clc1ccc(CN2CCCN(Cc3ccccc3)CC2)s1 ZINC000687647997 1045209902 /nfs/dbraw/zinc/20/99/02/1045209902.db2.gz RJCUPUIZRANGRN-UHFFFAOYSA-N 0 3 320.889 4.109 20 0 DIADHN Cn1ncc2ccc(CN[C@H]3CCc4c3cccc4Cl)cc21 ZINC000578125398 1045212410 /nfs/dbraw/zinc/21/24/10/1045212410.db2.gz BVWVIDDGBUUFID-KRWDZBQOSA-N 0 3 311.816 4.004 20 0 DIADHN CC1(C)CC2(CC(N[C@@H](c3ccccc3)c3cccnc3)C2)CO1 ZINC000924939456 1045213818 /nfs/dbraw/zinc/21/38/18/1045213818.db2.gz WICQDSWQFBRCPV-SCCNAQOGSA-N 0 3 322.452 4.108 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC[C@H]1c1ccccc1 ZINC000527324786 1045214385 /nfs/dbraw/zinc/21/43/85/1045214385.db2.gz SASOLBDEBAZNAP-NRFANRHFSA-N 0 3 317.436 4.269 20 0 DIADHN COc1ccc(CNCc2ccsc2C)c(C(F)(F)F)c1 ZINC000578146830 1045214507 /nfs/dbraw/zinc/21/45/07/1045214507.db2.gz CTRUFWSGHDUBRK-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN C[C@@H](N[C@H](c1ccc(Cl)cc1)C1CCCC1)c1cnnn1C ZINC000924970460 1045215388 /nfs/dbraw/zinc/21/53/88/1045215388.db2.gz OLVIARKKCHKRIJ-PXAZEXFGSA-N 0 3 318.852 4.051 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cn(C)nc2C)cc2ccccc21 ZINC000924951245 1045215581 /nfs/dbraw/zinc/21/55/81/1045215581.db2.gz RQCUCVQSCBQWEN-KBPBESRZSA-N 0 3 323.440 4.302 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cn(C)nc2C)cc2ccccc21 ZINC000924951260 1045215660 /nfs/dbraw/zinc/21/56/60/1045215660.db2.gz RQCUCVQSCBQWEN-KGLIPLIRSA-N 0 3 323.440 4.302 20 0 DIADHN COC[C@H](NCc1csc(-c2ccccc2)n1)C1CCCC1 ZINC000527349064 1045217244 /nfs/dbraw/zinc/21/72/44/1045217244.db2.gz QWEFIWKJFYDDNT-KRWDZBQOSA-N 0 3 316.470 4.105 20 0 DIADHN COC[C@H](N[C@@H](C)Cc1ccccc1F)c1ccc(F)c(F)c1 ZINC000527354685 1045220278 /nfs/dbraw/zinc/22/02/78/1045220278.db2.gz ZBBAZEYWWGLCMX-SGTLLEGYSA-N 0 3 323.358 4.012 20 0 DIADHN Cc1ccc2cc(CN(Cc3ccccn3)C(C)C)ccc2n1 ZINC000527356042 1045221708 /nfs/dbraw/zinc/22/17/08/1045221708.db2.gz VRVDXWLSLRILBB-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN CCC[C@H](NC[C@H](C)c1ccncc1)c1ncccc1Cl ZINC000925220520 1045235314 /nfs/dbraw/zinc/23/53/14/1045235314.db2.gz FKGQRQCYOGTPIU-BBRMVZONSA-N 0 3 303.837 4.365 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000925241976 1045240322 /nfs/dbraw/zinc/24/03/22/1045240322.db2.gz XZYFGAOKNQYMOL-XQQFMLRXSA-N 0 3 316.367 4.319 20 0 DIADHN COc1ccc(CN2C[C@H](C)[C@@H]2c2ccccc2)c(C)c1OC ZINC000578400746 1045240583 /nfs/dbraw/zinc/24/05/83/1045240583.db2.gz NLZKGRRZZSEEAU-IFXJQAMLSA-N 0 3 311.425 4.205 20 0 DIADHN Clc1ccc(-c2nc(CNC[C@@H]3CCSC3)cs2)cc1 ZINC000578410761 1045240860 /nfs/dbraw/zinc/24/08/60/1045240860.db2.gz XQGYRRNTAKJYRQ-NSHDSACASA-N 0 3 324.902 4.306 20 0 DIADHN FC(F)n1ccnc1CN[C@@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000527646953 1045245217 /nfs/dbraw/zinc/24/52/17/1045245217.db2.gz SOSLDKYXELRFRU-HZPDHXFCSA-N 0 3 319.399 4.484 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2ccncc2Cl)cc1 ZINC000527671431 1045247356 /nfs/dbraw/zinc/24/73/56/1045247356.db2.gz XHNPMWKNFSYOFQ-ROUUACIJSA-N 0 3 316.832 4.053 20 0 DIADHN OC[C@H]1C=C[C@@H](NCc2ccc(-c3ccccc3)c(Cl)c2)C1 ZINC000527676699 1045248824 /nfs/dbraw/zinc/24/88/24/1045248824.db2.gz UIWYSRXPVVYFSU-DOTOQJQBSA-N 0 3 313.828 4.034 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1ccc2cc[nH]c2c1 ZINC000527691091 1045250213 /nfs/dbraw/zinc/25/02/13/1045250213.db2.gz MGKXXEAROPOHHE-QGZVFWFLSA-N 0 3 310.372 4.311 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(F)c1)c1ccccn1)[C@@H]1C[C@H]1C1CC1 ZINC000527687701 1045252767 /nfs/dbraw/zinc/25/27/67/1045252767.db2.gz UMKBXBKDXDNZTG-SBFOQGNTSA-N 0 3 310.416 4.334 20 0 DIADHN C[C@H](N[C@H](c1cccc(F)c1)c1ccccn1)[C@@H]1C[C@H]1C1CC1 ZINC000527687697 1045253295 /nfs/dbraw/zinc/25/32/95/1045253295.db2.gz UMKBXBKDXDNZTG-HKVPTBLXSA-N 0 3 310.416 4.334 20 0 DIADHN CC(C)Cc1ccccc1CNCc1ccc(N2CCCC2)nc1 ZINC000527735648 1045254809 /nfs/dbraw/zinc/25/48/09/1045254809.db2.gz XUGHNZLLUDPWLQ-UHFFFAOYSA-N 0 3 323.484 4.170 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc3cnccc3c2)cc1F ZINC000527780777 1045259082 /nfs/dbraw/zinc/25/90/82/1045259082.db2.gz JERUJUSIGMNMLO-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@@H]2N[C@H]1CCC[C@H]1F ZINC000578638153 1045260545 /nfs/dbraw/zinc/26/05/45/1045260545.db2.gz YZHJTQJASFHULV-XBFCOCLRSA-N 0 3 316.189 4.056 20 0 DIADHN Fc1cc(Br)cc2c1CC[C@H]2N[C@H]1CCC[C@H]1F ZINC000578638152 1045260821 /nfs/dbraw/zinc/26/08/21/1045260821.db2.gz YZHJTQJASFHULV-BNOWGMLFSA-N 0 3 316.189 4.056 20 0 DIADHN Cn1cnc2cc(CN[C@H]3CCc4cc(Cl)ccc43)ccc21 ZINC000527794602 1045263890 /nfs/dbraw/zinc/26/38/90/1045263890.db2.gz FMPMDTRNKAPZPL-INIZCTEOSA-N 0 3 311.816 4.004 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000527796352 1045264204 /nfs/dbraw/zinc/26/42/04/1045264204.db2.gz YORHBQLFTNWTMD-OAHLLOKOSA-N 0 3 303.837 4.138 20 0 DIADHN COc1ccc([C@H](NCc2ccc(Cl)c(F)c2)C2CC2)cn1 ZINC000527840485 1045266454 /nfs/dbraw/zinc/26/64/54/1045266454.db2.gz GJRPTPRNOKQYGO-QGZVFWFLSA-N 0 3 320.795 4.124 20 0 DIADHN C[C@H](NCC[C@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000779086960 1045267019 /nfs/dbraw/zinc/26/70/19/1045267019.db2.gz RTVKDCWWLZHJHT-UGSOOPFHSA-N 0 3 321.823 4.428 20 0 DIADHN COc1ccc([C@H](NCc2ccc3ccccc3c2)C2CC2)cn1 ZINC000527839630 1045267269 /nfs/dbraw/zinc/26/72/69/1045267269.db2.gz HTSWUMAHRGOQQS-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN C[C@H](NCCc1cccs1)c1cc(-c2ccccc2)n(C)n1 ZINC000527843175 1045267544 /nfs/dbraw/zinc/26/75/44/1045267544.db2.gz CNZJYMJJEVQLGG-AWEZNQCLSA-N 0 3 311.454 4.042 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H](C)c2cccc(C(C)C)c2)cc1 ZINC000527854729 1045268192 /nfs/dbraw/zinc/26/81/92/1045268192.db2.gz XOXBSOOYKUDIKR-HNNXBMFYSA-N 0 3 310.441 4.020 20 0 DIADHN Oc1cccc2c1CC[C@H]2N[C@H]1CCc2cc(F)c(Cl)cc21 ZINC000527857680 1045268978 /nfs/dbraw/zinc/26/89/78/1045268978.db2.gz DIPOSQLRIWQOCX-SJORKVTESA-N 0 3 317.791 4.449 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1C[C@@H]1CCC2(CCCC2)O1 ZINC000578789210 1045271497 /nfs/dbraw/zinc/27/14/97/1045271497.db2.gz AJOYQTSLPUCEHA-WMZOPIPTSA-N 0 3 302.462 4.044 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527849082 1045272287 /nfs/dbraw/zinc/27/22/87/1045272287.db2.gz ISJYQSABSWGJDE-BBATYDOGSA-N 0 3 319.807 4.310 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c2ccccc2)nc1 ZINC000527924841 1045277180 /nfs/dbraw/zinc/27/71/80/1045277180.db2.gz HYYNWDRLCARKAB-DFYYWFRZSA-N 0 3 310.416 4.336 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@H](C)c1cscn1 ZINC000578884770 1045277974 /nfs/dbraw/zinc/27/79/74/1045277974.db2.gz RYPPOQPHZPLFFY-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN COc1cccc(OC(F)(F)F)c1CN[C@@H]1CCC12CCC2 ZINC000578919087 1045282205 /nfs/dbraw/zinc/28/22/05/1045282205.db2.gz YFGQQMLOWKMTPH-CQSZACIVSA-N 0 3 315.335 4.016 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccc2[nH]cnc2c1 ZINC000578938594 1045282839 /nfs/dbraw/zinc/28/28/39/1045282839.db2.gz GVZIEQSVQZFCJZ-SFHVURJKSA-N 0 3 309.413 4.155 20 0 DIADHN COCCC1CCN([C@H](C)c2ccc(OC)c(Cl)c2)CC1 ZINC000527938446 1045283338 /nfs/dbraw/zinc/28/33/38/1045283338.db2.gz ZGOTXSCDCIWTQC-CYBMUJFWSA-N 0 3 311.853 4.158 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(SC)cc1 ZINC000578996362 1045286898 /nfs/dbraw/zinc/28/68/98/1045286898.db2.gz INVNRDXIZGMGDQ-INIZCTEOSA-N 0 3 317.429 4.333 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc2cn(C)nc2c1 ZINC000527957390 1045288688 /nfs/dbraw/zinc/28/86/88/1045288688.db2.gz BIJNBERLJCXQCV-AWEZNQCLSA-N 0 3 319.408 4.479 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c(C)[nH]c3ccc(F)cc32)cs1 ZINC000527997342 1045292481 /nfs/dbraw/zinc/29/24/81/1045292481.db2.gz UZVMDILVUJJOLR-LLVKDONJSA-N 0 3 317.433 4.274 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1cc(Br)cs1 ZINC000527988672 1045294346 /nfs/dbraw/zinc/29/43/46/1045294346.db2.gz VDAYYHQGYQJVHN-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cccnc2C)cc1Cl ZINC000527985751 1045294803 /nfs/dbraw/zinc/29/48/03/1045294803.db2.gz IMUQIBBSPYRSOI-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc3[nH]ccc3c2)cc1OC ZINC000579128747 1045296057 /nfs/dbraw/zinc/29/60/57/1045296057.db2.gz GGZILLWWXFMSMP-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN C[C@H](NC1CCC(OC2CCCC2)CC1)c1ncccc1F ZINC000528038458 1045296346 /nfs/dbraw/zinc/29/63/46/1045296346.db2.gz VHUFECDGMXNEMO-HLIUYOAVSA-N 0 3 306.425 4.142 20 0 DIADHN CC[C@@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1ccsc1 ZINC000528043995 1045296702 /nfs/dbraw/zinc/29/67/02/1045296702.db2.gz SZSVXDKUNXHOPQ-WBVHZDCISA-N 0 3 302.443 4.344 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCCc3sccc32)C2CC2)cn1 ZINC000528042930 1045296737 /nfs/dbraw/zinc/29/67/37/1045296737.db2.gz JDIVFEIRYOTQEA-MAUKXSAKSA-N 0 3 314.454 4.270 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cccc(F)c2)C2CC2)cn1 ZINC000528043805 1045297219 /nfs/dbraw/zinc/29/72/19/1045297219.db2.gz QHKAQMXLFUOXFI-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cccc([C@@H](O)CNC(c2ccccc2)c2ccccc2)c1 ZINC000779130091 1045303449 /nfs/dbraw/zinc/30/34/49/1045303449.db2.gz SWNKMYKUGRPUDB-NRFANRHFSA-N 0 3 317.432 4.408 20 0 DIADHN c1ccc(C(NC[C@H]2COC3(CCCC3)O2)c2ccccc2)cc1 ZINC000779147723 1045320797 /nfs/dbraw/zinc/32/07/97/1045320797.db2.gz CQWXWTZFKCMHMQ-IBGZPJMESA-N 0 3 323.436 4.051 20 0 DIADHN CCOc1ccc(CN(Cc2ccc(F)nc2)C(C)C)cc1 ZINC000779153527 1045324895 /nfs/dbraw/zinc/32/48/95/1045324895.db2.gz FVUCVASQLMECOT-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN C[C@H](C1CC1)N(C)CC(=O)Nc1cccc(Oc2ccccc2)c1 ZINC000579625895 1045326074 /nfs/dbraw/zinc/32/60/74/1045326074.db2.gz VUKVSJWNFLSFTN-OAHLLOKOSA-N 0 3 324.424 4.148 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000579621421 1045326145 /nfs/dbraw/zinc/32/61/45/1045326145.db2.gz IOMUNOLJHUZKIP-SJORKVTESA-N 0 3 314.473 4.006 20 0 DIADHN Cc1csc(CN2CCC[C@@H](Cc3ccc(C)cc3)C2)n1 ZINC000579623820 1045326184 /nfs/dbraw/zinc/32/61/84/1045326184.db2.gz IHQDSFPNRFZFAX-KRWDZBQOSA-N 0 3 300.471 4.215 20 0 DIADHN COc1ncc([C@H](C)NC/C=C\c2ccccc2)cc1Cl ZINC000579620939 1045326279 /nfs/dbraw/zinc/32/62/79/1045326279.db2.gz JUDMXMSIQPVGGQ-XURPKSDJSA-N 0 3 302.805 4.108 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2cnn3ccccc23)cc1 ZINC000579624381 1045326292 /nfs/dbraw/zinc/32/62/92/1045326292.db2.gz ZQNRTIFKHGJRQQ-MRXNPFEDSA-N 0 3 323.440 4.364 20 0 DIADHN COc1ccc(CN(Cc2cc3cccnc3o2)C2CC2)cc1 ZINC000449006116 1045333147 /nfs/dbraw/zinc/33/31/47/1045333147.db2.gz CONGYRVCPMHHQJ-UHFFFAOYSA-N 0 3 308.381 4.001 20 0 DIADHN CC[C@H](NCc1c(Cl)cccc1OC)c1ccccc1O ZINC000188279974 1045335668 /nfs/dbraw/zinc/33/56/68/1045335668.db2.gz SFPMOUKKDCEQDU-HNNXBMFYSA-N 0 3 305.805 4.295 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1cc2cccnc2o1)c1ccccc1 ZINC000449024967 1045338148 /nfs/dbraw/zinc/33/81/48/1045338148.db2.gz ALBQEWPQTGLFNR-KRWDZBQOSA-N 0 3 320.392 4.065 20 0 DIADHN [O-]c1ccccc1C[N@H+](Cc1cccc(Cl)n1)C1CCCC1 ZINC000075741710 1045339468 /nfs/dbraw/zinc/33/94/68/1045339468.db2.gz JXIRNICWJIYKHY-UHFFFAOYSA-N 0 3 316.832 4.385 20 0 DIADHN [O-]c1ccccc1C[N@@H+](Cc1cccc(Cl)n1)C1CCCC1 ZINC000075741710 1045339470 /nfs/dbraw/zinc/33/94/70/1045339470.db2.gz JXIRNICWJIYKHY-UHFFFAOYSA-N 0 3 316.832 4.385 20 0 DIADHN Oc1ccccc1CN(Cc1ccncc1Cl)C1CCCC1 ZINC000075741712 1045339561 /nfs/dbraw/zinc/33/95/61/1045339561.db2.gz LUZWRDVKMUKZAN-UHFFFAOYSA-N 0 3 316.832 4.385 20 0 DIADHN Fc1ccc(CN2CCC[C@]3(CCCc4ccccc43)C2)cn1 ZINC000779162416 1045339927 /nfs/dbraw/zinc/33/99/27/1045339927.db2.gz ZDOLSPMGBZEIDT-HXUWFJFHSA-N 0 3 310.416 4.091 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2ccnn2C2CCCC2)C1 ZINC000449045234 1045340205 /nfs/dbraw/zinc/34/02/05/1045340205.db2.gz HKKAMVOGEFFASW-DOTOQJQBSA-N 0 3 307.507 4.104 20 0 DIADHN Fc1ccc(CNCCOc2ccccc2-c2ccccc2)cn1 ZINC000189013764 1045341655 /nfs/dbraw/zinc/34/16/55/1045341655.db2.gz FMQAQEXQAADEPF-UHFFFAOYSA-N 0 3 322.383 4.056 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H](N[C@H](C)c2nnc3n2CCCCC3)C1 ZINC000449073464 1045344112 /nfs/dbraw/zinc/34/41/12/1045344112.db2.gz NFZPWXBOTXHNQU-BRWVUGGUSA-N 0 3 318.509 4.260 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc2c(c1)CCO2 ZINC000189376215 1045346094 /nfs/dbraw/zinc/34/60/94/1045346094.db2.gz GJTHWNFMJNUKLM-RDTXWAMCSA-N 0 3 309.409 4.104 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccccc1)NCc1ccc(Cl)cc1F ZINC000189412691 1045346986 /nfs/dbraw/zinc/34/69/86/1045346986.db2.gz ZYWLPAAZTKFUJK-YVEFUNNKSA-N 0 3 307.796 4.081 20 0 DIADHN COC(=O)CC[C@@H](NCc1cccc2ccoc21)c1ccccc1 ZINC000449129123 1045349466 /nfs/dbraw/zinc/34/94/66/1045349466.db2.gz ZCJGTDWKKPLEQI-GOSISDBHSA-N 0 3 323.392 4.217 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)CCO1 ZINC000449129686 1045349657 /nfs/dbraw/zinc/34/96/57/1045349657.db2.gz VVYJUQSLNWXPNB-NUEKZKHPSA-N 0 3 316.470 4.418 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCC2)C1)c1nc(C(C)(C)C)cs1 ZINC000449125462 1045349697 /nfs/dbraw/zinc/34/96/97/1045349697.db2.gz JEUOFCXMQQGDTA-CHWSQXEVSA-N 0 3 308.491 4.193 20 0 DIADHN O[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)c1ccccc1 ZINC000076421554 1045351101 /nfs/dbraw/zinc/35/11/01/1045351101.db2.gz PVVLUHNSCGELPN-SFTDATJTSA-N 0 3 321.395 4.238 20 0 DIADHN O[C@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)c1ccccc1 ZINC000076421555 1045351482 /nfs/dbraw/zinc/35/14/82/1045351482.db2.gz PVVLUHNSCGELPN-NHCUHLMSSA-N 0 3 321.395 4.238 20 0 DIADHN Cc1cccc(C2(NCc3cc(CO)ccc3F)CCCC2)c1 ZINC000528412735 1045352042 /nfs/dbraw/zinc/35/20/42/1045352042.db2.gz FJKYOLXWKIMXGQ-UHFFFAOYSA-N 0 3 313.416 4.186 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCOC3(CCC3)C2)c2ccccn2)c1 ZINC000449206182 1045361033 /nfs/dbraw/zinc/36/10/33/1045361033.db2.gz MUHPGBSXCRPULP-AZUAARDMSA-N 0 3 322.452 4.171 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)s1 ZINC000449240164 1045369116 /nfs/dbraw/zinc/36/91/16/1045369116.db2.gz XXCKFJNQWYPFII-ZBINZKHDSA-N 0 3 321.877 4.487 20 0 DIADHN CCN(Cc1ccncc1)Cc1cc2ccccc2c(OC)c1 ZINC000449322933 1045375402 /nfs/dbraw/zinc/37/54/02/1045375402.db2.gz ZZSVFXBKJXUNAU-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Cc1ccc(NC(=O)CC2(c3ccccc3)CC2)c(CN(C)C)c1 ZINC000528566580 1045375576 /nfs/dbraw/zinc/37/55/76/1045375576.db2.gz NKWJIDWAZXBKTR-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN C[C@@H](CN1CCC[C@H]1c1cccnc1)c1cc(F)cc(F)c1 ZINC000449317151 1045376201 /nfs/dbraw/zinc/37/62/01/1045376201.db2.gz BICHAVWFUQCBSI-UGSOOPFHSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1cnc(CNCC[C@@H](c2ccccc2)C(F)(F)F)s1 ZINC000449341896 1045377849 /nfs/dbraw/zinc/37/78/49/1045377849.db2.gz XGMMVRJMDBXCOS-ZDUSSCGKSA-N 0 3 314.376 4.277 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cc4cccnc4o3)C2)c1 ZINC000449341870 1045378021 /nfs/dbraw/zinc/37/80/21/1045378021.db2.gz XBSGAHYMHPPQJG-HNNXBMFYSA-N 0 3 312.800 4.471 20 0 DIADHN CC(C)(NC[C@@H](O)C1CCCCC1)c1nc2ccccc2s1 ZINC000528601949 1045384341 /nfs/dbraw/zinc/38/43/41/1045384341.db2.gz JLWJPXATFJKPEX-OAHLLOKOSA-N 0 3 318.486 4.062 20 0 DIADHN CC(F)(F)CCNCc1ccc(-c2cccc(F)c2F)o1 ZINC000449354367 1045385250 /nfs/dbraw/zinc/38/52/50/1045385250.db2.gz MVDVRAHVFCLLAB-UHFFFAOYSA-N 0 3 301.283 4.360 20 0 DIADHN CCc1ncc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)s1 ZINC000449357399 1045385243 /nfs/dbraw/zinc/38/52/43/1045385243.db2.gz ACXZVMKZJIANDZ-HBNTYKKESA-N 0 3 306.397 4.257 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000449411087 1045391964 /nfs/dbraw/zinc/39/19/64/1045391964.db2.gz FOJCCECJAXQXSP-UONOGXRCSA-N 0 3 322.880 4.015 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000449449425 1045394476 /nfs/dbraw/zinc/39/44/76/1045394476.db2.gz LKWACNKRUXGEMZ-QGZVFWFLSA-N 0 3 320.795 4.124 20 0 DIADHN Cc1ccncc1CN[C@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000449449735 1045394866 /nfs/dbraw/zinc/39/48/66/1045394866.db2.gz MTKNZOHZEPCTQI-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN COc1ncc(CN[C@H](c2ccc(C)cc2)C2CC2)cc1Cl ZINC000449457787 1045395882 /nfs/dbraw/zinc/39/58/82/1045395882.db2.gz HXMZNDXDTPBANF-QGZVFWFLSA-N 0 3 316.832 4.293 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1ccc(-n2cccn2)cc1 ZINC000449460085 1045395954 /nfs/dbraw/zinc/39/59/54/1045395954.db2.gz MFSPVZPPJPGPKV-HIFRSBDPSA-N 0 3 323.362 4.035 20 0 DIADHN FC(F)(F)c1cc(CNCc2ccc3c(c2)CCCC3)ccn1 ZINC000449436161 1045396870 /nfs/dbraw/zinc/39/68/70/1045396870.db2.gz DGUMVGMQIRIQOY-UHFFFAOYSA-N 0 3 320.358 4.269 20 0 DIADHN Cc1csc(CNCc2cnc(-c3ccc(C)o3)s2)c1 ZINC000449473743 1045397854 /nfs/dbraw/zinc/39/78/54/1045397854.db2.gz CBLPCHGWANHHOS-UHFFFAOYSA-N 0 3 304.440 4.371 20 0 DIADHN Cc1csc(CNCc2cc(C)c(Br)s2)c1 ZINC000449472406 1045398041 /nfs/dbraw/zinc/39/80/41/1045398041.db2.gz ADFOEXCCXYLLBD-UHFFFAOYSA-N 0 3 316.289 4.479 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@H]1CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC000449476247 1045399063 /nfs/dbraw/zinc/39/90/63/1045399063.db2.gz VFQSRNMBGOARMR-BZUAXINKSA-N 0 3 314.256 4.119 20 0 DIADHN CCOC(C)(C)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000389647019 1045400604 /nfs/dbraw/zinc/40/06/04/1045400604.db2.gz OUJCTFCJPSPUEF-SFHVURJKSA-N 0 3 301.405 4.320 20 0 DIADHN CCO[C@@H]1CCN(Cc2cc(Cl)cc3cccnc32)C[C@H]1C ZINC000449496377 1045403137 /nfs/dbraw/zinc/40/31/37/1045403137.db2.gz PWCCHXYYTJPOFT-CXAGYDPISA-N 0 3 318.848 4.135 20 0 DIADHN CC(C)c1nc(CN2CC3(CCOCC3)[C@@H]3CCC[C@@H]32)cs1 ZINC000449515810 1045405303 /nfs/dbraw/zinc/40/53/03/1045405303.db2.gz BPPPQEUDZZCLMM-CVEARBPZSA-N 0 3 320.502 4.048 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3cccs3)s2)C[C@@H]1C ZINC000449506114 1045405834 /nfs/dbraw/zinc/40/58/34/1045405834.db2.gz ZZGCORBEANYQCH-JSGCOSHPSA-N 0 3 322.499 4.119 20 0 DIADHN Fc1cccc(Cl)c1CN1CC2(CCOCC2)[C@@H]2CCC[C@@H]21 ZINC000449518948 1045406823 /nfs/dbraw/zinc/40/68/23/1045406823.db2.gz PBAUKVIRYJDNEK-PBHICJAKSA-N 0 3 323.839 4.260 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](C)CCc1ccc(Cl)s1 ZINC000449563089 1045414934 /nfs/dbraw/zinc/41/49/34/1045414934.db2.gz QZPHKOZAZACHBA-RYUDHWBXSA-N 0 3 311.882 4.290 20 0 DIADHN CCCc1csc(CN[C@@H]2CCO[C@@H](c3cccs3)C2)n1 ZINC000449621088 1045420976 /nfs/dbraw/zinc/42/09/76/1045420976.db2.gz GPXQUVBPVRVIRC-TZMCWYRMSA-N 0 3 322.499 4.167 20 0 DIADHN COc1ccc([C@H](NCc2cccc(C(F)F)c2)C2CC2)cn1 ZINC000528855291 1045424276 /nfs/dbraw/zinc/42/42/76/1045424276.db2.gz ZECUDRKKSUTUPB-QGZVFWFLSA-N 0 3 318.367 4.269 20 0 DIADHN C[C@H](CC1CCOCC1)CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449640085 1045424380 /nfs/dbraw/zinc/42/43/80/1045424380.db2.gz RNKWVPRPXSRMKB-QAPCUYQASA-N 0 3 303.446 4.259 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCN(C)c3ccccc32)c1 ZINC000449654971 1045424477 /nfs/dbraw/zinc/42/44/77/1045424477.db2.gz XPKXBCPRWWHMAD-LJQANCHMSA-N 0 3 310.441 4.146 20 0 DIADHN O=C(CCCCCc1cccs1)Nc1ccc2c(c1)CNC2 ZINC000449670872 1045425768 /nfs/dbraw/zinc/42/57/68/1045425768.db2.gz JQDAFHZNFMODFC-UHFFFAOYSA-N 0 3 314.454 4.093 20 0 DIADHN COCc1ccc(CNC2(C(C)(C)c3ccc(F)cc3)CC2)o1 ZINC000449696209 1045427539 /nfs/dbraw/zinc/42/75/39/1045427539.db2.gz JVVJEPYZHKGCTH-UHFFFAOYSA-N 0 3 317.404 4.165 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@@]2(CCSC2)C1 ZINC000449736653 1045432812 /nfs/dbraw/zinc/43/28/12/1045432812.db2.gz XAADCSXGGFUTQK-MRXNPFEDSA-N 0 3 308.516 4.130 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC[C@@]2(CCSC2)C1 ZINC000449735787 1045432979 /nfs/dbraw/zinc/43/29/79/1045432979.db2.gz VAYRTWUUBBJQBS-MRXNPFEDSA-N 0 3 313.413 4.007 20 0 DIADHN COCC1(CNCc2csc(-c3ccccc3)n2)CCCC1 ZINC000449742187 1045433560 /nfs/dbraw/zinc/43/35/60/1045433560.db2.gz YJLGBSQZVKLNAS-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN C[C@H](NCc1csc(COc2ccccc2)n1)C1CCCC1 ZINC000449759901 1045433894 /nfs/dbraw/zinc/43/38/94/1045433894.db2.gz ZQNXNAKPVTVKIH-AWEZNQCLSA-N 0 3 316.470 4.390 20 0 DIADHN COc1ccsc1CN(C)[C@@H]1C[C@@H](c2cccc(OC)c2)C1 ZINC000449752891 1045434830 /nfs/dbraw/zinc/43/48/30/1045434830.db2.gz DRHITVBONBHVAZ-SHTZXODSSA-N 0 3 317.454 4.143 20 0 DIADHN Cc1cc(CN[C@H](c2cccs2)C2(CO)CCC2)cs1 ZINC000449768112 1045435351 /nfs/dbraw/zinc/43/53/51/1045435351.db2.gz RULGSGMGVNIFLY-OAHLLOKOSA-N 0 3 307.484 4.112 20 0 DIADHN OCC1([C@@H](NCc2cccc(Cl)c2)c2cccs2)CCC1 ZINC000449767467 1045435898 /nfs/dbraw/zinc/43/58/98/1045435898.db2.gz QJMFVUZFCJVEBY-INIZCTEOSA-N 0 3 321.873 4.395 20 0 DIADHN Cc1ccc(CN2CCC(C(=O)c3ccc(F)cc3)CC2)s1 ZINC000193420587 1045437676 /nfs/dbraw/zinc/43/76/76/1045437676.db2.gz IXOFQLQRDYKVNI-UHFFFAOYSA-N 0 3 317.429 4.291 20 0 DIADHN CC(C)N(CCCC1CCC1)CC(=O)Nc1ccc(F)cc1 ZINC001168114553 1045459526 /nfs/dbraw/zinc/45/95/26/1045459526.db2.gz PFNXSZZWQNTCBK-UHFFFAOYSA-N 0 3 306.425 4.055 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000450106305 1045469655 /nfs/dbraw/zinc/46/96/55/1045469655.db2.gz SKGSENWHHRXPIU-YSVLISHTSA-N 0 3 302.462 4.067 20 0 DIADHN CCc1cc(CCCN2CCC[C@H]2c2ccc(F)cc2)on1 ZINC000450226661 1045477095 /nfs/dbraw/zinc/47/70/95/1045477095.db2.gz MGRIJFVMZUTNNL-SFHVURJKSA-N 0 3 302.393 4.146 20 0 DIADHN FC1(F)CC(CN2CCC[C@@H]2c2nc3ccccc3s2)C1 ZINC000450237803 1045478972 /nfs/dbraw/zinc/47/89/72/1045478972.db2.gz QGTRISYSDWWWBO-CYBMUJFWSA-N 0 3 308.397 4.479 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ccsc2C(F)(F)F)C1 ZINC000529545755 1045492202 /nfs/dbraw/zinc/49/22/02/1045492202.db2.gz ZNWFKIAMZHPUCO-LLVKDONJSA-N 0 3 307.381 4.015 20 0 DIADHN CC(=O)c1ccc(CN2CCC[C@@H](c3nc(C)cs3)C2)cc1 ZINC000450336662 1045494073 /nfs/dbraw/zinc/49/40/73/1045494073.db2.gz RYAKIZQJHMDFEH-QGZVFWFLSA-N 0 3 314.454 4.034 20 0 DIADHN Cc1csc([C@@H](NC[C@@]2(C)CC2(Cl)Cl)C2CC2)n1 ZINC000828722766 1045525718 /nfs/dbraw/zinc/52/57/18/1045525718.db2.gz RXPYBEUGHWSDNM-CMPLNLGQSA-N 0 3 305.274 4.076 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN(C)[C@H](C)c1sc(C)nc1C ZINC000450386737 1045499450 /nfs/dbraw/zinc/49/94/50/1045499450.db2.gz FYBDOAYJKMKDGS-PBHICJAKSA-N 0 3 318.486 4.010 20 0 DIADHN c1nc(CCN2Cc3ccccc3C[C@H]2c2ccccc2)cs1 ZINC000450433541 1045504978 /nfs/dbraw/zinc/50/49/78/1045504978.db2.gz ATVHJJDEDQLVDJ-FQEVSTJZSA-N 0 3 320.461 4.485 20 0 DIADHN CCc1ccc2nccc(N3CCC4(CCOCC4)[C@@H]3C)c2c1 ZINC000450438431 1045505364 /nfs/dbraw/zinc/50/53/64/1045505364.db2.gz KIKSRLVNXBGIGD-HNNXBMFYSA-N 0 3 310.441 4.193 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307499849 1045506900 /nfs/dbraw/zinc/50/69/00/1045506900.db2.gz KASKIBJIIACHOQ-BBRMVZONSA-N 0 3 303.837 4.146 20 0 DIADHN CC(C)[C@H](CN1CCc2nc(C3CC3)ncc2C1)c1ccccc1 ZINC000529666142 1045510111 /nfs/dbraw/zinc/51/01/11/1045510111.db2.gz QPWCDGWKBAXTJV-IBGZPJMESA-N 0 3 321.468 4.152 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@@H](C)c3cncs3)c2c1 ZINC000450491035 1045512798 /nfs/dbraw/zinc/51/27/98/1045512798.db2.gz NKDYHGKTZFZQFB-LBPRGKRZSA-N 0 3 313.426 4.074 20 0 DIADHN Cc1noc(C)c1CCN(C)[C@H](C)c1sc(C(C)C)nc1C ZINC000121105982 1045514790 /nfs/dbraw/zinc/51/47/90/1045514790.db2.gz HHGVFZZFGTXBMD-CYBMUJFWSA-N 0 3 321.490 4.415 20 0 DIADHN C[C@@H](O)CCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000450554524 1045519111 /nfs/dbraw/zinc/51/91/11/1045519111.db2.gz SCFHEMIPVNIDOZ-IUODEOHRSA-N 0 3 301.352 4.003 20 0 DIADHN C[C@@H](NCCCOCc1ccccc1)c1c(F)cccc1F ZINC000390057808 1045521133 /nfs/dbraw/zinc/52/11/33/1045521133.db2.gz PPUZNAWIVDOQMS-CQSZACIVSA-N 0 3 305.368 4.222 20 0 DIADHN CC[C@](C)(NC[C@H]1CCCCC1(F)F)c1nc(C)cs1 ZINC000450575164 1045521599 /nfs/dbraw/zinc/52/15/99/1045521599.db2.gz GFSWZZCAVPSXMC-OCCSQVGLSA-N 0 3 302.434 4.492 20 0 DIADHN Cc1csc([C@H](NC[C@]2(C)CC2(Cl)Cl)C2CC2)n1 ZINC000828722769 1045525810 /nfs/dbraw/zinc/52/58/10/1045525810.db2.gz RXPYBEUGHWSDNM-PWSUYJOCSA-N 0 3 305.274 4.076 20 0 DIADHN Cc1ncsc1CN(C)CCc1cccc(C(F)(F)F)c1 ZINC000628163120 1045532638 /nfs/dbraw/zinc/53/26/38/1045532638.db2.gz QFWZLIGIAAXLBF-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN COc1ccc(CNCc2cnc(-c3ccccc3)s2)c(C)c1 ZINC001120005466 1045533552 /nfs/dbraw/zinc/53/35/52/1045533552.db2.gz BJIPIANXJWEQMB-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN C[C@@]1(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)CCCS1 ZINC000628180376 1045534790 /nfs/dbraw/zinc/53/47/90/1045534790.db2.gz MSEADIMTZPBBGR-VIFPVBQESA-N 0 3 321.330 4.089 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1ccc(Cl)c(OC)c1 ZINC000450633418 1045535248 /nfs/dbraw/zinc/53/52/48/1045535248.db2.gz ZOULINVIFNFKDM-MRXNPFEDSA-N 0 3 304.821 4.327 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccc3[nH]ccc3c1)CCC2 ZINC000628174127 1045536649 /nfs/dbraw/zinc/53/66/49/1045536649.db2.gz XPSMZWMYEXVXKE-KRWDZBQOSA-N 0 3 311.454 4.442 20 0 DIADHN Cc1nc(C)c(CNCC2(c3cccc(C(F)(F)F)c3)CC2)o1 ZINC000628211227 1045544936 /nfs/dbraw/zinc/54/49/36/1045544936.db2.gz JKLHDIXKNXSKRS-UHFFFAOYSA-N 0 3 324.346 4.132 20 0 DIADHN Cc1ncc(CNCC2(c3cccc(C(F)(F)F)c3)CCC2)o1 ZINC000628221690 1045546031 /nfs/dbraw/zinc/54/60/31/1045546031.db2.gz QIBDGNDEDONVAR-UHFFFAOYSA-N 0 3 324.346 4.213 20 0 DIADHN Cc1nc(CNCCc2cccc(C(F)(F)F)c2)sc1C ZINC000628219897 1045546679 /nfs/dbraw/zinc/54/66/79/1045546679.db2.gz CKTHYYOIWVVNBF-UHFFFAOYSA-N 0 3 314.376 4.111 20 0 DIADHN c1nc(C2CC2)oc1CNCCCOc1ccc2ccccc2c1 ZINC000628228635 1045548964 /nfs/dbraw/zinc/54/89/64/1045548964.db2.gz VJQHORNCDNYFDQ-UHFFFAOYSA-N 0 3 322.408 4.264 20 0 DIADHN COc1cc(CN(Cc2ccc3[nH]ccc3c2)C2CC2)ccc1O ZINC000628241267 1045554118 /nfs/dbraw/zinc/55/41/18/1045554118.db2.gz OQDBHPSZBWHLAK-UHFFFAOYSA-N 0 3 322.408 4.047 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2ccccc2OC2CC2)C1 ZINC000450749077 1045556158 /nfs/dbraw/zinc/55/61/58/1045556158.db2.gz YCVYBJZTECEZKF-DNVCBOLYSA-N 0 3 308.425 4.206 20 0 DIADHN C[C@@H](N[C@@H](C)C1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000628254066 1045556504 /nfs/dbraw/zinc/55/65/04/1045556504.db2.gz LBJSTHRAYLRWLZ-NKWVEPMBSA-N 0 3 314.323 4.246 20 0 DIADHN COc1cc(CNC(C)(C)c2ccc(C)nc2)ccc1Cl ZINC000450748219 1045556717 /nfs/dbraw/zinc/55/67/17/1045556717.db2.gz WDYTZTYZEPKRGB-UHFFFAOYSA-N 0 3 304.821 4.077 20 0 DIADHN CC[C@H](C)N1CCN(Cc2cc(Cl)cc(Cl)c2F)CC1 ZINC001460100758 1045557628 /nfs/dbraw/zinc/55/76/28/1045557628.db2.gz DMZMKYUQGHTFAN-NSHDSACASA-N 0 3 319.251 4.049 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1ccccc1Cl)c1cccs1 ZINC000750839363 1045561591 /nfs/dbraw/zinc/56/15/91/1045561591.db2.gz VWVLIKQDUOXVNY-HOCLYGCPSA-N 0 3 321.873 4.490 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1ccc(Cl)cc1)c1cccs1 ZINC000750838530 1045562564 /nfs/dbraw/zinc/56/25/64/1045562564.db2.gz KXJNSZWNWNIZCT-CVEARBPZSA-N 0 3 321.873 4.490 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1sccc1Br ZINC000390679463 1045575583 /nfs/dbraw/zinc/57/55/83/1045575583.db2.gz ZDSMIGYHZXNNQC-ZETCQYMHSA-N 0 3 310.207 4.207 20 0 DIADHN CC(C)C1CCC(N(C)Cc2ccn(CC(F)(F)F)n2)CC1 ZINC000450860253 1045580672 /nfs/dbraw/zinc/58/06/72/1045580672.db2.gz WMPDNKJKIUXENN-UHFFFAOYSA-N 0 3 317.399 4.092 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](C)NC(=O)OC(C)(C)C)c1ccccc1F ZINC000381703473 1045583525 /nfs/dbraw/zinc/58/35/25/1045583525.db2.gz HJCGHPGUWOEFAM-IOASZLSFSA-N 0 3 324.440 4.168 20 0 DIADHN C[C@@H](NCC1(C)OCCCO1)c1ccc(-c2cccs2)cc1 ZINC000190643133 1045593397 /nfs/dbraw/zinc/59/33/97/1045593397.db2.gz MJTXCIXFHPHWRA-CQSZACIVSA-N 0 3 317.454 4.219 20 0 DIADHN FC(F)c1ccc(CN2CCC(F)(c3ccccn3)CC2)cc1 ZINC000450935991 1045595291 /nfs/dbraw/zinc/59/52/91/1045595291.db2.gz ZZQOLRCIRYKHAR-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN Cc1cc(C)c(CC(=O)C(C#N)c2nc3ccccc3o2)c(C)c1 ZINC000190668987 1045598503 /nfs/dbraw/zinc/59/85/03/1045598503.db2.gz XYGUSUNYHAPOMT-INIZCTEOSA-N 0 3 318.376 4.172 20 0 DIADHN Cc1ccc(Br)cc1C[NH2+][C@H](C)c1ccccc1[O-] ZINC000190695414 1045601227 /nfs/dbraw/zinc/60/12/27/1045601227.db2.gz AXCPWDUKGBRXKK-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1coc(-c3ccccc3)n1)C2 ZINC000800285771 1045605603 /nfs/dbraw/zinc/60/56/03/1045605603.db2.gz ARFQNEWONFEXIH-KRWDZBQOSA-N 0 3 324.811 4.252 20 0 DIADHN COC(C)(C)CCN1CCC[C@@H]1c1nc2cc(Cl)ccc2[nH]1 ZINC000451022940 1045607572 /nfs/dbraw/zinc/60/75/72/1045607572.db2.gz SUSLPNYFIUFDTG-OAHLLOKOSA-N 0 3 321.852 4.168 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1cccc2nsnc21 ZINC000800397207 1045614897 /nfs/dbraw/zinc/61/48/97/1045614897.db2.gz OSAGBWAKQAFRIE-LLVKDONJSA-N 0 3 315.467 4.264 20 0 DIADHN CC[C@@H](NC(=O)[C@H](C)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000303523330 1045617019 /nfs/dbraw/zinc/61/70/19/1045617019.db2.gz FOSATTOUILZCOE-WMLDXEAASA-N 0 3 322.880 4.172 20 0 DIADHN Clc1cccc(S[C@H]2CCN(CCc3cscn3)C2)c1 ZINC000451067712 1045622489 /nfs/dbraw/zinc/62/24/89/1045622489.db2.gz PFDRCYVLIQEASS-HNNXBMFYSA-N 0 3 324.902 4.206 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc(OC(C)C)cc2)oc1C ZINC000800478612 1045624857 /nfs/dbraw/zinc/62/48/57/1045624857.db2.gz MIJTWXBMKJJKME-KBXCAEBGSA-N 0 3 317.429 4.068 20 0 DIADHN COc1ncc(CN[C@H](C)c2cccc(C3CC3)c2)cc1Cl ZINC000451116749 1045625851 /nfs/dbraw/zinc/62/58/51/1045625851.db2.gz RRDSTYMLWOFPQN-GFCCVEGCSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1cccc(C2CC2)c1 ZINC000451114928 1045626047 /nfs/dbraw/zinc/62/60/47/1045626047.db2.gz NEPOQSPAGQAKPP-CQSZACIVSA-N 0 3 305.425 4.371 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1C[C@H](C)C[C@@H](C)C1 ZINC000026466503 1045629260 /nfs/dbraw/zinc/62/92/60/1045629260.db2.gz SYOHFQYVAKADHN-OAGGEKHMSA-N 0 3 302.462 4.115 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3c[nH]c(-c4ccccc4)n3)C2)cc1 ZINC000530280436 1045631290 /nfs/dbraw/zinc/63/12/90/1045631290.db2.gz NRRXWPKIDIEJDK-KRWDZBQOSA-N 0 3 321.399 4.205 20 0 DIADHN C[C@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1cccnc1Cl ZINC000800496405 1045633688 /nfs/dbraw/zinc/63/36/88/1045633688.db2.gz VCJIFQATDANSMR-HZMBPMFUSA-N 0 3 307.224 4.206 20 0 DIADHN CC(C)CO[C@H]1CCN(Cc2cccc(OC(C)(C)C)c2)C1 ZINC001168127774 1045637924 /nfs/dbraw/zinc/63/79/24/1045637924.db2.gz ZGEBYBXRAADVPB-SFHVURJKSA-N 0 3 305.462 4.111 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@H](C)N1Cc1nc(-c2ccoc2)no1 ZINC000451179587 1045639986 /nfs/dbraw/zinc/63/99/86/1045639986.db2.gz DVJPUQCPANWDSF-KEYYUXOJSA-N 0 3 323.396 4.096 20 0 DIADHN CC[C@@](C)(NC[C@@H]1CCC2(CCCC2)O1)c1nc(C)cs1 ZINC000530358215 1045651170 /nfs/dbraw/zinc/65/11/70/1045651170.db2.gz CBVRYMMJAARIBC-GOEBONIOSA-N 0 3 308.491 4.158 20 0 DIADHN C[C@H](Cc1ccco1)NCc1ccc(-c2ccncc2)cc1F ZINC000752058329 1045652728 /nfs/dbraw/zinc/65/27/28/1045652728.db2.gz MOMWYPWESRINGP-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C)cc1SC(F)(F)F ZINC000752074539 1045654702 /nfs/dbraw/zinc/65/47/02/1045654702.db2.gz FLMUNUWCVCBWDT-JTQLQIEISA-N 0 3 305.365 4.291 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C)cc1SC(F)(F)F ZINC000752074543 1045655244 /nfs/dbraw/zinc/65/52/44/1045655244.db2.gz FLMUNUWCVCBWDT-SNVBAGLBSA-N 0 3 305.365 4.291 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1ccc(F)cc1)c1ccccc1F ZINC000752089810 1045658168 /nfs/dbraw/zinc/65/81/68/1045658168.db2.gz QANPNIDZHKFQHG-RBUKOAKNSA-N 0 3 317.379 4.225 20 0 DIADHN COc1ccc(CN(C[C@H]2CCC3(CCCC3)O2)C2CC2)cc1 ZINC000530419366 1045662464 /nfs/dbraw/zinc/66/24/64/1045662464.db2.gz LGIINWLNITTWRY-LJQANCHMSA-N 0 3 315.457 4.151 20 0 DIADHN C[C@@H](N[C@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000530423660 1045662494 /nfs/dbraw/zinc/66/24/94/1045662494.db2.gz SKFZGORTNLTRNF-SCZZXKLOSA-N 0 3 307.793 4.098 20 0 DIADHN Cc1ccc(C)c(OC(=O)[C@H]2CCCN(Cc3ccccc3)C2)c1 ZINC001124371987 1045668598 /nfs/dbraw/zinc/66/85/98/1045668598.db2.gz MXJLDVUOTMCIJV-IBGZPJMESA-N 0 3 323.436 4.121 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN(C)Cc1ccccn1 ZINC000451365467 1045670692 /nfs/dbraw/zinc/67/06/92/1045670692.db2.gz GOSCXCNMHOFPGB-UHFFFAOYSA-N 0 3 322.456 4.042 20 0 DIADHN COc1ccc2nc(CN[C@H](C)Cc3ccsc3)sc2c1 ZINC000530494310 1045675354 /nfs/dbraw/zinc/67/53/54/1045675354.db2.gz RBKMVWQOBLPCNU-LLVKDONJSA-N 0 3 318.467 4.087 20 0 DIADHN C[C@@]12CN(Cc3csc(-c4ccoc4)n3)C[C@H]1[C@@H]1C=C[C@H]2C1 ZINC000451376170 1045676049 /nfs/dbraw/zinc/67/60/49/1045676049.db2.gz VNBYHYNCXGAZPF-XJOINEQPSA-N 0 3 312.438 4.047 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@H](C)c2csc(Cl)c2)c1 ZINC000800993197 1045680207 /nfs/dbraw/zinc/68/02/07/1045680207.db2.gz WQURSJSNJCNZEI-IUODEOHRSA-N 0 3 309.862 4.403 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccc(C)cc2)o1)C(C)(C)C ZINC000451419612 1045680254 /nfs/dbraw/zinc/68/02/54/1045680254.db2.gz LTHZJRDHOSTGIT-OAHLLOKOSA-N 0 3 301.434 4.349 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@H](C)c2csc(Cl)c2)c1 ZINC000800993196 1045680401 /nfs/dbraw/zinc/68/04/01/1045680401.db2.gz WQURSJSNJCNZEI-DOMZBBRYSA-N 0 3 309.862 4.403 20 0 DIADHN C[C@@H](CCO)NCc1ccc(-c2ccc(Cl)cc2)cc1F ZINC000779413233 1045680805 /nfs/dbraw/zinc/68/08/05/1045680805.db2.gz SLYMAYPMELPNGB-LBPRGKRZSA-N 0 3 307.796 4.007 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CCC34CCCC4)co2)cc1 ZINC000451466047 1045686012 /nfs/dbraw/zinc/68/60/12/1045686012.db2.gz HRILYMNPSOOUMA-INIZCTEOSA-N 0 3 300.377 4.293 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC34CCCC4)co2)cc1 ZINC000451471084 1045688236 /nfs/dbraw/zinc/68/82/36/1045688236.db2.gz ORAPOAWAJAMCLO-QGZVFWFLSA-N 0 3 312.413 4.163 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2cscc2Cl)C1(C)C ZINC001461281360 1045697676 /nfs/dbraw/zinc/69/76/76/1045697676.db2.gz AJLINBQBDDJRJH-UONOGXRCSA-N 0 3 301.883 4.475 20 0 DIADHN Cc1ccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)cc1C ZINC000530632142 1045700211 /nfs/dbraw/zinc/70/02/11/1045700211.db2.gz HKQUUOXOQVHNTQ-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cccc(OC(F)F)c1 ZINC000530627604 1045701986 /nfs/dbraw/zinc/70/19/86/1045701986.db2.gz ONSLYSFNVXXDHH-QGZVFWFLSA-N 0 3 320.383 4.354 20 0 DIADHN c1cc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)cs2)cs1 ZINC000530701673 1045715342 /nfs/dbraw/zinc/71/53/42/1045715342.db2.gz PXHVQJOVGFJAPX-BETUJISGSA-N 0 3 304.484 4.494 20 0 DIADHN Cc1noc(CCCN2CCCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000451731188 1045717024 /nfs/dbraw/zinc/71/70/24/1045717024.db2.gz CSRQFDLFDYSJFH-MRXNPFEDSA-N 0 3 319.836 4.191 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1ccc(OC(F)F)cc1 ZINC000530866790 1045741290 /nfs/dbraw/zinc/74/12/90/1045741290.db2.gz CFZXSHHUMOZGGG-QGZVFWFLSA-N 0 3 320.383 4.354 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@]2(CCCOC2)C1 ZINC000530865836 1045741541 /nfs/dbraw/zinc/74/15/41/1045741541.db2.gz JLHADBMIJZYBPR-QZTJIDSGSA-N 0 3 309.400 4.309 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CCC[C@]2(CCOC2)C1 ZINC000451903126 1045744274 /nfs/dbraw/zinc/74/42/74/1045744274.db2.gz PHLAHITURYPJHL-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CNCc2cccc(Cl)c2)c1 ZINC000073012132 1045744494 /nfs/dbraw/zinc/74/44/94/1045744494.db2.gz CYEVWQXYMFPUIC-KRWDZBQOSA-N 0 3 314.816 4.311 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCC[C@]2(CCOC2)C1 ZINC000451909527 1045744889 /nfs/dbraw/zinc/74/48/89/1045744889.db2.gz XEDLZTZIAXDFDM-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@H](C)c2ccccc2F)o1 ZINC000779452087 1045747561 /nfs/dbraw/zinc/74/75/61/1045747561.db2.gz UOBXGUNZKVYCBT-CYBMUJFWSA-N 0 3 319.376 4.179 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc(-n3ccnc3)nc2)cc1 ZINC000753366624 1045748861 /nfs/dbraw/zinc/74/88/61/1045748861.db2.gz BBYJQOBXVCMZNY-MRXNPFEDSA-N 0 3 320.440 4.071 20 0 DIADHN CC(C)(C)C(=O)CCN1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000801591113 1045750675 /nfs/dbraw/zinc/75/06/75/1045750675.db2.gz AACUTAAFOBOOOQ-GFCCVEGCSA-N 0 3 305.384 4.046 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)Cc3ccncc3)cs2)cc1 ZINC000530915202 1045754742 /nfs/dbraw/zinc/75/47/42/1045754742.db2.gz WOFLKBMKTNBOHK-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN CCc1c(-c2csc([C@@H]3CCCCN3)n2)[nH]c(C)c1C(C)=O ZINC000630381776 1045756361 /nfs/dbraw/zinc/75/63/61/1045756361.db2.gz WRCWGRKDKHUUPE-ZDUSSCGKSA-N 0 3 317.458 4.026 20 0 DIADHN Cc1ncsc1CCN1CCC[C@H]1c1ccc(F)cc1F ZINC000451972821 1045757980 /nfs/dbraw/zinc/75/79/80/1045757980.db2.gz PIJNQHLNTRXNAY-HNNXBMFYSA-N 0 3 308.397 4.109 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000452013242 1045760627 /nfs/dbraw/zinc/76/06/27/1045760627.db2.gz STZXCQTVUSLDJT-LLVKDONJSA-N 0 3 305.809 4.153 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1CC[C@H](CCCO)C1 ZINC000452116896 1045771750 /nfs/dbraw/zinc/77/17/50/1045771750.db2.gz COPGHJCUVQDNTN-RYUDHWBXSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@H](CCCO)C1 ZINC000452116891 1045771885 /nfs/dbraw/zinc/77/18/85/1045771885.db2.gz COPGHJCUVQDNTN-NEPJUHHUSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1cc(-c2nnc(CN(C(C)C)C3CC(C)(C)C3)o2)c(C)o1 ZINC000452108782 1045773722 /nfs/dbraw/zinc/77/37/22/1045773722.db2.gz WFCDKQMHGXWWGD-UHFFFAOYSA-N 0 3 317.433 4.345 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N1CC[C@H](CCCO)C1 ZINC000452126909 1045774324 /nfs/dbraw/zinc/77/43/24/1045774324.db2.gz VFWGBKBUXYSYNG-RYUDHWBXSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CC[C@@H](CCCO)C1 ZINC000452126912 1045774932 /nfs/dbraw/zinc/77/49/32/1045774932.db2.gz VFWGBKBUXYSYNG-VXGBXAGGSA-N 0 3 302.245 4.149 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CC2(CCC2)C(=O)O1)c1ccccc1Cl ZINC000192157561 1045788692 /nfs/dbraw/zinc/78/86/92/1045788692.db2.gz ZISVYSOTGIWKEI-CZUORRHYSA-N 0 3 321.848 4.113 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](CO)c2sccc2C)cc1C ZINC000753682187 1045788700 /nfs/dbraw/zinc/78/87/00/1045788700.db2.gz PMPTYIHYCKTJDL-HOCLYGCPSA-N 0 3 319.470 4.066 20 0 DIADHN Fc1ccc(F)c(CNC[C@H]2SCCc3ccccc32)c1 ZINC000531151334 1045790882 /nfs/dbraw/zinc/79/08/82/1045790882.db2.gz ZRXJYJDKBSPAJU-QGZVFWFLSA-N 0 3 305.393 4.085 20 0 DIADHN CC(C)(C)C(=O)CCN1CCC(c2cccc(F)c2F)CC1 ZINC000753764066 1045800549 /nfs/dbraw/zinc/80/05/49/1045800549.db2.gz DNXRATFUPUVVMD-UHFFFAOYSA-N 0 3 309.400 4.150 20 0 DIADHN C[C@@H](NCc1cccc2nsnc21)c1cccc(Cl)c1 ZINC000753771754 1045800958 /nfs/dbraw/zinc/80/09/58/1045800958.db2.gz DRCWWNZBZROZSW-SNVBAGLBSA-N 0 3 303.818 4.196 20 0 DIADHN CC(C)(C)[C@H](O)CNCc1cc(Cl)cc(Cl)c1Cl ZINC001253746841 1045814418 /nfs/dbraw/zinc/81/44/18/1045814418.db2.gz RQPZTDOVBSTCPU-LLVKDONJSA-N 0 3 310.652 4.143 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)c1C ZINC000631101682 1045819426 /nfs/dbraw/zinc/81/94/26/1045819426.db2.gz JLXDNRRDKTWBMF-IBGZPJMESA-N 0 3 314.404 4.087 20 0 DIADHN C[C@@H](OC(=O)C1CCN(C2CC2)CC1)c1cccc2ccccc21 ZINC000801874273 1045820240 /nfs/dbraw/zinc/82/02/40/1045820240.db2.gz ORTUORHTJOFXFZ-OAHLLOKOSA-N 0 3 323.436 4.318 20 0 DIADHN CCCOc1ccc(CNCc2ccc(C(F)F)nc2)c(C)c1 ZINC000631122428 1045822251 /nfs/dbraw/zinc/82/22/51/1045822251.db2.gz YPYAQRHSSRBKJQ-UHFFFAOYSA-N 0 3 320.383 4.406 20 0 DIADHN Cc1cc(C)c(CNCc2ccccc2CC(F)(F)F)cn1 ZINC000631153672 1045824572 /nfs/dbraw/zinc/82/45/72/1045824572.db2.gz FBUWWVHAIZLJGS-UHFFFAOYSA-N 0 3 308.347 4.093 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc(C(C)(F)F)cc2)c1 ZINC000631141484 1045824841 /nfs/dbraw/zinc/82/48/41/1045824841.db2.gz AACMTWXIDRDPJH-UHFFFAOYSA-N 0 3 318.367 4.047 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1ccc(C(F)F)nc1 ZINC000631177181 1045827579 /nfs/dbraw/zinc/82/75/79/1045827579.db2.gz LZNSYKNUOYEXBG-MRXNPFEDSA-N 0 3 302.368 4.495 20 0 DIADHN Cc1cccc(C2(NCc3ccc(C(F)F)nc3)CCC2)c1 ZINC000631166386 1045827966 /nfs/dbraw/zinc/82/79/66/1045827966.db2.gz YKEGXKQVTCWUKA-UHFFFAOYSA-N 0 3 302.368 4.497 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H]2CCO[C@H]2c2ccccc2)s1 ZINC000631185658 1045830202 /nfs/dbraw/zinc/83/02/02/1045830202.db2.gz QLUXJIQBHXTNIP-CVEARBPZSA-N 0 3 316.470 4.060 20 0 DIADHN C[C@H](NC(=O)c1ccccc1CN(C)C)c1cccc(C2CC2)c1 ZINC000452571528 1045831675 /nfs/dbraw/zinc/83/16/75/1045831675.db2.gz GQMJZZMABLOELE-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN CCOc1cncc(CN[C@](C)(CC)c2ccc(F)cc2)c1 ZINC000631195141 1045831852 /nfs/dbraw/zinc/83/18/52/1045831852.db2.gz TZPRHUDFMXWZLK-GOSISDBHSA-N 0 3 302.393 4.034 20 0 DIADHN COc1cc(CN(C)CCSC(C)(C)C)ccc1SC ZINC000801915535 1045837595 /nfs/dbraw/zinc/83/75/95/1045837595.db2.gz NSPIIKGSNIFBGS-UHFFFAOYSA-N 0 3 313.532 4.381 20 0 DIADHN CC(C)c1cnc(CNCC2([C@@H]3CCCCO3)CCC2)s1 ZINC000631245060 1045841683 /nfs/dbraw/zinc/84/16/83/1045841683.db2.gz HLLSJRMKMPDMNV-HNNXBMFYSA-N 0 3 308.491 4.096 20 0 DIADHN CC(C)(C)c1nc(CNCC2([C@@H]3CCCCO3)CCC2)cs1 ZINC000631245903 1045842867 /nfs/dbraw/zinc/84/28/67/1045842867.db2.gz RDOJWMLRSOSBIN-HNNXBMFYSA-N 0 3 322.518 4.270 20 0 DIADHN Clc1ccc(OCCCN2CCC[C@H]2c2ccccn2)cc1 ZINC000531439248 1045845056 /nfs/dbraw/zinc/84/50/56/1045845056.db2.gz ROUNCZXZCLVPDZ-SFHVURJKSA-N 0 3 316.832 4.341 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H]2CCCn3nccc32)c1 ZINC000631301008 1045848023 /nfs/dbraw/zinc/84/80/23/1045848023.db2.gz MOCVGPPYEFUKIT-FQEVSTJZSA-N 0 3 317.436 4.483 20 0 DIADHN O=C(c1ccc(F)cc1)C1CCN(CCc2cccs2)CC1 ZINC000192467364 1045849164 /nfs/dbraw/zinc/84/91/64/1045849164.db2.gz VZSJONBIISMNIH-UHFFFAOYSA-N 0 3 317.429 4.025 20 0 DIADHN CC(C)(C)[C@@H](NCC1(C)OCCCO1)c1cc2ccccc2o1 ZINC000754122075 1045849544 /nfs/dbraw/zinc/84/95/44/1045849544.db2.gz JCPFFBSBYOEYBL-KRWDZBQOSA-N 0 3 317.429 4.263 20 0 DIADHN COc1cc(CN2CCS[C@@H]3CCCC[C@H]32)ccc1SC ZINC000531470423 1045852510 /nfs/dbraw/zinc/85/25/10/1045852510.db2.gz XMHQAYLHUJJJSL-GDBMZVCRSA-N 0 3 323.527 4.277 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H]1CS[C@H](C(C)(C)C)C1)CCC2 ZINC000754148468 1045855422 /nfs/dbraw/zinc/85/54/22/1045855422.db2.gz IQUPBJUTICEEJU-OAGGEKHMSA-N 0 3 321.534 4.351 20 0 DIADHN Cc1csc(C2(NC[C@]3(C)CC3(Cl)Cl)CCCC2)n1 ZINC000828352893 1045903724 /nfs/dbraw/zinc/90/37/24/1045903724.db2.gz UAAZNVTVKPWDHW-LBPRGKRZSA-N 0 3 319.301 4.394 20 0 DIADHN CCCOCc1ccccc1CN[C@@H]1CCCc2ncccc21 ZINC000631570181 1045869731 /nfs/dbraw/zinc/86/97/31/1045869731.db2.gz QCCCHJTUPRAGND-HXUWFJFHSA-N 0 3 310.441 4.175 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ncccc21)c1cccc(OC(F)F)c1 ZINC000631571118 1045870610 /nfs/dbraw/zinc/87/06/10/1045870610.db2.gz YPZXPYSAISVKPN-PXAZEXFGSA-N 0 3 318.367 4.411 20 0 DIADHN CC(C)OCc1ccccc1CN[C@@H]1CCCc2ncccc21 ZINC000631572387 1045874450 /nfs/dbraw/zinc/87/44/50/1045874450.db2.gz AHXUEVWGWXZSPZ-HXUWFJFHSA-N 0 3 310.441 4.174 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000631576989 1045874807 /nfs/dbraw/zinc/87/48/07/1045874807.db2.gz NGOXUZXQVZFQIO-HBMCJLEFSA-N 0 3 323.436 4.192 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ncccc21)c1cccc(OC(F)F)c1 ZINC000631571120 1045875252 /nfs/dbraw/zinc/87/52/52/1045875252.db2.gz YPZXPYSAISVKPN-SJKOYZFVSA-N 0 3 318.367 4.411 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ncccc1C)C(C)C ZINC000631580970 1045877746 /nfs/dbraw/zinc/87/77/46/1045877746.db2.gz CVJOXDMGMYVLIX-RBUKOAKNSA-N 0 3 310.441 4.373 20 0 DIADHN CC[C@@](C)(NCc1c[nH]c(-c2ccccc2)n1)c1ccccc1 ZINC000754341956 1045878695 /nfs/dbraw/zinc/87/86/95/1045878695.db2.gz NSOKUFAOSDXLLH-HXUWFJFHSA-N 0 3 305.425 4.492 20 0 DIADHN CC[C@@](C)(NCc1cnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000754341956 1045878719 /nfs/dbraw/zinc/87/87/19/1045878719.db2.gz NSOKUFAOSDXLLH-HXUWFJFHSA-N 0 3 305.425 4.492 20 0 DIADHN c1ccc(N2CCCCC2)c(CN[C@H]2CCCc3ncccc32)c1 ZINC000631615807 1045887578 /nfs/dbraw/zinc/88/75/78/1045887578.db2.gz IFHVDTVMTJMQFI-FQEVSTJZSA-N 0 3 321.468 4.239 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](N[C@H]1CCCc3ncccc31)CCC2 ZINC000631632675 1045892794 /nfs/dbraw/zinc/89/27/94/1045892794.db2.gz ABZAVDXYXWLMPZ-OALUTQOASA-N 0 3 314.379 4.404 20 0 DIADHN CCC[C@@H](CCO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000631668593 1045900037 /nfs/dbraw/zinc/90/00/37/1045900037.db2.gz ZSSGITVWWVKXCI-HIFRSBDPSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccc(Cl)c(F)c1)c1ccccc1 ZINC000631660801 1045900105 /nfs/dbraw/zinc/90/01/05/1045900105.db2.gz GJULMWQMVVECNR-SJKOYZFVSA-N 0 3 307.796 4.253 20 0 DIADHN Cc1csc(C2(NC[C@@]3(C)CC3(Cl)Cl)CCCC2)n1 ZINC000828352889 1045903397 /nfs/dbraw/zinc/90/33/97/1045903397.db2.gz UAAZNVTVKPWDHW-GFCCVEGCSA-N 0 3 319.301 4.394 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2C)s1 ZINC000754654439 1045904724 /nfs/dbraw/zinc/90/47/24/1045904724.db2.gz DETGMCWIZUUTFF-LSDHHAIUSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1c([C@H](C)NCc2ccc3cccnc3c2)cnn1C(C)(C)C ZINC000631685420 1045905606 /nfs/dbraw/zinc/90/56/06/1045905606.db2.gz ZUDLUAJMXWERJA-AWEZNQCLSA-N 0 3 322.456 4.346 20 0 DIADHN Cc1ccc(NC(=O)Nc2ccccc2CN(C)C)cc1Cl ZINC001202808101 1045907587 /nfs/dbraw/zinc/90/75/87/1045907587.db2.gz ZDBZBTIUWCPILM-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc3ccccc32)s1 ZINC000754668404 1045907833 /nfs/dbraw/zinc/90/78/33/1045907833.db2.gz OTWGWACYVSGMPF-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN Cc1ccc(CN[C@H](C)CC(=O)Nc2ccc(C)cc2Cl)o1 ZINC000631728753 1045908681 /nfs/dbraw/zinc/90/86/81/1045908681.db2.gz XKPDQWJKONBUSE-GFCCVEGCSA-N 0 3 320.820 4.057 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2cccc3c2CCOC3)C1 ZINC000631728936 1045909162 /nfs/dbraw/zinc/90/91/62/1045909162.db2.gz BMSUNEKQINTVIQ-SFHVURJKSA-N 0 3 311.425 4.156 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(C)c(Cl)c2)s1 ZINC000754670335 1045910737 /nfs/dbraw/zinc/91/07/37/1045910737.db2.gz MQJGYVKQZAKJHB-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(Cl)c2)s1 ZINC000754669794 1045910809 /nfs/dbraw/zinc/91/08/09/1045910809.db2.gz SRAJQZAFULJKSE-NEPJUHHUSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@H](NCc2cccc3c2CCOC3)C2CCC2)o1 ZINC000631730205 1045910858 /nfs/dbraw/zinc/91/08/58/1045910858.db2.gz XKFLSCZIPWSOIP-HXUWFJFHSA-N 0 3 311.425 4.292 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc3c2CCOC3)C2CCC2)o1 ZINC000631730204 1045911301 /nfs/dbraw/zinc/91/13/01/1045911301.db2.gz XKFLSCZIPWSOIP-FQEVSTJZSA-N 0 3 311.425 4.292 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1nccc2ccccc21 ZINC000631732613 1045913388 /nfs/dbraw/zinc/91/33/88/1045913388.db2.gz MCDVOCZOIYATEC-HNNXBMFYSA-N 0 3 318.420 4.158 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccc3c2OCO3)c2sccc21 ZINC000453065695 1045915775 /nfs/dbraw/zinc/91/57/75/1045915775.db2.gz JZODLYGRVTUNLH-SMDDNHRTSA-N 0 3 301.411 4.205 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828655403 1045925134 /nfs/dbraw/zinc/92/51/34/1045925134.db2.gz BVRBDKGRJPQVTA-TVQRCGJNSA-N 0 3 306.208 4.069 20 0 DIADHN COc1cccc(CN[C@@H]2CC[C@@H](C)c3ccsc32)c1OC ZINC000453054607 1045919807 /nfs/dbraw/zinc/91/98/07/1045919807.db2.gz BAQHSZVNNIAXGY-IUODEOHRSA-N 0 3 317.454 4.494 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CC[C@@H](C)c3ccsc32)c1 ZINC000453058691 1045920202 /nfs/dbraw/zinc/92/02/02/1045920202.db2.gz LFJQRQSZKJVAQK-SJKOYZFVSA-N 0 3 314.454 4.435 20 0 DIADHN FC[C@@H](NC1CCC2(CCOCC2)CC1)c1ccc(F)cc1 ZINC000631797791 1045920851 /nfs/dbraw/zinc/92/08/51/1045920851.db2.gz HJGZHLMLGXNPBT-QGZVFWFLSA-N 0 3 309.400 4.165 20 0 DIADHN C[C@H](NCc1cccc2[nH]ccc21)c1ccc(F)cc1N(C)C ZINC000631806952 1045922615 /nfs/dbraw/zinc/92/26/15/1045922615.db2.gz FWMMMUMLTSZIJE-ZDUSSCGKSA-N 0 3 311.404 4.224 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1cc(-c2ccccc2)nn1C ZINC000453060638 1045923064 /nfs/dbraw/zinc/92/30/64/1045923064.db2.gz RYHVQAGSUQEJEV-JKSUJKDBSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1cccc(-c2ccncc2)c1 ZINC000631810301 1045923548 /nfs/dbraw/zinc/92/35/48/1045923548.db2.gz YFIINEJLQUJRMZ-BEFAXECRSA-N 0 3 318.424 4.131 20 0 DIADHN Clc1cccc2c1CCC[C@H]2N[C@H]1CCCn2nccc21 ZINC000631810354 1045924084 /nfs/dbraw/zinc/92/40/84/1045924084.db2.gz SITDZHKZWYEECW-CVEARBPZSA-N 0 3 301.821 4.039 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000828655401 1045924648 /nfs/dbraw/zinc/92/46/48/1045924648.db2.gz BVRBDKGRJPQVTA-RNCFNFMXSA-N 0 3 306.208 4.069 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cc(-c2ccccc2)nn1C ZINC000453074760 1045924571 /nfs/dbraw/zinc/92/45/71/1045924571.db2.gz ONTKXEGEOQWEAD-HNAYVOBHSA-N 0 3 317.436 4.425 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3N[C@H]1CCCn2nccc21 ZINC000631809151 1045924914 /nfs/dbraw/zinc/92/49/14/1045924914.db2.gz GBACPRGBBRIXEU-ZWKOTPCHSA-N 0 3 320.440 4.175 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)C2CCC2)c1)c1ccncc1 ZINC000453084686 1045928955 /nfs/dbraw/zinc/92/89/55/1045928955.db2.gz WKXHANOAVPXKFC-LJQANCHMSA-N 0 3 323.440 4.061 20 0 DIADHN CC(C)(NCc1cc(Cl)nc(Cl)c1)c1cccs1 ZINC000754829561 1045929380 /nfs/dbraw/zinc/92/93/80/1045929380.db2.gz FCKYKNIWAWHPPZ-UHFFFAOYSA-N 0 3 301.242 4.475 20 0 DIADHN C[C@H]1CCN(Cc2ccc(SC(F)F)cc2)CCS1 ZINC000755199346 1045962778 /nfs/dbraw/zinc/96/27/78/1045962778.db2.gz MAUAIFNGOYOJFV-NSHDSACASA-N 0 3 303.443 4.329 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cccc(C)c1F ZINC001137678817 1045929568 /nfs/dbraw/zinc/92/95/68/1045929568.db2.gz RCJBQXDWLJKFRN-HNNXBMFYSA-N 0 3 301.405 4.206 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H]2CCCc3c2cnn3C)c2sccc21 ZINC000453095866 1045933647 /nfs/dbraw/zinc/93/36/47/1045933647.db2.gz VESAQYSNYTZPPQ-BYCMXARLSA-N 0 3 301.459 4.087 20 0 DIADHN CSC[C@H](C)N[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453105382 1045935405 /nfs/dbraw/zinc/93/54/05/1045935405.db2.gz BLEVIKPPBWTTJN-UONOGXRCSA-N 0 3 302.443 4.276 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1cc(-c2ccccc2)on1 ZINC000453102324 1045936261 /nfs/dbraw/zinc/93/62/61/1045936261.db2.gz YBLJZKRRWLURNG-MRXNPFEDSA-N 0 3 321.424 4.238 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000828857296 1045937458 /nfs/dbraw/zinc/93/74/58/1045937458.db2.gz ZZXXVIPZCWYLAN-CYBMUJFWSA-N 0 3 301.402 4.001 20 0 DIADHN CCNc1ccccc1CNCc1cccc(OCC(F)F)c1 ZINC000902205763 1045941659 /nfs/dbraw/zinc/94/16/59/1045941659.db2.gz SPCSOXDKJUPIEB-UHFFFAOYSA-N 0 3 320.383 4.052 20 0 DIADHN C[C@@H]1C[C@H](NCCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000453119194 1045943367 /nfs/dbraw/zinc/94/33/67/1045943367.db2.gz BMEGDZXTIJPYRA-ABAIWWIYSA-N 0 3 324.255 4.418 20 0 DIADHN Clc1ccc2[nH]cc(CN3CCC4(CCOCC4)CC3)c2c1 ZINC001137713938 1045943688 /nfs/dbraw/zinc/94/36/88/1045943688.db2.gz FAHLLKBAWINRDC-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1nc(C)cc1C)c1ccncc1 ZINC000453145147 1045949412 /nfs/dbraw/zinc/94/94/12/1045949412.db2.gz NNQJTMMSOYSQHZ-LJQANCHMSA-N 0 3 320.440 4.125 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCS1)c1ncc(-c2ccccc2)n1C ZINC000453152078 1045951799 /nfs/dbraw/zinc/95/17/99/1045951799.db2.gz ZGIXNQHQLHYYGL-KBXCAEBGSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H](CO)c2ccc(F)cc2)c2sccc21 ZINC000453153148 1045951941 /nfs/dbraw/zinc/95/19/41/1045951941.db2.gz YWMTVIVCLOVNST-LYRGGWFBSA-N 0 3 305.418 4.149 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1ccc(Oc2ccccc2)cn1 ZINC000453136235 1045955850 /nfs/dbraw/zinc/95/58/50/1045955850.db2.gz UJMZPDBKIFPTAA-WMLDXEAASA-N 0 3 314.454 4.420 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2cccc(-c3ccccc3)c2)c2nccn21 ZINC000453139754 1045957843 /nfs/dbraw/zinc/95/78/43/1045957843.db2.gz JQVPQRJAKYRVDS-OXQOHEQNSA-N 0 3 317.436 4.388 20 0 DIADHN C[C@@H]1CCN(Cc2cc(Cl)nc3ccccc23)CCS1 ZINC000755197918 1045962579 /nfs/dbraw/zinc/96/25/79/1045962579.db2.gz AUUOVBDSNAWPPA-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1ccnc(C2CCN([C@H](C)c3cccc(Cl)c3)CC2)n1 ZINC000755212435 1045964626 /nfs/dbraw/zinc/96/46/26/1045964626.db2.gz LSDFDQLZFBJCEF-CQSZACIVSA-N 0 3 315.848 4.379 20 0 DIADHN Brc1ncccc1CN1CCC2(CCCC2)CC1 ZINC001137793352 1045967932 /nfs/dbraw/zinc/96/79/32/1045967932.db2.gz MKMPWHRGQPAJAS-UHFFFAOYSA-N 0 3 309.251 4.000 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)CN[C@@H]2CCn3ccnc32)cc1 ZINC000453174248 1045968356 /nfs/dbraw/zinc/96/83/56/1045968356.db2.gz JXVAPKYFZITVQA-QGZVFWFLSA-N 0 3 311.473 4.193 20 0 DIADHN CCNc1ccccc1CN[C@@H](C)c1ccc(OC)c(F)c1 ZINC000902301387 1045968576 /nfs/dbraw/zinc/96/85/76/1045968576.db2.gz UMIHRRVJQDADPL-ZDUSSCGKSA-N 0 3 302.393 4.117 20 0 DIADHN Brc1ncccc1CN1CCC[C@H]1C1CCCCC1 ZINC001137800569 1045968942 /nfs/dbraw/zinc/96/89/42/1045968942.db2.gz PXZHXJBNZTZHKN-HNNXBMFYSA-N 0 3 323.278 4.389 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2cccc(Cl)c2F)c2nccn21 ZINC000453194911 1045977499 /nfs/dbraw/zinc/97/74/99/1045977499.db2.gz JSWSVMCNLQBQEE-RISCZKNCSA-N 0 3 321.827 4.249 20 0 DIADHN c1cn2c(n1)[C@@H](NCC1(Cc3ccccc3)CCCCC1)CC2 ZINC000453199410 1045979610 /nfs/dbraw/zinc/97/96/10/1045979610.db2.gz PTLQRERNXKLKLT-SFHVURJKSA-N 0 3 309.457 4.111 20 0 DIADHN CCn1ccnc1[C@H](C)NCCCCCc1ccc(F)cc1 ZINC000453201763 1045982090 /nfs/dbraw/zinc/98/20/90/1045982090.db2.gz USYDYGUKNWJOIR-HNNXBMFYSA-N 0 3 303.425 4.106 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccncn1 ZINC000453205512 1045983426 /nfs/dbraw/zinc/98/34/26/1045983426.db2.gz DCPHWUYFORHBFM-UXIGCNINSA-N 0 3 321.346 4.092 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2ccncc2)cc1Cl ZINC000453204723 1045984220 /nfs/dbraw/zinc/98/42/20/1045984220.db2.gz DBVSIEIXWKWSDL-INIZCTEOSA-N 0 3 304.821 4.375 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccncc1 ZINC000453211070 1045986360 /nfs/dbraw/zinc/98/63/60/1045986360.db2.gz KSCXUGVRXPZQNI-MSOLQXFVSA-N 0 3 317.864 4.079 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@@H](C)c2ccsc21 ZINC000453237549 1045998396 /nfs/dbraw/zinc/99/83/96/1045998396.db2.gz ZMDMOBIIUZMYAE-TYNCELHUSA-N 0 3 302.443 4.441 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccsc1 ZINC000453239459 1045999051 /nfs/dbraw/zinc/99/90/51/1045999051.db2.gz WYHGWTMQQLKNKC-IAGOWNOFSA-N 0 3 306.450 4.231 20 0 DIADHN C[C@@H](NCCC1(F)CCC1)c1ncc(-c2ccccc2)n1C ZINC000453236489 1045999199 /nfs/dbraw/zinc/99/91/99/1045999199.db2.gz UNJLBJMLRKNXCB-CQSZACIVSA-N 0 3 301.409 4.020 20 0 DIADHN Fc1cncc([C@H](N[C@H]2CCCc3c(F)cccc32)C2CC2)c1 ZINC000453239739 1046002104 /nfs/dbraw/zinc/00/21/04/1046002104.db2.gz STILNBSWKUTBKH-RBUKOAKNSA-N 0 3 314.379 4.478 20 0 DIADHN CCNc1ccccc1CN[C@@H]1CCCc2nc(C)sc21 ZINC000902466247 1046003241 /nfs/dbraw/zinc/00/32/41/1046003241.db2.gz LAHURYNFHQTONZ-OAHLLOKOSA-N 0 3 301.459 4.051 20 0 DIADHN Cc1ccc(N(C)c2ccc(CN3CC[C@@]34CCOC4)cc2)cc1 ZINC001137898523 1046003461 /nfs/dbraw/zinc/00/34/61/1046003461.db2.gz XJOJBANCUIKYQK-NRFANRHFSA-N 0 3 322.452 4.128 20 0 DIADHN CCC[C@@H](CN[C@@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453257160 1046004584 /nfs/dbraw/zinc/00/45/84/1046004584.db2.gz UXNKYYDIROUYCQ-RDJZCZTQSA-N 0 3 314.429 4.340 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)ccc1F ZINC000453255126 1046004823 /nfs/dbraw/zinc/00/48/23/1046004823.db2.gz KNLRJNYQAOITRU-XDEPDNQISA-N 0 3 319.445 4.283 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)ccc1F ZINC000453255123 1046005873 /nfs/dbraw/zinc/00/58/73/1046005873.db2.gz KNLRJNYQAOITRU-VVYDWRJNSA-N 0 3 319.445 4.283 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-c2ccccc2)o1)[C@@H](O)C(F)F ZINC000453268027 1046008815 /nfs/dbraw/zinc/00/88/15/1046008815.db2.gz JMDXDFWTJXRGPB-PVXIVEMSSA-N 0 3 309.356 4.002 20 0 DIADHN CCNc1ccccc1CNCc1cccc(CSC)c1 ZINC000902520804 1046012191 /nfs/dbraw/zinc/01/21/91/1046012191.db2.gz KRGQYGALGRBELW-UHFFFAOYSA-N 0 3 300.471 4.271 20 0 DIADHN C[C@H](CCCCO)N[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000453286262 1046017498 /nfs/dbraw/zinc/01/74/98/1046017498.db2.gz NEKGTFLFQWDTMO-FOIQADDNSA-N 0 3 324.424 4.058 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)COC(C)(C)O2)c1ccsc1 ZINC000802655381 1046025147 /nfs/dbraw/zinc/02/51/47/1046025147.db2.gz WHYANYCBHAHEHM-GFCCVEGCSA-N 0 3 303.427 4.244 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000453303834 1046025847 /nfs/dbraw/zinc/02/58/47/1046025847.db2.gz AWIXDADKMNYNAF-DYZYQPBXSA-N 0 3 321.827 4.323 20 0 DIADHN CC1(C)CN(Cc2ccc(SC(F)(F)F)cc2)CCCO1 ZINC001137955085 1046029902 /nfs/dbraw/zinc/02/99/02/1046029902.db2.gz FZGSPCXAFFQLGI-UHFFFAOYSA-N 0 3 319.392 4.299 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1ncc(-c2ccccc2)n1C ZINC000453313413 1046031591 /nfs/dbraw/zinc/03/15/91/1046031591.db2.gz DCKAXIGVSTUKDR-DZGCQCFKSA-N 0 3 319.399 4.173 20 0 DIADHN CNc1ccccc1CNCc1ccccc1CC(F)(F)F ZINC000902627629 1046039141 /nfs/dbraw/zinc/03/91/41/1046039141.db2.gz YZCVXIIHXPKSBW-UHFFFAOYSA-N 0 3 308.347 4.123 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](O)c3cccc(F)c3)oc2c1 ZINC000756033114 1046039943 /nfs/dbraw/zinc/03/99/43/1046039943.db2.gz UYXQOSBVDWXRFU-DYVFJYSZSA-N 0 3 313.372 4.265 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](O)c3ccccc3F)oc2c1 ZINC000756031007 1046040306 /nfs/dbraw/zinc/04/03/06/1046040306.db2.gz IXVBZQVCYWROMF-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(Cl)c2N)cc1C ZINC000902666929 1046041882 /nfs/dbraw/zinc/04/18/82/1046041882.db2.gz YNCBYUYCPOWIID-GFCCVEGCSA-N 0 3 304.821 4.090 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1C[NH2+][C@H](c1cccs1)c1nnc[n-]1 ZINC000453339385 1046042032 /nfs/dbraw/zinc/04/20/32/1046042032.db2.gz BKYWFSJAOVPZSJ-IIAWOOMASA-N 0 3 318.490 4.152 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccccc2)cs1)[C@@H](O)CC ZINC000453348381 1046050191 /nfs/dbraw/zinc/05/01/91/1046050191.db2.gz GFSNUXORUWCRCB-XPKDYRNWSA-N 0 3 304.459 4.010 20 0 DIADHN COc1ccc(-c2nc(CNCCC3CCC3)cs2)cc1 ZINC000756135713 1046052987 /nfs/dbraw/zinc/05/29/87/1046052987.db2.gz BUBXKMYRYSUPNQ-UHFFFAOYSA-N 0 3 302.443 4.099 20 0 DIADHN COc1cc([C@H](C)NCc2cnc(C)cc2C)ccc1SC ZINC000453349053 1046053595 /nfs/dbraw/zinc/05/35/95/1046053595.db2.gz HGBVQNPEPBCJNL-AWEZNQCLSA-N 0 3 316.470 4.280 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H](CSc1ccccc1)C(C)C ZINC000453356101 1046056128 /nfs/dbraw/zinc/05/61/28/1046056128.db2.gz OYBUFMNQNQTOIT-NVXWUHKLSA-N 0 3 317.502 4.371 20 0 DIADHN CC[C@H](O)[C@H](CC)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000453381667 1046058491 /nfs/dbraw/zinc/05/84/91/1046058491.db2.gz RVWWHUCTGAAWPP-WPGHFRTFSA-N 0 3 322.449 4.149 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2cc3c(s2)CCCC3)cn1 ZINC000453385774 1046059874 /nfs/dbraw/zinc/05/98/74/1046059874.db2.gz UMRZZEYWAVRCKA-QWHCGFSZSA-N 0 3 303.475 4.255 20 0 DIADHN C[C@H](NCC(C)(C)C1(O)CCCC1)c1nc2c(s1)CCCC2 ZINC000453382931 1046060558 /nfs/dbraw/zinc/06/05/58/1046060558.db2.gz MAJFHUBUSMZDCY-ZDUSSCGKSA-N 0 3 322.518 4.004 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1c(F)ccc(C)c1Cl)C2 ZINC001138026635 1046062766 /nfs/dbraw/zinc/06/27/66/1046062766.db2.gz YUIPSGCZAQGRJU-DYVFJYSZSA-N 0 3 311.828 4.036 20 0 DIADHN Cc1sccc1NC(=O)c1cccc(CN2CCCCC2)c1 ZINC000634216642 1046063034 /nfs/dbraw/zinc/06/30/34/1046063034.db2.gz QXRHLSIZWCQVAI-UHFFFAOYSA-N 0 3 314.454 4.295 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@H](C)c1nc2ccccc2n1C(F)F ZINC000453385469 1046063885 /nfs/dbraw/zinc/06/38/85/1046063885.db2.gz AYUIJKKHFFWWMW-UXIGCNINSA-N 0 3 323.387 4.040 20 0 DIADHN CN1CCC[C@H](N[C@H]2CCCc3ccc(O)cc32)c2ccccc21 ZINC000453362849 1046064857 /nfs/dbraw/zinc/06/48/57/1046064857.db2.gz LGMZAECCRHXABH-PMACEKPBSA-N 0 3 322.452 4.331 20 0 DIADHN C[C@H](NC1(Cc2ccc(F)cc2)CC1)c1ccc(Cl)cn1 ZINC000453361113 1046066094 /nfs/dbraw/zinc/06/60/94/1046066094.db2.gz QNNHRVDIWFGJDU-LBPRGKRZSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H](NCC(C)(C)C(C)(C)O)c1nc(-c2ccccc2)cs1 ZINC000453368445 1046067955 /nfs/dbraw/zinc/06/79/55/1046067955.db2.gz QJESARNQAUZZIU-CYBMUJFWSA-N 0 3 318.486 4.258 20 0 DIADHN CN1CCC[C@H](N[C@@H]2CCCc3c(O)cccc32)c2ccccc21 ZINC000453373148 1046070084 /nfs/dbraw/zinc/07/00/84/1046070084.db2.gz OOQTUETUWQTQKJ-MOPGFXCFSA-N 0 3 322.452 4.331 20 0 DIADHN CC(=O)Oc1cccc(CN2CCC[C@@H]2c2cccs2)c1 ZINC001138043186 1046070862 /nfs/dbraw/zinc/07/08/62/1046070862.db2.gz NRSHDOVCRUSBIU-MRXNPFEDSA-N 0 3 301.411 4.011 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C)[C@H](C)C2)c(Cl)c1OC ZINC001139114626 1046074318 /nfs/dbraw/zinc/07/43/18/1046074318.db2.gz KTPJNQLLCIYNHP-CHWSQXEVSA-N 0 3 311.853 4.225 20 0 DIADHN COc1ccc(CN2CCC[C@H](C)[C@@H](C)C2)c(Cl)c1OC ZINC001139114629 1046076369 /nfs/dbraw/zinc/07/63/69/1046076369.db2.gz KTPJNQLLCIYNHP-STQMWFEESA-N 0 3 311.853 4.225 20 0 DIADHN FC(F)(F)CCN[C@@H](CCc1ccccc1)c1cccnc1 ZINC000902835523 1046082648 /nfs/dbraw/zinc/08/26/48/1046082648.db2.gz IRPNSCVKMARJCA-INIZCTEOSA-N 0 3 308.347 4.298 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2ccccc2F)C2CCCC2)o1 ZINC000756458266 1046085105 /nfs/dbraw/zinc/08/51/05/1046085105.db2.gz LBVBDVWPSJTUJE-PKOBYXMFSA-N 0 3 317.404 4.282 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)c2ccccc2F)C2CCCC2)o1 ZINC000756458261 1046085402 /nfs/dbraw/zinc/08/54/02/1046085402.db2.gz LBVBDVWPSJTUJE-IEBWSBKVSA-N 0 3 317.404 4.282 20 0 DIADHN C[C@@H](NCCC1CC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453422592 1046085823 /nfs/dbraw/zinc/08/58/23/1046085823.db2.gz MVCGCOWKAIZERY-CYBMUJFWSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(-n3ccnc3)cc2)C2CCC2)o1 ZINC000756473593 1046086218 /nfs/dbraw/zinc/08/62/18/1046086218.db2.gz DCOKNPPUQTYIQK-FQEVSTJZSA-N 0 3 321.424 4.405 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@H](C)c1ncc(Br)s1 ZINC000453445855 1046086947 /nfs/dbraw/zinc/08/69/47/1046086947.db2.gz ZVKAEENFXKLTFY-RKDXNWHRSA-N 0 3 305.285 4.381 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@H](C)c1cnccc1C ZINC000902849506 1046087139 /nfs/dbraw/zinc/08/71/39/1046087139.db2.gz PSTVXCKNXQMYNV-AGKSTNPPSA-N 0 3 310.441 4.373 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1cccc(C(F)(F)F)c1 ZINC000237591523 1046092310 /nfs/dbraw/zinc/09/23/10/1046092310.db2.gz BHJVFEGLSXSDLK-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN O[C@@H](CN1CC2(CC=CC2)[C@@H]1c1ccccc1)c1cccc(F)c1 ZINC000830749904 1046095115 /nfs/dbraw/zinc/09/51/15/1046095115.db2.gz SSSGCOADMDLHCQ-PMACEKPBSA-N 0 3 323.411 4.252 20 0 DIADHN OCC1([C@@H](NCc2cccs2)c2ccccc2)CCCC1 ZINC000453609552 1046097963 /nfs/dbraw/zinc/09/79/63/1046097963.db2.gz GBNDNZFEVHHNHN-KRWDZBQOSA-N 0 3 301.455 4.132 20 0 DIADHN c1[nH]c2nccnc2c1CN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453614356 1046100015 /nfs/dbraw/zinc/10/00/15/1046100015.db2.gz NMKSPOZAYCWMDD-SFHVURJKSA-N 0 3 318.424 4.027 20 0 DIADHN c1[nH]c2nccnc2c1CN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453614354 1046101049 /nfs/dbraw/zinc/10/10/49/1046101049.db2.gz NMKSPOZAYCWMDD-GOSISDBHSA-N 0 3 318.424 4.027 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccccc1-n1ccnc1 ZINC000532206626 1046108929 /nfs/dbraw/zinc/10/89/29/1046108929.db2.gz RXLCYHYXKBYJBV-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CCN(Cc1c[nH]nc1-c1cccs1)Cc1ccc(F)cc1 ZINC001138157323 1046109305 /nfs/dbraw/zinc/10/93/05/1046109305.db2.gz BQRNOMUIKIZWLA-UHFFFAOYSA-N 0 3 315.417 4.299 20 0 DIADHN Fc1cccc(-c2nnc(CN3CCCC[C@@H]3C3CCC3)o2)c1 ZINC000830989548 1046111973 /nfs/dbraw/zinc/11/19/73/1046111973.db2.gz VXRZKVAMGXDPSR-MRXNPFEDSA-N 0 3 315.392 4.030 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2sccc2Br)o1 ZINC000532195946 1046111977 /nfs/dbraw/zinc/11/19/77/1046111977.db2.gz OARRIMKXDQALOO-SNVBAGLBSA-N 0 3 314.248 4.305 20 0 DIADHN CCc1ccc(CN[C@@H]2CSCCc3ccccc32)s1 ZINC000532202992 1046112880 /nfs/dbraw/zinc/11/28/80/1046112880.db2.gz YFOJZMDNEUIYNS-QGZVFWFLSA-N 0 3 303.496 4.431 20 0 DIADHN CCOc1ccccc1CN[C@@H](C)c1cc2c(s1)CCC2 ZINC000532212426 1046117483 /nfs/dbraw/zinc/11/74/83/1046117483.db2.gz SPNXKGRXFPNYRD-ZDUSSCGKSA-N 0 3 301.455 4.486 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](CO)c2ccccc2C)cc1 ZINC000532249880 1046121870 /nfs/dbraw/zinc/12/18/70/1046121870.db2.gz TWXPYJCTVABSMC-OXJNMPFZSA-N 0 3 313.441 4.168 20 0 DIADHN C[C@@H](NC[C@H](C)c1nccs1)c1ccc(C(F)(F)F)cn1 ZINC000902951369 1046124511 /nfs/dbraw/zinc/12/45/11/1046124511.db2.gz OKNADCYHEBOXMW-VHSXEESVSA-N 0 3 315.364 4.011 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](O)c2ccccc2Cl)C2CCC2)o1 ZINC000756741891 1046125878 /nfs/dbraw/zinc/12/58/78/1046125878.db2.gz YOKWNCGYSNHGSR-AEFFLSMTSA-N 0 3 319.832 4.406 20 0 DIADHN COC(=O)c1ccc([C@H](C)NCc2cscc2C)c(C)c1 ZINC000902974731 1046133409 /nfs/dbraw/zinc/13/34/09/1046133409.db2.gz ALDYTCAMXGYUAC-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N[C@@H](C)c2ccsc2)c(C)c1 ZINC000902981735 1046135550 /nfs/dbraw/zinc/13/55/50/1046135550.db2.gz CYYKWRMDYLPXMJ-QWHCGFSZSA-N 0 3 303.427 4.255 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2COC3(CCCCC3)O2)C2CCC2)o1 ZINC000756791092 1046135853 /nfs/dbraw/zinc/13/58/53/1046135853.db2.gz QMDIVKXIISGCCK-FUHWJXTLSA-N 0 3 319.445 4.095 20 0 DIADHN Fc1cccc([C@@H]2CCCN2Cc2cccc3nccnc23)c1 ZINC001138305027 1046142076 /nfs/dbraw/zinc/14/20/76/1046142076.db2.gz VYURGIQDPDDWNP-SFHVURJKSA-N 0 3 307.372 4.106 20 0 DIADHN C[C@H]1CN(Cc2c3ccccc3[nH]c2C(C)(C)C)CCCC1=O ZINC001138311023 1046146044 /nfs/dbraw/zinc/14/60/44/1046146044.db2.gz RHZFSKAZLZXCEN-AWEZNQCLSA-N 0 3 312.457 4.266 20 0 DIADHN CN(Cc1c2ccccc2[nH]c1C(C)(C)C)Cc1ccncc1 ZINC001138311167 1046146175 /nfs/dbraw/zinc/14/61/75/1046146175.db2.gz UOCYDYGQGXQKFX-UHFFFAOYSA-N 0 3 307.441 4.492 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2ccc(C(F)(F)F)cn2)c(C)c1 ZINC000903042742 1046147378 /nfs/dbraw/zinc/14/73/78/1046147378.db2.gz GYXOMYYIFCSXCF-CYBMUJFWSA-N 0 3 323.362 4.006 20 0 DIADHN CC(C)[C@@H](NCC(=O)NC1CCCCC1)c1ccc(Cl)cc1 ZINC000010137335 1046148634 /nfs/dbraw/zinc/14/86/34/1046148634.db2.gz NRGLZCHKWXASIL-GOSISDBHSA-N 0 3 322.880 4.076 20 0 DIADHN O[C@H](CN(Cc1ccccc1)Cc1ccccc1)c1ccsc1 ZINC000756903735 1046149186 /nfs/dbraw/zinc/14/91/86/1046149186.db2.gz KOQVXPIAIVFHLV-HXUWFJFHSA-N 0 3 323.461 4.484 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CC[C@H]2OCC[C@@H]2C1 ZINC001138315150 1046149221 /nfs/dbraw/zinc/14/92/21/1046149221.db2.gz VWEPCZOUDPTIHD-RDTXWAMCSA-N 0 3 312.457 4.076 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(Cl)nc3ccccc23)CC1(C)C ZINC000831546908 1046149358 /nfs/dbraw/zinc/14/93/58/1046149358.db2.gz CQGBWGHUJXVGKU-MRXNPFEDSA-N 0 3 318.848 4.135 20 0 DIADHN Brc1ccc2[nH]cc(CN3CCC4(CCC4)C3)c2c1 ZINC001138322083 1046151851 /nfs/dbraw/zinc/15/18/51/1046151851.db2.gz VIHCNAIBAGQSDL-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN CCC[C@H](NCC[S@@](=O)CC)c1ccc(Cl)cc1Cl ZINC000756926550 1046152338 /nfs/dbraw/zinc/15/23/38/1046152338.db2.gz UQDSDWUUOGFJKE-LIRRHRJNSA-N 0 3 322.301 4.193 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)N[C@@H]2CCCc3occc32)c1 ZINC000903065956 1046153578 /nfs/dbraw/zinc/15/35/78/1046153578.db2.gz JJJSLMIHLWXPRZ-FZKQIMNGSA-N 0 3 313.397 4.071 20 0 DIADHN CC[C@H](Nc1ccnc2cc(OC)ccc21)c1c(C)noc1C ZINC000756957367 1046154334 /nfs/dbraw/zinc/15/43/34/1046154334.db2.gz OEIRHURFYYYKAM-HNNXBMFYSA-N 0 3 311.385 4.411 20 0 DIADHN CCc1cccc2c(CN3CC(C)(C)OC(C)(C)C3)c[nH]c21 ZINC001138366134 1046156845 /nfs/dbraw/zinc/15/68/45/1046156845.db2.gz RFLHRZDTTKDYLP-UHFFFAOYSA-N 0 3 300.446 4.120 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2cc3ccccc3o2)c1 ZINC000903075695 1046156898 /nfs/dbraw/zinc/15/68/98/1046156898.db2.gz BVIJQNIEQGJQBO-CQSZACIVSA-N 0 3 323.392 4.347 20 0 DIADHN CCc1cccc2c(CN3CCC4(CCCOC4)CC3)c[nH]c21 ZINC001138363179 1046156996 /nfs/dbraw/zinc/15/69/96/1046156996.db2.gz FSILAOTUXIALIU-UHFFFAOYSA-N 0 3 312.457 4.123 20 0 DIADHN CCc1cccc2c(CN3C[C@H]4CC[C@@H](C3)C4(F)F)c[nH]c21 ZINC001138360666 1046157418 /nfs/dbraw/zinc/15/74/18/1046157418.db2.gz GYPJGEIQCNRJOJ-GASCZTMLSA-N 0 3 304.384 4.207 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2cnn(CC3CCC3)c2)s1 ZINC000903076903 1046157702 /nfs/dbraw/zinc/15/77/02/1046157702.db2.gz WITBEWJIYYYZTL-ZIAGYGMSSA-N 0 3 303.475 4.465 20 0 DIADHN Cc1ccsc1CN[C@@H](c1ccccc1F)C1CCOCC1 ZINC000903082364 1046158976 /nfs/dbraw/zinc/15/89/76/1046158976.db2.gz ZOHLCZZKJMPVJP-GOSISDBHSA-N 0 3 319.445 4.453 20 0 DIADHN COc1ccc(F)cc1CN(C)CCc1ccccc1Cl ZINC001138375029 1046159646 /nfs/dbraw/zinc/15/96/46/1046159646.db2.gz PYOHZMFRKSBTMC-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(CC3CCC3)c2)cc1 ZINC000903083979 1046159635 /nfs/dbraw/zinc/15/96/35/1046159635.db2.gz SBYSHYRONXGNIW-HUUCEWRRSA-N 0 3 313.445 4.104 20 0 DIADHN CC(C)c1ccc(Cl)c(-c2ccc3c(c2)COC32CNC2)c1 ZINC001204694976 1046160639 /nfs/dbraw/zinc/16/06/39/1046160639.db2.gz WHFVXFZZVFHPBS-UHFFFAOYSA-N 0 3 313.828 4.459 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1cc(F)cc(F)c1F ZINC001168152002 1046163380 /nfs/dbraw/zinc/16/33/80/1046163380.db2.gz CRXNNOHJXSTJQZ-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN CC1(C)CCC[C@H](NCC(=O)c2ccc(Br)cc2)C1 ZINC001258275677 1046163334 /nfs/dbraw/zinc/16/33/34/1046163334.db2.gz PKRKTUVMYZETAG-AWEZNQCLSA-N 0 3 324.262 4.190 20 0 DIADHN [O-]c1cccnc1C[NH+]1CCC(c2csc3ccccc32)CC1 ZINC001138397107 1046164706 /nfs/dbraw/zinc/16/47/06/1046164706.db2.gz TVDZQNZPHCCTBJ-UHFFFAOYSA-N 0 3 324.449 4.382 20 0 DIADHN COc1ccc2c(c1)CN(Cc1[nH]c3ccccc3c1C)CCC2 ZINC001138401214 1046165125 /nfs/dbraw/zinc/16/51/25/1046165125.db2.gz MJPOIYQDBGUGFC-UHFFFAOYSA-N 0 3 320.436 4.433 20 0 DIADHN COc1ccc(CN[C@H](C)c2sc(C)nc2C)cc1Cl ZINC000086585671 1046165434 /nfs/dbraw/zinc/16/54/34/1046165434.db2.gz YJYYZDXRNKGYQS-SECBINFHSA-N 0 3 310.850 4.273 20 0 DIADHN CC[C@@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC000903106524 1046165574 /nfs/dbraw/zinc/16/55/74/1046165574.db2.gz YTOSPGHZUBFOGL-YTWAJWBKSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC000903106522 1046165853 /nfs/dbraw/zinc/16/58/53/1046165853.db2.gz YTOSPGHZUBFOGL-RCWTZXSCSA-N 0 3 304.381 4.391 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cccc2ncccc12 ZINC000634959333 1046167374 /nfs/dbraw/zinc/16/73/74/1046167374.db2.gz NSXQSYONHDNAQZ-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccn1)C1CC1)c1cccc(Cl)c1 ZINC000903119525 1046167526 /nfs/dbraw/zinc/16/75/26/1046167526.db2.gz IIWDEYNRDSXCOK-ROUUACIJSA-N 0 3 316.832 4.163 20 0 DIADHN CO[C@H](CN[C@H](c1ccccn1)C1CC1)c1cccc(Cl)c1 ZINC000903119522 1046167646 /nfs/dbraw/zinc/16/76/46/1046167646.db2.gz IIWDEYNRDSXCOK-MSOLQXFVSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@@H]1CCCCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138405807 1046167939 /nfs/dbraw/zinc/16/79/39/1046167939.db2.gz PHHBYHCAMIIQQK-CQSZACIVSA-N 0 3 319.449 4.193 20 0 DIADHN O[C@H](CN[C@H](c1ccccn1)C1CC1)c1cc2ccccc2s1 ZINC000903110524 1046168067 /nfs/dbraw/zinc/16/80/67/1046168067.db2.gz OYZOCABMEUNVBQ-APWZRJJASA-N 0 3 324.449 4.071 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1cc(F)c(F)cc1F ZINC001168152342 1046169504 /nfs/dbraw/zinc/16/95/04/1046169504.db2.gz QJFFWDBDPZREKX-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000779933288 1046170043 /nfs/dbraw/zinc/17/00/43/1046170043.db2.gz KGMDYIHNSGRHGG-VXGBXAGGSA-N 0 3 324.346 4.411 20 0 DIADHN Cc1c(CN(C)CCOc2ccccc2)[nH]c2ccc(F)cc12 ZINC001138412392 1046170079 /nfs/dbraw/zinc/17/00/79/1046170079.db2.gz GNOSCSIPKNBISR-UHFFFAOYSA-N 0 3 312.388 4.126 20 0 DIADHN CCCOC1CCN(Cc2[nH]c3ccc(F)cc3c2C)CC1 ZINC001138413717 1046171493 /nfs/dbraw/zinc/17/14/93/1046171493.db2.gz WIWHHHQXCCIMAL-UHFFFAOYSA-N 0 3 304.409 4.006 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@@H]2CNc3ccccc32)c(C)s1 ZINC000635019650 1046174126 /nfs/dbraw/zinc/17/41/26/1046174126.db2.gz JCSMFOLZLJOGJQ-BXUZGUMPSA-N 0 3 301.459 4.010 20 0 DIADHN COc1c(F)cc(CN(C)[C@@H]2CCc3ccccc32)cc1F ZINC001138425674 1046177239 /nfs/dbraw/zinc/17/72/39/1046177239.db2.gz IKPOYQLBYBUYED-QGZVFWFLSA-N 0 3 303.352 4.093 20 0 DIADHN C[C@@H](NCc1ccsc1)c1cc(Cl)c(N)c(Cl)c1 ZINC000760156703 1046177424 /nfs/dbraw/zinc/17/74/24/1046177424.db2.gz MBDKTAAZBZITSY-MRVPVSSYSA-N 0 3 301.242 4.488 20 0 DIADHN COc1c(C)cc(CN(C)CCC(=O)c2ccccc2)cc1C ZINC001138428325 1046177444 /nfs/dbraw/zinc/17/74/44/1046177444.db2.gz GSWDMRMCRLCAOC-UHFFFAOYSA-N 0 3 311.425 4.017 20 0 DIADHN COc1c(C)cc(CN2CCC[C@H]2c2ccc(C)nc2)cc1C ZINC001138429181 1046178111 /nfs/dbraw/zinc/17/81/11/1046178111.db2.gz YJJYSCGPPSSEEL-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN C[C@H](NCC[C@H]1CCCO1)c1csc(-c2ccccc2)n1 ZINC000666082176 1046178501 /nfs/dbraw/zinc/17/85/01/1046178501.db2.gz HLYSCAOUJKTUTC-DZGCQCFKSA-N 0 3 302.443 4.030 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H](C(F)(F)F)C2)c(C)c1 ZINC001138433835 1046180596 /nfs/dbraw/zinc/18/05/96/1046180596.db2.gz AQCDCDGGMUDOKA-CQSZACIVSA-N 0 3 301.352 4.168 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1cc(Cl)c(N)c(Cl)c1 ZINC000760165353 1046183261 /nfs/dbraw/zinc/18/32/61/1046183261.db2.gz BVGTWJKDYQULMV-LURJTMIESA-N 0 3 301.139 4.179 20 0 DIADHN Cc1csc(CN[C@H](c2ccccc2F)C2CCOCC2)c1 ZINC000903180253 1046185788 /nfs/dbraw/zinc/18/57/88/1046185788.db2.gz YTBMAJPVQVXYOS-SFHVURJKSA-N 0 3 319.445 4.453 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@@H]1CSCCS1 ZINC000779977323 1046186054 /nfs/dbraw/zinc/18/60/54/1046186054.db2.gz MDLNGNHTBVWWDZ-OAHLLOKOSA-N 0 3 321.467 4.010 20 0 DIADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000475728588 1046186057 /nfs/dbraw/zinc/18/60/57/1046186057.db2.gz LGNJAWSFZMRBKH-PMPSAXMXSA-N 0 3 311.429 4.135 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@]2(CCCOC2)C1 ZINC000475733456 1046188366 /nfs/dbraw/zinc/18/83/66/1046188366.db2.gz KRXIUCDBCRCVTL-DYVFJYSZSA-N 0 3 311.828 4.433 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001168153972 1046188534 /nfs/dbraw/zinc/18/85/34/1046188534.db2.gz JFJXVDWBJXAQAS-MRXNPFEDSA-N 0 3 320.502 4.392 20 0 DIADHN Cc1ccc2cc([C@H](C)NCc3ccc4c(c3)ncn4C)oc2c1 ZINC000903202420 1046191383 /nfs/dbraw/zinc/19/13/83/1046191383.db2.gz CINLOQMVTFKVNE-AWEZNQCLSA-N 0 3 319.408 4.479 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cc(N(C)C)ccn2)cc1 ZINC000903200956 1046191587 /nfs/dbraw/zinc/19/15/87/1046191587.db2.gz CWPPGSHGSQNRAY-CQSZACIVSA-N 0 3 315.486 4.110 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1cccc(C(F)(F)F)c1 ZINC000903202623 1046192029 /nfs/dbraw/zinc/19/20/29/1046192029.db2.gz GUFSUIWTWINELH-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)ncn2C)c1cc(C)ccc1OC ZINC000903204691 1046194710 /nfs/dbraw/zinc/19/47/10/1046194710.db2.gz ULMOFGRHKWOLFK-QGZVFWFLSA-N 0 3 323.440 4.131 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3cccc(Cl)c3)o2)CCCO1 ZINC001204695694 1046195203 /nfs/dbraw/zinc/19/52/03/1046195203.db2.gz YTHOWSFKNYZQGH-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1sccc1Cl ZINC000487537376 1046198579 /nfs/dbraw/zinc/19/85/79/1046198579.db2.gz LDPNRXXGJJVRCR-BONVTDFDSA-N 0 3 313.825 4.406 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2c[nH]c(=O)c3ccccc23)C1 ZINC000903232683 1046199745 /nfs/dbraw/zinc/19/97/45/1046199745.db2.gz XRLUWUWJQQMDST-QGZVFWFLSA-N 0 3 322.408 4.337 20 0 DIADHN CN(C)c1ccc(CN2CCC[C@@H]2c2ccc(F)cc2)s1 ZINC001138490054 1046200063 /nfs/dbraw/zinc/20/00/63/1046200063.db2.gz YZONASKCFBWXFZ-MRXNPFEDSA-N 0 3 304.434 4.290 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)c1ccc(OC)c(C)c1 ZINC000903235300 1046201911 /nfs/dbraw/zinc/20/19/11/1046201911.db2.gz XOJILHHLTDKCRP-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN Cc1nsc(C)c1CN[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000639907833 1046202514 /nfs/dbraw/zinc/20/25/14/1046202514.db2.gz QUZZDKADLCWBKI-CVEARBPZSA-N 0 3 322.861 4.033 20 0 DIADHN COc1ccc2[nH]cc(CN3CCC4(CC[C@@H](F)C4)CC3)c2c1 ZINC001138509414 1046204404 /nfs/dbraw/zinc/20/44/04/1046204404.db2.gz CMGFIWNDDLVONS-OAHLLOKOSA-N 0 3 316.420 4.281 20 0 DIADHN COc1ccc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c2c1 ZINC001138510013 1046205891 /nfs/dbraw/zinc/20/58/91/1046205891.db2.gz MLIAYONLXAXEMY-GASCZTMLSA-N 0 3 318.420 4.263 20 0 DIADHN C1=CCN(Cc2c[nH]c3ccc(OCc4ccccc4)cc23)C1 ZINC001138513931 1046207378 /nfs/dbraw/zinc/20/73/78/1046207378.db2.gz DXNQSYZREDIEIV-UHFFFAOYSA-N 0 3 304.393 4.119 20 0 DIADHN CC(C)(NC[C@@H](O)c1ccc(Cl)cc1)c1ccccc1F ZINC000795232783 1046209732 /nfs/dbraw/zinc/20/97/32/1046209732.db2.gz KJLOXXKOZAODNR-MRXNPFEDSA-N 0 3 307.796 4.037 20 0 DIADHN CCCc1ccc(CN2CC[C@@H](C(=O)c3ccccc3)C2)s1 ZINC001138527102 1046210579 /nfs/dbraw/zinc/21/05/79/1046210579.db2.gz DVXKGQQGZIOXAS-MRXNPFEDSA-N 0 3 313.466 4.405 20 0 DIADHN CC(C)(NC[C@H](O)c1cccc(Cl)c1)c1ccccc1F ZINC000795235661 1046212279 /nfs/dbraw/zinc/21/22/79/1046212279.db2.gz LOSLRVUENMSNAY-INIZCTEOSA-N 0 3 307.796 4.037 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2cccnc2NC(=O)C(C)(C)C)CC1 ZINC001138543446 1046220925 /nfs/dbraw/zinc/22/09/25/1046220925.db2.gz OSVBFPCERFQGFV-KOMQPUFPSA-N 0 3 317.477 4.077 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000757859860 1046221365 /nfs/dbraw/zinc/22/13/65/1046221365.db2.gz GSUVKUBZBYITCK-INIZCTEOSA-N 0 3 317.408 4.276 20 0 DIADHN CC1(C)C[C@@H](N[C@@H](c2ccccc2)c2ccccn2)CCS1 ZINC000903283499 1046222574 /nfs/dbraw/zinc/22/25/74/1046222574.db2.gz KJACOXAJIOXSDT-WMZOPIPTSA-N 0 3 312.482 4.435 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cncs1 ZINC000903305553 1046230339 /nfs/dbraw/zinc/23/03/39/1046230339.db2.gz MRQKZENYKYIBHU-ZUZCIYMTSA-N 0 3 315.417 4.492 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Oc3ncccc3C)C2)c(C)s1 ZINC001138570339 1046232026 /nfs/dbraw/zinc/23/20/26/1046232026.db2.gz UJMIIFJPYHDENG-QGZVFWFLSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@H](C)c1cc2ccccc2o1 ZINC000903306893 1046232540 /nfs/dbraw/zinc/23/25/40/1046232540.db2.gz CSYZLTAKLPMQJS-OAHLLOKOSA-N 0 3 309.409 4.393 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H](C)c1cncs1 ZINC000903310807 1046232586 /nfs/dbraw/zinc/23/25/86/1046232586.db2.gz LKRGYBAYRROJKK-NHYWBVRUSA-N 0 3 302.443 4.225 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)N[C@@H](c1ncccn1)C1CC1 ZINC000903323072 1046236699 /nfs/dbraw/zinc/23/66/99/1046236699.db2.gz AFNZVBDGJOAFCC-MAUKXSAKSA-N 0 3 309.457 4.274 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2N2CCCC2)Cc2ccccc21 ZINC001138610843 1046239300 /nfs/dbraw/zinc/23/93/00/1046239300.db2.gz WDFRABNPVQRKQE-QGZVFWFLSA-N 0 3 306.453 4.406 20 0 DIADHN C[C@H]1CN(Cc2ccccc2N2CCCC2)Cc2ccccc21 ZINC001138610842 1046239574 /nfs/dbraw/zinc/23/95/74/1046239574.db2.gz WDFRABNPVQRKQE-KRWDZBQOSA-N 0 3 306.453 4.406 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc(OC)c(C)c2)c1 ZINC000897414916 1046246995 /nfs/dbraw/zinc/24/69/95/1046246995.db2.gz OBWSDKXAKGBMQP-CYBMUJFWSA-N 0 3 305.443 4.062 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1ccccn1)C1CC1)CCC2 ZINC000903337303 1046248237 /nfs/dbraw/zinc/24/82/37/1046248237.db2.gz CJTZUCAXGZVMGO-QUCCMNQESA-N 0 3 308.425 4.209 20 0 DIADHN Fc1ccccc1-n1cccc1CN1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001138632468 1046249165 /nfs/dbraw/zinc/24/91/65/1046249165.db2.gz XEFZMZRZPFVDIF-HIFRSBDPSA-N 0 3 320.358 4.094 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H](c1ccccn1)C1CC1 ZINC000903335432 1046249655 /nfs/dbraw/zinc/24/96/55/1046249655.db2.gz PCDYFSSIKRDBKR-YLJYHZDGSA-N 0 3 308.425 4.209 20 0 DIADHN CCCn1ncc(CN2CCC3(CCc4ccccc43)CC2)c1C ZINC001138635976 1046251996 /nfs/dbraw/zinc/25/19/96/1046251996.db2.gz ZGFGWAXVGFBOCR-UHFFFAOYSA-N 0 3 323.484 4.082 20 0 DIADHN COc1ccc([C@@H](C)NCc2nccc3ccccc32)cc1C ZINC000897417322 1046253504 /nfs/dbraw/zinc/25/35/04/1046253504.db2.gz JYCITMVDBOVBKG-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCO3)ccc1F ZINC000897418134 1046254108 /nfs/dbraw/zinc/25/41/08/1046254108.db2.gz JYQYXZREMVTZOF-CHWSQXEVSA-N 0 3 315.388 4.181 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC[C@H]4CCCC[C@H]43)cn2)cc1 ZINC001138653280 1046258496 /nfs/dbraw/zinc/25/84/96/1046258496.db2.gz XUHJYZWJRCRBDO-VQIMIIECSA-N 0 3 313.420 4.166 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2Cn2cc(C)cnc2=S)c1 ZINC000758351671 1046263546 /nfs/dbraw/zinc/26/35/46/1046263546.db2.gz SGSUYFMACKVEOX-KRWDZBQOSA-N 0 3 313.470 4.332 20 0 DIADHN COC[C@H](N[C@H](C)[C@H]1CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000666227752 1046263625 /nfs/dbraw/zinc/26/36/25/1046263625.db2.gz IKUUYOVZDNBZCH-WQAKAFBOSA-N 0 3 323.305 4.026 20 0 DIADHN C[C@H](NCc1ccc(CCO)cc1)c1cccc(C(F)(F)F)c1 ZINC000903398070 1046264573 /nfs/dbraw/zinc/26/45/73/1046264573.db2.gz UFDVZWFOSATOSB-ZDUSSCGKSA-N 0 3 323.358 4.091 20 0 DIADHN CC(C)CC[C@@H](NCc1ccc(CCO)cc1)c1ccoc1 ZINC000903402464 1046265443 /nfs/dbraw/zinc/26/54/43/1046265443.db2.gz DBCYLJMOKIEERH-LJQANCHMSA-N 0 3 301.430 4.082 20 0 DIADHN C[C@H](NCc1ccc(CCO)cc1)c1ccc(Cl)c(Cl)c1 ZINC000903395826 1046265695 /nfs/dbraw/zinc/26/56/95/1046265695.db2.gz HCSIQBYFPLRMAD-LBPRGKRZSA-N 0 3 324.251 4.379 20 0 DIADHN c1ccc2c(c1)CNC[C@H]2c1nc(C2CCCCCCC2)no1 ZINC000321324083 1046268118 /nfs/dbraw/zinc/26/81/18/1046268118.db2.gz TWBKWODYODPZHJ-QGZVFWFLSA-N 0 3 311.429 4.133 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCc3n[nH]cc32)cc2ccccc21 ZINC000903413302 1046269918 /nfs/dbraw/zinc/26/99/18/1046269918.db2.gz KGFVAWNKDYIYFG-SCLBCKFNSA-N 0 3 321.424 4.300 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4c(c3)CCCO4)CC2)cc1 ZINC001138713013 1046274252 /nfs/dbraw/zinc/27/42/52/1046274252.db2.gz SQMJKLCRFKTGDC-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2c(C)nc3ccccn32)cc1 ZINC000903422536 1046275529 /nfs/dbraw/zinc/27/55/29/1046275529.db2.gz OMAKNWHQSUAJTI-OAHLLOKOSA-N 0 3 323.440 4.282 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3c(c2)CCCO3)cn1 ZINC001138719086 1046276345 /nfs/dbraw/zinc/27/63/45/1046276345.db2.gz PPLVHIOTHQGYRG-LJQANCHMSA-N 0 3 308.425 4.052 20 0 DIADHN c1ccc(O[C@@H]2CCCN(Cc3ccc4c(c3)CCCO4)C2)cc1 ZINC001138716696 1046276456 /nfs/dbraw/zinc/27/64/56/1046276456.db2.gz UQNNGTMDSJSCIV-HXUWFJFHSA-N 0 3 323.436 4.055 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](c2ccncc2)C2CC2)cc1F ZINC000903428185 1046277851 /nfs/dbraw/zinc/27/78/51/1046277851.db2.gz NAGJGKDBGIXLAE-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000903429004 1046277949 /nfs/dbraw/zinc/27/79/49/1046277949.db2.gz RMSAHTHGGOZBEL-JLTOFOAXSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000903428382 1046278315 /nfs/dbraw/zinc/27/83/15/1046278315.db2.gz ODSBZVISAIMTAJ-YJYMSZOUSA-N 0 3 313.420 4.089 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000903429216 1046278663 /nfs/dbraw/zinc/27/86/63/1046278663.db2.gz SWQQZFRULQIOIA-SJKOYZFVSA-N 0 3 318.367 4.485 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](c1ccncc1)C1CC1)CCC2 ZINC000903429229 1046279669 /nfs/dbraw/zinc/27/96/69/1046279669.db2.gz UAHQIYUKFYAWSE-NVXWUHKLSA-N 0 3 313.470 4.219 20 0 DIADHN CC[C@@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903429185 1046280021 /nfs/dbraw/zinc/28/00/21/1046280021.db2.gz SLMVDOAYXXHRGO-RTBURBONSA-N 0 3 324.424 4.060 20 0 DIADHN C[C@H](N[C@H](c1ccncc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000903429215 1046280373 /nfs/dbraw/zinc/28/03/73/1046280373.db2.gz SWQQZFRULQIOIA-SJCJKPOMSA-N 0 3 318.367 4.485 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cnc2[nH]ccc2c1 ZINC001138740298 1046284378 /nfs/dbraw/zinc/28/43/78/1046284378.db2.gz TTYOLRISIREPOD-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN C[C@@H](C1CC1)N(C)CC(=O)Nc1ccccc1Oc1ccccc1 ZINC000073778982 1046287476 /nfs/dbraw/zinc/28/74/76/1046287476.db2.gz PUJGJFUBAPEJFX-HNNXBMFYSA-N 0 3 324.424 4.148 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2cccc3ccnn32)c1 ZINC001138810484 1046299474 /nfs/dbraw/zinc/29/94/74/1046299474.db2.gz WJSVISCSKBIFNB-FQEVSTJZSA-N 0 3 305.425 4.288 20 0 DIADHN c1cc2cc(CN(Cc3cccnc3)C3CCOCC3)ccc2o1 ZINC001138824349 1046302483 /nfs/dbraw/zinc/30/24/83/1046302483.db2.gz GMRWBXMPEPDJDJ-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@H](N[C@@H](c1nnc[nH]1)C1CCCCC1)c1ccc(Cl)cc1 ZINC000638290299 1046309500 /nfs/dbraw/zinc/30/95/00/1046309500.db2.gz FFMPLJBMXWGDAH-BLLLJJGKSA-N 0 3 318.852 4.430 20 0 DIADHN CC(C)C[C@H](NCc1cccn(C(F)F)c1=O)c1ccccc1 ZINC000638285859 1046310049 /nfs/dbraw/zinc/31/00/49/1046310049.db2.gz ZDYKOUJVXGEZLV-INIZCTEOSA-N 0 3 320.383 4.120 20 0 DIADHN CC(C)[C@@H](N[C@H](c1nc[nH]n1)C1CCCCC1)c1ccccc1 ZINC000638314919 1046312576 /nfs/dbraw/zinc/31/25/76/1046312576.db2.gz ABRAKPQONMMJBV-MSOLQXFVSA-N 0 3 312.461 4.413 20 0 DIADHN CC(C)[C@@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)c1ccccc1 ZINC000638314919 1046312591 /nfs/dbraw/zinc/31/25/91/1046312591.db2.gz ABRAKPQONMMJBV-MSOLQXFVSA-N 0 3 312.461 4.413 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)c(F)c2)[C@@H]1c1ccccc1 ZINC000759062576 1046313257 /nfs/dbraw/zinc/31/32/57/1046313257.db2.gz KSHYJSQMJBNBOZ-UUWFMWQGSA-N 0 3 319.807 4.206 20 0 DIADHN CN(Cc1cccs1)C[C@@H](O)c1ccc(Cl)cc1Cl ZINC000759063186 1046314226 /nfs/dbraw/zinc/31/42/26/1046314226.db2.gz CZXADLYALWKDFG-CQSZACIVSA-N 0 3 316.253 4.220 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3ccccc3n2)cc1 ZINC001138866918 1046314559 /nfs/dbraw/zinc/31/45/59/1046314559.db2.gz XTGFWHGQZACFPL-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2nnc[nH]2)C2CCCCC2)c(C)s1 ZINC000638336629 1046314806 /nfs/dbraw/zinc/31/48/06/1046314806.db2.gz WRVTTWDVXNZMSJ-MLGOLLRUSA-N 0 3 318.490 4.455 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1c[nH]nc1-c1ccc(F)cc1 ZINC001138885606 1046317787 /nfs/dbraw/zinc/31/77/87/1046317787.db2.gz VJLHIEMERUDSBQ-AWEZNQCLSA-N 0 3 321.399 4.163 20 0 DIADHN COc1ccc(C)cc1NC(=O)NCCP(C(C)C)C(C)C ZINC001202874857 1046321610 /nfs/dbraw/zinc/32/16/10/1046321610.db2.gz XTIHLNPAHCJKIA-UHFFFAOYSA-N 0 3 324.405 4.424 20 0 DIADHN Cn1ccnc1[C@@H](N[C@H](c1ccccc1)C1CCCC1)C1CC1 ZINC000638429350 1046322446 /nfs/dbraw/zinc/32/24/46/1046322446.db2.gz JNLJOUPAWSZDJI-MOPGFXCFSA-N 0 3 309.457 4.392 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001138908105 1046323689 /nfs/dbraw/zinc/32/36/89/1046323689.db2.gz FNCYICQWCIOVEO-UHFFFAOYSA-N 0 3 317.326 4.299 20 0 DIADHN Nc1c(F)cccc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000638478162 1046324559 /nfs/dbraw/zinc/32/45/59/1046324559.db2.gz RZMFQSMIVBCSHE-UHFFFAOYSA-N 0 3 302.368 4.106 20 0 DIADHN C[C@@H](NC1CC(n2cccn2)C1)c1nc(-c2ccccc2)cs1 ZINC000638532367 1046326384 /nfs/dbraw/zinc/32/63/84/1046326384.db2.gz CSKPGZSTEGQMHN-IUDNXUCKSA-N 0 3 324.453 4.061 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cnc3[nH]ccc3c1Cl)CC2 ZINC001138933619 1046328538 /nfs/dbraw/zinc/32/85/38/1046328538.db2.gz HDUQBNRWHMMDKE-UHFFFAOYSA-N 0 3 321.827 4.272 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCCC2(CCCC2)C1 ZINC001138928639 1046329016 /nfs/dbraw/zinc/32/90/16/1046329016.db2.gz JRHGGBWFONGGCH-UHFFFAOYSA-N 0 3 303.837 4.324 20 0 DIADHN Nc1c(F)cccc1CN[C@H](c1ccc(F)cc1)C1CCC1 ZINC000638533349 1046329474 /nfs/dbraw/zinc/32/94/74/1046329474.db2.gz VKEDYDNNTSUKDU-SFHVURJKSA-N 0 3 302.368 4.178 20 0 DIADHN CC(=O)Oc1ccc(CN2CC[C@@H](C)C[C@@H]2c2ccco2)cc1 ZINC000246258325 1046333866 /nfs/dbraw/zinc/33/38/66/1046333866.db2.gz PJWYEIPFMIVFPJ-RDTXWAMCSA-N 0 3 313.397 4.178 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CCC2(CCCC2)CC1 ZINC001138949377 1046335598 /nfs/dbraw/zinc/33/55/98/1046335598.db2.gz JXLGYMWYKINCNF-UHFFFAOYSA-N 0 3 303.837 4.324 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](C)c2ccc(C)cc2)s1 ZINC000037770306 1046335674 /nfs/dbraw/zinc/33/56/74/1046335674.db2.gz YIUIRSLOZVIMAQ-AWEZNQCLSA-N 0 3 303.475 4.149 20 0 DIADHN CC(C)C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1ccccn1 ZINC000090077589 1046335986 /nfs/dbraw/zinc/33/59/86/1046335986.db2.gz NYCZMESTBKRBBM-QZTJIDSGSA-N 0 3 318.848 4.145 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3cccc(OC)c3o2)cc1 ZINC000321626629 1046336732 /nfs/dbraw/zinc/33/67/32/1046336732.db2.gz SQUZNDQSOAGMHA-CYBMUJFWSA-N 0 3 311.381 4.301 20 0 DIADHN Cc1ccc(CCN(C)Cc2c3cc[nH]c3ncc2Cl)cc1 ZINC001138952832 1046336994 /nfs/dbraw/zinc/33/69/94/1046336994.db2.gz WTKVQKUWYHRQGP-UHFFFAOYSA-N 0 3 313.832 4.151 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2nnc[nH]2)C2CCCCC2)ccc1F ZINC000638742168 1046338688 /nfs/dbraw/zinc/33/86/88/1046338688.db2.gz XWOUIHKMYBKNHX-DYVFJYSZSA-N 0 3 316.424 4.224 20 0 DIADHN FC(F)(F)[C@H]1CCCC[C@@H]1CNCc1nc2ccccc2o1 ZINC000638740199 1046338751 /nfs/dbraw/zinc/33/87/51/1046338751.db2.gz RGVPIOFDUORJDY-NEPJUHHUSA-N 0 3 312.335 4.286 20 0 DIADHN Fc1cccc([C@@H](NC2CC(n3cccn3)C2)c2ccccc2)c1 ZINC000638762574 1046340381 /nfs/dbraw/zinc/34/03/81/1046340381.db2.gz HUFHLYUBMSPNMD-MHJFOBGBSA-N 0 3 321.399 4.105 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](c2nnc[nH]2)C2CCCCC2)cc1F ZINC000638762200 1046340474 /nfs/dbraw/zinc/34/04/74/1046340474.db2.gz BWKVNCBXPIPBOY-DYVFJYSZSA-N 0 3 316.424 4.224 20 0 DIADHN CCC(=O)Oc1ccc(CN2CCC3(CC(F)(F)C3)CC2)cc1 ZINC001138960740 1046340562 /nfs/dbraw/zinc/34/05/62/1046340562.db2.gz VEOJWZOERGNLSM-UHFFFAOYSA-N 0 3 323.383 4.013 20 0 DIADHN Cc1ccc2c(CN3CC=C(C(C)(C)C)CC3)cc(=O)oc2c1 ZINC000759267615 1046340863 /nfs/dbraw/zinc/34/08/63/1046340863.db2.gz IFDLMNLAAVMTAG-UHFFFAOYSA-N 0 3 311.425 4.280 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1ccc2cnccc2c1 ZINC000903948175 1046342192 /nfs/dbraw/zinc/34/21/92/1046342192.db2.gz MOLIMKKTOOZQNG-IBGZPJMESA-N 0 3 303.409 4.270 20 0 DIADHN CCC(=O)Oc1ccc(CN2CCC[C@@H]2c2cccs2)cc1 ZINC001138964387 1046343414 /nfs/dbraw/zinc/34/34/14/1046343414.db2.gz PPZSVRDPUOCSDE-MRXNPFEDSA-N 0 3 315.438 4.401 20 0 DIADHN CCC(=O)Oc1ccc(CN(CC)Cc2cccs2)cc1 ZINC001138964465 1046344867 /nfs/dbraw/zinc/34/48/67/1046344867.db2.gz ADIMFHXYWJOHIZ-UHFFFAOYSA-N 0 3 303.427 4.086 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(C)cc2)cc1OCC ZINC001138974337 1046346992 /nfs/dbraw/zinc/34/69/92/1046346992.db2.gz OICXZPYGZGSZNP-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN C[C@@H](NCc1ccc(Br)cc1Cl)[C@H]1CC1(F)F ZINC000666445532 1046348984 /nfs/dbraw/zinc/34/89/84/1046348984.db2.gz UZKVPRWOSSDNDD-GMSGAONNSA-N 0 3 324.596 4.236 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](Oc3ccccc3)C2)c1 ZINC001138986474 1046349703 /nfs/dbraw/zinc/34/97/03/1046349703.db2.gz NJRDIOWVSOJYRS-FQEVSTJZSA-N 0 3 311.425 4.127 20 0 DIADHN CCOc1ccc(CN2CCC3(CC(F)C3)CC2)c(OCC)c1 ZINC001138980838 1046349730 /nfs/dbraw/zinc/34/97/30/1046349730.db2.gz GRIPGRSRIWOBSJ-UHFFFAOYSA-N 0 3 321.436 4.198 20 0 DIADHN CC(C)Oc1cccc(CN2CCC3(CCC(=O)CC3)CC2)c1 ZINC001138985739 1046350026 /nfs/dbraw/zinc/35/00/26/1046350026.db2.gz FKHQZEONVCDOBC-UHFFFAOYSA-N 0 3 315.457 4.199 20 0 DIADHN CCCOc1ccccc1CN1CCC(c2cccnc2)CC1 ZINC001138998839 1046351658 /nfs/dbraw/zinc/35/16/58/1046351658.db2.gz QYZMKBIXQURFLE-UHFFFAOYSA-N 0 3 310.441 4.250 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc2ccc(F)c(F)c2n1 ZINC000639048012 1046354608 /nfs/dbraw/zinc/35/46/08/1046354608.db2.gz SVXHMSLUNDHIAJ-SNVBAGLBSA-N 0 3 318.289 4.334 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1ccc(CC(F)(F)F)cc1 ZINC000639040096 1046354833 /nfs/dbraw/zinc/35/48/33/1046354833.db2.gz ATLVINJYCNLHKR-ZDUSSCGKSA-N 0 3 308.347 4.379 20 0 DIADHN CC[C@H](c1ccccc1)N1CCO[C@@]2(CCc3ccccc32)C1 ZINC001168191511 1046360405 /nfs/dbraw/zinc/36/04/05/1046360405.db2.gz DLEMIICXNKVHIT-RTWAWAEBSA-N 0 3 307.437 4.312 20 0 DIADHN Fc1cccc([C@@H]2CC[C@H](NCc3cc(C4CC4)no3)C2)c1 ZINC000639127565 1046360634 /nfs/dbraw/zinc/36/06/34/1046360634.db2.gz QYRHFVIMAHTWPO-ZBFHGGJFSA-N 0 3 300.377 4.117 20 0 DIADHN Fc1cccc([C@H]2CC[C@@H](NCc3cc(C4CC4)no3)C2)c1 ZINC000639127563 1046362538 /nfs/dbraw/zinc/36/25/38/1046362538.db2.gz QYRHFVIMAHTWPO-GOEBONIOSA-N 0 3 300.377 4.117 20 0 DIADHN CCCn1cc(CN2CCC(n3ccc4ccccc43)CC2)cn1 ZINC001139041587 1046366650 /nfs/dbraw/zinc/36/66/50/1046366650.db2.gz LNLYVULZNGCTEB-UHFFFAOYSA-N 0 3 322.456 4.085 20 0 DIADHN Cc1ccc(C)c(CN(C)Cc2cc(=O)oc3cc(C)ccc23)c1 ZINC000759632137 1046367615 /nfs/dbraw/zinc/36/76/15/1046367615.db2.gz RGAYZEHKWCSHTA-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN C[C@H]1CN(Cc2ccccc2Oc2ccccc2)CC[C@@]1(C)O ZINC001139052477 1046371031 /nfs/dbraw/zinc/37/10/31/1046371031.db2.gz AWOMQCAFFOZZRW-OXJNMPFZSA-N 0 3 311.425 4.072 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3cc4ccccc4o3)C2)c1 ZINC001139064760 1046374387 /nfs/dbraw/zinc/37/43/87/1046374387.db2.gz GTDKPAFCPKPSFW-QGZVFWFLSA-N 0 3 311.356 4.225 20 0 DIADHN c1c2ccccc2oc1CN1CC[C@@H](OCc2ccccc2)C1 ZINC001139065172 1046374472 /nfs/dbraw/zinc/37/44/72/1046374472.db2.gz CTURUNXTGFZMDC-GOSISDBHSA-N 0 3 307.393 4.224 20 0 DIADHN Cc1ccc(OCCN(C)Cc2ccccc2N2CCCC2)cc1 ZINC000759762612 1046377798 /nfs/dbraw/zinc/37/77/98/1046377798.db2.gz UXCPFJYIMXLHTF-UHFFFAOYSA-N 0 3 324.468 4.106 20 0 DIADHN C[C@H](NCc1cccc(Oc2ccc(F)cc2)n1)[C@H]1CC1(F)F ZINC000639290627 1046378884 /nfs/dbraw/zinc/37/88/84/1046378884.db2.gz JPZOSXZKAWAVBC-XHDPSFHLSA-N 0 3 322.330 4.146 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1cccc3ncccc13)CC2 ZINC000639291578 1046379983 /nfs/dbraw/zinc/37/99/83/1046379983.db2.gz PYIHARKVNQSWGS-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1cc(F)c(Cl)cc1Cl ZINC001256715619 1046382892 /nfs/dbraw/zinc/38/28/92/1046382892.db2.gz DWIYZWCHZZOFMR-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN Clc1cccc2c(CCNCc3cc(C4CC4)no3)c[nH]c21 ZINC000639356534 1046382875 /nfs/dbraw/zinc/38/28/75/1046382875.db2.gz LBSQMKVWJMMDMV-UHFFFAOYSA-N 0 3 315.804 4.019 20 0 DIADHN CC[C@@](C)(N[C@@H](C)c1cnc(C)nc1C)c1ccc(F)cc1 ZINC000639359454 1046382903 /nfs/dbraw/zinc/38/29/03/1046382903.db2.gz NMOICECXKRSLGR-SCLBCKFNSA-N 0 3 301.409 4.209 20 0 DIADHN CC[C@](C)(N[C@@H](C)c1cnc(C)nc1C)c1ccc(F)cc1 ZINC000639359455 1046382944 /nfs/dbraw/zinc/38/29/44/1046382944.db2.gz NMOICECXKRSLGR-UGSOOPFHSA-N 0 3 301.409 4.209 20 0 DIADHN O[C@H](CN1CCC[C@@H]1/C=C\c1ccccc1)c1cccc(F)c1 ZINC000780400787 1046384093 /nfs/dbraw/zinc/38/40/93/1046384093.db2.gz MECCFESYYCFWQG-CVLRPEOSSA-N 0 3 311.400 4.037 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1c(C)n[nH]c1C ZINC001139100517 1046385690 /nfs/dbraw/zinc/38/56/90/1046385690.db2.gz LPHFWELMTUFZHW-INIZCTEOSA-N 0 3 301.459 4.086 20 0 DIADHN CCn1ccc2ccc(CN(C)CCC(=O)c3ccccc3)cc21 ZINC001139123722 1046391787 /nfs/dbraw/zinc/39/17/87/1046391787.db2.gz CHNWVXUEPOKCFD-UHFFFAOYSA-N 0 3 320.436 4.366 20 0 DIADHN CCn1ccc2c1cccc2CN(C)Cc1ccccc1OC ZINC001139153199 1046394108 /nfs/dbraw/zinc/39/41/08/1046394108.db2.gz GMMBUHSSDYPLLV-UHFFFAOYSA-N 0 3 308.425 4.302 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@@H]1CCC=CO1 ZINC000780568212 1046394214 /nfs/dbraw/zinc/39/42/14/1046394214.db2.gz MTKHEUOEMINWQO-IBGZPJMESA-N 0 3 309.409 4.145 20 0 DIADHN CCn1ccc2c1cccc2CN1CCc2cc(OC)ccc2C1 ZINC001139152335 1046395377 /nfs/dbraw/zinc/39/53/77/1046395377.db2.gz VBIRDNVFVKUXDN-UHFFFAOYSA-N 0 3 320.436 4.228 20 0 DIADHN CCn1ccc2c1cccc2CN1CCC(=O)[C@H](C)C12CCCC2 ZINC001139157255 1046397159 /nfs/dbraw/zinc/39/71/59/1046397159.db2.gz NGIAGCLBDVAJJG-INIZCTEOSA-N 0 3 324.468 4.385 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N(C)CCCCCn1cccn1 ZINC000104675127 1046397309 /nfs/dbraw/zinc/39/73/09/1046397309.db2.gz HDXIZOSUZSPPMW-AWEZNQCLSA-N 0 3 307.388 4.025 20 0 DIADHN CCn1ccc2c1cccc2CN1CCC(=O)[C@@H](C)C12CCCC2 ZINC001139157259 1046397690 /nfs/dbraw/zinc/39/76/90/1046397690.db2.gz NGIAGCLBDVAJJG-MRXNPFEDSA-N 0 3 324.468 4.385 20 0 DIADHN CCn1ccc2c1cccc2CN1CCc2c(cccc2OC)C1 ZINC001139155327 1046398895 /nfs/dbraw/zinc/39/88/95/1046398895.db2.gz LXRLVVLTYWYHJY-UHFFFAOYSA-N 0 3 320.436 4.228 20 0 DIADHN CCOc1ccccc1NC(=O)CN(C)C1CCCCCCC1 ZINC000748805618 1046404216 /nfs/dbraw/zinc/40/42/16/1046404216.db2.gz NEOBAESSGRNFGC-UHFFFAOYSA-N 0 3 318.461 4.069 20 0 DIADHN C[C@H](c1nnc(-c2ccc3ccccc3c2)o1)N1CC[C@@H](C)C1 ZINC000639468584 1046404292 /nfs/dbraw/zinc/40/42/92/1046404292.db2.gz FCNLKIITPDCQME-ZIAGYGMSSA-N 0 3 307.397 4.293 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C2CCCCCCC2)c1C ZINC000748804800 1046404910 /nfs/dbraw/zinc/40/49/10/1046404910.db2.gz BNNUADMTSYEDQH-UHFFFAOYSA-N 0 3 302.462 4.287 20 0 DIADHN CC[C@@H]1CCCN1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639470806 1046405437 /nfs/dbraw/zinc/40/54/37/1046405437.db2.gz IRFGTFPRYKQPJX-OAHLLOKOSA-N 0 3 307.397 4.264 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1nccc2ccccc21 ZINC000780793876 1046406260 /nfs/dbraw/zinc/40/62/60/1046406260.db2.gz WFTOKTIKDRSBRJ-OCCSQVGLSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1ccc(N2CCN(Cc3c[nH]c4cccc(C)c34)CC2)cc1 ZINC001139191385 1046407370 /nfs/dbraw/zinc/40/73/70/1046407370.db2.gz PNOZEJFFVLWCIT-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN1CC(C)(C)[C@H]1c1ccccc1 ZINC000748834380 1046407797 /nfs/dbraw/zinc/40/77/97/1046407797.db2.gz WRWGUPNMORCCMK-RTBURBONSA-N 0 3 313.416 4.251 20 0 DIADHN Cc1cccc2[nH]cc(CN(C)CCC(=O)c3cccs3)c21 ZINC001139198953 1046408942 /nfs/dbraw/zinc/40/89/42/1046408942.db2.gz KZAYCXBJLYZLCJ-UHFFFAOYSA-N 0 3 312.438 4.243 20 0 DIADHN CSc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)cc1 ZINC000246695575 1046409349 /nfs/dbraw/zinc/40/93/49/1046409349.db2.gz ROSUNAFQVRQYIG-NVXWUHKLSA-N 0 3 317.454 4.090 20 0 DIADHN O=c1cc(CN(Cc2cc(F)ccc2F)C2CCCC2)cc[nH]1 ZINC000639523637 1046409615 /nfs/dbraw/zinc/40/96/15/1046409615.db2.gz BXINUQPBQQZGSR-UHFFFAOYSA-N 0 3 318.367 4.010 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCOC[C@H]3C3CCCCC3)c21 ZINC001139199889 1046411394 /nfs/dbraw/zinc/41/13/94/1046411394.db2.gz UHHOMEXKJPZGTA-IBGZPJMESA-N 0 3 312.457 4.257 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1cccc2c1CCOC2 ZINC000639624011 1046418644 /nfs/dbraw/zinc/41/86/44/1046418644.db2.gz BSXXKVCNIYYKMB-AWEZNQCLSA-N 0 3 313.466 4.332 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000639620385 1046418716 /nfs/dbraw/zinc/41/87/16/1046418716.db2.gz CTZWFGDEBLELFM-TZMCWYRMSA-N 0 3 324.346 4.423 20 0 DIADHN COCCN(C)Cc1ccc(-c2ccc(C(F)(F)F)cc2)cc1 ZINC001139249925 1046419279 /nfs/dbraw/zinc/41/92/79/1046419279.db2.gz NUKXSZUEEIMZGB-UHFFFAOYSA-N 0 3 323.358 4.451 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](NCCc1cnccn1)C1CC1 ZINC000639647634 1046419841 /nfs/dbraw/zinc/41/98/41/1046419841.db2.gz KUJOLXFSXYCHFE-INIZCTEOSA-N 0 3 322.239 4.067 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000639670508 1046419906 /nfs/dbraw/zinc/41/99/06/1046419906.db2.gz CJTQELVARWIRKQ-FHLIZLRMSA-N 0 3 319.408 4.168 20 0 DIADHN Cc1ncsc1CN1CCC2(CC1)c1ccccc1N=C2C ZINC000639727208 1046425350 /nfs/dbraw/zinc/42/53/50/1046425350.db2.gz CQBKHOJCIXUFFG-UHFFFAOYSA-N 0 3 311.454 4.091 20 0 DIADHN CC[C@H](C)C[C@@H](CO)NCc1ccc(F)c(Cl)c1Cl ZINC001202921774 1046429023 /nfs/dbraw/zinc/42/90/23/1046429023.db2.gz JKMRXDYDMWMDSN-ONGXEEELSA-N 0 3 308.224 4.019 20 0 DIADHN COc1ccc(CNCc2ccnc3ccccc23)cc1Cl ZINC000749110608 1046429377 /nfs/dbraw/zinc/42/93/77/1046429377.db2.gz MCWHTAQWLZVJSE-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2occc2Br)C1 ZINC000749110532 1046429516 /nfs/dbraw/zinc/42/95/16/1046429516.db2.gz KMRIJVREFFKWRC-WDEREUQCSA-N 0 3 318.280 4.196 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3cc(O)ccc3c2)c1 ZINC001139338111 1046430413 /nfs/dbraw/zinc/43/04/13/1046430413.db2.gz QHJVYICSAPBQBY-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN Oc1ccc2cc(CN3CCC[C@@H]3c3cccnc3)ccc2c1 ZINC001139336797 1046431028 /nfs/dbraw/zinc/43/10/28/1046431028.db2.gz AFDJMIGXHROFCU-HXUWFJFHSA-N 0 3 304.393 4.278 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(N2CCCCC2)nc1 ZINC001139340622 1046432905 /nfs/dbraw/zinc/43/29/05/1046432905.db2.gz QQRCBYRYRKQGNN-UHFFFAOYSA-N 0 3 309.457 4.094 20 0 DIADHN CC[C@@H](NCc1cc(F)cc(OC)c1)c1ccc2c(c1)CCO2 ZINC000090972115 1046433772 /nfs/dbraw/zinc/43/37/72/1046433772.db2.gz AWOXANKSTKQBQE-GOSISDBHSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139366135 1046438138 /nfs/dbraw/zinc/43/81/38/1046438138.db2.gz UNWJQQDFFFRLJK-QWHCGFSZSA-N 0 3 323.893 4.267 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(-c2cnccn2)cc1 ZINC001139388537 1046440288 /nfs/dbraw/zinc/44/02/88/1046440288.db2.gz BDRCIGFQHAEESZ-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN Cc1c(CN2CCC[C@H]2c2cccc(C)c2)nc2ccccn12 ZINC001137267341 1046445117 /nfs/dbraw/zinc/44/51/17/1046445117.db2.gz WGBHHVKEJYFBDE-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)s1)C[C@@H]1CCCCO1 ZINC001139414688 1046446985 /nfs/dbraw/zinc/44/69/85/1046446985.db2.gz UOVYMEMHUDHIHI-INIZCTEOSA-N 0 3 301.455 4.416 20 0 DIADHN Cc1c(CN2CCC[C@@H](Cc3ccccc3)C2)nc2ccccn12 ZINC001137272053 1046447303 /nfs/dbraw/zinc/44/73/03/1046447303.db2.gz GBIDTKMYWWPTTA-IBGZPJMESA-N 0 3 319.452 4.097 20 0 DIADHN Clc1ccc(-c2ccc(CN3CCC[C@]4(CCO4)C3)o2)cc1 ZINC001139417928 1046448529 /nfs/dbraw/zinc/44/85/29/1046448529.db2.gz QOZHCGCJGJGQPI-SFHVURJKSA-N 0 3 317.816 4.355 20 0 DIADHN Clc1ccc(-c2ccc(CN3CCC[C@@]4(CCO4)C3)o2)cc1 ZINC001139417923 1046448972 /nfs/dbraw/zinc/44/89/72/1046448972.db2.gz QOZHCGCJGJGQPI-GOSISDBHSA-N 0 3 317.816 4.355 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139417381 1046449557 /nfs/dbraw/zinc/44/95/57/1046449557.db2.gz CQIWEOGKVUBZOW-HNNXBMFYSA-N 0 3 317.816 4.401 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139417382 1046449593 /nfs/dbraw/zinc/44/95/93/1046449593.db2.gz CQIWEOGKVUBZOW-OAHLLOKOSA-N 0 3 317.816 4.401 20 0 DIADHN Cc1c(CN2CCC(C)(c3ccccc3)CC2)nc2ccccn12 ZINC001137275973 1046450590 /nfs/dbraw/zinc/45/05/90/1046450590.db2.gz ROZPPJNQKCNYNV-UHFFFAOYSA-N 0 3 319.452 4.196 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2ccc(F)cc2)ccc1OC ZINC000124066016 1046452647 /nfs/dbraw/zinc/45/26/47/1046452647.db2.gz FDQUFKXUKHULCS-KRWDZBQOSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@@H](c1ccc(CN[C@H]2CCCn3nccc32)cc1)C(F)(F)F ZINC000640218899 1046453228 /nfs/dbraw/zinc/45/32/28/1046453228.db2.gz APCTXXGWJMODRF-WFASDCNBSA-N 0 3 323.362 4.174 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H](C4CC4)C3)co2)cc1 ZINC000677028429 1046455164 /nfs/dbraw/zinc/45/51/64/1046455164.db2.gz JVBCPLZYHXPWKF-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN c1cc(-c2ccc(CN3CCc4ccccc4CC3)cn2)cs1 ZINC001139498600 1046455820 /nfs/dbraw/zinc/45/58/20/1046455820.db2.gz ARHQXRUYYJHVDZ-UHFFFAOYSA-N 0 3 320.461 4.411 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cc(F)cc3F)cc1 ZINC000677043092 1046455996 /nfs/dbraw/zinc/45/59/96/1046455996.db2.gz NWHIQEPFUXLKML-ZMZPIMSZSA-N 0 3 303.352 4.312 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H](C4CC4)C3)co2)cc1F ZINC000677051669 1046458897 /nfs/dbraw/zinc/45/88/97/1046458897.db2.gz VBRVMPKDMDTROI-CQSZACIVSA-N 0 3 318.367 4.242 20 0 DIADHN CCO[C@@H](CN1CC=C(c2ccccc2Cl)CC1)C1CC1 ZINC001168252668 1046459197 /nfs/dbraw/zinc/45/91/97/1046459197.db2.gz QKCGVKFRAUWOKM-SFHVURJKSA-N 0 3 305.849 4.244 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CCC[C@H](C4CC4)C3)o2)cc1 ZINC000677061700 1046459359 /nfs/dbraw/zinc/45/93/59/1046459359.db2.gz KYPASVFXXOHZME-YOEHRIQHSA-N 0 3 311.429 4.228 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(-c3ccccc3)nc2)CC1 ZINC001139518163 1046460183 /nfs/dbraw/zinc/46/01/83/1046460183.db2.gz OWHCLKJASAAWQV-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CCC[C@@H](C4CC4)C3)o2)cc1 ZINC000677061698 1046460527 /nfs/dbraw/zinc/46/05/27/1046460527.db2.gz KYPASVFXXOHZME-RHSMWYFYSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1cc(CN2CCC[C@H](Cc3ccccc3)C2)cnc1Cl ZINC001139543554 1046468569 /nfs/dbraw/zinc/46/85/69/1046468569.db2.gz CKXIVUOTSREZCQ-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN COc1cc(Cl)c(CN[C@@H]2CCC(F)(F)C2)cc1Cl ZINC000677150833 1046469527 /nfs/dbraw/zinc/46/95/27/1046469527.db2.gz ULRWUCZOMZKAFY-SECBINFHSA-N 0 3 310.171 4.279 20 0 DIADHN COc1ccc(CN2CCCC23CC3)c(OCc2ccccc2)c1 ZINC001139557888 1046469957 /nfs/dbraw/zinc/46/99/57/1046469957.db2.gz GRQXWUHXBRYMNQ-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN CN(CCC=Cc1cccnc1)Cc1c[nH]c(-c2ccccc2)n1 ZINC001139556494 1046470569 /nfs/dbraw/zinc/47/05/69/1046470569.db2.gz HMANIXLFSFDFER-VMPITWQZSA-N 0 3 318.424 4.007 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CCC[C@H](c2ccccc2)C1 ZINC001139555786 1046470860 /nfs/dbraw/zinc/47/08/60/1046470860.db2.gz ZXPUTSOTKYWUCY-IBGZPJMESA-N 0 3 317.436 4.456 20 0 DIADHN CCCN(Cc1cnc(-c2ccccc2)[nH]1)Cc1ccccc1 ZINC001139554963 1046471294 /nfs/dbraw/zinc/47/12/94/1046471294.db2.gz LJJQKLDZSNIPMW-UHFFFAOYSA-N 0 3 305.425 4.489 20 0 DIADHN COc1ccc(CN2CCC[C@H]3C[C@H]32)c(OCc2ccccc2)c1 ZINC001139559797 1046473078 /nfs/dbraw/zinc/47/30/78/1046473078.db2.gz VEWNDVDWOORZBA-FXAWDEMLSA-N 0 3 323.436 4.259 20 0 DIADHN Fc1ccc(CN2CCC(c3ccccn3)CC2)c2ncccc12 ZINC000677188368 1046473340 /nfs/dbraw/zinc/47/33/40/1046473340.db2.gz RWPYNDUTGOLLTL-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN C[C@@H]1COCCN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139571571 1046475157 /nfs/dbraw/zinc/47/51/57/1046475157.db2.gz CDBHUMNYRFUUGC-HNNXBMFYSA-N 0 3 315.844 4.475 20 0 DIADHN Cc1cc(F)ccc1C[C@H](C)NCc1ccnc(Cl)c1F ZINC000826869049 1046478873 /nfs/dbraw/zinc/47/88/73/1046478873.db2.gz ZXNRYRMHWPKEFB-NSHDSACASA-N 0 3 310.775 4.042 20 0 DIADHN Cc1cnc(Cl)c(CN(C)C(C)(C)Cc2ccccc2)c1 ZINC001139592338 1046480917 /nfs/dbraw/zinc/48/09/17/1046480917.db2.gz NOCBXVJEYHDPCR-UHFFFAOYSA-N 0 3 302.849 4.497 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CCCC(C)(C)CC1 ZINC000127132889 1046481284 /nfs/dbraw/zinc/48/12/84/1046481284.db2.gz MPWOMOQARCBMQI-GFCCVEGCSA-N 0 3 305.447 4.371 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2cnn(Cc3ccccc3)c2)c1 ZINC000677322645 1046483536 /nfs/dbraw/zinc/48/35/36/1046483536.db2.gz IKZNERZNTUNQHZ-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1ccco1)c1ccc(Cl)cc1F ZINC000781877759 1046483626 /nfs/dbraw/zinc/48/36/26/1046483626.db2.gz IUFGDLGXZHNQTF-ZBFHGGJFSA-N 0 3 311.784 4.092 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001139607382 1046483949 /nfs/dbraw/zinc/48/39/49/1046483949.db2.gz QCHSEZKMPIGRLD-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN CCC(CC)(NCC(OC)OC)c1ccc(Cl)c(Cl)c1 ZINC000781897871 1046484992 /nfs/dbraw/zinc/48/49/92/1046484992.db2.gz XIWYKIQJFJWHKJ-UHFFFAOYSA-N 0 3 320.260 4.217 20 0 DIADHN COc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1C(C)C ZINC001139615389 1046486356 /nfs/dbraw/zinc/48/63/56/1046486356.db2.gz PRLLULRHSYIRSQ-GASCZTMLSA-N 0 3 309.400 4.296 20 0 DIADHN COc1cc(CN2CC[C@H](c3cccc(F)c3)C2)ccc1Cl ZINC001139615085 1046486828 /nfs/dbraw/zinc/48/68/28/1046486828.db2.gz ZDFAORWBECGQKB-HNNXBMFYSA-N 0 3 319.807 4.477 20 0 DIADHN O[C@H](C[C@@H]1CCCN1C/C=C/c1ccc(F)cc1)c1ccco1 ZINC000091958651 1046488781 /nfs/dbraw/zinc/48/87/81/1046488781.db2.gz PHMDTIZMNQCUBD-VLCRCPKRSA-N 0 3 315.388 4.020 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(-c2cccnc2)cc1 ZINC001139639462 1046490587 /nfs/dbraw/zinc/49/05/87/1046490587.db2.gz DOQXBMGKXQQWFF-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc(-c3cccnc3)cc2)C1 ZINC001139647863 1046494436 /nfs/dbraw/zinc/49/44/36/1046494436.db2.gz OFFPGKGRNCBJKF-MRXNPFEDSA-N 0 3 306.331 4.133 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc3ccccc3c(Cl)n2)C1 ZINC000795600899 1046494633 /nfs/dbraw/zinc/49/46/33/1046494633.db2.gz PLXXDORPWPQRCY-CYBMUJFWSA-N 0 3 320.889 4.463 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CC[C@H](c2ccccc2F)C1 ZINC001139681448 1046498660 /nfs/dbraw/zinc/49/86/60/1046498660.db2.gz XFFSDTQQWYDWHX-INIZCTEOSA-N 0 3 323.415 4.080 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2sccc2C(C)C)c1 ZINC000677599970 1046504002 /nfs/dbraw/zinc/50/40/02/1046504002.db2.gz PMZFRANTBAXUPN-UHFFFAOYSA-N 0 3 316.470 4.494 20 0 DIADHN Cc1ccc2ncc(CN3CCC(Cc4ccccc4)CC3)n2c1 ZINC001137303547 1046504493 /nfs/dbraw/zinc/50/44/93/1046504493.db2.gz BXZRIDKITIJGLQ-UHFFFAOYSA-N 0 3 319.452 4.097 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3cccnc3c2)cc1 ZINC001139740747 1046509511 /nfs/dbraw/zinc/50/95/11/1046509511.db2.gz DZZDDRNCYQFIJL-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1cnc2cccnc2c1 ZINC001139742051 1046509984 /nfs/dbraw/zinc/50/99/84/1046509984.db2.gz QOPANMUHHXDYAU-UHFFFAOYSA-N 0 3 305.425 4.432 20 0 DIADHN C[C@@H]1CN(CN2c3cccc4cccc(c34)C2=O)[C@@H]2CCCC[C@H]21 ZINC000782275740 1046512213 /nfs/dbraw/zinc/51/22/13/1046512213.db2.gz GUQXFXWDLWNBAD-UWWQBHOKSA-N 0 3 320.436 4.268 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3ccc(C)cn23)cc1 ZINC001137308846 1046513089 /nfs/dbraw/zinc/51/30/89/1046513089.db2.gz ITTATOKGDILUME-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2ccc(Br)cc2C)c1 ZINC000641777029 1046514357 /nfs/dbraw/zinc/51/43/57/1046514357.db2.gz GHDLYQYNONTXLU-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC[C@H](F)CC3)s2)cc1 ZINC001139790089 1046515743 /nfs/dbraw/zinc/51/57/43/1046515743.db2.gz PLJBAEDZRIRRGQ-AWEZNQCLSA-N 0 3 320.433 4.143 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2ccc(C(C)C)cc2)s1 ZINC000677754665 1046522125 /nfs/dbraw/zinc/52/21/25/1046522125.db2.gz JSPCRQIGEIYLMF-CYBMUJFWSA-N 0 3 304.459 4.305 20 0 DIADHN CN1CCN(Cc2ccccc2C(F)F)C[C@H]1c1ccccc1 ZINC001139800885 1046522251 /nfs/dbraw/zinc/52/22/51/1046522251.db2.gz FQUQOJCRHVSWOC-SFHVURJKSA-N 0 3 316.395 4.113 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1ncc(Br)s1 ZINC000782357543 1046523105 /nfs/dbraw/zinc/52/31/05/1046523105.db2.gz HNIVRGZZQJZQGO-QWRGUYRKSA-N 0 3 317.296 4.354 20 0 DIADHN Clc1cnccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001139844697 1046532389 /nfs/dbraw/zinc/53/23/89/1046532389.db2.gz XRRLFMXLDLIBMN-UHFFFAOYSA-N 0 3 310.828 4.296 20 0 DIADHN Cn1c(CN2CCC[C@@H](Oc3ccccc3)C2)cc2ccccc21 ZINC001139870073 1046538163 /nfs/dbraw/zinc/53/81/63/1046538163.db2.gz CYRXSTWVGYPQPO-HXUWFJFHSA-N 0 3 320.436 4.222 20 0 DIADHN CC[C@@H](N[C@H]1CC(C)(C)Cc2occc21)c1c(C)nn(C)c1C ZINC000133727399 1046543703 /nfs/dbraw/zinc/54/37/03/1046543703.db2.gz VCEYAJBLCHZNHS-CVEARBPZSA-N 0 3 315.461 4.384 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C(F)(F)F)ccc2C)[C@@H](C)C1 ZINC001139888839 1046544958 /nfs/dbraw/zinc/54/49/58/1046544958.db2.gz MBERNGPATVOAEN-SWLSCSKDSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CCC(n2ccnc2)CC1 ZINC001139887096 1046545402 /nfs/dbraw/zinc/54/54/02/1046545402.db2.gz LVSBFXKQRBXEIL-UHFFFAOYSA-N 0 3 323.362 4.047 20 0 DIADHN CCOCOc1ccc(CN[C@H](C)c2ccc(F)c(C)c2)cc1 ZINC000782965224 1046549600 /nfs/dbraw/zinc/54/96/00/1046549600.db2.gz IDNGTHFFXMZIPX-OAHLLOKOSA-N 0 3 317.404 4.358 20 0 DIADHN CC1(C)OCCC12CCN(Cc1cncc3ccccc31)CC2 ZINC001139897930 1046549889 /nfs/dbraw/zinc/54/98/89/1046549889.db2.gz GDFPPSYVIMUSLD-UHFFFAOYSA-N 0 3 310.441 4.016 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(Cl)nc1 ZINC000179140255 1046553759 /nfs/dbraw/zinc/55/37/59/1046553759.db2.gz RQIJNTPYPLQBDL-ABAIWWIYSA-N 0 3 302.805 4.082 20 0 DIADHN CC1(C)CCN(Cc2c[nH]c3cccc(Br)c23)C1 ZINC001139967521 1046559287 /nfs/dbraw/zinc/55/92/87/1046559287.db2.gz QALHYULFXKFXHP-UHFFFAOYSA-N 0 3 307.235 4.162 20 0 DIADHN c1ccc2c(CN3CCCCC[C@@H]3c3ccncc3)n[nH]c2c1 ZINC000678119878 1046558551 /nfs/dbraw/zinc/55/85/51/1046558551.db2.gz BZGMUCQSEMYLDR-LJQANCHMSA-N 0 3 306.413 4.075 20 0 DIADHN c1ccc2c(c1)n[nH]c2CN1CCCCC[C@@H]1c1ccncc1 ZINC000678119878 1046558559 /nfs/dbraw/zinc/55/85/59/1046558559.db2.gz BZGMUCQSEMYLDR-LJQANCHMSA-N 0 3 306.413 4.075 20 0 DIADHN C[C@@H](N[C@@H](CN1CCCCC1)c1ccccc1)c1cscn1 ZINC000678114969 1046558732 /nfs/dbraw/zinc/55/87/32/1046558732.db2.gz RMSDTMJGFVXVBH-WBVHZDCISA-N 0 3 315.486 4.021 20 0 DIADHN Cc1ccc(CN(CCc2ccccc2)Cc2cn[nH]c2)s1 ZINC000678126318 1046560085 /nfs/dbraw/zinc/56/00/85/1046560085.db2.gz FXMSMPOEMAHGCT-UHFFFAOYSA-N 0 3 311.454 4.025 20 0 DIADHN CC1CCN(Cc2c[nH]c3cccc(Br)c23)CC1 ZINC001139963677 1046560528 /nfs/dbraw/zinc/56/05/28/1046560528.db2.gz CGPRXYQCAGFHJI-UHFFFAOYSA-N 0 3 307.235 4.162 20 0 DIADHN Brc1cccc2[nH]cc(CN3CCC4(CCC4)C3)c21 ZINC001139966027 1046560879 /nfs/dbraw/zinc/56/08/79/1046560879.db2.gz HIWYCVYFORVQBP-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN CCCN(Cc1cnc2ccc(C)cn12)Cc1ccc(OC)cc1 ZINC000678145893 1046569403 /nfs/dbraw/zinc/56/94/03/1046569403.db2.gz YOIQSTIWUWXDNL-UHFFFAOYSA-N 0 3 323.440 4.064 20 0 DIADHN CCN(Cc1cc(C(F)(F)F)ccc1Cl)C[C@H]1CCOC1 ZINC000678150366 1046571329 /nfs/dbraw/zinc/57/13/29/1046571329.db2.gz AMRGJISLOYBGQN-LLVKDONJSA-N 0 3 321.770 4.217 20 0 DIADHN CCOc1ccc(CNCc2ccc3c(c2)CC(C)(C)O3)cc1 ZINC000729677774 1046571553 /nfs/dbraw/zinc/57/15/53/1046571553.db2.gz CKVKENVWKBJTHT-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN Cc1nccn1-c1ccccc1CN1CC[C@@H]1c1ccccc1 ZINC001140026931 1046572180 /nfs/dbraw/zinc/57/21/80/1046572180.db2.gz QHGWZFQVCPOBQS-LJQANCHMSA-N 0 3 303.409 4.128 20 0 DIADHN COCCN(Cc1nccc2ccccc21)[C@@H](C)c1ccccc1 ZINC000678156056 1046573487 /nfs/dbraw/zinc/57/34/87/1046573487.db2.gz OBNLMGBNAOATKG-KRWDZBQOSA-N 0 3 320.436 4.444 20 0 DIADHN C[C@@H]1CCN1Cc1c[nH]c2c1cccc2OCc1ccccc1 ZINC001140030068 1046574089 /nfs/dbraw/zinc/57/40/89/1046574089.db2.gz WGABSYNHOGTPAH-OAHLLOKOSA-N 0 3 306.409 4.341 20 0 DIADHN C[C@@H]1CC[C@H]1NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000783294563 1046574743 /nfs/dbraw/zinc/57/47/43/1046574743.db2.gz KTXBZSRXNSSLMX-FVQBIDKESA-N 0 3 312.482 4.304 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H](C)Cc1ccccc1F)CCC2 ZINC000783294541 1046575554 /nfs/dbraw/zinc/57/55/54/1046575554.db2.gz KHWNZQZWAWRNNX-KBXCAEBGSA-N 0 3 315.436 4.201 20 0 DIADHN C[C@@H]1CC[C@@H]1NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000783294562 1046575629 /nfs/dbraw/zinc/57/56/29/1046575629.db2.gz KTXBZSRXNSSLMX-BMFZPTHFSA-N 0 3 312.482 4.304 20 0 DIADHN CCCCN(CC(=O)N[C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000642189452 1046577560 /nfs/dbraw/zinc/57/75/60/1046577560.db2.gz UDYWCVNQZTUQAE-INIZCTEOSA-N 0 3 324.468 4.282 20 0 DIADHN Fc1ccc(C(NC[C@@H]2CCC=CO2)c2ccc(F)cc2)cc1 ZINC000783305995 1046577716 /nfs/dbraw/zinc/57/77/16/1046577716.db2.gz VTBXVCOZKBTUNO-SFHVURJKSA-N 0 3 315.363 4.337 20 0 DIADHN CCCCN(CC(=O)N[C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000642189453 1046577931 /nfs/dbraw/zinc/57/79/31/1046577931.db2.gz UDYWCVNQZTUQAE-MRXNPFEDSA-N 0 3 324.468 4.282 20 0 DIADHN CCCCN(CC(=O)N[C@@H](CC)c1ccc(Cl)cc1)C1CC1 ZINC000642189530 1046578134 /nfs/dbraw/zinc/57/81/34/1046578134.db2.gz LTXKEKXYXKNCRI-KRWDZBQOSA-N 0 3 322.880 4.172 20 0 DIADHN Clc1ccc2c(c1Cl)OCC[C@H]2NC[C@H]1CCC=CO1 ZINC000783307572 1046578553 /nfs/dbraw/zinc/57/85/53/1046578553.db2.gz NIQMUWSUVKBSFM-ZWNOBZJWSA-N 0 3 314.212 4.099 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC[C@@H](c2cc[nH]n2)C1 ZINC000678184497 1046579264 /nfs/dbraw/zinc/57/92/64/1046579264.db2.gz IZNLCTLNPPKTLF-GFCCVEGCSA-N 0 3 315.804 4.189 20 0 DIADHN COc1c(Cl)cccc1CN(C)CCc1ccc(F)cc1 ZINC001140067879 1046582189 /nfs/dbraw/zinc/58/21/89/1046582189.db2.gz RVACSZIKQZEWBT-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC[C@@H]3c3cccc(C)c3)c2c1 ZINC001140066081 1046582361 /nfs/dbraw/zinc/58/23/61/1046582361.db2.gz QWSKIEYXFJLSAL-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2[nH]nc(CN3CCC[C@@H]3c3cccc(C)c3)c2c1 ZINC001140066081 1046582383 /nfs/dbraw/zinc/58/23/83/1046582383.db2.gz QWSKIEYXFJLSAL-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(c2ccccn2)CC1 ZINC000678212922 1046585898 /nfs/dbraw/zinc/58/58/98/1046585898.db2.gz QBCPGIGNMLTOEK-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN CCOCOc1ccc(CN2Cc3ccccc3[C@H](C)C2)cc1 ZINC000783388173 1046588983 /nfs/dbraw/zinc/58/89/83/1046588983.db2.gz QCEJKZAJTGIKTR-MRXNPFEDSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@H]1Cc2ccccc2N1C1CCN(Cc2ccsc2)CC1 ZINC000678220082 1046589176 /nfs/dbraw/zinc/58/91/76/1046589176.db2.gz QDZFTGPMQNVFEX-HNNXBMFYSA-N 0 3 312.482 4.164 20 0 DIADHN C[C@@H]1Cc2ccccc2N1C1CCN(Cc2ccsc2)CC1 ZINC000678220083 1046589913 /nfs/dbraw/zinc/58/99/13/1046589913.db2.gz QDZFTGPMQNVFEX-OAHLLOKOSA-N 0 3 312.482 4.164 20 0 DIADHN CCOCOc1ccc(CN(Cc2cccs2)C2CC2)cc1 ZINC000783399309 1046589939 /nfs/dbraw/zinc/58/99/39/1046589939.db2.gz FVHIMQLYXWLNFU-UHFFFAOYSA-N 0 3 317.454 4.286 20 0 DIADHN Oc1ccc(CN2CCC3(CCc4ccccc43)CC2)c(F)c1 ZINC001140124612 1046594759 /nfs/dbraw/zinc/59/47/59/1046594759.db2.gz QPOUKPZXRRFKID-UHFFFAOYSA-N 0 3 311.400 4.011 20 0 DIADHN Fc1ccc(SCCN2CCC[C@@H](c3nccs3)C2)cc1 ZINC000195442535 1046595585 /nfs/dbraw/zinc/59/55/85/1046595585.db2.gz FYZQCXQEZUILBZ-CYBMUJFWSA-N 0 3 322.474 4.254 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1ccncc1 ZINC000237355489 1046596649 /nfs/dbraw/zinc/59/66/49/1046596649.db2.gz JWUWANAPGYNHAU-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCCO[C@H](c3ccccc3)C2)o1 ZINC000678238279 1046596810 /nfs/dbraw/zinc/59/68/10/1046596810.db2.gz FEZZHMRLZJIPRY-BPAFIMBUSA-N 0 3 311.425 4.367 20 0 DIADHN FC(F)(F)c1ccccc1CN(Cc1cn[nH]c1)C1CCCC1 ZINC000678241606 1046599299 /nfs/dbraw/zinc/59/92/99/1046599299.db2.gz XALUOTCICSPJFO-UHFFFAOYSA-N 0 3 323.362 4.373 20 0 DIADHN Clc1cccc2c1OCC[C@H]2NCc1cccc2cc[nH]c21 ZINC000237896342 1046599459 /nfs/dbraw/zinc/59/94/59/1046599459.db2.gz CRGQCCZXNPCBFO-MRXNPFEDSA-N 0 3 312.800 4.435 20 0 DIADHN CCOc1cccc(CN2CCC[C@H]2C2CC2)c1OC(F)F ZINC000678239373 1046600108 /nfs/dbraw/zinc/60/01/08/1046600108.db2.gz ALRMKFDMGGOQCP-AWEZNQCLSA-N 0 3 311.372 4.061 20 0 DIADHN COc1ccccc1[C@@H]1CCCCN1Cc1ccc2[nH]cnc2c1 ZINC000678241088 1046600280 /nfs/dbraw/zinc/60/02/80/1046600280.db2.gz PUKYFQCHWVMREN-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN CCOCOc1ccc(CN2C[C@@H](C)[C@H]2c2ccccc2)cc1 ZINC000783493477 1046600590 /nfs/dbraw/zinc/60/05/90/1046600590.db2.gz HFLDUCHOBZRIOT-UZLBHIALSA-N 0 3 311.425 4.252 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CC[C@H](c3ccco3)C2)c1 ZINC000678262347 1046602013 /nfs/dbraw/zinc/60/20/13/1046602013.db2.gz NEEDEEPCQQKXNL-ZDUSSCGKSA-N 0 3 307.340 4.179 20 0 DIADHN COc1c(Br)cccc1CN1CC2CCC(CC2)C1 ZINC001140155653 1046602188 /nfs/dbraw/zinc/60/21/88/1046602188.db2.gz GPDGHNHZIGVONV-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN CCN(Cc1cc(Cl)ccc1OCc1cccnc1)C1CC1 ZINC000536639368 1046603371 /nfs/dbraw/zinc/60/33/71/1046603371.db2.gz ZFONZCILHXAUAQ-UHFFFAOYSA-N 0 3 316.832 4.298 20 0 DIADHN Cc1ccc(C)c(CN(C)[C@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000245459863 1046605914 /nfs/dbraw/zinc/60/59/14/1046605914.db2.gz DSNIAOIFEKBHRS-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN Fc1ccccc1C1CCN(Cc2[nH]nc3ccccc32)CC1 ZINC000678274378 1046606141 /nfs/dbraw/zinc/60/61/41/1046606141.db2.gz FAXYPRNCNKMZHY-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCCOC(C)(C)C3)s2)cc1 ZINC000678271981 1046607106 /nfs/dbraw/zinc/60/71/06/1046607106.db2.gz WRIDZMSXSQWSSZ-UHFFFAOYSA-N 0 3 316.470 4.119 20 0 DIADHN CCOC(=O)CC[C@H](C)NCc1c(C)cc(Cl)cc1Cl ZINC001258477861 1046607703 /nfs/dbraw/zinc/60/77/03/1046607703.db2.gz MWVKWFJBOGPMSC-NSHDSACASA-N 0 3 318.244 4.123 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc(-c3ccncc3)cc2)C1 ZINC001140172964 1046607680 /nfs/dbraw/zinc/60/76/80/1046607680.db2.gz JCVAYGWQGSTFHN-MRXNPFEDSA-N 0 3 306.331 4.133 20 0 DIADHN Fc1ccc(/C=C\CN2CCC3(CC2)OCc2ccccc23)cc1 ZINC000678284380 1046610699 /nfs/dbraw/zinc/61/06/99/1046610699.db2.gz COICKMAHDKEQMR-ARJAWSKDSA-N 0 3 323.411 4.360 20 0 DIADHN Fc1cccc([C@@H](N[C@@H]2CCCSC2)c2ccccc2)c1 ZINC000783576397 1046610698 /nfs/dbraw/zinc/61/06/98/1046610698.db2.gz LNRMOKDYYGIAOZ-MSOLQXFVSA-N 0 3 301.430 4.400 20 0 DIADHN COc1ccc2ccccc2c1CN(C)CCOC(C)(C)C ZINC000678283899 1046610853 /nfs/dbraw/zinc/61/08/53/1046610853.db2.gz MGHRIECKYCFKTB-UHFFFAOYSA-N 0 3 301.430 4.095 20 0 DIADHN FC(F)(F)c1ccc(-c2ncc(CN3C[C@@H]4C[C@@H]4C3)s2)cc1 ZINC001140213974 1046616988 /nfs/dbraw/zinc/61/69/88/1046616988.db2.gz STEYANHQJZTITO-TXEJJXNPSA-N 0 3 324.371 4.281 20 0 DIADHN CCN(C)Cc1cnc(-c2ccc(C(F)(F)F)cc2)s1 ZINC001140214047 1046617095 /nfs/dbraw/zinc/61/70/95/1046617095.db2.gz WOCWZECLWHRQEH-UHFFFAOYSA-N 0 3 300.349 4.281 20 0 DIADHN CCc1ccc(NC(=O)[C@@H]2CCCN2C2CCCC2)cc1Cl ZINC001140226238 1046618904 /nfs/dbraw/zinc/61/89/04/1046618904.db2.gz FRSIMASYAHLVRQ-KRWDZBQOSA-N 0 3 320.864 4.248 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](Sc3ccc(F)cc3)C2)[nH]1 ZINC001137332522 1046618940 /nfs/dbraw/zinc/61/89/40/1046618940.db2.gz XQDDBGBCTRFHLQ-INIZCTEOSA-N 0 3 304.434 4.137 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC(Oc4ccccc4)CC3)c2c1 ZINC001137333644 1046619534 /nfs/dbraw/zinc/61/95/34/1046619534.db2.gz NYOQMQCBJXRGPL-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN Oc1cccc2[nH]cc(CN3CCC[C@H]3c3cccc(F)c3)c21 ZINC001140233027 1046621100 /nfs/dbraw/zinc/62/11/00/1046621100.db2.gz BMHWXHQEXSTFMO-KRWDZBQOSA-N 0 3 310.372 4.350 20 0 DIADHN C[C@@H](NC1CCCCCC1)c1nc(Br)cs1 ZINC000834487297 1046622966 /nfs/dbraw/zinc/62/29/66/1046622966.db2.gz AJJJGQMPYMJJKR-SECBINFHSA-N 0 3 303.269 4.279 20 0 DIADHN C[C@H](NCC1CCCCC1)c1nc(Br)cs1 ZINC000834487717 1046623587 /nfs/dbraw/zinc/62/35/87/1046623587.db2.gz JRBSOPNKALXVKV-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cnccc2C)cc1OCC ZINC000678362752 1046626868 /nfs/dbraw/zinc/62/68/68/1046626868.db2.gz NKECKXQIPXGDAX-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(Br)c2)c(C)n1 ZINC000678418977 1046633777 /nfs/dbraw/zinc/63/37/77/1046633777.db2.gz SXESHOMPMAVULW-GFCCVEGCSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCC1)c1nc(Br)cs1 ZINC000834585281 1046634089 /nfs/dbraw/zinc/63/40/89/1046634089.db2.gz LMLCZNJSZUNPOO-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC[C@H]3c3ccncc3)c2c1 ZINC001140270756 1046635897 /nfs/dbraw/zinc/63/58/97/1046635897.db2.gz QJUUJYHGLNKMEI-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCc4ccccc4CC3)c2c1 ZINC001140271164 1046636173 /nfs/dbraw/zinc/63/61/73/1046636173.db2.gz ZGHWARUUHFAFCI-UHFFFAOYSA-N 0 3 320.436 4.167 20 0 DIADHN CCSCC[C@@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000834611673 1046637338 /nfs/dbraw/zinc/63/73/38/1046637338.db2.gz NIYWWCRWOQYLFE-RKDXNWHRSA-N 0 3 323.325 4.088 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000678428517 1046637978 /nfs/dbraw/zinc/63/79/78/1046637978.db2.gz UJFRNZHNYHKSOW-UONOGXRCSA-N 0 3 323.440 4.032 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccccc2-n2cccn2)c(C)n1 ZINC000678429863 1046638253 /nfs/dbraw/zinc/63/82/53/1046638253.db2.gz ZDFVSAAJFZSMPN-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN CN(Cc1ccncc1O)Cc1ccccc1Oc1ccccc1 ZINC001140280790 1046638273 /nfs/dbraw/zinc/63/82/73/1046638273.db2.gz MRNCFCHFOYYEHL-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(OC(F)F)cc2)cc(C)c1O ZINC000643110096 1046642113 /nfs/dbraw/zinc/64/21/13/1046642113.db2.gz BDTYTTNXPBGJIK-UHFFFAOYSA-N 0 3 321.367 4.242 20 0 DIADHN CC[C@H](N[C@H](CO)CC(F)F)c1ccc(Cl)c(Cl)c1 ZINC000429447852 1046642577 /nfs/dbraw/zinc/64/25/77/1046642577.db2.gz FVYPPZAMXUSEEN-CABZTGNLSA-N 0 3 312.187 4.050 20 0 DIADHN CCCN(CCOC)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC001140295628 1046643422 /nfs/dbraw/zinc/64/34/22/1046643422.db2.gz NLTHTPLZFNBOOT-UHFFFAOYSA-N 0 3 324.877 4.322 20 0 DIADHN Cc1cnc([C@@H](C)N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)o1 ZINC000678463731 1046643931 /nfs/dbraw/zinc/64/39/31/1046643931.db2.gz WMNPITLBDXKWCZ-GDBMZVCRSA-N 0 3 309.413 4.405 20 0 DIADHN C[C@H](NCCCC(C)(C)C)c1nc(Br)cs1 ZINC000834662611 1046647004 /nfs/dbraw/zinc/64/70/04/1046647004.db2.gz AMZCZEYJVSPLKX-VIFPVBQESA-N 0 3 305.285 4.383 20 0 DIADHN CCC[C@@H](C)N[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000643202858 1046648105 /nfs/dbraw/zinc/64/81/05/1046648105.db2.gz MHDBYKJOAYGFJY-RDTXWAMCSA-N 0 3 314.404 4.284 20 0 DIADHN Cc1cccc(CN(C)[C@H](C)CCSc2ccccc2)n1 ZINC000431597155 1046650057 /nfs/dbraw/zinc/65/00/57/1046650057.db2.gz SEWBNCHQJFWFGY-MRXNPFEDSA-N 0 3 300.471 4.393 20 0 DIADHN Cc1cccnc1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000678517721 1046650195 /nfs/dbraw/zinc/65/01/95/1046650195.db2.gz QKSHTOLUIWRPKC-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN COc1ccccc1CN(C)Cc1ccccc1-c1cccnc1 ZINC001140317792 1046653722 /nfs/dbraw/zinc/65/37/22/1046653722.db2.gz QYLBLZDRLMOGMC-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000678541707 1046654019 /nfs/dbraw/zinc/65/40/19/1046654019.db2.gz KCFBVSSDINVXEM-RXVVDRJESA-N 0 3 323.484 4.268 20 0 DIADHN Fc1ccc2[nH]cc(CN3CC[C@H](OCc4ccccc4)C3)c2c1 ZINC001137340249 1046659809 /nfs/dbraw/zinc/65/98/09/1046659809.db2.gz XHUHVUAWNLIHLL-SFHVURJKSA-N 0 3 324.399 4.098 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccsc1 ZINC000834741034 1046660091 /nfs/dbraw/zinc/66/00/91/1046660091.db2.gz HSUXCCKQFHAKTQ-GOSISDBHSA-N 0 3 315.486 4.063 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@@H]1CCc3ccc(C)cc31)CCO2 ZINC000678584476 1046662708 /nfs/dbraw/zinc/66/27/08/1046662708.db2.gz QSXJZLKLTUEJQC-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H]1OCCc2ccccc21 ZINC000678585793 1046664835 /nfs/dbraw/zinc/66/48/35/1046664835.db2.gz LTMHULLFEGGWAJ-QRWLVFNGSA-N 0 3 311.425 4.050 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H]1CCCc2ccc(C)cc21 ZINC000678587497 1046665090 /nfs/dbraw/zinc/66/50/90/1046665090.db2.gz CZJOLYGIXHCAJT-RTBURBONSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NC1CC(F)(F)C1 ZINC000429464456 1046665680 /nfs/dbraw/zinc/66/56/80/1046665680.db2.gz CPEDDBFLFMQZLC-CYBMUJFWSA-N 0 3 301.764 4.249 20 0 DIADHN C[C@H](N[C@@H](CO)[C@@H](C)c1ccccc1)c1ccc(F)cc1Cl ZINC000678591016 1046666162 /nfs/dbraw/zinc/66/61/62/1046666162.db2.gz LZANRLFAKDOQBH-LXIYXOSZSA-N 0 3 321.823 4.294 20 0 DIADHN C[C@@H](NC[C@H]1CCC=CO1)c1ccc(Oc2ccccc2)cn1 ZINC000834773070 1046668281 /nfs/dbraw/zinc/66/82/81/1046668281.db2.gz BFXLYWBGONABME-NVXWUHKLSA-N 0 3 310.397 4.217 20 0 DIADHN Clc1ccc(C2(NC3CCSCC3)CC2)c(Cl)c1 ZINC001255081870 1046668890 /nfs/dbraw/zinc/66/88/90/1046668890.db2.gz BDBRSOHDLCZJBR-UHFFFAOYSA-N 0 3 302.270 4.468 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@H](OC)c1ccccc1Cl ZINC000678596832 1046669276 /nfs/dbraw/zinc/66/92/76/1046669276.db2.gz RPMRDWJJLXCZRH-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnccc1C)c1ccccc1Cl ZINC000678596712 1046669319 /nfs/dbraw/zinc/66/93/19/1046669319.db2.gz LJEWVODDYWQURL-CXAGYDPISA-N 0 3 304.821 4.082 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnccc1C)c1ccccc1Cl ZINC000678596713 1046669457 /nfs/dbraw/zinc/66/94/57/1046669457.db2.gz LJEWVODDYWQURL-DYVFJYSZSA-N 0 3 304.821 4.082 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NCc1c(C)cccc1C)CCO2 ZINC000678598676 1046672070 /nfs/dbraw/zinc/67/20/70/1046672070.db2.gz LETXAVHKMMXANT-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H](C)c2ccc(C)nc2C)n1 ZINC000678598104 1046672618 /nfs/dbraw/zinc/67/26/18/1046672618.db2.gz ALAYGHXWNAAXMB-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CCCN(Cc1sc(N2CCCC2)nc1Cl)[C@@H](C)CC ZINC001140361045 1046672617 /nfs/dbraw/zinc/67/26/17/1046672617.db2.gz WTTQGFRBILMOAA-LBPRGKRZSA-N 0 3 315.914 4.407 20 0 DIADHN CCC[C@@H](N[C@@H]1CCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000678601470 1046674647 /nfs/dbraw/zinc/67/46/47/1046674647.db2.gz XLJLYRROBUWOQP-RTBURBONSA-N 0 3 314.473 4.051 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000678606041 1046676080 /nfs/dbraw/zinc/67/60/80/1046676080.db2.gz DQNVPBGFCRWTDB-IERDGZPVSA-N 0 3 324.468 4.311 20 0 DIADHN OC[C@@H](CC1CC1)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000678608827 1046676930 /nfs/dbraw/zinc/67/69/30/1046676930.db2.gz YRNYGYNRSUIOCW-KOLCDFICSA-N 0 3 320.285 4.183 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)c(C)s1 ZINC000678606907 1046677031 /nfs/dbraw/zinc/67/70/31/1046677031.db2.gz XYVPHDFGSYDLAY-ACJLOTCBSA-N 0 3 300.471 4.202 20 0 DIADHN CCCCCn1cc(CN[C@@H]2CCc3c2cccc3C)c(C)n1 ZINC000678609895 1046678914 /nfs/dbraw/zinc/67/89/14/1046678914.db2.gz AAVZDVCSOVGXFQ-HXUWFJFHSA-N 0 3 311.473 4.467 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)cc1Cl)[C@H](C)C(C)C ZINC000533256161 1046680365 /nfs/dbraw/zinc/68/03/65/1046680365.db2.gz ANHZKPWVJFYTHC-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H](NCc1ccc(F)nc1)c1ccc(Cl)cc1 ZINC000249463314 1046680556 /nfs/dbraw/zinc/68/05/56/1046680556.db2.gz PMYDPNSCAJOZKD-JGFGOQIWSA-N 0 3 304.796 4.361 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCCC4(CC(F)C4)CC3)c2c1 ZINC001137341727 1046682521 /nfs/dbraw/zinc/68/25/21/1046682521.db2.gz YBBSTIIYCOTBTP-UHFFFAOYSA-N 0 3 304.384 4.411 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000678638232 1046684173 /nfs/dbraw/zinc/68/41/73/1046684173.db2.gz HNIHKYDEZHAYFM-QLFBSQMISA-N 0 3 309.400 4.164 20 0 DIADHN CN(Cc1ccc2n[nH]cc2c1)Cc1cccc(C(F)(F)F)c1 ZINC001140387588 1046684399 /nfs/dbraw/zinc/68/43/99/1046684399.db2.gz RMDWNRNNMFTTMH-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CC(C)(C)C)c(Cl)c1 ZINC000533278399 1046684557 /nfs/dbraw/zinc/68/45/57/1046684557.db2.gz WBFSYXSNAFGVOC-ZDUSSCGKSA-N 0 3 310.869 4.262 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1c[nH]c3ccc(F)cc13)CC2 ZINC001137342790 1046685621 /nfs/dbraw/zinc/68/56/21/1046685621.db2.gz MLLPAUUTPFMFSV-UHFFFAOYSA-N 0 3 314.404 4.032 20 0 DIADHN CCN(CC[C@@H](O)c1ccccc1)Cc1ccc(Cl)s1 ZINC000795851218 1046686971 /nfs/dbraw/zinc/68/69/71/1046686971.db2.gz QJPVTQCUVVHUPQ-OAHLLOKOSA-N 0 3 309.862 4.347 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2CN(C)Cc3ccccc32)C2CC2)cc1 ZINC000678695506 1046688971 /nfs/dbraw/zinc/68/89/71/1046688971.db2.gz JRTCVKVCKAUPIS-NHCUHLMSSA-N 0 3 306.453 4.222 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)c(Cl)c2)C2CC2)n[nH]1 ZINC000678689836 1046689044 /nfs/dbraw/zinc/68/90/44/1046689044.db2.gz MXQSCUDYILAJHR-HNNXBMFYSA-N 0 3 310.228 4.266 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000834876564 1046693755 /nfs/dbraw/zinc/69/37/55/1046693755.db2.gz COQVRULDWXPLNT-OAHLLOKOSA-N 0 3 306.331 4.186 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(-c2ccccc2)cc1)c1nccn1C ZINC000678768438 1046696536 /nfs/dbraw/zinc/69/65/36/1046696536.db2.gz ZJHCDQUOEQEBGM-DLBZAZTESA-N 0 3 319.452 4.369 20 0 DIADHN CN(C)c1cccc(CNC2(c3cccc(Cl)c3)CC2)c1 ZINC000678782987 1046699955 /nfs/dbraw/zinc/69/99/55/1046699955.db2.gz IZGSVIMFXNLWJF-UHFFFAOYSA-N 0 3 300.833 4.185 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cnccc2C)cc1Cl ZINC000834887319 1046701426 /nfs/dbraw/zinc/70/14/26/1046701426.db2.gz SAAQHEZQLCMBBL-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN CC(C)N(CC(=O)Nc1ccccc1C(C)(C)C)CC1CC1 ZINC000533357455 1046704842 /nfs/dbraw/zinc/70/48/42/1046704842.db2.gz CZQLFIQQKUNKLH-UHFFFAOYSA-N 0 3 302.462 4.043 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCCOc1c(C)cccc1C ZINC000678804039 1046705363 /nfs/dbraw/zinc/70/53/63/1046705363.db2.gz GAXNKSDLKWIRPR-CQSZACIVSA-N 0 3 302.418 4.028 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N(C)C[C@@H](C)c1ccccc1 ZINC000533400401 1046710627 /nfs/dbraw/zinc/71/06/27/1046710627.db2.gz RPCPJVMFKSBRMG-SJORKVTESA-N 0 3 324.468 4.312 20 0 DIADHN COc1ccccc1[C@@H](O)CN[C@@H](CCC(C)C)c1ccoc1 ZINC000796700881 1046718347 /nfs/dbraw/zinc/71/83/47/1046718347.db2.gz FSBKSFHXMQXOGU-ROUUACIJSA-N 0 3 317.429 4.089 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1Cl)N(C)CC(C)(C)C ZINC000533415710 1046718557 /nfs/dbraw/zinc/71/85/57/1046718557.db2.gz OWOZNTBHQWDHSD-JTQLQIEISA-N 0 3 317.260 4.298 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2ccccc2CO)cc1Cl ZINC000796699940 1046719336 /nfs/dbraw/zinc/71/93/36/1046719336.db2.gz BRVSEDKCOQMEIE-ZDUSSCGKSA-N 0 3 319.832 4.000 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H](C)c2ccc(C)s2)c1 ZINC000796702200 1046721548 /nfs/dbraw/zinc/72/15/48/1046721548.db2.gz KRYRJPPKZGSKNM-MNOVXSKESA-N 0 3 309.456 4.316 20 0 DIADHN CC(C)CC[C@H](NC[C@H](O)c1ccccc1F)c1ccoc1 ZINC000796703784 1046721730 /nfs/dbraw/zinc/72/17/30/1046721730.db2.gz WBBMEGKFBKKFMV-ROUUACIJSA-N 0 3 305.393 4.219 20 0 DIADHN Cc1ccc(Cl)nc1CN(C)CCc1ccc(Cl)cc1 ZINC001140444487 1046725247 /nfs/dbraw/zinc/72/52/47/1046725247.db2.gz VGMWDWQFXFLGDU-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Cc1ccc(C2(C(=O)Nc3ccccc3CN(C)C)CCC2)cc1 ZINC000644572694 1046725504 /nfs/dbraw/zinc/72/55/04/1046725504.db2.gz RCUFJBGUVWLNLV-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccccc1-c1nccs1 ZINC001140449390 1046728012 /nfs/dbraw/zinc/72/80/12/1046728012.db2.gz MUIWDLCRLZBJED-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccccc1-c1nccs1 ZINC001140449382 1046728633 /nfs/dbraw/zinc/72/86/33/1046728633.db2.gz MHDNBKNJQRXCIM-UHFFFAOYSA-N 0 3 310.422 4.148 20 0 DIADHN CC(C)O[C@@H](CN1CCOc2ccccc2C1)c1ccccc1 ZINC000644658322 1046730764 /nfs/dbraw/zinc/73/07/64/1046730764.db2.gz QPGFMJBEWOOXFO-FQEVSTJZSA-N 0 3 311.425 4.047 20 0 DIADHN COC(=O)c1ccc(CNC2(c3ccc(C)cc3)CCC2)cc1C ZINC001203038635 1046733526 /nfs/dbraw/zinc/73/35/26/1046733526.db2.gz NKFJLJCSGJNIAM-UHFFFAOYSA-N 0 3 323.436 4.259 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccccc3)[C@@H]2C)nc2ccccc12 ZINC000533484584 1046736243 /nfs/dbraw/zinc/73/62/43/1046736243.db2.gz PZQKWOXOAGTJAS-LPHOPBHVSA-N 0 3 317.436 4.316 20 0 DIADHN CCc1nc([C@@H](C)NC[C@H](C)c2ccc(OC)cc2)cs1 ZINC000678930652 1046736496 /nfs/dbraw/zinc/73/64/96/1046736496.db2.gz AONDKOWFILLHNP-QWHCGFSZSA-N 0 3 304.459 4.168 20 0 DIADHN CCc1nc([C@@H](C)NC[C@@H](C)c2ccc(OC)cc2)cs1 ZINC000678930650 1046737012 /nfs/dbraw/zinc/73/70/12/1046737012.db2.gz AONDKOWFILLHNP-CHWSQXEVSA-N 0 3 304.459 4.168 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](c1ccccc1)C1CCC1 ZINC000678930683 1046737244 /nfs/dbraw/zinc/73/72/44/1046737244.db2.gz KRCUGGPTWHEFFQ-HXUWFJFHSA-N 0 3 311.473 4.176 20 0 DIADHN CCc1nc([C@H](C)NC[C@H](C)c2ccc(OC)cc2)cs1 ZINC000678930653 1046737307 /nfs/dbraw/zinc/73/73/07/1046737307.db2.gz AONDKOWFILLHNP-STQMWFEESA-N 0 3 304.459 4.168 20 0 DIADHN C[C@H](NCc1ccc(N(C)C)nc1)c1cc2ccccc2s1 ZINC000678932252 1046738685 /nfs/dbraw/zinc/73/86/85/1046738685.db2.gz SQWBZCNUXDFPPO-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@@H]1SCC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001203039765 1046738950 /nfs/dbraw/zinc/73/89/50/1046738950.db2.gz UJBQZSPITPZBGT-QPUJVOFHSA-N 0 3 309.784 4.342 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000533498939 1046741345 /nfs/dbraw/zinc/74/13/45/1046741345.db2.gz AZTJWRAKTXZXEE-MORSLUCNSA-N 0 3 301.817 4.196 20 0 DIADHN COc1ccccc1OCCCN[C@H](C)c1cccc(F)c1F ZINC000678946309 1046742813 /nfs/dbraw/zinc/74/28/13/1046742813.db2.gz UQBXGUCOIAASTC-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cccc(F)c1F)c1ccc(F)cc1 ZINC000678945948 1046743062 /nfs/dbraw/zinc/74/30/62/1046743062.db2.gz SZCIQPGHRBSINH-MEDUHNTESA-N 0 3 309.331 4.142 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccccc2OC(F)F)C1 ZINC000533538495 1046745377 /nfs/dbraw/zinc/74/53/77/1046745377.db2.gz YNPBIRVRQYUGOR-CYBMUJFWSA-N 0 3 301.402 4.006 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnn(CC(F)F)c2)cc1 ZINC000644885106 1046745393 /nfs/dbraw/zinc/74/53/93/1046745393.db2.gz CCPXSOUAPGRBLM-UHFFFAOYSA-N 0 3 321.415 4.297 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2)cc1OCC1CC1 ZINC001140486867 1046747435 /nfs/dbraw/zinc/74/74/35/1046747435.db2.gz CZGJGZYFPBDPJT-UHFFFAOYSA-N 0 3 311.425 4.116 20 0 DIADHN CC[C@@H](Nc1ccc(N2CCN(C)CC2)cc1)c1cccc(C)c1 ZINC000796896807 1046755214 /nfs/dbraw/zinc/75/52/14/1046755214.db2.gz AXRZWOGZGJYVBZ-OAQYLSRUSA-N 0 3 323.484 4.310 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H](C)[C@@H]2CCCCO2)o1 ZINC000679028958 1046760653 /nfs/dbraw/zinc/76/06/53/1046760653.db2.gz DJCIMWLLRBFTQT-KSSFIOAISA-N 0 3 317.404 4.441 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccccc2)C(C)(C)C)s1 ZINC001140517948 1046762349 /nfs/dbraw/zinc/76/23/49/1046762349.db2.gz RGRZIIKRJNUVKC-UHFFFAOYSA-N 0 3 317.454 4.335 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H](C)C1CCCCC1 ZINC000645076306 1046762759 /nfs/dbraw/zinc/76/27/59/1046762759.db2.gz KNWZJWBFYWBVPF-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1ccc(O)c(C(C)=O)c1 ZINC001211996176 1046763576 /nfs/dbraw/zinc/76/35/76/1046763576.db2.gz UZKGBNZEQWBEDB-UHFFFAOYSA-N 0 3 312.413 4.180 20 0 DIADHN CC(C)[C@@H](NC[C@H](C)C1CCCCC1)c1nc(C2CC2)no1 ZINC000645113501 1046766768 /nfs/dbraw/zinc/76/67/68/1046766768.db2.gz ZEHZCPIWQLSDCL-XJKSGUPXSA-N 0 3 305.466 4.450 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)C1CCCCC1)c1nc(C2CC2)no1 ZINC000645113500 1046767743 /nfs/dbraw/zinc/76/77/43/1046767743.db2.gz ZEHZCPIWQLSDCL-CZUORRHYSA-N 0 3 305.466 4.450 20 0 DIADHN C[C@H](NC1(CCOCc2ccccc2)CC1)c1ccc(F)cn1 ZINC000679087271 1046771783 /nfs/dbraw/zinc/77/17/83/1046771783.db2.gz UXISKWSOSFMTIR-HNNXBMFYSA-N 0 3 314.404 4.011 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(F)cc(Cl)c3)C2)cc1 ZINC001140542111 1046775115 /nfs/dbraw/zinc/77/51/15/1046775115.db2.gz BTHMQXUYKFVAOK-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN Cc1ccccc1-c1noc(CN2CC(C)(C)[C@H]3CCC[C@H]32)n1 ZINC000645249271 1046782240 /nfs/dbraw/zinc/78/22/40/1046782240.db2.gz NNWYIMGHFHLKSV-JKSUJKDBSA-N 0 3 311.429 4.056 20 0 DIADHN CCOC[C@@]12CCC[C@@H]1CN(Cc1cccc(F)c1Cl)C2 ZINC001140561047 1046783049 /nfs/dbraw/zinc/78/30/49/1046783049.db2.gz ODQAXGCPJONKLT-PBHICJAKSA-N 0 3 311.828 4.118 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cccc(F)c3Cl)C2)cc1 ZINC001140568110 1046786897 /nfs/dbraw/zinc/78/68/97/1046786897.db2.gz QTJJEEQIKYPDAR-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc(C2=CCN(Cc3cc(F)ccc3C)CC2)cc1 ZINC001140592326 1046800438 /nfs/dbraw/zinc/80/04/38/1046800438.db2.gz GUWDBLLUPFGUSA-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN [O-]c1ccc(Nc2ccc(CC[NH+]3CCCC3)cc2)c(F)c1F ZINC001212008743 1046816474 /nfs/dbraw/zinc/81/64/74/1046816474.db2.gz BBWMCQSGIGJRNI-UHFFFAOYSA-N 0 3 318.367 4.052 20 0 DIADHN CCCCC1CCN(Cc2ccc(OC(F)F)c(O)c2)CC1 ZINC001140626169 1046818128 /nfs/dbraw/zinc/81/81/28/1046818128.db2.gz RFIGSULQOYRMPP-UHFFFAOYSA-N 0 3 313.388 4.396 20 0 DIADHN CSc1ncc(Cl)c(CN[C@H]2C[C@H](C)CC(C)(C)C2)n1 ZINC001258691285 1046818491 /nfs/dbraw/zinc/81/84/91/1046818491.db2.gz ZOKAOFQVKMGLIL-QWRGUYRKSA-N 0 3 313.898 4.156 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCc2c(F)cccc2F)n1 ZINC000645816146 1046820687 /nfs/dbraw/zinc/82/06/87/1046820687.db2.gz UCZOIIQUTMKYKR-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)(F)c1csc([C@H]2CCCN(CC3=CCCC3)C2)n1 ZINC000645837570 1046824106 /nfs/dbraw/zinc/82/41/06/1046824106.db2.gz MKTYMZDNZUIAAX-LBPRGKRZSA-N 0 3 316.392 4.452 20 0 DIADHN CC(C)(CO)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000679357137 1046825276 /nfs/dbraw/zinc/82/52/76/1046825276.db2.gz XHGMKURSIOMXGY-QGZVFWFLSA-N 0 3 321.823 4.177 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc2ccncc21 ZINC001140644282 1046830566 /nfs/dbraw/zinc/83/05/66/1046830566.db2.gz GVTCYUHWCATIKK-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN c1cncc([C@@H]2CCCCN2Cc2cccc3ccncc32)c1 ZINC001140644877 1046831822 /nfs/dbraw/zinc/83/18/22/1046831822.db2.gz DUXNZHDSOYWRCY-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN FC(F)(F)Oc1cccc([C@H](NC/C=C\Cl)C2CC2)c1 ZINC000796291835 1046833525 /nfs/dbraw/zinc/83/35/25/1046833525.db2.gz VNBGTOFNUXNHFP-WPRPQAPASA-N 0 3 305.727 4.378 20 0 DIADHN Cc1ccc(C)c(OC2CCN(Cc3ccc(O)cc3)CC2)c1 ZINC000679395999 1046835152 /nfs/dbraw/zinc/83/51/52/1046835152.db2.gz LMZPJZPQMOIHFB-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1cc(CNC(C)(C)C(=O)Nc2ccccc2)ccc1Cl ZINC000679479420 1046846037 /nfs/dbraw/zinc/84/60/37/1046846037.db2.gz HIZBJTZPSAPOPZ-UHFFFAOYSA-N 0 3 316.832 4.155 20 0 DIADHN Clc1cccc(C2(NCc3cnc4ccccn34)CCC2)c1 ZINC000646095021 1046851945 /nfs/dbraw/zinc/85/19/45/1046851945.db2.gz BKZSGNQNFWKNPJ-UHFFFAOYSA-N 0 3 311.816 4.157 20 0 DIADHN CN(Cc1ccc(C(C)(C)C)[nH]1)Cc1cccc2cccnc21 ZINC001137376958 1046852056 /nfs/dbraw/zinc/85/20/56/1046852056.db2.gz XKVXKUUNRSWUBF-UHFFFAOYSA-N 0 3 307.441 4.492 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C(C)(C)C)c(O)c(C(C)(C)C)c2)C1 ZINC001140693949 1046853356 /nfs/dbraw/zinc/85/33/56/1046853356.db2.gz NBENNPFJVCTLCQ-OAHLLOKOSA-N 0 3 319.489 4.208 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC2(C[C@@H]1C)CCOCC2 ZINC000646099607 1046857836 /nfs/dbraw/zinc/85/78/36/1046857836.db2.gz ADLCANVYEGUFAK-QWHCGFSZSA-N 0 3 311.828 4.431 20 0 DIADHN Cc1cc(CN2CC[C@@H](Cc3ccccc3)C2)cc(Cl)n1 ZINC000797589582 1046859583 /nfs/dbraw/zinc/85/95/83/1046859583.db2.gz AOUJZXPYEKYMJW-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN CN1CCc2ccc(Nc3cccc(OC(F)F)c3)cc2C1 ZINC001212065966 1046860467 /nfs/dbraw/zinc/86/04/67/1046860467.db2.gz GJGGHAXNMWKDJZ-UHFFFAOYSA-N 0 3 304.340 4.020 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cccc3Cl)cc(Cl)n1 ZINC000797597334 1046861815 /nfs/dbraw/zinc/86/18/15/1046861815.db2.gz CSIYJWGUZXWWBW-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1cc2ccccc2[nH]c1=O ZINC000679541786 1046863358 /nfs/dbraw/zinc/86/33/58/1046863358.db2.gz LXPQCGSMCBTKRP-RISCZKNCSA-N 0 3 324.346 4.103 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1CCSc1ccccc1 ZINC000679544160 1046863417 /nfs/dbraw/zinc/86/34/17/1046863417.db2.gz GQIQNAKAFAYBRG-STQMWFEESA-N 0 3 303.393 4.442 20 0 DIADHN CC[C@@H](NCc1cc(C)nc(Cl)c1)c1ccc(OC)cc1 ZINC000797594005 1046863579 /nfs/dbraw/zinc/86/35/79/1046863579.db2.gz AAHOQUQVECDAPF-MRXNPFEDSA-N 0 3 304.821 4.293 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc(-n2cccn2)cc1 ZINC000679544697 1046865071 /nfs/dbraw/zinc/86/50/71/1046865071.db2.gz HLIKLFVUZDQIHK-ZFWWWQNUSA-N 0 3 323.362 4.035 20 0 DIADHN CCCCN(Cc1ncc(C)s1)[C@@H]1CCc2ncsc2C1 ZINC000646129950 1046866353 /nfs/dbraw/zinc/86/63/53/1046866353.db2.gz HSYVZLXNQYPCGQ-CYBMUJFWSA-N 0 3 321.515 4.068 20 0 DIADHN FC(F)(F)c1ccccc1OC1CCN(Cc2cc[nH]c2)CC1 ZINC001140706476 1046867269 /nfs/dbraw/zinc/86/72/69/1046867269.db2.gz RCIMIXNXUZFHAU-UHFFFAOYSA-N 0 3 324.346 4.077 20 0 DIADHN FC(F)(F)c1ccc(OC2CCN(Cc3cc[nH]c3)CC2)cc1 ZINC001140706592 1046867963 /nfs/dbraw/zinc/86/79/63/1046867963.db2.gz VCROGGNGSGPRAY-UHFFFAOYSA-N 0 3 324.346 4.077 20 0 DIADHN c1ccc(Oc2ccc(CN3CCCC[C@@H]3C3CCC3)nn2)cc1 ZINC000646139494 1046868527 /nfs/dbraw/zinc/86/85/27/1046868527.db2.gz WDBCAFLTKFMANT-LJQANCHMSA-N 0 3 323.440 4.424 20 0 DIADHN CC(=O)Nc1cccc(CN2CCCCC[C@@H]2c2ccco2)c1 ZINC000076804516 1046869552 /nfs/dbraw/zinc/86/95/52/1046869552.db2.gz XYXGQMBBIWIFQU-GOSISDBHSA-N 0 3 312.413 4.355 20 0 DIADHN CSCc1cccc(CNCc2cc(C)nc(Cl)c2)c1 ZINC000797603685 1046869627 /nfs/dbraw/zinc/86/96/27/1046869627.db2.gz UKOGQHFSUILNCJ-UHFFFAOYSA-N 0 3 306.862 4.196 20 0 DIADHN Nc1ccc(Cl)cc1CN1CCC(c2ccc(O)cc2)CC1 ZINC001140717768 1046872586 /nfs/dbraw/zinc/87/25/86/1046872586.db2.gz NCEZNVHZVCBGJH-UHFFFAOYSA-N 0 3 316.832 4.007 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1Cc2ccccc2[C@H](C)C1 ZINC001139675855 1046875025 /nfs/dbraw/zinc/87/50/25/1046875025.db2.gz ZGKAPVPUGNCBRW-OAHLLOKOSA-N 0 3 305.425 4.070 20 0 DIADHN Clc1ncc2ccccc2c1CN1CC[C@H](c2cccnc2)C1 ZINC001140721827 1046874933 /nfs/dbraw/zinc/87/49/33/1046874933.db2.gz ANJCFRBRGSEVKT-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@H](NCC1(C2(O)CCC2)CC1)c1ccc(-c2ccccc2)cn1 ZINC000897527912 1046877730 /nfs/dbraw/zinc/87/77/30/1046877730.db2.gz SZCQLIIKWBNQHU-INIZCTEOSA-N 0 3 322.452 4.094 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1cccc(-n2cccn2)c1 ZINC000679584453 1046879706 /nfs/dbraw/zinc/87/97/06/1046879706.db2.gz PGYBMCZHURSVFP-ZFWWWQNUSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@H](NC1CSC1)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000679626090 1046886109 /nfs/dbraw/zinc/88/61/09/1046886109.db2.gz RDCFIHQMDWVOKH-ZDUSSCGKSA-N 0 3 317.429 4.171 20 0 DIADHN CC(C)(C)Oc1ccc(CNC2CSC2)c(C(F)(F)F)c1 ZINC000679626372 1046887510 /nfs/dbraw/zinc/88/75/10/1046887510.db2.gz VWWHSKGFSGADIF-UHFFFAOYSA-N 0 3 319.392 4.088 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cc(Br)ccn1 ZINC001140766225 1046888315 /nfs/dbraw/zinc/88/83/15/1046888315.db2.gz DEKMUWZWQYYOGQ-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN Clc1ccc(C2=CCN([C@@H]3CCCOC3)CC2)cc1Cl ZINC000679674666 1046890568 /nfs/dbraw/zinc/89/05/68/1046890568.db2.gz RWYYMRKISJXLJG-CQSZACIVSA-N 0 3 312.240 4.262 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccc(F)cc3C)CC2)cc1 ZINC001140781821 1046896043 /nfs/dbraw/zinc/89/60/43/1046896043.db2.gz ZQKOQZJNVSSPNN-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN CC[C@H](NCc1ccc(C)nc1N1CCCC1)c1ccccc1 ZINC000679722812 1046896096 /nfs/dbraw/zinc/89/60/96/1046896096.db2.gz GXUJEOLWCIFMTP-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(C)c2C)c(N2CCCC2)n1 ZINC000679723901 1046896319 /nfs/dbraw/zinc/89/63/19/1046896319.db2.gz TWVPZYGEGMWMGB-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(C)cc1Cl ZINC001140800390 1046903645 /nfs/dbraw/zinc/90/36/45/1046903645.db2.gz FIGNLXOEZJPIRO-UHFFFAOYSA-N 0 3 302.849 4.498 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@H](c1ccccc1)C(C)C ZINC000679835224 1046906289 /nfs/dbraw/zinc/90/62/89/1046906289.db2.gz GTLDCPZJFRWQDA-FQEVSTJZSA-N 0 3 305.425 4.425 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3c(s2)CCCC3)c(C)n1 ZINC000678413715 1046911397 /nfs/dbraw/zinc/91/13/97/1046911397.db2.gz KYLXOTLYMSUNNA-CYBMUJFWSA-N 0 3 300.471 4.490 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@H](C)c3cnccc3C)cc2)n1 ZINC000679943866 1046915771 /nfs/dbraw/zinc/91/57/71/1046915771.db2.gz GPTHCRGRDUAPTL-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc3c(c2)OCCCO3)c1C ZINC000161841005 1046916133 /nfs/dbraw/zinc/91/61/33/1046916133.db2.gz RDWIQRMBYYBWOW-INIZCTEOSA-N 0 3 311.425 4.316 20 0 DIADHN c1nn(-c2ccccc2)cc1CN1CCC[C@H]1c1ccccc1 ZINC000253599558 1046922930 /nfs/dbraw/zinc/92/29/30/1046922930.db2.gz NRFCVDHFPWPSEJ-FQEVSTJZSA-N 0 3 303.409 4.209 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@@H](Cc3ccncc3)C2)c1 ZINC000680002309 1046925787 /nfs/dbraw/zinc/92/57/87/1046925787.db2.gz ULIVLPNRRKKRGR-INIZCTEOSA-N 0 3 320.358 4.165 20 0 DIADHN Fc1cc(CN2C[C@@H]3CCC[C@@H]3C2)cc(F)c1C(F)(F)F ZINC001140877474 1046938340 /nfs/dbraw/zinc/93/83/40/1046938340.db2.gz ARSGVIUDHJYQBQ-PHIMTYICSA-N 0 3 305.290 4.216 20 0 DIADHN Nc1cccc(F)c1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001140875534 1046938474 /nfs/dbraw/zinc/93/84/74/1046938474.db2.gz QNECGHZFNQSHRL-LBPRGKRZSA-N 0 3 304.796 4.051 20 0 DIADHN COc1ccc(CNCCSCc2ccccc2)c(Cl)c1 ZINC000798229203 1046939082 /nfs/dbraw/zinc/93/90/82/1046939082.db2.gz GXVLTASKJKJQDA-UHFFFAOYSA-N 0 3 321.873 4.372 20 0 DIADHN Nc1cccc(F)c1CN1CCC(n2ccc3ccccc32)CC1 ZINC001140877655 1046939077 /nfs/dbraw/zinc/93/90/77/1046939077.db2.gz SLDIEFIBYDZFLZ-UHFFFAOYSA-N 0 3 323.415 4.200 20 0 DIADHN Nc1cccc(F)c1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001140875533 1046939895 /nfs/dbraw/zinc/93/98/95/1046939895.db2.gz QNECGHZFNQSHRL-GFCCVEGCSA-N 0 3 304.796 4.051 20 0 DIADHN Fc1cc(CN2CCC3(CC3)CC2)ccc1OC(F)(F)F ZINC001140885573 1046941658 /nfs/dbraw/zinc/94/16/58/1046941658.db2.gz DOAFILWRRSVFNZ-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc([O-])c(F)c2F)c1 ZINC001140889818 1046943299 /nfs/dbraw/zinc/94/32/99/1046943299.db2.gz LRHKAKBQCXPWPI-OAHLLOKOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc(O)c(F)c2F)c1 ZINC001140889818 1046943313 /nfs/dbraw/zinc/94/33/13/1046943313.db2.gz LRHKAKBQCXPWPI-OAHLLOKOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccc([O-])c(F)c1F ZINC001140887947 1046943543 /nfs/dbraw/zinc/94/35/43/1046943543.db2.gz JVQJKNXIQFZHNE-HNNXBMFYSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ccc([O-])c(F)c1F ZINC001140887947 1046943548 /nfs/dbraw/zinc/94/35/48/1046943548.db2.gz JVQJKNXIQFZHNE-HNNXBMFYSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1ccc([O-])c(F)c1F ZINC001140887947 1046943555 /nfs/dbraw/zinc/94/35/55/1046943555.db2.gz JVQJKNXIQFZHNE-HNNXBMFYSA-N 0 3 303.352 4.316 20 0 DIADHN Fc1cc(CN2CCC3(CCC3)C2)ccc1OC(F)(F)F ZINC001140887318 1046943791 /nfs/dbraw/zinc/94/37/91/1046943791.db2.gz ZUNFPQULZVTGPV-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1CCCc1ccccc1Cl ZINC000680060303 1046945212 /nfs/dbraw/zinc/94/52/12/1046945212.db2.gz FOILYNIDGJEAKW-SFHVURJKSA-N 0 3 317.864 4.152 20 0 DIADHN FC(F)Oc1ccc(CN2C3CCC2CC3)cc1OCC1CC1 ZINC001140904603 1046946663 /nfs/dbraw/zinc/94/66/63/1046946663.db2.gz DMNWLNNOFJSGID-UHFFFAOYSA-N 0 3 323.383 4.204 20 0 DIADHN CC(C)Cn1nccc1CN[C@@H](c1ccc(F)cc1)C(C)C ZINC000647168583 1046947386 /nfs/dbraw/zinc/94/73/86/1046947386.db2.gz BUESXVBEVIJVGR-GOSISDBHSA-N 0 3 303.425 4.165 20 0 DIADHN COc1ccc([C@H](NCc2ccnn2CC(C)C)C(C)C)cc1 ZINC000647168771 1046947761 /nfs/dbraw/zinc/94/77/61/1046947761.db2.gz LFMQCRKYPRXQRO-LJQANCHMSA-N 0 3 315.461 4.035 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(CCCCC(F)(F)F)C2)c1 ZINC000680109549 1046948530 /nfs/dbraw/zinc/94/85/30/1046948530.db2.gz IXPSCQSYVKOIIG-CQSZACIVSA-N 0 3 305.315 4.011 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CC[C@@H](C(C)(C)C)C1 ZINC000680112295 1046948701 /nfs/dbraw/zinc/94/87/01/1046948701.db2.gz SLQITLQGEOEVDQ-OAHLLOKOSA-N 0 3 302.462 4.117 20 0 DIADHN Cc1nccnc1CN1CCC(CCCc2ccccc2)CC1 ZINC001140935729 1046953931 /nfs/dbraw/zinc/95/39/31/1046953931.db2.gz ZQIRWLQJSWBXRV-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2ccc(Cl)nc2)C1 ZINC000303740210 1046955397 /nfs/dbraw/zinc/95/53/97/1046955397.db2.gz IFFVNWHGGSRFNL-HOCLYGCPSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H](C)CC(F)F)cs2)cc1 ZINC000647225962 1046955837 /nfs/dbraw/zinc/95/58/37/1046955837.db2.gz KSWCVVNQFCXRTD-GFCCVEGCSA-N 0 3 310.413 4.499 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1cccn1-c1ccc(Cl)cc1 ZINC001140941331 1046956534 /nfs/dbraw/zinc/95/65/34/1046956534.db2.gz GJSMKOJUJNIRKI-ZLIFDBKOSA-N 0 3 304.796 4.063 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccc(F)cc2)n1)CC(F)F ZINC000647225588 1046957638 /nfs/dbraw/zinc/95/76/38/1046957638.db2.gz OFSGHEGQXOIJKM-SNVBAGLBSA-N 0 3 314.376 4.330 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2ccc(C)nc2C)c1 ZINC000615095115 1046960361 /nfs/dbraw/zinc/96/03/61/1046960361.db2.gz VOTHMAHNLBODGY-CQSZACIVSA-N 0 3 300.471 4.412 20 0 DIADHN Cc1ccc(C2(NCc3cnc4cccc(C)n34)CCC2)cc1 ZINC000647255330 1046962217 /nfs/dbraw/zinc/96/22/17/1046962217.db2.gz UHWRJSAHTTWXCC-UHFFFAOYSA-N 0 3 305.425 4.120 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3CCS[C@@H]3C)cs2)cc1 ZINC000647258217 1046962678 /nfs/dbraw/zinc/96/26/78/1046962678.db2.gz XCVXUMQMPJRVAV-IUODEOHRSA-N 0 3 304.484 4.102 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2n[nH]c3ccccc32)c1 ZINC000680332800 1046964730 /nfs/dbraw/zinc/96/47/30/1046964730.db2.gz CTLIFISIRRZENS-XOBRGWDASA-N 0 3 321.424 4.155 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1CN1CCC[C@H](C(F)F)C1 ZINC001140963866 1046964844 /nfs/dbraw/zinc/96/48/44/1046964844.db2.gz KFHFSKDYZIIQOC-LBPRGKRZSA-N 0 3 323.305 4.371 20 0 DIADHN CC(C)COC[C@H](NC1CCC(F)(F)CC1)c1ccco1 ZINC000647287220 1046967054 /nfs/dbraw/zinc/96/70/54/1046967054.db2.gz UJOPSWWXMNQPCW-AWEZNQCLSA-N 0 3 301.377 4.161 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cn1)CC(F)F ZINC000647318973 1046974693 /nfs/dbraw/zinc/97/46/93/1046974693.db2.gz HFFRPIKRUGALIG-SJKOYZFVSA-N 0 3 308.347 4.191 20 0 DIADHN CN(CCOc1ccccc1)Cc1ccc(-c2ccccn2)s1 ZINC001141001218 1046974969 /nfs/dbraw/zinc/97/49/69/1046974969.db2.gz ZPFZEBFRUQIIRV-UHFFFAOYSA-N 0 3 324.449 4.321 20 0 DIADHN Cc1ccccc1C1(N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)CC1 ZINC000647316412 1046975749 /nfs/dbraw/zinc/97/57/49/1046975749.db2.gz HEDDZMITNAMVPT-ZDUSSCGKSA-N 0 3 308.381 4.182 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCOc1ccc(C(C)C)c(C)c1 ZINC000680382194 1046976972 /nfs/dbraw/zinc/97/69/72/1046976972.db2.gz GMZRBOTVLVNZFQ-AWEZNQCLSA-N 0 3 316.445 4.453 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCOc1ccc(C(C)C)c(C)c1 ZINC000680382195 1046977170 /nfs/dbraw/zinc/97/71/70/1046977170.db2.gz GMZRBOTVLVNZFQ-CQSZACIVSA-N 0 3 316.445 4.453 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCOc1cccc2ccccc21 ZINC000680380894 1046977944 /nfs/dbraw/zinc/97/79/44/1046977944.db2.gz JBZJMASNUZYZKM-ZDUSSCGKSA-N 0 3 310.397 4.174 20 0 DIADHN COc1ccccc1C1(N[C@@H](C)[C@H](OC)c2ccccc2)CC1 ZINC000647329135 1046978596 /nfs/dbraw/zinc/97/85/96/1046978596.db2.gz HLTHWEFGMICAGD-KXBFYZLASA-N 0 3 311.425 4.050 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(C)cc2Cl)s1 ZINC000680410304 1046980682 /nfs/dbraw/zinc/98/06/82/1046980682.db2.gz GPEUKVNUXWCQIG-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc3cccc(O)c3n2)cc1 ZINC001141022589 1046981856 /nfs/dbraw/zinc/98/18/56/1046981856.db2.gz XMVOUXJJVMWYTB-UHFFFAOYSA-N 0 3 306.409 4.135 20 0 DIADHN Cc1sc([C@H](C)NC[C@H](C)CO)nc1-c1ccc(Cl)cc1 ZINC000680425615 1046982965 /nfs/dbraw/zinc/98/29/65/1046982965.db2.gz NFZWMYONQLXIGS-QWRGUYRKSA-N 0 3 324.877 4.051 20 0 DIADHN Oc1cccc2ccc(CN3CCC4(CC[C@H](F)C4)CC3)nc12 ZINC001141024433 1046983096 /nfs/dbraw/zinc/98/30/96/1046983096.db2.gz QIOXTFRIISXBGD-HNNXBMFYSA-N 0 3 314.404 4.045 20 0 DIADHN CC[C@H](NCCc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000680460415 1046984338 /nfs/dbraw/zinc/98/43/38/1046984338.db2.gz ZHKHEPVGDMVUJV-AWEZNQCLSA-N 0 3 312.244 4.010 20 0 DIADHN CCn1cc(CN[C@H](C)c2ccc(-c3ccccc3)s2)cn1 ZINC000680466104 1046984529 /nfs/dbraw/zinc/98/45/29/1046984529.db2.gz ZEKUQXYTECOYOA-CQSZACIVSA-N 0 3 311.454 4.482 20 0 DIADHN Cc1ccncc1CN1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001141036673 1046987270 /nfs/dbraw/zinc/98/72/70/1046987270.db2.gz DORHREWIVJTLQF-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)OCCO3)cc1 ZINC001141040245 1046988714 /nfs/dbraw/zinc/98/87/14/1046988714.db2.gz AKSJOXTYQUGAPM-SFHVURJKSA-N 0 3 309.409 4.103 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccn2ccnc2c1 ZINC001141046642 1046989837 /nfs/dbraw/zinc/98/98/37/1046989837.db2.gz JNZSCIBSEARIRH-KRWDZBQOSA-N 0 3 323.465 4.393 20 0 DIADHN Cc1ncoc1CN(C[C@@H](O)c1ccc2ccccc2c1)C(C)C ZINC001141053897 1046992060 /nfs/dbraw/zinc/99/20/60/1046992060.db2.gz RNUJDKNMAUJEFX-LJQANCHMSA-N 0 3 324.424 4.080 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@H](c2ccccn2)C1 ZINC000798963465 1046992789 /nfs/dbraw/zinc/99/27/89/1046992789.db2.gz CCNMBRMCQGFNEM-MRXNPFEDSA-N 0 3 320.392 4.456 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CCS[C@H]2C)c2cccnc2)ccc1F ZINC000647359890 1046992998 /nfs/dbraw/zinc/99/29/98/1046992998.db2.gz MOEKBJNPMCAHKH-VHSSKADRSA-N 0 3 316.445 4.102 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H](C[C@@H]4CCOC4)C3)c2c1 ZINC000680623432 1046995297 /nfs/dbraw/zinc/99/52/97/1046995297.db2.gz RDQYBUFMOCYIOP-IRXDYDNUSA-N 0 3 310.441 4.186 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@H](c3ccccn3)C2)c1 ZINC000798964179 1046995588 /nfs/dbraw/zinc/99/55/88/1046995588.db2.gz NFSSHBOSXYROCH-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN CCCCc1nc(CN2CCC3(CCc4ccccc43)CC2)c[nH]1 ZINC001141067385 1046995546 /nfs/dbraw/zinc/99/55/46/1046995546.db2.gz ACPKFFUHHZHTLA-UHFFFAOYSA-N 0 3 323.484 4.232 20 0 DIADHN CCCCc1ncc(CN(CC)Cc2cccc(Cl)c2)[nH]1 ZINC001141071342 1046999030 /nfs/dbraw/zinc/99/90/30/1046999030.db2.gz KXYBCAGBARLWGJ-UHFFFAOYSA-N 0 3 305.853 4.428 20 0 DIADHN CCCN(Cc1cnc(Cl)cn1)[C@H](CC)c1ccccc1 ZINC000799117397 1046999909 /nfs/dbraw/zinc/99/99/09/1046999909.db2.gz KTQPGDMAHANBMU-MRXNPFEDSA-N 0 3 303.837 4.493 20 0 DIADHN Cc1cc(CN2CCC[C@]3(CCc4c3cccc4F)C2)ccn1 ZINC001137419932 1046999869 /nfs/dbraw/zinc/99/98/69/1046999869.db2.gz NJDUJBJFUDTHIQ-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN C[C@@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)CC1 ZINC001141081722 1046999848 /nfs/dbraw/zinc/99/98/48/1046999848.db2.gz LOGSAOFIJFAFIM-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2c3cccc(F)c3CC[C@H]2C)c(C)n1 ZINC000269097752 1047001913 /nfs/dbraw/zinc/00/19/13/1047001913.db2.gz SGEJBRTXDXGKJZ-RGIROJJOSA-N 0 3 313.420 4.207 20 0 DIADHN CN(Cc1ccncc1NC(=O)OC(C)(C)C)CC1CCCC1 ZINC001141086723 1047003880 /nfs/dbraw/zinc/00/38/80/1047003880.db2.gz KUYZYGYGRGHRHT-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@H](c2ccccn2)C1 ZINC000799190952 1047010156 /nfs/dbraw/zinc/01/01/56/1047010156.db2.gz VTPQRNGBVAAIGJ-UGSOOPFHSA-N 0 3 302.368 4.300 20 0 DIADHN O=c1[nH]ccc2c1CCN(Cc1ccccc1-c1cccs1)C2 ZINC001141096518 1047011437 /nfs/dbraw/zinc/01/14/37/1047011437.db2.gz HJLRUHXYKUKLQZ-UHFFFAOYSA-N 0 3 322.433 4.074 20 0 DIADHN CC(C)(C)c1cccc(CN2C[C@H]3c4ccccc4C(=O)[C@H]3C2)c1 ZINC001141102973 1047012122 /nfs/dbraw/zinc/01/21/22/1047012122.db2.gz XFFLVAXQYPYHGO-PMACEKPBSA-N 0 3 319.448 4.396 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2cccc(CO)c2)cc1 ZINC000533784117 1047018719 /nfs/dbraw/zinc/01/87/19/1047018719.db2.gz DLLIPXOSNGAFHV-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@@]4(CC4(F)F)C3)ccn2)cc1 ZINC001141118348 1047020554 /nfs/dbraw/zinc/02/05/54/1047020554.db2.gz VARSFNGFBBBNGI-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC(C(F)F)CC3)ccn2)cc1 ZINC001141117909 1047021320 /nfs/dbraw/zinc/02/13/20/1047021320.db2.gz BWRGUMAOKRHMNB-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@@H](c2ccccn2)C1 ZINC000647951093 1047022768 /nfs/dbraw/zinc/02/27/68/1047022768.db2.gz LVLJJVLNEDYGJY-OAHLLOKOSA-N 0 3 316.445 4.192 20 0 DIADHN COc1ccc2nccc(CN3CCc4ccccc4[C@@H]3C)c2c1 ZINC001141147076 1047026238 /nfs/dbraw/zinc/02/62/38/1047026238.db2.gz QNOMVQJVSSOJSN-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN COc1ccc2nccc(CN3CCC[C@H]4CCCC[C@H]43)c2c1 ZINC001141151101 1047026616 /nfs/dbraw/zinc/02/66/16/1047026616.db2.gz WJXIRBWCAMPIFZ-FOIQADDNSA-N 0 3 310.441 4.398 20 0 DIADHN CCN(Cc1cccs1)Cc1ccnc2ccc(OC)cc12 ZINC001141151415 1047027401 /nfs/dbraw/zinc/02/74/01/1047027401.db2.gz KODNYOYEZQXKDH-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN CCOc1cc(CNC2(c3cccc(F)c3)CCC2)ccc1O ZINC000648055909 1047031321 /nfs/dbraw/zinc/03/13/21/1047031321.db2.gz FCGYRDAGIMDXDK-UHFFFAOYSA-N 0 3 315.388 4.099 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CC=CC2)cs1 ZINC000648069022 1047033662 /nfs/dbraw/zinc/03/36/62/1047033662.db2.gz MBKRILSZSCDKMX-GOSISDBHSA-N 0 3 313.466 4.216 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCCC[C@H]1C1CCC1 ZINC000648077651 1047036782 /nfs/dbraw/zinc/03/67/82/1047036782.db2.gz ARHVNAKGUQFVML-HOTGVXAUSA-N 0 3 306.475 4.149 20 0 DIADHN C[C@@H]1CN(Cc2ccc3c(c2)CCC(C)(C)O3)CCC1(F)F ZINC001141182661 1047037588 /nfs/dbraw/zinc/03/75/88/1047037588.db2.gz XMQLNGIMIZAJEC-CYBMUJFWSA-N 0 3 309.400 4.267 20 0 DIADHN Cc1ccc(CN2CCC3(COc4ccccc43)CC2)c(F)c1 ZINC001141195513 1047041220 /nfs/dbraw/zinc/04/12/20/1047041220.db2.gz QTQAYNZRPSUXBO-UHFFFAOYSA-N 0 3 311.400 4.060 20 0 DIADHN Fc1ccc(-c2cccc(CN3CC(c4ccccc4)C3)n2)cc1 ZINC001141208374 1047045652 /nfs/dbraw/zinc/04/56/52/1047045652.db2.gz DCOCATBMEVVOIH-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN Fc1ccc(-c2cccc(CN3CCC[C@H](C(F)F)C3)n2)cc1 ZINC001141208055 1047045856 /nfs/dbraw/zinc/04/58/56/1047045856.db2.gz XLIKOVVQLSBMFA-AWEZNQCLSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccc(-c2cccc(CN3CC[C@@]4(CC4(F)F)C3)n2)cc1 ZINC001141207729 1047046173 /nfs/dbraw/zinc/04/61/73/1047046173.db2.gz MLBNAZIRZASYAR-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN CN(CCC1CCOCC1)Cc1c(Cl)oc2ccccc21 ZINC000536662521 1047054379 /nfs/dbraw/zinc/05/43/79/1047054379.db2.gz HZYGIWNKKGPXCZ-UHFFFAOYSA-N 0 3 307.821 4.335 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H](CC)C1)c1nc(C(F)(F)F)cs1 ZINC000648464260 1047055432 /nfs/dbraw/zinc/05/54/32/1047055432.db2.gz RAYNPCFHOONPIX-AXFHLTTASA-N 0 3 322.396 4.160 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc(-n2ccnc2)c1 ZINC001141244575 1047061468 /nfs/dbraw/zinc/06/14/68/1047061468.db2.gz QBNCJJDHJVEIJO-MRXNPFEDSA-N 0 3 321.424 4.074 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](Nc2ncc(Cl)s2)C1 ZINC000680892828 1047064140 /nfs/dbraw/zinc/06/41/40/1047064140.db2.gz SROXSKKJGPNVEU-WCQYABFASA-N 0 3 307.850 4.044 20 0 DIADHN CCOc1ccc(OCCN2CCc3sccc3[C@H]2C)cc1 ZINC000680925562 1047068144 /nfs/dbraw/zinc/06/81/44/1047068144.db2.gz NETOLYFNUUJIKS-CQSZACIVSA-N 0 3 317.454 4.145 20 0 DIADHN Cc1ccc(OC2CCN(Cc3cccc(N(C)C)c3)CC2)cc1 ZINC001141263773 1047068571 /nfs/dbraw/zinc/06/85/71/1047068571.db2.gz HFUYESBLFSOVQH-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@H](c3ccccc3)C2)c(C)s1 ZINC000680937133 1047069874 /nfs/dbraw/zinc/06/98/74/1047069874.db2.gz FPPWOKHJEHRQNJ-VUCTXSBTSA-N 0 3 316.470 4.331 20 0 DIADHN c1cc2c(s1)CN(Cc1cccc(-c3ccccn3)c1)CC2 ZINC000536678832 1047070417 /nfs/dbraw/zinc/07/04/17/1047070417.db2.gz KKIQZMUTCAGRMD-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccc(C)cc1)C1CC1 ZINC001141273040 1047072532 /nfs/dbraw/zinc/07/25/32/1047072532.db2.gz ODSIOUAENCGXLN-FQEVSTJZSA-N 0 3 316.489 4.074 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H]2CSc3ccccc32)c(C)s1 ZINC000648611368 1047073731 /nfs/dbraw/zinc/07/37/31/1047073731.db2.gz UMRYSKKMOZSGLR-MFKMUULPSA-N 0 3 304.484 4.300 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141317060 1047087358 /nfs/dbraw/zinc/08/73/58/1047087358.db2.gz ILIFQDOKZNOTGT-LJQANCHMSA-N 0 3 315.388 4.229 20 0 DIADHN CC(C)(C)N1CCN(Cc2csc(C3CCCCC3)n2)CC1 ZINC001115867155 1047088292 /nfs/dbraw/zinc/08/82/92/1047088292.db2.gz JEJOHJUGQNTCKF-UHFFFAOYSA-N 0 3 321.534 4.107 20 0 DIADHN CC(C)(C)c1nc(CNC2CCC(OC(F)F)CC2)cs1 ZINC000648817365 1047092125 /nfs/dbraw/zinc/09/21/25/1047092125.db2.gz PDEXICKZZVYAFY-UHFFFAOYSA-N 0 3 318.433 4.081 20 0 DIADHN COc1ccsc1CN1CCC(Cc2ccccc2F)CC1 ZINC001141326693 1047092456 /nfs/dbraw/zinc/09/24/56/1047092456.db2.gz HUOWYLPZTHFNTQ-UHFFFAOYSA-N 0 3 319.445 4.351 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2nccc3ccccc32)o1 ZINC000681084630 1047092905 /nfs/dbraw/zinc/09/29/05/1047092905.db2.gz RZRWFAVQJMYZOK-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN COC[C@H]1CCCN(Cc2cnc(C3CCCCC3)s2)CC1 ZINC000648867097 1047095325 /nfs/dbraw/zinc/09/53/25/1047095325.db2.gz HLCDABCLLKIQFA-HNNXBMFYSA-N 0 3 322.518 4.439 20 0 DIADHN COc1cc(F)cc(C[C@H](C)N[C@@H](C)c2nc(C)sc2C)c1 ZINC000648870586 1047096902 /nfs/dbraw/zinc/09/69/02/1047096902.db2.gz HVULGQYFPADDEG-QWRGUYRKSA-N 0 3 322.449 4.189 20 0 DIADHN C[C@H](CC1CCC1)NCc1csc(COc2ccccc2)n1 ZINC000681108987 1047097959 /nfs/dbraw/zinc/09/79/59/1047097959.db2.gz PEDUGOLKPPPMJT-CQSZACIVSA-N 0 3 316.470 4.390 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)[C@H](c2ccccc2)N(C)C)c(C)s1 ZINC000681138202 1047101714 /nfs/dbraw/zinc/10/17/14/1047101714.db2.gz DTMUTHHDVHGKNP-ZJNRKIDTSA-N 0 3 317.502 4.102 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)c(C)s1 ZINC000681138200 1047101758 /nfs/dbraw/zinc/10/17/58/1047101758.db2.gz DTMUTHHDVHGKNP-LXIYXOSZSA-N 0 3 317.502 4.102 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1ccc(-c2ccccc2)o1 ZINC001116019241 1047102286 /nfs/dbraw/zinc/10/22/86/1047102286.db2.gz UXAANJGPHOTFNY-AWEZNQCLSA-N 0 3 315.413 4.157 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@@H]4CCCC[C@@H]4C3)n2)c1 ZINC001116021465 1047102304 /nfs/dbraw/zinc/10/23/04/1047102304.db2.gz NVBUGABKAZRUSO-LSDHHAIUSA-N 0 3 301.459 4.081 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CCCc3ccc(C)cc32)cc1 ZINC000536827303 1047103354 /nfs/dbraw/zinc/10/33/54/1047103354.db2.gz NWUMZHWTHZTNOR-LEWJYISDSA-N 0 3 309.453 4.258 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000536829359 1047103501 /nfs/dbraw/zinc/10/35/01/1047103501.db2.gz YECYBTYBEZILAW-UGSOOPFHSA-N 0 3 303.833 4.287 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(c2cccc(F)c2F)CC1 ZINC000681168410 1047104286 /nfs/dbraw/zinc/10/42/86/1047104286.db2.gz FPTJWXRIPJLHMA-ZDUSSCGKSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cncc2ccccc21)c1ccccc1 ZINC000827526862 1047108227 /nfs/dbraw/zinc/10/82/27/1047108227.db2.gz QEARYOAUGCEDLE-SFTDATJTSA-N 0 3 320.436 4.083 20 0 DIADHN CN(C)c1ccccc1CN[C@H]1CCc2ccc(Cl)cc21 ZINC000536857959 1047112948 /nfs/dbraw/zinc/11/29/48/1047112948.db2.gz NVTBUAOBJRKKOG-KRWDZBQOSA-N 0 3 300.833 4.183 20 0 DIADHN CC[C@@H](COC)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000536859429 1047113055 /nfs/dbraw/zinc/11/30/55/1047113055.db2.gz VDJATQJHCYZRHX-AWEZNQCLSA-N 0 3 324.877 4.287 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](C)c2cc(C)c(F)c(C)c2)cc1 ZINC000536831755 1047113213 /nfs/dbraw/zinc/11/32/13/1047113213.db2.gz HZMWANCGJFDNHB-BEFAXECRSA-N 0 3 315.432 4.389 20 0 DIADHN Cc1cnc2ccccc2c1N1CCO[C@@H](c2ccccc2)C1 ZINC001116177578 1047115168 /nfs/dbraw/zinc/11/51/68/1047115168.db2.gz ORRLRYATELMILC-LJQANCHMSA-N 0 3 304.393 4.121 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H](C)c1cccc(OC)c1 ZINC000536861301 1047115390 /nfs/dbraw/zinc/11/53/90/1047115390.db2.gz CQBUGWWELVOEDL-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN CC(C)c1nnc(CN[C@H](Cc2ccc(F)cc2)C(C)C)s1 ZINC000536854711 1047118452 /nfs/dbraw/zinc/11/84/52/1047118452.db2.gz WVRPLZIKMWHTOT-OAHLLOKOSA-N 0 3 321.465 4.158 20 0 DIADHN c1ccc([C@@H]2CN(Cc3ccccc3OC3CC3)CCCO2)cc1 ZINC000649287250 1047119391 /nfs/dbraw/zinc/11/93/91/1047119391.db2.gz XHDOZJVFOYPZBD-NRFANRHFSA-N 0 3 323.436 4.191 20 0 DIADHN CC[C@@H]([NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1)c1ccc(C)cc1 ZINC000536909231 1047124888 /nfs/dbraw/zinc/12/48/88/1047124888.db2.gz LADHLVXWHMQWFR-QGZVFWFLSA-N 0 3 324.403 4.160 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ccc(C)cc1 ZINC000536909231 1047124892 /nfs/dbraw/zinc/12/48/92/1047124892.db2.gz LADHLVXWHMQWFR-QGZVFWFLSA-N 0 3 324.403 4.160 20 0 DIADHN CCCN(Cc1n[nH]c2ccccc21)[C@H]1CCCc2cccnc21 ZINC000681496372 1047127544 /nfs/dbraw/zinc/12/75/44/1047127544.db2.gz MGSHCSYWQJFFFF-IBGZPJMESA-N 0 3 320.440 4.248 20 0 DIADHN CCCN(Cc1[nH]nc2ccccc21)[C@H]1CCCc2cccnc21 ZINC000681496372 1047127549 /nfs/dbraw/zinc/12/75/49/1047127549.db2.gz MGSHCSYWQJFFFF-IBGZPJMESA-N 0 3 320.440 4.248 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000429770808 1047128209 /nfs/dbraw/zinc/12/82/09/1047128209.db2.gz LMYZSSLFAKPGRB-DYVFJYSZSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001141432518 1047129503 /nfs/dbraw/zinc/12/95/03/1047129503.db2.gz AAKGZVOQPQICMG-HIFRSBDPSA-N 0 3 312.379 4.421 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2ccc(F)cc2)C2CC2)cn1 ZINC000536998668 1047130262 /nfs/dbraw/zinc/13/02/62/1047130262.db2.gz FAISFNHQBPTSDL-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN Cc1nccn1Cc1ccc(-c2ccc(CN(C)C)c(F)c2)cc1 ZINC000649437830 1047132602 /nfs/dbraw/zinc/13/26/02/1047132602.db2.gz GJLSRDMNVRRPFP-UHFFFAOYSA-N 0 3 323.415 4.108 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)N(Cc2ccccc2)C(C)C)cc1 ZINC000537027660 1047133528 /nfs/dbraw/zinc/13/35/28/1047133528.db2.gz IXVILSAPDNTISG-GOSISDBHSA-N 0 3 324.468 4.083 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](CC)c1cccc(Cl)c1 ZINC000537026986 1047133658 /nfs/dbraw/zinc/13/36/58/1047133658.db2.gz ABUHINYUKSLUSJ-ZENOOKHLSA-N 0 3 310.869 4.074 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](CC)c1cccc(Cl)c1 ZINC000537026985 1047133941 /nfs/dbraw/zinc/13/39/41/1047133941.db2.gz ABUHINYUKSLUSJ-XEZPLFJOSA-N 0 3 310.869 4.074 20 0 DIADHN CC[C@H](C)CN(CC)[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000537047371 1047135024 /nfs/dbraw/zinc/13/50/24/1047135024.db2.gz HLHUQRBDKMHYPD-CMPLNLGQSA-N 0 3 320.506 4.319 20 0 DIADHN COc1cc([C@H](C)NCCC(F)(F)F)ccc1OC(C)C ZINC000537053144 1047135085 /nfs/dbraw/zinc/13/50/85/1047135085.db2.gz FEPRSLAXSVOENK-NSHDSACASA-N 0 3 305.340 4.085 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)N1CCC(C)CC1)c1cccs1 ZINC000537076067 1047136609 /nfs/dbraw/zinc/13/66/09/1047136609.db2.gz PXSWWIDNLISGME-GOSISDBHSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)N(C)[C@H](C)c2ccccc2)c1 ZINC000537089066 1047137082 /nfs/dbraw/zinc/13/70/82/1047137082.db2.gz NVRXZOHGTFYXGI-QGZVFWFLSA-N 0 3 324.468 4.039 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000537118207 1047138390 /nfs/dbraw/zinc/13/83/90/1047138390.db2.gz YIDVHDKBWJGKDA-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ncc([C@@H](C)N(C)Cc2cccc3ccccc32)c(C)n1 ZINC000681668217 1047139842 /nfs/dbraw/zinc/13/98/42/1047139842.db2.gz XAAUNLSUMBKGIL-OAHLLOKOSA-N 0 3 305.425 4.440 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](C)c2cc(C)cc(C)c2)c1 ZINC000537115498 1047140352 /nfs/dbraw/zinc/14/03/52/1047140352.db2.gz ANTSYOPRNDAHKM-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCCCC[C@@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001141462315 1047140672 /nfs/dbraw/zinc/14/06/72/1047140672.db2.gz JVOCVDGDJJAKCE-QGZVFWFLSA-N 0 3 316.489 4.371 20 0 DIADHN COC[C@@H](C)NCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001116446909 1047141846 /nfs/dbraw/zinc/14/18/46/1047141846.db2.gz NGDDXVLPTVMUPR-SNVBAGLBSA-N 0 3 314.212 4.378 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc3nc(C)ccc3c1)CC2 ZINC001141472928 1047143918 /nfs/dbraw/zinc/14/39/18/1047143918.db2.gz QQAXNVHSLAJURH-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN Clc1ccc(-c2cnc(CN3CC[C@@H]4CCC[C@@H]43)o2)cc1 ZINC000681710144 1047143977 /nfs/dbraw/zinc/14/39/77/1047143977.db2.gz PUSAMSJZXDWTKD-WFASDCNBSA-N 0 3 302.805 4.369 20 0 DIADHN CSC1(CNCc2csc(-c3ccc(C)cc3)n2)CCC1 ZINC000433191599 1047145108 /nfs/dbraw/zinc/14/51/08/1047145108.db2.gz HCGUYLWEMKVQQH-UHFFFAOYSA-N 0 3 318.511 4.494 20 0 DIADHN CC[C@H](OCCN(C)CCc1ncc(C)s1)c1ccccc1 ZINC000649568032 1047145269 /nfs/dbraw/zinc/14/52/69/1047145269.db2.gz MCFBIYGRHCLCII-KRWDZBQOSA-N 0 3 318.486 4.094 20 0 DIADHN FC(F)(F)c1ncc(CN[C@H](CC2CC2)c2ccccc2)cn1 ZINC000649575247 1047146716 /nfs/dbraw/zinc/14/67/16/1047146716.db2.gz ISURVKWSXPXZQR-OAHLLOKOSA-N 0 3 321.346 4.126 20 0 DIADHN CC[C@H](OCCN(C)C[C@@H](C)c1nccs1)c1ccccc1 ZINC000649583269 1047148912 /nfs/dbraw/zinc/14/89/12/1047148912.db2.gz HHOUPMRUGGALSQ-WBVHZDCISA-N 0 3 318.486 4.346 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N1CCC(n2cc(Cl)cn2)CC1 ZINC001116509230 1047149855 /nfs/dbraw/zinc/14/98/55/1047149855.db2.gz MVIXBLURMWLPFH-KRWDZBQOSA-N 0 3 319.811 4.000 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@]2(C)CC2(Cl)Cl)s1 ZINC000827772428 1047153660 /nfs/dbraw/zinc/15/36/60/1047153660.db2.gz PPLCBZJLNACFNF-SKDRFNHKSA-N 0 3 307.290 4.337 20 0 DIADHN Cc1nnsc1CN(C)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000649629952 1047155325 /nfs/dbraw/zinc/15/53/25/1047155325.db2.gz YMHBUHUVXAUEEA-JKSUJKDBSA-N 0 3 301.459 4.005 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CCC1CCCC1 ZINC001141518117 1047156054 /nfs/dbraw/zinc/15/60/54/1047156054.db2.gz JLFUZLBENCYXDF-UHFFFAOYSA-N 0 3 303.450 4.013 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cccc(C)c1)c1ccc(F)cc1 ZINC001116551417 1047157016 /nfs/dbraw/zinc/15/70/16/1047157016.db2.gz NMNIRRFVGHJXHS-KSSFIOAISA-N 0 3 314.404 4.202 20 0 DIADHN COc1ccc(CN2CCC[C@H](CF)C2)cc1OC1CCCC1 ZINC001141521068 1047159785 /nfs/dbraw/zinc/15/97/85/1047159785.db2.gz MAUVPOMXAWIYEH-MRXNPFEDSA-N 0 3 321.436 4.198 20 0 DIADHN COc1ccc(C2CCN([C@@H](C)c3ccccc3F)CC2)nc1 ZINC000649652165 1047159790 /nfs/dbraw/zinc/15/97/90/1047159790.db2.gz LCGXEVWOFFPEKB-AWEZNQCLSA-N 0 3 314.404 4.170 20 0 DIADHN CCN(C(=O)CN[C@H](c1ccccc1C)C1CC1)c1ccccc1 ZINC000537292543 1047159868 /nfs/dbraw/zinc/15/98/68/1047159868.db2.gz WDYNXMUUKGYLCF-NRFANRHFSA-N 0 3 322.452 4.089 20 0 DIADHN COc1ccc(C2CCN([C@@H](C)c3cccc(F)c3)CC2)nc1 ZINC000649652372 1047159829 /nfs/dbraw/zinc/15/98/29/1047159829.db2.gz UBZPDGXTBQHXRO-AWEZNQCLSA-N 0 3 314.404 4.170 20 0 DIADHN CC[C@@H](C)c1ccc(-c2nc([C@H]3CN4CCC3CC4)no2)cc1 ZINC001116612419 1047161163 /nfs/dbraw/zinc/16/11/63/1047161163.db2.gz OFXUIJYAYMPEJQ-DYVFJYSZSA-N 0 3 311.429 4.059 20 0 DIADHN COC[C@@H](N[C@H](C)Cc1ccccc1Cl)c1ccc(C)o1 ZINC001116613980 1047163453 /nfs/dbraw/zinc/16/34/53/1047163453.db2.gz CXJRPDBKQJKWSH-MLGOLLRUSA-N 0 3 307.821 4.150 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC000682074109 1047168587 /nfs/dbraw/zinc/16/85/87/1047168587.db2.gz QNRHDIOYGVMYOG-KXBFYZLASA-N 0 3 312.482 4.114 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CCC[C@H](C(C)C)C3)o2)c1 ZINC001116714217 1047169883 /nfs/dbraw/zinc/16/98/83/1047169883.db2.gz ZEHBFHXVJXVZJG-RDJZCZTQSA-N 0 3 313.445 4.474 20 0 DIADHN CCCCC[C@H](NCc1nc(C(=O)OC)cs1)C(C)(C)C ZINC000828722122 1047170265 /nfs/dbraw/zinc/17/02/65/1047170265.db2.gz LARDUEUKGWDWDM-ZDUSSCGKSA-N 0 3 312.479 4.014 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOCc2ccccc2)s1 ZINC000682078032 1047170500 /nfs/dbraw/zinc/17/05/00/1047170500.db2.gz UNZZIKNJHMNMAT-OAHLLOKOSA-N 0 3 318.486 4.224 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@@H](C)c1cccc(F)c1F ZINC000537345593 1047172888 /nfs/dbraw/zinc/17/28/88/1047172888.db2.gz YCJBTSFCSQLFHK-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN CC(C)[C@H](NC[C@@](C)(O)C(F)(F)F)c1cc2ccccc2o1 ZINC000537359165 1047176927 /nfs/dbraw/zinc/17/69/27/1047176927.db2.gz VIPNSOUAGSWNRQ-LSDHHAIUSA-N 0 3 315.335 4.033 20 0 DIADHN Brc1ccc2nc(CNC3(C4CCC4)CC3)oc2c1 ZINC000649811632 1047178241 /nfs/dbraw/zinc/17/82/41/1047178241.db2.gz ZAONPHYDPPTTRL-UHFFFAOYSA-N 0 3 321.218 4.013 20 0 DIADHN Cn1cc(-c2ccc(CN3CC[C@H]3c3ccccc3)cc2)cn1 ZINC001116861719 1047184318 /nfs/dbraw/zinc/18/43/18/1047184318.db2.gz YQKZTKRCUZKIMQ-FQEVSTJZSA-N 0 3 303.409 4.034 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)[C@H](C)CCO)s2)cc1 ZINC000649866738 1047185213 /nfs/dbraw/zinc/18/52/13/1047185213.db2.gz XWEYQMYKEYPBLJ-CQSZACIVSA-N 0 3 318.486 4.136 20 0 DIADHN FC1(F)CC[C@H](CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)C1 ZINC000649916611 1047191135 /nfs/dbraw/zinc/19/11/35/1047191135.db2.gz HPSQNYRCDWXSKV-DKIMLUQUSA-N 0 3 321.411 4.416 20 0 DIADHN CC[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000476051312 1047194929 /nfs/dbraw/zinc/19/49/29/1047194929.db2.gz QNUQLFZNZGFOOU-RDJZCZTQSA-N 0 3 302.462 4.212 20 0 DIADHN O[C@H](CN[C@H]1CCc2cc(Cl)cc(Cl)c21)c1ccccc1 ZINC000760315702 1047210233 /nfs/dbraw/zinc/21/02/33/1047210233.db2.gz JHHQYGDHLJFOTI-JKSUJKDBSA-N 0 3 322.235 4.304 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1ccc(OC)c(Br)c1 ZINC000399557391 1047223752 /nfs/dbraw/zinc/22/37/52/1047223752.db2.gz CTDVXZOJJLMBHD-WCQYABFASA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)=CCN[C@H](c1ccc(Br)cc1)[C@H]1CCCO1 ZINC000682909786 1047238222 /nfs/dbraw/zinc/23/82/22/1047238222.db2.gz JCGREIOTZMWZPZ-HZPDHXFCSA-N 0 3 324.262 4.225 20 0 DIADHN O=C(c1cccc(CN2CC[C@H](c3ccco3)C2)c1)C(F)(F)F ZINC000839491899 1047240470 /nfs/dbraw/zinc/24/04/70/1047240470.db2.gz RMWCJOLBLIKBSL-AWEZNQCLSA-N 0 3 323.314 4.014 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)NCCN1CCC(C)CC1 ZINC001141643278 1047242550 /nfs/dbraw/zinc/24/25/50/1047242550.db2.gz MSHGHPSAYQWRQN-IBGZPJMESA-N 0 3 322.537 4.221 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1cccn1C1CC1 ZINC001117785137 1047244395 /nfs/dbraw/zinc/24/43/95/1047244395.db2.gz ZKPYVYIQQWQTDX-UHFFFAOYSA-N 0 3 308.347 4.440 20 0 DIADHN Fc1ccc2nc(CN3CCC(c4ccncc4)CC3)ccc2c1 ZINC001141646570 1047245615 /nfs/dbraw/zinc/24/56/15/1047245615.db2.gz SDIGXLJXYZQRMI-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN Cc1ccnc(CN2CCC(c3ccc(F)c(F)c3)CC2)c1 ZINC000683007252 1047256969 /nfs/dbraw/zinc/25/69/69/1047256969.db2.gz PZMQREBEZJQHOD-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN CCC(CC)(CNCc1ccc(CSC(F)F)o1)OC ZINC000383898211 1047271419 /nfs/dbraw/zinc/27/14/19/1047271419.db2.gz LRSSFNOBXIMPKU-UHFFFAOYSA-N 0 3 307.406 4.030 20 0 DIADHN CC1(CNCc2cscc2Br)CC(F)(F)C1 ZINC000720923465 1047277845 /nfs/dbraw/zinc/27/78/45/1047277845.db2.gz QDFVPQWKIVSTAP-UHFFFAOYSA-N 0 3 310.207 4.036 20 0 DIADHN c1cc(-c2nc(CNC3C[C@H]4CC[C@@H](C3)S4)cs2)cs1 ZINC000897749053 1047285100 /nfs/dbraw/zinc/28/51/00/1047285100.db2.gz RMIIDRQATCRGMM-QXMXGUDHSA-N 0 3 322.524 4.388 20 0 DIADHN COc1ccccc1C1(NCc2ccc3[nH]ccc3c2)CCC1 ZINC001118386532 1047288750 /nfs/dbraw/zinc/28/87/50/1047288750.db2.gz DHOUQNIKBQOYJB-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN C[C@H]1CC2(CC(NCc3csc(Cl)c3Cl)C2)CO1 ZINC000897777914 1047289058 /nfs/dbraw/zinc/28/90/58/1047289058.db2.gz CLJNHLIEOLNADT-LUHBLDKBSA-N 0 3 306.258 4.102 20 0 DIADHN Cc1nc(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)sc1C ZINC000897879477 1047296168 /nfs/dbraw/zinc/29/61/68/1047296168.db2.gz GEKPJBMKTKDIKS-AULYBMBSSA-N 0 3 308.397 4.074 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@@H](C)c1cncs1 ZINC000897932798 1047297357 /nfs/dbraw/zinc/29/73/57/1047297357.db2.gz QASHONXUUQQUQI-VNKGSWCUSA-N 0 3 324.396 4.499 20 0 DIADHN Cc1ccc(-c2nc(CNC3CC4(CC4(F)F)C3)cs2)cc1 ZINC001118484742 1047298474 /nfs/dbraw/zinc/29/84/74/1047298474.db2.gz UVQMJCVNPKFZIO-UHFFFAOYSA-N 0 3 320.408 4.396 20 0 DIADHN FC(F)SCc1ccc(CNC[C@@H]2CCCC2(F)F)o1 ZINC000390655787 1047298982 /nfs/dbraw/zinc/29/89/82/1047298982.db2.gz OPQWISIIXJIAHX-VIFPVBQESA-N 0 3 311.344 4.260 20 0 DIADHN CC1(C)CCC2(CCN(Cc3coc4ccc(F)cc34)CC2)O1 ZINC001141856447 1047299365 /nfs/dbraw/zinc/29/93/65/1047299365.db2.gz FZJATGSHPSLPLA-UHFFFAOYSA-N 0 3 317.404 4.496 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)c1cncs1 ZINC000897929911 1047301925 /nfs/dbraw/zinc/30/19/25/1047301925.db2.gz DLIYHWKNZJXQRA-MAUPQMMJSA-N 0 3 302.443 4.044 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CC[C@H](C)c2ccsc21 ZINC000651241575 1047304018 /nfs/dbraw/zinc/30/40/18/1047304018.db2.gz OFQTXRGRQYTWKX-XKQJLSEDSA-N 0 3 301.455 4.318 20 0 DIADHN CCOCc1ccccc1CNCc1cnc2ccccc2c1 ZINC000391215334 1047304086 /nfs/dbraw/zinc/30/40/86/1047304086.db2.gz VIRCHAKHFYSWAJ-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN COCc1csc(CN[C@@H](c2ncccc2C)C(C)(C)C)c1 ZINC000897994984 1047308257 /nfs/dbraw/zinc/30/82/57/1047308257.db2.gz KTZSSQODFVTSKK-KRWDZBQOSA-N 0 3 318.486 4.475 20 0 DIADHN C[C@H]1C[C@@H](NCCc2ccc(-c3ccccc3)cc2)c2nccn21 ZINC000651271450 1047308439 /nfs/dbraw/zinc/30/84/39/1047308439.db2.gz NQAPFISCHJVGCH-OXJNMPFZSA-N 0 3 317.436 4.388 20 0 DIADHN COc1ccc(CNCc2ccc3c(c2)CCC3)cc1OC1CC1 ZINC001118798314 1047311025 /nfs/dbraw/zinc/31/10/25/1047311025.db2.gz BRAUKUHQSAZSIT-UHFFFAOYSA-N 0 3 323.436 4.015 20 0 DIADHN Brc1cnc(CNC2CCCCCCC2)s1 ZINC000393755132 1047310957 /nfs/dbraw/zinc/31/09/57/1047310957.db2.gz RVUONXSYRUBXHL-UHFFFAOYSA-N 0 3 303.269 4.108 20 0 DIADHN CCc1ccc(N[C@@H]2CCN(Cc3ccccn3)C[C@@H]2C)cc1C ZINC000537485439 1047311716 /nfs/dbraw/zinc/31/17/16/1047311716.db2.gz BTWNFVRSXXYSPF-LAUBAEHRSA-N 0 3 323.484 4.275 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2nc3cc(C)ccc3s2)C1 ZINC001118817024 1047311829 /nfs/dbraw/zinc/31/18/29/1047311829.db2.gz VMZCDPKCSMKGNK-STQMWFEESA-N 0 3 306.500 4.321 20 0 DIADHN CCc1ccc(N[C@H]2CCN(Cc3ccccn3)C[C@@H]2C)cc1C ZINC000537485443 1047312644 /nfs/dbraw/zinc/31/26/44/1047312644.db2.gz BTWNFVRSXXYSPF-UWJYYQICSA-N 0 3 323.484 4.275 20 0 DIADHN Clc1scc(CNC[C@]23C[C@H]2COC32CCC2)c1Cl ZINC000898064868 1047313152 /nfs/dbraw/zinc/31/31/52/1047313152.db2.gz NHSGBJHTULGYMT-GXFFZTMASA-N 0 3 318.269 4.104 20 0 DIADHN CCC[C@H](NCc1c(C)c(C)n[nH]c1=O)c1cc(C)sc1C ZINC001118863158 1047314437 /nfs/dbraw/zinc/31/44/37/1047314437.db2.gz IPAPXYXFOATQDA-INIZCTEOSA-N 0 3 319.474 4.108 20 0 DIADHN CCC1(C)CCN(C[C@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000725804628 1047317755 /nfs/dbraw/zinc/31/77/55/1047317755.db2.gz LGCVNTFHKFOXNN-HNNXBMFYSA-N 0 3 315.379 4.251 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CCC(F)(F)C2)s1 ZINC000651584813 1047319085 /nfs/dbraw/zinc/31/90/85/1047319085.db2.gz HJOUPDSEVRQCLP-QMMMGPOBSA-N 0 3 310.207 4.096 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2CCC(F)(F)C2)s1 ZINC000651584812 1047319724 /nfs/dbraw/zinc/31/97/24/1047319724.db2.gz HJOUPDSEVRQCLP-MRVPVSSYSA-N 0 3 310.207 4.096 20 0 DIADHN Cc1csc(CCN[C@H]2CCc3ccc(F)c(Cl)c32)n1 ZINC000651675944 1047322315 /nfs/dbraw/zinc/32/23/15/1047322315.db2.gz JBKFTXRHVJOUCC-LBPRGKRZSA-N 0 3 310.825 4.064 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](C)c3cnn(CC4CCC4)c3)cc21 ZINC000898182711 1047325443 /nfs/dbraw/zinc/32/54/43/1047325443.db2.gz VHOXQGBSMKZXCW-HNNXBMFYSA-N 0 3 322.456 4.324 20 0 DIADHN C[C@@H](NCc1ccc2cnccc2c1)c1cnn(CC2CCC2)c1 ZINC000898181602 1047325496 /nfs/dbraw/zinc/32/54/96/1047325496.db2.gz KMXBPJCYCFYQJH-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN C[C@@H](NCc1cc2ccccc2[nH]1)c1cnn(CC2CCC2)c1 ZINC000898183028 1047326029 /nfs/dbraw/zinc/32/60/29/1047326029.db2.gz XEOKGSJHDXIYSP-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)co1 ZINC000840758476 1047326885 /nfs/dbraw/zinc/32/68/85/1047326885.db2.gz CXTBJIKRGUMMPS-DZGCQCFKSA-N 0 3 304.821 4.316 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC(C(F)(F)F)CC1 ZINC001141978440 1047327768 /nfs/dbraw/zinc/32/77/68/1047327768.db2.gz BSBVOPURIPGRMI-UHFFFAOYSA-N 0 3 324.346 4.424 20 0 DIADHN Fc1ccc2c(c1Cl)[C@@H](NCC1(C(F)(F)F)CC1)CC2 ZINC000651805782 1047330485 /nfs/dbraw/zinc/33/04/85/1047330485.db2.gz BMNLBSGOOKMYDU-JTQLQIEISA-N 0 3 307.718 4.399 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN(C)CCOc1ccccc1 ZINC001141986019 1047330545 /nfs/dbraw/zinc/33/05/45/1047330545.db2.gz SQKSPDHLIPMZPL-UHFFFAOYSA-N 0 3 322.408 4.161 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccccc1C1CC1)CC2 ZINC000651901268 1047331176 /nfs/dbraw/zinc/33/11/76/1047331176.db2.gz DLFKEEMJVZHPBU-UHFFFAOYSA-N 0 3 313.338 4.430 20 0 DIADHN COc1ccc(CN(C)[C@H](C)CSc2ccccc2)cc1O ZINC000651875683 1047331513 /nfs/dbraw/zinc/33/15/13/1047331513.db2.gz WOXXONARVMFAHV-CQSZACIVSA-N 0 3 317.454 4.013 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2c(C)nc3ccccn32)cc1C ZINC000898272490 1047333443 /nfs/dbraw/zinc/33/34/43/1047333443.db2.gz WQMUBDRFDNBNCZ-OAHLLOKOSA-N 0 3 323.440 4.119 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2c(C)nc3ccccn32)cc1C ZINC000898272489 1047333851 /nfs/dbraw/zinc/33/38/51/1047333851.db2.gz WQMUBDRFDNBNCZ-HNNXBMFYSA-N 0 3 323.440 4.119 20 0 DIADHN C[C@H]1CC[C@@H](CO)CN1Cc1sccc1Oc1ccccc1 ZINC001141994301 1047334030 /nfs/dbraw/zinc/33/40/30/1047334030.db2.gz GVZCBERTLOMMKL-LSDHHAIUSA-N 0 3 317.454 4.133 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2ccncc2)C2CC2)c(C)c1 ZINC000898319526 1047335308 /nfs/dbraw/zinc/33/53/08/1047335308.db2.gz HBQDOQATTALLLM-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN Fc1ccc(CCN2CCC(Oc3ccccc3)CC2)cc1F ZINC000490059540 1047335271 /nfs/dbraw/zinc/33/52/71/1047335271.db2.gz HTWQCULQAHVZMR-UHFFFAOYSA-N 0 3 317.379 4.051 20 0 DIADHN C[C@H]1CCCN(Cc2sccc2Oc2ccccc2)[C@H]1CO ZINC001141994238 1047335784 /nfs/dbraw/zinc/33/57/84/1047335784.db2.gz DIXOFCRZOPEAHX-HOCLYGCPSA-N 0 3 317.454 4.133 20 0 DIADHN OC[C@H]1CCCN(Cc2sccc2Oc2ccccc2)CC1 ZINC001141994460 1047336230 /nfs/dbraw/zinc/33/62/30/1047336230.db2.gz MJAYXOFWURIQRQ-HNNXBMFYSA-N 0 3 317.454 4.135 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3c(c2)OCO3)c2ccccc2n1 ZINC000105417043 1047338585 /nfs/dbraw/zinc/33/85/85/1047338585.db2.gz OIGZPIPDGUUJHG-CQSZACIVSA-N 0 3 320.392 4.123 20 0 DIADHN FC(F)(F)Cc1ccc(CN[C@@H](c2ccncc2)C2CC2)cc1 ZINC000898317583 1047339643 /nfs/dbraw/zinc/33/96/43/1047339643.db2.gz JLLJFRTYSAJDEM-QGZVFWFLSA-N 0 3 320.358 4.427 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cccc(OC3CCCC3)c1)C2 ZINC000652062452 1047342064 /nfs/dbraw/zinc/34/20/64/1047342064.db2.gz KHENBZWVYACDJM-KRWDZBQOSA-N 0 3 307.384 4.239 20 0 DIADHN COc1ccc([C@H](NCC2CCCCC2)c2ccccn2)cc1 ZINC000652132903 1047342965 /nfs/dbraw/zinc/34/29/65/1047342965.db2.gz AHANNGTYXRZXNO-FQEVSTJZSA-N 0 3 310.441 4.350 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCC[C@H](C)C2)c2ccccn2)cc1 ZINC000652144126 1047343342 /nfs/dbraw/zinc/34/33/42/1047343342.db2.gz WIHCUHSPZGMCAN-VPWXQRGCSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccc([C@H](NCC2(C)CCCC2)c2ccccn2)cc1 ZINC000652165454 1047343491 /nfs/dbraw/zinc/34/34/91/1047343491.db2.gz QGCGHVHEXCPUHI-IBGZPJMESA-N 0 3 310.441 4.350 20 0 DIADHN CC[C@H](NCc1ccsc1)c1cc(OC)c(OC)c(OC)c1 ZINC000652139855 1047344986 /nfs/dbraw/zinc/34/49/86/1047344986.db2.gz XIOYJGIMSUYCLQ-AWEZNQCLSA-N 0 3 321.442 4.015 20 0 DIADHN C[C@H](N[C@H]1CCN(C2CC2)C1)c1cc(F)c(Cl)cc1Cl ZINC000044686807 1047349893 /nfs/dbraw/zinc/34/98/93/1047349893.db2.gz PAUFMGRQPDDQGH-UWVGGRQHSA-N 0 3 317.235 4.020 20 0 DIADHN CSc1ccc(CN2CC[C@@H](Oc3ccccc3)C2)s1 ZINC001142025665 1047350519 /nfs/dbraw/zinc/35/05/19/1047350519.db2.gz HASAIRVOXWGUEO-CQSZACIVSA-N 0 3 305.468 4.123 20 0 DIADHN Cc1cc(CNCc2ccc([C@@H](C)O)cc2)ccc1C(F)(F)F ZINC000840885180 1047353942 /nfs/dbraw/zinc/35/39/42/1047353942.db2.gz VFWWANHEDAVHPS-CYBMUJFWSA-N 0 3 323.358 4.357 20 0 DIADHN C[C@@H](NCCC1(CO)CCC1)c1csc(-c2ccccc2)n1 ZINC000652313135 1047354705 /nfs/dbraw/zinc/35/47/05/1047354705.db2.gz JZSGJZZFVPYCQE-CQSZACIVSA-N 0 3 316.470 4.013 20 0 DIADHN CC[C@H](C)NC(=S)Nc1ccc2c(c1)CN([C@@H](C)C(C)C)C2 ZINC000726495264 1047361959 /nfs/dbraw/zinc/36/19/59/1047361959.db2.gz PSLPOCUXGDMBTA-KBPBESRZSA-N 0 3 319.518 4.132 20 0 DIADHN CC(C)[C@H](C)N1Cc2ccc(NC(=S)NC(C)(C)C)cc2C1 ZINC000726495728 1047363223 /nfs/dbraw/zinc/36/32/23/1047363223.db2.gz QYLMDDTXZJKAFZ-ZDUSSCGKSA-N 0 3 319.518 4.132 20 0 DIADHN CSCc1ccc(CNCc2cnc3ccccc3c2)cc1 ZINC000796514088 1047366744 /nfs/dbraw/zinc/36/67/44/1047366744.db2.gz GCNLHVYGQWNJQE-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN O[C@@H](CN1CCC2(CCC2)CC1)c1ccccc1C(F)(F)F ZINC000796514846 1047367121 /nfs/dbraw/zinc/36/71/21/1047367121.db2.gz IJHYYFOWLFNXGQ-HNNXBMFYSA-N 0 3 313.363 4.005 20 0 DIADHN O[C@@H](CN1CCC2(CCCCC2)CC1)c1c(F)cccc1F ZINC000726597545 1047368920 /nfs/dbraw/zinc/36/89/20/1047368920.db2.gz CSOZYFYSYBRAQV-INIZCTEOSA-N 0 3 309.400 4.045 20 0 DIADHN Cc1ncccc1CNC(C)(C)c1ccc(OCC(C)C)cc1 ZINC000107320265 1047375163 /nfs/dbraw/zinc/37/51/63/1047375163.db2.gz IBROFADCPZENIZ-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc(SC(C)(C)C)cc1 ZINC000726777284 1047381354 /nfs/dbraw/zinc/38/13/54/1047381354.db2.gz JHDRSJWPVHSBLX-MRXNPFEDSA-N 0 3 320.502 4.390 20 0 DIADHN FC(F)(F)CCN1CCC[C@H](COc2ccccc2Cl)C1 ZINC000533148131 1047384314 /nfs/dbraw/zinc/38/43/14/1047384314.db2.gz SRWFPNWBPYANRS-LBPRGKRZSA-N 0 3 321.770 4.383 20 0 DIADHN Clc1ccc(CC2(N[C@H]3CCCn4ccnc43)CCC2)cc1 ZINC000655768969 1047390082 /nfs/dbraw/zinc/39/00/82/1047390082.db2.gz AHTGBJQEEHNWNQ-INIZCTEOSA-N 0 3 315.848 4.126 20 0 DIADHN CN(Cc1nnc(-c2ccc(Cl)cc2)o1)C1CCCCC1 ZINC000057829059 1047395741 /nfs/dbraw/zinc/39/57/41/1047395741.db2.gz BZKJGCOIROYCSK-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN OC[C@@H](NC/C=C/c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000533922253 1047398619 /nfs/dbraw/zinc/39/86/19/1047398619.db2.gz KPFPZBJNCRIXSZ-BBOMDTFKSA-N 0 3 321.342 4.042 20 0 DIADHN OC[C@H](NC/C=C/c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000533922257 1047399299 /nfs/dbraw/zinc/39/92/99/1047399299.db2.gz KPFPZBJNCRIXSZ-PFWMLDTASA-N 0 3 321.342 4.042 20 0 DIADHN FC(F)(F)c1cccc(C2(NC[C@@H]3CC3(Cl)Cl)CC2)c1 ZINC000749224217 1047402440 /nfs/dbraw/zinc/40/24/40/1047402440.db2.gz YQKZASGSWOWPEP-NSHDSACASA-N 0 3 324.173 4.478 20 0 DIADHN FC(F)[C@H]1CCN(Cc2ccccc2OCc2ccccc2)C1 ZINC000653593734 1047405357 /nfs/dbraw/zinc/40/53/57/1047405357.db2.gz MSFDWMJXCRIIRW-KRWDZBQOSA-N 0 3 317.379 4.353 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CCc1noc2ccccc21 ZINC000653610579 1047407557 /nfs/dbraw/zinc/40/75/57/1047407557.db2.gz OEWBGJWUPQRDDY-NEPJUHHUSA-N 0 3 312.335 4.033 20 0 DIADHN Cc1cnc([C@@H](NCCCc2c(F)cccc2F)C2CC2)s1 ZINC000653614140 1047408929 /nfs/dbraw/zinc/40/89/29/1047408929.db2.gz PJKOWWMYETYZRY-INIZCTEOSA-N 0 3 322.424 4.403 20 0 DIADHN Cc1cccc(NC2CCN(C[C@@H]3CC3(Cl)Cl)CC2)c1 ZINC000749347031 1047412106 /nfs/dbraw/zinc/41/21/06/1047412106.db2.gz VJFZCYVUFITXSF-ZDUSSCGKSA-N 0 3 313.272 4.065 20 0 DIADHN FC(F)(F)CC1CCN(CCc2noc3ccccc32)CC1 ZINC000653665166 1047414768 /nfs/dbraw/zinc/41/47/68/1047414768.db2.gz XBETZODLTYEOSJ-UHFFFAOYSA-N 0 3 312.335 4.035 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@H](OC)C(C)(C)C1 ZINC000653748329 1047419966 /nfs/dbraw/zinc/41/99/66/1047419966.db2.gz VUZXROXSGHASLN-GOSISDBHSA-N 0 3 305.462 4.066 20 0 DIADHN CC[C@@H](NCc1cc(-c2ccc(C)cc2)no1)[C@H]1CCCCO1 ZINC000653880767 1047430500 /nfs/dbraw/zinc/43/05/00/1047430500.db2.gz RBYOGJJKXINOHZ-IEBWSBKVSA-N 0 3 314.429 4.087 20 0 DIADHN c1ccc(-c2ccccc2CN2CCc3cnccc3C2)cc1 ZINC001142331298 1047432628 /nfs/dbraw/zinc/43/26/28/1047432628.db2.gz RZAGXNDHDZLEAN-UHFFFAOYSA-N 0 3 300.405 4.307 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3ccncc3)CC2)cc1 ZINC001142354542 1047434290 /nfs/dbraw/zinc/43/42/90/1047434290.db2.gz SLBLUIKIGCEQTP-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN Cc1ccc2ccccc2c1CN1CC2(C1)CC(F)(F)CS2 ZINC001142384148 1047439616 /nfs/dbraw/zinc/43/96/16/1047439616.db2.gz YAKVFGIVVSCGRB-UHFFFAOYSA-N 0 3 319.420 4.475 20 0 DIADHN CC[C@@H](N[C@@H]1COCc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000841761626 1047455643 /nfs/dbraw/zinc/45/56/43/1047455643.db2.gz PXTJGUVZDNVHHC-WOJBJXKFSA-N 0 3 323.436 4.324 20 0 DIADHN CC(C)OCCN1CCC(c2nc(C(C)(C)C)cs2)CC1 ZINC000750066673 1047457106 /nfs/dbraw/zinc/45/71/06/1047457106.db2.gz HNLJBHGZIPGZFH-UHFFFAOYSA-N 0 3 310.507 4.045 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccccc1OC(F)F ZINC000119762355 1047470980 /nfs/dbraw/zinc/47/09/80/1047470980.db2.gz UAYNSWIUKZHNEH-INIZCTEOSA-N 0 3 303.352 4.374 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(O)c2)cc1Br ZINC000119898815 1047476500 /nfs/dbraw/zinc/47/65/00/1047476500.db2.gz OTEZBJCEALPQOS-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN C[C@@H](N)c1nccn1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168334540 1047481500 /nfs/dbraw/zinc/48/15/00/1047481500.db2.gz VWPYRQFXTRKSEG-XEBKBJJBSA-N 0 3 303.837 4.455 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCO2)c1ccc(C(F)(F)F)cc1 ZINC000120335010 1047487451 /nfs/dbraw/zinc/48/74/51/1047487451.db2.gz QSTNHJXMQXQMSX-NSHDSACASA-N 0 3 323.314 4.285 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccc(F)cc1C)CCC2 ZINC000537627157 1047487755 /nfs/dbraw/zinc/48/77/55/1047487755.db2.gz OOKSEGYQLJFYCE-INIZCTEOSA-N 0 3 304.434 4.408 20 0 DIADHN COc1cccc(CCCN(C)Cc2csc(Cl)c2)c1 ZINC001142776120 1047493171 /nfs/dbraw/zinc/49/31/71/1047493171.db2.gz USUVGMWTNDNJMB-UHFFFAOYSA-N 0 3 309.862 4.475 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089910169 1047493698 /nfs/dbraw/zinc/49/36/98/1047493698.db2.gz RLCUUVWGLOJJFL-BLLLJJGKSA-N 0 3 317.433 4.347 20 0 DIADHN COc1ccccc1C[C@H]1CCCN1Cc1nc2ccccc2o1 ZINC000058525584 1047495006 /nfs/dbraw/zinc/49/50/06/1047495006.db2.gz JGJUTTPZYDCQAC-MRXNPFEDSA-N 0 3 322.408 4.044 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(-c3ccccc3C)c2)s1 ZINC000842674575 1047496358 /nfs/dbraw/zinc/49/63/58/1047496358.db2.gz UYXPDXAKDQWCPO-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H]2CCc3c2cccc3OC)c1 ZINC000120590871 1047497887 /nfs/dbraw/zinc/49/78/87/1047497887.db2.gz WGNWTPJZGOVGJH-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc(OC)cc2Cl)CC1 ZINC000537631457 1047499857 /nfs/dbraw/zinc/49/98/57/1047499857.db2.gz MVJMZWFKADDZKM-HNNXBMFYSA-N 0 3 311.853 4.130 20 0 DIADHN CCCC[C@H](CC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000058637784 1047501390 /nfs/dbraw/zinc/50/13/90/1047501390.db2.gz PWJDPYRGQNKTHY-JKSUJKDBSA-N 0 3 322.518 4.218 20 0 DIADHN CC(C)(O)c1ccc(CNC2(c3cccc(F)c3)CCC2)cc1 ZINC000842744499 1047502308 /nfs/dbraw/zinc/50/23/08/1047502308.db2.gz XLPLTAGFURMHLF-UHFFFAOYSA-N 0 3 313.416 4.222 20 0 DIADHN CCC[C@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000120827759 1047504042 /nfs/dbraw/zinc/50/40/42/1047504042.db2.gz CIDKLUZFBOLESG-IRXDYDNUSA-N 0 3 302.462 4.143 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](CC(C)C)c1ccncc1 ZINC000842840688 1047506701 /nfs/dbraw/zinc/50/67/01/1047506701.db2.gz GROJWZLAGSNPCB-LJQANCHMSA-N 0 3 311.473 4.415 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1ccnc2[nH]ccc21 ZINC001142880592 1047508079 /nfs/dbraw/zinc/50/80/79/1047508079.db2.gz FFPKULNATRTGCS-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN CC(C)(C)c1ncc(CN(C[C@H]2CCCO2)C2CCCC2)s1 ZINC000537635100 1047512951 /nfs/dbraw/zinc/51/29/51/1047512951.db2.gz CJXJZLATCJEOFP-OAHLLOKOSA-N 0 3 322.518 4.364 20 0 DIADHN C[C@H](NCC1CCC(F)CC1)c1nc(Br)cs1 ZINC000842929082 1047513457 /nfs/dbraw/zinc/51/34/57/1047513457.db2.gz MUDSFYAWTNLOKG-IDKOKCKLSA-N 0 3 321.259 4.085 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000121405818 1047519122 /nfs/dbraw/zinc/51/91/22/1047519122.db2.gz VHDFONMMCYUDGF-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H]1CCc2c1cccc2OC ZINC000121448355 1047519308 /nfs/dbraw/zinc/51/93/08/1047519308.db2.gz AGUNAIDUICUYES-KSSFIOAISA-N 0 3 311.425 4.432 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC001142954662 1047520472 /nfs/dbraw/zinc/52/04/72/1047520472.db2.gz QQFUTXSMOUGMRR-ROUUACIJSA-N 0 3 322.537 4.173 20 0 DIADHN Cc1c(Cl)ccc(CN[C@H](CO)CCC(C)C)c1Cl ZINC001203127396 1047521491 /nfs/dbraw/zinc/52/14/91/1047521491.db2.gz KQGRGWVONFNCBD-ZDUSSCGKSA-N 0 3 304.261 4.189 20 0 DIADHN Cc1ccc([C@@H](C)NCCc2coc(-c3ccc(F)cc3)n2)o1 ZINC000091739803 1047521891 /nfs/dbraw/zinc/52/18/91/1047521891.db2.gz ZCMHLPUHQMUGOL-CYBMUJFWSA-N 0 3 314.360 4.275 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1ccc(NC(C)=O)cc1 ZINC000091897655 1047524699 /nfs/dbraw/zinc/52/46/99/1047524699.db2.gz WZSUKASIWMPEQF-KRWDZBQOSA-N 0 3 314.454 4.216 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204711027 1047530800 /nfs/dbraw/zinc/53/08/00/1047530800.db2.gz RJFQJLHVTUJKOC-OAHLLOKOSA-N 0 3 317.816 4.401 20 0 DIADHN CCC(O)(CC)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000121941128 1047532075 /nfs/dbraw/zinc/53/20/75/1047532075.db2.gz JJEIQSQNTSBFIC-ZDUSSCGKSA-N 0 3 304.459 4.012 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)cc1F ZINC000121979281 1047534778 /nfs/dbraw/zinc/53/47/78/1047534778.db2.gz UABWLBRCCLIZAM-STQMWFEESA-N 0 3 301.361 4.275 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2cc3cccnc3[nH]2)CC1 ZINC001143224612 1047541796 /nfs/dbraw/zinc/54/17/96/1047541796.db2.gz WBUGNRDHNLVITQ-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(C)s2)cc1O ZINC000093102717 1047542334 /nfs/dbraw/zinc/54/23/34/1047542334.db2.gz GFJWDPFAOROQFA-AWEZNQCLSA-N 0 3 303.427 4.108 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3ccc(C(F)F)cc3)C2)cc1 ZINC001143251765 1047544736 /nfs/dbraw/zinc/54/47/36/1047544736.db2.gz XOPNMDIKHCUZKQ-KRWDZBQOSA-N 0 3 321.342 4.417 20 0 DIADHN FC(F)c1ccc(CN2CCC[C@H](Oc3ccncc3)C2)cc1 ZINC001143256282 1047544892 /nfs/dbraw/zinc/54/48/92/1047544892.db2.gz IHBXUFAHFLIJPM-KRWDZBQOSA-N 0 3 318.367 4.063 20 0 DIADHN Fc1cc(CN2CC3CCC2CC3)cc(OC(F)(F)F)c1 ZINC001143262128 1047546063 /nfs/dbraw/zinc/54/60/63/1047546063.db2.gz KCRWGYHISVSQEZ-UHFFFAOYSA-N 0 3 303.299 4.099 20 0 DIADHN CCCc1ccc([C@H](NCC(=O)NCC(C)(C)C)C(C)C)cc1 ZINC001168351206 1047549700 /nfs/dbraw/zinc/54/97/00/1047549700.db2.gz PKFKGODJFPAIRR-LJQANCHMSA-N 0 3 318.505 4.088 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000093764240 1047551057 /nfs/dbraw/zinc/55/10/57/1047551057.db2.gz NDRSXFPOXPFJDE-ZFWWWQNUSA-N 0 3 304.459 4.313 20 0 DIADHN Cc1cnc(CN[C@H](C)[C@@H](C)c2nc3ccccc3s2)s1 ZINC000122941120 1047562241 /nfs/dbraw/zinc/56/22/41/1047562241.db2.gz SCNVSTWHYYTXAN-VXGBXAGGSA-N 0 3 317.483 4.343 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1 ZINC000537654638 1047569388 /nfs/dbraw/zinc/56/93/88/1047569388.db2.gz DROFMVIVVIFCBN-INIZCTEOSA-N 0 3 312.457 4.392 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1c(C(C)C)nc2sc(C)nn12 ZINC000537662069 1047572681 /nfs/dbraw/zinc/57/26/81/1047572681.db2.gz YZXCRSHHTARGGK-GFCCVEGCSA-N 0 3 308.495 4.091 20 0 DIADHN C[C@H](c1ccncc1)N(C)CCOc1ccc2ccccc2c1 ZINC000537678941 1047574799 /nfs/dbraw/zinc/57/47/99/1047574799.db2.gz XYNICJCOLLKRQG-MRXNPFEDSA-N 0 3 306.409 4.307 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(Cl)nc2)cc1C ZINC000926903426 1047579183 /nfs/dbraw/zinc/57/91/83/1047579183.db2.gz VIBKYFTUTJPLLW-QWHCGFSZSA-N 0 3 304.821 4.464 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)C(=O)Nc1ccc(Cl)cc1 ZINC000192678521 1047586080 /nfs/dbraw/zinc/58/60/80/1047586080.db2.gz MGXVYMGPDCWHNY-WDEREUQCSA-N 0 3 308.834 4.079 20 0 DIADHN CC(C)P(CCNC(=O)c1cncc2ccccc21)C(C)C ZINC001150927841 1047587986 /nfs/dbraw/zinc/58/79/86/1047587986.db2.gz CQOXLHXKWMYVLV-UHFFFAOYSA-N 0 3 316.385 4.263 20 0 DIADHN COc1cccc(CN2CCCC[C@@H](SC)C2)c1OC(C)C ZINC000537697929 1047588162 /nfs/dbraw/zinc/58/81/62/1047588162.db2.gz QUBNYASHBFKRFB-MRXNPFEDSA-N 0 3 323.502 4.200 20 0 DIADHN CN(CCCC(=O)c1ccc(F)cc1)Cc1ccc(F)cc1 ZINC000314958463 1047591848 /nfs/dbraw/zinc/59/18/48/1047591848.db2.gz BAZAZVABHYKPHW-UHFFFAOYSA-N 0 3 303.352 4.060 20 0 DIADHN Cc1cc([C@H](C)NC/C=C/c2ccccc2[N+](=O)[O-])c(C)o1 ZINC000237515908 1047593346 /nfs/dbraw/zinc/59/33/46/1047593346.db2.gz HCNVNUMLUGMAEL-CFNZNRNTSA-N 0 3 300.358 4.169 20 0 DIADHN FC(F)(F)C[C@H](NCCOc1ccccc1)c1ccccc1 ZINC000315202658 1047601047 /nfs/dbraw/zinc/60/10/47/1047601047.db2.gz QYYZVTBZGYLOQE-INIZCTEOSA-N 0 3 309.331 4.349 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1ccn(C2CCCC2)n1 ZINC000477262585 1047609090 /nfs/dbraw/zinc/60/90/90/1047609090.db2.gz DKIMTDOCEJEXBW-OXJNMPFZSA-N 0 3 309.457 4.376 20 0 DIADHN CC(C)(NCc1cnn(-c2ccc(F)cc2)c1)c1ccccc1 ZINC000477295694 1047611494 /nfs/dbraw/zinc/61/14/94/1047611494.db2.gz GHRJTGAXPYPQRL-UHFFFAOYSA-N 0 3 309.388 4.036 20 0 DIADHN Cc1ccc(-c2nc(CN3CCC[C@H]3C3CCC3)cs2)o1 ZINC000477646706 1047629203 /nfs/dbraw/zinc/62/92/03/1047629203.db2.gz QRDLMMLNFDCIHZ-HNNXBMFYSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)sc1C ZINC000477764067 1047635739 /nfs/dbraw/zinc/63/57/39/1047635739.db2.gz KJLBNDDALFVXCM-CQSZACIVSA-N 0 3 306.862 4.403 20 0 DIADHN CN(Cc1ccc(-c2cc[nH]n2)o1)Cc1cccc2ccccc21 ZINC001204382071 1047636488 /nfs/dbraw/zinc/63/64/88/1047636488.db2.gz PXYAJOHPVUEPHL-UHFFFAOYSA-N 0 3 317.392 4.455 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cnc(C2CC2)s1 ZINC000537772980 1047637542 /nfs/dbraw/zinc/63/75/42/1047637542.db2.gz CUSVXYUQEYJLLN-MEDUHNTESA-N 0 3 320.433 4.025 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1CCC[C@H](C)C1 ZINC000537811472 1047638444 /nfs/dbraw/zinc/63/84/44/1047638444.db2.gz WOGYHMRZHGSLEW-WMLDXEAASA-N 0 3 302.462 4.000 20 0 DIADHN C[C@H](CCc1ccco1)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000537883481 1047642276 /nfs/dbraw/zinc/64/22/76/1047642276.db2.gz SWQXXFIDRLMCGG-OLZOCXBDSA-N 0 3 304.459 4.287 20 0 DIADHN CSc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)ccn1 ZINC000537936782 1047644674 /nfs/dbraw/zinc/64/46/74/1047644674.db2.gz IDTSLOGRHJYAJN-OAHLLOKOSA-N 0 3 304.846 4.234 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@@H]1N[C@H](C)c1nccs1 ZINC000537948315 1047645449 /nfs/dbraw/zinc/64/54/49/1047645449.db2.gz HMZJJPDQZLWUEA-HLLBOEOZSA-N 0 3 316.470 4.492 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1ccc(Cl)cc1 ZINC000537953701 1047646882 /nfs/dbraw/zinc/64/68/82/1047646882.db2.gz FQLZPENROHUMSX-UGSOOPFHSA-N 0 3 300.833 4.177 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1F)c1nc2c(s1)CCCC2 ZINC000537977195 1047648750 /nfs/dbraw/zinc/64/87/50/1047648750.db2.gz XPJSINKFLGFYJU-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1C)c1ccc(F)cc1N(C)C ZINC000537980337 1047649560 /nfs/dbraw/zinc/64/95/60/1047649560.db2.gz RJTPEKRNLPSVRB-PJSUUKDQSA-N 0 3 312.432 4.476 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(OC(C)C)nc2)C2CC2)cc1 ZINC000538060862 1047656354 /nfs/dbraw/zinc/65/63/54/1047656354.db2.gz UNHQJAUOOBNYET-FQEVSTJZSA-N 0 3 310.441 4.418 20 0 DIADHN COc1ccc([C@H](NCc2ccnc(SC)c2)C(C)C)cc1 ZINC000538114316 1047659276 /nfs/dbraw/zinc/65/92/76/1047659276.db2.gz FPUFLEKJQDEPSE-GOSISDBHSA-N 0 3 316.470 4.299 20 0 DIADHN CCN(Cc1ccccc1)C(=O)[C@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000538130075 1047660279 /nfs/dbraw/zinc/66/02/79/1047660279.db2.gz UJJHMENXNJCXBO-MSOLQXFVSA-N 0 3 324.468 4.083 20 0 DIADHN COCCSc1ccc([C@H](C)NCCC(F)(F)F)cc1 ZINC000538204941 1047661381 /nfs/dbraw/zinc/66/13/81/1047661381.db2.gz OBKUBKWXDWGOFC-NSHDSACASA-N 0 3 307.381 4.028 20 0 DIADHN C[C@@H]1CCN(C(=O)C(C)(C)N2CCCCC2)CC12CCCCC2 ZINC001154934202 1047661591 /nfs/dbraw/zinc/66/15/91/1047661591.db2.gz BDHMNFROMQMNQX-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CCCCCC(C)(C)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001154890499 1047661634 /nfs/dbraw/zinc/66/16/34/1047661634.db2.gz ZRXGYRJWEJLFTJ-MRXNPFEDSA-N 0 3 308.510 4.068 20 0 DIADHN COc1c(C)cnc(CN[C@H](c2cccs2)C2CC2)c1C ZINC000538268676 1047665624 /nfs/dbraw/zinc/66/56/24/1047665624.db2.gz KTIHYHUEOFUMPT-INIZCTEOSA-N 0 3 302.443 4.009 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc2cc(Cl)ccc2n1 ZINC001155247827 1047672998 /nfs/dbraw/zinc/67/29/98/1047672998.db2.gz MQVVCRHXRWZLHN-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc2cccc(Cl)c2n1 ZINC001155255311 1047673581 /nfs/dbraw/zinc/67/35/81/1047673581.db2.gz RMWZPXJRQOOVFN-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN C[C@H](CN[C@H](C)c1cccc(F)c1F)Oc1ccccc1F ZINC000538444800 1047676753 /nfs/dbraw/zinc/67/67/53/1047676753.db2.gz QRCFBOASKCTGIS-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cnc(C2CC2)s1 ZINC000538486552 1047682574 /nfs/dbraw/zinc/68/25/74/1047682574.db2.gz PAKBMJFEIYLALQ-BZNIZROVSA-N 0 3 320.433 4.025 20 0 DIADHN Clc1ccc2ccnc(N[C@@H]3CNCc4ccsc43)c2c1 ZINC001155561698 1047684152 /nfs/dbraw/zinc/68/41/52/1047684152.db2.gz OEEIVDSGHPNYME-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1ccc2nc(N[C@H]3CNCc4ccsc43)ccc2c1 ZINC001155559741 1047684163 /nfs/dbraw/zinc/68/41/63/1047684163.db2.gz QMBSIFJCIUSJFH-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN Cc1cccc2c(C)cc(N[C@H]3CNCc4ccsc43)nc12 ZINC001155558876 1047684252 /nfs/dbraw/zinc/68/42/52/1047684252.db2.gz IJLNKQTVAZMZBO-HNNXBMFYSA-N 0 3 309.438 4.170 20 0 DIADHN Cc1cccc2c(C)cc(N[C@@H]3CNCc4ccsc43)nc12 ZINC001155558878 1047684507 /nfs/dbraw/zinc/68/45/07/1047684507.db2.gz IJLNKQTVAZMZBO-OAHLLOKOSA-N 0 3 309.438 4.170 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643396 1047685844 /nfs/dbraw/zinc/68/58/44/1047685844.db2.gz QJLABARAZDRBOK-CXAGYDPISA-N 0 3 324.896 4.131 20 0 DIADHN CC(C)c1ccc(CNc2ccc(CN(C)C3CC3)cn2)cc1 ZINC001155948770 1047693006 /nfs/dbraw/zinc/69/30/06/1047693006.db2.gz XMJCPZKBMDNBTJ-UHFFFAOYSA-N 0 3 309.457 4.411 20 0 DIADHN C[C@@]12c3ccccc3[C@@H](Cc3ccccc31)N2c1cccc(N)n1 ZINC001156005069 1047695906 /nfs/dbraw/zinc/69/59/06/1047695906.db2.gz PULSAJYIXGJUFO-NQIIRXRSSA-N 0 3 313.404 4.045 20 0 DIADHN CCc1cc(CN[C@@H](CSc2ccccc2)C(C)C)on1 ZINC000538712730 1047698179 /nfs/dbraw/zinc/69/81/79/1047698179.db2.gz WRLDWRGQAVPSML-KRWDZBQOSA-N 0 3 304.459 4.144 20 0 DIADHN COc1c(C)cnc(CN[C@]2(C)CCCc3ccccc32)c1C ZINC000538708076 1047698393 /nfs/dbraw/zinc/69/83/93/1047698393.db2.gz MEEIACDQFHRPQW-HXUWFJFHSA-N 0 3 310.441 4.048 20 0 DIADHN Cc1ccsc1CN1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000538717371 1047698751 /nfs/dbraw/zinc/69/87/51/1047698751.db2.gz WYADNLVAYDSGMI-OAHLLOKOSA-N 0 3 317.429 4.291 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3ccccc3Cl)o2)CC1 ZINC000538718042 1047699206 /nfs/dbraw/zinc/69/92/06/1047699206.db2.gz QYFGQMDHFCAFET-UHFFFAOYSA-N 0 3 319.832 4.337 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CN1CC[C@@H]1Cc1ccccc1 ZINC000538722125 1047700387 /nfs/dbraw/zinc/70/03/87/1047700387.db2.gz YPZDDLOPWZGYKY-QGZVFWFLSA-N 0 3 321.399 4.033 20 0 DIADHN CCCCOC1CCN(Cc2cccc3c2OC(C)(C)C3)CC1 ZINC000538723422 1047700673 /nfs/dbraw/zinc/70/06/73/1047700673.db2.gz CDTRYVFNDPKACN-UHFFFAOYSA-N 0 3 317.473 4.181 20 0 DIADHN Clc1cccc(CN2CC[C@@H](C3CCOCC3)C2)c1Cl ZINC000538724255 1047700816 /nfs/dbraw/zinc/70/08/16/1047700816.db2.gz ZVWGPGDUYCUCRI-CYBMUJFWSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2Cc2ccc3[nH]cnc3c2)cc1 ZINC000538737657 1047704880 /nfs/dbraw/zinc/70/48/80/1047704880.db2.gz UHSGEIMTPZBMAH-IBGZPJMESA-N 0 3 323.465 4.161 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2Cc2ccc3nc[nH]c3c2)cc1 ZINC000538737657 1047704882 /nfs/dbraw/zinc/70/48/82/1047704882.db2.gz UHSGEIMTPZBMAH-IBGZPJMESA-N 0 3 323.465 4.161 20 0 DIADHN C[C@H]1C[C@H](c2cccc(F)c2)N(Cc2cnn3ccccc23)C1 ZINC000538737184 1047704985 /nfs/dbraw/zinc/70/49/85/1047704985.db2.gz KFEFIYWJQPGIRV-IFXJQAMLSA-N 0 3 309.388 4.057 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(Cc2cnn3ccccc23)C1 ZINC000538737183 1047705032 /nfs/dbraw/zinc/70/50/32/1047705032.db2.gz KFEFIYWJQPGIRV-AUUYWEPGSA-N 0 3 309.388 4.057 20 0 DIADHN COc1ccccc1/C=C/CN(CCC(F)(F)F)CC1CC1 ZINC000538812834 1047714444 /nfs/dbraw/zinc/71/44/44/1047714444.db2.gz JUYBEBUXOMLQGE-GQCTYLIASA-N 0 3 313.363 4.373 20 0 DIADHN CCCC(O)(CCC)CNCc1ncc(-c2ccccc2)s1 ZINC000538854017 1047715735 /nfs/dbraw/zinc/71/57/35/1047715735.db2.gz LKCKLZUSEHVTKA-UHFFFAOYSA-N 0 3 318.486 4.231 20 0 DIADHN Fc1cc(Cl)cnc1NC[C@H](c1ccccc1)N1CCCC1 ZINC001156523862 1047716137 /nfs/dbraw/zinc/71/61/37/1047716137.db2.gz WNCQLDHZLNWFHS-MRXNPFEDSA-N 0 3 319.811 4.123 20 0 DIADHN Fc1ccc(Cl)nc1NC[C@@H](c1ccccc1)N1CCCC1 ZINC001156531599 1047716268 /nfs/dbraw/zinc/71/62/68/1047716268.db2.gz OWKREJXNWZFGTM-HNNXBMFYSA-N 0 3 319.811 4.123 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](C(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000539042731 1047726585 /nfs/dbraw/zinc/72/65/85/1047726585.db2.gz HZAAGPAHUMZHAE-WOSRLPQWSA-N 0 3 322.518 4.266 20 0 DIADHN C[C@H](NCc1ccc(CO)c(F)c1)c1cc2c(s1)CCCC2 ZINC000539054393 1047728199 /nfs/dbraw/zinc/72/81/99/1047728199.db2.gz LYYJVBXALCZDOI-LBPRGKRZSA-N 0 3 319.445 4.109 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@H](C)c2ccccc2F)cc1 ZINC000539051323 1047728388 /nfs/dbraw/zinc/72/83/88/1047728388.db2.gz FIKCITBDMQDFEE-BIENJYKASA-N 0 3 317.404 4.261 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000539056129 1047729489 /nfs/dbraw/zinc/72/94/89/1047729489.db2.gz WPCNLSKBDSEMQE-MLGOLLRUSA-N 0 3 309.331 4.090 20 0 DIADHN Cc1ccc(C)c([C@@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1 ZINC000539056647 1047729713 /nfs/dbraw/zinc/72/97/13/1047729713.db2.gz UBSSAOPZXFQRQF-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c1 ZINC000539056647 1047729715 /nfs/dbraw/zinc/72/97/15/1047729715.db2.gz UBSSAOPZXFQRQF-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCCC[C@@H]2c2ccccc2)s1 ZINC000539060167 1047729766 /nfs/dbraw/zinc/72/97/66/1047729766.db2.gz NULCJNFBJBHKTC-CVEARBPZSA-N 0 3 315.486 4.478 20 0 DIADHN CCCCCC[C@H](CC)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001156964565 1047730550 /nfs/dbraw/zinc/73/05/50/1047730550.db2.gz LOMHOFZQELXQLF-WMZOPIPTSA-N 0 3 308.510 4.116 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(OC)c(F)c2)c(C)c1 ZINC000539063850 1047730590 /nfs/dbraw/zinc/73/05/90/1047730590.db2.gz XDNBVYJANWUWAN-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1ccccc1N(C)C ZINC000539074807 1047734151 /nfs/dbraw/zinc/73/41/51/1047734151.db2.gz DZOVPQNZQUAPMN-VLIAUNLRSA-N 0 3 312.432 4.305 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cccc(OC(F)(F)F)c1 ZINC000539103688 1047736437 /nfs/dbraw/zinc/73/64/37/1047736437.db2.gz AZKYSRZARVFWTJ-GFCCVEGCSA-N 0 3 324.346 4.393 20 0 DIADHN CCCCCCN(C)CC(=O)N1C[C@@H](C)C[C@H]1c1ccccc1 ZINC001157230774 1047737671 /nfs/dbraw/zinc/73/76/71/1047737671.db2.gz BZWBPFYFGQDSMW-HKUYNNGSSA-N 0 3 316.489 4.108 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccccn1)Oc1cccc(Cl)c1 ZINC000539121680 1047738277 /nfs/dbraw/zinc/73/82/77/1047738277.db2.gz BRCGVMHPBLKCSF-UKRRQHHQSA-N 0 3 304.821 4.243 20 0 DIADHN COc1cc([C@H](C)NCCC(F)(F)F)ccc1OCC(C)C ZINC000539270694 1047744148 /nfs/dbraw/zinc/74/41/48/1047744148.db2.gz UWKOZRPCPJUGBF-LBPRGKRZSA-N 0 3 319.367 4.333 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)Nc1ccccc1)c1cccs1 ZINC000539276860 1047744163 /nfs/dbraw/zinc/74/41/63/1047744163.db2.gz LPBMMEJWMAVEJU-GOSISDBHSA-N 0 3 316.470 4.454 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)N1CCC[C@H](C)C1)c1cccs1 ZINC000539277145 1047744235 /nfs/dbraw/zinc/74/42/35/1047744235.db2.gz NYBRETORULJJCS-KBXCAEBGSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@H](CNC1(c2ccc(Br)cc2)CC1)C(F)(F)F ZINC000539277336 1047744259 /nfs/dbraw/zinc/74/42/59/1047744259.db2.gz XMDUVIDZWLGPIH-SECBINFHSA-N 0 3 322.168 4.226 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc(Cl)c2C)cc1 ZINC000539234329 1047744290 /nfs/dbraw/zinc/74/42/90/1047744290.db2.gz VKZJMQAFVWYMEZ-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN CCc1ccccc1NC(=O)CNC1(c2ccccc2)CCCC1 ZINC000539296081 1047745218 /nfs/dbraw/zinc/74/52/18/1047745218.db2.gz YCCPSUKHENMVHP-UHFFFAOYSA-N 0 3 322.452 4.247 20 0 DIADHN COC(=O)c1ccc(CNC(C)(C)c2cccc(Cl)c2)cc1 ZINC000539286517 1047745337 /nfs/dbraw/zinc/74/53/37/1047745337.db2.gz LOXMKGKJBIJTCN-UHFFFAOYSA-N 0 3 317.816 4.152 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)Nc2ccccc2Cl)c1 ZINC000539307396 1047747213 /nfs/dbraw/zinc/74/72/13/1047747213.db2.gz JBOGRFUSLODMPM-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@H](c2cccs2)C2CC2)c1 ZINC000539368053 1047749979 /nfs/dbraw/zinc/74/99/79/1047749979.db2.gz DNYDQJNWQGSNOY-GOSISDBHSA-N 0 3 314.454 4.044 20 0 DIADHN CCC[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccccn1 ZINC000477850226 1047755975 /nfs/dbraw/zinc/75/59/75/1047755975.db2.gz IPXYCXFWHRLPKX-DLBZAZTESA-N 0 3 302.462 4.394 20 0 DIADHN CC1CCC(N(C)Cc2nnc(-c3ccccc3Cl)o2)CC1 ZINC000061799145 1047771490 /nfs/dbraw/zinc/77/14/90/1047771490.db2.gz MVJWZZZQDWQTIU-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H]1C[C@H](Nc2ncccc2CN2CCCC2)c2ccccc21 ZINC001158477540 1047785069 /nfs/dbraw/zinc/78/50/69/1047785069.db2.gz SHNFJDTWFBTHMA-BEFAXECRSA-N 0 3 307.441 4.338 20 0 DIADHN CN1CCC(N(c2cc3ccccc3cn2)C2CCCC2)CC1 ZINC001158488186 1047786271 /nfs/dbraw/zinc/78/62/71/1047786271.db2.gz MCQSOCWOTXCGBU-UHFFFAOYSA-N 0 3 309.457 4.078 20 0 DIADHN Cc1cc(N(C2CCCC2)C2CCN(C)CC2)nc2ccccc12 ZINC001158488239 1047786338 /nfs/dbraw/zinc/78/63/38/1047786338.db2.gz OMIQVPQDXGDKCX-UHFFFAOYSA-N 0 3 323.484 4.386 20 0 DIADHN CC(C)c1ccc(N(C2CCCC2)C2CCN(C)CC2)nc1 ZINC001158488374 1047786525 /nfs/dbraw/zinc/78/65/25/1047786525.db2.gz VUXKKQKXYPDERU-UHFFFAOYSA-N 0 3 301.478 4.048 20 0 DIADHN Nc1cccc(N2CCCC[C@@H]2c2ccccc2C(F)(F)F)n1 ZINC001158501279 1047786740 /nfs/dbraw/zinc/78/67/40/1047786740.db2.gz QIWZCBKMKKYNKC-CQSZACIVSA-N 0 3 321.346 4.414 20 0 DIADHN CC(C)[C@H](N[C@@H]1C[C@H](C)Sc2sccc21)c1cnn(C)c1 ZINC000927068623 1047793846 /nfs/dbraw/zinc/79/38/46/1047793846.db2.gz KELGSGGYHCPCDN-GLQYFDAESA-N 0 3 321.515 4.394 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc(C)c1F ZINC000927069758 1047795176 /nfs/dbraw/zinc/79/51/76/1047795176.db2.gz NEKNZODQCWFTOY-RDTXWAMCSA-N 0 3 303.425 4.224 20 0 DIADHN CCc1ccc([C@H](C)NCc2cnc(OC)c(Cl)c2)cc1 ZINC000552852161 1047800305 /nfs/dbraw/zinc/80/03/05/1047800305.db2.gz KKAVFKHVQWUHHE-LBPRGKRZSA-N 0 3 304.821 4.157 20 0 DIADHN COC[C@H](N[C@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000552893009 1047803743 /nfs/dbraw/zinc/80/37/43/1047803743.db2.gz IMTSBQMWDCDXRM-RBUKOAKNSA-N 0 3 315.844 4.444 20 0 DIADHN COC[C@@H](N[C@@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000552893007 1047803826 /nfs/dbraw/zinc/80/38/26/1047803826.db2.gz IMTSBQMWDCDXRM-MOPGFXCFSA-N 0 3 315.844 4.444 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(C)c([N+](=O)[O-])c2)cc1 ZINC000078303164 1047805342 /nfs/dbraw/zinc/80/53/42/1047805342.db2.gz NDXLTQDGMBGBPQ-AWEZNQCLSA-N 0 3 314.385 4.153 20 0 DIADHN CN(C)c1cccc(NC/C(=C/F)CCc2ccc(F)cc2)n1 ZINC001159049817 1047809158 /nfs/dbraw/zinc/80/91/58/1047809158.db2.gz UPAIPWORTOWSFR-NTCAYCPXSA-N 0 3 317.383 4.185 20 0 DIADHN [O-]c1cccc2sc(Nc3ccc([C@H]4CCC[NH2+]4)cc3)nc21 ZINC001159113375 1047813395 /nfs/dbraw/zinc/81/33/95/1047813395.db2.gz OVNOAZZLRAYCLZ-CYBMUJFWSA-N 0 3 311.410 4.170 20 0 DIADHN C[C@@H]1CN(C[C@@H](O)c2ccc(Cl)c(F)c2)Cc2ccccc21 ZINC001197552444 1047845929 /nfs/dbraw/zinc/84/59/29/1047845929.db2.gz KBKIYJPMLZSGSP-KZULUSFZSA-N 0 3 319.807 4.132 20 0 DIADHN Cc1nn2c(CN3CCC(C)(C)CC(C)(C)C3)c(C)nc2s1 ZINC001182825806 1047849308 /nfs/dbraw/zinc/84/93/08/1047849308.db2.gz KGLYAQYQZMNYPG-UHFFFAOYSA-N 0 3 320.506 4.056 20 0 DIADHN Cc1cc(F)cc(Nc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC001174530194 1047859417 /nfs/dbraw/zinc/85/94/17/1047859417.db2.gz CVZUSPDYUGLYKV-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CC(C)Cc1ccc(CN2CCC([C@H]3CCCCO3)CC2)cn1 ZINC001182918676 1047864811 /nfs/dbraw/zinc/86/48/11/1047864811.db2.gz QJLXASATZKYVTG-HXUWFJFHSA-N 0 3 316.489 4.061 20 0 DIADHN Cc1cnccc1Nc1ccc2nc(C(F)(F)F)ccc2c1 ZINC001174539801 1047865687 /nfs/dbraw/zinc/86/56/87/1047865687.db2.gz SEQJDVWNFPSZBL-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Cc1cnccc1Nc1cnc2cc(C(F)(F)F)ccc2c1 ZINC001174537629 1047865749 /nfs/dbraw/zinc/86/57/49/1047865749.db2.gz NFARDRGNDIZXSR-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Cc1ccc(Nc2ccncc2C)cc1NC(=O)OC(C)(C)C ZINC001174538114 1047865860 /nfs/dbraw/zinc/86/58/60/1047865860.db2.gz AJXOEXJEDLJWAJ-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN Cc1cnccc1Nc1c(F)cccc1NC(=O)OC(C)(C)C ZINC001174538902 1047866100 /nfs/dbraw/zinc/86/61/00/1047866100.db2.gz RLUMOCVKXWJLMT-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN c1cc2cc(NC3=CCN(Cc4ccccc4)CC3)ccc2[nH]1 ZINC001159563973 1047868425 /nfs/dbraw/zinc/86/84/25/1047868425.db2.gz OJQWISMUVJIZOT-UHFFFAOYSA-N 0 3 303.409 4.370 20 0 DIADHN c1cc2cc(NC3=CCCN(Cc4ccccc4)C3)ccc2[nH]1 ZINC001159564036 1047868496 /nfs/dbraw/zinc/86/84/96/1047868496.db2.gz QYGFWDHJOXNTPD-UHFFFAOYSA-N 0 3 303.409 4.370 20 0 DIADHN Cc1cccc2[nH]c(CN3CC(Cc4ccccc4C)C3)cc21 ZINC001182989811 1047872106 /nfs/dbraw/zinc/87/21/06/1047872106.db2.gz LLEQPUZFKKDODL-UHFFFAOYSA-N 0 3 304.437 4.459 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCOc2ccc(O)cc2C1 ZINC001183025508 1047881897 /nfs/dbraw/zinc/88/18/97/1047881897.db2.gz SEWOYRHBFFAHDL-HNNXBMFYSA-N 0 3 303.446 4.193 20 0 DIADHN C[C@H]1CCc2nc(CNC3(Cc4ccccc4)CC3)sc2C1 ZINC000479415130 1047886548 /nfs/dbraw/zinc/88/65/48/1047886548.db2.gz XPKKBUSFSCLZQT-AWEZNQCLSA-N 0 3 312.482 4.133 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccc(Br)cc1F ZINC000479455173 1047897758 /nfs/dbraw/zinc/89/77/58/1047897758.db2.gz RLVBSLCAAKOZAK-MRVPVSSYSA-N 0 3 322.168 4.284 20 0 DIADHN COc1cc(OC)c([C@@H](C)NCC2(C(F)F)CC2)cc1Cl ZINC000479446096 1047901881 /nfs/dbraw/zinc/90/18/81/1047901881.db2.gz NODCOARLZJHAOF-SECBINFHSA-N 0 3 319.779 4.053 20 0 DIADHN COC1CCC(C)(CN[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000479448839 1047902160 /nfs/dbraw/zinc/90/21/60/1047902160.db2.gz FOGMQRFYKKCNDO-CKHPTIKVSA-N 0 3 324.468 4.356 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc([C@@H]2C[C@H]2C)o1)c1ccco1 ZINC000553659701 1047907140 /nfs/dbraw/zinc/90/71/40/1047907140.db2.gz BQJNPKBVUSKYTK-DJIMGWMZSA-N 0 3 316.445 4.169 20 0 DIADHN C[C@H](NCc1cccc(NC(=O)C2CCCC2)c1)C1(Cl)CC1 ZINC000924722194 1047912549 /nfs/dbraw/zinc/91/25/49/1047912549.db2.gz SBFRDBOGHFSZOU-ZDUSSCGKSA-N 0 3 320.864 4.065 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@H]3C(C)=CCC[C@H]3C)CCO2)cc1 ZINC001183362912 1047919563 /nfs/dbraw/zinc/91/95/63/1047919563.db2.gz FTKJPMSGDRQOAP-UXPWSPDFSA-N 0 3 315.457 4.061 20 0 DIADHN CCCN(Cc1ccc(SC)o1)Cc1ccc(OC)cc1 ZINC001183327103 1047920885 /nfs/dbraw/zinc/92/08/85/1047920885.db2.gz ZUDCYEWDGITJDU-UHFFFAOYSA-N 0 3 305.443 4.422 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@@H](C(=O)OC)C[C@H]2C)cc1 ZINC001198033226 1047922598 /nfs/dbraw/zinc/92/25/98/1047922598.db2.gz DHLYUIQJLHBVDB-NVXWUHKLSA-N 0 3 317.473 4.148 20 0 DIADHN [O-]c1ccc(Cl)c(Nc2ccc([C@H]3CC[NH2+]C3)cc2)c1F ZINC001159777168 1047923264 /nfs/dbraw/zinc/92/32/64/1047923264.db2.gz KFCBRZIDKFMJAY-NSHDSACASA-N 0 3 306.768 4.005 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1coc(-c2cccs2)n1 ZINC000479544808 1047923508 /nfs/dbraw/zinc/92/35/08/1047923508.db2.gz SEVLROOHGHIHGS-ZFWWWQNUSA-N 0 3 302.443 4.485 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1ccn(C)n1 ZINC000479542270 1047924397 /nfs/dbraw/zinc/92/43/97/1047924397.db2.gz WTNJVIVIXUHYFK-BPUTZDHNSA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H]1C[C@H](NCC2(c3cccc(Cl)c3)CCC2)c2nccn21 ZINC001183370693 1047924553 /nfs/dbraw/zinc/92/45/53/1047924553.db2.gz JQRYSNQQPWWQGO-CJNGLKHVSA-N 0 3 315.848 4.254 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCC1)c1cc(Cl)ccc1Cl ZINC000479550324 1047926610 /nfs/dbraw/zinc/92/66/10/1047926610.db2.gz BXLQEOMMVGGOGU-GWCFXTLKSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCC1)c1cccc(Cl)c1Cl ZINC000479556925 1047930597 /nfs/dbraw/zinc/93/05/97/1047930597.db2.gz WTYUYWRLIOBERW-JQWIXIFHSA-N 0 3 302.245 4.195 20 0 DIADHN Fc1ccc(CCN[C@H]2c3ccccc3CC[C@@H]2F)cc1F ZINC001183490551 1047931981 /nfs/dbraw/zinc/93/19/81/1047931981.db2.gz IPIWSYIUCKSSNX-WMZOPIPTSA-N 0 3 305.343 4.123 20 0 DIADHN Cc1cc(CN[C@@H]2CCCC[C@@H]2F)cc(C)c1OC(F)F ZINC001203314333 1047932645 /nfs/dbraw/zinc/93/26/45/1047932645.db2.gz NAWMSLNPYQCTIX-UONOGXRCSA-N 0 3 301.352 4.275 20 0 DIADHN C[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)C(F)(F)F ZINC000479582650 1047936440 /nfs/dbraw/zinc/93/64/40/1047936440.db2.gz KWWXDRYRMNQPLY-JTQLQIEISA-N 0 3 301.283 4.374 20 0 DIADHN C[C@H](CNCc1ccccc1OC1CCCC1)C(F)(F)F ZINC000479594724 1047940372 /nfs/dbraw/zinc/94/03/72/1047940372.db2.gz UAELPUXAGPJIKF-GFCCVEGCSA-N 0 3 301.352 4.296 20 0 DIADHN Cc1oncc1CN1CCC[C@@]1(C)Cc1ccc(Cl)s1 ZINC001198299519 1047943089 /nfs/dbraw/zinc/94/30/89/1047943089.db2.gz FURIRXXAGGASNV-HNNXBMFYSA-N 0 3 310.850 4.295 20 0 DIADHN Cc1ccc(C2=NO[C@@H](CNCc3ccc4occc4c3)C2)cc1 ZINC001183607647 1047943420 /nfs/dbraw/zinc/94/34/20/1047943420.db2.gz UQEGZSNMDCKPAB-GOSISDBHSA-N 0 3 320.392 4.024 20 0 DIADHN Nc1cccc(F)c1Nc1cccc(CN2CCCCC2)c1F ZINC001159903806 1047945380 /nfs/dbraw/zinc/94/53/80/1047945380.db2.gz JPTKVXQLXVBSMG-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN COC[C@H](CC(C)C)NCc1ccc(Cl)cc1OC(C)C ZINC001183580710 1047948529 /nfs/dbraw/zinc/94/85/29/1047948529.db2.gz CDWKDXDCNNSUSY-INIZCTEOSA-N 0 3 313.869 4.278 20 0 DIADHN CC[C@H]1CCCC[C@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001183642752 1047951990 /nfs/dbraw/zinc/95/19/90/1047951990.db2.gz LKLJXLKRDHVCBV-GJZGRUSLSA-N 0 3 304.478 4.496 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000924725530 1047952580 /nfs/dbraw/zinc/95/25/80/1047952580.db2.gz XJJTZRXXRZLQOU-GJZGRUSLSA-N 0 3 313.441 4.334 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC3(C)C)cs2)cc1 ZINC000479687556 1047952717 /nfs/dbraw/zinc/95/27/17/1047952717.db2.gz GZQARGPFSTXRRN-UHFFFAOYSA-N 0 3 302.443 4.193 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)NC3CCCCC3)n2)cc1F ZINC000553830981 1047952848 /nfs/dbraw/zinc/95/28/48/1047952848.db2.gz DTZWRNUGIVWCRX-LBPRGKRZSA-N 0 3 303.381 4.167 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(N)ccc2Br)ccn1 ZINC001159928007 1047954209 /nfs/dbraw/zinc/95/42/09/1047954209.db2.gz ZBBGEFQWZCTNDO-UHFFFAOYSA-N 0 3 320.234 4.467 20 0 DIADHN CC1(C)CC(CN[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001174736822 1047958249 /nfs/dbraw/zinc/95/82/49/1047958249.db2.gz WJKCKMFECJQIRI-CYBMUJFWSA-N 0 3 302.245 4.053 20 0 DIADHN Cc1cccc(C)c1CNCc1ccnn1CCc1ccccc1 ZINC000773401204 1047959853 /nfs/dbraw/zinc/95/98/53/1047959853.db2.gz LLAVZAYEJRLCRY-UHFFFAOYSA-N 0 3 319.452 4.032 20 0 DIADHN FC(F)(F)c1cccc(CCCCN2CCn3cccc3C2)c1 ZINC001183738165 1047960500 /nfs/dbraw/zinc/96/05/00/1047960500.db2.gz FMZPBPBHTYOEMG-UHFFFAOYSA-N 0 3 322.374 4.345 20 0 DIADHN c1ccc2c(c1)cccc2OCCN1CCC[C@H]1c1cccnc1 ZINC000553889197 1047960556 /nfs/dbraw/zinc/96/05/56/1047960556.db2.gz PSIBDSKGSDPCHG-FQEVSTJZSA-N 0 3 318.420 4.451 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(c2ccn(C)n2)CC1 ZINC001183769517 1047962295 /nfs/dbraw/zinc/96/22/95/1047962295.db2.gz QEIZRCILJUGFNS-UHFFFAOYSA-N 0 3 317.864 4.016 20 0 DIADHN C[C@H](CCc1ccccc1F)NCc1c(F)cc(F)cc1F ZINC001183740966 1047963586 /nfs/dbraw/zinc/96/35/86/1047963586.db2.gz RXCFPCSLYDZBLW-LLVKDONJSA-N 0 3 311.322 4.354 20 0 DIADHN CC(C)P(CCNC(=O)C(C)(C)c1ccc(O)cc1)C(C)C ZINC001183783629 1047965157 /nfs/dbraw/zinc/96/51/57/1047965157.db2.gz HXOHTFWXCZLQSI-UHFFFAOYSA-N 0 3 323.417 4.085 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1cncc2ccccc21 ZINC000775869148 1047967568 /nfs/dbraw/zinc/96/75/68/1047967568.db2.gz WJCDWTFWVSAXNN-MLGOLLRUSA-N 0 3 308.347 4.398 20 0 DIADHN c1ccc(-c2nnc(CNC3(C4CCCCC4)CC3)s2)cc1 ZINC001198539676 1047969378 /nfs/dbraw/zinc/96/93/78/1047969378.db2.gz DPSVFFMZPLWXOB-UHFFFAOYSA-N 0 3 313.470 4.408 20 0 DIADHN C[C@H]1Cc2cc(CN3CCC(Oc4ccccc4)CC3)ccc2O1 ZINC001183846940 1047970166 /nfs/dbraw/zinc/97/01/66/1047970166.db2.gz VMWCTGXCGMIRKT-INIZCTEOSA-N 0 3 323.436 4.053 20 0 DIADHN Cc1ccc2cc(CN3CCC(c4ccccn4)CC3)[nH]c2c1 ZINC000667554878 1047970887 /nfs/dbraw/zinc/97/08/87/1047970887.db2.gz IBCLKIAJAVUDOX-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1noc(C)c1CCN1CC=C(c2cccc(C)c2C)CC1 ZINC001198563719 1047972998 /nfs/dbraw/zinc/97/29/98/1047972998.db2.gz OXMCMMAZRLCKPO-UHFFFAOYSA-N 0 3 310.441 4.240 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc4cc[nH]c4c3)cc2)CC1 ZINC001174748693 1047975968 /nfs/dbraw/zinc/97/59/68/1047975968.db2.gz WIBXITCIDOSYPF-UHFFFAOYSA-N 0 3 320.440 4.053 20 0 DIADHN Fc1cc(Br)cc([C@H](NC/C=C/Cl)C2CC2)c1 ZINC000775871760 1047976735 /nfs/dbraw/zinc/97/67/35/1047976735.db2.gz MKLAVLZQGQPQSE-FNVMNULWSA-N 0 3 318.617 4.381 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@H](Cc2nccs2)C1 ZINC001198575542 1047976805 /nfs/dbraw/zinc/97/68/05/1047976805.db2.gz XRGJWXZBSKZFLS-MLGOLLRUSA-N 0 3 322.424 4.437 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3CCC4(CCC4)O3)CC2)c1C ZINC001198573751 1047976828 /nfs/dbraw/zinc/97/68/28/1047976828.db2.gz PNUZBFYPAZGZNA-IBGZPJMESA-N 0 3 311.469 4.494 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cnn(CC3CCC3)c2)c(C)c1 ZINC001198551011 1047978587 /nfs/dbraw/zinc/97/85/87/1047978587.db2.gz BACIAVXUPKXBRL-QGZVFWFLSA-N 0 3 311.473 4.459 20 0 DIADHN CC1(C)CC2(CO1)CCC(NCc1nc3ccccc3o1)CC2 ZINC001183909248 1047981530 /nfs/dbraw/zinc/98/15/30/1047981530.db2.gz LCQIZUYTFJGSEQ-UHFFFAOYSA-N 0 3 314.429 4.045 20 0 DIADHN CC(C)(C)CN(CC(=O)OC(C)(C)C)C[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001174818611 1047982529 /nfs/dbraw/zinc/98/25/29/1047982529.db2.gz LBWUPPXGRVELNS-OWCLPIDISA-N 0 3 309.494 4.112 20 0 DIADHN CC(C)(C)CN(CC(=O)OC(C)(C)C)C[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001174818610 1047982607 /nfs/dbraw/zinc/98/26/07/1047982607.db2.gz LBWUPPXGRVELNS-OAGGEKHMSA-N 0 3 309.494 4.112 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)CCC(C)C)nnn1-c1ccccc1 ZINC000479848005 1047987364 /nfs/dbraw/zinc/98/73/64/1047987364.db2.gz CVYQMNYXMUEUGC-LSDHHAIUSA-N 0 3 300.450 4.051 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](c2cccs2)N2CCCC2)o1 ZINC000479847646 1047987575 /nfs/dbraw/zinc/98/75/75/1047987575.db2.gz CJMMZMUARBJFJZ-GDBMZVCRSA-N 0 3 318.486 4.391 20 0 DIADHN CC[C@H]1CC[C@H]1NCc1nc(COC)sc1-c1ccccc1 ZINC000554095030 1048000122 /nfs/dbraw/zinc/00/01/22/1048000122.db2.gz AXKFFXKKQQUEGB-DZGCQCFKSA-N 0 3 316.470 4.235 20 0 DIADHN COCC(C)(C)CCCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000554090778 1048000533 /nfs/dbraw/zinc/00/05/33/1048000533.db2.gz YBIBAXHCRAYOIJ-CYBMUJFWSA-N 0 3 310.507 4.125 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)N[C@H]3C[C@@H]3C(F)F)cs2)cs1 ZINC000554095416 1048002257 /nfs/dbraw/zinc/00/22/57/1048002257.db2.gz FELAGDVDICTRTB-GGVZMXCHSA-N 0 3 314.426 4.484 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC(c2cncn2C)CC1 ZINC001198769128 1048004410 /nfs/dbraw/zinc/00/44/10/1048004410.db2.gz KCYHJEICAVOHED-QGZVFWFLSA-N 0 3 319.399 4.029 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)C[C@@H]1C[C@H]2C[C@H]2C1 ZINC001198755066 1048004930 /nfs/dbraw/zinc/00/49/30/1048004930.db2.gz XFWNCJVFBSVZAP-XQLPTFJDSA-N 0 3 304.503 4.136 20 0 DIADHN Fc1ccc(CN2CCC(n3cnc4ccccc43)CC2)cc1 ZINC001203917449 1048009707 /nfs/dbraw/zinc/00/97/07/1048009707.db2.gz AATLVFHQWAOYNN-UHFFFAOYSA-N 0 3 309.388 4.013 20 0 DIADHN CC(C)NCc1c(Cl)n(-c2ccccc2)nc1C(C)(C)C ZINC001198935353 1048018593 /nfs/dbraw/zinc/01/85/93/1048018593.db2.gz OQLRBDRZEDDZLS-UHFFFAOYSA-N 0 3 305.853 4.321 20 0 DIADHN CC1(C)C[NH2+]Cc2cc(Nc3c(Cl)ccc([O-])c3F)ccc21 ZINC001160285715 1048023353 /nfs/dbraw/zinc/02/33/53/1048023353.db2.gz ZWBUGSWIGNYDHH-UHFFFAOYSA-N 0 3 320.795 4.309 20 0 DIADHN CC1(C)C[NH2+]Cc2cc(Nc3ccc(Cl)c([O-])c3)ccc21 ZINC001160285371 1048023748 /nfs/dbraw/zinc/02/37/48/1048023748.db2.gz RKEPWCAEJMFGDJ-UHFFFAOYSA-N 0 3 302.805 4.170 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CNCc1ccccc1C ZINC001198992095 1048025492 /nfs/dbraw/zinc/02/54/92/1048025492.db2.gz WIJRFFUUTMVDLF-UHFFFAOYSA-N 0 3 315.486 4.040 20 0 DIADHN COc1cc(F)c(CN2CCC[C@@H](C3CCCC3)C2)cc1OC ZINC001184339383 1048029894 /nfs/dbraw/zinc/02/98/94/1048029894.db2.gz QDXWUGDWGDIHGC-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1cccc2[nH]c(CN3CCCO[C@H](c4ccccc4)C3)cc21 ZINC000667592367 1048030211 /nfs/dbraw/zinc/03/02/11/1048030211.db2.gz SGCDVIDBUAFUBV-NRFANRHFSA-N 0 3 320.436 4.440 20 0 DIADHN COc1ccsc1CN[C@H](c1ccc(OC)cc1)C(C)C ZINC001199045762 1048030316 /nfs/dbraw/zinc/03/03/16/1048030316.db2.gz RYWBQSVEPISRLU-KRWDZBQOSA-N 0 3 305.443 4.252 20 0 DIADHN Fc1ccc(CNCCCOc2ccccc2F)c(Cl)c1 ZINC001199052474 1048030622 /nfs/dbraw/zinc/03/06/22/1048030622.db2.gz HIFWXZDJJOVCND-UHFFFAOYSA-N 0 3 311.759 4.177 20 0 DIADHN COc1ccc2cc(CNCc3cccc4c3OCC4)ccc2c1 ZINC001199067869 1048032385 /nfs/dbraw/zinc/03/23/85/1048032385.db2.gz HNTBYTLXLOYRPY-UHFFFAOYSA-N 0 3 319.404 4.073 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H](C)c1cccc(NC(C)=O)c1 ZINC001199111391 1048038398 /nfs/dbraw/zinc/03/83/98/1048038398.db2.gz YYSJHCGPXLISOX-XMELGKRDSA-N 0 3 324.424 4.018 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H](C)c1cccc(NC(C)=O)c1 ZINC001199111390 1048038897 /nfs/dbraw/zinc/03/88/97/1048038897.db2.gz YYSJHCGPXLISOX-VSGCLNPGSA-N 0 3 324.424 4.018 20 0 DIADHN Cc1coc2ccc(NC(=O)[C@@H]3CCCN3C3CCCC3)cc12 ZINC000480053979 1048040503 /nfs/dbraw/zinc/04/05/03/1048040503.db2.gz OHHDPADOMLXGIZ-KRWDZBQOSA-N 0 3 312.413 4.087 20 0 DIADHN CCOCc1ccc(CNCc2ccc3ncccc3c2)cc1 ZINC001199179270 1048041850 /nfs/dbraw/zinc/04/18/50/1048041850.db2.gz WTCANKAGYLMZBU-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](c2ccco2)N2CCCCC2)o1 ZINC000480078789 1048044514 /nfs/dbraw/zinc/04/45/14/1048044514.db2.gz APFRCGHQYVNRDM-NVXWUHKLSA-N 0 3 316.445 4.313 20 0 DIADHN C[C@H](NCc1ccc(OC(F)F)cc1)c1ccc2c(c1)COC2 ZINC000480084765 1048049361 /nfs/dbraw/zinc/04/93/61/1048049361.db2.gz WKLQVOSTPFSTJT-LBPRGKRZSA-N 0 3 319.351 4.169 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccc(-n2cccn2)cc1 ZINC001199265730 1048049752 /nfs/dbraw/zinc/04/97/52/1048049752.db2.gz DEJQGGFHYDFIMK-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN C#CCN(Cc1cc(=O)oc2cc(CC)ccc12)C1CCCCC1 ZINC000065960731 1048052365 /nfs/dbraw/zinc/05/23/65/1048052365.db2.gz RTXOLFKCENQQRK-UHFFFAOYSA-N 0 3 323.436 4.123 20 0 DIADHN CC1(C)Cc2n[nH]cc2CN(Cc2ccc3ccccc3c2)C1 ZINC001174965584 1048054155 /nfs/dbraw/zinc/05/41/55/1048054155.db2.gz NJABHBONCZXCNG-UHFFFAOYSA-N 0 3 305.425 4.147 20 0 DIADHN CCCOc1ccc(CNCc2cc3ccccc3n2C)cc1 ZINC001199366571 1048060180 /nfs/dbraw/zinc/06/01/80/1048060180.db2.gz QAPXLDYKYVOELH-UHFFFAOYSA-N 0 3 308.425 4.257 20 0 DIADHN Cc1cc2[nH]ccc2c(NC(=N)c2cccc3ccccc32)n1 ZINC001160505979 1048061739 /nfs/dbraw/zinc/06/17/39/1048061739.db2.gz ZNTOCXXGCQFZRF-UHFFFAOYSA-N 0 3 300.365 4.462 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1ccnn1-c1ccncc1 ZINC000667626259 1048064991 /nfs/dbraw/zinc/06/49/91/1048064991.db2.gz OEBHRKNTKGZHTF-HXUWFJFHSA-N 0 3 320.440 4.096 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000480129279 1048065275 /nfs/dbraw/zinc/06/52/75/1048065275.db2.gz YTKPOWOHIGJOBT-WBVHZDCISA-N 0 3 314.429 4.025 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000480129276 1048066665 /nfs/dbraw/zinc/06/66/65/1048066665.db2.gz YTKPOWOHIGJOBT-DOTOQJQBSA-N 0 3 314.429 4.025 20 0 DIADHN Cc1ccccc1-n1cc(CNCC2CCCCCCC2)nn1 ZINC001199472341 1048069535 /nfs/dbraw/zinc/06/95/35/1048069535.db2.gz KMOTWZJTQVELQW-UHFFFAOYSA-N 0 3 312.461 4.026 20 0 DIADHN COc1cc(Nc2cccc3c2OCC[C@@H]3N(C)C)ccc1C ZINC001175017611 1048074614 /nfs/dbraw/zinc/07/46/14/1048074614.db2.gz WZHBEZGCCCALDJ-KRWDZBQOSA-N 0 3 312.413 4.132 20 0 DIADHN CC(C)c1ccc(OCCNCc2cnc(C3CC3)s2)cc1 ZINC001199570917 1048075496 /nfs/dbraw/zinc/07/54/96/1048075496.db2.gz CBLVPZDVNYTLFA-UHFFFAOYSA-N 0 3 316.470 4.313 20 0 DIADHN C[C@@H](COCc1ccccc1)CN1CC(C)(C)[C@@H]1c1cccnc1 ZINC001174983371 1048080644 /nfs/dbraw/zinc/08/06/44/1048080644.db2.gz IDBVXEJYWGPSJO-XLIONFOSSA-N 0 3 324.468 4.317 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000554363866 1048080826 /nfs/dbraw/zinc/08/08/26/1048080826.db2.gz RNOQFPSNZUFXOH-KDOFPFPSSA-N 0 3 303.833 4.423 20 0 DIADHN C[C@@H](COCc1ccccc1)CN1CC(C)(C)[C@H]1c1cccnc1 ZINC001174983372 1048081058 /nfs/dbraw/zinc/08/10/58/1048081058.db2.gz IDBVXEJYWGPSJO-YLJYHZDGSA-N 0 3 324.468 4.317 20 0 DIADHN C[C@H](NCCc1cc2ccccc2o1)c1ccc(Cl)cn1 ZINC000480180280 1048082071 /nfs/dbraw/zinc/08/20/71/1048082071.db2.gz LSXZBKOAVAVBIF-LBPRGKRZSA-N 0 3 300.789 4.375 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000554371443 1048083265 /nfs/dbraw/zinc/08/32/65/1048083265.db2.gz NGICNJLARYXTAU-XJKSGUPXSA-N 0 3 306.450 4.149 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCOc1ccccc1C ZINC001199629736 1048083524 /nfs/dbraw/zinc/08/35/24/1048083524.db2.gz MLUALPIPUVPDMW-UHFFFAOYSA-N 0 3 319.832 4.216 20 0 DIADHN CCc1ccc(CNCCOc2cccc(Cl)c2Cl)o1 ZINC001199656598 1048085454 /nfs/dbraw/zinc/08/54/54/1048085454.db2.gz AZAKXOULQNOSPK-UHFFFAOYSA-N 0 3 314.212 4.317 20 0 DIADHN CCOCOc1ccc(CN2Cc3ccccc3C3(CC3)C2)cc1 ZINC000776012778 1048086423 /nfs/dbraw/zinc/08/64/23/1048086423.db2.gz JOQSXABKTMXOLS-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(F)cc1CN[C@H](C)CO ZINC001199722188 1048092196 /nfs/dbraw/zinc/09/21/96/1048092196.db2.gz OKELQLRPSZITLU-GFCCVEGCSA-N 0 3 323.795 4.050 20 0 DIADHN CCCCC[C@H](NCc1nc(-c2ccccn2)no1)C(C)(C)C ZINC000776023468 1048100471 /nfs/dbraw/zinc/10/04/71/1048100471.db2.gz MXDDTDZLHFFGMN-HNNXBMFYSA-N 0 3 316.449 4.216 20 0 DIADHN Clc1ccc(Cl)c(CNCCn2cnc3ccccc32)c1 ZINC001199783976 1048101190 /nfs/dbraw/zinc/10/11/90/1048101190.db2.gz NBXMBJRQUAJWAA-UHFFFAOYSA-N 0 3 320.223 4.133 20 0 DIADHN Cc1ccc(C[C@]2(C)CCCN2Cc2nc3c(o2)CCCC3)cc1 ZINC001175036337 1048101365 /nfs/dbraw/zinc/10/13/65/1048101365.db2.gz PFXGWJWSLGHOPZ-NRFANRHFSA-N 0 3 324.468 4.459 20 0 DIADHN Cc1cc(Cl)ccc1[C@@H](C)Nc1cc(CN(C)C)ccn1 ZINC001161001725 1048103328 /nfs/dbraw/zinc/10/33/28/1048103328.db2.gz UESGSWRRBMTCEZ-CYBMUJFWSA-N 0 3 303.837 4.278 20 0 DIADHN C[C@H](NCCCN(C)c1ccccc1F)c1ccc(Cl)cn1 ZINC000480258733 1048104070 /nfs/dbraw/zinc/10/40/70/1048104070.db2.gz QNYNXXXNUPJGNL-ZDUSSCGKSA-N 0 3 321.827 4.051 20 0 DIADHN C[C@H](c1cccc2ccccc21)N(C)C(=O)[C@@H](N)CC1CCCC1 ZINC001161045300 1048105299 /nfs/dbraw/zinc/10/52/99/1048105299.db2.gz BAEQSRFTGPVRIZ-QRWLVFNGSA-N 0 3 324.468 4.267 20 0 DIADHN C[C@H]1Cc2ccccc2N1CCNCc1cccc(Cl)c1F ZINC001199802428 1048105721 /nfs/dbraw/zinc/10/57/21/1048105721.db2.gz ZWBNYESOOCJDFT-ZDUSSCGKSA-N 0 3 318.823 4.020 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](c1ccco1)N(CC)CC ZINC001199864404 1048109714 /nfs/dbraw/zinc/10/97/14/1048109714.db2.gz JQAYDNOZBLQTNF-SFHVURJKSA-N 0 3 318.436 4.154 20 0 DIADHN CC(C)P(CCNC(=S)NC1CCCCCC1)C(C)C ZINC001199978204 1048130112 /nfs/dbraw/zinc/13/01/12/1048130112.db2.gz BJJZVSUIVRTRNX-UHFFFAOYSA-N 0 3 316.495 4.472 20 0 DIADHN Fc1cc(CCNCc2ccsc2Cl)cc(F)c1F ZINC000480300579 1048114813 /nfs/dbraw/zinc/11/48/13/1048114813.db2.gz JRNGJQUBCMGFRT-UHFFFAOYSA-N 0 3 305.752 4.151 20 0 DIADHN CCOc1ccc(CNCc2ccc(F)c(Cl)c2)c(C)c1 ZINC001199942679 1048116139 /nfs/dbraw/zinc/11/61/39/1048116139.db2.gz YLLHRQKJGBJGFW-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN [O-]c1cc(F)c(Nc2ccc(C[NH+]3CCCCC3)cc2)c(F)c1 ZINC001175099845 1048116490 /nfs/dbraw/zinc/11/64/90/1048116490.db2.gz CMLDYGBOBWRPQV-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN [O-]c1cc(F)c(Nc2ccccc2C[NH+]2CCCC2)c(F)c1 ZINC001175100011 1048116764 /nfs/dbraw/zinc/11/67/64/1048116764.db2.gz MQPOMZNHOPGGOY-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN COc1cccc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)n1 ZINC000480306633 1048116827 /nfs/dbraw/zinc/11/68/27/1048116827.db2.gz ISADMQQZOGNBCZ-YLJYHZDGSA-N 0 3 310.441 4.140 20 0 DIADHN CC(C)=CCNCc1cc(Cl)ccc1OCc1cccnc1 ZINC000480308223 1048121459 /nfs/dbraw/zinc/12/14/59/1048121459.db2.gz HDXOVLQJZPNIAZ-UHFFFAOYSA-N 0 3 316.832 4.370 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OCC3CC3)cc2)cc1 ZINC000480363651 1048129783 /nfs/dbraw/zinc/12/97/83/1048129783.db2.gz MFOYOKRKRCFXGR-HNNXBMFYSA-N 0 3 311.425 4.335 20 0 DIADHN CC(C)c1nc(CCNCc2cccc(Cl)c2F)cs1 ZINC001199981164 1048132318 /nfs/dbraw/zinc/13/23/18/1048132318.db2.gz QENPJZSTTZRAIG-UHFFFAOYSA-N 0 3 312.841 4.391 20 0 DIADHN FC(F)(F)c1ccc(CN(C[C@@H]2CCC=CO2)C2CC2)cc1 ZINC000774834164 1048133383 /nfs/dbraw/zinc/13/33/83/1048133383.db2.gz CKCBMJHWZGQZRW-INIZCTEOSA-N 0 3 311.347 4.363 20 0 DIADHN CCN(CC)[C@H](CNCc1ccsc1Cl)c1ccco1 ZINC000480372259 1048134176 /nfs/dbraw/zinc/13/41/76/1048134176.db2.gz PVRQUDXWVAKVSD-CYBMUJFWSA-N 0 3 312.866 4.167 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NCc2ccsc2Cl)C1 ZINC000480397303 1048136145 /nfs/dbraw/zinc/13/61/45/1048136145.db2.gz QOAHQMSPKPOLHN-XJKSGUPXSA-N 0 3 320.889 4.327 20 0 DIADHN CCc1ccc(C2CCN(CC3(Br)CC3)CC2)cc1 ZINC001175088373 1048138121 /nfs/dbraw/zinc/13/81/21/1048138121.db2.gz RAWFRHAAMCLULB-UHFFFAOYSA-N 0 3 322.290 4.356 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2Cc2cnc3n2CCC3)c1C ZINC000667671199 1048139542 /nfs/dbraw/zinc/13/95/42/1048139542.db2.gz KRRPTCCPVLMXPZ-IBGZPJMESA-N 0 3 309.457 4.173 20 0 DIADHN CC(C)CN(C)Cc1nc2ccc(Br)cc2s1 ZINC000774837673 1048141816 /nfs/dbraw/zinc/14/18/16/1048141816.db2.gz QFGJQXLHXUWWMB-UHFFFAOYSA-N 0 3 313.264 4.147 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc2c(c1)ncn2C ZINC000480451549 1048146663 /nfs/dbraw/zinc/14/66/63/1048146663.db2.gz FCCRDHWSFXLJLE-CQSZACIVSA-N 0 3 319.408 4.479 20 0 DIADHN Fc1cccc([C@H]2C[C@H]2N2CCC(Cc3nccs3)CC2)c1 ZINC001175156788 1048146805 /nfs/dbraw/zinc/14/68/05/1048146805.db2.gz SNWIAPPOFPEVMC-IAGOWNOFSA-N 0 3 316.445 4.093 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc2c(c1)ncn2C ZINC000480458681 1048149170 /nfs/dbraw/zinc/14/91/70/1048149170.db2.gz FCCRDHWSFXLJLE-AWEZNQCLSA-N 0 3 319.408 4.479 20 0 DIADHN C[C@@H]1CC(F)(F)CCN1CCOc1ccc(C(F)(F)F)cc1 ZINC001175130009 1048156614 /nfs/dbraw/zinc/15/66/14/1048156614.db2.gz ZUEKAHQOXBTGHU-LLVKDONJSA-N 0 3 323.305 4.204 20 0 DIADHN Cc1c(Cl)cccc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC001161699417 1048157078 /nfs/dbraw/zinc/15/70/78/1048157078.db2.gz APHBWAGCANTVNY-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@H]2c2cccs2)cc1 ZINC001142595148 1048158836 /nfs/dbraw/zinc/15/88/36/1048158836.db2.gz NTSSCIVBNUMYNI-INIZCTEOSA-N 0 3 315.438 4.262 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccc(Cl)cn1 ZINC000554740255 1048170181 /nfs/dbraw/zinc/17/01/81/1048170181.db2.gz DLDPXNPWFHJVTG-MZMPZRCHSA-N 0 3 316.832 4.306 20 0 DIADHN C[C@@H]1CN(Cc2ccc(O[C@@H]3CCOC3)cc2)[C@H]1c1ccccc1 ZINC000667689652 1048170992 /nfs/dbraw/zinc/17/09/92/1048170992.db2.gz FFMWDAMOLXAWRL-MAODMQOUSA-N 0 3 323.436 4.047 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCC[C@@H]1CC1(Cl)Cl ZINC000822822606 1048175891 /nfs/dbraw/zinc/17/58/91/1048175891.db2.gz RPOXCHMLVXURNI-NXEZZACHSA-N 0 3 306.208 4.069 20 0 DIADHN Cc1cc(NC(=S)Nc2cccc(CN(C)C)c2)ccc1F ZINC001201034397 1048183509 /nfs/dbraw/zinc/18/35/09/1048183509.db2.gz OAOGGCUHOCSKHH-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(F)c(C)c3)c2C1 ZINC001175252372 1048194472 /nfs/dbraw/zinc/19/44/72/1048194472.db2.gz BTPDAFZQJYEVNZ-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN COc1cccc(C=C2CCN(CCSC(C)C)CC2)c1 ZINC000554822157 1048197114 /nfs/dbraw/zinc/19/71/14/1048197114.db2.gz XXPPSMQPYXUOFL-UHFFFAOYSA-N 0 3 305.487 4.316 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@@H](O)c1cc(C)cc(C)c1 ZINC000774895811 1048200889 /nfs/dbraw/zinc/20/08/89/1048200889.db2.gz VYZFSWYKRADYJY-QFBILLFUSA-N 0 3 313.441 4.086 20 0 DIADHN C[C@@H](CN[C@H]1CCCOc2ccc(Cl)cc21)C(F)(F)F ZINC000480660886 1048210480 /nfs/dbraw/zinc/21/04/80/1048210480.db2.gz JEUGNXBEIGMKRP-CABZTGNLSA-N 0 3 307.743 4.342 20 0 DIADHN C[C@@H](CN[C@@H]1CCCOc2cc(Cl)ccc21)C(F)(F)F ZINC000480669023 1048213419 /nfs/dbraw/zinc/21/34/19/1048213419.db2.gz UODPKOOWUQGUHB-JOYOIKCWSA-N 0 3 307.743 4.342 20 0 DIADHN CC[C@@](C)(NCc1ccccc1N(C)C)c1ccc(F)cc1 ZINC001175238289 1048214088 /nfs/dbraw/zinc/21/40/88/1048214088.db2.gz JPIFLAFWVYYRHT-LJQANCHMSA-N 0 3 300.421 4.307 20 0 DIADHN CN(CCCn1ccnc1)Cc1ccc(-c2ccccc2)cc1F ZINC000554883863 1048221270 /nfs/dbraw/zinc/22/12/70/1048221270.db2.gz FECHOHCJZJUOHQ-UHFFFAOYSA-N 0 3 323.415 4.211 20 0 DIADHN C[C@@H](NCCCNc1cccc(F)c1)c1ccc(Cl)cn1 ZINC000480703489 1048223677 /nfs/dbraw/zinc/22/36/77/1048223677.db2.gz ZISAWZYLBOQGSL-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN CC(C)(O)CCN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000480765388 1048236194 /nfs/dbraw/zinc/23/61/94/1048236194.db2.gz ZHOHHYIRKQELCI-KRWDZBQOSA-N 0 3 321.823 4.319 20 0 DIADHN COc1ccc(OCc2ccccc2)c(Nc2cnc(C)n2C)c1 ZINC001175293961 1048236808 /nfs/dbraw/zinc/23/68/08/1048236808.db2.gz LFLWSDWOHCDJOI-UHFFFAOYSA-N 0 3 323.396 4.060 20 0 DIADHN CCCN(CCC1CC1)Cc1nnc(-c2ccccc2Cl)o1 ZINC000480757464 1048238887 /nfs/dbraw/zinc/23/88/87/1048238887.db2.gz LDTMMQPNILHLDC-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN Cc1cccc([C@@H](O)CNCc2ccc(Cl)cc2Cl)c1 ZINC001201364952 1048239809 /nfs/dbraw/zinc/23/98/09/1048239809.db2.gz LOBRRPCCFFUYCW-INIZCTEOSA-N 0 3 310.224 4.125 20 0 DIADHN Oc1ccccc1CCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000480808431 1048252384 /nfs/dbraw/zinc/25/23/84/1048252384.db2.gz SHNXMUDOADRKEL-NRFANRHFSA-N 0 3 321.395 4.453 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](Nc2nc(Cl)cs2)C1 ZINC001162272319 1048254418 /nfs/dbraw/zinc/25/44/18/1048254418.db2.gz DKFKDTDBTFFCMD-WCQYABFASA-N 0 3 307.850 4.044 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2ccccc2OC(F)F)C1 ZINC000480857779 1048259976 /nfs/dbraw/zinc/25/99/76/1048259976.db2.gz PPXBRNJWEFPRFO-CQSZACIVSA-N 0 3 315.429 4.396 20 0 DIADHN COC1(CNCc2nc3c(s2)C[C@H](C)CC3)CCCCCC1 ZINC001203968723 1048260069 /nfs/dbraw/zinc/26/00/69/1048260069.db2.gz QVLHZWJVCHIZAS-CQSZACIVSA-N 0 3 322.518 4.097 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccccc2OC(C)C)cc1 ZINC000774973955 1048264232 /nfs/dbraw/zinc/26/42/32/1048264232.db2.gz YYIIQXHTZCPCLN-VQIMIIECSA-N 0 3 313.441 4.166 20 0 DIADHN CC(C)P(CCNc1c(F)nc(F)nc1Cl)C(C)C ZINC001162361704 1048267749 /nfs/dbraw/zinc/26/77/49/1048267749.db2.gz BYRGCHQLQJVXHE-UHFFFAOYSA-N 0 3 309.728 4.119 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CC(C)(C)c3ccccc32)cn1 ZINC000480912061 1048268599 /nfs/dbraw/zinc/26/85/99/1048268599.db2.gz QYGWRPOIMBORIC-LJQANCHMSA-N 0 3 323.484 4.440 20 0 DIADHN CC(C)COc1nccnc1NCCP(C(C)C)C(C)C ZINC001162363262 1048269226 /nfs/dbraw/zinc/26/92/26/1048269226.db2.gz DXWOPXHHYZTFCR-UHFFFAOYSA-N 0 3 311.410 4.222 20 0 DIADHN C[C@H]1CC[C@@H](N(CCO)Cc2cnc(C3CCCCC3)s2)C1 ZINC000555104139 1048270559 /nfs/dbraw/zinc/27/05/59/1048270559.db2.gz DMOQUSCJZVXIIF-GOEBONIOSA-N 0 3 322.518 4.174 20 0 DIADHN C[C@@H]1CC[C@@H](N(CCO)Cc2cnc(C3CCCCC3)s2)C1 ZINC000555104138 1048271819 /nfs/dbraw/zinc/27/18/19/1048271819.db2.gz DMOQUSCJZVXIIF-GDBMZVCRSA-N 0 3 322.518 4.174 20 0 DIADHN Cc1ccnc(C)c1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201470208 1048277154 /nfs/dbraw/zinc/27/71/54/1048277154.db2.gz NBQMDFHLLSLGPQ-UXHICEINSA-N 0 3 319.452 4.431 20 0 DIADHN Cc1cc(Br)cc(CN[C@@H](C)c2cccc(O)c2)c1 ZINC000774993976 1048278285 /nfs/dbraw/zinc/27/82/85/1048278285.db2.gz UDTJLMAZFZMIBP-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)cs1)c1cc(C)ccc1C ZINC001175362240 1048278812 /nfs/dbraw/zinc/27/88/12/1048278812.db2.gz SOGWWPYYMZZIKJ-KRWDZBQOSA-N 0 3 317.454 4.392 20 0 DIADHN CC(C)(C)c1csc(CNCC2(C)Cc3ccccc3C2)n1 ZINC001201449835 1048278937 /nfs/dbraw/zinc/27/89/37/1048278937.db2.gz NOZVQLWFUAARJF-UHFFFAOYSA-N 0 3 314.498 4.335 20 0 DIADHN CC1(C)CC[C@@H](NCc2c(F)cccc2OC(F)(F)F)C1 ZINC001201492522 1048282047 /nfs/dbraw/zinc/28/20/47/1048282047.db2.gz QIPGAWRJEOIBOC-SNVBAGLBSA-N 0 3 305.315 4.393 20 0 DIADHN CC[C@H](C)CN1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001201499111 1048288123 /nfs/dbraw/zinc/28/81/23/1048288123.db2.gz FPNJBQSBYUFVNY-YOEHRIQHSA-N 0 3 302.462 4.143 20 0 DIADHN CCOc1ccc(Cl)cc1CCNCc1ccc(Cl)o1 ZINC001201505323 1048289240 /nfs/dbraw/zinc/28/92/40/1048289240.db2.gz YBOFQJYRXVMHLI-UHFFFAOYSA-N 0 3 314.212 4.317 20 0 DIADHN Cn1ncc2ccc(CN[C@H]3CCc4ccc(Cl)cc43)cc21 ZINC000555201121 1048291979 /nfs/dbraw/zinc/29/19/79/1048291979.db2.gz RLRMSXLZPGIBHN-KRWDZBQOSA-N 0 3 311.816 4.004 20 0 DIADHN COCCOc1ccccc1CN[C@@H](C)c1ccc(C)cc1C ZINC000481025335 1048293197 /nfs/dbraw/zinc/29/31/97/1048293197.db2.gz PMHGENVBHYGMPE-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CCc1ccccc1Oc1ccc(CNC[C@H](C)O)c(Cl)c1 ZINC001201480084 1048297086 /nfs/dbraw/zinc/29/70/86/1048297086.db2.gz HHRCWEITYIAYDI-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN C[C@]1(Cc2ccc(F)c(Cl)c2)CCCN1Cc1cccnc1 ZINC001175418385 1048298260 /nfs/dbraw/zinc/29/82/60/1048298260.db2.gz IIPKVKDKMAXPPB-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN CCOc1cccc(CCNCc2c(F)cccc2Cl)c1 ZINC001201536202 1048301087 /nfs/dbraw/zinc/30/10/87/1048301087.db2.gz OCSNNHQXFSLSSO-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN Clc1ccc(CC2CCN(Cc3ccncc3)CC2)cc1 ZINC001175444462 1048310152 /nfs/dbraw/zinc/31/01/52/1048310152.db2.gz JTWZGAAHKVTVRH-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000481128290 1048313615 /nfs/dbraw/zinc/31/36/15/1048313615.db2.gz SCLSSYRDZRTIPX-NWDGAFQWSA-N 0 3 305.447 4.103 20 0 DIADHN CCO[C@@H](CNCc1cc(Cl)ccc1OC)c1ccccc1 ZINC000775026853 1048314668 /nfs/dbraw/zinc/31/46/68/1048314668.db2.gz AMXULVMWHBIRPH-SFHVURJKSA-N 0 3 319.832 4.216 20 0 DIADHN CCCC[C@H](CC)CN1CCCn2cnc(COC(C)C)c2C1 ZINC001201566066 1048319508 /nfs/dbraw/zinc/31/95/08/1048319508.db2.gz PGCKBDQZMLXGNL-KRWDZBQOSA-N 0 3 321.509 4.230 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCCCC3(C)C)co2)cc1 ZINC000481175801 1048321112 /nfs/dbraw/zinc/32/11/12/1048321112.db2.gz QDSIWQZHJPHHSP-QGZVFWFLSA-N 0 3 314.429 4.409 20 0 DIADHN COc1cccc(CN2CCC[C@@H](OC3CCC3)CC2)c1Cl ZINC001175486775 1048325236 /nfs/dbraw/zinc/32/52/36/1048325236.db2.gz YTPHVHOPSVCSDU-MRXNPFEDSA-N 0 3 323.864 4.272 20 0 DIADHN CN1CCCC[C@H]1c1ccc(NC[C@H]2CCC(F)(F)C2)nc1 ZINC001162648875 1048325346 /nfs/dbraw/zinc/32/53/46/1048325346.db2.gz VNYYENQUSVOOMV-ZFWWWQNUSA-N 0 3 309.404 4.086 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CC[C@@H](C)C[C@@H]3C)o2)cc1 ZINC000481184077 1048325741 /nfs/dbraw/zinc/32/57/41/1048325741.db2.gz DNKIHRBXIDZXAQ-JKIFEVAISA-N 0 3 314.429 4.265 20 0 DIADHN Cc1ccc(CN2CCc3ccsc3C2)c(-c2cnn(C)c2)c1 ZINC000555336367 1048326918 /nfs/dbraw/zinc/32/69/18/1048326918.db2.gz UUQBGQKLPCHGEB-UHFFFAOYSA-N 0 3 323.465 4.015 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCC[C@H](C)[C@@H]3C)o2)cc1 ZINC000481189120 1048329003 /nfs/dbraw/zinc/32/90/03/1048329003.db2.gz JSRQOVOVMBNXPJ-GRDNDAEWSA-N 0 3 314.429 4.265 20 0 DIADHN CCC(CC)N(CC(C)C)C(=O)c1cccc(CN(C)C)c1 ZINC000481198694 1048332612 /nfs/dbraw/zinc/33/26/12/1048332612.db2.gz DAQYCBQVUPYLPN-UHFFFAOYSA-N 0 3 304.478 4.035 20 0 DIADHN COc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(F)c1 ZINC001175504853 1048339503 /nfs/dbraw/zinc/33/95/03/1048339503.db2.gz GZVWHVDXPOECSN-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN COc1ccc(C)cc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175504867 1048339904 /nfs/dbraw/zinc/33/99/04/1048339904.db2.gz ILMRZBVLCGWXAO-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)cc1 ZINC000555421038 1048340885 /nfs/dbraw/zinc/34/08/85/1048340885.db2.gz SEUXJISINKFBQY-ZDUSSCGKSA-N 0 3 321.367 4.285 20 0 DIADHN Cc1nc2cc(NC3=CCN(Cc4ccccc4)CC3)ccc2o1 ZINC001175506254 1048341464 /nfs/dbraw/zinc/34/14/64/1048341464.db2.gz QQNONBRJNDWRPM-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc4ncccc43)CC2)cc1 ZINC001175505339 1048342820 /nfs/dbraw/zinc/34/28/20/1048342820.db2.gz LOHPVMWLNUOOEW-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN c1ccc(CN2CC=C(Nc3ccc(C4CC4)nc3)CC2)cc1 ZINC001175505049 1048343499 /nfs/dbraw/zinc/34/34/99/1048343499.db2.gz MHWCPISLCMIJCH-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN Oc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1Cl ZINC001175505473 1048343596 /nfs/dbraw/zinc/34/35/96/1048343596.db2.gz DHABZXVRBGFYLM-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN Cc1cc(F)c(NC2=CCN(Cc3ccccc3)CC2)cc1O ZINC001175506788 1048346640 /nfs/dbraw/zinc/34/66/40/1048346640.db2.gz NAOYTZPWCXMUDN-UHFFFAOYSA-N 0 3 312.388 4.041 20 0 DIADHN CSCCCN1C[C@H]2C[C@@]2(c2ccc(Cl)c(Cl)c2)C1 ZINC001201695814 1048347212 /nfs/dbraw/zinc/34/72/12/1048347212.db2.gz GHBIIQMXACKJEF-DOMZBBRYSA-N 0 3 316.297 4.320 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ncc(Cl)cc1C(F)F ZINC001162759759 1048350446 /nfs/dbraw/zinc/35/04/46/1048350446.db2.gz GYJVSMPJJLGEGU-MRVPVSSYSA-N 0 3 322.746 4.031 20 0 DIADHN Cc1ccc2c(C)cc(-n3c4ccccc4nc3[C@H](C)N)nc2c1 ZINC001162763023 1048351476 /nfs/dbraw/zinc/35/14/76/1048351476.db2.gz MJSNDQMTQVXWML-AWEZNQCLSA-N 0 3 316.408 4.210 20 0 DIADHN COc1cc(Cl)cc(C)c1-n1c2ccccc2nc1[C@@H](C)N ZINC001162765791 1048353515 /nfs/dbraw/zinc/35/35/15/1048353515.db2.gz KGKIZTYMZFUSRY-LLVKDONJSA-N 0 3 315.804 4.016 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1-n1c2ccccc2nc1[C@@H](C)N ZINC001162765781 1048353905 /nfs/dbraw/zinc/35/39/05/1048353905.db2.gz KABMKHMLRRBZHG-LLVKDONJSA-N 0 3 319.330 4.372 20 0 DIADHN Cc1cc(-n2c3ccccc3nc2[C@@H](C)N)ccc1C(F)(F)F ZINC001162767634 1048354670 /nfs/dbraw/zinc/35/46/70/1048354670.db2.gz ZFVVAESOSBRVON-LLVKDONJSA-N 0 3 319.330 4.372 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(-c2cccs2)nc1 ZINC001162777413 1048356599 /nfs/dbraw/zinc/35/65/99/1048356599.db2.gz AZJTWEAMHFZBLU-LBPRGKRZSA-N 0 3 320.421 4.169 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(-c2cccs2)nc1 ZINC001162777412 1048357898 /nfs/dbraw/zinc/35/78/98/1048357898.db2.gz AZJTWEAMHFZBLU-GFCCVEGCSA-N 0 3 320.421 4.169 20 0 DIADHN Cc1cc(OC(C)C)ccc1-n1c2ccccc2nc1[C@H](C)N ZINC001162779171 1048357923 /nfs/dbraw/zinc/35/79/23/1048357923.db2.gz WAHVWWXSPYOBJM-AWEZNQCLSA-N 0 3 309.413 4.141 20 0 DIADHN CCn1ccc2cc(-n3c4ccccc4nc3[C@H](C)N)ccc21 ZINC001162778043 1048358194 /nfs/dbraw/zinc/35/81/94/1048358194.db2.gz HBDSMYFENVJXJB-ZDUSSCGKSA-N 0 3 304.397 4.020 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNC/C=C\c1ccccc1 ZINC001201694110 1048361230 /nfs/dbraw/zinc/36/12/30/1048361230.db2.gz RJZFXXRPRYQNSB-XFFZJAGNSA-N 0 3 317.436 4.292 20 0 DIADHN CN1CCC=C(Nc2ccc(Sc3ccc(N)cc3)cc2)C1 ZINC001175578847 1048375877 /nfs/dbraw/zinc/37/58/77/1048375877.db2.gz KQFCYXPPZSNSIE-UHFFFAOYSA-N 0 3 311.454 4.051 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000481375080 1048381355 /nfs/dbraw/zinc/38/13/55/1048381355.db2.gz QDIWJWJKJFYLFA-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000667872774 1048383930 /nfs/dbraw/zinc/38/39/30/1048383930.db2.gz KCBZBFQZOFADCK-NCHVAJSOSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@@H](NCc1cccc(COc2ccccc2)c1)c1ccc[nH]1 ZINC001201832557 1048385934 /nfs/dbraw/zinc/38/59/34/1048385934.db2.gz BAJWRNIGSLSHSY-MRXNPFEDSA-N 0 3 306.409 4.445 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2cccc(C(C)(C)C)c2)cc1 ZINC001187293662 1048386844 /nfs/dbraw/zinc/38/68/44/1048386844.db2.gz NUGKBOXBVJTDGN-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN Cc1ccc(CCC(=O)NCCP(C(C)C)C(C)C)s1 ZINC001175646092 1048389513 /nfs/dbraw/zinc/38/95/13/1048389513.db2.gz IOIMVTOIARSLGV-UHFFFAOYSA-N 0 3 313.447 4.404 20 0 DIADHN Cc1cc(F)c(CNCCC2CC(OC(C)(C)C)C2)c(F)c1 ZINC001201980984 1048403642 /nfs/dbraw/zinc/40/36/42/1048403642.db2.gz IDFAWCWDYOZFOU-UHFFFAOYSA-N 0 3 311.416 4.347 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCc2nc(Cl)ncc2C1 ZINC001201982103 1048406453 /nfs/dbraw/zinc/40/64/53/1048406453.db2.gz SPYBCMPZFHKPGC-AWEZNQCLSA-N 0 3 307.869 4.261 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2cc(C(F)(F)F)ccn2)o1 ZINC000555617525 1048408903 /nfs/dbraw/zinc/40/89/03/1048408903.db2.gz HNSDODRCGXLQHD-IAQYHMDHSA-N 0 3 324.346 4.149 20 0 DIADHN CC(C)P(CCNC(=O)Nc1cc(F)cc(F)c1)C(C)C ZINC001187598905 1048409733 /nfs/dbraw/zinc/40/97/33/1048409733.db2.gz WLJQCBUSZGTICM-UHFFFAOYSA-N 0 3 316.332 4.385 20 0 DIADHN CCCCN(Cc1csc(COC)n1)[C@@H]1CCC[C@H](C)C1 ZINC000555679778 1048420895 /nfs/dbraw/zinc/42/08/95/1048420895.db2.gz ZTLUZMNEEBBSEE-GOEBONIOSA-N 0 3 310.507 4.470 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)COc1ccc(F)cc1F ZINC000481536832 1048426183 /nfs/dbraw/zinc/42/61/83/1048426183.db2.gz UPGZCQONTYZXMG-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN O=C(Nc1ccccc1F)Nc1ccccc1CN1CCCC1 ZINC001202001155 1048426226 /nfs/dbraw/zinc/42/62/26/1048426226.db2.gz KTMSEXBPFKRVFT-UHFFFAOYSA-N 0 3 313.376 4.066 20 0 DIADHN Cc1sc(NC(=O)CN2CC(C)(C)[C@H]3CCC[C@H]32)c(C)c1C ZINC000555721986 1048426943 /nfs/dbraw/zinc/42/69/43/1048426943.db2.gz AEFYLZMPGDITJY-LSDHHAIUSA-N 0 3 320.502 4.122 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC(C)(C)[C@H]3CCC[C@H]32)s1 ZINC000555721411 1048429529 /nfs/dbraw/zinc/42/95/29/1048429529.db2.gz OKEZTTADHFFZFF-WDEREUQCSA-N 0 3 318.408 4.481 20 0 DIADHN COc1ccc(CN[C@H](C)CSc2ccc(C)cc2)c(F)c1 ZINC001202051178 1048431196 /nfs/dbraw/zinc/43/11/96/1048431196.db2.gz WSXOBZLXDPOMNO-CQSZACIVSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1cccc([C@H](C)N2CCN([C@@H](C)c3ccccc3)CC2)c1 ZINC001175748379 1048433440 /nfs/dbraw/zinc/43/34/40/1048433440.db2.gz DLDNEHMECCOIKH-OALUTQOASA-N 0 3 308.469 4.435 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Nc1ccccc1Cl ZINC001202063476 1048433796 /nfs/dbraw/zinc/43/37/96/1048433796.db2.gz YQLZPRRTJTYYFT-UHFFFAOYSA-N 0 3 303.793 4.046 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCCC[C@H](C)C1 ZINC000481581754 1048434545 /nfs/dbraw/zinc/43/45/45/1048434545.db2.gz XUIMBUQVSIRJJF-HOTGVXAUSA-N 0 3 302.462 4.259 20 0 DIADHN CCc1ccc(CN2CCN(Cc3ccccc3CC)CC2)cc1 ZINC001175749001 1048434908 /nfs/dbraw/zinc/43/49/08/1048434908.db2.gz KHLVNPJDGOMZLS-UHFFFAOYSA-N 0 3 322.496 4.129 20 0 DIADHN CCc1ccc(CN2CCN(CCC3=CCCCC3)CC2)cc1 ZINC001175750649 1048435131 /nfs/dbraw/zinc/43/51/31/1048435131.db2.gz YAWWBRQXKJNMSY-UHFFFAOYSA-N 0 3 312.501 4.257 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ncccc1-c1ccccc1)CNC2 ZINC001163221729 1048439345 /nfs/dbraw/zinc/43/93/45/1048439345.db2.gz XQVPCIWKVRTYNV-INIZCTEOSA-N 0 3 307.422 4.067 20 0 DIADHN c1cc2c(s1)[C@H](Nc1cccc(-c3cccnc3)c1)CNC2 ZINC001163229667 1048440611 /nfs/dbraw/zinc/44/06/11/1048440611.db2.gz QOYRGXYQGYGCCA-QGZVFWFLSA-N 0 3 307.422 4.067 20 0 DIADHN c1cc2c(s1)[C@H](Nc1cncc(Oc3ccccc3)c1)CNC2 ZINC001163231628 1048441107 /nfs/dbraw/zinc/44/11/07/1048441107.db2.gz XRAWXOIJDODHIU-QGZVFWFLSA-N 0 3 323.421 4.192 20 0 DIADHN c1cc2c(s1)[C@H](Nc1cncc(-c3ccccc3)c1)CNC2 ZINC001163229394 1048441455 /nfs/dbraw/zinc/44/14/55/1048441455.db2.gz PESAAVIWZHOCBH-QGZVFWFLSA-N 0 3 307.422 4.067 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CCCC[C@@H](C)C1 ZINC000481621604 1048441936 /nfs/dbraw/zinc/44/19/36/1048441936.db2.gz KOVQFYGRTRWUNC-HZPDHXFCSA-N 0 3 316.489 4.260 20 0 DIADHN CN(C[C@@H]1CCCO1)[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000481627657 1048444767 /nfs/dbraw/zinc/44/47/67/1048444767.db2.gz ZRSLWERDIJPGBL-XHDPSFHLSA-N 0 3 300.229 4.092 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccccc3C3CC3)cc2)CC1 ZINC001175781399 1048450961 /nfs/dbraw/zinc/45/09/61/1048450961.db2.gz UBYTZVPQXOIENB-UHFFFAOYSA-N 0 3 321.468 4.450 20 0 DIADHN C=Cc1ccc(Nc2ccc(N3CCN(CC)CC3)cc2)cc1 ZINC001175780374 1048450967 /nfs/dbraw/zinc/45/09/67/1048450967.db2.gz FBJRSZCRUKAJMA-UHFFFAOYSA-N 0 3 307.441 4.215 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(C(C)C)nc3)cc2)CC1 ZINC001175783394 1048451861 /nfs/dbraw/zinc/45/18/61/1048451861.db2.gz KMLIYSMAKMYFAW-UHFFFAOYSA-N 0 3 324.472 4.091 20 0 DIADHN CC(C)(C)c1ccc(CN[C@@]2(CO)CCCc3ccccc32)cc1 ZINC001202127827 1048457493 /nfs/dbraw/zinc/45/74/93/1048457493.db2.gz YCXNDTZHLAXECX-JOCHJYFZSA-N 0 3 323.480 4.298 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1cccc(-n2cccn2)c1 ZINC000481729607 1048470354 /nfs/dbraw/zinc/47/03/54/1048470354.db2.gz NGXITBOAVATRDI-CJNGLKHVSA-N 0 3 323.362 4.035 20 0 DIADHN OC[C@@H](CNCc1cc(Cl)sc1Cl)CC1CCCC1 ZINC001202184705 1048473223 /nfs/dbraw/zinc/47/32/23/1048473223.db2.gz UYOAKEIIYZWTFS-LLVKDONJSA-N 0 3 322.301 4.333 20 0 DIADHN OC[C@H](CNCc1cc(Cl)sc1Cl)CC1CCCC1 ZINC001202184706 1048473857 /nfs/dbraw/zinc/47/38/57/1048473857.db2.gz UYOAKEIIYZWTFS-NSHDSACASA-N 0 3 322.301 4.333 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccnn2CC2CCC2)s1 ZINC000924768360 1048480718 /nfs/dbraw/zinc/48/07/18/1048480718.db2.gz YEUCLPYYRJPWSJ-KGLIPLIRSA-N 0 3 303.475 4.465 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CCO[C@H](C(F)(F)F)CC2)c(C)c1 ZINC001175874605 1048488292 /nfs/dbraw/zinc/48/82/92/1048488292.db2.gz RSMUEZMBRBQXDN-GJZGRUSLSA-N 0 3 315.379 4.326 20 0 DIADHN COc1ncc(CN2Cc3ccccc3[C@H](C)C2)c2ccccc21 ZINC001175936177 1048489958 /nfs/dbraw/zinc/48/99/58/1048489958.db2.gz VCJICQLOWIUZBM-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2cccc(Cl)c2F)c1 ZINC001188404359 1048512199 /nfs/dbraw/zinc/51/21/99/1048512199.db2.gz PUPBAABGZRHTAE-UHFFFAOYSA-N 0 3 321.783 4.185 20 0 DIADHN Cc1cc(C)cc(CNc2cc(CN3CCCCC3)ccn2)c1 ZINC001163747441 1048516543 /nfs/dbraw/zinc/51/65/43/1048516543.db2.gz HRXGGYHERSVRBB-UHFFFAOYSA-N 0 3 309.457 4.296 20 0 DIADHN C[C@@H](CN(C)CC(=O)NCCCC1CCCCC1)C(C)(C)C ZINC001175984633 1048517769 /nfs/dbraw/zinc/51/77/69/1048517769.db2.gz WPGLANSFAKGOKJ-INIZCTEOSA-N 0 3 310.526 4.077 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(OC(F)F)c2)cc1C ZINC001175991106 1048519015 /nfs/dbraw/zinc/51/90/15/1048519015.db2.gz BMNCUWJUKFNYHW-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CCN1CCCN(c2c(Cl)ccc3ccc(Cl)nc32)CC1 ZINC001163773274 1048523178 /nfs/dbraw/zinc/52/31/78/1048523178.db2.gz URVOTMFAMXAGSV-UHFFFAOYSA-N 0 3 324.255 4.074 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)c3ccccc32)cn1 ZINC000556048964 1048524872 /nfs/dbraw/zinc/52/48/72/1048524872.db2.gz PPKPVELYKGJDOW-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](c2cccnc2)C2CCC2)cc1 ZINC000481911389 1048525521 /nfs/dbraw/zinc/52/55/21/1048525521.db2.gz FDNFXUPYWFTUFT-HXUWFJFHSA-N 0 3 310.441 4.500 20 0 DIADHN Cc1cc2cc(NC(=N)C34CC5CC(CC(C5)C3)C4)ncc2[nH]1 ZINC001163840915 1048533779 /nfs/dbraw/zinc/53/37/79/1048533779.db2.gz HTPNBQVTPFKXMU-UHFFFAOYSA-N 0 3 308.429 4.477 20 0 DIADHN Cc1cc2[nH]c(CN3CC[C@@H](OCC(C)C)C3)cc2c(C)c1 ZINC000481977798 1048537235 /nfs/dbraw/zinc/53/72/35/1048537235.db2.gz ALWVEIICVMSARH-QGZVFWFLSA-N 0 3 300.446 4.032 20 0 DIADHN COc1cc(CN2CCC[C@H]2COC(C)(C)C)ccc1Cl ZINC001176022029 1048537495 /nfs/dbraw/zinc/53/74/95/1048537495.db2.gz WCTZWODFLQOZLF-AWEZNQCLSA-N 0 3 311.853 4.128 20 0 DIADHN CN1CCc2ccc(Nc3ccc(-c4ccccn4)cc3)cc2C1 ZINC001176041505 1048543389 /nfs/dbraw/zinc/54/33/89/1048543389.db2.gz RBBMBOBUCJZIOC-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](c2ccc(C)o2)N2CCCC2)o1 ZINC000482024943 1048545276 /nfs/dbraw/zinc/54/52/76/1048545276.db2.gz IBEDVMOFPAHDLK-DOTOQJQBSA-N 0 3 316.445 4.231 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1cc(-c2ccccc2)on1 ZINC000482032988 1048546590 /nfs/dbraw/zinc/54/65/90/1048546590.db2.gz QUYNCMHQJXTGBN-INIZCTEOSA-N 0 3 306.409 4.371 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(Cl)c(Nc2ncc[nH]2)c1 ZINC001176084984 1048546746 /nfs/dbraw/zinc/54/67/46/1048546746.db2.gz ABAQKDSWKQKCQX-UHFFFAOYSA-N 0 3 308.769 4.154 20 0 DIADHN Cc1nc(CNC2(C(C)(C)c3ccccc3)CC2)sc1C ZINC001202397513 1048548678 /nfs/dbraw/zinc/54/86/78/1048548678.db2.gz JEWULSFNFLQISH-UHFFFAOYSA-N 0 3 300.471 4.360 20 0 DIADHN Clc1cc(Cl)c(Nc2ncc[nH]2)cc1Br ZINC001176091271 1048548590 /nfs/dbraw/zinc/54/85/90/1048548590.db2.gz WYWPFCBHTGJTAD-UHFFFAOYSA-N 0 3 306.978 4.223 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(Cl)cc1Nc1ncc[nH]1 ZINC001176085648 1048549276 /nfs/dbraw/zinc/54/92/76/1048549276.db2.gz MQKPUJLVTMUEDP-UHFFFAOYSA-N 0 3 308.769 4.154 20 0 DIADHN CNC(=O)C[C@H](N[C@H](C)c1ccc(C(C)C)cc1)c1ccccc1 ZINC000482060307 1048550478 /nfs/dbraw/zinc/55/04/78/1048550478.db2.gz KDWMFOQUIKIHRV-UZLBHIALSA-N 0 3 324.468 4.338 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)c(F)c1F ZINC001176093082 1048551472 /nfs/dbraw/zinc/55/14/72/1048551472.db2.gz ISAQVQRDSLXACR-HNNXBMFYSA-N 0 3 318.367 4.402 20 0 DIADHN Clc1cnc(NC[C@H]2CCCCN2Cc2ccccc2)s1 ZINC001163938823 1048552632 /nfs/dbraw/zinc/55/26/32/1048552632.db2.gz PKPRDQZMMTWGGM-CQSZACIVSA-N 0 3 321.877 4.263 20 0 DIADHN Fc1ccc(C2CCN(CCCC(F)(F)F)CC2)c(F)c1 ZINC001202444053 1048554810 /nfs/dbraw/zinc/55/48/10/1048554810.db2.gz CRMPGZLDUCWFEE-UHFFFAOYSA-N 0 3 307.306 4.487 20 0 DIADHN CC1(C)CC[C@H](CN2Cc3ccccc3OC3(CCC3)C2)OC1 ZINC001176051870 1048555348 /nfs/dbraw/zinc/55/53/48/1048555348.db2.gz HSSRCSMEWQTGBR-QGZVFWFLSA-N 0 3 315.457 4.009 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)cc1O ZINC000066236806 1048555991 /nfs/dbraw/zinc/55/59/91/1048555991.db2.gz PIOVZNVJICCGII-SFHVURJKSA-N 0 3 303.377 4.027 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccccc1Cl ZINC000042337665 1048563216 /nfs/dbraw/zinc/56/32/16/1048563216.db2.gz POEMOLKDGZEAAV-BZNIZROVSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(OCC3CC3)nc2)c1 ZINC000482122073 1048563330 /nfs/dbraw/zinc/56/33/30/1048563330.db2.gz SFRUMBOZTJFJTK-MRXNPFEDSA-N 0 3 310.441 4.338 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OCC3CC3)nc2)cc1C ZINC000482121430 1048563736 /nfs/dbraw/zinc/56/37/36/1048563736.db2.gz LIXTWRADTADHRX-MRXNPFEDSA-N 0 3 310.441 4.338 20 0 DIADHN CC(C)[C@H](NCC[S@@](=O)C(C)(C)C)c1cc2ccccc2o1 ZINC000776309337 1048564644 /nfs/dbraw/zinc/56/46/44/1048564644.db2.gz CNTGAQOSTWIBDS-HTAPYJJXSA-N 0 3 321.486 4.267 20 0 DIADHN CCCN(Cc1ccccc1OC)[C@@H]1CCCc2cccnc21 ZINC000482280031 1048581577 /nfs/dbraw/zinc/58/15/77/1048581577.db2.gz YASFDDYNCUACNF-GOSISDBHSA-N 0 3 310.441 4.380 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2ccc[nH]2)c(-c2ccnn2C)c1 ZINC000338919238 1048583186 /nfs/dbraw/zinc/58/31/86/1048583186.db2.gz DRDAHLQCDWZJIX-HXUWFJFHSA-N 0 3 320.440 4.061 20 0 DIADHN c1ccc(CC2(NCc3nc4c(s3)CCCC4)CCC2)cc1 ZINC000776380002 1048584329 /nfs/dbraw/zinc/58/43/29/1048584329.db2.gz UUIIBTBJVQHAKL-UHFFFAOYSA-N 0 3 312.482 4.277 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1cc2ccncc2s1 ZINC000668004903 1048588434 /nfs/dbraw/zinc/58/84/34/1048588434.db2.gz GXHVOQRZRNFJAN-ZWNOBZJWSA-N 0 3 314.376 4.459 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(Cl)cc3F)CC2)[nH]c1C ZINC001176203047 1048603153 /nfs/dbraw/zinc/60/31/53/1048603153.db2.gz TYZBHORMDIAQTP-UHFFFAOYSA-N 0 3 321.827 4.199 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001176244485 1048613669 /nfs/dbraw/zinc/61/36/69/1048613669.db2.gz YXUYTUCHGXWIRK-HZPDHXFCSA-N 0 3 310.441 4.146 20 0 DIADHN [O-]c1cc(Nc2cccc(C[NH+]3CCCC3)c2)c(F)cc1F ZINC001176198859 1048615585 /nfs/dbraw/zinc/61/55/85/1048615585.db2.gz NRZYZKXYPXBENX-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN C[C@@H](CCC1CCCCC1)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000482500554 1048617557 /nfs/dbraw/zinc/61/75/57/1048617557.db2.gz ILJJRXSYQMGVOU-YJBOKZPZSA-N 0 3 312.461 4.233 20 0 DIADHN C[C@H](CCC1CCCCC1)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000482500546 1048617824 /nfs/dbraw/zinc/61/78/24/1048617824.db2.gz ILJJRXSYQMGVOU-QAPCUYQASA-N 0 3 312.461 4.233 20 0 DIADHN CCOc1cccc(CN2CCC[C@H](C(F)(F)F)[C@H]2C)c1 ZINC000482489599 1048619404 /nfs/dbraw/zinc/61/94/04/1048619404.db2.gz VDEBAWBFZUQITA-DOMZBBRYSA-N 0 3 301.352 4.248 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C(F)(F)F)[C@H]2C)cc1C ZINC000482505738 1048620176 /nfs/dbraw/zinc/62/01/76/1048620176.db2.gz ICKZZWVIUJZBOR-TZMCWYRMSA-N 0 3 301.352 4.166 20 0 DIADHN COc1cc(C)nc(CN[C@H]2CCc3cccc4cccc2c43)c1 ZINC001176335860 1048628347 /nfs/dbraw/zinc/62/83/47/1048628347.db2.gz QPJGLLODBQELLZ-FQEVSTJZSA-N 0 3 318.420 4.329 20 0 DIADHN Cc1n[nH]c(CN[C@@H](c2ccc(CC(C)C)cc2)C2CC2)c1C ZINC001649005105 1048628350 /nfs/dbraw/zinc/62/83/50/1048628350.db2.gz MKSHXGWIHABDKV-FQEVSTJZSA-N 0 3 311.473 4.466 20 0 DIADHN OCc1cccc(CN[C@H]2CCc3c2ccc(Cl)c3Cl)c1 ZINC000482554858 1048633718 /nfs/dbraw/zinc/63/37/18/1048633718.db2.gz NDXVLOSACQQSOA-INIZCTEOSA-N 0 3 322.235 4.263 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](c3ccccc3)C(C)(C)CO)cc21 ZINC000668073732 1048634153 /nfs/dbraw/zinc/63/41/53/1048634153.db2.gz GRNMGYOQCBGZDB-FQEVSTJZSA-N 0 3 322.452 4.326 20 0 DIADHN COc1ccc(CN(Cc2ccccn2)CC(C)C)cc1Cl ZINC001176344161 1048634424 /nfs/dbraw/zinc/63/44/24/1048634424.db2.gz BGIZXQOZHCHWPT-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN COc1cc(Cl)cc(Nc2ccc3c(c2)CN(C)CC3)c1 ZINC001176345463 1048635972 /nfs/dbraw/zinc/63/59/72/1048635972.db2.gz ATHVTYVFDDNUPH-UHFFFAOYSA-N 0 3 302.805 4.080 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3ccccc3)C(C)(C)CO)[nH]c2c1 ZINC000668074078 1048636741 /nfs/dbraw/zinc/63/67/41/1048636741.db2.gz NMMGJXKFJKZZOS-FQEVSTJZSA-N 0 3 322.452 4.326 20 0 DIADHN CCCCOc1ccc(CN[C@@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850368284 1048640492 /nfs/dbraw/zinc/64/04/92/1048640492.db2.gz BJSAOEWDILGCLZ-WOJBJXKFSA-N 0 3 311.425 4.134 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2COC3(CCC3)C2)o1 ZINC000850368385 1048640765 /nfs/dbraw/zinc/64/07/65/1048640765.db2.gz XGKKIKFJMHLTNJ-HNNXBMFYSA-N 0 3 315.388 4.195 20 0 DIADHN C[C@H](S[C@H]1CCCN(Cc2ccccc2)C1)C(F)(F)F ZINC001189584604 1048643064 /nfs/dbraw/zinc/64/30/64/1048643064.db2.gz WICGKEVDRDVLLM-JSGCOSHPSA-N 0 3 303.393 4.335 20 0 DIADHN C[C@@H](S[C@@H]1CCCN(Cc2ccccc2)C1)C(F)(F)F ZINC001189584607 1048643704 /nfs/dbraw/zinc/64/37/04/1048643704.db2.gz WICGKEVDRDVLLM-TZMCWYRMSA-N 0 3 303.393 4.335 20 0 DIADHN CCCCCCN(C)CC(=O)NC1(c2ccc(C)cc2)CCC1 ZINC001164589940 1048646395 /nfs/dbraw/zinc/64/63/95/1048646395.db2.gz GMESNCMQCVEKID-UHFFFAOYSA-N 0 3 316.489 4.003 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668091634 1048648358 /nfs/dbraw/zinc/64/83/58/1048648358.db2.gz KYFZSDNMCBIGMD-SKDRFNHKSA-N 0 3 300.349 4.069 20 0 DIADHN CCc1nc(CN[C@H](Cc2ccc(C)cc2)C2CC2)cs1 ZINC000482636033 1048654207 /nfs/dbraw/zinc/65/42/07/1048654207.db2.gz LHCSWMMOMOWORM-QGZVFWFLSA-N 0 3 300.471 4.125 20 0 DIADHN C[C@@H](NCc1cnc2ccccc2c1)c1ccc2c(c1)CCCO2 ZINC000850430877 1048654084 /nfs/dbraw/zinc/65/40/84/1048654084.db2.gz QJUNGGYFBIJFCY-OAHLLOKOSA-N 0 3 318.420 4.411 20 0 DIADHN CN1CCc2ccc(Nc3ccc4cc(O)ccc4c3)cc2C1 ZINC001176388651 1048654342 /nfs/dbraw/zinc/65/43/42/1048654342.db2.gz AIMFSDFWQDUVPG-UHFFFAOYSA-N 0 3 304.393 4.277 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCCO2 ZINC000850429358 1048654423 /nfs/dbraw/zinc/65/44/23/1048654423.db2.gz BRVRRVLHSGZHPR-YJYMSZOUSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2O)c1ccc2c(c1)CCCO2 ZINC000850433473 1048657058 /nfs/dbraw/zinc/65/70/58/1048657058.db2.gz MTKLWMRBXUJFDJ-SCLBCKFNSA-N 0 3 309.409 4.055 20 0 DIADHN COC[C@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccccc1 ZINC000850441892 1048658383 /nfs/dbraw/zinc/65/83/83/1048658383.db2.gz CSCLLQRACQGYHC-KXBFYZLASA-N 0 3 311.425 4.050 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850440881 1048658755 /nfs/dbraw/zinc/65/87/55/1048658755.db2.gz HTIBHDPQWIUYLY-HNNXBMFYSA-N 0 3 311.425 4.179 20 0 DIADHN CC[C@H](c1ccccc1)N(C)c1ccc(CN2CCCC2)cn1 ZINC001165159723 1048663095 /nfs/dbraw/zinc/66/30/95/1048663095.db2.gz JAXZZJXWNHCUNI-LJQANCHMSA-N 0 3 309.457 4.265 20 0 DIADHN Cc1ccc([C@H]2CCCCN2c2cc(CN(C)C)ccn2)cc1C ZINC001165188289 1048664066 /nfs/dbraw/zinc/66/40/66/1048664066.db2.gz VWMBSGIIMOSVKQ-HXUWFJFHSA-N 0 3 323.484 4.492 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1c1cccc(C2CC2)n1 ZINC001165203554 1048664951 /nfs/dbraw/zinc/66/49/51/1048664951.db2.gz YKGFOSPKBOBGFE-DLBZAZTESA-N 0 3 321.468 4.058 20 0 DIADHN CC[C@H](NC[C@H](O)c1cccc2ccccc21)c1ccc(F)cn1 ZINC000850516919 1048674711 /nfs/dbraw/zinc/67/47/11/1048674711.db2.gz PIGOHDRKHDNFPM-ICSRJNTNSA-N 0 3 324.399 4.148 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)C[C@H](O)CCC(C)C ZINC000482791076 1048679616 /nfs/dbraw/zinc/67/96/16/1048679616.db2.gz RIBLTBYLTBLEIN-HUUCEWRRSA-N 0 3 312.523 4.365 20 0 DIADHN CN(Cc1cc(Cl)ccc1OCC1CC1)[C@H]1CCSC1 ZINC000482790454 1048679955 /nfs/dbraw/zinc/67/99/55/1048679955.db2.gz QOAWUQCFNWCSBX-HNNXBMFYSA-N 0 3 311.878 4.066 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](O)c2ccccc21)c1cc2c(s1)CCCC2 ZINC000850528924 1048680860 /nfs/dbraw/zinc/68/08/60/1048680860.db2.gz OEOMEYMVPKJQEK-AFAVFJNCSA-N 0 3 313.466 4.456 20 0 DIADHN COc1ccc([C@H](N[C@@H]2C[C@H](O)c3ccccc32)C(C)C)cc1 ZINC000850530419 1048682832 /nfs/dbraw/zinc/68/28/32/1048682832.db2.gz YTHYJNHGKFTXDZ-HSALFYBXSA-N 0 3 311.425 4.160 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@]12OCCC[C@H]1C2(F)F ZINC000850534166 1048684656 /nfs/dbraw/zinc/68/46/56/1048684656.db2.gz KFAFACORPDHQSG-MJEQTWJJSA-N 0 3 321.367 4.206 20 0 DIADHN C[C@@H]1CCN([C@@H](C(=O)Nc2ccccc2)c2ccccc2)[C@@H]1C ZINC000482847944 1048687263 /nfs/dbraw/zinc/68/72/63/1048687263.db2.gz ZFZQSKFYZWWIDC-GPMSIDNRSA-N 0 3 308.425 4.097 20 0 DIADHN Cc1noc(C)c1CN[C@H]1CCCC[C@H]1Oc1cccc(C)c1 ZINC000482840058 1048687504 /nfs/dbraw/zinc/68/75/04/1048687504.db2.gz CTCZZOMOROPUPX-RBUKOAKNSA-N 0 3 314.429 4.080 20 0 DIADHN Cc1ccc2cccc(NCC3CN(Cc4ccccc4)C3)c2n1 ZINC001165443244 1048688307 /nfs/dbraw/zinc/68/83/07/1048688307.db2.gz ZENGCWZDZONUIJ-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN CCOc1c(C)cc(C)cc1NCC1CN(Cc2ccccc2)C1 ZINC001165468158 1048690196 /nfs/dbraw/zinc/69/01/96/1048690196.db2.gz CDBSMYJMKIZLOI-UHFFFAOYSA-N 0 3 324.468 4.246 20 0 DIADHN COc1ccc2oc([C@@H](C)NCC3SCCS3)c(C)c2c1 ZINC000850559596 1048690793 /nfs/dbraw/zinc/69/07/93/1048690793.db2.gz DENZMASQLQXKLO-LLVKDONJSA-N 0 3 323.483 4.207 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](O)c2ccc(Cl)c(Cl)c2)o1 ZINC000850556473 1048691572 /nfs/dbraw/zinc/69/15/72/1048691572.db2.gz KCBWXVVJSSABTL-YGRLFVJLSA-N 0 3 314.212 4.279 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@@H](C)[C@H]1C ZINC000482862179 1048692078 /nfs/dbraw/zinc/69/20/78/1048692078.db2.gz CVIPZNSWBYWDNU-ZACQAIPSSA-N 0 3 322.452 4.411 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccnc(Cl)c3)CCC2)c1 ZINC000850566318 1048694251 /nfs/dbraw/zinc/69/42/51/1048694251.db2.gz LMTCVVSPAYTJLM-ZDUSSCGKSA-N 0 3 316.832 4.474 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc3c(c2)CCCO3)c1C ZINC000850578298 1048700183 /nfs/dbraw/zinc/70/01/83/1048700183.db2.gz KHXCYPBZRQGUNT-HNNXBMFYSA-N 0 3 311.425 4.179 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc2ccn(C(F)F)c2c1)N(CC)CC ZINC001165667906 1048707876 /nfs/dbraw/zinc/70/78/76/1048707876.db2.gz TVIGYYOGFQAOIJ-AWEZNQCLSA-N 0 3 323.387 4.095 20 0 DIADHN CN1CCc2ccc(Nc3cccc(OC(F)(F)F)c3)cc2C1 ZINC001176540200 1048712024 /nfs/dbraw/zinc/71/20/24/1048712024.db2.gz RWAIEZQWFCROJV-UHFFFAOYSA-N 0 3 322.330 4.317 20 0 DIADHN CCOC(=O)N[C@@H]1CCCN([C@@H](C)c2ccc(C(C)C)cc2)C1 ZINC001190332081 1048718128 /nfs/dbraw/zinc/71/81/28/1048718128.db2.gz OZGJCLUOEIETLU-MAUKXSAKSA-N 0 3 318.461 4.082 20 0 DIADHN CCCCN(Cc1cncc(OCc2ccccc2)c1)C1CC1 ZINC001190348650 1048721342 /nfs/dbraw/zinc/72/13/42/1048721342.db2.gz NBMYCLQVDRXSQI-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)C[C@@H]1COC2(CCCCC2)O1 ZINC001190417576 1048734763 /nfs/dbraw/zinc/73/47/63/1048734763.db2.gz LQJXYNPMBQLGIX-WMLDXEAASA-N 0 3 323.864 4.409 20 0 DIADHN Cc1ccc(NC(=O)CN(CC2CCCCC2)C2CC2)cc1C ZINC001190427623 1048735508 /nfs/dbraw/zinc/73/55/08/1048735508.db2.gz WRYZQNWYSGGXBD-UHFFFAOYSA-N 0 3 314.473 4.287 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)[C@H]2CCC(C)(C)C2)s1 ZINC000483102874 1048735645 /nfs/dbraw/zinc/73/56/45/1048735645.db2.gz RLNHWZLFOIFRDI-JTQLQIEISA-N 0 3 306.397 4.481 20 0 DIADHN CN(Cc1ncc(-c2ccccc2F)o1)[C@@H]1CCC(C)(C)C1 ZINC000483112169 1048736686 /nfs/dbraw/zinc/73/66/86/1048736686.db2.gz RLYFXXDLVCKKQH-CYBMUJFWSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@H]2CCC(C)(C)C2)c(C)c1C ZINC000483108543 1048737837 /nfs/dbraw/zinc/73/78/37/1048737837.db2.gz ZKOIGVAKTGGBST-AWEZNQCLSA-N 0 3 308.491 4.122 20 0 DIADHN CN(Cc1ccc(-c2ccsc2)cc1)C[C@@H]1CCCCO1 ZINC001190484994 1048738095 /nfs/dbraw/zinc/73/80/95/1048738095.db2.gz FHGKSSYUOZTDEN-SFHVURJKSA-N 0 3 301.455 4.416 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000775387852 1048738695 /nfs/dbraw/zinc/73/86/95/1048738695.db2.gz OBGAXQIIANYPFO-HXUWFJFHSA-N 0 3 310.441 4.157 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@@H]1CCC(C)(C)C1 ZINC000483112307 1048738836 /nfs/dbraw/zinc/73/88/36/1048738836.db2.gz HUBWXNXFWLNYRH-OAHLLOKOSA-N 0 3 302.462 4.259 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@@H]3CCC(C)(C)C3)o2)cc1 ZINC000483116485 1048739550 /nfs/dbraw/zinc/73/95/50/1048739550.db2.gz OAEWZRBQCUCTHY-OAHLLOKOSA-N 0 3 314.429 4.361 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)cc1Cl)CC1CCC1 ZINC000483115688 1048740362 /nfs/dbraw/zinc/74/03/62/1048740362.db2.gz CNZDBHXLONMMKS-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CCN(CC(=O)Nc1cccc(Cl)c1Cl)CC1CCC1 ZINC000483113802 1048740580 /nfs/dbraw/zinc/74/05/80/1048740580.db2.gz CHJNECKNWJAGJG-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CC[C@](C)(NCc1cnc(OC)c(Cl)c1)c1ccccc1 ZINC000775390834 1048740811 /nfs/dbraw/zinc/74/08/11/1048740811.db2.gz QDZYMADKBCKKII-KRWDZBQOSA-N 0 3 304.821 4.159 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668212629 1048743107 /nfs/dbraw/zinc/74/31/07/1048743107.db2.gz IOJINVCMKLNQGT-ZYHUDNBSSA-N 0 3 314.376 4.459 20 0 DIADHN COC1(CN(C)[C@H](C)c2nc3ccccc3s2)CCCC1 ZINC000483168451 1048751803 /nfs/dbraw/zinc/75/18/03/1048751803.db2.gz MMVUKGBHHBNEBK-CYBMUJFWSA-N 0 3 304.459 4.248 20 0 DIADHN COc1ccc(CN(C)CCCSC(C)(C)C)c(OC)c1 ZINC001190541350 1048754155 /nfs/dbraw/zinc/75/41/55/1048754155.db2.gz PWHONHOEPFBZAP-UHFFFAOYSA-N 0 3 311.491 4.057 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483222596 1048760337 /nfs/dbraw/zinc/76/03/37/1048760337.db2.gz UKCONUHWXUIJOM-GHMZBOCLSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccn(CCc3ccccc3)n2)C1 ZINC001190589840 1048762479 /nfs/dbraw/zinc/76/24/79/1048762479.db2.gz UDLRKESVDCTQHE-XLIONFOSSA-N 0 3 311.473 4.136 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H](C(C)(C)C)C1 ZINC000483232879 1048765063 /nfs/dbraw/zinc/76/50/63/1048765063.db2.gz HXZQHMDJTOLLDS-QGZVFWFLSA-N 0 3 316.489 4.254 20 0 DIADHN COc1ccc(-c2nc(CN(CC3CC3)C3CCC3)co2)cc1 ZINC000483291875 1048774212 /nfs/dbraw/zinc/77/42/12/1048774212.db2.gz FRMNOZSFCHPYHC-UHFFFAOYSA-N 0 3 312.413 4.115 20 0 DIADHN CCN(CCC(F)(F)F)C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC001190692344 1048776669 /nfs/dbraw/zinc/77/66/69/1048776669.db2.gz WBLBPGLPHWEHIG-CABCVRRESA-N 0 3 301.352 4.181 20 0 DIADHN Fc1ccc(-c2nc(CN(CC3CC3)C3CCC3)co2)cc1F ZINC000483310920 1048778326 /nfs/dbraw/zinc/77/83/26/1048778326.db2.gz DPYHTENLGKZRRP-UHFFFAOYSA-N 0 3 318.367 4.384 20 0 DIADHN Fc1cccc(-c2nc(CN(CC3CC3)C3CCC3)co2)c1 ZINC000483308454 1048778372 /nfs/dbraw/zinc/77/83/72/1048778372.db2.gz QBTNYEQQXNGZQA-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN CSCC1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC001176710401 1048784180 /nfs/dbraw/zinc/78/41/80/1048784180.db2.gz PERHKQFPIFDPKE-UHFFFAOYSA-N 0 3 320.889 4.463 20 0 DIADHN C[C@H](NCc1oc2ccccc2c1Br)C1(C)CC1 ZINC001176767876 1048788309 /nfs/dbraw/zinc/78/83/09/1048788309.db2.gz XDCWWMBRPNSIGM-JTQLQIEISA-N 0 3 308.219 4.474 20 0 DIADHN C[C@H](NCc1nc(-c2c(F)cccc2F)cs1)C1(C)CC1 ZINC001176767204 1048789499 /nfs/dbraw/zinc/78/94/99/1048789499.db2.gz ASHREXXTOMDYNU-JTQLQIEISA-N 0 3 308.397 4.367 20 0 DIADHN CCCNC(=O)CCCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC001190837617 1048791106 /nfs/dbraw/zinc/79/11/06/1048791106.db2.gz HTMXJKGHRRPYMH-AWEZNQCLSA-N 0 3 322.880 4.172 20 0 DIADHN O[C@@H](CNC1(c2ccc(Cl)cc2)CC1)c1cccc(Cl)c1 ZINC000775414713 1048791572 /nfs/dbraw/zinc/79/15/72/1048791572.db2.gz IKUNLDZDYKJGIE-INIZCTEOSA-N 0 3 322.235 4.306 20 0 DIADHN COc1ccc(CN(Cc2cccc(F)n2)[C@H](C)C2CC2)cc1 ZINC000851735244 1048794670 /nfs/dbraw/zinc/79/46/70/1048794670.db2.gz DNEFNBSIUWFPQQ-CQSZACIVSA-N 0 3 314.404 4.030 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCSCC[C@H]3C)s2)cc1 ZINC000483420914 1048795008 /nfs/dbraw/zinc/79/50/08/1048795008.db2.gz NOBFKKVFCDCHPX-CQSZACIVSA-N 0 3 318.511 4.446 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCCC3(CCCCC3)C2)c1 ZINC001190872224 1048795856 /nfs/dbraw/zinc/79/58/56/1048795856.db2.gz HLUPANSOCXMHOP-UHFFFAOYSA-N 0 3 319.420 4.159 20 0 DIADHN FC(F)(F)C[C@H]1CCCN(Cc2cc3ccncc3s2)C1 ZINC000668244064 1048796449 /nfs/dbraw/zinc/79/64/49/1048796449.db2.gz PKEJSDAZJBFEKJ-LLVKDONJSA-N 0 3 314.376 4.461 20 0 DIADHN C[C@H](c1cccs1)N(Cc1ccccc1)C[C@H]1CCC(=O)O1 ZINC000851739660 1048797885 /nfs/dbraw/zinc/79/78/85/1048797885.db2.gz NZTLIYGMSGMVFH-GDBMZVCRSA-N 0 3 315.438 4.017 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2ccc(Cl)c(OC)c2)C1 ZINC001190936504 1048800541 /nfs/dbraw/zinc/80/05/41/1048800541.db2.gz XWNUCIQELJOKPO-CQSZACIVSA-N 0 3 313.894 4.456 20 0 DIADHN Fc1cccc(C2CCN(Cc3cnc4ccccc4n3)CC2)c1 ZINC000851801152 1048801459 /nfs/dbraw/zinc/80/14/59/1048801459.db2.gz BQTJTEZOCRCQAC-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN FC1(F)Oc2cccc(CN3CCC[C@H](C4CCCC4)C3)c2O1 ZINC001176940547 1048802061 /nfs/dbraw/zinc/80/20/61/1048802061.db2.gz SWTBHZQYAXKUND-AWEZNQCLSA-N 0 3 323.383 4.410 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2cccc(OC)c2Cl)C1 ZINC001190936267 1048803036 /nfs/dbraw/zinc/80/30/36/1048803036.db2.gz WLVKSYYRTACCII-CQSZACIVSA-N 0 3 313.894 4.456 20 0 DIADHN Cc1ccc(CN[C@]2(CO)CC[C@H](C)c3ccccc32)cc1C ZINC001176932763 1048805278 /nfs/dbraw/zinc/80/52/78/1048805278.db2.gz QQIYHWXMWHAKLN-KKSFZXQISA-N 0 3 309.453 4.178 20 0 DIADHN Fc1ccc(C2CCN(Cc3cnc4ccccc4n3)CC2)cc1 ZINC000851804400 1048806166 /nfs/dbraw/zinc/80/61/66/1048806166.db2.gz AETILZJURRBYMV-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC(=O)Oc1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1 ZINC000775422478 1048808777 /nfs/dbraw/zinc/80/87/77/1048808777.db2.gz MKNPPLRLYJUMQZ-UHFFFAOYSA-N 0 3 315.800 4.044 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCCC[C@]12CCCOC2 ZINC001177008957 1048812800 /nfs/dbraw/zinc/81/28/00/1048812800.db2.gz SXOOZCCQSOKJJW-QGZVFWFLSA-N 0 3 311.828 4.057 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCC(C(F)F)CC1 ZINC000483529924 1048813448 /nfs/dbraw/zinc/81/34/48/1048813448.db2.gz IPSLVDNOTZREBR-SNVBAGLBSA-N 0 3 305.315 4.326 20 0 DIADHN Cc1ccccc1[C@H](C)N(C)[C@H]1CCCN(c2ccccc2)C1=O ZINC001190985867 1048816332 /nfs/dbraw/zinc/81/63/32/1048816332.db2.gz UNDHPGGNPATADS-PXNSSMCTSA-N 0 3 322.452 4.183 20 0 DIADHN CC(C)CN(C[C@H]1CCC(=O)O1)[C@H](C)c1ccccc1Cl ZINC000852023299 1048819436 /nfs/dbraw/zinc/81/94/36/1048819436.db2.gz KLBLOWABFLLCHH-ZIAGYGMSSA-N 0 3 309.837 4.065 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000775428244 1048820801 /nfs/dbraw/zinc/82/08/01/1048820801.db2.gz BOCJHMJSGAQDAA-NSHDSACASA-N 0 3 317.795 4.286 20 0 DIADHN COc1cccc(CNCCSCc2ccc(C)cc2)c1F ZINC001177061228 1048821676 /nfs/dbraw/zinc/82/16/76/1048821676.db2.gz KKBRIBWOOYNPKB-UHFFFAOYSA-N 0 3 319.445 4.166 20 0 DIADHN C[C@H](NCc1cccc(F)c1N)c1ccc(Br)cc1 ZINC001177082131 1048821937 /nfs/dbraw/zinc/82/19/37/1048821937.db2.gz YTJRVMGUGRMVKI-JTQLQIEISA-N 0 3 323.209 4.021 20 0 DIADHN C[C@H]1CCCC[C@@H]1CN1CCc2c(cnn2-c2ccccc2)C1 ZINC001166990951 1048823728 /nfs/dbraw/zinc/82/37/28/1048823728.db2.gz VHTCTCJVWNQDNJ-DLBZAZTESA-N 0 3 309.457 4.057 20 0 DIADHN CC1(C)OC[C@@H](CN2CCCCC[C@H]2c2ccc(Cl)cc2)O1 ZINC001191021514 1048824317 /nfs/dbraw/zinc/82/43/17/1048824317.db2.gz GQXLPLHDDFUYIM-SJORKVTESA-N 0 3 323.864 4.409 20 0 DIADHN CC1(C)OC[C@@H](CN2CCCCC[C@@H]2c2ccc(Cl)cc2)O1 ZINC001191021512 1048825612 /nfs/dbraw/zinc/82/56/12/1048825612.db2.gz GQXLPLHDDFUYIM-IAGOWNOFSA-N 0 3 323.864 4.409 20 0 DIADHN Fc1ccc(CNCc2cccc(OCc3ccccn3)c2)cc1 ZINC001177093377 1048828353 /nfs/dbraw/zinc/82/83/53/1048828353.db2.gz PGMOIOOPQQTZBF-UHFFFAOYSA-N 0 3 322.383 4.090 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1csc(COc2ccccc2)n1 ZINC001177093388 1048830005 /nfs/dbraw/zinc/83/00/05/1048830005.db2.gz PZCKLXHCSPTFIC-PBHICJAKSA-N 0 3 316.470 4.390 20 0 DIADHN CCCN(CCC)Cc1cc(Br)cc(F)c1F ZINC001167024492 1048832280 /nfs/dbraw/zinc/83/22/80/1048832280.db2.gz YNOUPZXDFRTGNX-UHFFFAOYSA-N 0 3 306.194 4.349 20 0 DIADHN Cc1cc(-c2noc([C@H](C)NC3CCCCC3)n2)ccc1F ZINC000483634333 1048833651 /nfs/dbraw/zinc/83/36/51/1048833651.db2.gz HMLXPTAEWGIJBB-LBPRGKRZSA-N 0 3 303.381 4.167 20 0 DIADHN C[C@@H](CC1CCOCC1)CN1CCc2cc(Cl)ccc2C1 ZINC000483659002 1048834287 /nfs/dbraw/zinc/83/42/87/1048834287.db2.gz WRYMAPSUAJKZOR-AWEZNQCLSA-N 0 3 307.865 4.151 20 0 DIADHN Cc1ccc(CNC(C)(C)/C=C\Cl)cc1Br ZINC001203733819 1048834383 /nfs/dbraw/zinc/83/43/83/1048834383.db2.gz LBRMHGFRWVYHCC-SREVYHEPSA-N 0 3 302.643 4.378 20 0 DIADHN CCn1cc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c(C)n1 ZINC001177112568 1048835901 /nfs/dbraw/zinc/83/59/01/1048835901.db2.gz QXAYWTAOYZRIMP-QGZVFWFLSA-N 0 3 303.837 4.106 20 0 DIADHN Cc1csc(CN[C@@H](c2ccccc2F)C2CCOCC2)c1 ZINC000903180252 1048837251 /nfs/dbraw/zinc/83/72/51/1048837251.db2.gz YTBMAJPVQVXYOS-GOSISDBHSA-N 0 3 319.445 4.453 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccccc2Br)CC1 ZINC001203319066 1048868673 /nfs/dbraw/zinc/86/86/73/1048868673.db2.gz RCBUTZVTCVTXRC-AWEZNQCLSA-N 0 3 300.215 4.163 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccco2)c(-c2ccnn2C)c1 ZINC000338946235 1048841213 /nfs/dbraw/zinc/84/12/13/1048841213.db2.gz WBOXXRLVFFDSHL-HNNXBMFYSA-N 0 3 309.413 4.182 20 0 DIADHN Cc1ccc([C@@H](O)CN2CC3(CCCC3)[C@@H]2c2ccco2)cc1 ZINC000852427029 1048841422 /nfs/dbraw/zinc/84/14/22/1048841422.db2.gz RGIYPPGOMCDWGU-HKUYNNGSSA-N 0 3 311.425 4.239 20 0 DIADHN Cc1ccc([C@@H](O)CN2CC3(CCCC3)[C@H]2c2ccco2)cc1 ZINC000852427035 1048841945 /nfs/dbraw/zinc/84/19/45/1048841945.db2.gz RGIYPPGOMCDWGU-PKOBYXMFSA-N 0 3 311.425 4.239 20 0 DIADHN COc1cc(CNCc2ccc(C3CC3)c(C3CC3)c2)ccn1 ZINC001177200166 1048843229 /nfs/dbraw/zinc/84/32/29/1048843229.db2.gz SKPDFNPPSYVSJJ-UHFFFAOYSA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccc(Cl)c(CN[C@@H](C)[C@H]2COc3ccccc32)c1 ZINC000483716775 1048844449 /nfs/dbraw/zinc/84/44/49/1048844449.db2.gz WLGMDRNJJRLIOE-BLLLJJGKSA-N 0 3 317.816 4.003 20 0 DIADHN C1=C(CNCc2cc3ccccc3nc2N2CCCCC2)CCC1 ZINC001203187301 1048845051 /nfs/dbraw/zinc/84/50/51/1048845051.db2.gz JMTSIRMHKKSWGE-UHFFFAOYSA-N 0 3 321.468 4.425 20 0 DIADHN CC(C)C1CCC(CN[C@H](CO)c2ccc(F)cc2F)CC1 ZINC001203207524 1048846460 /nfs/dbraw/zinc/84/64/60/1048846460.db2.gz LRCATRVLZYZFJQ-WTNGLUPJSA-N 0 3 311.416 4.050 20 0 DIADHN Cc1ccc([C@@H](NCc2cc(-c3ccccn3)n[nH]2)C2CC2)cc1 ZINC001177191407 1048848809 /nfs/dbraw/zinc/84/88/09/1048848809.db2.gz UXFOAHINESNZNM-HXUWFJFHSA-N 0 3 318.424 4.021 20 0 DIADHN CC(C)(C)CCNCc1cnn(Cc2ccccc2)c1Cl ZINC001177231132 1048850296 /nfs/dbraw/zinc/85/02/96/1048850296.db2.gz BWUTWCJNIFXRPS-UHFFFAOYSA-N 0 3 305.853 4.111 20 0 DIADHN COc1ccc(-c2nc(CNCCC(C)(C)C)cs2)cc1 ZINC001177231663 1048850950 /nfs/dbraw/zinc/85/09/50/1048850950.db2.gz ZNRXACWJVCUGLD-UHFFFAOYSA-N 0 3 304.459 4.345 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2cnc(Cl)s2)C12CCC2 ZINC000852587342 1048852272 /nfs/dbraw/zinc/85/22/72/1048852272.db2.gz XDCBBXZHURTIST-VXGBXAGGSA-N 0 3 314.882 4.012 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1nnc(-c2cccc(F)c2)o1 ZINC000852712867 1048856573 /nfs/dbraw/zinc/85/65/73/1048856573.db2.gz YCUHISUJWKSLAA-SWLSCSKDSA-N 0 3 303.381 4.029 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cc2ccc(F)cc2[nH]c1=O ZINC001203282313 1048856863 /nfs/dbraw/zinc/85/68/63/1048856863.db2.gz FJKKDOZLTKXCBU-CYBMUJFWSA-N 0 3 310.372 4.239 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc2[nH]c(=O)oc2c1 ZINC001203281926 1048857487 /nfs/dbraw/zinc/85/74/87/1048857487.db2.gz BDTBGIBHVKYJRW-LLVKDONJSA-N 0 3 308.337 4.130 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@@]1(C)CCCc2ccccc21 ZINC000483808553 1048860365 /nfs/dbraw/zinc/86/03/65/1048860365.db2.gz YRDHKVCHXANQJO-NRFANRHFSA-N 0 3 317.436 4.280 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CNCc1ccc[nH]c1=O ZINC001203307449 1048863440 /nfs/dbraw/zinc/86/34/40/1048863440.db2.gz TXPNQOWGIYZMGO-UHFFFAOYSA-N 0 3 318.829 4.254 20 0 DIADHN CC[C@H](NCc1cncc(OC)c1C)c1cccc(Cl)c1 ZINC001177277383 1048867150 /nfs/dbraw/zinc/86/71/50/1048867150.db2.gz LUMFYCAXTHSZQW-INIZCTEOSA-N 0 3 304.821 4.293 20 0 DIADHN CCCOc1ccc(CNCc2ccc(OC)cc2CC)cc1 ZINC001177312144 1048867435 /nfs/dbraw/zinc/86/74/35/1048867435.db2.gz LJBHEENBCRRTHI-UHFFFAOYSA-N 0 3 313.441 4.336 20 0 DIADHN CCCC[C@@H](CCC)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000483849968 1048867842 /nfs/dbraw/zinc/86/78/42/1048867842.db2.gz JGTMJDBMLGTIJI-MRXNPFEDSA-N 0 3 305.466 4.229 20 0 DIADHN CC[C@@H](CNCc1ccc(C)cc1F)Oc1cccc(C)c1 ZINC001177315059 1048868448 /nfs/dbraw/zinc/86/84/48/1048868448.db2.gz IJWOOEKKHITRJC-KRWDZBQOSA-N 0 3 301.405 4.390 20 0 DIADHN Clc1c(CN[C@H]2CCCC23CC3)cnn1Cc1ccccc1 ZINC001203331710 1048872810 /nfs/dbraw/zinc/87/28/10/1048872810.db2.gz FZUYJHQELXKESA-INIZCTEOSA-N 0 3 315.848 4.007 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(F)cc1OC(F)F)C(C)(C)C ZINC000483859987 1048872669 /nfs/dbraw/zinc/87/26/69/1048872669.db2.gz NVHXLAOGEIWTKK-YGRLFVJLSA-N 0 3 319.367 4.139 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(F)cc1OC(F)F)C(C)(C)C ZINC000483859986 1048872832 /nfs/dbraw/zinc/87/28/32/1048872832.db2.gz NVHXLAOGEIWTKK-QMTHXVAHSA-N 0 3 319.367 4.139 20 0 DIADHN Cc1nc(F)ccc1CNCc1cn(C(F)F)c2ccccc12 ZINC001203338759 1048873270 /nfs/dbraw/zinc/87/32/70/1048873270.db2.gz IOPYYJSIBVNLKC-UHFFFAOYSA-N 0 3 319.330 4.169 20 0 DIADHN CCCCOc1ccc(CCNCc2csc(CC)n2)cc1 ZINC001177308595 1048876036 /nfs/dbraw/zinc/87/60/36/1048876036.db2.gz ZXUUVUROBUXFGA-UHFFFAOYSA-N 0 3 318.486 4.217 20 0 DIADHN O=C(CN1CCC2(C1)CCCCC2)NCCCC1CCCCC1 ZINC001191205209 1048881125 /nfs/dbraw/zinc/88/11/25/1048881125.db2.gz ZODZCBCYBIKHTI-UHFFFAOYSA-N 0 3 320.521 4.119 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-c3ccccc3Cl)o2)[C@@H](C)O1 ZINC001203368869 1048882896 /nfs/dbraw/zinc/88/28/96/1048882896.db2.gz IQNALPFZCHWNHK-XHBSWPGZSA-N 0 3 305.805 4.256 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-c3ccccc3Cl)o2)[C@H](C)O1 ZINC001203368866 1048883113 /nfs/dbraw/zinc/88/31/13/1048883113.db2.gz IQNALPFZCHWNHK-BFQNTYOBSA-N 0 3 305.805 4.256 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2NCc1cccc2nsnc21 ZINC001203362797 1048884553 /nfs/dbraw/zinc/88/45/53/1048884553.db2.gz HTIQJXRGAHDZDG-CQSZACIVSA-N 0 3 315.829 4.122 20 0 DIADHN CCN1CCN(c2ccc(NCC3CCCCC3)c(C)c2)CC1 ZINC001177421282 1048886613 /nfs/dbraw/zinc/88/66/13/1048886613.db2.gz TUIZELRHLQOOGO-UHFFFAOYSA-N 0 3 315.505 4.129 20 0 DIADHN C[C@@H]1CCN(C[C@@H]2CCC3(CCC3)CO2)[C@@H](c2ccco2)C1 ZINC001191216006 1048888696 /nfs/dbraw/zinc/88/86/96/1048888696.db2.gz XLCCQYBSGZFIGY-IXDOHACOSA-N 0 3 303.446 4.402 20 0 DIADHN Cc1cccc(CNCc2ccnc(OCc3ccccc3)c2)c1 ZINC000067669954 1048889186 /nfs/dbraw/zinc/88/91/86/1048889186.db2.gz OLZDJEJDRVEBRW-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN Cc1cc(C)cc(OCCNCc2ccccc2OC(C)C)c1 ZINC001177475476 1048891747 /nfs/dbraw/zinc/89/17/47/1048891747.db2.gz MLBALYAJWNXQHU-UHFFFAOYSA-N 0 3 313.441 4.259 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCC[C@H](C)[C@@H]1C ZINC001191181349 1048893083 /nfs/dbraw/zinc/89/30/83/1048893083.db2.gz GUCXFVJSOAJZGW-KBPBESRZSA-N 0 3 315.413 4.230 20 0 DIADHN Cc1ccc([C@@H]2[C@@H](C)CCCN2Cc2cnn(CCF)c2)cc1 ZINC001191181950 1048894099 /nfs/dbraw/zinc/89/40/99/1048894099.db2.gz NTEIWKNPAHAVGK-LPHOPBHVSA-N 0 3 315.436 4.134 20 0 DIADHN CC[C@H](c1ccncc1)N(C)CCOc1ccc(Cl)c(F)c1 ZINC000484088628 1048900270 /nfs/dbraw/zinc/90/02/70/1048900270.db2.gz VHBBVPSJVLIXCP-QGZVFWFLSA-N 0 3 322.811 4.336 20 0 DIADHN CC[C@@H](COC)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000484127553 1048901382 /nfs/dbraw/zinc/90/13/82/1048901382.db2.gz HKVVLNIGJMPKOQ-ONGXEEELSA-N 0 3 307.768 4.017 20 0 DIADHN C[C@@H](NCCNc1ccccn1)c1cccc(Cl)c1Cl ZINC000484126040 1048901621 /nfs/dbraw/zinc/90/16/21/1048901621.db2.gz DAMXEYQWRQCFHI-LLVKDONJSA-N 0 3 310.228 4.151 20 0 DIADHN CCN(Cc1nc(C)c(C)[nH]1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC001191225153 1048901813 /nfs/dbraw/zinc/90/18/13/1048901813.db2.gz YOMOCKHWJFZYHA-SFHVURJKSA-N 0 3 317.452 4.255 20 0 DIADHN C[C@@H](NCCNc1ccccn1)c1ccc(Cl)cc1Cl ZINC000484148321 1048905656 /nfs/dbraw/zinc/90/56/56/1048905656.db2.gz SSAGXSKYIYNBLF-LLVKDONJSA-N 0 3 310.228 4.151 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1cc(Cl)n(C)n1 ZINC001191233697 1048906132 /nfs/dbraw/zinc/90/61/32/1048906132.db2.gz POZKDVBKYGGJFD-DLBZAZTESA-N 0 3 317.864 4.232 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001191233236 1048906193 /nfs/dbraw/zinc/90/61/93/1048906193.db2.gz MACYKOLCGVCPIG-OXQOHEQNSA-N 0 3 322.452 4.413 20 0 DIADHN Cc1c(Cl)ccc(CN[C@]23CCC[C@H]2OCC3)c1Cl ZINC001203457206 1048906501 /nfs/dbraw/zinc/90/65/01/1048906501.db2.gz APELACNSXHCICW-HIFRSBDPSA-N 0 3 300.229 4.103 20 0 DIADHN CC(C)P(CCNC(=O)[C@H]1C[C@@H]1c1cccs1)C(C)C ZINC001177551988 1048906505 /nfs/dbraw/zinc/90/65/05/1048906505.db2.gz RUZSDVCOMHCYQE-KBPBESRZSA-N 0 3 311.431 4.267 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1N[C@H]1CCOC2(CCCCC2)C1 ZINC001203464063 1048908427 /nfs/dbraw/zinc/90/84/27/1048908427.db2.gz KCMUSAAFFJDYOC-QXAKKESOSA-N 0 3 317.448 4.484 20 0 DIADHN Cc1cscc1CNCc1ccc(Br)c(F)c1 ZINC000161332903 1048912272 /nfs/dbraw/zinc/91/22/72/1048912272.db2.gz FEWRJUJZWOVWLE-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN COc1ccc(CN2CCC(c3cccs3)CC2)c(OC)c1 ZINC001203479229 1048912416 /nfs/dbraw/zinc/91/24/16/1048912416.db2.gz URHOMFCACMUPJS-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CC[C@H](NCC1=CCCOC1)c1ccc(Cl)cc1Cl ZINC000379750656 1048913688 /nfs/dbraw/zinc/91/36/88/1048913688.db2.gz LEDPOORDOWREGV-HNNXBMFYSA-N 0 3 300.229 4.381 20 0 DIADHN COc1ccc(OC)c(CN2CCCC[C@H]2c2ccccc2)c1 ZINC001203518834 1048916024 /nfs/dbraw/zinc/91/60/24/1048916024.db2.gz QWPWALPVMFJWLG-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1cc(C)ccn1 ZINC001203521236 1048918525 /nfs/dbraw/zinc/91/85/25/1048918525.db2.gz FMFVOCBRQRFUOT-NTEUORMPSA-N 0 3 318.367 4.185 20 0 DIADHN COc1ccc(OC)c(CN2CCC(c3ccccc3)CC2)c1 ZINC001203519837 1048920016 /nfs/dbraw/zinc/92/00/16/1048920016.db2.gz CTAWDYHNLQEUNU-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN CC(C)C[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001191342980 1048921131 /nfs/dbraw/zinc/92/11/31/1048921131.db2.gz QUWFGKPKRLLNGL-KBPBESRZSA-N 0 3 308.853 4.035 20 0 DIADHN CC(C)N(C(=O)CN1CCC(C2CCCC2)CC1)C1CCCC1 ZINC001191350904 1048922205 /nfs/dbraw/zinc/92/22/05/1048922205.db2.gz UDJPDFNQVNSOJU-UHFFFAOYSA-N 0 3 320.521 4.068 20 0 DIADHN COc1ccc(OC)c(CN2CCC[C@@H]2c2ccc(C)cc2)c1 ZINC001203527607 1048922149 /nfs/dbraw/zinc/92/21/49/1048922149.db2.gz WDNQQLVLHAJYMM-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CCOc1ccccc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001203545745 1048923266 /nfs/dbraw/zinc/92/32/66/1048923266.db2.gz RWDOAOCHCUUJLY-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN COc1ccc2c(c1)nccc2-n1ccc2c1cc(N)cc2C ZINC001203528768 1048922696 /nfs/dbraw/zinc/92/26/96/1048922696.db2.gz WWRBNVRKFLAMFY-UHFFFAOYSA-N 0 3 303.365 4.078 20 0 DIADHN CCc1ccc(N2CCN(Cc3ccccc3C3CC3)CC2)cc1 ZINC001191349434 1048923368 /nfs/dbraw/zinc/92/33/68/1048923368.db2.gz AWDKYDZYUDBNEV-UHFFFAOYSA-N 0 3 320.480 4.449 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC(C2CCCC2)CC1 ZINC001191350887 1048923564 /nfs/dbraw/zinc/92/35/64/1048923564.db2.gz TYAZGGMPWJRFJB-UHFFFAOYSA-N 0 3 323.484 4.334 20 0 DIADHN Cn1nccc1CNCc1ccccc1-c1ccc(Cl)cc1 ZINC001177607847 1048924430 /nfs/dbraw/zinc/92/44/30/1048924430.db2.gz CWRUDJHDDXURQW-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN C[C@@H](NCc1csc(CCc2ccccc2)n1)C(C)(C)C ZINC000484310040 1048925040 /nfs/dbraw/zinc/92/50/40/1048925040.db2.gz OMCRPOCURKQTDJ-CQSZACIVSA-N 0 3 302.487 4.453 20 0 DIADHN Fc1cccc(F)c1CCCN1CCS[C@@H]2CCCC[C@H]21 ZINC001191358581 1048925606 /nfs/dbraw/zinc/92/56/06/1048925606.db2.gz NJIKCBQRKSGPKS-IAGOWNOFSA-N 0 3 311.441 4.257 20 0 DIADHN CC(C)(CNCc1ccn(-c2ccccc2)n1)c1ccc(F)cc1 ZINC001177673893 1048928298 /nfs/dbraw/zinc/92/82/98/1048928298.db2.gz BIQXBCJDRRTVKP-UHFFFAOYSA-N 0 3 323.415 4.079 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCC(C)(C)c1ccc(C)cc1 ZINC001177673919 1048928536 /nfs/dbraw/zinc/92/85/36/1048928536.db2.gz CFOJZVZNDCVMOP-UHFFFAOYSA-N 0 3 305.853 4.001 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1cnc2c(F)cccc2c1 ZINC001177646900 1048929844 /nfs/dbraw/zinc/92/98/44/1048929844.db2.gz UILVCGUVELCPSO-GOSISDBHSA-N 0 3 310.347 4.290 20 0 DIADHN CC(C)OC1(CNCc2ccccc2OC(F)(F)F)CCC1 ZINC001203566096 1048930365 /nfs/dbraw/zinc/93/03/65/1048930365.db2.gz OGMVAWJVBXCCLD-UHFFFAOYSA-N 0 3 317.351 4.023 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NCc1cnc2c(F)cccc2c1 ZINC001177646901 1048930690 /nfs/dbraw/zinc/93/06/90/1048930690.db2.gz UILVCGUVELCPSO-SFHVURJKSA-N 0 3 310.347 4.290 20 0 DIADHN C[C@H](COCc1ccccc1)CN1CC[C@@H]1c1cccc(F)c1 ZINC001191390257 1048930959 /nfs/dbraw/zinc/93/09/59/1048930959.db2.gz JXDVNBDDZBFGOE-OXJNMPFZSA-N 0 3 313.416 4.425 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001177706953 1048935239 /nfs/dbraw/zinc/93/52/39/1048935239.db2.gz FLWKAXNQEDWTHB-IAGOWNOFSA-N 0 3 308.510 4.068 20 0 DIADHN Clc1cc2c(c(CNCc3cccc4ncccc34)c1)OCC2 ZINC001203582407 1048936958 /nfs/dbraw/zinc/93/69/58/1048936958.db2.gz FUVXPCGLURSOKP-UHFFFAOYSA-N 0 3 324.811 4.113 20 0 DIADHN C[C@@H](CNCc1nc2c(s1)C[C@@H](C)CC2)c1ccc(F)cc1 ZINC001177742333 1048938823 /nfs/dbraw/zinc/93/88/23/1048938823.db2.gz HKFXQOJCPXNSGI-STQMWFEESA-N 0 3 318.461 4.300 20 0 DIADHN CC1(NCc2nc(Cl)ccc2Br)CCCCC1 ZINC001177757910 1048941010 /nfs/dbraw/zinc/94/10/10/1048941010.db2.gz FGFCUNHXGIZJFB-UHFFFAOYSA-N 0 3 317.658 4.310 20 0 DIADHN C[C@H](NC[C@H](CO)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000484483073 1048942116 /nfs/dbraw/zinc/94/21/16/1048942116.db2.gz LISCEKFEYAUZAR-GXTWGEPZSA-N 0 3 324.251 4.420 20 0 DIADHN c1ccc2c(c1)CN[C@@H]2Cc1nc(C2CCCCCCC2)no1 ZINC000854143628 1048942627 /nfs/dbraw/zinc/94/26/27/1048942627.db2.gz YMIPCEVZDNNIBP-QGZVFWFLSA-N 0 3 311.429 4.285 20 0 DIADHN Clc1c(CNCCC2CC=CC2)cnn1Cc1ccccc1 ZINC001203639116 1048945432 /nfs/dbraw/zinc/94/54/32/1048945432.db2.gz GIUDMRCGNLYOHL-UHFFFAOYSA-N 0 3 315.848 4.031 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CCc1ccccc1Cl ZINC001191486904 1048952828 /nfs/dbraw/zinc/95/28/28/1048952828.db2.gz VKQVKHOKGGLQMZ-SFHVURJKSA-N 0 3 302.849 4.448 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2N[C@H]1CCSc2c(F)cccc21 ZINC000854226449 1048954996 /nfs/dbraw/zinc/95/49/96/1048954996.db2.gz PEIUMHAUIDHVBX-IRXDYDNUSA-N 0 3 314.429 4.222 20 0 DIADHN Cc1ccc(F)c(CNCCOc2ccccc2C(C)C)c1 ZINC001177893775 1048957611 /nfs/dbraw/zinc/95/76/11/1048957611.db2.gz NRDBEZMTTZDIJU-UHFFFAOYSA-N 0 3 301.405 4.426 20 0 DIADHN C[C@]1(F)CCCN(Cc2cccc(Br)c2)CC1 ZINC001203766656 1048992633 /nfs/dbraw/zinc/99/26/33/1048992633.db2.gz DWDLOWWLPGUMMK-AWEZNQCLSA-N 0 3 300.215 4.163 20 0 DIADHN COc1ccc(-c2nc(CNCC3(C(C)C)CC3)cs2)cc1 ZINC001177933827 1048965701 /nfs/dbraw/zinc/96/57/01/1048965701.db2.gz UYMFGPSCWIQOGZ-UHFFFAOYSA-N 0 3 316.470 4.345 20 0 DIADHN CC[C@@H](CNCc1ccc(C)cc1F)Oc1cccc(F)c1 ZINC001177944276 1048968070 /nfs/dbraw/zinc/96/80/70/1048968070.db2.gz YTHOAPFTWFWKFP-INIZCTEOSA-N 0 3 305.368 4.220 20 0 DIADHN COc1cccc([C@@H]2CSCCN2Cc2ccccc2C)c1 ZINC001203713637 1048972374 /nfs/dbraw/zinc/97/23/74/1048972374.db2.gz UWBVHMVBFFWWQK-IBGZPJMESA-N 0 3 313.466 4.294 20 0 DIADHN COC[C@@H](C)N(Cc1cccc(OC)c1)Cc1ccccc1C ZINC001203709850 1048973047 /nfs/dbraw/zinc/97/30/47/1048973047.db2.gz QTRQKVDVIUMXIT-QGZVFWFLSA-N 0 3 313.441 4.041 20 0 DIADHN c1nc(CNCCc2ccc(-c3ccccc3)cc2)cn1C1CC1 ZINC001177995474 1048977611 /nfs/dbraw/zinc/97/76/11/1048977611.db2.gz JBGYGBPMHAPSOI-UHFFFAOYSA-N 0 3 317.436 4.217 20 0 DIADHN c1cc(CNCc2ccc3c(c2)COC3)cc(OC2CCCC2)c1 ZINC001178025326 1048983253 /nfs/dbraw/zinc/98/32/53/1048983253.db2.gz JOQHKCULXKQTDR-UHFFFAOYSA-N 0 3 323.436 4.328 20 0 DIADHN Cc1cc(C)c(CN(C)CCC(=O)c2cccs2)c(C)c1 ZINC001203746313 1048988159 /nfs/dbraw/zinc/98/81/59/1048988159.db2.gz QDCUVAVLBQLKBZ-UHFFFAOYSA-N 0 3 301.455 4.378 20 0 DIADHN CCOc1cc(C)ccc1CNC/C=C\c1ccc(F)cc1F ZINC001178161777 1048991816 /nfs/dbraw/zinc/99/18/16/1048991816.db2.gz XTKKMNSFSURTIO-PLNGDYQASA-N 0 3 317.379 4.475 20 0 DIADHN COc1ccc(CNCc2ccc(C)cc2SC)c(C)c1 ZINC001178166123 1048992282 /nfs/dbraw/zinc/99/22/82/1048992282.db2.gz XJZNTEYMGJREKP-UHFFFAOYSA-N 0 3 301.455 4.324 20 0 DIADHN C[C@@]1(F)CCCN(Cc2cccc(Br)c2)CC1 ZINC001203766657 1048993520 /nfs/dbraw/zinc/99/35/20/1048993520.db2.gz DWDLOWWLPGUMMK-CQSZACIVSA-N 0 3 300.215 4.163 20 0 DIADHN Cn1c2ccccc2nc1C1CCN(Cc2cccc(F)c2)CC1 ZINC001203787198 1048996899 /nfs/dbraw/zinc/99/68/99/1048996899.db2.gz UNHLMHUEPZMPTR-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCN(c3c(F)cccc3F)C2)o1 ZINC000484624585 1048997907 /nfs/dbraw/zinc/99/79/07/1048997907.db2.gz ZSFZAANCYLHKDY-OLZOCXBDSA-N 0 3 320.383 4.050 20 0 DIADHN Clc1cccc(CN2CCC(Cc3ccncc3)CC2)c1 ZINC001203800695 1048999335 /nfs/dbraw/zinc/99/93/35/1048999335.db2.gz BDHKVCHJXNUPST-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN Cc1c(C)c(C)c(CN2CCc3ccc(O)cc3C2)c(C)c1C ZINC001191789203 1048999901 /nfs/dbraw/zinc/99/99/01/1048999901.db2.gz GNUYQQWJJVFSCI-UHFFFAOYSA-N 0 3 309.453 4.493 20 0 DIADHN Oc1cccc(C2CCN(Cc3cccc(Cl)c3)CC2)c1 ZINC001203801364 1049000445 /nfs/dbraw/zinc/00/04/45/1049000445.db2.gz AFVPYLRERRMFTL-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN Cc1cc(C)nc(NCc2cccc(Br)c2F)c1 ZINC001178244714 1049000574 /nfs/dbraw/zinc/00/05/74/1049000574.db2.gz UGAPNFNBMWEXMZ-UHFFFAOYSA-N 0 3 309.182 4.212 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1cc(C)ccc1C ZINC001191789769 1049001303 /nfs/dbraw/zinc/00/13/03/1049001303.db2.gz MTXLWYPZYCYUSD-SJLPKXTDSA-N 0 3 302.462 4.143 20 0 DIADHN CC(C)P(CCNC(=O)N[C@H]1CC[C@H](C)CC1)C(C)C ZINC001191851660 1049003705 /nfs/dbraw/zinc/00/37/05/1049003705.db2.gz FFLJEFSCCURRSC-SHTZXODSSA-N 0 3 300.427 4.163 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(CC)cn2)C1(CC)CC ZINC001191822287 1049005618 /nfs/dbraw/zinc/00/56/18/1049005618.db2.gz UFXFQHNQCCBSAQ-QZTJIDSGSA-N 0 3 304.478 4.060 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@@H]4CCCO[C@H]4C3)c2)cc1 ZINC001203811990 1049008407 /nfs/dbraw/zinc/00/84/07/1049008407.db2.gz ZYTCRMLBGGVGEV-RXVVDRJESA-N 0 3 323.436 4.480 20 0 DIADHN COc1cccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)c1 ZINC001203826137 1049012296 /nfs/dbraw/zinc/01/22/96/1049012296.db2.gz RXDBPLRQOPKTGU-SFHVURJKSA-N 0 3 317.429 4.201 20 0 DIADHN CC(C)n1cc(CN[C@@H]2CCC[C@@H](c3ccccc3)CC2)nn1 ZINC001203847567 1049014483 /nfs/dbraw/zinc/01/44/83/1049014483.db2.gz FERDOFAVFSVWGV-QZTJIDSGSA-N 0 3 312.461 4.065 20 0 DIADHN COc1cc(CN2CCCC23CC3)ccc1OCc1ccccc1 ZINC001203849962 1049015799 /nfs/dbraw/zinc/01/57/99/1049015799.db2.gz WLXGHMGBOFHINK-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@H]3CC[C@@H](C4CC4)O3)o2)cc1 ZINC001203870630 1049018350 /nfs/dbraw/zinc/01/83/50/1049018350.db2.gz BFMNDRNPTAIVLR-AEFFLSMTSA-N 0 3 315.388 4.133 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cccc2c1OCCCO2 ZINC001178316848 1049018826 /nfs/dbraw/zinc/01/88/26/1049018826.db2.gz BRPZQYYNSNGSMN-FOIQADDNSA-N 0 3 323.436 4.261 20 0 DIADHN Cc1cccc(CN2CCC3(CC(=O)c4ccc(F)cc43)CC2)c1 ZINC001203890838 1049023365 /nfs/dbraw/zinc/02/33/65/1049023365.db2.gz MKVNWCSTEFQVNC-UHFFFAOYSA-N 0 3 323.411 4.254 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC3(CCCOC3)CC2)c1 ZINC001203887304 1049023829 /nfs/dbraw/zinc/02/38/29/1049023829.db2.gz XYMLYOMXLIRTGD-UHFFFAOYSA-N 0 3 313.363 4.098 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1ccc2c(c1)CCC2 ZINC001178386595 1049024477 /nfs/dbraw/zinc/02/44/77/1049024477.db2.gz GIXNJZUBKKXFSD-MRXNPFEDSA-N 0 3 321.468 4.021 20 0 DIADHN COC(=O)[C@@H]1CCN(Cc2cccc(C)c2)[C@@H](c2ccccc2)C1 ZINC001203892324 1049025707 /nfs/dbraw/zinc/02/57/07/1049025707.db2.gz HTMXLZFZUHPRKF-WOJBJXKFSA-N 0 3 323.436 4.121 20 0 DIADHN CC[C@@](C)(NC[C@@H]1CCCC2(CCC2)O1)c1nc(C)cs1 ZINC001191969334 1049026049 /nfs/dbraw/zinc/02/60/49/1049026049.db2.gz NCWFBJNVEKPVHD-GOEBONIOSA-N 0 3 308.491 4.158 20 0 DIADHN CC[C@@H](NCc1cc(Cl)cc(Cl)c1OC)[C@H]1CCCO1 ZINC001178398946 1049027095 /nfs/dbraw/zinc/02/70/95/1049027095.db2.gz ZVGPYXAYXZRJIZ-ZIAGYGMSSA-N 0 3 318.244 4.049 20 0 DIADHN c1ccc(N2CCCCC2)c(CNCc2cccc3c2CCC3)c1 ZINC001178356699 1049027200 /nfs/dbraw/zinc/02/72/00/1049027200.db2.gz ULFIAZUNWQARHC-UHFFFAOYSA-N 0 3 320.480 4.455 20 0 DIADHN CC[C@H](NCc1ccc([S@@](C)=O)cc1)c1ccc(C)c(F)c1 ZINC001178359472 1049027486 /nfs/dbraw/zinc/02/74/86/1049027486.db2.gz CXPUJWLRABCCQU-PGRDOPGGSA-N 0 3 319.445 4.112 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](NCc2cccc(OC3CC3)c2F)C1(C)C ZINC001178430908 1049028480 /nfs/dbraw/zinc/02/84/80/1049028480.db2.gz MVODYDBEXHNPKJ-IAGOWNOFSA-N 0 3 321.436 4.049 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3cnn(C(C)C)c32)ccc1F ZINC001178430331 1049030069 /nfs/dbraw/zinc/03/00/69/1049030069.db2.gz FMTWLFPCTGZKKE-KRWDZBQOSA-N 0 3 301.409 4.079 20 0 DIADHN Fc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)cc1 ZINC001203915319 1049030544 /nfs/dbraw/zinc/03/05/44/1049030544.db2.gz PTENFJUQDZIHMH-UHFFFAOYSA-N 0 3 311.400 4.186 20 0 DIADHN Cn1cc(-c2ccc(CN[C@@H]3CCc4cc(F)ccc43)cc2)cn1 ZINC001203918383 1049032729 /nfs/dbraw/zinc/03/27/29/1049032729.db2.gz VGJJQHJTRNZDTN-HXUWFJFHSA-N 0 3 321.399 4.003 20 0 DIADHN CCn1cnc(Cl)c1CNCC1(c2ccccc2Cl)CC1 ZINC001178421584 1049037202 /nfs/dbraw/zinc/03/72/02/1049037202.db2.gz JSVNOOSJZHBJJI-UHFFFAOYSA-N 0 3 324.255 4.031 20 0 DIADHN Cc1occc1CNC[C@H](O)c1ccc(-c2ccccc2)cc1 ZINC001178425923 1049037242 /nfs/dbraw/zinc/03/72/42/1049037242.db2.gz MLNZPHIMWOUHMN-FQEVSTJZSA-N 0 3 307.393 4.078 20 0 DIADHN CC(C)CSCCNCc1ccc(-c2ccncc2)cc1F ZINC001178412333 1049037381 /nfs/dbraw/zinc/03/73/81/1049037381.db2.gz MRUKFTWQYKDKFD-UHFFFAOYSA-N 0 3 318.461 4.367 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@H]4CCCO[C@H]4C3)cc2)cc1 ZINC001203941038 1049038213 /nfs/dbraw/zinc/03/82/13/1049038213.db2.gz FVVYBYDWIBWLJR-NQIIRXRSSA-N 0 3 323.436 4.480 20 0 DIADHN FC(F)(F)CCN(CC[C@@H]1CCCCO1)Cc1ccccc1 ZINC000484803204 1049040517 /nfs/dbraw/zinc/04/05/17/1049040517.db2.gz FHIYSKNPPVWIMF-INIZCTEOSA-N 0 3 315.379 4.400 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@]4(CCO4)C3)cc2)cc1 ZINC001203944878 1049040959 /nfs/dbraw/zinc/04/09/59/1049040959.db2.gz VLGQNDSOOZIFNA-HXUWFJFHSA-N 0 3 309.409 4.234 20 0 DIADHN COc1ccc(CNC2(C)C(C)(C)C2(C)C)c(Cl)c1OC ZINC001203945807 1049041492 /nfs/dbraw/zinc/04/14/92/1049041492.db2.gz XVMRIHFXOJXNIN-UHFFFAOYSA-N 0 3 311.853 4.272 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@]3(C)CCO[C@@H]3C3CC3)o2)cc1 ZINC001178463031 1049042589 /nfs/dbraw/zinc/04/25/89/1049042589.db2.gz LKNUDENVXCSMHD-UXHICEINSA-N 0 3 311.425 4.302 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@H]1CCO[C@@H](C)C1 ZINC001178473286 1049042694 /nfs/dbraw/zinc/04/26/94/1049042694.db2.gz OYVROVKYWSREKM-JSGCOSHPSA-N 0 3 320.458 4.006 20 0 DIADHN COc1ccc(CN2CCCC[C@H]2c2cccc(OC)c2)cc1 ZINC001203956345 1049044033 /nfs/dbraw/zinc/04/40/33/1049044033.db2.gz SQXLSYFPZOCIIX-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@H](c1ccccc1)C1CCC1 ZINC001192156769 1049045607 /nfs/dbraw/zinc/04/56/07/1049045607.db2.gz BOZDACBRCRRZCU-OXJNMPFZSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cc(Br)cn1C ZINC001192243442 1049059710 /nfs/dbraw/zinc/05/97/10/1049059710.db2.gz JXARJNFFIYVHFE-MRXNPFEDSA-N 0 3 321.262 4.371 20 0 DIADHN CCOc1ccc(CN2CCCc3ccc(OC)cc3C2)cc1 ZINC001203971433 1049048640 /nfs/dbraw/zinc/04/86/40/1049048640.db2.gz ZYINMVMMDFIIAM-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN CCOc1ccc(CN2CC=C(c3ccc(OC)cc3)CC2)cc1 ZINC001203969762 1049049392 /nfs/dbraw/zinc/04/93/92/1049049392.db2.gz JJHUTQHVBYOBRC-UHFFFAOYSA-N 0 3 323.436 4.383 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1ccc(C(F)F)c(F)c1 ZINC000904377768 1049057096 /nfs/dbraw/zinc/05/70/96/1049057096.db2.gz YNEGVUBTFZWYPK-INIZCTEOSA-N 0 3 306.331 4.123 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2csc(C(F)(F)F)n2)[C@H]1C ZINC001178594738 1049059504 /nfs/dbraw/zinc/05/95/04/1049059504.db2.gz JTWZDKUEUGIUIT-VWYCJHECSA-N 0 3 306.397 4.324 20 0 DIADHN Cc1ccc(CN[C@H]2COc3c(F)cc(F)cc3C2)c(Cl)c1 ZINC001204021394 1049061863 /nfs/dbraw/zinc/06/18/63/1049061863.db2.gz XXMRFHJKSNNUIV-CQSZACIVSA-N 0 3 323.770 4.020 20 0 DIADHN C[C@@H](CNCc1ncccc1F)Cc1ccc(C(C)(C)C)cc1 ZINC001178629211 1049069680 /nfs/dbraw/zinc/06/96/80/1049069680.db2.gz KTAAPKXLSMNAEX-OAHLLOKOSA-N 0 3 314.448 4.487 20 0 DIADHN CN(Cc1ccsc1)[C@@H]1CCCC[C@@H]1NC(=O)OC(C)(C)C ZINC001204042981 1049070363 /nfs/dbraw/zinc/07/03/63/1049070363.db2.gz RACOTUQZPWXDQW-LSDHHAIUSA-N 0 3 324.490 4.016 20 0 DIADHN C[C@H]1CN(Cc2cncc(OCc3ccccc3)c2)CC[C@@H]1C ZINC001192262287 1049071903 /nfs/dbraw/zinc/07/19/03/1049071903.db2.gz SWYPDNRNFPQUKF-IRXDYDNUSA-N 0 3 310.441 4.139 20 0 DIADHN CO[C@@H]([C@H](C)NCc1ccc(Cl)c(C)c1Cl)C1CC1 ZINC001178723950 1049074322 /nfs/dbraw/zinc/07/43/22/1049074322.db2.gz PWYGSVKTGIKICI-BONVTDFDSA-N 0 3 302.245 4.205 20 0 DIADHN CCc1nnc(CNC[C@@H]2CCCC[C@@H]2c2ccccc2)s1 ZINC001178723144 1049074342 /nfs/dbraw/zinc/07/43/42/1049074342.db2.gz DKMUDCBGZQTSDS-JKSUJKDBSA-N 0 3 315.486 4.164 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cccc(NC(C)=O)c2)cc1 ZINC000173321240 1049074330 /nfs/dbraw/zinc/07/43/30/1049074330.db2.gz GYXIMSDDYGQPGG-CYBMUJFWSA-N 0 3 314.454 4.218 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2C[C@H](O)c2ccco2)c(Cl)c1 ZINC000773765136 1049076223 /nfs/dbraw/zinc/07/62/23/1049076223.db2.gz GSCFZEMZIQVEJB-RDJZCZTQSA-N 0 3 319.832 4.330 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2C[C@@H]2Cc2ccccc2)c(F)c1 ZINC001204063488 1049076211 /nfs/dbraw/zinc/07/62/11/1049076211.db2.gz HFPXNZYIMDUABR-JKSUJKDBSA-N 0 3 301.380 4.242 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)c(Cl)c1 ZINC000773765134 1049076436 /nfs/dbraw/zinc/07/64/36/1049076436.db2.gz GSCFZEMZIQVEJB-DOTOQJQBSA-N 0 3 319.832 4.330 20 0 DIADHN CCC[C@@]1(NCc2cc3cccc(C)c3nc2Cl)CCOC1 ZINC001178699848 1049076678 /nfs/dbraw/zinc/07/66/78/1049076678.db2.gz CHLMPHZVDQWPEY-GOSISDBHSA-N 0 3 318.848 4.245 20 0 DIADHN Clc1cccc(CC2CCN(Cc3cccnc3)CC2)c1 ZINC001204072727 1049076972 /nfs/dbraw/zinc/07/69/72/1049076972.db2.gz VNQZLWZWXFFCST-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@@H]1CCC1(C)C ZINC001178694001 1049077871 /nfs/dbraw/zinc/07/78/71/1049077871.db2.gz FCIAZEXWIFXYCR-OAHLLOKOSA-N 0 3 303.837 4.112 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCN2Cc2cccnc2)cc1 ZINC001204077529 1049078152 /nfs/dbraw/zinc/07/81/52/1049078152.db2.gz BODVAXHVEGRBAT-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN OC[C@]1(NCc2ccc(C3CCCC3)cc2)CCc2ccccc21 ZINC001192327003 1049078724 /nfs/dbraw/zinc/07/87/24/1049078724.db2.gz ZLXLDILMFJOKPV-JOCHJYFZSA-N 0 3 321.464 4.268 20 0 DIADHN CC(=O)c1cccnc1Nc1cccc(CN2CCCCC2)c1 ZINC001204088244 1049080917 /nfs/dbraw/zinc/08/09/17/1049080917.db2.gz ZISSIZBWJIQWOV-UHFFFAOYSA-N 0 3 309.413 4.014 20 0 DIADHN Oc1ccc(Br)c(CNC[C@H]2C[C@@H]2C2CCCC2)c1 ZINC001204089372 1049081228 /nfs/dbraw/zinc/08/12/28/1049081228.db2.gz MLKAUBCOLRZETK-IUODEOHRSA-N 0 3 324.262 4.071 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1c(Cl)ccc2cccnc21)OC ZINC001202495605 1049082823 /nfs/dbraw/zinc/08/28/23/1049082823.db2.gz PCYMLUSDGHUHCG-BLLLJJGKSA-N 0 3 306.837 4.039 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccnc2ccccc12 ZINC001204090784 1049083977 /nfs/dbraw/zinc/08/39/77/1049083977.db2.gz MNFFLDLDMUBDFT-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccnc3ccccc23)cn1 ZINC001204092190 1049084075 /nfs/dbraw/zinc/08/40/75/1049084075.db2.gz XYQOMTXSVLFTBV-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CC(=O)c1cc(Nc2cccc(CN3CCCCC3)c2)cnc1C ZINC001204109580 1049085444 /nfs/dbraw/zinc/08/54/44/1049085444.db2.gz RVYCBJFOHPMQHH-UHFFFAOYSA-N 0 3 323.440 4.322 20 0 DIADHN C[C@H](NCc1ccc2[nH]ccc2c1)c1cc(F)ccc1N(C)C ZINC001204111069 1049085514 /nfs/dbraw/zinc/08/55/14/1049085514.db2.gz NIBWXQOWHFGDSG-ZDUSSCGKSA-N 0 3 311.404 4.224 20 0 DIADHN CS[C@H]1CCCCN(Cc2nc3cc(C)ccc3s2)C1 ZINC001192359353 1049086743 /nfs/dbraw/zinc/08/67/43/1049086743.db2.gz ZMTPRRUHIPELST-ZDUSSCGKSA-N 0 3 306.500 4.322 20 0 DIADHN Cc1ccc(C)c(CN[C@@H]2C[C@@]3(CCOC3)Oc3ccccc32)c1 ZINC001204121510 1049087667 /nfs/dbraw/zinc/08/76/67/1049087667.db2.gz YNXNFHMDWNRQRU-TZIWHRDSSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H](CO)c2ccc(F)cc2)c(C)c1 ZINC001178791217 1049088347 /nfs/dbraw/zinc/08/83/47/1049088347.db2.gz TZZNSPWLGZLNSZ-SGSXCFNPSA-N 0 3 313.416 4.087 20 0 DIADHN CC/C=C\CNCc1cn(Cc2ccccc2)nc1C(C)(C)C ZINC001178800832 1049091165 /nfs/dbraw/zinc/09/11/65/1049091165.db2.gz KRTWEJICPIBBLF-POHAHGRESA-N 0 3 311.473 4.285 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(CCO)[C@@H](C)c1ccccc1 ZINC001192390234 1049091878 /nfs/dbraw/zinc/09/18/78/1049091878.db2.gz LFJGONWLQIVBGL-KRWDZBQOSA-N 0 3 312.457 4.069 20 0 DIADHN CCOc1cc(CN2CCc3ccccc3[C@H]2CC)ccc1O ZINC001204133330 1049092212 /nfs/dbraw/zinc/09/22/12/1049092212.db2.gz QDCMCOXXDLDJOI-GOSISDBHSA-N 0 3 311.425 4.300 20 0 DIADHN CCOc1cc(CN(CC)Cc2cccc(Cl)c2)ccc1O ZINC001204137083 1049094769 /nfs/dbraw/zinc/09/47/69/1049094769.db2.gz VLAILZILDZBUGA-UHFFFAOYSA-N 0 3 319.832 4.466 20 0 DIADHN CC[C@@H](NCc1ccc(CO)c(F)c1)c1cccc(Cl)c1 ZINC000173347410 1049096186 /nfs/dbraw/zinc/09/61/86/1049096186.db2.gz FCXIHNOBWZPMFO-QGZVFWFLSA-N 0 3 307.796 4.212 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)C[C@H](C)O3)cc1 ZINC001204145011 1049096343 /nfs/dbraw/zinc/09/63/43/1049096343.db2.gz MDTOBGMBZDOIHK-YWZLYKJASA-N 0 3 323.436 4.356 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc1 ZINC000173346704 1049097776 /nfs/dbraw/zinc/09/77/76/1049097776.db2.gz KHASZMOQMHIDPP-KGLIPLIRSA-N 0 3 301.455 4.313 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H]1COc2c(C)cccc2C1 ZINC001178850731 1049098281 /nfs/dbraw/zinc/09/82/81/1049098281.db2.gz CTSZHTQBNCEDCK-INIZCTEOSA-N 0 3 315.844 4.304 20 0 DIADHN F[C@@H]1CCN(Cc2ccc(C(F)(F)F)cc2)CCC1(F)F ZINC001204160400 1049099783 /nfs/dbraw/zinc/09/97/83/1049099783.db2.gz VYVRFYDBQBMJBE-GFCCVEGCSA-N 0 3 311.269 4.275 20 0 DIADHN Cc1ccc(C2(CNCc3nccn3C3CC3)CCCC2)c(C)c1 ZINC001178816654 1049101356 /nfs/dbraw/zinc/10/13/56/1049101356.db2.gz BFDPTQRUHIYIOV-UHFFFAOYSA-N 0 3 323.484 4.436 20 0 DIADHN COc1ccccc1OC1CCN(Cc2ccc(C)cc2)CC1 ZINC001204173737 1049104141 /nfs/dbraw/zinc/10/41/41/1049104141.db2.gz BQANPIJNMMFKPG-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccc(CCN2CC[C@@H](Oc3ccccc3Cl)C2)cc1 ZINC001192443123 1049105398 /nfs/dbraw/zinc/10/53/98/1049105398.db2.gz IOEOMXVZDISBSG-QGZVFWFLSA-N 0 3 315.844 4.344 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1csc(C(F)(F)F)n1 ZINC001178930762 1049105744 /nfs/dbraw/zinc/10/57/44/1049105744.db2.gz GRGGELYWBALSPN-MNOVXSKESA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H]1NCc1csc(C(F)(F)F)n1 ZINC001178930758 1049105876 /nfs/dbraw/zinc/10/58/76/1049105876.db2.gz GRGGELYWBALSPN-GHMZBOCLSA-N 0 3 306.397 4.466 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+]CC2CCC(C(C)(C)C)CC2)[n-]1 ZINC001178927252 1049106096 /nfs/dbraw/zinc/10/60/96/1049106096.db2.gz QCADYVKUBKECDA-UHFFFAOYSA-N 0 3 306.498 4.044 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C\C3CCCCC3)n2)cc1 ZINC000904620811 1049106476 /nfs/dbraw/zinc/10/64/76/1049106476.db2.gz KPUZEJIYXPISBB-RAXLEYEMSA-N 0 3 311.429 4.392 20 0 DIADHN CCCc1ccc(CN(Cc2ccccc2)[C@H](C)CO)s1 ZINC001204194973 1049107198 /nfs/dbraw/zinc/10/71/98/1049107198.db2.gz CYDZBYAQJYWKDX-OAHLLOKOSA-N 0 3 303.471 4.084 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3C[C@@H]3C3CCCC3)n2)cc1 ZINC000904623975 1049107681 /nfs/dbraw/zinc/10/76/81/1049107681.db2.gz GOSCYGBRKPCOCD-IAGOWNOFSA-N 0 3 311.429 4.092 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3CC(C(C)(C)C)C3)n2)cc1 ZINC000904621873 1049108211 /nfs/dbraw/zinc/10/82/11/1049108211.db2.gz AYGCLCYINPIVMQ-UHFFFAOYSA-N 0 3 313.445 4.338 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622695 1049108295 /nfs/dbraw/zinc/10/82/95/1049108295.db2.gz LIPIXRSDATXGSB-WBVHZDCISA-N 0 3 313.445 4.482 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2cn(C3CC3)cn2)cc1 ZINC001204203753 1049109447 /nfs/dbraw/zinc/10/94/47/1049109447.db2.gz ASKXQOWMNGYANT-PMACEKPBSA-N 0 3 309.457 4.295 20 0 DIADHN Cc1ccc(C2CCN(CCc3c(F)cccc3F)CC2)cn1 ZINC001192460485 1049109653 /nfs/dbraw/zinc/10/96/53/1049109653.db2.gz AEGLFZFAIAMHDK-UHFFFAOYSA-N 0 3 316.395 4.090 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N2CCCCCCC2)c1 ZINC000856884149 1049111456 /nfs/dbraw/zinc/11/14/56/1049111456.db2.gz UIJUJFUWEBZEDO-CQSZACIVSA-N 0 3 306.475 4.002 20 0 DIADHN C[C@@H]1C[C@@H](NCc2c(Cl)cc(Cl)cc2N(C)C)CS1 ZINC001178950896 1049112506 /nfs/dbraw/zinc/11/25/06/1049112506.db2.gz VFDZYYNIGWSWFV-MWLCHTKSSA-N 0 3 319.301 4.043 20 0 DIADHN Fc1cccc(CNCC2(c3c(F)cccc3F)CCC2)c1F ZINC001178957995 1049114831 /nfs/dbraw/zinc/11/48/31/1049114831.db2.gz BFDIFFLLSPVJBL-UHFFFAOYSA-N 0 3 323.333 4.455 20 0 DIADHN CCCC[C@](C)(F)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904626702 1049115893 /nfs/dbraw/zinc/11/58/93/1049115893.db2.gz NAFFHLHSZKKZQR-KRWDZBQOSA-N 0 3 305.397 4.173 20 0 DIADHN CC[C@@H](NCc1cccc(NC(C)=O)c1)c1ccc(C)c(F)c1 ZINC001192564712 1049115950 /nfs/dbraw/zinc/11/59/50/1049115950.db2.gz CQEGWHIZEJKVMR-LJQANCHMSA-N 0 3 314.404 4.333 20 0 DIADHN CCCN(Cc1ccc(OC)cc1F)[C@@H]1C[C@H](OCC)C1(C)C ZINC001204235750 1049118544 /nfs/dbraw/zinc/11/85/44/1049118544.db2.gz CTXYVGBIWWMXTG-MSOLQXFVSA-N 0 3 323.452 4.250 20 0 DIADHN C[C@H]1Cc2cc(CNC3(c4ccc(Cl)cc4)CC3)ccc2O1 ZINC001178986082 1049118641 /nfs/dbraw/zinc/11/86/41/1049118641.db2.gz GOBNAAPKRXLVRA-ZDUSSCGKSA-N 0 3 313.828 4.442 20 0 DIADHN CCCN(Cc1ccc(OC)cc1F)[C@H]1C[C@@H](OCC)C1(C)C ZINC001204235754 1049118766 /nfs/dbraw/zinc/11/87/66/1049118766.db2.gz CTXYVGBIWWMXTG-ZWKOTPCHSA-N 0 3 323.452 4.250 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc2c1OCCO2)C1CC1 ZINC001192597024 1049119716 /nfs/dbraw/zinc/11/97/16/1049119716.db2.gz ZNIXWVDARNNEHK-IBGZPJMESA-N 0 3 309.409 4.007 20 0 DIADHN COc1cccc(C[C@@H](NC/C=C\Cl)c2ccccc2)c1 ZINC000775538632 1049121520 /nfs/dbraw/zinc/12/15/20/1049121520.db2.gz MGTWZJHVDUHORA-HJLMUGLJSA-N 0 3 301.817 4.321 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3ccccc32)c(OC)c1C ZINC001204265380 1049123483 /nfs/dbraw/zinc/12/34/83/1049123483.db2.gz GFHKKGDNIJWKGF-SFHVURJKSA-N 0 3 311.425 4.132 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001179049411 1049123880 /nfs/dbraw/zinc/12/38/80/1049123880.db2.gz ORHKJQMBVKJDJK-LBPRGKRZSA-N 0 3 319.392 4.348 20 0 DIADHN C[C@H]1CCN(Cc2ccc3nccnc3c2)[C@@H](c2ccco2)C1 ZINC001204269877 1049125449 /nfs/dbraw/zinc/12/54/49/1049125449.db2.gz FMSMVVQYGVEGTE-KBXCAEBGSA-N 0 3 307.397 4.196 20 0 DIADHN C[C@@H]1CCN(Cc2ccc3nccnc3c2)[C@H](c2ccco2)C1 ZINC001204269878 1049126070 /nfs/dbraw/zinc/12/60/70/1049126070.db2.gz FMSMVVQYGVEGTE-KDOFPFPSSA-N 0 3 307.397 4.196 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@@H](c1ccc(F)cc1F)C(C)C ZINC000775542097 1049128498 /nfs/dbraw/zinc/12/84/98/1049128498.db2.gz HWNBHGRHWBCFQN-RBUKOAKNSA-N 0 3 319.395 4.294 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCN(c2ccc(C)cc2)CC1 ZINC001204286489 1049128549 /nfs/dbraw/zinc/12/85/49/1049128549.db2.gz KGTREOKSHLUNJJ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)NCCC(C)(C)C)c1cccs1 ZINC001192681510 1049129025 /nfs/dbraw/zinc/12/90/25/1049129025.db2.gz KEBZVLQGJQJFHJ-QGZVFWFLSA-N 0 3 324.534 4.367 20 0 DIADHN CCC[C@H](NC[C@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775542879 1049130657 /nfs/dbraw/zinc/13/06/57/1049130657.db2.gz PKEBGYNLXLUUSX-ROUUACIJSA-N 0 3 323.358 4.268 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541545 1049130860 /nfs/dbraw/zinc/13/08/60/1049130860.db2.gz BBLPSVHSVHBNNI-MSOLQXFVSA-N 0 3 323.358 4.268 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775542873 1049131099 /nfs/dbraw/zinc/13/10/99/1049131099.db2.gz PIWAIVNNMGCJAJ-ZWKOTPCHSA-N 0 3 323.358 4.268 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(C)c(Cl)c2)cc1 ZINC001192730391 1049132688 /nfs/dbraw/zinc/13/26/88/1049132688.db2.gz GTIKMKMIVAGWLB-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@H]2OCC[C@H]21 ZINC001204298367 1049132904 /nfs/dbraw/zinc/13/29/04/1049132904.db2.gz QSEGMXUYELVOEC-IEBWSBKVSA-N 0 3 303.446 4.009 20 0 DIADHN O[C@H](CNCc1cscc1Cl)c1cccc2ccccc21 ZINC001179123332 1049133769 /nfs/dbraw/zinc/13/37/69/1049133769.db2.gz QXPOQCSWSQACOL-QGZVFWFLSA-N 0 3 317.841 4.378 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(SC)c(OC)c2)c1 ZINC001192747675 1049134966 /nfs/dbraw/zinc/13/49/66/1049134966.db2.gz WQONVQQDLMAJPS-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc(N(C)C)n1)C(C)(C)C ZINC001192719307 1049136325 /nfs/dbraw/zinc/13/63/25/1049136325.db2.gz LDHVEMLQMZYVBX-IBGZPJMESA-N 0 3 311.473 4.333 20 0 DIADHN Cn1cc(CN2CCC3(CC(F)(F)C3)CC2)c2ccccc21 ZINC001204312663 1049138839 /nfs/dbraw/zinc/13/88/39/1049138839.db2.gz DLMTXQFWMPBWHI-UHFFFAOYSA-N 0 3 304.384 4.190 20 0 DIADHN Cn1cc(CN2CCCC[C@H]2c2cccnc2)c2ccccc21 ZINC001204320741 1049140076 /nfs/dbraw/zinc/14/00/76/1049140076.db2.gz OTALKMXVIUNQAB-IBGZPJMESA-N 0 3 305.425 4.301 20 0 DIADHN CCCCCOc1ccc(CN2CCC[C@@H](C(C)=O)C2)cc1 ZINC001204315990 1049140280 /nfs/dbraw/zinc/14/02/80/1049140280.db2.gz OHFRFPVMQIUADG-GOSISDBHSA-N 0 3 303.446 4.057 20 0 DIADHN CO[C@@]1(C)C[C@H]1NCc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001179210200 1049141200 /nfs/dbraw/zinc/14/12/00/1049141200.db2.gz BLASMBXPSIGYQW-MSOLQXFVSA-N 0 3 301.817 4.274 20 0 DIADHN Cn1c(CN2CC=C(c3ccc(O)cc3)CC2)cc2ccccc21 ZINC001204321481 1049141752 /nfs/dbraw/zinc/14/17/52/1049141752.db2.gz BRYRGFBRNJPUES-UHFFFAOYSA-N 0 3 318.420 4.173 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@]23CCOC3)cc1 ZINC001204336312 1049144937 /nfs/dbraw/zinc/14/49/37/1049144937.db2.gz RHKWVNKEMOGJEO-OAQYLSRUSA-N 0 3 323.436 4.021 20 0 DIADHN CCC[C@H](CNCc1ccc(Sc2ccccn2)o1)OCC ZINC001179247337 1049147421 /nfs/dbraw/zinc/14/74/21/1049147421.db2.gz AIAYBRCNNBWJLP-CQSZACIVSA-N 0 3 320.458 4.121 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cccc(F)c1)c1ccc(C)cc1 ZINC001192910686 1049148563 /nfs/dbraw/zinc/14/85/63/1049148563.db2.gz WIFJGNHNENVUBV-RDTXWAMCSA-N 0 3 314.404 4.202 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cccc(F)c1)c1ccc(C)cc1 ZINC001192910680 1049149025 /nfs/dbraw/zinc/14/90/25/1049149025.db2.gz WIFJGNHNENVUBV-KDOFPFPSSA-N 0 3 314.404 4.202 20 0 DIADHN COc1ccc(OC(F)F)c(CN[C@H]2CC23CCCCC3)c1 ZINC001179258631 1049149264 /nfs/dbraw/zinc/14/92/64/1049149264.db2.gz OKSPALJXTDFLNB-HNNXBMFYSA-N 0 3 311.372 4.109 20 0 DIADHN Clc1cc(CN[C@H](C2CCC2)[C@H]2CCCO2)c(Cl)s1 ZINC001179266091 1049149634 /nfs/dbraw/zinc/14/96/34/1049149634.db2.gz ISOMAHWYRCNRNW-DGCLKSJQSA-N 0 3 320.285 4.492 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cnn(C3CCC3)c2)C1(C)C ZINC001204359415 1049149721 /nfs/dbraw/zinc/14/97/21/1049149721.db2.gz POPLUZPIWMJCGD-QZTJIDSGSA-N 0 3 319.493 4.024 20 0 DIADHN Cc1ccc([C@H](C)NC(=O)[C@@H]2CCCCN2C2CCCC2)s1 ZINC000857441871 1049150008 /nfs/dbraw/zinc/15/00/08/1049150008.db2.gz XUBJOVBAWWMLBO-HOCLYGCPSA-N 0 3 320.502 4.031 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CNCc3ccc[nH]c3=O)cc2)c1 ZINC001179298804 1049151430 /nfs/dbraw/zinc/15/14/30/1049151430.db2.gz FUMKZVJNCOFWEV-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN CCCCCCOc1ccc(CN2CCCO[C@H](C)C2)cc1 ZINC001204365391 1049151577 /nfs/dbraw/zinc/15/15/77/1049151577.db2.gz UPESYWXOMNYVAV-QGZVFWFLSA-N 0 3 305.462 4.257 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-c3ccc(Cl)cc3)o2)[C@@H](C)O1 ZINC001179347702 1049152363 /nfs/dbraw/zinc/15/23/63/1049152363.db2.gz AXVWQCGOQPKKFE-XHBSWPGZSA-N 0 3 305.805 4.256 20 0 DIADHN CCN(Cc1cn(C(C)=O)c2ccccc12)Cc1ccccc1 ZINC001204405904 1049156917 /nfs/dbraw/zinc/15/69/17/1049156917.db2.gz CYVLJRCPVIVVMG-UHFFFAOYSA-N 0 3 306.409 4.324 20 0 DIADHN CC(C)[C@H](NCc1ccc(C(C)(C)C)cc1)[C@H](O)C(F)(F)F ZINC001179333489 1049157613 /nfs/dbraw/zinc/15/76/13/1049157613.db2.gz XJBRYLZLVQGBIS-GJZGRUSLSA-N 0 3 317.395 4.022 20 0 DIADHN CC(=O)n1cc(CN(C)Cc2ccccc2C)c2ccccc21 ZINC001204409172 1049157759 /nfs/dbraw/zinc/15/77/59/1049157759.db2.gz UUCWKMYRXRPPPM-UHFFFAOYSA-N 0 3 306.409 4.242 20 0 DIADHN CC[C@@H](C[C@@H](C)O)NCc1c(Cl)cccc1SC(C)C ZINC001179401536 1049158815 /nfs/dbraw/zinc/15/88/15/1049158815.db2.gz GYWOLHXGMVCNNN-OLZOCXBDSA-N 0 3 315.910 4.480 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccc(OC(F)F)cc1 ZINC001204417836 1049159526 /nfs/dbraw/zinc/15/95/26/1049159526.db2.gz HFUTUAKCGCENSY-CYBMUJFWSA-N 0 3 303.352 4.407 20 0 DIADHN CCN(Cc1ccc(OC(F)F)cc1)Cc1cccc(OC)c1 ZINC001204422989 1049159991 /nfs/dbraw/zinc/15/99/91/1049159991.db2.gz GXJWJZLSVGPZOE-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN CN(CCSc1ccncc1)Cc1ccc(Cl)c(F)c1 ZINC001193001890 1049160360 /nfs/dbraw/zinc/16/03/60/1049160360.db2.gz IOBMCWSJTAGFIJ-UHFFFAOYSA-N 0 3 310.825 4.098 20 0 DIADHN CC(C)Cn1nccc1CN(C)CCc1cccc2ccccc21 ZINC001204422862 1049160441 /nfs/dbraw/zinc/16/04/41/1049160441.db2.gz SKLVJUJMRPGESF-UHFFFAOYSA-N 0 3 321.468 4.367 20 0 DIADHN Fc1ccc(Cl)cc1CNCCSCCC(F)(F)F ZINC001179369569 1049160794 /nfs/dbraw/zinc/16/07/94/1049160794.db2.gz JEVNZNMAPZFXKE-UHFFFAOYSA-N 0 3 315.763 4.254 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2cccc(OC(F)(F)F)c2)C1 ZINC001204433201 1049161758 /nfs/dbraw/zinc/16/17/58/1049161758.db2.gz YVQZQXKISDILHM-NSHDSACASA-N 0 3 309.278 4.062 20 0 DIADHN CC(C)O[C@@H](CN1CCc2cccc(F)c2C1)c1ccccc1 ZINC001192965830 1049161937 /nfs/dbraw/zinc/16/19/37/1049161937.db2.gz SVJBKFMZFBPVJJ-FQEVSTJZSA-N 0 3 313.416 4.350 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@H]2c3ccccc3CC[C@@H]2F)CCO1 ZINC001179433724 1049163449 /nfs/dbraw/zinc/16/34/49/1049163449.db2.gz RLXVRZNNSPQVHD-UBDQQSCGSA-N 0 3 305.437 4.195 20 0 DIADHN CCN(CC(=O)N(c1ccccc1)C1CCCCC1)CC1CC1 ZINC000485034460 1049165249 /nfs/dbraw/zinc/16/52/49/1049165249.db2.gz NVYJEMFGURTMEW-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCC(=O)Nc2cccc(C)c2C)c1 ZINC001193011791 1049166517 /nfs/dbraw/zinc/16/65/17/1049166517.db2.gz LOQAICIOZYIFTB-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CCC[C@@H]2CCC[C@H]21 ZINC001204455433 1049166906 /nfs/dbraw/zinc/16/69/06/1049166906.db2.gz IVCUPUJMXKBICZ-FXAWDEMLSA-N 0 3 309.457 4.254 20 0 DIADHN CCCCCOc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC001179419266 1049167259 /nfs/dbraw/zinc/16/72/59/1049167259.db2.gz JGCFEYJARLEDTK-HUUCEWRRSA-N 0 3 301.352 4.296 20 0 DIADHN COc1ccccc1/C=C/CNCc1cc(C2CC2)ccc1OC ZINC001179468382 1049168809 /nfs/dbraw/zinc/16/88/09/1049168809.db2.gz AFFDXWLRFUEREJ-FNORWQNLSA-N 0 3 323.436 4.384 20 0 DIADHN CCc1cccc(CN[C@@H]2CCCOc3c(OC)cccc32)c1 ZINC001179468529 1049169259 /nfs/dbraw/zinc/16/92/59/1049169259.db2.gz GMUJSWQAHWEYJJ-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN CCN(Cc1ccc(OC)c(OC)c1)[C@H](C)c1cccc(F)c1 ZINC000485043937 1049170054 /nfs/dbraw/zinc/17/00/54/1049170054.db2.gz TZIRQCNBMNBAMF-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN CC1=NO[C@H](CNCc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC001179478418 1049170477 /nfs/dbraw/zinc/17/04/77/1049170477.db2.gz BHPKNQIGAUOTJT-AWEZNQCLSA-N 0 3 320.845 4.323 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2ccc(C)c(F)c2)c(C)o1 ZINC001193081101 1049174759 /nfs/dbraw/zinc/17/47/59/1049174759.db2.gz LSONALVOCLAIGM-CYBMUJFWSA-N 0 3 318.392 4.023 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC001193083542 1049175434 /nfs/dbraw/zinc/17/54/34/1049175434.db2.gz WHQKHHNCZIBWCU-JZXOWHBKSA-N 0 3 312.461 4.277 20 0 DIADHN C[C@H]1CCC[C@@H](NCc2cc(-c3ccc(F)cc3F)on2)C1 ZINC001179501662 1049175633 /nfs/dbraw/zinc/17/56/33/1049175633.db2.gz BGLMTPDYLULXKR-WCQYABFASA-N 0 3 306.356 4.288 20 0 DIADHN C[C@@H](NC[C@H]1CCCC(C)(C)O1)c1nc2ccccc2s1 ZINC001193083494 1049176477 /nfs/dbraw/zinc/17/64/77/1049176477.db2.gz WCXFMHIKORRJSN-CHWSQXEVSA-N 0 3 304.459 4.295 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc3ccccc3n1)CCC2 ZINC001204507362 1049179013 /nfs/dbraw/zinc/17/90/13/1049179013.db2.gz PUSKCZXRSIVWGL-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN CC1(C)CCC2(CCN(Cc3ccc4ccccc4n3)CC2)O1 ZINC001204506910 1049179175 /nfs/dbraw/zinc/17/91/75/1049179175.db2.gz HFOQQZQKUOGJLX-UHFFFAOYSA-N 0 3 310.441 4.158 20 0 DIADHN COc1ccc(C2=CCN(Cc3cccc(F)c3C)CC2)cc1 ZINC001204514392 1049179312 /nfs/dbraw/zinc/17/93/12/1049179312.db2.gz XMPLANFARHBWOG-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN CCCCN(CC)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000485103318 1049183564 /nfs/dbraw/zinc/18/35/64/1049183564.db2.gz UCIWMMYAEPCLBV-AWEZNQCLSA-N 0 3 310.869 4.406 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2CC3(CCC3)CO2)c1 ZINC001179607845 1049184001 /nfs/dbraw/zinc/18/40/01/1049184001.db2.gz KSHOUXGYUKFPMD-ZDUSSCGKSA-N 0 3 300.229 4.042 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@@H]3C[C@H]3C3CC3)cs2)cc1 ZINC001179584486 1049185878 /nfs/dbraw/zinc/18/58/78/1049185878.db2.gz RWHJFBFYDCRHGE-PBHICJAKSA-N 0 3 316.445 4.475 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccc(F)cc3)CC2)oc1C ZINC001204535678 1049186029 /nfs/dbraw/zinc/18/60/29/1049186029.db2.gz XHJKLLKCKYFGNJ-UHFFFAOYSA-N 0 3 317.404 4.217 20 0 DIADHN C[C@@H]1Cc2cc(CN3CCC[C@H]3c3ccc(O)cc3)ccc2O1 ZINC001204565911 1049190696 /nfs/dbraw/zinc/19/06/96/1049190696.db2.gz RBIHGGODFIQGCV-KUHUBIRLSA-N 0 3 309.409 4.053 20 0 DIADHN C[C@@H]1Cc2cc(CN3CCC[C@@H]3c3ccc(O)cc3)ccc2O1 ZINC001204565909 1049190806 /nfs/dbraw/zinc/19/08/06/1049190806.db2.gz RBIHGGODFIQGCV-AUUYWEPGSA-N 0 3 309.409 4.053 20 0 DIADHN O[C@H]1CCCC[C@H]1CCNCc1ccc(Oc2ccccc2)o1 ZINC001179690790 1049192653 /nfs/dbraw/zinc/19/26/53/1049192653.db2.gz MZYOFWKEWBEZNW-YJBOKZPZSA-N 0 3 315.413 4.103 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cc(C3CC3)nn2C)c(C)c1 ZINC001179662425 1049194206 /nfs/dbraw/zinc/19/42/06/1049194206.db2.gz LKNPSONIZXKQGN-WAYWQWQTSA-N 0 3 309.457 4.026 20 0 DIADHN Cc1nn(C)cc1CN1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001204583073 1049194552 /nfs/dbraw/zinc/19/45/52/1049194552.db2.gz ZGIAULODQCCMQH-MRXNPFEDSA-N 0 3 323.362 4.084 20 0 DIADHN C/C(=C\C(=O)Nc1cc(CN(C)C)ccc1C)c1cccs1 ZINC000857712881 1049194869 /nfs/dbraw/zinc/19/48/69/1049194869.db2.gz XMICTUCPFMNSMV-GXDHUFHOSA-N 0 3 314.454 4.160 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN[C@H](C)c2ccc(F)cc2)cc1 ZINC001179715761 1049198595 /nfs/dbraw/zinc/19/85/95/1049198595.db2.gz CGUQTMYVAVDOCW-CQSZACIVSA-N 0 3 309.388 4.375 20 0 DIADHN Cc1ccccc1CN[C@H](Cc1ccccc1)c1ccccn1 ZINC001179730467 1049200566 /nfs/dbraw/zinc/20/05/66/1049200566.db2.gz WSISGRKINDSROK-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000485207614 1049206801 /nfs/dbraw/zinc/20/68/01/1049206801.db2.gz VWZDFRSPSWBSIE-MRXNPFEDSA-N 0 3 316.832 4.410 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2cccc3ccoc32)c2ccccc21 ZINC001179793707 1049207990 /nfs/dbraw/zinc/20/79/90/1049207990.db2.gz OAMDXBBUBXJWSD-BTYIYWSLSA-N 0 3 321.420 4.308 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001193413469 1049209670 /nfs/dbraw/zinc/20/96/70/1049209670.db2.gz NVGVMKJWZZJUAZ-DYVFJYSZSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ccn(-c2ccccc2F)n1 ZINC001193412579 1049210381 /nfs/dbraw/zinc/21/03/81/1049210381.db2.gz FQRHZAVFMONBGE-RHSMWYFYSA-N 0 3 301.409 4.022 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H]1CCc2ccc(Cl)nc21 ZINC000857911784 1049210657 /nfs/dbraw/zinc/21/06/57/1049210657.db2.gz SGPYSAHIRUUIFE-MNOVXSKESA-N 0 3 306.759 4.289 20 0 DIADHN CC1(C)CCCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001193429920 1049211359 /nfs/dbraw/zinc/21/13/59/1049211359.db2.gz ASLBOYXRWBBUFQ-AWEZNQCLSA-N 0 3 302.245 4.149 20 0 DIADHN FC1(F)CCCC[C@H]1CN[C@H]1CCc2ccc(Cl)nc21 ZINC000857913701 1049211863 /nfs/dbraw/zinc/21/18/63/1049211863.db2.gz MRGZAMLZRNJLRB-RYUDHWBXSA-N 0 3 300.780 4.137 20 0 DIADHN CN(Cc1cc(C(F)(F)F)co1)CC1(c2ccccc2)CC1 ZINC001193421368 1049212340 /nfs/dbraw/zinc/21/23/40/1049212340.db2.gz DOHUYVKJXJOUSS-UHFFFAOYSA-N 0 3 309.331 4.462 20 0 DIADHN Fc1ccccc1[C@@H]1C[C@H]1N[C@H]1CCc2ccc(Cl)nc21 ZINC000857916827 1049213169 /nfs/dbraw/zinc/21/31/69/1049213169.db2.gz SNRFKXMRSASXKR-AEGPPILISA-N 0 3 302.780 4.007 20 0 DIADHN Cc1ccccc1CO[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001204660415 1049213697 /nfs/dbraw/zinc/21/36/97/1049213697.db2.gz HYROROUMVIVODP-IEBWSBKVSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cccc3[nH]c(=O)oc32)c1 ZINC001204658375 1049213998 /nfs/dbraw/zinc/21/39/98/1049213998.db2.gz TXJHJEUMBITSKZ-QGZVFWFLSA-N 0 3 308.381 4.179 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@H](Oc2ccc(C)cn2)C1 ZINC000858036727 1049214615 /nfs/dbraw/zinc/21/46/15/1049214615.db2.gz HAFPCUKXCJNAJA-MSOLQXFVSA-N 0 3 314.404 4.134 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC(OCC2CC2)CC1 ZINC000339010599 1049214775 /nfs/dbraw/zinc/21/47/75/1049214775.db2.gz QMQSZJSMPORUCR-UHFFFAOYSA-N 0 3 316.489 4.158 20 0 DIADHN C[C@]1(c2ccccc2)CCCN(Cc2ccnn2C2CCC2)C1 ZINC001204664035 1049215300 /nfs/dbraw/zinc/21/53/00/1049215300.db2.gz DTUIPAHIXDPYJZ-FQEVSTJZSA-N 0 3 309.457 4.162 20 0 DIADHN CN(Cc1cc2cnccc2o1)Cc1ccc(C(F)(F)F)cc1 ZINC001179849312 1049215493 /nfs/dbraw/zinc/21/54/93/1049215493.db2.gz CPWRVUYAQLAFSL-UHFFFAOYSA-N 0 3 320.314 4.479 20 0 DIADHN c1ccc(SC[C@@H]2CCN(Cc3ccc4c(n3)CCC4)C2)cc1 ZINC001179855001 1049216324 /nfs/dbraw/zinc/21/63/24/1049216324.db2.gz MAKJIPKQQCNDST-MRXNPFEDSA-N 0 3 324.493 4.185 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H](C(C)C)C3)co2)c1 ZINC001193507272 1049218906 /nfs/dbraw/zinc/21/89/06/1049218906.db2.gz IREZDRZEEMCDEF-INIZCTEOSA-N 0 3 314.429 4.218 20 0 DIADHN Fc1ccc(/C=C\CN2CCCc3sccc3C2)cc1F ZINC001179859533 1049220325 /nfs/dbraw/zinc/22/03/25/1049220325.db2.gz QXBNMUQHYVGUJS-IWQZZHSRSA-N 0 3 305.393 4.488 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCC(c2ccco2)CC1 ZINC000668461936 1049220879 /nfs/dbraw/zinc/22/08/79/1049220879.db2.gz IFZLLXHTFPSISN-UHFFFAOYSA-N 0 3 315.461 4.228 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@@H](C)C[C@H]3C)n2)c1 ZINC001179898450 1049222206 /nfs/dbraw/zinc/22/22/06/1049222206.db2.gz NZJOEMJQJIQZGJ-QWHCGFSZSA-N 0 3 302.443 4.049 20 0 DIADHN c1coc(C2CCN(Cc3c[nH]nc3-c3ccccc3)CC2)c1 ZINC000668461859 1049222384 /nfs/dbraw/zinc/22/23/84/1049222384.db2.gz GTCZPPJUCFFZNL-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(Cc2cccn2C2CC2)CC1 ZINC001179879119 1049226707 /nfs/dbraw/zinc/22/67/07/1049226707.db2.gz WPCTXUJQEOJXBL-NRFANRHFSA-N 0 3 323.484 4.092 20 0 DIADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(Cc2ccc3nccnc3c2)C1 ZINC001179936661 1049230051 /nfs/dbraw/zinc/23/00/51/1049230051.db2.gz HINCMLJGKJILEP-XOBRGWDASA-N 0 3 321.399 4.352 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(Cc2ccc3nccnc3c2)C1 ZINC001179936655 1049230571 /nfs/dbraw/zinc/23/05/71/1049230571.db2.gz HINCMLJGKJILEP-JLTOFOAXSA-N 0 3 321.399 4.352 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204694788 1049230764 /nfs/dbraw/zinc/23/07/64/1049230764.db2.gz KOEWOUNMOXTXPT-ZDUSSCGKSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1ccccc1-n1cc(CN(CC(C)C)CC(C)(C)C)nn1 ZINC001179942037 1049234045 /nfs/dbraw/zinc/23/40/45/1049234045.db2.gz KSYZUAMMXKFRTB-UHFFFAOYSA-N 0 3 314.477 4.080 20 0 DIADHN CCc1ncc(CNCc2cn(C(F)F)c3ccccc23)s1 ZINC000858291529 1049234886 /nfs/dbraw/zinc/23/48/86/1049234886.db2.gz BNUGXBSFNUQPCD-UHFFFAOYSA-N 0 3 321.396 4.345 20 0 DIADHN COCCC1CN(Cc2ccc(-c3ccccc3F)s2)C1 ZINC000668471972 1049236081 /nfs/dbraw/zinc/23/60/81/1049236081.db2.gz BIIBCZMUCQKEPY-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN C[C@@H](CCN1CCO[C@@]2(CCc3ccccc32)C1)c1ccccc1 ZINC001179905533 1049238423 /nfs/dbraw/zinc/23/84/23/1049238423.db2.gz KOBKFWJIGPTVBF-AVRDEDQJSA-N 0 3 321.464 4.354 20 0 DIADHN CCN(Cc1ccc(N(C)C(C)C)nc1)Cc1ccccc1C ZINC001179909808 1049239345 /nfs/dbraw/zinc/23/93/45/1049239345.db2.gz VZZXKHAYVOWYIT-UHFFFAOYSA-N 0 3 311.473 4.257 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC[C@]3(CCCO3)C2)o1 ZINC001204726306 1049241870 /nfs/dbraw/zinc/24/18/70/1049241870.db2.gz XUTOTCKOTZVIHN-GOSISDBHSA-N 0 3 317.816 4.355 20 0 DIADHN Oc1cc(Cl)cc(CN2CCC[C@H]2Cc2ccc(F)cc2)c1 ZINC001179970441 1049242233 /nfs/dbraw/zinc/24/22/33/1049242233.db2.gz ZMADEUJMTYSUOK-KRWDZBQOSA-N 0 3 319.807 4.392 20 0 DIADHN CN(CCc1ccc(F)cc1Cl)Cc1cc(Cl)cn1C ZINC001179979571 1049243246 /nfs/dbraw/zinc/24/32/46/1049243246.db2.gz JEGDUABYQFQUIU-UHFFFAOYSA-N 0 3 315.219 4.146 20 0 DIADHN Cc1ccc2sc(CN[C@H]3CCCO[C@H]3CC(C)C)nc2c1 ZINC001193693673 1049245938 /nfs/dbraw/zinc/24/59/38/1049245938.db2.gz GUNDXBHKNQWNKQ-HOCLYGCPSA-N 0 3 318.486 4.288 20 0 DIADHN CN(C)c1ccc(C2CCN(Cc3ccccc3F)CC2)cc1 ZINC001193688278 1049246174 /nfs/dbraw/zinc/24/61/74/1049246174.db2.gz ZSOLXKIZZBXJJN-UHFFFAOYSA-N 0 3 312.432 4.271 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3CCCO[C@@H]3CC(C)C)nc2c1 ZINC001193693668 1049246299 /nfs/dbraw/zinc/24/62/99/1049246299.db2.gz GUNDXBHKNQWNKQ-GDBMZVCRSA-N 0 3 318.486 4.288 20 0 DIADHN CC[C@H](CCc1ccccc1)NCc1nnc(C(C)C)s1 ZINC000858369675 1049248806 /nfs/dbraw/zinc/24/88/06/1049248806.db2.gz WDVNFJCBZBTQGW-OAHLLOKOSA-N 0 3 303.475 4.163 20 0 DIADHN Cc1ccc(Br)cc1[C@@H](C)N[C@@H](C)c1ccc[nH]1 ZINC000858381873 1049251560 /nfs/dbraw/zinc/25/15/60/1049251560.db2.gz JTXIRCZMECUHBG-NEPJUHHUSA-N 0 3 307.235 4.497 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCC[C@@H](OCC(F)(F)F)C2)cc1 ZINC001180011903 1049258732 /nfs/dbraw/zinc/25/87/32/1049258732.db2.gz UAIYPSFTNKEGHO-GOEBONIOSA-N 0 3 315.379 4.142 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1ccc(C(F)(F)F)nc1Cl ZINC001204775808 1049260233 /nfs/dbraw/zinc/26/02/33/1049260233.db2.gz GPCUEYMKTBJFQJ-ONGXEEELSA-N 0 3 306.759 4.374 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC001180039493 1049261987 /nfs/dbraw/zinc/26/19/87/1049261987.db2.gz ZEJZPAKDXRGFGP-INIZCTEOSA-N 0 3 318.823 4.390 20 0 DIADHN CCN(Cc1cnc2onc(C)c2c1)[C@H](C)c1ccc(F)cc1 ZINC001180042827 1049264259 /nfs/dbraw/zinc/26/42/59/1049264259.db2.gz KDGNSONHRZDFSE-CYBMUJFWSA-N 0 3 313.376 4.253 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C(C)=O)cc1)c1ccc(F)cc1 ZINC000858495038 1049264461 /nfs/dbraw/zinc/26/44/61/1049264461.db2.gz SECMDHPPMCSIDK-IBGZPJMESA-N 0 3 314.404 4.049 20 0 DIADHN Cc1ccccc1[C@@]1(F)CCN(Cc2cccc(N(C)C)c2)C1 ZINC001193798293 1049265367 /nfs/dbraw/zinc/26/53/67/1049265367.db2.gz RUDUIBMFPIDYBN-HXUWFJFHSA-N 0 3 312.432 4.132 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccsc1)C(=O)N(C)c1ccc(C)cc1 ZINC001193798314 1049265656 /nfs/dbraw/zinc/26/56/56/1049265656.db2.gz SEFCKIRTUCRDPO-WMLDXEAASA-N 0 3 316.470 4.149 20 0 DIADHN Cc1cnc(CCN2CC[C@@](F)(c3ccccc3C)C2)c(C)c1 ZINC001193796072 1049265885 /nfs/dbraw/zinc/26/58/85/1049265885.db2.gz DKLIDWLKCZBNPB-FQEVSTJZSA-N 0 3 312.432 4.120 20 0 DIADHN c1nc2cc(CN3CCS[C@H]4CCCC[C@@H]43)ccc2s1 ZINC001180051412 1049266897 /nfs/dbraw/zinc/26/68/97/1049266897.db2.gz GPEMTERDDMXGLL-HOCLYGCPSA-N 0 3 304.484 4.156 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)cc1C ZINC001193806445 1049267105 /nfs/dbraw/zinc/26/71/05/1049267105.db2.gz AIQIZOMIDBDHHH-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1CCC[C@H](C(C)(C)C)C1 ZINC001193808552 1049268173 /nfs/dbraw/zinc/26/81/73/1049268173.db2.gz WHFZDPYAVMHOEJ-KBPBESRZSA-N 0 3 322.880 4.425 20 0 DIADHN C[C@H]1C[C@@H](N(C)CCc2nccs2)c2ccsc2S1 ZINC001204804020 1049269443 /nfs/dbraw/zinc/26/94/43/1049269443.db2.gz VFKWPXZJJPIWDN-CMPLNLGQSA-N 0 3 310.513 4.305 20 0 DIADHN C[C@H]1CCCN(Cc2ccc(Br)c3cccnc23)C1 ZINC001193889339 1049272968 /nfs/dbraw/zinc/27/29/68/1049272968.db2.gz RCRLGOOITTURAW-LBPRGKRZSA-N 0 3 319.246 4.229 20 0 DIADHN FC(F)Oc1cccc(CN2CCC3(CC(F)(F)C3)CC2)c1 ZINC001204817187 1049274696 /nfs/dbraw/zinc/27/46/96/1049274696.db2.gz ABPPBLXGFJWXIG-UHFFFAOYSA-N 0 3 317.326 4.299 20 0 DIADHN CCOc1ccc(CN(C)[C@@H]2C[C@@H](OCC)C23CCCCC3)o1 ZINC001180097398 1049275073 /nfs/dbraw/zinc/27/50/73/1049275073.db2.gz DDEDTSYEUAPUFO-IAGOWNOFSA-N 0 3 321.461 4.238 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3ccc(Cl)cc32)cc1O ZINC000858581398 1049280140 /nfs/dbraw/zinc/28/01/40/1049280140.db2.gz DBZJHGFPQLPTBP-ZBEGNZNMSA-N 0 3 317.816 4.392 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2ccns2)cc1 ZINC000858577465 1049280220 /nfs/dbraw/zinc/28/02/20/1049280220.db2.gz QCJCLEAENYIXOM-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1cccc(OC(F)F)c1 ZINC001204842930 1049281744 /nfs/dbraw/zinc/28/17/44/1049281744.db2.gz JBEJIURKJCKQRZ-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cn(C)nc2C2CCCCC2)CCS1 ZINC001180142043 1049283974 /nfs/dbraw/zinc/28/39/74/1049283974.db2.gz KMADTQPIDMPWJU-KRWDZBQOSA-N 0 3 321.534 4.041 20 0 DIADHN Cc1ccc(NC(=O)CN(C)CC2CCC(C(C)C)CC2)cc1 ZINC001180103884 1049285288 /nfs/dbraw/zinc/28/52/88/1049285288.db2.gz ZWYMMHLNBPNVBZ-UHFFFAOYSA-N 0 3 316.489 4.328 20 0 DIADHN Cc1cccc(N2CCN(Cc3cccc4ccoc43)CC2)c1C ZINC001180103422 1049285539 /nfs/dbraw/zinc/28/55/39/1049285539.db2.gz OAZFZOIYGJDEPV-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1CCc1ccc(Cl)cc1 ZINC001193962306 1049285532 /nfs/dbraw/zinc/28/55/32/1049285532.db2.gz FRESPSRXTGZULR-GOSISDBHSA-N 0 3 323.864 4.080 20 0 DIADHN O[C@H](C[C@@H]1CCCN1C/C=C\c1cccc(F)c1)c1ccco1 ZINC001180108429 1049288279 /nfs/dbraw/zinc/28/82/79/1049288279.db2.gz QUTVYWXKDNKSJP-UJYZBBTKSA-N 0 3 315.388 4.020 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1ccnc2c(Br)cccc12 ZINC001180108686 1049288955 /nfs/dbraw/zinc/28/89/55/1049288955.db2.gz VXYQKLJKVLSPNB-VXGBXAGGSA-N 0 3 319.246 4.370 20 0 DIADHN O[C@H](C[C@H]1CCCN1C/C=C\c1cccc(F)c1)c1ccco1 ZINC001180108359 1049289948 /nfs/dbraw/zinc/28/99/48/1049289948.db2.gz QUTVYWXKDNKSJP-JVESIEDESA-N 0 3 315.388 4.020 20 0 DIADHN c1cc(OC2CCCCC2)cc(-c2cnc([C@H]3CCCN3)nc2)c1 ZINC000906159782 1049292764 /nfs/dbraw/zinc/29/27/64/1049292764.db2.gz UMAHQLBGBYHONQ-LJQANCHMSA-N 0 3 323.440 4.280 20 0 DIADHN COc1cccc2c(CN3CCOC[C@H]3CC(C)C)cccc12 ZINC001204875966 1049293010 /nfs/dbraw/zinc/29/30/10/1049293010.db2.gz UGBPFTPOXLQIJD-QGZVFWFLSA-N 0 3 313.441 4.095 20 0 DIADHN Fc1ccc(CN(Cc2ccc3c(n2)CCC3)CC2CC2)cc1 ZINC001180125439 1049294199 /nfs/dbraw/zinc/29/41/99/1049294199.db2.gz ZVTSUHFETDKAQR-UHFFFAOYSA-N 0 3 310.416 4.122 20 0 DIADHN CCN(Cc1nc(-c2cccc(OC)c2)cs1)CC(C)C ZINC001204881103 1049295235 /nfs/dbraw/zinc/29/52/35/1049295235.db2.gz MFLNKSNFAYZEFS-UHFFFAOYSA-N 0 3 304.459 4.297 20 0 DIADHN COCCC1CCN(Cc2ccc(C(F)(F)F)c(F)c2)CC1 ZINC001204880170 1049295975 /nfs/dbraw/zinc/29/59/75/1049295975.db2.gz ZSCUZCQITUNJBX-UHFFFAOYSA-N 0 3 319.342 4.093 20 0 DIADHN COc1cc(F)cc(CN(Cc2ccc(F)cc2)C(C)C)c1 ZINC001180153077 1049299129 /nfs/dbraw/zinc/29/91/29/1049299129.db2.gz FLBIYYAEKMZOGF-UHFFFAOYSA-N 0 3 305.368 4.384 20 0 DIADHN C[C@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1c(F)cccc1F ZINC000158896942 1049304409 /nfs/dbraw/zinc/30/44/09/1049304409.db2.gz QSMMXHIWMZNFCP-IINYFYTJSA-N 0 3 307.771 4.436 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(CSC)cc2)cc1C ZINC001204908325 1049305460 /nfs/dbraw/zinc/30/54/60/1049305460.db2.gz OIWVFMFOOIMJCE-UHFFFAOYSA-N 0 3 315.482 4.499 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@H]2CCC(C)(C)C2)c1Cl ZINC001194041921 1049305904 /nfs/dbraw/zinc/30/59/04/1049305904.db2.gz ICCLXDZLCSTDTI-ZDUSSCGKSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)cnc1F ZINC001204923127 1049309939 /nfs/dbraw/zinc/30/99/39/1049309939.db2.gz ILCBPMDDMANHBX-OAHLLOKOSA-N 0 3 304.796 4.172 20 0 DIADHN C[C@]1(c2ccccc2)CN(Cc2cccc3ccoc32)CCO1 ZINC001180229167 1049313935 /nfs/dbraw/zinc/31/39/35/1049313935.db2.gz IRPVOJQUGUGEHV-HXUWFJFHSA-N 0 3 307.393 4.180 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC[C@H](Oc2ncccc2F)C1 ZINC001194066806 1049314166 /nfs/dbraw/zinc/31/41/66/1049314166.db2.gz QJTCADGLBJEROK-WMZOPIPTSA-N 0 3 314.404 4.215 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C1 ZINC001180199260 1049315536 /nfs/dbraw/zinc/31/55/36/1049315536.db2.gz QPRHPHHTTYFLMG-GFCCVEGCSA-N 0 3 319.342 4.389 20 0 DIADHN CCc1ccc([C@H]2CCCN(Cc3cc(OC)ccn3)C2)cc1 ZINC001194116701 1049319994 /nfs/dbraw/zinc/31/99/94/1049319994.db2.gz VFHFDQKCMDSJFP-SFHVURJKSA-N 0 3 310.441 4.032 20 0 DIADHN CCc1ccc([C@H]2CCCN(Cc3cc(OC)ns3)C2)cc1 ZINC001194115412 1049321056 /nfs/dbraw/zinc/32/10/56/1049321056.db2.gz BJPHYTZMCBTIQK-INIZCTEOSA-N 0 3 316.470 4.094 20 0 DIADHN Cc1ccc(CN(C/C=C\c2c(C)cc(C)cc2C)CCO)cc1 ZINC001204952929 1049321367 /nfs/dbraw/zinc/32/13/67/1049321367.db2.gz OZDWZWOHYRWUNR-WAYWQWQTSA-N 0 3 323.480 4.428 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](C)[C@@H]3CCCC[C@@H]32)c(C)n1 ZINC001180271141 1049324063 /nfs/dbraw/zinc/32/40/63/1049324063.db2.gz DSXSKQMICPMHDR-OWQGQXMQSA-N 0 3 302.462 4.108 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@@H](C(C)(C)C)C2)cc1OC ZINC001180317457 1049329598 /nfs/dbraw/zinc/32/95/98/1049329598.db2.gz GFOLUYJIHBUODT-CYBMUJFWSA-N 0 3 311.853 4.225 20 0 DIADHN Cc1c(Br)cncc1CN1CC[C@H](C(C)(C)C)C1 ZINC001180317712 1049331100 /nfs/dbraw/zinc/33/11/00/1049331100.db2.gz MSECKYYPTWFHHV-ZDUSSCGKSA-N 0 3 311.267 4.021 20 0 DIADHN OCc1ccc(CN2CCC[C@@H]2c2ccccc2Cl)cc1 ZINC000485745315 1049333784 /nfs/dbraw/zinc/33/37/84/1049333784.db2.gz QCUXRRMDJNYLTA-GOSISDBHSA-N 0 3 301.817 4.169 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(Cl)cc2F)C12CCCC2 ZINC001194177178 1049336147 /nfs/dbraw/zinc/33/61/47/1049336147.db2.gz ULYQLKCJCCRVDC-HOTGVXAUSA-N 0 3 311.828 4.307 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2cnc3c(cnn3C)c2)cc1 ZINC001180296476 1049336423 /nfs/dbraw/zinc/33/64/23/1049336423.db2.gz IQZWUOBLNMMVFX-LJQANCHMSA-N 0 3 320.440 4.004 20 0 DIADHN CC(C)Cn1nccc1CN(Cc1ccccc1)CC1CCC1 ZINC001180284951 1049336823 /nfs/dbraw/zinc/33/68/23/1049336823.db2.gz PYGMXDYCECGWSP-UHFFFAOYSA-N 0 3 311.473 4.341 20 0 DIADHN COC(=O)c1cccc(CN([C@H](C)c2ccccc2)C2CC2)c1 ZINC001194213762 1049343797 /nfs/dbraw/zinc/34/37/97/1049343797.db2.gz UJULPFPAZFDESR-OAHLLOKOSA-N 0 3 309.409 4.199 20 0 DIADHN Cc1sc(CN2C[C@H](C)C[C@H](C)C2)cc1Br ZINC001180375009 1049346472 /nfs/dbraw/zinc/34/64/72/1049346472.db2.gz AYROAXMYIDIQLD-AOOOYVTPSA-N 0 3 302.281 4.297 20 0 DIADHN CCc1ccccc1CN(C)Cc1ccccc1N1CCCC1 ZINC001205024439 1049346475 /nfs/dbraw/zinc/34/64/75/1049346475.db2.gz XXCPLIUVVMKNHS-UHFFFAOYSA-N 0 3 308.469 4.481 20 0 DIADHN Clc1ncc(Nc2ccnc(C3CC3)c2)n1[C@H]1CCCCO1 ZINC001205029877 1049346838 /nfs/dbraw/zinc/34/68/38/1049346838.db2.gz MQSOGRJJPXINCR-OAHLLOKOSA-N 0 3 318.808 4.252 20 0 DIADHN c1ncc(-c2ccc(CNCc3cccc4c3CCC4)cc2)o1 ZINC000906521037 1049350659 /nfs/dbraw/zinc/35/06/59/1049350659.db2.gz YTAZRGKFSADUIG-UHFFFAOYSA-N 0 3 304.393 4.120 20 0 DIADHN Cn1cc(CN2CC[C@@H]3CCCC[C@H]3C2)c(C2CCCCC2)n1 ZINC001180402935 1049352397 /nfs/dbraw/zinc/35/23/97/1049352397.db2.gz ISVAOYLQROOLRX-WMZOPIPTSA-N 0 3 315.505 4.480 20 0 DIADHN CO[C@H](CC(C)C)CN(Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC001180404690 1049352927 /nfs/dbraw/zinc/35/29/27/1049352927.db2.gz WVAQSRHSZJNZFU-QGTPRVQTSA-N 0 3 305.462 4.429 20 0 DIADHN c1cc(CN2CC[C@H]3CCCC[C@@H]3C2)n(CCc2ccccc2)n1 ZINC001180403490 1049353462 /nfs/dbraw/zinc/35/34/62/1049353462.db2.gz LHUDNKZLSPRDHW-WOJBJXKFSA-N 0 3 323.484 4.138 20 0 DIADHN CC(C)(C)N(Cc1ccnn1CC1CCC1)Cc1ccccc1 ZINC001180372146 1049354899 /nfs/dbraw/zinc/35/48/99/1049354899.db2.gz LAZWBEUAYOLSLR-UHFFFAOYSA-N 0 3 311.473 4.484 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc(C4CC4)no3)[C@@H]3CCCC[C@H]23)cc1 ZINC000485855767 1049355652 /nfs/dbraw/zinc/35/56/52/1049355652.db2.gz BTDAONLWKVHNQJ-FGTMMUONSA-N 0 3 323.440 4.105 20 0 DIADHN CCN1CCN(Cc2cccc(-c3ccc(Cl)cc3)c2)CC1 ZINC001180371841 1049356036 /nfs/dbraw/zinc/35/60/36/1049356036.db2.gz BYDLGLPAKZZLLN-UHFFFAOYSA-N 0 3 314.860 4.145 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)c(C)n1 ZINC001194289425 1049357876 /nfs/dbraw/zinc/35/78/76/1049357876.db2.gz AAVJTMDLYGWFJZ-CABCVRRESA-N 0 3 313.272 4.023 20 0 DIADHN Cc1cc(C)c(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)c(C)n1 ZINC001194289436 1049358436 /nfs/dbraw/zinc/35/84/36/1049358436.db2.gz AAVJTMDLYGWFJZ-LSDHHAIUSA-N 0 3 313.272 4.023 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1F)CCOc1ccc(F)cc1 ZINC001180437494 1049358246 /nfs/dbraw/zinc/35/82/46/1049358246.db2.gz JBUVTOGOSPEXBU-NSCUHMNNSA-N 0 3 321.342 4.128 20 0 DIADHN Cc1noc2ncc(CN(C)[C@H]3CCCc4ccccc43)cc12 ZINC001180422830 1049363136 /nfs/dbraw/zinc/36/31/36/1049363136.db2.gz OOUFQYRQKBTDGA-SFHVURJKSA-N 0 3 307.397 4.041 20 0 DIADHN c1cc([C@H]2CCCCCN2Cc2nccc3c2CCCC3)ccn1 ZINC001180488260 1049364446 /nfs/dbraw/zinc/36/44/46/1049364446.db2.gz GJWHIXWLSWYJDP-OAQYLSRUSA-N 0 3 321.468 4.473 20 0 DIADHN c1cc([C@@H]2CCCCCN2Cc2nccc3c2CCCC3)ccn1 ZINC001180488259 1049364875 /nfs/dbraw/zinc/36/48/75/1049364875.db2.gz GJWHIXWLSWYJDP-NRFANRHFSA-N 0 3 321.468 4.473 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)cc1Cl)c1ccns1 ZINC000860051110 1049365805 /nfs/dbraw/zinc/36/58/05/1049365805.db2.gz DTFRINWCRZJKEV-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@H]4CCCC[C@@H]4C3)sc2C1 ZINC001205083139 1049368778 /nfs/dbraw/zinc/36/87/78/1049368778.db2.gz UMTSZWOGGZMBEI-RBSFLKMASA-N 0 3 304.503 4.280 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2nccc3c2CCCC3)c1 ZINC001180514879 1049368964 /nfs/dbraw/zinc/36/89/64/1049368964.db2.gz WHFZSJMQQCAQFM-OAQYLSRUSA-N 0 3 322.452 4.306 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@@H](OC)C1CCCC1 ZINC001205089884 1049370187 /nfs/dbraw/zinc/37/01/87/1049370187.db2.gz XGBFUZBHZUVXQL-CQSZACIVSA-N 0 3 301.883 4.429 20 0 DIADHN CC(C)c1cnc(N[C@@H]2CCN(C)[C@H]2c2ccccc2)s1 ZINC000485972439 1049372260 /nfs/dbraw/zinc/37/22/60/1049372260.db2.gz BHERYWSNYWBWSZ-ZBFHGGJFSA-N 0 3 301.459 4.124 20 0 DIADHN CC(C)c1cnc(N[C@H]2CCN(C)[C@H]2c2ccccc2)s1 ZINC000485972438 1049372309 /nfs/dbraw/zinc/37/23/09/1049372309.db2.gz BHERYWSNYWBWSZ-HOCLYGCPSA-N 0 3 301.459 4.124 20 0 DIADHN Cc1ccc(OC(=O)Nc2ccccc2CN2CCCC2)cc1 ZINC001194458792 1049374789 /nfs/dbraw/zinc/37/47/89/1049374789.db2.gz KRJFBBXQUAGDPX-UHFFFAOYSA-N 0 3 310.397 4.202 20 0 DIADHN Cc1cccc(OCC(=O)NCCP(C(C)C)C(C)C)c1C ZINC001180502740 1049376060 /nfs/dbraw/zinc/37/60/60/1049376060.db2.gz IWRBFSWJUPNVNO-UHFFFAOYSA-N 0 3 323.417 4.097 20 0 DIADHN COc1cccc(CN(C)CCSCc2ccccc2)c1 ZINC001205101614 1049377520 /nfs/dbraw/zinc/37/75/20/1049377520.db2.gz VHNNOIFOCQMDFJ-UHFFFAOYSA-N 0 3 301.455 4.060 20 0 DIADHN CC(C)COc1cccc(CN2CCSC[C@@H]2C2CC2)c1 ZINC001194518902 1049380027 /nfs/dbraw/zinc/38/00/27/1049380027.db2.gz DBVADXMQLCLWAW-GOSISDBHSA-N 0 3 305.487 4.049 20 0 DIADHN CCc1ccc(CN(Cc2ccc(N(C)CC)nc2)C2CC2)cc1 ZINC001180558923 1049380625 /nfs/dbraw/zinc/38/06/25/1049380625.db2.gz ZYXMYZNHUNDZPV-UHFFFAOYSA-N 0 3 323.484 4.265 20 0 DIADHN CCc1cccc2cc(CN3CCN(C4CCCC4)CC3)oc21 ZINC001180547142 1049382374 /nfs/dbraw/zinc/38/23/74/1049382374.db2.gz WSBKAWFWLXQXGH-UHFFFAOYSA-N 0 3 312.457 4.055 20 0 DIADHN COc1cc(CN(C)CCOc2ccccc2C)ccc1Cl ZINC001205113912 1049383084 /nfs/dbraw/zinc/38/30/84/1049383084.db2.gz ABTKKPNZVZLRJG-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN COc1cc(CN2CC=C(c3ccc(F)cc3)CC2)ccc1C ZINC001205122651 1049386802 /nfs/dbraw/zinc/38/68/02/1049386802.db2.gz CNYWKMJGECAGJX-UHFFFAOYSA-N 0 3 311.400 4.432 20 0 DIADHN Cl/C=C/CN1CC[C@H](Cc2ccc(Br)cc2)C1 ZINC001194550736 1049389545 /nfs/dbraw/zinc/38/95/45/1049389545.db2.gz AKMBVKMJMCFOOI-ZACCDDSSSA-N 0 3 314.654 4.066 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1nc(-c2ccccc2)no1 ZINC001180672392 1049393311 /nfs/dbraw/zinc/39/33/11/1049393311.db2.gz HGICHCJWFKDIBK-WBVHZDCISA-N 0 3 313.445 4.431 20 0 DIADHN Cc1cc(C)cc(CN(C)[C@@H](CO)c2ccc(Cl)cc2)c1 ZINC001194562325 1049394252 /nfs/dbraw/zinc/39/42/52/1049394252.db2.gz KRKBFULTXJLPIM-SFHVURJKSA-N 0 3 303.833 4.122 20 0 DIADHN Cc1cc(CN2C[C@@H](C)C[C@H]2c2cccnc2)c2c(n1)CCCC2 ZINC001180665276 1049395581 /nfs/dbraw/zinc/39/55/81/1049395581.db2.gz LYCREDNTOJZBPW-BTYIYWSLSA-N 0 3 321.468 4.247 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCN(Cc2cccs2)CC1 ZINC001205162661 1049397593 /nfs/dbraw/zinc/39/75/93/1049397593.db2.gz RAWSUXOQAXARGD-QGZVFWFLSA-N 0 3 320.546 4.472 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CC[C@@H](c2ccccc2F)C1 ZINC001194599109 1049398480 /nfs/dbraw/zinc/39/84/80/1049398480.db2.gz JTZVKVDLOJCKCS-GPMSIDNRSA-N 0 3 305.437 4.210 20 0 DIADHN C[C@]1(Br)C[C@H]1CN1CCC[C@H]1c1ccc(F)cc1 ZINC001205169465 1049400005 /nfs/dbraw/zinc/40/00/05/1049400005.db2.gz GIOOOEVGNSXIEW-QEJZJMRPSA-N 0 3 312.226 4.136 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2ccc(Cl)cc2)cc1C ZINC001205184849 1049403580 /nfs/dbraw/zinc/40/35/80/1049403580.db2.gz ILXAUSQSCXPBQR-UHFFFAOYSA-N 0 3 317.816 4.067 20 0 DIADHN C[C@]1(NCc2cnn(CC3CCC3)c2)CCCc2ccccc21 ZINC001180780058 1049407117 /nfs/dbraw/zinc/40/71/17/1049407117.db2.gz OSSOEBYVSLVUDT-FQEVSTJZSA-N 0 3 309.457 4.025 20 0 DIADHN CCCN(C[C@@H](CC)c1ccccc1)[C@H](CC)C(=O)OCC ZINC001180859460 1049412304 /nfs/dbraw/zinc/41/23/04/1049412304.db2.gz XRJINBGJJXWZSV-SJLPKXTDSA-N 0 3 305.462 4.234 20 0 DIADHN CN(CCc1ccc(F)cc1F)Cc1ccc(F)c(Cl)c1 ZINC001180872293 1049413798 /nfs/dbraw/zinc/41/37/98/1049413798.db2.gz AWWIRWVNCRXPLQ-UHFFFAOYSA-N 0 3 313.750 4.432 20 0 DIADHN CCC(CC)COC(=O)Nc1ccccc1CN1CCCC1 ZINC001194706096 1049417927 /nfs/dbraw/zinc/41/79/27/1049417927.db2.gz AAAYKAMUAKFRNH-UHFFFAOYSA-N 0 3 304.434 4.267 20 0 DIADHN CCc1ccc(CN2CCN(c3cccc(F)c3)C[C@H]2C)cc1 ZINC001194755179 1049424157 /nfs/dbraw/zinc/42/41/57/1049424157.db2.gz OEIBYWUGDADLBC-MRXNPFEDSA-N 0 3 312.432 4.099 20 0 DIADHN Cc1ccc(CN2CCN(c3cccc(F)c3)C[C@H]2C)cc1C ZINC001194755661 1049424556 /nfs/dbraw/zinc/42/45/56/1049424556.db2.gz WHMXRFCOVYQNKB-QGZVFWFLSA-N 0 3 312.432 4.153 20 0 DIADHN FC(F)(F)Cc1ncc(CN2CCCC3(CCCC3)C2)s1 ZINC001180969224 1049425137 /nfs/dbraw/zinc/42/51/37/1049425137.db2.gz GBWOQDQZRJAXSC-UHFFFAOYSA-N 0 3 318.408 4.404 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2cccc3[nH]ccc32)CC1 ZINC001205290019 1049429237 /nfs/dbraw/zinc/42/92/37/1049429237.db2.gz ZBIRYXSOYQHEGM-QGZVFWFLSA-N 0 3 319.452 4.047 20 0 DIADHN CC[C@H]1CCN(Cc2cccc(Br)c2OC)[C@H]1C ZINC001181041642 1049430111 /nfs/dbraw/zinc/43/01/11/1049430111.db2.gz AOSBVLCYCVGBOC-RYUDHWBXSA-N 0 3 312.251 4.078 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC[C@@H](C2CC2)C1 ZINC001194806957 1049432183 /nfs/dbraw/zinc/43/21/83/1049432183.db2.gz OJNJBFUNLSIVJE-UKRRQHHQSA-N 0 3 320.864 4.097 20 0 DIADHN CN(Cc1sccc1Cl)[C@@H]1CCN(Cc2ccccc2)C1 ZINC001205312450 1049434541 /nfs/dbraw/zinc/43/45/41/1049434541.db2.gz PBLWLCLXSWXQCC-OAHLLOKOSA-N 0 3 320.889 4.108 20 0 DIADHN COC(=O)CCCN(Cc1ccsc1C)Cc1ccccc1 ZINC001204288078 1049434895 /nfs/dbraw/zinc/43/48/95/1049434895.db2.gz QSKPODWDQOBUGR-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@H](c1nc[nH]n1)c1ccccc1 ZINC001205320991 1049437914 /nfs/dbraw/zinc/43/79/14/1049437914.db2.gz XPPURWTVBJDPRG-MSOLQXFVSA-N 0 3 312.461 4.233 20 0 DIADHN CC[C@H](CC1CCCCC1)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC001205320991 1049437918 /nfs/dbraw/zinc/43/79/18/1049437918.db2.gz XPPURWTVBJDPRG-MSOLQXFVSA-N 0 3 312.461 4.233 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@H](c1nc[nH]n1)c1ccccc1 ZINC001205321083 1049438005 /nfs/dbraw/zinc/43/80/05/1049438005.db2.gz XPPURWTVBJDPRG-ROUUACIJSA-N 0 3 312.461 4.233 20 0 DIADHN CC[C@@H](CC1CCCCC1)[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC001205321083 1049438007 /nfs/dbraw/zinc/43/80/07/1049438007.db2.gz XPPURWTVBJDPRG-ROUUACIJSA-N 0 3 312.461 4.233 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4c(c3)NCCC4)CC2)cc1 ZINC001205340166 1049442528 /nfs/dbraw/zinc/44/25/28/1049442528.db2.gz PPSPHKTUFQIVSM-UHFFFAOYSA-N 0 3 322.452 4.088 20 0 DIADHN CN(C)CC[C@H](OCCC(=O)c1ccccc1)c1cccs1 ZINC001205357392 1049449029 /nfs/dbraw/zinc/44/90/29/1049449029.db2.gz FZPMKMQYPHITNU-KRWDZBQOSA-N 0 3 317.454 4.031 20 0 DIADHN COc1ccc2c(c1)OCCN(CC[C@H](C)c1ccccc1)C2 ZINC001181185714 1049450302 /nfs/dbraw/zinc/45/03/02/1049450302.db2.gz VXYYPAJWRGLMQM-INIZCTEOSA-N 0 3 311.425 4.083 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(Oc2ccccc2)nc1 ZINC001205367327 1049452402 /nfs/dbraw/zinc/45/24/02/1049452402.db2.gz KRARTAWLNBHMGM-ACCUITESSA-N 0 3 317.392 4.468 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(F)c3n[nH]cc32)ccc1F ZINC001205371400 1049454456 /nfs/dbraw/zinc/45/44/56/1049454456.db2.gz OQJAJTUJBFGBOL-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(Cc2cccnc2)CC1 ZINC001205380577 1049455865 /nfs/dbraw/zinc/45/58/65/1049455865.db2.gz VVHXPYXPNRBUJI-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCCO2)c1cc2ccccc2[nH]1 ZINC000775629212 1049457315 /nfs/dbraw/zinc/45/73/15/1049457315.db2.gz UHEOOVJYRZYCBQ-AWEZNQCLSA-N 0 3 322.408 4.180 20 0 DIADHN CCCSC[C@@H](C)N[C@@H](COC)c1ccc(Cl)cc1 ZINC001181275337 1049458468 /nfs/dbraw/zinc/45/84/68/1049458468.db2.gz BGNXFEYJSBIKSJ-DOMZBBRYSA-N 0 3 301.883 4.149 20 0 DIADHN Cc1ccc([C@H](C)CN[C@@H]2COc3cc(F)cc(F)c32)cc1 ZINC001205394014 1049458683 /nfs/dbraw/zinc/45/86/83/1049458683.db2.gz HFVBODAGIRVFMP-MLGOLLRUSA-N 0 3 303.352 4.100 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001205394210 1049458914 /nfs/dbraw/zinc/45/89/14/1049458914.db2.gz KWGHMVWLWLMJPW-DOTOQJQBSA-N 0 3 317.379 4.399 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cc3ccccc3[nH]2)cn1 ZINC000775628224 1049460541 /nfs/dbraw/zinc/46/05/41/1049460541.db2.gz QCNOMTGFWUHLDN-CQSZACIVSA-N 0 3 309.413 4.203 20 0 DIADHN CC(C)C1CN(Cc2cccc(OCc3ccc(F)cc3)c2)C1 ZINC001181254611 1049461896 /nfs/dbraw/zinc/46/18/96/1049461896.db2.gz JFHFPKSIIGMKDF-UHFFFAOYSA-N 0 3 313.416 4.493 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCC[C@H]2c2ccccc2)cc1 ZINC001195022350 1049463194 /nfs/dbraw/zinc/46/31/94/1049463194.db2.gz MKKJNLWIVNRPSM-PMACEKPBSA-N 0 3 322.452 4.202 20 0 DIADHN CCn1ccc(CN(C)Cc2cc3c(cccc3O)s2)c1 ZINC001205409386 1049463924 /nfs/dbraw/zinc/46/39/24/1049463924.db2.gz OSZVESRPKLVHAA-UHFFFAOYSA-N 0 3 300.427 4.060 20 0 DIADHN O=C(Nc1ccccc1CN1CCCC1)Oc1ccc(F)cc1 ZINC001195035902 1049464489 /nfs/dbraw/zinc/46/44/89/1049464489.db2.gz PKSWUONVYQAJEZ-UHFFFAOYSA-N 0 3 314.360 4.032 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3cc(C)c(Cl)cc3O)C2)nc1 ZINC000668678333 1049467293 /nfs/dbraw/zinc/46/72/93/1049467293.db2.gz IJNGSJYBXSIOQQ-AWEZNQCLSA-N 0 3 316.832 4.047 20 0 DIADHN Cc1ccncc1CN1CC[C@@H](c2cc(C)c(Cl)cc2O)C1 ZINC000668677831 1049467997 /nfs/dbraw/zinc/46/79/97/1049467997.db2.gz AISFRYYQFWRGNZ-CQSZACIVSA-N 0 3 316.832 4.047 20 0 DIADHN Cc1c(Cl)cccc1CN[C@@H](c1ccccc1)C(C)(C)CO ZINC001181362116 1049468277 /nfs/dbraw/zinc/46/82/77/1049468277.db2.gz YZLBLWUWWWLPPR-SFHVURJKSA-N 0 3 317.860 4.498 20 0 DIADHN Cc1ccc([C@H](NC[C@H](O)c2ccc(C)o2)C2CCCC2)o1 ZINC000775629815 1049469327 /nfs/dbraw/zinc/46/93/27/1049469327.db2.gz ADQSCLSBPNXPMV-MAUKXSAKSA-N 0 3 303.402 4.044 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000486786771 1049470036 /nfs/dbraw/zinc/47/00/36/1049470036.db2.gz FNFLNHUYGGZAQX-MAUKXSAKSA-N 0 3 316.489 4.397 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nc(-c2cccs2)no1 ZINC000486776674 1049470265 /nfs/dbraw/zinc/47/02/65/1049470265.db2.gz CQZKSDPGFZJXLR-STQMWFEESA-N 0 3 305.447 4.199 20 0 DIADHN CNc1ccc(C)cc1CN1CCC[C@@H](C(F)(F)F)[C@@H]1C ZINC001205438610 1049470722 /nfs/dbraw/zinc/47/07/22/1049470722.db2.gz SIFPSUUFRBAKIX-GXTWGEPZSA-N 0 3 300.368 4.200 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1cc(F)cc2c1OCOC2 ZINC000486792790 1049471466 /nfs/dbraw/zinc/47/14/66/1049471466.db2.gz GXQUZTAHCZGXPF-KRWDZBQOSA-N 0 3 307.409 4.237 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000486796893 1049473683 /nfs/dbraw/zinc/47/36/83/1049473683.db2.gz VRIMEJYNYVKPTG-JSGCOSHPSA-N 0 3 305.447 4.199 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@@H]2C[C@@H]3CCC[C@H]23)n1 ZINC001181399764 1049474818 /nfs/dbraw/zinc/47/48/18/1049474818.db2.gz MMBSHGFCBDBDKJ-SLEUVZQESA-N 0 3 302.418 4.227 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@@]2(CCSC2)C1 ZINC001195093610 1049475775 /nfs/dbraw/zinc/47/57/75/1049475775.db2.gz KJOOQSNHLRGVDL-OAHLLOKOSA-N 0 3 315.404 4.425 20 0 DIADHN Cc1c(CN2CC[C@@H](CSc3ccccc3)C2)ccnc1F ZINC000862249833 1049480172 /nfs/dbraw/zinc/48/01/72/1049480172.db2.gz DPUOTAFZNABEKP-OAHLLOKOSA-N 0 3 316.445 4.143 20 0 DIADHN CCN1CCN(c2cccc(N[C@H]3CCC[C@@H]3C(C)C)c2)CC1 ZINC001205478229 1049481633 /nfs/dbraw/zinc/48/16/33/1049481633.db2.gz YKEQNTQZOIIPIT-UXHICEINSA-N 0 3 315.505 4.065 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@](C)(O)[C@@H](C)C1 ZINC001204298980 1049483590 /nfs/dbraw/zinc/48/35/90/1049483590.db2.gz YXZXVCIFFPLRFN-PXNSSMCTSA-N 0 3 319.489 4.239 20 0 DIADHN COC(=O)[C@@H]1C[C@H](C)CCN1CC1CCC2(CCCCC2)CC1 ZINC001205488980 1049484244 /nfs/dbraw/zinc/48/42/44/1049484244.db2.gz GLSUEYAALQJZMA-AEFFLSMTSA-N 0 3 321.505 4.401 20 0 DIADHN Cc1c(CN[C@H](c2ccc(F)cc2)C2CCC2)ccnc1F ZINC000862278031 1049484600 /nfs/dbraw/zinc/48/46/00/1049484600.db2.gz QJBSIODRUVYDIO-KRWDZBQOSA-N 0 3 302.368 4.299 20 0 DIADHN C[C@@](Cc1ccccc1)(NCc1ccnc(F)c1)c1ccccc1 ZINC001195147692 1049484687 /nfs/dbraw/zinc/48/46/87/1049484687.db2.gz NONNHSNYHHGCOZ-NRFANRHFSA-N 0 3 320.411 4.468 20 0 DIADHN C[C@@H]1C[C@@H]1CN1CC[C@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC001205499015 1049486695 /nfs/dbraw/zinc/48/66/95/1049486695.db2.gz QVDRUJOXOSMSEG-LALPHHSUSA-N 0 3 301.327 4.232 20 0 DIADHN C[C@@]12CCN(Cc3ccc4[nH]ccc4c3)C[C@@H]1C2(Cl)Cl ZINC000862360037 1049490008 /nfs/dbraw/zinc/49/00/08/1049490008.db2.gz UVEJCPKSKPSBOQ-LSDHHAIUSA-N 0 3 309.240 4.184 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H](C)c2ccc(C)cc2)o1 ZINC001127022005 1049490522 /nfs/dbraw/zinc/49/05/22/1049490522.db2.gz SWAKJDJFJIJALQ-HNNXBMFYSA-N 0 3 315.413 4.348 20 0 DIADHN CCn1cc(CN2CCC(Cc3cccc(Cl)c3)CC2)cn1 ZINC001205525042 1049490704 /nfs/dbraw/zinc/49/07/04/1049490704.db2.gz LRAXHHCKBCXMAN-UHFFFAOYSA-N 0 3 317.864 4.011 20 0 DIADHN CC[C@H](NC/C=C\c1ccncc1)c1ccccc1OC(F)F ZINC000486929281 1049492890 /nfs/dbraw/zinc/49/28/90/1049492890.db2.gz OXSTWOKBVWFODT-KJPDOMRESA-N 0 3 318.367 4.437 20 0 DIADHN C[C@@H]1CC[C@H](NC(=O)c2ccccc2CN(C)C)c2ccccc21 ZINC000486929847 1049493024 /nfs/dbraw/zinc/49/30/24/1049493024.db2.gz VYEVQJAQOVZZEH-QRWLVFNGSA-N 0 3 322.452 4.117 20 0 DIADHN COC(=O)C(C)(C)CCN[C@H](C)c1ccc(Cl)cc1Cl ZINC001205535066 1049492958 /nfs/dbraw/zinc/49/29/58/1049492958.db2.gz CKFSNRLNEYDRDN-SNVBAGLBSA-N 0 3 318.244 4.233 20 0 DIADHN CC(C)C1(CNCc2cc(F)c(F)cc2Br)CC1 ZINC001181598956 1049493296 /nfs/dbraw/zinc/49/32/96/1049493296.db2.gz HSABREPGWJUXJC-UHFFFAOYSA-N 0 3 318.205 4.253 20 0 DIADHN Cc1ccc([C@H](CNCc2sccc2Cl)N2CCCC2)o1 ZINC000486942387 1049495100 /nfs/dbraw/zinc/49/51/00/1049495100.db2.gz MCUIVKZXMBMNQX-AWEZNQCLSA-N 0 3 324.877 4.230 20 0 DIADHN CCCCOc1ccc(CN2CCC(c3ccon3)CC2)cc1 ZINC000668714205 1049495117 /nfs/dbraw/zinc/49/51/17/1049495117.db2.gz NPGLKZLOCNHRNQ-UHFFFAOYSA-N 0 3 314.429 4.233 20 0 DIADHN COc1cc(CN2CCC(c3ccon3)CC2)cc2ccccc21 ZINC000668717422 1049496485 /nfs/dbraw/zinc/49/64/85/1049496485.db2.gz AVIUWUIEVMZPIM-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN OC[C@@H](CNCc1c(Cl)oc2ccccc21)CC1CCCC1 ZINC001205550823 1049497036 /nfs/dbraw/zinc/49/70/36/1049497036.db2.gz CGAKSNNTTYIYAR-CQSZACIVSA-N 0 3 321.848 4.365 20 0 DIADHN Cc1ccc(F)c(CN(C)CCCc2ccc(F)c(F)c2)c1 ZINC001205554456 1049497558 /nfs/dbraw/zinc/49/75/58/1049497558.db2.gz GGBRNYLKCMNGBR-UHFFFAOYSA-N 0 3 307.359 4.477 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@@H](C2CCC2)C1 ZINC000668718461 1049499642 /nfs/dbraw/zinc/49/96/42/1049499642.db2.gz NNOJWLQCQDFKBP-GDBMZVCRSA-N 0 3 306.475 4.007 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(Cl)cc32)cc(Cl)n1 ZINC000862538956 1049501295 /nfs/dbraw/zinc/50/12/95/1049501295.db2.gz HKQBLXIYLWJZDJ-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC/C=C\c1ccncc1)CCS2 ZINC000486964777 1049501466 /nfs/dbraw/zinc/50/14/66/1049501466.db2.gz HVBOWLCGOQWSAL-STOZHHMFSA-N 0 3 300.402 4.061 20 0 DIADHN CC1(C)CC[C@H](CN2CCC[C@@H]2Cc2cc(F)ccc2F)OC1 ZINC001195258461 1049502383 /nfs/dbraw/zinc/50/23/83/1049502383.db2.gz OTFXEYNMHNPMOA-IAGOWNOFSA-N 0 3 323.427 4.177 20 0 DIADHN CCOc1cncc(CN2C[C@H](C)CC[C@@H]2c2ccccc2)c1 ZINC001181673028 1049503587 /nfs/dbraw/zinc/50/35/87/1049503587.db2.gz KWKOGAWHVMURDG-OXQOHEQNSA-N 0 3 310.441 4.454 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccccc2F)o1)[C@H]1CC1(C)C ZINC001195269677 1049505774 /nfs/dbraw/zinc/50/57/74/1049505774.db2.gz OOUAJNYHYTXNBA-HIFRSBDPSA-N 0 3 302.393 4.395 20 0 DIADHN C[C@H](C1CC1)N(CC(=O)Nc1ccc2ccccc2c1)C1CC1 ZINC000031653982 1049506394 /nfs/dbraw/zinc/50/63/94/1049506394.db2.gz GSXMIGXBUPYEGE-CQSZACIVSA-N 0 3 308.425 4.041 20 0 DIADHN CCNc1ccccc1CN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC001181729921 1049508199 /nfs/dbraw/zinc/50/81/99/1049508199.db2.gz QDHPRZBNLMYZSS-CABCVRRESA-N 0 3 313.272 4.134 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)[C@H](C)[C@@H]3C)co2)cc1 ZINC001195290410 1049512846 /nfs/dbraw/zinc/51/28/46/1049512846.db2.gz WMJJOEOSYQXYJY-KKUMJFAQSA-N 0 3 314.429 4.217 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC001205628172 1049514052 /nfs/dbraw/zinc/51/40/52/1049514052.db2.gz GMATZNGMPLGJBJ-WDSOQIARSA-N 0 3 324.443 4.140 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccnc(Cl)c1)c1ccco1 ZINC000119098452 1049515547 /nfs/dbraw/zinc/51/55/47/1049515547.db2.gz NSFMIORJHXCFOZ-UKRRQHHQSA-N 0 3 321.852 4.062 20 0 DIADHN CC1(C)CCc2onc(CN3CC[C@@H](C4CCCC4)C3)c2C1 ZINC001195306074 1049516074 /nfs/dbraw/zinc/51/60/74/1049516074.db2.gz HQAGLGXFFMVGIH-OAHLLOKOSA-N 0 3 302.462 4.202 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@H](O)c1ccc(Cl)cc1)C1CC1 ZINC000119154708 1049519495 /nfs/dbraw/zinc/51/94/95/1049519495.db2.gz RMHRHIZIAUEWBC-LRDDRELGSA-N 0 3 305.805 4.192 20 0 DIADHN Cc1ccc(F)c(CN2CCC(n3cnc4ccccc43)CC2)c1 ZINC001205669830 1049527501 /nfs/dbraw/zinc/52/75/01/1049527501.db2.gz BFOXXQWYHCFYEB-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN COc1ccc(-n2c(CN(C)C(C)(C)C)nc3ccccc32)cc1 ZINC001195438335 1049531531 /nfs/dbraw/zinc/53/15/31/1049531531.db2.gz AZHDHWKFHNSAGY-UHFFFAOYSA-N 0 3 323.440 4.264 20 0 DIADHN Clc1ccc(CN2CCC[C@@H]2[C@@H]2CCCOC2)c(Cl)c1 ZINC001195487736 1049536274 /nfs/dbraw/zinc/53/62/74/1049536274.db2.gz KHRYXILUABZHRH-CZUORRHYSA-N 0 3 314.256 4.384 20 0 DIADHN CC[C@H](C(=O)N(C)c1ccc(C)cc1)N(C)CCC(C)(C)C ZINC001195497049 1049538375 /nfs/dbraw/zinc/53/83/75/1049538375.db2.gz FSJFTEXYMMQEER-QGZVFWFLSA-N 0 3 304.478 4.104 20 0 DIADHN CC(C)[C@@H](NC/C=C\c1ccncc1)c1ccc(F)cc1F ZINC000487291965 1049545315 /nfs/dbraw/zinc/54/53/15/1049545315.db2.gz FYXRYROVBBTGLY-DDKKJVIOSA-N 0 3 302.368 4.360 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(F)cc1C)c1ccccc1F ZINC001195622464 1049545710 /nfs/dbraw/zinc/54/57/10/1049545710.db2.gz KSGYHHQXLVGNKS-MSOLQXFVSA-N 0 3 305.368 4.048 20 0 DIADHN Cc1ccc(OCc2ccccc2)cc1-c1cccc(CN)n1 ZINC001205732713 1049546994 /nfs/dbraw/zinc/54/69/94/1049546994.db2.gz PLUGPYXRIVJCPS-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1cnc(-c2ccco2)s1 ZINC000487307860 1049549281 /nfs/dbraw/zinc/54/92/81/1049549281.db2.gz GWOPSXKEYHNDKP-SJKOYZFVSA-N 0 3 310.422 4.426 20 0 DIADHN CCSc1cccc(CN2CCN(C)Cc3ccccc32)c1 ZINC001181987345 1049556028 /nfs/dbraw/zinc/55/60/28/1049556028.db2.gz RMUMXDXUIFLWSG-UHFFFAOYSA-N 0 3 312.482 4.251 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC2(CCOCC2)[C@@H]1C1CC1 ZINC001181996363 1049557676 /nfs/dbraw/zinc/55/76/76/1049557676.db2.gz HSOWQTJFVDBYKP-SFHVURJKSA-N 0 3 317.473 4.277 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cnc(-c2ccco2)s1 ZINC000487548725 1049558785 /nfs/dbraw/zinc/55/87/85/1049558785.db2.gz FMLHQNMNIMXPPS-SECBINFHSA-N 0 3 304.337 4.224 20 0 DIADHN C[C@H]1CN(CCSC(F)(F)F)CCc2ccc(F)cc21 ZINC001195704779 1049559396 /nfs/dbraw/zinc/55/93/96/1049559396.db2.gz QSHXFFBTNYLFNK-JTQLQIEISA-N 0 3 307.356 4.040 20 0 DIADHN COc1cc(C)ccc1CN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC001205773298 1049559653 /nfs/dbraw/zinc/55/96/53/1049559653.db2.gz KYPZMMFQBKTGLG-CABCVRRESA-N 0 3 314.256 4.019 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@H]3O[C@@H](c4ccccc4)C[C@H]32)c1 ZINC001195712249 1049560808 /nfs/dbraw/zinc/56/08/08/1049560808.db2.gz KELXCNSNYHCRFD-NJDAHSKKSA-N 0 3 322.452 4.193 20 0 DIADHN Clc1ccc2c(c1)OCCC[C@H]2NC/C=C\c1ccncc1 ZINC000487573521 1049561228 /nfs/dbraw/zinc/56/12/28/1049561228.db2.gz LPQAPKPXIDZHME-YKUAXSNGSA-N 0 3 314.816 4.252 20 0 DIADHN Cc1ncsc1CN1CCc2ccc(Cl)cc2[C@H](C)C1 ZINC001195766012 1049563132 /nfs/dbraw/zinc/56/31/32/1049563132.db2.gz CEMBSJLJEPAIFC-LLVKDONJSA-N 0 3 306.862 4.267 20 0 DIADHN Cc1ncsc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001195766014 1049564481 /nfs/dbraw/zinc/56/44/81/1049564481.db2.gz CEMBSJLJEPAIFC-NSHDSACASA-N 0 3 306.862 4.267 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H](c1ccccc1)[C@H](C)O ZINC000668788252 1049566905 /nfs/dbraw/zinc/56/69/05/1049566905.db2.gz YAOSEEJFRZVFOM-KBXCAEBGSA-N 0 3 303.833 4.169 20 0 DIADHN C[C@H]1CN(c2cccc(F)c2)CCN1Cc1cccc2ccoc21 ZINC001182114988 1049571508 /nfs/dbraw/zinc/57/15/08/1049571508.db2.gz DUYYPCCMXXTBHK-HNNXBMFYSA-N 0 3 324.399 4.283 20 0 DIADHN CCOc1c(F)c(F)ccc1-c1cncc(CN2CCCC2)c1 ZINC001205817560 1049572222 /nfs/dbraw/zinc/57/22/22/1049572222.db2.gz MCUBMDWREQHYDU-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1cc(F)ccc1CN1CCCC[C@H]1CC(=O)OC(C)(C)C ZINC001195797620 1049572612 /nfs/dbraw/zinc/57/26/12/1049572612.db2.gz JHJAVGLXHHWNBR-KRWDZBQOSA-N 0 3 321.436 4.220 20 0 DIADHN Cc1cnccc1CN1CCC(Oc2ccc(Cl)cc2)CC1 ZINC001205828286 1049576442 /nfs/dbraw/zinc/57/64/42/1049576442.db2.gz RUCWGOLKJYFOOF-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN C[Si](C)(C)c1ccc(CNCc2coc3ccccc23)cc1 ZINC001205866650 1049588020 /nfs/dbraw/zinc/58/80/20/1049588020.db2.gz DTQQBEBEULLRKA-UHFFFAOYSA-N 0 3 309.485 4.268 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)N[C@@H]1CC=CCC1)c1ccccc1 ZINC001182238167 1049594575 /nfs/dbraw/zinc/59/45/75/1049594575.db2.gz UZIJYQSMUNUBOZ-RTBURBONSA-N 0 3 314.473 4.075 20 0 DIADHN Cc1nn(-c2ccccc2Cl)cc1CN(C)C1CC(C)(C)C1 ZINC001182249877 1049594634 /nfs/dbraw/zinc/59/46/34/1049594634.db2.gz HIAIJQDULXXGAL-UHFFFAOYSA-N 0 3 317.864 4.455 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN(C)C1CC(C)(C)C1 ZINC001182249716 1049595692 /nfs/dbraw/zinc/59/56/92/1049595692.db2.gz GHAUZBRYAXNHMF-UHFFFAOYSA-N 0 3 303.475 4.202 20 0 DIADHN COC[C@@H]1CCCCN(Cc2cc3ccccc3c(Cl)n2)C1 ZINC000794563810 1049595884 /nfs/dbraw/zinc/59/58/84/1049595884.db2.gz MLSKKQKYTMDDCA-CQSZACIVSA-N 0 3 318.848 4.137 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1cc(F)cc2cccnc21 ZINC000488076768 1049598923 /nfs/dbraw/zinc/59/89/23/1049598923.db2.gz QASGDRBSKQERET-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN Cc1cc(CN2CCC(c3ccc4ccccc4c3)CC2)n(C)n1 ZINC001205911290 1049599554 /nfs/dbraw/zinc/59/95/54/1049599554.db2.gz RBWAUUULSNMPJC-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1cncc(Cl)c1Cl ZINC001182262116 1049601165 /nfs/dbraw/zinc/60/11/65/1049601165.db2.gz QSUFLWNIYRUBSX-GFCCVEGCSA-N 0 3 324.255 4.284 20 0 DIADHN c1ccc([C@H]2CCCN(Cc3nc4c(s3)CCC4)CC2)cc1 ZINC000775679411 1049602161 /nfs/dbraw/zinc/60/21/61/1049602161.db2.gz ZVTVVECWVCTCAC-INIZCTEOSA-N 0 3 312.482 4.402 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000775680266 1049602642 /nfs/dbraw/zinc/60/26/42/1049602642.db2.gz BAIBLGIFCLFWDM-CJNGLKHVSA-N 0 3 317.408 4.324 20 0 DIADHN C[C@@]1(Cc2ccccc2Cl)CCCN1Cc1ccnc(F)c1 ZINC001196021314 1049604791 /nfs/dbraw/zinc/60/47/91/1049604791.db2.gz FXRQEIUHUCCGOB-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN CC(C)P(CCNC(=O)[C@H]1C[C@@H]1c1ccccc1F)C(C)C ZINC001182290035 1049609150 /nfs/dbraw/zinc/60/91/50/1049609150.db2.gz MAMJFEDHTAQQJT-CVEARBPZSA-N 0 3 323.392 4.344 20 0 DIADHN CN1CCN(Cc2cc3c(cccc3O)s2)c2ccccc2C1 ZINC001205960476 1049611161 /nfs/dbraw/zinc/61/11/61/1049611161.db2.gz QYFJCGLXOYUYCH-UHFFFAOYSA-N 0 3 324.449 4.059 20 0 DIADHN CCO[C@H]1C[C@H](N[C@@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001205973818 1049615280 /nfs/dbraw/zinc/61/52/80/1049615280.db2.gz HEZXVHWJEDJKOO-UVBJJODRSA-N 0 3 311.828 4.477 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001205973817 1049615809 /nfs/dbraw/zinc/61/58/09/1049615809.db2.gz HEZXVHWJEDJKOO-KNXALSJPSA-N 0 3 311.828 4.477 20 0 DIADHN COc1nccc(CN2CC[C@@H](CC(C)(C)C)C2(C)C)c1F ZINC001182310479 1049616832 /nfs/dbraw/zinc/61/68/32/1049616832.db2.gz ZERPHQIESQPLBH-AWEZNQCLSA-N 0 3 308.441 4.266 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCNc2ccccc21 ZINC000774174003 1049619690 /nfs/dbraw/zinc/61/96/90/1049619690.db2.gz MZZLYHXWUKQDLV-IBGZPJMESA-N 0 3 309.457 4.179 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OC[C@@H](C)c2ccccc2)C1 ZINC001206010451 1049627509 /nfs/dbraw/zinc/62/75/09/1049627509.db2.gz HBMZDFCDIODIFE-QWFCFKBJSA-N 0 3 324.468 4.427 20 0 DIADHN Cc1ccc2nc(-c3ccc(F)cc3)c(CN3C[C@H](C)[C@H]3C)n2c1 ZINC001206018304 1049630246 /nfs/dbraw/zinc/63/02/46/1049630246.db2.gz YYQNRWZNJONTHP-LSDHHAIUSA-N 0 3 323.415 4.289 20 0 DIADHN CN(Cc1cn(C)nc1Cl)[C@H]1CCCC[C@H]1c1ccccc1 ZINC001182368630 1049636410 /nfs/dbraw/zinc/63/64/10/1049636410.db2.gz FBRHJBCWWNIHFN-IRXDYDNUSA-N 0 3 317.864 4.232 20 0 DIADHN Fc1ccc2cc(CN3CCC[C@@H](c4nccs4)C3)[nH]c2c1 ZINC000488337158 1049637622 /nfs/dbraw/zinc/63/76/22/1049637622.db2.gz VPEPOSZRTZWOQA-CYBMUJFWSA-N 0 3 315.417 4.143 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CC[C@@H](Oc2ccccc2)C1 ZINC001196293129 1049638704 /nfs/dbraw/zinc/63/87/04/1049638704.db2.gz HOMTZRKHRGFYLU-BEFAXECRSA-N 0 3 313.828 4.481 20 0 DIADHN CCn1cccc(CN[C@H](C)c2ccc(C(C)(C)C)cc2)c1=O ZINC000488377747 1049640581 /nfs/dbraw/zinc/64/05/81/1049640581.db2.gz XTTFXGJCENVLKI-OAHLLOKOSA-N 0 3 312.457 4.017 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001196294477 1049640704 /nfs/dbraw/zinc/64/07/04/1049640704.db2.gz YUBCQDPCSGHTSZ-LJQANCHMSA-N 0 3 324.468 4.421 20 0 DIADHN CC1(C)CCC[C@@H](CNCc2ncc(C(F)(F)F)s2)C1 ZINC001182387259 1049641179 /nfs/dbraw/zinc/64/11/79/1049641179.db2.gz CZRAPZJLNLIKBE-SNVBAGLBSA-N 0 3 306.397 4.468 20 0 DIADHN COc1cccnc1CN[C@H]1CCC[C@H]1c1ccc(Cl)cc1 ZINC001182389545 1049641474 /nfs/dbraw/zinc/64/14/74/1049641474.db2.gz ZTKCCPZLCQMFKJ-HOTGVXAUSA-N 0 3 316.832 4.170 20 0 DIADHN COc1ccc([C@H](N[C@H](C)[C@@H]2C[C@H]2C2CC2)c2ccco2)cc1 ZINC001182396381 1049642105 /nfs/dbraw/zinc/64/21/05/1049642105.db2.gz GDWVUTBAXHMFAX-SBFOQGNTSA-N 0 3 311.425 4.402 20 0 DIADHN CCc1ccccc1CN1CCN(c2ccc(C)cc2C)CC1 ZINC001196339688 1049645408 /nfs/dbraw/zinc/64/54/08/1049645408.db2.gz VLXDVKYRXXTXGI-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN COC[C@@H](C)CN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001206064072 1049645676 /nfs/dbraw/zinc/64/56/76/1049645676.db2.gz VIQGKCJJGAEWJC-LBPRGKRZSA-N 0 3 316.272 4.455 20 0 DIADHN CC1(C2CC2)CCN(Cc2ccnn2CCc2ccccc2)CC1 ZINC001206063609 1049645704 /nfs/dbraw/zinc/64/57/04/1049645704.db2.gz QEOYJVFEXSUWTI-UHFFFAOYSA-N 0 3 323.484 4.138 20 0 DIADHN Fc1cccc(/C=C\CN2CC[C@@]3(C2)CCc2ccccc2O3)c1 ZINC001206064044 1049646086 /nfs/dbraw/zinc/64/60/86/1049646086.db2.gz UREVFMKJCDJQFD-CIRMQIMFSA-N 0 3 323.411 4.309 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC[C@]34CCOC4)cc2)cc1 ZINC001182436179 1049647344 /nfs/dbraw/zinc/64/73/44/1049647344.db2.gz YUWLXUJOOYXHLA-LJQANCHMSA-N 0 3 313.828 4.372 20 0 DIADHN CCn1cnc(Cl)c1CN1CC[C@H](c2ccccc2)C1(C)C ZINC001182433487 1049648952 /nfs/dbraw/zinc/64/89/52/1049648952.db2.gz JEPMQCVHOKWSGP-OAHLLOKOSA-N 0 3 317.864 4.325 20 0 DIADHN CCCc1ccc(CN(C)Cc2ccccc2N(C)C)s1 ZINC001206077924 1049648961 /nfs/dbraw/zinc/64/89/61/1049648961.db2.gz PWNLJUCZNHYECD-UHFFFAOYSA-N 0 3 302.487 4.399 20 0 DIADHN Oc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001206080121 1049649235 /nfs/dbraw/zinc/64/92/35/1049649235.db2.gz APMCNCSWQGAFLF-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC(F)(F)[C@H](C)C1 ZINC001206110043 1049656094 /nfs/dbraw/zinc/65/60/94/1049656094.db2.gz ZDKOCEAKUOZBRW-CYBMUJFWSA-N 0 3 305.368 4.326 20 0 DIADHN CCCCOc1ccc(-c2cccc(CN(C)C)n2)c(F)c1 ZINC001206111973 1049656410 /nfs/dbraw/zinc/65/64/10/1049656410.db2.gz FASPYWWZFITDHT-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN C[C@H](NC[C@H](O)c1cc2ccccc2s1)c1ccccc1F ZINC000120076018 1049658167 /nfs/dbraw/zinc/65/81/67/1049658167.db2.gz SLWDSWDZOPYCTR-LRDDRELGSA-N 0 3 315.413 4.425 20 0 DIADHN CCc1cc(CN[C@H](c2ccccc2F)C2CCCC2)n[nH]1 ZINC000774419081 1049660308 /nfs/dbraw/zinc/66/03/08/1049660308.db2.gz LWWDBXSCLLERGL-SFHVURJKSA-N 0 3 301.409 4.132 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)N1CCCCCC1)c1ccccc1Cl ZINC000488472651 1049660911 /nfs/dbraw/zinc/66/09/11/1049660911.db2.gz VLHMERLEJQZEAK-LSDHHAIUSA-N 0 3 322.880 4.172 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCc2ccccc2F)[n-]1 ZINC000488480399 1049661059 /nfs/dbraw/zinc/66/10/59/1049661059.db2.gz OIKWCJIOEVOILF-MJBXVCDLSA-N 0 3 318.440 4.129 20 0 DIADHN CN(Cc1cn2cc(Cl)cc(Cl)c2n1)C[C@H]1CC1(C)C ZINC001196458483 1049662242 /nfs/dbraw/zinc/66/22/42/1049662242.db2.gz LKJQIRVZJRLANC-SNVBAGLBSA-N 0 3 312.244 4.119 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OCC3CC3)nc1)CCC2 ZINC000339069089 1049662411 /nfs/dbraw/zinc/66/24/11/1049662411.db2.gz VGPOENQCHGECFJ-FQEVSTJZSA-N 0 3 322.452 4.346 20 0 DIADHN COC(=O)c1cc(CN2CCC(C)(CC(C)C)CC2)oc1C ZINC001206135400 1049663314 /nfs/dbraw/zinc/66/33/14/1049663314.db2.gz HVTIWRZWKJVHTL-UHFFFAOYSA-N 0 3 307.434 4.023 20 0 DIADHN Brc1ccccc1OCCN1CCCC2(CC2)CC1 ZINC001196605851 1049675521 /nfs/dbraw/zinc/67/55/21/1049675521.db2.gz PLONKKSECIMIIW-UHFFFAOYSA-N 0 3 324.262 4.094 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN1CC[C@H](C2CCCC2)C1 ZINC001182461693 1049664848 /nfs/dbraw/zinc/66/48/48/1049664848.db2.gz KWPSUHPJHHNXER-AWEZNQCLSA-N 0 3 317.864 4.239 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1CC1(Cl)Cl ZINC001182478939 1049670927 /nfs/dbraw/zinc/67/09/27/1049670927.db2.gz HRILQTXGXGHRIW-VIFPVBQESA-N 0 3 322.663 4.367 20 0 DIADHN C[C@@H]1C[C@@H]1CN1CCC[C@@H]1c1ccc(Br)s1 ZINC000488544376 1049672969 /nfs/dbraw/zinc/67/29/69/1049672969.db2.gz LYYLQUSPJGKHOP-GMTAPVOTSA-N 0 3 300.265 4.304 20 0 DIADHN c1ccc(CC2CN(Cc3ccc(OCC4CC4)cc3)C2)cc1 ZINC001206174743 1049675358 /nfs/dbraw/zinc/67/53/58/1049675358.db2.gz RYSJPORCUQBTQP-UHFFFAOYSA-N 0 3 307.437 4.150 20 0 DIADHN C[C@@H](c1ccc(C(C)(C)C)cc1)N1CCN(CCCF)CC1 ZINC001196631497 1049677916 /nfs/dbraw/zinc/67/79/16/1049677916.db2.gz HDWHEKQVSQESOD-INIZCTEOSA-N 0 3 306.469 4.022 20 0 DIADHN CC(C)Oc1cc(-c2cnccc2/C=C/N(C)C)ccc1F ZINC001206196557 1049680273 /nfs/dbraw/zinc/68/02/73/1049680273.db2.gz YAOYOZNTWIQJIE-CSKARUKUSA-N 0 3 300.377 4.207 20 0 DIADHN C[C@@H]1C[C@H]1CN1CCCC[C@H]1c1nc(-c2cccc(F)c2)c[nH]1 ZINC001206195275 1049680294 /nfs/dbraw/zinc/68/02/94/1049680294.db2.gz QOEFCICHWOHAEK-XUWXXGDYSA-N 0 3 313.420 4.399 20 0 DIADHN C[C@@H]1C[C@H]1CN1CCCC[C@@H]1c1nc(-c2cccc(F)c2)c[nH]1 ZINC001206195271 1049681150 /nfs/dbraw/zinc/68/11/50/1049681150.db2.gz QOEFCICHWOHAEK-QIIPPGSGSA-N 0 3 313.420 4.399 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H]2CCOc3c(F)cccc32)CS1 ZINC000488596331 1049682724 /nfs/dbraw/zinc/68/27/24/1049682724.db2.gz YOLPZXHTEMBVFC-DFBGVHRSSA-N 0 3 309.450 4.159 20 0 DIADHN CC1(C)[C@@H](CNCc2c(Cl)ccnc2F)[C@@H]1c1ccccc1 ZINC001206216181 1049684285 /nfs/dbraw/zinc/68/42/85/1049684285.db2.gz WBGJLEYQGFHRGT-HOCLYGCPSA-N 0 3 318.823 4.404 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(C3CCC3)cc2)cc1 ZINC000488642676 1049684565 /nfs/dbraw/zinc/68/45/65/1049684565.db2.gz KDVRPKYBYXJXRZ-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN Clc1cccc2c1CN(C[C@H]1CCOc3ccccc31)CC2 ZINC001206224107 1049685244 /nfs/dbraw/zinc/68/52/44/1049685244.db2.gz MEGZCOYSQZPGQD-OAHLLOKOSA-N 0 3 313.828 4.264 20 0 DIADHN CCCCC[C@H](CC)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000775739884 1049685421 /nfs/dbraw/zinc/68/54/21/1049685421.db2.gz HHQXQXICMODGHO-KRWDZBQOSA-N 0 3 310.526 4.172 20 0 DIADHN COCC1CCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000488654853 1049685867 /nfs/dbraw/zinc/68/58/67/1049685867.db2.gz LCURLJWXLLDSIV-UHFFFAOYSA-N 0 3 311.425 4.337 20 0 DIADHN CCCn1nccc1CN1CCC[C@]1(C)Cc1ccccc1F ZINC001182542894 1049685948 /nfs/dbraw/zinc/68/59/48/1049685948.db2.gz HRPICBBZDNZMBD-LJQANCHMSA-N 0 3 315.436 4.029 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2ccc(C(F)(F)F)nc2Cl)C1 ZINC001182564802 1049686922 /nfs/dbraw/zinc/68/69/22/1049686922.db2.gz GARWLSBGLYVCMO-ZJUUUORDSA-N 0 3 310.722 4.124 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)Cc1cn2ccccc2n1 ZINC000488701657 1049688527 /nfs/dbraw/zinc/68/85/27/1049688527.db2.gz YKSKTKSBQXGHDQ-FQEVSTJZSA-N 0 3 307.441 4.472 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1cncc(Br)c1 ZINC000488709678 1049688645 /nfs/dbraw/zinc/68/86/45/1049688645.db2.gz RADHYXSYXCYVEH-AWEZNQCLSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)Cc1cn2ccccc2n1 ZINC000488701659 1049689178 /nfs/dbraw/zinc/68/91/78/1049689178.db2.gz YKSKTKSBQXGHDQ-HXUWFJFHSA-N 0 3 307.441 4.472 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)CC(=O)N(C(C)C)C(C)C ZINC000488721401 1049690883 /nfs/dbraw/zinc/69/08/83/1049690883.db2.gz DIJUMPGORPVVJO-FQEVSTJZSA-N 0 3 318.505 4.269 20 0 DIADHN CCN(Cc1cccc(Br)c1)[C@@H](C)c1cccnc1 ZINC000488757528 1049693929 /nfs/dbraw/zinc/69/39/29/1049693929.db2.gz HPOWUKLOTWMPCU-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN CCOc1ccc(CN2C[C@@H](C)OC3(CCCCC3)C2)c(C)c1 ZINC001182643577 1049697023 /nfs/dbraw/zinc/69/70/23/1049697023.db2.gz CAGRDIIAURZTCD-QGZVFWFLSA-N 0 3 317.473 4.317 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)CC(=O)N(C)c1ccccc1 ZINC000488803734 1049697771 /nfs/dbraw/zinc/69/77/71/1049697771.db2.gz ZOLKEJSQEWEAQY-NRFANRHFSA-N 0 3 324.468 4.287 20 0 DIADHN Cc1ccc(NC(=S)Nc2cccc(CN(C)C)c2)c(C)c1 ZINC000044133154 1049698298 /nfs/dbraw/zinc/69/82/98/1049698298.db2.gz HTIHQBCSCKYHRI-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN Fc1ccc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)cc1F ZINC001196918748 1049702010 /nfs/dbraw/zinc/70/20/10/1049702010.db2.gz GZNGEWKUKCVPHE-SJORKVTESA-N 0 3 323.770 4.238 20 0 DIADHN CC1(C)CN(Cc2nc3ccccc3o2)CCc2ccccc21 ZINC000911595558 1049702444 /nfs/dbraw/zinc/70/24/44/1049702444.db2.gz HKCSVADWZSGDFZ-UHFFFAOYSA-N 0 3 306.409 4.164 20 0 DIADHN CCCn1cncc1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001182763397 1049707197 /nfs/dbraw/zinc/70/71/97/1049707197.db2.gz FMHCIJITVIGTQD-QGZVFWFLSA-N 0 3 315.436 4.029 20 0 DIADHN CCCCCc1ccc(NC(=O)NC[C@H]2CCCCN2C)cc1 ZINC001197008894 1049713769 /nfs/dbraw/zinc/71/37/69/1049713769.db2.gz NHGJHQUGPBMBEZ-GOSISDBHSA-N 0 3 317.477 4.025 20 0 DIADHN Cc1nsc(C)c1CN1CCC(c2ccc(F)cc2)CC1 ZINC001197020318 1049716341 /nfs/dbraw/zinc/71/63/41/1049716341.db2.gz DIGXDGWAPKZCKJ-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H](OC2CCC2)CC1 ZINC001197070077 1049718317 /nfs/dbraw/zinc/71/83/17/1049718317.db2.gz QVFWHTYCRPRSMN-CZUORRHYSA-N 0 3 309.400 4.449 20 0 DIADHN COc1ccccc1[C@@H](C)NCCSc1ccc(F)cc1F ZINC001197124129 1049723348 /nfs/dbraw/zinc/72/33/48/1049723348.db2.gz BJBDSDLKAWDFIE-GFCCVEGCSA-N 0 3 323.408 4.416 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H](C3CCC3)CC2)cc1C ZINC001197201821 1049726854 /nfs/dbraw/zinc/72/68/54/1049726854.db2.gz JBTKOXXYNFUIKD-GOSISDBHSA-N 0 3 314.473 4.144 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCOC[C@H]2C2CCC2)cc1 ZINC001206399305 1049726919 /nfs/dbraw/zinc/72/69/19/1049726919.db2.gz BELTVOJKVPRIDK-IBGZPJMESA-N 0 3 301.474 4.028 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCOC[C@@H]2C2CCC2)cc1 ZINC001206399306 1049727029 /nfs/dbraw/zinc/72/70/29/1049727029.db2.gz BELTVOJKVPRIDK-LJQANCHMSA-N 0 3 301.474 4.028 20 0 DIADHN c1ccc(N2C[C@@H]3C[C@H]2CN3CCSC2CCCCC2)cc1 ZINC001197317806 1049738091 /nfs/dbraw/zinc/73/80/91/1049738091.db2.gz VZZUKMVQIHNWQU-ROUUACIJSA-N 0 3 316.514 4.015 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccccc1Cl ZINC000489303265 1049741025 /nfs/dbraw/zinc/74/10/25/1049741025.db2.gz WOXJDBRADJVNDY-CZUORRHYSA-N 0 3 308.853 4.179 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(OC(F)F)cc2)cc1C ZINC000489367737 1049748447 /nfs/dbraw/zinc/74/84/47/1049748447.db2.gz WJXXUMCFUYAHBD-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN CC[C@@H]1CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)[C@H](C)CO1 ZINC001206523906 1049749989 /nfs/dbraw/zinc/74/99/89/1049749989.db2.gz VNOLOORHZDLGIJ-WOSRLPQWSA-N 0 3 315.379 4.308 20 0 DIADHN CC[C@@H]1CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)[C@@H](C)CO1 ZINC001206523905 1049750083 /nfs/dbraw/zinc/75/00/83/1049750083.db2.gz VNOLOORHZDLGIJ-HEHGZKQESA-N 0 3 315.379 4.308 20 0 DIADHN C[C@@H](O)[C@H](N[C@H](C)Cc1ccccc1Cl)c1ccccc1F ZINC001206530562 1049751106 /nfs/dbraw/zinc/75/11/06/1049751106.db2.gz UKPHUPAMWPJOTG-VFVRVIDISA-N 0 3 321.823 4.122 20 0 DIADHN CC1(C)[C@H](c2ccc(F)c(F)c2)CCN1Cc1cncs1 ZINC001197422040 1049751932 /nfs/dbraw/zinc/75/19/32/1049751932.db2.gz POKTVGUHVJLVOQ-ZDUSSCGKSA-N 0 3 308.397 4.189 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc(Br)cn1 ZINC001206533930 1049751949 /nfs/dbraw/zinc/75/19/49/1049751949.db2.gz ODQCZGZSPRNDSU-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN Cc1nc(F)ccc1CN1CCC2(CCc3ccccc3C2)CC1 ZINC001206538023 1049752674 /nfs/dbraw/zinc/75/26/74/1049752674.db2.gz RASKKCCDVYFXBR-UHFFFAOYSA-N 0 3 324.443 4.300 20 0 DIADHN FC1(F)CC12CCN(Cc1cncc(Cl)c1Cl)CC2 ZINC001206567984 1049756971 /nfs/dbraw/zinc/75/69/71/1049756971.db2.gz HIZSCUFGTBWULZ-UHFFFAOYSA-N 0 3 307.171 4.010 20 0 DIADHN CCCCC[C@H](OCCCN1CCN(C)CC1)[C@H](C)CCC ZINC001206596578 1049765141 /nfs/dbraw/zinc/76/51/41/1049765141.db2.gz PAFONNYUDJNMLD-MOPGFXCFSA-N 0 3 312.542 4.026 20 0 DIADHN CCCCC[C@H](OCCCN1CCN(C)CC1)[C@@H](C)CCC ZINC001206596579 1049765963 /nfs/dbraw/zinc/76/59/63/1049765963.db2.gz PAFONNYUDJNMLD-OALUTQOASA-N 0 3 312.542 4.026 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1cncc(Cl)c1Cl ZINC001206604852 1049767614 /nfs/dbraw/zinc/76/76/14/1049767614.db2.gz IPVGCAYFFCGFJQ-ZUZCIYMTSA-N 0 3 307.224 4.206 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1c(Cl)oc2ccccc21 ZINC001206648432 1049775730 /nfs/dbraw/zinc/77/57/30/1049775730.db2.gz YSKSABBOCVMKES-ZDUSSCGKSA-N 0 3 307.821 4.381 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1CCc2ccc(O)cc21 ZINC001206716071 1049783705 /nfs/dbraw/zinc/78/37/05/1049783705.db2.gz ZZDPZRDCIMBQBF-GOSISDBHSA-N 0 3 301.817 4.440 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C2(C)CCCC2)c(Cl)c1 ZINC000489853512 1049784452 /nfs/dbraw/zinc/78/44/52/1049784452.db2.gz ZVEACXTZRCUMLI-UHFFFAOYSA-N 0 3 308.853 4.160 20 0 DIADHN CN(Cc1nc(-c2cccc(Cl)c2)no1)C1(C)CCCC1 ZINC000489868777 1049787042 /nfs/dbraw/zinc/78/70/42/1049787042.db2.gz FPZHOVSTZHJUFJ-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCOC2)c2ccc(Cl)cc2)cc1 ZINC000489879182 1049787134 /nfs/dbraw/zinc/78/71/34/1049787134.db2.gz ATFWGQFYLGMZHN-ROUUACIJSA-N 0 3 319.807 4.337 20 0 DIADHN CCCOc1ccc(F)c(-c2cnccc2/C=C/N(C)C)c1F ZINC001206748997 1049789976 /nfs/dbraw/zinc/78/99/76/1049789976.db2.gz RVRJAWYWFWICSZ-CSKARUKUSA-N 0 3 318.367 4.348 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc(C)cc1OC ZINC001206763211 1049794172 /nfs/dbraw/zinc/79/41/72/1049794172.db2.gz XGYQTFZOWZBOCB-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3nc(-c4ccoc4)no3)C2)cc1 ZINC000490059086 1049797572 /nfs/dbraw/zinc/79/75/72/1049797572.db2.gz VUEHTJKBAZACSG-YOEHRIQHSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN1[C@H](C)CCC[C@@H]1C ZINC001206804403 1049803815 /nfs/dbraw/zinc/80/38/15/1049803815.db2.gz PKAPEXBKRXYSQF-BETUJISGSA-N 0 3 321.465 4.046 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN1[C@@H](C)CCC[C@@H]1C ZINC001206804406 1049803920 /nfs/dbraw/zinc/80/39/20/1049803920.db2.gz PKAPEXBKRXYSQF-STQMWFEESA-N 0 3 321.465 4.046 20 0 DIADHN COc1ccc(-c2cc(CN3CCCCCCC3)no2)cc1 ZINC001206826893 1049808440 /nfs/dbraw/zinc/80/84/40/1049808440.db2.gz DYHGKLIULDRGLR-UHFFFAOYSA-N 0 3 300.402 4.116 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H]4CCCC[C@H]4C3)no2)cc1 ZINC001206836498 1049810178 /nfs/dbraw/zinc/81/01/78/1049810178.db2.gz ORSWEVFKLUQGRS-ZBFHGGJFSA-N 0 3 314.404 4.493 20 0 DIADHN Cc1ncsc1CN1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001206988743 1049816276 /nfs/dbraw/zinc/81/62/76/1049816276.db2.gz GZLWLFRVQCTCQN-SFHVURJKSA-N 0 3 316.445 4.071 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)cc1)Cc1ccc[nH]c1=O ZINC001207005522 1049819153 /nfs/dbraw/zinc/81/91/53/1049819153.db2.gz MJDQVKGINYBUCG-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(OCC2CCCC2)CC1 ZINC000490426936 1049828722 /nfs/dbraw/zinc/82/87/22/1049828722.db2.gz BJHABCSDVMXEHU-UHFFFAOYSA-N 0 3 305.437 4.305 20 0 DIADHN C[C@@H]1C[C@H](CN(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC000490403057 1049829712 /nfs/dbraw/zinc/82/97/12/1049829712.db2.gz OJICBTSPHPGITI-VXGBXAGGSA-N 0 3 302.245 4.240 20 0 DIADHN C[C@H]1C[C@@H](CN(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC000490403056 1049830383 /nfs/dbraw/zinc/83/03/83/1049830383.db2.gz OJICBTSPHPGITI-RYUDHWBXSA-N 0 3 302.245 4.240 20 0 DIADHN O=c1cc(CN2CC[C@H](c3cccc(Cl)c3Cl)C2)cc[nH]1 ZINC001207123856 1049841786 /nfs/dbraw/zinc/84/17/86/1049841786.db2.gz BAXWINSKEVZEPG-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN Cc1noc(C)c1CN(Cc1ccccc1)C1CCSCC1 ZINC001207139064 1049842515 /nfs/dbraw/zinc/84/25/15/1049842515.db2.gz IJPSZPMQEAKYIA-UHFFFAOYSA-N 0 3 316.470 4.189 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc3c(c2)CCCC3)c1 ZINC000490556937 1049842520 /nfs/dbraw/zinc/84/25/20/1049842520.db2.gz OYEJNLCIRXSKAU-OAHLLOKOSA-N 0 3 322.452 4.375 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1cccc(C(F)F)c1 ZINC001207132808 1049844191 /nfs/dbraw/zinc/84/41/91/1049844191.db2.gz JGMOWLBBGLQQRK-UHFFFAOYSA-N 0 3 303.352 4.329 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Br)c3cccnc23)[C@H]1C ZINC000490599997 1049845177 /nfs/dbraw/zinc/84/51/77/1049845177.db2.gz YCPZHIVTZZUXIV-NEPJUHHUSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1ncccc1CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001207154988 1049846196 /nfs/dbraw/zinc/84/61/96/1049846196.db2.gz IKFKQKUAKIOLRQ-GOSISDBHSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1nccc(CN2CCC(CCCc3ccccc3)CC2)n1 ZINC001207163519 1049848790 /nfs/dbraw/zinc/84/87/90/1049848790.db2.gz XRULURYZWKRZRO-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1nc2c(s1)CN(C[C@@H]1C[C@@H]1c1ccc(Cl)cc1)CC2 ZINC001207192142 1049857060 /nfs/dbraw/zinc/85/70/60/1049857060.db2.gz PASXRLXLALWNTR-DZGCQCFKSA-N 0 3 318.873 4.267 20 0 DIADHN COc1ccc(CN2CC([C@H]3CCC[C@H](C)C3)C2)c(C)c1OC ZINC001207234433 1049866141 /nfs/dbraw/zinc/86/61/41/1049866141.db2.gz KUJNTTAQIODEIW-HOCLYGCPSA-N 0 3 317.473 4.270 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(CCOCc2ccccc2)C1 ZINC001207235866 1049867338 /nfs/dbraw/zinc/86/73/38/1049867338.db2.gz QAODTCPGOYKWOQ-QGZVFWFLSA-N 0 3 315.844 4.346 20 0 DIADHN CC1=CC[C@H](CCN2CCc3nc(C4CC4)ncc3C2)C1(C)C ZINC001207277931 1049874508 /nfs/dbraw/zinc/87/45/08/1049874508.db2.gz XKGHMJSTJUJLFS-QGZVFWFLSA-N 0 3 311.473 4.095 20 0 DIADHN CN(Cc1cnn(C)c1)CC(C)(C)Sc1ccc(Cl)cc1 ZINC001207293962 1049875831 /nfs/dbraw/zinc/87/58/31/1049875831.db2.gz DWDGHLAVXRYONZ-UHFFFAOYSA-N 0 3 323.893 4.076 20 0 DIADHN Cc1ccc(CCN2CCN([C@@H](C)c3ccccc3)CC2)cc1C ZINC001207325716 1049880350 /nfs/dbraw/zinc/88/03/50/1049880350.db2.gz HPGFERDELKKLGM-FQEVSTJZSA-N 0 3 322.496 4.225 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H]3C3CCC3)co2)cc1F ZINC000491073660 1049882187 /nfs/dbraw/zinc/88/21/87/1049882187.db2.gz FMJKMOBZVVURIO-QGZVFWFLSA-N 0 3 318.367 4.384 20 0 DIADHN CC[C@@](C)(O)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000491056020 1049883178 /nfs/dbraw/zinc/88/31/78/1049883178.db2.gz AZTSPWMHUZODLA-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN COc1c(O)cccc1CN1CCC(c2ccccc2C)CC1 ZINC000491069512 1049883487 /nfs/dbraw/zinc/88/34/87/1049883487.db2.gz XTXYNCCQVZKYQE-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN CN(Cc1cncs1)C[C@@H]1CC=C(CCCC(C)(C)O)CC1 ZINC001207411709 1049888750 /nfs/dbraw/zinc/88/87/50/1049888750.db2.gz JKVLUEORXAVBTM-MRXNPFEDSA-N 0 3 322.518 4.243 20 0 DIADHN O=C(CN1CC[C@H](C2CC2)C1)Nc1ccccc1-c1ccccc1 ZINC000491162365 1049888872 /nfs/dbraw/zinc/88/88/72/1049888872.db2.gz NNVGRSPBGKLEDQ-SFHVURJKSA-N 0 3 320.436 4.024 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@@H](C2CC2)C1 ZINC000491134118 1049889125 /nfs/dbraw/zinc/88/91/25/1049889125.db2.gz VUEPWDIGDCHTHU-BXUZGUMPSA-N 0 3 317.820 4.183 20 0 DIADHN CC[C@@H]1CCCN1Cc1ccc(Br)c2cccnc12 ZINC000491150125 1049889461 /nfs/dbraw/zinc/88/94/61/1049889461.db2.gz VJPUEGWJATXXQG-CYBMUJFWSA-N 0 3 319.246 4.372 20 0 DIADHN CCOc1cc(C[NH2+]CCC[C@H]2C=CCC2)cc(Cl)c1[O-] ZINC001207415400 1049890435 /nfs/dbraw/zinc/89/04/35/1049890435.db2.gz NENPCKJUZJASNE-ZDUSSCGKSA-N 0 3 309.837 4.280 20 0 DIADHN CCN(CCCOc1cc(C)cc(C)c1)CCC(F)(F)F ZINC000586768342 1049894843 /nfs/dbraw/zinc/89/48/43/1049894843.db2.gz SEYPNNDXMQLATK-UHFFFAOYSA-N 0 3 303.368 4.347 20 0 DIADHN COc1ccccc1CCN1CCC[C@@H]1c1c(F)cccc1F ZINC001207460032 1049896029 /nfs/dbraw/zinc/89/60/29/1049896029.db2.gz FGBZYSCUMMUJEM-QGZVFWFLSA-N 0 3 317.379 4.353 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2CCc3ccc(C)cc32)c1 ZINC000586790874 1049899958 /nfs/dbraw/zinc/89/99/58/1049899958.db2.gz ZONMMNABXNNXHS-LRDDRELGSA-N 0 3 315.438 4.181 20 0 DIADHN Fc1ccccc1CCN(Cc1ccccc1)Cc1cccnc1 ZINC001207502381 1049901195 /nfs/dbraw/zinc/90/11/95/1049901195.db2.gz XXTFRYHAYMSSFM-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC(c3ccn(C)n3)CC2)c(C)c1 ZINC001207518130 1049902184 /nfs/dbraw/zinc/90/21/84/1049902184.db2.gz CYDMOYOVLBTEAT-AATRIKPKSA-N 0 3 323.484 4.238 20 0 DIADHN CC(C)c1ccc(CCN2CCc3ncc(Cl)cc3C2)cc1 ZINC001207522232 1049903255 /nfs/dbraw/zinc/90/32/55/1049903255.db2.gz BUBGSGRMKUXVIP-UHFFFAOYSA-N 0 3 314.860 4.459 20 0 DIADHN Cc1nc2c(s1)CN(CCCc1cccc(Cl)c1)CC2 ZINC001207590722 1049912986 /nfs/dbraw/zinc/91/29/86/1049912986.db2.gz UDDMVRZPGIAHKB-UHFFFAOYSA-N 0 3 306.862 4.096 20 0 DIADHN Clc1ccc(CCCN2CCc3nc(Cl)ccc3C2)cc1 ZINC001207595954 1049914590 /nfs/dbraw/zinc/91/45/90/1049914590.db2.gz MAOOKIZPIQRCTB-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN COc1ccc2oc(CN(Cc3ccccn3)CC(C)C)cc2c1 ZINC001207632177 1049917811 /nfs/dbraw/zinc/91/78/11/1049917811.db2.gz WXIOPPHUEOTLAZ-UHFFFAOYSA-N 0 3 324.424 4.495 20 0 DIADHN C[C@H]1COCCN(Cc2ccc(Oc3cccc(F)c3)cc2)C1 ZINC001207632316 1049918335 /nfs/dbraw/zinc/91/83/35/1049918335.db2.gz YHLYCALPDCQJCZ-OAHLLOKOSA-N 0 3 315.388 4.086 20 0 DIADHN Clc1cnc2c(c1)CN(CCCCc1ccccc1)CC2 ZINC001207615494 1049918409 /nfs/dbraw/zinc/91/84/09/1049918409.db2.gz XWISKQPYVXCABB-UHFFFAOYSA-N 0 3 300.833 4.116 20 0 DIADHN Cc1cc([C@@H]2CCCN2CC[C@@H](C)CCCC(C)(C)O)no1 ZINC001207635710 1049919194 /nfs/dbraw/zinc/91/91/94/1049919194.db2.gz LIMDUPABESSPHL-YOEHRIQHSA-N 0 3 308.466 4.087 20 0 DIADHN Cc1cc(F)c(CN[C@H](C)CCc2ccc(O)cc2)c(F)c1 ZINC001207654926 1049922498 /nfs/dbraw/zinc/92/24/98/1049922498.db2.gz NKOJEIWJAIAJTK-CYBMUJFWSA-N 0 3 305.368 4.090 20 0 DIADHN Cc1cccc(OCCNCc2cc(Cl)ccc2Cl)c1 ZINC000087759167 1049923668 /nfs/dbraw/zinc/92/36/68/1049923668.db2.gz IGVKUSVNKJUMKW-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN CC(C)c1cc(Cl)ccc1NC(=O)NC[C@@H]1CCCCN1C ZINC001207748546 1049933819 /nfs/dbraw/zinc/93/38/19/1049933819.db2.gz UQVZNCQRSRBQEV-AWEZNQCLSA-N 0 3 323.868 4.069 20 0 DIADHN C[C@@H](NCc1noc2c1CCCC2)[C@H](C)c1ccc(Cl)cc1 ZINC000571568752 1049939452 /nfs/dbraw/zinc/93/94/52/1049939452.db2.gz RCNSHUAMAREXDU-QWHCGFSZSA-N 0 3 318.848 4.489 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC[C@@H](C2CCCC2)C1 ZINC001207805559 1049939675 /nfs/dbraw/zinc/93/96/75/1049939675.db2.gz GLNAFEMLRFMGGE-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1ccc2cc(CN3CCO[C@@H](C(C)(C)C)CC3)[nH]c2c1 ZINC001207835763 1049944278 /nfs/dbraw/zinc/94/42/78/1049944278.db2.gz DXAQNNRIMLJYGI-GOSISDBHSA-N 0 3 300.446 4.113 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CCc3ccncc3C2)c1 ZINC001207845985 1049945485 /nfs/dbraw/zinc/94/54/85/1049945485.db2.gz RYYNSRGOPDPJIB-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1nc2ccccc2n1C(F)F ZINC001207872577 1049949109 /nfs/dbraw/zinc/94/91/09/1049949109.db2.gz FPEBMADGMSCXLP-GUBZILKMSA-N 0 3 315.314 4.126 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CCc3ncncc3C2)CC1 ZINC001207936910 1049959133 /nfs/dbraw/zinc/95/91/33/1049959133.db2.gz CYGOPYOAXWKKKS-SFHVURJKSA-N 0 3 311.473 4.308 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CC[C@@](C)(O)[C@@H](F)C2)CC1 ZINC001207940611 1049960753 /nfs/dbraw/zinc/96/07/53/1049960753.db2.gz MJVUTAYEJOIHLL-CEXWTWQISA-N 0 3 309.469 4.254 20 0 DIADHN C[C@@]12c3ccccc3[C@@H](Cc3ccccc31)N2C[C@H]1CCCCO1 ZINC001207958814 1049964590 /nfs/dbraw/zinc/96/45/90/1049964590.db2.gz GYRXGWOQJAYWIN-YHYVQYDKSA-N 0 3 319.448 4.432 20 0 DIADHN Fc1cc(Br)cc(CNC/C=C/c2ccccc2)c1 ZINC000588178050 1049972961 /nfs/dbraw/zinc/97/29/61/1049972961.db2.gz GRLGERBVEBUYFX-QPJJXVBHSA-N 0 3 320.205 4.391 20 0 DIADHN CC/C=C\CCCN1CCC(n2c3ccccc3[nH]c2=O)CC1 ZINC001208134935 1049976295 /nfs/dbraw/zinc/97/62/95/1049976295.db2.gz SPLSFPMWJNULSX-ARJAWSKDSA-N 0 3 313.445 4.125 20 0 DIADHN CS[C@H](C)CCN(Cc1ccccc1)Cc1ccncc1 ZINC001208137851 1049976512 /nfs/dbraw/zinc/97/65/12/1049976512.db2.gz HRUIKVXTEHHXSC-MRXNPFEDSA-N 0 3 300.471 4.225 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCN(C)C[C@H]2c2ccccc2)o1 ZINC001208153127 1049977995 /nfs/dbraw/zinc/97/79/95/1049977995.db2.gz XSEXZUVCBWSZBN-APWZRJJASA-N 0 3 312.457 4.070 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCC(=O)[C@H](C)C23CCCC3)o1 ZINC001208159560 1049978883 /nfs/dbraw/zinc/97/88/83/1049978883.db2.gz BTFPYCPTJHPKJD-ZBFHGGJFSA-N 0 3 303.446 4.305 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000571989760 1049979559 /nfs/dbraw/zinc/97/95/59/1049979559.db2.gz BCSQHBVBZXSGRW-KRWDZBQOSA-N 0 3 319.445 4.189 20 0 DIADHN Cc1cccc(OC2CCN(CCc3cccc(F)c3)CC2)c1 ZINC001208198516 1049984890 /nfs/dbraw/zinc/98/48/90/1049984890.db2.gz IXANXTIMAPJMFQ-UHFFFAOYSA-N 0 3 313.416 4.220 20 0 DIADHN CCC(C)(C)C(=O)NC[C@H](c1ccccc1)N1CCC(C)CC1 ZINC000588642355 1049989714 /nfs/dbraw/zinc/98/97/14/1049989714.db2.gz IAPKARJZGMNWSJ-GOSISDBHSA-N 0 3 316.489 4.012 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccc(OCC#N)c2)cc1 ZINC000089630329 1049995144 /nfs/dbraw/zinc/99/51/44/1049995144.db2.gz SPVRLDBJQSNXBN-MRXNPFEDSA-N 0 3 308.425 4.392 20 0 DIADHN FC(F)(F)Oc1ccc(C2(NCc3ccccc3)CC2)cc1 ZINC000572135914 1049995208 /nfs/dbraw/zinc/99/52/08/1049995208.db2.gz PZJSEBFLHOWOMZ-UHFFFAOYSA-N 0 3 307.315 4.364 20 0 DIADHN Fc1ccc([C@@H]2CCCN2C[C@H]2COC3(CCCCC3)O2)cc1 ZINC001208635824 1049998368 /nfs/dbraw/zinc/99/83/68/1049998368.db2.gz GBYSHXDJYVCKGA-ROUUACIJSA-N 0 3 319.420 4.038 20 0 DIADHN OC[C@H](NCc1nc(C2CCCCC2)cs1)C1CCCCC1 ZINC000572326656 1049999428 /nfs/dbraw/zinc/99/94/28/1049999428.db2.gz OHIYXIQUBSAOQR-INIZCTEOSA-N 0 3 322.518 4.222 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1cc(Cl)ccc1F ZINC000572324260 1049999552 /nfs/dbraw/zinc/99/95/52/1049999552.db2.gz PPCOWFYZWNTXEW-UHFFFAOYSA-N 0 3 323.843 4.150 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCc3ccncc3C2)cc1 ZINC001208689866 1050002166 /nfs/dbraw/zinc/00/21/66/1050002166.db2.gz AQJURNZAJHUKFW-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCc3cccnc3C2)cc1 ZINC001208692509 1050002359 /nfs/dbraw/zinc/00/23/59/1050002359.db2.gz WQPIOVXEAVEABU-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN Cc1cc(CN(C)C[C@H](C)c2cccc3ccccc32)n(C)n1 ZINC001208720564 1050005826 /nfs/dbraw/zinc/00/58/26/1050005826.db2.gz YTSYOLTXPJSINK-HNNXBMFYSA-N 0 3 307.441 4.117 20 0 DIADHN CN(CCC(=O)c1ccccc1)CCc1ccc(Cl)cc1 ZINC001208741144 1050008011 /nfs/dbraw/zinc/00/80/11/1050008011.db2.gz RFMSLIJNPKYMJP-UHFFFAOYSA-N 0 3 301.817 4.087 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CCc2cccnc2C1 ZINC001208829445 1050013601 /nfs/dbraw/zinc/01/36/01/1050013601.db2.gz CEHKLARQPOFLSP-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN CC(C)c1ccc(NC2=CCN(C)CC2)c(Br)c1 ZINC001208837069 1050013872 /nfs/dbraw/zinc/01/38/72/1050013872.db2.gz FIKCUJGXTNVHCU-UHFFFAOYSA-N 0 3 309.251 4.204 20 0 DIADHN FC[C@@H]1CCCN(CCCc2ccccc2Br)C1 ZINC001208844801 1050016721 /nfs/dbraw/zinc/01/67/21/1050016721.db2.gz CKDBHTFNRNNIHN-ZDUSSCGKSA-N 0 3 314.242 4.063 20 0 DIADHN CN1CC=C(Nc2cc(F)cc(OCc3ccccc3)c2)CC1 ZINC001208909878 1050021785 /nfs/dbraw/zinc/02/17/85/1050021785.db2.gz ILRYLDXAQSKKBP-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN Cc1cccc(N)c1-c1c(C)cccc1NC1=CCN(C)CC1 ZINC001208910633 1050022562 /nfs/dbraw/zinc/02/25/62/1050022562.db2.gz RLOQGMNNIOLGPW-UHFFFAOYSA-N 0 3 307.441 4.184 20 0 DIADHN CCC(=O)C(C)(C)CN(Cc1ccccc1)Cc1ccncc1 ZINC001208916770 1050023484 /nfs/dbraw/zinc/02/34/84/1050023484.db2.gz XBOXKOJJZKALFY-UHFFFAOYSA-N 0 3 310.441 4.089 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1=CCC(C)(C)CC1 ZINC000455931158 1050028072 /nfs/dbraw/zinc/02/80/72/1050028072.db2.gz SNSLJJLTWDIQBT-UHFFFAOYSA-N 0 3 300.446 4.132 20 0 DIADHN COCCCN(Cc1ccc(F)cc1)Cc1ccc(F)cc1 ZINC001209053240 1050032393 /nfs/dbraw/zinc/03/23/93/1050032393.db2.gz HCWUGUJCXKIITM-UHFFFAOYSA-N 0 3 305.368 4.004 20 0 DIADHN COC(=O)CCCCCCCCCN1CC[C@](C)(F)[C@H](F)C1 ZINC001209093049 1050034281 /nfs/dbraw/zinc/03/42/81/1050034281.db2.gz CNHOQIZVQMEFAG-WBVHZDCISA-N 0 3 319.436 4.052 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@@H]2OCCC[C@H]2C1 ZINC001209104845 1050034676 /nfs/dbraw/zinc/03/46/76/1050034676.db2.gz IWXVSXKPKSKBBI-LRDDRELGSA-N 0 3 314.256 4.037 20 0 DIADHN C[C@@H]1CCN(CCc2c(Cl)cccc2Cl)CC[C@@]1(C)O ZINC001209105162 1050035029 /nfs/dbraw/zinc/03/50/29/1050035029.db2.gz KMTOQIKEHCIVGK-MLGOLLRUSA-N 0 3 316.272 4.019 20 0 DIADHN CCCN(Cc1nnc(-c2cc(C)c(CC)s2)o1)C(C)C ZINC000572540323 1050035079 /nfs/dbraw/zinc/03/50/79/1050035079.db2.gz XUCIQSZYSISEJY-UHFFFAOYSA-N 0 3 307.463 4.289 20 0 DIADHN Cc1cnc2c(c1)CN(CCc1ccc(C(F)(F)F)cc1)CC2 ZINC001209127448 1050038232 /nfs/dbraw/zinc/03/82/32/1050038232.db2.gz VGKJAZVRDORJMU-UHFFFAOYSA-N 0 3 320.358 4.010 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCc1cc(F)cc(F)c1 ZINC001209133290 1050038446 /nfs/dbraw/zinc/03/84/46/1050038446.db2.gz AYLPDLWRVYGRCV-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN c1ccc(CN(CCCc2ccncc2)Cc2cccnc2)cc1 ZINC001209188799 1050043221 /nfs/dbraw/zinc/04/32/21/1050043221.db2.gz YXKWKAQHHNDSSI-UHFFFAOYSA-N 0 3 317.436 4.112 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(CCc2cc(F)c(F)cc2F)C1 ZINC001209251738 1050046386 /nfs/dbraw/zinc/04/63/86/1050046386.db2.gz CDSFAIYVZMEJDB-CYBMUJFWSA-N 0 3 323.333 4.275 20 0 DIADHN C[C@@H](OCCCN[C@@H](C)c1cn2ccccc2n1)c1ccccc1 ZINC000572855675 1050046817 /nfs/dbraw/zinc/04/68/17/1050046817.db2.gz IJXOMSLVJVASLZ-DLBZAZTESA-N 0 3 323.440 4.153 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CCC[C@H](c2ccccc2)CC1 ZINC000589610897 1050049235 /nfs/dbraw/zinc/04/92/35/1050049235.db2.gz MUBRMCYKHJMSNX-MJGOQNOKSA-N 0 3 322.452 4.283 20 0 DIADHN CC/C=C\CCCCCCN1CCC(O)(C(F)(F)F)CC1 ZINC001209285205 1050050293 /nfs/dbraw/zinc/05/02/93/1050050293.db2.gz CGXRSUHXNFMZFK-ARJAWSKDSA-N 0 3 307.400 4.292 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1cccc(C(F)(F)F)c1 ZINC000589633823 1050051618 /nfs/dbraw/zinc/05/16/18/1050051618.db2.gz SFLSAJKLLZUDSI-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc12)c1cccc(C(F)(F)F)c1 ZINC000589633823 1050051614 /nfs/dbraw/zinc/05/16/14/1050051614.db2.gz SFLSAJKLLZUDSI-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN CCOC(=O)CCCCCCN1CCc2cc(Cl)ccc2C1 ZINC001209351185 1050052661 /nfs/dbraw/zinc/05/26/61/1050052661.db2.gz UCRWJUALJGCELH-UHFFFAOYSA-N 0 3 323.864 4.212 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2n[nH]c3ccccc23)c1 ZINC000589650011 1050054548 /nfs/dbraw/zinc/05/45/48/1050054548.db2.gz QAQICKCXNNFTHI-UHFFFAOYSA-N 0 3 323.440 4.168 20 0 DIADHN CC(C)(C)OCc1cccc(CNCc2[nH]nc3ccccc32)c1 ZINC000589650011 1050054554 /nfs/dbraw/zinc/05/45/54/1050054554.db2.gz QAQICKCXNNFTHI-UHFFFAOYSA-N 0 3 323.440 4.168 20 0 DIADHN CCCc1ccc(CNCc2ccc3c(c2)OCCCO3)cc1 ZINC000589651432 1050054729 /nfs/dbraw/zinc/05/47/29/1050054729.db2.gz XUMKRHYWEFISLS-UHFFFAOYSA-N 0 3 311.425 4.090 20 0 DIADHN C[C@@H]1C[C@@H](CN2CCn3cccc3[C@@H]2c2ccccc2)C[C@H](C)O1 ZINC001209380993 1050054763 /nfs/dbraw/zinc/05/47/63/1050054763.db2.gz ZBWVIIYKDKSQIC-NYUBLWNDSA-N 0 3 324.468 4.097 20 0 DIADHN COC1CCC(CN2CCn3cccc3[C@@H]2c2ccccc2)CC1 ZINC001209392486 1050055719 /nfs/dbraw/zinc/05/57/19/1050055719.db2.gz FJMBEPJMDHVHHH-XTXLOEGASA-N 0 3 324.468 4.098 20 0 DIADHN c1c(CN2CCC[C@H](c3ccccc3)CC2)nc2ccccn12 ZINC000589705638 1050055878 /nfs/dbraw/zinc/05/58/78/1050055878.db2.gz OTAYCOBXETVNGF-SFHVURJKSA-N 0 3 305.425 4.104 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@@H](c4ccccc4)CC3)cn2c1 ZINC000589705166 1050056024 /nfs/dbraw/zinc/05/60/24/1050056024.db2.gz DILSPTDOKLEIKI-LJQANCHMSA-N 0 3 319.452 4.412 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)CC1C[C@H](C)O[C@@H](C)C1 ZINC001209400815 1050056378 /nfs/dbraw/zinc/05/63/78/1050056378.db2.gz CHHLCUXLRMCITE-TXPKVOOTSA-N 0 3 317.473 4.173 20 0 DIADHN Cc1cccc2c(CN3CCC(=O)[C@@H](C)C34CCCC4)c[nH]c21 ZINC001209490151 1050062895 /nfs/dbraw/zinc/06/28/95/1050062895.db2.gz QOJFNXZCVPQGJX-OAHLLOKOSA-N 0 3 310.441 4.200 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCN(c4ccccc4C)CC3)c2c1 ZINC001209491757 1050062998 /nfs/dbraw/zinc/06/29/98/1050062998.db2.gz WTOBDVSBTFJTQA-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1cc2c(cn1)OCCC2 ZINC001209500759 1050063895 /nfs/dbraw/zinc/06/38/95/1050063895.db2.gz VINVEDNTRZDILJ-UHFFFAOYSA-N 0 3 310.441 4.207 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2ccc3cc[nH]c3c2)CC1 ZINC001209514238 1050064368 /nfs/dbraw/zinc/06/43/68/1050064368.db2.gz HDVXCBIWCVIXJS-QGZVFWFLSA-N 0 3 319.452 4.047 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2cc3c(cn2)OCCC3)c1 ZINC001209506803 1050064941 /nfs/dbraw/zinc/06/49/41/1050064941.db2.gz YDFYVDRYPUBIKD-FQEVSTJZSA-N 0 3 322.452 4.361 20 0 DIADHN COc1cc(CN2CCC3(CCCCC3)CC2)cc(F)c1O ZINC001209524183 1050065862 /nfs/dbraw/zinc/06/58/62/1050065862.db2.gz QCNQBBPLKLOYBN-UHFFFAOYSA-N 0 3 307.409 4.086 20 0 DIADHN CC(C)(C)Oc1ccc([C@@H]2CCN(Cc3cncs3)C2)cc1 ZINC001209552312 1050068241 /nfs/dbraw/zinc/06/82/41/1050068241.db2.gz HZPZIWNWFNIYQD-OAHLLOKOSA-N 0 3 316.470 4.310 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]c3ncc(Cl)cc23)CCC1(F)F ZINC001209593008 1050070319 /nfs/dbraw/zinc/07/03/19/1050070319.db2.gz UGDRQYQIFRMWRA-JTQLQIEISA-N 0 3 313.779 4.084 20 0 DIADHN Cc1[nH]c2ncccc2c1CN1CCSC[C@H]1c1ccccc1 ZINC001209586743 1050070389 /nfs/dbraw/zinc/07/03/89/1050070389.db2.gz CBCPAKGHLNWCNV-SFHVURJKSA-N 0 3 323.465 4.161 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@@H+]1CCC[C@@H]1c1ccccn1 ZINC000057103332 1050070930 /nfs/dbraw/zinc/07/09/30/1050070930.db2.gz LPKCKECDQWSMNI-LJQANCHMSA-N 0 3 304.393 4.278 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@H+]1CCC[C@@H]1c1ccccn1 ZINC000057103332 1050070934 /nfs/dbraw/zinc/07/09/34/1050070934.db2.gz LPKCKECDQWSMNI-LJQANCHMSA-N 0 3 304.393 4.278 20 0 DIADHN Clc1cnc2[nH]cc(CN3CCC[C@@H]4CCCC[C@@H]43)c2c1 ZINC001209597636 1050071947 /nfs/dbraw/zinc/07/19/47/1050071947.db2.gz WFXNEMYVHIPYQG-LRDDRELGSA-N 0 3 303.837 4.371 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC[C@H]1Cc1ccc(F)cc1 ZINC001209637899 1050072368 /nfs/dbraw/zinc/07/23/68/1050072368.db2.gz OCYVTBRNZUQFDU-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1c(C)nc2ccccn21 ZINC001209649542 1050074280 /nfs/dbraw/zinc/07/42/80/1050074280.db2.gz KMQCCHXNELRLQW-GOSISDBHSA-N 0 3 305.425 4.152 20 0 DIADHN Clc1ccc(CN[C@H](CN2CCCCC2)c2ccccc2)o1 ZINC000590075072 1050074472 /nfs/dbraw/zinc/07/44/72/1050074472.db2.gz OENVVPITRDNHPT-QGZVFWFLSA-N 0 3 318.848 4.250 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2c[nH]c3cc(F)ccc23)cn1 ZINC001209693928 1050076380 /nfs/dbraw/zinc/07/63/80/1050076380.db2.gz DZZZLCWVBQKOCL-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c[nH]c2c1 ZINC001209693705 1050077169 /nfs/dbraw/zinc/07/71/69/1050077169.db2.gz ARVGCEQAKFGUDW-OKILXGFUSA-N 0 3 306.384 4.394 20 0 DIADHN COCCC1CCN(Cc2cc3cc(Cl)ccc3[nH]2)CC1 ZINC001209723978 1050078574 /nfs/dbraw/zinc/07/85/74/1050078574.db2.gz UNZRLNBRVAVKKV-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN Clc1ccc2[nH]nc(CN3CC[C@@H](c4ccccc4)C3)c2c1 ZINC001209751514 1050079831 /nfs/dbraw/zinc/07/98/31/1050079831.db2.gz QZACLPPIGOPECQ-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN Clc1ccc2n[nH]c(CN3CC[C@@H](c4ccccc4)C3)c2c1 ZINC001209751514 1050079837 /nfs/dbraw/zinc/07/98/37/1050079837.db2.gz QZACLPPIGOPECQ-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1sccc1CNCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000590186907 1050081395 /nfs/dbraw/zinc/08/13/95/1050081395.db2.gz VFYPWDOSQMWNRK-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](Oc4ccccc4)C3)cc2c1 ZINC001209771286 1050083202 /nfs/dbraw/zinc/08/32/02/1050083202.db2.gz LWPHXBHLGMZPKV-GOSISDBHSA-N 0 3 323.392 4.095 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](OCCCCc2ccccc2)C1 ZINC001209833689 1050091518 /nfs/dbraw/zinc/09/15/18/1050091518.db2.gz UAWWXIHHFBOFIB-AZUAARDMSA-N 0 3 324.468 4.256 20 0 DIADHN CCN(C(=O)CN[C@H](C)c1cc(C)ccc1C)c1ccccc1 ZINC000057568985 1050097995 /nfs/dbraw/zinc/09/79/95/1050097995.db2.gz PQLVSXZLIZKMIB-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2C[C@H]3CC[C@@H]2O3)c2cccc(F)c2)c1 ZINC000510756869 1050107368 /nfs/dbraw/zinc/10/73/68/1050107368.db2.gz XKBGBOCNZXUVOK-YSTOQKLRSA-N 0 3 311.400 4.133 20 0 DIADHN C=Cc1ccccc1Nc1ccc(N2CCN(C)CC2)cc1C ZINC001209944877 1050109556 /nfs/dbraw/zinc/10/95/56/1050109556.db2.gz JOLQVZGNXFCNJI-UHFFFAOYSA-N 0 3 307.441 4.133 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H]3C3CC3)cs2)cc1 ZINC000590820373 1050109670 /nfs/dbraw/zinc/10/96/70/1050109670.db2.gz XGJKBFIBAIGEFA-MRXNPFEDSA-N 0 3 302.418 4.324 20 0 DIADHN CC[C@H](NCc1cccnc1C)c1ccc(Br)cc1 ZINC000590880696 1050112837 /nfs/dbraw/zinc/11/28/37/1050112837.db2.gz STXLWQWMPOKBDA-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C)CCOCc2ccccc2)s1 ZINC000573039920 1050117222 /nfs/dbraw/zinc/11/72/22/1050117222.db2.gz HWWQBRMAVWPPSU-HNNXBMFYSA-N 0 3 318.486 4.352 20 0 DIADHN Fc1cccc(CN2CCC(c3ccc(F)c(F)c3)CC2)c1 ZINC000591378739 1050144246 /nfs/dbraw/zinc/14/42/46/1050144246.db2.gz GGNDBOYSPFRHSR-UHFFFAOYSA-N 0 3 305.343 4.484 20 0 DIADHN C[C@@H](NCc1cccc(OCC#N)c1)c1ccc(F)c(Cl)c1 ZINC000113308752 1050146654 /nfs/dbraw/zinc/14/66/54/1050146654.db2.gz ROQGVMVAYFNJGC-GFCCVEGCSA-N 0 3 318.779 4.232 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@H]2C[C@@H](O)c2cccs2)c1 ZINC000770290718 1050146753 /nfs/dbraw/zinc/14/67/53/1050146753.db2.gz JJGDHCOJJMYEMD-DOTOQJQBSA-N 0 3 319.445 4.284 20 0 DIADHN CCn1nnc(C)c1CN[C@H](c1ccccc1)C1CCCCC1 ZINC000823653730 1050146829 /nfs/dbraw/zinc/14/68/29/1050146829.db2.gz CXEPNVSDSWOHCA-LJQANCHMSA-N 0 3 312.461 4.018 20 0 DIADHN CCOC[C@@H]1CCCCN1Cc1ccc(Cl)c2cccnc12 ZINC001171026063 1050150176 /nfs/dbraw/zinc/15/01/76/1050150176.db2.gz OKQDXEQJZAFBTJ-HNNXBMFYSA-N 0 3 318.848 4.279 20 0 DIADHN CC(C)[C@H]1CCN(Cc2cc3cnn(C(C)C)c3nc2Cl)C1 ZINC000770307301 1050151433 /nfs/dbraw/zinc/15/14/33/1050151433.db2.gz QYLDCQDSEJKIPB-ZDUSSCGKSA-N 0 3 320.868 4.144 20 0 DIADHN CCCCC[C@H](NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)C(C)(C)C ZINC001136774311 1050152858 /nfs/dbraw/zinc/15/28/58/1050152858.db2.gz WYMWBXNXNCMCJO-YVSFHVDLSA-N 0 3 310.526 4.359 20 0 DIADHN Fc1c(CNCC2(Sc3ccccc3)CC2)ccnc1Cl ZINC000823782571 1050155127 /nfs/dbraw/zinc/15/51/27/1050155127.db2.gz RIZJWERYAYYHGJ-UHFFFAOYSA-N 0 3 322.836 4.289 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)cc1C)C1CC1 ZINC000591475270 1050157922 /nfs/dbraw/zinc/15/79/22/1050157922.db2.gz MYLYXEDIGOJDEY-GDBMZVCRSA-N 0 3 302.462 4.141 20 0 DIADHN Cc1cnc([C@H](NCCc2cccc(F)c2F)C2CC2)s1 ZINC000347972569 1050162594 /nfs/dbraw/zinc/16/25/94/1050162594.db2.gz YHSIAYGVGYRASG-OAHLLOKOSA-N 0 3 308.397 4.013 20 0 DIADHN CC(C)CC[C@@H](NC(=O)[C@H](C)N1CCCCCC1)C(C)(C)C ZINC001136794788 1050163209 /nfs/dbraw/zinc/16/32/09/1050163209.db2.gz UXLYJBWSXASQFO-DLBZAZTESA-N 0 3 310.526 4.218 20 0 DIADHN C[NH+](C)[C@@H]1CCOc2c(Nc3cccc(Cl)c3[O-])cccc21 ZINC001210222757 1050165528 /nfs/dbraw/zinc/16/55/28/1050165528.db2.gz CWQIMPWLQYTQDN-OAHLLOKOSA-N 0 3 318.804 4.174 20 0 DIADHN Cc1cc(CN[C@H](C)[C@H](O)c2c(F)cccc2Cl)cs1 ZINC000823949955 1050166558 /nfs/dbraw/zinc/16/65/58/1050166558.db2.gz ZVELDMISRPLCND-BMIGLBTASA-N 0 3 313.825 4.061 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@@H](C)c1c(F)cccc1F ZINC000378867330 1050189352 /nfs/dbraw/zinc/18/93/52/1050189352.db2.gz DYSXQWRXHANXBY-OLZOCXBDSA-N 0 3 305.368 4.342 20 0 DIADHN FC(F)(F)[C@H]1CCN([C@@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171050017 1050191493 /nfs/dbraw/zinc/19/14/93/1050191493.db2.gz PGZJXUQYSNWMNO-NWDGAFQWSA-N 0 3 303.755 4.082 20 0 DIADHN Cc1cc(CN2CCC([C@@H](O)c3ccccc3C)CC2)cs1 ZINC000824370662 1050205564 /nfs/dbraw/zinc/20/55/64/1050205564.db2.gz NKCVYQBEWFARPD-LJQANCHMSA-N 0 3 315.482 4.311 20 0 DIADHN c1cc(-c2ccn(CN3CCC[C@@]4(CC=CCC4)C3)n2)cs1 ZINC000733992860 1050211154 /nfs/dbraw/zinc/21/11/54/1050211154.db2.gz WYFDHNCQAVPVLY-SFHVURJKSA-N 0 3 313.470 4.391 20 0 DIADHN Brc1ccoc1CNC[C@H]1CSc2ccccc21 ZINC000824482761 1050212796 /nfs/dbraw/zinc/21/27/96/1050212796.db2.gz DKVOHDFKWJKZAQ-JTQLQIEISA-N 0 3 324.243 4.021 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CC[C@@H](C)C(F)(F)CC1 ZINC001141423777 1050213419 /nfs/dbraw/zinc/21/34/19/1050213419.db2.gz JXWZLPNTXMUBEV-SNVBAGLBSA-N 0 3 322.321 4.276 20 0 DIADHN c1c(CN2CCC[C@@H](CCc3ccccc3)C2)nc2ccccn12 ZINC001136883898 1050214050 /nfs/dbraw/zinc/21/40/50/1050214050.db2.gz JKBARHKGXGNMLA-IBGZPJMESA-N 0 3 319.452 4.179 20 0 DIADHN Clc1ccc(CCCCN[C@H]2CCn3ccnc32)cc1Cl ZINC000824527210 1050217758 /nfs/dbraw/zinc/21/77/58/1050217758.db2.gz TUYOSRAKAOISRI-HNNXBMFYSA-N 0 3 324.255 4.247 20 0 DIADHN Clc1ccc(C2=CC[C@@H](N[C@@H]3CCn4ccnc43)CC2)cc1 ZINC000824533329 1050220308 /nfs/dbraw/zinc/22/03/08/1050220308.db2.gz DRPOYKCZRBDLSM-IAGOWNOFSA-N 0 3 313.832 4.207 20 0 DIADHN C[C@@H](CCc1ccccc1F)NCc1cc(F)cnc1Cl ZINC000824543424 1050225448 /nfs/dbraw/zinc/22/54/48/1050225448.db2.gz KAGWDTIHDYQFDY-NSHDSACASA-N 0 3 310.775 4.124 20 0 DIADHN COc1ccc([C@@H](NCc2ccc3c(n2)CCC3)C(C)C)cc1 ZINC000573661375 1050233774 /nfs/dbraw/zinc/23/37/74/1050233774.db2.gz WVVGIGWTOFEEHP-FQEVSTJZSA-N 0 3 310.441 4.066 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1ccc(Cl)nc1 ZINC000734208829 1050238155 /nfs/dbraw/zinc/23/81/55/1050238155.db2.gz BOPWWGUJKUSACX-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN CC(C)Cc1ncc(CNC[C@@]2(C)CC2(Cl)Cl)s1 ZINC000824764463 1050239969 /nfs/dbraw/zinc/23/99/69/1050239969.db2.gz BAOVNINLFSXYCQ-GFCCVEGCSA-N 0 3 307.290 4.015 20 0 DIADHN C[C@]1(CNCc2cnc(C3CCC3)s2)CC1(Cl)Cl ZINC000824765252 1050240580 /nfs/dbraw/zinc/24/05/80/1050240580.db2.gz IULAOPFLDDLIOS-GFCCVEGCSA-N 0 3 305.274 4.084 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(OCC(F)F)cc1 ZINC001136940878 1050247955 /nfs/dbraw/zinc/24/79/55/1050247955.db2.gz VHPWOCIFIYIABV-VMPITWQZSA-N 0 3 317.379 4.476 20 0 DIADHN c1ccc(-c2ccc3ccc(CN4CCCOCC4)cc3n2)cc1 ZINC001136943355 1050250458 /nfs/dbraw/zinc/25/04/58/1050250458.db2.gz CRMVQLOAASKYJI-UHFFFAOYSA-N 0 3 318.420 4.124 20 0 DIADHN CCO[C@@H](CCN[C@H](C)c1cccnc1Cl)C1CCCC1 ZINC000157609549 1050253610 /nfs/dbraw/zinc/25/36/10/1050253610.db2.gz SNNGSWVBGHXROR-CJNGLKHVSA-N 0 3 310.869 4.371 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3c(C)cccn23)cc1 ZINC001136964329 1050257678 /nfs/dbraw/zinc/25/76/78/1050257678.db2.gz JLDKCEBOCUHETL-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN Cc1cc(C)c(CNCc2cc(C)c(OC(F)F)c(C)c2)cn1 ZINC000825221453 1050285645 /nfs/dbraw/zinc/28/56/45/1050285645.db2.gz NMHGCZNDTNKAEY-UHFFFAOYSA-N 0 3 320.383 4.206 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@@H](Cc4ccccc4)C3)cn2c1 ZINC000592324514 1050287064 /nfs/dbraw/zinc/28/70/64/1050287064.db2.gz XHEXKHUNAPZWFD-IBGZPJMESA-N 0 3 319.452 4.097 20 0 DIADHN Cn1c(Cl)cnc1CN[C@@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000825327112 1050292761 /nfs/dbraw/zinc/29/27/61/1050292761.db2.gz RDVXYWZHRKUMRI-GXTWGEPZSA-N 0 3 324.255 4.153 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc3c(c2)COC3)c1 ZINC001137027263 1050299323 /nfs/dbraw/zinc/29/93/23/1050299323.db2.gz PHWWMABBTZTKKD-OAQYLSRUSA-N 0 3 323.436 4.453 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc3c(c2)COC3)cc1 ZINC000771934032 1050300070 /nfs/dbraw/zinc/30/00/70/1050300070.db2.gz SJWNCUQVXAZWII-HXUWFJFHSA-N 0 3 309.409 4.063 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1ccc(Oc2ccccc2)cc1)N(C)C ZINC000592428929 1050303428 /nfs/dbraw/zinc/30/34/28/1050303428.db2.gz MVFOLWFTIYZXJK-SFHVURJKSA-N 0 3 312.413 4.004 20 0 DIADHN Cc1cccc([C@@H](C)N2CC[C@@]3(C2)CC(=O)c2ccccc2O3)c1 ZINC001171086720 1050304939 /nfs/dbraw/zinc/30/49/39/1050304939.db2.gz IIVYICGFKURXHS-IERDGZPVSA-N 0 3 321.420 4.166 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H](NCc1nc3ccccc3o1)C2 ZINC001171090846 1050311222 /nfs/dbraw/zinc/31/12/22/1050311222.db2.gz KYCUOJUVIJGWND-OAHLLOKOSA-N 0 3 312.800 4.128 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCc3cc(Cl)ccc3C2)cs1 ZINC001171093081 1050319902 /nfs/dbraw/zinc/31/99/02/1050319902.db2.gz BSXNOOLTWZZVTJ-ZUZCIYMTSA-N 0 3 306.862 4.313 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(C[C@@H](O)c2cc(F)ccc2F)CC1 ZINC000734836931 1050323920 /nfs/dbraw/zinc/32/39/20/1050323920.db2.gz MAASZDCGSPBPJM-CXAGYDPISA-N 0 3 311.416 4.146 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@@H](c3ccccn3)C2)c1 ZINC001143677302 1050333488 /nfs/dbraw/zinc/33/34/88/1050333488.db2.gz XISGZOVYVXBPQG-CQSZACIVSA-N 0 3 320.358 4.398 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN(CCO)Cc2ccccc2)c1 ZINC001143670269 1050333878 /nfs/dbraw/zinc/33/38/78/1050333878.db2.gz KBGLUDMUCUAPIR-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C)ccc2C(F)(F)F)[C@H](C)C1 ZINC001143683505 1050335510 /nfs/dbraw/zinc/33/55/10/1050335510.db2.gz JWOAGHKRUAYZPQ-TZMCWYRMSA-N 0 3 301.352 4.013 20 0 DIADHN C[C@@]1(O)CCCCN(Cc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001143710053 1050342303 /nfs/dbraw/zinc/34/23/03/1050342303.db2.gz NZFCWJXALMFWJB-CQSZACIVSA-N 0 3 321.770 4.096 20 0 DIADHN CN(Cc1cccc(Cl)c1)C[C@H](O)c1ccccc1Cl ZINC000058575260 1050342966 /nfs/dbraw/zinc/34/29/66/1050342966.db2.gz LUHANYOLSJVXPH-INIZCTEOSA-N 0 3 310.224 4.159 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(C(F)(F)F)cc2Cl)CC1 ZINC001143712305 1050342926 /nfs/dbraw/zinc/34/29/26/1050342926.db2.gz TYESKGFCMYEORI-ZDUSSCGKSA-N 0 3 321.770 4.360 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2ccccc2Cl)s1 ZINC000592818546 1050346013 /nfs/dbraw/zinc/34/60/13/1050346013.db2.gz LSCMBVDTAFHTSA-LBPRGKRZSA-N 0 3 324.877 4.485 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(F)cc(F)c2C)C1 ZINC001143757504 1050356337 /nfs/dbraw/zinc/35/63/37/1050356337.db2.gz BQQOZQIQBXLLIM-AWEZNQCLSA-N 0 3 317.379 4.271 20 0 DIADHN CCCCOc1ccc(-c2cc(CN(C)C)ccn2)c(F)c1 ZINC001206112174 1050368706 /nfs/dbraw/zinc/36/87/06/1050368706.db2.gz JTSZVTPZNUCNRZ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CC(C)(O)[C@@H]1CCCN1Cc1ccc(C(F)(F)F)c(Cl)c1 ZINC001143809851 1050369641 /nfs/dbraw/zinc/36/96/41/1050369641.db2.gz FTJOWEYCRAPIAA-ZDUSSCGKSA-N 0 3 321.770 4.094 20 0 DIADHN CCOc1c(Cl)ccc(CN(C)CC2CC(F)(F)C2)c1F ZINC001143832855 1050376611 /nfs/dbraw/zinc/37/66/11/1050376611.db2.gz NEJJBFUTGKNCAK-UHFFFAOYSA-N 0 3 321.770 4.355 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000178320699 1050377612 /nfs/dbraw/zinc/37/76/12/1050377612.db2.gz FCGCJRDAELZKQW-SJKOYZFVSA-N 0 3 303.425 4.306 20 0 DIADHN CC(C)(C)n1ncnc1CNC(C)(C)CC1CCCCCC1 ZINC000574222069 1050378248 /nfs/dbraw/zinc/37/82/48/1050378248.db2.gz XKFNBDUXNJPBAH-UHFFFAOYSA-N 0 3 306.498 4.262 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000178320685 1050378442 /nfs/dbraw/zinc/37/84/42/1050378442.db2.gz FCGCJRDAELZKQW-SJCJKPOMSA-N 0 3 303.425 4.306 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4ccccc4n3)cccc21 ZINC001210819362 1050378952 /nfs/dbraw/zinc/37/89/52/1050378952.db2.gz QBFTWALUVGUZFY-GOSISDBHSA-N 0 3 319.408 4.364 20 0 DIADHN COc1ccc(CNCc2ccc3cnccc3c2)cc1Cl ZINC000785490335 1050380403 /nfs/dbraw/zinc/38/04/03/1050380403.db2.gz VLRNUOHGWAPEDN-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN CN1CCc2ccc(Nc3cc(O)cc(C(F)(F)F)c3)cc2C1 ZINC001210826793 1050382258 /nfs/dbraw/zinc/38/22/58/1050382258.db2.gz LHCYPZJAZMQFKY-UHFFFAOYSA-N 0 3 322.330 4.143 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(O)cc(C(F)(F)F)c1 ZINC001210827520 1050384320 /nfs/dbraw/zinc/38/43/20/1050384320.db2.gz DOLNSPBGVDNKIJ-UHFFFAOYSA-N 0 3 310.319 4.216 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccccc3OC3CC3)cccc21 ZINC001210840820 1050386708 /nfs/dbraw/zinc/38/67/08/1050386708.db2.gz BYMOGOKLVKCWLJ-SFHVURJKSA-N 0 3 324.424 4.357 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccccc3OC3CC3)cccc21 ZINC001210840819 1050387316 /nfs/dbraw/zinc/38/73/16/1050387316.db2.gz BYMOGOKLVKCWLJ-GOSISDBHSA-N 0 3 324.424 4.357 20 0 DIADHN CCC[C@@H]([NH2+]Cc1nnc(-c2ccccc2)[n-]1)c1ccsc1 ZINC000785564732 1050393337 /nfs/dbraw/zinc/39/33/37/1050393337.db2.gz BKMPYEAXYPEPAU-OAHLLOKOSA-N 0 3 312.442 4.164 20 0 DIADHN CN(CCOc1ccc(C(F)(F)F)cc1)Cc1ccsc1 ZINC000593286963 1050401760 /nfs/dbraw/zinc/40/17/60/1050401760.db2.gz BILDKJHCFRIVGP-UHFFFAOYSA-N 0 3 315.360 4.278 20 0 DIADHN Cc1c(CN2CC[C@]3(C2)CCCCO3)cccc1C(F)(F)F ZINC001143921834 1050409470 /nfs/dbraw/zinc/40/94/70/1050409470.db2.gz DGKFCKCZURVKET-INIZCTEOSA-N 0 3 313.363 4.159 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC[C@]4(CC4(F)F)C3)c2O1 ZINC000844441680 1050425892 /nfs/dbraw/zinc/42/58/92/1050425892.db2.gz QUHRPALCZFFWLR-KRWDZBQOSA-N 0 3 307.384 4.021 20 0 DIADHN CS[C@@H]1CCCC[C@H]1NCc1nc2ccc(F)cc2s1 ZINC000593654377 1050431618 /nfs/dbraw/zinc/43/16/18/1050431618.db2.gz OXKCCROMAJFRGQ-DGCLKSJQSA-N 0 3 310.463 4.199 20 0 DIADHN FC(F)Sc1ccc(CN2CC[C@]3(CC3(F)F)C2)cc1 ZINC000844470549 1050435110 /nfs/dbraw/zinc/43/51/10/1050435110.db2.gz KIWIJNDPMQTHCN-ZDUSSCGKSA-N 0 3 305.340 4.232 20 0 DIADHN COc1ccc(OC(F)(F)F)cc1CN1CCC12CCCC2 ZINC001143997266 1050435789 /nfs/dbraw/zinc/43/57/89/1050435789.db2.gz HKMBMVBPXNFFPZ-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN CCOc1c(F)cc(CN2CC[C@@H](c3ccccc3)C2)cc1F ZINC001144023088 1050442788 /nfs/dbraw/zinc/44/27/88/1050442788.db2.gz PABCIBUTCOVXKB-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000574395313 1050457890 /nfs/dbraw/zinc/45/78/90/1050457890.db2.gz WDBWEFURPSQIMD-UKRRQHHQSA-N 0 3 318.852 4.007 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000574395306 1050457973 /nfs/dbraw/zinc/45/79/73/1050457973.db2.gz WDBWEFURPSQIMD-DZGCQCFKSA-N 0 3 318.852 4.007 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2c(C)cccc2F)cc1 ZINC000766216140 1050466384 /nfs/dbraw/zinc/46/63/84/1050466384.db2.gz LMNTXRZHLCEEIR-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cccc(O)c2C)c1 ZINC001144119197 1050470033 /nfs/dbraw/zinc/47/00/33/1050470033.db2.gz WQLPYFZRSXANKZ-IBGZPJMESA-N 0 3 311.425 4.436 20 0 DIADHN CCc1cc(CN2CCC(C(F)(F)F)CC2)cc(CC)c1O ZINC001144140224 1050476304 /nfs/dbraw/zinc/47/63/04/1050476304.db2.gz SCMJKJPJUXTSBQ-UHFFFAOYSA-N 0 3 315.379 4.291 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)[C@H]2CCCOC2)o1 ZINC000574486741 1050477965 /nfs/dbraw/zinc/47/79/65/1050477965.db2.gz QCNDCLYHFGWRRR-HNNXBMFYSA-N 0 3 303.377 4.005 20 0 DIADHN COc1cc(C)ccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001211117900 1050482111 /nfs/dbraw/zinc/48/21/11/1050482111.db2.gz GABUXRSJRYIQBZ-KRWDZBQOSA-N 0 3 312.413 4.132 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCOc2ccc3ccccc3c2)C1 ZINC000761046118 1050483540 /nfs/dbraw/zinc/48/35/40/1050483540.db2.gz ITNAFSDPPXHYGJ-OAHLLOKOSA-N 0 3 309.331 4.103 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cccnc1Cl ZINC000736630167 1050486136 /nfs/dbraw/zinc/48/61/36/1050486136.db2.gz XLFAZUZEMZKXGB-SWLSCSKDSA-N 0 3 304.796 4.252 20 0 DIADHN CC1(C)C[C@H](N[C@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000669524268 1050487423 /nfs/dbraw/zinc/48/74/23/1050487423.db2.gz KVJVZRYHSVIOTI-HOCLYGCPSA-N 0 3 305.418 4.134 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(F)F)cc1)c1ccccn1 ZINC000736648382 1050488924 /nfs/dbraw/zinc/48/89/24/1050488924.db2.gz XVQNAQUWKWBUGQ-MRXNPFEDSA-N 0 3 306.356 4.170 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1[nH]nc2ccc(F)cc21 ZINC001144199533 1050492216 /nfs/dbraw/zinc/49/22/16/1050492216.db2.gz LPOUOBNMWWUVNY-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN CN(C1CCCC1)C(C)(C)C(=O)OCc1sccc1Cl ZINC000786547084 1050499090 /nfs/dbraw/zinc/49/90/90/1050499090.db2.gz GJRZDKNNGRMFNN-UHFFFAOYSA-N 0 3 315.866 4.098 20 0 DIADHN C[C@H]1C(=O)CCN(Cc2ccc(-n3cccc3)cc2)C12CCCC2 ZINC001144253405 1050519529 /nfs/dbraw/zinc/51/95/29/1050519529.db2.gz WFQKMSWMODUQEW-KRWDZBQOSA-N 0 3 322.452 4.201 20 0 DIADHN Oc1ccc(CN(CCc2ccccc2)Cc2ccccc2)nc1 ZINC001144265627 1050521784 /nfs/dbraw/zinc/52/17/84/1050521784.db2.gz SGKJLTOSZKKDOU-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN [O-]c1ccc(C[N@H+](CCc2ccccc2)Cc2ccccc2)nc1 ZINC001144265627 1050521799 /nfs/dbraw/zinc/52/17/99/1050521799.db2.gz SGKJLTOSZKKDOU-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN [O-]c1ccc(C[N@@H+](CCc2ccccc2)Cc2ccccc2)nc1 ZINC001144265627 1050521803 /nfs/dbraw/zinc/52/18/03/1050521803.db2.gz SGKJLTOSZKKDOU-UHFFFAOYSA-N 0 3 318.420 4.032 20 0 DIADHN CCS[C@@H]1CCCCN(Cn2ccc(-c3ccccc3)n2)C1 ZINC000738227246 1050526398 /nfs/dbraw/zinc/52/63/98/1050526398.db2.gz LEDWMMLKWQFIEF-QGZVFWFLSA-N 0 3 315.486 4.115 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(Br)cnc2F)ccn1 ZINC001211266190 1050529281 /nfs/dbraw/zinc/52/92/81/1050529281.db2.gz CCFSGBMDXTUVCS-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN CCOc1ccc(CN(C)Cc2c(C)cccc2C)cc1OC ZINC000738298982 1050531725 /nfs/dbraw/zinc/53/17/25/1050531725.db2.gz KTPUDACWSIXGQU-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN C[C@H](NC[C@H]1COC2(CCCCC2)O1)c1csc(Cl)c1 ZINC000786923768 1050533514 /nfs/dbraw/zinc/53/35/14/1050533514.db2.gz VWFFEWVJFKDATH-AAEUAGOBSA-N 0 3 315.866 4.128 20 0 DIADHN Cc1ccncc1CN1CCC[C@@H]1COc1ccc(Cl)cc1 ZINC000772916900 1050541118 /nfs/dbraw/zinc/54/11/18/1050541118.db2.gz DGKVVKCMPZJTMH-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN CCc1cccc(CN2CC3(CC(OCc4ccccc4)C3)C2)c1 ZINC001144326873 1050543906 /nfs/dbraw/zinc/54/39/06/1050543906.db2.gz YALLBIVLMBXLIM-UHFFFAOYSA-N 0 3 321.464 4.430 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc4ccccc4[nH]3)cccc21 ZINC001211309936 1050544735 /nfs/dbraw/zinc/54/47/35/1050544735.db2.gz HVBCZHZDXQKXHU-QGZVFWFLSA-N 0 3 307.397 4.297 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc4ccccc4[nH]3)cccc21 ZINC001211309935 1050545742 /nfs/dbraw/zinc/54/57/42/1050545742.db2.gz HVBCZHZDXQKXHU-KRWDZBQOSA-N 0 3 307.397 4.297 20 0 DIADHN Cc1nc(F)ccc1CN(Cc1ccc(F)cc1)C(C)(C)C ZINC000787140089 1050553543 /nfs/dbraw/zinc/55/35/43/1050553543.db2.gz VGUAFLCHIFPPQS-UHFFFAOYSA-N 0 3 304.384 4.469 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccc(O)cc2C(F)(F)F)CC1 ZINC001144382335 1050555084 /nfs/dbraw/zinc/55/50/84/1050555084.db2.gz WEHLAMDHVXECMW-CQSZACIVSA-N 0 3 305.315 4.125 20 0 DIADHN Cc1nc(F)ccc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000787132970 1050555396 /nfs/dbraw/zinc/55/53/96/1050555396.db2.gz VRFDRXRMPOPRRS-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1nc2ccc(Nc3cncc(-c4ccccn4)c3)cc2[nH]1 ZINC001213073055 1050577147 /nfs/dbraw/zinc/57/71/47/1050577147.db2.gz BCMYECGPDCUBLF-UHFFFAOYSA-N 0 3 301.353 4.072 20 0 DIADHN COC(=O)c1cc(Nc2ccc3nc(C)[nH]c3c2)c(Cl)s1 ZINC001213071533 1050578071 /nfs/dbraw/zinc/57/80/71/1050578071.db2.gz WPRHBLHUCKYSTF-UHFFFAOYSA-N 0 3 321.789 4.116 20 0 DIADHN Cc1nc2ccc(Nc3c(O)cccc3Br)cc2[nH]1 ZINC001213074088 1050578487 /nfs/dbraw/zinc/57/84/87/1050578487.db2.gz CDWHUYGJSPHYGZ-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN Cc1nc2cc(Nc3ccc(Cn4ccnc4C)cc3)ccc2[nH]1 ZINC001213074356 1050578652 /nfs/dbraw/zinc/57/86/52/1050578652.db2.gz QOOWJZRPOFYTSQ-UHFFFAOYSA-N 0 3 317.396 4.168 20 0 DIADHN Cc1nc2ccc(Nc3ccc(Cn4ccnc4C)cc3)cc2[nH]1 ZINC001213074356 1050578665 /nfs/dbraw/zinc/57/86/65/1050578665.db2.gz QOOWJZRPOFYTSQ-UHFFFAOYSA-N 0 3 317.396 4.168 20 0 DIADHN Cc1nc2ccc(Nc3cccc(F)c3N3CCCC3)cc2[nH]1 ZINC001213076192 1050579428 /nfs/dbraw/zinc/57/94/28/1050579428.db2.gz KJFBCWXRSUXVSO-UHFFFAOYSA-N 0 3 310.376 4.354 20 0 DIADHN COC(=O)c1c(Cl)cccc1Nc1ccc2nc(C)[nH]c2c1 ZINC001213075235 1050581461 /nfs/dbraw/zinc/58/14/61/1050581461.db2.gz KKWRXOXHEHWMRU-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@H](O)c1cccc(Cl)c1 ZINC000746675432 1050588489 /nfs/dbraw/zinc/58/84/89/1050588489.db2.gz DQBINYOTCSYLQZ-KRWDZBQOSA-N 0 3 315.800 4.252 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccc(Cl)nc1 ZINC000746678500 1050592430 /nfs/dbraw/zinc/59/24/30/1050592430.db2.gz YORXTFXRRKXHIN-WMLDXEAASA-N 0 3 300.833 4.188 20 0 DIADHN C[C@@H](CSCCF)NCc1nc2cc(Cl)ccc2s1 ZINC000595006315 1050592936 /nfs/dbraw/zinc/59/29/36/1050592936.db2.gz IFZHJMQDNRBZLU-VIFPVBQESA-N 0 3 318.870 4.131 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccccc2F)[C@H]1c1cccc(F)c1 ZINC000746678099 1050594470 /nfs/dbraw/zinc/59/44/70/1050594470.db2.gz IQZIIDZZPZLOGO-ZNZDAUKMSA-N 0 3 317.379 4.081 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1cc2c(C)nn(C)c2nc1Cl ZINC000739927318 1050595988 /nfs/dbraw/zinc/59/59/88/1050595988.db2.gz UFELZJYTSYGYQQ-CQSZACIVSA-N 0 3 320.868 4.085 20 0 DIADHN CC(C)(C)c1cc(Nc2cccnc2Br)ccn1 ZINC001213160787 1050603532 /nfs/dbraw/zinc/60/35/32/1050603532.db2.gz LGYQLDJLABAQKC-UHFFFAOYSA-N 0 3 306.207 4.280 20 0 DIADHN COCCOc1ccc(F)cc1Nc1ccnc(C(C)(C)C)c1 ZINC001213166764 1050604494 /nfs/dbraw/zinc/60/44/94/1050604494.db2.gz ORRMGOCCDVUWJW-UHFFFAOYSA-N 0 3 318.392 4.287 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc(Br)c(F)c2)ccn1 ZINC001213163911 1050604726 /nfs/dbraw/zinc/60/47/26/1050604726.db2.gz CPMPSPRQOPIGSK-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN CC(C)(C)c1cc(Nc2ccccc2C(=O)NC2CC2)ccn1 ZINC001213166695 1050604770 /nfs/dbraw/zinc/60/47/70/1050604770.db2.gz JBFCDCNFBGSZAV-UHFFFAOYSA-N 0 3 309.413 4.015 20 0 DIADHN CC(=O)N1CCc2ccc(Nc3ccnc(C(C)(C)C)c3)cc21 ZINC001213166818 1050605849 /nfs/dbraw/zinc/60/58/49/1050605849.db2.gz SGSFJRFMRBKKHW-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1cccnc1C(F)(F)F)CC2 ZINC001144538830 1050610227 /nfs/dbraw/zinc/61/02/27/1050610227.db2.gz RSXIBCGANXDZJP-ZDUSSCGKSA-N 0 3 316.342 4.205 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccccc1F)NC(=O)OC(C)(C)C ZINC000227119199 1050615532 /nfs/dbraw/zinc/61/55/32/1050615532.db2.gz MGXYJEOCDONXCS-XJKSGUPXSA-N 0 3 324.440 4.026 20 0 DIADHN COc1ccc(CN(C)CCOC2CCCCCC2)cc1F ZINC000740873530 1050633849 /nfs/dbraw/zinc/63/38/49/1050633849.db2.gz IULJGLVZNZGIQH-UHFFFAOYSA-N 0 3 309.425 4.006 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CN(C)[C@H]1C=CCCC1 ZINC000595564168 1050638893 /nfs/dbraw/zinc/63/88/93/1050638893.db2.gz KSKWMRHQGZICJH-SFHVURJKSA-N 0 3 309.457 4.338 20 0 DIADHN C(c1noc2c1CCCC2)N1CCC[C@@H]1CC1CCCCC1 ZINC000595557646 1050642892 /nfs/dbraw/zinc/64/28/92/1050642892.db2.gz DVQZOSVGUMCCQY-MRXNPFEDSA-N 0 3 302.462 4.488 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H](C)C3CCCCC3)o2)cc1 ZINC000575163367 1050650204 /nfs/dbraw/zinc/65/02/04/1050650204.db2.gz MPZZEHHZJMYRAN-AWEZNQCLSA-N 0 3 314.429 4.409 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3cccc4cc[nH]c43)CC2)c1 ZINC001144704748 1050661427 /nfs/dbraw/zinc/66/14/27/1050661427.db2.gz AGUUSAZPJBFKCK-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@]3(CC3(F)F)C2)cc1 ZINC000846107964 1050663133 /nfs/dbraw/zinc/66/31/33/1050663133.db2.gz MNZJLHHZPWLHML-KRWDZBQOSA-N 0 3 317.383 4.006 20 0 DIADHN Cc1ccc([C@@]2(C)CN(Cc3cccc4cc[nH]c43)CCO2)cc1 ZINC001144717512 1050668389 /nfs/dbraw/zinc/66/83/89/1050668389.db2.gz VGIKAUOMSMNXAF-OAQYLSRUSA-N 0 3 320.436 4.224 20 0 DIADHN FC1(F)C[C@@]12CCCN(Cc1cc3cc(Cl)cnc3o1)C2 ZINC000846104540 1050670348 /nfs/dbraw/zinc/67/03/48/1050670348.db2.gz IRQDLYZKXABKKN-CQSZACIVSA-N 0 3 312.747 4.102 20 0 DIADHN C[C@H](CN1CCC[C@]2(CC2(F)F)C1)c1cc(F)cc(F)c1 ZINC000846105986 1050670528 /nfs/dbraw/zinc/67/05/28/1050670528.db2.gz ZZLOAMOMUPRBMR-ABAIWWIYSA-N 0 3 301.327 4.190 20 0 DIADHN CCCCC[C@H](NC(=O)c1cccc(CN(C)C)c1)C(C)(C)C ZINC000741287572 1050672116 /nfs/dbraw/zinc/67/21/16/1050672116.db2.gz VEBRXFYVERDCAS-SFHVURJKSA-N 0 3 318.505 4.473 20 0 DIADHN Fc1cc(Cl)c(CN(C2CCC2)C2CCOCC2)cc1F ZINC001144731829 1050685804 /nfs/dbraw/zinc/68/58/04/1050685804.db2.gz AYDXDVXXKQLCOP-UHFFFAOYSA-N 0 3 315.791 4.152 20 0 DIADHN c1nc2cc(CN3CC[C@H](OCc4ccccc4)C3)ccc2s1 ZINC001144737196 1050692206 /nfs/dbraw/zinc/69/22/06/1050692206.db2.gz QIIQVDWTGVQAAG-KRWDZBQOSA-N 0 3 324.449 4.087 20 0 DIADHN CC(C)CC[C@H](C)N[C@@H](C)c1nc(Br)ccc1F ZINC000788498292 1050692965 /nfs/dbraw/zinc/69/29/65/1050692965.db2.gz MXIDCWUIZKQUCB-QWRGUYRKSA-N 0 3 317.246 4.459 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc2scnc2c1 ZINC001144739124 1050694945 /nfs/dbraw/zinc/69/49/45/1050694945.db2.gz UUMBWERHIHNVGV-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN CC(C)c1cnc(CN[C@H](C(C)C)[C@@H](O)c2ccccc2)s1 ZINC000788541355 1050700528 /nfs/dbraw/zinc/70/05/28/1050700528.db2.gz WCOLFWUYQKUUCY-MSOLQXFVSA-N 0 3 318.486 4.114 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C(C)C)[C@@H](O)c2ccccc2)s1 ZINC000788541357 1050701771 /nfs/dbraw/zinc/70/17/71/1050701771.db2.gz WCOLFWUYQKUUCY-ROUUACIJSA-N 0 3 318.486 4.114 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@H](C)c1nc(Br)ccc1F ZINC000788565918 1050706824 /nfs/dbraw/zinc/70/68/24/1050706824.db2.gz WSSFWSVMCBIXCG-IVZWLZJFSA-N 0 3 303.219 4.068 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(Cl)cc3N)cccc21 ZINC001213658382 1050710779 /nfs/dbraw/zinc/71/07/79/1050710779.db2.gz NNKWFLKLBMIDCZ-INIZCTEOSA-N 0 3 317.820 4.051 20 0 DIADHN CC(C)c1nc(CCN[C@@H]2CCc3ccc(Cl)nc32)cs1 ZINC000788587374 1050711664 /nfs/dbraw/zinc/71/16/64/1050711664.db2.gz IBOJVNYONGQACL-CYBMUJFWSA-N 0 3 321.877 4.135 20 0 DIADHN C[C@H](CN[C@@H]1COCc2cccc(Cl)c21)c1ccc(F)cc1 ZINC000788595693 1050711929 /nfs/dbraw/zinc/71/19/29/1050711929.db2.gz UWABQWAAFZRKFH-SJKOYZFVSA-N 0 3 319.807 4.444 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C(C)C)[C@@H](O)c2ccccc2)c(C)s1 ZINC000788620809 1050717895 /nfs/dbraw/zinc/71/78/95/1050717895.db2.gz KKFYBCGGMAGULG-IWEFOYFVSA-N 0 3 318.486 4.169 20 0 DIADHN COc1ccc(Nc2cnc(C)n2C)cc1OCc1ccccc1 ZINC001213685830 1050719078 /nfs/dbraw/zinc/71/90/78/1050719078.db2.gz NZEIVLRUQNUABB-UHFFFAOYSA-N 0 3 323.396 4.060 20 0 DIADHN COCCC1(CN[C@@H]2COCc3cccc(Cl)c32)CCCC1 ZINC000788633862 1050722093 /nfs/dbraw/zinc/72/20/93/1050722093.db2.gz VVPJHVASRJPNFZ-MRXNPFEDSA-N 0 3 323.864 4.098 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788688521 1050726017 /nfs/dbraw/zinc/72/60/17/1050726017.db2.gz SZRDKZNLCFTMRP-BMFZPTHFSA-N 0 3 323.864 4.095 20 0 DIADHN C[C@H](NCCCC(C)(C)C)c1nc(Br)ccc1F ZINC000788663584 1050728050 /nfs/dbraw/zinc/72/80/50/1050728050.db2.gz HHONWEPXZWCWHB-JTQLQIEISA-N 0 3 317.246 4.460 20 0 DIADHN CC(C)C(C)(C)CN[C@H](C)c1nc(Br)ccc1F ZINC000788757409 1050738445 /nfs/dbraw/zinc/73/84/45/1050738445.db2.gz LPIRKBGZGMHZHR-SNVBAGLBSA-N 0 3 317.246 4.316 20 0 DIADHN Cc1ccccc1[C@@H](O)CNC(c1ccccc1)c1ccccc1 ZINC000741773340 1050740017 /nfs/dbraw/zinc/74/00/17/1050740017.db2.gz UNABHMYVZBMZDB-NRFANRHFSA-N 0 3 317.432 4.408 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2ccc(C)cc2)s1 ZINC000741792672 1050741021 /nfs/dbraw/zinc/74/10/21/1050741021.db2.gz BFGQLAZXQFZQDC-OAHLLOKOSA-N 0 3 318.486 4.394 20 0 DIADHN C[C@H](CCC1CC1)N[C@@H](C)c1nc(Br)ccc1F ZINC000788749254 1050747052 /nfs/dbraw/zinc/74/70/52/1050747052.db2.gz DLXFLMBQSGDUMH-ZJUUUORDSA-N 0 3 315.230 4.213 20 0 DIADHN COc1cccc(Nc2ccc3c(c2)CN(C)CC3)c1C1CC1 ZINC001213809831 1050747622 /nfs/dbraw/zinc/74/76/22/1050747622.db2.gz JRFDBDYCASKFEW-UHFFFAOYSA-N 0 3 308.425 4.304 20 0 DIADHN COC(C)(C)[C@H](C)N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000788780310 1050751972 /nfs/dbraw/zinc/75/19/72/1050751972.db2.gz CSLPQFWDNPATKR-JOYOIKCWSA-N 0 3 318.244 4.220 20 0 DIADHN Clc1ccc2c(n1)[C@H](NC[C@@H]1CCC3(CCCCC3)O1)CC2 ZINC000788778128 1050752676 /nfs/dbraw/zinc/75/26/76/1050752676.db2.gz RCVVYGZASCWZPD-LSDHHAIUSA-N 0 3 320.864 4.194 20 0 DIADHN Clc1ccc2c(n1)[C@H](NC[C@H]1CCC3(CCCCC3)O1)CC2 ZINC000788778122 1050753028 /nfs/dbraw/zinc/75/30/28/1050753028.db2.gz RCVVYGZASCWZPD-HUUCEWRRSA-N 0 3 320.864 4.194 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H]1CCc2ccc(C)cc21 ZINC000596690444 1050765781 /nfs/dbraw/zinc/76/57/81/1050765781.db2.gz KYXPAIMNSWLRFD-NRFANRHFSA-N 0 3 317.436 4.266 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc3nonc3c2)cc1 ZINC000846845611 1050768781 /nfs/dbraw/zinc/76/87/81/1050768781.db2.gz ZIZPYDHQDZCWQB-LBPRGKRZSA-N 0 3 313.426 4.186 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(Cl)c(F)c2)C(C)C)cc1 ZINC000846838704 1050770747 /nfs/dbraw/zinc/77/07/47/1050770747.db2.gz BGDGZWLHNACSSQ-INIZCTEOSA-N 0 3 322.811 4.370 20 0 DIADHN CC(C)(C)OC(=O)NC(C)(C)CN(Cc1cccs1)C1CC1 ZINC000761191877 1050772844 /nfs/dbraw/zinc/77/28/44/1050772844.db2.gz ZCVZVRAQKXETMD-UHFFFAOYSA-N 0 3 324.490 4.016 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCCOC(C)C ZINC001307624661 1050808715 /nfs/dbraw/zinc/80/87/15/1050808715.db2.gz IFXGTMMSWQIKOJ-INIZCTEOSA-N 0 3 313.869 4.450 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCO2 ZINC000772961676 1050808894 /nfs/dbraw/zinc/80/88/94/1050808894.db2.gz RTLQPCKMKQEGDT-IFXJQAMLSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1ccc(N2CCN(CCCCOC(C)(C)C)CC2)cc1C ZINC000789351412 1050814862 /nfs/dbraw/zinc/81/48/62/1050814862.db2.gz BILGJQFWNSNBCG-UHFFFAOYSA-N 0 3 318.505 4.021 20 0 DIADHN O[C@@H](CC1CCCC1)CN1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000789325949 1050816439 /nfs/dbraw/zinc/81/64/39/1050816439.db2.gz QLUFMNROKROPMG-MAUKXSAKSA-N 0 3 309.400 4.043 20 0 DIADHN CCc1ccc([C@H](O)CN[C@@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000789363869 1050822968 /nfs/dbraw/zinc/82/29/68/1050822968.db2.gz ZMBHHGWTIAGINE-APPDUMDISA-N 0 3 323.358 4.051 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(F)cc32)cc1Cl ZINC000742370097 1050824946 /nfs/dbraw/zinc/82/49/46/1050824946.db2.gz GFUQSXRMSGDIHC-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN CC(C)CC[C@H](NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)C(C)(C)C ZINC001146764724 1050840274 /nfs/dbraw/zinc/84/02/74/1050840274.db2.gz GRZHDWZGRTWHGK-NCOADZHNSA-N 0 3 310.526 4.215 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H](C)Oc1ccc(F)cc1 ZINC000742380149 1050840512 /nfs/dbraw/zinc/84/05/12/1050840512.db2.gz ALFZKLQLUUANAE-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H](C)Oc1cccc(F)c1 ZINC000742379981 1050840573 /nfs/dbraw/zinc/84/05/73/1050840573.db2.gz JAGAJJQUMQARGC-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)nc2Cl)nc1)C1CC1 ZINC001243085494 1050840542 /nfs/dbraw/zinc/84/05/42/1050840542.db2.gz SQZPZNJYKKBDBF-UHFFFAOYSA-N 0 3 308.212 4.045 20 0 DIADHN COCOc1ccc(C)cc1Nc1ccnc(C(C)(C)C)c1 ZINC001214237836 1050853032 /nfs/dbraw/zinc/85/30/32/1050853032.db2.gz YOFYNDVEPYQQOL-UHFFFAOYSA-N 0 3 300.402 4.414 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cccc(O)c1)c1ccccc1Cl ZINC000669616338 1050863837 /nfs/dbraw/zinc/86/38/37/1050863837.db2.gz WGGLJNBBDJINCW-SJCJKPOMSA-N 0 3 305.805 4.084 20 0 DIADHN CO[C@H](CN[C@H](C)c1cccc(O)c1)c1ccccc1Cl ZINC000669616339 1050864572 /nfs/dbraw/zinc/86/45/72/1050864572.db2.gz WGGLJNBBDJINCW-SJKOYZFVSA-N 0 3 305.805 4.084 20 0 DIADHN CCn1c(CN[C@H](C)CSC(C)(C)C)nc2ccccc21 ZINC000761223255 1050866971 /nfs/dbraw/zinc/86/69/71/1050866971.db2.gz YKMSZEJNPOTXDH-CYBMUJFWSA-N 0 3 305.491 4.066 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000789720786 1050876051 /nfs/dbraw/zinc/87/60/51/1050876051.db2.gz MBEFWIVMZLDECK-OAQYLSRUSA-N 0 3 320.436 4.114 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2coc(-c3c(F)cccc3F)n2)[C@H]1C ZINC001171305608 1050880254 /nfs/dbraw/zinc/88/02/54/1050880254.db2.gz CUVOCKAEACJXJU-UTUOFQBUSA-N 0 3 306.356 4.096 20 0 DIADHN Cc1ccc(F)cc1CN1C[C@H](C(=O)OC(C)(C)C)CC[C@H]1C ZINC001171306276 1050882310 /nfs/dbraw/zinc/88/23/10/1050882310.db2.gz GLBAYGDFWCUHIN-HUUCEWRRSA-N 0 3 321.436 4.076 20 0 DIADHN CN1CCC(N(C(=O)C2CCCCCC2)c2ccccc2)CC1 ZINC000601968895 1050886827 /nfs/dbraw/zinc/88/68/27/1050886827.db2.gz TUJZGOCSKPIMRV-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cccc2c1OCCO2 ZINC000772983107 1050900787 /nfs/dbraw/zinc/90/07/87/1050900787.db2.gz JVEPWAFJLUAYNJ-WMLDXEAASA-N 0 3 309.409 4.104 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H](c2nc3ccccc3n2C)c2ccccc2)C1 ZINC001170124620 1050902248 /nfs/dbraw/zinc/90/22/48/1050902248.db2.gz YQQVYRALRJSNMB-MDYRTPRTSA-N 0 3 319.452 4.441 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N1CCCN(C(C)C)CC1 ZINC000742627654 1050905736 /nfs/dbraw/zinc/90/57/36/1050905736.db2.gz IETAERSOUVVVSG-IBGZPJMESA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1CCCN(C(C)C)CC1 ZINC000742627655 1050906529 /nfs/dbraw/zinc/90/65/29/1050906529.db2.gz IETAERSOUVVVSG-LJQANCHMSA-N 0 3 310.526 4.316 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000180700677 1050958856 /nfs/dbraw/zinc/95/88/56/1050958856.db2.gz TZDVJLPXJISCOY-UONOGXRCSA-N 0 3 306.413 4.192 20 0 DIADHN CCCCCCC(=O)N1CC[C@H](C)N(Cc2ccccc2)CC1 ZINC000742959517 1050962737 /nfs/dbraw/zinc/96/27/37/1050962737.db2.gz QDCOXJBAEGMQEF-SFHVURJKSA-N 0 3 316.489 4.080 20 0 DIADHN c1nc2n(c1CNCc1ccccc1-c1ccccc1)CCCC2 ZINC000790638255 1050980392 /nfs/dbraw/zinc/98/03/92/1050980392.db2.gz WMMZMESWXIRWRM-UHFFFAOYSA-N 0 3 317.436 4.176 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000790715710 1050988006 /nfs/dbraw/zinc/98/80/06/1050988006.db2.gz SMEOVWYUKBKGGA-HIFRSBDPSA-N 0 3 306.450 4.078 20 0 DIADHN Cc1cc([C@@H](C)NCCC(=O)Nc2cccc(Cl)c2)c(C)o1 ZINC000348094478 1050989818 /nfs/dbraw/zinc/98/98/18/1050989818.db2.gz GKNATGYDDVIFRX-GFCCVEGCSA-N 0 3 320.820 4.229 20 0 DIADHN C[C@@H](NCc1csc(C2CCCCC2)n1)[C@H]1CCCOC1 ZINC000348150643 1050993229 /nfs/dbraw/zinc/99/32/29/1050993229.db2.gz ZASJPWUNMROGER-HIFRSBDPSA-N 0 3 308.491 4.096 20 0 DIADHN C[C@H](NCCCc1c[nH]c2ccc(F)cc12)c1nccs1 ZINC000282750038 1050996679 /nfs/dbraw/zinc/99/66/79/1050996679.db2.gz NRJWKIQPNQYVSU-NSHDSACASA-N 0 3 303.406 4.047 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000743258445 1051001985 /nfs/dbraw/zinc/00/19/85/1051001985.db2.gz SRDCOLSBJYSUTH-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348209600 1051003366 /nfs/dbraw/zinc/00/33/66/1051003366.db2.gz YXWZPMDDFZVXPM-ACJLOTCBSA-N 0 3 319.832 4.123 20 0 DIADHN O[C@H](CN(Cc1cccc(F)c1)C1CC1)c1cccc(Cl)c1 ZINC000743262309 1051010880 /nfs/dbraw/zinc/01/08/80/1051010880.db2.gz OYXWJYYCCDZBFG-GOSISDBHSA-N 0 3 319.807 4.177 20 0 DIADHN O=C1CC2(CCC2)N1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000743357153 1051017842 /nfs/dbraw/zinc/01/78/42/1051017842.db2.gz VARSRCBOZLHWRL-LJQANCHMSA-N 0 3 320.436 4.089 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1Cl)c1cc2ccccc2o1 ZINC000773009922 1051021140 /nfs/dbraw/zinc/02/11/40/1051021140.db2.gz FDKNHRHNJMIKCF-LRDDRELGSA-N 0 3 315.800 4.470 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2c(F)cccc2F)cc(C)c1F ZINC000773015131 1051024182 /nfs/dbraw/zinc/02/41/82/1051024182.db2.gz SVMNEMGPTNIZMF-MLGOLLRUSA-N 0 3 323.358 4.105 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2c(F)cccc2F)cc(C)c1F ZINC000773015127 1051024442 /nfs/dbraw/zinc/02/44/42/1051024442.db2.gz SVMNEMGPTNIZMF-BLLLJJGKSA-N 0 3 323.358 4.105 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](OC)c1cccc(Cl)c1 ZINC000773036926 1051031596 /nfs/dbraw/zinc/03/15/96/1051031596.db2.gz YWIUGDGHEIAEDH-BDJLRTHQSA-N 0 3 322.836 4.237 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000773045292 1051038179 /nfs/dbraw/zinc/03/81/79/1051038179.db2.gz IAQCUGAZZDQHJJ-VQTKTOELSA-N 0 3 323.358 4.267 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1cnn(Cc2ccccc2)c1 ZINC000773066257 1051045600 /nfs/dbraw/zinc/04/56/00/1051045600.db2.gz DKZYHKWCELOQOL-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC[C@H](NC[C@@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC000773071212 1051049449 /nfs/dbraw/zinc/04/94/49/1051049449.db2.gz RMSOSRXKFATZGX-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN Cc1cnccc1Nc1c(Cl)cccc1NC(=O)C(C)(C)C ZINC001215045929 1051061015 /nfs/dbraw/zinc/06/10/15/1051061015.db2.gz PWWMFNSUKGWKDO-UHFFFAOYSA-N 0 3 317.820 4.194 20 0 DIADHN C[C@@H](NCc1cccc(F)n1)[C@@H](C)c1nc2ccccc2s1 ZINC000773090648 1051061424 /nfs/dbraw/zinc/06/14/24/1051061424.db2.gz VKAHAEIZUBPVQD-VXGBXAGGSA-N 0 3 315.417 4.112 20 0 DIADHN CC[C@@H](NC[C@@](C)(O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000773116167 1051067647 /nfs/dbraw/zinc/06/76/47/1051067647.db2.gz DMRIFKLABAYHSM-QZTJIDSGSA-N 0 3 323.358 4.052 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccc(F)cc1)c1ccccc1Cl ZINC000773120160 1051068636 /nfs/dbraw/zinc/06/86/36/1051068636.db2.gz RCFRKTXKBLFRRL-SJKOYZFVSA-N 0 3 307.796 4.037 20 0 DIADHN Clc1cccc(CN2CCC[C@H]2CCc2ccccc2)n1 ZINC000743841691 1051070003 /nfs/dbraw/zinc/07/00/03/1051070003.db2.gz SIFXHEGTUNUCPQ-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN CC[C@H](NC[C@](C)(O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000773122981 1051070910 /nfs/dbraw/zinc/07/09/10/1051070910.db2.gz XNHLQSUUASAEDQ-ROUUACIJSA-N 0 3 323.358 4.052 20 0 DIADHN Fc1ccc(CCN(Cc2cccc(Cl)n2)C2CC2)cc1 ZINC000743850444 1051071233 /nfs/dbraw/zinc/07/12/33/1051071233.db2.gz XKYLJYOGTAFLLQ-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN O[C@@H](CN1CC[C@H](c2ccccc2F)C1)c1cccc(Cl)c1 ZINC000743847803 1051071473 /nfs/dbraw/zinc/07/14/73/1051071473.db2.gz QUFWMUUSXGYAKL-KSSFIOAISA-N 0 3 319.807 4.002 20 0 DIADHN Clc1cccc(CN2CCCC[C@@H]2Cc2ccccc2)n1 ZINC000743846032 1051072168 /nfs/dbraw/zinc/07/21/68/1051072168.db2.gz YBZDZHFFROSGCF-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN Cc1ccc([C@H](NCCc2ccsc2)c2ccccn2)cc1 ZINC000791632458 1051072743 /nfs/dbraw/zinc/07/27/43/1051072743.db2.gz BBJWZPULAFETRS-IBGZPJMESA-N 0 3 308.450 4.373 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(C[C@H]2CC2(Cl)Cl)C1 ZINC000743857390 1051080132 /nfs/dbraw/zinc/08/01/32/1051080132.db2.gz ULBGAOQMSVLPOZ-JTNHKYCSSA-N 0 3 302.220 4.402 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCC2(CCCC2)C1 ZINC000743864542 1051081593 /nfs/dbraw/zinc/08/15/93/1051081593.db2.gz JWRPVGHFBKWXTR-MRXNPFEDSA-N 0 3 314.473 4.403 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC000743869960 1051084142 /nfs/dbraw/zinc/08/41/42/1051084142.db2.gz YLSPYPIODODZDA-VXGBXAGGSA-N 0 3 319.301 4.494 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCC2(CCCC2)C1 ZINC000743863603 1051087983 /nfs/dbraw/zinc/08/79/83/1051087983.db2.gz KOAFRBAOKIBVCZ-AWEZNQCLSA-N 0 3 320.864 4.242 20 0 DIADHN CC(C)C1CCC(NCc2ncc(Br)s2)CC1 ZINC000714947850 1051098039 /nfs/dbraw/zinc/09/80/39/1051098039.db2.gz XGLQQSJNMWPYNK-UHFFFAOYSA-N 0 3 317.296 4.210 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1CCc2c(F)cccc2C1 ZINC001171440453 1051125555 /nfs/dbraw/zinc/12/55/55/1051125555.db2.gz JNJUNHVNUBAWQA-HNNXBMFYSA-N 0 3 305.343 4.093 20 0 DIADHN CCN(CCc1ccccn1)Cc1cc(-c2ccc(C)cc2)no1 ZINC000744194823 1051129308 /nfs/dbraw/zinc/12/93/08/1051129308.db2.gz VDUZDMWGLDDCOL-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN Fc1cc2c(cc1F)C[C@@H](N1CCC[C@H](C(F)(F)F)C1)CC2 ZINC001171486065 1051143223 /nfs/dbraw/zinc/14/32/23/1051143223.db2.gz AFOHJIKZDGHRFB-STQMWFEESA-N 0 3 319.317 4.096 20 0 DIADHN COCc1cccc(CN2Cc3ccccc3C3(CCC3)C2)c1 ZINC001170173577 1051144007 /nfs/dbraw/zinc/14/40/07/1051144007.db2.gz UAGAZJYBBCGDFH-UHFFFAOYSA-N 0 3 307.437 4.271 20 0 DIADHN Fc1cc2c(cc1F)C[C@@H](N1CCC[C@@H](C(F)(F)F)C1)CC2 ZINC001171486063 1051143990 /nfs/dbraw/zinc/14/39/90/1051143990.db2.gz AFOHJIKZDGHRFB-OLZOCXBDSA-N 0 3 319.317 4.096 20 0 DIADHN CO[C@@H](CNC1(c2ccccc2Cl)CC1)Cc1ccccc1 ZINC001171469608 1051148310 /nfs/dbraw/zinc/14/83/10/1051148310.db2.gz HROQGCXQZIDLTG-MRXNPFEDSA-N 0 3 315.844 4.176 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NCCOC2CCCCC2)n1 ZINC001171478105 1051150997 /nfs/dbraw/zinc/15/09/97/1051150997.db2.gz RMBDMWLVKJHTFS-MRXNPFEDSA-N 0 3 317.477 4.222 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@H](N1CC[C@@H]1c1ccccc1)CC2 ZINC001171543505 1051154324 /nfs/dbraw/zinc/15/43/24/1051154324.db2.gz KXWJJBUFYFTNIG-RHSMWYFYSA-N 0 3 317.354 4.408 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN(Cc2cc3n(n2)CCCC3)CC1 ZINC001170195278 1051154505 /nfs/dbraw/zinc/15/45/05/1051154505.db2.gz SMSGOMBPPFSQLT-OAQYLSRUSA-N 0 3 323.484 4.163 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1CC[C@@H]2CCCC[C@@H]21 ZINC001171533616 1051154624 /nfs/dbraw/zinc/15/46/24/1051154624.db2.gz XTLLORYIRVQECI-WMZOPIPTSA-N 0 3 312.482 4.498 20 0 DIADHN CC[C@H]1C[C@H](N(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC001170203137 1051155955 /nfs/dbraw/zinc/15/59/55/1051155955.db2.gz IZUXTIBJPOGHNP-KGLIPLIRSA-N 0 3 302.245 4.383 20 0 DIADHN CCN(C(=O)CN(C)CCC(C)(C)C)[C@H](C)c1ccc(F)cc1 ZINC001171523463 1051159291 /nfs/dbraw/zinc/15/92/91/1051159291.db2.gz PCIRFCPFTBYQRP-OAHLLOKOSA-N 0 3 322.468 4.103 20 0 DIADHN Cc1cccc2c1CC[C@H](n1c3ccccc3nc1[C@@H](C)N)C2 ZINC001171550689 1051163336 /nfs/dbraw/zinc/16/33/36/1051163336.db2.gz YREPZQPVQMHTGG-ZBFHGGJFSA-N 0 3 305.425 4.094 20 0 DIADHN COc1cc(CN2CCC[C@H](c3cccnc3)C2)ccc1Cl ZINC001171559896 1051166392 /nfs/dbraw/zinc/16/63/92/1051166392.db2.gz AMSRQVWQPCHYHC-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN CC(C)C[C@@H]1CC(=O)N(CN[C@H](c2ccccc2)C(C)(C)C)C1 ZINC000744430709 1051177110 /nfs/dbraw/zinc/17/71/10/1051177110.db2.gz HPIBAGLWHMZRHZ-VQIMIIECSA-N 0 3 316.489 4.216 20 0 DIADHN CC[C@@H](C)N1CCN([C@@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC001170316335 1051185875 /nfs/dbraw/zinc/18/58/75/1051185875.db2.gz XPJKDSDPILBMLK-OLZOCXBDSA-N 0 3 315.288 4.471 20 0 DIADHN CO[C@H](CN1CCCc2ccccc2CC1)c1ccc(F)cc1 ZINC001170342739 1051193317 /nfs/dbraw/zinc/19/33/17/1051193317.db2.gz QEZMNNWAZYFIGK-HXUWFJFHSA-N 0 3 313.416 4.004 20 0 DIADHN COc1cccc2c1CN(CCc1ccc3ccccc3c1)CC2 ZINC001170342481 1051193468 /nfs/dbraw/zinc/19/34/68/1051193468.db2.gz OCHLNZKSTMRBJZ-UHFFFAOYSA-N 0 3 317.432 4.449 20 0 DIADHN Clc1cc2c(cc1CN1CCCC[C@@H]1C1CCC1)OCCO2 ZINC001171751725 1051203945 /nfs/dbraw/zinc/20/39/45/1051203945.db2.gz NLBLLCHJEFLADR-MRXNPFEDSA-N 0 3 321.848 4.266 20 0 DIADHN C[C@H](NCCOc1cc(Cl)cc(Cl)c1)c1ccccn1 ZINC000181788919 1051207440 /nfs/dbraw/zinc/20/74/40/1051207440.db2.gz BCDQFLADVGKDQA-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN Fc1cccc2c1C[C@H](N[C@H](c1ccccc1)c1ccncc1)C2 ZINC001171774431 1051210981 /nfs/dbraw/zinc/21/09/81/1051210981.db2.gz ZTXBNPHBCSYZLA-WIYYLYMNSA-N 0 3 318.395 4.067 20 0 DIADHN Fc1cccc2c1C[C@H](N[C@@H](c1ccccc1)c1ccncc1)C2 ZINC001171774429 1051211726 /nfs/dbraw/zinc/21/17/26/1051211726.db2.gz ZTXBNPHBCSYZLA-NQIIRXRSSA-N 0 3 318.395 4.067 20 0 DIADHN Fc1cccc2c1C[C@H](NC1(c3ccccc3Cl)CC1)C2 ZINC001171777151 1051213872 /nfs/dbraw/zinc/21/38/72/1051213872.db2.gz JKQOCGKMCFUCBS-CYBMUJFWSA-N 0 3 301.792 4.225 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N1CC2(CCCCCC2)[C@@H]1C(C)C ZINC001171737931 1051216335 /nfs/dbraw/zinc/21/63/35/1051216335.db2.gz UETZLFLWGAMERU-MSOLQXFVSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)N1CC1CCC(C)(C)CC1 ZINC001171791806 1051221733 /nfs/dbraw/zinc/22/17/33/1051221733.db2.gz PQIQRUGBHUCEBO-GDBMZVCRSA-N 0 3 309.494 4.397 20 0 DIADHN Cc1cc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)c(C)s1 ZINC000792911574 1051226356 /nfs/dbraw/zinc/22/63/56/1051226356.db2.gz XVFJFRXGJILJIQ-QWHCGFSZSA-N 0 3 304.286 4.381 20 0 DIADHN CCCCOC(=O)[C@H](C)N1CCC[C@@]1(CC)Cc1ccccc1 ZINC001171876160 1051228044 /nfs/dbraw/zinc/22/80/44/1051228044.db2.gz UWXIDGRKFPPEMB-PXNSSMCTSA-N 0 3 317.473 4.206 20 0 DIADHN CN(C[C@@H]1C[C@H]2C[C@H]2C1)[C@@H](CO)c1cccc(Cl)c1Cl ZINC001171811558 1051228978 /nfs/dbraw/zinc/22/89/78/1051228978.db2.gz XRHZSQLFGDBZEC-OXIQGZBJSA-N 0 3 314.256 4.005 20 0 DIADHN CCOc1ccccc1CN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC000792914227 1051228892 /nfs/dbraw/zinc/22/88/92/1051228892.db2.gz XCZUCTRZARULKY-CABCVRRESA-N 0 3 314.256 4.101 20 0 DIADHN COc1ncc(CN(C)C(C)(C)c2ccccc2)cc1Cl ZINC000792926665 1051230780 /nfs/dbraw/zinc/23/07/80/1051230780.db2.gz NSOJXHSZVAPMEM-UHFFFAOYSA-N 0 3 304.821 4.111 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC=C(c2cnn(C)c2)C1 ZINC001171867644 1051233307 /nfs/dbraw/zinc/23/33/07/1051233307.db2.gz JTYJOQYVOBOTTB-GOSISDBHSA-N 0 3 315.848 4.314 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1ncc(Br)cc1Cl ZINC001171916272 1051239127 /nfs/dbraw/zinc/23/91/27/1051239127.db2.gz KSHXMSDRMAOWNE-SECBINFHSA-N 0 3 319.674 4.412 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CC[C@@H](C)[C@H](F)C1 ZINC001171971057 1051260160 /nfs/dbraw/zinc/26/01/60/1051260160.db2.gz UFQAQFYPMGMXKD-TYNCELHUSA-N 0 3 303.343 4.316 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CC2(C1)CC(F)(F)C2 ZINC001171971370 1051262084 /nfs/dbraw/zinc/26/20/84/1051262084.db2.gz UURHLBJMWHCZTE-NSHDSACASA-N 0 3 319.317 4.368 20 0 DIADHN CCC[C@H](NCc1cc(F)c(F)cc1F)[C@H](O)c1ccccc1 ZINC000793241752 1051269399 /nfs/dbraw/zinc/26/93/99/1051269399.db2.gz XIZMAZJHXWVHRO-ZWKOTPCHSA-N 0 3 323.358 4.096 20 0 DIADHN CCC[C@@H](NCc1cc(F)c(F)cc1F)[C@H](O)c1ccccc1 ZINC000793241750 1051270198 /nfs/dbraw/zinc/27/01/98/1051270198.db2.gz XIZMAZJHXWVHRO-QZTJIDSGSA-N 0 3 323.358 4.096 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)NCc1cccnc1F ZINC000793288602 1051276287 /nfs/dbraw/zinc/27/62/87/1051276287.db2.gz HWOZFDLKLLRWGD-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](NCc1cccnc1F)[C@H](C)c1nc2ccccc2s1 ZINC000793283029 1051279685 /nfs/dbraw/zinc/27/96/85/1051279685.db2.gz YWRVOXRFXCRFJO-RYUDHWBXSA-N 0 3 315.417 4.112 20 0 DIADHN C[C@@H](NCc1cccnc1F)[C@H](C)c1nc2ccccc2s1 ZINC000793283028 1051280460 /nfs/dbraw/zinc/28/04/60/1051280460.db2.gz YWRVOXRFXCRFJO-NWDGAFQWSA-N 0 3 315.417 4.112 20 0 DIADHN Fc1ccc(Nc2cccc(CN3CCCCC3)c2F)c(F)n1 ZINC001215675685 1051293807 /nfs/dbraw/zinc/29/38/07/1051293807.db2.gz OEOVBAAHRCCLQQ-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Cc1cc(Nc2cccc(CN3CCCCC3)c2F)cnc1F ZINC001215675506 1051294444 /nfs/dbraw/zinc/29/44/44/1051294444.db2.gz ACTCGAQZZCFBDL-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3cccnc3Cl)C2)cc1 ZINC000793386386 1051295070 /nfs/dbraw/zinc/29/50/70/1051295070.db2.gz OLYVSNCYHMVPDN-CTYIDZIISA-N 0 3 307.224 4.424 20 0 DIADHN CC(C)n1cnc(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215678147 1051295932 /nfs/dbraw/zinc/29/59/32/1051295932.db2.gz WGXFZBFAXFHKFK-UHFFFAOYSA-N 0 3 316.424 4.333 20 0 DIADHN OCc1ccc(Nc2cccc(CN3CCCCC3)c2F)cc1 ZINC001215676880 1051296781 /nfs/dbraw/zinc/29/67/81/1051296781.db2.gz IICJBSQZJLXSEF-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN c1ccc2cc(CN[C@H]3CSc4ccccc4C3)ncc2c1 ZINC001172184240 1051308372 /nfs/dbraw/zinc/30/83/72/1051308372.db2.gz KAQOUPHJKGXABX-GOSISDBHSA-N 0 3 306.434 4.041 20 0 DIADHN FC(F)Oc1ccccc1CN[C@@H]1CSc2ccccc2C1 ZINC001172188003 1051308444 /nfs/dbraw/zinc/30/84/44/1051308444.db2.gz PFECGZZVSKEJOS-AWEZNQCLSA-N 0 3 321.392 4.095 20 0 DIADHN C[C@H](N[C@H]1CSc2ccccc2C1)c1ccc(F)cc1F ZINC001172186436 1051308605 /nfs/dbraw/zinc/30/86/05/1051308605.db2.gz YXWQFPLWHQXCDC-SMDDNHRTSA-N 0 3 305.393 4.332 20 0 DIADHN CC[C@@H](NCc1ccnc(N(C)C)c1)c1cccc(Cl)c1 ZINC000746044742 1051308974 /nfs/dbraw/zinc/30/89/74/1051308974.db2.gz FFKALLCHYSZMAZ-MRXNPFEDSA-N 0 3 303.837 4.042 20 0 DIADHN CCc1cc(CN[C@@H](C)c2ccc(OC(C)C)c(Cl)c2)n[nH]1 ZINC000793542037 1051314137 /nfs/dbraw/zinc/31/41/37/1051314137.db2.gz OVYCLBRCAKLUMP-LBPRGKRZSA-N 0 3 321.852 4.264 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)n(C)n1 ZINC000793537758 1051314233 /nfs/dbraw/zinc/31/42/33/1051314233.db2.gz AHPDDPHMXYPXRZ-JYURXASOSA-N 0 3 317.864 4.430 20 0 DIADHN COc1ncc([C@H](C)NCc2c(C)cccc2C)cc1Cl ZINC000793546963 1051315069 /nfs/dbraw/zinc/31/50/69/1051315069.db2.gz HGKKDRJFISQDRX-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN Fc1ccnc(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215709935 1051317154 /nfs/dbraw/zinc/31/71/54/1051317154.db2.gz JKIJTGMAAHWKEY-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Fc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)nc1 ZINC001215710073 1051317723 /nfs/dbraw/zinc/31/77/23/1051317723.db2.gz LJEGCZYBICJMIM-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Clc1cccc2c1CN([C@H]1CSc3ccccc3C1)C2 ZINC001172206424 1051318082 /nfs/dbraw/zinc/31/80/82/1051318082.db2.gz QQTAWUVMIWWUER-CQSZACIVSA-N 0 3 301.842 4.373 20 0 DIADHN Nc1ccc2c(ccn2-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215712071 1051318645 /nfs/dbraw/zinc/31/86/45/1051318645.db2.gz OKUGZBSHIBLRSH-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Cc1cc(N)ccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215712068 1051319420 /nfs/dbraw/zinc/31/94/20/1051319420.db2.gz OGFKSDIZNVUONN-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Oc1cccc(F)c1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215711714 1051319878 /nfs/dbraw/zinc/31/98/78/1051319878.db2.gz GDZHYJFVZGVDAQ-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Clc1ccc(C2(NC3C[C@H]4CC[C@@H](C3)O4)CC2)c(Cl)c1 ZINC001172219270 1051319970 /nfs/dbraw/zinc/31/99/70/1051319970.db2.gz MUAXDLZLLGIBGI-YHWZYXNKSA-N 0 3 312.240 4.282 20 0 DIADHN C[C@@H](NC1C[C@H]2CC[C@@H](C1)O2)c1c(Cl)cccc1Cl ZINC001172219618 1051320390 /nfs/dbraw/zinc/32/03/90/1051320390.db2.gz ZBEYBBJJVOELHB-WAAKLRNESA-N 0 3 300.229 4.354 20 0 DIADHN Cc1cccc(CN(C)Cn2cnc3cc(F)c(F)cc32)c1C ZINC000793567309 1051321145 /nfs/dbraw/zinc/32/11/45/1051321145.db2.gz DDBQNHJLUNEMOE-UHFFFAOYSA-N 0 3 315.367 4.021 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(F)cc2)C(C)(C)C)n(C)n1 ZINC000793529995 1051321716 /nfs/dbraw/zinc/32/17/16/1051321716.db2.gz VQDUJJHNHRRBLC-SUMWQHHRSA-N 0 3 303.425 4.306 20 0 DIADHN CC(C)(N[C@@H]1CSc2ccccc2C1)c1cc(Cl)ccn1 ZINC001172192966 1051322328 /nfs/dbraw/zinc/32/23/28/1051322328.db2.gz IKCNJZSQMMAAQQ-AWEZNQCLSA-N 0 3 318.873 4.277 20 0 DIADHN Clc1ccc2c(c1)OC[C@@H]2N[C@H]1CSc2ccccc2C1 ZINC001172196466 1051323993 /nfs/dbraw/zinc/32/39/93/1051323993.db2.gz FVNWTAJQGKDOKI-HIFRSBDPSA-N 0 3 317.841 4.080 20 0 DIADHN C[C@H](c1ccco1)N(C)C[C@@H](O)c1cccc(C(F)(F)F)c1 ZINC000746124791 1051324715 /nfs/dbraw/zinc/32/47/15/1051324715.db2.gz XWGQMSGXGPUODZ-BXUZGUMPSA-N 0 3 313.319 4.025 20 0 DIADHN CC[C@@H](NCc1cc(=O)oc2cc(OC)ccc12)c1ccccc1 ZINC000746188593 1051336644 /nfs/dbraw/zinc/33/66/44/1051336644.db2.gz CTEAPAAJXFVZGA-GOSISDBHSA-N 0 3 323.392 4.043 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1c(Cl)ccc(C)c1F ZINC001172322162 1051341856 /nfs/dbraw/zinc/34/18/56/1051341856.db2.gz SJFMHOJDAZMBQS-STQMWFEESA-N 0 3 301.833 4.471 20 0 DIADHN c1coc([C@@H](N[C@H]2CCOC3(CCCC3)C2)c2ccccc2)c1 ZINC000183799438 1051342554 /nfs/dbraw/zinc/34/25/54/1051342554.db2.gz CDIQQMOWVNFWIX-HKUYNNGSSA-N 0 3 311.425 4.450 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1c(F)ccc(C)c1Cl ZINC001172322836 1051343950 /nfs/dbraw/zinc/34/39/50/1051343950.db2.gz ZAYSOAAUOIMTDA-STQMWFEESA-N 0 3 301.833 4.471 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N[C@H](c1ccccc1)c1ccccn1 ZINC001172327247 1051345216 /nfs/dbraw/zinc/34/52/16/1051345216.db2.gz MLLYKZDRFHSHAN-FUHIMQAGSA-N 0 3 312.457 4.354 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@H](c2ccccc2)C(F)(F)F)s1 ZINC000186846413 1051349677 /nfs/dbraw/zinc/34/96/77/1051349677.db2.gz XRBJKPZAMJBTIZ-ZWNOBZJWSA-N 0 3 314.376 4.448 20 0 DIADHN Cc1ccc(CN[C@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000378903857 1051351599 /nfs/dbraw/zinc/35/15/99/1051351599.db2.gz DIGHJIAGFSEUDA-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN C[C@@H]1CC[C@@H](N(Cc2cccnc2)Cc2cccnc2)C[C@H]1C ZINC001172374401 1051353409 /nfs/dbraw/zinc/35/34/09/1051353409.db2.gz QGIOYKBIAKRZRK-MBOZVWFJSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@@H]1CC[C@H](N2CCc3nn(-c4ccccc4)cc3C2)C[C@@H]1C ZINC001172378811 1051354219 /nfs/dbraw/zinc/35/42/19/1051354219.db2.gz MFTKWKMDQOAROT-GJYPPUQNSA-N 0 3 309.457 4.055 20 0 DIADHN C[C@@H]1CC[C@H](N2CCc3nn(-c4ccccc4)cc3C2)C[C@H]1C ZINC001172378814 1051354320 /nfs/dbraw/zinc/35/43/20/1051354320.db2.gz MFTKWKMDQOAROT-MDZRGWNJSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)N1CCN(c2cccc(Cl)c2)CC1 ZINC001172329244 1051357841 /nfs/dbraw/zinc/35/78/41/1051357841.db2.gz GIRHYMKFMREWHY-HZPDHXFCSA-N 0 3 324.896 4.056 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(c3cc4ccccc4[nH]3)CC2)cn1 ZINC000794306640 1051369409 /nfs/dbraw/zinc/36/94/09/1051369409.db2.gz VMGQYWQPFLVXOU-HNNXBMFYSA-N 0 3 320.440 4.207 20 0 DIADHN Brc1cscc1CNCCCc1cccs1 ZINC000381601973 1051373133 /nfs/dbraw/zinc/37/31/33/1051373133.db2.gz HKNMVGKKYBSUGI-UHFFFAOYSA-N 0 3 316.289 4.295 20 0 DIADHN COc1cccc(Nc2cccc3c2OCC[C@H]3N(C)C)c1C ZINC001215802116 1051378879 /nfs/dbraw/zinc/37/88/79/1051378879.db2.gz WXZSASGYIAFMSF-QGZVFWFLSA-N 0 3 312.413 4.132 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CC[C@@H](C)[C@@H](C)C3)co2)c1 ZINC001172369145 1051379079 /nfs/dbraw/zinc/37/90/79/1051379079.db2.gz PPSWXLKEFIWGBW-IJEWVQPXSA-N 0 3 314.429 4.265 20 0 DIADHN CCOCC[C@H](C)n1c2ccccc2nc1[C@H](N)CC(C)C ZINC001172415826 1051384204 /nfs/dbraw/zinc/38/42/04/1051384204.db2.gz BBQILWCNIUGQRT-LSDHHAIUSA-N 0 3 303.450 4.070 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1ccc(Br)cc1F ZINC000385700170 1051388414 /nfs/dbraw/zinc/38/84/14/1051388414.db2.gz JELRKMOZHCZHIM-SCZZXKLOSA-N 0 3 322.168 4.427 20 0 DIADHN CC(C)COCC[C@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001172470717 1051400479 /nfs/dbraw/zinc/40/04/79/1051400479.db2.gz GQDMESFXQVSJPU-AWEZNQCLSA-N 0 3 320.408 4.022 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cccc(F)c1 ZINC000391400926 1051405314 /nfs/dbraw/zinc/40/53/14/1051405314.db2.gz BUOUCDKTELBOKL-ABAIWWIYSA-N 0 3 313.376 4.210 20 0 DIADHN CSc1cc(F)c(Nc2ccc3c(c2)CN(C)CC3)c(F)c1 ZINC001215845786 1051410999 /nfs/dbraw/zinc/41/09/99/1051410999.db2.gz CBJWVUMMRPJEHY-UHFFFAOYSA-N 0 3 320.408 4.418 20 0 DIADHN O[C@@H](CN1CC[C@@H]1c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000746457250 1051421056 /nfs/dbraw/zinc/42/10/56/1051421056.db2.gz MDZKOJCYQBGMCU-SJORKVTESA-N 0 3 321.342 4.186 20 0 DIADHN Cc1ccoc1CNC[C@@H](O)c1ccc(-c2ccccc2)cc1 ZINC000766471708 1051428683 /nfs/dbraw/zinc/42/86/83/1051428683.db2.gz KFTVHYLLVRQTBU-LJQANCHMSA-N 0 3 307.393 4.078 20 0 DIADHN Cc1cccc(C2(N[C@@H]3CC[C@@H](c4ccccc4)C3)COC2)c1 ZINC001172551433 1051434959 /nfs/dbraw/zinc/43/49/59/1051434959.db2.gz PWKGYZUOESFLRE-UYAOXDASSA-N 0 3 307.437 4.146 20 0 DIADHN Cc1cccc(C2(N[C@H]3CC[C@@H](c4ccccc4)C3)COC2)c1 ZINC001172551432 1051435495 /nfs/dbraw/zinc/43/54/95/1051435495.db2.gz PWKGYZUOESFLRE-QUCCMNQESA-N 0 3 307.437 4.146 20 0 DIADHN COC(=O)c1cccc2c1CN([C@H]1CC[C@@H](c3ccccc3)C1)C2 ZINC001172562559 1051442756 /nfs/dbraw/zinc/44/27/56/1051442756.db2.gz BSNPTCIGTMUFES-AEFFLSMTSA-N 0 3 321.420 4.125 20 0 DIADHN CCOc1cc(Nc2ccc3c(c2)CN(C)CC3)ccc1Cl ZINC001212580865 1051443431 /nfs/dbraw/zinc/44/34/31/1051443431.db2.gz FQSIBEGGRMGLHU-UHFFFAOYSA-N 0 3 316.832 4.470 20 0 DIADHN c1ccc([C@@H]2CC[C@H](N3CCO[C@@H](c4ccccc4)C3)C2)cc1 ZINC001172565040 1051443902 /nfs/dbraw/zinc/44/39/02/1051443902.db2.gz PJPBRFYQIZTTFK-QHAWAJNXSA-N 0 3 307.437 4.396 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)N[C@@H](C)c1ccno1 ZINC001172569484 1051446375 /nfs/dbraw/zinc/44/63/75/1051446375.db2.gz FSNZBMYHXRASPG-ZJUUUORDSA-N 0 3 314.763 4.278 20 0 DIADHN FC1(F)CSC2(CN([C@@H]3CC[C@@H](c4ccccc4)C3)C2)C1 ZINC001172570853 1051446409 /nfs/dbraw/zinc/44/64/09/1051446409.db2.gz XVRLZLWJTHUTEI-HUUCEWRRSA-N 0 3 309.425 4.149 20 0 DIADHN CO[C@H]1CCCN([C@H](C)CCc2c(F)cc(Cl)cc2F)C1 ZINC001172568655 1051446885 /nfs/dbraw/zinc/44/68/85/1051446885.db2.gz ANPJVWFNPTVHND-YPMHNXCESA-N 0 3 317.807 4.050 20 0 DIADHN CC(C)c1cc(CNc2cccc(N3CCCC[C@H]3C)n2)on1 ZINC001168827901 1051448262 /nfs/dbraw/zinc/44/82/62/1051448262.db2.gz QUGASCVMHWLQRT-CQSZACIVSA-N 0 3 314.433 4.184 20 0 DIADHN CCN(CC)Cc1cccc(NCc2cc(C(C)C)no2)c1F ZINC001168827856 1051448603 /nfs/dbraw/zinc/44/86/03/1051448603.db2.gz OUCVJVAQUKMJDN-UHFFFAOYSA-N 0 3 319.424 4.391 20 0 DIADHN Cc1cc(CN[C@@H](C)CCc2c(F)cc(Cl)cc2F)on1 ZINC001172576484 1051450729 /nfs/dbraw/zinc/45/07/29/1051450729.db2.gz NJBAMDJODCCCLH-VIFPVBQESA-N 0 3 314.763 4.026 20 0 DIADHN Cc1ccc([C@@H](C)Nc2cccc(N3CCCC[C@H]3C)n2)nc1 ZINC001168852739 1051450741 /nfs/dbraw/zinc/45/07/41/1051450741.db2.gz UWMTZJLBAKNJHB-HZPDHXFCSA-N 0 3 310.445 4.337 20 0 DIADHN Cc1ccc([C@@H](C)Nc2ccc(F)c(CN3CCCC3)c2)nc1 ZINC001168860845 1051453894 /nfs/dbraw/zinc/45/38/94/1051453894.db2.gz OAKSNSCNHYIQAK-OAHLLOKOSA-N 0 3 313.420 4.298 20 0 DIADHN O=C(CN[C@@H]1CCC[C@@H](c2ccccc2)C1)c1ccccc1F ZINC001172543703 1051456006 /nfs/dbraw/zinc/45/60/06/1051456006.db2.gz RLGORJUARKVBFE-IAGOWNOFSA-N 0 3 311.400 4.324 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)cs1 ZINC000766528551 1051468752 /nfs/dbraw/zinc/46/87/52/1051468752.db2.gz MPXFNAJKHLBQPD-LXTVHRRPSA-N 0 3 308.491 4.299 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](N1CCSc3ccccc3C1)C2 ZINC001172721077 1051481864 /nfs/dbraw/zinc/48/18/64/1051481864.db2.gz BLCNORWCRIEGEQ-KRWDZBQOSA-N 0 3 315.869 4.415 20 0 DIADHN CCCCCCN1CCN([C@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172720771 1051482085 /nfs/dbraw/zinc/48/20/85/1051482085.db2.gz WZNXBQPAKBFQHQ-IBGZPJMESA-N 0 3 320.908 4.005 20 0 DIADHN CN(c1cc(N)ccn1)c1c(Cl)cccc1C(F)(F)F ZINC001215969413 1051493327 /nfs/dbraw/zinc/49/33/27/1051493327.db2.gz FOENSNWVUFRLTR-UHFFFAOYSA-N 0 3 301.699 4.104 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3c(O)cccc3Cl)cccc21 ZINC001215986639 1051503853 /nfs/dbraw/zinc/50/38/53/1051503853.db2.gz JNPSBNOSSHASOC-CQSZACIVSA-N 0 3 318.804 4.174 20 0 DIADHN CCCc1cc(CN)nn1C1CCC(Cc2ccccc2)CC1 ZINC001172819148 1051511549 /nfs/dbraw/zinc/51/15/49/1051511549.db2.gz ASSCNKKJHFACQN-UHFFFAOYSA-N 0 3 311.473 4.268 20 0 DIADHN CCc1ccccc1CCN[C@H](COCC(C)C)c1ccco1 ZINC001169102087 1051511548 /nfs/dbraw/zinc/51/15/48/1051511548.db2.gz JMMSVEOOALWRPY-LJQANCHMSA-N 0 3 315.457 4.388 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](n1c3ccccc3nc1[C@@H](C)N)CC2 ZINC001172824056 1051513867 /nfs/dbraw/zinc/51/38/67/1051513867.db2.gz PPQODCBFJJTVQF-PBHICJAKSA-N 0 3 305.425 4.094 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)N1CCO[C@@H](c2ccccn2)C1 ZINC001169085616 1051517608 /nfs/dbraw/zinc/51/76/08/1051517608.db2.gz PHGOAFXZYORAFK-TZIWHRDSSA-N 0 3 324.468 4.112 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CC[C@@]2(C1)CCCCO2 ZINC001172865061 1051524899 /nfs/dbraw/zinc/52/48/99/1051524899.db2.gz ZCZNOYMJSDEVOF-CXAGYDPISA-N 0 3 311.828 4.055 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000766605284 1051527747 /nfs/dbraw/zinc/52/77/47/1051527747.db2.gz TWKPZLUEAWRKTH-GRYCIOLGSA-N 0 3 318.269 4.301 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](NCc1c(Cl)cncc1Cl)CC2 ZINC001172841577 1051530356 /nfs/dbraw/zinc/53/03/56/1051530356.db2.gz HSFYZUCZVGNYAH-AWEZNQCLSA-N 0 3 321.251 4.344 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N[C@H]1COc2ccc(F)cc21 ZINC001172841463 1051530732 /nfs/dbraw/zinc/53/07/32/1051530732.db2.gz KNXMLRKIVACGSN-QFYYESIMSA-N 0 3 323.770 4.273 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N[C@@H]1COc2ccc(F)cc21 ZINC001172841465 1051531026 /nfs/dbraw/zinc/53/10/26/1051531026.db2.gz KNXMLRKIVACGSN-QLJPJBMISA-N 0 3 323.770 4.273 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cn(C2CCC2)cn1 ZINC001172845263 1051531960 /nfs/dbraw/zinc/53/19/60/1051531960.db2.gz GZCMBNDABBDOFK-LBPRGKRZSA-N 0 3 321.827 4.121 20 0 DIADHN CSc1ncc(Nc2ccccc2CN(C)C)cc1Cl ZINC001216029039 1051534616 /nfs/dbraw/zinc/53/46/16/1051534616.db2.gz UUJUFOCULZVUJL-UHFFFAOYSA-N 0 3 307.850 4.262 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1noc2ccccc21 ZINC001172851439 1051536086 /nfs/dbraw/zinc/53/60/86/1051536086.db2.gz JJEPSSBIWFBSMM-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN CCOCC1CCN([C@@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172855092 1051541206 /nfs/dbraw/zinc/54/12/06/1051541206.db2.gz BZRYHSQNQUNHDC-ZDUSSCGKSA-N 0 3 313.844 4.159 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CC[C@]2(CC2(F)F)C1 ZINC001172859257 1051545166 /nfs/dbraw/zinc/54/51/66/1051545166.db2.gz ZDKQMCJTCKUXLY-HZMBPMFUSA-N 0 3 303.755 4.141 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000311973050 1051576516 /nfs/dbraw/zinc/57/65/16/1051576516.db2.gz PIYIJWJKANCFQN-RMLUDKJBSA-N 0 3 322.663 4.458 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CC[C@H](F)C(F)(F)CC1 ZINC001172863837 1051549277 /nfs/dbraw/zinc/54/92/77/1051549277.db2.gz LATNUTWNSQMCOY-HZMBPMFUSA-N 0 3 323.761 4.479 20 0 DIADHN CO[C@@H]1CCC[C@H](N(C)Cc2cccc(Cl)c2Cl)C1 ZINC001172938234 1051579118 /nfs/dbraw/zinc/57/91/18/1051579118.db2.gz KKHAOJWVLREJIJ-QWHCGFSZSA-N 0 3 302.245 4.383 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CC[C@]2(CC2(F)F)C1 ZINC001172870052 1051554547 /nfs/dbraw/zinc/55/45/47/1051554547.db2.gz SXYFVUZLXCBJKT-HZMBPMFUSA-N 0 3 303.755 4.141 20 0 DIADHN Cc1cccnc1CN[C@@H](C)CSc1ccc(Cl)cc1 ZINC001172872366 1051555945 /nfs/dbraw/zinc/55/59/45/1051555945.db2.gz YCSZOSOGQHKCGQ-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1cccc(CN[C@@H](C)CSc2ccc(Cl)cc2)n1 ZINC001172872433 1051556673 /nfs/dbraw/zinc/55/66/73/1051556673.db2.gz YZPGCHSRDSDQSC-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1cccc2c1CN(Cc1ccc(OC(F)F)cc1)CC2 ZINC001169224274 1051557402 /nfs/dbraw/zinc/55/74/02/1051557402.db2.gz GFWOTGOTPUYNEX-UHFFFAOYSA-N 0 3 303.352 4.155 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NCc1ccncc1F ZINC001172875236 1051558200 /nfs/dbraw/zinc/55/82/00/1051558200.db2.gz NMCBVNGFRWBTNP-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN COc1ccc([C@H](C)NC/C=C/c2ccccc2)cc1NC(C)=O ZINC000178136648 1051561426 /nfs/dbraw/zinc/56/14/26/1051561426.db2.gz DTEGAKRWZCXJQS-VSGCLNPGSA-N 0 3 324.424 4.018 20 0 DIADHN COc1ccc(CN[C@H](C)CSc2ccc(Cl)cc2)nc1 ZINC001172879508 1051561682 /nfs/dbraw/zinc/56/16/82/1051561682.db2.gz NQXHVXKASRCBDQ-GFCCVEGCSA-N 0 3 322.861 4.014 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)nc2ccccc12)c1ccsc1 ZINC000178194154 1051564490 /nfs/dbraw/zinc/56/44/90/1051564490.db2.gz ATSQJFBWONDNNQ-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN CN(C)Cc1ccc(-c2nc(-c3ccc(Cl)cc3)no2)cc1 ZINC001216084115 1051570972 /nfs/dbraw/zinc/57/09/72/1051570972.db2.gz KLIPDVPSUDZEAG-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC001169270208 1051575861 /nfs/dbraw/zinc/57/58/61/1051575861.db2.gz FVNCYLHMPMSPJJ-RTXFEEFZSA-N 0 3 318.490 4.120 20 0 DIADHN COc1cc(Br)ccc1[C@H](C)NCC1=CCCC1 ZINC001169315399 1051576790 /nfs/dbraw/zinc/57/67/90/1051576790.db2.gz IQNLQCXJXHXTSZ-NSHDSACASA-N 0 3 310.235 4.219 20 0 DIADHN CO[C@H]1CCC[C@H](N2CCc3ccc(C(F)(F)F)cc3C2)C1 ZINC001172940141 1051578203 /nfs/dbraw/zinc/57/82/03/1051578203.db2.gz VMJWLEDKOOFDFP-HOTGVXAUSA-N 0 3 313.363 4.021 20 0 DIADHN CCCC[C@@H](NCc1cnc(C)s1)c1ccc(OC)cc1 ZINC000103172591 1051586594 /nfs/dbraw/zinc/58/65/94/1051586594.db2.gz OMLUFNFMRKKBHA-QGZVFWFLSA-N 0 3 304.459 4.481 20 0 DIADHN CCNc1nc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)cs1 ZINC001169366203 1051591165 /nfs/dbraw/zinc/59/11/65/1051591165.db2.gz LEVVIIXTCAVZKI-DOTOQJQBSA-N 0 3 315.486 4.076 20 0 DIADHN C[C@H](NC1CCC2(CCOCC2)CC1)c1c(F)cccc1F ZINC001173043332 1051592390 /nfs/dbraw/zinc/59/23/90/1051592390.db2.gz ZSPOYSIMKXTMQW-ZDUSSCGKSA-N 0 3 309.400 4.355 20 0 DIADHN CCCOC1CCC(N[C@H](c2ccccc2)c2ccccn2)CC1 ZINC001173088932 1051595621 /nfs/dbraw/zinc/59/56/21/1051595621.db2.gz GWTGTJJUHWXJGN-GZNCHQMQSA-N 0 3 324.468 4.498 20 0 DIADHN C[C@@H]1CC[C@H](N2CC3(C2)CCCCC3)CN1C(=O)OC(C)(C)C ZINC001173067842 1051602345 /nfs/dbraw/zinc/60/23/45/1051602345.db2.gz ZIBLTIRPMSRAQU-CVEARBPZSA-N 0 3 322.493 4.041 20 0 DIADHN CCCOC1CCC(N2CCc3cccc(Cl)c3C2)CC1 ZINC001173101835 1051607383 /nfs/dbraw/zinc/60/73/83/1051607383.db2.gz UPWDEZJSUHPDOA-UHFFFAOYSA-N 0 3 307.865 4.436 20 0 DIADHN CCC1(CN[C@@H](c2cnn(C)c2)c2cccc(F)c2)CCCC1 ZINC000493307972 1051611093 /nfs/dbraw/zinc/61/10/93/1051611093.db2.gz XPNYMZXETRWYPM-GOSISDBHSA-N 0 3 315.436 4.209 20 0 DIADHN Cc1cccc2c1[C@@H](NC1CCC3(CCCCC3)CC1)C(=O)N2 ZINC001173115407 1051612096 /nfs/dbraw/zinc/61/20/96/1051612096.db2.gz YYWAWDLZOYUYGS-GOSISDBHSA-N 0 3 312.457 4.471 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCC[C@H]3Cc3ccccc3)nc2c1 ZINC000493526140 1051631474 /nfs/dbraw/zinc/63/14/74/1051631474.db2.gz MTQJFQAUJUGACS-DOTOQJQBSA-N 0 3 324.399 4.468 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCC[C@@H]3Cc3ccccc3)oc2c1 ZINC000493570966 1051633528 /nfs/dbraw/zinc/63/35/28/1051633528.db2.gz DDSPGMAHQQNCHX-NVXWUHKLSA-N 0 3 324.399 4.468 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)NCc1cnc(Cl)cc1Cl ZINC001168459428 1051634148 /nfs/dbraw/zinc/63/41/48/1051634148.db2.gz MDRPSYFKBYDGCU-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCC[C@H]3Cc3ccccc3)oc2c1 ZINC000493570965 1051634548 /nfs/dbraw/zinc/63/45/48/1051634548.db2.gz DDSPGMAHQQNCHX-DOTOQJQBSA-N 0 3 324.399 4.468 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN([C@@H]2C=CCCCCC2)CC1 ZINC001169536784 1051636594 /nfs/dbraw/zinc/63/65/94/1051636594.db2.gz DWRNCWUPFYUNRJ-FUHWJXTLSA-N 0 3 304.503 4.316 20 0 DIADHN C[C@H](c1ccsc1)N1CCN([C@@H]2C=CCCCCC2)CC1 ZINC001169536785 1051637327 /nfs/dbraw/zinc/63/73/27/1051637327.db2.gz DWRNCWUPFYUNRJ-SJLPKXTDSA-N 0 3 304.503 4.316 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN(CCCc2ccccc2)CC1 ZINC001169540316 1051638960 /nfs/dbraw/zinc/63/89/60/1051638960.db2.gz OYKNFYYQZYFLIW-KRWDZBQOSA-N 0 3 314.498 4.060 20 0 DIADHN COc1c(O)cccc1CNC1CC(c2cccc(Cl)c2)C1 ZINC000493710145 1051647100 /nfs/dbraw/zinc/64/71/00/1051647100.db2.gz UXNCAWHISYVUNA-UHFFFAOYSA-N 0 3 317.816 4.090 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)N1CCO[C@H](C)C1 ZINC001173207878 1051647396 /nfs/dbraw/zinc/64/73/96/1051647396.db2.gz XZJHWMNEEHBNOW-QZTJIDSGSA-N 0 3 309.453 4.318 20 0 DIADHN c1ccc(OC2CCC(N3CCC[C@@H]3c3ncccn3)CC2)cc1 ZINC001173208616 1051647946 /nfs/dbraw/zinc/64/79/46/1051647946.db2.gz RHKHLJTVACOSPT-NKELODKYSA-N 0 3 323.440 4.004 20 0 DIADHN c1ccc(OC2CCC(N3CCC[C@H]3c3ncccn3)CC2)cc1 ZINC001173208612 1051648063 /nfs/dbraw/zinc/64/80/63/1051648063.db2.gz RHKHLJTVACOSPT-KVZIAJEVSA-N 0 3 323.440 4.004 20 0 DIADHN Cc1ccc2ccc(N3CCCc4ccc(CN)nc43)cc2c1 ZINC001169591193 1051651888 /nfs/dbraw/zinc/65/18/88/1051651888.db2.gz UBHTVBLHEOPBDH-UHFFFAOYSA-N 0 3 303.409 4.086 20 0 DIADHN CCCCCC(=O)N(C(C)C)[C@@H]1CCN(Cc2ccccc2)C1 ZINC001130256688 1051653408 /nfs/dbraw/zinc/65/34/08/1051653408.db2.gz AODMKMOODOTIHY-LJQANCHMSA-N 0 3 316.489 4.078 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)ccc1OC(C)C ZINC001216247614 1051653936 /nfs/dbraw/zinc/65/39/36/1051653936.db2.gz MHRGSCVGJZLNAG-UHFFFAOYSA-N 0 3 314.429 4.288 20 0 DIADHN CCCC[C@@H](CC(C)C)n1nc(-c2ccncc2)cc1CN ZINC001173251556 1051654574 /nfs/dbraw/zinc/65/45/74/1051654574.db2.gz BMVXUYYNPTZJDR-INIZCTEOSA-N 0 3 300.450 4.181 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1csc(Cc2ccccc2)n1 ZINC001169581698 1051656165 /nfs/dbraw/zinc/65/61/65/1051656165.db2.gz IPGRPPQSADTCBJ-PBHICJAKSA-N 0 3 300.471 4.354 20 0 DIADHN Cc1cc2cc(N[C@@H]3CCc4ccccc4[C@H]3O)ccc2cn1 ZINC001169625284 1051659990 /nfs/dbraw/zinc/65/99/90/1051659990.db2.gz BCEQWLATOKTNCN-WOJBJXKFSA-N 0 3 304.393 4.004 20 0 DIADHN CCCC[C@@H](CC(C)C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001173261524 1051662897 /nfs/dbraw/zinc/66/28/97/1051662897.db2.gz ZJENMKAPRGSVPF-LBPRGKRZSA-N 0 3 317.399 4.325 20 0 DIADHN CC[C@H]1CC[C@@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001173261922 1051663257 /nfs/dbraw/zinc/66/32/57/1051663257.db2.gz ALEPRVKCVRPFLQ-GXSJLCMTSA-N 0 3 306.759 4.422 20 0 DIADHN CCSC[C@@H](C)n1c2ccccc2nc1[C@H](N)CC(C)C ZINC001173294586 1051677353 /nfs/dbraw/zinc/67/73/53/1051677353.db2.gz CXCUBYWZVZZOBT-ZIAGYGMSSA-N 0 3 305.491 4.396 20 0 DIADHN CCSC[C@H](C)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001173297874 1051679716 /nfs/dbraw/zinc/67/97/16/1051679716.db2.gz XUADYWIIWTYLDM-ZFWWWQNUSA-N 0 3 306.500 4.158 20 0 DIADHN CC1(C)CO[C@H](CNC2(c3ccc(Cl)c(Cl)c3)CC2)C1 ZINC001169703825 1051680095 /nfs/dbraw/zinc/68/00/95/1051680095.db2.gz BCAIOSZPKLGGMH-LBPRGKRZSA-N 0 3 314.256 4.387 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@@H](C(C)(C)C)C2)c(C)c1 ZINC001169724699 1051683538 /nfs/dbraw/zinc/68/35/38/1051683538.db2.gz UBSLPCGXUNIWMT-DLBZAZTESA-N 0 3 316.489 4.389 20 0 DIADHN CCSC[C@@H](C)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001173311869 1051684662 /nfs/dbraw/zinc/68/46/62/1051684662.db2.gz QROLLQBQJFUQKC-HIFRSBDPSA-N 0 3 303.475 4.165 20 0 DIADHN C[C@@H]1[C@H](C)N(C(=O)C=C2CCC(C(C)(C)C)CC2)[C@@H](C)CN1C ZINC001130369543 1051686571 /nfs/dbraw/zinc/68/65/71/1051686571.db2.gz GXASZNKLPYZNRK-MNTYIPCHSA-N 0 3 320.521 4.089 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCc3ccc(Cl)cc32)ccn1 ZINC000805833643 1051687203 /nfs/dbraw/zinc/68/72/03/1051687203.db2.gz DCJJZPPNNWVKBC-BDJLRTHQSA-N 0 3 302.805 4.082 20 0 DIADHN CC1(C)CN(CC[C@@H](O)c2ccccc2)[C@H]1c1cccs1 ZINC000805838899 1051688577 /nfs/dbraw/zinc/68/85/77/1051688577.db2.gz KHGRVTQBVSALHY-WBVHZDCISA-N 0 3 301.455 4.255 20 0 DIADHN CCSC[C@H](C)NC(C)(C)c1ccccc1Br ZINC001173292176 1051692769 /nfs/dbraw/zinc/69/27/69/1051692769.db2.gz UTTUMBJJSRYPFA-NSHDSACASA-N 0 3 316.308 4.415 20 0 DIADHN CCCc1csc(CNCCc2c[nH]c3c2cccc3C)n1 ZINC000494144137 1051693445 /nfs/dbraw/zinc/69/34/45/1051693445.db2.gz FALXYSPZRPKCRE-UHFFFAOYSA-N 0 3 313.470 4.218 20 0 DIADHN c1coc([C@@H](CNc2nccc3sccc32)N2CCCC2)c1 ZINC000613338927 1051696306 /nfs/dbraw/zinc/69/63/06/1051696306.db2.gz YNLSHAKVGIAIAA-CQSZACIVSA-N 0 3 313.426 4.138 20 0 DIADHN CCC[C@H](CC)OC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000805896103 1051696890 /nfs/dbraw/zinc/69/68/90/1051696890.db2.gz JJPZOUIAYWSRNL-SFHVURJKSA-N 0 3 303.446 4.021 20 0 DIADHN CCC[C@@H](CC)OC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000805896102 1051697001 /nfs/dbraw/zinc/69/70/01/1051697001.db2.gz JJPZOUIAYWSRNL-GOSISDBHSA-N 0 3 303.446 4.021 20 0 DIADHN Cc1cccc2c1[C@H](N[C@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173339536 1051698686 /nfs/dbraw/zinc/69/86/86/1051698686.db2.gz OAMVBLKWNSQORL-YJBOKZPZSA-N 0 3 312.457 4.471 20 0 DIADHN CN(CC[C@H]1CCc2ccccc21)Cc1cc(C(C)(C)C)n[nH]1 ZINC001169781737 1051699163 /nfs/dbraw/zinc/69/91/63/1051699163.db2.gz UWXJXPMIFLXSKY-MRXNPFEDSA-N 0 3 311.473 4.259 20 0 DIADHN CCCc1csc(CN[C@H]2CCOC3(CCCCC3)C2)n1 ZINC000494195316 1051703920 /nfs/dbraw/zinc/70/39/20/1051703920.db2.gz NVKUUGGUURQBSB-AWEZNQCLSA-N 0 3 308.491 4.067 20 0 DIADHN c1cc([C@H]2CN([C@@H]3CCCC4(CCCCC4)C3)CCO2)ccn1 ZINC001173348943 1051704601 /nfs/dbraw/zinc/70/46/01/1051704601.db2.gz MCYZLYSXCVTZFO-RTBURBONSA-N 0 3 314.473 4.348 20 0 DIADHN O=c1[nH]ccc2c1CCN([C@@H]1CCCC3(CCCCC3)C1)C2 ZINC001173348345 1051706309 /nfs/dbraw/zinc/70/63/09/1051706309.db2.gz HAPOYCPHVSHJBH-MRXNPFEDSA-N 0 3 300.446 4.038 20 0 DIADHN CCSC[C@H](C)N1CCc2ccc(OC(F)(F)F)cc2C1 ZINC001173315184 1051708592 /nfs/dbraw/zinc/70/85/92/1051708592.db2.gz NGVXPSOBIPKAQU-NSHDSACASA-N 0 3 319.392 4.085 20 0 DIADHN COC(=O)CCCC[C@H](C)N[C@@H](C)c1c(F)cccc1Cl ZINC001173439240 1051719167 /nfs/dbraw/zinc/71/91/67/1051719167.db2.gz DFXMUQXLKPUZCC-RYUDHWBXSA-N 0 3 315.816 4.252 20 0 DIADHN Fc1ccc(Cl)c(CNC2Cc3cc(F)c(F)cc3C2)c1 ZINC001173471722 1051721582 /nfs/dbraw/zinc/72/15/82/1051721582.db2.gz RCLJCVIMHUDXJA-UHFFFAOYSA-N 0 3 311.734 4.014 20 0 DIADHN Cc1oc2ccccc2c1CNCc1c[nH]nc1-c1ccccc1 ZINC000183915850 1051722066 /nfs/dbraw/zinc/72/20/66/1051722066.db2.gz YCBDIUWCBNDBLN-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cnc(-c2ccccc2)[nH]1 ZINC000183920355 1051722874 /nfs/dbraw/zinc/72/28/74/1051722874.db2.gz RWJYFHASNUGWHE-UHFFFAOYSA-N 0 3 317.392 4.421 20 0 DIADHN Fc1cc2c(cc1F)CC(NCc1ccc(Cl)cc1F)C2 ZINC001173473901 1051723569 /nfs/dbraw/zinc/72/35/69/1051723569.db2.gz MJVSRGNYLLUMTQ-UHFFFAOYSA-N 0 3 311.734 4.014 20 0 DIADHN Cc1nc(CN(CCc2cccc(F)c2)C2CCCC2)oc1C ZINC000152547405 1051723495 /nfs/dbraw/zinc/72/34/95/1051723495.db2.gz IRRRJGKZXWRBKG-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN CCC(C)(C)N(C)Cc1nn(-c2ccc(F)cc2)c2c1CCC2 ZINC001169941788 1051731525 /nfs/dbraw/zinc/73/15/25/1051731525.db2.gz FJVKOVIOEHCHFB-UHFFFAOYSA-N 0 3 315.436 4.121 20 0 DIADHN Clc1ccc2c(n1)CC[C@H]2NCc1cc2c(s1)CCCC2 ZINC000806134867 1051735468 /nfs/dbraw/zinc/73/54/68/1051735468.db2.gz OSGPIESPXSYLDJ-CQSZACIVSA-N 0 3 318.873 4.452 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)n1ccnc1[C@H](C)N ZINC001173544183 1051737649 /nfs/dbraw/zinc/73/76/49/1051737649.db2.gz PYSMLEKYKQXVNQ-MNOVXSKESA-N 0 3 312.244 4.403 20 0 DIADHN COc1ccc2c(c1)C[C@H](CN[C@H](C)c1ccccc1Cl)O2 ZINC001169963560 1051738092 /nfs/dbraw/zinc/73/80/92/1051738092.db2.gz QMNSWCFSGDYGPG-IUODEOHRSA-N 0 3 317.816 4.003 20 0 DIADHN Clc1ccc2c(n1)CC[C@@H]2NCCOC1CCCCCC1 ZINC000806139890 1051739250 /nfs/dbraw/zinc/73/92/50/1051739250.db2.gz FFKNYEJBQKVKSB-HNNXBMFYSA-N 0 3 308.853 4.051 20 0 DIADHN Clc1ccc2c(n1)CC[C@H]2NCCOC1CCCCCC1 ZINC000806139891 1051739927 /nfs/dbraw/zinc/73/99/27/1051739927.db2.gz FFKNYEJBQKVKSB-OAHLLOKOSA-N 0 3 308.853 4.051 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCc2nc(Cl)ccc21 ZINC000806130194 1051744112 /nfs/dbraw/zinc/74/41/12/1051744112.db2.gz RVWJMVIUMKVHNS-INIZCTEOSA-N 0 3 316.832 4.049 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000806176686 1051749202 /nfs/dbraw/zinc/74/92/02/1051749202.db2.gz TZERXGLQOVDGEU-CVEARBPZSA-N 0 3 323.415 4.293 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1cncnc1 ZINC001173550151 1051750718 /nfs/dbraw/zinc/75/07/18/1051750718.db2.gz LEWBGACOORLLMJ-GFCCVEGCSA-N 0 3 324.255 4.237 20 0 DIADHN CC(=O)[C@@H]1CCCN1[C@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173550138 1051752301 /nfs/dbraw/zinc/75/23/01/1051752301.db2.gz LACBOVPYTXNHIA-BZNIZROVSA-N 0 3 314.256 4.368 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806150051 1051754375 /nfs/dbraw/zinc/75/43/75/1051754375.db2.gz KHJBPFNETVZEAI-XHDPSFHLSA-N 0 3 301.821 4.031 20 0 DIADHN CCSc1cccc(CNCc2ccc(OC)c(F)c2)c1 ZINC000185456606 1051766135 /nfs/dbraw/zinc/76/61/35/1051766135.db2.gz PWXCVBPXPCLCGO-UHFFFAOYSA-N 0 3 305.418 4.236 20 0 DIADHN CCSc1cccc(CNCc2ccccc2COC)c1 ZINC000185456554 1051766204 /nfs/dbraw/zinc/76/62/04/1051766204.db2.gz WMBIWKYVDWXZSJ-UHFFFAOYSA-N 0 3 301.455 4.235 20 0 DIADHN C[C@@H](CCOCc1ccccc1)N[C@@H](C)c1cccnc1Cl ZINC000806183825 1051767945 /nfs/dbraw/zinc/76/79/45/1051767945.db2.gz XYYBLIUYQBPISB-GJZGRUSLSA-N 0 3 318.848 4.381 20 0 DIADHN Cc1oc2ccccc2c1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000185562893 1051774007 /nfs/dbraw/zinc/77/40/07/1051774007.db2.gz AXWVLTZCOXAFJW-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000185604768 1051776132 /nfs/dbraw/zinc/77/61/32/1051776132.db2.gz XMPSQDNNACBGQT-PBHICJAKSA-N 0 3 302.462 4.177 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000185650388 1051778416 /nfs/dbraw/zinc/77/84/16/1051778416.db2.gz JYBBTBGOZFKIBO-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)c1cnc(CN[C@@H](C)[C@@H](c2ccccc2)N(C)C)s1 ZINC000185677234 1051779443 /nfs/dbraw/zinc/77/94/43/1051779443.db2.gz GXGHQMAEJUWDIC-KSSFIOAISA-N 0 3 317.502 4.048 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cc(Br)ccc32)c(C)o1 ZINC000767348989 1051792159 /nfs/dbraw/zinc/79/21/59/1051792159.db2.gz MFDPQANZIXDHEC-MRXNPFEDSA-N 0 3 320.230 4.436 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc2c(c1)COC(C)(C)O2 ZINC000186268406 1051804668 /nfs/dbraw/zinc/80/46/68/1051804668.db2.gz WCLLBHDFIPXFPH-UHFFFAOYSA-N 0 3 317.454 4.415 20 0 DIADHN CCc1ccccc1CN(C)[C@H](CO)c1ccc(Cl)cc1 ZINC001170508338 1051804908 /nfs/dbraw/zinc/80/49/08/1051804908.db2.gz UYNZHPZJMKLZTC-GOSISDBHSA-N 0 3 303.833 4.068 20 0 DIADHN CC(C)=CCCN1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC001170508282 1051805384 /nfs/dbraw/zinc/80/53/84/1051805384.db2.gz SSFJJKPFYKFEFC-UHFFFAOYSA-N 0 3 300.490 4.284 20 0 DIADHN CC(C)CCn1cccc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000186448213 1051815665 /nfs/dbraw/zinc/81/56/65/1051815665.db2.gz KISUMNMLERJAFW-OAQYLSRUSA-N 0 3 324.468 4.166 20 0 DIADHN CCCCCC[C@H](C)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000742075847 1051838466 /nfs/dbraw/zinc/83/84/66/1051838466.db2.gz HASMYGWNTGZMKN-KRWDZBQOSA-N 0 3 310.526 4.172 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(CC)cc3)c2C1 ZINC001173868678 1051838688 /nfs/dbraw/zinc/83/86/88/1051838688.db2.gz PIPPIQXPDUVDJW-UHFFFAOYSA-N 0 3 315.486 4.404 20 0 DIADHN CC1(C)CC(NC2(c3ccccc3Cl)CC2)CC(C)(C)N1O ZINC001169974063 1051843405 /nfs/dbraw/zinc/84/34/05/1051843405.db2.gz XKSRAGPHOSWXFZ-UHFFFAOYSA-N 0 3 322.880 4.330 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc2c[nH]nc2c1 ZINC000188909680 1051860245 /nfs/dbraw/zinc/86/02/45/1051860245.db2.gz NJQASIGOCWGDQS-UHFFFAOYSA-N 0 3 319.330 4.180 20 0 DIADHN C[C@@H](NCc1cc(C(C)(C)C)on1)C1CCC(F)(F)CC1 ZINC000653872181 1051861932 /nfs/dbraw/zinc/86/19/32/1051861932.db2.gz QNPDQIKTPWIZMH-LLVKDONJSA-N 0 3 300.393 4.276 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000767903955 1051864586 /nfs/dbraw/zinc/86/45/86/1051864586.db2.gz ANNAZKILCLCPDK-MRXNPFEDSA-N 0 3 317.408 4.276 20 0 DIADHN CCCCn1nc(C)c(CN[C@@H]2CCCC(F)(F)C2)c1Cl ZINC000767927793 1051866870 /nfs/dbraw/zinc/86/68/70/1051866870.db2.gz CIYWQVPWPAKIIH-GFCCVEGCSA-N 0 3 319.827 4.313 20 0 DIADHN CCN(CC)[C@H](CNC(=O)/C=C(/C)C(C)(C)C)c1ccsc1 ZINC000742538891 1051868398 /nfs/dbraw/zinc/86/83/98/1051868398.db2.gz JPRSHJIFLQSQFT-SYCZXOQXSA-N 0 3 322.518 4.240 20 0 DIADHN C[C@@H]1C[C@H](Nc2nc(Cl)cs2)CCN1Cc1ccccc1 ZINC000307600134 1051871804 /nfs/dbraw/zinc/87/18/04/1051871804.db2.gz SEJHBCSETWTIAU-TZMCWYRMSA-N 0 3 321.877 4.262 20 0 DIADHN C[C@@H]1C[C@@H](Nc2nc(Cl)cs2)CCN1Cc1ccccc1 ZINC000307600132 1051871919 /nfs/dbraw/zinc/87/19/19/1051871919.db2.gz SEJHBCSETWTIAU-OCCSQVGLSA-N 0 3 321.877 4.262 20 0 DIADHN CN(Cc1ccc(F)c(Cl)c1)Cc1cccc2nsnc21 ZINC000763836875 1051871956 /nfs/dbraw/zinc/87/19/56/1051871956.db2.gz RADGTSLSPLZCQD-UHFFFAOYSA-N 0 3 321.808 4.116 20 0 DIADHN CCCc1csc(CNCCCCOc2ccc(C)cc2)n1 ZINC000494334170 1051873472 /nfs/dbraw/zinc/87/34/72/1051873472.db2.gz UUIMEASEGIZXPV-UHFFFAOYSA-N 0 3 318.486 4.353 20 0 DIADHN C[C@@H](N[C@H]1CCCC1(C)C)c1nc(Br)ccc1F ZINC000798182836 1051876124 /nfs/dbraw/zinc/87/61/24/1051876124.db2.gz KJOYRIWPTDJRFJ-KOLCDFICSA-N 0 3 315.230 4.213 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(F)cc(F)c3)cccc21 ZINC001173916461 1051877219 /nfs/dbraw/zinc/87/72/19/1051877219.db2.gz PUDNYBAZVYYWMN-MRXNPFEDSA-N 0 3 304.340 4.094 20 0 DIADHN CCCc1csc(CNCCCO[C@@H](C)c2ccccc2)n1 ZINC000494368200 1051880355 /nfs/dbraw/zinc/88/03/55/1051880355.db2.gz LXQBAMLEIOEYGZ-HNNXBMFYSA-N 0 3 318.486 4.353 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000189156459 1051885856 /nfs/dbraw/zinc/88/58/56/1051885856.db2.gz IHQDPTQQONMFNV-INIZCTEOSA-N 0 3 313.363 4.096 20 0 DIADHN COc1ccc(CN(Cc2cncc3ccccc32)C2CC2)cc1 ZINC000768202509 1051894290 /nfs/dbraw/zinc/89/42/90/1051894290.db2.gz ZZZZZXMHCVSYFL-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2cscc2C)cc1OC ZINC000494450676 1051894447 /nfs/dbraw/zinc/89/44/47/1051894447.db2.gz DSQKIHACMSARJH-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN COC[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1cccc(Cl)c1 ZINC000829935692 1051898672 /nfs/dbraw/zinc/89/86/72/1051898672.db2.gz NTRLGTQGVSSXMO-OLZOCXBDSA-N 0 3 322.663 4.201 20 0 DIADHN COc1cccc2ncc(Nc3ccccc3CN(C)C)cc21 ZINC001173928469 1051899783 /nfs/dbraw/zinc/89/97/83/1051899783.db2.gz APDFBFASMQQMMT-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN Cc1cnn(-c2cccc(C)c2)c1Nc1ccccc1CN(C)C ZINC001173929119 1051901800 /nfs/dbraw/zinc/90/18/00/1051901800.db2.gz WFGIESKBNFHCQQ-UHFFFAOYSA-N 0 3 320.440 4.294 20 0 DIADHN COc1cc(OC)c(Nc2ccccc2CN(C)C)cc1Cl ZINC001173929361 1051902064 /nfs/dbraw/zinc/90/20/64/1051902064.db2.gz CUDSCMOZVRNNIQ-UHFFFAOYSA-N 0 3 320.820 4.162 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)ccc1-c1cnco1 ZINC001173931199 1051902357 /nfs/dbraw/zinc/90/23/57/1051902357.db2.gz GPDPRZMKZRHZES-UHFFFAOYSA-N 0 3 323.396 4.155 20 0 DIADHN COc1cnc2c(cccc2Nc2ccccc2CN(C)C)c1 ZINC001173931132 1051903180 /nfs/dbraw/zinc/90/31/80/1051903180.db2.gz WKJTVGFFGNSGSL-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CCn1nc(C)c(CN2CC=C(c3ccc(C)cc3)CC2)c1C ZINC000768214006 1051903369 /nfs/dbraw/zinc/90/33/69/1051903369.db2.gz DUIZDAGAKANQQK-UHFFFAOYSA-N 0 3 309.457 4.118 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(Cl)c(N)cc1Cl ZINC001173931235 1051903507 /nfs/dbraw/zinc/90/35/07/1051903507.db2.gz BNOLENWSOJBZSK-UHFFFAOYSA-N 0 3 310.228 4.381 20 0 DIADHN Cc1cc(OC(F)F)ccc1Nc1ccccc1CN(C)C ZINC001173932369 1051905184 /nfs/dbraw/zinc/90/51/84/1051905184.db2.gz UCWMTFMDOYZTQJ-UHFFFAOYSA-N 0 3 306.356 4.402 20 0 DIADHN CN(C)Cc1ccccc1Nc1c(N)cc(Cl)cc1Cl ZINC001173932951 1051905951 /nfs/dbraw/zinc/90/59/51/1051905951.db2.gz VYAAVHFIQMNHOV-UHFFFAOYSA-N 0 3 310.228 4.381 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c(c1)COC2 ZINC000768227777 1051907080 /nfs/dbraw/zinc/90/70/80/1051907080.db2.gz INTKDRSLFXTUJO-LBPRGKRZSA-N 0 3 303.352 4.188 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2C[C@H](O)CC(F)(F)F)o1 ZINC000189372246 1051909025 /nfs/dbraw/zinc/90/90/25/1051909025.db2.gz ZDQRTSYYKKXEMF-OCCSQVGLSA-N 0 3 319.367 4.072 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc(F)ccc3F)cccc21 ZINC001173952298 1051916395 /nfs/dbraw/zinc/91/63/95/1051916395.db2.gz GKFKAOUWIYOGMP-MRXNPFEDSA-N 0 3 304.340 4.094 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cncc2ccccc21 ZINC000768334199 1051917579 /nfs/dbraw/zinc/91/75/79/1051917579.db2.gz NARODAXBTCDZQA-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(C)c3C)c2C1 ZINC001173954023 1051918038 /nfs/dbraw/zinc/91/80/38/1051918038.db2.gz LPSFBXJRZFKKKT-UHFFFAOYSA-N 0 3 315.486 4.459 20 0 DIADHN CC[C@@H](NCc1cc(-c2ccc(C)cc2)no1)[C@@H]1CCCCO1 ZINC000653880768 1051929724 /nfs/dbraw/zinc/92/97/24/1051929724.db2.gz RBYOGJJKXINOHZ-MJGOQNOKSA-N 0 3 314.429 4.087 20 0 DIADHN OCc1cccc(CN[C@@H]2CCSc3ccc(Cl)cc32)c1 ZINC000223252049 1051941264 /nfs/dbraw/zinc/94/12/64/1051941264.db2.gz PMUPMHNOOIXKDH-MRXNPFEDSA-N 0 3 319.857 4.159 20 0 DIADHN COc1cccc(CN(Cc2cnn3ccccc23)CC(C)C)c1 ZINC000768651542 1051950706 /nfs/dbraw/zinc/95/07/06/1051950706.db2.gz JVWAWITYCYEJHG-UHFFFAOYSA-N 0 3 323.440 4.001 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(C)c(F)c3)cc2)CC1 ZINC001174020257 1051951820 /nfs/dbraw/zinc/95/18/20/1051951820.db2.gz CPHNBXUNYOGLBC-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN COc1cccc(CNCc2ccc(C(F)(F)F)cc2C)c1 ZINC000190809207 1051952973 /nfs/dbraw/zinc/95/29/73/1051952973.db2.gz SYOIPEKOBFEMAH-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN C[C@@H](NCc1cnn2ccccc12)c1ccc(C(F)(F)F)cc1 ZINC000190903867 1051954409 /nfs/dbraw/zinc/95/44/09/1051954409.db2.gz VPSQYHDNRFROKX-GFCCVEGCSA-N 0 3 319.330 4.204 20 0 DIADHN C[C@H]1C[C@H](NC[C@H](O)c2ccsc2)c2ccsc2S1 ZINC000223915999 1051957930 /nfs/dbraw/zinc/95/79/30/1051957930.db2.gz UDOHUNXDHYNQLK-XDTLVQLUSA-N 0 3 311.497 4.058 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@H](O)c1ccsc1 ZINC000223914267 1051958183 /nfs/dbraw/zinc/95/81/83/1051958183.db2.gz WCBUVGXEFBNDFB-WFASDCNBSA-N 0 3 301.411 4.187 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN(C)C[C@H]1CCOC1 ZINC000191087354 1051961810 /nfs/dbraw/zinc/96/18/10/1051961810.db2.gz PPVYBDVRVZODGW-CYBMUJFWSA-N 0 3 313.894 4.309 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](O)C1)c1cc(Cl)sc1Cl ZINC000224056966 1051963680 /nfs/dbraw/zinc/96/36/80/1051963680.db2.gz WHTIGQLXNAFZIB-LPEHRKFASA-N 0 3 308.274 4.257 20 0 DIADHN C[C@H]1CC(O)C[C@H](C)N1Cc1sc2ccccc2c1Cl ZINC000191284565 1051968256 /nfs/dbraw/zinc/96/82/56/1051968256.db2.gz ZLMPXGGEWNUHQM-QWRGUYRKSA-N 0 3 309.862 4.288 20 0 DIADHN Cc1c([C@H](C)NCCOC2CCCCCC2)cnn1C(C)C ZINC000191296407 1051969312 /nfs/dbraw/zinc/96/93/12/1051969312.db2.gz MIDJICRJGJSBCY-HNNXBMFYSA-N 0 3 307.482 4.162 20 0 DIADHN CC[C@@]1(C)CCCN(C[C@H](O)c2ccccc2C(F)(F)F)C1 ZINC000743860476 1051975330 /nfs/dbraw/zinc/97/53/30/1051975330.db2.gz IERMBHFPOLUOLZ-HOTGVXAUSA-N 0 3 315.379 4.251 20 0 DIADHN Cc1cc(CNCc2ccc(N(C)C(C)C)nc2)ccc1Cl ZINC000191594776 1051977899 /nfs/dbraw/zinc/97/78/99/1051977899.db2.gz DUFDSJNQFZNCAL-UHFFFAOYSA-N 0 3 317.864 4.178 20 0 DIADHN CC[C@@]1(C)CC(=O)N(CN[C@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000808142533 1051980338 /nfs/dbraw/zinc/98/03/38/1051980338.db2.gz WEYSJXMNIJJRHZ-MJGOQNOKSA-N 0 3 320.452 4.109 20 0 DIADHN CCN1CCN(c2ccc(Nc3cc(C)ccc3F)cc2)CC1 ZINC001174111860 1051985284 /nfs/dbraw/zinc/98/52/84/1051985284.db2.gz KGIJUNRIHTVBEV-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN C[C@@]1(F)CCCN(CCCOc2cccc3ccccc32)C1 ZINC001170547896 1051990528 /nfs/dbraw/zinc/99/05/28/1051990528.db2.gz DDSIEZHMJSUESY-LJQANCHMSA-N 0 3 301.405 4.433 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1c(C)ccc2ccccc21 ZINC000494642982 1051997832 /nfs/dbraw/zinc/99/78/32/1051997832.db2.gz LWLLUYQTROBNER-SFHVURJKSA-N 0 3 311.450 4.341 20 0 DIADHN C[C@H]1CCN(Cc2cnc(-c3ccccc3)s2)CCS1 ZINC000192176873 1052003580 /nfs/dbraw/zinc/00/35/80/1052003580.db2.gz TUTYQHBBLBETMX-ZDUSSCGKSA-N 0 3 304.484 4.138 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)c(F)c2)c(C)c1OC ZINC000808374107 1052009854 /nfs/dbraw/zinc/00/98/54/1052009854.db2.gz CFQOHWRTZBFPFS-LBPRGKRZSA-N 0 3 321.367 4.141 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1Cl)[C@@H](O)c1ccccc1 ZINC000808446263 1052022854 /nfs/dbraw/zinc/02/28/54/1052022854.db2.gz STEQXNCVGYVCCE-BDJLRTHQSA-N 0 3 310.224 4.205 20 0 DIADHN CO[C@H](CN1C[C@H](C(F)(F)F)CC[C@H]1C)c1ccccc1 ZINC000670085427 1052025832 /nfs/dbraw/zinc/02/58/32/1052025832.db2.gz BQXUZJGZAWFGFP-BPLDGKMQSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ccsc1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000494744850 1052030897 /nfs/dbraw/zinc/03/08/97/1052030897.db2.gz GAUZXSUCWMPAEV-IBGZPJMESA-N 0 3 308.450 4.373 20 0 DIADHN C[C@@H](NCc1cc(Cl)cs1)c1ccccc1-n1cccn1 ZINC000193150437 1052032587 /nfs/dbraw/zinc/03/25/87/1052032587.db2.gz PGIZQUWECVYLDD-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CCc1ncc(CN2CCC(c3ccc(F)cc3)CC2)s1 ZINC000769389741 1052036412 /nfs/dbraw/zinc/03/64/12/1052036412.db2.gz PVXQNNOLCPJFQM-UHFFFAOYSA-N 0 3 304.434 4.224 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@@H](c3ccco3)C2)o1 ZINC000769388559 1052036964 /nfs/dbraw/zinc/03/69/64/1052036964.db2.gz HHJOAKJNGLXFAG-LLVKDONJSA-N 0 3 313.369 4.318 20 0 DIADHN Cc1ccc2ncc(CN3CCC(c4ccc(F)cc4)CC3)n2c1 ZINC000769390353 1052039086 /nfs/dbraw/zinc/03/90/86/1052039086.db2.gz VJQQIEYSOACMCL-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN Cc1cc(CN2CC[C@@H](Cc3ccc(F)cc3)C2)cnc1Cl ZINC000769425408 1052044608 /nfs/dbraw/zinc/04/46/08/1052044608.db2.gz HKTITQVLRKBVQW-HNNXBMFYSA-N 0 3 318.823 4.247 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000193629453 1052055563 /nfs/dbraw/zinc/05/55/63/1052055563.db2.gz OJIMPIFTHWIJRU-INIZCTEOSA-N 0 3 318.367 4.034 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1C[C@@H](c2ccccc2)C[C@@H]1C ZINC000769445454 1052056858 /nfs/dbraw/zinc/05/68/58/1052056858.db2.gz JADDBHHYDKCGBN-ZFWWWQNUSA-N 0 3 317.864 4.014 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(-c3ccccc3F)s2)C1 ZINC000769458207 1052061246 /nfs/dbraw/zinc/06/12/46/1052061246.db2.gz YTMGIDXVBPBPDY-ZDUSSCGKSA-N 0 3 305.418 4.023 20 0 DIADHN CN(CCOCC(F)(F)F)Cc1ccc(Cl)c(Cl)c1 ZINC000195600702 1052065566 /nfs/dbraw/zinc/06/55/66/1052065566.db2.gz GFXHXDZSUDQHHG-UHFFFAOYSA-N 0 3 316.150 4.004 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3)[C@H]2C)cnc1Cl ZINC000769498025 1052069335 /nfs/dbraw/zinc/06/93/35/1052069335.db2.gz JQHAMELIMQQQKO-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cncc2ccccc21 ZINC000769538967 1052079232 /nfs/dbraw/zinc/07/92/32/1052079232.db2.gz ZXLBZDWMAAZVPS-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN CCC(CC)c1cc(CN[C@H]2[C@H]3CCO[C@H]3C23CCCC3)on1 ZINC000194058596 1052092225 /nfs/dbraw/zinc/09/22/25/1052092225.db2.gz RQHKZYFMHQHVSJ-BPQIPLTHSA-N 0 3 318.461 4.016 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1ccccc1N(C)C ZINC000769693090 1052093062 /nfs/dbraw/zinc/09/30/62/1052093062.db2.gz WLRPNORKFIDWLB-MRXNPFEDSA-N 0 3 311.473 4.060 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(Cl)c(Cl)c1 ZINC000226559951 1052094088 /nfs/dbraw/zinc/09/40/88/1052094088.db2.gz VTIVXLDQWLGRKL-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN Cc1ccccc1CN1CCC(O)(c2ccccc2Cl)CC1 ZINC000769812172 1052111974 /nfs/dbraw/zinc/11/19/74/1052111974.db2.gz ICAXOAFPXFTVMK-UHFFFAOYSA-N 0 3 315.844 4.132 20 0 DIADHN Cc1cc(O)cc(C)c1NC1=CCCN(Cc2ccccc2)C1 ZINC001174394129 1052115697 /nfs/dbraw/zinc/11/56/97/1052115697.db2.gz GJBWJGDCQHCRGN-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN c1ccc(CN2CCC=C(Nc3cccc4cnccc43)C2)cc1 ZINC001174396040 1052117642 /nfs/dbraw/zinc/11/76/42/1052117642.db2.gz CAKXPVDUAGKAKD-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CCC23CCOCC3)c1 ZINC000769892851 1052117911 /nfs/dbraw/zinc/11/79/11/1052117911.db2.gz JOVZFZXDECOTOG-UHFFFAOYSA-N 0 3 317.376 4.053 20 0 DIADHN c1nc2ccc(NC3=CCCN(Cc4ccccc4)C3)cc2o1 ZINC001174397321 1052118105 /nfs/dbraw/zinc/11/81/05/1052118105.db2.gz TWCKKBSBNAIQOE-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN c1ccc(CN2CCC=C(Nc3ccc4ccncc4c3)C2)cc1 ZINC001174397083 1052118496 /nfs/dbraw/zinc/11/84/96/1052118496.db2.gz MPQZOIQLMLVMIH-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN Fc1ccc([C@H]2CCC[C@H]2NCc2ncc(Cl)s2)cc1 ZINC000769916999 1052122095 /nfs/dbraw/zinc/12/20/95/1052122095.db2.gz MNIROGDMVUWNPH-CHWSQXEVSA-N 0 3 310.825 4.362 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@@H](C)c2c(CC)noc2C)C1(C)C ZINC000769872473 1052124164 /nfs/dbraw/zinc/12/41/64/1052124164.db2.gz GJQHSNKYVOKGRK-VBNZEHGJSA-N 0 3 308.466 4.180 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](CC)Oc1cccc(F)c1 ZINC000769873132 1052124829 /nfs/dbraw/zinc/12/48/29/1052124829.db2.gz OZZUHAHHAFXULS-DOMZBBRYSA-N 0 3 320.408 4.193 20 0 DIADHN CCCCCc1nnc([C@@H]2C[C@@H](Cc3cccc(C)c3)CN2)o1 ZINC001217734930 1052127983 /nfs/dbraw/zinc/12/79/83/1052127983.db2.gz CQJUKUVZALPTLN-SJORKVTESA-N 0 3 313.445 4.004 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1ccc(C)cc1OC ZINC000194913624 1052135913 /nfs/dbraw/zinc/13/59/13/1052135913.db2.gz MCZDCLLHAORNBI-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)n1cnc2cc(Nc3ccc4c(c3)CN(C)CC4)ccc21 ZINC001174384658 1052145376 /nfs/dbraw/zinc/14/53/76/1052145376.db2.gz JUAFPFWXLUWPLD-UHFFFAOYSA-N 0 3 320.440 4.349 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1nccn1-c1ccccc1 ZINC000494841350 1052148187 /nfs/dbraw/zinc/14/81/87/1052148187.db2.gz AYVGLDWGDYRLOF-ZDUSSCGKSA-N 0 3 311.351 4.083 20 0 DIADHN CC(C)N(C)c1ccc(CNCc2ccc(C3CCC3)cc2)cn1 ZINC000494844670 1052150858 /nfs/dbraw/zinc/15/08/58/1052150858.db2.gz IUYOZSAVDBLOKK-UHFFFAOYSA-N 0 3 323.484 4.484 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](C(=O)Nc2ccccc2)c2ccccc2)[C@H]1C ZINC000494903138 1052163960 /nfs/dbraw/zinc/16/39/60/1052163960.db2.gz LTOZIFFECAPCGQ-PDWMJMLSSA-N 0 3 308.425 4.001 20 0 DIADHN CC1(C)N[C@H](CO[C@@H](/C=C/c2ccccc2)c2ccccc2)CO1 ZINC001217891621 1052165663 /nfs/dbraw/zinc/16/56/63/1052165663.db2.gz AARDONVJYBDEOI-ICSRWYNCSA-N 0 3 323.436 4.182 20 0 DIADHN C[C@@H](NCc1ccc(C2CC2)cc1)c1ccc(C(=O)N(C)C)cc1 ZINC000494930355 1052171642 /nfs/dbraw/zinc/17/16/42/1052171642.db2.gz LSBBCYIQNYWXGX-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN COc1ncccc1CN[C@H](c1ccccc1C)C1CCCC1 ZINC000494915728 1052172156 /nfs/dbraw/zinc/17/21/56/1052172156.db2.gz PXRFJVDKRIOZLF-IBGZPJMESA-N 0 3 310.441 4.420 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccc(Cl)cc1)c1ccccc1F ZINC000914573860 1052174020 /nfs/dbraw/zinc/17/40/20/1052174020.db2.gz ZNVOVSSBDCXCDM-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN c1ccc(OCCCCCO[C@@H]2CNCc3ccccc32)cc1 ZINC001217941129 1052175335 /nfs/dbraw/zinc/17/53/35/1052175335.db2.gz OLIWPRVBLAUTLJ-HXUWFJFHSA-N 0 3 311.425 4.097 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494938177 1052179118 /nfs/dbraw/zinc/17/91/18/1052179118.db2.gz GZQLNIGDJXWPDG-YOEHRIQHSA-N 0 3 320.458 4.039 20 0 DIADHN CC1=CCN(Cc2c[nH]nc2-c2ccc(C(F)(F)F)cc2)CC1 ZINC000494982630 1052188901 /nfs/dbraw/zinc/18/89/01/1052188901.db2.gz WWOORCOODYQFJX-UHFFFAOYSA-N 0 3 321.346 4.248 20 0 DIADHN c1coc(-c2ncc(CN3CC[C@@H]3Cc3ccccc3)s2)c1 ZINC000494981654 1052188931 /nfs/dbraw/zinc/18/89/31/1052188931.db2.gz UOCHNIXGDUISKA-OAHLLOKOSA-N 0 3 310.422 4.220 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000494985603 1052190198 /nfs/dbraw/zinc/19/01/98/1052190198.db2.gz LLEZMYPIWIBLJE-LBPRGKRZSA-N 0 3 307.846 4.400 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(Cl)cs2)C1 ZINC000494985602 1052190512 /nfs/dbraw/zinc/19/05/12/1052190512.db2.gz LLEZMYPIWIBLJE-GFCCVEGCSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@H](c1ccccc1Br)N(C)C[C@@H]1CCSC1 ZINC000494991166 1052193601 /nfs/dbraw/zinc/19/36/01/1052193601.db2.gz BOXMRNDDWUXKFK-NEPJUHHUSA-N 0 3 314.292 4.195 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000228042211 1052197516 /nfs/dbraw/zinc/19/75/16/1052197516.db2.gz MSJIBJFUFOMSHM-SWLSCSKDSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2nc3ccccc3n2C)c2ccccc2)[C@@H]1C ZINC000495091682 1052213969 /nfs/dbraw/zinc/21/39/69/1052213969.db2.gz KLHXTAXOTHQIFK-OHRYMORCSA-N 0 3 319.452 4.297 20 0 DIADHN O[C@H](CN1CCC[C@H]1/C=C/c1ccccc1)c1ccccc1F ZINC000780399860 1052216498 /nfs/dbraw/zinc/21/64/98/1052216498.db2.gz CXHCWCPQPABTPE-DAZOGFOGSA-N 0 3 311.400 4.037 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cc3ccccc3[nH]2)C1 ZINC001218410887 1052235919 /nfs/dbraw/zinc/23/59/19/1052235919.db2.gz OQJYOSWHNWSCLZ-RDJZCZTQSA-N 0 3 321.424 4.167 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2)o1 ZINC000495165742 1052242833 /nfs/dbraw/zinc/24/28/33/1052242833.db2.gz LACYLOFXEASJAY-QWHCGFSZSA-N 0 3 322.811 4.310 20 0 DIADHN CC1(C)C[C@@H](Oc2ccccc2Oc2ccccc2[O-])CC[NH2+]1 ZINC001218474087 1052243791 /nfs/dbraw/zinc/24/37/91/1052243791.db2.gz BGFBRFHWBZFPTR-AWEZNQCLSA-N 0 3 313.397 4.094 20 0 DIADHN COc1ccc2c(cccc2O[C@H]2CNCc3ccccc32)c1 ZINC001218485613 1052245815 /nfs/dbraw/zinc/24/58/15/1052245815.db2.gz DMVNMHOWKZMRPI-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN COc1ccc2c(cccc2O[C@@H]2CNCc3ccccc32)c1 ZINC001218485614 1052246434 /nfs/dbraw/zinc/24/64/34/1052246434.db2.gz DMVNMHOWKZMRPI-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN Cc1nc(-c2ccccc2O[C@H]2CNCc3ccccc32)cs1 ZINC001218485626 1052246619 /nfs/dbraw/zinc/24/66/19/1052246619.db2.gz DSLUSKLQEGUPRS-IBGZPJMESA-N 0 3 322.433 4.342 20 0 DIADHN Clc1nccc2ccc(O[C@@H]3CNCc4ccccc43)cc21 ZINC001218489548 1052247069 /nfs/dbraw/zinc/24/70/69/1052247069.db2.gz PQWDLZAZEFXKPT-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1ccc2cc(O[C@H]3CNCc4ccccc43)cnc2c1 ZINC001218488729 1052247936 /nfs/dbraw/zinc/24/79/36/1052247936.db2.gz LJAUELBMMMTILC-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN Cc1cc(OC(F)(F)F)ccc1O[C@H]1CNCc2ccccc21 ZINC001218486795 1052248149 /nfs/dbraw/zinc/24/81/49/1052248149.db2.gz LKQQIBDOURIPBB-INIZCTEOSA-N 0 3 323.314 4.117 20 0 DIADHN Clc1cnc2c(cccc2O[C@H]2CNCc3ccccc32)c1 ZINC001218490113 1052248909 /nfs/dbraw/zinc/24/89/09/1052248909.db2.gz QZYJFNRMEFSSLX-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1ccc(O[C@@H]2CNCc3ccccc32)c2ncccc12 ZINC001218489833 1052249174 /nfs/dbraw/zinc/24/91/74/1052249174.db2.gz WFQCNWKEHVTCGB-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1O[C@H]1CNCc2ccccc21 ZINC001218492385 1052249422 /nfs/dbraw/zinc/24/94/22/1052249422.db2.gz AOSSNTDBLYIKCQ-INIZCTEOSA-N 0 3 307.315 4.237 20 0 DIADHN Clc1cccc2sc(O[C@@H]3CNCc4ccccc43)nc21 ZINC001218490120 1052249465 /nfs/dbraw/zinc/24/94/65/1052249465.db2.gz RPCSCUWRMZLIRJ-CYBMUJFWSA-N 0 3 316.813 4.173 20 0 DIADHN Cc1nc(-c2ccc(O[C@@H]3CNCc4ccccc43)cc2)cs1 ZINC001218489746 1052249784 /nfs/dbraw/zinc/24/97/84/1052249784.db2.gz VDHSTZVHIXLDPP-LJQANCHMSA-N 0 3 322.433 4.342 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)C[C@@H]2Cc3ccccc3CN2)cc1 ZINC001218808552 1052255481 /nfs/dbraw/zinc/25/54/81/1052255481.db2.gz FUUYYMDPFXHJIC-YWZLYKJASA-N 0 3 322.452 4.243 20 0 DIADHN CC(C)(C)c1cccc(NC(=O)C[C@@H]2Cc3ccccc3CN2)c1 ZINC001218900452 1052261423 /nfs/dbraw/zinc/26/14/23/1052261423.db2.gz MGPPCNPTHHUQLG-IBGZPJMESA-N 0 3 322.452 4.027 20 0 DIADHN CCc1cc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)on1 ZINC001203226085 1052283452 /nfs/dbraw/zinc/28/34/52/1052283452.db2.gz DJDYEWRLQVBESG-ZDUSSCGKSA-N 0 3 324.346 4.245 20 0 DIADHN Cc1ccc(CN(C)Cc2cccs2)cc1Br ZINC000313758927 1052303228 /nfs/dbraw/zinc/30/32/28/1052303228.db2.gz WKZASEYIOWVAOM-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN C[C@H](CC(=O)NC[C@@H](c1ccccc1)N(C)C)C1CCCCC1 ZINC000303136645 1052316613 /nfs/dbraw/zinc/31/66/13/1052316613.db2.gz QIJJEGGPLCURRW-APWZRJJASA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)N(C)CC(=O)N[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000303238736 1052318338 /nfs/dbraw/zinc/31/83/38/1052318338.db2.gz IBEYXQPRCGTKSH-GOSISDBHSA-N 0 3 322.880 4.028 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cccc(C(F)(F)F)c2)CC1 ZINC000303364364 1052323646 /nfs/dbraw/zinc/32/36/46/1052323646.db2.gz ICIKGMDFSFCNMA-INIZCTEOSA-N 0 3 315.379 4.487 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CCC[C@]2(CC=CCC2)C1 ZINC000303547327 1052331083 /nfs/dbraw/zinc/33/10/83/1052331083.db2.gz JFMURYMXWZWDNG-FXAWDEMLSA-N 0 3 312.457 4.144 20 0 DIADHN CC1(CNCc2cc(O)ccc2Br)CCCCC1 ZINC000315615492 1052346589 /nfs/dbraw/zinc/34/65/89/1052346589.db2.gz SHJPSGGLQRATSU-UHFFFAOYSA-N 0 3 312.251 4.215 20 0 DIADHN C[C@H](N[C@H](CN1CCCC1)c1ccccc1)c1ccccc1F ZINC000495638408 1052392023 /nfs/dbraw/zinc/39/20/23/1052392023.db2.gz LMQOSJPHMLPVIN-OXJNMPFZSA-N 0 3 312.432 4.313 20 0 DIADHN C[C@H](NCCc1ccc([N+](=O)[O-])cc1)c1c(F)cccc1Cl ZINC000319322389 1052422994 /nfs/dbraw/zinc/42/29/94/1052422994.db2.gz LNYZJMIOJBMNKR-NSHDSACASA-N 0 3 322.767 4.281 20 0 DIADHN c1coc(-c2nc(CNC[C@@H]3C[C@@H]3c3ccccc3)cs2)c1 ZINC000236589800 1052427427 /nfs/dbraw/zinc/42/74/27/1052427427.db2.gz MRUHEZFSZFETAT-GOEBONIOSA-N 0 3 310.422 4.296 20 0 DIADHN CC(C)(CNCc1ccn(-c2ccccc2)n1)c1cccs1 ZINC000236750570 1052430383 /nfs/dbraw/zinc/43/03/83/1052430383.db2.gz RKSFHBUGDOZDJS-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CCC[C@H](N)C(=O)Nc1ccc(Oc2ccccc2Cl)cc1 ZINC000236952259 1052433556 /nfs/dbraw/zinc/43/35/56/1052433556.db2.gz XGCJRENWSMBPIS-HNNXBMFYSA-N 0 3 318.804 4.198 20 0 DIADHN CCc1ccccc1CNCc1ccc(OC(C)C)c(OC)c1 ZINC000237006169 1052434472 /nfs/dbraw/zinc/43/44/72/1052434472.db2.gz YYOKSCLQBWTZRT-UHFFFAOYSA-N 0 3 313.441 4.335 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)c(Cl)c2)cc1OC ZINC000237192241 1052436050 /nfs/dbraw/zinc/43/60/50/1052436050.db2.gz IXPLQHIFHVURIZ-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCCc3ccccc32)c1 ZINC000237531434 1052441980 /nfs/dbraw/zinc/44/19/80/1052441980.db2.gz ITUYBQDZSSIEJU-XOBRGWDASA-N 0 3 308.425 4.373 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3cc[nH]c32)cc1OC ZINC000237480163 1052442056 /nfs/dbraw/zinc/44/20/56/1052442056.db2.gz WDVAWQWNJZRTSG-CYBMUJFWSA-N 0 3 310.397 4.036 20 0 DIADHN C[C@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(Cl)cc1 ZINC000237537742 1052442953 /nfs/dbraw/zinc/44/29/53/1052442953.db2.gz JWGKHBBXPNIENT-LBPRGKRZSA-N 0 3 312.800 4.445 20 0 DIADHN C[C@H]1CCC[C@@H]1NCc1csc(CCc2ccccc2)n1 ZINC000237588982 1052442971 /nfs/dbraw/zinc/44/29/71/1052442971.db2.gz PYXSICWWGVMFFP-YOEHRIQHSA-N 0 3 300.471 4.207 20 0 DIADHN CCOc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(C)n1 ZINC001222121731 1052446142 /nfs/dbraw/zinc/44/61/42/1052446142.db2.gz HMYFIANYQMOLSS-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCOc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c(C)n1 ZINC001222121969 1052446590 /nfs/dbraw/zinc/44/65/90/1052446590.db2.gz NDEUQUQYPGINJB-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN N[C@H](CC(=O)Nc1ccc(OC2CCCC2)cc1)c1ccccc1 ZINC000237881012 1052446883 /nfs/dbraw/zinc/44/68/83/1052446883.db2.gz WWLLGWFZOLALKU-LJQANCHMSA-N 0 3 324.424 4.037 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(C)c(O)c(C)c2)cc1F ZINC000315796765 1052453077 /nfs/dbraw/zinc/45/30/77/1052453077.db2.gz ZYYREZVRZAVKPZ-CYBMUJFWSA-N 0 3 303.377 4.008 20 0 DIADHN COC[C@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000316218616 1052457923 /nfs/dbraw/zinc/45/79/23/1052457923.db2.gz GQHXFVQAAOVLJL-OALUTQOASA-N 0 3 301.405 4.176 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2cccc(Cl)c2)c1 ZINC000495807762 1052458374 /nfs/dbraw/zinc/45/83/74/1052458374.db2.gz BOPYWHLAIPCPRU-GFCCVEGCSA-N 0 3 318.804 4.369 20 0 DIADHN COCc1ccc(Cl)c(-c2cnccc2/C=C/N(C)C)c1 ZINC001222286015 1052473750 /nfs/dbraw/zinc/47/37/50/1052473750.db2.gz OMJSVMFULMTIDB-VQHVLOKHSA-N 0 3 302.805 4.081 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCC[C@H](C)C2)c(C)c1 ZINC000026467127 1052491341 /nfs/dbraw/zinc/49/13/41/1052491341.db2.gz FZKWTUVOCFNQPL-GUYCJALGSA-N 0 3 302.462 4.061 20 0 DIADHN Cc1cccc([C@@H](C)C(=O)Nc2ccc(C)cc2CN(C)C)c1 ZINC000122746954 1052497549 /nfs/dbraw/zinc/49/75/49/1052497549.db2.gz RTLQCNGSYGPRSG-MRXNPFEDSA-N 0 3 310.441 4.107 20 0 DIADHN CCOC(CN1CCCCC[C@H]1c1ccc(CC)o1)OCC ZINC000763158606 1052517215 /nfs/dbraw/zinc/51/72/15/1052517215.db2.gz OKUHILMUPKCXMQ-INIZCTEOSA-N 0 3 309.450 4.158 20 0 DIADHN COc1ccc(C(=O)C2CCN(Cc3ccccc3C)CC2)cc1 ZINC000054886733 1052523421 /nfs/dbraw/zinc/52/34/21/1052523421.db2.gz ZYHNWYHIHFDUGG-UHFFFAOYSA-N 0 3 323.436 4.099 20 0 DIADHN CCOCCN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626112719 1052528936 /nfs/dbraw/zinc/52/89/36/1052528936.db2.gz MGBQEYLPCIZVMD-UHFFFAOYSA-N 0 3 314.454 4.268 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1nc2cc(F)ccc2o1 ZINC001649025124 1052530358 /nfs/dbraw/zinc/53/03/58/1052530358.db2.gz JADJNKJULILUDL-UHFFFAOYSA-N 0 3 312.388 4.343 20 0 DIADHN Cc1cccc(C)c1CO[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001222829370 1052538212 /nfs/dbraw/zinc/53/82/12/1052538212.db2.gz ZEEDXTHWALKEKJ-OALUTQOASA-N 0 3 324.468 4.441 20 0 DIADHN CCC[C@@H](C(=O)N(CCN(C)C)C(C)C)c1cccc(Cl)c1 ZINC001127399235 1052540617 /nfs/dbraw/zinc/54/06/17/1052540617.db2.gz LLVBYYPWSGVIAI-QGZVFWFLSA-N 0 3 324.896 4.022 20 0 DIADHN Cc1cc(C)c(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)c(C)c1 ZINC001222898663 1052543229 /nfs/dbraw/zinc/54/32/29/1052543229.db2.gz ABZGVRGNCDEZRK-RTBURBONSA-N 0 3 324.468 4.359 20 0 DIADHN Cc1cc(C)c(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001222898662 1052543442 /nfs/dbraw/zinc/54/34/42/1052543442.db2.gz ABZGVRGNCDEZRK-RBUKOAKNSA-N 0 3 324.468 4.359 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)C(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000670268629 1052543879 /nfs/dbraw/zinc/54/38/79/1052543879.db2.gz VRIUSJUFGMSIFU-UKRRQHHQSA-N 0 3 316.449 4.101 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)c1 ZINC000107072404 1052544143 /nfs/dbraw/zinc/54/41/43/1052544143.db2.gz BZNUQAPMQMEQGJ-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN CSc1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000107070248 1052544501 /nfs/dbraw/zinc/54/45/01/1052544501.db2.gz WTAUCETXERQSLE-KBPBESRZSA-N 0 3 314.454 4.086 20 0 DIADHN C[C@@H](CN[C@H](C)c1nc(-c2cccc(F)c2)no1)C(C)(C)C ZINC000670269576 1052546114 /nfs/dbraw/zinc/54/61/14/1052546114.db2.gz QIHJVFJDTGMZTC-NWDGAFQWSA-N 0 3 305.397 4.209 20 0 DIADHN Cc1cccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1C ZINC001223033371 1052559007 /nfs/dbraw/zinc/55/90/07/1052559007.db2.gz ULUMPUGWMKLIKB-QUCCMNQESA-N 0 3 324.468 4.441 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@H]1CCc3c1cc(F)cc3F)CC2 ZINC000154668427 1052565656 /nfs/dbraw/zinc/56/56/56/1052565656.db2.gz FUYHTZAQWNCHSI-ROUUACIJSA-N 0 3 303.327 4.368 20 0 DIADHN CN(C)Cc1cccc(NC(=S)Nc2ccc(Cl)cc2)c1 ZINC000916010508 1052582004 /nfs/dbraw/zinc/58/20/04/1052582004.db2.gz GUJJTCKXEOWUAY-UHFFFAOYSA-N 0 3 319.861 4.211 20 0 DIADHN COc1ccccc1CN(C)C[C@H](O)c1cccc2ccccc21 ZINC000324667452 1052599718 /nfs/dbraw/zinc/59/97/18/1052599718.db2.gz FWEPQWLYAXYDQF-FQEVSTJZSA-N 0 3 321.420 4.014 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000763396471 1052623203 /nfs/dbraw/zinc/62/32/03/1052623203.db2.gz UPAIPLDOTDDHQZ-KPZWWZAWSA-N 0 3 301.817 4.170 20 0 DIADHN COc1cc(CN[C@@H]2CCc3ccc(Cl)cc32)cc(OC)c1 ZINC000763394879 1052624166 /nfs/dbraw/zinc/62/41/66/1052624166.db2.gz GOTVUROSPAZLCX-GOSISDBHSA-N 0 3 317.816 4.134 20 0 DIADHN CC[C@H](O[C@@H]1CCN([C@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223703910 1052628603 /nfs/dbraw/zinc/62/86/03/1052628603.db2.gz AEFLRPVAUUJIGK-POAQFYNOSA-N 0 3 310.441 4.385 20 0 DIADHN CCOCCOc1cc(C)ccc1CN[C@@H](C)c1ccccc1 ZINC000124907753 1052644842 /nfs/dbraw/zinc/64/48/42/1052644842.db2.gz AXBKQBCCJGSZKF-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C1CC1)C1CCCC1 ZINC000064807934 1052650953 /nfs/dbraw/zinc/65/09/53/1052650953.db2.gz USNHZNRPSMCXNH-UHFFFAOYSA-N 0 3 314.473 4.157 20 0 DIADHN c1csc([C@H](NCc2cnn(-c3ccccc3)c2)C2CC2)c1 ZINC000125869753 1052656295 /nfs/dbraw/zinc/65/62/95/1052656295.db2.gz VTUBOTLRZMMZRC-GOSISDBHSA-N 0 3 309.438 4.175 20 0 DIADHN Fc1ccc(Cl)c(CN2C[C@@H]3CNc4ccccc4[C@H]3C2)c1 ZINC001224119495 1052670115 /nfs/dbraw/zinc/67/01/15/1052670115.db2.gz POAFJGYAHSPZJK-BBRMVZONSA-N 0 3 316.807 4.120 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccccc1Cl)c1ccccc1F ZINC000917012643 1052680089 /nfs/dbraw/zinc/68/00/89/1052680089.db2.gz KDCGFLMQQAPETA-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(N(CC)CC)nc2)s1 ZINC000126895566 1052683222 /nfs/dbraw/zinc/68/32/22/1052683222.db2.gz ROTKBNGNRMXCCK-AWEZNQCLSA-N 0 3 317.502 4.403 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000126874754 1052683876 /nfs/dbraw/zinc/68/38/76/1052683876.db2.gz JHXFTGKSCGSAGU-AWEZNQCLSA-N 0 3 317.864 4.432 20 0 DIADHN C[C@H](c1cccnc1)N(C)Cc1ccc(Cl)c2cccnc12 ZINC000127895825 1052703836 /nfs/dbraw/zinc/70/38/36/1052703836.db2.gz QLHVCLKOMZRLPA-CYBMUJFWSA-N 0 3 311.816 4.476 20 0 DIADHN C[C@H](CNCc1ccn(C2CCCC2)n1)c1ccc(F)cc1 ZINC000128071976 1052708943 /nfs/dbraw/zinc/70/89/43/1052708943.db2.gz QOFQPINSVBZURZ-CQSZACIVSA-N 0 3 301.409 4.031 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2cccc(C(F)(F)F)c2)cc1 ZINC000067978001 1052718484 /nfs/dbraw/zinc/71/84/84/1052718484.db2.gz OOMAVYTXECBDRL-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN C(CCC1CCCCC1)CO[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001224766452 1052723589 /nfs/dbraw/zinc/72/35/89/1052723589.db2.gz IJIWPTMYDQOQSW-BGYRXZFFSA-N 0 3 323.521 4.397 20 0 DIADHN CCn1c(CNCCc2cccc(Cl)c2)nc2ccccc21 ZINC000917392627 1052724036 /nfs/dbraw/zinc/72/40/36/1052724036.db2.gz GCHQCRRCCCBOFA-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN CCCCN(Cn1c(=O)oc2ccc(Cl)cc21)[C@@H](C)CC ZINC000917438481 1052727170 /nfs/dbraw/zinc/72/71/70/1052727170.db2.gz VYFCKIXJSYOBEV-LBPRGKRZSA-N 0 3 310.825 4.106 20 0 DIADHN Cc1ccccc1CCO[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001224870667 1052732089 /nfs/dbraw/zinc/73/20/89/1052732089.db2.gz NKTBPEOPBZJXDV-AZUAARDMSA-N 0 3 324.468 4.175 20 0 DIADHN c1ccc(CN2CC[C@@H](OCCSc3ccccc3)C2)cc1 ZINC001224906381 1052734232 /nfs/dbraw/zinc/73/42/32/1052734232.db2.gz NKNRSYAZTPESMG-GOSISDBHSA-N 0 3 313.466 4.070 20 0 DIADHN CC(C)Oc1ccccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001225209551 1052755548 /nfs/dbraw/zinc/75/55/48/1052755548.db2.gz MIGQIJABHCBHKF-SFHVURJKSA-N 0 3 311.425 4.127 20 0 DIADHN Cc1cccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1C ZINC001225219808 1052757786 /nfs/dbraw/zinc/75/77/86/1052757786.db2.gz KZOREEWEACUSHW-ZWKOTPCHSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1cc(C)cc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001225245124 1052762096 /nfs/dbraw/zinc/76/20/96/1052762096.db2.gz POMDAHVCQKAWRN-MSOLQXFVSA-N 0 3 310.441 4.303 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001225249693 1052762596 /nfs/dbraw/zinc/76/25/96/1052762596.db2.gz FUZACTHBFJSSCL-YOEHRIQHSA-N 0 3 316.832 4.339 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917940065 1052765507 /nfs/dbraw/zinc/76/55/07/1052765507.db2.gz ZCVLTBLHPTZKKV-HRCADAONSA-N 0 3 318.505 4.328 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(F)c(Cl)c1 ZINC000129004512 1052765547 /nfs/dbraw/zinc/76/55/47/1052765547.db2.gz PBILDSFRVNFUGB-UHFFFAOYSA-N 0 3 307.796 4.305 20 0 DIADHN Fc1ccc(O[C@H]2CCCN(Cc3ccccc3)C2)c(F)c1 ZINC001225314377 1052772424 /nfs/dbraw/zinc/77/24/24/1052772424.db2.gz GWBOBCNDAANBEU-INIZCTEOSA-N 0 3 303.352 4.008 20 0 DIADHN CC(C)N1CCC[C@H](Oc2cc(C(F)(F)F)ccc2F)C1 ZINC001225332337 1052775647 /nfs/dbraw/zinc/77/56/47/1052775647.db2.gz ORSVNNYDBCPFRR-LBPRGKRZSA-N 0 3 305.315 4.096 20 0 DIADHN Cc1cc(F)ccc1O[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001225345518 1052777258 /nfs/dbraw/zinc/77/72/58/1052777258.db2.gz DFJCMNNWNNYXHW-RDJZCZTQSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1cc(F)ccc1O[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001225345519 1052777539 /nfs/dbraw/zinc/77/75/39/1052777539.db2.gz DFJCMNNWNNYXHW-WBVHZDCISA-N 0 3 314.404 4.134 20 0 DIADHN C[C@H](NCc1ccccc1OCC(F)(F)F)c1ccccc1 ZINC000129435764 1052789641 /nfs/dbraw/zinc/78/96/41/1052789641.db2.gz XPKOLMPSMYHXAI-ZDUSSCGKSA-N 0 3 309.331 4.479 20 0 DIADHN Cc1cc2c(cccc2O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)[nH]1 ZINC001225466387 1052792341 /nfs/dbraw/zinc/79/23/41/1052792341.db2.gz CSJAUSJDKYEBTI-JKSUJKDBSA-N 0 3 321.424 4.086 20 0 DIADHN c1ccc(-c2nnc(CN[C@H]3CCCC34CCCC4)s2)cc1 ZINC000556118265 1052803412 /nfs/dbraw/zinc/80/34/12/1052803412.db2.gz AKEDITNEWLIGOB-HNNXBMFYSA-N 0 3 313.470 4.408 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H]3CCCC34CCCC4)s2)cc1 ZINC000556118266 1052803645 /nfs/dbraw/zinc/80/36/45/1052803645.db2.gz AKEDITNEWLIGOB-OAHLLOKOSA-N 0 3 313.470 4.408 20 0 DIADHN C[C@@H]([C@H](Oc1cccnc1Cl)c1ccccc1)N1CCCC1 ZINC001225581540 1052804749 /nfs/dbraw/zinc/80/47/49/1052804749.db2.gz HXIRHYQTUHHROP-YOEHRIQHSA-N 0 3 316.832 4.339 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2ccc3cc[nH]c3c2)C1 ZINC001225654248 1052813171 /nfs/dbraw/zinc/81/31/71/1052813171.db2.gz UIOOYAHWUUVFON-YJBOKZPZSA-N 0 3 321.424 4.167 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2cc3c(s2)CCCC3)cnn1C ZINC000130061530 1052820317 /nfs/dbraw/zinc/82/03/17/1052820317.db2.gz AWUYVJNTWULDML-VXGBXAGGSA-N 0 3 303.475 4.081 20 0 DIADHN Cn1cc([C@H](NCC(C)(C)C(C)(C)C)c2cccc(F)c2)cn1 ZINC000556213735 1052821252 /nfs/dbraw/zinc/82/12/52/1052821252.db2.gz RWVJHZLJKLBGGV-QGZVFWFLSA-N 0 3 317.452 4.311 20 0 DIADHN CO[C@H](CN[C@H](c1cccc(C)c1)c1cccc(F)c1)C1CC1 ZINC000556238721 1052824520 /nfs/dbraw/zinc/82/45/20/1052824520.db2.gz LJYYURFWEXTMIV-WOJBJXKFSA-N 0 3 313.416 4.238 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccsc1 ZINC000556239020 1052824781 /nfs/dbraw/zinc/82/47/81/1052824781.db2.gz OWQHOCHIHRWCPF-QWHCGFSZSA-N 0 3 315.417 4.485 20 0 DIADHN Cc1cnc(CNC[C@H](C)c2ccc(C(F)(F)F)cc2)s1 ZINC000556271511 1052831222 /nfs/dbraw/zinc/83/12/22/1052831222.db2.gz XQDHHLZQTGHNQG-JTQLQIEISA-N 0 3 314.376 4.364 20 0 DIADHN Cc1ccc(F)c(OC2CCN(Cc3ccccc3)CC2)c1F ZINC001225896977 1052844798 /nfs/dbraw/zinc/84/47/98/1052844798.db2.gz LLRSDYAQHFKTHI-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN CC(C)[C@@H](NCC(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000010137205 1052850019 /nfs/dbraw/zinc/85/00/19/1052850019.db2.gz SAMKQNAWODRVGR-GOSISDBHSA-N 0 3 316.832 4.265 20 0 DIADHN Cn1cnc2cc(CNC(C)(C)c3ccc(Cl)cc3)ccc21 ZINC000556431418 1052850559 /nfs/dbraw/zinc/85/05/59/1052850559.db2.gz VNQJFIXSCUVCNE-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN CC(=O)c1ccc(F)cc1O[C@@H](CCN(C)C)c1cccs1 ZINC001225945887 1052850787 /nfs/dbraw/zinc/85/07/87/1052850787.db2.gz IXCJWQMERUPBRL-HNNXBMFYSA-N 0 3 321.417 4.162 20 0 DIADHN CC(C)N1CCC[C@H](Oc2ccccc2C(=O)c2ccccc2)C1 ZINC001225980613 1052855696 /nfs/dbraw/zinc/85/56/96/1052855696.db2.gz YUUZFGICPPWEKI-SFHVURJKSA-N 0 3 323.436 4.169 20 0 DIADHN Cc1cccc(C)c1O[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001225987652 1052856583 /nfs/dbraw/zinc/85/65/83/1052856583.db2.gz ZTNYSNUNHNSQLY-MSOLQXFVSA-N 0 3 310.441 4.303 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC(F)(c2cccnc2)CC1 ZINC000556544278 1052863738 /nfs/dbraw/zinc/86/37/38/1052863738.db2.gz RROJOMVNDNTXPX-AWEZNQCLSA-N 0 3 302.368 4.243 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000556609858 1052870871 /nfs/dbraw/zinc/87/08/71/1052870871.db2.gz VLWUFXJGCUTQME-IRXDYDNUSA-N 0 3 320.502 4.334 20 0 DIADHN C[C@@H](NCc1ccn(Cc2ccccc2)n1)[C@@H](C)c1ccccc1 ZINC000556611017 1052871194 /nfs/dbraw/zinc/87/11/94/1052871194.db2.gz WTDLQRULTKYPTL-QZTJIDSGSA-N 0 3 319.452 4.213 20 0 DIADHN CSc1cccc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)c1 ZINC000660148122 1052874576 /nfs/dbraw/zinc/87/45/76/1052874576.db2.gz TUNIEDLFHHYWRM-MRXNPFEDSA-N 0 3 301.459 4.086 20 0 DIADHN CC(C)OCCCN(C)Cc1cc(F)cc(C(F)(F)F)c1 ZINC000556895765 1052893874 /nfs/dbraw/zinc/89/38/74/1052893874.db2.gz OOYUFRWJNXFOBN-UHFFFAOYSA-N 0 3 307.331 4.091 20 0 DIADHN CC1=CC[C@@H](Nc2cccc(CN3CCSCC3)c2)CC1 ZINC000556813269 1052885690 /nfs/dbraw/zinc/88/56/90/1052885690.db2.gz MLFKGVPHBLWBQN-QGZVFWFLSA-N 0 3 302.487 4.146 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000925471867 1052887620 /nfs/dbraw/zinc/88/76/20/1052887620.db2.gz BKXQJYXOTKVWJP-WDEREUQCSA-N 0 3 308.809 4.063 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC2(C1)CCOCC2 ZINC000556917007 1052899286 /nfs/dbraw/zinc/89/92/86/1052899286.db2.gz ZTQVYRCPZHZOAW-UHFFFAOYSA-N 0 3 320.502 4.193 20 0 DIADHN Cc1noc2cc(OC3CCN(Cc4ccccc4)CC3)ccc12 ZINC001226537306 1052909074 /nfs/dbraw/zinc/90/90/74/1052909074.db2.gz HCHKIQPKAUSTGH-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Nc1ncc(CN2CCCCC[C@H]2/C=C/c2ccccc2)s1 ZINC000557009695 1052911006 /nfs/dbraw/zinc/91/10/06/1052911006.db2.gz XPFKNTHTWAIBOH-OFAQMXQXSA-N 0 3 313.470 4.183 20 0 DIADHN Nc1cc(O[C@H](c2ccccc2)C(Cl)(Cl)Cl)ccn1 ZINC001226655317 1052919081 /nfs/dbraw/zinc/91/90/81/1052919081.db2.gz FPMDCJDQMGQLEX-GFCCVEGCSA-N 0 3 317.603 4.154 20 0 DIADHN Nc1cc(O[C@H](c2ccccc2)c2ccccc2Cl)ccn1 ZINC001226653985 1052919075 /nfs/dbraw/zinc/91/90/75/1052919075.db2.gz VRXKQDXUPBPCMS-GOSISDBHSA-N 0 3 310.784 4.486 20 0 DIADHN Nc1cc(O[C@@H](/C=C/c2ccccc2)c2ccccc2)ccn1 ZINC001226653823 1052919200 /nfs/dbraw/zinc/91/92/00/1052919200.db2.gz PVLFXMYIULDMOJ-CVTTXWKISA-N 0 3 302.377 4.497 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC000920267623 1052933059 /nfs/dbraw/zinc/93/30/59/1052933059.db2.gz BYCXQGOMGKKMDH-LJQANCHMSA-N 0 3 323.440 4.243 20 0 DIADHN C[C@H]1CN(Cc2ccccc2)CC[C@H]1Oc1nc2ccccc2o1 ZINC001226906047 1052943993 /nfs/dbraw/zinc/94/39/93/1052943993.db2.gz NQRQMACMWZFFEK-MAUKXSAKSA-N 0 3 322.408 4.117 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CC[C@H]1Oc1nc2ccccc2o1 ZINC001226906043 1052944147 /nfs/dbraw/zinc/94/41/47/1052944147.db2.gz NQRQMACMWZFFEK-CRAIPNDOSA-N 0 3 322.408 4.117 20 0 DIADHN CC(=O)c1cc(C)ccc1O[C@H](CCN(C)C)c1ccccc1 ZINC001227085248 1052959494 /nfs/dbraw/zinc/95/94/94/1052959494.db2.gz CFABUJAIQPXQTD-LJQANCHMSA-N 0 3 311.425 4.269 20 0 DIADHN CC(=O)c1ccc(C)cc1O[C@@H](CCN(C)C)c1cccs1 ZINC001227115739 1052962330 /nfs/dbraw/zinc/96/23/30/1052962330.db2.gz YKALNQMLVQSWRN-INIZCTEOSA-N 0 3 317.454 4.331 20 0 DIADHN CCCc1ccccc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001227172388 1052967604 /nfs/dbraw/zinc/96/76/04/1052967604.db2.gz KFFJOHJXUVRYPZ-FUHWJXTLSA-N 0 3 310.441 4.248 20 0 DIADHN CCCc1ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001227180221 1052968964 /nfs/dbraw/zinc/96/89/64/1052968964.db2.gz IHTDVXMNMWTPHO-QFBILLFUSA-N 0 3 310.441 4.248 20 0 DIADHN CCCc1ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc1 ZINC001227180222 1052969241 /nfs/dbraw/zinc/96/92/41/1052969241.db2.gz IHTDVXMNMWTPHO-VQIMIIECSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)(O)CN(Cc1csc(Cl)c1)Cc1ccccc1 ZINC001142775918 1052972345 /nfs/dbraw/zinc/97/23/45/1052972345.db2.gz PDFSTXQOYJWEKR-UHFFFAOYSA-N 0 3 309.862 4.175 20 0 DIADHN c1ccc(CCC[C@H]2CCCCN2Cc2n[nH]c(C3CC3)n2)cc1 ZINC000347481781 1052978716 /nfs/dbraw/zinc/97/87/16/1052978716.db2.gz KKOLGQVWMXTDSE-GOSISDBHSA-N 0 3 324.472 4.060 20 0 DIADHN CC(=O)[C@H](C)Oc1ccc2ccccc2c1CN1CCCCC1 ZINC001227277420 1052978746 /nfs/dbraw/zinc/97/87/46/1052978746.db2.gz RNCKPLFGXLSELM-INIZCTEOSA-N 0 3 311.425 4.182 20 0 DIADHN CC(=O)c1cccc(F)c1O[C@H](CCN(C)C)c1ccccc1 ZINC001227341522 1052982725 /nfs/dbraw/zinc/98/27/25/1052982725.db2.gz OLEOBFIEOXROPB-GOSISDBHSA-N 0 3 315.388 4.100 20 0 DIADHN CC[C@@H](C)c1ccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001227327093 1052982803 /nfs/dbraw/zinc/98/28/03/1052982803.db2.gz CCUIGFCCSWATSU-POAQFYNOSA-N 0 3 317.473 4.222 20 0 DIADHN CC(C)N1CC[C@@H](Oc2ccc(-c3ccc(O)cc3)cc2F)C1 ZINC001227363542 1052984609 /nfs/dbraw/zinc/98/46/09/1052984609.db2.gz ACFGMHNMMPBNTQ-QGZVFWFLSA-N 0 3 315.388 4.060 20 0 DIADHN OC[C@H]1CCCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000378782253 1052985557 /nfs/dbraw/zinc/98/55/57/1052985557.db2.gz FYXRBQVGKJUNEK-LBPRGKRZSA-N 0 3 309.862 4.149 20 0 DIADHN CC(=O)c1ccc(O[C@H]2CCN(Cc3ccccc3)C[C@@H]2C)cc1 ZINC001227463476 1052993581 /nfs/dbraw/zinc/99/35/81/1052993581.db2.gz BGJKVOSUWZDGIQ-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(F)c2)cc1 ZINC000615330065 1053013154 /nfs/dbraw/zinc/01/31/54/1053013154.db2.gz XXBBCRJFMBQQMY-HUUCEWRRSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(Cl)c2)cc1 ZINC000615329279 1053013170 /nfs/dbraw/zinc/01/31/70/1053013170.db2.gz GQBNTKJQXJQYGX-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(F)c2)cc1 ZINC000615330059 1053013232 /nfs/dbraw/zinc/01/32/32/1053013232.db2.gz XXBBCRJFMBQQMY-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(Cl)c2)cc1 ZINC000615329276 1053013262 /nfs/dbraw/zinc/01/32/62/1053013262.db2.gz GQBNTKJQXJQYGX-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccccc1[C@@H](O)CNCc1ccc(-c2ccccc2)o1 ZINC000237628106 1053016619 /nfs/dbraw/zinc/01/66/19/1053016619.db2.gz NXYHXRDSUHXQIL-IBGZPJMESA-N 0 3 307.393 4.078 20 0 DIADHN Fc1ccc2cccnc2c1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001227920714 1053029233 /nfs/dbraw/zinc/02/92/33/1053029233.db2.gz KLVOAZRFIAPAJG-KRWDZBQOSA-N 0 3 322.383 4.027 20 0 DIADHN C[C@@H]1CCC(C)(C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000616178911 1053048484 /nfs/dbraw/zinc/04/84/84/1053048484.db2.gz JHHJUQJXSTXBDI-MRXNPFEDSA-N 0 3 314.473 4.076 20 0 DIADHN C[C@H]1CCC(C)(C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000616178910 1053048505 /nfs/dbraw/zinc/04/85/05/1053048505.db2.gz JHHJUQJXSTXBDI-INIZCTEOSA-N 0 3 314.473 4.076 20 0 DIADHN CC(=O)c1ccc(O[C@H]2CN(Cc3ccccc3)C[C@@H]2C)cc1C ZINC001228214770 1053053516 /nfs/dbraw/zinc/05/35/16/1053053516.db2.gz LCGLIZXPKTUULG-KKSFZXQISA-N 0 3 323.436 4.097 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2ccccc2)cc1C ZINC001228214976 1053053739 /nfs/dbraw/zinc/05/37/39/1053053739.db2.gz NKBDOJFXLVYVRX-FQEVSTJZSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1ccc(O[C@@H]2CCN(C(C)C)C2)c(C(=O)c2ccccc2)c1 ZINC001228224985 1053054633 /nfs/dbraw/zinc/05/46/33/1053054633.db2.gz PKPGAZDAIWJAMQ-GOSISDBHSA-N 0 3 323.436 4.088 20 0 DIADHN Oc1ccc(Cl)c(OC2CCN(Cc3ccccc3)CC2)c1 ZINC001228225588 1053054797 /nfs/dbraw/zinc/05/47/97/1053054797.db2.gz BRFUZYUNXRBUHN-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(C)CC2)c(C(=O)c2ccccc2)c1 ZINC001228225043 1053054889 /nfs/dbraw/zinc/05/48/89/1053054889.db2.gz QAUNXSUAACYNTA-SFHVURJKSA-N 0 3 323.436 4.089 20 0 DIADHN Clc1ccc(CCN[C@H](c2ccccc2)c2ccccn2)cn1 ZINC000180771354 1053087486 /nfs/dbraw/zinc/08/74/86/1053087486.db2.gz WZZGWLKIQQZXMR-LJQANCHMSA-N 0 3 323.827 4.052 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(SC)ccc1Cl)N(CC)CC ZINC000617362570 1053126397 /nfs/dbraw/zinc/12/63/97/1053126397.db2.gz WSUQFXRFBOUSJI-AWEZNQCLSA-N 0 3 314.882 4.121 20 0 DIADHN C[C@@H](Oc1ccc2ccccc2c1CN(C)C)c1cccnc1 ZINC001228439300 1053104340 /nfs/dbraw/zinc/10/43/40/1053104340.db2.gz GJMFDAIGXAXHRH-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CN(C)Cc1c(OC2CCSCC2)ccc2ccccc21 ZINC001228442363 1053104407 /nfs/dbraw/zinc/10/44/07/1053104407.db2.gz SEMUDNAXVAGQQR-UHFFFAOYSA-N 0 3 301.455 4.176 20 0 DIADHN COC(C)(C)C[C@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228440876 1053104469 /nfs/dbraw/zinc/10/44/69/1053104469.db2.gz HCGKDLFDNLSNHF-HNNXBMFYSA-N 0 3 315.457 4.484 20 0 DIADHN CN(C)Cc1c(O[C@@H]2CCC(F)(F)C2)ccc2ccccc21 ZINC001228440934 1053104499 /nfs/dbraw/zinc/10/44/99/1053104499.db2.gz IWUSWYRVAMIGKD-CQSZACIVSA-N 0 3 305.368 4.468 20 0 DIADHN N#Cc1cccc(CNCc2ccc(SC(F)F)cc2)c1 ZINC000180901941 1053105716 /nfs/dbraw/zinc/10/57/16/1053105716.db2.gz HQGXIMJIQBWGPW-UHFFFAOYSA-N 0 3 304.365 4.163 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@H](C)c1nc(C(C)(C)C)cs1 ZINC001350933708 1053118142 /nfs/dbraw/zinc/11/81/42/1053118142.db2.gz ZFDAALBPKOKSCL-CHWSQXEVSA-N 0 3 303.475 4.117 20 0 DIADHN Cc1cc(NC[C@H](c2cccc(F)c2)N(C)C)nc2ccccc12 ZINC001317705692 1053127978 /nfs/dbraw/zinc/12/79/78/1053127978.db2.gz MUTLRQRDIHUQRX-LJQANCHMSA-N 0 3 323.415 4.397 20 0 DIADHN Cc1cc(CNCc2ccccc2-c2ccc(Cl)cc2)[nH]n1 ZINC001318338829 1053162160 /nfs/dbraw/zinc/16/21/60/1053162160.db2.gz FYUPNNZAXCZWLR-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN Cc1cc(CNCc2ccccc2-c2ccc(Cl)cc2)n[nH]1 ZINC001318338829 1053162166 /nfs/dbraw/zinc/16/21/66/1053162166.db2.gz FYUPNNZAXCZWLR-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN CC(C)Oc1cccc(Nc2ccc3c(c2)CN(C)CC3)c1F ZINC001212326113 1053168232 /nfs/dbraw/zinc/16/82/32/1053168232.db2.gz WRVOFDOLEHMYMJ-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@@H]3CCCC[C@@H]3C2)o1 ZINC001318813820 1053195343 /nfs/dbraw/zinc/19/53/43/1053195343.db2.gz HHVAHNNGQXMFSI-LSDHHAIUSA-N 0 3 314.404 4.493 20 0 DIADHN CC(C)N1CCC(Oc2nc3ccc(Cl)cc3s2)CC1 ZINC001228929837 1053206898 /nfs/dbraw/zinc/20/68/98/1053206898.db2.gz JYJJTHRIGHTXCH-UHFFFAOYSA-N 0 3 310.850 4.201 20 0 DIADHN Cc1ccc(C[C@@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000181432966 1053207059 /nfs/dbraw/zinc/20/70/59/1053207059.db2.gz ZXPDWBOVOJNYCR-GDBMZVCRSA-N 0 3 318.376 4.191 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@H]2CCCN(C)C2)c(C(C)C)c1 ZINC000617924782 1053214144 /nfs/dbraw/zinc/21/41/44/1053214144.db2.gz OHMQGJXIPLJPEB-INIZCTEOSA-N 0 3 317.477 4.149 20 0 DIADHN Cc1scc(CN[C@H]2CCCc3cnn(C(C)C)c32)c1C ZINC001351781822 1053223678 /nfs/dbraw/zinc/22/36/78/1053223678.db2.gz NMQYLZGFICUEEI-INIZCTEOSA-N 0 3 303.475 4.310 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(C[C@H](O)c2cccc(F)c2)C1 ZINC001319181687 1053224427 /nfs/dbraw/zinc/22/44/27/1053224427.db2.gz PEVORRSWRYAHTM-VMDGZTHMSA-N 0 3 317.379 4.081 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1nnc(-c2ccccc2)s1 ZINC000090401497 1053230701 /nfs/dbraw/zinc/23/07/01/1053230701.db2.gz HLUMYBNYLPZBLQ-CQSZACIVSA-N 0 3 301.459 4.430 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)NCc2nc(C3CC3)cs2)cc1 ZINC000090458733 1053233027 /nfs/dbraw/zinc/23/30/27/1053233027.db2.gz QFGXENYRNURXBN-QWHCGFSZSA-N 0 3 316.470 4.311 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1Cl ZINC000090468863 1053233648 /nfs/dbraw/zinc/23/36/48/1053233648.db2.gz ZSDNEXYHULPJHR-ZDUSSCGKSA-N 0 3 318.848 4.265 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2c(Cl)n1)[C@H]1CCCCO1 ZINC000847079087 1053237571 /nfs/dbraw/zinc/23/75/71/1053237571.db2.gz KTNMVMYEZAVZOV-IAGOWNOFSA-N 0 3 318.848 4.326 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(F)c(OC)c2)c1 ZINC000090723780 1053240505 /nfs/dbraw/zinc/24/05/05/1053240505.db2.gz PVHSEXQDXLZMAL-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOc2ccccc2)c(C)s1 ZINC001319409359 1053240638 /nfs/dbraw/zinc/24/06/38/1053240638.db2.gz GGLDNMCFUXGTKJ-CYBMUJFWSA-N 0 3 304.459 4.270 20 0 DIADHN CC(C)N1CCC[C@H](Oc2cc3ccccc3nc2Cl)C1 ZINC001229128783 1053253208 /nfs/dbraw/zinc/25/32/08/1053253208.db2.gz DBZCFBFQMYPRNH-AWEZNQCLSA-N 0 3 304.821 4.140 20 0 DIADHN Fc1cnccc1CN[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000449303542 1053266479 /nfs/dbraw/zinc/26/64/79/1053266479.db2.gz YLJPIHJTRHLDLJ-CTYIDZIISA-N 0 3 324.321 4.275 20 0 DIADHN CCCC(O)(CCC)CN[C@H](C)c1nc2c(s1)CCCC2 ZINC000091726818 1053269730 /nfs/dbraw/zinc/26/97/30/1053269730.db2.gz DEMQQQPIKLVKOE-CYBMUJFWSA-N 0 3 310.507 4.004 20 0 DIADHN C[C@@H]1CN(Cc2ccccn2)CC[C@H]1Nc1cccc(Cl)c1 ZINC000252734439 1053276015 /nfs/dbraw/zinc/27/60/15/1053276015.db2.gz MSSSFVGTPXHTFE-RDTXWAMCSA-N 0 3 315.848 4.058 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1ncc(Br)s1 ZINC000252729284 1053276325 /nfs/dbraw/zinc/27/63/25/1053276325.db2.gz FZZMLTOKGNTONQ-LPEHRKFASA-N 0 3 305.285 4.381 20 0 DIADHN C[C@@]1(CO)CCCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC001319823246 1053277203 /nfs/dbraw/zinc/27/72/03/1053277203.db2.gz KCBLXTGUZJNNIY-HXUWFJFHSA-N 0 3 311.425 4.073 20 0 DIADHN C[C@]1(CO)CCCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC001319823244 1053277895 /nfs/dbraw/zinc/27/78/95/1053277895.db2.gz KCBLXTGUZJNNIY-FQEVSTJZSA-N 0 3 311.425 4.073 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000252745374 1053278059 /nfs/dbraw/zinc/27/80/59/1053278059.db2.gz ONXDHNHOPKAOCF-FSPWUOQZSA-N 0 3 319.399 4.196 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccccc3OC)CCC2)cc1 ZINC001319856496 1053281821 /nfs/dbraw/zinc/28/18/21/1053281821.db2.gz JYDCSJUTDPDZQC-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NC[C@@H](O)c1ccsc1 ZINC000181786088 1053294237 /nfs/dbraw/zinc/29/42/37/1053294237.db2.gz OTWHYCIXFRIPDR-MLGOLLRUSA-N 0 3 315.438 4.441 20 0 DIADHN Cc1cc(C)cc(CCN(C)Cc2cc(-c3ccccc3)n[nH]2)c1 ZINC001474744372 1053294693 /nfs/dbraw/zinc/29/46/93/1053294693.db2.gz IZJHHFBUKPJWNG-UHFFFAOYSA-N 0 3 319.452 4.368 20 0 DIADHN Cc1ccc([C@@H](C)Oc2ccc(C(=O)CCN(C)C)cc2)cc1 ZINC001229303888 1053295224 /nfs/dbraw/zinc/29/52/24/1053295224.db2.gz WPAAENMIYPFODG-MRXNPFEDSA-N 0 3 311.425 4.269 20 0 DIADHN C[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1ccc(F)cc1 ZINC001229306119 1053295338 /nfs/dbraw/zinc/29/53/38/1053295338.db2.gz IIZOWZMOEMFGOB-CQSZACIVSA-N 0 3 315.388 4.100 20 0 DIADHN C[C@H](NCCc1c(F)cccc1Cl)c1cccnc1Cl ZINC001320024357 1053296316 /nfs/dbraw/zinc/29/63/16/1053296316.db2.gz ARNNFDHPZBZXMQ-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2Oc1ccc(C(=O)CCN(C)C)cc1 ZINC001229307599 1053296581 /nfs/dbraw/zinc/29/65/81/1053296581.db2.gz YBPNMNUQAFBRGT-OAQYLSRUSA-N 0 3 323.436 4.196 20 0 DIADHN C[C@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1cccs1 ZINC001229306709 1053296739 /nfs/dbraw/zinc/29/67/39/1053296739.db2.gz PGCNWVQLRMTCPR-ZDUSSCGKSA-N 0 3 303.427 4.023 20 0 DIADHN CC[C@H](CC1CCCCC1)NCc1cc(C(F)(F)F)n(C)n1 ZINC001474744536 1053297709 /nfs/dbraw/zinc/29/77/09/1053297709.db2.gz KRYWVVRRPCKXRY-CYBMUJFWSA-N 0 3 317.399 4.278 20 0 DIADHN C[C@H](NCc1ccnc(Cl)c1)c1cccc(N2CCCC2)c1 ZINC000181871354 1053301792 /nfs/dbraw/zinc/30/17/92/1053301792.db2.gz FRVDFEWFNNOHRF-AWEZNQCLSA-N 0 3 315.848 4.186 20 0 DIADHN CS[C@@H](CNCc1nc2c(s1)C[C@H](C)CC2)C(C)(C)C ZINC001320084518 1053302846 /nfs/dbraw/zinc/30/28/46/1053302846.db2.gz AETHMFKMLPMQLY-RISCZKNCSA-N 0 3 312.548 4.135 20 0 DIADHN CN(C)c1cc(CN2C[C@H]3CCCC[C@]32c2ccccc2)ccn1 ZINC001320221665 1053316465 /nfs/dbraw/zinc/31/64/65/1053316465.db2.gz XLFDZHNTFVAHAA-CTNGQTDRSA-N 0 3 321.468 4.049 20 0 DIADHN C[C@@H](NC[C@H](O)c1cccc(Cl)c1)c1cccc(F)c1F ZINC000181951308 1053317403 /nfs/dbraw/zinc/31/74/03/1053317403.db2.gz SKXPLDFJCXWPIT-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN CC1(C)CC(=O)CC[C@@H]1Oc1cccc(CN2CCCCC2)c1 ZINC001229448253 1053324552 /nfs/dbraw/zinc/32/45/52/1053324552.db2.gz GZXRIROGCLOUGK-IBGZPJMESA-N 0 3 315.457 4.199 20 0 DIADHN CC(=O)[C@@H](Oc1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC001229448233 1053324593 /nfs/dbraw/zinc/32/45/93/1053324593.db2.gz GLPSUOSHXHCOCL-OAQYLSRUSA-N 0 3 323.436 4.382 20 0 DIADHN COC[C@@H](CC(C)C)N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC001320417224 1053333324 /nfs/dbraw/zinc/33/33/24/1053333324.db2.gz MRYLWQPCSNDDOH-WCQYABFASA-N 0 3 319.367 4.139 20 0 DIADHN CC1(C)OCc2cc(CNCc3ccc4c(c3)CCC4)ccc2O1 ZINC001320432171 1053335038 /nfs/dbraw/zinc/33/50/38/1053335038.db2.gz GBRBGLGONSZPQQ-UHFFFAOYSA-N 0 3 323.436 4.110 20 0 DIADHN Clc1ccc(Cl)c(-c2ccc(CN[C@H]3CCOC3)o2)c1 ZINC001320618496 1053347770 /nfs/dbraw/zinc/34/77/70/1053347770.db2.gz HNFUAJHTLZYLOK-NSHDSACASA-N 0 3 312.196 4.132 20 0 DIADHN COCc1ccc(CN[C@H]2CCc3c2cccc3Cl)cc1 ZINC001200067250 1053347890 /nfs/dbraw/zinc/34/78/90/1053347890.db2.gz CBKIRGVWZJOTOE-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN CCN1CC[C@@H](Oc2c(C(C)=O)cccc2-c2ccccc2)C1 ZINC001229565112 1053353079 /nfs/dbraw/zinc/35/30/79/1053353079.db2.gz CKONDUHOSCTLGR-QGZVFWFLSA-N 0 3 309.409 4.029 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CC2(C)CCCCC2)c1 ZINC001545808735 1053365895 /nfs/dbraw/zinc/36/58/95/1053365895.db2.gz IGHOKXWUZHUFEM-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CC[C@H](C)[C@@H](C)C2)c1 ZINC001545808800 1053366239 /nfs/dbraw/zinc/36/62/39/1053366239.db2.gz KBZLIKIEYHBTLV-YQQAZPJKSA-N 0 3 302.462 4.067 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1cnc(C(C)(C)C)s1 ZINC000093324825 1053385788 /nfs/dbraw/zinc/38/57/88/1053385788.db2.gz NVTDBSMMBKAGAT-ZDUSSCGKSA-N 0 3 318.486 4.209 20 0 DIADHN Clc1cccc(CCN2CC[C@H]3OCCC[C@H]3C2)c1Cl ZINC001321116905 1053392927 /nfs/dbraw/zinc/39/29/27/1053392927.db2.gz HHQVNZBJNHEJJD-DZGCQCFKSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nccn2-c2ccccc2)cc1 ZINC001200115618 1053394179 /nfs/dbraw/zinc/39/41/79/1053394179.db2.gz MMRCYUTXNFMBPW-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN CCC[C@@H](C)Oc1cccc(C2(C(=O)CC)CCN(C)CC2)c1 ZINC001229734254 1053395342 /nfs/dbraw/zinc/39/53/42/1053395342.db2.gz VWMMPONQCYPLFB-MRXNPFEDSA-N 0 3 317.473 4.197 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2ccc(F)cc2Cl)c(C)o1 ZINC001321393865 1053410743 /nfs/dbraw/zinc/41/07/43/1053410743.db2.gz ISCVYBOKBAUDMY-QLJPJBMISA-N 0 3 311.784 4.073 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CC[C@@H]3CCCC[C@H]3C2)c1 ZINC001321458918 1053414837 /nfs/dbraw/zinc/41/48/37/1053414837.db2.gz RJXHDGZXQNRLMQ-BZSNNMDCSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H](NCCCOC(F)(F)F)c1nc(C(C)(C)C)cs1 ZINC001352889543 1053425936 /nfs/dbraw/zinc/42/59/36/1053425936.db2.gz IWYKUUYZZQTMFF-SECBINFHSA-N 0 3 310.385 4.018 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001435045898 1053426905 /nfs/dbraw/zinc/42/69/05/1053426905.db2.gz RYZQUDNHIFRDLF-SJLPKXTDSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN(C)[C@@H]2c2ccc(F)cc2)oc1C ZINC001321700811 1053428579 /nfs/dbraw/zinc/42/85/79/1053428579.db2.gz JNLHOZBNVYAWJF-SOVGHPHASA-N 0 3 316.420 4.132 20 0 DIADHN Cc1c([C@H](C)NCc2ccc3c(c2)CCC3)cnn1C(C)(C)C ZINC001321728548 1053430835 /nfs/dbraw/zinc/43/08/35/1053430835.db2.gz GMGDIFYUUDLELC-AWEZNQCLSA-N 0 3 311.473 4.286 20 0 DIADHN Cc1cccc([C@H]2CCCCN2Cc2cnc3cnccn23)c1C ZINC000093684303 1053438199 /nfs/dbraw/zinc/43/81/99/1053438199.db2.gz BJSREPZHTVIZDI-LJQANCHMSA-N 0 3 320.440 4.073 20 0 DIADHN Cc1cc(F)c(CNCCCc2c[nH]c3ccccc23)c(F)c1 ZINC001200173138 1053439473 /nfs/dbraw/zinc/43/94/73/1053439473.db2.gz OTOACJAVPFGWJJ-UHFFFAOYSA-N 0 3 314.379 4.477 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)NCc1cc(Cl)ccc1Cl ZINC000763529107 1053439867 /nfs/dbraw/zinc/43/98/67/1053439867.db2.gz XBHWQNCYMHBSRU-QMTHXVAHSA-N 0 3 314.212 4.188 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1ccc2c(c1)CCC2 ZINC001322095488 1053457549 /nfs/dbraw/zinc/45/75/49/1053457549.db2.gz LFQDZTPEMZGNAA-FQEVSTJZSA-N 0 3 307.437 4.351 20 0 DIADHN C[C@H](C(=O)N1CCN(C2CCC(C)CC2)CC1)[C@H](C)C(C)(C)C ZINC001435171774 1053462931 /nfs/dbraw/zinc/46/29/31/1053462931.db2.gz YRWWNOWLILHSJN-SAGYGOJTSA-N 0 3 322.537 4.028 20 0 DIADHN C[C@@H](NCc1ccc(F)c(Cl)c1)c1ccccc1N(C)C ZINC000093944168 1053465057 /nfs/dbraw/zinc/46/50/57/1053465057.db2.gz PLZCTZRAUOCJSJ-GFCCVEGCSA-N 0 3 306.812 4.396 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(C)o1)c1cccc(Cl)c1F ZINC000763541169 1053465370 /nfs/dbraw/zinc/46/53/70/1053465370.db2.gz YZHRWRDCPVYEGT-ZIAGYGMSSA-N 0 3 311.784 4.155 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccccc2N(C)C)cc1F ZINC000093944809 1053465416 /nfs/dbraw/zinc/46/54/16/1053465416.db2.gz CYISQYJOEUZWLW-AWEZNQCLSA-N 0 3 316.420 4.141 20 0 DIADHN CC[C@@H](C)c1nnc([C@@H]2CCCN(Cc3cccc(F)c3)C2)o1 ZINC001322255617 1053473971 /nfs/dbraw/zinc/47/39/71/1053473971.db2.gz INRKBKZZVULLDL-UKRRQHHQSA-N 0 3 317.408 4.102 20 0 DIADHN Clc1ncccc1CNCCc1csc2ccccc12 ZINC001200223696 1053482766 /nfs/dbraw/zinc/48/27/66/1053482766.db2.gz MWBSCXXEWHZEGG-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN CC(C)C1CCC(N2CCN(C/C(Cl)=C/Cl)CC2)CC1 ZINC000763566821 1053487958 /nfs/dbraw/zinc/48/79/58/1053487958.db2.gz WJHYMLYBHHNVIT-PTNGSMBKSA-N 0 3 319.320 4.138 20 0 DIADHN CCOc1cncc(CN[C@H]2CCC(C)(C)c3ccccc32)c1 ZINC001200253647 1053500713 /nfs/dbraw/zinc/50/07/13/1053500713.db2.gz DKBHNBQIYFRWTR-IBGZPJMESA-N 0 3 310.441 4.383 20 0 DIADHN CCC[C@@H](CC)NCc1nc(Cl)ccc1Br ZINC001200255763 1053503125 /nfs/dbraw/zinc/50/31/25/1053503125.db2.gz PGXFBPUDIFZQRU-SECBINFHSA-N 0 3 305.647 4.166 20 0 DIADHN Cc1cc([C@H](C)NCCNc2ccc3ccccc3n2)oc1C ZINC000502395819 1053514691 /nfs/dbraw/zinc/51/46/91/1053514691.db2.gz YKWPPUJSDNLSQR-AWEZNQCLSA-N 0 3 309.413 4.207 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2cccc(OC)c2)cc1F ZINC000152761426 1053524862 /nfs/dbraw/zinc/52/48/62/1053524862.db2.gz MKPSLEHSNUHLMA-SFHVURJKSA-N 0 3 317.404 4.474 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3csc(C)n3)cc2)n[nH]1 ZINC001322602508 1053525164 /nfs/dbraw/zinc/52/51/64/1053525164.db2.gz VZBUNQAQZIAMBK-LBPRGKRZSA-N 0 3 312.442 4.001 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2cccc(OC)c2)cc1F ZINC000152761539 1053525319 /nfs/dbraw/zinc/52/53/19/1053525319.db2.gz MKPSLEHSNUHLMA-GOSISDBHSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](CNCc1nnc(-c2ccccc2)s1)Cc1ccccc1 ZINC000152770672 1053527783 /nfs/dbraw/zinc/52/77/83/1053527783.db2.gz HDNHPMKDEOBEAV-HNNXBMFYSA-N 0 3 323.465 4.174 20 0 DIADHN CCOc1ccc(CN(C)c2ccnc3ccccc32)cc1OC ZINC001322666960 1053534270 /nfs/dbraw/zinc/53/42/70/1053534270.db2.gz IXVJYVUSRUJKRJ-UHFFFAOYSA-N 0 3 322.408 4.279 20 0 DIADHN C=Cc1ccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001230449032 1053539339 /nfs/dbraw/zinc/53/93/39/1053539339.db2.gz UUEKPCWGLXPAQS-QFBILLFUSA-N 0 3 308.425 4.329 20 0 DIADHN Cc1cc(CNC2(c3cccc(F)c3)CC2)c2ccccc2n1 ZINC000152861574 1053541337 /nfs/dbraw/zinc/54/13/37/1053541337.db2.gz CATBCROJMDBJPP-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000152883073 1053543995 /nfs/dbraw/zinc/54/39/95/1053543995.db2.gz JILGIKFWNKCEHW-WMZOPIPTSA-N 0 3 317.477 4.181 20 0 DIADHN Cc1ccc(CN[C@@H](C)COc2ccc(F)cc2)c(Cl)c1 ZINC001200315289 1053547927 /nfs/dbraw/zinc/54/79/27/1053547927.db2.gz WTRFQTIIVUHMRR-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ccccc1OCCCNCc1cccc(Cl)c1F ZINC001200322817 1053555521 /nfs/dbraw/zinc/55/55/21/1053555521.db2.gz IKYFHLCVVWKZEA-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN COC(=O)c1nc([C@@H]2CCCN2C[C@H](C)C(C)(C)C)sc1C ZINC000619845992 1053565492 /nfs/dbraw/zinc/56/54/92/1053565492.db2.gz KBDKXWKIRYPAHJ-AAEUAGOBSA-N 0 3 324.490 4.057 20 0 DIADHN Fc1c(O[C@H]2CCN(Cc3ccccc3)C2)ccc2ncccc21 ZINC001230670231 1053577709 /nfs/dbraw/zinc/57/77/09/1053577709.db2.gz RXBXHXVKAPBMAS-INIZCTEOSA-N 0 3 322.383 4.027 20 0 DIADHN COc1ccsc1CNCCOc1ccccc1C(C)(C)C ZINC001200347561 1053583309 /nfs/dbraw/zinc/58/33/09/1053583309.db2.gz XZXJGEMCKQUAOU-UHFFFAOYSA-N 0 3 319.470 4.223 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153291518 1053602598 /nfs/dbraw/zinc/60/25/98/1053602598.db2.gz JAFSTQPCODTPRJ-BNOWGMLFSA-N 0 3 317.820 4.183 20 0 DIADHN CN1CC[C@@H](NCc2sccc2Cl)[C@H]1c1ccc(F)cc1 ZINC001323864654 1053607081 /nfs/dbraw/zinc/60/70/81/1053607081.db2.gz AMOIACWDNHSSKS-GDBMZVCRSA-N 0 3 324.852 4.076 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1[NH2+]Cc1ccc([O-])c(F)c1 ZINC000153384935 1053609598 /nfs/dbraw/zinc/60/95/98/1053609598.db2.gz SKXYNICSYMOYIR-VOJFVSQTSA-N 0 3 303.352 4.084 20 0 DIADHN Cc1cc(CNCc2ccc(OC(C)(C)C)cc2)cc(C)c1O ZINC000620124849 1053609891 /nfs/dbraw/zinc/60/98/91/1053609891.db2.gz CGRLEWJHARBKFX-UHFFFAOYSA-N 0 3 313.441 4.476 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CCC[C@H]3C(C)C)n2)cc1 ZINC000620139845 1053612878 /nfs/dbraw/zinc/61/28/78/1053612878.db2.gz OZGHYUYORQETNY-KRWDZBQOSA-N 0 3 313.445 4.480 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H]1CCCc2cnn(C(C)C)c21 ZINC001323966671 1053613291 /nfs/dbraw/zinc/61/32/91/1053613291.db2.gz GSMUCKYIDRSYHS-MOPGFXCFSA-N 0 3 309.457 4.427 20 0 DIADHN CN1CC[C@H](Oc2ccc(OCc3ccccc3)c(Cl)c2)C1 ZINC001230886737 1053619718 /nfs/dbraw/zinc/61/97/18/1053619718.db2.gz DRQCNMVJWHYREU-INIZCTEOSA-N 0 3 317.816 4.002 20 0 DIADHN CC[C@H]([NH2+]Cc1cc(Cl)ccc1OC)c1ccccc1[O-] ZINC000183311347 1053631454 /nfs/dbraw/zinc/63/14/54/1053631454.db2.gz HYMIXJNUJZTTCR-HNNXBMFYSA-N 0 3 305.805 4.295 20 0 DIADHN C[C@@H](NCC1([C@H](O)c2ccccc2)CC1)c1cccc(F)c1F ZINC000183313351 1053631618 /nfs/dbraw/zinc/63/16/18/1053631618.db2.gz HYEBTVPOMOGAHX-FZKQIMNGSA-N 0 3 317.379 4.129 20 0 DIADHN C[C@@H](NCC1([C@@H](O)c2ccccc2)CC1)c1cccc(F)c1F ZINC000183313387 1053631908 /nfs/dbraw/zinc/63/19/08/1053631908.db2.gz HYEBTVPOMOGAHX-ACJLOTCBSA-N 0 3 317.379 4.129 20 0 DIADHN Fc1ccc(-c2ccc(O[C@H]3CN4CCC3CC4)cc2)c(F)c1 ZINC001230958742 1053635682 /nfs/dbraw/zinc/63/56/82/1053635682.db2.gz GGSGXBZXEPAVHI-IBGZPJMESA-N 0 3 315.363 4.105 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(F)cc1F)c1ccccc1Cl ZINC000620436345 1053663692 /nfs/dbraw/zinc/66/36/92/1053663692.db2.gz XMUNSYJVPJUHQA-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN CO[C@@H](C[NH2+][C@H](C)c1ccccc1[O-])c1cccc(Cl)c1 ZINC000183613543 1053691694 /nfs/dbraw/zinc/69/16/94/1053691694.db2.gz UVICHYVMAKUYHO-PXAZEXFGSA-N 0 3 305.805 4.084 20 0 DIADHN C[C@@H](C[NH2+][C@@H](C)c1ccccc1[O-])Oc1ccccc1Cl ZINC000183613263 1053692182 /nfs/dbraw/zinc/69/21/82/1053692182.db2.gz GEGHDLBLNBLAAY-STQMWFEESA-N 0 3 305.805 4.164 20 0 DIADHN COCCOc1cccc(CN2CCC[C@@H]2c2ccsc2)c1 ZINC000153534529 1053696882 /nfs/dbraw/zinc/69/68/82/1053696882.db2.gz RJKUJQOALDJUGD-GOSISDBHSA-N 0 3 317.454 4.110 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@H](C)c2cnn(C)c2)C2CC2)cc1 ZINC000153794126 1053712691 /nfs/dbraw/zinc/71/26/91/1053712691.db2.gz QXJIKKGFUBUQIM-FOIQADDNSA-N 0 3 311.473 4.421 20 0 DIADHN Fc1ccc(OC2CCN(CCCCC(F)(F)F)CC2)cc1 ZINC000153799496 1053715707 /nfs/dbraw/zinc/71/57/07/1053715707.db2.gz SXWCDQMTDMLYDG-UHFFFAOYSA-N 0 3 319.342 4.402 20 0 DIADHN CCn1nc(C)c(CN[C@@H](C)c2ccc(SC)cc2)c1C ZINC000307966277 1053721004 /nfs/dbraw/zinc/72/10/04/1053721004.db2.gz IYUBFALTSCYYBU-LBPRGKRZSA-N 0 3 303.475 4.093 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H]1CCc3c1cccc3F)CCC2 ZINC001325539061 1053722741 /nfs/dbraw/zinc/72/27/41/1053722741.db2.gz JKAYTYWXLPMKNG-QZTJIDSGSA-N 0 3 313.420 4.258 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H]1CCc3c1cccc3F)CCC2 ZINC001325539060 1053722763 /nfs/dbraw/zinc/72/27/63/1053722763.db2.gz JKAYTYWXLPMKNG-MSOLQXFVSA-N 0 3 313.420 4.258 20 0 DIADHN CCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1c(C)cccc1C ZINC000154085068 1053724956 /nfs/dbraw/zinc/72/49/56/1053724956.db2.gz YIOJJWKYJBKMTJ-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3C)c(OC)c1 ZINC000154168656 1053729711 /nfs/dbraw/zinc/72/97/11/1053729711.db2.gz GOMPOYYBXWSKKG-AUUYWEPGSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1ccc([C@H](CNCc2c(F)cccc2Cl)N(C)C)cc1 ZINC000620761165 1053731115 /nfs/dbraw/zinc/73/11/15/1053731115.db2.gz MPMMRSBWOMAABT-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN CN1CC[C@H](NCc2ccccc2Cl)[C@H]1c1ccc(F)cc1 ZINC001325857430 1053737934 /nfs/dbraw/zinc/73/79/34/1053737934.db2.gz IENFWRYQPHVGMZ-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001435970167 1053740006 /nfs/dbraw/zinc/74/00/06/1053740006.db2.gz GGXTXIAAHRWQDU-QXAKKESOSA-N 0 3 318.505 4.256 20 0 DIADHN O[C@@H](CNC1c2ccccc2-c2ccccc21)c1cccc(F)c1 ZINC000183718578 1053740380 /nfs/dbraw/zinc/74/03/80/1053740380.db2.gz LRPZIHHXACPBOA-FQEVSTJZSA-N 0 3 319.379 4.219 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)CC1 ZINC001435970850 1053741139 /nfs/dbraw/zinc/74/11/39/1053741139.db2.gz NQVGLSBIRQHNNN-PSBWJHGTSA-N 0 3 316.489 4.010 20 0 DIADHN CCCCC[C@H](NCc1c(C)cnn1COC)c1ccccc1 ZINC001326023226 1053745623 /nfs/dbraw/zinc/74/56/23/1053745623.db2.gz WZOIEYXSHLWXIX-SFHVURJKSA-N 0 3 315.461 4.207 20 0 DIADHN CC/C(C)=C(\C)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001435993171 1053747443 /nfs/dbraw/zinc/74/74/43/1053747443.db2.gz BIFYJRAAALJBAM-BMINWGARSA-N 0 3 316.489 4.006 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(F)c(C)c2F)C1 ZINC001231472825 1053759563 /nfs/dbraw/zinc/75/95/63/1053759563.db2.gz GMSAGDQZUWSFPW-AWEZNQCLSA-N 0 3 317.379 4.271 20 0 DIADHN Cc1c(F)ccc(CN2CCC3(CCOC3(C)C)CC2)c1F ZINC001231480423 1053761211 /nfs/dbraw/zinc/76/12/11/1053761211.db2.gz VPVOYIXNYSRFHT-UHFFFAOYSA-N 0 3 309.400 4.054 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nnc(-c2ccccc2)s1 ZINC000155143613 1053764720 /nfs/dbraw/zinc/76/47/20/1053764720.db2.gz LFYFAHKULZTDDG-HNNXBMFYSA-N 0 3 301.459 4.216 20 0 DIADHN CN(Cc1c[nH]c2cccnc12)Cc1ccccc1C(F)(F)F ZINC001231488903 1053766514 /nfs/dbraw/zinc/76/65/14/1053766514.db2.gz BYBODQXWNBKLGN-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN Cc1cc(C)c(CN(C)CCC(=O)c2cccs2)cc1C ZINC001231485809 1053766749 /nfs/dbraw/zinc/76/67/49/1053766749.db2.gz MTZYYNORSZNAIP-UHFFFAOYSA-N 0 3 301.455 4.378 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000268766245 1053766906 /nfs/dbraw/zinc/76/69/06/1053766906.db2.gz QDNVOXCDJOEJQB-AEFFLSMTSA-N 0 3 317.864 4.438 20 0 DIADHN C[C@@H](NCc1ccc(C2OCCO2)cc1)c1cc2ccccc2o1 ZINC000268898998 1053772474 /nfs/dbraw/zinc/77/24/74/1053772474.db2.gz PVSZQWXQEBKCPV-CQSZACIVSA-N 0 3 323.392 4.329 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccnc(OCC(F)(F)F)c2)c1 ZINC000155245510 1053772788 /nfs/dbraw/zinc/77/27/88/1053772788.db2.gz JEHYBSWPZJFDCM-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(N3CCCC3=O)c2)cc1C ZINC000155278724 1053772841 /nfs/dbraw/zinc/77/28/41/1053772841.db2.gz ZSOLUDVHHNPBRG-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN OCCN(Cc1cccs1)Cc1cccc(-c2ccccc2)c1 ZINC001231510216 1053773538 /nfs/dbraw/zinc/77/35/38/1053773538.db2.gz MKCVKCHSMXUTII-UHFFFAOYSA-N 0 3 323.461 4.410 20 0 DIADHN COc1cc(Br)ccc1CN1CCC[C@H]2CCC[C@H]21 ZINC001231515778 1053776697 /nfs/dbraw/zinc/77/66/97/1053776697.db2.gz XXWGSQKJYIYTMY-IUODEOHRSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cc(Br)ccc1CN1CC2CCC(CC2)C1 ZINC001231517133 1053777156 /nfs/dbraw/zinc/77/71/56/1053777156.db2.gz SEWJUFAUIZTLGW-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cccc(CNCCOc2ccccc2C(C)C)c1F ZINC001200572803 1053777169 /nfs/dbraw/zinc/77/71/69/1053777169.db2.gz SAXXWDGKXDMYGE-UHFFFAOYSA-N 0 3 317.404 4.126 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1c[nH]c2cnccc12 ZINC001231518927 1053777229 /nfs/dbraw/zinc/77/72/29/1053777229.db2.gz QUURINSOZWYKBV-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN COc1cc(Br)ccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001231512646 1053778544 /nfs/dbraw/zinc/77/85/44/1053778544.db2.gz URYRMBAYUKFQBJ-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN CC[N@H+](Cc1ccccc1)Cc1ccc([O-])c(C(F)(F)F)c1 ZINC001231524626 1053779496 /nfs/dbraw/zinc/77/94/96/1053779496.db2.gz RXVCYDBCDLNVBX-UHFFFAOYSA-N 0 3 309.331 4.433 20 0 DIADHN CC[N@@H+](Cc1ccccc1)Cc1ccc([O-])c(C(F)(F)F)c1 ZINC001231524626 1053779506 /nfs/dbraw/zinc/77/95/06/1053779506.db2.gz RXVCYDBCDLNVBX-UHFFFAOYSA-N 0 3 309.331 4.433 20 0 DIADHN CCN(CC(=O)Nc1cccc(Cl)c1Cl)C(C)(C)C ZINC001326515954 1053786258 /nfs/dbraw/zinc/78/62/58/1053786258.db2.gz FIEUEXWOKDDNNO-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN Cn1c2ccccc2c(CN2CC[C@@H](F)C2)c1-c1ccccc1 ZINC001231570331 1053787005 /nfs/dbraw/zinc/78/70/05/1053787005.db2.gz FQKBDMSVTOKVIU-MRXNPFEDSA-N 0 3 308.400 4.389 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2ccc(CC(C)C)cc2)c1 ZINC000155822650 1053787565 /nfs/dbraw/zinc/78/75/65/1053787565.db2.gz STZTZGSMCAZRRU-MRXNPFEDSA-N 0 3 324.468 4.096 20 0 DIADHN Cc1ccc(-c2ccc(CN(CCO)Cc3ccccc3)cc2)o1 ZINC001231595190 1053795655 /nfs/dbraw/zinc/79/56/55/1053795655.db2.gz QDCFXVZLRSRGQP-UHFFFAOYSA-N 0 3 321.420 4.250 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000156057578 1053797287 /nfs/dbraw/zinc/79/72/87/1053797287.db2.gz YKGAPRKPLLDNEV-MJGOQNOKSA-N 0 3 304.393 4.235 20 0 DIADHN C[C@H](NCC1(Br)CC1)c1ccc(Cl)c(F)c1 ZINC001326718083 1053799801 /nfs/dbraw/zinc/79/98/01/1053799801.db2.gz ZBGUBLQZTJUDFQ-QMMMGPOBSA-N 0 3 306.606 4.057 20 0 DIADHN CC(C)(C)OC1CC(N[C@@H](c2ccccc2)c2ccncc2)C1 ZINC000156353667 1053805068 /nfs/dbraw/zinc/80/50/68/1053805068.db2.gz MYRJVOYJIYARSY-ACBHZAAOSA-N 0 3 310.441 4.107 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cc2cc[nH]c2cn1 ZINC001231672967 1053831564 /nfs/dbraw/zinc/83/15/64/1053831564.db2.gz LDCJIWVIDVNDHC-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H](C)c2ccnc(OC)c2)cc1 ZINC000671106202 1053834469 /nfs/dbraw/zinc/83/44/69/1053834469.db2.gz TUJVIBNGQFVRMK-LSDHHAIUSA-N 0 3 314.429 4.291 20 0 DIADHN COCc1ccc(CN2CCC(n3ccc4ccccc43)CC2)o1 ZINC001231693516 1053835030 /nfs/dbraw/zinc/83/50/30/1053835030.db2.gz NUMFVXQPYCOZLW-UHFFFAOYSA-N 0 3 324.424 4.218 20 0 DIADHN CCCCC1CCN(Cc2ccc(OC)c(OC(C)=O)c2)CC1 ZINC001231704561 1053835749 /nfs/dbraw/zinc/83/57/49/1053835749.db2.gz BHKIVAUULSBKBH-UHFFFAOYSA-N 0 3 319.445 4.023 20 0 DIADHN CSCCN(C)Cc1c(OC(C)C)ccc2ccccc21 ZINC001231738897 1053845447 /nfs/dbraw/zinc/84/54/47/1053845447.db2.gz HCVLVUOJYLALTQ-UHFFFAOYSA-N 0 3 303.471 4.422 20 0 DIADHN CN(CCSc1ccc(Cl)cc1)CCc1nccs1 ZINC000157598167 1053858928 /nfs/dbraw/zinc/85/89/28/1053858928.db2.gz HXLMXFBGCOLSNQ-UHFFFAOYSA-N 0 3 312.891 4.063 20 0 DIADHN Fc1cccc(/C=C\CNCc2ccccc2OCC2CC2)c1 ZINC001200662214 1053865156 /nfs/dbraw/zinc/86/51/56/1053865156.db2.gz ZBZUTZAFJNIVOS-XQRVVYSFSA-N 0 3 311.400 4.418 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cc(F)c(Cl)cc1Cl)C[C@H](C)O ZINC000157700850 1053866284 /nfs/dbraw/zinc/86/62/84/1053866284.db2.gz ODVFOKQQEIQLQP-UTLUCORTSA-N 0 3 308.224 4.190 20 0 DIADHN CN(Cc1ccc(Oc2ccc(Cl)cc2)cc1)[C@H]1CCOC1 ZINC001231813823 1053871404 /nfs/dbraw/zinc/87/14/04/1053871404.db2.gz ASEPRLSHUKFZFL-INIZCTEOSA-N 0 3 317.816 4.353 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)cs1 ZINC001200672507 1053874520 /nfs/dbraw/zinc/87/45/20/1053874520.db2.gz RKWALBLRWTVHCR-DOTOQJQBSA-N 0 3 300.471 4.207 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000157893921 1053874607 /nfs/dbraw/zinc/87/46/07/1053874607.db2.gz HCTVLCDVKKQTDV-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)NC(c1ccccc1)c1ccccc1 ZINC001327729094 1053875337 /nfs/dbraw/zinc/87/53/37/1053875337.db2.gz AEIJVPFKPXQIDJ-QGZVFWFLSA-N 0 3 324.468 4.013 20 0 DIADHN CCCCCCN(Cc1nc2ccccc2c(=O)[nH]1)[C@@H](C)CC ZINC000071810405 1053883481 /nfs/dbraw/zinc/88/34/81/1053883481.db2.gz PSIRUJPGJKVLGM-HNNXBMFYSA-N 0 3 315.461 4.104 20 0 DIADHN Cc1csc2sc(CN3CCN(C(C)C)CC3)c(C)c12 ZINC001231829437 1053884094 /nfs/dbraw/zinc/88/40/94/1053884094.db2.gz YORKXLAWZYIZEP-UHFFFAOYSA-N 0 3 308.516 4.106 20 0 DIADHN C[C@H](NCCCc1cnn(C)c1)c1cc(Cl)sc1Cl ZINC000158277481 1053899253 /nfs/dbraw/zinc/89/92/53/1053899253.db2.gz FFNWNLVBPLJKQB-VIFPVBQESA-N 0 3 318.273 4.072 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1ccnc(C(F)F)c1 ZINC001231889019 1053906367 /nfs/dbraw/zinc/90/63/67/1053906367.db2.gz KVFDOTINIZIYTC-UHFFFAOYSA-N 0 3 310.775 4.347 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1ccnc(C(F)F)c1 ZINC001231890132 1053906391 /nfs/dbraw/zinc/90/63/91/1053906391.db2.gz UXHDAPFGEJLURA-UHFFFAOYSA-N 0 3 310.775 4.347 20 0 DIADHN C[C@@H](NCCc1csc(-c2ccccc2)n1)c1ccccn1 ZINC000158510480 1053906925 /nfs/dbraw/zinc/90/69/25/1053906925.db2.gz TZEOGCHAGZNVCN-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@H](CN(C)Cc1ccccc1)NC(=O)C(C)(C)CC(C)(C)C ZINC001436566290 1053906865 /nfs/dbraw/zinc/90/68/65/1053906865.db2.gz MCRXEZALSGFWQS-MRXNPFEDSA-N 0 3 318.505 4.086 20 0 DIADHN COc1cccc2c(CN3CCc4ccc(C)cc4C3)c[nH]c21 ZINC001231896062 1053907866 /nfs/dbraw/zinc/90/78/66/1053907866.db2.gz SIKDJIVMKTULJR-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccc(F)cc1 ZINC000158548198 1053908246 /nfs/dbraw/zinc/90/82/46/1053908246.db2.gz GAOYXERDWVLIOC-GOSISDBHSA-N 0 3 314.404 4.020 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ccnc(C(F)F)c2)cc1 ZINC001231895418 1053908488 /nfs/dbraw/zinc/90/84/88/1053908488.db2.gz NJQJKLWDRZUNAI-MRXNPFEDSA-N 0 3 320.358 4.365 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CCC3(CC3)CC2)cn1 ZINC001231901140 1053909560 /nfs/dbraw/zinc/90/95/60/1053909560.db2.gz OBBFWANAVLQIJY-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN Cc1ccc(C(=O)CCN2CCC(OCCC(C)C)CC2)cc1 ZINC000158695477 1053919499 /nfs/dbraw/zinc/91/94/99/1053919499.db2.gz GZEFDTGOBICMID-UHFFFAOYSA-N 0 3 317.473 4.095 20 0 DIADHN Cc1cc(F)ncc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001231979473 1053929092 /nfs/dbraw/zinc/92/90/92/1053929092.db2.gz BRNRBMQODHOVSF-UHFFFAOYSA-N 0 3 308.400 4.090 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@H](Cc2ccc(F)cc2)C1 ZINC001231983728 1053929977 /nfs/dbraw/zinc/92/99/77/1053929977.db2.gz CHLQBVZPZBXNFQ-INIZCTEOSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1ccc(N2CCC(C)CC2)nc1 ZINC000159011080 1053932132 /nfs/dbraw/zinc/93/21/32/1053932132.db2.gz OHNGAVLNRBBEOT-SFHVURJKSA-N 0 3 323.484 4.477 20 0 DIADHN FC(F)(F)c1cnc(Cl)c(CN2CC[C@@H]3CCC[C@H]3C2)c1 ZINC001232008250 1053938447 /nfs/dbraw/zinc/93/84/47/1053938447.db2.gz SYYHIPHPAFEUAQ-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN CN(Cc1cc2c[nH]ccc-2n1)Cc1ccccc1C(F)(F)F ZINC001232014406 1053941156 /nfs/dbraw/zinc/94/11/56/1053941156.db2.gz PEXVUDXVZPIUAY-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN COc1cccc2[nH]cc(CN3Cc4ccccc4[C@H](C)C3)c21 ZINC001232035192 1053941899 /nfs/dbraw/zinc/94/18/99/1053941899.db2.gz YRZXWRPMNRHEOI-CQSZACIVSA-N 0 3 306.409 4.296 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)Cc1cc2c[nH]ccc-2n1 ZINC001232018852 1053942563 /nfs/dbraw/zinc/94/25/63/1053942563.db2.gz PHOHIWYMVJKXKU-IBGZPJMESA-N 0 3 321.424 4.046 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)Cc1cc2cnccc2[nH]1 ZINC001232018852 1053942575 /nfs/dbraw/zinc/94/25/75/1053942575.db2.gz PHOHIWYMVJKXKU-IBGZPJMESA-N 0 3 321.424 4.046 20 0 DIADHN CCN(Cc1ccnc(Br)c1F)C1CCCCC1 ZINC001232029430 1053943548 /nfs/dbraw/zinc/94/35/48/1053943548.db2.gz PVCAXGAJYZJOMA-UHFFFAOYSA-N 0 3 315.230 4.138 20 0 DIADHN COc1cccc2[nH]cc(CN3CCc4c(C)cccc4C3)c21 ZINC001232035197 1053945259 /nfs/dbraw/zinc/94/52/59/1053945259.db2.gz YSCTYVCVCWBVIC-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1cccc2[nH]cc(CN(C)Cc3cccc(Cl)c3)c21 ZINC001232035820 1053945296 /nfs/dbraw/zinc/94/52/96/1053945296.db2.gz PXJPKVLNDYDSIJ-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN C[C@@H]1CCCN(Cc2c[nH]c3c2cccc3Br)C1 ZINC001232016644 1053945452 /nfs/dbraw/zinc/94/54/52/1053945452.db2.gz RDDFFCCEKIKSAA-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN CCOc1cncc(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000621326734 1053946439 /nfs/dbraw/zinc/94/64/39/1053946439.db2.gz RLICTFFZFRCKDM-SFHVURJKSA-N 0 3 302.393 4.106 20 0 DIADHN CCCc1ccc(CN[C@H]2CCOc3cc(OC)ccc32)s1 ZINC000621338118 1053950870 /nfs/dbraw/zinc/95/08/70/1053950870.db2.gz UWKJOXYPZJZGIR-KRWDZBQOSA-N 0 3 317.454 4.323 20 0 DIADHN Cc1ccc(-c2nc([C@@H]3CN4CCC3CC4)no2)c2ccccc12 ZINC001328772906 1053953343 /nfs/dbraw/zinc/95/33/43/1053953343.db2.gz RRTOGIXAFMNHGA-GOSISDBHSA-N 0 3 319.408 4.007 20 0 DIADHN Cc1ccc(CN(Cc2ccccn2)C2CCCCC2)c(C)n1 ZINC001232085256 1053960462 /nfs/dbraw/zinc/96/04/62/1053960462.db2.gz LWFMUKXILXOPBP-UHFFFAOYSA-N 0 3 309.457 4.428 20 0 DIADHN CC(C)N(Cc1cnc(-c2cccnc2)o1)Cc1ccccc1 ZINC001232130766 1053968083 /nfs/dbraw/zinc/96/80/83/1053968083.db2.gz IXPKTUPFUKQYBF-UHFFFAOYSA-N 0 3 307.397 4.147 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cnc(-c2cccnc2)o1 ZINC001232136389 1053968442 /nfs/dbraw/zinc/96/84/42/1053968442.db2.gz VBVHBLDZVUYYJZ-IBGZPJMESA-N 0 3 319.408 4.246 20 0 DIADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2cncc3sccc32)cc1 ZINC001329237521 1053973099 /nfs/dbraw/zinc/97/30/99/1053973099.db2.gz UJCPVIIQZPIMFH-KPZWWZAWSA-N 0 3 310.422 4.013 20 0 DIADHN CC(C)c1cccc(CN[C@@H]2C[C@@H](C)N(c3ccccc3)C2=O)c1 ZINC001200784734 1053973675 /nfs/dbraw/zinc/97/36/75/1053973675.db2.gz RUHLFSYXMMGDTB-OXQOHEQNSA-N 0 3 322.452 4.094 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCN(Cc3ccns3)C2)c1 ZINC001232193117 1053983286 /nfs/dbraw/zinc/98/32/86/1053983286.db2.gz QFLKUGYEDOJLCG-GFCCVEGCSA-N 0 3 312.360 4.151 20 0 DIADHN CC(C)c1nc(CNCC2([C@@H]3CCCCO3)CCC2)cs1 ZINC001329359763 1053984951 /nfs/dbraw/zinc/98/49/51/1053984951.db2.gz LZEWMVFXQNAQLG-HNNXBMFYSA-N 0 3 308.491 4.096 20 0 DIADHN CC(C)c1nc(CNCC2([C@H]3CCCCO3)CCC2)cs1 ZINC001329359766 1053985589 /nfs/dbraw/zinc/98/55/89/1053985589.db2.gz LZEWMVFXQNAQLG-OAHLLOKOSA-N 0 3 308.491 4.096 20 0 DIADHN CC(C)(C)Oc1ccc([C@@H]2CCN(Cc3ccns3)C2)cc1 ZINC001232195940 1053986171 /nfs/dbraw/zinc/98/61/71/1053986171.db2.gz SVUQWAPGXGURCN-OAHLLOKOSA-N 0 3 316.470 4.310 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC[C@H](F)C4)CC3)ccnc12 ZINC001232212859 1053992499 /nfs/dbraw/zinc/99/24/99/1053992499.db2.gz ZHCZQFMRQFNJBG-HNNXBMFYSA-N 0 3 316.395 4.478 20 0 DIADHN CC(C)(C)c1cc(CN2CCC3(CC(F)(F)C3)CC2)ccn1 ZINC001232213860 1053993384 /nfs/dbraw/zinc/99/33/84/1053993384.db2.gz HFGXOLMVXRSIJK-UHFFFAOYSA-N 0 3 308.416 4.390 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(Cc3ccncc3)CC2)ccn1 ZINC001232221283 1053994989 /nfs/dbraw/zinc/99/49/89/1053994989.db2.gz VNKAZHUGOFQVRP-UHFFFAOYSA-N 0 3 323.484 4.229 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2nccc3ccccc32)cn1 ZINC001232250715 1054002068 /nfs/dbraw/zinc/00/20/68/1054002068.db2.gz CDFYTMJIAPYWFV-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CCCCN(CC(=O)N[C@H](C)C(C)C)[C@@H](C)c1ccccc1 ZINC000621736167 1054004429 /nfs/dbraw/zinc/00/44/29/1054004429.db2.gz BYDDXILIAHVXDX-SJORKVTESA-N 0 3 304.478 4.010 20 0 DIADHN CCCN(Cc1cn2ccccc2n1)[C@H]1CCc2ccccc21 ZINC000621733058 1054004490 /nfs/dbraw/zinc/00/44/90/1054004490.db2.gz ZDXAJTYLUKGFOO-IBGZPJMESA-N 0 3 305.425 4.234 20 0 DIADHN COCc1cccc(CN(C)Cc2cccc3ccccc32)n1 ZINC001232256594 1054005614 /nfs/dbraw/zinc/00/56/14/1054005614.db2.gz XHNZZJMSIRDFTM-UHFFFAOYSA-N 0 3 306.409 4.013 20 0 DIADHN Oc1ccc(CN2CCC[C@H]2c2ccccc2F)c2cccnc12 ZINC001232276484 1054008738 /nfs/dbraw/zinc/00/87/38/1054008738.db2.gz WUEASMRUGWZUMZ-SFHVURJKSA-N 0 3 322.383 4.417 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)NC1(c2ccc(C(F)(F)F)cc2)CCC1 ZINC000621768154 1054012997 /nfs/dbraw/zinc/01/29/97/1054012997.db2.gz LLEMQWIAZBDPON-LLVKDONJSA-N 0 3 323.362 4.467 20 0 DIADHN Cc1sc(CN2C[C@@H]3CCC[C@H]3C2)cc1Br ZINC001232290579 1054016477 /nfs/dbraw/zinc/01/64/77/1054016477.db2.gz XIHAVKSXXQKHIO-QWRGUYRKSA-N 0 3 300.265 4.051 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(Br)nc1C ZINC001232321896 1054019633 /nfs/dbraw/zinc/01/96/33/1054019633.db2.gz WPLRJLJUOYXMFE-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CCOc1ncc(CN2CCC(c3ccccc3)CC2)cc1C ZINC001232305310 1054017953 /nfs/dbraw/zinc/01/79/53/1054017953.db2.gz SJMRCIJQGVKQDX-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN Cc1nc(Br)ccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001232326634 1054021011 /nfs/dbraw/zinc/02/10/11/1054021011.db2.gz NPQOWARZLCFMMO-UKRRQHHQSA-N 0 3 323.278 4.165 20 0 DIADHN CSc1cc(C)c(CN2CC[C@@H](c3ccccc3F)C2)cn1 ZINC001232346973 1054028424 /nfs/dbraw/zinc/02/84/24/1054028424.db2.gz OXVXYDCESWEZIC-CQSZACIVSA-N 0 3 316.445 4.241 20 0 DIADHN c1ccc(COc2ccc(CN3CCC4(CCC4)C3)cn2)cc1 ZINC001232358603 1054030404 /nfs/dbraw/zinc/03/04/04/1054030404.db2.gz NFVDZAIZMKKLMU-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)[C@H](C)C1 ZINC001232357665 1054031675 /nfs/dbraw/zinc/03/16/75/1054031675.db2.gz RFAUWKUAUMTWDG-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CC[C@H](C)C2)c1 ZINC001232379730 1054032556 /nfs/dbraw/zinc/03/25/56/1054032556.db2.gz OWKCVBJSYDGOBN-INIZCTEOSA-N 0 3 311.425 4.116 20 0 DIADHN CCOc1ccc(OC)cc1CN(C)[C@@H]1CCc2ccccc21 ZINC001232387099 1054033830 /nfs/dbraw/zinc/03/38/30/1054033830.db2.gz DIBQFEBNEUTAPF-LJQANCHMSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2CC[C@@H]3[C@H](C2)C3(F)F)cs1 ZINC001330316693 1054034392 /nfs/dbraw/zinc/03/43/92/1054034392.db2.gz BFZHNTBVBVGULV-QCNOEVLYSA-N 0 3 300.418 4.351 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001232385613 1054035191 /nfs/dbraw/zinc/03/51/91/1054035191.db2.gz DBEQTDGAJJMKKM-JKSUJKDBSA-N 0 3 303.446 4.104 20 0 DIADHN Cc1cccc(CN2CCC(OC(C)C)CC2)c1OC(C)C ZINC001232391691 1054037401 /nfs/dbraw/zinc/03/74/01/1054037401.db2.gz OWPSWHDMGDJGKL-UHFFFAOYSA-N 0 3 305.462 4.172 20 0 DIADHN CC(C)CN(Cc1cn2cc(F)ccc2n1)Cc1ccccc1 ZINC001232409901 1054040179 /nfs/dbraw/zinc/04/01/79/1054040179.db2.gz WJSIPXUDEGXNFR-UHFFFAOYSA-N 0 3 311.404 4.132 20 0 DIADHN C[C@H](NCc1cccnc1Br)[C@@H](C)c1ccccc1 ZINC001200854628 1054042447 /nfs/dbraw/zinc/04/24/47/1054042447.db2.gz QKWYTUCPUVJWAS-OLZOCXBDSA-N 0 3 319.246 4.126 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc(F)c(C)c(F)c2)cc1 ZINC001232422532 1054042718 /nfs/dbraw/zinc/04/27/18/1054042718.db2.gz CHSSBWRZYLMPSB-ZDUSSCGKSA-N 0 3 305.368 4.475 20 0 DIADHN Cc1c(F)cc(CN2CCC3(CCOC3(C)C)CC2)cc1F ZINC001232427176 1054043169 /nfs/dbraw/zinc/04/31/69/1054043169.db2.gz STFNOZAMELLKKX-UHFFFAOYSA-N 0 3 309.400 4.054 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cc(F)c(C)c(F)c1 ZINC001232424199 1054044131 /nfs/dbraw/zinc/04/41/31/1054044131.db2.gz DGWWZLHJQCKFGX-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN CCC[C@H]1CCCCN1Cc1cc(OCc2ccccc2)no1 ZINC001232447602 1054046647 /nfs/dbraw/zinc/04/66/47/1054046647.db2.gz KUGFFABSWZCXAT-KRWDZBQOSA-N 0 3 314.429 4.408 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CC[C@H]4CCCC[C@H]4C3)c21 ZINC001232459874 1054050298 /nfs/dbraw/zinc/05/02/98/1054050298.db2.gz LIZPYILBUSPCMQ-OLZOCXBDSA-N 0 3 303.837 4.228 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3ccc(Cl)c(Cl)c3)C2)[nH]1 ZINC001232463260 1054050412 /nfs/dbraw/zinc/05/04/12/1054050412.db2.gz FXODLTBHWIDXHL-LBPRGKRZSA-N 0 3 310.228 4.014 20 0 DIADHN Cc1ncc(CN2CC=C(c3cc(Cl)cc(Cl)c3)CC2)[nH]1 ZINC001232458693 1054051017 /nfs/dbraw/zinc/05/10/17/1054051017.db2.gz QSFWDYMTFRLPHP-UHFFFAOYSA-N 0 3 322.239 4.314 20 0 DIADHN CCc1csc(CN(CC)[C@H](C)Cc2ccc(OC)cc2)n1 ZINC001232470739 1054053575 /nfs/dbraw/zinc/05/35/75/1054053575.db2.gz VEWDNBGQVRRMNN-CQSZACIVSA-N 0 3 318.486 4.167 20 0 DIADHN Nc1ccc(F)cc1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001232511171 1054060847 /nfs/dbraw/zinc/06/08/47/1054060847.db2.gz WUHPSFAVVCFOTC-LBPRGKRZSA-N 0 3 304.796 4.051 20 0 DIADHN Nc1c(Br)cccc1CN1CCC2(C1)CCCCC2 ZINC001232526803 1054062815 /nfs/dbraw/zinc/06/28/15/1054062815.db2.gz AOAXOUAYDMEVCZ-UHFFFAOYSA-N 0 3 323.278 4.188 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001232531255 1054063033 /nfs/dbraw/zinc/06/30/33/1054063033.db2.gz WIMSOFXMLOGNLQ-CZUORRHYSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001232531253 1054063182 /nfs/dbraw/zinc/06/31/82/1054063182.db2.gz WIMSOFXMLOGNLQ-BBRMVZONSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@H](C)[C@@H](C)C1 ZINC001232528609 1054064276 /nfs/dbraw/zinc/06/42/76/1054064276.db2.gz IAYJSKFRDHOPPW-RYUDHWBXSA-N 0 3 311.267 4.021 20 0 DIADHN Nc1ccc(F)cc1CN(Cc1ccccn1)C1CCCCC1 ZINC001232512634 1054064679 /nfs/dbraw/zinc/06/46/79/1054064679.db2.gz ZMDPAJNKYBLNJB-UHFFFAOYSA-N 0 3 313.420 4.138 20 0 DIADHN FCc1cccc(CN2CCC3(C=Cc4ccccc43)CC2)n1 ZINC001232533547 1054065313 /nfs/dbraw/zinc/06/53/13/1054065313.db2.gz POCXWGNEKDPNCH-UHFFFAOYSA-N 0 3 308.400 4.112 20 0 DIADHN C[C@@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)CC1 ZINC001232540486 1054067704 /nfs/dbraw/zinc/06/77/04/1054067704.db2.gz LUHZTAAHCPFVOQ-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN CCOc1ccnc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001232584437 1054072367 /nfs/dbraw/zinc/07/23/67/1054072367.db2.gz FCFXOWACMLAFPO-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCOC(=O)c1ccc(CN(CC2CC2)C(C)C)c(Cl)c1 ZINC001232593477 1054076189 /nfs/dbraw/zinc/07/61/89/1054076189.db2.gz BWRICCLMHSCBQG-UHFFFAOYSA-N 0 3 309.837 4.137 20 0 DIADHN Clc1cc(-n2cccn2)ccc1CN1CCC2(CCC2)CC1 ZINC001232594908 1054080139 /nfs/dbraw/zinc/08/01/39/1054080139.db2.gz VZNWRROEXVBVFA-UHFFFAOYSA-N 0 3 315.848 4.292 20 0 DIADHN c1cc2ccc(CN3CCC(Oc4ccncc4)CC3)cc2s1 ZINC001232610294 1054081895 /nfs/dbraw/zinc/08/18/95/1054081895.db2.gz MUGZPWOXWZJQDS-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCC1(F)F)c1nc2ccccc2n1C ZINC000295706242 1054083636 /nfs/dbraw/zinc/08/36/36/1054083636.db2.gz JTLMKNJQNIADQS-OLZOCXBDSA-N 0 3 307.388 4.049 20 0 DIADHN Cc1ccc(O[C@H]2CCN(Cc3ccc4ccsc4c3)C2)nc1 ZINC001232615051 1054083838 /nfs/dbraw/zinc/08/38/38/1054083838.db2.gz PRSRRMUJQIXORS-KRWDZBQOSA-N 0 3 324.449 4.258 20 0 DIADHN c1cc2ccc(CN3CCC[C@H](Oc4cccnc4)C3)cc2s1 ZINC001232612877 1054083959 /nfs/dbraw/zinc/08/39/59/1054083959.db2.gz QFEGVLOVGVFRKG-SFHVURJKSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1ccoc1 ZINC000184580049 1054087921 /nfs/dbraw/zinc/08/79/21/1054087921.db2.gz ODVCCIAAYOOOAL-YWZLYKJASA-N 0 3 307.393 4.331 20 0 DIADHN CN(CCOc1ccccc1)Cc1cc2ccc(Cl)cc2[nH]1 ZINC001232730588 1054108475 /nfs/dbraw/zinc/10/84/75/1054108475.db2.gz WBVIBFNNCZOSJB-UHFFFAOYSA-N 0 3 314.816 4.332 20 0 DIADHN FC(F)(F)C1CCN(Cc2cccc3ncsc32)CC1 ZINC001232730483 1054108563 /nfs/dbraw/zinc/10/85/63/1054108563.db2.gz NXWLBDOWXGRRPP-UHFFFAOYSA-N 0 3 300.349 4.071 20 0 DIADHN c1nc2cccc(CN3CC[C@H]3COCc3ccccc3)c2s1 ZINC001232733674 1054109945 /nfs/dbraw/zinc/10/99/45/1054109945.db2.gz RJXRFLXASXPNLJ-KRWDZBQOSA-N 0 3 324.449 4.087 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc3ncsc32)cn1 ZINC001232737490 1054113490 /nfs/dbraw/zinc/11/34/90/1054113490.db2.gz ZYCPQBJLSIYCSB-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ccnc(Cl)c3C)C2)c1 ZINC001232754874 1054114795 /nfs/dbraw/zinc/11/47/95/1054114795.db2.gz LOARQWDRUNOICK-MRXNPFEDSA-N 0 3 316.832 4.042 20 0 DIADHN FC(F)CCCN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC001332440736 1054119045 /nfs/dbraw/zinc/11/90/45/1054119045.db2.gz NHVBYOGZOSFCNS-MRXNPFEDSA-N 0 3 310.775 4.459 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1NC(=O)C12CCCN1CCC2 ZINC001332466159 1054120951 /nfs/dbraw/zinc/12/09/51/1054120951.db2.gz TWZXWIFLYRRGTK-UHFFFAOYSA-N 0 3 320.436 4.229 20 0 DIADHN COc1cc(Cl)cc(C[N@H+](C)[C@@H](C)c2cccs2)c1[O-] ZINC000184680045 1054123231 /nfs/dbraw/zinc/12/32/31/1054123231.db2.gz UDGLIXOLCXYPAA-JTQLQIEISA-N 0 3 311.834 4.309 20 0 DIADHN COc1cc(Cl)cc(C[N@@H+](C)[C@@H](C)c2cccs2)c1[O-] ZINC000184680045 1054123240 /nfs/dbraw/zinc/12/32/40/1054123240.db2.gz UDGLIXOLCXYPAA-JTQLQIEISA-N 0 3 311.834 4.309 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1c[nH]c2cc(Cl)ncc12 ZINC001232823856 1054128089 /nfs/dbraw/zinc/12/80/89/1054128089.db2.gz JWXXJRYSLMXPPI-GFCCVEGCSA-N 0 3 311.816 4.163 20 0 DIADHN CCC(CC)[C@H](NCc1cnc(Cl)n1C)c1cccs1 ZINC000848532135 1054128558 /nfs/dbraw/zinc/12/85/58/1054128558.db2.gz YXKKHJIWIBABDE-AWEZNQCLSA-N 0 3 311.882 4.402 20 0 DIADHN Cc1cccc2c1[C@H](N[C@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC001332882341 1054128989 /nfs/dbraw/zinc/12/89/89/1054128989.db2.gz XRYYJRYOHSLIKB-MSOLQXFVSA-N 0 3 309.457 4.427 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC/C=C(/C)COC)oc21 ZINC001332853891 1054129833 /nfs/dbraw/zinc/12/98/33/1054129833.db2.gz PDDQFVHEXVMHIL-XXYUJHKVSA-N 0 3 303.402 4.075 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](NCc1cnc(Cl)n1C)c1ccc(Cl)cc1 ZINC000848539566 1054135247 /nfs/dbraw/zinc/13/52/47/1054135247.db2.gz BKIABWBVSJZGMX-WKPIXPDZSA-N 0 3 324.255 4.214 20 0 DIADHN c1ccc(-c2ncc(CN3CC[C@H]4CCCC[C@H]4C3)cn2)cc1 ZINC001333038357 1054136060 /nfs/dbraw/zinc/13/60/60/1054136060.db2.gz PQIOCRHZOBTOLK-MJGOQNOKSA-N 0 3 307.441 4.156 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NCc1ccccc1C(C)C ZINC000848541624 1054136732 /nfs/dbraw/zinc/13/67/32/1054136732.db2.gz RPWXKJJFAJMLDB-IBGZPJMESA-N 0 3 311.425 4.432 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc(C)cc(O)c2)cc1 ZINC001232885230 1054139352 /nfs/dbraw/zinc/13/93/52/1054139352.db2.gz ZUXNGLRXALRSTQ-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN C[C@@]1(CF)CCN(Cc2ccc(-c3cccc(F)c3)nc2)C1 ZINC001232885677 1054141822 /nfs/dbraw/zinc/14/18/22/1054141822.db2.gz LGLZVFBLXREPPW-SFHVURJKSA-N 0 3 302.368 4.069 20 0 DIADHN c1ccc(-c2cncc(CN3CC(c4ccccc4)C3)c2)cc1 ZINC001232902654 1054146155 /nfs/dbraw/zinc/14/61/55/1054146155.db2.gz PMPVEBLJXBPYED-UHFFFAOYSA-N 0 3 300.405 4.348 20 0 DIADHN C[C@H]1C(=O)CCN(Cc2ccccc2-n2cccc2)C12CCCC2 ZINC001232913933 1054149635 /nfs/dbraw/zinc/14/96/35/1054149635.db2.gz ZKEHIGYRFRYJKB-KRWDZBQOSA-N 0 3 322.452 4.201 20 0 DIADHN [O-]c1c(F)ccc(CN2CCCC[C@@H]2c2ccccc2)c1F ZINC001232930708 1054150895 /nfs/dbraw/zinc/15/08/95/1054150895.db2.gz FBPNJXMSJQBDJJ-MRXNPFEDSA-N 0 3 303.352 4.398 20 0 DIADHN Oc1c(F)ccc(CN2CCCC[C@@H]2c2ccccc2)c1F ZINC001232930708 1054150901 /nfs/dbraw/zinc/15/09/01/1054150901.db2.gz FBPNJXMSJQBDJJ-MRXNPFEDSA-N 0 3 303.352 4.398 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc2ncoc2c1 ZINC001232942993 1054152171 /nfs/dbraw/zinc/15/21/71/1054152171.db2.gz RDNBYUWMSHLTLD-QGZVFWFLSA-N 0 3 308.381 4.174 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2ccc3ncoc3c2)C1 ZINC001232942862 1054154109 /nfs/dbraw/zinc/15/41/09/1054154109.db2.gz NHZOKCPLOFRPNW-AWEZNQCLSA-N 0 3 312.800 4.471 20 0 DIADHN COc1ncc(CN2CCC(F)(F)C(C)(C)C2)c2ccccc21 ZINC001232946880 1054156667 /nfs/dbraw/zinc/15/66/67/1054156667.db2.gz JVOQVEXXIDGTDT-UHFFFAOYSA-N 0 3 320.383 4.111 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cnn(C)c2C)cc2ccccc21 ZINC001333556027 1054157591 /nfs/dbraw/zinc/15/75/91/1054157591.db2.gz UKRXSXGWYZVXCT-UONOGXRCSA-N 0 3 323.440 4.302 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cnn(C)c2C)cc2ccccc21 ZINC001333556026 1054158161 /nfs/dbraw/zinc/15/81/61/1054158161.db2.gz UKRXSXGWYZVXCT-KGLIPLIRSA-N 0 3 323.440 4.302 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(OC(F)F)nc1 ZINC001232954626 1054159271 /nfs/dbraw/zinc/15/92/71/1054159271.db2.gz NJAHXDVSJHHNTP-UHFFFAOYSA-N 0 3 306.356 4.095 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2cccc(F)c2OC(F)F)C1 ZINC001333581178 1054160590 /nfs/dbraw/zinc/16/05/90/1054160590.db2.gz CEGQYZQYBYTVRA-NEPJUHHUSA-N 0 3 319.392 4.143 20 0 DIADHN c1cc(OC2CCN(Cc3ccc(C4CC4)cc3)CC2)ccn1 ZINC001232985113 1054165431 /nfs/dbraw/zinc/16/54/31/1054165431.db2.gz WZPKXWCBEOPOKG-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN c1cncc(O[C@H]2CCCN(Cc3ccc(C4CC4)cc3)C2)c1 ZINC001232983018 1054166171 /nfs/dbraw/zinc/16/61/71/1054166171.db2.gz ICRIXUVPKBTSTQ-FQEVSTJZSA-N 0 3 308.425 4.002 20 0 DIADHN Cc1ncc(F)cc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001232985816 1054166431 /nfs/dbraw/zinc/16/64/31/1054166431.db2.gz DHAQFJPNBAUABK-UHFFFAOYSA-N 0 3 308.400 4.090 20 0 DIADHN CC[C@H](NCc1cn2ccnc2s1)c1ccc(Cl)s1 ZINC001333685279 1054167010 /nfs/dbraw/zinc/16/70/10/1054167010.db2.gz PAOGNTKNJZVQJR-JTQLQIEISA-N 0 3 311.863 4.352 20 0 DIADHN C[C@@H](NCc1cnc(N(C)C)s1)c1csc2ccccc12 ZINC001333717727 1054167866 /nfs/dbraw/zinc/16/78/66/1054167866.db2.gz CDTFHOBELBDNKZ-LLVKDONJSA-N 0 3 317.483 4.275 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@]3(CCc4c3cccc4F)C2)cn1 ZINC001233009093 1054171020 /nfs/dbraw/zinc/17/10/20/1054171020.db2.gz JNKHZXNEBITMQD-OAQYLSRUSA-N 0 3 324.443 4.318 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cc(Cl)ncc2[O-])cc1 ZINC001233035514 1054174262 /nfs/dbraw/zinc/17/42/62/1054174262.db2.gz FAAGGIFAMBZGQS-OAHLLOKOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1ccc([C@H]2CCC[N@@H+]2Cc2cc(Cl)ncc2[O-])cc1 ZINC001233035514 1054174271 /nfs/dbraw/zinc/17/42/71/1054174271.db2.gz FAAGGIFAMBZGQS-OAHLLOKOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1ccc([C@H]2CCC[N@H+]2Cc2cc(Cl)ncc2[O-])cc1 ZINC001233035514 1054174278 /nfs/dbraw/zinc/17/42/78/1054174278.db2.gz FAAGGIFAMBZGQS-OAHLLOKOSA-N 0 3 302.805 4.086 20 0 DIADHN CCOC(=O)c1ccsc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001233020936 1054175623 /nfs/dbraw/zinc/17/56/23/1054175623.db2.gz WNLBNNIGNHCFQB-ZFWWWQNUSA-N 0 3 307.459 4.079 20 0 DIADHN Cc1c([C@@H](C)NCc2cc(Cl)cc3ccccc32)cnn1C ZINC001334058392 1054179268 /nfs/dbraw/zinc/17/92/68/1054179268.db2.gz UZWWJUGHLYVFNT-GFCCVEGCSA-N 0 3 313.832 4.386 20 0 DIADHN COc1cccc(CN2CCC[C@H]2C)c1NC(=O)OC(C)(C)C ZINC001233124198 1054188231 /nfs/dbraw/zinc/18/82/31/1054188231.db2.gz GRRNTOINTDVSLS-CYBMUJFWSA-N 0 3 320.433 4.027 20 0 DIADHN Cc1cc(CN2CCC3(C=Cc4ccccc43)CC2)cnc1C ZINC001233156027 1054191186 /nfs/dbraw/zinc/19/11/86/1054191186.db2.gz GAJDSECNPUOGJX-UHFFFAOYSA-N 0 3 304.437 4.259 20 0 DIADHN Cc1cc(CN(Cc2ccccn2)C2CCCCC2)cnc1C ZINC001233160787 1054193159 /nfs/dbraw/zinc/19/31/59/1054193159.db2.gz HJRBUBNXXZCFFL-UHFFFAOYSA-N 0 3 309.457 4.428 20 0 DIADHN Cc1cc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)cnc1C ZINC001233165017 1054193252 /nfs/dbraw/zinc/19/32/52/1054193252.db2.gz QBOPAIURUPWMMF-OAQYLSRUSA-N 0 3 324.443 4.318 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 ZINC001334613056 1054194268 /nfs/dbraw/zinc/19/42/68/1054194268.db2.gz DRLKIZIKKXCXMR-HIFRSBDPSA-N 0 3 324.403 4.038 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 ZINC001334613058 1054194515 /nfs/dbraw/zinc/19/45/15/1054194515.db2.gz DRLKIZIKKXCXMR-UKRRQHHQSA-N 0 3 324.403 4.038 20 0 DIADHN COC(=O)c1ccc(CNCc2cccc(C(F)F)c2)cc1C ZINC001334692712 1054196276 /nfs/dbraw/zinc/19/62/76/1054196276.db2.gz DGVXDFCRVSELCJ-UHFFFAOYSA-N 0 3 319.351 4.009 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1nc2c(s1)CCC2 ZINC001334779140 1054198930 /nfs/dbraw/zinc/19/89/30/1054198930.db2.gz JHXIQPKDZIIJNC-LLVKDONJSA-N 0 3 306.862 4.006 20 0 DIADHN c1ncc(-c2ccc(CNCc3ccc4c(c3)CCC4)cc2)o1 ZINC001334785822 1054199664 /nfs/dbraw/zinc/19/96/64/1054199664.db2.gz COHPZTLHHUSJMX-UHFFFAOYSA-N 0 3 304.393 4.120 20 0 DIADHN CN(Cc1cccc(Oc2ccc(F)cc2)c1)[C@H]1CCCOC1 ZINC001233210778 1054201122 /nfs/dbraw/zinc/20/11/22/1054201122.db2.gz GNHXJXKVAAMPHH-KRWDZBQOSA-N 0 3 315.388 4.229 20 0 DIADHN CN(Cc1cccc(Oc2ccc(F)cc2)c1)[C@@H]1CCCOC1 ZINC001233210779 1054201590 /nfs/dbraw/zinc/20/15/90/1054201590.db2.gz GNHXJXKVAAMPHH-QGZVFWFLSA-N 0 3 315.388 4.229 20 0 DIADHN CO[C@@H](C)C1CN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233212012 1054204390 /nfs/dbraw/zinc/20/43/90/1054204390.db2.gz XDIREEJILJPJCN-AWEZNQCLSA-N 0 3 315.388 4.085 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(O)c(OCC2CC2)c1 ZINC001233230264 1054210816 /nfs/dbraw/zinc/21/08/16/1054210816.db2.gz XFLJBUMAQROXJQ-VMPITWQZSA-N 0 3 323.436 4.326 20 0 DIADHN COCOc1cccc(CN2CCC[C@@H]2c2ccc(F)cc2)c1 ZINC001233279276 1054221832 /nfs/dbraw/zinc/22/18/32/1054221832.db2.gz XVDWYTZSWVRUND-LJQANCHMSA-N 0 3 315.388 4.146 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccc(C)cc43)CC2)sn1 ZINC001233311286 1054225060 /nfs/dbraw/zinc/22/50/60/1054225060.db2.gz NYJJRGBDEUZNIF-UHFFFAOYSA-N 0 3 312.482 4.240 20 0 DIADHN Cc1cc(CN2CCC3(CCCc4ccccc43)CC2)sn1 ZINC001233309878 1054227027 /nfs/dbraw/zinc/22/70/27/1054227027.db2.gz GUJZQEGITVVYNR-UHFFFAOYSA-N 0 3 312.482 4.322 20 0 DIADHN Cc1cc(CN2CCC[C@@H](CCc3ccccc3)C2)sn1 ZINC001233313617 1054229799 /nfs/dbraw/zinc/22/97/99/1054229799.db2.gz DQWMKPZAAUIWJH-KRWDZBQOSA-N 0 3 300.471 4.296 20 0 DIADHN Cc1cnsc1CN1CCC(n2ccc3ccccc32)CC1 ZINC001233321790 1054230949 /nfs/dbraw/zinc/23/09/49/1054230949.db2.gz DCYMGLLNZPTMHR-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN Cc1cnsc1CN1CCC2(CC1)O[C@H](C)c1ccccc12 ZINC001233322795 1054232728 /nfs/dbraw/zinc/23/27/28/1054232728.db2.gz UIPGOGLJGQBVCU-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2cc3cccnc3s2)C1 ZINC001233345635 1054241208 /nfs/dbraw/zinc/24/12/08/1054241208.db2.gz BCUDHIZUYLKHPR-ZDUSSCGKSA-N 0 3 318.339 4.020 20 0 DIADHN COc1cc(F)c(CN(C)C(C)(C)Cc2ccccc2)cc1F ZINC001233344407 1054243091 /nfs/dbraw/zinc/24/30/91/1054243091.db2.gz CKFSZAHUVNACDH-UHFFFAOYSA-N 0 3 319.395 4.427 20 0 DIADHN COCCC1CCN(Cc2c(Cl)cccc2SC)CC1 ZINC001233352446 1054245197 /nfs/dbraw/zinc/24/51/97/1054245197.db2.gz FKSKEQOPDCOVAH-UHFFFAOYSA-N 0 3 313.894 4.310 20 0 DIADHN c1cn2c(cccc2CN2CCC(CCc3ccccc3)CC2)n1 ZINC001233362589 1054247894 /nfs/dbraw/zinc/24/78/94/1054247894.db2.gz IHPJIKVTZCBIFB-UHFFFAOYSA-N 0 3 319.452 4.179 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@]2(C1)CCCOC2 ZINC001233353311 1054248879 /nfs/dbraw/zinc/24/88/79/1054248879.db2.gz OMPNLSSDVMCQCW-INIZCTEOSA-N 0 3 311.878 4.064 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H](c2ccccn2)C1 ZINC001233352758 1054250081 /nfs/dbraw/zinc/25/00/81/1054250081.db2.gz RQCKPPKPOIEWPW-CYBMUJFWSA-N 0 3 318.873 4.446 20 0 DIADHN Cc1cc(CNCc2cccn2C2CC2)ccc1Br ZINC001336905558 1054250737 /nfs/dbraw/zinc/25/07/37/1054250737.db2.gz CPPKTMURONCHMJ-UHFFFAOYSA-N 0 3 319.246 4.184 20 0 DIADHN Nc1cccc(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)n1 ZINC001233373033 1054253285 /nfs/dbraw/zinc/25/32/85/1054253285.db2.gz PFYDCCRIKPHOQI-OAHLLOKOSA-N 0 3 321.346 4.020 20 0 DIADHN O=C(c1cccs1)C1CCN(Cc2cccc3cc[nH]c32)CC1 ZINC001337032470 1054254721 /nfs/dbraw/zinc/25/47/21/1054254721.db2.gz AHDACZZJDHZPFP-UHFFFAOYSA-N 0 3 324.449 4.324 20 0 DIADHN CCc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)cc1CC ZINC001337224469 1054259061 /nfs/dbraw/zinc/25/90/61/1054259061.db2.gz HTOMJZAZJDOCLC-UHFFFAOYSA-N 0 3 324.468 4.434 20 0 DIADHN COc1ccc(CN2CC[C@@H](C3CCCCC3)C2)c(Cl)c1O ZINC001233400878 1054259374 /nfs/dbraw/zinc/25/93/74/1054259374.db2.gz CDIKQMIZNZLYFG-CQSZACIVSA-N 0 3 323.864 4.456 20 0 DIADHN CC[C@H](NC(=O)[C@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001439323214 1054263469 /nfs/dbraw/zinc/26/34/69/1054263469.db2.gz NZTSQSIRGSXUJC-VQTJNVASSA-N 0 3 324.468 4.280 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC23CCCCC3)c1 ZINC001233430378 1054269191 /nfs/dbraw/zinc/26/91/91/1054269191.db2.gz LAQYOAKRYXVCCD-UHFFFAOYSA-N 0 3 304.434 4.180 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3nc(Cl)ccc32)cc1C ZINC001356060970 1054271072 /nfs/dbraw/zinc/27/10/72/1054271072.db2.gz AOOZEIJODKPZKX-IUODEOHRSA-N 0 3 316.832 4.390 20 0 DIADHN Brc1cc(CN2CCC[C@H](c3ccccc3)C2)c[nH]1 ZINC001233436507 1054272003 /nfs/dbraw/zinc/27/20/03/1054272003.db2.gz OLIXIXLVBGIMQH-HNNXBMFYSA-N 0 3 319.246 4.157 20 0 DIADHN C[C@H]1CN(Cc2ccc3nc(Cl)ccc3c2)CCC1(F)F ZINC001233442498 1054274043 /nfs/dbraw/zinc/27/40/43/1054274043.db2.gz VTKGSRPEBIQJRI-NSHDSACASA-N 0 3 310.775 4.365 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000622586256 1054294405 /nfs/dbraw/zinc/29/44/05/1054294405.db2.gz OOMMLYBRHATAIX-PBHICJAKSA-N 0 3 301.409 4.022 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000622586259 1054294796 /nfs/dbraw/zinc/29/47/96/1054294796.db2.gz OOMMLYBRHATAIX-YOEHRIQHSA-N 0 3 301.409 4.022 20 0 DIADHN C=Cc1ccc(O[C@H]2CCCN(Cc3ccccc3)C2)c(OC)c1 ZINC001233568174 1054299198 /nfs/dbraw/zinc/29/91/98/1054299198.db2.gz QJXLOTRKWKTWRJ-IBGZPJMESA-N 0 3 323.436 4.382 20 0 DIADHN CCCN(Cc1cnc(NC(=O)OC(C)(C)C)s1)C(C)C ZINC000848923976 1054302663 /nfs/dbraw/zinc/30/26/63/1054302663.db2.gz UJIIDVXSDIGAQB-UHFFFAOYSA-N 0 3 313.467 4.111 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)NC[C@H]2CCCCN2C)CC1 ZINC001439897338 1054311179 /nfs/dbraw/zinc/31/11/79/1054311179.db2.gz WMRNPRLIBGFJGJ-DAWZGUTISA-N 0 3 322.537 4.220 20 0 DIADHN Cc1ccc(C(=O)N2CCN(C(C)(C)CC(C)(C)C)CC2)s1 ZINC000622662856 1054321062 /nfs/dbraw/zinc/32/10/62/1054321062.db2.gz SSXPPIKJTYIYDN-UHFFFAOYSA-N 0 3 322.518 4.029 20 0 DIADHN CCCC(=CC(=O)N(CCN(C)C)c1ccccc1)CCC ZINC001356421002 1054330191 /nfs/dbraw/zinc/33/01/91/1054330191.db2.gz SSZHOHFPQQVTPG-UHFFFAOYSA-N 0 3 302.462 4.108 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2cccc3ccccc32)c(F)c1 ZINC000622703564 1054339524 /nfs/dbraw/zinc/33/95/24/1054339524.db2.gz JUGFZPZUTBWPDE-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN C[C@@H](NCCc1coc(-c2ccccc2)n1)c1ccccc1F ZINC001340516980 1054360911 /nfs/dbraw/zinc/36/09/11/1054360911.db2.gz SKYIYYJSPILWMX-CQSZACIVSA-N 0 3 310.372 4.374 20 0 DIADHN CCCCc1ccc([C@H](NC(=O)[C@@H](CC)N(C)C)C(C)C)cc1 ZINC001440649964 1054361387 /nfs/dbraw/zinc/36/13/87/1054361387.db2.gz YQSOBTOCAQMNPL-RTBURBONSA-N 0 3 318.505 4.183 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NC(=O)CN(CC)CC)C(C)C)cc1 ZINC001440945165 1054375324 /nfs/dbraw/zinc/37/53/24/1054375324.db2.gz MSPQDEGYEPLWKL-UZLBHIALSA-N 0 3 318.505 4.355 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NC(=O)CN(CC)CC)C(C)C)cc1 ZINC001440945161 1054375541 /nfs/dbraw/zinc/37/55/41/1054375541.db2.gz MSPQDEGYEPLWKL-OXQOHEQNSA-N 0 3 318.505 4.355 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](c1ccc(CC(C)C)cc1)C(C)C)N(C)C ZINC001440945398 1054375626 /nfs/dbraw/zinc/37/56/26/1054375626.db2.gz OBZTWCMGCKLGKX-RBUKOAKNSA-N 0 3 318.505 4.039 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@@H]4CCCC[C@@H]4C3)nn2)cc1 ZINC001341424861 1054387438 /nfs/dbraw/zinc/38/74/38/1054387438.db2.gz MOPNJWUOGCQYNG-DLBZAZTESA-N 0 3 323.440 4.281 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001341523644 1054393239 /nfs/dbraw/zinc/39/32/39/1054393239.db2.gz FRYTYBNKQOMOHE-IRXDYDNUSA-N 0 3 303.494 4.267 20 0 DIADHN CC(C)C[C@H](C(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001341624854 1054399873 /nfs/dbraw/zinc/39/98/73/1054399873.db2.gz YPYINUVKCBIHGR-KRWDZBQOSA-N 0 3 308.425 4.058 20 0 DIADHN Cc1cccnc1CN1CCC(Nc2ccccc2Cl)CC1 ZINC001342120812 1054416312 /nfs/dbraw/zinc/41/63/12/1054416312.db2.gz ZMUJNZOKVYZELJ-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001442263006 1054417103 /nfs/dbraw/zinc/41/71/03/1054417103.db2.gz PRYKMFUXSJHUAG-INIZCTEOSA-N 0 3 310.526 4.029 20 0 DIADHN Cc1cnc2ccccc2c1N[C@@H]1CCCc2cnn(C(C)C)c21 ZINC001342615419 1054426113 /nfs/dbraw/zinc/42/61/13/1054426113.db2.gz RXFAJAKZQUYIRV-GOSISDBHSA-N 0 3 320.440 4.232 20 0 DIADHN FC(F)(F)CCCN1CC2(CCOCC2)[C@@H]1c1ccccc1 ZINC001343078222 1054437258 /nfs/dbraw/zinc/43/72/58/1054437258.db2.gz TZQPHJUQPSUAKO-HNNXBMFYSA-N 0 3 313.363 4.183 20 0 DIADHN CC(C)c1ccc([C@H](C)CC(=O)N(CCN(C)C)C(C)C)cc1 ZINC001357259649 1054446088 /nfs/dbraw/zinc/44/60/88/1054446088.db2.gz XIXUYBYWFRNOTK-QGZVFWFLSA-N 0 3 318.505 4.102 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1cc2c(s1)CCCC2 ZINC000336852950 1054451640 /nfs/dbraw/zinc/45/16/40/1054451640.db2.gz BDYODQQZBHJWBV-WFASDCNBSA-N 0 3 315.486 4.089 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001357305662 1054454645 /nfs/dbraw/zinc/45/46/45/1054454645.db2.gz FJRCVQNTVZBQSO-GOSISDBHSA-N 0 3 322.537 4.460 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccccc1C)C1CC1 ZINC001443912308 1054461231 /nfs/dbraw/zinc/46/12/31/1054461231.db2.gz BSOQWFMWZSLQPL-HXUWFJFHSA-N 0 3 316.489 4.074 20 0 DIADHN C[C@H](NCc1cccn1C1CC1)c1cccc(N2CCCC2)c1 ZINC001344063630 1054461561 /nfs/dbraw/zinc/46/15/61/1054461561.db2.gz WAYCIDLROQBEIU-INIZCTEOSA-N 0 3 309.457 4.274 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@]3(C2)CCCOC3)cc1Cl ZINC000671254449 1054464096 /nfs/dbraw/zinc/46/40/96/1054464096.db2.gz HVAGVQWUUCRYQV-GOSISDBHSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CC[C@@]2(C1)CCCOC2 ZINC000671254635 1054464298 /nfs/dbraw/zinc/46/42/98/1054464298.db2.gz FQLWHQVGBIEILA-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001344367483 1054465236 /nfs/dbraw/zinc/46/52/36/1054465236.db2.gz WXASTBUBSOKDEW-CQSZACIVSA-N 0 3 311.454 4.342 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CCC[C@@H](C)[C@H]1C ZINC001234240056 1054470458 /nfs/dbraw/zinc/47/04/58/1054470458.db2.gz AEMSVCAZVUVSRU-XJKCOSOUSA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)CCC=C(C)C ZINC001234245162 1054471502 /nfs/dbraw/zinc/47/15/02/1054471502.db2.gz VEKMXXRGZGVXFB-AWEZNQCLSA-N 0 3 309.425 4.410 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@@H](C)N2CCCCCC2)c1 ZINC001444861222 1054490472 /nfs/dbraw/zinc/49/04/72/1054490472.db2.gz IOTOYGGBDMBRNK-OAHLLOKOSA-N 0 3 302.462 4.014 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1nccn1Cc1ccccc1 ZINC000173888460 1054496247 /nfs/dbraw/zinc/49/62/47/1054496247.db2.gz CKCLIZKHSJDZAF-NEWSRXKRSA-N 0 3 309.457 4.084 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(C)c2)cc1OC(F)F ZINC000173908026 1054498313 /nfs/dbraw/zinc/49/83/13/1054498313.db2.gz NEGSWZSDKVNDIQ-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC001445292663 1054500944 /nfs/dbraw/zinc/50/09/44/1054500944.db2.gz ODYQAIKXEWVWJD-QGZVFWFLSA-N 0 3 304.478 4.458 20 0 DIADHN Cc1noc(C)c1CCN1CCS[C@H](C)[C@H]1c1ccccc1 ZINC000339658556 1054503016 /nfs/dbraw/zinc/50/30/16/1054503016.db2.gz MGKJQHULJZJYHW-QAPCUYQASA-N 0 3 316.470 4.013 20 0 DIADHN Cc1cc(F)ccc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000174436531 1054509106 /nfs/dbraw/zinc/50/91/06/1054509106.db2.gz DLQGYJJQHRYMFB-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN Cn1cccc1CNC1(c2cccc(C(F)(F)F)c2)CCC1 ZINC000174585944 1054512593 /nfs/dbraw/zinc/51/25/93/1054512593.db2.gz GLTUNTBTBPRLDX-UHFFFAOYSA-N 0 3 308.347 4.213 20 0 DIADHN Cc1nccnc1CN1CCC[C@@H](CCCc2ccccc2)C1 ZINC001473291028 1054514437 /nfs/dbraw/zinc/51/44/37/1054514437.db2.gz IGJLSMIPUJUBKJ-LJQANCHMSA-N 0 3 309.457 4.020 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccc(F)cc32)cc1F ZINC000174983624 1054518183 /nfs/dbraw/zinc/51/81/83/1054518183.db2.gz XFIQSKOTUNBBLC-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN COc1ncc([C@H](C)N[C@@H](C)c2ccc(C)cc2)cc1Cl ZINC000671294835 1054525473 /nfs/dbraw/zinc/52/54/73/1054525473.db2.gz RZOSMHRMOKOPHY-STQMWFEESA-N 0 3 304.821 4.464 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H](C)c2ccc(C)cc2)cc1Cl ZINC000671294834 1054526289 /nfs/dbraw/zinc/52/62/89/1054526289.db2.gz RZOSMHRMOKOPHY-QWHCGFSZSA-N 0 3 304.821 4.464 20 0 DIADHN C[C@H](NCc1cccs1)c1cccc(OC(F)(F)F)c1 ZINC000175752502 1054533401 /nfs/dbraw/zinc/53/34/01/1054533401.db2.gz DVFAPRKDJOPIDA-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN Cc1ccnc(-c2nnc([C@@H](C)[NH2+][C@H](C)CCC(C)(C)C)[n-]2)c1 ZINC000671300205 1054538041 /nfs/dbraw/zinc/53/80/41/1054538041.db2.gz RLZCKTFJNDILFA-ZIAGYGMSSA-N 0 3 315.465 4.041 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC[C@H]1CCc3ccccc31)C2 ZINC000623252959 1054551682 /nfs/dbraw/zinc/55/16/82/1054551682.db2.gz CARIITMFXAEKRO-QGZVFWFLSA-N 0 3 321.468 4.078 20 0 DIADHN COc1ccc(CNCc2ccc(Cl)cc2)cc1OC1CC1 ZINC000623337440 1054562801 /nfs/dbraw/zinc/56/28/01/1054562801.db2.gz KFSMAVQNAYQDIB-UHFFFAOYSA-N 0 3 317.816 4.180 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@@H](c1ccccc1)C1CC1 ZINC000192598032 1054563556 /nfs/dbraw/zinc/56/35/56/1054563556.db2.gz CVIJHJVBTVDBCW-YWZLYKJASA-N 0 3 322.452 4.317 20 0 DIADHN C[C@H](NCc1ccsc1)[C@H](O)c1c(Cl)cccc1Cl ZINC000072925591 1054577463 /nfs/dbraw/zinc/57/74/63/1054577463.db2.gz LROBXQNUBJEPAL-XPTSAGLGSA-N 0 3 316.253 4.267 20 0 DIADHN CC[C@@H](NCc1cccn1C1CC1)c1ccc(OC)c(OC)c1 ZINC001347791674 1054583542 /nfs/dbraw/zinc/58/35/42/1054583542.db2.gz IUVWYJBNABXPJF-QGZVFWFLSA-N 0 3 314.429 4.081 20 0 DIADHN COc1ccccc1-c1noc([C@H](C)NC[C@@H](C)C(C)(C)C)n1 ZINC000670270087 1054589882 /nfs/dbraw/zinc/58/98/82/1054589882.db2.gz UFNIKTHEWFTLTJ-OLZOCXBDSA-N 0 3 317.433 4.078 20 0 DIADHN Clc1cnccc1CNCC1(Sc2ccccc2)CC1 ZINC000623412465 1054592395 /nfs/dbraw/zinc/59/23/95/1054592395.db2.gz LTMRIOVVOFPOHF-UHFFFAOYSA-N 0 3 304.846 4.150 20 0 DIADHN COc1ccc(O)c([C@H](C)NCc2cccc(Cl)c2F)c1 ZINC000185594387 1054592902 /nfs/dbraw/zinc/59/29/02/1054592902.db2.gz OVRGPMAWKXSDKY-JTQLQIEISA-N 0 3 309.768 4.044 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CNCc1cccc2n[nH]cc21 ZINC000623445461 1054596838 /nfs/dbraw/zinc/59/68/38/1054596838.db2.gz RVCOQLIFYBTXIT-OAHLLOKOSA-N 0 3 323.440 4.338 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CNCc1cccc2n[nH]cc21 ZINC000623445460 1054597740 /nfs/dbraw/zinc/59/77/40/1054597740.db2.gz RVCOQLIFYBTXIT-HNNXBMFYSA-N 0 3 323.440 4.338 20 0 DIADHN FC(F)(F)c1cc(CNCC2(C(F)(F)F)CC2)cs1 ZINC000623523480 1054612746 /nfs/dbraw/zinc/61/27/46/1054612746.db2.gz ZEWRBMWICFYAAN-UHFFFAOYSA-N 0 3 303.271 4.199 20 0 DIADHN Cc1ccc(CN(Cc2cn(C)nc2C(F)F)C(C)(C)C)cc1 ZINC001348204639 1054618951 /nfs/dbraw/zinc/61/89/51/1054618951.db2.gz CHEZNPHVIRBLNK-UHFFFAOYSA-N 0 3 321.415 4.467 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)[C@@H](CC)CC1CCCC1 ZINC001449357384 1054620726 /nfs/dbraw/zinc/62/07/26/1054620726.db2.gz SEHDXIJOVIKOIJ-IBGZPJMESA-N 0 3 322.537 4.317 20 0 DIADHN CC[C@H](COCC1CC1)NCc1ccsc1C(F)(F)F ZINC000623562943 1054622738 /nfs/dbraw/zinc/62/27/38/1054622738.db2.gz IMHRINXEXURPTR-GFCCVEGCSA-N 0 3 307.381 4.062 20 0 DIADHN CC(C)CC(C)(C)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001449562270 1054624861 /nfs/dbraw/zinc/62/48/61/1054624861.db2.gz GIOBXZDSYGWTTM-UHFFFAOYSA-N 0 3 324.553 4.418 20 0 DIADHN CC(C)n1cc([C@H](C)NCCOc2cccc3ccccc32)cn1 ZINC000177913290 1054635973 /nfs/dbraw/zinc/63/59/73/1054635973.db2.gz AWEUHRAAKWDMQG-INIZCTEOSA-N 0 3 323.440 4.347 20 0 DIADHN Clc1ccc([C@@H](N[C@@H]2CCCOC2)c2ccsc2)cc1 ZINC000177917125 1054636486 /nfs/dbraw/zinc/63/64/86/1054636486.db2.gz KZXMWZLPILGKLG-HZPDHXFCSA-N 0 3 307.846 4.260 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](C)c2ccc(C(C)C)cc2)c1 ZINC000177880288 1054637002 /nfs/dbraw/zinc/63/70/02/1054637002.db2.gz XPNZRSOQIBJETB-MRXNPFEDSA-N 0 3 324.468 4.411 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@@H]1CCCO1 ZINC000177952069 1054639222 /nfs/dbraw/zinc/63/92/22/1054639222.db2.gz QDBIJXJTAKEGBM-WWGRRREGSA-N 0 3 302.443 4.028 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000178088429 1054643523 /nfs/dbraw/zinc/64/35/23/1054643523.db2.gz PDWOBENOHWGZAC-CABCVRRESA-N 0 3 316.445 4.499 20 0 DIADHN Cc1nnc(-c2ccc(CN(Cc3ccccc3)C3CC3)cc2)o1 ZINC001235053697 1054649968 /nfs/dbraw/zinc/64/99/68/1054649968.db2.gz GIKSNJFEYIRVAC-UHFFFAOYSA-N 0 3 319.408 4.210 20 0 DIADHN c1cc(CN2CCC[C@@H]2c2ccncc2)cc(N2CCCC2)c1 ZINC001235091308 1054653577 /nfs/dbraw/zinc/65/35/77/1054653577.db2.gz DQDNJAOGVXZRJT-HXUWFJFHSA-N 0 3 307.441 4.019 20 0 DIADHN FC(F)(F)[C@H]1CCCN(Cc2cccc(N3CCCC3)c2)C1 ZINC001235091328 1054653852 /nfs/dbraw/zinc/65/38/52/1054653852.db2.gz FLGMGYONBBBVKW-HNNXBMFYSA-N 0 3 312.379 4.061 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2cccc(N3CCCC3)c2)nc1 ZINC001235093421 1054654544 /nfs/dbraw/zinc/65/45/44/1054654544.db2.gz TUBUICSIYAXTDZ-HXUWFJFHSA-N 0 3 307.441 4.019 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)C3CCCC3)co2)cc1 ZINC000178674098 1054657386 /nfs/dbraw/zinc/65/73/86/1054657386.db2.gz CYKRZHKESNRPOS-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1cc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)ccc1-c1ccccc1 ZINC001450719056 1054659113 /nfs/dbraw/zinc/65/91/13/1054659113.db2.gz XQLYGRYCVQIJOD-IRXDYDNUSA-N 0 3 322.452 4.473 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccc(Oc3cccnc3)cc2)C1 ZINC001235124001 1054662863 /nfs/dbraw/zinc/66/28/63/1054662863.db2.gz ZADBCVOWUNIGLE-HNNXBMFYSA-N 0 3 318.367 4.351 20 0 DIADHN Clc1csc(CN2CCC3(CNc4ccccc43)CC2)c1 ZINC001235143042 1054664942 /nfs/dbraw/zinc/66/49/42/1054664942.db2.gz IYOFJFWKGDPWOQ-UHFFFAOYSA-N 0 3 318.873 4.361 20 0 DIADHN Brc1cccc2[nH]c(CN3CCC4(CC4)CC3)cc21 ZINC001235211055 1054684184 /nfs/dbraw/zinc/68/41/84/1054684184.db2.gz BAVGGQMUJPNIAW-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccoc1 ZINC000179524803 1054685975 /nfs/dbraw/zinc/68/59/75/1054685975.db2.gz YZBUMRUYNOGYBD-YPMHNXCESA-N 0 3 308.784 4.002 20 0 DIADHN CCc1cccc(CN2CCC(c3ccc(OC)cc3)CC2)n1 ZINC001235258624 1054695672 /nfs/dbraw/zinc/69/56/72/1054695672.db2.gz QVUSHCWPEFZENG-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(OC)c(Cl)c1 ZINC000179694620 1054696374 /nfs/dbraw/zinc/69/63/74/1054696374.db2.gz JCHQRWCXSLVXEI-INIZCTEOSA-N 0 3 304.821 4.327 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1cn(C)nc1-c1ccccc1 ZINC000179714253 1054699195 /nfs/dbraw/zinc/69/91/95/1054699195.db2.gz PREAVENGJWPZHM-AWEZNQCLSA-N 0 3 311.454 4.342 20 0 DIADHN CCOc1cc(O)cc(CN2CCC[C@H]2c2cccc(C)c2)c1 ZINC001235388102 1054711697 /nfs/dbraw/zinc/71/16/97/1054711697.db2.gz ZZKBTCWLLUDVCD-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN CCOc1cc(O)cc(CN2CCC[C@H]2c2ccc(C)cc2)c1 ZINC001235397536 1054713135 /nfs/dbraw/zinc/71/31/35/1054713135.db2.gz GDNRMHBTKDYCGI-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN CCOc1cc(O)cc(CN(Cc2ccccc2)C2CCC2)c1 ZINC001235405978 1054714427 /nfs/dbraw/zinc/71/44/27/1054714427.db2.gz OVMOWBXSJNJOHD-UHFFFAOYSA-N 0 3 311.425 4.346 20 0 DIADHN CC(C)N(Cc1ccn(-c2ccc(F)cc2F)n1)CC1CCC1 ZINC001473430848 1054717920 /nfs/dbraw/zinc/71/79/20/1054717920.db2.gz QZPGJWCFQYYFOI-UHFFFAOYSA-N 0 3 319.399 4.161 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCOc3cc(F)ccc32)cc1 ZINC000179967175 1054720494 /nfs/dbraw/zinc/72/04/94/1054720494.db2.gz PBJYVNXISOJWHZ-ACJLOTCBSA-N 0 3 315.388 4.399 20 0 DIADHN COc1ccccc1[C@H](NCc1cccc(O)c1)C1CCCC1 ZINC000180081169 1054731591 /nfs/dbraw/zinc/73/15/91/1054731591.db2.gz ZIPRSHYZALUBST-HXUWFJFHSA-N 0 3 311.425 4.422 20 0 DIADHN Clc1cc2c(CN3CCC[C@H]4CCCC[C@@H]43)c[nH]c2cn1 ZINC001235512538 1054735356 /nfs/dbraw/zinc/73/53/56/1054735356.db2.gz RLTSNXSVJPAYJP-WBMJQRKESA-N 0 3 303.837 4.371 20 0 DIADHN CCCCC[C@@H](NCc1cn(-c2ccccc2)nn1)C(C)(C)C ZINC001168529587 1054736054 /nfs/dbraw/zinc/73/60/54/1054736054.db2.gz LSBTUDZGTHHSRY-GOSISDBHSA-N 0 3 314.477 4.352 20 0 DIADHN Clc1cc2c(CN3CCC[C@@H]4CCCC[C@@H]43)c[nH]c2cn1 ZINC001235521658 1054739109 /nfs/dbraw/zinc/73/91/09/1054739109.db2.gz RLTSNXSVJPAYJP-LRDDRELGSA-N 0 3 303.837 4.371 20 0 DIADHN COc1ccccc1[C@@H](NCc1cncc(C)c1)C1CCCC1 ZINC000180207404 1054743400 /nfs/dbraw/zinc/74/34/00/1054743400.db2.gz UDDVSRYHIJBUTC-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3nccc(C)c3Cl)C2)cc1 ZINC001235587297 1054747871 /nfs/dbraw/zinc/74/78/71/1054747871.db2.gz AVMIAHVNYUSVPV-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN Clc1c(Br)cccc1CN(CC1CC1)C1CC1 ZINC001235605799 1054753390 /nfs/dbraw/zinc/75/33/90/1054753390.db2.gz FPTZSKQKGMWGNZ-UHFFFAOYSA-N 0 3 314.654 4.477 20 0 DIADHN CC1(C)CN(Cc2ccccc2-c2ccncc2)CC(C)(C)O1 ZINC001235621458 1054757212 /nfs/dbraw/zinc/75/72/12/1054757212.db2.gz RXPDLTYXPAZHIW-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN CN(CCc1cccs1)Cc1c(C2CC2)nc2sccn12 ZINC000180476482 1054758895 /nfs/dbraw/zinc/75/88/95/1054758895.db2.gz QCOOEOZLWIYTJP-UHFFFAOYSA-N 0 3 317.483 4.009 20 0 DIADHN Cc1cncc(Br)c1CN1CCC2(CCCC2)CC1 ZINC001235645123 1054759132 /nfs/dbraw/zinc/75/91/32/1054759132.db2.gz RKPGZDNARHVAGM-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN Cc1cncc(Br)c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001235649268 1054761438 /nfs/dbraw/zinc/76/14/38/1054761438.db2.gz ILRRCPTVKYZCDH-ZIAGYGMSSA-N 0 3 323.278 4.165 20 0 DIADHN Cc1cc([C@@H](C)NCCNc2ccc3ccccc3n2)c(C)o1 ZINC000519623990 1054767945 /nfs/dbraw/zinc/76/79/45/1054767945.db2.gz PAJMPVNDGAFHFW-CQSZACIVSA-N 0 3 309.413 4.207 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2nc3ccc(F)cc3[nH]2)c1 ZINC001235681500 1054774945 /nfs/dbraw/zinc/77/49/45/1054774945.db2.gz BTXBWKHPYCVZLD-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN Cc1ccc(CCNCc2ccc(Oc3ccccc3)o2)cn1 ZINC000180928578 1054783238 /nfs/dbraw/zinc/78/32/38/1054783238.db2.gz FIVFKODZBJCLBH-UHFFFAOYSA-N 0 3 308.381 4.108 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1cccc3nccn31)CCC2 ZINC000623833180 1054783914 /nfs/dbraw/zinc/78/39/14/1054783914.db2.gz SJGOBPFPWNYRBP-QGZVFWFLSA-N 0 3 311.816 4.155 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H]1CCCc2ccc(Cl)cc21 ZINC000623833495 1054784043 /nfs/dbraw/zinc/78/40/43/1054784043.db2.gz IQUDDVOREOZTDV-QGZVFWFLSA-N 0 3 315.848 4.118 20 0 DIADHN Cc1cccc2cc(CNC[C@H]3CCC(C)(C)O3)c(Cl)nc12 ZINC001474121987 1054784287 /nfs/dbraw/zinc/78/42/87/1054784287.db2.gz QVMBLSJVASZEFP-OAHLLOKOSA-N 0 3 318.848 4.244 20 0 DIADHN CC1(C)SC[C@@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC001474122558 1054786426 /nfs/dbraw/zinc/78/64/26/1054786426.db2.gz DHGNCNKROBPTNZ-NSHDSACASA-N 0 3 309.784 4.342 20 0 DIADHN C[C@@H](Cc1ncc(C(F)(F)F)cc1Cl)NCc1ccco1 ZINC000623849785 1054786904 /nfs/dbraw/zinc/78/69/04/1054786904.db2.gz PCVHKUQDRXMVAI-VIFPVBQESA-N 0 3 318.726 4.068 20 0 DIADHN Cc1csc([C@@H](C)NC[C@@H](c2cccs2)N2CCCC2)n1 ZINC000623848785 1054787338 /nfs/dbraw/zinc/78/73/38/1054787338.db2.gz KKNPDJMJKUFAJN-KGLIPLIRSA-N 0 3 321.515 4.001 20 0 DIADHN COc1ccc([C@@H](NCc2coc3ccccc23)C2CC2)cn1 ZINC000623845601 1054790243 /nfs/dbraw/zinc/79/02/43/1054790243.db2.gz OQBXBVFIRFIFFP-IBGZPJMESA-N 0 3 308.381 4.077 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CC(C)(C)OC2(C)C)s1 ZINC001474279287 1054797085 /nfs/dbraw/zinc/79/70/85/1054797085.db2.gz PNQPVNGVZPHSSZ-LBPRGKRZSA-N 0 3 310.507 4.124 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H]2CCCc3scnc32)cc1 ZINC000623878301 1054798664 /nfs/dbraw/zinc/79/86/64/1054798664.db2.gz DUXWKPUWHBJMDJ-XJKSGUPXSA-N 0 3 316.470 4.140 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H]2CCCc3scnc32)cc1 ZINC000623878298 1054799172 /nfs/dbraw/zinc/79/91/72/1054799172.db2.gz DUXWKPUWHBJMDJ-BBRMVZONSA-N 0 3 316.470 4.140 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@@H](C)c1nc(C)cs1 ZINC000623879887 1054800374 /nfs/dbraw/zinc/80/03/74/1054800374.db2.gz RMOXBPZEGSREBX-ZDUSSCGKSA-N 0 3 304.459 4.089 20 0 DIADHN CC[C@H]1CCCN1Cc1ccnc2c(Br)cccc12 ZINC001474310474 1054800424 /nfs/dbraw/zinc/80/04/24/1054800424.db2.gz VQUPCGPLTNOKJM-ZDUSSCGKSA-N 0 3 319.246 4.372 20 0 DIADHN Cc1csc([C@@H](C)NCC2(c3ccc(F)cc3)CCC2)n1 ZINC000623881376 1054801711 /nfs/dbraw/zinc/80/17/11/1054801711.db2.gz LVIIBPZDZPGWAZ-CYBMUJFWSA-N 0 3 304.434 4.363 20 0 DIADHN Cc1ccc(Br)cc1[C@@H](C)NCc1cccc(O)c1 ZINC000623882731 1054802704 /nfs/dbraw/zinc/80/27/04/1054802704.db2.gz GRTVSMTVLYRWBI-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN CCC[C@H](NCc1c(C)nn(C)c1C)c1cc(C)sc1C ZINC000623882404 1054802925 /nfs/dbraw/zinc/80/29/25/1054802925.db2.gz UWLYULXVOHFEFU-KRWDZBQOSA-N 0 3 305.491 4.346 20 0 DIADHN C[C@@H](CN[C@H]1CCCc2scnc21)c1ccc(F)cc1F ZINC000623900830 1054806601 /nfs/dbraw/zinc/80/66/01/1054806601.db2.gz SUSSQRGIDPXFHU-HZMBPMFUSA-N 0 3 308.397 4.192 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@H](C)c2nc(C)cs2)cc1 ZINC000623899015 1054806910 /nfs/dbraw/zinc/80/69/10/1054806910.db2.gz XUHMAFRETWBDSE-GXTWGEPZSA-N 0 3 304.459 4.305 20 0 DIADHN Cc1cc(CN[C@@H]2CCCC[C@@H]2Sc2ccc(F)cc2)on1 ZINC000623888636 1054809329 /nfs/dbraw/zinc/80/93/29/1054809329.db2.gz OATUAVVZKNMVRB-SJORKVTESA-N 0 3 320.433 4.315 20 0 DIADHN Cc1nc(F)ccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001235807467 1054809403 /nfs/dbraw/zinc/80/94/03/1054809403.db2.gz SOZKYUFFXUDYND-UHFFFAOYSA-N 0 3 308.400 4.090 20 0 DIADHN c1nc2c(s1)CCC[C@@H]2NCC1(Sc2ccccc2)CC1 ZINC000623891021 1054810305 /nfs/dbraw/zinc/81/03/05/1054810305.db2.gz AQPVGPFAOABIDX-AWEZNQCLSA-N 0 3 316.495 4.435 20 0 DIADHN CC(C)[C@H](CN[C@H]1CCCc2scnc21)Nc1ccccc1 ZINC000623889850 1054810470 /nfs/dbraw/zinc/81/04/70/1054810470.db2.gz XZGAHWJNDJIRFV-HOTGVXAUSA-N 0 3 315.486 4.247 20 0 DIADHN Cc1csc([C@H](C)NCC2(Cc3ccc(F)cc3)CC2)n1 ZINC000623908231 1054815615 /nfs/dbraw/zinc/81/56/15/1054815615.db2.gz TXKZEDMAXUNECY-ZDUSSCGKSA-N 0 3 304.434 4.264 20 0 DIADHN Nc1ccc(CN2CC[C@H](c3ccccc3Cl)C2)cc1F ZINC001235825888 1054816060 /nfs/dbraw/zinc/81/60/60/1054816060.db2.gz RGJTXPHNYANPOZ-ZDUSSCGKSA-N 0 3 304.796 4.051 20 0 DIADHN CCC[C@@H](N[C@@H](CO)CC(F)(F)F)c1cc(C)sc1C ZINC000623926064 1054819065 /nfs/dbraw/zinc/81/90/65/1054819065.db2.gz XQTICGDBWKXHEI-DGCLKSJQSA-N 0 3 309.397 4.109 20 0 DIADHN C[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)[C@@H]1CCCCO1 ZINC000623927310 1054820614 /nfs/dbraw/zinc/82/06/14/1054820614.db2.gz CTUBZCQIUQFSBI-CQDKDKBSSA-N 0 3 319.342 4.366 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)[C@H]2Oc3ccccc3[C@H]2C)n1 ZINC000623919158 1054821765 /nfs/dbraw/zinc/82/17/65/1054821765.db2.gz PDCNFWRKAXGOJK-FOCJUVANSA-N 0 3 302.443 4.055 20 0 DIADHN Cc1nc2cc(CN(C)Cc3cccc(F)c3)ccc2s1 ZINC001235850542 1054823073 /nfs/dbraw/zinc/82/30/73/1054823073.db2.gz LTXWJDZQWILKII-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(C(C)(C)C)nc2)CC12CCCC2 ZINC001474576251 1054828382 /nfs/dbraw/zinc/82/83/82/1054828382.db2.gz JUTVOXOLUZVZTG-INIZCTEOSA-N 0 3 301.478 4.175 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623958520 1054836984 /nfs/dbraw/zinc/83/69/84/1054836984.db2.gz PUSZCUROWBRWCZ-GOEBONIOSA-N 0 3 315.486 4.023 20 0 DIADHN CC[C@H](N[C@H](CC(=O)NC)c1ccccc1)c1cc(C)ccc1C ZINC000623976734 1054843733 /nfs/dbraw/zinc/84/37/33/1054843733.db2.gz SYVIJFHTIVKJAP-VQTJNVASSA-N 0 3 324.468 4.222 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](N[C@H]2CCCc3scnc32)C1 ZINC000623976634 1054844003 /nfs/dbraw/zinc/84/40/03/1054844003.db2.gz QEWNJPALPNASJE-HUBLWGQQSA-N 0 3 302.418 4.195 20 0 DIADHN c1csc([C@H]2C[C@H](N[C@H]3CCCc4scnc43)CCO2)c1 ZINC000623997899 1054849913 /nfs/dbraw/zinc/84/99/13/1054849913.db2.gz BFWGNZRXZDYQLI-FRRDWIJNSA-N 0 3 320.483 4.092 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc(C2CC2)[nH]c1C1CC1 ZINC000623999566 1054850299 /nfs/dbraw/zinc/85/02/99/1054850299.db2.gz VBAUYYJMROEPBD-UHFFFAOYSA-N 0 3 323.440 4.083 20 0 DIADHN COc1cc(CNC2(c3ccc(F)cc3)CCC2)ccc1F ZINC001350023469 1054852470 /nfs/dbraw/zinc/85/24/70/1054852470.db2.gz PIFOFZRDWMBAAJ-UHFFFAOYSA-N 0 3 303.352 4.142 20 0 DIADHN Cc1csc([C@@H](C)NCC[C@@H]2CSc3ccccc3O2)n1 ZINC000624005474 1054852483 /nfs/dbraw/zinc/85/24/83/1054852483.db2.gz RTTFSXKFHBRFBU-CHWSQXEVSA-N 0 3 320.483 4.046 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)n1 ZINC000624013753 1054856675 /nfs/dbraw/zinc/85/66/75/1054856675.db2.gz IIQQJPMOGMWSJP-MELADBBJSA-N 0 3 308.491 4.228 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2C[C@H](OC(C)(C)C)C23CCC3)n1 ZINC000624013752 1054857318 /nfs/dbraw/zinc/85/73/18/1054857318.db2.gz IIQQJPMOGMWSJP-IHRRRGAJSA-N 0 3 308.491 4.228 20 0 DIADHN Oc1ccc2c(c1)[C@H](N[C@@H]1CCc3cc(F)c(Cl)cc31)CC2 ZINC000624013618 1054857592 /nfs/dbraw/zinc/85/75/92/1054857592.db2.gz FANZGVPMHVTLHB-QZTJIDSGSA-N 0 3 317.791 4.449 20 0 DIADHN Cc1ccc(CNCc2ccnc(OC3CCCCC3)c2)cc1 ZINC000624021147 1054859840 /nfs/dbraw/zinc/85/98/40/1054859840.db2.gz MUSGLPRMEGAPDX-UHFFFAOYSA-N 0 3 310.441 4.391 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2c(c1)CCCN2 ZINC001235964119 1054875494 /nfs/dbraw/zinc/87/54/94/1054875494.db2.gz ZLFJHDCSSWCORS-HNNXBMFYSA-N 0 3 310.441 4.246 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc2[nH]ccc21 ZINC001236003284 1054888917 /nfs/dbraw/zinc/88/89/17/1054888917.db2.gz VUDIESTYBBSEGV-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)c1ccnc(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236006036 1054890925 /nfs/dbraw/zinc/89/09/25/1054890925.db2.gz XHOFTOZQMUITAA-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cc(C2CC2)ncn1 ZINC001236006218 1054891329 /nfs/dbraw/zinc/89/13/29/1054891329.db2.gz ROLBLWALGVQFHV-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN CCCc1cnc(-c2cccc(CN3CCCCC3)c2F)nc1 ZINC001236003362 1054891723 /nfs/dbraw/zinc/89/17/23/1054891723.db2.gz ZWGWOYJWSPDROF-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN CC(C)n1cc(-c2cccc(CN3CCCCC3)c2F)cn1 ZINC001236011767 1054893885 /nfs/dbraw/zinc/89/38/85/1054893885.db2.gz KIPGVOSTBCSKHV-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)cnc1F ZINC001236011492 1054893939 /nfs/dbraw/zinc/89/39/39/1054893939.db2.gz HNGAJZLIDKBJSL-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN C[C@@H](N[C@@H]1CC(C)(C)OC1(C)C)c1nc2c(s1)CCCC2 ZINC000180977946 1054896776 /nfs/dbraw/zinc/89/67/76/1054896776.db2.gz FWLGOSBDXVIXON-BXUZGUMPSA-N 0 3 308.491 4.019 20 0 DIADHN COc1cccc(N)c1-c1cccc(CN2CCCCC2)c1F ZINC001236014467 1054897355 /nfs/dbraw/zinc/89/73/55/1054897355.db2.gz CEMGAFQAILGSAL-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN CCOc1ncccc1-c1cccc(CN2CCCCC2)c1F ZINC001236012190 1054898123 /nfs/dbraw/zinc/89/81/23/1054898123.db2.gz NGLDLNYXCDUSJF-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Fc1ccnc(F)c1-c1cccc(CN2CCCCC2)c1F ZINC001236017047 1054899910 /nfs/dbraw/zinc/89/99/10/1054899910.db2.gz WYURCDMIFKZBIF-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000181737189 1054930980 /nfs/dbraw/zinc/93/09/80/1054930980.db2.gz RBKQQLWCESPEDD-MZPVMMEZSA-N 0 3 322.811 4.168 20 0 DIADHN C[C@H](NCCCCCn1cccn1)c1c(F)cccc1Cl ZINC000181749001 1054934464 /nfs/dbraw/zinc/93/44/64/1054934464.db2.gz MTZNDLKKJPIROZ-ZDUSSCGKSA-N 0 3 309.816 4.197 20 0 DIADHN CCC[C@H](NCc1cnn(C)c1C(F)(F)F)c1ccccc1 ZINC000181786715 1054936135 /nfs/dbraw/zinc/93/61/35/1054936135.db2.gz WRBDTVRDHIQCKH-AWEZNQCLSA-N 0 3 311.351 4.070 20 0 DIADHN CC(C)CC[C@@H](NCc1nnc(C2CCC2)n1C)C(C)(C)C ZINC000181764910 1054936187 /nfs/dbraw/zinc/93/61/87/1054936187.db2.gz ISIBFGLKIUGDLM-OAHLLOKOSA-N 0 3 306.498 4.023 20 0 DIADHN Nc1ccc(CN2CCC(Cc3cccc(F)c3)CC2)cc1F ZINC001236092779 1054941390 /nfs/dbraw/zinc/94/13/90/1054941390.db2.gz PWIGPXAWWNUQQO-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN C[C@H](NCc1ccccc1N(C)C)c1cccc(N2CCCC2)c1 ZINC000181886173 1054945170 /nfs/dbraw/zinc/94/51/70/1054945170.db2.gz DPYBMPAFXNSERQ-KRWDZBQOSA-N 0 3 323.484 4.204 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCc2cc(N)ccc2C1 ZINC001236106341 1054950030 /nfs/dbraw/zinc/95/00/30/1054950030.db2.gz SBDBWFHHPDTFAJ-UHFFFAOYSA-N 0 3 320.358 4.154 20 0 DIADHN CC(C)[C@@H](NCc1cccc(CO)c1)c1ccc(Cl)cc1F ZINC000182067120 1054958996 /nfs/dbraw/zinc/95/89/96/1054958996.db2.gz DQBMORKPMMLMRW-GOSISDBHSA-N 0 3 321.823 4.458 20 0 DIADHN CCc1ccc(CN[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)o1 ZINC000182110143 1054961807 /nfs/dbraw/zinc/96/18/07/1054961807.db2.gz QBVCUXGFOZKWOX-DLBZAZTESA-N 0 3 305.805 4.115 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@H]1CCCn3nccc31)CCC2 ZINC000624220861 1054970321 /nfs/dbraw/zinc/97/03/21/1054970321.db2.gz HDVPMBKVUHACNG-HOTGVXAUSA-N 0 3 301.821 4.039 20 0 DIADHN C[C@H](N[C@@H]1CO[C@H](C2CC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000624222884 1054975746 /nfs/dbraw/zinc/97/57/46/1054975746.db2.gz IRAOWJTWXFITFJ-UVFQYZLESA-N 0 3 324.424 4.092 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(C(=O)NCC(C)C)c2)cc1 ZINC000182318232 1054980081 /nfs/dbraw/zinc/98/00/81/1054980081.db2.gz BUEVXPPJFIFSIQ-QGZVFWFLSA-N 0 3 324.468 4.232 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccccc2C(C)C)cc1 ZINC000182321346 1054980127 /nfs/dbraw/zinc/98/01/27/1054980127.db2.gz VBOMOIAWYSGIKG-MRXNPFEDSA-N 0 3 310.441 4.408 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccco1 ZINC000624225253 1054983518 /nfs/dbraw/zinc/98/35/18/1054983518.db2.gz NYNUMQUZFYIHGE-AAEUAGOBSA-N 0 3 308.784 4.002 20 0 DIADHN CC[C@@H](NCCOc1cc(C)ccc1C)c1c(C)noc1C ZINC000182417362 1054985869 /nfs/dbraw/zinc/98/58/69/1054985869.db2.gz WHOXXSRATRLSJN-MRXNPFEDSA-N 0 3 302.418 4.028 20 0 DIADHN CC[C@@H](NCCOc1ccc(Cl)cc1)c1c(C)noc1C ZINC000182391454 1054986016 /nfs/dbraw/zinc/98/60/16/1054986016.db2.gz CVWSUGAFQLQORH-OAHLLOKOSA-N 0 3 308.809 4.065 20 0 DIADHN CCc1nn(C)c(CC)c1CNC(C)(C)c1cccc(Cl)c1 ZINC000182456001 1054987353 /nfs/dbraw/zinc/98/73/53/1054987353.db2.gz VTKNKXVVUPGZRX-UHFFFAOYSA-N 0 3 319.880 4.223 20 0 DIADHN CC[C@H](NCCSc1ccccc1F)c1c(C)noc1C ZINC000182434982 1054987904 /nfs/dbraw/zinc/98/79/04/1054987904.db2.gz LJSBXDINWNQILR-AWEZNQCLSA-N 0 3 308.422 4.264 20 0 DIADHN CC(C)(C)CC(C)(C)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001535617821 1054989773 /nfs/dbraw/zinc/98/97/73/1054989773.db2.gz AOCUEYYBDZEQHF-UHFFFAOYSA-N 0 3 322.537 4.173 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000359802961 1054990908 /nfs/dbraw/zinc/99/09/08/1054990908.db2.gz QVZKZGIFDUIAIP-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN Oc1cc(CN2CCC[C@H]2c2c[nH]c3ccccc32)ccc1F ZINC001236194231 1054993235 /nfs/dbraw/zinc/99/32/35/1054993235.db2.gz NMPGHGQBUDCYQZ-SFHVURJKSA-N 0 3 310.372 4.350 20 0 DIADHN O=c1[nH]cccc1CN[C@@H]1CCCSc2ccc(Cl)cc21 ZINC000624258655 1055015523 /nfs/dbraw/zinc/01/55/23/1055015523.db2.gz PPFZNQKNORTMKB-CQSZACIVSA-N 0 3 320.845 4.157 20 0 DIADHN CCC[C@H](NCc1ccc[nH]c1=O)c1cccc(C(F)(F)F)c1 ZINC000624258402 1055015748 /nfs/dbraw/zinc/01/57/48/1055015748.db2.gz IVFLSMWNEINKON-HNNXBMFYSA-N 0 3 324.346 4.437 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cc(C)cc(C)c2)cc1F ZINC000182885850 1055016910 /nfs/dbraw/zinc/01/69/10/1055016910.db2.gz DLXHOUVTKLMQAD-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN Fc1cc(-c2ccc(C3CC3)nn2)ccc1CN1CCCCC1 ZINC001236230663 1055019983 /nfs/dbraw/zinc/01/99/83/1055019983.db2.gz DBOYVCZGRHMUFA-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Cc1cc(F)cnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236231809 1055020835 /nfs/dbraw/zinc/02/08/35/1055020835.db2.gz DTGIKOHIBOCMCY-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCCc1cnc(-c2ccc(CN3CCCCC3)c(F)c2)nc1 ZINC001236232366 1055021497 /nfs/dbraw/zinc/02/14/97/1055021497.db2.gz IEFDZEVWPYJMOS-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN CC(C)c1nccc(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236232716 1055022803 /nfs/dbraw/zinc/02/28/03/1055022803.db2.gz OUPUNDUNUWTBCM-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN CCCc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nn1 ZINC001236245268 1055028490 /nfs/dbraw/zinc/02/84/90/1055028490.db2.gz QMKSOKOLBIOTQJ-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)nc2[nH]cnc21 ZINC001236245206 1055029956 /nfs/dbraw/zinc/02/99/56/1055029956.db2.gz PZFROOZYWLQCRW-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN COc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cnc1C ZINC001236248587 1055030742 /nfs/dbraw/zinc/03/07/42/1055030742.db2.gz ILLRFAOTPGHULI-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2ccc3nnccc3c2)ccc1CN1CCCCC1 ZINC001236248607 1055031492 /nfs/dbraw/zinc/03/14/92/1055031492.db2.gz ITFSZEMQQSRORM-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cc(-c2cccc3nccn32)ccc1CN1CCCCC1 ZINC001236248895 1055031631 /nfs/dbraw/zinc/03/16/31/1055031631.db2.gz KLXZCLLRFINHRC-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN Cc1nc2[nH]cnc2cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236249164 1055032025 /nfs/dbraw/zinc/03/20/25/1055032025.db2.gz OWVOHILZYGQKTE-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)nc1F ZINC001236249628 1055033172 /nfs/dbraw/zinc/03/31/72/1055033172.db2.gz YKDYHUKBTQHEGW-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2N[C@H](C)c1cccc(CO)c1 ZINC000183229261 1055035843 /nfs/dbraw/zinc/03/58/43/1055035843.db2.gz RKKSBNDBOZIWEE-KDOFPFPSSA-N 0 3 313.441 4.445 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1cccc(F)c1F ZINC000183314689 1055039220 /nfs/dbraw/zinc/03/92/20/1055039220.db2.gz CMTYOPWHLNBDSV-HUBLWGQQSA-N 0 3 303.352 4.180 20 0 DIADHN Fc1ccc([C@@H](NCCc2cccs2)c2ccccc2)nc1 ZINC000183298346 1055040601 /nfs/dbraw/zinc/04/06/01/1055040601.db2.gz PEWJBZOBVKZJDC-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1nccn1C ZINC000183402221 1055043579 /nfs/dbraw/zinc/04/35/79/1055043579.db2.gz QNEOSPXRTSQGAL-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H](C)c1nccn1C ZINC000183402280 1055044682 /nfs/dbraw/zinc/04/46/82/1055044682.db2.gz QNEOSPXRTSQGAL-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN Cc1csc([C@@H](NCCc2ccccc2Cl)C2CC2)n1 ZINC000183533309 1055047456 /nfs/dbraw/zinc/04/74/56/1055047456.db2.gz SVDBBTMWEGNJSP-HNNXBMFYSA-N 0 3 306.862 4.388 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000183517789 1055048691 /nfs/dbraw/zinc/04/86/91/1055048691.db2.gz MWBMYHIXVDYFKJ-JQWIXIFHSA-N 0 3 311.882 4.019 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2cccc(C)c2C)s1 ZINC000183728317 1055055842 /nfs/dbraw/zinc/05/58/42/1055055842.db2.gz SSEMLHYGTXSTRL-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN Fc1ccc([C@@H](NC2Cc3ccccc3C2)c2ccccc2)nc1 ZINC000184007172 1055066986 /nfs/dbraw/zinc/06/69/86/1055066986.db2.gz HLOAIYUMPBQKJZ-NRFANRHFSA-N 0 3 318.395 4.067 20 0 DIADHN Cc1csc([C@H](C)NCCCSCc2ccccc2)n1 ZINC000184281924 1055071601 /nfs/dbraw/zinc/07/16/01/1055071601.db2.gz IVESNDHVIMVSQQ-AWEZNQCLSA-N 0 3 306.500 4.426 20 0 DIADHN CC[C@H](NCCSc1ccc(F)cc1)c1nc(C)cs1 ZINC000184376737 1055073376 /nfs/dbraw/zinc/07/33/76/1055073376.db2.gz IHCJFTQJCWSQMR-AWEZNQCLSA-N 0 3 310.463 4.424 20 0 DIADHN COc1ccc2sc(CN3CCc4c(N)cccc4C3)cc2c1 ZINC001236365266 1055074685 /nfs/dbraw/zinc/07/46/85/1055074685.db2.gz GLEHPJDLKZNHHD-UHFFFAOYSA-N 0 3 324.449 4.050 20 0 DIADHN Cc1nccn1CCCCN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000184501980 1055077875 /nfs/dbraw/zinc/07/78/75/1055077875.db2.gz FMUMPKIJQFLEHV-ZDUSSCGKSA-N 0 3 320.506 4.077 20 0 DIADHN COC[C@@H](N[C@@H](C)CCSc1ccccc1)c1ccco1 ZINC000184595601 1055080239 /nfs/dbraw/zinc/08/02/39/1055080239.db2.gz UHPOQHLVGRTIAJ-GOEBONIOSA-N 0 3 305.443 4.128 20 0 DIADHN Cc1c(-c2ccc(C3=NCCC3)cc2)cnn1-c1ccccc1 ZINC001236400106 1055093588 /nfs/dbraw/zinc/09/35/88/1055093588.db2.gz MZVGUFRASGKEJZ-UHFFFAOYSA-N 0 3 301.393 4.431 20 0 DIADHN Cc1ccc2nccc(NCc3cc(F)cc4c3OCOC4)c2c1 ZINC000185607994 1055095282 /nfs/dbraw/zinc/09/52/82/1055095282.db2.gz IGMVSXDWKGDCDR-UHFFFAOYSA-N 0 3 324.355 4.161 20 0 DIADHN COc1ccc2cc(CN(C)[C@H](C)c3cccnc3)ccc2c1 ZINC000194298933 1055120157 /nfs/dbraw/zinc/12/01/57/1055120157.db2.gz FWISLSDDBVNQIH-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CSc1ccnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236470390 1055129756 /nfs/dbraw/zinc/12/97/56/1055129756.db2.gz VRPDMBWBBGKXQZ-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN[C@@H](c1ccccc1)C1CC1 ZINC000194551787 1055130153 /nfs/dbraw/zinc/13/01/53/1055130153.db2.gz CZURKKJNMCFYOT-NRFANRHFSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1cc(F)cnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236470451 1055130164 /nfs/dbraw/zinc/13/01/64/1055130164.db2.gz XSECQFKTVOBHOM-MOPGFXCFSA-N 0 3 308.400 4.349 20 0 DIADHN CC(C)c1ncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001236473432 1055133168 /nfs/dbraw/zinc/13/31/68/1055133168.db2.gz LKQJVEUPYUPLQR-UXHICEINSA-N 0 3 319.452 4.420 20 0 DIADHN COc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1C ZINC001236473374 1055133923 /nfs/dbraw/zinc/13/39/23/1055133923.db2.gz FPDBHYOSKRHSHF-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN COc1ccc(F)nc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236475580 1055134751 /nfs/dbraw/zinc/13/47/51/1055134751.db2.gz PTMDDIOJPWLUJM-SJORKVTESA-N 0 3 324.399 4.050 20 0 DIADHN COc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cnc1C ZINC001236476186 1055135715 /nfs/dbraw/zinc/13/57/15/1055135715.db2.gz VNSHSMWQQRJVNR-UXHICEINSA-N 0 3 320.436 4.219 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)OC ZINC000381125835 1055150324 /nfs/dbraw/zinc/15/03/24/1055150324.db2.gz GCVWCIXQMHJAMH-ACJLOTCBSA-N 0 3 305.393 4.236 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)NCC2CCCCC2)c(C)s1 ZINC000195585743 1055157910 /nfs/dbraw/zinc/15/79/10/1055157910.db2.gz QRBWVCVOLATYDD-ZIAGYGMSSA-N 0 3 322.518 4.101 20 0 DIADHN CCOc1ccc(C)c(F)c1-c1ccc(OC)c(CN(C)C)c1 ZINC001236539741 1055168782 /nfs/dbraw/zinc/16/87/82/1055168782.db2.gz NPQZVCHGGQGWKG-UHFFFAOYSA-N 0 3 317.404 4.270 20 0 DIADHN COC(=O)c1cccc(CN2CCC3(C2)CCCCC3)c1Cl ZINC000382017233 1055197489 /nfs/dbraw/zinc/19/74/89/1055197489.db2.gz ACDLANLFWOQQHT-UHFFFAOYSA-N 0 3 321.848 4.283 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3cccc(Cl)c3)CC2)o1 ZINC001236588787 1055200485 /nfs/dbraw/zinc/20/04/85/1055200485.db2.gz CDQLVVJFOUTPMA-UHFFFAOYSA-N 0 3 318.848 4.400 20 0 DIADHN COc1cccc(Br)c1CN1CCC[C@H]2CCC[C@@H]21 ZINC001236674899 1055221059 /nfs/dbraw/zinc/22/10/59/1055221059.db2.gz AMNNHRVAOMCGJI-DOMZBBRYSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cccc(Br)c1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001236674921 1055223009 /nfs/dbraw/zinc/22/30/09/1055223009.db2.gz AMNNHRVAOMCGJI-WFASDCNBSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cc2ccccc2cc1CN1CCCC(F)(F)CC1 ZINC001236678463 1055226323 /nfs/dbraw/zinc/22/63/23/1055226323.db2.gz ZBKNCUJLZJRNIJ-UHFFFAOYSA-N 0 3 305.368 4.470 20 0 DIADHN CC1(C)CCc2onc(CN[C@@H](Cc3ccccc3)C3CC3)c2C1 ZINC001168533051 1055231054 /nfs/dbraw/zinc/23/10/54/1055231054.db2.gz XHPMRFVTINISRW-SFHVURJKSA-N 0 3 324.468 4.300 20 0 DIADHN CCN(CCCN[C@H](C)c1cccnc1Cl)c1ccccc1 ZINC000764684705 1055235730 /nfs/dbraw/zinc/23/57/30/1055235730.db2.gz PLNDBKRGRJUJHN-OAHLLOKOSA-N 0 3 317.864 4.302 20 0 DIADHN Cc1c(F)ccc(CN2CC[C@H](c3ccncc3)C2)c1Cl ZINC001236711990 1055238431 /nfs/dbraw/zinc/23/84/31/1055238431.db2.gz PIYYGJRBZGVWSV-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN CN1CCCC[C@H]1CNC(=O)C1(C2CCCCC2)CCCCC1 ZINC001537279123 1055272594 /nfs/dbraw/zinc/27/25/94/1055272594.db2.gz UUCUUKBSLGUNJZ-SFHVURJKSA-N 0 3 320.521 4.118 20 0 DIADHN C[C@@H](NC[C@H]1CCN(c2ccccc2)C1)c1c(F)cccc1F ZINC000764890200 1055283006 /nfs/dbraw/zinc/28/30/06/1055283006.db2.gz GWXAAYPMIGNCSI-HUUCEWRRSA-N 0 3 316.395 4.142 20 0 DIADHN C[C@H](NC[C@H]1CCN(c2ccccc2)C1)c1c(F)cccc1F ZINC000764890201 1055283838 /nfs/dbraw/zinc/28/38/38/1055283838.db2.gz GWXAAYPMIGNCSI-LSDHHAIUSA-N 0 3 316.395 4.142 20 0 DIADHN CCOc1cncc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001236841007 1055288224 /nfs/dbraw/zinc/28/82/24/1055288224.db2.gz SISCYBODZXSAQE-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccnc(OC2CCCC2)c1 ZINC000764902870 1055290505 /nfs/dbraw/zinc/29/05/05/1055290505.db2.gz SLWQMMAKHMJMKD-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2cccc(C)c2Cl)C[C@@H]1C ZINC001236856553 1055293146 /nfs/dbraw/zinc/29/31/46/1055293146.db2.gz RFZLNNIOMCOBHC-GJZGRUSLSA-N 0 3 323.864 4.060 20 0 DIADHN Clc1cc(CN2CCCC3(CCC3)C2)ccc1-n1ccnc1 ZINC001236908219 1055310375 /nfs/dbraw/zinc/31/03/75/1055310375.db2.gz DWZUPTPQAVFBQD-UHFFFAOYSA-N 0 3 315.848 4.292 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccnc(N2CCCCC2)c1 ZINC000765059010 1055322354 /nfs/dbraw/zinc/32/23/54/1055322354.db2.gz IQIKFUDNLLVZNU-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN CN(Cc1ccc(-c2ccc(OC(F)(F)F)cc2)nc1)C1CC1 ZINC001236976444 1055322509 /nfs/dbraw/zinc/32/25/09/1055322509.db2.gz JXKXSAURCCEFBX-UHFFFAOYSA-N 0 3 322.330 4.241 20 0 DIADHN COCC1CCN(Cc2ccc(-c3cccc(C)c3C)nc2)CC1 ZINC001236980785 1055322819 /nfs/dbraw/zinc/32/28/19/1055322819.db2.gz NHALOXVSFQDUDG-UHFFFAOYSA-N 0 3 324.468 4.224 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CCC(C(F)F)CC2)cn1 ZINC001236989990 1055323521 /nfs/dbraw/zinc/32/35/21/1055323521.db2.gz KZBCJIKYWUOJFY-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CCC[C@@H](C(F)F)C2)cn1 ZINC001236990215 1055324216 /nfs/dbraw/zinc/32/42/16/1055324216.db2.gz NKOSPCDGYGYHNL-CQSZACIVSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CCC[C@H](C(F)F)C2)cn1 ZINC001236990213 1055324704 /nfs/dbraw/zinc/32/47/04/1055324704.db2.gz NKOSPCDGYGYHNL-AWEZNQCLSA-N 0 3 320.358 4.365 20 0 DIADHN Cc1cccc(-c2ccc(CN3CCC[C@]4(CCO4)C3)cn2)c1C ZINC001236988251 1055324752 /nfs/dbraw/zinc/32/47/52/1055324752.db2.gz ITIWAEWGIUYWNC-NRFANRHFSA-N 0 3 322.452 4.120 20 0 DIADHN CC1(F)CCN(Cc2ccc(-c3ccccc3F)nc2)CC1 ZINC001236988345 1055325957 /nfs/dbraw/zinc/32/59/57/1055325957.db2.gz VIFGEGUZAINGAM-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN CSC1CCN(Cc2cncc(-c3ccc(C)cc3)c2)CC1 ZINC001236992401 1055329394 /nfs/dbraw/zinc/32/93/94/1055329394.db2.gz GMAQVQVXKODJQA-UHFFFAOYSA-N 0 3 312.482 4.384 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cnn(-c3ccccc3)c2)c(C)c1 ZINC000765102923 1055330018 /nfs/dbraw/zinc/33/00/18/1055330018.db2.gz TWQSYGHPPUEPTH-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN CCCO[C@H]1CCN(Cc2ccc(-c3cccc(C)c3C)nc2)C1 ZINC001236994864 1055333006 /nfs/dbraw/zinc/33/30/06/1055333006.db2.gz VHIQVGRYLKSSEA-IBGZPJMESA-N 0 3 324.468 4.366 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)nc2)C1 ZINC001237007396 1055335706 /nfs/dbraw/zinc/33/57/06/1055335706.db2.gz ZRUNPAVXPUSPIW-QGZVFWFLSA-N 0 3 316.832 4.013 20 0 DIADHN COC1CCN(Cc2ccc(-c3ccc(Cl)cc3)nc2)CC1 ZINC001237007334 1055336274 /nfs/dbraw/zinc/33/62/74/1055336274.db2.gz XXDNUXVNOUKYDU-UHFFFAOYSA-N 0 3 316.832 4.013 20 0 DIADHN CCN(C)Cc1ccc(-c2ccccc2OC(F)(F)F)nc1 ZINC001237016781 1055340510 /nfs/dbraw/zinc/34/05/10/1055340510.db2.gz NVTFFIWGAUTCMK-UHFFFAOYSA-N 0 3 310.319 4.099 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2sccc2c1)N1[C@H](C)CC[C@@H]1C ZINC001587649540 1055341366 /nfs/dbraw/zinc/34/13/66/1055341366.db2.gz KRATVSIKJGCPEA-XQQFMLRXSA-N 0 3 302.443 4.101 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CC[C@]2(C1)CCCCO2 ZINC001237024159 1055343751 /nfs/dbraw/zinc/34/37/51/1055343751.db2.gz ZKFIJXCTXOVIRK-IBGZPJMESA-N 0 3 303.446 4.009 20 0 DIADHN C[C@H]1CCCN(Cc2ccc(NC(=O)OC(C)(C)C)c(F)c2)C1 ZINC001237041162 1055345413 /nfs/dbraw/zinc/34/54/13/1055345413.db2.gz NZIKDXARNPAHMM-ZDUSSCGKSA-N 0 3 322.424 4.405 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CCCC23CC3)cc1F ZINC001237041176 1055346242 /nfs/dbraw/zinc/34/62/42/1055346242.db2.gz OALHNJCFMNHIGG-UHFFFAOYSA-N 0 3 320.408 4.301 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)(F)F)cc2)c(C)c1 ZINC000765262517 1055347501 /nfs/dbraw/zinc/34/75/01/1055347501.db2.gz QKRFHSNTSIRLTA-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN CC1CCN(Cc2ccc(NC(=O)OC(C)(C)C)c(F)c2)CC1 ZINC001237035359 1055347380 /nfs/dbraw/zinc/34/73/80/1055347380.db2.gz AZMSEVHDONIDEB-UHFFFAOYSA-N 0 3 322.424 4.405 20 0 DIADHN C[C@H]1CCCN1Cc1ccc(NC(=O)OC(C)(C)C)c(F)c1 ZINC001237040741 1055347837 /nfs/dbraw/zinc/34/78/37/1055347837.db2.gz JHEJFQKKNLWRIV-LBPRGKRZSA-N 0 3 308.397 4.157 20 0 DIADHN C[C@H](NC[C@@H](O)c1cccc(Cl)c1)c1cc2ccccc2o1 ZINC000765263180 1055349376 /nfs/dbraw/zinc/34/93/76/1055349376.db2.gz PERROIPFWOXFQB-BLLLJJGKSA-N 0 3 315.800 4.470 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2ccc(F)cc2Cl)cc1 ZINC000765271892 1055350890 /nfs/dbraw/zinc/35/08/90/1055350890.db2.gz PXTPRYWBGBIAHG-PXAZEXFGSA-N 0 3 307.796 4.172 20 0 DIADHN Cc1cccc(C)c1CNCc1cnc(-c2ccncc2)s1 ZINC000765282988 1055356921 /nfs/dbraw/zinc/35/69/21/1055356921.db2.gz ZCUFQLFQUAQZIJ-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@H]2c2ccccn2)c1 ZINC001237068026 1055357951 /nfs/dbraw/zinc/35/79/51/1055357951.db2.gz JSBOIPLFELODBF-FQEVSTJZSA-N 0 3 304.393 4.278 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc(C)c1F ZINC000765304179 1055360044 /nfs/dbraw/zinc/36/00/44/1055360044.db2.gz JDMPTKLFFDDESO-CABCVRRESA-N 0 3 301.405 4.347 20 0 DIADHN Fc1ccc(CN2CCCC(F)(F)CC2)cc1N1CCCC1 ZINC001237085637 1055368496 /nfs/dbraw/zinc/36/84/96/1055368496.db2.gz DOUCJLIQYSLTQS-UHFFFAOYSA-N 0 3 312.379 4.047 20 0 DIADHN COc1cccc2c(CN3CCC4(CCCC4)CC3)ccnc12 ZINC001237106121 1055370871 /nfs/dbraw/zinc/37/08/71/1055370871.db2.gz OHHHZJOQOGCFOL-UHFFFAOYSA-N 0 3 310.441 4.400 20 0 DIADHN OCCN(Cc1cccs1)Cc1ccc(C2CCC2)cc1 ZINC001237110960 1055376150 /nfs/dbraw/zinc/37/61/50/1055376150.db2.gz OMZZCNINTSLEQC-UHFFFAOYSA-N 0 3 301.455 4.010 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccnc2c(Cl)cccc12 ZINC001237145965 1055384306 /nfs/dbraw/zinc/38/43/06/1055384306.db2.gz DEAYGTCSRRBBJC-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN C[C@@H](N[C@H]1CSCc2ccccc21)c1ccc2c(c1)CCO2 ZINC000765426679 1055386537 /nfs/dbraw/zinc/38/65/37/1055386537.db2.gz SIFSYHZELDUQSM-ACJLOTCBSA-N 0 3 311.450 4.260 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1sc(=O)[nH]c1Cl ZINC001237153745 1055388948 /nfs/dbraw/zinc/38/89/48/1055388948.db2.gz GQXIPMOVVQSKIC-GFCCVEGCSA-N 0 3 308.834 4.148 20 0 DIADHN C[C@H](COc1ccccc1)N[C@@H](C)c1ccccc1OC(F)F ZINC000765435654 1055388776 /nfs/dbraw/zinc/38/87/76/1055388776.db2.gz CLXPCVITMBHKRJ-KGLIPLIRSA-N 0 3 321.367 4.406 20 0 DIADHN Fc1ccc(CC2CC[NH+](Cc3occc3[S-])CC2)c(F)c1 ZINC001237184776 1055393696 /nfs/dbraw/zinc/39/36/96/1055393696.db2.gz RMCKPPNHSRVIMX-UHFFFAOYSA-N 0 3 323.408 4.301 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccc(OC(C)C)nc1 ZINC000765469024 1055395743 /nfs/dbraw/zinc/39/57/43/1055395743.db2.gz LFQUZAKYEOISSQ-UHFFFAOYSA-N 0 3 323.396 4.038 20 0 DIADHN COCc1ccc(CNc2ccnc3cc(OC)ccc32)cc1 ZINC000765467072 1055397688 /nfs/dbraw/zinc/39/76/88/1055397688.db2.gz GXRMPWKADPHBNF-UHFFFAOYSA-N 0 3 308.381 4.002 20 0 DIADHN CCC[C@H](CN[C@@H](C)c1cc(Br)ccc1F)OC ZINC000385937475 1055397962 /nfs/dbraw/zinc/39/79/62/1055397962.db2.gz FJYHMJPBLDTQTR-CMPLNLGQSA-N 0 3 318.230 4.054 20 0 DIADHN COCc1ccccc1CNc1ccnc2cc(OC)ccc21 ZINC000765466962 1055399275 /nfs/dbraw/zinc/39/92/75/1055399275.db2.gz VSKXLGBHCCZJHQ-UHFFFAOYSA-N 0 3 308.381 4.002 20 0 DIADHN COc1ccc([C@H](NCc2cnn(C3CCC3)c2)C(C)C)cc1 ZINC000921514269 1055400670 /nfs/dbraw/zinc/40/06/70/1055400670.db2.gz XPQATRUAHLFOGE-LJQANCHMSA-N 0 3 313.445 4.104 20 0 DIADHN COc1ccc([C@@H](NCc2cnn(C3CCC3)c2)C(C)C)cc1 ZINC000921514268 1055401818 /nfs/dbraw/zinc/40/18/18/1055401818.db2.gz XPQATRUAHLFOGE-IBGZPJMESA-N 0 3 313.445 4.104 20 0 DIADHN Cc1sccc1CN1CC[C@H]2Nc3ccc(Cl)cc3[C@@H]2C1 ZINC001237245802 1055407157 /nfs/dbraw/zinc/40/71/57/1055407157.db2.gz AEXXMJJVHCUZCP-DOTOQJQBSA-N 0 3 318.873 4.494 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccc2nccc(Cl)c2c1 ZINC001237263969 1055410105 /nfs/dbraw/zinc/41/01/05/1055410105.db2.gz JDRBPNSVJVWHPT-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Oc1cc(F)cc(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001237278531 1055415015 /nfs/dbraw/zinc/41/50/15/1055415015.db2.gz ZUKMHLMYGJOHHK-UHFFFAOYSA-N 0 3 309.384 4.092 20 0 DIADHN FC(F)(F)c1cnccc1CN1CC[C@@H](c2ccccc2)C1 ZINC001237284417 1055416228 /nfs/dbraw/zinc/41/62/28/1055416228.db2.gz CZUOXUIBWIJVEG-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC(C(F)(F)F)CC1 ZINC000765511984 1055417911 /nfs/dbraw/zinc/41/79/11/1055417911.db2.gz HYNQPZQFTWYTQO-UHFFFAOYSA-N 0 3 310.319 4.022 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)Nc1ccccc1)c1ccc(F)cc1 ZINC000011101487 1055421776 /nfs/dbraw/zinc/42/17/76/1055421776.db2.gz JDTYBGQBWKDMJW-KSSFIOAISA-N 0 3 314.404 4.140 20 0 DIADHN CC(C)(C)C1CCC(CNC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001588061325 1055422988 /nfs/dbraw/zinc/42/29/88/1055422988.db2.gz MUBYKLHCUGNHGV-UHFFFAOYSA-N 0 3 322.537 4.220 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H](CCCO)c1ccccc1 ZINC000765518529 1055424442 /nfs/dbraw/zinc/42/44/42/1055424442.db2.gz WZVCNPIOWDYDRW-SFHVURJKSA-N 0 3 322.408 4.169 20 0 DIADHN Cc1cc(CN2CCC3(CCNc4ccccc43)CC2)cnc1C ZINC001237321443 1055426288 /nfs/dbraw/zinc/42/62/88/1055426288.db2.gz XMPYUAPPNVEIMF-UHFFFAOYSA-N 0 3 321.468 4.048 20 0 DIADHN CCc1cccc(C)c1CN(C)CCC(=O)c1cccs1 ZINC001237328969 1055429604 /nfs/dbraw/zinc/42/96/04/1055429604.db2.gz FWBJRWLSERZEDD-UHFFFAOYSA-N 0 3 301.455 4.324 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCCC12CC2 ZINC001237338815 1055431493 /nfs/dbraw/zinc/43/14/93/1055431493.db2.gz VHGUDUFMSARFQZ-UHFFFAOYSA-N 0 3 302.418 4.162 20 0 DIADHN CC1(C)CC[C@@H](CN[C@@H](c2ccc(F)cc2F)C2CC2)OC1 ZINC001168542582 1055433253 /nfs/dbraw/zinc/43/32/53/1055433253.db2.gz OQQZXLRFMVSVIK-WMLDXEAASA-N 0 3 309.400 4.211 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001237348382 1055434481 /nfs/dbraw/zinc/43/44/81/1055434481.db2.gz ZCSZSMPOVVZBAC-CJNGLKHVSA-N 0 3 302.418 4.018 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC2(C[C@@H](F)CO2)CC1 ZINC001237350499 1055437497 /nfs/dbraw/zinc/43/74/97/1055437497.db2.gz NJPMODQSRLOQBO-OAHLLOKOSA-N 0 3 311.828 4.050 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(-c3ccccc3)o2)CCC1(F)F ZINC001237376482 1055444864 /nfs/dbraw/zinc/44/48/64/1055444864.db2.gz XSLPLPARUNMIMV-CYBMUJFWSA-N 0 3 306.356 4.209 20 0 DIADHN CCCCC[C@H](C)CC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001537814480 1055448655 /nfs/dbraw/zinc/44/86/55/1055448655.db2.gz BVQASJYZSJCJBJ-ABSDTBQOSA-N 0 3 318.505 4.401 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1cnc(Cl)c(F)c1 ZINC001237411072 1055451592 /nfs/dbraw/zinc/45/15/92/1055451592.db2.gz TVERGDSJDSFNSF-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN CC(C)c1cccc(CN2CCC(Oc3ccccn3)CC2)c1 ZINC001237463965 1055456086 /nfs/dbraw/zinc/45/60/86/1055456086.db2.gz ZJBWAILXJHKRBS-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN COc1ccc(C2=CCN(Cc3cccc(C)c3OC)CC2)cc1 ZINC001237508714 1055464055 /nfs/dbraw/zinc/46/40/55/1055464055.db2.gz QPECKBUNEFFYPF-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN Cc1cc(CN2C[C@@H]3CCC[C@@H]3C2)c(Br)cc1F ZINC001237505576 1055464221 /nfs/dbraw/zinc/46/42/21/1055464221.db2.gz HZKHCJAZJRLYKJ-TXEJJXNPSA-N 0 3 312.226 4.129 20 0 DIADHN COc1c(C)cccc1CN1CCC[C@H](Oc2ccccc2)C1 ZINC001237509515 1055465210 /nfs/dbraw/zinc/46/52/10/1055465210.db2.gz AITGUZYJKHUSIH-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccn3nccc3c2)cc1 ZINC001237541344 1055469124 /nfs/dbraw/zinc/46/91/24/1055469124.db2.gz UWEQCUUDTLXHCK-HXUWFJFHSA-N 0 3 321.424 4.070 20 0 DIADHN CC(C)(C)C[C@H](NCc1cnn(CCF)c1)c1ccccc1 ZINC000921531382 1055470588 /nfs/dbraw/zinc/47/05/88/1055470588.db2.gz YDPUHSGKAJCGNK-KRWDZBQOSA-N 0 3 303.425 4.120 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)cc1CN[C@@H]1C=CCCC1 ZINC001620844398 1055472249 /nfs/dbraw/zinc/47/22/49/1055472249.db2.gz QWWYSGGUFRBEOG-MRXNPFEDSA-N 0 3 301.821 4.032 20 0 DIADHN Cc1ncc(CN2CC[C@H]3CCCC[C@@H]3C2)cc1Br ZINC001237563433 1055475397 /nfs/dbraw/zinc/47/53/97/1055475397.db2.gz GTVAQXGVEPVHEB-HUUCEWRRSA-N 0 3 323.278 4.165 20 0 DIADHN Clc1ncnc2ccc(CN3CCC4(CCCC4)CC3)cc12 ZINC001237575115 1055475797 /nfs/dbraw/zinc/47/57/97/1055475797.db2.gz AQWVPIRMJIFKDS-UHFFFAOYSA-N 0 3 315.848 4.439 20 0 DIADHN CCn1cncc1CN1CCC(Cc2cccc(Cl)c2)CC1 ZINC001237588779 1055479773 /nfs/dbraw/zinc/47/97/73/1055479773.db2.gz XSBZCBHILSMZAW-UHFFFAOYSA-N 0 3 317.864 4.011 20 0 DIADHN Cc1c(CN2CCCC3(C2)OCCO3)cccc1-c1ccccc1 ZINC001237586088 1055480178 /nfs/dbraw/zinc/48/01/78/1055480178.db2.gz UOZFVOZZMWDGDR-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN CN(Cc1cccc(F)c1)Cc1ccc2ncnc(Cl)c2c1 ZINC001237592535 1055482526 /nfs/dbraw/zinc/48/25/26/1055482526.db2.gz QGMVEDGDUWBCBK-UHFFFAOYSA-N 0 3 315.779 4.054 20 0 DIADHN CCn1cncc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001237591760 1055482692 /nfs/dbraw/zinc/48/26/92/1055482692.db2.gz YPXLRIODKLSJIB-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN CCOc1ccc(CN(C)CCc2cccc(F)c2)c(F)c1 ZINC001237633154 1055490509 /nfs/dbraw/zinc/49/05/09/1055490509.db2.gz QJZGMRVRYBUTCF-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN CC(C)CSCCCNCc1cscc1Br ZINC001620863529 1055491651 /nfs/dbraw/zinc/49/16/51/1055491651.db2.gz STXZLNSNWIUAKI-UHFFFAOYSA-N 0 3 322.337 4.380 20 0 DIADHN CCc1n[nH]cc1CN1CCC(Cc2cccc(Cl)c2)CC1 ZINC001237651356 1055492923 /nfs/dbraw/zinc/49/29/23/1055492923.db2.gz KECBZFATVLOJDG-UHFFFAOYSA-N 0 3 317.864 4.080 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNCC1(C2CC2)CC1 ZINC001620870567 1055497819 /nfs/dbraw/zinc/49/78/19/1055497819.db2.gz MOUBVWVHRNOGJV-UHFFFAOYSA-N 0 3 315.848 4.114 20 0 DIADHN CCC1(CC)[C@H](NCc2cccc(OC3CC3)c2F)C[C@@H]1OC ZINC001620872669 1055500063 /nfs/dbraw/zinc/50/00/63/1055500063.db2.gz BBBLNKOLBZQCLJ-SJORKVTESA-N 0 3 321.436 4.050 20 0 DIADHN COc1cc(C)c(CN2CCC(C(F)(F)F)CC2)c(C)c1 ZINC001142929624 1055501315 /nfs/dbraw/zinc/50/13/15/1055501315.db2.gz BKQQWROYYSLKIX-UHFFFAOYSA-N 0 3 301.352 4.086 20 0 DIADHN Cc1cccc([C@H](C)NCc2cnn(-c3ccc(F)cc3)c2)c1 ZINC001620873586 1055502058 /nfs/dbraw/zinc/50/20/58/1055502058.db2.gz IQGHVFUCCWGITC-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1nccc2c1CCCC2 ZINC001620876860 1055503436 /nfs/dbraw/zinc/50/34/36/1055503436.db2.gz LKDRGKZMWMYFRD-BTYIYWSLSA-N 0 3 306.453 4.374 20 0 DIADHN Cc1cc(C)c(Cl)c(CN2CCC(OC3CCC3)CC2)c1 ZINC001237696299 1055506507 /nfs/dbraw/zinc/50/65/07/1055506507.db2.gz CRWVHQOGOJWWHL-UHFFFAOYSA-N 0 3 307.865 4.490 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(c2cccnc2)CC1 ZINC001237732975 1055515304 /nfs/dbraw/zinc/51/53/04/1055515304.db2.gz ASJKDCDTSAFYOQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CNCc1ccc(Oc2ccccc2)o1 ZINC001620890123 1055515584 /nfs/dbraw/zinc/51/55/84/1055515584.db2.gz YPAKIKVYDYXCPM-SFHVURJKSA-N 0 3 317.429 4.205 20 0 DIADHN Cc1c2ccccc2oc1C(=O)Nc1ccccc1CN(C)C ZINC000024643593 1055516409 /nfs/dbraw/zinc/51/64/09/1055516409.db2.gz BIXHYEJXMXMWNQ-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2cccc(CC3CCC3)c2)C1 ZINC001620892413 1055517129 /nfs/dbraw/zinc/51/71/29/1055517129.db2.gz GRJFTNQPZNOCRX-QFIPXVFZSA-N 0 3 320.480 4.306 20 0 DIADHN Cc1cccc(NC(=O)CN(Cc2ccccc2)[C@@H](C)C2CC2)c1 ZINC000024672828 1055518670 /nfs/dbraw/zinc/51/86/70/1055518670.db2.gz RHELZYDMHOQHMQ-KRWDZBQOSA-N 0 3 322.452 4.234 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1c2c(nn1C)CCCC2 ZINC001620894521 1055519495 /nfs/dbraw/zinc/51/94/95/1055519495.db2.gz VNJVAYDDVHQDBT-QVKFZJNVSA-N 0 3 323.484 4.102 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC[C@H](Oc2ccncc2)C1 ZINC001237733954 1055520125 /nfs/dbraw/zinc/52/01/25/1055520125.db2.gz XUDUJCHLGUNPPB-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1nnc(CN[C@H]2CCCC[C@H](c3ccccc3)C2)s1 ZINC001620898344 1055522590 /nfs/dbraw/zinc/52/25/90/1055522590.db2.gz QNEYCBCQBHROHP-HOTGVXAUSA-N 0 3 301.459 4.053 20 0 DIADHN Cc1ccc(F)c(CNC[C@H](C)Oc2ccc(Cl)cc2)c1 ZINC001620900636 1055525199 /nfs/dbraw/zinc/52/51/99/1055525199.db2.gz WJMFDGZHMJEGQK-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Oc1cccc(C2CCN(Cc3cnc(C4CC4)s3)CC2)c1 ZINC001237792586 1055529261 /nfs/dbraw/zinc/52/92/61/1055529261.db2.gz NETCYFNLSSNKRH-UHFFFAOYSA-N 0 3 314.454 4.106 20 0 DIADHN CCCN(CCC)Cc1cccc(OC)c1Br ZINC001237861473 1055544545 /nfs/dbraw/zinc/54/45/45/1055544545.db2.gz IFGBYMHOZYNXOH-UHFFFAOYSA-N 0 3 300.240 4.080 20 0 DIADHN CC[C@@H]1CCC[C@H](NCc2cc(COC(C)(C)C)on2)CC1 ZINC001620907575 1055531329 /nfs/dbraw/zinc/53/13/29/1055531329.db2.gz UCHVTCWMNGQZQD-CABCVRRESA-N 0 3 308.466 4.438 20 0 DIADHN CC1(CN2CC3(CCN3CCCC(F)(F)F)C2)CCCCC1 ZINC001278150601 1055536169 /nfs/dbraw/zinc/53/61/69/1055536169.db2.gz WGNWMNHKLPMTDN-UHFFFAOYSA-N 0 3 318.427 4.059 20 0 DIADHN Cc1cc(F)c(CNCCc2c[nH]c3ccc(F)cc23)c(F)c1 ZINC001620915477 1055536768 /nfs/dbraw/zinc/53/67/68/1055536768.db2.gz FRINBIKQTVVYAS-UHFFFAOYSA-N 0 3 318.342 4.226 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1cc(Cl)ccc1Cl ZINC001620914028 1055537918 /nfs/dbraw/zinc/53/79/18/1055537918.db2.gz GRULMCFDIRUWQA-ZDUSSCGKSA-N 0 3 304.261 4.270 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1ncc(C)cn1 ZINC001237810152 1055538086 /nfs/dbraw/zinc/53/80/86/1055538086.db2.gz LMIYSNCVUGINSB-LJQANCHMSA-N 0 3 311.473 4.408 20 0 DIADHN c1ccc(-c2cccc(CN3CCCc4ccccc4C3)n2)nc1 ZINC001237831694 1055539690 /nfs/dbraw/zinc/53/96/90/1055539690.db2.gz AAHAUZRLBLRXNS-UHFFFAOYSA-N 0 3 315.420 4.092 20 0 DIADHN Cc1ccccc1[C@@H](C)NC[C@@](O)(c1ccccc1)C(F)(F)F ZINC000765685585 1055541412 /nfs/dbraw/zinc/54/14/12/1055541412.db2.gz SGXWXGCBWQNAOX-RHSMWYFYSA-N 0 3 323.358 4.096 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2C(C)C)c1Br ZINC001237868029 1055543320 /nfs/dbraw/zinc/54/33/20/1055543320.db2.gz MUFKXTCFEUTSNW-CYBMUJFWSA-N 0 3 312.251 4.078 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCCc3ccccc3C2)c(F)c1F ZINC001620926581 1055545891 /nfs/dbraw/zinc/54/58/91/1055545891.db2.gz XXFVHJSBICTQTD-ZDUSSCGKSA-N 0 3 323.333 4.280 20 0 DIADHN CCc1ccc([C@@H](O)CNCc2ccc(Cl)cc2Cl)cc1 ZINC001620932362 1055547639 /nfs/dbraw/zinc/54/76/39/1055547639.db2.gz IIRSIRPLNCQKRK-KRWDZBQOSA-N 0 3 324.251 4.379 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CCCC2(CCCC2)C1 ZINC001237877967 1055547988 /nfs/dbraw/zinc/54/79/88/1055547988.db2.gz MJTAKESDFXVWCA-UHFFFAOYSA-N 0 3 313.445 4.175 20 0 DIADHN CCN(Cc1ccc2c(c1)C(C(C)C)=NCC2)Cc1ccccn1 ZINC001237884475 1055550548 /nfs/dbraw/zinc/55/05/48/1055550548.db2.gz BTWASSLJWJDNHA-UHFFFAOYSA-N 0 3 321.468 4.105 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001538168703 1055556291 /nfs/dbraw/zinc/55/62/91/1055556291.db2.gz XTVSQHVJPHLEPE-LMDPOFIKSA-N 0 3 322.537 4.316 20 0 DIADHN CCCCCC(C)(C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001538168398 1055556471 /nfs/dbraw/zinc/55/64/71/1055556471.db2.gz MPQKWQIDGQLLRR-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(COc3ccccc3)cc2)C1 ZINC001237920867 1055556807 /nfs/dbraw/zinc/55/68/07/1055556807.db2.gz NTZYMZGBXUDOFU-FQEVSTJZSA-N 0 3 323.436 4.067 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C(C)(C)C)c(F)c1)N(CC)CC ZINC001593662247 1055557951 /nfs/dbraw/zinc/55/79/51/1055557951.db2.gz JKDJJHXICPAYND-MRXNPFEDSA-N 0 3 308.441 4.182 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc3ccccc3n2C)c2ccccc2O1 ZINC001620944710 1055558999 /nfs/dbraw/zinc/55/89/99/1055558999.db2.gz VBKDSJHBYFMDJX-RDTXWAMCSA-N 0 3 306.409 4.180 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3cccc(F)c3)C2)cc1F ZINC001237955854 1055562677 /nfs/dbraw/zinc/56/26/77/1055562677.db2.gz HSDYJOOBGWZTSF-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc3cccnc32)cc1F ZINC001237956493 1055564008 /nfs/dbraw/zinc/56/40/08/1055564008.db2.gz PNADUCBVTVKBCC-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN Cc1sccc1CN1CCC[C@@H](Sc2ccncc2)C1 ZINC001237972215 1055567243 /nfs/dbraw/zinc/56/72/43/1055567243.db2.gz PINJWTQQAOKLGT-MRXNPFEDSA-N 0 3 304.484 4.208 20 0 DIADHN Cc1sccc1CN1CCC(Oc2cccc(C)c2)CC1 ZINC001237968750 1055568047 /nfs/dbraw/zinc/56/80/47/1055568047.db2.gz RBMCRKCWCACEJT-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN Cc1sccc1CN1CCC(Oc2ccc(C)cc2)CC1 ZINC001237966930 1055568579 /nfs/dbraw/zinc/56/85/79/1055568579.db2.gz QQNFLFUMOWJADE-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN COC(=O)c1cccc([C@@H]2CCCN2Cc2ccsc2C)c1 ZINC001237977128 1055571618 /nfs/dbraw/zinc/57/16/18/1055571618.db2.gz WVNNEWUAYBMYDS-KRWDZBQOSA-N 0 3 315.438 4.180 20 0 DIADHN Oc1ccc(-c2nc(CN3CCC4(CCCC4)C3)cs2)cc1 ZINC001237981544 1055574623 /nfs/dbraw/zinc/57/46/23/1055574623.db2.gz ULCRDIBLZKVWRX-UHFFFAOYSA-N 0 3 314.454 4.282 20 0 DIADHN C[C@H](CCc1ccccc1)CNCc1cc(F)cnc1Cl ZINC001620954518 1055575733 /nfs/dbraw/zinc/57/57/33/1055575733.db2.gz XHLJDRKYZCUFIH-CYBMUJFWSA-N 0 3 306.812 4.233 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1cccc(N2CCCCC2)n1 ZINC001237998327 1055581616 /nfs/dbraw/zinc/58/16/16/1055581616.db2.gz KKYAGIXWWVDGKN-UHFFFAOYSA-N 0 3 323.484 4.483 20 0 DIADHN CC(C)c1ccc(CN[C@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC001620956968 1055581911 /nfs/dbraw/zinc/58/19/11/1055581911.db2.gz PVIUILQYMKCXNC-HXUWFJFHSA-N 0 3 314.448 4.342 20 0 DIADHN CN(Cc1cnc(F)cc1Cl)C12CC3CC(CC(C3)C1)C2 ZINC001238022642 1055586317 /nfs/dbraw/zinc/58/63/17/1055586317.db2.gz GXXZQOUTDDXAKK-UHFFFAOYSA-N 0 3 308.828 4.275 20 0 DIADHN COc1ncc(C2CC2)cc1CN(C)C(C)(C)Cc1ccccc1 ZINC001238030946 1055586898 /nfs/dbraw/zinc/58/68/98/1055586898.db2.gz LSMMGDZCRRDQPG-UHFFFAOYSA-N 0 3 324.468 4.421 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccc(Oc3ccccc3)cc2C)C1 ZINC001238052781 1055595039 /nfs/dbraw/zinc/59/50/39/1055595039.db2.gz IASLLFFEAUCQCZ-GOSISDBHSA-N 0 3 309.409 4.198 20 0 DIADHN Cc1cc(CN2CCC(c3ccn(C)n3)CC2)cc(C)c1Cl ZINC001238088341 1055603895 /nfs/dbraw/zinc/60/38/95/1055603895.db2.gz CYCJBTFIYOIPOM-UHFFFAOYSA-N 0 3 317.864 4.070 20 0 DIADHN Cc1ccc(O)cc1CN1CCC(c2nc3ccccc3o2)CC1 ZINC001238102010 1055605247 /nfs/dbraw/zinc/60/52/47/1055605247.db2.gz YBQLVGHDBIXNTO-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN Cc1cc(CN2CCC(c3ncccn3)CC2)cc(C)c1Cl ZINC001238090271 1055605348 /nfs/dbraw/zinc/60/53/48/1055605348.db2.gz DOCIYILUWOACIT-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN CCOc1ccc(C[C@H](C)CNCc2nc3ccccc3o2)cc1 ZINC001620960519 1055605758 /nfs/dbraw/zinc/60/57/58/1055605758.db2.gz NVBVKOLNLOBOFQ-HNNXBMFYSA-N 0 3 324.424 4.195 20 0 DIADHN Cc1nnc([C@@H]2CCCN(Cc3cc(C)c(Cl)c(C)c3)C2)o1 ZINC001238098282 1055606296 /nfs/dbraw/zinc/60/62/96/1055606296.db2.gz XDVBNMVPPZHFKF-OAHLLOKOSA-N 0 3 319.836 4.028 20 0 DIADHN c1ncn2c1cccc2CN(Cc1ccccn1)C1CCCCC1 ZINC001238119646 1055608605 /nfs/dbraw/zinc/60/86/05/1055608605.db2.gz PEVJJIFLMRAVIH-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1Cc1cccc2cncn21 ZINC001238113830 1055608932 /nfs/dbraw/zinc/60/89/32/1055608932.db2.gz MUALWNTXNOWOQS-SFHVURJKSA-N 0 3 311.816 4.325 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cccc2cncn21 ZINC001238109689 1055609949 /nfs/dbraw/zinc/60/99/49/1055609949.db2.gz ITVPILJHBDQCKD-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN COc1ccccc1C1CCN(Cc2cncc(C3CC3)c2)CC1 ZINC001238187387 1055615879 /nfs/dbraw/zinc/61/58/79/1055615879.db2.gz JIBGGFLGEHJFBD-UHFFFAOYSA-N 0 3 322.452 4.347 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(F)cc2Cl)c1O ZINC000076761622 1055618278 /nfs/dbraw/zinc/61/82/78/1055618278.db2.gz OGGVHNIIUSNCLA-SNVBAGLBSA-N 0 3 309.768 4.044 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc(-c2cc[nH]n2)c1 ZINC001238204955 1055620730 /nfs/dbraw/zinc/62/07/30/1055620730.db2.gz XAMAOMZHZIISKU-HNNXBMFYSA-N 0 3 321.424 4.278 20 0 DIADHN CCN(CC)[C@@H](CNc1nc2ccccc2o1)c1ccsc1 ZINC000029622896 1055621351 /nfs/dbraw/zinc/62/13/51/1055621351.db2.gz FFTJTEASJWLBJI-HNNXBMFYSA-N 0 3 315.442 4.384 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@@H](Oc3ccncc3)C2)c1C ZINC001238220896 1055624317 /nfs/dbraw/zinc/62/43/17/1055624317.db2.gz YJSPKEOCMSOUKC-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@]1(C)CCC[C@H]1C)c1ccsc1 ZINC001595729067 1055626447 /nfs/dbraw/zinc/62/64/47/1055626447.db2.gz ANBWCQQNZUBHHW-QGPMSJSTSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN(C)C[C@@H]1CCCCO1 ZINC001238254436 1055629959 /nfs/dbraw/zinc/62/99/59/1055629959.db2.gz AGQFYHYBVRCYSX-ZDUSSCGKSA-N 0 3 302.245 4.303 20 0 DIADHN C[C@@H](NC(=O)CN(C)CC1CCCCC1)c1ccccc1Cl ZINC000030971754 1055632973 /nfs/dbraw/zinc/63/29/73/1055632973.db2.gz DQFUFHQECGSGDC-CQSZACIVSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@](C)(O)[C@H](C)C1 ZINC001238265436 1055633498 /nfs/dbraw/zinc/63/34/98/1055633498.db2.gz ZELSYLWBRPUVSL-WBMJQRKESA-N 0 3 316.272 4.285 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CCc1cc2ccccc2o1 ZINC000031232183 1055636405 /nfs/dbraw/zinc/63/64/05/1055636405.db2.gz PDCBRLNMDTYTTN-UHFFFAOYSA-N 0 3 322.408 4.066 20 0 DIADHN CC[C@H](NC[C@H](OC)c1ccccc1)c1ccc(F)cc1F ZINC000338572658 1055642262 /nfs/dbraw/zinc/64/22/62/1055642262.db2.gz WYTWMZYRWQWHFK-ROUUACIJSA-N 0 3 305.368 4.393 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccncc3)C2)c(Cl)c1 ZINC001238398436 1055651128 /nfs/dbraw/zinc/65/11/28/1055651128.db2.gz BFTYNBBSMNQLAO-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN Cn1nccc1CNc1ccc2nc(CC3CCCCC3)[nH]c2c1 ZINC001620963746 1055653752 /nfs/dbraw/zinc/65/37/52/1055653752.db2.gz LOMFGEPJFSEMOA-UHFFFAOYSA-N 0 3 323.444 4.031 20 0 DIADHN CCOc1ccc(CN(C)CC2CC(F)(F)C2)c(Cl)c1 ZINC001238403887 1055653875 /nfs/dbraw/zinc/65/38/75/1055653875.db2.gz SGNCIYMMSYYYJC-UHFFFAOYSA-N 0 3 303.780 4.216 20 0 DIADHN Cc1cc(CN(C)CCc2ccccc2Cl)ncc1Cl ZINC001238418703 1055655414 /nfs/dbraw/zinc/65/54/14/1055655414.db2.gz QNDMDRFXDIKNRV-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CC(C)Oc1cccc(CN(C)CCc2ccccc2)c1F ZINC001238422227 1055656360 /nfs/dbraw/zinc/65/63/60/1055656360.db2.gz MXHRGFPPPZIVGL-UHFFFAOYSA-N 0 3 301.405 4.287 20 0 DIADHN Cc1cnc(CN2CCC3(CCc4ccccc4O3)CC2)c(C)c1 ZINC001238441311 1055660615 /nfs/dbraw/zinc/66/06/15/1055660615.db2.gz PJHQDVUXEDLLDX-UHFFFAOYSA-N 0 3 322.452 4.058 20 0 DIADHN CC(C)Oc1ccc(CN(C)CC2CC(F)(F)C2)c(F)c1 ZINC001238452578 1055663097 /nfs/dbraw/zinc/66/30/97/1055663097.db2.gz ZFRFTWRPFXGIGL-UHFFFAOYSA-N 0 3 301.352 4.090 20 0 DIADHN CC(C)Oc1cc(CN2C[C@H]3[C@H](CCC3(F)F)C2)ccc1F ZINC001238454514 1055663599 /nfs/dbraw/zinc/66/35/99/1055663599.db2.gz OYPINANVRGXWGJ-KGLIPLIRSA-N 0 3 313.363 4.090 20 0 DIADHN CC(C)Oc1cncc(CN2CCC[C@@H](Cc3ccccc3)C2)c1 ZINC001238466731 1055664916 /nfs/dbraw/zinc/66/49/16/1055664916.db2.gz NMYYLNMNVLODQD-IBGZPJMESA-N 0 3 324.468 4.324 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3ccc(Cl)c(C)c3F)C[C@@H]21 ZINC001238513658 1055675297 /nfs/dbraw/zinc/67/52/97/1055675297.db2.gz BUXFXIWQNFSKHV-INWMFGNUSA-N 0 3 311.828 4.034 20 0 DIADHN Cc1cc(CN2CC3(C2)CCOCC3)ccc1Oc1ccccc1 ZINC001238521872 1055678016 /nfs/dbraw/zinc/67/80/16/1055678016.db2.gz UYGLZBQCDFPLJL-UHFFFAOYSA-N 0 3 323.436 4.400 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(Oc3ccccc3)c(C)c2)C1 ZINC001238523527 1055678114 /nfs/dbraw/zinc/67/81/14/1055678114.db2.gz MDGSFZYZGDKLGW-MRXNPFEDSA-N 0 3 311.425 4.254 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(Oc3ccc(F)cc3)c(C)c2)C1 ZINC001238519722 1055679029 /nfs/dbraw/zinc/67/90/29/1055679029.db2.gz LODLSEYRVKRBLM-SFHVURJKSA-N 0 3 315.388 4.147 20 0 DIADHN CC(C)Oc1c(F)ccc(CN(C)CC2CC(F)(F)C2)c1F ZINC001238530328 1055680250 /nfs/dbraw/zinc/68/02/50/1055680250.db2.gz UXVUBTWMPOLMME-UHFFFAOYSA-N 0 3 319.342 4.229 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2CCC(C)=C(C)C2)c1 ZINC001596233005 1055685342 /nfs/dbraw/zinc/68/53/42/1055685342.db2.gz KQWTUTCVFQLFEK-QGZVFWFLSA-N 0 3 300.446 4.132 20 0 DIADHN Fc1ccc(CN2CCCC3(COC3)C2)cc1-c1ccccc1 ZINC001238559605 1055686600 /nfs/dbraw/zinc/68/66/00/1055686600.db2.gz DBAVRAIISBSYAN-UHFFFAOYSA-N 0 3 311.400 4.105 20 0 DIADHN Fc1ccc(CN2CC[C@]3(CCCO3)C2)cc1-c1ccccc1 ZINC001238561637 1055686854 /nfs/dbraw/zinc/68/68/54/1055686854.db2.gz OOTZHDFFUOZNRT-HXUWFJFHSA-N 0 3 311.400 4.248 20 0 DIADHN C[C@H]1COCCN(Cc2ccccc2-c2ccc(F)cc2F)C1 ZINC001238578999 1055689106 /nfs/dbraw/zinc/68/91/06/1055689106.db2.gz BWCAGNCUZOZNAL-CQSZACIVSA-N 0 3 317.379 4.100 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccccc2-c2ccc(F)cc2F)C1 ZINC001238586913 1055692160 /nfs/dbraw/zinc/69/21/60/1055692160.db2.gz JKUVRMVNTOQKLI-ZDUSSCGKSA-N 0 3 317.379 4.099 20 0 DIADHN COc1cc(CN2CC[C@H]3CCC[C@@H]3C2)c(-c2ccccc2)cn1 ZINC001238599016 1055694150 /nfs/dbraw/zinc/69/41/50/1055694150.db2.gz DXRPVPFZQAEGMF-SJLPKXTDSA-N 0 3 322.452 4.379 20 0 DIADHN Cc1cc(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)cnc1-c1ccccc1 ZINC001238610055 1055696569 /nfs/dbraw/zinc/69/65/69/1055696569.db2.gz UGODACGWQJIYEY-FFZOFVMBSA-N 0 3 322.452 4.056 20 0 DIADHN COc1cc(CN2CC3CCC(CC3)C2)c(-c2ccccc2)cn1 ZINC001238608681 1055696846 /nfs/dbraw/zinc/69/68/46/1055696846.db2.gz XFUOKRROIUGFSL-UHFFFAOYSA-N 0 3 322.452 4.379 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@@H]3OCCC[C@H]3C2)c1 ZINC001238618013 1055697918 /nfs/dbraw/zinc/69/79/18/1055697918.db2.gz FPZGQXGISFLXED-ICSRJNTNSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CCC3(CCOC3)CC2)c1 ZINC001238616012 1055698146 /nfs/dbraw/zinc/69/81/46/1055698146.db2.gz BEZLBKDFKHAJJU-UHFFFAOYSA-N 0 3 322.452 4.060 20 0 DIADHN Cc1cc(CN2CCC(Cc3ccncc3)CC2)cnc1C1CC1 ZINC001238620684 1055701149 /nfs/dbraw/zinc/70/11/49/1055701149.db2.gz AUJYUZIYWPATOT-UHFFFAOYSA-N 0 3 321.468 4.117 20 0 DIADHN Cc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)cnc1C1CC1 ZINC001238627719 1055704478 /nfs/dbraw/zinc/70/44/78/1055704478.db2.gz KEROPCGKXPTTJS-QGZVFWFLSA-N 0 3 302.437 4.372 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC(C)(C)C[C@]1(C)CO ZINC001238673088 1055715162 /nfs/dbraw/zinc/71/51/62/1055715162.db2.gz SWNYWEOCBNAZRR-MRXNPFEDSA-N 0 3 313.894 4.045 20 0 DIADHN COc1ccc(-c2ccc(F)cc2OC)cc1CN(C)C1CC1 ZINC001238646122 1055709421 /nfs/dbraw/zinc/70/94/21/1055709421.db2.gz VVLBBTKGVYGKCI-UHFFFAOYSA-N 0 3 315.388 4.104 20 0 DIADHN CCOc1cccc(CN2C[C@H]3CCC[C@@H]3C2)c1Br ZINC001238694727 1055722119 /nfs/dbraw/zinc/72/21/19/1055722119.db2.gz YSOCKXAFFYSQJG-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001238673103 1055714665 /nfs/dbraw/zinc/71/46/65/1055714665.db2.gz TTZMNHZSYCCFDB-DOMZBBRYSA-N 0 3 311.878 4.063 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC001238673109 1055717100 /nfs/dbraw/zinc/71/71/00/1055717100.db2.gz TTZMNHZSYCCFDB-WFASDCNBSA-N 0 3 311.878 4.063 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCC23CC3)c2ccccc2)nc1 ZINC000624315239 1055718916 /nfs/dbraw/zinc/71/89/16/1055718916.db2.gz ZEVCNNYECAICLQ-VQIMIIECSA-N 0 3 310.416 4.480 20 0 DIADHN CSc1cc(C)cc(CN2CCC3(CCC(=O)CC3)CC2)c1 ZINC001238683466 1055721284 /nfs/dbraw/zinc/72/12/84/1055721284.db2.gz ULCHTGKOCFQTAS-UHFFFAOYSA-N 0 3 317.498 4.442 20 0 DIADHN CCOc1cccc(CN2CC[C@@H](CC)C2)c1Br ZINC001238689931 1055724051 /nfs/dbraw/zinc/72/40/51/1055724051.db2.gz MVGSGYCEQRZUPR-GFCCVEGCSA-N 0 3 312.251 4.080 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000624314798 1055724350 /nfs/dbraw/zinc/72/43/50/1055724350.db2.gz PSAUPBXCQTVYET-LPHOPBHVSA-N 0 3 310.416 4.480 20 0 DIADHN CCCCCCc1ccc(CN2C[C@H]3CC[C@@H](C2)C3=O)s1 ZINC001238703538 1055724722 /nfs/dbraw/zinc/72/47/22/1055724722.db2.gz OZJWSINLIJUJEE-GASCZTMLSA-N 0 3 305.487 4.282 20 0 DIADHN CCOc1ccc(C)c(CN2CC[C@](CF)(C(F)(F)F)C2)c1 ZINC001238704690 1055727553 /nfs/dbraw/zinc/72/75/53/1055727553.db2.gz LALHBLSGACVMHT-OAHLLOKOSA-N 0 3 319.342 4.118 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cccc(OC(F)(F)F)c1 ZINC000105486245 1055728808 /nfs/dbraw/zinc/72/88/08/1055728808.db2.gz IZUGMWLZIPMCBM-HNNXBMFYSA-N 0 3 323.314 4.068 20 0 DIADHN CCOc1ccc(C)c(CN2CCC[C@H](C(F)(F)F)C2)c1 ZINC001238715525 1055731129 /nfs/dbraw/zinc/73/11/29/1055731129.db2.gz CUJPPKVNSLTQKC-AWEZNQCLSA-N 0 3 301.352 4.168 20 0 DIADHN CSc1ncc(C)cc1CN(C)CCCc1ccccc1 ZINC001238717407 1055731462 /nfs/dbraw/zinc/73/14/62/1055731462.db2.gz SNNUASGEQDUYKU-UHFFFAOYSA-N 0 3 300.471 4.177 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3ccc(C(C)C)nc3Cl)C[C@@H]21 ZINC001238727075 1055734695 /nfs/dbraw/zinc/73/46/95/1055734695.db2.gz MDVDHHXFNLJXQN-UKPHBRMFSA-N 0 3 322.880 4.105 20 0 DIADHN COc1c(CN2CC3CC(C3)C2)cccc1OCc1ccccc1 ZINC001238749089 1055738983 /nfs/dbraw/zinc/73/89/83/1055738983.db2.gz HUXHOLNPTBJYTM-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN Cc1cc(CN2CC[C@H](C(F)(F)F)C2)cc(C)c1OC(C)C ZINC001238751804 1055740759 /nfs/dbraw/zinc/74/07/59/1055740759.db2.gz LIKGVOSJOJCVDL-HNNXBMFYSA-N 0 3 315.379 4.475 20 0 DIADHN CCCCCCN(C)CC(=O)N(CC)[C@@H](C)c1ccc(F)cc1 ZINC001596734047 1055740793 /nfs/dbraw/zinc/74/07/93/1055740793.db2.gz VUOGPXXBNBUNRP-INIZCTEOSA-N 0 3 322.468 4.247 20 0 DIADHN Cc1cc(CN2CC[C@H]3CCCO[C@H]3C2)cc(C)c1OC(C)C ZINC001238753634 1055742168 /nfs/dbraw/zinc/74/21/68/1055742168.db2.gz ONXLJWFUBBPIJN-MOPGFXCFSA-N 0 3 317.473 4.092 20 0 DIADHN CC[C@H]1CCCCN1Cc1cccnc1OCc1ccccc1 ZINC001238775772 1055747666 /nfs/dbraw/zinc/74/76/66/1055747666.db2.gz DJKPUVZFIHCHRF-IBGZPJMESA-N 0 3 310.441 4.425 20 0 DIADHN CC[C@H]1CCCN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238777594 1055748136 /nfs/dbraw/zinc/74/81/36/1055748136.db2.gz LKIDARMKDLGTJF-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238777386 1055748281 /nfs/dbraw/zinc/74/82/81/1055748281.db2.gz KMQSFKIGBYEKCW-IRXDYDNUSA-N 0 3 310.441 4.139 20 0 DIADHN CCCCCCC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001566207732 1055750104 /nfs/dbraw/zinc/75/01/04/1055750104.db2.gz HJZJPYQQBVPYSG-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)Cc1ccsc1)CC(C)(C)C ZINC001596852255 1055754712 /nfs/dbraw/zinc/75/47/12/1055754712.db2.gz VPTMXRZLSSPQAG-HNNXBMFYSA-N 0 3 324.534 4.101 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc2c(c1)OCCCO2 ZINC001649048239 1055756382 /nfs/dbraw/zinc/75/63/82/1055756382.db2.gz UNDXOJFMHDRQKU-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)(C)C(=O)Nc1ccc(CN)nc1-c1ccc(C2CC2)cc1 ZINC001238829258 1055756888 /nfs/dbraw/zinc/75/68/88/1055756888.db2.gz FHXMOODWDZWMBP-UHFFFAOYSA-N 0 3 323.440 4.069 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001597081223 1055784273 /nfs/dbraw/zinc/78/42/73/1055784273.db2.gz HWDDQGRYNBZQTJ-QGZVFWFLSA-N 0 3 310.526 4.029 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000624329105 1055789339 /nfs/dbraw/zinc/78/93/39/1055789339.db2.gz MFSKRUCIBONAPI-IAGOWNOFSA-N 0 3 303.837 4.192 20 0 DIADHN Cc1cc([C@H](C)NCCc2c[nH]c3c2cccc3Cl)no1 ZINC000624331819 1055800319 /nfs/dbraw/zinc/80/03/19/1055800319.db2.gz KKALRGHXWBCUHS-NSHDSACASA-N 0 3 303.793 4.011 20 0 DIADHN Brc1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000058474381 1055801673 /nfs/dbraw/zinc/80/16/73/1055801673.db2.gz JXGQGYLJVZWLFV-UHFFFAOYSA-N 0 3 315.214 4.220 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nc(C(C)C)no1 ZINC000058524052 1055804865 /nfs/dbraw/zinc/80/48/65/1055804865.db2.gz OSFSYJUZZDYWEN-IAGOWNOFSA-N 0 3 313.445 4.351 20 0 DIADHN CCCCOc1ncc(-c2ccc3c(N)ccnc3c2)cc1C ZINC001238964289 1055806161 /nfs/dbraw/zinc/80/61/61/1055806161.db2.gz IHYPZHQCMORAJQ-UHFFFAOYSA-N 0 3 307.397 4.366 20 0 DIADHN Cc1ccc(CN2CCC(c3c[nH]c4ccc(F)cc43)CC2)nc1 ZINC000347556355 1055816816 /nfs/dbraw/zinc/81/68/16/1055816816.db2.gz LPCYXIKCRJGFHW-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cc(NC(=O)[C@@H](C)N2CCCCCC2)ccc1C1CC1 ZINC001567795812 1055819981 /nfs/dbraw/zinc/81/99/81/1055819981.db2.gz VWWYNXMKBIABPE-OAHLLOKOSA-N 0 3 300.446 4.075 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@H](c2ccnn2C)C1 ZINC000060479132 1055840088 /nfs/dbraw/zinc/84/00/88/1055840088.db2.gz NTKWQUPBPDJGGX-JSGCOSHPSA-N 0 3 321.827 4.153 20 0 DIADHN Cc1cccc(NC(=O)c2cccc(CN3CCCC3)c2)c1C ZINC000060549295 1055841362 /nfs/dbraw/zinc/84/13/62/1055841362.db2.gz OYXYCGYLGZIGQU-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN CCCCc1ccc(NC(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC001239187613 1055843244 /nfs/dbraw/zinc/84/32/44/1055843244.db2.gz IIDORCBJYGOIOS-UHFFFAOYSA-N 0 3 309.413 4.276 20 0 DIADHN CCc1cccc(CC)c1NC(=O)Nc1cccc2c1CNC2 ZINC001239191056 1055845999 /nfs/dbraw/zinc/84/59/99/1055845999.db2.gz AAIZUFISZHBTNA-UHFFFAOYSA-N 0 3 309.413 4.059 20 0 DIADHN CCCCCCN(C)CC(=O)N([C@@H](C)c1ccccc1)C1CC1 ZINC001568820885 1055846064 /nfs/dbraw/zinc/84/60/64/1055846064.db2.gz MWACZQYXSOBKGV-KRWDZBQOSA-N 0 3 316.489 4.251 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)Nc2cccc3c2CNC3)cc1 ZINC001239191058 1055846345 /nfs/dbraw/zinc/84/63/45/1055846345.db2.gz AFEFNRZKIOHOKZ-UHFFFAOYSA-N 0 3 309.413 4.231 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)c1ccccc1C(C)C ZINC001568921910 1055849153 /nfs/dbraw/zinc/84/91/53/1055849153.db2.gz YIOVYKNRGBDISW-UHFFFAOYSA-N 0 3 316.489 4.148 20 0 DIADHN Fc1cccc(F)c1CNCCCn1ccc2ccccc21 ZINC000060829208 1055851446 /nfs/dbraw/zinc/85/14/46/1055851446.db2.gz PPIMABWSVMAZEM-UHFFFAOYSA-N 0 3 300.352 4.099 20 0 DIADHN c1coc(-c2noc(CN3CCC[C@@H]3CC3CCCCC3)n2)c1 ZINC000060892768 1055854514 /nfs/dbraw/zinc/85/45/14/1055854514.db2.gz SFAWPTLHKYLSHU-OAHLLOKOSA-N 0 3 315.417 4.264 20 0 DIADHN CC[C@H](CC(=O)Nc1cc(CN(C)C)ccc1OC)C(C)(C)C ZINC001539590798 1055867139 /nfs/dbraw/zinc/86/71/39/1055867139.db2.gz LCNSVSBDRCLXGW-OAHLLOKOSA-N 0 3 320.477 4.158 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)Nc1cnccc1NC(CC)CC ZINC001569753220 1055871613 /nfs/dbraw/zinc/87/16/13/1055871613.db2.gz MBSDINUTKZUOCU-ZBFHGGJFSA-N 0 3 317.477 4.259 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C=C(C)c1ccccc1 ZINC001569753013 1055872241 /nfs/dbraw/zinc/87/22/41/1055872241.db2.gz IOKWZVHOJBVNJB-SQFISAMPSA-N 0 3 323.440 4.146 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N(C)[C@H]1CCCN(C)C1 ZINC001539624202 1055872741 /nfs/dbraw/zinc/87/27/41/1055872741.db2.gz KZQACVLYZXLFHA-HKUYNNGSSA-N 0 3 310.526 4.316 20 0 DIADHN Cc1nc(-c2cccc(C(=O)C(F)(F)F)c2)cc2[nH]ccc21 ZINC001239375168 1055882620 /nfs/dbraw/zinc/88/26/20/1055882620.db2.gz NUHNYTUMSGNRQO-UHFFFAOYSA-N 0 3 304.271 4.283 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC4(CCC4)CC3)on2)cc1 ZINC000671729091 1055886482 /nfs/dbraw/zinc/88/64/82/1055886482.db2.gz QFDWEIDMJCVWDU-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN c1ccc(SCCNCc2ccccc2OCC2CC2)cc1 ZINC000063508777 1055893896 /nfs/dbraw/zinc/89/38/96/1055893896.db2.gz VGEUHBROGZNPCU-UHFFFAOYSA-N 0 3 313.466 4.357 20 0 DIADHN CCC1CCC(N(Cc2ncc(CN(C)C)s2)C2CC2)CC1 ZINC000347620025 1055894650 /nfs/dbraw/zinc/89/46/50/1055894650.db2.gz XNLYIFBEWQRRFL-UHFFFAOYSA-N 0 3 321.534 4.138 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)Nc2ccc(CN(C)C)cc2F)CC1 ZINC001570312838 1055897596 /nfs/dbraw/zinc/89/75/96/1055897596.db2.gz ZWKYUVDFGKCJJF-WKILWMFISA-N 0 3 320.452 4.288 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1cc(Cl)c2c(c1)OCCCO2 ZINC000063618602 1055897934 /nfs/dbraw/zinc/89/79/34/1055897934.db2.gz YLGPOSIIHGBFBP-OAHLLOKOSA-N 0 3 309.837 4.122 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N(C)CC2CCCC2)c(Cl)c1 ZINC000064020551 1055908782 /nfs/dbraw/zinc/90/87/82/1055908782.db2.gz WOLSUWGACVEWOD-AWEZNQCLSA-N 0 3 322.880 4.406 20 0 DIADHN CN(CC(=O)Nc1ccccc1-c1ccccc1)CC1CCCC1 ZINC000064021403 1055909144 /nfs/dbraw/zinc/90/91/44/1055909144.db2.gz KSFXGZXYJASPDR-UHFFFAOYSA-N 0 3 322.452 4.414 20 0 DIADHN COc1cccc(CN(C)[C@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000064030848 1055909374 /nfs/dbraw/zinc/90/93/74/1055909374.db2.gz XBJGSNVUTKYLPI-CYBMUJFWSA-N 0 3 321.367 4.490 20 0 DIADHN CC[C@@H](C)C[C@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001620981266 1055915318 /nfs/dbraw/zinc/91/53/18/1055915318.db2.gz JXSXOAYDIHBTCL-CHWSQXEVSA-N 0 3 323.383 4.112 20 0 DIADHN CC[C@H](C)C[C@@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001620981408 1055919162 /nfs/dbraw/zinc/91/91/62/1055919162.db2.gz OPLZMXZZNBKSMA-LRDDRELGSA-N 0 3 320.864 4.083 20 0 DIADHN COc1ccc(-c2cccc(C(F)(F)F)c2)cc1CN(C)C ZINC001239516169 1055923681 /nfs/dbraw/zinc/92/36/81/1055923681.db2.gz ZBDLKECXEREYOJ-UHFFFAOYSA-N 0 3 309.331 4.443 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccc2[nH]c(C)c(C)c2c1 ZINC001620753328 1055926670 /nfs/dbraw/zinc/92/66/70/1055926670.db2.gz GZDFHUDXOZGSDZ-UHFFFAOYSA-N 0 3 307.441 4.425 20 0 DIADHN CC(C)n1c(CNCCCOC2CCCC2)nc2ccccc21 ZINC001620756954 1055927846 /nfs/dbraw/zinc/92/78/46/1055927846.db2.gz RICHKIRSJIWWHA-UHFFFAOYSA-N 0 3 315.461 4.056 20 0 DIADHN Cc1ccccc1C1(CNCc2ccn(-c3ccccc3)n2)CC1 ZINC001620762569 1055932637 /nfs/dbraw/zinc/93/26/37/1055932637.db2.gz CKVXKPLQALTVSK-UHFFFAOYSA-N 0 3 317.436 4.002 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCCOc3ccccc32)c(F)c1 ZINC001620762643 1055933008 /nfs/dbraw/zinc/93/30/08/1055933008.db2.gz HECUQNHOXSFRJP-LKIHTYOGSA-N 0 3 315.363 4.482 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671744669 1055935491 /nfs/dbraw/zinc/93/54/91/1055935491.db2.gz OIXOITPLRSHQSP-ABAIWWIYSA-N 0 3 314.360 4.474 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671744147 1055939061 /nfs/dbraw/zinc/93/90/61/1055939061.db2.gz KHXYSHGZMKTHOJ-BONVTDFDSA-N 0 3 312.344 4.011 20 0 DIADHN C[C@@H](N[C@H]1CCCOc2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671745101 1055940136 /nfs/dbraw/zinc/94/01/36/1055940136.db2.gz LUZYTVHVIZGLGY-DOMZBBRYSA-N 0 3 324.380 4.098 20 0 DIADHN C[C@H](N[C@@H]1CCCOc2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671745103 1055940734 /nfs/dbraw/zinc/94/07/34/1055940734.db2.gz LUZYTVHVIZGLGY-SWLSCSKDSA-N 0 3 324.380 4.098 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)CC(=O)OC(C)(C)C)oc2cc1C ZINC001620775790 1055941995 /nfs/dbraw/zinc/94/19/95/1055941995.db2.gz QJOSELKHLXAKDG-AWEZNQCLSA-N 0 3 317.429 4.260 20 0 DIADHN Fc1ccc2oc(CNCCCc3c[nH]c4ccccc34)nc2c1 ZINC001620775339 1055942461 /nfs/dbraw/zinc/94/24/61/1055942461.db2.gz WFSJYCNLQXCHHY-UHFFFAOYSA-N 0 3 323.371 4.171 20 0 DIADHN CCN(Cc1ncc(-c2ccc(OC)cc2)o1)C1CCCC1 ZINC000065281260 1055943801 /nfs/dbraw/zinc/94/38/01/1055943801.db2.gz MPGZXRVSUHCWRY-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@@H]2CCCC[C@@H]2C)co1 ZINC001617217632 1055944297 /nfs/dbraw/zinc/94/42/97/1055944297.db2.gz UAFBTILCMOJTHJ-WMLDXEAASA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@@H](C)C(=O)NC(C)(C)C)c2)cc1 ZINC001620777937 1055946363 /nfs/dbraw/zinc/94/63/63/1055946363.db2.gz RWACVLPWZMXZEZ-INIZCTEOSA-N 0 3 324.468 4.055 20 0 DIADHN Cc1cc(C)c(-c2cccc3cc([C@@H]4CNCCO4)cn32)c(C)c1 ZINC001239549801 1055947424 /nfs/dbraw/zinc/94/74/24/1055947424.db2.gz IHCREZYXUSMCEQ-FQEVSTJZSA-N 0 3 320.436 4.192 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@H](CC(C)(C)C)C2)c1 ZINC001618599502 1055952470 /nfs/dbraw/zinc/95/24/70/1055952470.db2.gz MUWIQWBEGPJOEX-CYBMUJFWSA-N 0 3 323.864 4.385 20 0 DIADHN COC[C@H](CC(C)(C)C)NCc1cscc1Br ZINC001620986251 1055958608 /nfs/dbraw/zinc/95/86/08/1055958608.db2.gz JFRMACRXQZDDQI-NSHDSACASA-N 0 3 320.296 4.051 20 0 DIADHN CC1(CNCc2nc3cc(Br)ccc3o2)CCCC1 ZINC001620785229 1055958925 /nfs/dbraw/zinc/95/89/25/1055958925.db2.gz QFTPNVMEUFCTRI-UHFFFAOYSA-N 0 3 323.234 4.260 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@@H]1CCOc2ccccc21 ZINC001620786239 1055960423 /nfs/dbraw/zinc/96/04/23/1055960423.db2.gz BPGYXYXAWBIRAX-NSHDSACASA-N 0 3 323.223 4.044 20 0 DIADHN Clc1cc(CN[C@H](C2CC2)[C@H]2CCCCO2)c(Cl)s1 ZINC001620987672 1055960406 /nfs/dbraw/zinc/96/04/06/1055960406.db2.gz CQRMHDFMBTTXJL-DGCLKSJQSA-N 0 3 320.285 4.492 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@H]1OCCc2ccccc21 ZINC001620789492 1055965081 /nfs/dbraw/zinc/96/50/81/1055965081.db2.gz YGFDWWIJJIJYLB-GOSISDBHSA-N 0 3 313.441 4.289 20 0 DIADHN CN(C)c1ccncc1CNCc1ccc(-c2cccs2)cc1 ZINC001620997854 1055967371 /nfs/dbraw/zinc/96/73/71/1055967371.db2.gz AYWJXPFNMBBVMG-UHFFFAOYSA-N 0 3 323.465 4.166 20 0 DIADHN C[C@H](CN(C)[C@@H]1CCN(c2ccc(Cl)cc2)C1=O)C(C)(C)C ZINC001620563923 1055967373 /nfs/dbraw/zinc/96/73/73/1055967373.db2.gz XDRNRMXKLZJTSC-CZUORRHYSA-N 0 3 322.880 4.059 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN([C@H](C)c1ccccc1C)CC2 ZINC001620564748 1055967886 /nfs/dbraw/zinc/96/78/86/1055967886.db2.gz RNYVMKDMXCDSDN-OAHLLOKOSA-N 0 3 308.425 4.073 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751599 1055968413 /nfs/dbraw/zinc/96/84/13/1055968413.db2.gz UYAZRQNHZVELRS-ZUZCIYMTSA-N 0 3 312.344 4.011 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671751552 1055968953 /nfs/dbraw/zinc/96/89/53/1055968953.db2.gz JUJSNQRMPODOPH-LRDDRELGSA-N 0 3 308.381 4.180 20 0 DIADHN C[C@@H]1Cc2n[nH]cc2CN(CC2CCC(c3ccccc3)CC2)C1 ZINC001620582558 1055971810 /nfs/dbraw/zinc/97/18/10/1055971810.db2.gz LWTBOVCOXQOKSO-LRYGQEGESA-N 0 3 323.484 4.378 20 0 DIADHN C[C@H](NCCc1nsc2ccccc12)c1c(F)cccc1F ZINC001620597922 1055972144 /nfs/dbraw/zinc/97/21/44/1055972144.db2.gz KWNIPOGNEIBRDS-NSHDSACASA-N 0 3 318.392 4.468 20 0 DIADHN CC(C)CC[C@@H](CO)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001621006040 1055972712 /nfs/dbraw/zinc/97/27/12/1055972712.db2.gz VSIKETKFPJGNPE-ZDUSSCGKSA-N 0 3 323.383 4.112 20 0 DIADHN Fc1ccc(CNC[C@@H]2C[C@H](c3ccccc3)CO2)c(Cl)c1 ZINC001621007251 1055973883 /nfs/dbraw/zinc/97/38/83/1055973883.db2.gz PDIXOFDVGRHVCY-RDJZCZTQSA-N 0 3 319.807 4.141 20 0 DIADHN Cc1ccc(CCNCc2ccc(F)cc2Br)cc1 ZINC001620796130 1055975096 /nfs/dbraw/zinc/97/50/96/1055975096.db2.gz ANRYNELDXBCLPL-UHFFFAOYSA-N 0 3 322.221 4.229 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC)c(OC)c2)ccc1F ZINC001239618040 1055979394 /nfs/dbraw/zinc/97/93/94/1055979394.db2.gz MQXSMSMQDULKJN-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC)cc2OC)c1F ZINC001239623332 1055983865 /nfs/dbraw/zinc/98/38/65/1055983865.db2.gz KOBZKNSZPBHNNQ-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CO[C@H](CNCc1ccccc1OC1CCCC1)C1CCCC1 ZINC001621017302 1055983861 /nfs/dbraw/zinc/98/38/61/1055983861.db2.gz NJORKCJIAPZJQX-HXUWFJFHSA-N 0 3 317.473 4.303 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cc2ccccc2n1C)c1ccccc1 ZINC001621017410 1055983986 /nfs/dbraw/zinc/98/39/86/1055983986.db2.gz QINTYZZISVNULW-LEWJYISDSA-N 0 3 322.452 4.026 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC001621018188 1055985933 /nfs/dbraw/zinc/98/59/33/1055985933.db2.gz OIZTYJQJIAJYDI-NHCUHLMSSA-N 0 3 309.453 4.412 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCC2)c1ccc2[nH]c(=O)oc2c1 ZINC001621022777 1055988392 /nfs/dbraw/zinc/98/83/92/1055988392.db2.gz KPMOTBLPUSTBOA-CYBMUJFWSA-N 0 3 322.408 4.263 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1nnc(CC)o1 ZINC000067231379 1055989337 /nfs/dbraw/zinc/98/93/37/1055989337.db2.gz RGNHLDQXMVNUPE-KRWDZBQOSA-N 0 3 315.461 4.255 20 0 DIADHN O=c1[nH]cccc1CNCc1ccc(Oc2cccc(F)c2)cc1 ZINC001621024772 1055990545 /nfs/dbraw/zinc/99/05/45/1055990545.db2.gz LUKZERJZARKGMM-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN Cc1ccnc(CNC[C@H]2CCC[C@@H](C)C2)c1Br ZINC001620748165 1055992716 /nfs/dbraw/zinc/99/27/16/1055992716.db2.gz LPYSIMZLDPHACB-YPMHNXCESA-N 0 3 311.267 4.068 20 0 DIADHN COc1cc(C)c(CN[C@H]2CCc3cc(Cl)ccc32)c(C)n1 ZINC001621030737 1055993008 /nfs/dbraw/zinc/99/30/08/1055993008.db2.gz QJKTVSLKOQMMFA-KRWDZBQOSA-N 0 3 316.832 4.138 20 0 DIADHN COC(C)(C)CCNCc1csc(-c2ccc(Cl)cc2)n1 ZINC001621037114 1055998415 /nfs/dbraw/zinc/99/84/15/1055998415.db2.gz BBVNRDKSTAZWFQ-UHFFFAOYSA-N 0 3 324.877 4.368 20 0 DIADHN CCCC(O)(CCC)CNCc1cc(Cl)sc1Cl ZINC001620804432 1055998591 /nfs/dbraw/zinc/99/85/91/1055998591.db2.gz ICZQVRFDUDELQY-UHFFFAOYSA-N 0 3 310.290 4.476 20 0 DIADHN COCc1ccc(CNCc2oc3ccc(F)cc3c2C)cc1 ZINC001620807810 1056002379 /nfs/dbraw/zinc/00/23/79/1056002379.db2.gz OEPRIWBHAPUFDE-UHFFFAOYSA-N 0 3 313.372 4.317 20 0 DIADHN CCc1cc(NC2CCN(CCC(F)(F)F)CC2)ccc1F ZINC000671765591 1056003465 /nfs/dbraw/zinc/00/34/65/1056003465.db2.gz IIZHITVMGMHHIL-UHFFFAOYSA-N 0 3 318.358 4.217 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2nc(C(C)(C)C)cs2)o1 ZINC001620813458 1056006671 /nfs/dbraw/zinc/00/66/71/1056006671.db2.gz LJGWHSXSJMBMTE-LBPRGKRZSA-N 0 3 306.475 4.453 20 0 DIADHN CC[C@H](C)C[C@H](C)NCc1ncc(Br)cc1Cl ZINC001620812857 1056006905 /nfs/dbraw/zinc/00/69/05/1056006905.db2.gz UGKUSFORWAYYMP-UWVGGRQHSA-N 0 3 319.674 4.412 20 0 DIADHN CCC[C@@H](C)CCNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001621049213 1056007859 /nfs/dbraw/zinc/00/78/59/1056007859.db2.gz SQSSMJWTKHUJEE-GFCCVEGCSA-N 0 3 317.399 4.154 20 0 DIADHN CNc1ccccc1CN[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC001621055626 1056012159 /nfs/dbraw/zinc/01/21/59/1056012159.db2.gz BTXDXXCKXJJFCN-LBPRGKRZSA-N 0 3 324.346 4.478 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H]3C[C@@H]4CCC[C@H]4O3)o2)cc1 ZINC001621054122 1056012584 /nfs/dbraw/zinc/01/25/84/1056012584.db2.gz AACLTGFIXUGYEL-YTQUADARSA-N 0 3 311.425 4.302 20 0 DIADHN C[C@H]1CC[C@H](NCc2cc(C(F)(F)F)cc(Cl)n2)CS1 ZINC001621056347 1056014582 /nfs/dbraw/zinc/01/45/82/1056014582.db2.gz VTKUFEKBQRVHFG-WPRPVWTQSA-N 0 3 324.799 4.128 20 0 DIADHN C[C@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCCC1CCCC1 ZINC001168603722 1056020723 /nfs/dbraw/zinc/02/07/23/1056020723.db2.gz HZVZXCFCRBZFCH-HOTGVXAUSA-N 0 3 324.509 4.287 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001583140059 1056027542 /nfs/dbraw/zinc/02/75/42/1056027542.db2.gz RMQQPJVFDSEAMH-GOSISDBHSA-N 0 3 320.521 4.236 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000072742147 1056032535 /nfs/dbraw/zinc/03/25/35/1056032535.db2.gz BWVMEUQNZCRLGX-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2ccc(Cl)o2)cc1 ZINC000072746416 1056032584 /nfs/dbraw/zinc/03/25/84/1056032584.db2.gz SWNGVLFDOXWBSV-NVXWUHKLSA-N 0 3 305.805 4.251 20 0 DIADHN CCCC[C@H](CC)C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C ZINC001540451261 1056033090 /nfs/dbraw/zinc/03/30/90/1056033090.db2.gz QFBCHKYDNAULJY-HKUYNNGSSA-N 0 3 318.505 4.184 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2ccc(Cl)o2)cc1 ZINC000072746424 1056032976 /nfs/dbraw/zinc/03/29/76/1056032976.db2.gz SWNGVLFDOXWBSV-RDJZCZTQSA-N 0 3 305.805 4.251 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000072925015 1056034024 /nfs/dbraw/zinc/03/40/24/1056034024.db2.gz DKSGHZCGAFAUDV-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(F)c(F)c2N(C)C)[C@H]1C(C)C ZINC001621334035 1056037801 /nfs/dbraw/zinc/03/78/01/1056037801.db2.gz AOIVVHBMTFWDAI-YOEHRIQHSA-N 0 3 310.432 4.143 20 0 DIADHN CO[C@H](CC(C)C)CN1CC(c2cccc(C(F)(F)F)c2)C1 ZINC001621334335 1056038611 /nfs/dbraw/zinc/03/86/11/1056038611.db2.gz KBLAADIHCRTEEQ-MRXNPFEDSA-N 0 3 315.379 4.166 20 0 DIADHN Cc1cccc2oc(C(=O)Nc3cccc(CN(C)C)c3)cc21 ZINC001540549685 1056043880 /nfs/dbraw/zinc/04/38/80/1056043880.db2.gz VDCYCNJIOKIDOM-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cc(F)cc2cccnc21 ZINC000073603090 1056046817 /nfs/dbraw/zinc/04/68/17/1056046817.db2.gz OAEUSUZZWXFPCB-UHFFFAOYSA-N 0 3 324.399 4.023 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccccc1)C(C)C ZINC001540633269 1056053008 /nfs/dbraw/zinc/05/30/08/1056053008.db2.gz DSLNXVDIKSYYGE-LJQANCHMSA-N 0 3 304.478 4.012 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(SC)cc2)cc1OC ZINC000074335074 1056054016 /nfs/dbraw/zinc/05/40/16/1056054016.db2.gz ATLLVFUJKGSWSV-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1cccc(Cl)c1Cl ZINC000074320160 1056054324 /nfs/dbraw/zinc/05/43/24/1056054324.db2.gz DUQYHZOZNHWDFE-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN CC(C)Cc1ccc([C@@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540702162 1056062900 /nfs/dbraw/zinc/06/29/00/1056062900.db2.gz HRXHMKSCGJMNQS-FQEVSTJZSA-N 0 3 318.505 4.039 20 0 DIADHN CC[C@@H]1CCC[C@H]1C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001540779128 1056075283 /nfs/dbraw/zinc/07/52/83/1056075283.db2.gz GKYVNGJJUGRNCR-OIISXLGYSA-N 0 3 322.518 4.074 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1F)[C@H](O)c1c(F)cccc1F ZINC001620818303 1056085409 /nfs/dbraw/zinc/08/54/09/1056085409.db2.gz SCRFRRYOFCZLHO-AEFFLSMTSA-N 0 3 323.358 4.014 20 0 DIADHN O=c1[nH]c2cccc(CN[C@@H]3CCCCc4ccccc43)c2o1 ZINC000921643766 1056092502 /nfs/dbraw/zinc/09/25/02/1056092502.db2.gz MDGATLNZMBUSBY-MRXNPFEDSA-N 0 3 308.381 4.091 20 0 DIADHN FC(F)Oc1cccc(CNCc2coc3ccccc23)c1 ZINC000114508912 1056137410 /nfs/dbraw/zinc/13/74/10/1056137410.db2.gz SKCPHQZTOGDIPT-UHFFFAOYSA-N 0 3 303.308 4.324 20 0 DIADHN CC(C)CNC(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001239911804 1056150192 /nfs/dbraw/zinc/15/01/92/1056150192.db2.gz LPNDITXHAFEXNS-UHFFFAOYSA-N 0 3 320.436 4.322 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN[C@H]1C[C@@H]1C1CCCCC1 ZINC001620819967 1056151329 /nfs/dbraw/zinc/15/13/29/1056151329.db2.gz HFJMPSZBBJYWGX-ZBFHGGJFSA-N 0 3 313.363 4.486 20 0 DIADHN CO[C@H](CN[C@H](CC(F)(F)F)c1ccccc1C)C1CCC1 ZINC000660423702 1056162649 /nfs/dbraw/zinc/16/26/49/1056162649.db2.gz OSCVNDGLVWRTKC-HZPDHXFCSA-N 0 3 315.379 4.393 20 0 DIADHN CN(CCN1CCCC1)C(=O)C1(C2CCCCC2)CCCCC1 ZINC001611513445 1056169169 /nfs/dbraw/zinc/16/91/69/1056169169.db2.gz BJAQOKRAMCNKRA-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN CCc1ccc([C@H](CNc2nc3cc(C)ccc3o2)N(C)C)cc1 ZINC001611706138 1056181429 /nfs/dbraw/zinc/18/14/29/1056181429.db2.gz LQJRVPLRQBIWHF-SFHVURJKSA-N 0 3 323.440 4.413 20 0 DIADHN CCN(CC)Cc1cc(-c2ccccc2COC)ccc1F ZINC001239955800 1056192356 /nfs/dbraw/zinc/19/23/56/1056192356.db2.gz VZZDRBAMPJZFDM-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN COc1cccc(OC)c1CN(Cc1ccccc1)CC(C)C ZINC001621139008 1056199888 /nfs/dbraw/zinc/19/98/88/1056199888.db2.gz WZGBELMGJMKUEL-UHFFFAOYSA-N 0 3 313.441 4.362 20 0 DIADHN CCN(Cc1nc(-c2cccc(Cl)c2)no1)C1CCCC1 ZINC000061256174 1056204134 /nfs/dbraw/zinc/20/41/34/1056204134.db2.gz IUSRNJIIBWYWEN-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN CC[C@H](NCCOc1cccc(OC)c1)c1cc(F)ccc1F ZINC001168622622 1056214970 /nfs/dbraw/zinc/21/49/70/1056214970.db2.gz NNPJAPSYXAPMCA-SFHVURJKSA-N 0 3 321.367 4.093 20 0 DIADHN CC[C@H](NC[C@@H]1CC[C@@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001168624018 1056220003 /nfs/dbraw/zinc/22/00/03/1056220003.db2.gz ZTTPZOIONIOXRB-BPNCWPANSA-N 0 3 323.305 4.115 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3cncnc3c2)c1F ZINC001239999574 1056237613 /nfs/dbraw/zinc/23/76/13/1056237613.db2.gz FTUFWAIQWCYSKE-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000655836324 1056239858 /nfs/dbraw/zinc/23/98/58/1056239858.db2.gz IDNRSAJBXWXQPH-HUUCEWRRSA-N 0 3 323.465 4.487 20 0 DIADHN COc1ccc(-c2cc(N3CCCCC3)ccn2)cc1Cl ZINC001240030059 1056265607 /nfs/dbraw/zinc/26/56/07/1056265607.db2.gz WLUGGXBHWJMKOK-UHFFFAOYSA-N 0 3 302.805 4.401 20 0 DIADHN Fc1ccc([C@H](NCc2ccnn2C2CCC2)C2CCC2)cc1 ZINC000921685770 1056267561 /nfs/dbraw/zinc/26/75/61/1056267561.db2.gz YFGNMJVPPLPMDC-LJQANCHMSA-N 0 3 313.420 4.378 20 0 DIADHN C[C@H](C(=O)NC[C@@H]1CCCC[C@@H]1C(C)(C)C)N1CCCCCC1 ZINC001584125175 1056268562 /nfs/dbraw/zinc/26/85/62/1056268562.db2.gz RMGCIUGVPYGTJG-SQNIBIBYSA-N 0 3 322.537 4.220 20 0 DIADHN CCc1ccccc1CN[C@H](CCO)c1ccc(Cl)cc1 ZINC000347653144 1056271226 /nfs/dbraw/zinc/27/12/26/1056271226.db2.gz SLDZGBDQQLYNKN-GOSISDBHSA-N 0 3 303.833 4.116 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1csc2ccccc12 ZINC000765836432 1056285630 /nfs/dbraw/zinc/28/56/30/1056285630.db2.gz WKNHQFAOAMMSQJ-LRDDRELGSA-N 0 3 311.454 4.363 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cccc(C(=O)N3CCCCC3)c2)n1 ZINC001240063370 1056299718 /nfs/dbraw/zinc/29/97/18/1056299718.db2.gz PRIFOFJGCKPOOX-UHFFFAOYSA-N 0 3 319.408 4.164 20 0 DIADHN CC(C)CN(C(=O)/C=C\C1CCCCCC1)C1CCN(C)CC1 ZINC001584268360 1056304237 /nfs/dbraw/zinc/30/42/37/1056304237.db2.gz QVZAQNBPJUTYHA-KHPPLWFESA-N 0 3 320.521 4.092 20 0 DIADHN CCc1ccc(N(CC(C)C)C(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001543852211 1056312706 /nfs/dbraw/zinc/31/27/06/1056312706.db2.gz FMRLHNYSFNUXDP-LJQANCHMSA-N 0 3 316.489 4.111 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2sccc21 ZINC000765848812 1056319549 /nfs/dbraw/zinc/31/95/49/1056319549.db2.gz KCUGUQAAFOKVPV-MRXNPFEDSA-N 0 3 300.471 4.371 20 0 DIADHN FCCn1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000921695728 1056325294 /nfs/dbraw/zinc/32/52/94/1056325294.db2.gz VLKXEXSAJMMKRT-QGZVFWFLSA-N 0 3 321.827 4.137 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccccc2N(C)CC)s1 ZINC000765849879 1056326334 /nfs/dbraw/zinc/32/63/34/1056326334.db2.gz ROZIZVLVQBLKHQ-ZDUSSCGKSA-N 0 3 317.502 4.321 20 0 DIADHN CC[C@@H]1CCCC[C@H]1C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001543934180 1056328945 /nfs/dbraw/zinc/32/89/45/1056328945.db2.gz OYDWOSQCLFCMIN-RTBURBONSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@@H](NCc1cncn1C)c1ccc(-c2ccc(F)cc2)s1 ZINC000353572725 1056334852 /nfs/dbraw/zinc/33/48/52/1056334852.db2.gz YVLVHAMZDHZRIY-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN Cc1ccc2sc(N3CCN(C)C[C@H]3CC(C)C)nc2c1C ZINC001612962830 1056342437 /nfs/dbraw/zinc/34/24/37/1056342437.db2.gz CBZUKFGQCOZAEH-OAHLLOKOSA-N 0 3 317.502 4.080 20 0 DIADHN c1ccc(-c2cnccc2N[C@H]2CCNc3ccccc32)cc1 ZINC001168646523 1056347110 /nfs/dbraw/zinc/34/71/10/1056347110.db2.gz WKKYHFSOQBVQCE-IBGZPJMESA-N 0 3 301.393 4.139 20 0 DIADHN Nc1nc2cc(-c3ccc(C(=O)c4ccccc4)cc3)ccc2[nH]1 ZINC001240136281 1056348666 /nfs/dbraw/zinc/34/86/66/1056348666.db2.gz FQOYPYHCIROXDL-UHFFFAOYSA-N 0 3 313.360 4.043 20 0 DIADHN Nc1nc2ccc(-c3ccc(C(=O)c4ccccc4)cc3)cc2[nH]1 ZINC001240136281 1056348679 /nfs/dbraw/zinc/34/86/79/1056348679.db2.gz FQOYPYHCIROXDL-UHFFFAOYSA-N 0 3 313.360 4.043 20 0 DIADHN CN(CCSc1ccc(Cl)cn1)Cc1ccc(F)cc1 ZINC001613472720 1056381128 /nfs/dbraw/zinc/38/11/28/1056381128.db2.gz MHMJCGOSQNULJG-UHFFFAOYSA-N 0 3 310.825 4.098 20 0 DIADHN CCCC[C@@H](C(=O)NC[C@H](c1ccc(C)cc1)N(C)C)C(C)C ZINC001544274475 1056400591 /nfs/dbraw/zinc/40/05/91/1056400591.db2.gz ZCRLDRDCSGFBOL-RTBURBONSA-N 0 3 318.505 4.176 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)CC1(C(C)C)CC1 ZINC001621155833 1056413648 /nfs/dbraw/zinc/41/36/48/1056413648.db2.gz HTUJPQVYHJQXIC-UHFFFAOYSA-N 0 3 302.462 4.000 20 0 DIADHN CN1CCN(Cc2cccc(-c3ccccc3C(F)F)c2)CC1 ZINC001240292950 1056417410 /nfs/dbraw/zinc/41/74/10/1056417410.db2.gz RTESADFCEDGGAE-UHFFFAOYSA-N 0 3 316.395 4.039 20 0 DIADHN CC(C)[C@@H](C)NC(=O)CN(CC1CCC1)[C@@H](C)c1ccccc1 ZINC000303826144 1056424202 /nfs/dbraw/zinc/42/42/02/1056424202.db2.gz KUHGVOIJUOTGHZ-SJORKVTESA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2scnc2C2CC2)C1 ZINC000354127930 1056430517 /nfs/dbraw/zinc/43/05/17/1056430517.db2.gz QJNYFENXWGUQLH-ZDUSSCGKSA-N 0 3 302.418 4.149 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2ccccc2F)s1 ZINC000303871177 1056431071 /nfs/dbraw/zinc/43/10/71/1056431071.db2.gz HUIZDRKKSGODKH-CYBMUJFWSA-N 0 3 322.449 4.225 20 0 DIADHN CCC(C)(C)C(=O)CS[C@H]1CCCN(Cc2ccccc2)C1 ZINC001614552866 1056440465 /nfs/dbraw/zinc/44/04/65/1056440465.db2.gz IUSDHSWPTFMJOH-KRWDZBQOSA-N 0 3 319.514 4.390 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc(-c2ccccc2)no1 ZINC000303936740 1056441288 /nfs/dbraw/zinc/44/12/88/1056441288.db2.gz RRGRMGQBIGFKNW-SFHVURJKSA-N 0 3 321.424 4.190 20 0 DIADHN CC(C)CC[C@H](NC(=O)CN(C)C(C)C)c1ccc(Cl)cc1 ZINC001544581317 1056461900 /nfs/dbraw/zinc/46/19/00/1056461900.db2.gz ZWGIRJMOGZOYKN-KRWDZBQOSA-N 0 3 324.896 4.274 20 0 DIADHN Fc1cccc2c1ncnc2C1=CCCN(Cc2ccccc2)C1 ZINC001240383847 1056464349 /nfs/dbraw/zinc/46/43/49/1056464349.db2.gz GCKCNPRXAYHCEI-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CCCc1cc(C2=CCCN(Cc3ccccc3)C2)nc(C)n1 ZINC001240385610 1056465635 /nfs/dbraw/zinc/46/56/35/1056465635.db2.gz BDNRFABSPBENBM-UHFFFAOYSA-N 0 3 307.441 4.027 20 0 DIADHN Fc1cccc2ncnc(C3=CCCN(Cc4ccccc4)C3)c12 ZINC001240385854 1056466000 /nfs/dbraw/zinc/46/60/00/1056466000.db2.gz NQFWEGMXVQCLBP-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CC[C@H](CNCc1ccccc1Cl)Oc1cccc(F)c1 ZINC001620827066 1056466397 /nfs/dbraw/zinc/46/63/97/1056466397.db2.gz CFZVBDNSCVJKNQ-OAHLLOKOSA-N 0 3 307.796 4.426 20 0 DIADHN CC(C)Oc1cccnc1C1=CCCN(Cc2ccccc2)C1 ZINC001240386375 1056466571 /nfs/dbraw/zinc/46/65/71/1056466571.db2.gz NDGYFNIXSUILTP-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Cc1cc2c(ccnc2C2=CCCN(Cc3ccccc3)C2)o1 ZINC001240386103 1056466662 /nfs/dbraw/zinc/46/66/62/1056466662.db2.gz ACVBWSYCEIOIDF-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN Cc1cc(C2=CCCN(Cc3ccccc3)C2)nc(C(C)C)n1 ZINC001240386111 1056466922 /nfs/dbraw/zinc/46/69/22/1056466922.db2.gz AMTBDAPNFXZMTH-UHFFFAOYSA-N 0 3 307.441 4.198 20 0 DIADHN Cc1cc(C2=CCCN(Cc3ccccc3)C2)nc(C(C)(C)C)n1 ZINC001240386752 1056469162 /nfs/dbraw/zinc/46/91/62/1056469162.db2.gz TXBJWIFYJILRFY-UHFFFAOYSA-N 0 3 321.468 4.372 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1CCOc1cccc(OC)c1 ZINC001615148658 1056470039 /nfs/dbraw/zinc/47/00/39/1056470039.db2.gz KLRVURHCFVHTCZ-QGZVFWFLSA-N 0 3 317.454 4.145 20 0 DIADHN CCCc1ccc(C(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000072839961 1056470906 /nfs/dbraw/zinc/47/09/06/1056470906.db2.gz JXKRYKZFIGLRKK-UHFFFAOYSA-N 0 3 310.441 4.261 20 0 DIADHN CC(=O)Nc1ccc(C)cc1C1=CCCN(Cc2ccccc2)C1 ZINC001240388520 1056471185 /nfs/dbraw/zinc/47/11/85/1056471185.db2.gz NWOXTJIFCVAKNU-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN CSc1ncc(C)cc1C1=CCCN(Cc2ccccc2)C1 ZINC001240388425 1056471288 /nfs/dbraw/zinc/47/12/88/1056471288.db2.gz CVAYWUHHFIFTKP-UHFFFAOYSA-N 0 3 310.466 4.401 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)C(=O)CN(CC1CCCCC1)C1CC1 ZINC001615214387 1056477703 /nfs/dbraw/zinc/47/77/03/1056477703.db2.gz BKCTURUZDFPSNH-VQIMIIECSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)C(=O)CN(CC1CCCCC1)C1CC1 ZINC001615214386 1056478104 /nfs/dbraw/zinc/47/81/04/1056478104.db2.gz BKCTURUZDFPSNH-QFBILLFUSA-N 0 3 320.521 4.068 20 0 DIADHN OCC1(CNCc2ccc(Oc3ccccc3)o2)CCCCC1 ZINC001620827702 1056486985 /nfs/dbraw/zinc/48/69/85/1056486985.db2.gz XKBPYKOHGZXBFP-UHFFFAOYSA-N 0 3 315.413 4.104 20 0 DIADHN CC(C)(O)c1cccc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001240409763 1056489866 /nfs/dbraw/zinc/48/98/66/1056489866.db2.gz BBUGTNKFEBYZJU-UHFFFAOYSA-N 0 3 313.416 4.316 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001615309444 1056491351 /nfs/dbraw/zinc/49/13/51/1056491351.db2.gz XWVUQGMAVNGERX-JSGCOSHPSA-N 0 3 309.837 4.122 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@@H]1COc2ccccc2O1 ZINC001615323335 1056491447 /nfs/dbraw/zinc/49/14/47/1056491447.db2.gz SFAWLVPEAZQXRY-MRXNPFEDSA-N 0 3 317.816 4.002 20 0 DIADHN CC(C)[C@H](O)CCN(Cc1ccccc1)Cc1ccc(F)cc1 ZINC001615313751 1056492664 /nfs/dbraw/zinc/49/26/64/1056492664.db2.gz JAAAUZQNQOVADH-HXUWFJFHSA-N 0 3 315.432 4.235 20 0 DIADHN CCC1(C)CCN(CC(=O)N(C2CC2)C2CCC(C)CC2)CC1 ZINC001615354761 1056499498 /nfs/dbraw/zinc/49/94/98/1056499498.db2.gz YWOLOITWRDPKJW-UHFFFAOYSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C)C2CCCCCCC2)c1 ZINC001615402500 1056503971 /nfs/dbraw/zinc/50/39/71/1056503971.db2.gz YOTWRXIVZMNYAM-UHFFFAOYSA-N 0 3 302.462 4.287 20 0 DIADHN CC1(C)OC[C@@H](CN(Cc2ccccc2Cl)C2CCCC2)O1 ZINC001615466528 1056513181 /nfs/dbraw/zinc/51/31/81/1056513181.db2.gz ZETGLTPUBQKPLG-MRXNPFEDSA-N 0 3 323.864 4.236 20 0 DIADHN Cc1cccc([C@@H](NCc2cnn(CCF)c2)C(C)(C)C)c1 ZINC000921819406 1056513615 /nfs/dbraw/zinc/51/36/15/1056513615.db2.gz ZCXNTCQRKGCUQP-QGZVFWFLSA-N 0 3 303.425 4.038 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1cnn(C2CCC2)c1 ZINC000921817910 1056514912 /nfs/dbraw/zinc/51/49/12/1056514912.db2.gz QNWATKJBFJXSSM-ORAYPTAESA-N 0 3 313.420 4.160 20 0 DIADHN CCCC[C@H](CC)CN1CCC[C@H]1C(=O)OCc1ccccc1 ZINC001615497245 1056523343 /nfs/dbraw/zinc/52/33/43/1056523343.db2.gz XNDONOULNHMFNU-HKUYNNGSSA-N 0 3 317.473 4.411 20 0 DIADHN CCCC[C@@H](CC)CN1CCC[C@@H]1C(=O)OCc1ccccc1 ZINC001615497246 1056523422 /nfs/dbraw/zinc/52/34/22/1056523422.db2.gz XNDONOULNHMFNU-IEBWSBKVSA-N 0 3 317.473 4.411 20 0 DIADHN Cc1cc(-c2noc(CN3CCCC[C@@H]3C(C)C)n2)ccc1F ZINC001615519414 1056526804 /nfs/dbraw/zinc/52/68/04/1056526804.db2.gz GQILHHJWPNXDNC-MRXNPFEDSA-N 0 3 317.408 4.195 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@@H](C(C)C)CC2)c(C)c1 ZINC001615539712 1056528654 /nfs/dbraw/zinc/52/86/54/1056528654.db2.gz KQAQNJNZNUJGGW-QZTJIDSGSA-N 0 3 316.489 4.389 20 0 DIADHN COc1cccc(CN2CCSC[C@@H]2c2ccccc2)c1C ZINC001615536316 1056529596 /nfs/dbraw/zinc/52/95/96/1056529596.db2.gz SCLUACBXXPSDKO-GOSISDBHSA-N 0 3 313.466 4.294 20 0 DIADHN C[C@H](NCc1ccnn1C1CCC1)c1cccc(N2CCCC2)c1 ZINC000921833282 1056530012 /nfs/dbraw/zinc/53/00/12/1056530012.db2.gz UPQCHRHOMCZSIS-INIZCTEOSA-N 0 3 324.472 4.059 20 0 DIADHN c1ccc([C@H]2CSCCN2C[C@H]2CCC3(CCC3)CO2)cc1 ZINC001615535279 1056530502 /nfs/dbraw/zinc/53/05/02/1056530502.db2.gz CFGJOENGUDOFFD-QZTJIDSGSA-N 0 3 317.498 4.126 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)C1(CC)CCC1 ZINC001272929367 1056531538 /nfs/dbraw/zinc/53/15/38/1056531538.db2.gz ZPRPBNIBSYFKKU-OKZBNKHCSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1C[C@@H](C)CCC[C@@H]1C ZINC001615543250 1056534388 /nfs/dbraw/zinc/53/43/88/1056534388.db2.gz HABNJHAFQVYHAR-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@H]1Cc2cccc(Cl)c2C1 ZINC001168680372 1056537746 /nfs/dbraw/zinc/53/77/46/1056537746.db2.gz SWJBVNMLJQFMHC-AAEUAGOBSA-N 0 3 311.816 4.049 20 0 DIADHN CCOc1ncccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001240497455 1056537577 /nfs/dbraw/zinc/53/75/77/1056537577.db2.gz OKKVYODJLAOBAA-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1ccc(Cl)cc1C ZINC001615595803 1056543555 /nfs/dbraw/zinc/54/35/55/1056543555.db2.gz LKTCMBJWRLNMGR-HNNXBMFYSA-N 0 3 308.853 4.242 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccccc2F)no1 ZINC001615601372 1056543787 /nfs/dbraw/zinc/54/37/87/1056543787.db2.gz SZOHJYCIXXSBRL-BBRMVZONSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1ccccc1OCCCN1CCc2c(Cl)cccc2C1 ZINC001615595694 1056543921 /nfs/dbraw/zinc/54/39/21/1056543921.db2.gz JIMFIKPIZJSVMS-UHFFFAOYSA-N 0 3 315.844 4.476 20 0 DIADHN C[C@H]1c2c(F)cccc2CCN1CCCN(C)c1ccccc1 ZINC001615630292 1056545258 /nfs/dbraw/zinc/54/52/58/1056545258.db2.gz LAWGSQZCRPFOPK-INIZCTEOSA-N 0 3 312.432 4.271 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1C[C@H](c2ccccc2C)C[C@H]1C ZINC001615607598 1056545862 /nfs/dbraw/zinc/54/58/62/1056545862.db2.gz LHWDCNOCKMTKRM-LMMKCTJWSA-N 0 3 317.473 4.151 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2Cc3cccc(Cl)c3C2)c1F ZINC001168683890 1056546986 /nfs/dbraw/zinc/54/69/86/1056546986.db2.gz BEXWBADRDFCZFM-GFCCVEGCSA-N 0 3 307.771 4.184 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@H](C)CC[C@H]1C)c1ccc(Cl)cc1 ZINC001615644027 1056552240 /nfs/dbraw/zinc/55/22/40/1056552240.db2.gz KSZLTIJMDYKNGQ-CPUCHLNUSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@@H]1CCN(Cc2cccc3c2CCOC3)[C@@H](c2ccco2)C1 ZINC001615650426 1056552699 /nfs/dbraw/zinc/55/26/99/1056552699.db2.gz QRDDYNHNZHUQHA-DNVCBOLYSA-N 0 3 311.425 4.326 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@@H](C)CC[C@H]1C)c1ccc(Cl)cc1 ZINC001615644029 1056554447 /nfs/dbraw/zinc/55/44/47/1056554447.db2.gz KSZLTIJMDYKNGQ-VBQJREDUSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)c1cccc(C2CCCC2)c1 ZINC001585441265 1056554869 /nfs/dbraw/zinc/55/48/69/1056554869.db2.gz OVYXDVZFQWFGPF-UHFFFAOYSA-N 0 3 316.489 4.004 20 0 DIADHN CN1CCc2ccc(-c3cc(F)ccc3C(F)(F)F)cc2C1 ZINC001240533281 1056557676 /nfs/dbraw/zinc/55/76/76/1056557676.db2.gz HGOGMIAERNJYCK-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCO[C@H]2CCCCO2)o1 ZINC001615668842 1056559217 /nfs/dbraw/zinc/55/92/17/1056559217.db2.gz NIHXMYARLIHGFZ-AYBZRNKSSA-N 0 3 321.461 4.158 20 0 DIADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(CCCOC(F)(F)F)C1 ZINC001615665711 1056560443 /nfs/dbraw/zinc/56/04/43/1056560443.db2.gz UUPQFFYJLMXQRI-FZMZJTMJSA-N 0 3 305.315 4.135 20 0 DIADHN Fc1cc2cccnc2c(CN2CC(Cc3ccccc3)C2)c1 ZINC000677717165 1056563428 /nfs/dbraw/zinc/56/34/28/1056563428.db2.gz MKEUNMQDMLPBHC-UHFFFAOYSA-N 0 3 306.384 4.048 20 0 DIADHN CCCN(Cc1ccns1)[C@H]1C[C@@H](OCC)C12CCCCC2 ZINC001615712360 1056565949 /nfs/dbraw/zinc/56/59/49/1056565949.db2.gz CQGUNQIZISESQQ-DLBZAZTESA-N 0 3 322.518 4.483 20 0 DIADHN Cc1ccc(CCN(Cc2ccco2)C[C@@H]2CC[C@@H](C)O2)cc1 ZINC001615718767 1056567332 /nfs/dbraw/zinc/56/73/32/1056567332.db2.gz BPPXOADBQQBKCW-XLIONFOSSA-N 0 3 313.441 4.200 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@H](CC(C)C)C1 ZINC001615728230 1056570914 /nfs/dbraw/zinc/57/09/14/1056570914.db2.gz MOFGUUAXIJSFDY-LSDHHAIUSA-N 0 3 322.880 4.343 20 0 DIADHN CC(C)CC1CCN(C[C@H]2CCC[C@@H](C(F)(F)F)O2)CC1 ZINC001615834056 1056585274 /nfs/dbraw/zinc/58/52/74/1056585274.db2.gz KLJUTXURNKBORT-CABCVRRESA-N 0 3 307.400 4.245 20 0 DIADHN Clc1ccccc1CN1CCN([C@@H]2C=CCCCCC2)CC1 ZINC001615861904 1056589069 /nfs/dbraw/zinc/58/90/69/1056589069.db2.gz QJJLKMWHEQDKNL-GOSISDBHSA-N 0 3 318.892 4.347 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccccc1C)c1cc(F)ccc1F ZINC000765950820 1056589014 /nfs/dbraw/zinc/58/90/14/1056589014.db2.gz HLCJEXUPDKCYHQ-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCC(C)(C)CC2)c(Cl)c1 ZINC001615871396 1056589858 /nfs/dbraw/zinc/58/98/58/1056589858.db2.gz YLBBJZBACKYSER-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)CCC1CCN([C@H]2CCc3ccccc3NC2=O)CC1 ZINC001615899654 1056592764 /nfs/dbraw/zinc/59/27/64/1056592764.db2.gz FRZHWEMLMBAFQL-IBGZPJMESA-N 0 3 314.473 4.088 20 0 DIADHN c1cc(C2=NCCC2)cc(-c2ccc(N3CCCCC3)nc2)c1 ZINC001240586304 1056596234 /nfs/dbraw/zinc/59/62/34/1056596234.db2.gz KHQGVCDCSXKFEM-UHFFFAOYSA-N 0 3 305.425 4.322 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnn(C)c1C1CC1)C(C)(C)C ZINC001620829466 1056600521 /nfs/dbraw/zinc/60/05/21/1056600521.db2.gz GFIFEBYBBRADIC-LJQANCHMSA-N 0 3 311.473 4.483 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)C[C@H]1CCCC(C)(C)O1)CCC2 ZINC001615961253 1056602029 /nfs/dbraw/zinc/60/20/29/1056602029.db2.gz NBPZLGOOXCVYNZ-UKRRQHHQSA-N 0 3 322.518 4.362 20 0 DIADHN CCC(=CC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C)CC ZINC001585690845 1056604810 /nfs/dbraw/zinc/60/48/10/1056604810.db2.gz YYYFFSKLPBIHLM-LJQANCHMSA-N 0 3 316.489 4.006 20 0 DIADHN Cc1cccc(CCNCc2ccc(Oc3ccccc3)o2)n1 ZINC000355199225 1056611580 /nfs/dbraw/zinc/61/15/80/1056611580.db2.gz LIGGQBCVBCHHIP-UHFFFAOYSA-N 0 3 308.381 4.108 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cc(F)cc(F)c2)C1(C)C ZINC001616160531 1056615835 /nfs/dbraw/zinc/61/58/35/1056615835.db2.gz ZULAYEHRDWYZEY-DLBZAZTESA-N 0 3 311.416 4.380 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Br)C1(C)CC1 ZINC000309782399 1056619570 /nfs/dbraw/zinc/61/95/70/1056619570.db2.gz NFSCXJMBGSFMPA-VIFPVBQESA-N 0 3 302.643 4.381 20 0 DIADHN Cc1cccc(C2(NCc3cccc(N(C)C)n3)CCCC2)c1 ZINC001616295296 1056628315 /nfs/dbraw/zinc/62/83/15/1056628315.db2.gz LUXWDPHVKSJMCF-UHFFFAOYSA-N 0 3 309.457 4.015 20 0 DIADHN Fc1cccc(CNC[C@@H]2Cc3ccccc32)c1Br ZINC000156784877 1056630774 /nfs/dbraw/zinc/63/07/74/1056630774.db2.gz ZDNKYEIPHNQLOY-ZDUSSCGKSA-N 0 3 320.205 4.018 20 0 DIADHN CC(C)CC[C@H]1CCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC001616308096 1056631214 /nfs/dbraw/zinc/63/12/14/1056631214.db2.gz BDAQHJPIRMPVPB-GOSISDBHSA-N 0 3 315.436 4.412 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@@H]2CCC(C)C)c(C)c1 ZINC001616308249 1056631835 /nfs/dbraw/zinc/63/18/35/1056631835.db2.gz DZEYNWPMTULLNR-GOSISDBHSA-N 0 3 316.489 4.451 20 0 DIADHN CCCCC[C@H]1CCCN1CC(=O)Nc1cc(C)ccc1C ZINC001616331189 1056635846 /nfs/dbraw/zinc/63/58/46/1056635846.db2.gz HNDZWGKSUIAJDP-KRWDZBQOSA-N 0 3 302.462 4.287 20 0 DIADHN Cc1cc(C)cc(C2=CCN(CCC(=O)OC(C)(C)C)CC2)c1 ZINC001616396345 1056649159 /nfs/dbraw/zinc/64/91/59/1056649159.db2.gz VOIMWVBTYCCRDW-UHFFFAOYSA-N 0 3 315.457 4.124 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H]1CCC2(CCC2)O1 ZINC001616401678 1056650388 /nfs/dbraw/zinc/65/03/88/1056650388.db2.gz DONCCOVFOPEIHP-KEYYUXOJSA-N 0 3 303.421 4.235 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@@H]1C[C@@H]1c1ccccc1 ZINC000338773897 1056650719 /nfs/dbraw/zinc/65/07/19/1056650719.db2.gz DYKFGYDAIBGADO-SPUZQDLCSA-N 0 3 324.472 4.018 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001616434383 1056655555 /nfs/dbraw/zinc/65/55/55/1056655555.db2.gz RHVREVNDWMXHAG-INIZCTEOSA-N 0 3 321.823 4.252 20 0 DIADHN CC(C)COCCN1Cc2ccccc2C[C@H]1c1ccccc1 ZINC001616432995 1056656020 /nfs/dbraw/zinc/65/60/20/1056656020.db2.gz ABXBDUBQSZSBFC-NRFANRHFSA-N 0 3 309.453 4.459 20 0 DIADHN Fc1cc(F)c2c(c1)CN(CC[C@H]1CCc3ccccc31)CC2 ZINC001616489447 1056664753 /nfs/dbraw/zinc/66/47/53/1056664753.db2.gz MRTAGEUKNDPMIE-OAHLLOKOSA-N 0 3 313.391 4.443 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2ccccc2C)no1)C1CC1 ZINC000064808515 1056671394 /nfs/dbraw/zinc/67/13/94/1056671394.db2.gz BLXAJMKASCFFRK-HIFRSBDPSA-N 0 3 313.445 4.444 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(OCc3ccccc3)cc2)n1 ZINC001240748381 1056677041 /nfs/dbraw/zinc/67/70/41/1056677041.db2.gz ITHOGEBOYOWCHJ-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CCO[C@@H](C)n1cc(-c2ccc3c(c2)C(C(C)C)=NCC3)cn1 ZINC001240760804 1056685269 /nfs/dbraw/zinc/68/52/69/1056685269.db2.gz SSHGRHKUAXLRMU-AWEZNQCLSA-N 0 3 311.429 4.106 20 0 DIADHN C[C@@H](NCCCC(=O)OC(C)(C)C)c1cc(Cl)ccc1F ZINC001616623732 1056689284 /nfs/dbraw/zinc/68/92/84/1056689284.db2.gz UUKOWGZGDUDEHG-LLVKDONJSA-N 0 3 315.816 4.252 20 0 DIADHN CO[C@H](CN[C@@H](c1ccc(F)cc1F)C1CC1)c1ccccc1 ZINC001616653350 1056695274 /nfs/dbraw/zinc/69/52/74/1056695274.db2.gz GZOZOPKFBAEVKD-RTBURBONSA-N 0 3 317.379 4.393 20 0 DIADHN CC(C)CC[C@H](NC[C@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616690192 1056701854 /nfs/dbraw/zinc/70/18/54/1056701854.db2.gz CRIFGBNCBYOCGT-KBPBESRZSA-N 0 3 323.786 4.330 20 0 DIADHN COCCC1(CNCc2nc(-c3cccs3)oc2C)CCC1 ZINC001620832538 1056702226 /nfs/dbraw/zinc/70/22/26/1056702226.db2.gz HIRGFFGAGNFKQQ-UHFFFAOYSA-N 0 3 320.458 4.008 20 0 DIADHN CC[C@H](NC[C@](O)(CC)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616753765 1056711234 /nfs/dbraw/zinc/71/12/34/1056711234.db2.gz LSEJSKVDHSGMKE-QWHCGFSZSA-N 0 3 309.759 4.084 20 0 DIADHN CC(C)Oc1ccc(-c2cccc(CN3CCCC3)c2F)cn1 ZINC001240810089 1056711448 /nfs/dbraw/zinc/71/14/48/1056711448.db2.gz LTNWJYJKIZGKFU-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N(C)CCC(C)C ZINC000077211684 1056712130 /nfs/dbraw/zinc/71/21/30/1056712130.db2.gz CCILNJFXQFUUEV-INIZCTEOSA-N 0 3 304.478 4.423 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCC2)O1)c1ccccc1OC(F)F ZINC001616765137 1056713074 /nfs/dbraw/zinc/71/30/74/1056713074.db2.gz ZVPZGABVIFRZEB-CHWSQXEVSA-N 0 3 311.372 4.040 20 0 DIADHN COc1ccc(-c2ccc(C(F)(F)F)cc2)cc1CN(C)C ZINC001240820791 1056715556 /nfs/dbraw/zinc/71/55/56/1056715556.db2.gz BDPCJGFDWHDCBI-UHFFFAOYSA-N 0 3 309.331 4.443 20 0 DIADHN CO[C@H]1CCN(Cc2cccc(SCc3ccccc3)c2)C1 ZINC000672545062 1056719592 /nfs/dbraw/zinc/71/95/92/1056719592.db2.gz FYXYVWCHYALQKG-SFHVURJKSA-N 0 3 313.466 4.200 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(Oc2ccccn2)c1 ZINC000922002539 1056722908 /nfs/dbraw/zinc/72/29/08/1056722908.db2.gz PPDKJQBHNMVDQR-GFCCVEGCSA-N 0 3 310.319 4.304 20 0 DIADHN Cc1cccc(C)c1OC[C@H](NCc1ccccn1)C(C)(C)C ZINC001616823148 1056723952 /nfs/dbraw/zinc/72/39/52/1056723952.db2.gz FKXJOSUDIHBHGV-SFHVURJKSA-N 0 3 312.457 4.282 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1ccccc1Br ZINC000656689604 1056727296 /nfs/dbraw/zinc/72/72/96/1056727296.db2.gz RVSXBZPCJSDWNG-JOYOIKCWSA-N 0 3 304.178 4.145 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1ccccc1Br ZINC000656689606 1056728029 /nfs/dbraw/zinc/72/80/29/1056728029.db2.gz RVSXBZPCJSDWNG-SKDRFNHKSA-N 0 3 304.178 4.145 20 0 DIADHN CCCCC[C@@H](N[C@@H]1CCCN(C(C)C)C1=O)c1ccccc1 ZINC001616864453 1056729144 /nfs/dbraw/zinc/72/91/44/1056729144.db2.gz BBRYBNWOXBQGPL-RTBURBONSA-N 0 3 316.489 4.297 20 0 DIADHN Cc1cc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)n(C)n1 ZINC001240860949 1056731155 /nfs/dbraw/zinc/73/11/55/1056731155.db2.gz HLOLXGNCYUAWGT-UHFFFAOYSA-N 0 3 323.362 4.010 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cc(C)cc(C)c1)c1ccc(C)cc1 ZINC001616874502 1056731960 /nfs/dbraw/zinc/73/19/60/1056731960.db2.gz SEKSJVZPMBJUJT-LJQANCHMSA-N 0 3 310.441 4.291 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc2ncsc2c1 ZINC000922014755 1056733527 /nfs/dbraw/zinc/73/35/27/1056733527.db2.gz LAPCLXPXXHAQAM-QWHCGFSZSA-N 0 3 324.449 4.471 20 0 DIADHN CC(C)(C)[C@@H]1CC[C@H]1NCc1nnc(-c2ccccc2)s1 ZINC000672605107 1056734500 /nfs/dbraw/zinc/73/45/00/1056734500.db2.gz LEQHPPRWRDWOGU-ZIAGYGMSSA-N 0 3 301.459 4.119 20 0 DIADHN CC(C)Oc1ccc([C@H](CN[C@H](C)c2ccco2)N(C)C)cc1 ZINC000672617536 1056742548 /nfs/dbraw/zinc/74/25/48/1056742548.db2.gz RAFDATCFGSMYQT-QAPCUYQASA-N 0 3 316.445 4.020 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1ccc2c(c1)CCC2 ZINC001616982222 1056752518 /nfs/dbraw/zinc/75/25/18/1056752518.db2.gz VGWPJDGNRVFTBZ-AWEZNQCLSA-N 0 3 311.473 4.286 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OC(F)(F)O2)c1cccs1 ZINC001616997370 1056757567 /nfs/dbraw/zinc/75/75/67/1056757567.db2.gz IKMSYYNFLMXYPX-UHFFFAOYSA-N 0 3 311.353 4.095 20 0 DIADHN CCN(CCC1CC1)CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001617013372 1056759321 /nfs/dbraw/zinc/75/93/21/1056759321.db2.gz AWLODGDXUWZGBK-QGZVFWFLSA-N 0 3 322.518 4.074 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCCOC1CCCCC1 ZINC001168700219 1056771908 /nfs/dbraw/zinc/77/19/08/1056771908.db2.gz MYMPZCAICSLBGS-GOSISDBHSA-N 0 3 305.462 4.475 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@H](O)c1ccc2ccccc2c1 ZINC000132109399 1056775335 /nfs/dbraw/zinc/77/53/35/1056775335.db2.gz JDNPYYAVEGTZDY-YWZLYKJASA-N 0 3 321.420 4.233 20 0 DIADHN CN(C)C[C@@H](N[C@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000338794285 1056776362 /nfs/dbraw/zinc/77/63/62/1056776362.db2.gz WSZOOOCXKQVSOT-DLBZAZTESA-N 0 3 318.848 4.203 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000672806785 1056777291 /nfs/dbraw/zinc/77/72/91/1056777291.db2.gz RCBVPXNYBISWSF-KGLIPLIRSA-N 0 3 322.412 4.317 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000672806787 1056778093 /nfs/dbraw/zinc/77/80/93/1056778093.db2.gz RCBVPXNYBISWSF-ZIAGYGMSSA-N 0 3 322.412 4.317 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(COC)cc2)cc1F ZINC001240954604 1056780762 /nfs/dbraw/zinc/78/07/62/1056780762.db2.gz NDZWVCQBTJKUIA-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN COc1cc(F)c(-c2ccc(CN3CCCC3)c(F)c2)cc1F ZINC001240960028 1056786252 /nfs/dbraw/zinc/78/62/52/1056786252.db2.gz YFRSNIZAFGFSNW-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1c[nH]c2ncc(-c3ccc(CN4CCCC4)c(F)c3)cc12 ZINC001240968653 1056790998 /nfs/dbraw/zinc/79/09/98/1056790998.db2.gz JKQDUIUWOLCYTE-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000113911613 1056793357 /nfs/dbraw/zinc/79/33/57/1056793357.db2.gz VEXMAPMDFZFWDN-MRXNPFEDSA-N 0 3 324.468 4.361 20 0 DIADHN CC(C)[C@H]1CCCN(C[C@H](O)c2ccccc2C(F)(F)F)C1 ZINC001617275208 1056805630 /nfs/dbraw/zinc/80/56/30/1056805630.db2.gz WFVHFCGDFLZNGJ-BBRMVZONSA-N 0 3 315.379 4.107 20 0 DIADHN O[C@H](CNCc1sccc1Cl)c1ccc2ccccc2c1 ZINC000785460424 1056806620 /nfs/dbraw/zinc/80/66/20/1056806620.db2.gz JYIHZXRUJAGJPH-MRXNPFEDSA-N 0 3 317.841 4.378 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2ncc(-c3ccccc3F)o2)[C@@H]1C ZINC001617361568 1056819788 /nfs/dbraw/zinc/81/97/88/1056819788.db2.gz VTLTYCIHWFJYIJ-IOASZLSFSA-N 0 3 302.393 4.395 20 0 DIADHN CC[C@H](CC(F)F)CN1CCN([C@H](C)c2ccsc2)CC1 ZINC001617381582 1056823604 /nfs/dbraw/zinc/82/36/04/1056823604.db2.gz HWDQNHPPTPXGAD-ZIAGYGMSSA-N 0 3 316.461 4.108 20 0 DIADHN Fc1cncc(F)c1CN[C@H]1CCCC[C@H]1Cc1ccccc1 ZINC001617390680 1056824854 /nfs/dbraw/zinc/82/48/54/1056824854.db2.gz ODNRJPHEUMNQLB-KXBFYZLASA-N 0 3 316.395 4.251 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1CCCO[C@@H]1CC(C)C ZINC001617428677 1056830612 /nfs/dbraw/zinc/83/06/12/1056830612.db2.gz HYVLLXALUHMYQP-NVXWUHKLSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2ccc(F)c3cccnc23)C1 ZINC001617427899 1056830850 /nfs/dbraw/zinc/83/08/50/1056830850.db2.gz AERITLBQUMCOCF-KUHUBIRLSA-N 0 3 321.399 4.352 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(CCCc2c(F)cccc2F)C1 ZINC001617430396 1056832904 /nfs/dbraw/zinc/83/29/04/1056832904.db2.gz XEEXLISEDPNZHH-LIRRHRJNSA-N 0 3 316.395 4.376 20 0 DIADHN Clc1ccc(-n2ccc(CN(CC3CC3)C3CCC3)n2)cc1 ZINC001617532861 1056844728 /nfs/dbraw/zinc/84/47/28/1056844728.db2.gz WPMJYFZKOWGTRC-UHFFFAOYSA-N 0 3 315.848 4.290 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001586985937 1056845411 /nfs/dbraw/zinc/84/54/11/1056845411.db2.gz XTQYJZJJARVAHY-QGZVFWFLSA-N 0 3 302.462 4.043 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCC[C@@H]2c2cc[nH]n2)cc1 ZINC001617555004 1056848577 /nfs/dbraw/zinc/84/85/77/1056848577.db2.gz OBCPGLWTONSCPZ-MRXNPFEDSA-N 0 3 323.362 4.198 20 0 DIADHN CC(C)COCCN1CCC(F)(c2ccc(Cl)cc2)CC1 ZINC001617562348 1056849422 /nfs/dbraw/zinc/84/94/22/1056849422.db2.gz QGEXPBURLQXFIZ-UHFFFAOYSA-N 0 3 313.844 4.273 20 0 DIADHN COC[C@H](NCCSc1ccccc1)c1ccc(Cl)cc1 ZINC001617581497 1056850849 /nfs/dbraw/zinc/85/08/49/1056850849.db2.gz AOJWROYFHCCPNZ-KRWDZBQOSA-N 0 3 321.873 4.409 20 0 DIADHN CCC(C)(C)CCN1[C@H](C(=O)OC)CC[C@H]1c1ccccc1 ZINC001617597473 1056854200 /nfs/dbraw/zinc/85/42/00/1056854200.db2.gz HNBBEHHSHXEZFH-IRXDYDNUSA-N 0 3 303.446 4.191 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3ncccc3Cl)C2)cc1 ZINC001617610543 1056857678 /nfs/dbraw/zinc/85/76/78/1056857678.db2.gz JBPAVQWDXKNBFB-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCOc1cccc2ccccc21 ZINC001617637517 1056861930 /nfs/dbraw/zinc/86/19/30/1056861930.db2.gz SDABMPYQVCPIJG-CZUORRHYSA-N 0 3 323.358 4.491 20 0 DIADHN Cc1ccc(NC(=O)c2cccc3ccccc32)c(CN(C)C)c1 ZINC000078704232 1056871167 /nfs/dbraw/zinc/87/11/67/1056871167.db2.gz PFKALHFWCFFKQE-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN CCC1(CC)[C@H](NCc2cccc(OC)c2Cl)C[C@H]1OC ZINC001617727382 1056874274 /nfs/dbraw/zinc/87/42/74/1056874274.db2.gz IIUMWJZPRFTPHL-HUUCEWRRSA-N 0 3 311.853 4.032 20 0 DIADHN CCC1(CC)[C@H](NCc2cccc(OC)c2Cl)C[C@@H]1OC ZINC001617727379 1056874445 /nfs/dbraw/zinc/87/44/45/1056874445.db2.gz IIUMWJZPRFTPHL-CABCVRRESA-N 0 3 311.853 4.032 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCc3n[nH]cc32)C2CC2)cc1Cl ZINC000453309397 1056879396 /nfs/dbraw/zinc/87/93/96/1056879396.db2.gz DURHFSFYGPPSIF-NVXWUHKLSA-N 0 3 319.811 4.321 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@@H]1CCC2(CCCCCC2)O1 ZINC001617773152 1056880554 /nfs/dbraw/zinc/88/05/54/1056880554.db2.gz BLWBICQDSCPLDU-INIZCTEOSA-N 0 3 320.477 4.388 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(F)ccc2Cl)C12CCCC2 ZINC001617799370 1056884670 /nfs/dbraw/zinc/88/46/70/1056884670.db2.gz KHQUNXNGMJVPIK-CVEARBPZSA-N 0 3 311.828 4.307 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(Cl)ccc2F)C12CCCC2 ZINC001617799397 1056884716 /nfs/dbraw/zinc/88/47/16/1056884716.db2.gz KTSYGXSWYIUBMX-HZPDHXFCSA-N 0 3 311.828 4.307 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(F)ccc2Cl)C12CCCC2 ZINC001617799372 1056884779 /nfs/dbraw/zinc/88/47/79/1056884779.db2.gz KHQUNXNGMJVPIK-HOTGVXAUSA-N 0 3 311.828 4.307 20 0 DIADHN Cc1cc(-c2noc(CN[C@H]3[C@H](C)CCC[C@@H]3C)n2)ccc1F ZINC001617799377 1056885244 /nfs/dbraw/zinc/88/52/44/1056885244.db2.gz KMJZZDSHGYFWON-PBKGOJFUSA-N 0 3 317.408 4.098 20 0 DIADHN CCS[C@H]1CCC[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC001617806607 1056886110 /nfs/dbraw/zinc/88/61/10/1056886110.db2.gz KJKDEUUQAKDEGZ-RDJZCZTQSA-N 0 3 302.443 4.105 20 0 DIADHN CCS[C@@H]1CCC[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC001617806605 1056886613 /nfs/dbraw/zinc/88/66/13/1056886613.db2.gz KJKDEUUQAKDEGZ-DOTOQJQBSA-N 0 3 302.443 4.105 20 0 DIADHN Cc1ncc([C@H](C)N2CCC(c3ccsc3)CC2)c(C)n1 ZINC000682075471 1056886816 /nfs/dbraw/zinc/88/68/16/1056886816.db2.gz XPDNWTALFBWAQY-ZDUSSCGKSA-N 0 3 301.459 4.096 20 0 DIADHN COc1cc(C)c([C@H](C)N2CCC[C@@H](OC(F)F)C2)cc1C ZINC000682078598 1056888576 /nfs/dbraw/zinc/88/85/76/1056888576.db2.gz ZKZHJJZFLMGRMB-UONOGXRCSA-N 0 3 313.388 4.077 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(F)c(C(F)(F)F)c1 ZINC001241096736 1056889492 /nfs/dbraw/zinc/88/94/92/1056889492.db2.gz RZCKWKKZFVVQCA-SOFGYWHQSA-N 0 3 310.294 4.439 20 0 DIADHN COc1ccccc1OCCN1Cc2ccccc2C2(CCC2)C1 ZINC001617837601 1056891117 /nfs/dbraw/zinc/89/11/17/1056891117.db2.gz YFKDPTXDKUUDPF-UHFFFAOYSA-N 0 3 323.436 4.012 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168704324 1056891483 /nfs/dbraw/zinc/89/14/83/1056891483.db2.gz MHTWWSXPBNQJFY-WCQYABFASA-N 0 3 319.807 4.306 20 0 DIADHN Fc1cc(F)c(-c2cc(CN3CCCCC3)ccn2)cc1F ZINC001241108982 1056899144 /nfs/dbraw/zinc/89/91/44/1056899144.db2.gz PYHMDNGZCUPBEB-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN C[C@@H](NCc1ncc(Br)cc1Cl)C1CCCC1 ZINC001617900671 1056899398 /nfs/dbraw/zinc/89/93/98/1056899398.db2.gz DSUQRKFRUKHATG-SECBINFHSA-N 0 3 317.658 4.166 20 0 DIADHN CC(C)CC[C@H](O)CN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC001618013580 1056913555 /nfs/dbraw/zinc/91/35/55/1056913555.db2.gz XJNKEEWARBNNQU-OCCSQVGLSA-N 0 3 323.868 4.006 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC[C@H]2c2ccccc2Br)O1 ZINC000682760680 1056917652 /nfs/dbraw/zinc/91/76/52/1056917652.db2.gz LPKQPKRAJNTZLW-WWGRRREGSA-N 0 3 324.262 4.154 20 0 DIADHN CCCn1nccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000657291923 1056922083 /nfs/dbraw/zinc/92/20/83/1056922083.db2.gz DPCJJYMKQMUBCQ-QGZVFWFLSA-N 0 3 303.837 4.187 20 0 DIADHN COc1ccc(F)c(-c2ccccc2CN2CCCC2)c1F ZINC001241141925 1056922680 /nfs/dbraw/zinc/92/26/80/1056922680.db2.gz JKSMTULYIFLARZ-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CC(C)COCCN1CCc2ccccc2[C@@H]1c1ccccc1 ZINC001618165279 1056928181 /nfs/dbraw/zinc/92/81/81/1056928181.db2.gz IDJMBRBMVMXPQR-NRFANRHFSA-N 0 3 309.453 4.307 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1csc2ccccc12 ZINC000657312859 1056929103 /nfs/dbraw/zinc/92/91/03/1056929103.db2.gz OLWBBHOJEHOLAE-UHFFFAOYSA-N 0 3 311.454 4.192 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000079324346 1056929179 /nfs/dbraw/zinc/92/91/79/1056929179.db2.gz QACJKJRFHMUPSS-HZPDHXFCSA-N 0 3 310.441 4.235 20 0 DIADHN C[C@@H](NC(=O)CN[C@@H](C)c1cccc(C2CC2)c1)c1ccccc1 ZINC001618178338 1056929560 /nfs/dbraw/zinc/92/95/60/1056929560.db2.gz WSYYZNYWLSQRHL-JKSUJKDBSA-N 0 3 322.452 4.092 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@H](C)N1Cc1nc2c(o1)CCCC2 ZINC001618196807 1056932544 /nfs/dbraw/zinc/93/25/44/1056932544.db2.gz ULPUQOOAUQYKOY-HLLBOEOZSA-N 0 3 310.441 4.320 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1Cc1nc2c(o1)CCCC2 ZINC001618196809 1056933038 /nfs/dbraw/zinc/93/30/38/1056933038.db2.gz ULPUQOOAUQYKOY-INMHGKMJSA-N 0 3 310.441 4.320 20 0 DIADHN Cc1cnc(Cl)c(CN[C@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000922161880 1056933667 /nfs/dbraw/zinc/93/36/67/1056933667.db2.gz HLHUVBOLOVBHKP-UONOGXRCSA-N 0 3 322.861 4.115 20 0 DIADHN CCCCN(Cc1nc(COC(C)C)no1)[C@H]1CCC[C@@H](C)C1 ZINC001618213611 1056934333 /nfs/dbraw/zinc/93/43/33/1056934333.db2.gz CBCCIKPXGSXYGN-CVEARBPZSA-N 0 3 323.481 4.175 20 0 DIADHN CCc1cccc2cc(CN[C@@H]3CCCc4[nH]c(=O)ccc43)oc21 ZINC000657336589 1056935246 /nfs/dbraw/zinc/93/52/46/1056935246.db2.gz VBPMCUKKCLPUJQ-QGZVFWFLSA-N 0 3 322.408 4.263 20 0 DIADHN CCC[C@@H](C)CCCN(Cc1ccc(C(N)=O)cc1F)C1CC1 ZINC001618228626 1056937800 /nfs/dbraw/zinc/93/78/00/1056937800.db2.gz PXQATHZGLILTEI-CQSZACIVSA-N 0 3 320.452 4.105 20 0 DIADHN c1ccc(-c2ccc3c(c2)CN(CCOC2CCC2)CC3)cc1 ZINC001618242699 1056939884 /nfs/dbraw/zinc/93/98/84/1056939884.db2.gz SQWNKSYOMICGJB-UHFFFAOYSA-N 0 3 307.437 4.281 20 0 DIADHN Clc1ccccc1CCCN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC001618257855 1056941460 /nfs/dbraw/zinc/94/14/60/1056941460.db2.gz PZXUFDRXGYKQCX-ROUUACIJSA-N 0 3 319.876 4.306 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1Cc2ccccc2OC(C)(C)C1 ZINC001618287494 1056945232 /nfs/dbraw/zinc/94/52/32/1056945232.db2.gz JWWFVUJNIKWREZ-SJLPKXTDSA-N 0 3 317.473 4.255 20 0 DIADHN Nc1ccncc1-c1c(F)ccc(OCc2ccccc2)c1F ZINC001241195730 1056952696 /nfs/dbraw/zinc/95/26/96/1056952696.db2.gz ZDFUNNIOJKRLRC-UHFFFAOYSA-N 0 3 312.319 4.018 20 0 DIADHN CCc1ccc([C@H](C)C(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000067971483 1056963242 /nfs/dbraw/zinc/96/32/42/1056963242.db2.gz JYPBSXOWDLFCJP-HNNXBMFYSA-N 0 3 310.441 4.053 20 0 DIADHN Nc1ccnc2cc(-c3cccc(O[C@H]4CCCCO4)c3)ccc12 ZINC001241215861 1056965285 /nfs/dbraw/zinc/96/52/85/1056965285.db2.gz KMQGJPLDTKAJDS-FQEVSTJZSA-N 0 3 320.392 4.389 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1ccc(Cl)s1)CC2 ZINC000479072010 1056968439 /nfs/dbraw/zinc/96/84/39/1056968439.db2.gz AOCXPPHFAIIVGU-CYBMUJFWSA-N 0 3 323.845 4.196 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cn(C)nc1C1CCCC1)CC2 ZINC000922219815 1056979929 /nfs/dbraw/zinc/97/99/29/1056979929.db2.gz ZJXJAKKZWXHUNZ-IBGZPJMESA-N 0 3 309.457 4.163 20 0 DIADHN CC(=O)c1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1F ZINC001241260587 1056984719 /nfs/dbraw/zinc/98/47/19/1056984719.db2.gz VKLMHDHCMZJNHD-UHFFFAOYSA-N 0 3 315.363 4.430 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2cc([O-])cc(F)c2)nc1 ZINC001241261170 1056985267 /nfs/dbraw/zinc/98/52/67/1056985267.db2.gz FXDUIODIHQFCDD-GOSISDBHSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2cc([O-])cc(F)c2)nc1 ZINC001241261170 1056985273 /nfs/dbraw/zinc/98/52/73/1056985273.db2.gz FXDUIODIHQFCDD-GOSISDBHSA-N 0 3 300.377 4.140 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000358738791 1056991570 /nfs/dbraw/zinc/99/15/70/1056991570.db2.gz BKWYZACSIVEFBD-WMZOPIPTSA-N 0 3 315.436 4.314 20 0 DIADHN COc1ccc(-c2cc([O-])cc(F)c2)c(C[NH+]2CCCCC2)c1 ZINC001241280901 1056994473 /nfs/dbraw/zinc/99/44/73/1056994473.db2.gz YEBFAVJYBUAFQN-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN Oc1cc(F)cc(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001241280891 1056994742 /nfs/dbraw/zinc/99/47/42/1056994742.db2.gz XKXZIRDRANPJQX-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1ccc(C3CC3)cc1)CC2 ZINC000358982279 1056996847 /nfs/dbraw/zinc/99/68/47/1056996847.db2.gz JJKBECNIMBSXKZ-LJQANCHMSA-N 0 3 323.436 4.358 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1csc(-c2ccccn2)n1 ZINC000117431972 1056999498 /nfs/dbraw/zinc/99/94/98/1056999498.db2.gz UCMNGXONDRCAIX-MRXNPFEDSA-N 0 3 301.459 4.216 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN([C@@H]2Cc3cccc(Cl)c3C2)C1 ZINC001168721849 1057000893 /nfs/dbraw/zinc/00/08/93/1057000893.db2.gz RXSSIDPSUFFAHV-RISCZKNCSA-N 0 3 321.745 4.031 20 0 DIADHN [O-]c1ccc(F)c(-c2ccc(C[NH+]3CCCC3)c(F)c2)c1F ZINC001241295005 1057002045 /nfs/dbraw/zinc/00/20/45/1057002045.db2.gz DVWMRWMIDJTXTA-UHFFFAOYSA-N 0 3 307.315 4.072 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cccc(C(C)(C)C)c2)c1 ZINC001241301450 1057004279 /nfs/dbraw/zinc/00/42/79/1057004279.db2.gz YWBPOTFGVARROQ-UHFFFAOYSA-N 0 3 312.457 4.124 20 0 DIADHN CCOc1ccc(F)c(F)c1-c1ncccc1CN1CCCC1 ZINC001241308135 1057007338 /nfs/dbraw/zinc/00/73/38/1057007338.db2.gz GFDUEXFSNXIPEM-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)c(C)c1 ZINC000922328513 1057009493 /nfs/dbraw/zinc/00/94/93/1057009493.db2.gz PCJQNLULDGZVDH-LBPRGKRZSA-N 0 3 324.346 4.268 20 0 DIADHN CCOc1cc(F)ccc1-c1cnccc1CN1CCCCC1 ZINC001241321321 1057013236 /nfs/dbraw/zinc/01/32/36/1057013236.db2.gz LSOXEGKIWNBVFT-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN COc1cccc(C2(NCc3ccc(OC)c(F)c3)CCC2)c1 ZINC000657782144 1057013317 /nfs/dbraw/zinc/01/33/17/1057013317.db2.gz LQZYWCMQKHTOCF-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN CN1CC=C(c2ccc(-c3nnc(-c4ccccc4)o3)cc2)CC1 ZINC001241328138 1057015848 /nfs/dbraw/zinc/01/58/48/1057015848.db2.gz XTVNVGFZYYMEHZ-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN C[S@](=O)c1ccccc1-c1ccccc1CN1CCCCC1 ZINC001241336605 1057018198 /nfs/dbraw/zinc/01/81/98/1057018198.db2.gz DLRJGUOBODGENE-QFIPXVFZSA-N 0 3 313.466 4.077 20 0 DIADHN CN1CC=C(c2ccc(F)c(C(F)(F)C(F)(F)F)c2)CC1 ZINC001241333421 1057018455 /nfs/dbraw/zinc/01/84/55/1057018455.db2.gz VPPHDIJCNVWQJK-UHFFFAOYSA-N 0 3 309.253 4.199 20 0 DIADHN Clc1cncc(Cl)c1CNCC1C[C@H]2CC[C@@H](C1)S2 ZINC000922421279 1057023345 /nfs/dbraw/zinc/02/33/45/1057023345.db2.gz UDUNQEVKDZPIHC-FGWVZKOKSA-N 0 3 317.285 4.152 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1nc2ccc(F)cc2s1 ZINC000428850668 1057024197 /nfs/dbraw/zinc/02/41/97/1057024197.db2.gz OFOLTHPIPXBSPF-UKRRQHHQSA-N 0 3 322.449 4.119 20 0 DIADHN CC(C)Oc1cccc(CNCc2c[nH]c(=O)c3ccccc23)c1 ZINC000922496683 1057028386 /nfs/dbraw/zinc/02/83/86/1057028386.db2.gz MQJFGBOWKGNOHH-UHFFFAOYSA-N 0 3 322.408 4.017 20 0 DIADHN CCc1cc(CN[C@H](C)c2cccc(-c3ccccc3)c2)n[nH]1 ZINC000765991678 1057028452 /nfs/dbraw/zinc/02/84/52/1057028452.db2.gz FRMMUXUEQDJVHF-OAHLLOKOSA-N 0 3 305.425 4.490 20 0 DIADHN CCc1cc(CN[C@H](C)c2cccc(-c3ccccc3)c2)[nH]n1 ZINC000765991678 1057028455 /nfs/dbraw/zinc/02/84/55/1057028455.db2.gz FRMMUXUEQDJVHF-OAHLLOKOSA-N 0 3 305.425 4.490 20 0 DIADHN O=c1[nH]cc(CNCc2cccc(C3CC3)c2)c2ccccc21 ZINC000922494324 1057028489 /nfs/dbraw/zinc/02/84/89/1057028489.db2.gz ZUIXIPCOPHUSFR-UHFFFAOYSA-N 0 3 304.393 4.108 20 0 DIADHN CC[C@@H](NCc1ccc(C(F)(F)F)s1)c1ccncc1 ZINC000428871731 1057029059 /nfs/dbraw/zinc/02/90/59/1057029059.db2.gz JZHQHALJBIOQLU-GFCCVEGCSA-N 0 3 300.349 4.403 20 0 DIADHN CCc1cc(CN[C@@H](Cc2ccccc2)c2ccccc2)[nH]n1 ZINC000765992246 1057032370 /nfs/dbraw/zinc/03/23/70/1057032370.db2.gz HWJVYLBWOIIYBZ-FQEVSTJZSA-N 0 3 305.425 4.046 20 0 DIADHN CCc1cc(CN[C@@H](Cc2ccccc2)c2ccccc2)n[nH]1 ZINC000765992246 1057032375 /nfs/dbraw/zinc/03/23/75/1057032375.db2.gz HWJVYLBWOIIYBZ-FQEVSTJZSA-N 0 3 305.425 4.046 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnc3cccnc3c2)cc1F ZINC001241385640 1057034050 /nfs/dbraw/zinc/03/40/50/1057034050.db2.gz AYCDPXKXGPRUID-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1ccccn1)c1ccc(Cl)cc1 ZINC000282366826 1057047460 /nfs/dbraw/zinc/04/74/60/1057047460.db2.gz ABWUCZJRALGGTI-SUMWQHHRSA-N 0 3 304.821 4.116 20 0 DIADHN CSc1ccc(CNCc2ccc3c(c2)C[C@H](C)O3)s1 ZINC000922702347 1057050362 /nfs/dbraw/zinc/05/03/62/1057050362.db2.gz YZQUXXKTTZTRPH-NSHDSACASA-N 0 3 305.468 4.083 20 0 DIADHN C[C@H](NCCOc1cccnc1)c1cccc(Cl)c1Cl ZINC000765998273 1057052932 /nfs/dbraw/zinc/05/29/32/1057052932.db2.gz RVISVWMTFONWLC-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN OCC[C@@H](NCc1ccccc1C1CC1)c1ccc(Cl)cc1 ZINC000673615504 1057056298 /nfs/dbraw/zinc/05/62/98/1057056298.db2.gz QDSOJPLSYDTFDW-LJQANCHMSA-N 0 3 315.844 4.431 20 0 DIADHN Fc1cccc(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)c1F ZINC000922776526 1057056800 /nfs/dbraw/zinc/05/68/00/1057056800.db2.gz QCFMZJGCZWCUGO-UKRRQHHQSA-N 0 3 309.306 4.103 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc3cn(C)nc32)cc1F ZINC001241471062 1057058649 /nfs/dbraw/zinc/05/86/49/1057058649.db2.gz IXGAHFRVHHBFKR-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cc1c2c[nH]nc2ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001241476281 1057062033 /nfs/dbraw/zinc/06/20/33/1057062033.db2.gz BVVKTZQCSKHXAS-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CN(C)c1cccc(CN[C@@H]2CCSc3c(F)cccc32)c1 ZINC000361108435 1057062649 /nfs/dbraw/zinc/06/26/49/1057062649.db2.gz CHCSFBSMOPXTMB-QGZVFWFLSA-N 0 3 316.445 4.218 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2CCSC3(CCC3)C2)s1 ZINC000922840342 1057065898 /nfs/dbraw/zinc/06/58/98/1057065898.db2.gz MGASJRCRPFUTQN-GFCCVEGCSA-N 0 3 310.532 4.349 20 0 DIADHN Clc1cncc(Cl)c1CN[C@H]1CCSC2(CCC2)C1 ZINC000922841959 1057066069 /nfs/dbraw/zinc/06/60/69/1057066069.db2.gz GEMCRGMSWJEPKW-JTQLQIEISA-N 0 3 317.285 4.296 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4c(c3)NCCO4)cc21 ZINC001241489689 1057066658 /nfs/dbraw/zinc/06/66/58/1057066658.db2.gz QVGMXTRHVGLVHR-UHFFFAOYSA-N 0 3 306.409 4.159 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3c(c2)NCCO3)cc1F ZINC001241492825 1057067059 /nfs/dbraw/zinc/06/70/59/1057067059.db2.gz QECPEPVBSSGBTF-UHFFFAOYSA-N 0 3 314.404 4.139 20 0 DIADHN c1csc([C@H]2CCCN2Cc2cccc3nsnc32)c1 ZINC000766003499 1057070046 /nfs/dbraw/zinc/07/00/46/1057070046.db2.gz VPKWVSRUJJQHKY-CYBMUJFWSA-N 0 3 301.440 4.090 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H]1CCCc2c(Cl)cccc21 ZINC000282397621 1057070876 /nfs/dbraw/zinc/07/08/76/1057070876.db2.gz KFTUHGFRFDSQRV-IRXDYDNUSA-N 0 3 315.848 4.118 20 0 DIADHN Cc1cccc2ncnc(C3=CCN(Cc4ccccc4)CC3)c12 ZINC001241502034 1057071582 /nfs/dbraw/zinc/07/15/82/1057071582.db2.gz MMJAPUMBPRGJAG-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN COC(=O)c1ccc(C2=CCN(Cc3ccccc3)CC2)c(C)c1 ZINC001241503918 1057073500 /nfs/dbraw/zinc/07/35/00/1057073500.db2.gz IUWDLWFWIPESFV-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN FC(F)(F)c1ccncc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504694 1057075111 /nfs/dbraw/zinc/07/51/11/1057075111.db2.gz YJYLTWJZKQLVJS-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN FC(F)c1ncccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504606 1057075654 /nfs/dbraw/zinc/07/56/54/1057075654.db2.gz WMGBBZMPZQVFPN-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN CCc1cc(CN[C@H](C)c2ccc(-c3ccccc3)cc2)n[nH]1 ZINC000766004914 1057076473 /nfs/dbraw/zinc/07/64/73/1057076473.db2.gz UAQNEZSPVJTASA-OAHLLOKOSA-N 0 3 305.425 4.490 20 0 DIADHN COc1c(F)c(F)ccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241507004 1057076761 /nfs/dbraw/zinc/07/67/61/1057076761.db2.gz GYGWNPYMKREJPO-UHFFFAOYSA-N 0 3 315.363 4.263 20 0 DIADHN COCc1ccc(C2=CCN(Cc3ccccc3)CC2)c(F)c1 ZINC001241507525 1057078666 /nfs/dbraw/zinc/07/86/66/1057078666.db2.gz XGXZQUACVSOPHE-UHFFFAOYSA-N 0 3 311.400 4.261 20 0 DIADHN c1ccc(CN2CC=C(c3ccnc(OCC4CC4)c3)CC2)cc1 ZINC001241507560 1057078897 /nfs/dbraw/zinc/07/88/97/1057078897.db2.gz ZBBYKZAKXVQIIG-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN CN(C)c1ccc(F)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241508097 1057079150 /nfs/dbraw/zinc/07/91/50/1057079150.db2.gz ZHMICNARZWUWFC-UHFFFAOYSA-N 0 3 310.416 4.181 20 0 DIADHN CC1(C)CNc2cc(C3=CCN(Cc4ccccc4)CC3)cnc21 ZINC001241508099 1057079322 /nfs/dbraw/zinc/07/93/22/1057079322.db2.gz ZRGQKQRVBNITKV-UHFFFAOYSA-N 0 3 319.452 4.074 20 0 DIADHN Cc1cc(-c2ccc(F)c(CN3CCCC3)c2)cc2c[nH]nc21 ZINC001241517399 1057082671 /nfs/dbraw/zinc/08/26/71/1057082671.db2.gz JBWFQKNUWHJAPM-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(-c2ccc3c(N)ccnc3c2)c1 ZINC001241538315 1057088011 /nfs/dbraw/zinc/08/80/11/1057088011.db2.gz QQGONOYNCBWXNT-UHFFFAOYSA-N 0 3 320.392 4.439 20 0 DIADHN COc1ccc([C@H](NCc2cc3cccnc3o2)C2CCC2)cc1 ZINC000922889181 1057091568 /nfs/dbraw/zinc/09/15/68/1057091568.db2.gz RAUUVOMKPZLTRH-LJQANCHMSA-N 0 3 322.408 4.467 20 0 DIADHN CN1CCC=C(c2nnc(-c3cccs3)c3ccccc32)C1 ZINC001241567770 1057092572 /nfs/dbraw/zinc/09/25/72/1057092572.db2.gz IJGCKDCHTHNHGL-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN c1ccc(C2CCN(Cc3cccc4nsnc43)CC2)cc1 ZINC000766013687 1057097241 /nfs/dbraw/zinc/09/72/41/1057097241.db2.gz WPAIAOZEEGUVIG-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@H](C)c1ccc(C)o1 ZINC000673651041 1057098307 /nfs/dbraw/zinc/09/83/07/1057098307.db2.gz IGJSGIYBARCOAS-HLLBOEOZSA-N 0 3 320.477 4.151 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)C1CCC(C)CC1 ZINC000302600707 1057106403 /nfs/dbraw/zinc/10/64/03/1057106403.db2.gz ZYZBLGUEFPDXTM-UHFFFAOYSA-N 0 3 316.489 4.260 20 0 DIADHN CC(C)Sc1ccc(-c2cc(N3CCOCC3)ccn2)cc1 ZINC001241610077 1057108581 /nfs/dbraw/zinc/10/85/81/1057108581.db2.gz CZOUMKHYSUUHGU-UHFFFAOYSA-N 0 3 314.454 4.086 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc4c[nH]nc43)c(C)c2)CC1 ZINC001241622896 1057113729 /nfs/dbraw/zinc/11/37/29/1057113729.db2.gz WPELJVJTDYWEMK-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN Cc1cc(C)c(-c2cccc3cc([C@H]4CNCCO4)cn32)cc1C ZINC001241634069 1057116444 /nfs/dbraw/zinc/11/64/44/1057116444.db2.gz OJCOQTXJYMQYTA-OAQYLSRUSA-N 0 3 320.436 4.192 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)Cc2cc(C)c(O)c(C)c2)s1 ZINC000347794957 1057116743 /nfs/dbraw/zinc/11/67/43/1057116743.db2.gz YNYQTEGDTCFOKH-ZDUSSCGKSA-N 0 3 304.459 4.275 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)C(C)(C)CO)s2)cc1 ZINC000673755187 1057125981 /nfs/dbraw/zinc/12/59/81/1057125981.db2.gz VUFRCWCSDMMQRW-UHFFFAOYSA-N 0 3 318.486 4.136 20 0 DIADHN Cc1noc(C)c1CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC000429138840 1057134877 /nfs/dbraw/zinc/13/48/77/1057134877.db2.gz FYSFSMXSYYSIBZ-HNNXBMFYSA-N 0 3 322.861 4.311 20 0 DIADHN CCO[C@H](CN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CC1 ZINC000625458185 1057142973 /nfs/dbraw/zinc/14/29/73/1057142973.db2.gz GJNFIJNVPHLRIS-MEDUHNTESA-N 0 3 321.852 4.024 20 0 DIADHN c1ccc2c(c1)sc1ccc(-c3ncc4c(n3)CNCC4)cc12 ZINC001241711430 1057144681 /nfs/dbraw/zinc/14/46/81/1057144681.db2.gz AFAVGZJVMRWTGO-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN CCOC1CCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000673894317 1057147667 /nfs/dbraw/zinc/14/76/67/1057147667.db2.gz WOPFMCPIJLNXKE-UHFFFAOYSA-N 0 3 311.425 4.480 20 0 DIADHN CCCc1ccc([C@H](C)N[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000766041331 1057148313 /nfs/dbraw/zinc/14/83/13/1057148313.db2.gz BHFOXMFAYZDPMB-LIRRHRJNSA-N 0 3 309.457 4.466 20 0 DIADHN CC(C)c1cccc(-c2cncc([C@@H]3CN4CCC3CC4)n2)c1 ZINC001241737446 1057152433 /nfs/dbraw/zinc/15/24/33/1057152433.db2.gz SBGOBZDBNRLSIV-GOSISDBHSA-N 0 3 307.441 4.076 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCc3nc(C)ncc32)cc(C)c1F ZINC000766043795 1057152692 /nfs/dbraw/zinc/15/26/92/1057152692.db2.gz LIXNINOVCSOHJX-GUYCJALGSA-N 0 3 313.420 4.269 20 0 DIADHN Cc1cc(C)c([C@@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc1C ZINC000766041879 1057153676 /nfs/dbraw/zinc/15/36/76/1057153676.db2.gz BSBLDHRNCBLQSF-DNVCBOLYSA-N 0 3 309.457 4.438 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4csnc4c3)cc2)CC1 ZINC001241743426 1057154018 /nfs/dbraw/zinc/15/40/18/1057154018.db2.gz AYUHLEDCKUPRDB-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3cc(F)cc4cc[nH]c43)cc2)CC1 ZINC001241743634 1057154188 /nfs/dbraw/zinc/15/41/88/1057154188.db2.gz PSWALVFQWCFRMY-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CC[C@H](NCc1nc2cc(C)ccc2s1)[C@H]1CCCCO1 ZINC000625518236 1057155007 /nfs/dbraw/zinc/15/50/07/1057155007.db2.gz HMDXKMALEVJOGR-DZGCQCFKSA-N 0 3 304.459 4.042 20 0 DIADHN COc1cc(C)c(-c2ccc(F)c(CN3CCCCC3)c2)cn1 ZINC001241775908 1057162244 /nfs/dbraw/zinc/16/22/44/1057162244.db2.gz JQBCTQPYUHDMJV-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN NCc1cccc(NCCC(c2ccccc2)c2ccccc2)n1 ZINC001168749390 1057162529 /nfs/dbraw/zinc/16/25/29/1057162529.db2.gz WCPOYLGIMAFIEI-UHFFFAOYSA-N 0 3 317.436 4.174 20 0 DIADHN NCc1cc(NCCC(c2ccccc2)c2ccccc2)ccn1 ZINC001168749526 1057164790 /nfs/dbraw/zinc/16/47/90/1057164790.db2.gz XPEJUKBXIUUBTP-UHFFFAOYSA-N 0 3 317.436 4.174 20 0 DIADHN CN(Cc1ccc(-c2ccc(-c3ccccc3)nc2)nc1)C1CC1 ZINC001241793612 1057166925 /nfs/dbraw/zinc/16/69/25/1057166925.db2.gz MBHJIZJIWLKASH-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN CN1CCc2ccc(-c3ccc(-c4ccccc4)nc3)cc2C1 ZINC001241795531 1057167749 /nfs/dbraw/zinc/16/77/49/1057167749.db2.gz YFRYWFHTPUIREZ-UHFFFAOYSA-N 0 3 300.405 4.404 20 0 DIADHN COc1cc(C(F)(F)F)ccc1-c1ccc2c(c1)CN(C)CC2 ZINC001241813679 1057173461 /nfs/dbraw/zinc/17/34/61/1057173461.db2.gz YBRYNHNOOWARFX-UHFFFAOYSA-N 0 3 321.342 4.369 20 0 DIADHN CC(C)=C[C@H]1[C@@H](C(=O)Nc2cc(CN(C)C)ccc2C)C1(C)C ZINC000674002478 1057173874 /nfs/dbraw/zinc/17/38/74/1057173874.db2.gz LOZFAXLGSGESMT-WMZOPIPTSA-N 0 3 314.473 4.234 20 0 DIADHN C[C@H](C(=O)N[C@@H](C)c1cccc2ccccc21)N1C2CCC1CC2 ZINC000674006110 1057175884 /nfs/dbraw/zinc/17/58/84/1057175884.db2.gz LVUDCXDKSWRGPS-WPAPPDIDSA-N 0 3 322.452 4.032 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(C(F)(F)F)cc2)c1 ZINC000058828713 1057179084 /nfs/dbraw/zinc/17/90/84/1057179084.db2.gz IPWRUCNCEHQWSJ-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CCc1ccc(CN2CCC[C@H](c3nc(C)c(C)s3)C2)cn1 ZINC000347875906 1057179097 /nfs/dbraw/zinc/17/90/97/1057179097.db2.gz XZKBVRWPHKJKOA-INIZCTEOSA-N 0 3 315.486 4.097 20 0 DIADHN Cc1cccc(OCCN(C)Cc2ccc(OC(F)F)cc2)c1 ZINC000058887118 1057180641 /nfs/dbraw/zinc/18/06/41/1057180641.db2.gz HVEODLPOEFCFNC-UHFFFAOYSA-N 0 3 321.367 4.107 20 0 DIADHN C[C@@H]1CN(Cc2ccc(F)c3cccnc23)C[C@@H](C)C1(F)F ZINC000625647501 1057181487 /nfs/dbraw/zinc/18/14/87/1057181487.db2.gz MLQCLQGWDAHGNK-VXGBXAGGSA-N 0 3 308.347 4.097 20 0 DIADHN Cc1ncccc1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000625655534 1057182648 /nfs/dbraw/zinc/18/26/48/1057182648.db2.gz ODDGVPQVKXHKCN-MRXNPFEDSA-N 0 3 302.368 4.048 20 0 DIADHN CC(C)OC1CC(N2CCC[C@H](c3ccc(F)c(F)c3)C2)C1 ZINC000625654973 1057183384 /nfs/dbraw/zinc/18/33/84/1057183384.db2.gz JNQSISAEWWYTAF-FHERZECASA-N 0 3 309.400 4.100 20 0 DIADHN Cc1cncc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)c1 ZINC000625654921 1057183413 /nfs/dbraw/zinc/18/34/13/1057183413.db2.gz IYBZPUWMWVCCDW-INIZCTEOSA-N 0 3 302.368 4.048 20 0 DIADHN Fc1ccc(-c2ccc3c(c2)CCCN3)cc1CN1CCCC1 ZINC001241839967 1057184646 /nfs/dbraw/zinc/18/46/46/1057184646.db2.gz YYIGXUACJONFSF-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Cc1cccc2ncc(CN[C@@H](CC3CC3)c3ccccc3)n21 ZINC000674045133 1057189845 /nfs/dbraw/zinc/18/98/45/1057189845.db2.gz GCPAZSXRYVRCAV-IBGZPJMESA-N 0 3 305.425 4.274 20 0 DIADHN CCOc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(Cl)c1 ZINC001241849322 1057190859 /nfs/dbraw/zinc/19/08/59/1057190859.db2.gz WYEMRLDRSHBGOH-UHFFFAOYSA-N 0 3 316.832 4.395 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccccc2)n1)c1ccncc1 ZINC000674069865 1057198153 /nfs/dbraw/zinc/19/81/53/1057198153.db2.gz JPPCGTAEUQJBES-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1n[nH]c2ccccc12 ZINC000674336034 1057232143 /nfs/dbraw/zinc/23/21/43/1057232143.db2.gz WSGXBYRIHBTPBR-BUXKBTBVSA-N 0 3 309.388 4.115 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1[nH]nc2ccccc21 ZINC000674336034 1057232147 /nfs/dbraw/zinc/23/21/47/1057232147.db2.gz WSGXBYRIHBTPBR-BUXKBTBVSA-N 0 3 309.388 4.115 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC000674371043 1057236371 /nfs/dbraw/zinc/23/63/71/1057236371.db2.gz JBRCIXSNVDKJFH-PXNSSMCTSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1cc(C)c(CN[C@@H](Cn2cccn2)c2ccccc2)c(C)c1 ZINC000674370922 1057236753 /nfs/dbraw/zinc/23/67/53/1057236753.db2.gz GJJDTTHDPWSVBS-NRFANRHFSA-N 0 3 319.452 4.339 20 0 DIADHN COc1c(C)cccc1CN[C@H](C)c1cc2ccncc2s1 ZINC000658047211 1057242450 /nfs/dbraw/zinc/24/24/50/1057242450.db2.gz PCBMNAFROYRTQN-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN COc1ccc(-c2c(F)ccc3ccc(C)nc32)cc1CN(C)C ZINC001242053514 1057252222 /nfs/dbraw/zinc/25/22/22/1057252222.db2.gz IHMOBDRNTHXXKH-UHFFFAOYSA-N 0 3 324.399 4.420 20 0 DIADHN CCCCC[C@H](C(=O)NC[C@H]1N(C)CCCC1(C)C)C(C)C ZINC001128745951 1057254282 /nfs/dbraw/zinc/25/42/82/1057254282.db2.gz RLZFZDCWCHIJAM-DLBZAZTESA-N 0 3 310.526 4.076 20 0 DIADHN CCC[C@@H](NCc1cccnc1N1CCCC1)c1ccccc1 ZINC000658099153 1057265543 /nfs/dbraw/zinc/26/55/43/1057265543.db2.gz AANMYTZTDCFZKI-LJQANCHMSA-N 0 3 309.457 4.313 20 0 DIADHN S=C1Cc2ccc(-c3cccc(C[NH+]4CCCC4)c3)cc2[N-]1 ZINC001242119929 1057269189 /nfs/dbraw/zinc/26/91/89/1057269189.db2.gz LWAXWOPVEHCPBT-UHFFFAOYSA-N 0 3 308.450 4.245 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccc(OC(C)(C)C)cc1 ZINC000674717692 1057277553 /nfs/dbraw/zinc/27/75/53/1057277553.db2.gz PUFRUVAZWYEZJZ-UHFFFAOYSA-N 0 3 323.440 4.110 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(C(F)(F)F)ccc1O ZINC001242148347 1057280100 /nfs/dbraw/zinc/28/01/00/1057280100.db2.gz VEDNLFRPOBDBTM-SOFGYWHQSA-N 0 3 308.303 4.005 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nc(-c2cccc(Cl)c2)no1 ZINC000674767056 1057281449 /nfs/dbraw/zinc/28/14/49/1057281449.db2.gz WTPLHGWLHGJCMX-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN [NH3+]C1(c2ccccc2-c2ccc([O-])c(C(F)(F)F)c2)CCC1 ZINC001242166758 1057285624 /nfs/dbraw/zinc/28/56/24/1057285624.db2.gz WHYVKCCBTJKBLT-UHFFFAOYSA-N 0 3 307.315 4.416 20 0 DIADHN Nc1ccnc(-c2cc(F)c(OCc3ccccc3)c(F)c2)c1 ZINC001242201344 1057292347 /nfs/dbraw/zinc/29/23/47/1057292347.db2.gz JRJWMFBDGWCATB-UHFFFAOYSA-N 0 3 312.319 4.188 20 0 DIADHN Brc1ccccc1[C@@H]1CCCN1C[C@@H]1CCCCO1 ZINC000674868434 1057293889 /nfs/dbraw/zinc/29/38/89/1057293889.db2.gz JAQMOKZUIQSSGF-BBRMVZONSA-N 0 3 324.262 4.155 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc21)c1cccc(N2CCCC2)c1 ZINC000674883297 1057295568 /nfs/dbraw/zinc/29/55/68/1057295568.db2.gz ZRPRHIVQAXCSMU-HNNXBMFYSA-N 0 3 320.440 4.014 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1cccc(N2CCCC2)c1 ZINC000674883297 1057295573 /nfs/dbraw/zinc/29/55/73/1057295573.db2.gz ZRPRHIVQAXCSMU-HNNXBMFYSA-N 0 3 320.440 4.014 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc21)c1cccc(N2CCCC2)c1 ZINC000674883299 1057295633 /nfs/dbraw/zinc/29/56/33/1057295633.db2.gz ZRPRHIVQAXCSMU-OAHLLOKOSA-N 0 3 320.440 4.014 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1cccc(N2CCCC2)c1 ZINC000674883299 1057295636 /nfs/dbraw/zinc/29/56/36/1057295636.db2.gz ZRPRHIVQAXCSMU-OAHLLOKOSA-N 0 3 320.440 4.014 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCCOC(C)(C)C)CC2)cc1 ZINC000674904278 1057297865 /nfs/dbraw/zinc/29/78/65/1057297865.db2.gz QQAWISZFOCLKEO-UHFFFAOYSA-N 0 3 317.473 4.095 20 0 DIADHN Cc1cc(Cl)cc(-c2ncccc2CN2CCCC2)c1F ZINC001242226138 1057301141 /nfs/dbraw/zinc/30/11/41/1057301141.db2.gz JKCUVTKLDAJFLA-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN CN(Cc1cc2ccccc2o1)Cc1cccc2nsnc21 ZINC000766084887 1057303825 /nfs/dbraw/zinc/30/38/25/1057303825.db2.gz RDQWOWSCOQQEGJ-UHFFFAOYSA-N 0 3 309.394 4.070 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CC(C)(C)c3ccccc32)c1 ZINC000675106782 1057316890 /nfs/dbraw/zinc/31/68/90/1057316890.db2.gz NXADISJSFCNQEG-UHFFFAOYSA-N 0 3 323.440 4.078 20 0 DIADHN COc1ccnc(CN[C@@H](C)[C@H](C)c2ccc(Cl)cc2)c1F ZINC000658225525 1057327485 /nfs/dbraw/zinc/32/74/85/1057327485.db2.gz UQVZUDLAVFSLMO-RYUDHWBXSA-N 0 3 322.811 4.165 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(C(F)(F)F)cc1 ZINC000675249890 1057333407 /nfs/dbraw/zinc/33/34/07/1057333407.db2.gz DJLITBIQYAMNTR-INIZCTEOSA-N 0 3 323.362 4.084 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(c2ccccc2F)CC1 ZINC000675248709 1057333528 /nfs/dbraw/zinc/33/35/28/1057333528.db2.gz WFAVPRIYGRKKJJ-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCc3ccc(O)cc31)CCC2 ZINC000658237929 1057335389 /nfs/dbraw/zinc/33/53/89/1057335389.db2.gz GZETZOHEMJCUHR-UXHICEINSA-N 0 3 309.409 4.055 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3ccc(O)cc31)CCC2 ZINC000658237928 1057335489 /nfs/dbraw/zinc/33/54/89/1057335489.db2.gz GZETZOHEMJCUHR-PMACEKPBSA-N 0 3 309.409 4.055 20 0 DIADHN c1ccc2c(c1)COC21CCN(c2ccnc3ccccc32)CC1 ZINC000675297249 1057338072 /nfs/dbraw/zinc/33/80/72/1057338072.db2.gz JCGZHUFSERXRKQ-UHFFFAOYSA-N 0 3 316.404 4.261 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](O)C(C)C)c(C)n1 ZINC000658288298 1057355577 /nfs/dbraw/zinc/35/55/77/1057355577.db2.gz NTUBUXVLHXLFLO-DBVUQKKJSA-N 0 3 312.457 4.107 20 0 DIADHN Cc1ccc(-c2cccc(CN)n2)cc1OCc1ccccc1 ZINC001242387254 1057356158 /nfs/dbraw/zinc/35/61/58/1057356158.db2.gz CAPMSPFGOLDSMC-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN Cc1cccn2c(CNC(C)(C)c3cccc(Cl)c3)cnc12 ZINC000174415994 1057360928 /nfs/dbraw/zinc/36/09/28/1057360928.db2.gz FHPCLOYIZCJMJL-UHFFFAOYSA-N 0 3 313.832 4.321 20 0 DIADHN Fc1cc(-c2ccc(OC(F)F)nc2)ccc1CN1CCCC1 ZINC001242421882 1057362788 /nfs/dbraw/zinc/36/27/88/1057362788.db2.gz YUDMHMSVQHUQRR-UHFFFAOYSA-N 0 3 322.330 4.085 20 0 DIADHN Cc1ccc([C@H](C)CN[C@H](C)c2cn(-c3ccccc3)nn2)cc1 ZINC000675446334 1057369697 /nfs/dbraw/zinc/36/96/97/1057369697.db2.gz QQMSECFQOUAQAY-IAGOWNOFSA-N 0 3 320.440 4.030 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2c1cccc2Cl)c1cccs1 ZINC000766138397 1057375446 /nfs/dbraw/zinc/37/54/46/1057375446.db2.gz XUEOXJFYOMNNRY-LSDHHAIUSA-N 0 3 307.846 4.102 20 0 DIADHN CN(C)Cc1ccc(/C=C/c2ccccc2C(F)(F)F)nc1 ZINC001242491417 1057381894 /nfs/dbraw/zinc/38/18/94/1057381894.db2.gz OSVLMKZSGLWPJW-CSKARUKUSA-N 0 3 306.331 4.332 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(OC)c2C)ccc1F ZINC000658352266 1057384073 /nfs/dbraw/zinc/38/40/73/1057384073.db2.gz GXTIAFRZDVWEBZ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1cc(Cl)cc(-c2cc(OC3CCN(C)CC3)ccn2)c1 ZINC001242517640 1057392327 /nfs/dbraw/zinc/39/23/27/1057392327.db2.gz FEVICEVTHIRWLJ-UHFFFAOYSA-N 0 3 316.832 4.183 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(C)c(F)c1 ZINC000675638149 1057394994 /nfs/dbraw/zinc/39/49/94/1057394994.db2.gz RHADSOWRJGTRDZ-MRXNPFEDSA-N 0 3 303.352 4.059 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc([C@@H](C)O)c3)c(C)c2)CC1 ZINC001242546973 1057401016 /nfs/dbraw/zinc/40/10/16/1057401016.db2.gz XBEYVLWHVVZHMF-MRXNPFEDSA-N 0 3 322.452 4.219 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc([C@@H](C)O)c2)cc1F ZINC001242551752 1057402645 /nfs/dbraw/zinc/40/26/45/1057402645.db2.gz KMIBFNQSJMXSOI-CQSZACIVSA-N 0 3 301.405 4.388 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCOc3c(OC)cccc32)cc1 ZINC000675725764 1057404991 /nfs/dbraw/zinc/40/49/91/1057404991.db2.gz GQTGKPXQPWOGKO-KDOFPFPSSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2c(C)cccc2C)c(C)c1 ZINC000675726840 1057405091 /nfs/dbraw/zinc/40/50/91/1057405091.db2.gz DLMDYOCSACOPLS-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2c(C)cccc2C)c(C)c1 ZINC000675726835 1057405266 /nfs/dbraw/zinc/40/52/66/1057405266.db2.gz DLMDYOCSACOPLS-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2CCc3c2cccc3C)c1 ZINC000675752221 1057406997 /nfs/dbraw/zinc/40/69/97/1057406997.db2.gz MECGKXADOKVAHH-IBGZPJMESA-N 0 3 308.425 4.121 20 0 DIADHN Cc1occc1CN[C@@H](C)CC(=O)Nc1ccc(C)cc1Cl ZINC000675779312 1057409386 /nfs/dbraw/zinc/40/93/86/1057409386.db2.gz KVQHXICWFFMPMO-LBPRGKRZSA-N 0 3 320.820 4.057 20 0 DIADHN COC[C@H](N[C@H](c1ccccc1)c1cccc(Cl)c1)C1CC1 ZINC000675786182 1057414324 /nfs/dbraw/zinc/41/43/24/1057414324.db2.gz NCHLJMQNAOXHSY-RBUKOAKNSA-N 0 3 315.844 4.444 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc(C)c(C)c1 ZINC000675789341 1057415095 /nfs/dbraw/zinc/41/50/95/1057415095.db2.gz YVEPQWCWOWFHOO-MAUKXSAKSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CN2CCCCC2)c2ccccc2)o1 ZINC000675809247 1057417431 /nfs/dbraw/zinc/41/74/31/1057417431.db2.gz DZSBCESLOQNOTN-IEBWSBKVSA-N 0 3 312.457 4.466 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1cc(C)cc(C)c1 ZINC000675830983 1057420334 /nfs/dbraw/zinc/42/03/34/1057420334.db2.gz MVVGTJGBEGKLON-YJBOKZPZSA-N 0 3 311.425 4.486 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NC/C=C\c1ccccc1)CCO2 ZINC000675872374 1057425816 /nfs/dbraw/zinc/42/58/16/1057425816.db2.gz FEZFRYQOTGPKNU-WMHRWZGDSA-N 0 3 309.409 4.212 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3ccccc3F)s2)n[nH]1 ZINC000675891821 1057427123 /nfs/dbraw/zinc/42/71/23/1057427123.db2.gz AQSDVWQULBWKTD-GFCCVEGCSA-N 0 3 315.417 4.437 20 0 DIADHN C[C@@H](N[C@H](Cc1nccn1C)c1ccccc1)c1cccc(F)c1 ZINC000675918296 1057431298 /nfs/dbraw/zinc/43/12/98/1057431298.db2.gz GCRYKJPCGQAOLN-DNVCBOLYSA-N 0 3 323.415 4.194 20 0 DIADHN c1cc(CNC(CCc2ccccc2)CCc2ccccc2)no1 ZINC000675926105 1057432926 /nfs/dbraw/zinc/43/29/26/1057432926.db2.gz OFOUOKYJVPBSHQ-UHFFFAOYSA-N 0 3 320.436 4.398 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCC2 ZINC000675903029 1057433468 /nfs/dbraw/zinc/43/34/68/1057433468.db2.gz SXNSOQALWVXHMU-VBKZILBWSA-N 0 3 323.436 4.358 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H](CC)Cc2cccs2)o1 ZINC000675902666 1057433711 /nfs/dbraw/zinc/43/37/11/1057433711.db2.gz XYBSYVLSKSSXBN-CZUORRHYSA-N 0 3 307.459 4.202 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H]1CCc2c1cccc2C ZINC000675902911 1057433905 /nfs/dbraw/zinc/43/39/05/1057433905.db2.gz LXKCXTCYMXORBA-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(CO)ccc2C)c1F ZINC001242669485 1057441173 /nfs/dbraw/zinc/44/11/73/1057441173.db2.gz BRZFACNHFNSZHF-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN c1cc2cc(-c3cncc(CN4CCCCC4)c3)cnc2s1 ZINC001242680907 1057446285 /nfs/dbraw/zinc/44/62/85/1057446285.db2.gz ZVISOHFGBUOFHS-UHFFFAOYSA-N 0 3 309.438 4.344 20 0 DIADHN c1ccc(C2CC2)c(CNC2(c3ccc4c(c3)OCO4)CC2)c1 ZINC000676239808 1057458073 /nfs/dbraw/zinc/45/80/73/1057458073.db2.gz FSXRHQCVKYHWFB-UHFFFAOYSA-N 0 3 307.393 4.072 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(OCC(F)(F)F)cc1 ZINC001242720333 1057459309 /nfs/dbraw/zinc/45/93/09/1057459309.db2.gz GGQRSGLFVLLPPE-CSKARUKUSA-N 0 3 322.330 4.222 20 0 DIADHN Clc1cscc1CNCCc1nc2ccccc2s1 ZINC000676415392 1057471423 /nfs/dbraw/zinc/47/14/23/1057471423.db2.gz LLGSUFXNLSYQJA-UHFFFAOYSA-N 0 3 308.859 4.344 20 0 DIADHN COCc1ccc(CN(C)Cc2ccc(C(F)(F)F)cc2)cc1 ZINC000676413656 1057471746 /nfs/dbraw/zinc/47/17/46/1057471746.db2.gz ZPKDQJVQIVMTBX-UHFFFAOYSA-N 0 3 323.358 4.484 20 0 DIADHN Nc1ccnc2cc(-c3cc(O)cc(C(F)(F)F)c3)ccc12 ZINC001242783921 1057474810 /nfs/dbraw/zinc/47/48/10/1057474810.db2.gz NHLYDHJYDDBJMD-UHFFFAOYSA-N 0 3 304.271 4.208 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H](c2ccc(C)cc2)N(C)C)c(C)s1 ZINC000676490775 1057475967 /nfs/dbraw/zinc/47/59/67/1057475967.db2.gz TWDSPFFWSVLPMA-GUYCJALGSA-N 0 3 317.502 4.022 20 0 DIADHN COc1ccc(CCCN[C@H](C)c2nc(C)sc2C)cc1 ZINC000676491018 1057476610 /nfs/dbraw/zinc/47/66/10/1057476610.db2.gz VPWKLSSNOKWBOE-GFCCVEGCSA-N 0 3 304.459 4.052 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2nc(C)sc2C)cc1 ZINC000676491186 1057476685 /nfs/dbraw/zinc/47/66/85/1057476685.db2.gz YGRYGFWHFKODCE-STQMWFEESA-N 0 3 318.486 4.440 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOc2ccc(F)cc2)c(C)s1 ZINC000676488108 1057478400 /nfs/dbraw/zinc/47/84/00/1057478400.db2.gz BVGZDIFSVQYQQY-GFCCVEGCSA-N 0 3 322.449 4.409 20 0 DIADHN COC[C@@H](N[C@H]1CCOc2c(Cl)c(Cl)ccc21)C(C)C ZINC000766162434 1057529059 /nfs/dbraw/zinc/52/90/59/1057529059.db2.gz LIXZACOFJVPACW-QWHCGFSZSA-N 0 3 318.244 4.078 20 0 DIADHN Nc1c(F)cc(-c2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001242842241 1057495117 /nfs/dbraw/zinc/49/51/17/1057495117.db2.gz KSIAFXASBPXYGG-UHFFFAOYSA-N 0 3 320.358 4.339 20 0 DIADHN CCCn1nccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001242845693 1057495901 /nfs/dbraw/zinc/49/59/01/1057495901.db2.gz GZBOOYNKZFABTD-UHFFFAOYSA-N 0 3 301.409 4.085 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](Cn2cccn2)c2ccccc2)c1 ZINC000676703879 1057496812 /nfs/dbraw/zinc/49/68/12/1057496812.db2.gz YCMDRGBBRWUXBA-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN COCc1cccc(CN2CCS[C@H](c3ccccc3)C2)c1 ZINC000676715223 1057497942 /nfs/dbraw/zinc/49/79/42/1057497942.db2.gz KASYHONETHWTFV-IBGZPJMESA-N 0 3 313.466 4.123 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000218861818 1057502486 /nfs/dbraw/zinc/50/24/86/1057502486.db2.gz ANMJKYKOUNTXBS-GJZGRUSLSA-N 0 3 312.457 4.184 20 0 DIADHN C[C@@H](COc1ccccc1)NCc1ccccc1Br ZINC000710969735 1057503530 /nfs/dbraw/zinc/50/35/30/1057503530.db2.gz IOGIXDBJFLBUMX-ZDUSSCGKSA-N 0 3 320.230 4.006 20 0 DIADHN CC[C@@H]1CCC[C@H](N[C@@H](C)c2nnc3n2CCCCC3)CC1 ZINC000316016278 1057505552 /nfs/dbraw/zinc/50/55/52/1057505552.db2.gz ZPGGPOBYXDPOGQ-XHSDSOJGSA-N 0 3 304.482 4.014 20 0 DIADHN CC1(C)C[C@@H](CNCc2coc(-c3ccccc3)n2)C(C)(C)O1 ZINC000922952302 1057515098 /nfs/dbraw/zinc/51/50/98/1057515098.db2.gz VXLVUERNVOXOHR-HNNXBMFYSA-N 0 3 314.429 4.025 20 0 DIADHN COc1ccc(CNCc2cc(Cl)cs2)c(C)c1OC ZINC000922965948 1057516966 /nfs/dbraw/zinc/51/69/66/1057516966.db2.gz CLOBIWFGADINEL-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cncc(-c2ccccc2)c1 ZINC001242913649 1057518076 /nfs/dbraw/zinc/51/80/76/1057518076.db2.gz MRDOYZQKXCJEEZ-PKNBQFBNSA-N 0 3 301.393 4.343 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@H]2CCC3(CCC3)O2)n1 ZINC000922969164 1057518365 /nfs/dbraw/zinc/51/83/65/1057518365.db2.gz XICPUWGGIQLDLS-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CO[C@H](CN[C@H](c1ccccn1)C1CCC1)c1ccc(F)cc1 ZINC000625787397 1057518561 /nfs/dbraw/zinc/51/85/61/1057518561.db2.gz VVXIFXHMEIYYTG-MOPGFXCFSA-N 0 3 314.404 4.039 20 0 DIADHN c1csc(-c2ncc(CNC3([C@H]4CCCCO4)CC3)s2)c1 ZINC000923028716 1057521759 /nfs/dbraw/zinc/52/17/59/1057521759.db2.gz BKGGVNVRBHHUCA-CQSZACIVSA-N 0 3 320.483 4.063 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CCCC3(CC3)CC2)n1 ZINC000626113826 1057524569 /nfs/dbraw/zinc/52/45/69/1057524569.db2.gz HULIXSIYXBVUQX-HNNXBMFYSA-N 0 3 311.429 4.372 20 0 DIADHN Fc1ccc(-c2cnn3ccccc23)cc1CN1CCCCC1 ZINC001242967324 1057530390 /nfs/dbraw/zinc/53/03/90/1057530390.db2.gz NRJXATPSSLDCGS-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(-c2cccnc2)c1 ZINC001243002186 1057542421 /nfs/dbraw/zinc/54/24/21/1057542421.db2.gz DJXIYKGYQJOBOX-FMIVXFBMSA-N 0 3 301.393 4.343 20 0 DIADHN Cc1cc(C(F)F)oc1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000625994218 1057545938 /nfs/dbraw/zinc/54/59/38/1057545938.db2.gz DVKYGCWRPAPVMH-UHFFFAOYSA-N 0 3 322.355 4.148 20 0 DIADHN Cc1c(CO)cccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001243017364 1057547604 /nfs/dbraw/zinc/54/76/04/1057547604.db2.gz VMEZQQBBLFJBLE-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccccc3[nH]2)c(C)c1OC ZINC000671744668 1057555955 /nfs/dbraw/zinc/55/59/55/1057555955.db2.gz NSOFZRJMBRYIBA-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN COc1ccc([C@H](NCc2scnc2C2CC2)C2CC2)cc1 ZINC000486979939 1057571264 /nfs/dbraw/zinc/57/12/64/1057571264.db2.gz KPWRGAIPVVLZBI-QGZVFWFLSA-N 0 3 314.454 4.270 20 0 DIADHN C[C@@H](NCc1scnc1C1CC1)c1cccc(-n2cccn2)c1 ZINC000487322411 1057573052 /nfs/dbraw/zinc/57/30/52/1057573052.db2.gz LFPADZQPHCTYBS-CYBMUJFWSA-N 0 3 324.453 4.057 20 0 DIADHN C[C@@H](NCc1scnc1C1CC1)c1ccc(-n2cccn2)cc1 ZINC000487298005 1057573084 /nfs/dbraw/zinc/57/30/84/1057573084.db2.gz RHNKNFOMLUBPAC-CYBMUJFWSA-N 0 3 324.453 4.057 20 0 DIADHN CCCCOc1ccc(-c2ccc3c(c2)COC32CNC2)c(C)c1 ZINC001243100869 1057573226 /nfs/dbraw/zinc/57/32/26/1057573226.db2.gz MNTKDWDOHWPTSH-UHFFFAOYSA-N 0 3 323.436 4.170 20 0 DIADHN Clc1cc(Cl)c(Cl)c(-c2ccc3c(n2)CNCC3)c1 ZINC001243112567 1057575636 /nfs/dbraw/zinc/57/56/36/1057575636.db2.gz CYVIGWCGYBULTJ-UHFFFAOYSA-N 0 3 313.615 4.355 20 0 DIADHN COc1cc(-c2cnccc2/C=C/N(C)C)cc(C(F)(F)F)c1 ZINC001243117578 1057577058 /nfs/dbraw/zinc/57/70/58/1057577058.db2.gz OGKKJFYEOBSMAZ-FNORWQNLSA-N 0 3 322.330 4.308 20 0 DIADHN CSc1cccc(F)c1-c1cncc(CN2CCCC2)c1 ZINC001243130294 1057581908 /nfs/dbraw/zinc/58/19/08/1057581908.db2.gz NJJNBSBDXZDKJF-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN OCc1cccc(-c2ccc(F)c(CN3CCCCC3)c2)c1F ZINC001243143585 1057585293 /nfs/dbraw/zinc/58/52/93/1057585293.db2.gz GWYVSGANSWABEI-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN CSc1ncc(-c2cncc(CN3CCCCC3)c2)cc1C ZINC001243157334 1057589554 /nfs/dbraw/zinc/58/95/54/1057589554.db2.gz CMOKMBHUHOOCMN-UHFFFAOYSA-N 0 3 313.470 4.160 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN([C@H](C)c2ccccc2Cl)C1 ZINC000611320093 1057590284 /nfs/dbraw/zinc/59/02/84/1057590284.db2.gz URRRPBKIKQQAET-KGLIPLIRSA-N 0 3 323.864 4.312 20 0 DIADHN CSc1cc(F)c(-c2cncc(CN3CCCC3)c2)c(F)c1 ZINC001243230446 1057614245 /nfs/dbraw/zinc/61/42/45/1057614245.db2.gz BZFMEDUEIOBXGR-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)sc2C)cc1OC ZINC000049686992 1057614964 /nfs/dbraw/zinc/61/49/64/1057614964.db2.gz IBXPYZIIXSUKQH-LBPRGKRZSA-N 0 3 305.443 4.233 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1scnc1C1CC1 ZINC000494946843 1057619648 /nfs/dbraw/zinc/61/96/48/1057619648.db2.gz IUMKCWXICNIKOX-CYBMUJFWSA-N 0 3 316.470 4.092 20 0 DIADHN COc1ccc([C@@H](NCCc2cscn2)c2ccccc2)cc1 ZINC000108942065 1057626927 /nfs/dbraw/zinc/62/69/27/1057626927.db2.gz QVNRDGXQOJGZBE-IBGZPJMESA-N 0 3 324.449 4.073 20 0 DIADHN CCCOc1cccc(F)c1-c1ccc(OC)c(CN(C)C)c1 ZINC001243269237 1057628521 /nfs/dbraw/zinc/62/85/21/1057628521.db2.gz USPBSXNOZXFRSI-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CCCCOc1cccc(F)c1-c1cc(CN(C)C)ccn1 ZINC001243272752 1057630503 /nfs/dbraw/zinc/63/05/03/1057630503.db2.gz NKFVZCSNVOLKMN-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN COc1nccc(-c2ccc(CN3CCCC3)c(F)c2)c1Cl ZINC001243318472 1057644233 /nfs/dbraw/zinc/64/42/33/1057644233.db2.gz BHTCPPWYYLWAQG-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CCc1ccc(CN[C@@H]2CCc3cc(OC)c(OC)cc32)s1 ZINC000279933013 1057649638 /nfs/dbraw/zinc/64/96/38/1057649638.db2.gz AUVCNBQJEMKPTP-MRXNPFEDSA-N 0 3 317.454 4.105 20 0 DIADHN CC(=O)Oc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1 ZINC001243363587 1057659682 /nfs/dbraw/zinc/65/96/82/1057659682.db2.gz AQQZQKBNZBASEY-UHFFFAOYSA-N 0 3 313.372 4.014 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC(C)=O)cc2)c1F ZINC001243365970 1057660548 /nfs/dbraw/zinc/66/05/48/1057660548.db2.gz XODAEEYVKMJSCH-UHFFFAOYSA-N 0 3 315.388 4.260 20 0 DIADHN Nc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1Cl ZINC001243376468 1057664459 /nfs/dbraw/zinc/66/44/59/1057664459.db2.gz PKHPMNSTLFEBCH-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN CCC/C(C)=C/C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000727676551 1057664770 /nfs/dbraw/zinc/66/47/70/1057664770.db2.gz WTYAWSCDCZHVCQ-LQATUXICSA-N 0 3 314.473 4.076 20 0 DIADHN CCCOc1ccc(F)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001243401851 1057671675 /nfs/dbraw/zinc/67/16/75/1057671675.db2.gz VWOKYAOLIWFFKW-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2ccc(C(C)(C)C)s2)C1 ZINC000535266670 1057674223 /nfs/dbraw/zinc/67/42/23/1057674223.db2.gz VGASVUMMMQCFKO-QGZVFWFLSA-N 0 3 314.498 4.322 20 0 DIADHN CC(C)Oc1cc(F)c(F)cc1-c1cnccc1/C=C/N(C)C ZINC001243413423 1057675042 /nfs/dbraw/zinc/67/50/42/1057675042.db2.gz ZINXWZSKOCWZGQ-SOFGYWHQSA-N 0 3 318.367 4.346 20 0 DIADHN C[C@H](CCN[C@H](C)c1ncc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000286009617 1057687181 /nfs/dbraw/zinc/68/71/81/1057687181.db2.gz JCOHRAWNLMLXSV-VXGBXAGGSA-N 0 3 311.351 4.273 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F)c1ncc[nH]1 ZINC000285942264 1057687380 /nfs/dbraw/zinc/68/73/80/1057687380.db2.gz DLGDJDVMJYNRRY-WOPDTQHZSA-N 0 3 311.351 4.271 20 0 DIADHN CC(C)[C@H](NCc1cncn1C)c1ccc(Cl)c(Cl)c1 ZINC000289926821 1057690577 /nfs/dbraw/zinc/69/05/77/1057690577.db2.gz VEIXATJIDANJQW-HNNXBMFYSA-N 0 3 312.244 4.214 20 0 DIADHN Nc1ccc(Cl)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001243486713 1057693886 /nfs/dbraw/zinc/69/38/86/1057693886.db2.gz XVKAZTLJKCSEOB-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730020603 1057700959 /nfs/dbraw/zinc/70/09/59/1057700959.db2.gz CGDRZUYHNQJWCM-ZIAGYGMSSA-N 0 3 318.486 4.247 20 0 DIADHN Cc1ccnc(F)c1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001243549876 1057710931 /nfs/dbraw/zinc/71/09/31/1057710931.db2.gz JZRUUEPMXWNETP-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC(C)(C)n1cc(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001243565124 1057715376 /nfs/dbraw/zinc/71/53/76/1057715376.db2.gz CXZJAQHRCHCTGL-UHFFFAOYSA-N 0 3 315.436 4.430 20 0 DIADHN CCOc1ccc(Cl)c(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001243567442 1057716449 /nfs/dbraw/zinc/71/64/49/1057716449.db2.gz WHEBDXFSUQVSAY-UHFFFAOYSA-N 0 3 316.832 4.395 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(SC(F)F)cc2)CC1 ZINC000730570274 1057717901 /nfs/dbraw/zinc/71/79/01/1057717901.db2.gz KUCZENRLRSYBTI-UHFFFAOYSA-N 0 3 315.429 4.391 20 0 DIADHN CN(c1ccc(-c2cncc3cc[nH]c32)cn1)C1CCCCC1 ZINC001243596306 1057728354 /nfs/dbraw/zinc/72/83/54/1057728354.db2.gz JDHPXWOECOLTFI-UHFFFAOYSA-N 0 3 306.413 4.394 20 0 DIADHN CCN(C(=O)OC(C)(C)C)[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000731149506 1057735162 /nfs/dbraw/zinc/73/51/62/1057735162.db2.gz KMZXRWQXVLYLNN-DOTOQJQBSA-N 0 3 318.461 4.079 20 0 DIADHN CCN(C(=O)OC(C)(C)C)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000731149509 1057735198 /nfs/dbraw/zinc/73/51/98/1057735198.db2.gz KMZXRWQXVLYLNN-WBVHZDCISA-N 0 3 318.461 4.079 20 0 DIADHN CC[C@@H](NCc1cnc2onc(C)c2c1)c1ccc(Cl)s1 ZINC001119668313 1057735812 /nfs/dbraw/zinc/73/58/12/1057735812.db2.gz TVRUFNOJOYGQDW-GFCCVEGCSA-N 0 3 321.833 4.487 20 0 DIADHN CSc1ncc(Cl)cc1-c1ncccc1CN1CCCC1 ZINC001243628761 1057739843 /nfs/dbraw/zinc/73/98/43/1057739843.db2.gz YAQFZZIOVCZUNC-UHFFFAOYSA-N 0 3 319.861 4.115 20 0 DIADHN COc1ccc(CN[C@@H](C)c2csc3ccccc32)cc1O ZINC000731452179 1057743438 /nfs/dbraw/zinc/74/34/38/1057743438.db2.gz NZWJSSWFXSMHNC-LBPRGKRZSA-N 0 3 313.422 4.466 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cn2ccc(-c3cccs3)n2)C1 ZINC000731660256 1057749663 /nfs/dbraw/zinc/74/96/63/1057749663.db2.gz TXCFOEYQDDVPPB-INIZCTEOSA-N 0 3 323.465 4.367 20 0 DIADHN CSc1ccc(-c2cccc(N3CCN(C)CC3)c2)cc1C ZINC001243673128 1057750192 /nfs/dbraw/zinc/75/01/92/1057750192.db2.gz LCULQOHAYRGLQF-UHFFFAOYSA-N 0 3 312.482 4.136 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@@H](c4ccccc4)C3)n2)c1 ZINC000731661090 1057750355 /nfs/dbraw/zinc/75/03/55/1057750355.db2.gz ANWYXZFQEUHCAI-MRXNPFEDSA-N 0 3 309.438 4.059 20 0 DIADHN COc1ccc(-c2cccc(N3CCN(C)CC3)c2)cc1C(C)C ZINC001243674186 1057750427 /nfs/dbraw/zinc/75/04/27/1057750427.db2.gz WRYMRYKOSAIXMB-UHFFFAOYSA-N 0 3 324.468 4.237 20 0 DIADHN CCOc1cc(C)c(-c2cccc(N3CCN(C)CC3)c2)c(C)c1 ZINC001243673673 1057750708 /nfs/dbraw/zinc/75/07/08/1057750708.db2.gz QNLKLKHEPCYVQC-UHFFFAOYSA-N 0 3 324.468 4.121 20 0 DIADHN CN(CCc1ccccc1F)Cc1cc(-c2ccccc2)no1 ZINC000731670686 1057750991 /nfs/dbraw/zinc/75/09/91/1057750991.db2.gz RQWYYLLZSUUDHL-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CC1(C)CCc2cc(CN[C@H]3CCc4c3cccc4O)ccc2O1 ZINC000731678017 1057751564 /nfs/dbraw/zinc/75/15/64/1057751564.db2.gz FECVAQACUSILHF-SFHVURJKSA-N 0 3 323.436 4.273 20 0 DIADHN CSc1c(F)cc(-c2cnccc2/C=C/N(C)C)cc1F ZINC001243700880 1057756277 /nfs/dbraw/zinc/75/62/77/1057756277.db2.gz LJBQECPEJYRYTG-FNORWQNLSA-N 0 3 306.381 4.281 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1CCc1cccs1 ZINC000731890041 1057757641 /nfs/dbraw/zinc/75/76/41/1057757641.db2.gz ODVBAFVHFZXJJP-RVKKMQEKSA-N 0 3 301.455 4.141 20 0 DIADHN OCc1cccc(Cl)c1-c1cccc(CN2CCCC2)c1F ZINC001243717863 1057760915 /nfs/dbraw/zinc/76/09/15/1057760915.db2.gz YPXZGSNMLMNEFU-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C=C1CCC(C)(C)CC1 ZINC000751601215 1057766325 /nfs/dbraw/zinc/76/63/25/1057766325.db2.gz IIJPZZSLGHQWLU-UHFFFAOYSA-N 0 3 300.446 4.213 20 0 DIADHN COc1ccc(-c2ccc(OC)c(SC)c2)cc1CN(C)C ZINC001243748558 1057769470 /nfs/dbraw/zinc/76/94/70/1057769470.db2.gz HVCDLWFLWDUTHW-UHFFFAOYSA-N 0 3 317.454 4.154 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCOc1ccc(C)cc1)CCC2 ZINC000732214026 1057770488 /nfs/dbraw/zinc/77/04/88/1057770488.db2.gz LMEOHWONIQUFJD-KRWDZBQOSA-N 0 3 316.470 4.148 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CC[C@H](c4ccco4)C3)o2)c1 ZINC000732205544 1057770872 /nfs/dbraw/zinc/77/08/72/1057770872.db2.gz JOFLOYITXJJYOX-HOCLYGCPSA-N 0 3 323.396 4.189 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1c1cccc(F)c1 ZINC000732274629 1057771666 /nfs/dbraw/zinc/77/16/66/1057771666.db2.gz JLYFNGOGNHGYAH-SFHVURJKSA-N 0 3 320.452 4.001 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(F)ncc3C)c(C)c2)CC1 ZINC001243784592 1057778281 /nfs/dbraw/zinc/77/82/81/1057778281.db2.gz PMNSZPOTVWTOCX-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN Cc1cnc(F)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001243786890 1057778856 /nfs/dbraw/zinc/77/88/56/1057778856.db2.gz MNGVFSJCBPFZCV-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3c(=O)cc[nH]c3c2)cc1 ZINC000821451841 1057779237 /nfs/dbraw/zinc/77/92/37/1057779237.db2.gz OZGOUYGCBACKLX-UHFFFAOYSA-N 0 3 320.436 4.016 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000785484382 1057782499 /nfs/dbraw/zinc/78/24/99/1057782499.db2.gz XEOYDEICSHRMQO-CQSZACIVSA-N 0 3 321.399 4.442 20 0 DIADHN COc1cccc2c1OC[C@H](N[C@@H](C)c1ccccc1Cl)C2 ZINC000732919797 1057790703 /nfs/dbraw/zinc/79/07/03/1057790703.db2.gz XHTODPGEWNYTOR-GXTWGEPZSA-N 0 3 317.816 4.003 20 0 DIADHN COc1cccc2c1OC[C@@H](N[C@H](C)c1ccccc1Cl)C2 ZINC000732919799 1057791077 /nfs/dbraw/zinc/79/10/77/1057791077.db2.gz XHTODPGEWNYTOR-OCCSQVGLSA-N 0 3 317.816 4.003 20 0 DIADHN OCCc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cc1 ZINC001243851581 1057793055 /nfs/dbraw/zinc/79/30/55/1057793055.db2.gz JJEMXUQJAHFVDH-UHFFFAOYSA-N 0 3 313.416 4.013 20 0 DIADHN COc1ccc(-c2c3cc[nH]c3c(F)cc2C)cc1CN(C)C ZINC001243887108 1057799294 /nfs/dbraw/zinc/79/92/94/1057799294.db2.gz VCICMWRDEVIXAK-UHFFFAOYSA-N 0 3 312.388 4.353 20 0 DIADHN CC[C@H](c1ccccc1)N1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000733199169 1057805505 /nfs/dbraw/zinc/80/55/05/1057805505.db2.gz NJVZFMAJCRQEPN-QGZVFWFLSA-N 0 3 318.461 4.081 20 0 DIADHN CN(Cc1ccc(-c2ccc3c(c2)CCC(C)(C)O3)nc1)C1CC1 ZINC001243915788 1057806082 /nfs/dbraw/zinc/80/60/82/1057806082.db2.gz IWUBMYHBZMXZCU-UHFFFAOYSA-N 0 3 322.452 4.446 20 0 DIADHN CO[C@H](CN[C@H](Cc1ccccc1)c1ccccc1)C(F)(F)F ZINC000733323494 1057812247 /nfs/dbraw/zinc/81/22/47/1057812247.db2.gz IPKBTBLNWMLXHA-IAGOWNOFSA-N 0 3 323.358 4.137 20 0 DIADHN CSc1cc(F)c(-c2cncc(CN3CCCC3)c2)cc1F ZINC001243942771 1057814983 /nfs/dbraw/zinc/81/49/83/1057814983.db2.gz HAIQFTHMZDZXLT-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN CSc1cc(F)c(-c2ccc3c(N)ccnc3c2)cc1F ZINC001243942704 1057815080 /nfs/dbraw/zinc/81/50/80/1057815080.db2.gz GJYRCBASBWOKEZ-UHFFFAOYSA-N 0 3 302.349 4.484 20 0 DIADHN CN(C)Cc1cncc(-c2c(Cl)cccc2C(F)(F)F)c1 ZINC001243963819 1057821218 /nfs/dbraw/zinc/82/12/18/1057821218.db2.gz BTTKNTPLDJUOFY-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN Fc1cc(-c2ccc(F)c(CN3CCCCC3)c2)cc(F)n1 ZINC001243973859 1057824828 /nfs/dbraw/zinc/82/48/28/1057824828.db2.gz IVXQMTXASBHDIW-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CCN(CC)Cc1cncc(-c2ccc3c(c2)CCCC3=O)c1 ZINC001243989284 1057830697 /nfs/dbraw/zinc/83/06/97/1057830697.db2.gz CAHPCIPDSPWLOJ-UHFFFAOYSA-N 0 3 308.425 4.109 20 0 DIADHN COC(=O)c1c(C)cc(-c2cccc(C3=NCCC3)c2)cc1C ZINC001244008510 1057837330 /nfs/dbraw/zinc/83/73/30/1057837330.db2.gz ZRPISCFBJUYPMD-UHFFFAOYSA-N 0 3 307.393 4.340 20 0 DIADHN Cc1ccc(-c2ccccc2)cc1-c1ccc2c(n1)CNCC2 ZINC001244057823 1057852368 /nfs/dbraw/zinc/85/23/68/1057852368.db2.gz CZXYIYPRVCWMDP-UHFFFAOYSA-N 0 3 300.405 4.370 20 0 DIADHN CCOc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(C)c1C ZINC001244061344 1057854323 /nfs/dbraw/zinc/85/43/23/1057854323.db2.gz XBDFXLIFBWJVAH-UHFFFAOYSA-N 0 3 310.441 4.358 20 0 DIADHN COc1cc(-c2cc(N(C)C)ccn2)ccc1-c1ccccc1 ZINC001244137359 1057882996 /nfs/dbraw/zinc/88/29/96/1057882996.db2.gz IEJAMTKXOXPZBK-UHFFFAOYSA-N 0 3 304.393 4.490 20 0 DIADHN CCN1CC=C(c2cnc(-c3cncc(C)c3C)c(C)c2)CC1 ZINC001244152776 1057888068 /nfs/dbraw/zinc/88/80/68/1057888068.db2.gz TXGPMHUNUIJLIS-UHFFFAOYSA-N 0 3 307.441 4.178 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(COc3ccccc3)cc2)n1 ZINC001244186083 1057894989 /nfs/dbraw/zinc/89/49/89/1057894989.db2.gz FXUGFFIRVRKVJF-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CSc1ncc(-c2ccc(CN(C)C3CC3)cn2)cc1Cl ZINC001244247782 1057909535 /nfs/dbraw/zinc/90/95/35/1057909535.db2.gz KLYDVAQYYPRLBJ-UHFFFAOYSA-N 0 3 319.861 4.113 20 0 DIADHN Clc1cc(C2CC2)ccc1-c1cnccc1N1CCOCC1 ZINC001244274483 1057920441 /nfs/dbraw/zinc/92/04/41/1057920441.db2.gz XIMZFBBXADVVMD-UHFFFAOYSA-N 0 3 314.816 4.116 20 0 DIADHN O=c1ccc2c(cccc2-c2ccc(CN3CCCC3)c(F)c2)[nH]1 ZINC001244317688 1057932534 /nfs/dbraw/zinc/93/25/34/1057932534.db2.gz CWHYUSZNAISZRE-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN CN(C)c1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1Cl ZINC001244411301 1057953590 /nfs/dbraw/zinc/95/35/90/1057953590.db2.gz CTZCOXZTJNHXKK-UHFFFAOYSA-N 0 3 315.848 4.062 20 0 DIADHN C[NH+](C)CCc1c[nH]c2ccc(-c3ccc(Cl)cc3[O-])cc12 ZINC001244471453 1057968780 /nfs/dbraw/zinc/96/87/80/1057968780.db2.gz FYFOPQZQCRMASR-UHFFFAOYSA-N 0 3 314.816 4.298 20 0 DIADHN Cc1cc(Cl)c(-c2cnccc2N2CCOCC2)c(Cl)c1 ZINC001244505151 1057979487 /nfs/dbraw/zinc/97/94/87/1057979487.db2.gz CUPFYUNIBPVRNP-UHFFFAOYSA-N 0 3 323.223 4.200 20 0 DIADHN Cc1cc(-c2ccc([C@H]3CCCC[N@H+]3C)cn2)cc([O-])c1F ZINC001244509031 1057981075 /nfs/dbraw/zinc/98/10/75/1057981075.db2.gz XIBLSCWRRWCEIW-MRXNPFEDSA-N 0 3 300.377 4.059 20 0 DIADHN Cc1cc(-c2ccc([C@H]3CCCC[N@@H+]3C)cn2)cc([O-])c1F ZINC001244509031 1057981078 /nfs/dbraw/zinc/98/10/78/1057981078.db2.gz XIBLSCWRRWCEIW-MRXNPFEDSA-N 0 3 300.377 4.059 20 0 DIADHN COc1c(C)ccc(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001244522671 1057985052 /nfs/dbraw/zinc/98/50/52/1057985052.db2.gz JMIQXZLKTBONDX-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc(-c2ccc(OCC[NH+](C)C)c(F)c2)cc(Cl)c1[O-] ZINC001244553712 1057994417 /nfs/dbraw/zinc/99/44/17/1057994417.db2.gz GZOKZNPAYJJZOS-UHFFFAOYSA-N 0 3 323.795 4.101 20 0 DIADHN OCc1c(F)cccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001244564434 1057996863 /nfs/dbraw/zinc/99/68/63/1057996863.db2.gz NOPHRGZWWSBBKK-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN CCN(CC)Cc1ccncc1-c1c(F)ccc(C(C)=O)c1F ZINC001244625953 1058013326 /nfs/dbraw/zinc/01/33/26/1058013326.db2.gz XQYQZCTUBWSSMR-UHFFFAOYSA-N 0 3 318.367 4.071 20 0 DIADHN c1ccc(CNc2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001244644542 1058018891 /nfs/dbraw/zinc/01/88/91/1058018891.db2.gz SFWFLAPRUQKVSI-UHFFFAOYSA-N 0 3 315.420 4.006 20 0 DIADHN COc1c(F)cc(-c2cc(N3CCCC3)ccn2)cc1Cl ZINC001244697016 1058028888 /nfs/dbraw/zinc/02/88/88/1058028888.db2.gz SFZXYOWWDVVZSC-UHFFFAOYSA-N 0 3 306.768 4.150 20 0 DIADHN Cc1cc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc(C)c1CO ZINC001244706491 1058032129 /nfs/dbraw/zinc/03/21/29/1058032129.db2.gz NZEAVDXSVGNOBR-UHFFFAOYSA-N 0 3 307.437 4.464 20 0 DIADHN Cc1cc(-c2ccc(F)c(CN3CCCC3)c2)cc(C)c1CO ZINC001244712580 1058033135 /nfs/dbraw/zinc/03/31/35/1058033135.db2.gz PTGDESCNGIJVIN-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN Cc1cc(-c2cnccc2N2CCOCC2)c(Cl)cc1Cl ZINC001244751420 1058041935 /nfs/dbraw/zinc/04/19/35/1058041935.db2.gz RNPNLUYFQQEVFD-UHFFFAOYSA-N 0 3 323.223 4.200 20 0 DIADHN COc1ccc(-c2cccc(-c3ccncc3)c2)cc1CN(C)C ZINC001244782003 1058047266 /nfs/dbraw/zinc/04/72/66/1058047266.db2.gz TXTXJZCTLOQCAY-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN O=C(O[C@@H]1CC=C(c2cncc3cc[nH]c32)CC1)c1ccccc1 ZINC001244806788 1058050860 /nfs/dbraw/zinc/05/08/60/1058050860.db2.gz TYMNOVPIHJHTPU-QGZVFWFLSA-N 0 3 318.376 4.356 20 0 DIADHN CCN(CC)c1cc(F)cc(-c2cnccc2/C=C/N(C)C)c1 ZINC001244825393 1058054442 /nfs/dbraw/zinc/05/44/42/1058054442.db2.gz HAXWLDFENMXQHO-CSKARUKUSA-N 0 3 313.420 4.266 20 0 DIADHN CNc1cc(F)cc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001244831132 1058055305 /nfs/dbraw/zinc/05/53/05/1058055305.db2.gz DKAMBSGWQSIIJS-UHFFFAOYSA-N 0 3 302.368 4.269 20 0 DIADHN COc1ccc(-c2ccc(C3CC3)c(OC)c2)cc1CN(C)C ZINC001244893255 1058068017 /nfs/dbraw/zinc/06/80/17/1058068017.db2.gz LMTKEYIDXHECIO-UHFFFAOYSA-N 0 3 311.425 4.310 20 0 DIADHN Cc1cc(OCc2ccccc2)c(-c2ccnc(CN)c2)cc1C ZINC001245031492 1058098702 /nfs/dbraw/zinc/09/87/02/1058098702.db2.gz YMIWHXYGSYXTHZ-UHFFFAOYSA-N 0 3 318.420 4.403 20 0 DIADHN COc1cc(-c2cccc(CN3CCCC3)c2F)c(Cl)cn1 ZINC001245040631 1058100482 /nfs/dbraw/zinc/10/04/82/1058100482.db2.gz WNMACLGKTMJZBM-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CCOc1ccc(Cl)cc1-c1cnccc1/C=C/N(C)C ZINC001245109870 1058115931 /nfs/dbraw/zinc/11/59/31/1058115931.db2.gz XSGPRZXTEUXIAQ-CSKARUKUSA-N 0 3 302.805 4.333 20 0 DIADHN Nc1c(Cl)cccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001245181306 1058125196 /nfs/dbraw/zinc/12/51/96/1058125196.db2.gz BXTVGJPIYPUOME-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN COc1c(F)cc(SC)cc1-c1ccc2c(N)ccnc2c1 ZINC001245226798 1058129936 /nfs/dbraw/zinc/12/99/36/1058129936.db2.gz ZOTMXESANSTFBK-UHFFFAOYSA-N 0 3 314.385 4.354 20 0 DIADHN COc1c(F)cc(SC)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001245230201 1058130935 /nfs/dbraw/zinc/13/09/35/1058130935.db2.gz YQGBXALZMUEZHU-UHFFFAOYSA-N 0 3 317.429 4.211 20 0 DIADHN CN(C)Cc1cncc(-c2ccc3c(c2)Cc2ccccc2-3)c1 ZINC001245283873 1058140415 /nfs/dbraw/zinc/14/04/15/1058140415.db2.gz IICIVHLWWNWGKF-UHFFFAOYSA-N 0 3 300.405 4.381 20 0 DIADHN CC(=O)OCc1cc(-c2cccc(C3=NCCC3)c2)ccc1C ZINC001245382406 1058157687 /nfs/dbraw/zinc/15/76/87/1058157687.db2.gz PQRMZDQVWHWJHK-UHFFFAOYSA-N 0 3 307.393 4.308 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc4cccnc4[nH]3)c(C)c2)CC1 ZINC001245399104 1058159861 /nfs/dbraw/zinc/15/98/61/1058159861.db2.gz BZPVVPFONHAYRU-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN Fc1ccc(-c2cc3cccnc3[nH]2)cc1CN1CCCCC1 ZINC001245405562 1058160829 /nfs/dbraw/zinc/16/08/29/1058160829.db2.gz LSVQQFAZRQHERQ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN FC(F)(F)CSc1cccc(-c2nccc3c2CCN3)c1 ZINC001245410971 1058161931 /nfs/dbraw/zinc/16/19/31/1058161931.db2.gz OQZVYYCAWRLMHJ-UHFFFAOYSA-N 0 3 310.344 4.371 20 0 DIADHN Cc1ccc(OC(C)C)cc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245423373 1058164055 /nfs/dbraw/zinc/16/40/55/1058164055.db2.gz NFKOBLDFUCVTQQ-SFHVURJKSA-N 0 3 315.457 4.050 20 0 DIADHN CC(F)(F)c1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001245421930 1058164081 /nfs/dbraw/zinc/16/40/81/1058164081.db2.gz ARONGAMOULPYCF-QGZVFWFLSA-N 0 3 307.384 4.066 20 0 DIADHN Cn1cc(-c2ccc(F)c(CN3CCCCC3)c2)c2ccncc21 ZINC001245493959 1058183462 /nfs/dbraw/zinc/18/34/62/1058183462.db2.gz YZDUQSCVODMVSO-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CCCC[C@H](CCC)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001545231276 1058188307 /nfs/dbraw/zinc/18/83/07/1058188307.db2.gz GCLCBHVOTBPWKU-INIZCTEOSA-N 0 3 315.461 4.380 20 0 DIADHN CCCCCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1ccccc1 ZINC001527642286 1058193246 /nfs/dbraw/zinc/19/32/46/1058193246.db2.gz BDHBQTYDLFWXFP-QGZVFWFLSA-N 0 3 318.505 4.230 20 0 DIADHN Cc1ccc(N(CC(C)C)C(=O)[C@@H]2CCCN2C(C)C)c(C)c1 ZINC001527676601 1058203192 /nfs/dbraw/zinc/20/31/92/1058203192.db2.gz XWJNGYLDGVLGJR-IBGZPJMESA-N 0 3 316.489 4.165 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CCC[C@@H](C)[C@H]2C)o1 ZINC001527769278 1058236117 /nfs/dbraw/zinc/23/61/17/1058236117.db2.gz POZWHIAWWUORJQ-IIAWOOMASA-N 0 3 307.434 4.103 20 0 DIADHN CC(C)C[C@@H](C)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001547468473 1058249814 /nfs/dbraw/zinc/24/98/14/1058249814.db2.gz FMWAYIPVUDBCFA-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N(c1ccccc1)C1CCCCC1 ZINC001517861888 1058292116 /nfs/dbraw/zinc/29/21/16/1058292116.db2.gz BHTMUXFTMMZSSH-LJQANCHMSA-N 0 3 314.473 4.225 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1c([O-])cccc1F ZINC001245653358 1058311337 /nfs/dbraw/zinc/31/13/37/1058311337.db2.gz CIHBEJYGJHLIGD-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1cc(CN[C@H]2CCCO[C@@H]2c2ccc(Cl)cc2)sn1 ZINC001550129969 1058320764 /nfs/dbraw/zinc/32/07/64/1058320764.db2.gz RFHSIDSUMGSYJY-JKSUJKDBSA-N 0 3 322.861 4.115 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)C(C)(C)N1Cc1nnc(C2CC2)o1 ZINC001550157403 1058320855 /nfs/dbraw/zinc/32/08/55/1058320855.db2.gz RVNZLLWKZWAIFE-BBRMVZONSA-N 0 3 311.429 4.104 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@@H](c1ccncc1)C1CCC1 ZINC001550243268 1058328078 /nfs/dbraw/zinc/32/80/78/1058328078.db2.gz JXFGSVMZGZXKPB-AZUAARDMSA-N 0 3 308.425 4.296 20 0 DIADHN C[C@H](N[C@@H]1CCCO[C@@H]1c1ccc(Cl)cc1)c1ccns1 ZINC001550520720 1058345653 /nfs/dbraw/zinc/34/56/53/1058345653.db2.gz FZSUCYUHIAYWIK-SGIREYDYSA-N 0 3 322.861 4.367 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@H]32)c1 ZINC001550536693 1058345714 /nfs/dbraw/zinc/34/57/14/1058345714.db2.gz KELXCNSNYHCRFD-HKBOAZHASA-N 0 3 322.452 4.193 20 0 DIADHN Cc1c(F)ccc(-c2cnccc2CN2CCCCC2)c1F ZINC001245693225 1058357763 /nfs/dbraw/zinc/35/77/63/1058357763.db2.gz PYRLTIMBOPVGCL-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCOc1cc(F)c(-c2cccc(CN(C)C)n2)cc1Cl ZINC001245704533 1058372254 /nfs/dbraw/zinc/37/22/54/1058372254.db2.gz QXTLWOLZDOQSPR-UHFFFAOYSA-N 0 3 308.784 4.001 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CC2(Cl)Cl)CC[C@@H](c2ccccc2)O1 ZINC001551254267 1058381040 /nfs/dbraw/zinc/38/10/40/1058381040.db2.gz BIAKEAPNSVRTFU-SNPRPXQTSA-N 0 3 314.256 4.032 20 0 DIADHN CCc1ncc(CN[C@H]2C[C@@H](C)Cc3cc(OC)ccc32)s1 ZINC001551241228 1058381777 /nfs/dbraw/zinc/38/17/77/1058381777.db2.gz BDYZXLAKMVXBAM-SJCJKPOMSA-N 0 3 316.470 4.127 20 0 DIADHN CCOC(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1C ZINC001245717273 1058391008 /nfs/dbraw/zinc/39/10/08/1058391008.db2.gz BTEOESCCVXBTLH-UHFFFAOYSA-N 0 3 307.393 4.422 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001528334173 1058395646 /nfs/dbraw/zinc/39/56/46/1058395646.db2.gz BUWLPEDPFFKPDM-FUHWJXTLSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1cc(F)c(-c2ccc3c(n2)CNCC3)c(Cl)c1Cl ZINC001245735028 1058415028 /nfs/dbraw/zinc/41/50/28/1058415028.db2.gz ZXBKVYYFAAJUSY-UHFFFAOYSA-N 0 3 311.187 4.149 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1-c1ccc2c(c1)COC21CNC1 ZINC001245743977 1058424290 /nfs/dbraw/zinc/42/42/90/1058424290.db2.gz IPNJYQGDUICHFC-UHFFFAOYSA-N 0 3 324.182 4.128 20 0 DIADHN CCc1cc(-c2cccc(CN)n2)ccc1OCc1ccccc1 ZINC001245800231 1058480403 /nfs/dbraw/zinc/48/04/03/1058480403.db2.gz ZDLHEYFAFOWNNZ-UHFFFAOYSA-N 0 3 318.420 4.349 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(c3cccc4[nH]ccc43)CC2)cn1 ZINC001553875337 1058507215 /nfs/dbraw/zinc/50/72/15/1058507215.db2.gz NEOJHQGRRPAKJX-HNNXBMFYSA-N 0 3 320.440 4.207 20 0 DIADHN COc1cc(-c2cccc(CN)n2)ccc1Oc1ccccc1 ZINC001245818959 1058509262 /nfs/dbraw/zinc/50/92/62/1058509262.db2.gz AEUHSYFVEJMTCE-UHFFFAOYSA-N 0 3 306.365 4.008 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@@H]2NCc1cc2cccnc2o1 ZINC001553968416 1058511133 /nfs/dbraw/zinc/51/11/33/1058511133.db2.gz KJDLMBQBPHRRSC-YJYMSZOUSA-N 0 3 322.408 4.250 20 0 DIADHN C[C@@H]1CN(Cc2cc3cccnc3o2)CC[C@H](c2ccccc2)O1 ZINC001553969888 1058511790 /nfs/dbraw/zinc/51/17/90/1058511790.db2.gz ZJDFTCJXQZMADJ-DNVCBOLYSA-N 0 3 322.408 4.180 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(C)c(Cl)c2C)c1 ZINC001245827049 1058521238 /nfs/dbraw/zinc/52/12/38/1058521238.db2.gz SKXHEADDYUDISP-UHFFFAOYSA-N 0 3 318.848 4.097 20 0 DIADHN CCOc1c(F)cc(-c2cnccc2/C=C/N(C)C)cc1Cl ZINC001245829199 1058524694 /nfs/dbraw/zinc/52/46/94/1058524694.db2.gz HOUOGSKSOQBXIS-SOFGYWHQSA-N 0 3 320.795 4.472 20 0 DIADHN COc1cc(-c2ccc3c(c2)CN(C)CC3)c(F)c(F)c1Cl ZINC001245858292 1058566040 /nfs/dbraw/zinc/56/60/40/1058566040.db2.gz RJXCUGDEIVVDLW-UHFFFAOYSA-N 0 3 323.770 4.282 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1 ZINC001556484033 1058599371 /nfs/dbraw/zinc/59/93/71/1058599371.db2.gz LSVVDJCOKFYQNA-GASCZTMLSA-N 0 3 302.462 4.186 20 0 DIADHN COc1ccc(-c2c(F)ccc(OC)c2Cl)cc1CN(C)C ZINC001245891220 1058600445 /nfs/dbraw/zinc/60/04/45/1058600445.db2.gz NDQDMANNHWYGFZ-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN COc1ccc(F)c(-c2ccc3c(N)ccnc3c2)c1Cl ZINC001245890738 1058601377 /nfs/dbraw/zinc/60/13/77/1058601377.db2.gz JJRIBRXQEBUYNM-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1cc(C)c(C)s1 ZINC001556805029 1058646367 /nfs/dbraw/zinc/64/63/67/1058646367.db2.gz WZIUQIJPLKVATM-UHFFFAOYSA-N 0 3 317.458 4.035 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N(C)C1CC(C)(C)CC(C)(C)C1 ZINC001557415822 1058748355 /nfs/dbraw/zinc/74/83/55/1058748355.db2.gz DIBVHWGCBNSBIW-KRWDZBQOSA-N 0 3 322.537 4.314 20 0 DIADHN CN(C(=O)[C@H]1CCN1C1CCCC1)C1CC(C)(C)CC(C)(C)C1 ZINC001557417563 1058749891 /nfs/dbraw/zinc/74/98/91/1058749891.db2.gz TWZWWTDKAYQEMS-QGZVFWFLSA-N 0 3 320.521 4.067 20 0 DIADHN O=C(Nc1ccc2c(c1)C=CCCC2)[C@@H]1CCCN1C1CCCC1 ZINC001557518972 1058761339 /nfs/dbraw/zinc/76/13/39/1058761339.db2.gz ZPJNQNKRWWLQIF-FQEVSTJZSA-N 0 3 324.468 4.382 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)c1cc2c(s1)CNCC2 ZINC001521165173 1058774539 /nfs/dbraw/zinc/77/45/39/1058774539.db2.gz OHKWFHQQFLJTLA-UHFFFAOYSA-N 0 3 314.454 4.078 20 0 DIADHN CC[C@@H](C(=O)Nc1nc(C2CCCCC2)cs1)N(CC)CC ZINC001557941335 1058815748 /nfs/dbraw/zinc/81/57/48/1058815748.db2.gz HLOJJKUGTFBFPE-HNNXBMFYSA-N 0 3 323.506 4.250 20 0 DIADHN C[C@H](CN(C)C(=O)c1ccc(NC2=NCCC2)cc1)C(C)(C)C ZINC001558246628 1058855375 /nfs/dbraw/zinc/85/53/75/1058855375.db2.gz JXFJKTORIYJGFR-CQSZACIVSA-N 0 3 315.461 4.045 20 0 DIADHN C[C@H]1CN(C(=O)C(C)(C)C2CCCCC2)CCN1C1CCCC1 ZINC001558321739 1058862101 /nfs/dbraw/zinc/86/21/01/1058862101.db2.gz SYXMCSUJASCJLA-INIZCTEOSA-N 0 3 320.521 4.068 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)c1ccco1 ZINC001558980458 1058932441 /nfs/dbraw/zinc/93/24/41/1058932441.db2.gz QXINBBJRLZDHTL-CAOSSQGBSA-N 0 3 322.493 4.143 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)NC[C@H](N[C@@H](C)CC)c1ccco1 ZINC001558979399 1058932926 /nfs/dbraw/zinc/93/29/26/1058932926.db2.gz OZVYDUVPAILWKQ-YVSFHVDLSA-N 0 3 320.477 4.041 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)NC[C@H](N[C@H](C)CC)c1ccco1 ZINC001558979396 1058933665 /nfs/dbraw/zinc/93/36/65/1058933665.db2.gz OZVYDUVPAILWKQ-NCOADZHNSA-N 0 3 320.477 4.041 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(F)c(C(F)F)c2)cc1 ZINC001559025941 1058939701 /nfs/dbraw/zinc/93/97/01/1058939701.db2.gz ONUOFCJBHWSCML-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN CC(C)Sc1ccc(C(=O)Nc2cccc3c2CNC3)cc1 ZINC001522510762 1059107299 /nfs/dbraw/zinc/10/72/99/1059107299.db2.gz HIGAOLNALWEKKG-UHFFFAOYSA-N 0 3 312.438 4.043 20 0 DIADHN CCSC[C@H](C)N1CC[C@H]2Nc3ccc(Cl)cc3[C@H]2C1 ZINC001247003039 1059236228 /nfs/dbraw/zinc/23/62/28/1059236228.db2.gz MPIFPBUIODHUFA-SGIREYDYSA-N 0 3 310.894 4.065 20 0 DIADHN CC[C@@H](N)c1nc2cc(C(F)(F)F)c(C(F)(F)F)cc2[nH]1 ZINC001247014302 1059241742 /nfs/dbraw/zinc/24/17/42/1059241742.db2.gz IULFBXCTLMXKID-SSDOTTSWSA-N 0 3 311.229 4.010 20 0 DIADHN N[C@H](Cc1ccccc1)c1nc2c(cc(Cl)cc2Cl)[nH]1 ZINC001247012737 1059244083 /nfs/dbraw/zinc/24/40/83/1059244083.db2.gz BPTUABBFZJWWEH-GFCCVEGCSA-N 0 3 306.196 4.112 20 0 DIADHN Fc1cccc(F)c1-n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001247018846 1059246424 /nfs/dbraw/zinc/24/64/24/1059246424.db2.gz CYHVEMMEWANSJF-OAHLLOKOSA-N 0 3 313.351 4.118 20 0 DIADHN CC1CCN(CCSc2nc3cc(F)ccc3s2)CC1 ZINC001559464659 1059246822 /nfs/dbraw/zinc/24/68/22/1059246822.db2.gz DPOJFWZBLVHLMN-UHFFFAOYSA-N 0 3 310.463 4.259 20 0 DIADHN Fc1cccc(F)c1-n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001247018843 1059248257 /nfs/dbraw/zinc/24/82/57/1059248257.db2.gz CYHVEMMEWANSJF-HNNXBMFYSA-N 0 3 313.351 4.118 20 0 DIADHN C[C@H](NC(=O)C(C)(C)CC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC001523467701 1059249272 /nfs/dbraw/zinc/24/92/72/1059249272.db2.gz CIPPSYKXTBUDKL-RDJZCZTQSA-N 0 3 318.505 4.256 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC001559484492 1059258523 /nfs/dbraw/zinc/25/85/23/1059258523.db2.gz WMBOLGYOOXRCQH-NSHDSACASA-N 0 3 306.479 4.073 20 0 DIADHN CO[C@H](CN(C)Cc1cc(Cl)cc(Cl)c1)C1CCC1 ZINC001559487617 1059262570 /nfs/dbraw/zinc/26/25/70/1059262570.db2.gz JQLOJDDDDZFIQI-OAHLLOKOSA-N 0 3 302.245 4.240 20 0 DIADHN c1cc(-c2nc3ccccc3n2C2CCCCC2)n2c1CNCC2 ZINC001247044332 1059265399 /nfs/dbraw/zinc/26/53/99/1059265399.db2.gz SCOPRGHTTBDQCW-UHFFFAOYSA-N 0 3 320.440 4.113 20 0 DIADHN Cc1cc(C)c(CN2CCC(Oc3ccccc3)CC2)c(C)n1 ZINC001559498077 1059277610 /nfs/dbraw/zinc/27/76/10/1059277610.db2.gz VRLVHDMGLFYZSM-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN CCOc1ccc(CN(Cc2cccc(C)c2)CC(C)C)nc1 ZINC001559501108 1059282258 /nfs/dbraw/zinc/28/22/58/1059282258.db2.gz CHYHJZHDFZZCCU-UHFFFAOYSA-N 0 3 312.457 4.447 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@H]1CC2(CCC2)CO1 ZINC001559505695 1059292599 /nfs/dbraw/zinc/29/25/99/1059292599.db2.gz NJTDXYOPQGZXIW-QGZVFWFLSA-N 0 3 305.437 4.243 20 0 DIADHN CC[C@H](c1ccccc1)[N@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001559508237 1059300415 /nfs/dbraw/zinc/30/04/15/1059300415.db2.gz BQQKISSPODJOKM-QGZVFWFLSA-N 0 3 311.429 4.033 20 0 DIADHN CC[C@H](c1ccccc1)[N@@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001559508237 1059300439 /nfs/dbraw/zinc/30/04/39/1059300439.db2.gz BQQKISSPODJOKM-QGZVFWFLSA-N 0 3 311.429 4.033 20 0 DIADHN CN(CCCC(C)(F)F)Cc1cc(Br)ccc1F ZINC001559519095 1059315425 /nfs/dbraw/zinc/31/54/25/1059315425.db2.gz RWYYCMUZQAIKNH-UHFFFAOYSA-N 0 3 324.184 4.455 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1ccn(-c2cccc(F)c2)n1)C1CC1 ZINC001559530846 1059329842 /nfs/dbraw/zinc/32/98/42/1059329842.db2.gz FOZWLPFAWCAOHO-GJZGRUSLSA-N 0 3 315.436 4.410 20 0 DIADHN CC[C@@H](CC(=O)NC[C@@H](C)N(C)Cc1ccccc1)C(C)(C)C ZINC001531482946 1059330863 /nfs/dbraw/zinc/33/08/63/1059330863.db2.gz KCKHGSHPYJZYIB-AEFFLSMTSA-N 0 3 318.505 4.086 20 0 DIADHN C[C@@H](CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C)C1CCCCC1 ZINC001559528990 1059332196 /nfs/dbraw/zinc/33/21/96/1059332196.db2.gz ZMKJYHXKPRMVQV-JKSUJKDBSA-N 0 3 324.509 4.144 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2cccc(OC(C)(C)C)n2)C1 ZINC001559533845 1059334143 /nfs/dbraw/zinc/33/41/43/1059334143.db2.gz WCXMDBBAUZCOLR-MRXNPFEDSA-N 0 3 322.518 4.367 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C(C)(C)C)CC2)cc1 ZINC001559541205 1059344762 /nfs/dbraw/zinc/34/47/62/1059344762.db2.gz LCRHJZACMMOBDA-INIZCTEOSA-N 0 3 302.462 4.082 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H](C)CCC[C@@H]2C)s1 ZINC001559547407 1059356759 /nfs/dbraw/zinc/35/67/59/1059356759.db2.gz VDPWNJRIJWOVPB-ZJUUUORDSA-N 0 3 306.397 4.481 20 0 DIADHN COc1ncccc1CN(CC1CCC1)[C@@H](C)c1ccccc1 ZINC001559553061 1059362132 /nfs/dbraw/zinc/36/21/32/1059362132.db2.gz SMIJIYDXIOLVAO-INIZCTEOSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1ccc(-c2noc(C[C@@H](N)c3cccs3)n2)c(Cl)c1 ZINC001247260279 1059363500 /nfs/dbraw/zinc/36/35/00/1059363500.db2.gz ZTCNSTHSQGFFKF-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1ncccc1Br ZINC001559553890 1059369750 /nfs/dbraw/zinc/36/97/50/1059369750.db2.gz NTSKWRSJSIUFNG-IUODEOHRSA-N 0 3 311.267 4.245 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1ncccc1Br ZINC001559553891 1059371060 /nfs/dbraw/zinc/37/10/60/1059371060.db2.gz NTSKWRSJSIUFNG-SWLSCSKDSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@@H]1CC[C@H](C)N(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001559560200 1059376060 /nfs/dbraw/zinc/37/60/60/1059376060.db2.gz GXOMVSMDGZNFNF-JRPNMDOOSA-N 0 3 302.245 4.147 20 0 DIADHN CCCN(Cc1c(F)ccc(C)c1F)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC001559558338 1059376421 /nfs/dbraw/zinc/37/64/21/1059376421.db2.gz UXRLUGRQFVRIQT-VHSSKADRSA-N 0 3 323.427 4.299 20 0 DIADHN CCCCCC(C)(C)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523848877 1059380083 /nfs/dbraw/zinc/38/00/83/1059380083.db2.gz YDQYBOYXIXKMAA-AEFFLSMTSA-N 0 3 318.505 4.401 20 0 DIADHN COc1cccc(CN2CCCC[C@@H]2c2cccc(C)c2C)n1 ZINC001559562529 1059384619 /nfs/dbraw/zinc/38/46/19/1059384619.db2.gz DOLMOEHFQXFRPW-LJQANCHMSA-N 0 3 310.441 4.434 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)nc1 ZINC001559563858 1059388310 /nfs/dbraw/zinc/38/83/10/1059388310.db2.gz DUJQOKNWTYZYCS-OXQOHEQNSA-N 0 3 310.441 4.106 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1cc(-c2ccccc2)no1 ZINC001559582886 1059414908 /nfs/dbraw/zinc/41/49/08/1059414908.db2.gz QUJFJFQAARNMCZ-AWEZNQCLSA-N 0 3 312.438 4.466 20 0 DIADHN CSc1ccc(OCCN2C[C@@H](C)[C@@H]2c2ccccc2)cc1 ZINC001559582782 1059415523 /nfs/dbraw/zinc/41/55/23/1059415523.db2.gz OGQGZMIHWRNDQB-DNVCBOLYSA-N 0 3 313.466 4.480 20 0 DIADHN CC[C@H]1CCCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001559583374 1059416275 /nfs/dbraw/zinc/41/62/75/1059416275.db2.gz FZAZXIXRKKEPDD-NHYWBVRUSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H]1c2ccccc2CCN1C[C@H](O)c1ccc(Cl)c(F)c1 ZINC001559591375 1059424961 /nfs/dbraw/zinc/42/49/61/1059424961.db2.gz WMOJHFLPAXJRTQ-SGTLLEGYSA-N 0 3 319.807 4.132 20 0 DIADHN Cc1cc(CN2CCC(Nc3cccc(C)c3)CC2)cs1 ZINC001559600987 1059434076 /nfs/dbraw/zinc/43/40/76/1059434076.db2.gz NWQSSFZQSMWRQW-UHFFFAOYSA-N 0 3 300.471 4.441 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(F)c(F)c2)C1(C)C ZINC001559632151 1059458677 /nfs/dbraw/zinc/45/86/77/1059458677.db2.gz BOBNEHPDQILXPZ-DLBZAZTESA-N 0 3 311.416 4.380 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(F)c2OC)no1 ZINC001247416692 1059467243 /nfs/dbraw/zinc/46/72/43/1059467243.db2.gz DJFLCHWNHJXAKJ-LBPRGKRZSA-N 0 3 321.396 4.067 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cncc3ccccc32)no1 ZINC001247416771 1059468061 /nfs/dbraw/zinc/46/80/61/1059468061.db2.gz FXISNWMGIUZZGO-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ncccc2C2CC2)no1 ZINC001247417605 1059468317 /nfs/dbraw/zinc/46/83/17/1059468317.db2.gz PAYNJMJUUVIGMG-ZDUSSCGKSA-N 0 3 314.433 4.192 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(OC)cc2C)no1 ZINC001247418134 1059470333 /nfs/dbraw/zinc/47/03/33/1059470333.db2.gz WWERBTQRRVEQIK-AWEZNQCLSA-N 0 3 317.433 4.237 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(F)c2C)no1 ZINC001247418324 1059470764 /nfs/dbraw/zinc/47/07/64/1059470764.db2.gz JVLISXKAINFIFI-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN C[C@@]1(c2ccccc2)CN(Cc2ccccc2C2CC2)CCO1 ZINC001559646900 1059471898 /nfs/dbraw/zinc/47/18/98/1059471898.db2.gz VKJVAVNLCKFBRQ-NRFANRHFSA-N 0 3 307.437 4.312 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(C)ccc2F)no1 ZINC001247418650 1059471902 /nfs/dbraw/zinc/47/19/02/1059471902.db2.gz OWXYJFNHGMNBLS-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@H](OCC(C)C)C1 ZINC001559647305 1059474937 /nfs/dbraw/zinc/47/49/37/1059474937.db2.gz DWFWCXKGLFGJQZ-GOSISDBHSA-N 0 3 305.462 4.066 20 0 DIADHN CCn1nnc(C)c1CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001559649794 1059475127 /nfs/dbraw/zinc/47/51/27/1059475127.db2.gz OLCADHXKMAGWNX-FNDLEWIFSA-N 0 3 324.472 4.064 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CC[C@@](C)(CC)C1 ZINC001559651459 1059478329 /nfs/dbraw/zinc/47/83/29/1059478329.db2.gz IMFIONKQYZAPLA-LJQANCHMSA-N 0 3 315.413 4.232 20 0 DIADHN C[C@@H]1Cc2ccc(Br)cc2CN1C[C@@H]1C[C@H]2C[C@H]2C1 ZINC001559660644 1059488644 /nfs/dbraw/zinc/48/86/44/1059488644.db2.gz ZTJMNBSDXIREOI-RJZRQDKASA-N 0 3 320.274 4.242 20 0 DIADHN CCC(C)(C)c1ccc(CN[C@]2(CO)CCc3ccccc32)cc1 ZINC001559660250 1059490231 /nfs/dbraw/zinc/49/02/31/1059490231.db2.gz OIUCGTACBSUZNL-QFIPXVFZSA-N 0 3 323.480 4.298 20 0 DIADHN CC(C)OCCN1CCc2ccccc2[C@@H](c2ccccc2)[C@H]1C ZINC001559661355 1059493367 /nfs/dbraw/zinc/49/33/67/1059493367.db2.gz UCWWZGXPLZHXHE-XMSQKQJNSA-N 0 3 323.480 4.490 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccc(F)cc1F ZINC001559682106 1059511277 /nfs/dbraw/zinc/51/12/77/1059511277.db2.gz ZIUMCCOHCUDWNH-ZUXXIDCJSA-N 0 3 309.400 4.353 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2cccc(Cl)c2)c1 ZINC001559692421 1059520688 /nfs/dbraw/zinc/52/06/88/1059520688.db2.gz HPANNRIVCWBWLG-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)c1cccc(-n2cccn2)c1 ZINC001559704430 1059533571 /nfs/dbraw/zinc/53/35/71/1059533571.db2.gz NNRWMZNZVJPIOL-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN c1ccc(C[C@H]2CN([C@@H]3CCCc4ccccc43)CCO2)cc1 ZINC001559705971 1059537035 /nfs/dbraw/zinc/53/70/35/1059537035.db2.gz DMQVGRCBVDDEGL-PZJWPPBQSA-N 0 3 307.437 4.008 20 0 DIADHN C[C@@H](NC[C@](C)(O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC001559711436 1059538628 /nfs/dbraw/zinc/53/86/28/1059538628.db2.gz JGEMPYSNQHCHCC-ACJLOTCBSA-N 0 3 321.367 4.146 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1sc(C(C)C)nc1C)C1CCC1 ZINC001559712338 1059539456 /nfs/dbraw/zinc/53/94/56/1059539456.db2.gz JIWBNVBRYXYRPL-HIFRSBDPSA-N 0 3 310.507 4.383 20 0 DIADHN COc1ccc2c(c1)CCN([C@@H](C)c1ccc(F)c(F)c1)CC2 ZINC001559715423 1059541131 /nfs/dbraw/zinc/54/11/31/1059541131.db2.gz ADMIRTRNRMBOKH-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN c1cc([C@H]2CCCN2C[C@@H]2CCC3(CCCCCC3)O2)ccn1 ZINC001559715074 1059541910 /nfs/dbraw/zinc/54/19/10/1059541910.db2.gz QGHNUFGEQZKREB-RBUKOAKNSA-N 0 3 314.473 4.491 20 0 DIADHN Cc1ccc(N2CCN(C[C@H]3CCCCC3(F)F)CC2)cc1C ZINC001559718679 1059544951 /nfs/dbraw/zinc/54/49/51/1059544951.db2.gz KQXMKCNUXLTDEU-QGZVFWFLSA-N 0 3 322.443 4.251 20 0 DIADHN CCc1cccc(CN2CCN(c3ccc(C)c(C)c3)CC2)c1 ZINC001559719194 1059546274 /nfs/dbraw/zinc/54/62/74/1059546274.db2.gz XCHFSYDXQRJQAD-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN CCN(Cc1ccncc1)C[C@@H]1CCC[C@@H](c2ccccc2)O1 ZINC001559721508 1059551078 /nfs/dbraw/zinc/55/10/78/1059551078.db2.gz QWRUUEXRCMLBPW-PMACEKPBSA-N 0 3 310.441 4.214 20 0 DIADHN CC(C)(C)c1cccc(CN2CCC(Nc3ccccn3)CC2)c1 ZINC001559720405 1059551574 /nfs/dbraw/zinc/55/15/74/1059551574.db2.gz YFJSLGJBRRWQHO-UHFFFAOYSA-N 0 3 323.484 4.456 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@@H]2C[C@@H]2c2cccc(F)c2)o1 ZINC001559725739 1059557426 /nfs/dbraw/zinc/55/74/26/1059557426.db2.gz BQIBNLAWBVEBFG-FGTMMUONSA-N 0 3 315.388 4.258 20 0 DIADHN Cc1cc(C)c(CN2C[C@H](C(F)(F)F)CC[C@@H]2C)c(C)n1 ZINC001559741447 1059573805 /nfs/dbraw/zinc/57/38/05/1059573805.db2.gz MSGYTWUWEQZLJR-GXTWGEPZSA-N 0 3 300.368 4.170 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1c[nH]c(=O)c2ccccc12 ZINC001559740576 1059574527 /nfs/dbraw/zinc/57/45/27/1059574527.db2.gz BDWJWEHQKDQAFA-WCQYABFASA-N 0 3 324.346 4.103 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001559751587 1059582631 /nfs/dbraw/zinc/58/26/31/1059582631.db2.gz HNFZWXBMTQLOGS-OXQOHEQNSA-N 0 3 323.484 4.372 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)CC(=O)Nc1cccc2ccccc21 ZINC001559752340 1059587935 /nfs/dbraw/zinc/58/79/35/1059587935.db2.gz WIDFRKNVTVYLFJ-BEFAXECRSA-N 0 3 310.441 4.289 20 0 DIADHN COC(=O)c1sccc1CN[C@@H]1CCC[C@@H]1CCC(C)C ZINC001559759508 1059592691 /nfs/dbraw/zinc/59/26/91/1059592691.db2.gz WVKBZINVMBAIKQ-UKRRQHHQSA-N 0 3 309.475 4.229 20 0 DIADHN COC(=O)c1sccc1CN[C@H]1CCC[C@@H]1CCC(C)C ZINC001559759507 1059593459 /nfs/dbraw/zinc/59/34/59/1059593459.db2.gz WVKBZINVMBAIKQ-HIFRSBDPSA-N 0 3 309.475 4.229 20 0 DIADHN Cc1ccc2c(ccc(C)c2CN2CCc3ncsc3C2)c1 ZINC001559761338 1059599084 /nfs/dbraw/zinc/59/90/84/1059599084.db2.gz NWPLHEFJUSWTOK-UHFFFAOYSA-N 0 3 308.450 4.471 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)[C@H]1CCCN1C(C)C)C(C)(C)C ZINC001532345227 1059599793 /nfs/dbraw/zinc/59/97/93/1059599793.db2.gz SXPZLBBJRCNEDQ-MSOLQXFVSA-N 0 3 316.489 4.071 20 0 DIADHN CC[C@@H](Cc1ccccc1Br)N(C)C/C=C/Cl ZINC001559766273 1059600900 /nfs/dbraw/zinc/60/09/00/1059600900.db2.gz DIXXSMMVEYMLRI-PPGNKHEKSA-N 0 3 316.670 4.455 20 0 DIADHN CC[C@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)[C@@H]1C ZINC001559769849 1059606269 /nfs/dbraw/zinc/60/62/69/1059606269.db2.gz UJQARPSVEJMKCM-NEPJUHHUSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ncc(-c3ccccc3F)o2)[C@@H](C)C1 ZINC001559773589 1059609834 /nfs/dbraw/zinc/60/98/34/1059609834.db2.gz QSZRVXXXDUUWLK-WWGRRREGSA-N 0 3 302.393 4.395 20 0 DIADHN COc1cc(CN[C@@H]2CC(C)(C)CCc3ccccc32)sn1 ZINC001559782463 1059617920 /nfs/dbraw/zinc/61/79/20/1059617920.db2.gz PBGRBIAAMFUCMD-MRXNPFEDSA-N 0 3 316.470 4.345 20 0 DIADHN CCC(CC)[C@@H](c1ccc(Cl)cc1)N(C)CC[S@@](C)=O ZINC001559802750 1059638817 /nfs/dbraw/zinc/63/88/17/1059638817.db2.gz KBLOZJMEFLHEIO-OXJNMPFZSA-N 0 3 315.910 4.128 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(CC(=O)Nc2cccc(Cl)c2)C1 ZINC001559787931 1059621130 /nfs/dbraw/zinc/62/11/30/1059621130.db2.gz PKDZWDVDMNGDNW-CYBMUJFWSA-N 0 3 308.853 4.037 20 0 DIADHN Cc1ccc(C)c(CN2CCN(c3ccccc3F)C[C@@H]2C)c1 ZINC001559805176 1059640259 /nfs/dbraw/zinc/64/02/59/1059640259.db2.gz INEVBAZFZBNLCK-KRWDZBQOSA-N 0 3 312.432 4.153 20 0 DIADHN c1ccc2cc(CCN3CCOC4(CCCCC4)C3)ccc2c1 ZINC001559808237 1059642594 /nfs/dbraw/zinc/64/25/94/1059642594.db2.gz GJRFHHLZWGDCMN-UHFFFAOYSA-N 0 3 309.453 4.417 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(OC)ccc2Cl)C[C@H]1OC ZINC001559812229 1059649212 /nfs/dbraw/zinc/64/92/12/1059649212.db2.gz WYQDUQPUXAMZHY-HZPDHXFCSA-N 0 3 311.853 4.032 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1cc(OC)ccc1Cl ZINC001559812230 1059650053 /nfs/dbraw/zinc/65/00/53/1059650053.db2.gz WYQDUQPUXAMZHY-JKSUJKDBSA-N 0 3 311.853 4.032 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)N(CCN(C)C)C1CCCC1 ZINC001524844594 1059651354 /nfs/dbraw/zinc/65/13/54/1059651354.db2.gz HUSRKWZRXYOXBL-SFHVURJKSA-N 0 3 322.537 4.316 20 0 DIADHN CCCc1ccc(CN2CCC(F)(c3ccccn3)CC2)cc1 ZINC001559818641 1059653358 /nfs/dbraw/zinc/65/33/58/1059653358.db2.gz JJTNNPRBOMAEPU-UHFFFAOYSA-N 0 3 312.432 4.495 20 0 DIADHN FC(F)(F)CC1CCN(C[C@@H]2CC3(CO2)CCCCC3)CC1 ZINC001559828223 1059661849 /nfs/dbraw/zinc/66/18/49/1059661849.db2.gz JTYCFKCQMJMQHY-HNNXBMFYSA-N 0 3 319.411 4.390 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@H]1[C@H]1CCCO1 ZINC001559833936 1059667771 /nfs/dbraw/zinc/66/77/71/1059667771.db2.gz PZEGSWIDMSVWLR-JKSUJKDBSA-N 0 3 314.256 4.179 20 0 DIADHN COC[C@@H](CN(C)[C@@H](C)c1nc2cc(Cl)ccc2[nH]1)C(C)C ZINC001559846533 1059673917 /nfs/dbraw/zinc/67/39/17/1059673917.db2.gz ITAXPHCFZBHXMK-QWHCGFSZSA-N 0 3 323.868 4.128 20 0 DIADHN CC(C)c1ccc([C@H](C)N2CCC[C@@H](OC(=O)N(C)C)C2)cc1 ZINC001559848087 1059674661 /nfs/dbraw/zinc/67/46/61/1059674661.db2.gz JIZXFAXBQQAEOC-MAUKXSAKSA-N 0 3 318.461 4.034 20 0 DIADHN CCC[C@H](C)CCCN1[C@@H](C)CN(C(=O)C(C)(C)C)C[C@@H]1C ZINC001559861229 1059682585 /nfs/dbraw/zinc/68/25/85/1059682585.db2.gz CUFQVPZYGGHTJF-ULQDDVLXSA-N 0 3 310.526 4.170 20 0 DIADHN CC(C)N(Cc1cccc2c1OCCO2)[C@H](C)c1ccccc1 ZINC001559880256 1059700070 /nfs/dbraw/zinc/70/00/70/1059700070.db2.gz BWELRYDHPACRAY-MRXNPFEDSA-N 0 3 311.425 4.429 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C(C)(C)C)C[C@@H]2C)c(C)o1 ZINC001559880079 1059700166 /nfs/dbraw/zinc/70/01/66/1059700166.db2.gz XIQZOWBMLAONCS-WFASDCNBSA-N 0 3 307.434 4.021 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C(C)(C)C)C[C@@H]2C)cs1 ZINC001559879349 1059700673 /nfs/dbraw/zinc/70/06/73/1059700673.db2.gz GXRGBSGNOJZFNV-JSGCOSHPSA-N 0 3 309.475 4.181 20 0 DIADHN Cc1ccc(SCCN2CC(C)(C)[C@H]2[C@H]2CCCO2)cc1C ZINC001559884621 1059708632 /nfs/dbraw/zinc/70/86/32/1059708632.db2.gz ZOCUBBNGWFLRLU-QZTJIDSGSA-N 0 3 319.514 4.285 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCCOC1CCCCCC1 ZINC001559895882 1059713854 /nfs/dbraw/zinc/71/38/54/1059713854.db2.gz UXFGCIPFIWJDJR-MRXNPFEDSA-N 0 3 305.462 4.394 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CC[C@H](CC(C)(C)C)C2)c(C)c1 ZINC001559916441 1059734411 /nfs/dbraw/zinc/73/44/11/1059734411.db2.gz YQMXFZVKDIEFOH-QGZVFWFLSA-N 0 3 316.489 4.308 20 0 DIADHN CC1(C)c2ccccc2CCN1C[C@@H](O)c1cccc(Cl)c1 ZINC001559933225 1059746147 /nfs/dbraw/zinc/74/61/47/1059746147.db2.gz ODGFNGAXVXLGKE-GOSISDBHSA-N 0 3 315.844 4.167 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1Cc1ccccc1)C(C)C ZINC001559948823 1059759761 /nfs/dbraw/zinc/75/97/61/1059759761.db2.gz FFFIYMFOHRILHE-UHFFFAOYSA-N 0 3 324.468 4.336 20 0 DIADHN CN(Cc1ccc(CF)cc1)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001559949040 1059762229 /nfs/dbraw/zinc/76/22/29/1059762229.db2.gz MJFZWWSPAOVHKC-UHFFFAOYSA-N 0 3 323.314 4.110 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001525138118 1059764451 /nfs/dbraw/zinc/76/44/51/1059764451.db2.gz YBZNVNUSJWJVLZ-KRWDZBQOSA-N 0 3 302.462 4.186 20 0 DIADHN CCC(C)(C)N1CCN(Cc2nc(C)c(C(C)(C)C)s2)CC1 ZINC001559952773 1059766918 /nfs/dbraw/zinc/76/69/18/1059766918.db2.gz XMXXCPLDLVIAPS-UHFFFAOYSA-N 0 3 323.550 4.055 20 0 DIADHN Cc1ccc(C2(NCC(=O)Nc3cc(C)cc(C)c3)CCC2)cc1 ZINC001559967202 1059777694 /nfs/dbraw/zinc/77/76/94/1059777694.db2.gz VUHBBTZNOMVTCQ-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCS[C@@H](c2ccccc2)C1 ZINC001559974165 1059779139 /nfs/dbraw/zinc/77/91/39/1059779139.db2.gz RJFDGIZUBMXPOI-BHIYHBOVSA-N 0 3 319.514 4.372 20 0 DIADHN CN(CCC(C)(C)C)Cc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC001559988849 1059795063 /nfs/dbraw/zinc/79/50/63/1059795063.db2.gz WGTPJQUGTRDNMK-UHFFFAOYSA-N 0 3 313.367 4.450 20 0 DIADHN COC(=O)N(C)CCN(C)Cc1ccc(C(C)C)cc1C(C)C ZINC001560010790 1059818260 /nfs/dbraw/zinc/81/82/60/1059818260.db2.gz IYTJWULUGVWFOI-UHFFFAOYSA-N 0 3 320.477 4.063 20 0 DIADHN C[C@@H](Cc1ccccc1)N(Cc1ccno1)Cc1ccccc1 ZINC001560015656 1059824966 /nfs/dbraw/zinc/82/49/66/1059824966.db2.gz KRFOLVHSOGTJOG-KRWDZBQOSA-N 0 3 306.409 4.308 20 0 DIADHN Cc1cccc(CCCN2CCc3nc(C(C)(C)C)ncc3C2)c1 ZINC001560019329 1059830093 /nfs/dbraw/zinc/83/00/93/1059830093.db2.gz XTCLSMFRTCSMGO-UHFFFAOYSA-N 0 3 323.484 4.073 20 0 DIADHN Clc1ccc2nc(Cl)c(CN3CC[C@H]4C[C@H]4C3)cc2c1 ZINC001560019310 1059831407 /nfs/dbraw/zinc/83/14/07/1059831407.db2.gz XKDCQYCBKXJUHV-JQWIXIFHSA-N 0 3 307.224 4.383 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)c1 ZINC001560023488 1059837457 /nfs/dbraw/zinc/83/74/57/1059837457.db2.gz YUUIMWQODCIUJX-INIZCTEOSA-N 0 3 316.395 4.356 20 0 DIADHN Cc1cccc(C[C@]2(C)CCCN2Cc2cc3n(n2)CCCC3)c1 ZINC001560039964 1059853417 /nfs/dbraw/zinc/85/34/17/1059853417.db2.gz CYAWVTDUFHFSHX-NRFANRHFSA-N 0 3 323.484 4.125 20 0 DIADHN C[C@H]1CN(Cc2cccc(-c3ccc(Cl)cc3)n2)CCCO1 ZINC001248504490 1059856817 /nfs/dbraw/zinc/85/68/17/1059856817.db2.gz FRNFXWDAAUGOIM-AWEZNQCLSA-N 0 3 316.832 4.013 20 0 DIADHN Cc1ccc(CN2CCC3(CCSCC3)CC2)c(Cl)n1 ZINC001560047474 1059860637 /nfs/dbraw/zinc/86/06/37/1059860637.db2.gz JDENZWQDWODMGQ-UHFFFAOYSA-N 0 3 310.894 4.153 20 0 DIADHN COc1nscc1CN1CC[C@@H](c2ccc(F)cc2)C1(C)C ZINC001560057909 1059866964 /nfs/dbraw/zinc/86/69/64/1059866964.db2.gz GGLDHPQLBQCXOK-HNNXBMFYSA-N 0 3 320.433 4.059 20 0 DIADHN C[C@@H](CN(C)[C@H](C(=O)OC(C)(C)C)C1CC1)C1CCCCC1 ZINC001560057982 1059872243 /nfs/dbraw/zinc/87/22/43/1059872243.db2.gz HLBUHEGDQCLVMU-YOEHRIQHSA-N 0 3 309.494 4.255 20 0 DIADHN C[C@@H](CN(C)[C@@H](C(=O)OC(C)(C)C)C1CC1)C1CCCCC1 ZINC001560057981 1059872665 /nfs/dbraw/zinc/87/26/65/1059872665.db2.gz HLBUHEGDQCLVMU-WMLDXEAASA-N 0 3 309.494 4.255 20 0 DIADHN Cc1ccc2c(CN(C)CCC(=O)c3cccs3)cccc2n1 ZINC001248574193 1059898841 /nfs/dbraw/zinc/89/88/41/1059898841.db2.gz SILWXUNXQGMZAJ-UHFFFAOYSA-N 0 3 324.449 4.310 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCCc2c(F)cccc2F)c1 ZINC001560085855 1059898866 /nfs/dbraw/zinc/89/88/66/1059898866.db2.gz MYGPXWIDFBWJIY-IBGZPJMESA-N 0 3 316.395 4.438 20 0 DIADHN C[C@H]1CN(CC(=O)N[C@H](c2cccs2)C(C)(C)C)C(C)(C)C1 ZINC001560107429 1059913808 /nfs/dbraw/zinc/91/38/08/1059913808.db2.gz QNKWAINYNTWQGU-CZUORRHYSA-N 0 3 322.518 4.072 20 0 DIADHN CC(C)[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccc(Cl)cc1 ZINC001525514699 1059919991 /nfs/dbraw/zinc/91/99/91/1059919991.db2.gz AQDJYEWTKBYJSA-DLBZAZTESA-N 0 3 322.880 4.026 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001560121835 1059925984 /nfs/dbraw/zinc/92/59/84/1059925984.db2.gz KYEDSFKRXIDRLV-ACJLOTCBSA-N 0 3 303.352 4.179 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H]([C@@H]3CCCO3)C2)c1 ZINC001560130632 1059934856 /nfs/dbraw/zinc/93/48/56/1059934856.db2.gz LCBCPINFVVZXNX-BBRMVZONSA-N 0 3 314.256 4.037 20 0 DIADHN CCCCCCc1csc(CN2CCC(C(=O)OC)CC2)c1 ZINC001248682755 1059935567 /nfs/dbraw/zinc/93/55/67/1059935567.db2.gz DFGDBQFYNLRGBQ-UHFFFAOYSA-N 0 3 323.502 4.256 20 0 DIADHN Cc1noc2ccc(CN3CCC4(CC(F)(F)C4)CC3)cc12 ZINC001248689877 1059937677 /nfs/dbraw/zinc/93/76/77/1059937677.db2.gz FNYZBXWWMBPJFC-UHFFFAOYSA-N 0 3 306.356 4.148 20 0 DIADHN Cc1ccccc1[C@@H](CO)N(C)Cc1cccc(C(F)(F)F)c1 ZINC001560147547 1059946107 /nfs/dbraw/zinc/94/61/07/1059946107.db2.gz RSWUJOIINZWJCB-QGZVFWFLSA-N 0 3 323.358 4.179 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1cccc(Cl)c1)c1ccc(F)cc1 ZINC001248724690 1059955683 /nfs/dbraw/zinc/95/56/83/1059955683.db2.gz CHSOASFEJFOYNY-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1ccc(CCN2[C@H](C)CO[C@@H](c3ccccc3)[C@H]2C)cc1 ZINC001560178631 1059964866 /nfs/dbraw/zinc/96/48/66/1059964866.db2.gz ARKAYNBEPVREBA-DBXWQHBBSA-N 0 3 309.453 4.388 20 0 DIADHN Clc1cnn(CCN2CCC(CC3CCCCC3)CC2)c1 ZINC001560180532 1059967463 /nfs/dbraw/zinc/96/74/63/1059967463.db2.gz DXGSSPCCHKLLGE-UHFFFAOYSA-N 0 3 309.885 4.219 20 0 DIADHN COc1ccccc1Oc1cccc(CN2CCC[C@@H]3C[C@@H]32)c1 ZINC001248760251 1059969205 /nfs/dbraw/zinc/96/92/05/1059969205.db2.gz JTLOOAFYMFGVLH-AEFFLSMTSA-N 0 3 309.409 4.472 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc(-c2ccn(C)n2)cc1 ZINC001248767135 1059972254 /nfs/dbraw/zinc/97/22/54/1059972254.db2.gz VZFZFBRHYVLDPA-UHFFFAOYSA-N 0 3 323.415 4.248 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2ccc(Cl)s2)ncn1 ZINC001560190329 1059975998 /nfs/dbraw/zinc/97/59/98/1059975998.db2.gz XWYOXBOGCKCBQF-INIZCTEOSA-N 0 3 321.877 4.097 20 0 DIADHN CCSc1ccccc1CN(C)CCCC(=O)OC(C)(C)C ZINC001560202800 1059982116 /nfs/dbraw/zinc/98/21/16/1059982116.db2.gz FEZVAAZZEMBJML-UHFFFAOYSA-N 0 3 323.502 4.352 20 0 DIADHN Fc1cc(C(F)(F)F)cnc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001248789855 1059983472 /nfs/dbraw/zinc/98/34/72/1059983472.db2.gz PTCWOWCMNQNGAM-XHDPSFHLSA-N 0 3 316.342 4.394 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCCC[C@@H]3C2)c(OC)c1Cl ZINC001248800260 1059983586 /nfs/dbraw/zinc/98/35/86/1059983586.db2.gz MGETYVWOANGMAO-ZIAGYGMSSA-N 0 3 323.864 4.369 20 0 DIADHN F[C@@H]1CCCN(CCCCOc2cccc(C(F)(F)F)c2)C1 ZINC001560204846 1059985484 /nfs/dbraw/zinc/98/54/84/1059985484.db2.gz BRFDTOKBTFOPDA-CQSZACIVSA-N 0 3 319.342 4.298 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2cc(F)ccc2F)cc1 ZINC001560217054 1059993244 /nfs/dbraw/zinc/99/32/44/1059993244.db2.gz QOCBHSFGMGEZAR-QGZVFWFLSA-N 0 3 317.379 4.268 20 0 DIADHN Clc1c(CN2CCc3ccccc3CC2)cnc2ccccc12 ZINC001248812825 1059993573 /nfs/dbraw/zinc/99/35/73/1059993573.db2.gz WZNGKGNZTCJCCW-UHFFFAOYSA-N 0 3 322.839 4.489 20 0 DIADHN Cc1nc(CN2CCCC[C@@H]2Cc2ccc(O)cc2)c(C)s1 ZINC001560217403 1059993920 /nfs/dbraw/zinc/99/39/20/1059993920.db2.gz WLSFSJBDKHRSFZ-MRXNPFEDSA-N 0 3 316.470 4.063 20 0 DIADHN O[C@H]1CCCN(Cc2ccc(-c3ccccc3)cc2Cl)CC1 ZINC001248818925 1059995613 /nfs/dbraw/zinc/99/56/13/1059995613.db2.gz GQQSWJNCUXOFBV-SFHVURJKSA-N 0 3 315.844 4.354 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2ccc(F)c3cc[nH]c32)C1 ZINC001248818539 1059996534 /nfs/dbraw/zinc/99/65/34/1059996534.db2.gz QEGYWPHCAOHRHD-MRXNPFEDSA-N 0 3 322.383 4.012 20 0 DIADHN CN(C)c1ccc(CN2CCCCC23CCCCCC3)cn1 ZINC001560231628 1059999223 /nfs/dbraw/zinc/99/92/23/1059999223.db2.gz XFMCVVHEAPVIPB-UHFFFAOYSA-N 0 3 301.478 4.227 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc3n2CCC3)cc1 ZINC001248823699 1060004857 /nfs/dbraw/zinc/00/48/57/1060004857.db2.gz IRUAWEYAUMSIMA-HXUWFJFHSA-N 0 3 310.441 4.170 20 0 DIADHN CC(C)Sc1nccc(CN2CCC[C@@H]3CCCC[C@H]32)n1 ZINC001248832196 1060008777 /nfs/dbraw/zinc/00/87/77/1060008777.db2.gz FFWVSFCEXUDPJE-GOEBONIOSA-N 0 3 305.491 4.132 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1ccc(OCc2ccccc2)cn1 ZINC001248860779 1060020112 /nfs/dbraw/zinc/02/01/12/1060020112.db2.gz PYCRYTNOOVFRDL-IRXDYDNUSA-N 0 3 310.441 4.424 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1ccc(OCc2ccccc2)cn1 ZINC001248860777 1060024050 /nfs/dbraw/zinc/02/40/50/1060024050.db2.gz PYCRYTNOOVFRDL-CALCHBBNSA-N 0 3 310.441 4.424 20 0 DIADHN c1ccc(COc2ccc(CN3CCC4(CCC4)C3)nc2)cc1 ZINC001248863370 1060025495 /nfs/dbraw/zinc/02/54/95/1060025495.db2.gz LJXCGBGHHCTKGC-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccc(OCc2ccccc2)cn1 ZINC001248863597 1060026099 /nfs/dbraw/zinc/02/60/99/1060026099.db2.gz UIGZZKJCCYVKSG-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN c1ccc(COc2ccc(CN3CCCC4(CCC4)C3)nc2)cc1 ZINC001248864400 1060029124 /nfs/dbraw/zinc/02/91/24/1060029124.db2.gz CRIDNOBPIZNBCW-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN C[C@H]1CN(Cc2ccc(OCc3ccccc3)cn2)C2(CC2)C1 ZINC001248863758 1060029271 /nfs/dbraw/zinc/02/92/71/1060029271.db2.gz BBGVWPQEXNLCKO-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN Cc1nc(CN2CCC[C@H]2Cc2ccc(F)cc2)ccc1Cl ZINC001248874090 1060031603 /nfs/dbraw/zinc/03/16/03/1060031603.db2.gz KIBSFSFWCDSFIX-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1ccc(C)c(CNCc2ccnc(OC3CCCC3)c2)c1 ZINC001560265107 1060032965 /nfs/dbraw/zinc/03/29/65/1060032965.db2.gz DNCMPJFNFSGVDD-UHFFFAOYSA-N 0 3 310.441 4.310 20 0 DIADHN Cc1nc(CN2CC[C@H](Cc3ccccc3)C2)ccc1Cl ZINC001248877634 1060034700 /nfs/dbraw/zinc/03/47/00/1060034700.db2.gz KEDCAKMZNTVZHZ-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN CCc1ccc(CNCc2cc(Cl)cc(Cl)c2N)s1 ZINC001560265859 1060036852 /nfs/dbraw/zinc/03/68/52/1060036852.db2.gz HRKSYSQKBZRQDS-UHFFFAOYSA-N 0 3 315.269 4.489 20 0 DIADHN Cn1nc2c(c1CN[C@H](c1ccccc1)C1CCCCC1)CCC2 ZINC001560267927 1060038533 /nfs/dbraw/zinc/03/85/33/1060038533.db2.gz LUHHKKREOXCFKX-OAQYLSRUSA-N 0 3 323.484 4.320 20 0 DIADHN CCOCCNCc1ccccc1Oc1ccc(F)cc1Cl ZINC001560271775 1060047602 /nfs/dbraw/zinc/04/76/02/1060047602.db2.gz BXNUVCCRKQEVNJ-UHFFFAOYSA-N 0 3 323.795 4.398 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](NCc2ccc([C@H]3C[C@H]3C)o2)C1 ZINC001560274372 1060050858 /nfs/dbraw/zinc/05/08/58/1060050858.db2.gz JMXRCJYPYQTQEL-JMVFIXPQSA-N 0 3 324.468 4.328 20 0 DIADHN CCOc1c(Cl)cccc1CNCCOc1cccc(F)c1 ZINC001560281950 1060059070 /nfs/dbraw/zinc/05/90/70/1060059070.db2.gz MGLPLXHGRJVZMM-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN COc1cccc([C@H](C)NCc2cc(C)c3ncccc3c2)c1 ZINC001560283080 1060061337 /nfs/dbraw/zinc/06/13/37/1060061337.db2.gz WYBDIVZSBFJGRO-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCOc1ccccc1CNC/C=C/c1ccc(F)c(F)c1 ZINC001560285501 1060065409 /nfs/dbraw/zinc/06/54/09/1060065409.db2.gz VJAMPDYRSIHTSK-AATRIKPKSA-N 0 3 303.352 4.167 20 0 DIADHN Cn1c(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)cc2ccccc21 ZINC001560294174 1060076595 /nfs/dbraw/zinc/07/65/95/1060076595.db2.gz PLJNNSHMMGPIJU-SFTDATJTSA-N 0 3 320.436 4.188 20 0 DIADHN COc1ccc(Cl)cc1CNC/C=C\c1ccc(F)cc1 ZINC001560295349 1060077123 /nfs/dbraw/zinc/07/71/23/1060077123.db2.gz WOGQLAMTNZDUHO-IHWYPQMZSA-N 0 3 305.780 4.291 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCN1CCc2ccccc21 ZINC001560295013 1060077373 /nfs/dbraw/zinc/07/73/73/1060077373.db2.gz GUJXUNLCRMZANH-UHFFFAOYSA-N 0 3 312.457 4.038 20 0 DIADHN COc1cc2ccccc2cc1CNCCOCCC(C)C ZINC001560297274 1060081563 /nfs/dbraw/zinc/08/15/63/1060081563.db2.gz GNADTUUIKOOEAO-UHFFFAOYSA-N 0 3 301.430 4.001 20 0 DIADHN Cc1cc(CNC2(c3ccccc3)CCC2)c2c(n1)CCCC2 ZINC001560299201 1060084460 /nfs/dbraw/zinc/08/44/60/1060084460.db2.gz NRJRGXPDNIHULO-UHFFFAOYSA-N 0 3 306.453 4.438 20 0 DIADHN Cc1cccc(C2(NCc3ccnn3CC(C)C)CCCC2)c1 ZINC001560307812 1060095169 /nfs/dbraw/zinc/09/51/69/1060095169.db2.gz NCJXGXKMTLHWRN-UHFFFAOYSA-N 0 3 311.473 4.407 20 0 DIADHN CC(C)(CNCc1c(Cl)n[nH]c1C1CC1)c1ccc(F)cc1 ZINC001560313406 1060099171 /nfs/dbraw/zinc/09/91/71/1060099171.db2.gz XRGBCLYGSUBQBB-UHFFFAOYSA-N 0 3 321.827 4.147 20 0 DIADHN COc1cc(C)c(CNCc2ccc3ccccc3c2)c(C)n1 ZINC001560314707 1060101208 /nfs/dbraw/zinc/10/12/08/1060101208.db2.gz HDCCZOOVCQQBOB-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CCCC(C)(C)NCc1cnn(Cc2ccccc2)c1Cl ZINC001560314297 1060102625 /nfs/dbraw/zinc/10/26/25/1060102625.db2.gz UGLSZGWEZMYMGZ-UHFFFAOYSA-N 0 3 305.853 4.253 20 0 DIADHN C[C@H](CCC1CCCCC1)NCc1cn(-c2ccccc2)nn1 ZINC001560333109 1060117487 /nfs/dbraw/zinc/11/74/87/1060117487.db2.gz JFZQXQKTNGOELT-MRXNPFEDSA-N 0 3 312.461 4.106 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnn(CC2CCC2)c1)C1CC1 ZINC001560332516 1060118552 /nfs/dbraw/zinc/11/85/52/1060118552.db2.gz MXNDNIKHHOUTSW-FQEVSTJZSA-N 0 3 309.457 4.233 20 0 DIADHN CC[C@H]1CCC[C@H](NCc2nccc(C)c2Br)C1 ZINC001560344533 1060130713 /nfs/dbraw/zinc/13/07/13/1060130713.db2.gz BLQQRMFYCHOUKR-STQMWFEESA-N 0 3 311.267 4.211 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(F)c2ccccc12 ZINC001560347203 1060132492 /nfs/dbraw/zinc/13/24/92/1060132492.db2.gz CFSXOPHXWPIOQH-UHFFFAOYSA-N 0 3 308.400 4.335 20 0 DIADHN CCc1cccc2cc(CNC[C@](C)(O)c3ccsc3)oc21 ZINC001560345781 1060133427 /nfs/dbraw/zinc/13/34/27/1060133427.db2.gz XEHRHDKDCFLWHP-SFHVURJKSA-N 0 3 315.438 4.054 20 0 DIADHN Cc1ccc(F)c(CNC[C@H](C)Oc2cccc(Cl)c2)c1 ZINC001560351580 1060138216 /nfs/dbraw/zinc/13/82/16/1060138216.db2.gz ZYKMQXJVWUGBIQ-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NCc1ccccc1C1CC1 ZINC001560354378 1060141033 /nfs/dbraw/zinc/14/10/33/1060141033.db2.gz OFMJBMAXGSHCRH-LJQANCHMSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1csc(CNC[C@H]2CCCO[C@@H]2c2ccc(C)cc2)n1 ZINC001560354848 1060142283 /nfs/dbraw/zinc/14/22/83/1060142283.db2.gz FEXUTHIQEDSMAI-SJLPKXTDSA-N 0 3 316.470 4.017 20 0 DIADHN CSC(C)(C)CNCc1csc(-c2ccc(C)cc2)n1 ZINC001560355903 1060142496 /nfs/dbraw/zinc/14/24/96/1060142496.db2.gz WRVNRPBMTABSSI-UHFFFAOYSA-N 0 3 306.500 4.350 20 0 DIADHN C[C@H](CNCc1ccc(F)cc1Cl)Oc1cccc(F)c1 ZINC001560356203 1060143108 /nfs/dbraw/zinc/14/31/08/1060143108.db2.gz HEXUTIBWSBBTGD-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CCO[C@@H](CCNCc1ccc(F)c(F)c1F)C1CCCC1 ZINC001560370026 1060155747 /nfs/dbraw/zinc/15/57/47/1060155747.db2.gz SEIBHGGYGHTRDE-HNNXBMFYSA-N 0 3 315.379 4.179 20 0 DIADHN CCO[C@H](CCNCc1ccc(F)c(F)c1F)C1CCCC1 ZINC001560370027 1060155938 /nfs/dbraw/zinc/15/59/38/1060155938.db2.gz SEIBHGGYGHTRDE-OAHLLOKOSA-N 0 3 315.379 4.179 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1csc(COc2ccccc2)n1 ZINC001560397503 1060183624 /nfs/dbraw/zinc/18/36/24/1060183624.db2.gz AVIPZFBVVAELGJ-RHSMWYFYSA-N 0 3 316.470 4.390 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(F)cc2Br)c1 ZINC001560406038 1060190787 /nfs/dbraw/zinc/19/07/87/1060190787.db2.gz ZYAOZSZHCGMCKD-UHFFFAOYSA-N 0 3 309.182 4.212 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1nc(C)c(C)s1 ZINC001560408997 1060192565 /nfs/dbraw/zinc/19/25/65/1060192565.db2.gz GMNNIJUNFMLBSH-CQSZACIVSA-N 0 3 306.500 4.420 20 0 DIADHN CCCCc1ccc(-c2noc(C34CCCN3CCC4)n2)cc1 ZINC001249221524 1060192770 /nfs/dbraw/zinc/19/27/70/1060192770.db2.gz UFUBKFARDPMMEU-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN COc1cccc(CNC/C=C\c2ccccc2)c1OC(F)F ZINC001560417292 1060203345 /nfs/dbraw/zinc/20/33/45/1060203345.db2.gz XTWNLZPMJBAKNJ-TWGQIWQCSA-N 0 3 319.351 4.100 20 0 DIADHN C[C@@H]1CCCN(Cc2csc(-c3ccncc3)n2)C[C@@H]1C ZINC001249263668 1060207344 /nfs/dbraw/zinc/20/73/44/1060207344.db2.gz RLHMEAIDUOPQRI-KGLIPLIRSA-N 0 3 301.459 4.073 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1ccc2ncccc2c1 ZINC001560421013 1060208286 /nfs/dbraw/zinc/20/82/86/1060208286.db2.gz WGVXHFGTXQAAQN-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2c(F)cc(C)cc2F)CCO1 ZINC001560421136 1060208407 /nfs/dbraw/zinc/20/84/07/1060208407.db2.gz ZRXPVVIOUMERLR-KBXCAEBGSA-N 0 3 311.416 4.347 20 0 DIADHN C[C@H]1CCCN(Cc2csc(-c3ccncc3)n2)C[C@H]1C ZINC001249263669 1060208333 /nfs/dbraw/zinc/20/83/33/1060208333.db2.gz RLHMEAIDUOPQRI-UONOGXRCSA-N 0 3 301.459 4.073 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3c(c2)CCC3)cnc1Cl ZINC001560426661 1060212410 /nfs/dbraw/zinc/21/24/10/1060212410.db2.gz VSHKYVLNOJFMDP-CYBMUJFWSA-N 0 3 300.833 4.383 20 0 DIADHN C[C@@H](NCc1cccc(OCc2ccccc2)c1)c1ccc[nH]1 ZINC001560427470 1060216093 /nfs/dbraw/zinc/21/60/93/1060216093.db2.gz BIVMDYZEIWSEFA-MRXNPFEDSA-N 0 3 306.409 4.445 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCCC(C)(C)C2)c1Br ZINC001560442517 1060230834 /nfs/dbraw/zinc/23/08/34/1060230834.db2.gz QRPVKFUJFJVQIX-GFCCVEGCSA-N 0 3 311.267 4.211 20 0 DIADHN COc1cc(C)ccc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC001560445151 1060233539 /nfs/dbraw/zinc/23/35/39/1060233539.db2.gz MQZJAVIDVXICFP-INIZCTEOSA-N 0 3 323.358 4.439 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC001249408562 1060237973 /nfs/dbraw/zinc/23/79/73/1060237973.db2.gz GTAXXKVLSUGFBJ-GDBMZVCRSA-N 0 3 315.436 4.062 20 0 DIADHN C/C=C\C[C@H](CO)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001560448157 1060239159 /nfs/dbraw/zinc/23/91/59/1060239159.db2.gz UHZRLMRJKZEWQJ-RXYHWBRQSA-N 0 3 323.864 4.078 20 0 DIADHN COc1ccc2nc(CN3CCC[C@H]3c3cccc(C)c3)[nH]c2c1 ZINC001249411167 1060240473 /nfs/dbraw/zinc/24/04/73/1060240473.db2.gz QMVSGZHPMTWJMD-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001249409268 1060240697 /nfs/dbraw/zinc/24/06/97/1060240697.db2.gz WHCXSAFUPFZRNB-HOCLYGCPSA-N 0 3 307.457 4.038 20 0 DIADHN COc1ccc2nc(CN(Cc3ccccc3)C3CCC3)[nH]c2c1 ZINC001249415982 1060242106 /nfs/dbraw/zinc/24/21/06/1060242106.db2.gz VOVTVBPUXJMKFV-UHFFFAOYSA-N 0 3 321.424 4.126 20 0 DIADHN Cc1cccc2cc(CN[C@@H]3CSC[C@@H]3C)c(Cl)nc12 ZINC001560468614 1060259179 /nfs/dbraw/zinc/25/91/79/1060259179.db2.gz FUXUEONRAONULP-SMDDNHRTSA-N 0 3 306.862 4.038 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CC[C@H](c3ccccc3F)C2)c1 ZINC001249479154 1060261582 /nfs/dbraw/zinc/26/15/82/1060261582.db2.gz QOXIXKOOBRWMJP-AWEZNQCLSA-N 0 3 300.421 4.441 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CCC(c3cccc(O)c3)CC2)c1 ZINC001249480162 1060262215 /nfs/dbraw/zinc/26/22/15/1060262215.db2.gz IGQPFPZHEMHZEH-UHFFFAOYSA-N 0 3 312.457 4.397 20 0 DIADHN CCSc1ncc2cccc(CN3CCC[C@](C)(F)CC3)n21 ZINC001249498585 1060265785 /nfs/dbraw/zinc/26/57/85/1060265785.db2.gz MATPLAOCIAOGJV-KRWDZBQOSA-N 0 3 321.465 4.160 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN(Cc2cc(F)cc3cc[nH]c32)C1 ZINC001249521759 1060269506 /nfs/dbraw/zinc/26/95/06/1060269506.db2.gz AWXJOFGUYZYXSG-AWEZNQCLSA-N 0 3 318.289 4.031 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1CSc2ccccc21 ZINC001560483184 1060273947 /nfs/dbraw/zinc/27/39/47/1060273947.db2.gz PZXGSZFUVDVUSF-HNNXBMFYSA-N 0 3 322.433 4.321 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1cc(F)cc3cc[nH]c31)CC2 ZINC001249525293 1060277633 /nfs/dbraw/zinc/27/76/33/1060277633.db2.gz HUCZTOYDMIFSLC-UHFFFAOYSA-N 0 3 314.404 4.032 20 0 DIADHN CN(Cc1nc2ccc(Br)cc2o1)C[C@H]1CC1(C)C ZINC001249540503 1060280980 /nfs/dbraw/zinc/28/09/80/1060280980.db2.gz FQLTYRPQPAMNGM-SNVBAGLBSA-N 0 3 323.234 4.068 20 0 DIADHN Cc1scc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1C ZINC001560489852 1060283718 /nfs/dbraw/zinc/28/37/18/1060283718.db2.gz DENIIHSVDUAFFR-INIZCTEOSA-N 0 3 309.862 4.232 20 0 DIADHN c1coc(-c2ccc(NC3=CC[C@@H](N4CCOCC4)CC3)cc2)c1 ZINC001249558214 1060292116 /nfs/dbraw/zinc/29/21/16/1060292116.db2.gz ICZRLRKBMYXURM-LJQANCHMSA-N 0 3 324.424 4.127 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@H]1CC[C@@H](C)O1 ZINC001560496555 1060293766 /nfs/dbraw/zinc/29/37/66/1060293766.db2.gz YIKMHFOSYNXJRM-OLZOCXBDSA-N 0 3 313.894 4.498 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1ccc(Oc2ccccc2)o1)C1CC1 ZINC001560505560 1060301569 /nfs/dbraw/zinc/30/15/69/1060301569.db2.gz YPFCRUREMSIDHO-AUUYWEPGSA-N 0 3 315.413 4.365 20 0 DIADHN Cc1cc(Nc2ccncc2C(F)(F)F)c2ccccc2n1 ZINC001249583926 1060302357 /nfs/dbraw/zinc/30/23/57/1060302357.db2.gz MISZXDAHGPJUDE-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Clc1ccc(OCC2CC2)c(CNCCOCC2CCC2)c1 ZINC001560514639 1060313885 /nfs/dbraw/zinc/31/38/85/1060313885.db2.gz UUFICQHYSQBEEM-UHFFFAOYSA-N 0 3 323.864 4.035 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H](C2CC2)[C@H]2CCCCO2)c1 ZINC001560519063 1060318681 /nfs/dbraw/zinc/31/86/81/1060318681.db2.gz QTIVJXZSFUJDEI-CVEARBPZSA-N 0 3 314.256 4.431 20 0 DIADHN COC(=O)c1ccc(CNC2(c3ccccc3C)CCC2)cc1C ZINC001560522105 1060319379 /nfs/dbraw/zinc/31/93/79/1060319379.db2.gz ADNLMRJCOVECTB-UHFFFAOYSA-N 0 3 323.436 4.259 20 0 DIADHN Clc1cccc(Cl)c1CNCCCO[C@@H]1CCCCO1 ZINC001560525900 1060325549 /nfs/dbraw/zinc/32/55/49/1060325549.db2.gz SQAYIBCKDQYTMO-OAHLLOKOSA-N 0 3 318.244 4.016 20 0 DIADHN c1c2ccccc2oc1CNCCNc1ccc2ccccc2n1 ZINC001560530955 1060331506 /nfs/dbraw/zinc/33/15/06/1060331506.db2.gz ROTIPJPDONEHTB-UHFFFAOYSA-N 0 3 317.392 4.183 20 0 DIADHN CCC[C@@H](C)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001534680560 1060334104 /nfs/dbraw/zinc/33/41/04/1060334104.db2.gz WKGGZPWXJCKCEZ-LLVKDONJSA-N 0 3 316.367 4.142 20 0 DIADHN CCCC[C@@H](CC)C(=O)N1c2ccccc2CC[C@H]1CN(C)C ZINC001526812072 1060346154 /nfs/dbraw/zinc/34/61/54/1060346154.db2.gz SHBFPIAQLDROJI-AEFFLSMTSA-N 0 3 316.489 4.112 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(C)cccc32)c(OC)c1 ZINC001560544306 1060352315 /nfs/dbraw/zinc/35/23/15/1060352315.db2.gz UIXRCYDAZBGMKJ-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC[C@@H]1CCCS1 ZINC001560547618 1060357321 /nfs/dbraw/zinc/35/73/21/1060357321.db2.gz LZKYRYNJCZYCNT-LBPRGKRZSA-N 0 3 308.472 4.087 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCC[C@@H]1CCCS1 ZINC001560547401 1060358293 /nfs/dbraw/zinc/35/82/93/1060358293.db2.gz GDHNPONWHSQPPU-INIZCTEOSA-N 0 3 303.427 4.447 20 0 DIADHN COc1cccc(COc2ccc(CNCC(C)(C)F)cc2)c1 ZINC001560552652 1060365115 /nfs/dbraw/zinc/36/51/15/1060365115.db2.gz JECUKLNHOHEGRL-UHFFFAOYSA-N 0 3 317.404 4.112 20 0 DIADHN C[C@@H](NC/C=C/c1ccc(F)cc1F)c1cc2cnccc2o1 ZINC001560567306 1060378734 /nfs/dbraw/zinc/37/87/34/1060378734.db2.gz COBBQYMXXCAJAH-QAVQXKDTSA-N 0 3 314.335 4.470 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@H]2CCCC2(F)F)cs1 ZINC001560570524 1060381386 /nfs/dbraw/zinc/38/13/86/1060381386.db2.gz MVMKEWWXGKPQHE-LLVKDONJSA-N 0 3 302.434 4.356 20 0 DIADHN CC1(C)CC[C@@H](CNCc2c(Cl)ccc3cccnc32)OC1 ZINC001560571962 1060383150 /nfs/dbraw/zinc/38/31/50/1060383150.db2.gz QCGVXNZCJCQALK-AWEZNQCLSA-N 0 3 318.848 4.183 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1ccc(C(F)(F)F)nc1 ZINC001560578351 1060391976 /nfs/dbraw/zinc/39/19/76/1060391976.db2.gz NLAOCXNRSSCOMR-DMTLFAOVSA-N 0 3 306.331 4.464 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cccnc1N(C)C ZINC001560582999 1060397527 /nfs/dbraw/zinc/39/75/27/1060397527.db2.gz DMEJUMJFYBPXRQ-UHFFFAOYSA-N 0 3 319.452 4.153 20 0 DIADHN Fc1ccc(/C=C/CNCc2nccc3c2CCCC3)cc1F ZINC001560587811 1060399499 /nfs/dbraw/zinc/39/94/99/1060399499.db2.gz CMPAFZXHAMTBHL-ONEGZZNKSA-N 0 3 314.379 4.042 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@H]2CC3(CCC3)CO2)c1 ZINC001560592791 1060405572 /nfs/dbraw/zinc/40/55/72/1060405572.db2.gz ZHPMJSANOVOVMQ-GOSISDBHSA-N 0 3 315.457 4.057 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNC[C@]12C[C@H]1CCC2 ZINC001560598962 1060412508 /nfs/dbraw/zinc/41/25/08/1060412508.db2.gz WCEGAMSPITTWPH-YGRLFVJLSA-N 0 3 303.299 4.004 20 0 DIADHN CC(C)c1ccc(CNC2(c3ccc4c(c3)OCCO4)CC2)cc1 ZINC001560601833 1060414282 /nfs/dbraw/zinc/41/42/82/1060414282.db2.gz PNORVIOAOAPWRW-UHFFFAOYSA-N 0 3 323.436 4.360 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@H]3COC[C@@H]3C3CC3)o2)cc1 ZINC001560615489 1060430429 /nfs/dbraw/zinc/43/04/29/1060430429.db2.gz RKQFUUXNXYADRE-SJORKVTESA-N 0 3 317.816 4.115 20 0 DIADHN C[C@H](CN[C@H](CO)c1cc(F)ccc1F)CC1CCCCC1 ZINC001560618484 1060431865 /nfs/dbraw/zinc/43/18/65/1060431865.db2.gz SKJRIFAJNHPLNP-SCLBCKFNSA-N 0 3 311.416 4.194 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1C[C@@H](C)CC[C@@H]1C ZINC001560627655 1060438345 /nfs/dbraw/zinc/43/83/45/1060438345.db2.gz HJYGQKLUJFMZCW-RYUDHWBXSA-N 0 3 303.475 4.202 20 0 DIADHN c1ccc(CN2CCN(Cc3ccc(C4CCC4)cc3)CC2)cc1 ZINC001560628896 1060440685 /nfs/dbraw/zinc/44/06/85/1060440685.db2.gz WLUMQHNFOCZROH-UHFFFAOYSA-N 0 3 320.480 4.272 20 0 DIADHN Cn1cc(CN2CCC[C@H]2CCC2CCCCC2)c(Cl)n1 ZINC001560630393 1060441077 /nfs/dbraw/zinc/44/10/77/1060441077.db2.gz UWWZXXLWJPYSML-INIZCTEOSA-N 0 3 309.885 4.398 20 0 DIADHN Fc1ccc(CCCN2CCc3c(F)cccc3C2)cc1F ZINC001560629521 1060441223 /nfs/dbraw/zinc/44/12/23/1060441223.db2.gz SOKQSPOSUUTNOU-UHFFFAOYSA-N 0 3 305.343 4.095 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCC(C(C)C)CC1 ZINC001560631376 1060441520 /nfs/dbraw/zinc/44/15/20/1060441520.db2.gz FJGGNAJTNZDQPV-UHFFFAOYSA-N 0 3 315.436 4.188 20 0 DIADHN COc1cc(F)c(CN2CCC[C@@]3(CC=CCC3)C2)cc1OC ZINC001560639847 1060456468 /nfs/dbraw/zinc/45/64/68/1060456468.db2.gz DRGCZJIHKPUBEJ-IBGZPJMESA-N 0 3 319.420 4.165 20 0 DIADHN COc1ccc(CN2CCC[C@]3(CC=CCC3)C2)c(F)c1OC ZINC001560639945 1060456746 /nfs/dbraw/zinc/45/67/46/1060456746.db2.gz HMOVITWCIHABLR-LJQANCHMSA-N 0 3 319.420 4.165 20 0 DIADHN CC[C@H]1CCCCCN1Cc1c(C(C)C)nc2sc(C)nn12 ZINC001560642205 1060462818 /nfs/dbraw/zinc/46/28/18/1060462818.db2.gz IUGIFODXNISMQJ-AWEZNQCLSA-N 0 3 320.506 4.377 20 0 DIADHN CCn1nccc1CN1CCCCC[C@H]1/C=C/c1ccccc1 ZINC001560647831 1060471120 /nfs/dbraw/zinc/47/11/20/1060471120.db2.gz DZVFNAGNVDZYKX-HYSAVQALSA-N 0 3 309.457 4.361 20 0 DIADHN Cc1ccc(CN(CCO)[C@@H](C)c2ccccc2)cc1Cl ZINC001560654058 1060477840 /nfs/dbraw/zinc/47/78/40/1060477840.db2.gz AKAUXBCZMUHWPG-HNNXBMFYSA-N 0 3 303.833 4.204 20 0 DIADHN COc1cc(CN(Cc2ccccc2)CC(C)C)cnc1Cl ZINC001249916089 1060479421 /nfs/dbraw/zinc/47/94/21/1060479421.db2.gz ASRIOYAGJBMZCU-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN FC(F)CN1CCN(CC2CCC3(CCCCC3)CC2)CC1 ZINC001560657261 1060480863 /nfs/dbraw/zinc/48/08/63/1060480863.db2.gz HLPZCZUTAVWQBV-UHFFFAOYSA-N 0 3 314.464 4.010 20 0 DIADHN CC(C)Cn1nccc1CN1C[C@H](c2ccc(F)cc2)C[C@H]1C ZINC001560655987 1060481464 /nfs/dbraw/zinc/48/14/64/1060481464.db2.gz PSDSXNKJELRAHH-NVXWUHKLSA-N 0 3 315.436 4.056 20 0 DIADHN CCN(Cc1nc(C(F)(F)F)n2c1CCCC2)[C@@H](C)C(C)C ZINC001560659417 1060484091 /nfs/dbraw/zinc/48/40/91/1060484091.db2.gz HVFXXRJBQGUOKT-LBPRGKRZSA-N 0 3 317.399 4.105 20 0 DIADHN CCN(Cc1nc(C(F)(F)F)n2c1CCCC2)[C@H](C)C(C)C ZINC001560659416 1060484255 /nfs/dbraw/zinc/48/42/55/1060484255.db2.gz HVFXXRJBQGUOKT-GFCCVEGCSA-N 0 3 317.399 4.105 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC001560658666 1060484803 /nfs/dbraw/zinc/48/48/03/1060484803.db2.gz GHOFBNKNYDHOJE-MRXNPFEDSA-N 0 3 318.823 4.390 20 0 DIADHN COc1cc(C)ccc1CN1CCC[C@@H](Nc2ccccc2)C1 ZINC001560662269 1060487818 /nfs/dbraw/zinc/48/78/18/1060487818.db2.gz VCBFBFSPEGLOJW-LJQANCHMSA-N 0 3 310.441 4.080 20 0 DIADHN Clc1cc2c(c(CN3CC[C@@H](c4ccccc4)C3)c1)OCC2 ZINC001560665157 1060493876 /nfs/dbraw/zinc/49/38/76/1060493876.db2.gz OHIRWBKIMSNIEN-MRXNPFEDSA-N 0 3 313.828 4.264 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccnc(F)c2C)C12CCCCC2 ZINC001560668645 1060495861 /nfs/dbraw/zinc/49/58/61/1060495861.db2.gz QOKAQDSHRLDPEY-IAGOWNOFSA-N 0 3 320.452 4.089 20 0 DIADHN COc1cccc(CN2CCC[C@@](C)(c3ccccc3)C2)c1F ZINC001560675583 1060508900 /nfs/dbraw/zinc/50/89/00/1060508900.db2.gz KPVYUDZDXDJSER-HXUWFJFHSA-N 0 3 313.416 4.388 20 0 DIADHN CCOc1cc(F)cc(CN(C)[C@@H]2C[C@@H](OCC)C23CCC3)c1 ZINC001560675699 1060509424 /nfs/dbraw/zinc/50/94/24/1060509424.db2.gz WPAMNKKYDYLNRJ-QZTJIDSGSA-N 0 3 321.436 4.004 20 0 DIADHN c1ccc(COc2cc(CN3CCCC4(CCC4)C3)ccn2)cc1 ZINC001249977786 1060516455 /nfs/dbraw/zinc/51/64/55/1060516455.db2.gz MMDUSCZJEXPODZ-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1Cc1cn2cccc(F)c2n1 ZINC001560677594 1060516742 /nfs/dbraw/zinc/51/67/42/1060516742.db2.gz GMZIWUJARGQGGJ-INIZCTEOSA-N 0 3 303.425 4.264 20 0 DIADHN COc1cc(CN2CCC23CCCC3)ccc1OC(F)(F)F ZINC001249985104 1060520567 /nfs/dbraw/zinc/52/05/67/1060520567.db2.gz YRRXTAVBPSBHOU-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN Cc1ccc(CN(C)[C@H]2CCCN(c3ccccc3)C2)c(F)c1 ZINC001560686665 1060530766 /nfs/dbraw/zinc/53/07/66/1060530766.db2.gz BCRNWEXOFJXVNB-IBGZPJMESA-N 0 3 312.432 4.235 20 0 DIADHN CC(C)Cc1ccc(CN2CCCO[C@H](c3ccccc3)C2)cn1 ZINC001560686560 1060532619 /nfs/dbraw/zinc/53/26/19/1060532619.db2.gz GHOABMMKZDJLKN-NRFANRHFSA-N 0 3 324.468 4.244 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001560699346 1060552179 /nfs/dbraw/zinc/55/21/79/1060552179.db2.gz QQVLRDHWQILVRC-PXNSSMCTSA-N 0 3 309.457 4.254 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CCC[C@H]2CCC[C@H]21 ZINC001560699348 1060552606 /nfs/dbraw/zinc/55/26/06/1060552606.db2.gz QQVLRDHWQILVRC-YLJYHZDGSA-N 0 3 309.457 4.254 20 0 DIADHN Cc1cc(CN2CCC(OC3CCC(C)CC3)CC2)cc(C)n1 ZINC001560701058 1060559510 /nfs/dbraw/zinc/55/95/10/1060559510.db2.gz ZLDWJYVFNJQXIY-UHFFFAOYSA-N 0 3 316.489 4.258 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)F)cc2F)CC(C)(C)C1 ZINC001560706811 1060563983 /nfs/dbraw/zinc/56/39/83/1060563983.db2.gz RTQJABHRMXIBMY-NSHDSACASA-N 0 3 301.352 4.295 20 0 DIADHN CN(Cc1c(C(F)(F)F)nc2n1CCCC2)C1CCCCC1 ZINC001560714427 1060573007 /nfs/dbraw/zinc/57/30/07/1060573007.db2.gz KUINMENKVZDTFP-UHFFFAOYSA-N 0 3 315.383 4.003 20 0 DIADHN Cc1ccc(CCN2CCN(c3ccc(C)c(C)c3)CC2)cc1C ZINC001560728924 1060592320 /nfs/dbraw/zinc/59/23/20/1060592320.db2.gz OJBNCAJXHOALKM-UHFFFAOYSA-N 0 3 322.496 4.285 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(Cl)cc2)c(C)c1OC ZINC001560726803 1060592678 /nfs/dbraw/zinc/59/26/78/1060592678.db2.gz GMCNINYWUXKGIJ-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN CC[C@H](C)[C@H](CN(C)Cc1ccccc1OC(F)(F)F)OC ZINC001560727509 1060594129 /nfs/dbraw/zinc/59/41/29/1060594129.db2.gz KBIYHIOBRDFHOH-WFASDCNBSA-N 0 3 319.367 4.078 20 0 DIADHN COCCCC1(CN(Cc2ccccc2F)C2CC2)CCC1 ZINC001560731376 1060597131 /nfs/dbraw/zinc/59/71/31/1060597131.db2.gz UQWFIVHSUJYNAZ-UHFFFAOYSA-N 0 3 305.437 4.387 20 0 DIADHN CCCOc1ccc(CNCc2cccc3c2NCCC3)c(C)c1 ZINC001560757593 1060622627 /nfs/dbraw/zinc/62/26/27/1060622627.db2.gz HLHXZYIWFZBZOF-UHFFFAOYSA-N 0 3 324.468 4.432 20 0 DIADHN CC(C)c1nnc(CNC[C@H](Cc2ccccc2)C(C)C)s1 ZINC001560767655 1060632372 /nfs/dbraw/zinc/63/23/72/1060632372.db2.gz CJHFBXPAEDBIJR-INIZCTEOSA-N 0 3 317.502 4.266 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCOCC2(CCCC2)C1 ZINC001560768425 1060632659 /nfs/dbraw/zinc/63/26/59/1060632659.db2.gz ZRMLCJLSBOTIFL-UHFFFAOYSA-N 0 3 305.462 4.278 20 0 DIADHN O=C(Cc1ccc(CN2CCCCC2)cc1)c1ccc(F)cc1 ZINC001250133864 1060637706 /nfs/dbraw/zinc/63/77/06/1060637706.db2.gz QRDDUFJRMZDLDX-UHFFFAOYSA-N 0 3 311.400 4.237 20 0 DIADHN CCN1CCN(c2cccc(NCc3ccc(C)c(C)c3)c2)CC1 ZINC001560774765 1060639181 /nfs/dbraw/zinc/63/91/81/1060639181.db2.gz RUDUXEYYKTYCMM-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN CCOc1cc(C)ccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC001250181774 1060662168 /nfs/dbraw/zinc/66/21/68/1060662168.db2.gz MVUOEYPYBACCJA-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1cccc2c1OCC2 ZINC001560812566 1060670601 /nfs/dbraw/zinc/67/06/01/1060670601.db2.gz WQQNHUUJCUXEBU-IBGZPJMESA-N 0 3 311.425 4.213 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cccc(Br)c1F ZINC001560813895 1060671015 /nfs/dbraw/zinc/67/10/15/1060671015.db2.gz LDBWVCDZAQIDRK-LLVKDONJSA-N 0 3 320.271 4.162 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1cccc2c1OCC2 ZINC001560812567 1060671317 /nfs/dbraw/zinc/67/13/17/1060671317.db2.gz WQQNHUUJCUXEBU-LJQANCHMSA-N 0 3 311.425 4.213 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1cccc(Br)c1OC ZINC001560814634 1060674076 /nfs/dbraw/zinc/67/40/76/1060674076.db2.gz DCFDDNWRAUITHN-AAEUAGOBSA-N 0 3 312.251 4.221 20 0 DIADHN CC[C@H](NCc1c(Cl)cccc1SC(C)C)[C@H](C)O ZINC001560830800 1060688715 /nfs/dbraw/zinc/68/87/15/1060688715.db2.gz IFLQZWMVKDMNDW-FZMZJTMJSA-N 0 3 301.883 4.090 20 0 DIADHN COC1(CNCc2c(F)cccc2SC)CCCCCC1 ZINC001560826306 1060685420 /nfs/dbraw/zinc/68/54/20/1060685420.db2.gz LINSRYCYZVYRHC-UHFFFAOYSA-N 0 3 311.466 4.377 20 0 DIADHN COc1cc(CN2CC[C@@H](C(F)(F)F)C[C@H]2C)ccc1C ZINC001560844493 1060699500 /nfs/dbraw/zinc/69/95/00/1060699500.db2.gz FWEVGURADXPKCD-TZMCWYRMSA-N 0 3 301.352 4.166 20 0 DIADHN Cc1ccccc1CCN(C)Cc1ccc(F)c(F)c1N(C)C ZINC001560852979 1060709969 /nfs/dbraw/zinc/70/99/69/1060709969.db2.gz QCCOBBZPHYKPHJ-UHFFFAOYSA-N 0 3 318.411 4.014 20 0 DIADHN CCN(CC)Cc1ccc(F)c(CC(=O)c2cccc(F)c2)c1 ZINC001250236530 1060717421 /nfs/dbraw/zinc/71/74/21/1060717421.db2.gz SWLHDMQYGZQAEF-UHFFFAOYSA-N 0 3 317.379 4.232 20 0 DIADHN CCCSC[C@@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001560865275 1060725122 /nfs/dbraw/zinc/72/51/22/1060725122.db2.gz VTCJCTJCLKOBHC-CYBMUJFWSA-N 0 3 306.500 4.431 20 0 DIADHN COC(=O)c1csc(CN[C@H]2CCCc3ccc(C)cc32)c1 ZINC001560865693 1060725998 /nfs/dbraw/zinc/72/59/98/1060725998.db2.gz IFWHYURCUSKCTE-KRWDZBQOSA-N 0 3 315.438 4.010 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](N[C@@H]1C[C@@H]2COC[C@H]12)C1CC1 ZINC001560866695 1060727005 /nfs/dbraw/zinc/72/70/05/1060727005.db2.gz MUEGOAHFBQGQHI-KTMIJUKYSA-N 0 3 312.240 4.069 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](N[C@H]1C[C@@H]2COC[C@@H]21)C1CC1 ZINC001560866694 1060727650 /nfs/dbraw/zinc/72/76/50/1060727650.db2.gz MUEGOAHFBQGQHI-AZLWOZFGSA-N 0 3 312.240 4.069 20 0 DIADHN CCc1cc(OC)ccc1CN1CCc2sccc2[C@H]1C ZINC001560870227 1060730208 /nfs/dbraw/zinc/73/02/08/1060730208.db2.gz BUNDCGCSDRTMJQ-CYBMUJFWSA-N 0 3 301.455 4.438 20 0 DIADHN COc1c(C)ccc(F)c1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001250257868 1060735379 /nfs/dbraw/zinc/73/53/79/1060735379.db2.gz DOUDDSLXYPUHID-UHFFFAOYSA-N 0 3 321.436 4.066 20 0 DIADHN COc1cc(OC)c(CN2CC[C@@H](CC(C)(C)C)C2)cc1F ZINC001560888610 1060751185 /nfs/dbraw/zinc/75/11/85/1060751185.db2.gz BREVKCPRCSBBAW-ZDUSSCGKSA-N 0 3 309.425 4.101 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1N[C@H]1CCc2cccc(Cl)c2C1 ZINC001560897431 1060758748 /nfs/dbraw/zinc/75/87/48/1060758748.db2.gz AORCLZOAJZHLLW-IPELMVKDSA-N 0 3 315.819 4.424 20 0 DIADHN COCCN(Cc1cc(F)c(OC(C)C)c(Cl)c1)C(C)C ZINC001250291580 1060759759 /nfs/dbraw/zinc/75/97/59/1060759759.db2.gz IFWNGVLZUXHVMR-UHFFFAOYSA-N 0 3 317.832 4.123 20 0 DIADHN CSCCN(C)Cc1cc(F)c(OC(C)C)c(Cl)c1 ZINC001250303816 1060770075 /nfs/dbraw/zinc/77/00/75/1060770075.db2.gz RJLOKKYRDKHJKC-UHFFFAOYSA-N 0 3 305.846 4.061 20 0 DIADHN Brc1ccccc1C1(NC[C@H]2CCC=CO2)CCC1 ZINC001560915703 1060775600 /nfs/dbraw/zinc/77/56/00/1060775600.db2.gz URDQQSDADSSSCP-CYBMUJFWSA-N 0 3 322.246 4.111 20 0 DIADHN CC(C)[C@@H]1N(Cc2conc2Cc2ccccc2)CC12CC=CC2 ZINC001560915478 1060777102 /nfs/dbraw/zinc/77/71/02/1060777102.db2.gz NMAQQYPSXMSQER-FQEVSTJZSA-N 0 3 322.452 4.442 20 0 DIADHN Brc1ccccc1C1(NC[C@@H]2CCC=CO2)CCC1 ZINC001560915704 1060777173 /nfs/dbraw/zinc/77/71/73/1060777173.db2.gz URDQQSDADSSSCP-ZDUSSCGKSA-N 0 3 322.246 4.111 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CC[C@H](C2CCCCC2)C1 ZINC001560921274 1060787114 /nfs/dbraw/zinc/78/71/14/1060787114.db2.gz HIBXVTXKSZTOSZ-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1ccc(CCNCc2cncc(Cl)c2Cl)cc1C ZINC001560924461 1060788629 /nfs/dbraw/zinc/78/86/29/1060788629.db2.gz JBBSRLIULHVJMI-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN Fc1cc(CN2CCC(C3CCC3)CC2)ccc1OC(F)F ZINC001560923013 1060789246 /nfs/dbraw/zinc/78/92/46/1060789246.db2.gz OSEDWIJVRXNRSP-UHFFFAOYSA-N 0 3 313.363 4.439 20 0 DIADHN CC1(C)CCN(Cc2cn3cccc(F)c3n2)CC(C)(C)C1 ZINC001560925759 1060790679 /nfs/dbraw/zinc/79/06/79/1060790679.db2.gz TUFXUXJSAOPQRF-UHFFFAOYSA-N 0 3 303.425 4.122 20 0 DIADHN CCC(C)(C)OC1CN([C@H]2CC[C@](C)(c3ccccc3)C2)C1 ZINC001560934426 1060797630 /nfs/dbraw/zinc/79/76/30/1060797630.db2.gz QQHPRKPMJLRUSP-PXNSSMCTSA-N 0 3 301.474 4.386 20 0 DIADHN Clc1cc2c(c(CN3CCC[C@H](C4CCC4)C3)c1)OCC2 ZINC001560937519 1060799293 /nfs/dbraw/zinc/79/92/93/1060799293.db2.gz GEVJBMCETLIRMP-HNNXBMFYSA-N 0 3 305.849 4.287 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@H](C4CCC4)C3)sc2c1 ZINC001560937534 1060801410 /nfs/dbraw/zinc/80/14/10/1060801410.db2.gz GYGMDTIYPNBFMN-ZDUSSCGKSA-N 0 3 304.434 4.448 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001560942800 1060808341 /nfs/dbraw/zinc/80/83/41/1060808341.db2.gz UAKODCLHAGFRPV-RDJZCZTQSA-N 0 3 313.489 4.125 20 0 DIADHN FC(F)(F)c1ccc(C2=CCCN(Cc3ccccc3)C2)nc1 ZINC001250448129 1060808847 /nfs/dbraw/zinc/80/88/47/1060808847.db2.gz VDWXXYJDPBGBHP-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CCC2(CCSCC2)CC1 ZINC001560958282 1060823766 /nfs/dbraw/zinc/82/37/66/1060823766.db2.gz OXRYJNVAPGKXAW-UHFFFAOYSA-N 0 3 322.493 4.001 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@H]2CSC[C@@H]2C1 ZINC001560972273 1060838550 /nfs/dbraw/zinc/83/85/50/1060838550.db2.gz IEIDBFNTKATCPN-WMZOPIPTSA-N 0 3 313.466 4.033 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@@H](OC3CCC3)CC2)c(F)c1 ZINC001560974562 1060838821 /nfs/dbraw/zinc/83/88/21/1060838821.db2.gz MJPGVBFCYQDBKS-HCABJEFNSA-N 0 3 321.411 4.402 20 0 DIADHN CCOc1cc(CN2CC([C@H]3CCC[C@@H](C)C3)C2)ccc1O ZINC001560978938 1060843098 /nfs/dbraw/zinc/84/30/98/1060843098.db2.gz XLWQARUKHGBHDE-ZBFHGGJFSA-N 0 3 303.446 4.049 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2noc3cc(F)ccc23)C1(C)C ZINC001560981181 1060845174 /nfs/dbraw/zinc/84/51/74/1060845174.db2.gz KLYVSIMDXGNGEL-JKSUJKDBSA-N 0 3 320.408 4.039 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CC(CC3CCOCC3)C2)c(C)c1 ZINC001560988185 1060852155 /nfs/dbraw/zinc/85/21/55/1060852155.db2.gz MEAWOYJFEBCZTK-PLNGDYQASA-N 0 3 313.485 4.374 20 0 DIADHN C[C@@H]1CCC(C)(C)C[C@H]1NCc1noc2c1CC(C)(C)CC2 ZINC001560993996 1060855394 /nfs/dbraw/zinc/85/53/94/1060855394.db2.gz TYXOJBLKGKHCDR-UKRRQHHQSA-N 0 3 304.478 4.494 20 0 DIADHN CC(C)(O)[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC001560999207 1060858349 /nfs/dbraw/zinc/85/83/49/1060858349.db2.gz PLPYVZAPZLHNCU-OAHLLOKOSA-N 0 3 315.413 4.055 20 0 DIADHN CC(C)(O)[C@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC001560999206 1060859739 /nfs/dbraw/zinc/85/97/39/1060859739.db2.gz PLPYVZAPZLHNCU-HNNXBMFYSA-N 0 3 315.413 4.055 20 0 DIADHN c1ccc(OCCCCCNCc2nc3ccccc3o2)cc1 ZINC001561015433 1060873362 /nfs/dbraw/zinc/87/33/62/1060873362.db2.gz VRGCIGIJKJFKOQ-UHFFFAOYSA-N 0 3 310.397 4.167 20 0 DIADHN CC(C)CN(Cc1ccc2c(c1)C[C@H](C)O2)Cc1ccccn1 ZINC001561017240 1060876049 /nfs/dbraw/zinc/87/60/49/1060876049.db2.gz SOZMENSIYDMISK-INIZCTEOSA-N 0 3 310.441 4.063 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2c(F)cc(C)cc2F)C12CCCCC2 ZINC001561019925 1060876834 /nfs/dbraw/zinc/87/68/34/1060876834.db2.gz SGCHGVZCGOKNDD-MSOLQXFVSA-N 0 3 323.427 4.491 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)[C@@H]1C[C@H]1c1cccs1 ZINC001561026853 1060881732 /nfs/dbraw/zinc/88/17/32/1060881732.db2.gz OKGQVYQASSPUNN-FRRDWIJNSA-N 0 3 305.418 4.178 20 0 DIADHN CSCC1CCN(Cc2cn(C)nc2C2CCCCC2)CC1 ZINC001561030958 1060884375 /nfs/dbraw/zinc/88/43/75/1060884375.db2.gz ANVPDXACDHKHHN-UHFFFAOYSA-N 0 3 321.534 4.043 20 0 DIADHN C[C@H](CCC1CCCCC1)NCc1cc(C(F)(F)F)n(C)n1 ZINC001561041748 1060894005 /nfs/dbraw/zinc/89/40/05/1060894005.db2.gz DGPJPJSTDVXKJR-GFCCVEGCSA-N 0 3 317.399 4.278 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@@H](C3CCCC3)C2)cc1F ZINC001561049379 1060898261 /nfs/dbraw/zinc/89/82/61/1060898261.db2.gz TWDFSTJAXWKFFC-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN CCN1CCN(c2ccc(-c3cnc4ccccc4c3)cc2)CC1 ZINC001250606046 1060935719 /nfs/dbraw/zinc/93/57/19/1060935719.db2.gz KMHBVOPCBKRMIC-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN C[C@@H]1CN(CCCCc2ccccc2)[C@H](c2ccccc2)CO1 ZINC001473191116 1060945261 /nfs/dbraw/zinc/94/52/61/1060945261.db2.gz XBSVDJQVVVXTJS-NQIIRXRSSA-N 0 3 309.453 4.471 20 0 DIADHN CCC[C@@H](Nc1cccc(N2CCN(CC)CC2)c1)C1CCC1 ZINC001462124710 1060946735 /nfs/dbraw/zinc/94/67/35/1060946735.db2.gz GVITUZFRTBVRPE-HXUWFJFHSA-N 0 3 315.505 4.209 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccc(Cl)cc2F)CS1 ZINC001462129752 1060953089 /nfs/dbraw/zinc/95/30/89/1060953089.db2.gz WCSZPFHIZPPWAA-JSGCOSHPSA-N 0 3 301.858 4.489 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1cc(O)ccc1Cl ZINC001473195490 1060955082 /nfs/dbraw/zinc/95/50/82/1060955082.db2.gz XVNUTDCOIAMMMO-MRXNPFEDSA-N 0 3 303.833 4.499 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1ccc(Cl)cc1C ZINC001473197599 1060957772 /nfs/dbraw/zinc/95/77/72/1060957772.db2.gz JWQHFFREZZJNDZ-UHFFFAOYSA-N 0 3 310.869 4.489 20 0 DIADHN CCc1ccc(N2CCN(C[C@H]3CCCCC3(F)F)CC2)cc1 ZINC001473202125 1060965258 /nfs/dbraw/zinc/96/52/58/1060965258.db2.gz GYWKJZMPZMSOSQ-QGZVFWFLSA-N 0 3 322.443 4.197 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@H]3C(C)(C)C)co2)c1 ZINC001473201215 1060965510 /nfs/dbraw/zinc/96/55/10/1060965510.db2.gz NNUDESAUBORLID-KRWDZBQOSA-N 0 3 314.429 4.361 20 0 DIADHN CCCC1CCN(Cc2cc(-c3ccc(OC)cc3)no2)CC1 ZINC001473203514 1060968103 /nfs/dbraw/zinc/96/81/03/1060968103.db2.gz OZJOFPGFDNVOLM-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@H]2CCN(C)[C@@H]2C)c(C(C)C)c1 ZINC001562127129 1060982024 /nfs/dbraw/zinc/98/20/24/1060982024.db2.gz ZPBZKNLVCIFHGX-PBHICJAKSA-N 0 3 317.477 4.148 20 0 DIADHN Cc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc1Cl ZINC001462159461 1060983346 /nfs/dbraw/zinc/98/33/46/1060983346.db2.gz XBIQFUMTAJRUBB-UHFFFAOYSA-N 0 3 305.853 4.301 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@@H](Cc2ccccc2)[C@H]1C ZINC001473215111 1060984690 /nfs/dbraw/zinc/98/46/90/1060984690.db2.gz FYPHHVWZQHLXGI-BPQIPLTHSA-N 0 3 317.473 4.060 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccc(-c2ccccn2)nc1 ZINC001473214983 1060986359 /nfs/dbraw/zinc/98/63/59/1060986359.db2.gz GLRQKOADRBQLQD-INIZCTEOSA-N 0 3 315.420 4.263 20 0 DIADHN COCCN(Cc1csc(C(F)(F)F)c1)[C@@H]1CC[C@H](C)C1 ZINC001462173869 1060994582 /nfs/dbraw/zinc/99/45/82/1060994582.db2.gz DSWPMRUHFJUBTN-WCQYABFASA-N 0 3 321.408 4.404 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3ccc4c(c3)CCC4)CC2)c1 ZINC001473219683 1060995434 /nfs/dbraw/zinc/99/54/34/1060995434.db2.gz LVUGEAXGUHFYPV-UHFFFAOYSA-N 0 3 320.480 4.114 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccccc2Cl)cc1 ZINC001473218645 1060996649 /nfs/dbraw/zinc/99/66/49/1060996649.db2.gz LDDZMJBZDKIJSU-MRXNPFEDSA-N 0 3 301.817 4.166 20 0 DIADHN CCC1(CC)CCN([C@@H](C)C(=O)Nc2ccc(C)cc2C)C1 ZINC001473224281 1061000999 /nfs/dbraw/zinc/00/09/99/1061000999.db2.gz YENRXBZBHAESAF-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@H]1N(CCCSc2ccccc2Cl)CCOC1(C)C ZINC001473222514 1061001067 /nfs/dbraw/zinc/00/10/67/1061001067.db2.gz JXDPHWXNIHJJQF-CYBMUJFWSA-N 0 3 313.894 4.322 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2cc(F)ccc2Br)C1 ZINC001473224440 1061005906 /nfs/dbraw/zinc/00/59/06/1061005906.db2.gz ZBUSJOISTQRRFZ-LLVKDONJSA-N 0 3 300.215 4.066 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)[NH2+][C@@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC001462181610 1061001279 /nfs/dbraw/zinc/00/12/79/1061001279.db2.gz BMRLWQYNPJSOKB-YQQAZPJKSA-N 0 3 314.477 4.299 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCSC[C@@H]1c1cnn(C)c1 ZINC001462184095 1061004931 /nfs/dbraw/zinc/00/49/31/1061004931.db2.gz NYOVXDAAGLOFMY-CRAIPNDOSA-N 0 3 321.534 4.117 20 0 DIADHN CCC[C@H](CN(CCC(F)(F)F)Cc1ccccc1)OC ZINC001462186327 1061009515 /nfs/dbraw/zinc/00/95/15/1061009515.db2.gz CPZCHYVLQSCEJU-OAHLLOKOSA-N 0 3 303.368 4.256 20 0 DIADHN Cc1cnc(N2CCN(CC[C@H]3C[C@H](C)C[C@H](C)C3)CC2)s1 ZINC001473239172 1061014873 /nfs/dbraw/zinc/01/48/73/1061014873.db2.gz UAYNDXNFKAFEOS-QLPKVWCKSA-N 0 3 321.534 4.036 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@H]1CCC3(CCC3)CO1)CCC2 ZINC001473249114 1061025251 /nfs/dbraw/zinc/02/52/51/1061025251.db2.gz QUUPZZVBSPPENA-ZBFHGGJFSA-N 0 3 320.502 4.110 20 0 DIADHN CN(CC[C@@H]1CCc2ccccc21)Cc1nc2ccccc2o1 ZINC001473252408 1061031993 /nfs/dbraw/zinc/03/19/93/1061031993.db2.gz MEOMRMQVAAXNFC-INIZCTEOSA-N 0 3 306.409 4.380 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cccc(F)c1OC(F)F ZINC001473258024 1061034126 /nfs/dbraw/zinc/03/41/26/1061034126.db2.gz CCLMZFMGEXKDAC-NSHDSACASA-N 0 3 321.408 4.391 20 0 DIADHN CCC[C@@H]1CCCN(Cc2ncc(-c3ccc(OC)cc3)o2)C1 ZINC001473259918 1061034265 /nfs/dbraw/zinc/03/42/65/1061034265.db2.gz PBQKCVWJIRJOPL-OAHLLOKOSA-N 0 3 314.429 4.362 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1ccc(CC)cc1 ZINC001473275485 1061047773 /nfs/dbraw/zinc/04/77/73/1061047773.db2.gz FWEJKEOIRZWPNV-GOSISDBHSA-N 0 3 302.462 4.232 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@H](CC(C)C)C2)c(C)c1 ZINC001473278433 1061048092 /nfs/dbraw/zinc/04/80/92/1061048092.db2.gz UQQAXQWTHJZHMJ-QZTJIDSGSA-N 0 3 316.489 4.389 20 0 DIADHN Cc1ccc2cc(CN3CCCc4ccccc4CC3)[nH]c2c1 ZINC001462250922 1061055691 /nfs/dbraw/zinc/05/56/91/1061055691.db2.gz ZZWXCJLKOQQSBQ-UHFFFAOYSA-N 0 3 304.437 4.467 20 0 DIADHN CC1CC(CN2Cc3cc(Br)ccc3C[C@H]2C)C1 ZINC001473289392 1061057127 /nfs/dbraw/zinc/05/71/27/1061057127.db2.gz ZLWVKZUZUJGDAL-OTTFEQOBSA-N 0 3 308.263 4.242 20 0 DIADHN CCCOc1ccc2c(c1)CCN(C[C@H]1CCCC(C)(C)O1)C2 ZINC001473292494 1061063171 /nfs/dbraw/zinc/06/31/71/1061063171.db2.gz YAQDQLCNDPLYJL-LJQANCHMSA-N 0 3 317.473 4.181 20 0 DIADHN CC(C)Cc1ccccc1CN(C)[C@@H]1CCCc2c1cnn2C ZINC001473290569 1061063632 /nfs/dbraw/zinc/06/36/32/1061063632.db2.gz AJYMBKUQDHKGTI-LJQANCHMSA-N 0 3 311.473 4.128 20 0 DIADHN CCOC(=O)CC[C@@H]1CCCN(Cc2ccc(C)cc2Cl)C1 ZINC001473299176 1061065171 /nfs/dbraw/zinc/06/51/71/1061065171.db2.gz WSISTSSNPKQEDX-HNNXBMFYSA-N 0 3 323.864 4.204 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2ccc3ccccc3c2)c1 ZINC001473300021 1061065594 /nfs/dbraw/zinc/06/55/94/1061065594.db2.gz GHZKCGDKQOXIQI-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN CC(C)=CCCN1CCN(c2ccc(C(F)(F)F)cc2)CC1 ZINC001473296609 1061065860 /nfs/dbraw/zinc/06/58/60/1061065860.db2.gz LXYJNECXTXLPTO-UHFFFAOYSA-N 0 3 312.379 4.184 20 0 DIADHN Cc1[nH]nc(CN2CCc3ccccc3[C@H]2c2ccccc2)c1C ZINC001462274861 1061068450 /nfs/dbraw/zinc/06/84/50/1061068450.db2.gz BVWZMKWIQWUTST-OAQYLSRUSA-N 0 3 317.436 4.174 20 0 DIADHN FC1(F)Oc2cccc(CN[C@@H](c3ccccc3)C3CC3)c2O1 ZINC001473313162 1061076665 /nfs/dbraw/zinc/07/66/65/1061076665.db2.gz YRPFQMLHRDTVPQ-INIZCTEOSA-N 0 3 317.335 4.249 20 0 DIADHN C[C@@H](NCc1noc2c1CC(C)(C)CC2)[C@@H](C)c1ccccc1 ZINC001473314060 1061079232 /nfs/dbraw/zinc/07/92/32/1061079232.db2.gz HOBSCYQPNGLQBQ-HUUCEWRRSA-N 0 3 312.457 4.471 20 0 DIADHN C[C@@H](NCc1ccn(-c2cccc(F)c2)n1)[C@@H](C)c1ccccc1 ZINC001473314263 1061079421 /nfs/dbraw/zinc/07/94/21/1061079421.db2.gz UCDXUTCYVLVFQD-HZPDHXFCSA-N 0 3 323.415 4.293 20 0 DIADHN C[C@]1(Br)C[C@H]1CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC001462296328 1061085387 /nfs/dbraw/zinc/08/53/87/1061085387.db2.gz RLRGQQDRZZSLBX-JYJNAYRXSA-N 0 3 320.274 4.387 20 0 DIADHN CC[C@@H](NCCOCc1ccccc1)c1cc(F)ccc1F ZINC001473323099 1061086028 /nfs/dbraw/zinc/08/60/28/1061086028.db2.gz HBQYJEZFZXYLTJ-GOSISDBHSA-N 0 3 305.368 4.222 20 0 DIADHN Fc1ccc(C2(NC[C@H]3CCCC4(CCC4)O3)CCC2)c(F)c1 ZINC001473324337 1061087783 /nfs/dbraw/zinc/08/77/83/1061087783.db2.gz BTQJNQFMBZYUFS-OAHLLOKOSA-N 0 3 321.411 4.425 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCCOC1CCCCC1 ZINC001473329070 1061089645 /nfs/dbraw/zinc/08/96/45/1061089645.db2.gz VQBUOJODLWHVKQ-MRXNPFEDSA-N 0 3 305.462 4.474 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)COC2)c1ccc(OC(F)F)cc1 ZINC001473328975 1061089814 /nfs/dbraw/zinc/08/98/14/1061089814.db2.gz UERDBVZBWJCKCN-GFCCVEGCSA-N 0 3 319.351 4.169 20 0 DIADHN CC[C@](C)(NCc1cccc2c1OC(F)(F)O2)c1ccccc1 ZINC001473331945 1061091797 /nfs/dbraw/zinc/09/17/97/1061091797.db2.gz QSEZQQKISAJPLW-KRWDZBQOSA-N 0 3 319.351 4.423 20 0 DIADHN Cc1cnn([C@H]2CCN(C/C=C\c3c(C)cc(C)cc3C)C2)c1 ZINC001462303703 1061092172 /nfs/dbraw/zinc/09/21/72/1061092172.db2.gz DGGJJIDJGBOCKP-VOFKWLDRSA-N 0 3 309.457 4.077 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCC1(Br)CC1 ZINC001473330406 1061092693 /nfs/dbraw/zinc/09/26/93/1061092693.db2.gz HETMDCHDNPXUFF-CYBMUJFWSA-N 0 3 312.251 4.054 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)NCCC2CCCCC2)c1 ZINC001473332465 1061093034 /nfs/dbraw/zinc/09/30/34/1061093034.db2.gz YTZBKFSCGVVGFN-QGZVFWFLSA-N 0 3 316.489 4.041 20 0 DIADHN COC1CC(N[C@@H](c2c(Cl)cccc2Cl)C2CC2)C1 ZINC001462306316 1061093200 /nfs/dbraw/zinc/09/32/00/1061093200.db2.gz SNLKVRWHTZYHRQ-QKFMDRJYSA-N 0 3 300.229 4.212 20 0 DIADHN CCCSC[C@H](C)N[C@@H](COC)c1cccc(Cl)c1 ZINC001462308245 1061096595 /nfs/dbraw/zinc/09/65/95/1061096595.db2.gz SPHNGIOVNPXEKO-WFASDCNBSA-N 0 3 301.883 4.149 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(C)c(F)c1 ZINC001473341714 1061100609 /nfs/dbraw/zinc/10/06/09/1061100609.db2.gz CXUYPHQCDMPUEO-KRWDZBQOSA-N 0 3 315.388 4.146 20 0 DIADHN Cc1cc(CN(C)[C@@H]2C[C@@H](Oc3ccccc3)C2(C)C)cc(C)n1 ZINC001462313538 1061101131 /nfs/dbraw/zinc/10/11/31/1061101131.db2.gz ACSJAHVTTQGGRZ-WOJBJXKFSA-N 0 3 324.468 4.376 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC001473346560 1061103357 /nfs/dbraw/zinc/10/33/57/1061103357.db2.gz NLMQVRIBVZSIGN-QZTJIDSGSA-N 0 3 319.489 4.048 20 0 DIADHN COCCC1(C)CN(Cc2ccc(-c3cccs3)cc2)C1 ZINC001462325474 1061105903 /nfs/dbraw/zinc/10/59/03/1061105903.db2.gz DRYNJLNWDWGDTD-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN Cc1cc(C)cc(CCN2CCN(Cc3ccccc3)[C@H](C)C2)c1 ZINC001462337641 1061115420 /nfs/dbraw/zinc/11/54/20/1061115420.db2.gz FHGVAHLQYSTFNO-HXUWFJFHSA-N 0 3 322.496 4.052 20 0 DIADHN CC(C)OC(=O)CCN[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC001473370629 1061116924 /nfs/dbraw/zinc/11/69/24/1061116924.db2.gz LNMVYBCINYYJIM-MRXNPFEDSA-N 0 3 315.816 4.108 20 0 DIADHN c1ccc(CC2(c3ccccc3)CN(C[C@H]3CCC=CO3)C2)cc1 ZINC001462342071 1061116950 /nfs/dbraw/zinc/11/69/50/1061116950.db2.gz MRXCKWLNUVPPFK-OAQYLSRUSA-N 0 3 319.448 4.175 20 0 DIADHN Cc1nocc1CNCCc1ccccc1Oc1ccc(C)cc1 ZINC001462343570 1061118129 /nfs/dbraw/zinc/11/81/29/1061118129.db2.gz RLWKMMCBBTYSQS-UHFFFAOYSA-N 0 3 322.408 4.416 20 0 DIADHN Cn1cc([C@@H](NCC/C=C/c2ccccc2)c2ccccc2)cn1 ZINC001473377442 1061121049 /nfs/dbraw/zinc/12/10/49/1061121049.db2.gz VNULGGRUPYWOMK-HSRJRILOSA-N 0 3 317.436 4.203 20 0 DIADHN OCC[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC001462354058 1061123469 /nfs/dbraw/zinc/12/34/69/1061123469.db2.gz CYQQYMXECWXEFM-MRXNPFEDSA-N 0 3 315.413 4.056 20 0 DIADHN Cc1cc(Br)c(CN2CC(C)(C)C[C@H]2C)s1 ZINC001462350491 1061123974 /nfs/dbraw/zinc/12/39/74/1061123974.db2.gz PAHADZJRQCIGSF-SECBINFHSA-N 0 3 302.281 4.439 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1coc(-c2cccc(OC)c2)n1 ZINC001473383862 1061127505 /nfs/dbraw/zinc/12/75/05/1061127505.db2.gz HGKYJZPWEPGERG-DYVFJYSZSA-N 0 3 300.402 4.019 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nc(-c2ccccc2F)no1 ZINC001473389474 1061129251 /nfs/dbraw/zinc/12/92/51/1061129251.db2.gz UEUBKRHJIYLNHZ-CJNGLKHVSA-N 0 3 317.408 4.276 20 0 DIADHN CCn1nc(C)c(CNC2CCCCCCCCCCC2)n1 ZINC001462372018 1061133740 /nfs/dbraw/zinc/13/37/40/1061133740.db2.gz JEFAYZFQKRRCDH-UHFFFAOYSA-N 0 3 306.498 4.369 20 0 DIADHN C[C@H]1CC(CCN2CCC(C(=O)C(F)(F)F)CC2)C[C@H](C)C1 ZINC001473398675 1061137013 /nfs/dbraw/zinc/13/70/13/1061137013.db2.gz DGHMAYKPFWMVLA-CHWSQXEVSA-N 0 3 319.411 4.292 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1cc3c(cccc3O)s1)C2 ZINC001462381684 1061139431 /nfs/dbraw/zinc/13/94/31/1061139431.db2.gz FGHJHUCFOKSLPN-UHFFFAOYSA-N 0 3 309.434 4.474 20 0 DIADHN Fc1ccc(/C=C\CN2CCSC[C@@H]2C2CCC2)cc1F ZINC001462382476 1061140466 /nfs/dbraw/zinc/14/04/66/1061140466.db2.gz GCJVPGWXCSQLAP-BAHPRDJBSA-N 0 3 309.425 4.196 20 0 DIADHN CCn1cc(CN(C/C=C/c2ccc(F)c(F)c2)C(C)C)cn1 ZINC001462392087 1061145895 /nfs/dbraw/zinc/14/58/95/1061145895.db2.gz CAOLDVBEJSBWPQ-AATRIKPKSA-N 0 3 319.399 4.105 20 0 DIADHN COc1cc(CN[C@H]2CC(C)(C)CCc3ccccc32)ccn1 ZINC001473416990 1061147450 /nfs/dbraw/zinc/14/74/50/1061147450.db2.gz XRHWITDLIYVERZ-SFHVURJKSA-N 0 3 310.441 4.284 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCCN2CCc2cn[nH]c2)c1 ZINC001473414592 1061147774 /nfs/dbraw/zinc/14/77/74/1061147774.db2.gz QZFJDEFTQGHMRJ-MRXNPFEDSA-N 0 3 323.362 4.198 20 0 DIADHN COCC1=CCN(Cc2cc(Cl)cc3ccccc32)CC1 ZINC001473414137 1061148992 /nfs/dbraw/zinc/14/89/92/1061148992.db2.gz MZJWILUHWFAREQ-UHFFFAOYSA-N 0 3 301.817 4.272 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2ncccc2C(F)(F)F)C1 ZINC001473421503 1061150750 /nfs/dbraw/zinc/15/07/50/1061150750.db2.gz FHRKKRDATGBBIH-LBPRGKRZSA-N 0 3 300.368 4.359 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CCC[C@H](C(C)(C)C)C1 ZINC001473422537 1061152953 /nfs/dbraw/zinc/15/29/53/1061152953.db2.gz VWUVVQUXIHJPAC-ZDUSSCGKSA-N 0 3 319.880 4.485 20 0 DIADHN Cc1ccc(F)c(CN(C)C[C@@](O)(c2ccccc2)C(C)C)c1 ZINC001473429421 1061155311 /nfs/dbraw/zinc/15/53/11/1061155311.db2.gz DHUHICNUDRROAZ-FQEVSTJZSA-N 0 3 315.432 4.110 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CC[C@@H](C2CCCCC2)C1 ZINC001462410380 1061156545 /nfs/dbraw/zinc/15/65/45/1061156545.db2.gz CHUXVXKBPGCYIG-CQSZACIVSA-N 0 3 307.869 4.343 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)C[C@@H]1CC1(Cl)Cl ZINC001462414665 1061157819 /nfs/dbraw/zinc/15/78/19/1061157819.db2.gz NELUGAOCKVAFPK-RYUDHWBXSA-N 0 3 304.286 4.293 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(Cc2nccc3c2CCCC3)C1 ZINC001462414574 1061159041 /nfs/dbraw/zinc/15/90/41/1061159041.db2.gz MZRZPIIXBWNNCJ-OAQYLSRUSA-N 0 3 324.443 4.263 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(OCC3CC3)cc1)CC2 ZINC001462415314 1061159836 /nfs/dbraw/zinc/15/98/36/1061159836.db2.gz DNIMAGFKLQKBEW-UHFFFAOYSA-N 0 3 307.437 4.342 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1[C@@H]1C=CCCCCC1 ZINC001473441520 1061161197 /nfs/dbraw/zinc/16/11/97/1061161197.db2.gz SFJFDXSXLKVHJJ-DLBZAZTESA-N 0 3 302.437 4.225 20 0 DIADHN CO[C@@H](CNCc1c(Cl)oc2ccccc21)C1CCCC1 ZINC001462423195 1061164315 /nfs/dbraw/zinc/16/43/15/1061164315.db2.gz CMXNUYWKLPWLDE-INIZCTEOSA-N 0 3 307.821 4.381 20 0 DIADHN Cc1cccc(Cl)c1CN(C)CCCN(C)Cc1ccco1 ZINC001473451394 1061167835 /nfs/dbraw/zinc/16/78/35/1061167835.db2.gz JMZQBJAZAOGIDB-UHFFFAOYSA-N 0 3 320.864 4.195 20 0 DIADHN O=C(c1cccc(CN2CCC3(CCC3)CC2)c1)C(F)(F)F ZINC001473455445 1061169549 /nfs/dbraw/zinc/16/95/49/1061169549.db2.gz ZCQKMCYVZPSNJV-UHFFFAOYSA-N 0 3 311.347 4.198 20 0 DIADHN O[C@H](CN1CCC2(CCC2)CC1)c1ccc(Cl)c(Cl)c1 ZINC001473455094 1061169598 /nfs/dbraw/zinc/16/95/98/1061169598.db2.gz WLKQMSGRLYZERS-OAHLLOKOSA-N 0 3 314.256 4.293 20 0 DIADHN FC1(c2ccccn2)CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC001473456309 1061170500 /nfs/dbraw/zinc/17/05/00/1061170500.db2.gz ZTKDSOUDOZWPAR-UHFFFAOYSA-N 0 3 310.416 4.031 20 0 DIADHN Fc1ccc(/C=C/CN2CCC([C@H]3CCCCO3)CC2)cc1F ZINC001462440662 1061175489 /nfs/dbraw/zinc/17/54/89/1061175489.db2.gz LDXIMCIROIDVOE-GNAXCBTBSA-N 0 3 321.411 4.259 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@H]2NCc2nncs2)cc1 ZINC001473468849 1061178180 /nfs/dbraw/zinc/17/81/80/1061178180.db2.gz OAFYUXOPEAAQJZ-HUUCEWRRSA-N 0 3 321.877 4.398 20 0 DIADHN c1csc(CCN2CCCC[C@@H]2c2nc3ccccc3[nH]2)c1 ZINC001473479087 1061181745 /nfs/dbraw/zinc/18/17/45/1061181745.db2.gz OHOMQFISKNCFHD-QGZVFWFLSA-N 0 3 311.454 4.394 20 0 DIADHN c1ccc(O[C@@H]2CCN(Cc3ccc(OCC4CC4)cc3)C2)cc1 ZINC001462449517 1061182242 /nfs/dbraw/zinc/18/22/42/1061182242.db2.gz YKUIFIIZILGBSB-OAQYLSRUSA-N 0 3 323.436 4.129 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@@H](CO)c1ccc(F)cc1F ZINC001462453003 1061183945 /nfs/dbraw/zinc/18/39/45/1061183945.db2.gz PCXMJEABCFLTHV-KSSFIOAISA-N 0 3 311.416 4.360 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@@H](CO)c1ccc(F)cc1F ZINC001462453001 1061184028 /nfs/dbraw/zinc/18/40/28/1061184028.db2.gz PCXMJEABCFLTHV-KDOFPFPSSA-N 0 3 311.416 4.360 20 0 DIADHN COc1ccc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)cc1 ZINC001473502535 1061196992 /nfs/dbraw/zinc/19/69/92/1061196992.db2.gz XPQWZOCSEHHQTG-GOSISDBHSA-N 0 3 317.816 4.002 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2ccc(F)cc2Cl)c(C)c1 ZINC001473501891 1061197222 /nfs/dbraw/zinc/19/72/22/1061197222.db2.gz OWVYFIULZKMWPN-CYBMUJFWSA-N 0 3 306.812 4.384 20 0 DIADHN Oc1ccc([C@H]2CCN(Cc3cc(F)cc(Cl)c3)C2)cc1 ZINC001462479460 1061200904 /nfs/dbraw/zinc/20/09/04/1061200904.db2.gz GMJDCKQBPMICOC-AWEZNQCLSA-N 0 3 305.780 4.174 20 0 DIADHN CCn1c2cc(F)ccc2nc1-c1cc(F)cc(CN(C)C)c1 ZINC001251070087 1061213788 /nfs/dbraw/zinc/21/37/88/1061213788.db2.gz JSJQZBDAGQEKGX-UHFFFAOYSA-N 0 3 315.367 4.063 20 0 DIADHN CC(C)(C)c1cccc(CN2CC(C)(C)[C@H]2[C@@H]2CCCO2)c1 ZINC001473522991 1061215844 /nfs/dbraw/zinc/21/58/44/1061215844.db2.gz KQTRZNPHLGJSHS-ZWKOTPCHSA-N 0 3 301.474 4.374 20 0 DIADHN C[C@@H]1CC(C)(C)CN1Cc1nc2ccc(Br)cc2o1 ZINC001473554950 1061242926 /nfs/dbraw/zinc/24/29/26/1061242926.db2.gz RGYOYCUQGLGSIH-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN CC(C)c1ccc(CCNCc2noc3ccc(F)cc23)cc1 ZINC001462517425 1061226909 /nfs/dbraw/zinc/22/69/09/1061226909.db2.gz SOERCIRSHIQXBU-UHFFFAOYSA-N 0 3 312.388 4.423 20 0 DIADHN COc1ccc(CN2CCC(Cc3ccc(Cl)cc3)CC2)o1 ZINC001462523257 1061232129 /nfs/dbraw/zinc/23/21/29/1061232129.db2.gz QHZMZCSRAPRETE-UHFFFAOYSA-N 0 3 319.832 4.396 20 0 DIADHN C[C@H](NCc1sncc1Br)[C@@H]1CCC[C@@H](C)C1 ZINC001473553790 1061240040 /nfs/dbraw/zinc/24/00/40/1061240040.db2.gz PYCVWFXIVHFHML-OUAUKWLOSA-N 0 3 317.296 4.210 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC001473555964 1061240201 /nfs/dbraw/zinc/24/02/01/1061240201.db2.gz GQRBPOGGPSYCPL-GUYCJALGSA-N 0 3 316.832 4.408 20 0 DIADHN CC[C@H](NC(=O)CN1CC(C)(C)C[C@H]1C)c1ccc(Cl)cc1 ZINC001473554946 1061240638 /nfs/dbraw/zinc/24/06/38/1061240638.db2.gz RGWXSLCFEGVGCI-CJNGLKHVSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OC(F)(F)O2)c1ccccc1 ZINC001473556254 1061241162 /nfs/dbraw/zinc/24/11/62/1061241162.db2.gz NHGOKUWJVXNDHD-AWEZNQCLSA-N 0 3 305.324 4.249 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@H](CC(F)(F)F)C2)c2ccccc12 ZINC001473564534 1061250092 /nfs/dbraw/zinc/25/00/92/1061250092.db2.gz LJRVGFKIMNBWRJ-GFCCVEGCSA-N 0 3 324.346 4.105 20 0 DIADHN C[C@H]1C[C@@H](N2CC(CC3CCOCC3)C2)c2ccsc2S1 ZINC001462546776 1061250160 /nfs/dbraw/zinc/25/01/60/1061250160.db2.gz KLFXBCYGQWFCIW-BLLLJJGKSA-N 0 3 323.527 4.422 20 0 DIADHN C[C@H]1CCCN(CC2CC3(CCC3)C2)[C@@H]1C(=O)OC(C)(C)C ZINC001473572071 1061259403 /nfs/dbraw/zinc/25/94/03/1061259403.db2.gz YOKSUPOMQBPQMP-HOCLYGCPSA-N 0 3 307.478 4.009 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@@](C)(C(F)(F)F)C1 ZINC001462560176 1061262712 /nfs/dbraw/zinc/26/27/12/1061262712.db2.gz AIUKUUQNWTZGRM-OAHLLOKOSA-N 0 3 301.352 4.032 20 0 DIADHN CC1(C)C[C@H](c2ccccc2)N(Cc2cccc3c2OCCO3)C1 ZINC001473574626 1061263417 /nfs/dbraw/zinc/26/34/17/1061263417.db2.gz VMBZDGNOCLKAFU-GOSISDBHSA-N 0 3 323.436 4.431 20 0 DIADHN FC(F)(F)[C@@H]1CC[C@@H](CNC2(c3ccccc3Cl)CC2)O1 ZINC001473579468 1061268155 /nfs/dbraw/zinc/26/81/55/1061268155.db2.gz GCFQMOHWCCVOPX-GWCFXTLKSA-N 0 3 319.754 4.029 20 0 DIADHN Cc1cccc(C2(NCC(=O)Nc3cc(C)cc(C)c3)CCC2)c1 ZINC001473583206 1061271895 /nfs/dbraw/zinc/27/18/95/1061271895.db2.gz ZLXKDVNXVGIEJZ-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN CC[C@@](O)(CNC1(c2cccc(Cl)c2)CCC1)C(F)(F)F ZINC001473580701 1061272153 /nfs/dbraw/zinc/27/21/53/1061272153.db2.gz VESZRVXJZKDSAV-CQSZACIVSA-N 0 3 321.770 4.012 20 0 DIADHN CSC(C)(C)CCNCc1coc(-c2ccc(C)cc2)n1 ZINC001462579061 1061274059 /nfs/dbraw/zinc/27/40/59/1061274059.db2.gz OWSXPESTUKOAPC-UHFFFAOYSA-N 0 3 304.459 4.271 20 0 DIADHN Clc1cc(N2CCN(CC3CC3)CC2)cc(Cl)c1Cl ZINC001473593353 1061276050 /nfs/dbraw/zinc/27/60/50/1061276050.db2.gz UZBLTBWPZWYOED-UHFFFAOYSA-N 0 3 319.663 4.179 20 0 DIADHN CC(C)COc1cccc(CN2CCC[C@H](OC3CCC3)C2)c1 ZINC001473595325 1061277188 /nfs/dbraw/zinc/27/71/88/1061277188.db2.gz BXVRLOWBUPSKHK-FQEVSTJZSA-N 0 3 317.473 4.255 20 0 DIADHN CCc1ccc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)nc1 ZINC001473608300 1061282638 /nfs/dbraw/zinc/28/26/38/1061282638.db2.gz QEBDHCHWSLDNEM-AWEZNQCLSA-N 0 3 314.860 4.459 20 0 DIADHN Cc1cccc(CN2CCc3ccc(Cl)cc3[C@H](C)C2)n1 ZINC001473607811 1061285073 /nfs/dbraw/zinc/28/50/73/1061285073.db2.gz CWJLETNQVGYSSN-CYBMUJFWSA-N 0 3 300.833 4.205 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCCC[C@@H]1C1CCC1 ZINC001473614097 1061289006 /nfs/dbraw/zinc/28/90/06/1061289006.db2.gz XDHSEZQOFKQLFW-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN CC[C@@H]1CN(C[C@H](OC(C)C)c2ccccc2)[C@@H](CC)CO1 ZINC001473616743 1061290673 /nfs/dbraw/zinc/29/06/73/1061290673.db2.gz ARKQEVRRTQDFSP-OTWHNJEPSA-N 0 3 305.462 4.042 20 0 DIADHN Fc1cccc(CCN2CCS[C@H](c3ccccc3)C2)c1 ZINC001473618209 1061291113 /nfs/dbraw/zinc/29/11/13/1061291113.db2.gz POJVXDMZEOFSJD-SFHVURJKSA-N 0 3 301.430 4.158 20 0 DIADHN CC[C@@H]1CN(C[C@@H](OC(C)C)c2ccccc2)[C@H](CC)CO1 ZINC001473616740 1061291543 /nfs/dbraw/zinc/29/15/43/1061291543.db2.gz ARKQEVRRTQDFSP-GUDVDZBRSA-N 0 3 305.462 4.042 20 0 DIADHN CC[C@H]1CN(CCn2ccc3cc(Cl)ccc32)[C@@H](CC)CO1 ZINC001473616903 1061292542 /nfs/dbraw/zinc/29/25/42/1061292542.db2.gz CHHCFJDPZWDDSA-IRXDYDNUSA-N 0 3 320.864 4.184 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C2CC(C)(C)CC(C)(C)C2)c1 ZINC001473629265 1061302332 /nfs/dbraw/zinc/30/23/32/1061302332.db2.gz PQRNNNYJJRUQHA-UHFFFAOYSA-N 0 3 316.489 4.470 20 0 DIADHN Cn1ncc(CN2CCC(CC3CCCCC3)CC2)c1C1CC1 ZINC001462624098 1061306127 /nfs/dbraw/zinc/30/61/27/1061306127.db2.gz CLNIHVHLPDGORG-UHFFFAOYSA-N 0 3 315.505 4.480 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1c(Cl)n(C)nc1C(F)(F)F ZINC001473636507 1061306238 /nfs/dbraw/zinc/30/62/38/1061306238.db2.gz AHQQMAARQSBZAS-QMMMGPOBSA-N 0 3 311.779 4.007 20 0 DIADHN FC(F)[C@@H](NC[C@@H]1CCCC12CCOCC2)C1CCCCC1 ZINC001473657550 1061320455 /nfs/dbraw/zinc/32/04/55/1061320455.db2.gz IVSXDWLJKAHTGK-HOTGVXAUSA-N 0 3 315.448 4.387 20 0 DIADHN OC[C@@H](NC1CCC(=C2CCC2)CC1)c1cc(F)ccc1F ZINC001462665693 1061326621 /nfs/dbraw/zinc/32/66/21/1061326621.db2.gz YFFCMFMCHNPKKH-GOSISDBHSA-N 0 3 307.384 4.011 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc(F)ccc2N(C)C)cc(C)c1O ZINC001462687082 1061339355 /nfs/dbraw/zinc/33/93/55/1061339355.db2.gz TWCORIBWTYBMJG-AWEZNQCLSA-N 0 3 316.420 4.065 20 0 DIADHN CCn1nc(C)c(CN(C)[C@@H](C)c2ccc3c(c2)CCC3)c1C ZINC001473695870 1061353302 /nfs/dbraw/zinc/35/33/02/1061353302.db2.gz FERVVAABLMQCLO-HNNXBMFYSA-N 0 3 311.473 4.202 20 0 DIADHN Fc1cccc(CCN2CCc3cc(C(F)(F)F)ccc3C2)c1 ZINC001473696953 1061353561 /nfs/dbraw/zinc/35/35/61/1061353561.db2.gz WLHWFUAUZQCOBQ-UHFFFAOYSA-N 0 3 323.333 4.445 20 0 DIADHN CO[C@H](CN1CCC[C@@](F)(c2ccccc2)C1)c1ccccc1 ZINC001473730226 1061376496 /nfs/dbraw/zinc/37/64/96/1061376496.db2.gz OJAMGIBNGIYSTM-UXHICEINSA-N 0 3 313.416 4.335 20 0 DIADHN CC(C)(O)C(C)(C)NCc1nc2c(s1)C(C)(C)CC(C)(C)C2 ZINC001473739216 1061379422 /nfs/dbraw/zinc/37/94/22/1061379422.db2.gz PXAZQMCPIYOILQ-UHFFFAOYSA-N 0 3 324.534 4.032 20 0 DIADHN CC[C@H](NCc1c[nH]c(=O)c2ccccc12)c1ccccc1OC ZINC001473735406 1061380554 /nfs/dbraw/zinc/38/05/54/1061380554.db2.gz AWFSAADDAPCWJM-SFHVURJKSA-N 0 3 322.408 4.190 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3ccc(F)c(F)c3)C2(C)C)s1 ZINC001473762420 1061400753 /nfs/dbraw/zinc/40/07/53/1061400753.db2.gz RWGQLULBYIWNLB-CQSZACIVSA-N 0 3 322.424 4.498 20 0 DIADHN COc1cc2c(cc1OC)[C@H](C)N(CCCC(C)(F)F)CC2 ZINC001473771088 1061404009 /nfs/dbraw/zinc/40/40/09/1061404009.db2.gz UFRQKAOYOXYPLJ-LBPRGKRZSA-N 0 3 313.388 4.058 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)CCc1ccc2c(c1)OCO2 ZINC001473801249 1061413957 /nfs/dbraw/zinc/41/39/57/1061413957.db2.gz HOANXQUQVGSILK-ZDUSSCGKSA-N 0 3 317.816 4.304 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CC[C@@H](C)C[C@@H](C)C1 ZINC001473806767 1061415979 /nfs/dbraw/zinc/41/59/79/1061415979.db2.gz LBYUVKDPGSMBIK-NFAWXSAZSA-N 0 3 322.880 4.343 20 0 DIADHN Cc1cnc(CN2CC[C@@H](c3ccc(Cl)cc3)C2(C)C)nc1 ZINC001473816276 1061420234 /nfs/dbraw/zinc/42/02/34/1061420234.db2.gz AQMVYDAUQOMZBR-INIZCTEOSA-N 0 3 315.848 4.207 20 0 DIADHN COC(C)(C)[C@H](C)NCc1nc(-c2ccccc2Cl)cs1 ZINC001473816394 1061422523 /nfs/dbraw/zinc/42/25/23/1061422523.db2.gz ADWNAHDZZJTTJW-NSHDSACASA-N 0 3 324.877 4.367 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(Cl)cc3)C2(C)C)[nH]c1C ZINC001473817794 1061423291 /nfs/dbraw/zinc/42/32/91/1061423291.db2.gz YHITYZOTLUAQRS-MRXNPFEDSA-N 0 3 317.864 4.448 20 0 DIADHN C[C@@]1(NCc2ccc(F)c3cccnc23)CCOc2ccccc21 ZINC001473823686 1061424618 /nfs/dbraw/zinc/42/46/18/1061424618.db2.gz KHQJTTVEEBAFSH-HXUWFJFHSA-N 0 3 322.383 4.161 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1ccc2c(c1)OCCO2)\c1ccccc1 ZINC001473844261 1061432520 /nfs/dbraw/zinc/43/25/20/1061432520.db2.gz YFMZFGKGXQLMGE-IBHIWXBSSA-N 0 3 321.420 4.136 20 0 DIADHN CCC[C@H]1CCCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC001473857872 1061437236 /nfs/dbraw/zinc/43/72/36/1061437236.db2.gz DZAFAJCFUJMMGT-ZDUSSCGKSA-N 0 3 306.356 4.384 20 0 DIADHN CN(CC[C@H]1CCCC1(F)F)Cc1cc(-c2ccccc2)n[nH]1 ZINC001473869132 1061442050 /nfs/dbraw/zinc/44/20/50/1061442050.db2.gz SKJFKYWGHWTQTC-OAHLLOKOSA-N 0 3 319.399 4.334 20 0 DIADHN c1cn(CCc2ccccc2)nc1CNC1(C2CCCCC2)CC1 ZINC001473869476 1061442412 /nfs/dbraw/zinc/44/24/12/1061442412.db2.gz GAYVPCQFFUYREG-UHFFFAOYSA-N 0 3 323.484 4.328 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCSC(C)(C)C)nc1 ZINC001473874319 1061444131 /nfs/dbraw/zinc/44/41/31/1061444131.db2.gz CVWRXLPFEYNCJB-INIZCTEOSA-N 0 3 308.491 4.149 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCSC(C)(C)C)nc1 ZINC001473874320 1061444773 /nfs/dbraw/zinc/44/47/73/1061444773.db2.gz CVWRXLPFEYNCJB-MRXNPFEDSA-N 0 3 308.491 4.149 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3C[C@@H]4CCC[C@@H]4O3)CC2)c1C ZINC001473872483 1061445636 /nfs/dbraw/zinc/44/56/36/1061445636.db2.gz OSNMXZFYCCZWGR-ZJOUEHCJSA-N 0 3 311.469 4.350 20 0 DIADHN Clc1cccc(CNCc2ccc3c(c2)OCCCCO3)c1 ZINC001473913548 1061461218 /nfs/dbraw/zinc/46/12/18/1061461218.db2.gz UEKOEZQFVXYHRO-UHFFFAOYSA-N 0 3 317.816 4.181 20 0 DIADHN Fc1ccc(CNCCCSc2ccccc2)c(F)c1F ZINC001473922206 1061470659 /nfs/dbraw/zinc/47/06/59/1061470659.db2.gz HACWYRXWBLRPSV-UHFFFAOYSA-N 0 3 311.372 4.376 20 0 DIADHN CCCn1cc(CN[C@H](CC)c2ccc(Cl)cc2)c(C)n1 ZINC001473923675 1061472201 /nfs/dbraw/zinc/47/22/01/1061472201.db2.gz XXTLDUCZGWQLQG-QGZVFWFLSA-N 0 3 305.853 4.496 20 0 DIADHN Cc1ccccc1-n1cc(CNCCCC2CCCCC2)nn1 ZINC001473930831 1061477118 /nfs/dbraw/zinc/47/71/18/1061477118.db2.gz WYPXRJNGDMUDNK-UHFFFAOYSA-N 0 3 312.461 4.026 20 0 DIADHN Fc1ccc(OCCNCc2cnc(C3CCCC3)s2)cc1 ZINC001473929519 1061477662 /nfs/dbraw/zinc/47/76/62/1061477662.db2.gz LALRLWQDFHBXLZ-UHFFFAOYSA-N 0 3 320.433 4.108 20 0 DIADHN Brc1ncccc1CNCCCC1CCCCC1 ZINC001473930740 1061477926 /nfs/dbraw/zinc/47/79/26/1061477926.db2.gz SHOVTFZOUXBHIF-UHFFFAOYSA-N 0 3 311.267 4.294 20 0 DIADHN Cc1nc(CNCC2(c3ccc(F)cc3)CCCC2)cs1 ZINC001473935124 1061479388 /nfs/dbraw/zinc/47/93/88/1061479388.db2.gz QUAXARYCJCSVGI-UHFFFAOYSA-N 0 3 304.434 4.192 20 0 DIADHN Cc1cc(CNCc2ccc(OC(C)C)nc2)cc2cccnc12 ZINC001473934139 1061480874 /nfs/dbraw/zinc/48/08/74/1061480874.db2.gz WVAOUGWUOUYHKV-UHFFFAOYSA-N 0 3 321.424 4.015 20 0 DIADHN Cc1cc(CNCc2cccc(OCc3ccccn3)c2)cs1 ZINC001473936097 1061481768 /nfs/dbraw/zinc/48/17/68/1061481768.db2.gz KUPPGLJAYBQSGY-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN Fc1ccc(/C=C\CN[C@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC001473961058 1061501083 /nfs/dbraw/zinc/50/10/83/1061501083.db2.gz RLAPYDHPHFXUAK-AHJVTXKRSA-N 0 3 311.400 4.349 20 0 DIADHN CCC(O)(CC)CNCc1c(Cl)cccc1SC(C)C ZINC001473963410 1061503031 /nfs/dbraw/zinc/50/30/31/1061503031.db2.gz AHQNRLAUPNHNEX-UHFFFAOYSA-N 0 3 315.910 4.481 20 0 DIADHN CCCCOc1ccccc1CNCCN1CCc2ccccc21 ZINC001473962348 1061503481 /nfs/dbraw/zinc/50/34/81/1061503481.db2.gz DPOTYUAMSHUJTO-UHFFFAOYSA-N 0 3 324.468 4.018 20 0 DIADHN Cc1cc(C)cc(CN[C@H](c2ccc(F)cc2)[C@H]2CCCO2)c1 ZINC001473962901 1061503783 /nfs/dbraw/zinc/50/37/83/1061503783.db2.gz ZQEPZRFTUDUHBC-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN CCc1cccc(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)c1 ZINC001473962290 1061504029 /nfs/dbraw/zinc/50/40/29/1061504029.db2.gz CFFGXFIYFGSXFB-UXHICEINSA-N 0 3 313.416 4.398 20 0 DIADHN Cc1cc(C)c(CNCc2cc3ccc(F)cc3[nH]c2=O)c(C)c1 ZINC001473963839 1061507416 /nfs/dbraw/zinc/50/74/16/1061507416.db2.gz UGGCQZBGLCFOND-UHFFFAOYSA-N 0 3 324.399 4.295 20 0 DIADHN CCN(CC)[C@H](CNCc1cccc(Cl)c1F)c1ccco1 ZINC001473966243 1061510716 /nfs/dbraw/zinc/51/07/16/1061510716.db2.gz FVTOMSUSCRRSHF-OAHLLOKOSA-N 0 3 324.827 4.245 20 0 DIADHN Cc1ccccc1-n1cc(CNC2(c3ccccc3)CCC2)cn1 ZINC001473965958 1061510795 /nfs/dbraw/zinc/51/07/95/1061510795.db2.gz NOMAZOACBZNAKW-UHFFFAOYSA-N 0 3 317.436 4.350 20 0 DIADHN Cc1cc(CN[C@H]2CCCOc3ccccc32)c2c(n1)CCCC2 ZINC001473971753 1061516071 /nfs/dbraw/zinc/51/60/71/1061516071.db2.gz DUHSPWBSDSYIIE-IBGZPJMESA-N 0 3 322.452 4.272 20 0 DIADHN Cc1ccnc(CNC2[C@H](C)CCC[C@H]2C)c1Br ZINC001473989917 1061532916 /nfs/dbraw/zinc/53/29/16/1061532916.db2.gz KTNXURGOTUQGJL-VXGBXAGGSA-N 0 3 311.267 4.067 20 0 DIADHN c1nc2cc(CN[C@@H]3CSCc4ccccc43)ccc2s1 ZINC001473992690 1061535958 /nfs/dbraw/zinc/53/59/58/1061535958.db2.gz CBGKMJYBVLCOLQ-MRXNPFEDSA-N 0 3 312.463 4.374 20 0 DIADHN Cc1c(Cl)cccc1CN[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001473998286 1061540496 /nfs/dbraw/zinc/54/04/96/1061540496.db2.gz FZFIAIOOOAFZQV-NSHDSACASA-N 0 3 315.804 4.081 20 0 DIADHN COc1nsc(CNCC(C)(C)Cc2ccccc2)c1Cl ZINC001474002679 1061544783 /nfs/dbraw/zinc/54/47/83/1061544783.db2.gz NCAGDGPWDDWPRZ-UHFFFAOYSA-N 0 3 324.877 4.164 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@@H]2C)c(C)n1 ZINC001474003821 1061545488 /nfs/dbraw/zinc/54/54/88/1061545488.db2.gz UBBLXTFXUFBPSU-UYHISHBKSA-N 0 3 317.864 4.352 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H](C)c1ccccc1Cl ZINC001464071585 1061554163 /nfs/dbraw/zinc/55/41/63/1061554163.db2.gz FDVBPAROBGCVPW-HNNXBMFYSA-N 0 3 324.896 4.372 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NCCN1CCC(C)CC1 ZINC001464159266 1061560391 /nfs/dbraw/zinc/56/03/91/1061560391.db2.gz LIRCMNRADGKSQD-IBGZPJMESA-N 0 3 310.526 4.221 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@@H]2C2CCCCC2)ncc1Br ZINC001474029426 1061570606 /nfs/dbraw/zinc/57/06/06/1061570606.db2.gz JNFARSWAVHJTOT-GDBMZVCRSA-N 0 3 323.278 4.211 20 0 DIADHN CS[C@H]1CC[C@@H](NCc2csc(-c3cccs3)n2)C1 ZINC001474033127 1061576936 /nfs/dbraw/zinc/57/69/36/1061576936.db2.gz ZHJYPFMGSWZECI-PWSUYJOCSA-N 0 3 310.513 4.245 20 0 DIADHN CS[C@@H]1CC[C@H](NCc2csc(-c3cccs3)n2)C1 ZINC001474033125 1061578149 /nfs/dbraw/zinc/57/81/49/1061578149.db2.gz ZHJYPFMGSWZECI-CMPLNLGQSA-N 0 3 310.513 4.245 20 0 DIADHN Cc1ncoc1CNCC1(Sc2ccc(C)cc2)CCCC1 ZINC001474035966 1061580714 /nfs/dbraw/zinc/58/07/14/1061580714.db2.gz SCJZOMYRYOGBHT-UHFFFAOYSA-N 0 3 316.470 4.486 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2ccc(F)c(F)c2F)C1(C)C ZINC001474038521 1061582425 /nfs/dbraw/zinc/58/24/25/1061582425.db2.gz XPPCJRZDZOJYHR-KGLIPLIRSA-N 0 3 315.379 4.177 20 0 DIADHN CC[C@H](CNCc1nc(C)c(C)s1)Oc1cccc(Cl)c1 ZINC001474042010 1061588610 /nfs/dbraw/zinc/58/86/10/1061588610.db2.gz HVKKOPAURHGGLV-CQSZACIVSA-N 0 3 324.877 4.361 20 0 DIADHN CCc1onc(C)c1CNC[C@H](CC)Oc1cccc(Cl)c1 ZINC001474042353 1061588879 /nfs/dbraw/zinc/58/88/79/1061588879.db2.gz GAJXFAAJGANVAV-AWEZNQCLSA-N 0 3 322.836 4.146 20 0 DIADHN Cc1ccc(CNCc2cc[nH]c(=O)c2)c(Oc2ccccc2)c1 ZINC001474046251 1061591448 /nfs/dbraw/zinc/59/14/48/1061591448.db2.gz DQZHVZZZNMWZNI-UHFFFAOYSA-N 0 3 320.392 4.178 20 0 DIADHN Cc1nn(-c2ccccc2Cl)cc1CN[C@@H]1C=CCCC1 ZINC001474053078 1061601089 /nfs/dbraw/zinc/60/10/89/1061601089.db2.gz GYDXUHBCLDWNGB-OAHLLOKOSA-N 0 3 301.821 4.032 20 0 DIADHN CC1(C)C[C@@H](NCc2cccc3c2OCCCO3)c2ccccc21 ZINC001474057004 1061603328 /nfs/dbraw/zinc/60/33/28/1061603328.db2.gz YMJHAHCRRHRZHZ-GOSISDBHSA-N 0 3 323.436 4.360 20 0 DIADHN CC[C@@H](CC(=O)NC[C@H](c1ccc(C)cc1)N(C)C)C(C)(C)C ZINC001464550178 1061604668 /nfs/dbraw/zinc/60/46/68/1061604668.db2.gz ZPSYKROJICCOIJ-ZWKOTPCHSA-N 0 3 318.505 4.176 20 0 DIADHN CCOc1cccc(CNc2cc(C)cc(C)n2)c1OC(F)F ZINC001474077539 1061623541 /nfs/dbraw/zinc/62/35/41/1061623541.db2.gz CIOZDSDZSJHTER-UHFFFAOYSA-N 0 3 322.355 4.311 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1nc2c(s1)CCC2 ZINC001474081316 1061629429 /nfs/dbraw/zinc/62/94/29/1061629429.db2.gz RZZMRQSUJDHAEP-ZDUSSCGKSA-N 0 3 318.511 4.292 20 0 DIADHN Fc1ccccc1N1CCC[C@H](NCc2cscc2Cl)C1 ZINC001474097159 1061642997 /nfs/dbraw/zinc/64/29/97/1061642997.db2.gz LMIWCSNEWWFKOJ-ZDUSSCGKSA-N 0 3 324.852 4.299 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)NCc1nccn1C1CC1 ZINC001474096294 1061643259 /nfs/dbraw/zinc/64/32/59/1061643259.db2.gz UCYLOOSLBMQEGM-LLVKDONJSA-N 0 3 324.255 4.246 20 0 DIADHN C[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccc2c(c1)CCC2 ZINC001474098582 1061645943 /nfs/dbraw/zinc/64/59/43/1061645943.db2.gz HFGIQUNAYJOWLV-CQSZACIVSA-N 0 3 318.420 4.280 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1ccc2c(n1)CCC2 ZINC001474104326 1061653647 /nfs/dbraw/zinc/65/36/47/1061653647.db2.gz MURSFRQIDLIECD-BTYIYWSLSA-N 0 3 306.453 4.374 20 0 DIADHN Cc1cc(CNCC2(c3cccc(Cl)c3F)CCCC2)on1 ZINC001474113004 1061661059 /nfs/dbraw/zinc/66/10/59/1061661059.db2.gz HKIDBWSRZQVCGF-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN Fc1ccc(CCCCCNCc2nc3c(s2)CCC3)cc1 ZINC001474119442 1061668395 /nfs/dbraw/zinc/66/83/95/1061668395.db2.gz FHKHTZNYDJLHEA-UHFFFAOYSA-N 0 3 318.461 4.274 20 0 DIADHN COc1nsc(CN[C@@H]2CCC[C@H](C(C)C)CC2)c1Cl ZINC001474120274 1061669071 /nfs/dbraw/zinc/66/90/71/1061669071.db2.gz WJSHBVFFQPCLHA-NWDGAFQWSA-N 0 3 316.898 4.500 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC1(C)CCC(C)CC1 ZINC001474136023 1061685219 /nfs/dbraw/zinc/68/52/19/1061685219.db2.gz RAUWTIYVNFMNPN-UHFFFAOYSA-N 0 3 309.425 4.149 20 0 DIADHN C[C@@H](NCc1nc(Cl)ccc1Br)C1CCCC1 ZINC001474146570 1061693408 /nfs/dbraw/zinc/69/34/08/1061693408.db2.gz TWNOOPZFFWQTQH-SECBINFHSA-N 0 3 317.658 4.166 20 0 DIADHN CO[C@H](CNCc1cccc(Cl)c1Cl)Cc1ccccc1 ZINC001474153458 1061695247 /nfs/dbraw/zinc/69/52/47/1061695247.db2.gz KLMMISSRKDTEMN-HNNXBMFYSA-N 0 3 324.251 4.341 20 0 DIADHN COc1nsc(CNC[C@H](c2ccccc2)C2CC2)c1Cl ZINC001474160412 1061702740 /nfs/dbraw/zinc/70/27/40/1061702740.db2.gz HXMWYYFWULCBIU-CYBMUJFWSA-N 0 3 322.861 4.089 20 0 DIADHN Cc1ccc(C2(CNCc3cn4cc(C)ccc4n3)CC2)c(C)c1 ZINC001474161421 1061702806 /nfs/dbraw/zinc/70/28/06/1061702806.db2.gz QYWBUBURWLWOEX-UHFFFAOYSA-N 0 3 319.452 4.081 20 0 DIADHN CO[C@@H](CNCc1c(Cl)cccc1SC(C)C)C1CC1 ZINC001474161482 1061704769 /nfs/dbraw/zinc/70/47/69/1061704769.db2.gz UPBXXTSMKUCDTA-HNNXBMFYSA-N 0 3 313.894 4.355 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@H]2CCCCC23CCCC3)nn1 ZINC001474162460 1061705332 /nfs/dbraw/zinc/70/53/32/1061705332.db2.gz FPCVZSYWFNYIQV-IBGZPJMESA-N 0 3 324.472 4.168 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](C)[C@@H](O)c3ccccc3)o2)cc1 ZINC001474168383 1061712690 /nfs/dbraw/zinc/71/26/90/1061712690.db2.gz UYDXXQFLSMNAPM-HRAATJIYSA-N 0 3 321.420 4.467 20 0 DIADHN COCC1(CNCc2nc(C(C)(C)C)cs2)CCCCC1 ZINC001474168903 1061715785 /nfs/dbraw/zinc/71/57/85/1061715785.db2.gz OKEMJQLEXIFACU-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2ccc(F)c(F)c2F)C1(C)C ZINC001474177704 1061723840 /nfs/dbraw/zinc/72/38/40/1061723840.db2.gz STPZKHCSPKWNGY-QWHCGFSZSA-N 0 3 315.379 4.176 20 0 DIADHN Cc1cc(Br)c(CNC(C)(C)COC(C)C)s1 ZINC001474178010 1061724111 /nfs/dbraw/zinc/72/41/11/1061724111.db2.gz JKDNEJRWAXCRFE-UHFFFAOYSA-N 0 3 320.296 4.112 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(-c2ccc(F)cc2)o1 ZINC001474178486 1061724965 /nfs/dbraw/zinc/72/49/65/1061724965.db2.gz ZBZMWHPTXPKEIP-SJLPKXTDSA-N 0 3 303.377 4.133 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)n1 ZINC001474185040 1061732679 /nfs/dbraw/zinc/73/26/79/1061732679.db2.gz MGYSRLJNWWCQHF-GDBMZVCRSA-N 0 3 316.832 4.175 20 0 DIADHN Cc1cc(CNCc2cccc(Oc3ccccn3)c2)cc(C)n1 ZINC001474184193 1061733370 /nfs/dbraw/zinc/73/33/70/1061733370.db2.gz QIOVXKTUEMNVPY-UHFFFAOYSA-N 0 3 319.408 4.176 20 0 DIADHN Clc1ccc(Br)cc1CN[C@@H]1C[C@H]1C1CCC1 ZINC001474187004 1061735301 /nfs/dbraw/zinc/73/53/01/1061735301.db2.gz XIUIZYCYHBVYLH-GXTWGEPZSA-N 0 3 314.654 4.381 20 0 DIADHN CCCC[C@@](C)(CO)NCc1cc(Cl)c(OC)cc1Cl ZINC001474190782 1061740208 /nfs/dbraw/zinc/74/02/08/1061740208.db2.gz CLDLKORCILSAEK-HNNXBMFYSA-N 0 3 320.260 4.033 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001474195285 1061744463 /nfs/dbraw/zinc/74/44/63/1061744463.db2.gz QDWSXOMWKHXNKZ-MRXNPFEDSA-N 0 3 323.864 4.320 20 0 DIADHN CCc1cccc(CNCc2c[nH]nc2-c2ccc(F)cc2)c1 ZINC001474197689 1061748065 /nfs/dbraw/zinc/74/80/65/1061748065.db2.gz HTTALKNOMJWMOM-UHFFFAOYSA-N 0 3 309.388 4.068 20 0 DIADHN O[C@H](CNC1(c2ccccc2Cl)CCC1)c1ccc(F)cc1 ZINC001252865479 1061748451 /nfs/dbraw/zinc/74/84/51/1061748451.db2.gz HTNTZGADWHFIEB-QGZVFWFLSA-N 0 3 319.807 4.182 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](C)COCC2CC2)o1 ZINC001474200917 1061754637 /nfs/dbraw/zinc/75/46/37/1061754637.db2.gz VKSPTCRFFYOGOQ-CQSZACIVSA-N 0 3 317.404 4.299 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@@H](OC)C1CCCC1 ZINC001474220863 1061779991 /nfs/dbraw/zinc/77/99/91/1061779991.db2.gz SBORFHNGJGNUFL-QGZVFWFLSA-N 0 3 311.853 4.034 20 0 DIADHN CC(C)(C)OC(=O)C1(CNCc2ccsc2Cl)CCC1 ZINC001474222964 1061785154 /nfs/dbraw/zinc/78/51/54/1061785154.db2.gz ORQKPJWLPVHYEO-UHFFFAOYSA-N 0 3 315.866 4.003 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)cc1Cl ZINC001474226517 1061789147 /nfs/dbraw/zinc/78/91/47/1061789147.db2.gz FNICJIZMPFVVSE-LLVKDONJSA-N 0 3 316.788 4.346 20 0 DIADHN CC[C@@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc(C)c(F)c1 ZINC001458484994 1061791653 /nfs/dbraw/zinc/79/16/53/1061791653.db2.gz DHKXSVILJQVMFK-GOSISDBHSA-N 0 3 319.420 4.003 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H]1C[C@@H](C(=O)OC(C)(C)C)C1 ZINC001474239200 1061800038 /nfs/dbraw/zinc/80/00/38/1061800038.db2.gz YNKOTUZNJZFFDX-OTVXOJSOSA-N 0 3 321.461 4.095 20 0 DIADHN C[C@](O)(CN1CCC[C@H]1c1cc(F)ccc1F)c1ccccc1 ZINC001253102844 1061801414 /nfs/dbraw/zinc/80/14/14/1061801414.db2.gz VGORLHWDZUMGKI-OALUTQOASA-N 0 3 317.379 4.009 20 0 DIADHN C[C@@](O)(CN1CCC[C@H]1c1ccc(F)cc1F)c1ccccc1 ZINC001253103240 1061802083 /nfs/dbraw/zinc/80/20/83/1061802083.db2.gz BHXGGDNAUFEVSH-RBUKOAKNSA-N 0 3 317.379 4.009 20 0 DIADHN CC(C)(NCc1ccc(CSC(F)F)o1)[C@H]1CCCCO1 ZINC001474241523 1061805355 /nfs/dbraw/zinc/80/53/55/1061805355.db2.gz QHHCQEWFSAGTHQ-CYBMUJFWSA-N 0 3 319.417 4.173 20 0 DIADHN FC(F)(F)c1cc(CNC2([C@@H]3CCCCO3)CCC2)cs1 ZINC001474244161 1061808156 /nfs/dbraw/zinc/80/81/56/1061808156.db2.gz MLUMSHWGQCXOSA-LBPRGKRZSA-N 0 3 319.392 4.348 20 0 DIADHN Cc1cc(Cl)cc(Cl)c1CNC[C@@](C)(O)c1ccccc1 ZINC001253115474 1061814555 /nfs/dbraw/zinc/81/45/55/1061814555.db2.gz PCPOJLKRVOYMGE-QGZVFWFLSA-N 0 3 324.251 4.299 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccccc1)c1cccc(F)c1Cl ZINC001253124092 1061818680 /nfs/dbraw/zinc/81/86/80/1061818680.db2.gz GCUBQWJVEXNCHJ-PXAZEXFGSA-N 0 3 307.796 4.037 20 0 DIADHN Brc1sccc1CNCC1(CC2CC2)CC1 ZINC001474250156 1061818732 /nfs/dbraw/zinc/81/87/32/1061818732.db2.gz JGULSKNIAOSIGM-UHFFFAOYSA-N 0 3 300.265 4.181 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1cc(C)ccc1C ZINC001474252398 1061820445 /nfs/dbraw/zinc/82/04/45/1061820445.db2.gz RGYVOVPFYFOIFE-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN CC[C@@H](CNCc1ccc(C)cc1Cl)C(=O)OC(C)(C)C ZINC001474274772 1061841344 /nfs/dbraw/zinc/84/13/44/1061841344.db2.gz IPISUCAPHYCCQN-ZDUSSCGKSA-N 0 3 311.853 4.106 20 0 DIADHN COc1c(Cl)cccc1CNC/C=C\c1ccc(F)cc1 ZINC001474284058 1061853172 /nfs/dbraw/zinc/85/31/72/1061853172.db2.gz ZIFLTDKVDGFCHH-ARJAWSKDSA-N 0 3 305.780 4.291 20 0 DIADHN c1nc(C2CCCC2)sc1CNC[C@@H]1CCCC2(CCC2)O1 ZINC001474285359 1061855789 /nfs/dbraw/zinc/85/57/89/1061855789.db2.gz ZRLBJXNMKBKOMS-HNNXBMFYSA-N 0 3 320.502 4.382 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001466009999 1061859644 /nfs/dbraw/zinc/85/96/44/1061859644.db2.gz BXEWLDUSFDSWAN-GJYPPUQNSA-N 0 3 308.510 4.115 20 0 DIADHN CCCCOc1ccc(CNCc2c(C)nc3ccccn32)cc1 ZINC001474292590 1061860992 /nfs/dbraw/zinc/86/09/92/1061860992.db2.gz CAVPOGMIEWKEES-UHFFFAOYSA-N 0 3 323.440 4.111 20 0 DIADHN C[C@@H](NCc1ccc2ncccc2c1)c1cc(F)ccc1N(C)C ZINC001474304421 1061873185 /nfs/dbraw/zinc/87/31/85/1061873185.db2.gz RODJMLDRUZPTPF-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC001466093483 1061874408 /nfs/dbraw/zinc/87/44/08/1061874408.db2.gz IFGZXKFSTSBPOR-VQTJNVASSA-N 0 3 322.452 4.107 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1cnc2c(F)cccc2c1 ZINC001474308463 1061878428 /nfs/dbraw/zinc/87/84/28/1061878428.db2.gz CGMJUUHKNXKJAR-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN COc1ncc(CN2CCC[C@@H]2CCC2CCCCC2)s1 ZINC001474311086 1061882404 /nfs/dbraw/zinc/88/24/04/1061882404.db2.gz SBXZXMBLBJQVSE-OAHLLOKOSA-N 0 3 308.491 4.477 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1ccc(F)c(F)c1)c1ccccc1F ZINC001253381297 1061885255 /nfs/dbraw/zinc/88/52/55/1061885255.db2.gz WAIRJLJROYNUFZ-QZTJIDSGSA-N 0 3 323.358 4.268 20 0 DIADHN Cc1ccc(CN2CC[C@@H](COCc3ccccc3)C2)c(F)c1 ZINC001474314445 1061887122 /nfs/dbraw/zinc/88/71/22/1061887122.db2.gz MVGKKJWLJDCRLH-GOSISDBHSA-N 0 3 313.416 4.173 20 0 DIADHN CN(Cc1cn(-c2ccccc2)nc1-c1ccc(F)cc1)C1CC1 ZINC001474319411 1061889844 /nfs/dbraw/zinc/88/98/44/1061889844.db2.gz ONWLRBVFZCZLCZ-UHFFFAOYSA-N 0 3 321.399 4.273 20 0 DIADHN CCCCC[C@@H](O)CNCc1cc(F)c(Cl)cc1Cl ZINC001253518056 1061907980 /nfs/dbraw/zinc/90/79/80/1061907980.db2.gz JHVKMPDXUDRYRX-LLVKDONJSA-N 0 3 308.224 4.163 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCc2cccc(Cl)c2)n1 ZINC001474328771 1061916943 /nfs/dbraw/zinc/91/69/43/1061916943.db2.gz RGXCMHCYYLFJOG-SFHVURJKSA-N 0 3 300.833 4.423 20 0 DIADHN CCOc1cncc(CN(C2CC2)[C@H]2CCc3ccccc32)c1 ZINC001474329719 1061920709 /nfs/dbraw/zinc/92/07/09/1061920709.db2.gz SYAWAMDHZQXRLG-FQEVSTJZSA-N 0 3 308.425 4.132 20 0 DIADHN CCOC(=O)c1[nH]c(C)c(CN(C)[C@@H](C)c2cccs2)c1C ZINC001474329565 1061920912 /nfs/dbraw/zinc/92/09/12/1061920912.db2.gz RDTITQBMOIMGPY-ZDUSSCGKSA-N 0 3 320.458 4.063 20 0 DIADHN CCCCC[C@H](O)CNCc1cccc(C(F)(F)F)c1Cl ZINC001253554182 1061924238 /nfs/dbraw/zinc/92/42/38/1061924238.db2.gz ONWYBSNRISYSSB-LBPRGKRZSA-N 0 3 323.786 4.390 20 0 DIADHN COc1ccc(C[C@H](C)[N@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001474334170 1061927638 /nfs/dbraw/zinc/92/76/38/1061927638.db2.gz YBMVUNRPIMDGKV-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN COc1ccc(C[C@H](C)[N@@H+](C)Cc2cc([O-])cc(Cl)c2)cc1 ZINC001474334170 1061927660 /nfs/dbraw/zinc/92/76/60/1061927660.db2.gz YBMVUNRPIMDGKV-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN CCN(Cc1cn2ccnc2s1)[C@@H](C)c1ccc(F)cc1 ZINC001474342135 1061942645 /nfs/dbraw/zinc/94/26/45/1061942645.db2.gz PDVLUDAYXAKNSQ-LBPRGKRZSA-N 0 3 303.406 4.118 20 0 DIADHN COCCN(Cc1ccc(C)o1)C[C@@H](C)c1cc(F)cc(F)c1 ZINC001474345976 1061952715 /nfs/dbraw/zinc/95/27/15/1061952715.db2.gz ZJUILEZDYYEBGP-CYBMUJFWSA-N 0 3 323.383 4.118 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@H]1C(C)=CCC[C@@H]1C ZINC001474352744 1061963169 /nfs/dbraw/zinc/96/31/69/1061963169.db2.gz UVVBJTYTIOGRMV-WMZOPIPTSA-N 0 3 314.473 4.112 20 0 DIADHN CC(C)[C@@H](O)CN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001253753477 1061973632 /nfs/dbraw/zinc/97/36/32/1061973632.db2.gz VNPGVPSPTCPWHN-HNNXBMFYSA-N 0 3 316.272 4.190 20 0 DIADHN CC(C)[C@H](O)CN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001253753478 1061975316 /nfs/dbraw/zinc/97/53/16/1061975316.db2.gz VNPGVPSPTCPWHN-OAHLLOKOSA-N 0 3 316.272 4.190 20 0 DIADHN C[C@@]1(O)CCCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC001474361572 1061983590 /nfs/dbraw/zinc/98/35/90/1061983590.db2.gz VYRYUQDXVIIPHK-LJQANCHMSA-N 0 3 313.466 4.185 20 0 DIADHN COCc1csc(CN(Cc2cccc(F)c2)C(C)C)c1 ZINC001474362480 1061989007 /nfs/dbraw/zinc/98/90/07/1061989007.db2.gz KZWOYLUVLQPWPW-UHFFFAOYSA-N 0 3 307.434 4.444 20 0 DIADHN CCCN(CCC(C)(C)SC)[C@H](C)C(=O)Nc1ccccc1 ZINC001474369020 1062000665 /nfs/dbraw/zinc/00/06/65/1062000665.db2.gz BCDALUQNVSICOZ-OAHLLOKOSA-N 0 3 322.518 4.257 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C[C@H]1C ZINC001474370205 1062007560 /nfs/dbraw/zinc/00/75/60/1062007560.db2.gz QDOHYLDVEBYQFW-VXGBXAGGSA-N 0 3 319.342 4.245 20 0 DIADHN Cc1ccoc1CN1CCC(Nc2ccccc2Cl)CC1 ZINC001254005187 1062009574 /nfs/dbraw/zinc/00/95/74/1062009574.db2.gz UQGCMTMNLVIVPX-UHFFFAOYSA-N 0 3 304.821 4.318 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccccc2N2CCCC2)n1 ZINC001254010857 1062011438 /nfs/dbraw/zinc/01/14/38/1062011438.db2.gz NQAZBCAEXPZXCU-OAQYLSRUSA-N 0 3 321.468 4.327 20 0 DIADHN CC[C@H](CN1CCO[C@@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC001474377692 1062021194 /nfs/dbraw/zinc/02/11/94/1062021194.db2.gz QFVIWBCILARQGT-OXQOHEQNSA-N 0 3 313.416 4.393 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@H](C)c2nccc3ccccc32)on1 ZINC001474378252 1062025871 /nfs/dbraw/zinc/02/58/71/1062025871.db2.gz NELUTQLKMOYXGO-RHSMWYFYSA-N 0 3 307.397 4.429 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1C[C@H](C)CC(C)(C)C1 ZINC001474389360 1062042889 /nfs/dbraw/zinc/04/28/89/1062042889.db2.gz NFTSTPQPSWOSBN-GFCCVEGCSA-N 0 3 317.502 4.450 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(CO)c1)c1ccc(Cl)c(F)c1 ZINC001254205900 1062047200 /nfs/dbraw/zinc/04/72/00/1062047200.db2.gz ICVPOLBGNYUQIM-NWDGAFQWSA-N 0 3 307.796 4.383 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@@H](C)c1cccc(F)c1F ZINC001254206389 1062048718 /nfs/dbraw/zinc/04/87/18/1062048718.db2.gz MJKGQXNEIYZZNC-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN OC[C@H](NC1CCC(F)(F)CC1)c1ccc(Cl)cc1Cl ZINC001254213366 1062050519 /nfs/dbraw/zinc/05/05/19/1062050519.db2.gz WWNWAYDKAHZIIP-ZDUSSCGKSA-N 0 3 324.198 4.194 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1cc2ccc(F)cc2[nH]c1=O ZINC001474401859 1062055094 /nfs/dbraw/zinc/05/50/94/1062055094.db2.gz DMWPTBBTXYKJNO-UHFFFAOYSA-N 0 3 314.360 4.083 20 0 DIADHN CCCC[C@H](C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C)C(C)C ZINC001459255449 1062057281 /nfs/dbraw/zinc/05/72/81/1062057281.db2.gz MVUHIBYDRBFXIR-WDSOQIARSA-N 0 3 318.505 4.256 20 0 DIADHN CCOc1cc(CN2CC[C@H]3CCCC[C@H]3C2)c(F)cc1OC ZINC001474404863 1062060211 /nfs/dbraw/zinc/06/02/11/1062060211.db2.gz OSPIFSPIQTUJOH-CABCVRRESA-N 0 3 321.436 4.245 20 0 DIADHN CCN(CCO)Cc1ccccc1Oc1ccc(F)c(Cl)c1 ZINC001474404248 1062064585 /nfs/dbraw/zinc/06/45/85/1062064585.db2.gz GUCKEMIWETZILR-UHFFFAOYSA-N 0 3 323.795 4.086 20 0 DIADHN CC1CCN(Cc2cnn(-c3ccc(C(F)(F)F)cc3)c2)CC1 ZINC001474403912 1062065361 /nfs/dbraw/zinc/06/53/61/1062065361.db2.gz AWKWWTDYDAEXQN-UHFFFAOYSA-N 0 3 323.362 4.123 20 0 DIADHN COc1ccc(OC2CCN(Cc3csc(C)c3)CC2)cc1 ZINC001459307460 1062074952 /nfs/dbraw/zinc/07/49/52/1062074952.db2.gz CXSOPOWIRWNNRI-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN CCN(C)c1ccc(CN2CCC[C@@H]2c2ccc(C)cc2)cn1 ZINC001474413560 1062081523 /nfs/dbraw/zinc/08/15/23/1062081523.db2.gz OMXHEPPIVCUOGG-LJQANCHMSA-N 0 3 309.457 4.183 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1OC)C[C@@H](C)CC(C)C ZINC001474415260 1062083584 /nfs/dbraw/zinc/08/35/84/1062083584.db2.gz VLYSZXWLZYYMFO-INIZCTEOSA-N 0 3 320.477 4.028 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)CCC(C)C ZINC001459322051 1062088619 /nfs/dbraw/zinc/08/86/19/1062088619.db2.gz AYNOWDSJFZXVLS-UHFFFAOYSA-N 0 3 303.402 4.087 20 0 DIADHN FC(F)(F)[C@H]1C[C@H](C(F)(F)F)CN(CCCC2CC2)C1 ZINC001459344766 1062089924 /nfs/dbraw/zinc/08/99/24/1062089924.db2.gz GFGGEWLANSVSPK-QWRGUYRKSA-N 0 3 303.290 4.239 20 0 DIADHN CCn1cnc(Cl)c1CN1CCC[C@]1(C)Cc1ccccc1 ZINC001474420195 1062090224 /nfs/dbraw/zinc/09/02/24/1062090224.db2.gz PMBOIJHUUHQZML-GOSISDBHSA-N 0 3 317.864 4.154 20 0 DIADHN Fc1ccc(/C=C/CNCc2cc(Cl)cc3c2OCC3)cc1 ZINC001474425679 1062098859 /nfs/dbraw/zinc/09/88/59/1062098859.db2.gz JGFXFHJRASVACO-OWOJBTEDSA-N 0 3 317.791 4.217 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cc3ccccc3n2C)C1 ZINC001474423980 1062099673 /nfs/dbraw/zinc/09/96/73/1062099673.db2.gz FCVYFYHGQIDRHH-QRWLVFNGSA-N 0 3 305.425 4.156 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCN(CCC(C)(C)C)CC1 ZINC001459350542 1062105189 /nfs/dbraw/zinc/10/51/89/1062105189.db2.gz YEXPERRZJXNETP-CQSZACIVSA-N 0 3 310.432 4.080 20 0 DIADHN CCOCCN(C)Cc1ccc(Oc2cccc(F)c2)cc1 ZINC001474435048 1062111319 /nfs/dbraw/zinc/11/13/19/1062111319.db2.gz QTYNHLUZYDJFIA-UHFFFAOYSA-N 0 3 303.377 4.086 20 0 DIADHN Cc1ccccc1CN(Cc1c[nH]c(=O)c2ccccc12)C1CC1 ZINC001459361118 1062111406 /nfs/dbraw/zinc/11/14/06/1062111406.db2.gz GGDZLNALQMSFTQ-UHFFFAOYSA-N 0 3 318.420 4.413 20 0 DIADHN CCCCCCN1CCN(Cc2csc(C(C)(C)C)n2)CC1 ZINC001459361627 1062113251 /nfs/dbraw/zinc/11/32/51/1062113251.db2.gz IMIRZSOGCVKKGR-UHFFFAOYSA-N 0 3 323.550 4.139 20 0 DIADHN Cc1nnc(CN[C@@H](C)[C@H](C)c2ccc(CC(C)C)cc2)s1 ZINC001474436854 1062114488 /nfs/dbraw/zinc/11/44/88/1062114488.db2.gz ADWJQXRPFYCVKS-KBPBESRZSA-N 0 3 317.502 4.327 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4c(s3)CCCC4)C2)cc1 ZINC001474437176 1062115496 /nfs/dbraw/zinc/11/54/96/1062115496.db2.gz DLTPLICXVCIJRS-INIZCTEOSA-N 0 3 312.482 4.320 20 0 DIADHN COCCCC1(CN2CCc3cc(Cl)ccc3C2)CCC1 ZINC001474439011 1062117314 /nfs/dbraw/zinc/11/73/14/1062117314.db2.gz OVFIMEYDVOJBCG-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN CC[C@@H](C)N(CCc1ccccc1F)Cc1cccnc1OC ZINC001459387469 1062125640 /nfs/dbraw/zinc/12/56/40/1062125640.db2.gz MZXSFHLAAHGNSW-OAHLLOKOSA-N 0 3 316.420 4.073 20 0 DIADHN Cc1cccc(CN(Cc2ccc(Cl)nn2)CC(C)C)c1 ZINC001459414721 1062135676 /nfs/dbraw/zinc/13/56/76/1062135676.db2.gz NVBQAOVXDVVHEL-UHFFFAOYSA-N 0 3 303.837 4.097 20 0 DIADHN Cc1nnsc1[C@@H](C)N1CCC[C@H](c2ccccc2)CC1 ZINC001459423002 1062139112 /nfs/dbraw/zinc/13/91/12/1062139112.db2.gz CCSJKCDBNYZXBH-ZBFHGGJFSA-N 0 3 301.459 4.177 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@@H](C)C[C@@H]3C)c2)CC1 ZINC001474462014 1062141328 /nfs/dbraw/zinc/14/13/28/1062141328.db2.gz YECMFDQIFZGFBS-FUHIMQAGSA-N 0 3 315.505 4.065 20 0 DIADHN COc1cccc(-c2nc(CN3[C@@H](C)CCC[C@@H]3C)co2)c1 ZINC001459445711 1062148251 /nfs/dbraw/zinc/14/82/51/1062148251.db2.gz KSTOOEQILCUVAZ-KBPBESRZSA-N 0 3 300.402 4.113 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Cc3ccccc3)C2)cc(Cl)n1 ZINC001459449469 1062149533 /nfs/dbraw/zinc/14/95/33/1062149533.db2.gz ONVYLLAHYPDWTR-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(CC[C@H]1COC(C)(C)O1)C1CC1 ZINC001459459056 1062151934 /nfs/dbraw/zinc/15/19/34/1062151934.db2.gz VRFOEOJDZWZXMT-ZFWWWQNUSA-N 0 3 323.864 4.407 20 0 DIADHN COc1ncc(CN2CCCc3sccc3C2)c2ccccc21 ZINC001459470462 1062157263 /nfs/dbraw/zinc/15/72/63/1062157263.db2.gz SLUKVJNKAYJHKU-UHFFFAOYSA-N 0 3 324.449 4.253 20 0 DIADHN C[C@@H]1CCC[C@H](CN2CCC[C@@H]2C(=O)OCc2ccccc2)C1 ZINC001459475102 1062158096 /nfs/dbraw/zinc/15/80/96/1062158096.db2.gz JGINXNNXFZILMZ-NZSAHSFTSA-N 0 3 315.457 4.021 20 0 DIADHN C[C@@H]1CCC[C@@H](CN2CCC[C@H]2C(=O)OCc2ccccc2)C1 ZINC001459475115 1062159639 /nfs/dbraw/zinc/15/96/39/1062159639.db2.gz JGINXNNXFZILMZ-QRQLOZEOSA-N 0 3 315.457 4.021 20 0 DIADHN CCCCCCN(C)CC(=O)NCC[C@H]1C[C@H](C)CC(C)(C)C1 ZINC001467635900 1062168179 /nfs/dbraw/zinc/16/81/79/1062168179.db2.gz JDPHJAABKRWMQK-ROUUACIJSA-N 0 3 324.553 4.467 20 0 DIADHN Cc1ccc(CN2C[C@H](c3ccccc3)C[C@H]2C)c(Cl)n1 ZINC001459498917 1062169418 /nfs/dbraw/zinc/16/94/18/1062169418.db2.gz WULCKFCYTFNOCX-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@H](C)[C@@H]2CC3CCC2CC3)[nH]1 ZINC001474494035 1062173240 /nfs/dbraw/zinc/17/32/40/1062173240.db2.gz IIRDIOVXYKDYIF-MFJYOQPGSA-N 0 3 304.482 4.184 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCc2ccccc2CC1 ZINC001459510569 1062176084 /nfs/dbraw/zinc/17/60/84/1062176084.db2.gz KSGNHCTXTPPVTN-UHFFFAOYSA-N 0 3 308.469 4.368 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1C[C@@H](C)CCC[C@H]1C ZINC001459513471 1062176763 /nfs/dbraw/zinc/17/67/63/1062176763.db2.gz UNXUNPGXHYYTGL-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)[C@@H](C)N2CCCCCC2)c2ccccc21 ZINC001467703652 1062184135 /nfs/dbraw/zinc/18/41/35/1062184135.db2.gz ZKXMIHPPPFGOQC-AEFFLSMTSA-N 0 3 314.473 4.037 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001459543741 1062195867 /nfs/dbraw/zinc/19/58/67/1062195867.db2.gz VRVMHSONEVWRET-SUMWQHHRSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1cc(-c2noc(CN3CCCC(C)(C)CC3)n2)ccc1F ZINC001459561395 1062210866 /nfs/dbraw/zinc/21/08/66/1062210866.db2.gz PFFFMOLRRYSYEI-UHFFFAOYSA-N 0 3 317.408 4.196 20 0 DIADHN COc1cccc2c1CN(CCc1ccc(C(C)C)cc1)CC2 ZINC001474528602 1062212666 /nfs/dbraw/zinc/21/26/66/1062212666.db2.gz RFNBRSWYKGMCNA-UHFFFAOYSA-N 0 3 309.453 4.419 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2c(C)nsc2C)C12CCCCC2 ZINC001459572977 1062217161 /nfs/dbraw/zinc/21/71/61/1062217161.db2.gz CFPKBRQZGNUEBV-DLBZAZTESA-N 0 3 322.518 4.320 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001474536495 1062221063 /nfs/dbraw/zinc/22/10/63/1062221063.db2.gz BAZZNDHFWZXPDM-KVLZDYKBSA-N 0 3 319.807 4.158 20 0 DIADHN CN(C)c1cc(CN[C@H](c2cccc(F)c2)C(C)(C)C)ccn1 ZINC001254666461 1062224396 /nfs/dbraw/zinc/22/43/96/1062224396.db2.gz ZHRVTTLJRFGXQN-GOSISDBHSA-N 0 3 315.436 4.164 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CC3CCC2CC3)cc(Cl)c1[O-] ZINC001474539506 1062227973 /nfs/dbraw/zinc/22/79/73/1062227973.db2.gz OCRLYAYZQFKSPA-ABIUDSBCSA-N 0 3 309.837 4.113 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CCC[C@H]1C(C)(C)C ZINC001459588993 1062228671 /nfs/dbraw/zinc/22/86/71/1062228671.db2.gz FBBRJXOIADDVCL-HNNXBMFYSA-N 0 3 305.853 4.237 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1ccccc1Cl ZINC001459590255 1062229619 /nfs/dbraw/zinc/22/96/19/1062229619.db2.gz LGJYAIXFIKTTRJ-CQSZACIVSA-N 0 3 303.833 4.412 20 0 DIADHN Cc1cccc(CC[C@H](C)NCc2cc(F)cnc2Cl)c1 ZINC001474540563 1062231978 /nfs/dbraw/zinc/23/19/78/1062231978.db2.gz DMUOVRMPDZLCHV-ZDUSSCGKSA-N 0 3 306.812 4.293 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CCc1cccc(Cl)c1)C2 ZINC001474542638 1062232315 /nfs/dbraw/zinc/23/23/15/1062232315.db2.gz SDAJPFYYCWBPMX-UHFFFAOYSA-N 0 3 313.828 4.136 20 0 DIADHN CCCC1CCN(CC(=O)N(C2CC2)C2CCC(C)CC2)CC1 ZINC001459598991 1062233841 /nfs/dbraw/zinc/23/38/41/1062233841.db2.gz RSOLFGOWQQVOHD-UHFFFAOYSA-N 0 3 320.521 4.068 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCS[C@@H]2CCCC[C@@H]21 ZINC001459601318 1062235268 /nfs/dbraw/zinc/23/52/68/1062235268.db2.gz DPZULSKCQJCSEE-DLBZAZTESA-N 0 3 314.454 4.202 20 0 DIADHN CN(CC1CC(C)(C)C1)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC001459602823 1062239344 /nfs/dbraw/zinc/23/93/44/1062239344.db2.gz DEIJSDZFEDIXQI-SFHVURJKSA-N 0 3 315.436 4.017 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cccc(OC2CC2)c1F ZINC001474544498 1062241033 /nfs/dbraw/zinc/24/10/33/1062241033.db2.gz POEVZCAPLYHVMG-UHFFFAOYSA-N 0 3 313.416 4.350 20 0 DIADHN Cc1ccc(N2CCN(CCCOC(C)(C)C)[C@H](C)C2)cc1C ZINC001459611664 1062241942 /nfs/dbraw/zinc/24/19/42/1062241942.db2.gz SVXNWHBKOXCTBH-GOSISDBHSA-N 0 3 318.505 4.019 20 0 DIADHN CC[C@@H]1CN(Cc2ccccc2C(C)C)[C@@H](CC)CN1C(C)=O ZINC001459606765 1062242286 /nfs/dbraw/zinc/24/22/86/1062242286.db2.gz UQLRSMCMQROUSY-RBUKOAKNSA-N 0 3 316.489 4.031 20 0 DIADHN CC(C)c1ccccc1CN1CCC[C@@H](OCC(F)(F)F)C1 ZINC001459619463 1062255661 /nfs/dbraw/zinc/25/56/61/1062255661.db2.gz RSHCKQQUHXIPOT-OAHLLOKOSA-N 0 3 315.379 4.353 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2snnc2C)CC[C@@H]1c1ccccc1 ZINC001459629675 1062266333 /nfs/dbraw/zinc/26/63/33/1062266333.db2.gz SFTYUKHUHLRVFG-UXLLHSPISA-N 0 3 315.486 4.423 20 0 DIADHN CCCSC[C@H](C)N[C@H](C)c1nccn1-c1ccccc1 ZINC001474562072 1062268398 /nfs/dbraw/zinc/26/83/98/1062268398.db2.gz RJTIVBSHXDFNFN-LSDHHAIUSA-N 0 3 303.475 4.055 20 0 DIADHN CC(C)(CNCc1nc(Br)cs1)C1=CCCC1 ZINC001474566007 1062271239 /nfs/dbraw/zinc/27/12/39/1062271239.db2.gz CWIMXJFTPVXILV-UHFFFAOYSA-N 0 3 315.280 4.132 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)nc1Cl ZINC001459636935 1062273057 /nfs/dbraw/zinc/27/30/57/1062273057.db2.gz SYIGEQFCBXQGAV-RDJZCZTQSA-N 0 3 314.860 4.497 20 0 DIADHN Cc1ccc(C)c(N2CCN(CCCSCC(C)C)CC2)c1 ZINC001459649181 1062275790 /nfs/dbraw/zinc/27/57/90/1062275790.db2.gz AGGZWDCOWNYGIP-UHFFFAOYSA-N 0 3 320.546 4.205 20 0 DIADHN CC(C)[C@@H]1N(Cc2c3c(nn2C)CCCC3)CC12CCCCC2 ZINC001474570982 1062277935 /nfs/dbraw/zinc/27/79/35/1062277935.db2.gz MJUULCQUCZHURU-IBGZPJMESA-N 0 3 315.505 4.090 20 0 DIADHN CC(C)Cn1nccc1CN1CC2(CCCCC2)[C@H]1C(C)C ZINC001474572805 1062279235 /nfs/dbraw/zinc/27/92/35/1062279235.db2.gz REIAFCDHLVTQBB-GOSISDBHSA-N 0 3 303.494 4.330 20 0 DIADHN CN(C[C@H]1CCCC(C)(C)C1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001474571058 1062280347 /nfs/dbraw/zinc/28/03/47/1062280347.db2.gz JOPOTAOIMGCTPT-RDJZCZTQSA-N 0 3 312.461 4.042 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2Cc2ccc3nonc3c2)o1 ZINC001459658817 1062281925 /nfs/dbraw/zinc/28/19/25/1062281925.db2.gz QFDUAGGFEODVKM-PXAZEXFGSA-N 0 3 311.385 4.097 20 0 DIADHN O=C1C[C@H]2C[C@H](NC3c4ccccc4Oc4ccccc43)C[C@H]2C1 ZINC001254935188 1062283722 /nfs/dbraw/zinc/28/37/22/1062283722.db2.gz NDLGIEPNSPNWQS-FICVDOATSA-N 0 3 319.404 4.229 20 0 DIADHN O=C1C[C@H]2C[C@H](N3CCC[C@@H]3c3ccc(Cl)s3)C[C@H]2C1 ZINC001254957215 1062289756 /nfs/dbraw/zinc/28/97/56/1062289756.db2.gz SJWQWWPEZRMWIK-OWTLIXCDSA-N 0 3 309.862 4.296 20 0 DIADHN c1ccc(C(NC[C@@H]2CC3(CCC3)CO2)c2ccccc2)cc1 ZINC001459672437 1062290890 /nfs/dbraw/zinc/29/08/90/1062290890.db2.gz UUEGIMRSSDWUCL-IBGZPJMESA-N 0 3 307.437 4.325 20 0 DIADHN CC(C)C1(c2ccccc2)CN(Cc2ccc(-c3cc[nH]n3)o2)C1 ZINC001474585615 1062295044 /nfs/dbraw/zinc/29/50/44/1062295044.db2.gz YGTYUDLXDMQPFP-UHFFFAOYSA-N 0 3 321.424 4.079 20 0 DIADHN CC(C)=CCC[C@@H](C)CCNC(C)(C)c1cn(C(C)C)nn1 ZINC001474586726 1062297190 /nfs/dbraw/zinc/29/71/90/1062297190.db2.gz UZIOYINJLICJNV-MRXNPFEDSA-N 0 3 306.498 4.456 20 0 DIADHN CC[C@@](O)(CN[C@H](c1ccc(F)cc1)C(C)(C)C)C(F)(F)F ZINC001459678385 1062298660 /nfs/dbraw/zinc/29/86/60/1062298660.db2.gz VHSAHAZPOCBVIK-UKRRQHHQSA-N 0 3 321.358 4.206 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1C1Cc2ccccc2C1 ZINC001255015138 1062299411 /nfs/dbraw/zinc/29/94/11/1062299411.db2.gz YPZRWHBRHHUPLT-GOSISDBHSA-N 0 3 319.452 4.422 20 0 DIADHN FC(F)(F)c1cccc2c1CCN(C1Cc3ccccc3C1)C2 ZINC001255026295 1062300366 /nfs/dbraw/zinc/30/03/66/1062300366.db2.gz TWXATQUCANHTSS-UHFFFAOYSA-N 0 3 317.354 4.231 20 0 DIADHN C[C@H](N[C@H]1C[C@]1(F)c1ccccc1)[C@@H]1C[C@H]1c1cccs1 ZINC001474591226 1062304768 /nfs/dbraw/zinc/30/47/68/1062304768.db2.gz BALSHWSQRQLEFX-UIVXMWCNSA-N 0 3 301.430 4.467 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(-c3ccc(F)cc3)o2)C1 ZINC001474597927 1062306973 /nfs/dbraw/zinc/30/69/73/1062306973.db2.gz TYQBHGJNZUWUTL-QGZVFWFLSA-N 0 3 303.377 4.085 20 0 DIADHN CCOc1cccc(CN(Cc2ccc(C)nc2)CC2CC2)c1 ZINC001474596361 1062308030 /nfs/dbraw/zinc/30/80/30/1062308030.db2.gz DWZVZHRWQPSYNB-UHFFFAOYSA-N 0 3 310.441 4.201 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@]2(CC2(F)F)C1 ZINC001474608723 1062323033 /nfs/dbraw/zinc/32/30/33/1062323033.db2.gz ILWPVBWUHWSXFC-KRWDZBQOSA-N 0 3 309.400 4.487 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCCC[C@@H]1CC(C)C ZINC001459722601 1062337972 /nfs/dbraw/zinc/33/79/72/1062337972.db2.gz QWGBZGGBBLVBDZ-MRXNPFEDSA-N 0 3 322.880 4.488 20 0 DIADHN CCc1ccc([C@H](NCC(=O)Nc2cccc(C)c2)C(C)C)cc1 ZINC001459728823 1062339395 /nfs/dbraw/zinc/33/93/95/1062339395.db2.gz FUKZALSGRVAQLW-OAQYLSRUSA-N 0 3 324.468 4.483 20 0 DIADHN CC(C)(CNCc1c(Cl)ccnc1Cl)c1ccccc1 ZINC001474619252 1062344752 /nfs/dbraw/zinc/34/47/52/1062344752.db2.gz RMTOQPRVWFJMMQ-UHFFFAOYSA-N 0 3 309.240 4.456 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cc(C)cc(C)n2)C1(C)C ZINC001459735110 1062346210 /nfs/dbraw/zinc/34/62/10/1062346210.db2.gz PBNDHAICSOANCD-ZWKOTPCHSA-N 0 3 304.478 4.114 20 0 DIADHN FC1(F)CC(CN2CCc3cc(-c4ccccc4)oc3C2)C1 ZINC001459733619 1062346844 /nfs/dbraw/zinc/34/68/44/1062346844.db2.gz KCAYYNWRVDBNFK-UHFFFAOYSA-N 0 3 303.352 4.350 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cc(C)cc(C)n2)C1(C)C ZINC001459735102 1062347046 /nfs/dbraw/zinc/34/70/46/1062347046.db2.gz PBNDHAICSOANCD-ROUUACIJSA-N 0 3 304.478 4.114 20 0 DIADHN COc1cc(CN(Cc2cccc(F)c2)C2CCCC2)ccn1 ZINC001459741786 1062354458 /nfs/dbraw/zinc/35/44/58/1062354458.db2.gz TVNZKGWDFFGGFO-UHFFFAOYSA-N 0 3 314.404 4.174 20 0 DIADHN Cc1ccc(N2CCN(CCc3cc(C)cc(C)c3)CC2)c(C)c1 ZINC001474639887 1062362883 /nfs/dbraw/zinc/36/28/83/1062362883.db2.gz RPYMPNQYAWUYAS-UHFFFAOYSA-N 0 3 322.496 4.285 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CCC(C)C)cc1C ZINC001459754827 1062365156 /nfs/dbraw/zinc/36/51/56/1062365156.db2.gz HUUGQWGMYHUTRX-SFHVURJKSA-N 0 3 302.462 4.143 20 0 DIADHN CCCCC[C@H](C)CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001468646986 1062368470 /nfs/dbraw/zinc/36/84/70/1062368470.db2.gz PFRJIAPLIVKYHP-MAUKXSAKSA-N 0 3 322.468 4.151 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001468646892 1062368755 /nfs/dbraw/zinc/36/87/55/1062368755.db2.gz ONLPQBFXAIDRNX-QAPCUYQASA-N 0 3 322.468 4.151 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](N2CCOc3ccc(O)cc3C2)C1 ZINC001474642488 1062368968 /nfs/dbraw/zinc/36/89/68/1062368968.db2.gz YHCGENFSTXUHMY-NQIIRXRSSA-N 0 3 323.436 4.097 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001459757242 1062371922 /nfs/dbraw/zinc/37/19/22/1062371922.db2.gz SFWDYWRVCMAVLE-XJKSGUPXSA-N 0 3 317.408 4.276 20 0 DIADHN Brc1ccc([C@@H]2CCCN2[C@H]2CCSC2)cc1 ZINC001255281700 1062377408 /nfs/dbraw/zinc/37/74/08/1062377408.db2.gz BLNYAGKZKUGGCV-KBPBESRZSA-N 0 3 312.276 4.092 20 0 DIADHN CC1(C)CCC(NCC(=O)c2ccc(Br)cc2)CC1 ZINC001255295673 1062380702 /nfs/dbraw/zinc/38/07/02/1062380702.db2.gz MVRIBIORSQDRNH-UHFFFAOYSA-N 0 3 324.262 4.190 20 0 DIADHN Cc1ccccc1CCCN1CCO[C@@]2(CCc3ccccc32)C1 ZINC001459771471 1062385103 /nfs/dbraw/zinc/38/51/03/1062385103.db2.gz NNFACNWXBSYZPQ-QFIPXVFZSA-N 0 3 321.464 4.102 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(Cc2ccn(C)c2)CC1 ZINC001474659061 1062392044 /nfs/dbraw/zinc/39/20/44/1062392044.db2.gz WDWWCICFAMGLSO-UHFFFAOYSA-N 0 3 300.833 4.276 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C[C@@H]1C ZINC001459778764 1062392677 /nfs/dbraw/zinc/39/26/77/1062392677.db2.gz YMWUJNWEEUQMEX-JRPNMDOOSA-N 0 3 302.245 4.005 20 0 DIADHN CCCC[C@H](C)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001255315737 1062392767 /nfs/dbraw/zinc/39/27/67/1062392767.db2.gz POQLNHKIUBVQBU-JTQLQIEISA-N 0 3 305.315 4.196 20 0 DIADHN Fc1ccc(CN2CCC[C@H](CCCc3ccccc3)C2)nc1 ZINC001459783182 1062397104 /nfs/dbraw/zinc/39/71/04/1062397104.db2.gz BXPSGDKPFSDKRI-SFHVURJKSA-N 0 3 312.432 4.456 20 0 DIADHN C[C@H]1OCCN(CCCCc2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001459795577 1062405595 /nfs/dbraw/zinc/40/55/95/1062405595.db2.gz HSEIEPFYWRFBJC-QWHCGFSZSA-N 0 3 316.272 4.425 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC001474685660 1062417390 /nfs/dbraw/zinc/41/73/90/1062417390.db2.gz XTVHPMKXSJABQC-DUMMIQTOSA-N 0 3 324.443 4.218 20 0 DIADHN CCOc1cc(C[NH2+]CC2(CC3CC3)CC2)cc(Cl)c1[O-] ZINC001474686957 1062419135 /nfs/dbraw/zinc/41/91/35/1062419135.db2.gz KOHKELRIUJRQNZ-UHFFFAOYSA-N 0 3 309.837 4.114 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N1CC2(CCCCC2)[C@H]1C(C)C ZINC001468838475 1062419083 /nfs/dbraw/zinc/41/90/83/1062419083.db2.gz LDJOTSVTWKUOLN-ZWKOTPCHSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@H](CNCc1noc2c1CC(C)(C)CC2)C1CCCCC1 ZINC001474693092 1062421655 /nfs/dbraw/zinc/42/16/55/1062421655.db2.gz IGRTXHIIEHVEBL-CQSZACIVSA-N 0 3 304.478 4.496 20 0 DIADHN Clc1ccccc1CN1CC[C@@H](COc2ccccc2)C1 ZINC001459816857 1062422933 /nfs/dbraw/zinc/42/29/33/1062422933.db2.gz GCOXQQFGYPWOOX-OAHLLOKOSA-N 0 3 301.817 4.241 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCC2)CO1)c1cc(Cl)ccc1F ZINC001459818687 1062425635 /nfs/dbraw/zinc/42/56/35/1062425635.db2.gz UFZGWKDIDMWNOK-OCCSQVGLSA-N 0 3 311.828 4.479 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(Cl)ccc1F)Cc1ccccc1 ZINC001459819039 1062426226 /nfs/dbraw/zinc/42/62/26/1062426226.db2.gz WJNQLNBJVKAKMV-CJNGLKHVSA-N 0 3 321.823 4.387 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(Cl)ccc1F)Cc1ccccc1 ZINC001459819042 1062426488 /nfs/dbraw/zinc/42/64/88/1062426488.db2.gz WJNQLNBJVKAKMV-CZUORRHYSA-N 0 3 321.823 4.387 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3c(F)cccc3F)C2)c(C)c1 ZINC001474706261 1062431415 /nfs/dbraw/zinc/43/14/15/1062431415.db2.gz KDKRNMGSQBOUCW-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN C[C@H](CCN1CCS[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC001474708985 1062437253 /nfs/dbraw/zinc/43/72/53/1062437253.db2.gz CHFYWKNGNYIRQQ-TZMCWYRMSA-N 0 3 303.393 4.160 20 0 DIADHN COc1ccc([C@@H](CCO)NCc2cccc3ccccc32)cc1 ZINC001459841089 1062441630 /nfs/dbraw/zinc/44/16/30/1062441630.db2.gz QKKRKNZVRWLGBF-OAQYLSRUSA-N 0 3 321.420 4.062 20 0 DIADHN CC[C@H](NC[C@H](O)[C@H](C)c1ccccc1)c1ccc(F)cc1F ZINC001459844628 1062443526 /nfs/dbraw/zinc/44/35/26/1062443526.db2.gz OAPATBOWZVITTN-VMDGZTHMSA-N 0 3 319.395 4.170 20 0 DIADHN CCOC(=O)/C=C\CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC001459845568 1062443558 /nfs/dbraw/zinc/44/35/58/1062443558.db2.gz REIQVLZOCJHXFG-OGZRUICASA-N 0 3 309.837 4.136 20 0 DIADHN CC[C@@H](NCCN1CCc2ccccc21)c1cc(F)ccc1F ZINC001459843723 1062443735 /nfs/dbraw/zinc/44/37/35/1062443735.db2.gz KAQZWBXCAIXFQO-GOSISDBHSA-N 0 3 316.395 4.068 20 0 DIADHN COc1ccc(CC(C)(C)NCc2csc(C(C)C)n2)cc1 ZINC001255425123 1062446477 /nfs/dbraw/zinc/44/64/77/1062446477.db2.gz VIXDWAYNSDBGHM-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN CC[C@H](NCc1csc(COC)n1)C(C)(C)c1ccccc1 ZINC001459856685 1062452518 /nfs/dbraw/zinc/45/25/18/1062452518.db2.gz CPHJLOQXRFHASC-INIZCTEOSA-N 0 3 318.486 4.136 20 0 DIADHN CC(C)=C[C@H]1[C@@H](C(=O)Nc2ccc(CN(C)C)cc2F)C1(C)C ZINC001468970442 1062454048 /nfs/dbraw/zinc/45/40/48/1062454048.db2.gz NHMLDLQKTBNZAT-YOEHRIQHSA-N 0 3 318.436 4.064 20 0 DIADHN CCO[C@]12CCC[C@@]1(NCc1c(C)cc(Cl)cc1C)CCO2 ZINC001474740866 1062457104 /nfs/dbraw/zinc/45/71/04/1062457104.db2.gz RAJKEJPWULVIRT-MSOLQXFVSA-N 0 3 323.864 4.122 20 0 DIADHN NCc1cc(-c2ccccc2)nn1C1CCC(C(F)(F)F)CC1 ZINC001255507135 1062465276 /nfs/dbraw/zinc/46/52/76/1062465276.db2.gz KWXWNYQVTJABNV-UHFFFAOYSA-N 0 3 323.362 4.302 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1ccc(Cl)s1)CC2 ZINC001459885006 1062472457 /nfs/dbraw/zinc/47/24/57/1062472457.db2.gz YCSJAOXMXCXXNY-UHFFFAOYSA-N 0 3 307.846 4.011 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001459887818 1062473130 /nfs/dbraw/zinc/47/31/30/1062473130.db2.gz BCUCITIPOPHRDV-ZDUSSCGKSA-N 0 3 319.351 4.476 20 0 DIADHN CC1(C)CN(C[C@@H](O)c2ccc(Cl)c(F)c2)CC(C)(C)C1 ZINC001459899745 1062480734 /nfs/dbraw/zinc/48/07/34/1062480734.db2.gz USSGKIKKCANXBZ-OAHLLOKOSA-N 0 3 313.844 4.271 20 0 DIADHN CCc1nc([C@@H](C)NCC[C@@H]2CCc3ccccc32)cs1 ZINC001459900247 1062483338 /nfs/dbraw/zinc/48/33/38/1062483338.db2.gz JQASJFZPHSEJJB-HIFRSBDPSA-N 0 3 300.471 4.476 20 0 DIADHN CC(C)N1CCCC[C@H]1CN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC001459902346 1062483607 /nfs/dbraw/zinc/48/36/07/1062483607.db2.gz IXXUMBVBUAFFOY-CABCVRRESA-N 0 3 323.550 4.354 20 0 DIADHN CC(C)N1CCCC[C@H]1CN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC001459902347 1062483931 /nfs/dbraw/zinc/48/39/31/1062483931.db2.gz IXXUMBVBUAFFOY-GJZGRUSLSA-N 0 3 323.550 4.354 20 0 DIADHN C[C@H](NC[C@H]1CCCC2(CCC2)O1)c1nc2ccccc2s1 ZINC001459903633 1062486101 /nfs/dbraw/zinc/48/61/01/1062486101.db2.gz LQMHBYYXZGWSFJ-UONOGXRCSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NC[C@@H](O)c2ccccc2)cc1 ZINC001459904959 1062487499 /nfs/dbraw/zinc/48/74/99/1062487499.db2.gz HKJCEAVSNAIGBS-DLBZAZTESA-N 0 3 323.358 4.312 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCOCC2CCC2)cc1 ZINC001459909832 1062490285 /nfs/dbraw/zinc/49/02/85/1062490285.db2.gz YZAQSZKIAGNZNH-MRXNPFEDSA-N 0 3 315.379 4.395 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(-c2nccs2)cc1 ZINC001255636446 1062492637 /nfs/dbraw/zinc/49/26/37/1062492637.db2.gz CQHNEOVZWXSOML-SNVBAGLBSA-N 0 3 300.349 4.241 20 0 DIADHN C[C@H](CC(F)(F)F)NC1(c2ccccc2Cl)CCOCC1 ZINC001255643735 1062494621 /nfs/dbraw/zinc/49/46/21/1062494621.db2.gz RWMICTDGIREPAX-LLVKDONJSA-N 0 3 321.770 4.276 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CC[C@@H](C)C(C)(C)C1 ZINC001459925114 1062497188 /nfs/dbraw/zinc/49/71/88/1062497188.db2.gz SZBFOKASSRQGOK-WBVHZDCISA-N 0 3 302.462 4.080 20 0 DIADHN CCOC(=O)CCCN[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC001459920579 1062497993 /nfs/dbraw/zinc/49/79/93/1062497993.db2.gz GIHJWERBVJFGTN-MRXNPFEDSA-N 0 3 315.816 4.109 20 0 DIADHN CC[C@@H](NC[C@@](O)(c1ccccc1)C(C)C)c1nc(C)cs1 ZINC001459926021 1062499404 /nfs/dbraw/zinc/49/94/04/1062499404.db2.gz OCMHGQWERYADEI-AEFFLSMTSA-N 0 3 318.486 4.036 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCOCC1CCCC1)[C@@H]2C ZINC001459933437 1062508140 /nfs/dbraw/zinc/50/81/40/1062508140.db2.gz RKBUKQMPOPNASZ-JKSUJKDBSA-N 0 3 317.473 4.210 20 0 DIADHN C[C@]1(NCc2cc(Cl)cc(Cl)c2F)CCO[C@@H]1C1CC1 ZINC001459939493 1062513685 /nfs/dbraw/zinc/51/36/85/1062513685.db2.gz XGJWRFHXQKCCAX-CABCVRRESA-N 0 3 318.219 4.180 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C[C@H](C)CC)c1ccc(Cl)cc1 ZINC001459946281 1062514123 /nfs/dbraw/zinc/51/41/23/1062514123.db2.gz OGRKUSRXMXVUMP-RHSMWYFYSA-N 0 3 324.896 4.275 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C(CC)CC)c1ccc(Cl)cc1 ZINC001459946110 1062516841 /nfs/dbraw/zinc/51/68/41/1062516841.db2.gz NGIJBLITRLUQAY-QGZVFWFLSA-N 0 3 324.896 4.275 20 0 DIADHN CC[C@H](C)[C@@H](C)N(C)Cc1cc(OC)ccc1Br ZINC001459952928 1062523729 /nfs/dbraw/zinc/52/37/29/1062523729.db2.gz QYHHCALPXFNMCY-NWDGAFQWSA-N 0 3 314.267 4.324 20 0 DIADHN CN(Cc1ccc(F)c(Cl)c1)C[C@@H]1CCC2(CCC2)CO1 ZINC001459955915 1062525181 /nfs/dbraw/zinc/52/51/81/1062525181.db2.gz TZJNEJIRGQEKGP-AWEZNQCLSA-N 0 3 311.828 4.260 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3CCCC[C@H](C)C3)n2)cc1F ZINC001459962996 1062530707 /nfs/dbraw/zinc/53/07/07/1062530707.db2.gz SPCFJTVGEUBSGQ-GXTWGEPZSA-N 0 3 317.408 4.367 20 0 DIADHN CCCCCCN1CCN([C@H]2CCC[C@H](C(F)(F)F)C2)CC1 ZINC001255714881 1062539911 /nfs/dbraw/zinc/53/99/11/1062539911.db2.gz UDNXSHNQYZFNCZ-HOTGVXAUSA-N 0 3 320.443 4.305 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001459983292 1062544573 /nfs/dbraw/zinc/54/45/73/1062544573.db2.gz CNNNREZHWONYMX-CABCVRRESA-N 0 3 310.869 4.117 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1ccc(Cl)cn1 ZINC001255727446 1062547059 /nfs/dbraw/zinc/54/70/59/1062547059.db2.gz DOZBVJIHELMFQP-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCCCC2(C)C)co1 ZINC001459985644 1062547526 /nfs/dbraw/zinc/54/75/26/1062547526.db2.gz GWBZYYOGBQBSLF-KRWDZBQOSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N[C@H]1COc2ccc(F)cc21 ZINC001255729200 1062550526 /nfs/dbraw/zinc/55/05/26/1062550526.db2.gz FXWWMLVJYHTSHE-BZNIZROVSA-N 0 3 321.392 4.169 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2c(F)ccc(C)c2F)C1(CC)CC ZINC001459990434 1062550522 /nfs/dbraw/zinc/55/05/22/1062550522.db2.gz QURHWEOXBYFNCV-JKSUJKDBSA-N 0 3 311.416 4.347 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@@H](C)c1ccc(F)cn1 ZINC001255728081 1062551267 /nfs/dbraw/zinc/55/12/67/1062551267.db2.gz MJGAREKTVCNOEN-RYUDHWBXSA-N 0 3 308.397 4.191 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cccnc1Cl ZINC001255728580 1062551598 /nfs/dbraw/zinc/55/15/98/1062551598.db2.gz WCKZJDYKASBGIR-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN COc1ccc(F)c(CN[C@@H](C)CSc2ccc(F)cc2)c1 ZINC001255735635 1062555774 /nfs/dbraw/zinc/55/57/74/1062555774.db2.gz KBSKTAVVIRIPHY-LBPRGKRZSA-N 0 3 323.408 4.244 20 0 DIADHN COc1ccc(CN[C@H](C)CSc2ccc(F)cc2)c(F)c1 ZINC001255735494 1062556467 /nfs/dbraw/zinc/55/64/67/1062556467.db2.gz GVRWNOXQNOTYLE-GFCCVEGCSA-N 0 3 323.408 4.244 20 0 DIADHN CC(=O)NCCN(CCC1CCCCCC1)Cc1ccsc1 ZINC001460005539 1062564604 /nfs/dbraw/zinc/56/46/04/1062564604.db2.gz VXCXSUKNCJZMLZ-UHFFFAOYSA-N 0 3 322.518 4.047 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cnc(Cl)s2)cc1 ZINC001255749841 1062567326 /nfs/dbraw/zinc/56/73/26/1062567326.db2.gz JRSILFSNSUIYIK-NSHDSACASA-N 0 3 312.891 4.375 20 0 DIADHN CCCCCN1CCN([C@H](C)CSc2ccc(F)cc2)CC1 ZINC001255745358 1062570111 /nfs/dbraw/zinc/57/01/11/1062570111.db2.gz TZNIRMSDFXJAIP-MRXNPFEDSA-N 0 3 324.509 4.114 20 0 DIADHN Cc1ccc(SC[C@H](C)Nc2cccc(F)c2CN)cc1 ZINC001255750556 1062571849 /nfs/dbraw/zinc/57/18/49/1062571849.db2.gz SAGPHVCALATJIJ-ZDUSSCGKSA-N 0 3 304.434 4.186 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cccc(Cl)n2)cc1 ZINC001255753236 1062574959 /nfs/dbraw/zinc/57/49/59/1062574959.db2.gz QFDILDLJFVKPET-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CCN(C3CCCC3)CC2)cc1 ZINC001255767483 1062587667 /nfs/dbraw/zinc/58/76/67/1062587667.db2.gz MPRAQVZFMBLXGK-QGZVFWFLSA-N 0 3 318.530 4.036 20 0 DIADHN Cc1ccc(SC[C@H](C)N(CCO)Cc2cccs2)cc1 ZINC001255767317 1062587838 /nfs/dbraw/zinc/58/78/38/1062587838.db2.gz JCQDPCJOLNFXLW-HNNXBMFYSA-N 0 3 321.511 4.032 20 0 DIADHN Cc1ccc(SC[C@@H](C)N(C)Cc2ccc(C)nc2)cc1 ZINC001255770750 1062592832 /nfs/dbraw/zinc/59/28/32/1062592832.db2.gz ODJGYNGMSCXKOM-MRXNPFEDSA-N 0 3 300.471 4.311 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@@H](C)Cc1ccc(C)cc1 ZINC001255778627 1062599180 /nfs/dbraw/zinc/59/91/80/1062599180.db2.gz XRDRJIPFJXCCAS-ZDUSSCGKSA-N 0 3 305.368 4.003 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H](C)Cc1ccc(C)cc1 ZINC001255780523 1062603639 /nfs/dbraw/zinc/60/36/39/1062603639.db2.gz NSQJRKCBSDLBMC-CABCVRRESA-N 0 3 301.405 4.425 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@H](C)C[C@@H]2c2ccccc2)c1 ZINC001460065842 1062611778 /nfs/dbraw/zinc/61/17/78/1062611778.db2.gz ACPQRBHDCSBRLP-YLJYHZDGSA-N 0 3 322.452 4.325 20 0 DIADHN CCO[C@@H](CN(CCC(F)(F)F)Cc1ccccc1)C1CC1 ZINC001460065516 1062612354 /nfs/dbraw/zinc/61/23/54/1062612354.db2.gz NOLPXOYRQYEDTN-INIZCTEOSA-N 0 3 315.379 4.256 20 0 DIADHN CC(C)c1cccc(CN2CCN(C)[C@@H](Cc3ccccc3)C2)c1 ZINC001460071487 1062615813 /nfs/dbraw/zinc/61/58/13/1062615813.db2.gz ODFMECISMQCLDY-QFIPXVFZSA-N 0 3 322.496 4.169 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1CCOc2c(F)cc(F)cc21 ZINC001255839319 1062623818 /nfs/dbraw/zinc/62/38/18/1062623818.db2.gz UWUZTSVQDJQKAJ-DIFFPNOSSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@H]1COc2ccc(Cl)cc21 ZINC001255838289 1062625649 /nfs/dbraw/zinc/62/56/49/1062625649.db2.gz NSYRQGYZNHBCNE-ZBEGNZNMSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](Cc1ccccc1F)N1CC[C@H]1COCc1ccccc1 ZINC001255851390 1062632186 /nfs/dbraw/zinc/63/21/86/1062632186.db2.gz NOKDJKXBCMNVJI-APWZRJJASA-N 0 3 313.416 4.048 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCN(C)C2(CCCCC2)C1 ZINC001460133175 1062653346 /nfs/dbraw/zinc/65/33/46/1062653346.db2.gz RMJMQSZNORMXDG-CQSZACIVSA-N 0 3 324.871 4.490 20 0 DIADHN COc1c(C)cnc(CN2Cc3cc(C)ccc3CC2(C)C)c1C ZINC001460144816 1062660572 /nfs/dbraw/zinc/66/05/72/1062660572.db2.gz LNSRTECBRWDPSH-UHFFFAOYSA-N 0 3 324.468 4.352 20 0 DIADHN COC/C(C)=C/CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC001460146286 1062663489 /nfs/dbraw/zinc/66/34/89/1062663489.db2.gz VSUPIBBKJFXJKW-XNWJVHIKSA-N 0 3 311.878 4.099 20 0 DIADHN CC(C)[C@H]1CN(Cc2c(Cl)oc3ccccc32)CCCO1 ZINC001460153739 1062667159 /nfs/dbraw/zinc/66/71/59/1062667159.db2.gz VFAVTGVMFDIHPI-MRXNPFEDSA-N 0 3 307.821 4.333 20 0 DIADHN C[C@H](CN1CC(C)(C)O[C@](C)(C(F)(F)F)C1)CC(C)(C)C ZINC001460158432 1062670019 /nfs/dbraw/zinc/67/00/19/1062670019.db2.gz RBZANEIYFKSDQI-WFASDCNBSA-N 0 3 309.416 4.491 20 0 DIADHN CCCCN(Cc1nnc(C(C)(C)C)[nH]1)[C@H]1CCC[C@@H](C)C1 ZINC001460171465 1062677860 /nfs/dbraw/zinc/67/78/60/1062677860.db2.gz BWVAYGIDDJWCJX-CABCVRRESA-N 0 3 306.498 4.283 20 0 DIADHN CC(C)CC1(C)CCN(CC(=O)N(C2CC2)C2CCCC2)CC1 ZINC001460195732 1062693570 /nfs/dbraw/zinc/69/35/70/1062693570.db2.gz KXOVFTLRDYMJJY-UHFFFAOYSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccnc(CN(C)[C@@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC001460199093 1062696205 /nfs/dbraw/zinc/69/62/05/1062696205.db2.gz WOICGBPVNUKYAZ-RTBURBONSA-N 0 3 310.441 4.068 20 0 DIADHN NCc1nn([C@H]2CCC[C@H](c3ccccc3)C2)c2ccccc12 ZINC001256106389 1062704862 /nfs/dbraw/zinc/70/48/62/1062704862.db2.gz HMHDARCLIHXMSZ-IRXDYDNUSA-N 0 3 305.425 4.394 20 0 DIADHN FCCCCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC001460218306 1062705100 /nfs/dbraw/zinc/70/51/00/1062705100.db2.gz XOGVYPMUMMMMDP-OAHLLOKOSA-N 0 3 309.816 4.493 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCC[C@H](c3ccccc3)C1)C(=O)N2 ZINC001256107553 1062706107 /nfs/dbraw/zinc/70/61/07/1062706107.db2.gz YRKACIVZQKUEEE-QKLQHJQFSA-N 0 3 320.436 4.304 20 0 DIADHN CCC[C@H](NCc1nc(-c2ccc(F)c(C)c2)no1)C1CCC1 ZINC001460225894 1062706603 /nfs/dbraw/zinc/70/66/03/1062706603.db2.gz VDBXHDRHCNPJQE-INIZCTEOSA-N 0 3 317.408 4.243 20 0 DIADHN NCc1ccc2c(n1)N([C@H]1CCC[C@H](c3ccccc3)C1)CCC2 ZINC001256108281 1062708509 /nfs/dbraw/zinc/70/85/09/1062708509.db2.gz HUSKRYBMMDZXFJ-ICSRJNTNSA-N 0 3 321.468 4.019 20 0 DIADHN CC(=O)CNC1(c2ccc(Br)c(C)c2)CCCCC1 ZINC001460246831 1062729015 /nfs/dbraw/zinc/72/90/15/1062729015.db2.gz VLQDICPNXOILIO-UHFFFAOYSA-N 0 3 324.262 4.096 20 0 DIADHN COc1cccc(C[C@H](C)N2CCc3ccc(Cl)cc3C2)c1 ZINC001256156824 1062734872 /nfs/dbraw/zinc/73/48/72/1062734872.db2.gz QFJYJFIYAAHRIM-AWEZNQCLSA-N 0 3 315.844 4.338 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC[C@@H](F)CC1 ZINC001256184297 1062742005 /nfs/dbraw/zinc/74/20/05/1062742005.db2.gz YCNKEBZQIQRFJP-IUODEOHRSA-N 0 3 314.242 4.204 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCCCC12CC2 ZINC001256192478 1062747738 /nfs/dbraw/zinc/74/77/38/1062747738.db2.gz YGJRZCFIDDPUSG-CYBMUJFWSA-N 0 3 308.263 4.399 20 0 DIADHN COc1cc(C)nc(CN2CC(C)(C)C[C@H]2c2ccccc2)c1 ZINC001460279279 1062753414 /nfs/dbraw/zinc/75/34/14/1062753414.db2.gz YIBSQTZRXVAXMD-IBGZPJMESA-N 0 3 310.441 4.372 20 0 DIADHN C[C@H]1CCCN(CCC(C)(C)C2CC2)[C@H]1C(=O)OC(C)(C)C ZINC001460285848 1062757011 /nfs/dbraw/zinc/75/70/11/1062757011.db2.gz NMNRLQPEELYYBQ-GOEBONIOSA-N 0 3 309.494 4.255 20 0 DIADHN CC[C@H](NC(=O)CN(C)CCC(C)(C)C)c1ccc(Cl)cc1 ZINC001460293767 1062764201 /nfs/dbraw/zinc/76/42/01/1062764201.db2.gz GWGFQIFNSFXFHL-INIZCTEOSA-N 0 3 324.896 4.275 20 0 DIADHN CC(C)N(C[C@H]1COc2ccccc2O1)[C@@H](C)c1ccsc1 ZINC001460307887 1062774188 /nfs/dbraw/zinc/77/41/88/1062774188.db2.gz YMKDMTSAUGTIBY-HOCLYGCPSA-N 0 3 317.454 4.360 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccccc1N1CCCC1 ZINC001256332956 1062780785 /nfs/dbraw/zinc/78/07/85/1062780785.db2.gz YJCYYCDWBVYBIN-HIFRSBDPSA-N 0 3 312.379 4.060 20 0 DIADHN CC(C)CC[C@@H](C)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001256356037 1062782247 /nfs/dbraw/zinc/78/22/47/1062782247.db2.gz HFLXIPPYPZYZJC-GFCCVEGCSA-N 0 3 301.352 4.302 20 0 DIADHN CC(C)CC[C@@H](C)NCc1cn(Cc2ccc(Cl)cc2)cn1 ZINC001256358183 1062785367 /nfs/dbraw/zinc/78/53/67/1062785367.db2.gz CVYBJWDIVVNLOC-OAHLLOKOSA-N 0 3 319.880 4.499 20 0 DIADHN Cc1cc(CN2CCc3ccc(Cl)cc3[C@H](C)C2)ccn1 ZINC001460339787 1062793796 /nfs/dbraw/zinc/79/37/96/1062793796.db2.gz PPLZSGOQNVXKDD-CYBMUJFWSA-N 0 3 300.833 4.205 20 0 DIADHN CC[C@@H]1CN(C[C@@H](C)CSc2ccccc2C)[C@@H](C)CO1 ZINC001460341196 1062795452 /nfs/dbraw/zinc/79/54/52/1062795452.db2.gz RAVUDDVCKKXQNS-HYVNUMGLSA-N 0 3 307.503 4.223 20 0 DIADHN CC(C)(C)OC(=O)CN(CC1CC1)CC1CCC(C)(C)CC1 ZINC001460351679 1062800057 /nfs/dbraw/zinc/80/00/57/1062800057.db2.gz MHHNQHYZZRRAHQ-UHFFFAOYSA-N 0 3 309.494 4.257 20 0 DIADHN C[C@H](Cc1ccccn1)NCc1cc(F)c(Cl)cc1Cl ZINC001256395023 1062801869 /nfs/dbraw/zinc/80/18/69/1062801869.db2.gz CMVFOHWQDYUERH-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001256402363 1062808240 /nfs/dbraw/zinc/80/82/40/1062808240.db2.gz HTEKKGHTYUAZGL-QAPCUYQASA-N 0 3 323.465 4.043 20 0 DIADHN CCCCCC[C@H](O)CN1CCC[C@@H]1c1ccc(OC)c(F)c1 ZINC001460363273 1062810162 /nfs/dbraw/zinc/81/01/62/1062810162.db2.gz JRIGWIGTDPZBPW-FUHWJXTLSA-N 0 3 323.452 4.303 20 0 DIADHN CC(C)[C@H](NCCOC1CCSCC1)c1ccccc1F ZINC001460367387 1062814819 /nfs/dbraw/zinc/81/48/19/1062814819.db2.gz WWNGTZRAIZECJS-KRWDZBQOSA-N 0 3 311.466 4.025 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC001256423353 1062816476 /nfs/dbraw/zinc/81/64/76/1062816476.db2.gz CDGWATUBJWYRFU-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN CCOC1CCC(N2Cc3cccc(Br)c3C2)CC1 ZINC001256442250 1062827849 /nfs/dbraw/zinc/82/78/49/1062827849.db2.gz JISKFHRWHWLEQT-UHFFFAOYSA-N 0 3 324.262 4.112 20 0 DIADHN Fc1ccc(C2CCN(Cc3cc4ccccc4o3)CC2)nc1 ZINC001460470355 1062868811 /nfs/dbraw/zinc/86/88/11/1062868811.db2.gz JHIXRMFPJDBQQW-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN CCCCC[C@@H]1CCCCN1CC(=O)Nc1ccc(C)cc1 ZINC001460486787 1062875443 /nfs/dbraw/zinc/87/54/43/1062875443.db2.gz HMLYKXALVORFDL-GOSISDBHSA-N 0 3 302.462 4.368 20 0 DIADHN CCc1ccc(CNC(C)(C)c2ccc(OC)c(F)c2)cc1 ZINC001460486270 1062876589 /nfs/dbraw/zinc/87/65/89/1062876589.db2.gz PYQSMHXPMMNDAW-UHFFFAOYSA-N 0 3 301.405 4.422 20 0 DIADHN Nc1nc(CN2CCC[C@H](C3CCCCC3)C2)nc2ccccc12 ZINC001460515887 1062893787 /nfs/dbraw/zinc/89/37/87/1062893787.db2.gz VKMPLTSPLJBSFE-INIZCTEOSA-N 0 3 324.472 4.004 20 0 DIADHN Cc1cc2oc(=O)cc(CN3CC[C@@H]4C[C@@H]4C3)c2cc1C(C)C ZINC001460521988 1062896173 /nfs/dbraw/zinc/89/61/73/1062896173.db2.gz YDRLMTZNQXGKPM-HUUCEWRRSA-N 0 3 311.425 4.067 20 0 DIADHN CCC(CC)CCCN(C)CC(=O)N(C)CC1CCCCC1 ZINC001460527010 1062900524 /nfs/dbraw/zinc/90/05/24/1062900524.db2.gz HTRPSIZELCGRHR-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN Cc1cccc([C@H](C)N2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1 ZINC001460548038 1062906046 /nfs/dbraw/zinc/90/60/46/1062906046.db2.gz ZYNICDOFQGKXFU-YYWHXJBOSA-N 0 3 306.453 4.015 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1C)C(=O)N(C)c1ccc(C)cc1 ZINC001460562207 1062912773 /nfs/dbraw/zinc/91/27/73/1062912773.db2.gz XYGQMXKYKQLNPD-XLIONFOSSA-N 0 3 324.468 4.396 20 0 DIADHN C[C@@H](CN(C)Cc1cc(C(F)(F)F)nn1C)C1CCCCC1 ZINC001460598151 1062926096 /nfs/dbraw/zinc/92/60/96/1062926096.db2.gz JWKVFTCPHPMLDO-LBPRGKRZSA-N 0 3 317.399 4.087 20 0 DIADHN CC(C)N(C(=O)CN(C)C[C@H](C)C1CCCCC1)C1CCCC1 ZINC001460603043 1062929941 /nfs/dbraw/zinc/92/99/41/1062929941.db2.gz XCWRRSNOWRDEAP-KRWDZBQOSA-N 0 3 322.537 4.314 20 0 DIADHN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NCc1cc(Cl)cc(Cl)c1F ZINC001460622314 1062941306 /nfs/dbraw/zinc/94/13/06/1062941306.db2.gz NIKJCJYOUDBTNM-GMAGZHIISA-N 0 3 306.208 4.034 20 0 DIADHN CC1(C)[C@@H](c2ccccc2F)CCN1Cc1ccnc(F)c1 ZINC001460636446 1062954093 /nfs/dbraw/zinc/95/40/93/1062954093.db2.gz BCFAOGNSHBUTGN-OAHLLOKOSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1ccncc1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001256744896 1062960188 /nfs/dbraw/zinc/96/01/88/1062960188.db2.gz AWVHMZFKCSJINW-YJBOKZPZSA-N 0 3 320.440 4.050 20 0 DIADHN CC(C)(N[C@H]1CCO[C@@H](c2ccccc2)C1)C(=O)c1ccccc1 ZINC001256786015 1062976983 /nfs/dbraw/zinc/97/69/83/1062976983.db2.gz MWUKEYYJBSMSOP-RBUKOAKNSA-N 0 3 323.436 4.158 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC001256786859 1062977746 /nfs/dbraw/zinc/97/77/46/1062977746.db2.gz QWTMQACTVKHKKR-DOTOQJQBSA-N 0 3 321.342 4.114 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c1F ZINC001256787773 1062978230 /nfs/dbraw/zinc/97/82/30/1062978230.db2.gz XLATUCSYFQPADJ-SUMWQHHRSA-N 0 3 321.342 4.114 20 0 DIADHN CCCCOC(=O)[C@@H](C)N1[C@H](C)C[C@H](c2ccccc2)C1(C)C ZINC001460683613 1062983221 /nfs/dbraw/zinc/98/32/21/1062983221.db2.gz MEATWLHJXGTDHF-JFIYKMOQSA-N 0 3 317.473 4.375 20 0 DIADHN CO[C@]1(C(F)(F)F)CCN(CCc2ccc3ccccc3c2)C1 ZINC001460690605 1062987106 /nfs/dbraw/zinc/98/71/06/1062987106.db2.gz XABIZSCEMWYARU-QGZVFWFLSA-N 0 3 323.358 4.036 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cnc(Cl)cn3)C2(C)C)cc1 ZINC001460698816 1062994539 /nfs/dbraw/zinc/99/45/39/1062994539.db2.gz UQEYKOUFCARGKJ-MRXNPFEDSA-N 0 3 315.848 4.207 20 0 DIADHN CCCC1(CCC)CCCN1Cc1nc([C@@H](C)OCC)no1 ZINC001460719380 1063008963 /nfs/dbraw/zinc/00/89/63/1063008963.db2.gz DPYUDZLTTLMKQX-CQSZACIVSA-N 0 3 309.454 4.102 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC(CF)CC1 ZINC001256844913 1063014732 /nfs/dbraw/zinc/01/47/32/1063014732.db2.gz RBBGURKPWDSYFZ-GFCCVEGCSA-N 0 3 314.242 4.062 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1CC[C@@H]2[C@H]1CCCN2C ZINC001471687602 1063015354 /nfs/dbraw/zinc/01/53/54/1063015354.db2.gz UGMPLZOTKMHJCR-GUDVDZBRSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H]1c2ccc(F)cc2CCN1Cc1cccc2ncccc12 ZINC001460729420 1063021134 /nfs/dbraw/zinc/02/11/34/1063021134.db2.gz YXLUDSOBIWQKKO-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN Cc1ccccc1[C@H](C)N1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC001460732081 1063025298 /nfs/dbraw/zinc/02/52/98/1063025298.db2.gz SGBUOTCGUDJBNX-IPYPFGDCSA-N 0 3 301.352 4.098 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC001460734914 1063029984 /nfs/dbraw/zinc/02/99/84/1063029984.db2.gz XCKIFIMRJBXFDL-BBRMVZONSA-N 0 3 315.379 4.352 20 0 DIADHN COC(=O)c1csc(CN2CC[C@H](C)[C@@H]2c2ccccc2)c1 ZINC001460750700 1063039312 /nfs/dbraw/zinc/03/93/12/1063039312.db2.gz ZHQIGWZUKAAPHN-SUMWQHHRSA-N 0 3 315.438 4.118 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001278982132 1063071826 /nfs/dbraw/zinc/07/18/26/1063071826.db2.gz WKPVNMYNIQRBPA-ROUUACIJSA-N 0 3 322.537 4.362 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001278982133 1063072270 /nfs/dbraw/zinc/07/22/70/1063072270.db2.gz WKPVNMYNIQRBPA-ZWKOTPCHSA-N 0 3 322.537 4.362 20 0 DIADHN Cc1csc(C[C@@H]2CCN(Cc3ccccc3Cl)C2)n1 ZINC001460835646 1063092269 /nfs/dbraw/zinc/09/22/69/1063092269.db2.gz QGTPWEIMTIFIMD-ZDUSSCGKSA-N 0 3 306.862 4.170 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@@H]2c2ccc(Cl)cc2)C1 ZINC001257062538 1063095990 /nfs/dbraw/zinc/09/59/90/1063095990.db2.gz MBCPYEHUXFXUMC-DJIMGWMZSA-N 0 3 321.848 4.209 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@H]2c2ccc(Cl)cc2)C1 ZINC001257062541 1063096185 /nfs/dbraw/zinc/09/61/85/1063096185.db2.gz MBCPYEHUXFXUMC-PVAVHDDUSA-N 0 3 321.848 4.209 20 0 DIADHN OCC[C@@H](NCc1nc(C2CCCCC2)cs1)C1CCCC1 ZINC001460852080 1063100409 /nfs/dbraw/zinc/10/04/09/1063100409.db2.gz NYWOMYFKLRFEQO-MRXNPFEDSA-N 0 3 322.518 4.222 20 0 DIADHN CCOC(=O)[C@H]1CC[C@@H](N2CCC[C@@H]2c2cccc(Cl)c2)C1 ZINC001257072861 1063100408 /nfs/dbraw/zinc/10/04/08/1063100408.db2.gz XOAPNNXGZVRQDO-USXIJHARSA-N 0 3 321.848 4.209 20 0 DIADHN CCCC[C@H](C)N(CCCC)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001478194657 1063101407 /nfs/dbraw/zinc/10/14/07/1063101407.db2.gz RFBIBAHOTKWNRH-YESZJQIVSA-N 0 3 323.525 4.002 20 0 DIADHN C[C@@H]1C[C@@H](NC2c3ccccc3Oc3ccccc32)C[C@H](C)O1 ZINC001257078284 1063102710 /nfs/dbraw/zinc/10/27/10/1063102710.db2.gz HLCFQDHBXCZINF-QDMKHBRRSA-N 0 3 309.409 4.427 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1C1C[C@@H](C)O[C@H](C)C1 ZINC001257078413 1063103284 /nfs/dbraw/zinc/10/32/84/1063103284.db2.gz KIAPEMNKUYMENN-IIAWOOMASA-N 0 3 315.461 4.211 20 0 DIADHN C[C@@H]1CC(NC2c3ccccc3Oc3ccccc32)C[C@@H](C)O1 ZINC001257078285 1063103681 /nfs/dbraw/zinc/10/36/81/1063103681.db2.gz HLCFQDHBXCZINF-ZIAGYGMSSA-N 0 3 309.409 4.427 20 0 DIADHN C[C@@H]1C[C@@H](N(C)Cc2cccc(Cl)c2Cl)C[C@H](C)O1 ZINC001257097139 1063109372 /nfs/dbraw/zinc/10/93/72/1063109372.db2.gz MNOXVVMEAFCGAH-PTEHBNRSSA-N 0 3 302.245 4.381 20 0 DIADHN C[C@@H]1C[C@@H](N2CCc3c(cccc3C(F)(F)F)C2)C[C@H](C)O1 ZINC001257102170 1063112427 /nfs/dbraw/zinc/11/24/27/1063112427.db2.gz LBKJWGTZHFQWHK-DABQJJPHSA-N 0 3 313.363 4.020 20 0 DIADHN C[C@@]1(C2CC2)COCCN1Cc1ccc(-c2cccs2)cc1 ZINC001460904447 1063126205 /nfs/dbraw/zinc/12/62/05/1063126205.db2.gz GPSZLIINLHDZLT-IBGZPJMESA-N 0 3 313.466 4.416 20 0 DIADHN C[C@]1(C2CC2)COCCN1Cc1ccc(-c2cccs2)cc1 ZINC001460904452 1063127321 /nfs/dbraw/zinc/12/73/21/1063127321.db2.gz GPSZLIINLHDZLT-LJQANCHMSA-N 0 3 313.466 4.416 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H]2CCOC23CCCC3)c1 ZINC001460912321 1063131296 /nfs/dbraw/zinc/13/12/96/1063131296.db2.gz XJKWCUAQTHKCCK-AWEZNQCLSA-N 0 3 300.229 4.185 20 0 DIADHN CCCCC1(NCC(=O)Nc2c(C)cc(C)cc2Cl)CC1 ZINC001460921962 1063136283 /nfs/dbraw/zinc/13/62/83/1063136283.db2.gz WDBMPUUHXMJWNW-UHFFFAOYSA-N 0 3 308.853 4.208 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@H](Cc2nccs2)C1 ZINC001460929690 1063140076 /nfs/dbraw/zinc/14/00/76/1063140076.db2.gz NQVHXWVYOIZIKH-OLZOCXBDSA-N 0 3 306.862 4.422 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2ccc(Cl)nc2)cc1 ZINC001460935006 1063143667 /nfs/dbraw/zinc/14/36/67/1063143667.db2.gz KSQQXTORYINNRM-INIZCTEOSA-N 0 3 316.832 4.038 20 0 DIADHN Cc1ccc(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)cc1F ZINC001460946249 1063150406 /nfs/dbraw/zinc/15/04/06/1063150406.db2.gz IZFVVEWJANPHEE-VLIAUNLRSA-N 0 3 313.416 4.009 20 0 DIADHN OC[C@]1(c2ccccc2)CCCN1Cc1cccc(C(F)F)c1 ZINC001460957318 1063158598 /nfs/dbraw/zinc/15/85/98/1063158598.db2.gz KZNRZFGGAAQZKJ-IBGZPJMESA-N 0 3 317.379 4.108 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCCC[C@]12CCOC2 ZINC001460958434 1063159099 /nfs/dbraw/zinc/15/90/99/1063159099.db2.gz DZMSFOAAPWVMJM-GOSISDBHSA-N 0 3 316.832 4.033 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc2c1CN(CCC1CC1)CC2 ZINC001460975028 1063166973 /nfs/dbraw/zinc/16/69/73/1063166973.db2.gz AOQANGWKUZKNMY-UHFFFAOYSA-N 0 3 316.445 4.192 20 0 DIADHN CN(C)[C@@H](CNCc1c(F)ccc(F)c1Cl)c1ccccc1 ZINC001460997205 1063171810 /nfs/dbraw/zinc/17/18/10/1063171810.db2.gz YOECUYOULRVWNR-INIZCTEOSA-N 0 3 324.802 4.011 20 0 DIADHN COc1ccc2cc(CC[C@H](C)n3ccc([C@@H](C)N)n3)ccc2c1 ZINC001257274861 1063177050 /nfs/dbraw/zinc/17/70/50/1063177050.db2.gz MAJCBRJWMPGJTA-LSDHHAIUSA-N 0 3 323.440 4.258 20 0 DIADHN CCCNCc1c(Cl)n(Cc2ccccc2)nc1C(C)C ZINC001461001134 1063177550 /nfs/dbraw/zinc/17/75/50/1063177550.db2.gz JIQBILUYINTXFU-UHFFFAOYSA-N 0 3 305.853 4.208 20 0 DIADHN Cc1cccc(OCCNCc2ccsc2C(F)(F)F)c1 ZINC001461004298 1063181457 /nfs/dbraw/zinc/18/14/57/1063181457.db2.gz QOINABPQZDQZEN-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN COc1ccc2cc(CNCc3ccccc3N(C)C)ccc2c1 ZINC001461011079 1063186602 /nfs/dbraw/zinc/18/66/02/1063186602.db2.gz GKJITWCDVNTCKA-UHFFFAOYSA-N 0 3 320.436 4.204 20 0 DIADHN CC(C)c1ccc(CNCc2ccc(NC(=O)C3CC3)cc2)cc1 ZINC001461013694 1063188783 /nfs/dbraw/zinc/18/87/83/1063188783.db2.gz ZZPBBYYLYFCJIQ-UHFFFAOYSA-N 0 3 322.452 4.448 20 0 DIADHN Brc1ccc(CN[C@@H](c2ccccc2)C2CC2)cn1 ZINC001461019848 1063193882 /nfs/dbraw/zinc/19/38/82/1063193882.db2.gz BFSSCEOZGYIEQZ-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN CCC[C@@H](C)N1CCCC[C@@H]1C(=O)Nc1c(C)cccc1C ZINC001257317180 1063202961 /nfs/dbraw/zinc/20/29/61/1063202961.db2.gz SUHJAGWXYWWZLR-IAGOWNOFSA-N 0 3 302.462 4.285 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNC[C@H]1C[C@H]1C ZINC001461051162 1063217564 /nfs/dbraw/zinc/21/75/64/1063217564.db2.gz GYRDKXAOVMNNAJ-UKRRQHHQSA-N 0 3 317.864 4.197 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1C1CCCC1 ZINC001257349747 1063224641 /nfs/dbraw/zinc/22/46/41/1063224641.db2.gz ZXPJSNYLHALLGL-KRWDZBQOSA-N 0 3 300.446 4.039 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001461062282 1063225276 /nfs/dbraw/zinc/22/52/76/1063225276.db2.gz NZLLPHMCCKZYSZ-HNNXBMFYSA-N 0 3 311.425 4.087 20 0 DIADHN Cc1ccc(CNCCOc2ccc3ccccc3c2)c(F)c1 ZINC001461080150 1063238881 /nfs/dbraw/zinc/23/88/81/1063238881.db2.gz ZBBVSAXCNRXMQT-UHFFFAOYSA-N 0 3 309.384 4.456 20 0 DIADHN Fc1cccc(OCCNCc2ccc(Cl)cc2Cl)c1 ZINC001461078841 1063239145 /nfs/dbraw/zinc/23/91/45/1063239145.db2.gz OKBZLRJHDPDQLN-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN CCCCCC1CCC(N[C@@H]2c3ccccc3CNC2=O)CC1 ZINC001257374493 1063242724 /nfs/dbraw/zinc/24/27/24/1063242724.db2.gz AHIOHWQBKPFEFB-GXOAENINSA-N 0 3 314.473 4.086 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccccc1F ZINC001257396952 1063250832 /nfs/dbraw/zinc/25/08/32/1063250832.db2.gz IRBSQPHCQIVYHW-CRAIPNDOSA-N 0 3 321.436 4.475 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCSc2ccccc2C1 ZINC001257403333 1063252437 /nfs/dbraw/zinc/25/24/37/1063252437.db2.gz SIDVZPASULIYTI-OAHLLOKOSA-N 0 3 321.486 4.106 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCCC[C@H]1c1cccnc1 ZINC001257399169 1063253708 /nfs/dbraw/zinc/25/37/08/1063253708.db2.gz XLZKWJXQBXWWIJ-WMZOPIPTSA-N 0 3 318.461 4.121 20 0 DIADHN CCC(C)(C)C1CCC(N2CCC[C@@]3(CCC(=O)O3)C2)CC1 ZINC001257407755 1063257875 /nfs/dbraw/zinc/25/78/75/1063257875.db2.gz QGLNIMKJJQZDKK-LADRWXRNSA-N 0 3 307.478 4.153 20 0 DIADHN CCCC[C@@H](CC)NCC(=O)c1ccc(Br)cc1 ZINC001257408552 1063259678 /nfs/dbraw/zinc/25/96/78/1063259678.db2.gz BRPYFTYNUQNNAR-CQSZACIVSA-N 0 3 312.251 4.190 20 0 DIADHN CCC(C)(C)C1CCC(N2CC(N3CCC(F)CC3)C2)CC1 ZINC001257407894 1063260040 /nfs/dbraw/zinc/26/00/40/1063260040.db2.gz UGBPBYNGZOJENM-UHFFFAOYSA-N 0 3 310.501 4.100 20 0 DIADHN Cc1scc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)c1C ZINC001461113966 1063265403 /nfs/dbraw/zinc/26/54/03/1063265403.db2.gz MDNWFBALSJYACI-ROUUACIJSA-N 0 3 301.455 4.375 20 0 DIADHN CC[C@H](NC[C@H]1CCCC(C)(C)C1)c1nnc2n1CCCCC2 ZINC001461129314 1063279717 /nfs/dbraw/zinc/27/97/17/1063279717.db2.gz QHHGFZQMHUZYFX-HOTGVXAUSA-N 0 3 318.509 4.262 20 0 DIADHN COc1ccc(-c2nc(CNC3CCCCC3)cs2)cc1 ZINC001257449518 1063280601 /nfs/dbraw/zinc/28/06/01/1063280601.db2.gz GLROWFYQIXJMAV-UHFFFAOYSA-N 0 3 302.443 4.241 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc2c(c1)CCCC2 ZINC001461153998 1063296637 /nfs/dbraw/zinc/29/66/37/1063296637.db2.gz KAJULRWAIWSZJC-UHFFFAOYSA-N 0 3 308.469 4.311 20 0 DIADHN CCC[C@H](C)CC[C@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001257479818 1063301867 /nfs/dbraw/zinc/30/18/67/1063301867.db2.gz AKHYZAGWBPDJJE-STQMWFEESA-N 0 3 307.400 4.435 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1ccn(CC(F)(F)F)n1 ZINC001257479147 1063302028 /nfs/dbraw/zinc/30/20/28/1063302028.db2.gz YXWAZHRHFPTNSW-CHWSQXEVSA-N 0 3 305.388 4.140 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)n1nc(CN)c2cc(OC)ccc21 ZINC001257479020 1063302062 /nfs/dbraw/zinc/30/20/62/1063302062.db2.gz YFNYMUQSNOMKTH-KGLIPLIRSA-N 0 3 303.450 4.281 20 0 DIADHN Cc1cc(CNCc2cccc(N(C)C)c2)ccc1C(F)(F)F ZINC001461165472 1063302614 /nfs/dbraw/zinc/30/26/14/1063302614.db2.gz SDXXXMYYMOVZDD-UHFFFAOYSA-N 0 3 322.374 4.370 20 0 DIADHN CCCCC[C@@H](C)NCC(=O)c1ccc(Br)cc1 ZINC001257498749 1063312903 /nfs/dbraw/zinc/31/29/03/1063312903.db2.gz PPRYPFOLQDXWHP-GFCCVEGCSA-N 0 3 312.251 4.190 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(F)cccc2OC(F)(F)F)CC1 ZINC001461179260 1063314108 /nfs/dbraw/zinc/31/41/08/1063314108.db2.gz IJLCMOHWSJGDKN-XYPYZODXSA-N 0 3 305.315 4.393 20 0 DIADHN CC(C)(C)c1csc(CCNCc2cscc2Cl)n1 ZINC001461187372 1063319289 /nfs/dbraw/zinc/31/92/89/1063319289.db2.gz DOUVHUZQDOPMAB-UHFFFAOYSA-N 0 3 314.907 4.488 20 0 DIADHN CCCCC[C@H](C)NCc1cn(Cc2ccccc2F)cn1 ZINC001257505962 1063319906 /nfs/dbraw/zinc/31/99/06/1063319906.db2.gz KRQBOCTUSGDNDT-HNNXBMFYSA-N 0 3 303.425 4.129 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1ccc(Cl)c(C)c1Cl ZINC001461196893 1063324519 /nfs/dbraw/zinc/32/45/19/1063324519.db2.gz JLBXQWDEBGAVTQ-ZUZCIYMTSA-N 0 3 304.261 4.189 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1ccc(Cl)c(C)c1Cl ZINC001461196889 1063325296 /nfs/dbraw/zinc/32/52/96/1063325296.db2.gz JLBXQWDEBGAVTQ-MEBBXXQBSA-N 0 3 304.261 4.189 20 0 DIADHN Fc1ccc(OC(F)F)c(CN[C@H]2C[C@@H]2Cc2ccccc2)c1 ZINC001461221651 1063344562 /nfs/dbraw/zinc/34/45/62/1063344562.db2.gz JDKVEZXBSRLLIU-BBRMVZONSA-N 0 3 321.342 4.148 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC=CCC3)cs2)cc1 ZINC001461219310 1063344706 /nfs/dbraw/zinc/34/47/06/1063344706.db2.gz QHFSBARLZVNFMZ-CQSZACIVSA-N 0 3 300.427 4.017 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc3c(s2)CCCC3)s1 ZINC001461226273 1063347226 /nfs/dbraw/zinc/34/72/26/1063347226.db2.gz XSUNXXFOLGETQT-NSHDSACASA-N 0 3 306.500 4.113 20 0 DIADHN CCc1ccccc1CNCc1cn(C)nc1C1CCCCC1 ZINC001461230603 1063353234 /nfs/dbraw/zinc/35/32/34/1063353234.db2.gz JFAXTVQSBNBWKW-UHFFFAOYSA-N 0 3 311.473 4.320 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001461250450 1063365447 /nfs/dbraw/zinc/36/54/47/1063365447.db2.gz WLLMMVBHVTZOTK-IAQYHMDHSA-N 0 3 320.235 4.426 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001461250453 1063367213 /nfs/dbraw/zinc/36/72/13/1063367213.db2.gz WLLMMVBHVTZOTK-NHYWBVRUSA-N 0 3 320.235 4.426 20 0 DIADHN CC[C@@H](CNCc1cc(F)ccc1F)Oc1ccccc1C ZINC001461257830 1063368992 /nfs/dbraw/zinc/36/89/92/1063368992.db2.gz NSDUIKAGHAUNLT-INIZCTEOSA-N 0 3 305.368 4.220 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1cccc2nccn21 ZINC001461272903 1063380386 /nfs/dbraw/zinc/38/03/86/1063380386.db2.gz CPMZXYYRFANVGV-GOSISDBHSA-N 0 3 323.440 4.220 20 0 DIADHN CCC1(CNCc2cnn(Cc3ccccc3)c2Cl)CCC1 ZINC001461289642 1063394894 /nfs/dbraw/zinc/39/48/94/1063394894.db2.gz XQWODMWOVFROSL-UHFFFAOYSA-N 0 3 317.864 4.255 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1C[C@H]1COC2(CCCCC2)O1 ZINC001473105646 1063402075 /nfs/dbraw/zinc/40/20/75/1063402075.db2.gz PNPRGXBBCNGSQW-GOEBONIOSA-N 0 3 321.486 4.133 20 0 DIADHN CCCCN(Cc1c2ccccc2oc1C(=O)OCC)C1CC1 ZINC001473106120 1063404636 /nfs/dbraw/zinc/40/46/36/1063404636.db2.gz KAHYYMBOGQAASH-UHFFFAOYSA-N 0 3 315.413 4.374 20 0 DIADHN Brc1ccc2oc(CN[C@H]3C=CCCC3)cc2c1 ZINC001461305891 1063404895 /nfs/dbraw/zinc/40/48/95/1063404895.db2.gz BISHGMJBHCVOEW-ZDUSSCGKSA-N 0 3 306.203 4.394 20 0 DIADHN C[C@@H]1CC[C@H](CN(Cc2ccccc2C(F)(F)F)C2CC2)O1 ZINC001473110023 1063408808 /nfs/dbraw/zinc/40/88/08/1063408808.db2.gz JTJBFYIBHLYQJM-IUODEOHRSA-N 0 3 313.363 4.237 20 0 DIADHN CCCNC(=O)CN(CCC)[C@@H](C)c1ccc(C(C)C)cc1 ZINC001473114152 1063415747 /nfs/dbraw/zinc/41/57/47/1063415747.db2.gz VPWUYULHIIITEZ-INIZCTEOSA-N 0 3 304.478 4.109 20 0 DIADHN CC(C)N(Cc1ccc([Si](C)(C)C)cc1)Cc1ccccn1 ZINC001473114616 1063415915 /nfs/dbraw/zinc/41/59/15/1063415915.db2.gz BQKHQKDZUNGRKI-UHFFFAOYSA-N 0 3 312.533 4.037 20 0 DIADHN CC(C)OC1CCC(NCc2nccc(Cl)c2Cl)CC1 ZINC001257875506 1063418068 /nfs/dbraw/zinc/41/80/68/1063418068.db2.gz WYPVXTOZIZPAJE-UHFFFAOYSA-N 0 3 317.260 4.214 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CC[C@H](c2ccccc2)C1 ZINC001473123660 1063427777 /nfs/dbraw/zinc/42/77/77/1063427777.db2.gz FZMURMLACLTUSW-AZUAARDMSA-N 0 3 322.452 4.202 20 0 DIADHN CCCN(CCc1cccc(C)n1)Cc1ccc(F)cc1F ZINC001473127019 1063432685 /nfs/dbraw/zinc/43/26/85/1063432685.db2.gz JTVHKHAMHPLIDD-UHFFFAOYSA-N 0 3 304.384 4.123 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC001473128222 1063435162 /nfs/dbraw/zinc/43/51/62/1063435162.db2.gz PACSUALXDNPVFW-ZIAGYGMSSA-N 0 3 303.425 4.206 20 0 DIADHN CC(C)N(CCCC(=O)OC(C)(C)C)Cc1ccccc1F ZINC001473130231 1063436954 /nfs/dbraw/zinc/43/69/54/1063436954.db2.gz VAOGJEVDPYKRGD-UHFFFAOYSA-N 0 3 309.425 4.158 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)NCCC(C)(C)C ZINC001473132329 1063439933 /nfs/dbraw/zinc/43/99/33/1063439933.db2.gz WIPYHVHSODFBAH-QGZVFWFLSA-N 0 3 304.478 4.012 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CCC(C)(CC)CC1 ZINC001473134523 1063441839 /nfs/dbraw/zinc/44/18/39/1063441839.db2.gz AGQZGYGHYIMLME-QGZVFWFLSA-N 0 3 302.462 4.224 20 0 DIADHN Cc1ncc(CCNCc2cccc(Cl)c2Cl)s1 ZINC001461360288 1063442338 /nfs/dbraw/zinc/44/23/38/1063442338.db2.gz APAXMZVOQUNXRR-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nccn2-c2ccccc2)C1 ZINC001473136331 1063443739 /nfs/dbraw/zinc/44/37/39/1063443739.db2.gz WHDJHENRGGGBQX-GOSISDBHSA-N 0 3 317.436 4.170 20 0 DIADHN c1ccc(CSCCCN2CCOc3ccccc3C2)cc1 ZINC001473136784 1063444171 /nfs/dbraw/zinc/44/41/71/1063444171.db2.gz JIEKUUGKYIAURY-UHFFFAOYSA-N 0 3 313.466 4.205 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1CCC3(CCC3)O1)C2 ZINC001473136508 1063444718 /nfs/dbraw/zinc/44/47/18/1063444718.db2.gz GQJWLDPJDRREEI-IBGZPJMESA-N 0 3 313.485 4.444 20 0 DIADHN CC[C@@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1ccc(C)o1 ZINC001257952844 1063446156 /nfs/dbraw/zinc/44/61/56/1063446156.db2.gz KTJGVCASGALNBE-LSDHHAIUSA-N 0 3 305.805 4.286 20 0 DIADHN C[C@H](N[C@H]1COc2ccc(Cl)cc2C1)c1cc(F)ccc1F ZINC001257953657 1063446276 /nfs/dbraw/zinc/44/62/76/1063446276.db2.gz CQQQPEHDABFKID-IINYFYTJSA-N 0 3 323.770 4.273 20 0 DIADHN CN1CCN([C@@H]2CCc3c2cccc3Cl)Cc2ccccc21 ZINC001473145175 1063449616 /nfs/dbraw/zinc/44/96/16/1063449616.db2.gz DTAIQJCLILJLMO-LJQANCHMSA-N 0 3 312.844 4.279 20 0 DIADHN COc1cc(CN(C)Cc2cccc(C(C)(C)C)c2)ccc1O ZINC001473152802 1063456012 /nfs/dbraw/zinc/45/60/12/1063456012.db2.gz KJRALGUVSRWWMD-UHFFFAOYSA-N 0 3 313.441 4.330 20 0 DIADHN CCS[C@H]1CCCCN(Cc2cc(OC)ccc2Cl)C1 ZINC001473157818 1063460352 /nfs/dbraw/zinc/46/03/52/1063460352.db2.gz AHNDGXYIGGDZAT-HNNXBMFYSA-N 0 3 313.894 4.456 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccnn1CC1CCC1 ZINC001461387480 1063466688 /nfs/dbraw/zinc/46/66/88/1063466688.db2.gz MWQZZWIVWDYYBL-MGPUTAFESA-N 0 3 309.457 4.096 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccnn1CC1CCC1 ZINC001461387474 1063467915 /nfs/dbraw/zinc/46/79/15/1063467915.db2.gz MWQZZWIVWDYYBL-FOIQADDNSA-N 0 3 309.457 4.096 20 0 DIADHN CC[C@H](CSC)NCc1csc(-c2ccc(OC)cc2)n1 ZINC001461393686 1063469541 /nfs/dbraw/zinc/46/95/41/1063469541.db2.gz XPFPVFIEUUPAEM-CYBMUJFWSA-N 0 3 322.499 4.050 20 0 DIADHN CC[C@H](NCc1cnn(C2CCC2)c1)c1ccc(C)c(F)c1 ZINC001461390875 1063470046 /nfs/dbraw/zinc/47/00/46/1063470046.db2.gz KJBPTLUGKRQIBM-SFHVURJKSA-N 0 3 301.409 4.297 20 0 DIADHN CC[C@@H](C)CN(C)Cc1cc(Br)cc(F)c1F ZINC001473169232 1063472588 /nfs/dbraw/zinc/47/25/88/1063472588.db2.gz XSHIFIKSNWWIAO-SECBINFHSA-N 0 3 306.194 4.205 20 0 DIADHN CCC1(CC)[C@H](NCc2ccc(F)c(F)c2F)[C@@H](C)[C@@H]1OC ZINC001461394757 1063472764 /nfs/dbraw/zinc/47/27/64/1063472764.db2.gz RTHDCNNOVLTKRO-GTTSAUCSSA-N 0 3 315.379 4.033 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1cc(O)cc(C(F)(F)F)c1 ZINC001473171985 1063475950 /nfs/dbraw/zinc/47/59/50/1063475950.db2.gz BEWJKNOOGHFMHI-NWDGAFQWSA-N 0 3 301.352 4.422 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1scnc1Cl ZINC001473172753 1063477152 /nfs/dbraw/zinc/47/71/52/1063477152.db2.gz HNLMILZAYJUDBB-CQSZACIVSA-N 0 3 306.862 4.312 20 0 DIADHN CC[C@@H](NCc1cc2cccc(C)c2nc1Cl)[C@@H]1CCCO1 ZINC001461413373 1063483937 /nfs/dbraw/zinc/48/39/37/1063483937.db2.gz NBYLIKBCVUZUEC-CVEARBPZSA-N 0 3 318.848 4.244 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001473179172 1063485208 /nfs/dbraw/zinc/48/52/08/1063485208.db2.gz PIOWPGYOXVQOHS-TZMCWYRMSA-N 0 3 311.372 4.409 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001473179165 1063485976 /nfs/dbraw/zinc/48/59/76/1063485976.db2.gz PIOWPGYOXVQOHS-GXTWGEPZSA-N 0 3 311.372 4.409 20 0 DIADHN CO[C@H](CN1CCc2cccc(F)c2[C@@H]1C)C1CCCCC1 ZINC001473182428 1063488609 /nfs/dbraw/zinc/48/86/09/1063488609.db2.gz DJWZETJIZRUMFK-KBXCAEBGSA-N 0 3 305.437 4.340 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1ccc(OC)cc1C)CCO2 ZINC001461421563 1063488847 /nfs/dbraw/zinc/48/88/47/1063488847.db2.gz WXUGRZSSVALBIP-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN CO[C@@H](CN1CCc2cccc(F)c2[C@H]1C)C1CCCCC1 ZINC001473182429 1063490559 /nfs/dbraw/zinc/49/05/59/1063490559.db2.gz DJWZETJIZRUMFK-KDOFPFPSSA-N 0 3 305.437 4.340 20 0 DIADHN c1ccc(C[C@@H]2CCN(Cc3nsc4ccccc34)C2)cc1 ZINC001473185143 1063494332 /nfs/dbraw/zinc/49/43/32/1063494332.db2.gz NZSGVXMYDFTYAD-INIZCTEOSA-N 0 3 308.450 4.361 20 0 DIADHN C[C@@H]1CCCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001473187573 1063496140 /nfs/dbraw/zinc/49/61/40/1063496140.db2.gz STKLJOAEOWMZRA-ZETOZRRWSA-N 0 3 302.245 4.147 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)n1nc(-c2ccncc2)cc1CN ZINC001258069121 1063496690 /nfs/dbraw/zinc/49/66/90/1063496690.db2.gz XDPLDYBNBSZDJH-LSDHHAIUSA-N 0 3 300.450 4.037 20 0 DIADHN CCOc1ccc(Cl)cc1CNCC(C)(C)C(F)(F)F ZINC001461457632 1063518303 /nfs/dbraw/zinc/51/83/03/1063518303.db2.gz ZAQRQYUDIDUZLV-UHFFFAOYSA-N 0 3 309.759 4.417 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CCSC2)cc1Cl ZINC001461486550 1063534094 /nfs/dbraw/zinc/53/40/94/1063534094.db2.gz RSFDNTIPXZSSNU-JTQLQIEISA-N 0 3 320.683 4.006 20 0 DIADHN COc1cc(CNCc2ccc3[nH]c(C)cc3c2)ccc1Cl ZINC001461488195 1063534618 /nfs/dbraw/zinc/53/46/18/1063534618.db2.gz PZIMTOJNSKFIPC-UHFFFAOYSA-N 0 3 314.816 4.428 20 0 DIADHN COc1cccc(-c2nc(CNC3C[C@H](C)C[C@@H](C)C3)co2)c1 ZINC001258161989 1063537361 /nfs/dbraw/zinc/53/73/61/1063537361.db2.gz VTBYCYGMRMEIOC-ZIAGYGMSSA-N 0 3 314.429 4.265 20 0 DIADHN C[C@H]1CC(N(Cc2ccccn2)Cc2ccccn2)C[C@H](C)C1 ZINC001258162122 1063539420 /nfs/dbraw/zinc/53/94/20/1063539420.db2.gz HMGOQVWAVHQUPG-IAGOWNOFSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1ccc(C(F)(F)F)cc1F ZINC001258178043 1063546485 /nfs/dbraw/zinc/54/64/85/1063546485.db2.gz CYKXDZLLBDODFG-JTQLQIEISA-N 0 3 301.283 4.158 20 0 DIADHN COc1cc(Cl)cc([C@@H](C)N[C@@H](C)Cc2ccco2)c1OC ZINC001258181748 1063548537 /nfs/dbraw/zinc/54/85/37/1063548537.db2.gz SNYJLGAMLGVWNA-NWDGAFQWSA-N 0 3 323.820 4.232 20 0 DIADHN C[C@@H](Cc1ccco1)N(Cc1ccccc1)Cc1cccnc1 ZINC001258191390 1063554250 /nfs/dbraw/zinc/55/42/50/1063554250.db2.gz FJGDSTHTUBNIKM-KRWDZBQOSA-N 0 3 306.409 4.308 20 0 DIADHN C[C@H](Cc1ccco1)N1CCc2cccc(C(F)(F)F)c2C1 ZINC001258194542 1063556106 /nfs/dbraw/zinc/55/61/06/1063556106.db2.gz IZGSVDPZCNVRGQ-GFCCVEGCSA-N 0 3 309.331 4.288 20 0 DIADHN CC(C)c1ccc(C(=O)NC[C@@H]2CCN2C(C)(C)C)cc1Cl ZINC001461530408 1063561135 /nfs/dbraw/zinc/56/11/35/1063561135.db2.gz SGCDXIXCTRMBBC-AWEZNQCLSA-N 0 3 322.880 4.066 20 0 DIADHN CC(C)[C@@H](CC1CCCCC1)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001461531978 1063565078 /nfs/dbraw/zinc/56/50/78/1063565078.db2.gz WVMLPBHHBPPFBB-QZTJIDSGSA-N 0 3 322.537 4.218 20 0 DIADHN Cc1ccc(CCNCc2ccc(Cl)c(C)c2Cl)nc1 ZINC001461557543 1063580712 /nfs/dbraw/zinc/58/07/12/1063580712.db2.gz FECYBIWODVATMQ-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN CC1(C)[C@@H](CNCc2ncc(Cl)s2)[C@@H]1c1ccccc1 ZINC001461566974 1063582331 /nfs/dbraw/zinc/58/23/31/1063582331.db2.gz SUIIAGQDGZRTBQ-WFASDCNBSA-N 0 3 306.862 4.326 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+][C@@H]2CCC[C@H](C(C)(C)C)CC2)[n-]1 ZINC001461564709 1063583108 /nfs/dbraw/zinc/58/31/08/1063583108.db2.gz JSPRLHIGYDQBBO-UONOGXRCSA-N 0 3 306.498 4.187 20 0 DIADHN COc1ccc(-c2nc(CN[C@]3(C)CC=CCC3)cs2)cc1 ZINC001461576817 1063588732 /nfs/dbraw/zinc/58/87/32/1063588732.db2.gz VQXSJIUVBHKNCS-GOSISDBHSA-N 0 3 314.454 4.407 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCCC(=O)CC1 ZINC001258306384 1063600278 /nfs/dbraw/zinc/60/02/78/1063600278.db2.gz AZLFWCVEYRREFN-AWEZNQCLSA-N 0 3 309.475 4.125 20 0 DIADHN C[C@@H](N)c1ccn([C@@H](C)CC(C)(C)SCc2ccco2)n1 ZINC001258304023 1063601208 /nfs/dbraw/zinc/60/12/08/1063601208.db2.gz YOLATXJVPWVOEO-QWHCGFSZSA-N 0 3 307.463 4.159 20 0 DIADHN CCc1cccc(F)c1CNCCC(C)(C)C(=O)OC(C)(C)C ZINC001461594779 1063602479 /nfs/dbraw/zinc/60/24/79/1063602479.db2.gz QADQMHXGUBXXFW-UHFFFAOYSA-N 0 3 323.452 4.236 20 0 DIADHN OCc1ccc(CNCc2ccc3oc4ccccc4c3c2)cc1 ZINC001461597308 1063603855 /nfs/dbraw/zinc/60/38/55/1063603855.db2.gz ZWKCXVVJSFGUSL-UHFFFAOYSA-N 0 3 317.388 4.368 20 0 DIADHN Cc1cc(F)c(CNCCC[C@@H]2CC2(Cl)Cl)c(F)c1 ZINC001461604686 1063607355 /nfs/dbraw/zinc/60/73/55/1063607355.db2.gz JKPSKRURIAEMMN-SNVBAGLBSA-N 0 3 308.199 4.337 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001461608625 1063609585 /nfs/dbraw/zinc/60/95/85/1063609585.db2.gz XSSLBLNMXPUFLY-HNNXBMFYSA-N 0 3 323.864 4.320 20 0 DIADHN CSC(C)(C)C[C@@H](C)n1nc(-c2ccccc2)cc1CN ZINC001258322052 1063610972 /nfs/dbraw/zinc/61/09/72/1063610972.db2.gz XABNZMSBYXVHJP-CYBMUJFWSA-N 0 3 303.475 4.102 20 0 DIADHN Fc1ccc(Oc2nc3ccccn3c2CN[C@@H]2C=CCC2)cc1 ZINC001461620081 1063617828 /nfs/dbraw/zinc/61/78/28/1063617828.db2.gz XHOKVCWSEHFZIU-OAHLLOKOSA-N 0 3 323.371 4.074 20 0 DIADHN CCOc1ccccc1CNCCO[C@@H](CC)c1ccccc1 ZINC001461623022 1063621577 /nfs/dbraw/zinc/62/15/77/1063621577.db2.gz KTRMEOHEIFRPSM-IBGZPJMESA-N 0 3 313.441 4.343 20 0 DIADHN CCOc1ccccc1CNCCO[C@H](CC)c1ccccc1 ZINC001461623274 1063621633 /nfs/dbraw/zinc/62/16/33/1063621633.db2.gz KTRMEOHEIFRPSM-LJQANCHMSA-N 0 3 313.441 4.343 20 0 DIADHN Cc1[nH]c2ccc(F)cc2c1CCNCc1c(F)cccc1F ZINC001461675675 1063650913 /nfs/dbraw/zinc/65/09/13/1063650913.db2.gz MFOIVHUUIRGRCF-UHFFFAOYSA-N 0 3 318.342 4.226 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN[C@@]12C[C@@H]1CCCC2 ZINC001461680768 1063654298 /nfs/dbraw/zinc/65/42/98/1063654298.db2.gz BTINCZHPHSCEIF-GUYCJALGSA-N 0 3 300.427 4.248 20 0 DIADHN Cn1c2ccc(C(F)(F)F)cc2nc1CN[C@@]12C[C@@H]1CCCC2 ZINC001461682852 1063654491 /nfs/dbraw/zinc/65/44/91/1063654491.db2.gz IPLDLLLMLNKQDT-LRDDRELGSA-N 0 3 323.362 4.014 20 0 DIADHN COc1cncc(CN[C@H]2CCCc3ccc(Cl)cc32)c1C ZINC001461682552 1063654979 /nfs/dbraw/zinc/65/49/79/1063654979.db2.gz JWRDHTNQAKMFFT-KRWDZBQOSA-N 0 3 316.832 4.219 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC001515236143 1063659550 /nfs/dbraw/zinc/65/95/50/1063659550.db2.gz UIVDTURVMPPJEQ-ZWKOTPCHSA-N 0 3 316.489 4.153 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCCCCC1CC1 ZINC001461697395 1063663674 /nfs/dbraw/zinc/66/36/74/1063663674.db2.gz IPRKYYCOSDASED-UHFFFAOYSA-N 0 3 318.205 4.397 20 0 DIADHN FC[C@H](N[C@@H]1CCCc2ccccc2C1)c1ccc(F)cc1 ZINC001461700945 1063665473 /nfs/dbraw/zinc/66/54/73/1063665473.db2.gz ZXHBLWBDFOQGLL-MOPGFXCFSA-N 0 3 301.380 4.374 20 0 DIADHN FC[C@H](N[C@H]1CCCc2ccccc2C1)c1ccc(F)cc1 ZINC001461700946 1063667028 /nfs/dbraw/zinc/66/70/28/1063667028.db2.gz ZXHBLWBDFOQGLL-OALUTQOASA-N 0 3 301.380 4.374 20 0 DIADHN CCOC(=O)CC[C@H](C)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC001258473427 1063669004 /nfs/dbraw/zinc/66/90/04/1063669004.db2.gz UCTSVZUKOBJKQE-QWRGUYRKSA-N 0 3 318.244 4.376 20 0 DIADHN CCOC(=O)CC[C@H](C)NC(c1ccccc1)c1ccccc1 ZINC001258473732 1063669895 /nfs/dbraw/zinc/66/98/95/1063669895.db2.gz XBYZLNGMDKZCHU-INIZCTEOSA-N 0 3 311.425 4.097 20 0 DIADHN C[C@H](NCc1cc2ccncc2s1)c1cc2cnccc2o1 ZINC001461703857 1063670108 /nfs/dbraw/zinc/67/01/08/1063670108.db2.gz STDNSYNHYSHXDC-NSHDSACASA-N 0 3 309.394 4.288 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC[C@H]1c1cccc(Cl)c1 ZINC001258502093 1063676118 /nfs/dbraw/zinc/67/61/18/1063676118.db2.gz DALGIBGNQLXNHD-BBRMVZONSA-N 0 3 309.837 4.209 20 0 DIADHN CC(C)[C@H](Cc1ccccc1)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001461760012 1063701582 /nfs/dbraw/zinc/70/15/82/1063701582.db2.gz KZAXIPKTLIIVIA-SFHVURJKSA-N 0 3 303.833 4.403 20 0 DIADHN CC(C)c1nc(CNCCC2(c3ccccc3)CC2)cs1 ZINC001461766297 1063705454 /nfs/dbraw/zinc/70/54/54/1063705454.db2.gz LOEHTTQXOHVAKB-UHFFFAOYSA-N 0 3 300.471 4.478 20 0 DIADHN C[C@@H](Cc1cccnc1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001258618571 1063713368 /nfs/dbraw/zinc/71/33/68/1063713368.db2.gz YPHIIJJGKWTUBC-YJBOKZPZSA-N 0 3 323.465 4.043 20 0 DIADHN C[C@@H](Cc1cccnc1)N1CCC[C@@H]1c1cc(F)ccc1F ZINC001258628551 1063716365 /nfs/dbraw/zinc/71/63/65/1063716365.db2.gz QXKFXTIKVVCVQR-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN Fc1ccc(CNCc2ccc(-c3cnco3)cc2)cc1Cl ZINC001461794562 1063720251 /nfs/dbraw/zinc/72/02/51/1063720251.db2.gz LSARPOABLDYTNF-UHFFFAOYSA-N 0 3 316.763 4.424 20 0 DIADHN CCC[C@@H](Cc1ccccc1)n1nc(CN)c2cc(OC)ccc21 ZINC001258654066 1063729215 /nfs/dbraw/zinc/72/92/15/1063729215.db2.gz HGRRVDOIOVSWOR-INIZCTEOSA-N 0 3 323.440 4.088 20 0 DIADHN CCc1ccc(CN[C@H]2C[C@]3(CCOC3)Oc3ccccc32)cc1 ZINC001461838915 1063742886 /nfs/dbraw/zinc/74/28/86/1063742886.db2.gz VIEQWQCMVKWOEK-FPOVZHCZSA-N 0 3 323.436 4.022 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1cccnc1F ZINC001258676031 1063745160 /nfs/dbraw/zinc/74/51/60/1063745160.db2.gz WWOOQVLRRQJCJS-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C1 ZINC001461843045 1063746028 /nfs/dbraw/zinc/74/60/28/1063746028.db2.gz GXHXHIINEWOLFY-INIZCTEOSA-N 0 3 315.844 4.211 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@H](C)CC[C@H]3C)n2)c1 ZINC001461841111 1063746988 /nfs/dbraw/zinc/74/69/88/1063746988.db2.gz FOKFNPBVONAJHP-ZIAGYGMSSA-N 0 3 316.470 4.439 20 0 DIADHN CC(C)c1ccccc1CN1CCN(Cc2ccccc2)CC1 ZINC001461848073 1063747801 /nfs/dbraw/zinc/74/78/01/1063747801.db2.gz YTGVJCHTJCICPJ-UHFFFAOYSA-N 0 3 308.469 4.128 20 0 DIADHN CC(C)O[C@@H]1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684209 1063748648 /nfs/dbraw/zinc/74/86/48/1063748648.db2.gz POVMRHICFYHKHQ-SWLSCSKDSA-N 0 3 316.272 4.424 20 0 DIADHN CC(C)O[C@@H]1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684208 1063749130 /nfs/dbraw/zinc/74/91/30/1063749130.db2.gz POVMRHICFYHKHQ-IUODEOHRSA-N 0 3 316.272 4.424 20 0 DIADHN CCCO[C@@H]1CCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258681494 1063749400 /nfs/dbraw/zinc/74/94/00/1063749400.db2.gz GDKGEAGIZAYEBC-IUODEOHRSA-N 0 3 316.272 4.425 20 0 DIADHN COc1cc(C[NH2+][C@@H]2C[C@H](C)CC(C)(C)C2)cc(Cl)c1[O-] ZINC001258690106 1063753719 /nfs/dbraw/zinc/75/37/19/1063753719.db2.gz OCGRJCIFRHHQEA-WCQYABFASA-N 0 3 311.853 4.359 20 0 DIADHN COc1cc(C)ccc1CN1CCC(OCC2CCCC2)CC1 ZINC001461863579 1063756188 /nfs/dbraw/zinc/75/61/88/1063756188.db2.gz GQUKGWLIQPDZJF-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN CN(CCC1CC1)Cc1cnc(-c2c(F)cccc2F)s1 ZINC001461865978 1063759614 /nfs/dbraw/zinc/75/96/14/1063759614.db2.gz VGSDERQSDGLZNV-UHFFFAOYSA-N 0 3 308.397 4.320 20 0 DIADHN CCCc1ccc(CN(C)[C@H](CCO)c2ccccc2)s1 ZINC001461870627 1063763810 /nfs/dbraw/zinc/76/38/10/1063763810.db2.gz FEBSHRBIIKLKSY-GOSISDBHSA-N 0 3 303.471 4.256 20 0 DIADHN COc1ccc(F)c(CN2CC[C@H](Cc3ccc(F)cc3)C2)c1 ZINC001461875171 1063766532 /nfs/dbraw/zinc/76/65/32/1063766532.db2.gz IDDKRWZTRAEBKG-OAHLLOKOSA-N 0 3 317.379 4.038 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cccc3ccccc32)c(C)n1 ZINC001461884160 1063775383 /nfs/dbraw/zinc/77/53/83/1063775383.db2.gz YSGSUMQPEKHLEQ-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN Cn1c(Cl)ncc1CN(Cc1ccccc1)C1CCCCC1 ZINC001461893349 1063780075 /nfs/dbraw/zinc/78/00/75/1063780075.db2.gz ZZZBZACNLVKRPW-UHFFFAOYSA-N 0 3 317.864 4.408 20 0 DIADHN COc1cc(CN2CCC(C)(c3ccccc3)CC2)ccc1F ZINC001461899219 1063787090 /nfs/dbraw/zinc/78/70/90/1063787090.db2.gz SGUWJDUAUIDGMB-UHFFFAOYSA-N 0 3 313.416 4.388 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N[C@H]1COc2ccc(F)cc21 ZINC001258738602 1063788327 /nfs/dbraw/zinc/78/83/27/1063788327.db2.gz ATLLAZWPUGPDBM-ZBEGNZNMSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)N[C@H]1CCc2ccccc2C1=O ZINC001258743923 1063792277 /nfs/dbraw/zinc/79/22/77/1063792277.db2.gz MIGROFSRLOWMTR-UGSOOPFHSA-N 0 3 313.828 4.058 20 0 DIADHN CCn1ccc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC001461911441 1063793333 /nfs/dbraw/zinc/79/33/33/1063793333.db2.gz HHLXBZHGECRXDR-ZIAGYGMSSA-N 0 3 305.853 4.180 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1cn(C2CC2)cn1 ZINC001461912147 1063793535 /nfs/dbraw/zinc/79/35/35/1063793535.db2.gz KNTQPPLFHSVKIO-KBPBESRZSA-N 0 3 317.864 4.495 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cc2cccc(OC3CC3)c2F)C1 ZINC001461911702 1063794415 /nfs/dbraw/zinc/79/44/15/1063794415.db2.gz DMTNLAWRXBMGFB-HIFRSBDPSA-N 0 3 309.450 4.083 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H](Nc3ccccc3)C2)c(F)c1 ZINC001461916262 1063796584 /nfs/dbraw/zinc/79/65/84/1063796584.db2.gz WOMDCDGCDZLZFD-GOSISDBHSA-N 0 3 316.395 4.084 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@@H](Nc2ccccc2)C1 ZINC001461915510 1063798651 /nfs/dbraw/zinc/79/86/51/1063798651.db2.gz UFGSRYPSPWDLHB-GOSISDBHSA-N 0 3 312.432 4.465 20 0 DIADHN CCOc1cncc(CN2CC[C@@](C)(CCc3ccccc3)C2)c1 ZINC001461917626 1063801610 /nfs/dbraw/zinc/80/16/10/1063801610.db2.gz HYXNDPQDSUSBIM-OAQYLSRUSA-N 0 3 324.468 4.325 20 0 DIADHN Cc1nc(F)ccc1CN1CC[C@@](C)(CCc2ccccc2)C1 ZINC001461920634 1063804602 /nfs/dbraw/zinc/80/46/02/1063804602.db2.gz RFZKTGDPTKGYGK-HXUWFJFHSA-N 0 3 312.432 4.374 20 0 DIADHN Cc1ccc(CN2CCN(c3cccc(Cl)c3)CC2)cc1C ZINC001461922107 1063806643 /nfs/dbraw/zinc/80/66/43/1063806643.db2.gz MSTPRFCMJDMQBL-UHFFFAOYSA-N 0 3 314.860 4.279 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2cnc3ccc(C)cn23)c(C)c1 ZINC001461940381 1063827236 /nfs/dbraw/zinc/82/72/36/1063827236.db2.gz TWTURNTVVFNIGG-QGZVFWFLSA-N 0 3 307.441 4.453 20 0 DIADHN Cc1ccnc(CN2CCC[C@H]2CC(C)C)c1Br ZINC001461940783 1063827835 /nfs/dbraw/zinc/82/78/35/1063827835.db2.gz IAJABAMUNCCCIV-ZDUSSCGKSA-N 0 3 311.267 4.163 20 0 DIADHN C[C@H]1CCC[C@H](NCc2ncc(Cl)cc2Br)C1 ZINC001258810931 1063840862 /nfs/dbraw/zinc/84/08/62/1063840862.db2.gz SKUAHOWAGBQMCU-ONGXEEELSA-N 0 3 317.658 4.166 20 0 DIADHN CC[C@@H]1CN(Cc2ccccc2N2CCCCC2)CCS1 ZINC001461956147 1063838465 /nfs/dbraw/zinc/83/84/65/1063838465.db2.gz FMPFEAMSCUQVPK-QGZVFWFLSA-N 0 3 304.503 4.004 20 0 DIADHN C[C@H]1CCC[C@@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001258807952 1063839246 /nfs/dbraw/zinc/83/92/46/1063839246.db2.gz VJCRODHIQWEEES-GXSJLCMTSA-N 0 3 306.759 4.422 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](CCCc3ccccc3)C2)cn1 ZINC001461961094 1063843145 /nfs/dbraw/zinc/84/31/45/1063843145.db2.gz SETCWAWDNSNKKI-LJQANCHMSA-N 0 3 323.484 4.274 20 0 DIADHN C[C@H]1CN(Cc2ccnc3c(Br)cccc23)C[C@@H]1C ZINC001461960074 1063843533 /nfs/dbraw/zinc/84/35/33/1063843533.db2.gz OFBBTFBUUZBXCZ-RYUDHWBXSA-N 0 3 319.246 4.085 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC[C@@H](C)C3)co2)cc1 ZINC001258814582 1063844920 /nfs/dbraw/zinc/84/49/20/1063844920.db2.gz LHPAJAXDMGIDLU-UKRRQHHQSA-N 0 3 300.402 4.019 20 0 DIADHN CN(CCCOc1ccccc1)Cc1cnc2c(F)cccc2c1 ZINC001461975625 1063854549 /nfs/dbraw/zinc/85/45/49/1063854549.db2.gz JDSVCEGWKOXSAV-UHFFFAOYSA-N 0 3 324.399 4.275 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC3(CCCC3)C2)cc(Cl)c1[O-] ZINC001461981624 1063856398 /nfs/dbraw/zinc/85/63/98/1063856398.db2.gz IWWPFOBDMYXTJM-UHFFFAOYSA-N 0 3 309.837 4.210 20 0 DIADHN CCOc1cc(C[N@H+]2CCC3(CCCC3)C2)cc(Cl)c1[O-] ZINC001461981624 1063856411 /nfs/dbraw/zinc/85/64/11/1063856411.db2.gz IWWPFOBDMYXTJM-UHFFFAOYSA-N 0 3 309.837 4.210 20 0 DIADHN COc1cc(CN2CCC3(CCCC3)C2)cc(C(F)(F)F)c1 ZINC001461979586 1063856674 /nfs/dbraw/zinc/85/66/74/1063856674.db2.gz AFDCKVAHGPBTJE-UHFFFAOYSA-N 0 3 313.363 4.480 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCC[C@H]2CCC[C@H]21 ZINC001461984845 1063858318 /nfs/dbraw/zinc/85/83/18/1063858318.db2.gz COQSJKIKYNOZBA-CRAIPNDOSA-N 0 3 313.420 4.084 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@H](CC(C)C)C2)cc1OC ZINC001461984456 1063860230 /nfs/dbraw/zinc/86/02/30/1063860230.db2.gz UOHIRRPCPOTACN-CYBMUJFWSA-N 0 3 311.853 4.225 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1c(F)ccc(OC)c1F ZINC001258838892 1063861048 /nfs/dbraw/zinc/86/10/48/1063861048.db2.gz MGIKXYQEJPXFHD-CQSZACIVSA-N 0 3 305.368 4.084 20 0 DIADHN CC(C)[C@@H]1CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)CCO1 ZINC001461995554 1063867727 /nfs/dbraw/zinc/86/77/27/1063867727.db2.gz KPEUSPOHKADIOL-CJNGLKHVSA-N 0 3 315.379 4.166 20 0 DIADHN Cc1ccc(CN(CCO)[C@H](C)c2nccc3ccccc32)cc1 ZINC001461999233 1063869384 /nfs/dbraw/zinc/86/93/84/1063869384.db2.gz XKCBWROQEKZXFL-QGZVFWFLSA-N 0 3 320.436 4.099 20 0 DIADHN CCc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCCO3)cc1 ZINC001462018231 1063879758 /nfs/dbraw/zinc/87/97/58/1063879758.db2.gz LNSXMOCMJBWUCF-IBGZPJMESA-N 0 3 323.436 4.357 20 0 DIADHN C[C@@H]1CCCCN1Cc1cnc(CCc2ccccc2)s1 ZINC001462017101 1063879801 /nfs/dbraw/zinc/87/98/01/1063879801.db2.gz QBHXGCBYLJNXBA-OAHLLOKOSA-N 0 3 300.471 4.303 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN(C)Cc1ccc(Cl)s1 ZINC001462019668 1063883035 /nfs/dbraw/zinc/88/30/35/1063883035.db2.gz LIXJDSWXJSLSKY-AWEZNQCLSA-N 0 3 309.862 4.091 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN(C)[C@@H]1CCSC1 ZINC001462021503 1063884000 /nfs/dbraw/zinc/88/40/00/1063884000.db2.gz WOEDJAVMEPCBSL-GOSISDBHSA-N 0 3 313.466 4.300 20 0 DIADHN CCSc1cccc(CN(C)Cc2ccc(OC)cc2)c1 ZINC001462024244 1063887396 /nfs/dbraw/zinc/88/73/96/1063887396.db2.gz PWXUIUXPBLDOKO-UHFFFAOYSA-N 0 3 301.455 4.439 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(N(C)C(C)C)nc1 ZINC001462031167 1063890935 /nfs/dbraw/zinc/89/09/35/1063890935.db2.gz MRUMWNXPVXDFTP-UHFFFAOYSA-N 0 3 311.473 4.338 20 0 DIADHN CN(Cc1ccc(C(C)(C)C)cc1)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001462031254 1063891950 /nfs/dbraw/zinc/89/19/50/1063891950.db2.gz NIXDOQVZKRQEPC-UHFFFAOYSA-N 0 3 323.440 4.198 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1cccc2c1CCOC2 ZINC001462037022 1063893676 /nfs/dbraw/zinc/89/36/76/1063893676.db2.gz GCZRRQJTTVXMNJ-UHFFFAOYSA-N 0 3 301.817 4.045 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc3nsnc32)cc1 ZINC001462038415 1063894805 /nfs/dbraw/zinc/89/48/05/1063894805.db2.gz YYKMGAIJHSEGQX-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN CCC[C@H](CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001462052107 1063901450 /nfs/dbraw/zinc/90/14/50/1063901450.db2.gz KWWZRFSJEJGFPR-CABCVRRESA-N 0 3 303.368 4.393 20 0 DIADHN CC[C@H](NCc1ccc(NC(=O)C2CC2)cc1)c1ccsc1 ZINC001261276777 1063918726 /nfs/dbraw/zinc/91/87/26/1063918726.db2.gz SKSAZLLEGQWYDW-KRWDZBQOSA-N 0 3 314.454 4.338 20 0 DIADHN CC(C)Cn1nccc1CN1CCCC2(CCCCC2)CC1 ZINC001462091622 1063924316 /nfs/dbraw/zinc/92/43/16/1063924316.db2.gz HBCMPMLCINAOAH-UHFFFAOYSA-N 0 3 303.494 4.476 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC001261480881 1063927742 /nfs/dbraw/zinc/92/77/42/1063927742.db2.gz CZQQERLPXDZVHJ-GHMZBOCLSA-N 0 3 316.207 4.011 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2c(Cl)nc3cc(Cl)ccn32)C1 ZINC001261480882 1063927811 /nfs/dbraw/zinc/92/78/11/1063927811.db2.gz CZQQERLPXDZVHJ-MNOVXSKESA-N 0 3 316.207 4.011 20 0 DIADHN CO[C@H]1CN(Cc2ccc(-c3cccc(F)c3F)o2)CC[C@@H]1C ZINC001462101476 1063930309 /nfs/dbraw/zinc/93/03/09/1063930309.db2.gz DANBYBPVEYQPSS-SJCJKPOMSA-N 0 3 321.367 4.082 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2CC[C@@H](C2)C1)c1nc(-c2cccc(F)c2)no1 ZINC001462119205 1063938517 /nfs/dbraw/zinc/93/85/17/1063938517.db2.gz HCPXIWYCQZXETP-JFILPPLUSA-N 0 3 315.392 4.105 20 0 DIADHN C[C@H]1CCc2nc(CNCC(C)(C)CC(F)F)sc2C1 ZINC001262031335 1063954094 /nfs/dbraw/zinc/95/40/94/1063954094.db2.gz OCKGAPFKJBNBKZ-JTQLQIEISA-N 0 3 302.434 4.039 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc3oc4ccccc4c3c2)cnn1C ZINC001262353501 1063964271 /nfs/dbraw/zinc/96/42/71/1063964271.db2.gz ZMBXKKIOBAYNDH-CYBMUJFWSA-N 0 3 319.408 4.479 20 0 DIADHN Cc1csc([C@H](N[C@@H]2C[C@H]2c2cccc(F)c2)C2CC2)n1 ZINC001262495121 1063966828 /nfs/dbraw/zinc/96/68/28/1063966828.db2.gz SROWLUYDWAIBDN-ARFHVFGLSA-N 0 3 302.418 4.187 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)c1 ZINC000603497590 1064004919 /nfs/dbraw/zinc/00/49/19/1064004919.db2.gz JNDWIHFASJGIIH-ZDUSSCGKSA-N 0 3 317.816 4.320 20 0 DIADHN C[C@@H](NCCN(C)Cc1ccccc1)c1ccc(F)cc1Cl ZINC000386927213 1064062775 /nfs/dbraw/zinc/06/27/75/1064062775.db2.gz SMIYURLVSQPLFT-CQSZACIVSA-N 0 3 320.839 4.262 20 0 DIADHN Brc1csc(CN2C[C@@H]3CCCC[C@H]3C2)c1 ZINC000390738413 1064167067 /nfs/dbraw/zinc/16/70/67/1064167067.db2.gz ADABPDHKBODQQJ-QWRGUYRKSA-N 0 3 300.265 4.133 20 0 DIADHN COc1ccc(Br)c(CN2CCC3(CCCC3)C2)c1 ZINC000388822680 1064117281 /nfs/dbraw/zinc/11/72/81/1064117281.db2.gz TYCQQEHJGIHYET-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001264802165 1064137455 /nfs/dbraw/zinc/13/74/55/1064137455.db2.gz TWXBUGCWPRFMQK-ZHALLVOQSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1nnc([C@H](C)N[C@H](C)CC(C)(C)c2ccccc2)s1 ZINC000391845986 1064205644 /nfs/dbraw/zinc/20/56/44/1064205644.db2.gz HGBWZVWYQANRDO-OLZOCXBDSA-N 0 3 303.475 4.254 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)[C@@]23C[C@@H]2CCN3CC2CC2)cc1 ZINC001279872968 1064369493 /nfs/dbraw/zinc/36/94/93/1064369493.db2.gz NYHFUNNKZKJTFZ-GZRFBZBPSA-N 0 3 312.457 4.013 20 0 DIADHN CN(C)[C@@H](CNC(=O)C[C@@H]1CCCCC1(C)C)c1ccccc1 ZINC001290199522 1064683791 /nfs/dbraw/zinc/68/37/91/1064683791.db2.gz PVOHTUAMTXMVNI-ROUUACIJSA-N 0 3 316.489 4.012 20 0 DIADHN CN(C)Cc1cccc(NC(=O)C[C@@H]2CCCCC2(C)C)c1 ZINC001290473332 1064693939 /nfs/dbraw/zinc/69/39/39/1064693939.db2.gz QOEZJPFLZXQTOZ-INIZCTEOSA-N 0 3 302.462 4.293 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](CC)c1ccc(Cl)cc1 ZINC001290469656 1064694569 /nfs/dbraw/zinc/69/45/69/1064694569.db2.gz SMWRDUXTDNGUHB-QGZVFWFLSA-N 0 3 324.896 4.419 20 0 DIADHN C[C@@H](C(=O)N[C@@H](c1ccccc1)C(C)(C)C)N1[C@H](C)CC[C@@H]1C ZINC001291719142 1064741799 /nfs/dbraw/zinc/74/17/99/1064741799.db2.gz SEJUVTMPZYKCHR-DFGXFYAUSA-N 0 3 316.489 4.151 20 0 DIADHN CC1=C(C)C[C@@H](C(=O)N(CCN(C)C)CC2CCCCC2)CC1 ZINC001292112072 1064760831 /nfs/dbraw/zinc/76/08/31/1064760831.db2.gz FMFRABFGOJRHFG-IBGZPJMESA-N 0 3 320.521 4.093 20 0 DIADHN CCCCC[C@H](CC)C(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001292262533 1064765204 /nfs/dbraw/zinc/76/52/04/1064765204.db2.gz XVNLTSWSNVTJTG-PKOBYXMFSA-N 0 3 318.505 4.320 20 0 DIADHN CC[C@H](C(=O)N[C@@H](CC1CCCCC1)c1ccccc1)N(C)C ZINC001292572394 1064780896 /nfs/dbraw/zinc/78/08/96/1064780896.db2.gz RQJJOHOOIGOXSI-RBUKOAKNSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc3c2CCCC3)c1 ZINC001295088559 1064901064 /nfs/dbraw/zinc/90/10/64/1064901064.db2.gz JEFXJMOYPFPLDO-UHFFFAOYSA-N 0 3 322.452 4.188 20 0 DIADHN C[C@H](C(=O)N[C@H]1CCC[C@@H](C(C)(C)C)CC1)N1CCCCCC1 ZINC001296514916 1064947420 /nfs/dbraw/zinc/94/74/20/1064947420.db2.gz GYQHDEPMWVTYOO-KURKYZTESA-N 0 3 322.537 4.362 20 0 DIADHN CN(CC(=O)N[C@H]1CCC[C@H](C(C)(C)C)CC1)CC(C)(C)C ZINC001296515849 1064947704 /nfs/dbraw/zinc/94/77/04/1064947704.db2.gz QLTFXMZCCLNTKK-HOTGVXAUSA-N 0 3 310.526 4.076 20 0 DIADHN CN(CC(=O)N[C@H]1CCC[C@@H](C(C)(C)C)CC1)CC(C)(C)C ZINC001296515848 1064948278 /nfs/dbraw/zinc/94/82/78/1064948278.db2.gz QLTFXMZCCLNTKK-CVEARBPZSA-N 0 3 310.526 4.076 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@H](C)N3[C@H](C)CC[C@H]3C)cc2c1C ZINC001296672712 1064954632 /nfs/dbraw/zinc/95/46/32/1064954632.db2.gz WPNZDNBAIKNIJM-BZPMIXESSA-N 0 3 314.429 4.249 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CC1(C)CCCC1 ZINC001298610417 1065012180 /nfs/dbraw/zinc/01/21/80/1065012180.db2.gz VGGAGSLMSLLJPH-UHFFFAOYSA-N 0 3 303.450 4.013 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)Nc1ccc(CN(C)C)cc1OC ZINC001299106144 1065031681 /nfs/dbraw/zinc/03/16/81/1065031681.db2.gz ORISPAUGTWLEBW-INIZCTEOSA-N 0 3 320.477 4.158 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001299106253 1065033025 /nfs/dbraw/zinc/03/30/25/1065033025.db2.gz QZPJBOQWEWHWET-KBPBESRZSA-N 0 3 320.477 4.014 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC001300913201 1065043863 /nfs/dbraw/zinc/04/38/63/1065043863.db2.gz GVPBCTGXHFDXGJ-LJQANCHMSA-N 0 3 312.457 4.095 20 0 DIADHN CCCCCCN(Cc1ccco1)C(=O)CN1[C@H](C)CC[C@H]1C ZINC001304793697 1065144041 /nfs/dbraw/zinc/14/40/41/1065144041.db2.gz YSBCUNMGOAUGGL-IAGOWNOFSA-N 0 3 320.477 4.061 20 0 DIADHN CCCCCCN(Cc1ccco1)C(=O)CN(C)CC(C)(C)C ZINC001304793745 1065144281 /nfs/dbraw/zinc/14/42/81/1065144281.db2.gz ZOLBOWRDCJBMDW-UHFFFAOYSA-N 0 3 322.493 4.166 20 0 DIADHN Cc1cccc2sc(NCCN3CCc4ccccc4C3)nc21 ZINC001306926451 1065207919 /nfs/dbraw/zinc/20/79/19/1065207919.db2.gz QQFBRQZJZQCCJA-UHFFFAOYSA-N 0 3 323.465 4.075 20 0 DIADHN CCOc1ccc(SCCN(C)Cc2ccc(F)cc2)cc1 ZINC001307279807 1065323602 /nfs/dbraw/zinc/32/36/02/1065323602.db2.gz OVPWNOIUKGCHOP-UHFFFAOYSA-N 0 3 319.445 4.449 20 0 DIADHN COC(=O)c1ccc(CN(C2CC2)C2CCC(C)CC2)c(F)c1 ZINC001307418312 1065380163 /nfs/dbraw/zinc/38/01/63/1065380163.db2.gz KZSGQBKJHTZXSC-UHFFFAOYSA-N 0 3 319.420 4.155 20 0 DIADHN CN(CCc1ccns1)Cc1cc(Cl)cc(Cl)c1 ZINC001307418758 1065380961 /nfs/dbraw/zinc/38/09/61/1065380961.db2.gz ZSWKWMBUMQJAAF-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN CCc1ccccc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC001307430684 1065391852 /nfs/dbraw/zinc/39/18/52/1065391852.db2.gz ILJKNYLZYILWLC-OAQYLSRUSA-N 0 3 309.453 4.430 20 0 DIADHN CCCN(CCn1cc(Cl)cn1)[C@@H](C)c1ccccc1OC ZINC001307434827 1065393620 /nfs/dbraw/zinc/39/36/20/1065393620.db2.gz DPUTULJVAIGNKF-AWEZNQCLSA-N 0 3 321.852 4.018 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)C[C@@H](O)CC1CCCC1 ZINC001307436304 1065397345 /nfs/dbraw/zinc/39/73/45/1065397345.db2.gz RHHWQPOTOOLVLP-KRWDZBQOSA-N 0 3 311.416 4.118 20 0 DIADHN COCCN(Cc1ccc(F)cc1C)[C@H](C)c1ccccc1 ZINC001307436843 1065397796 /nfs/dbraw/zinc/39/77/96/1065397796.db2.gz MKQAAZNTJWNHQY-MRXNPFEDSA-N 0 3 301.405 4.344 20 0 DIADHN CN(CCC1CCC1)Cc1cc(Br)ccc1F ZINC001307449024 1065406716 /nfs/dbraw/zinc/40/67/16/1065406716.db2.gz JBDISMLZNSDBHS-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C[C@@H]1CCCC[C@@H]1O)C1CC1 ZINC001307455264 1065410759 /nfs/dbraw/zinc/41/07/59/1065410759.db2.gz IZVPZTXRUSEXIM-GLJUWKHASA-N 0 3 307.865 4.417 20 0 DIADHN COc1cccc(SCCN(C)Cc2ccc(C)s2)c1 ZINC001307455769 1065410869 /nfs/dbraw/zinc/41/08/69/1065410869.db2.gz HEZXUMMARHAJDR-UHFFFAOYSA-N 0 3 307.484 4.289 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCCC[C@H]2C2OCCO2)cc1 ZINC001307458150 1065412701 /nfs/dbraw/zinc/41/27/01/1065412701.db2.gz NZLUMVZKFPVWDB-SFHVURJKSA-N 0 3 317.473 4.102 20 0 DIADHN Cc1ccc([C@H]2CSCCN2CCc2cccc(F)c2)cc1 ZINC001307466574 1065416823 /nfs/dbraw/zinc/41/68/23/1065416823.db2.gz RAOOSDZNEXJSKG-LJQANCHMSA-N 0 3 315.457 4.467 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)CC1(Br)CC1 ZINC001307469829 1065418737 /nfs/dbraw/zinc/41/87/37/1065418737.db2.gz LZJKTNWUYXIFEY-LLVKDONJSA-N 0 3 316.670 4.130 20 0 DIADHN CC(C)C[C@H]1COCCN1CCn1ccc2cc(Cl)ccc21 ZINC001307477074 1065423846 /nfs/dbraw/zinc/42/38/46/1065423846.db2.gz CDHRRLGITHHNEN-KRWDZBQOSA-N 0 3 320.864 4.042 20 0 DIADHN c1ccc([C@@H]2CSCCN2CCOC2CCCCC2)cc1 ZINC001307476341 1065423866 /nfs/dbraw/zinc/42/38/66/1065423866.db2.gz WJBAQROQFHXBIK-SFHVURJKSA-N 0 3 305.487 4.126 20 0 DIADHN Cc1ccc(CN(Cc2ccc3nonc3c2)C2CC2)cc1C ZINC001307487570 1065433064 /nfs/dbraw/zinc/43/30/64/1065433064.db2.gz BQEYSWSLAARTII-UHFFFAOYSA-N 0 3 307.397 4.004 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2cc3n(n2)CCCC3)cc1 ZINC001307495608 1065440224 /nfs/dbraw/zinc/44/02/24/1065440224.db2.gz PAIXXJXTHMDVRC-RTWAWAEBSA-N 0 3 323.484 4.296 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cccc(OC)c2Cl)C1 ZINC001307495656 1065440582 /nfs/dbraw/zinc/44/05/82/1065440582.db2.gz PNVGJNHFVGDLBG-KBPBESRZSA-N 0 3 313.894 4.455 20 0 DIADHN CCc1ccccc1CCN1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC001307497753 1065441978 /nfs/dbraw/zinc/44/19/78/1065441978.db2.gz QYMVPLDCXPOUFX-LAUBAEHRSA-N 0 3 309.453 4.254 20 0 DIADHN CC[C@H](NC(=O)CN1[C@H](C)CCC[C@@H]1C)c1ccc(Cl)cc1 ZINC001307503499 1065446548 /nfs/dbraw/zinc/44/65/48/1065446548.db2.gz HUWGJQWMUVCOQY-VBQJREDUSA-N 0 3 322.880 4.170 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001307502933 1065446946 /nfs/dbraw/zinc/44/69/46/1065446946.db2.gz AJPFSMMXTRPGOI-KPWCQOOUSA-N 0 3 305.384 4.187 20 0 DIADHN CCCN(Cc1n[nH]cc1C)[C@@H]1C[C@H](OCC)C12CCCCC2 ZINC001307502824 1065447121 /nfs/dbraw/zinc/44/71/21/1065447121.db2.gz FRCLWGMMMGVWEM-MSOLQXFVSA-N 0 3 319.493 4.058 20 0 DIADHN CCC(CC)N(Cc1nc(Cc2ccccc2)no1)CC(C)C ZINC001307510392 1065450334 /nfs/dbraw/zinc/45/03/34/1065450334.db2.gz JLXKQFXLYZDTIV-UHFFFAOYSA-N 0 3 315.461 4.307 20 0 DIADHN CC[C@H]1CCCN(C[C@@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001307514758 1065453343 /nfs/dbraw/zinc/45/33/43/1065453343.db2.gz FZAZXIXRKKEPDD-XHDPSFHLSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccc(F)cc1F ZINC001307518397 1065457699 /nfs/dbraw/zinc/45/76/99/1065457699.db2.gz CLHNNFHSQKIWLF-GOEBONIOSA-N 0 3 301.380 4.418 20 0 DIADHN c1ccc2nc(N3CCN(CCCC4CCCC4)CC3)ccc2c1 ZINC001307529344 1065467228 /nfs/dbraw/zinc/46/72/28/1065467228.db2.gz GIWGUFTVZJIBGO-UHFFFAOYSA-N 0 3 323.484 4.327 20 0 DIADHN Cc1nn(CC(C)C)c(Cl)c1[C@@H]1CCCN1CC1CCC1 ZINC001307539277 1065472430 /nfs/dbraw/zinc/47/24/30/1065472430.db2.gz MWEVNMBHAKBDKD-HNNXBMFYSA-N 0 3 309.885 4.438 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(=Cc3ccccc3F)CC2)O1 ZINC001307579641 1065493280 /nfs/dbraw/zinc/49/32/80/1065493280.db2.gz PCQOKCUZBACYPE-QGZVFWFLSA-N 0 3 303.421 4.263 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(CC(C)C)cc2)cc1O ZINC001307578738 1065493505 /nfs/dbraw/zinc/49/35/05/1065493505.db2.gz FHSLBWWFXBQVDW-UHFFFAOYSA-N 0 3 313.441 4.231 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCO[C@](C)(c3ccccc3)C2)C1 ZINC001307580653 1065493687 /nfs/dbraw/zinc/49/36/87/1065493687.db2.gz XCERLLQUPNKAKU-HBFSDRIKSA-N 0 3 301.474 4.450 20 0 DIADHN CC(C)C[C@@H](C)CN1CCc2cccc(Br)c2C1 ZINC001307579399 1065494345 /nfs/dbraw/zinc/49/43/45/1065494345.db2.gz MSEUSVHMUQLRMH-CYBMUJFWSA-N 0 3 310.279 4.489 20 0 DIADHN C[C@@H](COCc1ccccc1)CN1CCc2c(F)cccc2C1 ZINC001307589247 1065500953 /nfs/dbraw/zinc/50/09/53/1065500953.db2.gz ULISLUGTJGYYCA-MRXNPFEDSA-N 0 3 313.416 4.037 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CC[C@@H](O)c1ccccc1 ZINC001307588480 1065501516 /nfs/dbraw/zinc/50/15/16/1065501516.db2.gz KJXQUGLMDXVAAQ-STXHMFSFSA-N 0 3 313.416 4.257 20 0 DIADHN Cc1c(C)c(C)c(CN[C@]2(CO)CCc3ccccc32)c(C)c1C ZINC001307592619 1065504244 /nfs/dbraw/zinc/50/42/44/1065504244.db2.gz PGVKEJQFWJKUHA-QFIPXVFZSA-N 0 3 323.480 4.152 20 0 DIADHN C[C@H](c1ccccc1)N(CCO)Cc1ccc(Cl)c(F)c1 ZINC001307592521 1065504870 /nfs/dbraw/zinc/50/48/70/1065504870.db2.gz JYJAIDNYIAPWDD-CYBMUJFWSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1cccc([C@@H](O)CN[C@H](C)c2cc(Cl)ccc2F)c1 ZINC001307612004 1065516522 /nfs/dbraw/zinc/51/65/22/1065516522.db2.gz UVAOFPYIMVJVLL-PXAZEXFGSA-N 0 3 307.796 4.172 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC001307622086 1065522427 /nfs/dbraw/zinc/52/24/27/1065522427.db2.gz WGZHHVGUHXYTED-PKOBYXMFSA-N 0 3 318.505 4.256 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCCOc1ccccc1C ZINC001307621206 1065522539 /nfs/dbraw/zinc/52/25/39/1065522539.db2.gz QHJWYMKWXGOHCW-OAHLLOKOSA-N 0 3 317.404 4.262 20 0 DIADHN CCc1ccc([C@@H](C)NCC(=O)Nc2cc(C)cc(C)c2)cc1 ZINC001307624601 1065523767 /nfs/dbraw/zinc/52/37/67/1065523767.db2.gz CUUCMBJAQXSEGP-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN CC(C)[C@](O)(CN[C@@H](C)c1cccc(F)c1F)c1ccccc1 ZINC001307635138 1065531966 /nfs/dbraw/zinc/53/19/66/1065531966.db2.gz ORMQWFSEIKPYHT-IFXJQAMLSA-N 0 3 319.395 4.159 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)c1ccc2c(c1)CCC2 ZINC001307636424 1065531994 /nfs/dbraw/zinc/53/19/94/1065531994.db2.gz SBLTVTNLAOPEPH-MRXNPFEDSA-N 0 3 322.452 4.081 20 0 DIADHN C[C@@H](NCCN1CCCc2ccccc21)c1ccc(F)cc1F ZINC001307637190 1065532456 /nfs/dbraw/zinc/53/24/56/1065532456.db2.gz XZOBLJCCSGUINM-CQSZACIVSA-N 0 3 316.395 4.068 20 0 DIADHN CC(C)[C@](O)(CN[C@H](C)c1cccc(F)c1F)c1ccccc1 ZINC001307635137 1065532770 /nfs/dbraw/zinc/53/27/70/1065532770.db2.gz ORMQWFSEIKPYHT-AUUYWEPGSA-N 0 3 319.395 4.159 20 0 DIADHN c1ccc(C[C@@H]2CN(CCC3CCCCCC3)CCO2)cc1 ZINC001307636140 1065533570 /nfs/dbraw/zinc/53/35/70/1065533570.db2.gz FWZJJJIXXOBWCT-HXUWFJFHSA-N 0 3 301.474 4.290 20 0 DIADHN CC(C)c1ccc([C@@H](NCC(=O)NCC2CCC2)C(C)C)cc1 ZINC001307641007 1065536121 /nfs/dbraw/zinc/53/61/21/1065536121.db2.gz SFKSBPICSLAWHZ-FQEVSTJZSA-N 0 3 316.489 4.013 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccccc1)c1ccc(C)c(F)c1 ZINC001307641486 1065537131 /nfs/dbraw/zinc/53/71/31/1065537131.db2.gz AJVSRBGFDVKRKG-RDTXWAMCSA-N 0 3 314.404 4.202 20 0 DIADHN COc1ccnc(CN2CC[C@@H](Cc3ccc(C(C)C)cc3)C2)c1 ZINC001307654389 1065543649 /nfs/dbraw/zinc/54/36/49/1065543649.db2.gz CYIUPCCMPOCCAA-SFHVURJKSA-N 0 3 324.468 4.278 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](CO)NCc1cc(Cl)ccc1Cl ZINC001307659960 1065549571 /nfs/dbraw/zinc/54/95/71/1065549571.db2.gz WLLWZODBANWPKP-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)COC[C@@H](NC[C@@H]1CC2CCC1CC2)c1ccco1 ZINC001307668095 1065550916 /nfs/dbraw/zinc/55/09/16/1065550916.db2.gz AGNAIYNDAQEPHR-ZGUYJTEBSA-N 0 3 305.462 4.409 20 0 DIADHN c1ccc(CC[C@@H]2CN(CC3CCC4(CC4)CC3)CCO2)cc1 ZINC001307665734 1065550967 /nfs/dbraw/zinc/55/09/67/1065550967.db2.gz LYLWIPXFFWACPI-HXUWFJFHSA-N 0 3 313.485 4.290 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccccc1N(C)C)[C@H]2C ZINC001307672564 1065553341 /nfs/dbraw/zinc/55/33/41/1065553341.db2.gz FGZILZOYJGVEIU-CVEARBPZSA-N 0 3 324.468 4.269 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccs1)C(=O)N(C)c1ccc(C)cc1 ZINC001307685733 1065561608 /nfs/dbraw/zinc/56/16/08/1065561608.db2.gz HMWNLEMWHOSPDR-HOCLYGCPSA-N 0 3 316.470 4.149 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCCC[C@H](C)C1 ZINC001307692460 1065566345 /nfs/dbraw/zinc/56/63/45/1065566345.db2.gz IKGWVIMAZKNHTP-GXTWGEPZSA-N 0 3 308.853 4.097 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@H](CC)[C@@H]3C)co2)cc1 ZINC001307702337 1065573869 /nfs/dbraw/zinc/57/38/69/1065573869.db2.gz IINDJKMQLCNUPT-GJZGRUSLSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1cc(F)cc2c1CN(CCCOCc1ccccc1)CC2 ZINC001307716062 1065582573 /nfs/dbraw/zinc/58/25/73/1065582573.db2.gz RFIMLHSOMHFXQH-UHFFFAOYSA-N 0 3 313.416 4.099 20 0 DIADHN C[C@@H](c1ccncc1)N(C)CCOc1ccccc1C(C)(C)C ZINC001307727949 1065590033 /nfs/dbraw/zinc/59/00/33/1065590033.db2.gz FXNNGERVLQPWKY-INIZCTEOSA-N 0 3 312.457 4.451 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C)[C@@H]2CCC(C)(C)C2)c1 ZINC001307738909 1065597481 /nfs/dbraw/zinc/59/74/81/1065597481.db2.gz QMDYCLUBRSDERY-CQSZACIVSA-N 0 3 309.837 4.137 20 0 DIADHN CCC1(CC)[C@H](NCc2nc(C)c(C(C)(C)C)s2)C[C@@H]1OC ZINC001307743801 1065600838 /nfs/dbraw/zinc/60/08/38/1065600838.db2.gz CUQJDGYLSFNYHD-KGLIPLIRSA-N 0 3 324.534 4.432 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(C(F)(F)F)co2)[C@H](C)[C@H]1OC ZINC001307744620 1065600948 /nfs/dbraw/zinc/60/09/48/1065600948.db2.gz LYBCXOHVZCRNFW-ZLKJLUDKSA-N 0 3 319.367 4.228 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC001307766905 1065611284 /nfs/dbraw/zinc/61/12/84/1065611284.db2.gz OVPMMPWIPVFEBP-CBBWQLFWSA-N 0 3 320.304 4.097 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)[C@H](CO)CC(C)(C)C ZINC001307771583 1065613824 /nfs/dbraw/zinc/61/38/24/1065613824.db2.gz GAMMWLAYLMEUGK-LBPRGKRZSA-N 0 3 309.837 4.315 20 0 DIADHN CCC[C@H](NCc1nc(-c2ccc(F)c(F)c2)no1)C(C)(C)C ZINC001307777183 1065617091 /nfs/dbraw/zinc/61/70/91/1065617091.db2.gz YIZBMBOBZIMSTL-AWEZNQCLSA-N 0 3 323.387 4.319 20 0 DIADHN Fc1cccc(F)c1CCCN1CCC[C@H](c2ccccn2)C1 ZINC001307787995 1065621236 /nfs/dbraw/zinc/62/12/36/1065621236.db2.gz HTDBDPRQFKLUQU-HNNXBMFYSA-N 0 3 316.395 4.172 20 0 DIADHN CCc1ccc([C@@H]2CCN([C@H](C)C(=O)Nc3ccccc3)C2)cc1 ZINC001307800610 1065628159 /nfs/dbraw/zinc/62/81/59/1065628159.db2.gz SYVZAXPDNSETAP-VQIMIIECSA-N 0 3 322.452 4.066 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC001307807424 1065632090 /nfs/dbraw/zinc/63/20/90/1065632090.db2.gz CCWNMSGKQSPQHX-MAUKXSAKSA-N 0 3 315.461 4.069 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC001307807424 1065632091 /nfs/dbraw/zinc/63/20/91/1065632091.db2.gz CCWNMSGKQSPQHX-MAUKXSAKSA-N 0 3 315.461 4.069 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCC[C@H](C2CC2)C1 ZINC001307812077 1065634237 /nfs/dbraw/zinc/63/42/37/1065634237.db2.gz DSTAECNDRUVSAO-HIFRSBDPSA-N 0 3 320.864 4.097 20 0 DIADHN C[C@H]1CCC[C@H](CCN2CCC3(C2)Oc2ccccc2O3)C1 ZINC001307812237 1065634394 /nfs/dbraw/zinc/63/43/94/1065634394.db2.gz JHFYDDXQTRHNFK-JKSUJKDBSA-N 0 3 301.430 4.076 20 0 DIADHN COc1ccc2c(c1)C1(CC1)CN(CCCSC(C)(C)C)C2 ZINC001307816929 1065635422 /nfs/dbraw/zinc/63/54/22/1065635422.db2.gz GNQMRXPABAJOML-UHFFFAOYSA-N 0 3 319.514 4.464 20 0 DIADHN COC(=O)c1ccc(CN2CCC(C)(CC(C)C)CC2)s1 ZINC001307815381 1065635792 /nfs/dbraw/zinc/63/57/92/1065635792.db2.gz NQJRSWZKGATIPI-UHFFFAOYSA-N 0 3 309.475 4.183 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC001307820668 1065637685 /nfs/dbraw/zinc/63/76/85/1065637685.db2.gz MCIVMGOWQDHXGX-WMZOPIPTSA-N 0 3 315.482 4.389 20 0 DIADHN CN(Cc1nc2c(o1)CCCC2)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001307831252 1065642110 /nfs/dbraw/zinc/64/21/10/1065642110.db2.gz AIHKZSJTGBWQOL-SJLPKXTDSA-N 0 3 310.441 4.322 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cc(Cl)ccc1Cl)C1CC1 ZINC001307844940 1065648020 /nfs/dbraw/zinc/64/80/20/1065648020.db2.gz MMWNLYKCHSHXPC-ZUZCIYMTSA-N 0 3 302.245 4.287 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1Cc1ccc2nonc2c1 ZINC001307841081 1065648304 /nfs/dbraw/zinc/64/83/04/1065648304.db2.gz WPAVRAYKJNSZTB-SFHVURJKSA-N 0 3 311.360 4.007 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H](C4CCC4)C3)co2)cc1F ZINC001307842012 1065648499 /nfs/dbraw/zinc/64/84/99/1065648499.db2.gz FCMXNVKNHPPEOL-AWEZNQCLSA-N 0 3 318.367 4.242 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cccc(Cl)c1Cl)C1CC1 ZINC001307845455 1065650496 /nfs/dbraw/zinc/65/04/96/1065650496.db2.gz POCIPHIDHSTFIJ-BMIGLBTASA-N 0 3 302.245 4.287 20 0 DIADHN Cc1cc(F)ccc1CN1C[C@@H](C(=O)OC(C)(C)C)CC[C@H]1C ZINC001307856948 1065656753 /nfs/dbraw/zinc/65/67/53/1065656753.db2.gz LZQNEJFYKLKCRE-ZBFHGGJFSA-N 0 3 321.436 4.076 20 0 DIADHN COc1ccc(Br)cc1C1(N[C@H]2C=CCCC2)CC1 ZINC001307870716 1065662244 /nfs/dbraw/zinc/66/22/44/1065662244.db2.gz QJZKRAISURKGNW-ZDUSSCGKSA-N 0 3 322.246 4.145 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H](c2cccnc2)C1 ZINC001307876926 1065664886 /nfs/dbraw/zinc/66/48/86/1065664886.db2.gz GVXSESSHWSEJGA-CZUORRHYSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001307901682 1065673037 /nfs/dbraw/zinc/67/30/37/1065673037.db2.gz MTKNIUPJXLVJBG-WMZOPIPTSA-N 0 3 313.441 4.439 20 0 DIADHN CC(C)[C@H](NCc1ccc(-n2cccn2)cc1)c1ccccc1F ZINC001307913263 1065680858 /nfs/dbraw/zinc/68/08/58/1065680858.db2.gz RVQFARLJEHCCKV-FQEVSTJZSA-N 0 3 323.415 4.498 20 0 DIADHN COc1ncc(CN2CCCC3(CCCC3)CC2)cc1Cl ZINC001307922923 1065684233 /nfs/dbraw/zinc/68/42/33/1065684233.db2.gz DHTVIULCWACBPB-UHFFFAOYSA-N 0 3 308.853 4.290 20 0 DIADHN CC1(C)CN(Cc2cccnc2Cl)CCc2ccccc21 ZINC001307923668 1065685055 /nfs/dbraw/zinc/68/50/55/1065685055.db2.gz DDBWVFNZYLXAFM-UHFFFAOYSA-N 0 3 300.833 4.071 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CCC[C@@H](CCF)C2)c1 ZINC001307932111 1065686648 /nfs/dbraw/zinc/68/66/48/1065686648.db2.gz TZAUHAQXYIFOFI-ZDUSSCGKSA-N 0 3 301.352 4.168 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@](O)(c2ccccc2)C1 ZINC001307934449 1065688761 /nfs/dbraw/zinc/68/87/61/1065688761.db2.gz LNPLMSCFFIEUPE-AUUYWEPGSA-N 0 3 317.379 4.009 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](O)CCc1ccccc1 ZINC001307933586 1065688863 /nfs/dbraw/zinc/68/88/63/1065688863.db2.gz DZVNOZKHVAVLAQ-LJQANCHMSA-N 0 3 315.432 4.031 20 0 DIADHN CC(C)(C)OC(=O)[C@]1(C)CCCN1CCCCc1ccccc1 ZINC001307956918 1065699232 /nfs/dbraw/zinc/69/92/32/1065699232.db2.gz BSWYRKYWWLNMOO-FQEVSTJZSA-N 0 3 317.473 4.206 20 0 DIADHN CCC(CC)CCCN(C)[C@@H]1CCN(C2CCCCC2)C1=O ZINC001307968871 1065704503 /nfs/dbraw/zinc/70/45/03/1065704503.db2.gz LRGGKGJRFJLVSF-GOSISDBHSA-N 0 3 308.510 4.068 20 0 DIADHN Fc1ccccc1CCNC1(c2c(F)cccc2F)CCC1 ZINC001307977323 1065710174 /nfs/dbraw/zinc/71/01/74/1065710174.db2.gz FTWLJFUHWCJSLY-UHFFFAOYSA-N 0 3 305.343 4.315 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2F)n1)[C@]12C[C@H]1CCCC2 ZINC001307998622 1065718771 /nfs/dbraw/zinc/71/87/71/1065718771.db2.gz RXIFEEJBBVZLBM-KHYOSLBOSA-N 0 3 313.420 4.070 20 0 DIADHN CN(Cc1ccc2cc[nH]c2c1)Cc1cc2ccccc2[nH]c1=O ZINC001308023998 1065729178 /nfs/dbraw/zinc/72/91/78/1065729178.db2.gz RJKUECIWUJEFLS-UHFFFAOYSA-N 0 3 317.392 4.054 20 0 DIADHN CCSc1ccccc1CN(CC)[C@@H](CO)c1ccccc1 ZINC001308028944 1065730298 /nfs/dbraw/zinc/73/02/98/1065730298.db2.gz IGHVMUBFRGWYRM-SFHVURJKSA-N 0 3 315.482 4.354 20 0 DIADHN C[C@]1(C2CCCCC2)CCN1C[C@@H](O)c1c(F)cccc1F ZINC001308025968 1065731623 /nfs/dbraw/zinc/73/16/23/1065731623.db2.gz FRZAPDAXNNMNPT-SJLPKXTDSA-N 0 3 309.400 4.043 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN([C@H]2CCc3ccccc32)CC1 ZINC001308039252 1065735293 /nfs/dbraw/zinc/73/52/93/1065735293.db2.gz JZCKNSPSWPQPII-UWJYYQICSA-N 0 3 306.453 4.053 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3cccc(Cl)c3)C2(C)C)cn1 ZINC001308051696 1065741399 /nfs/dbraw/zinc/74/13/99/1065741399.db2.gz GKJHOSAYOADBRQ-KRWDZBQOSA-N 0 3 315.848 4.207 20 0 DIADHN CCOC(=O)[C@@H](CCc1ccccc1)N(C)C[C@H](C)C(C)(C)C ZINC001308060752 1065744098 /nfs/dbraw/zinc/74/40/98/1065744098.db2.gz BKRFKWMZYRAXFU-FUHWJXTLSA-N 0 3 319.489 4.165 20 0 DIADHN COc1cccc(CN2CC[C@H](c3c(F)cccc3F)C2)c1C ZINC001308064315 1065746425 /nfs/dbraw/zinc/74/64/25/1065746425.db2.gz CPIHVBNHZJYKQC-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)C[C@H](C)C(C)(C)C)cc1F ZINC001308062257 1065747868 /nfs/dbraw/zinc/74/78/68/1065747868.db2.gz MRLPRXVQPYOIIQ-UONOGXRCSA-N 0 3 308.441 4.075 20 0 DIADHN Fc1cccc(F)c1CCCN1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001308073563 1065752295 /nfs/dbraw/zinc/75/22/95/1065752295.db2.gz JSDSOCQPMYESGY-OXJNMPFZSA-N 0 3 313.391 4.171 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001308086871 1065755619 /nfs/dbraw/zinc/75/56/19/1065755619.db2.gz IJIYMIYUFTWNKV-KBPBESRZSA-N 0 3 303.837 4.014 20 0 DIADHN CC[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1cc(F)ccc1OC ZINC001308090120 1065757685 /nfs/dbraw/zinc/75/76/85/1065757685.db2.gz LMHGLIMDMVBJSS-JCGIZDLHSA-N 0 3 323.452 4.470 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N(C)CC1CCC1 ZINC001308135677 1065775976 /nfs/dbraw/zinc/77/59/76/1065775976.db2.gz IYUGDXYQQAPKBN-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN CSCC(C)(C)NCc1cc(-c2ccc(Cl)cc2)no1 ZINC001308135003 1065776497 /nfs/dbraw/zinc/77/64/97/1065776497.db2.gz VKPFDEDPMUVLBS-UHFFFAOYSA-N 0 3 310.850 4.226 20 0 DIADHN CC(C)CN(C(=O)CN[C@H](C)c1cccc2ccccc21)C1CC1 ZINC001308139838 1065778011 /nfs/dbraw/zinc/77/80/11/1065778011.db2.gz OGEZUHDGSRBPSO-MRXNPFEDSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2ccccc2C2CC2)c2ccccc21 ZINC001308157990 1065785764 /nfs/dbraw/zinc/78/57/64/1065785764.db2.gz KTPFKJSJHUHEIY-KSFYIVLOSA-N 0 3 321.464 4.439 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CCCn2ccc3ccccc32)c1 ZINC001308181445 1065792360 /nfs/dbraw/zinc/79/23/60/1065792360.db2.gz YTRBTVPDDMZTFL-UHFFFAOYSA-N 0 3 314.816 4.180 20 0 DIADHN CCN(CCNCc1cc(C)ccc1F)c1cccc(C)c1 ZINC001308184146 1065796250 /nfs/dbraw/zinc/79/62/50/1065796250.db2.gz XSLOZDFBRMGIEH-UHFFFAOYSA-N 0 3 300.421 4.059 20 0 DIADHN C[C@H](NCc1cn2ccnc2s1)c1cccc2ccccc21 ZINC001308188433 1065798884 /nfs/dbraw/zinc/79/88/84/1065798884.db2.gz QDOZKHWLVYMRTR-ZDUSSCGKSA-N 0 3 307.422 4.400 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CNC[C@@H](O)c3ccco3)cc2)c1 ZINC001308197860 1065806995 /nfs/dbraw/zinc/80/69/95/1065806995.db2.gz ZUFINQIFBIAEHW-HXUWFJFHSA-N 0 3 321.420 4.387 20 0 DIADHN C[C@H](CNCc1nccs1)Cc1ccc(C(C)(C)C)cc1 ZINC001308216859 1065824026 /nfs/dbraw/zinc/82/40/26/1065824026.db2.gz RARZNSIVSBSXRB-AWEZNQCLSA-N 0 3 302.487 4.409 20 0 DIADHN Cc1ccccc1OCCNCc1csc(C(F)(F)F)c1 ZINC001308219205 1065826729 /nfs/dbraw/zinc/82/67/29/1065826729.db2.gz YTSQWOLGGLHTCZ-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OCCCCO2)c1ccccc1 ZINC001308220875 1065828760 /nfs/dbraw/zinc/82/87/60/1065828760.db2.gz AGJRBWDXCUBFIS-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN OCc1ccccc1CNCc1ccc(C2CC2)c(C2CC2)c1 ZINC001308225178 1065832477 /nfs/dbraw/zinc/83/24/77/1065832477.db2.gz XHEPAACICUJPLA-UHFFFAOYSA-N 0 3 307.437 4.224 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc(OCC3CC3)nc2)cc1 ZINC001308239349 1065844185 /nfs/dbraw/zinc/84/41/85/1065844185.db2.gz JBJSCCMAMDYWKZ-UHFFFAOYSA-N 0 3 324.468 4.359 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2ccn(-c3ccccc3)n2)cc1 ZINC001308246389 1065851798 /nfs/dbraw/zinc/85/17/98/1065851798.db2.gz FYIDIUMVLGKDFJ-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(OC(C)C)cc2)ccc1F ZINC001308248592 1065851821 /nfs/dbraw/zinc/85/18/21/1065851821.db2.gz IKMBOFOSROCRPE-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN CC(C)COc1ccc(CCNCc2cccnc2Cl)cc1 ZINC001308251828 1065854396 /nfs/dbraw/zinc/85/43/96/1065854396.db2.gz OLXKGOVTJRFWMO-UHFFFAOYSA-N 0 3 318.848 4.102 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1ccc2ccccc2c1 ZINC001308261139 1065862625 /nfs/dbraw/zinc/86/26/25/1065862625.db2.gz NNPADXRAHQPKLP-IBGZPJMESA-N 0 3 303.409 4.105 20 0 DIADHN CCc1ccc(CN[C@H]2CCCN(c3ccc(F)cc3C)C2)o1 ZINC001308271252 1065869928 /nfs/dbraw/zinc/86/99/28/1065869928.db2.gz LJAUAJAYLYALMV-INIZCTEOSA-N 0 3 316.420 4.048 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H]2CCCc3ccccc3C2)o1 ZINC001308276796 1065876988 /nfs/dbraw/zinc/87/69/88/1065876988.db2.gz YJBQNHFMAGZAPJ-IEBWSBKVSA-N 0 3 313.441 4.067 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2C[C@@H]2C2CCCCC2)cc1OC ZINC001308294407 1065891307 /nfs/dbraw/zinc/89/13/07/1065891307.db2.gz CTMOXLRDDQZZGM-ZBFHGGJFSA-N 0 3 323.864 4.416 20 0 DIADHN C[C@]1(CNCc2cccc(Cl)c2Cl)CCCC[C@H]1O ZINC001308302220 1065896567 /nfs/dbraw/zinc/89/65/67/1065896567.db2.gz WKAHIQLJIPXNBK-UKRRQHHQSA-N 0 3 302.245 4.024 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2CC(C)(C)c3ccccc32)c1 ZINC001308319563 1065910552 /nfs/dbraw/zinc/91/05/52/1065910552.db2.gz ZJHAFAFZLCVKBN-GOSISDBHSA-N 0 3 311.425 4.216 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cnc(Cl)c(C)c1)CCC2 ZINC001308337367 1065923625 /nfs/dbraw/zinc/92/36/25/1065923625.db2.gz OHDLWWDNQFGWNQ-KRWDZBQOSA-N 0 3 316.832 4.219 20 0 DIADHN Cc1cc(C)nc(NCc2cccc(OCC(F)(F)F)c2)c1 ZINC001308340090 1065925042 /nfs/dbraw/zinc/92/50/42/1065925042.db2.gz RYABNNCZPMEOTN-UHFFFAOYSA-N 0 3 310.319 4.252 20 0 DIADHN CC(C)(C)Oc1ccc(CCNCc2c(F)cccc2F)cc1 ZINC001308342043 1065926530 /nfs/dbraw/zinc/92/65/30/1065926530.db2.gz KKQNJOQUBBLCIB-UHFFFAOYSA-N 0 3 319.395 4.474 20 0 DIADHN CCCOc1cccc(CN[C@H]2CCCc3nc(C)sc32)c1 ZINC001308341005 1065926565 /nfs/dbraw/zinc/92/65/65/1065926565.db2.gz DPPMFIADFCMHGM-INIZCTEOSA-N 0 3 316.470 4.408 20 0 DIADHN c1nscc1CNCCn1c2ccccc2c2ccccc21 ZINC001308344079 1065927652 /nfs/dbraw/zinc/92/76/52/1065927652.db2.gz WOGCWEWUUJOJBI-UHFFFAOYSA-N 0 3 307.422 4.041 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2csc(C(C)C)n2)CCO1 ZINC001308351975 1065933009 /nfs/dbraw/zinc/93/30/09/1065933009.db2.gz BMQXSAHEFGPDNG-ZBFHGGJFSA-N 0 3 310.507 4.340 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CNCc1ccc(Cl)cc1Cl ZINC001308359312 1065937630 /nfs/dbraw/zinc/93/76/30/1065937630.db2.gz XTVOIGGHDGWJTA-AWEZNQCLSA-N 0 3 304.261 4.126 20 0 DIADHN CC[C@@H](NCc1cccc(C2CCCC2)c1)[C@@H](O)C(F)(F)F ZINC001308357144 1065937850 /nfs/dbraw/zinc/93/78/50/1065937850.db2.gz PLPQWIZOLVQFGG-HZPDHXFCSA-N 0 3 315.379 4.136 20 0 DIADHN CN(C)c1ccc(F)cc1CN[C@@H]1CCCc2sccc21 ZINC001308362081 1065941237 /nfs/dbraw/zinc/94/12/37/1065941237.db2.gz HWNZXNIPZVVQDU-OAHLLOKOSA-N 0 3 304.434 4.120 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@H]2Cc3cccc(O)c3C2)c1Cl ZINC001308370792 1065946721 /nfs/dbraw/zinc/94/67/21/1065946721.db2.gz CZKCPIKLGKYTNB-CYBMUJFWSA-N 0 3 322.235 4.264 20 0 DIADHN CCCOc1ccc(CNC[C@@H]2CCC=CO2)c(OCCC)c1 ZINC001308372283 1065948509 /nfs/dbraw/zinc/94/85/09/1065948509.db2.gz IUENPTHSEMVNRM-SFHVURJKSA-N 0 3 319.445 4.047 20 0 DIADHN Fc1cccc(/C=C\CN[C@H]2CCOc3c(F)cccc32)c1 ZINC001308375051 1065949550 /nfs/dbraw/zinc/94/95/50/1065949550.db2.gz UXUCXIDNMRKEEB-IVZVJUQRSA-N 0 3 301.336 4.091 20 0 DIADHN Cc1scc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c1C ZINC001308384276 1065954892 /nfs/dbraw/zinc/95/48/92/1065954892.db2.gz CBMRFMBIENNHBR-QGZVFWFLSA-N 0 3 322.905 4.411 20 0 DIADHN C[C@H](CCNCc1cccnc1Br)c1ccccc1 ZINC001308388202 1065956270 /nfs/dbraw/zinc/95/62/70/1065956270.db2.gz ZJUYMFPOJUFEFG-CYBMUJFWSA-N 0 3 319.246 4.128 20 0 DIADHN CSc1ccc(CCNCc2nc(C(C)(C)C)cs2)cc1 ZINC001308389007 1065956513 /nfs/dbraw/zinc/95/65/13/1065956513.db2.gz VLEQFGSDFMLNRN-UHFFFAOYSA-N 0 3 320.527 4.495 20 0 DIADHN CCO[C@H](CNCc1cc(Cl)ccc1F)c1ccccc1 ZINC001308388182 1065956618 /nfs/dbraw/zinc/95/66/18/1065956618.db2.gz KQTHDSDABZSJHY-QGZVFWFLSA-N 0 3 307.796 4.347 20 0 DIADHN Cc1cc(F)c(CN[C@H]2C[C@H](c3ccccc3F)C2)c(F)c1 ZINC001308389103 1065956636 /nfs/dbraw/zinc/95/66/36/1065956636.db2.gz WUBCFZKOALLWHR-JOCQHMNTSA-N 0 3 305.343 4.448 20 0 DIADHN COc1cnc(F)c(CNCC[C@H]2C[C@@H](C)CC(C)(C)C2)c1 ZINC001308390543 1065957548 /nfs/dbraw/zinc/95/75/48/1065957548.db2.gz IZWVQZNIHHSVJO-KGLIPLIRSA-N 0 3 308.441 4.171 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)[C@@H](O)c1ccccc1 ZINC001308425139 1065979845 /nfs/dbraw/zinc/97/98/45/1065979845.db2.gz YNGOLJIOKZXRDK-FZKQIMNGSA-N 0 3 301.430 4.097 20 0 DIADHN c1c[nH]c(CNc2ccc3nc(CC4CCCCC4)[nH]c3c2)n1 ZINC001308430700 1065982660 /nfs/dbraw/zinc/98/26/60/1065982660.db2.gz QRRSATVIQJFEIE-UHFFFAOYSA-N 0 3 309.417 4.021 20 0 DIADHN CC(C)C[C@@H]1C[C@H]1NCc1cc2cnn(C(C)C)c2nc1Cl ZINC001308432134 1065982903 /nfs/dbraw/zinc/98/29/03/1065982903.db2.gz LIOYTZACVIQONL-IUODEOHRSA-N 0 3 320.868 4.190 20 0 DIADHN c1cc(-c2nc(CNC[C@@H]3C[C@H]3C3CCC3)cs2)cs1 ZINC001308443513 1065989825 /nfs/dbraw/zinc/98/98/25/1065989825.db2.gz OOMFTMOPLFJRBL-ZFWWWQNUSA-N 0 3 304.484 4.397 20 0 DIADHN CO[C@H](CNCc1c(Cl)ccc2cccnc21)CC(C)C ZINC001308441753 1065990347 /nfs/dbraw/zinc/99/03/47/1065990347.db2.gz QKGNHTRHLDIYIP-AWEZNQCLSA-N 0 3 306.837 4.039 20 0 DIADHN Clc1cccc(CN[C@@H]2C[C@H]2C2CCC2)c1Br ZINC001308444394 1065992528 /nfs/dbraw/zinc/99/25/28/1065992528.db2.gz ZFFQBFXPYJJGJF-WCQYABFASA-N 0 3 314.654 4.381 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001308451413 1065996743 /nfs/dbraw/zinc/99/67/43/1065996743.db2.gz OOQZVXUKIQZURP-KRWDZBQOSA-N 0 3 303.446 4.055 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC1CC2(CCC2)C1 ZINC001308453211 1065997708 /nfs/dbraw/zinc/99/77/08/1065997708.db2.gz NWNQUXBNOHBTTR-UHFFFAOYSA-N 0 3 301.764 4.364 20 0 DIADHN CSc1ccc(CN[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)o1 ZINC001308464789 1066006462 /nfs/dbraw/zinc/00/64/62/1066006462.db2.gz BSKXMTNUALVIQC-UONOGXRCSA-N 0 3 309.475 4.217 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2c(C3CCC3)cnn2C)c(C)c1 ZINC001308468455 1066008461 /nfs/dbraw/zinc/00/84/61/1066008461.db2.gz JECSFPQIPUEFPU-RMKNXTFCSA-N 0 3 323.484 4.416 20 0 DIADHN CC1(C)C[C@@]1(CNCc1nc2ccccc2o1)c1ccccc1 ZINC001308473705 1066013444 /nfs/dbraw/zinc/01/34/44/1066013444.db2.gz NVENRFKXFQXMBC-HXUWFJFHSA-N 0 3 306.409 4.285 20 0 DIADHN COc1cc(CN[C@@]2(c3ccccc3)CC2(C)C)cc(OC)c1 ZINC001308475095 1066013506 /nfs/dbraw/zinc/01/35/06/1066013506.db2.gz KANPOJIRHGJGAL-HXUWFJFHSA-N 0 3 311.425 4.119 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(OCC(F)F)cc2)c1 ZINC001308473782 1066014173 /nfs/dbraw/zinc/01/41/73/1066014173.db2.gz POHXRLQUZZGKMX-UHFFFAOYSA-N 0 3 305.368 4.237 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](c1ccccc1)[C@@H](O)C(C)C ZINC001308474893 1066014514 /nfs/dbraw/zinc/01/45/14/1066014514.db2.gz CHOKFVBPMVGJGG-MOPGFXCFSA-N 0 3 317.860 4.496 20 0 DIADHN C[C@@H](CC1CCC1)NCc1c(F)cc(Br)cc1F ZINC001308481109 1066020981 /nfs/dbraw/zinc/02/09/81/1066020981.db2.gz LKPRTOUSJXBRNU-VIFPVBQESA-N 0 3 318.205 4.396 20 0 DIADHN CC[C@](C)(NCc1cc(F)cc(OC)c1)c1ccc(F)cc1 ZINC001308489356 1066023455 /nfs/dbraw/zinc/02/34/55/1066023455.db2.gz ZYKTUZHRONXWSZ-SFHVURJKSA-N 0 3 305.368 4.388 20 0 DIADHN COC[C@H](C)NCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001308501790 1066032769 /nfs/dbraw/zinc/03/27/69/1066032769.db2.gz NGDDXVLPTVMUPR-JTQLQIEISA-N 0 3 314.212 4.378 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2noc3c2CC(C)(C)CC3)C1 ZINC001308509079 1066035741 /nfs/dbraw/zinc/03/57/41/1066035741.db2.gz ZFOZQPAKWBXGLQ-LSDHHAIUSA-N 0 3 304.478 4.494 20 0 DIADHN CC1(C)CO[C@H](CNCc2ccc(-c3c(F)cccc3F)o2)C1 ZINC001308511274 1066037959 /nfs/dbraw/zinc/03/79/59/1066037959.db2.gz PSMGISWKUGMIRH-ZDUSSCGKSA-N 0 3 321.367 4.130 20 0 DIADHN Cc1ccc(CNCc2cccn2C2CC2)cc1Br ZINC001308509898 1066038337 /nfs/dbraw/zinc/03/83/37/1066038337.db2.gz JNEKZSKRNMFKRS-UHFFFAOYSA-N 0 3 319.246 4.184 20 0 DIADHN COc1ccc(-c2nc(CNC3(C4CC4)CCC3)cs2)cc1 ZINC001308520501 1066042565 /nfs/dbraw/zinc/04/25/65/1066042565.db2.gz AEQXITIXKQKKTH-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN Cc1oc2ccccc2c1CNCc1c(C)cc(CO)cc1C ZINC001308528184 1066046940 /nfs/dbraw/zinc/04/69/40/1066046940.db2.gz ZCHKYNRDPLOYDL-UHFFFAOYSA-N 0 3 309.409 4.140 20 0 DIADHN CCOc1cc(F)cc(CN[C@H](C)COCC2CCCCC2)c1 ZINC001308529458 1066048646 /nfs/dbraw/zinc/04/86/46/1066048646.db2.gz MSBBHOJBRDKILC-OAHLLOKOSA-N 0 3 323.452 4.299 20 0 DIADHN COC[C@@H](CNCc1csc(-c2ccc(C)cc2)n1)C(C)C ZINC001308533398 1066051136 /nfs/dbraw/zinc/05/11/36/1066051136.db2.gz VTLGEFNYAVFTCU-MRXNPFEDSA-N 0 3 318.486 4.127 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1csc(COc2ccccc2)n1 ZINC001308548048 1066061875 /nfs/dbraw/zinc/06/18/75/1066061875.db2.gz KVLSFGHUDDJPHC-RHSMWYFYSA-N 0 3 314.454 4.166 20 0 DIADHN CCc1ccc(CN[C@@]2(CO)CC[C@H](C)c3ccccc32)s1 ZINC001308550343 1066063174 /nfs/dbraw/zinc/06/31/74/1066063174.db2.gz CXLWKRPEGAKLLG-IFXJQAMLSA-N 0 3 315.482 4.185 20 0 DIADHN Cc1cccc(CNCc2cccc(OC(F)(F)F)c2)c1C ZINC001308551555 1066063591 /nfs/dbraw/zinc/06/35/91/1066063591.db2.gz IRUZTLJGHJFLFF-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN Cc1cc(C)c(CN[C@]2(CO)CC[C@H](C)c3ccccc32)cc1C ZINC001308552918 1066065081 /nfs/dbraw/zinc/06/50/81/1066065081.db2.gz ZGCMUDVICFGPCC-NYHFZMIOSA-N 0 3 323.480 4.487 20 0 DIADHN CCOC(OCC)[C@H](C)NCc1ccc(-c2cccs2)cc1 ZINC001308558284 1066066749 /nfs/dbraw/zinc/06/67/49/1066066749.db2.gz HTCVVZRSSHZNEC-AWEZNQCLSA-N 0 3 319.470 4.292 20 0 DIADHN CCn1cc(CN(C)Cc2ccccc2)c(-c2ccccc2)n1 ZINC001308560922 1066068827 /nfs/dbraw/zinc/06/88/27/1066068827.db2.gz XNKSLKQQUVLPCJ-UHFFFAOYSA-N 0 3 305.425 4.202 20 0 DIADHN COc1ccc(F)c(CN2CC[C@H](c3ccccc3)[C@H](C)C2)c1 ZINC001308565092 1066076079 /nfs/dbraw/zinc/07/60/79/1066076079.db2.gz PTNDATBNYDGKEF-BEFAXECRSA-N 0 3 313.416 4.460 20 0 DIADHN CCCN(Cc1cccc(OC)c1F)[C@@H]1C[C@@H](OCC)C1(C)C ZINC001308570885 1066082661 /nfs/dbraw/zinc/08/26/61/1066082661.db2.gz PFVUVLJBCNXJPT-IAGOWNOFSA-N 0 3 323.452 4.250 20 0 DIADHN CSc1ccccc1NC(=O)CN(C)C[C@H]1CCCC[C@H]1C ZINC001308572164 1066084819 /nfs/dbraw/zinc/08/48/19/1066084819.db2.gz ZGYBVDGFCLDIAF-HUUCEWRRSA-N 0 3 320.502 4.105 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@@H](CC)CC2)cc(Cl)c1[O-] ZINC001308573337 1066087577 /nfs/dbraw/zinc/08/75/77/1066087577.db2.gz NMDZPKVHXAGDNU-CYBMUJFWSA-N 0 3 311.853 4.456 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@@H](CC)CC2)cc(Cl)c1[O-] ZINC001308573337 1066087586 /nfs/dbraw/zinc/08/75/86/1066087586.db2.gz NMDZPKVHXAGDNU-CYBMUJFWSA-N 0 3 311.853 4.456 20 0 DIADHN CN(Cc1ccc(C(F)F)c(F)c1)[C@@H](CCO)c1ccccc1 ZINC001308574858 1066090828 /nfs/dbraw/zinc/09/08/28/1066090828.db2.gz FCMMRCYUBIOYMP-KRWDZBQOSA-N 0 3 323.358 4.319 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)[C@@H]2CCCC2(C)C)n(C)n1 ZINC001308581520 1066095871 /nfs/dbraw/zinc/09/58/71/1066095871.db2.gz HTIFZEIRNIKYFN-LJQANCHMSA-N 0 3 311.473 4.309 20 0 DIADHN Nc1ncccc1CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001308581248 1066096811 /nfs/dbraw/zinc/09/68/11/1066096811.db2.gz AKYUUQHENOJWFW-JXOMPUQVSA-N 0 3 307.441 4.122 20 0 DIADHN CC(C)CN(Cc1cnn(CC2CCC2)c1)Cc1ccccc1 ZINC001308581030 1066096900 /nfs/dbraw/zinc/09/69/00/1066096900.db2.gz DVMIKLMHJXXNDZ-UHFFFAOYSA-N 0 3 311.473 4.341 20 0 DIADHN Cc1ccc2cc(CN(CCO)[C@@H](C)c3ccccc3)[nH]c2c1 ZINC001308586843 1066100981 /nfs/dbraw/zinc/10/09/81/1066100981.db2.gz UVNTWADFTLPRTL-INIZCTEOSA-N 0 3 308.425 4.032 20 0 DIADHN CN(Cc1cccc(F)c1Br)C(C1CC1)C1CC1 ZINC001308586660 1066101870 /nfs/dbraw/zinc/10/18/70/1066101870.db2.gz RAAYAFCWMZFYAZ-UHFFFAOYSA-N 0 3 312.226 4.209 20 0 DIADHN CC[C@H](C)[C@H](CN(C)Cc1cc(Br)cs1)OC ZINC001308591183 1066103258 /nfs/dbraw/zinc/10/32/58/1066103258.db2.gz NTQGJNIEDYVZED-GWCFXTLKSA-N 0 3 320.296 4.004 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2c(C)oc3ccccc32)cc1 ZINC001308589943 1066103300 /nfs/dbraw/zinc/10/33/00/1066103300.db2.gz WZJOITIWYCIEJL-UHFFFAOYSA-N 0 3 323.392 4.160 20 0 DIADHN C[C@H]1CCCN(Cc2cccc(F)c2OCC(F)(F)F)CC1 ZINC001308589186 1066103861 /nfs/dbraw/zinc/10/38/61/1066103861.db2.gz AMZADOBIYRSCDP-LBPRGKRZSA-N 0 3 319.342 4.389 20 0 DIADHN Cc1cc(Br)c(CN2CC[C@@H](C3CC3)C2)s1 ZINC001308599761 1066109996 /nfs/dbraw/zinc/10/99/96/1066109996.db2.gz UKWIZZCUCSAJLL-LLVKDONJSA-N 0 3 300.265 4.051 20 0 DIADHN C[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@H](c2ccccc2)CO1 ZINC001308601178 1066110902 /nfs/dbraw/zinc/11/09/02/1066110902.db2.gz QMJXULPHGPBJOZ-FIRPJDEBSA-N 0 3 307.437 4.252 20 0 DIADHN Cc1cc(Br)c(CN2CC[C@H](C3CC3)C2)s1 ZINC001308599762 1066110989 /nfs/dbraw/zinc/11/09/89/1066110989.db2.gz UKWIZZCUCSAJLL-NSHDSACASA-N 0 3 300.265 4.051 20 0 DIADHN Cc1ccccc1[C@@H]1CN(C[C@H]2CCc3ccccc32)CCO1 ZINC001308606059 1066113645 /nfs/dbraw/zinc/11/36/45/1066113645.db2.gz XBHHUMGTHOTJDW-NQIIRXRSSA-N 0 3 307.437 4.098 20 0 DIADHN CSC(C)(C)CCN1C[C@@H](c2ccccc2C)OC[C@@H]1C ZINC001308608731 1066114702 /nfs/dbraw/zinc/11/47/02/1066114702.db2.gz HYIWXMYYPJLDJK-RDJZCZTQSA-N 0 3 307.503 4.289 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@H]2c2ccccc2)c2c(n1)CCCC2 ZINC001308609896 1066115712 /nfs/dbraw/zinc/11/57/12/1066115712.db2.gz VEPCNQLIXMKSJE-BTYIYWSLSA-N 0 3 306.453 4.462 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1cc(F)cc(OC)c1 ZINC001308626357 1066124777 /nfs/dbraw/zinc/12/47/77/1066124777.db2.gz SHPQYNZPPZKBIA-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN COC1(CN2CCn3cccc3[C@H]2c2ccccc2)CCCCC1 ZINC001308626813 1066124864 /nfs/dbraw/zinc/12/48/64/1066124864.db2.gz VAFNULAENREFST-HXUWFJFHSA-N 0 3 324.468 4.242 20 0 DIADHN C[C@@H]1CCN(Cc2cccnc2Br)[C@H]2CCCC[C@@H]12 ZINC001308630842 1066127813 /nfs/dbraw/zinc/12/78/13/1066127813.db2.gz NDAASSXKLSINID-SNPRPXQTSA-N 0 3 323.278 4.245 20 0 DIADHN C[C@H]1CN(Cc2cnc(CC(F)(F)F)s2)CC(C)(C)C1 ZINC001308638199 1066131351 /nfs/dbraw/zinc/13/13/51/1066131351.db2.gz BYMCZRYPNXHINK-SNVBAGLBSA-N 0 3 306.397 4.116 20 0 DIADHN CCSc1cccc(CN(CCO)Cc2ccc(C)cc2)c1 ZINC001308637093 1066131752 /nfs/dbraw/zinc/13/17/52/1066131752.db2.gz OKOSUISUBIZZJA-UHFFFAOYSA-N 0 3 315.482 4.102 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)[NH2+]Cc1ccc([O-])c(F)c1 ZINC001308641556 1066132881 /nfs/dbraw/zinc/13/28/81/1066132881.db2.gz HHBOVIUPSYTDJV-PIGZYNQJSA-N 0 3 323.795 4.051 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001308646968 1066135451 /nfs/dbraw/zinc/13/54/51/1066135451.db2.gz AJLDRENEFKBJMR-ZIAGYGMSSA-N 0 3 302.443 4.304 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001308649205 1066136117 /nfs/dbraw/zinc/13/61/17/1066136117.db2.gz FHVQCEYUZIZPNR-CHWSQXEVSA-N 0 3 313.363 4.439 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@@H]3CCCC[C@H]3C2)c1 ZINC001308650123 1066136279 /nfs/dbraw/zinc/13/62/79/1066136279.db2.gz KFBSMTOMBYCBPF-ZFWWWQNUSA-N 0 3 321.848 4.139 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3cccc4ccoc43)CC2)c1 ZINC001308648294 1066136582 /nfs/dbraw/zinc/13/65/82/1066136582.db2.gz HYDCFOSYQJKYJV-UHFFFAOYSA-N 0 3 320.436 4.372 20 0 DIADHN c1ccc([C@@H]2CSCCN2Cc2cccc3c2NCCC3)cc1 ZINC001308648326 1066136987 /nfs/dbraw/zinc/13/69/87/1066136987.db2.gz FZUUEFYFHXPPMK-IBGZPJMESA-N 0 3 324.493 4.335 20 0 DIADHN CCCc1ccc(CN(C)CCOc2ccc(OC)cc2)s1 ZINC001308654756 1066139129 /nfs/dbraw/zinc/13/91/29/1066139129.db2.gz ZCAWBPURFCMBBF-UHFFFAOYSA-N 0 3 319.470 4.220 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H](C)Cc1ccc(C)cc1 ZINC001308690585 1066182177 /nfs/dbraw/zinc/18/21/77/1066182177.db2.gz WTWKBWQHSJCTII-AWEZNQCLSA-N 0 3 303.833 4.378 20 0 DIADHN COC(=O)c1cc(CN2CCCC3(CCCC3)C2)[nH]c1C(C)C ZINC001308695762 1066185141 /nfs/dbraw/zinc/18/51/41/1066185141.db2.gz IUAVHASATLNBLF-UHFFFAOYSA-N 0 3 318.461 4.081 20 0 DIADHN CC(C)CCCCN[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC001308704182 1066188836 /nfs/dbraw/zinc/18/88/36/1066188836.db2.gz NCDWTEHXPCVWCC-SFHVURJKSA-N 0 3 303.425 4.065 20 0 DIADHN CC[C@@H]1CCC[C@H](Nc2cccc(N3CCN(CC)CC3)c2)C1 ZINC001308705452 1066189953 /nfs/dbraw/zinc/18/99/53/1066189953.db2.gz XFFLFBCTGILLAI-MSOLQXFVSA-N 0 3 315.505 4.209 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3nonc3c1)CC2 ZINC001308711079 1066192003 /nfs/dbraw/zinc/19/20/03/1066192003.db2.gz ZWVBCPHXCLJMFC-QGZVFWFLSA-N 0 3 307.397 4.123 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc3nonc3c1)CC2 ZINC001308711076 1066192763 /nfs/dbraw/zinc/19/27/63/1066192763.db2.gz ZWVBCPHXCLJMFC-KRWDZBQOSA-N 0 3 307.397 4.123 20 0 DIADHN C[C@H]1CCCN(Cc2cnn(-c3ccc(C(F)(F)F)cc3)c2)C1 ZINC001308724478 1066200323 /nfs/dbraw/zinc/20/03/23/1066200323.db2.gz WZEWYYPJODGKFG-ZDUSSCGKSA-N 0 3 323.362 4.123 20 0 DIADHN COc1ccc(SCCN2CC[C@H](C(F)(F)F)[C@@H]2C)cc1 ZINC001308729791 1066205184 /nfs/dbraw/zinc/20/51/84/1066205184.db2.gz LNBPOMNMFBFASX-FZMZJTMJSA-N 0 3 319.392 4.060 20 0 DIADHN Cc1scc(CN[C@H](c2ccccc2)C(C)(C)CO)c1C ZINC001308730206 1066207282 /nfs/dbraw/zinc/20/72/82/1066207282.db2.gz DJPYRJOCTLJOJJ-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN COCCN(Cc1cccc(OC2CC2)c1F)[C@H]1CC[C@H](C)C1 ZINC001308733652 1066208841 /nfs/dbraw/zinc/20/88/41/1066208841.db2.gz ZHUKLSULUBFSJW-HOCLYGCPSA-N 0 3 321.436 4.004 20 0 DIADHN COCCN(Cc1cccc(OC2CC2)c1F)[C@H]1CC[C@@H](C)C1 ZINC001308733653 1066208866 /nfs/dbraw/zinc/20/88/66/1066208866.db2.gz ZHUKLSULUBFSJW-ZBFHGGJFSA-N 0 3 321.436 4.004 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1cccc(C(C)C)c1 ZINC001308742249 1066216348 /nfs/dbraw/zinc/21/63/48/1066216348.db2.gz BMCVXEUQOZQIND-UHFFFAOYSA-N 0 3 312.457 4.244 20 0 DIADHN Cn1cc(CN2CCC[C@](C)(c3ccccc3)CC2)c(Cl)n1 ZINC001308761065 1066233937 /nfs/dbraw/zinc/23/39/37/1066233937.db2.gz SGILDYIPKMIZFW-SFHVURJKSA-N 0 3 317.864 4.017 20 0 DIADHN CN(Cc1cccc(CC2CCC2)c1)[C@@H](CO)c1ccccc1 ZINC001308779506 1066252131 /nfs/dbraw/zinc/25/21/31/1066252131.db2.gz BEKWYMGQBQOYGQ-NRFANRHFSA-N 0 3 309.453 4.195 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc(C)c2C)cc1F ZINC001308783529 1066257110 /nfs/dbraw/zinc/25/71/10/1066257110.db2.gz XTHCPCPVHOXSTP-UHFFFAOYSA-N 0 3 301.405 4.473 20 0 DIADHN Cc1cc(F)ccc1C1CCN(Cc2ccc(O)c(F)c2)CC1 ZINC001308789953 1066264020 /nfs/dbraw/zinc/26/40/20/1066264020.db2.gz LHFFOVBVSSYWFX-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN CO[C@H](CN[C@H](c1c(Cl)cccc1Cl)C1CC1)C1CC1 ZINC001308802229 1066278071 /nfs/dbraw/zinc/27/80/71/1066278071.db2.gz QJWXFJDRJZWQDH-ZBFHGGJFSA-N 0 3 314.256 4.459 20 0 DIADHN Fc1cccc(Cl)c1CC1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1 ZINC001308808236 1066284039 /nfs/dbraw/zinc/28/40/39/1066284039.db2.gz ROWNKCHPPLXFDD-YUELXQCFSA-N 0 3 307.840 4.390 20 0 DIADHN CCOc1cc(C[NH2+][C@H](CC)[C@H]2CC2(C)C)cc(Cl)c1[O-] ZINC001308822361 1066298125 /nfs/dbraw/zinc/29/81/25/1066298125.db2.gz BNUCSWPGNYUEKJ-TZMCWYRMSA-N 0 3 311.853 4.359 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2cnn(Cc3ccccc3)c2Cl)C[C@H]1C ZINC001308824362 1066302472 /nfs/dbraw/zinc/30/24/72/1066302472.db2.gz JWTMZALCPQDGQH-RBSFLKMASA-N 0 3 317.864 4.061 20 0 DIADHN Cc1occc1CN1C[C@H](C)N(Cc2ccccc2)[C@H](C)[C@@H]1C ZINC001308846226 1066323255 /nfs/dbraw/zinc/32/32/55/1066323255.db2.gz OIVQVJIOMTVAKK-YESZJQIVSA-N 0 3 312.457 4.071 20 0 DIADHN Cc1occc1CN1C[C@H](C)N(Cc2ccccc2)[C@@H](C)[C@@H]1C ZINC001308846225 1066324750 /nfs/dbraw/zinc/32/47/50/1066324750.db2.gz OIVQVJIOMTVAKK-ULQDDVLXSA-N 0 3 312.457 4.071 20 0 DIADHN C[C@H]1CN(C[C@H]2CC2(Cl)Cl)CCc2ccc(Cl)cc21 ZINC001308847357 1066324931 /nfs/dbraw/zinc/32/49/31/1066324931.db2.gz IIUASMBTEAVLSR-CMPLNLGQSA-N 0 3 318.675 4.495 20 0 DIADHN COc1ccc(CN2CCC[C@@H](OC(C)C)C2)cc1C(C)(C)C ZINC001308851725 1066329243 /nfs/dbraw/zinc/32/92/43/1066329243.db2.gz UQYLVZIMMBJWKC-QGZVFWFLSA-N 0 3 319.489 4.382 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCO[C@](C)(C3CC3)C2)cc1 ZINC001308858396 1066338176 /nfs/dbraw/zinc/33/81/76/1066338176.db2.gz VEPDFJAJLGNLCS-FQEVSTJZSA-N 0 3 301.474 4.028 20 0 DIADHN COc1cccc([C@]2(C)CCN(Cc3ccc(C)cc3F)C2)c1 ZINC001308865998 1066347680 /nfs/dbraw/zinc/34/76/80/1066347680.db2.gz RKJOHMCATZJAPW-HXUWFJFHSA-N 0 3 313.416 4.306 20 0 DIADHN COc1ccnc(CN[C@H]2CCCC[C@@H]2c2ccccc2)c1F ZINC001308869231 1066348537 /nfs/dbraw/zinc/34/85/37/1066348537.db2.gz KEDQHBGEUWUIIV-CVEARBPZSA-N 0 3 314.404 4.045 20 0 DIADHN C[C@@H](N[C@H](CO)c1cccc(Cl)c1Cl)[C@@H]1C[C@H]1C1CC1 ZINC001308871341 1066350892 /nfs/dbraw/zinc/35/08/92/1066350892.db2.gz BYFMLUAFDSLOAZ-JVBMTESESA-N 0 3 314.256 4.051 20 0 DIADHN CC1(C)[C@H](NCc2cccc(F)c2F)C[C@@H]1Oc1ccccc1 ZINC001308887591 1066368464 /nfs/dbraw/zinc/36/84/64/1066368464.db2.gz XAMQPRMRJCCIEH-SJORKVTESA-N 0 3 317.379 4.301 20 0 DIADHN C[C@H](CCc1ccsc1)NCc1noc2ccc(F)cc21 ZINC001308905053 1066384019 /nfs/dbraw/zinc/38/40/19/1066384019.db2.gz BKAHEAVZHILPRD-LLVKDONJSA-N 0 3 304.390 4.139 20 0 DIADHN CCOc1ccc(CN2CC([C@H]3CCC[C@H](C)C3)C2)cc1OC ZINC001308910698 1066387884 /nfs/dbraw/zinc/38/78/84/1066387884.db2.gz AFHFFBRXXWIKAZ-RDJZCZTQSA-N 0 3 317.473 4.352 20 0 DIADHN CCOc1ccc(CN2CC([C@@H]3CCC[C@H](C)C3)C2)cc1OC ZINC001308910693 1066388035 /nfs/dbraw/zinc/38/80/35/1066388035.db2.gz AFHFFBRXXWIKAZ-DOTOQJQBSA-N 0 3 317.473 4.352 20 0 DIADHN CCCCOc1ccccc1CN1CC(CC2CCOCC2)C1 ZINC001308920298 1066398358 /nfs/dbraw/zinc/39/83/58/1066398358.db2.gz JDTXOFNSPJFHFB-UHFFFAOYSA-N 0 3 317.473 4.114 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H](C)[C@@H]1CC1(F)F ZINC001308921271 1066400122 /nfs/dbraw/zinc/40/01/22/1066400122.db2.gz JBELDEYEIMQEBG-GWCFXTLKSA-N 0 3 303.780 4.261 20 0 DIADHN COc1ccc2nc(CN(C)C[C@@H](C)C(C)(C)C)sc2c1 ZINC001308929671 1066405520 /nfs/dbraw/zinc/40/55/20/1066405520.db2.gz AHZGXGAMYWOEBV-GFCCVEGCSA-N 0 3 306.475 4.419 20 0 DIADHN CN(CCCF)Cc1ccccc1OCc1ccc(F)cc1F ZINC001308946762 1066413881 /nfs/dbraw/zinc/41/38/81/1066413881.db2.gz WGLXHXDBMYTZTG-UHFFFAOYSA-N 0 3 323.358 4.335 20 0 DIADHN C[C@H]1C[C@@H](NCC2(Br)CC2)c2ccsc2S1 ZINC001308964972 1066423124 /nfs/dbraw/zinc/42/31/24/1066423124.db2.gz ISQCCIKZNXGHJJ-WCBMZHEXSA-N 0 3 318.305 4.191 20 0 DIADHN CC(C)O[C@@H](CN[C@@H]1CCCc2cccnc21)c1ccccc1 ZINC001308964171 1066423888 /nfs/dbraw/zinc/42/38/88/1066423888.db2.gz WUHZMGGJWGQOQE-MOPGFXCFSA-N 0 3 310.441 4.215 20 0 DIADHN ClC1(Cl)C[C@@H]1CN[C@@H](Cc1ccccc1)c1ccccn1 ZINC001308967841 1066425167 /nfs/dbraw/zinc/42/51/67/1066425167.db2.gz KQAWZLJVRMFISK-ZBFHGGJFSA-N 0 3 321.251 4.149 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@@H](COC)c2ccc(F)cc2)C1(C)C ZINC001308976799 1066429847 /nfs/dbraw/zinc/42/98/47/1066429847.db2.gz IVZLDWACRIPASG-OKZBNKHCSA-N 0 3 323.452 4.087 20 0 DIADHN N[C@@H](CC(=O)N1CCCCC[C@H]1c1ccccc1)c1ccccc1 ZINC000163597367 334928320 /nfs/dbraw/zinc/92/83/20/334928320.db2.gz YWQSQAPCJZZZAP-PMACEKPBSA-N 0 3 322.452 4.220 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000087407756 185224027 /nfs/dbraw/zinc/22/40/27/185224027.db2.gz MHSWFJVRQWUIMF-SJKOYZFVSA-N 0 3 305.853 4.430 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1cccc(Br)c1 ZINC000547830565 341236073 /nfs/dbraw/zinc/23/60/73/341236073.db2.gz PMQMJJPZHPZEAX-DTWKUNHWSA-N 0 3 310.157 4.298 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN(C)Cc2ccccc2)c1 ZINC000084061523 192399035 /nfs/dbraw/zinc/39/90/35/192399035.db2.gz VQXNELSSVKCSOL-UHFFFAOYSA-N 0 3 314.454 4.092 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCCC[C@@H]3C)co2)cc1 ZINC000072141401 191049333 /nfs/dbraw/zinc/04/93/33/191049333.db2.gz UMNCUOPQHGZJSJ-GUYCJALGSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCCC[C@H]3C)co2)cc1 ZINC000072141402 191049347 /nfs/dbraw/zinc/04/93/47/191049347.db2.gz UMNCUOPQHGZJSJ-CXAGYDPISA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@@H](C)[C@@H](N)c2ccccc2)c1 ZINC000236789449 202008946 /nfs/dbraw/zinc/00/89/46/202008946.db2.gz XBPSDLWZUHAGHQ-HNAYVOBHSA-N 0 3 310.441 4.109 20 0 DIADHN CSc1cc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)ccn1 ZINC000563748676 334985359 /nfs/dbraw/zinc/98/53/59/334985359.db2.gz QDPHUVRPGWBBEH-QGZVFWFLSA-N 0 3 323.465 4.452 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2cccc(F)c2)no1)C1CCCC1 ZINC000187505972 200121667 /nfs/dbraw/zinc/12/16/67/200121667.db2.gz HLSLRXVWHGFWCT-NEPJUHHUSA-N 0 3 303.381 4.105 20 0 DIADHN CCCc1cccc(CNCc2ccc(Cn3ccnc3)cc2)c1 ZINC000187119202 541309588 /nfs/dbraw/zinc/30/95/88/541309588.db2.gz AIBRSPRVOHTEBQ-UHFFFAOYSA-N 0 3 319.452 4.174 20 0 DIADHN Cc1nc(C)c(CCN[C@@H](C)c2ccc3ccccc3n2)s1 ZINC000178025633 370698500 /nfs/dbraw/zinc/69/85/00/370698500.db2.gz CDLIZGUZGGLSIW-LBPRGKRZSA-N 0 3 311.454 4.201 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](c2ccccc2)C(C)C)cn1 ZINC000091288633 193032137 /nfs/dbraw/zinc/03/21/37/193032137.db2.gz LNLLIFDUCBVVCN-FQEVSTJZSA-N 0 3 320.440 4.063 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccncc1 ZINC000091412703 193052921 /nfs/dbraw/zinc/05/29/21/193052921.db2.gz MGFSQPBNUXAWJZ-MZPVMMEZSA-N 0 3 320.795 4.055 20 0 DIADHN O[C@H](C[C@@H]1CCCCCN1Cc1cncs1)c1cccs1 ZINC000091891742 193123030 /nfs/dbraw/zinc/12/30/30/193123030.db2.gz ZAAGYHHTVZQCNQ-DZGCQCFKSA-N 0 3 322.499 4.073 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1cccc(OC(F)F)c1 ZINC000092224043 193176989 /nfs/dbraw/zinc/17/69/89/193176989.db2.gz OGCLNMPJOBCAPS-INIZCTEOSA-N 0 3 306.356 4.266 20 0 DIADHN COc1ccc2cc(CNCCOC3CCCCC3)ccc2c1 ZINC000320428940 335001216 /nfs/dbraw/zinc/00/12/16/335001216.db2.gz KQWPBDBJPGFJQJ-UHFFFAOYSA-N 0 3 313.441 4.287 20 0 DIADHN C[C@@H]1CCC[C@@H](C)C1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000182955041 335005241 /nfs/dbraw/zinc/00/52/41/335005241.db2.gz LTFUCZBGQXNQGU-VXGBXAGGSA-N 0 3 319.836 4.304 20 0 DIADHN c1ccc2c(c1)cccc2CN1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000563781779 335006541 /nfs/dbraw/zinc/00/65/41/335006541.db2.gz KTVWPFGZMLIJJM-VQTJNVASSA-N 0 3 307.437 4.373 20 0 DIADHN COCc1ccc(CN(C)[C@H](C)c2sc(C)nc2C)cc1 ZINC000093275110 193223917 /nfs/dbraw/zinc/22/39/17/193223917.db2.gz RHDHCICBYNPYQC-CYBMUJFWSA-N 0 3 304.459 4.099 20 0 DIADHN CC[C@@H](NCc1sccc1C)c1ccc(OC)c(OC)c1 ZINC000094874932 193404316 /nfs/dbraw/zinc/40/43/16/193404316.db2.gz NTGJYUREMOVVTK-CQSZACIVSA-N 0 3 305.443 4.315 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000017315147 182083024 /nfs/dbraw/zinc/08/30/24/182083024.db2.gz ANPISWUITWHMPJ-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC000017316952 182083083 /nfs/dbraw/zinc/08/30/83/182083083.db2.gz QIPMTBJEUNXGDL-NRFANRHFSA-N 0 3 319.452 4.111 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1)c1cccc(Br)c1 ZINC000019943551 182168413 /nfs/dbraw/zinc/16/84/13/182168413.db2.gz IKZDZDUNMICGAH-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN Brc1ccc(CN[C@@H]2CCc3ccccc32)s1 ZINC000020130009 182190114 /nfs/dbraw/zinc/19/01/14/182190114.db2.gz VYNACOHBPSCLCP-CYBMUJFWSA-N 0 3 308.244 4.288 20 0 DIADHN C[C@H](NCc1ccc(Br)s1)c1cccs1 ZINC000020148503 182192685 /nfs/dbraw/zinc/19/26/85/182192685.db2.gz XSPZWCLTQFXMJO-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000518826379 335021621 /nfs/dbraw/zinc/02/16/21/335021621.db2.gz PASAMHXAAOLOTL-JXFKEZNVSA-N 0 3 324.468 4.137 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](C)c2ccc(C)s2)c1 ZINC000021014621 182260975 /nfs/dbraw/zinc/26/09/75/182260975.db2.gz JPISXBFRKWPFCY-OLZOCXBDSA-N 0 3 302.443 4.427 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2sccc2C)c1 ZINC000024928637 182395270 /nfs/dbraw/zinc/39/52/70/182395270.db2.gz ORNUFNLUBLUYCO-UHFFFAOYSA-N 0 3 302.443 4.151 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccsc1)Cc1ccc(C)cc1 ZINC000525864951 335034722 /nfs/dbraw/zinc/03/47/22/335034722.db2.gz PTKDHZRGVBKHQZ-UHFFFAOYSA-N 0 3 311.454 4.469 20 0 DIADHN CCCNCc1csc(-c2ccc(C(F)(F)F)cc2)n1 ZINC000040429836 183065682 /nfs/dbraw/zinc/06/56/82/183065682.db2.gz KHKNKHFWXYVAFJ-UHFFFAOYSA-N 0 3 300.349 4.329 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(C)s2)cc1OC ZINC000040490949 183079891 /nfs/dbraw/zinc/07/98/91/183079891.db2.gz IJYDINFJNSPXIZ-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425544906 335046607 /nfs/dbraw/zinc/04/66/07/335046607.db2.gz CQZAZTSDBNHLKY-SCLBCKFNSA-N 0 3 321.411 4.307 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H]1CCCc2sccc21 ZINC000119699577 195021490 /nfs/dbraw/zinc/02/14/90/195021490.db2.gz ZIMDIZPZBLFUSE-GOSISDBHSA-N 0 3 323.465 4.409 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000193999359 335058465 /nfs/dbraw/zinc/05/84/65/335058465.db2.gz JXOXHGRSHMRHRB-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3nccn3C(F)F)CC2)cc1 ZINC000272312974 130018581 /nfs/dbraw/zinc/01/85/81/130018581.db2.gz PCTWWEQVHFFQMK-HNNXBMFYSA-N 0 3 321.346 4.143 20 0 DIADHN CC(C)c1cccc(NC(=O)N(C)[C@H](C)C2CCN(C)CC2)c1 ZINC000272376438 130024485 /nfs/dbraw/zinc/02/44/85/130024485.db2.gz SRINBTXFKLHWFM-OAHLLOKOSA-N 0 3 317.477 4.004 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCc3cc(C)ccc32)cc1 ZINC000272749796 130060344 /nfs/dbraw/zinc/06/03/44/130060344.db2.gz NDZAGCLZFDKASU-VBKZILBWSA-N 0 3 308.425 4.292 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(NC(C)=O)cc2)c1 ZINC000272757706 130060782 /nfs/dbraw/zinc/06/07/82/130060782.db2.gz PGTMFHBKUFCQKU-UONOGXRCSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@H]1C[C@H](CCNCc2nc(-c3ccccc3)cs2)CCO1 ZINC000273007780 130081832 /nfs/dbraw/zinc/08/18/32/130081832.db2.gz BKCVRUIYCNCBIP-LSDHHAIUSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2csc(-c3ccsc3)n2)CCO1 ZINC000273078424 130088191 /nfs/dbraw/zinc/08/81/91/130088191.db2.gz QCGAGHCSSYUPGB-OLZOCXBDSA-N 0 3 322.499 4.166 20 0 DIADHN Cc1ccc(CN(C)[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000273353403 130108996 /nfs/dbraw/zinc/10/89/96/130108996.db2.gz BNMOGJVKJPXUDR-AEFFLSMTSA-N 0 3 303.377 4.079 20 0 DIADHN Fc1ccc([C@H](NCC2CCSCC2)c2ccccc2)nc1 ZINC000273382341 130114444 /nfs/dbraw/zinc/11/44/44/130114444.db2.gz RHHNPZTZBGTQBG-GOSISDBHSA-N 0 3 316.445 4.043 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCCc3c(Cl)cccc32)cnn1C ZINC000273390242 130115275 /nfs/dbraw/zinc/11/52/75/130115275.db2.gz KKJKWSIVYUKRGN-DIFFPNOSSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000273393673 130116082 /nfs/dbraw/zinc/11/60/82/130116082.db2.gz FBIMQLGVWFKIAS-XCRHUMRWSA-N 0 3 303.377 4.298 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1c(F)cccc1Cl)c1cccnc1 ZINC000273450739 130123802 /nfs/dbraw/zinc/12/38/02/130123802.db2.gz FZXOVPJUUGGDTE-JKSUJKDBSA-N 0 3 322.811 4.039 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1cccnc1 ZINC000273485585 130128379 /nfs/dbraw/zinc/12/83/79/130128379.db2.gz NHDCVKPQQUNMAR-GHNFSYLKSA-N 0 3 316.470 4.011 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](NCc3cccc(F)c3F)CCO2)cc1 ZINC000273517098 130130855 /nfs/dbraw/zinc/13/08/55/130130855.db2.gz RZVCOCUTPWXIEQ-RDJZCZTQSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCO[C@@H](c3ccccc3)C2)cs1 ZINC000274309329 130225818 /nfs/dbraw/zinc/22/58/18/130225818.db2.gz TWLZKHLGGXQRAE-YLQAJVPDSA-N 0 3 302.443 4.022 20 0 DIADHN Cn1cc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c(C(F)F)n1 ZINC000274461530 130237922 /nfs/dbraw/zinc/23/79/22/130237922.db2.gz HLQOBQHGBGHUSD-OAHLLOKOSA-N 0 3 323.362 4.128 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)ccc1F ZINC000274789657 130261915 /nfs/dbraw/zinc/26/19/15/130261915.db2.gz RCNPTUGENZMUHN-CQSZACIVSA-N 0 3 301.405 4.212 20 0 DIADHN C[C@@H](NCCCCOCc1ccccc1)c1ccc(Cl)cn1 ZINC000274885569 130270138 /nfs/dbraw/zinc/27/01/38/130270138.db2.gz ILWIDDCYEHSTLF-OAHLLOKOSA-N 0 3 318.848 4.383 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(Cl)cn1)c1ccco1 ZINC000274926736 130274955 /nfs/dbraw/zinc/27/49/55/130274955.db2.gz QATIJZBLOJXSQY-CJNGLKHVSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1nc2ccccc2c(NCc2ccc([C@@H](C)O)c(C)n2)c1C ZINC000275382768 130313482 /nfs/dbraw/zinc/31/34/82/130313482.db2.gz BNNFFKDXXZXQBH-OAHLLOKOSA-N 0 3 321.424 4.220 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCC[C@@H]1NCc1ccno1 ZINC000275419847 130317142 /nfs/dbraw/zinc/31/71/42/130317142.db2.gz HMRXGJXZCDCNMJ-ZFWWWQNUSA-N 0 3 310.319 4.119 20 0 DIADHN C[C@H](NC[C@H]1CCc2nccn2C1)c1cc(Cl)ccc1Cl ZINC000275553030 130336355 /nfs/dbraw/zinc/33/63/55/130336355.db2.gz NLQPYXUGZHLXTN-NWDGAFQWSA-N 0 3 324.255 4.103 20 0 DIADHN Cc1ccc2cc(CNCc3ccc(C(C)(C)O)cc3)ccc2n1 ZINC000275566624 130339032 /nfs/dbraw/zinc/33/90/32/130339032.db2.gz FQVIIJBCCLVIPA-UHFFFAOYSA-N 0 3 320.436 4.060 20 0 DIADHN C[C@H](NC[C@H]1CCc2nccn2C1)c1ccc(Cl)cc1Cl ZINC000275648503 130353168 /nfs/dbraw/zinc/35/31/68/130353168.db2.gz UFMZYAAAFYGALF-NWDGAFQWSA-N 0 3 324.255 4.103 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1sc(Cc2ccccc2)nc1C ZINC000276074853 130434819 /nfs/dbraw/zinc/43/48/19/130434819.db2.gz AJQDVHOYAZNSHP-YDHLFZDLSA-N 0 3 318.486 4.116 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C)s1)CCS2 ZINC000276150934 130447248 /nfs/dbraw/zinc/44/72/48/130447248.db2.gz PBOWOYWJSUNMJB-HNNXBMFYSA-N 0 3 305.468 4.392 20 0 DIADHN C[C@H](N[C@H]1CCOC[C@H]1C)c1cc(Cl)ccc1OC(F)F ZINC000278502266 130696799 /nfs/dbraw/zinc/69/67/99/130696799.db2.gz LRLPQZRYNPDUNU-NRUUGDAUSA-N 0 3 319.779 4.017 20 0 DIADHN Fc1cncc([C@@H](N[C@H]2CCSc3ccccc32)C2CC2)c1 ZINC000281762444 130883569 /nfs/dbraw/zinc/88/35/69/130883569.db2.gz WKLDHZNBGGUSIG-WMZOPIPTSA-N 0 3 314.429 4.499 20 0 DIADHN COCCC[C@H](NCc1ccc(C)s1)c1ccc(F)cc1 ZINC000281974988 130894822 /nfs/dbraw/zinc/89/48/22/130894822.db2.gz OEBJWUODAXPMET-KRWDZBQOSA-N 0 3 307.434 4.453 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccncc1F)c1ccsc1 ZINC000340178364 131000333 /nfs/dbraw/zinc/00/03/33/131000333.db2.gz ZQGDQTGZNVUODS-CXAGYDPISA-N 0 3 321.465 4.016 20 0 DIADHN C[C@H](c1nc(-c2cccc3ncccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000283759608 131019818 /nfs/dbraw/zinc/01/98/18/131019818.db2.gz BFZLGZJBAZSKRW-MGPQQGTHSA-N 0 3 322.412 4.219 20 0 DIADHN Clc1ccc(-c2ncc(CN[C@@H]3CCSC3)s2)cc1 ZINC000283814774 131026118 /nfs/dbraw/zinc/02/61/18/131026118.db2.gz DKPOZRXFRGQYCE-GFCCVEGCSA-N 0 3 310.875 4.059 20 0 DIADHN FC1(F)CC(NCc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC000283837581 131028036 /nfs/dbraw/zinc/02/80/36/131028036.db2.gz HDPPWPMPUZVHKY-UHFFFAOYSA-N 0 3 314.788 4.351 20 0 DIADHN Cc1nccnc1[C@@H](C)NCCCCc1ccc(Cl)s1 ZINC000284158303 131054114 /nfs/dbraw/zinc/05/41/14/131054114.db2.gz DWAHBOJFLDCASV-LLVKDONJSA-N 0 3 309.866 4.173 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccnc(OC(F)F)c2)cc1 ZINC000284183525 131056955 /nfs/dbraw/zinc/05/69/55/131056955.db2.gz AOOHFQJIYRSHEY-NSHDSACASA-N 0 3 324.396 4.256 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H]2CC(C)(C)c3ccccc32)cn1 ZINC000284256596 131064338 /nfs/dbraw/zinc/06/43/38/131064338.db2.gz FZVVAPDKFCJNAM-QGZVFWFLSA-N 0 3 309.457 4.286 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)no1 ZINC000284303469 131068400 /nfs/dbraw/zinc/06/84/00/131068400.db2.gz YVFPIXDUPORWNX-IEZWGBDMSA-N 0 3 314.429 4.110 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)no1 ZINC000284303464 131068841 /nfs/dbraw/zinc/06/88/41/131068841.db2.gz YVFPIXDUPORWNX-AYBZRNKSSA-N 0 3 314.429 4.110 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc(C(C)(C)C)nc1)C1CC1 ZINC000284352055 131072306 /nfs/dbraw/zinc/07/23/06/131072306.db2.gz MIZTXZBDUTVCEQ-GOSISDBHSA-N 0 3 309.457 4.323 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@H](C)c2sccc2C)cc1 ZINC000284353951 131073268 /nfs/dbraw/zinc/07/32/68/131073268.db2.gz MOVHIZSXAOEBGY-PBHICJAKSA-N 0 3 318.486 4.019 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccnc(OC(F)F)c2)s1 ZINC000284437051 131080847 /nfs/dbraw/zinc/08/08/47/131080847.db2.gz SLDWBPVIRGJMFP-JTQLQIEISA-N 0 3 312.385 4.158 20 0 DIADHN Cc1ccc([C@H](NCc2cnc(C(C)(C)C)nc2)C2CC2)cc1 ZINC000284492097 131085442 /nfs/dbraw/zinc/08/54/42/131085442.db2.gz XBHAPAJOQMXLSE-SFHVURJKSA-N 0 3 309.457 4.323 20 0 DIADHN CCC[C@@H](NCc1ccnc(OC(F)F)c1)c1ccsc1 ZINC000284518920 131087989 /nfs/dbraw/zinc/08/79/89/131087989.db2.gz YXQMVWJPORESCT-CYBMUJFWSA-N 0 3 312.385 4.376 20 0 DIADHN CN(C)[C@H](CNc1nccc2ccc(F)cc21)c1cccs1 ZINC000340201856 131149454 /nfs/dbraw/zinc/14/94/54/131149454.db2.gz BKLIYOIUEKLFTN-OAHLLOKOSA-N 0 3 315.417 4.150 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000285492335 131174534 /nfs/dbraw/zinc/17/45/34/131174534.db2.gz AJEFWLINGYTVNW-SQGPQFPESA-N 0 3 310.441 4.209 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC[C@@](O)(C(F)(F)F)CC1 ZINC000285510410 131175720 /nfs/dbraw/zinc/17/57/20/131175720.db2.gz JUBZOCMRXMZEMU-CABCVRRESA-N 0 3 319.342 4.056 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3ccc4c(c3)COC4)ccc2[nH]1 ZINC000285513541 131176162 /nfs/dbraw/zinc/17/61/62/131176162.db2.gz BHRUSOSCOUELAT-CQSZACIVSA-N 0 3 306.409 4.357 20 0 DIADHN Cc1ccnc([C@H](C)NCCCCOCCc2ccccc2)c1 ZINC000285511043 131176201 /nfs/dbraw/zinc/17/62/01/131176201.db2.gz DHXLNUNXCOMHTL-SFHVURJKSA-N 0 3 312.457 4.080 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccc2c(c1)OCCO2 ZINC000285520820 131176745 /nfs/dbraw/zinc/17/67/45/131176745.db2.gz RSBCAHYDLNAPAA-CYBMUJFWSA-N 0 3 309.365 4.055 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](C(F)(F)F)C1)c1c(F)cncc1F ZINC000285532512 131178496 /nfs/dbraw/zinc/17/84/96/131178496.db2.gz CRVFVJJQMAXICR-GUBZILKMSA-N 0 3 308.294 4.132 20 0 DIADHN C[C@@H](NC1CCC(C(F)(F)F)CC1)c1c(F)cncc1F ZINC000285567808 131181375 /nfs/dbraw/zinc/18/13/75/131181375.db2.gz GKTFFQQCFOOZPX-XNWIYYODSA-N 0 3 308.294 4.132 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C)s2)c(C)c1OC ZINC000285616347 131186461 /nfs/dbraw/zinc/18/64/61/131186461.db2.gz KMAJLRYTTWFGBM-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3ccc4c(c3)OCCO4)ccc2[nH]1 ZINC000285656050 131191224 /nfs/dbraw/zinc/19/12/24/131191224.db2.gz LXYINHOKJSDRMC-AWEZNQCLSA-N 0 3 322.408 4.098 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2csc(-c3ccccc3)n2)c1 ZINC000285690194 131193405 /nfs/dbraw/zinc/19/34/05/131193405.db2.gz OKEFLTFDGMJDNZ-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H](c2ccccc2)C2CC2)c1 ZINC000285717183 131194988 /nfs/dbraw/zinc/19/49/88/131194988.db2.gz PWMCXFPKHYGQBS-YVEFUNNKSA-N 0 3 315.438 4.337 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3ccccc32)c(C)c1OC ZINC000285728603 131196964 /nfs/dbraw/zinc/19/69/64/131196964.db2.gz QNHLJAZBWDECET-RDTXWAMCSA-N 0 3 311.425 4.350 20 0 DIADHN CCOC1CC(CN(Cc2cccc(C)c2)Cc2ccccn2)C1 ZINC000285780578 131201343 /nfs/dbraw/zinc/20/13/43/131201343.db2.gz XIFUUKAFQPQCHJ-UHFFFAOYSA-N 0 3 324.468 4.207 20 0 DIADHN Cc1cc2cc(CN[C@H](c3cncc(F)c3)C3CC3)ccc2[nH]1 ZINC000285801958 131203708 /nfs/dbraw/zinc/20/37/08/131203708.db2.gz VXQHGOUCYJTERU-IBGZPJMESA-N 0 3 309.388 4.251 20 0 DIADHN Cc1ccnc([C@H](C)NCC2(CCOCc3ccccc3)CC2)c1 ZINC000285932294 131210410 /nfs/dbraw/zinc/21/04/10/131210410.db2.gz CYHVLXIIIKQRLP-SFHVURJKSA-N 0 3 324.468 4.428 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(C)ccn1)c1ccc(Cl)cc1 ZINC000285967053 131212205 /nfs/dbraw/zinc/21/22/05/131212205.db2.gz GXNNJTPNLGXDSG-CXAGYDPISA-N 0 3 304.821 4.082 20 0 DIADHN Cc1ccnc([C@@H](C)NCCCOc2cccc3cccnc32)c1 ZINC000286055463 131217751 /nfs/dbraw/zinc/21/77/51/131217751.db2.gz LFMNQMPOBWPZNX-MRXNPFEDSA-N 0 3 321.424 4.058 20 0 DIADHN CCOC1CC(CN(C)[C@H](C)c2nc3ccccc3s2)C1 ZINC000286361057 131233026 /nfs/dbraw/zinc/23/30/26/131233026.db2.gz JMNPWFUKIKDONY-IYXRBSQSSA-N 0 3 304.459 4.104 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2cc(C)sc2C)c1 ZINC000286369445 131233164 /nfs/dbraw/zinc/23/31/64/131233164.db2.gz ADFACCUDWLPFDI-LBPRGKRZSA-N 0 3 318.442 4.394 20 0 DIADHN Cc1cnn(C)c1CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000286390134 131233817 /nfs/dbraw/zinc/23/38/17/131233817.db2.gz GZBBNMSLUWGDCY-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000286868436 131253369 /nfs/dbraw/zinc/25/33/69/131253369.db2.gz BOKOGNLMFRJJSV-FZKQIMNGSA-N 0 3 319.832 4.123 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCSC2)c2ccccc2)nc1 ZINC000286908715 131255085 /nfs/dbraw/zinc/25/50/85/131255085.db2.gz DYVUVFONXXCNFD-KSSFIOAISA-N 0 3 316.445 4.043 20 0 DIADHN FC(F)c1ccnc(CN[C@H]2CCCC[C@@H]2c2ccccc2)n1 ZINC000286971992 131258134 /nfs/dbraw/zinc/25/81/34/131258134.db2.gz IMBYXBNAUJYOBI-CABCVRRESA-N 0 3 317.383 4.230 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cncc(C)c2)c(C)c1OC ZINC000287169050 131267777 /nfs/dbraw/zinc/26/77/77/131267777.db2.gz UNEFZROENMGDCT-LSDHHAIUSA-N 0 3 314.429 4.127 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000287231118 131270176 /nfs/dbraw/zinc/27/01/76/131270176.db2.gz YEHLWNQWAUBRDZ-PXAZEXFGSA-N 0 3 307.796 4.253 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2cc(C)cc(C)c2)c1 ZINC000287381391 131278029 /nfs/dbraw/zinc/27/80/29/131278029.db2.gz IEXFUUDGIUUWBQ-OAHLLOKOSA-N 0 3 312.413 4.333 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(F)c2ccccc21 ZINC000287451169 131280566 /nfs/dbraw/zinc/28/05/66/131280566.db2.gz VCJWZBIOWQVPME-BFUOFWGJSA-N 0 3 323.415 4.441 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(F)c2ccccc21 ZINC000287451173 131281003 /nfs/dbraw/zinc/28/10/03/131281003.db2.gz VCJWZBIOWQVPME-ORAYPTAESA-N 0 3 323.415 4.441 20 0 DIADHN C[C@@H](NCCn1ccc2cc(Cl)ccc21)c1cncs1 ZINC000287498048 131283580 /nfs/dbraw/zinc/28/35/80/131283580.db2.gz NOTPXBWPWUOGLK-LLVKDONJSA-N 0 3 305.834 4.102 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2sccc2C)c1 ZINC000287614406 131290295 /nfs/dbraw/zinc/29/02/95/131290295.db2.gz SPVVNKFHUULBCS-LBPRGKRZSA-N 0 3 304.415 4.086 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1 ZINC000287884720 131304665 /nfs/dbraw/zinc/30/46/65/131304665.db2.gz YPPMGPNURLLSCL-HNAYVOBHSA-N 0 3 306.413 4.069 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H](c2ccccc2)C2CCCC2)s1 ZINC000289044696 131353877 /nfs/dbraw/zinc/35/38/77/131353877.db2.gz AYMSWRZPHFKWEA-DYVFJYSZSA-N 0 3 316.470 4.218 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)cc1 ZINC000289132680 131360436 /nfs/dbraw/zinc/36/04/36/131360436.db2.gz FBYNIZAKFQFMFN-VLIAUNLRSA-N 0 3 320.436 4.388 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000289142715 131361444 /nfs/dbraw/zinc/36/14/44/131361444.db2.gz FRTAZTWPPQBUPJ-HNAYVOBHSA-N 0 3 307.441 4.020 20 0 DIADHN COCCOCc1ccc(CN(C)[C@@H](C)c2cccs2)cc1 ZINC000289161308 131362635 /nfs/dbraw/zinc/36/26/35/131362635.db2.gz QZYLNOUIHKSYON-HNNXBMFYSA-N 0 3 319.470 4.104 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H](CC(C)(C)C)c2ccccc2)s1 ZINC000289164808 131362928 /nfs/dbraw/zinc/36/29/28/131362928.db2.gz DWUHXBSHAAIBMP-BBRMVZONSA-N 0 3 318.486 4.464 20 0 DIADHN C[C@H](NCCOC1CCC1)c1ccc(Oc2cccnc2)cc1 ZINC000289161447 131362946 /nfs/dbraw/zinc/36/29/46/131362946.db2.gz DPVANFIQKONYHK-HNNXBMFYSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3N[C@@H](CO)c1ccccc1 ZINC000289316661 131373432 /nfs/dbraw/zinc/37/34/32/131373432.db2.gz UFWUYMUAEDSETQ-UXHICEINSA-N 0 3 320.436 4.177 20 0 DIADHN Cc1cc(CN[C@H](c2cccs2)C(C)(C)CO)c(C)s1 ZINC000289393028 131382475 /nfs/dbraw/zinc/38/24/75/131382475.db2.gz RCLCBNZSCNABKQ-OAHLLOKOSA-N 0 3 309.500 4.276 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)NCc2[nH]ncc2C)cc1 ZINC000289416134 131384551 /nfs/dbraw/zinc/38/45/51/131384551.db2.gz CAFOIOGOSBVMLC-OAHLLOKOSA-N 0 3 321.424 4.245 20 0 DIADHN Cc1nocc1CNCC[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000293544343 131744562 /nfs/dbraw/zinc/74/45/62/131744562.db2.gz KODQEHHHKNEXDQ-LLVKDONJSA-N 0 3 312.335 4.285 20 0 DIADHN Cc1noc(C)c1CN[C@@H](Cc1ccccc1Cl)C1CC1 ZINC000293761299 131766564 /nfs/dbraw/zinc/76/65/64/131766564.db2.gz OZBUZWPNCBQTHL-KRWDZBQOSA-N 0 3 304.821 4.056 20 0 DIADHN C[C@H](NC1CCSCC1)c1ccc(Oc2ccccc2)cn1 ZINC000297140247 132001599 /nfs/dbraw/zinc/00/15/99/132001599.db2.gz ZLOXOXZHNBKMHH-AWEZNQCLSA-N 0 3 314.454 4.420 20 0 DIADHN C[C@H]1C[C@@H](N[C@H]2C[C@H](c3ccccc3Cl)C2)c2nccn21 ZINC000297334903 132010139 /nfs/dbraw/zinc/01/01/39/132010139.db2.gz OEFVLAHKQQOGQT-WFGGJUAMSA-N 0 3 301.821 4.078 20 0 DIADHN CCOc1cc(CNCc2ccc(C)cc2SC)ccc1O ZINC000297343119 132011020 /nfs/dbraw/zinc/01/10/20/132011020.db2.gz DQSDOMIHTLKBQT-UHFFFAOYSA-N 0 3 317.454 4.111 20 0 DIADHN C[C@H](NCC(C)(C)c1ccccn1)c1ccc2ccccc2n1 ZINC000297390296 132013194 /nfs/dbraw/zinc/01/31/94/132013194.db2.gz RTLWGDVMXCYCFU-HNNXBMFYSA-N 0 3 305.425 4.258 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccn1)c1ccc2ccccc2n1 ZINC000297390298 132013423 /nfs/dbraw/zinc/01/34/23/132013423.db2.gz RTLWGDVMXCYCFU-OAHLLOKOSA-N 0 3 305.425 4.258 20 0 DIADHN Oc1cccc2c1CC[C@H]2N[C@H]1CCCc2nc(Cl)ccc21 ZINC000297592628 132024371 /nfs/dbraw/zinc/02/43/71/132024371.db2.gz HROKYAXMPOMOTL-GOEBONIOSA-N 0 3 314.816 4.095 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2cccc(Cl)c2)c2nccn21 ZINC000297657131 132027607 /nfs/dbraw/zinc/02/76/07/132027607.db2.gz KLXVSVVZZPOHPR-SWLSCSKDSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@@H](CCN[C@@H]1CCn2ccnc21)c1cccc(C(F)(F)F)c1 ZINC000297668698 132028143 /nfs/dbraw/zinc/02/81/43/132028143.db2.gz KVYZUICTMFGFTE-SWLSCSKDSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@H](CCN[C@@H]1CCn2ccnc21)c1cccc(C(F)(F)F)c1 ZINC000297668694 132028674 /nfs/dbraw/zinc/02/86/74/132028674.db2.gz KVYZUICTMFGFTE-IUODEOHRSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2ccccc2Cl)c2nccn21 ZINC000297741260 132031574 /nfs/dbraw/zinc/03/15/74/132031574.db2.gz NYOFYIGXMRXOMD-SWLSCSKDSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(c2ccncn2)CC1 ZINC000298041854 132044909 /nfs/dbraw/zinc/04/49/09/132044909.db2.gz DILHVHXLOXUTRL-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN CSc1ccc(C)c(NC2CCN(c3ccncc3)CC2)c1 ZINC000298538186 132067643 /nfs/dbraw/zinc/06/76/43/132067643.db2.gz SSNLHJGBAPQLPK-UHFFFAOYSA-N 0 3 313.470 4.193 20 0 DIADHN CSc1ccccc1NC(=O)CCN[C@@H](C)c1ccccc1 ZINC000298701878 132074236 /nfs/dbraw/zinc/07/42/36/132074236.db2.gz AAPWZTBSSPAMCZ-AWEZNQCLSA-N 0 3 314.454 4.088 20 0 DIADHN Nc1cc(CN[C@H](c2cccc(Cl)c2)C2CCCC2)ccn1 ZINC000299071961 132087907 /nfs/dbraw/zinc/08/79/07/132087907.db2.gz SXSRUCMHKUDHRE-SFHVURJKSA-N 0 3 315.848 4.338 20 0 DIADHN CCOc1cc(CN[C@H]2CCSc3ccccc32)ccc1O ZINC000299270187 132095847 /nfs/dbraw/zinc/09/58/47/132095847.db2.gz SPYZMOPBGMHOGD-HNNXBMFYSA-N 0 3 315.438 4.118 20 0 DIADHN O=c1ccc2c([nH]1)CCC[C@@H]2NCc1ccc(-c2ccccc2)o1 ZINC000299335387 132099864 /nfs/dbraw/zinc/09/98/64/132099864.db2.gz MGXJNKINYPGKLC-KRWDZBQOSA-N 0 3 320.392 4.214 20 0 DIADHN CCC[C@@H](NCc1ccnc(N)c1)c1cccc(C(F)(F)F)c1 ZINC000299514292 132108925 /nfs/dbraw/zinc/10/89/25/132108925.db2.gz CKFNNJBBMRCSAG-OAHLLOKOSA-N 0 3 323.362 4.314 20 0 DIADHN CC(C)[C@@H](NCc1ccnc(N)c1)c1cccc(C(F)(F)F)c1 ZINC000299677554 132115728 /nfs/dbraw/zinc/11/57/28/132115728.db2.gz MTUHGJOHSZNCGJ-MRXNPFEDSA-N 0 3 323.362 4.170 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](C)c3ccc(C)cc3)c(C)n2n1 ZINC000119636610 132134239 /nfs/dbraw/zinc/13/42/39/132134239.db2.gz BPUINAMKGFVWSJ-HUUCEWRRSA-N 0 3 308.429 4.066 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000119910953 132136866 /nfs/dbraw/zinc/13/68/66/132136866.db2.gz IGSRJCZKUJTGTQ-CQSZACIVSA-N 0 3 319.836 4.448 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000119910790 132137616 /nfs/dbraw/zinc/13/76/16/132137616.db2.gz IGSRJCZKUJTGTQ-AWEZNQCLSA-N 0 3 319.836 4.448 20 0 DIADHN CC(C)(C)[C@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000040463606 132141206 /nfs/dbraw/zinc/14/12/06/132141206.db2.gz XXFYZMIONZPEHB-OAHLLOKOSA-N 0 3 306.475 4.033 20 0 DIADHN CC(C)NCc1csc(-c2cccc(Br)c2)n1 ZINC000040418821 132141339 /nfs/dbraw/zinc/14/13/39/132141339.db2.gz MJLZDTJCGZCHEP-UHFFFAOYSA-N 0 3 311.248 4.071 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(OC(F)(F)F)cc1)c1ccncc1 ZINC000120249386 132142944 /nfs/dbraw/zinc/14/29/44/132142944.db2.gz QJEIUNCHARGZBZ-RYUDHWBXSA-N 0 3 310.319 4.392 20 0 DIADHN COCc1cccc(CN[C@H](C)c2cccc(OC(F)F)c2)c1 ZINC000121423752 132156275 /nfs/dbraw/zinc/15/62/75/132156275.db2.gz UYBSFGQQQJRKAD-CYBMUJFWSA-N 0 3 321.367 4.285 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1cccc(C(F)(F)F)c1 ZINC000122169139 132162233 /nfs/dbraw/zinc/16/22/33/132162233.db2.gz IAVPCQGPDZZWNX-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(C(F)(F)F)cc1 ZINC000122284625 132162351 /nfs/dbraw/zinc/16/23/51/132162351.db2.gz QLFZPQHCBCQKPV-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN Cc1ccccc1CN[C@H](CC(=O)OC(C)C)c1ccccc1 ZINC000340774247 132186446 /nfs/dbraw/zinc/18/64/46/132186446.db2.gz HSYRSLUGQTXMBR-LJQANCHMSA-N 0 3 311.425 4.168 20 0 DIADHN C[C@H](CN(C)Cc1ccc(Br)cn1)c1ccccc1 ZINC000514099274 335067787 /nfs/dbraw/zinc/06/77/87/335067787.db2.gz MVVFHOVWOZXOTG-CYBMUJFWSA-N 0 3 319.246 4.080 20 0 DIADHN COc1ccc2cc([C@H](C)NCCOC3CCCC3)ccc2c1 ZINC000320540123 335080760 /nfs/dbraw/zinc/08/07/60/335080760.db2.gz DGOWKCCENPHNKQ-HNNXBMFYSA-N 0 3 313.441 4.458 20 0 DIADHN C[C@H](c1ccccc1F)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425553589 335086947 /nfs/dbraw/zinc/08/69/47/335086947.db2.gz MQVUCLWJYVBVSO-KDOFPFPSSA-N 0 3 303.421 4.168 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425558712 335089758 /nfs/dbraw/zinc/08/97/58/335089758.db2.gz YCAJBYAVPDAHBK-UGSOOPFHSA-N 0 3 321.411 4.307 20 0 DIADHN C[C@@H](CCCCNC(=O)OC(C)(C)C)N[C@@H](C)c1ccco1 ZINC000289781545 332780189 /nfs/dbraw/zinc/78/01/89/332780189.db2.gz NXHZIDQQPLPECI-KBPBESRZSA-N 0 3 310.438 4.014 20 0 DIADHN CC[C@H](CN[C@@H](Cc1cccnc1)c1ccc(Cl)cc1)OC ZINC000570763924 332812404 /nfs/dbraw/zinc/81/24/04/332812404.db2.gz MEMMZNUKLOASIO-MSOLQXFVSA-N 0 3 318.848 4.033 20 0 DIADHN CC[C@@H](CN[C@H](Cc1cccnc1)c1ccc(Cl)cc1)OC ZINC000570763929 332812486 /nfs/dbraw/zinc/81/24/86/332812486.db2.gz MEMMZNUKLOASIO-ZWKOTPCHSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1ccccc1OCCN[C@@H](C)c1nccc2ccccc21 ZINC000570763770 332812501 /nfs/dbraw/zinc/81/25/01/332812501.db2.gz KIXRDQPJQIXCBQ-INIZCTEOSA-N 0 3 306.409 4.273 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@@H](C)c1ccccc1Cl ZINC000174659832 332859724 /nfs/dbraw/zinc/85/97/24/332859724.db2.gz WJIDALDPMHONQQ-AWEZNQCLSA-N 0 3 316.832 4.328 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1Br ZINC000160326323 332878137 /nfs/dbraw/zinc/87/81/37/332878137.db2.gz BDNBOVOSSOSENH-NWDGAFQWSA-N 0 3 312.251 4.057 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccs2)cc(Cl)c1OC ZINC000034992355 331465297 /nfs/dbraw/zinc/46/52/97/331465297.db2.gz IXQPEFBLTIDJCX-JTQLQIEISA-N 0 3 311.834 4.270 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1C)c1ccc(Cl)cc1 ZINC000024252209 331519282 /nfs/dbraw/zinc/51/92/82/331519282.db2.gz GGTIVCLTCKJOAO-MRXNPFEDSA-N 0 3 316.832 4.328 20 0 DIADHN C[C@H](NCCCn1ccnc1)c1cc(F)c(Cl)cc1Cl ZINC000037249363 331548732 /nfs/dbraw/zinc/54/87/32/331548732.db2.gz WAZPNNZFGZRNHZ-JTQLQIEISA-N 0 3 316.207 4.070 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC3(OC)CCC3)oc21 ZINC000180199722 331563986 /nfs/dbraw/zinc/56/39/86/331563986.db2.gz QRKWCGDPRWPGLX-ZDUSSCGKSA-N 0 3 303.402 4.051 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H](C)c1cccc(C)c1 ZINC000180232278 331564399 /nfs/dbraw/zinc/56/43/99/331564399.db2.gz CFVHTZYJKMRFNM-MRXNPFEDSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCC[C@H]2CCCCO2)c1 ZINC000180266142 331565235 /nfs/dbraw/zinc/56/52/35/331565235.db2.gz WOJUIRYCXWZWII-UONOGXRCSA-N 0 3 313.388 4.206 20 0 DIADHN CC(C)(CCO)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000180684736 331575664 /nfs/dbraw/zinc/57/56/64/331575664.db2.gz CBEVWNHNTZBJBY-SFHVURJKSA-N 0 3 317.860 4.428 20 0 DIADHN CCc1ncc(CN[C@H](C)c2ccc3c(c2)CCCC3)s1 ZINC000037924391 331591040 /nfs/dbraw/zinc/59/10/40/331591040.db2.gz FNBCGYDUXVUHJQ-CYBMUJFWSA-N 0 3 300.471 4.435 20 0 DIADHN OC[C@@H](N[C@@H]1CCC12CCCC2)c1ccc(C(F)(F)F)cc1 ZINC000530545480 331591295 /nfs/dbraw/zinc/59/12/95/331591295.db2.gz UYEOXJKBRYQNEM-HUUCEWRRSA-N 0 3 313.363 4.051 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2ccc(F)cc2F)cc1 ZINC000530567709 331606836 /nfs/dbraw/zinc/60/68/36/331606836.db2.gz VLQSYHZPMOVADN-RTBURBONSA-N 0 3 317.379 4.283 20 0 DIADHN CC(C)CCN(CCC(C)C)C(=O)c1ccc(CN(C)C)cc1 ZINC000530640159 331614196 /nfs/dbraw/zinc/61/41/96/331614196.db2.gz HXQKETAUGNOADA-UHFFFAOYSA-N 0 3 318.505 4.283 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(c2ncc[nH]2)CC1 ZINC000530711923 331620411 /nfs/dbraw/zinc/62/04/11/331620411.db2.gz QLAWFPCSEDUAKY-INIZCTEOSA-N 0 3 303.837 4.394 20 0 DIADHN CCCCS[C@@H](C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000530872507 331631816 /nfs/dbraw/zinc/63/18/16/331631816.db2.gz VNDHNXMTHPSPBX-ZDUSSCGKSA-N 0 3 319.474 4.393 20 0 DIADHN CC(C)OC1CCN(CCOc2ccc3ccccc3c2)CC1 ZINC000530925897 331636437 /nfs/dbraw/zinc/63/64/37/331636437.db2.gz MLRRRJWBCGHHEU-UHFFFAOYSA-N 0 3 313.441 4.108 20 0 DIADHN Cc1ncsc1CCNCc1cc(Cl)sc1Cl ZINC000312550824 331642215 /nfs/dbraw/zinc/64/22/15/331642215.db2.gz ABYUWXJBSXLCGC-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cncs1)Oc1cccc(Cl)c1 ZINC000537954971 331675264 /nfs/dbraw/zinc/67/52/64/331675264.db2.gz BNDMMXPKKBKGCO-YPMHNXCESA-N 0 3 310.850 4.305 20 0 DIADHN C[C@H](NCCOc1ncccc1Cl)c1cccc(Cl)c1 ZINC000537955787 331688632 /nfs/dbraw/zinc/68/86/32/331688632.db2.gz LOCYLKHUMGIABA-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@H]2NCCc1ccco1 ZINC000536301716 331695445 /nfs/dbraw/zinc/69/54/45/331695445.db2.gz BVVROVWLVAPATO-CQSZACIVSA-N 0 3 324.193 4.001 20 0 DIADHN Cc1cc(CN[C@H]2CCCC[C@@H](c3ccccc3)C2)nc(C)n1 ZINC000556404822 331700570 /nfs/dbraw/zinc/70/05/70/331700570.db2.gz XLPIPXPMRAUTIU-MOPGFXCFSA-N 0 3 309.457 4.300 20 0 DIADHN Cc1cc(CN[C@@H]2CCCC[C@@H](c3ccccc3)C2)nc(C)n1 ZINC000556404831 331700575 /nfs/dbraw/zinc/70/05/75/331700575.db2.gz XLPIPXPMRAUTIU-RTBURBONSA-N 0 3 309.457 4.300 20 0 DIADHN CCOc1ccc(CNCc2ccc(COC)cc2)cc1Cl ZINC000556413152 331702004 /nfs/dbraw/zinc/70/20/04/331702004.db2.gz DVEFQZMGXPULBB-UHFFFAOYSA-N 0 3 319.832 4.175 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@@H](CO)CC(F)(F)F)c2)cc1 ZINC000533803563 331706195 /nfs/dbraw/zinc/70/61/95/331706195.db2.gz JVWIENUSOMCACL-QGZVFWFLSA-N 0 3 323.358 4.065 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H]2CCc3ccc(Cl)cc32)CC1 ZINC000556519296 331714065 /nfs/dbraw/zinc/71/40/65/331714065.db2.gz MAMDPWRNHOBFNK-GOSISDBHSA-N 0 3 315.848 4.238 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cnccc2C)cc1Cl ZINC000556611733 331720307 /nfs/dbraw/zinc/72/03/07/331720307.db2.gz VIDIWRTVLCXKTH-CYBMUJFWSA-N 0 3 304.821 4.293 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](c2ncc[nH]2)C2CCCCC2)C1(C)C ZINC000556891222 331749252 /nfs/dbraw/zinc/74/92/52/331749252.db2.gz CHUZTDPHLBIONO-ZACQAIPSSA-N 0 3 319.493 4.213 20 0 DIADHN COc1ccccc1[C@H](CN[C@H](C)c1ccoc1)OC(C)C ZINC000186382283 332925189 /nfs/dbraw/zinc/92/51/89/332925189.db2.gz YEQHOURSBCRRCW-KDOFPFPSSA-N 0 3 303.402 4.105 20 0 DIADHN Cc1cncc([C@H](C)NCC(C)(C)Oc2cc(F)cc(F)c2)c1 ZINC000556911914 331751661 /nfs/dbraw/zinc/75/16/61/331751661.db2.gz MMZRALHMKKLINK-ZDUSSCGKSA-N 0 3 320.383 4.176 20 0 DIADHN Cc1cccc(CCCN[C@@H](C)c2ccc(F)cc2Cl)n1 ZINC000556914246 331753190 /nfs/dbraw/zinc/75/31/90/331753190.db2.gz YBFKFOOJRMCOIL-ZDUSSCGKSA-N 0 3 306.812 4.466 20 0 DIADHN Cc1cccc(CCCN[C@H](C)c2ccc(F)cc2Cl)n1 ZINC000556914243 331753339 /nfs/dbraw/zinc/75/33/39/331753339.db2.gz YBFKFOOJRMCOIL-CYBMUJFWSA-N 0 3 306.812 4.466 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](CNCc2csc(C3CC3)n2)C1 ZINC000530974758 331768590 /nfs/dbraw/zinc/76/85/90/331768590.db2.gz PGNPMEWTJOZIBB-ZYHUDNBSSA-N 0 3 318.408 4.479 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1cc(Cl)sc1Cl ZINC000557144044 331772383 /nfs/dbraw/zinc/77/23/83/331772383.db2.gz LTEIRVRQDBEXMF-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN Clc1cccc(CCNCc2coc(-c3cccs3)n2)c1 ZINC000557192093 331775399 /nfs/dbraw/zinc/77/53/99/331775399.db2.gz PYGZDDJYEVZJCY-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN[C@@H](C)C1CCCCC1 ZINC000557255310 331778634 /nfs/dbraw/zinc/77/86/34/331778634.db2.gz KZZWRFBRQUZXOQ-ZDUSSCGKSA-N 0 3 308.853 4.145 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)N[C@@H]1CCc2sc(Cl)cc21 ZINC000557261157 331778959 /nfs/dbraw/zinc/77/89/59/331778959.db2.gz CSZWWECECGJLIR-GXSJLCMTSA-N 0 3 315.866 4.099 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H](OC)c2ccc(OC)cc2)o1 ZINC000557281776 331780860 /nfs/dbraw/zinc/78/08/60/331780860.db2.gz LDJCKMYUXJBTPO-CKFHNAJUSA-N 0 3 317.429 4.277 20 0 DIADHN COC1(C)CCN([C@H](C)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000557571228 331799739 /nfs/dbraw/zinc/79/97/39/331799739.db2.gz FNRLPKAPFNZVFD-GFCCVEGCSA-N 0 3 317.351 4.147 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CC[C@@H]3SC)oc21 ZINC000557781561 331815823 /nfs/dbraw/zinc/81/58/23/331815823.db2.gz ANVKXSABCPGPJO-RBOXIYTFSA-N 0 3 305.443 4.376 20 0 DIADHN COc1cccc(Cl)c1CNC1CCC2(CCOCC2)CC1 ZINC000557822495 331818929 /nfs/dbraw/zinc/81/89/29/331818929.db2.gz RBZVMSMFVBGSMW-UHFFFAOYSA-N 0 3 323.864 4.178 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)[C@H](C)N[C@H](C)c1cc(C)sc1C ZINC000255955710 331828753 /nfs/dbraw/zinc/82/87/53/331828753.db2.gz BUNISQCFYCKUNO-IJEWVQPXSA-N 0 3 322.518 4.195 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccncc2C)cc1OC ZINC000565873393 331839559 /nfs/dbraw/zinc/83/95/59/331839559.db2.gz GNUGVYNAKXDWFI-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN CCCC[C@@H](C)[C@H](C)NCc1ccncc1OCC(F)F ZINC000558167067 331881985 /nfs/dbraw/zinc/88/19/85/331881985.db2.gz WWMJLDOLSUQWCS-OLZOCXBDSA-N 0 3 300.393 4.030 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](N[C@H]1C[C@@H]1C(F)F)CCCO2 ZINC000558254743 331888940 /nfs/dbraw/zinc/88/89/40/331888940.db2.gz BAGLXUBHAWQKTJ-DRZSPHRISA-N 0 3 301.764 4.105 20 0 DIADHN C[C@H](NCCC1CCOCC1)c1ccc(Cl)cc1Cl ZINC000311527494 331896543 /nfs/dbraw/zinc/89/65/43/331896543.db2.gz VINJOJRJKFYTFM-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(Cl)c2)cc1OC ZINC000040444117 331902318 /nfs/dbraw/zinc/90/23/18/331902318.db2.gz KAJPNMSGUFPJPY-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN CC[C@@H](N[C@@H](CCOC)COC)c1ccc(Cl)c(Cl)c1 ZINC000313209916 331912743 /nfs/dbraw/zinc/91/27/43/331912743.db2.gz PDEHPJHWQQGIDO-SWLSCSKDSA-N 0 3 320.260 4.086 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000558506134 331914368 /nfs/dbraw/zinc/91/43/68/331914368.db2.gz UUFIRIRONQVPHA-GYSYKLTISA-N 0 3 321.408 4.123 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@@H](CSC)c1ccc(C(F)(F)F)cc1 ZINC000558506138 331914372 /nfs/dbraw/zinc/91/43/72/331914372.db2.gz UUFIRIRONQVPHA-SUNKGSAMSA-N 0 3 321.408 4.123 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cc(Cl)ccc1OC(F)F)OC ZINC000558503861 331914485 /nfs/dbraw/zinc/91/44/85/331914485.db2.gz ZQYUDOASLCSJBR-ONGXEEELSA-N 0 3 307.768 4.017 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN1CCC2(CCO2)CC1 ZINC000558636277 331931188 /nfs/dbraw/zinc/93/11/88/331931188.db2.gz BSVKTKSJDNMDEV-UHFFFAOYSA-N 0 3 311.400 4.248 20 0 DIADHN CCCOc1cc(CNCC(C)(C)C(F)(F)F)ccc1OC ZINC000558680786 331934300 /nfs/dbraw/zinc/93/43/00/331934300.db2.gz FQFUGYKDRTXJRY-UHFFFAOYSA-N 0 3 319.367 4.162 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CCC(C)(C)c3ccccc32)c1C ZINC000558794353 331943490 /nfs/dbraw/zinc/94/34/90/331943490.db2.gz SNJJDSDIJSVKSX-IBGZPJMESA-N 0 3 311.473 4.422 20 0 DIADHN CCc1ccccc1[C@@H]1C[C@H]1C(=O)Nc1ccccc1CN(C)C ZINC000558820427 331946072 /nfs/dbraw/zinc/94/60/72/331946072.db2.gz BGCZSRNHJAKOKJ-RBUKOAKNSA-N 0 3 322.452 4.053 20 0 DIADHN Cc1cnc(CNCC2(c3ccc(F)cc3Cl)CC2)s1 ZINC000449212885 331957637 /nfs/dbraw/zinc/95/76/37/331957637.db2.gz VQDFEJBVNYREFG-UHFFFAOYSA-N 0 3 310.825 4.065 20 0 DIADHN C[C@@H](NCCC1C[C@@H](C)O[C@H](C)C1)c1nc2c(s1)CCCC2 ZINC000558974664 331962987 /nfs/dbraw/zinc/96/29/87/331962987.db2.gz DXHYAQVEZXCSEL-MGPQQGTHSA-N 0 3 322.518 4.266 20 0 DIADHN CC(C)CCOC1CCN(CCc2ccccc2Cl)CC1 ZINC000517877462 331975747 /nfs/dbraw/zinc/97/57/47/331975747.db2.gz UNWFKLBCBGINMH-UHFFFAOYSA-N 0 3 309.881 4.410 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Cc1csc2ccccc12 ZINC000559146887 331982145 /nfs/dbraw/zinc/98/21/45/331982145.db2.gz YOJKKCIETDVJBM-UHFFFAOYSA-N 0 3 324.449 4.144 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(F)c(C)c1)c1ccsc1 ZINC000518264365 332002522 /nfs/dbraw/zinc/00/25/22/332002522.db2.gz BDZWVBZTHVZNSC-GOSISDBHSA-N 0 3 320.477 4.368 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCc1ccc2[nH]cnc2c1 ZINC000518266181 332002878 /nfs/dbraw/zinc/00/28/78/332002878.db2.gz NUKKAVRCDXPJNI-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCc1ccc2nc[nH]c2c1 ZINC000518266181 332002880 /nfs/dbraw/zinc/00/28/80/332002880.db2.gz NUKKAVRCDXPJNI-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN CCCOc1c(CNCCc2ccc(C)o2)cccc1OCC ZINC000518265232 332003044 /nfs/dbraw/zinc/00/30/44/332003044.db2.gz RSHJCFJCWCCZCB-UHFFFAOYSA-N 0 3 317.429 4.108 20 0 DIADHN Fc1cc(CNCCCSc2ccccc2)cc(F)c1F ZINC000518272809 332004148 /nfs/dbraw/zinc/00/41/48/332004148.db2.gz TVFDQNVTEIXTPR-UHFFFAOYSA-N 0 3 311.372 4.376 20 0 DIADHN c1coc(CCNCc2ccccc2OCc2ccccc2)c1 ZINC000518272716 332004412 /nfs/dbraw/zinc/00/44/12/332004412.db2.gz GXZQUTIFPXJSLC-UHFFFAOYSA-N 0 3 307.393 4.191 20 0 DIADHN CC(C)(Cc1ccccc1Cl)NCc1cccc2c1OCO2 ZINC000518275269 332005418 /nfs/dbraw/zinc/00/54/18/332005418.db2.gz NARHGUGOXWEKPJ-UHFFFAOYSA-N 0 3 317.816 4.180 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(C)cc1C)c1ccco1 ZINC000518280514 332006109 /nfs/dbraw/zinc/00/61/09/332006109.db2.gz UBPYMAMUJFRCEG-SFHVURJKSA-N 0 3 300.446 4.069 20 0 DIADHN CC(C)COc1ccc(CCNCc2ccc(Cl)o2)cc1 ZINC000518284442 332006451 /nfs/dbraw/zinc/00/64/51/332006451.db2.gz OYFJRABTBOFDFQ-UHFFFAOYSA-N 0 3 307.821 4.300 20 0 DIADHN Fc1cc(F)cc([C@H]2C[C@H](NCc3cccc(F)c3F)C2)c1 ZINC000518294943 332008611 /nfs/dbraw/zinc/00/86/11/332008611.db2.gz SCHGORWPHQCSJJ-NNUKFRKNSA-N 0 3 309.306 4.279 20 0 DIADHN COc1ccc(F)c(CNC[C@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000559282417 332014879 /nfs/dbraw/zinc/01/48/79/332014879.db2.gz RQFSFBLMNJJKDY-WCQYABFASA-N 0 3 319.342 4.293 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1ccc(F)c(F)c1 ZINC000128914082 332015883 /nfs/dbraw/zinc/01/58/83/332015883.db2.gz HLLYIGNJULOIBN-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN CCc1noc(C)c1CNC/C(C)=C\c1ccc(Cl)cc1 ZINC000559314026 332023231 /nfs/dbraw/zinc/02/32/31/332023231.db2.gz DYKJEDAHDKYBTH-XFXZXTDPSA-N 0 3 304.821 4.392 20 0 DIADHN COC1(CCNCc2cc(C(F)(F)F)ccc2Cl)CCC1 ZINC000559312499 332023514 /nfs/dbraw/zinc/02/35/14/332023514.db2.gz VMROXTYADUREAF-UHFFFAOYSA-N 0 3 321.770 4.408 20 0 DIADHN C[C@H](CN1CCCCC1)N[C@@H]1C[C@H](C)Sc2sccc21 ZINC000130307825 332024048 /nfs/dbraw/zinc/02/40/48/332024048.db2.gz GWWMDZIWDSFSOQ-VNHYZAJKSA-N 0 3 310.532 4.138 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccccc2Cl)o1)C1CC1 ZINC000559336216 332029077 /nfs/dbraw/zinc/02/90/77/332029077.db2.gz KBHDDNVYENIHSZ-QGZVFWFLSA-N 0 3 305.805 4.115 20 0 DIADHN C[C@H](CN[C@H](C)c1cn2ccccc2n1)Sc1ccccc1 ZINC000569355814 332029195 /nfs/dbraw/zinc/02/91/95/332029195.db2.gz ZMIMJQJIJWEEAY-HUUCEWRRSA-N 0 3 311.454 4.166 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCc3ccc4cnn(C)c4c3)oc2c1 ZINC000564653733 332029664 /nfs/dbraw/zinc/02/96/64/332029664.db2.gz BBZIMFHORPZVCE-CQSZACIVSA-N 0 3 319.408 4.479 20 0 DIADHN CC(C)SCCNCc1cnc(-c2ccccc2F)s1 ZINC000449316979 332030250 /nfs/dbraw/zinc/03/02/50/332030250.db2.gz ATVBFXJEHWXLKZ-UHFFFAOYSA-N 0 3 310.463 4.180 20 0 DIADHN Cc1ccc(OCCCN2CCC(OCC(C)C)CC2)cc1C ZINC000520042965 332030396 /nfs/dbraw/zinc/03/03/96/332030396.db2.gz REAYLVIJKIPTQD-UHFFFAOYSA-N 0 3 319.489 4.209 20 0 DIADHN c1c2ccccc2oc1CNCCc1nc2ccccc2s1 ZINC000409446998 332031170 /nfs/dbraw/zinc/03/11/70/332031170.db2.gz JRDAGCSMRDFPKM-UHFFFAOYSA-N 0 3 308.406 4.375 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)on1 ZINC000409447256 332031608 /nfs/dbraw/zinc/03/16/08/332031608.db2.gz HYYISQZAGCSQNK-HOTGVXAUSA-N 0 3 304.434 4.002 20 0 DIADHN c1ccc(N[C@@H]2CCN(C[C@@H]3CCC4(CCCCC4)O3)C2)cc1 ZINC000409447934 332033253 /nfs/dbraw/zinc/03/32/53/332033253.db2.gz TVDOCQZWQGRKCP-MOPGFXCFSA-N 0 3 314.473 4.055 20 0 DIADHN CCC[C@@H](NC(=O)c1ccc(CN(C)C)cc1)C1CCCCC1 ZINC000409496404 332034702 /nfs/dbraw/zinc/03/47/02/332034702.db2.gz XCNPXTFKYXATMX-LJQANCHMSA-N 0 3 316.489 4.227 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1ccc(Cl)s1 ZINC000426307333 332041281 /nfs/dbraw/zinc/04/12/81/332041281.db2.gz QDEUMNLZHVFXLV-NEPJUHHUSA-N 0 3 324.852 4.470 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2csc(Cl)c2)s1 ZINC000426307453 332042548 /nfs/dbraw/zinc/04/25/48/332042548.db2.gz RCGLMBYJDZCNCJ-SECBINFHSA-N 0 3 315.895 4.270 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)c1 ZINC000426307513 332042564 /nfs/dbraw/zinc/04/25/64/332042564.db2.gz ROFFGNOCUGTXRS-IYOUNJFTSA-N 0 3 301.455 4.410 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1oc(CC)nc1C ZINC000426328039 332056864 /nfs/dbraw/zinc/05/68/64/332056864.db2.gz XAQSAAPJVDUSAR-QGZVFWFLSA-N 0 3 300.446 4.437 20 0 DIADHN C[C@@H]1CCC[C@@H]1N[C@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000409848872 332058871 /nfs/dbraw/zinc/05/88/71/332058871.db2.gz FPZZEBJNGHAUGE-MNEFBYGVSA-N 0 3 308.425 4.145 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NC1(c2ccc(F)cc2)CC1 ZINC000518473148 332060237 /nfs/dbraw/zinc/06/02/37/332060237.db2.gz SWLUIBZFVVHSMU-SFHVURJKSA-N 0 3 311.400 4.491 20 0 DIADHN CC[C@@H](N[C@@H](C(=O)N1CCCCC1)c1ccccc1)C(C)(C)C ZINC000518484261 332064128 /nfs/dbraw/zinc/06/41/28/332064128.db2.gz PAZNUGPDYLDZDH-QZTJIDSGSA-N 0 3 316.489 4.155 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2C/C=C/c2ccncc2)c1 ZINC000426144659 332064586 /nfs/dbraw/zinc/06/45/86/332064586.db2.gz GBUFXPVUGNXDBN-CGJGEUGISA-N 0 3 308.425 4.187 20 0 DIADHN CC(F)(F)CCNCc1cc(Cl)ccc1OCC1CC1 ZINC000449354404 332065451 /nfs/dbraw/zinc/06/54/51/332065451.db2.gz NEYDNLOFTVQVJN-UHFFFAOYSA-N 0 3 303.780 4.264 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)o1 ZINC000572599049 332076410 /nfs/dbraw/zinc/07/64/10/332076410.db2.gz LSMCNHUXXOHMCU-NWDGAFQWSA-N 0 3 304.356 4.146 20 0 DIADHN C[C@@H](NCCN(C)c1ccccc1)c1nccc2ccccc21 ZINC000569413793 332077447 /nfs/dbraw/zinc/07/74/47/332077447.db2.gz WYGWSGYAUSFLBM-MRXNPFEDSA-N 0 3 305.425 4.022 20 0 DIADHN FC(F)(F)Oc1ccc(C2(NCc3cccs3)CC2)cc1 ZINC000449368077 332080248 /nfs/dbraw/zinc/08/02/48/332080248.db2.gz CETORJFQXFTOJD-UHFFFAOYSA-N 0 3 313.344 4.426 20 0 DIADHN CC[C@H](NC[C@@H](C)c1nccs1)c1cc(C)ccc1OC ZINC000186724038 332942916 /nfs/dbraw/zinc/94/29/16/332942916.db2.gz WXSXHJKAUTVSDI-HIFRSBDPSA-N 0 3 304.459 4.305 20 0 DIADHN CC[C@@H](NC[C@H](C)c1nccs1)c1cc(C)ccc1OC ZINC000186724088 332942944 /nfs/dbraw/zinc/94/29/44/332942944.db2.gz WXSXHJKAUTVSDI-DZGCQCFKSA-N 0 3 304.459 4.305 20 0 DIADHN CC(C)OCCN(Cc1cccc(Br)c1)C(C)C ZINC000518598771 332089983 /nfs/dbraw/zinc/08/99/83/332089983.db2.gz XKNVDSLQWGHSLK-UHFFFAOYSA-N 0 3 314.267 4.085 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1cnc(-c2ccco2)s1 ZINC000449375225 332090901 /nfs/dbraw/zinc/09/09/01/332090901.db2.gz IBRWRWDHCVCHFJ-LLVKDONJSA-N 0 3 312.385 4.318 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1cnc(C2CCC2)s1 ZINC000449377923 332094906 /nfs/dbraw/zinc/09/49/06/332094906.db2.gz PUUUHOOTRAYRIW-LBPRGKRZSA-N 0 3 300.418 4.326 20 0 DIADHN C[C@H]1CCc2nc(CNC[C@@H]3CCCCC3(F)F)sc2C1 ZINC000449379356 332096820 /nfs/dbraw/zinc/09/68/20/332096820.db2.gz SWWTUOOJTDUACT-RYUDHWBXSA-N 0 3 314.445 4.183 20 0 DIADHN CC(C)N1CC[C@@H](N[C@H](C)c2cccc(-c3ccccc3)c2)C1=O ZINC000537981608 332943546 /nfs/dbraw/zinc/94/35/46/332943546.db2.gz SIHYHNFTZKJTHT-OXQOHEQNSA-N 0 3 322.452 4.014 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@H](c4ccccc4)C3)o2)cc1 ZINC000521200564 332100310 /nfs/dbraw/zinc/10/03/10/332100310.db2.gz ABGSXUKLQITACQ-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN c1cnn(Cc2ccccc2CN[C@H](c2ccccc2)C2CC2)c1 ZINC000091268306 332102549 /nfs/dbraw/zinc/10/25/49/332102549.db2.gz SHALSVWNKLVGJB-OAQYLSRUSA-N 0 3 317.436 4.172 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)N1c2ccccc2CC[C@H]1C ZINC000410230252 332103258 /nfs/dbraw/zinc/10/32/58/332103258.db2.gz NCDSRSIXCZIHMF-IXDOHACOSA-N 0 3 322.452 4.094 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)N1c2ccccc2CC[C@H]1C ZINC000410230251 332103416 /nfs/dbraw/zinc/10/34/16/332103416.db2.gz NCDSRSIXCZIHMF-IKGGRYGDSA-N 0 3 322.452 4.094 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCc1cccc(OC(F)(F)F)c1 ZINC000091704060 332110552 /nfs/dbraw/zinc/11/05/52/332110552.db2.gz JNBXGHJIKHKISN-ZDUSSCGKSA-N 0 3 324.346 4.216 20 0 DIADHN COc1ccc(CCCN(C)Cc2cnc3ccccc3c2)cc1 ZINC000410333556 332113534 /nfs/dbraw/zinc/11/35/34/332113534.db2.gz SRNGXNPUVMPDAM-UHFFFAOYSA-N 0 3 320.436 4.308 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3cccc(F)c3F)nc21 ZINC000410357756 332116863 /nfs/dbraw/zinc/11/68/63/332116863.db2.gz FYWDADALNIVKDJ-GFCCVEGCSA-N 0 3 316.351 4.308 20 0 DIADHN c1ccc([C@@H]2CSCCN2CCCCC2CCOCC2)cc1 ZINC000410388220 332122554 /nfs/dbraw/zinc/12/25/54/332122554.db2.gz YCXPROJSWFWZQJ-IBGZPJMESA-N 0 3 319.514 4.373 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cnc2ccccc2c1 ZINC000410388011 332122665 /nfs/dbraw/zinc/12/26/65/332122665.db2.gz PDVHGTIIUYRPLQ-MRXNPFEDSA-N 0 3 320.436 4.306 20 0 DIADHN CC(C)[C@@H](CO)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000092393138 332127408 /nfs/dbraw/zinc/12/74/08/332127408.db2.gz YYIOZGJFONFWJX-GZMMTYOYSA-N 0 3 310.652 4.314 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1cnc(-c2cccs2)s1)OC ZINC000449399761 332128817 /nfs/dbraw/zinc/12/88/17/332128817.db2.gz DDHKTZUWEAQWNS-DGCLKSJQSA-N 0 3 310.488 4.022 20 0 DIADHN C[C@H](CCc1ccccc1)NCc1cccc(C(F)(F)F)n1 ZINC000449399981 332129287 /nfs/dbraw/zinc/12/92/87/332129287.db2.gz GIABSFGCTIXOPG-CYBMUJFWSA-N 0 3 308.347 4.211 20 0 DIADHN CC[C@@H](O)CCN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000132764135 332132984 /nfs/dbraw/zinc/13/29/84/332132984.db2.gz NITUXQXQOOSCJQ-RKDXNWHRSA-N 0 3 310.652 4.458 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000132906857 332134481 /nfs/dbraw/zinc/13/44/81/332134481.db2.gz TZALKEIVWQGRHU-QWHCGFSZSA-N 0 3 317.454 4.494 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1csc(C(C)(C)C)n1 ZINC000132961334 332135099 /nfs/dbraw/zinc/13/50/99/332135099.db2.gz ADKPXOLAVCEKJG-AWEZNQCLSA-N 0 3 302.487 4.470 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2cccc(C(F)(F)F)n2)CS1 ZINC000449402831 332136457 /nfs/dbraw/zinc/13/64/57/332136457.db2.gz SWMHMGKBDIEJOB-WCQYABFASA-N 0 3 318.408 4.110 20 0 DIADHN COCCOCCCCN[C@H](C)c1cccc(Cl)c1Cl ZINC000133139613 332137018 /nfs/dbraw/zinc/13/70/18/332137018.db2.gz QKFUFQHOWQWKLW-GFCCVEGCSA-N 0 3 320.260 4.087 20 0 DIADHN Cc1cccc2c1OC[C@@H](N[C@@H](C)c1nccc3ccccc31)C2 ZINC000569563925 332139190 /nfs/dbraw/zinc/13/91/90/332139190.db2.gz ZFSIPLWRPUIVGB-YJBOKZPZSA-N 0 3 318.420 4.198 20 0 DIADHN C[C@@H](O)[C@@H]1CCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC000180858681 332139723 /nfs/dbraw/zinc/13/97/23/332139723.db2.gz DVIIWIHWKODWED-NVXWUHKLSA-N 0 3 313.466 4.041 20 0 DIADHN C[C@H](O)[C@H]1CCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC000180858702 332139758 /nfs/dbraw/zinc/13/97/58/332139758.db2.gz DVIIWIHWKODWED-RDJZCZTQSA-N 0 3 313.466 4.041 20 0 DIADHN CSCCCCN[C@H](C)c1ccccc1Br ZINC000133613341 332140908 /nfs/dbraw/zinc/14/09/08/332140908.db2.gz NTGUYCKFNVUNEH-LLVKDONJSA-N 0 3 302.281 4.243 20 0 DIADHN Clc1cccc(-c2noc(CN3C[C@H]4CCCC[C@@H]4C3)n2)c1 ZINC000426797789 332154732 /nfs/dbraw/zinc/15/47/32/332154732.db2.gz CLGUNOPDLLMUFK-ZIAGYGMSSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2C[C@@H]3CCCC[C@@H]3C2)c(C)c1 ZINC000426802875 332155359 /nfs/dbraw/zinc/15/53/59/332155359.db2.gz YJQIQMAAKFLHJX-OKZBNKHCSA-N 0 3 314.473 4.061 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2C[C@@H]2C(F)F)c2cccc(Br)c21 ZINC000554094985 332163367 /nfs/dbraw/zinc/16/33/67/332163367.db2.gz AFVWJLMHUNQLTA-PVPBFOTQSA-N 0 3 316.189 4.241 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)c1 ZINC000426981823 332163917 /nfs/dbraw/zinc/16/39/17/332163917.db2.gz GXNQJUIWQLSRBI-IAQYHMDHSA-N 0 3 316.367 4.273 20 0 DIADHN CSCC1CCC(NCc2ncc(C(C)(C)C)s2)CC1 ZINC000449425786 332168709 /nfs/dbraw/zinc/16/87/09/332168709.db2.gz SMFNJHNYQVMMFR-UHFFFAOYSA-N 0 3 312.548 4.452 20 0 DIADHN CCc1nc(C)c(CN[C@H]2CCCC[C@H]2Cc2ccccc2)o1 ZINC000428422110 332169425 /nfs/dbraw/zinc/16/94/25/332169425.db2.gz MSALZIBLEVBEPF-ROUUACIJSA-N 0 3 312.457 4.437 20 0 DIADHN CCOC1(C)CCN(Cc2ccccc2C(F)(F)F)CC1 ZINC000428731456 332173760 /nfs/dbraw/zinc/17/37/60/332173760.db2.gz GIDNBYVCBDOJRK-UHFFFAOYSA-N 0 3 301.352 4.096 20 0 DIADHN Cc1cc(Br)ccc1CN(C)[C@H](C)c1ccncc1 ZINC000181203705 332187084 /nfs/dbraw/zinc/18/70/84/332187084.db2.gz MNXROSFRJNAILO-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN Cc1cc(Br)ccc1CN(C)[C@@H](C)c1ccncc1 ZINC000181203685 332187176 /nfs/dbraw/zinc/18/71/76/332187176.db2.gz MNXROSFRJNAILO-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN C[C@@H](NC[C@H]1N(C)CCCC1(C)C)c1ccc2ccccc2n1 ZINC000448022676 332188728 /nfs/dbraw/zinc/18/87/28/332188728.db2.gz PFPOIMFAYFJLEE-DNVCBOLYSA-N 0 3 311.473 4.006 20 0 DIADHN C[C@H](NC[C@H]1N(C)CCCC1(C)C)c1ccc2ccccc2n1 ZINC000448022677 332188791 /nfs/dbraw/zinc/18/87/91/332188791.db2.gz PFPOIMFAYFJLEE-HNAYVOBHSA-N 0 3 311.473 4.006 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H]2N(C)CCCC2(C)C)cs1 ZINC000448023807 332189812 /nfs/dbraw/zinc/18/98/12/332189812.db2.gz VCTXXCFUFRKBIC-UKRRQHHQSA-N 0 3 309.523 4.038 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccnc(C(F)(F)F)c2)CS1 ZINC000449439686 332192798 /nfs/dbraw/zinc/19/27/98/332192798.db2.gz WDAZBCGJNUQKHG-YPMHNXCESA-N 0 3 318.408 4.110 20 0 DIADHN c1ccc2sc(CN(C[C@H]3CCCO3)C3CCCC3)nc2c1 ZINC000215663302 332195696 /nfs/dbraw/zinc/19/56/96/332195696.db2.gz ZUWPUDAXEANZPQ-OAHLLOKOSA-N 0 3 316.470 4.220 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)[C@H](C)c2ccccc2)c(C)c1 ZINC000181524885 332197059 /nfs/dbraw/zinc/19/70/59/332197059.db2.gz PAPYWQQEILKNKK-DLBZAZTESA-N 0 3 310.441 4.024 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)[C@H](C)c2ccccc2)c(C)c1 ZINC000181524864 332197073 /nfs/dbraw/zinc/19/70/73/332197073.db2.gz PAPYWQQEILKNKK-IRXDYDNUSA-N 0 3 310.441 4.024 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](C)[C@@H](C)c2ccccc2)c1 ZINC000181543109 332197724 /nfs/dbraw/zinc/19/77/24/332197724.db2.gz MHIJXUJNPCBXEN-IAGOWNOFSA-N 0 3 310.441 4.024 20 0 DIADHN c1c2ccccc2oc1[C@H](NC[C@H]1CCSC1)c1cccnc1 ZINC000448027331 332198106 /nfs/dbraw/zinc/19/81/06/332198106.db2.gz MNMFJMUINWVBOH-AUUYWEPGSA-N 0 3 324.449 4.260 20 0 DIADHN Fc1ccc2oc(CN[C@@H](Cc3ccccc3)C3CC3)nc2c1 ZINC000181547168 332198782 /nfs/dbraw/zinc/19/87/82/332198782.db2.gz VGEDYHBPDJZLRT-INIZCTEOSA-N 0 3 310.372 4.078 20 0 DIADHN CS[C@H]1CCN(Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000449445416 332200305 /nfs/dbraw/zinc/20/03/05/332200305.db2.gz JHCTUNNSKSWAEW-AWEZNQCLSA-N 0 3 304.484 4.056 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC(CF)CC3)s2)cc1 ZINC000449452525 332206897 /nfs/dbraw/zinc/20/68/97/332206897.db2.gz GCGJLPBYJOEBCE-UHFFFAOYSA-N 0 3 304.434 4.300 20 0 DIADHN CCOCc1ccc(CN[C@@H](CC)c2ccccc2OC)cc1 ZINC000151644165 332212458 /nfs/dbraw/zinc/21/24/58/332212458.db2.gz MVNGAYMKPHNGRT-IBGZPJMESA-N 0 3 313.441 4.473 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(C)c(F)c1 ZINC000536392342 332238546 /nfs/dbraw/zinc/23/85/46/332238546.db2.gz HHLBEOGHZQAITL-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000363764254 332243115 /nfs/dbraw/zinc/24/31/15/332243115.db2.gz HZCHMGYULFRIQD-SRVKXCTJSA-N 0 3 307.825 4.475 20 0 DIADHN CC(C)N(CCOc1ccc(Cl)cc1)Cc1cccnc1 ZINC000536454145 332248894 /nfs/dbraw/zinc/24/88/94/332248894.db2.gz QDGDVGNMCAHAEX-UHFFFAOYSA-N 0 3 304.821 4.024 20 0 DIADHN FC(F)(F)CCN(CC1CC1)C[C@H]1CCC2(CCCC2)O1 ZINC000554817235 332251804 /nfs/dbraw/zinc/25/18/04/332251804.db2.gz AKGALMOXFZLGBH-CQSZACIVSA-N 0 3 305.384 4.143 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@@H](CC4CC4)C3)on2)cc1 ZINC000559409942 332256119 /nfs/dbraw/zinc/25/61/19/332256119.db2.gz IOPLFXSMUXAJDQ-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@H](CC3CC3)C2)n1 ZINC000559418110 332257579 /nfs/dbraw/zinc/25/75/79/332257579.db2.gz MHABDQXNOXIEHY-GDBMZVCRSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@H](CC3CC3)C2)n1 ZINC000559418111 332257681 /nfs/dbraw/zinc/25/76/81/332257681.db2.gz MHABDQXNOXIEHY-GOEBONIOSA-N 0 3 311.429 4.228 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccccn3)n2)[C@@H]2CCC[C@@H]21 ZINC000559425726 332257802 /nfs/dbraw/zinc/25/78/02/332257802.db2.gz SHQVAWQEHPZWFQ-GOEBONIOSA-N 0 3 313.470 4.216 20 0 DIADHN Cc1cccc(-c2noc(CN3CC(C)(C)[C@H]4CCC[C@H]43)n2)c1 ZINC000559432943 332258263 /nfs/dbraw/zinc/25/82/63/332258263.db2.gz ROUFAADWTHFCPG-JKSUJKDBSA-N 0 3 311.429 4.056 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccc(F)c3)n2)[C@@H]2CCC[C@@H]21 ZINC000559426610 332258629 /nfs/dbraw/zinc/25/86/29/332258629.db2.gz GCVNYLHFGYUADH-DLBZAZTESA-N 0 3 314.404 4.491 20 0 DIADHN C[C@@H](COCC(F)(F)F)N[C@@H](C)c1cc2ccccc2o1 ZINC000537973007 332261372 /nfs/dbraw/zinc/26/13/72/332261372.db2.gz NLRSCNLWCCYPEX-QWRGUYRKSA-N 0 3 301.308 4.051 20 0 DIADHN CC1(C)CCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)CC1 ZINC000531101161 332261905 /nfs/dbraw/zinc/26/19/05/332261905.db2.gz KVUJOXZJDXJICN-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN CCc1nc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)cs1 ZINC000531104587 332263845 /nfs/dbraw/zinc/26/38/45/332263845.db2.gz OYXJUBREOBVXTK-HOCLYGCPSA-N 0 3 300.471 4.159 20 0 DIADHN CCC[C@H](N[C@@H](CO)CC(F)(F)F)c1ccc(Cl)cc1 ZINC000358349431 332268290 /nfs/dbraw/zinc/26/82/90/332268290.db2.gz HSGVQZMAPWZTAU-OLZOCXBDSA-N 0 3 309.759 4.084 20 0 DIADHN CC(C)CCOc1ccc(CN[C@H]2CCc3cc(N)ccc32)cc1 ZINC000564666067 332273835 /nfs/dbraw/zinc/27/38/35/332273835.db2.gz DPVLVCXXIQLXAX-NRFANRHFSA-N 0 3 324.468 4.471 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@@H](c3ccncc3)C2)c1 ZINC000559637486 332274783 /nfs/dbraw/zinc/27/47/83/332274783.db2.gz XILQUDDEFZUSFD-OAHLLOKOSA-N 0 3 321.251 4.420 20 0 DIADHN CC[C@H](NCc1ccc(C)c(F)c1)c1ccc(C(=O)OC)cc1 ZINC000559735077 332277896 /nfs/dbraw/zinc/27/78/96/332277896.db2.gz WOUIEEGDRDMYGY-SFHVURJKSA-N 0 3 315.388 4.162 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccccc1)c1ccc(F)c2ccccc21 ZINC000559774552 332280839 /nfs/dbraw/zinc/28/08/39/332280839.db2.gz RRIXAJFZOPCOQU-VLIAUNLRSA-N 0 3 309.384 4.363 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1ccc(F)c2ccccc21 ZINC000559845811 332284814 /nfs/dbraw/zinc/28/48/14/332284814.db2.gz UUJLUTMGMWBEFE-AWEZNQCLSA-N 0 3 323.415 4.363 20 0 DIADHN C[C@@H](NC[C@H]1CCCC[C@H]1C(F)(F)F)c1ccncc1F ZINC000559858179 332285976 /nfs/dbraw/zinc/28/59/76/332285976.db2.gz RRONRLRPOOABGQ-NQBHXWOUSA-N 0 3 304.331 4.240 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)COc2cccc(F)c2)cc1 ZINC000559864870 332286004 /nfs/dbraw/zinc/28/60/04/332286004.db2.gz OFBVCBBFJPKIFP-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN COc1ccncc1CN[C@@H]1CCCC[C@@H](c2ccccc2)C1 ZINC000559871285 332287744 /nfs/dbraw/zinc/28/77/44/332287744.db2.gz LHPQCMYTQMJLES-IEBWSBKVSA-N 0 3 310.441 4.296 20 0 DIADHN Cc1cc([C@H](C)NCC[C@H](c2ccccc2)C(F)(F)F)no1 ZINC000559879301 332288972 /nfs/dbraw/zinc/28/89/72/332288972.db2.gz VTFDZCITAUKRCJ-GXTWGEPZSA-N 0 3 312.335 4.370 20 0 DIADHN C[C@H](NCCc1cc2ccccc2s1)c1ccncc1F ZINC000559890832 332289982 /nfs/dbraw/zinc/28/99/82/332289982.db2.gz IRLGNARRSXBPDQ-LBPRGKRZSA-N 0 3 300.402 4.329 20 0 DIADHN Cc1c([C@@H](C)NCC[C@H](C)F)cnn1-c1cccc(Cl)c1 ZINC000559892336 332290200 /nfs/dbraw/zinc/29/02/00/332290200.db2.gz IJFSNMHFFPXJPF-NWDGAFQWSA-N 0 3 309.816 4.233 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@H](C)c2cn3ccccc3n2)C1 ZINC000559913227 332292446 /nfs/dbraw/zinc/29/24/46/332292446.db2.gz HDUJBDYHSMKKHX-BRWVUGGUSA-N 0 3 305.425 4.240 20 0 DIADHN CC1=CC[C@@H](N[C@H](C(=O)Nc2ccccc2)c2ccccc2)CC1 ZINC000559914050 332292468 /nfs/dbraw/zinc/29/24/68/332292468.db2.gz GAMDRHMRUJHCCM-UXHICEINSA-N 0 3 320.436 4.455 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]1F)c1ccc(OCC(F)(F)F)cc1 ZINC000559914791 332292478 /nfs/dbraw/zinc/29/24/78/332292478.db2.gz LXZPKVXHNWNZKP-DDTOSNHZSA-N 0 3 305.315 4.169 20 0 DIADHN CC1=CC[C@@H](N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)CC1 ZINC000559914052 332292536 /nfs/dbraw/zinc/29/25/36/332292536.db2.gz GAMDRHMRUJHCCM-WOJBJXKFSA-N 0 3 320.436 4.455 20 0 DIADHN CC(C)c1ccc(NC(=O)C[C@H](C)N[C@@H]2CCC[C@@H]2F)cc1 ZINC000559915850 332292807 /nfs/dbraw/zinc/29/28/07/332292807.db2.gz MZUBTJABGVEFGE-RRQGHBQHSA-N 0 3 306.425 4.007 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2ccccc2Cl)n1 ZINC000559912148 332293641 /nfs/dbraw/zinc/29/36/41/332293641.db2.gz REQMGWOLTUDHMR-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)N(C)Cc1ccccc1)c1ccccc1 ZINC000096967050 332295197 /nfs/dbraw/zinc/29/51/97/332295197.db2.gz ZYAJURFPOGHPPP-FXAWDEMLSA-N 0 3 324.468 4.020 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]CC[C@H](C)c2ccccc2)[n-]1 ZINC000559958481 332297062 /nfs/dbraw/zinc/29/70/62/332297062.db2.gz OMDOYFULOVTAHN-SOUVJXGZSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)NCC[C@H](C)c2ccccc2)[nH]1 ZINC000559958481 332297064 /nfs/dbraw/zinc/29/70/64/332297064.db2.gz OMDOYFULOVTAHN-SOUVJXGZSA-N 0 3 300.450 4.163 20 0 DIADHN C[C@H](NCCOc1ccccc1C(C)(C)C)c1cncc(F)c1 ZINC000537975410 332297091 /nfs/dbraw/zinc/29/70/91/332297091.db2.gz OAEWOGWPSHTGAN-AWEZNQCLSA-N 0 3 316.420 4.248 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1-c1ccccc1)CC1CC1 ZINC000096970302 332297298 /nfs/dbraw/zinc/29/72/98/332297298.db2.gz JOCPCFNZGTZOPH-UHFFFAOYSA-N 0 3 322.452 4.414 20 0 DIADHN COc1ccncc1CN[C@@H](c1ccccc1C)C1CCCC1 ZINC000560056066 332306810 /nfs/dbraw/zinc/30/68/10/332306810.db2.gz PDZQPTMULJATFN-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN C[C@H](NCc1cnc(-c2ccc(Cl)cc2)s1)[C@H]1CCCO1 ZINC000560059116 332307055 /nfs/dbraw/zinc/30/70/55/332307055.db2.gz CBBOFLYOTNLPGK-XHDPSFHLSA-N 0 3 322.861 4.121 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NC[C@@H]1CCCC1(F)F)CC2 ZINC000449496023 332308616 /nfs/dbraw/zinc/30/86/16/332308616.db2.gz PGMSEPAELAVFJW-ONGXEEELSA-N 0 3 305.290 4.116 20 0 DIADHN CCOc1ccc(CNCc2ccc(C)c(F)c2)cc1Cl ZINC000560076280 332309396 /nfs/dbraw/zinc/30/93/96/332309396.db2.gz NZXUZAFEBYGVMX-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN CCc1cc(CCCN[C@@H](C)c2ccccc2OC(C)C)on1 ZINC000560239518 332321304 /nfs/dbraw/zinc/32/13/04/332321304.db2.gz ZAHBDFDFNWWNHK-HNNXBMFYSA-N 0 3 316.445 4.308 20 0 DIADHN COc1ncc([C@H](C)N[C@@H](C)Cc2ccsc2)cc1Cl ZINC000560244715 332322610 /nfs/dbraw/zinc/32/26/10/332322610.db2.gz FXRUJOVLISKIQA-QWRGUYRKSA-N 0 3 310.850 4.087 20 0 DIADHN COc1ncc([C@H](C)N[C@H](C)Cc2ccsc2)cc1Cl ZINC000560244713 332322670 /nfs/dbraw/zinc/32/26/70/332322670.db2.gz FXRUJOVLISKIQA-MNOVXSKESA-N 0 3 310.850 4.087 20 0 DIADHN CCCc1ccc2nccc(NCc3cnc(C4CC4)o3)c2c1 ZINC000560249546 332323244 /nfs/dbraw/zinc/32/32/44/332323244.db2.gz HWDUEUNQNSNRFW-UHFFFAOYSA-N 0 3 307.397 4.087 20 0 DIADHN c1ccc(OC2CCCC2)c(CN2CCC[C@H]2[C@@H]2CCCO2)c1 ZINC000560267101 332325297 /nfs/dbraw/zinc/32/52/97/332325297.db2.gz NEKPBFMEWWPIRJ-ICSRJNTNSA-N 0 3 315.457 4.151 20 0 DIADHN Cc1ccc(CN2CCCN(c3ccc(F)c(F)c3)CC2)s1 ZINC000560273665 332326658 /nfs/dbraw/zinc/32/66/58/332326658.db2.gz LPLMBNBKSGOPRA-UHFFFAOYSA-N 0 3 322.424 4.047 20 0 DIADHN Clc1cccc(CNCc2c[nH]nc2-c2cccs2)c1 ZINC000049943181 332330761 /nfs/dbraw/zinc/33/07/61/332330761.db2.gz QYSCCWRKGHTHEK-UHFFFAOYSA-N 0 3 303.818 4.081 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cnc(C(C)C)s3)C2)cc1 ZINC000092783183 332333265 /nfs/dbraw/zinc/33/32/65/332333265.db2.gz GJMFNMTWYZRFAN-OAHLLOKOSA-N 0 3 316.470 4.265 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@H]1c1cccnc1 ZINC000560524064 332350713 /nfs/dbraw/zinc/35/07/13/332350713.db2.gz NHCFQTRLBYWFDD-YULVEUEDSA-N 0 3 322.452 4.468 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](CC(F)(F)F)c2ccccc2)C[C@H](C)O1 ZINC000583362427 332354501 /nfs/dbraw/zinc/35/45/01/332354501.db2.gz VCPKVRKVYKFYNE-AYRXBEOTSA-N 0 3 301.352 4.226 20 0 DIADHN CCOc1cc(C[NH2+]CC2CCC(C)CC2)cc(Cl)c1[O-] ZINC000560555201 332359409 /nfs/dbraw/zinc/35/94/09/332359409.db2.gz WTPZCZLEXNDEEW-UHFFFAOYSA-N 0 3 311.853 4.360 20 0 DIADHN COC[C@@H]1CCN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000560569159 332360855 /nfs/dbraw/zinc/36/08/55/332360855.db2.gz SHHRPPACCZYEPO-LBPRGKRZSA-N 0 3 307.846 4.289 20 0 DIADHN C[C@H](NCc1c(F)cccc1N1CCCC1)C1CCSCC1 ZINC000560574233 332363255 /nfs/dbraw/zinc/36/32/55/332363255.db2.gz QDWMSSARGSPJQW-AWEZNQCLSA-N 0 3 322.493 4.047 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1c(F)cccc1SC ZINC000560574713 332363274 /nfs/dbraw/zinc/36/32/74/332363274.db2.gz QTNXYAYBGDOTSU-UGSOOPFHSA-N 0 3 319.445 4.413 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4cc(OC)ccc43)CC2)c1 ZINC000560574931 332363386 /nfs/dbraw/zinc/36/33/86/332363386.db2.gz WRIHBHBLFWFPIQ-HXUWFJFHSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1cc(Cl)ccc1OC(F)F ZINC000560585805 332365351 /nfs/dbraw/zinc/36/53/51/332365351.db2.gz HKBXLPQAURMBIV-HOTUBEGUSA-N 0 3 321.820 4.344 20 0 DIADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1cc(Cl)ccc1OC(F)F ZINC000560585799 332365436 /nfs/dbraw/zinc/36/54/36/332365436.db2.gz HKBXLPQAURMBIV-AUTRQRHGSA-N 0 3 321.820 4.344 20 0 DIADHN C[C@@H]1C[C@@H]1CNCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000560604379 332367356 /nfs/dbraw/zinc/36/73/56/332367356.db2.gz MQTOHKRMSDFHDY-FVQBIDKESA-N 0 3 312.482 4.161 20 0 DIADHN C[C@H](O)C[C@H]1CCCCCN1Cc1ccc(C(F)(F)F)s1 ZINC000566356039 332372989 /nfs/dbraw/zinc/37/29/89/332372989.db2.gz PGGLKXDTEJKQRJ-NWDGAFQWSA-N 0 3 321.408 4.282 20 0 DIADHN CCOc1ccccc1CN[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000119731426 332377047 /nfs/dbraw/zinc/37/70/47/332377047.db2.gz UWPNHQPFZTUVOL-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN CCCN(C)[C@H](CNC(=O)CC1(C)CC1)c1ccc(Cl)cc1 ZINC000560706906 332379583 /nfs/dbraw/zinc/37/95/83/332379583.db2.gz OCKGCQHFPNRLHH-MRXNPFEDSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@H](Cc1cccc(O)c1)NCc1c(Cl)cccc1Cl ZINC000560817653 332388194 /nfs/dbraw/zinc/38/81/94/332388194.db2.gz ZDEAFXGDKKUSGN-LLVKDONJSA-N 0 3 310.224 4.420 20 0 DIADHN Cc1ccc([C@@H](C)NCCOc2ncc(Cl)cc2Cl)o1 ZINC000560908317 332393210 /nfs/dbraw/zinc/39/32/10/332393210.db2.gz GAZSXCSDKKHTCD-SNVBAGLBSA-N 0 3 315.200 4.019 20 0 DIADHN Cc1ccncc1CCCN(C)[C@@H](C)c1cc(F)ccc1F ZINC000574605451 332397269 /nfs/dbraw/zinc/39/72/69/332397269.db2.gz OGSBTYXWLYJKJM-AWEZNQCLSA-N 0 3 304.384 4.294 20 0 DIADHN CN(Cc1ccc(F)c(Cl)c1Cl)C1CCC(CO)CC1 ZINC000579103747 332398821 /nfs/dbraw/zinc/39/88/21/332398821.db2.gz JRDYZBHDDHWIDX-UHFFFAOYSA-N 0 3 320.235 4.115 20 0 DIADHN Cc1nocc1CN[C@H](COc1c(C)cccc1C)C(C)(C)C ZINC000561046593 332404116 /nfs/dbraw/zinc/40/41/16/332404116.db2.gz UWJRJLOGCMJENC-QGZVFWFLSA-N 0 3 316.445 4.183 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](c2ccccc2C)C(C)C)c1 ZINC000561110980 332412893 /nfs/dbraw/zinc/41/28/93/332412893.db2.gz ADXVYZSZCNYART-LJQANCHMSA-N 0 3 311.425 4.269 20 0 DIADHN Cn1cncc1CN[C@H](c1cccc(Cl)c1)C1CCCCC1 ZINC000150792425 332429425 /nfs/dbraw/zinc/42/94/25/332429425.db2.gz YVZRAEHNJDZIIT-SFHVURJKSA-N 0 3 317.864 4.485 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)N1C[C@@H](N2CCCC2)C[C@@H]1C ZINC000561281890 332433270 /nfs/dbraw/zinc/43/32/70/332433270.db2.gz XONFMRAQDGAAHO-UHOSZYNNSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@H](NC1CCCCCC1)c1ncc(Br)cc1F ZINC000427607303 332462897 /nfs/dbraw/zinc/46/28/97/332462897.db2.gz AWVCLUVNTYVKKF-JTQLQIEISA-N 0 3 315.230 4.357 20 0 DIADHN C[C@@H](NC1CCCCCC1)c1ncc(Br)cc1F ZINC000427607304 332462926 /nfs/dbraw/zinc/46/29/26/332462926.db2.gz AWVCLUVNTYVKKF-SNVBAGLBSA-N 0 3 315.230 4.357 20 0 DIADHN CSC[C@H](C)N[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000150976355 332466253 /nfs/dbraw/zinc/46/62/53/332466253.db2.gz OUYSOANENMDPBV-NWDGAFQWSA-N 0 3 323.893 4.236 20 0 DIADHN CC(C)CC[C@H](C)NCc1cn2ccc(Br)cc2n1 ZINC000564414882 332470653 /nfs/dbraw/zinc/47/06/53/332470653.db2.gz IAUAUIDTEFALET-LBPRGKRZSA-N 0 3 324.266 4.011 20 0 DIADHN CCC1(CC)[C@H](NCc2oc(C(C)C)nc2C)[C@@H](C)[C@H]1OC ZINC000428960207 332472813 /nfs/dbraw/zinc/47/28/13/332472813.db2.gz AWUXRMPWMFPDJH-DAXOMENPSA-N 0 3 308.466 4.036 20 0 DIADHN CCC1(CN[C@@H](C)c2ncc(Br)cc2F)CCC1 ZINC000427690953 332488578 /nfs/dbraw/zinc/48/85/78/332488578.db2.gz RNXKOPDDOWXFQQ-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN CCc1ccccc1CN[C@@H](C)[C@H]1OCCc2sccc21 ZINC000429121034 332529992 /nfs/dbraw/zinc/52/99/92/332529992.db2.gz DLGPOQPJRBGOHM-SCLBCKFNSA-N 0 3 301.455 4.103 20 0 DIADHN COC[C@@H](NCCSCc1ccccc1F)c1ccccc1 ZINC000562016065 332531717 /nfs/dbraw/zinc/53/17/17/332531717.db2.gz QDAGLIHJMMDRFM-GOSISDBHSA-N 0 3 319.445 4.036 20 0 DIADHN COc1cc([C@@H](C)NC2(Cc3ccccc3)CCC2)c(F)cn1 ZINC000562062300 332539079 /nfs/dbraw/zinc/53/90/79/332539079.db2.gz PCVIGOWZHPXYBB-CQSZACIVSA-N 0 3 314.404 4.045 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccc(C(C)C)cc1)c1nccn1C ZINC000562057937 332539798 /nfs/dbraw/zinc/53/97/98/332539798.db2.gz KMGQMHLJHNVAAK-YJBOKZPZSA-N 0 3 315.461 4.052 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccnc(SCC)c2)C12CCCC2 ZINC000427868975 332550272 /nfs/dbraw/zinc/55/02/72/332550272.db2.gz RLIBMYINRKNBOE-HOTGVXAUSA-N 0 3 320.502 4.021 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000427885479 332554694 /nfs/dbraw/zinc/55/46/94/332554694.db2.gz WPZGERFIZVQKHY-UONOGXRCSA-N 0 3 318.486 4.118 20 0 DIADHN Fc1ccc(COc2cccc(CNC3CC(F)(F)C3)c2)cc1 ZINC000428647769 332570944 /nfs/dbraw/zinc/57/09/44/332570944.db2.gz BSVQUKFZSALITL-UHFFFAOYSA-N 0 3 321.342 4.292 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1cccc(C(F)(F)F)c1 ZINC000427960368 332573383 /nfs/dbraw/zinc/57/33/83/332573383.db2.gz ULAQCVBUHQWDKO-RISCZKNCSA-N 0 3 301.352 4.314 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)NC1(C(=O)Nc2ccccc2)CC1 ZINC000427980230 332579040 /nfs/dbraw/zinc/57/90/40/332579040.db2.gz SHNCBYMCSUKIPS-GOSISDBHSA-N 0 3 322.452 4.077 20 0 DIADHN CC1(C)CC[C@H](CN[C@H]2CCCOc3cc(Cl)ccc32)O1 ZINC000427977644 332579348 /nfs/dbraw/zinc/57/93/48/332579348.db2.gz ZEMPSCLWXLBZOG-HIFRSBDPSA-N 0 3 309.837 4.101 20 0 DIADHN OCC[C@@H]1CCC[C@H]1N[C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000427982799 332579753 /nfs/dbraw/zinc/57/97/53/332579753.db2.gz ZBLBLQBDLGQDFO-VQISRLSMSA-N 0 3 314.256 4.121 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000427991849 332582600 /nfs/dbraw/zinc/58/26/00/332582600.db2.gz ZWYSDHCAMNGSDH-STQMWFEESA-N 0 3 313.388 4.205 20 0 DIADHN CCSCC[C@H](C)NCc1cc(Br)ccc1F ZINC000135291178 332618367 /nfs/dbraw/zinc/61/83/67/332618367.db2.gz POITVSVUMSQYGN-JTQLQIEISA-N 0 3 320.271 4.210 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000172682851 332630069 /nfs/dbraw/zinc/63/00/69/332630069.db2.gz PURLIAPAPGSSRD-SJCJKPOMSA-N 0 3 303.425 4.306 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)C1 ZINC000562854341 332632830 /nfs/dbraw/zinc/63/28/30/332632830.db2.gz KGHOMHQXQAUKPR-BZUAXINKSA-N 0 3 307.507 4.295 20 0 DIADHN C[C@H](CNCc1cnc(C(F)(F)F)s1)c1ccccc1 ZINC000443961736 332646571 /nfs/dbraw/zinc/64/65/71/332646571.db2.gz QAOVWQIBVLPGGV-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN CC(C)(CNCc1cnc(C(F)(F)F)s1)c1cccs1 ZINC000443986423 332647512 /nfs/dbraw/zinc/64/75/12/332647512.db2.gz HEWBXICOJNSWIS-UHFFFAOYSA-N 0 3 320.405 4.291 20 0 DIADHN C[C@@H](N[C@H]1CCCOC1)c1ccc(OCc2ccccc2)cc1 ZINC000171630492 332648192 /nfs/dbraw/zinc/64/81/92/332648192.db2.gz BTZUWWXJBYZQMM-APWZRJJASA-N 0 3 311.425 4.095 20 0 DIADHN Cc1cccc(C[C@H](CO)N[C@H](C)c2ccc(Cl)c(F)c2)c1 ZINC000525973751 332651676 /nfs/dbraw/zinc/65/16/76/332651676.db2.gz GTTHBFQTUWKTJN-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN CCOc1cc(CNCCC2(F)CCC2)cc(Cl)c1OC ZINC000569645067 332653205 /nfs/dbraw/zinc/65/32/05/332653205.db2.gz VKSVTWZZQLXYOC-UHFFFAOYSA-N 0 3 315.816 4.119 20 0 DIADHN CC[C@H](COCC(F)(F)F)NCc1ccc(C(F)F)cc1 ZINC000569674178 332657699 /nfs/dbraw/zinc/65/76/99/332657699.db2.gz GMTGIFYDQDEFKR-GFCCVEGCSA-N 0 3 311.294 4.071 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(SC)c(OC)c2)cc1 ZINC000151012804 332676906 /nfs/dbraw/zinc/67/69/06/332676906.db2.gz IHIIONVTHAOJRM-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000569889249 332689634 /nfs/dbraw/zinc/68/96/34/332689634.db2.gz QLDBMVOMBXKGHC-QGZVFWFLSA-N 0 3 319.395 4.474 20 0 DIADHN Cc1ccnc([C@@H](C)NCCn2ccc3cc(Cl)ccc32)c1 ZINC000569949128 332695925 /nfs/dbraw/zinc/69/59/25/332695925.db2.gz UWLFPUIYBXCMOU-CQSZACIVSA-N 0 3 313.832 4.349 20 0 DIADHN C[C@@H](N[C@@H](C)C(C)(C)c1ccccc1)c1cn2ccccc2n1 ZINC000569947629 332695931 /nfs/dbraw/zinc/69/59/31/332695931.db2.gz UWAPCOVEEHIDRY-CVEARBPZSA-N 0 3 307.441 4.351 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](C)COCC(F)(F)F)cc1 ZINC000569951043 332696163 /nfs/dbraw/zinc/69/61/63/332696163.db2.gz KCDZEZATRJSBNM-OLZOCXBDSA-N 0 3 319.367 4.092 20 0 DIADHN CC(C)n1cc([C@H](C)NCC[C@H](C)OCc2ccccc2)cn1 ZINC000570449897 332757256 /nfs/dbraw/zinc/75/72/56/332757256.db2.gz AXIPNOOLKBWFER-IRXDYDNUSA-N 0 3 315.461 4.110 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCCO[C@@H](C)c2ccccc2)cn1 ZINC000163392356 332960368 /nfs/dbraw/zinc/96/03/68/332960368.db2.gz VCDXLCCRIFOUBO-SJORKVTESA-N 0 3 315.461 4.283 20 0 DIADHN Clc1ccc(CNCCOc2ccccc2Cl)s1 ZINC000053566280 332980239 /nfs/dbraw/zinc/98/02/39/332980239.db2.gz VSDPHNHEOPTBOU-UHFFFAOYSA-N 0 3 302.226 4.224 20 0 DIADHN FC(F)(F)C1CC(N[C@@H]2CCCOc3ccc(Cl)cc32)C1 ZINC000580176835 332984898 /nfs/dbraw/zinc/98/48/98/332984898.db2.gz ABTAVIOIMVHDLC-QEIKUCIBSA-N 0 3 319.754 4.484 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccccc1C(F)(F)F ZINC000177841124 332997931 /nfs/dbraw/zinc/99/79/31/332997931.db2.gz NZLFHKZMWWVFFR-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CCOC[C@H](C)N[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000178133090 333002070 /nfs/dbraw/zinc/00/20/70/333002070.db2.gz FXMLOZHDSOJOPL-QWHCGFSZSA-N 0 3 304.459 4.194 20 0 DIADHN COCCCCCN[C@@H](C)c1cc(Br)ccc1F ZINC000178190191 333003482 /nfs/dbraw/zinc/00/34/82/333003482.db2.gz POGPBWUYQAEKAT-NSHDSACASA-N 0 3 318.230 4.056 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc3c(c1)OCCO3)CC2 ZINC000178268434 333006164 /nfs/dbraw/zinc/00/61/64/333006164.db2.gz KGUSAUBOVUXNDU-RDTXWAMCSA-N 0 3 309.409 4.104 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1C[C@H]1CCC2(CCCC2)O1 ZINC000580219285 333019729 /nfs/dbraw/zinc/01/97/29/333019729.db2.gz ZPXYCIPDEYAGKZ-CHWSQXEVSA-N 0 3 305.384 4.141 20 0 DIADHN COc1ccccc1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000179982521 333026405 /nfs/dbraw/zinc/02/64/05/333026405.db2.gz UIMJUSKVMUEROJ-OAQYLSRUSA-N 0 3 318.420 4.012 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2ccc3[nH]cnc3c2)c1 ZINC000518765510 333028063 /nfs/dbraw/zinc/02/80/63/333028063.db2.gz HJPQVVWNMMQPMZ-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2ccc3nc[nH]c3c2)c1 ZINC000518765510 333028064 /nfs/dbraw/zinc/02/80/64/333028064.db2.gz HJPQVVWNMMQPMZ-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN C[C@@H](NCCCc1cccc(C(F)(F)F)c1)c1nccs1 ZINC000540086180 333036840 /nfs/dbraw/zinc/03/68/40/333036840.db2.gz ADVVKAPLBIJIHL-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@@H]1CCCSC1 ZINC000580239935 333039233 /nfs/dbraw/zinc/03/92/33/333039233.db2.gz VJWPUFVJUXANGU-AWEZNQCLSA-N 0 3 303.427 4.305 20 0 DIADHN COCC1(NCc2ccc(F)c(-c3cccs3)c2)CCC1 ZINC000378735730 333047704 /nfs/dbraw/zinc/04/77/04/333047704.db2.gz GGQANPIMSNZSIZ-UHFFFAOYSA-N 0 3 305.418 4.213 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC(C2CCOCC2)CC1 ZINC000580273721 333049162 /nfs/dbraw/zinc/04/91/62/333049162.db2.gz NQKXRLVRLMAXCB-IBGZPJMESA-N 0 3 319.876 4.466 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000183073342 333052428 /nfs/dbraw/zinc/05/24/28/333052428.db2.gz BQISIWMTUXPKPK-RYUDHWBXSA-N 0 3 320.458 4.049 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cccnc2)ccc1OC(F)F ZINC000171976714 333056507 /nfs/dbraw/zinc/05/65/07/333056507.db2.gz IDAYSBZCJZXQEV-RYUDHWBXSA-N 0 3 322.355 4.103 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccccc1 ZINC000171978213 333056774 /nfs/dbraw/zinc/05/67/74/333056774.db2.gz QHIZRBTXWMGYJF-KBXCAEBGSA-N 0 3 308.425 4.373 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)n2)ccc1F ZINC000580285704 333060368 /nfs/dbraw/zinc/06/03/68/333060368.db2.gz KDKWFTMWBREBIU-HLSYRSCKSA-N 0 3 315.392 4.023 20 0 DIADHN c1[nH]c(CNCC2CC(c3ccccc3)C2)nc1-c1ccccc1 ZINC000580290332 333063257 /nfs/dbraw/zinc/06/32/57/333063257.db2.gz NYWRCXMRJJRSAE-UHFFFAOYSA-N 0 3 317.436 4.360 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2ccc(OC(F)F)cc2)C1 ZINC000460362866 333071352 /nfs/dbraw/zinc/07/13/52/333071352.db2.gz GFBLJWODPNBNRR-SUMWQHHRSA-N 0 3 318.367 4.266 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(CCSc2ccccc2F)C1 ZINC000460365789 333072366 /nfs/dbraw/zinc/07/23/66/333072366.db2.gz PSJDHRXDONHEOF-WMLDXEAASA-N 0 3 316.445 4.396 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1CCCO[C@H]1CCCCO1 ZINC000460389984 333077390 /nfs/dbraw/zinc/07/73/90/333077390.db2.gz UTBZOOXYSCROTA-ROUUACIJSA-N 0 3 323.864 4.410 20 0 DIADHN Cc1ccc(CNC2CC(c3cccc(Cl)c3)C2)nc1C ZINC000313930425 333078143 /nfs/dbraw/zinc/07/81/43/333078143.db2.gz MQXYBFFUVKOQKW-UHFFFAOYSA-N 0 3 300.833 4.388 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CC[C@H](CC(C)C)C1 ZINC000466744240 333082529 /nfs/dbraw/zinc/08/25/29/333082529.db2.gz SVHZMAFCWXSGQV-LSDHHAIUSA-N 0 3 322.880 4.343 20 0 DIADHN CC(C)c1ccc([C@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000314153065 333090146 /nfs/dbraw/zinc/09/01/46/333090146.db2.gz JATLNVAKESRIFE-MAUKXSAKSA-N 0 3 300.446 4.357 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2cccc(C3CCC3)c2)c1 ZINC000462716794 333092666 /nfs/dbraw/zinc/09/26/66/333092666.db2.gz DUAXZIVNNBPOBR-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN FC(F)COc1ccc(CNCc2cccc3cc[nH]c32)cc1 ZINC000466913021 333098004 /nfs/dbraw/zinc/09/80/04/333098004.db2.gz FBLCSZPLSNWAIF-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN CCOc1ccc(CNC[C@H](C)Oc2ccccc2Cl)cc1 ZINC000462733133 333099349 /nfs/dbraw/zinc/09/93/49/333099349.db2.gz BZUSWVAAVSPWIH-AWEZNQCLSA-N 0 3 319.832 4.296 20 0 DIADHN CCOc1ccccc1CNC[C@@H](C)Oc1ccccc1Cl ZINC000462734204 333100036 /nfs/dbraw/zinc/10/00/36/333100036.db2.gz IMUOBTIMVROTJN-CQSZACIVSA-N 0 3 319.832 4.296 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1csc(Cc2ccccc2)n1 ZINC000466932863 333100573 /nfs/dbraw/zinc/10/05/73/333100573.db2.gz DRTRXPSMTSCFCQ-YOEHRIQHSA-N 0 3 300.471 4.354 20 0 DIADHN C[C@@H](CNCc1ccc(F)c(F)c1)Oc1ccccc1Cl ZINC000462736127 333101143 /nfs/dbraw/zinc/10/11/43/333101143.db2.gz NBDSOMLQOCZSRT-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN Cc1cccc(O[C@H](C)CNCc2ccccc2OC(F)F)c1 ZINC000462744114 333105574 /nfs/dbraw/zinc/10/55/74/333105574.db2.gz YIHGUUWPUBYMGJ-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN CC(C)OCCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000314402894 333108545 /nfs/dbraw/zinc/10/85/45/333108545.db2.gz UYACDJZAGAFVGO-LJQANCHMSA-N 0 3 301.405 4.320 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000314406220 333108751 /nfs/dbraw/zinc/10/87/51/333108751.db2.gz VWTAREAHCOVGMS-AAEUAGOBSA-N 0 3 305.315 4.045 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000462822176 333119469 /nfs/dbraw/zinc/11/94/69/333119469.db2.gz SYTWWAUGYSBMRX-YVEFUNNKSA-N 0 3 313.388 4.062 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@@H]1c1ccccc1Cl)N(CC)CC ZINC000460967915 333119585 /nfs/dbraw/zinc/11/95/85/333119585.db2.gz GUTOCMQHLDVZRJ-DLBZAZTESA-N 0 3 322.880 4.124 20 0 DIADHN CC[C@H](O)CCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000462818135 333119604 /nfs/dbraw/zinc/11/96/04/333119604.db2.gz QCHLPPWONHRSLP-OLZOCXBDSA-N 0 3 322.449 4.151 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCCN(CC(C)(C)C)C2=O)c(C)s1 ZINC000460670624 333122526 /nfs/dbraw/zinc/12/25/26/333122526.db2.gz PISBLJMJQLRHRF-XJKSGUPXSA-N 0 3 322.518 4.053 20 0 DIADHN C[C@H](NCC[S@](=O)C(C)(C)C)c1cccc2ccccc21 ZINC000177346694 333127699 /nfs/dbraw/zinc/12/76/99/333127699.db2.gz PYGQIPNSCALLSM-QKKBWIMNSA-N 0 3 303.471 4.038 20 0 DIADHN C[C@@H](NCC[S@@](=O)C(C)(C)C)c1ccc2ccccc2c1 ZINC000177346752 333127730 /nfs/dbraw/zinc/12/77/30/333127730.db2.gz RVFTWIOOMZSDHC-SPLOXXLWSA-N 0 3 303.471 4.038 20 0 DIADHN C[C@@H](NCC1(O)CCCCCC1)c1cnc2ccsc2c1 ZINC000087088803 333134926 /nfs/dbraw/zinc/13/49/26/333134926.db2.gz LUHDNAYZKRHQIJ-CYBMUJFWSA-N 0 3 304.459 4.032 20 0 DIADHN COc1ccccc1CN(C)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463028118 333140922 /nfs/dbraw/zinc/14/09/22/333140922.db2.gz JDGMSPSFMQNJAW-KGLIPLIRSA-N 0 3 301.352 4.248 20 0 DIADHN CCN(C/C(C)=C/c1cccc(C(F)(F)F)c1)[C@H]1CCOC1 ZINC000463032359 333141958 /nfs/dbraw/zinc/14/19/58/333141958.db2.gz IHBDGJMYFXIXBP-WQMJKPAKSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1ccc(OCC(C)C)cc1 ZINC000178719547 333143033 /nfs/dbraw/zinc/14/30/33/333143033.db2.gz XZXJLGSXVZMGOO-CABCVRRESA-N 0 3 315.461 4.175 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H](C)c1ncc(C)s1 ZINC000487563474 333147008 /nfs/dbraw/zinc/14/70/08/333147008.db2.gz VBRIZPKEXUGJBS-LLVKDONJSA-N 0 3 324.877 4.397 20 0 DIADHN C[C@@H](NCCOc1ccccc1-c1ccccc1)c1ccccn1 ZINC000179466422 333150869 /nfs/dbraw/zinc/15/08/69/333150869.db2.gz DDMQICJWDXSNEC-QGZVFWFLSA-N 0 3 318.420 4.478 20 0 DIADHN Clc1csc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)c1 ZINC000487573206 333151284 /nfs/dbraw/zinc/15/12/84/333151284.db2.gz NCELWINBZOVKQI-CJNGLKHVSA-N 0 3 307.846 4.269 20 0 DIADHN Clc1csc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)c1 ZINC000487573205 333151365 /nfs/dbraw/zinc/15/13/65/333151365.db2.gz NCELWINBZOVKQI-BBRMVZONSA-N 0 3 307.846 4.269 20 0 DIADHN Clc1csc(CN[C@H](c2ccccc2)[C@H]2CCOC2)c1 ZINC000487573208 333151547 /nfs/dbraw/zinc/15/15/47/333151547.db2.gz NCELWINBZOVKQI-XJKSGUPXSA-N 0 3 307.846 4.269 20 0 DIADHN Clc1csc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)c1 ZINC000487573207 333151608 /nfs/dbraw/zinc/15/16/08/333151608.db2.gz NCELWINBZOVKQI-CZUORRHYSA-N 0 3 307.846 4.269 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1ccc(Cl)nc1 ZINC000179536218 333152154 /nfs/dbraw/zinc/15/21/54/333152154.db2.gz KBGKHMGFMJTVCF-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN CN(C)[C@H](CNC1c2ccccc2-c2ccccc21)c1ccco1 ZINC000391854340 335125602 /nfs/dbraw/zinc/12/56/02/335125602.db2.gz VCVQSISQCHUFOI-LJQANCHMSA-N 0 3 318.420 4.242 20 0 DIADHN F[C@H]1CC[C@H](NCc2cc(Br)c(Cl)s2)C1 ZINC000380209581 333167707 /nfs/dbraw/zinc/16/77/07/333167707.db2.gz YGLAYPXLXCYKPO-BQBZGAKWSA-N 0 3 312.635 4.144 20 0 DIADHN FC(F)(F)c1cncc(CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000463152747 333170637 /nfs/dbraw/zinc/17/06/37/333170637.db2.gz PXQKDXRKESLFCF-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccc(OC(F)F)c(OC)c2)CC1 ZINC000522712921 333172889 /nfs/dbraw/zinc/17/28/89/333172889.db2.gz KQUHIBBDUHZJKC-CYBMUJFWSA-N 0 3 313.388 4.309 20 0 DIADHN CC[C@H](C(=O)N(C)[C@H](C)c1cc2ccccc2o1)N(CC)CC ZINC000460863110 333174571 /nfs/dbraw/zinc/17/45/71/333174571.db2.gz PPDGGJQXLVWWKQ-GDBMZVCRSA-N 0 3 316.445 4.073 20 0 DIADHN CCC(CC)(CNCc1cc(F)cc(C(F)(F)F)c1)OC ZINC000314586707 333181481 /nfs/dbraw/zinc/18/14/81/333181481.db2.gz WNRWVOMODUNXJE-UHFFFAOYSA-N 0 3 307.331 4.139 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@H](C)[C@@H](C)c1ccccc1 ZINC000183376789 333184324 /nfs/dbraw/zinc/18/43/24/333184324.db2.gz AAONVHSJSOVMBA-HUUCEWRRSA-N 0 3 305.425 4.220 20 0 DIADHN CCN(CC)CC(=O)N[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000522749302 333187579 /nfs/dbraw/zinc/18/75/79/333187579.db2.gz WZXXKUPKXKJJLF-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](CO)C1)c1cccc(Cl)c1Cl ZINC000387965055 333187835 /nfs/dbraw/zinc/18/78/35/333187835.db2.gz SQKZXSIFUHGALM-SDDRHHMPSA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)(CN[C@@H](c1nnc[nH]1)c1ccccc1)C1CCCCC1 ZINC000582085754 333206282 /nfs/dbraw/zinc/20/62/82/333206282.db2.gz VNOGYPHNTNCIKB-QGZVFWFLSA-N 0 3 312.461 4.090 20 0 DIADHN C(=C/c1ccccc1)\CNCc1cnc(-c2ccncc2)s1 ZINC000497199641 333221010 /nfs/dbraw/zinc/22/10/10/333221010.db2.gz PPPCLBRDPLZAFP-QPJJXVBHSA-N 0 3 307.422 4.008 20 0 DIADHN Fc1cccc(CNCC2(c3ccc(Cl)cc3)CC2)c1F ZINC000518784755 333223021 /nfs/dbraw/zinc/22/30/21/333223021.db2.gz RXFOWXZMAIMRTB-UHFFFAOYSA-N 0 3 307.771 4.440 20 0 DIADHN C[C@@H](NCCn1cnc2ccccc21)c1ccc(F)c(Cl)c1 ZINC000500194485 333224355 /nfs/dbraw/zinc/22/43/55/333224355.db2.gz WUVOTMZXGDZTQV-GFCCVEGCSA-N 0 3 317.795 4.180 20 0 DIADHN COc1ccc(CN[C@@H](C)[C@@H](OC)c2ccccc2Cl)cc1 ZINC000497261786 333229449 /nfs/dbraw/zinc/22/94/49/333229449.db2.gz NNSWIIOJUFDGHC-SCLBCKFNSA-N 0 3 319.832 4.214 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](N[C@H](C)CC2CC2)c2ccccc2)cc1 ZINC000497319064 333235732 /nfs/dbraw/zinc/23/57/32/333235732.db2.gz GZHIWPZJFZKBBS-UZLBHIALSA-N 0 3 322.452 4.453 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cc2ccccc2s1 ZINC000187826207 333238383 /nfs/dbraw/zinc/23/83/83/333238383.db2.gz BWQNMVSLEQNHAT-XGINMYPSSA-N 0 3 309.434 4.210 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](C(F)(F)F)C1)c1nc2c(s1)CCC2 ZINC000497346867 333238858 /nfs/dbraw/zinc/23/88/58/333238858.db2.gz DXKQZKITKICNHU-AXFHLTTASA-N 0 3 318.408 4.404 20 0 DIADHN Cc1ccc([C@@H](Cc2ccccc2)N[C@@H]2C=C[C@H](CO)C2)cc1C ZINC000497351305 333239163 /nfs/dbraw/zinc/23/91/63/333239163.db2.gz NBEPOGAMBNRASA-KSEOMHKRSA-N 0 3 321.464 4.114 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc3c(c2)OCCO3)cc1Cl ZINC000318997669 333239552 /nfs/dbraw/zinc/23/95/52/333239552.db2.gz OKSCPSNGDRHXCR-ZDUSSCGKSA-N 0 3 317.816 4.270 20 0 DIADHN Cc1cccc2nc(CN(C)[C@H](c3ccccc3)C(C)C)cn21 ZINC000497369648 333241055 /nfs/dbraw/zinc/24/10/55/333241055.db2.gz RQAZQKVUWPBSQQ-FQEVSTJZSA-N 0 3 307.441 4.472 20 0 DIADHN CCCCNC(=O)[C@@H]1CCCN([C@@H](C)c2ccccc2Cl)C1 ZINC000497373376 333241722 /nfs/dbraw/zinc/24/17/22/333241722.db2.gz YCQUQJHSACVKOG-LSDHHAIUSA-N 0 3 322.880 4.029 20 0 DIADHN COC(=O)c1oc(CN(C)[C@H](c2ccccc2)C(C)C)cc1C ZINC000497422128 333249296 /nfs/dbraw/zinc/24/92/96/333249296.db2.gz KVLSDNNFUABQAZ-KRWDZBQOSA-N 0 3 315.413 4.204 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N[C@@H]1CCCC[C@H]1C ZINC000497424976 333249719 /nfs/dbraw/zinc/24/97/19/333249719.db2.gz DULFDFUYSPTENA-YVWKXTFCSA-N 0 3 316.489 4.010 20 0 DIADHN CC(F)(F)CCCNCc1cc(F)ccc1Br ZINC000390224365 333250178 /nfs/dbraw/zinc/25/01/78/333250178.db2.gz BFDZOLVFXJECBS-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN Cc1ccc2nc(CN(C)[C@@H](c3ccccc3)C(C)C)cn2c1 ZINC000497428980 333250192 /nfs/dbraw/zinc/25/01/92/333250192.db2.gz BBTGOWMHWNWDSA-HXUWFJFHSA-N 0 3 307.441 4.472 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H]2[C@@H]2CCCC[C@]2(C)O)cs1 ZINC000497469738 333254061 /nfs/dbraw/zinc/25/40/61/333254061.db2.gz VWVUBGOUXLIXEQ-JZXOWHBKSA-N 0 3 322.518 4.172 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC[C@H](c2ccnn2C)C1 ZINC000497497316 333256193 /nfs/dbraw/zinc/25/61/93/333256193.db2.gz VYENQYKTHWNDNL-ZFWWWQNUSA-N 0 3 303.837 4.014 20 0 DIADHN CCN(Cc1ccc(OC(F)F)cc1)Cc1cccc(F)c1 ZINC000497590787 333266592 /nfs/dbraw/zinc/26/65/92/333266592.db2.gz NVVJDZFMUPRWMC-UHFFFAOYSA-N 0 3 309.331 4.449 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H](c1cccnc1)C1CC1 ZINC000518788327 333268016 /nfs/dbraw/zinc/26/80/16/333268016.db2.gz GVKMUFIMJUFTSG-HXUWFJFHSA-N 0 3 309.457 4.403 20 0 DIADHN Cc1cc(Cl)ccc1CNC[C@@H](c1ccco1)N1CCCC1 ZINC000319190896 333270512 /nfs/dbraw/zinc/27/05/12/333270512.db2.gz LOFHLUCWYIJVBR-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN C[C@@H](NCCCOCC(F)(F)F)c1csc(Cl)c1 ZINC000191164327 333278163 /nfs/dbraw/zinc/27/81/63/333278163.db2.gz YXJDAXSSSOJIKQ-MRVPVSSYSA-N 0 3 301.761 4.021 20 0 DIADHN CCc1ccc(CCN[C@@H](C)c2nc3c(s2)CCC3)cc1 ZINC000497706165 333280661 /nfs/dbraw/zinc/28/06/61/333280661.db2.gz SPZPMAUDQLULKJ-ZDUSSCGKSA-N 0 3 300.471 4.088 20 0 DIADHN CCOc1cc(C[NH2+][C@@H](C)CCc2ccco2)cc(Cl)c1[O-] ZINC000497707611 333280908 /nfs/dbraw/zinc/28/09/08/333280908.db2.gz ZWJJLKKSBJIPGS-LBPRGKRZSA-N 0 3 323.820 4.148 20 0 DIADHN Cc1ccccc1CCCN[C@H](C)c1nc2c(s1)CCC2 ZINC000497720583 333282670 /nfs/dbraw/zinc/28/26/70/333282670.db2.gz VIJCMXMOMXGBEF-CQSZACIVSA-N 0 3 300.471 4.224 20 0 DIADHN Cc1ccccc1C[C@H](C)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000502015137 333282795 /nfs/dbraw/zinc/28/27/95/333282795.db2.gz HCOBTHPIDDORCG-KBPBESRZSA-N 0 3 300.471 4.222 20 0 DIADHN CN(CCCOc1cccc2ccccc21)C[C@H]1CCCCO1 ZINC000497738898 333285144 /nfs/dbraw/zinc/28/51/44/333285144.db2.gz XMCUBMMBTPAPTD-GOSISDBHSA-N 0 3 313.441 4.110 20 0 DIADHN COc1ccc([C@H](C)NCc2c(C)cc(C)cc2C)cc1OC ZINC000390527688 333290691 /nfs/dbraw/zinc/29/06/91/333290691.db2.gz WGCLWFPCWSWIDQ-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN CCOCCCCN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000497810486 333295426 /nfs/dbraw/zinc/29/54/26/333295426.db2.gz WDJBBKHWSJTRID-HXUWFJFHSA-N 0 3 324.424 4.324 20 0 DIADHN CSC[C@H](CCO)N[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497822032 333296696 /nfs/dbraw/zinc/29/66/96/333296696.db2.gz LGGZTJQNUBLEPT-YOEHRIQHSA-N 0 3 321.486 4.224 20 0 DIADHN CS[C@H](CO)[C@H](C)N[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497825660 333297849 /nfs/dbraw/zinc/29/78/49/333297849.db2.gz GRICUMOYOPFGEL-JCURWCKSSA-N 0 3 321.486 4.222 20 0 DIADHN CS[C@H](CO)[C@@H](C)N[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497825659 333297869 /nfs/dbraw/zinc/29/78/69/333297869.db2.gz GRICUMOYOPFGEL-CSMYWGQOSA-N 0 3 321.486 4.222 20 0 DIADHN CC(C)[C@H](NCCCNc1ccccc1)c1ccc(F)cn1 ZINC000497826493 333297877 /nfs/dbraw/zinc/29/78/77/333297877.db2.gz SJDHTDOVIWNXCF-SFHVURJKSA-N 0 3 301.409 4.010 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3cccs3)CCCCC2)nn1C ZINC000502467124 333297991 /nfs/dbraw/zinc/29/79/91/333297991.db2.gz ZBIQSXHECDDSEZ-HNNXBMFYSA-N 0 3 317.502 4.343 20 0 DIADHN CC(C)[C@@H](NCC1(c2cccc(F)c2)CC1)c1ccc(F)cn1 ZINC000497832023 333298191 /nfs/dbraw/zinc/29/81/91/333298191.db2.gz NLUNNVDEDBSNIJ-GOSISDBHSA-N 0 3 316.395 4.378 20 0 DIADHN CC(C)(C)[C@H](N[C@@H]1CCN(C2CC2)C1)c1cc2ccccc2o1 ZINC000497835426 333298662 /nfs/dbraw/zinc/29/86/62/333298662.db2.gz HGUCPGMZKGBBQY-DNVCBOLYSA-N 0 3 312.457 4.346 20 0 DIADHN C[C@@H](N[C@@H]1CC12CCCC2)c1sccc1Br ZINC000390556002 333299113 /nfs/dbraw/zinc/29/91/13/333299113.db2.gz QKSXVJNTWMGZKV-MWLCHTKSSA-N 0 3 300.265 4.494 20 0 DIADHN CCN(CCCSC)Cc1cc(Br)ccc1F ZINC000525779028 333299890 /nfs/dbraw/zinc/29/98/90/333299890.db2.gz OGZVBVIZQOKLOX-UHFFFAOYSA-N 0 3 320.271 4.163 20 0 DIADHN CC(C)[C@H](NC[C@H](C)Oc1ccccc1F)c1ccc(F)cn1 ZINC000497864442 333302431 /nfs/dbraw/zinc/30/24/31/333302431.db2.gz KISKVJAVDVRYJI-UGSOOPFHSA-N 0 3 320.383 4.114 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2c(C)cc(C)cc2C)c1 ZINC000120670708 333305439 /nfs/dbraw/zinc/30/54/39/333305439.db2.gz DYBQHMHQFARVAU-MRXNPFEDSA-N 0 3 310.441 4.421 20 0 DIADHN CCC[C@@](C)(N)C(=O)N1CCC(c2cccc3ccccc32)CC1 ZINC000497913452 333309292 /nfs/dbraw/zinc/30/92/92/333309292.db2.gz KCCMGHTTWBOGOA-OAQYLSRUSA-N 0 3 324.468 4.063 20 0 DIADHN C[C@H](CNCc1sccc1Cl)N(C)Cc1ccccc1 ZINC000390646320 333309971 /nfs/dbraw/zinc/30/99/71/333309971.db2.gz QKBCMXBTQHUSEO-CYBMUJFWSA-N 0 3 308.878 4.012 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCCCC2(F)F)cc1F ZINC000390651015 333310888 /nfs/dbraw/zinc/31/08/88/333310888.db2.gz NMECPXWEPJDRIY-WCQYABFASA-N 0 3 301.352 4.310 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H]2CCCCC2(F)F)cc1F ZINC000390651014 333311060 /nfs/dbraw/zinc/31/10/60/333311060.db2.gz NMECPXWEPJDRIY-DGCLKSJQSA-N 0 3 301.352 4.310 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cc(C)ccc1OC ZINC000525786607 333312243 /nfs/dbraw/zinc/31/22/43/333312243.db2.gz JHGXNWVYGWEAOM-MRXNPFEDSA-N 0 3 313.441 4.075 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCCCC2(F)F)c(OC)c1 ZINC000390707202 333316665 /nfs/dbraw/zinc/31/66/65/333316665.db2.gz VNXMBJHNGZCGFA-STQMWFEESA-N 0 3 313.388 4.180 20 0 DIADHN COc1ccc(OC)c([C@H](C)NC[C@@H]2CCCCC2(F)F)c1 ZINC000390705066 333316925 /nfs/dbraw/zinc/31/69/25/333316925.db2.gz UXQXWZHADFIXGV-STQMWFEESA-N 0 3 313.388 4.180 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cccc(O)c1 ZINC000194942986 333317920 /nfs/dbraw/zinc/31/79/20/333317920.db2.gz NJCBQNXXHWYMBU-OCBCSQNSSA-N 0 3 317.816 4.226 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000498005591 333319880 /nfs/dbraw/zinc/31/98/80/333319880.db2.gz HORSPAMUUOYXHL-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN CC(C)c1ccc([C@@H](C)NC(=O)c2ccccc2CN(C)C)cc1 ZINC000498013701 333320151 /nfs/dbraw/zinc/32/01/51/333320151.db2.gz UVCXSFCGWOICBN-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000391914309 335133472 /nfs/dbraw/zinc/13/34/72/335133472.db2.gz PNQQFBBFDBLYEJ-SJORKVTESA-N 0 3 324.468 4.309 20 0 DIADHN CC(C)CCC[C@@H](C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000498021993 333321569 /nfs/dbraw/zinc/32/15/69/333321569.db2.gz IUMPURMADLETPS-QGZVFWFLSA-N 0 3 316.489 4.227 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3c(c2)OCCO3)c(C)c1 ZINC000319614645 333323394 /nfs/dbraw/zinc/32/33/94/333323394.db2.gz NUNVIHKRHBKSPR-INIZCTEOSA-N 0 3 311.425 4.234 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2cnc(-c3cccs3)s2)C1(C)C ZINC000531199667 333330991 /nfs/dbraw/zinc/33/09/91/333330991.db2.gz FCZINGFSXHIDQS-BBRMVZONSA-N 0 3 322.499 4.165 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CNCc1cnc(C2CCCCC2)s1 ZINC000531213789 333335404 /nfs/dbraw/zinc/33/54/04/333335404.db2.gz CHWHXHSVEPPTHS-CXAGYDPISA-N 0 3 310.507 4.078 20 0 DIADHN OC1(CNCc2cnc(C3CCCCC3)s2)CCCCCC1 ZINC000531213777 333335413 /nfs/dbraw/zinc/33/54/13/333335413.db2.gz ARZOAWLKUBPSCI-UHFFFAOYSA-N 0 3 322.518 4.366 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1cnc(C2CCCCC2)s1 ZINC000531213834 333335454 /nfs/dbraw/zinc/33/54/54/333335454.db2.gz KOMVKBASNQELND-CQSZACIVSA-N 0 3 315.486 4.307 20 0 DIADHN CCC[C@H](CNCc1ccc(Br)c(Cl)c1)OC ZINC000383142516 333336421 /nfs/dbraw/zinc/33/64/21/333336421.db2.gz XUHIFGVGKXYJTD-LLVKDONJSA-N 0 3 320.658 4.007 20 0 DIADHN CC[C@@H]1CCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)CC1 ZINC000531340026 333344846 /nfs/dbraw/zinc/34/48/46/333344846.db2.gz PWNVSXVXKIQIMC-CYBMUJFWSA-N 0 3 319.836 4.402 20 0 DIADHN Cn1ccc(CNCc2ccc(-c3cccc(F)c3)s2)c1 ZINC000531356004 333345799 /nfs/dbraw/zinc/34/57/99/333345799.db2.gz FNDJFUYLLAJXMI-UHFFFAOYSA-N 0 3 300.402 4.183 20 0 DIADHN COc1cccc(COCCN[C@H](C)c2cc(C)sc2C)c1 ZINC000537941306 333351812 /nfs/dbraw/zinc/35/18/12/333351812.db2.gz OECIROQQUYTECS-CQSZACIVSA-N 0 3 319.470 4.241 20 0 DIADHN COCCC(C)(C)CN[C@@H](C)c1ccccc1Br ZINC000184463102 333359507 /nfs/dbraw/zinc/35/95/07/333359507.db2.gz MWRVFNHNUDUINF-LBPRGKRZSA-N 0 3 314.267 4.162 20 0 DIADHN CC[C@H](O)CN[C@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000184512541 333360677 /nfs/dbraw/zinc/36/06/77/333360677.db2.gz IWTXOGYSLHPVID-YGRLFVJLSA-N 0 3 324.877 4.193 20 0 DIADHN CC(C)C(C)(C)NCc1cnc(NC(=O)OC(C)(C)C)s1 ZINC000495777401 333369903 /nfs/dbraw/zinc/36/99/03/333369903.db2.gz LCFZOQYLJIJJJH-UHFFFAOYSA-N 0 3 313.467 4.014 20 0 DIADHN CCOC(C)(C)CNCc1cnc(-c2ccc(C)cc2)s1 ZINC000186540230 333374473 /nfs/dbraw/zinc/37/44/73/333374473.db2.gz VOPXHANJKOGUBL-UHFFFAOYSA-N 0 3 304.459 4.023 20 0 DIADHN Cn1cc([C@H](N[C@@H]2CS[C@H](C(C)(C)C)C2)C(C)(C)C)cn1 ZINC000488422225 333375568 /nfs/dbraw/zinc/37/55/68/333375568.db2.gz LNFXYDLWLREYLH-KKUMJFAQSA-N 0 3 309.523 4.017 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CS[C@@H](C(C)(C)C)C2)cc1F ZINC000488427129 333375939 /nfs/dbraw/zinc/37/59/39/333375939.db2.gz XGNVEEDWAYRNQQ-NORZTCDRSA-N 0 3 311.466 4.405 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1cccn1)c1cccc(F)c1 ZINC000186842889 333379852 /nfs/dbraw/zinc/37/98/52/333379852.db2.gz CNPZCUSZGIQXAP-GOSISDBHSA-N 0 3 309.388 4.252 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCCC(F)(F)F)[n-]1 ZINC000488478536 333380421 /nfs/dbraw/zinc/38/04/21/333380421.db2.gz NCMWRMYZPHIWMD-DCAQKATOSA-N 0 3 306.376 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H](C)CCCC(F)(F)F)[nH]1 ZINC000488478536 333380422 /nfs/dbraw/zinc/38/04/22/333380422.db2.gz NCMWRMYZPHIWMD-DCAQKATOSA-N 0 3 306.376 4.090 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1ncc(Br)cc1F ZINC000186904281 333380647 /nfs/dbraw/zinc/38/06/47/333380647.db2.gz OKVZIRXCFZGLKO-OUJBWJOFSA-N 0 3 315.230 4.213 20 0 DIADHN Cc1nc(CCN[C@@H]2CCSc3ccc(Cl)cc32)cs1 ZINC000221955263 333383912 /nfs/dbraw/zinc/38/39/12/333383912.db2.gz ZLGVEMYHTNJCFI-CQSZACIVSA-N 0 3 324.902 4.474 20 0 DIADHN CC[C@H](C(=O)N(C)[C@H](C)C1CCN(C)CC1)C1CCC(C)CC1 ZINC000461110766 333384305 /nfs/dbraw/zinc/38/43/05/333384305.db2.gz RSULXHQASZQZIG-KXWSQRDISA-N 0 3 322.537 4.028 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CCSc2ccccc21)c1cccs1 ZINC000021500998 333388435 /nfs/dbraw/zinc/38/84/35/333388435.db2.gz KLGWTBBFSDNPLR-GJZGRUSLSA-N 0 3 318.511 4.178 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2cc(Cl)cc3c2OCC3)C1 ZINC000228558231 333390102 /nfs/dbraw/zinc/39/01/02/333390102.db2.gz DNNRLHZLPHLYAZ-GJZGRUSLSA-N 0 3 311.878 4.039 20 0 DIADHN CC(C)OCCCCNCc1cc(Cl)ccc1OC(F)F ZINC000228565456 333390661 /nfs/dbraw/zinc/39/06/61/333390661.db2.gz NVVXPECQQLCATF-UHFFFAOYSA-N 0 3 321.795 4.236 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](F)C1)c1sccc1Br ZINC000563125563 333394516 /nfs/dbraw/zinc/39/45/16/333394516.db2.gz PQDXYXYRPGQUED-LPEHRKFASA-N 0 3 306.244 4.442 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc2ccccc2c1 ZINC000122328210 333394585 /nfs/dbraw/zinc/39/45/85/333394585.db2.gz IOICCACFWQROET-LIRRHRJNSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@H](N[C@H]1CO[C@H](C)C1)c1ccc2ccccc2c1OC(F)F ZINC000563126367 333394779 /nfs/dbraw/zinc/39/47/79/333394779.db2.gz RVAXTPUGQDTGMU-MBNYWOFBSA-N 0 3 321.367 4.269 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@H](F)C1)c1cccc(C(F)(F)F)c1 ZINC000563127121 333395444 /nfs/dbraw/zinc/39/54/44/333395444.db2.gz WDDYAPTYGXXFJC-ZNMIVQPWSA-N 0 3 319.342 4.263 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc3c(c2)OCCCO3)cc1 ZINC000319677351 333395841 /nfs/dbraw/zinc/39/58/41/333395841.db2.gz ACWLOHWXFCHXDB-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)CCc2ccc(O)cc2)c(F)c1 ZINC000319686743 333396590 /nfs/dbraw/zinc/39/65/90/333396590.db2.gz KHTLQMPPIKUEFT-ZIAGYGMSSA-N 0 3 317.404 4.212 20 0 DIADHN Brc1ccccc1CN[C@H]1CCOC2(CCCC2)C1 ZINC000094369285 333397567 /nfs/dbraw/zinc/39/75/67/333397567.db2.gz KVFYQZBCJVOIBU-AWEZNQCLSA-N 0 3 324.262 4.031 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(C(C)(C)C)cc1)C(=O)N1CCCCC1 ZINC000319871560 333414118 /nfs/dbraw/zinc/41/41/18/333414118.db2.gz VYCRBQOCKZYMFE-HOTGVXAUSA-N 0 3 316.489 4.036 20 0 DIADHN Cc1ncsc1CCNCc1ccc(Cl)cc1Cl ZINC000391073679 333418908 /nfs/dbraw/zinc/41/89/08/333418908.db2.gz HYAUFOHREDMFAH-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(F)cc1 ZINC000319964257 333419472 /nfs/dbraw/zinc/41/94/72/333419472.db2.gz JADLLVKBPVOWTP-MSOLQXFVSA-N 0 3 316.420 4.297 20 0 DIADHN Cc1ccc(C)c([C@H](C)NC[C@H](c2ccco2)N2CCCC2)c1 ZINC000319962435 333419599 /nfs/dbraw/zinc/41/95/99/333419599.db2.gz IWKNNKLVCDBPCM-PKOBYXMFSA-N 0 3 312.457 4.384 20 0 DIADHN CC[C@H](NCc1ccnc(C)c1)c1cccc(Br)c1 ZINC000391127042 333420754 /nfs/dbraw/zinc/42/07/54/333420754.db2.gz AGXDACLUQGGNHQ-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCC(C)=C(C)C2)c(CN(C)C)c1 ZINC000563167840 333422787 /nfs/dbraw/zinc/42/27/87/333422787.db2.gz YSWUVFQTCXLRIF-INIZCTEOSA-N 0 3 300.446 4.132 20 0 DIADHN CCCCc1ccc([C@@H](C)N[C@@H]2CCCc3c2cnn3C)cc1 ZINC000320083510 333424443 /nfs/dbraw/zinc/42/44/43/333424443.db2.gz TZKTXWDRMSHZGX-DNVCBOLYSA-N 0 3 311.473 4.491 20 0 DIADHN CC(C)C[C@@H](C)N[C@H](C)c1ncc(Br)cc1F ZINC000187391365 333431677 /nfs/dbraw/zinc/43/16/77/333431677.db2.gz SHJBUFYLEUIIBF-NXEZZACHSA-N 0 3 303.219 4.068 20 0 DIADHN O[C@@]1(C(F)(F)F)CCCN(Cc2cccc3ccccc32)CC1 ZINC000391959786 335141206 /nfs/dbraw/zinc/14/12/06/335141206.db2.gz LKVARSJNTOEPMC-KRWDZBQOSA-N 0 3 323.358 4.119 20 0 DIADHN Cc1cscc1CNCc1cc(Cl)c2c(c1)OCCCO2 ZINC000094877440 333460673 /nfs/dbraw/zinc/46/06/73/333460673.db2.gz OBNJIDCUZFBEQA-UHFFFAOYSA-N 0 3 323.845 4.161 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1cc2ccccc2s1 ZINC000338021258 333467697 /nfs/dbraw/zinc/46/76/97/333467697.db2.gz SNPUBPWXLQSRGT-DOMZBBRYSA-N 0 3 311.454 4.363 20 0 DIADHN COCc1cc([C@H](C)N[C@H]2CCCc3occc32)ccc1OC ZINC000123392389 333468383 /nfs/dbraw/zinc/46/83/83/333468383.db2.gz ADSGSISEMDBQTB-GUYCJALGSA-N 0 3 315.413 4.163 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1cccc(F)c1)c1nccc2ccccc21 ZINC000571009823 333478749 /nfs/dbraw/zinc/47/87/49/333478749.db2.gz PKHQMNKOFNHOHV-LSDHHAIUSA-N 0 3 324.399 4.492 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2CCCCO2)sc1Br ZINC000512082715 333518784 /nfs/dbraw/zinc/51/87/84/333518784.db2.gz GUCHBKFFFGQBDF-QWRGUYRKSA-N 0 3 318.280 4.039 20 0 DIADHN Cc1ccc(CN[C@H](C)Cc2cccc(Br)c2)o1 ZINC000237538450 333520804 /nfs/dbraw/zinc/52/08/04/333520804.db2.gz GFVOTSXGFYSSDM-LLVKDONJSA-N 0 3 308.219 4.071 20 0 DIADHN C[C@@H](NC[C@H](c1ccsc1)N(C)C)c1ccc(Cl)cc1 ZINC000531687232 333543380 /nfs/dbraw/zinc/54/33/80/333543380.db2.gz AUUSDVQCXIXRAV-MLGOLLRUSA-N 0 3 308.878 4.355 20 0 DIADHN Cc1ccc(CN[C@@H]2CSCCc3ccccc32)cc1F ZINC000531690528 333545771 /nfs/dbraw/zinc/54/57/71/333545771.db2.gz WRCMILZZEWCRBY-GOSISDBHSA-N 0 3 301.430 4.254 20 0 DIADHN CC1(C)C[C@H](NCc2nc3cc(Cl)ccc3s2)C(C)(C)O1 ZINC000531696112 333546745 /nfs/dbraw/zinc/54/67/45/333546745.db2.gz KDQFDKMLYYKVDB-ZDUSSCGKSA-N 0 3 324.877 4.385 20 0 DIADHN COCc1ccc(CN[C@@H](C)CC(C)(C)c2ccccc2)o1 ZINC000531701387 333547852 /nfs/dbraw/zinc/54/78/52/333547852.db2.gz LRQVAWDZFHTWNG-HNNXBMFYSA-N 0 3 301.430 4.272 20 0 DIADHN COCc1ccc(CN[C@H](C)CC(C)(C)c2ccccc2)o1 ZINC000531701388 333547945 /nfs/dbraw/zinc/54/79/45/333547945.db2.gz LRQVAWDZFHTWNG-OAHLLOKOSA-N 0 3 301.430 4.272 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2c3cccc(F)c3CC[C@@H]2C)c1C ZINC000531710298 333548915 /nfs/dbraw/zinc/54/89/15/333548915.db2.gz QCUPXGMNGKXYTB-BUXKBTBVSA-N 0 3 315.436 4.072 20 0 DIADHN CC[C@H](N[C@H](CO)c1ccccc1C)c1cccc(Cl)c1 ZINC000531738708 333551809 /nfs/dbraw/zinc/55/18/09/333551809.db2.gz OZTRDUTZRMRVTN-ZWKOTPCHSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](c2ccc(Cl)cc2)N(C)C)o1 ZINC000531738525 333551838 /nfs/dbraw/zinc/55/18/38/333551838.db2.gz YDWNJCMBBRNCSD-CZUORRHYSA-N 0 3 306.837 4.195 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](CO)c2ccccc2C)cc1 ZINC000531738190 333551861 /nfs/dbraw/zinc/55/18/61/333551861.db2.gz VOVJGPRCUJRIBH-KSSFIOAISA-N 0 3 301.455 4.101 20 0 DIADHN OCCC1(CNCc2c(Cl)oc3ccccc32)CCCC1 ZINC000534133092 333561160 /nfs/dbraw/zinc/56/11/60/333561160.db2.gz WXRAEQSOZXURCC-UHFFFAOYSA-N 0 3 307.821 4.119 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@H]1CCSc2ccc(F)cc21 ZINC000152067260 333592954 /nfs/dbraw/zinc/59/29/54/333592954.db2.gz WAEJJXJEAHDMPF-QFYYESIMSA-N 0 3 320.433 4.188 20 0 DIADHN Cc1nsc(C)c1CNC1(c2ccc(F)cc2)CCCC1 ZINC000563350862 333594554 /nfs/dbraw/zinc/59/45/54/333594554.db2.gz RVHLKBATTXAARX-UHFFFAOYSA-N 0 3 304.434 4.458 20 0 DIADHN Cc1csc([C@@H](NC[C@@H]2CCCCC2(F)F)C2CC2)n1 ZINC000450687828 333601253 /nfs/dbraw/zinc/60/12/53/333601253.db2.gz BGRZQPCENSRLMK-STQMWFEESA-N 0 3 300.418 4.318 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc(Cn3ccnc3)cc2)c1 ZINC000152099336 333606741 /nfs/dbraw/zinc/60/67/41/333606741.db2.gz NRSTVUXDDUDJFQ-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC[C@H](NCCOC1CCCCC1)c1ccc(OC)c(OC)c1 ZINC000320838520 335151762 /nfs/dbraw/zinc/15/17/62/335151762.db2.gz OFRUAKHPDQBZIQ-KRWDZBQOSA-N 0 3 321.461 4.094 20 0 DIADHN c1cn(-c2ccccc2CN[C@H]2CCCc3ccccc32)cn1 ZINC000152259085 333651972 /nfs/dbraw/zinc/65/19/72/333651972.db2.gz KAUZVXAYHGKTBD-IBGZPJMESA-N 0 3 303.409 4.040 20 0 DIADHN Cc1ccc(OCCCCN[C@H](C)c2ccncc2F)cc1 ZINC000563670961 333653851 /nfs/dbraw/zinc/65/38/51/333653851.db2.gz NUNDLFAUMQCTRU-OAHLLOKOSA-N 0 3 302.393 4.039 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccc(F)cc1)c1nccc2ccccc21 ZINC000571092440 333659251 /nfs/dbraw/zinc/65/92/51/333659251.db2.gz PAPVQOPURFKEBJ-LSDHHAIUSA-N 0 3 324.399 4.492 20 0 DIADHN FC(F)(F)c1cscc1CNCCCOc1ccccc1 ZINC000571098446 333660314 /nfs/dbraw/zinc/66/03/14/333660314.db2.gz DMKYWUJCSRXGDJ-UHFFFAOYSA-N 0 3 315.360 4.326 20 0 DIADHN C[C@@H](NCCc1cncs1)c1cc(Cl)ccc1Cl ZINC000411440015 333672383 /nfs/dbraw/zinc/67/23/83/333672383.db2.gz APFWZBJWSDMZMI-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)N(C)c2ccccc2)c(C)s1 ZINC000411443349 333673116 /nfs/dbraw/zinc/67/31/16/333673116.db2.gz IDENXWHOKFWIRX-KGLIPLIRSA-N 0 3 316.470 4.067 20 0 DIADHN Fc1cc(C(F)(F)F)c(F)cc1CNC(C1CC1)C1CC1 ZINC000571188935 333679417 /nfs/dbraw/zinc/67/94/17/333679417.db2.gz NPFPTYHVLOXNAN-UHFFFAOYSA-N 0 3 305.290 4.262 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccc(Cl)cc1)c1cccs1 ZINC000411541478 333690597 /nfs/dbraw/zinc/69/05/97/333690597.db2.gz WRBOKXQJWRXYHC-LLVKDONJSA-N 0 3 308.834 4.081 20 0 DIADHN Cc1cnc(C2CCN([C@H]3C[C@H]3c3cccc(F)c3)CC2)s1 ZINC000411634161 333699327 /nfs/dbraw/zinc/69/93/27/333699327.db2.gz KPXQVMAYESPKLO-IRXDYDNUSA-N 0 3 316.445 4.326 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCOc1cc(C)ccc1C ZINC000411722476 333703798 /nfs/dbraw/zinc/70/37/98/333703798.db2.gz ASCSWKHQXACGNZ-UHFFFAOYSA-N 0 3 316.445 4.202 20 0 DIADHN CCC[C@@H](NCc1nc2ccc(Cl)cc2c(=O)[nH]1)C(C)(C)C ZINC000411799605 333707107 /nfs/dbraw/zinc/70/71/07/333707107.db2.gz MLZSPGFJSZSEEU-CQSZACIVSA-N 0 3 321.852 4.293 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1ncc(-c2ccccc2)s1)C1CC1 ZINC000411977262 333715927 /nfs/dbraw/zinc/71/59/27/333715927.db2.gz XVIAKROCQKYIGV-ACJLOTCBSA-N 0 3 316.470 4.103 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccccc3Cl)s2)CCOC1 ZINC000534451065 333772255 /nfs/dbraw/zinc/77/22/55/333772255.db2.gz LDRHCTMMOMSADC-MRXNPFEDSA-N 0 3 307.846 4.337 20 0 DIADHN C[C@@H](N[C@H](C)c1cncc(Br)c1)c1ccccc1 ZINC000571418565 333788163 /nfs/dbraw/zinc/78/81/63/333788163.db2.gz LOSPWJVXRLRAFI-VXGBXAGGSA-N 0 3 305.219 4.256 20 0 DIADHN C[C@H](NC[C@H](c1cccs1)N(C)C)c1ccc(Cl)cc1 ZINC000022149659 333808578 /nfs/dbraw/zinc/80/85/78/333808578.db2.gz MAIUTIICHJRAIX-SWLSCSKDSA-N 0 3 308.878 4.355 20 0 DIADHN COc1cc(CN(C2CCC2)C2CCC2)c(SC)cc1OC ZINC000430117103 333813215 /nfs/dbraw/zinc/81/32/15/333813215.db2.gz BUXJXNYFEJPUPN-UHFFFAOYSA-N 0 3 321.486 4.333 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1CCc2cc(N)ccc21 ZINC000571537066 333815151 /nfs/dbraw/zinc/81/51/51/333815151.db2.gz COYMIPCGAKSUFI-KRWDZBQOSA-N 0 3 316.832 4.098 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H]1CCc2cc(N)ccc21 ZINC000571537067 333815286 /nfs/dbraw/zinc/81/52/86/333815286.db2.gz COYMIPCGAKSUFI-QGZVFWFLSA-N 0 3 316.832 4.098 20 0 DIADHN c1ccc2c(CN[C@H]3CCC[C@H](c4cccnc4)C3)noc2c1 ZINC000571580705 333817446 /nfs/dbraw/zinc/81/74/46/333817446.db2.gz AMWGWVFYYZNEJE-HOCLYGCPSA-N 0 3 307.397 4.039 20 0 DIADHN Clc1cc(Cl)cc(CN[C@H]2CCO[C@H]2c2cccnc2)c1 ZINC000343396923 335161824 /nfs/dbraw/zinc/16/18/24/335161824.db2.gz IAJFCOCCQAPFSI-HOTGVXAUSA-N 0 3 323.223 4.008 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC000413570630 333855790 /nfs/dbraw/zinc/85/57/90/333855790.db2.gz GUVPRLXOECHSQM-NQIIRXRSSA-N 0 3 322.452 4.189 20 0 DIADHN C[C@H](NCCc1cc(Cl)cc(Cl)c1)c1cc2n(n1)CCC2 ZINC000414062111 333889502 /nfs/dbraw/zinc/88/95/02/333889502.db2.gz CHTUAMDQWAKLCY-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN C[C@H](NCC1(O)CCCCC1)c1csc(-c2ccccc2)n1 ZINC000414064005 333889691 /nfs/dbraw/zinc/88/96/91/333889691.db2.gz DJSSPNVFLCDUKF-AWEZNQCLSA-N 0 3 316.470 4.156 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(OC)cc2C)cn1 ZINC000414078605 333892594 /nfs/dbraw/zinc/89/25/94/333892594.db2.gz BHISCVWGLFKAJD-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN Fc1ccc(CN[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)nc1 ZINC000414094465 333895176 /nfs/dbraw/zinc/89/51/76/333895176.db2.gz MYNHEYLQNJEKHL-XJKSGUPXSA-N 0 3 304.796 4.300 20 0 DIADHN CO[C@@H]1CCC[C@@H]1CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414095733 333895395 /nfs/dbraw/zinc/89/53/95/333895395.db2.gz HRCFOPXMUQNHGI-FRFSOERESA-N 0 3 316.470 4.276 20 0 DIADHN C[C@H](N[C@H]1CCCOCC1)c1csc(-c2ccccc2)n1 ZINC000414096253 333895491 /nfs/dbraw/zinc/89/54/91/333895491.db2.gz IDRPRSQTPOTSOE-ZFWWWQNUSA-N 0 3 302.443 4.030 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](OC(C)(C)C)C1(C)C)c1c(C)noc1C ZINC000414103653 333896690 /nfs/dbraw/zinc/89/66/90/333896690.db2.gz NSJGDJOYYXPJKM-KKUMJFAQSA-N 0 3 308.466 4.314 20 0 DIADHN COCC(C)(C)CN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414150532 333903596 /nfs/dbraw/zinc/90/35/96/333903596.db2.gz VOZKQWKHPOBVKR-CYBMUJFWSA-N 0 3 304.459 4.133 20 0 DIADHN CC[C@@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@@H](O)CC ZINC000414152573 333903689 /nfs/dbraw/zinc/90/36/89/333903689.db2.gz QZCCJXUWVYSSHP-BJJXKVORSA-N 0 3 304.459 4.010 20 0 DIADHN CCc1ncc(CN[C@H]2CC[C@H](c3cccc(Cl)c3)C2)o1 ZINC000414156438 333904627 /nfs/dbraw/zinc/90/46/27/333904627.db2.gz WNCCBXSMOINRLT-ZFWWWQNUSA-N 0 3 304.821 4.316 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1csc(-c2ccccc2)n1 ZINC000414116364 333905794 /nfs/dbraw/zinc/90/57/94/333905794.db2.gz WSBSIIXMPFTAHI-DZKIICNBSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(-c2ccccc2)n1)[C@H]1CCCOC1 ZINC000414116370 333906090 /nfs/dbraw/zinc/90/60/90/333906090.db2.gz WSBSIIXMPFTAHI-YCPHGPKFSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1cccc(CO)c1 ZINC000414176770 333908823 /nfs/dbraw/zinc/90/88/23/333908823.db2.gz ICSYRFOTARPSQA-FABXCBLPSA-N 0 3 319.807 4.178 20 0 DIADHN CC(C)[C@@H](NCc1ccc2cncn2c1)c1cc(F)ccc1F ZINC000414179549 333909375 /nfs/dbraw/zinc/90/93/75/333909375.db2.gz KNPMFWKQMYYGIY-GOSISDBHSA-N 0 3 315.367 4.099 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1ccc2cncn2c1 ZINC000414180175 333909405 /nfs/dbraw/zinc/90/94/05/333909405.db2.gz LARHATGRVVJAQI-CQSZACIVSA-N 0 3 311.454 4.297 20 0 DIADHN CC(C)[C@@H](NCc1ccc2cncn2c1)c1ccc(Cl)cc1 ZINC000414190441 333911386 /nfs/dbraw/zinc/91/13/86/333911386.db2.gz RTGJTJPJLPQWIN-GOSISDBHSA-N 0 3 313.832 4.475 20 0 DIADHN Cc1nccnc1[C@H](C)NCC1(Cc2cccc(Cl)c2)CC1 ZINC000414161915 333915135 /nfs/dbraw/zinc/91/51/35/333915135.db2.gz GJLASFXSEKYDQU-AWEZNQCLSA-N 0 3 315.848 4.112 20 0 DIADHN CC(C)[C@H](NCc1ccc2cncn2c1)c1ccc(F)c(F)c1 ZINC000414162026 333915492 /nfs/dbraw/zinc/91/54/92/333915492.db2.gz CQNDSJHQOUIWEZ-SFHVURJKSA-N 0 3 315.367 4.099 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1cncc(F)c1 ZINC000414164588 333915867 /nfs/dbraw/zinc/91/58/67/333915867.db2.gz AYBDJTIJGFDRFG-TURKWSHLSA-N 0 3 308.759 4.220 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@H](C)[C@@](C)(OC)C3CC3)oc21 ZINC000414244273 333919542 /nfs/dbraw/zinc/91/95/42/333919542.db2.gz RWPORXBFWSAZQL-XEKQOVJPSA-N 0 3 317.429 4.296 20 0 DIADHN COCC[C@H](C)CN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000414214102 333922649 /nfs/dbraw/zinc/92/26/49/333922649.db2.gz YSSBHPBYPMTVJV-MGPUTAFESA-N 0 3 324.424 4.179 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)OCC2CC2)c2ccsc2S1 ZINC000414261872 333929504 /nfs/dbraw/zinc/92/95/04/333929504.db2.gz JBIIMLUAUCYUSF-FZMZJTMJSA-N 0 3 311.516 4.468 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@@H]1CCCC[C@H]1F ZINC000414293339 333934856 /nfs/dbraw/zinc/93/48/56/333934856.db2.gz VTSAEFDTECZKLL-KCPJHIHWSA-N 0 3 319.449 4.445 20 0 DIADHN Fc1ccc([C@H](C[C@H]2CCOC2)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414315299 333938223 /nfs/dbraw/zinc/93/82/23/333938223.db2.gz RTINFVSMAMWONR-PILAGYSTSA-N 0 3 309.400 4.164 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1ccco1)c1ccc(F)cc1OC(F)F ZINC000414327109 333940786 /nfs/dbraw/zinc/94/07/86/333940786.db2.gz BGMRINHSPVEWBJ-ZWKOPEQDSA-N 0 3 311.303 4.227 20 0 DIADHN C[C@H](NCC(C)(C)c1cccnc1)c1ccc2ccccc2n1 ZINC000414343659 333942764 /nfs/dbraw/zinc/94/27/64/333942764.db2.gz YMVVOTRLDLZTKI-HNNXBMFYSA-N 0 3 305.425 4.258 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2C[C@H]2c2ccco2)ccc1OC(F)F ZINC000414350827 333945995 /nfs/dbraw/zinc/94/59/95/333945995.db2.gz ZNQWQKXCWZPYRY-CYZMBNFOSA-N 0 3 323.339 4.096 20 0 DIADHN CCCC[C@@H](COC)N[C@H]1CCSc2ccc(OC)cc21 ZINC000343472733 335167719 /nfs/dbraw/zinc/16/77/19/335167719.db2.gz VZFXFYQISRUPKY-BBRMVZONSA-N 0 3 309.475 4.027 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H](c3ncccc3C)C2)sc1C ZINC000343473079 335167795 /nfs/dbraw/zinc/16/77/95/335167795.db2.gz RBYDXSDEHKXGCI-JKSUJKDBSA-N 0 3 315.486 4.279 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1C[C@](C)(OC)C1(C)C)CCS2 ZINC000343470316 335167868 /nfs/dbraw/zinc/16/78/68/335167868.db2.gz RONMYQBTBVKKDB-HFTRVMKXSA-N 0 3 321.486 4.025 20 0 DIADHN CCc1ncc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)cn1 ZINC000414427375 333971730 /nfs/dbraw/zinc/97/17/30/333971730.db2.gz FJXYGXYQGPLJOA-XLIONFOSSA-N 0 3 309.457 4.088 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)nn1C ZINC000414442088 333976580 /nfs/dbraw/zinc/97/65/80/333976580.db2.gz GICVMUQVHCFIGS-JOCQHMNTSA-N 0 3 324.255 4.071 20 0 DIADHN Cc1ccc(CN[C@@H]2CCC[C@H](c3ccc(Cl)cc3)C2)nn1 ZINC000414465596 333989300 /nfs/dbraw/zinc/98/93/00/333989300.db2.gz XYGZOQXYEVCZTN-DOTOQJQBSA-N 0 3 315.848 4.254 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC[C@@H]1CCC[C@@H]1C1CC1 ZINC000414484633 333994865 /nfs/dbraw/zinc/99/48/65/333994865.db2.gz OHQYYPREYDCQKV-GOEBONIOSA-N 0 3 313.470 4.121 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@H]2CCC[C@H]2C2CC2)s1 ZINC000414487397 333995447 /nfs/dbraw/zinc/99/54/47/333995447.db2.gz SAWADHDXTJLYSC-PWSUYJOCSA-N 0 3 304.381 4.078 20 0 DIADHN Cc1ccc(CNCc2cnn(CC(C)C)c2)c2ccccc12 ZINC000414489337 333996091 /nfs/dbraw/zinc/99/60/91/333996091.db2.gz YMIVFYLDKSXPSW-UHFFFAOYSA-N 0 3 307.441 4.291 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cccc2cccnc21 ZINC000414492455 333996499 /nfs/dbraw/zinc/99/64/99/333996499.db2.gz ALFKUWGUETVOQW-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN Cc1ccc2cc(CNc3ccc(CN(C)C)cc3F)ccc2n1 ZINC000414497367 333997787 /nfs/dbraw/zinc/99/77/87/333997787.db2.gz KHYCXRRDGXBFBH-UHFFFAOYSA-N 0 3 323.415 4.356 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cnc(-c2ccsc2)s1)C1CC1 ZINC000414505083 334000671 /nfs/dbraw/zinc/00/06/71/334000671.db2.gz UIIYXEXOAYFWFW-ZBEGNZNMSA-N 0 3 322.499 4.165 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cnc(C2CCCC2)s1)C1CC1 ZINC000414505877 334000835 /nfs/dbraw/zinc/00/08/35/334000835.db2.gz VOZPISKGUOTEMY-SJCJKPOMSA-N 0 3 308.491 4.094 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1cnc(C2CCCC2)s1)C1CC1 ZINC000414505876 334000857 /nfs/dbraw/zinc/00/08/57/334000857.db2.gz VOZPISKGUOTEMY-PXAZEXFGSA-N 0 3 308.491 4.094 20 0 DIADHN CC[C@@H](CNCc1nc2c(s1)C[C@H](C)CC2)CC(F)(F)F ZINC000414515084 334003639 /nfs/dbraw/zinc/00/36/39/334003639.db2.gz KPYCMUQYKUXSLZ-GHMZBOCLSA-N 0 3 320.424 4.336 20 0 DIADHN C[C@@H]1C[C@H](CNCc2cc(C(F)(F)F)ccc2Cl)[C@@H](C)O1 ZINC000414521435 334006141 /nfs/dbraw/zinc/00/61/41/334006141.db2.gz WEHMHDVKSYREPU-GMTAPVOTSA-N 0 3 321.770 4.262 20 0 DIADHN COC1([C@H](C)NCc2cnc(-c3ccc(C)cc3)s2)CCC1 ZINC000414530435 334009308 /nfs/dbraw/zinc/00/93/08/334009308.db2.gz XKRICIHNROSDPO-AWEZNQCLSA-N 0 3 316.470 4.166 20 0 DIADHN CSc1ccc(CN2CC3(CCOCC3)[C@H]2C(C)C)s1 ZINC000414541682 334013658 /nfs/dbraw/zinc/01/36/58/334013658.db2.gz QOKGSXPVBKMRCY-OAHLLOKOSA-N 0 3 311.516 4.107 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H]2CCC[C@H](CO)C2)o1 ZINC000414560950 334018983 /nfs/dbraw/zinc/01/89/83/334018983.db2.gz AZLORTLXBDMAMT-LSDHHAIUSA-N 0 3 317.404 4.035 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2C[C@H]2c2ccco2)s1 ZINC000414564795 334020534 /nfs/dbraw/zinc/02/05/34/334020534.db2.gz DBQAPWGOWQVDTA-MWLCHTKSSA-N 0 3 312.232 4.058 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H](C)c1ccc2c(c1)COC2 ZINC000271415250 334022811 /nfs/dbraw/zinc/02/28/11/334022811.db2.gz ARSMLOUSGSQZRE-VLIAUNLRSA-N 0 3 323.436 4.454 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000271878030 334028061 /nfs/dbraw/zinc/02/80/61/334028061.db2.gz OQKGAISIHZGDQF-DEYYWGMASA-N 0 3 319.424 4.030 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000343464401 335166959 /nfs/dbraw/zinc/16/69/59/335166959.db2.gz GFKDVOVBKGYNSR-MRXNPFEDSA-N 0 3 303.377 4.087 20 0 DIADHN CC[C@@H](N[C@H](CO)Cc1ccccc1)c1ccc(Cl)s1 ZINC000437097206 334028391 /nfs/dbraw/zinc/02/83/91/334028391.db2.gz NIFQIMGOSGKHLP-UONOGXRCSA-N 0 3 309.862 4.046 20 0 DIADHN C[C@@H](CCCO)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000272270768 334032408 /nfs/dbraw/zinc/03/24/08/334032408.db2.gz LKEVUFBOCPAQRP-KSSFIOAISA-N 0 3 303.833 4.180 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CCCO)c2ccccc2)cc1C ZINC000272806214 334039798 /nfs/dbraw/zinc/03/97/98/334039798.db2.gz BELNCNBJGJCKFP-APWZRJJASA-N 0 3 313.441 4.168 20 0 DIADHN Cc1ccoc1CN(C)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000273466281 334047602 /nfs/dbraw/zinc/04/76/02/334047602.db2.gz IMEOTFFXPDCIRJ-IRXDYDNUSA-N 0 3 303.377 4.079 20 0 DIADHN CCOC(CCN[C@@H]1C[C@H](C)Sc2sccc21)OCC ZINC000276570536 334082325 /nfs/dbraw/zinc/08/23/25/334082325.db2.gz TXNVDHSVWXHJPY-WCQYABFASA-N 0 3 315.504 4.052 20 0 DIADHN Cc1noc(C[C@@H](N[C@@H](C)c2cccc(C)c2)c2ccccc2)n1 ZINC000277072496 334086452 /nfs/dbraw/zinc/08/64/52/334086452.db2.gz IXMDBKLREOHGGO-HNAYVOBHSA-N 0 3 321.424 4.321 20 0 DIADHN Cc1ccc2cc(CN3CCS[C@H](C(C)C)C3)ccc2n1 ZINC000438111356 334091140 /nfs/dbraw/zinc/09/11/40/334091140.db2.gz UXGSZBKNBFTNJR-SFHVURJKSA-N 0 3 300.471 4.117 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC(c2ccccc2C)CC1 ZINC000343508340 335172791 /nfs/dbraw/zinc/17/27/91/335172791.db2.gz HSRTWHOUZDTORR-UHFFFAOYSA-N 0 3 311.473 4.460 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000279328371 334108051 /nfs/dbraw/zinc/10/80/51/334108051.db2.gz SYKCNKBLIVBXJU-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN CC(C)c1ccc(NC(=O)N2C[C@H](C)NC[C@@H]2C)c(C(C)C)c1 ZINC000414826631 334109064 /nfs/dbraw/zinc/10/90/64/334109064.db2.gz JHQLCMURIIXSKE-GJZGRUSLSA-N 0 3 317.477 4.148 20 0 DIADHN CC(C)c1ncc(CNC[C@@H](N)c2ccc(C(C)C)cc2)s1 ZINC000414841320 334112962 /nfs/dbraw/zinc/11/29/62/334112962.db2.gz HSPZCSHSHJPDJV-QGZVFWFLSA-N 0 3 317.502 4.180 20 0 DIADHN CC(C)c1cnc(CNC[C@@H](N)c2ccc(C(C)C)cc2)s1 ZINC000414844221 334113451 /nfs/dbraw/zinc/11/34/51/334113451.db2.gz KPARXLJWKBUCNY-MRXNPFEDSA-N 0 3 317.502 4.180 20 0 DIADHN CN(C)[C@H](CNc1nccc2ccc(F)cc21)c1ccccc1 ZINC000340196935 334145331 /nfs/dbraw/zinc/14/53/31/334145331.db2.gz LEXYPWDRUUJFSQ-GOSISDBHSA-N 0 3 309.388 4.089 20 0 DIADHN C[C@H](N[C@H](c1cccc(C(F)(F)F)c1)C1CC1)c1cn[nH]c1 ZINC000285254066 334146443 /nfs/dbraw/zinc/14/64/43/334146443.db2.gz QBLXDMZQUQEJQD-BONVTDFDSA-N 0 3 309.335 4.230 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2c(F)cncc2F)cc1 ZINC000285738411 334149754 /nfs/dbraw/zinc/14/97/54/334149754.db2.gz RGKOJQVAYOLNHO-OLZOCXBDSA-N 0 3 320.383 4.040 20 0 DIADHN C[C@H](NC1CCSCC1)c1csc(-c2ccccc2F)n1 ZINC000291106149 334176390 /nfs/dbraw/zinc/17/63/90/334176390.db2.gz FCQBRPWRRZKKAX-NSHDSACASA-N 0 3 322.474 4.495 20 0 DIADHN CC(C)(C)c1ncc(CN[C@@H]2CCCc3cc(N)ccc32)s1 ZINC000414917441 334177817 /nfs/dbraw/zinc/17/78/17/334177817.db2.gz QOFJSJFCICRCIH-MRXNPFEDSA-N 0 3 315.486 4.190 20 0 DIADHN CC(C)(C)c1ncc(CN[C@H]2CCCc3cc(N)ccc32)s1 ZINC000414917440 334177830 /nfs/dbraw/zinc/17/78/30/334177830.db2.gz QOFJSJFCICRCIH-INIZCTEOSA-N 0 3 315.486 4.190 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000340450605 334204897 /nfs/dbraw/zinc/20/48/97/334204897.db2.gz VIOYNNMKYYDANR-MNOVXSKESA-N 0 3 305.447 4.087 20 0 DIADHN CC[C@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cc(Cl)ccc1OC ZINC000340450592 334205085 /nfs/dbraw/zinc/20/50/85/334205085.db2.gz VDNLCCMCWQAYIW-ABAIWWIYSA-N 0 3 320.820 4.251 20 0 DIADHN CC[C@H](N[C@H](C)c1cc[nH]c(=O)c1)c1cccc(Cl)c1F ZINC000340450662 334205140 /nfs/dbraw/zinc/20/51/40/334205140.db2.gz WKGQDLGPMZXFTR-YGRLFVJLSA-N 0 3 308.784 4.382 20 0 DIADHN CC[C@@H](NC[C@H](CC)OC)c1cc(F)cc(Br)c1 ZINC000353559594 334209429 /nfs/dbraw/zinc/20/94/29/334209429.db2.gz KYUSLYUAABVEFY-UONOGXRCSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1cc(N)cc(CNCc2ccc(OCCC(C)C)cc2)c1 ZINC000414958525 334210520 /nfs/dbraw/zinc/21/05/20/334210520.db2.gz DGBIQLUKDCYUSW-UHFFFAOYSA-N 0 3 312.457 4.292 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N1C[C@H]2CCCN2Cc2ccccc21 ZINC000340491930 334218676 /nfs/dbraw/zinc/21/86/76/334218676.db2.gz UNNORJFKMLPDMM-SJLPKXTDSA-N 0 3 314.473 4.070 20 0 DIADHN CCC[C@]1(CO)CCN(Cc2cc3cc(C)c(C)cc3o2)C1 ZINC000582149710 334273532 /nfs/dbraw/zinc/27/35/32/334273532.db2.gz XVGJWUJCIVZFMK-IBGZPJMESA-N 0 3 301.430 4.034 20 0 DIADHN Cc1nc2ccc(CNCc3ccc4c(c3)CCCN4)cc2s1 ZINC000414975295 334222167 /nfs/dbraw/zinc/22/21/67/334222167.db2.gz GBKLFLXRWOHDQE-UHFFFAOYSA-N 0 3 323.465 4.253 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccccc2)cs1)C(C)(C)O ZINC000340502218 334222977 /nfs/dbraw/zinc/22/29/77/334222977.db2.gz LHZWXAMFYYNURW-DOMZBBRYSA-N 0 3 304.459 4.010 20 0 DIADHN Cc1csc([C@@H](C)NCCCCCOc2ccccc2)n1 ZINC000187809091 334273929 /nfs/dbraw/zinc/27/39/29/334273929.db2.gz UYJLSTCUGSFFTL-OAHLLOKOSA-N 0 3 304.459 4.351 20 0 DIADHN Fc1cccc(F)c1CN(CCc1cccnc1)C1CCCC1 ZINC000514094722 334274133 /nfs/dbraw/zinc/27/41/33/334274133.db2.gz LUBVGZWDHBVZKU-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN CC[C@H](COCC(F)(F)F)N[C@@H](C)c1ccc(Cl)s1 ZINC000453266679 334245979 /nfs/dbraw/zinc/24/59/79/334245979.db2.gz ZQAIAXPVRIWQCX-DTWKUNHWSA-N 0 3 315.788 4.410 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCc1scnc1C ZINC000453308842 334251791 /nfs/dbraw/zinc/25/17/91/334251791.db2.gz OBXITKXGYZJZSB-SNVBAGLBSA-N 0 3 310.850 4.007 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCC(C)(C)C(F)(F)F)CCCO2 ZINC000583487428 334275812 /nfs/dbraw/zinc/27/58/12/334275812.db2.gz FZAXFCIPJXTGMF-ZDUSSCGKSA-N 0 3 301.352 4.387 20 0 DIADHN Cc1cccc(C)c1CN[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000415023128 334259657 /nfs/dbraw/zinc/25/96/57/334259657.db2.gz CZMFRSWBXWCBQT-GOSISDBHSA-N 0 3 310.441 4.278 20 0 DIADHN Cc1cccc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)c1C ZINC000415025267 334259796 /nfs/dbraw/zinc/25/97/96/334259796.db2.gz PKSUJJOBQDZBFK-GOSISDBHSA-N 0 3 310.441 4.278 20 0 DIADHN COc1ccc2oc(CNCc3cccc4c3CCCN4)cc2c1 ZINC000415030536 334260123 /nfs/dbraw/zinc/26/01/23/334260123.db2.gz GHWPVUVGOWTYFQ-UHFFFAOYSA-N 0 3 322.408 4.089 20 0 DIADHN Cc1nc2ccc(CNCc3cccc4c3CCCN4)cc2s1 ZINC000415032907 334260288 /nfs/dbraw/zinc/26/02/88/334260288.db2.gz JJCUCJSGJXKYQZ-UHFFFAOYSA-N 0 3 323.465 4.253 20 0 DIADHN CC(C)Oc1ccc(CNCc2cccc3c2CCCN3)cc1 ZINC000415033572 334260317 /nfs/dbraw/zinc/26/03/17/334260317.db2.gz KIDDUQLBZCVZBG-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN Fc1cc(Cl)cc(CNCc2cccc3c2CCCN3)c1 ZINC000415039790 334260639 /nfs/dbraw/zinc/26/06/39/334260639.db2.gz RGJLBEPULMEWQU-UHFFFAOYSA-N 0 3 304.796 4.127 20 0 DIADHN Cc1cc(F)ccc1CN[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000415040358 334260803 /nfs/dbraw/zinc/26/08/03/334260803.db2.gz RTKOOGMXHXSSAQ-KRWDZBQOSA-N 0 3 314.404 4.108 20 0 DIADHN CC(C)C1CCC(CN[C@@H](C)c2nnc3n2CCCCC3)CC1 ZINC000323722430 334264505 /nfs/dbraw/zinc/26/45/05/334264505.db2.gz LEDOOGPJLFOAEF-GTPINHCMSA-N 0 3 318.509 4.118 20 0 DIADHN CCC[C@@H](NCCC(=O)Nc1ccccc1)c1ccsc1 ZINC000187717758 334269531 /nfs/dbraw/zinc/26/95/31/334269531.db2.gz KNMYJFKQJDYBLB-MRXNPFEDSA-N 0 3 302.443 4.208 20 0 DIADHN C[C@H](NCC1(CCO)CCC1)c1cc(Cl)ccc1Cl ZINC000537961659 334271319 /nfs/dbraw/zinc/27/13/19/334271319.db2.gz RZUJGOIFRKQCCR-NSHDSACASA-N 0 3 302.245 4.197 20 0 DIADHN CCC[C@H](NC[C@H](C)CCO)c1ccc(Cl)cc1Cl ZINC000534495589 334277538 /nfs/dbraw/zinc/27/75/38/334277538.db2.gz FTVBIQBOSJPSJM-ABAIWWIYSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1ncc(Br)s1 ZINC000534498210 334277927 /nfs/dbraw/zinc/27/79/27/334277927.db2.gz WGCCFOCVBXFYAD-RCWTZXSCSA-N 0 3 317.296 4.381 20 0 DIADHN COc1ccc(CNCCC2=CCCCC2)c(Cl)c1OC ZINC000110819805 334290958 /nfs/dbraw/zinc/29/09/58/334290958.db2.gz BDNVEHSIAMVJCB-UHFFFAOYSA-N 0 3 309.837 4.337 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@@H](C)N(C)CCCc2ccccc2)c1 ZINC000534600924 334296397 /nfs/dbraw/zinc/29/63/97/334296397.db2.gz HOMALWFOWNPHLV-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000531944620 334300238 /nfs/dbraw/zinc/30/02/38/334300238.db2.gz LGKHBNQJYJKVIR-WIYYLYMNSA-N 0 3 323.436 4.432 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccc(Cn3cccn3)cc2)c1 ZINC000531945271 334300905 /nfs/dbraw/zinc/30/09/05/334300905.db2.gz UDBMIHAGQQXMHI-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1nc(-c2ccco2)no1 ZINC000111056826 334300958 /nfs/dbraw/zinc/30/09/58/334300958.db2.gz IXDILWYRBLWDJB-CHWSQXEVSA-N 0 3 303.406 4.024 20 0 DIADHN Cc1ccc(CN[C@H](C)Cc2c(Cl)cccc2Cl)nc1 ZINC000534612357 334301503 /nfs/dbraw/zinc/30/15/03/334301503.db2.gz LKFMHRJNLKVEDH-GFCCVEGCSA-N 0 3 309.240 4.418 20 0 DIADHN C[C@H](NCC1CCOCC1)c1cc(F)c(Cl)cc1Cl ZINC000111157412 334304949 /nfs/dbraw/zinc/30/49/49/334304949.db2.gz LVRBANGUGZEYNR-VIFPVBQESA-N 0 3 306.208 4.210 20 0 DIADHN CC[C@@H](COC)NCc1ccc(Oc2cc(C)ccc2C)nc1 ZINC000531947147 334305213 /nfs/dbraw/zinc/30/52/13/334305213.db2.gz GQOORDWFCYEIEJ-KRWDZBQOSA-N 0 3 314.429 4.005 20 0 DIADHN Cc1ccc(OCCN[C@H](C)c2ccc3c(c2)COC3)c(C)c1 ZINC000531954535 334305644 /nfs/dbraw/zinc/30/56/44/334305644.db2.gz XJLCVSXUIUZVRZ-MRXNPFEDSA-N 0 3 311.425 4.063 20 0 DIADHN CCOCCN[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000531954675 334306213 /nfs/dbraw/zinc/30/62/13/334306213.db2.gz HEKNLQQMEAZKBT-AWEZNQCLSA-N 0 3 303.377 4.305 20 0 DIADHN c1nc(-c2ccccc2)sc1CN[C@@H](c1cccnc1)C1CC1 ZINC000534645247 334306808 /nfs/dbraw/zinc/30/68/08/334306808.db2.gz VHGINWNUFPCLKU-GOSISDBHSA-N 0 3 321.449 4.446 20 0 DIADHN CSc1ccc(NC(=O)CN(C(C)C)C2CCCC2)cc1 ZINC000111173675 334308583 /nfs/dbraw/zinc/30/85/83/334308583.db2.gz BXMUAYIHSNVIER-UHFFFAOYSA-N 0 3 306.475 4.000 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(c2nc3ccccc3o2)CC1 ZINC000303275571 334311329 /nfs/dbraw/zinc/31/13/29/334311329.db2.gz KVNYWMZWPPEXNT-SFHVURJKSA-N 0 3 321.424 4.101 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000111253164 334314147 /nfs/dbraw/zinc/31/41/47/334314147.db2.gz CXJXKUCFSYVPNL-RISCZKNCSA-N 0 3 310.869 4.262 20 0 DIADHN COc1ccsc1CN[C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000534647093 334315806 /nfs/dbraw/zinc/31/58/06/334315806.db2.gz VQMDSZABIWOIBG-QGZVFWFLSA-N 0 3 305.418 4.445 20 0 DIADHN COc1cccc2cc([C@H](C)NCCc3ccsc3)oc21 ZINC000049153267 334322209 /nfs/dbraw/zinc/32/22/09/334322209.db2.gz UNRPJPNSYXZGSV-LBPRGKRZSA-N 0 3 301.411 4.396 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)Cc2ccsc2)c(OC)c1 ZINC000049155328 334322581 /nfs/dbraw/zinc/32/25/81/334322581.db2.gz GRDDUAUPWZXKFB-CHWSQXEVSA-N 0 3 305.443 4.047 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@@H](O)C2CCCCC2)cc1F ZINC000531965789 334323784 /nfs/dbraw/zinc/32/37/84/334323784.db2.gz YCBSNKGPQKGOSF-MLGOLLRUSA-N 0 3 311.466 4.139 20 0 DIADHN CCC[C@H](NCc1c(C)nn(CC)c1C)c1ccc(OC)cc1 ZINC000531965813 334324275 /nfs/dbraw/zinc/32/42/75/334324275.db2.gz CKAPPGQLIBGRAL-IBGZPJMESA-N 0 3 315.461 4.159 20 0 DIADHN C[C@H](NCC1(c2cccc(Br)c2)CC1)c1ccoc1 ZINC000531966477 334325217 /nfs/dbraw/zinc/32/52/17/334325217.db2.gz IBRQGPHODKUSPO-LBPRGKRZSA-N 0 3 320.230 4.425 20 0 DIADHN CCC(CC)(CC)CNCc1ccncc1OCC(F)F ZINC000443061011 338442631 /nfs/dbraw/zinc/44/26/31/338442631.db2.gz HWUJQJHEWLRMDV-UHFFFAOYSA-N 0 3 300.393 4.032 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCCC[C@@H]2C(C)C)c(C)c1 ZINC000303649070 334326337 /nfs/dbraw/zinc/32/63/37/334326337.db2.gz DGWUKJUADSOOMT-QGZVFWFLSA-N 0 3 302.462 4.061 20 0 DIADHN COc1ccc([C@@H](C)NCCOC2CCCCCC2)cc1F ZINC000531969087 334326816 /nfs/dbraw/zinc/32/68/16/334326816.db2.gz RRDRJYAOHJCYGF-CQSZACIVSA-N 0 3 309.425 4.224 20 0 DIADHN Cc1cccc([C@@H](C)C(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC000534702900 334328649 /nfs/dbraw/zinc/32/86/49/334328649.db2.gz UHWIYPIYGHTQGE-MRXNPFEDSA-N 0 3 310.441 4.107 20 0 DIADHN CCc1cc(C(=O)Nc2cc(C)cc(CN(C)C)c2)oc1CC ZINC000534707253 334328919 /nfs/dbraw/zinc/32/89/19/334328919.db2.gz SIRLDIMWUKISPD-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN CCc1nc(CN2C[C@@H](c3ccccc3C)C[C@H]2C)cs1 ZINC000303725911 334329679 /nfs/dbraw/zinc/32/96/79/334329679.db2.gz CDRWHMFEWJBGMQ-CABCVRRESA-N 0 3 300.471 4.392 20 0 DIADHN COc1ccc(CCN2CCSC[C@@H]2c2ccccc2)cc1 ZINC000303729877 334330013 /nfs/dbraw/zinc/33/00/13/334330013.db2.gz UOZFLYUNZJOOND-LJQANCHMSA-N 0 3 313.466 4.028 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCC[C@H](C)C1)c1ccsc1 ZINC000303833661 334333339 /nfs/dbraw/zinc/33/33/39/334333339.db2.gz BVLYUCSHGHKDSO-ZMSDIMECSA-N 0 3 322.518 4.074 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2cccc(C)c2)s1 ZINC000303857136 334338629 /nfs/dbraw/zinc/33/86/29/334338629.db2.gz FVTOLWQCLPYVLN-HNNXBMFYSA-N 0 3 318.486 4.394 20 0 DIADHN CSCCN(C)[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000534842697 334339415 /nfs/dbraw/zinc/33/94/15/334339415.db2.gz JFGZDMQUKQTDNW-CYBMUJFWSA-N 0 3 306.500 4.474 20 0 DIADHN CCC[C@@H](NCc1cccc(OCCOC)c1)c1ccsc1 ZINC000443079178 338443558 /nfs/dbraw/zinc/44/35/58/338443558.db2.gz NOPAQMUODXUCKY-GOSISDBHSA-N 0 3 319.470 4.404 20 0 DIADHN COc1cccc(CCCN(C)[C@@H](C)c2ccccc2F)c1 ZINC000303949860 334349790 /nfs/dbraw/zinc/34/97/90/334349790.db2.gz VJQLXSJESFBJGE-HNNXBMFYSA-N 0 3 301.405 4.460 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CCCC[C@@H]1n1cccn1 ZINC000531979743 334351334 /nfs/dbraw/zinc/35/13/34/334351334.db2.gz NQAJIDOWUVHNGN-SZMVWBNQSA-N 0 3 313.445 4.035 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000534976879 334351479 /nfs/dbraw/zinc/35/14/79/334351479.db2.gz QXNAESCDHOQNLH-RTBURBONSA-N 0 3 315.844 4.349 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000563679798 334354090 /nfs/dbraw/zinc/35/40/90/334354090.db2.gz OYCMWJHGDLWOOD-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CCCCOc1ccc(CCN[C@@H](C)c2cscn2)cc1 ZINC000535064512 334362422 /nfs/dbraw/zinc/36/24/22/334362422.db2.gz WMTKOYUCGHTURH-AWEZNQCLSA-N 0 3 304.459 4.215 20 0 DIADHN c1ncc(CN2CCC[C@H](c3nc4ccccc4s3)C2)s1 ZINC000535068438 334363328 /nfs/dbraw/zinc/36/33/28/334363328.db2.gz FKZDLETWTFVMAF-LBPRGKRZSA-N 0 3 315.467 4.132 20 0 DIADHN Cn1ccc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)c1 ZINC000535068605 334363686 /nfs/dbraw/zinc/36/36/86/334363686.db2.gz NSMQMBXACFZAOL-OAHLLOKOSA-N 0 3 311.454 4.014 20 0 DIADHN C[C@H](O)CN(Cc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC000535081355 334365893 /nfs/dbraw/zinc/36/58/93/334365893.db2.gz SYVXBZATWNXCNI-AWEZNQCLSA-N 0 3 323.358 4.088 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cnc(C3CC3)s2)cc1 ZINC000535079228 334367143 /nfs/dbraw/zinc/36/71/43/334367143.db2.gz WUEIAZZFLTZFCB-MRXNPFEDSA-N 0 3 316.445 4.367 20 0 DIADHN OC[C@H](C1CC1)N(Cc1cc2ccccc2o1)Cc1ccccc1 ZINC000535092555 334369357 /nfs/dbraw/zinc/36/93/57/334369357.db2.gz LUPGLANQOFPQID-HXUWFJFHSA-N 0 3 321.420 4.206 20 0 DIADHN Cc1n[nH]cc1CCCN[C@H](C)c1cc(Cl)ccc1Cl ZINC000050182407 334370387 /nfs/dbraw/zinc/37/03/87/334370387.db2.gz ASQGNPBIXMASPB-LLVKDONJSA-N 0 3 312.244 4.308 20 0 DIADHN COc1cccc([C@H](C)N2CCc3ccc(C(C)=O)cc3CC2)c1 ZINC000535217101 334379214 /nfs/dbraw/zinc/37/92/14/334379214.db2.gz RZABQKMWALJLII-HNNXBMFYSA-N 0 3 323.436 4.060 20 0 DIADHN Cc1noc(C)c1CCN1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000535204213 334380261 /nfs/dbraw/zinc/38/02/61/334380261.db2.gz CNNMGAGTPYYTDM-SGTLLEGYSA-N 0 3 302.393 4.056 20 0 DIADHN COCC1CCN([C@H](C)c2ccc(OC(F)(F)F)cc2)CC1 ZINC000112352733 334384713 /nfs/dbraw/zinc/38/47/13/334384713.db2.gz FQZAFLZOPIGBFT-GFCCVEGCSA-N 0 3 317.351 4.005 20 0 DIADHN COCC[C@@H](N[C@H](C)c1ccc(OC)c(F)c1)c1ccccc1 ZINC000341011599 334399565 /nfs/dbraw/zinc/39/95/65/334399565.db2.gz IPGMTHDDPZWBOK-RDTXWAMCSA-N 0 3 317.404 4.263 20 0 DIADHN CCC[C@@H](NCc1cnc(OC)c(Cl)c1)c1ccccc1 ZINC000341034923 334403591 /nfs/dbraw/zinc/40/35/91/334403591.db2.gz HNDAECANRHKAMC-MRXNPFEDSA-N 0 3 304.821 4.375 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)c1c[nH]c2ncccc12)c1ccc(C)cc1 ZINC000341048445 334405058 /nfs/dbraw/zinc/40/50/58/334405058.db2.gz WJLMYOFBXMQKMD-KDOFPFPSSA-N 0 3 321.424 4.183 20 0 DIADHN COCC[C@H](COC)N[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000323764885 334407227 /nfs/dbraw/zinc/40/72/27/334407227.db2.gz VZEBAATWZNFBLB-OXJNMPFZSA-N 0 3 313.441 4.056 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1CCOc2c(F)cccc21 ZINC000443191088 338446766 /nfs/dbraw/zinc/44/67/66/338446766.db2.gz DQZMEZDKTZXYFF-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN Fc1cccc2c1OCC[C@@H]2N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000443196267 338446859 /nfs/dbraw/zinc/44/68/59/338446859.db2.gz KUMNFSJPPCAYAU-PBHICJAKSA-N 0 3 317.791 4.060 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@H](C)c2cc3ccccc3o2)n1 ZINC000443199961 338447056 /nfs/dbraw/zinc/44/70/56/338447056.db2.gz SBPVNROERZULJL-ZIAGYGMSSA-N 0 3 310.397 4.118 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000341209609 334417372 /nfs/dbraw/zinc/41/73/72/334417372.db2.gz MFEDGIGZANPNDF-WBMJQRKESA-N 0 3 318.367 4.033 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000341220228 334418845 /nfs/dbraw/zinc/41/88/45/334418845.db2.gz PHMKVIOHENNLIM-PBHICJAKSA-N 0 3 314.404 4.202 20 0 DIADHN OCC[C@H](NCCSc1ccc(Cl)cc1)c1ccccc1 ZINC000341225291 334420129 /nfs/dbraw/zinc/42/01/29/334420129.db2.gz DBJXZWXLQLNWMY-KRWDZBQOSA-N 0 3 321.873 4.145 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)N[C@H](C)C[C@H](C)O ZINC000341300196 334433302 /nfs/dbraw/zinc/43/33/02/334433302.db2.gz LDROZAKMJLWXRY-WWGRRREGSA-N 0 3 313.869 4.185 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)N[C@H](C)c2cc3ccccc3o2)n1 ZINC000443216700 338447710 /nfs/dbraw/zinc/44/77/10/338447710.db2.gz QJBYPDJRLBXMIJ-HUUCEWRRSA-N 0 3 311.429 4.492 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](C)c2ccc(SC)cc2)cn1 ZINC000341476454 334452032 /nfs/dbraw/zinc/45/20/32/334452032.db2.gz GRAQSFKXGFHKHF-AWEZNQCLSA-N 0 3 315.486 4.110 20 0 DIADHN CCC[C@@H](NCCn1ccnc1)c1ccc(Cl)c(Cl)c1 ZINC000341644031 334467974 /nfs/dbraw/zinc/46/79/74/334467974.db2.gz QLCGMXDAYMZXSC-OAHLLOKOSA-N 0 3 312.244 4.321 20 0 DIADHN CCC[C@H](NCCn1ccnc1)c1ccc(Cl)c(Cl)c1 ZINC000341644030 334468022 /nfs/dbraw/zinc/46/80/22/334468022.db2.gz QLCGMXDAYMZXSC-HNNXBMFYSA-N 0 3 312.244 4.321 20 0 DIADHN CSc1cc(CN[C@@H]2CCCc3cc(F)ccc32)ccn1 ZINC000341816674 334481888 /nfs/dbraw/zinc/48/18/88/334481888.db2.gz TYGHNUAHGDJHKN-MRXNPFEDSA-N 0 3 302.418 4.110 20 0 DIADHN CSc1cc(CN[C@H]2CCCc3cc(F)ccc32)ccn1 ZINC000341816668 334481957 /nfs/dbraw/zinc/48/19/57/334481957.db2.gz TYGHNUAHGDJHKN-INIZCTEOSA-N 0 3 302.418 4.110 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC2(CCOCC2)CC1 ZINC000341975686 334496263 /nfs/dbraw/zinc/49/62/63/334496263.db2.gz JSLCPOVQUMXTGN-UHFFFAOYSA-N 0 3 313.363 4.098 20 0 DIADHN CCSCCN[C@@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000342031960 334507991 /nfs/dbraw/zinc/50/79/91/334507991.db2.gz LTVLTBFJTSQPAU-AWEZNQCLSA-N 0 3 322.301 4.414 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3sccc32)c(C)c1OC ZINC000342134822 334512967 /nfs/dbraw/zinc/51/29/67/334512967.db2.gz GBHOXUHSHACAAT-HNNXBMFYSA-N 0 3 317.454 4.241 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc3ccc(C)cn13)CCC2 ZINC000342299825 334526383 /nfs/dbraw/zinc/52/63/83/334526383.db2.gz AMGRIHAOLBTTGU-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN COc1cccc(CN[C@@H]2CCCc3ccc(C)cc32)c1OC ZINC000342304366 334526832 /nfs/dbraw/zinc/52/68/32/334526832.db2.gz HTVTXYYMYZJKSM-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccn2c(CN[C@@H]3CCCc4ccc(C)cc43)cnc2c1 ZINC000342320391 334532090 /nfs/dbraw/zinc/53/20/90/334532090.db2.gz VHNPNEDEKUNQIY-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(c1)ncn3C)CCC2 ZINC000342326005 334532567 /nfs/dbraw/zinc/53/25/67/334532567.db2.gz RMAJJZOFOIWKGI-GOSISDBHSA-N 0 3 305.425 4.049 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc3cccc(C)n13)CCC2 ZINC000342326195 334532578 /nfs/dbraw/zinc/53/25/78/334532578.db2.gz SPTKUELZYSNSBE-IBGZPJMESA-N 0 3 305.425 4.118 20 0 DIADHN Cc1csc(CN[C@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)n1 ZINC000366777052 334545059 /nfs/dbraw/zinc/54/50/59/334545059.db2.gz JHOJWNCVWINAED-OUAUKWLOSA-N 0 3 312.891 4.448 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2(Cc3ccccc3)CCC2)cc1 ZINC000342621819 334555173 /nfs/dbraw/zinc/55/51/73/334555173.db2.gz QHONUISCUGDXOL-UHFFFAOYSA-N 0 3 322.452 4.100 20 0 DIADHN CC[C@@H](N[C@@H]1CCCSC1)c1ccccc1Br ZINC000342727569 334569312 /nfs/dbraw/zinc/56/93/12/334569312.db2.gz HMBAKUDGBMGNRO-BXUZGUMPSA-N 0 3 314.292 4.385 20 0 DIADHN CC[C@@H](COC)N[C@@H](CCOC)c1ccc(Cl)c(Cl)c1 ZINC000342807059 334578618 /nfs/dbraw/zinc/57/86/18/334578618.db2.gz VTPPEEQRRGKAMX-WFASDCNBSA-N 0 3 320.260 4.086 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000342810518 334579104 /nfs/dbraw/zinc/57/91/04/334579104.db2.gz ABGJHROOGZOOKQ-LRDDRELGSA-N 0 3 318.367 4.411 20 0 DIADHN CC[C@](C)(NCCCSCC(C)C)c1nc(C)cs1 ZINC000443584805 338455777 /nfs/dbraw/zinc/45/57/77/338455777.db2.gz UFXLLZFPKMOTKK-HNNXBMFYSA-N 0 3 300.537 4.446 20 0 DIADHN CC[C@H](N[C@@H]1CCc2ccc(Cl)cc21)c1c(C)nn(C)c1C ZINC000343097305 334599461 /nfs/dbraw/zinc/59/94/61/334599461.db2.gz OPFLBAUGJBCLPH-DLBZAZTESA-N 0 3 317.864 4.419 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2cccc(F)c2)ccc1OC ZINC000188013841 334607672 /nfs/dbraw/zinc/60/76/72/334607672.db2.gz QJUHRJOHSKWFJH-KRWDZBQOSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1CCO)c1cccc(Cl)c1Cl ZINC000188018132 334608080 /nfs/dbraw/zinc/60/80/80/334608080.db2.gz VGEIWFOXWFQGBB-MISXGVKJSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@H](NCc1ccc(F)c(COC)c1)c1cccc(F)c1 ZINC000188039105 334610759 /nfs/dbraw/zinc/61/07/59/334610759.db2.gz LUXZMSQTOZMJQZ-SFHVURJKSA-N 0 3 305.368 4.352 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@H](C)CCC1CCCCC1 ZINC000415911832 334627703 /nfs/dbraw/zinc/62/77/03/334627703.db2.gz KINOQJJUWHYUNP-OAHLLOKOSA-N 0 3 317.477 4.277 20 0 DIADHN CNCc1ccccc1NC(=O)N[C@@H](C)[C@H](C)C1CCCCC1 ZINC000415914255 334627932 /nfs/dbraw/zinc/62/79/32/334627932.db2.gz VOCIPMIUIXLXHE-GJZGRUSLSA-N 0 3 317.477 4.132 20 0 DIADHN CCC[C@@H](NC(=O)Nc1cccc(CNC)c1)c1ccccc1 ZINC000415933069 334633506 /nfs/dbraw/zinc/63/35/06/334633506.db2.gz OXBGGDWNJOWIHH-GOSISDBHSA-N 0 3 311.429 4.069 20 0 DIADHN Cc1nn(C)cc1CNCc1sc2ccc(Cl)cc2c1C ZINC000441350915 334704228 /nfs/dbraw/zinc/70/42/28/334704228.db2.gz IDHHSEVMTZTUEP-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(F)cc21)[C@@H]1C[C@H]1c1cccs1 ZINC000417343614 334706060 /nfs/dbraw/zinc/70/60/60/334706060.db2.gz ONSQJROFRPQOFG-CQROYNQRSA-N 0 3 303.402 4.103 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccc(F)c(F)c2)sc1C ZINC000112541104 334714657 /nfs/dbraw/zinc/71/46/57/334714657.db2.gz LPHXXMAGURTDDN-SNVBAGLBSA-N 0 3 310.413 4.185 20 0 DIADHN COc1ccc(-c2nc(CN3[C@H](C)CC[C@H]3C)cs2)cc1 ZINC000532072945 334721916 /nfs/dbraw/zinc/72/19/16/334721916.db2.gz MKJOUDVIDZBUOO-CHWSQXEVSA-N 0 3 302.443 4.192 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC000112716468 334722292 /nfs/dbraw/zinc/72/22/92/334722292.db2.gz OXNBHTTVAUEYND-QGZVFWFLSA-N 0 3 304.821 4.236 20 0 DIADHN CC(C)n1cncc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000425323789 334735087 /nfs/dbraw/zinc/73/50/87/334735087.db2.gz JOEZZHCFZVOJTA-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1cnc(C(F)(F)F)s1 ZINC000443964970 338464513 /nfs/dbraw/zinc/46/45/13/338464513.db2.gz VZFRTEWQPFNYGU-LBPRGKRZSA-N 0 3 314.376 4.273 20 0 DIADHN C[C@@H](NCc1cnc(C(F)(F)F)s1)[C@@H](C)c1ccccc1 ZINC000443993848 338465998 /nfs/dbraw/zinc/46/59/98/338465998.db2.gz BYUOJFLHICWLGE-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H](NCC1(Cc2cccc(Cl)c2)CC1)c1cscn1 ZINC000563690121 334818915 /nfs/dbraw/zinc/81/89/15/334818915.db2.gz YYOXZOQRIYXSQD-GFCCVEGCSA-N 0 3 306.862 4.470 20 0 DIADHN CCc1cc(NCc2ccc([S@](C)=O)cc2)c2ccccc2n1 ZINC000125909536 334820447 /nfs/dbraw/zinc/82/04/47/334820447.db2.gz FBPLYCBFEDGJLQ-HSZRJFAPSA-N 0 3 324.449 4.147 20 0 DIADHN CCc1cccc(F)c1CN[C@H]1CCOC2(CCCCC2)C1 ZINC000474807802 334857444 /nfs/dbraw/zinc/85/74/44/334857444.db2.gz AAFNMVRQRCJESQ-INIZCTEOSA-N 0 3 305.437 4.360 20 0 DIADHN CCC[C@H](N[C@H]1COCc2ccccc21)c1ccc(OC)cc1 ZINC000417409292 334863895 /nfs/dbraw/zinc/86/38/95/334863895.db2.gz VGHNNXVVJNYQCP-PMACEKPBSA-N 0 3 311.425 4.398 20 0 DIADHN CSCc1cccc(CN[C@H]2CCc3cc(F)ccc32)c1 ZINC000188723301 334873017 /nfs/dbraw/zinc/87/30/17/334873017.db2.gz KISNJDKFGYLLHY-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@H](C)Cc1ccc(Cl)cc1 ZINC000188798375 334875717 /nfs/dbraw/zinc/87/57/17/334875717.db2.gz DVWNDHRPUAPCAN-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@H](O)C1)c1ccc(Cl)cc1Cl ZINC000189300028 334889950 /nfs/dbraw/zinc/88/99/50/334889950.db2.gz OLAXSCFDYNADMH-WBIUFABUSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1ccc2cc(CNC3(c4cccc(F)c4)CC3)ccc2n1 ZINC000189354837 334892598 /nfs/dbraw/zinc/89/25/98/334892598.db2.gz NMQFNLCAVPLPFQ-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN CO[C@@H](CNCc1ccc2nc(C)ccc2c1)c1ccc(F)cc1 ZINC000189368562 334893010 /nfs/dbraw/zinc/89/30/10/334893010.db2.gz CRWPSDDFEAMLBX-FQEVSTJZSA-N 0 3 324.399 4.160 20 0 DIADHN COCCC[C@H](Cc1ccccc1)NCc1ccc(Cl)o1 ZINC000475335705 334903355 /nfs/dbraw/zinc/90/33/55/334903355.db2.gz SNLHRBHDMYPJBX-OAHLLOKOSA-N 0 3 307.821 4.061 20 0 DIADHN C[C@@H](NCCC[C@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000343718894 335186453 /nfs/dbraw/zinc/18/64/53/335186453.db2.gz FQBQBEBZBRDUNF-GHMZBOCLSA-N 0 3 322.396 4.162 20 0 DIADHN CSCCCN(C)Cc1ccc(N(C)c2ccccc2)nc1 ZINC000343674324 335187276 /nfs/dbraw/zinc/18/72/76/335187276.db2.gz ITEJQRFCVLOJSF-UHFFFAOYSA-N 0 3 315.486 4.034 20 0 DIADHN COc1cncc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)c1 ZINC000343693230 335188545 /nfs/dbraw/zinc/18/85/45/335188545.db2.gz POUZKQRPSPODRI-GOSISDBHSA-N 0 3 316.420 4.496 20 0 DIADHN C[C@@H](NCCCC(F)(F)F)c1nc(C(F)(F)F)cs1 ZINC000343840266 335196239 /nfs/dbraw/zinc/19/62/39/335196239.db2.gz OBTLFLAYQPUKDA-ZCFIWIBFSA-N 0 3 306.275 4.155 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000343868118 335198728 /nfs/dbraw/zinc/19/87/28/335198728.db2.gz WALQSROWJOEVLA-DCAQKATOSA-N 0 3 322.396 4.016 20 0 DIADHN CCOCCC1(CN[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000343870068 335199080 /nfs/dbraw/zinc/19/90/80/335199080.db2.gz XEGFZNIVYLFPOO-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1ccc2ccccc2n1 ZINC000343887695 335203490 /nfs/dbraw/zinc/20/34/90/335203490.db2.gz CYMCSNQQKKLXNA-OAQYLSRUSA-N 0 3 318.420 4.411 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cccc2C)CC1 ZINC000343941857 335203498 /nfs/dbraw/zinc/20/34/98/335203498.db2.gz WUTBUIDVGLXHQQ-DLBZAZTESA-N 0 3 302.462 4.143 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(Oc3cccnc3)cc2)c1 ZINC000343947234 335207096 /nfs/dbraw/zinc/20/70/96/335207096.db2.gz UQJBLNBBTSYVOC-MRXNPFEDSA-N 0 3 319.408 4.428 20 0 DIADHN CCCC[C@@H](NCc1cccc(-n2cncn2)c1)c1ccccc1 ZINC000343972580 335209003 /nfs/dbraw/zinc/20/90/03/335209003.db2.gz HDRAJUUGWFWLRC-HXUWFJFHSA-N 0 3 320.440 4.288 20 0 DIADHN OCc1ccc(CN[C@H](CC2CCC2)c2ccccc2)cc1F ZINC000344022695 335209870 /nfs/dbraw/zinc/20/98/70/335209870.db2.gz OGMKHAJNSZFNOJ-HXUWFJFHSA-N 0 3 313.416 4.339 20 0 DIADHN CCC(CC)N(CC)Cc1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000344026471 335210046 /nfs/dbraw/zinc/21/00/46/335210046.db2.gz JYGIYRHVQPDYCG-UHFFFAOYSA-N 0 3 307.463 4.024 20 0 DIADHN CCOC[C@H](C)N[C@@H](CC)c1cc(F)cc(Br)c1 ZINC000344079103 335215891 /nfs/dbraw/zinc/21/58/91/335215891.db2.gz SLCPOSFHXJXCSR-HZMBPMFUSA-N 0 3 318.230 4.054 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000344099426 335217992 /nfs/dbraw/zinc/21/79/92/335217992.db2.gz KMVJXXNSQDMYRU-OLZOCXBDSA-N 0 3 305.796 4.033 20 0 DIADHN OCCC[C@H](N[C@H]1CCCc2ccc(F)cc21)c1ccccc1 ZINC000344114921 335218352 /nfs/dbraw/zinc/21/83/52/335218352.db2.gz LRDKBWNTOPOVJN-PMACEKPBSA-N 0 3 313.416 4.307 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1ccc3c(c1)CCC3)CCO2 ZINC000344101712 335223065 /nfs/dbraw/zinc/22/30/65/335223065.db2.gz NAZTVEXPZPAYEZ-JLTOFOAXSA-N 0 3 323.436 4.358 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc(C(C)C)c(C)s2)C12CCC2 ZINC000344103903 335223332 /nfs/dbraw/zinc/22/33/32/335223332.db2.gz OYJCCWXXEXHTAW-UONOGXRCSA-N 0 3 308.491 4.012 20 0 DIADHN CN(C)c1cccc(CN[C@H](CC2CCC2)c2ccccc2)n1 ZINC000344305323 335239028 /nfs/dbraw/zinc/23/90/28/335239028.db2.gz WYMRQLPTGCBGHA-LJQANCHMSA-N 0 3 309.457 4.169 20 0 DIADHN CN(C)c1cccc(CN[C@@H](CC2CCC2)c2ccccc2)n1 ZINC000344305321 335239075 /nfs/dbraw/zinc/23/90/75/335239075.db2.gz WYMRQLPTGCBGHA-IBGZPJMESA-N 0 3 309.457 4.169 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc(C3CC3)cs2)C1(CC)CC ZINC000344312719 335239776 /nfs/dbraw/zinc/23/97/76/335239776.db2.gz XRIWGQJOCSKCLX-LSDHHAIUSA-N 0 3 308.491 4.094 20 0 DIADHN CN(C)c1cccc(CN[C@@H](CC(C)(C)C)c2ccccc2)n1 ZINC000344313679 335240005 /nfs/dbraw/zinc/24/00/05/335240005.db2.gz ZHMLIDFYKCLPHP-SFHVURJKSA-N 0 3 311.473 4.415 20 0 DIADHN CN(C)c1cccc(CN[C@H](CC(C)(C)C)c2ccccc2)n1 ZINC000344313677 335240018 /nfs/dbraw/zinc/24/00/18/335240018.db2.gz ZHMLIDFYKCLPHP-GOSISDBHSA-N 0 3 311.473 4.415 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cc(C)c2ncccc2c1 ZINC000344359721 335244241 /nfs/dbraw/zinc/24/42/41/335244241.db2.gz QDKIODVXVAPXII-OAHLLOKOSA-N 0 3 305.425 4.351 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(-c3ccncc3)cc2)s1 ZINC000344466543 335251097 /nfs/dbraw/zinc/25/10/97/335251097.db2.gz BCBPHFVOELIJCR-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN COCc1ccc(CNCc2ccc(-c3ccncc3)cc2)cc1 ZINC000344480069 335252609 /nfs/dbraw/zinc/25/26/09/335252609.db2.gz RNPFQLLAIAPIGU-UHFFFAOYSA-N 0 3 318.420 4.185 20 0 DIADHN CC[C@@H](NCc1ccc(OC(F)(F)F)cc1)c1ccncc1 ZINC000344552374 335261106 /nfs/dbraw/zinc/26/11/06/335261106.db2.gz NLZHACFEVQCYPK-OAHLLOKOSA-N 0 3 310.319 4.221 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2cnc(C3CCC3)s2)o1 ZINC000344600085 335262728 /nfs/dbraw/zinc/26/27/28/335262728.db2.gz FQFSWBIFTUUAOV-GFCCVEGCSA-N 0 3 304.459 4.423 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CCOCC(F)(F)F)cc1C ZINC000518833045 335263138 /nfs/dbraw/zinc/26/31/38/335263138.db2.gz VABXUQVXDRPIRH-HNNXBMFYSA-N 0 3 301.352 4.019 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1ccc(-c2ccncc2)cc1F ZINC000344760966 335275825 /nfs/dbraw/zinc/27/58/25/335275825.db2.gz OKCYFPAZSMUXBN-HNNXBMFYSA-N 0 3 321.399 4.004 20 0 DIADHN CO[C@H](CCNCc1ccc(-c2ccccc2)o1)C(F)(F)F ZINC000344787951 335277258 /nfs/dbraw/zinc/27/72/58/335277258.db2.gz FHPXNTOSPYDMNK-OAHLLOKOSA-N 0 3 313.319 4.004 20 0 DIADHN COC[C@H]1CCCCN(Cc2nc(-c3ccccc3)cs2)C1 ZINC000344919701 335288852 /nfs/dbraw/zinc/28/88/52/335288852.db2.gz CYXXPSQSZQYGTC-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN Cc1cc(C)nc(NCc2ccccc2OCc2ccncc2)c1 ZINC000344978110 335292686 /nfs/dbraw/zinc/29/26/86/335292686.db2.gz BPVHPBJHRZNQPI-UHFFFAOYSA-N 0 3 319.408 4.285 20 0 DIADHN COc1cccc(C2(F)CCN([C@@H](C)c3cccnc3)CC2)c1 ZINC000366889501 335300779 /nfs/dbraw/zinc/30/07/79/335300779.db2.gz XGVUKSJEVCHXRJ-HNNXBMFYSA-N 0 3 314.404 4.112 20 0 DIADHN C[C@H](NCCc1c[nH]c2ccc(F)cc12)c1cccc(F)c1F ZINC000345181611 335305602 /nfs/dbraw/zinc/30/56/02/335305602.db2.gz FBZNQRYHVDUIMG-NSHDSACASA-N 0 3 318.342 4.479 20 0 DIADHN C[C@@](O)(CN[C@@H](CC1CC1)c1ccc(Cl)cc1)C(F)(F)F ZINC000345286992 335313835 /nfs/dbraw/zinc/31/38/35/335313835.db2.gz WWLFMCFCERGQEI-UONOGXRCSA-N 0 3 321.770 4.084 20 0 DIADHN Cc1c(CN[C@@H](CC2CC2)c2ccc(Cl)cc2)cnn1C ZINC000345315166 335316544 /nfs/dbraw/zinc/31/65/44/335316544.db2.gz FPWBYKZPPHIURA-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN CSCCN1CCC(Sc2ccc(Cl)cc2)CC1 ZINC000535558134 335330302 /nfs/dbraw/zinc/33/03/02/335330302.db2.gz JKZWCFUZXFAURO-UHFFFAOYSA-N 0 3 301.908 4.260 20 0 DIADHN COc1ccc(CN[C@H](CC2CC2)c2ccc(Cl)cc2)cn1 ZINC000345684662 335341568 /nfs/dbraw/zinc/34/15/68/335341568.db2.gz SEERTIUFGMFKSS-QGZVFWFLSA-N 0 3 316.832 4.375 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000345695958 335342766 /nfs/dbraw/zinc/34/27/66/335342766.db2.gz ULLUESXQNVSYFS-WBMJQRKESA-N 0 3 322.449 4.271 20 0 DIADHN CC(C)(C)OCCN1CCC[C@@H](c2nc3c(s2)CCCC3)C1 ZINC000345713889 335344970 /nfs/dbraw/zinc/34/49/70/335344970.db2.gz PTYZKJPQTVLDJH-CQSZACIVSA-N 0 3 322.518 4.016 20 0 DIADHN CCn1cc(CN[C@@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000345708982 335349612 /nfs/dbraw/zinc/34/96/12/335349612.db2.gz QRVNPFJIJQBUNQ-MAUKXSAKSA-N 0 3 309.457 4.293 20 0 DIADHN FC(F)(F)c1ccccc1CN(CC[C@@H]1CCCO1)C1CC1 ZINC000345774690 335350523 /nfs/dbraw/zinc/35/05/23/335350523.db2.gz AVYLFYYJRURQGQ-HNNXBMFYSA-N 0 3 313.363 4.239 20 0 DIADHN FC(F)(F)C1CCN(C[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000190976893 335362696 /nfs/dbraw/zinc/36/26/96/335362696.db2.gz POUWUBGVUCKLSC-AWEZNQCLSA-N 0 3 305.384 4.143 20 0 DIADHN Cc1cccc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)n1 ZINC000346048553 335370936 /nfs/dbraw/zinc/37/09/36/335370936.db2.gz AQYZWTIMJHJWHL-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1nn(-c2ccncc2)cc1CN(C)[C@@H](C)c1ccc(C)cc1 ZINC000346150792 335382165 /nfs/dbraw/zinc/38/21/65/335382165.db2.gz NGWRXDVKMHXVJL-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN Cc1nn(-c2ccncc2)cc1CN(C)[C@H](C)c1ccc(C)cc1 ZINC000346150801 335382249 /nfs/dbraw/zinc/38/22/49/335382249.db2.gz NGWRXDVKMHXVJL-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346222127 335385620 /nfs/dbraw/zinc/38/56/20/335385620.db2.gz XHKTYLJXLJAHGS-BXUZGUMPSA-N 0 3 317.820 4.151 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346222128 335385740 /nfs/dbraw/zinc/38/57/40/335385740.db2.gz XHKTYLJXLJAHGS-FZMZJTMJSA-N 0 3 317.820 4.151 20 0 DIADHN c1ccc(OCCN[C@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000346196843 335389038 /nfs/dbraw/zinc/38/90/38/335389038.db2.gz QPVSXQARDPHLGZ-SFHVURJKSA-N 0 3 309.409 4.102 20 0 DIADHN Cc1nccn1CCN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000346353238 335397389 /nfs/dbraw/zinc/39/73/89/335397389.db2.gz RNNNPCCTBWZJOS-MRXNPFEDSA-N 0 3 324.255 4.335 20 0 DIADHN Cc1nccn1CCN([C@@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000346305344 335398391 /nfs/dbraw/zinc/39/83/91/335398391.db2.gz HRHAUTRMEUNRJM-ZDUSSCGKSA-N 0 3 303.837 4.071 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000346319406 335399506 /nfs/dbraw/zinc/39/95/06/335399506.db2.gz XXSZHYZTDJIKAT-SFHVURJKSA-N 0 3 319.452 4.455 20 0 DIADHN C[C@H](N[C@H]1CCOc2c(-c3ccccc3)cccc21)[C@@H]1CCCO1 ZINC000346632063 335429648 /nfs/dbraw/zinc/42/96/48/335429648.db2.gz HIOWBSWZXZDRRO-YSSFQJQWSA-N 0 3 323.436 4.334 20 0 DIADHN Cc1cccc(CN2CCC(c3c[nH]c4cc(F)ccc43)CC2)n1 ZINC000346639061 335430125 /nfs/dbraw/zinc/43/01/25/335430125.db2.gz GUGMFQJZSWDSFP-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN COCC[C@@H](Cc1ccco1)N[C@H]1CC(C)(C)Cc2occc21 ZINC000346837418 335445178 /nfs/dbraw/zinc/44/51/78/335445178.db2.gz QPADTTQVRREKLO-YOEHRIQHSA-N 0 3 317.429 4.124 20 0 DIADHN Cc1ccc(C)c(CN[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347665177 335514585 /nfs/dbraw/zinc/51/45/85/335514585.db2.gz QDIHCOVEMDEBNL-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCc1cccnc1 ZINC000444776390 338488050 /nfs/dbraw/zinc/48/80/50/338488050.db2.gz CMVSJDQZQIQJFS-MRXNPFEDSA-N 0 3 308.347 4.216 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@@H]1CCCCO1 ZINC000444776447 338488055 /nfs/dbraw/zinc/48/80/55/338488055.db2.gz CBDBFKLEIKYHJS-DZGCQCFKSA-N 0 3 301.352 4.147 20 0 DIADHN Clc1ccc(OCCN[C@H]2CCCNc3ccccc32)cc1 ZINC000347945725 335540863 /nfs/dbraw/zinc/54/08/63/335540863.db2.gz DAXNPLCMMKPZDJ-SFHVURJKSA-N 0 3 316.832 4.255 20 0 DIADHN OCC[C@H](N[C@@H]1CCCc2ccccc21)c1ccc(Cl)cc1 ZINC000348204213 335565593 /nfs/dbraw/zinc/56/55/93/335565593.db2.gz FWHBCSHZLVMHSR-RBUKOAKNSA-N 0 3 315.844 4.431 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC(n2ccnc2)CC1 ZINC000191059436 335573288 /nfs/dbraw/zinc/57/32/88/335573288.db2.gz SNYXMKSTHKNOSZ-UHFFFAOYSA-N 0 3 323.362 4.047 20 0 DIADHN COCCCC[C@@H](NCc1ccc(C)nc1C)c1ccccc1 ZINC000348326346 335577520 /nfs/dbraw/zinc/57/75/20/335577520.db2.gz IDEYIBGZSHNWHE-HXUWFJFHSA-N 0 3 312.457 4.346 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1csc(Cl)c1 ZINC000191109363 335597672 /nfs/dbraw/zinc/59/76/72/335597672.db2.gz AHJPWPRYSOTUOW-TZMCWYRMSA-N 0 3 324.877 4.482 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC1CC(C(F)(F)F)C1)CCS2 ZINC000348685688 335599938 /nfs/dbraw/zinc/59/99/38/335599938.db2.gz RDPVSZZKDMKZKE-HSLLBKHCSA-N 0 3 305.340 4.293 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1CCCc2[nH]c(=O)ccc21 ZINC000348907131 335617944 /nfs/dbraw/zinc/61/79/44/335617944.db2.gz WDZRELSVQAGPCT-INIZCTEOSA-N 0 3 316.832 4.170 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)CCC(C)(C)C)nc1C1CC1 ZINC000349125206 335632336 /nfs/dbraw/zinc/63/23/36/335632336.db2.gz CWBRHRKEWBOFLI-ZDUSSCGKSA-N 0 3 318.461 4.050 20 0 DIADHN CCC[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccsc1 ZINC000191197091 335636021 /nfs/dbraw/zinc/63/60/21/335636021.db2.gz KEPWKEQEBHRXNP-QGZVFWFLSA-N 0 3 315.486 4.374 20 0 DIADHN CCCOc1c(CNC[C@H](C)C(F)(F)F)cccc1OCC ZINC000479612495 335643242 /nfs/dbraw/zinc/64/32/42/335643242.db2.gz MPKHHODXSBRTCH-LBPRGKRZSA-N 0 3 319.367 4.162 20 0 DIADHN C[C@@H](N[C@H]1CCCC12CCOCC2)c1nc2c(s1)CCCC2 ZINC000367084963 335659632 /nfs/dbraw/zinc/65/96/32/335659632.db2.gz CZAQYKSCKWZYKV-CJNGLKHVSA-N 0 3 320.502 4.022 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCC1(C(F)(F)F)CCC1 ZINC000353945647 335661266 /nfs/dbraw/zinc/66/12/66/335661266.db2.gz BLMAPMZWCMPIGB-ZDUSSCGKSA-N 0 3 317.326 4.362 20 0 DIADHN CC[C@@H](NCc1cc2c(ccc(C)c2C)[nH]1)c1c(C)nn(C)c1C ZINC000349594695 335683107 /nfs/dbraw/zinc/68/31/07/335683107.db2.gz AUXRIBNIDSLWJF-GOSISDBHSA-N 0 3 324.472 4.376 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CNCc1ncc(Cl)s1 ZINC000349598540 335683440 /nfs/dbraw/zinc/68/34/40/335683440.db2.gz MOSGZQGEKDBLIC-GFCCVEGCSA-N 0 3 322.861 4.015 20 0 DIADHN CCC[C@H](NCCOCc1ccc(Cl)cc1)c1cccnc1 ZINC000349755359 335696270 /nfs/dbraw/zinc/69/62/70/335696270.db2.gz FOXDRFZYZDBAFK-SFHVURJKSA-N 0 3 318.848 4.383 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](C)c2c(C)noc2C)C2CCC2)o1 ZINC000349775122 335699169 /nfs/dbraw/zinc/69/91/69/335699169.db2.gz JSYLWJASWDCLDP-VOJFVSQTSA-N 0 3 302.418 4.427 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)nc2ccccc12 ZINC000526084271 335723596 /nfs/dbraw/zinc/72/35/96/335723596.db2.gz RCGPSBRRFMNTRU-CHWSQXEVSA-N 0 3 323.362 4.149 20 0 DIADHN Cc1cc(CNCc2ccccc2N(C)C(C)C)c(C)s1 ZINC000526085240 335736673 /nfs/dbraw/zinc/73/66/73/335736673.db2.gz RRLCURKPQSMMOY-UHFFFAOYSA-N 0 3 302.487 4.499 20 0 DIADHN Cc1cscc1CNCc1ccc(F)c(Br)c1 ZINC000094877672 335757906 /nfs/dbraw/zinc/75/79/06/335757906.db2.gz SKHPBIXDTBLNPW-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccncc2)ccc1OC(C)C ZINC000350515651 335758788 /nfs/dbraw/zinc/75/87/88/335758788.db2.gz OJLBBVXZJMDBAJ-GJZGRUSLSA-N 0 3 314.429 4.289 20 0 DIADHN CC(C)n1ccc(CN[C@@H](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000583599317 335759647 /nfs/dbraw/zinc/75/96/47/335759647.db2.gz HLOIDQZOEZDLNP-KBPBESRZSA-N 0 3 305.853 4.399 20 0 DIADHN CC[C@H](CCO)CN[C@H](CC)c1ccc(Cl)cc1Cl ZINC000094948967 335761441 /nfs/dbraw/zinc/76/14/41/335761441.db2.gz BBORTKYQHXCWBP-IAQYHMDHSA-N 0 3 304.261 4.443 20 0 DIADHN CC(C)(C)OCc1cc(CNC(C2CCC2)C2CCC2)no1 ZINC000519004523 335775628 /nfs/dbraw/zinc/77/56/28/335775628.db2.gz ZANKWIPCHQUWRF-UHFFFAOYSA-N 0 3 306.450 4.048 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2cncs2)cc1 ZINC000166674519 335775989 /nfs/dbraw/zinc/77/59/89/335775989.db2.gz VKUNVYUBGDJGDG-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2cncs2)cc1 ZINC000166674606 335776103 /nfs/dbraw/zinc/77/61/03/335776103.db2.gz VKUNVYUBGDJGDG-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2cncc(F)c2)cc1 ZINC000166690352 335783887 /nfs/dbraw/zinc/78/38/87/335783887.db2.gz QVDUPSLVRQEAKK-KGLIPLIRSA-N 0 3 324.403 4.127 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1cn2cc(Cl)ccc2n1 ZINC000096543923 335819658 /nfs/dbraw/zinc/81/96/58/335819658.db2.gz HFHFXFPWXHZSMP-HZPDHXFCSA-N 0 3 305.853 4.292 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CCO[C@H](C)C2)o1 ZINC000536721379 335867693 /nfs/dbraw/zinc/86/76/93/335867693.db2.gz DFXZMABHSBWIEA-OCCSQVGLSA-N 0 3 303.377 4.051 20 0 DIADHN COc1cc(CCNCc2scnc2C2CC2)ccc1Cl ZINC000519550060 335874192 /nfs/dbraw/zinc/87/41/92/335874192.db2.gz GXLJYJFTUKQBCP-UHFFFAOYSA-N 0 3 322.861 4.015 20 0 DIADHN CC(C)(C)[C@@H](NC(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000519588520 335879224 /nfs/dbraw/zinc/87/92/24/335879224.db2.gz INJJDXJGTJIAFF-SFHVURJKSA-N 0 3 323.440 4.199 20 0 DIADHN Cc1ccc(CN[C@@H]2c3ccccc3CC23CCOCC3)cc1C ZINC000519723157 335891483 /nfs/dbraw/zinc/89/14/83/335891483.db2.gz JDLKKGPYJXUROP-OAQYLSRUSA-N 0 3 321.464 4.487 20 0 DIADHN COc1ccc([C@@H](NCC2=Cc3ccccc3OC2)C2CC2)cc1 ZINC000102420404 335902908 /nfs/dbraw/zinc/90/29/08/335902908.db2.gz LHSKNVVBBSXAMF-NRFANRHFSA-N 0 3 321.420 4.212 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1csc(C)n1 ZINC000532197798 335914622 /nfs/dbraw/zinc/91/46/22/335914622.db2.gz OHXHDXYOWXZZQD-NSHDSACASA-N 0 3 324.877 4.397 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CCc2c1cccc2Cl)c1ccsc1 ZINC000532200916 335915151 /nfs/dbraw/zinc/91/51/51/335915151.db2.gz MZNKZEWUQIKUMB-IAGOWNOFSA-N 0 3 320.889 4.281 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000532249805 335926549 /nfs/dbraw/zinc/92/65/49/335926549.db2.gz KOOJYGFIZVCWSM-IIBYNOLFSA-N 0 3 309.453 4.258 20 0 DIADHN c1ccc(SC2(CNCC3=Cc4ccccc4OC3)CC2)cc1 ZINC000520246791 335948712 /nfs/dbraw/zinc/94/87/12/335948712.db2.gz CWQXYXGFYGFIKI-UHFFFAOYSA-N 0 3 323.461 4.377 20 0 DIADHN CC[C@H](NC[C@@H](CO)C1CC1)c1ccc(Cl)cc1Cl ZINC000520293564 335958994 /nfs/dbraw/zinc/95/89/94/335958994.db2.gz DEDHGDYUIKGYQD-NHYWBVRUSA-N 0 3 302.245 4.053 20 0 DIADHN C[C@@H](NCc1cccc(O)c1)c1ccc(Oc2cccnc2)cc1 ZINC000520649133 335997049 /nfs/dbraw/zinc/99/70/49/335997049.db2.gz XJJNUKPQBOWMPD-OAHLLOKOSA-N 0 3 320.392 4.430 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCc4cc(N)ccc43)oc2cc1C ZINC000583641976 336013311 /nfs/dbraw/zinc/01/33/11/336013311.db2.gz KQEXAUWCPIGDNS-IBGZPJMESA-N 0 3 306.409 4.409 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NC[C@@]3(C)CCCO3)cs2)cs1 ZINC000167056013 336016986 /nfs/dbraw/zinc/01/69/86/336016986.db2.gz JOROFGODTCBEED-MEDUHNTESA-N 0 3 322.499 4.400 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NC[C@@]3(C)CCCO3)cs2)cs1 ZINC000167055930 336017097 /nfs/dbraw/zinc/01/70/97/336017097.db2.gz JOROFGODTCBEED-BDJLRTHQSA-N 0 3 322.499 4.400 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@@H](C)NCc1sc(C)nc1C ZINC000351145823 336017703 /nfs/dbraw/zinc/01/77/03/336017703.db2.gz ORVAQJNABHGZAU-BDJLRTHQSA-N 0 3 324.877 4.279 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)(F)F)cc1)c1cccc(O)c1 ZINC000192366491 336030225 /nfs/dbraw/zinc/03/02/25/336030225.db2.gz BTYUUYJQONDHLW-LLVKDONJSA-N 0 3 311.303 4.142 20 0 DIADHN CC[C@H](N[C@@H]1CCc2c(cccc2OC)C1)c1nc(C)cs1 ZINC000192442036 336032627 /nfs/dbraw/zinc/03/26/27/336032627.db2.gz BXXOJOBBVAQFMT-ZBFHGGJFSA-N 0 3 316.470 4.058 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2c(cccc2OC)C1)c1nc(C)cs1 ZINC000192442038 336032700 /nfs/dbraw/zinc/03/27/00/336032700.db2.gz BXXOJOBBVAQFMT-GDBMZVCRSA-N 0 3 316.470 4.058 20 0 DIADHN CCc1cnc(CCN[C@@H](CC)c2ccc(F)cc2F)s1 ZINC000351437468 336034838 /nfs/dbraw/zinc/03/48/38/336034838.db2.gz BNVYHLPPFUBKSZ-HNNXBMFYSA-N 0 3 310.413 4.267 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cncn2Cc2ccccc2)c1 ZINC000445810192 338510638 /nfs/dbraw/zinc/51/06/38/338510638.db2.gz KCMGAJLKILDKEK-UHFFFAOYSA-N 0 3 319.452 4.265 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1cc(Br)ccc1F ZINC000211109482 336043134 /nfs/dbraw/zinc/04/31/34/336043134.db2.gz PZPMVFYSNYDOST-KOLCDFICSA-N 0 3 318.255 4.134 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CCC2(CCC2)C1 ZINC000351607536 336049762 /nfs/dbraw/zinc/04/97/62/336049762.db2.gz LIAIGRZYIYEKPR-UHFFFAOYSA-N 0 3 300.427 4.202 20 0 DIADHN C[C@H](NCC(=O)N(Cc1ccccc1)C(C)(C)C)c1ccccc1 ZINC000216420386 336059297 /nfs/dbraw/zinc/05/92/97/336059297.db2.gz KUEPMSORDMHPOD-KRWDZBQOSA-N 0 3 324.468 4.165 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cccc(OC(C)(C)C)n2)CC1 ZINC000351686430 336062619 /nfs/dbraw/zinc/06/26/19/336062619.db2.gz SCMOZVOCCMNBTN-QGZVFWFLSA-N 0 3 320.477 4.040 20 0 DIADHN Cc1ncc(CN[C@H](c2cccc(Cl)c2)C2CCCC2)cn1 ZINC000351730000 336062827 /nfs/dbraw/zinc/06/28/27/336062827.db2.gz KOZROICRGKQKSI-SFHVURJKSA-N 0 3 315.848 4.460 20 0 DIADHN Cc1ncc(CN2CCC[C@H](CCCc3ccccc3)C2)cn1 ZINC000351729919 336062925 /nfs/dbraw/zinc/06/29/25/336062925.db2.gz JCZKGAKGQIOXSG-IBGZPJMESA-N 0 3 309.457 4.020 20 0 DIADHN CC1(C)CC(N[C@H]2CCOc3ccc(Br)cc32)C1 ZINC000395243803 336064247 /nfs/dbraw/zinc/06/42/47/336064247.db2.gz ZPFTVHWOKSHVLY-ZDUSSCGKSA-N 0 3 310.235 4.051 20 0 DIADHN C[C@H](F)CCN1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 ZINC000351751608 336064433 /nfs/dbraw/zinc/06/44/33/336064433.db2.gz KERCVJBDIOWHDI-UWVGGRQHSA-N 0 3 310.360 4.089 20 0 DIADHN CC[C@H](C)[C@@H](NCc1cnc(C)nc1)c1ccc(Cl)cc1 ZINC000351761956 336065810 /nfs/dbraw/zinc/06/58/10/336065810.db2.gz YSBUUQQLHZTLNO-YVEFUNNKSA-N 0 3 303.837 4.315 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]2c2cccc3ccccc32)cn1 ZINC000351854047 336073492 /nfs/dbraw/zinc/07/34/92/336073492.db2.gz FYJJWONTUVQTLQ-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN COc1ccc(C(=O)CCN2CCC[C@@H]2c2ccsc2)cc1 ZINC000351854060 336073546 /nfs/dbraw/zinc/07/35/46/336073546.db2.gz GBCVXIHPTPDIPN-QGZVFWFLSA-N 0 3 315.438 4.167 20 0 DIADHN CC[C@@H](NCCn1c(C)nc2ccccc21)c1ccccc1OC ZINC000324155742 336097159 /nfs/dbraw/zinc/09/71/59/336097159.db2.gz BGAYXTXJLSMSOU-QGZVFWFLSA-N 0 3 323.440 4.094 20 0 DIADHN CC(C)(CNCc1csc(-c2ccccn2)n1)C1CCC1 ZINC000395357698 336104499 /nfs/dbraw/zinc/10/44/99/336104499.db2.gz AGMZJVGXQGFZDO-UHFFFAOYSA-N 0 3 301.459 4.121 20 0 DIADHN CN(CCCOc1ccccc1)Cc1cc(F)cc2cccnc21 ZINC000351894094 336108394 /nfs/dbraw/zinc/10/83/94/336108394.db2.gz XIFDVYRBFNQQNI-UHFFFAOYSA-N 0 3 324.399 4.275 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccccc2-n2cccn2)c2ccccc21 ZINC000324266150 336125763 /nfs/dbraw/zinc/12/57/63/336125763.db2.gz MPUOAOCNJZXVKX-HNAYVOBHSA-N 0 3 303.409 4.210 20 0 DIADHN O=C1CCCN1c1ccc(CN[C@@H](c2ccccc2)C2CC2)cc1 ZINC000194333497 338515011 /nfs/dbraw/zinc/51/50/11/338515011.db2.gz GVWLGZYICICKRZ-NRFANRHFSA-N 0 3 320.436 4.054 20 0 DIADHN CC(C)(C)c1nc(CNC2(c3ccccc3)CCCCC2)n[nH]1 ZINC000330318072 336153610 /nfs/dbraw/zinc/15/36/10/336153610.db2.gz CBTCYKYBOXLJDB-UHFFFAOYSA-N 0 3 312.461 4.051 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2sc(C)nc2C)cc1OC ZINC000194383865 338516825 /nfs/dbraw/zinc/51/68/25/338516825.db2.gz NZOHJFFKSTZXNY-MNOVXSKESA-N 0 3 320.458 4.189 20 0 DIADHN CCSc1cccc(CN2CCC(OCC(C)C)CC2)c1 ZINC000351988058 336186196 /nfs/dbraw/zinc/18/61/96/336186196.db2.gz CZZKTEJIQQGTFA-UHFFFAOYSA-N 0 3 307.503 4.436 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@@]2(CCCOC2)C1 ZINC000352043467 336191595 /nfs/dbraw/zinc/19/15/95/336191595.db2.gz DQKNBYABBAFSKD-MRXNPFEDSA-N 0 3 313.363 4.098 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@@H](OC(C)C)C2(C)C)cnn1C(C)(C)C ZINC000468828144 336199780 /nfs/dbraw/zinc/19/97/80/336199780.db2.gz FQQZVYGLBKVTPL-RRQGHBQHSA-N 0 3 321.509 4.189 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@@H](CN1CCCC1)c1ccccc1 ZINC000192630419 336201919 /nfs/dbraw/zinc/20/19/19/336201919.db2.gz ZKLMZDKVPCDDHG-OALUTQOASA-N 0 3 310.441 4.084 20 0 DIADHN CC[C@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccc(C)cc1 ZINC000352280672 336209615 /nfs/dbraw/zinc/20/96/15/336209615.db2.gz ITGNFRSUIUTLKJ-XLIONFOSSA-N 0 3 324.468 4.255 20 0 DIADHN Cc1cnccc1OC1CCN(Cc2cc3ccccc3o2)CC1 ZINC000352358791 336214118 /nfs/dbraw/zinc/21/41/18/336214118.db2.gz YAJQEZBNSNHYBH-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cnn([C@@H]2CCN(Cc3ccc(SC(C)C)cc3)C2)c1 ZINC000352400340 336216318 /nfs/dbraw/zinc/21/63/18/336216318.db2.gz XJSRUYHWKBHNJQ-QGZVFWFLSA-N 0 3 315.486 4.139 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@@H]3Cc4ccccc4O3)oc21 ZINC000324433320 336218543 /nfs/dbraw/zinc/21/85/43/336218543.db2.gz ACVQKSZLXRLXMQ-CJNGLKHVSA-N 0 3 323.392 4.096 20 0 DIADHN COc1ccc(CNCc2ccc3sccc3c2)cc1OC ZINC000352472238 336220930 /nfs/dbraw/zinc/22/09/30/336220930.db2.gz AEDMAWUJRLMXEE-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnn(CC(F)F)c1 ZINC000352501904 336222039 /nfs/dbraw/zinc/22/20/39/336222039.db2.gz XTKNGBCNPAZLQN-KRWDZBQOSA-N 0 3 321.415 4.334 20 0 DIADHN CC(C)Oc1ccc(CN2CCC(OC(C)(C)C)CC2)cc1 ZINC000352671134 336229666 /nfs/dbraw/zinc/22/96/66/336229666.db2.gz LBLMCSZZWZTWDU-UHFFFAOYSA-N 0 3 305.462 4.253 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000352672087 336229739 /nfs/dbraw/zinc/22/97/39/336229739.db2.gz QQEMAECWYKCPFI-RVKIBGRLSA-N 0 3 318.486 4.005 20 0 DIADHN Fc1ccc([C@@H](NCc2ccccc2-n2cccn2)C2CC2)cc1 ZINC000324588933 336230240 /nfs/dbraw/zinc/23/02/40/336230240.db2.gz ZWPJZTAVGPRYJD-FQEVSTJZSA-N 0 3 321.399 4.252 20 0 DIADHN Fc1ccc([C@H](NCc2ccccc2-n2cccn2)C2CC2)cc1 ZINC000324588934 336230250 /nfs/dbraw/zinc/23/02/50/336230250.db2.gz ZWPJZTAVGPRYJD-HXUWFJFHSA-N 0 3 321.399 4.252 20 0 DIADHN CC[C@@H](NCc1ccc(NCCOC)cc1)c1ccccc1F ZINC000352683146 336230264 /nfs/dbraw/zinc/23/02/64/336230264.db2.gz JFMVRWLZVSCKHZ-LJQANCHMSA-N 0 3 316.420 4.125 20 0 DIADHN CCc1ccc(CN[C@H](CCCCOC)c2ccccc2)cn1 ZINC000352713624 336231940 /nfs/dbraw/zinc/23/19/40/336231940.db2.gz SMQQSDOMKCTIFT-HXUWFJFHSA-N 0 3 312.457 4.292 20 0 DIADHN CC[C@H](NCCc1csc(C(C)C)n1)c1ccc(F)cn1 ZINC000352721257 336232397 /nfs/dbraw/zinc/23/23/97/336232397.db2.gz LTGWYURFLBZPJE-AWEZNQCLSA-N 0 3 307.438 4.084 20 0 DIADHN C[C@H]1C[C@H](CN(Cc2ccco2)Cc2cccs2)CCO1 ZINC000352743073 336234234 /nfs/dbraw/zinc/23/42/34/336234234.db2.gz FUIGDNBNLYYXJI-LSDHHAIUSA-N 0 3 305.443 4.158 20 0 DIADHN C[C@@H]1C[C@H](CN(Cc2ccco2)Cc2cccs2)CCO1 ZINC000352743072 336234254 /nfs/dbraw/zinc/23/42/54/336234254.db2.gz FUIGDNBNLYYXJI-HUUCEWRRSA-N 0 3 305.443 4.158 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc(Br)cc1 ZINC000042206093 336237339 /nfs/dbraw/zinc/23/73/39/336237339.db2.gz TVDWAHIFMCHUFX-UHFFFAOYSA-N 0 3 308.194 4.220 20 0 DIADHN OCC[C@H](N[C@@H]1C=CCCC1)c1ccc(Cl)c(Cl)c1 ZINC000352797156 336237552 /nfs/dbraw/zinc/23/75/52/336237552.db2.gz HXQNHSPGUYMEGD-DOMZBBRYSA-N 0 3 300.229 4.115 20 0 DIADHN C[C@@H](NCCC1(CO)CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000395856204 336237688 /nfs/dbraw/zinc/23/76/88/336237688.db2.gz PFGVWPUBONBBER-SECBINFHSA-N 0 3 322.663 4.460 20 0 DIADHN C[C@H](NCCC1(CO)CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000395856209 336237732 /nfs/dbraw/zinc/23/77/32/336237732.db2.gz PFGVWPUBONBBER-VIFPVBQESA-N 0 3 322.663 4.460 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CNCc1csc(-c2ccccn2)n1 ZINC000042859168 336242452 /nfs/dbraw/zinc/24/24/52/336242452.db2.gz WHPUPTUNTPBGMY-ZIAGYGMSSA-N 0 3 301.459 4.121 20 0 DIADHN CC(C)(C)C1CCC(O)(CNCc2cnc(C3CC3)s2)CC1 ZINC000532393751 336245236 /nfs/dbraw/zinc/24/52/36/336245236.db2.gz NIKXXUWVMOIJSZ-UHFFFAOYSA-N 0 3 322.518 4.078 20 0 DIADHN Fc1cccc(C[C@H](NC[C@H]2CCCO2)c2cccc(F)c2)c1 ZINC000532442289 336247548 /nfs/dbraw/zinc/24/75/48/336247548.db2.gz UNEMPAPZJQAPCS-MOPGFXCFSA-N 0 3 317.379 4.017 20 0 DIADHN CCC(CC)N[C@@H](C)c1nnn(-c2cccc(Cl)c2)c1C ZINC000532442652 336247593 /nfs/dbraw/zinc/24/75/93/336247593.db2.gz BNDCMCJVLMAIPC-NSHDSACASA-N 0 3 306.841 4.068 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1ccc(F)c(Cl)c1 ZINC000532460850 336248704 /nfs/dbraw/zinc/24/87/04/336248704.db2.gz MYEHFYKETIWJRA-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN C[C@H](Cn1cncn1)N[C@H](C)c1ccc(C2CCCCC2)cc1 ZINC000532492698 336250747 /nfs/dbraw/zinc/25/07/47/336250747.db2.gz PPNIEFSBCQNEFZ-HZPDHXFCSA-N 0 3 312.461 4.065 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCC[C@H]2Oc2cccc(C)c2)s1 ZINC000532496115 336250788 /nfs/dbraw/zinc/25/07/88/336250788.db2.gz KXCOKUNPRJQTTE-QZTJIDSGSA-N 0 3 316.470 4.240 20 0 DIADHN c1ccc([C@H](CC2CC2)NCc2ccc3c(c2)OCCCO3)cc1 ZINC000532497192 336251044 /nfs/dbraw/zinc/25/10/44/336251044.db2.gz LACMJCQWQKPZEY-IBGZPJMESA-N 0 3 323.436 4.479 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2c(C)nn(CC)c2C)cc1 ZINC000532496692 336251059 /nfs/dbraw/zinc/25/10/59/336251059.db2.gz DFNXEOKKJROBIO-AWEZNQCLSA-N 0 3 315.461 4.159 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@H](C)c1cccc(-c2ccccc2)c1 ZINC000532475497 336251216 /nfs/dbraw/zinc/25/12/16/336251216.db2.gz HSGHROKSZKEEAX-IAGOWNOFSA-N 0 3 324.468 4.309 20 0 DIADHN CC(C)CN(CC(=O)Nc1cc(Cl)ccc1Cl)C(C)C ZINC000532477653 336251291 /nfs/dbraw/zinc/25/12/91/336251291.db2.gz NDWCDBRGSZGNPN-UHFFFAOYSA-N 0 3 317.260 4.298 20 0 DIADHN C[C@H](c1cccc(Br)c1)N(C)C[C@@H]1CCCCO1 ZINC000532486640 336251742 /nfs/dbraw/zinc/25/17/42/336251742.db2.gz JBXUTLDOFKFCJY-DOMZBBRYSA-N 0 3 312.251 4.011 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cc(F)c(F)c(F)c2)C12CCC2 ZINC000532522646 336252755 /nfs/dbraw/zinc/25/27/55/336252755.db2.gz VOZIECHAVODLOI-VCTAVGKDSA-N 0 3 313.363 4.102 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnc3)cc2)cn1 ZINC000532511434 336252931 /nfs/dbraw/zinc/25/29/31/336252931.db2.gz CADIHJXHQILKAL-JKSUJKDBSA-N 0 3 323.444 4.062 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2cc3c(s2)CCC3)cn1 ZINC000532511503 336252942 /nfs/dbraw/zinc/25/29/42/336252942.db2.gz IRSHMQFRJDKGPE-OLZOCXBDSA-N 0 3 303.475 4.426 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1c(C)cc(C)cc1C)C1CC1 ZINC000532570599 336256022 /nfs/dbraw/zinc/25/60/22/336256022.db2.gz AHMUJRQCHMUODV-PBHICJAKSA-N 0 3 316.489 4.449 20 0 DIADHN CCCC(O)(CCC)CNCc1cnc(C2CCCC2)s1 ZINC000532620583 336257121 /nfs/dbraw/zinc/25/71/21/336257121.db2.gz RAKKQMYGIYODNA-UHFFFAOYSA-N 0 3 310.507 4.222 20 0 DIADHN CO[C@@H](CNCc1cscc1C(F)(F)F)c1ccccc1 ZINC000352822285 336257708 /nfs/dbraw/zinc/25/77/08/336257708.db2.gz JMRZYTWZOHNBBD-AWEZNQCLSA-N 0 3 315.360 4.244 20 0 DIADHN FC(F)(F)COc1cccc(CN[C@H]2CCCC(F)(F)C2)c1 ZINC000532627207 336258044 /nfs/dbraw/zinc/25/80/44/336258044.db2.gz DDFRAYUGHDBFND-LBPRGKRZSA-N 0 3 323.305 4.295 20 0 DIADHN c1cnn(-c2ccccc2CN[C@H]2CCCCc3ccccc32)c1 ZINC000324796673 336258641 /nfs/dbraw/zinc/25/86/41/336258641.db2.gz ORAMEILODNMQNM-FQEVSTJZSA-N 0 3 317.436 4.430 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)n(C)n1 ZINC000417969968 336259366 /nfs/dbraw/zinc/25/93/66/336259366.db2.gz QOGFWCBEANITGG-KBXCAEBGSA-N 0 3 309.457 4.118 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000192750244 336260607 /nfs/dbraw/zinc/26/06/07/336260607.db2.gz NDHMOZGTLBUZFB-KBPBESRZSA-N 0 3 313.397 4.226 20 0 DIADHN CC(C)c1ccc([C@H](C)NC(=O)C[C@H](N)c2ccccc2)cc1 ZINC000192772703 336261308 /nfs/dbraw/zinc/26/13/08/336261308.db2.gz ZVGQXDCIBJJKGA-KXBFYZLASA-N 0 3 310.441 4.077 20 0 DIADHN Clc1ccc(CNC[C@@H]2COc3ccccc32)c(Cl)c1 ZINC000580372791 336261483 /nfs/dbraw/zinc/26/14/83/336261483.db2.gz NBBXSBSCGWAXMJ-GFCCVEGCSA-N 0 3 308.208 4.259 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc2cc(C)nn2c1C)c1ccc(C)cc1 ZINC000192780843 336261658 /nfs/dbraw/zinc/26/16/58/336261658.db2.gz PWJHOXIAKPWZPQ-BEFAXECRSA-N 0 3 322.456 4.456 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000192796789 336262251 /nfs/dbraw/zinc/26/22/51/336262251.db2.gz WGTFKGMXCLCAAD-VLIAUNLRSA-N 0 3 309.409 4.260 20 0 DIADHN CN(C)c1ccccc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000532697283 336266976 /nfs/dbraw/zinc/26/69/76/336266976.db2.gz UQSFDQVEAJYVAT-UHFFFAOYSA-N 0 3 312.432 4.451 20 0 DIADHN CC[C@H](NCCCOc1c(C)cccc1C)c1nccs1 ZINC000542524400 336267590 /nfs/dbraw/zinc/26/75/90/336267590.db2.gz AFKFJGRJUBZZDV-HNNXBMFYSA-N 0 3 304.459 4.270 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1)c1ccc(NC(N)=O)cc1 ZINC000192847654 336269424 /nfs/dbraw/zinc/26/94/24/336269424.db2.gz VIWLEZVSEQLWRR-NWDGAFQWSA-N 0 3 317.820 4.242 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(Cl)c1)c1ccc(NC(N)=O)cc1 ZINC000192848538 336269876 /nfs/dbraw/zinc/26/98/76/336269876.db2.gz YBHVHNUWKRNBTM-RYUDHWBXSA-N 0 3 317.820 4.242 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cc2ccccc2o1 ZINC000192848797 336270122 /nfs/dbraw/zinc/27/01/22/336270122.db2.gz GXSKBUIOCJTIKH-QWHCGFSZSA-N 0 3 323.396 4.335 20 0 DIADHN CCC(CC)N(CCOC)Cc1ccc(Br)s1 ZINC000043678320 336270640 /nfs/dbraw/zinc/27/06/40/336270640.db2.gz REEOGJMVGABQGG-UHFFFAOYSA-N 0 3 320.296 4.148 20 0 DIADHN CS[C@@H](C)CNCC1=Cc2cc(Cl)cc(Cl)c2OC1 ZINC000532783346 336271111 /nfs/dbraw/zinc/27/11/11/336271111.db2.gz FEJYBRWAKDRHHD-VIFPVBQESA-N 0 3 318.269 4.110 20 0 DIADHN CC1(NCc2csc(-c3ccc(Cl)cc3)n2)CCOCC1 ZINC000532784529 336271115 /nfs/dbraw/zinc/27/11/15/336271115.db2.gz DUXUOXHBMIKBJF-UHFFFAOYSA-N 0 3 322.861 4.122 20 0 DIADHN CO[C@@H](C)c1nc(CNC2CCC3(CCCC3)CC2)cs1 ZINC000352844359 336276069 /nfs/dbraw/zinc/27/60/69/336276069.db2.gz XTMVEGGYDJPVTN-ZDUSSCGKSA-N 0 3 308.491 4.443 20 0 DIADHN C[C@@H](NC(C)(C)CNC(=O)[C@H](C)c1ccccc1)c1ccccc1 ZINC000182628916 336276595 /nfs/dbraw/zinc/27/65/95/336276595.db2.gz MBMSQODSCPSZJL-IAGOWNOFSA-N 0 3 324.468 4.036 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@@H](C)c1cc2ccccc2o1 ZINC000182633906 336277630 /nfs/dbraw/zinc/27/76/30/336277630.db2.gz MMDFRBBOZFKBIU-HNNXBMFYSA-N 0 3 322.408 4.421 20 0 DIADHN CC(C)C[C@H](NCCc1ccc(F)c(F)c1)c1ccccn1 ZINC000527042678 336280826 /nfs/dbraw/zinc/28/08/26/336280826.db2.gz XHUVUSRPTIBUEE-SFHVURJKSA-N 0 3 304.384 4.279 20 0 DIADHN Cc1c([C@@H](C)NCCc2cccc(F)c2F)cnn1C(C)(C)C ZINC000468907195 336281956 /nfs/dbraw/zinc/28/19/56/336281956.db2.gz JTWDQFZWNBPXRO-GFCCVEGCSA-N 0 3 321.415 4.118 20 0 DIADHN CCC(C)(C)N(C)Cc1csc(-c2ccc(OC)cc2)n1 ZINC000527067970 336281984 /nfs/dbraw/zinc/28/19/84/336281984.db2.gz ZEJOVFMNHGBEPN-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN CO[C@H]1CC[C@@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000527065356 336281998 /nfs/dbraw/zinc/28/19/98/336281998.db2.gz FGOHVPXLVJIZHL-HIFRSBDPSA-N 0 3 322.861 4.121 20 0 DIADHN CSCCCCC[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1 ZINC000352860389 336282171 /nfs/dbraw/zinc/28/21/71/336282171.db2.gz AZYAEYGIHOAPLM-UHFFFAOYSA-N 0 3 307.381 4.034 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000352865431 336283378 /nfs/dbraw/zinc/28/33/78/336283378.db2.gz FGUSDLNDNWQBSJ-HIFRSBDPSA-N 0 3 308.491 4.395 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000352865433 336283395 /nfs/dbraw/zinc/28/33/95/336283395.db2.gz FGUSDLNDNWQBSJ-UKRRQHHQSA-N 0 3 308.491 4.395 20 0 DIADHN CCCOc1ccc([C@@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000192914575 336284254 /nfs/dbraw/zinc/28/42/54/336284254.db2.gz NNRHLABXSLGJTG-CRAIPNDOSA-N 0 3 316.445 4.022 20 0 DIADHN OCCCCCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000192928154 336284545 /nfs/dbraw/zinc/28/45/45/336284545.db2.gz MHZXPVKQXOKFOV-GOSISDBHSA-N 0 3 303.833 4.182 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccccc1)c1ccccc1OC ZINC000192940991 336285368 /nfs/dbraw/zinc/28/53/68/336285368.db2.gz XHACAMPGBNLKGE-RTBURBONSA-N 0 3 312.457 4.039 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](C)c2cccc(F)c2)c1 ZINC000192941620 336285493 /nfs/dbraw/zinc/28/54/93/336285493.db2.gz ONIHBZCQYFVBNR-OLZOCXBDSA-N 0 3 300.377 4.196 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H]1CCSc2ccccc21 ZINC000192945964 336285682 /nfs/dbraw/zinc/28/56/82/336285682.db2.gz YOFPGMPNANSMGP-INIZCTEOSA-N 0 3 323.465 4.171 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(F)c1)C1CCCC1)c1ccnn1C ZINC000192953176 336285925 /nfs/dbraw/zinc/28/59/25/336285925.db2.gz YCLJERPRQHDDTN-FZKQIMNGSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H]2CCCc3occc32)n1 ZINC000192959498 336286136 /nfs/dbraw/zinc/28/61/36/336286136.db2.gz KZDBCLYFYBKVHR-GOSISDBHSA-N 0 3 321.424 4.249 20 0 DIADHN CN(C)[C@H](CNc1ccc2ccccc2n1)c1cccc(F)c1 ZINC000301063957 336287996 /nfs/dbraw/zinc/28/79/96/336287996.db2.gz WWUMAQBEJRUNOW-GOSISDBHSA-N 0 3 309.388 4.089 20 0 DIADHN CN(C)[C@@H](CNc1ccc2ccccc2n1)c1cccc(F)c1 ZINC000301063958 336288097 /nfs/dbraw/zinc/28/80/97/336288097.db2.gz WWUMAQBEJRUNOW-SFHVURJKSA-N 0 3 309.388 4.089 20 0 DIADHN COCCOc1cccc(CNc2cc(C)nc3ccccc32)c1 ZINC000301087469 336289249 /nfs/dbraw/zinc/28/92/49/336289249.db2.gz JMKCNCFTNFQSOI-UHFFFAOYSA-N 0 3 322.408 4.181 20 0 DIADHN Cc1cc(N2CC[C@@H](Nc3ccccc3)C2)c2ccccc2n1 ZINC000301234574 336296108 /nfs/dbraw/zinc/29/61/08/336296108.db2.gz LEQGGDUOPAERDR-QGZVFWFLSA-N 0 3 303.409 4.234 20 0 DIADHN Cc1cc(NC[C@@H](CCO)c2ccccc2)c2ccccc2n1 ZINC000301329734 336300951 /nfs/dbraw/zinc/30/09/51/336300951.db2.gz DBYHOZMTZYEOEP-QGZVFWFLSA-N 0 3 306.409 4.121 20 0 DIADHN CN(C)[C@H](CNc1ccnc2ccsc21)c1cccs1 ZINC000301377429 336303391 /nfs/dbraw/zinc/30/33/91/336303391.db2.gz WRAWXSYGVMGOSK-CYBMUJFWSA-N 0 3 303.456 4.073 20 0 DIADHN Cc1cc(N(C)Cc2nc3ccccc3n2C)c2ccccc2n1 ZINC000301535154 336313078 /nfs/dbraw/zinc/31/30/78/336313078.db2.gz AHPZJPOGGIDRHT-UHFFFAOYSA-N 0 3 316.408 4.066 20 0 DIADHN Clc1cccnc1NC[C@@H]1CCCN(Cc2cccs2)C1 ZINC000301559224 336314935 /nfs/dbraw/zinc/31/49/35/336314935.db2.gz JQCMTWTXLXVHMD-ZDUSSCGKSA-N 0 3 321.877 4.121 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2ncccc2Cl)CC1 ZINC000301570997 336315613 /nfs/dbraw/zinc/31/56/13/336315613.db2.gz OFLMICMBMXFZMW-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN C[C@H](CNCc1cscc1C(F)(F)F)c1nccs1 ZINC000352882058 336317456 /nfs/dbraw/zinc/31/74/56/336317456.db2.gz CGTKMVDKAMLIHP-MRVPVSSYSA-N 0 3 306.378 4.117 20 0 DIADHN Cc1cc(N2CCO[C@H](c3ccsc3)C2)c2ccccc2n1 ZINC000301624361 336319021 /nfs/dbraw/zinc/31/90/21/336319021.db2.gz FWWHWTDJOOBRPS-SFHVURJKSA-N 0 3 310.422 4.183 20 0 DIADHN CCc1cc(NCCCCn2ccnc2C)c2ccccc2n1 ZINC000301786648 336329876 /nfs/dbraw/zinc/32/98/76/336329876.db2.gz LMVMVGMVVYHKQJ-UHFFFAOYSA-N 0 3 308.429 4.194 20 0 DIADHN CCN1CCC(Nc2ccc3ccc(Cl)c(C)c3n2)CC1 ZINC000302000015 336342295 /nfs/dbraw/zinc/34/22/95/336342295.db2.gz RKZDHPMJRDTMCD-UHFFFAOYSA-N 0 3 303.837 4.093 20 0 DIADHN CC(C)SCCN1CCC(Oc2ccccc2Cl)CC1 ZINC000514102609 336342387 /nfs/dbraw/zinc/34/23/87/336342387.db2.gz RVJRFJXVRJPZNK-UHFFFAOYSA-N 0 3 313.894 4.325 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCO[C@H](c2ccco2)C1 ZINC000302025517 336343871 /nfs/dbraw/zinc/34/38/71/336343871.db2.gz HPUBQZHUTMYTCH-IBGZPJMESA-N 0 3 322.408 4.277 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@@H](n2cccn2)C1 ZINC000302053382 336345844 /nfs/dbraw/zinc/34/58/44/336345844.db2.gz PPAVVQRAAGZMFA-MRXNPFEDSA-N 0 3 320.440 4.144 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CC[C@@H]2OCCC[C@H]2C1 ZINC000302060332 336346280 /nfs/dbraw/zinc/34/62/80/336346280.db2.gz RSSCCJCQQSFZKB-KXBFYZLASA-N 0 3 310.441 4.111 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@@H](C)[C@@H](n3ccnc3)C2)c1C ZINC000302095206 336348212 /nfs/dbraw/zinc/34/82/12/336348212.db2.gz RONAPDPJWLJPCF-KUHUBIRLSA-N 0 3 320.440 4.136 20 0 DIADHN C/C(=C/c1ccccc1)CN1CCC(C(=O)OC(C)(C)C)CC1 ZINC000514103794 336348755 /nfs/dbraw/zinc/34/87/55/336348755.db2.gz DWJHSIHCYWYFDL-PEZBUJJGSA-N 0 3 315.457 4.144 20 0 DIADHN CCc1nn(C)c(CC)c1CNc1ccnc2ccc(C)cc21 ZINC000302129148 336349980 /nfs/dbraw/zinc/34/99/80/336349980.db2.gz BNMXIYWMFMNDSV-UHFFFAOYSA-N 0 3 308.429 4.014 20 0 DIADHN Cc1ccc2nccc(N[C@@H](CCCO)c3ccccc3)c2c1 ZINC000302169104 336352008 /nfs/dbraw/zinc/35/20/08/336352008.db2.gz TUQNWDYELCHOSY-SFHVURJKSA-N 0 3 306.409 4.469 20 0 DIADHN Cc1ccc2nccc(NCc3cn4c(cccc4C)n3)c2c1 ZINC000302177903 336352658 /nfs/dbraw/zinc/35/26/58/336352658.db2.gz XWRHRBVELDDGMU-UHFFFAOYSA-N 0 3 302.381 4.111 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)CCC(=O)c2ccc(F)cc2)C1 ZINC000352891076 336353534 /nfs/dbraw/zinc/35/35/34/336353534.db2.gz BLUDKVKLXCSZGC-JKSUJKDBSA-N 0 3 309.450 4.005 20 0 DIADHN Cc1ccc2nccc(NC[C@](C)(O)c3ccc(F)cc3)c2c1 ZINC000302213995 336354277 /nfs/dbraw/zinc/35/42/77/336354277.db2.gz ICCUJOGYQCDRQQ-IBGZPJMESA-N 0 3 310.372 4.002 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@@](CC)(CO)C1 ZINC000302383798 336357927 /nfs/dbraw/zinc/35/79/27/336357927.db2.gz HDLXTXYPDIDSMY-HXUWFJFHSA-N 0 3 312.457 4.095 20 0 DIADHN CCc1cc(NCc2ccc(OC)c(O)c2)c2ccccc2n1 ZINC000302604170 336362436 /nfs/dbraw/zinc/36/24/36/336362436.db2.gz CUUHSHPFGGRBIC-UHFFFAOYSA-N 0 3 308.381 4.124 20 0 DIADHN CC[C@@](C)(NC[C@@H]1CCCC(F)(F)C1)c1nc(C)cs1 ZINC000514115517 336367159 /nfs/dbraw/zinc/36/71/59/336367159.db2.gz STYLKRFWPAQXHO-TZMCWYRMSA-N 0 3 302.434 4.492 20 0 DIADHN Cc1cc(NC[C@@H](c2ccnn2C)C(C)C)c2ccccc2n1 ZINC000302749640 336367823 /nfs/dbraw/zinc/36/78/23/336367823.db2.gz GOFBJLWEGDGGLO-MRXNPFEDSA-N 0 3 308.429 4.128 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@H]3c3noc(C(C)C)n3)c2c1 ZINC000302771318 336368415 /nfs/dbraw/zinc/36/84/15/336368415.db2.gz ZYNMSRXRILSIJY-KRWDZBQOSA-N 0 3 322.412 4.391 20 0 DIADHN CN1CC[C@H](Nc2ccc3ccccc3n2)[C@@H]1c1ccccc1 ZINC000302801041 336369887 /nfs/dbraw/zinc/36/98/87/336369887.db2.gz CILJKTLUSIFJTP-ICSRJNTNSA-N 0 3 303.409 4.092 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](OC)c2ccc(Cl)cc2)cc1 ZINC000514121869 336371740 /nfs/dbraw/zinc/37/17/40/336371740.db2.gz AXSZLGQIZLXAJY-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN OC1(CN[C@@H](c2ccccc2)c2ccc(F)cc2)CCCCC1 ZINC000320856776 336374309 /nfs/dbraw/zinc/37/43/09/336374309.db2.gz BXSMWBYLXUZIOW-IBGZPJMESA-N 0 3 313.416 4.200 20 0 DIADHN Fc1cccc(F)c1-c1n[nH]cc1CN1CCC[C@@H]1C1CCC1 ZINC000352923331 336377419 /nfs/dbraw/zinc/37/74/19/336377419.db2.gz AWRPCLHNUGELPQ-MRXNPFEDSA-N 0 3 317.383 4.119 20 0 DIADHN Cc1csc([C@@H](C)CNCc2cscc2C(F)(F)F)n1 ZINC000352931100 336378013 /nfs/dbraw/zinc/37/80/13/336378013.db2.gz FCRSZFHXDASLJQ-QMMMGPOBSA-N 0 3 320.405 4.425 20 0 DIADHN COC(=O)c1cccc(CNCc2ccc(C(C)(C)C)s2)c1 ZINC000563914979 336383401 /nfs/dbraw/zinc/38/34/01/336383401.db2.gz JZHREDXOUQFJGH-UHFFFAOYSA-N 0 3 317.454 4.122 20 0 DIADHN CC(C)CCNCc1cc(Br)ccc1OC(F)F ZINC000034991029 336384895 /nfs/dbraw/zinc/38/48/95/336384895.db2.gz QAEXQSWUNNRWDQ-UHFFFAOYSA-N 0 3 322.193 4.186 20 0 DIADHN Brc1cccc2c1CC[C@@H]2NCc1ccccc1 ZINC000105491918 336387714 /nfs/dbraw/zinc/38/77/14/336387714.db2.gz HTINJWUPWZXHJN-INIZCTEOSA-N 0 3 302.215 4.226 20 0 DIADHN COCCCCCN(Cc1ccccn1)Cc1ccccc1C ZINC000563959579 336388472 /nfs/dbraw/zinc/38/84/72/336388472.db2.gz IDNTWHMXZYVENR-UHFFFAOYSA-N 0 3 312.457 4.209 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(Cl)c1)c1c(C)nn(C)c1C ZINC000152468286 336388674 /nfs/dbraw/zinc/38/86/74/336388674.db2.gz DXQUGPKCDWTXQG-ZBEGNZNMSA-N 0 3 305.853 4.492 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3c(s2)CCCC3)cc1 ZINC000537965260 336395662 /nfs/dbraw/zinc/39/56/62/336395662.db2.gz CRTNPTJVJZWIDP-ZDUSSCGKSA-N 0 3 301.455 4.486 20 0 DIADHN CC1(C)C[C@@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CO1 ZINC000418049460 336398046 /nfs/dbraw/zinc/39/80/46/336398046.db2.gz XQXPZFNFGMBXBK-CYBMUJFWSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@@H](CCCO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000168245687 336398364 /nfs/dbraw/zinc/39/83/64/336398364.db2.gz YADGYRMBQKGMGM-WPRPVWTQSA-N 0 3 308.274 4.183 20 0 DIADHN c1cncc(-c2cccc(CN3CCC(OC4CCC4)CC3)c2)c1 ZINC000418087565 336398847 /nfs/dbraw/zinc/39/88/47/336398847.db2.gz CMLTUTYHBXEFBV-UHFFFAOYSA-N 0 3 322.452 4.282 20 0 DIADHN CO[C@H](CNCc1cnc(-c2cccs2)s1)CC(C)C ZINC000418094365 336399182 /nfs/dbraw/zinc/39/91/82/336399182.db2.gz HYMUUGWIIJAIFW-LBPRGKRZSA-N 0 3 310.488 4.022 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2ccc3ccccc3c2)CC1 ZINC000418096201 336399232 /nfs/dbraw/zinc/39/92/32/336399232.db2.gz VEONSBPNXPDOOS-UHFFFAOYSA-N 0 3 323.358 4.383 20 0 DIADHN Fc1ccc(CCN2CCC(OC3CCC3)CC2)c(Cl)c1 ZINC000418097237 336399721 /nfs/dbraw/zinc/39/97/21/336399721.db2.gz WRWUNAVIRGPIGN-UHFFFAOYSA-N 0 3 311.828 4.055 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1sc(C)nc1C ZINC000353254020 336408394 /nfs/dbraw/zinc/40/83/94/336408394.db2.gz WGYWWRHYKRUOME-MRXNPFEDSA-N 0 3 316.470 4.281 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@@H](C)c1ccc2c(c1)COC2 ZINC000353340810 336412578 /nfs/dbraw/zinc/41/25/78/336412578.db2.gz DDHUNYDQOJBPLF-IFXJQAMLSA-N 0 3 309.409 4.200 20 0 DIADHN Clc1cccc(C2CCN(CCO[C@H]3CCCCO3)CC2)c1 ZINC000483127506 336415657 /nfs/dbraw/zinc/41/56/57/336415657.db2.gz FUMJLTQULLDQLW-SFHVURJKSA-N 0 3 323.864 4.063 20 0 DIADHN CC[C@H](NCc1cccnc1)c1ccc(OC(F)(F)F)cc1 ZINC000353437137 336417754 /nfs/dbraw/zinc/41/77/54/336417754.db2.gz DFWPINPEQUSOIJ-HNNXBMFYSA-N 0 3 310.319 4.221 20 0 DIADHN COc1ccc([C@H](Cc2ccncc2)NCc2ccsc2)cc1 ZINC000353460713 336419080 /nfs/dbraw/zinc/41/90/80/336419080.db2.gz LBGIZNXCRRWAOV-IBGZPJMESA-N 0 3 324.449 4.225 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2ccnn2)cc1)c1cccc(C)c1 ZINC000353617612 336429200 /nfs/dbraw/zinc/42/92/00/336429200.db2.gz DQTATXZTIJESQM-UZLBHIALSA-N 0 3 320.440 4.378 20 0 DIADHN COC[C@H](NC[C@H](C)c1ncc(C)s1)c1cccc(Cl)c1 ZINC000353680357 336434363 /nfs/dbraw/zinc/43/43/63/336434363.db2.gz UAIHZNCADRFXFX-NHYWBVRUSA-N 0 3 324.877 4.186 20 0 DIADHN OC[C@@H](CN[C@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000353888066 336446032 /nfs/dbraw/zinc/44/60/32/336446032.db2.gz YQDNIYCXXLFCBO-KOLCDFICSA-N 0 3 320.285 4.040 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCC1(C(F)(F)F)CC1)CCS2 ZINC000353948875 336450841 /nfs/dbraw/zinc/45/08/41/336450841.db2.gz XXJNOQUAILLCSX-NSHDSACASA-N 0 3 305.340 4.295 20 0 DIADHN C[C@H](N[C@@H]1CCSc2ccccc21)c1ccc2n[nH]cc2c1 ZINC000353980266 336452347 /nfs/dbraw/zinc/45/23/47/336452347.db2.gz UEHAGOKQAFBFAU-YVEFUNNKSA-N 0 3 309.438 4.451 20 0 DIADHN CC[C@@H](NCCCc1ccc(C)nc1)c1cc(F)ccc1F ZINC000354502437 336473055 /nfs/dbraw/zinc/47/30/55/336473055.db2.gz NDXYQMLFRJRKLQ-GOSISDBHSA-N 0 3 304.384 4.342 20 0 DIADHN CN(C)[C@@H](CNc1nccc2ccc(F)cc21)c1ccsc1 ZINC000354575973 336475604 /nfs/dbraw/zinc/47/56/04/336475604.db2.gz XWQNDEYLAYEIBZ-INIZCTEOSA-N 0 3 315.417 4.150 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](CC)c1ccc(OC)c(OC)c1 ZINC000354966545 336489184 /nfs/dbraw/zinc/48/91/84/336489184.db2.gz AYMKTECIQZWLQP-CHWSQXEVSA-N 0 3 319.367 4.476 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@H](C)c1ccncc1 ZINC000354972173 336489653 /nfs/dbraw/zinc/48/96/53/336489653.db2.gz UPEQJZBUQMMGLC-XNJGSVPQSA-N 0 3 304.821 4.162 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](CC)c1ccc2c(c1)OCCO2 ZINC000354979205 336489904 /nfs/dbraw/zinc/48/99/04/336489904.db2.gz ZLGZGOKPHQVVTP-STQMWFEESA-N 0 3 317.351 4.230 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1sc(CCOC)nc1C ZINC000354987204 336489933 /nfs/dbraw/zinc/48/99/33/336489933.db2.gz DBNPPZTZALDLJQ-ONGXEEELSA-N 0 3 324.412 4.022 20 0 DIADHN CC(C)CCn1cccc1CNCc1cccc(-n2ccnc2)c1 ZINC000355701820 336519619 /nfs/dbraw/zinc/51/96/19/336519619.db2.gz KZWSRFZYWSUEIF-UHFFFAOYSA-N 0 3 322.456 4.010 20 0 DIADHN c1ccc2c(c1)CCCC[C@@H]2NCc1ccc2c(c1)OCCCO2 ZINC000356634984 336556799 /nfs/dbraw/zinc/55/67/99/336556799.db2.gz NMTPIDJRRZMUNF-IBGZPJMESA-N 0 3 323.436 4.405 20 0 DIADHN COC1(CN[C@@H]2CCCSc3ccc(Cl)cc32)CCC1 ZINC000356790227 336561090 /nfs/dbraw/zinc/56/10/90/336561090.db2.gz YBRLDGDTLLHXSC-CQSZACIVSA-N 0 3 311.878 4.426 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cccc2[nH]ccc21 ZINC000356882769 336564690 /nfs/dbraw/zinc/56/46/90/336564690.db2.gz UOKDVZIPZRFTJE-LJQANCHMSA-N 0 3 306.409 4.344 20 0 DIADHN CC[C@H](NCC[C@@H]1CCCCO1)c1cc(Cl)ccc1OC ZINC000356932848 336566445 /nfs/dbraw/zinc/56/64/45/336566445.db2.gz XLBOKWRWQYEKSE-HOCLYGCPSA-N 0 3 311.853 4.349 20 0 DIADHN CC[C@H](NCc1ccc(OC)c(F)c1)c1cccc(OC)c1 ZINC000358001030 336605503 /nfs/dbraw/zinc/60/55/03/336605503.db2.gz CONFQCTYUPPSCI-KRWDZBQOSA-N 0 3 303.377 4.084 20 0 DIADHN Cc1ncc([C@@H](C)NCCc2cccc(Cl)c2Cl)c(C)n1 ZINC000358023272 336606817 /nfs/dbraw/zinc/60/68/17/336606817.db2.gz PLFKTBVLZHYRSH-SNVBAGLBSA-N 0 3 324.255 4.294 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCOC1CCCCC1)CCS2 ZINC000358036195 336607848 /nfs/dbraw/zinc/60/78/48/336607848.db2.gz KNQRDYJJEGBSFN-MRXNPFEDSA-N 0 3 309.450 4.302 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cnc2ccccc2c1 ZINC000358037973 336607896 /nfs/dbraw/zinc/60/78/96/336607896.db2.gz OMCVTRNJAGBUBT-LJQANCHMSA-N 0 3 304.393 4.021 20 0 DIADHN Cc1cc(CN[C@H]2CCSc3c(F)cccc32)cc(C)c1O ZINC000358038918 336607972 /nfs/dbraw/zinc/60/79/72/336607972.db2.gz PPWAFFLNGBQBQI-INIZCTEOSA-N 0 3 317.429 4.475 20 0 DIADHN C[C@@H]1C[C@@H](NCCOc2ccccc2F)c2ccsc2S1 ZINC000358082006 336610607 /nfs/dbraw/zinc/61/06/07/336610607.db2.gz IRVIKUDSDZQYPU-BXUZGUMPSA-N 0 3 323.458 4.481 20 0 DIADHN CC[C@H](N[C@H](CCC(=O)OC)c1ccccc1)c1ccccc1 ZINC000358092344 336611294 /nfs/dbraw/zinc/61/12/94/336611294.db2.gz YLRGNDRKMMKLHL-RBUKOAKNSA-N 0 3 311.425 4.422 20 0 DIADHN CC[C@@H](N[C@H](C)CC(=O)OC(C)(C)C)c1cc(F)ccc1F ZINC000358098393 336611502 /nfs/dbraw/zinc/61/15/02/336611502.db2.gz OPMYLPRENUXDFR-IAQYHMDHSA-N 0 3 313.388 4.126 20 0 DIADHN CC(C)[C@H](O)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000358162167 336614059 /nfs/dbraw/zinc/61/40/59/336614059.db2.gz XZEQSPVNPHMYAC-VHSXEESVSA-N 0 3 308.274 4.039 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCCC(F)(F)F)CCCO2 ZINC000358187569 336615763 /nfs/dbraw/zinc/61/57/63/336615763.db2.gz KCMYZXXXLQNKTO-GFCCVEGCSA-N 0 3 307.743 4.404 20 0 DIADHN CC1=CCN(CCN[C@H]2CCSc3ccc(Cl)cc32)CC1 ZINC000358234875 336618374 /nfs/dbraw/zinc/61/83/74/336618374.db2.gz XCXMWBWBAVPXPH-INIZCTEOSA-N 0 3 322.905 4.119 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cccc(N2CCCC2=O)c1 ZINC000358307169 336623070 /nfs/dbraw/zinc/62/30/70/336623070.db2.gz UUYAQRVJJPEXDE-HIFRSBDPSA-N 0 3 322.399 4.042 20 0 DIADHN COC[C@@H](N[C@H](C)c1csc(-c2csc(C)n2)c1)C1CC1 ZINC000358337603 336624799 /nfs/dbraw/zinc/62/47/99/336624799.db2.gz FRGUSEYKZLAFGB-QMTHXVAHSA-N 0 3 322.499 4.256 20 0 DIADHN COc1cc(F)c([C@H](C)NC2CCC(F)(F)CC2)cc1OC ZINC000358371308 336626846 /nfs/dbraw/zinc/62/68/46/336626846.db2.gz UWTVKKBTSLKSEQ-JTQLQIEISA-N 0 3 317.351 4.071 20 0 DIADHN Cc1ccncc1[C@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000358374303 336627063 /nfs/dbraw/zinc/62/70/63/336627063.db2.gz ZLPAQGKESOWXIA-ZDUSSCGKSA-N 0 3 302.368 4.051 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3c1cccc3OC)CCC2 ZINC000358385063 336627646 /nfs/dbraw/zinc/62/76/46/336627646.db2.gz QTIKXSNVZOJOOM-PMACEKPBSA-N 0 3 323.436 4.358 20 0 DIADHN CCCCn1cc(CN[C@H](CC)c2ccc(F)cc2)c(C)n1 ZINC000358647162 336638078 /nfs/dbraw/zinc/63/80/78/336638078.db2.gz CKHQBZITKBSYMZ-GOSISDBHSA-N 0 3 303.425 4.372 20 0 DIADHN CS[C@H](C)CCC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000358870362 336645629 /nfs/dbraw/zinc/64/56/29/336645629.db2.gz JGSJMWBYXRTBKT-OAHLLOKOSA-N 0 3 320.502 4.061 20 0 DIADHN c1c2c(nn1-c1ccccc1)CCC[C@@H]2N[C@@H]1CC12CCCC2 ZINC000358992375 336651688 /nfs/dbraw/zinc/65/16/88/336651688.db2.gz VXPIYOQGUUDPDK-PKOBYXMFSA-N 0 3 307.441 4.172 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H](CC1CCC1)c1ccccc1 ZINC000359004537 336652541 /nfs/dbraw/zinc/65/25/41/336652541.db2.gz PZCFRKKAXKPNSO-ICSRJNTNSA-N 0 3 309.457 4.319 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1nc(-c2ccccc2)cs1 ZINC000359042923 336654959 /nfs/dbraw/zinc/65/49/59/336654959.db2.gz CFJBHJWKQGITRI-CZUORRHYSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](CO)CCC(C)(C)C)CCS2 ZINC000359045561 336654983 /nfs/dbraw/zinc/65/49/83/336654983.db2.gz IXMXSWODORUSLC-CZUORRHYSA-N 0 3 323.502 4.009 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCCc2ccc(OC)cc21 ZINC000359301555 336666647 /nfs/dbraw/zinc/66/66/47/336666647.db2.gz KFKUURCPCLIINH-JLTOFOAXSA-N 0 3 310.441 4.381 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000359313678 336667236 /nfs/dbraw/zinc/66/72/36/336667236.db2.gz BWFCYEHQODZNIT-HOTGVXAUSA-N 0 3 320.440 4.242 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000359348079 336668703 /nfs/dbraw/zinc/66/87/03/336668703.db2.gz UEUSDHYHYKZHKE-HOTGVXAUSA-N 0 3 320.440 4.242 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NC[C@H](c1ccccc1)N(C)C ZINC000359581056 336678738 /nfs/dbraw/zinc/67/87/38/336678738.db2.gz GQZNXJGLZHMINS-VQTJNVASSA-N 0 3 324.468 4.101 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1ccc(-n2cncn2)cc1 ZINC000359677002 336682992 /nfs/dbraw/zinc/68/29/92/336682992.db2.gz NNKCGSSETPZNNC-UGSOOPFHSA-N 0 3 324.453 4.131 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCN1CCCC[C@H]1C ZINC000359925758 336693405 /nfs/dbraw/zinc/69/34/05/336693405.db2.gz OFWRQPGBFLMHTJ-ZIAGYGMSSA-N 0 3 309.523 4.100 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCN1CCCC[C@@H]1C ZINC000359925757 336693483 /nfs/dbraw/zinc/69/34/83/336693483.db2.gz OFWRQPGBFLMHTJ-UONOGXRCSA-N 0 3 309.523 4.100 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](CC(C)C)c2ccccc2)cc1 ZINC000359932164 336693876 /nfs/dbraw/zinc/69/38/76/336693876.db2.gz FHAZDZRHCFABGP-FQEVSTJZSA-N 0 3 324.468 4.313 20 0 DIADHN CC[C@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1cccs1 ZINC000360596079 336715720 /nfs/dbraw/zinc/71/57/20/336715720.db2.gz GXXYHOCHAFZIQD-HOTGVXAUSA-N 0 3 316.470 4.008 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H]2CCCOc3ccccc32)N(C)C)cc1 ZINC000360856413 336724527 /nfs/dbraw/zinc/72/45/27/336724527.db2.gz GSUUDSYYGNINNL-WOJBJXKFSA-N 0 3 324.468 4.101 20 0 DIADHN Fc1ccc2oc(CNCCc3c(F)cccc3F)cc2c1 ZINC000360866081 336724861 /nfs/dbraw/zinc/72/48/61/336724861.db2.gz WNFGPVPZZFOXOZ-UHFFFAOYSA-N 0 3 305.299 4.182 20 0 DIADHN Oc1ccc2c(c1)[C@H](NC[C@@H]1CC[C@H](c3ccccc3)O1)CCC2 ZINC000360995192 336731402 /nfs/dbraw/zinc/73/14/02/336731402.db2.gz HUKULQVEZPUYHB-CEWLAPEOSA-N 0 3 323.436 4.280 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H]1CCCc2ccc(O)cc21 ZINC000360997932 336731720 /nfs/dbraw/zinc/73/17/20/336731720.db2.gz OOPRLVNPVMBMJE-HUUCEWRRSA-N 0 3 301.352 4.336 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN[C@@H](C)c1ccccn1 ZINC000361348355 336752677 /nfs/dbraw/zinc/75/26/77/336752677.db2.gz JVXVTPCIJHAEIO-INIZCTEOSA-N 0 3 322.456 4.261 20 0 DIADHN OC1(CN[C@@H]2CC3(CCC3)Oc3ccccc32)CCCCCC1 ZINC000361508439 336760318 /nfs/dbraw/zinc/76/03/18/336760318.db2.gz MCFJRHFEWMBSQX-QGZVFWFLSA-N 0 3 315.457 4.108 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1ccccc1OC ZINC000361747613 336771091 /nfs/dbraw/zinc/77/10/91/336771091.db2.gz CMSGZSAIJUAQQT-RCCFBDPRSA-N 0 3 313.445 4.116 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1ccc(F)cc1F ZINC000361748819 336771126 /nfs/dbraw/zinc/77/11/26/336771126.db2.gz RVOSCNITHSFPBM-RCCFBDPRSA-N 0 3 319.399 4.386 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000361758480 336771553 /nfs/dbraw/zinc/77/15/53/336771553.db2.gz ZGHDJYHKBVRMLW-ASSNKEHSSA-N 0 3 321.873 4.237 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1)c1nc(C)cs1 ZINC000361893102 336776978 /nfs/dbraw/zinc/77/69/78/336776978.db2.gz IEIJDANRJULDOM-JJXSEGSLSA-N 0 3 308.491 4.088 20 0 DIADHN Cc1nn(C(C)C)cc1CNCc1cc(C)cc2c(C)c(C)[nH]c21 ZINC000361896056 336777299 /nfs/dbraw/zinc/77/72/99/336777299.db2.gz DZUFEUPXTINZRP-UHFFFAOYSA-N 0 3 324.472 4.469 20 0 DIADHN CC[C@H](N[C@H]1CC[C@H]1SC)c1ccccc1OC(F)F ZINC000361943582 336779249 /nfs/dbraw/zinc/77/92/49/336779249.db2.gz CFHJRSGBAHCYES-SGMGOOAPSA-N 0 3 301.402 4.223 20 0 DIADHN CC[C@H](O)CCN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361943079 336779252 /nfs/dbraw/zinc/77/92/52/336779252.db2.gz ALQQAHAXYYLBDN-WCBMZHEXSA-N 0 3 308.274 4.183 20 0 DIADHN Fc1ccc(F)c(CCN[C@@H]2CCCOc3ccccc32)c1 ZINC000361965555 336780098 /nfs/dbraw/zinc/78/00/98/336780098.db2.gz GXJIKCQMNPFSLU-QGZVFWFLSA-N 0 3 303.352 4.011 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@H]2SCCc3ccccc32)s1 ZINC000361967127 336780334 /nfs/dbraw/zinc/78/03/34/336780334.db2.gz QNZNGLKIZQEKEW-MEDUHNTESA-N 0 3 318.511 4.441 20 0 DIADHN c1ccc(COCCN[C@@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000361972116 336780572 /nfs/dbraw/zinc/78/05/72/336780572.db2.gz PFTITETWTJYBMB-LJQANCHMSA-N 0 3 323.436 4.239 20 0 DIADHN C[C@@H](NC1CCC(CO)CC1)c1nc(-c2ccccc2)cs1 ZINC000361979979 336780796 /nfs/dbraw/zinc/78/07/96/336780796.db2.gz FDPLHIVTBJOMHS-VQCLRJIVSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C)cc1OC)CCC2 ZINC000361985029 336781155 /nfs/dbraw/zinc/78/11/55/336781155.db2.gz WGGZQGBZMPPUKA-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](C)c2ccc(C)c(C)c2)c2ccccc21 ZINC000362033852 336782814 /nfs/dbraw/zinc/78/28/14/336782814.db2.gz VZOGMAQGMLIHRD-JXFKEZNVSA-N 0 3 322.452 4.452 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2cc(C)ccn2)cc1 ZINC000367601861 336826307 /nfs/dbraw/zinc/82/63/07/336826307.db2.gz QADRMQAZVHQKRW-JKSUJKDBSA-N 0 3 320.440 4.296 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@@H](C)c1cccnc1 ZINC000367620675 336826811 /nfs/dbraw/zinc/82/68/11/336826811.db2.gz QSEQQXQCYMODFQ-JQERWDHBSA-N 0 3 310.441 4.084 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@H](C)c1cccnc1 ZINC000367620678 336826818 /nfs/dbraw/zinc/82/68/18/336826818.db2.gz QSEQQXQCYMODFQ-WSTLGDPDSA-N 0 3 310.441 4.084 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCCc3ccc(O)cc31)CCC2 ZINC000367893873 336831706 /nfs/dbraw/zinc/83/17/06/336831706.db2.gz ATSAGWCQMVHROV-NVXWUHKLSA-N 0 3 314.454 4.202 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000368135310 336839288 /nfs/dbraw/zinc/83/92/88/336839288.db2.gz OEWHZIREOQZIDK-ROUUACIJSA-N 0 3 313.420 4.258 20 0 DIADHN Cc1cc(C)n2c(CN[C@@H](C)c3ccc(C4CC4)cc3)cnc2n1 ZINC000418119790 336872011 /nfs/dbraw/zinc/87/20/11/336872011.db2.gz YLCPZEQSZMSHTC-HNNXBMFYSA-N 0 3 320.440 4.074 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](c2ccccc2)C2CCOCC2)c1 ZINC000370421650 336884674 /nfs/dbraw/zinc/88/46/74/336884674.db2.gz PEKSPTZMVLVOFS-OXQOHEQNSA-N 0 3 310.441 4.209 20 0 DIADHN Fc1ccc(CN[C@@H]2CCO[C@@H](C3CC3)C2)c(Cl)c1Cl ZINC000370665298 336890246 /nfs/dbraw/zinc/89/02/46/336890246.db2.gz NZRCWDRFBGZGSP-DGCLKSJQSA-N 0 3 318.219 4.180 20 0 DIADHN Clc1scc(CN[C@@H]2CCO[C@H](C3CC3)C2)c1Cl ZINC000370665476 336890263 /nfs/dbraw/zinc/89/02/63/336890263.db2.gz OVGQPOCRGVNYPX-MNOVXSKESA-N 0 3 306.258 4.102 20 0 DIADHN CS[C@H](C)CNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000159138381 338518952 /nfs/dbraw/zinc/51/89/52/338518952.db2.gz PHCLZXXKIBNCHZ-LLVKDONJSA-N 0 3 318.467 4.397 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2ccc(C)cc2C)cc1 ZINC000120380335 336909283 /nfs/dbraw/zinc/90/92/83/336909283.db2.gz WWNMISRJXOYDPZ-OXQOHEQNSA-N 0 3 313.441 4.086 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3C[C@H]4CCCC[C@@H]4C3)o2)c1 ZINC000371866361 336915059 /nfs/dbraw/zinc/91/50/59/336915059.db2.gz IVCYISJJWHHTMY-USXIJHARSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H]3CCC(C)(C)C[C@H]3C)o2)c(C)o1 ZINC000120818551 336923086 /nfs/dbraw/zinc/92/30/86/336923086.db2.gz UCOANLHIXOVMJV-IAQYHMDHSA-N 0 3 317.433 4.251 20 0 DIADHN CC[C@H](NC[C@H](C)COCc1ccccc1)c1ccc(F)cn1 ZINC000389929062 336925699 /nfs/dbraw/zinc/92/56/99/336925699.db2.gz YMXQONKRJCCWBX-YJBOKZPZSA-N 0 3 316.420 4.114 20 0 DIADHN C[C@H](CCNCc1ccn(Cc2ccccc2)n1)c1ccccc1 ZINC000389950058 336927412 /nfs/dbraw/zinc/92/74/12/336927412.db2.gz WSOIOPODTUKLIA-GOSISDBHSA-N 0 3 319.452 4.215 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1ccc(F)c(F)c1 ZINC000389991302 336933149 /nfs/dbraw/zinc/93/31/49/336933149.db2.gz JRKXZPOZOBJSLD-UHFFFAOYSA-N 0 3 305.368 4.050 20 0 DIADHN C[C@H](CCOCc1ccccc1)NCc1sccc1Cl ZINC000390166173 336934673 /nfs/dbraw/zinc/93/46/73/336934673.db2.gz FCDYUGOEGHFJIZ-CYBMUJFWSA-N 0 3 309.862 4.487 20 0 DIADHN C[C@@H](CCOCc1ccccc1)NCc1sccc1Cl ZINC000390166174 336934762 /nfs/dbraw/zinc/93/47/62/336934762.db2.gz FCDYUGOEGHFJIZ-ZDUSSCGKSA-N 0 3 309.862 4.487 20 0 DIADHN Cc1oc2ccccc2c1CNCc1c[nH]nc1-c1cccs1 ZINC000372957342 336943604 /nfs/dbraw/zinc/94/36/04/336943604.db2.gz RCJIGPFLMUFUIV-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN CCc1ccc(CN2CCC(c3cccc(OC)c3)CC2)cn1 ZINC000582387027 336951336 /nfs/dbraw/zinc/95/13/36/336951336.db2.gz DZJHLSTXWPAPEI-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000582381900 336952765 /nfs/dbraw/zinc/95/27/65/336952765.db2.gz LLQCFPBJSAMFCI-QLFBSQMISA-N 0 3 317.404 4.428 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CCOCC1)c1cccc(O)c1 ZINC000374238672 336984621 /nfs/dbraw/zinc/98/46/21/336984621.db2.gz PSMBBMRVPCOWSF-FOIQADDNSA-N 0 3 311.425 4.211 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CCOCC1)c1cccc(O)c1 ZINC000374238674 336984623 /nfs/dbraw/zinc/98/46/23/336984623.db2.gz PSMBBMRVPCOWSF-QRWLVFNGSA-N 0 3 311.425 4.211 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@@H](c3ncccc3C)C2)cs1 ZINC000374258164 336985121 /nfs/dbraw/zinc/98/51/21/336985121.db2.gz OBJRGFAMHFERRA-CABCVRRESA-N 0 3 315.486 4.225 20 0 DIADHN Clc1ccccc1CN[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000374469441 336989959 /nfs/dbraw/zinc/98/99/59/336989959.db2.gz GRSSBUPPBJVEIL-SJLPKXTDSA-N 0 3 301.817 4.207 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1ccc(F)cc1F ZINC000374490562 336990418 /nfs/dbraw/zinc/99/04/18/336990418.db2.gz XQEYUQGCNMUUFN-RFUYNDQBSA-N 0 3 317.379 4.393 20 0 DIADHN Fc1cc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)ccc1Cl ZINC000374495431 336990519 /nfs/dbraw/zinc/99/05/19/336990519.db2.gz IQBRQDWELQTGPK-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN Clc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000374495353 336990588 /nfs/dbraw/zinc/99/05/88/336990588.db2.gz IBIRKJAVFPHLKP-BBRMVZONSA-N 0 3 307.846 4.269 20 0 DIADHN c1cc2c(s1)CCC[C@H]2N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000374496250 336990691 /nfs/dbraw/zinc/99/06/91/336990691.db2.gz LOYIAWXLLFEQSA-WDYCEAGBSA-N 0 3 313.466 4.493 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000374503015 336990884 /nfs/dbraw/zinc/99/08/84/336990884.db2.gz KCKHSQNEJBLNCE-RIFZZMRRSA-N 0 3 311.425 4.124 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000375084991 337002904 /nfs/dbraw/zinc/00/29/04/337002904.db2.gz OFIIHLKRVMLMBT-QRTARXTBSA-N 0 3 317.454 4.185 20 0 DIADHN OCCCCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000375113663 337003657 /nfs/dbraw/zinc/00/36/57/337003657.db2.gz JRJCODCMNKHLCL-HNNXBMFYSA-N 0 3 301.352 4.005 20 0 DIADHN CCC[C@@H](N[C@H]1CCCc2cnn(C(C)C)c21)c1cccnc1 ZINC000375170807 337005046 /nfs/dbraw/zinc/00/50/46/337005046.db2.gz JTFOXYRDFKKRJT-MSOLQXFVSA-N 0 3 312.461 4.367 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc(C(F)F)cc2)CC1 ZINC000375350288 337008675 /nfs/dbraw/zinc/00/86/75/337008675.db2.gz UTZDGTFJDPFTCK-UHFFFAOYSA-N 0 3 305.372 4.035 20 0 DIADHN C[C@@H](NCCCC(C)(C)CO)c1nc(-c2ccccc2)cs1 ZINC000305150099 337015735 /nfs/dbraw/zinc/01/57/35/337015735.db2.gz OHYHSGCNUFQHLU-CQSZACIVSA-N 0 3 318.486 4.259 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NCCc1cscn1 ZINC000122630477 337017059 /nfs/dbraw/zinc/01/70/59/337017059.db2.gz ACVWBBUIDLOKQX-QGZVFWFLSA-N 0 3 316.470 4.313 20 0 DIADHN CCSc1ccccc1[C@@H](C)N[C@@H]1CCCc2cn[nH]c21 ZINC000376488793 337034760 /nfs/dbraw/zinc/03/47/60/337034760.db2.gz ANRJUFKUEHDJOC-IUODEOHRSA-N 0 3 301.459 4.250 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)NCc1ncoc1-c1ccccc1 ZINC000376629176 337038519 /nfs/dbraw/zinc/03/85/19/337038519.db2.gz VMJIYDLAWYBACR-HNNXBMFYSA-N 0 3 322.408 4.158 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1ccc3nc(C)ccc3c1)CCC2 ZINC000376971279 337044435 /nfs/dbraw/zinc/04/44/35/337044435.db2.gz HNFXHFMMJBFYGB-KRWDZBQOSA-N 0 3 323.465 4.475 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1nccn1C ZINC000377117342 337047184 /nfs/dbraw/zinc/04/71/84/337047184.db2.gz XLSWLECRVKGYHV-DRZSPHRISA-N 0 3 324.255 4.324 20 0 DIADHN FC(F)(F)C1CCC(CNCc2noc3c2CCCC3)CC1 ZINC000377314913 337050328 /nfs/dbraw/zinc/05/03/28/337050328.db2.gz GQNVETBXYXRTNT-UHFFFAOYSA-N 0 3 316.367 4.012 20 0 DIADHN Cc1ccccc1CN[C@@H]1c2ccccc2CC12CCOCC2 ZINC000377716902 337059563 /nfs/dbraw/zinc/05/95/63/337059563.db2.gz AWIXTCLNUDYXIJ-HXUWFJFHSA-N 0 3 307.437 4.179 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@@H]2c3ccccc3CC23CCOCC3)c1 ZINC000377771005 337060734 /nfs/dbraw/zinc/06/07/34/337060734.db2.gz COCDIQWIWVYWLJ-OXQOHEQNSA-N 0 3 322.452 4.135 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@H]2CC[C@@H](c3ccccc3)O2)cn1 ZINC000377796508 337061417 /nfs/dbraw/zinc/06/14/17/337061417.db2.gz PHFLGGIRKRBBHX-IPELMVKDSA-N 0 3 313.445 4.035 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@H]2CC[C@@H](c3ccccc3)O2)cn1 ZINC000377796509 337061484 /nfs/dbraw/zinc/06/14/84/337061484.db2.gz PHFLGGIRKRBBHX-LZQZEXGQSA-N 0 3 313.445 4.035 20 0 DIADHN CC[C@@H](NC[C@H]1CCCn2cc(C)nc21)c1cc(F)ccc1F ZINC000378185678 337067896 /nfs/dbraw/zinc/06/78/96/337067896.db2.gz UJGFNRVPOXGGRP-CXAGYDPISA-N 0 3 319.399 4.088 20 0 DIADHN FC(F)(F)CCCCN1CCC2(CC1)OCc1ccccc12 ZINC000378241572 337068662 /nfs/dbraw/zinc/06/86/62/337068662.db2.gz GRBNDEUWNXHRMX-UHFFFAOYSA-N 0 3 313.363 4.241 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](c2ccccc2)[C@H]2CCOC2)s1 ZINC000378391412 337071651 /nfs/dbraw/zinc/07/16/51/337071651.db2.gz DDEKUNMJCVLFKK-RRQGHBQHSA-N 0 3 316.470 4.188 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccccc2-c2cnn(C)c2)s1 ZINC000378403196 337071960 /nfs/dbraw/zinc/07/19/60/337071960.db2.gz NNZJRXMCCYFHQL-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN CCC[C@@H](NCc1ccccc1-c1cnn(C)c1)c1ccccn1 ZINC000378406078 337072046 /nfs/dbraw/zinc/07/20/46/337072046.db2.gz SWTAJLBUJYWJQM-LJQANCHMSA-N 0 3 320.440 4.113 20 0 DIADHN CC(C)c1noc([C@@H](C)SCCN(C)Cc2ccccc2)n1 ZINC000193635919 337078645 /nfs/dbraw/zinc/07/86/45/337078645.db2.gz QBCDYDWCMADIPY-CQSZACIVSA-N 0 3 319.474 4.119 20 0 DIADHN COc1ccc([C@H](NCCc2ccccn2)c2ccccc2)cc1 ZINC000194032884 337081338 /nfs/dbraw/zinc/08/13/38/337081338.db2.gz FKJLOYZPCNRTDR-OAQYLSRUSA-N 0 3 318.420 4.012 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000194234372 337086783 /nfs/dbraw/zinc/08/67/83/337086783.db2.gz AIWFQNZQSHZARJ-ZIAGYGMSSA-N 0 3 323.362 4.287 20 0 DIADHN CCC[C@@H](CN[C@H](c1ccccc1)c1ccc(OC)cc1)OC ZINC000393486786 337092647 /nfs/dbraw/zinc/09/26/47/337092647.db2.gz LKSPOWFTCYKJJN-VQTJNVASSA-N 0 3 313.441 4.189 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@H](CO)CC(C)(C)C ZINC000393534091 337092948 /nfs/dbraw/zinc/09/29/48/337092948.db2.gz ZITAUYMWZVDKMK-AAEUAGOBSA-N 0 3 312.523 4.197 20 0 DIADHN CCC[C@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)OC ZINC000393470933 337094644 /nfs/dbraw/zinc/09/46/44/337094644.db2.gz DTXNBIQNNULSEW-RTBURBONSA-N 0 3 301.405 4.320 20 0 DIADHN CCc1ccc(C[C@H](Cc2ccc(Cl)cc2)NCCF)nc1 ZINC000582509607 337099579 /nfs/dbraw/zinc/09/95/79/337099579.db2.gz KCYSCHJIOBESNJ-SFHVURJKSA-N 0 3 320.839 4.010 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc3cnn(C)c3c2)cc1 ZINC000582520606 337100154 /nfs/dbraw/zinc/10/01/54/337100154.db2.gz MOHVQQPIMPFLPI-ZDUSSCGKSA-N 0 3 311.454 4.146 20 0 DIADHN C[C@H](N[C@H](CO)C1CCCC1)c1nc(-c2ccccc2)cs1 ZINC000582637032 337116620 /nfs/dbraw/zinc/11/66/20/337116620.db2.gz SOAGBCQVVBJYPT-XJKSGUPXSA-N 0 3 316.470 4.012 20 0 DIADHN COc1cncc(/C=C\CCN[C@@H](C)c2cccc(F)c2F)c1 ZINC000582663574 337117812 /nfs/dbraw/zinc/11/78/12/337117812.db2.gz UXHBEHIXNUEMGH-RJNRQISESA-N 0 3 318.367 4.123 20 0 DIADHN Cc1nc(CNCCC(c2ccccc2)c2ccccc2)[nH]c1C ZINC000582737211 337127988 /nfs/dbraw/zinc/12/79/88/337127988.db2.gz VLEJOZWGGYAURC-UHFFFAOYSA-N 0 3 319.452 4.338 20 0 DIADHN CN(Cc1cc(Cl)ccc1OCc1cccnc1)C1CCC1 ZINC000173618572 337136831 /nfs/dbraw/zinc/13/68/31/337136831.db2.gz WJZMZBGCQXPOME-UHFFFAOYSA-N 0 3 316.832 4.298 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cscc2C)c1 ZINC000175524982 337147730 /nfs/dbraw/zinc/14/77/30/337147730.db2.gz HGBIRIAEIYGAMG-UHFFFAOYSA-N 0 3 302.443 4.151 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1ccccc1-n1cccn1 ZINC000177193221 337154642 /nfs/dbraw/zinc/15/46/42/337154642.db2.gz FMXPFWZWOKFJIN-KXBFYZLASA-N 0 3 303.409 4.210 20 0 DIADHN COc1cc(CN[C@H]2CCSc3c(F)cccc32)ccc1C ZINC000177987170 337159479 /nfs/dbraw/zinc/15/94/79/337159479.db2.gz GVHNVXRTSZRFIB-INIZCTEOSA-N 0 3 317.429 4.469 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000178050235 337160051 /nfs/dbraw/zinc/16/00/51/337160051.db2.gz AFVWFFDGWIHVML-DYVFJYSZSA-N 0 3 315.388 4.399 20 0 DIADHN Clc1ccc([C@@H](NC2CCOCC2)c2cccs2)cc1 ZINC000177898531 337161000 /nfs/dbraw/zinc/16/10/00/337161000.db2.gz IRADTKPOTDXJSH-MRXNPFEDSA-N 0 3 307.846 4.260 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCOc2cccc(Cl)c2)s1 ZINC000177886772 337161021 /nfs/dbraw/zinc/16/10/21/337161021.db2.gz WPXWRBUYBAMUMJ-JTQLQIEISA-N 0 3 310.850 4.143 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NCC1CCOCC1 ZINC000178278736 337162027 /nfs/dbraw/zinc/16/20/27/337162027.db2.gz UITMGBOAYYPIQR-NSHDSACASA-N 0 3 320.285 4.449 20 0 DIADHN CCOCCCCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000178241414 337161588 /nfs/dbraw/zinc/16/15/88/337161588.db2.gz IPKKMSKIPIGGRC-CQSZACIVSA-N 0 3 304.459 4.277 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCCC1)c1ccsc1 ZINC000178162325 337162705 /nfs/dbraw/zinc/16/27/05/337162705.db2.gz OWBJKVNSPJOZPB-GOEBONIOSA-N 0 3 304.459 4.219 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1sc(C)nc1C ZINC000178438667 337162795 /nfs/dbraw/zinc/16/27/95/337162795.db2.gz BQMJBGCCLDIAPT-NHYWBVRUSA-N 0 3 302.443 4.107 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000178431731 337164801 /nfs/dbraw/zinc/16/48/01/337164801.db2.gz GCENKHBNVPIGTK-OKHZJLECSA-N 0 3 319.445 4.283 20 0 DIADHN COCc1cccc(CN2CCC[C@@H]2CC(=O)c2ccccc2)c1 ZINC000179238764 337168380 /nfs/dbraw/zinc/16/83/80/337168380.db2.gz PDPZSFMHHQRCJN-HXUWFJFHSA-N 0 3 323.436 4.070 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCCc1scnc1C ZINC000179754454 337171668 /nfs/dbraw/zinc/17/16/68/337171668.db2.gz ADZNNKSOPSSGNN-HNNXBMFYSA-N 0 3 304.459 4.134 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000582802041 337175501 /nfs/dbraw/zinc/17/55/01/337175501.db2.gz VKJMBSVOESTNMD-NUEKZKHPSA-N 0 3 308.491 4.395 20 0 DIADHN CC[C@@H](N[C@@H](CCCO)c1ccccc1)c1ccccc1F ZINC000180613785 337176713 /nfs/dbraw/zinc/17/67/13/337176713.db2.gz UGMFWNQAAHHCIJ-MOPGFXCFSA-N 0 3 301.405 4.380 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000180669222 337177076 /nfs/dbraw/zinc/17/70/76/337177076.db2.gz WIDLDZIYINWXHC-LPHOPBHVSA-N 0 3 311.425 4.340 20 0 DIADHN Oc1cccc(CN2CC=C(c3c[nH]c4ccccc34)CC2)c1 ZINC000180658817 337177137 /nfs/dbraw/zinc/17/71/37/337177137.db2.gz TYYLIDLQOCHWFZ-UHFFFAOYSA-N 0 3 304.393 4.163 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1ccc(C)s1)c1ccccc1 ZINC000180671025 337177150 /nfs/dbraw/zinc/17/71/50/337177150.db2.gz DOYGWKOKGMFPBA-GOEBONIOSA-N 0 3 317.454 4.402 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1ccccc1C)c1ccccc1 ZINC000180673157 337177164 /nfs/dbraw/zinc/17/71/64/337177164.db2.gz JUOMBQSQHLQYGT-APWZRJJASA-N 0 3 311.425 4.340 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1sccc1C)c1ccccc1 ZINC000180673579 337177309 /nfs/dbraw/zinc/17/73/09/337177309.db2.gz JBLPTTZYWNWVGO-ZBFHGGJFSA-N 0 3 317.454 4.402 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@H]1CCCSC1 ZINC000582807567 337181991 /nfs/dbraw/zinc/18/19/91/337181991.db2.gz IERGQFAWKQTCCS-SNVBAGLBSA-N 0 3 320.285 4.235 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000181458974 337182278 /nfs/dbraw/zinc/18/22/78/337182278.db2.gz OBFKVSZTOBTAJA-HZPDHXFCSA-N 0 3 316.493 4.185 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000181458934 337182289 /nfs/dbraw/zinc/18/22/89/337182289.db2.gz OBFKVSZTOBTAJA-CVEARBPZSA-N 0 3 316.493 4.185 20 0 DIADHN CC[C@H](NCc1cncc(C)c1)c1ccc(OC(F)F)cc1 ZINC000181837988 337184997 /nfs/dbraw/zinc/18/49/97/337184997.db2.gz ZIYVZKUBTCGHIH-INIZCTEOSA-N 0 3 306.356 4.232 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCCCC(=O)OC(C)(C)C)n1 ZINC000182029230 337185770 /nfs/dbraw/zinc/18/57/70/337185770.db2.gz WOJKJXZUUKWIMK-QGZVFWFLSA-N 0 3 318.461 4.039 20 0 DIADHN CCc1cnccc1[C@@H](C)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000467196801 337187461 /nfs/dbraw/zinc/18/74/61/337187461.db2.gz RHNJAJRNEUEDLO-WBVHZDCISA-N 0 3 302.462 4.177 20 0 DIADHN CSC[C@H]1CCCN(CCc2c(F)cccc2Cl)C1 ZINC000429287346 337191558 /nfs/dbraw/zinc/19/15/58/337191558.db2.gz TURLKBQYMQQDIV-LBPRGKRZSA-N 0 3 301.858 4.097 20 0 DIADHN CCC(CC)N(CCOC)Cc1sc(C)nc1C(F)(F)F ZINC000183579453 337192007 /nfs/dbraw/zinc/19/20/07/337192007.db2.gz NAFMHRRAFMOMOD-UHFFFAOYSA-N 0 3 324.412 4.107 20 0 DIADHN C[C@H](NCCC[C@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000183999099 337194497 /nfs/dbraw/zinc/19/44/97/337194497.db2.gz NAZDMWWFOXUWOD-UONOGXRCSA-N 0 3 308.491 4.022 20 0 DIADHN OCCN(Cc1ccc(C(F)F)cc1)[C@@H]1CCc2ccccc21 ZINC000183955992 337195800 /nfs/dbraw/zinc/19/58/00/337195800.db2.gz HOIOLMWVCHWFQH-GOSISDBHSA-N 0 3 317.379 4.106 20 0 DIADHN Cc1nc(CCNCc2cc(Cl)cc(Cl)c2)cs1 ZINC000226180814 337196826 /nfs/dbraw/zinc/19/68/26/337196826.db2.gz RRCACLFIHKPQGL-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN C[C@@H](CNCc1ccc(Oc2ccccc2)o1)c1nccs1 ZINC000184293032 337197625 /nfs/dbraw/zinc/19/76/25/337197625.db2.gz YWPAJIKKGKHLKQ-ZDUSSCGKSA-N 0 3 314.410 4.422 20 0 DIADHN COc1cccc2cc([C@H](C)NCC3(O)CCCCCC3)oc21 ZINC000321153761 337201612 /nfs/dbraw/zinc/20/16/12/337201612.db2.gz GKLIKTYKBFFBAC-AWEZNQCLSA-N 0 3 317.429 4.177 20 0 DIADHN Cc1cc(Cl)cc(CNC[C@H](c2cccs2)N(C)C)c1 ZINC000395172184 337209052 /nfs/dbraw/zinc/20/90/52/337209052.db2.gz AQMXGPGQAWYUQJ-OAHLLOKOSA-N 0 3 308.878 4.102 20 0 DIADHN COCCN(C)Cc1cccc(SCc2ccccc2)c1 ZINC000582923698 337218167 /nfs/dbraw/zinc/21/81/67/337218167.db2.gz XVVNYLWONJTOTL-UHFFFAOYSA-N 0 3 301.455 4.057 20 0 DIADHN Brc1ccc(CNCC2CC(c3ccccc3)C2)o1 ZINC000583156004 337247559 /nfs/dbraw/zinc/24/75/59/337247559.db2.gz BZSGIKGKFLMLBZ-UHFFFAOYSA-N 0 3 320.230 4.326 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1cnc([C@H]2CCCO2)s1 ZINC000583170692 337251091 /nfs/dbraw/zinc/25/10/91/337251091.db2.gz IUAMJDLBXNPLIJ-VNQPRFMTSA-N 0 3 308.491 4.395 20 0 DIADHN Cc1ccc(OC2CCN(CCCc3cnccc3C)CC2)cc1 ZINC000583171883 337251908 /nfs/dbraw/zinc/25/19/08/337251908.db2.gz JRBGERJLLPTPIL-UHFFFAOYSA-N 0 3 324.468 4.174 20 0 DIADHN CCSc1cccc(CN2CC[C@H](C)[C@H](n3ccnc3)C2)c1 ZINC000186267749 337255578 /nfs/dbraw/zinc/25/55/78/337255578.db2.gz HATRBAMPRWNJTE-MAUKXSAKSA-N 0 3 315.486 4.078 20 0 DIADHN Cc1cnn(CCN[C@@H](c2ccccc2)c2cc(C)ccc2C)c1 ZINC000392497096 337258233 /nfs/dbraw/zinc/25/82/33/337258233.db2.gz IWKFCGWNPYRMOQ-NRFANRHFSA-N 0 3 319.452 4.188 20 0 DIADHN c1ccc([C@H]2CSCCN2C[C@@H]2CCC3(CCCC3)O2)cc1 ZINC000530358169 337263415 /nfs/dbraw/zinc/26/34/15/337263415.db2.gz IFMDSOPYWZQHEV-ZWKOTPCHSA-N 0 3 317.498 4.268 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cccc3c2OC(C)(C)C3)C1 ZINC000530359022 337265094 /nfs/dbraw/zinc/26/50/94/337265094.db2.gz HPASARZRKCEYON-HNAYVOBHSA-N 0 3 322.452 4.378 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)c(C)n1 ZINC000187414621 337265789 /nfs/dbraw/zinc/26/57/89/337265789.db2.gz SQVBIMGKBHHLQI-LBPRGKRZSA-N 0 3 306.356 4.151 20 0 DIADHN C[C@@H]1CCCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000187964806 337269153 /nfs/dbraw/zinc/26/91/53/337269153.db2.gz IFZFTEUYSOTEIJ-GFCCVEGCSA-N 0 3 305.809 4.012 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H](C)C1)c1cc(F)c(Cl)cc1Cl ZINC000300414835 337271119 /nfs/dbraw/zinc/27/11/19/337271119.db2.gz PMOPQNGGVPDRRK-BBBLOLIVSA-N 0 3 306.208 4.351 20 0 DIADHN Cc1cc2ncc([C@@H](C)NCc3ccc(C4CC4)cc3)c(C)n2n1 ZINC000188735105 337274617 /nfs/dbraw/zinc/27/46/17/337274617.db2.gz SSVMXMCCOQCSGM-CQSZACIVSA-N 0 3 320.440 4.074 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1ccc(Cl)c2cccnc12 ZINC000583232038 337281203 /nfs/dbraw/zinc/28/12/03/337281203.db2.gz QGOXAQLLXGFHDY-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Cc1ccccc1C[C@H](C)N[C@@H](C)c1nc2ccccc2n1C ZINC000321664347 337282259 /nfs/dbraw/zinc/28/22/59/337282259.db2.gz SQXUAHRICRVQDY-HOTGVXAUSA-N 0 3 307.441 4.164 20 0 DIADHN Cc1ccc2c(c1)[C@H](Nc1cccc(OCCN(C)C)c1)CC2 ZINC000321710243 337284664 /nfs/dbraw/zinc/28/46/64/337284664.db2.gz CYOBUIVVMUXLJE-HXUWFJFHSA-N 0 3 310.441 4.035 20 0 DIADHN Cc1ccc2c(c1)[C@@H](Nc1cccc(OCCN(C)C)c1)CC2 ZINC000321710237 337284671 /nfs/dbraw/zinc/28/46/71/337284671.db2.gz CYOBUIVVMUXLJE-FQEVSTJZSA-N 0 3 310.441 4.035 20 0 DIADHN CC[C@H](NCCCn1ccc2ccccc21)c1ccc(F)cn1 ZINC000321708793 337284695 /nfs/dbraw/zinc/28/46/95/337284695.db2.gz XJUVXKJEHHYVJJ-KRWDZBQOSA-N 0 3 311.404 4.306 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H]4CCCC[C@@H]43)nn2)cc1 ZINC000498956633 337286557 /nfs/dbraw/zinc/28/65/57/337286557.db2.gz OZJNOTWUOAHHRU-LPHOPBHVSA-N 0 3 323.440 4.424 20 0 DIADHN C[C@H](N[C@H]1CS[C@H](C)C1)c1sccc1Br ZINC000397803423 337286913 /nfs/dbraw/zinc/28/69/13/337286913.db2.gz MIQFTJLHNXMEOT-HRDYMLBCSA-N 0 3 306.294 4.055 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000191348012 337296119 /nfs/dbraw/zinc/29/61/19/337296119.db2.gz UASRPGAZFXTXPZ-YOEHRIQHSA-N 0 3 316.493 4.185 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CNCc2ccc(C3CC3)cc2)cc1 ZINC000191681470 337298760 /nfs/dbraw/zinc/29/87/60/337298760.db2.gz FNXLSLULXRVQRV-UHFFFAOYSA-N 0 3 321.399 4.383 20 0 DIADHN CC1CCC(N(CC(=O)Nc2ccccc2Cl)C2CC2)CC1 ZINC000532920131 337305714 /nfs/dbraw/zinc/30/57/14/337305714.db2.gz VQHAGZANEBNZFA-UHFFFAOYSA-N 0 3 320.864 4.322 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4ncccc4c3)ccc2n1 ZINC000192982961 337308785 /nfs/dbraw/zinc/30/87/85/337308785.db2.gz YBCBEUXAMNDUJB-UHFFFAOYSA-N 0 3 313.404 4.381 20 0 DIADHN C[C@@H](CN[C@H]1CCCc2occc21)c1nc(-c2ccccc2)no1 ZINC000193056468 337309044 /nfs/dbraw/zinc/30/90/44/337309044.db2.gz OBXRTMAGIDFRNC-BBRMVZONSA-N 0 3 323.396 4.100 20 0 DIADHN CC[C@@H](O)CCCN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000398599885 337311869 /nfs/dbraw/zinc/31/18/69/337311869.db2.gz KMEKXIGKMVCZPR-NXEZZACHSA-N 0 3 308.224 4.334 20 0 DIADHN CC[C@@H](CN[C@H](C)c1sc(-c2ccccc2)nc1C)OC ZINC000584415558 337322278 /nfs/dbraw/zinc/32/22/78/337322278.db2.gz NSEVLOAALOLGJV-DOMZBBRYSA-N 0 3 304.459 4.194 20 0 DIADHN CC[C@H](CN[C@@H](C)c1sc(-c2ccccc2)nc1C)OC ZINC000584415560 337322305 /nfs/dbraw/zinc/32/23/05/337322305.db2.gz NSEVLOAALOLGJV-SWLSCSKDSA-N 0 3 304.459 4.194 20 0 DIADHN CC(C)COCCCN[C@@H](C)c1sccc1Br ZINC000037234376 337362159 /nfs/dbraw/zinc/36/21/59/337362159.db2.gz ZWAKHTZVIIJPMU-NSHDSACASA-N 0 3 320.296 4.224 20 0 DIADHN CCC[C@H](NC[C@@H]1CSc2ccccc2O1)c1cccnc1 ZINC000584590258 337368567 /nfs/dbraw/zinc/36/85/67/337368567.db2.gz SVCWNQYVOHXVHZ-CVEARBPZSA-N 0 3 314.454 4.066 20 0 DIADHN COc1ccc([C@H](NCc2ccc3cnn(C)c3c2)C(C)C)cc1 ZINC000583905756 337384014 /nfs/dbraw/zinc/38/40/14/337384014.db2.gz KIHZITKZXBLPRI-HXUWFJFHSA-N 0 3 323.440 4.069 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N(C)[C@@H](C)c1cc2ccccc2o1 ZINC000532923458 337385312 /nfs/dbraw/zinc/38/53/12/337385312.db2.gz WDBVXFIQRZRNBM-GJZGRUSLSA-N 0 3 316.445 4.073 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC(n2ccnc2)CC1 ZINC000111243609 337389540 /nfs/dbraw/zinc/38/95/40/337389540.db2.gz IOLYETRJGIVZFM-ZDUSSCGKSA-N 0 3 323.362 4.300 20 0 DIADHN COc1ccc([C@@H](C)NCCc2cccc3cccnc32)c(F)c1 ZINC000325349059 337391828 /nfs/dbraw/zinc/39/18/28/337391828.db2.gz HHIHYXFFGPCISN-CQSZACIVSA-N 0 3 324.399 4.276 20 0 DIADHN Cc1oc2ccccc2c1CNC[C@H](c1ccco1)N1CCCC1 ZINC000325354197 337391978 /nfs/dbraw/zinc/39/19/78/337391978.db2.gz LPDXNQBOYHLGJM-GOSISDBHSA-N 0 3 324.424 4.261 20 0 DIADHN OCCN(Cc1cnc(C2CCCCC2)s1)C1CCCCC1 ZINC000532934528 337393116 /nfs/dbraw/zinc/39/31/16/337393116.db2.gz RGMMTEJUNNGNAN-UHFFFAOYSA-N 0 3 322.518 4.318 20 0 DIADHN C[C@@H](N[C@H](C)C1CCOCC1)c1nc(-c2ccccc2)cs1 ZINC000126247292 337415950 /nfs/dbraw/zinc/41/59/50/337415950.db2.gz PWDVKEBIQBLAMX-ZIAGYGMSSA-N 0 3 316.470 4.276 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CCCc3sccc32)cn1 ZINC000126860974 337418008 /nfs/dbraw/zinc/41/80/08/337418008.db2.gz MGRSWMYYOWWBFE-MRXNPFEDSA-N 0 3 315.486 4.157 20 0 DIADHN C[C@@H](NCc1cccc(-c2ccccn2)c1)c1cccc(O)c1 ZINC000127703128 337422127 /nfs/dbraw/zinc/42/21/27/337422127.db2.gz JRWHJCPLHFASCH-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN CCc1cnc(CCN[C@H]2CCSc3ccc(F)cc32)s1 ZINC000130191840 337432359 /nfs/dbraw/zinc/43/23/59/337432359.db2.gz MMXKWVZGKLFXGB-AWEZNQCLSA-N 0 3 322.474 4.214 20 0 DIADHN CCc1cnc(CCN[C@H]2CCCOc3cc(C)ccc32)s1 ZINC000130230784 337432502 /nfs/dbraw/zinc/43/25/02/337432502.db2.gz NEGCAJMRLYZMEH-INIZCTEOSA-N 0 3 316.470 4.060 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCCc1cscn1)CCCO2 ZINC000131746985 337438877 /nfs/dbraw/zinc/43/88/77/337438877.db2.gz GBBVIVNTNJOCLW-HNNXBMFYSA-N 0 3 322.861 4.151 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc2c(s1)CCC2)c1c(C)nn(C)c1C ZINC000133763637 337446511 /nfs/dbraw/zinc/44/65/11/337446511.db2.gz MLVGGRMSGREWOZ-XHDPSFHLSA-N 0 3 317.502 4.389 20 0 DIADHN Clc1ccc(Br)c(CNC2(C3CC3)CC2)c1 ZINC000309522359 337451120 /nfs/dbraw/zinc/45/11/20/337451120.db2.gz BRFVWBTZOQTHGG-UHFFFAOYSA-N 0 3 300.627 4.135 20 0 DIADHN CC[C@@H](NCCn1c(C)nc2ccccc21)c1ccccc1F ZINC000322080635 337462713 /nfs/dbraw/zinc/46/27/13/337462713.db2.gz YDJWLTFZFZRUSZ-QGZVFWFLSA-N 0 3 311.404 4.225 20 0 DIADHN C[C@@H](CNCc1nnc(-c2ccccc2)s1)c1ccccc1 ZINC000194511490 337463136 /nfs/dbraw/zinc/46/31/36/337463136.db2.gz MJMRYLQYWWCUDK-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN Cc1nc2ccccc2n1CCCNCc1ccc([C@H]2C[C@H]2C)o1 ZINC000237368888 337468521 /nfs/dbraw/zinc/46/85/21/337468521.db2.gz ADZKTINFZFRZOQ-PBHICJAKSA-N 0 3 323.440 4.241 20 0 DIADHN CC[C@H](NCc1nnc(-c2cccc(Cl)c2)o1)[C@@H]1CC1(C)C ZINC000419325965 337479471 /nfs/dbraw/zinc/47/94/71/337479471.db2.gz XTGSUVREVCDRAS-KBPBESRZSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)c1ccc(C2CC2)cc1 ZINC000419355521 337480867 /nfs/dbraw/zinc/48/08/67/337480867.db2.gz NHNLLEHDYBLMDB-MRXNPFEDSA-N 0 3 322.452 4.470 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2ccc(C3CC3)cc2)c1 ZINC000419356445 337480869 /nfs/dbraw/zinc/48/08/69/337480869.db2.gz NZWDBDBICNPZOI-CQSZACIVSA-N 0 3 308.425 4.373 20 0 DIADHN Brc1ccc2[nH]c(CNCc3ccccc3)cc2c1 ZINC000183818853 337481473 /nfs/dbraw/zinc/48/14/73/337481473.db2.gz FWTXKYCWMJCEBS-UHFFFAOYSA-N 0 3 315.214 4.220 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(OC)(C(F)(F)F)CC1 ZINC000419329191 337481610 /nfs/dbraw/zinc/48/16/10/337481610.db2.gz OUGKJWGPTXVICL-AWEZNQCLSA-N 0 3 319.342 4.320 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000246012135 337501502 /nfs/dbraw/zinc/50/15/02/337501502.db2.gz KLRNGZOKRQJROJ-JECHBYEQSA-N 0 3 320.795 4.055 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)F)c1ccccc1)Cc1ccccc1 ZINC000420989293 337517837 /nfs/dbraw/zinc/51/78/37/337517837.db2.gz BXYXFQWSNKEWNS-QZTJIDSGSA-N 0 3 319.395 4.230 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(Br)cc2)cc(C)n1 ZINC000421202455 337523670 /nfs/dbraw/zinc/52/36/70/337523670.db2.gz OQJVFJRSYLVUPA-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)cc(C)n1 ZINC000421201581 337523681 /nfs/dbraw/zinc/52/36/81/337523681.db2.gz NIXMGNZEJYZWAQ-CYBMUJFWSA-N 0 3 324.346 4.448 20 0 DIADHN CC[C@H](NC1CCN(OC)CC1)c1ccc(Cl)cc1Cl ZINC000421210215 337523860 /nfs/dbraw/zinc/52/38/60/337523860.db2.gz POTUSQCISIJNRF-HNNXBMFYSA-N 0 3 317.260 4.060 20 0 DIADHN c1cc(CNCc2ccnc(N3CCCCC3)c2)cc(C2CC2)c1 ZINC000421338882 337527269 /nfs/dbraw/zinc/52/72/69/337527269.db2.gz DYZZJXGXKGQQSR-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN C[C@@H](NCc1cccc(C2CC2)c1)c1ccc([S@](C)=O)cc1 ZINC000421342437 337527528 /nfs/dbraw/zinc/52/75/28/337527528.db2.gz NLHGZDVHRUYDJM-PEBXRYMYSA-N 0 3 313.466 4.152 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H](CC(F)F)c1ccccc1 ZINC000421374035 337528369 /nfs/dbraw/zinc/52/83/69/337528369.db2.gz OPWWQUHYINEFPX-ZWKOTPCHSA-N 0 3 319.395 4.230 20 0 DIADHN COc1cccc2c(CNCc3cc(C)nc(C)c3)cccc12 ZINC000421384115 337529625 /nfs/dbraw/zinc/52/96/25/337529625.db2.gz NZMOSLPRVWYTRM-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN Fc1ccc(CN[C@@H]2CCOC3(CCC3)C2)c(Cl)c1Cl ZINC000432250353 337538824 /nfs/dbraw/zinc/53/88/24/337538824.db2.gz IQRTUIJYLOCNHR-LLVKDONJSA-N 0 3 318.219 4.324 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@@H]3OCC[C@H]32)c(Cl)c1Cl ZINC000432252212 337540939 /nfs/dbraw/zinc/54/09/39/337540939.db2.gz FAJZUNFHCKMDGK-UHTWSYAYSA-N 0 3 318.219 4.180 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCOc3c(F)cccc31)[C@@H](C)C2 ZINC000525961731 337574646 /nfs/dbraw/zinc/57/46/46/337574646.db2.gz GXSGJMDEXDCADT-FASAQXTFSA-N 0 3 311.400 4.481 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)OC1(C)C)c1cc(F)c(F)c(F)c1 ZINC000542776577 337582312 /nfs/dbraw/zinc/58/23/12/337582312.db2.gz ZIVWSEWKUVCDSK-TVQRCGJNSA-N 0 3 301.352 4.101 20 0 DIADHN CC(C)(C)c1ncc(CNC2(c3ccccc3)CCCC2)cn1 ZINC000572106559 337591045 /nfs/dbraw/zinc/59/10/45/337591045.db2.gz QVJWTOQITZVKLY-UHFFFAOYSA-N 0 3 309.457 4.333 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2ccc(F)cc2OC(F)F)cn1 ZINC000161634518 337592436 /nfs/dbraw/zinc/59/24/36/337592436.db2.gz AUSPRUZJJNSXHI-LBPRGKRZSA-N 0 3 324.346 4.024 20 0 DIADHN COc1cccc(CNC[C@@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000572170931 337594832 /nfs/dbraw/zinc/59/48/32/337594832.db2.gz PBWMEBDAUJUQTB-MRXNPFEDSA-N 0 3 323.358 4.206 20 0 DIADHN C[C@H](NCCOc1ccccc1Cl)c1ccc2c(c1)COC2 ZINC000536825329 337604200 /nfs/dbraw/zinc/60/42/00/337604200.db2.gz GFNHFTYNCXPTRI-ZDUSSCGKSA-N 0 3 317.816 4.100 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1ccc2c(c1)COC2 ZINC000536826609 337604268 /nfs/dbraw/zinc/60/42/68/337604268.db2.gz KZFRSUPHLXRWRT-NYSBEXSLSA-N 0 3 323.436 4.145 20 0 DIADHN CC(C)c1ccc([C@@H](NCc2cnc(N)s2)C(C)C)cc1 ZINC000261750891 337609718 /nfs/dbraw/zinc/60/97/18/337609718.db2.gz MRBSWCGYPZURML-INIZCTEOSA-N 0 3 303.475 4.336 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccnc(N2CCCCC2)c1 ZINC000162394286 337618558 /nfs/dbraw/zinc/61/85/58/337618558.db2.gz ZFVMTEGVMSQGCD-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1ccnc(N2CCCCC2)c1 ZINC000162443730 337625399 /nfs/dbraw/zinc/62/53/99/337625399.db2.gz RMQANPUPWHOVKF-KRWDZBQOSA-N 0 3 309.457 4.231 20 0 DIADHN CCN(C(=O)[C@@H](C)[C@H](N)c1ccccc1)c1cccc(Cl)c1 ZINC000429676124 337627231 /nfs/dbraw/zinc/62/72/31/337627231.db2.gz PWBCAWIWRXIJDE-GUYCJALGSA-N 0 3 316.832 4.029 20 0 DIADHN FC(F)(F)c1ccc(Br)c(CNC2CCC2)c1 ZINC000572412949 337634493 /nfs/dbraw/zinc/63/44/93/337634493.db2.gz HBMFGTULLLZXLS-UHFFFAOYSA-N 0 3 308.141 4.110 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(O)cc1)c1ccncc1Cl ZINC000421824807 337646427 /nfs/dbraw/zinc/64/64/27/337646427.db2.gz KKKPXTLWLCEIRQ-QWHCGFSZSA-N 0 3 304.821 4.113 20 0 DIADHN CCOCCC1(CN[C@@H](C)c2ccc(Cl)cc2OC)CC1 ZINC000421830749 337647485 /nfs/dbraw/zinc/64/74/85/337647485.db2.gz CQZRPQPYWOSKKX-ZDUSSCGKSA-N 0 3 311.853 4.206 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCCC3(O)CCC3)oc21 ZINC000421852944 337649900 /nfs/dbraw/zinc/64/99/00/337649900.db2.gz IGPQRUQJVPLAQS-AWEZNQCLSA-N 0 3 317.429 4.177 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccncc2Cl)C12CCCC2 ZINC000421836469 337652290 /nfs/dbraw/zinc/65/22/90/337652290.db2.gz RCCHQZADPDWPRI-VBNZEHGJSA-N 0 3 308.853 4.123 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@H](C)c2ccncc2Cl)c1 ZINC000421839541 337652889 /nfs/dbraw/zinc/65/28/89/337652889.db2.gz XKMQIUFWDJECPG-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1ccncc1Cl ZINC000421848444 337654770 /nfs/dbraw/zinc/65/47/70/337654770.db2.gz AKPNNKAXDRCFME-AVGNSLFASA-N 0 3 304.796 4.471 20 0 DIADHN COc1ccc([C@H](NCC2(C(F)F)CC2)C(C)C)c(F)c1 ZINC000421849609 337654854 /nfs/dbraw/zinc/65/48/54/337654854.db2.gz CFCJCFRHBWUKSP-CQSZACIVSA-N 0 3 301.352 4.166 20 0 DIADHN CSc1ccc(CCN[C@@H](C)c2ccncc2Cl)cc1 ZINC000421864690 337656537 /nfs/dbraw/zinc/65/65/37/337656537.db2.gz ZWWZDTWSECPMDI-LBPRGKRZSA-N 0 3 306.862 4.350 20 0 DIADHN CSc1ccc(CCN[C@H](C)c2ccncc2Cl)cc1 ZINC000421864689 337656581 /nfs/dbraw/zinc/65/65/81/337656581.db2.gz ZWWZDTWSECPMDI-GFCCVEGCSA-N 0 3 306.862 4.350 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc3c(s2)CCCC3)cc(C)n1 ZINC000421877660 337658171 /nfs/dbraw/zinc/65/81/71/337658171.db2.gz LDGAAACYNMDMJK-AWEZNQCLSA-N 0 3 300.471 4.490 20 0 DIADHN Cc1csc(CNC[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000191156504 337672373 /nfs/dbraw/zinc/67/23/73/337672373.db2.gz FWXVXMOUBRUMQK-JTQLQIEISA-N 0 3 314.376 4.364 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)Nc1ccccc1CNC ZINC000422739060 337684718 /nfs/dbraw/zinc/68/47/18/337684718.db2.gz KIYTZAYAFNKXOG-INIZCTEOSA-N 0 3 302.462 4.341 20 0 DIADHN CC1(CN[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)CCC1 ZINC000433189080 337711734 /nfs/dbraw/zinc/71/17/34/337711734.db2.gz MYXUQEUOFIHZQY-ZDUSSCGKSA-N 0 3 321.770 4.172 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1cccc(F)c1F ZINC000423338890 337712825 /nfs/dbraw/zinc/71/28/25/337712825.db2.gz AZYOSDMEMHREKE-PIGZYNQJSA-N 0 3 302.368 4.275 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCc3cc(N)ccc31)CCC2 ZINC000423340709 337712833 /nfs/dbraw/zinc/71/28/33/337712833.db2.gz CBWJDCVHRYSAQR-LEWJYISDSA-N 0 3 322.452 4.322 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@H]1CSCCc2ccccc21 ZINC000423342808 337713107 /nfs/dbraw/zinc/71/31/07/337713107.db2.gz DGOLCVVWQFFLFA-UXHICEINSA-N 0 3 324.493 4.266 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2N[C@H]1CCCc2cc(N)ccc21 ZINC000423352034 337713696 /nfs/dbraw/zinc/71/36/96/337713696.db2.gz JKJLFXKOYPIYNA-PMACEKPBSA-N 0 3 322.452 4.458 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000423353478 337713840 /nfs/dbraw/zinc/71/38/40/337713840.db2.gz LTOCRAHXZPBYSD-BTDLBPIBSA-N 0 3 320.358 4.414 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc3c2CCCN3)cc1 ZINC000423420489 337716759 /nfs/dbraw/zinc/71/67/59/337716759.db2.gz XFQVFMTUYPSOFY-HNNXBMFYSA-N 0 3 310.441 4.294 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCOc3cc(Cl)ccc32)c1 ZINC000423478865 337721905 /nfs/dbraw/zinc/72/19/05/337721905.db2.gz NCZZOEDUFBCXLV-KRWDZBQOSA-N 0 3 316.832 4.234 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NC(=O)C[C@@H](N)c1ccccc1)CC2 ZINC000423890737 337729230 /nfs/dbraw/zinc/72/92/30/337729230.db2.gz LJSWSZKUIYLGBQ-WOJBJXKFSA-N 0 3 322.452 4.004 20 0 DIADHN CC(F)(F)c1cccc(NC(=O)[C@@H](N)CC2CCCCC2)c1 ZINC000423907767 337729563 /nfs/dbraw/zinc/72/95/63/337729563.db2.gz BPNJUXGJIPHZRK-HNNXBMFYSA-N 0 3 310.388 4.035 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccnc(SC)c2)cc1 ZINC000536827775 337733279 /nfs/dbraw/zinc/73/32/79/337733279.db2.gz RHYHJQBPKOPNRZ-LBPRGKRZSA-N 0 3 304.484 4.376 20 0 DIADHN C[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000536836856 337735408 /nfs/dbraw/zinc/73/54/08/337735408.db2.gz CLBMEMXJVWUCNN-SJCJKPOMSA-N 0 3 318.367 4.485 20 0 DIADHN C[C@H](NCc1ccc(Br)cc1)c1cccc(O)c1 ZINC000035230480 337742397 /nfs/dbraw/zinc/74/23/97/337742397.db2.gz URACDYXVFLLZGY-NSHDSACASA-N 0 3 306.203 4.006 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1ccc(Cl)cc1Cl ZINC000536843842 337743364 /nfs/dbraw/zinc/74/33/64/337743364.db2.gz DYCRUIUUHMNJFV-LLVKDONJSA-N 0 3 302.245 4.197 20 0 DIADHN Cc1cccc(C[C@H](NCc2ccn(C3CCCC3)n2)C2CC2)c1 ZINC000536848326 337744303 /nfs/dbraw/zinc/74/43/03/337744303.db2.gz VMZZUXLOTSXBOT-NRFANRHFSA-N 0 3 323.484 4.418 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1nnc(C(C)C)s1 ZINC000536849424 337745051 /nfs/dbraw/zinc/74/50/51/337745051.db2.gz BBNDDBOOZRSQOC-HNNXBMFYSA-N 0 3 303.475 4.163 20 0 DIADHN CCOC[C@H](C)N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000536851059 337745338 /nfs/dbraw/zinc/74/53/38/337745338.db2.gz IIPXOSFTNOLLDD-KBXCAEBGSA-N 0 3 303.833 4.444 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1F)c1ccc2ccccc2n1 ZINC000536862202 337746881 /nfs/dbraw/zinc/74/68/81/337746881.db2.gz GKQRPQDSHQWHIR-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN FC1(CN[C@@H]2CCCN(c3ccc(Cl)cc3Cl)C2)CC1 ZINC000527528751 337749256 /nfs/dbraw/zinc/74/92/56/337749256.db2.gz VFRLDZOLFGEHHQ-GFCCVEGCSA-N 0 3 317.235 4.054 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)F)cc1)Oc1cccc(F)c1 ZINC000527529089 337749308 /nfs/dbraw/zinc/74/93/08/337749308.db2.gz JWSZSCIHTZHREE-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN Cc1cc(CN2CCC(c3nc4cccc(C)c4o3)CC2)ccn1 ZINC000527537267 337751291 /nfs/dbraw/zinc/75/12/91/337751291.db2.gz ALYCDIAMUBVIIV-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN C[C@H](NCc1nccn1CCCc1ccccc1)[C@@H]1C[C@H]1C1CC1 ZINC000527669369 337754573 /nfs/dbraw/zinc/75/45/73/337754573.db2.gz FWNLJNGXGOSXSW-VDGAXYAQSA-N 0 3 323.484 4.040 20 0 DIADHN c1cc2ccc(CN[C@H](C[C@@H]3CCOC3)c3ccccc3)cc2[nH]1 ZINC000527682216 337755545 /nfs/dbraw/zinc/75/55/45/337755545.db2.gz BRBJVVIJZAJSJM-LAUBAEHRSA-N 0 3 320.436 4.425 20 0 DIADHN CCc1cccc2c(CCNCc3ccncc3Cl)c[nH]c21 ZINC000527658133 337756639 /nfs/dbraw/zinc/75/66/39/337756639.db2.gz QZDNMWLNVAGUFX-UHFFFAOYSA-N 0 3 313.832 4.111 20 0 DIADHN CC[C@@H](NCc1cc(OC)cc(C(F)(F)F)c1)c1ccncc1 ZINC000527665158 337757006 /nfs/dbraw/zinc/75/70/06/337757006.db2.gz LUIIKPRUWFJJGX-MRXNPFEDSA-N 0 3 324.346 4.350 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000527701859 337757544 /nfs/dbraw/zinc/75/75/44/337757544.db2.gz SIPKNXNMRVBGHT-UUTMEEIUSA-N 0 3 304.381 4.247 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@@H](C)Oc1ccccc1Cl ZINC000536872926 337757800 /nfs/dbraw/zinc/75/78/00/337757800.db2.gz YQBQPIRBLAQJHD-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN Clc1ccc2c(c1)C[C@@H]1[C@H](NCc3cnc(C4CC4)s3)[C@H]21 ZINC000527750104 337761412 /nfs/dbraw/zinc/76/14/12/337761412.db2.gz UMLJAUITLFHHBP-XHSDSOJGSA-N 0 3 316.857 4.102 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1cccnc1C ZINC000527793469 337764398 /nfs/dbraw/zinc/76/43/98/337764398.db2.gz IUGPDEPWTSRDKT-RAXLEYEMSA-N 0 3 318.367 4.185 20 0 DIADHN CC[C@@H](NCc1cc(-c2cccnc2)n[nH]1)c1cc(C)ccc1C ZINC000527821209 337766978 /nfs/dbraw/zinc/76/69/78/337766978.db2.gz MLJFYVMMDFXPEP-LJQANCHMSA-N 0 3 320.440 4.329 20 0 DIADHN COc1ccc([C@H](NCc2ccc(Cl)s2)C2CC2)cn1 ZINC000527840840 337767928 /nfs/dbraw/zinc/76/79/28/337767928.db2.gz JROMPPQRVAEWPK-OAHLLOKOSA-N 0 3 308.834 4.046 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C3CC3)cc2)C2CC2)cn1 ZINC000527841394 337767941 /nfs/dbraw/zinc/76/79/41/337767941.db2.gz HOOBMBVMUYWDHY-FQEVSTJZSA-N 0 3 308.425 4.209 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2ccc(C(N)=O)cc2)cc1 ZINC000527845480 337768362 /nfs/dbraw/zinc/76/83/62/337768362.db2.gz JZGPOIQYGGFNBA-GJZGRUSLSA-N 0 3 310.441 4.150 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](c2cccc(C)c2)C(C)C)c1 ZINC000527845529 337768382 /nfs/dbraw/zinc/76/83/82/337768382.db2.gz DNWVZESSHGYICY-HXUWFJFHSA-N 0 3 310.441 4.440 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2ccc(NC(N)=O)cc2)c1 ZINC000527852334 337769154 /nfs/dbraw/zinc/76/91/54/337769154.db2.gz SAUYGZZVZCEUIE-AWEZNQCLSA-N 0 3 311.429 4.151 20 0 DIADHN Brc1cccc(C2CC(NCc3ccco3)C2)c1 ZINC000036840719 337771831 /nfs/dbraw/zinc/77/18/31/337771831.db2.gz MUHWNZVXIWAGGM-UHFFFAOYSA-N 0 3 306.203 4.078 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NCC34CC(C3)CO4)cs2)cs1 ZINC000527982832 337777303 /nfs/dbraw/zinc/77/73/03/337777303.db2.gz OTQXFRMOVCEXBU-WCVRRQIGSA-N 0 3 320.483 4.010 20 0 DIADHN Clc1ccc([C@@H](NCC23CC(C2)CO3)c2ccccc2)cc1 ZINC000527981078 337777371 /nfs/dbraw/zinc/77/73/71/337777371.db2.gz NUVYLKMHTTYANJ-WHDUELSGSA-N 0 3 313.828 4.198 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc3cn(C)nc3c2)cc1 ZINC000527959965 337780102 /nfs/dbraw/zinc/78/01/02/337780102.db2.gz PSIWLAPBYNXLCB-OAHLLOKOSA-N 0 3 323.440 4.213 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](c1ccccn1)C1CCC1)CC2 ZINC000527961919 337780284 /nfs/dbraw/zinc/78/02/84/337780284.db2.gz CDCIPGWFWFMMJP-QUCCMNQESA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccc3cn(C)nc3c2)c2ccccc21 ZINC000527962851 337780429 /nfs/dbraw/zinc/78/04/29/337780429.db2.gz MWGDCKAGZFEBNY-KUHUBIRLSA-N 0 3 305.425 4.302 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](c1ccccn1)C1CCC1)CCC2 ZINC000527962422 337780451 /nfs/dbraw/zinc/78/04/51/337780451.db2.gz DRNXOTFZWSVAAD-DLBZAZTESA-N 0 3 313.470 4.355 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CCC1)c1ccc2c(c1)CCO2 ZINC000527966774 337780994 /nfs/dbraw/zinc/78/09/94/337780994.db2.gz LHRPZQGNLXJWCP-JLTOFOAXSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H](NCC12CC(C1)CO2)c1ccc(Cl)c(Cl)c1Cl ZINC000527976814 337781921 /nfs/dbraw/zinc/78/19/21/337781921.db2.gz GTWGHQRJYHEFBG-JUXJKVCFSA-N 0 3 320.647 4.476 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)N[C@@H](C)c2ncccc2F)cc1 ZINC000528034670 337782257 /nfs/dbraw/zinc/78/22/57/337782257.db2.gz AREKNQBHOVHJJS-IHRRRGAJSA-N 0 3 302.393 4.072 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@@H]1CCN1C1CCCC1 ZINC000528011967 337783479 /nfs/dbraw/zinc/78/34/79/337783479.db2.gz NDFVIAWYPRXEIB-BBRMVZONSA-N 0 3 321.534 4.242 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccc(C)s2)C2CC2)cn1 ZINC000528041352 337785260 /nfs/dbraw/zinc/78/52/60/337785260.db2.gz BIBNBJHLXULNQV-PXAZEXFGSA-N 0 3 302.443 4.262 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cccc(F)c2)C2CC2)cn1 ZINC000528043807 337785582 /nfs/dbraw/zinc/78/55/82/337785582.db2.gz QHKAQMXLFUOXFI-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN CC[C@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1ccsc1 ZINC000528043992 337785761 /nfs/dbraw/zinc/78/57/61/337785761.db2.gz SZSVXDKUNXHOPQ-DOTOQJQBSA-N 0 3 302.443 4.344 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](C)c2nccs2)c(Cl)c1 ZINC000424278991 337814544 /nfs/dbraw/zinc/81/45/44/337814544.db2.gz JTMXALXRDVULKW-QWRGUYRKSA-N 0 3 310.850 4.259 20 0 DIADHN CC(C)CCOCCN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000045094327 337819035 /nfs/dbraw/zinc/81/90/35/337819035.db2.gz AVPRQGJIEPNDTH-HNNXBMFYSA-N 0 3 303.368 4.332 20 0 DIADHN C[C@H](N[C@H]1CC12CC2)c1cc2cc(Br)ccc2o1 ZINC000424329014 337821655 /nfs/dbraw/zinc/82/16/55/337821655.db2.gz RMBXWDVMEITMBA-XPTSAGLGSA-N 0 3 306.203 4.398 20 0 DIADHN FC(F)(F)C1CCC(N[C@H]2C[C@@]2(F)c2ccccc2)CC1 ZINC000424334602 337822578 /nfs/dbraw/zinc/82/25/78/337822578.db2.gz YUTCVRNBEUSKIB-PFSRBDOWSA-N 0 3 301.327 4.334 20 0 DIADHN c1coc([C@@H]2C[C@H]2N[C@@H](Cc2ccncc2)c2cccs2)c1 ZINC000424337433 337822780 /nfs/dbraw/zinc/82/27/80/337822780.db2.gz RTGSXXQFYNMHQH-OAGGEKHMSA-N 0 3 310.422 4.166 20 0 DIADHN COc1ccc([C@@H](C)NCC(C)(C)c2ccccn2)c(Cl)c1 ZINC000424349883 337824542 /nfs/dbraw/zinc/82/45/42/337824542.db2.gz NQXFRBBLGCBELK-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)CC1(C)CCCCC1 ZINC000533120527 337827759 /nfs/dbraw/zinc/82/77/59/337827759.db2.gz HGBXNLLNWKMSDJ-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc(OC(F)F)cc1 ZINC000191659203 337829552 /nfs/dbraw/zinc/82/95/52/337829552.db2.gz AXPPEGPPFWYEID-MRXNPFEDSA-N 0 3 319.351 4.161 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C(=O)Nc1cc(C)cc(CN)c1 ZINC000424430129 337833119 /nfs/dbraw/zinc/83/31/19/337833119.db2.gz ZGXLEUHVMUBYJH-MHWRWJLKSA-N 0 3 323.440 4.021 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC3(CCCCC3)CC2)c1 ZINC000424439827 337833510 /nfs/dbraw/zinc/83/35/10/337833510.db2.gz SKQNVWPXFBSFRO-UHFFFAOYSA-N 0 3 315.461 4.032 20 0 DIADHN C[C@@H](c1nc(-c2c[nH]c3ccccc23)no1)N1[C@H](C)CC[C@H]1C ZINC000445441407 337834307 /nfs/dbraw/zinc/83/43/07/337834307.db2.gz HBCQFSSCOGEPEM-UPJWGTAASA-N 0 3 310.401 4.152 20 0 DIADHN Cc1csc(CNCCc2ccc(Cl)cc2Cl)n1 ZINC000083704625 337842941 /nfs/dbraw/zinc/84/29/41/337842941.db2.gz CCYJNBYKLOAZTN-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN COCC1(C)CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000536887774 337845837 /nfs/dbraw/zinc/84/58/37/337845837.db2.gz XGGKXVAXCUXWJE-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN Cc1cc([C@H](NCc2ccc3c(c2)OCO3)C2CC2)ccc1F ZINC000536949221 337857074 /nfs/dbraw/zinc/85/70/74/337857074.db2.gz STBNRPWSFQXRFL-LJQANCHMSA-N 0 3 313.372 4.104 20 0 DIADHN C[C@H](NC[C@H]1CC=CCC1)c1nc2ccc(Cl)cc2n1C ZINC000536998981 337860508 /nfs/dbraw/zinc/86/05/08/337860508.db2.gz SMSSRTBXQIDJQS-STQMWFEESA-N 0 3 303.837 4.234 20 0 DIADHN Cc1ccsc1CCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000537013590 337865144 /nfs/dbraw/zinc/86/51/44/337865144.db2.gz JUKNHNWGEGGQQY-LBPRGKRZSA-N 0 3 306.500 4.285 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1ccc(OC(F)F)cc1 ZINC000119926377 337866328 /nfs/dbraw/zinc/86/63/28/337866328.db2.gz DZWAFQWEYCCLBF-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN COC[C@H](N[C@@H]1CCCc2cc(OC)ccc21)c1ccccc1 ZINC000089668068 337872331 /nfs/dbraw/zinc/87/23/31/337872331.db2.gz NPJBCMQVABKSOP-UXHICEINSA-N 0 3 311.425 4.050 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1ccc(OC(F)(F)F)cc1 ZINC000120249520 337872482 /nfs/dbraw/zinc/87/24/82/337872482.db2.gz QJEIUNCHARGZBZ-NEPJUHHUSA-N 0 3 310.319 4.392 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](CC)c1cccc(Cl)c1 ZINC000537026983 337873646 /nfs/dbraw/zinc/87/36/46/337873646.db2.gz ABUHINYUKSLUSJ-HEHGZKQESA-N 0 3 310.869 4.074 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCC1)c1ccc(F)cc1F ZINC000120305054 337873764 /nfs/dbraw/zinc/87/37/64/337873764.db2.gz ZNKWDKIHQFBOGF-SUMWQHHRSA-N 0 3 320.383 4.046 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCC1)c1ccc(F)c(F)c1 ZINC000120304452 337873766 /nfs/dbraw/zinc/87/37/66/337873766.db2.gz QSJJXOIJCDYCOQ-SUMWQHHRSA-N 0 3 320.383 4.046 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H]2CCc3ccc(OC)cc32)c1 ZINC000120569035 337877867 /nfs/dbraw/zinc/87/78/67/337877867.db2.gz KOUMPYVZLDIQMG-XOBRGWDASA-N 0 3 311.425 4.432 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H]2CCc3c2cccc3OC)c1 ZINC000120591290 337877957 /nfs/dbraw/zinc/87/79/57/337877957.db2.gz WGNWTPJZGOVGJH-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@H](OC)c2ccc(F)cc2)s1 ZINC000090176501 337878585 /nfs/dbraw/zinc/87/85/85/337878585.db2.gz QJXOUJIRLROBPY-ABAIWWIYSA-N 0 3 322.449 4.191 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(C)cc1C)c1ccc(C)cc1 ZINC000537054214 337884537 /nfs/dbraw/zinc/88/45/37/337884537.db2.gz WKEUPIPRMUYLMQ-GOSISDBHSA-N 0 3 310.441 4.291 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCCc3cc(F)ccc32)cn1 ZINC000090964439 337887683 /nfs/dbraw/zinc/88/76/83/337887683.db2.gz GWDFSOOXLNWLRI-UGSOOPFHSA-N 0 3 301.409 4.331 20 0 DIADHN CC[C@H](CSC)N[C@H](CC)c1ccccc1OC(F)F ZINC000537092312 337889174 /nfs/dbraw/zinc/88/91/74/337889174.db2.gz RSMAFSGGSYUGIT-DGCLKSJQSA-N 0 3 303.418 4.470 20 0 DIADHN CC[C@H](NCC1(c2ccccc2)CCCCC1)c1nccn1C ZINC000537105427 337891934 /nfs/dbraw/zinc/89/19/34/337891934.db2.gz DUFTVRPDFVZMGB-SFHVURJKSA-N 0 3 311.473 4.363 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)N(C)[C@H](C)c2ccccc2)c1 ZINC000537106903 337892091 /nfs/dbraw/zinc/89/20/91/337892091.db2.gz YZJDPSHIDMXMQJ-ZWKOTPCHSA-N 0 3 324.468 4.174 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N1CCCC1)c1ccc(F)cc1 ZINC000216371190 337898487 /nfs/dbraw/zinc/89/84/87/337898487.db2.gz KKIQZXGDMMUWJJ-RHSMWYFYSA-N 0 3 318.461 4.375 20 0 DIADHN O=C(CN[C@@H](c1cccs1)C1CC1)Nc1ccc(Cl)cc1 ZINC000537165580 337904800 /nfs/dbraw/zinc/90/48/00/337904800.db2.gz MYADCXLNODNDJO-MRXNPFEDSA-N 0 3 320.845 4.081 20 0 DIADHN C[C@H](N[C@H]1c2cccc(F)c2CC[C@@H]1C)c1cccc(CO)c1 ZINC000122139447 337908597 /nfs/dbraw/zinc/90/85/97/337908597.db2.gz YRFHLQINRYWAFQ-PJSUUKDQSA-N 0 3 313.416 4.292 20 0 DIADHN CC[C@H](NCc1ccc(COC)cc1)c1cc(C)ccc1OC ZINC000093371766 337915775 /nfs/dbraw/zinc/91/57/75/337915775.db2.gz BZWYGWXVLUBPDY-IBGZPJMESA-N 0 3 313.441 4.391 20 0 DIADHN COCCCCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000122757572 337923478 /nfs/dbraw/zinc/92/34/78/337923478.db2.gz UJRMRIVQIATEQK-LLVKDONJSA-N 0 3 321.795 4.409 20 0 DIADHN CCOCc1ccccc1CN[C@H]1c2cc(F)ccc2C[C@H]1C ZINC000122692994 337924080 /nfs/dbraw/zinc/92/40/80/337924080.db2.gz CZIORDLPUBDLQF-JLTOFOAXSA-N 0 3 313.416 4.385 20 0 DIADHN COCCCNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000061002872 337924176 /nfs/dbraw/zinc/92/41/76/337924176.db2.gz GGFJTPIOMNSHRZ-UHFFFAOYSA-N 0 3 314.212 4.380 20 0 DIADHN CCC[C@H](NCc1nc(-c2ccoc2)no1)C1CCCCC1 ZINC000537292494 337928641 /nfs/dbraw/zinc/92/86/41/337928641.db2.gz YUWGUGFPMSGPJK-HNNXBMFYSA-N 0 3 303.406 4.168 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H](CO)CC(C)(C)C)oc21 ZINC000537305967 337930012 /nfs/dbraw/zinc/93/00/12/337930012.db2.gz DDZDKSTYDRINPO-UKRRQHHQSA-N 0 3 319.445 4.279 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000537305928 337930037 /nfs/dbraw/zinc/93/00/37/337930037.db2.gz AWOQEOGHLGTISD-HQOQDVMHSA-N 0 3 317.379 4.318 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@H](C)COCCOC ZINC000537308611 337930359 /nfs/dbraw/zinc/93/03/59/337930359.db2.gz UFAQNKJPPSYVKY-CABCVRRESA-N 0 3 319.445 4.006 20 0 DIADHN C[C@H](NC[C@H]1CCCO[C@@H]1C(C)(C)C)c1cccc(F)c1F ZINC000537344673 337931877 /nfs/dbraw/zinc/93/18/77/337931877.db2.gz RQVBDSGDHFJRBQ-AHIWAGSCSA-N 0 3 311.416 4.457 20 0 DIADHN CCc1cccc(N[C@H](C)c2cccc(OCCN(C)C)c2)c1 ZINC000537407708 337933710 /nfs/dbraw/zinc/93/37/10/337933710.db2.gz YJBUHKYJEOLIHL-MRXNPFEDSA-N 0 3 312.457 4.363 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cccc2cc[nH]c21 ZINC000537386396 337934496 /nfs/dbraw/zinc/93/44/96/337934496.db2.gz PCDAUFCYAOOXAG-BFUOFWGJSA-N 0 3 312.388 4.173 20 0 DIADHN CCOc1cc(C)ccc1CN[C@@H](C)Cc1ccccc1OC ZINC000537400616 337935416 /nfs/dbraw/zinc/93/54/16/337935416.db2.gz FLPGROCUXZHANR-INIZCTEOSA-N 0 3 313.441 4.123 20 0 DIADHN COCC1(N[C@H](C)c2ccc(-c3ccc(F)cc3)s2)CC1 ZINC000537449372 337936716 /nfs/dbraw/zinc/93/67/16/337936716.db2.gz KOEZPPDTEAOPOW-GFCCVEGCSA-N 0 3 305.418 4.384 20 0 DIADHN C[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1ccc2c(c1)CCC2 ZINC000537452156 337936965 /nfs/dbraw/zinc/93/69/65/337936965.db2.gz ODYRAMARSWRANN-CQSZACIVSA-N 0 3 321.420 4.244 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537481076 337937584 /nfs/dbraw/zinc/93/75/84/337937584.db2.gz GVGNVQSFBBVFQF-XNJGSVPQSA-N 0 3 309.400 4.135 20 0 DIADHN C[C@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1ncc(Br)s1 ZINC000537468185 337938629 /nfs/dbraw/zinc/93/86/29/337938629.db2.gz VOWUXMRRNHIMMK-MIZYBKAJSA-N 0 3 317.296 4.381 20 0 DIADHN COc1ccc2cc(CN3CCC(C(F)F)CC3)ccc2c1 ZINC000537633040 337950720 /nfs/dbraw/zinc/95/07/20/337950720.db2.gz DZDTWFLETWEGCV-UHFFFAOYSA-N 0 3 305.368 4.326 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc3cccnc31)CCCO2 ZINC000098172980 337960128 /nfs/dbraw/zinc/96/01/28/337960128.db2.gz HHTIPVDNQYCKNJ-GOSISDBHSA-N 0 3 322.383 4.377 20 0 DIADHN CCOc1ccc(CN[C@@H](C)[C@H](OC)c2ccc(F)cc2)cc1 ZINC000537774821 337961952 /nfs/dbraw/zinc/96/19/52/337961952.db2.gz SZDGFPIHJKYELC-LIRRHRJNSA-N 0 3 317.404 4.090 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cc(Cl)ccc2Cl)CCO1 ZINC000537862375 337963588 /nfs/dbraw/zinc/96/35/88/337963588.db2.gz BVSWWCQTWJZSKC-ZFWWWQNUSA-N 0 3 302.245 4.287 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)NC/C=C\c2ccccc2)cc1 ZINC000537866159 337963999 /nfs/dbraw/zinc/96/39/99/337963999.db2.gz OTHHYSVFYOOBNR-ZVYQDWMASA-N 0 3 311.425 4.074 20 0 DIADHN Cc1cnc([C@@H](NC[C@H]2CCCC(F)(F)C2)C2CC2)s1 ZINC000514245063 337967846 /nfs/dbraw/zinc/96/78/46/337967846.db2.gz RIOKEBFKVRDHMP-AAEUAGOBSA-N 0 3 300.418 4.318 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](C)Sc2ccccc2)cc1O ZINC000514820492 337971241 /nfs/dbraw/zinc/97/12/41/337971241.db2.gz NRJQUBOBCIXABL-KBPBESRZSA-N 0 3 317.454 4.232 20 0 DIADHN CCC1(CNCc2c(Cl)oc3ccccc32)CCOCC1 ZINC000315111271 337980979 /nfs/dbraw/zinc/98/09/79/337980979.db2.gz RAVIXMUODJKXLP-UHFFFAOYSA-N 0 3 307.821 4.383 20 0 DIADHN CCS[C@@H]1CCC[C@@H](N[C@@H](C)c2cn3ccccc3n2)C1 ZINC000515311435 337984752 /nfs/dbraw/zinc/98/47/52/337984752.db2.gz KKKAHAYNZINJNX-RRFJBIMHSA-N 0 3 303.475 4.049 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2ccco2)N2CCCC2)s1 ZINC000315389108 337986052 /nfs/dbraw/zinc/98/60/52/337986052.db2.gz TWWHRIPHIHIMAH-ZBFHGGJFSA-N 0 3 318.486 4.391 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@@H](N[C@@H](C)CC)c1ccco1 ZINC000515396115 337987770 /nfs/dbraw/zinc/98/77/70/337987770.db2.gz ITGJJFDFALWQRL-DAYGRLMNSA-N 0 3 308.466 4.041 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CNCCOCC1CC1 ZINC000516078410 338004129 /nfs/dbraw/zinc/00/41/29/338004129.db2.gz IWKHZRVIJOKCSU-UKTHLTGXSA-N 0 3 313.363 4.125 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N[C@@H](C)c1c(F)cncc1F ZINC000516100613 338004557 /nfs/dbraw/zinc/00/45/57/338004557.db2.gz XYVSLXGUGIHAKB-ZFWWWQNUSA-N 0 3 304.384 4.340 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccc(F)cc2)C[C@H](C)O1 ZINC000516241966 338008435 /nfs/dbraw/zinc/00/84/35/338008435.db2.gz DLQKWUHTARBYEH-VVVONTASSA-N 0 3 313.416 4.461 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC000516267952 338009198 /nfs/dbraw/zinc/00/91/98/338009198.db2.gz RHQUSBKSQSIYFM-MDYRTPRTSA-N 0 3 319.452 4.441 20 0 DIADHN CCc1ccc(CN2CCCCC[C@H]2c2c(C)n[nH]c2C)o1 ZINC000516347764 338010445 /nfs/dbraw/zinc/01/04/45/338010445.db2.gz GNVLFMAMWMNEJL-KRWDZBQOSA-N 0 3 301.434 4.299 20 0 DIADHN CSCc1cc(F)ccc1CNCc1cc(C)c(O)c(C)c1 ZINC000516513346 338014119 /nfs/dbraw/zinc/01/41/19/338014119.db2.gz SHZNDGXILZJFJY-UHFFFAOYSA-N 0 3 319.445 4.301 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1ccc(Br)cc1F ZINC000425390463 338015484 /nfs/dbraw/zinc/01/54/84/338015484.db2.gz YNBMKLCRAFIWKD-BZHVJNSISA-N 0 3 318.255 4.133 20 0 DIADHN Cc1ccc(F)c(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)c1 ZINC000445451882 338016556 /nfs/dbraw/zinc/01/65/56/338016556.db2.gz LRJIKHQZFQQHJY-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN Cc1ccc(F)c(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)c1 ZINC000445451883 338016582 /nfs/dbraw/zinc/01/65/82/338016582.db2.gz LRJIKHQZFQQHJY-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C/C=C\c1ccncc1)[C@H]2C ZINC000426144654 338021152 /nfs/dbraw/zinc/02/11/52/338021152.db2.gz GACXDFHHLFLTMP-UDLYIEAKSA-N 0 3 308.425 4.111 20 0 DIADHN OC[C@@H](C1CC1)N(Cc1cc(Cl)cs1)Cc1ccccc1 ZINC000426150984 338021374 /nfs/dbraw/zinc/02/13/74/338021374.db2.gz VRGPNTGUOFOUMU-KRWDZBQOSA-N 0 3 321.873 4.175 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1cc(F)cc(F)c1 ZINC000426287983 338024679 /nfs/dbraw/zinc/02/46/79/338024679.db2.gz BVGOZORJLPCSPR-MLGOLLRUSA-N 0 3 320.358 4.033 20 0 DIADHN Cc1cc([C@H](C)NCCc2nnc(C(C)C)s2)c(C)s1 ZINC000426288344 338024714 /nfs/dbraw/zinc/02/47/14/338024714.db2.gz IRIBNUUMEANQED-NSHDSACASA-N 0 3 309.504 4.233 20 0 DIADHN CC[C@H](O)CN[C@@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000426293477 338024889 /nfs/dbraw/zinc/02/48/89/338024889.db2.gz QUPFUQLVUIUWCM-YJBOKZPZSA-N 0 3 321.823 4.123 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2cccc(Cl)c2)s1 ZINC000426292453 338024990 /nfs/dbraw/zinc/02/49/90/338024990.db2.gz VBJKMXVFDXMKPO-LLVKDONJSA-N 0 3 309.866 4.208 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](CCC)Cc2ccccc2)o1 ZINC000426298320 338025344 /nfs/dbraw/zinc/02/53/44/338025344.db2.gz KHMJUZNDUPQPSF-KRWDZBQOSA-N 0 3 300.446 4.437 20 0 DIADHN CC[C@H](O)CN[C@@H](c1cc(C)sc1C)c1cccc(Cl)c1 ZINC000426299086 338025434 /nfs/dbraw/zinc/02/54/34/338025434.db2.gz SAKZCPYSRQSTKR-DOTOQJQBSA-N 0 3 323.889 4.468 20 0 DIADHN CC(C)c1nnc(CCN[C@H]2CCSc3ccccc32)s1 ZINC000426301293 338025670 /nfs/dbraw/zinc/02/56/70/338025670.db2.gz MCRNPAIFLVQNAN-ZDUSSCGKSA-N 0 3 319.499 4.031 20 0 DIADHN C[C@H](CCn1cccn1)NCc1ccc(-c2ccccc2)cc1F ZINC000426302760 338025689 /nfs/dbraw/zinc/02/56/89/338025689.db2.gz UOHXHYTVDKBYBA-MRXNPFEDSA-N 0 3 323.415 4.258 20 0 DIADHN COCC(C)(C)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000426302677 338025692 /nfs/dbraw/zinc/02/56/92/338025692.db2.gz JJZSRHMDDPPYPV-CYBMUJFWSA-N 0 3 304.459 4.133 20 0 DIADHN COCC(C)(C)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000426302678 338025786 /nfs/dbraw/zinc/02/57/86/338025786.db2.gz JJZSRHMDDPPYPV-ZDUSSCGKSA-N 0 3 304.459 4.133 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000426306017 338025858 /nfs/dbraw/zinc/02/58/58/338025858.db2.gz IQTLSHFSKXRIHE-PMUMKWKESA-N 0 3 315.388 4.446 20 0 DIADHN Cc1ccoc1CN[C@H](C)CC(=O)Nc1ccc(C)c(Cl)c1 ZINC000426304701 338025933 /nfs/dbraw/zinc/02/59/33/338025933.db2.gz TWUCUXGKXNHGKK-CYBMUJFWSA-N 0 3 320.820 4.057 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cc3ccccc3n(C)c2=O)c1 ZINC000426309378 338026004 /nfs/dbraw/zinc/02/60/04/338026004.db2.gz GSMNGUWPXKXAEQ-MRXNPFEDSA-N 0 3 320.436 4.006 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)[C@@H]1OCCc3sccc31)CC2 ZINC000426310977 338026163 /nfs/dbraw/zinc/02/61/63/338026163.db2.gz PEPONDXIENGCFZ-XVSDVWIESA-N 0 3 313.466 4.336 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)[C@H]1OCCc2sccc21 ZINC000426310356 338026204 /nfs/dbraw/zinc/02/62/04/338026204.db2.gz NRPIXTSCHOAJKV-SDDDUWNISA-N 0 3 317.429 4.167 20 0 DIADHN C[C@@H](CCCCO)NCc1ccc(-c2ccccc2)cc1F ZINC000426310128 338026244 /nfs/dbraw/zinc/02/62/44/338026244.db2.gz JYJKNBPWSOJZHK-HNNXBMFYSA-N 0 3 301.405 4.133 20 0 DIADHN Cc1cc([C@@H](C)NCCc2nnc(C(C)C)s2)cc(C)c1F ZINC000426314352 338026448 /nfs/dbraw/zinc/02/64/48/338026448.db2.gz PQPQYVZLDIZTTO-CYBMUJFWSA-N 0 3 321.465 4.311 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@H](OCC)C2(CC)CC)o1 ZINC000426328046 338027211 /nfs/dbraw/zinc/02/72/11/338027211.db2.gz AYFWWJJFWFMRGY-HOTGVXAUSA-N 0 3 308.466 4.009 20 0 DIADHN Cc1ccoc1CN[C@@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000426331699 338027345 /nfs/dbraw/zinc/02/73/45/338027345.db2.gz ARLLIVJKHRMLRW-STQMWFEESA-N 0 3 300.427 4.480 20 0 DIADHN Cc1nnsc1CN[C@H](CCc1ccc(Cl)cc1)C(C)C ZINC000426335896 338027728 /nfs/dbraw/zinc/02/77/28/338027728.db2.gz RCPQWKFZFGZDLQ-OAHLLOKOSA-N 0 3 323.893 4.247 20 0 DIADHN CCc1nc(C)c(CN[C@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000426340706 338027808 /nfs/dbraw/zinc/02/78/08/338027808.db2.gz UUDWGBJDYWXQIV-MRXNPFEDSA-N 0 3 304.409 4.041 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2ccc(F)cc2)o1 ZINC000426344060 338027986 /nfs/dbraw/zinc/02/79/86/338027986.db2.gz SCYHEIHPNMTYIO-ZDUSSCGKSA-N 0 3 304.409 4.186 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1ccc(F)c(F)c1 ZINC000426343184 338028000 /nfs/dbraw/zinc/02/80/00/338028000.db2.gz CGJMSSBMXHTLDO-DINDLPBHSA-N 0 3 323.408 4.379 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000426341985 338028044 /nfs/dbraw/zinc/02/80/44/338028044.db2.gz HKMXTOVSGMYMON-IXDGSTSKSA-N 0 3 313.466 4.336 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](C)[C@H]1OCCc2sccc21 ZINC000426341987 338028086 /nfs/dbraw/zinc/02/80/86/338028086.db2.gz HKMXTOVSGMYMON-XVGQJIODSA-N 0 3 313.466 4.336 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000426346641 338028141 /nfs/dbraw/zinc/02/81/41/338028141.db2.gz PEFQWQDNZOVZBR-LXIYXOSZSA-N 0 3 316.470 4.059 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C)[C@H]1OCCc2sccc21 ZINC000426346643 338028150 /nfs/dbraw/zinc/02/81/50/338028150.db2.gz PEFQWQDNZOVZBR-ZJNRKIDTSA-N 0 3 316.470 4.059 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)[C@H]1OCCc2sccc21 ZINC000426347449 338028283 /nfs/dbraw/zinc/02/82/83/338028283.db2.gz URRMLOIZXMEQIW-PZSREKOKSA-N 0 3 319.495 4.479 20 0 DIADHN Fc1ccc(CN(Cc2ccc(Cl)o2)C2CCOCC2)cc1 ZINC000426390708 338030063 /nfs/dbraw/zinc/03/00/63/338030063.db2.gz LTYGIEKMDYVIND-UHFFFAOYSA-N 0 3 323.795 4.253 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@@H]3CCC[C@@H]32)cs1 ZINC000426463380 338032831 /nfs/dbraw/zinc/03/28/31/338032831.db2.gz VYIORDHYGQALFA-BBRMVZONSA-N 0 3 314.454 4.193 20 0 DIADHN CC[C@]1(CO)CCCN(Cc2ccc(SC(C)C)cc2)C1 ZINC000426466882 338033108 /nfs/dbraw/zinc/03/31/08/338033108.db2.gz AQUGMAPBVZRPOQ-SFHVURJKSA-N 0 3 307.503 4.172 20 0 DIADHN Clc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@H]43)co2)cc1 ZINC000426491126 338033544 /nfs/dbraw/zinc/03/35/44/338033544.db2.gz FJYRXVSYPGUSMZ-BLLLJJGKSA-N 0 3 302.805 4.369 20 0 DIADHN COC[C@H](N[C@H]1CS[C@@H](C(C)C)C1)c1ccc(Cl)cc1 ZINC000426557023 338034574 /nfs/dbraw/zinc/03/45/74/338034574.db2.gz DFGUYVXDZKFPBP-OWCLPIDISA-N 0 3 313.894 4.147 20 0 DIADHN CCc1nc(C)c(CN(C)CC(C)(C)c2ccc(C)cc2)o1 ZINC000428219752 338043046 /nfs/dbraw/zinc/04/30/46/338043046.db2.gz GGQLZGIYXAHLSW-UHFFFAOYSA-N 0 3 300.446 4.263 20 0 DIADHN CC(C)c1nc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)n[nH]1 ZINC000428227795 338043190 /nfs/dbraw/zinc/04/31/90/338043190.db2.gz KKCACEHAOIVEPT-OLZOCXBDSA-N 0 3 320.868 4.206 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@H](c3cccc(F)c3)C2)o1 ZINC000428226589 338043252 /nfs/dbraw/zinc/04/32/52/338043252.db2.gz HQQUTGLFKJAIFK-HNNXBMFYSA-N 0 3 302.393 4.064 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@@H](c3cccc(F)c3)C2)o1 ZINC000428226591 338043282 /nfs/dbraw/zinc/04/32/82/338043282.db2.gz HQQUTGLFKJAIFK-OAHLLOKOSA-N 0 3 302.393 4.064 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2n[nH]c(C(C)C)n2)cc1 ZINC000428236768 338043592 /nfs/dbraw/zinc/04/35/92/338043592.db2.gz GVWCBJBWIYLFJY-SJORKVTESA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2[N@@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236768 338043593 /nfs/dbraw/zinc/04/35/93/338043593.db2.gz GVWCBJBWIYLFJY-SJORKVTESA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2[N@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236768 338043594 /nfs/dbraw/zinc/04/35/94/338043594.db2.gz GVWCBJBWIYLFJY-SJORKVTESA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2n[nH]c(C(C)C)n2)cc1 ZINC000428236766 338043656 /nfs/dbraw/zinc/04/36/56/338043656.db2.gz GVWCBJBWIYLFJY-IAGOWNOFSA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2[N@@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236766 338043657 /nfs/dbraw/zinc/04/36/57/338043657.db2.gz GVWCBJBWIYLFJY-IAGOWNOFSA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2[N@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236766 338043658 /nfs/dbraw/zinc/04/36/58/338043658.db2.gz GVWCBJBWIYLFJY-IAGOWNOFSA-N 0 3 312.461 4.005 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@H](Cc2ccc(F)cc2)C1 ZINC000428242572 338043844 /nfs/dbraw/zinc/04/38/44/338043844.db2.gz VTMJLAJIHYECFB-MRXNPFEDSA-N 0 3 316.420 4.310 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)[C@H](C)Cc1ccc(C)cc1 ZINC000428244679 338044171 /nfs/dbraw/zinc/04/41/71/338044171.db2.gz ZHFCUNHLTUKTNI-OAHLLOKOSA-N 0 3 300.446 4.478 20 0 DIADHN CCCc1ccc([C@H](NCc2n[nH]c(C(C)C)n2)C(C)C)cc1 ZINC000428379812 338049639 /nfs/dbraw/zinc/04/96/39/338049639.db2.gz BEYCVLMARYCMGZ-GOSISDBHSA-N 0 3 314.477 4.368 20 0 DIADHN CC(C)O[C@@H](CN1CCC[C@@H](OCC2CC2)C1)c1ccccc1 ZINC000428433855 338051127 /nfs/dbraw/zinc/05/11/27/338051127.db2.gz FAAXOIUUBLGKAT-UXHICEINSA-N 0 3 317.473 4.044 20 0 DIADHN CCCc1nc(C)c(CN(CC)[C@H](C)Cc2ccsc2)o1 ZINC000428433730 338051176 /nfs/dbraw/zinc/05/11/76/338051176.db2.gz UQQQRYGNHLBRKV-CYBMUJFWSA-N 0 3 306.475 4.450 20 0 DIADHN CC(C)O[C@H](CN1CCC[C@@H](OCC2CC2)C1)c1ccccc1 ZINC000428433857 338051248 /nfs/dbraw/zinc/05/12/48/338051248.db2.gz FAAXOIUUBLGKAT-WOJBJXKFSA-N 0 3 317.473 4.044 20 0 DIADHN Cc1ccc(C(C)(C)NC[C@H](OC(C)C)c2ccccc2)cn1 ZINC000428436442 338051357 /nfs/dbraw/zinc/05/13/57/338051357.db2.gz ICALSPMJBADYOR-IBGZPJMESA-N 0 3 312.457 4.381 20 0 DIADHN CC(C)(CO)[C@H](NC/C=C/c1ccc(F)cc1)c1ccccc1 ZINC000428436796 338051368 /nfs/dbraw/zinc/05/13/68/338051368.db2.gz GSNAOOBCQLAIOC-OVKVCFKUSA-N 0 3 313.416 4.188 20 0 DIADHN COc1cccc(CN(C)C[C@H](OC(C)C)c2ccccc2)c1 ZINC000428465010 338053466 /nfs/dbraw/zinc/05/34/66/338053466.db2.gz SBLYMNKAOSUZPW-FQEVSTJZSA-N 0 3 313.441 4.293 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2[nH]ccc21)c1ccccc1 ZINC000428469720 338053967 /nfs/dbraw/zinc/05/39/67/338053967.db2.gz WKZDWQHINBRDEM-IBGZPJMESA-N 0 3 308.425 4.017 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(c3c[nH]c4ccccc43)CC2)cn1 ZINC000428486382 338054694 /nfs/dbraw/zinc/05/46/94/338054694.db2.gz PDJQTVXOQTTZJA-HNNXBMFYSA-N 0 3 320.440 4.207 20 0 DIADHN Oc1cccc2c1C[C@H](NCc1ccc(-c3ccc(F)cc3)o1)C2 ZINC000428548430 338056007 /nfs/dbraw/zinc/05/60/07/338056007.db2.gz NVFGBLIMMAZCGN-MRXNPFEDSA-N 0 3 323.367 4.048 20 0 DIADHN CCCCOc1ccc(CNC2CC(F)(F)C2)cc1OCC ZINC000428636863 338057487 /nfs/dbraw/zinc/05/74/87/338057487.db2.gz BAXHROYVKKMPIW-UHFFFAOYSA-N 0 3 313.388 4.152 20 0 DIADHN Cc1noc(C[C@@H](NCc2cc(C)ccc2C)c2ccccc2)n1 ZINC000428650340 338057742 /nfs/dbraw/zinc/05/77/42/338057742.db2.gz OPQUXRZSYKKYIZ-LJQANCHMSA-N 0 3 321.424 4.068 20 0 DIADHN CCCn1ccnc1CCCN(C)c1ccnc2ccc(C)cc21 ZINC000428695184 338058663 /nfs/dbraw/zinc/05/86/63/338058663.db2.gz VEBKETRGNLWRQB-UHFFFAOYSA-N 0 3 322.456 4.219 20 0 DIADHN C[C@@]12CCN(CCSc3ccc(Cl)cc3)C[C@@H]1C2(F)F ZINC000428707440 338058904 /nfs/dbraw/zinc/05/89/04/338058904.db2.gz GHQNZHIDPGRNEC-UONOGXRCSA-N 0 3 317.832 4.409 20 0 DIADHN Cc1cc(C)cc(OCCCN2CC[C@@]3(C)[C@@H](C2)C3(F)F)c1 ZINC000428717912 338059409 /nfs/dbraw/zinc/05/94/09/338059409.db2.gz DHZOWAUOUXDYTD-SJORKVTESA-N 0 3 309.400 4.049 20 0 DIADHN Cc1ncc(CN2CCC(c3ccc(F)cc3C)CC2)s1 ZINC000428721195 338059472 /nfs/dbraw/zinc/05/94/72/338059472.db2.gz DHGNWVMWCLUDRZ-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN COCc1ccccc1CNCc1ccc(C(F)(F)F)s1 ZINC000428848820 338062203 /nfs/dbraw/zinc/06/22/03/338062203.db2.gz ZRNQKTDKRBTJCW-UHFFFAOYSA-N 0 3 315.360 4.203 20 0 DIADHN C[C@H](CNCc1nc2ccc(F)cc2s1)c1ccc(F)cc1 ZINC000428863626 338063074 /nfs/dbraw/zinc/06/30/74/338063074.db2.gz RJIXTRCMCFQPTI-LLVKDONJSA-N 0 3 318.392 4.468 20 0 DIADHN C[C@H](CNCc1ccc(C(F)(F)F)s1)c1nccs1 ZINC000428864821 338063325 /nfs/dbraw/zinc/06/33/25/338063325.db2.gz AJAXTISYNMMGME-MRVPVSSYSA-N 0 3 306.378 4.117 20 0 DIADHN Cc1cnc([C@H](C)CNCc2ccc(C(F)(F)F)s2)s1 ZINC000428872683 338063781 /nfs/dbraw/zinc/06/37/81/338063781.db2.gz RZFAXHMREIJYFG-MRVPVSSYSA-N 0 3 320.405 4.425 20 0 DIADHN Fc1ccc2nc(CNCCc3cccc(Cl)c3)sc2c1 ZINC000428882351 338064447 /nfs/dbraw/zinc/06/44/47/338064447.db2.gz PVXAMMUJBTYZNZ-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Fc1ccc2nc(CNCC3(c4ccccc4)CC3)sc2c1 ZINC000428882849 338064580 /nfs/dbraw/zinc/06/45/80/338064580.db2.gz REIZLVCMYFATHU-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1cc(C)ncn1 ZINC000428945675 338066070 /nfs/dbraw/zinc/06/60/70/338066070.db2.gz ZRICBIKDNSMPMN-UHFFFAOYSA-N 0 3 303.475 4.427 20 0 DIADHN CC(C)O[C@@H](CN[C@H](C)c1ccc2n[nH]cc2c1)c1ccccc1 ZINC000428975841 338067425 /nfs/dbraw/zinc/06/74/25/338067425.db2.gz IGNSMENYAALHFB-QRWLVFNGSA-N 0 3 323.440 4.380 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(C)[C@@H]1[C@@H]1CCCO1)c1ccccc1 ZINC000428997261 338068203 /nfs/dbraw/zinc/06/82/03/338068203.db2.gz SWMAPIRMGQUSPA-OTWHNJEPSA-N 0 3 317.473 4.042 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccc(-n3cncn3)cc2)cc1C ZINC000429058297 338070017 /nfs/dbraw/zinc/07/00/17/338070017.db2.gz FZPKYNMXLRKDDB-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN C[C@@H]1CC[C@H](CN2CC[C@H](Sc3cccc(Cl)c3)C2)O1 ZINC000429120370 338071011 /nfs/dbraw/zinc/07/10/11/338071011.db2.gz GGUPXCHFSRWCPN-XPKDYRNWSA-N 0 3 311.878 4.074 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CC[C@H](Sc3cccc(Cl)c3)C2)O1 ZINC000429120367 338071120 /nfs/dbraw/zinc/07/11/20/338071120.db2.gz GGUPXCHFSRWCPN-INWMFGNUSA-N 0 3 311.878 4.074 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(CCc3cccnc3)C2)c1 ZINC000429123251 338071213 /nfs/dbraw/zinc/07/12/13/338071213.db2.gz SYXOEVZOFQVFGS-QGZVFWFLSA-N 0 3 318.873 4.144 20 0 DIADHN Brc1ccc(CN(C2CCC2)C2CCC2)s1 ZINC000429132168 338071442 /nfs/dbraw/zinc/07/14/42/338071442.db2.gz LHZPZEZMGRKPBI-UHFFFAOYSA-N 0 3 300.265 4.418 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(CCc3ccccn3)C2)c1 ZINC000429134604 338071553 /nfs/dbraw/zinc/07/15/53/338071553.db2.gz KZQWTHQLPVSIDN-QGZVFWFLSA-N 0 3 318.873 4.144 20 0 DIADHN C[C@@H](NCCSc1ccccc1)[C@@H]1OCCc2sccc21 ZINC000429165209 338072511 /nfs/dbraw/zinc/07/25/11/338072511.db2.gz ZAMSTAPEWGBLQK-DYVFJYSZSA-N 0 3 319.495 4.132 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C2CCC2)C2CCC2)c(Cl)c1 ZINC000429184147 338072689 /nfs/dbraw/zinc/07/26/89/338072689.db2.gz SCZBSZDHLPKQDV-UHFFFAOYSA-N 0 3 320.864 4.302 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCOC[C@H](C2CCC2)C1 ZINC000429252877 338074945 /nfs/dbraw/zinc/07/49/45/338074945.db2.gz IQDWWLYLNDGMBM-KDOFPFPSSA-N 0 3 305.849 4.076 20 0 DIADHN CSC[C@@H]1CCCN([C@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000429277520 338075946 /nfs/dbraw/zinc/07/59/46/338075946.db2.gz VVGYDHZXFLHSAT-HUUCEWRRSA-N 0 3 316.470 4.478 20 0 DIADHN COC1(C[C@H](C)NCc2csc(-c3ccccc3)n2)CCC1 ZINC000429399244 338079449 /nfs/dbraw/zinc/07/94/49/338079449.db2.gz BYTKAQOLDDXSDB-AWEZNQCLSA-N 0 3 316.470 4.247 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000429425583 338080577 /nfs/dbraw/zinc/08/05/77/338080577.db2.gz MCALLEKSVMAXLE-KBPBESRZSA-N 0 3 318.848 4.416 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@H](N[C@H]1CC13CCCC3)CCC2 ZINC000429427994 338080700 /nfs/dbraw/zinc/08/07/00/338080700.db2.gz OVDAWBACJDIODY-CABCVRRESA-N 0 3 310.363 4.400 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000429444117 338081299 /nfs/dbraw/zinc/08/12/99/338081299.db2.gz HIGZVIRFCMXLRB-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN CC[C@H](NC1CC(F)(F)C1)c1cc(F)cc(Br)c1 ZINC000429450830 338081511 /nfs/dbraw/zinc/08/15/11/338081511.db2.gz KOGXKPOEMWKEER-LBPRGKRZSA-N 0 3 322.168 4.427 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1cc2c(ccc3ccccc23)o1 ZINC000429462243 338081864 /nfs/dbraw/zinc/08/18/64/338081864.db2.gz PUWHQOJMOUOGMM-WCQYABFASA-N 0 3 319.351 4.253 20 0 DIADHN C[C@H](NC1CC(F)(F)C1)c1ccc(OCC(F)(F)F)cc1 ZINC000429466210 338082091 /nfs/dbraw/zinc/08/20/91/338082091.db2.gz IAPRTIBBIOJKQP-VIFPVBQESA-N 0 3 309.278 4.076 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(c2ccncc2)CC1 ZINC000429537367 338083172 /nfs/dbraw/zinc/08/31/72/338083172.db2.gz MLLKJVZRDYJHKW-UHFFFAOYSA-N 0 3 324.468 4.496 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000429568572 338084420 /nfs/dbraw/zinc/08/44/20/338084420.db2.gz JKEIICWVGGRXOW-GDBMZVCRSA-N 0 3 302.462 4.177 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)[C@H]3CC[C@H](C)C3)co2)cc1 ZINC000429598643 338085770 /nfs/dbraw/zinc/08/57/70/338085770.db2.gz PSQSDAWJBVTDJF-YOEHRIQHSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc2c(CNC(C)(C)c3cccc(F)c3)ccc(O)c2n1 ZINC000429693950 338087553 /nfs/dbraw/zinc/08/75/53/338087553.db2.gz LELGMQSLGWKLMX-UHFFFAOYSA-N 0 3 324.399 4.413 20 0 DIADHN c1cc([C@H]2CCCN2CCCc2cccc3ccccc32)n[nH]1 ZINC000429725386 338088481 /nfs/dbraw/zinc/08/84/81/338088481.db2.gz YXJDAGGKQIHRQL-HXUWFJFHSA-N 0 3 305.425 4.333 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000429772779 338089513 /nfs/dbraw/zinc/08/95/13/338089513.db2.gz XZPZMNGELJXDFT-XHDPSFHLSA-N 0 3 306.356 4.288 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000429781117 338089786 /nfs/dbraw/zinc/08/97/86/338089786.db2.gz CVWFSHQDFUVMGN-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN FC1(F)CC[C@H](CNCc2ncc(-c3ccccc3)s2)C1 ZINC000430036050 338094211 /nfs/dbraw/zinc/09/42/11/338094211.db2.gz CYLUXQBETJRUAI-LBPRGKRZSA-N 0 3 308.397 4.335 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1ccc2c(c1)CCCC2 ZINC000430067164 338094811 /nfs/dbraw/zinc/09/48/11/338094811.db2.gz BNQWZTMFGWTMDX-IBGZPJMESA-N 0 3 309.457 4.242 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC000430092071 338096027 /nfs/dbraw/zinc/09/60/27/338096027.db2.gz WPEFDFPOURWZFZ-UHFFFAOYSA-N 0 3 317.404 4.477 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(C(F)(F)F)cc2C)CC1 ZINC000430095236 338096092 /nfs/dbraw/zinc/09/60/92/338096092.db2.gz MJUSMJMZLFFGOS-UHFFFAOYSA-N 0 3 315.379 4.405 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000430095664 338096167 /nfs/dbraw/zinc/09/61/67/338096167.db2.gz NHWWPYNGBPJMSU-KGLIPLIRSA-N 0 3 319.317 4.491 20 0 DIADHN COc1ccc([C@H](C)CN2CC(C)(C)[C@H]2c2cccnc2)cc1 ZINC000430095484 338096175 /nfs/dbraw/zinc/09/61/75/338096175.db2.gz BKWMXBZKSUSAPE-DNVCBOLYSA-N 0 3 310.441 4.277 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2cccc(C)c2C)o1 ZINC000430098224 338096437 /nfs/dbraw/zinc/09/64/37/338096437.db2.gz WCYSXIAUQWEBAU-UHFFFAOYSA-N 0 3 309.413 4.227 20 0 DIADHN Cc1cc(F)ccc1C1CCN(Cc2cccc3nccn32)CC1 ZINC000430107803 338097015 /nfs/dbraw/zinc/09/70/15/338097015.db2.gz ULAYATAYCCFFBD-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(-c3ccncc3)s2)CC12CCC2 ZINC000430106458 338097088 /nfs/dbraw/zinc/09/70/88/338097088.db2.gz YNUMLYUTUILKLJ-MRXNPFEDSA-N 0 3 313.470 4.216 20 0 DIADHN CC[C@@H]1CCN1Cc1c(C)nn(-c2ccc(Cl)cc2)c1C ZINC000430194048 338100490 /nfs/dbraw/zinc/10/04/90/338100490.db2.gz SSVKEHLGQCRANB-OAHLLOKOSA-N 0 3 303.837 4.127 20 0 DIADHN CCCOc1cc(CN2CCC[C@@H](CSC)C2)ccc1OC ZINC000430196221 338100738 /nfs/dbraw/zinc/10/07/38/338100738.db2.gz LSVDITWGYSPZSU-MRXNPFEDSA-N 0 3 323.502 4.059 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccccc2OCC2CC2)C1 ZINC000430196503 338100767 /nfs/dbraw/zinc/10/07/67/338100767.db2.gz RNRXCQNDXSZCRF-INIZCTEOSA-N 0 3 305.487 4.050 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc3nc(C)sc3c2)C1 ZINC000430196773 338100791 /nfs/dbraw/zinc/10/07/91/338100791.db2.gz SJYNJTFHXMRNEU-AWEZNQCLSA-N 0 3 306.500 4.180 20 0 DIADHN COc1cccc(CN2CCC[C@H](CSC)C2)c1OC(C)C ZINC000430194818 338100836 /nfs/dbraw/zinc/10/08/36/338100836.db2.gz XGEQOEJKPIUNAK-HNNXBMFYSA-N 0 3 323.502 4.057 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(C(C)(C)C)nc1)CCC2 ZINC000430211844 338101610 /nfs/dbraw/zinc/10/16/10/338101610.db2.gz BEOCNQSHMBHNET-GOSISDBHSA-N 0 3 309.457 4.250 20 0 DIADHN c1ccc(CCN(CCC2CCCC2)Cc2cccnc2)nc1 ZINC000430349476 338105498 /nfs/dbraw/zinc/10/54/98/338105498.db2.gz WTGYIOYENNPNJY-UHFFFAOYSA-N 0 3 309.457 4.102 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCC(F)(F)C2)c2ccccn2)cc1 ZINC000430748085 338113421 /nfs/dbraw/zinc/11/34/21/338113421.db2.gz BOJNMLJOMAWGFP-SUMWQHHRSA-N 0 3 320.358 4.335 20 0 DIADHN CCn1ccnc1[C@H]1CCCCN1C[C@@H](C)c1ccc(F)cc1 ZINC000430871126 338117704 /nfs/dbraw/zinc/11/77/04/338117704.db2.gz CIIDHIYARJTLPJ-CRAIPNDOSA-N 0 3 315.436 4.373 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccccc2OCc2cccnc2)C1 ZINC000430879243 338117844 /nfs/dbraw/zinc/11/78/44/338117844.db2.gz RVMDDFKNXINEQH-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC(C)=C[C@@H](C)C1 ZINC000430877696 338117870 /nfs/dbraw/zinc/11/78/70/338117870.db2.gz DOOWFWHKYTXQQU-OAHLLOKOSA-N 0 3 308.425 4.155 20 0 DIADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1nc(-c2cccs2)no1 ZINC000431119142 338123118 /nfs/dbraw/zinc/12/31/18/338123118.db2.gz IFDZQMCODYXWSQ-YWPUVAFDSA-N 0 3 303.431 4.027 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C1(C)CCCC1 ZINC000431121738 338123277 /nfs/dbraw/zinc/12/32/77/338123277.db2.gz XRIDAEUGMCQRND-UHFFFAOYSA-N 0 3 300.446 4.110 20 0 DIADHN COc1cccc(CN[C@@H]2CCCOc3cc4c(cc32)CCC4)c1 ZINC000431354214 338128996 /nfs/dbraw/zinc/12/89/96/338128996.db2.gz XXCFRADNKWMSMW-HXUWFJFHSA-N 0 3 323.436 4.187 20 0 DIADHN Cc1ncsc1CN(C)[C@H](C)CCSc1ccccc1 ZINC000431598781 338134401 /nfs/dbraw/zinc/13/44/01/338134401.db2.gz DDVULEOIWWOJQZ-CYBMUJFWSA-N 0 3 306.500 4.454 20 0 DIADHN C[C@@H]1CCCCN1CCNCc1csc(Cl)c1Cl ZINC000432236370 338149709 /nfs/dbraw/zinc/14/97/09/338149709.db2.gz TVYYOQXBLJXVFT-SNVBAGLBSA-N 0 3 307.290 4.019 20 0 DIADHN Fc1ccc(CNCCC2CCOCC2)c(Cl)c1Cl ZINC000432243215 338150306 /nfs/dbraw/zinc/15/03/06/338150306.db2.gz TURGGWZRSVXZLX-UHFFFAOYSA-N 0 3 306.208 4.039 20 0 DIADHN CC1(C)[C@H](NCc2ccc(F)c(Cl)c2Cl)[C@H]2CCO[C@H]21 ZINC000432243090 338150319 /nfs/dbraw/zinc/15/03/19/338150319.db2.gz RSTJEIUSDMHPNS-OWYVNGRQSA-N 0 3 318.219 4.036 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCC2CC(OC(C)(C)C)C2)o1 ZINC000432249189 338150733 /nfs/dbraw/zinc/15/07/33/338150733.db2.gz DFJNIAABLSMPJN-RKHAHWSXSA-N 0 3 305.462 4.476 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCC2CC(OC(C)(C)C)C2)o1 ZINC000432249190 338150776 /nfs/dbraw/zinc/15/07/76/338150776.db2.gz DFJNIAABLSMPJN-VFNXUKNFSA-N 0 3 305.462 4.476 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1ccc(F)c(Cl)c1Cl ZINC000432251388 338151024 /nfs/dbraw/zinc/15/10/24/338151024.db2.gz SIEQDDQGFLSFHL-ONGXEEELSA-N 0 3 308.224 4.019 20 0 DIADHN OCCC1(CNCc2csc(Cl)c2Cl)CCCCC1 ZINC000432251917 338151053 /nfs/dbraw/zinc/15/10/53/338151053.db2.gz VPOWNDMYXMSHBY-UHFFFAOYSA-N 0 3 322.301 4.477 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432252194 338151092 /nfs/dbraw/zinc/15/10/92/338151092.db2.gz DWUUMKKIDQBTFP-WCQYABFASA-N 0 3 320.235 4.426 20 0 DIADHN O[C@@H]1CCCC[C@H]1CCCNCc1csc(Cl)c1Cl ZINC000432254775 338151503 /nfs/dbraw/zinc/15/15/03/338151503.db2.gz PRPXEKOFMTYVCO-CMPLNLGQSA-N 0 3 322.301 4.476 20 0 DIADHN Clc1scc(CN[C@@H]2[C@H]3CCO[C@H]3C23CCC3)c1Cl ZINC000432257087 338151516 /nfs/dbraw/zinc/15/15/16/338151516.db2.gz VIPBCAJZVREVHD-YUSALJHKSA-N 0 3 318.269 4.102 20 0 DIADHN C[C@@]1(NCc2ccc(F)c(Cl)c2Cl)CCO[C@H]1C1CC1 ZINC000432258263 338151534 /nfs/dbraw/zinc/15/15/34/338151534.db2.gz YZBYTUKXKGYCFD-LSDHHAIUSA-N 0 3 318.219 4.180 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@H]1CCCO1 ZINC000432257577 338151544 /nfs/dbraw/zinc/15/15/44/338151544.db2.gz KFEJYFAUCGVEDS-VXGBXAGGSA-N 0 3 306.208 4.180 20 0 DIADHN Clc1scc(CN[C@@H]2CCC[C@@H]3OCC[C@@H]32)c1Cl ZINC000432257100 338151606 /nfs/dbraw/zinc/15/16/06/338151606.db2.gz VKGRORFQBYIEIZ-MXWKQRLJSA-N 0 3 306.258 4.102 20 0 DIADHN Clc1scc(CNCCC[C@@H]2CCCCO2)c1Cl ZINC000432261204 338151781 /nfs/dbraw/zinc/15/17/81/338151781.db2.gz ZNGHLQNFANBFNU-NSHDSACASA-N 0 3 308.274 4.494 20 0 DIADHN Cc1cnccc1CCNCc1ccc(F)c(Cl)c1Cl ZINC000432259676 338151787 /nfs/dbraw/zinc/15/17/87/338151787.db2.gz UNUOPGJHFCJNEU-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NC(=O)C(C)(C)C(C)(C)C)C1 ZINC000432420537 338155840 /nfs/dbraw/zinc/15/58/40/338155840.db2.gz KUKQQUACRXDWTP-WBVHZDCISA-N 0 3 316.489 4.010 20 0 DIADHN OC1CC(CCN[C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000432441388 338156562 /nfs/dbraw/zinc/15/65/62/338156562.db2.gz KJNDRUYCVURIHF-DNOPENIRSA-N 0 3 315.844 4.180 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)c2ccccc2CCN1Cc1cocn1 ZINC000432721803 338164241 /nfs/dbraw/zinc/16/42/41/338164241.db2.gz FHWCMZGGSYDKDR-KKSFZXQISA-N 0 3 318.420 4.253 20 0 DIADHN C[C@@H]1CC2(CCC2)CN1Cc1cn(C)nc1C1CCCCC1 ZINC000432801061 338168579 /nfs/dbraw/zinc/16/85/79/338168579.db2.gz SPFZPNANFRJGMO-OAHLLOKOSA-N 0 3 301.478 4.232 20 0 DIADHN CC(C)[C@H](O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000432833523 338169897 /nfs/dbraw/zinc/16/98/97/338169897.db2.gz WWXDRLSPNDOCJQ-JKSUJKDBSA-N 0 3 315.379 4.249 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2C2CCOCC2)s1 ZINC000432924193 338173318 /nfs/dbraw/zinc/17/33/18/338173318.db2.gz XGEPLQADFXIEOI-CYBMUJFWSA-N 0 3 319.392 4.158 20 0 DIADHN c1ccc2c(c1)CN(CCC1CCOCC1)Cc1ccccc1-2 ZINC000432941458 338174534 /nfs/dbraw/zinc/17/45/34/338174534.db2.gz YTWUKUNFIJCFDD-UHFFFAOYSA-N 0 3 307.437 4.486 20 0 DIADHN COC(=O)c1cc([C@H](C)N(C)C[C@@H](C)c2ccccc2)oc1C ZINC000432944854 338174581 /nfs/dbraw/zinc/17/45/81/338174581.db2.gz VLZDOYGBGFDRAR-KGLIPLIRSA-N 0 3 315.413 4.171 20 0 DIADHN CC(C)[C@H](O)CN(Cc1ccccc1)[C@@H](C)c1cccs1 ZINC000432940761 338174625 /nfs/dbraw/zinc/17/46/25/338174625.db2.gz SQGHRZWFJJVDMW-DOTOQJQBSA-N 0 3 303.471 4.328 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN[C@H]1CC2CCC1CC2 ZINC000432998904 338175806 /nfs/dbraw/zinc/17/58/06/338175806.db2.gz RPGLXNGHTPPQAE-PIMMBPRGSA-N 0 3 302.443 4.380 20 0 DIADHN Fc1cccc(-c2cnc(CN[C@H]3CC4CCC3CC4)o2)c1 ZINC000432999107 338175842 /nfs/dbraw/zinc/17/58/42/338175842.db2.gz BJYVYRPEFFEEDS-ZUEPYMLJSA-N 0 3 300.377 4.149 20 0 DIADHN C[C@@H]1CC2(CCC2)CN1Cc1c(C2CC2)nc2sccn12 ZINC000433028124 338177193 /nfs/dbraw/zinc/17/71/93/338177193.db2.gz YDTIWZQGWFLQSW-GFCCVEGCSA-N 0 3 301.459 4.038 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3nc(C)sc3C)C2)cc1 ZINC000433055236 338178955 /nfs/dbraw/zinc/17/89/55/338178955.db2.gz VRLKETKNAAKPOH-QGZVFWFLSA-N 0 3 300.471 4.312 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@@H](c3ccc(CC)cc3)C2)o1 ZINC000433057493 338179073 /nfs/dbraw/zinc/17/90/73/338179073.db2.gz LYMGKQFPJHNKRP-GOSISDBHSA-N 0 3 312.457 4.487 20 0 DIADHN CSC1(CN[C@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000433066423 338179846 /nfs/dbraw/zinc/17/98/46/338179846.db2.gz JIBBXNWLSVEEDI-SNVBAGLBSA-N 0 3 319.392 4.363 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC[C@H](c2ccccc2)C1 ZINC000433066195 338179850 /nfs/dbraw/zinc/17/98/50/338179850.db2.gz GPABXADWQAESJV-SFHVURJKSA-N 0 3 317.436 4.318 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCCC[C@H](C)O ZINC000433068145 338180026 /nfs/dbraw/zinc/18/00/26/338180026.db2.gz NYPCZFZLAPINOA-XJKSGUPXSA-N 0 3 313.869 4.186 20 0 DIADHN C[C@@H](O)CCCN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000433076619 338180614 /nfs/dbraw/zinc/18/06/14/338180614.db2.gz KZODIXHCABVXBG-ACJLOTCBSA-N 0 3 321.823 4.319 20 0 DIADHN Cc1cc([C@@H](C)NCC2(CO)CC(c3ccccc3)C2)c(C)o1 ZINC000433093573 338181417 /nfs/dbraw/zinc/18/14/17/338181417.db2.gz RHKYFBCWSJNCFQ-MYWSLZCHSA-N 0 3 313.441 4.103 20 0 DIADHN COc1cccc([C@H](C)N2CCC(c3ncc(C)s3)CC2)c1 ZINC000433134020 338182799 /nfs/dbraw/zinc/18/27/99/338182799.db2.gz PVBIEBKWBHQQFH-AWEZNQCLSA-N 0 3 316.470 4.401 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCC1(SC)CC1 ZINC000433179274 338184355 /nfs/dbraw/zinc/18/43/55/338184355.db2.gz HGQKDYAWLUUQLA-UHFFFAOYSA-N 0 3 313.466 4.347 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433309832 338191253 /nfs/dbraw/zinc/19/12/53/338191253.db2.gz DJJVPTJXNPOREN-VHSXEESVSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000433224964 338186435 /nfs/dbraw/zinc/18/64/35/338186435.db2.gz FFWIRNBAWDLMOV-KRWDZBQOSA-N 0 3 316.832 4.280 20 0 DIADHN Cc1cnn(CCCCCN(C)Cc2ccc(F)c(Cl)c2)c1 ZINC000433266313 338188743 /nfs/dbraw/zinc/18/87/43/338188743.db2.gz SUVVHZWLGJZEPU-UHFFFAOYSA-N 0 3 323.843 4.286 20 0 DIADHN Cc1ncsc1CCN1CC[C@H](c2cccc(Cl)c2)C1 ZINC000433294140 338189728 /nfs/dbraw/zinc/18/97/28/338189728.db2.gz JZBUUDOXNNLJRB-AWEZNQCLSA-N 0 3 306.862 4.137 20 0 DIADHN Cc1nc(CCN2CC[C@@H](c3cccc(Cl)c3)C2)cs1 ZINC000433297565 338190044 /nfs/dbraw/zinc/19/00/44/338190044.db2.gz LPHXZEVNMJKPAD-CQSZACIVSA-N 0 3 306.862 4.137 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ccc(-c2cc[nH]n2)o1)C(C)C ZINC000433318017 338191979 /nfs/dbraw/zinc/19/19/79/338191979.db2.gz MQYXMOWGPAWFSE-IBGZPJMESA-N 0 3 309.413 4.465 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433329313 338192774 /nfs/dbraw/zinc/19/27/74/338192774.db2.gz UAWJQKCQMZGPTH-YJYMSZOUSA-N 0 3 307.397 4.083 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)s1 ZINC000433341637 338193336 /nfs/dbraw/zinc/19/33/36/338193336.db2.gz SWLXHQHPRYVIJO-HBNTYKKESA-N 0 3 304.381 4.220 20 0 DIADHN CC(C)[C@@H](NCc1cnc2ccccn12)c1ccc(Cl)cc1 ZINC000433791785 338202051 /nfs/dbraw/zinc/20/20/51/338202051.db2.gz JXRDPAZBTKJYNQ-GOSISDBHSA-N 0 3 313.832 4.475 20 0 DIADHN Clc1cccc(CCN2CCC[C@@H]3COCC[C@H]32)c1Cl ZINC000434487567 338218041 /nfs/dbraw/zinc/21/80/41/338218041.db2.gz CKKQYZKJQROLIO-UKRRQHHQSA-N 0 3 314.256 4.037 20 0 DIADHN CCOC[C@@H]1CCCN(CCc2cccc(Cl)c2Cl)C1 ZINC000434544776 338220208 /nfs/dbraw/zinc/22/02/08/338220208.db2.gz ZXPMCZZZFRHGPP-CYBMUJFWSA-N 0 3 316.272 4.284 20 0 DIADHN Cc1c(F)cccc1NC(=O)CCN(C)[C@@H](C)c1cccs1 ZINC000434653640 338222535 /nfs/dbraw/zinc/22/25/35/338222535.db2.gz BOOARQXTBWRWJS-ZDUSSCGKSA-N 0 3 320.433 4.217 20 0 DIADHN Cc1cccc(CN2CCC(C(=O)c3ccc(F)cc3)CC2)c1 ZINC000434663022 338222710 /nfs/dbraw/zinc/22/27/10/338222710.db2.gz JXHKAYXTNDIYFU-UHFFFAOYSA-N 0 3 311.400 4.229 20 0 DIADHN CC1(C)CCN(Cc2cc(F)cc3cccnc32)CCS1 ZINC000434713870 338223857 /nfs/dbraw/zinc/22/38/57/338223857.db2.gz LBXDMMFUBSKMOU-UHFFFAOYSA-N 0 3 304.434 4.091 20 0 DIADHN Cc1nc(CN2CC[C@](C)(c3ccc(C)cc3)C2)sc1C ZINC000434740392 338224424 /nfs/dbraw/zinc/22/44/24/338224424.db2.gz YKXWMIHGINMLAV-SFHVURJKSA-N 0 3 300.471 4.232 20 0 DIADHN C[C@H]1CC(C)(C)C[C@H]1NCc1nccn1CCc1ccccc1 ZINC000434781407 338225427 /nfs/dbraw/zinc/22/54/27/338225427.db2.gz FTNUWPQEEUPTNU-FUHWJXTLSA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000434793289 338225823 /nfs/dbraw/zinc/22/58/23/338225823.db2.gz KYRNKIJZXJLAMY-QINHECLXSA-N 0 3 311.425 4.094 20 0 DIADHN Fc1ccc(CN(CC2=Cc3ccccc3OC2)C2CC2)cc1 ZINC000434985228 338228839 /nfs/dbraw/zinc/22/88/39/338228839.db2.gz HEXXZTQDRYOMNP-UHFFFAOYSA-N 0 3 309.384 4.266 20 0 DIADHN CC(C)[C@@H]1C[C@@H](Nc2cccc3c2OCC[C@H]3N(C)C)CS1 ZINC000435024989 338229866 /nfs/dbraw/zinc/22/98/66/338229866.db2.gz VJBDYJOGYHIHDL-XYPHTWIQSA-N 0 3 320.502 4.014 20 0 DIADHN C[C@H](CCC(F)(F)F)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000435029249 338230034 /nfs/dbraw/zinc/23/00/34/338230034.db2.gz UAZDFGVDBAVRNW-RISCZKNCSA-N 0 3 316.367 4.215 20 0 DIADHN O[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000435047827 338230826 /nfs/dbraw/zinc/23/08/26/338230826.db2.gz JPPHBZNMRAFUGU-AWEZNQCLSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1ccn(C2CCN(Cc3cc4c(cc(C)cc4C)[nH]3)CC2)n1 ZINC000435054397 338231240 /nfs/dbraw/zinc/23/12/40/338231240.db2.gz CDHPJULTOXNITG-UHFFFAOYSA-N 0 3 322.456 4.127 20 0 DIADHN CC(C)[C@H](c1ccc(F)cc1)N(C)Cc1cnn(C(C)C)c1 ZINC000435274746 338236256 /nfs/dbraw/zinc/23/62/56/338236256.db2.gz LWOHOBXLZWDGIV-GOSISDBHSA-N 0 3 303.425 4.432 20 0 DIADHN CCn1nc(C)c(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)c1C ZINC000435280663 338236275 /nfs/dbraw/zinc/23/62/75/338236275.db2.gz NYQBBUVNGGEVQC-LJQANCHMSA-N 0 3 317.452 4.488 20 0 DIADHN CC(C)[C@@H](c1ccc(F)cc1)N(C)Cc1cnc(N(C)C)s1 ZINC000435298537 338236718 /nfs/dbraw/zinc/23/67/18/338236718.db2.gz ONCOBSDYYSBQDV-INIZCTEOSA-N 0 3 321.465 4.177 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@H](c1ccco1)c1ccccc1 ZINC000154507220 338244441 /nfs/dbraw/zinc/24/44/41/338244441.db2.gz WGFLQVVJRJXTED-YWZLYKJASA-N 0 3 307.393 4.295 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000435729166 338246516 /nfs/dbraw/zinc/24/65/16/338246516.db2.gz SXRFXQHPCKUMAJ-RZDIXWSQSA-N 0 3 322.383 4.115 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3ccccc3OC(F)F)C2)c1 ZINC000435737346 338247057 /nfs/dbraw/zinc/24/70/57/338247057.db2.gz HHVRKPKHXIABBP-KOMQPUFPSA-N 0 3 321.342 4.463 20 0 DIADHN CC(C)c1cnc(CN[C@H]2C[C@H](c3ccccc3F)C2)s1 ZINC000435784897 338249109 /nfs/dbraw/zinc/24/91/09/338249109.db2.gz KHBIAZVHZZZCJX-JOCQHMNTSA-N 0 3 304.434 4.441 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2C[C@H](c3ccccc3F)C2)c1C ZINC000435786728 338249167 /nfs/dbraw/zinc/24/91/67/338249167.db2.gz JDEBWKPBLCBBSJ-WKILWMFISA-N 0 3 315.436 4.085 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cc2c(cccc2F)[nH]1 ZINC000436235496 338262814 /nfs/dbraw/zinc/26/28/14/338262814.db2.gz ZKTMXBCSMKFGTP-UHFFFAOYSA-N 0 3 312.388 4.341 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000436243557 338263256 /nfs/dbraw/zinc/26/32/56/338263256.db2.gz ANOWEQINRSPNFY-HOTGVXAUSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@H](Cc3ccccc3)C2)sc1C ZINC000436247100 338263371 /nfs/dbraw/zinc/26/33/71/338263371.db2.gz NIMVEWVLDFUGJH-IAGOWNOFSA-N 0 3 300.471 4.261 20 0 DIADHN c1cc(-c2n[nH]cc2CN[C@@H]2CCCc3ccccc32)cs1 ZINC000436263852 338264353 /nfs/dbraw/zinc/26/43/53/338264353.db2.gz IEQMEQIUZWBDRO-QGZVFWFLSA-N 0 3 309.438 4.305 20 0 DIADHN Fc1cc(F)cc([C@H]2C[C@H](N[C@H]3CCCc4occc43)C2)c1 ZINC000436280796 338265520 /nfs/dbraw/zinc/26/55/20/338265520.db2.gz FCYBEUFFAFYKHY-NUTKFTJISA-N 0 3 303.352 4.471 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccc(F)cn1 ZINC000436301121 338267481 /nfs/dbraw/zinc/26/74/81/338267481.db2.gz XVAQUTKGXREJBE-PKWAYOAASA-N 0 3 306.331 4.096 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1CC[C@H](Cc2ccccc2)C1 ZINC000436300401 338267527 /nfs/dbraw/zinc/26/75/27/338267527.db2.gz MBADEJRNQMRFDU-SJORKVTESA-N 0 3 312.457 4.300 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1CC[C@H](Cc2ccccc2)C1 ZINC000436300399 338267547 /nfs/dbraw/zinc/26/75/47/338267547.db2.gz MBADEJRNQMRFDU-IAGOWNOFSA-N 0 3 312.457 4.300 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000436300398 338267571 /nfs/dbraw/zinc/26/75/71/338267571.db2.gz MBADEJRNQMRFDU-DLBZAZTESA-N 0 3 312.457 4.300 20 0 DIADHN CN(C)c1ncccc1CN[C@@H]1CC(C)(C)CCc2ccccc21 ZINC000436309467 338268326 /nfs/dbraw/zinc/26/83/26/338268326.db2.gz SCRRXJGDVBVCDF-LJQANCHMSA-N 0 3 323.484 4.341 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@@H](C)c2oc3ccccc3c2C)C1 ZINC000436312765 338268563 /nfs/dbraw/zinc/26/85/63/338268563.db2.gz VTDNHFKOOCRMDO-ZNMIVQPWSA-N 0 3 315.413 4.124 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2cc(F)cc(F)c2)C1)c1ccc(F)cn1 ZINC000436314547 338268711 /nfs/dbraw/zinc/26/87/11/338268711.db2.gz YJQNMKVRYGYCLM-CSMYWGQOSA-N 0 3 320.358 4.486 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000436415433 338271767 /nfs/dbraw/zinc/27/17/67/338271767.db2.gz KWXOGHSVFRPIOP-YJBOKZPZSA-N 0 3 322.452 4.480 20 0 DIADHN COc1ccc(CNCc2ccc(-c3ccccc3)c(C)c2)cn1 ZINC000436417700 338271956 /nfs/dbraw/zinc/27/19/56/338271956.db2.gz VSSRMDLPDOFQNZ-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN COc1cc(CN(Cc2cccc(O)c2)CC2CC2)ccc1C ZINC000436489355 338274120 /nfs/dbraw/zinc/27/41/20/338274120.db2.gz VPKQRLQXVFZSBX-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN Cc1cn[nH]c1CN[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000436930212 338294052 /nfs/dbraw/zinc/29/40/52/338294052.db2.gz XKTDRTPCVGMSEQ-MRXNPFEDSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H](C)C1(c2ccccc2)CCCC1 ZINC000437016520 338297827 /nfs/dbraw/zinc/29/78/27/338297827.db2.gz XDHGYMUNBXJDLC-DLBZAZTESA-N 0 3 309.457 4.336 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC[C@@H](C)C2)nnn1-c1ccc(Cl)cc1 ZINC000437047834 338298754 /nfs/dbraw/zinc/29/87/54/338298754.db2.gz XPRPLIAPHUSOAG-XUJVJEKNSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@@H](C)C2)nnn1-c1cccc(Cl)c1 ZINC000437062421 338298973 /nfs/dbraw/zinc/29/89/73/338298973.db2.gz CNHNSTAEKPZXFQ-TYNCELHUSA-N 0 3 318.852 4.068 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)CCc1ccc(Cl)s1 ZINC000437070046 338299273 /nfs/dbraw/zinc/29/92/73/338299273.db2.gz ADSANXQYSVNMAB-RYUDHWBXSA-N 0 3 324.877 4.477 20 0 DIADHN CSCc1cccc(CN[C@H]2CCc3c2cccc3F)c1 ZINC000437073730 338299382 /nfs/dbraw/zinc/29/93/82/338299382.db2.gz FNMOUJCPQOJSPO-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCc3c2cccc3F)c1 ZINC000437073724 338299408 /nfs/dbraw/zinc/29/94/08/338299408.db2.gz FNMOUJCPQOJSPO-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cccc(CSC)c1 ZINC000437078300 338299542 /nfs/dbraw/zinc/29/95/42/338299542.db2.gz NEAOAFAJSVNADW-GOSISDBHSA-N 0 3 313.466 4.335 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1oc2ccc(OC)cc2c1C ZINC000437079376 338299685 /nfs/dbraw/zinc/29/96/85/338299685.db2.gz STLGQNBFQYZLFO-CYBMUJFWSA-N 0 3 305.418 4.216 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1ccccc1OC(F)(F)F ZINC000437081432 338299881 /nfs/dbraw/zinc/29/98/81/338299881.db2.gz HLSFEDPSKUZQOZ-LLVKDONJSA-N 0 3 305.340 4.051 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1ccccc1OC(F)(F)F ZINC000437081434 338299863 /nfs/dbraw/zinc/29/98/63/338299863.db2.gz HLSFEDPSKUZQOZ-NSHDSACASA-N 0 3 305.340 4.051 20 0 DIADHN CCOC(C)(C)CN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000437086102 338299953 /nfs/dbraw/zinc/29/99/53/338299953.db2.gz QGTMDJOTITUTNK-CYBMUJFWSA-N 0 3 302.245 4.385 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2N(C)C(C)C)cc1 ZINC000437085896 338300007 /nfs/dbraw/zinc/30/00/07/338300007.db2.gz COVGMZIEROWAKD-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN C[C@@H](CCc1ccsc1)N[C@@H]1CCOc2c(F)cccc21 ZINC000437086279 338300066 /nfs/dbraw/zinc/30/00/66/338300066.db2.gz QWLDIZKUGHNGQD-BLLLJJGKSA-N 0 3 305.418 4.322 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@H](CO)Cc1ccccc1 ZINC000437086253 338300090 /nfs/dbraw/zinc/30/00/90/338300090.db2.gz DYAGYKNFXJLUTF-RDJZCZTQSA-N 0 3 315.482 4.053 20 0 DIADHN CCOC(C)(C)CN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000437091025 338300368 /nfs/dbraw/zinc/30/03/68/338300368.db2.gz BYKRPYMSOPQOID-GOSISDBHSA-N 0 3 316.420 4.023 20 0 DIADHN CSCc1cccc(CN[C@@H](C)c2ccc3c(c2)COC3)c1 ZINC000437102189 338301003 /nfs/dbraw/zinc/30/10/03/338301003.db2.gz XISIKBHPMRZLSX-AWEZNQCLSA-N 0 3 313.466 4.431 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)OCO2 ZINC000437107699 338301385 /nfs/dbraw/zinc/30/13/85/338301385.db2.gz ZSMOCDCEDUSBGJ-JQWIXIFHSA-N 0 3 303.324 4.043 20 0 DIADHN FCCNCc1ccc(-c2cccc(Br)c2)s1 ZINC000437244166 338304532 /nfs/dbraw/zinc/30/45/32/338304532.db2.gz KUPZTJQAXDWHCG-UHFFFAOYSA-N 0 3 314.223 4.237 20 0 DIADHN COc1ccc(CNCc2ccc(-c3cccc(C)c3)cc2)cn1 ZINC000437799892 338322067 /nfs/dbraw/zinc/32/20/67/338322067.db2.gz MRROWSBULWFBAU-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3cccnc3)C3CC3)ccc2n1 ZINC000437803645 338322169 /nfs/dbraw/zinc/32/21/69/338322169.db2.gz USXXTIZTDAIPAC-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@H]1CCO[C@@H]1c1ccccc1 ZINC000437969589 338326759 /nfs/dbraw/zinc/32/67/59/338326759.db2.gz JHBACBDLLLWYBG-CRAIPNDOSA-N 0 3 319.807 4.347 20 0 DIADHN Cc1ccc(OCCNCc2ccc3nc(C)ccc3c2)cc1 ZINC000438082910 338330401 /nfs/dbraw/zinc/33/04/01/338330401.db2.gz WIAQCSOVPDUJLK-UHFFFAOYSA-N 0 3 306.409 4.020 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC3(C2)CCCCC3)cs1 ZINC000438101662 338331608 /nfs/dbraw/zinc/33/16/08/338331608.db2.gz BSDYIXTZOZYAIB-UHFFFAOYSA-N 0 3 301.459 4.294 20 0 DIADHN CCCC[C@H](CC)CCN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000438108177 338332091 /nfs/dbraw/zinc/33/20/91/338332091.db2.gz XSJOOGKTXKGQHK-HRAATJIYSA-N 0 3 309.519 4.462 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC[C@@]3(CC=CCC3)C2)cs1 ZINC000438110081 338332273 /nfs/dbraw/zinc/33/22/73/338332273.db2.gz RJKNVJIOXUYGHD-SFHVURJKSA-N 0 3 313.470 4.461 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CCC[C@]3(CC=CCC3)C2)cs1 ZINC000438110079 338332312 /nfs/dbraw/zinc/33/23/12/338332312.db2.gz RJKNVJIOXUYGHD-GOSISDBHSA-N 0 3 313.470 4.461 20 0 DIADHN Cc1ccc2cc(CN3CCS[C@@H](C(C)C)C3)ccc2n1 ZINC000438111354 338332435 /nfs/dbraw/zinc/33/24/35/338332435.db2.gz UXGSZBKNBFTNJR-GOSISDBHSA-N 0 3 300.471 4.117 20 0 DIADHN Fc1cccc(F)c1CN(CC[C@@H]1CCOC1)C1CCCC1 ZINC000438121392 338333341 /nfs/dbraw/zinc/33/33/41/338333341.db2.gz OWHHCKMWQQSXBX-CQSZACIVSA-N 0 3 309.400 4.136 20 0 DIADHN C[C@H](CN1CCN(C2CCC(C)CC2)CC1)c1ccc(F)cc1 ZINC000438121432 338333353 /nfs/dbraw/zinc/33/33/53/338333353.db2.gz PGIWORICMDABGC-OHTSDLOESA-N 0 3 318.480 4.126 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cc3c(cccc3F)[nH]2)CC1 ZINC000438132224 338333988 /nfs/dbraw/zinc/33/39/88/338333988.db2.gz ZBVJEVIDRYOAET-HNNXBMFYSA-N 0 3 304.409 4.088 20 0 DIADHN CCc1ccc([C@@H](COC)NC[C@H](C)c2ccc(F)cc2)o1 ZINC000438185041 338335504 /nfs/dbraw/zinc/33/55/04/338335504.db2.gz BSCDREGQPQIVAH-SUMWQHHRSA-N 0 3 305.393 4.062 20 0 DIADHN Cc1ccc2[nH]c(CNCCCCCOc3ccccc3)nc2c1 ZINC000438185146 338335561 /nfs/dbraw/zinc/33/55/61/338335561.db2.gz YXWBAVAWOURGCZ-UHFFFAOYSA-N 0 3 323.440 4.210 20 0 DIADHN Cc1ccc2nc(CNCCCCCOc3ccccc3)[nH]c2c1 ZINC000438185146 338335562 /nfs/dbraw/zinc/33/55/62/338335562.db2.gz YXWBAVAWOURGCZ-UHFFFAOYSA-N 0 3 323.440 4.210 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)s1 ZINC000438189074 338335723 /nfs/dbraw/zinc/33/57/23/338335723.db2.gz KPFFUZYNYVGROZ-ZJUUUORDSA-N 0 3 321.412 4.046 20 0 DIADHN CCC(CC)c1cc(CN[C@H](C)Cc2ccccc2F)on1 ZINC000438188972 338335734 /nfs/dbraw/zinc/33/57/34/338335734.db2.gz JPIMZQYCSDMSQO-CYBMUJFWSA-N 0 3 304.409 4.438 20 0 DIADHN Cc1cnc([C@H](NC[C@@H](C)c2ccc(F)cc2)C2CC2)s1 ZINC000438187972 338335798 /nfs/dbraw/zinc/33/57/98/338335798.db2.gz JVTCLCFCQUATMF-BDJLRTHQSA-N 0 3 304.434 4.435 20 0 DIADHN Clc1cccc([C@H](NCC[C@H]2CCOC2)c2ccccc2)c1 ZINC000438205116 338336624 /nfs/dbraw/zinc/33/66/24/338336624.db2.gz VOWSDGWCKUUXPC-HNAYVOBHSA-N 0 3 315.844 4.446 20 0 DIADHN COc1ccc(C2(NCc3ccc4nc(C)ccc4c3)CC2)cc1 ZINC000438215299 338336885 /nfs/dbraw/zinc/33/68/85/338336885.db2.gz YXPQJLGDONZILN-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN CN(Cc1ccc2ccccc2n1)CC1(c2ccccc2)CC1 ZINC000438266042 338338202 /nfs/dbraw/zinc/33/82/02/338338202.db2.gz JSUXOHJANWEKJR-UHFFFAOYSA-N 0 3 302.421 4.398 20 0 DIADHN CC1(C)CN(Cc2cc(-c3ccccc3)cs2)CC[C@@H]1O ZINC000438334939 338340018 /nfs/dbraw/zinc/34/00/18/338340018.db2.gz NLXWOFOZJSPAGZ-KRWDZBQOSA-N 0 3 301.455 4.008 20 0 DIADHN CCc1ccc(-c2ccc(CN3CC[C@H](O)C(C)(C)C3)o2)cc1 ZINC000438337084 338340076 /nfs/dbraw/zinc/34/00/76/338340076.db2.gz WYRUFCCASOPUNA-IBGZPJMESA-N 0 3 313.441 4.102 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2cnc(-c3ccncc3)s2)C1 ZINC000438343393 338340313 /nfs/dbraw/zinc/34/03/13/338340313.db2.gz BWQGQJQAOCLJDW-OAHLLOKOSA-N 0 3 301.459 4.073 20 0 DIADHN Clc1cnc(CN[C@H]2CC[C@H](Cc3ccccc3)C2)s1 ZINC000438367432 338341688 /nfs/dbraw/zinc/34/16/88/338341688.db2.gz NJUVVUFDAKGAGO-KGLIPLIRSA-N 0 3 306.862 4.298 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](CC(F)(F)F)C(C)C ZINC000438467207 338344580 /nfs/dbraw/zinc/34/45/80/338344580.db2.gz RRFWIEIIFNXAMS-LBPRGKRZSA-N 0 3 309.759 4.415 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000438476106 338345218 /nfs/dbraw/zinc/34/52/18/338345218.db2.gz FBXFELMAKBWFHR-IAGOWNOFSA-N 0 3 322.452 4.413 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1c[nH]c(-c2ccccc2)n1 ZINC000438482589 338345564 /nfs/dbraw/zinc/34/55/64/338345564.db2.gz BCEYSFKGYZATHG-AWEZNQCLSA-N 0 3 311.351 4.143 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)[nH]1 ZINC000438482589 338345565 /nfs/dbraw/zinc/34/55/65/338345565.db2.gz BCEYSFKGYZATHG-AWEZNQCLSA-N 0 3 311.351 4.143 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCCc2ccc(O)cc21 ZINC000438823996 338352629 /nfs/dbraw/zinc/35/26/29/338352629.db2.gz AKJNJLDEPVKFLW-RBUKOAKNSA-N 0 3 309.409 4.055 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCCc2ccc(O)cc21 ZINC000438823994 338352698 /nfs/dbraw/zinc/35/26/98/338352698.db2.gz AKJNJLDEPVKFLW-MOPGFXCFSA-N 0 3 309.409 4.055 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@H]3CCCCS3)oc21 ZINC000156369014 338355382 /nfs/dbraw/zinc/35/53/82/338355382.db2.gz SITZKJKJCPMZIZ-JSGCOSHPSA-N 0 3 305.443 4.378 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H]3C[C@@H]4OCCC[C@@H]34)o2)cc1 ZINC000438908569 338355624 /nfs/dbraw/zinc/35/56/24/338355624.db2.gz AHCGFGSHFGZLGB-NSHGMRRFSA-N 0 3 311.425 4.166 20 0 DIADHN CCc1ccc(CCN2CCCC[C@H]2c2nccn2CC)cc1 ZINC000439012957 338358285 /nfs/dbraw/zinc/35/82/85/338358285.db2.gz BKAJAHNFIWAVQU-IBGZPJMESA-N 0 3 311.473 4.235 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC([C@H]2CCCO2)CC1 ZINC000439284776 338366059 /nfs/dbraw/zinc/36/60/59/338366059.db2.gz MMJJTMSTZNHBQY-QZTJIDSGSA-N 0 3 309.400 4.307 20 0 DIADHN COc1cccc(Cl)c1CNc1cccc(CN(C)C)c1 ZINC000156519708 338366560 /nfs/dbraw/zinc/36/65/60/338366560.db2.gz LCRBSWKVDNMSBM-UHFFFAOYSA-N 0 3 304.821 4.022 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2ccc(C(F)(F)F)cc2)CCCO1 ZINC000439470673 338370616 /nfs/dbraw/zinc/37/06/16/338370616.db2.gz PTXUQDRZBQGWPQ-IUODEOHRSA-N 0 3 301.352 4.267 20 0 DIADHN CSc1ccc([C@@H](C)NCCCC(=O)OC(C)(C)C)cc1 ZINC000439469670 338370620 /nfs/dbraw/zinc/37/06/20/338370620.db2.gz MQGXRIFMMIZEHO-CYBMUJFWSA-N 0 3 309.475 4.181 20 0 DIADHN CC(C)CC(C)(C)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000439795455 338378413 /nfs/dbraw/zinc/37/84/13/338378413.db2.gz RPXFABZFHPIUTE-AEFFLSMTSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)CC(C)(C)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000439795457 338378432 /nfs/dbraw/zinc/37/84/32/338378432.db2.gz RPXFABZFHPIUTE-FUHWJXTLSA-N 0 3 316.489 4.010 20 0 DIADHN CCCCOCCNC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000439822120 338379076 /nfs/dbraw/zinc/37/90/76/338379076.db2.gz YXQSPFCUPRHUBL-UHFFFAOYSA-N 0 3 301.352 4.101 20 0 DIADHN CCc1ccc(CNCc2cnc(-c3ccncc3)s2)s1 ZINC000440329543 338385566 /nfs/dbraw/zinc/38/55/66/338385566.db2.gz RNZUPZALXFOICH-UHFFFAOYSA-N 0 3 315.467 4.119 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cnc(-c2ccncc2)s1 ZINC000440323821 338385662 /nfs/dbraw/zinc/38/56/62/338385662.db2.gz DRFMLFANIRVKJX-CQSZACIVSA-N 0 3 309.438 4.364 20 0 DIADHN CCc1ccccc1CNCc1cnc(-c2ccncc2)s1 ZINC000440333539 338385877 /nfs/dbraw/zinc/38/58/77/338385877.db2.gz CBCZWPUWBVECGT-UHFFFAOYSA-N 0 3 309.438 4.057 20 0 DIADHN CCOc1ccc(-c2nc(CN3[C@H](C)CC[C@@H]3C)co2)cc1 ZINC000156868173 338387123 /nfs/dbraw/zinc/38/71/23/338387123.db2.gz WKVUFLXTYTVTLG-OKILXGFUSA-N 0 3 300.402 4.113 20 0 DIADHN CC(C)c1ncc(CN(C)CCCc2ccccc2Cl)cn1 ZINC000440476728 338388118 /nfs/dbraw/zinc/38/81/18/338388118.db2.gz IVERTSHHUKBLHH-UHFFFAOYSA-N 0 3 317.864 4.318 20 0 DIADHN FC(F)(F)c1cc(CN[C@@H](CC2CCC2)c2ccccc2)[nH]n1 ZINC000440612598 338392376 /nfs/dbraw/zinc/39/23/76/338392376.db2.gz PXVGQDIEPUSRQZ-HNNXBMFYSA-N 0 3 323.362 4.450 20 0 DIADHN FC(F)(F)c1cc(CN[C@H](CC2CCC2)c2ccccc2)[nH]n1 ZINC000440612600 338392425 /nfs/dbraw/zinc/39/24/25/338392425.db2.gz PXVGQDIEPUSRQZ-OAHLLOKOSA-N 0 3 323.362 4.450 20 0 DIADHN CSc1ccccc1[C@H](C)NCCOc1ccccc1F ZINC000440635546 338393540 /nfs/dbraw/zinc/39/35/40/338393540.db2.gz JFRFLTKTLOAWBH-ZDUSSCGKSA-N 0 3 305.418 4.277 20 0 DIADHN CC(C(=O)N(CC(F)F)c1cccc(Cl)c1)C(F)(F)F ZINC000440680667 338395076 /nfs/dbraw/zinc/39/50/76/338395076.db2.gz RTDXAXFMIBXSHJ-SSDOTTSWSA-N 0 3 315.669 4.137 20 0 DIADHN OC[C@]1(NCc2cccc3ccccc32)CCCc2ccccc21 ZINC000440814557 338397966 /nfs/dbraw/zinc/39/79/66/338397966.db2.gz DPHOPVARLGSCGQ-JOCHJYFZSA-N 0 3 317.432 4.154 20 0 DIADHN CC(C)(C)[C@H](NCc1cc(C(F)(F)F)n[nH]1)c1ccccc1 ZINC000440822207 338398165 /nfs/dbraw/zinc/39/81/65/338398165.db2.gz KGFXKFVKNYRTOB-CQSZACIVSA-N 0 3 311.351 4.306 20 0 DIADHN CCOc1ccccc1OCCN([C@@H](C)c1ccco1)C1CC1 ZINC000440902108 338399599 /nfs/dbraw/zinc/39/95/99/338399599.db2.gz HTDSYGIKSNAUFK-HNNXBMFYSA-N 0 3 315.413 4.283 20 0 DIADHN CCC[C@H](C)CNCc1nc(COC)sc1-c1ccccc1 ZINC000441364344 338410438 /nfs/dbraw/zinc/41/04/38/338410438.db2.gz YUZMQHXDFHTKNR-AWEZNQCLSA-N 0 3 318.486 4.482 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2ccncc2OCC(F)F)C1 ZINC000441386131 338411419 /nfs/dbraw/zinc/41/14/19/338411419.db2.gz WEICBVHPWSIYDN-HIFRSBDPSA-N 0 3 312.404 4.030 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@H](c1ccccc1)N(C)C ZINC000442632831 338426269 /nfs/dbraw/zinc/42/62/69/338426269.db2.gz GKMTZZHWDRBKAS-CRAIPNDOSA-N 0 3 314.498 4.362 20 0 DIADHN CSc1ccccc1[C@@H](C)NCCOc1ccccc1F ZINC000442633438 338426349 /nfs/dbraw/zinc/42/63/49/338426349.db2.gz JFRFLTKTLOAWBH-CYBMUJFWSA-N 0 3 305.418 4.277 20 0 DIADHN C[C@H](NCc1ccc(-c2cccnc2)cc1)c1cccc(O)c1 ZINC000442781509 338430822 /nfs/dbraw/zinc/43/08/22/338430822.db2.gz OIFYBLCOLABOGC-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN COCCC[C@H](NCc1sc(C)nc1C)c1ccc(F)cc1 ZINC000442784070 338430971 /nfs/dbraw/zinc/43/09/71/338430971.db2.gz OVBICAORQFJRCZ-INIZCTEOSA-N 0 3 322.449 4.157 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1cccc(N(C)C)c1 ZINC000442785866 338431186 /nfs/dbraw/zinc/43/11/86/338431186.db2.gz SNGDNHFKRUBEFN-CQSZACIVSA-N 0 3 300.471 4.325 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H]1CCN(c2ccccc2)C1 ZINC000442787029 338431286 /nfs/dbraw/zinc/43/12/86/338431286.db2.gz GYPAOVWCUYDJCR-HOTGVXAUSA-N 0 3 312.482 4.338 20 0 DIADHN Cc1cnc([C@@H](N[C@@H]2Cc3[nH]c4ccccc4c3C2)C2CC2)s1 ZINC000442792343 338431775 /nfs/dbraw/zinc/43/17/75/338431775.db2.gz NZDITTXPOOXNFT-UGSOOPFHSA-N 0 3 323.465 4.141 20 0 DIADHN CCC[C@@H](NCc1cccc(N2CCC2=O)c1)c1ccccc1 ZINC000442819159 338433436 /nfs/dbraw/zinc/43/34/36/338433436.db2.gz IALSPYBKTRVXRM-LJQANCHMSA-N 0 3 308.425 4.054 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1cccc(O)c1 ZINC000442867954 338436216 /nfs/dbraw/zinc/43/62/16/338436216.db2.gz GBDQYXSRJZAXKB-VBHSOAQHSA-N 0 3 319.832 4.472 20 0 DIADHN C[C@@H](NCCc1nc2ccccc2n1C)c1cc2ccccc2o1 ZINC000442888920 338438555 /nfs/dbraw/zinc/43/85/55/338438555.db2.gz CHKURUFTCCGYCA-CQSZACIVSA-N 0 3 319.408 4.213 20 0 DIADHN c1ccc2c(c1)C=C(CN[C@@H]1CCSc3ccccc31)CO2 ZINC000171049935 338526638 /nfs/dbraw/zinc/52/66/38/338526638.db2.gz BCBLWNJLNADZSB-QGZVFWFLSA-N 0 3 309.434 4.289 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)NC(C)(C)CC(C)(C)C ZINC000446585122 338530955 /nfs/dbraw/zinc/53/09/55/338530955.db2.gz HRHFHCDSJHCBSL-UHFFFAOYSA-N 0 3 319.493 4.127 20 0 DIADHN CCO[C@H](CNCc1cnc(C(C)(C)C)s1)c1ccccc1 ZINC000194464896 338532493 /nfs/dbraw/zinc/53/24/93/338532493.db2.gz CVKCUDQXFGCQLG-MRXNPFEDSA-N 0 3 318.486 4.308 20 0 DIADHN CC[C@H](NC[C@@H](c1ccsc1)N(C)C)c1cccc(F)c1 ZINC000446741425 338534487 /nfs/dbraw/zinc/53/44/87/338534487.db2.gz ADEFJMTYKYOPBV-IRXDYDNUSA-N 0 3 306.450 4.231 20 0 DIADHN CC[C@@H](NCc1cccc(-n2ccnc2)c1)c1cccc(F)c1 ZINC000446824376 338536803 /nfs/dbraw/zinc/53/68/03/338536803.db2.gz SXBYBMHLKZYQHK-LJQANCHMSA-N 0 3 309.388 4.252 20 0 DIADHN CCOC1CC(N[C@H](CC(F)(F)F)c2ccccc2C)C1 ZINC000446832498 338537487 /nfs/dbraw/zinc/53/74/87/338537487.db2.gz BPVMGZUUANIUCZ-SSDMNJCBSA-N 0 3 301.352 4.146 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCC[C@@H]1CCOC1 ZINC000446854038 338538617 /nfs/dbraw/zinc/53/86/17/338538617.db2.gz ULKGTNNEOBCYIP-HIFRSBDPSA-N 0 3 301.352 4.005 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](CC)c2cccc(F)c2)cc1 ZINC000446927862 338540743 /nfs/dbraw/zinc/54/07/43/338540743.db2.gz CRTRWNSVMRQBED-RBUKOAKNSA-N 0 3 301.405 4.162 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCC(F)(F)F)CCCS2 ZINC000446927311 338540768 /nfs/dbraw/zinc/54/07/68/338540768.db2.gz GEPXISFQBNLCSF-GFCCVEGCSA-N 0 3 305.365 4.164 20 0 DIADHN Cc1nccnc1[C@H](C)NCCc1cccc(-c2ccccc2)c1 ZINC000446932066 338541112 /nfs/dbraw/zinc/54/11/12/338541112.db2.gz UAFFFKVRWDDWIB-INIZCTEOSA-N 0 3 317.436 4.345 20 0 DIADHN CCSCC[C@@H](C)NCc1cccc(F)c1Br ZINC000160191194 338544265 /nfs/dbraw/zinc/54/42/65/338544265.db2.gz XZCPSXSWKQRNKS-SNVBAGLBSA-N 0 3 320.271 4.210 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC(c3ncc[nH]3)CC2)c1 ZINC000447303446 338548714 /nfs/dbraw/zinc/54/87/14/338548714.db2.gz HZKZSIMUCCMXNX-UHFFFAOYSA-N 0 3 324.255 4.139 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc3c(c2)OCCO3)c2ccccc21 ZINC000447516302 338553527 /nfs/dbraw/zinc/55/35/27/338553527.db2.gz NYAVYOACPORUGT-MOPGFXCFSA-N 0 3 323.436 4.432 20 0 DIADHN CCC[C@H](Cc1ccccc1)N[C@@H](C)c1cc(C(=O)OC)co1 ZINC000447532487 338554630 /nfs/dbraw/zinc/55/46/30/338554630.db2.gz WJLUZZSWOOYJQT-WMLDXEAASA-N 0 3 315.413 4.128 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2cc(C)oc2C)N2CCCC2)o1 ZINC000447563780 338557060 /nfs/dbraw/zinc/55/70/60/338557060.db2.gz SJXASPKLLWKPAC-QAPCUYQASA-N 0 3 316.445 4.286 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c(C)o1 ZINC000447575390 338558300 /nfs/dbraw/zinc/55/83/00/338558300.db2.gz SEYABNOCZQMHLQ-UZCIPKQKSA-N 0 3 321.367 4.355 20 0 DIADHN Clc1cccc(Cl)c1C1(NC2CCOCC2)CCC1 ZINC000447579342 338558624 /nfs/dbraw/zinc/55/86/24/338558624.db2.gz NDXKZRBZJKZQCU-UHFFFAOYSA-N 0 3 300.229 4.141 20 0 DIADHN Clc1ccc(COCCN[C@H]2CCCc3occc32)cc1 ZINC000447589298 338559832 /nfs/dbraw/zinc/55/98/32/338559832.db2.gz XLHVJVZYNOGBIA-INIZCTEOSA-N 0 3 305.805 4.117 20 0 DIADHN FC(F)Oc1ccccc1CCN[C@@H]1CCCc2occc21 ZINC000447599109 338561194 /nfs/dbraw/zinc/56/11/94/338561194.db2.gz JDDDGHRZIRHAFL-CQSZACIVSA-N 0 3 307.340 4.091 20 0 DIADHN c1[nH]c(CNCC2(Cc3ccccc3)CC2)nc1-c1ccccc1 ZINC000447824191 338568044 /nfs/dbraw/zinc/56/80/44/338568044.db2.gz XZYHDGMKHNUIMB-UHFFFAOYSA-N 0 3 317.436 4.189 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1nc(-c2ccccc2)c[nH]1 ZINC000447828115 338568204 /nfs/dbraw/zinc/56/82/04/338568204.db2.gz DNIFXIRSBUOPAJ-SFHVURJKSA-N 0 3 305.425 4.188 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)c[nH]1)c1ccccc1F ZINC000447851603 338569198 /nfs/dbraw/zinc/56/91/98/338569198.db2.gz TWPMNDCCCQAZCD-UHFFFAOYSA-N 0 3 323.415 4.283 20 0 DIADHN Cc1cccc([C@@H](C)CNCc2nc(-c3ccccc3)c[nH]2)c1 ZINC000447854656 338569463 /nfs/dbraw/zinc/56/94/63/338569463.db2.gz MFZAOAMMIJSYLH-INIZCTEOSA-N 0 3 305.425 4.278 20 0 DIADHN C[C@@H](CC(C)(C)C)Nc1cccc(CN2CCC(CO)CC2)c1 ZINC000449046985 338587761 /nfs/dbraw/zinc/58/77/61/338587761.db2.gz OYKWGKQGEDQBLI-INIZCTEOSA-N 0 3 318.505 4.128 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc3c(c2)OCCO3)C(C)C)cs1 ZINC000449063119 338589634 /nfs/dbraw/zinc/58/96/34/338589634.db2.gz NYFNTSMGPMECLN-GOSISDBHSA-N 0 3 317.454 4.315 20 0 DIADHN CCCc1ccc([C@H](NCc2c(C)nnn2CC)C(C)C)cc1 ZINC000449067350 338590011 /nfs/dbraw/zinc/59/00/11/338590011.db2.gz KSPKDLDNNCWMSN-LJQANCHMSA-N 0 3 314.477 4.046 20 0 DIADHN CC[C@H]1C[C@@H](NCc2ccccc2OC2CCCC2)CCO1 ZINC000449087151 338592217 /nfs/dbraw/zinc/59/22/17/338592217.db2.gz FFKQDXRWOSAART-IRXDYDNUSA-N 0 3 303.446 4.055 20 0 DIADHN COCC1CCC(N[C@@H](CC(F)(F)F)c2ccccc2)CC1 ZINC000449087351 338592224 /nfs/dbraw/zinc/59/22/24/338592224.db2.gz GDRQVSVSXRAWSQ-BCLQGDPASA-N 0 3 315.379 4.475 20 0 DIADHN FC(F)(F)C[C@@H](N[C@@H]1CCOC2(CCC2)C1)c1ccccc1 ZINC000449091006 338592522 /nfs/dbraw/zinc/59/25/22/338592522.db2.gz PBCJZYIGLATIGV-HUUCEWRRSA-N 0 3 313.363 4.371 20 0 DIADHN COc1ccccc1C1(CNCc2ncc(C)s2)CCCC1 ZINC000449094775 338592790 /nfs/dbraw/zinc/59/27/90/338592790.db2.gz UCLGHQDHKWPFQM-UHFFFAOYSA-N 0 3 316.470 4.062 20 0 DIADHN c1ccc(C2(NCc3ccc4c(c3)OCCCCO4)CC2)cc1 ZINC000449127087 338595620 /nfs/dbraw/zinc/59/56/20/338595620.db2.gz WCBYSGXKFHIUKG-UHFFFAOYSA-N 0 3 309.409 4.017 20 0 DIADHN CC[C@H](NCc1cccc2c(OC)cccc12)c1ccncc1 ZINC000449164452 338598341 /nfs/dbraw/zinc/59/83/41/338598341.db2.gz PVGPKZCDXLJGLF-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@H](C)[C@@H](NCc1c(C)nnn1CC)c1ccc(Cl)cc1 ZINC000449175176 338599426 /nfs/dbraw/zinc/59/94/26/338599426.db2.gz QQFFHJHLVCKJBH-YVEFUNNKSA-N 0 3 320.868 4.137 20 0 DIADHN CC[C@@H](CNCc1cc2cccnc2o1)Oc1ccccc1C ZINC000449177177 338599569 /nfs/dbraw/zinc/59/95/69/338599569.db2.gz VBZBNWQTOGMPSR-INIZCTEOSA-N 0 3 310.397 4.083 20 0 DIADHN C[C@H](NCc1cc2cccnc2o1)c1cccc(N2CCCC2)c1 ZINC000449197000 338601653 /nfs/dbraw/zinc/60/16/53/338601653.db2.gz YAAAFYCDFDCNTL-HNNXBMFYSA-N 0 3 321.424 4.279 20 0 DIADHN Clc1ccccc1C1(CNCc2cc3cccnc3o2)CC1 ZINC000449202032 338601974 /nfs/dbraw/zinc/60/19/74/338601974.db2.gz FPHJUYWGFFAXOQ-UHFFFAOYSA-N 0 3 312.800 4.303 20 0 DIADHN COc1cccc2c(CN[C@H](c3cccnc3)C3CC3)cccc12 ZINC000449203493 338602220 /nfs/dbraw/zinc/60/22/20/338602220.db2.gz HSBKYKFJPQZQBC-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN CSCC1CCC(N[C@H](c2nc(C3CC3)no2)C(C)C)CC1 ZINC000449219383 338603438 /nfs/dbraw/zinc/60/34/38/338603438.db2.gz ACPDVRXTIXEEII-ZALBZXLWSA-N 0 3 323.506 4.156 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccncc2)cs1)C1CCC1 ZINC000449225133 338604038 /nfs/dbraw/zinc/60/40/38/338604038.db2.gz NWPYRNWZXYSHGJ-SWLSCSKDSA-N 0 3 301.459 4.434 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1c[nH]nc1-c1ccccc1 ZINC000449348845 338616025 /nfs/dbraw/zinc/61/60/25/338616025.db2.gz HHVCLWGAPILUAR-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1ccnn1-c1ccccc1 ZINC000449351367 338616442 /nfs/dbraw/zinc/61/64/42/338616442.db2.gz OVOFFCQVROVPCQ-FQEVSTJZSA-N 0 3 321.399 4.179 20 0 DIADHN CC(C)c1nn(-c2ccccc2)cc1CNCCC(C)(F)F ZINC000449353936 338617127 /nfs/dbraw/zinc/61/71/27/338617127.db2.gz IMKSPRNIOXGHFW-UHFFFAOYSA-N 0 3 307.388 4.131 20 0 DIADHN c1nc(CNCCCC2CCOCC2)sc1-c1ccccc1 ZINC000449355465 338617258 /nfs/dbraw/zinc/61/72/58/338617258.db2.gz ZUVCOZBBNWBLHV-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN CC(C)c1cnc(CNC[C@@H]2CSc3ccccc32)s1 ZINC000449364672 338617974 /nfs/dbraw/zinc/61/79/74/338617974.db2.gz OBWDHMRTHKEELJ-GFCCVEGCSA-N 0 3 304.484 4.246 20 0 DIADHN Cc1ccc(OC(F)F)c(CNCC(C)(C)c2ccccn2)c1 ZINC000449398682 338620778 /nfs/dbraw/zinc/62/07/78/338620778.db2.gz VXQDCRIEEOFEEW-UHFFFAOYSA-N 0 3 320.383 4.059 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1cccc(C(F)(F)F)n1 ZINC000449401150 338620989 /nfs/dbraw/zinc/62/09/89/338620989.db2.gz LOWXWKGELQPRGA-SECBINFHSA-N 0 3 314.273 4.311 20 0 DIADHN C[C@@H](NCc1cccc(C(F)(F)F)n1)[C@H](C)c1ccccc1 ZINC000449401331 338621087 /nfs/dbraw/zinc/62/10/87/338621087.db2.gz MHTHCDJMBDSIRY-QWHCGFSZSA-N 0 3 308.347 4.382 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccnc(C(F)(F)F)c2)CS1 ZINC000449439679 338624239 /nfs/dbraw/zinc/62/42/39/338624239.db2.gz WDAZBCGJNUQKHG-AAEUAGOBSA-N 0 3 318.408 4.110 20 0 DIADHN FCC1(NCc2cc(-c3ccccc3)cs2)CCOCC1 ZINC000449446301 338624665 /nfs/dbraw/zinc/62/46/65/338624665.db2.gz QEOVHVDHYCMKKX-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN Cc1ncsc1CCNCc1csc(Cl)c1Cl ZINC000449468157 338627563 /nfs/dbraw/zinc/62/75/63/338627563.db2.gz ODDWRDWBNZQTIB-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN CO[C@@](C)(CNCc1cnc(C2CCC2)s1)c1ccccc1 ZINC000449484419 338629634 /nfs/dbraw/zinc/62/96/34/338629634.db2.gz MIEDJFOJHRUGOR-SFHVURJKSA-N 0 3 316.470 4.062 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1ncc(-c2ccccc2)s1 ZINC000449492232 338630706 /nfs/dbraw/zinc/63/07/06/338630706.db2.gz ITVUSJIJCBCDEY-CYBMUJFWSA-N 0 3 308.397 4.335 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CNC[C@H]1CCCC1(F)F ZINC000449496665 338631601 /nfs/dbraw/zinc/63/16/01/338631601.db2.gz QESQUTKPWUMHQJ-MRXNPFEDSA-N 0 3 320.358 4.413 20 0 DIADHN C[C@@H]1C[C@@H](NCCCc2c(Cl)cccc2Cl)c2nccn21 ZINC000449571734 338638934 /nfs/dbraw/zinc/63/89/34/338638934.db2.gz LYLDBPNVVYFYPO-IAQYHMDHSA-N 0 3 324.255 4.418 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449574054 338639128 /nfs/dbraw/zinc/63/91/28/338639128.db2.gz SVEYSQIBKBMYLJ-IRWJRLHMSA-N 0 3 315.848 4.468 20 0 DIADHN CCc1ncc(CN[C@@H]2CCC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000449609350 338640695 /nfs/dbraw/zinc/64/06/95/338640695.db2.gz NBCMDFNYYJNXLA-HZPDHXFCSA-N 0 3 304.821 4.316 20 0 DIADHN CCCc1ncc(CNCCCc2c(F)cccc2Cl)o1 ZINC000449615680 338641367 /nfs/dbraw/zinc/64/13/67/338641367.db2.gz ZNSMDAQCGJZKSE-UHFFFAOYSA-N 0 3 310.800 4.142 20 0 DIADHN CC(C)[C@@H](CC(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000449673931 338645599 /nfs/dbraw/zinc/64/55/99/338645599.db2.gz RCXNPXMYFAFRSM-LJQANCHMSA-N 0 3 308.425 4.058 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)NCc1cnc(C2CC2)o1 ZINC000449707903 338647529 /nfs/dbraw/zinc/64/75/29/338647529.db2.gz SMVGTZZEEKYSAH-LLVKDONJSA-N 0 3 324.346 4.292 20 0 DIADHN CCc1cc(CCCN[C@@H](C)c2ccc(F)cc2Cl)on1 ZINC000450669526 338697573 /nfs/dbraw/zinc/69/75/73/338697573.db2.gz CASIHFRNZHDPFO-NSHDSACASA-N 0 3 310.800 4.313 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(C)cc2)cc1Cl ZINC000450672004 338697798 /nfs/dbraw/zinc/69/77/98/338697798.db2.gz XRQGDVOYFDEODE-CYBMUJFWSA-N 0 3 317.816 4.286 20 0 DIADHN CC(C)(NCC1CC(F)(F)C1)c1ccccc1Br ZINC000450684296 338699088 /nfs/dbraw/zinc/69/90/88/338699088.db2.gz RKNJYOAKTWRPHV-UHFFFAOYSA-N 0 3 318.205 4.319 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NC[C@@H]1CCCCC1(F)F ZINC000450764475 338704313 /nfs/dbraw/zinc/70/43/13/338704313.db2.gz CMLGURVWRPWJAT-OCCSQVGLSA-N 0 3 313.436 4.423 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCc1cscn1 ZINC000450864159 338709291 /nfs/dbraw/zinc/70/92/91/338709291.db2.gz HJVRBOZTHQNBBO-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(CCc2cscn2)CC1 ZINC000450906360 338711761 /nfs/dbraw/zinc/71/17/61/338711761.db2.gz QVQTYOYVHVJEQQ-UHFFFAOYSA-N 0 3 324.852 4.300 20 0 DIADHN CC1=C(C(=O)Nc2ccccc2CCN(C)C)CCC(C)(C)C1 ZINC000450998592 338716518 /nfs/dbraw/zinc/71/65/18/338716518.db2.gz BXNVPHSAIILSGA-UHFFFAOYSA-N 0 3 314.473 4.256 20 0 DIADHN CCn1c2ccc(F)cc2nc1CN[C@H]1CCC12CCCC2 ZINC000451461857 338735474 /nfs/dbraw/zinc/73/54/74/338735474.db2.gz VBOFQWDWTOTGMO-INIZCTEOSA-N 0 3 301.409 4.008 20 0 DIADHN C[C@H](N[C@H]1C[C@H](OCc2ccccc2)C1(C)C)c1cccnc1 ZINC000451490627 338736594 /nfs/dbraw/zinc/73/65/94/338736594.db2.gz GVNIXJKYAWWPDP-SNRMKQJTSA-N 0 3 310.441 4.116 20 0 DIADHN CC[C@@H](NCC1=CCCOC1)c1ccc(Cl)cc1Cl ZINC000379750657 338748775 /nfs/dbraw/zinc/74/87/75/338748775.db2.gz LEDPOORDOWREGV-OAHLLOKOSA-N 0 3 300.229 4.381 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccccc2SC)cs1 ZINC000194878690 338772803 /nfs/dbraw/zinc/77/28/03/338772803.db2.gz CSIUUWKKDGMMBS-GFCCVEGCSA-N 0 3 306.500 4.321 20 0 DIADHN C[C@H](NCCCOc1cccc2cccnc21)c1cccc(O)c1 ZINC000194923373 338779425 /nfs/dbraw/zinc/77/94/25/338779425.db2.gz NQPHCOGQWHVPCF-HNNXBMFYSA-N 0 3 322.408 4.060 20 0 DIADHN Cc1c(-c2nc(-c3ccc(CN(C)C)cc3)no2)ccn1C(C)C ZINC000453042008 338787447 /nfs/dbraw/zinc/78/74/47/338787447.db2.gz PPNSLLBPFYRMOE-UHFFFAOYSA-N 0 3 324.428 4.156 20 0 DIADHN CC[C@@H](NC[C@H](c1ccccc1Cl)N(C)C)c1ccncc1 ZINC000453059524 338788666 /nfs/dbraw/zinc/78/86/66/338788666.db2.gz QNNBWUANSGEFJZ-QZTJIDSGSA-N 0 3 317.864 4.079 20 0 DIADHN CC[C@@H](NCCOc1ccc2ccccc2c1)c1ccncc1 ZINC000453061801 338789058 /nfs/dbraw/zinc/78/90/58/338789058.db2.gz DCZLOMOXLDQVCE-HXUWFJFHSA-N 0 3 306.409 4.355 20 0 DIADHN Cc1ccccc1C1(CN[C@@H]2CCn3ccnc32)CCCCC1 ZINC000453089563 338792467 /nfs/dbraw/zinc/79/24/67/338792467.db2.gz KEPVSEWTZUHTBL-GOSISDBHSA-N 0 3 309.457 4.128 20 0 DIADHN Cc1cccc(C2(CN[C@H]3C[C@H](C)n4ccnc43)CCCC2)c1 ZINC000453098930 338793561 /nfs/dbraw/zinc/79/35/61/338793561.db2.gz VLCPOBVADBKTEF-WMZOPIPTSA-N 0 3 309.457 4.299 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1nc(C(C)(C)C)cs1 ZINC000453101183 338793970 /nfs/dbraw/zinc/79/39/70/338793970.db2.gz NIIPYNJPNPRMAU-CQSZACIVSA-N 0 3 317.502 4.337 20 0 DIADHN Clc1cccc(C2(CN[C@@H]3CCn4ccnc43)CCCC2)c1 ZINC000453104343 338794374 /nfs/dbraw/zinc/79/43/74/338794374.db2.gz ZEEBYCGQOHJGRX-MRXNPFEDSA-N 0 3 315.848 4.083 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccc(-n3cncn3)c2)c2sccc21 ZINC000453108761 338794709 /nfs/dbraw/zinc/79/47/09/338794709.db2.gz LOKSRPUQBKXRLW-SUMWQHHRSA-N 0 3 324.453 4.057 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccc(-n3cncn3)c2)c2sccc21 ZINC000453108760 338794761 /nfs/dbraw/zinc/79/47/61/338794761.db2.gz LOKSRPUQBKXRLW-GUYCJALGSA-N 0 3 324.453 4.057 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000453129231 338797512 /nfs/dbraw/zinc/79/75/12/338797512.db2.gz XNXZZLSKCQDOIZ-BEFAXECRSA-N 0 3 314.429 4.196 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCN(c3ncccc3Cl)C2)cs1 ZINC000453144222 338799312 /nfs/dbraw/zinc/79/93/12/338799312.db2.gz QMZQKAZJESZEDS-TZMCWYRMSA-N 0 3 321.877 4.034 20 0 DIADHN c1ccc(-c2nnc(CN[C@@H](C3CC3)C3CCCC3)s2)cc1 ZINC000453148212 338799716 /nfs/dbraw/zinc/79/97/16/338799716.db2.gz PBUZYWWLUBPGOO-QGZVFWFLSA-N 0 3 313.470 4.264 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000453148409 338799807 /nfs/dbraw/zinc/79/98/07/338799807.db2.gz UWQYVAPRPANLHV-PXAZEXFGSA-N 0 3 319.399 4.063 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H]1CCOc2ccc(F)cc21 ZINC000453154118 338800336 /nfs/dbraw/zinc/80/03/36/338800336.db2.gz CZFIQHRPMXLZRR-KDOFPFPSSA-N 0 3 314.404 4.045 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1ccncc1 ZINC000453164800 338801635 /nfs/dbraw/zinc/80/16/35/338801635.db2.gz OIYFISRHUIXUDL-RCCFBDPRSA-N 0 3 316.832 4.306 20 0 DIADHN CC[C@H](NCCc1nnc(-c2ccccc2)o1)c1ccsc1 ZINC000453170680 338802266 /nfs/dbraw/zinc/80/22/66/338802266.db2.gz LKSGZRZXKHOWBP-HNNXBMFYSA-N 0 3 313.426 4.082 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H](C)c1cc(-c2ccccc2)nn1C ZINC000453190802 338805198 /nfs/dbraw/zinc/80/51/98/338805198.db2.gz DCLGTQXRTMOJPW-VXGBXAGGSA-N 0 3 311.351 4.079 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1cc(-c2ccccc2)nn1C ZINC000453204266 338807482 /nfs/dbraw/zinc/80/74/82/338807482.db2.gz CQICNJRMGKBSEI-LBPRGKRZSA-N 0 3 323.362 4.080 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccncn1)c1ccc(Cl)cc1 ZINC000453209631 338808258 /nfs/dbraw/zinc/80/82/58/338808258.db2.gz KPIDPNMPZFNRIV-XJKSGUPXSA-N 0 3 303.837 4.220 20 0 DIADHN CC(F)(F)CCN[C@H]1CCc2c1cc(Br)cc2F ZINC000453216456 338809172 /nfs/dbraw/zinc/80/91/72/338809172.db2.gz HCPYHNMFAJSJGE-LBPRGKRZSA-N 0 3 322.168 4.210 20 0 DIADHN C[C@@H](NC[C@H]1CSc2ccccc21)c1ccc(Cl)cn1 ZINC000453222914 338810000 /nfs/dbraw/zinc/81/00/00/338810000.db2.gz RZXNDTWTVGKKQB-NEPJUHHUSA-N 0 3 304.846 4.275 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@@H](c2ccccc2F)C1 ZINC000453223367 338810150 /nfs/dbraw/zinc/81/01/50/338810150.db2.gz RAQQRHIIYGNYFN-ZNMIVQPWSA-N 0 3 301.409 4.029 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@@H](C)NC2CC(C)(F)C2)cc1 ZINC000453230722 338811222 /nfs/dbraw/zinc/81/12/22/338811222.db2.gz DQSITRHOJVXFCN-IMUUDWKMSA-N 0 3 321.440 4.102 20 0 DIADHN CC1(F)CC(N[C@H](Cc2cccnc2)c2ccc(Cl)cc2)C1 ZINC000453230777 338811299 /nfs/dbraw/zinc/81/12/99/338811299.db2.gz DUXRCTPMWCTQNQ-LXPRWKDFSA-N 0 3 318.823 4.499 20 0 DIADHN CC(F)(F)CCCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000453239768 338812542 /nfs/dbraw/zinc/81/25/42/338812542.db2.gz SUALFGJCNOSRLS-INIZCTEOSA-N 0 3 308.347 4.335 20 0 DIADHN C[C@H](NC1CC(C)(F)C1)c1ccc(F)cc1Br ZINC000453240820 338812949 /nfs/dbraw/zinc/81/29/49/338812949.db2.gz SVEHDBOJUCXXAT-LUHBLDKBSA-N 0 3 304.178 4.129 20 0 DIADHN C[C@@H]1C[C@H](NCC[C@H](c2ccccc2)C(F)(F)F)c2nccn21 ZINC000453243049 338813193 /nfs/dbraw/zinc/81/31/93/338813193.db2.gz QVJLQZTVYYGZPH-YUELXQCFSA-N 0 3 323.362 4.215 20 0 DIADHN C[C@@H]1C[C@@H](NCC[C@@H](c2ccccc2)C(F)(F)F)c2nccn21 ZINC000453243048 338813239 /nfs/dbraw/zinc/81/32/39/338813239.db2.gz QVJLQZTVYYGZPH-VHDGCEQUSA-N 0 3 323.362 4.215 20 0 DIADHN CC[C@@H](NC[C@]1(C)OCCc2sccc21)c1ccsc1 ZINC000453253636 338814643 /nfs/dbraw/zinc/81/46/43/338814643.db2.gz OBXYYSKUOXWKAL-ZBFHGGJFSA-N 0 3 307.484 4.338 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)ccc1F ZINC000453263156 338815754 /nfs/dbraw/zinc/81/57/54/338815754.db2.gz UASLQPYUPKSYRM-CHWSQXEVSA-N 0 3 301.361 4.275 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-c2ccccc2)o1)[C@H](O)C(F)F ZINC000453268028 338816412 /nfs/dbraw/zinc/81/64/12/338816412.db2.gz JMDXDFWTJXRGPB-RBOXIYTFSA-N 0 3 309.356 4.002 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(SC(C)C)cc1)[C@H](O)C(F)F ZINC000453269863 338816690 /nfs/dbraw/zinc/81/66/90/338816690.db2.gz NNIVHXWLOMZNKG-UGFHNGPFSA-N 0 3 317.445 4.242 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)N[C@H](CC)[C@@H](O)C(F)F ZINC000453269588 338816735 /nfs/dbraw/zinc/81/67/35/338816735.db2.gz MXOABVNMEWKPTB-PSOPSSQASA-N 0 3 311.372 4.050 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCC1=CCOCC1 ZINC000453281465 338818020 /nfs/dbraw/zinc/81/80/20/338818020.db2.gz GSJWBYWNRKREQZ-CYBMUJFWSA-N 0 3 314.454 4.116 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(OC(F)(F)F)cc32)ccn1 ZINC000453287416 338819063 /nfs/dbraw/zinc/81/90/63/338819063.db2.gz LEKMJQNNBYUPSJ-MRXNPFEDSA-N 0 3 322.330 4.066 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1ncc(C(F)(F)F)cn1 ZINC000453290053 338819364 /nfs/dbraw/zinc/81/93/64/338819364.db2.gz AIHHONTVPZRYSP-CHWSQXEVSA-N 0 3 315.383 4.190 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccn1)c1cnn(C2CCCC2)c1 ZINC000453295584 338820166 /nfs/dbraw/zinc/82/01/66/338820166.db2.gz RRIXQYSGBKSLRT-OAHLLOKOSA-N 0 3 312.461 4.022 20 0 DIADHN Cc1cccnc1CCN[C@@H]1CCCOc2cc(Cl)ccc21 ZINC000453302502 338821208 /nfs/dbraw/zinc/82/12/08/338821208.db2.gz BZEYGWGAYQRJTP-QGZVFWFLSA-N 0 3 316.832 4.089 20 0 DIADHN CC[C@@](C)(CN[C@@H](C)c1nc(-c2ccccc2)cs1)OC ZINC000453309101 338822201 /nfs/dbraw/zinc/82/22/01/338822201.db2.gz XJIXVEVWQXEMOS-GUYCJALGSA-N 0 3 304.459 4.276 20 0 DIADHN C[C@@H](CC(C)(C)O)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000453312674 338822832 /nfs/dbraw/zinc/82/28/32/338822832.db2.gz HECWQBASFXUFBM-ZDUSSCGKSA-N 0 3 319.395 4.193 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCC2(F)F)c2cccnc2)cc1 ZINC000453313371 338822926 /nfs/dbraw/zinc/82/29/26/338822926.db2.gz CUARQHGQUYYXQZ-NVXWUHKLSA-N 0 3 320.358 4.335 20 0 DIADHN Cc1csc(CN[C@H](C)c2cnn(Cc3ccccc3)c2)c1 ZINC000453314010 338823097 /nfs/dbraw/zinc/82/30/97/338823097.db2.gz IBUSQEQASYJYRF-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@@H]3CCC[C@H]3OC)oc21 ZINC000453318333 338823904 /nfs/dbraw/zinc/82/39/04/338823904.db2.gz BCMOUQKNISOSLP-BPLDGKMQSA-N 0 3 303.402 4.050 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H](C)CC(C)(C)O)oc21 ZINC000453320717 338824413 /nfs/dbraw/zinc/82/44/13/338824413.db2.gz NMTHQSOLASZZCE-OLZOCXBDSA-N 0 3 305.418 4.032 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000453323274 338824811 /nfs/dbraw/zinc/82/48/11/338824811.db2.gz PHGICHICQCQXAI-KKSFZXQISA-N 0 3 320.436 4.447 20 0 DIADHN Cc1csc(CN[C@@H](C[C@@H]2CCOC2)c2ccc(F)cc2)c1 ZINC000453323053 338824827 /nfs/dbraw/zinc/82/48/27/338824827.db2.gz RQHHVWFBUGURIY-KSSFIOAISA-N 0 3 319.445 4.453 20 0 DIADHN OCC1(CCN[C@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000453326291 338825282 /nfs/dbraw/zinc/82/52/82/338825282.db2.gz AIXJJMQWBOGKBJ-JTQLQIEISA-N 0 3 320.285 4.185 20 0 DIADHN c1ccc([C@@H](NC[C@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000453327592 338825511 /nfs/dbraw/zinc/82/55/11/338825511.db2.gz WCXXJWQDCKWTIR-FXAWDEMLSA-N 0 3 322.452 4.110 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@@H]1COCC1(C)C ZINC000453340509 338827725 /nfs/dbraw/zinc/82/77/25/338827725.db2.gz BXUBGNCSLYVJKR-IUODEOHRSA-N 0 3 316.470 4.194 20 0 DIADHN C[C@@H](NC1(Cc2cccc(Cl)c2)CC1)c1ccncc1F ZINC000453345354 338828459 /nfs/dbraw/zinc/82/84/59/338828459.db2.gz FFSRTQUFSMVGDA-GFCCVEGCSA-N 0 3 304.796 4.300 20 0 DIADHN Clc1ccc([C@@H]2CCC[C@@H](N[C@@H]3CCn4ccnc43)C2)cc1 ZINC000453350306 338829541 /nfs/dbraw/zinc/82/95/41/338829541.db2.gz HUOIOJSZRLHWLK-DJIMGWMZSA-N 0 3 315.848 4.297 20 0 DIADHN CN1CCC[C@@H](N[C@@H]2CCCc3ccc(O)cc32)c2ccccc21 ZINC000453362858 338831905 /nfs/dbraw/zinc/83/19/05/338831905.db2.gz LGMZAECCRHXABH-WOJBJXKFSA-N 0 3 322.452 4.331 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000453374200 338834033 /nfs/dbraw/zinc/83/40/33/338834033.db2.gz XDENOHPJZMAILH-PVAVHDDUSA-N 0 3 315.486 4.295 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](c2ccncc2)C1)c1ccccc1Cl ZINC000453375056 338834088 /nfs/dbraw/zinc/83/40/88/338834088.db2.gz XHJLMGWHVWUHRP-YEWWUXTCSA-N 0 3 316.832 4.306 20 0 DIADHN C[C@@H](NCCC[C@H]1CCOC1)c1nc(-c2ccccc2)cs1 ZINC000453383986 338835617 /nfs/dbraw/zinc/83/56/17/338835617.db2.gz SXILUDBBBRYPHB-CABCVRRESA-N 0 3 316.470 4.277 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H]2CCC[C@H]2OCC)cc1OCC ZINC000453404955 338839094 /nfs/dbraw/zinc/83/90/94/338839094.db2.gz OEOYCONPYSGXRB-USXIJHARSA-N 0 3 321.461 4.092 20 0 DIADHN C[C@@H](NCCC1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000453414947 338840433 /nfs/dbraw/zinc/84/04/33/338840433.db2.gz JLSLNDLMZXNFNI-SSDOTTSWSA-N 0 3 314.323 4.248 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000453427167 338841420 /nfs/dbraw/zinc/84/14/20/338841420.db2.gz OQKYMAAIOZNDGB-IIAWOOMASA-N 0 3 302.443 4.022 20 0 DIADHN FC(F)(F)c1cnc(CNCC2(C3CCC3)CCC2)s1 ZINC000453578297 338848958 /nfs/dbraw/zinc/84/89/58/338848958.db2.gz CGLFLFFHUMALHK-UHFFFAOYSA-N 0 3 304.381 4.222 20 0 DIADHN CCC(C)(C)OCCNCc1ccc(-c2ccncc2)cc1F ZINC000453611876 338850782 /nfs/dbraw/zinc/85/07/82/338850782.db2.gz IGUYXUIXLCGCLH-UHFFFAOYSA-N 0 3 316.420 4.183 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CCCC2)cs1 ZINC000453624948 338851443 /nfs/dbraw/zinc/85/14/43/338851443.db2.gz YKQMTUFSKXDRFN-GOSISDBHSA-N 0 3 315.482 4.440 20 0 DIADHN CCC[C@@H](NC(=O)Nc1ccccc1CCN(C)C)C(C)(C)C ZINC000455228283 338886572 /nfs/dbraw/zinc/88/65/72/338886572.db2.gz MWPGPGNDBWVNBP-QGZVFWFLSA-N 0 3 319.493 4.127 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C1=CC[C@@H](C)CC1 ZINC000455989692 338915857 /nfs/dbraw/zinc/91/58/57/338915857.db2.gz NFBXTJJHTWMVCN-OAHLLOKOSA-N 0 3 312.457 4.276 20 0 DIADHN Cc1cc(CN)cc(NC(=O)c2cccc(OC3CCCC3)c2)c1 ZINC000457387323 338961299 /nfs/dbraw/zinc/96/12/99/338961299.db2.gz XMRHTFIDFKHSIR-UHFFFAOYSA-N 0 3 324.424 4.027 20 0 DIADHN Cc1ccccc1C[C@@H](CO)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000467406180 339013898 /nfs/dbraw/zinc/01/38/98/339013898.db2.gz JCWBCTUVRWXCRN-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN CCOc1cc(CN(C)[C@H](C)c2ccc(F)cc2)ccc1O ZINC000459311692 339021011 /nfs/dbraw/zinc/02/10/11/339021011.db2.gz SIUGNYAWQOHXMD-CYBMUJFWSA-N 0 3 303.377 4.123 20 0 DIADHN CCOc1cc(CN(C)[C@@H](C)c2ccc(F)cc2)ccc1O ZINC000459311693 339021036 /nfs/dbraw/zinc/02/10/36/339021036.db2.gz SIUGNYAWQOHXMD-ZDUSSCGKSA-N 0 3 303.377 4.123 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)Cc1cnc(C2CC2)nc1 ZINC000459338716 339022686 /nfs/dbraw/zinc/02/26/86/339022686.db2.gz CMNOUPJUDHIFKI-LJQANCHMSA-N 0 3 309.457 4.492 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1cnc(C2CC2)nc1 ZINC000459343605 339023102 /nfs/dbraw/zinc/02/31/02/339023102.db2.gz LTASZZZNTYDHKP-ZDUSSCGKSA-N 0 3 315.848 4.070 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NCc2cnnn2CC)C(C)C)cc1 ZINC000459342401 339023267 /nfs/dbraw/zinc/02/32/67/339023267.db2.gz FARWYGHGIFLLIK-KXBFYZLASA-N 0 3 314.477 4.298 20 0 DIADHN CC(C)N(C)c1cccc(NC2CCN(c3ccncc3)CC2)c1 ZINC000459360809 339024515 /nfs/dbraw/zinc/02/45/15/339024515.db2.gz VICQSWFAESTKML-UHFFFAOYSA-N 0 3 324.472 4.007 20 0 DIADHN c1cn(-c2cccc(CNCc3ccc(C4CC4)cc3)c2)cn1 ZINC000459363740 339024680 /nfs/dbraw/zinc/02/46/80/339024680.db2.gz RLUWUEYQZCVKNN-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN Cc1cc(F)c(CNCc2ccc(CN(C)C)cc2)c(Cl)c1 ZINC000459366483 339024808 /nfs/dbraw/zinc/02/48/08/339024808.db2.gz AXEIHGCDRDJRPE-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN c1c(CN2CCC23CCC3)c(-c2ccccn2)nn1C1CCCC1 ZINC000459372623 339025368 /nfs/dbraw/zinc/02/53/68/339025368.db2.gz KLHYWMYSPBUTSN-UHFFFAOYSA-N 0 3 322.456 4.189 20 0 DIADHN c1ccc([C@@H](NCc2cnc(C3CC3)nc2)C2CCCC2)cc1 ZINC000459386366 339026171 /nfs/dbraw/zinc/02/61/71/339026171.db2.gz BGPUKKNZXOICAF-LJQANCHMSA-N 0 3 307.441 4.375 20 0 DIADHN c1ccc([C@H](NCc2cnc(C3CC3)nc2)C2CCCC2)cc1 ZINC000459386363 339026181 /nfs/dbraw/zinc/02/61/81/339026181.db2.gz BGPUKKNZXOICAF-IBGZPJMESA-N 0 3 307.441 4.375 20 0 DIADHN O=c1cc(CN[C@H](CC2CCC2)c2ccccc2)nc(C2CC2)[nH]1 ZINC000459389726 339026528 /nfs/dbraw/zinc/02/65/28/339026528.db2.gz AJXRWPGRDSHBRZ-GOSISDBHSA-N 0 3 323.440 4.081 20 0 DIADHN Cc1cc(F)c(CNC[C@H](CCO)c2ccccc2)c(Cl)c1 ZINC000459423928 339028303 /nfs/dbraw/zinc/02/83/03/339028303.db2.gz GAWMVXMJLHOKDA-HNNXBMFYSA-N 0 3 321.823 4.043 20 0 DIADHN CC(C)OC1CC(N2CC=C(c3cccc(Cl)c3)CC2)C1 ZINC000459448583 339029852 /nfs/dbraw/zinc/02/98/52/339029852.db2.gz RROXRQQTRVSFPZ-UHFFFAOYSA-N 0 3 305.849 4.385 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000459498270 339032797 /nfs/dbraw/zinc/03/27/97/339032797.db2.gz BOJPDGWRUJICJE-UHFFFAOYSA-N 0 3 309.457 4.160 20 0 DIADHN Clc1cccc(CCN2CC[C@]3(C2)OCc2ccccc23)c1 ZINC000459515459 339033688 /nfs/dbraw/zinc/03/36/88/339033688.db2.gz DMMVPDTYPRQFDX-LJQANCHMSA-N 0 3 313.828 4.014 20 0 DIADHN C[C@@H]1CC[C@@H](c2ccccc2)N(Cc2cnc(C3CC3)nc2)C1 ZINC000459551700 339035441 /nfs/dbraw/zinc/03/54/41/339035441.db2.gz XCGBBYBIQWTRNJ-BEFAXECRSA-N 0 3 307.441 4.327 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H](c3ccc(Cl)cc3)C2)no1 ZINC000459558831 339035770 /nfs/dbraw/zinc/03/57/70/339035770.db2.gz GXYCVENRXKGTPA-HOCLYGCPSA-N 0 3 304.821 4.452 20 0 DIADHN c1cc(NC2CCN(c3ccncc3)CC2)cc(C2CCC2)c1 ZINC000459565686 339036151 /nfs/dbraw/zinc/03/61/51/339036151.db2.gz YLBBPGGTWGRPGU-UHFFFAOYSA-N 0 3 307.441 4.430 20 0 DIADHN c1c(CN2CC[C@H]2C2CC2)c(-c2ccccn2)nn1C1CCCC1 ZINC000459567766 339036312 /nfs/dbraw/zinc/03/63/12/339036312.db2.gz AODJHAYVKDUSKN-IBGZPJMESA-N 0 3 322.456 4.045 20 0 DIADHN Cc1nccn1CCCN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000459568375 339036475 /nfs/dbraw/zinc/03/64/75/339036475.db2.gz BPXPVOSIUJLWJK-IBGZPJMESA-N 0 3 309.457 4.199 20 0 DIADHN Cc1cc(C)n(CCN2CC3(CCCC3)[C@H]2c2ccccc2)n1 ZINC000459573253 339036732 /nfs/dbraw/zinc/03/67/32/339036732.db2.gz IKJMUZNFRUMYNZ-LJQANCHMSA-N 0 3 309.457 4.117 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000459573249 339036753 /nfs/dbraw/zinc/03/67/53/339036753.db2.gz RYINCWCTNMDYDI-CLCXKQKWSA-N 0 3 307.441 4.121 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1Cc1cnc(C2CC2)nc1 ZINC000459573250 339036842 /nfs/dbraw/zinc/03/68/42/339036842.db2.gz RYINCWCTNMDYDI-SPYBWZPUSA-N 0 3 307.441 4.121 20 0 DIADHN CSCCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459597277 339038023 /nfs/dbraw/zinc/03/80/23/339038023.db2.gz UPPCAOZFKHGLMT-AWEZNQCLSA-N 0 3 309.866 4.106 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@]3(C)CCCC[C@H]3C)o2)c(C)o1 ZINC000459608039 339038358 /nfs/dbraw/zinc/03/83/58/339038358.db2.gz HNIFZYFXOUBNAN-DIFFPNOSSA-N 0 3 303.406 4.005 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000459612085 339038402 /nfs/dbraw/zinc/03/84/02/339038402.db2.gz MDJSTDFIHBWELY-PXAZEXFGSA-N 0 3 319.836 4.448 20 0 DIADHN CCc1ccc(-c2ccc(CN3CC4(C3)CCOCC4)o2)cc1 ZINC000459616443 339038517 /nfs/dbraw/zinc/03/85/17/339038517.db2.gz IPDDKSMNWDSPBW-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN COCCC1(C)CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)C1 ZINC000459635644 339039578 /nfs/dbraw/zinc/03/95/78/339039578.db2.gz PEUJHZXNDAVLNY-CYBMUJFWSA-N 0 3 315.379 4.167 20 0 DIADHN CCCCc1nc(CN[C@@H](C)CCCc2cccnc2)cs1 ZINC000459642639 339039872 /nfs/dbraw/zinc/03/98/72/339039872.db2.gz NHWZFGKPUIXYOL-HNNXBMFYSA-N 0 3 317.502 4.382 20 0 DIADHN C[C@H](NC1CC(c2cc(F)cc(F)c2)C1)c1cncc(F)c1 ZINC000459641100 339039886 /nfs/dbraw/zinc/03/98/86/339039886.db2.gz ALYACMDRTZVMPB-JJMSQDNMSA-N 0 3 306.331 4.096 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@H](C)Cc1ccn(C(C)C)n1 ZINC000459646413 339040277 /nfs/dbraw/zinc/04/02/77/339040277.db2.gz CBVVFVKCIDUOSE-HZPDHXFCSA-N 0 3 315.461 4.063 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2ccc(C)c(C)n2)cc1 ZINC000459659548 339041192 /nfs/dbraw/zinc/04/11/92/339041192.db2.gz DIPZQFYWHAUUGK-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CCc1ncc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)s1 ZINC000459666408 339041706 /nfs/dbraw/zinc/04/17/06/339041706.db2.gz MUZRKGQPOSYCQH-ZWNOBZJWSA-N 0 3 310.825 4.144 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC1CCC(OC)CC1 ZINC000459669241 339041916 /nfs/dbraw/zinc/04/19/16/339041916.db2.gz CUJPUFIHGNJLEZ-UHFFFAOYSA-N 0 3 318.244 4.049 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@@H]2c2ccc(OC(C)C)cc2)o1 ZINC000459675426 339042431 /nfs/dbraw/zinc/04/24/31/339042431.db2.gz MLKIPKUDJMXNJU-MOPGFXCFSA-N 0 3 314.429 4.196 20 0 DIADHN Cc1ncc(CN[C@H]2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000459675354 339042450 /nfs/dbraw/zinc/04/24/50/339042450.db2.gz MBODQCSQCQSTQH-HIFRSBDPSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)o1 ZINC000459681841 339042858 /nfs/dbraw/zinc/04/28/58/339042858.db2.gz YBCWTROZAGNFDK-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nccn1CCN1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000459749468 339046036 /nfs/dbraw/zinc/04/60/36/339046036.db2.gz PDJJRPKZLQBERB-IBGZPJMESA-N 0 3 309.457 4.199 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1ccn(C)n1 ZINC000459757237 339046605 /nfs/dbraw/zinc/04/66/05/339046605.db2.gz PTWUNCUGXDQHRN-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1ccn(C)n1 ZINC000459761882 339046879 /nfs/dbraw/zinc/04/68/79/339046879.db2.gz NEDWCNFLOIQCGI-BJJXKVORSA-N 0 3 303.837 4.060 20 0 DIADHN c1sc(COc2ccccc2)nc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459779115 339048183 /nfs/dbraw/zinc/04/81/83/339048183.db2.gz WXTCYHIDKMBLRZ-XOKHGSTOSA-N 0 3 314.454 4.000 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000459783202 339048296 /nfs/dbraw/zinc/04/82/96/339048296.db2.gz ZNEPVQBUGZFTRP-VHDGCEQUSA-N 0 3 300.427 4.104 20 0 DIADHN CCC[C@@H](N[C@H](C)c1nnc(-c2cccs2)o1)C1CCC1 ZINC000459811674 339049485 /nfs/dbraw/zinc/04/94/85/339049485.db2.gz VBFIJFXZYKBFJH-DGCLKSJQSA-N 0 3 305.447 4.418 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@H](OC(C)(C)C)C2(C)C)o1 ZINC000459815952 339049680 /nfs/dbraw/zinc/04/96/80/339049680.db2.gz YYRNDOLWHYZSDC-GJZGRUSLSA-N 0 3 308.466 4.007 20 0 DIADHN CC[C@H](C[C@@H](C)CO)N[C@H](CC)c1cccc(Cl)c1F ZINC000461085416 339058933 /nfs/dbraw/zinc/05/89/33/339058933.db2.gz JSMFWLHIGQNZHE-LALPHHSUSA-N 0 3 301.833 4.317 20 0 DIADHN CC[C@H](NCC1(CCO)CCCC1)c1cccc(Cl)c1F ZINC000461094194 339059132 /nfs/dbraw/zinc/05/91/32/339059132.db2.gz KBQCEYKTJYNQEW-HNNXBMFYSA-N 0 3 313.844 4.463 20 0 DIADHN Cn1cc([C@@H](NCc2ccc(C(F)F)cc2)C(C)(C)C)cn1 ZINC000461376902 339062436 /nfs/dbraw/zinc/06/24/36/339062436.db2.gz MAINVNSMINGTNK-OAHLLOKOSA-N 0 3 307.388 4.235 20 0 DIADHN CCC(C)(C)N(C)Cc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000461375885 339062447 /nfs/dbraw/zinc/06/24/47/339062447.db2.gz HHHIAYPLFDVAFN-UHFFFAOYSA-N 0 3 319.474 4.297 20 0 DIADHN CCC(CC)(CNCc1ccco1)c1ccc(OC)c(OC)c1 ZINC000461398505 339063077 /nfs/dbraw/zinc/06/30/77/339063077.db2.gz GGKGHYAINNPKGV-UHFFFAOYSA-N 0 3 317.429 4.144 20 0 DIADHN C[C@@H](O)CCNCc1ccccc1Oc1ccc(F)cc1Cl ZINC000461429593 339063792 /nfs/dbraw/zinc/06/37/92/339063792.db2.gz SEBISTVMBSCXEA-GFCCVEGCSA-N 0 3 323.795 4.132 20 0 DIADHN CC[C@@H](C(=O)N1CCCCC[C@H]1c1ccc(C)o1)N(CC)CC ZINC000461654279 339065328 /nfs/dbraw/zinc/06/53/28/339065328.db2.gz HCYLGCKASHNLMH-IRXDYDNUSA-N 0 3 320.477 4.152 20 0 DIADHN CC[C@H](C(=O)N[C@@H](CC)c1ccc(Cl)s1)N(CC)CC ZINC000461651844 339065331 /nfs/dbraw/zinc/06/53/31/339065331.db2.gz XSCSRKNBDKZPHP-NWDGAFQWSA-N 0 3 316.898 4.089 20 0 DIADHN Cc1sc(CN[C@@H]2CCc3ccccc3C2)nc1C(C)C ZINC000462051322 339071449 /nfs/dbraw/zinc/07/14/49/339071449.db2.gz UDAYJJYVKCIJFT-MRXNPFEDSA-N 0 3 300.471 4.222 20 0 DIADHN CC(C)C[C@@H](C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000462424628 339078494 /nfs/dbraw/zinc/07/84/94/339078494.db2.gz ZOJQYLMLXKWYBE-MRXNPFEDSA-N 0 3 302.462 4.293 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@H](C2CCOCC2)C1 ZINC000462492624 339080047 /nfs/dbraw/zinc/08/00/47/339080047.db2.gz QQHNDEPFQUQRCM-QGZVFWFLSA-N 0 3 310.441 4.105 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000462505050 339080361 /nfs/dbraw/zinc/08/03/61/339080361.db2.gz BKVROFBUWMVJRN-RDTXWAMCSA-N 0 3 320.440 4.136 20 0 DIADHN CC(C)Cn1cc(CN[C@@H]2c3ccccc3CCC[C@@H]2C)cn1 ZINC000462542112 339080794 /nfs/dbraw/zinc/08/07/94/339080794.db2.gz BETIKDZNRQRPCB-JXFKEZNVSA-N 0 3 311.473 4.342 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@H]1NCc1cccc2nccn21 ZINC000462538446 339080864 /nfs/dbraw/zinc/08/08/64/339080864.db2.gz NVFORCSZAFPIIG-NQIIRXRSSA-N 0 3 319.452 4.384 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1NCc1cccc2nccn21 ZINC000462538445 339080882 /nfs/dbraw/zinc/08/08/82/339080882.db2.gz NVFORCSZAFPIIG-GHTZIAJQSA-N 0 3 319.452 4.384 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3c4ccccc4CCC[C@@H]3C)n2c1 ZINC000462543634 339080921 /nfs/dbraw/zinc/08/09/21/339080921.db2.gz UESXRJTTYWKPBV-HRAATJIYSA-N 0 3 319.452 4.446 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@@H]2NCc2ccc(C)nc2)c1 ZINC000462543689 339080942 /nfs/dbraw/zinc/08/09/42/339080942.db2.gz UTAXJZNAKAQSTN-PMACEKPBSA-N 0 3 310.441 4.178 20 0 DIADHN COCc1ccc(CN[C@@H]2c3ccccc3CC[C@H]2C(C)C)o1 ZINC000462543661 339081001 /nfs/dbraw/zinc/08/10/01/339081001.db2.gz UMELNCGKAXULLX-ICSRJNTNSA-N 0 3 313.441 4.475 20 0 DIADHN Cc1cccn2c(CN[C@H]3c4ccccc4CCC[C@H]3C)cnc12 ZINC000462550424 339081199 /nfs/dbraw/zinc/08/11/99/339081199.db2.gz XMWYNCCAMBAPBY-FOIQADDNSA-N 0 3 319.452 4.446 20 0 DIADHN Cc1ccc(CNC(C)(C)c2cccc(C(F)(F)F)c2)cn1 ZINC000462557439 339081393 /nfs/dbraw/zinc/08/13/93/339081393.db2.gz VGGMUQKXSKSWOW-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN Cc1ccoc1CN[C@H](C)CCc1ccc(OC(C)C)cc1 ZINC000462678743 339083947 /nfs/dbraw/zinc/08/39/47/339083947.db2.gz HBHLBSFTRFPNQD-MRXNPFEDSA-N 0 3 301.430 4.486 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)o1)Oc1cccc(Cl)c1 ZINC000462740800 339086327 /nfs/dbraw/zinc/08/63/27/339086327.db2.gz RZLADAQJXLHHJM-JTQLQIEISA-N 0 3 300.185 4.144 20 0 DIADHN COc1cccc(CN[C@@H](C)Cc2cc(C)cc(C)c2)c1OC ZINC000462734267 339085564 /nfs/dbraw/zinc/08/55/64/339085564.db2.gz MQSSLFKKXYAKEK-INIZCTEOSA-N 0 3 313.441 4.041 20 0 DIADHN CC(C)Oc1cccc(CNC[C@H](C)Oc2ccccc2F)c1 ZINC000462736388 339085798 /nfs/dbraw/zinc/08/57/98/339085798.db2.gz SHOVTTSQIRVXNH-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccc(F)cc2)cc1Cl ZINC000462738452 339086010 /nfs/dbraw/zinc/08/60/10/339086010.db2.gz YNNWPVXJYHEQEL-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Fc1ccc(F)c(CNCCc2c[nH]c3ccc(Cl)cc23)c1 ZINC000462739003 339086090 /nfs/dbraw/zinc/08/60/90/339086090.db2.gz UUTKBXVFOHHDST-UHFFFAOYSA-N 0 3 320.770 4.432 20 0 DIADHN CO[C@H](CNCc1cccc(C)c1C)c1ccc(Cl)cc1 ZINC000462739451 339086164 /nfs/dbraw/zinc/08/61/64/339086164.db2.gz AETZEKHQAGMGJE-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN CO[C@@H](CNCc1cccc(Cl)c1)c1ccc(Cl)cc1 ZINC000462739645 339086216 /nfs/dbraw/zinc/08/62/16/339086216.db2.gz OWCQVVMTYCIEPQ-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccccc2F)c(Cl)c1 ZINC000462744790 339086444 /nfs/dbraw/zinc/08/64/44/339086444.db2.gz WVLYKIKCTOZJEW-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CO[C@@H](CNCc1cc(C)ccc1F)c1ccc(Cl)cc1 ZINC000462744271 339086508 /nfs/dbraw/zinc/08/65/08/339086508.db2.gz VPJNLCQPMTZCNB-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN COc1ccc(CNCCOC2CCCCCC2)cc1Cl ZINC000462747356 339086586 /nfs/dbraw/zinc/08/65/86/339086586.db2.gz DKVGTGGFNLZBFK-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN Fc1ccc2oc(CNCCOC3CCCCCC3)cc2c1 ZINC000462749234 339086720 /nfs/dbraw/zinc/08/67/20/339086720.db2.gz PECZTWFRINAMJH-UHFFFAOYSA-N 0 3 305.393 4.401 20 0 DIADHN Brc1ccccc1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC000462752426 339086857 /nfs/dbraw/zinc/08/68/57/339086857.db2.gz ASMCKOOESNYRTJ-WBVHZDCISA-N 0 3 316.242 4.170 20 0 DIADHN Brc1ccc(CN[C@H]2C[C@H]2Cc2ccccc2)s1 ZINC000462754535 339087017 /nfs/dbraw/zinc/08/70/17/339087017.db2.gz MHKBHIWTZVKTTI-OCCSQVGLSA-N 0 3 322.271 4.231 20 0 DIADHN CO[C@@H](CNCc1cccc(Cl)c1)c1cccc(Cl)c1 ZINC000462753448 339087027 /nfs/dbraw/zinc/08/70/27/339087027.db2.gz DUIUZVFZBXQXFU-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN C[C@@H](CNCc1cc(F)c(F)c(F)c1)c1ccc(F)cc1F ZINC000462755151 339087145 /nfs/dbraw/zinc/08/71/45/339087145.db2.gz KQOQWXDASRBMPJ-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN CO[C@H](CNCc1ccc(C)c(F)c1)c1cccc(Cl)c1 ZINC000462756896 339087148 /nfs/dbraw/zinc/08/71/48/339087148.db2.gz RHIKKRRZVZHFNS-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN CCCn1c2ccccc2nc1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC000462763073 339087508 /nfs/dbraw/zinc/08/75/08/339087508.db2.gz NUEOELYJLIXXJR-HKUYNNGSSA-N 0 3 319.452 4.167 20 0 DIADHN c1c(CN[C@@H]2CCCC[C@@H]2Cc2ccccc2)nc2ccccn12 ZINC000462771541 339087713 /nfs/dbraw/zinc/08/77/13/339087713.db2.gz FRENHMOWCUTNOG-UYAOXDASSA-N 0 3 319.452 4.225 20 0 DIADHN Fc1ccc(-n2cc(CN[C@@H]3C[C@@H]3C3CCCCC3)cn2)cc1 ZINC000462769257 339087742 /nfs/dbraw/zinc/08/77/42/339087742.db2.gz QAMDLVLQRRYHDJ-RTBURBONSA-N 0 3 313.420 4.070 20 0 DIADHN COc1ccc(F)cc1CNC[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000462783323 339088325 /nfs/dbraw/zinc/08/83/25/339088325.db2.gz MMRZRLIEWFWIBU-WCQYABFASA-N 0 3 319.342 4.293 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@H]1NCc1ccc(C2CCCC2)cc1 ZINC000462782126 339088389 /nfs/dbraw/zinc/08/83/89/339088389.db2.gz YTXLHVHIWIBUMQ-NHCUHLMSSA-N 0 3 307.437 4.092 20 0 DIADHN C[C@@H](NCc1cn(C)nc1C(F)F)c1cc2ccccc2s1 ZINC000462790113 339088843 /nfs/dbraw/zinc/08/88/43/339088843.db2.gz LALRIZHIICUEKL-SNVBAGLBSA-N 0 3 321.396 4.423 20 0 DIADHN COc1ccc(F)cc1CNC1CC(c2ccc(F)cc2)C1 ZINC000462794163 339089115 /nfs/dbraw/zinc/08/91/15/339089115.db2.gz UVDPVZPIOPWDRI-UHFFFAOYSA-N 0 3 303.352 4.009 20 0 DIADHN CCc1ccc([C@H](NCc2cn(C)nc2C(F)F)C(C)C)cc1 ZINC000462797060 339089348 /nfs/dbraw/zinc/08/93/48/339089348.db2.gz AFCRIMQGGDEWAA-MRXNPFEDSA-N 0 3 321.415 4.407 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H]1CCCc2c(O)cccc21 ZINC000462806015 339090245 /nfs/dbraw/zinc/09/02/45/339090245.db2.gz UUQNFSLQZBOFFW-UONOGXRCSA-N 0 3 301.352 4.336 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc(OCC(C)C)cc2)cs1 ZINC000462806897 339090247 /nfs/dbraw/zinc/09/02/47/339090247.db2.gz YYWWSBMVQDUOFP-CQSZACIVSA-N 0 3 318.486 4.380 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000462813824 339091107 /nfs/dbraw/zinc/09/11/07/339091107.db2.gz SQLQTIIIUBGYNY-AZUAARDMSA-N 0 3 323.484 4.273 20 0 DIADHN CCCC[C@H](NCc1c2c(nn1C)CCCC2)c1ccccc1 ZINC000462814186 339091307 /nfs/dbraw/zinc/09/13/07/339091307.db2.gz CXDBUKGNAJKKEI-SFHVURJKSA-N 0 3 311.473 4.320 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3cccc(Cl)c3)CC2)cs1 ZINC000462815133 339091439 /nfs/dbraw/zinc/09/14/39/339091439.db2.gz GTZFSYJUEKHKRS-NSHDSACASA-N 0 3 306.862 4.487 20 0 DIADHN CO[C@@](C)(CN[C@@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000462819096 339091889 /nfs/dbraw/zinc/09/18/89/339091889.db2.gz WQOXDXBAJMEYSP-UGSOOPFHSA-N 0 3 316.470 4.276 20 0 DIADHN Cc1cc([C@H](C)NC[C@H]2CCN(c3ccccc3F)C2)oc1C ZINC000462821837 339092046 /nfs/dbraw/zinc/09/20/46/339092046.db2.gz RHVHXSXOENCVAU-GOEBONIOSA-N 0 3 316.420 4.213 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2c3ccccc3CCC[C@@H]2C)c(C)n1 ZINC000462838844 339093551 /nfs/dbraw/zinc/09/35/51/339093551.db2.gz IATXELOKVBWSAV-ZQGRQUNCSA-N 0 3 309.457 4.458 20 0 DIADHN CC(C)N(Cc1ccc(-c2ccccc2F)o1)[C@@H]1CCOC1 ZINC000462872233 339095585 /nfs/dbraw/zinc/09/55/85/339095585.db2.gz TWGVKIGKBMGEJG-CQSZACIVSA-N 0 3 303.377 4.085 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C(C)C)[C@H]2CCOC2)o1 ZINC000462873376 339095627 /nfs/dbraw/zinc/09/56/27/339095627.db2.gz IEVWZQJXBRWLPS-HNNXBMFYSA-N 0 3 317.404 4.393 20 0 DIADHN Cn1cc(CN2CCCC3(CCCCC3)CC2)c(C(F)F)n1 ZINC000462902582 339096980 /nfs/dbraw/zinc/09/69/80/339096980.db2.gz KJHDJCILYDSZRF-UHFFFAOYSA-N 0 3 311.420 4.294 20 0 DIADHN C=Cc1ccc(CCNCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC000462903812 339097048 /nfs/dbraw/zinc/09/70/48/339097048.db2.gz CMCPKUFOGPVWQE-UHFFFAOYSA-N 0 3 303.409 4.052 20 0 DIADHN C=Cc1ccc(CCNCc2csc(-c3ccccn3)n2)cc1 ZINC000462905465 339097131 /nfs/dbraw/zinc/09/71/31/339097131.db2.gz JVRKEYZAHGOYNG-UHFFFAOYSA-N 0 3 321.449 4.180 20 0 DIADHN CO[C@@](C)(CNCc1cc(Cl)cc(C(F)(F)F)c1)C1CC1 ZINC000462911601 339097653 /nfs/dbraw/zinc/09/76/53/339097653.db2.gz GSQJWFWEVRBCRV-AWEZNQCLSA-N 0 3 321.770 4.264 20 0 DIADHN FCCC[C@H]1CCC[C@H]1N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000462942002 339098670 /nfs/dbraw/zinc/09/86/70/339098670.db2.gz BVHFBYZQTFMWLP-KCPJHIHWSA-N 0 3 313.363 4.296 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@](CO)(c2ccccc2)C1 ZINC000463011709 339100298 /nfs/dbraw/zinc/10/02/98/339100298.db2.gz PSIQWWBFYZXWSD-BEFAXECRSA-N 0 3 315.844 4.037 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2ncoc2-c2ccccc2)C1(C)C ZINC000463023936 339100971 /nfs/dbraw/zinc/10/09/71/339100971.db2.gz JILSYKJPPWYLDV-IRXDYDNUSA-N 0 3 314.429 4.023 20 0 DIADHN Cc1cccnc1[C@H](N[C@H]1C[C@@H](OC(C)C)C1(C)C)C(C)C ZINC000463027809 339101398 /nfs/dbraw/zinc/10/13/98/339101398.db2.gz IOIVBYBRYHZGHN-GVDBMIGSSA-N 0 3 304.478 4.269 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(OC(C)(C)C)cc1 ZINC000463031547 339101787 /nfs/dbraw/zinc/10/17/87/339101787.db2.gz VUNWHELGPRZQGW-HNNXBMFYSA-N 0 3 305.462 4.474 20 0 DIADHN Cc1sc(CN[C@@H]2C[C@H](OC(C)C)C2(C)C)nc1C(C)C ZINC000463035538 339102173 /nfs/dbraw/zinc/10/21/73/339102173.db2.gz RALCRUPGRMPSAN-KGLIPLIRSA-N 0 3 310.507 4.257 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)cn1 ZINC000463055171 339103443 /nfs/dbraw/zinc/10/34/43/339103443.db2.gz IXCWQBWXTYSKIJ-MRXNPFEDSA-N 0 3 320.358 4.398 20 0 DIADHN CCN(Cc1nc2ccc(Br)cc2o1)C1CCCC1 ZINC000463076515 339104578 /nfs/dbraw/zinc/10/45/78/339104578.db2.gz BAEAKBQPJPIFRA-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN COc1cccc(C2=CCN([C@@H]3C[C@H]3c3ccccc3)CC2)c1 ZINC000463077724 339104694 /nfs/dbraw/zinc/10/46/94/339104694.db2.gz LAYHRZBDXHQLTQ-LEWJYISDSA-N 0 3 305.421 4.340 20 0 DIADHN CCN(C(=O)C(C)C(F)(F)F)c1cccc(Br)c1 ZINC000463109768 339105211 /nfs/dbraw/zinc/10/52/11/339105211.db2.gz SZOUZNMXEUZJTL-QMMMGPOBSA-N 0 3 324.140 4.000 20 0 DIADHN COc1cccc(NC2CCN([C@H]3C[C@H]3c3ccccc3)CC2)c1 ZINC000463110867 339106167 /nfs/dbraw/zinc/10/61/67/339106167.db2.gz WPLUKYXXQGHATH-SFTDATJTSA-N 0 3 322.452 4.128 20 0 DIADHN CC(C)COC[C@H](NC[C@@H]1C[C@H]1c1ccccc1)c1ccco1 ZINC000463127733 339106581 /nfs/dbraw/zinc/10/65/81/339106581.db2.gz CPLZGFLVTHKOGN-FHWLQOOXSA-N 0 3 313.441 4.387 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1nc2ccc(Br)cc2o1 ZINC000463133363 339106750 /nfs/dbraw/zinc/10/67/50/339106750.db2.gz XOJCFLUCUQZIJN-ZJUUUORDSA-N 0 3 311.223 4.115 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463165654 339107770 /nfs/dbraw/zinc/10/77/70/339107770.db2.gz WZIWCONIWAZFSP-ZYHUDNBSSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2Cc2cc(F)cc(F)c2)C1 ZINC000463208375 339109457 /nfs/dbraw/zinc/10/94/57/339109457.db2.gz XEJRPGFQZARBDB-RPTUDFQQSA-N 0 3 323.427 4.116 20 0 DIADHN COCCCN1CCC(c2cccc(Cl)c2Cl)CC1 ZINC000463365675 339115888 /nfs/dbraw/zinc/11/58/88/339115888.db2.gz FOJOAWNKPBNAAT-UHFFFAOYSA-N 0 3 302.245 4.209 20 0 DIADHN CCN(Cc1coc(-c2ccc(OC)cc2)n1)CC(C)(C)C ZINC000463371184 339115975 /nfs/dbraw/zinc/11/59/75/339115975.db2.gz ZFKVEGBSHGLDLG-UHFFFAOYSA-N 0 3 302.418 4.218 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CCCc1cccc(F)c1)C2 ZINC000463422989 339117224 /nfs/dbraw/zinc/11/72/24/339117224.db2.gz BBCNAPQYQCFPRS-UHFFFAOYSA-N 0 3 311.400 4.011 20 0 DIADHN COc1ccc(CCN(C)[C@H]2CCCC[C@H]2C(F)(F)F)cc1 ZINC000463441902 339117883 /nfs/dbraw/zinc/11/78/83/339117883.db2.gz KFUIURGNJHHKKP-CVEARBPZSA-N 0 3 315.379 4.291 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](C)c2ccc3ccccc3n2)C1(C)C ZINC000463570110 339121659 /nfs/dbraw/zinc/12/16/59/339121659.db2.gz MPXHIODMWWJWFB-GDIGMMSISA-N 0 3 312.457 4.478 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCCC2=CCCCCC2)c1 ZINC000463694117 339124029 /nfs/dbraw/zinc/12/40/29/339124029.db2.gz AVGQITXJTYMZAL-UHFFFAOYSA-N 0 3 315.461 4.150 20 0 DIADHN Cc1cc(F)ccc1CNCc1cccc(-c2cccnc2)c1 ZINC000463753512 339125584 /nfs/dbraw/zinc/12/55/84/339125584.db2.gz WZHQGDLQCJCCTE-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CC(C(=O)N1CCc2c1cccc2-c1ccccc1)C(F)(F)F ZINC000463882838 339127942 /nfs/dbraw/zinc/12/79/42/339127942.db2.gz ZJDAIPLUGBFLJF-LBPRGKRZSA-N 0 3 319.326 4.441 20 0 DIADHN CCCCNC(=O)[C@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909065 339128593 /nfs/dbraw/zinc/12/85/93/339128593.db2.gz FPHXFUQJLARGEA-YOEHRIQHSA-N 0 3 322.468 4.197 20 0 DIADHN CC[C@H](C)NC(=O)[C@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909903 339128743 /nfs/dbraw/zinc/12/87/43/339128743.db2.gz RVYYODXJWINORW-GRDNDAEWSA-N 0 3 322.468 4.196 20 0 DIADHN CCc1cnc(CN[C@@H]2C[C@@H](c3ccccc3)C2(C)C)s1 ZINC000464060803 339132451 /nfs/dbraw/zinc/13/24/51/339132451.db2.gz FHWDOUITJIZREX-JKSUJKDBSA-N 0 3 300.471 4.377 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCC[C@H]([C@H]2CCOC2)C1 ZINC000464068279 339132920 /nfs/dbraw/zinc/13/29/20/339132920.db2.gz RGBXSUOSQSTEHE-MELADBBJSA-N 0 3 311.828 4.164 20 0 DIADHN Cc1sc(CN[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)nc1C(C)C ZINC000464080003 339133570 /nfs/dbraw/zinc/13/35/70/339133570.db2.gz XIIJDQDKYPYBLG-HRCADAONSA-N 0 3 322.518 4.260 20 0 DIADHN Cc1sc(CN[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)nc1C(C)C ZINC000464080001 339133639 /nfs/dbraw/zinc/13/36/39/339133639.db2.gz XIIJDQDKYPYBLG-ARFHVFGLSA-N 0 3 322.518 4.260 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2cnc(C(C)(C)C)s2)C1(C)C ZINC000464099141 339134434 /nfs/dbraw/zinc/13/44/34/339134434.db2.gz XMGNVMCWRFKNGL-KBPBESRZSA-N 0 3 310.507 4.122 20 0 DIADHN CCC1(C(=O)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)CCCC1 ZINC000464430680 339141824 /nfs/dbraw/zinc/14/18/24/339141824.db2.gz SFDJKSVMSXQZCL-FUHWJXTLSA-N 0 3 316.489 4.073 20 0 DIADHN CCCN(CCc1cccs1)Cc1nc2cc(F)ccc2o1 ZINC000464568345 339145510 /nfs/dbraw/zinc/14/55/10/339145510.db2.gz INSSCXKGRKZTRI-UHFFFAOYSA-N 0 3 318.417 4.483 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000464734244 339150455 /nfs/dbraw/zinc/15/04/55/339150455.db2.gz KEJKSNRLJORTMV-CRAIPNDOSA-N 0 3 316.489 4.397 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1c(C)cccc1C ZINC000464739951 339150672 /nfs/dbraw/zinc/15/06/72/339150672.db2.gz QSKNLBWWJOSDOU-YOEHRIQHSA-N 0 3 302.462 4.143 20 0 DIADHN CSc1ccc(OCCN(C)CCc2cccs2)cc1 ZINC000464765210 339151916 /nfs/dbraw/zinc/15/19/16/339151916.db2.gz ZPPBCTQRYRTRNN-UHFFFAOYSA-N 0 3 307.484 4.023 20 0 DIADHN CCN(Cc1ncc(C)o1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000464770381 339152135 /nfs/dbraw/zinc/15/21/35/339152135.db2.gz JUMKGGWIZBRDKE-KRWDZBQOSA-N 0 3 304.409 4.211 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)N(C)Cc1nccn1C(C)C ZINC000464785312 339153576 /nfs/dbraw/zinc/15/35/76/339153576.db2.gz CRCSKHZDGHLAPC-SFHVURJKSA-N 0 3 317.452 4.302 20 0 DIADHN C[C@H]1CC[C@H](C)N(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000464808864 339154787 /nfs/dbraw/zinc/15/47/87/339154787.db2.gz FQNTZLJYXOWBLR-IRXDYDNUSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000464808860 339154874 /nfs/dbraw/zinc/15/48/74/339154874.db2.gz FQNTZLJYXOWBLR-DLBZAZTESA-N 0 3 322.452 4.413 20 0 DIADHN C[C@@H](NC(=O)CN1C[C@H](C)CC[C@H]1C)c1cccc2ccccc21 ZINC000464817665 339155286 /nfs/dbraw/zinc/15/52/86/339155286.db2.gz HXRJYNLZUSUHDZ-BRWVUGGUSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](NC(=O)CN1C[C@H](C)CC[C@H]1C)c1ccc2ccccc2c1 ZINC000464831756 339155809 /nfs/dbraw/zinc/15/58/09/339155809.db2.gz POFYDLLKWVPTGS-ZACQAIPSSA-N 0 3 324.468 4.137 20 0 DIADHN COc1ccccc1OCCN1CC[C@H](C)C[C@H]1c1ccco1 ZINC000464846738 339157335 /nfs/dbraw/zinc/15/73/35/339157335.db2.gz BLNBQJSNCSCQDZ-HOTGVXAUSA-N 0 3 315.413 4.140 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCn2nc(C)cc2C)o1 ZINC000464855507 339158039 /nfs/dbraw/zinc/15/80/39/339158039.db2.gz UKMVOZRBFFGDIX-KDOFPFPSSA-N 0 3 315.461 4.129 20 0 DIADHN CCOC(=O)CCCCN1CC[C@H](C)C[C@H]1c1ccc(CC)o1 ZINC000464859554 339158549 /nfs/dbraw/zinc/15/85/49/339158549.db2.gz YDKNCOIWQYPBLU-RDJZCZTQSA-N 0 3 321.461 4.348 20 0 DIADHN COc1ccc(OCCN2CC[C@@H](C)C[C@H]2c2ccco2)cc1 ZINC000464863075 339158769 /nfs/dbraw/zinc/15/87/69/339158769.db2.gz ZJUINLALIGTRMO-QAPCUYQASA-N 0 3 315.413 4.140 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1nc2cc(F)ccc2o1 ZINC000464881747 339160040 /nfs/dbraw/zinc/16/00/40/339160040.db2.gz VPNOSBRJGMQABC-CJNGLKHVSA-N 0 3 310.372 4.345 20 0 DIADHN O=C(Nc1ccccc1)[C@H](c1ccccc1)N1C[C@@H]2CCC[C@H]2C1 ZINC000464890741 339160532 /nfs/dbraw/zinc/16/05/32/339160532.db2.gz UHWLNKBCORVKHQ-BJLQDIEVSA-N 0 3 320.436 4.098 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C1(CC)CCCC1)c1ccsc1 ZINC000464919708 339161802 /nfs/dbraw/zinc/16/18/02/339161802.db2.gz TXZBIRKAFZCKKE-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H](CC)C[C@@H](C)CO)o2)cc1 ZINC000465000266 339165084 /nfs/dbraw/zinc/16/50/84/339165084.db2.gz IQWOBUXVYWPDPY-QAPCUYQASA-N 0 3 315.457 4.396 20 0 DIADHN CO[C@H](CNCc1cc(Cl)ccc1OC(F)F)C(C)(C)C ZINC000465010226 339165347 /nfs/dbraw/zinc/16/53/47/339165347.db2.gz HRVVAXNJNGZLPA-CYBMUJFWSA-N 0 3 321.795 4.092 20 0 DIADHN CCc1ccc(-c2ccc(CNCCCCCOC)o2)cc1 ZINC000465011039 339165404 /nfs/dbraw/zinc/16/54/04/339165404.db2.gz KTWDCYXTFDAZLV-UHFFFAOYSA-N 0 3 301.430 4.415 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccccc2F)o1)C(C)(C)C ZINC000465012465 339165431 /nfs/dbraw/zinc/16/54/31/339165431.db2.gz ONGUFUVJKAEJSM-KRWDZBQOSA-N 0 3 305.393 4.236 20 0 DIADHN CCC(C)(C)CC(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000465121270 339169464 /nfs/dbraw/zinc/16/94/64/339169464.db2.gz MMAWELCVKLYBLM-INIZCTEOSA-N 0 3 316.489 4.155 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C ZINC000465227432 339172143 /nfs/dbraw/zinc/17/21/43/339172143.db2.gz SYUKBMVPNXQYMQ-OTWHNJEPSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2cnn(-c3ccccc3)c2)C1 ZINC000466382738 339201678 /nfs/dbraw/zinc/20/16/78/339201678.db2.gz IEYJNFMMKHXTAC-WGSAOQKQSA-N 0 3 317.436 4.217 20 0 DIADHN CCn1nc(CN[C@H]2C[C@H](c3ccccc3C)C2)c2ccccc21 ZINC000466402540 339202672 /nfs/dbraw/zinc/20/26/72/339202672.db2.gz XTCWLLZOWWXBKI-QAQDUYKDSA-N 0 3 319.452 4.400 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2c[nH]c(-c3ccccc3)n2)C1 ZINC000466401871 339202711 /nfs/dbraw/zinc/20/27/11/339202711.db2.gz OHSVLAUXSRXFBT-IYARVYRRSA-N 0 3 317.436 4.421 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2ccc(-c3cc[nH]n3)o2)C1 ZINC000466403522 339202739 /nfs/dbraw/zinc/20/27/39/339202739.db2.gz GUMXOWAHSQTWNX-SHTZXODSSA-N 0 3 307.397 4.014 20 0 DIADHN CC[C@@]1(C)C[C@@H]1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000466409472 339203107 /nfs/dbraw/zinc/20/31/07/339203107.db2.gz LXSFZTYHJOADCL-MJGOQNOKSA-N 0 3 300.446 4.047 20 0 DIADHN CC[C@H]1CCC[C@H](NCc2ccncc2OCC(F)(F)F)C1 ZINC000466418120 339203675 /nfs/dbraw/zinc/20/36/75/339203675.db2.gz VASFRWYQESEBMZ-JSGCOSHPSA-N 0 3 316.367 4.081 20 0 DIADHN COc1cc2c(c(CNC3CC(c4ccccc4)C3)c1)O[C@H](C)C2 ZINC000466422089 339203946 /nfs/dbraw/zinc/20/39/46/339203946.db2.gz IYMKFTSLMXIIIR-ICXUMSERSA-N 0 3 323.436 4.054 20 0 DIADHN CSc1ccc([C@H](C)NCc2cnc3c(C)cccn23)cc1 ZINC000466424538 339204273 /nfs/dbraw/zinc/20/42/73/339204273.db2.gz INZUHNOSOIUPMH-AWEZNQCLSA-N 0 3 311.454 4.215 20 0 DIADHN FC(F)n1ccc(CNC2(Cc3ccccc3)CCCCC2)n1 ZINC000466456581 339205693 /nfs/dbraw/zinc/20/56/93/339205693.db2.gz RJEAGDOOWBQFRC-UHFFFAOYSA-N 0 3 319.399 4.313 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)cn1 ZINC000466477616 339206652 /nfs/dbraw/zinc/20/66/52/339206652.db2.gz OPKPNPMSBFTJOY-HDJSIYSDSA-N 0 3 322.239 4.128 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1NC(C)(C)C)N1CCCCCC1 ZINC000466502669 339207743 /nfs/dbraw/zinc/20/77/43/339207743.db2.gz KBPWTVKQJLEGMN-OAHLLOKOSA-N 0 3 317.477 4.100 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@H](C)C[C@@H](C)C1 ZINC000466729864 339214646 /nfs/dbraw/zinc/21/46/46/339214646.db2.gz BOBIIXGRAHHFDO-UPJWGTAASA-N 0 3 319.836 4.429 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1C[C@@H](C)[C@H](C)C1 ZINC000466739845 339215119 /nfs/dbraw/zinc/21/51/19/339215119.db2.gz RSYZRQONCNKSMJ-IJLUTSLNSA-N 0 3 305.809 4.039 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@H]3Cc3ccccc3)oc2c1 ZINC000466807367 339217560 /nfs/dbraw/zinc/21/75/60/339217560.db2.gz YJGQBJIUYCAVNR-INIZCTEOSA-N 0 3 310.372 4.174 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000466912709 339221199 /nfs/dbraw/zinc/22/11/99/339221199.db2.gz MTTFACGDWRESQM-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H](NC(=O)CN1[C@H](C)CCC[C@@H]1C)c1ccc2ccccc2c1 ZINC000466917236 339221402 /nfs/dbraw/zinc/22/14/02/339221402.db2.gz OGKJGRCUASHHNX-IXDOHACOSA-N 0 3 324.468 4.280 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000466924066 339221824 /nfs/dbraw/zinc/22/18/24/339221824.db2.gz NXDNZDXLNLLDPS-XYJFISCASA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@H](C)C[C@H]1CC)c1ccc(Cl)cc1 ZINC000466926410 339221874 /nfs/dbraw/zinc/22/18/74/339221874.db2.gz SALKZVQHRPJKMH-XYPHTWIQSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000466931551 339222072 /nfs/dbraw/zinc/22/20/72/339222072.db2.gz WQOQNSDVTPDDTK-JXXFODFXSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1nc2ccc(F)cc2o1 ZINC000466942285 339222305 /nfs/dbraw/zinc/22/23/05/339222305.db2.gz ZVTZZPLASROWEQ-CJNGLKHVSA-N 0 3 310.372 4.345 20 0 DIADHN CCN(CCOc1ccc(C(F)(F)F)cc1)Cc1ccccn1 ZINC000466943302 339222438 /nfs/dbraw/zinc/22/24/38/339222438.db2.gz HHHDEYGKTCCFAH-UHFFFAOYSA-N 0 3 324.346 4.001 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2c(CC)noc2C)C12CCCCC2 ZINC000466967120 339222990 /nfs/dbraw/zinc/22/29/90/339222990.db2.gz MDVWJFJAKPCZDZ-QZTJIDSGSA-N 0 3 320.477 4.105 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2c(CC)noc2C)C12CCCCC2 ZINC000466967119 339223022 /nfs/dbraw/zinc/22/30/22/339223022.db2.gz MDVWJFJAKPCZDZ-MSOLQXFVSA-N 0 3 320.477 4.105 20 0 DIADHN COC[C@@H]1CCCCN(Cc2c(Cl)cccc2Cl)C1 ZINC000467117433 339226763 /nfs/dbraw/zinc/22/67/63/339226763.db2.gz LSNIGZNTPPCXCA-GFCCVEGCSA-N 0 3 302.245 4.242 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCO[C@H]2CCCC[C@H]2C)o1 ZINC000467119353 339226840 /nfs/dbraw/zinc/22/68/40/339226840.db2.gz BCYAJMNLCARVAQ-NJAFHUGGSA-N 0 3 321.461 4.158 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN([C@H](C)c3cnccn3)C2)cc1 ZINC000467190648 339227587 /nfs/dbraw/zinc/22/75/87/339227587.db2.gz HUDXEECOWYRCRU-AEFFLSMTSA-N 0 3 309.457 4.226 20 0 DIADHN c1cncc([C@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467194986 339227746 /nfs/dbraw/zinc/22/77/46/339227746.db2.gz IRVGYXYVGUDGKS-QZTJIDSGSA-N 0 3 300.446 4.004 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1csc(-c2ccccc2OC)n1 ZINC000467206738 339228250 /nfs/dbraw/zinc/22/82/50/339228250.db2.gz LPOGFNIWKDGYHB-HIFRSBDPSA-N 0 3 316.470 4.439 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2ccc(SC)c(OC)c2)C1 ZINC000467272343 339229477 /nfs/dbraw/zinc/22/94/77/339229477.db2.gz BGTLDEYBPKQCTC-HNNXBMFYSA-N 0 3 323.502 4.056 20 0 DIADHN COC[C@H]1CCCCN(Cc2ccc(Cl)c(Cl)c2)C1 ZINC000467325854 339231730 /nfs/dbraw/zinc/23/17/30/339231730.db2.gz IQLIVBNDEPWJJB-ZDUSSCGKSA-N 0 3 302.245 4.242 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NC1(c2ccccc2)CCC1 ZINC000467334209 339232170 /nfs/dbraw/zinc/23/21/70/339232170.db2.gz VWMNOPYWPADVIQ-SFHVURJKSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1cccnc1CN[C@H](C)Cc1cccc(C(F)(F)F)c1 ZINC000467333713 339232179 /nfs/dbraw/zinc/23/21/79/339232179.db2.gz LNEHVXZLUKWXSW-CYBMUJFWSA-N 0 3 308.347 4.130 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](NCc2ccc(F)cc2F)C1 ZINC000467338636 339232400 /nfs/dbraw/zinc/23/24/00/339232400.db2.gz LMNKASYGTUJMQG-YOEHRIQHSA-N 0 3 316.395 4.484 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@@H](C)c1cc2ccccc2o1 ZINC000467363120 339234176 /nfs/dbraw/zinc/23/41/76/339234176.db2.gz XOTARFLLTGUEBM-MAUKXSAKSA-N 0 3 309.409 4.341 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467371145 339234861 /nfs/dbraw/zinc/23/48/61/339234861.db2.gz TVFOGXJCIBVOTI-LIRRHRJNSA-N 0 3 314.404 4.037 20 0 DIADHN Cc1cccc(C[C@@H](CO)N[C@@H](C)c2ccc(F)cc2Cl)c1 ZINC000467405422 339236778 /nfs/dbraw/zinc/23/67/78/339236778.db2.gz GADBJCNHJMVACD-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccnn1C ZINC000467436156 339238458 /nfs/dbraw/zinc/23/84/58/339238458.db2.gz AINACRSMOKULQE-DRZSPHRISA-N 0 3 324.255 4.324 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@](C)(c2ccc(C)cc2)C1 ZINC000467514654 339240684 /nfs/dbraw/zinc/24/06/84/339240684.db2.gz SUABBZDVWNOZMZ-FQEVSTJZSA-N 0 3 311.473 4.244 20 0 DIADHN COc1cccc(C2(F)CCN(Cc3occc3C)CC2)c1 ZINC000467548516 339241811 /nfs/dbraw/zinc/24/18/11/339241811.db2.gz NIZHNDXKCZBTIS-UHFFFAOYSA-N 0 3 303.377 4.057 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2ccc(SC)cc2)c1 ZINC000467550634 339242001 /nfs/dbraw/zinc/24/20/01/339242001.db2.gz LYRDPZQTDPLNSY-CYBMUJFWSA-N 0 3 315.438 4.046 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cnn(C(C)(C)C)c1 ZINC000467550739 339242153 /nfs/dbraw/zinc/24/21/53/339242153.db2.gz NIEPINLAIXMHEW-QFBILLFUSA-N 0 3 311.473 4.406 20 0 DIADHN CSCCCN(C)Cc1cc(Cl)ccc1OC(F)F ZINC000467552714 339242169 /nfs/dbraw/zinc/24/21/69/339242169.db2.gz GZULUCQGAPKZLP-UHFFFAOYSA-N 0 3 309.809 4.126 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(-c3cccc(F)c3F)o2)CCCO1 ZINC000467553848 339242349 /nfs/dbraw/zinc/24/23/49/339242349.db2.gz MDOUUILBCJRGHK-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cn2ccccc2n1 ZINC000467554860 339242354 /nfs/dbraw/zinc/24/23/54/339242354.db2.gz RIMYVGKUNYLEIG-SJLPKXTDSA-N 0 3 305.425 4.102 20 0 DIADHN CCN(Cc1ccccc1Br)C[C@H]1CCSC1 ZINC000467559225 339242644 /nfs/dbraw/zinc/24/26/44/339242644.db2.gz ZUELEYMZBUXYSI-GFCCVEGCSA-N 0 3 314.292 4.024 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3)C[C@H]2C)cc1O ZINC000467567038 339242893 /nfs/dbraw/zinc/24/28/93/339242893.db2.gz PLXOVGBQHARVAB-QAPCUYQASA-N 0 3 311.425 4.169 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3)C[C@@H]2C)cc1O ZINC000467567040 339242985 /nfs/dbraw/zinc/24/29/85/339242985.db2.gz PLXOVGBQHARVAB-YJBOKZPZSA-N 0 3 311.425 4.169 20 0 DIADHN CC(C(=O)Nc1cc(Cl)ccc1NC1CC1)C(F)(F)F ZINC000467686626 339244388 /nfs/dbraw/zinc/24/43/88/339244388.db2.gz NPURGXOJZIEIHV-ZETCQYMHSA-N 0 3 306.715 4.051 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NCCN1[C@H](C)CCC[C@@H]1C ZINC000467681087 339244422 /nfs/dbraw/zinc/24/44/22/339244422.db2.gz PFNOGEQIZYCHQE-OKILXGFUSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1cncc([C@H](C)N[C@H]2CCC[C@@H]2OCc2ccccc2)c1 ZINC000467733948 339245168 /nfs/dbraw/zinc/24/51/68/339245168.db2.gz DAROWOWVLLHMQD-VDGAXYAQSA-N 0 3 310.441 4.179 20 0 DIADHN COc1ccc([C@H](NC2CC(C)C2)c2ccccc2OC)cc1 ZINC000467749537 339245675 /nfs/dbraw/zinc/24/56/75/339245675.db2.gz OUVIOFSMLGHNHB-SGHGTVFPSA-N 0 3 311.425 4.181 20 0 DIADHN C[C@@H](NCCCc1ccccc1)c1nc(C(F)(F)F)cs1 ZINC000467745764 339245703 /nfs/dbraw/zinc/24/57/03/339245703.db2.gz YUFOJRVZHRDIOF-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN Clc1ccc(CN[C@H]2CCCC[C@@H]2n2cccn2)cc1Cl ZINC000467763476 339246063 /nfs/dbraw/zinc/24/60/63/339246063.db2.gz TZXPVVYGCSRLIN-HOTGVXAUSA-N 0 3 324.255 4.463 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCC[C@H]2n2cccn2)cc1Cl ZINC000467763478 339246090 /nfs/dbraw/zinc/24/60/90/339246090.db2.gz TZXPVVYGCSRLIN-HZPDHXFCSA-N 0 3 324.255 4.463 20 0 DIADHN Clc1cc(Cl)cc(CN[C@@H]2CCCC[C@@H]2n2cccn2)c1 ZINC000467764541 339246162 /nfs/dbraw/zinc/24/61/62/339246162.db2.gz RFISEYGUPAOUJA-CVEARBPZSA-N 0 3 324.255 4.463 20 0 DIADHN C[C@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1ccc(F)c(F)c1 ZINC000467836785 339247205 /nfs/dbraw/zinc/24/72/05/339247205.db2.gz RUESOQDDJNZXAH-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@H](C)c2ccc(F)c(F)c2)c1 ZINC000467862752 339248077 /nfs/dbraw/zinc/24/80/77/339248077.db2.gz IPAIGCQXLFIMIE-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H]2COc3ccc(F)cc32)cc1 ZINC000468037046 339252091 /nfs/dbraw/zinc/25/20/91/339252091.db2.gz HFWXPPQPJWJENA-SNUQEOBHSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@H](NC[C@]1(C)CCO[C@H]1C1CC1)c1ccc(F)cc1Cl ZINC000468039661 339252215 /nfs/dbraw/zinc/25/22/15/339252215.db2.gz RBLGOXWEUZFTCK-GOPGUHFVSA-N 0 3 311.828 4.335 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1-c1cccs1 ZINC000468193722 339256289 /nfs/dbraw/zinc/25/62/89/339256289.db2.gz YSWPBGJQSYRWAD-OKILXGFUSA-N 0 3 314.454 4.226 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccccc1-c1cccs1 ZINC000468193721 339256317 /nfs/dbraw/zinc/25/63/17/339256317.db2.gz YSWPBGJQSYRWAD-KBPBESRZSA-N 0 3 314.454 4.226 20 0 DIADHN Cc1nc(CN2C[C@@H](C)[C@H](C)C2)oc1-c1ccc(Cl)cc1 ZINC000468278115 339258748 /nfs/dbraw/zinc/25/87/48/339258748.db2.gz QFCJJFLGSYAFOX-VXGBXAGGSA-N 0 3 304.821 4.391 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000468425757 339262666 /nfs/dbraw/zinc/26/26/66/339262666.db2.gz BMNXREDANGHXTO-SOLBZPMBSA-N 0 3 304.478 4.010 20 0 DIADHN C/C=C\COc1ccc(CC[C@H](C)NCc2cc(C)no2)cc1 ZINC000468484757 339264069 /nfs/dbraw/zinc/26/40/69/339264069.db2.gz VPYRWWSKUHACSI-XVWMLYKFSA-N 0 3 314.429 4.049 20 0 DIADHN COC[C@H](N[C@H](C)CCc1cccc(C)c1)c1ccc(C)o1 ZINC000468558755 339266468 /nfs/dbraw/zinc/26/64/68/339266468.db2.gz DQCKUJHKHMBMMV-QAPCUYQASA-N 0 3 301.430 4.195 20 0 DIADHN CO[C@@H](CCNCc1cccc(Cl)c1Cl)C(F)(F)F ZINC000468620796 339267193 /nfs/dbraw/zinc/26/71/93/339267193.db2.gz UNBXMGUUSXTVPI-JTQLQIEISA-N 0 3 316.150 4.050 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1ccc(N2CCCC2)nc1 ZINC000468683778 339268537 /nfs/dbraw/zinc/26/85/37/339268537.db2.gz HSADCNJZZIOPOQ-FQEVSTJZSA-N 0 3 321.468 4.019 20 0 DIADHN COCC[C@@H]1CCCCN(Cc2cnc(C(C)(C)C)s2)C1 ZINC000468698071 339268864 /nfs/dbraw/zinc/26/88/64/339268864.db2.gz DLYISPGHAXAEBO-AWEZNQCLSA-N 0 3 310.507 4.079 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(-c2ccc(F)cc2)cc1 ZINC000468848192 339272581 /nfs/dbraw/zinc/27/25/81/339272581.db2.gz FDAIMDYYJVPPNW-JKSUJKDBSA-N 0 3 323.415 4.429 20 0 DIADHN CCCC1(C(=O)NC[C@H](c2ccsc2)N(CC)CC)CCC1 ZINC000468848967 339272632 /nfs/dbraw/zinc/27/26/32/339272632.db2.gz HHSXCTIZECTMBQ-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN CCC(CC)CC(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000468868846 339273198 /nfs/dbraw/zinc/27/31/98/339273198.db2.gz HTWGEZLFBVWUIY-HNNXBMFYSA-N 0 3 304.478 4.058 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1CC[C@H]1CCCO1 ZINC000468902957 339274216 /nfs/dbraw/zinc/27/42/16/339274216.db2.gz IKOUTVXRJCIMJU-UONOGXRCSA-N 0 3 311.828 4.055 20 0 DIADHN Fc1cccc(Cl)c1C[C@@H]1CCCN1CC[C@@H]1CCCO1 ZINC000468902652 339274260 /nfs/dbraw/zinc/27/42/60/339274260.db2.gz IKOUTVXRJCIMJU-KBPBESRZSA-N 0 3 311.828 4.055 20 0 DIADHN COc1ccc(OCCN[C@H](C)c2csc(Cl)c2)cc1 ZINC000469035202 339278270 /nfs/dbraw/zinc/27/82/70/339278270.db2.gz QRGPRVMUDARIET-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2C[C@@H]2Cc2ccccc2)cnn1C(C)(C)C ZINC000469038110 339278382 /nfs/dbraw/zinc/27/83/82/339278382.db2.gz SQWBLPQTJAOREH-UCLAIMLFSA-N 0 3 311.473 4.228 20 0 DIADHN C[C@H](NCCOc1ccc(F)cc1F)c1csc(Cl)c1 ZINC000469082987 339279605 /nfs/dbraw/zinc/27/96/05/339279605.db2.gz WWEKKKLBODXPTK-VIFPVBQESA-N 0 3 317.788 4.409 20 0 DIADHN FC(F)(F)C(F)(F)COCCN1CCC[C@H]1c1ccccc1 ZINC000469133155 339281029 /nfs/dbraw/zinc/28/10/29/339281029.db2.gz YZRYKSSLVXCXGZ-ZDUSSCGKSA-N 0 3 323.305 4.038 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)COc2cccc(F)c2)cnn1C(C)C ZINC000469147695 339281395 /nfs/dbraw/zinc/28/13/95/339281395.db2.gz ZZUOZALXYXKYST-ZIAGYGMSSA-N 0 3 319.424 4.030 20 0 DIADHN O=C(C[C@H]1CCCN1CCc1ccccc1F)c1cccs1 ZINC000469229825 339283693 /nfs/dbraw/zinc/28/36/93/339283693.db2.gz WBQNMEMMWGMWKC-OAHLLOKOSA-N 0 3 317.429 4.167 20 0 DIADHN Cc1c([C@@H](C)NCCOc2ccccc2Cl)cnn1C(C)C ZINC000469233497 339283738 /nfs/dbraw/zinc/28/37/38/339283738.db2.gz USRCXDPJTKHYPU-CYBMUJFWSA-N 0 3 321.852 4.155 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)COC(C)(C)O3)cc1 ZINC000469268342 339284824 /nfs/dbraw/zinc/28/48/24/339284824.db2.gz PFDSCUAUDAKZMK-UHFFFAOYSA-N 0 3 311.425 4.272 20 0 DIADHN Cc1ccc(C)c(OCCCN2CCC(OC(C)(C)C)CC2)c1 ZINC000469288815 339285185 /nfs/dbraw/zinc/28/51/85/339285185.db2.gz PWMGNFCHDCDCBL-UHFFFAOYSA-N 0 3 319.489 4.352 20 0 DIADHN C[C@H](N(Cc1ccccc1)Cc1cccc(C(N)=O)c1)C(C)(C)C ZINC000469445942 339289548 /nfs/dbraw/zinc/28/95/48/339289548.db2.gz IWKQZBKVPSWXHT-INIZCTEOSA-N 0 3 324.468 4.222 20 0 DIADHN C[C@@H](N(Cc1cn2cccnc2n1)Cc1ccccc1)C(C)(C)C ZINC000469444019 339289560 /nfs/dbraw/zinc/28/95/60/339289560.db2.gz FTMQWBDWEHCPMP-MRXNPFEDSA-N 0 3 322.456 4.166 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469453400 339289958 /nfs/dbraw/zinc/28/99/58/339289958.db2.gz YNFNMFKLSQIHKL-AWEZNQCLSA-N 0 3 316.395 4.376 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(CCOCC(F)F)C1CC1 ZINC000469715398 339296126 /nfs/dbraw/zinc/29/61/26/339296126.db2.gz NCLYTRMEIILIHM-LLVKDONJSA-N 0 3 303.780 4.147 20 0 DIADHN CN(Cc1ccc(Br)c(Cl)c1)[C@@H]1CCSC1 ZINC000469722556 339296701 /nfs/dbraw/zinc/29/67/01/339296701.db2.gz CMUPGZAQYFKASB-SNVBAGLBSA-N 0 3 320.683 4.040 20 0 DIADHN Fc1ccc(CN2CCC[C@@]3(CCCc4ccccc43)C2)nc1 ZINC000469725366 339296801 /nfs/dbraw/zinc/29/68/01/339296801.db2.gz MZXNFLVTUNHBLN-FQEVSTJZSA-N 0 3 310.416 4.091 20 0 DIADHN Fc1ccc(CN(Cc2cccc(F)c2)C2CCCC2)nc1 ZINC000469729205 339296983 /nfs/dbraw/zinc/29/69/83/339296983.db2.gz QPLCCHNKANTOQI-UHFFFAOYSA-N 0 3 302.368 4.305 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1ccc(F)cn1 ZINC000469736666 339297305 /nfs/dbraw/zinc/29/73/05/339297305.db2.gz XJWXKHHKRJTXIY-STQMWFEESA-N 0 3 306.812 4.498 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@H](Oc2ccncc2)C1 ZINC000469744229 339297455 /nfs/dbraw/zinc/29/74/55/339297455.db2.gz ZIYIVLUSPSCYHX-ROUUACIJSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1ccccc1C(NCCOCC(F)F)c1ccccc1C ZINC000469789247 339300120 /nfs/dbraw/zinc/30/01/20/339300120.db2.gz XMIZDXAXQGPOKP-UHFFFAOYSA-N 0 3 319.395 4.264 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H](CCO)c1ccco1 ZINC000469790890 339300341 /nfs/dbraw/zinc/30/03/41/339300341.db2.gz MKEDOWNTPYEIMR-ZWKOTPCHSA-N 0 3 301.430 4.094 20 0 DIADHN CC(C)c1nc([C@H](C)NCCC[C@@H]2CCCC[C@@H]2O)cs1 ZINC000469797508 339300712 /nfs/dbraw/zinc/30/07/12/339300712.db2.gz CLBBCMZBBKCOQY-DZKIICNBSA-N 0 3 310.507 4.248 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CCO)c2ccco2)C[C@@H](c2ccccc2)C1 ZINC000469802455 339301204 /nfs/dbraw/zinc/30/12/04/339301204.db2.gz UJMPMFUYOHKFIE-DSLXNQLJSA-N 0 3 313.441 4.265 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCCC1CCOCC1 ZINC000469911338 339304535 /nfs/dbraw/zinc/30/45/35/339304535.db2.gz YSJCNDSOCZGUQR-CQSZACIVSA-N 0 3 318.486 4.496 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cccc4c3NCC4)CC2)c1 ZINC000469979252 339306498 /nfs/dbraw/zinc/30/64/98/339306498.db2.gz TZZNMEJQJTVEEW-UHFFFAOYSA-N 0 3 321.468 4.040 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccc(-n2cccn2)cc1 ZINC000469991595 339307302 /nfs/dbraw/zinc/30/73/02/339307302.db2.gz MRFXUJHTOHDBHT-HNNXBMFYSA-N 0 3 323.362 4.035 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1cccc(-n2cccn2)c1 ZINC000470001112 339307830 /nfs/dbraw/zinc/30/78/30/339307830.db2.gz UTTPWSZEVZGXIR-OAHLLOKOSA-N 0 3 323.362 4.035 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccc2ccccc2n1 ZINC000470008181 339308236 /nfs/dbraw/zinc/30/82/36/339308236.db2.gz GTOFJYKZGRYWCL-OAHLLOKOSA-N 0 3 308.347 4.398 20 0 DIADHN Fc1ccc(-c2ccc(CNCCCC3CCOCC3)o2)cc1 ZINC000470107515 339310941 /nfs/dbraw/zinc/31/09/41/339310941.db2.gz ZRZSMFVSUQFDDL-UHFFFAOYSA-N 0 3 317.404 4.382 20 0 DIADHN CC[C@H]1CCCN1Cc1cc(Br)cc2cccnc21 ZINC000470205278 339314121 /nfs/dbraw/zinc/31/41/21/339314121.db2.gz LMHHUAWVSOHWKY-HNNXBMFYSA-N 0 3 319.246 4.372 20 0 DIADHN CC(C(=O)N1C[C@@H](c2ccccc2)c2ccccc21)C(F)(F)F ZINC000470203534 339314154 /nfs/dbraw/zinc/31/41/54/339314154.db2.gz CUZWYFHCMOHTSC-DOMZBBRYSA-N 0 3 319.326 4.364 20 0 DIADHN C[C@H]1CN(Cc2cc(Br)cc3cccnc32)C[C@@H]1C ZINC000470202663 339314156 /nfs/dbraw/zinc/31/41/56/339314156.db2.gz KJDWKODZUJSUKO-RYUDHWBXSA-N 0 3 319.246 4.085 20 0 DIADHN CC(C(=O)N1C[C@H](c2ccccc2)c2ccccc21)C(F)(F)F ZINC000470203536 339314174 /nfs/dbraw/zinc/31/41/74/339314174.db2.gz CUZWYFHCMOHTSC-SWLSCSKDSA-N 0 3 319.326 4.364 20 0 DIADHN C[C@@H]1[C@@H](C)CCN1Cc1cc(Br)cc2cccnc21 ZINC000470207109 339314293 /nfs/dbraw/zinc/31/42/93/339314293.db2.gz BDHWBCCHNATMDZ-NWDGAFQWSA-N 0 3 319.246 4.228 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C[C@@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470359924 339318172 /nfs/dbraw/zinc/31/81/72/339318172.db2.gz VONSJLNLALICEU-XQQFMLRXSA-N 0 3 320.868 4.426 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)NC[C@@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470359924 339318173 /nfs/dbraw/zinc/31/81/73/339318173.db2.gz VONSJLNLALICEU-XQQFMLRXSA-N 0 3 320.868 4.426 20 0 DIADHN CC(C)c1nnc([C@@H](C)[NH2+]C[C@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470367646 339318521 /nfs/dbraw/zinc/31/85/21/339318521.db2.gz REFJDCYGKRCTAL-NWDGAFQWSA-N 0 3 306.841 4.036 20 0 DIADHN CC(C)c1nnc([C@@H](C)NC[C@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470367646 339318522 /nfs/dbraw/zinc/31/85/22/339318522.db2.gz REFJDCYGKRCTAL-NWDGAFQWSA-N 0 3 306.841 4.036 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2ccccc2-n2cccn2)c1 ZINC000470686841 339324784 /nfs/dbraw/zinc/32/47/84/339324784.db2.gz XSFNWOFSAJFBBQ-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1cccc(C(F)F)c1 ZINC000470717390 339325817 /nfs/dbraw/zinc/32/58/17/339325817.db2.gz JKRAIMSJTZESOB-UHFFFAOYSA-N 0 3 319.351 4.375 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCOC(F)(F)F)cc1 ZINC000470778135 339327593 /nfs/dbraw/zinc/32/75/93/339327593.db2.gz BKGUQFCQGSHQDH-LLVKDONJSA-N 0 3 307.381 4.374 20 0 DIADHN C[C@H](CCO)N(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470776308 339327706 /nfs/dbraw/zinc/32/77/06/339327706.db2.gz GVIAVDKYYXCWDZ-OAHLLOKOSA-N 0 3 319.395 4.397 20 0 DIADHN C[C@@H]1c2ccccc2CN1C[C@H](O)Cc1ccc2ccccc2c1 ZINC000470778877 339327799 /nfs/dbraw/zinc/32/77/99/339327799.db2.gz JSUMQNIGTYYWIS-IIBYNOLFSA-N 0 3 317.432 4.320 20 0 DIADHN O[C@H](Cc1ccc2ccccc2c1)CN1CC[C@H]1c1ccccc1 ZINC000470779178 339327814 /nfs/dbraw/zinc/32/78/14/339327814.db2.gz KSSXRHXWYUUVBM-YADHBBJMSA-N 0 3 317.432 4.190 20 0 DIADHN CO[C@@]1(C)CCCN([C@H](C)c2ccccc2Br)C1 ZINC000470906472 339330867 /nfs/dbraw/zinc/33/08/67/339330867.db2.gz NGBKGFMUXHITBB-DOMZBBRYSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(C(F)(F)F)cc1 ZINC000470946993 339332204 /nfs/dbraw/zinc/33/22/04/339332204.db2.gz MBNCEWSNGXXEDL-SKDRFNHKSA-N 0 3 311.269 4.478 20 0 DIADHN Cc1ccc([C@H](NCCc2ccccc2)c2ccccn2)cc1 ZINC000471075358 339336367 /nfs/dbraw/zinc/33/63/67/339336367.db2.gz IEESLUWYOIUPGW-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN C[C@]1(O)CCCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000471075712 339336452 /nfs/dbraw/zinc/33/64/52/339336452.db2.gz QXILPYOPGBSCSZ-FQEVSTJZSA-N 0 3 311.425 4.216 20 0 DIADHN CC(C)CC1(C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)CCC1 ZINC000471119568 339337660 /nfs/dbraw/zinc/33/76/60/339337660.db2.gz WLJLDJJZMDURBG-SJLPKXTDSA-N 0 3 316.489 4.010 20 0 DIADHN CCCC(CCC)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC000471132598 339337917 /nfs/dbraw/zinc/33/79/17/339337917.db2.gz FRRVDRXZYSMXAH-CRAIPNDOSA-N 0 3 304.478 4.010 20 0 DIADHN COc1ccsc1[C@@H](C)NCCOc1cccc(Cl)c1 ZINC000471578184 339347845 /nfs/dbraw/zinc/34/78/45/339347845.db2.gz OMDZSLZNGGVVRT-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN Cc1ccc([C@@H](C)NCCc2cn(C)c3cc(F)ccc23)o1 ZINC000471577122 339347903 /nfs/dbraw/zinc/34/79/03/339347903.db2.gz HXYWVXIJARQDOM-CYBMUJFWSA-N 0 3 300.377 4.112 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H]1Oc2ccccc2[C@H]1C ZINC000471587652 339348255 /nfs/dbraw/zinc/34/82/55/339348255.db2.gz VOXJHRRCWOABFR-KOFHJDLBSA-N 0 3 317.454 4.361 20 0 DIADHN C[C@H](NCC1(CO)CCCC1)c1cc2cc(Cl)ccc2o1 ZINC000471588618 339348355 /nfs/dbraw/zinc/34/83/55/339348355.db2.gz MCLGGUOTTXOZNP-LBPRGKRZSA-N 0 3 307.821 4.290 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](OCc2ccccc2)C1(C)C)c1cncs1 ZINC000471588587 339348362 /nfs/dbraw/zinc/34/83/62/339348362.db2.gz LUTBKFVANXBJKA-IAOVAPTHSA-N 0 3 316.470 4.178 20 0 DIADHN Cc1cncc([C@H](C)N[C@H]2C[C@@H](OCc3ccccc3)C2(C)C)c1 ZINC000471594657 339348690 /nfs/dbraw/zinc/34/86/90/339348690.db2.gz QVYVZHZEUXJZSV-FFZOFVMBSA-N 0 3 324.468 4.425 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H]1SCCc2ccccc21 ZINC000471598120 339348839 /nfs/dbraw/zinc/34/88/39/339348839.db2.gz UGGUMKWIWJMSQM-LRDDRELGSA-N 0 3 319.495 4.438 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000471612585 339349449 /nfs/dbraw/zinc/34/94/49/339349449.db2.gz UDEIGAVWJGKQTB-KEYYUXOJSA-N 0 3 318.486 4.099 20 0 DIADHN C[C@H](NCCOCC(F)F)c1ccc(-c2cccs2)cc1 ZINC000471755056 339353110 /nfs/dbraw/zinc/35/31/10/339353110.db2.gz BBHAWEZERVXJIV-LBPRGKRZSA-N 0 3 311.397 4.347 20 0 DIADHN C[C@@H](NCCOCC(F)F)c1cccc(-c2ccccc2)c1 ZINC000471757394 339353261 /nfs/dbraw/zinc/35/32/61/339353261.db2.gz GNQDYMKPHGNKQE-CQSZACIVSA-N 0 3 305.368 4.286 20 0 DIADHN CCC(O)(CC)CCN[C@@H]1CCCOc2cc(C)c(C)cc21 ZINC000471788347 339354034 /nfs/dbraw/zinc/35/40/34/339354034.db2.gz PSTQZFSKICQESP-QGZVFWFLSA-N 0 3 305.462 4.048 20 0 DIADHN CCC(O)(CC)CCN[C@H]1CCCOc2c(Cl)cccc21 ZINC000471786474 339354061 /nfs/dbraw/zinc/35/40/61/339354061.db2.gz JXFDMAXLMQASSQ-HNNXBMFYSA-N 0 3 311.853 4.085 20 0 DIADHN CC[C@@H](NCCc1ccccc1OC(F)F)c1ccc(F)cn1 ZINC000471790882 339354158 /nfs/dbraw/zinc/35/41/58/339354158.db2.gz UDUXQHDWLWRYDG-CQSZACIVSA-N 0 3 324.346 4.106 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000471800418 339354561 /nfs/dbraw/zinc/35/45/61/339354561.db2.gz SBKMMMHQKNCBRC-ZDUSSCGKSA-N 0 3 315.404 4.188 20 0 DIADHN C[C@@H]1C[C@@H](O)CN1Cc1ccc(-c2cccc(Cl)c2)s1 ZINC000471805961 339354779 /nfs/dbraw/zinc/35/47/79/339354779.db2.gz VNDRRTMGMTWPRG-BXUZGUMPSA-N 0 3 307.846 4.024 20 0 DIADHN CCC(O)(CC)CCNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000471823012 339355350 /nfs/dbraw/zinc/35/53/50/339355350.db2.gz CHCUSXSRMROSAX-UHFFFAOYSA-N 0 3 323.786 4.390 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(C(F)(F)F)c1C)N(CC)CC ZINC000471859187 339356201 /nfs/dbraw/zinc/35/62/01/339356201.db2.gz VIMSKKVQLCBHBV-CQSZACIVSA-N 0 3 316.367 4.073 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CCOc4ccccc43)cc2c1C ZINC000472042201 339360173 /nfs/dbraw/zinc/36/01/73/339360173.db2.gz CBMZLXMCZBDICE-GOSISDBHSA-N 0 3 306.409 4.398 20 0 DIADHN Cc1ccc2[nH]c(CNCCOc3ccccc3F)cc2c1C ZINC000472044309 339360366 /nfs/dbraw/zinc/36/03/66/339360366.db2.gz YMMDHJHSHLYJSR-UHFFFAOYSA-N 0 3 312.388 4.092 20 0 DIADHN CCn1nc(CNC[C@H](C)Cc2cccs2)c2ccccc21 ZINC000472047920 339360625 /nfs/dbraw/zinc/36/06/25/339360625.db2.gz JFMFXFPWMLSFAK-CQSZACIVSA-N 0 3 313.470 4.086 20 0 DIADHN CO[C@@H](CNCc1cc2c(ccc(C)c2C)[nH]1)c1ccccc1 ZINC000472047399 339360738 /nfs/dbraw/zinc/36/07/38/339360738.db2.gz JYCLLZNKFDZCJG-FQEVSTJZSA-N 0 3 308.425 4.262 20 0 DIADHN COc1ccccc1[C@H](CNCc1ccc(F)cc1)OC(C)C ZINC000472055133 339361338 /nfs/dbraw/zinc/36/13/38/339361338.db2.gz NGDUWHWNDHYGHV-IBGZPJMESA-N 0 3 317.404 4.090 20 0 DIADHN C[C@@H](NC(C)(C)CNCc1ncc(Cl)s1)c1ccccc1 ZINC000472058669 339361449 /nfs/dbraw/zinc/36/14/49/339361449.db2.gz HYKVRQKPVLVNIF-GFCCVEGCSA-N 0 3 323.893 4.016 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccc(C)s1)OC(C)C ZINC000472063531 339361743 /nfs/dbraw/zinc/36/17/43/339361743.db2.gz FBCHSJVZSOFDSP-GOSISDBHSA-N 0 3 319.470 4.321 20 0 DIADHN CCSc1cccc(CN[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000472063705 339361926 /nfs/dbraw/zinc/36/19/26/339361926.db2.gz OSEIGSWBRHPSGK-ZDUSSCGKSA-N 0 3 315.438 4.378 20 0 DIADHN CSc1cc(CNCc2cc3c(ccc(C)c3C)[nH]2)ccn1 ZINC000472069722 339362252 /nfs/dbraw/zinc/36/22/52/339362252.db2.gz WRXJEHPNNNAXNM-UHFFFAOYSA-N 0 3 311.454 4.191 20 0 DIADHN COc1ccccc1[C@H](CNCc1ccc(C)cc1)OC(C)C ZINC000472076879 339362845 /nfs/dbraw/zinc/36/28/45/339362845.db2.gz WHQQWIUMCLJRFZ-FQEVSTJZSA-N 0 3 313.441 4.260 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2nn(CC)c3ccccc23)C1 ZINC000472077059 339362851 /nfs/dbraw/zinc/36/28/51/339362851.db2.gz VDUXRWQDJVILSZ-HUUCEWRRSA-N 0 3 317.502 4.210 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](C)Oc1ccccc1C ZINC000472128511 339363580 /nfs/dbraw/zinc/36/35/80/339363580.db2.gz QKJXZXGGSHYVOT-KBPBESRZSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@@H](C)NCCCOc1ccc(F)cc1 ZINC000472125857 339363593 /nfs/dbraw/zinc/36/35/93/339363593.db2.gz JAUUVKXFNSBTDZ-GFCCVEGCSA-N 0 3 309.406 4.016 20 0 DIADHN COc1ccsc1[C@@H](C)NCCCOc1ccc(C)cc1 ZINC000472125798 339363636 /nfs/dbraw/zinc/36/36/36/339363636.db2.gz IFWVTPLVSCRXKI-CQSZACIVSA-N 0 3 305.443 4.185 20 0 DIADHN COCc1ccc(CN[C@H](C)CSc2ccc(C)cc2)o1 ZINC000472130727 339363826 /nfs/dbraw/zinc/36/38/26/339363826.db2.gz RBTWNXALCVWDFB-CQSZACIVSA-N 0 3 305.443 4.005 20 0 DIADHN COc1ccsc1[C@@H](C)NCC1(c2cccc(F)c2)CC1 ZINC000472137554 339364071 /nfs/dbraw/zinc/36/40/71/339364071.db2.gz BCUPPJDGHYHILP-GFCCVEGCSA-N 0 3 305.418 4.278 20 0 DIADHN COc1ccsc1[C@@H](C)NCC(C)(C)Oc1ccccc1 ZINC000472143249 339364210 /nfs/dbraw/zinc/36/42/10/339364210.db2.gz ZAXVGSJYKNYXTQ-CYBMUJFWSA-N 0 3 305.443 4.265 20 0 DIADHN OCC1(CNCc2cc(C(F)(F)F)ccc2Cl)CCCC1 ZINC000472146163 339364365 /nfs/dbraw/zinc/36/43/65/339364365.db2.gz RZEYVJIVXBOPEW-UHFFFAOYSA-N 0 3 321.770 4.001 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(C)CCCCO)s2)cc1 ZINC000472194121 339365784 /nfs/dbraw/zinc/36/57/84/339365784.db2.gz WQMURANNPAHRMZ-UHFFFAOYSA-N 0 3 318.486 4.138 20 0 DIADHN C[C@@H]1CN(CC(=O)Nc2ccccc2-c2ccccc2)C(C)(C)C1 ZINC000472274620 339368455 /nfs/dbraw/zinc/36/84/55/339368455.db2.gz JZYVZWNTXNYUPZ-INIZCTEOSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@H](NCCCO[C@@H]1CCCCO1)c1c(F)cccc1Cl ZINC000472603835 339375103 /nfs/dbraw/zinc/37/51/03/339375103.db2.gz NTPXHQBKEAIBCQ-SWLSCSKDSA-N 0 3 315.816 4.063 20 0 DIADHN CC(C)c1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1 ZINC000473031700 339380382 /nfs/dbraw/zinc/38/03/82/339380382.db2.gz BMBLTZTZCRNVQS-OAQYLSRUSA-N 0 3 307.437 4.441 20 0 DIADHN CCN(Cc1cc(O)cc(F)c1)[C@@H](C)c1cccc(OC)c1 ZINC000473134615 339381944 /nfs/dbraw/zinc/38/19/44/339381944.db2.gz YSOOVACQXIZHFH-ZDUSSCGKSA-N 0 3 303.377 4.123 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@H]3c3cccn3C)cc2c1C ZINC000473138516 339381977 /nfs/dbraw/zinc/38/19/77/339381977.db2.gz DNUDLOMYGDGBKR-FQEVSTJZSA-N 0 3 307.441 4.460 20 0 DIADHN CCn1nc(CN2CCC[C@@H]2c2ccccc2)c2ccccc21 ZINC000473145278 339382785 /nfs/dbraw/zinc/38/27/85/339382785.db2.gz QKLZTRQSQUAUII-LJQANCHMSA-N 0 3 305.425 4.393 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](C)[C@H](n4ccnc4)C3)cc2c1C ZINC000473147044 339382793 /nfs/dbraw/zinc/38/27/93/339382793.db2.gz VQRMEQWUJGZWOG-FOIQADDNSA-N 0 3 322.456 4.064 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](C)[C@H](n4ccnc4)C3)cc2c1C ZINC000473147045 339382864 /nfs/dbraw/zinc/38/28/64/339382864.db2.gz VQRMEQWUJGZWOG-MGPUTAFESA-N 0 3 322.456 4.064 20 0 DIADHN C[C@@H](NCc1ccc(Br)cn1)[C@@H](C)c1ccccc1 ZINC000473926649 339395111 /nfs/dbraw/zinc/39/51/11/339395111.db2.gz ZIBNCICONWKNJS-CHWSQXEVSA-N 0 3 319.246 4.126 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H](C)c1c(C)noc1C ZINC000474001189 339396783 /nfs/dbraw/zinc/39/67/83/339396783.db2.gz PVSSFMAFZFASCM-NSHDSACASA-N 0 3 306.837 4.401 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H](C)C2CCC(F)(F)CC2)o1 ZINC000474038220 339398185 /nfs/dbraw/zinc/39/81/85/339398185.db2.gz XEUFLPYWARXVFD-SWLSCSKDSA-N 0 3 315.404 4.333 20 0 DIADHN CCOC[C@@H](N[C@H](C)c1cc(C)ccc1OC(F)F)C(C)C ZINC000474078568 339401208 /nfs/dbraw/zinc/40/12/08/339401208.db2.gz ZLKLWWKGROSMCB-UKRRQHHQSA-N 0 3 315.404 4.308 20 0 DIADHN C[C@H](NCc1cc(Oc2ccc(F)cc2)ccn1)C1CCC1 ZINC000474716133 339423455 /nfs/dbraw/zinc/42/34/55/339423455.db2.gz UGQZTNZGAKOUMC-ZDUSSCGKSA-N 0 3 300.377 4.291 20 0 DIADHN Cc1ccc(CCCN[C@@H](C)c2nc(C(C)(C)C)cs2)cn1 ZINC000474731480 339424447 /nfs/dbraw/zinc/42/44/47/339424447.db2.gz VNEXOOXYIITHLP-AWEZNQCLSA-N 0 3 317.502 4.427 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000474894099 339430313 /nfs/dbraw/zinc/43/03/13/339430313.db2.gz QCHQVLKEOLYHBW-CABCVRRESA-N 0 3 319.880 4.474 20 0 DIADHN CC(C)[C@H](N[C@H]1CCC[C@@H](C(C)C)C1)c1nc(C2CC2)no1 ZINC000474893762 339430342 /nfs/dbraw/zinc/43/03/42/339430342.db2.gz OPUSGPLGXIUWIB-PMPSAXMXSA-N 0 3 305.466 4.449 20 0 DIADHN C[C@@H](NCc1cc(COC(C)(C)C)on1)C1C(C)(C)C1(C)C ZINC000474920931 339431036 /nfs/dbraw/zinc/43/10/36/339431036.db2.gz KAAAVAXVMDFFQF-GFCCVEGCSA-N 0 3 308.466 4.150 20 0 DIADHN Cc1nnc([C@@H](C)NC[C@@H](CC(C)C)c2ccccc2)s1 ZINC000474948866 339433044 /nfs/dbraw/zinc/43/30/44/339433044.db2.gz SDWSNIWQTGMGHS-CZUORRHYSA-N 0 3 303.475 4.327 20 0 DIADHN Cc1ccc(C)c(N2CCN(CCCCOC(C)(C)C)CC2)c1 ZINC000475028353 339435889 /nfs/dbraw/zinc/43/58/89/339435889.db2.gz AVQCOOCIQSMBKC-UHFFFAOYSA-N 0 3 318.505 4.021 20 0 DIADHN CC(C)N1CCC(N(C)c2ncc(-c3ccccc3)s2)CC1 ZINC000475080231 339438299 /nfs/dbraw/zinc/43/82/99/339438299.db2.gz KMHXNUATZCXEQV-UHFFFAOYSA-N 0 3 315.486 4.119 20 0 DIADHN FC(F)C1(CNCc2ccc(Br)cc2Cl)CC1 ZINC000475217187 339442110 /nfs/dbraw/zinc/44/21/10/339442110.db2.gz WFVYACZEIMFAOC-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN O[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1)C1CCCCC1 ZINC000475309048 339445423 /nfs/dbraw/zinc/44/54/23/339445423.db2.gz FKRZUACDFXDQEK-CVEARBPZSA-N 0 3 315.379 4.211 20 0 DIADHN Fc1cc(Cl)cc(CNCc2ccc3ncccc3c2)c1 ZINC000475332533 339447013 /nfs/dbraw/zinc/44/70/13/339447013.db2.gz ADXNGPFFRQKXLJ-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccc3ncccc3c2)c(F)c1 ZINC000475356173 339449034 /nfs/dbraw/zinc/44/90/34/339449034.db2.gz XHGZNSKZVVEMGD-IWQZZHSRSA-N 0 3 310.347 4.316 20 0 DIADHN COc1ccc(CNCC2(C)CCC(C)CC2)c(OC)c1OC ZINC000475424323 339451120 /nfs/dbraw/zinc/45/11/20/339451120.db2.gz NIMRIRQMLIKIQP-UHFFFAOYSA-N 0 3 321.461 4.018 20 0 DIADHN CC(C)(C)c1cnc(CNCCCc2ccccc2F)s1 ZINC000475572239 339456121 /nfs/dbraw/zinc/45/61/21/339456121.db2.gz OUYZLGTYDWPVDD-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1cnc(-c2ccccc2)s1 ZINC000475679287 339459315 /nfs/dbraw/zinc/45/93/15/339459315.db2.gz MBOGYOQVMMIXQU-OAHLLOKOSA-N 0 3 318.486 4.087 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1cnc(-c2cccs2)s1 ZINC000475678859 339459384 /nfs/dbraw/zinc/45/93/84/339459384.db2.gz KILYTTRPVMEOIF-GFCCVEGCSA-N 0 3 324.515 4.148 20 0 DIADHN CCC[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccccn1 ZINC000477850229 339502563 /nfs/dbraw/zinc/50/25/63/339502563.db2.gz IPXYCXFWHRLPKX-SJORKVTESA-N 0 3 302.462 4.394 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000477855258 339502828 /nfs/dbraw/zinc/50/28/28/339502828.db2.gz XAJYNRFQFALQIS-DOTOQJQBSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H](NC[C@@](C)(O)C1CCCCC1)c1cc(F)c(F)c(F)c1 ZINC000477862025 339503094 /nfs/dbraw/zinc/50/30/94/339503094.db2.gz RCTBTISXWBNDHT-APPDUMDISA-N 0 3 315.379 4.086 20 0 DIADHN CC1(C)C[C@H](NCc2ncc(-c3ccccc3)s2)C(C)(C)O1 ZINC000478272436 339512194 /nfs/dbraw/zinc/51/21/94/339512194.db2.gz KYCQCUADMVWMCK-HNNXBMFYSA-N 0 3 316.470 4.246 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1cccc(Cl)c1F ZINC000478410309 339514890 /nfs/dbraw/zinc/51/48/90/339514890.db2.gz JKQQHAOJMIYNPD-PBXWNFIWSA-N 0 3 309.812 4.087 20 0 DIADHN CC(C)C[C@H](CCO)CN[C@H](c1cc(F)ccc1F)C(C)C ZINC000479056442 339526335 /nfs/dbraw/zinc/52/63/35/339526335.db2.gz HCQVWFMCPDSQDQ-KSSFIOAISA-N 0 3 313.432 4.296 20 0 DIADHN Cc1ccccc1[C@H](N[C@H]1CCCc2nn(C)cc21)C(C)(C)C ZINC000479076812 339527131 /nfs/dbraw/zinc/52/71/31/339527131.db2.gz LCNJUPIDIZHMDL-HKUYNNGSSA-N 0 3 311.473 4.483 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1ccccc1-n1cccn1)CC2 ZINC000479219470 339529307 /nfs/dbraw/zinc/52/93/07/339529307.db2.gz HPDLAAOGMQDVQF-GOSISDBHSA-N 0 3 323.827 4.303 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CCc2ccc(Cl)cc21)c1ccsc1 ZINC000479257695 339529949 /nfs/dbraw/zinc/52/99/49/339529949.db2.gz ZOYJWGBPDXNOMO-IRXDYDNUSA-N 0 3 320.889 4.281 20 0 DIADHN C[C@H](c1nc(-c2cccc(CN3CCCCC3)c2)no1)C1CC1 ZINC000479281582 339530271 /nfs/dbraw/zinc/53/02/71/339530271.db2.gz MWSDVDNLBIZPTC-AWEZNQCLSA-N 0 3 311.429 4.236 20 0 DIADHN COC1CCC(C)(CN[C@H]2CCc3c2c(F)ccc3F)CC1 ZINC000479455119 339535828 /nfs/dbraw/zinc/53/58/28/339535828.db2.gz RCEIPZJBTUGZRP-IBFVRDEOSA-N 0 3 309.400 4.137 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCc3ccc(F)cc31)CC2 ZINC000479480176 339537303 /nfs/dbraw/zinc/53/73/03/339537303.db2.gz CLUDUFJVCPHJJD-VQTJNVASSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCC1)c1cc2cc(Cl)ccc2o1 ZINC000479547229 339540472 /nfs/dbraw/zinc/54/04/72/339540472.db2.gz VQMDAKSGQVBFSO-ABAIWWIYSA-N 0 3 307.821 4.288 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCC1)c1cc2cc(Cl)ccc2o1 ZINC000479547233 339540499 /nfs/dbraw/zinc/54/04/99/339540499.db2.gz VQMDAKSGQVBFSO-NHYWBVRUSA-N 0 3 307.821 4.288 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCC1)c1ccc(Cl)cc1Cl ZINC000479550100 339540695 /nfs/dbraw/zinc/54/06/95/339540695.db2.gz YZOWYUTTWJCLMK-MFKMUULPSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H](CO)CC1CCC1)c1cccc(Cl)c1Cl ZINC000479556924 339540976 /nfs/dbraw/zinc/54/09/76/339540976.db2.gz WTYUYWRLIOBERW-CMPLNLGQSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@@H](N[C@H](CO)CCC(C)(C)C)c1ccc2ccccc2n1 ZINC000479556918 339541022 /nfs/dbraw/zinc/54/10/22/339541022.db2.gz WRNIHBBRXFJRID-ZBFHGGJFSA-N 0 3 300.446 4.073 20 0 DIADHN COc1ccc(CNC[C@H](C)C(F)(F)F)cc1OCC(C)C ZINC000479572892 339541764 /nfs/dbraw/zinc/54/17/64/339541764.db2.gz FJZXZEWXLBKQNO-LBPRGKRZSA-N 0 3 319.367 4.018 20 0 DIADHN CSCCCCCNCc1csc(-c2ccccc2)n1 ZINC000479580807 339542201 /nfs/dbraw/zinc/54/22/01/339542201.db2.gz GUQSYNQJZGEPMN-UHFFFAOYSA-N 0 3 306.500 4.433 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1cnc(C2CCCC2)s1 ZINC000479582030 339542395 /nfs/dbraw/zinc/54/23/95/339542395.db2.gz CCGGAOGGBIGEQF-IRXDYDNUSA-N 0 3 322.518 4.484 20 0 DIADHN CC(C)CCOc1ccc(CNC[C@@H](C)C(F)(F)F)cc1 ZINC000479599374 339543152 /nfs/dbraw/zinc/54/31/52/339543152.db2.gz AMLFCTKPELJACD-CYBMUJFWSA-N 0 3 303.368 4.400 20 0 DIADHN C[C@H](NC[C@H](c1cccc(F)c1)N(C)C)c1cc(F)cc(F)c1 ZINC000479849145 339550357 /nfs/dbraw/zinc/55/03/57/339550357.db2.gz HFQXQEOMNXLWCS-KPZWWZAWSA-N 0 3 322.374 4.057 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)NCc1cccnc1 ZINC000479878067 339552450 /nfs/dbraw/zinc/55/24/50/339552450.db2.gz YMUVJKHDRCABEY-HNNXBMFYSA-N 0 3 306.862 4.396 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1Cl)N(C)C)c1ccsc1 ZINC000480068934 339557458 /nfs/dbraw/zinc/55/74/58/339557458.db2.gz KNVWLQFUMUKTHD-LRDDRELGSA-N 0 3 308.878 4.355 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000480098706 339559156 /nfs/dbraw/zinc/55/91/56/339559156.db2.gz LTCUTRZAPULCHJ-ZFWWWQNUSA-N 0 3 310.507 4.025 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480166382 339561445 /nfs/dbraw/zinc/56/14/45/339561445.db2.gz JIKJXYNLZPDRIZ-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](NCCCOCC1CC1)c1ccccc1OC(F)(F)F ZINC000480171423 339561971 /nfs/dbraw/zinc/56/19/71/339561971.db2.gz QRIMREIGRRUAQR-GFCCVEGCSA-N 0 3 317.351 4.053 20 0 DIADHN CCOc1cccc(CCN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480193058 339562887 /nfs/dbraw/zinc/56/28/87/339562887.db2.gz CSDHAZVHEZZSEK-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN COc1ccc([C@H](C)NCC2(c3ccccc3)CC2)c(OC)c1 ZINC000480196893 339563085 /nfs/dbraw/zinc/56/30/85/339563085.db2.gz MRMLCHXDWTTYPN-HNNXBMFYSA-N 0 3 311.425 4.086 20 0 DIADHN Cc1ccc(OCCCN[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000480264456 339565988 /nfs/dbraw/zinc/56/59/88/339565988.db2.gz LYVXXHOHKRXIFQ-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN C[C@H](NCCCCN(C)c1ccccc1)c1ccc(Cl)cn1 ZINC000480262764 339566043 /nfs/dbraw/zinc/56/60/43/339566043.db2.gz YAPTYDNOSKEVTG-HNNXBMFYSA-N 0 3 317.864 4.302 20 0 DIADHN Fc1ccc(CCNCc2ccsc2Cl)c(F)c1F ZINC000480288751 339567411 /nfs/dbraw/zinc/56/74/11/339567411.db2.gz ZHEXIQUNRGZGJW-UHFFFAOYSA-N 0 3 305.752 4.151 20 0 DIADHN CCN(C)c1ccc(CN[C@H]2c3ccccc3CCC[C@@H]2C)cn1 ZINC000480310809 339568537 /nfs/dbraw/zinc/56/85/37/339568537.db2.gz UEYQJXJVZASWDQ-HRAATJIYSA-N 0 3 323.484 4.341 20 0 DIADHN Brc1ccc(CN[C@H](Cc2ccccc2)C2CC2)o1 ZINC000480333457 339569514 /nfs/dbraw/zinc/56/95/14/339569514.db2.gz IFACXFKTYRCCRO-OAHLLOKOSA-N 0 3 320.230 4.153 20 0 DIADHN CCN(C)c1ccc(CNC2(c3cccc(C)c3)CCCC2)cn1 ZINC000480371967 339571087 /nfs/dbraw/zinc/57/10/87/339571087.db2.gz OMQQWEKPZHFJOH-UHFFFAOYSA-N 0 3 323.484 4.405 20 0 DIADHN CCC[C@H](CCO)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480372704 339571133 /nfs/dbraw/zinc/57/11/33/339571133.db2.gz SOHZWSUBRPSBOK-CYBMUJFWSA-N 0 3 323.383 4.113 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H]1CCCc2c(O)cccc21 ZINC000480462026 339573874 /nfs/dbraw/zinc/57/38/74/339573874.db2.gz PPSAAGXOWNPMSB-JHTBKMLMSA-N 0 3 309.409 4.081 20 0 DIADHN Clc1cc2[nH]cc(CCNCc3ccco3)c2c(Cl)c1 ZINC000480465427 339573914 /nfs/dbraw/zinc/57/39/14/339573914.db2.gz CRRDHSPHPWPOOS-UHFFFAOYSA-N 0 3 309.196 4.400 20 0 DIADHN COc1cc2c(cc1CN[C@H](C)c1sccc1C)O[C@H](C)C2 ZINC000480530444 339576279 /nfs/dbraw/zinc/57/62/79/339576279.db2.gz SHJYPDHZVMJQKB-CHWSQXEVSA-N 0 3 317.454 4.239 20 0 DIADHN CC(C)C[C@H](NC[C@@H](C)N(C)c1ccccc1)c1ccccn1 ZINC000480613515 339579702 /nfs/dbraw/zinc/57/97/02/339579702.db2.gz RHGLCJXDKKSRMH-XLIONFOSSA-N 0 3 311.473 4.283 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)N(C)c2ccccc2)s1 ZINC000480618917 339579888 /nfs/dbraw/zinc/57/98/88/339579888.db2.gz YOCVJUJCGLMYEC-KGLIPLIRSA-N 0 3 317.502 4.189 20 0 DIADHN Clc1sccc1CN[C@@H](CN1CCCC1)c1ccccc1 ZINC000480665038 339582095 /nfs/dbraw/zinc/58/20/95/339582095.db2.gz FRAOUQFXNKGULG-INIZCTEOSA-N 0 3 320.889 4.328 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)CC(=O)N2CCCCCC2)c1 ZINC000480672307 339582624 /nfs/dbraw/zinc/58/26/24/339582624.db2.gz OQRRMNSWNNGSJK-QGZVFWFLSA-N 0 3 316.489 4.081 20 0 DIADHN CC[C@H](C)C[C@H](CC)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480697382 339583784 /nfs/dbraw/zinc/58/37/84/339583784.db2.gz KLHPDAJXGPWPPH-JVPBZIDWSA-N 0 3 317.452 4.453 20 0 DIADHN Cc1ccc(C[C@@H](NCc2ccnn2C)c2ccc(C)cc2)cc1 ZINC000480774087 339586825 /nfs/dbraw/zinc/58/68/25/339586825.db2.gz LORHNPWCTSSVBS-OAQYLSRUSA-N 0 3 319.452 4.111 20 0 DIADHN Oc1ccccc1CCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000480808434 339587993 /nfs/dbraw/zinc/58/79/93/339587993.db2.gz SHNXMUDOADRKEL-OAQYLSRUSA-N 0 3 321.395 4.453 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2ccc(N(C)C)nc2)cc1 ZINC000480811727 339588055 /nfs/dbraw/zinc/58/80/55/339588055.db2.gz MPNMROUSUBITHO-MRXNPFEDSA-N 0 3 311.473 4.341 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)COc1cccc(F)c1)CCC2 ZINC000481046954 339594283 /nfs/dbraw/zinc/59/42/83/339594283.db2.gz YXYPLQVTBXSEOE-IAQYHMDHSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H]3CCC(C)(C)C[C@@H]3C)o2)cc1 ZINC000481125612 339596207 /nfs/dbraw/zinc/59/62/07/339596207.db2.gz MCQSCETZDOLNJY-GOEBONIOSA-N 0 3 313.445 4.349 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000481175159 339597160 /nfs/dbraw/zinc/59/71/60/339597160.db2.gz MCSWLYOAPBLHBA-CQSZACIVSA-N 0 3 321.371 4.073 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CCCC[C@H]1Cc1ccccc1 ZINC000481195582 339598319 /nfs/dbraw/zinc/59/83/19/339598319.db2.gz WCFFCBQHVVFJSN-RBUKOAKNSA-N 0 3 311.473 4.355 20 0 DIADHN Cn1cc([C@@H](NCCC2CCCCC2)c2ccc(F)cc2)cn1 ZINC000481409701 339603691 /nfs/dbraw/zinc/60/36/91/339603691.db2.gz VEULZYLBAFPNMV-IBGZPJMESA-N 0 3 315.436 4.209 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)CC1CC(C)(C)C1 ZINC000481504231 339605552 /nfs/dbraw/zinc/60/55/52/339605552.db2.gz IRLGONSLBJXZQC-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H]2CCN(c3ccc(F)cc3)C2)o1 ZINC000481557066 339606585 /nfs/dbraw/zinc/60/65/85/339606585.db2.gz LBJPNZSPVRBQQG-CABCVRRESA-N 0 3 316.420 4.158 20 0 DIADHN COc1ccc([C@H](C)NCCc2ccc3ccccc3n2)c(F)c1 ZINC000481728309 339611583 /nfs/dbraw/zinc/61/15/83/339611583.db2.gz SGLQTNFQVDMUFD-AWEZNQCLSA-N 0 3 324.399 4.276 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2ncc(Br)s2)[C@H]1C ZINC000481820346 339614590 /nfs/dbraw/zinc/61/45/90/339614590.db2.gz BOMSMDDSKYAHCT-VWYCJHECSA-N 0 3 317.296 4.068 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2ncc(Br)s2)[C@@H]1C ZINC000481820330 339614712 /nfs/dbraw/zinc/61/47/12/339614712.db2.gz BOMSMDDSKYAHCT-GMTAPVOTSA-N 0 3 317.296 4.068 20 0 DIADHN Cn1c(CN[C@@H]2CC[C@@H](c3ccccc3F)C2)nc2ccccc21 ZINC000481826471 339614864 /nfs/dbraw/zinc/61/48/64/339614864.db2.gz WTOWGTWTYFQMNZ-HUUCEWRRSA-N 0 3 323.415 4.138 20 0 DIADHN CO[C@H](C)CCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000481934919 339617405 /nfs/dbraw/zinc/61/74/05/339617405.db2.gz LSFYGHMWJOHMNF-ZJUUUORDSA-N 0 3 307.768 4.017 20 0 DIADHN CC[C@@H](N[C@H](C)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000481940067 339617575 /nfs/dbraw/zinc/61/75/75/339617575.db2.gz BGFDDFMRDBAEJJ-GDBMZVCRSA-N 0 3 304.482 4.014 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(F)cc1)c1ccco1 ZINC000481956318 339617895 /nfs/dbraw/zinc/61/78/95/339617895.db2.gz BMYBUQDRZAUCSK-WMLDXEAASA-N 0 3 304.409 4.152 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@H](C)c1cccc(Cl)c1Cl ZINC000481954337 339617903 /nfs/dbraw/zinc/61/79/03/339617903.db2.gz PIBWBPLNHNOVRR-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN COCC[C@@H](C)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000482023236 339620092 /nfs/dbraw/zinc/62/00/92/339620092.db2.gz YVRYTIQZDOXBLE-IAGOWNOFSA-N 0 3 313.441 4.341 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2ccccc2C)N2CCCC2)o1 ZINC000482020220 339620132 /nfs/dbraw/zinc/62/01/32/339620132.db2.gz XUHLTLVPQWUNJX-MJGOQNOKSA-N 0 3 312.457 4.384 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](c2ccc(C)o2)N2CCCC2)o1 ZINC000482025146 339620208 /nfs/dbraw/zinc/62/02/08/339620208.db2.gz IBEDVMOFPAHDLK-WBVHZDCISA-N 0 3 316.445 4.231 20 0 DIADHN Cc1ccc(Cl)cc1N1CC[C@@H](CN[C@@H](C)c2ccco2)C1 ZINC000482097138 339622854 /nfs/dbraw/zinc/62/28/54/339622854.db2.gz ICIOKYURIBJKSD-GJZGRUSLSA-N 0 3 318.848 4.419 20 0 DIADHN CCc1ccc([C@@H](C)NCCCOCc2ccc(OC)cc2)o1 ZINC000482271878 339628301 /nfs/dbraw/zinc/62/83/01/339628301.db2.gz UWWUYTURCFZJNG-OAHLLOKOSA-N 0 3 317.429 4.108 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000482541718 339635262 /nfs/dbraw/zinc/63/52/62/339635262.db2.gz WFSRPULCZDXMSP-NTZNESFSSA-N 0 3 322.449 4.332 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1cnccn1 ZINC000482728666 339641044 /nfs/dbraw/zinc/64/10/44/339641044.db2.gz FZFYNIVZFGYVHP-SMDDNHRTSA-N 0 3 324.255 4.455 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000482815434 339643279 /nfs/dbraw/zinc/64/32/79/339643279.db2.gz ZIWKBWCXZOUQJX-RHSMWYFYSA-N 0 3 323.452 4.062 20 0 DIADHN Cc1noc(C)c1CN[C@@H]1CCCC[C@@H]1Oc1cccc(C)c1 ZINC000482840051 339644059 /nfs/dbraw/zinc/64/40/59/339644059.db2.gz CTCZZOMOROPUPX-MOPGFXCFSA-N 0 3 314.429 4.080 20 0 DIADHN Cc1noc(C)c1CN[C@H]1CCCC[C@@H]1Oc1ccccc1C ZINC000482840080 339644176 /nfs/dbraw/zinc/64/41/76/339644176.db2.gz CUANUQGIRWREAR-HKUYNNGSSA-N 0 3 314.429 4.080 20 0 DIADHN CCC(CC)[C@H](O)CN[C@@H](CC)c1ccccc1OC(F)F ZINC000482892168 339646257 /nfs/dbraw/zinc/64/62/57/339646257.db2.gz XPOYAGKQIGCZEG-LSDHHAIUSA-N 0 3 315.404 4.126 20 0 DIADHN CCC[C@H](O)CN[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000482945610 339647710 /nfs/dbraw/zinc/64/77/10/339647710.db2.gz NZCZZXDVJIHPDH-APWZRJJASA-N 0 3 313.441 4.077 20 0 DIADHN Cc1cccc(CN2CCC(c3cccc(Cl)c3)CC2)n1 ZINC000483130402 339653359 /nfs/dbraw/zinc/65/33/59/339653359.db2.gz OITHAOPKWHHZLK-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CSCCCN[C@@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000483227245 339656938 /nfs/dbraw/zinc/65/69/38/339656938.db2.gz LCDLFSHOOMACIP-HNNXBMFYSA-N 0 3 317.502 4.201 20 0 DIADHN COc1cc(CN[C@H]2c3ccccc3C[C@@H]2C)c2ccccc2n1 ZINC000483528634 339668207 /nfs/dbraw/zinc/66/82/07/339668207.db2.gz MWKQYOXDGFWPOO-LHSJRXKWSA-N 0 3 318.420 4.267 20 0 DIADHN CC[C@@H](NCc1cc(OC)nc2ccccc12)c1ccccc1 ZINC000483533012 339668345 /nfs/dbraw/zinc/66/83/45/339668345.db2.gz YCYCHKRGBKEVLQ-GOSISDBHSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@H](C)Oc1ccc(C)cc1)CCC2 ZINC000483629751 339670004 /nfs/dbraw/zinc/67/00/04/339670004.db2.gz BNPSQOJEZDIPFG-XJKSGUPXSA-N 0 3 316.470 4.194 20 0 DIADHN CCC[C@H](C)CN[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483633777 339670079 /nfs/dbraw/zinc/67/00/79/339670079.db2.gz GEJGEYUSRKPSRE-WCQYABFASA-N 0 3 305.397 4.271 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC000483656251 339670934 /nfs/dbraw/zinc/67/09/34/339670934.db2.gz YOXKSWGXKUEUNQ-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1OC)c1ccccc1OC(F)F ZINC000483709294 339672146 /nfs/dbraw/zinc/67/21/46/339672146.db2.gz FHXIEPBDFBQCLB-SQWLQELKSA-N 0 3 313.388 4.286 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C)c1ccc(F)cc1Cl ZINC000483719583 339672697 /nfs/dbraw/zinc/67/26/97/339672697.db2.gz DROCIVXEVXFXHI-SQWYCQTGSA-N 0 3 311.828 4.333 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@@H]3CCC[C@H]3C2)nnn1-c1ccccc1 ZINC000483720569 339672761 /nfs/dbraw/zinc/67/27/61/339672761.db2.gz APBHYXPJQHIMHE-LEUOFYLZSA-N 0 3 324.472 4.195 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@@H](OC)C(C)C)c(C)c2c1 ZINC000483842859 339675981 /nfs/dbraw/zinc/67/59/81/339675981.db2.gz QZGOUSCZXVPMMA-CXAGYDPISA-N 0 3 305.418 4.071 20 0 DIADHN CO[C@]1(C)C[C@@H](N[C@@H](C)c2cnc3ccsc3c2)C1(C)C ZINC000483868287 339677342 /nfs/dbraw/zinc/67/73/42/339677342.db2.gz FRLPKEVVACTSDD-UXEPBGEESA-N 0 3 304.459 4.151 20 0 DIADHN C[C@@H](NCCNc1ncc(Cl)cc1Cl)c1ccsc1 ZINC000484127506 339681393 /nfs/dbraw/zinc/68/13/93/339681393.db2.gz GPGYRWPTCHNCFO-SECBINFHSA-N 0 3 316.257 4.213 20 0 DIADHN Cc1ccc([C@@H](C)NCCNc2ncc(Cl)cc2Cl)o1 ZINC000484139861 339681734 /nfs/dbraw/zinc/68/17/34/339681734.db2.gz XHFIWBDHBITCBE-SNVBAGLBSA-N 0 3 314.216 4.053 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000484138074 339681757 /nfs/dbraw/zinc/68/17/57/339681757.db2.gz NPUHOTMCFUAQGA-AADNMHCOSA-N 0 3 323.864 4.203 20 0 DIADHN C[C@H](NC[C@]1(C)CCCO1)c1cc(Cl)ccc1OC(F)F ZINC000484598663 339690153 /nfs/dbraw/zinc/69/01/53/339690153.db2.gz AYRGAXYJMGZYAV-BONVTDFDSA-N 0 3 319.779 4.161 20 0 DIADHN CCc1noc(CC)c1CN[C@H](Cc1ccc(C)cc1)C1CC1 ZINC000484611484 339690372 /nfs/dbraw/zinc/69/03/72/339690372.db2.gz BKCVLPILTRYLSK-LJQANCHMSA-N 0 3 312.457 4.219 20 0 DIADHN C[C@H](N[C@H]1CCN(c2c(F)cccc2F)C1)c1ccc(F)cc1 ZINC000484621834 339690777 /nfs/dbraw/zinc/69/07/77/339690777.db2.gz RXXHJYGJXASWDR-WFASDCNBSA-N 0 3 320.358 4.033 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1cc(Cl)ccc1OC(F)F ZINC000484654628 339691799 /nfs/dbraw/zinc/69/17/99/339691799.db2.gz QOXQJDNZOJXLNL-ONGXEEELSA-N 0 3 321.820 4.488 20 0 DIADHN CC(C)c1nc(CCNCc2cc(F)cc(Cl)c2)cs1 ZINC000485695202 339709611 /nfs/dbraw/zinc/70/96/11/339709611.db2.gz OKSHMMAGTMRDCB-UHFFFAOYSA-N 0 3 312.841 4.391 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H]2CCCC[C@H]2C2CC2)no1 ZINC000485714295 339710721 /nfs/dbraw/zinc/71/07/21/339710721.db2.gz CXZAPUWMRGQRJK-IRXDYDNUSA-N 0 3 306.450 4.048 20 0 DIADHN Cc1cccc(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)c1F ZINC000485974602 339717464 /nfs/dbraw/zinc/71/74/64/339717464.db2.gz DZTVYUFBSBCWBZ-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1ccc(Cl)s1 ZINC000498483508 339720891 /nfs/dbraw/zinc/72/08/91/339720891.db2.gz FHHPXYURIIGJGM-VHSXEESVSA-N 0 3 303.855 4.172 20 0 DIADHN CCC(CC)[C@@H](NC(=O)CC1CCN(C)CC1)c1ccccc1 ZINC000486537417 339728922 /nfs/dbraw/zinc/72/89/22/339728922.db2.gz XJKNJFFEHKRSBG-HXUWFJFHSA-N 0 3 316.489 4.012 20 0 DIADHN Fc1ccc2cc(CNCc3ccc(OC(F)F)cc3)[nH]c2c1 ZINC000486678111 339730935 /nfs/dbraw/zinc/73/09/35/339730935.db2.gz FRCFOBPLETVZHA-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN Fc1ccc2cc(CN[C@@H](c3ccccc3)[C@@H]3CCOC3)[nH]c2c1 ZINC000486678420 339730998 /nfs/dbraw/zinc/73/09/98/339730998.db2.gz AGHKBFAUBQCVSK-UZLBHIALSA-N 0 3 324.399 4.174 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000486698952 339731690 /nfs/dbraw/zinc/73/16/90/339731690.db2.gz JESZIJDQLKFACB-QGZVFWFLSA-N 0 3 310.372 4.093 20 0 DIADHN Fc1ccc2cc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)[nH]c2c1 ZINC000486708786 339732070 /nfs/dbraw/zinc/73/20/70/339732070.db2.gz KJUJGGSCEWAPBX-VQTJNVASSA-N 0 3 324.399 4.317 20 0 DIADHN C(=C/c1ccncc1)\CNCc1ccc(Oc2ccccc2)nc1 ZINC000486936702 339738914 /nfs/dbraw/zinc/73/89/14/339738914.db2.gz LGHDWNZOMALAFI-SNAWJCMRSA-N 0 3 317.392 4.072 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1cccc(OC(F)F)c1 ZINC000486988152 339741729 /nfs/dbraw/zinc/74/17/29/339741729.db2.gz OSFADFMJVQKZRR-OOPCZODUSA-N 0 3 304.340 4.047 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H]1CCOC2(CCCC2)C1 ZINC000487265860 339748018 /nfs/dbraw/zinc/74/80/18/339748018.db2.gz BRADAIXOEDIJPX-HNNXBMFYSA-N 0 3 323.864 4.320 20 0 DIADHN Cc1cc(F)ccc1CCNCc1cnc(-c2ccco2)s1 ZINC000487273445 339748388 /nfs/dbraw/zinc/74/83/88/339748388.db2.gz FLGQPUBUNWGGQV-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NCc2cc(Cl)cs2)C1 ZINC000487319627 339751136 /nfs/dbraw/zinc/75/11/36/339751136.db2.gz GWHXDJQIUMUZIG-XJKSGUPXSA-N 0 3 320.889 4.327 20 0 DIADHN C(=C/c1ccncc1)\CN[C@@H](Cc1ccccn1)c1ccccc1 ZINC000487550605 339756703 /nfs/dbraw/zinc/75/67/03/339756703.db2.gz KHJLBVUBMFJFJG-BXKJMJEDSA-N 0 3 315.420 4.063 20 0 DIADHN CCOCCC1(CNCc2cccc(Cl)c2OCC)CC1 ZINC000487550749 339756731 /nfs/dbraw/zinc/75/67/31/339756731.db2.gz KINLQVKADOYWKP-UHFFFAOYSA-N 0 3 311.853 4.035 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1ccc(Br)s1 ZINC000487565957 339757702 /nfs/dbraw/zinc/75/77/02/339757702.db2.gz CNBFSROCQQDFFG-VUDBWIFFSA-N 0 3 323.259 4.270 20 0 DIADHN COc1c(O)cccc1CN[C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC000488373219 339778885 /nfs/dbraw/zinc/77/88/85/339778885.db2.gz VNKDWWSQZHGTGU-SJLPKXTDSA-N 0 3 311.425 4.217 20 0 DIADHN CCOc1ccc(CN[C@H]2CS[C@@H](C(C)(C)C)C2)cc1OC ZINC000488409523 339780271 /nfs/dbraw/zinc/78/02/71/339780271.db2.gz HCIKBVCBHGGZHQ-RHSMWYFYSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCCOc3ccc(F)cc32)CS1 ZINC000488425312 339781376 /nfs/dbraw/zinc/78/13/76/339781376.db2.gz UFNQEFUQCFAVFX-LXZKKBNFSA-N 0 3 309.450 4.159 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@H]2CCOc3ccc(F)cc32)CS1 ZINC000488452758 339783327 /nfs/dbraw/zinc/78/33/27/339783327.db2.gz BGZDELRTSUWOAX-INWMFGNUSA-N 0 3 309.450 4.159 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H]2CCOc3ccc(F)cc32)CS1 ZINC000488452760 339783393 /nfs/dbraw/zinc/78/33/93/339783393.db2.gz BGZDELRTSUWOAX-XPKDYRNWSA-N 0 3 309.450 4.159 20 0 DIADHN Cc1cc(NC(=O)c2ccccc2CN(C)C)cc(C)c1Cl ZINC000488574695 339788139 /nfs/dbraw/zinc/78/81/39/339788139.db2.gz ILVKSAFRYNEUFU-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCCc1nc(C)c(CNc2c(C)c(C)nc3ccccc32)o1 ZINC000488734900 339791728 /nfs/dbraw/zinc/79/17/28/339791728.db2.gz OGOVPUCKEXANQE-UHFFFAOYSA-N 0 3 309.413 4.135 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(-c2ccc(C)cc2)o1 ZINC000489077592 339801454 /nfs/dbraw/zinc/80/14/54/339801454.db2.gz KFOZASNWONQKLU-KXBFYZLASA-N 0 3 301.430 4.142 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CCCOC2)c2cccc(Cl)c2)c1 ZINC000489942780 339821871 /nfs/dbraw/zinc/82/18/71/339821871.db2.gz QUNZIPVPKZXBIA-ZWKOTPCHSA-N 0 3 319.807 4.337 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000490113539 339826201 /nfs/dbraw/zinc/82/62/01/339826201.db2.gz NUIZEHNVJPUMRF-OGWOLHLISA-N 0 3 315.436 4.065 20 0 DIADHN CC[C@@H](NCCCCOc1ccc(F)cc1)c1ccc(F)cn1 ZINC000490143672 339826957 /nfs/dbraw/zinc/82/69/57/339826957.db2.gz MUSUDIZWABMUIQ-QGZVFWFLSA-N 0 3 320.383 4.260 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2csc3ccccc23)cc1 ZINC000491437156 339862108 /nfs/dbraw/zinc/86/21/08/339862108.db2.gz ZPODWMVMAILKNX-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN Cc1ccoc1CNC[C@@H](C)c1cccc(Br)c1 ZINC000491511097 339864819 /nfs/dbraw/zinc/86/48/19/339864819.db2.gz BNMWJNKYFPLAOY-GFCCVEGCSA-N 0 3 308.219 4.244 20 0 DIADHN COCC(C)(C)CNCc1cc(C(F)(F)F)ccc1Cl ZINC000491512374 339864896 /nfs/dbraw/zinc/86/48/96/339864896.db2.gz NPMKRKFVGYBXEL-UHFFFAOYSA-N 0 3 309.759 4.121 20 0 DIADHN Cc1ccc(CNC2(Cc3ccc(Cl)cc3)CCC2)nc1 ZINC000491582491 339867879 /nfs/dbraw/zinc/86/78/79/339867879.db2.gz YZJSNKHTKAWXCT-UHFFFAOYSA-N 0 3 300.833 4.298 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)o1 ZINC000492026374 339889501 /nfs/dbraw/zinc/88/95/01/339889501.db2.gz YTJNSXJAYWDJQE-VXGBXAGGSA-N 0 3 304.356 4.146 20 0 DIADHN CCC[C@@H](O)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000492179219 339893646 /nfs/dbraw/zinc/89/36/46/339893646.db2.gz NVBHIMFPNPUWBC-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)[C@@H]1OCCc2sccc21 ZINC000492191325 339893828 /nfs/dbraw/zinc/89/38/28/339893828.db2.gz AJCAVASBYZKBQZ-XIKOKIGWSA-N 0 3 313.422 4.286 20 0 DIADHN CSc1ccc(CN[C@H](C)[C@H]2OCCc3sccc32)cc1 ZINC000492195418 339893944 /nfs/dbraw/zinc/89/39/44/339893944.db2.gz VSUJHJUHCGHKHP-SJKOYZFVSA-N 0 3 319.495 4.262 20 0 DIADHN CC[C@H](O)CNCc1ccccc1Oc1ccc(F)cc1Cl ZINC000492209139 339894326 /nfs/dbraw/zinc/89/43/26/339894326.db2.gz KGZHCWQPYNHVGV-AWEZNQCLSA-N 0 3 323.795 4.132 20 0 DIADHN CCC[C@@H](O)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000492236533 339895059 /nfs/dbraw/zinc/89/50/59/339895059.db2.gz IGXWPTSRTBUFKH-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCCc2cccc(F)c2)c1 ZINC000492727158 339907483 /nfs/dbraw/zinc/90/74/83/339907483.db2.gz GJMLXJSGRHDLQZ-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000492847801 339910469 /nfs/dbraw/zinc/91/04/69/339910469.db2.gz PRSQMZLBLJEFDH-GOEBONIOSA-N 0 3 317.433 4.395 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1N[C@H](C)C(=O)c1c[nH]c2ncccc12 ZINC000492869835 339911099 /nfs/dbraw/zinc/91/10/99/339911099.db2.gz GHSZZIHCDMKKMX-CPUCHLNUSA-N 0 3 313.445 4.083 20 0 DIADHN CC[C@@H](CCO)N[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493010488 339914850 /nfs/dbraw/zinc/91/48/50/339914850.db2.gz RAXUTWMQQRBLAY-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN CC(C)[C@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493277143 339921695 /nfs/dbraw/zinc/92/16/95/339921695.db2.gz PBYGVVZLRBQETJ-QZTJIDSGSA-N 0 3 303.833 4.036 20 0 DIADHN COC[C@H](N[C@@H]1CCC[C@H](SC)C1)c1ccc(Cl)cc1 ZINC000493814509 339934157 /nfs/dbraw/zinc/93/41/57/339934157.db2.gz GYCZCWWPZKFIBY-PMPSAXMXSA-N 0 3 313.894 4.291 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000493841837 339934707 /nfs/dbraw/zinc/93/47/07/339934707.db2.gz GPFNHZUPWFPILW-LXIYXOSZSA-N 0 3 305.368 4.392 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1cc(Br)cs1 ZINC000493918019 339936641 /nfs/dbraw/zinc/93/66/41/339936641.db2.gz WSUGHVAKHKEPFT-ZYHUDNBSSA-N 0 3 320.296 4.366 20 0 DIADHN CCSCC[C@@H](C)N[C@@H](COC)c1ccc(Cl)cc1 ZINC000493971532 339937711 /nfs/dbraw/zinc/93/77/11/339937711.db2.gz XQMYWPUPJWLDKL-DOMZBBRYSA-N 0 3 301.883 4.149 20 0 DIADHN CCCc1csc(CN[C@H](Cc2ccccc2)C2CC2)n1 ZINC000494146298 339942115 /nfs/dbraw/zinc/94/21/15/339942115.db2.gz SGVYJTLXRUBATL-QGZVFWFLSA-N 0 3 300.471 4.207 20 0 DIADHN COc1cc(F)cc(CNCc2ccc3nc(C)sc3c2)c1 ZINC000494192806 339943327 /nfs/dbraw/zinc/94/33/27/339943327.db2.gz UGZZPDQDZJFRPU-UHFFFAOYSA-N 0 3 316.401 4.042 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@H]1CCOc2ccccc21 ZINC000494381454 339947915 /nfs/dbraw/zinc/94/79/15/339947915.db2.gz AQEUOKKPBDMDAB-GFCCVEGCSA-N 0 3 305.780 4.135 20 0 DIADHN CC[C@H](NCC(C)(C)c1cccc(OC)c1)c1ccc(F)cn1 ZINC000494572026 339953795 /nfs/dbraw/zinc/95/37/95/339953795.db2.gz VNPAIHMYNBKQNS-KRWDZBQOSA-N 0 3 316.420 4.248 20 0 DIADHN CCSc1cc(CN[C@@H](C)[C@@H](OC)c2ccccc2)ccn1 ZINC000494784155 339960060 /nfs/dbraw/zinc/96/00/60/339960060.db2.gz JIJYZTUCBZINMI-KBXCAEBGSA-N 0 3 316.470 4.059 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@H]1CCOc2c(F)cccc21 ZINC000494850642 339962460 /nfs/dbraw/zinc/96/24/60/339962460.db2.gz MCQMMKWOVUTXGT-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2C)C2CCCC2)cn1 ZINC000494879883 339963534 /nfs/dbraw/zinc/96/35/34/339963534.db2.gz RAKXKRFWTFOMRL-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc(C(N)=O)c1)C1CCCC1 ZINC000494889587 339963708 /nfs/dbraw/zinc/96/37/08/339963708.db2.gz CAHHSXUVTKFHCC-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN Fc1ccc([C@@H](C[C@H]2CCOC2)NCc2cccc(F)c2)cc1 ZINC000494920944 339964695 /nfs/dbraw/zinc/96/46/95/339964695.db2.gz FZEQPZPNHMHUDG-DNVCBOLYSA-N 0 3 317.379 4.222 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](c1ccccc1C)C1CCCC1 ZINC000495066444 339972336 /nfs/dbraw/zinc/97/23/36/339972336.db2.gz LPQOXIDWKNVMNX-HXUWFJFHSA-N 0 3 311.473 4.366 20 0 DIADHN C[C@H](CCc1ccccc1)CNC1(C(=O)Nc2ccccc2)CC1 ZINC000495167799 339977433 /nfs/dbraw/zinc/97/74/33/339977433.db2.gz NPAYIQXNJYFZCK-QGZVFWFLSA-N 0 3 322.452 4.016 20 0 DIADHN COCC[C@H](N[C@@H](C)Cc1ccc(F)cc1)c1ccc(C)o1 ZINC000495395376 339982580 /nfs/dbraw/zinc/98/25/80/339982580.db2.gz DAHKQUSEYXFJTB-GUYCJALGSA-N 0 3 305.393 4.026 20 0 DIADHN C[C@H](NCC(=O)Nc1cccc(Cl)c1)[C@@H](C)c1ccccc1 ZINC000495414944 339983640 /nfs/dbraw/zinc/98/36/40/339983640.db2.gz LFLVNPFFKXEGPA-KGLIPLIRSA-N 0 3 316.832 4.060 20 0 DIADHN C[C@@H](NCC(=O)Nc1cccc(Cl)c1)[C@@H](C)c1ccccc1 ZINC000495414946 339983746 /nfs/dbraw/zinc/98/37/46/339983746.db2.gz LFLVNPFFKXEGPA-ZIAGYGMSSA-N 0 3 316.832 4.060 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@H](C)c2ccc(OC)cc2F)CCO1 ZINC000495435325 339984487 /nfs/dbraw/zinc/98/44/87/339984487.db2.gz VQSSHGBJGHBACS-WTANOLMUSA-N 0 3 323.452 4.469 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2)C1)c1ccccc1Cl ZINC000495490393 339985889 /nfs/dbraw/zinc/98/58/89/339985889.db2.gz HCSBMNITGLYYDX-LSDHHAIUSA-N 0 3 300.833 4.270 20 0 DIADHN C[C@H](NCCN1CCc2sccc2C1)c1ccccc1Cl ZINC000495652220 339989323 /nfs/dbraw/zinc/98/93/23/339989323.db2.gz BIGQPWXBTHVDOA-ZDUSSCGKSA-N 0 3 320.889 4.110 20 0 DIADHN CO[C@H](CN[C@H](c1ccc(F)cn1)C(C)C)c1ccc(F)cc1 ZINC000498769175 340022901 /nfs/dbraw/zinc/02/29/01/340022901.db2.gz ZTCCRWRWXSXPAI-MSOLQXFVSA-N 0 3 320.383 4.034 20 0 DIADHN CCC(CC)[C@H](CCN[C@H](c1ccc(F)cn1)C(C)C)OC ZINC000498780935 340023155 /nfs/dbraw/zinc/02/31/55/340023155.db2.gz KAKOPMVFYBLKPY-ROUUACIJSA-N 0 3 310.457 4.349 20 0 DIADHN Cc1cccc(NCCNC2c3ccccc3-c3ccccc32)n1 ZINC000498801365 340023609 /nfs/dbraw/zinc/02/36/09/340023609.db2.gz DFAXNRAPJCLUNJ-UHFFFAOYSA-N 0 3 315.420 4.162 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(C(C)=O)C2(CCCCC2)C1 ZINC000498914369 340025723 /nfs/dbraw/zinc/02/57/23/340025723.db2.gz CAFYAEZXNJGZET-LJQANCHMSA-N 0 3 314.473 4.005 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1cccc(CSCC)c1 ZINC000498918018 340025815 /nfs/dbraw/zinc/02/58/15/340025815.db2.gz OQZSQHBKKMHTFC-MRXNPFEDSA-N 0 3 308.491 4.045 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499053000 340028594 /nfs/dbraw/zinc/02/85/94/340028594.db2.gz HFISVUXMBOULNX-KRWDZBQOSA-N 0 3 311.429 4.279 20 0 DIADHN CCC[C@H](C)CC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC000499263775 340033578 /nfs/dbraw/zinc/03/35/78/340033578.db2.gz QTTUJCLZSFTUPM-HNNXBMFYSA-N 0 3 324.896 4.057 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC000499399417 340036245 /nfs/dbraw/zinc/03/62/45/340036245.db2.gz FSBNPZCUTZWSPO-PKOBYXMFSA-N 0 3 316.489 4.074 20 0 DIADHN Cc1csc(C2(NCCCCC3CCOCC3)CCCC2)n1 ZINC000499547679 340039141 /nfs/dbraw/zinc/03/91/41/340039141.db2.gz ITUBPIYJEWJLTD-UHFFFAOYSA-N 0 3 322.518 4.407 20 0 DIADHN C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)Cc1cnc2ccccc2c1 ZINC000499550679 340039318 /nfs/dbraw/zinc/03/93/18/340039318.db2.gz VJRKRSWWAYFFPD-BTYIYWSLSA-N 0 3 318.420 4.059 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cnc3ccccc3c2)cc1 ZINC000499602677 340040610 /nfs/dbraw/zinc/04/06/10/340040610.db2.gz ONZNNBPJPKYYQQ-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NC[C@@](C)(O)C(F)(F)F)cc1 ZINC000499602525 340040643 /nfs/dbraw/zinc/04/06/43/340040643.db2.gz NPGCZAGQCOXIKN-BXUZGUMPSA-N 0 3 321.408 4.151 20 0 DIADHN c1ccc(OC[C@H]2CCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000499620078 340041233 /nfs/dbraw/zinc/04/12/33/340041233.db2.gz QDCHXUMRVZOJNT-KRWDZBQOSA-N 0 3 318.420 4.136 20 0 DIADHN COc1ccccc1-c1noc([C@H](C)NC[C@H](C)CC(C)C)n1 ZINC000499622932 340041268 /nfs/dbraw/zinc/04/12/68/340041268.db2.gz AICYODJJZKKUAG-KGLIPLIRSA-N 0 3 317.433 4.078 20 0 DIADHN COc1cccc(-c2noc([C@H](C)NC[C@@H](C)CC(C)C)n2)c1 ZINC000499625638 340041442 /nfs/dbraw/zinc/04/14/42/340041442.db2.gz MHQQEWBMXMLKDW-KBPBESRZSA-N 0 3 317.433 4.078 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000499625245 340041496 /nfs/dbraw/zinc/04/14/96/340041496.db2.gz KXMKLEMFMFXBOI-LRAJWGHMSA-N 0 3 318.420 4.278 20 0 DIADHN CCN(CC)C(=O)[C@@H](NCCC1=CCCCC1)c1ccccc1 ZINC000499713573 340043209 /nfs/dbraw/zinc/04/32/09/340043209.db2.gz NZSOPOPOTAYGJR-IBGZPJMESA-N 0 3 314.473 4.076 20 0 DIADHN CCC[C@H](CC1CCCC1)C(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000499811697 340045101 /nfs/dbraw/zinc/04/51/01/340045101.db2.gz WNZZLKIVERXLCI-RTBURBONSA-N 0 3 320.521 4.070 20 0 DIADHN CN(Cc1cnc[nH]1)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000499914025 340046960 /nfs/dbraw/zinc/04/69/60/340046960.db2.gz UCLCODIVNROFRP-KRWDZBQOSA-N 0 3 303.837 4.426 20 0 DIADHN Cc1ccccc1CCCN[C@@H](CO)c1cccc(Cl)c1F ZINC000499957893 340047586 /nfs/dbraw/zinc/04/75/86/340047586.db2.gz UMASEUZGJVSXOL-KRWDZBQOSA-N 0 3 321.823 4.043 20 0 DIADHN C[C@@H](CCc1ccccc1)N[C@@H](CO)c1cccc(Cl)c1F ZINC000499957964 340047646 /nfs/dbraw/zinc/04/76/46/340047646.db2.gz UXSCTVPGFJWCMZ-GUYCJALGSA-N 0 3 321.823 4.123 20 0 DIADHN CCCC[C@@H](C)C(=O)NCc1ccccc1CN1CCCCC1 ZINC000500006823 340048531 /nfs/dbraw/zinc/04/85/31/340048531.db2.gz GVZSPJMAVJAMBL-QGZVFWFLSA-N 0 3 316.489 4.115 20 0 DIADHN C[C@@H](CN(C)Cc1cccn1C)c1ccc(C(F)(F)F)cc1 ZINC000500132665 340050950 /nfs/dbraw/zinc/05/09/50/340050950.db2.gz ZVUXQWNUZKTXEL-ZDUSSCGKSA-N 0 3 310.363 4.279 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2cn3c(cccc3C)n2)cc1 ZINC000500190529 340052564 /nfs/dbraw/zinc/05/25/64/340052564.db2.gz VRTGNNUSDDNGLC-FQEVSTJZSA-N 0 3 319.452 4.158 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2cn3c(cccc3C)n2)cc1 ZINC000500190532 340052585 /nfs/dbraw/zinc/05/25/85/340052585.db2.gz VRTGNNUSDDNGLC-HXUWFJFHSA-N 0 3 319.452 4.158 20 0 DIADHN Cc1nc(CCNCc2ccc(-c3ccc(F)cc3)o2)c(C)o1 ZINC000500227024 340053459 /nfs/dbraw/zinc/05/34/59/340053459.db2.gz CZVYNDCWTZTRBY-UHFFFAOYSA-N 0 3 314.360 4.023 20 0 DIADHN FC1(F)CCC[C@H](CNCc2coc(-c3ccccc3)n2)C1 ZINC000500354053 340055592 /nfs/dbraw/zinc/05/55/92/340055592.db2.gz FXPKKGKTBIIEIK-ZDUSSCGKSA-N 0 3 306.356 4.257 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CNCCOC(C)C ZINC000500424445 340058056 /nfs/dbraw/zinc/05/80/56/340058056.db2.gz CPPPHXASLJPMII-JLHYYAGUSA-N 0 3 301.352 4.123 20 0 DIADHN C[C@@H]1CCc2nc(CNCC3CCC(F)(F)CC3)sc2C1 ZINC000500431397 340058429 /nfs/dbraw/zinc/05/84/29/340058429.db2.gz UQNREKQDZBLYDK-LLVKDONJSA-N 0 3 314.445 4.183 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000500435166 340058599 /nfs/dbraw/zinc/05/85/99/340058599.db2.gz UWGIOOGHRYEJCM-ZIAGYGMSSA-N 0 3 309.425 4.079 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000500435164 340058605 /nfs/dbraw/zinc/05/86/05/340058605.db2.gz UWGIOOGHRYEJCM-UONOGXRCSA-N 0 3 309.425 4.079 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@H](CO)c1ccc(Cl)cc1 ZINC000500447314 340059033 /nfs/dbraw/zinc/05/90/33/340059033.db2.gz PTIKCKOGWLIJSL-GPZRYRNASA-N 0 3 301.817 4.067 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@H]3CC(C)C)o2)cc1 ZINC000500453482 340059212 /nfs/dbraw/zinc/05/92/12/340059212.db2.gz CXWUJMRCIRLWSP-INIZCTEOSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)N[C@H](C)c1ccc(Cl)cc1 ZINC000500452084 340059291 /nfs/dbraw/zinc/05/92/91/340059291.db2.gz AYIQVFBTOPAADP-PBHICJAKSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)N[C@H](C)c1ccc(Cl)cc1 ZINC000500452085 340059327 /nfs/dbraw/zinc/05/93/27/340059327.db2.gz AYIQVFBTOPAADP-RHSMWYFYSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cnc2ccccn12)c1cccc(C)c1 ZINC000500495401 340060620 /nfs/dbraw/zinc/06/06/20/340060620.db2.gz GFBNLQZSFSDJSR-JXFKEZNVSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cnc2c(C)cccn12)c1cccc(C)c1 ZINC000500507278 340061087 /nfs/dbraw/zinc/06/10/87/340061087.db2.gz INWOOONDFXQOBV-LAUBAEHRSA-N 0 3 319.452 4.366 20 0 DIADHN CCCC[C@H](C)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000500527392 340061401 /nfs/dbraw/zinc/06/14/01/340061401.db2.gz KRHKXZDXLRPUJO-HOCLYGCPSA-N 0 3 310.507 4.074 20 0 DIADHN CCN(C)c1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000500562641 340062348 /nfs/dbraw/zinc/06/23/48/340062348.db2.gz HPMFXPSKDOQAGA-IBGZPJMESA-N 0 3 315.436 4.164 20 0 DIADHN Cc1ccn2c(CN[C@@H](c3ccc(F)cc3)C(C)C)cnc2c1 ZINC000500561923 340062430 /nfs/dbraw/zinc/06/24/30/340062430.db2.gz GLRNDXMHEUCSEO-LJQANCHMSA-N 0 3 311.404 4.269 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H](NCc2ncnn2C(C)(C)C)CC1 ZINC000500566013 340062574 /nfs/dbraw/zinc/06/25/74/340062574.db2.gz IQJGJLFQXJWOQL-HUUCEWRRSA-N 0 3 306.498 4.118 20 0 DIADHN CCC[C@H](NCCOc1cccc(Cl)c1)c1ccccn1 ZINC000500619767 340063956 /nfs/dbraw/zinc/06/39/56/340063956.db2.gz VBYGAQKPQGHBPF-INIZCTEOSA-N 0 3 304.821 4.245 20 0 DIADHN CCC[C@@H](NCCCOc1ccc(F)cc1)c1ccccn1 ZINC000500625676 340064036 /nfs/dbraw/zinc/06/40/36/340064036.db2.gz VBWWSDODXRICLZ-QGZVFWFLSA-N 0 3 302.393 4.121 20 0 DIADHN CCC[C@H](NCC1(c2ccccc2OC)CC1)c1ccccn1 ZINC000500632055 340064160 /nfs/dbraw/zinc/06/41/60/340064160.db2.gz IOBSLAVYSIOXTD-KRWDZBQOSA-N 0 3 310.441 4.253 20 0 DIADHN CCC[C@H](NCCCN(C)c1ccccc1F)c1ccccn1 ZINC000500645286 340064363 /nfs/dbraw/zinc/06/43/63/340064363.db2.gz IMOPWCAUEUGFFL-KRWDZBQOSA-N 0 3 315.436 4.178 20 0 DIADHN C[C@H](CN1CCC[C@@H]1c1ncc[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000500979465 340071302 /nfs/dbraw/zinc/07/13/02/340071302.db2.gz BODPOCXKFVQBHO-IUODEOHRSA-N 0 3 323.362 4.369 20 0 DIADHN CCC[C@@H](N[C@@H](C)CCc1ccccc1)c1nnc2ccccn21 ZINC000501065535 340073547 /nfs/dbraw/zinc/07/35/47/340073547.db2.gz ZKUBLOMJBWXRBG-FUHWJXTLSA-N 0 3 322.456 4.181 20 0 DIADHN CCC[C@H](N[C@H](C)CCc1ccccc1)c1nnc2ccccn21 ZINC000501065534 340073578 /nfs/dbraw/zinc/07/35/78/340073578.db2.gz ZKUBLOMJBWXRBG-AEFFLSMTSA-N 0 3 322.456 4.181 20 0 DIADHN COc1ncc(CN[C@@H](C)c2cc(C)ccc2C)cc1Cl ZINC000501226416 340076761 /nfs/dbraw/zinc/07/67/61/340076761.db2.gz JAKLANNEKLDFGE-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN C[C@H](c1ccco1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000501301529 340078264 /nfs/dbraw/zinc/07/82/64/340078264.db2.gz NNPYLEUXLHYORQ-GFCCVEGCSA-N 0 3 313.319 4.370 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](c1ccccc1)c1cccc(F)c1 ZINC000501401388 340080054 /nfs/dbraw/zinc/08/00/54/340080054.db2.gz VRRKCLRNUNRUKK-DNVCBOLYSA-N 0 3 301.405 4.318 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](c1ccccc1)c1cccc(F)c1 ZINC000501401387 340080134 /nfs/dbraw/zinc/08/01/34/340080134.db2.gz VRRKCLRNUNRUKK-BEFAXECRSA-N 0 3 301.405 4.318 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](COc1ccccc1)c1ccccc1 ZINC000501409912 340080251 /nfs/dbraw/zinc/08/02/51/340080251.db2.gz FHFVBSBNGRZHNY-XLIONFOSSA-N 0 3 313.441 4.210 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000501417297 340080517 /nfs/dbraw/zinc/08/05/17/340080517.db2.gz APFLOYVZQBBGNE-VXGBXAGGSA-N 0 3 305.340 4.049 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CNCCOCC1CC1 ZINC000501425471 340080592 /nfs/dbraw/zinc/08/05/92/340080592.db2.gz ROPDNNRIQUIAMS-JLHYYAGUSA-N 0 3 313.363 4.125 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CNCc1ccn(C)c1 ZINC000501447956 340080995 /nfs/dbraw/zinc/08/09/95/340080995.db2.gz GNBYTTLSMBNOEK-UKTHLTGXSA-N 0 3 308.347 4.237 20 0 DIADHN CCc1cc(OC)ccc1CN[C@H](C)c1ccc(OC)c(F)c1 ZINC000501446664 340081184 /nfs/dbraw/zinc/08/11/84/340081184.db2.gz BYXBPMCYSZSCQK-CYBMUJFWSA-N 0 3 317.404 4.256 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN[C@H](C)c1cnn(C)c1 ZINC000501461263 340081570 /nfs/dbraw/zinc/08/15/70/340081570.db2.gz JVKJJJWVFPPIJM-YQCJOKCJSA-N 0 3 323.362 4.193 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN[C@@H](C)c1cnn(C)c1 ZINC000501461260 340081653 /nfs/dbraw/zinc/08/16/53/340081653.db2.gz JVKJJJWVFPPIJM-FSBNRTBOSA-N 0 3 323.362 4.193 20 0 DIADHN COc1cccc(-c2ccc(CN[C@H](C)COC(C)C)cc2)c1 ZINC000501463964 340081657 /nfs/dbraw/zinc/08/16/57/340081657.db2.gz XLUVJLWJLSYCKY-MRXNPFEDSA-N 0 3 313.441 4.265 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@H](C)N2CCC[C@H](C)C2)c1 ZINC000501504580 340082369 /nfs/dbraw/zinc/08/23/69/340082369.db2.gz QOLFJAYHMIWGBT-HOTGVXAUSA-N 0 3 317.477 4.052 20 0 DIADHN Cc1ccc(NC(=O)NC[C@@H](C)N2CCCC[C@@H]2C)cc1Cl ZINC000501504667 340082398 /nfs/dbraw/zinc/08/23/98/340082398.db2.gz SHEWHBDIITZFMM-UONOGXRCSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@H](C)N2CCC[C@@H](C)C2)cc1 ZINC000501516793 340082568 /nfs/dbraw/zinc/08/25/68/340082568.db2.gz PKHPKHDZICILRX-CVEARBPZSA-N 0 3 317.477 4.052 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@@H](C)N2CCCC[C@H]2C)cc1 ZINC000501535700 340083073 /nfs/dbraw/zinc/08/30/73/340083073.db2.gz XKPXZDDJTPZPBR-HZPDHXFCSA-N 0 3 317.477 4.194 20 0 DIADHN CCCn1nccc1C1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000501547204 340083149 /nfs/dbraw/zinc/08/31/49/340083149.db2.gz ACICULLPDKVRPH-OAHLLOKOSA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@@H](C)N2CCCC[C@@H]2C)c1 ZINC000501544492 340083169 /nfs/dbraw/zinc/08/31/69/340083169.db2.gz DQTCBYUUNNUGIQ-JKSUJKDBSA-N 0 3 317.477 4.194 20 0 DIADHN CC(C)CN(C(=O)C[C@@H]1CCCCC1(C)C)C1CCN(C)CC1 ZINC000501632688 340085450 /nfs/dbraw/zinc/08/54/50/340085450.db2.gz SRSRCVWSWQMGIR-KRWDZBQOSA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H]2Cc2ccccc2)cs1 ZINC000501833368 340089515 /nfs/dbraw/zinc/08/95/15/340089515.db2.gz VZHWMCDQXWBVBA-QGZVFWFLSA-N 0 3 300.471 4.474 20 0 DIADHN CCC[C@@H](C)N[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000501898726 340090353 /nfs/dbraw/zinc/09/03/53/340090353.db2.gz ISMTUPZVDMWJAE-NOZJJQNGSA-N 0 3 309.759 4.170 20 0 DIADHN C[C@@H]1CCC[C@@H]1NCc1csc(COc2ccc(F)cc2)n1 ZINC000501922793 340090794 /nfs/dbraw/zinc/09/07/94/340090794.db2.gz ZPPTVVQYHVYMKY-WBMJQRKESA-N 0 3 320.433 4.139 20 0 DIADHN C[C@@H](NCCCCOc1ccccc1)c1nc2c(s1)CCC2 ZINC000502013803 340092880 /nfs/dbraw/zinc/09/28/80/340092880.db2.gz CLDXJMLDWPFJQP-CQSZACIVSA-N 0 3 316.470 4.142 20 0 DIADHN CC[C@H](CN[C@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000502019450 340093153 /nfs/dbraw/zinc/09/31/53/340093153.db2.gz RQOFZBRAOPHUOH-ZIAGYGMSSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000502156735 340096019 /nfs/dbraw/zinc/09/60/19/340096019.db2.gz RLRFRZLRDXUGTK-CHWSQXEVSA-N 0 3 304.821 4.473 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@H](c2ncc3n2CCCC3)C1 ZINC000502321404 340099662 /nfs/dbraw/zinc/09/96/62/340099662.db2.gz NVANNVCEACZKBP-IUYMFKLXSA-N 0 3 321.468 4.112 20 0 DIADHN COCC[C@@H]1CCC[C@H]1NCc1cc(Cl)sc1Cl ZINC000502365065 340100828 /nfs/dbraw/zinc/10/08/28/340100828.db2.gz JHZZPTDCZUMJFK-GXSJLCMTSA-N 0 3 308.274 4.350 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](N[C@@H]1CCC12CCC2)c1ccccc1 ZINC000502368588 340100938 /nfs/dbraw/zinc/10/09/38/340100938.db2.gz VOCRQCCOKXJNPU-MOPGFXCFSA-N 0 3 320.436 4.289 20 0 DIADHN CCC(CC)[C@H](CCN[C@H](C)c1cnn(C2CCCC2)c1)OC ZINC000502436344 340103528 /nfs/dbraw/zinc/10/35/28/340103528.db2.gz QPAWDSHVVNXSKD-BEFAXECRSA-N 0 3 321.509 4.490 20 0 DIADHN Fc1ccccc1Oc1cc(CN[C@H]2CCC23CCC3)ccn1 ZINC000502463095 340104734 /nfs/dbraw/zinc/10/47/34/340104734.db2.gz PBBBBIYLMTYIJO-KRWDZBQOSA-N 0 3 312.388 4.435 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cccc(NC(C)=O)c1)c1cccc(C)c1 ZINC000502483825 340105683 /nfs/dbraw/zinc/10/56/83/340105683.db2.gz KROGBXPEGRQROR-GHTZIAJQSA-N 0 3 322.452 4.368 20 0 DIADHN COc1cccc(F)c1[C@H](C)NC[C@H]1CCCC(F)(F)C1 ZINC000502511894 340106655 /nfs/dbraw/zinc/10/66/55/340106655.db2.gz VBLKGDWBJGNVPZ-RYUDHWBXSA-N 0 3 301.352 4.310 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000502541320 340107277 /nfs/dbraw/zinc/10/72/77/340107277.db2.gz KDKHYPXLURITBD-IBGZPJMESA-N 0 3 314.404 4.271 20 0 DIADHN COC1(CN[C@@H]2CCc3c2cc(Cl)cc3Cl)CCC1 ZINC000502639758 340109483 /nfs/dbraw/zinc/10/94/83/340109483.db2.gz XEIWRXBCKASWJE-CQSZACIVSA-N 0 3 300.229 4.139 20 0 DIADHN COC1(CN[C@H]2CCc3c2cc(Cl)cc3Cl)CCC1 ZINC000502639757 340109520 /nfs/dbraw/zinc/10/95/20/340109520.db2.gz XEIWRXBCKASWJE-AWEZNQCLSA-N 0 3 300.229 4.139 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1ccc(C(C)C)cc1 ZINC000502661097 340109726 /nfs/dbraw/zinc/10/97/26/340109726.db2.gz IERGQOZECNPVCX-SFHVURJKSA-N 0 3 317.477 4.196 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2ccco2)N2CCCCC2)oc1C ZINC000502683932 340110271 /nfs/dbraw/zinc/11/02/71/340110271.db2.gz LRUZVALQAKXMTD-DOTOQJQBSA-N 0 3 316.445 4.367 20 0 DIADHN Fc1cccc(Oc2ncccc2CN[C@H]2CCC23CCC3)c1 ZINC000502682467 340110291 /nfs/dbraw/zinc/11/02/91/340110291.db2.gz BNZJDWWEYYSPML-KRWDZBQOSA-N 0 3 312.388 4.435 20 0 DIADHN C[C@H](NCCSc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502686020 340110454 /nfs/dbraw/zinc/11/04/54/340110454.db2.gz LGBAZNHMJSONSO-HNNXBMFYSA-N 0 3 315.486 4.441 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1ccc(C)cc1Cl ZINC000502693995 340110857 /nfs/dbraw/zinc/11/08/57/340110857.db2.gz KWHCFRSOPKBHGJ-AWEZNQCLSA-N 0 3 323.868 4.034 20 0 DIADHN Cc1nc(CCN2CCC[C@H]2c2ccc(Cl)cc2)cs1 ZINC000502693780 340110960 /nfs/dbraw/zinc/11/09/60/340110960.db2.gz WYHCNJAACRYHAJ-INIZCTEOSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1cc([C@H](C)NCC(C)(C)c2cccc(Cl)c2)nn1C ZINC000502696550 340111105 /nfs/dbraw/zinc/11/11/05/340111105.db2.gz KJWMYOOOTIPAPB-ZDUSSCGKSA-N 0 3 305.853 4.010 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2ccccc2)N2CCCC2)oc1C ZINC000502706768 340111639 /nfs/dbraw/zinc/11/16/39/340111639.db2.gz IYVDDFSJRYTHRC-APWZRJJASA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCC[C@H]2Cc2ccccc2)nn1C ZINC000502713138 340111871 /nfs/dbraw/zinc/11/18/71/340111871.db2.gz UBACTZAWSUODMD-WDSOQIARSA-N 0 3 311.473 4.181 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2c(F)cccc2F)N(C)C)oc1C ZINC000502727026 340112520 /nfs/dbraw/zinc/11/25/20/340112520.db2.gz MCCIXZCOTDGPJT-MLGOLLRUSA-N 0 3 322.399 4.128 20 0 DIADHN Cc1nc(CCN2C[C@@H](C)C[C@H]2c2ccccc2F)cs1 ZINC000502869086 340115124 /nfs/dbraw/zinc/11/51/24/340115124.db2.gz VYXCRBUUQRXRHD-SJCJKPOMSA-N 0 3 304.434 4.216 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(F)c(Cl)c1 ZINC000503935114 340137444 /nfs/dbraw/zinc/13/74/44/340137444.db2.gz AYNFQQUDDJFLHG-BZHVJNSISA-N 0 3 307.743 4.290 20 0 DIADHN CCCN(CCC(F)(F)F)[C@@H](C)CC(=O)Nc1ccccc1 ZINC000502908330 340116443 /nfs/dbraw/zinc/11/64/43/340116443.db2.gz CPLUGZIISVNUOW-ZDUSSCGKSA-N 0 3 316.367 4.068 20 0 DIADHN Brc1ccsc1CNC[C@@H]1C[C@@H]1c1ccccc1 ZINC000503005831 340118463 /nfs/dbraw/zinc/11/84/63/340118463.db2.gz PZQGKJVPKCLXOJ-QWHCGFSZSA-N 0 3 322.271 4.404 20 0 DIADHN CC[C@](C)(NC[C@@H]1C[C@@H]1c1ccccc1)c1nc(C)cs1 ZINC000503043366 340119515 /nfs/dbraw/zinc/11/95/15/340119515.db2.gz GWXAVPMQJRVRNN-JZXOWHBKSA-N 0 3 300.471 4.470 20 0 DIADHN CCCN(Cc1occc1C)[C@@H](C)CC(=O)Nc1ccccc1 ZINC000503065157 340119988 /nfs/dbraw/zinc/11/99/88/340119988.db2.gz UYIGJKWAUOXLIM-INIZCTEOSA-N 0 3 314.429 4.217 20 0 DIADHN CCCN(Cc1occc1C)[C@H](C)CC(=O)Nc1ccccc1 ZINC000503065158 340120009 /nfs/dbraw/zinc/12/00/09/340120009.db2.gz UYIGJKWAUOXLIM-MRXNPFEDSA-N 0 3 314.429 4.217 20 0 DIADHN c1cncc(-c2cccc(CN(C[C@@H]3CCCCO3)C3CC3)c2)c1 ZINC000503072381 340120381 /nfs/dbraw/zinc/12/03/81/340120381.db2.gz CYLULGFSIRTRLT-NRFANRHFSA-N 0 3 322.452 4.282 20 0 DIADHN CC(C)(C)CCCN[C@H](Cn1ccccc1=O)c1ccccc1 ZINC000503205893 340123160 /nfs/dbraw/zinc/12/31/60/340123160.db2.gz PMOCORXVZAQVAE-GOSISDBHSA-N 0 3 312.457 4.006 20 0 DIADHN COc1ccc(CN(Cc2cccs2)CC2CC2)c(OC)c1 ZINC000503254103 340123949 /nfs/dbraw/zinc/12/39/49/340123949.db2.gz DEYGBCXVQMJAEJ-UHFFFAOYSA-N 0 3 317.454 4.178 20 0 DIADHN CCOCCN(C)C/C(C)=C\c1ccccc1C(F)(F)F ZINC000503559948 340130585 /nfs/dbraw/zinc/13/05/85/340130585.db2.gz OUBLMGPURFPILP-QBFSEMIESA-N 0 3 301.352 4.077 20 0 DIADHN CC[C@@H](NCc1ccc(Oc2ccccc2)o1)[C@H]1CCCO1 ZINC000503562808 340130761 /nfs/dbraw/zinc/13/07/61/340130761.db2.gz NOXHIZWEBHOTFD-IAGOWNOFSA-N 0 3 301.386 4.119 20 0 DIADHN CCC1(NCc2ccc(Oc3ccccc3)o2)CCOCC1 ZINC000503569603 340130821 /nfs/dbraw/zinc/13/08/21/340130821.db2.gz USFUOTHPJZNNLE-UHFFFAOYSA-N 0 3 301.386 4.121 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1ccc(Oc2ccccc2)o1 ZINC000503575016 340131195 /nfs/dbraw/zinc/13/11/95/340131195.db2.gz YHEHGTBBDSPOAW-AWEZNQCLSA-N 0 3 303.402 4.365 20 0 DIADHN Cc1nc(CCNCc2ccc(-c3ccccc3)cc2F)c(C)o1 ZINC000503576861 340131341 /nfs/dbraw/zinc/13/13/41/340131341.db2.gz SQSMSIFKJUDDMN-UHFFFAOYSA-N 0 3 324.399 4.430 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@@H](n2cccn2)C1 ZINC000503588094 340131774 /nfs/dbraw/zinc/13/17/74/340131774.db2.gz CSKOMVIMPAZDMA-CZUORRHYSA-N 0 3 323.362 4.300 20 0 DIADHN C[C@@H](C[C@H]1CCCO1)NCc1ccc(Oc2ccccc2)o1 ZINC000503587602 340131814 /nfs/dbraw/zinc/13/18/14/340131814.db2.gz WNIYKTZPULMZIU-GOEBONIOSA-N 0 3 301.386 4.119 20 0 DIADHN Cc1cc(CNC[C@@H](c2ccco2)N2CCCC2)ccc1Cl ZINC000503592561 340132013 /nfs/dbraw/zinc/13/20/13/340132013.db2.gz NQBNCNKYBHLOCT-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000503858679 340135306 /nfs/dbraw/zinc/13/53/06/340135306.db2.gz RPXZQSQGJUVKJI-MVWJERBFSA-N 0 3 318.433 4.386 20 0 DIADHN COCC[C@@H](NC[C@@H](C)CCc1ccccc1)c1ccco1 ZINC000503902772 340136216 /nfs/dbraw/zinc/13/62/16/340136216.db2.gz PVTWRRRZIABKLR-FUHWJXTLSA-N 0 3 301.430 4.216 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc2ccccc2n1 ZINC000503909498 340136436 /nfs/dbraw/zinc/13/64/36/340136436.db2.gz JIZMXPKOHPVSCM-IUIKQTSFSA-N 0 3 306.356 4.046 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc2ccccc2n1 ZINC000503909494 340136501 /nfs/dbraw/zinc/13/65/01/340136501.db2.gz JIZMXPKOHPVSCM-HFBAOOFYSA-N 0 3 306.356 4.046 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2)cc1F)[C@@H]1CCCOC1 ZINC000503922795 340137018 /nfs/dbraw/zinc/13/70/18/340137018.db2.gz YVWSEAVJLVTNON-HNAYVOBHSA-N 0 3 313.416 4.397 20 0 DIADHN CO[C@H]1CCCN(C/C(C)=C\c2ccccc2C(F)(F)F)C1 ZINC000503929172 340137245 /nfs/dbraw/zinc/13/72/45/340137245.db2.gz OGDNMLKCMPJOLO-WVUXNXBLSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cc(F)cc(F)c1 ZINC000503954685 340138629 /nfs/dbraw/zinc/13/86/29/340138629.db2.gz XYRPWMAWHOBGCB-CUOATXAZSA-N 0 3 305.315 4.166 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3sccc3Cl)n2)cc1 ZINC000504229751 340143408 /nfs/dbraw/zinc/14/34/08/340143408.db2.gz JCTDEYUENAJIJP-UHFFFAOYSA-N 0 3 319.817 4.180 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(F)cc3Cl)C2)cc1 ZINC000504537636 340148855 /nfs/dbraw/zinc/14/88/55/340148855.db2.gz AZWLBDHWKXXQSD-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)Oc2ccc(F)cc2)ccc1F ZINC000504899613 340155200 /nfs/dbraw/zinc/15/52/00/340155200.db2.gz JJEDHXKMUWNZRH-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc([C@@H](C)NCCc2c(F)cccc2F)ccc1F ZINC000504900346 340155442 /nfs/dbraw/zinc/15/54/42/340155442.db2.gz WEFQOWQZZGJIPR-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN COc1cc([C@@H](C)NCCCOc2ccc(F)cc2)ccc1F ZINC000504912657 340155919 /nfs/dbraw/zinc/15/59/19/340155919.db2.gz ZAMOIOJNEGEFRP-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)on1 ZINC000504930311 340156618 /nfs/dbraw/zinc/15/66/18/340156618.db2.gz OTXJLQIYTQZZTL-HOCLYGCPSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nn2c(CN([C@@H](C)C(C)C)C3CC3)c(C(C)C)nc2s1 ZINC000505228802 340164401 /nfs/dbraw/zinc/16/44/01/340164401.db2.gz CVFJZKDBWGVWML-LBPRGKRZSA-N 0 3 320.506 4.232 20 0 DIADHN CCCN(Cc1cc(Br)c(OC)s1)CC1CC1 ZINC000505243200 340164707 /nfs/dbraw/zinc/16/47/07/340164707.db2.gz VTJBJEZPNFDURA-UHFFFAOYSA-N 0 3 318.280 4.141 20 0 DIADHN c1cc([C@@H]2CCCCCN2Cc2ccc3c(c2)CCO3)ccn1 ZINC000505254573 340165050 /nfs/dbraw/zinc/16/50/50/340165050.db2.gz NQHKIULAUNLRMD-IBGZPJMESA-N 0 3 308.425 4.134 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)c1occ2c1CCC2 ZINC000505282627 340165505 /nfs/dbraw/zinc/16/55/05/340165505.db2.gz BZQYQQFJJGSENM-UHFFFAOYSA-N 0 3 324.424 4.007 20 0 DIADHN CC1(C)CC(C(=O)Nc2cccc(CN3CCCCC3)c2)C1 ZINC000505289667 340165544 /nfs/dbraw/zinc/16/55/44/340165544.db2.gz KQINKHOACAJZIQ-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)CCCC(C)(C)C ZINC000505449418 340168753 /nfs/dbraw/zinc/16/87/53/340168753.db2.gz OKHXPCDWXHVQOC-UHFFFAOYSA-N 0 3 318.505 4.313 20 0 DIADHN CC(C)N(CCOCC(F)(F)F)Cc1cccc(Cl)c1 ZINC000505505242 340169743 /nfs/dbraw/zinc/16/97/43/340169743.db2.gz FEPAOWHSJLUZMR-UHFFFAOYSA-N 0 3 309.759 4.129 20 0 DIADHN CC[C@@H](C)CCC(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000505526045 340170233 /nfs/dbraw/zinc/17/02/33/340170233.db2.gz LKMKYXHMOXJVDS-ZBFHGGJFSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccccc2F)cc1 ZINC000505607555 340172852 /nfs/dbraw/zinc/17/28/52/340172852.db2.gz HNTVOGBWQDGLEZ-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(F)cc2)cc1 ZINC000505614304 340173179 /nfs/dbraw/zinc/17/31/79/340173179.db2.gz SVAVCFVZWCNLEI-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN C[C@H](C1CC1)N(Cc1cnn(C(C)(C)C)c1)Cc1ccccc1 ZINC000505740853 340176323 /nfs/dbraw/zinc/17/63/23/340176323.db2.gz XSYFBUMWJFOSFZ-MRXNPFEDSA-N 0 3 311.473 4.439 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(C)cc2)cc1 ZINC000505767389 340177103 /nfs/dbraw/zinc/17/71/03/340177103.db2.gz SLKGDNWDBDDTJF-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)[C@@H](C)C2CC2)cc1O ZINC000505775721 340177392 /nfs/dbraw/zinc/17/73/92/340177392.db2.gz DZOOUNSUPBTHEZ-HNNXBMFYSA-N 0 3 311.425 4.202 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN(C)C(C)C ZINC000505903087 340181228 /nfs/dbraw/zinc/18/12/28/340181228.db2.gz MUYHCECLMSZMPR-UHFFFAOYSA-N 0 3 305.853 4.042 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@H]2/C=C\c2ccccc2)c1 ZINC000505908020 340181389 /nfs/dbraw/zinc/18/13/89/340181389.db2.gz WKYXBNCYPDNVNY-SQZREULQSA-N 0 3 320.436 4.323 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(n2cc(Cl)cn2)CC1 ZINC000506023035 340183546 /nfs/dbraw/zinc/18/35/46/340183546.db2.gz RIHMEWFEEWVVBS-QGZVFWFLSA-N 0 3 321.827 4.464 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2ccccc2)cc1OC(F)F ZINC000506026235 340183666 /nfs/dbraw/zinc/18/36/66/340183666.db2.gz JXRVEJBYOPUWPW-ZDUSSCGKSA-N 0 3 321.367 4.490 20 0 DIADHN COc1ccc2oc(CN(C)Cc3sccc3C)cc2c1 ZINC000506031357 340183729 /nfs/dbraw/zinc/18/37/29/340183729.db2.gz PMRYHMDHPXKGIH-UHFFFAOYSA-N 0 3 301.411 4.443 20 0 DIADHN CCCc1ccc(CN2CCC(n3cc(Cl)cn3)CC2)cc1 ZINC000506033514 340183967 /nfs/dbraw/zinc/18/39/67/340183967.db2.gz YIANLFOJRFVYMP-UHFFFAOYSA-N 0 3 317.864 4.326 20 0 DIADHN Cc1cccc(C)c1OCCN1CCC(OC2CCCC2)CC1 ZINC000506062198 340184675 /nfs/dbraw/zinc/18/46/75/340184675.db2.gz WWJFMPFCJPPFHT-UHFFFAOYSA-N 0 3 317.473 4.106 20 0 DIADHN CCOc1cc(CN2CCC[C@H]2c2cccs2)ccc1OC ZINC000506087572 340185127 /nfs/dbraw/zinc/18/51/27/340185127.db2.gz RWCRUCFTQJBJQR-HNNXBMFYSA-N 0 3 317.454 4.493 20 0 DIADHN CN(Cc1ccc2c[nH]nc2c1)Cc1ccc2ccccc2c1 ZINC000506111989 340185926 /nfs/dbraw/zinc/18/59/26/340185926.db2.gz FWMVRMRXUONGDL-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN Cc1ccc(CN(C)Cc2ccccc2N2CCCC2)s1 ZINC000506149145 340186737 /nfs/dbraw/zinc/18/67/37/340186737.db2.gz BYXAONBFYCYADE-UHFFFAOYSA-N 0 3 300.471 4.289 20 0 DIADHN CCC1CCC(N(C)Cc2ccc(OC)c(OC)c2OC)CC1 ZINC000506179577 340187683 /nfs/dbraw/zinc/18/76/83/340187683.db2.gz PJJZSXLPUPXBSH-UHFFFAOYSA-N 0 3 321.461 4.113 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(OCc2ccccc2)CC1 ZINC000506245272 340189914 /nfs/dbraw/zinc/18/99/14/340189914.db2.gz ILYZCUDXQBGKJI-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN Oc1ccc(C2=CCN(Cc3coc4ccccc34)CC2)cc1 ZINC000506248040 340189943 /nfs/dbraw/zinc/18/99/43/340189943.db2.gz MPZPCJGJMRFYJO-UHFFFAOYSA-N 0 3 305.377 4.428 20 0 DIADHN CC[C@@H](CN1CCN(CCc2ccccc2)CC1)c1ccccc1 ZINC000506270298 340190686 /nfs/dbraw/zinc/19/06/86/340190686.db2.gz UTRWFROMZNATAC-NRFANRHFSA-N 0 3 322.496 4.041 20 0 DIADHN CCOc1cccc(CN2CC=C(c3ccc(O)cc3)CC2)c1 ZINC000506308025 340191932 /nfs/dbraw/zinc/19/19/32/340191932.db2.gz IJFSXLQIYTZQJA-UHFFFAOYSA-N 0 3 309.409 4.080 20 0 DIADHN C[C@@H](CNCc1ccc(Br)cc1)Oc1ccccc1 ZINC000506331690 340192579 /nfs/dbraw/zinc/19/25/79/340192579.db2.gz OYFVCGUDPAINRP-ZDUSSCGKSA-N 0 3 320.230 4.006 20 0 DIADHN CCN(C)Cc1cn(Cc2ccccc2)nc1-c1cccs1 ZINC000506369791 340193420 /nfs/dbraw/zinc/19/34/20/340193420.db2.gz OPYXYBOCLLJKHV-UHFFFAOYSA-N 0 3 311.454 4.112 20 0 DIADHN CN(CCc1ccccc1)Cc1cnc(C2CCCC2)s1 ZINC000506370631 340193430 /nfs/dbraw/zinc/19/34/30/340193430.db2.gz RIRKTGLYQCNRBD-UHFFFAOYSA-N 0 3 300.471 4.475 20 0 DIADHN Fc1ccc(/C=C\CN2CCN(CC3CCCCC3)CC2)cc1 ZINC000506371635 340193495 /nfs/dbraw/zinc/19/34/95/340193495.db2.gz VTXSWJRUIAOWIZ-DAXSKMNVSA-N 0 3 316.464 4.037 20 0 DIADHN c1oc2ccccc2c1CNCCc1nc2ccccc2s1 ZINC000506382195 340193629 /nfs/dbraw/zinc/19/36/29/340193629.db2.gz IVCYLVGAXMYEND-UHFFFAOYSA-N 0 3 308.406 4.375 20 0 DIADHN c1cc2cccc(CN3CCSC[C@@H]3c3ccccc3)c2[nH]1 ZINC000506459990 340195071 /nfs/dbraw/zinc/19/50/71/340195071.db2.gz QFSSFCGBHROMKN-GOSISDBHSA-N 0 3 308.450 4.458 20 0 DIADHN COc1ccc(CN(C)Cc2cccc3ccccc32)cc1O ZINC000506460567 340195096 /nfs/dbraw/zinc/19/50/96/340195096.db2.gz VOMYYVLXHRGAEQ-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN CCc1ncc(CN(CC)[C@@H](C)Cc2ccc(OC)cc2)s1 ZINC000506481453 340195370 /nfs/dbraw/zinc/19/53/70/340195370.db2.gz ORIDUTAGDCPDNF-AWEZNQCLSA-N 0 3 318.486 4.167 20 0 DIADHN COc1ccccc1CN(Cc1cccc2[nH]ccc21)C1CC1 ZINC000506613500 340197625 /nfs/dbraw/zinc/19/76/25/340197625.db2.gz QYWAIEGOBHIQHY-UHFFFAOYSA-N 0 3 306.409 4.341 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2sccc2C)cc1OC ZINC000506620477 340197788 /nfs/dbraw/zinc/19/77/88/340197788.db2.gz XEHVJYIRXTYFBH-OAHLLOKOSA-N 0 3 317.454 4.411 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccccc2C)cc1OC ZINC000506624069 340197929 /nfs/dbraw/zinc/19/79/29/340197929.db2.gz MWFOOGGWWIQNHB-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN COCc1cccc(CN2CCC[C@@H]2c2cccc(OC)c2)c1 ZINC000506630316 340198020 /nfs/dbraw/zinc/19/80/20/340198020.db2.gz CMKOOFKJBXJLFH-HXUWFJFHSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ccc(CN2CC=C(c3c[nH]c4ncccc34)CC2)c(C)c1 ZINC000506646624 340198439 /nfs/dbraw/zinc/19/84/39/340198439.db2.gz QVUVWHJDKQJMCK-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN COc1ccc(C)cc1CN1CCC(c2ccc(O)cc2)CC1 ZINC000506647137 340198496 /nfs/dbraw/zinc/19/84/96/340198496.db2.gz ULYPYUFUTIZNCI-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@H]1c1ccc(F)cc1 ZINC000506671788 340199185 /nfs/dbraw/zinc/19/91/85/340199185.db2.gz ZGYIACAQOPWXKH-IBGZPJMESA-N 0 3 315.436 4.021 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2ccc3c[nH]nc3c2)cc1 ZINC000506709782 340199971 /nfs/dbraw/zinc/19/99/71/340199971.db2.gz AEODUXAGLPBHJC-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1cc(F)ccc1F ZINC000162956511 340200271 /nfs/dbraw/zinc/20/02/71/340200271.db2.gz SCVAMYZPFCQEGZ-MKBNYLNASA-N 0 3 303.352 4.180 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2sccc2C)c(OC)c1 ZINC000506728593 340200401 /nfs/dbraw/zinc/20/04/01/340200401.db2.gz XOZVTSOSMHRYDA-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)C[C@H]1CC=CCC1 ZINC000506772991 340201438 /nfs/dbraw/zinc/20/14/38/340201438.db2.gz CJARCROWDNIFRW-KRWDZBQOSA-N 0 3 300.446 4.002 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](c1ccccc1)N(CC1CC1)CC2 ZINC000506778939 340201692 /nfs/dbraw/zinc/20/16/92/340201692.db2.gz UKYCYUQTIGETRJ-OAQYLSRUSA-N 0 3 323.436 4.061 20 0 DIADHN Cn1nccc1-c1ccccc1CN1CC[C@@H]1c1ccccc1 ZINC000506819229 340202249 /nfs/dbraw/zinc/20/22/49/340202249.db2.gz KMJGRCCTZZHSDG-LJQANCHMSA-N 0 3 303.409 4.034 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@@H]1c1cccn1C ZINC000507005809 340205191 /nfs/dbraw/zinc/20/51/91/340205191.db2.gz BLGOUAZKCOCLES-PVGBUVFQSA-N 0 3 324.468 4.412 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@H]1c1cccn1C ZINC000507005811 340205346 /nfs/dbraw/zinc/20/53/46/340205346.db2.gz BLGOUAZKCOCLES-UEBLJOKOSA-N 0 3 324.468 4.412 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccsc2C)c(OC)c1 ZINC000507027674 340205840 /nfs/dbraw/zinc/20/58/40/340205840.db2.gz WXUKXKWZEJBTBW-KRWDZBQOSA-N 0 3 317.454 4.411 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1cn(C)nc1-c1ccccc1Cl ZINC000507117611 340208084 /nfs/dbraw/zinc/20/80/84/340208084.db2.gz VEHMCUNKNQJDBM-QGZVFWFLSA-N 0 3 317.864 4.361 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1sc(C)nc1C ZINC000507161777 340210223 /nfs/dbraw/zinc/21/02/23/340210223.db2.gz SNGPBLIBGAUULW-KRWDZBQOSA-N 0 3 316.470 4.278 20 0 DIADHN CC[C@@H](C)N(C)Cc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000507188299 340212042 /nfs/dbraw/zinc/21/20/42/340212042.db2.gz PKVTXBVPMQRIAC-CJNGLKHVSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc(-n3cncn3)c(C)c2)cc1 ZINC000507197103 340212496 /nfs/dbraw/zinc/21/24/96/340212496.db2.gz ZXSDDFYMMUREFC-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN COCCOc1ccc(CN(C)[C@H](C)c2ccc(C)cc2)cc1 ZINC000507209223 340213565 /nfs/dbraw/zinc/21/35/65/340213565.db2.gz IDTMVPADUIPKBR-QGZVFWFLSA-N 0 3 313.441 4.213 20 0 DIADHN CN(Cc1ccc(Cl)cc1)C[C@@H]1OCCc2ccccc21 ZINC000507218971 340214273 /nfs/dbraw/zinc/21/42/73/340214273.db2.gz MWJZIGSMJFDRAG-SFHVURJKSA-N 0 3 301.817 4.086 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1ccc(F)c(F)c1 ZINC000507243969 340215218 /nfs/dbraw/zinc/21/52/18/340215218.db2.gz PEDVYFNASYUSDJ-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN Cc1cc(CCN[C@@H]2CCc3c2cc(Cl)cc3Cl)on1 ZINC000507248418 340215470 /nfs/dbraw/zinc/21/54/70/340215470.db2.gz VBEIUUNHVJSBQB-OAHLLOKOSA-N 0 3 311.212 4.109 20 0 DIADHN Cc1nc2ccccn2c1CN(C)[C@H](C)c1ccc(C)cc1C ZINC000507251575 340215721 /nfs/dbraw/zinc/21/57/21/340215721.db2.gz IXRXSBXVZPOFLM-QGZVFWFLSA-N 0 3 307.441 4.453 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc([S@](C)=O)cc2)c(C)c1 ZINC000507250783 340215769 /nfs/dbraw/zinc/21/57/69/340215769.db2.gz CUJXIEZYARHMFX-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H](C)C(C)C)cs2)cc1 ZINC000507253794 340215909 /nfs/dbraw/zinc/21/59/09/340215909.db2.gz RZOLZQVWGPQSHX-CYBMUJFWSA-N 0 3 304.459 4.295 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCc3ccc(F)cc32)cc1 ZINC000507272584 340216416 /nfs/dbraw/zinc/21/64/16/340216416.db2.gz MEYJRQLTAKFAKO-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN C[C@H](C1CC1)N(C)Cc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000507444966 340219803 /nfs/dbraw/zinc/21/98/03/340219803.db2.gz YRQYGRHBEMAYRA-CXAGYDPISA-N 0 3 323.864 4.128 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccc(F)cc1)c1ccco1 ZINC000507444797 340219912 /nfs/dbraw/zinc/21/99/12/340219912.db2.gz VBTBQCLCBYNNGJ-RHSMWYFYSA-N 0 3 305.393 4.107 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@H](C(CC)CC)C1 ZINC000507447748 340219997 /nfs/dbraw/zinc/21/99/97/340219997.db2.gz XTPJYDNVAICJAL-QGTPRVQTSA-N 0 3 304.478 4.278 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@@H](C(CC)CC)C1 ZINC000507447744 340220081 /nfs/dbraw/zinc/22/00/81/340220081.db2.gz XTPJYDNVAICJAL-BMGDILEWSA-N 0 3 304.478 4.278 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1ccc(C)cc1)c1ccco1 ZINC000507452409 340220246 /nfs/dbraw/zinc/22/02/46/340220246.db2.gz WVBYIBNBQMPIJS-AEFFLSMTSA-N 0 3 301.430 4.277 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000507468425 340221028 /nfs/dbraw/zinc/22/10/28/340221028.db2.gz HDZSQKQEVJOXIJ-CYBMUJFWSA-N 0 3 316.395 4.305 20 0 DIADHN COC1(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)CCC1 ZINC000507471539 340221302 /nfs/dbraw/zinc/22/13/02/340221302.db2.gz CAQQXDWRIUDRLZ-CYBMUJFWSA-N 0 3 300.229 4.139 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCOCc1ccc(Cl)cc1 ZINC000507470820 340221372 /nfs/dbraw/zinc/22/13/72/340221372.db2.gz NUEANJGWZFYNLU-CQSZACIVSA-N 0 3 318.848 4.165 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](c1ccccc1)N1CCCC1 ZINC000507480104 340221737 /nfs/dbraw/zinc/22/17/37/340221737.db2.gz ALWOVZBSYPWUBB-XLIONFOSSA-N 0 3 323.484 4.132 20 0 DIADHN CC(C)C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1ccccn1 ZINC000507480780 340221897 /nfs/dbraw/zinc/22/18/97/340221897.db2.gz PVOSXXITCAGWJE-QGZVFWFLSA-N 0 3 322.374 4.418 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H]1SCCc2ccccc21 ZINC000507482813 340222012 /nfs/dbraw/zinc/22/20/12/340222012.db2.gz FUTLTIDDTSMLDC-KSSFIOAISA-N 0 3 312.482 4.325 20 0 DIADHN COC1(CN[C@H](C)c2cc(Cl)ccc2OC(F)F)CCC1 ZINC000507484724 340222249 /nfs/dbraw/zinc/22/22/49/340222249.db2.gz STRHDAKXEGHJJK-SNVBAGLBSA-N 0 3 319.779 4.161 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000507498144 340222911 /nfs/dbraw/zinc/22/29/11/340222911.db2.gz ULGGYYPYCDSEKW-QSFXBCCZSA-N 0 3 310.441 4.072 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)C(=O)NC(CC)CC)cc1 ZINC000507508105 340223221 /nfs/dbraw/zinc/22/32/21/340223221.db2.gz IJNNJVVPJSYACQ-KGLIPLIRSA-N 0 3 322.518 4.143 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@@H](C)c1ccc(SCC)cc1 ZINC000507511044 340223481 /nfs/dbraw/zinc/22/34/81/340223481.db2.gz YHRKGPOZSASINX-GJZGRUSLSA-N 0 3 322.518 4.144 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000507514204 340223654 /nfs/dbraw/zinc/22/36/54/340223654.db2.gz BLFWVZPLRGKHMN-XHSDSOJGSA-N 0 3 306.498 4.116 20 0 DIADHN CCO[C@@H](CCN[C@@H](C)c1ncccc1CC)C1CCCC1 ZINC000507515962 340223762 /nfs/dbraw/zinc/22/37/62/340223762.db2.gz WOCJNFVZASTLQR-YJBOKZPZSA-N 0 3 304.478 4.280 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)c1ccc(F)cc1F ZINC000507519839 340223924 /nfs/dbraw/zinc/22/39/24/340223924.db2.gz KPSRNDDNMKWABQ-CHWSQXEVSA-N 0 3 304.384 4.377 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCO[C@@H](C)c1ccccc1 ZINC000507520669 340224114 /nfs/dbraw/zinc/22/41/14/340224114.db2.gz SFFXHUPGECCCJQ-IRXDYDNUSA-N 0 3 312.457 4.463 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](CC)Oc1cccc(F)c1 ZINC000507531236 340224519 /nfs/dbraw/zinc/22/45/19/340224519.db2.gz LWURQMNBFHLIPO-RHSMWYFYSA-N 0 3 316.420 4.291 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](CC)Oc1cccc(F)c1 ZINC000507531232 340224582 /nfs/dbraw/zinc/22/45/82/340224582.db2.gz LWURQMNBFHLIPO-PBHICJAKSA-N 0 3 316.420 4.291 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](CC)Oc1cccc(F)c1 ZINC000507531239 340224589 /nfs/dbraw/zinc/22/45/89/340224589.db2.gz LWURQMNBFHLIPO-WMLDXEAASA-N 0 3 316.420 4.291 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@H]1CCCN1Cc1cccc(Cl)c1Cl ZINC000300426014 340225715 /nfs/dbraw/zinc/22/57/15/340225715.db2.gz QMROYCAAXPIQJL-NWANDNLSSA-N 0 3 314.256 4.119 20 0 DIADHN CC[C@@H]1C[C@H](CN(Cc2ccco2)Cc2ccccc2)CCO1 ZINC000507620399 340226518 /nfs/dbraw/zinc/22/65/18/340226518.db2.gz NCGBBGPMKGNDPX-RTBURBONSA-N 0 3 313.441 4.487 20 0 DIADHN C[C@@H](N[C@@H](COc1ccccc1F)C(C)(C)C)c1ccncc1 ZINC000507661440 340227406 /nfs/dbraw/zinc/22/74/06/340227406.db2.gz UKHUABURLHVZCG-KDOFPFPSSA-N 0 3 316.420 4.365 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC000507660545 340227435 /nfs/dbraw/zinc/22/74/35/340227435.db2.gz NSUGNYFOIKXKOV-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@H](C)c1cc(Cl)ccc1Cl ZINC000507660543 340227439 /nfs/dbraw/zinc/22/74/39/340227439.db2.gz NSUGNYFOIKXKOV-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN CC(C)Cc1ncc(CN2CC[C@H](c3ccccc3)C2)s1 ZINC000507665489 340227713 /nfs/dbraw/zinc/22/77/13/340227713.db2.gz KIELZSUROIASKA-INIZCTEOSA-N 0 3 300.471 4.331 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC(Oc2ccccn2)CC1 ZINC000507671117 340227773 /nfs/dbraw/zinc/22/77/73/340227773.db2.gz CWAYOIBNCLSPKD-BMRADRMJSA-N 0 3 308.425 4.028 20 0 DIADHN C[C@@H]1C[C@H](CN2CC=C(c3ccccc3Cl)CC2)CCO1 ZINC000507693245 340228524 /nfs/dbraw/zinc/22/85/24/340228524.db2.gz YHARRBUVWDLOGF-HUUCEWRRSA-N 0 3 305.849 4.244 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@H](C)c2cc(C)ccc2C)c1 ZINC000507707266 340228895 /nfs/dbraw/zinc/22/88/95/340228895.db2.gz DNCMJMPZZIGGQM-MRXNPFEDSA-N 0 3 310.441 4.455 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1F)c1ccccc1Cl ZINC000507777539 340231088 /nfs/dbraw/zinc/23/10/88/340231088.db2.gz IHNULCSOSZZNOP-GFCCVEGCSA-N 0 3 320.795 4.159 20 0 DIADHN COC[C@@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000507782989 340231125 /nfs/dbraw/zinc/23/11/25/340231125.db2.gz KJOMXPPBWPVVTK-MRXNPFEDSA-N 0 3 313.416 4.351 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CCc3c(F)cc(F)cc3[C@H]2C)CCO1 ZINC000507791809 340231686 /nfs/dbraw/zinc/23/16/86/340231686.db2.gz FWIWYSXZLZXUEU-VNHYZAJKSA-N 0 3 309.400 4.089 20 0 DIADHN CCOCCC1(CN[C@@H]2CCc3c2c(F)ccc3F)CCC1 ZINC000507796071 340231833 /nfs/dbraw/zinc/23/18/33/340231833.db2.gz UVIXTXCEXMGKNJ-MRXNPFEDSA-N 0 3 309.400 4.139 20 0 DIADHN CCCN(Cc1ccc(Oc2ccccc2)o1)CC(C)(C)O ZINC000507804992 340232312 /nfs/dbraw/zinc/23/23/12/340232312.db2.gz ONXZTAONAQMGHE-UHFFFAOYSA-N 0 3 303.402 4.055 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1ccc2c(c1)OCO2 ZINC000507866811 340234663 /nfs/dbraw/zinc/23/46/63/340234663.db2.gz UQPNWSGOKYGLHC-BDJLRTHQSA-N 0 3 315.800 4.407 20 0 DIADHN COc1ccc(CN2CCC[C@H]2/C=C\c2ccccc2)cc1O ZINC000507883133 340235213 /nfs/dbraw/zinc/23/52/13/340235213.db2.gz UTFHJIIKDMAKER-FYGXRCCRSA-N 0 3 309.409 4.079 20 0 DIADHN CCCn1cc(CN(C2CC2)[C@@H]2CCCc3ccccc32)cn1 ZINC000507995690 340237040 /nfs/dbraw/zinc/23/70/40/340237040.db2.gz WGILNZFYCAFKJH-HXUWFJFHSA-N 0 3 309.457 4.335 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccc(Br)s1 ZINC000308856586 340237553 /nfs/dbraw/zinc/23/75/53/340237553.db2.gz QATNRBMMMACEEP-SECBINFHSA-N 0 3 308.310 4.303 20 0 DIADHN COC[C@H]1CCN(Cc2sc3c(cccc3Cl)c2C)C1 ZINC000508516475 340245393 /nfs/dbraw/zinc/24/53/93/340245393.db2.gz NWKZFRJGDJUKSF-LBPRGKRZSA-N 0 3 309.862 4.331 20 0 DIADHN CCN(C/C(C)=C\c1ccccc1COC)Cc1ccccn1 ZINC000508517069 340245489 /nfs/dbraw/zinc/24/54/89/340245489.db2.gz YBVVEIOQELRBRL-LGMDPLHJSA-N 0 3 310.441 4.153 20 0 DIADHN COCCN(Cc1ccc(OC)c(F)c1)[C@H](C)c1ccccc1 ZINC000508554346 340246450 /nfs/dbraw/zinc/24/64/50/340246450.db2.gz UJRLDPIVUNQGDA-OAHLLOKOSA-N 0 3 317.404 4.044 20 0 DIADHN COCCN(Cc1ccc(OC)c(F)c1)[C@@H](C)c1ccccc1 ZINC000508554345 340246512 /nfs/dbraw/zinc/24/65/12/340246512.db2.gz UJRLDPIVUNQGDA-HNNXBMFYSA-N 0 3 317.404 4.044 20 0 DIADHN Cc1cc(Cl)cc(CN(C)[C@@H](CCO)c2ccccc2)c1 ZINC000508594852 340247226 /nfs/dbraw/zinc/24/72/26/340247226.db2.gz LFSOAGHOPLCVLP-SFHVURJKSA-N 0 3 303.833 4.204 20 0 DIADHN CCCN(Cc1c(C)nc2ccccn21)Cc1ccc(F)cc1 ZINC000508602906 340247667 /nfs/dbraw/zinc/24/76/67/340247667.db2.gz HCEQJJTZRTZUDG-UHFFFAOYSA-N 0 3 311.404 4.194 20 0 DIADHN Fc1ccc(CCN(Cc2nccs2)C2CCCC2)cc1 ZINC000508697500 340250821 /nfs/dbraw/zinc/25/08/21/340250821.db2.gz ZCEUEIAVXVMOMC-UHFFFAOYSA-N 0 3 304.434 4.270 20 0 DIADHN CC(C)(CNCc1cn2ccccc2n1)c1ccc(Cl)cc1 ZINC000508730258 340251842 /nfs/dbraw/zinc/25/18/42/340251842.db2.gz NYXNYEVMILXULV-UHFFFAOYSA-N 0 3 313.832 4.055 20 0 DIADHN CC(C)(CNCc1cn2ccccc2n1)c1ccccc1Cl ZINC000508739884 340252106 /nfs/dbraw/zinc/25/21/06/340252106.db2.gz MPJBHUMSQGOPDB-UHFFFAOYSA-N 0 3 313.832 4.055 20 0 DIADHN Cc1nccc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000508795666 340253298 /nfs/dbraw/zinc/25/32/98/340253298.db2.gz OGIVZPRTXOBCCO-STQMWFEESA-N 0 3 303.837 4.062 20 0 DIADHN CCOc1cccc(CNCc2ccc(OC)c(Cl)c2)c1 ZINC000086585504 340253976 /nfs/dbraw/zinc/25/39/76/340253976.db2.gz AFKRINOLXVNGRZ-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CC[C@@H](C2CC2)C1 ZINC000508860646 340254500 /nfs/dbraw/zinc/25/45/00/340254500.db2.gz QMXODKRASWSSIC-CQSZACIVSA-N 0 3 300.427 4.058 20 0 DIADHN CCC[C@H](NCCc1scnc1C)c1ccc(F)cc1F ZINC000508964070 340256388 /nfs/dbraw/zinc/25/63/88/340256388.db2.gz OTAYDDJOSILWAR-HNNXBMFYSA-N 0 3 310.413 4.403 20 0 DIADHN C[C@@H](F)CCN(Cc1csc(Br)c1)C1CC1 ZINC000508981376 340256868 /nfs/dbraw/zinc/25/68/68/340256868.db2.gz ZUOHMBJJHVMCRR-SECBINFHSA-N 0 3 306.244 4.223 20 0 DIADHN C[C@H](F)CCN[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000508991628 340257402 /nfs/dbraw/zinc/25/74/02/340257402.db2.gz RZETWQFYORQMSY-GUYCJALGSA-N 0 3 306.812 4.357 20 0 DIADHN CCOC1CC(CN2CCCC[C@H]2c2ccc(F)cc2F)C1 ZINC000508993056 340257485 /nfs/dbraw/zinc/25/74/85/340257485.db2.gz WPHGXPDAAXMDSL-RWKZGTHUSA-N 0 3 309.400 4.307 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1nc2cc(Br)ccc2o1 ZINC000509023350 340258226 /nfs/dbraw/zinc/25/82/26/340258226.db2.gz VIYPGJWJGJXFEZ-VHSXEESVSA-N 0 3 311.223 4.115 20 0 DIADHN Cc1nccc(CN2CCCCC[C@H]2/C=C/c2ccccc2)n1 ZINC000509040342 340259210 /nfs/dbraw/zinc/25/92/10/340259210.db2.gz SQXWQXYJGVWHIU-SGWGQVFISA-N 0 3 307.441 4.243 20 0 DIADHN COc1cccc([C@H]2CCCN2CCOc2cccc(F)c2)c1 ZINC000509059210 340259939 /nfs/dbraw/zinc/25/99/39/340259939.db2.gz ZFZSPLIFJSOHEG-LJQANCHMSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCOc1ccc2ccccc2c1 ZINC000509078989 340260633 /nfs/dbraw/zinc/26/06/33/340260633.db2.gz BNJLYSLFSLEUDX-INIZCTEOSA-N 0 3 306.409 4.307 20 0 DIADHN Cc1nocc1CN1CCc2ccccc2[C@H]1Cc1ccccc1 ZINC000509112099 340261528 /nfs/dbraw/zinc/26/15/28/340261528.db2.gz QFOKWESPXNYDPG-OAQYLSRUSA-N 0 3 318.420 4.325 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc2cc(Br)ccc2o1 ZINC000509123837 340261788 /nfs/dbraw/zinc/26/17/88/340261788.db2.gz NPELEJRBZPIFAN-GXFFZTMASA-N 0 3 323.234 4.211 20 0 DIADHN FCCCCN1CCC[C@H]1c1ccc(Br)cc1 ZINC000509261467 340264787 /nfs/dbraw/zinc/26/47/87/340264787.db2.gz CEESKUYVOHVYEV-AWEZNQCLSA-N 0 3 300.215 4.336 20 0 DIADHN C[C@@H](F)CCN1CCC[C@@H]1c1ccc(Br)cc1 ZINC000509266141 340264928 /nfs/dbraw/zinc/26/49/28/340264928.db2.gz XFPYUXUGDLJLKU-BXUZGUMPSA-N 0 3 300.215 4.334 20 0 DIADHN FCCCCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000509277709 340265104 /nfs/dbraw/zinc/26/51/04/340265104.db2.gz CMVYJDDWOCJJFG-CQSZACIVSA-N 0 3 300.215 4.336 20 0 DIADHN CCC[C@@H](C)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000509280715 340265365 /nfs/dbraw/zinc/26/53/65/340265365.db2.gz WMBGAVLXGWIBEP-SNVBAGLBSA-N 0 3 311.223 4.211 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509294977 340265568 /nfs/dbraw/zinc/26/55/68/340265568.db2.gz SJXBECULKBGYKU-QWRGUYRKSA-N 0 3 323.234 4.068 20 0 DIADHN CCCCN(Cc1nc2cc(Br)ccc2o1)C1CC1 ZINC000509315307 340266008 /nfs/dbraw/zinc/26/60/08/340266008.db2.gz RSXWWNXOLLHEIE-UHFFFAOYSA-N 0 3 323.234 4.355 20 0 DIADHN CC[C@H](C)CN(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000509390665 340268048 /nfs/dbraw/zinc/26/80/48/340268048.db2.gz XIAHLZMLYBKCIT-NSHDSACASA-N 0 3 313.367 4.070 20 0 DIADHN CCC(CC)(CCO)NCc1ccc(F)c(Cl)c1Cl ZINC000509413095 340268660 /nfs/dbraw/zinc/26/86/60/340268660.db2.gz CPGHVIMXFYKSDV-UHFFFAOYSA-N 0 3 308.224 4.163 20 0 DIADHN CCC(CC)(CCO)NCc1ccc(-c2ccccc2F)o1 ZINC000509418256 340268930 /nfs/dbraw/zinc/26/89/30/340268930.db2.gz SOIGQRJYBRORIO-UHFFFAOYSA-N 0 3 305.393 4.117 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc(F)cc1C ZINC000509426254 340269161 /nfs/dbraw/zinc/26/91/61/340269161.db2.gz RASVWUJDWBCSLL-QGZVFWFLSA-N 0 3 303.352 4.059 20 0 DIADHN CCc1c(C)nc2ccccc2c1N[C@@H](C)c1ccncc1F ZINC000509446366 340269861 /nfs/dbraw/zinc/26/98/61/340269861.db2.gz HWMHQAAAUUQMRJ-ZDUSSCGKSA-N 0 3 309.388 4.235 20 0 DIADHN Oc1cccc(CN2CC=C(c3c[nH]c4cc(F)ccc34)CC2)c1 ZINC000509560237 340273483 /nfs/dbraw/zinc/27/34/83/340273483.db2.gz NWJDATZJJRCWOQ-UHFFFAOYSA-N 0 3 322.383 4.302 20 0 DIADHN Cc1ccc2c(C3=CCN(Cc4cccc(O)c4)CC3)c[nH]c2c1 ZINC000509585306 340274171 /nfs/dbraw/zinc/27/41/71/340274171.db2.gz FVOZJGPFNWKYDM-UHFFFAOYSA-N 0 3 318.420 4.471 20 0 DIADHN Cc1nc2ccccn2c1CN(C)CCCCc1ccccc1 ZINC000509589882 340274313 /nfs/dbraw/zinc/27/43/13/340274313.db2.gz YHORGKAJEHENKS-UHFFFAOYSA-N 0 3 307.441 4.097 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(OC)cc1 ZINC000509615467 340274703 /nfs/dbraw/zinc/27/47/03/340274703.db2.gz FZEJKYTVNSRNDY-FOWTUZBSSA-N 0 3 311.425 4.035 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccccc2C(F)(F)F)cn1 ZINC000509619208 340274772 /nfs/dbraw/zinc/27/47/72/340274772.db2.gz PUURLDTULGMHPJ-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN Cc1ncsc1CCN1CCS[C@H](C)[C@H]1c1ccccc1 ZINC000509670471 340276512 /nfs/dbraw/zinc/27/65/12/340276512.db2.gz JPNUQHUGXVVYPU-PBHICJAKSA-N 0 3 318.511 4.173 20 0 DIADHN Cc1cccc(NC(=O)c2oc3ccccc3c2CN(C)C)c1 ZINC000509777514 340278785 /nfs/dbraw/zinc/27/87/85/340278785.db2.gz QXXBTGDCUSUGMD-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN Cc1ccc(NC(=O)c2oc3ccccc3c2CN(C)C)cc1 ZINC000509806980 340279813 /nfs/dbraw/zinc/27/98/13/340279813.db2.gz JAEYKYVTOUEITO-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN Cc1ccc(CN(C)Cc2cc(Cl)c3c(c2)OCCO3)cc1 ZINC000302734775 340280327 /nfs/dbraw/zinc/28/03/27/340280327.db2.gz BHYXVDMLIZMLFE-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc(C)cc2)c(OC)c1 ZINC000302740796 340280771 /nfs/dbraw/zinc/28/07/71/340280771.db2.gz PFFULWQNXWBFGD-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN Cn1c2ccc(CN[C@@H](c3ccccc3)C(C)(C)C)cc2oc1=O ZINC000509852374 340281596 /nfs/dbraw/zinc/28/15/96/340281596.db2.gz QPZRQEIGGMBKMF-SFHVURJKSA-N 0 3 324.424 4.009 20 0 DIADHN C[C@H](NCC1CCC(C(F)(F)F)CC1)c1ccncc1F ZINC000510006946 340285250 /nfs/dbraw/zinc/28/52/50/340285250.db2.gz SDELAZKORXNKHQ-UNXYVOJBSA-N 0 3 304.331 4.240 20 0 DIADHN CC(C)n1ccnc1CN1CCC[C@@](C)(c2ccccc2)CC1 ZINC000510112057 340289114 /nfs/dbraw/zinc/28/91/14/340289114.db2.gz IEHIGBAWNSRDFZ-HXUWFJFHSA-N 0 3 311.473 4.408 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(F)c(F)c1 ZINC000510153643 340290827 /nfs/dbraw/zinc/29/08/27/340290827.db2.gz AWFJGVOQOQKWMT-NTEUORMPSA-N 0 3 317.379 4.304 20 0 DIADHN CCc1ccc(CNCCc2csc(-c3ccccc3)n2)cn1 ZINC000510196223 340292210 /nfs/dbraw/zinc/29/22/10/340292210.db2.gz ZUJOBYGCDTUVJZ-UHFFFAOYSA-N 0 3 323.465 4.100 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1ncccc1C ZINC000510247069 340294070 /nfs/dbraw/zinc/29/40/70/340294070.db2.gz ZJFJNCMTAIWQNW-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN c1coc([C@H](CNCc2ccc3occc3c2)N2CCCCC2)c1 ZINC000510267042 340294655 /nfs/dbraw/zinc/29/46/55/340294655.db2.gz DBEHNSXFSMJKES-SFHVURJKSA-N 0 3 324.424 4.343 20 0 DIADHN COc1cccc(C2(NC[C@@H]3CCC4(CCCCC4)O3)CC2)c1 ZINC000510271039 340294821 /nfs/dbraw/zinc/29/48/21/340294821.db2.gz UNUYNZLSMATAHI-SFHVURJKSA-N 0 3 315.457 4.156 20 0 DIADHN c1c[nH]c([C@H]2CCCN2CCOc2ccc3ccccc3c2)c1 ZINC000510297409 340295256 /nfs/dbraw/zinc/29/52/56/340295256.db2.gz VBJUVEKORGLPPH-HXUWFJFHSA-N 0 3 306.409 4.384 20 0 DIADHN c1c[nH]c([C@@H]2CCCN2CCOc2ccc3ccccc3c2)c1 ZINC000510297408 340295278 /nfs/dbraw/zinc/29/52/78/340295278.db2.gz VBJUVEKORGLPPH-FQEVSTJZSA-N 0 3 306.409 4.384 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N(C)CCCCc1ccccc1 ZINC000510298713 340295362 /nfs/dbraw/zinc/29/53/62/340295362.db2.gz NMVFGIIWPZFMFA-SFHVURJKSA-N 0 3 324.468 4.277 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@H](c3ccccc3)C2)cc1C ZINC000303030000 340296522 /nfs/dbraw/zinc/29/65/22/340296522.db2.gz WZZCFQZOKOAWKI-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN CSc1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)cc1 ZINC000510418675 340298713 /nfs/dbraw/zinc/29/87/13/340298713.db2.gz YNKXWKMJEGZNMB-QGZVFWFLSA-N 0 3 323.465 4.205 20 0 DIADHN CSc1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)cc1 ZINC000510418674 340298755 /nfs/dbraw/zinc/29/87/55/340298755.db2.gz YNKXWKMJEGZNMB-KRWDZBQOSA-N 0 3 323.465 4.205 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1cccc2c[nH]nc21 ZINC000510470074 340300550 /nfs/dbraw/zinc/30/05/50/340300550.db2.gz TWBAWFPPNOXDEH-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CC1(C)CCCN(Cc2ccc(OCc3cccnc3)cc2)C1 ZINC000510487423 340300875 /nfs/dbraw/zinc/30/08/75/340300875.db2.gz GGXGYWQURKJKTN-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CC(C)CCOC1CCN(C/C=C/c2ccc(F)cc2)CC1 ZINC000510509915 340301509 /nfs/dbraw/zinc/30/15/09/340301509.db2.gz YFIVXGYOCATFQP-ONEGZZNKSA-N 0 3 305.437 4.366 20 0 DIADHN c1ccc(O[C@@H]2CCCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC000510518239 340301584 /nfs/dbraw/zinc/30/15/84/340301584.db2.gz CZTKRKWSDSCOCP-HXUWFJFHSA-N 0 3 318.420 4.278 20 0 DIADHN Cc1ccc(C)c(C(=O)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)c1 ZINC000510543449 340302404 /nfs/dbraw/zinc/30/24/04/340302404.db2.gz BGERMVWYLALPOG-XLIONFOSSA-N 0 3 324.468 4.033 20 0 DIADHN COCCOCCN([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000510559444 340302800 /nfs/dbraw/zinc/30/28/00/340302800.db2.gz OJKZUGNELIRJTH-MRXNPFEDSA-N 0 3 313.441 4.028 20 0 DIADHN OCCCN(Cc1ccc(Cl)cc1)[C@@H]1CCc2ccccc21 ZINC000510646859 340304871 /nfs/dbraw/zinc/30/48/71/340304871.db2.gz KGYMJFPFYDQYJP-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCO[C@@H](C)C2)c2cccc(F)c2)c1 ZINC000510648152 340304970 /nfs/dbraw/zinc/30/49/70/340304970.db2.gz FBEKDUOBLCPNNS-BEVDRBHNSA-N 0 3 313.416 4.381 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCO[C@H](C)C2)c2cccc(F)c2)c1 ZINC000510648154 340304999 /nfs/dbraw/zinc/30/49/99/340304999.db2.gz FBEKDUOBLCPNNS-YSGRDPCXSA-N 0 3 313.416 4.381 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C1CC1)[C@@H]1CCc2ccccc21 ZINC000510661892 340305640 /nfs/dbraw/zinc/30/56/40/340305640.db2.gz BNJMWWZGLOHCHQ-OAQYLSRUSA-N 0 3 323.484 4.197 20 0 DIADHN Cc1cccc([C@H](NC[C@H](C)C[C@H](C)O)c2cccc(F)c2)c1 ZINC000510669886 340305720 /nfs/dbraw/zinc/30/57/20/340305720.db2.gz LVAGILCMGSDNSP-GUXCAODWSA-N 0 3 315.432 4.220 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](C)CCc2c(F)cccc2F)cs1 ZINC000510667328 340305816 /nfs/dbraw/zinc/30/58/16/340305816.db2.gz QXGGASDAYQXZFM-WDEREUQCSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1cccc([C@@H](NCCCn2cccn2)c2cccc(F)c2)c1 ZINC000510677687 340306280 /nfs/dbraw/zinc/30/62/80/340306280.db2.gz YTTRJRAHULTUBB-HXUWFJFHSA-N 0 3 323.415 4.100 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)N[C@@H]1COc2ccccc21 ZINC000510685242 340306609 /nfs/dbraw/zinc/30/66/09/340306609.db2.gz KIABCRSJENSTCX-SJKOYZFVSA-N 0 3 303.352 4.009 20 0 DIADHN c1ccc(-n2nc(CN[C@H]3CC[C@H]3C3CCC3)c3c2CCC3)cc1 ZINC000510685275 340306648 /nfs/dbraw/zinc/30/66/48/340306648.db2.gz KMSDAXUYLIUTNW-HKUYNNGSSA-N 0 3 321.468 4.029 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@H]2CC[C@H]2C2CCC2)n1 ZINC000510694132 340306953 /nfs/dbraw/zinc/30/69/53/340306953.db2.gz GBEVIKCLTIJUMR-PMACEKPBSA-N 0 3 323.484 4.184 20 0 DIADHN COc1cccc(CNCC(C)(C)c2ccc(C)cc2)c1OC ZINC000303161540 340307639 /nfs/dbraw/zinc/30/76/39/340307639.db2.gz HEYNNETYDLLHJW-UHFFFAOYSA-N 0 3 313.441 4.080 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@@H]2CC[C@@H]2C2CCC2)cc1OC ZINC000510718234 340307949 /nfs/dbraw/zinc/30/79/49/340307949.db2.gz HQACYIGBFQEIDO-NORZTCDRSA-N 0 3 307.409 4.072 20 0 DIADHN CCOCCCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510722325 340308061 /nfs/dbraw/zinc/30/80/61/340308061.db2.gz PBTGEZMTRUSNLF-IBGZPJMESA-N 0 3 301.405 4.240 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCN(C3CC3)C2)c2cccc(F)c2)c1 ZINC000510733948 340308656 /nfs/dbraw/zinc/30/86/56/340308656.db2.gz KZXYANLIECZZIY-CTNGQTDRSA-N 0 3 324.443 4.050 20 0 DIADHN Cc1cc(OCCC[C@@H](C)NCc2cscn2)ccc1Cl ZINC000510733387 340308700 /nfs/dbraw/zinc/30/87/00/340308700.db2.gz IOGHPQLOCZGDAY-CYBMUJFWSA-N 0 3 324.877 4.442 20 0 DIADHN CC[C@@H](CCO)CN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510739651 340308986 /nfs/dbraw/zinc/30/89/86/340308986.db2.gz TYZBBGUJVQNQLU-OXJNMPFZSA-N 0 3 315.432 4.222 20 0 DIADHN c1cc(CN2CCSCC2)cc(N[C@@H]2CC[C@@H]2C2CCC2)c1 ZINC000510739813 340309049 /nfs/dbraw/zinc/30/90/49/340309049.db2.gz YHFBBZAGTDVQPO-RTBURBONSA-N 0 3 316.514 4.226 20 0 DIADHN CCSc1cccc(NC(=O)[C@@H](C)N2CCCCCC2)c1 ZINC000510753595 340309718 /nfs/dbraw/zinc/30/97/18/340309718.db2.gz WWNVWCIQAVXHTC-CQSZACIVSA-N 0 3 306.475 4.002 20 0 DIADHN Cc1cc(CN[C@@H](C)CCCOc2ccc(Cl)c(C)c2)on1 ZINC000510753306 340309738 /nfs/dbraw/zinc/30/97/38/340309738.db2.gz QXLCTDFROCXDMT-ZDUSSCGKSA-N 0 3 322.836 4.282 20 0 DIADHN CCCNC(=O)CN[C@@H](c1ccc([C@@H](C)CC)cc1)C(C)C ZINC000510789895 340311140 /nfs/dbraw/zinc/31/11/40/340311140.db2.gz CTICVNFBDYGSPL-HNAYVOBHSA-N 0 3 304.478 4.013 20 0 DIADHN CC(C)(C)CCCC(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000303203337 340312308 /nfs/dbraw/zinc/31/23/08/340312308.db2.gz MHZLVFINZPEPHQ-GOSISDBHSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@@H](NCc1cnn(CC(F)F)c1)c1cc2ccccc2s1 ZINC000510844795 340312629 /nfs/dbraw/zinc/31/26/29/340312629.db2.gz IUTWCELZAXICEC-LLVKDONJSA-N 0 3 321.396 4.214 20 0 DIADHN CC(C)(C)CCCC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000303213378 340313133 /nfs/dbraw/zinc/31/31/33/340313133.db2.gz PMILRFDIRHJGND-OAHLLOKOSA-N 0 3 322.518 4.218 20 0 DIADHN CC(C)(C)CCCC(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000303213377 340313142 /nfs/dbraw/zinc/31/31/42/340313142.db2.gz PMILRFDIRHJGND-HNNXBMFYSA-N 0 3 322.518 4.218 20 0 DIADHN CC(C)(C)CCCC(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC000303227798 340314084 /nfs/dbraw/zinc/31/40/84/340314084.db2.gz UMZAKYACQBLDIO-GOSISDBHSA-N 0 3 316.489 4.156 20 0 DIADHN CC(C)(C)CCCC(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000303227799 340314155 /nfs/dbraw/zinc/31/41/55/340314155.db2.gz UMZAKYACQBLDIO-SFHVURJKSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H]1C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000510926553 340315068 /nfs/dbraw/zinc/31/50/68/340315068.db2.gz CLCGGDWCCXOBJG-GDPRMGEGSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@H](CCc1ccc(C(F)(F)F)cc1)N[C@H](C)c1ccon1 ZINC000510927691 340315227 /nfs/dbraw/zinc/31/52/27/340315227.db2.gz GXAUKWMWKPEXCV-VXGBXAGGSA-N 0 3 312.335 4.365 20 0 DIADHN C[C@H]1C[C@H](N[C@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000510929978 340315357 /nfs/dbraw/zinc/31/53/57/340315357.db2.gz PVDGHIBGZVIQPH-WHOFXGATSA-N 0 3 311.516 4.204 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)[C@@H](C)N2CCCCCC2)c(C)c1 ZINC000510939627 340315524 /nfs/dbraw/zinc/31/55/24/340315524.db2.gz OVAFHJMWXRANJQ-QZTJIDSGSA-N 0 3 316.489 4.087 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H](CO)CC1CCCC1 ZINC000510949659 340315965 /nfs/dbraw/zinc/31/59/65/340315965.db2.gz LGBIFXMUIQGPKC-IUODEOHRSA-N 0 3 310.507 4.167 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1c(F)cc(F)c(F)c1F ZINC000510961895 340316021 /nfs/dbraw/zinc/31/60/21/340316021.db2.gz NNYDXIWILQDALB-ZANVPECISA-N 0 3 307.306 4.251 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H](CO)CC1CCCC1 ZINC000510949660 340316048 /nfs/dbraw/zinc/31/60/48/340316048.db2.gz LGBIFXMUIQGPKC-SWLSCSKDSA-N 0 3 310.507 4.167 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3cc(F)c(OC)cc32)cc1 ZINC000510958449 340316128 /nfs/dbraw/zinc/31/61/28/340316128.db2.gz CENLMWJVGCGIFC-XIKOKIGWSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H]1C1CCC1)c1ccc(Br)cn1 ZINC000510953813 340316197 /nfs/dbraw/zinc/31/61/97/340316197.db2.gz WQKANMJJPANMEH-VZJVUDMVSA-N 0 3 309.251 4.073 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H](C)c1ccc(F)cc1 ZINC000510975290 340316778 /nfs/dbraw/zinc/31/67/78/340316778.db2.gz IYVCGYFPQZIZRL-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2OC1)c1cc(F)c(F)c(F)c1 ZINC000510981267 340317026 /nfs/dbraw/zinc/31/70/26/340317026.db2.gz BRMFQSQFYLNPID-FZMZJTMJSA-N 0 3 321.342 4.148 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCc2ccc(F)cc21 ZINC000510980732 340317103 /nfs/dbraw/zinc/31/71/03/340317103.db2.gz QXTXLOFSDBCXDP-ROUUACIJSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N2c3ccccc3C[C@@H]2C)cc1 ZINC000511000969 340317745 /nfs/dbraw/zinc/31/77/45/340317745.db2.gz RHQOBAIUPPTTSG-ULQDDVLXSA-N 0 3 322.452 4.012 20 0 DIADHN CC[C@H](CNCc1cn2cc(Cl)ccc2n1)c1ccccc1 ZINC000511017633 340318271 /nfs/dbraw/zinc/31/82/71/340318271.db2.gz QBRVJIOUCMRDHC-CQSZACIVSA-N 0 3 313.832 4.271 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)[C@@H]1COc2ccccc21 ZINC000162960662 340318630 /nfs/dbraw/zinc/31/86/30/340318630.db2.gz OBKHVVNYCRQNQN-ZOWXZIJZSA-N 0 3 303.352 4.180 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCN(Cc3cccnc3)C2)c1 ZINC000511058585 340319635 /nfs/dbraw/zinc/31/96/35/340319635.db2.gz RVBQJSQYUZPMQT-INIZCTEOSA-N 0 3 320.358 4.480 20 0 DIADHN COCc1ccc(CN2CCC[C@@H]2Cc2ccccc2Cl)o1 ZINC000511096868 340320627 /nfs/dbraw/zinc/32/06/27/340320627.db2.gz RKQOBBJSSXGVAW-OAHLLOKOSA-N 0 3 319.832 4.287 20 0 DIADHN CC(C)[C@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000511094514 340320637 /nfs/dbraw/zinc/32/06/37/340320637.db2.gz XQONZSXDCMCVPG-UWJYYQICSA-N 0 3 324.468 4.193 20 0 DIADHN CC(C)n1cc(CN2CCC[C@H]2Cc2ccccc2Cl)cn1 ZINC000511104773 340320989 /nfs/dbraw/zinc/32/09/89/340320989.db2.gz IMGDYXQZIPIGLT-KRWDZBQOSA-N 0 3 317.864 4.325 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)on1 ZINC000511139103 340321968 /nfs/dbraw/zinc/32/19/68/340321968.db2.gz PFMXYIWSTQVKNH-CQSZACIVSA-N 0 3 324.346 4.381 20 0 DIADHN CSc1ccccc1CN[C@H](c1cnn(C)c1)C(C)(C)C ZINC000511196270 340323675 /nfs/dbraw/zinc/32/36/75/340323675.db2.gz GDPJVAYAAXVTSZ-MRXNPFEDSA-N 0 3 303.475 4.019 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@@H](C)c1ccc(F)cc1Cl ZINC000511222897 340324073 /nfs/dbraw/zinc/32/40/73/340324073.db2.gz PTVOOMNEEOMOLJ-RYUDHWBXSA-N 0 3 307.796 4.467 20 0 DIADHN CC[C@H](N[C@H](C)Cc1ccc(O)cc1)c1cc(F)ccc1F ZINC000511235932 340324272 /nfs/dbraw/zinc/32/42/72/340324272.db2.gz PZPHSPAULHYYPN-XIKOKIGWSA-N 0 3 305.368 4.342 20 0 DIADHN CCCCCN(CCCCC)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000511252409 340324481 /nfs/dbraw/zinc/32/44/81/340324481.db2.gz KYKMGNSHPYNFJV-KRWDZBQOSA-N 0 3 323.525 4.029 20 0 DIADHN CC(C)CN(Cc1csc(-c2ccccn2)n1)CC(C)C ZINC000303427940 340325200 /nfs/dbraw/zinc/32/52/00/340325200.db2.gz BDABNGUDRSVZQI-UHFFFAOYSA-N 0 3 303.475 4.319 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000303456584 340326483 /nfs/dbraw/zinc/32/64/83/340326483.db2.gz KEKDVSNPYZYBAX-DGCLKSJQSA-N 0 3 305.397 4.223 20 0 DIADHN CCCC(CCC)C(=O)N(CC)Cc1ccc(CN(C)C)cc1 ZINC000511516542 340329175 /nfs/dbraw/zinc/32/91/75/340329175.db2.gz VLIXZGJPPKWBNB-UHFFFAOYSA-N 0 3 318.505 4.313 20 0 DIADHN FC1(F)CCC[C@@H](NCc2ccc(Sc3ccccn3)o2)C1 ZINC000511603332 340332044 /nfs/dbraw/zinc/33/20/44/340332044.db2.gz AJPJNKIBNVYBKQ-GFCCVEGCSA-N 0 3 324.396 4.493 20 0 DIADHN CCCN(Cc1nccn1C(F)F)[C@H]1CCc2ccccc2C1 ZINC000511640896 340333655 /nfs/dbraw/zinc/33/36/55/340333655.db2.gz PAULGTMJCXNPIQ-INIZCTEOSA-N 0 3 319.399 4.048 20 0 DIADHN CN(Cc1cccc(OC2CCCC2)c1)C[C@@H]1CCCCO1 ZINC000511650270 340334004 /nfs/dbraw/zinc/33/40/04/340334004.db2.gz VWESFNKHFOGGHE-IBGZPJMESA-N 0 3 303.446 4.009 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1 ZINC000511663517 340334393 /nfs/dbraw/zinc/33/43/93/340334393.db2.gz WUAQOODQOIEHQV-VGWMRTNUSA-N 0 3 318.461 4.064 20 0 DIADHN CCO[C@@H](CNC/C=C/c1ccc(F)c(F)c1)c1ccccc1 ZINC000511759701 340337422 /nfs/dbraw/zinc/33/74/22/340337422.db2.gz FSCNIXCIUAHZSI-GHOSXJJBSA-N 0 3 317.379 4.345 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ncc(CN(C)C)s2)CC(C)(C)C1 ZINC000511772609 340337829 /nfs/dbraw/zinc/33/78/29/340337829.db2.gz MTPMPWKDFUZQMV-HUUCEWRRSA-N 0 3 323.550 4.147 20 0 DIADHN CCCN(Cc1cnn(C)c1C)[C@@H](C)c1cc2ccccc2o1 ZINC000511777760 340338003 /nfs/dbraw/zinc/33/80/03/340338003.db2.gz CALACZZCYYDSHX-HNNXBMFYSA-N 0 3 311.429 4.448 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000511788661 340338473 /nfs/dbraw/zinc/33/84/73/340338473.db2.gz DOGUXAALDLXLEC-LBPRGKRZSA-N 0 3 324.346 4.393 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3ccc4occc4c3)cccc21 ZINC000511789711 340338496 /nfs/dbraw/zinc/33/84/96/340338496.db2.gz XXCIVQSNTDCMCT-SFHVURJKSA-N 0 3 322.408 4.430 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](c1cccnc1)C1CC1 ZINC000511841671 340339955 /nfs/dbraw/zinc/33/99/55/340339955.db2.gz FBZXKXZYYWCIEY-CZIOWHBQSA-N 0 3 322.452 4.372 20 0 DIADHN CCc1nc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)cs1 ZINC000511842068 340339967 /nfs/dbraw/zinc/33/99/67/340339967.db2.gz IVRPEWPVKGSZEN-KSZLIROESA-N 0 3 312.482 4.474 20 0 DIADHN C[C@H]1CN(Cc2cccc3cc[nH]c32)C[C@@H](c2ccccc2)O1 ZINC000511860094 340340787 /nfs/dbraw/zinc/34/07/87/340340787.db2.gz OZJHMAIHVDFBPZ-KXBFYZLASA-N 0 3 306.409 4.130 20 0 DIADHN C[C@H]1CN(Cc2cccc3cc[nH]c32)C[C@H](c2ccccc2)O1 ZINC000511860091 340340871 /nfs/dbraw/zinc/34/08/71/340340871.db2.gz OZJHMAIHVDFBPZ-HNAYVOBHSA-N 0 3 306.409 4.130 20 0 DIADHN c1cn2cc(CN3C[C@@H](c4ccccc4)[C@H]4CCC[C@@H]43)nc2s1 ZINC000511875355 340341311 /nfs/dbraw/zinc/34/13/11/340341311.db2.gz RXTHCRNFTGKIDA-SQNIBIBYSA-N 0 3 323.465 4.164 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000511877873 340341453 /nfs/dbraw/zinc/34/14/53/340341453.db2.gz WEOLSHHEBGZVHK-AZUAARDMSA-N 0 3 322.452 4.425 20 0 DIADHN CC[C@H](CSC)NCc1ccc(Sc2ccccn2)o1 ZINC000511906018 340342462 /nfs/dbraw/zinc/34/24/62/340342462.db2.gz HRWSQIWGGNOUMD-GFCCVEGCSA-N 0 3 308.472 4.057 20 0 DIADHN CCc1ccc(CNC[C@@H](c2ccccc2)C(F)(F)F)cn1 ZINC000511926942 340342961 /nfs/dbraw/zinc/34/29/61/340342961.db2.gz NRSYHSJNQHRIDZ-INIZCTEOSA-N 0 3 308.347 4.080 20 0 DIADHN CCc1ccc(CN[C@H](C)CSc2ccc(C)cc2)cn1 ZINC000511941798 340343379 /nfs/dbraw/zinc/34/33/79/340343379.db2.gz NILVPFOYHSIKNV-OAHLLOKOSA-N 0 3 300.471 4.223 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(Cl)cn1 ZINC000511953082 340343592 /nfs/dbraw/zinc/34/35/92/340343592.db2.gz COFLXIXQXMAVHP-ZROIWOOFSA-N 0 3 316.832 4.075 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC/C=C\c1ccc(F)c(F)c1)CCO2 ZINC000511955609 340343735 /nfs/dbraw/zinc/34/37/35/340343735.db2.gz GNHOTTBMFBOFNM-NLVOMGJBSA-N 0 3 319.326 4.231 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc2occc2c1 ZINC000511962433 340343952 /nfs/dbraw/zinc/34/39/52/340343952.db2.gz XLAKPLABVMJLCK-ORAYPTAESA-N 0 3 313.372 4.438 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1ccc2occc2c1 ZINC000511962436 340343988 /nfs/dbraw/zinc/34/39/88/340343988.db2.gz XLAKPLABVMJLCK-YJYMSZOUSA-N 0 3 313.372 4.438 20 0 DIADHN Cc1cnn(C)c1CN[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32 ZINC000511979502 340344437 /nfs/dbraw/zinc/34/44/37/340344437.db2.gz XEIIXUXTUJIDIS-YOEHRIQHSA-N 0 3 309.457 4.118 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CSc3ccccc32)cc1F ZINC000512036144 340346085 /nfs/dbraw/zinc/34/60/85/340346085.db2.gz DXIHHJZOJHXHOZ-MJSXRHKHSA-N 0 3 303.377 4.415 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(C)c(Br)s1)C(C)C ZINC000512044923 340346599 /nfs/dbraw/zinc/34/65/99/340346599.db2.gz IWVNVHSRSAMFEB-MNOVXSKESA-N 0 3 320.296 4.141 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(C)c(Br)s1)C(C)C ZINC000512044922 340346622 /nfs/dbraw/zinc/34/66/22/340346622.db2.gz IWVNVHSRSAMFEB-GHMZBOCLSA-N 0 3 320.296 4.141 20 0 DIADHN Cc1cnn(C)c1CN[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000512083669 340348009 /nfs/dbraw/zinc/34/80/09/340348009.db2.gz RXIMLJLMLPMBCA-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](c1cccc(Cl)c1)C1CCCC1 ZINC000512083667 340348017 /nfs/dbraw/zinc/34/80/17/340348017.db2.gz RXIMLJLMLPMBCA-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccccc1N(C)C ZINC000512102847 340348541 /nfs/dbraw/zinc/34/85/41/340348541.db2.gz CVVIMRWTLBFERC-GHRIWEEISA-N 0 3 324.468 4.092 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C/c2ccc(F)c(F)c2)cc1 ZINC000512102858 340348636 /nfs/dbraw/zinc/34/86/36/340348636.db2.gz CXWAHNZDKPNLFY-ITDFMYJTSA-N 0 3 303.352 4.337 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000512113807 340348994 /nfs/dbraw/zinc/34/89/94/340348994.db2.gz DOGUXAALDLXLEC-GFCCVEGCSA-N 0 3 324.346 4.393 20 0 DIADHN Cc1c(Cl)c(CN[C@H]2CSC[C@H]2C)nc2ccccc12 ZINC000512165840 340350652 /nfs/dbraw/zinc/35/06/52/340350652.db2.gz IAKFQIQCORXPCW-BMIGLBTASA-N 0 3 306.862 4.038 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCCC[C@@H]3C)o2)cc1 ZINC000512179122 340351071 /nfs/dbraw/zinc/35/10/71/340351071.db2.gz HXSAKCHYIUKOLC-AWEZNQCLSA-N 0 3 300.402 4.115 20 0 DIADHN CC[C@H](CN1CCO[C@H](c2cccs2)C1)c1ccccc1 ZINC000512243228 340353778 /nfs/dbraw/zinc/35/37/78/340353778.db2.gz JQYCIHWILRGENI-WBVHZDCISA-N 0 3 301.455 4.315 20 0 DIADHN CN(Cc1cccnc1)CC(c1ccccc1)c1ccccc1 ZINC000512251075 340354243 /nfs/dbraw/zinc/35/42/43/340354243.db2.gz FYKYCZYXJXYLRD-UHFFFAOYSA-N 0 3 302.421 4.346 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000512260910 340354461 /nfs/dbraw/zinc/35/44/61/340354461.db2.gz MWDJWJNKXQJPPS-HNNXBMFYSA-N 0 3 312.457 4.137 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000512260911 340354525 /nfs/dbraw/zinc/35/45/25/340354525.db2.gz MWDJWJNKXQJPPS-OAHLLOKOSA-N 0 3 312.457 4.137 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC000512271241 340354861 /nfs/dbraw/zinc/35/48/61/340354861.db2.gz PDUWCLYKJSPJIB-HNNXBMFYSA-N 0 3 310.507 4.072 20 0 DIADHN Cc1nocc1CN1CCC(c2ccc(C(F)(F)F)cc2)CC1 ZINC000512293305 340355896 /nfs/dbraw/zinc/35/58/96/340355896.db2.gz SPTNZEDBUFHTMK-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN CN(Cc1cc(-c2ccccc2)no1)[C@H]1CCc2ccccc2C1 ZINC000512308045 340356419 /nfs/dbraw/zinc/35/64/19/340356419.db2.gz IAPDCVPCRRIIRQ-IBGZPJMESA-N 0 3 318.420 4.331 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@@H]([C@@H](C)O)C1 ZINC000512338430 340357852 /nfs/dbraw/zinc/35/78/52/340357852.db2.gz MEZSUJYCOAKCNO-VXGBXAGGSA-N 0 3 309.862 4.066 20 0 DIADHN Cc1ccc(CN2CCC(N(C)c3ccccc3)CC2)s1 ZINC000512379187 340359541 /nfs/dbraw/zinc/35/95/41/340359541.db2.gz FDCSZZMQPAOSJI-UHFFFAOYSA-N 0 3 300.471 4.157 20 0 DIADHN Cc1cnn(C)c1CNCc1sc2ccc(Cl)cc2c1C ZINC000512383914 340359800 /nfs/dbraw/zinc/35/98/00/340359800.db2.gz HFDNAPSNKQHLDW-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CCO[C@H]1C1CC1 ZINC000512396856 340360491 /nfs/dbraw/zinc/36/04/91/340360491.db2.gz VJAMBFOBSOJITD-CTNGQTDRSA-N 0 3 323.436 4.019 20 0 DIADHN CCc1nocc1CN1CC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000512403572 340360771 /nfs/dbraw/zinc/36/07/71/340360771.db2.gz MHMCMSWKHBWXFJ-ZDUSSCGKSA-N 0 3 324.346 4.245 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccccc1Br ZINC000304255550 340361912 /nfs/dbraw/zinc/36/19/12/340361912.db2.gz IBTMZHAZRCPGIV-LLVKDONJSA-N 0 3 302.281 4.241 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccccc1Br ZINC000304255551 340361921 /nfs/dbraw/zinc/36/19/21/340361921.db2.gz IBTMZHAZRCPGIV-NSHDSACASA-N 0 3 302.281 4.241 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2ccc(F)c(F)c2)cs1 ZINC000173373574 340363681 /nfs/dbraw/zinc/36/36/81/340363681.db2.gz ZLHDSWSDIBEMNL-NSHDSACASA-N 0 3 310.413 4.438 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(SC)cc2)cn1 ZINC000173401921 340364180 /nfs/dbraw/zinc/36/41/80/340364180.db2.gz CECOYGGJRLHMLF-CQSZACIVSA-N 0 3 316.470 4.443 20 0 DIADHN CSc1cccc(F)c1CNC[C@H](C)CC1CCOCC1 ZINC000512511385 340364872 /nfs/dbraw/zinc/36/48/72/340364872.db2.gz GUWSGZGHYILDHM-CYBMUJFWSA-N 0 3 311.466 4.090 20 0 DIADHN COCCC[C@H](CNCc1ccccn1)c1ccc(Cl)cc1 ZINC000512557641 340366854 /nfs/dbraw/zinc/36/68/54/340366854.db2.gz VMFPLZAWSWXZOE-MRXNPFEDSA-N 0 3 318.848 4.035 20 0 DIADHN CSc1cccc(F)c1CN[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000512573020 340367462 /nfs/dbraw/zinc/36/74/62/340367462.db2.gz KEPDAUMGECXSMN-RRFJBIMHSA-N 0 3 323.477 4.233 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C2CCC2)c(Cl)c1OC ZINC000512609820 340368761 /nfs/dbraw/zinc/36/87/61/340368761.db2.gz RAULTRXAXWDNNA-AWEZNQCLSA-N 0 3 309.837 4.122 20 0 DIADHN CSCCCCCNCc1ccc(Sc2ccccn2)o1 ZINC000512678850 340372810 /nfs/dbraw/zinc/37/28/10/340372810.db2.gz STLAPFORVPKPSK-UHFFFAOYSA-N 0 3 322.499 4.449 20 0 DIADHN CN(CCCc1cc(-c2ccccc2)no1)Cc1cccs1 ZINC000512697915 340373523 /nfs/dbraw/zinc/37/35/23/340373523.db2.gz WWJLOLWRXTWRBM-UHFFFAOYSA-N 0 3 312.438 4.468 20 0 DIADHN FC(F)OC[C@H]1CCN(Cc2ccc(-c3ccsc3)cc2)C1 ZINC000512805952 340378518 /nfs/dbraw/zinc/37/85/18/340378518.db2.gz OFHDSCSGVDQOBL-AWEZNQCLSA-N 0 3 323.408 4.476 20 0 DIADHN CN(C)[C@@H](CNCc1cc(F)ccc1F)c1ccccc1Cl ZINC000512817175 340378941 /nfs/dbraw/zinc/37/89/41/340378941.db2.gz UKJHSBSTNIFAFM-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN CCOC1CC2(C1)CCN(CCCc1ccccc1Cl)C2 ZINC000512824977 340379404 /nfs/dbraw/zinc/37/94/04/340379404.db2.gz ZTGJDPCGHYWXLG-UHFFFAOYSA-N 0 3 307.865 4.164 20 0 DIADHN Cc1ccc(Cc2ccccn2)cc1NC(=O)C(C)C(F)(F)F ZINC000512833686 340379892 /nfs/dbraw/zinc/37/98/92/340379892.db2.gz MHZYMHHBWSLJHD-LBPRGKRZSA-N 0 3 322.330 4.118 20 0 DIADHN CCC[C@@]1(NCc2ccc(-c3ccccc3F)o2)CCOC1 ZINC000512850316 340380818 /nfs/dbraw/zinc/38/08/18/340380818.db2.gz IHNWYJDTHHXUEL-GOSISDBHSA-N 0 3 303.377 4.135 20 0 DIADHN COC[C@H](C)N[C@@H](C)c1sc(-c2ccc(Cl)cc2)nc1C ZINC000174065572 340381227 /nfs/dbraw/zinc/38/12/27/340381227.db2.gz DVKWIRQOJLOSST-QWRGUYRKSA-N 0 3 324.877 4.457 20 0 DIADHN FC(F)(F)C1(CNCc2ccc(Cl)c3cccnc23)CC1 ZINC000512865931 340381541 /nfs/dbraw/zinc/38/15/41/340381541.db2.gz WOUJJBOWUCVZID-UHFFFAOYSA-N 0 3 314.738 4.320 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000512868909 340381758 /nfs/dbraw/zinc/38/17/58/340381758.db2.gz KEMRMSZMTXZZHQ-PELKAZGASA-N 0 3 309.784 4.200 20 0 DIADHN C[C@@H]1CSC[C@@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000512873777 340381943 /nfs/dbraw/zinc/38/19/43/340381943.db2.gz FMRJKDZFNRWUSF-YGRLFVJLSA-N 0 3 324.902 4.305 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC000512881066 340382222 /nfs/dbraw/zinc/38/22/22/340382222.db2.gz ZXGKNSRUPLXDKQ-QPUJVOFHSA-N 0 3 309.784 4.200 20 0 DIADHN COc1cccc(C2(NCc3ccc(Cl)c(C)c3)CC2)c1 ZINC000512893881 340383066 /nfs/dbraw/zinc/38/30/66/340383066.db2.gz GFMMOUWGTFZBQC-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN COc1cccc(C2(NCc3cc(C)c4ncccc4c3)CC2)c1 ZINC000512895966 340383096 /nfs/dbraw/zinc/38/30/96/340383096.db2.gz SBSWCXAMAXKQSZ-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN CC[C@H](NC/C=C\c1ccccc1)c1ccc(OC)c(OC)c1 ZINC000512904157 340383501 /nfs/dbraw/zinc/38/35/01/340383501.db2.gz NQGHOYYJXXUBRN-YFWYWMRJSA-N 0 3 311.425 4.458 20 0 DIADHN Cc1cc(CCNCc2ccc(-c3ccccc3)cc2F)on1 ZINC000512977485 340386524 /nfs/dbraw/zinc/38/65/24/340386524.db2.gz MZGWCCAVDKZRQN-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN CSCc1ccc(CNCc2cccc(OC(F)F)c2)cc1 ZINC000512977876 340386544 /nfs/dbraw/zinc/38/65/44/340386544.db2.gz UEDWQUXUCAEEKA-UHFFFAOYSA-N 0 3 323.408 4.441 20 0 DIADHN CSCc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1 ZINC000512977212 340386609 /nfs/dbraw/zinc/38/66/09/340386609.db2.gz IHNBOHXZOGKTTG-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)nc2ccccc12)c1ccccc1 ZINC000174389152 340387348 /nfs/dbraw/zinc/38/73/48/340387348.db2.gz XDGMJKIJKQSVIJ-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)nc2ccccc12)c1ccc(F)cc1 ZINC000174388712 340387373 /nfs/dbraw/zinc/38/73/73/340387373.db2.gz WZLUOJZIQRGVAB-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN FC(F)Oc1cccc(CNCC2(C(F)(F)F)CCC2)c1 ZINC000512996160 340387474 /nfs/dbraw/zinc/38/74/74/340387474.db2.gz KOHDUAJKTQIHDS-UHFFFAOYSA-N 0 3 309.278 4.110 20 0 DIADHN COc1ccc(CNC/C(C)=C/c2ccc(Cl)cc2)cc1O ZINC000513004271 340387905 /nfs/dbraw/zinc/38/79/05/340387905.db2.gz NJWGVJZSLATLJD-UKTHLTGXSA-N 0 3 317.816 4.247 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CCCC2(CCCC2)C1 ZINC000513012584 340388432 /nfs/dbraw/zinc/38/84/32/340388432.db2.gz QXEPSUOXIUAWBY-UHFFFAOYSA-N 0 3 310.441 4.422 20 0 DIADHN Cc1ccccc1-n1nccc1CNCC1(C(F)(F)F)CCC1 ZINC000513021990 340389019 /nfs/dbraw/zinc/38/90/19/340389019.db2.gz NBWPHSHOSDEWBF-UHFFFAOYSA-N 0 3 323.362 4.003 20 0 DIADHN CC(C)Oc1ccc(CNCC2(C(F)(F)F)CCC2)cc1 ZINC000513022719 340389047 /nfs/dbraw/zinc/38/90/47/340389047.db2.gz XTDWOYOKZFPERZ-UHFFFAOYSA-N 0 3 301.352 4.296 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2Cc2ccc(Cl)s2)C1 ZINC000513038105 340389965 /nfs/dbraw/zinc/38/99/65/340389965.db2.gz PPLYRBTWJWGEGA-NGFQHRJXSA-N 0 3 313.894 4.163 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2ncccc2C(F)(F)F)C1 ZINC000513041217 340390204 /nfs/dbraw/zinc/39/02/04/340390204.db2.gz NTJYFJJXHVETAF-CQSZACIVSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@@H](NC[C@@H](C)c1cccc(Cl)c1)c1c(F)cncc1F ZINC000513073645 340392180 /nfs/dbraw/zinc/39/21/80/340392180.db2.gz DGEABOIFEHHGES-GHMZBOCLSA-N 0 3 310.775 4.468 20 0 DIADHN COCc1cccc(CN(C)Cc2cc(C)c(OC)c(C)c2)c1 ZINC000513078124 340392321 /nfs/dbraw/zinc/39/23/21/340392321.db2.gz WSKNBJPBHIGMDZ-UHFFFAOYSA-N 0 3 313.441 4.090 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)(F)F)cc2)c(C)c1 ZINC000513092290 340392975 /nfs/dbraw/zinc/39/29/75/340392975.db2.gz KTAGKUHFOFRHDF-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](C)c1ccc(Br)cc1F ZINC000513096301 340393036 /nfs/dbraw/zinc/39/30/36/340393036.db2.gz TVZOUSKDNYUNHT-MNOVXSKESA-N 0 3 318.230 4.052 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)C(C)(C)C)cc1O ZINC000513107397 340393662 /nfs/dbraw/zinc/39/36/62/340393662.db2.gz VMUYIRAUGVBSCE-UHFFFAOYSA-N 0 3 317.404 4.341 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN[C@H]1CC12CCCC2 ZINC000513242078 340399108 /nfs/dbraw/zinc/39/91/08/340399108.db2.gz NYXSWDUKDCIBAP-AWEZNQCLSA-N 0 3 300.427 4.248 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1)c1c(F)cncc1F ZINC000513268737 340400057 /nfs/dbraw/zinc/40/00/57/340400057.db2.gz YHSFNBLFBRMPLN-LLVKDONJSA-N 0 3 310.775 4.297 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N[C@@H]2CCC[C@H]2c2ccccc2)o1 ZINC000513268162 340400179 /nfs/dbraw/zinc/40/01/79/340400179.db2.gz UXEHJEMGEWVIOV-VNQPRFMTSA-N 0 3 313.397 4.053 20 0 DIADHN COCC1CCN(Cc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000513466455 340409310 /nfs/dbraw/zinc/40/93/10/340409310.db2.gz FYJXXNNEKHDBGW-UHFFFAOYSA-N 0 3 317.404 4.253 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC000513498770 340411040 /nfs/dbraw/zinc/41/10/40/340411040.db2.gz BQPNZKRIGCBEBE-GWCFXTLKSA-N 0 3 323.786 4.246 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1ccc(C)cc1Br ZINC000513544282 340412981 /nfs/dbraw/zinc/41/29/81/340412981.db2.gz GCWBXNDTSYQEEI-LBPRGKRZSA-N 0 3 314.267 4.051 20 0 DIADHN Fc1ccc(CNCCOc2cccc3ccccc32)cc1F ZINC000513646652 340416986 /nfs/dbraw/zinc/41/69/86/340416986.db2.gz AKYIFHYAGSAFGG-UHFFFAOYSA-N 0 3 313.347 4.287 20 0 DIADHN CCOC[C@H](C)N[C@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000513683690 340419282 /nfs/dbraw/zinc/41/92/82/340419282.db2.gz MMSCBKGPGOUOSC-NHYWBVRUSA-N 0 3 317.351 4.051 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@H]1CCC(C)(C)C1)c1ccsc1 ZINC000513730893 340422179 /nfs/dbraw/zinc/42/21/79/340422179.db2.gz PIZLWENFHRBIBU-HOCLYGCPSA-N 0 3 322.518 4.074 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cccc(OC)c1)c1ccco1 ZINC000177268030 340438398 /nfs/dbraw/zinc/43/83/98/340438398.db2.gz IJZDASUCYVMDNR-CRAIPNDOSA-N 0 3 316.445 4.022 20 0 DIADHN C[C@@H](NC[C@@H](CCO)c1ccccc1)c1ccccc1Cl ZINC000177363520 340439797 /nfs/dbraw/zinc/43/97/97/340439797.db2.gz CWBJHXWMSSRQGF-GDBMZVCRSA-N 0 3 303.833 4.157 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@@H](C)[C@@H](C)c1ccccc1 ZINC000177471623 340440843 /nfs/dbraw/zinc/44/08/43/340440843.db2.gz TYHXRFPETVMVPW-IKGGRYGDSA-N 0 3 310.441 4.104 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@H]1CCc2ccc(Br)cc21 ZINC000310677419 340441899 /nfs/dbraw/zinc/44/18/99/340441899.db2.gz QFNROBAHKJCGIF-UFBFGSQYSA-N 0 3 322.168 4.367 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@H](C)Oc2ccccc2C)s1 ZINC000178000998 340446235 /nfs/dbraw/zinc/44/62/35/340446235.db2.gz NRQBDCMBGPGJMR-STQMWFEESA-N 0 3 304.459 4.186 20 0 DIADHN COc1cccc(N2CCC[C@H](N[C@H](C)c3ccsc3)C2)c1 ZINC000178426886 340449414 /nfs/dbraw/zinc/44/94/14/340449414.db2.gz CINKCENNMSKVKQ-ZBFHGGJFSA-N 0 3 316.470 4.076 20 0 DIADHN C[C@H](NC[C@](C)(O)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000311973051 340450603 /nfs/dbraw/zinc/45/06/03/340450603.db2.gz PIYIJWJKANCFQN-RTHLEPHNSA-N 0 3 322.663 4.458 20 0 DIADHN CC[C@H](NC[C@H](CCO)c1ccccc1)c1ccc(F)cc1F ZINC000178650904 340451139 /nfs/dbraw/zinc/45/11/39/340451139.db2.gz PBKGLZJEIJKWDN-KXBFYZLASA-N 0 3 319.395 4.172 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1cnc(C(C)C)s1 ZINC000516939516 340459323 /nfs/dbraw/zinc/45/93/23/340459323.db2.gz CYKLVHZNGHGNIY-UHFFFAOYSA-N 0 3 303.475 4.037 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(-n3cccn3)cc2)cc1F ZINC000088511427 340461475 /nfs/dbraw/zinc/46/14/75/340461475.db2.gz LMAISWGINCIBQD-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN COc1ccc(CNCCSCc2ccc(C)cc2)cc1F ZINC000517539841 340471409 /nfs/dbraw/zinc/47/14/09/340471409.db2.gz JOVIGYYGXILKFU-UHFFFAOYSA-N 0 3 319.445 4.166 20 0 DIADHN CCc1ccc(CNC[C@@H](c2ccco2)N(CC)CC)s1 ZINC000517552783 340472016 /nfs/dbraw/zinc/47/20/16/340472016.db2.gz CKGVFLGPENXVAO-INIZCTEOSA-N 0 3 306.475 4.076 20 0 DIADHN COc1cccc(C2(CNCc3ccsc3Cl)CC2)c1 ZINC000517630807 340474187 /nfs/dbraw/zinc/47/41/87/340474187.db2.gz MCHCEMCMMBLUIW-UHFFFAOYSA-N 0 3 307.846 4.232 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000517709667 340475683 /nfs/dbraw/zinc/47/56/83/340475683.db2.gz PEQSSEXPWBUESN-AWEZNQCLSA-N 0 3 323.786 4.246 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000517709669 340475784 /nfs/dbraw/zinc/47/57/84/340475784.db2.gz PEQSSEXPWBUESN-CQSZACIVSA-N 0 3 323.786 4.246 20 0 DIADHN CCc1oc2ccccc2c1CNC[C@H](OC)c1ccccc1 ZINC000520945689 340477444 /nfs/dbraw/zinc/47/74/44/340477444.db2.gz DFZCFCOJRLFINJ-FQEVSTJZSA-N 0 3 309.409 4.473 20 0 DIADHN CCOc1ccccc1CCNCc1ccccc1OC(C)C ZINC000520959625 340477989 /nfs/dbraw/zinc/47/79/89/340477989.db2.gz XNKSRSMXIOFIIA-UHFFFAOYSA-N 0 3 313.441 4.205 20 0 DIADHN Cc1cncc([C@H](C)NC[C@@H](C)Oc2ccc(Cl)cc2)c1 ZINC000184373813 340492387 /nfs/dbraw/zinc/49/23/87/340492387.db2.gz FEFFUXXGRKIHPD-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cccc2nccn21 ZINC000185087794 340498055 /nfs/dbraw/zinc/49/80/55/340498055.db2.gz PTKOHOFVSZFMNJ-MGPUTAFESA-N 0 3 305.425 4.138 20 0 DIADHN CCSc1cccc(CNCc2ccc(F)c(COC)c2)c1 ZINC000185525563 340502345 /nfs/dbraw/zinc/50/23/45/340502345.db2.gz TTZFWJDQTYPLHZ-UHFFFAOYSA-N 0 3 319.445 4.374 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCCc3c(C)ccc(C)c32)c1 ZINC000186107217 340508358 /nfs/dbraw/zinc/50/83/58/340508358.db2.gz IIHPNZLARLQQHC-FQEVSTJZSA-N 0 3 322.452 4.429 20 0 DIADHN Cc1cc(C)c([C@H](C)NC[C@H](c2ccco2)N(C)C)cc1C ZINC000219859240 340510671 /nfs/dbraw/zinc/51/06/71/340510671.db2.gz VTIDIXSKSAQKHG-FUHWJXTLSA-N 0 3 300.446 4.158 20 0 DIADHN Cc1cc(C)c([C@@H](C)NC[C@@H](c2ccco2)N(C)C)cc1C ZINC000219859086 340510675 /nfs/dbraw/zinc/51/06/75/340510675.db2.gz VTIDIXSKSAQKHG-AEFFLSMTSA-N 0 3 300.446 4.158 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1cccc(Cl)c1 ZINC000219862399 340510794 /nfs/dbraw/zinc/51/07/94/340510794.db2.gz BNUVGYBCJDCCSJ-RHSMWYFYSA-N 0 3 318.848 4.421 20 0 DIADHN COc1ccccc1CNC[C@@H](c1ccccc1)C(F)(F)F ZINC000186433806 340512600 /nfs/dbraw/zinc/51/26/00/340512600.db2.gz DJJMFYRFJBBKEJ-HNNXBMFYSA-N 0 3 309.331 4.131 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000186804072 340515972 /nfs/dbraw/zinc/51/59/72/340515972.db2.gz DPXKQWBDLOBQRK-CJNGLKHVSA-N 0 3 308.466 4.294 20 0 DIADHN CCn1nccc1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000189578357 340537892 /nfs/dbraw/zinc/53/78/92/340537892.db2.gz DDPSMITYSPELCC-OAQYLSRUSA-N 0 3 317.436 4.347 20 0 DIADHN CC(C)COC[C@H](N[C@H](C)CCc1ccco1)c1ccco1 ZINC000152588445 340538992 /nfs/dbraw/zinc/53/89/92/340538992.db2.gz XCRLRDKXIOYGEQ-WBVHZDCISA-N 0 3 305.418 4.197 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCOCC(F)F)cc1 ZINC000189843633 340540468 /nfs/dbraw/zinc/54/04/68/340540468.db2.gz LJJVGZKNULTVHN-GFCCVEGCSA-N 0 3 303.418 4.119 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(C(F)(F)F)cc1)c1cnccn1 ZINC000189910869 340541043 /nfs/dbraw/zinc/54/10/43/340541043.db2.gz GBIABNXYHVKMCE-LBPRGKRZSA-N 0 3 323.362 4.124 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NC[C@H](O)CC(C)(C)C ZINC000223696803 340547241 /nfs/dbraw/zinc/54/72/41/340547241.db2.gz TWHNXPIVXVGTOK-DGCLKSJQSA-N 0 3 312.523 4.197 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1ccc(OCC2CC2)cc1 ZINC000190915874 340548021 /nfs/dbraw/zinc/54/80/21/340548021.db2.gz SJTAAXFBHWIHGF-FQEVSTJZSA-N 0 3 323.436 4.479 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1csc(Cl)c1 ZINC000191286034 340552223 /nfs/dbraw/zinc/55/22/23/340552223.db2.gz IDUPGDGZAZFCSQ-NSHDSACASA-N 0 3 308.834 4.081 20 0 DIADHN Cc1c([C@H](C)NC[C@H](C)Oc2ccc(C)cc2)cnn1C(C)C ZINC000191315122 340552769 /nfs/dbraw/zinc/55/27/69/340552769.db2.gz VWZXFYMSJCSENT-HOTGVXAUSA-N 0 3 315.461 4.199 20 0 DIADHN CCC[C@H](CCO)CN[C@H](C)c1ccc(Cl)cc1Cl ZINC000224362538 340554285 /nfs/dbraw/zinc/55/42/85/340554285.db2.gz AJAWCOPUFUYOSX-VXGBXAGGSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1ccc(F)cc1Br ZINC000224554379 340556595 /nfs/dbraw/zinc/55/65/95/340556595.db2.gz UOCRMLONAFOABT-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN FC(F)(F)c1cccc(CCCCNCc2nccs2)c1 ZINC000192489953 340567285 /nfs/dbraw/zinc/56/72/85/340567285.db2.gz PFHIKOTVGOQQBL-UHFFFAOYSA-N 0 3 314.376 4.274 20 0 DIADHN Cc1cc(CNCCCCc2cccc(C(F)(F)F)c2)on1 ZINC000192681874 340568633 /nfs/dbraw/zinc/56/86/33/340568633.db2.gz KTNOJXKFZQFNBZ-UHFFFAOYSA-N 0 3 312.335 4.114 20 0 DIADHN C[C@H](NCc1cc(Cl)cs1)c1cccc(-n2cccn2)c1 ZINC000193134219 340572854 /nfs/dbraw/zinc/57/28/54/340572854.db2.gz GIFDROPZKAWGNE-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCc3ccc(OC)cc32)cc1 ZINC000193363385 340576746 /nfs/dbraw/zinc/57/67/46/340576746.db2.gz CMUCHKNBGYTPHT-HXUWFJFHSA-N 0 3 310.441 4.294 20 0 DIADHN C[C@@H](NCc1ccnc(N)c1)c1ccc(Oc2ccccc2)cc1 ZINC000193498116 340578739 /nfs/dbraw/zinc/57/87/39/340578739.db2.gz KPXXNKJFBOHLRR-OAHLLOKOSA-N 0 3 319.408 4.307 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H]2CCC[C@H](C)C2)c(CN(C)C)c1 ZINC000522243002 340592126 /nfs/dbraw/zinc/59/21/26/340592126.db2.gz CISINUSGTAZEHH-GOEBONIOSA-N 0 3 302.462 4.212 20 0 DIADHN COC1(CN[C@H](C)c2cc(F)c(Cl)cc2Cl)CCC1 ZINC000227494211 340599508 /nfs/dbraw/zinc/59/95/08/340599508.db2.gz NFSRTQBFHGLTOV-SECBINFHSA-N 0 3 306.208 4.352 20 0 DIADHN CC(C)COCCCNCc1ccc(-c2c(F)cccc2F)o1 ZINC000522380174 340600117 /nfs/dbraw/zinc/60/01/17/340600117.db2.gz YJMYPSXCJLVUGK-UHFFFAOYSA-N 0 3 323.383 4.377 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(-c2c(F)cccc2F)o1 ZINC000522383974 340600306 /nfs/dbraw/zinc/60/03/06/340600306.db2.gz WEIYUISFZFOVSX-INIZCTEOSA-N 0 3 323.383 4.112 20 0 DIADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1cccc(Cl)c1Cl ZINC000229576945 340614378 /nfs/dbraw/zinc/61/43/78/340614378.db2.gz UVGLZKROXKZLHE-ZWNOBZJWSA-N 0 3 304.261 4.441 20 0 DIADHN CC(C)(C)CCC(=O)NCc1ccccc1CN1CCCCC1 ZINC000523085346 340614554 /nfs/dbraw/zinc/61/45/54/340614554.db2.gz UHKPQUXPNBQTRR-UHFFFAOYSA-N 0 3 316.489 4.115 20 0 DIADHN CC[C@H](NCCc1cccc(OC)c1)c1ccc(F)cc1F ZINC000523388061 340622611 /nfs/dbraw/zinc/62/26/11/340622611.db2.gz UIEBGYFEVDYYHP-SFHVURJKSA-N 0 3 305.368 4.257 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1ccccc1Br)C(C)C ZINC000232350449 340631473 /nfs/dbraw/zinc/63/14/73/340631473.db2.gz DLKDQPLWQHMKIC-WFASDCNBSA-N 0 3 314.267 4.161 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000302957093 340631881 /nfs/dbraw/zinc/63/18/81/340631881.db2.gz OBMXURKIIQWOAD-HOTGVXAUSA-N 0 3 312.457 4.185 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCOC(C)(C)C)o1 ZINC000523740461 340637009 /nfs/dbraw/zinc/63/70/09/340637009.db2.gz NZAFNERQEPQCPA-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN CCc1ccc([C@@H](C)CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000303704209 340640062 /nfs/dbraw/zinc/64/00/62/340640062.db2.gz BMGUUCRWWXPZBI-INIZCTEOSA-N 0 3 324.468 4.443 20 0 DIADHN CC(C)[C@@H](CNCc1cc(F)ccc1F)Nc1ccccc1 ZINC000303718614 340640420 /nfs/dbraw/zinc/64/04/20/340640420.db2.gz RFDOEWXMLWUQSH-GOSISDBHSA-N 0 3 304.384 4.191 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)CCC(C)(C)C)c1ccccc1 ZINC000524112277 340647622 /nfs/dbraw/zinc/64/76/22/340647622.db2.gz SMEKPMROCVRULA-HNNXBMFYSA-N 0 3 304.478 4.058 20 0 DIADHN CCCC(O)(CCC)CNCc1nc(-c2ccccc2)cs1 ZINC000236790258 340664986 /nfs/dbraw/zinc/66/49/86/340664986.db2.gz IJJRINSLPOVLNI-UHFFFAOYSA-N 0 3 318.486 4.231 20 0 DIADHN CCCCNC(=O)c1ccc(CNC/C=C/c2ccccc2)cc1 ZINC000236797491 340665291 /nfs/dbraw/zinc/66/52/91/340665291.db2.gz NNWOZJIHAAOKCH-JXMROGBWSA-N 0 3 322.452 4.020 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000237007789 340667278 /nfs/dbraw/zinc/66/72/78/340667278.db2.gz KXKGPKBSYSNBIX-IINYFYTJSA-N 0 3 323.786 4.246 20 0 DIADHN CCc1oc2ccccc2c1CNCc1cccc(COC)c1 ZINC000237094993 340667831 /nfs/dbraw/zinc/66/78/31/340667831.db2.gz TULYNGURKYWLEE-UHFFFAOYSA-N 0 3 309.409 4.431 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000237168903 340668482 /nfs/dbraw/zinc/66/84/82/340668482.db2.gz SBNKVBLXCUZOBG-KGLIPLIRSA-N 0 3 303.377 4.304 20 0 DIADHN COc1ccccc1C[C@@H](C)N[C@@H](C)c1cc(F)ccc1OC ZINC000237204088 340668898 /nfs/dbraw/zinc/66/88/98/340668898.db2.gz RAPSPYNHFSRVPN-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN COc1ccc(CNC2(c3ccc(F)cc3)CCCC2)cc1O ZINC000237343931 340670316 /nfs/dbraw/zinc/67/03/16/340670316.db2.gz VVZAFBUZVHGLKD-UHFFFAOYSA-N 0 3 315.388 4.099 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@H]3CCC[C@H]3C)cs2)cc1 ZINC000237418745 340670848 /nfs/dbraw/zinc/67/08/48/340670848.db2.gz HQENFULLVTVMCT-PBHICJAKSA-N 0 3 316.470 4.309 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000237451546 340671116 /nfs/dbraw/zinc/67/11/16/340671116.db2.gz NMGIJINJXAGCRF-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@H]1CCC[C@H]1NCc1csc(CCc2ccccc2)n1 ZINC000237602811 340672539 /nfs/dbraw/zinc/67/25/39/340672539.db2.gz PYXSICWWGVMFFP-WMLDXEAASA-N 0 3 300.471 4.207 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cc(F)ccc2F)C12CCCC2 ZINC000316060436 340678160 /nfs/dbraw/zinc/67/81/60/340678160.db2.gz JSEDSGZCWSQMCJ-AFAVFJNCSA-N 0 3 309.400 4.353 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NCCOC1CCCCC1 ZINC000316233560 340678797 /nfs/dbraw/zinc/67/87/97/340678797.db2.gz FZTSAEWSEWLDJJ-IBGZPJMESA-N 0 3 303.446 4.012 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1ccc2c(c1)OCO2 ZINC000316612411 340693340 /nfs/dbraw/zinc/69/33/40/340693340.db2.gz JOBIHMPCDOUQRG-BZNIZROVSA-N 0 3 315.800 4.407 20 0 DIADHN Clc1ccc2nc(CN[C@@H]3CC[C@H]4CCC[C@@H]4C3)cn2c1 ZINC000525182659 340693984 /nfs/dbraw/zinc/69/39/84/340693984.db2.gz IYLVFFHSVTWZMY-UMVBOHGHSA-N 0 3 303.837 4.046 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)o1)Oc1ccccc1Cl ZINC000525182479 340694058 /nfs/dbraw/zinc/69/40/58/340694058.db2.gz DTACFWJPLASUTO-JTQLQIEISA-N 0 3 300.185 4.144 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000525246174 340696789 /nfs/dbraw/zinc/69/67/89/340696789.db2.gz RIKDYUVPJAXLPU-UONOGXRCSA-N 0 3 321.848 4.487 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cccc(C3CC3)c2)c1 ZINC000322305333 340704089 /nfs/dbraw/zinc/70/40/89/340704089.db2.gz WZTZKHJNRNQCDY-CQSZACIVSA-N 0 3 308.425 4.373 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(Br)s1 ZINC000393741899 340705443 /nfs/dbraw/zinc/70/54/43/340705443.db2.gz HXJLUTFXFNNTKY-ZETCQYMHSA-N 0 3 316.186 4.331 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1[C@H](C)C[C@H]1C ZINC000525997764 340708078 /nfs/dbraw/zinc/70/80/78/340708078.db2.gz IBQYTGWCCYPTFU-CHWSQXEVSA-N 0 3 317.864 4.184 20 0 DIADHN Cc1ncccc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC000526007771 340708533 /nfs/dbraw/zinc/70/85/33/340708533.db2.gz RAXDVWWWIMUJIF-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3CCCC34CCOCC4)nc2c1 ZINC000528495431 340721280 /nfs/dbraw/zinc/72/12/80/340721280.db2.gz UOGDIENZWYZKNG-MRXNPFEDSA-N 0 3 316.470 4.044 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)C3CC(F)(F)C3)co2)cc1 ZINC000529545280 340762055 /nfs/dbraw/zinc/76/20/55/340762055.db2.gz ORIMYRPYMSTFNN-GFCCVEGCSA-N 0 3 306.356 4.173 20 0 DIADHN COc1ccc([C@H](N[C@H](C)C2CC(F)(F)C2)c2ccco2)cc1 ZINC000529594945 340764504 /nfs/dbraw/zinc/76/45/04/340764504.db2.gz BBUITFAEFLXIJN-PXAZEXFGSA-N 0 3 321.367 4.401 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1ccsc1C(F)(F)F)C1CC1 ZINC000529609952 340764981 /nfs/dbraw/zinc/76/49/81/340764981.db2.gz URCVMBPKTNAFKJ-NOZJJQNGSA-N 0 3 307.381 4.060 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccs1 ZINC000037158753 340767075 /nfs/dbraw/zinc/76/70/75/340767075.db2.gz GUWKBSNQCDOWTO-FZMZJTMJSA-N 0 3 303.431 4.041 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1ccc(Br)o1 ZINC000037777055 340786848 /nfs/dbraw/zinc/78/68/48/340786848.db2.gz SRRAOXFDXJBPPI-GFCCVEGCSA-N 0 3 308.219 4.071 20 0 DIADHN CC[C@H](N[C@H](C)c1oc2ccc(OC)cc2c1C)[C@H]1CCCO1 ZINC000537939167 340791842 /nfs/dbraw/zinc/79/18/42/340791842.db2.gz GMERPKIQPAQPPP-RPVQJOFSSA-N 0 3 317.429 4.358 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](C)c2ccc3c(c2)CCC3)cc1 ZINC000537938271 340791876 /nfs/dbraw/zinc/79/18/76/340791876.db2.gz UBSKQKXAXOBANP-VFNWGFHPSA-N 0 3 309.453 4.122 20 0 DIADHN CO[C@@H](C)[C@@H](C)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000537967181 340793997 /nfs/dbraw/zinc/79/39/97/340793997.db2.gz XLEWOAPDMHTWCF-OLZOCXBDSA-N 0 3 305.368 4.067 20 0 DIADHN FC(F)COc1cccc(CN[C@@H]2CCCc3ccccc32)c1 ZINC000537975684 340794884 /nfs/dbraw/zinc/79/48/84/340794884.db2.gz YIFGZAYMPWTHFP-GOSISDBHSA-N 0 3 317.379 4.498 20 0 DIADHN C[C@H](NCCCOC1CCCC1)c1cc(F)c(F)c(F)c1 ZINC000125267596 340803230 /nfs/dbraw/zinc/80/32/30/340803230.db2.gz JARWCIOZPMGRGI-NSHDSACASA-N 0 3 301.352 4.104 20 0 DIADHN CCn1cc([C@H](C)NCCCOc2ccc3ccccc3c2)cn1 ZINC000125422926 340804010 /nfs/dbraw/zinc/80/40/10/340804010.db2.gz JUMUNCKQXOFAOH-INIZCTEOSA-N 0 3 323.440 4.176 20 0 DIADHN Cc1nc2c(s1)[C@H](NCCOc1c(C)cccc1C)CCC2 ZINC000537985819 340805618 /nfs/dbraw/zinc/80/56/18/340805618.db2.gz CYXAACDWQLRNNA-OAHLLOKOSA-N 0 3 316.470 4.114 20 0 DIADHN Fc1ccc([C@@H](NCc2ccccc2-n2ccnc2)C2CC2)cc1 ZINC000538100006 340809246 /nfs/dbraw/zinc/80/92/46/340809246.db2.gz ALVGTOSZEGXKLZ-FQEVSTJZSA-N 0 3 321.399 4.252 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCSc1ccccc1 ZINC000538165696 340812055 /nfs/dbraw/zinc/81/20/55/340812055.db2.gz SDWCGHIXRMKMIU-ZDUSSCGKSA-N 0 3 305.418 4.277 20 0 DIADHN COc1ncc(CN[C@H](C)c2cccc(C)c2C)cc1Cl ZINC000538186917 340812334 /nfs/dbraw/zinc/81/23/34/340812334.db2.gz DFMRFNQTDQSWKM-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](C)c2cccc(C)c2C)c1 ZINC000538189610 340812505 /nfs/dbraw/zinc/81/25/05/340812505.db2.gz HPUZOYPUWRQGTK-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(C(=O)OC)cc2)cc1 ZINC000538258763 340815367 /nfs/dbraw/zinc/81/53/67/340815367.db2.gz HFKBLITYTJXWNO-UHFFFAOYSA-N 0 3 311.425 4.061 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C(C)(C)C ZINC000538389239 340819938 /nfs/dbraw/zinc/81/99/38/340819938.db2.gz CRMKQGQUXUZPHR-CYBMUJFWSA-N 0 3 307.825 4.304 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(-c3ccncc3)cc2)cn1 ZINC000126397935 340826796 /nfs/dbraw/zinc/82/67/96/340826796.db2.gz IXLBXNZUEKFNMV-HNNXBMFYSA-N 0 3 319.408 4.003 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1ncc(Br)s1 ZINC000126662830 340830321 /nfs/dbraw/zinc/83/03/21/340830321.db2.gz QAYSBIDKGMOQKI-IVZWLZJFSA-N 0 3 303.269 4.135 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000126871534 340838878 /nfs/dbraw/zinc/83/88/78/340838878.db2.gz AKNCBRBSMJQUNP-DCAQKATOSA-N 0 3 306.397 4.477 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3c(c2)OCO3)s1 ZINC000127281955 340848334 /nfs/dbraw/zinc/84/83/34/340848334.db2.gz PHOMSSINBWSXRV-GHMZBOCLSA-N 0 3 318.442 4.154 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)Oc2ccccc2F)s1 ZINC000127366324 340849929 /nfs/dbraw/zinc/84/99/29/340849929.db2.gz ONQNAHHCYCOKEM-NEPJUHHUSA-N 0 3 322.449 4.271 20 0 DIADHN COc1ccc2oc(CNc3ccc(C)cc3CN(C)C)cc2c1 ZINC000127528542 340852384 /nfs/dbraw/zinc/85/23/84/340852384.db2.gz SUJXKFMHKPTEJW-UHFFFAOYSA-N 0 3 324.424 4.424 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@H]2NCCc1nccs1 ZINC000127999977 340859151 /nfs/dbraw/zinc/85/91/51/340859151.db2.gz JBCBJJFLNHNBDO-GFCCVEGCSA-N 0 3 313.253 4.270 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2cc(Cl)cc(Cl)c2)c(C)o1 ZINC000538986009 340864486 /nfs/dbraw/zinc/86/44/86/340864486.db2.gz RVPVZEIQEUJMND-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN CCC(CC)(CO)CN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000539039086 340866070 /nfs/dbraw/zinc/86/60/70/340866070.db2.gz HHJHEVGUMPKFJJ-ZDUSSCGKSA-N 0 3 315.404 4.046 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3ccc(C)cc32)c(C)c1 ZINC000539058210 340868897 /nfs/dbraw/zinc/86/88/97/340868897.db2.gz OXOLUSYQDGEHMO-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCCC[C@@H]2c2ccccc2)s1 ZINC000539060187 340869262 /nfs/dbraw/zinc/86/92/62/340869262.db2.gz NULCJNFBJBHKTC-HZPDHXFCSA-N 0 3 315.486 4.478 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1cccc2c1OCCCO2 ZINC000539062671 340869432 /nfs/dbraw/zinc/86/94/32/340869432.db2.gz ZCSWBNMOLJEWEL-CRAIPNDOSA-N 0 3 323.436 4.494 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccccc2N(C)C)cc1F ZINC000539069942 340870251 /nfs/dbraw/zinc/87/02/51/340870251.db2.gz AUXLCJFJYZKKKJ-CYBMUJFWSA-N 0 3 318.461 4.464 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1C)c1ccc2c(c1)CCC(=O)N2 ZINC000539083536 340871516 /nfs/dbraw/zinc/87/15/16/340871516.db2.gz OGJBAIMIJMEWEF-RXSFTSLZSA-N 0 3 320.436 4.155 20 0 DIADHN C[C@@H](NCCn1cccn1)c1ccc(SC2CCCC2)cc1 ZINC000539090345 340872500 /nfs/dbraw/zinc/87/25/00/340872500.db2.gz MSLDJGTZFBKPJS-OAHLLOKOSA-N 0 3 315.486 4.269 20 0 DIADHN C[C@H](NC[C@H]1CCCc2ccccc21)c1nc2ccccc2n1C ZINC000539163074 340875215 /nfs/dbraw/zinc/87/52/15/340875215.db2.gz BSXIMCHUKQGEPM-DOTOQJQBSA-N 0 3 319.452 4.344 20 0 DIADHN CC(C)[C@H](NCc1cccnc1N(C)C)c1ccc(Cl)cc1 ZINC000539164106 340875262 /nfs/dbraw/zinc/87/52/62/340875262.db2.gz MAINFSBHMSTSII-KRWDZBQOSA-N 0 3 317.864 4.288 20 0 DIADHN CCCCCn1cc(CN[C@H](c2cccnc2)C(C)C)c(C)n1 ZINC000539216714 340876898 /nfs/dbraw/zinc/87/68/98/340876898.db2.gz HDGZRQWRKMADHC-IBGZPJMESA-N 0 3 314.477 4.264 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc3ccccc32)cc1 ZINC000539229801 340877537 /nfs/dbraw/zinc/87/75/37/340877537.db2.gz PFSSGEAYFIZVMC-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)Nc2ccccc2Cl)c1C ZINC000539287235 340879278 /nfs/dbraw/zinc/87/92/78/340879278.db2.gz PEOPOAYYFFLFSH-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN CCN(C(=O)CN[C@H](C)c1cccc(C)c1C)c1ccccc1 ZINC000539285503 340879315 /nfs/dbraw/zinc/87/93/15/340879315.db2.gz CEKSDFSEODTYFH-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN CC[C@@H](CSC)N[C@@H](COC)c1cccc(C(F)(F)F)c1 ZINC000539297256 340879823 /nfs/dbraw/zinc/87/98/23/340879823.db2.gz QCKITSGILKMMRQ-KBPBESRZSA-N 0 3 321.408 4.124 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1ccc2c(c1)OCO2 ZINC000539315410 340880621 /nfs/dbraw/zinc/88/06/21/340880621.db2.gz VDCREHGHUWHDDM-PXAZEXFGSA-N 0 3 313.372 4.283 20 0 DIADHN COC(=O)c1cc(CNC(C)(C)c2cccc(C)c2)c(C)s1 ZINC000539316786 340880667 /nfs/dbraw/zinc/88/06/67/340880667.db2.gz LXGHZILKJZVZCR-UHFFFAOYSA-N 0 3 317.454 4.176 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc(OCC2CC2)c(F)c1)C(F)(F)F ZINC000539328668 340881321 /nfs/dbraw/zinc/88/13/21/340881321.db2.gz WGBHKIYAOQYNHS-QWRGUYRKSA-N 0 3 319.342 4.464 20 0 DIADHN CC(C)c1ccc(CCCN[C@H](c2nccn2C)C2CC2)cc1 ZINC000539393693 340883674 /nfs/dbraw/zinc/88/36/74/340883674.db2.gz ZGTONTGBGXIUSL-IBGZPJMESA-N 0 3 311.473 4.217 20 0 DIADHN CCC[C@@](C)(O)CN[C@@H](C)c1cc2cccc(OCC)c2o1 ZINC000539494753 340887200 /nfs/dbraw/zinc/88/72/00/340887200.db2.gz OOYNMQCUNNXWOY-SCLBCKFNSA-N 0 3 305.418 4.033 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@@H](C)Cn1ccnc1 ZINC000539500065 340887814 /nfs/dbraw/zinc/88/78/14/340887814.db2.gz PKJBEVXLOUULEZ-GJZGRUSLSA-N 0 3 311.429 4.239 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000539506970 340888334 /nfs/dbraw/zinc/88/83/34/340888334.db2.gz DWYKQFNIVDMMMY-VFVRVIDISA-N 0 3 305.368 4.392 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4cc(F)ccc43)CC2)cc1 ZINC000539624453 340893158 /nfs/dbraw/zinc/89/31/58/340893158.db2.gz RUACXIBWWVXTJM-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN Cc1csc(C2(N[C@@H](C)Cc3ccccc3C)CCC2)n1 ZINC000539633163 340893777 /nfs/dbraw/zinc/89/37/77/340893777.db2.gz NVZJEQJZAUUBBY-AWEZNQCLSA-N 0 3 300.471 4.360 20 0 DIADHN Cc1cccc(NC(=O)CN[C@]2(C)CCCc3ccccc32)c1C ZINC000539807276 340900684 /nfs/dbraw/zinc/90/06/84/340900684.db2.gz OGBFAJJENLWGGK-OAQYLSRUSA-N 0 3 322.452 4.083 20 0 DIADHN COc1ccc(C)cc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC000539822267 340902143 /nfs/dbraw/zinc/90/21/43/340902143.db2.gz LAQXDYAGLVNZPX-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN C[C@H](NCCCCCOC1CCCCC1)c1ccc(F)cn1 ZINC000539969824 340911499 /nfs/dbraw/zinc/91/14/99/340911499.db2.gz VTHWLRDULXXNPP-HNNXBMFYSA-N 0 3 308.441 4.391 20 0 DIADHN CSc1cc(CN[C@H](C)c2cc(C)c(F)c(C)c2)ccn1 ZINC000540138960 340916180 /nfs/dbraw/zinc/91/61/80/340916180.db2.gz LGFFAIMYTQEINO-CYBMUJFWSA-N 0 3 304.434 4.410 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2COc3ccccc3C2)cc1Cl ZINC000540138291 340916234 /nfs/dbraw/zinc/91/62/34/340916234.db2.gz BEDPFHVABQTGTP-IUODEOHRSA-N 0 3 317.816 4.003 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](C)c2cc3c(s2)CCC3)cc1 ZINC000540141189 340916406 /nfs/dbraw/zinc/91/64/06/340916406.db2.gz YVYBERFEWAPOTG-GUYCJALGSA-N 0 3 315.482 4.183 20 0 DIADHN CCSc1ccccc1[C@@H](C)N[C@@H](C)COCC(F)(F)F ZINC000540171531 340920195 /nfs/dbraw/zinc/92/01/95/340920195.db2.gz XJOXUNIPTHHEDP-NWDGAFQWSA-N 0 3 321.408 4.417 20 0 DIADHN CC[C@H](O)CCCN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000540184806 340921623 /nfs/dbraw/zinc/92/16/23/340921623.db2.gz UZMPATIDRTZNCV-FUHWJXTLSA-N 0 3 317.473 4.354 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCOc1cccc(C(F)(F)F)c1 ZINC000540190661 340922337 /nfs/dbraw/zinc/92/23/37/340922337.db2.gz KBXZGNYZSNCRBV-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCOc2cc(C)cc(C)c2)s1 ZINC000540189429 340922445 /nfs/dbraw/zinc/92/24/45/340922445.db2.gz BWNNUVLGMRJNCY-CYBMUJFWSA-N 0 3 304.459 4.106 20 0 DIADHN Cc1cc(C)c(CC(=O)Nc2cc(C)cc(CN(C)C)c2)c(C)c1 ZINC000540200821 340923135 /nfs/dbraw/zinc/92/31/35/340923135.db2.gz KKOAPDBSNCPDDO-UHFFFAOYSA-N 0 3 324.468 4.163 20 0 DIADHN Cc1ccsc1CN[C@@H](c1ccc2[nH]c(=O)oc2c1)C(C)C ZINC000540300165 340925787 /nfs/dbraw/zinc/92/57/87/340925787.db2.gz ILIMDNDLCPHTGC-MRXNPFEDSA-N 0 3 316.426 4.390 20 0 DIADHN COc1cc([C@H](C)NCCOC(C)C)ccc1OC1CCCC1 ZINC000540349952 340928044 /nfs/dbraw/zinc/92/80/44/340928044.db2.gz ADLNRPDQUKFGSV-HNNXBMFYSA-N 0 3 321.461 4.092 20 0 DIADHN CCSCCN[C@@H](C)c1ccc(OCC(C)C)c(OC)c1 ZINC000540364837 340928552 /nfs/dbraw/zinc/92/85/52/340928552.db2.gz FXFVUZSXBNZJOT-AWEZNQCLSA-N 0 3 311.491 4.134 20 0 DIADHN COc1cc(F)c([C@@H](C)NC[C@H](C)c2ccccc2)cc1OC ZINC000540386340 340929065 /nfs/dbraw/zinc/92/90/65/340929065.db2.gz PRFJSYIMCXODLH-UONOGXRCSA-N 0 3 317.404 4.297 20 0 DIADHN CCc1ccc(N[C@H](C)c2ccc(OCCN(C)C)cc2)cc1 ZINC000540709858 340942733 /nfs/dbraw/zinc/94/27/33/340942733.db2.gz GACHTPWXYBFVOR-MRXNPFEDSA-N 0 3 312.457 4.363 20 0 DIADHN C[C@@H](NCc1ccccc1OCC(F)(F)F)c1ccccc1 ZINC000129436005 340960367 /nfs/dbraw/zinc/96/03/67/340960367.db2.gz XPKOLMPSMYHXAI-CYBMUJFWSA-N 0 3 309.331 4.479 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000541229270 340962939 /nfs/dbraw/zinc/96/29/39/340962939.db2.gz QKZFSYJYHGFQCG-LXIYXOSZSA-N 0 3 321.823 4.318 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000541558410 340974461 /nfs/dbraw/zinc/97/44/61/340974461.db2.gz OKEICQXFXXWVSC-INIZCTEOSA-N 0 3 324.468 4.383 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(C)c2)c(C)s1 ZINC000541582549 340975835 /nfs/dbraw/zinc/97/58/35/340975835.db2.gz SNKIVSYHBDXEIU-KBPBESRZSA-N 0 3 316.470 4.351 20 0 DIADHN COC[C@@H](NCc1ccc(Oc2ccccc2)c(F)c1)C1CC1 ZINC000541619514 340978034 /nfs/dbraw/zinc/97/80/34/340978034.db2.gz VJMFJEFWPNYOKN-GOSISDBHSA-N 0 3 315.388 4.133 20 0 DIADHN COC(=O)c1cc(CN[C@@H](CC(C)C)c2cccs2)c(C)o1 ZINC000541626845 340978248 /nfs/dbraw/zinc/97/82/48/340978248.db2.gz QPJOHSDAIGBNEN-AWEZNQCLSA-N 0 3 321.442 4.313 20 0 DIADHN CC[C@H](NCC1(c2ccc(F)cc2)CCCC1)c1ccn(C)n1 ZINC000541745057 340982201 /nfs/dbraw/zinc/98/22/01/340982201.db2.gz CWSHIQUCVKZUMY-KRWDZBQOSA-N 0 3 315.436 4.112 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)c1 ZINC000541845140 340985398 /nfs/dbraw/zinc/98/53/98/340985398.db2.gz WFSFLUNSEMNJLT-YCRPNKLZSA-N 0 3 322.452 4.367 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)no1 ZINC000541844555 340985406 /nfs/dbraw/zinc/98/54/06/340985406.db2.gz MTNMPGJRHZYNCR-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@H]3CC(C)(C)OC3(C)C)oc21 ZINC000541846176 340985575 /nfs/dbraw/zinc/98/55/75/340985575.db2.gz SIHXTQUVSOYFDL-WBMJQRKESA-N 0 3 317.429 4.438 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CN(C)Cc3ccccc31)[C@H](C)C2 ZINC000541847790 340985697 /nfs/dbraw/zinc/98/56/97/340985697.db2.gz UNHJFZCMAVSCEI-GQWLDOHISA-N 0 3 306.453 4.005 20 0 DIADHN C[C@@H]1CC[C@H](NCc2csc(-c3ccccn3)n2)[C@H](C)C1 ZINC000070110867 340988763 /nfs/dbraw/zinc/98/87/63/340988763.db2.gz DBRLBQZVKSXBLU-NFAWXSAZSA-N 0 3 301.459 4.119 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](C)c2ccccc2Br)CCO1 ZINC000070349572 340994062 /nfs/dbraw/zinc/99/40/62/340994062.db2.gz KZOHYBSTCHMUSO-YNEHKIRRSA-N 0 3 312.251 4.057 20 0 DIADHN C[C@H]1CCN(CCCc2ccccc2Cl)C[C@@H]1n1ccnc1 ZINC000542208780 341000246 /nfs/dbraw/zinc/00/02/46/341000246.db2.gz BLFRFDMFYFJEGO-YJBOKZPZSA-N 0 3 317.864 4.052 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(F)cc1Cl)N(C)C[C@H]1CC=CCC1 ZINC000542246643 341001737 /nfs/dbraw/zinc/00/17/37/341001737.db2.gz BWBCORRWUURWLP-STQMWFEESA-N 0 3 324.827 4.094 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(F)cc1Cl)N(C)C[C@@H]1CC=CCC1 ZINC000542246642 341001780 /nfs/dbraw/zinc/00/17/80/341001780.db2.gz BWBCORRWUURWLP-QWHCGFSZSA-N 0 3 324.827 4.094 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC[C@]3(CCCOC3)C1)CC2 ZINC000542282317 341003512 /nfs/dbraw/zinc/00/35/12/341003512.db2.gz QWVZULWAIVGLCS-MSOLQXFVSA-N 0 3 305.849 4.220 20 0 DIADHN C[C@H]1C[C@H](CCNCc2c(Cl)oc3ccccc32)CCO1 ZINC000542297951 341003634 /nfs/dbraw/zinc/00/36/34/341003634.db2.gz IGWPEKGMRWLWFH-QWHCGFSZSA-N 0 3 307.821 4.381 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2cc(C(F)(F)F)ccc2F)CCO1 ZINC000542302179 341003776 /nfs/dbraw/zinc/00/37/76/341003776.db2.gz FEBGSQYHMUTYQW-VXGBXAGGSA-N 0 3 319.342 4.139 20 0 DIADHN CS[C@H](CNCc1cnc(-c2ccccn2)s1)C(C)(C)C ZINC000542313365 341004048 /nfs/dbraw/zinc/00/40/48/341004048.db2.gz RLLLNOXQVAHKSU-CQSZACIVSA-N 0 3 321.515 4.072 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccc(F)cc3)o2)CCO[C@@H]1C1CC1 ZINC000542313736 341004241 /nfs/dbraw/zinc/00/42/41/341004241.db2.gz WXTHJYWGEIWEJQ-RTBURBONSA-N 0 3 315.388 4.133 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2cc(Cl)ccc2Cl)CCO1 ZINC000542314119 341004261 /nfs/dbraw/zinc/00/42/61/341004261.db2.gz CLHUSGBOVKPNDD-RYUDHWBXSA-N 0 3 302.245 4.288 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000130170521 341004441 /nfs/dbraw/zinc/00/44/41/341004441.db2.gz OHVLKNUEIKHTBI-GHMZBOCLSA-N 0 3 304.356 4.010 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000542318882 341004701 /nfs/dbraw/zinc/00/47/01/341004701.db2.gz AOOITBZYWWVASN-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN CCC(CC)CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000542475569 341008987 /nfs/dbraw/zinc/00/89/87/341008987.db2.gz ZTJPZKMQQPNKKD-SFHVURJKSA-N 0 3 304.384 4.475 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CC=CCC2)c2cccnc2)c(F)c1 ZINC000542476270 341009052 /nfs/dbraw/zinc/00/90/52/341009052.db2.gz DPJPRGPHDZWHDA-LIRRHRJNSA-N 0 3 314.379 4.395 20 0 DIADHN Fc1ccc([C@@H](NCCc2cccc(F)c2)c2cccnc2)cc1 ZINC000542481319 341009385 /nfs/dbraw/zinc/00/93/85/341009385.db2.gz SFLCVVYCZYAUOC-HXUWFJFHSA-N 0 3 324.374 4.282 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2scnc2C)c1 ZINC000542483494 341009441 /nfs/dbraw/zinc/00/94/41/341009441.db2.gz OVABBBXAPGGTFX-BLLLJJGKSA-N 0 3 302.443 4.043 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1cccc(OC2CCCC2)c1 ZINC000542489034 341010073 /nfs/dbraw/zinc/01/00/73/341010073.db2.gz SHJXMBRHIAWVFF-CQSZACIVSA-N 0 3 318.461 4.113 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccc(C)c(C(F)(F)F)c1 ZINC000542489510 341010176 /nfs/dbraw/zinc/01/01/76/341010176.db2.gz LGPOWPJYWAVUJH-LLVKDONJSA-N 0 3 316.367 4.119 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1ccc(Cl)c2Cl)[C@@H]1CCCO1 ZINC000542494536 341010321 /nfs/dbraw/zinc/01/03/21/341010321.db2.gz OYUMMLZAVCXSAI-FZZIBODNSA-N 0 3 300.229 4.138 20 0 DIADHN Fc1ccc([C@@H](NC2CCC(F)(F)CC2)c2ccccn2)cc1 ZINC000542496384 341010384 /nfs/dbraw/zinc/01/03/84/341010384.db2.gz OCRAXWJQCOTUMU-QGZVFWFLSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000130272589 341011581 /nfs/dbraw/zinc/01/15/81/341011581.db2.gz QSSIVAOFHLRMKN-NJZAAPMLSA-N 0 3 317.479 4.267 20 0 DIADHN c1ccc2c(c1)cccc2OCCN[C@H](c1cccnc1)C1CC1 ZINC000542518927 341011713 /nfs/dbraw/zinc/01/17/13/341011713.db2.gz LBHCBXDTPRPVMG-NRFANRHFSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1csc([C@@H](NC[C@H]2CCc3ccccc3C2)C2CC2)n1 ZINC000542547679 341013076 /nfs/dbraw/zinc/01/30/76/341013076.db2.gz OOPQHCDTQREEQU-KSSFIOAISA-N 0 3 312.482 4.297 20 0 DIADHN Cc1csc([C@@H](NCCCc2ccc(C)cc2)C2CC2)n1 ZINC000542551564 341013165 /nfs/dbraw/zinc/01/31/65/341013165.db2.gz QQDLUMXMMJSVRB-KRWDZBQOSA-N 0 3 300.471 4.433 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)NC(C)(C)c2cccs2)c1 ZINC000542574287 341014182 /nfs/dbraw/zinc/01/41/82/341014182.db2.gz MKZDCDXLNIVHEK-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN CC(C)c1cccc(NC(=O)CNC(C)(C)c2cccs2)c1 ZINC000542573836 341014201 /nfs/dbraw/zinc/01/42/01/341014201.db2.gz VNMPEQDBBLUTQQ-UHFFFAOYSA-N 0 3 316.470 4.335 20 0 DIADHN CC(C)n1cc([C@H](C)NCCCOc2cccc(Cl)c2)cn1 ZINC000542573971 341014228 /nfs/dbraw/zinc/01/42/28/341014228.db2.gz WVHPJZKNJCXMOX-AWEZNQCLSA-N 0 3 321.852 4.237 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)c1 ZINC000542574294 341014239 /nfs/dbraw/zinc/01/42/39/341014239.db2.gz MKZDCDXLNIVHEK-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)cc1 ZINC000542573357 341014284 /nfs/dbraw/zinc/01/42/84/341014284.db2.gz IFGFAZHXKRLNDW-CYBMUJFWSA-N 0 3 316.470 4.162 20 0 DIADHN Clc1ccccc1CCN[C@@H](c1ccccc1)c1cncnc1 ZINC000542668810 341016503 /nfs/dbraw/zinc/01/65/03/341016503.db2.gz QJFXKROBZFSVAJ-IBGZPJMESA-N 0 3 323.827 4.052 20 0 DIADHN Clc1cccc2c1CCC[C@@H]2NCc1ccc2c(c1)OCO2 ZINC000542669928 341016537 /nfs/dbraw/zinc/01/65/37/341016537.db2.gz CWSHFUZDJUBIBU-INIZCTEOSA-N 0 3 315.800 4.236 20 0 DIADHN C[C@H]1CCCCN1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000542697331 341017664 /nfs/dbraw/zinc/01/76/64/341017664.db2.gz KDAHCSIHPSFKMM-NSHDSACASA-N 0 3 305.315 4.141 20 0 DIADHN C[C@@H](NC[C@@H](C)CC1CCCCC1)c1nnc2n1CCCCC2 ZINC000542702168 341017931 /nfs/dbraw/zinc/01/79/31/341017931.db2.gz VCDXNTCSMCQMAR-JKSUJKDBSA-N 0 3 318.509 4.262 20 0 DIADHN COc1ccc(C2(NC/C=C\c3ccc(F)cc3F)CC2)cc1 ZINC000542720855 341018907 /nfs/dbraw/zinc/01/89/07/341018907.db2.gz DCIZJEQZXAJQPC-IHWYPQMZSA-N 0 3 315.363 4.266 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)C1CCC(C)CC1 ZINC000130356648 341019394 /nfs/dbraw/zinc/01/93/94/341019394.db2.gz KOUMXGXOQILFCO-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@H](NCCc1ccc(OC(F)F)cc1)c1ccc(F)cn1 ZINC000542773655 341019876 /nfs/dbraw/zinc/01/98/76/341019876.db2.gz BYCSOSNCGNXWPU-OAHLLOKOSA-N 0 3 324.346 4.106 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC2CCN(Cc3ccccc3)CC2)o1 ZINC000070982401 341020876 /nfs/dbraw/zinc/02/08/76/341020876.db2.gz BGIOFHADFPYSKU-UZLBHIALSA-N 0 3 324.468 4.157 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3coc(-c4ccc(F)cc4)n3)C2)C1 ZINC000542878171 341024808 /nfs/dbraw/zinc/02/48/08/341024808.db2.gz DUMBQFSPDDFOGM-KUHUBIRLSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2c2ccnc3ccccc32)C1 ZINC000542937065 341026812 /nfs/dbraw/zinc/02/68/12/341026812.db2.gz ZYHXFLOXCMGMNE-CQEAQJRCSA-N 0 3 310.441 4.001 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@H](C)[C@H]3CCCC[C@@H]32)c(C)c1C ZINC000542969824 341027497 /nfs/dbraw/zinc/02/74/97/341027497.db2.gz YZKWGTSNAOWQOF-XZJROXQQSA-N 0 3 320.502 4.122 20 0 DIADHN CCOC(=O)CCCCCN(C)[C@H](C)c1ccccc1Cl ZINC000071293425 341028356 /nfs/dbraw/zinc/02/83/56/341028356.db2.gz UXNZHFYURDDSOZ-CQSZACIVSA-N 0 3 311.853 4.456 20 0 DIADHN CCc1cnccc1[C@@H](C)NCCOc1ccccc1Cl ZINC000543051428 341029562 /nfs/dbraw/zinc/02/95/62/341029562.db2.gz FIBDMKGHEYSMJM-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN COC[C@H](NC1CC(c2ccccc2C)C1)c1ccc(F)cc1 ZINC000543063865 341030100 /nfs/dbraw/zinc/03/01/00/341030100.db2.gz FHUGRVAJNGGXPE-NLPFYKDJSA-N 0 3 313.416 4.357 20 0 DIADHN COC[C@H](N[C@H](C)Cc1ccccc1SC)c1ccc(C)o1 ZINC000543079195 341030953 /nfs/dbraw/zinc/03/09/53/341030953.db2.gz YGIZYNWRGZVPSM-CJNGLKHVSA-N 0 3 319.470 4.218 20 0 DIADHN Cc1ccc(C(=O)Nc2ccc(CN(C)C)cc2)c(Cl)c1C ZINC000543095090 341031774 /nfs/dbraw/zinc/03/17/74/341031774.db2.gz JVRHWIDEYGPHGK-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCC[C@H]1c1ccc(F)cc1 ZINC000543140756 341033859 /nfs/dbraw/zinc/03/38/59/341033859.db2.gz RFWIBAGRDGKXKJ-INIZCTEOSA-N 0 3 307.409 4.085 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2C[C@H](C)CC(C)(C)C2)c(C)c1 ZINC000543172933 341035077 /nfs/dbraw/zinc/03/50/77/341035077.db2.gz XSNFXVVHQPDDFQ-PBHICJAKSA-N 0 3 316.489 4.307 20 0 DIADHN CN(Cc1ncc(-c2cccc(F)c2)o1)C[C@H]1CC=CCC1 ZINC000543174893 341035139 /nfs/dbraw/zinc/03/51/39/341035139.db2.gz DZTIWQAZQHUJQJ-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N(C)C[C@H]1CC=CCC1 ZINC000543181017 341035494 /nfs/dbraw/zinc/03/54/94/341035494.db2.gz HNUHNJBPHHMKAT-CABCVRRESA-N 0 3 320.864 4.264 20 0 DIADHN Clc1ccccc1CCCN1CCC(OCC2CC2)CC1 ZINC000543208034 341036879 /nfs/dbraw/zinc/03/68/79/341036879.db2.gz JSKIBPVUIAUFHA-UHFFFAOYSA-N 0 3 307.865 4.164 20 0 DIADHN C[C@@]1(NCc2ccc(Cl)cc2Cl)CCO[C@@H]1C1CC1 ZINC000543241078 341037620 /nfs/dbraw/zinc/03/76/20/341037620.db2.gz CVUCWKRYUARQFD-HUUCEWRRSA-N 0 3 300.229 4.041 20 0 DIADHN C[C@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C(C)(C)C)C1 ZINC000543248488 341038330 /nfs/dbraw/zinc/03/83/30/341038330.db2.gz CGIQCQXKPRTPMQ-VXGBXAGGSA-N 0 3 305.447 4.227 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCSc1ccccc1F ZINC000130674890 341038843 /nfs/dbraw/zinc/03/88/43/341038843.db2.gz LIJJQQBFQCLRKK-HNNXBMFYSA-N 0 3 319.449 4.095 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCSC2)ccc1OCCC(C)C ZINC000543398148 341042257 /nfs/dbraw/zinc/04/22/57/341042257.db2.gz HJNDJESABWWRTL-GDBMZVCRSA-N 0 3 323.502 4.276 20 0 DIADHN CSCCCCN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000543400618 341042389 /nfs/dbraw/zinc/04/23/89/341042389.db2.gz HAHGEYXGZGSNML-SFHVURJKSA-N 0 3 318.461 4.351 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCC[C@@H]1CCO[C@H](C)C1 ZINC000543417865 341043469 /nfs/dbraw/zinc/04/34/69/341043469.db2.gz GBYAISKVCJICJL-HZSPNIEDSA-N 0 3 311.853 4.204 20 0 DIADHN O[C@@H]1CCCC[C@@H]1CCCN[C@H](c1ccco1)c1ccccc1 ZINC000543425385 341043901 /nfs/dbraw/zinc/04/39/01/341043901.db2.gz WLUXBDAGNGEDPE-POAQFYNOSA-N 0 3 313.441 4.290 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1nc2ccc(Cl)cc2n1C ZINC000543427048 341044040 /nfs/dbraw/zinc/04/40/40/341044040.db2.gz QPEQYCYUYNEKST-AAEUAGOBSA-N 0 3 323.893 4.163 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCCS2)c2cccnc2)cc1 ZINC000543428578 341044205 /nfs/dbraw/zinc/04/42/05/341044205.db2.gz AOWNPRCPPHHAGE-ZWKOTPCHSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1ccc(F)c(F)c1 ZINC000543430060 341044359 /nfs/dbraw/zinc/04/43/59/341044359.db2.gz ZNWSRHORAVDLHO-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccc2c3c(cccc31)CC2 ZINC000543431766 341044373 /nfs/dbraw/zinc/04/43/73/341044373.db2.gz SKWLNHOCFNVYEJ-CYBMUJFWSA-N 0 3 310.441 4.044 20 0 DIADHN CN(CCCc1ccncc1)Cc1ccccc1OC(F)(F)F ZINC000543451151 341045404 /nfs/dbraw/zinc/04/54/04/341045404.db2.gz RXUYWKKLRSPWAB-UHFFFAOYSA-N 0 3 324.346 4.045 20 0 DIADHN C[C@@H](NC1(C2CC2)CC1)C(=O)Nc1ccccc1-c1ccccc1 ZINC000543452477 341045564 /nfs/dbraw/zinc/04/55/64/341045564.db2.gz AMMDIPJNCKNTAR-OAHLLOKOSA-N 0 3 320.436 4.213 20 0 DIADHN CCCC[C@H](NCc1nc(-c2cccs2)no1)C1CCC1 ZINC000543455414 341045663 /nfs/dbraw/zinc/04/56/63/341045663.db2.gz UJRHFOYZZNBQIU-ZDUSSCGKSA-N 0 3 305.447 4.247 20 0 DIADHN COCC[C@H](NCCCc1ccccc1Cl)c1ccco1 ZINC000543459208 341045743 /nfs/dbraw/zinc/04/57/43/341045743.db2.gz VNEASKVNBOIJMQ-INIZCTEOSA-N 0 3 307.821 4.233 20 0 DIADHN Cc1cnc([C@H](NCCCc2ccc(C)cc2)C2CC2)s1 ZINC000543461509 341045930 /nfs/dbraw/zinc/04/59/30/341045930.db2.gz IMCNVWHEMQZGCO-QGZVFWFLSA-N 0 3 300.471 4.433 20 0 DIADHN CC[C@H](C)[C@@H](C)NCC(=O)Nc1ccccc1Cc1ccccc1 ZINC000543469078 341046302 /nfs/dbraw/zinc/04/63/02/341046302.db2.gz MDQMRACJESCEKZ-DLBZAZTESA-N 0 3 324.468 4.240 20 0 DIADHN Cc1nc(CN[C@H](Cc2ccc(F)cc2)C(C)C)sc1C ZINC000543479142 341046608 /nfs/dbraw/zinc/04/66/08/341046608.db2.gz QIVICJYAWSHPOP-MRXNPFEDSA-N 0 3 306.450 4.256 20 0 DIADHN CCc1cc(N2CCC3(CCOCC3)[C@H]2C)c2ccccc2n1 ZINC000543484176 341046746 /nfs/dbraw/zinc/04/67/46/341046746.db2.gz USCRLHWWFYNGFU-OAHLLOKOSA-N 0 3 310.441 4.193 20 0 DIADHN CC[C@H](NC[C@H]1CCc2ccccc2C1)c1nc(C)cs1 ZINC000543486084 341046913 /nfs/dbraw/zinc/04/69/13/341046913.db2.gz SDDGNDLWFGEWRO-YOEHRIQHSA-N 0 3 300.471 4.297 20 0 DIADHN COC[C@@H](NCCCc1ccccc1Cl)c1ccc(C)o1 ZINC000543484500 341046915 /nfs/dbraw/zinc/04/69/15/341046915.db2.gz BUCNOUWAXDYXPX-MRXNPFEDSA-N 0 3 307.821 4.151 20 0 DIADHN CC(C)CN(C(=O)[C@@H](C)CCC(C)(C)C)C1CCN(C)CC1 ZINC000543588250 341049517 /nfs/dbraw/zinc/04/95/17/341049517.db2.gz SCIDUCHDFDPOCV-INIZCTEOSA-N 0 3 310.526 4.028 20 0 DIADHN CC(C)CN(C(=O)[C@H](C)CCC(C)(C)C)C1CCN(C)CC1 ZINC000543588252 341049599 /nfs/dbraw/zinc/04/95/99/341049599.db2.gz SCIDUCHDFDPOCV-MRXNPFEDSA-N 0 3 310.526 4.028 20 0 DIADHN C[C@H](CN[C@@H](c1ccccc1)c1cncnc1)C1CCCCC1 ZINC000543592228 341049829 /nfs/dbraw/zinc/04/98/29/341049829.db2.gz CGTFLGDGRCXEPP-UZLBHIALSA-N 0 3 309.457 4.372 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H]1CCCc2c(Cl)cccc21 ZINC000543592405 341049835 /nfs/dbraw/zinc/04/98/35/341049835.db2.gz TYLLRLLACOYVCO-RBUKOAKNSA-N 0 3 315.844 4.349 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CCCc2c(Cl)cccc21 ZINC000543592404 341049855 /nfs/dbraw/zinc/04/98/55/341049855.db2.gz TYLLRLLACOYVCO-OALUTQOASA-N 0 3 315.844 4.349 20 0 DIADHN Cc1c([C@H](C)NC[C@H](C)c2ccccc2)nnn1-c1ccccc1 ZINC000543598610 341050219 /nfs/dbraw/zinc/05/02/19/341050219.db2.gz AJCHPMJSUMLPAK-HOTGVXAUSA-N 0 3 320.440 4.030 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](C)c1nc(C)cs1 ZINC000543599384 341050324 /nfs/dbraw/zinc/05/03/24/341050324.db2.gz WCMMSZINKKLGLS-GXTWGEPZSA-N 0 3 304.459 4.223 20 0 DIADHN COC1CCC(CCNCc2coc(-c3ccccc3)n2)CC1 ZINC000543616158 341051137 /nfs/dbraw/zinc/05/11/37/341051137.db2.gz CZYPZNMVPWNWRY-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)N[C@@H](C)CC(=O)OC(C)(C)C ZINC000543707380 341054464 /nfs/dbraw/zinc/05/44/64/341054464.db2.gz RDXSCXOHOCPQGB-GJZGRUSLSA-N 0 3 321.461 4.245 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccccc2)c(O[C@H]2CCOC2)c1 ZINC000130934650 341054491 /nfs/dbraw/zinc/05/44/91/341054491.db2.gz UCYPUMGIWOELBD-LPHOPBHVSA-N 0 3 311.425 4.014 20 0 DIADHN CC(C)c1ncc(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)s1 ZINC000130983213 341055604 /nfs/dbraw/zinc/05/56/04/341055604.db2.gz LVYUSPZAPBANDA-NWDGAFQWSA-N 0 3 306.397 4.477 20 0 DIADHN C[C@H](O)[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000543748354 341056667 /nfs/dbraw/zinc/05/66/67/341056667.db2.gz VLZRBIAJZGDCDL-ZFWWWQNUSA-N 0 3 319.832 4.193 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccc3c[nH]nc3c1)[C@@H]2C ZINC000543780083 341058371 /nfs/dbraw/zinc/05/83/71/341058371.db2.gz MUPKHKPOIZSUDW-ZIAGYGMSSA-N 0 3 321.424 4.079 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3cnc(-c4ccccc4)nc3)C2)C1 ZINC000543778973 341058402 /nfs/dbraw/zinc/05/84/02/341058402.db2.gz FOYHGTVVCAHHPQ-OXJNMPFZSA-N 0 3 307.441 4.156 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3cnc(-c4ccccc4)nc3)C2)C1 ZINC000543778965 341058446 /nfs/dbraw/zinc/05/84/46/341058446.db2.gz FOYHGTVVCAHHPQ-JXFKEZNVSA-N 0 3 307.441 4.156 20 0 DIADHN Cc1cc(C2CCN([C@H]3CCc4c3cccc4Cl)CC2)n[nH]1 ZINC000543901857 341062857 /nfs/dbraw/zinc/06/28/57/341062857.db2.gz ICZAZPSVMJFGOV-SFHVURJKSA-N 0 3 315.848 4.238 20 0 DIADHN Cc1cc(C2CCN([C@H]3CCc4c3cccc4Cl)CC2)[nH]n1 ZINC000543901857 341062858 /nfs/dbraw/zinc/06/28/58/341062858.db2.gz ICZAZPSVMJFGOV-SFHVURJKSA-N 0 3 315.848 4.238 20 0 DIADHN C[C@@H]1C[C@H](NC(=O)N(c2ccccc2)c2ccccc2)CCN1C ZINC000543907087 341063085 /nfs/dbraw/zinc/06/30/85/341063085.db2.gz UUMPYJZFZNJRNR-IAGOWNOFSA-N 0 3 323.440 4.017 20 0 DIADHN Cc1ccc(CN2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)cc1 ZINC000543915518 341063344 /nfs/dbraw/zinc/06/33/44/341063344.db2.gz AWUAGWXZYFBAAM-HNNXBMFYSA-N 0 3 323.362 4.047 20 0 DIADHN CCCCn1cc(CNC2CC(c3ccc(F)cc3)C2)c(C)n1 ZINC000544004371 341066035 /nfs/dbraw/zinc/06/60/35/341066035.db2.gz LMFGEASXBRTNGC-UHFFFAOYSA-N 0 3 315.436 4.167 20 0 DIADHN COc1ccccc1[C@H](N[C@@H]1CCCSC1)c1ccccc1 ZINC000544035302 341067492 /nfs/dbraw/zinc/06/74/92/341067492.db2.gz DQDSXQAQLTZGKH-VQIMIIECSA-N 0 3 313.466 4.270 20 0 DIADHN COCC[C@H](N[C@H](C)Cc1ccccc1SC)c1ccco1 ZINC000544039809 341067572 /nfs/dbraw/zinc/06/75/72/341067572.db2.gz QJHRMBDIMNSCNQ-ZBFHGGJFSA-N 0 3 319.470 4.300 20 0 DIADHN Cc1nc2ccccc2c(N2CCC[C@H]([C@H]3CCOC3)C2)c1C ZINC000544062072 341068613 /nfs/dbraw/zinc/06/86/13/341068613.db2.gz JVZJOOPDHDHMCZ-IRXDYDNUSA-N 0 3 310.441 4.105 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)nn1)C[C@@H]1CC=CCC1 ZINC000544106465 341070270 /nfs/dbraw/zinc/07/02/70/341070270.db2.gz MESLUVADFZREMW-MRXNPFEDSA-N 0 3 309.413 4.057 20 0 DIADHN CCOc1ccc(C(C)=O)cc1CN(C)C[C@H]1CC=CCC1 ZINC000544114866 341070707 /nfs/dbraw/zinc/07/07/07/341070707.db2.gz LJHNODGNELZRKH-INIZCTEOSA-N 0 3 301.430 4.076 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCCCC(=O)OC(C)(C)C)cc1 ZINC000544119471 341070971 /nfs/dbraw/zinc/07/09/71/341070971.db2.gz CQSRHWWQDDCPAE-OAHLLOKOSA-N 0 3 321.461 4.246 20 0 DIADHN CC(C)(C)OC(=O)CCCNC1(c2cccc(Cl)c2)CC1 ZINC000544119480 341071042 /nfs/dbraw/zinc/07/10/42/341071042.db2.gz DDMMYMSQLCMAGM-UHFFFAOYSA-N 0 3 309.837 4.041 20 0 DIADHN CC[C@H]1CN(C(=O)C(C)C(F)(F)F)c2cccc(Cl)c2O1 ZINC000544123407 341071140 /nfs/dbraw/zinc/07/11/40/341071140.db2.gz KWTGUXKUJJIFOT-BDAKNGLRSA-N 0 3 321.726 4.042 20 0 DIADHN Cc1cnn([C@H]2CCCN([C@H]3CCc4ccc(Cl)cc43)C2)c1 ZINC000544142715 341071929 /nfs/dbraw/zinc/07/19/29/341071929.db2.gz GRMCLDGOQNIREI-WMZOPIPTSA-N 0 3 315.848 4.169 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ncc(-c3ccccc3)s2)CCO1 ZINC000544166718 341072695 /nfs/dbraw/zinc/07/26/95/341072695.db2.gz WWBYBGPDKBDAIV-CABCVRRESA-N 0 3 316.470 4.105 20 0 DIADHN CCCn1c(CNC[C@@H](SC)C(C)(C)C)nc2ccccc21 ZINC000544176619 341072905 /nfs/dbraw/zinc/07/29/05/341072905.db2.gz ZCFUAYKAEFZVDW-MRXNPFEDSA-N 0 3 319.518 4.314 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@H](C(C)(C)C)C2)c(C)c1 ZINC000544187689 341073566 /nfs/dbraw/zinc/07/35/66/341073566.db2.gz FIOWYSAQOLXZJQ-IRXDYDNUSA-N 0 3 316.489 4.307 20 0 DIADHN CCO[C@H](CCN[C@H](c1ccco1)c1ccccc1)C(C)C ZINC000544354586 341077795 /nfs/dbraw/zinc/07/77/95/341077795.db2.gz HHIYTOIBSNNOIV-MJGOQNOKSA-N 0 3 301.430 4.410 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)c1ccccc1F)[C@@H]1CCCO1 ZINC000544359271 341077944 /nfs/dbraw/zinc/07/79/44/341077944.db2.gz LRSJDOSPVYDPNP-YSSFQJQWSA-N 0 3 313.416 4.267 20 0 DIADHN Fc1ccc([C@@H](NCCc2cccs2)c2cccnc2)cc1 ZINC000544362216 341078209 /nfs/dbraw/zinc/07/82/09/341078209.db2.gz JRRHBPPMKXITCY-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN CN(CCc1ccccc1O)Cc1cnc(-c2ccccc2)s1 ZINC000544364937 341078321 /nfs/dbraw/zinc/07/83/21/341078321.db2.gz CUHQNKXRJSJPNP-UHFFFAOYSA-N 0 3 324.449 4.190 20 0 DIADHN COc1cccc(CN(C)C[C@H]2CC=CCC2)c1OC(F)F ZINC000544367416 341078422 /nfs/dbraw/zinc/07/84/22/341078422.db2.gz XLODPEBWFLMRMQ-ZDUSSCGKSA-N 0 3 311.372 4.085 20 0 DIADHN C[C@@H](NCCCNc1ccccc1F)c1cccc(F)c1F ZINC000544374971 341079026 /nfs/dbraw/zinc/07/90/26/341079026.db2.gz IVTGKUXNIKXHBK-GFCCVEGCSA-N 0 3 308.347 4.257 20 0 DIADHN C[C@H](NCCCNc1ccccc1F)c1cccc(F)c1F ZINC000544374972 341079087 /nfs/dbraw/zinc/07/90/87/341079087.db2.gz IVTGKUXNIKXHBK-LBPRGKRZSA-N 0 3 308.347 4.257 20 0 DIADHN Fc1ccc([C@H](NC2CCC(F)(F)CC2)c2ccccc2)nc1 ZINC000544380156 341079232 /nfs/dbraw/zinc/07/92/32/341079232.db2.gz YTWWCJQLLKHUDY-QGZVFWFLSA-N 0 3 320.358 4.478 20 0 DIADHN CC(C)CCC[C@@H](C)NC(=O)CN[C@@H](c1cccs1)C1CC1 ZINC000544397254 341080146 /nfs/dbraw/zinc/08/01/46/341080146.db2.gz AUZZUSDWOMLALH-RDTXWAMCSA-N 0 3 322.518 4.120 20 0 DIADHN Brc1cncc(CN[C@@H](c2cccs2)C2CC2)c1 ZINC000544398090 341080155 /nfs/dbraw/zinc/08/01/55/341080155.db2.gz QWBUAKDDIMQERY-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN C[C@H]1c2ccsc2CCN1CCCOCc1ccccc1 ZINC000544409554 341080870 /nfs/dbraw/zinc/08/08/70/341080870.db2.gz BBNLHGAAHJFTRM-HNNXBMFYSA-N 0 3 301.455 4.274 20 0 DIADHN COCC[C@@H](NCCc1ccc2ccccc2c1)c1ccco1 ZINC000544420425 341081569 /nfs/dbraw/zinc/08/15/69/341081569.db2.gz FFKGJPQBQBIDBX-LJQANCHMSA-N 0 3 309.409 4.343 20 0 DIADHN COC[C@@H](NCc1c(OC(C)C)ccc2ccccc21)C1CC1 ZINC000544437552 341082155 /nfs/dbraw/zinc/08/21/55/341082155.db2.gz DCTJTURXFQFGHS-LJQANCHMSA-N 0 3 313.441 4.142 20 0 DIADHN CC(C)C[C@@H](N[C@H](C)C(=O)Nc1ccccc1)c1cccs1 ZINC000544448434 341082646 /nfs/dbraw/zinc/08/26/46/341082646.db2.gz UHBPFSGNQHWLRB-GDBMZVCRSA-N 0 3 316.470 4.452 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](CC(C)C)c2cccs2)cc1 ZINC000544449889 341082677 /nfs/dbraw/zinc/08/26/77/341082677.db2.gz QURLMPMFTCYKDH-INIZCTEOSA-N 0 3 316.470 4.372 20 0 DIADHN C[C@@H](NCc1c(F)cccc1Cl)[C@H]1COc2ccccc21 ZINC000544521099 341084739 /nfs/dbraw/zinc/08/47/39/341084739.db2.gz GFZQZYAQNGLBJN-BXUZGUMPSA-N 0 3 305.780 4.133 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC2(CCOCC2)[C@@H]1C ZINC000544546422 341085450 /nfs/dbraw/zinc/08/54/50/341085450.db2.gz IHJQJVQZHQXALA-SUMWQHHRSA-N 0 3 309.400 4.307 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccc(Cl)cc1)c1ccn(C)n1 ZINC000544576557 341086748 /nfs/dbraw/zinc/08/67/48/341086748.db2.gz OQNDGWZQZSDJJW-HNNXBMFYSA-N 0 3 305.853 4.092 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](c2cccs2)N(C)C)C2CCC2)o1 ZINC000544580374 341087050 /nfs/dbraw/zinc/08/70/50/341087050.db2.gz RSIJMDJUERKOHI-YJBOKZPZSA-N 0 3 318.486 4.383 20 0 DIADHN Clc1ccc(CCN[C@@H](c2ccccc2)c2cncnc2)cc1 ZINC000544582220 341087249 /nfs/dbraw/zinc/08/72/49/341087249.db2.gz AVKDXQYDNLVJTJ-IBGZPJMESA-N 0 3 323.827 4.052 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(OCC3CC3)nc2)s1 ZINC000131428931 341087377 /nfs/dbraw/zinc/08/73/77/341087377.db2.gz KJBGQOBPOSGQLJ-ZDUSSCGKSA-N 0 3 316.470 4.345 20 0 DIADHN FC(F)(F)CCCCN(Cc1cccs1)C[C@H]1CCCO1 ZINC000131437412 341087719 /nfs/dbraw/zinc/08/77/19/341087719.db2.gz CAJDWALTQUUTFN-CYBMUJFWSA-N 0 3 321.408 4.462 20 0 DIADHN Cc1ccc([C@@H](NCC2([C@H](O)C(C)C)CC2)C2CCCC2)o1 ZINC000544601562 341088119 /nfs/dbraw/zinc/08/81/19/341088119.db2.gz BJEVQMUYCZRMCQ-ZWKOTPCHSA-N 0 3 305.462 4.206 20 0 DIADHN C[C@@H](CC1CCCCC1)CN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000544607556 341088485 /nfs/dbraw/zinc/08/84/85/341088485.db2.gz CFRXQISMOHGPDK-KSFYIVLOSA-N 0 3 321.530 4.462 20 0 DIADHN COC1CCC(CCN[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000544616407 341089423 /nfs/dbraw/zinc/08/94/23/341089423.db2.gz YLXTYJHSPFMALN-CNBHCMJISA-N 0 3 324.468 4.356 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCc3ccc(F)cc32)ccc1F ZINC000131466087 341090441 /nfs/dbraw/zinc/09/04/41/341090441.db2.gz POABCJHDOLRRRF-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)s1 ZINC000544748517 341092745 /nfs/dbraw/zinc/09/27/45/341092745.db2.gz ZXWHPWBPVZLDAV-WCQGTBRESA-N 0 3 318.408 4.481 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000544829934 341095167 /nfs/dbraw/zinc/09/51/67/341095167.db2.gz RCWBUCGWSJWTSS-GXTWGEPZSA-N 0 3 311.351 4.254 20 0 DIADHN CC[C@@H](NC1CCC(C(=O)OC(C)(C)C)CC1)c1nccs1 ZINC000131546366 341095399 /nfs/dbraw/zinc/09/53/99/341095399.db2.gz WCQRCAASBOXVAA-JXQTWKCFSA-N 0 3 324.490 4.084 20 0 DIADHN COc1ccsc1CN[C@H](C)c1ccc2c(c1)CCCC2 ZINC000544836221 341095847 /nfs/dbraw/zinc/09/58/47/341095847.db2.gz XFSXLTYRGGAMFU-CYBMUJFWSA-N 0 3 301.455 4.486 20 0 DIADHN CCN(Cc1ccccc1)C(=O)CN[C@H](C)c1c(C)cccc1C ZINC000544894689 341097669 /nfs/dbraw/zinc/09/76/69/341097669.db2.gz VXKWWACZPCMQFR-GOSISDBHSA-N 0 3 324.468 4.003 20 0 DIADHN CC[C@@](C)(NCCCOc1ccccc1C)c1nccs1 ZINC000072683921 341098064 /nfs/dbraw/zinc/09/80/64/341098064.db2.gz ZWLLRASDQJFFDO-QGZVFWFLSA-N 0 3 304.459 4.135 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000544896207 341098096 /nfs/dbraw/zinc/09/80/96/341098096.db2.gz OCWCSNDWAFOESE-ZDUSSCGKSA-N 0 3 317.408 4.367 20 0 DIADHN c1ccc(-c2noc(C(C3CC3)C3CC3)n2)c(CN2CCCC2)c1 ZINC000544907680 341098965 /nfs/dbraw/zinc/09/89/65/341098965.db2.gz ZMTBVDWCOGZPLO-UHFFFAOYSA-N 0 3 323.440 4.236 20 0 DIADHN C/C=C/C=C/c1nc(-c2ccccc2CN2CCCCC2)no1 ZINC000544915182 341099169 /nfs/dbraw/zinc/09/91/69/341099169.db2.gz AKZJKQSCODSANM-AYFNZWQASA-N 0 3 309.413 4.312 20 0 DIADHN CCN(Cc1ccc(-c2nc([C@@H](C)C3CC3)no2)cc1)C1CC1 ZINC000545093022 341108109 /nfs/dbraw/zinc/10/81/09/341108109.db2.gz VZGCMKLPVFDTLS-ZDUSSCGKSA-N 0 3 311.429 4.234 20 0 DIADHN CC1(CO)CCN(Cc2ccc(-c3cccc(F)c3)s2)CC1 ZINC000545167585 341111052 /nfs/dbraw/zinc/11/10/52/341111052.db2.gz IMNUYXAYRMTOGO-UHFFFAOYSA-N 0 3 319.445 4.149 20 0 DIADHN FC(F)c1ccc(CNC2(c3ccccc3)CCOCC2)cc1 ZINC000545187265 341112418 /nfs/dbraw/zinc/11/24/18/341112418.db2.gz FKQSQDPBKPYIJK-UHFFFAOYSA-N 0 3 317.379 4.420 20 0 DIADHN COCC[C@H](C)N[C@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000545246441 341117141 /nfs/dbraw/zinc/11/71/41/341117141.db2.gz RRZWGBHOPQYMMI-OXJNMPFZSA-N 0 3 313.441 4.108 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H]1CCCc2c(C)ccc(C)c21 ZINC000545310462 341120508 /nfs/dbraw/zinc/12/05/08/341120508.db2.gz PTRMNFXMVJWVNR-VQTJNVASSA-N 0 3 309.453 4.312 20 0 DIADHN COc1ccc([C@H](C)NCc2scnc2C)c2ccccc12 ZINC000132373808 341121593 /nfs/dbraw/zinc/12/15/93/341121593.db2.gz OYMTYOPTQIHJOY-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@@H](NCc1cccs1)CCC2 ZINC000132386563 341121763 /nfs/dbraw/zinc/12/17/63/341121763.db2.gz AODFEIHCIYBQIK-AWEZNQCLSA-N 0 3 312.360 4.329 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1cncc(F)c1 ZINC000545457458 341125898 /nfs/dbraw/zinc/12/58/98/341125898.db2.gz OWMXOFSMGWCFQO-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCC(=O)Nc1ccccc1Cl ZINC000545518436 341128316 /nfs/dbraw/zinc/12/83/16/341128316.db2.gz VUPFRAQGIKFSOG-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)c1ccc(-n2cncn2)cc1 ZINC000545520818 341128410 /nfs/dbraw/zinc/12/84/10/341128410.db2.gz UBQLRZABZJVLRG-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN CCCC[C@H](CC)CN(C)CC(=O)Nc1c(C)cccc1C ZINC000545519709 341128465 /nfs/dbraw/zinc/12/84/65/341128465.db2.gz LJCJKEXZJHBGJK-KRWDZBQOSA-N 0 3 304.478 4.390 20 0 DIADHN C/C(=C/c1nc(-c2ccccc2CN2CCCC2)no1)C1CC1 ZINC000545529439 341129081 /nfs/dbraw/zinc/12/90/81/341129081.db2.gz FXMYLWVUQQBCSK-OWBHPGMISA-N 0 3 309.413 4.146 20 0 DIADHN CC[C@]1(C)C[C@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545531833 341129124 /nfs/dbraw/zinc/12/91/24/341129124.db2.gz FEQGYZGMFALVTR-QFBILLFUSA-N 0 3 311.429 4.236 20 0 DIADHN c1ccc(-c2noc(C3CCCCC3)n2)c(CN2CCCC2)c1 ZINC000545530988 341129174 /nfs/dbraw/zinc/12/91/74/341129174.db2.gz HJJAOKIIASLERY-UHFFFAOYSA-N 0 3 311.429 4.380 20 0 DIADHN C[C@@H]1CC[C@@H](c2nc(-c3ccccc3CN3CCCC3)no2)C1 ZINC000545529798 341129200 /nfs/dbraw/zinc/12/92/00/341129200.db2.gz WPLRGOYRZVEATK-HUUCEWRRSA-N 0 3 311.429 4.236 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc2ccccc2nc1OC ZINC000545559477 341130415 /nfs/dbraw/zinc/13/04/15/341130415.db2.gz IXWRPUQXUBGDQN-CYBMUJFWSA-N 0 3 312.335 4.064 20 0 DIADHN CC[C@@H](N[C@@H](CCO)C(C)(C)C)c1cc(Cl)ccc1OC ZINC000545599195 341132512 /nfs/dbraw/zinc/13/25/12/341132512.db2.gz CHJTWINYIQRHCW-ZBFHGGJFSA-N 0 3 313.869 4.186 20 0 DIADHN C[C@@H](N[C@H](CCO)C(C)(C)C)c1ccccc1OC(F)(F)F ZINC000545599215 341132524 /nfs/dbraw/zinc/13/25/24/341132524.db2.gz DNXTZOUULSLBEY-BXUZGUMPSA-N 0 3 319.367 4.033 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2c(C)cccc2C)c1 ZINC000074242104 341138295 /nfs/dbraw/zinc/13/82/95/341138295.db2.gz YPIMKVKZPKFRSK-UHFFFAOYSA-N 0 3 310.441 4.398 20 0 DIADHN Cc1cc(C)cc(CN[C@H](c2cccs2)C(C)(C)CO)c1 ZINC000564294287 341141277 /nfs/dbraw/zinc/14/12/77/341141277.db2.gz APGAGCYFISJAEZ-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN Cc1csc(NC2CCN(Cc3cc(C)cc(C)c3)CC2)n1 ZINC000546028788 341151972 /nfs/dbraw/zinc/15/19/72/341151972.db2.gz KEPDWUBBNHLGRB-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN CCOCCN(C)C/C(C)=C/c1cccc(C(F)(F)F)c1 ZINC000564299719 341153661 /nfs/dbraw/zinc/15/36/61/341153661.db2.gz TZUJVPFIUBHIJN-JLHYYAGUSA-N 0 3 301.352 4.077 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CCC[C@@H]2CC(C)C)s1 ZINC000546102591 341153913 /nfs/dbraw/zinc/15/39/13/341153913.db2.gz WICHAGBWGCNJND-LLVKDONJSA-N 0 3 306.397 4.481 20 0 DIADHN C[C@@H]1C[C@H](C)N1Cc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000564300489 341155807 /nfs/dbraw/zinc/15/58/07/341155807.db2.gz RBOMHKYXWYSURU-GASCZTMLSA-N 0 3 310.445 4.043 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2c(C)cccc2C)cc1F ZINC000546225752 341157826 /nfs/dbraw/zinc/15/78/26/341157826.db2.gz PBIUIUYVWNHJRC-AWEZNQCLSA-N 0 3 315.388 4.080 20 0 DIADHN c1ccc2c(c1)nc(CN[C@@H]1CCCC[C@H]1C1CC1)n2C1CC1 ZINC000546266293 341160360 /nfs/dbraw/zinc/16/03/60/341160360.db2.gz RUZCUBWMTZYYKE-DLBZAZTESA-N 0 3 309.457 4.430 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(Cl)cc1)c1ccco1 ZINC000075114404 341165627 /nfs/dbraw/zinc/16/56/27/341165627.db2.gz CAFVYGUGCQFEAX-INIZCTEOSA-N 0 3 306.837 4.106 20 0 DIADHN CC(C)CCc1noc([C@@H]2CCCN(Cc3ccccc3)C2)n1 ZINC000546407357 341167105 /nfs/dbraw/zinc/16/71/05/341167105.db2.gz LKOWQTXXQQQPBY-QGZVFWFLSA-N 0 3 313.445 4.038 20 0 DIADHN C1=CCC(c2nc(-c3ccccc3CN3CCCCC3)no2)C1 ZINC000546405993 341167110 /nfs/dbraw/zinc/16/71/10/341167110.db2.gz PHUHEUKEEZDDST-UHFFFAOYSA-N 0 3 309.413 4.156 20 0 DIADHN CC[C@@H](C)C[C@@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000075162416 341168915 /nfs/dbraw/zinc/16/89/15/341168915.db2.gz UMJOQTRCFTXTSB-ZIAGYGMSSA-N 0 3 316.445 4.049 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(F)c(OC)c1)c1ccccc1 ZINC000564306307 341176747 /nfs/dbraw/zinc/17/67/47/341176747.db2.gz BESXCWHYKYBPHB-KUHUBIRLSA-N 0 3 317.404 4.263 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1cc2ccccc2o1)C(=O)OC ZINC000546871608 341184461 /nfs/dbraw/zinc/18/44/61/341184461.db2.gz ARVGZWHUJNBRIU-ZDUSSCGKSA-N 0 3 303.402 4.063 20 0 DIADHN CC(C)[C@H](N[C@H]1CCC[C@H](C(C)(C)C)CC1)c1nncn1C ZINC000564311358 341186485 /nfs/dbraw/zinc/18/64/85/341186485.db2.gz UGUIYODRALVQPN-JYJNAYRXSA-N 0 3 306.498 4.097 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000546935779 341187483 /nfs/dbraw/zinc/18/74/83/341187483.db2.gz PPHXNAXQOIRSRJ-ZDUSSCGKSA-N 0 3 317.408 4.367 20 0 DIADHN c1ccc(-c2noc([C@@H]3CC=CCC3)n2)c(CN2CCCC2)c1 ZINC000546941076 341188010 /nfs/dbraw/zinc/18/80/10/341188010.db2.gz SOZLPKBKBROBMP-OAHLLOKOSA-N 0 3 309.413 4.156 20 0 DIADHN c1ccc(-c2noc(C34CCC(CC3)C4)n2)c(CN2CCCC2)c1 ZINC000546943518 341188088 /nfs/dbraw/zinc/18/80/88/341188088.db2.gz GMLIEWPLOSGIGQ-UHFFFAOYSA-N 0 3 323.440 4.164 20 0 DIADHN CCSCCNCc1ccc(-c2nc3ccccc3[nH]2)cc1 ZINC000547037355 341193077 /nfs/dbraw/zinc/19/30/77/341193077.db2.gz QOWCUJJRXSPDJN-UHFFFAOYSA-N 0 3 311.454 4.073 20 0 DIADHN CC(C)C[C@H](CCO)CNCc1ccc(-c2ccccc2)o1 ZINC000236752677 341198510 /nfs/dbraw/zinc/19/85/10/341198510.db2.gz GKFNTGJYDNPMES-INIZCTEOSA-N 0 3 301.430 4.081 20 0 DIADHN CC(C)[C@H](O)CCNCc1ccc(-c2ccccc2Cl)o1 ZINC000236816688 341201746 /nfs/dbraw/zinc/20/17/46/341201746.db2.gz RLJWWXIQRMNCJS-MRXNPFEDSA-N 0 3 307.821 4.097 20 0 DIADHN CC(C)c1nc(CCNCc2ccc([C@H]3C[C@H]3C)o2)cs1 ZINC000236893527 341204021 /nfs/dbraw/zinc/20/40/21/341204021.db2.gz XEKDOECMBLDJAC-DOMZBBRYSA-N 0 3 304.459 4.315 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@@H](C)Cn2cccn2)cc1 ZINC000134014134 341204503 /nfs/dbraw/zinc/20/45/03/341204503.db2.gz VNDFOJDBHQZFTI-LSDHHAIUSA-N 0 3 303.475 4.123 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2ccc(F)c(F)c2)cc1 ZINC000236936498 341204788 /nfs/dbraw/zinc/20/47/88/341204788.db2.gz TWZOQFAIGQPNGS-UHFFFAOYSA-N 0 3 305.368 4.388 20 0 DIADHN C[C@@H](NCCC(C)(C)O)c1ccc(Oc2ccccc2)c(F)c1 ZINC000547317959 341205242 /nfs/dbraw/zinc/20/52/42/341205242.db2.gz QZZHNZVCOUDRDJ-CQSZACIVSA-N 0 3 317.404 4.430 20 0 DIADHN Clc1ccccc1-c1ccc(CNCCc2cscn2)o1 ZINC000076331364 341205660 /nfs/dbraw/zinc/20/56/60/341205660.db2.gz SZYIPBXVIWVMQO-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)s1 ZINC000547333760 341206594 /nfs/dbraw/zinc/20/65/94/341206594.db2.gz PSVLJWKCSMUPAT-JTQLQIEISA-N 0 3 300.349 4.241 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CNCc1ccn(C)c1 ZINC000547363267 341208906 /nfs/dbraw/zinc/20/89/06/341208906.db2.gz VCCCCAQAWIUIOT-UHFFFAOYSA-N 0 3 308.425 4.255 20 0 DIADHN CCCCOc1ccccc1CN1CCC(OC(C)C)CC1 ZINC000547361375 341208923 /nfs/dbraw/zinc/20/89/23/341208923.db2.gz FSAHNGZVUXNTJY-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3cc[nH]c32)c(OC)c1 ZINC000237316416 341211541 /nfs/dbraw/zinc/21/15/41/341211541.db2.gz UJIKVEUMPBSTMM-ZDUSSCGKSA-N 0 3 310.397 4.036 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@@H](c1ccccc1)c1ccccn1 ZINC000237360805 341212554 /nfs/dbraw/zinc/21/25/54/341212554.db2.gz ZTJMYHPBSMXNNM-JXFKEZNVSA-N 0 3 306.409 4.375 20 0 DIADHN Cc1csc(NC2CCN(Cc3cc(C)sc3C)CC2)n1 ZINC000547443258 341214068 /nfs/dbraw/zinc/21/40/68/341214068.db2.gz TUKDXECINUANJR-UHFFFAOYSA-N 0 3 321.515 4.206 20 0 DIADHN CC(C)(NCc1ccc(OC(F)F)cc1)c1cccc(F)c1 ZINC000076901988 341215489 /nfs/dbraw/zinc/21/54/89/341215489.db2.gz BYSMRBNJNYZVKT-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN CC[C@@H](CCO)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000152643334 341217320 /nfs/dbraw/zinc/21/73/20/341217320.db2.gz IQXZKMNCMCYHSL-ZDUSSCGKSA-N 0 3 307.821 4.098 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@]2(C)CCCO2)o1 ZINC000237654194 341217393 /nfs/dbraw/zinc/21/73/93/341217393.db2.gz XVVKJGGPKDIPCH-SFHVURJKSA-N 0 3 303.377 4.053 20 0 DIADHN FC(F)(F)c1ccn(-c2ccc(CN[C@@H]3C=CCCC3)cc2)n1 ZINC000237703028 341218540 /nfs/dbraw/zinc/21/85/40/341218540.db2.gz DDRVQKNITFTYMH-CQSZACIVSA-N 0 3 321.346 4.089 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H]3[C@@H]4CCO[C@@H]4C3(C)C)o2)cc1 ZINC000237729363 341219218 /nfs/dbraw/zinc/21/92/18/341219218.db2.gz IQDYPTKOLPWCIJ-UHOSZYNNSA-N 0 3 311.425 4.158 20 0 DIADHN CS[C@@H]1CCCCN(Cc2nc(-c3ccccc3)oc2C)C1 ZINC000134604276 341219516 /nfs/dbraw/zinc/21/95/16/341219516.db2.gz UQUVXABXACDSRW-MRXNPFEDSA-N 0 3 316.470 4.368 20 0 DIADHN c1sc(CNCC2CCSCC2)nc1-c1ccccc1 ZINC000134610045 341219595 /nfs/dbraw/zinc/21/95/95/341219595.db2.gz XCSDDZKFRVSERX-UHFFFAOYSA-N 0 3 304.484 4.043 20 0 DIADHN FC(F)COc1ccc(CNC2(c3ccccc3)CCC2)cc1 ZINC000237782374 341220505 /nfs/dbraw/zinc/22/05/05/341220505.db2.gz OWHWEVBALPXBEZ-UHFFFAOYSA-N 0 3 317.379 4.500 20 0 DIADHN CCC[C@H](N[C@@H]1C=C[C@H](CO)C1)c1ccc(Cl)c(Cl)c1 ZINC000134646014 341220797 /nfs/dbraw/zinc/22/07/97/341220797.db2.gz BOEQQPVPFAVTHX-GHJWDPDVSA-N 0 3 314.256 4.361 20 0 DIADHN Cc1ccc(CN[C@H]2CCCN(c3ccccc3Cl)C2)o1 ZINC000237805616 341220951 /nfs/dbraw/zinc/22/09/51/341220951.db2.gz TWPBIRZIEZJRBA-AWEZNQCLSA-N 0 3 304.821 4.000 20 0 DIADHN OCCCCCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000134661551 341221408 /nfs/dbraw/zinc/22/14/08/341221408.db2.gz SPPFYRSLUIEHKA-GOSISDBHSA-N 0 3 321.823 4.321 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1)c1nc2c(s1)CCC2 ZINC000134676820 341221554 /nfs/dbraw/zinc/22/15/54/341221554.db2.gz GMPUGRWBTUNRSA-NSHDSACASA-N 0 3 306.862 4.179 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1ccc(OC(F)F)c(F)c1 ZINC000564324323 341221749 /nfs/dbraw/zinc/22/17/49/341221749.db2.gz BORXFVUIBYEZRF-NSHDSACASA-N 0 3 307.381 4.001 20 0 DIADHN Cc1cccc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)c1 ZINC000237845189 341221814 /nfs/dbraw/zinc/22/18/14/341221814.db2.gz ILTWILBRWQGIFT-HXUWFJFHSA-N 0 3 309.409 4.007 20 0 DIADHN O=C(Nc1ccccc1)[C@H](NC1CCCCC1)c1ccccc1 ZINC000237917852 341223017 /nfs/dbraw/zinc/22/30/17/341223017.db2.gz PFXYOCPXAOFCOU-LJQANCHMSA-N 0 3 308.425 4.289 20 0 DIADHN COc1ccc(-c2nc(CN(C(C)C)C3CCC3)co2)cc1 ZINC000077357431 341223561 /nfs/dbraw/zinc/22/35/61/341223561.db2.gz RKDWMMWEXMCFQY-UHFFFAOYSA-N 0 3 300.402 4.113 20 0 DIADHN CC(C)N(Cc1coc(-c2ccc(F)c(F)c2)n1)C1CCC1 ZINC000077357038 341223642 /nfs/dbraw/zinc/22/36/42/341223642.db2.gz CFCBCTIYWBZZMV-UHFFFAOYSA-N 0 3 306.356 4.383 20 0 DIADHN COc1cccc(Cl)c1CNCC(C)(C)c1cccs1 ZINC000134785204 341224034 /nfs/dbraw/zinc/22/40/34/341224034.db2.gz ZISIRFRUBJWAPK-UHFFFAOYSA-N 0 3 309.862 4.478 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1F)c1nc2c(s1)CCC2 ZINC000134800040 341224322 /nfs/dbraw/zinc/22/43/22/341224322.db2.gz BBCKGLGWXALMKP-NWDGAFQWSA-N 0 3 304.434 4.053 20 0 DIADHN CSCC[C@H](C)N(C)Cc1ccc(Br)s1 ZINC000134850699 341225159 /nfs/dbraw/zinc/22/51/59/341225159.db2.gz NHQPHRYPMSYDED-VIFPVBQESA-N 0 3 308.310 4.084 20 0 DIADHN CN(CCC1CC1)Cc1cccc(C(=O)Nc2ccccc2)c1 ZINC000135264894 341234858 /nfs/dbraw/zinc/23/48/58/341234858.db2.gz IJVHPGWAHUYHBH-UHFFFAOYSA-N 0 3 308.425 4.171 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)[C@H](C)c2cccs2)c1 ZINC000078061948 341234978 /nfs/dbraw/zinc/23/49/78/341234978.db2.gz ZFGMJSKOHPBTOE-CQSZACIVSA-N 0 3 316.470 4.332 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)[C@@H](C)c2ccsc2)c1 ZINC000078061950 341235007 /nfs/dbraw/zinc/23/50/07/341235007.db2.gz GEHKYOMAVRUJOX-AWEZNQCLSA-N 0 3 316.470 4.332 20 0 DIADHN COc1ccc(CN(C)C)cc1NC[C@H](C)Cc1ccccc1 ZINC000547839796 341236981 /nfs/dbraw/zinc/23/69/81/341236981.db2.gz WAFWHFSQSVOTOO-MRXNPFEDSA-N 0 3 312.457 4.048 20 0 DIADHN CCOc1ccc([C@@H](N[C@H](CC)COC)c2ccccc2)cc1 ZINC000547868261 341238484 /nfs/dbraw/zinc/23/84/84/341238484.db2.gz YLPYCVWIQUJSSJ-QUCCMNQESA-N 0 3 313.441 4.189 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)o1 ZINC000547947503 341240173 /nfs/dbraw/zinc/24/01/73/341240173.db2.gz DUTPAKVUXNWRFB-IPMKNSEASA-N 0 3 310.441 4.395 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000078539164 341243506 /nfs/dbraw/zinc/24/35/06/341243506.db2.gz FWTSJCZAMCTKFJ-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN CCC(CC)[C@H](NCc1ccc(OC)nc1)c1cccs1 ZINC000548033040 341243960 /nfs/dbraw/zinc/24/39/60/341243960.db2.gz NLAUBFYCLUOLET-KRWDZBQOSA-N 0 3 304.459 4.419 20 0 DIADHN CCC(CC)CN(CC)C(=O)c1ccc(CN2CCCC2)cc1 ZINC000548082607 341246146 /nfs/dbraw/zinc/24/61/46/341246146.db2.gz VXTAXOYOLONRQB-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN C[C@@H](c1cccc(NC(=O)c2ccccc2)c1)N1[C@H](C)C[C@H]1C ZINC000548098658 341247213 /nfs/dbraw/zinc/24/72/13/341247213.db2.gz SZXKMLYPQAOAIE-OAGGEKHMSA-N 0 3 308.425 4.483 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccccc1CCC(C)C ZINC000548120740 341248024 /nfs/dbraw/zinc/24/80/24/341248024.db2.gz FOPUFSLTGSFBMC-HNNXBMFYSA-N 0 3 304.478 4.380 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000548160592 341250328 /nfs/dbraw/zinc/25/03/28/341250328.db2.gz RUCSIVWIAOKNCY-STQMWFEESA-N 0 3 305.447 4.247 20 0 DIADHN Cc1nc2cc(NC3CCN(Cc4ccccc4)CC3)ccc2o1 ZINC000079121617 341253907 /nfs/dbraw/zinc/25/39/07/341253907.db2.gz HBVRWDJBMRIYMY-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN OCC[C@H]1CCC[C@@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000548299683 341254946 /nfs/dbraw/zinc/25/49/46/341254946.db2.gz AGYYGOKOZFFRTO-DYVFJYSZSA-N 0 3 319.832 4.241 20 0 DIADHN CN(C)Cc1ccc(NC(=O)Nc2ccc(F)c(Cl)c2)cc1 ZINC000548481007 341263050 /nfs/dbraw/zinc/26/30/50/341263050.db2.gz PDDLFCMCBBPETL-UHFFFAOYSA-N 0 3 321.783 4.185 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(OCC3CCCCC3)CC2)O1 ZINC000548484899 341263374 /nfs/dbraw/zinc/26/33/74/341263374.db2.gz BLOCMBGGUIOPSZ-SFHVURJKSA-N 0 3 309.494 4.005 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)CCOCC(F)(F)F ZINC000079710475 341264187 /nfs/dbraw/zinc/26/41/87/341264187.db2.gz IBZTXFMIGLXETG-NSHDSACASA-N 0 3 301.308 4.005 20 0 DIADHN Fc1c(Cl)cccc1CN[C@@H]1CCOC2(CCCCC2)C1 ZINC000136719271 341265856 /nfs/dbraw/zinc/26/58/56/341265856.db2.gz NPCKQRRIVDRDIH-CQSZACIVSA-N 0 3 311.828 4.451 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H]2CCOC3(CCCCC3)C2)o1 ZINC000136729398 341266228 /nfs/dbraw/zinc/26/62/28/341266228.db2.gz YEOWFDRDUHBOGZ-BFYDXBDKSA-N 0 3 303.446 4.375 20 0 DIADHN CCN(Cc1cccc(OC)c1OC)[C@@H](C)Cc1ccsc1 ZINC000548640732 341268367 /nfs/dbraw/zinc/26/83/67/341268367.db2.gz NKGUVKNPDKNCSR-AWEZNQCLSA-N 0 3 319.470 4.218 20 0 DIADHN CCc1cccc(NC(=O)c2ccc(CN3CCCC3)cc2)c1 ZINC000548735364 341271222 /nfs/dbraw/zinc/27/12/22/341271222.db2.gz WTQXFFHTGMWDAS-UHFFFAOYSA-N 0 3 308.425 4.097 20 0 DIADHN CC[C@@H](N[C@@H](C)CCc1ccc(OC)cc1)c1nccs1 ZINC000080258193 341271707 /nfs/dbraw/zinc/27/17/07/341271707.db2.gz WRBRBCXLZRDIDJ-XJKSGUPXSA-N 0 3 304.459 4.214 20 0 DIADHN CCC(C)(C)N(C)Cc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000548755951 341272642 /nfs/dbraw/zinc/27/26/42/341272642.db2.gz IRWAGSSTPCECQW-UHFFFAOYSA-N 0 3 318.442 4.159 20 0 DIADHN Clc1cc(CN[C@@H]2CCC23CCOCC3)c(Cl)s1 ZINC000548792297 341275853 /nfs/dbraw/zinc/27/58/53/341275853.db2.gz XVZNNDCJYYSPTP-SNVBAGLBSA-N 0 3 306.258 4.104 20 0 DIADHN C[C@@H](N[C@@H]1CCC12CCOCC2)c1nc(C(C)(C)C)cs1 ZINC000548860696 341278623 /nfs/dbraw/zinc/27/86/23/341278623.db2.gz GFHFULJYHHKDOW-CHWSQXEVSA-N 0 3 308.491 4.050 20 0 DIADHN C[C@@H](N[C@H]1CCC12CCOCC2)c1nc(C(C)(C)C)cs1 ZINC000548860697 341278688 /nfs/dbraw/zinc/27/86/88/341278688.db2.gz GFHFULJYHHKDOW-OLZOCXBDSA-N 0 3 308.491 4.050 20 0 DIADHN FC(F)(F)C[C@@H](N[C@@H]1CCC12CCOCC2)c1ccccc1 ZINC000548861210 341278705 /nfs/dbraw/zinc/27/87/05/341278705.db2.gz PNEYJMYWIQHTTA-HUUCEWRRSA-N 0 3 313.363 4.229 20 0 DIADHN CCc1ccc(-c2ccc(CN3CCC4(CCO4)CC3)o2)cc1 ZINC000548876259 341279279 /nfs/dbraw/zinc/27/92/79/341279279.db2.gz VGJXIERFYJFXRR-UHFFFAOYSA-N 0 3 311.425 4.264 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)NC[C@H]2CCCN(C)C2)CC1 ZINC000548898106 341280236 /nfs/dbraw/zinc/28/02/36/341280236.db2.gz WHKYHQWRXINOTN-LXPRWKDFSA-N 0 3 322.537 4.077 20 0 DIADHN CO[C@H](CN1CC[C@H]1c1ccccc1)c1ccc(Cl)cc1 ZINC000548918461 341280948 /nfs/dbraw/zinc/28/09/48/341280948.db2.gz SQVIRVYGAVZPBV-ZWKOTPCHSA-N 0 3 301.817 4.475 20 0 DIADHN CCc1ccc([C@H]2CCCCN2CCCO[C@@H]2CCCCO2)o1 ZINC000548919222 341280990 /nfs/dbraw/zinc/28/09/90/341280990.db2.gz NSAOPILZABCRTN-IEBWSBKVSA-N 0 3 321.461 4.302 20 0 DIADHN COc1cccc(CNCC(C)(C)C(F)(F)F)c1OC(C)C ZINC000548973538 341282678 /nfs/dbraw/zinc/28/26/78/341282678.db2.gz VDMMXXUDWDNTEO-UHFFFAOYSA-N 0 3 319.367 4.161 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2csc(C(C)(C)C)n2)C[C@H](C)O1 ZINC000548993262 341283068 /nfs/dbraw/zinc/28/30/68/341283068.db2.gz IRKXWIPWCBJPII-BTTYYORXSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1cc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)c(C)s1 ZINC000548992046 341283165 /nfs/dbraw/zinc/28/31/65/341283165.db2.gz SMUJBPMFMGKPRE-QGZVFWFLSA-N 0 3 322.905 4.411 20 0 DIADHN CCCN(Cc1sccc1Cl)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000549041082 341284485 /nfs/dbraw/zinc/28/44/85/341284485.db2.gz VOMYKPCBVXRLTC-NILFDRSVSA-N 0 3 313.894 4.427 20 0 DIADHN CCCN(Cc1sccc1Cl)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000549041079 341284518 /nfs/dbraw/zinc/28/45/18/341284518.db2.gz VOMYKPCBVXRLTC-DFBGVHRSSA-N 0 3 313.894 4.427 20 0 DIADHN FC(F)O[C@H]1CCN(Cc2ccc(-c3ccsc3)cc2)C1 ZINC000549077374 341285418 /nfs/dbraw/zinc/28/54/18/341285418.db2.gz JOOXONSQXZUGSU-HNNXBMFYSA-N 0 3 309.381 4.229 20 0 DIADHN COCC[C@H](C)N1CC[C@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC000549187417 341288411 /nfs/dbraw/zinc/28/84/11/341288411.db2.gz NOTMDYMKSXAWKN-SWLSCSKDSA-N 0 3 319.342 4.001 20 0 DIADHN Cc1cc([C@H]2CCCN(Cc3cc4c(ccc(C)c4C)[nH]3)C2)n[nH]1 ZINC000549422934 341294131 /nfs/dbraw/zinc/29/41/31/341294131.db2.gz TZOWAJFVMRAYJI-INIZCTEOSA-N 0 3 322.456 4.196 20 0 DIADHN Cc1cc([C@H]2CCCN(Cc3cc4c(ccc(C)c4C)[nH]3)C2)[nH]n1 ZINC000549422934 341294132 /nfs/dbraw/zinc/29/41/32/341294132.db2.gz TZOWAJFVMRAYJI-INIZCTEOSA-N 0 3 322.456 4.196 20 0 DIADHN COC(=O)c1cccc(CN(Cc2ccco2)C2CCCC2)c1 ZINC000549468620 341295387 /nfs/dbraw/zinc/29/53/87/341295387.db2.gz NRQNKDYGLVRUEZ-UHFFFAOYSA-N 0 3 313.397 4.011 20 0 DIADHN COc1cc(OC)cc(C2(F)CCN([C@@H]3C=CCCC3)CC2)c1 ZINC000549473771 341295435 /nfs/dbraw/zinc/29/54/35/341295435.db2.gz WFUFWIRMHLQXNN-MRXNPFEDSA-N 0 3 319.420 4.073 20 0 DIADHN C[C@H](c1ccc([S@@](C)=O)cc1)N(C)C[C@@H](C)c1ccccc1 ZINC000245477614 341296239 /nfs/dbraw/zinc/29/62/39/341296239.db2.gz UNZHXMDHJKCXNV-XCGNWRKASA-N 0 3 315.482 4.221 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N[C@@H](C)[C@H](C)c1ccccc1 ZINC000245480376 341296465 /nfs/dbraw/zinc/29/64/65/341296465.db2.gz XFUNUBOLPIHADE-BZSNNMDCSA-N 0 3 324.468 4.412 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCO[C@H]2c2ccccc2)ccc1F ZINC000245511107 341298501 /nfs/dbraw/zinc/29/85/01/341298501.db2.gz XNKWPFJRWNBHKV-IRWQIABSSA-N 0 3 315.388 4.015 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)[C@@H]2C[C@H]2c2ccccc2)[n-]1 ZINC000549610771 341298843 /nfs/dbraw/zinc/29/88/43/341298843.db2.gz KURBXUUAICQUSJ-JBJRXJHCSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000549610771 341298844 /nfs/dbraw/zinc/29/88/44/341298844.db2.gz KURBXUUAICQUSJ-JBJRXJHCSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)[C@@H]2C[C@H]2c2ccccc2)[n-]1 ZINC000549610773 341298869 /nfs/dbraw/zinc/29/88/69/341298869.db2.gz KURBXUUAICQUSJ-ZQQZQOJVSA-N 0 3 312.461 4.161 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)N[C@H](C)[C@@H]2C[C@H]2c2ccccc2)[nH]1 ZINC000549610773 341298870 /nfs/dbraw/zinc/29/88/70/341298870.db2.gz KURBXUUAICQUSJ-ZQQZQOJVSA-N 0 3 312.461 4.161 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2N[C@H]2C=CCCCCC2)cc1F ZINC000549612763 341298948 /nfs/dbraw/zinc/29/89/48/341298948.db2.gz ZWUXKNNOSQMPDD-QGTPRVQTSA-N 0 3 307.384 4.273 20 0 DIADHN Cc1nocc1CNCC1(c2ccc(F)cc2)CCCCC1 ZINC000549720472 341301328 /nfs/dbraw/zinc/30/13/28/341301328.db2.gz MSAADMMQEOLZCG-UHFFFAOYSA-N 0 3 302.393 4.114 20 0 DIADHN Cc1nocc1CNCC1(Sc2ccccc2)CCCC1 ZINC000549750967 341302026 /nfs/dbraw/zinc/30/20/26/341302026.db2.gz OVASGRZRIHJWJY-UHFFFAOYSA-N 0 3 302.443 4.178 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccc(F)cc1OC(F)F ZINC000245604014 341304957 /nfs/dbraw/zinc/30/49/57/341304957.db2.gz QBOLWOFCNUTHDJ-NOHGZBONSA-N 0 3 317.351 4.034 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)cc1 ZINC000549887126 341305875 /nfs/dbraw/zinc/30/58/75/341305875.db2.gz DXSLDDFJAKMPIC-HNNXBMFYSA-N 0 3 323.415 4.425 20 0 DIADHN COc1ccc(CN[C@@H]2CCC[C@@H]2F)cc1OCCC(C)C ZINC000549934484 341307354 /nfs/dbraw/zinc/30/73/54/341307354.db2.gz OZWMXBFVVWUYTR-JKSUJKDBSA-N 0 3 309.425 4.100 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H]1CCO[C@@H]1c1ccccc1 ZINC000549997327 341308364 /nfs/dbraw/zinc/30/83/64/341308364.db2.gz JLRKWKGQFFLEIS-NHCUHLMSSA-N 0 3 324.468 4.387 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@H]1CCO[C@H]1c1ccccc1 ZINC000549997329 341308440 /nfs/dbraw/zinc/30/84/40/341308440.db2.gz JLRKWKGQFFLEIS-SFTDATJTSA-N 0 3 324.468 4.387 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](CC4CC4)C3)o2)cc1 ZINC000550029500 341308848 /nfs/dbraw/zinc/30/88/48/341308848.db2.gz STMYOOQQYSHLHG-GDBMZVCRSA-N 0 3 311.429 4.228 20 0 DIADHN Brc1ccc([C@H]2CCCN2CC2CCCC2)nc1 ZINC000550075111 341309506 /nfs/dbraw/zinc/30/95/06/341309506.db2.gz NPKRDNFERYQIKQ-OAHLLOKOSA-N 0 3 309.251 4.171 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccoc3)n2)[C@@H]2CCC[C@@H]21 ZINC000550082669 341309699 /nfs/dbraw/zinc/30/96/99/341309699.db2.gz UKNZTIKWXYXWND-LSDHHAIUSA-N 0 3 302.443 4.414 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccccc3F)o2)[C@@H]2CCC[C@H]21 ZINC000550079831 341309747 /nfs/dbraw/zinc/30/97/47/341309747.db2.gz QUZUCZGYAMRATI-GDBMZVCRSA-N 0 3 314.404 4.491 20 0 DIADHN CN1CC[C@@H](NCc2cccc(F)c2Cl)[C@H]1c1ccccc1 ZINC000550079980 341309776 /nfs/dbraw/zinc/30/97/76/341309776.db2.gz PFFYRLPBRLJPAP-SJLPKXTDSA-N 0 3 318.823 4.014 20 0 DIADHN c1cc(C2CCC2)ccc1CNCc1ccc2c(c1)OCCCO2 ZINC000550121701 341310424 /nfs/dbraw/zinc/31/04/24/341310424.db2.gz ASFAFSUKPYZHRW-UHFFFAOYSA-N 0 3 323.436 4.405 20 0 DIADHN CCc1nocc1CNCC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000550123478 341310510 /nfs/dbraw/zinc/31/05/10/341310510.db2.gz YTHPIPTVRQDFOO-UHFFFAOYSA-N 0 3 324.346 4.077 20 0 DIADHN Cc1sccc1CN[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000550199072 341312167 /nfs/dbraw/zinc/31/21/67/341312167.db2.gz SSHUZGZSBRRKHP-CVEARBPZSA-N 0 3 307.846 4.330 20 0 DIADHN Cc1nocc1CNCC1(c2ccc(F)cc2Cl)CCCC1 ZINC000550200272 341312316 /nfs/dbraw/zinc/31/23/16/341312316.db2.gz ZSDBZJJMMFXOMQ-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2cccc(C(F)(F)F)n2)o1 ZINC000550227019 341312985 /nfs/dbraw/zinc/31/29/85/341312985.db2.gz LEHFYSWKAKDSTM-BXUZGUMPSA-N 0 3 324.346 4.149 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(C(C)(F)F)cc1 ZINC000550226023 341313015 /nfs/dbraw/zinc/31/30/15/341313015.db2.gz CSXYFDBBRHWKBP-UHFFFAOYSA-N 0 3 304.384 4.154 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2cccc(C(F)(F)F)n2)o1 ZINC000550227021 341313024 /nfs/dbraw/zinc/31/30/24/341313024.db2.gz LEHFYSWKAKDSTM-RISCZKNCSA-N 0 3 324.346 4.149 20 0 DIADHN COCC1(CCNCc2ccc(Cl)cc2Cl)CCC1 ZINC000550252457 341313413 /nfs/dbraw/zinc/31/34/13/341313413.db2.gz YNRCYLRERACCPA-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN Cc1cccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)c1C ZINC000550254793 341313546 /nfs/dbraw/zinc/31/35/46/341313546.db2.gz BGQWKOQMSRIIMK-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cnc(-c2ccccc2)nc1 ZINC000550263840 341313846 /nfs/dbraw/zinc/31/38/46/341313846.db2.gz YQQOVWBJRMFJFZ-UHFFFAOYSA-N 0 3 317.436 4.477 20 0 DIADHN C[C@@H]1[C@@H](C)CN1CCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000550304534 341314658 /nfs/dbraw/zinc/31/46/58/341314658.db2.gz FHFZSVSAUAZHSW-JKSUJKDBSA-N 0 3 324.424 4.148 20 0 DIADHN Cc1cc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)on1 ZINC000550367041 341315805 /nfs/dbraw/zinc/31/58/05/341315805.db2.gz AOLKEBLPYHEGEL-QGZVFWFLSA-N 0 3 306.837 4.383 20 0 DIADHN FC[C@H]1CCCN1CC/C=C/c1ccc(Br)cc1 ZINC000550390782 341316124 /nfs/dbraw/zinc/31/61/24/341316124.db2.gz ITGLJSFYGREDPP-NTHKTCTLSA-N 0 3 312.226 4.286 20 0 DIADHN FC[C@H]1CCCN1CC/C=C\c1ccc(Br)cc1 ZINC000550390780 341316194 /nfs/dbraw/zinc/31/61/94/341316194.db2.gz ITGLJSFYGREDPP-AIOIPUTFSA-N 0 3 312.226 4.286 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccc(F)c3)o2)[C@H]2CCC[C@H]21 ZINC000550437695 341317113 /nfs/dbraw/zinc/31/71/13/341317113.db2.gz DYJCJXSKDIDVTG-CVEARBPZSA-N 0 3 314.404 4.491 20 0 DIADHN CCC[C@H](NC[C@@H](C[C@H](C)O)c1ccccc1)c1ccccn1 ZINC000245922838 341318363 /nfs/dbraw/zinc/31/83/63/341318363.db2.gz OCCRIHFZCOEOKK-UHOSZYNNSA-N 0 3 312.457 4.067 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2N[C@@H]2CCc3ccccc32)cc1F ZINC000245945616 341319084 /nfs/dbraw/zinc/31/90/84/341319084.db2.gz VRHRFDQAMOEKKT-QYZOEREBSA-N 0 3 315.363 4.072 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccccc1F ZINC000245943208 341319089 /nfs/dbraw/zinc/31/90/89/341319089.db2.gz VHPHKNAGTUXCNI-NBHSMZAVSA-N 0 3 321.342 4.285 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2cccc(C3CC3)c2)c1C ZINC000550605585 341319226 /nfs/dbraw/zinc/31/92/26/341319226.db2.gz PMECLAHIJMSQHD-MRXNPFEDSA-N 0 3 322.452 4.470 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1cccc(C2CC2)c1 ZINC000550678717 341321175 /nfs/dbraw/zinc/32/11/75/341321175.db2.gz PZTRQTSOULVGLP-HNNXBMFYSA-N 0 3 322.452 4.416 20 0 DIADHN CC(C)C1CCC(C[NH2+][C@@H](c2cccs2)c2nnc[n-]2)CC1 ZINC000550699858 341321495 /nfs/dbraw/zinc/32/14/95/341321495.db2.gz PGKARKPDALYIDM-XUJLQICISA-N 0 3 318.490 4.008 20 0 DIADHN CC(C)C1CCC(CN[C@@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000550699858 341321496 /nfs/dbraw/zinc/32/14/96/341321496.db2.gz PGKARKPDALYIDM-XUJLQICISA-N 0 3 318.490 4.008 20 0 DIADHN CC[C@H](N[C@@H]1c2ccccc2C[C@H]1C)c1ccc(C(=O)OC)cc1 ZINC000550700722 341321589 /nfs/dbraw/zinc/32/15/89/341321589.db2.gz MAUIOAKDHCAMKJ-UAOJZALGSA-N 0 3 323.436 4.447 20 0 DIADHN C[C@H](C1CC1)n1cc(N[C@H]2CCN(C)[C@@H](c3ccccc3)C2)cn1 ZINC000550795855 341323054 /nfs/dbraw/zinc/32/30/54/341323054.db2.gz LLSVFDNSXOTEQV-MOXGXCLJSA-N 0 3 324.472 4.102 20 0 DIADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccc(C(F)(F)F)cc1 ZINC000550857594 341324670 /nfs/dbraw/zinc/32/46/70/341324670.db2.gz CFOCWUXIZQFYCP-GHMZBOCLSA-N 0 3 310.319 4.218 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1Cc1ccccc1)c1cn2ccccc2n1 ZINC000550885443 341325493 /nfs/dbraw/zinc/32/54/93/341325493.db2.gz HIZCYGBEHFMGTN-QXAKKESOSA-N 0 3 319.452 4.396 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Cc1ccccc1)c1cn2ccccc2n1 ZINC000550885456 341325521 /nfs/dbraw/zinc/32/55/21/341325521.db2.gz HIZCYGBEHFMGTN-WDSOQIARSA-N 0 3 319.452 4.396 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1 ZINC000550886592 341325700 /nfs/dbraw/zinc/32/57/00/341325700.db2.gz IPZQMQQLBHDDRP-IBGZPJMESA-N 0 3 311.425 4.348 20 0 DIADHN C[C@@H](NCC(C)(C)c1c(Cl)cccc1Cl)c1cn[nH]c1 ZINC000550937510 341326854 /nfs/dbraw/zinc/32/68/54/341326854.db2.gz IZGIUULBQFIFBE-SNVBAGLBSA-N 0 3 312.244 4.345 20 0 DIADHN CC(C)c1ccc(NC(=O)C[C@H](C)N[C@H]2CCC[C@H]2F)cc1 ZINC000550932777 341327170 /nfs/dbraw/zinc/32/71/70/341327170.db2.gz MZUBTJABGVEFGE-XKQJLSEDSA-N 0 3 306.425 4.007 20 0 DIADHN Cc1ccc(CSCCN[C@H](C)c2ccncc2F)cc1 ZINC000550995024 341328595 /nfs/dbraw/zinc/32/85/95/341328595.db2.gz ZTIVOKGPIQEKOR-CQSZACIVSA-N 0 3 304.434 4.113 20 0 DIADHN C[C@@H](NCCC(=O)NC1CCCCC1)c1cccc(C2CC2)c1 ZINC000551005373 341329027 /nfs/dbraw/zinc/32/90/27/341329027.db2.gz KFDVNGCQGBZWLH-OAHLLOKOSA-N 0 3 314.473 4.054 20 0 DIADHN CN(C)c1ccccc1CNCCSc1ccc(Cl)cc1 ZINC000551015769 341329218 /nfs/dbraw/zinc/32/92/18/341329218.db2.gz GFPKRLQMXCNJKU-UHFFFAOYSA-N 0 3 320.889 4.288 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1ccncc1F ZINC000551084195 341331044 /nfs/dbraw/zinc/33/10/44/341331044.db2.gz SFIGEBVJFDTVFX-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccncc1F ZINC000551085337 341331303 /nfs/dbraw/zinc/33/13/03/341331303.db2.gz AUQCHBSKJILMGD-LLVKDONJSA-N 0 3 322.786 4.396 20 0 DIADHN COc1cc(F)ccc1N[C@H]1CCN(C)[C@H](c2ccccc2)C1 ZINC000551125111 341332146 /nfs/dbraw/zinc/33/21/46/341332146.db2.gz KCRVPDTUOCDUTI-WMZOPIPTSA-N 0 3 314.404 4.082 20 0 DIADHN COC[C@H](NCc1cnc(-c2ccc(Cl)cc2)s1)C(C)C ZINC000551185027 341333568 /nfs/dbraw/zinc/33/35/68/341333568.db2.gz KHQBUHMZHSLMIU-HNNXBMFYSA-N 0 3 324.877 4.224 20 0 DIADHN COCC1(NCc2ccc(-c3ccc(F)cc3)s2)CCC1 ZINC000551237000 341334847 /nfs/dbraw/zinc/33/48/47/341334847.db2.gz HIEYJMQLTBNDFE-UHFFFAOYSA-N 0 3 305.418 4.213 20 0 DIADHN CCc1noc(C)c1CN[C@@H]1CCC[C@@H]1CCc1ccccc1 ZINC000551243884 341335283 /nfs/dbraw/zinc/33/52/83/341335283.db2.gz PEBGCAKETLFLAZ-YLJYHZDGSA-N 0 3 312.457 4.437 20 0 DIADHN C[C@H](NC[C@H](CO)C1CCCCC1)c1ccc2ccccc2n1 ZINC000551273898 341336599 /nfs/dbraw/zinc/33/65/99/341336599.db2.gz FJTVRVBTVGHOKU-MAUKXSAKSA-N 0 3 312.457 4.074 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCCC3)ccn1 ZINC000551283394 341337081 /nfs/dbraw/zinc/33/70/81/341337081.db2.gz MCLHIKNVOMGLQK-GJZGRUSLSA-N 0 3 310.441 4.381 20 0 DIADHN CCc1nnc(CN[C@@H]2CCC[C@H]2c2ccc(Cl)cc2)s1 ZINC000564377564 341337138 /nfs/dbraw/zinc/33/71/38/341337138.db2.gz HFMGJTLCAQZAIJ-UONOGXRCSA-N 0 3 321.877 4.180 20 0 DIADHN CCc1nnc(CN[C@@H]2CCC[C@@H]2c2ccc(Cl)cc2)s1 ZINC000564377567 341337194 /nfs/dbraw/zinc/33/71/94/341337194.db2.gz HFMGJTLCAQZAIJ-ZIAGYGMSSA-N 0 3 321.877 4.180 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1ncc[nH]1 ZINC000551296444 341337475 /nfs/dbraw/zinc/33/74/75/341337475.db2.gz KARNTOGWOYUKIF-SUMWQHHRSA-N 0 3 305.853 4.371 20 0 DIADHN C[C@H](NCCCSc1ccccc1)c1cn2ccccc2n1 ZINC000551316504 341338340 /nfs/dbraw/zinc/33/83/40/341338340.db2.gz HUYZEJYYSWQCQM-HNNXBMFYSA-N 0 3 311.454 4.167 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1N[C@H]1COCc2ccccc21 ZINC000551316653 341338490 /nfs/dbraw/zinc/33/84/90/341338490.db2.gz JYGMOEITAONEQW-CWVNLOTRSA-N 0 3 311.400 4.310 20 0 DIADHN C[C@H]1[C@@H](c2ccccc2)CCN1Cc1ccc(C(F)(F)F)cn1 ZINC000551387023 341340182 /nfs/dbraw/zinc/34/01/82/341340182.db2.gz LVNJQLDHCVNJQJ-GUYCJALGSA-N 0 3 320.358 4.478 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc(F)ccc1Cl ZINC000551414116 341341001 /nfs/dbraw/zinc/34/10/01/341341001.db2.gz WTCSLWLENQFQIA-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC(C)Sc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000551424341 341341426 /nfs/dbraw/zinc/34/14/26/341341426.db2.gz ZIEYVSJKZWVRIC-MSOLQXFVSA-N 0 3 305.487 4.331 20 0 DIADHN CC[C@@H](NCCCCc1ccc(Cl)s1)c1nccn1C ZINC000551516217 341343538 /nfs/dbraw/zinc/34/35/38/341343538.db2.gz LFVICMBILFEGNJ-CYBMUJFWSA-N 0 3 311.882 4.199 20 0 DIADHN COc1ncc([C@H](C)NCCSc2ccccc2)cc1Cl ZINC000551540541 341344761 /nfs/dbraw/zinc/34/47/61/341344761.db2.gz HCGZAXZKHMRMFY-LBPRGKRZSA-N 0 3 322.861 4.187 20 0 DIADHN CC1(C)CC[C@H]1NCc1cccnc1Oc1cccc(F)c1 ZINC000551541024 341344833 /nfs/dbraw/zinc/34/48/33/341344833.db2.gz KANJLSWOTXVHQC-MRXNPFEDSA-N 0 3 300.377 4.291 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(OC)cc1)c1cccs1 ZINC000551557802 341345363 /nfs/dbraw/zinc/34/53/63/341345363.db2.gz KLRKWBXMEDFBRL-IAGOWNOFSA-N 0 3 318.486 4.100 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551559306 341345411 /nfs/dbraw/zinc/34/54/11/341345411.db2.gz LHLUROXHVCYTLP-GOSISDBHSA-N 0 3 309.457 4.420 20 0 DIADHN CN(Cc1cnc(-c2ccc(Cl)cc2)s1)[C@H]1CCSC1 ZINC000551560616 341345544 /nfs/dbraw/zinc/34/55/44/341345544.db2.gz ZVEQTOLFGLTJBZ-ZDUSSCGKSA-N 0 3 324.902 4.401 20 0 DIADHN FC(F)Oc1cc(CN[C@@H](CC2CC2)c2ccccc2)ccn1 ZINC000551569552 341345759 /nfs/dbraw/zinc/34/57/59/341345759.db2.gz FYPIUAKIIUCUPJ-INIZCTEOSA-N 0 3 318.367 4.314 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2cnc3n2CCCC3)cc1 ZINC000551565250 341345768 /nfs/dbraw/zinc/34/57/68/341345768.db2.gz SMGMPHGCFSFSTE-INIZCTEOSA-N 0 3 311.473 4.413 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCC[C@@H]3[C@H]3CCCO3)o2)cc1 ZINC000551570475 341345820 /nfs/dbraw/zinc/34/58/20/341345820.db2.gz FIUDMNBZCGHOCU-IEBWSBKVSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1n[nH]cc1C1CCN(C[C@@H](C)c2cccc(Cl)c2)CC1 ZINC000551586122 341346463 /nfs/dbraw/zinc/34/64/63/341346463.db2.gz JTLPTMKILQIPEW-CYBMUJFWSA-N 0 3 317.864 4.355 20 0 DIADHN CCN(Cc1coc(-c2ccc(F)c(F)c2)n1)C1CCCC1 ZINC000551710119 341349616 /nfs/dbraw/zinc/34/96/16/341349616.db2.gz PDHYGOWJYCSYSG-UHFFFAOYSA-N 0 3 306.356 4.384 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cn1)CC1(c2ccccc2)CC1 ZINC000551733714 341350272 /nfs/dbraw/zinc/35/02/72/341350272.db2.gz IHVXOMZCGIGZLR-UHFFFAOYSA-N 0 3 320.358 4.264 20 0 DIADHN CCC1CC(NCc2ccc(Oc3cccc(F)c3)nc2)C1 ZINC000551789335 341351717 /nfs/dbraw/zinc/35/17/17/341351717.db2.gz OUSVYVFXTRYZDC-UHFFFAOYSA-N 0 3 300.377 4.291 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)cc1 ZINC000551805518 341352326 /nfs/dbraw/zinc/35/23/26/341352326.db2.gz GBJLAGGDWUYVTC-GOSISDBHSA-N 0 3 309.457 4.072 20 0 DIADHN CC(C)(C)c1ncc(CNCC2(Cc3ccccc3)CCC2)cn1 ZINC000551803655 341352338 /nfs/dbraw/zinc/35/23/38/341352338.db2.gz AOCXHPRFZNIIGD-UHFFFAOYSA-N 0 3 323.484 4.277 20 0 DIADHN Cc1cc(C)cc(C[C@H](C)NCc2cnc(C(C)(C)C)nc2)c1 ZINC000551806020 341352470 /nfs/dbraw/zinc/35/24/70/341352470.db2.gz KQYSWYIDGBEOMU-INIZCTEOSA-N 0 3 311.473 4.112 20 0 DIADHN Cc1ccccc1[C@@H](C)N(Cc1ccc(CO)o1)CC(C)C ZINC000551812824 341352682 /nfs/dbraw/zinc/35/26/82/341352682.db2.gz NVZJJGRMHYQJKC-MRXNPFEDSA-N 0 3 301.430 4.300 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1cc2c(s1)CCCC2 ZINC000551814510 341352893 /nfs/dbraw/zinc/35/28/93/341352893.db2.gz QYGAPMSRCNTVJW-ZDUSSCGKSA-N 0 3 315.486 4.011 20 0 DIADHN CCc1cc(OC)ccc1CN1CCC(CC(F)(F)F)CC1 ZINC000551825399 341353191 /nfs/dbraw/zinc/35/31/91/341353191.db2.gz GPMMHDHZNSHBIB-UHFFFAOYSA-N 0 3 315.379 4.422 20 0 DIADHN COCCOc1ccccc1CN1CCC=C(c2ccccc2)C1 ZINC000551825964 341353142 /nfs/dbraw/zinc/35/31/42/341353142.db2.gz KHGCGUSBLGRNRG-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN CCc1cc(CNCC(c2ccccc2)c2ccccc2)on1 ZINC000551971729 341356422 /nfs/dbraw/zinc/35/64/22/341356422.db2.gz MJSXHPNVBKOAHB-UHFFFAOYSA-N 0 3 306.409 4.159 20 0 DIADHN COCCCCN(C)Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC000551975921 341356471 /nfs/dbraw/zinc/35/64/71/341356471.db2.gz HQRUSVKNNALTGR-UHFFFAOYSA-N 0 3 307.821 4.458 20 0 DIADHN CC(C)CC[C@@H](NCc1ccc2cnn(C)c2c1)c1ccoc1 ZINC000564385509 341358267 /nfs/dbraw/zinc/35/82/67/341358267.db2.gz GEMPTJQLOAUQSV-GOSISDBHSA-N 0 3 311.429 4.433 20 0 DIADHN CC(C)CC[C@H](NCc1ccc2cnn(C)c2c1)c1ccoc1 ZINC000564385511 341358270 /nfs/dbraw/zinc/35/82/70/341358270.db2.gz GEMPTJQLOAUQSV-SFHVURJKSA-N 0 3 311.429 4.433 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1ccc2ccccc2c1 ZINC000247057250 341360396 /nfs/dbraw/zinc/36/03/96/341360396.db2.gz MKJZYPWLOOWXTQ-LVXYXVKQSA-N 0 3 303.405 4.149 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000247075322 341360710 /nfs/dbraw/zinc/36/07/10/341360710.db2.gz QKJRHDHSIAIPLK-ZCNNSNEGSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1ccc(Cl)s1 ZINC000247100110 341361018 /nfs/dbraw/zinc/36/10/18/341361018.db2.gz ONSBORWBNDRELZ-YNEHKIRRSA-N 0 3 309.866 4.433 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@H](C)CO[C@H]2CCOC2)cc1 ZINC000247146531 341361379 /nfs/dbraw/zinc/36/13/79/341361379.db2.gz REBICBJXCCGMRR-VYDXJSESSA-N 0 3 323.502 4.032 20 0 DIADHN CSCc1ccc(CNCc2ccnc3ccccc23)cc1 ZINC000552023473 341362390 /nfs/dbraw/zinc/36/23/90/341362390.db2.gz CBKCGSOYGLFGTF-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN O[C@@H]1CCC[C@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000247294506 341363084 /nfs/dbraw/zinc/36/30/84/341363084.db2.gz HNGDZGFWLPUGJH-CWFSZBLJSA-N 0 3 313.416 4.056 20 0 DIADHN Fc1cc(F)cc(CCN[C@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000552047638 341364947 /nfs/dbraw/zinc/36/49/47/341364947.db2.gz TUDJTVSLLNPAGU-KRWDZBQOSA-N 0 3 319.399 4.142 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC(F)(F)[C@@H](C)C1 ZINC000552052018 341365215 /nfs/dbraw/zinc/36/52/15/341365215.db2.gz QLORJGJRNOOWEF-IPJDOKCGSA-N 0 3 309.400 4.213 20 0 DIADHN c1c[nH]c([C@H](NCCc2ccc3[nH]ccc3c2)C2CCCCC2)n1 ZINC000552053613 341365345 /nfs/dbraw/zinc/36/53/45/341365345.db2.gz OADTYEXCQOSGRD-LJQANCHMSA-N 0 3 322.456 4.345 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@@H](C)Oc2cccc(Cl)c2)c1 ZINC000552061938 341366249 /nfs/dbraw/zinc/36/62/49/341366249.db2.gz GHPGJHFCMMAIRD-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)c1 ZINC000552066525 341366979 /nfs/dbraw/zinc/36/69/79/341366979.db2.gz MIAADUQRSYRCSJ-QKLQHJQFSA-N 0 3 311.473 4.040 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)c1ccc2c(c1)OCC(=O)N2 ZINC000552067532 341366994 /nfs/dbraw/zinc/36/69/94/341366994.db2.gz NTEMMTIEHYMCJD-HUUCEWRRSA-N 0 3 324.424 4.046 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(OC)c(OC)c1C ZINC000552066928 341367050 /nfs/dbraw/zinc/36/70/50/341367050.db2.gz VDKZGIFFYWUHFI-VXGBXAGGSA-N 0 3 319.367 4.394 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1cc(C)ccn1 ZINC000552073556 341367697 /nfs/dbraw/zinc/36/76/97/341367697.db2.gz HWUGGOSIJKHBMG-XLBJILASSA-N 0 3 324.468 4.392 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@H]43)co2)cc1 ZINC000247579852 341367703 /nfs/dbraw/zinc/36/77/03/341367703.db2.gz SRFKTHZNZZNKBN-CXAGYDPISA-N 0 3 300.377 4.245 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@H](c1nccn1C)C1CC1 ZINC000552090092 341368895 /nfs/dbraw/zinc/36/88/95/341368895.db2.gz ABGUMNFGQMBTDN-QAPCUYQASA-N 0 3 311.473 4.217 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)NCc2cnn3ccccc23)c1 ZINC000552089542 341368904 /nfs/dbraw/zinc/36/89/04/341368904.db2.gz NBIKHRZYORKIDC-MRXNPFEDSA-N 0 3 307.441 4.384 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC[C@H]4CCC[C@H]43)co2)c1 ZINC000247698503 341369372 /nfs/dbraw/zinc/36/93/72/341369372.db2.gz XEODVLIMIYLMHS-CXAGYDPISA-N 0 3 300.377 4.245 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000552097486 341369663 /nfs/dbraw/zinc/36/96/63/341369663.db2.gz DBRHIMKNALXABB-KGLIPLIRSA-N 0 3 309.413 4.292 20 0 DIADHN CCCCOc1ccc([C@H](C)NCCc2cc(C)no2)cc1 ZINC000552100750 341369990 /nfs/dbraw/zinc/36/99/90/341369990.db2.gz TYCLRAFPXOOFOJ-HNNXBMFYSA-N 0 3 302.418 4.055 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N(C)C[C@H]1CCCO1 ZINC000247793059 341370285 /nfs/dbraw/zinc/37/02/85/341370285.db2.gz ZIJLDRBOTCSPEU-GDBMZVCRSA-N 0 3 316.470 4.290 20 0 DIADHN Cc1cccc(CN(Cc2cccs2)C[C@@H]2CCCO2)c1 ZINC000247887628 341370927 /nfs/dbraw/zinc/37/09/27/341370927.db2.gz DVKQXQAVUSDXJD-KRWDZBQOSA-N 0 3 301.455 4.238 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNCC(C1CC1)C1CC1 ZINC000552133988 341372328 /nfs/dbraw/zinc/37/23/28/341372328.db2.gz ZTSSEDPTTFIKNE-UHFFFAOYSA-N 0 3 314.454 4.352 20 0 DIADHN Cc1ccc(CN(Cc2cccs2)C[C@H]2CCCO2)cc1 ZINC000248124430 341373708 /nfs/dbraw/zinc/37/37/08/341373708.db2.gz WMXAGIWJNGOVLB-QGZVFWFLSA-N 0 3 301.455 4.238 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](C)c1ccc(OC)cc1)c1nccs1 ZINC000248132793 341373786 /nfs/dbraw/zinc/37/37/86/341373786.db2.gz ORYXGJBNPCHNDH-XEZPLFJOSA-N 0 3 304.459 4.385 20 0 DIADHN COc1cccc([C@H]2CCCN2CCCOc2ccccc2)c1 ZINC000248185875 341374529 /nfs/dbraw/zinc/37/45/29/341374529.db2.gz HLINYQHSRHMNIT-HXUWFJFHSA-N 0 3 311.425 4.301 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccccn3)n2)[C@H]2CCCC[C@@H]12 ZINC000248414621 341377083 /nfs/dbraw/zinc/37/70/83/341377083.db2.gz HDLVJCHBYZSUKE-KMFMINBZSA-N 0 3 313.470 4.216 20 0 DIADHN CO[C@@H](C)CN(C)[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000248445746 341377957 /nfs/dbraw/zinc/37/79/57/341377957.db2.gz WMXNNHIQXJKFTN-GXTWGEPZSA-N 0 3 304.459 4.146 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)c(CN(C)C)c1 ZINC000248529831 341379227 /nfs/dbraw/zinc/37/92/27/341379227.db2.gz DUTZDZRNXIAQHO-ZSHCYNCHSA-N 0 3 302.462 4.067 20 0 DIADHN CCN(c1ccccc1)c1ccc(CNCc2cc[nH]c2)cc1 ZINC000086255256 341379942 /nfs/dbraw/zinc/37/99/42/341379942.db2.gz GDVXBORYRMJKFH-UHFFFAOYSA-N 0 3 305.425 4.463 20 0 DIADHN Fc1ccccc1OC[C@H](NCc1cc[nH]c1)c1ccccc1 ZINC000086252140 341379948 /nfs/dbraw/zinc/37/99/48/341379948.db2.gz RPQFBDGNVQDGCK-SFHVURJKSA-N 0 3 310.372 4.064 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000248619873 341381759 /nfs/dbraw/zinc/38/17/59/341381759.db2.gz XSSYVPRBSWUVNT-KSZLIROESA-N 0 3 313.397 4.119 20 0 DIADHN c1c[nH]c([C@@H](NCCc2cccc3[nH]ccc32)C2CCCCC2)n1 ZINC000552262243 341382131 /nfs/dbraw/zinc/38/21/31/341382131.db2.gz MRCQKJDABKLGHO-IBGZPJMESA-N 0 3 322.456 4.345 20 0 DIADHN COc1ccc([C@@H](C)CN2CC(C)(C)[C@H]2c2ccncc2)cc1 ZINC000552279388 341383597 /nfs/dbraw/zinc/38/35/97/341383597.db2.gz DWAHLECWKRWNNA-HNAYVOBHSA-N 0 3 310.441 4.277 20 0 DIADHN CC(C)OCCCN(C)Cc1cnc(-c2cccs2)s1 ZINC000552290301 341384627 /nfs/dbraw/zinc/38/46/27/341384627.db2.gz GUIQCHIJRQTDQJ-UHFFFAOYSA-N 0 3 310.488 4.119 20 0 DIADHN Cc1cc(CN(C)CCCOC(C)C)sc1Br ZINC000552292569 341384722 /nfs/dbraw/zinc/38/47/22/341384722.db2.gz ZOEOOJXUWOHRLS-UHFFFAOYSA-N 0 3 320.296 4.066 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC(CO)(c2ccccc2)CC1 ZINC000552292525 341384732 /nfs/dbraw/zinc/38/47/32/341384732.db2.gz WNTRUSPJKVKQHT-KNTRCKAVSA-N 0 3 321.464 4.116 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCC2)cc1C ZINC000552316916 341386034 /nfs/dbraw/zinc/38/60/34/341386034.db2.gz SSNMILRSIJEMIG-HXUWFJFHSA-N 0 3 309.453 4.297 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2N[C@H]2CCCc3occc32)cc1F ZINC000248762984 341386249 /nfs/dbraw/zinc/38/62/49/341386249.db2.gz DVASFFITVIVKCZ-JZXOWHBKSA-N 0 3 319.351 4.055 20 0 DIADHN Fc1ccc([C@H](NCc2cnn3ccccc23)C2CCCC2)cc1 ZINC000552322211 341386523 /nfs/dbraw/zinc/38/65/23/341386523.db2.gz GWIJXVHFXQUOKW-HXUWFJFHSA-N 0 3 323.415 4.495 20 0 DIADHN CC[C@@H](NCc1cc(F)c(F)cc1Br)C1CC1 ZINC000552334105 341387198 /nfs/dbraw/zinc/38/71/98/341387198.db2.gz ZFLXMGRNWNUJBL-CYBMUJFWSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1C[C@H]1CCC2(CCCCC2)O1 ZINC000248854368 341388661 /nfs/dbraw/zinc/38/86/61/341388661.db2.gz QSNMQBMEBOKZQB-IAGOWNOFSA-N 0 3 317.477 4.045 20 0 DIADHN CC[C@H](C)CCC(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000552387523 341390579 /nfs/dbraw/zinc/39/05/79/341390579.db2.gz LPVDGAJFDQVRPO-HNNXBMFYSA-N 0 3 302.462 4.356 20 0 DIADHN CCCN[C@H](C)C(=O)Nc1ccccc1Sc1ccccc1 ZINC000552390730 341390857 /nfs/dbraw/zinc/39/08/57/341390857.db2.gz JZKBEMBLEIAHNK-CQSZACIVSA-N 0 3 314.454 4.164 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2cc(Cl)ccc2OC(F)F)C1 ZINC000248994045 341391431 /nfs/dbraw/zinc/39/14/31/341391431.db2.gz GIFQULQEDWDGAS-MVWJERBFSA-N 0 3 319.779 4.160 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000249054014 341392733 /nfs/dbraw/zinc/39/27/33/341392733.db2.gz OOUKUJVWLBWOGF-NWANDNLSSA-N 0 3 302.443 4.028 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@@H]3CC[C@@H](C)O3)oc21 ZINC000249076841 341393257 /nfs/dbraw/zinc/39/32/57/341393257.db2.gz LVIXJXXOEFCJFN-IPYPFGDCSA-N 0 3 303.402 4.050 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1sccc1Br ZINC000087667954 341394369 /nfs/dbraw/zinc/39/43/69/341394369.db2.gz FDQVVVHPKGPVGY-IUCAKERBSA-N 0 3 306.294 4.057 20 0 DIADHN C[C@@H](C1CC1)N(C)CC(=O)Nc1ccc(Oc2ccccc2)cc1 ZINC000552494018 341396291 /nfs/dbraw/zinc/39/62/91/341396291.db2.gz SVRXMJIBVCODKR-HNNXBMFYSA-N 0 3 324.424 4.148 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H](C)[C@@H](O)Cc1ccccc1 ZINC000249405909 341397209 /nfs/dbraw/zinc/39/72/09/341397209.db2.gz CMCMQAKVCPYYGP-MPGHIAIKSA-N 0 3 315.482 4.051 20 0 DIADHN CC(C)CN(Cc1nc2ccc(Cl)cc2c(=O)[nH]1)CC(C)C ZINC000552528534 341397512 /nfs/dbraw/zinc/39/75/12/341397512.db2.gz XHRGQHUVMAWILU-UHFFFAOYSA-N 0 3 321.852 4.103 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CC[C@H]2CCCO2)c1 ZINC000249485580 341398183 /nfs/dbraw/zinc/39/81/83/341398183.db2.gz UHIFFUKDLHGKNH-CXAGYDPISA-N 0 3 319.495 4.326 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCC(=O)Nc1cc(Cl)ccc1Cl ZINC000552550088 341398356 /nfs/dbraw/zinc/39/83/56/341398356.db2.gz JICNIEAYKWZGBU-GWCFXTLKSA-N 0 3 315.244 4.100 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000552579702 341399626 /nfs/dbraw/zinc/39/96/26/341399626.db2.gz GZZBLHUOQRPXSQ-SUMWQHHRSA-N 0 3 323.358 4.318 20 0 DIADHN CCn1cc([C@H](C)NCCCOc2cccc3ccccc32)cn1 ZINC000552904870 341408358 /nfs/dbraw/zinc/40/83/58/341408358.db2.gz ZSZIZAJWZCZOOE-INIZCTEOSA-N 0 3 323.440 4.176 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCCOc1ccc(F)cc1F ZINC000552905561 341408410 /nfs/dbraw/zinc/40/84/10/341408410.db2.gz IZTGBVLDGSAXFE-ZDUSSCGKSA-N 0 3 321.367 4.011 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)Cc1ccccc1)c1ccc(Cl)s1 ZINC000090653634 341418168 /nfs/dbraw/zinc/41/81/68/341418168.db2.gz UHFIKBRIKVENIO-SGMGOOAPSA-N 0 3 309.862 4.044 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)C(C)(C)CO)c1ccccc1F ZINC000090657594 341418210 /nfs/dbraw/zinc/41/82/10/341418210.db2.gz OVBGCPABJRPKDU-CRAIPNDOSA-N 0 3 315.432 4.279 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc(Cl)ccc1OC(F)F)C1CC1 ZINC000090718001 341418707 /nfs/dbraw/zinc/41/87/07/341418707.db2.gz ZHLORPWUCMCYOP-TVQRCGJNSA-N 0 3 319.779 4.017 20 0 DIADHN CCOCCC1(CN[C@@H](C)c2cc(Cl)ccc2OC)CC1 ZINC000090722696 341418806 /nfs/dbraw/zinc/41/88/06/341418806.db2.gz YFTAQVBCFRYADT-ZDUSSCGKSA-N 0 3 311.853 4.206 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@H](C)c1c(C)noc1C ZINC000090728687 341418964 /nfs/dbraw/zinc/41/89/64/341418964.db2.gz PITMSPRUCNMJMQ-WDEREUQCSA-N 0 3 322.836 4.408 20 0 DIADHN CC(C)[C@H](NCC(=O)N(C(C)C)C(C)C)c1ccc(Cl)cc1 ZINC000553191941 341419328 /nfs/dbraw/zinc/41/93/28/341419328.db2.gz SZKQXPOUIWIJCH-SFHVURJKSA-N 0 3 324.896 4.272 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@@H]1CCCO1 ZINC000090858297 341419807 /nfs/dbraw/zinc/41/98/07/341419807.db2.gz SMSFONQJYSTYCU-QRTARXTBSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)cc1C ZINC000090879630 341419888 /nfs/dbraw/zinc/41/98/88/341419888.db2.gz YWCIGLPYEZZNOM-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@H](C)c1cncc(F)c1 ZINC000090881607 341419993 /nfs/dbraw/zinc/41/99/93/341419993.db2.gz YRJIWTFGXRYVPW-GFCCVEGCSA-N 0 3 322.811 4.166 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)c1C ZINC000090880050 341420055 /nfs/dbraw/zinc/42/00/55/341420055.db2.gz VDSHKUNJLWRHGX-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)cc1 ZINC000090879757 341420097 /nfs/dbraw/zinc/42/00/97/341420097.db2.gz KCUAZWDELHTAGV-HOTGVXAUSA-N 0 3 320.440 4.242 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@@H](C)c1cncc(F)c1 ZINC000090881606 341420116 /nfs/dbraw/zinc/42/01/16/341420116.db2.gz YRJIWTFGXRYVPW-LBPRGKRZSA-N 0 3 322.811 4.166 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1ccccn1 ZINC000090956502 341420599 /nfs/dbraw/zinc/42/05/99/341420599.db2.gz DLNGJRGKDROMIY-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN CSc1ccc(NC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000145035521 341420672 /nfs/dbraw/zinc/42/06/72/341420672.db2.gz KYMXEOIZNCPIJW-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN FC(F)(F)c1ccc(C2CCN(Cc3ccccn3)CC2)cc1 ZINC000091100164 341422248 /nfs/dbraw/zinc/42/22/48/341422248.db2.gz CREFXPJOWNOBPI-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CCS[C@@H]1CCC[C@@H](N[C@@H](C)c2nc3ccccc3n2C)C1 ZINC000091367328 341425301 /nfs/dbraw/zinc/42/53/01/341425301.db2.gz MOHPZMAPPXTSPR-RRFJBIMHSA-N 0 3 317.502 4.288 20 0 DIADHN Cc1ccc2nccc(N[C@H](C)c3ccc4n[nH]cc4c3)c2c1 ZINC000553388840 341427593 /nfs/dbraw/zinc/42/75/93/341427593.db2.gz QTQRJBDZDJBECB-CYBMUJFWSA-N 0 3 302.381 4.015 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](C)c3cccc(C)c3C)c(C)n2n1 ZINC000091725380 341427787 /nfs/dbraw/zinc/42/77/87/341427787.db2.gz YLSQMLCQUYFZEG-HZPDHXFCSA-N 0 3 322.456 4.375 20 0 DIADHN CC[C@H](NCCOCc1ccc(OC)cc1)c1cccs1 ZINC000091741306 341428076 /nfs/dbraw/zinc/42/80/76/341428076.db2.gz ZSDYQKKLRDUJOK-INIZCTEOSA-N 0 3 305.443 4.014 20 0 DIADHN CCCCC1CCC(C(=O)N2C[C@@H](N3CCCC3)C[C@H]2C)CC1 ZINC000553503800 341430626 /nfs/dbraw/zinc/43/06/26/341430626.db2.gz FVNHRABMBDJMNV-UGXKVIGPSA-N 0 3 320.521 4.068 20 0 DIADHN COC[C@H](NCCCO[C@H](C)c1ccccc1)c1ccccc1 ZINC000554050468 341441768 /nfs/dbraw/zinc/44/17/68/341441768.db2.gz OKYOEILXIPGLNC-XLIONFOSSA-N 0 3 313.441 4.132 20 0 DIADHN C[C@H](NCCC1(F)CCC1)c1nc2ccc(Cl)cc2n1C ZINC000554086783 341443191 /nfs/dbraw/zinc/44/31/91/341443191.db2.gz PQLMLTOZZHDNRV-NSHDSACASA-N 0 3 309.816 4.160 20 0 DIADHN COc1nc(C)cc(C)c1CNC1(c2ccccc2)CCCC1 ZINC000554228355 341447269 /nfs/dbraw/zinc/44/72/69/341447269.db2.gz JUBHUJYVYZASFC-UHFFFAOYSA-N 0 3 310.441 4.266 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCOC2CCC2)CC1 ZINC000554251490 341447757 /nfs/dbraw/zinc/44/77/57/341447757.db2.gz QSDCNAMEWBPRES-UHFFFAOYSA-N 0 3 311.828 4.170 20 0 DIADHN Cc1cc(C)c(CN[C@@H](CCO)c2ccc(Cl)cc2)c(C)c1 ZINC000554289387 341448679 /nfs/dbraw/zinc/44/86/79/341448679.db2.gz BNEQKRNBAYFOET-IBGZPJMESA-N 0 3 317.860 4.479 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2N[C@H]1CC[C@H](F)C1 ZINC000554370217 341451820 /nfs/dbraw/zinc/45/18/20/341451820.db2.gz LOZKMSRVFWPBGG-RVBZMBCESA-N 0 3 316.189 4.056 20 0 DIADHN C[C@@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1cccnc1 ZINC000092740672 341455472 /nfs/dbraw/zinc/45/54/72/341455472.db2.gz OHIHCCMGKQOLAT-STQMWFEESA-N 0 3 324.346 4.219 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc2ccccc2c(=O)[nH]1 ZINC000253563799 341458109 /nfs/dbraw/zinc/45/81/09/341458109.db2.gz URMITYQBNAPFKV-FMKPAKJESA-N 0 3 313.445 4.345 20 0 DIADHN Cc1ccc(CNCC(C)(C)C(F)(F)F)cc1Br ZINC000554656403 341460539 /nfs/dbraw/zinc/46/05/39/341460539.db2.gz LJUIHPBHAXMPIG-UHFFFAOYSA-N 0 3 324.184 4.436 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N[C@H](C)c1c(F)cncc1F ZINC000554878426 341467893 /nfs/dbraw/zinc/46/78/93/341467893.db2.gz QQBIPBRWKGKMHU-HIFRSBDPSA-N 0 3 304.384 4.422 20 0 DIADHN COc1ccc(C2(NCc3cc(C)cc(Cl)c3)CC2)cc1 ZINC000555547963 341484775 /nfs/dbraw/zinc/48/47/75/341484775.db2.gz XMKOBKGHGFXIKN-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(OCC3CC3)nc2)C2CC2)cc1 ZINC000556145152 341495550 /nfs/dbraw/zinc/49/55/50/341495550.db2.gz FKUQXWGXMZITRO-NRFANRHFSA-N 0 3 322.452 4.420 20 0 DIADHN CCCC(=O)Nc1cccc(CNCc2cccc3ccoc32)c1 ZINC000556154941 341495802 /nfs/dbraw/zinc/49/58/02/341495802.db2.gz GVDCSCYFRAUDIA-UHFFFAOYSA-N 0 3 322.408 4.461 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN[C@H]1CCOC1(C)C ZINC000556178210 341496417 /nfs/dbraw/zinc/49/64/17/341496417.db2.gz XWZMCUUYPIPGPR-IBGZPJMESA-N 0 3 313.441 4.284 20 0 DIADHN Cc1c([C@H](C)NC2(C(C)C)CC2)nnn1-c1cccc(Cl)c1 ZINC000556228666 341498215 /nfs/dbraw/zinc/49/82/15/341498215.db2.gz CEWDRTQAVUNYLP-LBPRGKRZSA-N 0 3 318.852 4.068 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCCOc1ccccc1Cl ZINC000556259356 341499758 /nfs/dbraw/zinc/49/97/58/341499758.db2.gz IZVFCJOXIIIENN-MRXNPFEDSA-N 0 3 317.816 4.092 20 0 DIADHN C[C@H](NC1CC(C)(c2ccccc2)C1)c1cn2ccccc2n1 ZINC000556260032 341499783 /nfs/dbraw/zinc/49/97/83/341499783.db2.gz HZOPLLGCXWPFDH-FVWXCPNNSA-N 0 3 305.425 4.105 20 0 DIADHN c1ccc2c(c1)cccc2-c1noc([C@@H]2C[C@H]3CCCC[C@H]3N2)n1 ZINC000261680060 341504165 /nfs/dbraw/zinc/50/41/65/341504165.db2.gz MNXFNKBWZCJFRP-OLMNPRSZSA-N 0 3 319.408 4.483 20 0 DIADHN CC(C)[C@@H](NCc1c[nH]c2nccnc12)c1ccccc1Cl ZINC000564618847 341505137 /nfs/dbraw/zinc/50/51/37/341505137.db2.gz LEYNZAWPVDIMCA-OAHLLOKOSA-N 0 3 314.820 4.050 20 0 DIADHN Clc1ccc([C@H]2CCC[C@H]2NCc2ccno2)cc1Cl ZINC000564774233 341509157 /nfs/dbraw/zinc/50/91/57/341509157.db2.gz YUDHQMRKDMBQMQ-IUODEOHRSA-N 0 3 311.212 4.407 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@@H](C)NC[C@H]1CCCO1 ZINC000153056005 341522679 /nfs/dbraw/zinc/52/26/79/341522679.db2.gz MUTWHTYLSWOBEG-CZUORRHYSA-N 0 3 316.470 4.257 20 0 DIADHN FC(F)(F)CC1CCN(Cc2cccc3cccnc32)CC1 ZINC000565555112 341530939 /nfs/dbraw/zinc/53/09/39/341530939.db2.gz ZHYVFKKGTQTBSJ-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN COC1([C@H](C)NCc2cnc(C3CCCCC3)s2)CCC1 ZINC000565704140 341535643 /nfs/dbraw/zinc/53/56/43/341535643.db2.gz WNQIZUGBCGGNBF-ZDUSSCGKSA-N 0 3 308.491 4.238 20 0 DIADHN CCc1nc(CN[C@@H](C)CCc2ccc(F)cc2F)cs1 ZINC000565708370 341535794 /nfs/dbraw/zinc/53/57/94/341535794.db2.gz JUMJKGGBCSLGCZ-NSHDSACASA-N 0 3 310.413 4.095 20 0 DIADHN CC(C)(CNCc1cscc1C(F)(F)F)C(F)(F)F ZINC000565862715 341540415 /nfs/dbraw/zinc/54/04/15/341540415.db2.gz FDUHMFVFZDCPSW-UHFFFAOYSA-N 0 3 305.287 4.445 20 0 DIADHN CC[C@H](NCc1cn2ccc(Br)cc2n1)C(C)(C)C ZINC000565884279 341541364 /nfs/dbraw/zinc/54/13/64/341541364.db2.gz IUGZGNQXBGXADM-ZDUSSCGKSA-N 0 3 324.266 4.011 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC000566656486 341564401 /nfs/dbraw/zinc/56/44/01/341564401.db2.gz LGPLJZDECBISHR-IBGZPJMESA-N 0 3 313.416 4.128 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)CCCC1CCCC1)c1ccco1 ZINC000566934430 341572119 /nfs/dbraw/zinc/57/21/19/341572119.db2.gz HTWDKOZZHYDZTM-WBVHZDCISA-N 0 3 320.477 4.186 20 0 DIADHN C[C@H](NCc1ccc(F)cc1)c1ccc(-n2cccn2)c(F)c1 ZINC000566987070 341573481 /nfs/dbraw/zinc/57/34/81/341573481.db2.gz NKNHOVOYMQRKAC-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN OC[C@@H](NCc1csc(Cl)c1Cl)C1CCCCC1 ZINC000567010598 341574161 /nfs/dbraw/zinc/57/41/61/341574161.db2.gz WLUCCYAXENOYNJ-LLVKDONJSA-N 0 3 308.274 4.086 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1cc(C)nc2ccccc12 ZINC000264376442 341578400 /nfs/dbraw/zinc/57/84/00/341578400.db2.gz NFVQFNVOBZAPSX-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](NC1CC(OCc2ccccc2)C1)c1ccncc1Cl ZINC000567102350 341580144 /nfs/dbraw/zinc/58/01/44/341580144.db2.gz WYMXBHVEHXFEND-JEYLPNPQSA-N 0 3 316.832 4.133 20 0 DIADHN COCc1ccc(CN[C@H](C)c2cccc(N3CCCC3)c2)cc1 ZINC000154143524 341585468 /nfs/dbraw/zinc/58/54/68/341585468.db2.gz HEYVGWUVMKHNJV-QGZVFWFLSA-N 0 3 324.468 4.284 20 0 DIADHN C[C@@H](NCCCSc1ccc(F)cc1)c1cncc(F)c1 ZINC000154340527 341588260 /nfs/dbraw/zinc/58/82/60/341588260.db2.gz LBGFYPDHKZGMDY-GFCCVEGCSA-N 0 3 308.397 4.193 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2cccs2)c2ccc(F)cc2)[C@@H](C)O1 ZINC000567214769 341588576 /nfs/dbraw/zinc/58/85/76/341588576.db2.gz FUIOBXQGMGDIHW-LVRJZDEYSA-N 0 3 305.418 4.132 20 0 DIADHN CC(C)[C@@H]1CC[C@H]1NCc1nccn1CCCc1ccccc1 ZINC000567376126 341599820 /nfs/dbraw/zinc/59/98/20/341599820.db2.gz YCCZJIYZGOTAAZ-RBUKOAKNSA-N 0 3 311.473 4.040 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000155018463 341600084 /nfs/dbraw/zinc/60/00/84/341600084.db2.gz VDKPKTGCKOWVNL-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](C)c1sc(C)nc1C ZINC000268773590 341603716 /nfs/dbraw/zinc/60/37/16/341603716.db2.gz GAOFMGNCAMJLNH-PXAZEXFGSA-N 0 3 316.470 4.497 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](C)c1sc(C)nc1C ZINC000268773591 341603725 /nfs/dbraw/zinc/60/37/25/341603725.db2.gz GAOFMGNCAMJLNH-SJCJKPOMSA-N 0 3 316.470 4.497 20 0 DIADHN CC[C@H](N[C@@H](CC)[C@@H]1CCOC1)c1nc(C(F)(F)F)cs1 ZINC000155260927 341605090 /nfs/dbraw/zinc/60/50/90/341605090.db2.gz GBMWJACRYJDUQG-VWYCJHECSA-N 0 3 322.396 4.018 20 0 DIADHN COc1cc([C@@H](C)NCCOc2ccccc2)ccc1SC ZINC000155268369 341605265 /nfs/dbraw/zinc/60/52/65/341605265.db2.gz GWMVKJVUTJSXTC-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2c(C)cccc2C)c1C ZINC000155356113 341606700 /nfs/dbraw/zinc/60/67/00/341606700.db2.gz HOJPPXXKPXFVMT-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@@H](NCCn1ccnc1)c1ccc(Oc2ccccc2)cc1 ZINC000155714064 341611801 /nfs/dbraw/zinc/61/18/01/341611801.db2.gz OXCWRUWKOHAVRQ-MRXNPFEDSA-N 0 3 307.397 4.026 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1n1cccn1)c1cc(F)c(F)c(F)c1 ZINC000155961619 341615494 /nfs/dbraw/zinc/61/54/94/341615494.db2.gz VGJSOUISPKXPSG-XZJROXQQSA-N 0 3 323.362 4.135 20 0 DIADHN CC(C)C[C@H](NC[C@@H](c1ccccc1)N(C)C)c1ccccn1 ZINC000156151104 341617569 /nfs/dbraw/zinc/61/75/69/341617569.db2.gz BPCQBRBFLDAYKF-PMACEKPBSA-N 0 3 311.473 4.061 20 0 DIADHN FC(F)(F)Oc1ccc2c(c1)[C@@H](NCc1cccs1)CC2 ZINC000156540092 341622606 /nfs/dbraw/zinc/62/26/06/341622606.db2.gz YAMZQJLPTBFFMB-AWEZNQCLSA-N 0 3 313.344 4.424 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccc(OCC)cc2)cn1 ZINC000156709067 341625281 /nfs/dbraw/zinc/62/52/81/341625281.db2.gz LZLGWGJCFODEJY-OAHLLOKOSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCOCc1ccccc1 ZINC000156920545 341628855 /nfs/dbraw/zinc/62/88/55/341628855.db2.gz ARGHLBODIQIASI-CQSZACIVSA-N 0 3 318.486 4.442 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(N2CCOC2=O)c1)c1ccccc1 ZINC000156961449 341629463 /nfs/dbraw/zinc/62/94/63/341629463.db2.gz LFSIKQZLIGPAKE-DNVCBOLYSA-N 0 3 324.424 4.445 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000157651835 341642871 /nfs/dbraw/zinc/64/28/71/341642871.db2.gz LJIWJYCJSBTEDQ-KCXAZCMYSA-N 0 3 311.828 4.477 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3c(O)cccc32)cc1F ZINC000568075585 341650102 /nfs/dbraw/zinc/65/01/02/341650102.db2.gz ONIFIWIPVYPEPH-PXAZEXFGSA-N 0 3 315.388 4.268 20 0 DIADHN Fc1cccc(C=C2CCN(CCC3CCOCC3)CC2)c1 ZINC000568165662 341655871 /nfs/dbraw/zinc/65/58/71/341655871.db2.gz SZCGMECSMZIBDH-UHFFFAOYSA-N 0 3 303.421 4.122 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CCC2(CCCC2)CC1 ZINC000158437127 341656877 /nfs/dbraw/zinc/65/68/77/341656877.db2.gz QNXPLEPTXBQRKH-OAHLLOKOSA-N 0 3 311.429 4.454 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2Cl)C1)c1ccccn1 ZINC000159211529 341669906 /nfs/dbraw/zinc/66/99/06/341669906.db2.gz AMKHCOWYEPDDCL-HUUCEWRRSA-N 0 3 315.848 4.055 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccccn1)Oc1ccccc1Cl ZINC000159309707 341694398 /nfs/dbraw/zinc/69/43/98/341694398.db2.gz GDGBGUSIBXNKKK-KBPBESRZSA-N 0 3 304.821 4.243 20 0 DIADHN CCC[C@@H](O)CN[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000163580135 341699938 /nfs/dbraw/zinc/69/99/38/341699938.db2.gz LJVZCXZIJFTBRN-DGCLKSJQSA-N 0 3 322.449 4.069 20 0 DIADHN COc1cncc(/C=C\CCN[C@@H](C)c2c(F)cccc2F)c1 ZINC000572874141 341707408 /nfs/dbraw/zinc/70/74/08/341707408.db2.gz VNHRVVBPVAMLCK-RJNRQISESA-N 0 3 318.367 4.123 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](CCCO)c1ccccc1)CCC2 ZINC000166682165 341720312 /nfs/dbraw/zinc/72/03/12/341720312.db2.gz CERZXBBFXXOELZ-NHCUHLMSSA-N 0 3 309.453 4.476 20 0 DIADHN COc1cc(F)c([C@@H](C)NC2CC(C(C)(C)C)C2)cc1OC ZINC000573227499 341721631 /nfs/dbraw/zinc/72/16/31/341721631.db2.gz RPJSGWPILOAWEV-PNESKVBLSA-N 0 3 309.425 4.318 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@@H](C)c1ccc(C)cc1 ZINC000167656392 341725316 /nfs/dbraw/zinc/72/53/16/341725316.db2.gz JKFGROAKYMVFFF-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CC1(C)[C@H](NCc2cscc2C(F)(F)F)[C@@H]2CCCO[C@@H]21 ZINC000573375864 341726525 /nfs/dbraw/zinc/72/65/25/341726525.db2.gz YCYVHNBAMHIEQE-UHTWSYAYSA-N 0 3 319.392 4.060 20 0 DIADHN CCCn1cc(CN[C@@H](C)c2ccc(F)c3ccccc32)cn1 ZINC000574194614 341751372 /nfs/dbraw/zinc/75/13/72/341751372.db2.gz ZRZTYNWHVKFWEQ-AWEZNQCLSA-N 0 3 311.404 4.436 20 0 DIADHN CCc1cc(N2CCC[C@@](CO)(C(C)C)C2)c2ccccc2n1 ZINC000574775127 341768673 /nfs/dbraw/zinc/76/86/73/341768673.db2.gz ZXZUXHHQRURFQG-HXUWFJFHSA-N 0 3 312.457 4.032 20 0 DIADHN CC(C)COc1ccc(CCN[C@H](C)c2ccncc2F)cc1 ZINC000575066054 341774827 /nfs/dbraw/zinc/77/48/27/341774827.db2.gz HGIIPUJKWSTUTF-OAHLLOKOSA-N 0 3 316.420 4.149 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000575098268 341777143 /nfs/dbraw/zinc/77/71/43/341777143.db2.gz BALNAPXZAIVFFT-IQMDTDKHSA-N 0 3 302.245 4.458 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ccccc21)c1ccc2c(c1)CCC(=O)N2 ZINC000171978182 341789237 /nfs/dbraw/zinc/78/92/37/341789237.db2.gz IRMPHDLRWZJJNV-VBKZILBWSA-N 0 3 320.436 4.300 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000192116810 341790762 /nfs/dbraw/zinc/79/07/62/341790762.db2.gz WCKFHEZFYMQSEA-MSOLQXFVSA-N 0 3 321.342 4.032 20 0 DIADHN OCc1ccccc1CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000575991672 341818921 /nfs/dbraw/zinc/81/89/21/341818921.db2.gz SFEVWZFTLVUEMD-LJQANCHMSA-N 0 3 315.844 4.463 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-n2cccn2)cc1)c1ccc(F)cc1 ZINC000174369008 341826343 /nfs/dbraw/zinc/82/63/43/341826343.db2.gz RHFCAYKZLHKVJT-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN C[C@H](N[C@H]1COc2cc(F)ccc2C1)c1ccc2ccccc2n1 ZINC000576122595 341828243 /nfs/dbraw/zinc/82/82/43/341828243.db2.gz GUUIYFRMFRJRGK-SUMWQHHRSA-N 0 3 322.383 4.028 20 0 DIADHN Fc1cccc(C[C@@H](NCc2cc[nH]c2)c2cccc(F)c2)c1 ZINC000175703243 341842564 /nfs/dbraw/zinc/84/25/64/341842564.db2.gz DCOFMVJXDMFDIF-LJQANCHMSA-N 0 3 312.363 4.367 20 0 DIADHN COC[C@H](N[C@@H]1CCCC(F)(F)C1)c1cccc(Cl)c1 ZINC000576506348 341849660 /nfs/dbraw/zinc/84/96/60/341849660.db2.gz SBSRZNWPUAYSCI-KGLIPLIRSA-N 0 3 303.780 4.195 20 0 DIADHN COC[C@H](N[C@H]1CCCC(F)(F)C1)c1cccc(Cl)c1 ZINC000576506343 341849665 /nfs/dbraw/zinc/84/96/65/341849665.db2.gz SBSRZNWPUAYSCI-KBPBESRZSA-N 0 3 303.780 4.195 20 0 DIADHN Cc1ccccc1C1CC(N[C@H](c2ccccc2F)[C@H](C)O)C1 ZINC000576589349 341854058 /nfs/dbraw/zinc/85/40/58/341854058.db2.gz NTWGNXJVOVUEPL-LJRKYQKZSA-N 0 3 313.416 4.092 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1NCC1=Cc2ccccc2OC1 ZINC000576992216 341874112 /nfs/dbraw/zinc/87/41/12/341874112.db2.gz HCDAIUSTQHNFOD-CABCVRRESA-N 0 3 311.347 4.173 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@@H](c2ccccc2)C2CC2)c1C ZINC000192597248 341876910 /nfs/dbraw/zinc/87/69/10/341876910.db2.gz MWDBPZXLFQEODX-UZLBHIALSA-N 0 3 322.452 4.371 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N[C@@H](c1ccccc1)C1CC1 ZINC000192598020 341876921 /nfs/dbraw/zinc/87/69/21/341876921.db2.gz CVIJHJVBTVDBCW-QRWLVFNGSA-N 0 3 322.452 4.317 20 0 DIADHN OC1CCC(N[C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000192703325 341882774 /nfs/dbraw/zinc/88/27/74/341882774.db2.gz VYEQPRVMYSRQNO-CTWPCTMYSA-N 0 3 315.844 4.323 20 0 DIADHN C[C@@H](COCC(F)(F)F)NCc1ccc(C2CCC2)cc1 ZINC000577162300 341892683 /nfs/dbraw/zinc/89/26/83/341892683.db2.gz BLVJPRALHOURSR-LBPRGKRZSA-N 0 3 301.352 4.011 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cccc(C(F)(F)F)c1 ZINC000577197565 341896404 /nfs/dbraw/zinc/89/64/04/341896404.db2.gz ZVXXWWOZNAPCIE-MNOVXSKESA-N 0 3 310.319 4.218 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000577564762 341918175 /nfs/dbraw/zinc/91/81/75/341918175.db2.gz WFSRPULCZDXMSP-WZRBSPASSA-N 0 3 322.449 4.332 20 0 DIADHN COc1ccccc1[C@H](NCCc1cc(C)no1)C1CCCC1 ZINC000577715343 341931101 /nfs/dbraw/zinc/93/11/01/341931101.db2.gz HOPHCCPFDJHXFT-LJQANCHMSA-N 0 3 314.429 4.055 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1ccccc1CO ZINC000577776122 341936354 /nfs/dbraw/zinc/93/63/54/341936354.db2.gz JHQLGHUTCWRHAU-HXUWFJFHSA-N 0 3 320.436 4.136 20 0 DIADHN Clc1cccc(Cl)c1CNCCCc1nccs1 ZINC000347844771 341939469 /nfs/dbraw/zinc/93/94/69/341939469.db2.gz WSUIPZJNRXVHKM-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN Clc1ccc([C@H](N[C@H]2CCCOC2)c2ccsc2)cc1 ZINC000177917138 341939694 /nfs/dbraw/zinc/93/96/94/341939694.db2.gz KZXMWZLPILGKLG-HOTGVXAUSA-N 0 3 307.846 4.260 20 0 DIADHN C[C@H](N[C@@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccccc1 ZINC000177937456 341940092 /nfs/dbraw/zinc/94/00/92/341940092.db2.gz CHLUGBZHLKZFBD-VBKZILBWSA-N 0 3 309.409 4.260 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cncc(C)c1 ZINC000577945409 341953787 /nfs/dbraw/zinc/95/37/87/341953787.db2.gz ZJKNJEXMCODKRW-LJQANCHMSA-N 0 3 305.425 4.347 20 0 DIADHN Cc1cc2cc([C@@H](C)NCCc3cscn3)oc2cc1C ZINC000178472264 341955687 /nfs/dbraw/zinc/95/56/87/341955687.db2.gz RXMFUHDVTDOCAX-CYBMUJFWSA-N 0 3 300.427 4.399 20 0 DIADHN C[C@H](CN1CCCC1=O)N[C@@H](C)c1cccc(-c2ccccc2)c1 ZINC000178489566 341956154 /nfs/dbraw/zinc/95/61/54/341956154.db2.gz ZWNXIAXZOHKLHE-SJORKVTESA-N 0 3 322.452 4.015 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC000577955016 341957896 /nfs/dbraw/zinc/95/78/96/341957896.db2.gz ZJRFRZUVRYSOJV-QGZVFWFLSA-N 0 3 300.446 4.132 20 0 DIADHN CCC[C@H](C)[C@@H](CO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000577957479 341958261 /nfs/dbraw/zinc/95/82/61/341958261.db2.gz UBYPRVHQNSHWRW-SQWLQELKSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc(CO)c(F)c2)cc1C ZINC000178567957 341958284 /nfs/dbraw/zinc/95/82/84/341958284.db2.gz MQYGOEBEFYIDDV-OAHLLOKOSA-N 0 3 301.405 4.094 20 0 DIADHN CSc1ccc(CNC[C@H](C)Oc2cccc(F)c2)s1 ZINC000577989425 341964101 /nfs/dbraw/zinc/96/41/01/341964101.db2.gz BMSXMSOTCNLTNS-NSHDSACASA-N 0 3 311.447 4.166 20 0 DIADHN Cc1cc([C@H](NCC[C@@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000577989494 341964194 /nfs/dbraw/zinc/96/41/94/341964194.db2.gz FHOVMABSEIIUMX-PIGZYNQJSA-N 0 3 323.889 4.468 20 0 DIADHN CC(C)OC1CC(N[C@@H]2CCCOc3c(Cl)cccc32)C1 ZINC000349399142 341972827 /nfs/dbraw/zinc/97/28/27/341972827.db2.gz CEOVYFOKVFJJKX-SEEARECTSA-N 0 3 309.837 4.099 20 0 DIADHN C[C@@H](NC1CCCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000483360990 518178101 /nfs/dbraw/zinc/17/81/01/518178101.db2.gz SGRIAJFYJJYJMZ-GFCCVEGCSA-N 0 3 303.381 4.249 20 0 DIADHN C[C@H](NC[C@H]1CSc2ccccc21)c1ccc(Cl)cn1 ZINC000453223686 518183324 /nfs/dbraw/zinc/18/33/24/518183324.db2.gz RZXNDTWTVGKKQB-RYUDHWBXSA-N 0 3 304.846 4.275 20 0 DIADHN Cc1cc(C)cc(CN[C@@H]2c3ccccc3CC23CCOCC3)c1 ZINC000565803717 518186767 /nfs/dbraw/zinc/18/67/67/518186767.db2.gz LYFCDZDMEGMUSP-OAQYLSRUSA-N 0 3 321.464 4.487 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(OCc2ccccc2)CC1 ZINC000491181745 518188956 /nfs/dbraw/zinc/18/89/56/518188956.db2.gz FYGUZTNKOFHIFK-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN Cc1cnc(C2CCN(C[C@H]3CCCCC3(F)F)CC2)s1 ZINC000450865470 518191593 /nfs/dbraw/zinc/19/15/93/518191593.db2.gz WJABEAJJWYNIFN-CQSZACIVSA-N 0 3 314.445 4.456 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N[C@@H]1CCN(C3CC3)C1)CCCS2 ZINC000361059089 518194610 /nfs/dbraw/zinc/19/46/10/518194610.db2.gz LLPULCSNCQVFET-CJNGLKHVSA-N 0 3 322.905 4.093 20 0 DIADHN Brc1cccc(C2(NCc3cccnc3)CCC2)c1 ZINC000102426741 518220815 /nfs/dbraw/zinc/22/08/15/518220815.db2.gz PGXASYHBDCWUHH-UHFFFAOYSA-N 0 3 317.230 4.013 20 0 DIADHN CC(C)(C)c1cnc(CNCC2(c3ccccn3)CCC2)s1 ZINC000367600141 519071629 /nfs/dbraw/zinc/07/16/29/519071629.db2.gz ZJJDTCCZJYAKCI-UHFFFAOYSA-N 0 3 315.486 4.047 20 0 DIADHN CC(=O)CCN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000156924587 519415499 /nfs/dbraw/zinc/41/54/99/519415499.db2.gz YOHPYFKKXLVNMJ-AWEZNQCLSA-N 0 3 302.443 4.090 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2CCCOc3ccccc32)cc1 ZINC000182040159 519733885 /nfs/dbraw/zinc/73/38/85/519733885.db2.gz JYPKUZIFGBRLGE-LIRRHRJNSA-N 0 3 324.424 4.210 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)COC3)c1 ZINC000268777735 519748847 /nfs/dbraw/zinc/74/88/47/519748847.db2.gz WITFQFTUJYEWJF-UONOGXRCSA-N 0 3 324.424 4.087 20 0 DIADHN CC(C)OCCCN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000180574532 519764578 /nfs/dbraw/zinc/76/45/78/519764578.db2.gz SOHZDCISPLXMSI-AWEZNQCLSA-N 0 3 307.331 4.224 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccncc2)CS1 ZINC000364853677 519805227 /nfs/dbraw/zinc/80/52/27/519805227.db2.gz FVCSQJFDLXYUFT-QYZOEREBSA-N 0 3 312.482 4.291 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccncc2)CS1 ZINC000364853675 519805870 /nfs/dbraw/zinc/80/58/70/519805870.db2.gz FVCSQJFDLXYUFT-FHWLQOOXSA-N 0 3 312.482 4.291 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2cccnc2Cl)cs1 ZINC000156968378 519861652 /nfs/dbraw/zinc/86/16/52/519861652.db2.gz FVCXFDGXDYNTEH-LLVKDONJSA-N 0 3 309.866 4.208 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cccc3[nH]ccc32)cc1 ZINC000236755846 519877944 /nfs/dbraw/zinc/87/79/44/519877944.db2.gz IEJHEKRYXJDXLM-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cccc3cc[nH]c32)cc1 ZINC000236755891 519878000 /nfs/dbraw/zinc/87/80/00/519878000.db2.gz UNIPHGYQLKXCBU-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](C)c2ccc(Cl)s2)cc1 ZINC000156489417 519891461 /nfs/dbraw/zinc/89/14/61/519891461.db2.gz COVUZVCZXMMGAE-NSHDSACASA-N 0 3 322.861 4.002 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)cn1 ZINC000164132155 519980384 /nfs/dbraw/zinc/98/03/84/519980384.db2.gz FHZKDLSYIQGCEY-CVEARBPZSA-N 0 3 323.444 4.062 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCCNc2ccccc21 ZINC000192633692 520213089 /nfs/dbraw/zinc/21/30/89/520213089.db2.gz RTTINHMAQQCDEO-HXUWFJFHSA-N 0 3 310.441 4.260 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1cnn(C(C)C)c1C ZINC000191144139 520217431 /nfs/dbraw/zinc/21/74/31/520217431.db2.gz GDWIFWSFDUNFSK-OAHLLOKOSA-N 0 3 315.461 4.160 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@H](C)c2ccccc2)cc1 ZINC000129406852 520685010 /nfs/dbraw/zinc/68/50/10/520685010.db2.gz CZAFZMGUCBBQQK-MRXNPFEDSA-N 0 3 310.441 4.067 20 0 DIADHN CC1(CN[C@@H](c2cccc(F)c2)c2cccc(Cl)c2)COC1 ZINC000180534067 520736289 /nfs/dbraw/zinc/73/62/89/520736289.db2.gz FTGWMLVTEWMVLC-QGZVFWFLSA-N 0 3 319.807 4.195 20 0 DIADHN CCC[C@@H](NCc1ccc(NC(C)=O)cc1)c1ccsc1 ZINC000191190906 520780813 /nfs/dbraw/zinc/78/08/13/520780813.db2.gz JCJFBJTYUAFISN-QGZVFWFLSA-N 0 3 302.443 4.338 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2sccc2C)cn1 ZINC000126826895 520911985 /nfs/dbraw/zinc/91/19/85/520911985.db2.gz CSAPNFATALMNFL-AWEZNQCLSA-N 0 3 303.475 4.149 20 0 DIADHN CCCC[C@@H](NCc1ccc(CO)cc1)c1ccc(OC)cc1 ZINC000183105330 521100894 /nfs/dbraw/zinc/10/08/94/521100894.db2.gz PPTLLNXNTVQUMJ-HXUWFJFHSA-N 0 3 313.441 4.209 20 0 DIADHN CCC[C@H](NC[C@](C)(O)c1ccccc1Cl)c1cccnc1 ZINC000157517307 521160654 /nfs/dbraw/zinc/16/06/54/521160654.db2.gz OKXXPKXDVKEXMZ-ROUUACIJSA-N 0 3 318.848 4.074 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cc(F)cc2cccnc21 ZINC000339313907 521327874 /nfs/dbraw/zinc/32/78/74/521327874.db2.gz FBVFTKDJEJLOTM-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN CC[N@H+](Cc1cccs1)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338266171 521406910 /nfs/dbraw/zinc/40/69/10/521406910.db2.gz MRNJALGMNLWELW-UHFFFAOYSA-N 0 3 312.438 4.332 20 0 DIADHN CC[N@@H+](Cc1cccs1)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338266171 521406916 /nfs/dbraw/zinc/40/69/16/521406916.db2.gz MRNJALGMNLWELW-UHFFFAOYSA-N 0 3 312.438 4.332 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(O)c2nc(C)ccc12 ZINC000338266171 521406920 /nfs/dbraw/zinc/40/69/20/521406920.db2.gz MRNJALGMNLWELW-UHFFFAOYSA-N 0 3 312.438 4.332 20 0 DIADHN CCC(CC)(NCc1cn(C)nc1C)c1ccc(Cl)cc1 ZINC000162632331 521474570 /nfs/dbraw/zinc/47/45/70/521474570.db2.gz RKCVMKAANPPMAQ-UHFFFAOYSA-N 0 3 305.853 4.187 20 0 DIADHN CCCN(CCC)[C@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000267912377 521650395 /nfs/dbraw/zinc/65/03/95/521650395.db2.gz OMVDVKWEQXORSG-LLVKDONJSA-N 0 3 307.463 4.197 20 0 DIADHN CCCn1ccc2ccc(NC(=O)C[C@H](N)c3ccccc3)cc21 ZINC000119232427 521657845 /nfs/dbraw/zinc/65/78/45/521657845.db2.gz FETMAFMEYUEWSC-SFHVURJKSA-N 0 3 321.424 4.080 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H]2CCCc3nn(C)cc32)cc1 ZINC000338018967 521750590 /nfs/dbraw/zinc/75/05/90/521750590.db2.gz OKUDTAMCAFIJHT-SUMWQHHRSA-N 0 3 315.486 4.260 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cc(C)sc2C)cc1F ZINC000182755584 522035427 /nfs/dbraw/zinc/03/54/27/522035427.db2.gz CZCRBSPJBZQGHE-NSHDSACASA-N 0 3 321.417 4.141 20 0 DIADHN CC[C@H](CO)N(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337185655 522203784 /nfs/dbraw/zinc/20/37/84/522203784.db2.gz CSVCLWFWSRKQHG-HXUWFJFHSA-N 0 3 320.436 4.008 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](C)c2cccc(OC)c2)s1 ZINC000268805139 522222794 /nfs/dbraw/zinc/22/27/94/522222794.db2.gz RMIXYDTZFWUAKQ-NWDGAFQWSA-N 0 3 304.459 4.434 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCc2csc(C)n2)s1 ZINC000124341686 522229205 /nfs/dbraw/zinc/22/92/05/522229205.db2.gz PEWWCHXKCMKMLG-NSHDSACASA-N 0 3 309.504 4.014 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H]1CCc3c1cccc3O)CCC2 ZINC000130450852 522475607 /nfs/dbraw/zinc/47/56/07/522475607.db2.gz HJMZVNOFNVCRAZ-KBPBESRZSA-N 0 3 314.454 4.066 20 0 DIADHN CCc1nc2cc(CNCc3ccsc3)ccc2n1C1CC1 ZINC000338433694 522483194 /nfs/dbraw/zinc/48/31/94/522483194.db2.gz CGBGQAYBJYDPLM-UHFFFAOYSA-N 0 3 311.454 4.285 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](C)c1nccnc1C ZINC000188267992 522618905 /nfs/dbraw/zinc/61/89/05/522618905.db2.gz ZONBUJPZSHONAT-CABCVRRESA-N 0 3 301.459 4.007 20 0 DIADHN COC1CCC(N[C@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000366264977 522692713 /nfs/dbraw/zinc/69/27/13/522692713.db2.gz FMQJRBLCGREHSB-AFMYVXGZSA-N 0 3 310.441 4.027 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccccc1 ZINC000264848246 522697903 /nfs/dbraw/zinc/69/79/03/522697903.db2.gz ILQAFUBASJUJKA-KSSFIOAISA-N 0 3 308.425 4.007 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000338025562 522715987 /nfs/dbraw/zinc/71/59/87/522715987.db2.gz XNERCWCZTMSSAK-JKSUJKDBSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccc(F)cc1 ZINC000158875473 522739475 /nfs/dbraw/zinc/73/94/75/522739475.db2.gz CDZDLXZTICIHQH-KUHUBIRLSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@@H](NCCCn1ccnc1C)c1cccc(Cl)c1F ZINC000338013424 522834253 /nfs/dbraw/zinc/83/42/53/522834253.db2.gz RSPCTLJFIQZKHI-OAHLLOKOSA-N 0 3 309.816 4.115 20 0 DIADHN CC[C@@H](NCCc1c(C)noc1C)c1ccccc1OC(F)F ZINC000156040552 522842808 /nfs/dbraw/zinc/84/28/08/522842808.db2.gz AXYJLLDZDQLFIV-OAHLLOKOSA-N 0 3 324.371 4.176 20 0 DIADHN COCC1(CCNCc2cc(C(F)(F)F)ccc2F)CCC1 ZINC000339452075 522863375 /nfs/dbraw/zinc/86/33/75/522863375.db2.gz YYRQHAJAOGABNC-UHFFFAOYSA-N 0 3 319.342 4.141 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(F)cc1N(C)C)c1ccncc1 ZINC000163763242 522911529 /nfs/dbraw/zinc/91/15/29/522911529.db2.gz SRSWXINXCCUNDH-SUMWQHHRSA-N 0 3 301.409 4.089 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CCC2)c1c(C)nn(C)c1C ZINC000156616466 522937608 /nfs/dbraw/zinc/93/76/08/522937608.db2.gz IZEJGQWGISPUKL-BFUOFWGJSA-N 0 3 311.473 4.328 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(OC(F)F)c1)c1ccncc1 ZINC000163692995 522937633 /nfs/dbraw/zinc/93/76/33/522937633.db2.gz KXZXJVIPKFODBY-MLGOLLRUSA-N 0 3 306.356 4.485 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(F)cc2)ccc1SC ZINC000131797888 522945528 /nfs/dbraw/zinc/94/55/28/522945528.db2.gz DFONVFLRFQHRFY-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN CC[C@@H](N[C@H]1CCSc2ccc(F)cc21)c1ccncc1 ZINC000163614235 522951078 /nfs/dbraw/zinc/95/10/78/522951078.db2.gz PCXMLGRWPAFKHI-CVEARBPZSA-N 0 3 302.418 4.499 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H](C)c1sc(C)nc1C ZINC000338006496 523010640 /nfs/dbraw/zinc/01/06/40/523010640.db2.gz CJUDKPQZDPICEN-BONVTDFDSA-N 0 3 320.433 4.246 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000157910519 523474511 /nfs/dbraw/zinc/47/45/11/523474511.db2.gz BUISCTOOIQAZNJ-HOTGVXAUSA-N 0 3 320.440 4.377 20 0 DIADHN CN(Cc1cncn1C)[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000337218408 523504439 /nfs/dbraw/zinc/50/44/39/523504439.db2.gz BVRORFMWACHDTE-SFHVURJKSA-N 0 3 317.864 4.437 20 0 DIADHN CN1CCC(N[C@H](c2cc3ccccc3o2)c2ccccc2)CC1 ZINC000237073750 523777676 /nfs/dbraw/zinc/77/76/76/523777676.db2.gz PCQYVMYCXRUFLN-NRFANRHFSA-N 0 3 320.436 4.206 20 0 DIADHN CCc1cc(OC)ccc1CN[C@H]1CCCc2sccc21 ZINC000337280121 523839339 /nfs/dbraw/zinc/83/93/39/523839339.db2.gz HCLFLOHBCNSEII-KRWDZBQOSA-N 0 3 301.455 4.486 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(OCCOC)cc2)s1 ZINC000156284836 523944055 /nfs/dbraw/zinc/94/40/55/523944055.db2.gz QVHWJXIJWZEWFY-AWEZNQCLSA-N 0 3 319.470 4.187 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(NC(C)=O)cc2)s1 ZINC000181948455 523945567 /nfs/dbraw/zinc/94/55/67/523945567.db2.gz GNKRDZXJZYTRDK-GFCCVEGCSA-N 0 3 302.443 4.120 20 0 DIADHN CCc1cccc(CNC2(c3cccc(F)c3)CCOCC2)c1 ZINC000189714724 524070128 /nfs/dbraw/zinc/07/01/28/524070128.db2.gz SADYLGCJTBDZAG-UHFFFAOYSA-N 0 3 313.416 4.184 20 0 DIADHN COc1ccc(CN[C@H]2CC(C)(C)CCc3ccccc32)nc1 ZINC000338363448 524092300 /nfs/dbraw/zinc/09/23/00/524092300.db2.gz HDVBNTFEBQSHID-IBGZPJMESA-N 0 3 310.441 4.284 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2sc(C)nc2C)CC1 ZINC000336837886 524223448 /nfs/dbraw/zinc/22/34/48/524223448.db2.gz OOSJLYCNPZEFNE-UHFFFAOYSA-N 0 3 303.475 4.015 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN[C@H]1CCCC1(C)C ZINC000191297033 524235135 /nfs/dbraw/zinc/23/51/35/524235135.db2.gz PJGXWFDXFMZVFS-INIZCTEOSA-N 0 3 302.462 4.225 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H]1CC[C@H](c2ccccc2)C1 ZINC000130249318 524280786 /nfs/dbraw/zinc/28/07/86/524280786.db2.gz WJUKMQLXKHYWGM-RBUKOAKNSA-N 0 3 322.452 4.168 20 0 DIADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000245873675 524368098 /nfs/dbraw/zinc/36/80/98/524368098.db2.gz LTFUCZBGQXNQGU-ATCWAGBWSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)c(C)c1 ZINC000180283728 524399661 /nfs/dbraw/zinc/39/96/61/524399661.db2.gz RNWPXGPPSVDKOL-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@@H](C)c3ccc(C)cc3)cn2)n1 ZINC000162842396 524487810 /nfs/dbraw/zinc/48/78/10/524487810.db2.gz ZUZQNUCPKLNHQO-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CNCc2ccsc2C)n1 ZINC000339296204 524494962 /nfs/dbraw/zinc/49/49/62/524494962.db2.gz ALHJJHHHFGUGBB-UHFFFAOYSA-N 0 3 311.454 4.149 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cnc3ccccc3c2)C1 ZINC000337190065 524758160 /nfs/dbraw/zinc/75/81/60/524758160.db2.gz RMLICBWGIVTAQX-GOSISDBHSA-N 0 3 318.420 4.233 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)c1C ZINC000158814969 524832329 /nfs/dbraw/zinc/83/23/29/524832329.db2.gz XBNXKTHISAMJOP-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H](C[C@H]1CCCO1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000156836524 524860885 /nfs/dbraw/zinc/86/08/85/524860885.db2.gz NPANCJLRRCTAHO-OFQRWUPVSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)CC(=O)N3C)c1C ZINC000268907819 524873780 /nfs/dbraw/zinc/87/37/80/524873780.db2.gz NMTVNVRVCAZEFX-CVEARBPZSA-N 0 3 322.452 4.234 20 0 DIADHN Cc1cccc([C@H](C)NCc2cn(C)nc2-c2ccccc2)c1 ZINC000181037318 524875418 /nfs/dbraw/zinc/87/54/18/524875418.db2.gz VFCLZTTWRMFXOG-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cccc2cc(C(=O)Nc3cc(CN(C)C)ccc3C)oc21 ZINC000267134092 524973413 /nfs/dbraw/zinc/97/34/13/524973413.db2.gz FSFVOJAWNGYKIJ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](C)c2ncc(C)s2)c(F)c1 ZINC000186767535 525151938 /nfs/dbraw/zinc/15/19/38/525151938.db2.gz DHRSFUYIVOKOTD-CMPLNLGQSA-N 0 3 308.422 4.054 20 0 DIADHN COc1ccc([C@@H](NCc2cccc3[nH]ccc32)C2CC2)cc1 ZINC000237333435 525201977 /nfs/dbraw/zinc/20/19/77/525201977.db2.gz DKKRHWHYVMJABS-FQEVSTJZSA-N 0 3 306.409 4.417 20 0 DIADHN C[C@]1(NCc2cnc(C(F)(F)F)s2)C[C@H]1c1ccccc1 ZINC000339473342 525298961 /nfs/dbraw/zinc/29/89/61/525298961.db2.gz PWKTXZDSEFHDKB-JSGCOSHPSA-N 0 3 312.360 4.198 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC(C)C)cc2)cc1F ZINC000237250490 525323582 /nfs/dbraw/zinc/32/35/82/525323582.db2.gz XGTHCDSWEITPHQ-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN C[C@H](NCCCc1ccc(Cl)cc1Cl)c1cnccn1 ZINC000156882598 525344710 /nfs/dbraw/zinc/34/47/10/525344710.db2.gz RWQRBKQBQQBIRF-NSHDSACASA-N 0 3 310.228 4.067 20 0 DIADHN Cc1ccccc1[C@@H](C)NCC(=O)Nc1c(C)cccc1Cl ZINC000181552999 525360428 /nfs/dbraw/zinc/36/04/28/525360428.db2.gz RYJBACIXCAYECY-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cnc(C)nc2C)C(C)C)cc1 ZINC000163039914 525373084 /nfs/dbraw/zinc/37/30/84/525373084.db2.gz GAKFTCCOJSAHCE-IFXJQAMLSA-N 0 3 313.445 4.150 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cnc(C)nc2C)C(C)C)cc1 ZINC000163039803 525374046 /nfs/dbraw/zinc/37/40/46/525374046.db2.gz GAKFTCCOJSAHCE-AUUYWEPGSA-N 0 3 313.445 4.150 20 0 DIADHN C[C@@H](NCC[S@@](=O)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000127580173 525460257 /nfs/dbraw/zinc/46/02/57/525460257.db2.gz POPCUOSSTORYAP-PEBXRYMYSA-N 0 3 319.470 4.144 20 0 DIADHN C[C@@H](NCC[S@](=O)C(C)(C)C)c1cc2ccccc2s1 ZINC000188028948 525461588 /nfs/dbraw/zinc/46/15/88/525461588.db2.gz ZNYPAHOTLGLWIB-ODXCJYRJSA-N 0 3 309.500 4.099 20 0 DIADHN C[C@@H](NCCc1nccs1)c1cc(Cl)sc1Cl ZINC000127901211 525468026 /nfs/dbraw/zinc/46/80/26/525468026.db2.gz WNQDXYBATIOOHF-SSDOTTSWSA-N 0 3 307.271 4.405 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(O)c3nc(C)ccc23)c(C)o1 ZINC000338300288 525521145 /nfs/dbraw/zinc/52/11/45/525521145.db2.gz ZTVVZXJKLWYJIM-ZDUSSCGKSA-N 0 3 310.397 4.309 20 0 DIADHN C[C@@H](NCc1ccc2ncccc2c1)c1cnn(C2CCCC2)c1 ZINC000337467783 525530668 /nfs/dbraw/zinc/53/06/68/525530668.db2.gz MMNUOYPQMCLMFJ-OAHLLOKOSA-N 0 3 320.440 4.397 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)c1cnn(C)c1 ZINC000163830128 525534913 /nfs/dbraw/zinc/53/49/13/525534913.db2.gz IVDHLCCWXIVKFG-HOTGVXAUSA-N 0 3 305.425 4.499 20 0 DIADHN Cc1cccnc1[C@@H](NC[C@@H](O)c1ccccc1Cl)C(C)C ZINC000266029423 525548024 /nfs/dbraw/zinc/54/80/24/525548024.db2.gz ZXKSEXPQOFYOTJ-SJORKVTESA-N 0 3 318.848 4.064 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](N[C@H](C)c2ccncc2F)C1 ZINC000338015338 525551442 /nfs/dbraw/zinc/55/14/42/525551442.db2.gz CJQAJGQFPCUUEX-OAGGEKHMSA-N 0 3 313.420 4.301 20 0 DIADHN C[C@H](N[C@@H]1c2cccc(F)c2CC[C@H]1C)c1cncc(F)c1 ZINC000163305296 525591627 /nfs/dbraw/zinc/59/16/27/525591627.db2.gz OVXRYOIPNRFRID-SOZUMNATSA-N 0 3 302.368 4.334 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000182159010 525624917 /nfs/dbraw/zinc/62/49/17/525624917.db2.gz HKYJBDCWVSEILP-CIISACIHSA-N 0 3 309.381 4.207 20 0 DIADHN CSCc1cccc(CN[C@H]2CCCc3nc(C)sc32)c1 ZINC000188724577 525739891 /nfs/dbraw/zinc/73/98/91/525739891.db2.gz AIPSPJGUXVIQEH-HNNXBMFYSA-N 0 3 318.511 4.482 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnc3C)cc2)o1 ZINC000158142933 525739806 /nfs/dbraw/zinc/73/98/06/525739806.db2.gz DMYBHOXZQCZOQB-LSDHHAIUSA-N 0 3 309.413 4.494 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCCc3nc(C)sc32)c1 ZINC000188724554 525740773 /nfs/dbraw/zinc/74/07/73/525740773.db2.gz AIPSPJGUXVIQEH-OAHLLOKOSA-N 0 3 318.511 4.482 20 0 DIADHN Cc1c(Cl)c(CN[C@@H](C)C2CCOCC2)nc2ccccc12 ZINC000179859839 525746460 /nfs/dbraw/zinc/74/64/60/525746460.db2.gz BADMWBNTESEICN-ZDUSSCGKSA-N 0 3 318.848 4.101 20 0 DIADHN CSCc1cnc(CN[C@@H](C)CCCC(F)(F)F)s1 ZINC000339373150 525754447 /nfs/dbraw/zinc/75/44/47/525754447.db2.gz SLNWOJWZAZSFKQ-VIFPVBQESA-N 0 3 312.426 4.217 20 0 DIADHN CSCc1cnc(CN[C@@H](C)CCc2ccc(C)o2)s1 ZINC000339283032 525754821 /nfs/dbraw/zinc/75/48/21/525754821.db2.gz MADKMNLZNNSFDF-NSHDSACASA-N 0 3 310.488 4.018 20 0 DIADHN Cc1ccc(-c2csc(CN[C@@H](C)C3CCOCC3)n2)cc1 ZINC000268787364 525781160 /nfs/dbraw/zinc/78/11/60/525781160.db2.gz KRZZJHADOHESTL-AWEZNQCLSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@@H](O)C[C@H](C)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000157597164 525831811 /nfs/dbraw/zinc/83/18/11/525831811.db2.gz VHWNGFCWGOTFJM-IQJOONFLSA-N 0 3 322.301 4.429 20 0 DIADHN Cc1ccsc1C[N@@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338248819 525838070 /nfs/dbraw/zinc/83/80/70/525838070.db2.gz CVGBWHUGCPTRAX-UHFFFAOYSA-N 0 3 312.438 4.251 20 0 DIADHN Cc1ccsc1C[N@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000338248819 525838076 /nfs/dbraw/zinc/83/80/76/525838076.db2.gz CVGBWHUGCPTRAX-UHFFFAOYSA-N 0 3 312.438 4.251 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccc(O)c2nc(C)ccc12 ZINC000338248819 525838084 /nfs/dbraw/zinc/83/80/84/525838084.db2.gz CVGBWHUGCPTRAX-UHFFFAOYSA-N 0 3 312.438 4.251 20 0 DIADHN C[C@@]1(NCc2nc(-c3ccccc3)c[nH]2)C[C@H]1c1ccccc1 ZINC000339472998 525876048 /nfs/dbraw/zinc/87/60/48/525876048.db2.gz ONFRFYAWMBYVMC-FXAWDEMLSA-N 0 3 303.409 4.113 20 0 DIADHN C[C@@]1(NCc2nc(-c3ccccc3)c[nH]2)C[C@@H]1c1ccccc1 ZINC000339473005 525876406 /nfs/dbraw/zinc/87/64/06/525876406.db2.gz ONFRFYAWMBYVMC-YLJYHZDGSA-N 0 3 303.409 4.113 20 0 DIADHN Cc1c([C@@H](C)NCCSC(C)C)cnn1-c1ccc(F)cc1 ZINC000338278995 525893246 /nfs/dbraw/zinc/89/32/46/525893246.db2.gz IGGRQQFXLKNDDB-CYBMUJFWSA-N 0 3 321.465 4.112 20 0 DIADHN Cc1c([C@@H](C)NCc2cccc3cccnc32)cnn1C(C)(C)C ZINC000191238009 525900582 /nfs/dbraw/zinc/90/05/82/525900582.db2.gz QZFKSBRGJYSFBX-CQSZACIVSA-N 0 3 322.456 4.346 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2c(C)cccc2Cl)cc1 ZINC000182336073 525917331 /nfs/dbraw/zinc/91/73/31/525917331.db2.gz XHMANGONLJDRRA-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1c([C@H](C)NCCSC(C)C)cnn1-c1ccc(F)cc1 ZINC000338279002 525918665 /nfs/dbraw/zinc/91/86/65/525918665.db2.gz IGGRQQFXLKNDDB-ZDUSSCGKSA-N 0 3 321.465 4.112 20 0 DIADHN Cc1c([C@H](C)NCc2cccc3cccnc32)cnn1C(C)C ZINC000191237988 525919549 /nfs/dbraw/zinc/91/95/49/525919549.db2.gz MRRCGPHIRPABCS-AWEZNQCLSA-N 0 3 308.429 4.171 20 0 DIADHN Cc1c([C@H](C)NCc2cccc3cccnc32)cnn1C(C)(C)C ZINC000191238028 525920782 /nfs/dbraw/zinc/92/07/82/525920782.db2.gz QZFKSBRGJYSFBX-AWEZNQCLSA-N 0 3 322.456 4.346 20 0 DIADHN Cc1ccc([C@H]2CCCCN2CCCO[C@H]2CCCCO2)o1 ZINC000338310035 525996347 /nfs/dbraw/zinc/99/63/47/525996347.db2.gz XQOXKOXZVMKHHL-AEFFLSMTSA-N 0 3 307.434 4.048 20 0 DIADHN COc1cccc(CN[C@@H]2CCSc3ccc(OC)cc32)c1 ZINC000191488375 526034876 /nfs/dbraw/zinc/03/48/76/526034876.db2.gz QLOROMIOOQOYPU-QGZVFWFLSA-N 0 3 315.438 4.031 20 0 DIADHN Cc1cc(-c2nnc(CN(C)[C@H](C)C3CCCCC3)o2)c(C)o1 ZINC000338345418 526058977 /nfs/dbraw/zinc/05/89/77/526058977.db2.gz JEMMTMBSLPZBSA-CYBMUJFWSA-N 0 3 317.433 4.347 20 0 DIADHN CSc1ccc([C@H](C)NC[C@@](C)(O)c2cccs2)cc1 ZINC000266822844 526071577 /nfs/dbraw/zinc/07/15/77/526071577.db2.gz ZRWCUTXJYGEFEJ-BLLLJJGKSA-N 0 3 307.484 4.028 20 0 DIADHN Cc1ccc2c(CN3CCC[C@H](C(F)(F)F)C3)ccc(O)c2n1 ZINC000338251093 526128795 /nfs/dbraw/zinc/12/87/95/526128795.db2.gz CEGPYDOVNWJIOU-ZDUSSCGKSA-N 0 3 324.346 4.023 20 0 DIADHN C[C@H](C(=O)Nc1ccc(CN(C)C)cc1)c1ccc(Cl)s1 ZINC000267346276 526136603 /nfs/dbraw/zinc/13/66/03/526136603.db2.gz ISGKBSASULBWHO-NSHDSACASA-N 0 3 322.861 4.205 20 0 DIADHN C[C@@H](c1ccco1)N(CCc1nc(-c2ccccc2)no1)C1CC1 ZINC000124293647 526139440 /nfs/dbraw/zinc/13/94/40/526139440.db2.gz KOMUVZAMMSMYMX-AWEZNQCLSA-N 0 3 323.396 4.098 20 0 DIADHN C[C@@H](c1cnccn1)N1CC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000364138408 526158802 /nfs/dbraw/zinc/15/88/02/526158802.db2.gz IZCMDUFQDBFXLG-JSGCOSHPSA-N 0 3 321.346 4.046 20 0 DIADHN CSc1ccsc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000270402274 526202852 /nfs/dbraw/zinc/20/28/52/526202852.db2.gz WIUSIRLYLJXHRV-UHFFFAOYSA-N 0 3 320.483 4.092 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)[C@H](C)C2 ZINC000180537687 526212445 /nfs/dbraw/zinc/21/24/45/526212445.db2.gz DVGKYLMNDIAZFE-BIENJYKASA-N 0 3 323.440 4.070 20 0 DIADHN Cc1ccc2cc(CN[C@H](CCCO)c3ccccc3)ccc2n1 ZINC000189356944 526232231 /nfs/dbraw/zinc/23/22/31/526232231.db2.gz HPBXPNHTGYKKNW-HXUWFJFHSA-N 0 3 320.436 4.147 20 0 DIADHN O[C@H](C[C@@H]1CCCCCN1CCC(F)(F)F)c1cccs1 ZINC000267427156 526295091 /nfs/dbraw/zinc/29/50/91/526295091.db2.gz BNVVHGWRSHRGNN-QWHCGFSZSA-N 0 3 321.408 4.369 20 0 DIADHN Cc1csc(CCCCNCc2ccc([C@@H]3C[C@@H]3C)o2)n1 ZINC000237659110 526338261 /nfs/dbraw/zinc/33/82/61/526338261.db2.gz XVGCCSOZZZWPRX-SWLSCSKDSA-N 0 3 304.459 4.280 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cccc2cccnc21 ZINC000191656782 526366919 /nfs/dbraw/zinc/36/69/19/526366919.db2.gz ATXSVXDGGUYGGE-SFHVURJKSA-N 0 3 304.393 4.108 20 0 DIADHN Fc1ccc2oc(CN[C@@H]3CCCC[C@H]3OC(F)F)cc2c1 ZINC000337295965 526378055 /nfs/dbraw/zinc/37/80/55/526378055.db2.gz NVPGAMAYUJZWNE-UKRRQHHQSA-N 0 3 313.319 4.212 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000339380791 526416361 /nfs/dbraw/zinc/41/63/61/526416361.db2.gz QZSXIVOIZRGALY-GFCCVEGCSA-N 0 3 324.321 4.229 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@H]1CCO[C@@H](C2CC2)C1 ZINC000157065438 526435735 /nfs/dbraw/zinc/43/57/35/526435735.db2.gz RVCRGGCNJPODRY-MAUKXSAKSA-N 0 3 313.397 4.119 20 0 DIADHN c1cnn(Cc2cccc(CN[C@@H]3CCCc4sccc43)c2)c1 ZINC000129462332 526557951 /nfs/dbraw/zinc/55/79/51/526557951.db2.gz MUOFMBXPGWCIFM-GOSISDBHSA-N 0 3 323.465 4.160 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)N[C@@H]1CCCOC1 ZINC000132550924 526574801 /nfs/dbraw/zinc/57/48/01/526574801.db2.gz ZBVSJHXFXQRKOG-IAQYHMDHSA-N 0 3 320.433 4.087 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H]1CCCOc2cc(F)ccc21 ZINC000182164209 526575871 /nfs/dbraw/zinc/57/58/71/526575871.db2.gz VPNPXDYZJGITDI-BDJLRTHQSA-N 0 3 318.392 4.038 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H]1CCCOc2cc(F)ccc21 ZINC000182164247 526575930 /nfs/dbraw/zinc/57/59/30/526575930.db2.gz VPNPXDYZJGITDI-BZNIZROVSA-N 0 3 318.392 4.038 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)NCCOCC(C)C)cc2)cs1 ZINC000337318210 526579311 /nfs/dbraw/zinc/57/93/11/526579311.db2.gz MHIXDIJHNINNOE-CQSZACIVSA-N 0 3 318.486 4.442 20 0 DIADHN Cc1noc(C2CCC(N[C@H](C)c3ccc(F)cc3F)CC2)n1 ZINC000267822377 526586591 /nfs/dbraw/zinc/58/65/91/526586591.db2.gz XWPXNGSEQFLNNO-PWQPVHBWSA-N 0 3 321.371 4.033 20 0 DIADHN Cc1noc(CCCN2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000266884953 526602770 /nfs/dbraw/zinc/60/27/70/526602770.db2.gz PYSOUZHANCFUGB-SFHVURJKSA-N 0 3 324.428 4.019 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NC[C@@H](O)c1ccsc1 ZINC000154544515 526661038 /nfs/dbraw/zinc/66/10/38/526661038.db2.gz ZAHDXCBIYBELFY-ZWNOBZJWSA-N 0 3 324.515 4.195 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCCOc2ccccc2)s1 ZINC000106876853 526750227 /nfs/dbraw/zinc/75/02/27/526750227.db2.gz KPOQQCISJRFJME-AWEZNQCLSA-N 0 3 304.459 4.222 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2cccc3cc[nH]c32)CC1 ZINC000271037004 526791878 /nfs/dbraw/zinc/79/18/78/526791878.db2.gz KIEQNBOAJRTLRU-UHFFFAOYSA-N 0 3 318.420 4.263 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@@H]2CCCC(F)(F)C2)cs1 ZINC000338295531 527080376 /nfs/dbraw/zinc/08/03/76/527080376.db2.gz OFZUAHZSHTYCOI-TZMCWYRMSA-N 0 3 300.418 4.414 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCc3c1cccc3F)CCC2 ZINC000163587172 527113449 /nfs/dbraw/zinc/11/34/49/527113449.db2.gz LLSITWJMJJBLFK-GOEBONIOSA-N 0 3 302.418 4.245 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(CC(C)C)cc2)C(C)C)cn1 ZINC000338409651 527275912 /nfs/dbraw/zinc/27/59/12/527275912.db2.gz XQBKSGNKFVHTIO-HXUWFJFHSA-N 0 3 311.473 4.470 20 0 DIADHN Cc1ncc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000339280497 527279672 /nfs/dbraw/zinc/27/96/72/527279672.db2.gz DTYSSOGOKJSKDE-QGZVFWFLSA-N 0 3 301.409 4.191 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H]1CCC(C)(C)c2ccccc21 ZINC000338012659 527330497 /nfs/dbraw/zinc/33/04/97/527330497.db2.gz LKMNEZFRPDBZHR-ROUUACIJSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC000187887142 527455152 /nfs/dbraw/zinc/45/51/52/527455152.db2.gz AYZVEOUYLAGABE-DGCLKSJQSA-N 0 3 323.362 4.086 20 0 DIADHN O[C@@H](C[C@@H]1CCCCCN1CCC(F)(F)F)c1cccs1 ZINC000267427157 527663261 /nfs/dbraw/zinc/66/32/61/527663261.db2.gz BNVVHGWRSHRGNN-STQMWFEESA-N 0 3 321.408 4.369 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@H]2CCCC(F)(F)C2)s1 ZINC000337311845 527690603 /nfs/dbraw/zinc/69/06/03/527690603.db2.gz VIFAIAWHJSVSDV-QMMMGPOBSA-N 0 3 314.323 4.077 20 0 DIADHN FC(F)O[C@@H]1CCCC[C@H]1NCc1cnc(C2CCC2)s1 ZINC000337271420 527694942 /nfs/dbraw/zinc/69/49/42/527694942.db2.gz DAQQOVARHRPPTP-CHWSQXEVSA-N 0 3 316.417 4.051 20 0 DIADHN FC(F)Sc1ccc(CN2CCC[C@H](c3ncc[nH]3)C2)cc1 ZINC000266937836 527697683 /nfs/dbraw/zinc/69/76/83/527697683.db2.gz QDYXSDMYSOIUPO-ZDUSSCGKSA-N 0 3 323.412 4.104 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H](C)Cn2ccnc2)cc1Cl ZINC000284393798 535020318 /nfs/dbraw/zinc/02/03/18/535020318.db2.gz PIECBOZDFJRTOH-ZIAGYGMSSA-N 0 3 321.852 4.063 20 0 DIADHN CC(C)N(CCC(=O)Nc1ccccc1Cl)Cc1ccco1 ZINC000299403497 535050257 /nfs/dbraw/zinc/05/02/57/535050257.db2.gz WSQYCOMJUWOGQZ-UHFFFAOYSA-N 0 3 320.820 4.172 20 0 DIADHN CC(C)COC[C@@H](NCCCCC(F)(F)F)c1ccco1 ZINC000273543417 535180876 /nfs/dbraw/zinc/18/08/76/535180876.db2.gz WCDQGWYYAPNYNT-CYBMUJFWSA-N 0 3 307.356 4.316 20 0 DIADHN CC[C@@H](C)N(CCCCCF)Cc1nc2ccccc2c(=O)[nH]1 ZINC000284196643 535552928 /nfs/dbraw/zinc/55/29/28/535552928.db2.gz SFBAVKKDYIWKPR-CQSZACIVSA-N 0 3 319.424 4.076 20 0 DIADHN CCSc1cc(CN[C@@H]2CCCc3sccc32)ccn1 ZINC000275137271 535731913 /nfs/dbraw/zinc/73/19/13/535731913.db2.gz PZIBGYFPNRIWPA-CQSZACIVSA-N 0 3 304.484 4.422 20 0 DIADHN CCSc1cccc(CN[C@H]2CCCc3[nH]c(=O)ccc32)c1 ZINC000274447124 535744125 /nfs/dbraw/zinc/74/41/25/535744125.db2.gz QEZVPEDOBDHXDC-INIZCTEOSA-N 0 3 314.454 4.066 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2occc21)c1ccc(OC)c(OC)c1 ZINC000120786906 535809075 /nfs/dbraw/zinc/80/90/75/535809075.db2.gz PIIINHBNFMZQGP-CVEARBPZSA-N 0 3 315.413 4.415 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)OC)c1)c1cccc(C)c1 ZINC000287412669 535919302 /nfs/dbraw/zinc/91/93/02/535919302.db2.gz JQZTVAGMMUMLQM-SFHVURJKSA-N 0 3 312.413 4.414 20 0 DIADHN CC[C@H](NCc1cccc(OCCCOC)c1)c1ccsc1 ZINC000297112594 535920260 /nfs/dbraw/zinc/92/02/60/535920260.db2.gz YFBYNJOZKWZPKP-SFHVURJKSA-N 0 3 319.470 4.404 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CC(=O)N2C)c1cccc(C)c1 ZINC000286292546 535941328 /nfs/dbraw/zinc/94/13/28/535941328.db2.gz WGPKAEQERPPFSP-BEFAXECRSA-N 0 3 322.452 4.316 20 0 DIADHN CC[C@H](N[C@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1F ZINC000274068250 535946000 /nfs/dbraw/zinc/94/60/00/535946000.db2.gz OUUHIXFVVOMGKY-KBPBESRZSA-N 0 3 307.800 4.321 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2csc(C)c2)cc1OC ZINC000296371551 536624773 /nfs/dbraw/zinc/62/47/73/536624773.db2.gz KAEUGWRXYWFQOF-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN COC[C@H](NCCCC(F)(F)F)c1cccc(Cl)c1F ZINC000287752089 536875915 /nfs/dbraw/zinc/87/59/15/536875915.db2.gz QJCNTZCEEHTGGM-NSHDSACASA-N 0 3 313.722 4.099 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000286868440 536903884 /nfs/dbraw/zinc/90/38/84/536903884.db2.gz BOKOGNLMFRJJSV-UGSOOPFHSA-N 0 3 319.832 4.123 20 0 DIADHN Cc1nc2ccc(Nc3ccsc3Br)cc2[nH]1 ZINC001213073624 1050576198 /nfs/dbraw/zinc/57/61/98/1050576198.db2.gz UBWWXVVGBPFCBR-UHFFFAOYSA-N 0 3 308.204 4.439 20 0 DIADHN Cc1cc(CN(CCOC(C)C)CCOC(C)C)sc1C ZINC001233686535 1054331084 /nfs/dbraw/zinc/33/10/84/1054331084.db2.gz YRHUABFWVVRJSM-UHFFFAOYSA-N 0 3 313.507 4.017 20 0 DIADHN C[C@H](NCc1sncc1Br)[C@@H]1CCC[C@H](C)C1 ZINC001473553789 1061239766 /nfs/dbraw/zinc/23/97/66/1061239766.db2.gz PYCVWFXIVHFHML-GARJFASQSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCCO2)c1ccsc1 ZINC000061360695 1035501547 /nfs/dbraw/zinc/50/15/47/1035501547.db2.gz BCVPQPWNCHOLHY-QWHCGFSZSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1cccc(CCN2CCC[C@H]2c2nc3ccccc3s2)n1 ZINC000579704089 1035522238 /nfs/dbraw/zinc/52/22/38/1035522238.db2.gz AEBLHXXNUWSOKX-KRWDZBQOSA-N 0 3 323.465 4.379 20 0 DIADHN Fc1ccccc1CCNCc1ccc(CSC(F)F)o1 ZINC000035015428 1035527474 /nfs/dbraw/zinc/52/74/74/1035527474.db2.gz XAAJGQDKDZKBEV-UHFFFAOYSA-N 0 3 315.360 4.207 20 0 DIADHN CC[C@H](Cc1cccs1)[NH2+][C@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000683109363 1035543095 /nfs/dbraw/zinc/54/30/95/1035543095.db2.gz IQOBNNLPZLLDOE-YNEHKIRRSA-N 0 3 306.479 4.052 20 0 DIADHN C[C@@]1(CCN[C@H]2CCCc3scnc32)CC1(Cl)Cl ZINC000858423988 1035580194 /nfs/dbraw/zinc/58/01/94/1035580194.db2.gz ROEPGQXIESWTOP-JOYOIKCWSA-N 0 3 305.274 4.084 20 0 DIADHN C[C@]1(CCN[C@H]2CCCc3scnc32)CC1(Cl)Cl ZINC000858423987 1035581441 /nfs/dbraw/zinc/58/14/41/1035581441.db2.gz ROEPGQXIESWTOP-CABZTGNLSA-N 0 3 305.274 4.084 20 0 DIADHN C[C@]1(CCN[C@@H]2CCCc3scnc32)CC1(Cl)Cl ZINC000858423989 1035582221 /nfs/dbraw/zinc/58/22/21/1035582221.db2.gz ROEPGQXIESWTOP-SKDRFNHKSA-N 0 3 305.274 4.084 20 0 DIADHN Fc1cccc(C2(CNCc3nccs3)CCCC2)c1F ZINC000683148183 1035606010 /nfs/dbraw/zinc/60/60/10/1035606010.db2.gz VWBBIXCCIHQOIU-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN C[C@@H](NCc1ccc(C2CCC2)cc1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000683158927 1035631425 /nfs/dbraw/zinc/63/14/25/1035631425.db2.gz PUGTVFGCNVTEBE-CYBMUJFWSA-N 0 3 321.424 4.387 20 0 DIADHN Cc1noc(C)c1CN[C@@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000153942974 1035634467 /nfs/dbraw/zinc/63/44/67/1035634467.db2.gz SLWZZPNMACZQDC-MNOVXSKESA-N 0 3 315.442 4.183 20 0 DIADHN c1csc(CCN2CCn3cccc3[C@@H]2c2ccccc2)c1 ZINC000749437133 1035645073 /nfs/dbraw/zinc/64/50/73/1035645073.db2.gz QLEFQXAQDUOVLR-IBGZPJMESA-N 0 3 308.450 4.197 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2cc(C)sc2C)ccc1O ZINC000035252804 1035645281 /nfs/dbraw/zinc/64/52/81/1035645281.db2.gz PCKJAYILJJMXMG-LBPRGKRZSA-N 0 3 305.443 4.320 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1CCC1(C)C ZINC000538735313 1035656720 /nfs/dbraw/zinc/65/67/20/1035656720.db2.gz ORECJKAQXGWZOV-UHFFFAOYSA-N 0 3 317.864 4.186 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C2CCCCCC2)c(C)c1 ZINC000014705096 1035715801 /nfs/dbraw/zinc/71/58/01/1035715801.db2.gz CASAWBMGDYHDAY-UHFFFAOYSA-N 0 3 302.462 4.205 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)Cc1ccc(O)cc1O ZINC000091955838 1035716336 /nfs/dbraw/zinc/71/63/36/1035716336.db2.gz OBYHOLPLQPRGBD-UHFFFAOYSA-N 0 3 312.196 4.037 20 0 DIADHN CCCCN(CCCC)CN1C[C@H](c2cccc(F)c2)CC1=O ZINC000728195289 1035727768 /nfs/dbraw/zinc/72/77/68/1035727768.db2.gz IFDJWWSQVQIRTF-QGZVFWFLSA-N 0 3 320.452 4.001 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CC[C@@](C)(F)[C@@H](F)C1 ZINC001208203747 1035730784 /nfs/dbraw/zinc/73/07/84/1035730784.db2.gz SUXPLJFSLAJNOM-YQQAZPJKSA-N 0 3 305.453 4.380 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC(N(C)c2ccccc2)CC1 ZINC000065956244 1035746400 /nfs/dbraw/zinc/74/64/00/1035746400.db2.gz NOTIJPMLNMSNMN-INIZCTEOSA-N 0 3 312.432 4.488 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2ccccc2Cl)CC1 ZINC001203385380 1035747116 /nfs/dbraw/zinc/74/71/16/1035747116.db2.gz OWSZLQUYXNVAEE-UHFFFAOYSA-N 0 3 315.844 4.478 20 0 DIADHN Cc1ccc(CN(CCCCCCO)Cc2ccco2)s1 ZINC000175752298 1035758682 /nfs/dbraw/zinc/75/86/82/1035758682.db2.gz YNGNINKDPVGJNC-UHFFFAOYSA-N 0 3 307.459 4.204 20 0 DIADHN Clc1cncc(CN2CCC[C@H]2CCc2ccccc2)c1 ZINC001167072884 1035772334 /nfs/dbraw/zinc/77/23/34/1035772334.db2.gz VOHHXIPRZQJXPO-SFHVURJKSA-N 0 3 300.833 4.332 20 0 DIADHN CC[C@@H]1CN(CCC2CCCCCC2)CCN1CC(F)F ZINC000558400418 1035772430 /nfs/dbraw/zinc/77/24/30/1035772430.db2.gz RIDHQRZYOIUMNB-MRXNPFEDSA-N 0 3 302.453 4.008 20 0 DIADHN C[C@H](CCN1CCc2nc(C3CC3)ncc2C1)CC(C)(C)C ZINC001208662828 1035784436 /nfs/dbraw/zinc/78/44/36/1035784436.db2.gz FMUFEWFCBWECNW-CQSZACIVSA-N 0 3 301.478 4.175 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(F)c(OC)c1 ZINC000179431909 1035876603 /nfs/dbraw/zinc/87/66/03/1035876603.db2.gz FKGLPRTXSJDYOB-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN CCC[C@@H](NC[C@@](C)(O)C(F)(F)F)c1ccc(Cl)cc1 ZINC000537947306 1035878034 /nfs/dbraw/zinc/87/80/34/1035878034.db2.gz QFVZYZBRYZUEAC-CHWSQXEVSA-N 0 3 309.759 4.084 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2c1cnn2C)c1cccc(Cl)c1 ZINC000036921574 1035885803 /nfs/dbraw/zinc/88/58/03/1035885803.db2.gz HAVKCBQPRWEGSG-HZPDHXFCSA-N 0 3 303.837 4.192 20 0 DIADHN CCCCN(Cc1nnc(C)n1C1CC1)[C@H]1CCC[C@@H](C)C1 ZINC000339644150 1035897251 /nfs/dbraw/zinc/89/72/51/1035897251.db2.gz CQRLHFPXUDMVKW-PBHICJAKSA-N 0 3 304.482 4.102 20 0 DIADHN C[C@@H](CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1)C(F)(F)F ZINC001208891668 1035911639 /nfs/dbraw/zinc/91/16/39/1035911639.db2.gz KCMPMAJOEIMKAZ-SMDDNHRTSA-N 0 3 321.770 4.382 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000036979427 1035915112 /nfs/dbraw/zinc/91/51/12/1035915112.db2.gz SEZCTTKGBPRSQX-CVEARBPZSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@@H](CN1CCC(OCc2ccc(F)cc2)CC1)C(F)(F)F ZINC001208893988 1035917253 /nfs/dbraw/zinc/91/72/53/1035917253.db2.gz NAIARUOFNFNSMO-LBPRGKRZSA-N 0 3 319.342 4.005 20 0 DIADHN COc1ccc2oc(CN3CCC[C@H]3c3cccn3C)cc2c1 ZINC000179710355 1035926641 /nfs/dbraw/zinc/92/66/41/1035926641.db2.gz DGPHFAMEPYKPFR-SFHVURJKSA-N 0 3 310.397 4.117 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)c(Cl)c1 ZINC000037051741 1035926994 /nfs/dbraw/zinc/92/69/94/1035926994.db2.gz UMVPYQNDLFVAJO-BONVTDFDSA-N 0 3 324.255 4.455 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2cc(C)ccc2C)c2ccccc21 ZINC000339756170 1035960682 /nfs/dbraw/zinc/96/06/82/1035960682.db2.gz LUHFRHMNRBBSEM-UZLBHIALSA-N 0 3 322.452 4.452 20 0 DIADHN Fc1cccc([C@@H]2CCCN2CCc2ccc(F)c(F)c2)c1 ZINC001167094346 1035983409 /nfs/dbraw/zinc/98/34/09/1035983409.db2.gz MHSHVMSZHGMLGT-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN C[C@H](c1ccc(F)nc1)N(C)CCCOc1ccc(Cl)cc1 ZINC001167094709 1035989665 /nfs/dbraw/zinc/98/96/65/1035989665.db2.gz ZLFUVNDCWNAASX-CYBMUJFWSA-N 0 3 322.811 4.336 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN1CCCCC[C@@H]1C ZINC001206807892 1035995662 /nfs/dbraw/zinc/99/56/62/1035995662.db2.gz BXLDPFIJRRFLCC-ZDUSSCGKSA-N 0 3 321.465 4.048 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc(C)c(F)c(C)c1 ZINC000123620438 1036009731 /nfs/dbraw/zinc/00/97/31/1036009731.db2.gz UUSZGAIAZGKFNG-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN Cc1cccc(C2(C(=O)C(C#N)c3nc(C(C)C)cs3)CC2)c1 ZINC000080459846 1036082169 /nfs/dbraw/zinc/08/21/69/1036082169.db2.gz TVMHJXNUIKJOOW-HNNXBMFYSA-N 0 3 324.449 4.483 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)CC1CCCCC1 ZINC000029523547 1036085063 /nfs/dbraw/zinc/08/50/63/1036085063.db2.gz HGIFRJGZXFHTHF-UHFFFAOYSA-N 0 3 308.853 4.099 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC)C1CCCC1 ZINC000047966159 1036087705 /nfs/dbraw/zinc/08/77/05/1036087705.db2.gz HDTPWFCHKVQTEG-UHFFFAOYSA-N 0 3 302.462 4.014 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@H]1CC2(CO1)CCCCC2 ZINC001167107378 1036090778 /nfs/dbraw/zinc/09/07/78/1036090778.db2.gz CAJCULQAUVKYIP-GOSISDBHSA-N 0 3 302.462 4.031 20 0 DIADHN Cc1[nH]c2ccc(C(=O)Nc3cccc(CN(C)C)c3)cc2c1C ZINC000047460171 1036105647 /nfs/dbraw/zinc/10/56/47/1036105647.db2.gz DZLGGJSWHJONFN-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN COc1cc(Cl)c(CN[C@@H](C)Cc2ccco2)cc1Cl ZINC000754056174 1036105844 /nfs/dbraw/zinc/10/58/44/1036105844.db2.gz KGKKSZIYRKWIIC-JTQLQIEISA-N 0 3 314.212 4.316 20 0 DIADHN Fc1cccc(CN[C@H]2CCc3c2cccc3Br)c1 ZINC000222106760 1036112276 /nfs/dbraw/zinc/11/22/76/1036112276.db2.gz IMYBCXSXZZBHLT-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN CCC[C@@H](NCc1cc(F)cc(OC)c1)c1ccc(OC)cc1 ZINC000537951031 1036173792 /nfs/dbraw/zinc/17/37/92/1036173792.db2.gz WPHNSOLVAABYPF-LJQANCHMSA-N 0 3 317.404 4.474 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N([C@H](C)c2nc(C(C)C)no2)C1 ZINC000247061303 1036221089 /nfs/dbraw/zinc/22/10/89/1036221089.db2.gz MAYKGFQPWRZLFN-ARFHVFGLSA-N 0 3 313.445 4.440 20 0 DIADHN c1ncc(CN(Cc2ccccc2)C2CCSCC2)s1 ZINC001209550606 1036226874 /nfs/dbraw/zinc/22/68/74/1036226874.db2.gz KJGYJWRFLWETML-UHFFFAOYSA-N 0 3 304.484 4.041 20 0 DIADHN CCOc1cc(C)ccc1CN[C@@H](C)c1c[nH]c2ncccc12 ZINC000119243419 1036244933 /nfs/dbraw/zinc/24/49/33/1036244933.db2.gz JMURJSTZMVMJQU-AWEZNQCLSA-N 0 3 309.413 4.121 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)N(C)Cc1ccccc1)c1ccc(C)cc1 ZINC000340153981 1036252537 /nfs/dbraw/zinc/25/25/37/1036252537.db2.gz DCGLZMSMPYXJEC-YLJYHZDGSA-N 0 3 324.468 4.083 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(C3CC3)c2)cc1OC ZINC000340168500 1036265731 /nfs/dbraw/zinc/26/57/31/1036265731.db2.gz RPYGILWEHLZGJW-AWEZNQCLSA-N 0 3 311.425 4.432 20 0 DIADHN CC[C@H](N[C@H]1CCCc2occc21)c1ccc(C(=O)OC)cc1 ZINC000340175763 1036276778 /nfs/dbraw/zinc/27/67/78/1036276778.db2.gz PBHSRZLWKIHCJT-IRXDYDNUSA-N 0 3 313.397 4.185 20 0 DIADHN Brc1cc(CNCc2ccc3c(c2)CCC3)cs1 ZINC000397250333 1036287919 /nfs/dbraw/zinc/28/79/19/1036287919.db2.gz CJTDBJVJSKCPNS-UHFFFAOYSA-N 0 3 322.271 4.289 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccccc2C(C)C)cn1 ZINC001648798064 1036291074 /nfs/dbraw/zinc/29/10/74/1036291074.db2.gz AKUOIUIANVXZLT-UHFFFAOYSA-N 0 3 311.473 4.341 20 0 DIADHN C[C@]1(CF)CCN(Cc2cnc(-c3ccc(F)cc3)s2)C1 ZINC001140093318 1036301755 /nfs/dbraw/zinc/30/17/55/1036301755.db2.gz ACXWCWAUPBPKFQ-MRXNPFEDSA-N 0 3 308.397 4.131 20 0 DIADHN COc1ccnc(CN2CCC[C@H]2CC2CCCCC2)c1OC ZINC001167252110 1036325915 /nfs/dbraw/zinc/32/59/15/1036325915.db2.gz SYIUXHOFBZULQM-INIZCTEOSA-N 0 3 318.461 4.034 20 0 DIADHN Cc1cccc(COc2ccc(CNCc3cccn3C)cc2)c1 ZINC000237650479 1036393563 /nfs/dbraw/zinc/39/35/63/1036393563.db2.gz PJUWKSNLWPBILT-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN CC(C)[C@@H]1CC[C@H]1NCc1nc(-c2ccccc2F)cs1 ZINC000579918690 1036412542 /nfs/dbraw/zinc/41/25/42/1036412542.db2.gz JQNIXBKMGHCKBX-SWLSCSKDSA-N 0 3 304.434 4.473 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3C[C@H](C)C[C@H](C)C3)n2)ccc1F ZINC000302954648 1036414429 /nfs/dbraw/zinc/41/44/29/1036414429.db2.gz OSWMQGIMPATACC-SCRDCRAPSA-N 0 3 317.408 4.223 20 0 DIADHN CC(C)COc1ccc(CNCc2ccc3c(c2)CCC3)cc1 ZINC001648808335 1036474578 /nfs/dbraw/zinc/47/45/78/1036474578.db2.gz LCKDHULJDHGZEI-UHFFFAOYSA-N 0 3 309.453 4.500 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccc(C)c(Br)c2)c1 ZINC000634686986 1036506360 /nfs/dbraw/zinc/50/63/60/1036506360.db2.gz VTUJDEZGKJBEDG-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(OC(C)(C)C)cc2)ccn1 ZINC000655741470 1036513220 /nfs/dbraw/zinc/51/32/20/1036513220.db2.gz IRCTVKUFQQWXBA-CQSZACIVSA-N 0 3 314.429 4.118 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H]1CCCn2ccnc21 ZINC000655738855 1036513859 /nfs/dbraw/zinc/51/38/59/1036513859.db2.gz ZWMNGIFOTCWURE-ABAIWWIYSA-N 0 3 324.255 4.246 20 0 DIADHN Cc1ccc(-c2ncc(CNCCC(F)(F)F)s2)cc1C ZINC000655742530 1036514613 /nfs/dbraw/zinc/51/46/13/1036514613.db2.gz KWLIMXOVVSOSBV-UHFFFAOYSA-N 0 3 314.376 4.469 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCc2cccc3ncccc23)c1 ZINC000634687646 1036517624 /nfs/dbraw/zinc/51/76/24/1036517624.db2.gz TXJNENWCGMCARV-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCc2c1cc(F)cc2F ZINC000121549022 1036536194 /nfs/dbraw/zinc/53/61/94/1036536194.db2.gz ZAOWUJBHQYBCRR-QZTJIDSGSA-N 0 3 315.363 4.238 20 0 DIADHN CC1(C)C[C@@H]1NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000340415738 1036543887 /nfs/dbraw/zinc/54/38/87/1036543887.db2.gz MSQHSKJRLDPZGP-KRWDZBQOSA-N 0 3 316.832 4.202 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccc(F)cc2)C(C)C)cc1 ZINC000008003627 1036544518 /nfs/dbraw/zinc/54/45/18/1036544518.db2.gz RUOUFGFRDIMQRC-LJQANCHMSA-N 0 3 314.404 4.060 20 0 DIADHN CC[C@@H](NCc1cccc(Br)c1C)c1ccncc1 ZINC000655799768 1036552910 /nfs/dbraw/zinc/55/29/10/1036552910.db2.gz OZZIKAPQSXUOFW-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN COc1c(C)cccc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000655811633 1036562638 /nfs/dbraw/zinc/56/26/38/1036562638.db2.gz VQRKXZAUJWGXMW-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccsc1CN[C@@H](CC(=O)OC(C)C)c1ccccc1 ZINC000349238961 1036566579 /nfs/dbraw/zinc/56/65/79/1036566579.db2.gz HPEWOBLRSVFQEZ-INIZCTEOSA-N 0 3 317.454 4.229 20 0 DIADHN Cc1cnc(CCNCc2ncc(-c3ccccc3)s2)c(C)c1 ZINC000655830411 1036573934 /nfs/dbraw/zinc/57/39/34/1036573934.db2.gz WTZDBRZJYSPTHP-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN Cc1n[nH]c(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)c1C ZINC001648821916 1036587715 /nfs/dbraw/zinc/58/77/15/1036587715.db2.gz HBYCXTATVFKCIJ-MRXNPFEDSA-N 0 3 303.425 4.433 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](OC(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000655839876 1036591502 /nfs/dbraw/zinc/59/15/02/1036591502.db2.gz XVEQAEWYYFSVPR-JHJVBQTASA-N 0 3 308.491 4.019 20 0 DIADHN CCCOc1ccc(CNCc2ccc(CSC)cc2)cn1 ZINC001648824393 1036592725 /nfs/dbraw/zinc/59/27/25/1036592725.db2.gz CFWLMBYEFQRRHF-UHFFFAOYSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ncsc1CN(CCCCCCO)Cc1ccccc1 ZINC001206991400 1036607606 /nfs/dbraw/zinc/60/76/06/1036607606.db2.gz JBHYTFNMPNCZMU-UHFFFAOYSA-N 0 3 318.486 4.006 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](C)c2sccc2C)c1 ZINC000070090081 1036621670 /nfs/dbraw/zinc/62/16/70/1036621670.db2.gz QSXMWBFZESJGLX-QWHCGFSZSA-N 0 3 302.443 4.427 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000340447716 1036639658 /nfs/dbraw/zinc/63/96/58/1036639658.db2.gz FBFOURHYBQADDD-NRUUGDAUSA-N 0 3 306.456 4.125 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000340447715 1036640107 /nfs/dbraw/zinc/64/01/07/1036640107.db2.gz FBFOURHYBQADDD-GIPNMCIBSA-N 0 3 306.456 4.125 20 0 DIADHN c1c2cccnc2oc1CNCCOc1ccc2ccccc2c1 ZINC001648873977 1036666210 /nfs/dbraw/zinc/66/62/10/1036666210.db2.gz FVNIWHGXHFDRPW-UHFFFAOYSA-N 0 3 318.376 4.150 20 0 DIADHN Cn1nccc1CN[C@H](CCCc1ccccc1)c1ccccc1 ZINC000340465504 1036673131 /nfs/dbraw/zinc/67/31/31/1036673131.db2.gz NKASQMZMTCYFDY-OAQYLSRUSA-N 0 3 319.452 4.274 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN[C@H](C)Cc1ccsc1 ZINC001648895220 1036677127 /nfs/dbraw/zinc/67/71/27/1036677127.db2.gz GKQZODOHLOYROO-LLVKDONJSA-N 0 3 318.467 4.494 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000071212549 1036687215 /nfs/dbraw/zinc/68/72/15/1036687215.db2.gz OKNPOJNCOOQQBN-VIFPVBQESA-N 0 3 300.349 4.321 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@@H](O)c2cc3ccccc3s2)s1 ZINC000090419330 1036693371 /nfs/dbraw/zinc/69/33/71/1036693371.db2.gz WDZAHPBFGKBUDH-ZWNOBZJWSA-N 0 3 318.467 4.050 20 0 DIADHN CC[C@@H](NCc1ccc(C)c([N+](=O)[O-])c1)c1ccc(OC)cc1 ZINC000072727622 1036695315 /nfs/dbraw/zinc/69/53/15/1036695315.db2.gz HGWXYIYIUBIBQR-QGZVFWFLSA-N 0 3 314.385 4.153 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(F)cc2)cc1OC ZINC000074334655 1036697964 /nfs/dbraw/zinc/69/79/64/1036697964.db2.gz NCXXJWYZFCOXII-QWHCGFSZSA-N 0 3 303.377 4.255 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000683691526 1036714271 /nfs/dbraw/zinc/71/42/71/1036714271.db2.gz HWYXINWUIDXLHS-ZCNNSNEGSA-N 0 3 313.416 4.333 20 0 DIADHN Cc1nc(CN2CCC[C@]2(C)Cc2ccc(F)cc2)c(C)o1 ZINC000660832443 1036724335 /nfs/dbraw/zinc/72/43/35/1036724335.db2.gz XTUWFXSGKLUJRD-GOSISDBHSA-N 0 3 302.393 4.028 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc3ncsc3c2)ccc1C ZINC000683705509 1036737902 /nfs/dbraw/zinc/73/79/02/1036737902.db2.gz XVJDKDPKHKFCHY-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCCc2c(O)cccc21 ZINC000340531142 1036791603 /nfs/dbraw/zinc/79/16/03/1036791603.db2.gz SEUXBHPKQWMNGM-QZTJIDSGSA-N 0 3 309.409 4.055 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H]1CCCc2c(O)cccc21 ZINC000340531140 1036791673 /nfs/dbraw/zinc/79/16/73/1036791673.db2.gz SEUXBHPKQWMNGM-MSOLQXFVSA-N 0 3 309.409 4.055 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@@H](CO)CC(F)(F)F)cc1 ZINC000539052761 1036791930 /nfs/dbraw/zinc/79/19/30/1036791930.db2.gz MHJLFSRDSSNCSH-DGCLKSJQSA-N 0 3 321.408 4.151 20 0 DIADHN Cc1cc(CNCc2cc(Br)cs2)ccc1F ZINC000070206695 1036795424 /nfs/dbraw/zinc/79/54/24/1036795424.db2.gz OPTLZNHEGGKUKV-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN CC[C@H](NC1CC(c2ccccc2Cl)C1)c1nccn1C ZINC000340533601 1036801821 /nfs/dbraw/zinc/80/18/21/1036801821.db2.gz NOAWENYUXWDYGM-ZUEPYMLJSA-N 0 3 303.837 4.060 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](C)c2cc(C)sc2C)cc1 ZINC000090843797 1036803789 /nfs/dbraw/zinc/80/37/89/1036803789.db2.gz MAGUMADRXKMIGR-ACJLOTCBSA-N 0 3 303.471 4.312 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@H]1CCN1C(C)(C)C ZINC001167338192 1036806655 /nfs/dbraw/zinc/80/66/55/1036806655.db2.gz KZJWCOAMWPMNHJ-DLBZAZTESA-N 0 3 310.526 4.314 20 0 DIADHN COC(=O)c1ccc(CN2CCCC3(CCCCC3)C2)s1 ZINC001167342269 1036828950 /nfs/dbraw/zinc/82/89/50/1036828950.db2.gz VJTFNBBPYYXVDX-UHFFFAOYSA-N 0 3 307.459 4.081 20 0 DIADHN Cc1scc(CN[C@H](c2cccs2)C(C)(C)CO)c1C ZINC000639242993 1036858263 /nfs/dbraw/zinc/85/82/63/1036858263.db2.gz CTAUSJNGMQEOMF-OAHLLOKOSA-N 0 3 309.500 4.276 20 0 DIADHN c1ccc([C@H](NCc2ccc3cnccc3c2)[C@@H]2CCCO2)cc1 ZINC000683767489 1036870876 /nfs/dbraw/zinc/87/08/76/1036870876.db2.gz UWNBUUKNIUAZFR-SFTDATJTSA-N 0 3 318.420 4.245 20 0 DIADHN COC(=O)/C(C)=C/CN([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC001167347393 1036908833 /nfs/dbraw/zinc/90/88/33/1036908833.db2.gz NFBIUABVTMGSHO-ASNKMWSDSA-N 0 3 323.436 4.485 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3ccccc32)cc1OCC ZINC000069058161 1036912224 /nfs/dbraw/zinc/91/22/24/1036912224.db2.gz XBSJFRWSAXYXCA-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN c1ccc2[nH]c(C3CN(Cc4ccc(C5CCC5)cc4)C3)nc2c1 ZINC000683784894 1036915140 /nfs/dbraw/zinc/91/51/40/1036915140.db2.gz QXYJNBOQUCJPEV-UHFFFAOYSA-N 0 3 317.436 4.430 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1ccn(-c2ccccc2F)n1)C1CC1 ZINC001167355803 1036959258 /nfs/dbraw/zinc/95/92/58/1036959258.db2.gz AWCCLBBDVOATPM-GJZGRUSLSA-N 0 3 315.436 4.410 20 0 DIADHN c1nc(C2CC2)c(CN2CCS[C@H](c3ccccc3)C2)s1 ZINC000683830813 1036990309 /nfs/dbraw/zinc/99/03/09/1036990309.db2.gz YIRZHTKWGJLMBE-HNNXBMFYSA-N 0 3 316.495 4.311 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc2cnccc2c1 ZINC000683840854 1037005595 /nfs/dbraw/zinc/00/55/95/1037005595.db2.gz VTSUITNWALTMJC-LRDDRELGSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@H]2CCCC(C)(C)O2)cc1 ZINC001167371512 1037015524 /nfs/dbraw/zinc/01/55/24/1037015524.db2.gz FOQNMBDRWJYNGH-QZTJIDSGSA-N 0 3 319.514 4.433 20 0 DIADHN C[C@@H]1C[C@H](NCc2sccc2Cl)CN1Cc1ccccc1 ZINC000398004210 1037075406 /nfs/dbraw/zinc/07/54/06/1037075406.db2.gz ACUAUFOGMNTSQG-HIFRSBDPSA-N 0 3 320.889 4.154 20 0 DIADHN C[C@H](N[C@H]1CCS[C@H]1C)c1sccc1Br ZINC000308912470 1037107152 /nfs/dbraw/zinc/10/71/52/1037107152.db2.gz YHLPEIZJLNISGW-NRPADANISA-N 0 3 306.294 4.055 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cc2ccccc2[nH]1 ZINC000683926851 1037110539 /nfs/dbraw/zinc/11/05/39/1037110539.db2.gz HKBOYWSAKPKHHK-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN CCSC[C@@H](C)NCc1cccc(Br)c1Cl ZINC000706565007 1037116763 /nfs/dbraw/zinc/11/67/63/1037116763.db2.gz SMAZGYPIMBREAF-SECBINFHSA-N 0 3 322.699 4.334 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(CC(=O)NCCC2CCCCC2)CC1 ZINC001167405408 1037150116 /nfs/dbraw/zinc/15/01/16/1037150116.db2.gz DRSKWTWGQUOJOP-GOSISDBHSA-N 0 3 322.537 4.221 20 0 DIADHN CC(C)(C)[C@H]1CCCN(CC(=O)NCCC2CCCCC2)CC1 ZINC001167405409 1037150205 /nfs/dbraw/zinc/15/02/05/1037150205.db2.gz DRSKWTWGQUOJOP-SFHVURJKSA-N 0 3 322.537 4.221 20 0 DIADHN CN(C)C(=O)c1cccc(CN[C@@H](c2ccccc2)C(C)(C)C)c1 ZINC000053616653 1037153794 /nfs/dbraw/zinc/15/37/94/1037153794.db2.gz MZZSUSYSESKGSA-IBGZPJMESA-N 0 3 324.468 4.265 20 0 DIADHN C[C@@H]1SCCN(C[C@@H]2CCC(C)(C)CO2)[C@H]1c1ccccc1 ZINC001167411950 1037167620 /nfs/dbraw/zinc/16/76/20/1037167620.db2.gz FPRQNDWXWICQEP-RYQLBKOJSA-N 0 3 319.514 4.370 20 0 DIADHN Clc1ccc([C@H]2CCN(CC3(Br)CC3)C2)cc1 ZINC001167420954 1037212221 /nfs/dbraw/zinc/21/22/21/1037212221.db2.gz MUWGBPFVBGHBBH-LBPRGKRZSA-N 0 3 314.654 4.057 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2ccn(-c3ccccc3F)n2)C1 ZINC001167430026 1037251476 /nfs/dbraw/zinc/25/14/76/1037251476.db2.gz QLVKIQUPXOSYLT-CABCVRRESA-N 0 3 301.409 4.022 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccc(F)cc1F ZINC001167433910 1037291274 /nfs/dbraw/zinc/29/12/74/1037291274.db2.gz OXYMRBHESKLMIW-DOMZBBRYSA-N 0 3 305.343 4.482 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H]4CCC[C@H]43)co2)c1 ZINC001167438019 1037346426 /nfs/dbraw/zinc/34/64/26/1037346426.db2.gz KSDAIMYLMRRBKU-KBXCAEBGSA-N 0 3 312.413 4.115 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCO[C@@]2(CCSC2)C1 ZINC000580950377 1037380725 /nfs/dbraw/zinc/38/07/25/1037380725.db2.gz LSWCZXFWRYHSTH-BEFAXECRSA-N 0 3 313.466 4.109 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cc(C)cc(Cl)c1 ZINC000580965068 1037388010 /nfs/dbraw/zinc/38/80/10/1037388010.db2.gz HOGQQTNJKGODNV-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCCC[C@H]3C)n2)cc1F ZINC000255955387 1037416681 /nfs/dbraw/zinc/41/66/81/1037416681.db2.gz BNXHIUCACQJDBD-WWGRRREGSA-N 0 3 317.408 4.413 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@H]1CCCCC1(C)C)c1ccco1 ZINC000581073120 1037425013 /nfs/dbraw/zinc/42/50/13/1037425013.db2.gz DDRJUNITZMLWRP-XHSDSOJGSA-N 0 3 320.477 4.041 20 0 DIADHN CO[C@H](CN1CCC[C@H](C(F)(F)F)[C@@H]1C)c1ccccc1 ZINC000581119344 1037440048 /nfs/dbraw/zinc/44/00/48/1037440048.db2.gz PNDGCLWEEYCIGD-AEGPPILISA-N 0 3 301.352 4.037 20 0 DIADHN CO[C@H](CN1CCC[C@@H](C(F)(F)F)[C@@H]1C)c1ccccc1 ZINC000581119347 1037441088 /nfs/dbraw/zinc/44/10/88/1037441088.db2.gz PNDGCLWEEYCIGD-NWANDNLSSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1cc(C)c(NC(=O)CN([C@@H](C)C2CC2)C2CC2)c(Cl)c1 ZINC000031654348 1037448710 /nfs/dbraw/zinc/44/87/10/1037448710.db2.gz MQEDLQJNHPITMI-ZDUSSCGKSA-N 0 3 320.864 4.158 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(Cl)c(F)c1)c1ccccc1 ZINC000581159897 1037456437 /nfs/dbraw/zinc/45/64/37/1037456437.db2.gz DRPXZSWWBMTPNW-KRWDZBQOSA-N 0 3 321.823 4.329 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCN1Cc1cnc(C2CCCCC2)s1 ZINC000581231623 1037487126 /nfs/dbraw/zinc/48/71/26/1037487126.db2.gz SUINESHJFDNGPR-HOCLYGCPSA-N 0 3 322.518 4.316 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3[nH]2)cc1Cl ZINC000581244196 1037496026 /nfs/dbraw/zinc/49/60/26/1037496026.db2.gz OWJBIJSVFWTPBX-UHFFFAOYSA-N 0 3 300.789 4.120 20 0 DIADHN Fc1ccc2nc(CNCCc3ccc(F)cc3F)sc2c1 ZINC000581287062 1037516520 /nfs/dbraw/zinc/51/65/20/1037516520.db2.gz MJGMEOIHMIUYKW-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN CC[C@@H](NCc1c(Cl)cccc1OC)c1ccccc1O ZINC000188280000 1037517779 /nfs/dbraw/zinc/51/77/79/1037517779.db2.gz SFPMOUKKDCEQDU-OAHLLOKOSA-N 0 3 305.805 4.295 20 0 DIADHN CCc1ccc(CN2CCN([C@H]3C=CCCCCC3)CC2)s1 ZINC001167453487 1037522581 /nfs/dbraw/zinc/52/25/81/1037522581.db2.gz JVHJEDLZEXAMSA-KRWDZBQOSA-N 0 3 318.530 4.317 20 0 DIADHN FC(F)c1cccc(CN2CCC(c3cccnc3)CC2)c1 ZINC000684205266 1037553214 /nfs/dbraw/zinc/55/32/14/1037553214.db2.gz NVUSFWMDYALIKH-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCc2ccc(OC(F)F)cc2)s1 ZINC000040445958 1037562265 /nfs/dbraw/zinc/56/22/65/1037562265.db2.gz ACGJYHAPKPRZJV-SECBINFHSA-N 0 3 312.385 4.212 20 0 DIADHN COc1ccc(CNC2(c3ccccc3)CC2)cc1OC(F)F ZINC000068980269 1037562809 /nfs/dbraw/zinc/56/28/09/1037562809.db2.gz APLFSDJCHQYGGG-UHFFFAOYSA-N 0 3 319.351 4.076 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCc2ccncc2)cc1OC ZINC000069715266 1037585262 /nfs/dbraw/zinc/58/52/62/1037585262.db2.gz GXFVBTPSZXZOIP-OAHLLOKOSA-N 0 3 314.429 4.120 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccccc2)cc1C(F)(F)F ZINC001625869865 1037586409 /nfs/dbraw/zinc/58/64/09/1037586409.db2.gz GBRGEPFLTGOOFV-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2Cl)s1 ZINC000754668466 1037601651 /nfs/dbraw/zinc/60/16/51/1037601651.db2.gz PFLUSKOJKCHUBT-VXGBXAGGSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cccc(Cl)c2)s1 ZINC000754669800 1037603710 /nfs/dbraw/zinc/60/37/10/1037603710.db2.gz SRAJQZAFULJKSE-VXGBXAGGSA-N 0 3 322.861 4.388 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C)c1ccccc1)c1ccccc1 ZINC000341019505 1037619987 /nfs/dbraw/zinc/61/99/87/1037619987.db2.gz SOIIHNNUQGTOEG-LJQANCHMSA-N 0 3 310.441 4.026 20 0 DIADHN CC[C@H](C)CCCCC(=O)N(CCN(C)C)c1ccccc1 ZINC001125500120 1037622455 /nfs/dbraw/zinc/62/24/55/1037622455.db2.gz RJYIKJOXLIZJJO-KRWDZBQOSA-N 0 3 304.478 4.188 20 0 DIADHN COCC[C@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000341065514 1037663199 /nfs/dbraw/zinc/66/31/99/1037663199.db2.gz HTCCUELJMWWCLN-UGSOOPFHSA-N 0 3 305.368 4.393 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H](C)CCc1ccc(O)cc1 ZINC000317562012 1037669632 /nfs/dbraw/zinc/66/96/32/1037669632.db2.gz FJKHANLYWGOJMT-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)N1CCC(C)CC1)c1cccc(Cl)c1 ZINC000341105171 1037678063 /nfs/dbraw/zinc/67/80/63/1037678063.db2.gz UBNMMJKKONGXRA-WMLDXEAASA-N 0 3 322.880 4.028 20 0 DIADHN COc1ccc([C@H](Cc2ccccc2)NCc2cccnc2)cc1 ZINC000581481577 1037689127 /nfs/dbraw/zinc/68/91/27/1037689127.db2.gz RGALQDDSAGFGEQ-NRFANRHFSA-N 0 3 318.420 4.164 20 0 DIADHN OC1CCC(N[C@H](c2c(Cl)cccc2Cl)C2CC2)CC1 ZINC000581518139 1037705598 /nfs/dbraw/zinc/70/55/98/1037705598.db2.gz NEEHKEOIKXPZJA-PPUFBPAQSA-N 0 3 314.256 4.338 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc3c(c2)CCC3)c1 ZINC000656684814 1037790628 /nfs/dbraw/zinc/79/06/28/1037790628.db2.gz GYFPMJIVRVSSTE-CYBMUJFWSA-N 0 3 301.455 4.234 20 0 DIADHN CC[C@@H](NCc1c(C2CCC2)cnn1C)c1ccc(F)cc1 ZINC000656687561 1037792769 /nfs/dbraw/zinc/79/27/69/1037792769.db2.gz CWLHSBDVBWTMFN-QGZVFWFLSA-N 0 3 301.409 4.068 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@H]1CCCCc2ccccc21 ZINC000656687755 1037795046 /nfs/dbraw/zinc/79/50/46/1037795046.db2.gz FRLRUAIGOYQCBW-IBGZPJMESA-N 0 3 309.457 4.245 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@@H](c2cccs2)C2CC2)cn1 ZINC000647169137 1037807532 /nfs/dbraw/zinc/80/75/32/1037807532.db2.gz BEXMEYZRGKTBGP-LJQANCHMSA-N 0 3 323.465 4.483 20 0 DIADHN CC[C@@H](NCc1ccnn1CC(C)C)c1ccc(Cl)cc1 ZINC000647169976 1037824126 /nfs/dbraw/zinc/82/41/26/1037824126.db2.gz ISLACQAEQAWIOI-QGZVFWFLSA-N 0 3 305.853 4.433 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cnc(C)s2)C2CC2)cc1 ZINC000090401000 1037826102 /nfs/dbraw/zinc/82/61/02/1037826102.db2.gz ZCZXHTMBSYONTA-APPDUMDISA-N 0 3 302.443 4.262 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](CC)c1cccc(Cl)c1 ZINC000341282164 1037844998 /nfs/dbraw/zinc/84/49/98/1037844998.db2.gz HXSCWXYSHJWIQB-LRDDRELGSA-N 0 3 310.869 4.074 20 0 DIADHN CN(CCCCc1ccc(Cl)c(Cl)c1)Cc1cn[nH]c1 ZINC000684361653 1037846249 /nfs/dbraw/zinc/84/62/49/1037846249.db2.gz VGZAVGCHTBMSEK-UHFFFAOYSA-N 0 3 312.244 4.171 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000341284486 1037851691 /nfs/dbraw/zinc/85/16/91/1037851691.db2.gz JSIKGPUWCLITFG-RHSMWYFYSA-N 0 3 322.880 4.172 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1cnc(C2CCCC2)s1 ZINC000656792202 1037870792 /nfs/dbraw/zinc/87/07/92/1037870792.db2.gz RIXZXXJUMWBKEN-QGZVFWFLSA-N 0 3 313.470 4.160 20 0 DIADHN CC(C)c1ccc(CNc2ccc([C@@H]3CNCCO3)cc2)cc1 ZINC000656791655 1037872176 /nfs/dbraw/zinc/87/21/76/1037872176.db2.gz GJFJUWBRTASCFF-FQEVSTJZSA-N 0 3 310.441 4.083 20 0 DIADHN c1c2ccccc2sc1CNc1ccc([C@@H]2CNCCO2)cc1 ZINC000656793202 1037874279 /nfs/dbraw/zinc/87/42/79/1037874279.db2.gz QOOVVKOTZHMJMU-SFHVURJKSA-N 0 3 324.449 4.174 20 0 DIADHN c1sc2ccccc2c1CNc1ccc([C@H]2CNCCO2)cc1 ZINC000656793537 1037874593 /nfs/dbraw/zinc/87/45/93/1037874593.db2.gz ULKDXKJPDWIFCP-GOSISDBHSA-N 0 3 324.449 4.174 20 0 DIADHN C[C@H](c1ccc(CN2CCSC[C@H]2C)cc1)C(F)(F)F ZINC001167477270 1037876506 /nfs/dbraw/zinc/87/65/06/1037876506.db2.gz CDEMUCRSBLUPOY-VXGBXAGGSA-N 0 3 303.393 4.290 20 0 DIADHN CC(C)[C@@H](NCc1ccsc1)c1ccc2c(c1)OCCO2 ZINC000078421862 1037925655 /nfs/dbraw/zinc/92/56/55/1037925655.db2.gz ORWZVILRJJRPND-QGZVFWFLSA-N 0 3 303.427 4.006 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCCc2c(Cl)cccc21 ZINC000349498831 1037946100 /nfs/dbraw/zinc/94/61/00/1037946100.db2.gz NJDKSEQFHQWPMJ-HOTGVXAUSA-N 0 3 321.873 4.411 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CNCc2ccc(C(C)C)cc2)o1 ZINC000647175354 1037946379 /nfs/dbraw/zinc/94/63/79/1037946379.db2.gz LGNJVSBTPWJQSN-UHFFFAOYSA-N 0 3 323.440 4.402 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC([C@@H]3CCOC3)C2)o1 ZINC000684415649 1037968990 /nfs/dbraw/zinc/96/89/90/1037968990.db2.gz OEWNEELGMRATKD-CYBMUJFWSA-N 0 3 317.816 4.068 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@@H]3CCC[C@@H]3C2)cs1 ZINC000152676163 1037992331 /nfs/dbraw/zinc/99/23/31/1037992331.db2.gz KZEDVNHMJDSSMI-OKILXGFUSA-N 0 3 314.454 4.051 20 0 DIADHN CCCOc1cccc(CNC2(c3ccc(OC)cc3)CC2)c1 ZINC000598038033 1038002961 /nfs/dbraw/zinc/00/29/61/1038002961.db2.gz QGLGFJGHVBQPLD-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN COc1ccc(C2(NCc3cccc(OC(C)C)c3)CC2)cc1 ZINC000598037905 1038003605 /nfs/dbraw/zinc/00/36/05/1038003605.db2.gz DXYMLNNNIDTUNX-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125535173 1038005568 /nfs/dbraw/zinc/00/55/68/1038005568.db2.gz GHEUNOBXGSYLHJ-LJQANCHMSA-N 0 3 322.537 4.317 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@@H](C)c2cc(C)oc2C)cc1 ZINC000581864121 1038038775 /nfs/dbraw/zinc/03/87/75/1038038775.db2.gz OKYOOQSYJOTICJ-IQUTYRLHSA-N 0 3 317.429 4.332 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc3ccc(F)c(F)c3n2)C1 ZINC000602670597 1038065054 /nfs/dbraw/zinc/06/50/54/1038065054.db2.gz QHIPAZFSQVSHRD-GFCCVEGCSA-N 0 3 322.424 4.088 20 0 DIADHN FC(F)(F)Cc1ncc(CN(C2CC2)C2CCCC2)s1 ZINC000891601111 1038071139 /nfs/dbraw/zinc/07/11/39/1038071139.db2.gz ZFJHDTFKAQPDRI-UHFFFAOYSA-N 0 3 304.381 4.155 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)s1 ZINC000090418514 1038113872 /nfs/dbraw/zinc/11/38/72/1038113872.db2.gz YRVHYEPUUFZBDY-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2cccc(-n3ccnc3)c2)s1 ZINC000090418411 1038114342 /nfs/dbraw/zinc/11/43/42/1038114342.db2.gz KSMOOAYNIHRHIG-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)s1 ZINC000598635257 1038141575 /nfs/dbraw/zinc/14/15/75/1038141575.db2.gz CIQZTFUCGGPBKC-YEJXKQKISA-N 0 3 321.511 4.289 20 0 DIADHN CCCCn1nc(C)c(CNCCCc2ccccc2)c1Cl ZINC000061003621 1038160773 /nfs/dbraw/zinc/16/07/73/1038160773.db2.gz SEHDBMDGQLUGPS-UHFFFAOYSA-N 0 3 319.880 4.367 20 0 DIADHN O[C@H](CNCc1c(Cl)oc2ccccc21)c1cccc(F)c1 ZINC000761301919 1038200299 /nfs/dbraw/zinc/20/02/99/1038200299.db2.gz UXRYCNXIRISSDP-OAHLLOKOSA-N 0 3 319.763 4.049 20 0 DIADHN Cc1ccc(CNCc2ccc(C(=O)OC(C)(C)C)s2)s1 ZINC000598955553 1038201883 /nfs/dbraw/zinc/20/18/83/1038201883.db2.gz OSIFCAFTFYCHFS-UHFFFAOYSA-N 0 3 323.483 4.363 20 0 DIADHN COc1cc([C@H](C)NCc2cnccc2C)ccc1OC(C)C ZINC000341652839 1038205910 /nfs/dbraw/zinc/20/59/10/1038205910.db2.gz CRKGNDQWKRFYKW-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN CC(C)O[C@H](CN[C@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000581979935 1038208545 /nfs/dbraw/zinc/20/85/45/1038208545.db2.gz MOBVBKBCQBSCNR-VQIMIIECSA-N 0 3 315.461 4.281 20 0 DIADHN COc1cccc2c1OCC[C@@H]2NC/C(C)=C\c1ccccc1 ZINC000669682120 1038214139 /nfs/dbraw/zinc/21/41/39/1038214139.db2.gz NOWVNKYPDXMOBK-OJSRQPNCSA-N 0 3 309.409 4.212 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2ccc([S@](C)=O)cc2)c1 ZINC000599032500 1038217897 /nfs/dbraw/zinc/21/78/97/1038217897.db2.gz SKANEYLUQAVHPG-QRQCRPRQSA-N 0 3 315.482 4.398 20 0 DIADHN CN(Cc1ccc(SC(F)F)cc1)[C@H](CO)c1ccccc1 ZINC000728387837 1038218305 /nfs/dbraw/zinc/21/83/05/1038218305.db2.gz OSAZIVJPYSRNIM-MRXNPFEDSA-N 0 3 323.408 4.167 20 0 DIADHN COc1ccccc1-c1noc([C@H](C)NC2CCCCCC2)n1 ZINC000276816240 1038231143 /nfs/dbraw/zinc/23/11/43/1038231143.db2.gz YPZPQKSXPMHVMX-ZDUSSCGKSA-N 0 3 315.417 4.119 20 0 DIADHN Cc1ccc(OCc2nc(CNC[C@H](C)C3CC3)cs2)cc1 ZINC000603511996 1038233991 /nfs/dbraw/zinc/23/39/91/1038233991.db2.gz XSSYOZHOWPUTHZ-AWEZNQCLSA-N 0 3 316.470 4.166 20 0 DIADHN CN(C)C[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000341762880 1038261107 /nfs/dbraw/zinc/26/11/07/1038261107.db2.gz VXIVVQOWZMIDFH-VQTJNVASSA-N 0 3 312.432 4.096 20 0 DIADHN COc1ccc(F)c(F)c1CN1CCCC2(CC(F)C2)CC1 ZINC001143413247 1038265795 /nfs/dbraw/zinc/26/57/95/1038265795.db2.gz MZEHZXCAQINLHL-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN Brc1cc2c(s1)CC[C@H]2NCc1cccs1 ZINC000293960366 1038267469 /nfs/dbraw/zinc/26/74/69/1038267469.db2.gz ZWTRKQODAUPLNA-SNVBAGLBSA-N 0 3 314.273 4.349 20 0 DIADHN COc1ccccc1OCCCN[C@@H](C)c1cc(C)c(C)o1 ZINC000669699486 1038284632 /nfs/dbraw/zinc/28/46/32/1038284632.db2.gz PFTNNVCGCPMQJG-AWEZNQCLSA-N 0 3 303.402 4.025 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2c2ccccc2)nc1 ZINC000341835219 1038287556 /nfs/dbraw/zinc/28/75/56/1038287556.db2.gz NJWRCFJKNNYXTM-MRXNPFEDSA-N 0 3 306.331 4.438 20 0 DIADHN Cc1cc([C@H](C)NCCc2ccc(-c3ccccc3)cc2)nn1C ZINC000669701391 1038292956 /nfs/dbraw/zinc/29/29/56/1038292956.db2.gz DXYRRCNISBVRHC-KRWDZBQOSA-N 0 3 319.452 4.289 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2ccc(C(F)(F)F)cn2)C1 ZINC000341874081 1038304492 /nfs/dbraw/zinc/30/44/92/1038304492.db2.gz SLYCQBAPZGEVAB-KGLIPLIRSA-N 0 3 318.408 4.206 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@@H](C)c2cccc(Cl)c2)c1 ZINC000603859148 1038305193 /nfs/dbraw/zinc/30/51/93/1038305193.db2.gz FWRYMRGUNXEKLO-ZDUSSCGKSA-N 0 3 317.816 4.320 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@@H]1CCC12CCC2 ZINC000669703498 1038313499 /nfs/dbraw/zinc/31/34/99/1038313499.db2.gz HFLAIYUHJSVUFI-GOSISDBHSA-N 0 3 316.514 4.288 20 0 DIADHN Cc1nc(CCN2CCC(OCC3CCCCC3)CC2)cs1 ZINC000535763386 1038328177 /nfs/dbraw/zinc/32/81/77/1038328177.db2.gz WCVKLYXIMPNRKC-UHFFFAOYSA-N 0 3 322.518 4.055 20 0 DIADHN COCc1cc([C@@H](C)N[C@@H](C)c2ccsc2)ccc1OC ZINC000061361216 1038329699 /nfs/dbraw/zinc/32/96/99/1038329699.db2.gz SXBNSVLTDJDVJA-OLZOCXBDSA-N 0 3 305.443 4.315 20 0 DIADHN COCc1cc([C@@H](C)N[C@H](C)c2ccsc2)ccc1OC ZINC000061361218 1038331427 /nfs/dbraw/zinc/33/14/27/1038331427.db2.gz SXBNSVLTDJDVJA-CHWSQXEVSA-N 0 3 305.443 4.315 20 0 DIADHN COc1cccc(CN(C)[C@H]2CCCc3ccccc32)c1OC ZINC000535781920 1038337723 /nfs/dbraw/zinc/33/77/23/1038337723.db2.gz NMSYJCTYSKKYQB-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN CCOc1ccccc1-c1noc([C@@H](C)N2[C@H](C)CC[C@@H]2C)n1 ZINC000277000224 1038347461 /nfs/dbraw/zinc/34/74/61/1038347461.db2.gz ZASMFHUTJAXRPX-HZSPNIEDSA-N 0 3 315.417 4.069 20 0 DIADHN CCc1cccc(CN[C@@H](Cc2nc(C)no2)c2ccccc2)c1 ZINC000277021587 1038355027 /nfs/dbraw/zinc/35/50/27/1038355027.db2.gz ALGZIBXDDLHTKG-IBGZPJMESA-N 0 3 321.424 4.014 20 0 DIADHN Cc1noc(C[C@H](N[C@@H](C)c2ccccc2)c2ccccc2)n1 ZINC000277052674 1038358682 /nfs/dbraw/zinc/35/86/82/1038358682.db2.gz HFCFMVRJNWBZAN-KSSFIOAISA-N 0 3 307.397 4.013 20 0 DIADHN Fc1cccc(CCN(Cc2cscn2)C2CCCC2)c1 ZINC000535821147 1038374545 /nfs/dbraw/zinc/37/45/45/1038374545.db2.gz ODPUTHZBTFNTQM-UHFFFAOYSA-N 0 3 304.434 4.270 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](c2cccnc2)C2CCC2)c1 ZINC000684611248 1038375044 /nfs/dbraw/zinc/37/50/44/1038375044.db2.gz DCQIPXDKJBUULQ-FQEVSTJZSA-N 0 3 323.440 4.061 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1Cl ZINC000090453612 1038381053 /nfs/dbraw/zinc/38/10/53/1038381053.db2.gz DURMUGJAYWNVTO-GUYCJALGSA-N 0 3 321.852 4.156 20 0 DIADHN CC(C)SCCNCc1ccc(-c2ccncc2)cc1F ZINC000342072103 1038388089 /nfs/dbraw/zinc/38/80/89/1038388089.db2.gz MCADTWBWAJYEMJ-UHFFFAOYSA-N 0 3 304.434 4.119 20 0 DIADHN Fc1ccc(-c2nc(CN3CCCC4(CC4)CC3)co2)cc1 ZINC000626113210 1038416875 /nfs/dbraw/zinc/41/68/75/1038416875.db2.gz JFGOSXYDRUGGSS-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN COC(=O)c1cccc(CN(Cc2ccc(F)cc2)C(C)C)c1 ZINC000604492270 1038420554 /nfs/dbraw/zinc/42/05/54/1038420554.db2.gz LMVBFUSLSCXUBF-UHFFFAOYSA-N 0 3 315.388 4.023 20 0 DIADHN COc1ccc([C@@H](C)NCc2c(C)nn(C)c2C)c2ccccc12 ZINC000151137535 1038432156 /nfs/dbraw/zinc/43/21/56/1038432156.db2.gz SSLPGUPHHXLTQU-CYBMUJFWSA-N 0 3 323.440 4.050 20 0 DIADHN CCN(Cc1nocc1C)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC001167498991 1038452209 /nfs/dbraw/zinc/45/22/09/1038452209.db2.gz ABIBEMZGWXQGEO-SFHVURJKSA-N 0 3 304.409 4.211 20 0 DIADHN C[C@H](COCC1CC1)N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651983875 1038459251 /nfs/dbraw/zinc/45/92/51/1038459251.db2.gz MOSLLZUUCBXIRM-HZPDHXFCSA-N 0 3 310.441 4.214 20 0 DIADHN FC1(c2cccnc2)CCN(Cc2ccc3ncccc3c2)CC1 ZINC000342166547 1038460483 /nfs/dbraw/zinc/46/04/83/1038460483.db2.gz ZLJUYLRSEFZBBW-UHFFFAOYSA-N 0 3 321.399 4.091 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nnc(-c2cccc(F)c2)o1)C1CC1 ZINC000075717331 1038470567 /nfs/dbraw/zinc/47/05/67/1038470567.db2.gz HERDPACTKJQQSV-QWHCGFSZSA-N 0 3 317.408 4.275 20 0 DIADHN CC[C@H](N[C@H](C)c1cn(C(C)(C)C)nc1C)c1ccncc1 ZINC000163728870 1038472310 /nfs/dbraw/zinc/47/23/10/1038472310.db2.gz BTWJDPIBIVIQKC-DYVFJYSZSA-N 0 3 300.450 4.143 20 0 DIADHN COc1ccc(-c2cnc(CN3CCCC[C@H]3C(C)C)o2)cc1 ZINC000605000482 1038476095 /nfs/dbraw/zinc/47/60/95/1038476095.db2.gz VIYYMSFWPFIKCY-KRWDZBQOSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)(C)C[C@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000605008933 1038476504 /nfs/dbraw/zinc/47/65/04/1038476504.db2.gz DXPFZXLZHAGDCE-ZDUSSCGKSA-N 0 3 321.848 4.487 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccccc1OC1CCC1 ZINC000605322837 1038507024 /nfs/dbraw/zinc/50/70/24/1038507024.db2.gz JLZGRXNVTRKBEC-YFHOEESVSA-N 0 3 323.436 4.430 20 0 DIADHN CC[C@@H]1C[C@H](N[C@@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000657294165 1038508464 /nfs/dbraw/zinc/50/84/64/1038508464.db2.gz HDGOHYSZLWVUJD-ZMSDIMECSA-N 0 3 305.418 4.134 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000657294163 1038509418 /nfs/dbraw/zinc/50/94/18/1038509418.db2.gz HDGOHYSZLWVUJD-INMHGKMJSA-N 0 3 305.418 4.134 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CC1)c1ccc2c(c1)CCCC2 ZINC000657293675 1038510417 /nfs/dbraw/zinc/51/04/17/1038510417.db2.gz RTFDUEOSHBKOET-OAHLLOKOSA-N 0 3 309.457 4.023 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CO[C@H](CC)C3)oc21 ZINC000657295653 1038512519 /nfs/dbraw/zinc/51/25/19/1038512519.db2.gz GWOZNGHPZOZHKR-AEGPPILISA-N 0 3 303.402 4.050 20 0 DIADHN OCC[C@H](NC/C=C/c1ccccc1)c1ccc(Cl)cc1 ZINC000342311171 1038526306 /nfs/dbraw/zinc/52/63/06/1038526306.db2.gz UYOVQTBSAUOOMB-UKTKYZJWSA-N 0 3 301.817 4.067 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2nc(C)no2)C1 ZINC000060876692 1038530248 /nfs/dbraw/zinc/53/02/48/1038530248.db2.gz PZQAOGBFQWDNJT-GDBMZVCRSA-N 0 3 319.836 4.362 20 0 DIADHN Cc1ccc(NC(=O)c2cc3occc3s2)c(CN(C)C)c1 ZINC000605517967 1038532395 /nfs/dbraw/zinc/53/23/95/1038532395.db2.gz GOXRXRQVMLKJCE-UHFFFAOYSA-N 0 3 314.410 4.117 20 0 DIADHN Cc1ccc2nc(CN3C[C@H](c4ccc(F)cc4)C[C@H]3C)cn2c1 ZINC000605902400 1038555153 /nfs/dbraw/zinc/55/51/53/1038555153.db2.gz BKZHLSSBYFTZLU-NVXWUHKLSA-N 0 3 323.415 4.160 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cnn2c1CCC2)c1ccc(F)cc1 ZINC000657314446 1038557167 /nfs/dbraw/zinc/55/71/67/1038557167.db2.gz WBDBUZGFHPWABG-QGZVFWFLSA-N 0 3 315.436 4.236 20 0 DIADHN CCN(CC)c1ccc(CNCc2cc3c(cccc3C)[nH]2)cn1 ZINC000657317344 1038561888 /nfs/dbraw/zinc/56/18/88/1038561888.db2.gz CXLBIOWCVIJEKL-UHFFFAOYSA-N 0 3 322.456 4.007 20 0 DIADHN Clc1cccc(OCCCN(Cc2ccncc2)C2CC2)c1 ZINC000342389329 1038566894 /nfs/dbraw/zinc/56/68/94/1038566894.db2.gz WXONQWNKWDYFMD-UHFFFAOYSA-N 0 3 316.832 4.169 20 0 DIADHN CC(C)C[C@H](NCc1ccnn1CC1CC1)c1cccs1 ZINC000657324267 1038568170 /nfs/dbraw/zinc/56/81/70/1038568170.db2.gz WKMFHORIAQUHCT-INIZCTEOSA-N 0 3 303.475 4.232 20 0 DIADHN CC(C)(CN[C@@H]1CCCc2c(Cl)ccnc21)C(F)(F)F ZINC000926367225 1038569160 /nfs/dbraw/zinc/56/91/60/1038569160.db2.gz KTMXFKPGVGXJPU-LLVKDONJSA-N 0 3 306.759 4.291 20 0 DIADHN CC[C@H](NCc1ccnn1C)c1ccc(Oc2ccccc2)cc1 ZINC000152192041 1038583928 /nfs/dbraw/zinc/58/39/28/1038583928.db2.gz PXPZYOLOTIXAJL-FQEVSTJZSA-N 0 3 321.424 4.453 20 0 DIADHN Fc1ccc(CN2CCC[C@H](F)CC2)cc1OC(F)(F)F ZINC001143436740 1038584316 /nfs/dbraw/zinc/58/43/16/1038584316.db2.gz SMRXYODIFHDIJQ-NSHDSACASA-N 0 3 309.278 4.048 20 0 DIADHN CC(C)n1ccnc1CNCC(C)(C)c1ccccc1Cl ZINC000657344997 1038587282 /nfs/dbraw/zinc/58/72/82/1038587282.db2.gz GRPPOGDBTKVMPE-UHFFFAOYSA-N 0 3 305.853 4.185 20 0 DIADHN Cn1nc(C(F)(F)F)cc1CN[C@@H](c1ccccc1)C1CCC1 ZINC000657346630 1038587708 /nfs/dbraw/zinc/58/77/08/1038587708.db2.gz KZHHRUGXDHYUAC-INIZCTEOSA-N 0 3 323.362 4.070 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1 ZINC000684766937 1038594277 /nfs/dbraw/zinc/59/42/77/1038594277.db2.gz QZDNKUGSKGKKFH-JOCQHMNTSA-N 0 3 316.445 4.197 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cc2ccncc2s1 ZINC000657350772 1038594430 /nfs/dbraw/zinc/59/44/30/1038594430.db2.gz ZPOKMXGXRWPDFU-KRWDZBQOSA-N 0 3 324.449 4.472 20 0 DIADHN c1cn(-c2ccccc2CN[C@@H]2CCSc3ccccc32)cn1 ZINC000152228310 1038594838 /nfs/dbraw/zinc/59/48/38/1038594838.db2.gz MQSOAVZXSKBRNN-QGZVFWFLSA-N 0 3 321.449 4.199 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3c2cccc3O)c(C)c1 ZINC000657351517 1038595598 /nfs/dbraw/zinc/59/55/98/1038595598.db2.gz PIYRTGGZRSJHMN-IBGZPJMESA-N 0 3 311.425 4.267 20 0 DIADHN c1cn(-c2ccccc2CN[C@H]2CCSc3ccccc32)cn1 ZINC000152228393 1038595702 /nfs/dbraw/zinc/59/57/02/1038595702.db2.gz MQSOAVZXSKBRNN-KRWDZBQOSA-N 0 3 321.449 4.199 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2ccccc2-n2ccnc2)C1 ZINC000152232474 1038596491 /nfs/dbraw/zinc/59/64/91/1038596491.db2.gz MHVJYNHUKFBVLU-QGZVFWFLSA-N 0 3 321.424 4.269 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CC1)c1cc2ccccc2s1 ZINC000657353535 1038596952 /nfs/dbraw/zinc/59/69/52/1038596952.db2.gz JJDVXGLGINPYQB-CYBMUJFWSA-N 0 3 311.454 4.359 20 0 DIADHN CSc1ccc(CCN[C@@H](C)c2nc(C)sc2C)cc1 ZINC000684774375 1038597686 /nfs/dbraw/zinc/59/76/86/1038597686.db2.gz ZJQSPXSDKIZWNX-NSHDSACASA-N 0 3 306.500 4.375 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2C[C@H](c3cccc(F)c3)C2)c(C)s1 ZINC000684773716 1038597850 /nfs/dbraw/zinc/59/78/50/1038597850.db2.gz FGPHXNVZWBRYFY-QSGSBWRWSA-N 0 3 304.434 4.496 20 0 DIADHN CN(CCC(C)(C)C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000606432231 1038601515 /nfs/dbraw/zinc/60/15/15/1038601515.db2.gz IYISCLYHDCFACS-KRWDZBQOSA-N 0 3 303.425 4.017 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@@H]1[C@@H](C)CC ZINC000606434282 1038602212 /nfs/dbraw/zinc/60/22/12/1038602212.db2.gz RWLWGQYHIKSXQB-MAUKXSAKSA-N 0 3 316.489 4.397 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000606450976 1038602808 /nfs/dbraw/zinc/60/28/08/1038602808.db2.gz AIHHRMOIQZYAJH-MAUKXSAKSA-N 0 3 311.400 4.482 20 0 DIADHN CC[C@H](NCc1ccc2nc[nH]c2c1)c1ccc(F)cc1F ZINC000606471226 1038607486 /nfs/dbraw/zinc/60/74/86/1038607486.db2.gz JBEQMBQACSFLGB-HNNXBMFYSA-N 0 3 301.340 4.082 20 0 DIADHN CCc1ccc(N2CCN(CCc3ccccc3CC)CC2)cc1 ZINC001167554830 1038610879 /nfs/dbraw/zinc/61/08/79/1038610879.db2.gz VBSQSGUXVRMNLW-UHFFFAOYSA-N 0 3 322.496 4.176 20 0 DIADHN CC(C)C[C@@H](NCc1cnc2n1CCC2)c1ccc(Cl)cc1 ZINC000657375598 1038620265 /nfs/dbraw/zinc/62/02/65/1038620265.db2.gz ZCFSLQRMMYODAG-QGZVFWFLSA-N 0 3 317.864 4.360 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000657378080 1038620964 /nfs/dbraw/zinc/62/09/64/1038620964.db2.gz XPFYIXWDGYNGKT-FSPWUOQZSA-N 0 3 317.864 4.433 20 0 DIADHN CC[C@H](C)CN(CC)Cc1nc2cc(Br)ccc2[nH]1 ZINC001167562677 1038631677 /nfs/dbraw/zinc/63/16/77/1038631677.db2.gz OZNFYURHVDWBIM-NSHDSACASA-N 0 3 324.266 4.193 20 0 DIADHN Clc1ccc2sc(CN[C@@H]3CCOC34CCCC4)nc2c1 ZINC000934386288 1038636345 /nfs/dbraw/zinc/63/63/45/1038636345.db2.gz WSSXBSFTNPPYDT-CQSZACIVSA-N 0 3 322.861 4.141 20 0 DIADHN CCOc1ccc(CNCc2ccc(-c3ccncc3)cc2)cc1 ZINC000606914063 1038640040 /nfs/dbraw/zinc/64/00/40/1038640040.db2.gz DNDLXXZMGVIFGV-UHFFFAOYSA-N 0 3 318.420 4.437 20 0 DIADHN c1sc(CN[C@H]2CCOC23CCCC3)nc1-c1ccccc1 ZINC000934392669 1038641016 /nfs/dbraw/zinc/64/10/16/1038641016.db2.gz VJEWIDOEQNEUCQ-INIZCTEOSA-N 0 3 314.454 4.001 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCCN(C(=O)OC(C)(C)C)[C@@H]2C)o1 ZINC000230951520 1038651256 /nfs/dbraw/zinc/65/12/56/1038651256.db2.gz KZOXMKBFQDYWQN-RRFJBIMHSA-N 0 3 322.449 4.027 20 0 DIADHN c1nn2c(c1CN[C@@H](CC1CCCC1)c1ccccc1)CCC2 ZINC000657415700 1038654530 /nfs/dbraw/zinc/65/45/30/1038654530.db2.gz MAJBTSXAOSZKDI-IBGZPJMESA-N 0 3 309.457 4.241 20 0 DIADHN CC[C@@H](NCc1cc2ccncc2s1)c1c(C)noc1C ZINC000657419193 1038656064 /nfs/dbraw/zinc/65/60/64/1038656064.db2.gz RMUPQRHVMDEZGU-CQSZACIVSA-N 0 3 301.415 4.142 20 0 DIADHN Cc1c[nH]c(CN[C@H](C)[C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000657422937 1038660013 /nfs/dbraw/zinc/66/00/13/1038660013.db2.gz DMKVPGFSMGBEIA-VXGBXAGGSA-N 0 3 311.351 4.019 20 0 DIADHN CC(C)n1ccnc1CNCC1(c2ccc(F)cc2Cl)CC1 ZINC000657424022 1038661452 /nfs/dbraw/zinc/66/14/52/1038661452.db2.gz RSFPGTKAVUUKKP-UHFFFAOYSA-N 0 3 321.827 4.078 20 0 DIADHN CC(C)C[C@H]1CCCCCN1Cc1nc2ccccc2c(=O)[nH]1 ZINC000607156988 1038663609 /nfs/dbraw/zinc/66/36/09/1038663609.db2.gz QHUGPBMTFCWFFO-OAHLLOKOSA-N 0 3 313.445 4.126 20 0 DIADHN CN(C)c1ccccc1CN(C)Cc1ccc(C(F)(F)F)cc1 ZINC000607285975 1038668872 /nfs/dbraw/zinc/66/88/72/1038668872.db2.gz RHHGJXCYJMSZSE-UHFFFAOYSA-N 0 3 322.374 4.403 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2C[C@H](C)[C@@H]2c2ccccc2)cc1 ZINC001167575622 1038672850 /nfs/dbraw/zinc/67/28/50/1038672850.db2.gz FGTRFAYZXUZION-OXJNMPFZSA-N 0 3 323.436 4.445 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc3c(c2)OCCCO3)s1 ZINC000049161799 1038674177 /nfs/dbraw/zinc/67/41/77/1038674177.db2.gz GMUSALWFZSWEIY-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN Cc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)c(C)c1 ZINC000607366401 1038675373 /nfs/dbraw/zinc/67/53/73/1038675373.db2.gz SOTMWFXLCCNKKC-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN COCc1csc(CNCc2c(C)oc3ccccc32)c1 ZINC000657437218 1038676365 /nfs/dbraw/zinc/67/63/65/1038676365.db2.gz HSVKEMYHXNADRT-UHFFFAOYSA-N 0 3 301.411 4.239 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CCSc3ccc(F)cc32)c1 ZINC000119837713 1038677136 /nfs/dbraw/zinc/67/71/36/1038677136.db2.gz MYLVKJROHKIKFF-KRWDZBQOSA-N 0 3 316.445 4.218 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000119847582 1038682426 /nfs/dbraw/zinc/68/24/26/1038682426.db2.gz MWEUFGCXWREOIJ-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000119847465 1038682587 /nfs/dbraw/zinc/68/25/87/1038682587.db2.gz MWEUFGCXWREOIJ-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000657453237 1038692796 /nfs/dbraw/zinc/69/27/96/1038692796.db2.gz TYWSUMJSAOQAPT-NVXWUHKLSA-N 0 3 301.409 4.029 20 0 DIADHN CC(C)(CNCc1cccc(F)c1OC(F)F)C(F)(F)F ZINC000657462519 1038702263 /nfs/dbraw/zinc/70/22/63/1038702263.db2.gz UNRFGEPDMMTFOX-UHFFFAOYSA-N 0 3 315.257 4.105 20 0 DIADHN COCc1csc(CN[C@H]2CCCc3c(F)cccc32)c1 ZINC000657477749 1038713035 /nfs/dbraw/zinc/71/30/35/1038713035.db2.gz YRYNRYJEARBWFX-KRWDZBQOSA-N 0 3 305.418 4.201 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@H](C)CCC[C@H]1C ZINC000607742591 1038720125 /nfs/dbraw/zinc/72/01/25/1038720125.db2.gz RRTPXITXTBJCAR-HZPDHXFCSA-N 0 3 302.462 4.259 20 0 DIADHN CCCN(Cc1cc(C)no1)[C@H]1C[C@H](OCC)C12CCCCC2 ZINC000607749476 1038722125 /nfs/dbraw/zinc/72/21/25/1038722125.db2.gz FGZCRZMDIJRROZ-ROUUACIJSA-N 0 3 320.477 4.323 20 0 DIADHN CN(C)[C@H](CNc1nc2ccccc2s1)c1cccs1 ZINC000025370477 1038723092 /nfs/dbraw/zinc/72/30/92/1038723092.db2.gz NUQWBEQHCDMINR-GFCCVEGCSA-N 0 3 303.456 4.073 20 0 DIADHN CC[C@@H](N[C@H](C)c1cn(C(C)(C)C)nc1C)c1ccncc1 ZINC000163728957 1038723921 /nfs/dbraw/zinc/72/39/21/1038723921.db2.gz BTWJDPIBIVIQKC-CXAGYDPISA-N 0 3 300.450 4.143 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccccc3o2)CC[C@H]1c1ccccc1 ZINC000607752152 1038725477 /nfs/dbraw/zinc/72/54/77/1038725477.db2.gz KOYALUFXIAFYIY-NVXWUHKLSA-N 0 3 306.409 4.453 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)(F)F)cc2)s1 ZINC000049956875 1038728984 /nfs/dbraw/zinc/72/89/84/1038728984.db2.gz DPPCVTRRHJPVMJ-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN CCOc1ccc(CN(Cc2cccc3nccn32)C(C)C)cc1 ZINC000342704822 1038729161 /nfs/dbraw/zinc/72/91/61/1038729161.db2.gz NBQZQUHTCLJHFS-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN Cc1cc(C)c(CN2CCC(N(C)c3ccccc3)CC2)c(C)n1 ZINC001167590668 1038729177 /nfs/dbraw/zinc/72/91/77/1038729177.db2.gz ZZNZFSYJWHRPAJ-UHFFFAOYSA-N 0 3 323.484 4.108 20 0 DIADHN COc1ccc([C@H]([NH2+]Cc2ccc([O-])c(F)c2)C(C)C)cc1 ZINC000091344427 1038732201 /nfs/dbraw/zinc/73/22/01/1038732201.db2.gz BUCWGYNXLGVNGC-GOSISDBHSA-N 0 3 303.377 4.027 20 0 DIADHN C[C@@H](NCc1ccc2ncccc2c1)c1cnn(CC2CCC2)c1 ZINC000934562229 1038734739 /nfs/dbraw/zinc/73/47/39/1038734739.db2.gz OGDVWFUKIAIBFQ-OAHLLOKOSA-N 0 3 320.440 4.082 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cccnc21)c1cccc(N2CCCC2)c1 ZINC000684957551 1038742055 /nfs/dbraw/zinc/74/20/55/1038742055.db2.gz LZBIADAQSOYYMN-UZLBHIALSA-N 0 3 321.468 4.410 20 0 DIADHN CN(Cc1cn[nH]c1)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000684966776 1038749363 /nfs/dbraw/zinc/74/93/63/1038749363.db2.gz OPWOLXLOSGFPCZ-QGZVFWFLSA-N 0 3 303.837 4.426 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CC1)c1cccnc1Cl ZINC000161356577 1038751946 /nfs/dbraw/zinc/75/19/46/1038751946.db2.gz WISPRLTUWYWJGG-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)NCc2cc(C)[nH]n2)cc1 ZINC000608062238 1038762673 /nfs/dbraw/zinc/76/26/73/1038762673.db2.gz YFFYFUCYXRCPQP-OAHLLOKOSA-N 0 3 321.424 4.245 20 0 DIADHN FC(F)(F)c1cccc(C2(NCc3cn[nH]c3)CCCCC2)c1 ZINC000608065439 1038764477 /nfs/dbraw/zinc/76/44/77/1038764477.db2.gz QBWOCXKBXIKMJE-UHFFFAOYSA-N 0 3 323.362 4.378 20 0 DIADHN COCc1ccc(CNCc2ccc(OC(C)(C)C)cc2)cc1 ZINC000608055040 1038767598 /nfs/dbraw/zinc/76/75/98/1038767598.db2.gz XRNSJMSZTJIHSL-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CC[C@H](c2ccccc2)[C@@H](CC)C1 ZINC001167602755 1038769487 /nfs/dbraw/zinc/76/94/87/1038769487.db2.gz PFTITWRYFIFYTI-YTQUADARSA-N 0 3 317.473 4.234 20 0 DIADHN C[C@H](NCc1ccc(Br)c(Cl)c1)c1ccc[nH]1 ZINC000755210670 1038804811 /nfs/dbraw/zinc/80/48/11/1038804811.db2.gz JTXBLIGOPXKJFX-VIFPVBQESA-N 0 3 313.626 4.281 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@H](C)[C@H](C)C1 ZINC000076113846 1038831990 /nfs/dbraw/zinc/83/19/90/1038831990.db2.gz SPCJDMWPLFLJRJ-XHSDSOJGSA-N 0 3 302.462 4.033 20 0 DIADHN CC[C@H](NCc1ccnc(OC(F)F)c1)c1cccc(F)c1 ZINC000342812962 1038849748 /nfs/dbraw/zinc/84/97/48/1038849748.db2.gz NSERBURZIBERAA-AWEZNQCLSA-N 0 3 310.319 4.063 20 0 DIADHN Brc1ccc(CNC2(c3ccccc3)CC2)cc1 ZINC000077378626 1038864156 /nfs/dbraw/zinc/86/41/56/1038864156.db2.gz CZRVWRVPWIITJY-UHFFFAOYSA-N 0 3 302.215 4.228 20 0 DIADHN COc1ccc(-c2nc(CN3C4CCCC3CCC4)co2)cc1 ZINC000608499043 1038864678 /nfs/dbraw/zinc/86/46/78/1038864678.db2.gz TYOFPXXGANFGHA-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cccnc1Cl)c1ccco1 ZINC000119082100 1038902970 /nfs/dbraw/zinc/90/29/70/1038902970.db2.gz QGHQOJVNDZBRSH-ZFWWWQNUSA-N 0 3 321.852 4.062 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@]2(C1)CCCOC2 ZINC000342841803 1038903481 /nfs/dbraw/zinc/90/34/81/1038903481.db2.gz SEMFTIDHNAIKMC-LJQANCHMSA-N 0 3 313.397 4.074 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1coc(-c2ccccc2)n1 ZINC000657617111 1038915291 /nfs/dbraw/zinc/91/52/91/1038915291.db2.gz XIJNKXPCIUAGJW-IFXJQAMLSA-N 0 3 304.393 4.160 20 0 DIADHN Cc1ccc([C@H]2CCCCN2C(=O)C[C@H](N)c2ccccc2)cc1 ZINC000237654265 1038967045 /nfs/dbraw/zinc/96/70/45/1038967045.db2.gz FOZXSLJFBRFVIC-VQTJNVASSA-N 0 3 322.452 4.139 20 0 DIADHN COCc1csc(CNCc2ccc3c(c2)CCCC3)c1 ZINC000657659809 1038968517 /nfs/dbraw/zinc/96/85/17/1038968517.db2.gz WVIBNOKGXHGQPG-UHFFFAOYSA-N 0 3 301.455 4.063 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2cccc(Cl)c2)cc1 ZINC000120236211 1038975882 /nfs/dbraw/zinc/97/58/82/1038975882.db2.gz VVFRRWYCTONZIE-ACJLOTCBSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@]1(CCNCc2csc(-c3ccsc3)n2)CC1(F)F ZINC000657669915 1038978847 /nfs/dbraw/zinc/97/88/47/1038978847.db2.gz BCHAYMNCAARKIS-CYBMUJFWSA-N 0 3 314.426 4.397 20 0 DIADHN C[C@]1(CCNCc2csc(-c3cccs3)n2)CC1(F)F ZINC000657671803 1038985066 /nfs/dbraw/zinc/98/50/66/1038985066.db2.gz SIRWTCSGWJPVIW-ZDUSSCGKSA-N 0 3 314.426 4.397 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](c1ncccc1C)C(C)C)CC2 ZINC000120505432 1038993938 /nfs/dbraw/zinc/99/39/38/1038993938.db2.gz FDUXKZSSHJVYSJ-OALUTQOASA-N 0 3 310.441 4.373 20 0 DIADHN CC[C@](C)(NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000657690812 1039011306 /nfs/dbraw/zinc/01/13/06/1039011306.db2.gz XHSFJJIEBCKFOS-SFHVURJKSA-N 0 3 316.470 4.390 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(C(F)(F)F)cc1)CC2 ZINC000657691829 1039015770 /nfs/dbraw/zinc/01/57/70/1039015770.db2.gz KAHKRGRLKBSFLY-INIZCTEOSA-N 0 3 307.315 4.188 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C[C@@H]2CCOC2)c2ccccc2)s1 ZINC000090806019 1039019663 /nfs/dbraw/zinc/01/96/63/1039019663.db2.gz GWEVVIXOWZRHMR-QRTARXTBSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C[C@@H]2CCOC2)c2ccccc2)s1 ZINC000090806022 1039020873 /nfs/dbraw/zinc/02/08/73/1039020873.db2.gz GWEVVIXOWZRHMR-JLJPHGGASA-N 0 3 316.470 4.270 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2cccc(-c3cccnc3)c2)nc1 ZINC000534332212 1039032418 /nfs/dbraw/zinc/03/24/18/1039032418.db2.gz NDNNMDMRKYJAMV-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN CCn1c2ccccc2nc1CN1CC[C@H](c2ccccc2)C1 ZINC000534336200 1039038989 /nfs/dbraw/zinc/03/89/89/1039038989.db2.gz RATCZHBPQIFWKT-KRWDZBQOSA-N 0 3 305.425 4.046 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cc3ccccc3[nH]c2=O)cc1 ZINC000078973889 1039053045 /nfs/dbraw/zinc/05/30/45/1039053045.db2.gz PEFOMXCSPTYBJT-CYBMUJFWSA-N 0 3 324.449 4.101 20 0 DIADHN CN(CCOCC1CC1)Cc1cccc(SC(F)(F)F)c1 ZINC001167647603 1039078532 /nfs/dbraw/zinc/07/85/32/1039078532.db2.gz NAWLRMFAEGHMTP-UHFFFAOYSA-N 0 3 319.392 4.157 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NC(C)C)c2ccccc2)cc1Cl ZINC000007376295 1039089663 /nfs/dbraw/zinc/08/96/63/1039089663.db2.gz UVZDIKVQKIVKOS-KRWDZBQOSA-N 0 3 316.832 4.326 20 0 DIADHN CCCCCN[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000007378848 1039093190 /nfs/dbraw/zinc/09/31/90/1039093190.db2.gz YCUOGECXYPDILP-GOSISDBHSA-N 0 3 314.404 4.285 20 0 DIADHN CCCCCN[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000007378843 1039093538 /nfs/dbraw/zinc/09/35/38/1039093538.db2.gz YCUOGECXYPDILP-SFHVURJKSA-N 0 3 314.404 4.285 20 0 DIADHN CCC(CC)N[C@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000007388757 1039096370 /nfs/dbraw/zinc/09/63/70/1039096370.db2.gz DIIGBGJUOMSLRP-IBGZPJMESA-N 0 3 310.441 4.453 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3c(F)cccc3F)o2)CC1 ZINC000091677471 1039103121 /nfs/dbraw/zinc/10/31/21/1039103121.db2.gz VWFRPNTXYJBNPO-UHFFFAOYSA-N 0 3 321.367 4.226 20 0 DIADHN Cn1cc(CN[C@H]2CCCc3sccc32)c(-c2ccccc2)n1 ZINC000123647297 1039161289 /nfs/dbraw/zinc/16/12/89/1039161289.db2.gz GYZSWSTYTWEQDX-KRWDZBQOSA-N 0 3 323.465 4.316 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1nc(Cl)ccc1N ZINC001204604789 1039197708 /nfs/dbraw/zinc/19/77/08/1039197708.db2.gz XQPXAWSTXGBGAC-UHFFFAOYSA-N 0 3 303.837 4.118 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105890 1039198624 /nfs/dbraw/zinc/19/86/24/1039198624.db2.gz WUIZVFSNOPPNBK-RTBURBONSA-N 0 3 315.844 4.431 20 0 DIADHN CCOc1cc(CN2CC[C@@H]3CCC[C@H]32)cc(Cl)c1OC ZINC000428463281 1039198717 /nfs/dbraw/zinc/19/87/17/1039198717.db2.gz SMTYOQUVFLIXBJ-DZGCQCFKSA-N 0 3 309.837 4.122 20 0 DIADHN CC(=O)N1CCc2cc(Nc3ccnc(C(C)(C)C)c3)ccc21 ZINC001213166653 1039205045 /nfs/dbraw/zinc/20/50/45/1039205045.db2.gz IEBYJVOZLZQVGX-UHFFFAOYSA-N 0 3 309.413 4.032 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cccc(Cl)c1)c1ccccc1 ZINC000657742227 1039207156 /nfs/dbraw/zinc/20/71/56/1039207156.db2.gz OACNQTUJYHSJAB-MSOLQXFVSA-N 0 3 303.833 4.188 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](c1ccccc1)N1CC[C@H](C2CC2)C1 ZINC000491244469 1039234519 /nfs/dbraw/zinc/23/45/19/1039234519.db2.gz VWYDSMIVNGLLJH-AZUAARDMSA-N 0 3 320.436 4.098 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2CCO[C@@H]2C2CC2)o1 ZINC000535541165 1039237000 /nfs/dbraw/zinc/23/70/00/1039237000.db2.gz BBAXUJGCBNVKCV-SJLPKXTDSA-N 0 3 317.816 4.257 20 0 DIADHN CC(C)n1ccnc1CN1CCCC[C@@H]1CCc1ccccc1 ZINC000535574731 1039246783 /nfs/dbraw/zinc/24/67/83/1039246783.db2.gz CAEVLDFZQGPEDV-LJQANCHMSA-N 0 3 311.473 4.451 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(Cc2ccc3c(c2)ncn3C)C1 ZINC000539406253 1039255328 /nfs/dbraw/zinc/25/53/28/1039255328.db2.gz HUORCRWYLWYUJN-VLIAUNLRSA-N 0 3 323.415 4.296 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(Cc2ccc3c(c2)ncn3C)C1 ZINC000539406254 1039256285 /nfs/dbraw/zinc/25/62/85/1039256285.db2.gz HUORCRWYLWYUJN-XOBRGWDASA-N 0 3 323.415 4.296 20 0 DIADHN CCc1nn(C)cc1CNC1(c2ccc(Cl)cc2)CCCC1 ZINC000092394672 1039261786 /nfs/dbraw/zinc/26/17/86/1039261786.db2.gz WSMRPZYQSXPAJW-UHFFFAOYSA-N 0 3 317.864 4.195 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CCc2ccc(Cl)s2)c1 ZINC000044504099 1039275048 /nfs/dbraw/zinc/27/50/48/1039275048.db2.gz BXQZGZVQKSUYAH-UHFFFAOYSA-N 0 3 322.861 4.034 20 0 DIADHN CCCCOCCN[C@H](C)c1cc2cccc(OCC)c2o1 ZINC000539499997 1039275534 /nfs/dbraw/zinc/27/55/34/1039275534.db2.gz WCYITUBRQZBBCP-CQSZACIVSA-N 0 3 305.418 4.299 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc3c2CN(C2CC2)C3=O)ccn1 ZINC001213168259 1039281565 /nfs/dbraw/zinc/28/15/65/1039281565.db2.gz BKQVWGPQKCTYBM-UHFFFAOYSA-N 0 3 321.424 4.241 20 0 DIADHN Cc1cccc([C@H]2CCCN2C(=O)c2cccc(CN(C)C)c2)c1 ZINC000155884926 1039289915 /nfs/dbraw/zinc/28/99/15/1039289915.db2.gz PMKYNMFWMYZNLC-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@@H](NCc1ccc(Oc2cnccn2)cc1)c1ccsc1 ZINC000669830911 1039293625 /nfs/dbraw/zinc/29/36/25/1039293625.db2.gz BNFJLQMRTVCEFL-CYBMUJFWSA-N 0 3 311.410 4.181 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@H](NCc2csc(-c3ccsc3)n2)C1(C)C ZINC000539589123 1039295554 /nfs/dbraw/zinc/29/55/54/1039295554.db2.gz LPTPRORNKYOMTC-LEWSCRJBSA-N 0 3 322.499 4.021 20 0 DIADHN Brc1ccc2c(c1)[C@H](NCc1cccnc1)CCC2 ZINC000092719672 1039297586 /nfs/dbraw/zinc/29/75/86/1039297586.db2.gz JZSVCGHQTOUTEF-MRXNPFEDSA-N 0 3 317.230 4.011 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2cccnc2c1 ZINC000669833215 1039298935 /nfs/dbraw/zinc/29/89/35/1039298935.db2.gz XWUUDEPDMPZTOL-SFHVURJKSA-N 0 3 322.383 4.160 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc3c2C(=O)N(C2CC2)C3)ccn1 ZINC001213168685 1039302043 /nfs/dbraw/zinc/30/20/43/1039302043.db2.gz OWJZAUUQASHLDS-UHFFFAOYSA-N 0 3 321.424 4.241 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CC1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000539624566 1039302562 /nfs/dbraw/zinc/30/25/62/1039302562.db2.gz VFXRENYUGQYJQG-CQSZACIVSA-N 0 3 320.436 4.256 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc(F)c2OC2COC2)ccn1 ZINC001213168811 1039306790 /nfs/dbraw/zinc/30/67/90/1039306790.db2.gz VHJHZCHUHQXGNM-UHFFFAOYSA-N 0 3 316.376 4.039 20 0 DIADHN Cc1ccc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)s1 ZINC000539651464 1039307305 /nfs/dbraw/zinc/30/73/05/1039307305.db2.gz MKHWWOGYTJJKJM-GFCCVEGCSA-N 0 3 301.411 4.125 20 0 DIADHN C[C@H](NCc1ccc(-c2cccc(F)c2)s1)c1cn[nH]c1 ZINC000536008548 1039307283 /nfs/dbraw/zinc/30/72/83/1039307283.db2.gz UJZCKOKXWDTJJY-NSHDSACASA-N 0 3 301.390 4.128 20 0 DIADHN C[C@@H](NC1(c2cccc(F)c2)CC1)c1ccc(-n2cccn2)cc1 ZINC000539653320 1039307599 /nfs/dbraw/zinc/30/75/99/1039307599.db2.gz BAZVEWHOLZTNNO-OAHLLOKOSA-N 0 3 321.399 4.351 20 0 DIADHN COCc1cc(CN[C@H](CC(C)C)c2ccncc2)ccc1F ZINC000647290020 1039308620 /nfs/dbraw/zinc/30/86/20/1039308620.db2.gz STFNKTPUSROWTR-LJQANCHMSA-N 0 3 316.420 4.244 20 0 DIADHN Fc1cccc([C@H](NC[C@H]2CCCO2)c2cccc(Cl)c2)c1 ZINC000491271347 1039308681 /nfs/dbraw/zinc/30/86/81/1039308681.db2.gz OBPQHVVASHESPW-QZTJIDSGSA-N 0 3 319.807 4.337 20 0 DIADHN COC[C@@H](NC[C@@H]1CCC2(CCCC2)O1)c1ccc(Cl)cc1 ZINC000558743256 1039330270 /nfs/dbraw/zinc/33/02/70/1039330270.db2.gz SUZCZVBVGMUDGZ-DLBZAZTESA-N 0 3 323.864 4.109 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1c(C)cccc1Cl)C1CC1 ZINC000536039872 1039337693 /nfs/dbraw/zinc/33/76/93/1039337693.db2.gz SEUYLDOSNYFXNM-JSGCOSHPSA-N 0 3 322.880 4.486 20 0 DIADHN CCC1(CO)CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC000539816881 1039345313 /nfs/dbraw/zinc/34/53/13/1039345313.db2.gz BXVLNJPJGXZRAJ-UHFFFAOYSA-N 0 3 307.821 4.071 20 0 DIADHN CN(Cc1cccc2c1CCO2)Cc1ccccc1C(F)(F)F ZINC001233277369 1039346254 /nfs/dbraw/zinc/34/62/54/1039346254.db2.gz YWGSHBHZHMRRKU-UHFFFAOYSA-N 0 3 321.342 4.272 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3cccc(F)c3C)o2)CC1 ZINC000539818860 1039348986 /nfs/dbraw/zinc/34/89/86/1039348986.db2.gz DIDIOUMBMYBAAR-UHFFFAOYSA-N 0 3 317.404 4.131 20 0 DIADHN CCn1c2ccccc2nc1CN(C)C[C@H](C)c1ccccc1 ZINC000539839768 1039355425 /nfs/dbraw/zinc/35/54/25/1039355425.db2.gz CNPPRYGYDXXYTI-INIZCTEOSA-N 0 3 307.441 4.292 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCCC[C@@H]3c3ccc(C)cc3)cc12 ZINC000539839957 1039365872 /nfs/dbraw/zinc/36/58/72/1039365872.db2.gz DDOPDIKZHGHRMF-LJQANCHMSA-N 0 3 320.440 4.302 20 0 DIADHN Clc1ccc(CN2CCCN3c4ccccc4C[C@H]3C2)s1 ZINC000583534414 1039367048 /nfs/dbraw/zinc/36/70/48/1039367048.db2.gz NXUFFFZNVUHBMG-AWEZNQCLSA-N 0 3 318.873 4.039 20 0 DIADHN CSc1ccc(CNCc2cccc(OC(F)F)c2)s1 ZINC000564415366 1039367590 /nfs/dbraw/zinc/36/75/90/1039367590.db2.gz NXAPIWUTMAEELS-UHFFFAOYSA-N 0 3 315.410 4.361 20 0 DIADHN CSC[C@H]1CCCN1Cc1ccc(OC(F)F)c(Cl)c1 ZINC000539849903 1039368684 /nfs/dbraw/zinc/36/86/84/1039368684.db2.gz FTJKXLMBZPGWTN-LLVKDONJSA-N 0 3 321.820 4.269 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3F)s2)CCCO1 ZINC000539839407 1039370766 /nfs/dbraw/zinc/37/07/66/1039370766.db2.gz KSIBSXPHIDQMMK-ZDUSSCGKSA-N 0 3 305.418 4.165 20 0 DIADHN CCOC[C@@H]1CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000539862708 1039375664 /nfs/dbraw/zinc/37/56/64/1039375664.db2.gz UPYRJVVBPRLFAS-CQSZACIVSA-N 0 3 308.491 4.049 20 0 DIADHN CS[C@@H]1CCCCN(Cc2c(F)cccc2N2CCCC2)C1 ZINC000539894676 1039379646 /nfs/dbraw/zinc/37/96/46/1039379646.db2.gz DWEANWIXOHPRSU-OAHLLOKOSA-N 0 3 322.493 4.143 20 0 DIADHN OCc1ccc(CN2CCCCC[C@H]2/C=C\c2ccccc2)o1 ZINC000539911808 1039387639 /nfs/dbraw/zinc/38/76/39/1039387639.db2.gz FPWGOZZZFBRTOG-LENZSSGSSA-N 0 3 311.425 4.230 20 0 DIADHN OCc1ccc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)o1 ZINC000539911810 1039389289 /nfs/dbraw/zinc/38/92/89/1039389289.db2.gz FPWGOZZZFBRTOG-RXQFDZFGSA-N 0 3 311.425 4.230 20 0 DIADHN COc1ccc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)cc1C ZINC000728572198 1039404723 /nfs/dbraw/zinc/40/47/23/1039404723.db2.gz YENSQIZWAZRJLZ-ZDUSSCGKSA-N 0 3 305.368 4.475 20 0 DIADHN Cn1cc([C@@H](NCCCC2CCCC2)c2cccc(F)c2)cn1 ZINC000491285050 1039407435 /nfs/dbraw/zinc/40/74/35/1039407435.db2.gz RSEFDLYDOOYHRP-IBGZPJMESA-N 0 3 315.436 4.209 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cnc(-c2ccco2)s1 ZINC000278366395 1039416973 /nfs/dbraw/zinc/41/69/73/1039416973.db2.gz JOTZVDRFBGBDDR-INIZCTEOSA-N 0 3 310.422 4.489 20 0 DIADHN Cc1ccc(SCCN(C)[C@@H](C)c2cccnc2)cc1C ZINC000540099533 1039418062 /nfs/dbraw/zinc/41/80/62/1039418062.db2.gz BAQFFCKKTIQFQV-INIZCTEOSA-N 0 3 300.471 4.484 20 0 DIADHN C[C@H](NCC1CC(c2ccccc2)C1)c1cc2n(n1)CCCC2 ZINC000647302476 1039419947 /nfs/dbraw/zinc/41/99/47/1039419947.db2.gz BBEBLJXNAVNLBN-HJOIGYKYSA-N 0 3 309.457 4.064 20 0 DIADHN CO[C@H](CNCc1cnc(C2CCC2)s1)C1CCCCC1 ZINC000657782837 1039424281 /nfs/dbraw/zinc/42/42/81/1039424281.db2.gz SGHSQQWVYQFEME-MRXNPFEDSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1c([C@H](C)NCC2(C3CC3)CCC2)nnn1-c1ccc(C)cc1 ZINC000540146148 1039425091 /nfs/dbraw/zinc/42/50/91/1039425091.db2.gz AAMDLGJTGAWWKA-HNNXBMFYSA-N 0 3 324.472 4.115 20 0 DIADHN C[C@H](NCCSCC(F)(F)F)c1ccc(F)cc1Cl ZINC000540150282 1039431910 /nfs/dbraw/zinc/43/19/10/1039431910.db2.gz GTBVOEQKKGWXEC-QMMMGPOBSA-N 0 3 315.763 4.425 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000540167300 1039434882 /nfs/dbraw/zinc/43/48/82/1039434882.db2.gz BBFKALCXFDPEEP-VHSSKADRSA-N 0 3 301.817 4.196 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)C(=O)NC(C)(C)C ZINC000540171787 1039437222 /nfs/dbraw/zinc/43/72/22/1039437222.db2.gz ZYJUKAUURLJLIZ-JKSUJKDBSA-N 0 3 324.468 4.307 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2N(C)C)cc(C)c1F ZINC000540174339 1039437556 /nfs/dbraw/zinc/43/75/56/1039437556.db2.gz RIEXAOUHVIPUGN-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC000671296348 1039444990 /nfs/dbraw/zinc/44/49/90/1039444990.db2.gz CORRUCKWYIOAOO-VUCTXSBTSA-N 0 3 314.429 4.188 20 0 DIADHN C[C@H](NCCSCc1cccc2ccccc21)c1cnccn1 ZINC000151160520 1039466731 /nfs/dbraw/zinc/46/67/31/1039466731.db2.gz NGFDNSRQNVNTPV-HNNXBMFYSA-N 0 3 323.465 4.214 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cn(C)nc1C(C)(C)C ZINC000657796247 1039467363 /nfs/dbraw/zinc/46/73/63/1039467363.db2.gz DHVLSFIBFGLQDH-GOSISDBHSA-N 0 3 311.473 4.193 20 0 DIADHN COc1ccc([C@H](NCc2nc3ccc(C)cc3[nH]2)C(C)C)cc1 ZINC000540314780 1039476474 /nfs/dbraw/zinc/47/64/74/1039476474.db2.gz XVGKOJFJWOHZLC-HXUWFJFHSA-N 0 3 323.440 4.367 20 0 DIADHN CCN(C)c1ccc(CN[C@H]2CCCc3c(C)cccc32)cn1 ZINC000657798365 1039476977 /nfs/dbraw/zinc/47/69/77/1039476977.db2.gz ORVWSWMOWKGJAZ-IBGZPJMESA-N 0 3 309.457 4.013 20 0 DIADHN O=C(Nc1ccc(-c2ccc[nH]2)cc1)[C@@H]1CCCN1C1CCCC1 ZINC000540420674 1039490266 /nfs/dbraw/zinc/49/02/66/1039490266.db2.gz XYIGCAHQNCBTGF-IBGZPJMESA-N 0 3 323.440 4.027 20 0 DIADHN CC(C)(C)CN(Cc1nc(-c2ccoc2)no1)CC(C)(C)C ZINC000540419101 1039492003 /nfs/dbraw/zinc/49/20/03/1039492003.db2.gz DDORYKNQGZJKAV-UHFFFAOYSA-N 0 3 305.422 4.224 20 0 DIADHN COc1cccc(CCCN[C@@H](C)c2c(F)cccc2OC)c1 ZINC000540460044 1039495878 /nfs/dbraw/zinc/49/58/78/1039495878.db2.gz BGQLKSQHYHCIFR-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN COc1cccc(CNCc2ccc3nc(C)ccc3c2)c1C ZINC000657804023 1039500398 /nfs/dbraw/zinc/50/03/98/1039500398.db2.gz CXYQUBCVCPWGHR-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN Cc1cc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c2ccccc2n1 ZINC000540479106 1039500637 /nfs/dbraw/zinc/50/06/37/1039500637.db2.gz VCMSUJPWUFGTHO-LJQANCHMSA-N 0 3 322.456 4.154 20 0 DIADHN Cc1nnsc1[C@@H](C)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000929621432 1039517984 /nfs/dbraw/zinc/51/79/84/1039517984.db2.gz DDMGJMLAGHPPIV-DGCLKSJQSA-N 0 3 307.850 4.050 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc3c(c2)OCCCO3)CC1 ZINC000647316093 1039520165 /nfs/dbraw/zinc/52/01/65/1039520165.db2.gz PHGFGCUYQYVIKN-MRXNPFEDSA-N 0 3 323.436 4.496 20 0 DIADHN Cc1ccccc1[C@H](N[C@H](C)C(=O)Nc1ccccc1)C1CC1 ZINC000540591693 1039521108 /nfs/dbraw/zinc/52/11/08/1039521108.db2.gz KKTHLBHVPDIAQE-DNVCBOLYSA-N 0 3 308.425 4.063 20 0 DIADHN C[C@H](N[C@H]1COc2ccc(F)cc2C1)c1ccc2ccccc2n1 ZINC000583958327 1039526099 /nfs/dbraw/zinc/52/60/99/1039526099.db2.gz JVYXFKZZJONYIV-SUMWQHHRSA-N 0 3 322.383 4.028 20 0 DIADHN Cn1ccc(CN[C@@H]2CCC[C@H]2c2ccc(Cl)c(Cl)c2)n1 ZINC000540606679 1039528575 /nfs/dbraw/zinc/52/85/75/1039528575.db2.gz POYRYDROYVYKEK-XJKSGUPXSA-N 0 3 324.255 4.153 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1csc(-c2ccccc2)n1 ZINC000540616834 1039531161 /nfs/dbraw/zinc/53/11/61/1039531161.db2.gz OIXDDTIXMCPUTF-CYBMUJFWSA-N 0 3 304.459 4.103 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cc2ccccc2o1 ZINC000540686508 1039541716 /nfs/dbraw/zinc/54/17/16/1039541716.db2.gz OJYRLIRTEBCUSH-DJJJIMSYSA-N 0 3 313.372 4.438 20 0 DIADHN CN(CCN(C)Cc1c(F)cccc1Cl)Cc1ccccc1 ZINC001203410783 1039545879 /nfs/dbraw/zinc/54/58/79/1039545879.db2.gz NHNKEWJVAZPZNL-UHFFFAOYSA-N 0 3 320.839 4.043 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NC1(c2cccc(F)c2)CC1 ZINC000540753330 1039556429 /nfs/dbraw/zinc/55/64/29/1039556429.db2.gz KYHKNFVXZJADEM-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN C[C@H](CN[C@H](c1ccccc1)c1ccc(F)cn1)CC(F)F ZINC000647318974 1039556580 /nfs/dbraw/zinc/55/65/80/1039556580.db2.gz HFFRPIKRUGALIG-YVEFUNNKSA-N 0 3 308.347 4.191 20 0 DIADHN C[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cn1)CC(F)F ZINC000647318971 1039557002 /nfs/dbraw/zinc/55/70/02/1039557002.db2.gz HFFRPIKRUGALIG-PXAZEXFGSA-N 0 3 308.347 4.191 20 0 DIADHN C[C@@H](N[C@@H]1CCOc2ccccc21)c1cc2ccncc2s1 ZINC000657818543 1039557178 /nfs/dbraw/zinc/55/71/78/1039557178.db2.gz BXUCIZXZXDPKSH-IUODEOHRSA-N 0 3 310.422 4.471 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC000584063705 1039586634 /nfs/dbraw/zinc/58/66/34/1039586634.db2.gz ZMOULDIEVNKKDE-QCTLCKQISA-N 0 3 315.848 4.486 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2N[C@@H](Cc1ccccc1)c1ccccc1 ZINC000657823773 1039587442 /nfs/dbraw/zinc/58/74/42/1039587442.db2.gz BJTRNDHOSWFJCM-PMACEKPBSA-N 0 3 317.436 4.292 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@@H](C)c1cscn1)CC3 ZINC000540917181 1039594941 /nfs/dbraw/zinc/59/49/41/1039594941.db2.gz VRUVZIVMUDYNCZ-QWHCGFSZSA-N 0 3 311.454 4.141 20 0 DIADHN C[C@@H](NCCc1cc2ccccc2o1)c1cccnc1Cl ZINC000090076611 1039604830 /nfs/dbraw/zinc/60/48/30/1039604830.db2.gz XTYRJKFBFXGAGB-GFCCVEGCSA-N 0 3 300.789 4.375 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3ncc(-c4cccc(F)c4)o3)C2)C1 ZINC000541024736 1039611136 /nfs/dbraw/zinc/61/11/36/1039611136.db2.gz SCFIMKWPPLGWKI-LIRRHRJNSA-N 0 3 314.404 4.493 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657829239 1039611668 /nfs/dbraw/zinc/61/16/68/1039611668.db2.gz BJTRBPMPOYIOJB-DOTOQJQBSA-N 0 3 303.837 4.358 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@H]1C ZINC000248556068 1039639734 /nfs/dbraw/zinc/63/97/34/1039639734.db2.gz KRLOHWMPNOLDSJ-TYNCELHUSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](N[C@@H]1CCCC12CCC2)c1nc(-c2cccc(F)c2)no1 ZINC000584212652 1039641636 /nfs/dbraw/zinc/64/16/36/1039641636.db2.gz YLIGSOPVOPQBKJ-SWLSCSKDSA-N 0 3 315.392 4.249 20 0 DIADHN Cc1c(CN2CCCCC[C@@H]2/C=C/c2cccs2)cnn1C ZINC000541247554 1039649394 /nfs/dbraw/zinc/64/93/94/1039649394.db2.gz UCNNASIINDJDKP-OAGJVSPASA-N 0 3 315.486 4.248 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N(C)C[C@H]1CC=CCC1 ZINC000541325902 1039665784 /nfs/dbraw/zinc/66/57/84/1039665784.db2.gz SAIQFZVFPWKUSX-CABCVRRESA-N 0 3 320.864 4.264 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3cc(-c4ccco4)on3)C2)cc1 ZINC000541394917 1039679135 /nfs/dbraw/zinc/67/91/35/1039679135.db2.gz ZBNWARLAJSSRDE-INIZCTEOSA-N 0 3 308.381 4.233 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N(C)Cc1cccc(O)c1 ZINC000080924007 1039688248 /nfs/dbraw/zinc/68/82/48/1039688248.db2.gz HZUDIMAQFQMYTI-LBPRGKRZSA-N 0 3 307.340 4.187 20 0 DIADHN Fc1ccc([C@H](NCCc2ccccc2)c2cccnc2)cc1 ZINC000541546676 1039696981 /nfs/dbraw/zinc/69/69/81/1039696981.db2.gz INRITPJACHACEP-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@H](CN[C@@H](c1ccco1)c1ccccc1)N1CCC[C@@H](C)C1 ZINC000541546836 1039697340 /nfs/dbraw/zinc/69/73/40/1039697340.db2.gz VSFHMJXERHFJSA-MBOZVWFJSA-N 0 3 312.457 4.079 20 0 DIADHN Cc1cc([C@@H](NCCc2ccccc2)c2cccnc2)ccc1F ZINC000541547309 1039697884 /nfs/dbraw/zinc/69/78/84/1039697884.db2.gz UABYOQMOIPWUEH-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@H](N[C@H](c1cccs1)c1ccc(F)cc1)[C@@H]1CCCO1 ZINC000541543657 1039698130 /nfs/dbraw/zinc/69/81/30/1039698130.db2.gz QLCLEIWDLMOAPF-NUTKFTJISA-N 0 3 305.418 4.134 20 0 DIADHN C[C@@H](NCCCNc1cccc(F)c1)c1cccc(F)c1F ZINC000541557954 1039706287 /nfs/dbraw/zinc/70/62/87/1039706287.db2.gz LRCAIONOYAFXJI-GFCCVEGCSA-N 0 3 308.347 4.257 20 0 DIADHN Cc1cc(CN2CCC3(CCCO3)CC2)cc(C(F)(F)F)c1 ZINC001143454581 1039710928 /nfs/dbraw/zinc/71/09/28/1039710928.db2.gz FUOVNWCAGQIIIL-UHFFFAOYSA-N 0 3 313.363 4.159 20 0 DIADHN COCC[C@@H](NCCCOc1ccc(C)c(C)c1)c1ccco1 ZINC000541603683 1039716189 /nfs/dbraw/zinc/71/61/89/1039716189.db2.gz SRFRLLMLLJJYSQ-GOSISDBHSA-N 0 3 317.429 4.033 20 0 DIADHN C[C@@H](NCc1nc(-c2ccoc2)no1)[C@@H](C)C1CCCCC1 ZINC000541601957 1039716717 /nfs/dbraw/zinc/71/67/17/1039716717.db2.gz YBCBPGBWXBKZSZ-CHWSQXEVSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NCc1nnc(-c2ccccc2)o1 ZINC000541614810 1039716964 /nfs/dbraw/zinc/71/69/64/1039716964.db2.gz WXZCNWLPJSTLDW-HYVNUMGLSA-N 0 3 313.445 4.287 20 0 DIADHN Cn1cc([C@@H](NC/C=C/c2ccc(F)cc2F)C(C)(C)C)cn1 ZINC000541776561 1039740635 /nfs/dbraw/zinc/74/06/35/1039740635.db2.gz ZKZWIWGKRAUKTE-FUTAKVPZSA-N 0 3 319.399 4.089 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657854975 1039748644 /nfs/dbraw/zinc/74/86/44/1039748644.db2.gz ZHIJHHUHFIKFLX-RBUKOAKNSA-N 0 3 311.400 4.216 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1nc(-c2ccsc2)no1 ZINC000464739998 1039751307 /nfs/dbraw/zinc/75/13/07/1039751307.db2.gz QYGARWVJGJYSPJ-JSGCOSHPSA-N 0 3 305.447 4.199 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3ccc(Oc4ccccc4)nn3)C2)C1 ZINC000541953418 1039771093 /nfs/dbraw/zinc/77/10/93/1039771093.db2.gz LGTDERJPKMNBCV-JXFKEZNVSA-N 0 3 323.440 4.281 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCCc3ccc(F)cc32)cn1 ZINC000089213752 1039771235 /nfs/dbraw/zinc/77/12/35/1039771235.db2.gz RLPVQYVUFYSBBE-SCLBCKFNSA-N 0 3 301.409 4.331 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CCC[C@H](c2ccc(F)cc2)CC1 ZINC001168439670 1039774642 /nfs/dbraw/zinc/77/46/42/1039774642.db2.gz WYQFEJALBPUMHI-MAUKXSAKSA-N 0 3 321.436 4.127 20 0 DIADHN Cc1cc([C@@H](NCc2cnn(CC(C)C)c2)C2CC2)ccc1F ZINC000541991906 1039776241 /nfs/dbraw/zinc/77/62/41/1039776241.db2.gz GQMJSQPYMBJMPN-IBGZPJMESA-N 0 3 315.436 4.228 20 0 DIADHN C[C@@H]1CN(Cc2ncc(-c3cccs3)o2)[C@H]2CCCC[C@@H]12 ZINC000542050995 1039788651 /nfs/dbraw/zinc/78/86/51/1039788651.db2.gz GKGSYUKBBYGVDZ-RDBSUJKOSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccncc3)C2)cc(C(F)(F)F)c1 ZINC001143455476 1039793346 /nfs/dbraw/zinc/79/33/46/1039793346.db2.gz SZUUEOKJOWSMAL-INIZCTEOSA-N 0 3 320.358 4.398 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1c(C)nn(C)c1C ZINC000090964169 1039809697 /nfs/dbraw/zinc/80/96/97/1039809697.db2.gz JYLJLDINNUPUPK-MSOLQXFVSA-N 0 3 315.436 4.294 20 0 DIADHN CCC[C@@H](NCCOc1ccccc1Cl)c1ccccn1 ZINC000090772508 1039815598 /nfs/dbraw/zinc/81/55/98/1039815598.db2.gz FAKAFOAOIRBYMB-OAHLLOKOSA-N 0 3 304.821 4.245 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](C)c2ccc(SC)cc2)cc1 ZINC000090844138 1039817989 /nfs/dbraw/zinc/81/79/89/1039817989.db2.gz MWILDIUXRWQBCN-IFXJQAMLSA-N 0 3 315.482 4.355 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H](CC)c2ccc(OC)cc2)cc1 ZINC000090843897 1039818951 /nfs/dbraw/zinc/81/89/51/1039818951.db2.gz ONVHQEOBSUESSH-UXHICEINSA-N 0 3 313.441 4.032 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)NCc2cnc3cnccn23)cc1 ZINC000128195272 1039819939 /nfs/dbraw/zinc/81/99/39/1039819939.db2.gz OTZUSDGZWFWLNM-UHFFFAOYSA-N 0 3 322.456 4.052 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CO)c2ccc(CC)cc2)s1 ZINC000090844378 1039820243 /nfs/dbraw/zinc/82/02/43/1039820243.db2.gz DZGKEKPIYOWFML-SUMWQHHRSA-N 0 3 303.471 4.257 20 0 DIADHN Cc1cnccc1CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000090847034 1039823129 /nfs/dbraw/zinc/82/31/29/1039823129.db2.gz MQSHEHZJJKPYEU-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CCOC1CCC(NCc2c(Cl)cccc2Cl)CC1 ZINC000657860956 1039825962 /nfs/dbraw/zinc/82/59/62/1039825962.db2.gz FBMRCXXHLMPJBD-UHFFFAOYSA-N 0 3 302.245 4.431 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCC2)O1)c1cc(F)c(F)c(F)c1 ZINC000669932628 1039827976 /nfs/dbraw/zinc/82/79/76/1039827976.db2.gz LQENMUMCWTVDHB-WCQYABFASA-N 0 3 313.363 4.246 20 0 DIADHN Cc1csc(CN[C@@H](CSc2ccccc2)C(C)C)n1 ZINC000491357224 1039836742 /nfs/dbraw/zinc/83/67/42/1039836742.db2.gz XFQBNBZUKCZRGH-HNNXBMFYSA-N 0 3 306.500 4.358 20 0 DIADHN CCOc1ccc(CN[C@H](c2cccnc2)C(C)C)cc1F ZINC000091334215 1039842128 /nfs/dbraw/zinc/84/21/28/1039842128.db2.gz GJCFVCFHVVDIHQ-SFHVURJKSA-N 0 3 302.393 4.106 20 0 DIADHN Cn1cc([C@H](NCCC2CCCCC2)c2cccc(F)c2)cn1 ZINC000491423824 1039842313 /nfs/dbraw/zinc/84/23/13/1039842313.db2.gz PSHFCOBEWYRTOX-LJQANCHMSA-N 0 3 315.436 4.209 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2cccc(OCC)c2)cc1 ZINC000151630111 1039846108 /nfs/dbraw/zinc/84/61/08/1039846108.db2.gz IJQPEIKHMYSSTN-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN O=C(Nc1csc2ccccc12)[C@H]1CCCN1C1CCCC1 ZINC000491446274 1039848293 /nfs/dbraw/zinc/84/82/93/1039848293.db2.gz WKXRATXZJVMAIH-MRXNPFEDSA-N 0 3 314.454 4.247 20 0 DIADHN CCSc1cccc(CNCc2cnc3cccc(C)n23)c1 ZINC000491457106 1039851514 /nfs/dbraw/zinc/85/15/14/1039851514.db2.gz HWHYREUEIMSVNK-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN C[C@@H](NCc1ccc(N(C)C)nc1)c1ccccc1C(F)(F)F ZINC000151753843 1039868515 /nfs/dbraw/zinc/86/85/15/1039868515.db2.gz PFPLXTHEFRMMEE-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN Cc1ccc(CSCCN[C@H](C)c2ccc(F)cn2)cc1 ZINC000092720505 1039878692 /nfs/dbraw/zinc/87/86/92/1039878692.db2.gz BJCMSEAVSUQUNJ-CQSZACIVSA-N 0 3 304.434 4.113 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN[C@H](C)c3ccsc3)cc2)n1 ZINC000669939138 1039879772 /nfs/dbraw/zinc/87/97/72/1039879772.db2.gz LLJBYUWBAIHVIH-OAHLLOKOSA-N 0 3 311.454 4.401 20 0 DIADHN CC(C)c1ccc(OCCN[C@@H](C)c2ccc(F)cn2)cc1 ZINC000092741527 1039880695 /nfs/dbraw/zinc/88/06/95/1039880695.db2.gz IVZGICJVJWAAGM-AWEZNQCLSA-N 0 3 302.393 4.074 20 0 DIADHN COc1c(O)cccc1CN(Cc1ccccc1)[C@H](C)C1CC1 ZINC000491876014 1039888392 /nfs/dbraw/zinc/88/83/92/1039888392.db2.gz NBJHOIQHFFMKCQ-OAHLLOKOSA-N 0 3 311.425 4.202 20 0 DIADHN CC(C)c1cc(CNCCc2cccc(C(F)(F)F)c2)on1 ZINC000628214739 1039888652 /nfs/dbraw/zinc/88/86/52/1039888652.db2.gz LIXAHKPUNDZLGR-UHFFFAOYSA-N 0 3 312.335 4.149 20 0 DIADHN C[C@@H](CN[C@H](C)c1cccnc1Cl)c1ccc(F)cc1F ZINC000151855981 1039890087 /nfs/dbraw/zinc/89/00/87/1039890087.db2.gz UZRWVCLLNMUXFK-WDEREUQCSA-N 0 3 310.775 4.468 20 0 DIADHN CCCn1nc(C)c(CN[C@H]2CCCc3ccc(F)cc32)c1C ZINC000093115714 1039897254 /nfs/dbraw/zinc/89/72/54/1039897254.db2.gz XJYDJAQSMDROTR-IBGZPJMESA-N 0 3 315.436 4.216 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(-c2ccccn2)s1 ZINC000093871107 1039903127 /nfs/dbraw/zinc/90/31/27/1039903127.db2.gz OEWKYULGRZJBBP-ZDUSSCGKSA-N 0 3 321.515 4.169 20 0 DIADHN CCC[C@@H](O)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000491993767 1039904049 /nfs/dbraw/zinc/90/40/49/1039904049.db2.gz AYYURVXTQBXFBI-MSOLQXFVSA-N 0 3 303.833 4.180 20 0 DIADHN C[C@@H](NCc1cc2ccccc2o1)[C@@H]1OCCc2sccc21 ZINC000492261764 1039942110 /nfs/dbraw/zinc/94/21/10/1039942110.db2.gz WEBFAFALUITDKI-XIKOKIGWSA-N 0 3 313.422 4.286 20 0 DIADHN CC(C)(NCc1cccc(-n2cccn2)c1)c1ccccc1F ZINC000492260721 1039943558 /nfs/dbraw/zinc/94/35/58/1039943558.db2.gz SRBICDQANDYFJD-UHFFFAOYSA-N 0 3 309.388 4.036 20 0 DIADHN Clc1cccc(C2(CNCc3cnc(C4CC4)o3)CC2)c1 ZINC000628218068 1039978682 /nfs/dbraw/zinc/97/86/82/1039978682.db2.gz SHWNINSJADPLNX-UHFFFAOYSA-N 0 3 302.805 4.027 20 0 DIADHN COc1ccc(CNCc2ccc(OC3CCCC3)cc2)cc1 ZINC000032226210 1039981409 /nfs/dbraw/zinc/98/14/09/1039981409.db2.gz GAGWZTBLIKUQQX-UHFFFAOYSA-N 0 3 311.425 4.306 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1c(C)cc(OC)nc1C ZINC000443849287 1039991296 /nfs/dbraw/zinc/99/12/96/1039991296.db2.gz CCEZMHWLDQREEX-UHFFFAOYSA-N 0 3 323.440 4.210 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCOC2CCCCCC2)o1 ZINC000467017257 1039992043 /nfs/dbraw/zinc/99/20/43/1039992043.db2.gz QDPBTGLJJPKZCY-SFHVURJKSA-N 0 3 321.461 4.302 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3ccc(F)cc32)cc1F ZINC000175008095 1040001464 /nfs/dbraw/zinc/00/14/64/1040001464.db2.gz ICCOUCQXHVBODH-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN CO[C@H](C)c1nc(CN2CCC[C@@H]2C2CCCCC2)cs1 ZINC000175107213 1040010181 /nfs/dbraw/zinc/01/01/81/1040010181.db2.gz JEKBKNVOZSYWGS-CZUORRHYSA-N 0 3 308.491 4.395 20 0 DIADHN CC1(C)CCC[C@H]1NCc1coc(-c2ccc(F)c(F)c2)n1 ZINC000492845357 1040026114 /nfs/dbraw/zinc/02/61/14/1040026114.db2.gz FTXSNOUSXPTRIY-OAHLLOKOSA-N 0 3 306.356 4.288 20 0 DIADHN CCC(C)(C)OCCN[C@@H](C)c1ccccc1OC(F)F ZINC000308547473 1040052550 /nfs/dbraw/zinc/05/25/50/1040052550.db2.gz QMKQBYKFQMYCRA-LBPRGKRZSA-N 0 3 301.377 4.144 20 0 DIADHN CCCCN(CCCC)Cc1nc(-c2ccc(F)cc2)no1 ZINC000302815438 1040039797 /nfs/dbraw/zinc/03/97/97/1040039797.db2.gz UMGZFSIXFJRVPX-UHFFFAOYSA-N 0 3 305.397 4.278 20 0 DIADHN CCCCN(CC)CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000302831264 1040050563 /nfs/dbraw/zinc/05/05/63/1040050563.db2.gz BFCGGPSZSKZWAX-MRXNPFEDSA-N 0 3 312.457 4.139 20 0 DIADHN COCCCN(C/C(C)=C/c1ccccc1)Cc1ccncc1 ZINC000685457409 1040056301 /nfs/dbraw/zinc/05/63/01/1040056301.db2.gz GQONQTPPAXRTOC-OBGWFSINSA-N 0 3 310.441 4.024 20 0 DIADHN Fc1ccc2oc(CN3CCC(c4cccnc4)CC3)cc2c1 ZINC000685456570 1040057829 /nfs/dbraw/zinc/05/78/29/1040057829.db2.gz ZWRIBCJCCGKJSR-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000685464075 1040064847 /nfs/dbraw/zinc/06/48/47/1040064847.db2.gz CRAGSAYHYFOJPL-LSDHHAIUSA-N 0 3 320.502 4.049 20 0 DIADHN CC[C@H](CCO)N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039054 1040067345 /nfs/dbraw/zinc/06/73/45/1040067345.db2.gz XSRFMBUWJXQFQQ-MSOLQXFVSA-N 0 3 321.823 4.319 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1CCc2cc(F)ccc2C1 ZINC001168447595 1040087705 /nfs/dbraw/zinc/08/77/05/1040087705.db2.gz YCLFMNJPHDQTSZ-QGZVFWFLSA-N 0 3 305.343 4.093 20 0 DIADHN CC[C@H](CN[C@H](CC)c1ccc(F)cn1)Oc1ccccc1F ZINC000493105829 1040093622 /nfs/dbraw/zinc/09/36/22/1040093622.db2.gz RXJYQCNFHXJFOT-GDBMZVCRSA-N 0 3 320.383 4.258 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1cc2ccncc2s1 ZINC000657903188 1040104188 /nfs/dbraw/zinc/10/41/88/1040104188.db2.gz FHBPQINCYBHGRQ-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1ccc(Br)cc1 ZINC000171944546 1040104949 /nfs/dbraw/zinc/10/49/49/1040104949.db2.gz IFZAARYYPKNIQZ-GFCCVEGCSA-N 0 3 305.219 4.037 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccc(C(F)F)nc1 ZINC000657905426 1040108900 /nfs/dbraw/zinc/10/89/00/1040108900.db2.gz KKZRFKFWSMNEGK-IAQYHMDHSA-N 0 3 318.367 4.366 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657905430 1040110201 /nfs/dbraw/zinc/11/02/01/1040110201.db2.gz KKZRFKFWSMNEGK-XHDPSFHLSA-N 0 3 318.367 4.366 20 0 DIADHN Brc1ccsc1CN1CCC2(CCCC2)C1 ZINC000309133599 1040110306 /nfs/dbraw/zinc/11/03/06/1040110306.db2.gz KLWNOZADGWKXON-UHFFFAOYSA-N 0 3 300.265 4.277 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccccc1Cl ZINC000177341990 1040118109 /nfs/dbraw/zinc/11/81/09/1040118109.db2.gz JLDINHKKTOFOBO-CYBMUJFWSA-N 0 3 320.839 4.392 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc(Cl)ccc1F ZINC000177339830 1040118360 /nfs/dbraw/zinc/11/83/60/1040118360.db2.gz PDPZADVCKKKCPF-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc2ccccc2c1 ZINC000177352629 1040118956 /nfs/dbraw/zinc/11/89/56/1040118956.db2.gz KEHWMNSNIOPDLV-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN Cc1nc(C)c(CN[C@@H]2CCCC[C@H]2Oc2cccc(C)c2)o1 ZINC000685573195 1040139557 /nfs/dbraw/zinc/13/95/57/1040139557.db2.gz UIHRAGGGOYTQDS-QZTJIDSGSA-N 0 3 314.429 4.080 20 0 DIADHN C[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000177901367 1040144183 /nfs/dbraw/zinc/14/41/83/1040144183.db2.gz JMDVESIQNYQDCA-JKSUJKDBSA-N 0 3 308.425 4.022 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)cs1 ZINC000294323439 1040153007 /nfs/dbraw/zinc/15/30/07/1040153007.db2.gz WYDCYJUBKKUTRS-QWHCGFSZSA-N 0 3 303.427 4.240 20 0 DIADHN Cc1cn[nH]c1CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000685612518 1040154572 /nfs/dbraw/zinc/15/45/72/1040154572.db2.gz ITFRVAHGIUCWJT-GOSISDBHSA-N 0 3 311.816 4.251 20 0 DIADHN COCC1(CNCc2csc(C(C)(C)C)n2)CCCCC1 ZINC000685632192 1040169759 /nfs/dbraw/zinc/16/97/59/1040169759.db2.gz WJRCRTZHBBWCLO-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN COCC1(CNCc2ncc(C(C)(C)C)s2)CCCCC1 ZINC000685632165 1040169996 /nfs/dbraw/zinc/16/99/96/1040169996.db2.gz PJYBUGWKIXLREV-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN C[C@@]1(CNCc2cscc2Cl)OCCc2sccc21 ZINC000685629325 1040170073 /nfs/dbraw/zinc/17/00/73/1040170073.db2.gz LXBFNXQVHPBKJX-AWEZNQCLSA-N 0 3 313.875 4.041 20 0 DIADHN CCOc1ccccc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000685628999 1040170370 /nfs/dbraw/zinc/17/03/70/1040170370.db2.gz FYJLJXOCWYBFTC-QUCCMNQESA-N 0 3 311.425 4.095 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](N[C@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657923089 1040176078 /nfs/dbraw/zinc/17/60/78/1040176078.db2.gz VMMPNIRHHABFAG-BLBBIFAFSA-N 0 3 315.848 4.358 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000685644408 1040177634 /nfs/dbraw/zinc/17/76/34/1040177634.db2.gz WVIIFVMAJFEKAD-UONOGXRCSA-N 0 3 315.413 4.211 20 0 DIADHN COc1cccc(C2(CN[C@@H](C)c3nc(C)sc3C)CC2)c1 ZINC000685655270 1040190189 /nfs/dbraw/zinc/19/01/89/1040190189.db2.gz NSAMMBQPUSPXGP-LBPRGKRZSA-N 0 3 316.470 4.151 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000657938075 1040198239 /nfs/dbraw/zinc/19/82/39/1040198239.db2.gz WXHMOMDUIQBQQD-BZNIZROVSA-N 0 3 303.356 4.216 20 0 DIADHN CCn1nc(C)c(CN[C@H](C)c2ccc(OC(C)C)cc2)c1C ZINC000307965293 1040222242 /nfs/dbraw/zinc/22/22/42/1040222242.db2.gz LMZBDZNAKXXMDG-CQSZACIVSA-N 0 3 315.461 4.158 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](C)c1nnc(C)s1 ZINC000657974621 1040240512 /nfs/dbraw/zinc/24/05/12/1040240512.db2.gz QDXJFVMQIOSKTA-QMTHXVAHSA-N 0 3 309.866 4.172 20 0 DIADHN CC(C)[C@@H](NCc1n[nH]c(C2CC2)n1)c1ccc2c(c1)CCCC2 ZINC000658026788 1040272971 /nfs/dbraw/zinc/27/29/71/1040272971.db2.gz HVVWYFHKZFPPPU-LJQANCHMSA-N 0 3 324.472 4.048 20 0 DIADHN CC(C)[C@H](NCc1n[nH]c(C2CC2)n1)c1ccc2c(c1)CCCC2 ZINC000658026784 1040274788 /nfs/dbraw/zinc/27/47/88/1040274788.db2.gz HVVWYFHKZFPPPU-IBGZPJMESA-N 0 3 324.472 4.048 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2cnc(C(F)(F)F)nc2)cc1 ZINC000658079248 1040293388 /nfs/dbraw/zinc/29/33/88/1040293388.db2.gz QAFLKFWIQHYLSY-OAHLLOKOSA-N 0 3 323.362 4.299 20 0 DIADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1ncc(Br)s1 ZINC000658113967 1040306527 /nfs/dbraw/zinc/30/65/27/1040306527.db2.gz JWUIYIBOIBRJQE-RKDXNWHRSA-N 0 3 305.285 4.381 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cccnc1N1CCCC1 ZINC000658104018 1040310285 /nfs/dbraw/zinc/31/02/85/1040310285.db2.gz OBPCJMBPQKBDJY-JXFKEZNVSA-N 0 3 321.468 4.095 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cccnc1N1CCCC1 ZINC000658103127 1040311326 /nfs/dbraw/zinc/31/13/26/1040311326.db2.gz HFDFANWGIBCJKG-QFBILLFUSA-N 0 3 321.468 4.328 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc3occc3c1)CCCO2 ZINC000658120350 1040319902 /nfs/dbraw/zinc/31/99/02/1040319902.db2.gz QFUAFFHNFPITOJ-GOSISDBHSA-N 0 3 323.392 4.445 20 0 DIADHN CC[C@H](N[C@@H](COC)c1cccc(OC)c1)c1cccs1 ZINC000658162150 1040337078 /nfs/dbraw/zinc/33/70/78/1040337078.db2.gz BYIVOJXEFAWQKY-HOTGVXAUSA-N 0 3 305.443 4.185 20 0 DIADHN COCc1csc(CN[C@@H]2CCCOc3cc(C)ccc32)c1 ZINC000658207846 1040356383 /nfs/dbraw/zinc/35/63/83/1040356383.db2.gz CKEZEFIYKPMRLF-QGZVFWFLSA-N 0 3 317.454 4.206 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1ccc(F)c2ccccc21 ZINC000658211034 1040357768 /nfs/dbraw/zinc/35/77/68/1040357768.db2.gz BPBUXEPGBZZSEL-ZDUSSCGKSA-N 0 3 323.415 4.441 20 0 DIADHN COCc1csc(CN[C@@H]2CSCCc3ccccc32)c1 ZINC000658210430 1040358628 /nfs/dbraw/zinc/35/86/28/1040358628.db2.gz YHTQGBXVFLCEFB-QGZVFWFLSA-N 0 3 319.495 4.015 20 0 DIADHN CCc1ccc([C@H](NCc2c(C3CC3)cnn2C)C(C)C)cc1 ZINC000658211123 1040359514 /nfs/dbraw/zinc/35/95/14/1040359514.db2.gz BDFVNOQUNQQRFO-HXUWFJFHSA-N 0 3 311.473 4.347 20 0 DIADHN COc1cc(OC)c([C@H](C)NC[C@]2(C)CC2(F)F)cc1Cl ZINC000658222409 1040364257 /nfs/dbraw/zinc/36/42/57/1040364257.db2.gz WOCLDVDHUCGIRW-XPTSAGLGSA-N 0 3 319.779 4.053 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccc(-c2ccccc2)cn1 ZINC000658225598 1040367496 /nfs/dbraw/zinc/36/74/96/1040367496.db2.gz ZXIOSQJEYQYDFR-CXAGYDPISA-N 0 3 302.368 4.445 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1cc2c(s1)CCCC2 ZINC000658212644 1040372074 /nfs/dbraw/zinc/37/20/74/1040372074.db2.gz LPMQEQLJHVAFLW-LBPRGKRZSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccc(Br)cc1F ZINC000658216231 1040376364 /nfs/dbraw/zinc/37/63/64/1040376364.db2.gz AOLBVOUXBGLUPS-PRHODGIISA-N 0 3 322.168 4.284 20 0 DIADHN Cc1cc([C@H](NC[C@@]2(C)CC2(F)F)c2cccnc2)ccc1F ZINC000658216424 1040376591 /nfs/dbraw/zinc/37/65/91/1040376591.db2.gz BTFJSSKMGFSKNI-DLBZAZTESA-N 0 3 320.358 4.253 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(O)cc21)c1cccc(OC(F)F)c1 ZINC000658239653 1040382989 /nfs/dbraw/zinc/38/29/89/1040382989.db2.gz OCXZMVSYOSZAOF-PIGZYNQJSA-N 0 3 319.351 4.332 20 0 DIADHN C[C@H]1CC[C@H](NCc2c(C3CCC3)cnn2C)c2sccc21 ZINC000658266295 1040394445 /nfs/dbraw/zinc/39/44/45/1040394445.db2.gz HTCFKJZIOLBNLX-LRDDRELGSA-N 0 3 315.486 4.477 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](O)C(C)C)cc1 ZINC000658286834 1040403209 /nfs/dbraw/zinc/40/32/09/1040403209.db2.gz BZBXJSCMQNQWJA-BEVDRBHNSA-N 0 3 313.441 4.104 20 0 DIADHN Cc1cc(F)ncc1CN[C@H](C)c1ccc(Br)cc1 ZINC000892430628 1040408502 /nfs/dbraw/zinc/40/85/02/1040408502.db2.gz HKXRXWKMEGTOFT-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccc1)c1cccnc1)C1CCCCC1 ZINC000658330220 1040413173 /nfs/dbraw/zinc/41/31/73/1040413173.db2.gz QWXNHUTXALSPQI-SFTDATJTSA-N 0 3 324.468 4.356 20 0 DIADHN C[C@H](NC[C@H]1CCOC1(C)C)c1csc(-c2ccccc2)n1 ZINC000658329883 1040413646 /nfs/dbraw/zinc/41/36/46/1040413646.db2.gz ICBTZRKIBDSYAN-DZGCQCFKSA-N 0 3 316.470 4.276 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3c(C)cccc32)cc1O ZINC000658337276 1040428965 /nfs/dbraw/zinc/42/89/65/1040428965.db2.gz FOXSJDHUXHVEEI-KDOFPFPSSA-N 0 3 311.425 4.437 20 0 DIADHN COc1cccc(CN[C@H](C)c2nccc3ccccc32)c1C ZINC000658346066 1040434863 /nfs/dbraw/zinc/43/48/63/1040434863.db2.gz BWZMTFGTNLLTRK-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000658363195 1040440204 /nfs/dbraw/zinc/44/02/04/1040440204.db2.gz VFZFPDVXDUEQQU-VHKYSDTDSA-N 0 3 320.436 4.257 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000658363190 1040440553 /nfs/dbraw/zinc/44/05/53/1040440553.db2.gz VFZFPDVXDUEQQU-GKCIPKSASA-N 0 3 320.436 4.257 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000658360253 1040441001 /nfs/dbraw/zinc/44/10/01/1040441001.db2.gz HOOQGPTUAIKJNU-RZQQEMMASA-N 0 3 313.441 4.247 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccccc2)[C@@H](C)O)cc(C)c1F ZINC000658362452 1040445375 /nfs/dbraw/zinc/44/53/75/1040445375.db2.gz RKDSYWOKNFEVRF-QMTMVMCOSA-N 0 3 301.405 4.215 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccsc1 ZINC000294466612 1040447317 /nfs/dbraw/zinc/44/73/17/1040447317.db2.gz VALVIAUOUGMUJF-SWLSCSKDSA-N 0 3 303.427 4.321 20 0 DIADHN CO[C@H](C)c1nc(CNC[C@@H](C)c2ccc(C)cc2)cs1 ZINC000628226019 1040515787 /nfs/dbraw/zinc/51/57/87/1040515787.db2.gz WZBONKRVTYWEED-ZIAGYGMSSA-N 0 3 304.459 4.052 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cnc2ccccc2n1 ZINC000628239048 1040529025 /nfs/dbraw/zinc/52/90/25/1040529025.db2.gz AJFFKTABIDUYTD-YCRPNKLZSA-N 0 3 317.436 4.433 20 0 DIADHN Cc1nc(C)c(CNCC2(c3ccc(F)cc3F)CCCC2)o1 ZINC000628241486 1040531513 /nfs/dbraw/zinc/53/15/13/1040531513.db2.gz XQHYUZWZOGVXLS-UHFFFAOYSA-N 0 3 320.383 4.171 20 0 DIADHN C[C@H](NC[C@H](O)c1ccsc1)c1ccc(-c2ccccc2)o1 ZINC000154584611 1040555683 /nfs/dbraw/zinc/55/56/83/1040555683.db2.gz HPQRDXWCQPIKRQ-BBRMVZONSA-N 0 3 313.422 4.392 20 0 DIADHN CC(C)[C@H](NCc1cnn(C)c1C1CC1)c1ccc(Cl)cc1 ZINC000892487927 1040566231 /nfs/dbraw/zinc/56/62/31/1040566231.db2.gz XOYSTSDQIUVTGV-KRWDZBQOSA-N 0 3 317.864 4.438 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccc(C(C)(C)O)cc1 ZINC000924540582 1040573761 /nfs/dbraw/zinc/57/37/61/1040573761.db2.gz FGINMYWMCKBDLV-ZDUSSCGKSA-N 0 3 303.833 4.418 20 0 DIADHN c1ccc(C(NC[C@H]2CC[C@H](C3CC3)O2)c2ccccc2)cc1 ZINC001167688851 1040586386 /nfs/dbraw/zinc/58/63/86/1040586386.db2.gz GYILCLQXYMLNOC-WOJBJXKFSA-N 0 3 307.437 4.323 20 0 DIADHN CCC[C@@H](N[C@@H](C)Cc1ccccn1)c1ncccc1Cl ZINC000926669066 1040592604 /nfs/dbraw/zinc/59/26/04/1040592604.db2.gz NKLDYFWWAIIYIZ-XJKSGUPXSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@H](C[C@](C)(O)CC)N[C@H](c1ccccc1)c1ccccn1 ZINC000924547851 1040628224 /nfs/dbraw/zinc/62/82/24/1040628224.db2.gz AAJKVMUDUAIWMN-MISYRCLQSA-N 0 3 312.457 4.090 20 0 DIADHN Cc1ccccc1OCCN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000780386134 1040631425 /nfs/dbraw/zinc/63/14/25/1040631425.db2.gz UTBSZUWFZQKDQT-UONOGXRCSA-N 0 3 301.352 4.037 20 0 DIADHN Cn1nccc1CN[C@@H](c1cccc(Cl)c1)C1CCCCC1 ZINC000153084207 1040637808 /nfs/dbraw/zinc/63/78/08/1040637808.db2.gz VVQPQKIYHJAHDC-GOSISDBHSA-N 0 3 317.864 4.485 20 0 DIADHN CSC[C@@H](NCCOC(C)C)c1ccc(C(F)(F)F)cc1 ZINC000612574822 1040643569 /nfs/dbraw/zinc/64/35/69/1040643569.db2.gz HEDSHTDINXNXGS-CQSZACIVSA-N 0 3 321.408 4.124 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OCCO2)c1cccc(Cl)c1 ZINC000236703537 1040650421 /nfs/dbraw/zinc/65/04/21/1040650421.db2.gz UCOHZKHKYSNSQI-UHFFFAOYSA-N 0 3 317.816 4.136 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1sccc1Br ZINC000309630322 1040714382 /nfs/dbraw/zinc/71/43/82/1040714382.db2.gz RBSFLYLLSCZVKT-SFYZADRCSA-N 0 3 310.207 4.349 20 0 DIADHN CC(C)n1nccc1CN[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000280789384 1040724681 /nfs/dbraw/zinc/72/46/81/1040724681.db2.gz GICXIOFHTCNEPE-QGZVFWFLSA-N 0 3 303.425 4.480 20 0 DIADHN C[C@@]1(CNCc2csc(-c3ccccc3)n2)CCCS1 ZINC000133535437 1040729825 /nfs/dbraw/zinc/72/98/25/1040729825.db2.gz XRIMBRYGKDZSEE-INIZCTEOSA-N 0 3 304.484 4.185 20 0 DIADHN C[C@]1(CNCc2csc(-c3ccccc3)n2)CCCS1 ZINC000133535221 1040730001 /nfs/dbraw/zinc/73/00/01/1040730001.db2.gz XRIMBRYGKDZSEE-MRXNPFEDSA-N 0 3 304.484 4.185 20 0 DIADHN CCOCc1ccccc1CNCc1cc(C)nc2c1CCCC2 ZINC000892515241 1040757366 /nfs/dbraw/zinc/75/73/66/1040757366.db2.gz KFTORXPDOALRRZ-UHFFFAOYSA-N 0 3 324.468 4.095 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(CC(C)C)nc1 ZINC000892515610 1040759960 /nfs/dbraw/zinc/75/99/60/1040759960.db2.gz UKZSXPABKLWHQA-UHFFFAOYSA-N 0 3 312.457 4.106 20 0 DIADHN C[C@H](N[C@H]1CCOc2ccccc21)c1ccc(C(C)(C)O)cc1 ZINC000924563313 1040761820 /nfs/dbraw/zinc/76/18/20/1040761820.db2.gz JUAQAMDEDAGDGE-KSSFIOAISA-N 0 3 311.425 4.088 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccsc2Cl)c1 ZINC000613038931 1040765685 /nfs/dbraw/zinc/76/56/85/1040765685.db2.gz DSXXKTSPQSYHKA-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(N2CCCC2=O)cc1)c1ccsc1 ZINC000177746354 1040767273 /nfs/dbraw/zinc/76/72/73/1040767273.db2.gz WADDBYRJLBKBGX-KBPBESRZSA-N 0 3 314.454 4.287 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2Cc2c[nH]c3nccnc23)o1 ZINC000685837903 1040775550 /nfs/dbraw/zinc/77/55/50/1040775550.db2.gz ZSPNQEBSKLEHLA-INIZCTEOSA-N 0 3 324.428 4.182 20 0 DIADHN CC(C)c1csc(CNCCc2ccc(F)cc2Cl)n1 ZINC000685842142 1040784585 /nfs/dbraw/zinc/78/45/85/1040784585.db2.gz CSYQNSDVHGFINO-UHFFFAOYSA-N 0 3 312.841 4.391 20 0 DIADHN Clc1cscc1CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000685849765 1040798449 /nfs/dbraw/zinc/79/84/49/1040798449.db2.gz GKGFNMQYLKENMY-OAHLLOKOSA-N 0 3 318.873 4.039 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(C2CCC2)c1)c1ccc(OC)cc1 ZINC000924566897 1040804904 /nfs/dbraw/zinc/80/49/04/1040804904.db2.gz JIJDPKFPVRKXLB-KUHUBIRLSA-N 0 3 313.445 4.419 20 0 DIADHN C[C@@H](NCC1(O)CCCCC1)c1csc(-c2ccccc2)n1 ZINC000414064009 1040806332 /nfs/dbraw/zinc/80/63/32/1040806332.db2.gz DJSSPNVFLCDUKF-CQSZACIVSA-N 0 3 316.470 4.156 20 0 DIADHN CC[C@@H](NCc1cn2ccnc2s1)c1ccc(Cl)cc1 ZINC000892531440 1040819067 /nfs/dbraw/zinc/81/90/67/1040819067.db2.gz UJSJDUJSFKBHTR-CQSZACIVSA-N 0 3 305.834 4.290 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccn(C)n1)c1c(Cl)cccc1Cl ZINC000414082490 1040833837 /nfs/dbraw/zinc/83/38/37/1040833837.db2.gz VEACQJDCZFASBD-MNOVXSKESA-N 0 3 312.244 4.181 20 0 DIADHN Cc1cccc([C@@H](NCC[C@H]2CC2(F)F)c2ccccn2)c1 ZINC000926734872 1040846364 /nfs/dbraw/zinc/84/63/64/1040846364.db2.gz BTPSCODOIBVVEG-DOTOQJQBSA-N 0 3 302.368 4.114 20 0 DIADHN COCCC1(CN[C@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000414092533 1040847139 /nfs/dbraw/zinc/84/71/39/1040847139.db2.gz NDNSVCTZCSULSU-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN Cc1cccc([C@H](NCC[C@H]2CC2(F)F)c2ccccn2)c1 ZINC000926734875 1040848030 /nfs/dbraw/zinc/84/80/30/1040848030.db2.gz BTPSCODOIBVVEG-RDJZCZTQSA-N 0 3 302.368 4.114 20 0 DIADHN COCCC1(CN[C@@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000414092530 1040848587 /nfs/dbraw/zinc/84/85/87/1040848587.db2.gz NDNSVCTZCSULSU-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414094586 1040849788 /nfs/dbraw/zinc/84/97/88/1040849788.db2.gz GLRCGSLYIARVCZ-CABCVRRESA-N 0 3 323.465 4.487 20 0 DIADHN C[C@H](N[C@@H]1CCCOCC1)c1csc(-c2ccccc2)n1 ZINC000414096250 1040852353 /nfs/dbraw/zinc/85/23/53/1040852353.db2.gz IDRPRSQTPOTSOE-DZGCQCFKSA-N 0 3 302.443 4.030 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CC2(F)F)c2ccccn2)cc1 ZINC000926735494 1040854756 /nfs/dbraw/zinc/85/47/56/1040854756.db2.gz FUEPREMUAKDWRA-WBVHZDCISA-N 0 3 302.368 4.114 20 0 DIADHN CN(CCCOCCc1ccccc1)Cc1ccc(Cl)s1 ZINC000613334127 1040882909 /nfs/dbraw/zinc/88/29/09/1040882909.db2.gz BKBVNJKQDCPQMU-UHFFFAOYSA-N 0 3 323.889 4.483 20 0 DIADHN C[C@@H](NCCCC(C)(C)CO)c1csc(-c2ccccc2)n1 ZINC000414129492 1040896949 /nfs/dbraw/zinc/89/69/49/1040896949.db2.gz UYKLORPANBMSET-CQSZACIVSA-N 0 3 318.486 4.259 20 0 DIADHN COc1ccccc1OCCN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000613362880 1040897514 /nfs/dbraw/zinc/89/75/14/1040897514.db2.gz YCVPDXLTYNJHPM-CYBMUJFWSA-N 0 3 321.367 4.045 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@@H]1O)c1csc(-c2ccccc2)n1 ZINC000414132063 1040907735 /nfs/dbraw/zinc/90/77/35/1040907735.db2.gz YRVIFHUCASBGPP-LXZKKBNFSA-N 0 3 316.470 4.012 20 0 DIADHN O[C@@H]1CCC[C@H]1N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000414145838 1040920845 /nfs/dbraw/zinc/92/08/45/1040920845.db2.gz KOSDXSHQGUGZHQ-KZNAEPCWSA-N 0 3 319.807 4.072 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H](C)CC2CCCC2)c1 ZINC000536220717 1040921109 /nfs/dbraw/zinc/92/11/09/1040921109.db2.gz MXSVPHXLRBEEQU-HNNXBMFYSA-N 0 3 302.462 4.212 20 0 DIADHN O[C@@H]1CCC[C@H]1N[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000414145834 1040923283 /nfs/dbraw/zinc/92/32/83/1040923283.db2.gz KOSDXSHQGUGZHQ-KURKYZTESA-N 0 3 319.807 4.072 20 0 DIADHN CC[C@H](N[C@@H](C)c1csc(-c2ccccc2)n1)C(C)(C)O ZINC000414147623 1040924217 /nfs/dbraw/zinc/92/42/17/1040924217.db2.gz RPQFIZPMIJCMPO-WFASDCNBSA-N 0 3 304.459 4.010 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H](C)c1cncc(F)c1 ZINC000414150330 1040927134 /nfs/dbraw/zinc/92/71/34/1040927134.db2.gz UVZLKNVJBNIHTI-SGTLLEGYSA-N 0 3 314.404 4.303 20 0 DIADHN C[C@@H]1CCN(Cc2cc(-c3ccco3)on2)[C@@H]2CCCC[C@H]12 ZINC000613416472 1040936495 /nfs/dbraw/zinc/93/64/95/1040936495.db2.gz VGGYXURJZQGXBQ-FVQBIDKESA-N 0 3 300.402 4.335 20 0 DIADHN C[C@@H](NCCCOC(F)F)c1csc(-c2ccccc2)n1 ZINC000414157248 1040937539 /nfs/dbraw/zinc/93/75/39/1040937539.db2.gz WDRJUMVYTNMMCP-LLVKDONJSA-N 0 3 312.385 4.090 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCSc2ccc(F)cc21 ZINC000174095146 1040946577 /nfs/dbraw/zinc/94/65/77/1040946577.db2.gz MTHLWTWSYCVTSH-QGZVFWFLSA-N 0 3 317.429 4.299 20 0 DIADHN CC(C)c1ccc(OC2CCN(CCc3ccncc3)CC2)cc1 ZINC000613447028 1040957713 /nfs/dbraw/zinc/95/77/13/1040957713.db2.gz RIAYBUQMGUUGNR-UHFFFAOYSA-N 0 3 324.468 4.291 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1c(F)cccc1Cl)c1ccc(F)cn1 ZINC000414179592 1040965500 /nfs/dbraw/zinc/96/55/00/1040965500.db2.gz KULYHVQWSGMFKJ-ZVWUFJHRSA-N 0 3 308.759 4.220 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)CCCOCCc1ccccc1 ZINC000613464860 1040975935 /nfs/dbraw/zinc/97/59/35/1040975935.db2.gz OBBJEBMFXCGNLM-KRWDZBQOSA-N 0 3 315.432 4.468 20 0 DIADHN C[C@@H](NC1(C2CCC2)CCC1)c1ncc(Br)s1 ZINC000414197389 1040987336 /nfs/dbraw/zinc/98/73/36/1040987336.db2.gz WJDHGUYWZHPIQO-SECBINFHSA-N 0 3 315.280 4.279 20 0 DIADHN CCn1ccc(CN[C@H](C)C(c2ccccc2)c2ccccc2)n1 ZINC000414208578 1041004249 /nfs/dbraw/zinc/00/42/49/1041004249.db2.gz LXFSWUKXXQBMTC-QGZVFWFLSA-N 0 3 319.452 4.213 20 0 DIADHN CC[C@@H]1OCC[C@H]1N[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000414211605 1041007470 /nfs/dbraw/zinc/00/74/70/1041007470.db2.gz OZGAJXMIGUPWJU-KYNGSXCRSA-N 0 3 312.413 4.092 20 0 DIADHN CCCCCC(C)(C)C[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000808702070 1041012627 /nfs/dbraw/zinc/01/26/27/1041012627.db2.gz RHHZITJYERSLNA-INIZCTEOSA-N 0 3 300.450 4.090 20 0 DIADHN CCc1ccccc1NC(=O)CN(CC1CCCCC1)C1CC1 ZINC000613501964 1041017781 /nfs/dbraw/zinc/01/77/81/1041017781.db2.gz SIOWOULMXILMNP-UHFFFAOYSA-N 0 3 314.473 4.232 20 0 DIADHN Cc1ccc(OCCN2C[C@@H](C(F)(F)F)CC[C@@H]2C)cc1 ZINC000780385883 1041019361 /nfs/dbraw/zinc/01/93/61/1041019361.db2.gz VMSOKYMSFIHSMZ-KBPBESRZSA-N 0 3 301.352 4.037 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cnccc1C(C)(C)C ZINC000414226924 1041026839 /nfs/dbraw/zinc/02/68/39/1041026839.db2.gz KEWFVURJPXUNSE-GOSISDBHSA-N 0 3 310.441 4.165 20 0 DIADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000414231987 1041035773 /nfs/dbraw/zinc/03/57/73/1041035773.db2.gz POAFSXYEXVOORC-BZPMIXESSA-N 0 3 304.484 4.355 20 0 DIADHN Cc1cc([C@H](N[C@H]2CS[C@@H](C)C2)c2cccnc2)ccc1F ZINC000414236477 1041037767 /nfs/dbraw/zinc/03/77/67/1041037767.db2.gz VSDXMDQWVHMJHI-XCRHUMRWSA-N 0 3 316.445 4.102 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@H](c2ccncn2)C1 ZINC000613511006 1041050670 /nfs/dbraw/zinc/05/06/70/1041050670.db2.gz PDHIDRCPDJAHMT-KBPBESRZSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1csc(-c2ccccc2)n1 ZINC000414269052 1041067645 /nfs/dbraw/zinc/06/76/45/1041067645.db2.gz UYJJHYWOQPIEBW-IXYNUQLISA-N 0 3 316.470 4.274 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC001170570019 1041081263 /nfs/dbraw/zinc/08/12/63/1041081263.db2.gz BGZAIGRFGKMNRS-VBHSOAQHSA-N 0 3 321.823 4.294 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1C[C@H](C)[C@@H](C)C1 ZINC000464845663 1041088210 /nfs/dbraw/zinc/08/82/10/1041088210.db2.gz OHLRHMTUYKUCTG-VGWMRTNUSA-N 0 3 302.462 4.115 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@@H](C)c1ccc(C)cc1 ZINC000414296591 1041091181 /nfs/dbraw/zinc/09/11/81/1041091181.db2.gz RSCHUQKYUARTEZ-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN CC[C@H](N[C@H](C)c1csc(-c2ccccc2)n1)[C@H](O)C(C)C ZINC000414315326 1041116877 /nfs/dbraw/zinc/11/68/77/1041116877.db2.gz MNMYMEOKKCXZOQ-UKPHBRMFSA-N 0 3 318.486 4.256 20 0 DIADHN Cc1ccc(C[C@H](NCc2nnc(C3CC3)s2)C(C)C)cc1 ZINC000414324063 1041128213 /nfs/dbraw/zinc/12/82/13/1041128213.db2.gz JVFBFUQUCIBNQT-INIZCTEOSA-N 0 3 315.486 4.081 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cc1F ZINC000176312614 1041151957 /nfs/dbraw/zinc/15/19/57/1041151957.db2.gz KRZGSCIHARGHSJ-UONOGXRCSA-N 0 3 315.388 4.317 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H](C)CCc2ccccc2[N+](=O)[O-])n1 ZINC000162430490 1041160253 /nfs/dbraw/zinc/16/02/53/1041160253.db2.gz WUFHRGJGHNFVPR-WCQYABFASA-N 0 3 319.430 4.032 20 0 DIADHN CCc1ncc(CNC(C)(C)c2ccc(C(C)(C)C)cc2)cn1 ZINC000414359015 1041169179 /nfs/dbraw/zinc/16/91/79/1041169179.db2.gz IUVOVLYGFHLPMU-UHFFFAOYSA-N 0 3 311.473 4.361 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000102888218 1041174592 /nfs/dbraw/zinc/17/45/92/1041174592.db2.gz TVIZYNVIVHMOLM-LRDDRELGSA-N 0 3 309.331 4.090 20 0 DIADHN CCC(CC)(CC)NCc1nc2ccccn2c1Br ZINC000809620659 1041203973 /nfs/dbraw/zinc/20/39/73/1041203973.db2.gz MOFHFDSMZCWOGJ-UHFFFAOYSA-N 0 3 324.266 4.155 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN1CCOC[C@@H](C)C1 ZINC000809581207 1041190674 /nfs/dbraw/zinc/19/06/74/1041190674.db2.gz VELYGHPIHDZPHL-CZAWJFPGSA-N 0 3 313.363 4.077 20 0 DIADHN Cc1occc1CNCCc1nc2cc(Cl)ccc2s1 ZINC000414376530 1041195021 /nfs/dbraw/zinc/19/50/21/1041195021.db2.gz HSGVVNBRYYQGBX-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN Cc1ccc(/C=C/CC(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000176690475 1041200212 /nfs/dbraw/zinc/20/02/12/1041200212.db2.gz PZPBYMNYMGAZEV-AATRIKPKSA-N 0 3 322.452 4.407 20 0 DIADHN CC[C@H](CN(CC)Cc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000809642451 1041209541 /nfs/dbraw/zinc/20/95/41/1041209541.db2.gz GATJWEZWWFQXBV-HUUCEWRRSA-N 0 3 318.486 4.212 20 0 DIADHN CCC1(CC)CCC(NCc2ncc(C3OCCO3)s2)CC1 ZINC000809652149 1041212782 /nfs/dbraw/zinc/21/27/82/1041212782.db2.gz RZKCIJSWPPIMJU-UHFFFAOYSA-N 0 3 324.490 4.027 20 0 DIADHN c1ccc(N2CCCCC2)c(CN2CCC[C@@H]2c2cccnc2)c1 ZINC000809728625 1041224415 /nfs/dbraw/zinc/22/44/15/1041224415.db2.gz DCWKYBPDNWUCMB-HXUWFJFHSA-N 0 3 321.468 4.409 20 0 DIADHN CCc1ccc(CN2CCC([C@@H](O)c3ccccc3C)CC2)o1 ZINC000809703465 1041226222 /nfs/dbraw/zinc/22/62/22/1041226222.db2.gz QWAZZUYWVOJEKO-HXUWFJFHSA-N 0 3 313.441 4.096 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000163867696 1041240061 /nfs/dbraw/zinc/24/00/61/1041240061.db2.gz WLHAWPJWZOWLNS-SUNYJGFJSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](O)c3ccsc3)oc2c1 ZINC000756038772 1041241076 /nfs/dbraw/zinc/24/10/76/1041241076.db2.gz YQXWAUITGOZJHS-IUODEOHRSA-N 0 3 301.411 4.187 20 0 DIADHN O[C@H](CCNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC000809747661 1041243509 /nfs/dbraw/zinc/24/35/09/1041243509.db2.gz UIPBCZPENBVVEJ-MRXNPFEDSA-N 0 3 310.224 4.207 20 0 DIADHN Fc1cccc(-c2cnc(CN3C[C@H]4CCCC[C@@H]4C3)o2)c1 ZINC000177519083 1041274630 /nfs/dbraw/zinc/27/46/30/1041274630.db2.gz POXANQMURCPCJL-HUUCEWRRSA-N 0 3 300.377 4.103 20 0 DIADHN CC1(C)CN(C[C@H](O)CCc2ccccc2)[C@@H]1c1cccs1 ZINC000557445134 1041280417 /nfs/dbraw/zinc/28/04/17/1041280417.db2.gz FYXAQTJXZZHMAI-SJLPKXTDSA-N 0 3 315.482 4.125 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc(C3CC3)cc2)c1 ZINC000177608107 1041285361 /nfs/dbraw/zinc/28/53/61/1041285361.db2.gz JSEAAFZJTCUSSD-CQSZACIVSA-N 0 3 308.425 4.373 20 0 DIADHN CC(C)(C)[C@@H](NC(=O)C[C@H]1NCc2ccccc21)c1ccccc1 ZINC000659275337 1041288011 /nfs/dbraw/zinc/28/80/11/1041288011.db2.gz LKCITEXRTQUDPR-QUCCMNQESA-N 0 3 322.452 4.125 20 0 DIADHN CC(C)(C)[C@H](NC(=O)C[C@H]1NCc2ccccc21)c1ccccc1 ZINC000659275341 1041288248 /nfs/dbraw/zinc/28/82/48/1041288248.db2.gz LKCITEXRTQUDPR-UYAOXDASSA-N 0 3 322.452 4.125 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(CF)cc1)c1cccs1 ZINC000810019439 1041298996 /nfs/dbraw/zinc/29/89/96/1041298996.db2.gz JFVCVVDRZGNUNG-INIZCTEOSA-N 0 3 307.434 4.067 20 0 DIADHN FC(F)(F)CCN[C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000165500513 1041299730 /nfs/dbraw/zinc/29/97/30/1041299730.db2.gz MLCJXUNKTNZAQH-NSHDSACASA-N 0 3 313.241 4.115 20 0 DIADHN C[C@H](N[C@@H]1CC12CC2)c1ccc(OC(F)F)cc1OC(F)F ZINC000424323450 1041302918 /nfs/dbraw/zinc/30/29/18/1041302918.db2.gz MDHNZDIIRIDKHJ-QPUJVOFHSA-N 0 3 319.298 4.093 20 0 DIADHN COc1ccc([C@H](Cc2ccccc2)NC/C=C/Cl)cc1 ZINC000810074970 1041308150 /nfs/dbraw/zinc/30/81/50/1041308150.db2.gz BPXLULVSOZEPFE-VRQAPCGNSA-N 0 3 301.817 4.321 20 0 DIADHN C[C@@H](NCc1cccs1)c1ccc(N2CCCCC2=O)cc1 ZINC000810127147 1041314496 /nfs/dbraw/zinc/31/44/96/1041314496.db2.gz UYHWUDVAAOEQKJ-CQSZACIVSA-N 0 3 314.454 4.116 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2ccc(F)c(F)c2)c1 ZINC000613563900 1041316686 /nfs/dbraw/zinc/31/66/86/1041316686.db2.gz MQZIUNKBIMDXJS-MRXNPFEDSA-N 0 3 317.379 4.181 20 0 DIADHN C[C@H](C1CC1)N(CC(=O)Nc1cccc2ccccc21)C1CC1 ZINC000031653622 1041336953 /nfs/dbraw/zinc/33/69/53/1041336953.db2.gz KCAJRIHFNQNYFL-CQSZACIVSA-N 0 3 308.425 4.041 20 0 DIADHN FC(F)(F)Oc1ccc(CNCc2cccc3cc[nH]c32)cc1 ZINC000589638928 1041343196 /nfs/dbraw/zinc/34/31/96/1041343196.db2.gz GXJVDAIMZCHNMA-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NC[C@@H]2CCCC[C@@H]2C)nc1C ZINC000589820449 1041354595 /nfs/dbraw/zinc/35/45/95/1041354595.db2.gz POSPXKSEDPXSAC-UBHSHLNASA-N 0 3 324.490 4.105 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cc(C)nc(N2CCCC2)c1 ZINC000810251766 1041358197 /nfs/dbraw/zinc/35/81/97/1041358197.db2.gz WXZGCCHMBFYHGL-HXUWFJFHSA-N 0 3 321.468 4.076 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1nc2ccccc2s1)C1CCC1 ZINC000659827270 1041382264 /nfs/dbraw/zinc/38/22/64/1041382264.db2.gz OYLVFYAKXKHKCZ-HOTGVXAUSA-N 0 3 316.470 4.248 20 0 DIADHN Cc1cccnc1[C@H](N[C@H](C)c1ccc2c(c1)CCO2)C(C)C ZINC000178293651 1041395049 /nfs/dbraw/zinc/39/50/49/1041395049.db2.gz OBUNEFVGQFLCJD-DNVCBOLYSA-N 0 3 310.441 4.373 20 0 DIADHN CC(C)C[C@@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236637111 1041397230 /nfs/dbraw/zinc/39/72/30/1041397230.db2.gz HKVMMUIZYPHULV-CYBMUJFWSA-N 0 3 307.821 4.097 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(F)c(F)c1)CCC2 ZINC000378902570 1041400152 /nfs/dbraw/zinc/40/01/52/1041400152.db2.gz XSKLRVBYOCIXJG-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1ccc(C2(NCc3cn4ccnc4s3)CCCC2)cc1 ZINC000892786207 1041402699 /nfs/dbraw/zinc/40/26/99/1041402699.db2.gz OIFQZAISYPSJAH-UHFFFAOYSA-N 0 3 315.417 4.094 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1sc(C)nc1C ZINC000111361403 1041403893 /nfs/dbraw/zinc/40/38/93/1041403893.db2.gz PTSBVCRBKLMRGZ-GFCCVEGCSA-N 0 3 304.459 4.147 20 0 DIADHN Cn1ncc(CN[C@H](c2ccc(F)cc2)C2CCC2)c1C1CC1 ZINC000892902498 1041418366 /nfs/dbraw/zinc/41/83/66/1041418366.db2.gz HANQDIKLMYRJEC-SFHVURJKSA-N 0 3 313.420 4.068 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)CCO2 ZINC000053148928 1041443762 /nfs/dbraw/zinc/44/37/62/1041443762.db2.gz USQJUYLDLJTMKA-NSHDSACASA-N 0 3 305.780 4.265 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCc1ccc(C#N)cc1 ZINC000314411712 1041455203 /nfs/dbraw/zinc/45/52/03/1041455203.db2.gz ANPJPWDJCJGWPP-GFCCVEGCSA-N 0 3 313.470 4.472 20 0 DIADHN CCc1nc(C)c(CN2CCC(c3ccc(F)c(F)c3)CC2)o1 ZINC000644666300 1041460628 /nfs/dbraw/zinc/46/06/28/1041460628.db2.gz QBZREVSIHHMIGO-UHFFFAOYSA-N 0 3 320.383 4.203 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)C(C)(C)O ZINC000394007367 1041475272 /nfs/dbraw/zinc/47/52/72/1041475272.db2.gz ZJSSJDLJJXFZOP-ISVAXAHUSA-N 0 3 308.224 4.333 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2sc(C)nc2C)cc1 ZINC000177912610 1041488131 /nfs/dbraw/zinc/48/81/31/1041488131.db2.gz FUOBWIPIBOEFNP-LBPRGKRZSA-N 0 3 304.459 4.147 20 0 DIADHN C[C@H](NC[C@@H]1Cc2ccccc21)c1ncc(-c2ccccc2)n1C ZINC000651218716 1041505820 /nfs/dbraw/zinc/50/58/20/1041505820.db2.gz HGBZTDRTSGDBPB-YJBOKZPZSA-N 0 3 317.436 4.078 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000415999439 1041513134 /nfs/dbraw/zinc/51/31/34/1041513134.db2.gz VGKNJBXHOHAMHN-IBGZPJMESA-N 0 3 323.440 4.151 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1C(=O)Nc1ccc2c(c1)CNC2 ZINC000415986099 1041513604 /nfs/dbraw/zinc/51/36/04/1041513604.db2.gz ATHBINKVAWSTBN-SFHVURJKSA-N 0 3 315.461 4.112 20 0 DIADHN CC(C)(C)CN(CC(C)(C)C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000416011289 1041515507 /nfs/dbraw/zinc/51/55/07/1041515507.db2.gz PPFQMGHPRMBGQR-UHFFFAOYSA-N 0 3 317.477 4.216 20 0 DIADHN Fc1ccc(CCN2CCC(c3ccccn3)CC2)c(Cl)c1 ZINC000811459195 1041520141 /nfs/dbraw/zinc/52/01/41/1041520141.db2.gz ZLOAOTLHDVBQMF-UHFFFAOYSA-N 0 3 318.823 4.296 20 0 DIADHN CS[C@@H](CNCc1ccnc(Cl)c1Cl)C(C)(C)C ZINC000811489126 1041522717 /nfs/dbraw/zinc/52/27/17/1041522717.db2.gz WWSXCTNUIBNDRZ-JTQLQIEISA-N 0 3 307.290 4.256 20 0 DIADHN CSCCCCCCNCc1ccnc(Cl)c1Cl ZINC000811488652 1041523279 /nfs/dbraw/zinc/52/32/79/1041523279.db2.gz HBCGWYXPQIWVCH-UHFFFAOYSA-N 0 3 307.290 4.401 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1ccnc(Cl)c1Cl ZINC000811491130 1041524826 /nfs/dbraw/zinc/52/48/26/1041524826.db2.gz ACFLBAVPMZLUMS-QWHCGFSZSA-N 0 3 317.260 4.072 20 0 DIADHN Clc1ccccc1CCNCc1ccnc(Cl)c1Cl ZINC000811476000 1041526754 /nfs/dbraw/zinc/52/67/54/1041526754.db2.gz FJDGKNVPLNTASG-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN Cc1ccc(CCNCc2ccnc(Cl)c2Cl)c(C)c1 ZINC000811476539 1041527778 /nfs/dbraw/zinc/52/77/78/1041527778.db2.gz MPYNNGAVFVKBBW-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H]1CCCc2cc(OC(F)F)ccc21 ZINC000180331700 1041529021 /nfs/dbraw/zinc/52/90/21/1041529021.db2.gz IVVRLLFPCUIGTP-HWPZZCPQSA-N 0 3 321.371 4.048 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1cc2ccccc2nc1Cl ZINC001137977405 1041552217 /nfs/dbraw/zinc/55/22/17/1041552217.db2.gz NXFVBXQFHNZQPV-INIZCTEOSA-N 0 3 318.848 4.278 20 0 DIADHN C[C@H](NC[C@@H](O)c1cccc(Cl)c1)c1cccc(F)c1F ZINC000181951327 1041560178 /nfs/dbraw/zinc/56/01/78/1041560178.db2.gz SKXPLDFJCXWPIT-ZUZCIYMTSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1nc(CN(C)[C@H](Cc2ccc(F)cc2)C(C)C)c(C)o1 ZINC000659968582 1041563396 /nfs/dbraw/zinc/56/33/96/1041563396.db2.gz HYZHGHJQVHKNLR-GOSISDBHSA-N 0 3 304.409 4.130 20 0 DIADHN Cc1noc(C)c1CN1Cc2ccccc2[C@H](c2ccccc2)C1 ZINC000026098814 1041566037 /nfs/dbraw/zinc/56/60/37/1041566037.db2.gz ZHEWGSZYUYGOOR-NRFANRHFSA-N 0 3 318.420 4.439 20 0 DIADHN COc1cccc(SCCN2CCC[C@@H]2c2ccccn2)c1 ZINC000659992565 1041575155 /nfs/dbraw/zinc/57/51/55/1041575155.db2.gz CYXMVLUAPVJSCW-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN COc1cc(C)ccc1CN1CCC2(CC1)OCc1ccccc12 ZINC000648378777 1041577007 /nfs/dbraw/zinc/57/70/07/1041577007.db2.gz PNOBJCHFYUUGLZ-UHFFFAOYSA-N 0 3 323.436 4.025 20 0 DIADHN Clc1cnc2oc(CN3CCS[C@H]4CCCC[C@H]43)cc2c1 ZINC000648378046 1041577687 /nfs/dbraw/zinc/57/76/87/1041577687.db2.gz OUJCNGPMKDCJMT-CABCVRRESA-N 0 3 322.861 4.341 20 0 DIADHN CCCN(CCc1cccs1)Cc1cnc(Cl)s1 ZINC000184506585 1041595546 /nfs/dbraw/zinc/59/55/46/1041595546.db2.gz YBYJSFFXIQXWQA-UHFFFAOYSA-N 0 3 300.880 4.313 20 0 DIADHN c1coc([C@H](CNCc2cc3ccccc3o2)N2CCCCC2)c1 ZINC000104908406 1041583965 /nfs/dbraw/zinc/58/39/65/1041583965.db2.gz FYDWXEIOXPYUCB-SFHVURJKSA-N 0 3 324.424 4.343 20 0 DIADHN Cc1cccc(C2(NCc3cnc4ccccc4n3)CCCC2)c1 ZINC000660063433 1041595152 /nfs/dbraw/zinc/59/51/52/1041595152.db2.gz BIBFHTCCYYYEHG-UHFFFAOYSA-N 0 3 317.436 4.497 20 0 DIADHN Cc1cc(CNCc2ccccc2CC(F)(F)F)cc(C)c1O ZINC000628494150 1041597744 /nfs/dbraw/zinc/59/77/44/1041597744.db2.gz GIWIGBVFDPYOHC-UHFFFAOYSA-N 0 3 323.358 4.404 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OCc3ccc(F)cc3F)c2)[C@H]1C ZINC001170670623 1041604123 /nfs/dbraw/zinc/60/41/23/1041604123.db2.gz XKSKJVZZZFRIOE-KGLIPLIRSA-N 0 3 317.379 4.384 20 0 DIADHN CS[C@@H]1CC[C@@H]1NCc1cc(Br)ccc1Cl ZINC000309917750 1041613346 /nfs/dbraw/zinc/61/33/46/1041613346.db2.gz QIUSWMNYWCRNTQ-NWDGAFQWSA-N 0 3 320.683 4.086 20 0 DIADHN CO[C@@H](CN1CCCC[C@H]1c1nc2ccccc2o1)C1CCC1 ZINC000660102391 1041615383 /nfs/dbraw/zinc/61/53/83/1041615383.db2.gz ZPBZTPDMSLFHFH-WMZOPIPTSA-N 0 3 314.429 4.170 20 0 DIADHN Clc1cccc([C@@H](NC[C@@H]2C[C@H]3C[C@H]3C2)c2ccccn2)c1 ZINC000660107303 1041617155 /nfs/dbraw/zinc/61/71/55/1041617155.db2.gz KKGMWJSYUCHRIL-PSFFPDNBSA-N 0 3 312.844 4.460 20 0 DIADHN Nc1ccncc1-c1c(Cl)cc(C(F)(F)F)cc1Cl ZINC001244901420 1041619663 /nfs/dbraw/zinc/61/96/63/1041619663.db2.gz UIIZEGDABQSDAH-UHFFFAOYSA-N 0 3 307.102 4.487 20 0 DIADHN CC(C)C[C@@H](NCc1cnc2n1CCCC2)c1cccs1 ZINC000367438580 1041637368 /nfs/dbraw/zinc/63/73/68/1041637368.db2.gz NWOSIGAHGGJRTM-OAHLLOKOSA-N 0 3 303.475 4.158 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccco1)c1ccccc1Cl ZINC000236851165 1041641722 /nfs/dbraw/zinc/64/17/22/1041641722.db2.gz ABAYECKJVOMRGU-KRWDZBQOSA-N 0 3 306.837 4.106 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cccc2[nH]cc(F)c21 ZINC001170686595 1041648104 /nfs/dbraw/zinc/64/81/04/1041648104.db2.gz UDCOOEUKBPTXAG-LBPRGKRZSA-N 0 3 320.371 4.179 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000812823377 1041649663 /nfs/dbraw/zinc/64/96/63/1041649663.db2.gz LHCREBFUNKEKMS-LJQANCHMSA-N 0 3 320.452 4.269 20 0 DIADHN CSc1cccc(CN[C@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000660179749 1041650613 /nfs/dbraw/zinc/65/06/13/1041650613.db2.gz QWNARWUKEUSMQR-CYBMUJFWSA-N 0 3 314.454 4.218 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3C[C@@H]3c3ccccc3)n2)cc1 ZINC000417270519 1041650699 /nfs/dbraw/zinc/65/06/99/1041650699.db2.gz NZVNBJFQYNEHIL-MSOLQXFVSA-N 0 3 319.408 4.069 20 0 DIADHN Cc1ccc([C@H](C)NCc2cncc(C(F)(F)F)c2)s1 ZINC000812831963 1041654401 /nfs/dbraw/zinc/65/44/01/1041654401.db2.gz MQSBSEOXNCLNOO-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN CCC(C)(C)CNCc1c(F)ccc(C2OCCO2)c1Cl ZINC000812843642 1041655198 /nfs/dbraw/zinc/65/51/98/1041655198.db2.gz OTDFVLQODMNKFJ-UHFFFAOYSA-N 0 3 315.816 4.050 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)C)cc2)c(C)c1OC ZINC000584742528 1041660098 /nfs/dbraw/zinc/66/00/98/1041660098.db2.gz PZNJSMZRSGJLFM-UHFFFAOYSA-N 0 3 313.441 4.425 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@@H]1N[C@H]1COCc2ccccc21 ZINC000417370856 1041667015 /nfs/dbraw/zinc/66/70/15/1041667015.db2.gz UROLGEURGGBEGL-PMACEKPBSA-N 0 3 318.420 4.406 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCn1ccc2cc(Cl)ccc21 ZINC000584810614 1041678733 /nfs/dbraw/zinc/67/87/33/1041678733.db2.gz LAILVRQBRVJRLV-AWEZNQCLSA-N 0 3 313.832 4.383 20 0 DIADHN Cc1noc(C2(C)CCN(Cc3cccc(C(C)C)c3)CC2)n1 ZINC000660234279 1041682222 /nfs/dbraw/zinc/68/22/22/1041682222.db2.gz HNDHJEXRMARXKW-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN CO[C@@H](CN[C@@H](c1ncc(C)s1)C1CC1)C1CCCCC1 ZINC000660253546 1041692627 /nfs/dbraw/zinc/69/26/27/1041692627.db2.gz IRZFFJRWLRNAIQ-JKSUJKDBSA-N 0 3 308.491 4.088 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000813322375 1041694748 /nfs/dbraw/zinc/69/47/48/1041694748.db2.gz BOZFTXAWRVMAAH-UZLBHIALSA-N 0 3 320.440 4.315 20 0 DIADHN Fc1ccc(CN[C@@H](Cc2ccccc2)c2cccs2)cn1 ZINC000189117229 1041697906 /nfs/dbraw/zinc/69/79/06/1041697906.db2.gz PRWROFWXSHHUHD-INIZCTEOSA-N 0 3 312.413 4.356 20 0 DIADHN Cc1nnc([C@H](C)NC[C@@H]2CC[C@@H](c3ccccc3)C2)s1 ZINC000660280250 1041701824 /nfs/dbraw/zinc/70/18/24/1041701824.db2.gz NSOREODBLHXWLH-JGGQBBKZSA-N 0 3 301.459 4.081 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1NCc1ccccc1-c1ccccc1 ZINC000747854572 1041703028 /nfs/dbraw/zinc/70/30/28/1041703028.db2.gz OZOQGZDKYAGJSI-FCHUYYIVSA-N 0 3 315.416 4.102 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@H]3COC(C)(C)C3)oc21 ZINC000417859195 1041706603 /nfs/dbraw/zinc/70/66/03/1041706603.db2.gz QZVSPXBNKKGMPU-TZMCWYRMSA-N 0 3 303.402 4.050 20 0 DIADHN C[C@H](NCC1(C)OCCCO1)c1ccc(-c2ccccc2)cc1 ZINC000190648848 1041716930 /nfs/dbraw/zinc/71/69/30/1041716930.db2.gz WKNPLRSNOZZJBV-INIZCTEOSA-N 0 3 311.425 4.157 20 0 DIADHN Cc1ncc([C@H](C)N[C@H]2CCCN(C)c3ccccc32)s1 ZINC000651465683 1041719904 /nfs/dbraw/zinc/71/99/04/1041719904.db2.gz BQIIAMNAIFXZME-WFASDCNBSA-N 0 3 301.459 4.073 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2CCCN(C)c3ccccc32)s1 ZINC000651465682 1041719996 /nfs/dbraw/zinc/71/99/96/1041719996.db2.gz BQIIAMNAIFXZME-SWLSCSKDSA-N 0 3 301.459 4.073 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(Cl)c(OC)c1 ZINC000651467945 1041722055 /nfs/dbraw/zinc/72/20/55/1041722055.db2.gz OECDSJZMZLSBRL-INIZCTEOSA-N 0 3 317.816 4.134 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C\c3ccccc3F)n2)cc1 ZINC000747864241 1041723392 /nfs/dbraw/zinc/72/33/92/1041723392.db2.gz VTUUTZRADZHRJO-QXMHVHEDSA-N 0 3 323.371 4.108 20 0 DIADHN Cc1cc(C)n2c(CN[C@H](C)c3ccc(C4CC4)cc3)cnc2n1 ZINC000418119791 1041727050 /nfs/dbraw/zinc/72/70/50/1041727050.db2.gz YLCPZEQSZMSHTC-OAHLLOKOSA-N 0 3 320.440 4.074 20 0 DIADHN Cc1cccc(CCNCc2cc(Cl)sc2Cl)n1 ZINC000399748655 1041737398 /nfs/dbraw/zinc/73/73/98/1041737398.db2.gz FKCMUOKKRLZSGK-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N[C@@H](CO)c3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001170725274 1041767825 /nfs/dbraw/zinc/76/78/25/1041767825.db2.gz XFIBWLYANBIDLS-DNVSUFBTSA-N 0 3 314.256 4.051 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N[C@H](CO)c3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001170725275 1041768260 /nfs/dbraw/zinc/76/82/60/1041768260.db2.gz XFIBWLYANBIDLS-IKVITTDRSA-N 0 3 314.256 4.051 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N[C@@H](Cc3ccccc3)c3nccs3)C[C@@H]21 ZINC001170725844 1041769946 /nfs/dbraw/zinc/76/99/46/1041769946.db2.gz BFTZTODJJUIIII-YVSFHVDLSA-N 0 3 312.482 4.451 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccccc1OC1CCCC1)C2 ZINC000652063598 1041771212 /nfs/dbraw/zinc/77/12/12/1041771212.db2.gz PSGADKIKPGANRD-KRWDZBQOSA-N 0 3 307.384 4.239 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@H](O)c1ccc(Cl)c(F)c1)C1CC1 ZINC000759847212 1041774454 /nfs/dbraw/zinc/77/44/54/1041774454.db2.gz FYDUWPPDJQNKFY-ZBEGNZNMSA-N 0 3 323.795 4.331 20 0 DIADHN C[C@H](Nc1cccc(N2CCCC[C@H]2C)n1)c1cccc(N)c1 ZINC001170728120 1041776245 /nfs/dbraw/zinc/77/62/45/1041776245.db2.gz TXDUKHMJWCQKSS-CABCVRRESA-N 0 3 310.445 4.216 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000814179746 1041780178 /nfs/dbraw/zinc/78/01/78/1041780178.db2.gz YARJHRQPLBSUHG-LLVKDONJSA-N 0 3 321.458 4.310 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc2ccccc2s1)C(F)(F)F ZINC000783270228 1041789939 /nfs/dbraw/zinc/78/99/39/1041789939.db2.gz SGSYTUMKYIIJPE-TVQRCGJNSA-N 0 3 303.349 4.129 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000194868522 1041795733 /nfs/dbraw/zinc/79/57/33/1041795733.db2.gz OLSUDEPZABQMHR-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1ccc(C(C)(C)O)cc1 ZINC000194963365 1041797078 /nfs/dbraw/zinc/79/70/78/1041797078.db2.gz AYEIGKDIVARDGA-CQSZACIVSA-N 0 3 315.482 4.487 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(Cl)nc1 ZINC000814289118 1041803933 /nfs/dbraw/zinc/80/39/33/1041803933.db2.gz JMPBBSQMZNIKOD-NTEUORMPSA-N 0 3 316.832 4.075 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc3ccccc3c2)c(C)nn1 ZINC000931335863 1041807901 /nfs/dbraw/zinc/80/79/01/1041807901.db2.gz YWGSRFOKXCJJFO-UHFFFAOYSA-N 0 3 305.425 4.272 20 0 DIADHN CC(C)c1ncc(CN(C)CC[C@H]2CCc3ccccc32)cn1 ZINC000660476877 1041815369 /nfs/dbraw/zinc/81/53/69/1041815369.db2.gz JAVQEBAZXMCFOD-GOSISDBHSA-N 0 3 309.457 4.152 20 0 DIADHN C[C@@H](NCC[C@H]1CCc2ccccc21)c1c(F)cncc1F ZINC000660551748 1041831050 /nfs/dbraw/zinc/83/10/50/1041831050.db2.gz NUGFMKGPFJGVBB-TZMCWYRMSA-N 0 3 302.368 4.131 20 0 DIADHN C([C@@H]1CC[C@H]2C[C@H]2C1)N1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000660553997 1041831392 /nfs/dbraw/zinc/83/13/92/1041831392.db2.gz XTWHRVQPRMARCX-XBVQOTNRSA-N 0 3 315.461 4.300 20 0 DIADHN Cn1ncc(CN[C@@H](CC2CCC2)c2ccccc2)c1C1CC1 ZINC000893401448 1041839185 /nfs/dbraw/zinc/83/91/85/1041839185.db2.gz IITSTJMGXYOPLS-IBGZPJMESA-N 0 3 309.457 4.319 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N[C@@H]3CC4CCC3CC4)o2)c1 ZINC000814652794 1041844345 /nfs/dbraw/zinc/84/43/45/1041844345.db2.gz LTQUTMVWDGTZMU-ARAOSMHQSA-N 0 3 311.429 4.274 20 0 DIADHN Cc1nc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)co1 ZINC000660657047 1041849999 /nfs/dbraw/zinc/84/99/99/1041849999.db2.gz OXNASKOGOCBNPK-QGZVFWFLSA-N 0 3 306.837 4.383 20 0 DIADHN Cc1nc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)co1 ZINC000660657033 1041850091 /nfs/dbraw/zinc/85/00/91/1041850091.db2.gz OXNASKOGOCBNPK-KRWDZBQOSA-N 0 3 306.837 4.383 20 0 DIADHN O[C@@H](CN1CC[C@@H](c2cccc(Cl)c2)C1)c1ccccc1F ZINC000814666308 1041850337 /nfs/dbraw/zinc/85/03/37/1041850337.db2.gz GAKKIXISGVSFTL-KDOFPFPSSA-N 0 3 319.807 4.002 20 0 DIADHN OCC1([C@@H](NCc2ccc(CF)cc2)c2ccccc2)CCC1 ZINC000814668353 1041850880 /nfs/dbraw/zinc/85/08/80/1041850880.db2.gz PWDJWUZHPODDRL-IBGZPJMESA-N 0 3 313.416 4.150 20 0 DIADHN Clc1cccc([C@H]2CCN(C[C@H]3CC3(Cl)Cl)C2)c1 ZINC000814666256 1041851063 /nfs/dbraw/zinc/85/10/63/1041851063.db2.gz CULPRZFPZDWDCF-NWDGAFQWSA-N 0 3 304.648 4.323 20 0 DIADHN Clc1cccc([C@@H]2CCN(C[C@H]3CC3(Cl)Cl)C2)c1 ZINC000814666258 1041851204 /nfs/dbraw/zinc/85/12/04/1041851204.db2.gz CULPRZFPZDWDCF-VXGBXAGGSA-N 0 3 304.648 4.323 20 0 DIADHN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CC[C@H]1CCCCO1 ZINC000660704734 1041853667 /nfs/dbraw/zinc/85/36/67/1041853667.db2.gz CFISMQRFLJVMII-GXTWGEPZSA-N 0 3 321.852 4.168 20 0 DIADHN CC(C)OCCCN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660709182 1041854855 /nfs/dbraw/zinc/85/48/55/1041854855.db2.gz GTCNDBSVGOFQPO-LBPRGKRZSA-N 0 3 309.841 4.024 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)C[C@H]1CCO[C@H](C)C1 ZINC000660708423 1041855722 /nfs/dbraw/zinc/85/57/22/1041855722.db2.gz OSCOGKSZLOQARR-UPJWGTAASA-N 0 3 321.852 4.024 20 0 DIADHN COc1cccc(CN2CC[C@@H](c3cc(C)ccn3)C2)c1Cl ZINC000660734909 1041857062 /nfs/dbraw/zinc/85/70/62/1041857062.db2.gz OHUDXMZUIRTRFU-CQSZACIVSA-N 0 3 316.832 4.042 20 0 DIADHN CCCC[C@@H](CC)CN(C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764375 1041860694 /nfs/dbraw/zinc/86/06/94/1041860694.db2.gz OBKYMFMVARUXDC-WBVHZDCISA-N 0 3 300.450 4.042 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1nsc2ccccc12 ZINC000660776157 1041861824 /nfs/dbraw/zinc/86/18/24/1041861824.db2.gz HQTVTFFEXZJOJG-AWEZNQCLSA-N 0 3 311.454 4.192 20 0 DIADHN CC(C)Oc1cccc(CN(C)Cc2cc3cnccc3o2)c1 ZINC000651649656 1041864927 /nfs/dbraw/zinc/86/49/27/1041864927.db2.gz PPKUKNSSBHYNGF-UHFFFAOYSA-N 0 3 310.397 4.247 20 0 DIADHN CC[C@H](CC(F)F)CN1CC[C@@H](O)[C@H]1c1ccc(Cl)cc1 ZINC000660862307 1041866529 /nfs/dbraw/zinc/86/65/29/1041866529.db2.gz AJOCPJFUGPXBBE-DJSGYFEHSA-N 0 3 317.807 4.129 20 0 DIADHN CC[C@@]1(C)CCC[C@@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654913 1041866760 /nfs/dbraw/zinc/86/67/60/1041866760.db2.gz HJNYZXRDQXTIDI-PMACEKPBSA-N 0 3 316.489 4.024 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNCc1ccc(C)cc1 ZINC000651667675 1041872406 /nfs/dbraw/zinc/87/24/06/1041872406.db2.gz NBNFIRMCZVYUHS-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651703290 1041886568 /nfs/dbraw/zinc/88/65/68/1041886568.db2.gz UKNSZWLHJKHMIK-HNNXBMFYSA-N 0 3 321.424 4.247 20 0 DIADHN CC[C@@H](C)N(CC)Cc1nnc(-c2cc3c(s2)CCCC3)o1 ZINC000661107967 1041886707 /nfs/dbraw/zinc/88/67/07/1041886707.db2.gz VNCFXLIVSCGKNE-GFCCVEGCSA-N 0 3 319.474 4.297 20 0 DIADHN C[C@H](NC[C@@H](O)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651714398 1041889663 /nfs/dbraw/zinc/88/96/63/1041889663.db2.gz ZXSZGGLEJPZCAN-YJBOKZPZSA-N 0 3 312.457 4.196 20 0 DIADHN CN(C)Cc1ccc(NC2c3ccccc3-c3ccccc32)nc1 ZINC001167775843 1041889878 /nfs/dbraw/zinc/88/98/78/1041889878.db2.gz ADCNYHCPIXTINR-UHFFFAOYSA-N 0 3 315.420 4.325 20 0 DIADHN Cn1c(CN[C@@H]2CCCC23CCCCC3)nnc1-c1ccccc1 ZINC000661126332 1041891539 /nfs/dbraw/zinc/89/15/39/1041891539.db2.gz CSUXGVFUGYFOEM-QGZVFWFLSA-N 0 3 324.472 4.075 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000651718830 1041891592 /nfs/dbraw/zinc/89/15/92/1041891592.db2.gz ZYKOFHYELZJLHR-BJOHPYRUSA-N 0 3 322.811 4.292 20 0 DIADHN c1coc(C2CCN(Cc3ccc(-c4ccccn4)nc3)CC2)c1 ZINC000661161424 1041897843 /nfs/dbraw/zinc/89/78/43/1041897843.db2.gz ROPZHYRPMPNYSR-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000651739243 1041898992 /nfs/dbraw/zinc/89/89/92/1041898992.db2.gz OMZGBGRLMHHPHL-LKFCYVNXSA-N 0 3 322.811 4.465 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000651739245 1041899480 /nfs/dbraw/zinc/89/94/80/1041899480.db2.gz OMZGBGRLMHHPHL-XPTSAGLGSA-N 0 3 322.811 4.465 20 0 DIADHN C[C@@H]1CCCCC[C@H]1N[C@H](c1nccn1C)c1ccc(F)cc1 ZINC000651749979 1041902030 /nfs/dbraw/zinc/90/20/30/1041902030.db2.gz QVSVLODWBQPLBO-OLMNPRSZSA-N 0 3 315.436 4.207 20 0 DIADHN C[C@H](c1ccco1)N(C[C@@H](O)c1cc2ccccc2o1)C1CC1 ZINC000815073551 1041911208 /nfs/dbraw/zinc/91/12/08/1041911208.db2.gz PTPWTQADGGSBRM-CZUORRHYSA-N 0 3 311.381 4.285 20 0 DIADHN COCCCC[C@H](NCc1cc2cnccc2o1)c1ccccc1 ZINC000651790722 1041911912 /nfs/dbraw/zinc/91/19/12/1041911912.db2.gz JAKVMCXAYAZADI-IBGZPJMESA-N 0 3 324.424 4.475 20 0 DIADHN CC[C@@](C)(NCc1ccnc(Br)c1)c1ccccc1 ZINC000815083408 1041912709 /nfs/dbraw/zinc/91/27/09/1041912709.db2.gz WYQDBXZKXUOCSZ-MRXNPFEDSA-N 0 3 319.246 4.259 20 0 DIADHN OCC[C@H](NCc1cccc2c1CCC2)c1ccc(Cl)cc1 ZINC000651812117 1041920833 /nfs/dbraw/zinc/92/08/33/1041920833.db2.gz LWXMYMQKKNZWDC-IBGZPJMESA-N 0 3 315.844 4.042 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651823491 1041923055 /nfs/dbraw/zinc/92/30/55/1041923055.db2.gz KVLBFQPWBPLNDH-MDOVXXIYSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc(C(C)(C)O)cc2)c1 ZINC000815136417 1041924718 /nfs/dbraw/zinc/92/47/18/1041924718.db2.gz ZOLUGGQAZLCCNN-UHFFFAOYSA-N 0 3 303.833 4.166 20 0 DIADHN FC(F)(F)COCCCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661444965 1041937518 /nfs/dbraw/zinc/93/75/18/1041937518.db2.gz CZALJNQCTUQJKM-CQSZACIVSA-N 0 3 317.351 4.166 20 0 DIADHN C[C@H](NCCSC(F)(F)F)c1ccc2ccccc2n1 ZINC000178420035 1041938017 /nfs/dbraw/zinc/93/80/17/1041938017.db2.gz PXGHOHHQSOWOEI-JTQLQIEISA-N 0 3 300.349 4.138 20 0 DIADHN C[C@@H](Oc1ccc([C@H](C)NCc2cnc[nH]2)cc1)c1ccccc1 ZINC000661460027 1041940600 /nfs/dbraw/zinc/94/06/00/1041940600.db2.gz XMXNXWUBJZRRJL-JKSUJKDBSA-N 0 3 321.424 4.401 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(CC(C)C)C1CC1 ZINC000045751456 1041940968 /nfs/dbraw/zinc/94/09/68/1041940968.db2.gz QZRPANWQJVGOQR-UHFFFAOYSA-N 0 3 302.462 4.177 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(SC(F)F)cc2)CCCO1 ZINC000815317147 1041943488 /nfs/dbraw/zinc/94/34/88/1041943488.db2.gz VPGWMKDCOCLOKB-HNNXBMFYSA-N 0 3 315.429 4.248 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1coc(-c2cccs2)n1 ZINC000661524498 1041947454 /nfs/dbraw/zinc/94/74/54/1041947454.db2.gz CSVPVPZSJSZWTN-BLLLJJGKSA-N 0 3 310.422 4.221 20 0 DIADHN CC[C@@H](OCCN[C@@H]1CCCc2cccnc21)c1ccccc1 ZINC000651908833 1041949235 /nfs/dbraw/zinc/94/92/35/1041949235.db2.gz OQZVHSYIKHSKIU-RTBURBONSA-N 0 3 310.441 4.217 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1ccc(CC(C)C)nc1)CCC2 ZINC000893474012 1041961227 /nfs/dbraw/zinc/96/12/27/1041961227.db2.gz ZQGKQKOMVKREAT-MRXNPFEDSA-N 0 3 315.486 4.212 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000815553488 1041961640 /nfs/dbraw/zinc/96/16/40/1041961640.db2.gz BHLORVBYZNMXLY-UONOGXRCSA-N 0 3 315.388 4.317 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc2c(c1)OCO2 ZINC000815557592 1041962651 /nfs/dbraw/zinc/96/26/51/1041962651.db2.gz YMQKBGJBCOPALV-CHWSQXEVSA-N 0 3 301.361 4.275 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H](C)c1cccc(C2CC2)c1 ZINC000815581546 1041965917 /nfs/dbraw/zinc/96/59/17/1041965917.db2.gz JDBKYXDRFSGIDE-YJYMSZOUSA-N 0 3 307.441 4.391 20 0 DIADHN CCCCCC(C)(C)CN[C@@H](c1nnc[nH]1)c1ccc(F)cc1 ZINC000815587901 1041968006 /nfs/dbraw/zinc/96/80/06/1041968006.db2.gz WWKDOGXYQZPTQH-MRXNPFEDSA-N 0 3 318.440 4.229 20 0 DIADHN CCCC1(CN[C@H](c2ccc(OC)cc2)c2ccccn2)CC1 ZINC000652168521 1041971135 /nfs/dbraw/zinc/97/11/35/1041971135.db2.gz FLABPVXLTBCIQI-LJQANCHMSA-N 0 3 310.441 4.350 20 0 DIADHN FCC[C@H]1CCCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000661700279 1041973187 /nfs/dbraw/zinc/97/31/87/1041973187.db2.gz ZYIFSOYVDZLPSU-CYBMUJFWSA-N 0 3 306.356 4.052 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)[nH]1)c1cccc(C2CC2)c1 ZINC000815610821 1041974003 /nfs/dbraw/zinc/97/40/03/1041974003.db2.gz VBZJSFAULUUXOD-AWEZNQCLSA-N 0 3 318.424 4.200 20 0 DIADHN C[C@H]([NH2+]Cc1nnc(-c2ccccc2)[n-]1)c1cccc(C2CC2)c1 ZINC000815610821 1041974008 /nfs/dbraw/zinc/97/40/08/1041974008.db2.gz VBZJSFAULUUXOD-AWEZNQCLSA-N 0 3 318.424 4.200 20 0 DIADHN CSc1cccc(NC(=O)CN(C2CC2)C2CCCCC2)c1 ZINC000047904230 1041974566 /nfs/dbraw/zinc/97/45/66/1041974566.db2.gz SEHAYNCDKKDAGF-UHFFFAOYSA-N 0 3 318.486 4.144 20 0 DIADHN COc1ccc([C@@H](NC[C@@H]2C[C@H]2C(C)C)c2ccccn2)cc1 ZINC000652205178 1041975260 /nfs/dbraw/zinc/97/52/60/1041975260.db2.gz PJAJLCXUWDOELQ-XKGZKEIXSA-N 0 3 310.441 4.061 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2nc3ccccc3nc2C)cc1 ZINC000047959404 1041976350 /nfs/dbraw/zinc/97/63/50/1041976350.db2.gz GSAUHNUDSLLWMR-INIZCTEOSA-N 0 3 305.425 4.440 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2ccc(F)cc2C)c1 ZINC000815625843 1041977505 /nfs/dbraw/zinc/97/75/05/1041977505.db2.gz NYNBSKVXWPXCEG-ZDUSSCGKSA-N 0 3 316.376 4.163 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2ccc(F)cc2C)c1 ZINC000815625842 1041977841 /nfs/dbraw/zinc/97/78/41/1041977841.db2.gz NYNBSKVXWPXCEG-CYBMUJFWSA-N 0 3 316.376 4.163 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(F)c2ccccc21)C(F)(F)F ZINC000815605450 1041979749 /nfs/dbraw/zinc/97/97/49/1041979749.db2.gz UYAOGWJVQOYBCE-ZUZCIYMTSA-N 0 3 315.310 4.207 20 0 DIADHN CC(C)Cc1ccc(C(=O)Nc2ccccc2CN(C)C)cc1 ZINC000048174215 1041980246 /nfs/dbraw/zinc/98/02/46/1041980246.db2.gz UOFPZGXDAPFTBJ-UHFFFAOYSA-N 0 3 310.441 4.199 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NCc2ccc3c(c2)CCO3)n1 ZINC001167782398 1041981114 /nfs/dbraw/zinc/98/11/14/1041981114.db2.gz VGXZIGDSHKHBGB-OAHLLOKOSA-N 0 3 323.440 4.007 20 0 DIADHN C[C@H](NCc1c(F)cc(F)cc1F)C1CCC(F)(F)CC1 ZINC000815650707 1041985986 /nfs/dbraw/zinc/98/59/86/1041985986.db2.gz YYBVMLZUHFJLNZ-VIFPVBQESA-N 0 3 307.306 4.408 20 0 DIADHN C[C@H]1CN(CCSc2cccc(Cl)c2)CCC1(F)F ZINC001170803280 1041995527 /nfs/dbraw/zinc/99/55/27/1041995527.db2.gz HDUKWVRUYVMYLT-NSHDSACASA-N 0 3 305.821 4.409 20 0 DIADHN Fc1ccc([C@H](NC2CCOCC2)c2ccccc2Cl)cc1 ZINC000164525943 1042000167 /nfs/dbraw/zinc/00/01/67/1042000167.db2.gz JHZDHSMUNCUPLY-SFHVURJKSA-N 0 3 319.807 4.337 20 0 DIADHN O=C(CCNCc1ccccc1Cl)Nc1ccc(Cl)cc1 ZINC000243923862 1042006681 /nfs/dbraw/zinc/00/66/81/1042006681.db2.gz RSQOLPHRTPQMGF-UHFFFAOYSA-N 0 3 323.223 4.112 20 0 DIADHN c1ccc2sc(CN3CCC[C@@H]([C@H]4CCCCO4)C3)nc2c1 ZINC000661873950 1042010692 /nfs/dbraw/zinc/01/06/92/1042010692.db2.gz IEGGMWZVAAPLEX-GDBMZVCRSA-N 0 3 316.470 4.077 20 0 DIADHN c1ccc2sc(CN3CCC[C@H]([C@H]4CCCCO4)C3)nc2c1 ZINC000661873952 1042010956 /nfs/dbraw/zinc/01/09/56/1042010956.db2.gz IEGGMWZVAAPLEX-GOEBONIOSA-N 0 3 316.470 4.077 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000661880844 1042014524 /nfs/dbraw/zinc/01/45/24/1042014524.db2.gz ROAXKFFQYQBKGU-CKEIUWERSA-N 0 3 309.400 4.307 20 0 DIADHN COc1ccc(CN2CCC[C@H]([C@H]3CCCCO3)C2)cc1Cl ZINC000661878194 1042014836 /nfs/dbraw/zinc/01/48/36/1042014836.db2.gz MHAYWCBLUHEWGN-DOTOQJQBSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000661880073 1042015221 /nfs/dbraw/zinc/01/52/21/1042015221.db2.gz ZEDCEDGIPSXLJE-HLLBOEOZSA-N 0 3 314.429 4.170 20 0 DIADHN C[C@@H](NC(=O)[C@H]1CCN1C1CCCC1)c1cccc2ccccc21 ZINC001135332571 1042015857 /nfs/dbraw/zinc/01/58/57/1042015857.db2.gz JQGMHZJJUKFINI-FOIQADDNSA-N 0 3 322.452 4.034 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc3ccccc3nc2Cl)C1 ZINC000313963667 1042016586 /nfs/dbraw/zinc/01/65/86/1042016586.db2.gz GERSTVOQSVLIBO-CYBMUJFWSA-N 0 3 320.889 4.463 20 0 DIADHN CC[C@H](NCC1CCCCCC1)c1nnc2n1CCCCC2 ZINC000661939963 1042027749 /nfs/dbraw/zinc/02/77/49/1042027749.db2.gz DKXNADMVLYTGDY-INIZCTEOSA-N 0 3 304.482 4.016 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCOCC2CCC2)CC1 ZINC001167785304 1042028262 /nfs/dbraw/zinc/02/82/62/1042028262.db2.gz TYTMZAUNYKDYEY-UHFFFAOYSA-N 0 3 324.468 4.382 20 0 DIADHN O=C1CCCC12CCN(CCOc1cccc3ccccc31)CC2 ZINC000661954968 1042030302 /nfs/dbraw/zinc/03/03/02/1042030302.db2.gz SDHOHTTVAIGSON-UHFFFAOYSA-N 0 3 323.436 4.054 20 0 DIADHN O=C1CCCC12CCN([C@@H]1CCc3c1cccc3Cl)CC2 ZINC000661958192 1042030411 /nfs/dbraw/zinc/03/04/11/1042030411.db2.gz NZOKHFZFOCXIBB-MRXNPFEDSA-N 0 3 303.833 4.163 20 0 DIADHN c1coc([C@H]2CCCCCN2C[C@H]2COc3ccccc3O2)c1 ZINC000605903239 1042033836 /nfs/dbraw/zinc/03/38/36/1042033836.db2.gz PHUGQVTWXSVEEE-JKSUJKDBSA-N 0 3 313.397 4.037 20 0 DIADHN Cc1cccc(-c2noc(CN[C@H](C)C3CCC(F)CC3)n2)c1 ZINC000661999081 1042038060 /nfs/dbraw/zinc/03/80/60/1042038060.db2.gz CEBRIBFBGYOVJM-VQCLRJIVSA-N 0 3 317.408 4.051 20 0 DIADHN C[C@@H](NCc1cc(-c2ccccc2)on1)C1CCC(F)CC1 ZINC000662003987 1042040222 /nfs/dbraw/zinc/04/02/22/1042040222.db2.gz WCTSJTIHZHTNGU-VQCLRJIVSA-N 0 3 302.393 4.348 20 0 DIADHN CCO[C@H](C)c1nc(CN[C@H](C)C2CCC(F)CC2)cs1 ZINC000662007352 1042041053 /nfs/dbraw/zinc/04/10/53/1042041053.db2.gz VQCHPAFWKZJOJE-IWMBGFJWSA-N 0 3 314.470 4.247 20 0 DIADHN C[C@@H](NCc1ncc(-c2cccs2)o1)C1CCC(F)CC1 ZINC000662006167 1042041141 /nfs/dbraw/zinc/04/11/41/1042041141.db2.gz QIBCALLVAUMBQU-PNESKVBLSA-N 0 3 308.422 4.410 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CCC[C@H](C2CCC2)C1 ZINC000662040609 1042046385 /nfs/dbraw/zinc/04/63/85/1042046385.db2.gz VELDIPKMFYCYJS-PBHICJAKSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@@H](C2CCC2)C1 ZINC000662040649 1042047309 /nfs/dbraw/zinc/04/73/09/1042047309.db2.gz VJBNNAILISWVAL-SJLPKXTDSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@H](c1cccnc1)N1CCC2(CCc3ccccc3O2)CC1 ZINC000662049410 1042050233 /nfs/dbraw/zinc/05/02/33/1042050233.db2.gz FHYYEGSGEYEVMV-MRXNPFEDSA-N 0 3 308.425 4.002 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC2(CCc3ccccc3O2)CC1 ZINC000662050660 1042050264 /nfs/dbraw/zinc/05/02/64/1042050264.db2.gz ULBCLYUZYIWJGR-INIZCTEOSA-N 0 3 308.425 4.002 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H]2C[C@H](O)c2ccco2)c1 ZINC000816520299 1042060335 /nfs/dbraw/zinc/06/03/35/1042060335.db2.gz LNAONBLWBHIFFG-IRXDYDNUSA-N 0 3 319.832 4.330 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)c1 ZINC000816520298 1042060612 /nfs/dbraw/zinc/06/06/12/1042060612.db2.gz LNAONBLWBHIFFG-IAGOWNOFSA-N 0 3 319.832 4.330 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2cnn(C)c2Cl)cc1 ZINC000816515840 1042061243 /nfs/dbraw/zinc/06/12/43/1042061243.db2.gz MKBTWACKUXVFOG-SJORKVTESA-N 0 3 317.864 4.150 20 0 DIADHN CC[C@@H](c1ccccc1)N(Cc1cc(=O)c(OC)co1)C(C)C ZINC000662108206 1042066906 /nfs/dbraw/zinc/06/69/06/1042066906.db2.gz KIVSWLXRGDKDBS-KRWDZBQOSA-N 0 3 315.413 4.010 20 0 DIADHN CC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000248623526 1042068297 /nfs/dbraw/zinc/06/82/97/1042068297.db2.gz YMTRZPIWXZVNTG-ZQIUZPCESA-N 0 3 322.408 4.169 20 0 DIADHN FC(F)Oc1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000057970113 1042070832 /nfs/dbraw/zinc/07/08/32/1042070832.db2.gz AGXAJGXDLZRZPF-UHFFFAOYSA-N 0 3 302.324 4.059 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1coc(-c2ccc(F)cc2)n1 ZINC000662133499 1042071136 /nfs/dbraw/zinc/07/11/36/1042071136.db2.gz NRCAPVDNAMLQHM-MYFMFCSTSA-N 0 3 300.377 4.244 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1coc(-c2cccc(F)c2)n1 ZINC000662134419 1042072266 /nfs/dbraw/zinc/07/22/66/1042072266.db2.gz VFQMXVMAKIYRNR-MYFMFCSTSA-N 0 3 300.377 4.244 20 0 DIADHN C[C@@]1(Cc2ccccc2C(F)(F)F)CCCN1Cc1cnc[nH]1 ZINC000662153840 1042072841 /nfs/dbraw/zinc/07/28/41/1042072841.db2.gz GWYABMYFPRGENN-INIZCTEOSA-N 0 3 323.362 4.026 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1CCC[C@H](c2ccccc2)C1 ZINC000816619290 1042073933 /nfs/dbraw/zinc/07/39/33/1042073933.db2.gz ABKRNYOEKHKDEA-HNNXBMFYSA-N 0 3 317.864 4.016 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1C[C@H](C)[C@@H]1C ZINC000816647667 1042076444 /nfs/dbraw/zinc/07/64/44/1042076444.db2.gz WOFPBNSRTYUXEV-JSGCOSHPSA-N 0 3 317.864 4.042 20 0 DIADHN CCCCc1ccc([C@H](NC(=O)CN(C)CCC)C(C)C)cc1 ZINC001135672988 1042079499 /nfs/dbraw/zinc/07/94/99/1042079499.db2.gz ROVPAMGOMDVJCU-HXUWFJFHSA-N 0 3 318.505 4.184 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001135718468 1042084928 /nfs/dbraw/zinc/08/49/28/1042084928.db2.gz SQCGJDUFFSFNRI-UYAOXDASSA-N 0 3 316.489 4.156 20 0 DIADHN Cn1cc(CN2CCC[C@@H](C3CCCCC3)C2)c(C(F)F)n1 ZINC000662203477 1042086152 /nfs/dbraw/zinc/08/61/52/1042086152.db2.gz XROOCOKDZAAXGM-CQSZACIVSA-N 0 3 311.420 4.150 20 0 DIADHN [O-]c1ccc(Nc2ccc(C3CC[NH2+]CC3)cc2)cc1Cl ZINC001159608996 1042096626 /nfs/dbraw/zinc/09/66/26/1042096626.db2.gz JBDSEFIYVUZFHQ-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN Cc1ccccc1[C@@H](NCc1nn(C)c2ccccc12)C(C)C ZINC000601775304 1042097464 /nfs/dbraw/zinc/09/74/64/1042097464.db2.gz JXIGCGHDKAOKAC-FQEVSTJZSA-N 0 3 307.441 4.369 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc2ccccc21)N1CCCCC1 ZINC001135881615 1042101901 /nfs/dbraw/zinc/10/19/01/1042101901.db2.gz XCKGZYWQIPVRST-LJQANCHMSA-N 0 3 310.441 4.289 20 0 DIADHN C[C@@H](NCc1cnccc1C(F)(F)F)c1ccc2c(c1)CCC2 ZINC000663310599 1042108474 /nfs/dbraw/zinc/10/84/74/1042108474.db2.gz DLFZVRLBDUVKFG-GFCCVEGCSA-N 0 3 320.358 4.440 20 0 DIADHN CC1(CN[C@@H](c2nc(Br)cs2)C2CC2)CCC1 ZINC000817580322 1042116431 /nfs/dbraw/zinc/11/64/31/1042116431.db2.gz IILJOKCBYCKFEV-LLVKDONJSA-N 0 3 315.280 4.137 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccncc1Cl)[C@@H](O)c1ccccc1 ZINC000817584147 1042117508 /nfs/dbraw/zinc/11/75/08/1042117508.db2.gz SLHZUIIQJQWWCI-VHSSKADRSA-N 0 3 318.848 4.144 20 0 DIADHN C[C@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1ccncc1Cl ZINC000817587020 1042118828 /nfs/dbraw/zinc/11/88/28/1042118828.db2.gz YAZZIOBOXONOFY-HZMBPMFUSA-N 0 3 307.224 4.206 20 0 DIADHN Cc1cc(CN2CC3CC2(C)C3)nc(-c2ccc(Cl)cc2)n1 ZINC000662384895 1042118857 /nfs/dbraw/zinc/11/88/57/1042118857.db2.gz ANMVBCQKGZXDGD-UHFFFAOYSA-N 0 3 313.832 4.090 20 0 DIADHN CCCCCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000817562276 1042119743 /nfs/dbraw/zinc/11/97/43/1042119743.db2.gz IWMUWRSTLLDKBR-LLVKDONJSA-N 0 3 303.269 4.137 20 0 DIADHN CCn1nccc1CNCc1ccccc1-c1ccccc1C ZINC000663349297 1042128944 /nfs/dbraw/zinc/12/89/44/1042128944.db2.gz GUPDJFBDIZMHOK-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN CCCCOc1ccc(CNCc2ccc(C)c(OC)c2)cc1 ZINC000065977093 1042138954 /nfs/dbraw/zinc/13/89/54/1042138954.db2.gz AXYXGMFWJNSERX-UHFFFAOYSA-N 0 3 313.441 4.472 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)c1cccc2c1CNC2 ZINC000662546740 1042143146 /nfs/dbraw/zinc/14/31/46/1042143146.db2.gz ROLHWUYUVAUQHW-UHFFFAOYSA-N 0 3 308.425 4.131 20 0 DIADHN Clc1ccncc1CN[C@H]1CCc2cccc(Cl)c2C1 ZINC001170894283 1042143953 /nfs/dbraw/zinc/14/39/53/1042143953.db2.gz POOLEYCRHZZLEF-ZDUSSCGKSA-N 0 3 307.224 4.036 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(N3CCCC3=O)c2)c(C)c1 ZINC000068706387 1042147661 /nfs/dbraw/zinc/14/76/61/1042147661.db2.gz SISZUGUSWDLMTJ-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN CC(C)c1ccc(CNC2(C(N)=O)CCCCC2)c(C(C)C)c1 ZINC001167804043 1042148440 /nfs/dbraw/zinc/14/84/40/1042148440.db2.gz IVEOVQPDNSHMBK-UHFFFAOYSA-N 0 3 316.489 4.211 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)[C@H](O)c2ccccc2)c(Cl)c1 ZINC000818339187 1042148818 /nfs/dbraw/zinc/14/88/18/1042148818.db2.gz HVAKYCIRAVUKBB-JCGVRSQUSA-N 0 3 319.832 4.121 20 0 DIADHN COc1cc(CN2CCC(C3CC3)CC2)c(SC)cc1OC ZINC000644839041 1042149681 /nfs/dbraw/zinc/14/96/81/1042149681.db2.gz YGWOBCLEMOIRHL-UHFFFAOYSA-N 0 3 321.486 4.048 20 0 DIADHN CC(C)OCCCCNCc1nc(-c2ccccc2)cs1 ZINC000228564307 1042164134 /nfs/dbraw/zinc/16/41/34/1042164134.db2.gz RXOJTKZQIYWLQB-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN CCCCCCN(C)CC(=O)N(CC)Cc1cccc(Cl)c1 ZINC001136183947 1042166232 /nfs/dbraw/zinc/16/62/32/1042166232.db2.gz ZXAPHMOMEUNFEQ-UHFFFAOYSA-N 0 3 324.896 4.201 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccc(F)cc1Cl)c1ccccn1 ZINC000819018820 1042167211 /nfs/dbraw/zinc/16/72/11/1042167211.db2.gz YICTTXXJXYTZHW-WBVHZDCISA-N 0 3 322.811 4.039 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(C)(C)CC(C)C)c1ccsc1 ZINC001136215850 1042180886 /nfs/dbraw/zinc/18/08/86/1042180886.db2.gz ROEKCWXSULBNLS-INIZCTEOSA-N 0 3 324.534 4.320 20 0 DIADHN CCn1nccc1CN[C@@H](C)c1ccc(-c2ccccc2)s1 ZINC000663471187 1042183721 /nfs/dbraw/zinc/18/37/21/1042183721.db2.gz SVVCGYBOOWLPSU-AWEZNQCLSA-N 0 3 311.454 4.482 20 0 DIADHN CCC(CC)(CN[C@@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1 ZINC000663477423 1042187204 /nfs/dbraw/zinc/18/72/04/1042187204.db2.gz DZWXFDXWGYIHNE-RHSMWYFYSA-N 0 3 315.436 4.376 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828629941 1042187894 /nfs/dbraw/zinc/18/78/94/1042187894.db2.gz YSEBUQNLOXGJPU-SMDDNHRTSA-N 0 3 302.245 4.238 20 0 DIADHN CCCCCN1CCN([C@H]2CCc3cccc(Cl)c3C2)CC1 ZINC001170932528 1042191596 /nfs/dbraw/zinc/19/15/96/1042191596.db2.gz FJUUCWGIHMSHKE-KRWDZBQOSA-N 0 3 320.908 4.005 20 0 DIADHN Fc1ccc2c(c1)CN([C@H]1CCc3cccc(Cl)c3C1)C2 ZINC001170935469 1042192693 /nfs/dbraw/zinc/19/26/93/1042192693.db2.gz RXJWTKYOJCELAA-INIZCTEOSA-N 0 3 301.792 4.352 20 0 DIADHN Fc1ccc2c(c1)CN([C@@H]1CCc3cccc(Cl)c3C1)C2 ZINC001170935470 1042192749 /nfs/dbraw/zinc/19/27/49/1042192749.db2.gz RXJWTKYOJCELAA-MRXNPFEDSA-N 0 3 301.792 4.352 20 0 DIADHN FC(F)(F)[C@@H]1CCN([C@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170936025 1042193675 /nfs/dbraw/zinc/19/36/75/1042193675.db2.gz XPUHSBYEHWMLEA-NEPJUHHUSA-N 0 3 303.755 4.082 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000026475521 1042204897 /nfs/dbraw/zinc/20/48/97/1042204897.db2.gz ZWEIXTHMUKAXFS-JKSUJKDBSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)c1 ZINC000303794553 1042211684 /nfs/dbraw/zinc/21/16/84/1042211684.db2.gz VMOPJBQUFNXNBG-GOSISDBHSA-N 0 3 311.400 4.229 20 0 DIADHN C[C@H](CC(=O)N1CCN(CCC(C)(C)C)CC1)C1CCCCC1 ZINC001136348495 1042219985 /nfs/dbraw/zinc/21/99/85/1042219985.db2.gz LIKMHFDJTQUZIS-QGZVFWFLSA-N 0 3 322.537 4.173 20 0 DIADHN CCCOc1ccc(CNCc2ccc(C)c(OC)c2)c(C)c1 ZINC001648935454 1042221578 /nfs/dbraw/zinc/22/15/78/1042221578.db2.gz MVAZEQFFTKZKID-UHFFFAOYSA-N 0 3 313.441 4.391 20 0 DIADHN CCOc1ccc(CN2CCC(Nc3cccc(C)c3)CC2)cc1 ZINC000006246864 1042236109 /nfs/dbraw/zinc/23/61/09/1042236109.db2.gz VREMYIXXBZEXDZ-UHFFFAOYSA-N 0 3 324.468 4.470 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(C)(C)CC1)c1nnc2n1CCCCC2 ZINC000315930290 1042236553 /nfs/dbraw/zinc/23/65/53/1042236553.db2.gz RABPJAMZCWRCIX-HUUCEWRRSA-N 0 3 304.482 4.014 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)Nc1ccc(Cl)cc1 ZINC000007379397 1042248707 /nfs/dbraw/zinc/24/87/07/1042248707.db2.gz LTEFOXWJTKOEHR-QWHCGFSZSA-N 0 3 302.805 4.018 20 0 DIADHN O=C1CCN(CCCc2ccc(Cl)cc2)[C@@H]2CCCC[C@H]12 ZINC001207593082 1042248872 /nfs/dbraw/zinc/24/88/72/1042248872.db2.gz NTPVMBFCSIGREO-DLBZAZTESA-N 0 3 305.849 4.106 20 0 DIADHN CC(C)(C)[C@H](NCc1ccc2nonc2c1)c1ccc(F)cc1 ZINC000821391045 1042249505 /nfs/dbraw/zinc/24/95/05/1042249505.db2.gz GMWNDWVOWUMUOI-QGZVFWFLSA-N 0 3 313.376 4.239 20 0 DIADHN Cc1c2ccccc2n(C)c1CNCc1ccc(F)c(F)c1 ZINC000318543705 1042255106 /nfs/dbraw/zinc/25/51/06/1042255106.db2.gz VRJIJXROTQKFTL-UHFFFAOYSA-N 0 3 300.352 4.055 20 0 DIADHN COCCCOc1cc(C)ccc1CN[C@H](C)c1cccs1 ZINC000167233479 1042259678 /nfs/dbraw/zinc/25/96/78/1042259678.db2.gz MMBRWUOTJHCCLV-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN CC(C)N(Cc1ccc(OC(F)F)cc1)Cc1ccccn1 ZINC000050071578 1042263117 /nfs/dbraw/zinc/26/31/17/1042263117.db2.gz BFPFWNDQKNGPMI-UHFFFAOYSA-N 0 3 306.356 4.094 20 0 DIADHN CSCC[C@H](C)N[C@@H](C)c1ccc(F)cc1Br ZINC000223745740 1042265664 /nfs/dbraw/zinc/26/56/64/1042265664.db2.gz BRPQNSKYJVRBLZ-UWVGGRQHSA-N 0 3 320.271 4.380 20 0 DIADHN Cc1csc([C@H](C)CNCc2ncc(C(C)(C)C)s2)n1 ZINC000279142605 1042276056 /nfs/dbraw/zinc/27/60/56/1042276056.db2.gz NZZFNVMQVWEJCN-SNVBAGLBSA-N 0 3 309.504 4.099 20 0 DIADHN C[C@@H](N[C@H](C)c1cncc(F)c1)c1cccc(N2CCCC2)c1 ZINC000168380525 1042280654 /nfs/dbraw/zinc/28/06/54/1042280654.db2.gz YLUXSKOLSXMXCN-HUUCEWRRSA-N 0 3 313.420 4.233 20 0 DIADHN Cc1ccc([C@H](CNC(=O)C(C)(C)CC(C)(C)C)N(C)C)cc1 ZINC001625917516 1042287351 /nfs/dbraw/zinc/28/73/51/1042287351.db2.gz BIGVHYCZQFVWDF-KRWDZBQOSA-N 0 3 318.505 4.176 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1CC[C@@H]1CC1(Cl)Cl ZINC000822660171 1042296007 /nfs/dbraw/zinc/29/60/07/1042296007.db2.gz XVXLDJZDRDURBA-TZMCWYRMSA-N 0 3 301.261 4.136 20 0 DIADHN CN(C)[C@H](CNCc1c(Cl)cccc1Cl)c1ccccc1 ZINC000021506670 1042305348 /nfs/dbraw/zinc/30/53/48/1042305348.db2.gz XGOJKHBYJMWBHY-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN Clc1ccc([C@H]2CCN2CC2CCC3(CC2)OCCO3)cc1 ZINC000753731842 1042306762 /nfs/dbraw/zinc/30/67/62/1042306762.db2.gz KBGCENOGJPRASE-QGZVFWFLSA-N 0 3 321.848 4.020 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)C1CC1)CCO2 ZINC000320118506 1042307089 /nfs/dbraw/zinc/30/70/89/1042307089.db2.gz XRUUMGDZWKHWPP-QUCCMNQESA-N 0 3 309.409 4.260 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCC1)c1ncc(Br)cc1F ZINC000187205762 1042308960 /nfs/dbraw/zinc/30/89/60/1042308960.db2.gz DCBBEYDYEKUOEH-VHSXEESVSA-N 0 3 315.230 4.213 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(F)c(F)c1)c1ccco1 ZINC000467837807 1042312560 /nfs/dbraw/zinc/31/25/60/1042312560.db2.gz BYMPXKMUAYIMPM-SUMWQHHRSA-N 0 3 322.399 4.292 20 0 DIADHN CC(C)CN(CCN(C)C)C(=O)/C=C/[C@]1(C)CC[C@H](C)C1(C)C ZINC001136692842 1042318694 /nfs/dbraw/zinc/31/86/94/1042318694.db2.gz LSUMWKYVVSWYHB-NZDACYECSA-N 0 3 322.537 4.051 20 0 DIADHN CC(C)([NH2+]Cc1ccccc1[O-])c1cccc(C(F)(F)F)c1 ZINC000067011451 1042319842 /nfs/dbraw/zinc/31/98/42/1042319842.db2.gz WAGYHMZDSSPUEE-UHFFFAOYSA-N 0 3 309.331 4.436 20 0 DIADHN Cc1cccc(CN2CCC([C@H](O)c3ccccc3)CC2)c1F ZINC000823394925 1042323643 /nfs/dbraw/zinc/32/36/43/1042323643.db2.gz GCCFDKMFJMIRGV-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCc3c(Cl)cccc3C2)cs1 ZINC001171017737 1042325260 /nfs/dbraw/zinc/32/52/60/1042325260.db2.gz KSIINMKKSAQIKA-GWCFXTLKSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCc3c(Cl)cccc3C2)n1 ZINC001171017363 1042325273 /nfs/dbraw/zinc/32/52/73/1042325273.db2.gz HSXRONZOIJIANV-WCQYABFASA-N 0 3 306.862 4.313 20 0 DIADHN C[C@@H](OCCCNCc1ccnc(Cl)c1F)c1ccccc1 ZINC000823608563 1042335097 /nfs/dbraw/zinc/33/50/97/1042335097.db2.gz JLDRZUWGNCUICW-CYBMUJFWSA-N 0 3 322.811 4.132 20 0 DIADHN Cc1ccc(CN(CCN2CCCCC2)Cc2ccco2)s1 ZINC000112669409 1042340152 /nfs/dbraw/zinc/34/01/52/1042340152.db2.gz NKPTWQQJXWJYKW-UHFFFAOYSA-N 0 3 318.486 4.138 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@@H](CC)c2ccccc2)c1 ZINC000112529874 1042340943 /nfs/dbraw/zinc/34/09/43/1042340943.db2.gz BQLQPNCWEJTZED-IBGZPJMESA-N 0 3 310.441 4.067 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2cccc(Cl)c2)c1 ZINC000112527693 1042341055 /nfs/dbraw/zinc/34/10/55/1042341055.db2.gz PYMOWLXCGBISFQ-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cccnc1 ZINC000112537876 1042341355 /nfs/dbraw/zinc/34/13/55/1042341355.db2.gz FQPFIDFJKDFBTI-SJKOYZFVSA-N 0 3 318.367 4.411 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc2c(c1)OCCO2)c1ccncc1 ZINC000163675749 1042357571 /nfs/dbraw/zinc/35/75/71/1042357571.db2.gz UYZAGBQGDUKABA-IRXDYDNUSA-N 0 3 312.413 4.045 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CNCc1cc(C)cc(C)c1 ZINC000237637266 1042379330 /nfs/dbraw/zinc/37/93/30/1042379330.db2.gz DSOYLRPEHZLZBL-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN CCn1cc(CN2CCC[C@H]2c2ccc[nH]2)c(-c2ccccc2)n1 ZINC000893864386 1042394556 /nfs/dbraw/zinc/39/45/56/1042394556.db2.gz ABFLATMJXWLXHP-IBGZPJMESA-N 0 3 320.440 4.235 20 0 DIADHN C[C@H]1CN(Cc2cc(OC(F)(F)F)ccc2F)C2(CC2)C1 ZINC001143550182 1042405521 /nfs/dbraw/zinc/40/55/21/1042405521.db2.gz HIUHCOSUDKSKCY-SNVBAGLBSA-N 0 3 303.299 4.099 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cn1nccc1-c1ccncc1 ZINC000067094424 1042410832 /nfs/dbraw/zinc/41/08/32/1042410832.db2.gz CRTJALUOXZSMCX-HXUWFJFHSA-N 0 3 318.424 4.048 20 0 DIADHN N#Cc1ccc(CNCc2ccc(OC(F)F)c(Cl)c2)cc1 ZINC000067120927 1042417447 /nfs/dbraw/zinc/41/74/47/1042417447.db2.gz KMCBMISYXHUUGQ-UHFFFAOYSA-N 0 3 322.742 4.103 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1)CC(C)C ZINC000419222667 1042418973 /nfs/dbraw/zinc/41/89/73/1042418973.db2.gz BTWBYGOZBKBLNK-HUUCEWRRSA-N 0 3 303.368 4.331 20 0 DIADHN CN(CCc1cncs1)Cc1ccc(Cl)c(Cl)c1 ZINC000411158556 1042427866 /nfs/dbraw/zinc/42/78/66/1042427866.db2.gz TWXKXNZUXYFLFI-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1)c1ccc2ccccc2c1 ZINC000120455116 1042428612 /nfs/dbraw/zinc/42/86/12/1042428612.db2.gz ZFNVDUJQDITOMW-OAHLLOKOSA-N 0 3 304.393 4.129 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC(OC3CCCC3)CC2)c1 ZINC000411213265 1042431122 /nfs/dbraw/zinc/43/11/22/1042431122.db2.gz WUEREAHNARUXKD-RBUKOAKNSA-N 0 3 303.421 4.105 20 0 DIADHN CC1(C)CC[C@H](CN[C@H](CC(F)(F)F)c2ccc(F)cc2)O1 ZINC000411459599 1042448644 /nfs/dbraw/zinc/44/86/44/1042448644.db2.gz PXAFGRJHTPEUGN-ZIAGYGMSSA-N 0 3 319.342 4.366 20 0 DIADHN Cc1nc([C@H](C)N[C@H](c2ccc(CC(C)C)cc2)C2CC2)n[nH]1 ZINC000411487676 1042451476 /nfs/dbraw/zinc/45/14/76/1042451476.db2.gz JRPYMGLPKKXCKL-SCLBCKFNSA-N 0 3 312.461 4.114 20 0 DIADHN Clc1cccc(-c2nc(CN[C@H]3C[C@H]4C[C@H]4C3)cs2)c1 ZINC001167919368 1042460726 /nfs/dbraw/zinc/46/07/26/1042460726.db2.gz ZCKOXGYGVYZWLX-IMRBUKKESA-N 0 3 304.846 4.352 20 0 DIADHN CCc1noc(C)c1CN1CCC[C@@H]1Cc1cc(F)ccc1F ZINC000419297986 1042462634 /nfs/dbraw/zinc/46/26/34/1042462634.db2.gz HKWYPUDBUBTPJL-OAHLLOKOSA-N 0 3 320.383 4.031 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(OC2CCC2)CC1 ZINC000419310031 1042465054 /nfs/dbraw/zinc/46/50/54/1042465054.db2.gz WUDXNHUYSZQEPC-UHFFFAOYSA-N 0 3 302.462 4.047 20 0 DIADHN COCCCC[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)c1ccccc1 ZINC000894140441 1042468849 /nfs/dbraw/zinc/46/88/49/1042468849.db2.gz DZVJSENNYPWDPQ-GOSISDBHSA-N 0 3 317.404 4.179 20 0 DIADHN COCCCC[C@H](NCc1cnc(F)c(C)c1)c1ccccc1 ZINC000894141950 1042469330 /nfs/dbraw/zinc/46/93/30/1042469330.db2.gz NLFMDQBZLARHSD-SFHVURJKSA-N 0 3 316.420 4.177 20 0 DIADHN Cc1noc2ncc(CN[C@H](c3ccc(F)cc3)C(C)C)cc12 ZINC000894157797 1042474725 /nfs/dbraw/zinc/47/47/25/1042474725.db2.gz IOBIVQXNLUTDRC-KRWDZBQOSA-N 0 3 313.376 4.157 20 0 DIADHN Cc1cc([C@H](C)NCc2cnc3onc(C)c3c2)c(C)s1 ZINC000894164953 1042481513 /nfs/dbraw/zinc/48/15/13/1042481513.db2.gz LOXJOVIFYDSJAE-JTQLQIEISA-N 0 3 301.415 4.060 20 0 DIADHN Cc1cc([C@@H](C)NCc2cnc3onc(C)c3c2)c(C)s1 ZINC000894164954 1042481833 /nfs/dbraw/zinc/48/18/33/1042481833.db2.gz LOXJOVIFYDSJAE-SNVBAGLBSA-N 0 3 301.415 4.060 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2ccc(C3CC3)cc2)c1 ZINC000419356044 1042482375 /nfs/dbraw/zinc/48/23/75/1042482375.db2.gz NZWDBDBICNPZOI-AWEZNQCLSA-N 0 3 308.425 4.373 20 0 DIADHN CCOCc1ccc(CNCc2nccc3ccccc32)cc1 ZINC000894173321 1042495077 /nfs/dbraw/zinc/49/50/77/1042495077.db2.gz YPWUSVSAZAIUQK-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN Cc1noc2ncc(CN[C@@H](c3ccccc3)C(C)(C)C)cc12 ZINC000894171870 1042495263 /nfs/dbraw/zinc/49/52/63/1042495263.db2.gz CKSVBIJRVZLFMY-KRWDZBQOSA-N 0 3 309.413 4.408 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1CCCCCC1)c1cccc2ccccc21 ZINC000216236250 1042496007 /nfs/dbraw/zinc/49/60/07/1042496007.db2.gz MBLFIOUQXFOQMX-SJORKVTESA-N 0 3 324.468 4.282 20 0 DIADHN COc1cc([C@H](C)NCc2c(C)nn(C)c2C)cc2ccccc21 ZINC000924892316 1042497848 /nfs/dbraw/zinc/49/78/48/1042497848.db2.gz HVPVMPNOCDROSC-ZDUSSCGKSA-N 0 3 323.440 4.050 20 0 DIADHN C[C@@]12CCN(Cc3ccc(-c4ccccc4)o3)C[C@@H]1C2(F)F ZINC000430104316 1042500266 /nfs/dbraw/zinc/50/02/66/1042500266.db2.gz MVHPRJQJPPCBQL-DLBZAZTESA-N 0 3 303.352 4.424 20 0 DIADHN COc1ccccc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001203433919 1042501555 /nfs/dbraw/zinc/50/15/55/1042501555.db2.gz DBTYSEHLVZYKHE-HNNXBMFYSA-N 0 3 301.817 4.338 20 0 DIADHN COc1ccc([C@H]2CCCCCN2Cc2c(C)noc2C)cc1 ZINC000052711752 1042508208 /nfs/dbraw/zinc/50/82/08/1042508208.db2.gz YFXAZQHIRHBSGJ-LJQANCHMSA-N 0 3 314.429 4.417 20 0 DIADHN COc1ccccc1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001203434802 1042515537 /nfs/dbraw/zinc/51/55/37/1042515537.db2.gz PTVYVOMPVZJTRR-CQSZACIVSA-N 0 3 301.817 4.338 20 0 DIADHN Clc1ccc(N2CCN([C@H]3C=CCCCCC3)CC2)cc1 ZINC001167938433 1042518053 /nfs/dbraw/zinc/51/80/53/1042518053.db2.gz ZQSAAHDTLAVZAQ-KRWDZBQOSA-N 0 3 304.865 4.351 20 0 DIADHN COCCSc1ccc([C@@H](C)NCc2ccsc2)cc1 ZINC000237088506 1042522211 /nfs/dbraw/zinc/52/22/11/1042522211.db2.gz HHNJOIHQAIMDPD-CYBMUJFWSA-N 0 3 307.484 4.337 20 0 DIADHN C[C@@H](COCc1ccccc1)N[C@H]1CCc2c1c(F)ccc2F ZINC000513376495 1042536363 /nfs/dbraw/zinc/53/63/63/1042536363.db2.gz HYVMHBKFYNQHBA-UGSOOPFHSA-N 0 3 317.379 4.147 20 0 DIADHN Cc1ccsc1CN1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000513380766 1042536473 /nfs/dbraw/zinc/53/64/73/1042536473.db2.gz LYDIBOGWHDKICG-CQSZACIVSA-N 0 3 301.411 4.122 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CC[C@@H](n3ncc4ccccc43)C2)o1 ZINC000513422673 1042540037 /nfs/dbraw/zinc/54/00/37/1042540037.db2.gz WVTJTIAQPJZOHZ-QGPMSJSTSA-N 0 3 321.424 4.200 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@H]1CCCOC1 ZINC000513490469 1042547207 /nfs/dbraw/zinc/54/72/07/1042547207.db2.gz NPCYOMQZQAEEQX-GFCCVEGCSA-N 0 3 309.862 4.413 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2c[nH]nc2C(C)(C)C)s1 ZINC000513496335 1042547375 /nfs/dbraw/zinc/54/73/75/1042547375.db2.gz CVIBVNXXTUOKPO-AWEZNQCLSA-N 0 3 303.475 4.414 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3cnn(-c4ccccc4)c3)C2)c1 ZINC000513485586 1042548037 /nfs/dbraw/zinc/54/80/37/1042548037.db2.gz RJANKLYAABIJGB-SFHVURJKSA-N 0 3 321.399 4.001 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@H]2CCCSC2)c1 ZINC000513488314 1042548088 /nfs/dbraw/zinc/54/80/88/1042548088.db2.gz SOLMCABBIOZBEB-NSHDSACASA-N 0 3 309.784 4.344 20 0 DIADHN CCCCN(C(=O)CN(C)C(C)C)[C@H](CC)c1ccccc1 ZINC000513587403 1042553149 /nfs/dbraw/zinc/55/31/49/1042553149.db2.gz XJIJXTNUZOIUKG-GOSISDBHSA-N 0 3 304.478 4.107 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@H]1CCC[C@H](C)C1 ZINC000026466098 1042554100 /nfs/dbraw/zinc/55/41/00/1042554100.db2.gz YGXMUEABMYZHOJ-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2cccc(CC(C)C)c2)c1 ZINC000513673763 1042558706 /nfs/dbraw/zinc/55/87/06/1042558706.db2.gz WVZNBFXZPOSNSV-MRXNPFEDSA-N 0 3 324.468 4.096 20 0 DIADHN CNC(=O)c1ccc(CN[C@@H](C)c2cccc(CC(C)C)c2)cc1 ZINC000513682790 1042558936 /nfs/dbraw/zinc/55/89/36/1042558936.db2.gz BYHSQBINKWBACK-INIZCTEOSA-N 0 3 324.468 4.096 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccccc1C(F)(F)F ZINC000279992199 1042560753 /nfs/dbraw/zinc/56/07/53/1042560753.db2.gz FJDUKIQNQKNFHK-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN Cc1ccncc1CN[C@H]1CCCc2cc(OC(F)F)ccc21 ZINC000279992897 1042560903 /nfs/dbraw/zinc/56/09/03/1042560903.db2.gz RHTKSEWCQVGMLV-KRWDZBQOSA-N 0 3 318.367 4.159 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@H]2CCCc3c2cnn3C)c2ccccc21 ZINC000280109295 1042565698 /nfs/dbraw/zinc/56/56/98/1042565698.db2.gz OTYGWCMPSVMKBZ-NZSAHSFTSA-N 0 3 309.457 4.272 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)[C@H](C)[C@@H]1C ZINC000419398733 1042579597 /nfs/dbraw/zinc/57/95/97/1042579597.db2.gz XVKJAJNUMHUIMV-JYJNAYRXSA-N 0 3 302.462 4.033 20 0 DIADHN CC[C@@H](NCc1ccc(C)c(F)c1)c1ccc2c(c1)OCCO2 ZINC000237007833 1042580234 /nfs/dbraw/zinc/58/02/34/1042580234.db2.gz NCVXZKKMXZAEKI-QGZVFWFLSA-N 0 3 315.388 4.146 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](CCCc3ccccc3)C2)nn1 ZINC000414416757 1042587816 /nfs/dbraw/zinc/58/78/16/1042587816.db2.gz WRZWCVQVFJFBFS-LJQANCHMSA-N 0 3 309.457 4.020 20 0 DIADHN CCc1ncc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)cn1 ZINC000414427377 1042592580 /nfs/dbraw/zinc/59/25/80/1042592580.db2.gz FJXYGXYQGPLJOA-YLJYHZDGSA-N 0 3 309.457 4.088 20 0 DIADHN CCc1ncc(CN[C@H](C)c2ccc(F)c3ccccc32)cn1 ZINC000414438111 1042595064 /nfs/dbraw/zinc/59/50/64/1042595064.db2.gz CVXALHREQUUVIC-CYBMUJFWSA-N 0 3 309.388 4.182 20 0 DIADHN C[C@H](c1nc(-c2ccsc2Cl)no1)N1[C@H](C)CC[C@@H]1C ZINC000420500261 1042606608 /nfs/dbraw/zinc/60/66/08/1042606608.db2.gz CVJWWYCQCUPBPT-KXUCPTDWSA-N 0 3 311.838 4.385 20 0 DIADHN FC(F)n1c2ccccc2nc1CN(CC1CC1)CC1CC1 ZINC000072637903 1042611949 /nfs/dbraw/zinc/61/19/49/1042611949.db2.gz CAPNVTAIXUOXAM-UHFFFAOYSA-N 0 3 305.372 4.053 20 0 DIADHN Cc1nc(CN2CCC[C@]2(C)Cc2ccc(F)cc2)oc1C ZINC000420934429 1042615484 /nfs/dbraw/zinc/61/54/84/1042615484.db2.gz XISXKXAQGVCLOM-GOSISDBHSA-N 0 3 302.393 4.028 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@@H](C2CCCC2)C1 ZINC000420961895 1042617799 /nfs/dbraw/zinc/61/77/99/1042617799.db2.gz JHDSIFLRWCMFLV-WMLDXEAASA-N 0 3 311.429 4.310 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@H](C2CCCC2)C1 ZINC000420961897 1042617877 /nfs/dbraw/zinc/61/78/77/1042617877.db2.gz JHDSIFLRWCMFLV-YOEHRIQHSA-N 0 3 311.429 4.310 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C2CCCC2)C1 ZINC000420964455 1042618147 /nfs/dbraw/zinc/61/81/47/1042618147.db2.gz PPLIBWYHSMDHHY-GXTWGEPZSA-N 0 3 317.458 4.371 20 0 DIADHN FC(F)Oc1ccc([C@@H]2CN(C[C@H]3CC=CCC3)CCO2)cc1 ZINC000513733977 1042619062 /nfs/dbraw/zinc/61/90/62/1042619062.db2.gz YEYYCSFHOJWOJF-YOEHRIQHSA-N 0 3 323.383 4.018 20 0 DIADHN CC[C@H](NCc1cnn(Cc2ccc(F)cc2)c1)c1ccccc1 ZINC000414492419 1042622837 /nfs/dbraw/zinc/62/28/37/1042622837.db2.gz FRGJDZKZKIQVTF-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Cn1cc([C@H]2CCCN2Cc2ccc(-c3ccccc3)s2)cn1 ZINC000513745884 1042629771 /nfs/dbraw/zinc/62/97/71/1042629771.db2.gz JJKCJCWNZLMJKT-GOSISDBHSA-N 0 3 323.465 4.486 20 0 DIADHN Cn1cc(CNCc2cccc(C3CC3)c2)c(-c2ccccc2)n1 ZINC000421344469 1042630478 /nfs/dbraw/zinc/63/04/78/1042630478.db2.gz POGBCIWOHSXXBF-UHFFFAOYSA-N 0 3 317.436 4.254 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@@H](C2CCCC2)C1 ZINC000421387923 1042635546 /nfs/dbraw/zinc/63/55/46/1042635546.db2.gz JOSMXJPKUVGSQR-ZBFHGGJFSA-N 0 3 306.475 4.007 20 0 DIADHN COCc1cnc(CN2CC[C@@H](c3ccccc3)C2(C)C)s1 ZINC000421397066 1042636717 /nfs/dbraw/zinc/63/67/17/1042636717.db2.gz LCDHNKFOFKPNFZ-INIZCTEOSA-N 0 3 316.470 4.058 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000072698294 1042641832 /nfs/dbraw/zinc/64/18/32/1042641832.db2.gz FQFJQNAZPOQDEY-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN COC(=O)c1cc(CNC(C)(C)c2cccs2)[nH]c1C(C)C ZINC000894261969 1042646565 /nfs/dbraw/zinc/64/65/65/1042646565.db2.gz YTXQKBXTAQYPLZ-UHFFFAOYSA-N 0 3 320.458 4.011 20 0 DIADHN COCc1cccc(CN2CCC[C@@H]2c2ccccc2OC)c1 ZINC000513754881 1042649567 /nfs/dbraw/zinc/64/95/67/1042649567.db2.gz OELUENKQNWAPEJ-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cccc(OC[C@@H](C)N[C@@H](C)c2ccncc2Cl)c1 ZINC000421823943 1042653226 /nfs/dbraw/zinc/65/32/26/1042653226.db2.gz GBTPAFFLPSMLJN-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](NC1CCN(c2ccccc2)CC1)c1ccncc1Cl ZINC000421825315 1042654333 /nfs/dbraw/zinc/65/43/33/1042654333.db2.gz MVEWEFPWCQDGGT-CQSZACIVSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1csc(-c2ccccc2)n1 ZINC000421852830 1042656820 /nfs/dbraw/zinc/65/68/20/1042656820.db2.gz HYAZUQANVZSTOE-CQSZACIVSA-N 0 3 316.470 4.156 20 0 DIADHN COCCC[C@H](NCc1cc(C)nc(C)c1)c1ccc(F)cc1 ZINC000421856947 1042657710 /nfs/dbraw/zinc/65/77/10/1042657710.db2.gz OVKSUEWKAFZMCM-IBGZPJMESA-N 0 3 316.420 4.095 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1ccncc1Cl ZINC000421859799 1042658236 /nfs/dbraw/zinc/65/82/36/1042658236.db2.gz SMFSFBZLYGNVQO-WHOFXGATSA-N 0 3 304.796 4.471 20 0 DIADHN c1ccc([C@H](NC[C@@H]2CC23CCSCC3)c2ccccn2)cc1 ZINC000421877921 1042660213 /nfs/dbraw/zinc/66/02/13/1042660213.db2.gz LNPPXMWAVKOBCK-HKUYNNGSSA-N 0 3 324.493 4.294 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(OC(F)F)c2)cc(C)n1 ZINC000421889142 1042661098 /nfs/dbraw/zinc/66/10/98/1042661098.db2.gz UGQXPRJXIZAYTD-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1ccnc(N)c1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000894266447 1042665638 /nfs/dbraw/zinc/66/56/38/1042665638.db2.gz NVNMEUXTVAMTKZ-SRCQZFHVSA-N 0 3 315.848 4.113 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(CO)c1)c1ccccc1OC(F)F ZINC000271957698 1042673712 /nfs/dbraw/zinc/67/37/12/1042673712.db2.gz LCDMJMGONSYPJO-QWHCGFSZSA-N 0 3 321.367 4.192 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1ccc(C(F)(F)F)nn1 ZINC001141486163 1042678822 /nfs/dbraw/zinc/67/88/22/1042678822.db2.gz FQWOMCAPCSEPLN-UHFFFAOYSA-N 0 3 323.362 4.296 20 0 DIADHN CN(CCCCN[C@H]1CCCc2scnc21)c1ccccc1 ZINC000623871267 1042694727 /nfs/dbraw/zinc/69/47/27/1042694727.db2.gz ZKRLVZPWFIYPQC-INIZCTEOSA-N 0 3 315.486 4.027 20 0 DIADHN c1ccc2c(c1)SCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423448018 1042712633 /nfs/dbraw/zinc/71/26/33/1042712633.db2.gz PPFPXXMOBKMCAK-SFHVURJKSA-N 0 3 310.466 4.371 20 0 DIADHN COc1cc([C@H](C)NCc2ccc3c(c2)CCCN3)ccc1F ZINC000423453169 1042714987 /nfs/dbraw/zinc/71/49/87/1042714987.db2.gz XINKJSJVLSLOMJ-ZDUSSCGKSA-N 0 3 314.404 4.043 20 0 DIADHN COc1cc([C@H](C)NCc2cc(C)cc(N)c2)ccc1SC ZINC000423474688 1042715078 /nfs/dbraw/zinc/71/50/78/1042715078.db2.gz IKBAILWCZKVBCH-ZDUSSCGKSA-N 0 3 316.470 4.159 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCN2)c1nccc2ccccc21 ZINC000423453450 1042715199 /nfs/dbraw/zinc/71/51/99/1042715199.db2.gz XOTXAYJTGMLHJH-OAHLLOKOSA-N 0 3 317.436 4.444 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCc1cc(C)cc(N)c1 ZINC000423486150 1042716812 /nfs/dbraw/zinc/71/68/12/1042716812.db2.gz VZUTWFHUZYSSTC-GFCCVEGCSA-N 0 3 317.502 4.490 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCOc3c(C)cc(C)cc32)c1 ZINC000423489009 1042717005 /nfs/dbraw/zinc/71/70/05/1042717005.db2.gz ZWNURYUJUYJZIA-IBGZPJMESA-N 0 3 310.441 4.198 20 0 DIADHN COc1cccc2cc([C@@H](C)NCc3cc(C)cc(N)c3)oc21 ZINC000423484227 1042717017 /nfs/dbraw/zinc/71/70/17/1042717017.db2.gz UCVUUYSODUXELF-CYBMUJFWSA-N 0 3 310.397 4.183 20 0 DIADHN CC(C)c1ccc(C(=O)Nc2ccc3c(c2)CNC3)cc1Cl ZINC000423695941 1042719593 /nfs/dbraw/zinc/71/95/93/1042719593.db2.gz HMDWBPHNAFHADK-UHFFFAOYSA-N 0 3 314.816 4.319 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)C(=O)Nc2ccc3c(c2)CNC3)c1 ZINC000423690753 1042720339 /nfs/dbraw/zinc/72/03/39/1042720339.db2.gz DNDVGJJDZZOVPK-OAHLLOKOSA-N 0 3 322.452 4.231 20 0 DIADHN COc1ccc([C@H](C)NCCc2csc(C)n2)c(Cl)c1 ZINC000424266495 1042730497 /nfs/dbraw/zinc/73/04/97/1042730497.db2.gz LCOQXGDWHZYVRP-JTQLQIEISA-N 0 3 310.850 4.007 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCC[C@H]2OC(F)F)c(Cl)c1 ZINC000424345155 1042737703 /nfs/dbraw/zinc/73/77/03/1042737703.db2.gz HPGRORSRBAPJFD-OWYVNGRQSA-N 0 3 319.779 4.160 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1csc(-c2ccccc2F)n1 ZINC000424343962 1042738193 /nfs/dbraw/zinc/73/81/93/1042738193.db2.gz HMOWNHRLSJEVDP-FVCCEPFGSA-N 0 3 302.418 4.398 20 0 DIADHN C[C@H](NC(=O)CCCC1CCCC1)[C@@H](c1ccccc1)N(C)C ZINC001269735233 1042755526 /nfs/dbraw/zinc/75/55/26/1042755526.db2.gz XNKWMGSVYBLQRS-JXFKEZNVSA-N 0 3 316.489 4.155 20 0 DIADHN CO[C@@H](CN1CCc2sccc2C1)c1ccc(Cl)cc1 ZINC000513881466 1042760635 /nfs/dbraw/zinc/76/06/35/1042760635.db2.gz PWOZQTXGHLLFBD-HNNXBMFYSA-N 0 3 307.846 4.147 20 0 DIADHN CCCCN(CC(=O)N[C@@H](C)CC)[C@H](CC)c1ccccc1 ZINC000514186903 1042772964 /nfs/dbraw/zinc/77/29/64/1042772964.db2.gz UAGILDCUCIMNPM-FUHWJXTLSA-N 0 3 304.478 4.155 20 0 DIADHN CN(CCOc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC000058875777 1042773333 /nfs/dbraw/zinc/77/33/33/1042773333.db2.gz FSUSAOKSOIPYQF-UHFFFAOYSA-N 0 3 309.331 4.216 20 0 DIADHN COc1cc2c(cc1F)CN(C[C@H]1CCCC(F)(F)C1)CC2 ZINC000514248128 1042777590 /nfs/dbraw/zinc/77/75/90/1042777590.db2.gz MLDRPZXACVKVEQ-LBPRGKRZSA-N 0 3 313.363 4.018 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000430096677 1042780409 /nfs/dbraw/zinc/78/04/09/1042780409.db2.gz AUJAJOIPVMUQJE-SJORKVTESA-N 0 3 309.400 4.343 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H]2CCCOC2)c2cccnc2)cc1 ZINC000924985456 1042783143 /nfs/dbraw/zinc/78/31/43/1042783143.db2.gz HCOMMNSBEPNCLV-UXHICEINSA-N 0 3 310.441 4.063 20 0 DIADHN Cn1ccnc1[C@@H](C1CC1)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000638073335 1042787649 /nfs/dbraw/zinc/78/76/49/1042787649.db2.gz CTHNPGIIPRLPCD-NVXWUHKLSA-N 0 3 315.848 4.014 20 0 DIADHN Cc1nc(CN2CCC[C@@H](c3ccccc3)C2)nc2ccccc12 ZINC000514772292 1042790385 /nfs/dbraw/zinc/79/03/85/1042790385.db2.gz XUVJUWFCIYQQLQ-GOSISDBHSA-N 0 3 317.436 4.318 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](CC(F)(F)F)c1ccccc1C ZINC000425336729 1042793013 /nfs/dbraw/zinc/79/30/13/1042793013.db2.gz LSKVFZGWJBRZNU-MGPQQGTHSA-N 0 3 303.393 4.472 20 0 DIADHN CC(C)n1cncc1CN1CC[C@H](CSc2ccccc2)C1 ZINC000425335892 1042793003 /nfs/dbraw/zinc/79/30/03/1042793003.db2.gz JYZUTKLYFKZVCB-INIZCTEOSA-N 0 3 315.486 4.078 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2cncn2C(C)C)c1 ZINC000425362700 1042796386 /nfs/dbraw/zinc/79/63/86/1042796386.db2.gz VQJPYSBDRGQSOA-HNAYVOBHSA-N 0 3 313.445 4.056 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)CCC2 ZINC000514904452 1042797969 /nfs/dbraw/zinc/79/79/69/1042797969.db2.gz GTSUGTLBUJHTBA-RTBURBONSA-N 0 3 324.472 4.402 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)CCC2 ZINC000514904452 1042797976 /nfs/dbraw/zinc/79/79/76/1042797976.db2.gz GTSUGTLBUJHTBA-RTBURBONSA-N 0 3 324.472 4.402 20 0 DIADHN CC1(C)C[C@@H](c2ccccc2)N(Cc2cnc(C3CC3)nc2)C1 ZINC000425370731 1042799836 /nfs/dbraw/zinc/79/98/36/1042799836.db2.gz JTYNQAJJPPDTPL-SFHVURJKSA-N 0 3 307.441 4.327 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CCCCC(C)C ZINC001141520475 1042800686 /nfs/dbraw/zinc/80/06/86/1042800686.db2.gz QHARNXQPQZLDBA-UHFFFAOYSA-N 0 3 305.466 4.259 20 0 DIADHN COC1(CCN2C[C@@H](c3cc(C)ccc3C)OC[C@@H]2C)CCC1 ZINC000514953663 1042801935 /nfs/dbraw/zinc/80/19/35/1042801935.db2.gz WFLPPXWQEJAVBG-HKUYNNGSSA-N 0 3 317.473 4.024 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H](Nc1ccccc1)C(C)C ZINC000924993911 1042803138 /nfs/dbraw/zinc/80/31/38/1042803138.db2.gz MVZOZCYMPBJTGK-DYVFJYSZSA-N 0 3 301.434 4.079 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H](CC4CC4)C3)co2)cc1F ZINC000515237978 1042813670 /nfs/dbraw/zinc/81/36/70/1042813670.db2.gz KDTFFRKFEQNWDW-ZDUSSCGKSA-N 0 3 318.367 4.242 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H](CC4CC4)C3)co2)cc1F ZINC000515237977 1042813714 /nfs/dbraw/zinc/81/37/14/1042813714.db2.gz KDTFFRKFEQNWDW-CYBMUJFWSA-N 0 3 318.367 4.242 20 0 DIADHN C(=C\c1ccccc1)\CN1CCN([C@@H]2C=CCCCCC2)CC1 ZINC000515374619 1042817184 /nfs/dbraw/zinc/81/71/84/1042817184.db2.gz RUNXYLBMGCYFNQ-UXGOJKDCSA-N 0 3 310.485 4.206 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](C)[C@H]3CCCC[C@@H]32)c1C ZINC000515547989 1042825631 /nfs/dbraw/zinc/82/56/31/1042825631.db2.gz BQPMMWDQVFTRJC-WDYCEAGBSA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)N[C@H](C)c2ccc(Cl)cc2)C1 ZINC000515542364 1042826002 /nfs/dbraw/zinc/82/60/02/1042826002.db2.gz WJECLNJUWCLYMM-RBSFLKMASA-N 0 3 322.880 4.028 20 0 DIADHN CCc1ccccc1NC(=O)CN1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000515549535 1042826722 /nfs/dbraw/zinc/82/67/22/1042826722.db2.gz YDRNMVVODGSLLI-WDYCEAGBSA-N 0 3 314.473 4.088 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(Cl)cc2)cc1 ZINC000515717534 1042832237 /nfs/dbraw/zinc/83/22/37/1042832237.db2.gz NETWORMPNZVASG-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(OCC3CC3)nc2)c2ccccc21 ZINC000515702699 1042832664 /nfs/dbraw/zinc/83/26/64/1042832664.db2.gz WHJCXHNFIIIGSR-AUUYWEPGSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1cc([C@H](NCC[C@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000516690256 1042852126 /nfs/dbraw/zinc/85/21/26/1042852126.db2.gz FHOVMABSEIIUMX-APPDUMDISA-N 0 3 323.889 4.468 20 0 DIADHN CC[N@H+](Cc1ncccc1[O-])C(c1ccccc1)c1ccccc1 ZINC000516893771 1042859741 /nfs/dbraw/zinc/85/97/41/1042859741.db2.gz BFMPLLVZILODPQ-UHFFFAOYSA-N 0 3 318.420 4.399 20 0 DIADHN CC[N@@H+](Cc1ncccc1[O-])C(c1ccccc1)c1ccccc1 ZINC000516893771 1042859746 /nfs/dbraw/zinc/85/97/46/1042859746.db2.gz BFMPLLVZILODPQ-UHFFFAOYSA-N 0 3 318.420 4.399 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CCC[C@@H](F)C2)c2cccnc2)ccc1F ZINC000516926758 1042860139 /nfs/dbraw/zinc/86/01/39/1042860139.db2.gz KEXBXFOBHKTLPO-LMMKCTJWSA-N 0 3 316.395 4.489 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCC[C@@H]2c2ccccc2Cl)[n-]1 ZINC000517071382 1042865620 /nfs/dbraw/zinc/86/56/20/1042865620.db2.gz HYUGTYVPJVPHGL-HIFRSBDPSA-N 0 3 318.852 4.007 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)cc1C ZINC000517165995 1042869919 /nfs/dbraw/zinc/86/99/19/1042869919.db2.gz VQUJCIFLQWVKRO-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN CCC(CC)N(CC)Cc1nc(-c2ccc(C)c(OC)c2)no1 ZINC000517199039 1042870489 /nfs/dbraw/zinc/87/04/89/1042870489.db2.gz FQMDQBOSXYRGOV-UHFFFAOYSA-N 0 3 317.433 4.064 20 0 DIADHN CC(C)[C@@H](CCO)NCc1c(Cl)ccc(Cl)c1Cl ZINC000230690103 1042873713 /nfs/dbraw/zinc/87/37/13/1042873713.db2.gz WDVAHIZUXDNIOA-GFCCVEGCSA-N 0 3 310.652 4.143 20 0 DIADHN c1cc2cccc(CN[C@H](c3ccccc3)[C@H]3CCCO3)c2[nH]1 ZINC000517550801 1042885934 /nfs/dbraw/zinc/88/59/34/1042885934.db2.gz MBRMNJRZIFKJST-UYAOXDASSA-N 0 3 306.409 4.178 20 0 DIADHN CCCCOc1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC000517537399 1042885947 /nfs/dbraw/zinc/88/59/47/1042885947.db2.gz RPQBORWYOFEGDN-UHFFFAOYSA-N 0 3 323.436 4.431 20 0 DIADHN FC(F)OC[C@@H]1CCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000517782588 1042897548 /nfs/dbraw/zinc/89/75/48/1042897548.db2.gz SOFATBKMVFVOLM-CYBMUJFWSA-N 0 3 307.340 4.008 20 0 DIADHN FC(F)OC[C@H]1CCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000517782589 1042897588 /nfs/dbraw/zinc/89/75/88/1042897588.db2.gz SOFATBKMVFVOLM-ZDUSSCGKSA-N 0 3 307.340 4.008 20 0 DIADHN COCc1ccc(CNCc2ccccc2COC(C)C)cc1 ZINC001648955226 1042903825 /nfs/dbraw/zinc/90/38/25/1042903825.db2.gz AHXFXSFGAFDCGM-UHFFFAOYSA-N 0 3 313.441 4.048 20 0 DIADHN CC[C@H](NCc1cc2c(cccc2O)s1)c1c(C)noc1C ZINC000894361354 1042904449 /nfs/dbraw/zinc/90/44/49/1042904449.db2.gz GKCMSEZCCLJQOR-AWEZNQCLSA-N 0 3 316.426 4.453 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@H](C)c1cc(-c2ccccc2)no1 ZINC000925039445 1042909343 /nfs/dbraw/zinc/90/93/43/1042909343.db2.gz FUCHXLIYEMUDPD-BEFAXECRSA-N 0 3 322.408 4.034 20 0 DIADHN C[C@H](N[C@H]1CCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000296677780 1042918426 /nfs/dbraw/zinc/91/84/26/1042918426.db2.gz GHZZYMSYLBFOBL-KBPBESRZSA-N 0 3 300.427 4.030 20 0 DIADHN O=C(CN1CC[C@@H]2CCC[C@H]21)Nc1ccccc1-c1ccccc1 ZINC000426476068 1042924360 /nfs/dbraw/zinc/92/43/60/1042924360.db2.gz PAZIODCTNXSLBR-FXAWDEMLSA-N 0 3 320.436 4.167 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@@H](OC(C)(C)C)C12CCC2 ZINC000925059550 1042926042 /nfs/dbraw/zinc/92/60/42/1042926042.db2.gz BIOWEESAZBUHQF-NILFDRSVSA-N 0 3 306.450 4.068 20 0 DIADHN CCc1ccc(-c2noc(CN(CC)[C@@H](C)C(C)C)n2)cc1 ZINC000519274422 1042940994 /nfs/dbraw/zinc/94/09/94/1042940994.db2.gz WXDACTXYOHHUCY-AWEZNQCLSA-N 0 3 301.434 4.165 20 0 DIADHN Cc1cc([C@@H](NC[C@H](C)O)c2cccc(Cl)c2)c(C)s1 ZINC000519484488 1042951370 /nfs/dbraw/zinc/95/13/70/1042951370.db2.gz MTQZRNNRTZTVTK-QFYYESIMSA-N 0 3 309.862 4.078 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1ccc(C(F)F)c(F)c1)C2 ZINC000894423255 1042957369 /nfs/dbraw/zinc/95/73/69/1042957369.db2.gz PTTFSPZQCKKDGJ-UHFFFAOYSA-N 0 3 313.363 4.154 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2cccnc2)c2c(n1)CCCC2 ZINC000894450146 1042958014 /nfs/dbraw/zinc/95/80/14/1042958014.db2.gz SIQMRVVMLYDJRP-HXUWFJFHSA-N 0 3 307.441 4.001 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2nccc3ccccc32)C1 ZINC000894461631 1042959832 /nfs/dbraw/zinc/95/98/32/1042959832.db2.gz KJKKFXDOPNLMLW-YWZLYKJASA-N 0 3 303.409 4.213 20 0 DIADHN C[C@H](NCc1cnn(C)c1C1CC1)c1ccc(F)c2ccccc21 ZINC000894521619 1042964263 /nfs/dbraw/zinc/96/42/63/1042964263.db2.gz XYRVCZLGMWLAJS-ZDUSSCGKSA-N 0 3 323.415 4.441 20 0 DIADHN CCCCOCCNCc1cc(-c2ccccc2)ccc1OC ZINC001648955878 1042965359 /nfs/dbraw/zinc/96/53/59/1042965359.db2.gz IWGWMHVFRFYRQD-UHFFFAOYSA-N 0 3 313.441 4.269 20 0 DIADHN COc1cccc(CCCN2CC(C)(C)[C@H]2c2cccnc2)c1 ZINC000664826164 1042966156 /nfs/dbraw/zinc/96/61/56/1042966156.db2.gz OZEKUHFDPFMOKF-LJQANCHMSA-N 0 3 310.441 4.106 20 0 DIADHN C[C@H](CCN1CC(C)(C)[C@@H]1c1ccncc1)OCc1ccccc1 ZINC000664827965 1042967208 /nfs/dbraw/zinc/96/72/08/1042967208.db2.gz AQKAKYWTSDRVMB-XLIONFOSSA-N 0 3 324.468 4.460 20 0 DIADHN CC1(C)CN(CCCCC2CCOCC2)[C@@H]1c1ccncc1 ZINC000664829683 1042967354 /nfs/dbraw/zinc/96/73/54/1042967354.db2.gz PGXXHZSMTVFSHJ-GOSISDBHSA-N 0 3 302.462 4.061 20 0 DIADHN Cc1coc(CN2CCC(n3c(C)nc4ccccc43)CC2)c1 ZINC000894613460 1042970737 /nfs/dbraw/zinc/97/07/37/1042970737.db2.gz CWVPMYNRBLWXDF-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN c1sc(-c2ccccn2)nc1CN[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000608064100 1042976234 /nfs/dbraw/zinc/97/62/34/1042976234.db2.gz ZEMMWOYUJLWAMT-RBSFLKMASA-N 0 3 313.470 4.264 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC=C(c3ccc(Cl)cc3)CC2)[nH]1 ZINC000894777786 1042977084 /nfs/dbraw/zinc/97/70/84/1042977084.db2.gz LWZKNOLWDIJRPG-ZBEGNZNMSA-N 0 3 316.836 4.053 20 0 DIADHN CCN(Cc1n[nH]c(C)c1C)Cc1cccc(-c2ccncc2)c1 ZINC000894778857 1042977144 /nfs/dbraw/zinc/97/71/44/1042977144.db2.gz SXRIBDHCBXBARS-UHFFFAOYSA-N 0 3 320.440 4.111 20 0 DIADHN CCCCN1Cc2cccc(N[C@@H](C)c3cnc(C)cn3)c2C1 ZINC000427441616 1042988001 /nfs/dbraw/zinc/98/80/01/1042988001.db2.gz BPFPVCVIKRDJKD-HNNXBMFYSA-N 0 3 310.445 4.074 20 0 DIADHN Cc1nccnc1[C@H](C)NCC(C)(C)c1ccc(F)cc1Cl ZINC000188007344 1042996147 /nfs/dbraw/zinc/99/61/47/1042996147.db2.gz OWFUPWJPBALGEX-LBPRGKRZSA-N 0 3 321.827 4.206 20 0 DIADHN Cc1cnn([C@@H]2CCN(Cc3ccc(-c4ccc(C)cc4)o3)C2)c1 ZINC000519727659 1043000185 /nfs/dbraw/zinc/00/01/85/1043000185.db2.gz CGVGNFXLUYSHMH-GOSISDBHSA-N 0 3 321.424 4.207 20 0 DIADHN Cc1ccncc1CCCN[C@H](C)c1c(F)cccc1Cl ZINC000519786644 1043003614 /nfs/dbraw/zinc/00/36/14/1043003614.db2.gz FTBPUVODEXQULT-CYBMUJFWSA-N 0 3 306.812 4.466 20 0 DIADHN CCc1cnc(CNCCCCCOc2ccccc2)s1 ZINC000188063529 1043008838 /nfs/dbraw/zinc/00/88/38/1043008838.db2.gz PHNREXCENGCYJG-UHFFFAOYSA-N 0 3 304.459 4.044 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1nccc2ccccc21 ZINC000894887632 1043009466 /nfs/dbraw/zinc/00/94/66/1043009466.db2.gz HSYBNOXKOFGFGD-JSGCOSHPSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1csc([C@H](N[C@@H](C)Cc2ccccc2F)C2CC2)n1 ZINC000188075481 1043010678 /nfs/dbraw/zinc/01/06/78/1043010678.db2.gz FLPIMONXZLGRHA-MEDUHNTESA-N 0 3 304.434 4.263 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000519968865 1043012223 /nfs/dbraw/zinc/01/22/23/1043012223.db2.gz QYXOVMHUPDHJRK-CXAGYDPISA-N 0 3 302.393 4.491 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)N[C@H](C)c1ccnnc1 ZINC000925094531 1043013037 /nfs/dbraw/zinc/01/30/37/1043013037.db2.gz BAUIXXSHSKKRRI-QAPCUYQASA-N 0 3 323.465 4.481 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N[C@@H](C)c1ccccc1 ZINC000520175025 1043021531 /nfs/dbraw/zinc/02/15/31/1043021531.db2.gz QHSRUGZTGJTRLE-FXAWDEMLSA-N 0 3 324.468 4.337 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N[C@H](C)c1ccccc1 ZINC000520175028 1043021541 /nfs/dbraw/zinc/02/15/41/1043021541.db2.gz QHSRUGZTGJTRLE-YLJYHZDGSA-N 0 3 324.468 4.337 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1cccc(Br)c1 ZINC000520169109 1043021858 /nfs/dbraw/zinc/02/18/58/1043021858.db2.gz WBTIFQBPVXXVSB-UHFFFAOYSA-N 0 3 308.219 4.453 20 0 DIADHN C[C@H]1CCCC[C@@H]1CC(=O)Nc1ccc(CN(C)C)cc1F ZINC000520189833 1043022534 /nfs/dbraw/zinc/02/25/34/1043022534.db2.gz YYAFDRHRPAFATM-DZGCQCFKSA-N 0 3 306.425 4.042 20 0 DIADHN CC(C)OC(=O)c1ccc(CNC2(c3ccccc3)CCC2)cc1 ZINC000441157109 1043024456 /nfs/dbraw/zinc/02/44/56/1043024456.db2.gz MUTAVTWVPFHBSL-UHFFFAOYSA-N 0 3 323.436 4.421 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000441222710 1043027888 /nfs/dbraw/zinc/02/78/88/1043027888.db2.gz BSKWJMPGOSIXJV-SNPRPXQTSA-N 0 3 311.828 4.289 20 0 DIADHN Cc1sc(NC(=O)CN2CCC[C@@H]2C2CCCC2)c(C)c1C ZINC000441221615 1043027919 /nfs/dbraw/zinc/02/79/19/1043027919.db2.gz WGWHGCHGZBAQQF-MRXNPFEDSA-N 0 3 320.502 4.266 20 0 DIADHN CC(C)N(Cc1cccc(F)c1)Cc1ccccc1N(C)C ZINC000441226358 1043029598 /nfs/dbraw/zinc/02/95/98/1043029598.db2.gz FVDQXWLMOLHKMV-UHFFFAOYSA-N 0 3 300.421 4.302 20 0 DIADHN CCCN(CCC(C)(C)CC)CC(=O)Nc1ccccc1OC ZINC000441245434 1043030459 /nfs/dbraw/zinc/03/04/59/1043030459.db2.gz FIZUGVNWDPHPQW-UHFFFAOYSA-N 0 3 320.477 4.172 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1ccncc1Cl ZINC000441264446 1043031429 /nfs/dbraw/zinc/03/14/29/1043031429.db2.gz KSBVJBBJLWVBIB-UHFFFAOYSA-N 0 3 302.849 4.436 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)Cc1ccncc1Cl ZINC000441331035 1043037607 /nfs/dbraw/zinc/03/76/07/1043037607.db2.gz NHKCEFAFXRLEMO-QGZVFWFLSA-N 0 3 302.849 4.497 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CNCc1cnc(C)nc1 ZINC000441347418 1043040261 /nfs/dbraw/zinc/04/02/61/1043040261.db2.gz AIUYKIPUVDZUCE-UHFFFAOYSA-N 0 3 317.845 4.251 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)CC(=O)Nc2ccccc2)cc1 ZINC000441346870 1043040640 /nfs/dbraw/zinc/04/06/40/1043040640.db2.gz LDJBGLWDUGDOJS-WOJBJXKFSA-N 0 3 322.452 4.202 20 0 DIADHN COC[C@H](N[C@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccc(C)o1 ZINC000417308840 1043043158 /nfs/dbraw/zinc/04/31/58/1043043158.db2.gz IGSQLBXXADEWCS-BARDWOONSA-N 0 3 305.443 4.119 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000112523374 1043049929 /nfs/dbraw/zinc/04/99/29/1043049929.db2.gz QPEFZLYQMSUFAL-ZDUSSCGKSA-N 0 3 305.368 4.352 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2cccc3ccccc32)c1 ZINC000112522921 1043050546 /nfs/dbraw/zinc/05/05/46/1043050546.db2.gz KZTPQCKCUXSQAT-OAHLLOKOSA-N 0 3 318.420 4.050 20 0 DIADHN CCOC(=O)CCCN1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000112571521 1043050911 /nfs/dbraw/zinc/05/09/11/1043050911.db2.gz FHAXUIIEJKMTMT-SFHVURJKSA-N 0 3 314.429 4.038 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2)C1)c1cccnc1Cl ZINC000120339380 1043053948 /nfs/dbraw/zinc/05/39/48/1043053948.db2.gz RHPHTMAQUKYKAG-LSDHHAIUSA-N 0 3 315.848 4.055 20 0 DIADHN COc1cc(F)c(C(C)C)cc1N(C(=N)N)c1ccc(F)cc1 ZINC001167993497 1043061800 /nfs/dbraw/zinc/06/18/00/1043061800.db2.gz YGURZFJSBAEDNH-UHFFFAOYSA-N 0 3 319.355 4.128 20 0 DIADHN Fc1cccc(C2(NCc3cccc(Cl)c3)CCOCC2)c1 ZINC000174645021 1043068990 /nfs/dbraw/zinc/06/89/90/1043068990.db2.gz YNNFQKHIDKCFLP-UHFFFAOYSA-N 0 3 319.807 4.275 20 0 DIADHN CCc1ccc(CNC2(c3cccc(F)c3)CCOCC2)cc1 ZINC000174645994 1043069631 /nfs/dbraw/zinc/06/96/31/1043069631.db2.gz YFYLTLVEKAAZTC-UHFFFAOYSA-N 0 3 313.416 4.184 20 0 DIADHN FC(F)(F)COc1ccccc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000441431963 1043087552 /nfs/dbraw/zinc/08/75/52/1043087552.db2.gz DXEAJUWOJQEDIP-HIFRSBDPSA-N 0 3 313.363 4.392 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1cccc(OC(F)(F)F)c1 ZINC000175704443 1043089384 /nfs/dbraw/zinc/08/93/84/1043089384.db2.gz DSFRCFJTPSTCAU-NEPJUHHUSA-N 0 3 310.319 4.392 20 0 DIADHN Cc1ccc([C@H](NCc2cnc(Cl)c(F)c2)C2CC2)cc1 ZINC000865410486 1043094034 /nfs/dbraw/zinc/09/40/34/1043094034.db2.gz WLUBVUVGZSGNJB-INIZCTEOSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccccc2CC(F)(F)F)cc1 ZINC000865429419 1043098517 /nfs/dbraw/zinc/09/85/17/1043098517.db2.gz VBFQGDJCTREIRA-CYBMUJFWSA-N 0 3 323.358 4.135 20 0 DIADHN Cc1c(Cl)cccc1CN[C@@H](CCCO)c1ccccc1 ZINC000865473934 1043102978 /nfs/dbraw/zinc/10/29/78/1043102978.db2.gz XSTLCAPNABOGDJ-SFHVURJKSA-N 0 3 303.833 4.252 20 0 DIADHN CC(C)C[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000177199666 1043104424 /nfs/dbraw/zinc/10/44/24/1043104424.db2.gz NTWHHZVNMANEAV-HXUWFJFHSA-N 0 3 324.468 4.265 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cnc3c(F)cccc3c2)cn1 ZINC000865528041 1043110327 /nfs/dbraw/zinc/11/03/27/1043110327.db2.gz NHGPSWLJPPNMKL-UHFFFAOYSA-N 0 3 309.388 4.102 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1nnc(-c2ccccc2)o1 ZINC000177544482 1043110468 /nfs/dbraw/zinc/11/04/68/1043110468.db2.gz DPHDRLIMFHIGDK-QAPCUYQASA-N 0 3 319.408 4.115 20 0 DIADHN C[C@@H](NCC[C@H]1CCCO1)c1nc(-c2ccccc2)cs1 ZINC000177845530 1043115805 /nfs/dbraw/zinc/11/58/05/1043115805.db2.gz WCOZUJKSCHTYQE-UKRRQHHQSA-N 0 3 302.443 4.030 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000177879180 1043116120 /nfs/dbraw/zinc/11/61/20/1043116120.db2.gz YHDAYOBICHDYHQ-CQSZACIVSA-N 0 3 316.470 4.129 20 0 DIADHN C[C@@H](N[C@H](CCc1ccccc1)c1ccccc1)c1cnn(C)c1 ZINC000177906376 1043117800 /nfs/dbraw/zinc/11/78/00/1043117800.db2.gz ZOMBPGVALIFPSQ-DYESRHJHSA-N 0 3 319.452 4.445 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)c2ccsc2)cc1OC ZINC000178039538 1043118743 /nfs/dbraw/zinc/11/87/43/1043118743.db2.gz FNAIWHUVXHMFRS-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000178089194 1043120576 /nfs/dbraw/zinc/12/05/76/1043120576.db2.gz LTVYVBXUWNRVLN-GDBMZVCRSA-N 0 3 302.418 4.245 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000178114350 1043121425 /nfs/dbraw/zinc/12/14/25/1043121425.db2.gz LHTRZWAAEWCJAM-YJYMSZOUSA-N 0 3 315.436 4.450 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCc3cc(F)ccc31)CCC2 ZINC000178089223 1043121881 /nfs/dbraw/zinc/12/18/81/1043121881.db2.gz LTVYVBXUWNRVLN-HOCLYGCPSA-N 0 3 302.418 4.245 20 0 DIADHN Fc1cc(CNCC2(C(F)(F)F)CCCC2)cnc1Cl ZINC000865644209 1043123190 /nfs/dbraw/zinc/12/31/90/1043123190.db2.gz LECXKUNBKCANID-UHFFFAOYSA-N 0 3 310.722 4.086 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2cccs2)cc1OC ZINC000178173710 1043123182 /nfs/dbraw/zinc/12/31/82/1043123182.db2.gz ZUVRMASQQXCSRG-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@@H](C)c3cn(C)nc3C)ccc2c1 ZINC000178175689 1043123557 /nfs/dbraw/zinc/12/35/57/1043123557.db2.gz PZKWDNLSZAOYNS-KBPBESRZSA-N 0 3 323.440 4.302 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C(C)C)c1)c1ccc(OC)cc1 ZINC000178291290 1043125151 /nfs/dbraw/zinc/12/51/51/1043125151.db2.gz GGJICMBQGPOSNJ-RDTXWAMCSA-N 0 3 301.434 4.275 20 0 DIADHN CC(C)C(C)(C)CN[C@@H](C)c1ncc(Br)s1 ZINC000188708557 1043126907 /nfs/dbraw/zinc/12/69/07/1043126907.db2.gz UKSKTVCGLYBJEM-VIFPVBQESA-N 0 3 305.285 4.238 20 0 DIADHN CC(C)C(C)(C)CN[C@H](C)c1ncc(Br)s1 ZINC000188708575 1043127069 /nfs/dbraw/zinc/12/70/69/1043127069.db2.gz UKSKTVCGLYBJEM-SECBINFHSA-N 0 3 305.285 4.238 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCCOc3ccccc32)s1 ZINC000178316235 1043127739 /nfs/dbraw/zinc/12/77/39/1043127739.db2.gz OKXZZMIZSWFZCR-SWLSCSKDSA-N 0 3 302.443 4.324 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H]2CCCOc3ccccc32)s1 ZINC000178316248 1043128035 /nfs/dbraw/zinc/12/80/35/1043128035.db2.gz OKXZZMIZSWFZCR-DOMZBBRYSA-N 0 3 302.443 4.324 20 0 DIADHN CCC(CC)(CNCc1cnc(C(C)(C)C)nc1)C(F)(F)F ZINC000865756672 1043130244 /nfs/dbraw/zinc/13/02/44/1043130244.db2.gz XXJPZVUIUTUKII-UHFFFAOYSA-N 0 3 317.399 4.232 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccsc1)c1ccc2c(c1)OCCO2 ZINC000178480671 1043130786 /nfs/dbraw/zinc/13/07/86/1043130786.db2.gz BIVKWDRWFMYXFU-SWLSCSKDSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1ccc([C@H](C)O)cc1 ZINC000178545637 1043132546 /nfs/dbraw/zinc/13/25/46/1043132546.db2.gz RIFWBSCEOTWSEE-OCCSQVGLSA-N 0 3 318.486 4.479 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000178555170 1043132682 /nfs/dbraw/zinc/13/26/82/1043132682.db2.gz VADPQJONOGFREZ-KZULUSFZSA-N 0 3 301.817 4.170 20 0 DIADHN FC(F)(F)CCSCCNCc1ccsc1Cl ZINC000865788213 1043132986 /nfs/dbraw/zinc/13/29/86/1043132986.db2.gz IBQWFEDYHGWSMN-UHFFFAOYSA-N 0 3 303.802 4.177 20 0 DIADHN FC(F)(F)CCSCCNCc1cscc1Cl ZINC000865788412 1043133196 /nfs/dbraw/zinc/13/31/96/1043133196.db2.gz QAVFTNHQOYDKNS-UHFFFAOYSA-N 0 3 303.802 4.177 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H](C)c2ccc(OC(F)F)cc2)cc1 ZINC000178554476 1043133074 /nfs/dbraw/zinc/13/30/74/1043133074.db2.gz HNEUERDUOGGWIA-CHWSQXEVSA-N 0 3 321.367 4.192 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1ccc([C@@H](C)O)cc1 ZINC000178545629 1043133270 /nfs/dbraw/zinc/13/32/70/1043133270.db2.gz RIFWBSCEOTWSEE-GXTWGEPZSA-N 0 3 318.486 4.479 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc([C@H](C)O)cc2)cc1 ZINC000178556622 1043133293 /nfs/dbraw/zinc/13/32/93/1043133293.db2.gz NZOIUASXXRAGIP-HOTGVXAUSA-N 0 3 313.441 4.380 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000178555176 1043133400 /nfs/dbraw/zinc/13/34/00/1043133400.db2.gz VADPQJONOGFREZ-SGTLLEGYSA-N 0 3 301.817 4.170 20 0 DIADHN CC[C@@H](NCc1ccc(CO)c(F)c1)c1ccc(Cl)cc1 ZINC000178567739 1043134220 /nfs/dbraw/zinc/13/42/20/1043134220.db2.gz KREIDWUTJYFMAN-QGZVFWFLSA-N 0 3 307.796 4.212 20 0 DIADHN CC(F)(F)C(C)(C)CNCc1conc1Cc1ccccc1 ZINC000865789888 1043134643 /nfs/dbraw/zinc/13/46/43/1043134643.db2.gz YLEAIXONMLULGU-UHFFFAOYSA-N 0 3 308.372 4.036 20 0 DIADHN CC[C@H](N[C@@H]1CCSc2c(F)cccc21)c1ccncc1 ZINC000178761934 1043137163 /nfs/dbraw/zinc/13/71/63/1043137163.db2.gz MNLOIBNUVWZCLF-JKSUJKDBSA-N 0 3 302.418 4.499 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1nc2cc(F)ccc2o1 ZINC000189483211 1043155781 /nfs/dbraw/zinc/15/57/81/1043155781.db2.gz QKOWNOYMNCOGRL-DOMZBBRYSA-N 0 3 308.422 4.121 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000183517078 1043166310 /nfs/dbraw/zinc/16/63/10/1043166310.db2.gz KAAUUICFDFRDOA-SSKCKEOISA-N 0 3 320.795 4.055 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2c3cc(F)ccc3CC[C@H]2C)c1C ZINC000866257222 1043166926 /nfs/dbraw/zinc/16/69/26/1043166926.db2.gz UFPAVOUNHDMPGY-BLVKFPJESA-N 0 3 315.436 4.072 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cc(Cl)ccc2C)s1 ZINC000049183149 1043167066 /nfs/dbraw/zinc/16/70/66/1043167066.db2.gz ISSIHDYJNTVISB-GFCCVEGCSA-N 0 3 322.861 4.308 20 0 DIADHN C[C@@]1(CN[C@@H](c2ccccc2)c2ccc(F)cn2)CCCS1 ZINC000183714728 1043170758 /nfs/dbraw/zinc/17/07/58/1043170758.db2.gz MSOWWTWXPXVUAR-ROUUACIJSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@H]1CN(Cc2ccc(Sc3ccccc3)cc2)CC[C@@H]1O ZINC000190045549 1043171512 /nfs/dbraw/zinc/17/15/12/1043171512.db2.gz GUAXFAPFAILRJH-KXBFYZLASA-N 0 3 313.466 4.041 20 0 DIADHN Cc1cncc(CNC(C)(C)c2ccc(OCC(C)C)cc2)c1 ZINC000189998789 1043171678 /nfs/dbraw/zinc/17/16/78/1043171678.db2.gz CRJXVDADKXFIQK-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN c1cc2c(s1)CCC[C@@H]2NCc1cnc(-c2ccccc2)[nH]1 ZINC000183788532 1043172108 /nfs/dbraw/zinc/17/21/08/1043172108.db2.gz ZPXOTWFJYULSNO-INIZCTEOSA-N 0 3 309.438 4.305 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H](c2ccccn2)C(C)C)n1 ZINC000866306905 1043175340 /nfs/dbraw/zinc/17/53/40/1043175340.db2.gz ONSQPVIYCQKRGG-HXUWFJFHSA-N 0 3 320.440 4.063 20 0 DIADHN Cc1ccc(Br)cc1CN[C@@H](C)c1ccncc1 ZINC000190157436 1043175885 /nfs/dbraw/zinc/17/58/85/1043175885.db2.gz QLCTWLCPNQJDOR-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN c1[nH]nc2c1CCC[C@H]2N[C@@H]1CCc2cccc3cccc1c32 ZINC000866304909 1043175786 /nfs/dbraw/zinc/17/57/86/1043175786.db2.gz BDSFYJDUHMOEMD-QZTJIDSGSA-N 0 3 303.409 4.217 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000163385084 1043176501 /nfs/dbraw/zinc/17/65/01/1043176501.db2.gz TUAVRDYBRQJDDW-IUODEOHRSA-N 0 3 319.836 4.448 20 0 DIADHN c1ccc([C@@H](NCCC[C@H]2CCCCO2)c2ccccn2)cc1 ZINC000184003467 1043176889 /nfs/dbraw/zinc/17/68/89/1043176889.db2.gz UJXYUAWOLBEAFT-UYAOXDASSA-N 0 3 310.441 4.110 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H]2CCc3cccc4cccc2c43)n[nH]1 ZINC000866313017 1043177470 /nfs/dbraw/zinc/17/74/70/1043177470.db2.gz UOBOAFNYWNNOPB-DYVFJYSZSA-N 0 3 320.440 4.419 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](C)c2cncc(C)c2)cc1OC ZINC000184278897 1043180783 /nfs/dbraw/zinc/18/07/83/1043180783.db2.gz OFYNQCAIFFHGAC-LSDHHAIUSA-N 0 3 314.429 4.127 20 0 DIADHN OC[C@H](N[C@H]1CCc2cccc3cccc1c32)c1ccsc1 ZINC000866339391 1043182329 /nfs/dbraw/zinc/18/23/29/1043182329.db2.gz BEVILRDTUZHVGI-ROUUACIJSA-N 0 3 309.434 4.212 20 0 DIADHN Cc1sc(NC(=O)CN2[C@H](C)C[C@H]3CCCC[C@H]32)c(C)c1C ZINC000441489195 1043182985 /nfs/dbraw/zinc/18/29/85/1043182985.db2.gz SGSAGMRBPAVTBR-HFBAOOFYSA-N 0 3 320.502 4.265 20 0 DIADHN CSc1ccccc1[C@@H](NCCC[C@H](C)O)c1ccccc1 ZINC000866349993 1043186650 /nfs/dbraw/zinc/18/66/50/1043186650.db2.gz RZJWSLJHVAMFOX-KXBFYZLASA-N 0 3 315.482 4.249 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)N[C@H]1C[C@H](C)n2ccnc21 ZINC000866366798 1043189548 /nfs/dbraw/zinc/18/95/48/1043189548.db2.gz BQBQYSGJPKXXIV-VCBZYWHSSA-N 0 3 320.440 4.048 20 0 DIADHN C[C@H](CCc1cncc2ccccc21)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000866366800 1043189972 /nfs/dbraw/zinc/18/99/72/1043189972.db2.gz BQBQYSGJPKXXIV-ZRGWGRIASA-N 0 3 320.440 4.048 20 0 DIADHN CCCc1ncc(CN[C@@H](C)CCc2cncc3ccccc32)o1 ZINC000866371445 1043190810 /nfs/dbraw/zinc/19/08/10/1043190810.db2.gz MHSZYEZJQFYGGI-HNNXBMFYSA-N 0 3 323.440 4.286 20 0 DIADHN CCC(C)(C)OCCN[C@@H](C)c1cc2c(ccnc2Cl)o1 ZINC000866369913 1043191679 /nfs/dbraw/zinc/19/16/79/1043191679.db2.gz QNGDCARBNOUTCX-NSHDSACASA-N 0 3 310.825 4.337 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCc3cccc4cccc2c43)n[nH]1 ZINC000866372060 1043192572 /nfs/dbraw/zinc/19/25/72/1043192572.db2.gz OJFTUDBBYLOVNK-INIZCTEOSA-N 0 3 320.440 4.033 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ncccc21)c1ccc2c(c1)CCCO2 ZINC000866393929 1043196710 /nfs/dbraw/zinc/19/67/10/1043196710.db2.gz SJNDOAXFSZZCHG-KUHUBIRLSA-N 0 3 308.425 4.135 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc(OC(C)(C)C)cc2)cnn1C ZINC000185197322 1043197683 /nfs/dbraw/zinc/19/76/83/1043197683.db2.gz GGSFKLUNBBXBNQ-ZIAGYGMSSA-N 0 3 315.461 4.318 20 0 DIADHN Cc1noc2ncc(CNC3(c4cccc(C)c4)CCC3)cc12 ZINC000895077991 1043202758 /nfs/dbraw/zinc/20/27/58/1043202758.db2.gz LWDUSDYABLCLLG-UHFFFAOYSA-N 0 3 307.397 4.009 20 0 DIADHN c1cc(CNCc2ccc(C3CC3)cc2)n(-c2ccccc2)n1 ZINC000520500614 1043205313 /nfs/dbraw/zinc/20/53/13/1043205313.db2.gz KSCWQENBNFUNCO-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN F[C@@H]1CCCC[C@@H]1N[C@@H](Cc1ccncc1)c1cccs1 ZINC000866452139 1043205688 /nfs/dbraw/zinc/20/56/88/1043205688.db2.gz WQQFJTZRVSBIEU-PMPSAXMXSA-N 0 3 304.434 4.297 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCCS2)c2cccnc2)cc1 ZINC000866480050 1043209023 /nfs/dbraw/zinc/20/90/23/1043209023.db2.gz CZZCDEDTKAKQJM-ZWKOTPCHSA-N 0 3 316.445 4.185 20 0 DIADHN CS[C@H]1C[C@H](N[C@H](c2cccnc2)c2ccc(F)c(C)c2)C1 ZINC000866488886 1043210629 /nfs/dbraw/zinc/21/06/29/1043210629.db2.gz WMAOIRSFTOBLBZ-BQFCYCMXSA-N 0 3 316.445 4.102 20 0 DIADHN COC(C)(C)CCN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000866504198 1043212806 /nfs/dbraw/zinc/21/28/06/1043212806.db2.gz AMCQCIPZKWSCEE-SFHVURJKSA-N 0 3 316.420 4.023 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C)Cc2ccc(O)cc2)cs1 ZINC000186320048 1043220295 /nfs/dbraw/zinc/22/02/95/1043220295.db2.gz JRYUZNWGNIMCJU-STQMWFEESA-N 0 3 304.459 4.254 20 0 DIADHN C[C@H](NCCSCCC(F)(F)F)c1ccc(Cl)cn1 ZINC000866543861 1043222447 /nfs/dbraw/zinc/22/24/47/1043222447.db2.gz YTLDJWBSGJIROG-VIFPVBQESA-N 0 3 312.788 4.071 20 0 DIADHN FC(F)(F)CCN(CCC[C@@H]1CCO1)Cc1ccccc1 ZINC000639099825 1043229975 /nfs/dbraw/zinc/22/99/75/1043229975.db2.gz JXAUNXBAZWZTHN-OAHLLOKOSA-N 0 3 301.352 4.010 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H](C)c2nc3c(s2)CCCC3)n1 ZINC000186577802 1043230067 /nfs/dbraw/zinc/23/00/67/1043230067.db2.gz WGYPMUPYNYLPOC-PWSUYJOCSA-N 0 3 321.515 4.241 20 0 DIADHN C[C@H](N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1cncs1 ZINC000866606672 1043233207 /nfs/dbraw/zinc/23/32/07/1043233207.db2.gz DUWIPXFROCIFAG-PEYYIBSZSA-N 0 3 322.861 4.367 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC=CC[C@H]2C(F)(F)F)cnn1C(C)C ZINC000866610075 1043233750 /nfs/dbraw/zinc/23/37/50/1043233750.db2.gz QDIMFPCPWONPGB-NILFDRSVSA-N 0 3 315.383 4.320 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2CCC(=O)OC(C)(C)C)o1 ZINC000441618821 1043234124 /nfs/dbraw/zinc/23/41/24/1043234124.db2.gz FKEBTAFMZQJCJM-ZFWWWQNUSA-N 0 3 307.434 4.093 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccnn1C)c1cccc(-c2ccccc2)c1 ZINC000866613416 1043236972 /nfs/dbraw/zinc/23/69/72/1043236972.db2.gz SKRZQBDDXWUZEN-CVEARBPZSA-N 0 3 305.425 4.499 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@@H](c2ccccc2)C(F)(F)F)c1 ZINC000186847547 1043236992 /nfs/dbraw/zinc/23/69/92/1043236992.db2.gz DYNZNGNYSQGKDW-CJNGLKHVSA-N 0 3 308.347 4.387 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H](C)c2cc3cnccc3o2)cc1 ZINC000866620333 1043237702 /nfs/dbraw/zinc/23/77/02/1043237702.db2.gz KQWMCWXYIUUXHP-CHWSQXEVSA-N 0 3 323.396 4.198 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCSc3cc(C)c(C)cc32)cnn1C ZINC000186995858 1043239915 /nfs/dbraw/zinc/23/99/15/1043239915.db2.gz TVZOVAMHRBLXPW-SUMWQHHRSA-N 0 3 315.486 4.233 20 0 DIADHN CC[C@H](C[C@H](C)O)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000866632926 1043241417 /nfs/dbraw/zinc/24/14/17/1043241417.db2.gz DHRGRFJLJSLMNJ-YNEHKIRRSA-N 0 3 322.449 4.149 20 0 DIADHN Fc1cc(CN2CC[C@H](c3cccnc3)C2)ccc1C(F)F ZINC000895095709 1043245255 /nfs/dbraw/zinc/24/52/55/1043245255.db2.gz IIIBADGABCESKQ-AWEZNQCLSA-N 0 3 306.331 4.148 20 0 DIADHN CN(Cc1nc2ccccc2n1C(F)F)C[C@H]1CC=CCC1 ZINC000520650236 1043248445 /nfs/dbraw/zinc/24/84/45/1043248445.db2.gz OSGKTJUIHGDCJR-ZDUSSCGKSA-N 0 3 305.372 4.220 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccsc1)CCCS2 ZINC000187769498 1043249200 /nfs/dbraw/zinc/24/92/00/1043249200.db2.gz FTQHQCVXZLYRRE-OAHLLOKOSA-N 0 3 305.468 4.474 20 0 DIADHN CC[C@H](NCc1cccc(NC(C)=O)c1)c1cccc(F)c1 ZINC000187769919 1043249797 /nfs/dbraw/zinc/24/97/97/1043249797.db2.gz DOVHLGLFHHEDMK-SFHVURJKSA-N 0 3 300.377 4.025 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccsc1)CCCS2 ZINC000187769522 1043250012 /nfs/dbraw/zinc/25/00/12/1043250012.db2.gz FTQHQCVXZLYRRE-HNNXBMFYSA-N 0 3 305.468 4.474 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@H]1CCCc3c1cnn3C)CC2 ZINC000187804662 1043251101 /nfs/dbraw/zinc/25/11/01/1043251101.db2.gz XKTZZZWQHGRPMZ-RBUKOAKNSA-N 0 3 309.457 4.198 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@H]1CCCc3c1cnn3C)CC2 ZINC000187804682 1043251148 /nfs/dbraw/zinc/25/11/48/1043251148.db2.gz XKTZZZWQHGRPMZ-OALUTQOASA-N 0 3 309.457 4.198 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccco2)o1 ZINC000191880697 1043262496 /nfs/dbraw/zinc/26/24/96/1043262496.db2.gz AVZXOJBEPMKRRV-KBPBESRZSA-N 0 3 303.406 4.024 20 0 DIADHN Clc1ccc([C@H]2OCC[C@@H]2NCc2ccnc(Cl)c2)cc1 ZINC000192022103 1043264977 /nfs/dbraw/zinc/26/49/77/1043264977.db2.gz LKDIGOYHHQHTSV-GOEBONIOSA-N 0 3 323.223 4.008 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@H]2NCc2ccnc(Cl)c2)cc1 ZINC000192022096 1043265774 /nfs/dbraw/zinc/26/57/74/1043265774.db2.gz LKDIGOYHHQHTSV-ZBFHGGJFSA-N 0 3 323.223 4.008 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487398868 1043270812 /nfs/dbraw/zinc/27/08/12/1043270812.db2.gz BLCOYFVJANILGN-DGCLKSJQSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(F)cc1)C1CCCC1)c1ccnn1C ZINC000192771904 1043275108 /nfs/dbraw/zinc/27/51/08/1043275108.db2.gz XBWJGWOHFNEUAN-FZKQIMNGSA-N 0 3 301.409 4.141 20 0 DIADHN Clc1cccc(C2(NCc3cn4ccnc4s3)CCC2)c1 ZINC000895124042 1043281724 /nfs/dbraw/zinc/28/17/24/1043281724.db2.gz XGAPLICBWLZNKA-UHFFFAOYSA-N 0 3 317.845 4.218 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(-c2ccco2)s1)c1nccs1 ZINC000194479891 1043292519 /nfs/dbraw/zinc/29/25/19/1043292519.db2.gz MKIOADIKCMEMGV-NSHDSACASA-N 0 3 319.455 4.095 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cnc(-c3ccco3)s2)CC1 ZINC000194392191 1043292825 /nfs/dbraw/zinc/29/28/25/1043292825.db2.gz USAOAGAHSSYDMC-AWEZNQCLSA-N 0 3 320.458 4.184 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccc(F)nc1C)CC2 ZINC000895129100 1043293559 /nfs/dbraw/zinc/29/35/59/1043293559.db2.gz LBJWSZPJRCFRBV-UHFFFAOYSA-N 0 3 323.415 4.169 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc(NC(C)=O)cc1 ZINC000194838961 1043294304 /nfs/dbraw/zinc/29/43/04/1043294304.db2.gz NZTNFSLBNYFRLE-ZDUSSCGKSA-N 0 3 314.454 4.218 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(O)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000194839675 1043294912 /nfs/dbraw/zinc/29/49/12/1043294912.db2.gz RFPSEGMQASMDEL-KGLIPLIRSA-N 0 3 324.424 4.153 20 0 DIADHN FC(F)(F)CCN[C@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000427693689 1043296947 /nfs/dbraw/zinc/29/69/47/1043296947.db2.gz HNXUNADJXFJYMJ-JTQLQIEISA-N 0 3 312.257 4.020 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc3ncccc3c1)CCC2 ZINC000195149354 1043297329 /nfs/dbraw/zinc/29/73/29/1043297329.db2.gz OIWTULUEQUALCB-INIZCTEOSA-N 0 3 323.465 4.421 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc3ncccc3c1)CCC2 ZINC000195149340 1043297436 /nfs/dbraw/zinc/29/74/36/1043297436.db2.gz OIWTULUEQUALCB-MRXNPFEDSA-N 0 3 323.465 4.421 20 0 DIADHN C[C@@H](NCc1ccc2ncccc2c1)c1ccc(F)cc1N(C)C ZINC000195147895 1043297935 /nfs/dbraw/zinc/29/79/35/1043297935.db2.gz OKCVDMARCAFNLF-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN C[C@H]1CCC(C)(C)C[C@H]1NCc1ncccc1Br ZINC000717480713 1043299634 /nfs/dbraw/zinc/29/96/34/1043299634.db2.gz CQXCQELPZKZEKK-WCQYABFASA-N 0 3 311.267 4.149 20 0 DIADHN Cc1ncsc1CN[C@@H]1CCSc2cc(C)c(C)cc21 ZINC000427709367 1043300169 /nfs/dbraw/zinc/30/01/69/1043300169.db2.gz INUKGWCYKGQDEL-CQSZACIVSA-N 0 3 304.484 4.395 20 0 DIADHN CC1=Nc2ccccc2C12CC[NH+](Cc1ccc([O-])c(F)c1)CC2 ZINC000895134777 1043305748 /nfs/dbraw/zinc/30/57/48/1043305748.db2.gz AARPNGOJQWJNPV-UHFFFAOYSA-N 0 3 324.399 4.171 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1cccc(OCC)c1 ZINC000122637718 1043319013 /nfs/dbraw/zinc/31/90/13/1043319013.db2.gz HSMDYAWBSBLCFH-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000427991845 1043320660 /nfs/dbraw/zinc/32/06/60/1043320660.db2.gz ZWYSDHCAMNGSDH-OLZOCXBDSA-N 0 3 313.388 4.205 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1ccc(N2CCCCC2)nc1 ZINC000268697858 1043325646 /nfs/dbraw/zinc/32/56/46/1043325646.db2.gz AFHYDAZGQPTREI-KRWDZBQOSA-N 0 3 309.457 4.231 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@H](C)c1ccc2c(c1)COC2 ZINC000268712674 1043325992 /nfs/dbraw/zinc/32/59/92/1043325992.db2.gz FMBYIHYOPGKTRU-HUUCEWRRSA-N 0 3 311.425 4.446 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@H](C)c2cccnc2Cl)c1 ZINC000122783900 1043327481 /nfs/dbraw/zinc/32/74/81/1043327481.db2.gz QEDKDDLDPNIFDB-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc(CN[C@@H]2CCc3ccc(OC(F)(F)F)cc32)cn1 ZINC000268757708 1043327515 /nfs/dbraw/zinc/32/75/15/1043327515.db2.gz FXNRJVPJBFSQTH-MRXNPFEDSA-N 0 3 322.330 4.066 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@H](C)c2cccnc2Cl)c1 ZINC000122783638 1043327762 /nfs/dbraw/zinc/32/77/62/1043327762.db2.gz QEDKDDLDPNIFDB-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cnc([C@@H](C)N2CC[C@@](C)(CCc3ccccc3)C2)cn1 ZINC000428205524 1043328200 /nfs/dbraw/zinc/32/82/00/1043328200.db2.gz LJCFZVAHRMVVAP-YLJYHZDGSA-N 0 3 309.457 4.191 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cccc(C(=O)N(C)C)c2)c2ccccc21 ZINC000268775246 1043328573 /nfs/dbraw/zinc/32/85/73/1043328573.db2.gz VMADFWWSYHGTSK-FOIQADDNSA-N 0 3 322.452 4.117 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2cccc(C(=O)N(C)C)c2)cc1C ZINC000268769182 1043328958 /nfs/dbraw/zinc/32/89/58/1043328958.db2.gz RVAGSZSPOHLVMW-KRWDZBQOSA-N 0 3 324.468 4.164 20 0 DIADHN CCc1ccc([C@H](CC)NCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000268772386 1043329850 /nfs/dbraw/zinc/32/98/50/1043329850.db2.gz TXTGPBYKCMRJLX-FQEVSTJZSA-N 0 3 324.468 4.192 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc3c(c2)COC3)cc1 ZINC000268781704 1043331523 /nfs/dbraw/zinc/33/15/23/1043331523.db2.gz UIBBHJULPKAYDP-OAHLLOKOSA-N 0 3 311.425 4.104 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)s1 ZINC000268789794 1043332207 /nfs/dbraw/zinc/33/22/07/1043332207.db2.gz CRAMWDKZOYVOBD-RYUDHWBXSA-N 0 3 309.866 4.253 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)c2cccc(OC)c2)s1 ZINC000268805135 1043333406 /nfs/dbraw/zinc/33/34/06/1043333406.db2.gz RMIXYDTZFWUAKQ-NEPJUHHUSA-N 0 3 304.459 4.434 20 0 DIADHN C[C@@H](N[C@@H]1CCCOc2ccccc21)c1ccc2c(c1)COC2 ZINC000268847607 1043335728 /nfs/dbraw/zinc/33/57/28/1043335728.db2.gz PYOXMNVMACEVOE-AUUYWEPGSA-N 0 3 309.409 4.281 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccc(C(F)(F)F)c1 ZINC000268844487 1043336144 /nfs/dbraw/zinc/33/61/44/1043336144.db2.gz OGZJCYHVBVGZIZ-MZPVMMEZSA-N 0 3 321.342 4.014 20 0 DIADHN COc1cc2c(cc1CN[C@@H](c1ccccc1)C1CC1)O[C@H](C)C2 ZINC000053953994 1043336350 /nfs/dbraw/zinc/33/63/50/1043336350.db2.gz BKCCCFRBKGWUKA-SZNDQCEHSA-N 0 3 323.436 4.260 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@H](O)c2ccc3ccccc3c2)cn1 ZINC000268860276 1043337169 /nfs/dbraw/zinc/33/71/69/1043337169.db2.gz DMFCUTJNMQXCOZ-QRWLVFNGSA-N 0 3 323.440 4.001 20 0 DIADHN CC[C@H](NCc1cc(C)cc2c(C)c(C)[nH]c21)c1cnn(C)c1 ZINC000268850507 1043337669 /nfs/dbraw/zinc/33/76/69/1043337669.db2.gz HCTYFIXPZHFODV-SFHVURJKSA-N 0 3 310.445 4.068 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCc3c1cccc3O)CCC2 ZINC000268910598 1043342258 /nfs/dbraw/zinc/34/22/58/1043342258.db2.gz SZEWAXLANCOIOG-RTBURBONSA-N 0 3 309.409 4.055 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1ccc(Cl)cc1 ZINC000268925963 1043342313 /nfs/dbraw/zinc/34/23/13/1043342313.db2.gz VYAMTFMAALCCMH-SUMWQHHRSA-N 0 3 321.852 4.156 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@@H]3CCc4cc(N)ccc43)oc21 ZINC000665269275 1043343896 /nfs/dbraw/zinc/34/38/96/1043343896.db2.gz CSCLZUVLKNCUSZ-SJKOYZFVSA-N 0 3 322.408 4.362 20 0 DIADHN OCCC[C@H](N[C@@H]1CCCOc2ccccc21)c1ccccc1 ZINC000268944916 1043343865 /nfs/dbraw/zinc/34/38/65/1043343865.db2.gz AYCAFHRFWCZJRQ-RBUKOAKNSA-N 0 3 311.425 4.004 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@H]3CCc4cc(N)ccc43)oc21 ZINC000665269273 1043344330 /nfs/dbraw/zinc/34/43/30/1043344330.db2.gz CSCLZUVLKNCUSZ-PXAZEXFGSA-N 0 3 322.408 4.362 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1cnc2ccsc2c1 ZINC000268945001 1043345064 /nfs/dbraw/zinc/34/50/64/1043345064.db2.gz BANULQPEHZVYRO-CZUORRHYSA-N 0 3 312.438 4.071 20 0 DIADHN c1csc(-c2nc(CN[C@H]3CCOC4(CCC4)C3)cs2)c1 ZINC000268946172 1043345167 /nfs/dbraw/zinc/34/51/67/1043345167.db2.gz BHARVWBPMOOZQX-LBPRGKRZSA-N 0 3 320.483 4.063 20 0 DIADHN COc1ccc(CNCCCOc2ccc(F)cc2)c(Cl)c1 ZINC000520966606 1043347120 /nfs/dbraw/zinc/34/71/20/1043347120.db2.gz YJGPVBNUMQFTAI-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(N)ccc21)c1ccc(Cl)c(F)c1 ZINC000665270535 1043348524 /nfs/dbraw/zinc/34/85/24/1043348524.db2.gz ZUVCWTGLZXOCGO-BTDLBPIBSA-N 0 3 304.796 4.399 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(C(F)(F)F)cc1 ZINC000269022864 1043350636 /nfs/dbraw/zinc/35/06/36/1043350636.db2.gz BYYOATFLJFJMES-GOPGUHFVSA-N 0 3 321.342 4.014 20 0 DIADHN C[C@H](NCCc1cscn1)c1nc(-c2ccccc2)cs1 ZINC000269008836 1043351164 /nfs/dbraw/zinc/35/11/64/1043351164.db2.gz UAIOWYYOFPJTTC-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)NCc1csc([C@H](C)OC)n1 ZINC000269037518 1043352113 /nfs/dbraw/zinc/35/21/13/1043352113.db2.gz FUKMLALAPDDXQM-HOCLYGCPSA-N 0 3 318.486 4.270 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@@H](C)c1sccc1C ZINC000269040176 1043353336 /nfs/dbraw/zinc/35/33/36/1043353336.db2.gz GIOPCFNBECGJEG-HNNXBMFYSA-N 0 3 319.470 4.241 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)c(C)o1 ZINC000269066696 1043354307 /nfs/dbraw/zinc/35/43/07/1043354307.db2.gz YZWAZGOFFUJBIB-GJZGRUSLSA-N 0 3 309.413 4.494 20 0 DIADHN COc1cc(C)c([C@H](C)Nc2ccnc3ccccc32)cc1OC ZINC000521102246 1043360444 /nfs/dbraw/zinc/36/04/44/1043360444.db2.gz SNOBLMVMXPUOQF-AWEZNQCLSA-N 0 3 322.408 4.155 20 0 DIADHN COc1ccc([C@H](C)CCN[C@@H](C)c2csc(C)n2)cc1 ZINC000269418377 1043365260 /nfs/dbraw/zinc/36/52/60/1043365260.db2.gz KYIABNWXSZJOGH-OLZOCXBDSA-N 0 3 304.459 4.305 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H](c2cccs2)N2CCCC2)cs1 ZINC000269403292 1043365469 /nfs/dbraw/zinc/36/54/69/1043365469.db2.gz HAQUDSLBTSEVIM-WFASDCNBSA-N 0 3 321.515 4.001 20 0 DIADHN Cc1ccncc1CNC(C)(C)c1cccc(C(F)(F)F)c1 ZINC000269607842 1043369197 /nfs/dbraw/zinc/36/91/97/1043369197.db2.gz XZLYKLJQLRBIPG-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(-c2ccc(C)cc2)o1 ZINC000521172470 1043370794 /nfs/dbraw/zinc/37/07/94/1043370794.db2.gz SSEWHNKBMFEVHH-GOSISDBHSA-N 0 3 301.430 4.142 20 0 DIADHN COC[C@@H]1CCN([C@@H](C)c2ncc(-c3ccc(Cl)cc3)o2)C1 ZINC000269802627 1043373242 /nfs/dbraw/zinc/37/32/42/1043373242.db2.gz UMQVTIUFJFXPHD-QWHCGFSZSA-N 0 3 320.820 4.024 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](C4CCC4)C3)o2)cc1 ZINC000665284979 1043382376 /nfs/dbraw/zinc/38/23/76/1043382376.db2.gz ZRZMTAIHSHULMW-PBHICJAKSA-N 0 3 311.429 4.228 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)cc1O ZINC000270299661 1043383353 /nfs/dbraw/zinc/38/33/53/1043383353.db2.gz RLCOHNVCXLOJDS-LJQANCHMSA-N 0 3 315.388 4.089 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H](C4CCC4)C3)co2)cc1 ZINC000665284542 1043384729 /nfs/dbraw/zinc/38/47/29/1043384729.db2.gz NNCSXSLAHMFQHD-HNNXBMFYSA-N 0 3 300.377 4.103 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)N[C@@H](C)c2cccc(CO)c2)c1 ZINC000270411795 1043384852 /nfs/dbraw/zinc/38/48/52/1043384852.db2.gz CIYJRUGRHXSEMB-JKSUJKDBSA-N 0 3 313.441 4.378 20 0 DIADHN Fc1cccc(-c2nc(CN3CC[C@@H](C4CCC4)C3)co2)c1 ZINC000665284857 1043385077 /nfs/dbraw/zinc/38/50/77/1043385077.db2.gz WYMZKCNBNAEIOI-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN CCCN1CCc2c(cccc2NC(=O)Nc2ccc(C)cc2)C1 ZINC000521344039 1043388903 /nfs/dbraw/zinc/38/89/03/1043388903.db2.gz YBYKWEUKMOSVIZ-UHFFFAOYSA-N 0 3 323.440 4.407 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@@H](C3CCC3)C2)o1 ZINC000665286004 1043389162 /nfs/dbraw/zinc/38/91/62/1043389162.db2.gz CAZGOOFZMJFOQT-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@@H](N[C@H](C)c1cc(Cl)c(N)c(Cl)c1)c1cccnc1 ZINC000270547243 1043389985 /nfs/dbraw/zinc/38/99/85/1043389985.db2.gz ZDVIDIQCGZEZPV-NXEZZACHSA-N 0 3 310.228 4.382 20 0 DIADHN CCN(Cc1ccccn1)[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000270697893 1043394865 /nfs/dbraw/zinc/39/48/65/1043394865.db2.gz DUJCACOKKIGYQH-ZDUSSCGKSA-N 0 3 306.356 4.266 20 0 DIADHN CC[C@@H](NCCn1cnc2ccccc21)c1ccc(F)cc1F ZINC000346789057 1043396680 /nfs/dbraw/zinc/39/66/80/1043396680.db2.gz CVLPCELIRBJZQG-MRXNPFEDSA-N 0 3 315.367 4.055 20 0 DIADHN CCN(CCc1cccs1)Cc1cnc([C@@H]2CCCO2)s1 ZINC000271097864 1043402436 /nfs/dbraw/zinc/40/24/36/1043402436.db2.gz NEWSCBPPAXUWAW-HNNXBMFYSA-N 0 3 322.499 4.121 20 0 DIADHN C[C@@H](CN(C)Cc1cnc([C@H]2CCCO2)s1)c1ccccc1 ZINC000271177646 1043404778 /nfs/dbraw/zinc/40/47/78/1043404778.db2.gz XHTSMURWMCEJHO-WMLDXEAASA-N 0 3 316.470 4.230 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2NCc2ccccc2Cl)cc1F ZINC000557903825 1043411205 /nfs/dbraw/zinc/41/12/05/1043411205.db2.gz CCTWSQPWAUSLSY-IRXDYDNUSA-N 0 3 323.770 4.238 20 0 DIADHN COc1ccc2c(c1)nccc2N1CC[C@H](C(F)(F)F)[C@H]1C ZINC000795192350 1043413711 /nfs/dbraw/zinc/41/37/11/1043413711.db2.gz KXCCSBZZYFWFCE-MFKMUULPSA-N 0 3 310.319 4.021 20 0 DIADHN COc1ccc2c(c1)nccc2N1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000795192345 1043415049 /nfs/dbraw/zinc/41/50/49/1043415049.db2.gz KXCCSBZZYFWFCE-GWCFXTLKSA-N 0 3 310.319 4.021 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)CC2 ZINC000271445382 1043418408 /nfs/dbraw/zinc/41/84/08/1043418408.db2.gz HJVXKIPLUQVHKB-JSVDNDDVSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@@H](NCc1c[nH]nc1C)c1ccc2cc(OC)ccc2c1 ZINC000271448944 1043419954 /nfs/dbraw/zinc/41/99/54/1043419954.db2.gz FHRZMYIGHOJLEK-LJQANCHMSA-N 0 3 309.413 4.121 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000925469078 1043421084 /nfs/dbraw/zinc/42/10/84/1043421084.db2.gz AQRVCZWIZJABDH-WDEREUQCSA-N 0 3 308.809 4.063 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@H]1CCCc2cn[nH]c21 ZINC000271472394 1043423014 /nfs/dbraw/zinc/42/30/14/1043423014.db2.gz HPVAUNVLZYQPSI-ABAIWWIYSA-N 0 3 319.836 4.190 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](C)c1cccc(OC(F)F)c1 ZINC000271478514 1043424910 /nfs/dbraw/zinc/42/49/10/1043424910.db2.gz DCBODROKKCLPOX-CHWSQXEVSA-N 0 3 306.356 4.403 20 0 DIADHN CC(C)c1nc(CC[NH2+][C@@H](C)c2cccc([O-])c2F)cs1 ZINC000872030563 1043425826 /nfs/dbraw/zinc/42/58/26/1043425826.db2.gz HSEJWQKLPWAVSV-NSHDSACASA-N 0 3 308.422 4.005 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2cccc(O)c2F)cs1 ZINC000872030563 1043425841 /nfs/dbraw/zinc/42/58/41/1043425841.db2.gz HSEJWQKLPWAVSV-NSHDSACASA-N 0 3 308.422 4.005 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000271492073 1043426481 /nfs/dbraw/zinc/42/64/81/1043426481.db2.gz ICYIPWFUAOOJJH-RISCZKNCSA-N 0 3 305.809 4.153 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](C)c2cccc3ccccc32)n[nH]1 ZINC000271523361 1043428682 /nfs/dbraw/zinc/42/86/82/1043428682.db2.gz OTOPBWKLHKABFV-KGLIPLIRSA-N 0 3 308.429 4.493 20 0 DIADHN CCC[C@@H](N[C@H]1CCOC2(CCC2)C1)c1ncccc1Cl ZINC000925560957 1043430734 /nfs/dbraw/zinc/43/07/34/1043430734.db2.gz ANVSNCJMGCDXMI-DZGCQCFKSA-N 0 3 308.853 4.268 20 0 DIADHN CC(C)[C@H](NC1CCC(C(F)F)CC1)c1nc(C2CC2)no1 ZINC000442829622 1043432725 /nfs/dbraw/zinc/43/27/25/1043432725.db2.gz VRZBKROSQQWBOI-GDKBPFBDSA-N 0 3 313.392 4.058 20 0 DIADHN Cc1ncc(CCN[C@@H](c2ccc(C)cc2)c2cccnc2)s1 ZINC000925575832 1043433116 /nfs/dbraw/zinc/43/31/16/1043433116.db2.gz HSAISCWHWSQKKO-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H]3CCO[C@H](C)C3)cs2)cc1 ZINC000271539988 1043434001 /nfs/dbraw/zinc/43/40/01/1043434001.db2.gz SJPPZJGXGXTFLC-HUUCEWRRSA-N 0 3 316.470 4.023 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cnccc2C)cc1OC ZINC000271544864 1043434749 /nfs/dbraw/zinc/43/47/49/1043434749.db2.gz SXXNJXNFMIXAEX-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@@H](C)c2cn[nH]c2)cc1OCC ZINC000271554569 1043435842 /nfs/dbraw/zinc/43/58/42/1043435842.db2.gz SMIREGWTPKCPQH-BBRMVZONSA-N 0 3 317.433 4.009 20 0 DIADHN c1ccc2cc(OCCN3CCC[C@@H]3c3cccnc3)ccc2c1 ZINC000558102585 1043438497 /nfs/dbraw/zinc/43/84/97/1043438497.db2.gz UEUHFEWLOHLUFW-OAQYLSRUSA-N 0 3 318.420 4.451 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3c(c2)COC3)cc1Cl ZINC000271575440 1043439125 /nfs/dbraw/zinc/43/91/25/1043439125.db2.gz ZOAROFFMYMBZNT-LBPRGKRZSA-N 0 3 317.816 4.230 20 0 DIADHN Cc1cc([C@@H](N[C@H](C)c2cncc(F)c2)C2CC2)ccc1F ZINC000271573588 1043439320 /nfs/dbraw/zinc/43/93/20/1043439320.db2.gz VZTMOZBNDJIANB-XIKOKIGWSA-N 0 3 302.368 4.470 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cccc(OC(F)F)c2)C1 ZINC000558116889 1043440957 /nfs/dbraw/zinc/44/09/57/1043440957.db2.gz OWAFLBMCWCDXPS-GUYCJALGSA-N 0 3 318.367 4.266 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1ncc(C)c(OC)c1C ZINC000442874740 1043441303 /nfs/dbraw/zinc/44/13/03/1043441303.db2.gz NQLXTGNFDRQGIX-UHFFFAOYSA-N 0 3 323.440 4.210 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H]2CCc3cccc(C)c32)c2ccccc21 ZINC000925637582 1043443045 /nfs/dbraw/zinc/44/30/45/1043443045.db2.gz NVYZNWIDWKVQRH-RTBURBONSA-N 0 3 320.436 4.070 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1nc2ccccc2n1C(F)F ZINC000271613435 1043444593 /nfs/dbraw/zinc/44/45/93/1043444593.db2.gz AYQWFBDFUYQZOX-OSAQELSMSA-N 0 3 323.387 4.040 20 0 DIADHN C[C@@H]([NH2+]CCC1CC(OC(C)(C)C)C1)c1cccc([O-])c1F ZINC000872065394 1043445591 /nfs/dbraw/zinc/44/55/91/1043445591.db2.gz AGJHHPWBXDPMJA-IYXRBSQSSA-N 0 3 309.425 4.166 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1cn(C)cn1 ZINC000925646734 1043445585 /nfs/dbraw/zinc/44/55/85/1043445585.db2.gz VMLGMKVIJKBEFA-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+][C@H](CC)CCC(F)(F)F)[n-]1 ZINC000872065455 1043445751 /nfs/dbraw/zinc/44/57/51/1043445751.db2.gz BLLPSXJGPJWQFD-OUAUKWLOSA-N 0 3 306.376 4.090 20 0 DIADHN Cc1cc(F)ccc1CN[C@H](CCO)c1ccc(Cl)cc1 ZINC000558144079 1043445670 /nfs/dbraw/zinc/44/56/70/1043445670.db2.gz ZOALPBFIBPBCJK-QGZVFWFLSA-N 0 3 307.796 4.001 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cnccc2C)cc1OCC ZINC000271681579 1043447001 /nfs/dbraw/zinc/44/70/01/1043447001.db2.gz KAQCYPZVIZNMBV-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN FC1(F)CCC(N[C@@H]2COCc3cccc(Cl)c32)CC1 ZINC000925657072 1043447100 /nfs/dbraw/zinc/44/71/00/1043447100.db2.gz IAIOZTYXMILMFN-CYBMUJFWSA-N 0 3 301.764 4.079 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)[C@H]1CCCOC1 ZINC000872067128 1043448021 /nfs/dbraw/zinc/44/80/21/1043448021.db2.gz OMMXRAVUNYYSCL-DCAQKATOSA-N 0 3 322.396 4.018 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccnn1C1CCC1 ZINC000925672516 1043450312 /nfs/dbraw/zinc/45/03/12/1043450312.db2.gz VIAYNEOZQXXHJG-FZKQIMNGSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCCOc2ccc(F)cc2)s1 ZINC000521656944 1043451606 /nfs/dbraw/zinc/45/16/06/1043451606.db2.gz DYECUFIIPVYGIF-CYBMUJFWSA-N 0 3 322.449 4.361 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(CO)cc2)c2ccccc2S1 ZINC000872081096 1043453940 /nfs/dbraw/zinc/45/39/40/1043453940.db2.gz GCSYJAGYXCIMAU-QGZVFWFLSA-N 0 3 313.466 4.284 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000925685426 1043454581 /nfs/dbraw/zinc/45/45/81/1043454581.db2.gz MWLWKUMMPBFGEW-LSDHHAIUSA-N 0 3 312.457 4.283 20 0 DIADHN Fc1cccc2c(CN3CCC[C@@H](Oc4ccccc4)C3)c[nH]c21 ZINC001137056312 1043458731 /nfs/dbraw/zinc/45/87/31/1043458731.db2.gz PKGIEVMAKZRSLR-QGZVFWFLSA-N 0 3 324.399 4.350 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3oc(=O)[nH]c3c2)c(C)s1 ZINC000872122070 1043467368 /nfs/dbraw/zinc/46/73/68/1043467368.db2.gz BCNHFGDMRXRVEH-SNVBAGLBSA-N 0 3 302.399 4.063 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCc2cc(N)ccn2)cc1 ZINC000925769918 1043467480 /nfs/dbraw/zinc/46/74/80/1043467480.db2.gz CPXWFWIAUUXMIL-ZDUSSCGKSA-N 0 3 301.459 4.015 20 0 DIADHN Cc1c([C@H](C)NCc2cccc3ncccc23)cnn1C(C)(C)C ZINC000872124040 1043468543 /nfs/dbraw/zinc/46/85/43/1043468543.db2.gz AKDCPMUYBLQDTJ-AWEZNQCLSA-N 0 3 322.456 4.346 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(C(F)(F)F)nc1)c1cccc(CO)c1 ZINC000872130721 1043473016 /nfs/dbraw/zinc/47/30/16/1043473016.db2.gz LDHYTNWEDAFJHH-NEPJUHHUSA-N 0 3 324.346 4.005 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccs1 ZINC000558255447 1043474089 /nfs/dbraw/zinc/47/40/89/1043474089.db2.gz GQKVCKVMQAXEPD-CVEARBPZSA-N 0 3 306.450 4.231 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccs1 ZINC000558255452 1043474364 /nfs/dbraw/zinc/47/43/64/1043474364.db2.gz GQKVCKVMQAXEPD-JKSUJKDBSA-N 0 3 306.450 4.231 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@H](C)CCc2ccccc2)cn1 ZINC000443067002 1043474798 /nfs/dbraw/zinc/47/47/98/1043474798.db2.gz KRBOLNBELYYYIT-QGZVFWFLSA-N 0 3 311.473 4.301 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H]2C[C@@H]2C(F)F)cc1OC ZINC000558258367 1043476308 /nfs/dbraw/zinc/47/63/08/1043476308.db2.gz QHSSAZAMMNMCGF-UBHSHLNASA-N 0 3 313.388 4.178 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2)no1)N1[C@H](C)CC[C@@H]1C ZINC000443084664 1043480502 /nfs/dbraw/zinc/48/05/02/1043480502.db2.gz HJUMTCXIUPQMSY-TUAOUCFPSA-N 0 3 305.809 4.324 20 0 DIADHN C1=CC[C@@H](CN2CCCC[C@@H]2c2nnc(C3CCC3)o2)CC1 ZINC000348904001 1043480896 /nfs/dbraw/zinc/48/08/96/1043480896.db2.gz JHUKBAZURGUPJQ-GDBMZVCRSA-N 0 3 301.434 4.220 20 0 DIADHN c1ccc(-c2cccc(CN3CCC[C@@H]3c3cccnc3)c2)nc1 ZINC000348920491 1043481477 /nfs/dbraw/zinc/48/14/77/1043481477.db2.gz KGUGBDBSLWLLLJ-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN FCc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCO3)cc1 ZINC000777579180 1043484686 /nfs/dbraw/zinc/48/46/86/1043484686.db2.gz SKRSDADWGJUDHL-QGZVFWFLSA-N 0 3 313.372 4.222 20 0 DIADHN COC(=O)c1ccc(CN(Cc2cccc(C)c2)CC(C)C)o1 ZINC000521822590 1043487131 /nfs/dbraw/zinc/48/71/31/1043487131.db2.gz XGPJXLARHOWDJL-UHFFFAOYSA-N 0 3 315.413 4.033 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N3[C@H](C)CC[C@@H]3C)n2)ccc1F ZINC000443101435 1043487586 /nfs/dbraw/zinc/48/75/86/1043487586.db2.gz ZJPNPIPLTWXRAJ-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N(C)Cc1ccccc1 ZINC000521822958 1043487804 /nfs/dbraw/zinc/48/78/04/1043487804.db2.gz FOIQNLQPBQBEBX-HXUWFJFHSA-N 0 3 324.468 4.118 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@H](OC3CCC3)CC2)s1 ZINC000872262272 1043488259 /nfs/dbraw/zinc/48/82/59/1043488259.db2.gz WNHJHSFPARSWFM-HNNXBMFYSA-N 0 3 308.491 4.190 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cnc(C(C)C)s1 ZINC000349036941 1043489087 /nfs/dbraw/zinc/48/90/87/1043489087.db2.gz PXRGTJZAZLVPCH-UHFFFAOYSA-N 0 3 303.475 4.116 20 0 DIADHN CC1(C)CCC2(CCN(Cc3c[nH]c4c3cccc4F)CC2)O1 ZINC001137058728 1043491960 /nfs/dbraw/zinc/49/19/60/1043491960.db2.gz BIFIHXHOUMMEMY-UHFFFAOYSA-N 0 3 316.420 4.231 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2csc(C(F)(F)F)c2)o1 ZINC000925121976 1043492546 /nfs/dbraw/zinc/49/25/46/1043492546.db2.gz DFWMKZWUSMFVHO-KOLCDFICSA-N 0 3 319.348 4.053 20 0 DIADHN COc1ccccc1CN1CCCCC[C@@H]1c1c(C)n[nH]c1C ZINC000349150736 1043492861 /nfs/dbraw/zinc/49/28/61/1043492861.db2.gz MWBXPAVAGZSRAE-QGZVFWFLSA-N 0 3 313.445 4.152 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1c[nH]c3c1cccc3F)CC2 ZINC001137059184 1043494501 /nfs/dbraw/zinc/49/45/01/1043494501.db2.gz PPFAPHYBZMXCKU-UHFFFAOYSA-N 0 3 314.404 4.032 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC[C@H](F)C4)CC3)c[nH]c21 ZINC001137058986 1043494515 /nfs/dbraw/zinc/49/45/15/1043494515.db2.gz IJDHPDJKIHYGAO-AWEZNQCLSA-N 0 3 304.384 4.411 20 0 DIADHN CC(C)N(Cc1cccnc1)Cc1ccc2c(c1)CC(C)(C)O2 ZINC000349235223 1043496464 /nfs/dbraw/zinc/49/64/64/1043496464.db2.gz BKZWMYSUPIRSGO-UHFFFAOYSA-N 0 3 310.441 4.206 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2ccc3ccoc3c2)c1 ZINC000443159345 1043499640 /nfs/dbraw/zinc/49/96/40/1043499640.db2.gz KQYJDEMHNMKBNY-UHFFFAOYSA-N 0 3 309.369 4.138 20 0 DIADHN Cc1cc(CN(Cc2cccnc2)C(C)C)cc2cccnc12 ZINC000349254536 1043500323 /nfs/dbraw/zinc/50/03/23/1043500323.db2.gz YVRFJROQIITZHU-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN CC[C@H]1CN(CCC2CCCCCC2)CCN1CC(F)F ZINC000558400417 1043501528 /nfs/dbraw/zinc/50/15/28/1043501528.db2.gz RIDHQRZYOIUMNB-INIZCTEOSA-N 0 3 302.453 4.008 20 0 DIADHN CCCc1cccc(CN(CCCOC)Cc2ccncc2)c1 ZINC000349316096 1043502523 /nfs/dbraw/zinc/50/25/23/1043502523.db2.gz MVGRQGSFPAOPFX-UHFFFAOYSA-N 0 3 312.457 4.073 20 0 DIADHN COCCCN(Cc1c(C)oc2ccccc21)Cc1ccncc1 ZINC000349301558 1043502652 /nfs/dbraw/zinc/50/26/52/1043502652.db2.gz VKRSKZPSBSAQQU-UHFFFAOYSA-N 0 3 324.424 4.175 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ccc(Cl)cn2)cc1 ZINC000558408412 1043503209 /nfs/dbraw/zinc/50/32/09/1043503209.db2.gz JEEKKRPKDLASHN-QGZVFWFLSA-N 0 3 304.796 4.081 20 0 DIADHN O=C(c1ccccc1)C1CCN(c2ccnc3ccccc32)CC1 ZINC000521919266 1043503888 /nfs/dbraw/zinc/50/38/88/1043503888.db2.gz VSNJCKBNZZATPK-UHFFFAOYSA-N 0 3 316.404 4.334 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](C4CC4)C3)o2)cc1C ZINC000367027796 1043505184 /nfs/dbraw/zinc/50/51/84/1043505184.db2.gz HBEDPEFFBIMNAV-RHSMWYFYSA-N 0 3 311.429 4.146 20 0 DIADHN Cc1nccn1CCCN(C)Cc1c(Cl)oc2ccccc21 ZINC000349384416 1043506053 /nfs/dbraw/zinc/50/60/53/1043506053.db2.gz NYQPVLPPWFYHFB-UHFFFAOYSA-N 0 3 317.820 4.113 20 0 DIADHN Cc1cc(F)ccc1-c1noc([C@@H](C)N2[C@H](C)CC[C@@H]2C)n1 ZINC000443213914 1043509329 /nfs/dbraw/zinc/50/93/29/1043509329.db2.gz SBSYXWMMOYLUST-FRRDWIJNSA-N 0 3 303.381 4.118 20 0 DIADHN Cc1cnc(C2CCN(Cc3cc(C)c(O)c(C)c3)CC2)s1 ZINC000348414178 1043509995 /nfs/dbraw/zinc/50/99/95/1043509995.db2.gz VBARSDAGHVBYTM-UHFFFAOYSA-N 0 3 316.470 4.154 20 0 DIADHN CO[C@@H](C)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000443213664 1043510118 /nfs/dbraw/zinc/51/01/18/1043510118.db2.gz RNDWPLXQTQVNRW-YVEFUNNKSA-N 0 3 307.796 4.193 20 0 DIADHN CCSc1cccc(CN[C@H](CC)c2c(C)nn(C)c2C)c1 ZINC000349596123 1043512756 /nfs/dbraw/zinc/51/27/56/1043512756.db2.gz FNKTXJCMEIFDDY-QGZVFWFLSA-N 0 3 317.502 4.390 20 0 DIADHN c1ccc([C@H](NCc2cccc3ncccc23)[C@H]2CCCO2)cc1 ZINC000873028401 1043513555 /nfs/dbraw/zinc/51/35/55/1043513555.db2.gz LRIPWYUZTUDQDM-RTWAWAEBSA-N 0 3 318.420 4.245 20 0 DIADHN COCC[C@H](NCc1cccc2ncccc12)c1ccc(C)o1 ZINC000873102531 1043516973 /nfs/dbraw/zinc/51/69/73/1043516973.db2.gz MJZLRKJFHDYLPO-SFHVURJKSA-N 0 3 310.397 4.004 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1cccc2ncccc12 ZINC000873130721 1043517019 /nfs/dbraw/zinc/51/70/19/1043517019.db2.gz INMLKXTYFDKLLK-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CCC2(CCOCC2)CC1 ZINC000367033078 1043517393 /nfs/dbraw/zinc/51/73/93/1043517393.db2.gz HHVGRYZLRQCNMA-UHFFFAOYSA-N 0 3 320.502 4.193 20 0 DIADHN Cc1ccsc1CN[C@H](C)c1ccc(C(F)(F)F)nc1 ZINC000873185414 1043524829 /nfs/dbraw/zinc/52/48/29/1043524829.db2.gz USOGMOLRPGUXFI-SNVBAGLBSA-N 0 3 300.349 4.321 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2occc2C)CC1 ZINC000522035841 1043526572 /nfs/dbraw/zinc/52/65/72/1043526572.db2.gz GPHFBJVVLNVLAQ-UHFFFAOYSA-N 0 3 323.440 4.337 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1ccc(C(F)(F)F)nc1 ZINC000873186662 1043527699 /nfs/dbraw/zinc/52/76/99/1043527699.db2.gz USOGMOLRPGUXFI-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)Cc3cccc4ccccc43)cc12 ZINC000349852116 1043528479 /nfs/dbraw/zinc/52/84/79/1043528479.db2.gz AMUDDDBJALYONP-UHFFFAOYSA-N 0 3 316.408 4.052 20 0 DIADHN FCc1ccc(CN2CCC(Oc3ccc(F)cc3)CC2)cc1 ZINC000777587197 1043529123 /nfs/dbraw/zinc/52/91/23/1043529123.db2.gz VMMVNEHOCNAYEE-UHFFFAOYSA-N 0 3 317.379 4.339 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2cc(N)cc(F)c2)cc1 ZINC000873288057 1043531201 /nfs/dbraw/zinc/53/12/01/1043531201.db2.gz SYQUYCXEWZNMSH-CYBMUJFWSA-N 0 3 302.393 4.048 20 0 DIADHN CC(C)[C@@H](N)C(=O)Nc1ccc(Oc2ccccc2Cl)cc1 ZINC000349944326 1043532189 /nfs/dbraw/zinc/53/21/89/1043532189.db2.gz LJOHWWDBXQARHB-MRXNPFEDSA-N 0 3 318.804 4.054 20 0 DIADHN CCc1ccc(CN[C@@H]2C[C@H](OCc3ccccc3)C2(C)C)nc1 ZINC000717975840 1043534690 /nfs/dbraw/zinc/53/46/90/1043534690.db2.gz SCTISINBEDZIMU-UXHICEINSA-N 0 3 324.468 4.118 20 0 DIADHN CCOc1ccccc1[C@H](C)NCCn1c(C)nc2ccccc21 ZINC000323813286 1043535798 /nfs/dbraw/zinc/53/57/98/1043535798.db2.gz BLBCZNPLGCBYOO-HNNXBMFYSA-N 0 3 323.440 4.094 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1CNC(=O)c1cccc(CN(C)C)c1 ZINC001299373588 1043536016 /nfs/dbraw/zinc/53/60/16/1043536016.db2.gz LBKUPEAQRYGAIK-MJGOQNOKSA-N 0 3 316.489 4.085 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2sc(C)nc2C)cc1 ZINC000350011952 1043536699 /nfs/dbraw/zinc/53/66/99/1043536699.db2.gz ADZOTMDHWNIJKB-QGZVFWFLSA-N 0 3 316.470 4.496 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2ccc(Cl)cc2)o1 ZINC000350050695 1043537577 /nfs/dbraw/zinc/53/75/77/1043537577.db2.gz DZRAOMCHACHIML-UHFFFAOYSA-N 0 3 315.804 4.264 20 0 DIADHN Cc1cnn(CCCCCN(C)Cc2ccccc2Cl)c1 ZINC000522098031 1043538563 /nfs/dbraw/zinc/53/85/63/1043538563.db2.gz JZJFQBJAJAPIAL-UHFFFAOYSA-N 0 3 305.853 4.147 20 0 DIADHN CCC[C@H](NC[C@@]1(C)CCO[C@H]1C1CC1)c1ncccc1Cl ZINC000926196124 1043544861 /nfs/dbraw/zinc/54/48/61/1043544861.db2.gz HNRNZZJPVYVPKI-RYQLBKOJSA-N 0 3 322.880 4.371 20 0 DIADHN CCc1nocc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000350440937 1043545711 /nfs/dbraw/zinc/54/57/11/1043545711.db2.gz VCMKHDCTVOGAIZ-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccncc2)ccc1OC(C)C ZINC000350515616 1043547997 /nfs/dbraw/zinc/54/79/97/1043547997.db2.gz OJLBBVXZJMDBAJ-CABCVRRESA-N 0 3 314.429 4.289 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(C)C[C@H]1CCCCO1 ZINC000443419880 1043548733 /nfs/dbraw/zinc/54/87/33/1043548733.db2.gz SYSJMCGFDGVMFH-OAHLLOKOSA-N 0 3 301.352 4.015 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnn(CC(F)F)c2)cc1C ZINC000350629969 1043549244 /nfs/dbraw/zinc/54/92/44/1043549244.db2.gz VBRFIPRKDDTDCG-QGZVFWFLSA-N 0 3 319.399 4.102 20 0 DIADHN Cc1ccncc1CN[C@@H](Cc1ccccc1)c1ccccc1 ZINC000323833958 1043551566 /nfs/dbraw/zinc/55/15/66/1043551566.db2.gz MSGKKNXYGNAJLP-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1cnn(C2CCC2)c1 ZINC000926236849 1043553068 /nfs/dbraw/zinc/55/30/68/1043553068.db2.gz QATXDYIRCXTWPV-RISCZKNCSA-N 0 3 303.372 4.236 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1ccnn1C1CCC1 ZINC000926238648 1043553180 /nfs/dbraw/zinc/55/31/80/1043553180.db2.gz XSDPVHXMBBMRRP-DGCLKSJQSA-N 0 3 303.372 4.236 20 0 DIADHN Cc1cc(F)cc(CN2CCC(n3ncc4ccccc43)CC2)c1 ZINC001143589587 1043554455 /nfs/dbraw/zinc/55/44/55/1043554455.db2.gz CTTBVQABQKUAOK-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN Fc1ccc(C2CC(NCc3cnc(Cl)s3)C2)c(F)c1 ZINC000895193932 1043557956 /nfs/dbraw/zinc/55/79/56/1043557956.db2.gz WOISTJPRJQTZCA-UHFFFAOYSA-N 0 3 314.788 4.111 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCC[C@H](c3ccccc3)C2)nn1 ZINC000926279872 1043558249 /nfs/dbraw/zinc/55/82/49/1043558249.db2.gz XYWGQBRJPPXRTP-SZMVWBNQSA-N 0 3 312.461 4.236 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CC2CCC(F)(F)CC2)c1 ZINC000626282135 1043560424 /nfs/dbraw/zinc/56/04/24/1043560424.db2.gz KJNJGHLODJCYMT-UHFFFAOYSA-N 0 3 324.415 4.211 20 0 DIADHN FC1(CN2CCC(c3ccc(Br)cc3)CC2)CC1 ZINC000558623378 1043560719 /nfs/dbraw/zinc/56/07/19/1043560719.db2.gz ZMRCFENDJKDIGG-UHFFFAOYSA-N 0 3 312.226 4.131 20 0 DIADHN O=c1cc[nH]c2cc(CNCc3ccc(C4CCC4)cc3)ccc12 ZINC000895205499 1043561928 /nfs/dbraw/zinc/56/19/28/1043561928.db2.gz QYXZUUYIASFGNN-UHFFFAOYSA-N 0 3 318.420 4.085 20 0 DIADHN COCCCC[C@H](N[C@H](C)c1ccns1)c1ccccc1 ZINC000926330259 1043563777 /nfs/dbraw/zinc/56/37/77/1043563777.db2.gz JWLQQPKBLWICNZ-ZBFHGGJFSA-N 0 3 304.459 4.352 20 0 DIADHN Clc1ccc([C@H](NC[C@H]2CCSC2)c2ccccn2)cc1 ZINC000926324167 1043564030 /nfs/dbraw/zinc/56/40/30/1043564030.db2.gz SLXHRRMXXFOHFB-DYVFJYSZSA-N 0 3 318.873 4.167 20 0 DIADHN COCCCC[C@@H](N[C@@H](C)c1ccns1)c1ccccc1 ZINC000926330257 1043564212 /nfs/dbraw/zinc/56/42/12/1043564212.db2.gz JWLQQPKBLWICNZ-GOEBONIOSA-N 0 3 304.459 4.352 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000926331139 1043564383 /nfs/dbraw/zinc/56/43/83/1043564383.db2.gz FMJLXGSBPQPKTK-OIISXLGYSA-N 0 3 314.404 4.425 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ccc(F)cc21)c1cnn(C2CCC2)c1 ZINC000926336098 1043565658 /nfs/dbraw/zinc/56/56/58/1043565658.db2.gz XHZDDNFKEBFDMZ-YJYMSZOUSA-N 0 3 313.420 4.475 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ccc(F)cc21)c1cnn(C2CCC2)c1 ZINC000926336092 1043566151 /nfs/dbraw/zinc/56/61/51/1043566151.db2.gz XHZDDNFKEBFDMZ-BFUOFWGJSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H]1c2cc(F)ccc2C[C@@H]1C ZINC000474074075 1043567788 /nfs/dbraw/zinc/56/77/88/1043567788.db2.gz JUQWCHGDVVRMQX-MJXNMMHHSA-N 0 3 311.400 4.481 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1Cl ZINC000124810255 1043570334 /nfs/dbraw/zinc/57/03/34/1043570334.db2.gz RDDBTKGXLRJVHA-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000926416836 1043573854 /nfs/dbraw/zinc/57/38/54/1043573854.db2.gz RXRFVFBKNBSSSM-CQSZACIVSA-N 0 3 315.486 4.322 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc2oc3ccccc3c2c1 ZINC000522214033 1043578420 /nfs/dbraw/zinc/57/84/20/1043578420.db2.gz IJSNYYHYOVHMSE-QGZVFWFLSA-N 0 3 322.408 4.399 20 0 DIADHN CC(C)(C)OC(=O)C[C@H]1CCCN(Cc2ccc(CF)cc2)C1 ZINC000777598892 1043578882 /nfs/dbraw/zinc/57/88/82/1043578882.db2.gz HMXFJXAXNQFDMB-QGZVFWFLSA-N 0 3 321.436 4.100 20 0 DIADHN COCc1ccc(CN(C)Cc2ccc(OC)c(Cl)c2)cc1 ZINC001307852756 1043581659 /nfs/dbraw/zinc/58/16/59/1043581659.db2.gz ZAEQIRPHCGHRIV-UHFFFAOYSA-N 0 3 319.832 4.127 20 0 DIADHN CSC[C@@H]1CCCN1Cc1ccc(Sc2ccccn2)o1 ZINC000428282549 1043585829 /nfs/dbraw/zinc/58/58/29/1043585829.db2.gz QDUMQUIRBASBKH-ZDUSSCGKSA-N 0 3 320.483 4.153 20 0 DIADHN C[C@@H](NCc1ccc(C[S@@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000353121311 1043586034 /nfs/dbraw/zinc/58/60/34/1043586034.db2.gz DFUQBFSVUOKASE-LRTDBIEQSA-N 0 3 321.873 4.069 20 0 DIADHN Cc1cc(C)cc([C@@H](NCCC(C)(F)F)c2cccnc2)c1 ZINC000926495728 1043586919 /nfs/dbraw/zinc/58/69/19/1043586919.db2.gz USVMNYHGTMQTHN-KRWDZBQOSA-N 0 3 304.384 4.423 20 0 DIADHN Cc1cc2cc(CNCc3c[nH]nc3-c3ccc(C)o3)ccc2[nH]1 ZINC000353128191 1043588893 /nfs/dbraw/zinc/58/88/93/1043588893.db2.gz RAUSLXINKXBYCZ-UHFFFAOYSA-N 0 3 320.396 4.058 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)c1ccc3n[nH]cc3c1)CCC2 ZINC000353971696 1043592795 /nfs/dbraw/zinc/59/27/95/1043592795.db2.gz LPIKFNCHGXDCOM-ZUZCIYMTSA-N 0 3 312.442 4.056 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H](C)c1ccc3n[nH]cc3c1)CCC2 ZINC000353971694 1043593438 /nfs/dbraw/zinc/59/34/38/1043593438.db2.gz LPIKFNCHGXDCOM-BONVTDFDSA-N 0 3 312.442 4.056 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1cnn(C2CCC2)c1 ZINC000926540701 1043596546 /nfs/dbraw/zinc/59/65/46/1043596546.db2.gz ODBNFXKJOLQATB-DNVCBOLYSA-N 0 3 324.472 4.230 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1cnn(C2CCC2)c1 ZINC000926540700 1043596862 /nfs/dbraw/zinc/59/68/62/1043596862.db2.gz ODBNFXKJOLQATB-BEFAXECRSA-N 0 3 324.472 4.230 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2cc3ccccc3c(OC)c2)cn1 ZINC000926544015 1043597029 /nfs/dbraw/zinc/59/70/29/1043597029.db2.gz UBQFWYJQRCYELZ-GJZGRUSLSA-N 0 3 323.440 4.477 20 0 DIADHN COc1ccc(CN[C@H]2CCSc3c(F)cccc32)c(C)c1 ZINC000537964528 1043600801 /nfs/dbraw/zinc/60/08/01/1043600801.db2.gz UEEDLFFZJWDUOT-KRWDZBQOSA-N 0 3 317.429 4.469 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC001141747148 1043602927 /nfs/dbraw/zinc/60/29/27/1043602927.db2.gz NLEAFCPVABMRQG-INIZCTEOSA-N 0 3 302.462 4.037 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccco1)c1ccc(Cl)cc1Cl ZINC000125215564 1043607859 /nfs/dbraw/zinc/60/78/59/1043607859.db2.gz MMHVBZVXWSZALQ-KBPBESRZSA-N 0 3 314.212 4.361 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@H]2CCCc3c2cnn3C)c1 ZINC000353462234 1043608013 /nfs/dbraw/zinc/60/80/13/1043608013.db2.gz OCOXENXSGVLOPX-KXBFYZLASA-N 0 3 311.473 4.347 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccco1)c1ccc(Cl)cc1Cl ZINC000125216040 1043608052 /nfs/dbraw/zinc/60/80/52/1043608052.db2.gz MMHVBZVXWSZALQ-UONOGXRCSA-N 0 3 314.212 4.361 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H]2CCCc3ccccc32)c1 ZINC000353454585 1043609205 /nfs/dbraw/zinc/60/92/05/1043609205.db2.gz KRRIDHVPXRIIER-MLGOLLRUSA-N 0 3 315.438 4.263 20 0 DIADHN Cc1cccc([C@@H](NC[C@H](C)CC(F)F)c2ccccn2)c1 ZINC000926626657 1043609615 /nfs/dbraw/zinc/60/96/15/1043609615.db2.gz IRHFMWHPWQPGFR-RDTXWAMCSA-N 0 3 304.384 4.360 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2ccc3nccnc3c2)cc1 ZINC001137084471 1043611687 /nfs/dbraw/zinc/61/16/87/1043611687.db2.gz OKPAEANVBFZYSO-IBGZPJMESA-N 0 3 307.372 4.106 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCCc1ccc(C)nc1 ZINC000474107031 1043612207 /nfs/dbraw/zinc/61/22/07/1043612207.db2.gz PVNHSORKQWGDLX-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000558831632 1043612593 /nfs/dbraw/zinc/61/25/93/1043612593.db2.gz OMAJLXIPNJTQNH-UGSOOPFHSA-N 0 3 305.368 4.345 20 0 DIADHN COC[C@@H](NC[C@H](OC)c1ccccc1)c1ccc(Cl)cc1 ZINC000558828695 1043613096 /nfs/dbraw/zinc/61/30/96/1043613096.db2.gz IYXWITPZOBJANN-MSOLQXFVSA-N 0 3 319.832 4.005 20 0 DIADHN COc1c(C)cccc1CN[C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000926666342 1043614594 /nfs/dbraw/zinc/61/45/94/1043614594.db2.gz SQNNTYJJZBHNHB-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN CC(C)CC[C@@H](NCCC(=O)Nc1ccccc1)c1ccoc1 ZINC000353541492 1043615140 /nfs/dbraw/zinc/61/51/40/1043615140.db2.gz IJEPLXJXRCZRMP-GOSISDBHSA-N 0 3 314.429 4.375 20 0 DIADHN C[C@H](NCCCOCCc1ccccc1)c1cccnc1Cl ZINC000125303103 1043615076 /nfs/dbraw/zinc/61/50/76/1043615076.db2.gz TXQWNMSCJXPFGT-HNNXBMFYSA-N 0 3 318.848 4.035 20 0 DIADHN CCC[C@@H](NC[C@@H](C)c1ccccn1)c1ncccc1Cl ZINC000926676850 1043615726 /nfs/dbraw/zinc/61/57/26/1043615726.db2.gz MXAYVIQXYHIPBR-CZUORRHYSA-N 0 3 303.837 4.365 20 0 DIADHN CCC[C@H](NCCc1cnccc1C)c1ncccc1Cl ZINC000926690709 1043616442 /nfs/dbraw/zinc/61/64/42/1043616442.db2.gz UGLPITIKWOYRFJ-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN Cc1nc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)c(C)s1 ZINC000443611804 1043617758 /nfs/dbraw/zinc/61/77/58/1043617758.db2.gz BOCXERAFQZXPTD-GUYCJALGSA-N 0 3 300.471 4.213 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2N1CC[C@@]2(CCOC2)C1 ZINC000443616373 1043617833 /nfs/dbraw/zinc/61/78/33/1043617833.db2.gz RETDJKHRUVSIJO-JKSUJKDBSA-N 0 3 312.240 4.093 20 0 DIADHN Cc1ccc([C@@H](NCCCOC(C)(C)C)c2cccnc2)cc1 ZINC000926696462 1043618997 /nfs/dbraw/zinc/61/89/97/1043618997.db2.gz LIIPBIFOBQZBLI-LJQANCHMSA-N 0 3 312.457 4.274 20 0 DIADHN CC[C@@H](NCc1ccnc(OC(F)F)c1)c1cccc(C)c1 ZINC000353593379 1043621443 /nfs/dbraw/zinc/62/14/43/1043621443.db2.gz KTXDCGBZERYTOB-OAHLLOKOSA-N 0 3 306.356 4.232 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000443620919 1043622923 /nfs/dbraw/zinc/62/29/23/1043622923.db2.gz UJPZOMWGSLSEGM-WCQYABFASA-N 0 3 306.356 4.240 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1Cc1ccc2nccnc2c1 ZINC001137088921 1043624449 /nfs/dbraw/zinc/62/44/49/1043624449.db2.gz SHGDLFMBCNCZHF-IBGZPJMESA-N 0 3 307.372 4.106 20 0 DIADHN FC1(F)C[C@@H]1CCN[C@@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926735695 1043624901 /nfs/dbraw/zinc/62/49/01/1043624901.db2.gz GWFFKBMOXUBENL-BBRMVZONSA-N 0 3 322.786 4.459 20 0 DIADHN COc1cc([C@H](C)NCc2cccnc2C)cc2ccccc21 ZINC000926759670 1043626240 /nfs/dbraw/zinc/62/62/40/1043626240.db2.gz DPBHCTDLMPNDPH-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC1(C)CC[C@@H](NCc2ccc3cc[nH]c3n2)c2ccccc21 ZINC000353640393 1043627279 /nfs/dbraw/zinc/62/72/79/1043627279.db2.gz GOXRFKSHKWHOPO-GOSISDBHSA-N 0 3 305.425 4.465 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NC1CCC(OC(C)(C)C)CC1 ZINC000926783813 1043632216 /nfs/dbraw/zinc/63/22/16/1043632216.db2.gz AOIWKSIJILOUEV-YMAMQOFZSA-N 0 3 306.450 4.076 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CCC[C@@H]2C2CCC2)co1 ZINC000443635972 1043632693 /nfs/dbraw/zinc/63/26/93/1043632693.db2.gz AMRZIQIFBBIKKO-MRXNPFEDSA-N 0 3 318.367 4.384 20 0 DIADHN CC[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cccc(OC)n1 ZINC000926811087 1043638178 /nfs/dbraw/zinc/63/81/78/1043638178.db2.gz WGIUSEJMAVEWJU-JHJVBQTASA-N 0 3 314.351 4.028 20 0 DIADHN COC[C@H]1C[C@@H](N[C@H](c2ccc(C(C)C)cc2)c2cccnc2)C1 ZINC000926852909 1043642272 /nfs/dbraw/zinc/64/22/72/1043642272.db2.gz VSJSPRLZVNBHHD-TYCQWZJGSA-N 0 3 324.468 4.309 20 0 DIADHN CO[C@H](C)CN(Cc1ccc(-c2ccncc2)cc1F)C(C)C ZINC000353781680 1043643468 /nfs/dbraw/zinc/64/34/68/1043643468.db2.gz QOCSMGJAQFDKFK-OAHLLOKOSA-N 0 3 316.420 4.133 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2CCC3(CCC3)O2)c2cccnc2)cc1 ZINC000926858774 1043643663 /nfs/dbraw/zinc/64/36/63/1043643663.db2.gz CATCFUTWKGSYIY-WOJBJXKFSA-N 0 3 322.452 4.171 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2sc(C)nc2C)cc1C ZINC000926903452 1043653021 /nfs/dbraw/zinc/65/30/21/1043653021.db2.gz LBWUZAVWGJBAKB-NWDGAFQWSA-N 0 3 304.459 4.489 20 0 DIADHN CC1(C)CC[C@@H](NCc2cnn3ccccc23)c2ccccc21 ZINC000353871152 1043653247 /nfs/dbraw/zinc/65/32/47/1043653247.db2.gz TWDJNMLXSOOEEI-GOSISDBHSA-N 0 3 305.425 4.237 20 0 DIADHN COc1ccc2ccccc2c1CCN[C@H](C)c1nccs1 ZINC000926910962 1043653742 /nfs/dbraw/zinc/65/37/42/1043653742.db2.gz KDPGONQNRZDNLP-CYBMUJFWSA-N 0 3 312.438 4.198 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@]2(CC=CCC2)C1 ZINC000353901046 1043655320 /nfs/dbraw/zinc/65/53/20/1043655320.db2.gz PLVQEPHJSGAOMX-FUHWJXTLSA-N 0 3 318.486 4.317 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc(C(F)F)nc1 ZINC000926921030 1043655604 /nfs/dbraw/zinc/65/56/04/1043655604.db2.gz UJJMTSXUGWMEQT-RYUDHWBXSA-N 0 3 318.367 4.193 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](c2cccnc2)C1)c1c(F)cncc1F ZINC000353933255 1043663045 /nfs/dbraw/zinc/66/30/45/1043663045.db2.gz MUPCRZMJKPQFRI-GZBFAFLISA-N 0 3 317.383 4.132 20 0 DIADHN CC[C@H](NCc1ncc(C)cc1C)c1ccc2c(c1)CCCO2 ZINC000926958457 1043664708 /nfs/dbraw/zinc/66/47/08/1043664708.db2.gz FXKLKODQUNGLCI-SFHVURJKSA-N 0 3 310.441 4.264 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)s1 ZINC000547333761 1043667610 /nfs/dbraw/zinc/66/76/10/1043667610.db2.gz PSVLJWKCSMUPAT-SNVBAGLBSA-N 0 3 300.349 4.241 20 0 DIADHN CN(Cc1ccc(Br)cc1C(F)(F)F)C1CC1 ZINC000474156580 1043670438 /nfs/dbraw/zinc/67/04/38/1043670438.db2.gz ZOMTXEBSYSISQG-UHFFFAOYSA-N 0 3 308.141 4.062 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000354010917 1043672167 /nfs/dbraw/zinc/67/21/67/1043672167.db2.gz PTLIVTXIXVYYRC-CQSZACIVSA-N 0 3 316.474 4.001 20 0 DIADHN c1ccc([C@@H](C[C@H]2CCOC2)N[C@H]2CCCc3cccnc32)cc1 ZINC000927060869 1043675346 /nfs/dbraw/zinc/67/53/46/1043675346.db2.gz DQZBYMTWVZTTDH-LSTHTHJFSA-N 0 3 322.452 4.217 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cncs2)C2CCC2)cc1 ZINC000927066375 1043678699 /nfs/dbraw/zinc/67/86/99/1043678699.db2.gz MDQPXGMBJOBCBG-YVEFUNNKSA-N 0 3 302.443 4.344 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2ccc(OC)cc2)C2CCC2)cn1 ZINC000927065716 1043679347 /nfs/dbraw/zinc/67/93/47/1043679347.db2.gz HLXVLNVMBXUFRT-AUUYWEPGSA-N 0 3 313.445 4.104 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2CCCc3cccnc32)cc1C ZINC000927064688 1043679768 /nfs/dbraw/zinc/67/97/68/1043679768.db2.gz YAVDUKCJZMCAPM-YJBOKZPZSA-N 0 3 310.441 4.435 20 0 DIADHN C[C@H](N[C@H]1CCCc2cccnc21)c1ccc(C(C)(C)O)cc1 ZINC000927064263 1043679849 /nfs/dbraw/zinc/67/98/49/1043679849.db2.gz RLPKJNGTCQEADC-KSSFIOAISA-N 0 3 310.441 4.037 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](c2ccccc2)C2CCCC2)c1 ZINC000113933173 1043680191 /nfs/dbraw/zinc/68/01/91/1043680191.db2.gz ZBWQZLHMNBGLAB-FQEVSTJZSA-N 0 3 322.452 4.067 20 0 DIADHN CC(C)[C@H](N[C@H]1CCc2c1cccc2Cl)c1cnn(C)c1 ZINC000927069575 1043681001 /nfs/dbraw/zinc/68/10/01/1043681001.db2.gz XLXVBXSGVPMUFL-IRXDYDNUSA-N 0 3 303.837 4.048 20 0 DIADHN CC[C@H](NCc1cnc2onc(C)c2c1)c1cc(C)ccc1C ZINC000895407074 1043681057 /nfs/dbraw/zinc/68/10/57/1043681057.db2.gz YBVDYAJISGOSBX-SFHVURJKSA-N 0 3 309.413 4.389 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccc(Cl)c(F)c1)c1cnn(C)c1 ZINC000927067252 1043681403 /nfs/dbraw/zinc/68/14/03/1043681403.db2.gz DYIBSWDJPUHFTC-MEDUHNTESA-N 0 3 309.816 4.261 20 0 DIADHN Cc1cc(F)cc(CN2CCC(n3cnc4ccccc43)CC2)c1 ZINC001143592896 1043683128 /nfs/dbraw/zinc/68/31/28/1043683128.db2.gz XLVZTZFASUBXDI-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN CCc1ccccc1CNCc1ccc(Br)s1 ZINC000052202932 1043683152 /nfs/dbraw/zinc/68/31/52/1043683152.db2.gz LMWIQCZYDXFJRH-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN CCc1ccc(CN2CCC(c3cccc(OC)c3)CC2)nc1 ZINC000559067068 1043683719 /nfs/dbraw/zinc/68/37/19/1043683719.db2.gz XODYLHRPVIDYFJ-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN CN(Cc1cc2ccccc2o1)Cc1ccc(-n2ccnc2)cc1 ZINC000354116140 1043684521 /nfs/dbraw/zinc/68/45/21/1043684521.db2.gz KEXLRLLTJGMLAW-UHFFFAOYSA-N 0 3 317.392 4.251 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccc(CF)cc1)CCC2 ZINC000777615217 1043684905 /nfs/dbraw/zinc/68/49/05/1043684905.db2.gz CVHODAAFRDKRDB-INIZCTEOSA-N 0 3 304.434 4.430 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1Oc1ccccc1)N(C)CC1CC1 ZINC000053185025 1043687941 /nfs/dbraw/zinc/68/79/41/1043687941.db2.gz KSTMGBMRKTYEOP-HNNXBMFYSA-N 0 3 324.424 4.148 20 0 DIADHN Cc1noc2ncc(CNCc3ccc(C(C)(C)C)s3)cc12 ZINC000895473235 1043690727 /nfs/dbraw/zinc/69/07/27/1043690727.db2.gz ISHJHZQZHSUGFO-UHFFFAOYSA-N 0 3 315.442 4.180 20 0 DIADHN CC[C@@H](CC1CCCCC1)NCc1nc2c(s1)COCC2 ZINC000927115779 1043691288 /nfs/dbraw/zinc/69/12/88/1043691288.db2.gz RCVPFSGXVKILHC-AWEZNQCLSA-N 0 3 308.491 4.054 20 0 DIADHN Cc1cc(CC[NH2+]Cc2cc([O-])cc(Cl)c2)ccc1Cl ZINC001202544948 1043691868 /nfs/dbraw/zinc/69/18/68/1043691868.db2.gz DJWDHABYZTXJCH-UHFFFAOYSA-N 0 3 310.224 4.340 20 0 DIADHN c1ccc([C@H](NCC[C@H]2CCC3(CCC3)O2)c2ccccn2)cc1 ZINC000927129507 1043695428 /nfs/dbraw/zinc/69/54/28/1043695428.db2.gz PRVBKSMZAGNHGL-QUCCMNQESA-N 0 3 322.452 4.252 20 0 DIADHN C[C@H](NC1([C@@H]2CCCCO2)CC1)c1cc2c(ccnc2Cl)o1 ZINC000927158780 1043698880 /nfs/dbraw/zinc/69/88/80/1043698880.db2.gz JKNVIQIXTOVOTJ-NHYWBVRUSA-N 0 3 320.820 4.234 20 0 DIADHN CCCN(Cc1cc2c(cc[nH]c2=O)o1)[C@@H]1CCc2ccccc21 ZINC000876591932 1043700319 /nfs/dbraw/zinc/70/03/19/1043700319.db2.gz CWAGHJXIYQXAQE-GOSISDBHSA-N 0 3 322.408 4.433 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC1([C@@H]2CCCCO2)CC1 ZINC000927161558 1043700829 /nfs/dbraw/zinc/70/08/29/1043700829.db2.gz QFBNAYGASDRAKL-KBPBESRZSA-N 0 3 308.491 4.327 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC1([C@H]2CCCCO2)CC1 ZINC000927161562 1043701462 /nfs/dbraw/zinc/70/14/62/1043701462.db2.gz QFBNAYGASDRAKL-UONOGXRCSA-N 0 3 308.491 4.327 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1ccc(CF)cc1)c1cccs1 ZINC000777617411 1043701948 /nfs/dbraw/zinc/70/19/48/1043701948.db2.gz KLXKMEUHYDWWAM-MRXNPFEDSA-N 0 3 317.429 4.455 20 0 DIADHN Cn1cc(CNCc2ccc(-c3ccccc3)s2)c(C2CC2)n1 ZINC000895561362 1043704800 /nfs/dbraw/zinc/70/48/00/1043704800.db2.gz PBGNDQWWGRJMBZ-UHFFFAOYSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cc3c(cc[nH]c3=O)o2)CC1 ZINC000876691388 1043706900 /nfs/dbraw/zinc/70/69/00/1043706900.db2.gz CUASYYFYEQLAHV-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN CC(C)[C@@]1(CO)CCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000354562145 1043707179 /nfs/dbraw/zinc/70/71/79/1043707179.db2.gz ICAUQDUQLLRBNB-SFHVURJKSA-N 0 3 322.518 4.031 20 0 DIADHN O[C@H](C[C@H]1CCCCCN1Cc1ccns1)c1cccs1 ZINC000876802072 1043711821 /nfs/dbraw/zinc/71/18/21/1043711821.db2.gz AYOINLWPSSFUFO-UKRRQHHQSA-N 0 3 322.499 4.073 20 0 DIADHN C[C@@H](CCN(Cc1cccnc1)C1CC1)OCc1ccccc1 ZINC000354655712 1043713199 /nfs/dbraw/zinc/71/31/99/1043713199.db2.gz ALCYFTJTBLNQNU-KRWDZBQOSA-N 0 3 310.441 4.041 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)(C)C)s2)cc1OC ZINC000126200102 1043717002 /nfs/dbraw/zinc/71/70/02/1043717002.db2.gz GYQJTOSXYGJKDQ-UHFFFAOYSA-N 0 3 319.470 4.353 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1cn3ccnc3s1)CCC2 ZINC000895636662 1043721599 /nfs/dbraw/zinc/72/15/99/1043721599.db2.gz XNPLSDQVTIYOBD-HNNXBMFYSA-N 0 3 317.845 4.216 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N(CCN(C)C)Cc1ccsc1 ZINC001275375432 1043727485 /nfs/dbraw/zinc/72/74/85/1043727485.db2.gz XDRONUYHMMFEKT-QGZVFWFLSA-N 0 3 324.534 4.101 20 0 DIADHN Cn1cc(CN[C@@H]2CCCc3ccc(Cl)cc32)c(C2CC2)n1 ZINC000895671636 1043730335 /nfs/dbraw/zinc/73/03/35/1043730335.db2.gz JGEFDCXBVFFMFZ-QGZVFWFLSA-N 0 3 315.848 4.118 20 0 DIADHN CC(C)[C@@H](NC[C@@H]1CC1(Cl)Cl)c1nc2ccccc2[nH]1 ZINC000876986188 1043730695 /nfs/dbraw/zinc/73/06/95/1043730695.db2.gz ZDXJTBXZPBYCDU-GXFFZTMASA-N 0 3 312.244 4.043 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CCCCc1ccccc1 ZINC000895689319 1043732372 /nfs/dbraw/zinc/73/23/72/1043732372.db2.gz QSVZERWTULJFMQ-UHFFFAOYSA-N 0 3 310.441 4.100 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1cccc(NC(C)=O)c1 ZINC000354977726 1043733952 /nfs/dbraw/zinc/73/39/52/1043733952.db2.gz XCCGFCZLOYIPFV-GWCFXTLKSA-N 0 3 302.340 4.027 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)N[C@H](C)c1csc(CC)n1 ZINC000877046175 1043737937 /nfs/dbraw/zinc/73/79/37/1043737937.db2.gz JVCCJUUMOVVHER-CLUVUEOHSA-N 0 3 318.423 4.079 20 0 DIADHN C[C@H](CCOCc1ccccc1)NCc1nc(C2CC2)cs1 ZINC000877109636 1043744894 /nfs/dbraw/zinc/74/48/94/1043744894.db2.gz FAIBOBDPLUUXLD-CQSZACIVSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2cc(C)ccc2C)cn1 ZINC000126343291 1043745200 /nfs/dbraw/zinc/74/52/00/1043745200.db2.gz OJNWAQMDUDONGB-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)Cc2cccc(N(C)C)c2)s1 ZINC000608497810 1043745383 /nfs/dbraw/zinc/74/53/83/1043745383.db2.gz ANBULDCDGDLCRL-AWEZNQCLSA-N 0 3 317.502 4.273 20 0 DIADHN CC[C@@H](C)N(CC[S@@](=O)c1ccccc1)Cc1ccccc1 ZINC000355274884 1043755147 /nfs/dbraw/zinc/75/51/47/1043755147.db2.gz FMGJADDKLQGSLU-VGOFRKELSA-N 0 3 315.482 4.095 20 0 DIADHN C[C@H](CCN(Cc1ccccn1)C1CC1)OCc1ccccc1 ZINC000355276141 1043755856 /nfs/dbraw/zinc/75/58/56/1043755856.db2.gz BANFWCZNYDXBLG-QGZVFWFLSA-N 0 3 310.441 4.041 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cnc3c(F)cccc3c2)C1 ZINC000877342174 1043756722 /nfs/dbraw/zinc/75/67/22/1043756722.db2.gz KDZSYHPOGAGRDQ-IFXJQAMLSA-N 0 3 321.399 4.352 20 0 DIADHN Brc1ccsc1CN(CC1CC1)CC1CC1 ZINC000070506258 1043757630 /nfs/dbraw/zinc/75/76/30/1043757630.db2.gz UJBRRRVJNUGEKA-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cnc3c(F)cccc3c2)C1 ZINC000877342175 1043758369 /nfs/dbraw/zinc/75/83/69/1043758369.db2.gz KDZSYHPOGAGRDQ-KUHUBIRLSA-N 0 3 321.399 4.352 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cc2c(ccnc2Cl)o1 ZINC000877352924 1043760045 /nfs/dbraw/zinc/76/00/45/1043760045.db2.gz MAXJNOJYXAVEPY-MRVPVSSYSA-N 0 3 306.715 4.302 20 0 DIADHN Cc1nc2ccc(CNCc3ccc4ncccc4c3)cc2s1 ZINC000355298720 1043760504 /nfs/dbraw/zinc/76/05/04/1043760504.db2.gz XIYXROHZACADRA-UHFFFAOYSA-N 0 3 319.433 4.443 20 0 DIADHN COc1ccc(C[C@@H](C)N(C)Cc2cnc(C3CC3)s2)cc1 ZINC001323302093 1043764722 /nfs/dbraw/zinc/76/47/22/1043764722.db2.gz BLKHUKFLOOGMLX-CYBMUJFWSA-N 0 3 316.470 4.092 20 0 DIADHN C[C@@]12CCN(Cc3ccc(C(F)F)nc3)C[C@@H]1C2(Cl)Cl ZINC000877508183 1043767657 /nfs/dbraw/zinc/76/76/57/1043767657.db2.gz WFSIGDIGYCZGOD-WCQYABFASA-N 0 3 321.198 4.035 20 0 DIADHN Nc1c(Cl)cccc1CN[C@H]1CCCc2c(F)cccc21 ZINC000877557380 1043774773 /nfs/dbraw/zinc/77/47/73/1043774773.db2.gz LHESSCZSBSCKPB-INIZCTEOSA-N 0 3 304.796 4.229 20 0 DIADHN c1cnc2c(c1)CC[C@@H]2N[C@@H]1CCc2cccc3cccc1c32 ZINC000895929932 1043782637 /nfs/dbraw/zinc/78/26/37/1043782637.db2.gz ZODPPHOQOKTWOM-MOPGFXCFSA-N 0 3 300.405 4.499 20 0 DIADHN C[C@@H](NCC1OCCO1)c1ccccc1-c1ccc(Cl)cc1 ZINC000777723232 1043783150 /nfs/dbraw/zinc/78/31/50/1043783150.db2.gz ZUNCMIMWWFAFTM-CYBMUJFWSA-N 0 3 317.816 4.031 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777732533 1043785371 /nfs/dbraw/zinc/78/53/71/1043785371.db2.gz YYHAWPKVJNJTGN-ACJLOTCBSA-N 0 3 319.807 4.134 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777736296 1043785999 /nfs/dbraw/zinc/78/59/99/1043785999.db2.gz COKOPFYXRLFFEI-UGSOOPFHSA-N 0 3 319.807 4.134 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777735382 1043786327 /nfs/dbraw/zinc/78/63/27/1043786327.db2.gz JKTINOQGWMGSLZ-SCLBCKFNSA-N 0 3 319.807 4.134 20 0 DIADHN COc1cc(CN[C@H]2CCc3cccc4cccc2c43)sn1 ZINC000895933525 1043786665 /nfs/dbraw/zinc/78/66/65/1043786665.db2.gz DDTRNQRDHUSEDG-INIZCTEOSA-N 0 3 310.422 4.082 20 0 DIADHN COc1cncc(CN[C@@H]2CCc3cccc4cccc2c43)c1 ZINC000895937489 1043787289 /nfs/dbraw/zinc/78/72/89/1043787289.db2.gz XEZBVJVLKGYXPJ-LJQANCHMSA-N 0 3 304.393 4.021 20 0 DIADHN CCC[C@@H](NC(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000355778476 1043787531 /nfs/dbraw/zinc/78/75/31/1043787531.db2.gz WRYQZTBJETXCJU-LJQANCHMSA-N 0 3 310.441 4.019 20 0 DIADHN CC(C)(NCCC1CCOCC1)c1nc2cc(Cl)ccc2o1 ZINC000877667781 1043787731 /nfs/dbraw/zinc/78/77/31/1043787731.db2.gz AULWHHDUBQFRGG-UHFFFAOYSA-N 0 3 322.836 4.123 20 0 DIADHN CC(C)(NC[C@H]1CCSC1)c1nc2cc(Cl)ccc2o1 ZINC000877669132 1043789080 /nfs/dbraw/zinc/78/90/80/1043789080.db2.gz VKHHEIDXCPAEDW-SNVBAGLBSA-N 0 3 310.850 4.059 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@@H]2[C@@H](c3ccccc3)C2(F)F)C1 ZINC000929124907 1043792495 /nfs/dbraw/zinc/79/24/95/1043792495.db2.gz MKDYWDQDDDLBHM-BFHYXJOUSA-N 0 3 319.317 4.310 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@@H]4CSC[C@H]4C3)s2)c1 ZINC000877742280 1043793715 /nfs/dbraw/zinc/79/37/15/1043793715.db2.gz YDXVAIDVWYRRJX-VXGBXAGGSA-N 0 3 322.524 4.057 20 0 DIADHN c1ccc2oc(CN[C@H](Cc3cccnc3)C3CCCC3)nc2c1 ZINC000877760210 1043795545 /nfs/dbraw/zinc/79/55/45/1043795545.db2.gz CYHGXNPMULRAPP-GOSISDBHSA-N 0 3 321.424 4.114 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)C(C)C)c(C)nn1 ZINC000929204725 1043799256 /nfs/dbraw/zinc/79/92/56/1043799256.db2.gz LRCZXUPRCZYKSF-KRWDZBQOSA-N 0 3 303.837 4.234 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1noc2c1CC(C)(C)CC2 ZINC000877810176 1043802077 /nfs/dbraw/zinc/80/20/77/1043802077.db2.gz QCMKITOWNGJABF-LLVKDONJSA-N 0 3 318.383 4.400 20 0 DIADHN COC[C@@H](C)Oc1ccc(CNCc2csc(C)c2C)cc1 ZINC000631205409 1043805110 /nfs/dbraw/zinc/80/51/10/1043805110.db2.gz AKAZTEAXYSDCLJ-CYBMUJFWSA-N 0 3 319.470 4.068 20 0 DIADHN CCc1ncc(CN2CCC(c3c(C)[nH]c4ccccc43)CC2)o1 ZINC000929315944 1043807882 /nfs/dbraw/zinc/80/78/82/1043807882.db2.gz NHKYFAXQBUGXDN-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN FC(F)(F)c1cscc1CN1CC[C@@H](C2CCOCC2)C1 ZINC000356124823 1043814585 /nfs/dbraw/zinc/81/45/85/1043814585.db2.gz QPPSHHJKWYFTKL-GFCCVEGCSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC2(CCC2)[C@@H]1C1CC1 ZINC000356274537 1043827964 /nfs/dbraw/zinc/82/79/64/1043827964.db2.gz FXLHNZYYSJSPME-KRWDZBQOSA-N 0 3 301.478 4.019 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000929621431 1043830263 /nfs/dbraw/zinc/83/02/63/1043830263.db2.gz DDMGJMLAGHPPIV-AAEUAGOBSA-N 0 3 307.850 4.050 20 0 DIADHN COc1ccc(CN2CC3(CCC3)[C@H]2C2CC2)cc1OC(F)F ZINC000356289468 1043830727 /nfs/dbraw/zinc/83/07/27/1043830727.db2.gz GVPVPVNFQWPZBK-MRXNPFEDSA-N 0 3 323.383 4.061 20 0 DIADHN Cc1ccc2cc(CN3CC4(CCC4)[C@H]3[C@H]3CCCO3)ccc2n1 ZINC000356529040 1043847408 /nfs/dbraw/zinc/84/74/08/1043847408.db2.gz PVQXDEGTVOTSAQ-WOJBJXKFSA-N 0 3 322.452 4.077 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CCCCc4ccccc43)[nH]c2c1 ZINC000356614778 1043854046 /nfs/dbraw/zinc/85/40/46/1043854046.db2.gz FCOWMHACXALSOT-KRWDZBQOSA-N 0 3 305.425 4.429 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1nccc2ccccc21 ZINC000289671253 1043861223 /nfs/dbraw/zinc/86/12/23/1043861223.db2.gz JDNLRMCNLDMHIH-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CCC(c2c[nH]c3ncccc23)CC1 ZINC000929982234 1043861434 /nfs/dbraw/zinc/86/14/34/1043861434.db2.gz TUOBPYRKPAOQSW-CYBMUJFWSA-N 0 3 324.403 4.038 20 0 DIADHN CCn1cc(CNC2(c3ccc(Cl)cc3)CCCC2)cn1 ZINC000116348603 1043861473 /nfs/dbraw/zinc/86/14/73/1043861473.db2.gz RDGPKCWIDYGWFN-UHFFFAOYSA-N 0 3 303.837 4.116 20 0 DIADHN C=C/C=C/CCN(Cc1nc2ccccc2c(=O)[nH]1)[C@H](C)CC ZINC000878249132 1043863718 /nfs/dbraw/zinc/86/37/18/1043863718.db2.gz KMBBKUQHMVGXFE-LQYUOIDQSA-N 0 3 311.429 4.068 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2CCCC3(CCC3)O2)sc1C ZINC000930011083 1043865416 /nfs/dbraw/zinc/86/54/16/1043865416.db2.gz ZDIVDEGQIXIOPC-CQSZACIVSA-N 0 3 308.491 4.076 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC[C@H]3c3cccnc3)O2)cc1 ZINC000878310451 1043868291 /nfs/dbraw/zinc/86/82/91/1043868291.db2.gz ZKQLSYPEEWZPRK-UFYCRDLUSA-N 0 3 308.425 4.139 20 0 DIADHN FC(F)(F)[C@@H]1CCN(C[C@@H]2CCC[C@@H](c3ccccc3)O2)C1 ZINC000878315110 1043870457 /nfs/dbraw/zinc/87/04/57/1043870457.db2.gz GHCVJKNKLQMOGT-PMPSAXMXSA-N 0 3 313.363 4.181 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cc(C)sc2C)cc1O ZINC000289727095 1043870704 /nfs/dbraw/zinc/87/07/04/1043870704.db2.gz LNMJBVFYZIQAAR-NWDGAFQWSA-N 0 3 305.443 4.491 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC[C@H]3c3cccnc3)O2)cc1 ZINC000878310449 1043871259 /nfs/dbraw/zinc/87/12/59/1043871259.db2.gz ZKQLSYPEEWZPRK-AABGKKOBSA-N 0 3 308.425 4.139 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC000289763481 1043874172 /nfs/dbraw/zinc/87/41/72/1043874172.db2.gz LTCBDBVFQKCPNE-YWZLYKJASA-N 0 3 321.468 4.328 20 0 DIADHN Cc1nc(Cc2ccccc2)sc1[C@@H](C)NCCOC(C)C ZINC000680470348 1043878169 /nfs/dbraw/zinc/87/81/69/1043878169.db2.gz QVPRZYOJFQNQGN-CQSZACIVSA-N 0 3 318.486 4.118 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](c2ccccc2)O1)c1cncc(F)c1 ZINC000878402609 1043885157 /nfs/dbraw/zinc/88/51/57/1043885157.db2.gz HTWFMYYFTYQERI-KYNGSXCRSA-N 0 3 314.404 4.182 20 0 DIADHN Cc1conc1CN[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F ZINC000878411331 1043886409 /nfs/dbraw/zinc/88/64/09/1043886409.db2.gz HZDCCYNFOBDWGX-NEPJUHHUSA-N 0 3 312.335 4.284 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H]1CCCOC1)c1nc(C(F)(F)F)cs1 ZINC000356872816 1043887245 /nfs/dbraw/zinc/88/72/45/1043887245.db2.gz PDTABCOEEFLJRE-DCAQKATOSA-N 0 3 322.396 4.018 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccccc2CF)cc1OC ZINC000930197459 1043889983 /nfs/dbraw/zinc/88/99/83/1043889983.db2.gz QXBXZRNTCVTTSO-AWEZNQCLSA-N 0 3 317.404 4.333 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCC2)O1)c1nc2ccccc2s1 ZINC000878416480 1043890500 /nfs/dbraw/zinc/89/05/00/1043890500.db2.gz AZBDQOUPMQKZEK-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)NC[C@@H]3CC=CCC3)n2)cc1F ZINC000561134011 1043896924 /nfs/dbraw/zinc/89/69/24/1043896924.db2.gz AASHYGNZGNYZDY-UONOGXRCSA-N 0 3 315.392 4.191 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(OC)c(O)c1)c1ccc(OC)cc1 ZINC000289939675 1043898767 /nfs/dbraw/zinc/89/87/67/1043898767.db2.gz WATRATJYCOQYBS-CXAGYDPISA-N 0 3 315.413 4.211 20 0 DIADHN Fc1ccc(-c2nc(CNCCCC(F)(F)F)cs2)cc1 ZINC000097988714 1043902279 /nfs/dbraw/zinc/90/22/79/1043902279.db2.gz JAVDZHYICJJARP-UHFFFAOYSA-N 0 3 318.339 4.381 20 0 DIADHN Cc1ccccc1CN[C@H](C)c1ccc(Br)nc1 ZINC000289971619 1043903577 /nfs/dbraw/zinc/90/35/77/1043903577.db2.gz XJWKKUDAVYQJHI-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1CCC(C)CC1)c1cccc2ccccc21 ZINC000098069540 1043906240 /nfs/dbraw/zinc/90/62/40/1043906240.db2.gz MCEPKUYQITVFLQ-SJORKVTESA-N 0 3 324.468 4.137 20 0 DIADHN C[C@@H](C1CCC1)N(C)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000357023788 1043908942 /nfs/dbraw/zinc/90/89/42/1043908942.db2.gz MAPPUPKEJVTOJO-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN Cc1c(F)ccc(Cl)c1C(=O)Nc1cccc(CN(C)C)c1 ZINC000474771087 1043912100 /nfs/dbraw/zinc/91/21/00/1043912100.db2.gz AHFGIEGSLVTLKS-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN C[C@H](NCC[S@](=O)C(C)(C)C)c1ccc(Cl)c(Cl)c1 ZINC000127288211 1043912709 /nfs/dbraw/zinc/91/27/09/1043912709.db2.gz UHGVRJQYMQXIRJ-APBUJDDRSA-N 0 3 322.301 4.191 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1c(Cl)cncc1Cl ZINC000878700038 1043913025 /nfs/dbraw/zinc/91/30/25/1043913025.db2.gz LRYUXEGTFFFGDK-LBPRGKRZSA-N 0 3 324.255 4.284 20 0 DIADHN Fc1ccccc1CN[C@H]1c2ccccc2CC12CCOCC2 ZINC000290049049 1043914215 /nfs/dbraw/zinc/91/42/15/1043914215.db2.gz DEUDHZMIWZINIC-IBGZPJMESA-N 0 3 311.400 4.010 20 0 DIADHN FCc1ccccc1CN1CCC(F)(c2ccccn2)CC1 ZINC000930373607 1043916811 /nfs/dbraw/zinc/91/68/11/1043916811.db2.gz MHZBNPDOKFQJQJ-UHFFFAOYSA-N 0 3 302.368 4.012 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1CCC3(CCC3)O1)CC2 ZINC000878797049 1043919947 /nfs/dbraw/zinc/91/99/47/1043919947.db2.gz GSEARDIGEWRFJB-KRWDZBQOSA-N 0 3 324.468 4.228 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1CC3(CCC3)CO1)CC2 ZINC000878796952 1043920591 /nfs/dbraw/zinc/92/05/91/1043920591.db2.gz FCMCGWYTEIYCAG-KRWDZBQOSA-N 0 3 324.468 4.085 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H](C)[C@@H](C)C1CCCCC1 ZINC000474810257 1043922040 /nfs/dbraw/zinc/92/20/40/1043922040.db2.gz RANFOTQVKFYPDO-VYDXJSESSA-N 0 3 318.509 4.431 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@@H]1c2ccccc2CC12CCOCC2 ZINC000290184633 1043926941 /nfs/dbraw/zinc/92/69/41/1043926941.db2.gz NVDLOFNZGBIKEL-AZUAARDMSA-N 0 3 323.436 4.341 20 0 DIADHN C[C@@H](NCc1cnc([C@H](C)O)s1)c1cccc2ccccc21 ZINC000290213615 1043929308 /nfs/dbraw/zinc/92/93/08/1043929308.db2.gz YTFWDEXIOVPSDK-OLZOCXBDSA-N 0 3 312.438 4.200 20 0 DIADHN CC(C)COc1ccc([C@@H](C)N[C@H](CO)c2ccccc2)cc1 ZINC000102888267 1043933457 /nfs/dbraw/zinc/93/34/57/1043933457.db2.gz DXLAWXDOBLZEQN-OXQOHEQNSA-N 0 3 313.441 4.106 20 0 DIADHN c1csc(CCCN[C@H](c2ccccc2)c2ccccn2)c1 ZINC000561358146 1043936076 /nfs/dbraw/zinc/93/60/76/1043936076.db2.gz DTZUWROHZVLCBG-LJQANCHMSA-N 0 3 308.450 4.455 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3c(c2)CCCO3)c(C)n1 ZINC000879009076 1043936398 /nfs/dbraw/zinc/93/63/98/1043936398.db2.gz OLVSYDZKKZWDQO-HNNXBMFYSA-N 0 3 310.441 4.183 20 0 DIADHN CSc1cc(CNCc2cccc(-c3ccncc3)c2)ccn1 ZINC000357343795 1043942815 /nfs/dbraw/zinc/94/28/15/1043942815.db2.gz GIJHQADIJVGMOY-UHFFFAOYSA-N 0 3 321.449 4.155 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCC[C@@H](C)CC2)c(C)c1 ZINC000357391605 1043947005 /nfs/dbraw/zinc/94/70/05/1043947005.db2.gz PMUWWBYTUBWMPO-DYVFJYSZSA-N 0 3 302.462 4.061 20 0 DIADHN CCc1ncc(CN2CCC(c3ccnc4ccccc43)CC2)o1 ZINC000930611253 1043947804 /nfs/dbraw/zinc/94/78/04/1043947804.db2.gz GYKWXXKSGKBMCT-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)[nH]1 ZINC000930612333 1043947928 /nfs/dbraw/zinc/94/79/28/1043947928.db2.gz QZSNPQLEHDYIMO-INIZCTEOSA-N 0 3 307.800 4.060 20 0 DIADHN COCCCCCNC(C)(C)c1nc2cc(Cl)ccc2o1 ZINC000879158573 1043948151 /nfs/dbraw/zinc/94/81/51/1043948151.db2.gz KWDQIOWNKFQFDN-UHFFFAOYSA-N 0 3 310.825 4.123 20 0 DIADHN OCC1([C@H](NCc2ccccc2CF)c2cccs2)CCC1 ZINC000930664914 1043951790 /nfs/dbraw/zinc/95/17/90/1043951790.db2.gz AEBFHYXBEFGJJA-QGZVFWFLSA-N 0 3 319.445 4.211 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1OC(F)F)[C@@H](O)c1ccccc1 ZINC000290481653 1043955920 /nfs/dbraw/zinc/95/59/20/1043955920.db2.gz XKPGJVICIPXCLN-OGHNNQOOSA-N 0 3 321.367 4.061 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cnc(-c2ccncc2)s1 ZINC000561483747 1043957857 /nfs/dbraw/zinc/95/78/57/1043957857.db2.gz KYVSXQJBQNSKGE-GOSISDBHSA-N 0 3 321.449 4.291 20 0 DIADHN CC[C@@H](NCc1ccc(CF)cc1)c1cccc(OC)c1OC ZINC000879465354 1043967958 /nfs/dbraw/zinc/96/79/58/1043967958.db2.gz FQTCIYCFGAYIFO-QGZVFWFLSA-N 0 3 317.404 4.414 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(Br)nc1)c1ccncc1 ZINC000290608429 1043969874 /nfs/dbraw/zinc/96/98/74/1043969874.db2.gz HVCPDRLARDWDJW-FZMZJTMJSA-N 0 3 320.234 4.041 20 0 DIADHN CC(F)(F)CCCN1CCC[C@@H]2O[C@H](c3ccccc3)C[C@@H]21 ZINC000930868791 1043970881 /nfs/dbraw/zinc/97/08/81/1043970881.db2.gz XCKVVJUPSPUGBK-ULQDDVLXSA-N 0 3 309.400 4.416 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@@H]2CC[C@H](c3ccccc3)O2)C1 ZINC000879547411 1043972440 /nfs/dbraw/zinc/97/24/40/1043972440.db2.gz HUBAAOOECOVUDV-HRCADAONSA-N 0 3 313.363 4.181 20 0 DIADHN FC(F)(F)c1ncccc1CN[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000879671633 1043978245 /nfs/dbraw/zinc/97/82/45/1043978245.db2.gz FKXAMPIWOHPVMN-GHMZBOCLSA-N 0 3 324.268 4.087 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H](C)c1cnccc1C ZINC000357794765 1043988323 /nfs/dbraw/zinc/98/83/23/1043988323.db2.gz NDRLWXHIDDZZTL-CYBMUJFWSA-N 0 3 304.434 4.243 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCCC(C)(C)CC1 ZINC000357815549 1043991048 /nfs/dbraw/zinc/99/10/48/1043991048.db2.gz YIHJJTJWAQMNDQ-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@](C)(C(F)(F)F)C1 ZINC000880004220 1044004297 /nfs/dbraw/zinc/00/42/97/1044004297.db2.gz ROKWDNPTNCQWGK-INIZCTEOSA-N 0 3 324.346 4.424 20 0 DIADHN Cc1csc([C@@H]2CCN([C@@H](C)c3nc4ccccc4o3)C2)n1 ZINC000880010422 1044006057 /nfs/dbraw/zinc/00/60/57/1044006057.db2.gz ZIDRAXFGJJNTNI-QWHCGFSZSA-N 0 3 313.426 4.143 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cnc2ccccc2c1 ZINC000358037969 1044009640 /nfs/dbraw/zinc/00/96/40/1044009640.db2.gz OMCVTRNJAGBUBT-IBGZPJMESA-N 0 3 304.393 4.021 20 0 DIADHN C[C@H](NCc1ccc(CF)cc1)c1ccc(C(F)(F)F)nc1 ZINC000880103232 1044011579 /nfs/dbraw/zinc/01/15/79/1044011579.db2.gz LXODHTPYRYAUEW-NSHDSACASA-N 0 3 312.310 4.421 20 0 DIADHN CSc1ccccc1CN(Cc1ccccc1)[C@H](C)CCO ZINC000358079629 1044014864 /nfs/dbraw/zinc/01/48/64/1044014864.db2.gz XYIRTYFESCMULL-MRXNPFEDSA-N 0 3 315.482 4.182 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000561878091 1044017133 /nfs/dbraw/zinc/01/71/33/1044017133.db2.gz QZSCKRSRPMFBSZ-UGKGYDQZSA-N 0 3 314.432 4.409 20 0 DIADHN C[C@@H](c1ccco1)N(C)C[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000291080275 1044022478 /nfs/dbraw/zinc/02/24/78/1044022478.db2.gz NIBTWGLFOGMRHZ-SMDDNHRTSA-N 0 3 313.319 4.025 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCCC(F)(F)F ZINC000358167093 1044025395 /nfs/dbraw/zinc/02/53/95/1044025395.db2.gz AAQNKZFLRVCPIO-VIFPVBQESA-N 0 3 315.364 4.117 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(c3ncco3)CC1)CCC2 ZINC000931446248 1044027912 /nfs/dbraw/zinc/02/79/12/1044027912.db2.gz IRELWKWAFGRJGM-QGZVFWFLSA-N 0 3 300.377 4.071 20 0 DIADHN CC[C@@H](N[C@H](C)COCCOC)c1ccc(Cl)cc1Cl ZINC000358195152 1044032803 /nfs/dbraw/zinc/03/28/03/1044032803.db2.gz USWKZDFCCWLGSY-IAQYHMDHSA-N 0 3 320.260 4.086 20 0 DIADHN CO[C@H](CN[C@H]1CCCOc2ccc(F)cc21)c1ccccc1 ZINC000358212621 1044034394 /nfs/dbraw/zinc/03/43/94/1044034394.db2.gz AIELHKFHECCPAX-PKOBYXMFSA-N 0 3 315.388 4.017 20 0 DIADHN CC(C)(C)OCCCCN1CCN(c2ccc(Cl)cc2)CC1 ZINC000475024114 1044035542 /nfs/dbraw/zinc/03/55/42/1044035542.db2.gz PSIHBDXPYUFFRX-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN C[C@H](N[C@H]1CSc2ccccc21)c1ccc(-n2ccnc2)cc1 ZINC000358240717 1044039542 /nfs/dbraw/zinc/03/95/42/1044039542.db2.gz RQPBKCCPZXMYCK-KSSFIOAISA-N 0 3 321.449 4.370 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc(OCC2CC2)cc1 ZINC000561986733 1044043256 /nfs/dbraw/zinc/04/32/56/1044043256.db2.gz WWWYDLIMLXNPLH-FQEVSTJZSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H]1CCCc2c(O)cccc21)C(C)C ZINC000291248216 1044046007 /nfs/dbraw/zinc/04/60/07/1044046007.db2.gz PEMQCSIOOSBYJQ-IEBWSBKVSA-N 0 3 310.441 4.460 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880540290 1044046700 /nfs/dbraw/zinc/04/67/00/1044046700.db2.gz WMULGPUQNCYWHG-YWZLYKJASA-N 0 3 316.404 4.375 20 0 DIADHN c1cn(C[C@@H](NCc2ccc(C3CC3)cc2)c2ccccc2)cn1 ZINC000880569604 1044047464 /nfs/dbraw/zinc/04/74/64/1044047464.db2.gz MILRFBRHFWAPQE-OAQYLSRUSA-N 0 3 317.436 4.292 20 0 DIADHN CC(C)(C)c1cc(CN2CCCC[C@@H](C3CCOCC3)C2)no1 ZINC000880578160 1044048649 /nfs/dbraw/zinc/04/86/49/1044048649.db2.gz MUDUGESIGJZEBE-MRXNPFEDSA-N 0 3 320.477 4.001 20 0 DIADHN C[C@H](O)C[C@@H]1CCCN1[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000443881452 1044050449 /nfs/dbraw/zinc/05/04/49/1044050449.db2.gz VDGMWVNFQAFVJV-PKWAYOAASA-N 0 3 314.256 4.216 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000358301403 1044050611 /nfs/dbraw/zinc/05/06/11/1044050611.db2.gz QUYPNDWQVAXWKQ-IPYPFGDCSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](O)C[C@H]1CCCN1[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000443881450 1044051290 /nfs/dbraw/zinc/05/12/90/1044051290.db2.gz VDGMWVNFQAFVJV-KANYHAFZSA-N 0 3 314.256 4.216 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@H](C)c2ccc(C)o2)cc1 ZINC000358310267 1044051657 /nfs/dbraw/zinc/05/16/57/1044051657.db2.gz WVXKCWSPPFFOHD-QWQRMKEZSA-N 0 3 303.402 4.023 20 0 DIADHN CC(C)[C@H](CCO)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291281453 1044052990 /nfs/dbraw/zinc/05/29/90/1044052990.db2.gz RGRBSFRTBBFQHX-WFASDCNBSA-N 0 3 322.449 4.007 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc3c(c2)COC3)c2ccsc2S1 ZINC000358315169 1044053412 /nfs/dbraw/zinc/05/34/12/1044053412.db2.gz GNRMKQFLLDIOCS-MEDUHNTESA-N 0 3 317.479 4.494 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(F)cc(OC)c1)CCC2 ZINC000358332193 1044053915 /nfs/dbraw/zinc/05/39/15/1044053915.db2.gz USJVCHUPFWYXDZ-IBGZPJMESA-N 0 3 315.388 4.010 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000562058159 1044056908 /nfs/dbraw/zinc/05/69/08/1044056908.db2.gz SIXQPBUYUSESBU-FOIQADDNSA-N 0 3 314.448 4.342 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000562058079 1044059465 /nfs/dbraw/zinc/05/94/65/1044059465.db2.gz AUMMQCAEYNDBPF-ACJLOTCBSA-N 0 3 320.839 4.433 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(C(F)(F)F)cc2)c(C)nn1 ZINC000931696268 1044060597 /nfs/dbraw/zinc/06/05/97/1044060597.db2.gz ZLMJVLIYSPBMRF-UHFFFAOYSA-N 0 3 323.362 4.137 20 0 DIADHN CCC[C@@H](N[C@H](CO)c1sccc1C)c1ccc(OC)cc1 ZINC000358411383 1044069135 /nfs/dbraw/zinc/06/91/35/1044069135.db2.gz XDDMCSVJHQDSAP-IAGOWNOFSA-N 0 3 319.470 4.230 20 0 DIADHN CCSc1ccc(Cl)cc1NC(=O)C1(N)CC2(CCC2)C1 ZINC000659255449 1044075401 /nfs/dbraw/zinc/07/54/01/1044075401.db2.gz DSFLBOZVEXVWQL-UHFFFAOYSA-N 0 3 324.877 4.052 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc(SC)c(OC)c1 ZINC000358503723 1044077965 /nfs/dbraw/zinc/07/79/65/1044077965.db2.gz FIERSOUFUWJGLC-CYBMUJFWSA-N 0 3 316.470 4.225 20 0 DIADHN CSCC[C@H](C)N(C)Cc1c(Cl)ccc2cccnc21 ZINC000358581903 1044083202 /nfs/dbraw/zinc/08/32/02/1044083202.db2.gz PCMFEFFHPDYLTF-LBPRGKRZSA-N 0 3 308.878 4.462 20 0 DIADHN FC1(F)C[C@]2(CCN(Cc3cnc4ccccc4c3)C2)C1(F)F ZINC000931914405 1044084499 /nfs/dbraw/zinc/08/44/99/1044084499.db2.gz SVQSPCMIGCFVFT-HNNXBMFYSA-N 0 3 324.321 4.101 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000280967564 1044085304 /nfs/dbraw/zinc/08/53/04/1044085304.db2.gz LBJKCHKWAPOBBK-XNRPHZJLSA-N 0 3 301.821 4.035 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCCC2(CCOCC2)C1 ZINC000562243906 1044090151 /nfs/dbraw/zinc/09/01/51/1044090151.db2.gz SMYUBWBITRGWRG-CYBMUJFWSA-N 0 3 311.828 4.433 20 0 DIADHN FC1(F)CC(CNCc2csc(-c3cccs3)n2)C1 ZINC000291632451 1044090448 /nfs/dbraw/zinc/09/04/48/1044090448.db2.gz AQLAXQFTJJYCDY-UHFFFAOYSA-N 0 3 300.399 4.007 20 0 DIADHN Cc1cc2cc([C@H](C)NCC3([S@](C)=O)CCC3)oc2cc1C ZINC000281035588 1044090405 /nfs/dbraw/zinc/09/04/05/1044090405.db2.gz NLZATUIBRMFHJJ-FPTDNZKUSA-N 0 3 319.470 4.001 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(Cc3ccco3)C(C)C)cc2)n1 ZINC000346323695 1044092581 /nfs/dbraw/zinc/09/25/81/1044092581.db2.gz VEQSUWRMDUCIDJ-UHFFFAOYSA-N 0 3 323.440 4.493 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000281116754 1044094239 /nfs/dbraw/zinc/09/42/39/1044094239.db2.gz AIEAWZZVXSUMQZ-MOPGFXCFSA-N 0 3 314.379 4.478 20 0 DIADHN COc1ccc([C@@H](NC[C@@H]2CCC=CO2)c2ccccc2)cc1 ZINC000380200422 1044100377 /nfs/dbraw/zinc/10/03/77/1044100377.db2.gz CMZUQGRANFDNTE-PMACEKPBSA-N 0 3 309.409 4.067 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC(c2cccc(F)c2)CC1 ZINC000798944961 1044101518 /nfs/dbraw/zinc/10/15/18/1044101518.db2.gz OHXLPIKHVFCKGZ-UHFFFAOYSA-N 0 3 315.436 4.291 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)[C@H]1OCCc2sccc21 ZINC000291813563 1044105012 /nfs/dbraw/zinc/10/50/12/1044105012.db2.gz CVNCMUIENHHVCZ-PZSREKOKSA-N 0 3 303.427 4.011 20 0 DIADHN Clc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)cn1 ZINC001137164503 1044109841 /nfs/dbraw/zinc/10/98/41/1044109841.db2.gz ZBQXEXJSGKFXDO-UHFFFAOYSA-N 0 3 310.828 4.296 20 0 DIADHN Cc1ccccc1CN[C@@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000358930523 1044111889 /nfs/dbraw/zinc/11/18/89/1044111889.db2.gz ICBWJZAHUUVANY-HXUWFJFHSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1ccsc1CN[C@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000358949816 1044113162 /nfs/dbraw/zinc/11/31/62/1044113162.db2.gz VXMQHQFTKVYZCA-KRWDZBQOSA-N 0 3 323.465 4.409 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3ccc(Cl)cn3)C2)cc1 ZINC000562424079 1044114574 /nfs/dbraw/zinc/11/45/74/1044114574.db2.gz SYGDFLHFAUARSW-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN Cc1c(C)c(C)c(CN[C@H]2CCCc3nn(C)cc32)c(C)c1C ZINC000358961739 1044114858 /nfs/dbraw/zinc/11/48/58/1044114858.db2.gz GOACGPIAJFCUHH-IBGZPJMESA-N 0 3 311.473 4.129 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](N[C@H]1CCCc3nn(C)cc31)CC2 ZINC000359002768 1044118859 /nfs/dbraw/zinc/11/88/59/1044118859.db2.gz MUEBJTDLJBXFQN-OALUTQOASA-N 0 3 309.457 4.198 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN[C@H](c3ncccn3)C3CC3)C2)cc1 ZINC000932354056 1044120819 /nfs/dbraw/zinc/12/08/19/1044120819.db2.gz QITHEQFYMUTUAO-LZQZEXGQSA-N 0 3 307.441 4.101 20 0 DIADHN CCC[C@H](N[C@@H](C)C(=O)N1Cc2ccccc2C1)c1ccccc1 ZINC000359045865 1044124233 /nfs/dbraw/zinc/12/42/33/1044124233.db2.gz KFPSBCJCBKCTNK-JXFKEZNVSA-N 0 3 322.452 4.048 20 0 DIADHN CCn1cc([C@H](C)N[C@H](CCCCOC)c2ccccc2)cn1 ZINC000359038601 1044124904 /nfs/dbraw/zinc/12/49/04/1044124904.db2.gz RPROGOVJEIVUHT-QFBILLFUSA-N 0 3 315.461 4.112 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281398740 1044128480 /nfs/dbraw/zinc/12/84/80/1044128480.db2.gz YLIHLXTTWRPZJX-IBGZPJMESA-N 0 3 314.404 4.249 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CC[S@@](=O)c2ccccc2)s1 ZINC000359098379 1044130978 /nfs/dbraw/zinc/13/09/78/1044130978.db2.gz SLTUYRFTIKUNLC-HRAATJIYSA-N 0 3 319.495 4.001 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc3cccnc32)cn1 ZINC001137178002 1044166011 /nfs/dbraw/zinc/16/60/11/1044166011.db2.gz MGCBACAKWPTANI-LJQANCHMSA-N 0 3 303.409 4.275 20 0 DIADHN FC1(F)CC[C@H](CNCc2csc(-c3cccs3)n2)C1 ZINC000281632128 1044171593 /nfs/dbraw/zinc/17/15/93/1044171593.db2.gz LUXWLVRAXRPUSQ-JTQLQIEISA-N 0 3 314.426 4.397 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000359537779 1044174151 /nfs/dbraw/zinc/17/41/51/1044174151.db2.gz AKVCZQBACAYCIJ-LIVBEALHSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@]1(Cc2cccs2)CCCN1Cc1ncc(C2CC2)o1 ZINC000932925853 1044176326 /nfs/dbraw/zinc/17/63/26/1044176326.db2.gz WDVPAJCPGMAZFG-KRWDZBQOSA-N 0 3 302.443 4.211 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C1CCC1)C1CCC1 ZINC000292497230 1044178723 /nfs/dbraw/zinc/17/87/23/1044178723.db2.gz UHYXFTFYGDVBRR-UHFFFAOYSA-N 0 3 314.473 4.157 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1C(C)(C)C)N1CCCCCC1 ZINC000025407732 1044184545 /nfs/dbraw/zinc/18/45/45/1044184545.db2.gz PLHZNHDEVYNMCC-HNNXBMFYSA-N 0 3 302.462 4.187 20 0 DIADHN CCc1nc(CCNCc2ccsc2Cl)sc1C ZINC000359739953 1044194684 /nfs/dbraw/zinc/19/46/84/1044194684.db2.gz OPPLLSJLYRYFKF-UHFFFAOYSA-N 0 3 300.880 4.061 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2CCC(C)(C)c3ccccc32)cn1 ZINC000359737965 1044194795 /nfs/dbraw/zinc/19/47/95/1044194795.db2.gz GDINRTRHPJBFQQ-LJQANCHMSA-N 0 3 323.484 4.440 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2nccn2-c2ccccc2)C1 ZINC000359746040 1044196834 /nfs/dbraw/zinc/19/68/34/1044196834.db2.gz GVLJJBRZUGTYKV-WBVHZDCISA-N 0 3 315.486 4.026 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@@H](O)c1ccccc1F ZINC000130328543 1044199269 /nfs/dbraw/zinc/19/92/69/1044199269.db2.gz TVAUGDXNVUMCAF-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN C[C@@H](NCc1cc(F)cc2cccnc21)c1ccc2c(c1)CCO2 ZINC000933493526 1044199960 /nfs/dbraw/zinc/19/99/60/1044199960.db2.gz KILHSDKBOAKNSB-CYBMUJFWSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc(Br)s1 ZINC000130395095 1044200761 /nfs/dbraw/zinc/20/07/61/1044200761.db2.gz MJSBAJVIOIXOBT-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN FC(F)(F)c1cnc(CNC[C@@H]2CCCC(F)(F)C2)s1 ZINC000281855217 1044202566 /nfs/dbraw/zinc/20/25/66/1044202566.db2.gz BOFDZURZAHPFKF-MRVPVSSYSA-N 0 3 314.323 4.077 20 0 DIADHN Clc1ccc2ncc(CNCc3ccc(C4CC4)cc3)n2c1 ZINC000359804200 1044203747 /nfs/dbraw/zinc/20/37/47/1044203747.db2.gz SYZXDCSRRINVOL-UHFFFAOYSA-N 0 3 311.816 4.155 20 0 DIADHN C[C@@H](NCc1ccc2sccc2c1)c1ccc2c(c1)OCO2 ZINC000562909718 1044205871 /nfs/dbraw/zinc/20/58/71/1044205871.db2.gz NYFWHNJSRYGESU-GFCCVEGCSA-N 0 3 311.406 4.481 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cccc(Cl)c2N)c1 ZINC000882942142 1044206510 /nfs/dbraw/zinc/20/65/10/1044206510.db2.gz PKPUIESLLPSYFK-LBPRGKRZSA-N 0 3 304.821 4.172 20 0 DIADHN c1[nH]nc(-c2cccnc2)c1CN1CCCC2(CCCCC2)CC1 ZINC000347252540 1044217094 /nfs/dbraw/zinc/21/70/94/1044217094.db2.gz GQFMWIMIOZFULV-UHFFFAOYSA-N 0 3 324.472 4.408 20 0 DIADHN CCSc1cc(CNCc2cncc3ccccc32)ccn1 ZINC000778205435 1044217389 /nfs/dbraw/zinc/21/73/89/1044217389.db2.gz CUXWCFXVALYDHB-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc(C(C)(F)F)cc2)cc1 ZINC000292825573 1044217793 /nfs/dbraw/zinc/21/77/93/1044217793.db2.gz AEHXGGUPJCTXTH-UHFFFAOYSA-N 0 3 318.367 4.047 20 0 DIADHN COCc1ccc(CNCc2ccc(C(C)(F)F)cc2)cc1 ZINC000292866551 1044221864 /nfs/dbraw/zinc/22/18/64/1044221864.db2.gz CVUNLMATDVSTHH-UHFFFAOYSA-N 0 3 305.368 4.235 20 0 DIADHN Cc1nc2ccccc2n1CCCNCc1ccsc1Cl ZINC000359977678 1044223598 /nfs/dbraw/zinc/22/35/98/1044223598.db2.gz UHRREBWZWHNSPD-UHFFFAOYSA-N 0 3 319.861 4.240 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC001137184953 1044224710 /nfs/dbraw/zinc/22/47/10/1044224710.db2.gz LTQHIJYZIZYTKN-YWZLYKJASA-N 0 3 316.489 4.458 20 0 DIADHN CSCCCCCN[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000360026186 1044227341 /nfs/dbraw/zinc/22/73/41/1044227341.db2.gz XUBRFFZNWCQCNP-VIFPVBQESA-N 0 3 312.426 4.346 20 0 DIADHN Fc1ccc([C@H](NCc2ccccc2F)C2CCOCC2)cc1 ZINC000883164656 1044227736 /nfs/dbraw/zinc/22/77/36/1044227736.db2.gz LIBMJYXEXJHFNZ-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](C)c1ccccc1C ZINC000934169181 1044228682 /nfs/dbraw/zinc/22/86/82/1044228682.db2.gz NTAOPOOOHRYYFI-MRXNPFEDSA-N 0 3 310.441 4.107 20 0 DIADHN CCC1(CC)CCCN(Cc2ccc([S@@](C)=O)cc2)CC1 ZINC000347303444 1044229438 /nfs/dbraw/zinc/22/94/38/1044229438.db2.gz NGXXKFYKOLCITL-OAQYLSRUSA-N 0 3 307.503 4.216 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccsc1)c1sccc1Cl ZINC000883192297 1044231974 /nfs/dbraw/zinc/23/19/74/1044231974.db2.gz VYGNVHZNJCGYPF-VXGBXAGGSA-N 0 3 301.864 4.237 20 0 DIADHN C/C=C/C[C@@H]1CCCN([C@@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000626160543 1044233248 /nfs/dbraw/zinc/23/32/48/1044233248.db2.gz KGUWEHYUCUZKHW-MEGKRXCUSA-N 0 3 311.429 4.476 20 0 DIADHN C/C=C/C[C@@H]1CCCN([C@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000626160545 1044233456 /nfs/dbraw/zinc/23/34/56/1044233456.db2.gz KGUWEHYUCUZKHW-OBMMGQTPSA-N 0 3 311.429 4.476 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccsc2C)c(C)c1OC ZINC000292979755 1044237332 /nfs/dbraw/zinc/23/73/32/1044237332.db2.gz MYWCJABXCPESJO-GFCCVEGCSA-N 0 3 305.443 4.233 20 0 DIADHN CC1(CNCc2csc(-c3cccs3)n2)CC(F)(F)C1 ZINC000883250265 1044246629 /nfs/dbraw/zinc/24/66/29/1044246629.db2.gz LCAWAZALWBSCAK-UHFFFAOYSA-N 0 3 314.426 4.397 20 0 DIADHN C[C@@H](NCC1(C)CC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000883257295 1044246893 /nfs/dbraw/zinc/24/68/93/1044246893.db2.gz XRKXVGJSAODTQO-CYBMUJFWSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1cc(CN2CCC(Oc3cc(C)ccn3)CC2)c(C)s1 ZINC000360206848 1044247010 /nfs/dbraw/zinc/24/70/10/1044247010.db2.gz CJQWTRHITRTCHM-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN[C@H](C)c1ccccc1 ZINC000655703224 1044247969 /nfs/dbraw/zinc/24/79/69/1044247969.db2.gz CAZKCURNIZQWKW-MRXNPFEDSA-N 0 3 305.425 4.340 20 0 DIADHN FC1(F)CCC[C@@H]1CCNCc1coc(-c2ccccc2)n1 ZINC000883265867 1044252898 /nfs/dbraw/zinc/25/28/98/1044252898.db2.gz MVALCKNLLJTGDA-CQSZACIVSA-N 0 3 306.356 4.257 20 0 DIADHN CO[C@H]1C[C@H](CN[C@@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000883272327 1044256826 /nfs/dbraw/zinc/25/68/26/1044256826.db2.gz JXWIPFCLDSIBPC-AVGNSLFASA-N 0 3 320.433 4.025 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC[C@H]1c1ccccc1F ZINC001137186552 1044258574 /nfs/dbraw/zinc/25/85/74/1044258574.db2.gz ZEQLXIZDELRLQF-IBGZPJMESA-N 0 3 322.383 4.417 20 0 DIADHN CCC[C@H](NCc1ccnc(-c2ccccn2)n1)c1ccccc1 ZINC000883292673 1044260706 /nfs/dbraw/zinc/26/07/06/1044260706.db2.gz WAMMRJMDOKWYRR-SFHVURJKSA-N 0 3 318.424 4.170 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CNCc1ccc(C)cc1 ZINC000655704265 1044261377 /nfs/dbraw/zinc/26/13/77/1044261377.db2.gz VEBPVCHJTVSRSZ-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN1CCCO[C@@H](C)C1 ZINC000563479894 1044265423 /nfs/dbraw/zinc/26/54/23/1044265423.db2.gz ODQWMTBXKIXCKP-XXYUJHKVSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1ccccn1 ZINC000563485973 1044269135 /nfs/dbraw/zinc/26/91/35/1044269135.db2.gz PBMAQTMAZFIONP-UKRRQHHQSA-N 0 3 308.347 4.153 20 0 DIADHN Brc1ccc(CN[C@H](c2ccncc2)C2CC2)cc1 ZINC000934686025 1044269701 /nfs/dbraw/zinc/26/97/01/1044269701.db2.gz KIUKATXYZMUEGX-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN FC(F)(F)Oc1ccc(CN[C@@H](c2ccncc2)C2CC2)cc1 ZINC000934683465 1044269886 /nfs/dbraw/zinc/26/98/86/1044269886.db2.gz QFMHZWPDVCHEBP-MRXNPFEDSA-N 0 3 322.330 4.221 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](N[C@H](C)c1ccoc1)CCCC2 ZINC000883347518 1044278741 /nfs/dbraw/zinc/27/87/41/1044278741.db2.gz UELQXXUPKJYLHB-DYVFJYSZSA-N 0 3 315.413 4.415 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1C[C@@H]2CSC[C@]2(C)C1 ZINC000934779399 1044280971 /nfs/dbraw/zinc/28/09/71/1044280971.db2.gz VHZHEWBCWLNUHQ-SIIHOXLZSA-N 0 3 314.454 4.088 20 0 DIADHN CCN(CC)[C@H](CNc1ccnc2ccsc21)c1ccco1 ZINC000360519479 1044281926 /nfs/dbraw/zinc/28/19/26/1044281926.db2.gz BFALQQIFSSVWOX-OAHLLOKOSA-N 0 3 315.442 4.384 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000475149520 1044283325 /nfs/dbraw/zinc/28/33/25/1044283325.db2.gz PEISVXBJYUGOCW-MGPQQGTHSA-N 0 3 301.459 4.072 20 0 DIADHN CCN(Cc1c(Cl)cccc1OC)[C@@H]1CCOC(C)(C)C1 ZINC000563572575 1044284533 /nfs/dbraw/zinc/28/45/33/1044284533.db2.gz IUAGZHKEXDYLOO-CYBMUJFWSA-N 0 3 311.853 4.128 20 0 DIADHN COCCC[C@@H](NCc1ccc(Cl)nc1)c1ccc(F)cc1 ZINC000281992976 1044284928 /nfs/dbraw/zinc/28/49/28/1044284928.db2.gz PRNNTZZQAZCMDS-MRXNPFEDSA-N 0 3 322.811 4.132 20 0 DIADHN CCC[C@@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1ccccc1 ZINC000360578359 1044286413 /nfs/dbraw/zinc/28/64/13/1044286413.db2.gz GJICVXUCJBRQDN-UXHICEINSA-N 0 3 324.468 4.337 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000475159662 1044288810 /nfs/dbraw/zinc/28/88/10/1044288810.db2.gz QVFYEXJKGKQRAQ-NHYWBVRUSA-N 0 3 306.356 4.288 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1N[C@@H]1CCOC2(CCCC2)C1 ZINC000883377576 1044289604 /nfs/dbraw/zinc/28/96/04/1044289604.db2.gz LFLDJPYGZSPCFS-KBAYOESNSA-N 0 3 303.421 4.093 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCCC[C@@H](C)C2)c(C)c1 ZINC000360628167 1044292376 /nfs/dbraw/zinc/29/23/76/1044292376.db2.gz GLBLJMPBEMSGAS-DYVFJYSZSA-N 0 3 302.462 4.061 20 0 DIADHN CC1(C)CC[C@H](N[C@H]2c3ccccc3C[C@H]2O)c2ccccc21 ZINC000360648467 1044293404 /nfs/dbraw/zinc/29/34/04/1044293404.db2.gz QYCDWLQJHNGFJS-ZCNNSNEGSA-N 0 3 307.437 4.047 20 0 DIADHN CC[C@H](NCCCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000563656291 1044296790 /nfs/dbraw/zinc/29/67/90/1044296790.db2.gz MYAOMQRPAVAHAF-KRWDZBQOSA-N 0 3 318.509 4.406 20 0 DIADHN CC1(C)CC[C@H](N[C@H](CO)c2cccc(F)c2)c2ccccc21 ZINC000360662055 1044297669 /nfs/dbraw/zinc/29/76/69/1044297669.db2.gz DMBITSIMVSDODF-RBUKOAKNSA-N 0 3 313.416 4.261 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387140 1044299154 /nfs/dbraw/zinc/29/91/54/1044299154.db2.gz SCPKWCKPHPFVCI-VQTJNVASSA-N 0 3 321.399 4.041 20 0 DIADHN c1cn(C[C@@H](N[C@@H]2CCCc3ccccc32)c2ccccc2)cn1 ZINC000883386566 1044299565 /nfs/dbraw/zinc/29/95/65/1044299565.db2.gz IKAAHFZTIPXYHE-NHCUHLMSSA-N 0 3 317.436 4.292 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387294 1044299625 /nfs/dbraw/zinc/29/96/25/1044299625.db2.gz VFXKRETWJXNGMN-PMACEKPBSA-N 0 3 321.399 4.041 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387269 1044300036 /nfs/dbraw/zinc/30/00/36/1044300036.db2.gz UWCYSGQLKZXPSQ-XLIONFOSSA-N 0 3 305.425 4.284 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387489 1044300629 /nfs/dbraw/zinc/30/06/29/1044300629.db2.gz YTKLJADAUJPRKP-SFTDATJTSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccc(CN[C@@H]2CC3(CCOCC3)Oc3ccccc32)cc1 ZINC000883395514 1044302310 /nfs/dbraw/zinc/30/23/10/1044302310.db2.gz WDPSCRNCEXBMOZ-LJQANCHMSA-N 0 3 323.436 4.158 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3ncccc3c1)CC21CC1 ZINC000360704325 1044302331 /nfs/dbraw/zinc/30/23/31/1044302331.db2.gz RFCPCUPPYIMDSL-UHFFFAOYSA-N 0 3 300.405 4.282 20 0 DIADHN CC[C@H](N[C@@H](Cn1ccnc1)c1ccccc1)c1ccsc1 ZINC000883387898 1044302440 /nfs/dbraw/zinc/30/24/40/1044302440.db2.gz HSUUFJWEZGUPKN-ROUUACIJSA-N 0 3 311.454 4.427 20 0 DIADHN CC[C@@H](N[C@H](Cn1ccnc1)c1ccccc1)c1ccsc1 ZINC000883387897 1044302877 /nfs/dbraw/zinc/30/28/77/1044302877.db2.gz HSUUFJWEZGUPKN-QZTJIDSGSA-N 0 3 311.454 4.427 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1cc2ccccc2[nH]c1=O ZINC000360718947 1044304973 /nfs/dbraw/zinc/30/49/73/1044304973.db2.gz DIZATLYNMIBQCQ-RISCZKNCSA-N 0 3 324.346 4.103 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc(C3CC3)c2)c1 ZINC000563726401 1044306145 /nfs/dbraw/zinc/30/61/45/1044306145.db2.gz OXQTZVDJFKNIAS-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(C2CCC2)cc1)c1cccnc1 ZINC000293629521 1044306488 /nfs/dbraw/zinc/30/64/88/1044306488.db2.gz LIXJVKBIMIYUMD-FQEVSTJZSA-N 0 3 324.468 4.199 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1cc(F)cc2cccnc21 ZINC000883463710 1044308277 /nfs/dbraw/zinc/30/82/77/1044308277.db2.gz WSAMZWDZYIORMI-LJQANCHMSA-N 0 3 321.399 4.409 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1cncc2ccccc21 ZINC000780793942 1044308831 /nfs/dbraw/zinc/30/88/31/1044308831.db2.gz YXLVYWUMCBIWSC-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnc2cc(C)nn2c1C)c1ccc(C)cc1 ZINC000192780830 1044308982 /nfs/dbraw/zinc/30/89/82/1044308982.db2.gz PWJHOXIAKPWZPQ-HNAYVOBHSA-N 0 3 322.456 4.456 20 0 DIADHN Fc1ccccc1[C@@H]1CC[C@H](NCc2ncc(Cl)s2)C1 ZINC000360771762 1044309508 /nfs/dbraw/zinc/30/95/08/1044309508.db2.gz JXXOVWMYFYCEMV-MNOVXSKESA-N 0 3 310.825 4.362 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1Cl)c1ccc(F)c(F)c1 ZINC000132172092 1044315147 /nfs/dbraw/zinc/31/51/47/1044315147.db2.gz QNNPRBXEGROVSE-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN CSCc1cnc(CNC[C@@H](C)Cc2cccs2)s1 ZINC000293724525 1044319062 /nfs/dbraw/zinc/31/90/62/1044319062.db2.gz YSTDMRAWCGBBNU-NSHDSACASA-N 0 3 312.529 4.036 20 0 DIADHN CCc1nc(CCN[C@@H](CC)c2cc(F)ccc2F)cs1 ZINC000360852272 1044320773 /nfs/dbraw/zinc/32/07/73/1044320773.db2.gz HHBISFPZDKLINY-HNNXBMFYSA-N 0 3 310.413 4.267 20 0 DIADHN Cc1cccc2ncc(CN(Cc3ccccc3)CC(C)C)n21 ZINC001137193044 1044321996 /nfs/dbraw/zinc/32/19/96/1044321996.db2.gz YJEAXMSCEZWDLY-UHFFFAOYSA-N 0 3 307.441 4.301 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H]2CCCc3nc(C)sc32)s1 ZINC000360886329 1044325468 /nfs/dbraw/zinc/32/54/68/1044325468.db2.gz GBNHYYBQGVRCEF-SKDRFNHKSA-N 0 3 307.488 4.253 20 0 DIADHN CCCc1ccc([C@@H](C)N[C@@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360910032 1044325970 /nfs/dbraw/zinc/32/59/70/1044325970.db2.gz QWOQGFDBZAPZJS-UZLBHIALSA-N 0 3 324.468 4.167 20 0 DIADHN Cc1ccc(CCCN(C)Cc2cc(Cl)ccc2F)cn1 ZINC000475210180 1044326425 /nfs/dbraw/zinc/32/64/25/1044326425.db2.gz RQSMOLALYWJMDU-UHFFFAOYSA-N 0 3 306.812 4.247 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CC[C@@H](C3CC3)C2)o1 ZINC000639553081 1044331540 /nfs/dbraw/zinc/33/15/40/1044331540.db2.gz KHFCSAWWTJVGJX-MRXNPFEDSA-N 0 3 319.408 4.122 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)c(C)s1 ZINC000192846981 1044331998 /nfs/dbraw/zinc/33/19/98/1044331998.db2.gz JALXSQZKJOSBGY-NWDGAFQWSA-N 0 3 317.458 4.267 20 0 DIADHN c1ccc2c(c1)CCCC[C@H]2NCc1ccc(OCC2CC2)nc1 ZINC000360945468 1044334468 /nfs/dbraw/zinc/33/44/68/1044334468.db2.gz FAPZLMAALCYLFM-HXUWFJFHSA-N 0 3 322.452 4.428 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1)c1cccc(OC(F)(F)F)c1 ZINC000132917699 1044339127 /nfs/dbraw/zinc/33/91/27/1044339127.db2.gz LLMYOOICRNVCHE-NWDGAFQWSA-N 0 3 310.319 4.392 20 0 DIADHN C[C@@H](CC(C)(C)C)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000475272883 1044341843 /nfs/dbraw/zinc/34/18/43/1044341843.db2.gz CHQOPJSPAWQAMK-LSDHHAIUSA-N 0 3 322.518 4.074 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1F ZINC000361004920 1044344977 /nfs/dbraw/zinc/34/49/77/1044344977.db2.gz SUNVGZBHFPEBGL-KRWDZBQOSA-N 0 3 315.388 4.097 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCC[C@@H]3C3CCC3)o2)cc1 ZINC000102926801 1044346384 /nfs/dbraw/zinc/34/63/84/1044346384.db2.gz KVSISQOKVXMYLV-OAHLLOKOSA-N 0 3 317.820 4.155 20 0 DIADHN Cc1ccc2cc(CN3CC[C@@H](C(F)(F)F)[C@H]3C)ccc2n1 ZINC000475285514 1044347020 /nfs/dbraw/zinc/34/70/20/1044347020.db2.gz MRBAMKNEZFIHMY-IUODEOHRSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1ccc2cc(CN3CC[C@H](C(F)(F)F)[C@@H]3C)ccc2n1 ZINC000475285516 1044348029 /nfs/dbraw/zinc/34/80/29/1044348029.db2.gz MRBAMKNEZFIHMY-WFASDCNBSA-N 0 3 308.347 4.316 20 0 DIADHN CC1(c2ccc(Cl)cc2)CCN(Cc2cncs2)CC1 ZINC000475295753 1044348501 /nfs/dbraw/zinc/34/85/01/1044348501.db2.gz JEAIMQRCQDNKPZ-UHFFFAOYSA-N 0 3 306.862 4.350 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CN(C)C)c2ccc(F)cc2)c2ccccc21 ZINC000564153556 1044348574 /nfs/dbraw/zinc/34/85/74/1044348574.db2.gz VVSLQBKJCQEMFN-XMCHAPAWSA-N 0 3 312.432 4.267 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000475290918 1044348598 /nfs/dbraw/zinc/34/85/98/1044348598.db2.gz MCADKJHKYFBOJP-SFHVURJKSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2cccc(C(F)(F)F)c2)cnn1C ZINC000192854656 1044349654 /nfs/dbraw/zinc/34/96/54/1044349654.db2.gz XNTSUGUFTZGDEL-QWRGUYRKSA-N 0 3 311.351 4.159 20 0 DIADHN Cc1nocc1CN(C)[C@@H](C)Cc1ccccc1C(F)(F)F ZINC000293926343 1044354542 /nfs/dbraw/zinc/35/45/42/1044354542.db2.gz NCHHUBLCUKOJLD-NSHDSACASA-N 0 3 312.335 4.065 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@H](C)c3cnn(C)c3C)ccc2c1 ZINC000192855083 1044356695 /nfs/dbraw/zinc/35/66/95/1044356695.db2.gz YYNXGTFJAMVIOQ-UONOGXRCSA-N 0 3 323.440 4.302 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCCc2c(O)cccc21 ZINC000361111411 1044359942 /nfs/dbraw/zinc/35/99/42/1044359942.db2.gz RHVVILLIKCTEJD-IBGZPJMESA-N 0 3 311.425 4.096 20 0 DIADHN Fc1ccc([C@H]2CC[C@H](NCc3ncc(Cl)s3)C2)cc1 ZINC000475333693 1044365728 /nfs/dbraw/zinc/36/57/28/1044365728.db2.gz OFTLTOHXLZSAAD-AAEUAGOBSA-N 0 3 310.825 4.362 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2ccsc21)c1ccsc1 ZINC000361161885 1044367680 /nfs/dbraw/zinc/36/76/80/1044367680.db2.gz OQCMSTMUZSMXTA-AWEZNQCLSA-N 0 3 303.456 4.073 20 0 DIADHN Cn1cc(CN(Cc2ccccc2)CC2CCC2)c(C(F)F)n1 ZINC000361179116 1044370104 /nfs/dbraw/zinc/37/01/04/1044370104.db2.gz OYAFPLQAPATMLC-UHFFFAOYSA-N 0 3 319.399 4.160 20 0 DIADHN C[C@@H](CCC(F)(F)F)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361188098 1044373882 /nfs/dbraw/zinc/37/38/82/1044373882.db2.gz RHXSBHXALRWHKV-GZMMTYOYSA-N 0 3 309.278 4.109 20 0 DIADHN Cc1ccccc1-c1cccc(C[NH2+]Cc2ncccc2[O-])c1 ZINC000475353470 1044374897 /nfs/dbraw/zinc/37/48/97/1044374897.db2.gz WBIHKEKBEODLOB-UHFFFAOYSA-N 0 3 304.393 4.052 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@@H](c3ccc(F)cc3)C2)c1 ZINC000475353996 1044377899 /nfs/dbraw/zinc/37/78/99/1044377899.db2.gz HDTLLNQYXQNTIB-PBHICJAKSA-N 0 3 303.352 4.096 20 0 DIADHN CC[C@@H](NCc1ccc(OCCOC)cc1)c1ccc(F)cc1 ZINC000107316541 1044379861 /nfs/dbraw/zinc/37/98/61/1044379861.db2.gz ZTUDNBTXJCFPII-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cncc(C(F)(F)F)c2)C1 ZINC000361318862 1044390964 /nfs/dbraw/zinc/39/09/64/1044390964.db2.gz QQIDHRXOZIOIKV-ZIAGYGMSSA-N 0 3 318.408 4.206 20 0 DIADHN CCc1ccc2c(CN[C@H](C)c3ccccc3)cc(=O)oc2c1 ZINC000007379226 1044391848 /nfs/dbraw/zinc/39/18/48/1044391848.db2.gz UACNBUJNDBOCJI-CQSZACIVSA-N 0 3 307.393 4.206 20 0 DIADHN COc1cc(Cl)ccc1CN(C)C[C@H](C)c1nccs1 ZINC000361320239 1044393632 /nfs/dbraw/zinc/39/36/32/1044393632.db2.gz HARNRAHPIPLAGD-NSHDSACASA-N 0 3 310.850 4.041 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H]1CCCc2ccc(Cl)cc21 ZINC000564670171 1044397056 /nfs/dbraw/zinc/39/70/56/1044397056.db2.gz CMECQVROLAHCDP-DLBZAZTESA-N 0 3 315.848 4.118 20 0 DIADHN Cc1ccc2c(CN(C)[C@@H]3CCc4ccccc43)cc(=O)oc2c1 ZINC000029484561 1044397089 /nfs/dbraw/zinc/39/70/89/1044397089.db2.gz GMTVDMUIELAIGC-LJQANCHMSA-N 0 3 319.404 4.221 20 0 DIADHN CC1=C[C@H](C)C[C@@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000564760165 1044402584 /nfs/dbraw/zinc/40/25/84/1044402584.db2.gz MCZCGOFMCDPZIU-DZGCQCFKSA-N 0 3 313.470 4.287 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)C[C@H](N)c1ccccc1 ZINC000564985842 1044407527 /nfs/dbraw/zinc/40/75/27/1044407527.db2.gz QTYGNITWMIDNGR-SFHVURJKSA-N 0 3 310.441 4.304 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCC[C@H](F)C2)c2ccccc2)nc1 ZINC000564964624 1044407979 /nfs/dbraw/zinc/40/79/79/1044407979.db2.gz PHLAUPVDVHAQIB-YXJHDRRASA-N 0 3 302.368 4.180 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C(C)C)CC1CCC1 ZINC000361485559 1044408462 /nfs/dbraw/zinc/40/84/62/1044408462.db2.gz OSMNVQXNKNOAKA-UHFFFAOYSA-N 0 3 302.462 4.179 20 0 DIADHN CCN(Cc1nnc(-c2cccc(Cl)c2)o1)CC1CCC1 ZINC000361482153 1044408519 /nfs/dbraw/zinc/40/85/19/1044408519.db2.gz VDQHRXYUUFHRFA-UHFFFAOYSA-N 0 3 305.809 4.012 20 0 DIADHN CCCn1ncnc1CN[C@@H](CC1CCCCC1)C(C)(C)C ZINC000565153390 1044417083 /nfs/dbraw/zinc/41/70/83/1044417083.db2.gz SDSDGRRAVAJAGI-INIZCTEOSA-N 0 3 306.498 4.163 20 0 DIADHN Cc1ccnc([C@H]2CCN([C@@H](C)c3nc4ccccc4o3)C2)c1 ZINC000565175036 1044418424 /nfs/dbraw/zinc/41/84/24/1044418424.db2.gz YSXANFZDLLNRON-GJZGRUSLSA-N 0 3 307.397 4.082 20 0 DIADHN Cc1ccnc([C@H]2CCN([C@H](C)c3nc4ccccc4o3)C2)c1 ZINC000565175026 1044418601 /nfs/dbraw/zinc/41/86/01/1044418601.db2.gz YSXANFZDLLNRON-CABCVRRESA-N 0 3 307.397 4.082 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)C2(CO)CCC2)c(C)n1 ZINC000639654114 1044423034 /nfs/dbraw/zinc/42/30/34/1044423034.db2.gz GHSLOKGYGAOZAQ-HXUWFJFHSA-N 0 3 324.468 4.000 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc(N(C)C)nc2)c2ccccc21 ZINC000565343747 1044427266 /nfs/dbraw/zinc/42/72/66/1044427266.db2.gz RYXLMOPEOKNFST-RBUKOAKNSA-N 0 3 309.457 4.122 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCSCC[C@@H]3C)s2)o1 ZINC000361638791 1044427310 /nfs/dbraw/zinc/42/73/10/1044427310.db2.gz MSLPMUUDAGAZSZ-NSHDSACASA-N 0 3 308.472 4.039 20 0 DIADHN COc1ccc(CN2CCSCC[C@H]2C)cc1OCC(C)C ZINC000361637687 1044427667 /nfs/dbraw/zinc/42/76/67/1044427667.db2.gz AOPBAYZCUFPXSI-OAHLLOKOSA-N 0 3 323.502 4.057 20 0 DIADHN C[C@@H](NC[C@H]1SCCc2ccccc21)c1cn2ccccc2n1 ZINC000565491659 1044436757 /nfs/dbraw/zinc/43/67/57/1044436757.db2.gz MLHPFZSBCPBESL-RDTXWAMCSA-N 0 3 323.465 4.016 20 0 DIADHN CC[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1cc(F)ccc1F ZINC000361753894 1044440231 /nfs/dbraw/zinc/44/02/31/1044440231.db2.gz LLCRTATXXSKIRT-OKZBNKHCSA-N 0 3 319.399 4.386 20 0 DIADHN COC1(CCN[C@@H](C)c2nc3ccccc3n2C(F)F)CCC1 ZINC000294626902 1044441547 /nfs/dbraw/zinc/44/15/47/1044441547.db2.gz PBNRRFXDTZSLAT-LBPRGKRZSA-N 0 3 323.387 4.041 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CC[C@H](CC(F)(F)F)C2)c1 ZINC000565638458 1044447579 /nfs/dbraw/zinc/44/75/79/1044447579.db2.gz KYZFOGRAPUKOFC-CYBMUJFWSA-N 0 3 323.362 4.159 20 0 DIADHN COc1ccc(CN[C@H](C)c2nccc3ccccc32)c(C)c1 ZINC000565686387 1044449996 /nfs/dbraw/zinc/44/99/96/1044449996.db2.gz GNCWHVRFCIEGJW-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CCn1cc([C@H](C)NCc2cc(-c3ccccc3)cs2)cn1 ZINC000294728707 1044453306 /nfs/dbraw/zinc/45/33/06/1044453306.db2.gz BJDNLMJJVXZPOY-AWEZNQCLSA-N 0 3 311.454 4.482 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1ccc(-n2ccnc2)cc1C ZINC000361861530 1044453397 /nfs/dbraw/zinc/45/33/97/1044453397.db2.gz CDIZAKJMFWPGJU-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccccc1 ZINC000565763139 1044454317 /nfs/dbraw/zinc/45/43/17/1044454317.db2.gz HALZORJYBBZEHG-RDTXWAMCSA-N 0 3 302.849 4.294 20 0 DIADHN Cc1ccc(C)c(NC(=O)c2oc3ccccc3c2CN(C)C)c1 ZINC000114110896 1044457403 /nfs/dbraw/zinc/45/74/03/1044457403.db2.gz JUAIRGVKRFKUDF-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CCOc1cc(CN[C@@H]2CCc3c2cccc3F)ccc1OC ZINC000126942511 1044460982 /nfs/dbraw/zinc/46/09/82/1044460982.db2.gz PFOVHPJSGRGVPM-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000361951099 1044463057 /nfs/dbraw/zinc/46/30/57/1044463057.db2.gz GZIWYAKEDFCRSQ-UXIGCNINSA-N 0 3 304.484 4.355 20 0 DIADHN CC[C@@H](NC[C@@]1(C)CCCO1)c1ccccc1Br ZINC000362022647 1044469228 /nfs/dbraw/zinc/46/92/28/1044469228.db2.gz FZCJCLWDJJRNIG-HUUCEWRRSA-N 0 3 312.251 4.059 20 0 DIADHN CCCC[C@H](C)[C@H](C)Nc1ccccc1CN1CCC(O)CC1 ZINC000566391102 1044486794 /nfs/dbraw/zinc/48/67/94/1044486794.db2.gz ZMVVPRCNFIRSGP-IRXDYDNUSA-N 0 3 318.505 4.270 20 0 DIADHN COc1cc(CCN[C@@H](C)c2csc(C)n2)ccc1Cl ZINC000295070330 1044488188 /nfs/dbraw/zinc/48/81/88/1044488188.db2.gz RYNCXFXZXMHCFO-JTQLQIEISA-N 0 3 310.850 4.007 20 0 DIADHN CO[C@H]1CCN(Cc2cc(Cl)cc3cccnc32)CC1(C)C ZINC000295108848 1044491650 /nfs/dbraw/zinc/49/16/50/1044491650.db2.gz VXJGBYPIGAAXJV-INIZCTEOSA-N 0 3 318.848 4.135 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000475534761 1044492906 /nfs/dbraw/zinc/49/29/06/1044492906.db2.gz WSAJYIRSNWTGFG-CJNGLKHVSA-N 0 3 302.393 4.491 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000119352552 1044494109 /nfs/dbraw/zinc/49/41/09/1044494109.db2.gz JSYXMISFPGOKPF-MJGOQNOKSA-N 0 3 313.420 4.068 20 0 DIADHN Fc1cccc([C@H]2CC[C@H](NCc3ncc(Cl)s3)C2)c1 ZINC000475545532 1044496233 /nfs/dbraw/zinc/49/62/33/1044496233.db2.gz DSNZJBIHSZPAMG-AAEUAGOBSA-N 0 3 310.825 4.362 20 0 DIADHN CC(C)SCCNCc1c(Cl)cccc1N1CCCC1 ZINC000566642659 1044504354 /nfs/dbraw/zinc/50/43/54/1044504354.db2.gz WCAIIDTXWVKRJU-UHFFFAOYSA-N 0 3 312.910 4.171 20 0 DIADHN Fc1cccc(C2CC(NCc3cc(F)cnc3Cl)C2)c1 ZINC000295193853 1044498201 /nfs/dbraw/zinc/49/82/01/1044498201.db2.gz XPMIEQHMRVJGHT-UHFFFAOYSA-N 0 3 308.759 4.049 20 0 DIADHN COc1ccc2nc(CN3CCC[C@H]4CCC[C@@H]43)sc2c1 ZINC000475561780 1044499755 /nfs/dbraw/zinc/49/97/55/1044499755.db2.gz ANDHQTWOKVQCKQ-DOMZBBRYSA-N 0 3 302.443 4.069 20 0 DIADHN FC(F)(F)c1ccc(C2CCN(Cc3ccc[nH]3)CC2)cc1 ZINC001204009752 1044499848 /nfs/dbraw/zinc/49/98/48/1044499848.db2.gz GFCIILSJKFTJQI-UHFFFAOYSA-N 0 3 308.347 4.413 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccccn2)cc2cccnc12 ZINC000566586420 1044501396 /nfs/dbraw/zinc/50/13/96/1044501396.db2.gz QCZGQUUPUUOHLO-LJQANCHMSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cnccc1CNCc1c(C)cc(Br)cc1C ZINC000295236728 1044503259 /nfs/dbraw/zinc/50/32/59/1044503259.db2.gz SIRRXZOTGFKLAH-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1cc(CNCc2ccnc(N3CCCCCC3)c2)cs1 ZINC000295240011 1044503800 /nfs/dbraw/zinc/50/38/00/1044503800.db2.gz SQFBKIOINOBTQH-UHFFFAOYSA-N 0 3 315.486 4.122 20 0 DIADHN CO[C@]1(C)CCCN(Cc2cccc(C(F)(F)F)c2)CC1 ZINC000475567247 1044506528 /nfs/dbraw/zinc/50/65/28/1044506528.db2.gz KCFXDUJUAGBKNP-OAHLLOKOSA-N 0 3 301.352 4.096 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2cc(C)sc2C)cc1 ZINC000120369655 1044506817 /nfs/dbraw/zinc/50/68/17/1044506817.db2.gz SHJMXOBLIYTBCO-FZKQIMNGSA-N 0 3 319.470 4.148 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@H](C)c2cc(C)cc(C)c2)cc1 ZINC000120393535 1044507225 /nfs/dbraw/zinc/50/72/25/1044507225.db2.gz UYIGNCMWIWPTQJ-OXQOHEQNSA-N 0 3 313.441 4.086 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000295309454 1044508168 /nfs/dbraw/zinc/50/81/68/1044508168.db2.gz VUXASNBQJWTWER-JMSVASOKSA-N 0 3 323.362 4.129 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc(CO)c(F)c2)c2ccccc21 ZINC000566718577 1044511560 /nfs/dbraw/zinc/51/15/60/1044511560.db2.gz SGORSCWDVKCRCU-ICSRJNTNSA-N 0 3 313.416 4.292 20 0 DIADHN CC(C)n1cc(CN2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC000475578447 1044521319 /nfs/dbraw/zinc/52/13/19/1044521319.db2.gz OVUQHKWGZIUTNB-UHFFFAOYSA-N 0 3 317.864 4.497 20 0 DIADHN Cn1cc(-c2ccc(CN3CC[C@@H]3c3ccccc3)s2)cn1 ZINC000566868318 1044522225 /nfs/dbraw/zinc/52/22/25/1044522225.db2.gz ABTWVQZRLXQXJI-QGZVFWFLSA-N 0 3 309.438 4.096 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)CCCC1CCCC1)c1ccco1 ZINC000566934427 1044527586 /nfs/dbraw/zinc/52/75/86/1044527586.db2.gz HTWDKOZZHYDZTM-DOTOQJQBSA-N 0 3 320.477 4.186 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ncccc1[O-] ZINC000567000783 1044533385 /nfs/dbraw/zinc/53/33/85/1044533385.db2.gz RXFZZOBWSJPEGH-INIZCTEOSA-N 0 3 314.429 4.063 20 0 DIADHN FC(F)(F)Oc1ccc([C@H]2CCCN2Cc2ccc[nH]2)cc1 ZINC001204011301 1044536453 /nfs/dbraw/zinc/53/64/53/1044536453.db2.gz ZUJIAJXWPCHSNO-OAHLLOKOSA-N 0 3 310.319 4.250 20 0 DIADHN CC(C)(O)c1ccc(CNC(C)(C)c2ccc(F)c(F)c2)cc1 ZINC000295545249 1044538977 /nfs/dbraw/zinc/53/89/77/1044538977.db2.gz WPWNWUJJINTBAP-UHFFFAOYSA-N 0 3 319.395 4.217 20 0 DIADHN C[C@H](CC1CCOCC1)N[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000348330196 1044544271 /nfs/dbraw/zinc/54/42/71/1044544271.db2.gz RZKSHXHGUAJDDB-NXEZZACHSA-N 0 3 322.396 4.018 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000925154250 1044545280 /nfs/dbraw/zinc/54/52/80/1044545280.db2.gz AZWMJWXCVROEOO-LMMKCTJWSA-N 0 3 312.482 4.353 20 0 DIADHN FC(F)(F)Oc1ccc(C2CCN(Cc3ccc[nH]3)CC2)cc1 ZINC001204011420 1044546830 /nfs/dbraw/zinc/54/68/30/1044546830.db2.gz RDWJKUXSTBCBSF-UHFFFAOYSA-N 0 3 324.346 4.293 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3ccc(C(F)(F)F)cn3)C2)cc1 ZINC000567188000 1044548343 /nfs/dbraw/zinc/54/83/43/1044548343.db2.gz GBTFACNOUHKZNH-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN Cc1cccc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)c1F ZINC000295739004 1044554389 /nfs/dbraw/zinc/55/43/89/1044554389.db2.gz ORDAMAXFBMYUGO-APWZRJJASA-N 0 3 313.416 4.392 20 0 DIADHN CN(C)C[C@H](NCc1cccc2ccccc21)c1ccc(F)cc1 ZINC000567312233 1044558808 /nfs/dbraw/zinc/55/88/08/1044558808.db2.gz JNJOFXWMAVCPPP-NRFANRHFSA-N 0 3 322.427 4.371 20 0 DIADHN CN(C)C[C@@H](NCc1cccc2ccccc21)c1ccc(F)cc1 ZINC000567312234 1044559026 /nfs/dbraw/zinc/55/90/26/1044559026.db2.gz JNJOFXWMAVCPPP-OAQYLSRUSA-N 0 3 322.427 4.371 20 0 DIADHN CCC1CC(N[C@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000567374611 1044560104 /nfs/dbraw/zinc/56/01/04/1044560104.db2.gz BQYQZDRYAOIXFS-PPSBMQLTSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1ncc(CN[C@H](c2cccc(Cl)c2)C2CCCC2)n1C ZINC000567407997 1044562884 /nfs/dbraw/zinc/56/28/84/1044562884.db2.gz RZHQMIKXHIOFJF-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN Cc1ccc2nc(CN3Cc4ccccc4C[C@H]3C)ccc2c1 ZINC001137217336 1044563689 /nfs/dbraw/zinc/56/36/89/1044563689.db2.gz SWHVDBGUKSHZSW-MRXNPFEDSA-N 0 3 302.421 4.490 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(OC)cc1Cl ZINC000567429545 1044566140 /nfs/dbraw/zinc/56/61/40/1044566140.db2.gz SODODTYLYAYIOT-UHFFFAOYSA-N 0 3 318.848 4.198 20 0 DIADHN COCC1=CCN(Cc2ccc([C@@H](C)C(F)(F)F)cc2)CC1 ZINC000295851125 1044567019 /nfs/dbraw/zinc/56/70/19/1044567019.db2.gz XNOJSXIUNREXHI-CYBMUJFWSA-N 0 3 313.363 4.131 20 0 DIADHN Cc1nc(CN[C@H](C)Cc2ccc(Cl)cc2Cl)[nH]c1C ZINC000567522464 1044571770 /nfs/dbraw/zinc/57/17/70/1044571770.db2.gz RFCJCRUHZHMBSG-SECBINFHSA-N 0 3 312.244 4.054 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCC[C@@H]2C2CCCC2)n1 ZINC000444588270 1044572162 /nfs/dbraw/zinc/57/21/62/1044572162.db2.gz DNCYCNYDRNPOTK-MRXNPFEDSA-N 0 3 315.392 4.030 20 0 DIADHN Fc1ccccc1-c1noc(CN2CCC[C@H]2C2CCCC2)n1 ZINC000444588269 1044572750 /nfs/dbraw/zinc/57/27/50/1044572750.db2.gz DNCYCNYDRNPOTK-INIZCTEOSA-N 0 3 315.392 4.030 20 0 DIADHN c1csc(CS[C@H]2CCCN(Cc3ccccc3)C2)n1 ZINC000567587874 1044573674 /nfs/dbraw/zinc/57/36/74/1044573674.db2.gz AXVWNHVYKZSBFN-HNNXBMFYSA-N 0 3 304.484 4.041 20 0 DIADHN CC[C@H](NCc1cccc(N2CCCC2=O)c1)c1ccsc1 ZINC000295917612 1044575796 /nfs/dbraw/zinc/57/57/96/1044575796.db2.gz JQDVEOMCNXNRBM-KRWDZBQOSA-N 0 3 314.454 4.116 20 0 DIADHN CCOc1ccc(CN[C@H](CC)c2ccsc2)cc1OC ZINC000296033862 1044584261 /nfs/dbraw/zinc/58/42/61/1044584261.db2.gz QHCZLUKIGWGUGY-OAHLLOKOSA-N 0 3 305.443 4.396 20 0 DIADHN CCCCCn1cc(CNCc2cccc3cccnc32)c(C)n1 ZINC000348354645 1044587492 /nfs/dbraw/zinc/58/74/92/1044587492.db2.gz VYRVTNSKBOWVLG-UHFFFAOYSA-N 0 3 322.456 4.220 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2CCCc3c(Cl)cccc32)cn1 ZINC000296101292 1044588601 /nfs/dbraw/zinc/58/86/01/1044588601.db2.gz YUPAWMGMGHQNCW-PXAZEXFGSA-N 0 3 303.837 4.285 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)Cc2ccc3c(c2)CCC3)s1 ZINC000353641026 1044589607 /nfs/dbraw/zinc/58/96/07/1044589607.db2.gz DUYUONPTCSMXIS-ZDUSSCGKSA-N 0 3 300.471 4.442 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2Cn2ccnc2)cs1 ZINC000296077970 1044589969 /nfs/dbraw/zinc/58/99/69/1044589969.db2.gz SKAVHUXEKGAADI-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2csc(C)c2)cn1 ZINC000296141556 1044594963 /nfs/dbraw/zinc/59/49/63/1044594963.db2.gz WGCFCHZPHSZZIJ-AWEZNQCLSA-N 0 3 303.475 4.149 20 0 DIADHN c1cn2c(n1)[C@H](NCCC(c1ccccc1)c1ccccc1)CC2 ZINC000296218005 1044597659 /nfs/dbraw/zinc/59/76/59/1044597659.db2.gz ZRFPKVPJMPJDKY-HXUWFJFHSA-N 0 3 317.436 4.140 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H]2CCOC3(CCCCC3)C2)o1 ZINC000886000235 1044598805 /nfs/dbraw/zinc/59/88/05/1044598805.db2.gz YNZVKLRKOJCBRN-RDJZCZTQSA-N 0 3 321.461 4.001 20 0 DIADHN Cc1[nH]nc(CN[C@H](c2ccccc2F)C2CCCC2)c1C ZINC000886016540 1044599379 /nfs/dbraw/zinc/59/93/79/1044599379.db2.gz GWSPNLSYAOUDHB-SFHVURJKSA-N 0 3 301.409 4.187 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1nc(-c2ccsc2)no1 ZINC000444676210 1044600007 /nfs/dbraw/zinc/60/00/07/1044600007.db2.gz NJRFLIGSADFYBK-OCCSQVGLSA-N 0 3 305.447 4.199 20 0 DIADHN C[C@H](N[C@H]1CCOC1(C)C)c1ccc(Oc2ccccc2)cn1 ZINC000296281736 1044605092 /nfs/dbraw/zinc/60/50/92/1044605092.db2.gz FCIFEZDUMWBDPR-KSSFIOAISA-N 0 3 312.413 4.092 20 0 DIADHN C[C@@H](N[C@H]1CCOC1(C)C)c1ccc(Oc2ccccc2)cn1 ZINC000296281732 1044605407 /nfs/dbraw/zinc/60/54/07/1044605407.db2.gz FCIFEZDUMWBDPR-KDOFPFPSSA-N 0 3 312.413 4.092 20 0 DIADHN Cc1ccnc([C@@H]2CCN([C@H](C)c3ccc(F)c(F)c3)C2)c1 ZINC000568180763 1044607878 /nfs/dbraw/zinc/60/78/78/1044607878.db2.gz BHRHKRKPODLYTI-UKRRQHHQSA-N 0 3 302.368 4.219 20 0 DIADHN CSc1ccc(OCCN2CC[C@@H](CC(F)(F)F)C2)cc1 ZINC000444702545 1044608236 /nfs/dbraw/zinc/60/82/36/1044608236.db2.gz RPXOQUXWOPNCEA-LBPRGKRZSA-N 0 3 319.392 4.062 20 0 DIADHN COC(=O)c1cc(Nc2ccc3nc(C)[nH]c3c2)ccc1Cl ZINC001213075468 1044611410 /nfs/dbraw/zinc/61/14/10/1044611410.db2.gz TXPMIWPLQKPFOV-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN COc1cc([C@H](C)NCc2ccc3[nH]c(C)c(C)c3c2)ccn1 ZINC000568238079 1044611624 /nfs/dbraw/zinc/61/16/24/1044611624.db2.gz MOXRYQDYFBVZCH-AWEZNQCLSA-N 0 3 309.413 4.039 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cncc(Br)c2)cc1 ZINC000568275808 1044612881 /nfs/dbraw/zinc/61/28/81/1044612881.db2.gz YWNFDOIRUJWXTA-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN COc1ccc(CN(Cc2c(C)noc2C)[C@H](C)C2CC2)cc1 ZINC000053097915 1044615332 /nfs/dbraw/zinc/61/53/32/1044615332.db2.gz LQHMZIJLAFYZKA-CQSZACIVSA-N 0 3 314.429 4.101 20 0 DIADHN Cc1[nH]nc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)c1C ZINC000886132069 1044617892 /nfs/dbraw/zinc/61/78/92/1044617892.db2.gz OAVQMABKSADMGF-INIZCTEOSA-N 0 3 307.800 4.060 20 0 DIADHN Cc1[nH]nc(CN[C@@H](C)c2ccc(Oc3cccnc3)cc2)c1C ZINC000886118367 1044618800 /nfs/dbraw/zinc/61/88/00/1044618800.db2.gz DPONTMPVTHIDIF-HNNXBMFYSA-N 0 3 322.412 4.065 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCOC(C)(C)C ZINC000444780514 1044620512 /nfs/dbraw/zinc/62/05/12/1044620512.db2.gz MWXLPBXCLADYAJ-CQSZACIVSA-N 0 3 303.368 4.393 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C\c1ccccc1Cl ZINC000042752605 1044623565 /nfs/dbraw/zinc/62/35/65/1044623565.db2.gz QPYQACBRFSNZDX-QXMHVHEDSA-N 0 3 314.816 4.054 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@@H]1CC[C@@H](CC)CC1)c1ccco1 ZINC000568431375 1044623629 /nfs/dbraw/zinc/62/36/29/1044623629.db2.gz KYTMGBBTUOTCSI-QAETUUGQSA-N 0 3 320.477 4.041 20 0 DIADHN CCn1c2ccc(F)cc2nc1CN(CC1CCC1)C(C)C ZINC000444769294 1044623987 /nfs/dbraw/zinc/62/39/87/1044623987.db2.gz HWKKSZZDYJBIRK-UHFFFAOYSA-N 0 3 303.425 4.206 20 0 DIADHN Clc1cccc2ccc(CN3CC[C@@H](c4ccccn4)C3)nc12 ZINC001137226724 1044624647 /nfs/dbraw/zinc/62/46/47/1044624647.db2.gz WTMYITHLEXJOCF-OAHLLOKOSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@H]1CCCCO1 ZINC000444776448 1044625782 /nfs/dbraw/zinc/62/57/82/1044625782.db2.gz CBDBFKLEIKYHJS-HIFRSBDPSA-N 0 3 301.352 4.147 20 0 DIADHN Clc1cc(CN2CCCC23CCC3)cc2c1OCCCO2 ZINC000444777276 1044628246 /nfs/dbraw/zinc/62/82/46/1044628246.db2.gz FIODCDIIRXWROR-UHFFFAOYSA-N 0 3 307.821 4.020 20 0 DIADHN CCn1ccnc1CNCC(CC)(CC)c1ccc(Cl)cc1 ZINC000523390990 1044628412 /nfs/dbraw/zinc/62/84/12/1044628412.db2.gz RFRYUCFGUMYDKW-UHFFFAOYSA-N 0 3 319.880 4.404 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(C(F)(F)F)c2)s1 ZINC000296572815 1044629059 /nfs/dbraw/zinc/62/90/59/1044629059.db2.gz TUSVOIDWGHYCBR-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN Cc1nccn1CCN1CCCC[C@H]1c1cccc(Cl)c1 ZINC000444799024 1044629558 /nfs/dbraw/zinc/62/95/58/1044629558.db2.gz RLLGDEVMQXGQKL-KRWDZBQOSA-N 0 3 303.837 4.072 20 0 DIADHN Clc1ccc(CCNCc2cnc(C3CC3)o2)cc1Cl ZINC000886296928 1044629986 /nfs/dbraw/zinc/62/99/86/1044629986.db2.gz DZRGRFYZTFZQBG-UHFFFAOYSA-N 0 3 311.212 4.191 20 0 DIADHN C[C@@H]1C[C@H](NCCCCCc2ccc(F)cc2)c2nccn21 ZINC000296565106 1044630213 /nfs/dbraw/zinc/63/02/13/1044630213.db2.gz UQNLVXBYFSDIQP-PBHICJAKSA-N 0 3 301.409 4.031 20 0 DIADHN Fc1ccc([C@@H](NCc2ccnc(C(F)(F)F)c2)C2CC2)cc1 ZINC000296584719 1044631821 /nfs/dbraw/zinc/63/18/21/1044631821.db2.gz UOYARNHEZGNMCF-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN CCSCCCNCc1cccc(Cl)c1Br ZINC000719477146 1044632398 /nfs/dbraw/zinc/63/23/98/1044632398.db2.gz KGKNJLYUHKHLMM-UHFFFAOYSA-N 0 3 322.699 4.335 20 0 DIADHN C[C@H](N[C@H](CNC(=O)OC(C)(C)C)C1CCCC1)c1ccco1 ZINC000219327971 1044640809 /nfs/dbraw/zinc/64/08/09/1044640809.db2.gz YOUXVXDNSKJBDN-DZGCQCFKSA-N 0 3 322.449 4.014 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)Oc2ccccc21)c1ccc2c(c1)COC2 ZINC000296656959 1044641201 /nfs/dbraw/zinc/64/12/01/1044641201.db2.gz ZLBBLPLKYJBTBF-PJIJBLCYSA-N 0 3 309.409 4.280 20 0 DIADHN CC(C)[C@@H](Cc1ccccc1)NCc1nnc(-c2ccccc2)o1 ZINC000886423589 1044641752 /nfs/dbraw/zinc/64/17/52/1044641752.db2.gz HXAUKRBMDKNDLZ-GOSISDBHSA-N 0 3 321.424 4.094 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@H]1CCC[C@H](C)C1)c1ccco1 ZINC000568670497 1044642294 /nfs/dbraw/zinc/64/22/94/1044642294.db2.gz CCEVSXDRFCUAGP-LUKYLMHMSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@H](N[C@@H]1CCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000296677785 1044642445 /nfs/dbraw/zinc/64/24/45/1044642445.db2.gz GHZZYMSYLBFOBL-UONOGXRCSA-N 0 3 300.427 4.030 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccccc2OC2CC2)CC1 ZINC000296739174 1044645379 /nfs/dbraw/zinc/64/53/79/1044645379.db2.gz JPSRMTUKYZEUGT-UHFFFAOYSA-N 0 3 303.446 4.007 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccn1 ZINC000886489170 1044645706 /nfs/dbraw/zinc/64/57/06/1044645706.db2.gz BJXXVKKCDNNJAJ-KPZWWZAWSA-N 0 3 309.388 4.430 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@@H](C)c1ccccc1SCC ZINC000568732989 1044646208 /nfs/dbraw/zinc/64/62/08/1044646208.db2.gz PELYJGQXEOXTQZ-CABCVRRESA-N 0 3 322.518 4.002 20 0 DIADHN Clc1cccc2c3c([nH]c21)[C@H](NCc1cccnc1)CCC3 ZINC000886487366 1044646529 /nfs/dbraw/zinc/64/65/29/1044646529.db2.gz AEWXWOCRRYIWGR-MRXNPFEDSA-N 0 3 311.816 4.384 20 0 DIADHN Clc1cccc2c3c([nH]c21)[C@@H](NCc1cccnc1)CCC3 ZINC000886487365 1044646610 /nfs/dbraw/zinc/64/66/10/1044646610.db2.gz AEWXWOCRRYIWGR-INIZCTEOSA-N 0 3 311.816 4.384 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1ccccn1 ZINC000886489173 1044646804 /nfs/dbraw/zinc/64/68/04/1044646804.db2.gz BJXXVKKCDNNJAJ-XIKOKIGWSA-N 0 3 309.388 4.430 20 0 DIADHN Cc1[nH]c2ccc(CN[C@H](c3cnn(C)c3)C(C)C)cc2c1C ZINC000886512655 1044652779 /nfs/dbraw/zinc/65/27/79/1044652779.db2.gz KFKHQZBMQFHYOR-IBGZPJMESA-N 0 3 310.445 4.005 20 0 DIADHN O=C(CN(C1CCC1)C1CCC1)C12CC3CC(CC(C3)C1)C2 ZINC000568825559 1044654883 /nfs/dbraw/zinc/65/48/83/1044654883.db2.gz YXMGGPXYFSQHME-UHFFFAOYSA-N 0 3 301.474 4.179 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@H](c2cnn(C)c2)C(C)C)c1C ZINC000886522598 1044655293 /nfs/dbraw/zinc/65/52/93/1044655293.db2.gz MVHZOYYVUVZUGI-IBGZPJMESA-N 0 3 324.472 4.314 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3c4cc(F)ccc4[nH]c32)nc1C ZINC000886623961 1044669748 /nfs/dbraw/zinc/66/97/48/1044669748.db2.gz UUAYYCXPCAJADL-IBGZPJMESA-N 0 3 323.415 4.486 20 0 DIADHN CC(C)[C@H](NCc1ccc(Cl)c(Cl)c1)c1cnn(C)c1 ZINC000886637960 1044672135 /nfs/dbraw/zinc/67/21/35/1044672135.db2.gz JJCJDAMIRZSCGH-HNNXBMFYSA-N 0 3 312.244 4.214 20 0 DIADHN Cc1cccc2nc(C3CCN(Cc4ncccc4C)CC3)oc21 ZINC000364624884 1044672994 /nfs/dbraw/zinc/67/29/94/1044672994.db2.gz VGMSRRWVQIJFOD-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CC(C)[C@@H](NCc1ccc(C(C)(C)C)s1)c1cnn(C)c1 ZINC000886649542 1044674065 /nfs/dbraw/zinc/67/40/65/1044674065.db2.gz CYAJFOWZSSBZNC-MRXNPFEDSA-N 0 3 305.491 4.266 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CCC[C@@H]3N[C@@H]1CCCn2nccc21 ZINC000886649503 1044674735 /nfs/dbraw/zinc/67/47/35/1044674735.db2.gz CBMQNFWFLUPYSC-SJORKVTESA-N 0 3 324.403 4.006 20 0 DIADHN Cc1nc(C2CCN([C@@H](C)c3nc4ccccc4o3)CC2)[nH]c1C ZINC000886662952 1044676197 /nfs/dbraw/zinc/67/61/97/1044676197.db2.gz QEYYDEOBYQRHIC-AWEZNQCLSA-N 0 3 324.428 4.108 20 0 DIADHN CCC[C@]1(NCc2cc(F)c(C(F)(F)F)cc2F)CCOC1 ZINC000569135917 1044676313 /nfs/dbraw/zinc/67/63/13/1044676313.db2.gz OIGUMDOGSJSFMV-AWEZNQCLSA-N 0 3 323.305 4.032 20 0 DIADHN Cc1nc(C2CCN([C@@H](C)c3ccc(F)cc3F)CC2)[nH]c1C ZINC000886667673 1044676958 /nfs/dbraw/zinc/67/69/58/1044676958.db2.gz FOGHZXHEMBHSFX-ZDUSSCGKSA-N 0 3 319.399 4.245 20 0 DIADHN Cc1nc(C2CCN([C@H](C)c3nc4ccccc4o3)CC2)[nH]c1C ZINC000886662953 1044677208 /nfs/dbraw/zinc/67/72/08/1044677208.db2.gz QEYYDEOBYQRHIC-CQSZACIVSA-N 0 3 324.428 4.108 20 0 DIADHN Cc1nc(C2CCN(Cc3cccc(Cl)c3)CC2)[nH]c1C ZINC000886660949 1044677582 /nfs/dbraw/zinc/67/75/82/1044677582.db2.gz IWKCNIJTAWKXJG-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CCC[C@@]1(NCc2cc(F)c(C(F)(F)F)cc2F)CCOC1 ZINC000569135918 1044677783 /nfs/dbraw/zinc/67/77/83/1044677783.db2.gz OIGUMDOGSJSFMV-CQSZACIVSA-N 0 3 323.305 4.032 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ncccn2)C1 ZINC000886678825 1044677853 /nfs/dbraw/zinc/67/78/53/1044677853.db2.gz LMCDSIGDFHDORK-ZFWWWQNUSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)NCc1cc(C2CC2)no1 ZINC000886684634 1044679424 /nfs/dbraw/zinc/67/94/24/1044679424.db2.gz PDNPWRIJQUGWGJ-LLVKDONJSA-N 0 3 324.346 4.292 20 0 DIADHN Cc1cccc2nc(CN3C[C@H](c4ccccc4)C[C@@H]3C)cn21 ZINC000523760499 1044682672 /nfs/dbraw/zinc/68/26/72/1044682672.db2.gz ZBJOZOOSYMMDOO-FUHWJXTLSA-N 0 3 305.425 4.021 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(SC)cc1 ZINC000037500935 1044683435 /nfs/dbraw/zinc/68/34/35/1044683435.db2.gz YRZZXQNQSCDKSI-UHFFFAOYSA-N 0 3 301.455 4.235 20 0 DIADHN C[C@@H](NCc1nc2ccc(Br)cc2o1)C(C)(C)C ZINC000569226989 1044684719 /nfs/dbraw/zinc/68/47/19/1044684719.db2.gz QHUXMKANJPNCKX-SECBINFHSA-N 0 3 311.223 4.115 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1cccc(Cl)n1 ZINC000075647848 1044691639 /nfs/dbraw/zinc/69/16/39/1044691639.db2.gz DNKAHBXRGFCEQS-UHFFFAOYSA-N 0 3 318.848 4.164 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2nnc(-c3ccco3)o2)C1 ZINC000076387143 1044693902 /nfs/dbraw/zinc/69/39/02/1044693902.db2.gz IAAUEAZIWQVNJH-GJZGRUSLSA-N 0 3 323.396 4.016 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@H]1C ZINC000365787394 1044702808 /nfs/dbraw/zinc/70/28/08/1044702808.db2.gz OBSYCDNOLPBZOS-UTUOFQBUSA-N 0 3 305.809 4.181 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1cccc2c1CCOC2 ZINC000631729760 1044702974 /nfs/dbraw/zinc/70/29/74/1044702974.db2.gz JEMQGYAYJZEBJQ-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@H]1C ZINC000365787393 1044703396 /nfs/dbraw/zinc/70/33/96/1044703396.db2.gz OBSYCDNOLPBZOS-IJLUTSLNSA-N 0 3 305.809 4.181 20 0 DIADHN CC(C)(NCc1cnn(-c2ccc(F)cc2)c1)c1cccs1 ZINC000523937847 1044706849 /nfs/dbraw/zinc/70/68/49/1044706849.db2.gz JNVMTKXQYKEJIN-UHFFFAOYSA-N 0 3 315.417 4.098 20 0 DIADHN Cc1cccc([C@@H](NCCC2(O)CCC2)c2cccc(F)c2)c1 ZINC000569714677 1044708224 /nfs/dbraw/zinc/70/82/24/1044708224.db2.gz CMEMTYBWMWDYEL-LJQANCHMSA-N 0 3 313.416 4.118 20 0 DIADHN Cc1cccc([C@H](NCCC2(O)CCC2)c2cccc(F)c2)c1 ZINC000569714676 1044708841 /nfs/dbraw/zinc/70/88/41/1044708841.db2.gz CMEMTYBWMWDYEL-IBGZPJMESA-N 0 3 313.416 4.118 20 0 DIADHN C[C@@H](NC1CCC=CCC1)c1ncc(Br)s1 ZINC000886813801 1044708910 /nfs/dbraw/zinc/70/89/10/1044708910.db2.gz VLZHOCKJSOENFX-SECBINFHSA-N 0 3 301.253 4.055 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H]([C@H](C)O)C1 ZINC000445412986 1044712930 /nfs/dbraw/zinc/71/29/30/1044712930.db2.gz RTKVLAVRZRBRCM-FIXISWKDSA-N 0 3 302.245 4.147 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1ccc(C)s1 ZINC000366210637 1044713954 /nfs/dbraw/zinc/71/39/54/1044713954.db2.gz OUKQDGSUJDSDRX-IUODEOHRSA-N 0 3 303.427 4.240 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2NCCC1CCCCCC1 ZINC000570008166 1044725911 /nfs/dbraw/zinc/72/59/11/1044725911.db2.gz HYVSRJURBPEBJS-MRXNPFEDSA-N 0 3 304.482 4.187 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccncc2)cc1)c1cccc(O)c1 ZINC000367036314 1044730747 /nfs/dbraw/zinc/73/07/47/1044730747.db2.gz NIZPUALJIPQAKF-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN Fc1ccc(COc2cccc(CN[C@H]3C[C@@H]3C(F)F)c2)cc1 ZINC000570075500 1044731232 /nfs/dbraw/zinc/73/12/32/1044731232.db2.gz BMWSAYXCYALGGU-IRXDYDNUSA-N 0 3 321.342 4.148 20 0 DIADHN COc1cc([C@H](C)NCc2cc[nH]c2)ccc1OCCC(C)C ZINC000086251220 1044731449 /nfs/dbraw/zinc/73/14/49/1044731449.db2.gz UEASTLDDVKYAFK-HNNXBMFYSA-N 0 3 316.445 4.299 20 0 DIADHN CCCCC[C@@H](NCc1cccc(C(=O)NC)c1)c1ccccc1 ZINC000155936163 1044743475 /nfs/dbraw/zinc/74/34/75/1044743475.db2.gz ZRWQIDPTKHTMCR-HXUWFJFHSA-N 0 3 324.468 4.457 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1ccccn1 ZINC000090035105 1044745882 /nfs/dbraw/zinc/74/58/82/1044745882.db2.gz IGFHFCNKPBITSZ-AHIWAGSCSA-N 0 3 304.821 4.162 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2ccc(C)cc2C)c2ccccc21 ZINC000367570595 1044746810 /nfs/dbraw/zinc/74/68/10/1044746810.db2.gz QVZDXWPLMZMVRB-OXQOHEQNSA-N 0 3 322.452 4.452 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1ccccn1 ZINC000090035107 1044747190 /nfs/dbraw/zinc/74/71/90/1044747190.db2.gz IGFHFCNKPBITSZ-XNJGSVPQSA-N 0 3 304.821 4.162 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1cncc(F)c1 ZINC000090034956 1044747632 /nfs/dbraw/zinc/74/76/32/1044747632.db2.gz PRPSITCPTJKLAH-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(Cl)cc1)c1ccccc1 ZINC000570318973 1044749132 /nfs/dbraw/zinc/74/91/32/1044749132.db2.gz LCTXCLYBTDUZHL-QGZVFWFLSA-N 0 3 303.833 4.189 20 0 DIADHN C[C@@H](c1nc(-c2coc3ccccc23)no1)N1[C@H](C)CC[C@@H]1C ZINC000445550964 1044749774 /nfs/dbraw/zinc/74/97/74/1044749774.db2.gz DOVXLZCKKYKAGS-XQQFMLRXSA-N 0 3 311.385 4.417 20 0 DIADHN CC(C)C[C@@H](NCc1ccccc1-n1cccn1)c1ccccn1 ZINC000090798679 1044751644 /nfs/dbraw/zinc/75/16/44/1044751644.db2.gz XWWITESBHDUMIZ-LJQANCHMSA-N 0 3 320.440 4.144 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2cnn(C)c2C)cc1 ZINC000090887889 1044752265 /nfs/dbraw/zinc/75/22/65/1044752265.db2.gz QPVKAOBCLGIHPP-OAHLLOKOSA-N 0 3 315.461 4.148 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCC3(C)C)cs2)c1 ZINC000570462375 1044757386 /nfs/dbraw/zinc/75/73/86/1044757386.db2.gz QGAFMEJNMAPGFL-HNNXBMFYSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC(C2CCOCC2)CC1 ZINC000367953525 1044760923 /nfs/dbraw/zinc/76/09/23/1044760923.db2.gz ZWKWPAOGKVMXGF-CQSZACIVSA-N 0 3 314.429 4.027 20 0 DIADHN CCCCN(Cc1nnc(C)n1CCC)[C@@H]1CCC[C@@H](C)C1 ZINC000570499749 1044762267 /nfs/dbraw/zinc/76/22/67/1044762267.db2.gz LNYZEEUOTWXZIJ-NVXWUHKLSA-N 0 3 306.498 4.177 20 0 DIADHN Cc1cnc([C@@H](C)NC2CC(c3cc(F)cc(F)c3)C2)s1 ZINC000368031269 1044762478 /nfs/dbraw/zinc/76/24/78/1044762478.db2.gz SPJXRQSDCBVMFU-CKQAKLJMSA-N 0 3 308.397 4.327 20 0 DIADHN CCC[C@H](NC[C@@]1(C)OCCc2sccc21)c1ccccn1 ZINC000368056225 1044765305 /nfs/dbraw/zinc/76/53/05/1044765305.db2.gz RCKZURHKVIOOJK-MAUKXSAKSA-N 0 3 316.470 4.062 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCCc3cnn(C(C)C)c31)CC2 ZINC000368158656 1044767140 /nfs/dbraw/zinc/76/71/40/1044767140.db2.gz INOUJRYVLNBNIN-MOPGFXCFSA-N 0 3 309.457 4.427 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3cnn(C(C)C)c32)cc1 ZINC000368166157 1044767858 /nfs/dbraw/zinc/76/78/58/1044767858.db2.gz XSKQHTFQOUKFSL-KBXCAEBGSA-N 0 3 313.445 4.201 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000368135311 1044768868 /nfs/dbraw/zinc/76/88/68/1044768868.db2.gz OEWHZIREOQZIDK-ZWKOTPCHSA-N 0 3 313.420 4.258 20 0 DIADHN COc1ccc(CN2CC[C@H](c3cc(C)ccn3)C2)cc1Cl ZINC000570643403 1044772903 /nfs/dbraw/zinc/77/29/03/1044772903.db2.gz WBKGJMGGGNBXBH-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1Cl ZINC000368423178 1044775540 /nfs/dbraw/zinc/77/55/40/1044775540.db2.gz BJIBXELQNODDNY-TVQRCGJNSA-N 0 3 310.228 4.445 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2coc(-c3ccccc3)n2)C1 ZINC000156192569 1044775596 /nfs/dbraw/zinc/77/55/96/1044775596.db2.gz MFWWFWFOPOSOQF-ZBFHGGJFSA-N 0 3 302.443 4.105 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1Cl ZINC000368423176 1044775735 /nfs/dbraw/zinc/77/57/35/1044775735.db2.gz BJIBXELQNODDNY-NOZJJQNGSA-N 0 3 310.228 4.445 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccccc3s2)cc1O ZINC000570702492 1044776196 /nfs/dbraw/zinc/77/61/96/1044776196.db2.gz ONTAESCVVLGTHX-GFCCVEGCSA-N 0 3 313.422 4.466 20 0 DIADHN Clc1ccccc1-c1nnc(CN(CC2CC2)C2CCC2)o1 ZINC000368449401 1044777133 /nfs/dbraw/zinc/77/71/33/1044777133.db2.gz LAGIKUPDXZNFGR-UHFFFAOYSA-N 0 3 317.820 4.155 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCO[C@H](C(C)C)C2)c2ccccn2)cc1 ZINC000368444628 1044778480 /nfs/dbraw/zinc/77/84/80/1044778480.db2.gz ZVCGGYCLMRYBSK-GIVPXCGWSA-N 0 3 324.468 4.273 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1ccc2ccccc2c1 ZINC000368497840 1044780006 /nfs/dbraw/zinc/78/00/06/1044780006.db2.gz BVSBNPQDOXVGIK-OAHLLOKOSA-N 0 3 305.425 4.223 20 0 DIADHN c1[nH]nc2c1[C@@H](N[C@H](Cc1ccccc1)c1ccccc1)CCC2 ZINC000368608586 1044782030 /nfs/dbraw/zinc/78/20/30/1044782030.db2.gz QAPTVNSZOKXEKZ-PZJWPPBQSA-N 0 3 317.436 4.361 20 0 DIADHN CC[C@@H](NCc1ccccc1-c1cnn(C)c1)c1ccccc1F ZINC000368603659 1044782566 /nfs/dbraw/zinc/78/25/66/1044782566.db2.gz RTEJWPFIKSLCLA-HXUWFJFHSA-N 0 3 323.415 4.467 20 0 DIADHN COc1ccc(CN2CC3(CCC3(F)F)C2)c2ccccc12 ZINC000571068285 1044795801 /nfs/dbraw/zinc/79/58/01/1044795801.db2.gz BRKCSZVOLKZNHB-UHFFFAOYSA-N 0 3 303.352 4.080 20 0 DIADHN COc1c(C)cnc(CN(C)[C@@H]2CCCc3ccccc32)c1C ZINC000524309482 1044797953 /nfs/dbraw/zinc/79/79/53/1044797953.db2.gz ZWSBEJBRTMDUJA-LJQANCHMSA-N 0 3 310.441 4.216 20 0 DIADHN C[C@H](NCc1cc(F)ccc1Cl)[C@@H]1COc2ccccc21 ZINC000445781576 1044815308 /nfs/dbraw/zinc/81/53/08/1044815308.db2.gz KRLLMCRMLVVFEP-NHYWBVRUSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@@H](COC(F)F)C1 ZINC000571483983 1044815521 /nfs/dbraw/zinc/81/55/21/1044815521.db2.gz XLHXRDNIWJQHKT-GFCCVEGCSA-N 0 3 303.780 4.018 20 0 DIADHN CCCC(=O)NC[C@H]1CCCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC000263691177 1044815984 /nfs/dbraw/zinc/81/59/84/1044815984.db2.gz GYIFZSVBYMIDRZ-HUUCEWRRSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cncn1Cc1ccccc1 ZINC000445810976 1044825487 /nfs/dbraw/zinc/82/54/87/1044825487.db2.gz NAGIMQCXCTUIBS-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN CCC1CCC(CNCc2nccn2Cc2ccccc2)CC1 ZINC000524458658 1044842438 /nfs/dbraw/zinc/84/24/38/1044842438.db2.gz ZXSWPJKUQIDKRO-UHFFFAOYSA-N 0 3 311.473 4.237 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H](c2ccc(Cl)cc2)N(C)C)cs1 ZINC000572180654 1044848371 /nfs/dbraw/zinc/84/83/71/1044848371.db2.gz LFWRSXYAHAIGQG-ZBEGNZNMSA-N 0 3 323.893 4.058 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccc(-n3ccnc3)nc2)cc1 ZINC000370669959 1044849156 /nfs/dbraw/zinc/84/91/56/1044849156.db2.gz TWABBSCRLHZRJP-LJQANCHMSA-N 0 3 320.440 4.071 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H](C(C)C)CC2)c(C)c1 ZINC000524484420 1044850083 /nfs/dbraw/zinc/85/00/83/1044850083.db2.gz ZJDFTNAKCGATHY-KRWDZBQOSA-N 0 3 302.462 4.000 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC(F)(F)[C@@H](C)C1 ZINC000572450051 1044860164 /nfs/dbraw/zinc/86/01/64/1044860164.db2.gz PHWBXXYQEZNHRI-ZDUSSCGKSA-N 0 3 305.368 4.326 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)OC)c1)c1ccc(Cl)s1 ZINC000572525739 1044864622 /nfs/dbraw/zinc/86/46/22/1044864622.db2.gz MKQVLHQSWJKIOI-CYBMUJFWSA-N 0 3 323.845 4.429 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCC[C@H]3c3ccccc3)nc2c1 ZINC000445993888 1044871045 /nfs/dbraw/zinc/87/10/45/1044871045.db2.gz OELVRZBUPBKURQ-HOTGVXAUSA-N 0 3 310.372 4.393 20 0 DIADHN FC(F)O[C@H]1CCC[C@H]1NCc1cnc(C2CCCC2)s1 ZINC000337289200 1044880157 /nfs/dbraw/zinc/88/01/57/1044880157.db2.gz LTJGVCKRUDEULY-OLZOCXBDSA-N 0 3 316.417 4.051 20 0 DIADHN COc1cncc(/C=C/CCN[C@@H](C)c2c(F)cccc2F)c1 ZINC000572874143 1044881161 /nfs/dbraw/zinc/88/11/61/1044881161.db2.gz VNHRVVBPVAMLCK-YIALFULDSA-N 0 3 318.367 4.123 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000572969416 1044887687 /nfs/dbraw/zinc/88/76/87/1044887687.db2.gz BEXLZOWFZPAUFB-DZGCQCFKSA-N 0 3 318.852 4.007 20 0 DIADHN COCC1(CN[C@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000337387432 1044889904 /nfs/dbraw/zinc/88/99/04/1044889904.db2.gz GATATJFFLHUKRY-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@@H]1C[C@@H](C)CC[C@H]1C(C)C ZINC001626145970 1044892685 /nfs/dbraw/zinc/89/26/85/1044892685.db2.gz VJIHDHCJFNNYNO-CADBVGFASA-N 0 3 322.537 4.076 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@@H]1C[C@@H](C)CC[C@@H]1C(C)C ZINC001626145972 1044893049 /nfs/dbraw/zinc/89/30/49/1044893049.db2.gz VJIHDHCJFNNYNO-INDMIFKZSA-N 0 3 322.537 4.076 20 0 DIADHN CC[C@@H]1CCN([C@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000371895851 1044895050 /nfs/dbraw/zinc/89/50/50/1044895050.db2.gz IZNYOSYLILBLMT-VXGBXAGGSA-N 0 3 305.809 4.183 20 0 DIADHN COC[C@@H]1CCCCN(Cc2csc(-c3ccsc3)n2)C1 ZINC000372093655 1044904238 /nfs/dbraw/zinc/90/42/38/1044904238.db2.gz GTOHKLBSEATENL-CYBMUJFWSA-N 0 3 322.499 4.120 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cnn(C2CCCC2)c1)c1ccccc1 ZINC000337470962 1044905167 /nfs/dbraw/zinc/90/51/67/1044905167.db2.gz NNAOKMQGOKHKMA-BEFAXECRSA-N 0 3 313.445 4.037 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000573468853 1044909083 /nfs/dbraw/zinc/90/90/83/1044909083.db2.gz ALQFCRIZZNGQAZ-YOEHRIQHSA-N 0 3 319.470 4.228 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000573634108 1044915842 /nfs/dbraw/zinc/91/58/42/1044915842.db2.gz PRGHZERNJOMOMG-KKUMJFAQSA-N 0 3 307.384 4.456 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCC[C@H]1C(C)(C)C ZINC000524756343 1044916895 /nfs/dbraw/zinc/91/68/95/1044916895.db2.gz HEUIAIQFPACLBS-KRWDZBQOSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1cccc([C@H](C)N2CCC[C@H](OCC(F)(F)F)C2)c1 ZINC000524755824 1044916947 /nfs/dbraw/zinc/91/69/47/1044916947.db2.gz GYHBXHPFZOBVOL-ZFWWWQNUSA-N 0 3 301.352 4.099 20 0 DIADHN CCC[C@H](NC[C@](C)(O)c1ccccc1Cl)c1ccccn1 ZINC000157579851 1044920206 /nfs/dbraw/zinc/92/02/06/1044920206.db2.gz RPQARBHVPQXSIJ-WMZOPIPTSA-N 0 3 318.848 4.074 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000524791906 1044921516 /nfs/dbraw/zinc/92/15/16/1044921516.db2.gz TUPWECYFKSIUOJ-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1csc(-c2ncccn2)n1 ZINC000524811637 1044925441 /nfs/dbraw/zinc/92/54/41/1044925441.db2.gz QBJXRNWDOCNDFT-UKRRQHHQSA-N 0 3 316.474 4.001 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1ccc(C)cc1C ZINC000524812213 1044925528 /nfs/dbraw/zinc/92/55/28/1044925528.db2.gz ZGBIRGRIZZZZJH-QAPCUYQASA-N 0 3 302.462 4.143 20 0 DIADHN CCC(C)(C)NC(=O)CN(CC1CCC1)[C@@H](C)c1ccccc1 ZINC000524893828 1044940506 /nfs/dbraw/zinc/94/05/06/1044940506.db2.gz PIGXNZKUYWIMAG-INIZCTEOSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000574243837 1044944901 /nfs/dbraw/zinc/94/49/01/1044944901.db2.gz NJFNAXAVJBHWSF-GDBMZVCRSA-N 0 3 322.374 4.462 20 0 DIADHN COc1cc(CNCc2ccc(-c3cccnc3)cc2)ccc1C ZINC000373202240 1044951707 /nfs/dbraw/zinc/95/17/07/1044951707.db2.gz BVUDLUFPTWCXSV-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(Cl)cc2C)c(CN(C)C)c1 ZINC000134089426 1044953094 /nfs/dbraw/zinc/95/30/94/1044953094.db2.gz ZATCFDWUEWBVNZ-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCc2c(F)cccc2Cl)C1 ZINC000574542960 1044957512 /nfs/dbraw/zinc/95/75/12/1044957512.db2.gz MTXMBNPQYGJNAZ-FZKQIMNGSA-N 0 3 318.823 4.500 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1ccc(CC(C)C)cc1)C(C)C ZINC000574536583 1044957897 /nfs/dbraw/zinc/95/78/97/1044957897.db2.gz FKMCXKJCNQTBBE-FQEVSTJZSA-N 0 3 313.489 4.414 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](NCc3c(Cl)cccc3Cl)C[C@H]2C1 ZINC000574802827 1044974861 /nfs/dbraw/zinc/97/48/61/1044974861.db2.gz LMGHVSRWRJHTTA-MPZDIEGVSA-N 0 3 314.256 4.287 20 0 DIADHN C[C@H](NCc1cccc(COCC(F)(F)F)c1)c1cccnc1 ZINC000136605631 1044987564 /nfs/dbraw/zinc/98/75/64/1044987564.db2.gz FMVQNPBJCZFUMC-ZDUSSCGKSA-N 0 3 324.346 4.011 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)CCOCC1CC1 ZINC000525119605 1044989164 /nfs/dbraw/zinc/98/91/64/1044989164.db2.gz SSAPZZJRJDJBGW-LLVKDONJSA-N 0 3 302.245 4.413 20 0 DIADHN Clc1ccc(-c2ccc(CNCC3=CCOCC3)o2)cc1 ZINC000575209613 1044989638 /nfs/dbraw/zinc/98/96/38/1044989638.db2.gz ZJMBQWCLGDKPOZ-UHFFFAOYSA-N 0 3 303.789 4.036 20 0 DIADHN Cc1ccc2c(CN3CCC[C@H]3c3ccco3)ccc(O)c2n1 ZINC000338314425 1044990528 /nfs/dbraw/zinc/99/05/28/1044990528.db2.gz LLCNTGQOYJAVEX-INIZCTEOSA-N 0 3 308.381 4.179 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000525127379 1044995087 /nfs/dbraw/zinc/99/50/87/1044995087.db2.gz BYDWSJNXDMERNR-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000525127378 1044995942 /nfs/dbraw/zinc/99/59/42/1044995942.db2.gz BYDWSJNXDMERNR-OLZOCXBDSA-N 0 3 319.836 4.401 20 0 DIADHN COc1cc(C)nc(CN[C@@H](c2ccccc2)C2CCCC2)c1 ZINC000411370335 1045001443 /nfs/dbraw/zinc/00/14/43/1045001443.db2.gz OGMHCAGTMAOFMQ-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CC[S@@](=O)c2ccccc2)s1 ZINC000114734427 1045005004 /nfs/dbraw/zinc/00/50/04/1045005004.db2.gz SLTUYRFTIKUNLC-KKSFZXQISA-N 0 3 319.495 4.001 20 0 DIADHN COc1cccc(C2(NCc3ccc(OC(F)F)cc3)CC2)c1 ZINC000150902705 1045010517 /nfs/dbraw/zinc/01/05/17/1045010517.db2.gz JPAZFVFYXXYHGV-UHFFFAOYSA-N 0 3 319.351 4.076 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@@H](COC(F)F)C3)o2)cc1 ZINC000575837701 1045012228 /nfs/dbraw/zinc/01/22/28/1045012228.db2.gz KFTQAGBAYSSFEJ-CQSZACIVSA-N 0 3 321.367 4.316 20 0 DIADHN C[C@H](NCc1cccnc1)c1ccc(SCC(F)F)cc1 ZINC000151077299 1045014623 /nfs/dbraw/zinc/01/46/23/1045014623.db2.gz ADUOIHAETNNZGT-LBPRGKRZSA-N 0 3 308.397 4.290 20 0 DIADHN Cc1ccc(-c2nnc(CN(C)C3CCC(C)(C)CC3)o2)cc1 ZINC000446709903 1045014927 /nfs/dbraw/zinc/01/49/27/1045014927.db2.gz BXBVYZSYHAPUIU-UHFFFAOYSA-N 0 3 313.445 4.446 20 0 DIADHN COC[C@@H](NCc1cccc2[nH]ccc21)c1cccc(Cl)c1 ZINC000575900645 1045016397 /nfs/dbraw/zinc/01/63/97/1045016397.db2.gz HXDCPGGYPUJXIM-GOSISDBHSA-N 0 3 314.816 4.299 20 0 DIADHN Cc1sc([C@@H](C)NCC[C@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000411539314 1045017440 /nfs/dbraw/zinc/01/74/40/1045017440.db2.gz MBLLRGPSKZTELX-WDEREUQCSA-N 0 3 324.877 4.193 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)c2ccc(Cl)c3ccccc32)n[nH]1 ZINC000411552515 1045018857 /nfs/dbraw/zinc/01/88/57/1045018857.db2.gz NUBOHAYNHCSPLW-QWRGUYRKSA-N 0 3 314.820 4.332 20 0 DIADHN CN(Cc1cc(Br)ccc1OC(F)F)C1CCC1 ZINC000525272143 1045019064 /nfs/dbraw/zinc/01/90/64/1045019064.db2.gz MLLXOKNSDMXPKF-UHFFFAOYSA-N 0 3 320.177 4.035 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1cccc2c1CCCN2 ZINC000575914832 1045019379 /nfs/dbraw/zinc/01/93/79/1045019379.db2.gz GWDRGGNAOZBWCD-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1ccc2ncc(CN3CC[C@@H](C(F)(F)F)[C@H]3C)cc2c1 ZINC000411659745 1045022297 /nfs/dbraw/zinc/02/22/97/1045022297.db2.gz QAZVRCQPRBSENK-IUODEOHRSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](c2ccc(C(C)(C)C)cc2)C(C)C)n[nH]1 ZINC000411693144 1045023482 /nfs/dbraw/zinc/02/34/82/1045023482.db2.gz LPJLRSQWVDXOSQ-DYVFJYSZSA-N 0 3 314.477 4.459 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC(F)(c3cccnc3)CC2)c1 ZINC000411698457 1045026285 /nfs/dbraw/zinc/02/62/85/1045026285.db2.gz LTTNANUYPKBXBM-ROUUACIJSA-N 0 3 314.379 4.037 20 0 DIADHN CCOCCN[C@H](CC)c1ccc(Br)cc1Cl ZINC000446750951 1045027300 /nfs/dbraw/zinc/02/73/00/1045027300.db2.gz IOZDIGSEAHXZHM-CYBMUJFWSA-N 0 3 320.658 4.180 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000151681242 1045030774 /nfs/dbraw/zinc/03/07/74/1045030774.db2.gz KYNVPHZFRXLQNM-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN COCc1nc(CN[C@H]2CCC[C@@H]2c2ccc(C)cc2)cs1 ZINC000576078156 1045030833 /nfs/dbraw/zinc/03/08/33/1045030833.db2.gz QDDOOTJGOAQEBN-SJORKVTESA-N 0 3 316.470 4.024 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2Oc2cccnc2)C[C@H](C)S1 ZINC000525274708 1045031076 /nfs/dbraw/zinc/03/10/76/1045031076.db2.gz YBICSGXHRRTYOB-GASCZTMLSA-N 0 3 314.454 4.200 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@H]2c2ccc(C)cc2)cs1 ZINC000576078153 1045031290 /nfs/dbraw/zinc/03/12/90/1045031290.db2.gz QDDOOTJGOAQEBN-DLBZAZTESA-N 0 3 316.470 4.024 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCC[C@@H]1C(C)(C)C ZINC000525274778 1045031518 /nfs/dbraw/zinc/03/15/18/1045031518.db2.gz GSBHWHGXUNRCIS-QGZVFWFLSA-N 0 3 316.489 4.260 20 0 DIADHN CC[C@H](NCc1cncn1Cc1ccccc1)c1ccccc1 ZINC000411886976 1045032958 /nfs/dbraw/zinc/03/29/58/1045032958.db2.gz NLNDGCCESNUOGD-FQEVSTJZSA-N 0 3 305.425 4.172 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000152103344 1045036276 /nfs/dbraw/zinc/03/62/76/1045036276.db2.gz VOWPRUUHNSUYHV-NXEZZACHSA-N 0 3 310.800 4.366 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1csc(-c2ccccc2)n1)C1CC1 ZINC000411938833 1045037411 /nfs/dbraw/zinc/03/74/11/1045037411.db2.gz DZFRFZBISLSKHG-FZKQIMNGSA-N 0 3 316.470 4.103 20 0 DIADHN CO[C@](C)([C@H](C)NCc1ncc(-c2ccccc2)s1)C1CC1 ZINC000411977265 1045039376 /nfs/dbraw/zinc/03/93/76/1045039376.db2.gz XVIAKROCQKYIGV-SCLBCKFNSA-N 0 3 316.470 4.103 20 0 DIADHN O[C@H]1C[C@H](c2ccccc2)N(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000411954161 1045039804 /nfs/dbraw/zinc/03/98/04/1045039804.db2.gz USTZFTJEIUEAQR-DLBZAZTESA-N 0 3 321.342 4.013 20 0 DIADHN COc1cncc(CN[C@@H](Cc2ccccc2)c2cccs2)c1 ZINC000576188669 1045039779 /nfs/dbraw/zinc/03/97/79/1045039779.db2.gz GTRNFLFVTQEXJL-SFHVURJKSA-N 0 3 324.449 4.225 20 0 DIADHN c1nc(CN2C[C@H](c3ccccc3)C3(CCC3)C2)oc1C1CC1 ZINC000411977276 1045040010 /nfs/dbraw/zinc/04/00/10/1045040010.db2.gz FVJLDCUCDVFUBJ-QGZVFWFLSA-N 0 3 308.425 4.322 20 0 DIADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1nc(C(F)(F)F)cs1 ZINC000576176911 1045040425 /nfs/dbraw/zinc/04/04/25/1045040425.db2.gz CZKKUYSZCRPJCK-AGVGLQIMSA-N 0 3 304.381 4.391 20 0 DIADHN CC(C)C[C@H](C)CN[C@@H](c1nc(-c2cccnc2)no1)C(C)C ZINC000576266388 1045047451 /nfs/dbraw/zinc/04/74/51/1045047451.db2.gz YKFSECDYMWIGEK-GOEBONIOSA-N 0 3 316.449 4.101 20 0 DIADHN CC(C)C[C@H](C)CN[C@H](c1nc(-c2cccnc2)no1)C(C)C ZINC000576266389 1045047611 /nfs/dbraw/zinc/04/76/11/1045047611.db2.gz YKFSECDYMWIGEK-HOCLYGCPSA-N 0 3 316.449 4.101 20 0 DIADHN CCO[C@@H](CNCc1cnc(CC(C)C)s1)c1ccccc1 ZINC000446830208 1045048679 /nfs/dbraw/zinc/04/86/79/1045048679.db2.gz PRLSAPWZUZQRKF-KRWDZBQOSA-N 0 3 318.486 4.209 20 0 DIADHN COC[C@H](C)CNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000576285254 1045048951 /nfs/dbraw/zinc/04/89/51/1045048951.db2.gz LQKHFFSSVCPVJX-SNVBAGLBSA-N 0 3 321.770 4.220 20 0 DIADHN N#CC(C(=O)CCc1ccc(F)cc1)c1ccc2ccccc2n1 ZINC000047375767 1045049993 /nfs/dbraw/zinc/04/99/93/1045049993.db2.gz UUOSBLJQZGKWML-QGZVFWFLSA-N 0 3 318.351 4.183 20 0 DIADHN CCO[C@@H](CNCc1ccccc1OC(F)F)c1ccccc1 ZINC000446847390 1045051959 /nfs/dbraw/zinc/05/19/59/1045051959.db2.gz MDDOSXLEJGDDLH-KRWDZBQOSA-N 0 3 321.367 4.155 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000153195353 1045052396 /nfs/dbraw/zinc/05/23/96/1045052396.db2.gz INUCXUITHHUCJU-LRAJWGHMSA-N 0 3 301.455 4.144 20 0 DIADHN CCO[C@H](CNCc1ccccc1OC(F)F)c1ccccc1 ZINC000446847391 1045052903 /nfs/dbraw/zinc/05/29/03/1045052903.db2.gz MDDOSXLEJGDDLH-QGZVFWFLSA-N 0 3 321.367 4.155 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@@H]3CC)co2)cc1 ZINC000446839481 1045054136 /nfs/dbraw/zinc/05/41/36/1045054136.db2.gz AMJXBGOSSFXVMS-INIZCTEOSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1ccc(NC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)c(CN(C)C)c1 ZINC000412117362 1045056644 /nfs/dbraw/zinc/05/66/44/1045056644.db2.gz VWMMGVOGBNWYBV-NQIIRXRSSA-N 0 3 322.452 4.189 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@@H]1CCCC(C)(C)C1)c1ccco1 ZINC000576424077 1045056648 /nfs/dbraw/zinc/05/66/48/1045056648.db2.gz XFQRAIVPMOZJMK-ARFHVFGLSA-N 0 3 320.477 4.041 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc(Cl)c1)c1ccccc1 ZINC000576432442 1045057661 /nfs/dbraw/zinc/05/76/61/1045057661.db2.gz FZRCOAFRXPBVPI-QGZVFWFLSA-N 0 3 303.833 4.189 20 0 DIADHN CCN(Cc1cccc(Br)c1)C[C@H](C)C(F)(F)F ZINC000441137542 1045060329 /nfs/dbraw/zinc/06/03/29/1045060329.db2.gz CNZGQGKXUBCHCI-JTQLQIEISA-N 0 3 324.184 4.469 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1cc(F)cc(F)c1 ZINC000374485245 1045062339 /nfs/dbraw/zinc/06/23/39/1045062339.db2.gz CYMYAHSNRFFUOM-OHNRDTAOSA-N 0 3 317.379 4.393 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccc(F)c(F)c1 ZINC000374501100 1045065030 /nfs/dbraw/zinc/06/50/30/1045065030.db2.gz GKAAQJKPUFHPPZ-IYJAJMOOSA-N 0 3 317.379 4.393 20 0 DIADHN CCCC(C)(C)CC(=O)NC[C@H](N[C@H](C)CC)c1ccco1 ZINC000576573415 1045065434 /nfs/dbraw/zinc/06/54/34/1045065434.db2.gz XMJZUCPJNQRWFL-CABCVRRESA-N 0 3 308.466 4.041 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1scnc1C1CC1 ZINC000459762512 1045069178 /nfs/dbraw/zinc/06/91/78/1045069178.db2.gz SAMXONYGDOMSKS-GFCCVEGCSA-N 0 3 304.434 4.223 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N(C)Cc1scnc1C1CC1 ZINC000459762514 1045071168 /nfs/dbraw/zinc/07/11/68/1045071168.db2.gz SAMXONYGDOMSKS-LBPRGKRZSA-N 0 3 304.434 4.223 20 0 DIADHN C[C@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cncs1 ZINC000576672706 1045072487 /nfs/dbraw/zinc/07/24/87/1045072487.db2.gz AVDQTBBNQOQQJV-WCQYABFASA-N 0 3 314.376 4.215 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](CC)c2cccc(F)c2)cc1 ZINC000446927864 1045073637 /nfs/dbraw/zinc/07/36/37/1045073637.db2.gz CRTRWNSVMRQBED-RTBURBONSA-N 0 3 301.405 4.162 20 0 DIADHN CCOc1cccc(CN2CC[C@@H]3CCC[C@@H]32)c1OC(F)F ZINC000428465689 1045088387 /nfs/dbraw/zinc/08/83/87/1045088387.db2.gz XMZWQCZHTBTDBT-JSGCOSHPSA-N 0 3 311.372 4.061 20 0 DIADHN Clc1cccc(Cl)c1C1(NCC[C@@H]2CCOC2)CCC1 ZINC000447056445 1045090522 /nfs/dbraw/zinc/09/05/22/1045090522.db2.gz ZFTDEMNZNIWOQV-GFCCVEGCSA-N 0 3 314.256 4.389 20 0 DIADHN CCCCOc1ccc(CN[C@H]2C[C@@H]2C(F)F)cc1OCC ZINC000576970323 1045091773 /nfs/dbraw/zinc/09/17/73/1045091773.db2.gz FMYGQXWDHSULMD-KBPBESRZSA-N 0 3 313.388 4.007 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412573115 1045093007 /nfs/dbraw/zinc/09/30/07/1045093007.db2.gz KXJZFRAMXCGOIK-CABCVRRESA-N 0 3 306.425 4.042 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412588713 1045093382 /nfs/dbraw/zinc/09/33/82/1045093382.db2.gz XHEAUOXSUHPBRP-UHFFFAOYSA-N 0 3 318.392 4.164 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1ccc2ccccc2c1)c1ccccn1 ZINC000159078989 1045102842 /nfs/dbraw/zinc/10/28/42/1045102842.db2.gz GNRCZJGRWFDQLR-CTNGQTDRSA-N 0 3 320.436 4.399 20 0 DIADHN COc1ccc(CN(Cc2cccs2)C2CC2)c(C)c1OC ZINC000577145443 1045103300 /nfs/dbraw/zinc/10/33/00/1045103300.db2.gz DERINEUSXUYJEA-UHFFFAOYSA-N 0 3 317.454 4.238 20 0 DIADHN CCOc1cccc(Cl)c1CN(C)C1CCC(OC)CC1 ZINC000577228955 1045109334 /nfs/dbraw/zinc/10/93/34/1045109334.db2.gz SOECOUFETQNYMS-UHFFFAOYSA-N 0 3 311.853 4.128 20 0 DIADHN c1ccc(C2(NCc3cnc4ccccc4c3)CCOCC2)cc1 ZINC000525696337 1045110617 /nfs/dbraw/zinc/11/06/17/1045110617.db2.gz CMAXMXJAFNJDSP-UHFFFAOYSA-N 0 3 318.420 4.030 20 0 DIADHN CC[C@H](C)[C@H](NCc1cnn(CC)c1)c1ccc(Cl)cc1 ZINC000525709282 1045112595 /nfs/dbraw/zinc/11/25/95/1045112595.db2.gz MLBDICZJGGJDMB-GUYCJALGSA-N 0 3 305.853 4.433 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccccc1F ZINC000779006648 1045121913 /nfs/dbraw/zinc/12/19/13/1045121913.db2.gz UHYFDKPBVSGKBK-SJORKVTESA-N 0 3 307.796 4.253 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@@H](C)c3cccnc3)c2c1 ZINC000413023302 1045122395 /nfs/dbraw/zinc/12/23/95/1045122395.db2.gz ARXQHGHWNALISD-AWEZNQCLSA-N 0 3 307.397 4.012 20 0 DIADHN c1cc2c(o1)CCCN(Cc1cccc(-c3ccncc3)c1)C2 ZINC000577443559 1045124675 /nfs/dbraw/zinc/12/46/75/1045124675.db2.gz SVWZLZXACJOJPX-UHFFFAOYSA-N 0 3 304.393 4.290 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1nc(-c2cccs2)no1 ZINC000447378672 1045125307 /nfs/dbraw/zinc/12/53/07/1045125307.db2.gz ABKHJORDTLSVON-LBPRGKRZSA-N 0 3 305.447 4.199 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cncn2Cc2ccccc2)cc1 ZINC000525833761 1045127196 /nfs/dbraw/zinc/12/71/96/1045127196.db2.gz ADIPBBOSSXFPFM-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC000447395117 1045129475 /nfs/dbraw/zinc/12/94/75/1045129475.db2.gz RTXXGKPNZYLDRN-HNNXBMFYSA-N 0 3 302.462 4.088 20 0 DIADHN COc1cc(C)ccc1[C@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000577502134 1045131895 /nfs/dbraw/zinc/13/18/95/1045131895.db2.gz UIDHHQFVTKTEMF-LIRRHRJNSA-N 0 3 310.441 4.314 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCC[C@H](F)CC1 ZINC001256839257 1045136641 /nfs/dbraw/zinc/13/66/41/1045136641.db2.gz ZPFSDLWLEPHQJE-OCCSQVGLSA-N 0 3 314.242 4.204 20 0 DIADHN COc1ccc(CNC2CC(C(C)(C)C)C2)c(Cl)c1OC ZINC000577591173 1045138710 /nfs/dbraw/zinc/13/87/10/1045138710.db2.gz QXHUUYGNPZKJGW-UHFFFAOYSA-N 0 3 311.853 4.272 20 0 DIADHN Cc1cc([C@H](C)N[C@H](CN2CCCC2)c2ccccc2)c(C)o1 ZINC000447531386 1045138945 /nfs/dbraw/zinc/13/89/45/1045138945.db2.gz PRZVFHLTENCDTQ-OXJNMPFZSA-N 0 3 312.457 4.384 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)cc2C)cc1F ZINC000600806687 1045140628 /nfs/dbraw/zinc/14/06/28/1045140628.db2.gz VQFOGRNQRLVILR-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccc(Br)cc1C ZINC000600805842 1045141842 /nfs/dbraw/zinc/14/18/42/1045141842.db2.gz MPIOYJSUQRQGLF-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN C[C@@H](O)[C@H]1C[C@H](C)CCN1Cc1cnc(C2CCCCC2)s1 ZINC000525963678 1045143131 /nfs/dbraw/zinc/14/31/31/1045143131.db2.gz HJUOEYQZRVNYDN-CKEIUWERSA-N 0 3 322.518 4.172 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000447537056 1045143200 /nfs/dbraw/zinc/14/32/00/1045143200.db2.gz SJDOOPMFPPMUQK-WBVHZDCISA-N 0 3 305.805 4.288 20 0 DIADHN C[C@H](O)[C@H]1C[C@H](C)CCN1Cc1cnc(C2CCCCC2)s1 ZINC000525963680 1045143686 /nfs/dbraw/zinc/14/36/86/1045143686.db2.gz HJUOEYQZRVNYDN-JKIFEVAISA-N 0 3 322.518 4.172 20 0 DIADHN CCO[C@H](CN[C@@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000447574722 1045147635 /nfs/dbraw/zinc/14/76/35/1045147635.db2.gz WKBKUPJESXTMRU-RTBURBONSA-N 0 3 316.420 4.285 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccnc(OC)c2)c(C)c1 ZINC000577658601 1045150945 /nfs/dbraw/zinc/15/09/45/1045150945.db2.gz QYBYOFYDZCZXES-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN(c3c(F)cccc3F)C2)c(C)o1 ZINC000447583132 1045153513 /nfs/dbraw/zinc/15/35/13/1045153513.db2.gz ATDFCQQNWMCIRO-GXTWGEPZSA-N 0 3 320.383 4.104 20 0 DIADHN O=C(CCCN(Cc1ccc(F)cc1)C1CC1)c1ccccc1 ZINC000557202270 1045157150 /nfs/dbraw/zinc/15/71/50/1045157150.db2.gz OJTBTHZLNMIHGR-UHFFFAOYSA-N 0 3 311.400 4.453 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H]1CCCc2occc21 ZINC000447577691 1045157367 /nfs/dbraw/zinc/15/73/67/1045157367.db2.gz BAKWYNGNASZNLM-IWEFOYFVSA-N 0 3 303.377 4.162 20 0 DIADHN C[C@H](c1ccco1)N(C[C@H](O)c1ccc(F)cc1Cl)C1CC1 ZINC000187284325 1045170856 /nfs/dbraw/zinc/17/08/56/1045170856.db2.gz BAWNOOSXTLMHCO-BZNIZROVSA-N 0 3 323.795 4.331 20 0 DIADHN COc1cc(CN2CCC(OCCC(C)C)CC2)ccc1C ZINC000447736708 1045172057 /nfs/dbraw/zinc/17/20/57/1045172057.db2.gz OPXJSBDQKFMFNH-UHFFFAOYSA-N 0 3 305.462 4.031 20 0 DIADHN Cc1ccc(CN(C)[C@H](CCO)c2ccccc2)cc1Cl ZINC000447737828 1045172907 /nfs/dbraw/zinc/17/29/07/1045172907.db2.gz AQCHTRWLOFKVQT-GOSISDBHSA-N 0 3 303.833 4.204 20 0 DIADHN C[C@@H](NCCSc1ccccc1)c1ccnn1C1CCC1 ZINC000924573285 1045178508 /nfs/dbraw/zinc/17/85/08/1045178508.db2.gz HGAWDCUQOKIETN-CQSZACIVSA-N 0 3 301.459 4.051 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)O[C@@]3(CCO[C@H]3C)C2)cc1 ZINC000447823363 1045178665 /nfs/dbraw/zinc/17/86/65/1045178665.db2.gz UFYNJRFSCJZUEH-WWNBULGVSA-N 0 3 317.473 4.139 20 0 DIADHN C[C@@H](NCCc1csc(-c2ccccc2)n1)c1ccns1 ZINC000924579703 1045179224 /nfs/dbraw/zinc/17/92/24/1045179224.db2.gz YXJPMQHDSLOHLN-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cc(O)cc(F)c2)C2CC2)cc1 ZINC000924589618 1045180030 /nfs/dbraw/zinc/18/00/30/1045180030.db2.gz LFPYTFYVSCRAPM-HXPMCKFVSA-N 0 3 315.388 4.342 20 0 DIADHN CC[C@H](C)c1ccc([C@H](N[C@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924581468 1045180082 /nfs/dbraw/zinc/18/00/82/1045180082.db2.gz LGJRIOPVJSYFJW-QMTMVMCOSA-N 0 3 314.477 4.377 20 0 DIADHN Clc1cccc(CCNCc2nc(-c3ccccc3)c[nH]2)c1 ZINC000447829359 1045180922 /nfs/dbraw/zinc/18/09/22/1045180922.db2.gz HSDYYHITPNQELZ-UHFFFAOYSA-N 0 3 311.816 4.062 20 0 DIADHN CC[C@@H](N[C@H](c1cccs1)C1CC1)c1cccc(OC)n1 ZINC000924592116 1045181194 /nfs/dbraw/zinc/18/11/94/1045181194.db2.gz QJYQROMJNATVAW-DYVFJYSZSA-N 0 3 302.443 4.344 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000924592686 1045182310 /nfs/dbraw/zinc/18/23/10/1045182310.db2.gz DFVBJDWLCUANKP-LSDHHAIUSA-N 0 3 313.445 4.419 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1cccc2c1OCO2 ZINC000924592627 1045182423 /nfs/dbraw/zinc/18/24/23/1045182423.db2.gz SMPYRKBWVWKMPW-BZNIZROVSA-N 0 3 301.411 4.279 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1C/C=C/c1ccc(F)c(F)c1 ZINC000577901917 1045185741 /nfs/dbraw/zinc/18/57/41/1045185741.db2.gz RZABWAGOHVBYCZ-NJELEJDNSA-N 0 3 317.383 4.155 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000924628690 1045185934 /nfs/dbraw/zinc/18/59/34/1045185934.db2.gz WHCSWSNWGWGZJI-SRVKXCTJSA-N 0 3 302.340 4.071 20 0 DIADHN CCC[C@H](NCCc1nc(C)cs1)c1ncccc1Cl ZINC000924643573 1045187380 /nfs/dbraw/zinc/18/73/80/1045187380.db2.gz KXSHHNSMQQCSNY-ZDUSSCGKSA-N 0 3 309.866 4.173 20 0 DIADHN CC(C)(C)c1cnc(CNC2(C)Cc3ccccc3C2)s1 ZINC000447897291 1045187887 /nfs/dbraw/zinc/18/78/87/1045187887.db2.gz LVQJQPVWSPPMHA-UHFFFAOYSA-N 0 3 300.471 4.088 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccnn2CC2CCC2)s1 ZINC000924670464 1045189706 /nfs/dbraw/zinc/18/97/06/1045189706.db2.gz GFDAODRXSOZLKV-ZDUSSCGKSA-N 0 3 303.475 4.158 20 0 DIADHN CC(C)(C)c1cnc(CNCC2(c3ccc(F)cc3)CC2)s1 ZINC000447944423 1045189979 /nfs/dbraw/zinc/18/99/79/1045189979.db2.gz MMYGRDMAIBAMGT-UHFFFAOYSA-N 0 3 318.461 4.401 20 0 DIADHN COc1cccc(CCCNCc2ncc(C(C)(C)C)s2)c1 ZINC000447940404 1045190101 /nfs/dbraw/zinc/19/01/01/1045190101.db2.gz NZQKDCSSMRMDQL-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CCCCN(CCO)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000577943727 1045191561 /nfs/dbraw/zinc/19/15/61/1045191561.db2.gz SWKREUPBRXILGM-UHFFFAOYSA-N 0 3 324.877 4.058 20 0 DIADHN FC(F)SCc1ccc(CNCCc2ccsc2)o1 ZINC000048326712 1045192283 /nfs/dbraw/zinc/19/22/83/1045192283.db2.gz QRQSEPSATVWERO-UHFFFAOYSA-N 0 3 303.399 4.129 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1Cl)c1ccccc1Cl ZINC000048330947 1045192513 /nfs/dbraw/zinc/19/25/13/1045192513.db2.gz SZPOGVISGRHGJA-MEDUHNTESA-N 0 3 310.224 4.378 20 0 DIADHN CO[C@H](CN[C@@H](c1ccc(C)cc1)C1CCC1)C(F)(F)F ZINC000779039848 1045193724 /nfs/dbraw/zinc/19/37/24/1045193724.db2.gz GGOYWQUNSZJFBR-HUUCEWRRSA-N 0 3 301.352 4.003 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)c1ccc(C(C)(C)O)cc1 ZINC000924814524 1045202237 /nfs/dbraw/zinc/20/22/37/1045202237.db2.gz QXNMEQZHBRZHKH-LLVKDONJSA-N 0 3 309.862 4.480 20 0 DIADHN COc1ccc(-c2cnc(CNC3[C@H](C)CCC[C@H]3C)o2)cc1 ZINC000557594215 1045203913 /nfs/dbraw/zinc/20/39/13/1045203913.db2.gz UHNVYULQEAEOIZ-ZIAGYGMSSA-N 0 3 314.429 4.265 20 0 DIADHN Cc1cc(C)cc([C@H](NCCc2nccs2)c2cccnc2)c1 ZINC000924878037 1045207719 /nfs/dbraw/zinc/20/77/19/1045207719.db2.gz WVSSEVQDFLCRCI-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN CC[C@H](C[C@](C)(O)CC)N[C@@H](c1ccccc1)c1cccnc1 ZINC000924939618 1045213825 /nfs/dbraw/zinc/21/38/25/1045213825.db2.gz XUPSPJUYFIVIOY-HSALFYBXSA-N 0 3 312.457 4.090 20 0 DIADHN COc1ccc(CNCc2ccc(SC)cc2)c(C)c1OC ZINC000578168109 1045215229 /nfs/dbraw/zinc/21/52/29/1045215229.db2.gz FSTPESBFFFKAET-UHFFFAOYSA-N 0 3 317.454 4.024 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC[C@@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000578165342 1045215647 /nfs/dbraw/zinc/21/56/47/1045215647.db2.gz MPGIIIJDOXVFID-LNSITVRQSA-N 0 3 318.852 4.007 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC[C@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000578165344 1045216198 /nfs/dbraw/zinc/21/61/98/1045216198.db2.gz MPGIIIJDOXVFID-WHOFXGATSA-N 0 3 318.852 4.007 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCCCCOc1ccccc1 ZINC000527361321 1045222571 /nfs/dbraw/zinc/22/25/71/1045222571.db2.gz RAHDQYXULBENPY-OAHLLOKOSA-N 0 3 317.404 4.344 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000779057986 1045223367 /nfs/dbraw/zinc/22/33/67/1045223367.db2.gz NRRBMUSNKRIWFV-ICSRJNTNSA-N 0 3 324.399 4.148 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)cc1 ZINC000578250752 1045224355 /nfs/dbraw/zinc/22/43/55/1045224355.db2.gz SUFJWUIJMNMDNS-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)N(C(=O)CN[C@H](C)c1cc2ccccc2s1)C(C)C ZINC000527485340 1045226418 /nfs/dbraw/zinc/22/64/18/1045226418.db2.gz JIFMSDOTTWRAQJ-CQSZACIVSA-N 0 3 318.486 4.197 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1ccc(-c2ccncc2)cc1F ZINC000578282672 1045227201 /nfs/dbraw/zinc/22/72/01/1045227201.db2.gz OCEDOJRETIAGOH-KRWDZBQOSA-N 0 3 318.461 4.461 20 0 DIADHN CC[C@H](CSC)N(C)Cc1ccc(-c2ccncc2)cc1F ZINC000578282673 1045227762 /nfs/dbraw/zinc/22/77/62/1045227762.db2.gz OCEDOJRETIAGOH-QGZVFWFLSA-N 0 3 318.461 4.461 20 0 DIADHN CC[C@@H](CCO)N[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925120583 1045228954 /nfs/dbraw/zinc/22/89/54/1045228954.db2.gz KRMCQYUHOHWJNF-PMACEKPBSA-N 0 3 312.457 4.045 20 0 DIADHN CC[C@H](CCO)N[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925120584 1045229878 /nfs/dbraw/zinc/22/98/78/1045229878.db2.gz KRMCQYUHOHWJNF-UXHICEINSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1cc(CNC(C)(C)c2cccc(C(F)(F)F)c2)ccn1 ZINC000527534735 1045230345 /nfs/dbraw/zinc/23/03/45/1045230345.db2.gz PWSGMKOOYTXORZ-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN COc1cc(CN2[C@H](C)C[C@@H]2C)ccc1OCc1cccs1 ZINC000527529728 1045230569 /nfs/dbraw/zinc/23/05/69/1045230569.db2.gz WYHUNMIQNFJQGL-OKILXGFUSA-N 0 3 317.454 4.318 20 0 DIADHN Cc1nc(CNC[C@H](c2ccccc2)C(C)C)nc2ccccc12 ZINC000527521139 1045232789 /nfs/dbraw/zinc/23/27/89/1045232789.db2.gz WCSSZTJJSZIWDD-IBGZPJMESA-N 0 3 319.452 4.468 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1N[C@H](C)C1CCC1 ZINC000527523097 1045233943 /nfs/dbraw/zinc/23/39/43/1045233943.db2.gz APTGSENZKWLWAQ-OAHLLOKOSA-N 0 3 304.503 4.144 20 0 DIADHN CN(C)Cc1ccc(CNCc2ccc(C(F)F)cc2)cc1F ZINC000527523920 1045233978 /nfs/dbraw/zinc/23/39/78/1045233978.db2.gz IRKNPZPZPCJIHX-UHFFFAOYSA-N 0 3 322.374 4.115 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@H](C)c2ccc(F)cn2)cc1 ZINC000578340874 1045234417 /nfs/dbraw/zinc/23/44/17/1045234417.db2.gz MAOVTIOGHAMYAV-HUUCEWRRSA-N 0 3 316.420 4.462 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H]2[C@@H]3CCCC[C@@H]3C2(C)C)cs1 ZINC000925234796 1045238247 /nfs/dbraw/zinc/23/82/47/1045238247.db2.gz BWGOUEYBXRPUSF-RZFFKMDDSA-N 0 3 308.491 4.155 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000925241973 1045239129 /nfs/dbraw/zinc/23/91/29/1045239129.db2.gz XZYFGAOKNQYMOL-AGIUHOORSA-N 0 3 316.367 4.319 20 0 DIADHN C[C@H](O)C[C@H]1CCCCN1Cc1ccc(Oc2ccccc2)o1 ZINC000448366606 1045241718 /nfs/dbraw/zinc/24/17/18/1045241718.db2.gz NGLMVOWSNQVJII-JKSUJKDBSA-N 0 3 315.413 4.197 20 0 DIADHN Cc1ccc([C@@H](O)CNC(c2ccccc2)c2ccccc2)o1 ZINC000779069915 1045243457 /nfs/dbraw/zinc/24/34/57/1045243457.db2.gz UTJXYJPYKMPOCB-SFHVURJKSA-N 0 3 307.393 4.001 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1cccnc1Br ZINC001258060000 1045249419 /nfs/dbraw/zinc/24/94/19/1045249419.db2.gz GOTQHNIPEYJNQF-STQMWFEESA-N 0 3 313.283 4.395 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1ccc2cc[nH]c2c1 ZINC000527655578 1045249969 /nfs/dbraw/zinc/24/99/69/1045249969.db2.gz JOGWNKGEWGMVBI-GOSISDBHSA-N 0 3 306.409 4.344 20 0 DIADHN Clc1cccc(CC2(NCc3ccncc3Cl)CC2)c1 ZINC000527717501 1045256390 /nfs/dbraw/zinc/25/63/90/1045256390.db2.gz PEEZOYPAAOFURY-UHFFFAOYSA-N 0 3 307.224 4.253 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1nc(Br)cs1 ZINC001258061726 1045258927 /nfs/dbraw/zinc/25/89/27/1045258927.db2.gz OTYVZCMOHPHUGD-WDEREUQCSA-N 0 3 319.312 4.456 20 0 DIADHN CC[C@@H](NCc1cnc([C@H](C)O)s1)c1cc(C)ccc1C ZINC000527817869 1045264077 /nfs/dbraw/zinc/26/40/77/1045264077.db2.gz DAZXJBZKIHATTD-XJKSGUPXSA-N 0 3 304.459 4.054 20 0 DIADHN Cc1cccc([C@@H](NCc2cnc(N(C)C)s2)C(C)C)c1 ZINC000527814793 1045264264 /nfs/dbraw/zinc/26/42/64/1045264264.db2.gz WJQKKTGRYIWLAT-INIZCTEOSA-N 0 3 303.475 4.004 20 0 DIADHN Cc1cccc([C@H](NCc2cnc(N(C)C)s2)C(C)C)c1 ZINC000527814794 1045264436 /nfs/dbraw/zinc/26/44/36/1045264436.db2.gz WJQKKTGRYIWLAT-MRXNPFEDSA-N 0 3 303.475 4.004 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2cccnc2-n2cccn2)c1 ZINC000527844931 1045265324 /nfs/dbraw/zinc/26/53/24/1045265324.db2.gz BHNSEOANXMPVEI-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN COc1ccc([C@H](NCc2ccc(Cl)cc2C)C2CC2)cn1 ZINC000527842075 1045267203 /nfs/dbraw/zinc/26/72/03/1045267203.db2.gz UWXPTCNRDBBVDC-GOSISDBHSA-N 0 3 316.832 4.293 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H]1CC12CC2)c1nc(C(F)(F)F)cs1 ZINC000527865233 1045270378 /nfs/dbraw/zinc/27/03/78/1045270378.db2.gz MZOXZRMWTVBSGM-AEJSXWLSSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@H](N[C@H](C)[C@H]1CC12CC2)c1nc(C(F)(F)F)cs1 ZINC000527865237 1045270449 /nfs/dbraw/zinc/27/04/49/1045270449.db2.gz MZOXZRMWTVBSGM-BBBLOLIVSA-N 0 3 304.381 4.391 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2cccc(C(N)=O)c2)cc1 ZINC000527847375 1045271326 /nfs/dbraw/zinc/27/13/26/1045271326.db2.gz MSMFVRMKJDRDCR-HUUCEWRRSA-N 0 3 310.441 4.150 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1C[C@@H]1CCC2(CCCC2)O1 ZINC000578789208 1045272111 /nfs/dbraw/zinc/27/21/11/1045272111.db2.gz AJOYQTSLPUCEHA-FUHWJXTLSA-N 0 3 302.462 4.044 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1cc(-c2ccccc2)n(C)n1 ZINC000527849529 1045272424 /nfs/dbraw/zinc/27/24/24/1045272424.db2.gz UUQDGXWCDFPEIC-JKSUJKDBSA-N 0 3 323.440 4.352 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)cc(F)c1)c1ccc2n[nH]cc2c1 ZINC000578798602 1045272388 /nfs/dbraw/zinc/27/23/88/1045272388.db2.gz WIUJCHXDSNFOPL-MNOVXSKESA-N 0 3 301.340 4.253 20 0 DIADHN C[C@H](CCc1ccco1)N[C@@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527849520 1045273227 /nfs/dbraw/zinc/27/32/27/1045273227.db2.gz UUQDGXWCDFPEIC-CVEARBPZSA-N 0 3 323.440 4.352 20 0 DIADHN C[C@@H]1CC[C@H](NC[C@H](n2cccn2)C(F)(F)F)c2ccccc21 ZINC000527928644 1045278199 /nfs/dbraw/zinc/27/81/99/1045278199.db2.gz CKVDMVCGALBLFD-KCXAZCMYSA-N 0 3 323.362 4.215 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCCC1)c1nc(-c2cccs2)no1 ZINC000578918548 1045281194 /nfs/dbraw/zinc/28/11/94/1045281194.db2.gz JDTUXBOICCRHBB-RYUDHWBXSA-N 0 3 305.447 4.418 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccc2[nH]cnc2c1 ZINC000578938593 1045282959 /nfs/dbraw/zinc/28/29/59/1045282959.db2.gz GVZIEQSVQZFCJZ-GOSISDBHSA-N 0 3 309.413 4.155 20 0 DIADHN COCCC1CCN([C@@H](C)c2ccc(OC)c(Cl)c2)CC1 ZINC000527938447 1045283586 /nfs/dbraw/zinc/28/35/86/1045283586.db2.gz ZGOTXSCDCIWTQC-ZDUSSCGKSA-N 0 3 311.853 4.158 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCCC1)c1nnc(-c2cccs2)o1 ZINC000578938112 1045283899 /nfs/dbraw/zinc/28/38/99/1045283899.db2.gz ZLHQHLXBCPQRSM-RYUDHWBXSA-N 0 3 305.447 4.418 20 0 DIADHN Cc1nsc(C)c1CN[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000579022614 1045289519 /nfs/dbraw/zinc/28/95/19/1045289519.db2.gz KKRMAEDKMYHUPG-QAPCUYQASA-N 0 3 316.470 4.017 20 0 DIADHN COc1ccc2ccccc2c1CN(C)Cc1ccc(O)cc1 ZINC000579052482 1045291236 /nfs/dbraw/zinc/29/12/36/1045291236.db2.gz HVXNEZJMKUOIQB-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN COc1ccccc1[C@@H](NCc1cccnc1C)C1CCCC1 ZINC000527983479 1045294882 /nfs/dbraw/zinc/29/48/82/1045294882.db2.gz AJQNPQJORAFACA-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN C[C@H](NC1CC(c2cccc(Cl)c2)C1)c1ncccc1F ZINC000528036593 1045295610 /nfs/dbraw/zinc/29/56/10/1045295610.db2.gz USALNANUBOHMTN-ZOODHJKOSA-N 0 3 304.796 4.471 20 0 DIADHN CC(C)(CNC(=O)Nc1ccc2c(c1)CNC2)C1CCCCC1 ZINC000579330706 1045307673 /nfs/dbraw/zinc/30/76/73/1045307673.db2.gz UZFHYYNQNDIMPM-UHFFFAOYSA-N 0 3 315.461 4.018 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc(C3CCC3)cc2)cn1 ZINC000579365008 1045310413 /nfs/dbraw/zinc/31/04/13/1045310413.db2.gz IQQOCZQYACHJIZ-UHFFFAOYSA-N 0 3 310.441 4.426 20 0 DIADHN CO[C@@H](C)CN(Cc1cc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000579414765 1045314206 /nfs/dbraw/zinc/31/42/06/1045314206.db2.gz TYDDTMCBAIIEND-ZDUSSCGKSA-N 0 3 322.836 4.240 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1cccc(Cl)c1 ZINC000579658941 1045328853 /nfs/dbraw/zinc/32/88/53/1045328853.db2.gz ZXRFVPZSPFGYHD-CYBMUJFWSA-N 0 3 316.832 4.144 20 0 DIADHN COc1c(C)cc(CN[C@@H]2CCOc3c(C)cccc32)cc1C ZINC000188880455 1045340775 /nfs/dbraw/zinc/34/07/75/1045340775.db2.gz ARQQYLHOMSUXTJ-GOSISDBHSA-N 0 3 311.425 4.234 20 0 DIADHN Fc1cncc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)c1 ZINC000779165488 1045347812 /nfs/dbraw/zinc/34/78/12/1045347812.db2.gz IBEMJKFENILYCF-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN c1sc(CNC2CCC(OC3CCCC3)CC2)nc1C1CC1 ZINC000449189289 1045357094 /nfs/dbraw/zinc/35/70/94/1045357094.db2.gz MGGUQMDUFPTZQI-UHFFFAOYSA-N 0 3 320.502 4.381 20 0 DIADHN Cc1ccc2sc(CN3CC[C@H](Cc4ccncc4)C3)nc2c1 ZINC000528444168 1045357434 /nfs/dbraw/zinc/35/74/34/1045357434.db2.gz VLUIRRZTDLOHLD-MRXNPFEDSA-N 0 3 323.465 4.064 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000449180444 1045358880 /nfs/dbraw/zinc/35/88/80/1045358880.db2.gz ZHEJUHLSQLKCFX-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN c1c2cccnc2oc1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000449214090 1045361983 /nfs/dbraw/zinc/36/19/83/1045361983.db2.gz LPRYIFJDRSKTHH-DNVCBOLYSA-N 0 3 322.408 4.085 20 0 DIADHN Oc1ccc(Cl)c(CN2CC[C@@H](Cc3ccccc3)C2)c1 ZINC001307489499 1045362286 /nfs/dbraw/zinc/36/22/86/1045362286.db2.gz JKLWSKDNUBDUOS-HNNXBMFYSA-N 0 3 301.817 4.110 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2cccc3ccoc32)c2ccccc21 ZINC000449214953 1045363617 /nfs/dbraw/zinc/36/36/17/1045363617.db2.gz MTXMDTHXGNSSJK-GOSISDBHSA-N 0 3 320.392 4.020 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2nc(-c3ccncc3)cs2)c1 ZINC000449225085 1045365699 /nfs/dbraw/zinc/36/56/99/1045365699.db2.gz NMBBRRQEIHVFEB-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@@H](NCc1cc(COC(C)(C)C)on1)[C@@H]1CCCC[C@H]1C ZINC000449259571 1045367380 /nfs/dbraw/zinc/36/73/80/1045367380.db2.gz UNXDOXDJUVUSHT-CKEIUWERSA-N 0 3 308.466 4.294 20 0 DIADHN COc1cccc2c(CN(C)[C@H](C)c3cccnc3)cccc12 ZINC000449240909 1045368979 /nfs/dbraw/zinc/36/89/79/1045368979.db2.gz PSZQRHXEBXOJIW-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1nc2cc(C)ccc2s1 ZINC000528514857 1045370094 /nfs/dbraw/zinc/37/00/94/1045370094.db2.gz BDGSBIVYWHRUAB-UKRRQHHQSA-N 0 3 304.459 4.042 20 0 DIADHN C[C@@H]1CSCCN(Cc2cnc(-c3ccccc3F)s2)C1 ZINC000449283920 1045371249 /nfs/dbraw/zinc/37/12/49/1045371249.db2.gz MSUAZISLJFEDAG-LBPRGKRZSA-N 0 3 322.474 4.134 20 0 DIADHN Cc1ccc([C@H](N[C@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449292560 1045374249 /nfs/dbraw/zinc/37/42/49/1045374249.db2.gz DJMPNLWHJGGJSG-UZLBHIALSA-N 0 3 306.409 4.293 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](N[C@@H]1[C@@H]3Cc4ccccc4[C@@H]31)CC2 ZINC000449325863 1045374959 /nfs/dbraw/zinc/37/49/59/1045374959.db2.gz QBQVGCXPNXAESH-KJPHAFAOSA-N 0 3 315.338 4.019 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2cc3cccnc3o2)CC12CCC2 ZINC000449312815 1045376271 /nfs/dbraw/zinc/37/62/71/1045376271.db2.gz NNCQXHOGRWXQEZ-AWEZNQCLSA-N 0 3 324.346 4.382 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C)c(F)c2)c(C)c1OC ZINC000449372249 1045383529 /nfs/dbraw/zinc/38/35/29/1045383529.db2.gz FBBBOEGLMXVZFY-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN Cc1nc(CCNC(C)(C)c2nc3ccccc3s2)cs1 ZINC000528604733 1045384354 /nfs/dbraw/zinc/38/43/54/1045384354.db2.gz URWQDWPXBZEHFP-UHFFFAOYSA-N 0 3 317.483 4.129 20 0 DIADHN CC(C)CN(Cc1cnc[nH]1)Cc1cccc(C(F)(F)F)c1 ZINC000528605246 1045384684 /nfs/dbraw/zinc/38/46/84/1045384684.db2.gz JXOIHLQTQVVSRC-UHFFFAOYSA-N 0 3 311.351 4.087 20 0 DIADHN CC(C)n1nccc1CN1[C@H](C)[C@H](CC(F)(F)F)C[C@@H]1C ZINC000449362647 1045386369 /nfs/dbraw/zinc/38/63/69/1045386369.db2.gz LLOLGIVQCXXOEP-XQQFMLRXSA-N 0 3 303.372 4.015 20 0 DIADHN CC(C)n1cc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)cn1 ZINC000449362411 1045386816 /nfs/dbraw/zinc/38/68/16/1045386816.db2.gz LHCVACJFHKHETQ-SCRDCRAPSA-N 0 3 303.372 4.015 20 0 DIADHN Cc1nc(CNC2CCC3(CC3)CC2)sc1Br ZINC000449402437 1045390843 /nfs/dbraw/zinc/39/08/43/1045390843.db2.gz RJVJXNBZEFNVGB-UHFFFAOYSA-N 0 3 315.280 4.026 20 0 DIADHN Cc1nc(CN[C@@H](C)CC(C)(C)C)sc1Br ZINC000449402241 1045390933 /nfs/dbraw/zinc/39/09/33/1045390933.db2.gz QGVAVLBCOLTHMQ-QMMMGPOBSA-N 0 3 305.285 4.128 20 0 DIADHN Cc1ccccc1-c1ccccc1CNCc1n[nH]c(C(C)C)n1 ZINC000449405614 1045390994 /nfs/dbraw/zinc/39/09/94/1045390994.db2.gz MQCFWQSUUORPFG-UHFFFAOYSA-N 0 3 320.440 4.193 20 0 DIADHN CS[C@@H]1CCN(Cc2cnc(-c3ccc(Cl)cc3)s2)C1 ZINC000449445781 1045393651 /nfs/dbraw/zinc/39/36/51/1045393651.db2.gz LVJZUOCVIMNQQA-CYBMUJFWSA-N 0 3 324.902 4.401 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1ccc(-n2cccn2)cc1 ZINC000449460088 1045395758 /nfs/dbraw/zinc/39/57/58/1045395758.db2.gz MFSPVZPPJPGPKV-ZFWWWQNUSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1cc2ccccc2[nH]c1=O ZINC000449460488 1045396013 /nfs/dbraw/zinc/39/60/13/1045396013.db2.gz NMWGLFOJXKXTPB-FZMZJTMJSA-N 0 3 324.346 4.103 20 0 DIADHN COc1ncc(CN[C@@H](c2ccc(C)cc2)C2CC2)cc1Cl ZINC000449457786 1045396110 /nfs/dbraw/zinc/39/61/10/1045396110.db2.gz HXMZNDXDTPBANF-KRWDZBQOSA-N 0 3 316.832 4.293 20 0 DIADHN Cc1cccc(CN[C@@H](CCO)c2cccc(C(F)(F)F)c2)c1 ZINC000449437073 1045396801 /nfs/dbraw/zinc/39/68/01/1045396801.db2.gz KPDVYJGURMIWEB-KRWDZBQOSA-N 0 3 323.358 4.227 20 0 DIADHN COc1ccc(CNCc2cc(C)cs2)cc1OCC(C)C ZINC000449480098 1045398664 /nfs/dbraw/zinc/39/86/64/1045398664.db2.gz NPNPSAHZUDBOLN-UHFFFAOYSA-N 0 3 319.470 4.390 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1ccc2ncccc2c1 ZINC000449463926 1045399778 /nfs/dbraw/zinc/39/97/78/1045399778.db2.gz XLYRDTOEHWSFKZ-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN CCO[C@@H]1CCN(Cc2cc3c(cc(C)cc3C)[nH]2)C[C@@H]1C ZINC000449493881 1045400961 /nfs/dbraw/zinc/40/09/61/1045400961.db2.gz FQSFYFVRFMZETN-HNAYVOBHSA-N 0 3 300.446 4.032 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cnc([C@H]3CCCO3)s2)[C@@H]1C(C)C ZINC000449556178 1045412996 /nfs/dbraw/zinc/41/29/96/1045412996.db2.gz RRPUBTHCBCWSPH-ARFHVFGLSA-N 0 3 308.491 4.107 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC1(c2ccccc2)CC1 ZINC000528780228 1045414849 /nfs/dbraw/zinc/41/48/49/1045414849.db2.gz BZFAYKGWOYKHQU-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN CCc1ncc(CN[C@@H](C)[C@@H](C)c2nc3ccccc3s2)o1 ZINC000449610703 1045418645 /nfs/dbraw/zinc/41/86/45/1045418645.db2.gz RSSBBSOGBPGODS-NEPJUHHUSA-N 0 3 315.442 4.129 20 0 DIADHN CCCc1ncc(CN[C@@H](C)CCc2ccc(Cl)s2)o1 ZINC000449614644 1045419634 /nfs/dbraw/zinc/41/96/34/1045419634.db2.gz WJNSORQFWSJVKM-NSHDSACASA-N 0 3 312.866 4.453 20 0 DIADHN CCCc1ncc(CN[C@@H](C)CCc2c(F)cccc2F)o1 ZINC000449614852 1045419727 /nfs/dbraw/zinc/41/97/27/1045419727.db2.gz XIJGKOCQERVXIX-LBPRGKRZSA-N 0 3 308.372 4.016 20 0 DIADHN CC1(C)C[C@H](O)CCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC000449619044 1045420508 /nfs/dbraw/zinc/42/05/08/1045420508.db2.gz MZLCRRXAHIAWQB-OAHLLOKOSA-N 0 3 315.413 4.055 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C)oc1C)c1ccc2c(c1)OCCO2 ZINC000119381498 1045421405 /nfs/dbraw/zinc/42/14/05/1045421405.db2.gz RHOMZLYCXLPCKZ-GUYCJALGSA-N 0 3 315.413 4.470 20 0 DIADHN C[C@@H](CC1CCOCC1)CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449640086 1045424734 /nfs/dbraw/zinc/42/47/34/1045424734.db2.gz RNKWVPRPXSRMKB-YJBOKZPZSA-N 0 3 303.446 4.259 20 0 DIADHN CC(C)[C@@H](CC1CCCC1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000449666191 1045425329 /nfs/dbraw/zinc/42/53/29/1045425329.db2.gz DFZWZNVTPQNJOT-GOSISDBHSA-N 0 3 300.446 4.081 20 0 DIADHN CC(C)c1ccc([C@@H]2C[C@@H]2C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449667954 1045425530 /nfs/dbraw/zinc/42/55/30/1045425530.db2.gz GJZJNPFSTYOWLF-PMACEKPBSA-N 0 3 320.436 4.155 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@@]2(CCOC2)C1 ZINC000449677987 1045426342 /nfs/dbraw/zinc/42/63/42/1045426342.db2.gz DFZQFBNGRULUQQ-LJQANCHMSA-N 0 3 313.397 4.074 20 0 DIADHN Cc1ccc(-c2nc(CNCCC[C@H]3CCOC3)cs2)cc1 ZINC000449684802 1045426515 /nfs/dbraw/zinc/42/65/15/1045426515.db2.gz QGGXEMMCVMAILB-HNNXBMFYSA-N 0 3 316.470 4.025 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)NCc1cnc(C2CC2)o1 ZINC000449706276 1045428844 /nfs/dbraw/zinc/42/88/44/1045428844.db2.gz MWPXTKSEAMLFRY-LLVKDONJSA-N 0 3 324.346 4.292 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)NCc1cnc(C2CC2)o1 ZINC000449703432 1045429851 /nfs/dbraw/zinc/42/98/51/1045429851.db2.gz GCNRDAOICXLOQR-LLVKDONJSA-N 0 3 324.346 4.292 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCC[C@@H]3c3ccc(F)cc3C)cc12 ZINC000449729862 1045430347 /nfs/dbraw/zinc/43/03/47/1045430347.db2.gz BZSRVEBNAZJQSA-GOSISDBHSA-N 0 3 324.403 4.051 20 0 DIADHN Fc1ccc2cc(CN3CCC[C@]4(CCSC4)C3)[nH]c2c1 ZINC000449733199 1045431495 /nfs/dbraw/zinc/43/14/95/1045431495.db2.gz OAJMKRSIUAKXNH-KRWDZBQOSA-N 0 3 304.434 4.026 20 0 DIADHN COc1cccnc1CN[C@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449749832 1045434762 /nfs/dbraw/zinc/43/47/62/1045434762.db2.gz QXSGLFATLYYWKX-GRYCIOLGSA-N 0 3 322.861 4.087 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H](CCO)c1ccccc1 ZINC000120266953 1045456739 /nfs/dbraw/zinc/45/67/39/1045456739.db2.gz ZBFTZROGSONURG-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2ccc(C)cc2C)cc1 ZINC000120380197 1045467844 /nfs/dbraw/zinc/46/78/44/1045467844.db2.gz WWNMISRJXOYDPZ-JXFKEZNVSA-N 0 3 313.441 4.086 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@H](C)c3ccccn3)c2c1 ZINC000450213507 1045476232 /nfs/dbraw/zinc/47/62/32/1045476232.db2.gz HSIXQUIKUILYLY-CQSZACIVSA-N 0 3 307.397 4.012 20 0 DIADHN CC(C)(CNCc1ccc(F)cn1)c1cccc(Cl)c1F ZINC000529541488 1045490960 /nfs/dbraw/zinc/49/09/60/1045490960.db2.gz HMGSZMAFYVNICW-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)C3CC(F)(F)C3)co2)cc1 ZINC000529545281 1045491892 /nfs/dbraw/zinc/49/18/92/1045491892.db2.gz ORIMYRPYMSTFNN-LBPRGKRZSA-N 0 3 306.356 4.173 20 0 DIADHN C[C@H]1N(Cc2ccsc2C(F)(F)F)CCC12CCOCC2 ZINC000529545004 1045492228 /nfs/dbraw/zinc/49/22/28/1045492228.db2.gz YSVFYGJPDCXCJR-LLVKDONJSA-N 0 3 319.392 4.158 20 0 DIADHN CN(C[C@@H]1OCCc2ccccc21)C[C@H]1CCCCC1(F)F ZINC000450336843 1045494902 /nfs/dbraw/zinc/49/49/02/1045494902.db2.gz BTKOHLRFXAVLFY-WBVHZDCISA-N 0 3 309.400 4.058 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2ccn(CC(F)(F)F)n2)CC1 ZINC000450405193 1045501796 /nfs/dbraw/zinc/50/17/96/1045501796.db2.gz DMTDMUFOPKOBKD-ZDUSSCGKSA-N 0 3 317.399 4.094 20 0 DIADHN CCC(CC)N(Cc1noc(-c2cncc(C)c2)n1)CC(C)C ZINC000450466519 1045510797 /nfs/dbraw/zinc/51/07/97/1045510797.db2.gz JDAKYQYBGILXAG-UHFFFAOYSA-N 0 3 316.449 4.087 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cn2cccnc2n1)CC1CCC1 ZINC000121109463 1045514425 /nfs/dbraw/zinc/51/44/25/1045514425.db2.gz MBUAGQWLMSVHQP-INIZCTEOSA-N 0 3 320.440 4.093 20 0 DIADHN CC(C)c1ccsc1C(=O)NC[C@@H]1CCN1C1CCCCC1 ZINC000529720908 1045517651 /nfs/dbraw/zinc/51/76/51/1045517651.db2.gz STPPFOUMARXTNK-HNNXBMFYSA-N 0 3 320.502 4.008 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCCC(=O)c1ccc(F)cc1 ZINC000450551479 1045520647 /nfs/dbraw/zinc/52/06/47/1045520647.db2.gz DYTQVULXODZQED-CQSZACIVSA-N 0 3 315.388 4.187 20 0 DIADHN C[C@@](O)(CNCc1ccc(Cl)cc1Cl)c1ccsc1 ZINC000077460858 1045522848 /nfs/dbraw/zinc/52/28/48/1045522848.db2.gz VFIYEHJZXBOKPE-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN C[C@@](O)(CNCc1cccc(Cl)c1Cl)c1ccsc1 ZINC000077460483 1045523844 /nfs/dbraw/zinc/52/38/44/1045523844.db2.gz DONZUABDAFTDRF-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3nccn3C3CC3)CC2)cc1 ZINC001119954532 1045530865 /nfs/dbraw/zinc/53/08/65/1045530865.db2.gz MKUUVRDDTOIYFG-QGZVFWFLSA-N 0 3 311.404 4.083 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1cnc(C2CC2)o1 ZINC000628180204 1045535917 /nfs/dbraw/zinc/53/59/17/1045535917.db2.gz FXRNHKRIVJPQGA-LBPRGKRZSA-N 0 3 304.821 4.268 20 0 DIADHN C[C@]1(CCc2ccccc2)CCN(Cc2cnc(C3CC3)o2)C1 ZINC000628186833 1045539485 /nfs/dbraw/zinc/53/94/85/1045539485.db2.gz XFFVCWPPKKRULK-FQEVSTJZSA-N 0 3 310.441 4.397 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCc3ccccc32)C[C@H](c2ccsc2)O1 ZINC000780299809 1045541315 /nfs/dbraw/zinc/54/13/15/1045541315.db2.gz WWLFTFSJQNFALN-SIXWZSSISA-N 0 3 313.466 4.240 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(Cl)c(OC)c2)cc1 ZINC000450667954 1045541423 /nfs/dbraw/zinc/54/14/23/1045541423.db2.gz MGDGOBJZEZZVLI-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN Cc1nc(C)c(CNCC2(Sc3ccccc3)CCCC2)o1 ZINC000628211537 1045544605 /nfs/dbraw/zinc/54/46/05/1045544605.db2.gz DZBLOHROCXLBSY-UHFFFAOYSA-N 0 3 316.470 4.486 20 0 DIADHN COC[C@@H](NC[C@H]1CCCCC1(F)F)c1ccc(F)c(F)c1 ZINC000450684240 1045545166 /nfs/dbraw/zinc/54/51/66/1045545166.db2.gz REPJERLCTUEFJA-IUODEOHRSA-N 0 3 319.342 4.067 20 0 DIADHN CC(C)c1ccc(-c2nc(CN[C@H](C)[C@@H](C)CO)cs2)cc1 ZINC000450730365 1045555145 /nfs/dbraw/zinc/55/51/45/1045555145.db2.gz TXHIHCLMURVLOB-UONOGXRCSA-N 0 3 318.486 4.040 20 0 DIADHN Cc1ncc(CNCC2(c3cccc(Cl)c3F)CCCC2)o1 ZINC000628251654 1045556317 /nfs/dbraw/zinc/55/63/17/1045556317.db2.gz PZXZXMBGMCVDQG-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN Cc1nc(C)c(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000628258260 1045558493 /nfs/dbraw/zinc/55/84/93/1045558493.db2.gz VOLMKSIIKZUJLH-CTYIDZIISA-N 0 3 324.346 4.346 20 0 DIADHN C[C@H](O)CCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000450765635 1045558761 /nfs/dbraw/zinc/55/87/61/1045558761.db2.gz XLSXGYIIAKFLKW-WFASDCNBSA-N 0 3 301.352 4.003 20 0 DIADHN CC[C@H](C)[C@@H](C(=O)Nc1cccc2c1CNC2)c1ccccc1 ZINC001122221284 1045562076 /nfs/dbraw/zinc/56/20/76/1045562076.db2.gz WKJIQIDXQGPIDU-IFXJQAMLSA-N 0 3 308.425 4.058 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450755670 1045562923 /nfs/dbraw/zinc/56/29/23/1045562923.db2.gz FPFLRWPVYUGKMD-GHMZBOCLSA-N 0 3 300.418 4.108 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2[nH]ccc2c1)c1cccs1 ZINC000628304356 1045567006 /nfs/dbraw/zinc/56/70/06/1045567006.db2.gz RWOSBROEDPBCJE-QGZVFWFLSA-N 0 3 314.454 4.079 20 0 DIADHN C[C@@H]1CN(Cc2cc3cc(Cl)cnc3o2)C[C@@H](C)C1(F)F ZINC000628392866 1045575857 /nfs/dbraw/zinc/57/58/57/1045575857.db2.gz XBYYFLXHTJJQRO-NXEZZACHSA-N 0 3 314.763 4.204 20 0 DIADHN CCc1cc(CCCN2CC[C@@H](C(F)(F)F)C(C)(C)C2)on1 ZINC000450841230 1045578421 /nfs/dbraw/zinc/57/84/21/1045578421.db2.gz KGDWNYCXDPPCBL-CQSZACIVSA-N 0 3 318.383 4.080 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](C)NC(=O)OC(C)(C)C)c1ccccc1F ZINC000381703482 1045583938 /nfs/dbraw/zinc/58/39/38/1045583938.db2.gz HJCGHPGUWOEFAM-XEZPLFJOSA-N 0 3 324.440 4.168 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1CCCC(=O)c1ccc(F)cc1 ZINC000450890703 1045589791 /nfs/dbraw/zinc/58/97/91/1045589791.db2.gz WPMWBODZPWHUBK-BXUZGUMPSA-N 0 3 317.326 4.061 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](C)c1cccc2ccccc21 ZINC000008268907 1045590348 /nfs/dbraw/zinc/59/03/48/1045590348.db2.gz PIPLZYRUJGPSDT-MRXNPFEDSA-N 0 3 318.420 4.438 20 0 DIADHN CC(C)[C@H](NCc1cccnc1N)c1ccc(C(C)(C)C)cc1 ZINC000450932951 1045594342 /nfs/dbraw/zinc/59/43/42/1045594342.db2.gz DHCWXDXXZOLRNR-SFHVURJKSA-N 0 3 311.473 4.448 20 0 DIADHN CC(C)OC[C@H](C)N[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000800268191 1045598311 /nfs/dbraw/zinc/59/83/11/1045598311.db2.gz HYSWPULEVORXKF-GXFFZTMASA-N 0 3 318.244 4.220 20 0 DIADHN Fc1cccc2cc(CNCc3cccc4cccnc43)cnc12 ZINC001648973656 1045599936 /nfs/dbraw/zinc/59/99/36/1045599936.db2.gz OYITXMIZWXPDSD-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN CC(C)c1cnc(CN[C@@H]2Cc3ccc(Cl)cc3C2)s1 ZINC000800286167 1045606327 /nfs/dbraw/zinc/60/63/27/1045606327.db2.gz IVDRHHSKZCFKPE-CQSZACIVSA-N 0 3 306.862 4.177 20 0 DIADHN O[C@@H](CN1CC[C@H](c2ccccc2F)C1)c1ccccc1Cl ZINC000800342513 1045609796 /nfs/dbraw/zinc/60/97/96/1045609796.db2.gz SMNHPTAHJRLDNM-UGSOOPFHSA-N 0 3 319.807 4.002 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN3CCCc3ccncc3)nc2c1 ZINC000451015877 1045609908 /nfs/dbraw/zinc/60/99/08/1045609908.db2.gz MBEMTHVCBSYUSU-IBGZPJMESA-N 0 3 320.440 4.036 20 0 DIADHN Cc1ccc2nc([C@@H]3CCCN3CCCc3ccncc3)[nH]c2c1 ZINC000451015877 1045609911 /nfs/dbraw/zinc/60/99/11/1045609911.db2.gz MBEMTHVCBSYUSU-IBGZPJMESA-N 0 3 320.440 4.036 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN3C[C@H]3CCC(F)(F)C3)nc2c1 ZINC000451011439 1045610747 /nfs/dbraw/zinc/61/07/47/1045610747.db2.gz CTKKBSCLGVONIM-BBRMVZONSA-N 0 3 319.399 4.444 20 0 DIADHN Cc1ccc2nc([C@@H]3CCCN3C[C@H]3CCC(F)(F)C3)[nH]c2c1 ZINC000451011439 1045610749 /nfs/dbraw/zinc/61/07/49/1045610749.db2.gz CTKKBSCLGVONIM-BBRMVZONSA-N 0 3 319.399 4.444 20 0 DIADHN O[C@@H](CNC1(c2ccc(Cl)cc2)CC1)c1ccccc1Cl ZINC000800369072 1045611854 /nfs/dbraw/zinc/61/18/54/1045611854.db2.gz IYNMHNVPMYHYOF-INIZCTEOSA-N 0 3 322.235 4.306 20 0 DIADHN C[C@@H](O)CCCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000451042040 1045614466 /nfs/dbraw/zinc/61/44/66/1045614466.db2.gz KSUGSHKAHINPJD-MEBBXXQBSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C)c(C)o1)[C@H](O)c1c(F)cccc1F ZINC000800482192 1045624953 /nfs/dbraw/zinc/62/49/53/1045624953.db2.gz PSLPXKSSUJIQRM-GYOIFBIXSA-N 0 3 323.383 4.337 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1cccc(C2CC2)c1 ZINC000451114927 1045625931 /nfs/dbraw/zinc/62/59/31/1045625931.db2.gz NEPOQSPAGQAKPP-AWEZNQCLSA-N 0 3 305.425 4.371 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1ccncc1Br ZINC001168127330 1045628317 /nfs/dbraw/zinc/62/83/17/1045628317.db2.gz VZRYHTHKBJAMJI-IUODEOHRSA-N 0 3 311.267 4.245 20 0 DIADHN CCc1noc(C)c1CN1[C@H](C)[C@H](CC(F)(F)F)C[C@@H]1C ZINC000451140213 1045628687 /nfs/dbraw/zinc/62/86/87/1045628687.db2.gz BSUOPPDRBRUZSM-UMNHJUIQSA-N 0 3 304.356 4.097 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC2(CCC(O)CC2)CC1 ZINC000451148458 1045632713 /nfs/dbraw/zinc/63/27/13/1045632713.db2.gz UWXKKDDBRTUFTF-CYBMUJFWSA-N 0 3 309.400 4.043 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC2(CCC(O)CC2)CC1 ZINC000451146742 1045632967 /nfs/dbraw/zinc/63/29/67/1045632967.db2.gz PEDFZORAKAYREO-CYBMUJFWSA-N 0 3 309.400 4.043 20 0 DIADHN CCc1nc(C)c(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)o1 ZINC000451146002 1045633485 /nfs/dbraw/zinc/63/34/85/1045633485.db2.gz LJJQVOCDBJLDSC-WCQGTBRESA-N 0 3 304.356 4.097 20 0 DIADHN C[C@@H](N[C@H]1Cc2ccc(Cl)cc2C1)c1cccnc1Cl ZINC000800496408 1045633743 /nfs/dbraw/zinc/63/37/43/1045633743.db2.gz VCJIFQATDANSMR-YGRLFVJLSA-N 0 3 307.224 4.206 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1csc(Cl)c1 ZINC000191140769 1045661544 /nfs/dbraw/zinc/66/15/44/1045661544.db2.gz YQJMQVVBKOAUKX-NOZJJQNGSA-N 0 3 316.253 4.439 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)[C@@H](C)N1Cc1nc(-c2ccoc2)no1 ZINC000451179584 1045639503 /nfs/dbraw/zinc/63/95/03/1045639503.db2.gz DVJPUQCPANWDSF-CKEIUWERSA-N 0 3 323.396 4.096 20 0 DIADHN COC[C@@]1(C)CCN(Cc2nc3ccccc3c(C)c2Cl)C1 ZINC000451189669 1045644125 /nfs/dbraw/zinc/64/41/25/1045644125.db2.gz JIKRTABDXUBVCJ-SFHVURJKSA-N 0 3 318.848 4.055 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000451207946 1045647149 /nfs/dbraw/zinc/64/71/49/1045647149.db2.gz BRNGBEHVSSSKIH-KBPBESRZSA-N 0 3 322.880 4.015 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1ccc(-c2ccncc2)cc1F ZINC000752058328 1045653063 /nfs/dbraw/zinc/65/30/63/1045653063.db2.gz MOMWYPWESRINGP-AWEZNQCLSA-N 0 3 310.372 4.202 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1csc(Cl)c1 ZINC000191140753 1045661372 /nfs/dbraw/zinc/66/13/72/1045661372.db2.gz YQJMQVVBKOAUKX-TVQRCGJNSA-N 0 3 316.253 4.439 20 0 DIADHN CC(C)(CNCc1ccc(CSC(F)F)o1)C(F)(F)F ZINC000800888515 1045662230 /nfs/dbraw/zinc/66/22/30/1045662230.db2.gz GNSQLSIDOQUTJX-UHFFFAOYSA-N 0 3 317.323 4.414 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000451348618 1045665187 /nfs/dbraw/zinc/66/51/87/1045665187.db2.gz WCQHYVPTACCAFQ-GFOFROLCSA-N 0 3 309.400 4.041 20 0 DIADHN Clc1cccc2c1OCCC[C@H]2NC/C=C\c1ccncc1 ZINC000487535605 1045668625 /nfs/dbraw/zinc/66/86/25/1045668625.db2.gz IGMDGWMBPZZMAS-POKLJVKSSA-N 0 3 314.816 4.252 20 0 DIADHN Cc1cncc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)c1 ZINC000451356670 1045669858 /nfs/dbraw/zinc/66/98/58/1045669858.db2.gz RSCBFXMZLPBAOD-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN Cc1cccc([C@H](NCCOC2CCC2)c2cccc(F)c2)c1 ZINC000800978649 1045674420 /nfs/dbraw/zinc/67/44/20/1045674420.db2.gz GRWXTBGOSXEDBK-FQEVSTJZSA-N 0 3 313.416 4.382 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(Br)cc21)c1ccc[nH]1 ZINC000800976456 1045674756 /nfs/dbraw/zinc/67/47/56/1045674756.db2.gz YSPUCBSFEHOLSJ-BMIGLBTASA-N 0 3 305.219 4.115 20 0 DIADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1cc2cccc(F)c2o1 ZINC000530492917 1045675288 /nfs/dbraw/zinc/67/52/88/1045675288.db2.gz SYROFELQTFGTIU-NSHDSACASA-N 0 3 309.344 4.299 20 0 DIADHN C[C@H](NCc1ccc2nc[nH]c2c1)c1cc2cccc(F)c2o1 ZINC000530492917 1045675295 /nfs/dbraw/zinc/67/52/95/1045675295.db2.gz SYROFELQTFGTIU-NSHDSACASA-N 0 3 309.344 4.299 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1ccc(F)cc1)c1csc(Cl)c1 ZINC000191292643 1045676025 /nfs/dbraw/zinc/67/60/25/1045676025.db2.gz GVZVTLASNIGXGG-YHAQOWFVSA-N 0 3 313.825 4.313 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@@H](C)c2csc(Cl)c2)c1 ZINC000800993199 1045680625 /nfs/dbraw/zinc/68/06/25/1045680625.db2.gz WQURSJSNJCNZEI-WFASDCNBSA-N 0 3 309.862 4.403 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@@H]1CCC3(CCCC3)O1)CCC2 ZINC000530516454 1045682726 /nfs/dbraw/zinc/68/27/26/1045682726.db2.gz NMEREIJJYWGGBP-HOCLYGCPSA-N 0 3 320.502 4.253 20 0 DIADHN CCCCc1ccc(N(C)C(=O)c2cccc(CN(C)C)c2)cc1 ZINC000752707809 1045698473 /nfs/dbraw/zinc/69/84/73/1045698473.db2.gz GWUNSQKUKVRELP-UHFFFAOYSA-N 0 3 324.468 4.367 20 0 DIADHN CN(C)[C@@H](CNc1nccc2occc21)c1ccc(Cl)cc1 ZINC000530618188 1045698970 /nfs/dbraw/zinc/69/89/70/1045698970.db2.gz LBKVOGODSJUKEP-HNNXBMFYSA-N 0 3 315.804 4.196 20 0 DIADHN Cc1ccc2cc(-c3ccc([C@@H]4OCCN[C@H]4C)cc3)ccc2n1 ZINC000629733278 1045706106 /nfs/dbraw/zinc/70/61/06/1045706106.db2.gz MQMYOYNCQVKKSB-YCRPNKLZSA-N 0 3 318.420 4.260 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000451707168 1045717622 /nfs/dbraw/zinc/71/76/22/1045717622.db2.gz MQTOAQSVIICCOK-NGFQHRJXSA-N 0 3 311.828 4.023 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H]4CCC[C@@H]4C3)o2)cc1C ZINC000122192913 1045723486 /nfs/dbraw/zinc/72/34/86/1045723486.db2.gz VAPCERLSTCAPHW-BHYGNILZSA-N 0 3 311.429 4.146 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccsc2)cc1OC ZINC000078483750 1045723483 /nfs/dbraw/zinc/72/34/83/1045723483.db2.gz PJOSSYBUSNZTDH-ZDUSSCGKSA-N 0 3 305.443 4.396 20 0 DIADHN CCC[C@H](NCCCc1c(C)noc1C)c1ncccc1Cl ZINC000925238737 1045741499 /nfs/dbraw/zinc/74/14/99/1045741499.db2.gz AQXGFFPMVBTCND-INIZCTEOSA-N 0 3 321.852 4.403 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cnn(CCC)c2)cc1 ZINC000475673476 1045742001 /nfs/dbraw/zinc/74/20/01/1045742001.db2.gz QLBKXSKHWULLPO-UHFFFAOYSA-N 0 3 315.461 4.107 20 0 DIADHN CCC[C@@H](NCCCc1c(C)noc1C)c1ncccc1Cl ZINC000925238757 1045742421 /nfs/dbraw/zinc/74/24/21/1045742421.db2.gz AQXGFFPMVBTCND-MRXNPFEDSA-N 0 3 321.852 4.403 20 0 DIADHN C[C@H]1CCCC[C@H]1CN(C)CC(=O)Nc1ccccc1Cl ZINC000753539585 1045759623 /nfs/dbraw/zinc/75/96/23/1045759623.db2.gz RQPZWUXMTUOXNH-KBPBESRZSA-N 0 3 308.853 4.037 20 0 DIADHN Clc1cccc(OCCCN2CCC[C@H]2c2ccccn2)c1 ZINC000530962816 1045760088 /nfs/dbraw/zinc/76/00/88/1045760088.db2.gz AZSGCSXGGVGRMN-SFHVURJKSA-N 0 3 316.832 4.341 20 0 DIADHN Fc1ccc(SCCN2CCC[C@@H]2c2ccccn2)cc1 ZINC000530962438 1045761351 /nfs/dbraw/zinc/76/13/51/1045761351.db2.gz HXELKUVDAUVBCU-QGZVFWFLSA-N 0 3 302.418 4.150 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H](C)[C@H]3CCC[C@@H](C)C3)o2)c(C)o1 ZINC000452068384 1045766133 /nfs/dbraw/zinc/76/61/33/1045766133.db2.gz MZIVJVQFWYEKAZ-ZLDLUXBVSA-N 0 3 317.433 4.251 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](CF)c2ccc(F)cc2)cc1 ZINC001203426991 1045769926 /nfs/dbraw/zinc/76/99/26/1045769926.db2.gz CGIZUPCKCXHKBE-LIRRHRJNSA-N 0 3 319.395 4.456 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C(C)C)C1CC(C)(C)C1 ZINC000452105778 1045774857 /nfs/dbraw/zinc/77/48/57/1045774857.db2.gz OQODLYRCDLKVBN-UHFFFAOYSA-N 0 3 322.880 4.486 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](c2ccc(F)cc2)c2cccnc2)C1 ZINC000753661040 1045783857 /nfs/dbraw/zinc/78/38/57/1045783857.db2.gz CVCDVAGRMZASRP-KZNAEPCWSA-N 0 3 316.445 4.184 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](CCO)c2ccccc2)cc1C ZINC000753660742 1045784873 /nfs/dbraw/zinc/78/48/73/1045784873.db2.gz JEDUDFHZMHPCSK-QFBILLFUSA-N 0 3 313.441 4.086 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](CO)c2ccccc2C)cc1C ZINC000753671700 1045786830 /nfs/dbraw/zinc/78/68/30/1045786830.db2.gz DJOSCVOKISGFLD-APWZRJJASA-N 0 3 313.441 4.005 20 0 DIADHN CSC(C)(C)CN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000753664807 1045787094 /nfs/dbraw/zinc/78/70/94/1045787094.db2.gz RQHRRLXKBWFDGA-INIZCTEOSA-N 0 3 304.434 4.041 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](c2ccc(F)cc2)c2ccccn2)C1 ZINC000753665697 1045787639 /nfs/dbraw/zinc/78/76/39/1045787639.db2.gz VQBXOTNQVUWMDO-JFIYKMOQSA-N 0 3 316.445 4.184 20 0 DIADHN COC[C@H](N[C@@H](C)c1cc(C)c(OC)cc1C)c1ccccc1 ZINC000753678493 1045788894 /nfs/dbraw/zinc/78/88/94/1045788894.db2.gz JJRDFVUYIPVHLN-LPHOPBHVSA-N 0 3 313.441 4.350 20 0 DIADHN CN(CCC(=O)C(C)(C)C)Cc1cccc(C(F)(F)F)c1 ZINC000753703935 1045793243 /nfs/dbraw/zinc/79/32/43/1045793243.db2.gz BQBPZEGUEKWRRS-UHFFFAOYSA-N 0 3 301.352 4.143 20 0 DIADHN CC[C@@H](NCc1ccc(C(F)F)nc1)c1ccc(OC)cc1 ZINC000631033882 1045800052 /nfs/dbraw/zinc/80/00/52/1045800052.db2.gz BNFZTHZRVMIUER-OAHLLOKOSA-N 0 3 306.356 4.269 20 0 DIADHN FC(F)c1ccc(CNCc2cc3c(s2)CCCC3)cn1 ZINC000631054692 1045803464 /nfs/dbraw/zinc/80/34/64/1045803464.db2.gz UHJFPAJEHAIVDP-UHFFFAOYSA-N 0 3 308.397 4.249 20 0 DIADHN COc1cncc(CN[C@@H](CC(C)C)c2cccs2)c1C ZINC000631049418 1045805626 /nfs/dbraw/zinc/80/56/26/1045805626.db2.gz YPOZFGIUXAAQFC-HNNXBMFYSA-N 0 3 304.459 4.337 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c1C ZINC000631066334 1045808003 /nfs/dbraw/zinc/80/80/03/1045808003.db2.gz BESQMMZRDGNMBM-LJQANCHMSA-N 0 3 314.404 4.169 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1ccc(CC(F)(F)F)cc1 ZINC000631065536 1045809042 /nfs/dbraw/zinc/80/90/42/1045809042.db2.gz WVDPQNSHWUWQHE-INIZCTEOSA-N 0 3 321.342 4.274 20 0 DIADHN FC(F)(F)Cc1ccc(CN[C@@H](c2cccnc2)C2CC2)cc1 ZINC000631101789 1045817761 /nfs/dbraw/zinc/81/77/61/1045817761.db2.gz KGHUZZFMHADECH-QGZVFWFLSA-N 0 3 320.358 4.427 20 0 DIADHN C[C@H](OC(=O)C1CCN(C2CC2)CC1)c1cccc2ccccc21 ZINC000801874272 1045821719 /nfs/dbraw/zinc/82/17/19/1045821719.db2.gz ORTUORHTJOFXFZ-HNNXBMFYSA-N 0 3 323.436 4.318 20 0 DIADHN Cc1cc(CNCc2ccccc2CC(F)(F)F)cc(C)n1 ZINC000631159114 1045823900 /nfs/dbraw/zinc/82/39/00/1045823900.db2.gz AIRDAGNVKDVVOB-UHFFFAOYSA-N 0 3 308.347 4.093 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](CCc1ccccc1)c1ccccn1 ZINC000631199100 1045831265 /nfs/dbraw/zinc/83/12/65/1045831265.db2.gz ICNQBHMFMZWOQC-YLJYHZDGSA-N 0 3 312.457 4.159 20 0 DIADHN CC[C@@](C)(NCc1cn(C(C)C)nc1C)c1ccc(F)cc1 ZINC000631195341 1045832872 /nfs/dbraw/zinc/83/28/72/1045832872.db2.gz VXEGIPFSBIHLDN-GOSISDBHSA-N 0 3 303.425 4.327 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000531402573 1045837175 /nfs/dbraw/zinc/83/71/75/1045837175.db2.gz PMRPUNSSRQBOLM-UHFFFAOYSA-N 0 3 301.352 4.095 20 0 DIADHN FC(F)(F)c1ccccc1CNCc1cccc2c1CCOC2 ZINC000631244474 1045842081 /nfs/dbraw/zinc/84/20/81/1045842081.db2.gz BYPCJALTIGGGMX-UHFFFAOYSA-N 0 3 321.342 4.068 20 0 DIADHN Cc1ccc(CNCc2cccc3c2CCOC3)cc1Cl ZINC000631244244 1045842147 /nfs/dbraw/zinc/84/21/47/1045842147.db2.gz OVIZPSPEUSRGJY-UHFFFAOYSA-N 0 3 301.817 4.011 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccccc2C(C)(F)F)c1 ZINC000452685249 1045845201 /nfs/dbraw/zinc/84/52/01/1045845201.db2.gz OCDBPMYAKKBAEB-UHFFFAOYSA-N 0 3 318.367 4.112 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H](c3ccccc3)C2)s1 ZINC000754101860 1045847881 /nfs/dbraw/zinc/84/78/81/1045847881.db2.gz MLMCNIIKRIAPKV-JKSUJKDBSA-N 0 3 315.486 4.478 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1cccc2[nH]ccc21 ZINC000631305979 1045848343 /nfs/dbraw/zinc/84/83/43/1045848343.db2.gz GKBGBBMEBSLCCE-UHFFFAOYSA-N 0 3 310.445 4.026 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000631564523 1045868014 /nfs/dbraw/zinc/86/80/14/1045868014.db2.gz SJESYJHQPFHHBS-KPZWWZAWSA-N 0 3 315.388 4.181 20 0 DIADHN COc1ccc2ccccc2c1CN[C@H]1CCCc2ncccc21 ZINC000631567762 1045871354 /nfs/dbraw/zinc/87/13/54/1045871354.db2.gz ILIKWBQIRSWNFE-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@H](N[C@H]1CCCc2ncccc21)c1cccc(OC(F)F)c1 ZINC000631571119 1045875141 /nfs/dbraw/zinc/87/51/41/1045875141.db2.gz YPZXPYSAISVKPN-SJCJKPOMSA-N 0 3 318.367 4.411 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](c1ncccc1C)C(C)C ZINC000631580968 1045876992 /nfs/dbraw/zinc/87/69/92/1045876992.db2.gz CVJOXDMGMYVLIX-OALUTQOASA-N 0 3 310.441 4.373 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1c[nH]c2ccccc12)c1ccco1 ZINC000631585724 1045879519 /nfs/dbraw/zinc/87/95/19/1045879519.db2.gz UGUMLKASJIZABJ-RDTXWAMCSA-N 0 3 312.413 4.059 20 0 DIADHN CC1(C)C[C@@H](NCc2nc3cc(Cl)ccc3s2)C(C)(C)O1 ZINC000531696111 1045896615 /nfs/dbraw/zinc/89/66/15/1045896615.db2.gz KDQFDKMLYYKVDB-CYBMUJFWSA-N 0 3 324.877 4.385 20 0 DIADHN c1ccc(CC[C@@H](N[C@H]2COC3(CCC3)C2)c2ccccn2)cc1 ZINC000631647948 1045897889 /nfs/dbraw/zinc/89/78/89/1045897889.db2.gz YAFIKLQXDRXWAC-UYAOXDASSA-N 0 3 322.452 4.057 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccn1)C1CCC1 ZINC000631653304 1045898127 /nfs/dbraw/zinc/89/81/27/1045898127.db2.gz WBEQYGANXGVOSM-ICSRJNTNSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc(CN[C@H](CC2CC2)c2ccccc2)c(OC)c1 ZINC000531699192 1045899418 /nfs/dbraw/zinc/89/94/18/1045899418.db2.gz XPVIPEMVKABDTP-LJQANCHMSA-N 0 3 311.425 4.335 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cc2ccccc2o1 ZINC000754582750 1045899401 /nfs/dbraw/zinc/89/94/01/1045899401.db2.gz JBTPJZAMMLYPMN-MLGOLLRUSA-N 0 3 315.800 4.470 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccc(Cl)c(F)c1)c1ccccc1 ZINC000631660805 1045899820 /nfs/dbraw/zinc/89/98/20/1045899820.db2.gz GJULMWQMVVECNR-YVEFUNNKSA-N 0 3 307.796 4.253 20 0 DIADHN OC[C@H](N[C@@H]1CCc2ccccc21)c1cccc(C(F)(F)F)c1 ZINC000631659445 1045901407 /nfs/dbraw/zinc/90/14/07/1045901407.db2.gz NAFCUVOYNAKXSV-SJORKVTESA-N 0 3 321.342 4.016 20 0 DIADHN CCC[C@H](CCO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000631669894 1045902279 /nfs/dbraw/zinc/90/22/79/1045902279.db2.gz RZZWJXVTQNEJCN-UKRRQHHQSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1ccc2c(c1)COC2 ZINC000631682323 1045902335 /nfs/dbraw/zinc/90/23/35/1045902335.db2.gz GEEZYZYBCOKHKQ-CQSZACIVSA-N 0 3 304.393 4.116 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)s1 ZINC000754660329 1045904902 /nfs/dbraw/zinc/90/49/02/1045904902.db2.gz UFJQXXPJSQUQHS-KBPBESRZSA-N 0 3 302.443 4.043 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(C)s2)cc1 ZINC000754659426 1045904954 /nfs/dbraw/zinc/90/49/54/1045904954.db2.gz OQCYOTVHXIDACH-KGLIPLIRSA-N 0 3 316.470 4.297 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2c(F)cccc2F)s1 ZINC000754660758 1045905072 /nfs/dbraw/zinc/90/50/72/1045905072.db2.gz FWIBRVASLIVXQJ-WDEREUQCSA-N 0 3 324.396 4.013 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1ccccc1N(C)C ZINC000631684195 1045905563 /nfs/dbraw/zinc/90/55/63/1045905563.db2.gz PNRSUWRTAXMEQV-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(F)ccc2C)s1 ZINC000754665768 1045907498 /nfs/dbraw/zinc/90/74/98/1045907498.db2.gz XTPSYZVAAZRKCH-CHWSQXEVSA-N 0 3 320.433 4.182 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2F)s1 ZINC000754668232 1045907067 /nfs/dbraw/zinc/90/70/67/1045907067.db2.gz NDZKSINQUNWOLS-OLZOCXBDSA-N 0 3 320.433 4.182 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2c(C)cccc2C)s1 ZINC000754667549 1045907540 /nfs/dbraw/zinc/90/75/40/1045907540.db2.gz JKHYUNCABDALCS-GJZGRUSLSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2F)s1 ZINC000754668230 1045908095 /nfs/dbraw/zinc/90/80/95/1045908095.db2.gz NDZKSINQUNWOLS-CHWSQXEVSA-N 0 3 320.433 4.182 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2ccc(C)s2)c(C)s1 ZINC000754669234 1045908134 /nfs/dbraw/zinc/90/81/34/1045908134.db2.gz RFOOWBCCVIZEHD-SNVBAGLBSA-N 0 3 309.456 4.064 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(C)ccc2C)s1 ZINC000754670377 1045908216 /nfs/dbraw/zinc/90/82/16/1045908216.db2.gz VHLNFKYEJRYWBA-CABCVRRESA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2C)s1 ZINC000754670812 1045909319 /nfs/dbraw/zinc/90/93/19/1045909319.db2.gz OPSNSBZCZKEUDX-GJZGRUSLSA-N 0 3 316.470 4.351 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1ccc(F)c(Cl)c1 ZINC000631728893 1045909734 /nfs/dbraw/zinc/90/97/34/1045909734.db2.gz AHINQUIASKCNBP-LBPRGKRZSA-N 0 3 319.807 4.403 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1ccccc1C)c1cccc(Cl)c1 ZINC000531738705 1045909866 /nfs/dbraw/zinc/90/98/66/1045909866.db2.gz OZTRDUTZRMRVTN-MSOLQXFVSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(F)c2)s1 ZINC000754670460 1045910567 /nfs/dbraw/zinc/91/05/67/1045910567.db2.gz WDLHIFPQHOEODS-STQMWFEESA-N 0 3 320.433 4.182 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2C)s1 ZINC000754670816 1045910984 /nfs/dbraw/zinc/91/09/84/1045910984.db2.gz OPSNSBZCZKEUDX-LSDHHAIUSA-N 0 3 316.470 4.351 20 0 DIADHN c1ccc2c(c1)SCC[C@H]2NCc1cccc2c1CCOC2 ZINC000631729945 1045911810 /nfs/dbraw/zinc/91/18/10/1045911810.db2.gz LNFYCQAYAARYTQ-GOSISDBHSA-N 0 3 311.450 4.086 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1C(F)(F)F)c1cc(Br)cs1 ZINC000631753974 1045914614 /nfs/dbraw/zinc/91/46/14/1045914614.db2.gz XPXWTSRRVRDARU-UIISKDMLSA-N 0 3 314.170 4.112 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1C(F)(F)F)c1ccc(-c2ccncc2)cc1 ZINC000631754479 1045915211 /nfs/dbraw/zinc/91/52/11/1045915211.db2.gz IXQOERFBKNCQJA-IUIKQTSFSA-N 0 3 306.331 4.350 20 0 DIADHN Brc1ccc(CN2CC3CCC(CC3)C2)s1 ZINC001137647301 1045915626 /nfs/dbraw/zinc/91/56/26/1045915626.db2.gz MDANSYTYHZEORX-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccc(F)c1 ZINC000631737298 1045915737 /nfs/dbraw/zinc/91/57/37/1045915737.db2.gz LJJASGZNVNHHSI-ABAIWWIYSA-N 0 3 314.360 4.474 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cccc3c2OCO3)c2sccc21 ZINC000453065692 1045915842 /nfs/dbraw/zinc/91/58/42/1045915842.db2.gz JZODLYGRVTUNLH-RISCZKNCSA-N 0 3 301.411 4.205 20 0 DIADHN CC[C@H](NCc1ccc(Cn2ccnc2)cc1)c1ccsc1 ZINC000453069550 1045915964 /nfs/dbraw/zinc/91/59/64/1045915964.db2.gz BXGHYVVEBIAIOW-SFHVURJKSA-N 0 3 311.454 4.234 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000531757338 1045917245 /nfs/dbraw/zinc/91/72/45/1045917245.db2.gz LAJMWJJJNFHVDE-IBGZPJMESA-N 0 3 311.473 4.332 20 0 DIADHN CC[C@@H](NCc1c(OC)ccc2ccccc21)c1ccncc1 ZINC000453074092 1045917369 /nfs/dbraw/zinc/91/73/69/1045917369.db2.gz OECRGARDMOHSTD-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@@H](N[C@@H](C)CCCc1cccnc1)c1c(F)cccc1F ZINC000453062725 1045922683 /nfs/dbraw/zinc/92/26/83/1045922683.db2.gz POYIMQVTSNJBHH-UONOGXRCSA-N 0 3 304.384 4.422 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCn3nccc32)c2ccccc12 ZINC000631808445 1045922837 /nfs/dbraw/zinc/92/28/37/1045922837.db2.gz DJXDUTRDZICZTP-KSSFIOAISA-N 0 3 321.424 4.231 20 0 DIADHN Cc1cccc(C2(CN[C@H]3CCn4ccnc43)CCCCC2)c1 ZINC000453059394 1045922810 /nfs/dbraw/zinc/92/28/10/1045922810.db2.gz IRMSYXJOHGWJPQ-SFHVURJKSA-N 0 3 309.457 4.128 20 0 DIADHN Cc1cc2c(cc1C)[C@H](N[C@@H]1CCCn3nccc31)CCS2 ZINC000631810595 1045924480 /nfs/dbraw/zinc/92/44/80/1045924480.db2.gz WPRKDLAKIVUVQU-HZPDHXFCSA-N 0 3 313.470 4.162 20 0 DIADHN CC(C)NCc1c(Cl)n(Cc2ccccc2)nc1C(C)C ZINC000754822269 1045927272 /nfs/dbraw/zinc/92/72/72/1045927272.db2.gz MARUTFCGBUYFQM-UHFFFAOYSA-N 0 3 305.853 4.206 20 0 DIADHN Cc1cccc(F)c1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000754792364 1045927392 /nfs/dbraw/zinc/92/73/92/1045927392.db2.gz ARDLIHJKMLLUGA-OXQOHEQNSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1cccc(F)c1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000754792350 1045927994 /nfs/dbraw/zinc/92/79/94/1045927994.db2.gz ARDLIHJKMLLUGA-JXFKEZNVSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCCc3nc(C)ncc31)CCC2 ZINC000754795899 1045928191 /nfs/dbraw/zinc/92/81/91/1045928191.db2.gz QHRXGNQTKVLVGA-UYAOXDASSA-N 0 3 307.441 4.138 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@]1(C)CC1(Cl)Cl ZINC000828755925 1045930251 /nfs/dbraw/zinc/93/02/51/1045930251.db2.gz STJUGCDYHQZHRE-BBBLOLIVSA-N 0 3 304.183 4.233 20 0 DIADHN CN(C)c1cccc(CNCc2ccccc2-c2ccccc2)n1 ZINC000754840811 1045931332 /nfs/dbraw/zinc/93/13/32/1045931332.db2.gz UITBYOKEUIGCMV-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1ccc(C(C)(C)C)s1 ZINC000754843901 1045932079 /nfs/dbraw/zinc/93/20/79/1045932079.db2.gz SGUUHFBMPKDQFR-UHFFFAOYSA-N 0 3 319.518 4.194 20 0 DIADHN CC[C@H](NCc1cc(Cl)c2c(c1)OCCO2)c1ccsc1 ZINC000453091120 1045932264 /nfs/dbraw/zinc/93/22/64/1045932264.db2.gz ZERQVXOLKDALSI-AWEZNQCLSA-N 0 3 323.845 4.414 20 0 DIADHN COc1ccccc1C[C@H](NCc1csc(Cl)n1)C(C)C ZINC000828808258 1045934854 /nfs/dbraw/zinc/93/48/54/1045934854.db2.gz JABAAHUJKDFKOY-AWEZNQCLSA-N 0 3 324.877 4.162 20 0 DIADHN Cc1cnc([C@@H](NC[C@@]2(C)CC2(Cl)Cl)C2CC2)s1 ZINC000828808190 1045934900 /nfs/dbraw/zinc/93/49/00/1045934900.db2.gz HLWDFRSQQQMMJA-CMPLNLGQSA-N 0 3 305.274 4.076 20 0 DIADHN C[C@H](OC(=O)C(C)(C)N(C)C1CCCC1)c1ccc(F)cc1 ZINC000754871084 1045935538 /nfs/dbraw/zinc/93/55/38/1045935538.db2.gz WSFOVOBLFJSLLN-ZDUSSCGKSA-N 0 3 307.409 4.083 20 0 DIADHN CN(C1CCCC1)C(C)(C)C(=O)OCc1ccc(Cl)s1 ZINC000754875166 1045937423 /nfs/dbraw/zinc/93/74/23/1045937423.db2.gz XMRWHFDGKCWFQA-UHFFFAOYSA-N 0 3 315.866 4.098 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000828903782 1045940565 /nfs/dbraw/zinc/94/05/65/1045940565.db2.gz JTLIAJLLLXOLRZ-IINYFYTJSA-N 0 3 318.292 4.181 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1coc(-c2ccccc2)n1 ZINC000453111004 1045940604 /nfs/dbraw/zinc/94/06/04/1045940604.db2.gz AKQAYMXWRUBZAC-MRXNPFEDSA-N 0 3 321.424 4.238 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000828903780 1045941931 /nfs/dbraw/zinc/94/19/31/1045941931.db2.gz JTLIAJLLLXOLRZ-HZMBPMFUSA-N 0 3 318.292 4.181 20 0 DIADHN COCCC1CCN(Cc2c[nH]c3ccc(Cl)cc23)CC1 ZINC001137712384 1045943189 /nfs/dbraw/zinc/94/31/89/1045943189.db2.gz AEPKIHVPAOWMQX-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN CCC(CC)c1cc(CN[C@H](C)CCCc2cccnc2)on1 ZINC000453125457 1045946869 /nfs/dbraw/zinc/94/68/69/1045946869.db2.gz VSUJWNYPCFTCMV-OAHLLOKOSA-N 0 3 315.461 4.474 20 0 DIADHN COc1cc(CN[C@@H](C)c2csc(C)c2)c2ccccc2n1 ZINC000453125446 1045947075 /nfs/dbraw/zinc/94/70/75/1045947075.db2.gz VSTXUTDQDRXDAW-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN COc1cccc(F)c1[C@@H](C)N[C@@H](C)CCCc1cccnc1 ZINC000453125916 1045947152 /nfs/dbraw/zinc/94/71/52/1045947152.db2.gz XIPFRDNBYIZGNQ-LSDHHAIUSA-N 0 3 316.420 4.291 20 0 DIADHN OC1(c2c(F)cccc2F)CCN(CCC2=CCCCC2)CC1 ZINC000828988563 1045949147 /nfs/dbraw/zinc/94/91/47/1045949147.db2.gz YNPZGIJTJBCGLJ-UHFFFAOYSA-N 0 3 321.411 4.139 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H](CO)c2ccc(F)cc2)c2sccc21 ZINC000453153146 1045951864 /nfs/dbraw/zinc/95/18/64/1045951864.db2.gz YWMTVIVCLOVNST-HFBAOOFYSA-N 0 3 305.418 4.149 20 0 DIADHN Cc1sc(CN[C@H](C)CCCc2cccnc2)nc1C(C)C ZINC000453134356 1045955570 /nfs/dbraw/zinc/95/55/70/1045955570.db2.gz ZQETXCOODNLGQG-CQSZACIVSA-N 0 3 317.502 4.471 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1ccc(Oc2ccccc2)cn1 ZINC000453136234 1045955820 /nfs/dbraw/zinc/95/58/20/1045955820.db2.gz UJMZPDBKIFPTAA-RHSMWYFYSA-N 0 3 314.454 4.420 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CC[C@H](C)c2ccsc21 ZINC000453138845 1045956705 /nfs/dbraw/zinc/95/67/05/1045956705.db2.gz AAWXUQVMDQSACY-BPNCWPANSA-N 0 3 307.484 4.380 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCO3)cc1F ZINC000193003899 1045960038 /nfs/dbraw/zinc/96/00/38/1045960038.db2.gz GPWYNZUBYLERIY-QWHCGFSZSA-N 0 3 315.388 4.181 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C)cc2)cc1OC(F)F ZINC000079723001 1045961298 /nfs/dbraw/zinc/96/12/98/1045961298.db2.gz QUNNPFQEGVKDHC-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1nc(-c2ccncc2)cs1 ZINC000755194805 1045962648 /nfs/dbraw/zinc/96/26/48/1045962648.db2.gz JPEQRMBCQLYNPX-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN C/C(=C\C(C)(C)C)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC000802378512 1045964289 /nfs/dbraw/zinc/96/42/89/1045964289.db2.gz HZQFCDSKYBICQW-SICOMBFOSA-N 0 3 322.880 4.051 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@H]2CCC(C)(C)c3ccccc32)c2nccn21 ZINC000453170280 1045965081 /nfs/dbraw/zinc/96/50/81/1045965081.db2.gz VCBPHRKQTJJMKW-IIDMSEBBSA-N 0 3 309.457 4.334 20 0 DIADHN COC[C@@H](NC[C@@]1(C)CC1(Cl)Cl)c1ccc(Cl)cc1 ZINC000829304888 1045967846 /nfs/dbraw/zinc/96/78/46/1045967846.db2.gz DQIXINKCOYLIMA-CHWSQXEVSA-N 0 3 322.663 4.201 20 0 DIADHN COC[C@H](NC[C@]1(C)CC1(Cl)Cl)c1ccc(Cl)cc1 ZINC000829304903 1045968414 /nfs/dbraw/zinc/96/84/14/1045968414.db2.gz DQIXINKCOYLIMA-STQMWFEESA-N 0 3 322.663 4.201 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(O)(c2ccccc2Cl)CC1 ZINC000193143832 1045971076 /nfs/dbraw/zinc/97/10/76/1045971076.db2.gz UIGBJYOXZLRKKG-HNNXBMFYSA-N 0 3 315.844 4.385 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2csc(C)c2)c2ccccc21 ZINC000453184930 1045972185 /nfs/dbraw/zinc/97/21/85/1045972185.db2.gz UXGLTCVHTZCXHM-CXAGYDPISA-N 0 3 314.454 4.205 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1cc(-c2ccccc2)nn1C ZINC000453204265 1045984447 /nfs/dbraw/zinc/98/44/47/1045984447.db2.gz CQICNJRMGKBSEI-GFCCVEGCSA-N 0 3 323.362 4.080 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCCCc1ccc(Cl)s1 ZINC000453219078 1045990850 /nfs/dbraw/zinc/99/08/50/1045990850.db2.gz QHLNXXKQOWPJOR-GFCCVEGCSA-N 0 3 311.882 4.291 20 0 DIADHN COC1(CN[C@@H](C)c2nc(-c3ccccc3)cs2)CCCC1 ZINC000453223189 1045991940 /nfs/dbraw/zinc/99/19/40/1045991940.db2.gz LPTBHPZFDBLGBC-AWEZNQCLSA-N 0 3 316.470 4.420 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@H](C)c2ccsc21 ZINC000453237547 1045999798 /nfs/dbraw/zinc/99/97/98/1045999798.db2.gz ZMDMOBIIUZMYAE-SLEUVZQESA-N 0 3 302.443 4.441 20 0 DIADHN CCNc1ccccc1CN[C@H]1CCCc2nc(C)sc21 ZINC000902466246 1046003275 /nfs/dbraw/zinc/00/32/75/1046003275.db2.gz LAHURYNFHQTONZ-HNNXBMFYSA-N 0 3 301.459 4.051 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)ccc1F ZINC000453252542 1046004774 /nfs/dbraw/zinc/00/47/74/1046004774.db2.gz HBHPQHPOPHQQMG-ZIAGYGMSSA-N 0 3 315.388 4.317 20 0 DIADHN CCC[C@H](CN[C@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453257159 1046005569 /nfs/dbraw/zinc/00/55/69/1046005569.db2.gz UXNKYYDIROUYCQ-NVXWUHKLSA-N 0 3 314.429 4.340 20 0 DIADHN COc1ccc(Br)c(CN2CC[C@H]3CCC[C@@H]3C2)c1 ZINC001137905653 1046006283 /nfs/dbraw/zinc/00/62/83/1046006283.db2.gz YSPBRLPRKVRWNX-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1nc(CN[C@H]2CCC23CCCC3)sc1Br ZINC000453271588 1046010364 /nfs/dbraw/zinc/01/03/64/1046010364.db2.gz SZHLKZVTORCETK-JTQLQIEISA-N 0 3 315.280 4.026 20 0 DIADHN CCCNc1ccc(CNCc2c(C)nn(C(C)C)c2C)cc1 ZINC000902529734 1046016056 /nfs/dbraw/zinc/01/60/56/1046016056.db2.gz YFFMZXDMSJTKFL-UHFFFAOYSA-N 0 3 314.477 4.193 20 0 DIADHN CCN(Cc1cc2cc(C)ccc2nc1Cl)C1CCOCC1 ZINC001137922551 1046016374 /nfs/dbraw/zinc/01/63/74/1046016374.db2.gz AZTMFVZALFFRCP-UHFFFAOYSA-N 0 3 318.848 4.198 20 0 DIADHN CC[C@@H](NCc1ccnc(C(F)(F)F)c1)c1ccc(OC)cc1 ZINC000453293625 1046018521 /nfs/dbraw/zinc/01/85/21/1046018521.db2.gz VHXSELHKMFMGEW-OAHLLOKOSA-N 0 3 324.346 4.350 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@H](C)c1cc(Cl)sc1Cl ZINC000453328807 1046022877 /nfs/dbraw/zinc/02/28/77/1046022877.db2.gz CKHGVGSFOHRGEY-RKDXNWHRSA-N 0 3 318.273 4.070 20 0 DIADHN CC/C=C\CN[C@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000453328555 1046023677 /nfs/dbraw/zinc/02/36/77/1046023677.db2.gz UFJUPDGTGXXDJQ-IJVDHGTGSA-N 0 3 321.486 4.020 20 0 DIADHN C[C@@H](N[C@@H]1CCOC1(C)C)c1nc(-c2ccccc2)cs1 ZINC000453274055 1046023727 /nfs/dbraw/zinc/02/37/27/1046023727.db2.gz APFZMZBDDDMQBA-IUODEOHRSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000453307550 1046028603 /nfs/dbraw/zinc/02/86/03/1046028603.db2.gz CYXMIAFCQFHWSK-CHWSQXEVSA-N 0 3 304.459 4.010 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000902621852 1046035349 /nfs/dbraw/zinc/03/53/49/1046035349.db2.gz QRMLVKHMBXVAQZ-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN CCC[C@@H]1CCCC[C@@H]1C[NH2+][C@@H](c1cccs1)c1nnc[n-]1 ZINC000453339378 1046041198 /nfs/dbraw/zinc/04/11/98/1046041198.db2.gz BKYWFSJAOVPZSJ-FMKPAKJESA-N 0 3 318.490 4.152 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1C[NH2+][C@@H](c1cccs1)c1nnc[n-]1 ZINC000453339394 1046042332 /nfs/dbraw/zinc/04/23/32/1046042332.db2.gz BKYWFSJAOVPZSJ-YCPHGPKFSA-N 0 3 318.490 4.152 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccccc1N(C)C ZINC000453338835 1046043383 /nfs/dbraw/zinc/04/33/83/1046043383.db2.gz BAWITVDVPBKPFT-VQIMIIECSA-N 0 3 323.484 4.375 20 0 DIADHN CC(C)=CCN(Cc1ccccc1)C[C@H](O)c1cccs1 ZINC000756053502 1046046339 /nfs/dbraw/zinc/04/63/39/1046046339.db2.gz AEQYWLRQHRYORO-KRWDZBQOSA-N 0 3 301.455 4.250 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](Cc2ccccc2Cl)C2CC2)c2nccn21 ZINC000453344932 1046048452 /nfs/dbraw/zinc/04/84/52/1046048452.db2.gz JLMZHINXUKGTFH-DQYPLSBCSA-N 0 3 315.848 4.153 20 0 DIADHN CC(C)(O)c1ccc(CNC2(c3ccc(F)cc3)CCC2)cc1 ZINC000830211747 1046048340 /nfs/dbraw/zinc/04/83/40/1046048340.db2.gz BNNIONCQBMDUFK-UHFFFAOYSA-N 0 3 313.416 4.222 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccc(F)c(F)c2)C(C)C)cn1 ZINC000453349758 1046051426 /nfs/dbraw/zinc/05/14/26/1046051426.db2.gz HNOIQBSICUBIBF-GOSISDBHSA-N 0 3 304.384 4.464 20 0 DIADHN C[C@H](c1cncs1)N1CCC(Cc2cccc(F)c2F)CC1 ZINC000453350379 1046051857 /nfs/dbraw/zinc/05/18/57/1046051857.db2.gz HYOISJQDPFWBPL-GFCCVEGCSA-N 0 3 322.424 4.437 20 0 DIADHN Fc1cccc(-n2cccn2)c1CN[C@@H](c1ccccc1)C1CC1 ZINC000453350964 1046052443 /nfs/dbraw/zinc/05/24/43/1046052443.db2.gz KGWBOAXFEZWAKX-FQEVSTJZSA-N 0 3 321.399 4.252 20 0 DIADHN Cc1cnc2c(c1)CN(Cc1ccc(C)c3ccccc13)CC2 ZINC001138018107 1046059354 /nfs/dbraw/zinc/05/93/54/1046059354.db2.gz KBFSQARFFULJDE-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN C[C@H](NCCSC(F)(F)F)c1ccc(C(F)(F)F)cn1 ZINC000902756630 1046062794 /nfs/dbraw/zinc/06/27/94/1046062794.db2.gz KMQDXJBQJINSGF-ZETCQYMHSA-N 0 3 318.286 4.004 20 0 DIADHN C[C@@H](NC1(Cc2ccc(F)cc2)CC1)c1ccc(Cl)cn1 ZINC000453361111 1046064075 /nfs/dbraw/zinc/06/40/75/1046064075.db2.gz QNNHRVDIWFGJDU-GFCCVEGCSA-N 0 3 304.796 4.300 20 0 DIADHN CC(=O)Oc1cccc(CN2CCC[C@@H]2c2ccc(F)cc2)c1 ZINC001138037256 1046067593 /nfs/dbraw/zinc/06/75/93/1046067593.db2.gz PLCVVZXJADUCLP-LJQANCHMSA-N 0 3 313.372 4.088 20 0 DIADHN CC(=O)Oc1cccc(CN(C)Cc2ccc3ccccc3c2)c1 ZINC001138045237 1046070425 /nfs/dbraw/zinc/07/04/25/1046070425.db2.gz JAADCHWAOQNZPH-UHFFFAOYSA-N 0 3 319.404 4.397 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(C(F)(F)F)s1 ZINC000902793898 1046071131 /nfs/dbraw/zinc/07/11/31/1046071131.db2.gz ONDGMGZMIXNOKQ-JTQLQIEISA-N 0 3 315.360 4.110 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)cs1 ZINC000453370598 1046070877 /nfs/dbraw/zinc/07/08/77/1046070877.db2.gz IKDVGRXHVIXMLO-ZKYQVNSYSA-N 0 3 308.472 4.084 20 0 DIADHN CC(=O)Oc1cccc(CN(Cc2cccc(C)c2C)C2CC2)c1 ZINC001138046360 1046072675 /nfs/dbraw/zinc/07/26/75/1046072675.db2.gz IZGBRIBZGUXXDU-UHFFFAOYSA-N 0 3 323.436 4.393 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1ccc(O)c3ccccc13)C2 ZINC001138055240 1046076481 /nfs/dbraw/zinc/07/64/81/1046076481.db2.gz YPWFJWPVDAKUST-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NCc1sc(C)nc1C ZINC000902820044 1046077238 /nfs/dbraw/zinc/07/72/38/1046077238.db2.gz NBELAYNQZJKZCX-PIGZYNQJSA-N 0 3 316.470 4.182 20 0 DIADHN CCC(C)(C)OCCN[C@@H]1CCSc2ccc(OC)cc21 ZINC000453414224 1046081110 /nfs/dbraw/zinc/08/11/10/1046081110.db2.gz SWAVIQNOTOHOSW-OAHLLOKOSA-N 0 3 309.475 4.027 20 0 DIADHN COCC1(CN[C@@H](C)c2nc(C(F)(F)F)cs2)CCCC1 ZINC000453392793 1046082482 /nfs/dbraw/zinc/08/24/82/1046082482.db2.gz UKXSFBJKACIHRF-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H](C)O1)c1ccc(Oc2ccccc2)cn1 ZINC000453416572 1046082550 /nfs/dbraw/zinc/08/25/50/1046082550.db2.gz UUKYNGSSZXVOJU-VYDXJSESSA-N 0 3 312.413 4.092 20 0 DIADHN CC[C@H](N[C@@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000634680173 1046083668 /nfs/dbraw/zinc/08/36/68/1046083668.db2.gz NACFPNOYCAVKKL-ZJUUUORDSA-N 0 3 322.396 4.160 20 0 DIADHN Fc1ccc([C@H](NCCC2CC(F)(F)C2)c2ccccn2)cc1 ZINC000453420484 1046085730 /nfs/dbraw/zinc/08/57/30/1046085730.db2.gz LOKZRVJJYZQKSQ-KRWDZBQOSA-N 0 3 320.358 4.335 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nc(-c3ccccc3)cs2)nc1 ZINC000453423127 1046086001 /nfs/dbraw/zinc/08/60/01/1046086001.db2.gz MKPZVJPYGHVBBQ-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(-n3ccnc3)cc2)C2CCC2)o1 ZINC000756473597 1046086819 /nfs/dbraw/zinc/08/68/19/1046086819.db2.gz DCOKNPPUQTYIQK-HXUWFJFHSA-N 0 3 321.424 4.405 20 0 DIADHN Fc1ccc([C@H](NCCC2CC(F)(F)C2)c2ccccc2)nc1 ZINC000453425082 1046086865 /nfs/dbraw/zinc/08/68/65/1046086865.db2.gz NXLVZQSUAZMEKT-QGZVFWFLSA-N 0 3 320.358 4.335 20 0 DIADHN CCOc1cccc(CN2CCC3(Cc4ccccc4O3)CC2)c1 ZINC001138101068 1046090024 /nfs/dbraw/zinc/09/00/24/1046090024.db2.gz IIEKGEICZSBPSP-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1ccc(OC(F)F)cc1 ZINC000237591318 1046091054 /nfs/dbraw/zinc/09/10/54/1046091054.db2.gz SYNOKDUEJFMWDO-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN COc1ccc(-c2nc(CN3[C@@H](C)CC[C@@H]3C)cs2)cc1 ZINC000532072946 1046093687 /nfs/dbraw/zinc/09/36/87/1046093687.db2.gz MKJOUDVIDZBUOO-STQMWFEESA-N 0 3 302.443 4.192 20 0 DIADHN O[C@@H](CN1CC2(CC=CC2)[C@H]1c1ccccc1)c1cccc(F)c1 ZINC000830749906 1046095297 /nfs/dbraw/zinc/09/52/97/1046095297.db2.gz SSSGCOADMDLHCQ-VQTJNVASSA-N 0 3 323.411 4.252 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3ncccc3c2)cn1 ZINC001138112563 1046099533 /nfs/dbraw/zinc/09/95/33/1046099533.db2.gz QRUSKSXUJHHNQC-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(N(C)CC(F)F)CC1 ZINC000081536483 1046100353 /nfs/dbraw/zinc/10/03/53/1046100353.db2.gz VNPUGROPEVLGAQ-LBPRGKRZSA-N 0 3 316.823 4.062 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1c(C)noc1C ZINC000902888080 1046102482 /nfs/dbraw/zinc/10/24/82/1046102482.db2.gz PXNSHLUQARHZKM-SCLBCKFNSA-N 0 3 324.428 4.279 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]nc1-c1cccs1)CC2 ZINC001138157749 1046107548 /nfs/dbraw/zinc/10/75/48/1046107548.db2.gz LOXQJIWMOIPLTB-CQSZACIVSA-N 0 3 319.449 4.242 20 0 DIADHN C[C@H](NC[C@H]1CCCCO1)c1cc(Cl)ccc1OC(F)F ZINC000532205304 1046108598 /nfs/dbraw/zinc/10/85/98/1046108598.db2.gz DQDSSNDBAWJNCY-CMPLNLGQSA-N 0 3 319.779 4.161 20 0 DIADHN C[C@@H](NC[C@H]1CCCCO1)c1cc(Cl)ccc1OC(F)F ZINC000532205309 1046108717 /nfs/dbraw/zinc/10/87/17/1046108717.db2.gz DQDSSNDBAWJNCY-ZYHUDNBSSA-N 0 3 319.779 4.161 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)c1cccc(-n2ccnc2)c1 ZINC000902911042 1046109004 /nfs/dbraw/zinc/10/90/04/1046109004.db2.gz QQYWUHYLCXFECJ-OAHLLOKOSA-N 0 3 321.399 4.351 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC001138159031 1046110390 /nfs/dbraw/zinc/11/03/90/1046110390.db2.gz JEXKIUWFDIETOH-ZIAGYGMSSA-N 0 3 301.459 4.150 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2c3ccccc3C[C@@H]2C)c1 ZINC000532192575 1046110603 /nfs/dbraw/zinc/11/06/03/1046110603.db2.gz ARFZJBSJXWNZOG-XOBRGWDASA-N 0 3 308.425 4.058 20 0 DIADHN c1cc(N2CCCCC2)oc1CN1CCCc2ccccc2C1 ZINC001138177188 1046110898 /nfs/dbraw/zinc/11/08/98/1046110898.db2.gz JHXZDHGFQKPBGE-UHFFFAOYSA-N 0 3 310.441 4.218 20 0 DIADHN Cc1ncccc1CNCc1ccc(OCc2ccccc2)cc1 ZINC000237609156 1046119598 /nfs/dbraw/zinc/11/95/98/1046119598.db2.gz YTAJJKAHKLRNDE-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CCCC[C@H](COC)N[C@@H](C)c1sccc1Br ZINC000532224729 1046119728 /nfs/dbraw/zinc/11/97/28/1046119728.db2.gz WNZXIPJSZSWYJH-WDEREUQCSA-N 0 3 320.296 4.366 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H](C)c1cc2c(s1)CCCC2 ZINC000532248382 1046121629 /nfs/dbraw/zinc/12/16/29/1046121629.db2.gz SFKSWRQSWZPJSP-YOEHRIQHSA-N 0 3 315.482 4.320 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1cccc(F)c1)c1ccc(C)o1 ZINC000532248362 1046122019 /nfs/dbraw/zinc/12/20/19/1046122019.db2.gz ZYWGCCLDALZUNF-CXAGYDPISA-N 0 3 305.393 4.026 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)[C@@H](C)c2ccccc2)o1 ZINC000532236061 1046123270 /nfs/dbraw/zinc/12/32/70/1046123270.db2.gz MPACEUIFZFLKIS-VKJFTORMSA-N 0 3 301.430 4.311 20 0 DIADHN CCc1ccc(-c2ccc(CNCCc3cscn3)o2)cc1 ZINC000532270008 1046125410 /nfs/dbraw/zinc/12/54/10/1046125410.db2.gz NNMWODSIXAIMNQ-UHFFFAOYSA-N 0 3 312.438 4.298 20 0 DIADHN Cc1csc(CN2CCC[C@H]2CCCc2ccccc2)n1 ZINC000532268145 1046125500 /nfs/dbraw/zinc/12/55/00/1046125500.db2.gz VBSWGIGCHCTLIX-QGZVFWFLSA-N 0 3 300.471 4.439 20 0 DIADHN c1csc([C@H](NCc2cc(-c3ccccc3)n[nH]2)C2CC2)c1 ZINC000532265242 1046126532 /nfs/dbraw/zinc/12/65/32/1046126532.db2.gz MLXNURHOAUZFBI-GOSISDBHSA-N 0 3 309.438 4.379 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(C(F)(F)F)s1 ZINC000903037399 1046145491 /nfs/dbraw/zinc/14/54/91/1046145491.db2.gz JWIGZVXBZFZPEZ-JTQLQIEISA-N 0 3 315.360 4.110 20 0 DIADHN CC(C)(C)c1[nH]c2ccccc2c1CN1CCOC[C@H]1C1CC1 ZINC001138314240 1046149282 /nfs/dbraw/zinc/14/92/82/1046149282.db2.gz FJQAVYXFVYYEMQ-SFHVURJKSA-N 0 3 312.457 4.076 20 0 DIADHN CCc1cccc2c(CN3CCN(c4ccccc4)CC3)c[nH]c21 ZINC001138359757 1046155688 /nfs/dbraw/zinc/15/56/88/1046155688.db2.gz HQGWDNWXGAALFY-UHFFFAOYSA-N 0 3 319.452 4.053 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2cnn(CC3CCC3)c2)s1 ZINC000903076902 1046157030 /nfs/dbraw/zinc/15/70/30/1046157030.db2.gz WITBEWJIYYYZTL-UONOGXRCSA-N 0 3 303.475 4.465 20 0 DIADHN CCc1cccc2c(CN3CCC(=O)[C@H](C)C34CCCC4)c[nH]c21 ZINC001138365147 1046157614 /nfs/dbraw/zinc/15/76/14/1046157614.db2.gz ZCQOCPCQRSRIGI-HNNXBMFYSA-N 0 3 324.468 4.454 20 0 DIADHN C[C@@H](NCC(C)(C)c1c(Cl)cccc1Cl)c1ncc[nH]1 ZINC000513655563 1046161070 /nfs/dbraw/zinc/16/10/70/1046161070.db2.gz NFCWPQNMFVLDNZ-SNVBAGLBSA-N 0 3 312.244 4.345 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@H]1CCCc2cn[nH]c21 ZINC000903089699 1046161684 /nfs/dbraw/zinc/16/16/84/1046161684.db2.gz ZMANNZIKCXYROC-HNNXBMFYSA-N 0 3 319.836 4.018 20 0 DIADHN CCN(Cc1[nH]c2ccccc2c1C)Cc1ccc2c(c1)OCO2 ZINC001138401776 1046165088 /nfs/dbraw/zinc/16/50/88/1046165088.db2.gz UWPFANJTVWWTAN-UHFFFAOYSA-N 0 3 322.408 4.227 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC000903106523 1046165119 /nfs/dbraw/zinc/16/51/19/1046165119.db2.gz YTOSPGHZUBFOGL-VPOLOUISSA-N 0 3 304.381 4.391 20 0 DIADHN COCC[C@H](Nc1ccnc2cc(OC)ccc21)c1ccco1 ZINC000779929997 1046165299 /nfs/dbraw/zinc/16/52/99/1046165299.db2.gz JVORPZQSOONAIS-INIZCTEOSA-N 0 3 312.369 4.026 20 0 DIADHN CCCCOc1cccc(CNCc2ccc3nccnc3c2)c1 ZINC000634953155 1046167748 /nfs/dbraw/zinc/16/77/48/1046167748.db2.gz SOPAUXSZEMPXTF-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138404640 1046168034 /nfs/dbraw/zinc/16/80/34/1046168034.db2.gz DKZVHTYVNVHXIT-UONOGXRCSA-N 0 3 319.449 4.049 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2coc3ccccc23)c1 ZINC000903121156 1046168276 /nfs/dbraw/zinc/16/82/76/1046168276.db2.gz RSPLARCMCULHJN-AWEZNQCLSA-N 0 3 323.392 4.347 20 0 DIADHN Cc1cc(NC(=O)[C@H](C(C)C)N2CCCCC2)ccc1C1CC1 ZINC001138408990 1046169130 /nfs/dbraw/zinc/16/91/30/1046169130.db2.gz CCDZHFVRDSMHNY-IBGZPJMESA-N 0 3 314.473 4.321 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1ccccc1Cl ZINC000903126977 1046169669 /nfs/dbraw/zinc/16/96/69/1046169669.db2.gz VKTMEXRTKYFALP-ZWKOTPCHSA-N 0 3 316.832 4.163 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](Oc4ccccc4C)C3)cc2c1 ZINC001138415859 1046171604 /nfs/dbraw/zinc/17/16/04/1046171604.db2.gz OFAGLVZBHSGNLL-LJQANCHMSA-N 0 3 320.436 4.438 20 0 DIADHN COc1c(F)cc(CN2CCC[C@H](c3ccccc3)C2)cc1F ZINC001138424239 1046175316 /nfs/dbraw/zinc/17/53/16/1046175316.db2.gz QGPGTIHQJACYMA-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC4(CCOC4(C)C)CC3)cc2c1 ZINC001138420424 1046175327 /nfs/dbraw/zinc/17/53/27/1046175327.db2.gz IFCPJQWUXABVBE-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(c4cccnc4)CC3)cc2c1 ZINC001138418367 1046175457 /nfs/dbraw/zinc/17/54/57/1046175457.db2.gz QSGDWUBGKBJOBW-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN COc1c(F)cc(CN(C)[C@H]2CCc3ccccc32)cc1F ZINC001138425673 1046177949 /nfs/dbraw/zinc/17/79/49/1046177949.db2.gz IKPOYQLBYBUYED-KRWDZBQOSA-N 0 3 303.352 4.093 20 0 DIADHN C[C@@H](NCC[C@H]1CCCO1)c1csc(-c2ccccc2)n1 ZINC000666082178 1046179563 /nfs/dbraw/zinc/17/95/63/1046179563.db2.gz HLYSCAOUJKTUTC-UKRRQHHQSA-N 0 3 302.443 4.030 20 0 DIADHN CCOc1ccc(CN(C)CCC(=O)c2cccs2)c(C)c1 ZINC001138436411 1046180429 /nfs/dbraw/zinc/18/04/29/1046180429.db2.gz HMDVBHHYIPQMTM-UHFFFAOYSA-N 0 3 317.454 4.160 20 0 DIADHN CCOc1ccc2ccccc2c1CN1CCC(F)(F)CC1 ZINC001138439793 1046181884 /nfs/dbraw/zinc/18/18/84/1046181884.db2.gz GCIPJLIDOGKRSC-UHFFFAOYSA-N 0 3 305.368 4.470 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)N[C@H](C)c2ccsc2)c1 ZINC000903167534 1046182312 /nfs/dbraw/zinc/18/23/12/1046182312.db2.gz ZXXLDVCKGOFRAP-QWHCGFSZSA-N 0 3 303.427 4.223 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)C[C@H]1NCc2ccccc21 ZINC000659274871 1046186614 /nfs/dbraw/zinc/18/66/14/1046186614.db2.gz SOLSSQFATRXMBA-UXHICEINSA-N 0 3 322.452 4.221 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@@H]3C2)cc1 ZINC001138449662 1046186996 /nfs/dbraw/zinc/18/69/96/1046186996.db2.gz JQPFCKXUBRUCFK-SJLPKXTDSA-N 0 3 309.457 4.397 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2Cc3ccccc3C[C@@H]2C)cc1 ZINC001138449084 1046187297 /nfs/dbraw/zinc/18/72/97/1046187297.db2.gz DASXHEOOVQZZKC-INIZCTEOSA-N 0 3 317.436 4.332 20 0 DIADHN COc1ccc([C@@H](NCc2nccc3c2CCCC3)C2CC2)cc1 ZINC000903184697 1046187882 /nfs/dbraw/zinc/18/78/82/1046187882.db2.gz NLPSIFYIPZDDDO-NRFANRHFSA-N 0 3 322.452 4.210 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC[C@@]2(CCCOC2)C1 ZINC000475733454 1046188813 /nfs/dbraw/zinc/18/88/13/1046188813.db2.gz KRXIUCDBCRCVTL-CXAGYDPISA-N 0 3 311.828 4.433 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000475743012 1046191496 /nfs/dbraw/zinc/19/14/96/1046191496.db2.gz VYGASHMQLBDFTN-JHJVBQTASA-N 0 3 319.836 4.256 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1ccc(Cl)c(Cl)c1 ZINC000903202659 1046191907 /nfs/dbraw/zinc/19/19/07/1046191907.db2.gz HGOWDDLBXYUYRS-NSHDSACASA-N 0 3 324.255 4.305 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1ccc(C(F)(F)F)cc1 ZINC000903201954 1046192576 /nfs/dbraw/zinc/19/25/76/1046192576.db2.gz RZIWJCIJRNFEDQ-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN COc1ccc(CN(C)C/C=C/c2ccccc2)cc1Cl ZINC001138475736 1046194807 /nfs/dbraw/zinc/19/48/07/1046194807.db2.gz SPXMZURYDDFTTM-RMKNXTFCSA-N 0 3 301.817 4.494 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3cccc(F)c3)C2)cc1Cl ZINC001138474984 1046194821 /nfs/dbraw/zinc/19/48/21/1046194821.db2.gz KRBNYQURZFXIKS-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN COCC[C@H](NC/C=C/Cl)c1cccc(C(F)(F)F)c1 ZINC000831857451 1046203555 /nfs/dbraw/zinc/20/35/55/1046203555.db2.gz LEDCYULDLFLDFF-MXPWBENCSA-N 0 3 307.743 4.125 20 0 DIADHN C[C@@H](c1ncc(C(C)(C)C)o1)N1CCN(CCC(C)(C)C)CC1 ZINC000475757628 1046200795 /nfs/dbraw/zinc/20/07/95/1046200795.db2.gz SFNUOCKTLREIMF-HNNXBMFYSA-N 0 3 321.509 4.087 20 0 DIADHN CCOc1cccc([C@H](C)NCc2c[nH]c(=O)c3ccccc23)c1 ZINC000903231619 1046200783 /nfs/dbraw/zinc/20/07/83/1046200783.db2.gz NQTNNZVYTUDEGX-AWEZNQCLSA-N 0 3 322.408 4.190 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc(N(C)C)s1 ZINC001138494313 1046200831 /nfs/dbraw/zinc/20/08/31/1046200831.db2.gz QHEJNJCUCNGOAW-MRXNPFEDSA-N 0 3 316.470 4.160 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)c1ccc(OC)c(C)c1 ZINC000903235299 1046202868 /nfs/dbraw/zinc/20/28/68/1046202868.db2.gz XOJILHHLTDKCRP-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2sc(C)nc2C)cc1C ZINC000903233990 1046202892 /nfs/dbraw/zinc/20/28/92/1046202892.db2.gz LBWUZAVWGJBAKB-VXGBXAGGSA-N 0 3 304.459 4.489 20 0 DIADHN O=c1[nH]cc(CN[C@H]2CCCc3c(F)cccc32)c2ccccc12 ZINC000903234540 1046203392 /nfs/dbraw/zinc/20/33/92/1046203392.db2.gz KOQGEMQUIFMWEP-IBGZPJMESA-N 0 3 322.383 4.247 20 0 DIADHN COCC[C@@H](NC/C=C\Cl)c1cccc(C(F)(F)F)c1 ZINC000831857453 1046204746 /nfs/dbraw/zinc/20/47/46/1046204746.db2.gz LEDCYULDLFLDFF-RBWDJNPFSA-N 0 3 307.743 4.125 20 0 DIADHN C[C@@H](NCc1cnn(CC2CCC2)c1)c1cc2c(s1)CCC2 ZINC000903239227 1046204600 /nfs/dbraw/zinc/20/46/00/1046204600.db2.gz BNLZGGCPEPJLFX-CYBMUJFWSA-N 0 3 315.486 4.084 20 0 DIADHN COc1ccc2[nH]cc(CN3CCC4(CC[C@H](F)C4)CC3)c2c1 ZINC001138509412 1046205004 /nfs/dbraw/zinc/20/50/04/1046205004.db2.gz CMGFIWNDDLVONS-HNNXBMFYSA-N 0 3 316.420 4.281 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2ccc3ccccc3c2)c(C)o1 ZINC000321123385 1046207468 /nfs/dbraw/zinc/20/74/68/1046207468.db2.gz LNWQULRTZGLVAM-VLIAUNLRSA-N 0 3 309.409 4.434 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CC[C@@H](C3CC3)O2)c2cccnc2)cc1 ZINC000903253731 1046209540 /nfs/dbraw/zinc/20/95/40/1046209540.db2.gz REWXBKDIURUMKS-HKBOAZHASA-N 0 3 322.452 4.027 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CC[C@H](C3CC3)O2)c2cccnc2)cc1 ZINC000903253736 1046209718 /nfs/dbraw/zinc/20/97/18/1046209718.db2.gz REWXBKDIURUMKS-NJYVYQBISA-N 0 3 322.452 4.027 20 0 DIADHN CCCc1ccc(CN(C)CCCC(=O)c2cccnc2)s1 ZINC001138525379 1046211818 /nfs/dbraw/zinc/21/18/18/1046211818.db2.gz AVSDBMGOWODMMD-UHFFFAOYSA-N 0 3 316.470 4.191 20 0 DIADHN O[C@@H](CNc1ccnc2ccccc21)c1cc2ccccc2s1 ZINC000757785812 1046213173 /nfs/dbraw/zinc/21/31/73/1046213173.db2.gz NNXKRBPRQAPIBW-KRWDZBQOSA-N 0 3 320.417 4.017 20 0 DIADHN CCCc1ccc(CN2CCC[C@H](Oc3ccncc3)C2)s1 ZINC001138528765 1046214864 /nfs/dbraw/zinc/21/48/64/1046214864.db2.gz FKZVFDOFIAKAKG-INIZCTEOSA-N 0 3 316.470 4.139 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnn(CCF)c1)CCC2 ZINC000903274494 1046217437 /nfs/dbraw/zinc/21/74/37/1046217437.db2.gz NHCFZTJVNNMCIN-LJQANCHMSA-N 0 3 315.436 4.143 20 0 DIADHN C[C@H](NCc1cnn(CCF)c1)c1cccc(-c2ccccc2)c1 ZINC000903273702 1046218259 /nfs/dbraw/zinc/21/82/59/1046218259.db2.gz GFFNWTXZOKTYOW-INIZCTEOSA-N 0 3 323.415 4.370 20 0 DIADHN CCCC1CCN(Cc2ccc(OC(F)F)c(OC)c2)CC1 ZINC001138554862 1046225572 /nfs/dbraw/zinc/22/55/72/1046225572.db2.gz ZDGRPRADSMGVGA-UHFFFAOYSA-N 0 3 313.388 4.309 20 0 DIADHN Cc1cc(CN2CC[C@H]2COCc2ccccc2)c(C)s1 ZINC001138567764 1046228723 /nfs/dbraw/zinc/22/87/23/1046228723.db2.gz HOQPQZKUYIIOPJ-SFHVURJKSA-N 0 3 301.455 4.156 20 0 DIADHN CC[C@@H](NC1(c2ccc3c(c2)OCCO3)CC1)c1cccs1 ZINC000903295745 1046228858 /nfs/dbraw/zinc/22/88/58/1046228858.db2.gz ZUTHARPMGQJDPE-CQSZACIVSA-N 0 3 315.438 4.249 20 0 DIADHN COc1ccc2c(CN3CCC[C@H]3c3ccc(C)nc3)c[nH]c2c1 ZINC001138590279 1046235443 /nfs/dbraw/zinc/23/54/43/1046235443.db2.gz PFMNDHILXUVNFQ-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2c(CN3CCc4cc(C)ccc4C3)c[nH]c2c1 ZINC001138591569 1046236047 /nfs/dbraw/zinc/23/60/47/1046236047.db2.gz WWTWPNPDMRWBPG-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN C[C@H](NCc1ccc(Cl)nc1)c1cccc(N2CCCC2)c1 ZINC000088764202 1046239978 /nfs/dbraw/zinc/23/99/78/1046239978.db2.gz YVIHMWNSZGLDRF-AWEZNQCLSA-N 0 3 315.848 4.186 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccccc1N1CCCC1)C2 ZINC001138615130 1046240651 /nfs/dbraw/zinc/24/06/51/1046240651.db2.gz KGXBCEBKGUUDPD-UHFFFAOYSA-N 0 3 306.453 4.154 20 0 DIADHN CC(C)Cc1ccc(CN[C@H](C)c2ccc3c(c2)CCO3)cn1 ZINC000897417132 1046251133 /nfs/dbraw/zinc/25/11/33/1046251133.db2.gz FIBCIYPXUOGXEL-OAHLLOKOSA-N 0 3 310.441 4.066 20 0 DIADHN C[C@H](NCCC1CCC(F)(F)CC1)c1cc2n(n1)CCCC2 ZINC000903343770 1046253180 /nfs/dbraw/zinc/25/31/80/1046253180.db2.gz CKMHKKFMTKEABD-ZDUSSCGKSA-N 0 3 311.420 4.086 20 0 DIADHN CC(C)N(Cc1cnn(-c2ccc(F)cc2)c1)Cc1ccccc1 ZINC001138648148 1046254625 /nfs/dbraw/zinc/25/46/25/1046254625.db2.gz LDKLXHCRZHOZNS-UHFFFAOYSA-N 0 3 323.415 4.422 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@H](C)c1ccc(F)cc1F ZINC000245692138 1046256132 /nfs/dbraw/zinc/25/61/32/1046256132.db2.gz OZEKDVOEWAPPQQ-SNUQEOBHSA-N 0 3 305.368 4.128 20 0 DIADHN C[C@](Cc1ccccc1)(NCc1nc2cc(F)ccc2o1)C1CC1 ZINC001202850963 1046259034 /nfs/dbraw/zinc/25/90/34/1046259034.db2.gz HVSWASPOQSPGHZ-HXUWFJFHSA-N 0 3 324.399 4.468 20 0 DIADHN Cc1cnc(=S)n(CN2C[C@H](c3ccccc3C)C[C@H]2C)c1 ZINC000758327733 1046261582 /nfs/dbraw/zinc/26/15/82/1046261582.db2.gz STBYRBUARBXKNN-HZPDHXFCSA-N 0 3 313.470 4.065 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(F)ccc3Cl)C2)cc1 ZINC001138675486 1046261858 /nfs/dbraw/zinc/26/18/58/1046261858.db2.gz YHCHGVNUGDGJAC-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@H](C)c1ccc(F)cc1F ZINC000245714304 1046262352 /nfs/dbraw/zinc/26/23/52/1046262352.db2.gz UNGXEYBIJRRHMP-FMTVUPSXSA-N 0 3 323.358 4.267 20 0 DIADHN COC[C@H](N[C@H](C)[C@@H]1CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000666227751 1046264288 /nfs/dbraw/zinc/26/42/88/1046264288.db2.gz IKUUYOVZDNBZCH-ICCXJUOJSA-N 0 3 323.305 4.026 20 0 DIADHN CC[C@H](N[C@H](C)C[C@@H](O)c1ccco1)c1cc(F)ccc1F ZINC000245733210 1046264873 /nfs/dbraw/zinc/26/48/73/1046264873.db2.gz ZHWKCAXHOMQOOZ-XFBWCDHKSA-N 0 3 309.356 4.111 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000903403912 1046266687 /nfs/dbraw/zinc/26/66/87/1046266687.db2.gz HTYGYRXPDVFFIL-UONOGXRCSA-N 0 3 303.475 4.465 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1ccc3c(c1)CCCO3)CC2 ZINC001138713914 1046274340 /nfs/dbraw/zinc/27/43/40/1046274340.db2.gz IWYYJJDLTGSMAP-UHFFFAOYSA-N 0 3 307.384 4.023 20 0 DIADHN COc1cccc(C2(NCc3ccc4c(c3)CC(C)(C)O4)CC2)c1 ZINC000758507861 1046275706 /nfs/dbraw/zinc/27/57/06/1046275706.db2.gz MEEMNZPOQGUNLH-UHFFFAOYSA-N 0 3 323.436 4.188 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1cc2c(s1)CCC2 ZINC000903423238 1046275940 /nfs/dbraw/zinc/27/59/40/1046275940.db2.gz UTDCOZVVKPCKLQ-CYBMUJFWSA-N 0 3 311.454 4.044 20 0 DIADHN Cc1c([C@H](C)N[C@H](c2ccncc2)C2CC2)cnn1C(C)(C)C ZINC000903427501 1046276465 /nfs/dbraw/zinc/27/64/65/1046276465.db2.gz GYZWWSAYXRGVNN-UGSOOPFHSA-N 0 3 312.461 4.143 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc2c(c1)CCCO2 ZINC001138716738 1046276664 /nfs/dbraw/zinc/27/66/64/1046276664.db2.gz WAXJMDPLEUWPTP-LJQANCHMSA-N 0 3 323.436 4.357 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000903428381 1046276794 /nfs/dbraw/zinc/27/67/94/1046276794.db2.gz ODSBZVISAIMTAJ-ORAYPTAESA-N 0 3 313.420 4.089 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1ccncc1)C1CC1)CCS2 ZINC000903428740 1046277068 /nfs/dbraw/zinc/27/70/68/1046277068.db2.gz PXGGXSSGFOOBNA-SJLPKXTDSA-N 0 3 314.429 4.499 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2N[C@H](c1ccncc1)C1CC1 ZINC000903429788 1046278762 /nfs/dbraw/zinc/27/87/62/1046278762.db2.gz WQFITVFZJDTPCN-ROUUACIJSA-N 0 3 300.352 4.088 20 0 DIADHN Clc1ccc(-c2nc(CCNCc3ccoc3)cs2)cc1 ZINC000758607446 1046280731 /nfs/dbraw/zinc/28/07/31/1046280731.db2.gz AVYUUQZQACMJHY-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@@H]4C[C@@H]43)c(Cl)nc21 ZINC001138731075 1046284477 /nfs/dbraw/zinc/28/44/77/1046284477.db2.gz YFUJCNZSSNHBLX-CJNGLKHVSA-N 0 3 300.833 4.435 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ncc(C)s2)C12CCCCC2 ZINC000245935436 1046286639 /nfs/dbraw/zinc/28/66/39/1046286639.db2.gz TWHPTMIQRIZNNE-QLFBSQMISA-N 0 3 308.491 4.230 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cnc3[nH]ccc3c2)c1 ZINC001138740888 1046287035 /nfs/dbraw/zinc/28/70/35/1046287035.db2.gz NWBYIQHHONDDDS-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc(CN2CCC(F)(F)CC2)c2c(OC)cccc12 ZINC001138750631 1046287278 /nfs/dbraw/zinc/28/72/78/1046287278.db2.gz RVYBYFFOZBFLDT-UHFFFAOYSA-N 0 3 321.367 4.088 20 0 DIADHN COCCc1ncc(CN(C)[C@@H](c2ccccc2)C(C)C)s1 ZINC000638073221 1046292402 /nfs/dbraw/zinc/29/24/02/1046292402.db2.gz MJLZWPKHDWMZRO-GOSISDBHSA-N 0 3 318.486 4.161 20 0 DIADHN CCN(CC)c1ncc(CN2CCC3(CCCC3)CC2)s1 ZINC001138800806 1046295618 /nfs/dbraw/zinc/29/56/18/1046295618.db2.gz JBGXVORNVVPYOQ-UHFFFAOYSA-N 0 3 307.507 4.146 20 0 DIADHN CCN(CC)c1ncc(CN2CCC[C@@H]2c2ccccc2)s1 ZINC001138800131 1046295806 /nfs/dbraw/zinc/29/58/06/1046295806.db2.gz QZNXVHYZXFPJRF-QGZVFWFLSA-N 0 3 315.486 4.326 20 0 DIADHN Nc1c(F)cccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000638283910 1046308861 /nfs/dbraw/zinc/30/88/61/1046308861.db2.gz NMVQLUZKOKCFNV-QGZVFWFLSA-N 0 3 304.796 4.302 20 0 DIADHN Clc1ccc(CCNCc2coc(-c3ccccc3)n2)cc1 ZINC000237667875 1046310620 /nfs/dbraw/zinc/31/06/20/1046310620.db2.gz QOFLKBMCCSNOHD-UHFFFAOYSA-N 0 3 312.800 4.327 20 0 DIADHN c1ccc(CC2CCN(Cc3cnc4ccccc4n3)CC2)cc1 ZINC001138863707 1046311797 /nfs/dbraw/zinc/31/17/97/1046311797.db2.gz ZLANAEJTIBANKA-UHFFFAOYSA-N 0 3 317.436 4.085 20 0 DIADHN O[C@H](CN1CC2(C1)CCCCC2)c1ccc(C(F)(F)F)cc1 ZINC000759062168 1046314550 /nfs/dbraw/zinc/31/45/50/1046314550.db2.gz BEVPQWWUIAEOOH-OAHLLOKOSA-N 0 3 313.363 4.005 20 0 DIADHN Fc1ccc([C@@H](NC2CC(n3cccn3)C2)c2ccccc2)cc1 ZINC000638438692 1046321605 /nfs/dbraw/zinc/32/16/05/1046321605.db2.gz LVGXYYQYKMDGPO-MHJFOBGBSA-N 0 3 321.399 4.105 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cnc(Cl)s2)C12CCCCC2 ZINC000718205336 1046323728 /nfs/dbraw/zinc/32/37/28/1046323728.db2.gz RYXQPPYJESCXOC-CHWSQXEVSA-N 0 3 314.882 4.014 20 0 DIADHN CC[C@@H](NCCCc1c(F)cccc1F)c1nc(C)cs1 ZINC000653613292 1046332832 /nfs/dbraw/zinc/33/28/32/1046332832.db2.gz BKPIMJRDJAPNQQ-OAHLLOKOSA-N 0 3 310.413 4.403 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cc2ccccc2n1C)C(C)C ZINC000638633100 1046333371 /nfs/dbraw/zinc/33/33/71/1046333371.db2.gz WKUIQFSTGHKBHF-IBGZPJMESA-N 0 3 307.441 4.369 20 0 DIADHN CC(=O)Oc1ccc(CN2CC[C@H](C)C[C@@H]2c2ccco2)cc1 ZINC000246258317 1046333398 /nfs/dbraw/zinc/33/33/98/1046333398.db2.gz PJWYEIPFMIVFPJ-KBXCAEBGSA-N 0 3 313.397 4.178 20 0 DIADHN COCC[C@@H](C)CNCc1csc(-c2ccc(Cl)cc2)n1 ZINC000666408878 1046335501 /nfs/dbraw/zinc/33/55/01/1046335501.db2.gz BPPYKVGJQLBDQR-GFCCVEGCSA-N 0 3 324.877 4.226 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1F)c1cc2ccccc2o1 ZINC000090078591 1046336371 /nfs/dbraw/zinc/33/63/71/1046336371.db2.gz SZQUGMHFMDVPMP-NHYWBVRUSA-N 0 3 317.335 4.095 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1OC(F)F)[C@H]1CC1(F)F ZINC000666444203 1046346767 /nfs/dbraw/zinc/34/67/67/1046346767.db2.gz DAAKVGQNFKRVGG-GMSGAONNSA-N 0 3 311.706 4.075 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1cnc(-c2ccccc2)[nH]1 ZINC000903949013 1046342811 /nfs/dbraw/zinc/34/28/11/1046342811.db2.gz YDEMFRFSFFNFQH-SFHVURJKSA-N 0 3 318.424 4.112 20 0 DIADHN CC1(C)Nc2ccccc2[C@H]1NCc1ccc2ncccc2c1 ZINC000903949152 1046343135 /nfs/dbraw/zinc/34/31/35/1046343135.db2.gz ZGRGQBGHJOITJX-LJQANCHMSA-N 0 3 303.409 4.270 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](CC(F)(F)F)c2ccc(F)cc2)CS1 ZINC000638800915 1046343856 /nfs/dbraw/zinc/34/38/56/1046343856.db2.gz QPNBPMLHHLXKQD-WQAKAFBOSA-N 0 3 307.356 4.303 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](C(=O)c3ccccc3)C2)c1 ZINC001138987445 1046348498 /nfs/dbraw/zinc/34/84/98/1046348498.db2.gz QAYIWRVPAZWUEP-IBGZPJMESA-N 0 3 323.436 4.179 20 0 DIADHN COc1ccccc1CN(Cc1cccc2cccnc21)C1CC1 ZINC000053238392 1046353524 /nfs/dbraw/zinc/35/35/24/1046353524.db2.gz USJBETRDVDOLAK-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cccc3ncccc23)o1 ZINC000639054234 1046354975 /nfs/dbraw/zinc/35/49/75/1046354975.db2.gz SIJTYESAVFKTCR-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN c1csc(-c2ccc(CN3CC[C@@H]4CCCO[C@@H]4C3)s2)c1 ZINC001139016754 1046356161 /nfs/dbraw/zinc/35/61/61/1046356161.db2.gz BOOWCNGXSXGBNA-DZGCQCFKSA-N 0 3 319.495 4.478 20 0 DIADHN CC1(C)CN(Cc2ccc3nccnc3c2)CC[C@H]1C(F)(F)F ZINC000639100136 1046358708 /nfs/dbraw/zinc/35/87/08/1046358708.db2.gz SRQPKXOOCWRGBG-OAHLLOKOSA-N 0 3 323.362 4.040 20 0 DIADHN C[C@@H]1CCN1Cc1ccc(-c2ccc(Br)cc2)o1 ZINC001139030111 1046365028 /nfs/dbraw/zinc/36/50/28/1046365028.db2.gz BZABPGTXVWUVLX-LLVKDONJSA-N 0 3 306.203 4.303 20 0 DIADHN CN(Cc1ccc(-c2ccc(Br)cc2)o1)C1CC1 ZINC001139030666 1046365642 /nfs/dbraw/zinc/36/56/42/1046365642.db2.gz HZDCBEKIXLNNKN-UHFFFAOYSA-N 0 3 306.203 4.303 20 0 DIADHN CCCn1cc(CN2CCC(CCc3ccccc3)CC2)cn1 ZINC001139040722 1046367880 /nfs/dbraw/zinc/36/78/80/1046367880.db2.gz OXPQUYFEKDRBLK-UHFFFAOYSA-N 0 3 311.473 4.138 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3cc4ccccc4o3)C2)cc1 ZINC001139063898 1046371681 /nfs/dbraw/zinc/37/16/81/1046371681.db2.gz CQFFMGOFYCKTFV-QGZVFWFLSA-N 0 3 311.356 4.225 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CCC[C@@H](C(C)=O)C1 ZINC001139070188 1046377979 /nfs/dbraw/zinc/37/79/79/1046377979.db2.gz HCGHYXWMUMWAHZ-LJQANCHMSA-N 0 3 323.436 4.163 20 0 DIADHN C[C@@H]1CN(Cc2ccc(C(F)F)nc2)CCc2ccccc21 ZINC000639297007 1046380234 /nfs/dbraw/zinc/38/02/34/1046380234.db2.gz YMSYGWZBLAKKEP-CYBMUJFWSA-N 0 3 302.368 4.181 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc2ccccc2c1)c1ccccc1F ZINC000321752051 1046382272 /nfs/dbraw/zinc/38/22/72/1046382272.db2.gz BYWQJDFAEDKRRO-VLIAUNLRSA-N 0 3 309.384 4.363 20 0 DIADHN C[C@H](O)[C@@H](NCc1ccccc1CC(F)(F)F)c1ccccc1 ZINC000639334681 1046382654 /nfs/dbraw/zinc/38/26/54/1046382654.db2.gz ILTRFQZUOPASEY-SUMWQHHRSA-N 0 3 323.358 4.003 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCc2ccccc2[C@@H]1c1ccccc1 ZINC001139098850 1046386236 /nfs/dbraw/zinc/38/62/36/1046386236.db2.gz DGKHJIXMUMFLOT-NRFANRHFSA-N 0 3 317.436 4.174 20 0 DIADHN CCn1ccc2ccc(CN(C)Cc3cccc(OC)c3)cc21 ZINC001139122819 1046389517 /nfs/dbraw/zinc/38/95/17/1046389517.db2.gz MFNUFYOYEBZHEX-UHFFFAOYSA-N 0 3 308.425 4.302 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@H](C2CCC2)CC1 ZINC000639391602 1046389652 /nfs/dbraw/zinc/38/96/52/1046389652.db2.gz GQSMHURKEDRRQW-RDJZCZTQSA-N 0 3 320.502 4.397 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@@H](C2CCC2)CC1 ZINC000639391603 1046390137 /nfs/dbraw/zinc/39/01/37/1046390137.db2.gz GQSMHURKEDRRQW-WBVHZDCISA-N 0 3 320.502 4.397 20 0 DIADHN Clc1ccccc1-c1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000780570597 1046392703 /nfs/dbraw/zinc/39/27/03/1046392703.db2.gz PMZTWJLHXZFCGG-CYBMUJFWSA-N 0 3 303.789 4.382 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc2c1ccn2C ZINC001139161743 1046399324 /nfs/dbraw/zinc/39/93/24/1046399324.db2.gz KAVURKGJPHOKSX-HNNXBMFYSA-N 0 3 308.425 4.380 20 0 DIADHN c1cncc([C@@H]2CCCCN2Cc2ccc3cccnc3c2)c1 ZINC001139169141 1046399971 /nfs/dbraw/zinc/39/99/71/1046399971.db2.gz HXLVMHDJHDOCMZ-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](O)c1ccc2ccccc2c1 ZINC000780723368 1046400202 /nfs/dbraw/zinc/40/02/02/1046400202.db2.gz IAHMEYSDWXGMGJ-MGPUTAFESA-N 0 3 320.436 4.181 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](O)c1ccc2ccccc2c1 ZINC000780723370 1046400426 /nfs/dbraw/zinc/40/04/26/1046400426.db2.gz IAHMEYSDWXGMGJ-YWZLYKJASA-N 0 3 320.436 4.181 20 0 DIADHN CCC[C@@H]1CN(C[C@H](C)CSc2ccccc2C)CCO1 ZINC001168201676 1046400764 /nfs/dbraw/zinc/40/07/64/1046400764.db2.gz LJRHELODTGYUIO-DOTOQJQBSA-N 0 3 307.503 4.224 20 0 DIADHN c1cnc2cc(CN3CCOC[C@@H]3C3CCCCC3)ccc2c1 ZINC001139173572 1046402249 /nfs/dbraw/zinc/40/22/49/1046402249.db2.gz YUUIDRSXNPNOJY-HXUWFJFHSA-N 0 3 310.441 4.016 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3cccnc3c2)cn1 ZINC001139171468 1046402586 /nfs/dbraw/zinc/40/25/86/1046402586.db2.gz UYHCTUHXMXJJHR-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN c1cnc2cc(CN3CCOC[C@H]3C3CCCCC3)ccc2c1 ZINC001139173571 1046402958 /nfs/dbraw/zinc/40/29/58/1046402958.db2.gz YUUIDRSXNPNOJY-FQEVSTJZSA-N 0 3 310.441 4.016 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1cccnc1Cl ZINC000780745910 1046403502 /nfs/dbraw/zinc/40/35/02/1046403502.db2.gz SXIIOGJLWDRIHA-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN Clc1cc(CN2CCC[C@@H]2CCc2ccccc2)ccn1 ZINC000748806909 1046403749 /nfs/dbraw/zinc/40/37/49/1046403749.db2.gz VYMLXSANQYXIAH-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN Cn1ccc2cc(CN3CCCC[C@H]3c3cccnc3)ccc21 ZINC001139193803 1046405871 /nfs/dbraw/zinc/40/58/71/1046405871.db2.gz OLDKJGUZZYVFGD-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN1CC(C)(C)[C@@H]1c1ccccc1 ZINC000748834375 1046407829 /nfs/dbraw/zinc/40/78/29/1046407829.db2.gz WRWGUPNMORCCMK-OALUTQOASA-N 0 3 313.416 4.251 20 0 DIADHN COc1cc(CN(C)Cc2c[nH]c3cccc(C)c23)cc(OC)c1 ZINC001139197674 1046407999 /nfs/dbraw/zinc/40/79/99/1046407999.db2.gz UWQKYNHWXXKBID-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCOC[C@@H]3C3CCCCC3)c21 ZINC001139199890 1046410216 /nfs/dbraw/zinc/41/02/16/1046410216.db2.gz UHHOMEXKJPZGTA-LJQANCHMSA-N 0 3 312.457 4.257 20 0 DIADHN c1ccc(-c2[nH]c3ccccc3c2CN2CCCC23COC3)cc1 ZINC001139200527 1046410626 /nfs/dbraw/zinc/41/06/26/1046410626.db2.gz RPKOLOZBLXHEET-UHFFFAOYSA-N 0 3 318.420 4.200 20 0 DIADHN Cc1cc(F)ccc1CNC1(c2ccc(F)cc2)CCOCC1 ZINC000074372442 1046411018 /nfs/dbraw/zinc/41/10/18/1046411018.db2.gz UYKRXVCPVBMDER-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN Cn1ccc2ccc(CN3CCSC[C@H]3c3ccccc3)cc21 ZINC001139205969 1046412360 /nfs/dbraw/zinc/41/23/60/1046412360.db2.gz VGXWBOSQFWVKSR-FQEVSTJZSA-N 0 3 322.477 4.468 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)NCc1cc[nH]c(=O)c1 ZINC000639566997 1046412965 /nfs/dbraw/zinc/41/29/65/1046412965.db2.gz FKLBAXZYXJOOFJ-KRWDZBQOSA-N 0 3 314.429 4.063 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000639569673 1046413235 /nfs/dbraw/zinc/41/32/35/1046413235.db2.gz POKDGFXNYPHHHV-QGZVFWFLSA-N 0 3 323.484 4.458 20 0 DIADHN CC(C)C[C@H](NCc1ccc(N(C)C)nc1)c1cccs1 ZINC000151755757 1046415737 /nfs/dbraw/zinc/41/57/37/1046415737.db2.gz MUXVFAQXUDZHIX-HNNXBMFYSA-N 0 3 303.475 4.086 20 0 DIADHN C[C@@H]1CCC[C@H]1NCc1nnc(-c2cccc3ccccc32)o1 ZINC000639612053 1046416290 /nfs/dbraw/zinc/41/62/90/1046416290.db2.gz DWLLJCIOYIAVGB-CXAGYDPISA-N 0 3 307.397 4.168 20 0 DIADHN O[C@@H](CN1CCCCC[C@H]1c1ccco1)c1ccccc1F ZINC000246740888 1046418464 /nfs/dbraw/zinc/41/84/64/1046418464.db2.gz KREIUIZQNRUBSM-IRXDYDNUSA-N 0 3 303.377 4.069 20 0 DIADHN CCC1(CN2CCC[C@H]2c2ccc(Br)cc2)COC1 ZINC001202911602 1046418873 /nfs/dbraw/zinc/41/88/73/1046418873.db2.gz ILIKTCCOEUVHKM-HNNXBMFYSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000639652773 1046419747 /nfs/dbraw/zinc/41/97/47/1046419747.db2.gz VLHQXQWJWAKNAG-WBVHZDCISA-N 0 3 318.367 4.127 20 0 DIADHN Cc1ccc(NC(=S)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000749011117 1046420700 /nfs/dbraw/zinc/42/07/00/1046420700.db2.gz COOKVJNZCOBQSY-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN COc1cccc(-c2nc(CNC3CCC(C)CC3)cs2)c1 ZINC001168208985 1046420800 /nfs/dbraw/zinc/42/08/00/1046420800.db2.gz IAPFVORDXSUUKI-UHFFFAOYSA-N 0 3 316.470 4.487 20 0 DIADHN OC[C@@H]1CCCN1Cc1ccccc1Oc1cccc(Cl)c1 ZINC001139260139 1046420805 /nfs/dbraw/zinc/42/08/05/1046420805.db2.gz OMRPAQQYVAPOPX-INIZCTEOSA-N 0 3 317.816 4.089 20 0 DIADHN CCc1noc(C)c1CN1CCC2(CC1)c1ccccc1N=C2C ZINC000639714467 1046424146 /nfs/dbraw/zinc/42/41/46/1046424146.db2.gz CZKLEVXCRDCDPA-UHFFFAOYSA-N 0 3 323.440 4.185 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cccc(C)n1)CC2 ZINC000639716154 1046424329 /nfs/dbraw/zinc/42/43/29/1046424329.db2.gz YCSGANDGOPNBAF-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC2(CC2(F)F)CC1 ZINC000639729411 1046425438 /nfs/dbraw/zinc/42/54/38/1046425438.db2.gz JFHKMGLRVROGSQ-UHFFFAOYSA-N 0 3 318.367 4.271 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc2c(c1)CCO2)c1c(C)noc1C ZINC000090886268 1046427855 /nfs/dbraw/zinc/42/78/55/1046427855.db2.gz GKXZRKDWRKRPHI-IAGOWNOFSA-N 0 3 314.429 4.418 20 0 DIADHN CC1CCC(N2Cc3cnc(-c4ccc(F)cc4)nc3C2)CC1 ZINC001168221686 1046428610 /nfs/dbraw/zinc/42/86/10/1046428610.db2.gz LERQKSRKZPCRMS-UHFFFAOYSA-N 0 3 311.404 4.177 20 0 DIADHN CCCN(CCC)Cc1cc(C(=O)c2ccc(F)cc2)cn1C ZINC001139313821 1046429116 /nfs/dbraw/zinc/42/91/16/1046429116.db2.gz FJBFLHKHLGCQAW-UHFFFAOYSA-N 0 3 316.420 4.017 20 0 DIADHN COc1ccc2cc(CN3C[C@@H](C)CC34CC4)c(Cl)nc2c1 ZINC001139309745 1046429526 /nfs/dbraw/zinc/42/95/26/1046429526.db2.gz DLJWGMZADGKNOY-LBPRGKRZSA-N 0 3 316.832 4.271 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)ccc1F ZINC000090956901 1046432510 /nfs/dbraw/zinc/43/25/10/1046432510.db2.gz VWSCZWAPPKVJOW-QMTHXVAHSA-N 0 3 320.433 4.327 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccncc2)C2(CO)CCC2)c(C)c1 ZINC000639875115 1046433920 /nfs/dbraw/zinc/43/39/20/1046433920.db2.gz BNIJKVAYZVFGPV-HXUWFJFHSA-N 0 3 324.468 4.000 20 0 DIADHN C[C@@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)[C@H]1C ZINC001139366134 1046437982 /nfs/dbraw/zinc/43/79/82/1046437982.db2.gz UNWJQQDFFFRLJK-OLZOCXBDSA-N 0 3 323.893 4.267 20 0 DIADHN C[C@H]1CCCCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139365753 1046438653 /nfs/dbraw/zinc/43/86/53/1046438653.db2.gz MUYAKRCAOOPWGM-ZDUSSCGKSA-N 0 3 323.893 4.411 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H](c2cccnc2)C(C)C)c(C)s1 ZINC000676842466 1046441670 /nfs/dbraw/zinc/44/16/70/1046441670.db2.gz SUZYVBROXYVNEA-MLGOLLRUSA-N 0 3 303.475 4.245 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCO[C@@H]2C(C)(C)C)c(C)s1 ZINC000676839528 1046441839 /nfs/dbraw/zinc/44/18/39/1046441839.db2.gz MRKKYKWAQTUYBH-PEYYIBSZSA-N 0 3 310.507 4.252 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(-c3cnccn3)cc2)c1 ZINC001139390893 1046442519 /nfs/dbraw/zinc/44/25/19/1046442519.db2.gz FHABJFFJGJTIBE-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN Cc1cc(CNCc2ccc(C)c(Br)c2C)ccn1 ZINC000719571192 1046443728 /nfs/dbraw/zinc/44/37/28/1046443728.db2.gz HVUHEASPVWNTDT-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc(C)nc1N1CCCC1 ZINC000781425337 1046444566 /nfs/dbraw/zinc/44/45/66/1046444566.db2.gz HMXXNNBSQLQLRS-FQEVSTJZSA-N 0 3 321.468 4.076 20 0 DIADHN c1cc(CN2CCSCC2)cc(NC[C@@H]2CC=CCC2)c1 ZINC000676903424 1046446322 /nfs/dbraw/zinc/44/63/22/1046446322.db2.gz FODPITCJUCHYHG-MRXNPFEDSA-N 0 3 302.487 4.004 20 0 DIADHN c1n[nH]cc1CN[C@H](c1ccccc1)[C@@H]1CCCc2ccccc21 ZINC000676903406 1046446529 /nfs/dbraw/zinc/44/65/29/1046446529.db2.gz DFZAFKOJIYECDD-NHCUHLMSSA-N 0 3 317.436 4.361 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139417338 1046449025 /nfs/dbraw/zinc/44/90/25/1046449025.db2.gz AAEQTEZSGIQZGQ-LBPRGKRZSA-N 0 3 305.805 4.067 20 0 DIADHN Clc1ccc(Cn2cc(CN3CC=CC3)c3ccccc32)cn1 ZINC001139443472 1046450618 /nfs/dbraw/zinc/45/06/18/1046450618.db2.gz DWUCWMFELLHZHY-UHFFFAOYSA-N 0 3 323.827 4.110 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CCC[C@H](C3CC3)C2)n1 ZINC000677040441 1046456851 /nfs/dbraw/zinc/45/68/51/1046456851.db2.gz KYVOPGYWFAHSKF-HOCLYGCPSA-N 0 3 311.429 4.228 20 0 DIADHN CCOCC1CCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139506039 1046457452 /nfs/dbraw/zinc/45/74/52/1046457452.db2.gz YQZNGCBFQBETJY-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN FC1(F)CCCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139501684 1046457920 /nfs/dbraw/zinc/45/79/20/1046457920.db2.gz XRMYUBCHLIKQCY-UHFFFAOYSA-N 0 3 308.397 4.431 20 0 DIADHN FC(F)C1CCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139504172 1046458172 /nfs/dbraw/zinc/45/81/72/1046458172.db2.gz PVNUWLSATHTSAJ-UHFFFAOYSA-N 0 3 308.397 4.287 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2cnc3ccccc3c2)C1 ZINC001137282206 1046459974 /nfs/dbraw/zinc/45/99/74/1046459974.db2.gz KNQSYFQWIHZPQN-IBGZPJMESA-N 0 3 318.420 4.197 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC(c3nc4ccccc4[nH]3)C1)CCC2 ZINC000677058755 1046460267 /nfs/dbraw/zinc/46/02/67/1046460267.db2.gz IYCLLSKXBQRPJD-IBGZPJMESA-N 0 3 321.399 4.179 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccc(-c3ccccc3)nc2)C1 ZINC001139518206 1046460373 /nfs/dbraw/zinc/46/03/73/1046460373.db2.gz QXDNNUYAJXAGKY-INIZCTEOSA-N 0 3 302.368 4.226 20 0 DIADHN CN(Cc1cccc(Br)c1F)CC1CC(F)(F)C1 ZINC001139534085 1046464881 /nfs/dbraw/zinc/46/48/81/1046464881.db2.gz BGFVPSJTNIACJZ-UHFFFAOYSA-N 0 3 322.168 4.065 20 0 DIADHN Cc1ccc(CNc2ccc(CN3CCSCC3)cc2C)o1 ZINC000125381884 1046465747 /nfs/dbraw/zinc/46/57/47/1046465747.db2.gz XPPNQYRYQZMCDM-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN FC(F)(F)c1cnc2[nH]cc(CN3CC4(C3)CCCCC4)c2c1 ZINC001139540295 1046466590 /nfs/dbraw/zinc/46/65/90/1046466590.db2.gz HQQYQIYPVRRCFG-UHFFFAOYSA-N 0 3 323.362 4.348 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)cnc1Cl ZINC001139545707 1046467889 /nfs/dbraw/zinc/46/78/89/1046467889.db2.gz IZWLZXPOZYALFP-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN Clc1cccc(Cl)c1C1CCN(C[C@H]2CCOC2)CC1 ZINC000677200442 1046473156 /nfs/dbraw/zinc/47/31/56/1046473156.db2.gz XXJHXPZYCYWILE-GFCCVEGCSA-N 0 3 314.256 4.209 20 0 DIADHN CC(C)(C)N(Cc1cn2c(cccc2F)n1)Cc1ccccc1 ZINC001139567043 1046475144 /nfs/dbraw/zinc/47/51/44/1046475144.db2.gz ZASNTIMPHJZHPL-UHFFFAOYSA-N 0 3 311.404 4.274 20 0 DIADHN Clc1ccccc1-c1ccccc1CN1CC[C@H]2OCC[C@H]21 ZINC001139576030 1046476413 /nfs/dbraw/zinc/47/64/13/1046476413.db2.gz PAWQOYMYZBBWSA-RTBURBONSA-N 0 3 313.828 4.370 20 0 DIADHN CC[C@H](NCc1ccc(N(CC)CC)nc1)c1ccc(F)cc1 ZINC000126877573 1046477921 /nfs/dbraw/zinc/47/79/21/1046477921.db2.gz LNTMDJUGCCCCNT-SFHVURJKSA-N 0 3 315.436 4.308 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCCc3sccc32)cn1 ZINC000126861193 1046478689 /nfs/dbraw/zinc/47/86/89/1046478689.db2.gz MGRSWMYYOWWBFE-INIZCTEOSA-N 0 3 315.486 4.157 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1Cl)[C@@H](O)c1ccc(F)cc1 ZINC000247372898 1046478724 /nfs/dbraw/zinc/47/87/24/1046478724.db2.gz SFLRPEWSFZHOSF-XWCIJXRUSA-N 0 3 307.796 4.252 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(C)cnc3Cl)C2)cc1 ZINC001139595022 1046480877 /nfs/dbraw/zinc/48/08/77/1046480877.db2.gz HHQHUTLGOZASJI-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)c2c1CCC2 ZINC000826865626 1046482270 /nfs/dbraw/zinc/48/22/70/1046482270.db2.gz UKBGTLJKVZKRPP-UHFFFAOYSA-N 0 3 322.452 4.106 20 0 DIADHN CCOc1ccc(CN2CCC3(CCCOC3)CC2)cc1Cl ZINC001139598998 1046483319 /nfs/dbraw/zinc/48/33/19/1046483319.db2.gz ZVSAPSVIXBEASN-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H]1CCCc2ccc(C(C)C)cc21 ZINC000127228246 1046483347 /nfs/dbraw/zinc/48/33/47/1046483347.db2.gz XJQNJOYDIGULTC-HXUWFJFHSA-N 0 3 311.473 4.328 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1ccco1)c1ccc(Cl)cc1F ZINC000781877758 1046483566 /nfs/dbraw/zinc/48/35/66/1046483566.db2.gz IUFGDLGXZHNQTF-HOCLYGCPSA-N 0 3 311.784 4.092 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2cnn(Cc3ccccc3)c2)c1 ZINC000677322646 1046483589 /nfs/dbraw/zinc/48/35/89/1046483589.db2.gz IKZNERZNTUNQHZ-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CCC[C@H](NCC(OC)OC)c1ccc(Cl)c(Cl)c1 ZINC000781902387 1046484007 /nfs/dbraw/zinc/48/40/07/1046484007.db2.gz GVUFIGBVKVEWIW-ZDUSSCGKSA-N 0 3 306.233 4.043 20 0 DIADHN CCC[C@@H](NCC(OC)OC)c1ccc(Cl)c(Cl)c1 ZINC000781902386 1046484338 /nfs/dbraw/zinc/48/43/38/1046484338.db2.gz GVUFIGBVKVEWIW-CYBMUJFWSA-N 0 3 306.233 4.043 20 0 DIADHN COc1cc(CN2CC[C@@H](c3cccc(F)c3)C2)ccc1Cl ZINC001139615086 1046486543 /nfs/dbraw/zinc/48/65/43/1046486543.db2.gz ZDFAORWBECGQKB-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN CC(C)(C)CCCN1CCC(O)(c2c(F)cccc2F)CC1 ZINC000781919124 1046487396 /nfs/dbraw/zinc/48/73/96/1046487396.db2.gz GFWZBFWSVTVYTJ-UHFFFAOYSA-N 0 3 311.416 4.075 20 0 DIADHN C[C@H](CCSc1ccccc1)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000641140719 1046487505 /nfs/dbraw/zinc/48/75/05/1046487505.db2.gz UDOKZWJMCONGAG-CJNGLKHVSA-N 0 3 318.490 4.067 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccc3c(c1)COC3)[C@H]2C ZINC000781928167 1046487667 /nfs/dbraw/zinc/48/76/67/1046487667.db2.gz TZHXBTNFPVMENE-CABCVRRESA-N 0 3 323.436 4.233 20 0 DIADHN CCOc1ccc(CN2CCC(OC(C)C)CC2)cc1CC ZINC001139623382 1046488744 /nfs/dbraw/zinc/48/87/44/1046488744.db2.gz HAQWCSDEGNONDC-UHFFFAOYSA-N 0 3 305.462 4.037 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2ccccn2)cc1CC ZINC001139624392 1046490211 /nfs/dbraw/zinc/49/02/11/1046490211.db2.gz YWQWAHXLGLJIQG-LJQANCHMSA-N 0 3 310.441 4.380 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(-c3cccnc3)cc2)c1 ZINC001139644166 1046492979 /nfs/dbraw/zinc/49/29/79/1046492979.db2.gz XCFLZJYQBNKZMW-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1ccc(-c3cccnc3)cc1)C2 ZINC001139641924 1046493014 /nfs/dbraw/zinc/49/30/14/1046493014.db2.gz KBZVIAFBORKMKO-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN C[C@@H](NCc1ccc(N(C)c2ccccc2)cc1)c1cn[nH]c1 ZINC000677426038 1046496577 /nfs/dbraw/zinc/49/65/77/1046496577.db2.gz SZOUCLUNFXTXAB-OAHLLOKOSA-N 0 3 306.413 4.028 20 0 DIADHN Cc1cc(C)c(NC(=O)Nc2cccc(CN(C)C)c2)c(C)c1 ZINC001202957760 1046496667 /nfs/dbraw/zinc/49/66/67/1046496667.db2.gz JGZRFSAGXDYOLU-UHFFFAOYSA-N 0 3 311.429 4.317 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN(Cc1ccccc1)C(C)C ZINC001139674094 1046497515 /nfs/dbraw/zinc/49/75/15/1046497515.db2.gz NKLTWVCGGDXERY-UHFFFAOYSA-N 0 3 307.441 4.362 20 0 DIADHN CCC1(CN2CCC[C@H]2c2cccc(Br)c2)COC1 ZINC001202962669 1046503454 /nfs/dbraw/zinc/50/34/54/1046503454.db2.gz MPOQYQIPRZYFND-HNNXBMFYSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc3ccc(C)cn23)c1 ZINC001137303833 1046503889 /nfs/dbraw/zinc/50/38/89/1046503889.db2.gz WWVHWYCSAZQXPI-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC2(C1)CCCCC2 ZINC000129958663 1046506382 /nfs/dbraw/zinc/50/63/82/1046506382.db2.gz MXMWNQZQIZZOGQ-QGZVFWFLSA-N 0 3 314.473 4.287 20 0 DIADHN Nc1cnccc1CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000641717440 1046508332 /nfs/dbraw/zinc/50/83/32/1046508332.db2.gz AUSHBNCWZWNCDD-SFHVURJKSA-N 0 3 315.848 4.338 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1cnc2cccnc2c1 ZINC001139743849 1046509287 /nfs/dbraw/zinc/50/92/87/1046509287.db2.gz RUEXUPAXTOZFSP-UHFFFAOYSA-N 0 3 305.425 4.269 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NC[C@H]1CCCCO1)CC2 ZINC000677654189 1046509745 /nfs/dbraw/zinc/50/97/45/1046509745.db2.gz RGVUMZANUAUELT-TZMCWYRMSA-N 0 3 300.229 4.139 20 0 DIADHN CC1(C)CC[C@H]2C[C@H](NCc3ccncc3N)c3cccc1c32 ZINC000641746400 1046510212 /nfs/dbraw/zinc/51/02/12/1046510212.db2.gz WNZRUQRQWIHQGX-UGSOOPFHSA-N 0 3 307.441 4.053 20 0 DIADHN CC1(C)CC[C@@H]2C[C@@H](NCc3ccncc3N)c3cccc1c32 ZINC000641746398 1046510726 /nfs/dbraw/zinc/51/07/26/1046510726.db2.gz WNZRUQRQWIHQGX-FZKQIMNGSA-N 0 3 307.441 4.053 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1cc(F)cc2cccnc21 ZINC000130532276 1046512111 /nfs/dbraw/zinc/51/21/11/1046512111.db2.gz AGYKAFZVCHJGTR-QGZVFWFLSA-N 0 3 324.403 4.051 20 0 DIADHN C[C@@H]1CN(CN2c3cccc4cccc(c34)C2=O)[C@H]2CCCC[C@@H]12 ZINC000782275727 1046512799 /nfs/dbraw/zinc/51/27/99/1046512799.db2.gz GUQXFXWDLWNBAD-HFTRVMKXSA-N 0 3 320.436 4.268 20 0 DIADHN Cn1cc(CN[C@@H](c2ccccc2)C2CCC2)c(C(F)(F)F)n1 ZINC000641777717 1046513902 /nfs/dbraw/zinc/51/39/02/1046513902.db2.gz ZNRDWCBTYZFGKI-HNNXBMFYSA-N 0 3 323.362 4.070 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N1CCC2(CCOC2)CC1 ZINC000677720631 1046516158 /nfs/dbraw/zinc/51/61/58/1046516158.db2.gz IXHNSXLAIZYGOO-ZDUSSCGKSA-N 0 3 324.262 4.013 20 0 DIADHN COCC1(N(C)Cc2c(OC(C)C)ccc3ccccc32)CC1 ZINC000677728324 1046519332 /nfs/dbraw/zinc/51/93/32/1046519332.db2.gz UMMHAQFZNQPQFB-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN CN1CCN(Cc2ccccc2C(F)F)C[C@@H]1c1ccccc1 ZINC001139800884 1046520844 /nfs/dbraw/zinc/52/08/44/1046520844.db2.gz FQUQOJCRHVSWOC-GOSISDBHSA-N 0 3 316.395 4.113 20 0 DIADHN FC(F)c1ccccc1CN1CCC[C@@H](Oc2ccncc2)C1 ZINC001139802548 1046522623 /nfs/dbraw/zinc/52/26/23/1046522623.db2.gz QZQQTGGUIZVBLS-MRXNPFEDSA-N 0 3 318.367 4.063 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@H]2NCc2cncc(Cl)c2)cc1 ZINC000631184899 1046524690 /nfs/dbraw/zinc/52/46/90/1046524690.db2.gz FXOGYVIVOHJFTK-CVEARBPZSA-N 0 3 323.223 4.008 20 0 DIADHN CC[C@@H](O)CCN[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000925281590 1046524913 /nfs/dbraw/zinc/52/49/13/1046524913.db2.gz LNZDATCOENEXLC-UXHICEINSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1ccc2ncc(CN3CCC(C)(c4ccccc4)CC3)n2c1 ZINC001137312337 1046524994 /nfs/dbraw/zinc/52/49/94/1046524994.db2.gz QJAUMRVFNUYRFC-UHFFFAOYSA-N 0 3 319.452 4.196 20 0 DIADHN CCOC(=O)CCCCN(C)[C@H](C)c1nc2ccccc2s1 ZINC000132383059 1046531463 /nfs/dbraw/zinc/53/14/63/1046531463.db2.gz LKPAKGWJIKHOJW-CYBMUJFWSA-N 0 3 320.458 4.023 20 0 DIADHN CCOC(=O)CCCCN(C)[C@@H](C)c1nc2ccccc2s1 ZINC000132382856 1046531938 /nfs/dbraw/zinc/53/19/38/1046531938.db2.gz LKPAKGWJIKHOJW-ZDUSSCGKSA-N 0 3 320.458 4.023 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2ccc(Cl)cc2F)CC1 ZINC000782532465 1046533463 /nfs/dbraw/zinc/53/34/63/1046533463.db2.gz JRPIAZVQRDGXJD-QGZVFWFLSA-N 0 3 319.807 4.083 20 0 DIADHN COCC1(C)CCN(Cc2cc(Cl)nc3ccccc23)CC1 ZINC000782532335 1046534181 /nfs/dbraw/zinc/53/41/81/1046534181.db2.gz SZYYUGGJEKUHPM-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cc(Cl)nc(Cl)c1 ZINC000782656657 1046539378 /nfs/dbraw/zinc/53/93/78/1046539378.db2.gz SVBYUVFTPWRVRW-SECBINFHSA-N 0 3 315.166 4.455 20 0 DIADHN Cc1ccc(F)cc1CNCc1cccc(Br)c1C ZINC001202979403 1046543265 /nfs/dbraw/zinc/54/32/65/1046543265.db2.gz DAQMIBRAOHJJND-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN COCCC1CCN(Cc2cc(C(F)(F)F)ccc2C)CC1 ZINC001139886138 1046546259 /nfs/dbraw/zinc/54/62/59/1046546259.db2.gz YDGZBOCDKSECIZ-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3cncc4ccccc43)C2)cc1 ZINC001139895201 1046547001 /nfs/dbraw/zinc/54/70/01/1046547001.db2.gz DKOHWUIADFYXLZ-LJQANCHMSA-N 0 3 322.383 4.027 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cn3cc(C)cnc3=S)C2)cc1 ZINC000782951069 1046547754 /nfs/dbraw/zinc/54/77/54/1046547754.db2.gz BLPGIODYNNSRBB-RDJZCZTQSA-N 0 3 313.470 4.065 20 0 DIADHN OCCN(Cc1cccs1)Cc1ccc(F)c2ccccc12 ZINC001139891589 1046547978 /nfs/dbraw/zinc/54/79/78/1046547978.db2.gz ZHNYXHMMWWWTFD-UHFFFAOYSA-N 0 3 315.413 4.035 20 0 DIADHN Cc1cc(CNCc2cccc(Br)c2C)ccc1F ZINC001202980055 1046548107 /nfs/dbraw/zinc/54/81/07/1046548107.db2.gz NFBQFOPZXNVDIF-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cncc3ccccc31)CCC2 ZINC001139897724 1046550948 /nfs/dbraw/zinc/55/09/48/1046550948.db2.gz DIEMPUAIXWXTMV-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN CC[C@H]1CCCCN1Cc1c[nH]c2nccc(Br)c12 ZINC001139945428 1046554913 /nfs/dbraw/zinc/55/49/13/1046554913.db2.gz CMWRIAMRFKYMDS-LBPRGKRZSA-N 0 3 322.250 4.090 20 0 DIADHN Cc1ccc(CN2CCC(n3ccc4ccccc43)CC2)cn1 ZINC001139933272 1046555705 /nfs/dbraw/zinc/55/57/05/1046555705.db2.gz VCKJFAMQBULLMG-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN C[C@H](C1CC1)N(Cc1cn2cccc(F)c2n1)Cc1ccccc1 ZINC000678122094 1046557007 /nfs/dbraw/zinc/55/70/07/1046557007.db2.gz TVFPTIZEQSCILL-OAHLLOKOSA-N 0 3 323.415 4.274 20 0 DIADHN CN(Cc1coc(-c2cccc(F)c2)n1)CC1CCCCC1 ZINC000642093949 1046561550 /nfs/dbraw/zinc/56/15/50/1046561550.db2.gz UIGOUZGXJHZLBK-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN c1ccc2cc(C3CCN(Cc4ccnnc4)CC3)ccc2c1 ZINC001139983242 1046561754 /nfs/dbraw/zinc/56/17/54/1046561754.db2.gz QHBPRGJOCZVUEY-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CCCCN(CC)CC(=O)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000642095151 1046562048 /nfs/dbraw/zinc/56/20/48/1046562048.db2.gz AJFZEUDSUCXXJX-INIZCTEOSA-N 0 3 310.869 4.029 20 0 DIADHN Clc1ccc(CNC2(C3CC3)CC2)c(Br)c1 ZINC000309611223 1046565044 /nfs/dbraw/zinc/56/50/44/1046565044.db2.gz ZKYBYNYZUDNVOV-UHFFFAOYSA-N 0 3 300.627 4.135 20 0 DIADHN COc1cccc(-c2n[nH]cc2CN(C)Cc2cccc(C)c2)c1 ZINC000678137539 1046565246 /nfs/dbraw/zinc/56/52/46/1046565246.db2.gz QDTHZRKTDBTGKC-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN COc1ccc([C@H](N[C@H]2CC[C@H]2C)c2ccccc2OC)cc1 ZINC000783264336 1046571946 /nfs/dbraw/zinc/57/19/46/1046571946.db2.gz MXKHOWFTWNZQQU-WNYOCNMUSA-N 0 3 311.425 4.181 20 0 DIADHN CCN(Cc1ccccc1F)Cc1ccccc1-n1ccnc1C ZINC001140026980 1046572615 /nfs/dbraw/zinc/57/26/15/1046572615.db2.gz SEUWKLZFCFVTQE-UHFFFAOYSA-N 0 3 323.415 4.342 20 0 DIADHN COCCN(Cc1nccc2ccccc21)[C@H](C)c1ccccc1 ZINC000678156057 1046573823 /nfs/dbraw/zinc/57/38/23/1046573823.db2.gz OBNLMGBNAOATKG-QGZVFWFLSA-N 0 3 320.436 4.444 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H](C)Cc1ccccc1F)CCC2 ZINC000783294544 1046575466 /nfs/dbraw/zinc/57/54/66/1046575466.db2.gz KHWNZQZWAWRNNX-RDTXWAMCSA-N 0 3 315.436 4.201 20 0 DIADHN CC1CN(Cc2c[nH]c3c2cccc3OCc2ccccc2)C1 ZINC001140030176 1046576016 /nfs/dbraw/zinc/57/60/16/1046576016.db2.gz XRGBAHSXBDZNFX-UHFFFAOYSA-N 0 3 306.409 4.199 20 0 DIADHN C[C@H](NC[C@@H]1CCC=CO1)c1cc(Cl)ccc1OC(F)F ZINC000783307844 1046577154 /nfs/dbraw/zinc/57/71/54/1046577154.db2.gz AGIWJPHPWUCXGP-JQWIXIFHSA-N 0 3 317.763 4.285 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000642189969 1046577630 /nfs/dbraw/zinc/57/76/30/1046577630.db2.gz RVIGWQBGAJBYGV-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CCCCCC1 ZINC000061804311 1046578162 /nfs/dbraw/zinc/57/81/62/1046578162.db2.gz PLSPBWFMQWSGFA-LBPRGKRZSA-N 0 3 305.809 4.327 20 0 DIADHN Clc1ccc2c(c1Cl)OCC[C@@H]2NC[C@@H]1CCC=CO1 ZINC000783307568 1046578731 /nfs/dbraw/zinc/57/87/31/1046578731.db2.gz NIQMUWSUVKBSFM-GWCFXTLKSA-N 0 3 314.212 4.099 20 0 DIADHN Oc1ccc(CN2CCC(c3ccccc3)CC2)c(Cl)c1 ZINC001140082256 1046585639 /nfs/dbraw/zinc/58/56/39/1046585639.db2.gz PFZMZFJNGWVBFJ-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN C[C@@H]1Cc2ccccc2N1C1CCN(Cc2cccs2)CC1 ZINC000678220796 1046591038 /nfs/dbraw/zinc/59/10/38/1046591038.db2.gz ZSBHPPNCKKEUFN-OAHLLOKOSA-N 0 3 312.482 4.164 20 0 DIADHN CCc1nc(CCNCc2ccc([C@@H]3C[C@H]3C)o2)sc1C ZINC000237039122 1046594873 /nfs/dbraw/zinc/59/48/73/1046594873.db2.gz CBAWPWAYGQGOIG-BXUZGUMPSA-N 0 3 304.459 4.063 20 0 DIADHN COc1ccc(CN[C@@H](c2ccccc2)C2CCC2)c(OC)c1 ZINC000105430877 1046596218 /nfs/dbraw/zinc/59/62/18/1046596218.db2.gz PKGHFYUWVRXHBU-FQEVSTJZSA-N 0 3 311.425 4.335 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCCO[C@H](c3ccccc3)C2)o1 ZINC000678238282 1046596297 /nfs/dbraw/zinc/59/62/97/1046596297.db2.gz FEZZHMRLZJIPRY-ZTNFWEORSA-N 0 3 311.425 4.367 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCCO[C@@H](c3ccccc3)C2)o1 ZINC000678238280 1046596878 /nfs/dbraw/zinc/59/68/78/1046596878.db2.gz FEZZHMRLZJIPRY-MOXGXCLJSA-N 0 3 311.425 4.367 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H]2C2CC2)c1OC(F)F ZINC000678239374 1046600065 /nfs/dbraw/zinc/60/00/65/1046600065.db2.gz ALRMKFDMGGOQCP-CQSZACIVSA-N 0 3 311.372 4.061 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCCc3sccc3C2)c1 ZINC000678259369 1046601695 /nfs/dbraw/zinc/60/16/95/1046601695.db2.gz MUGNTUHASAMYDW-UHFFFAOYSA-N 0 3 323.465 4.395 20 0 DIADHN COc1c(Br)cccc1CN1CCC2(CCC2)CC1 ZINC001140153163 1046602584 /nfs/dbraw/zinc/60/25/84/1046602584.db2.gz NOQAAWPHXHHQAU-UHFFFAOYSA-N 0 3 324.262 4.224 20 0 DIADHN Fc1ccccc1[C@H]1CCN(CC2=Cc3ccccc3OC2)C1 ZINC000678259516 1046602509 /nfs/dbraw/zinc/60/25/09/1046602509.db2.gz SUTZWMYOUXTWTR-KRWDZBQOSA-N 0 3 309.384 4.091 20 0 DIADHN Cc1cc(C)c(CN2CCC3(C[C@@H](c4ccccc4)CO3)CC2)[nH]1 ZINC001137326099 1046603134 /nfs/dbraw/zinc/60/31/34/1046603134.db2.gz SDDXFFOZYSTAKH-LJQANCHMSA-N 0 3 324.468 4.170 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc(C)c(OC)c2)cc1 ZINC001140159679 1046604060 /nfs/dbraw/zinc/60/40/60/1046604060.db2.gz SLZDVJNYULAGGU-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc(C)c(OC)c1 ZINC001140159552 1046604384 /nfs/dbraw/zinc/60/43/84/1046604384.db2.gz JKYBXIJFHARSCU-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CC[C@H](CN(C)Cc1nc2ccccc2n1C)c1ccccc1 ZINC000678264710 1046604445 /nfs/dbraw/zinc/60/44/45/1046604445.db2.gz SOULVBFBEIRPCY-MRXNPFEDSA-N 0 3 307.441 4.199 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1nn(C)c2ccccc12 ZINC000678265154 1046604824 /nfs/dbraw/zinc/60/48/24/1046604824.db2.gz UDQXTHISQWYETC-FQEVSTJZSA-N 0 3 307.441 4.402 20 0 DIADHN c1coc([C@H]2CCN(Cc3nc(-c4ccccc4)cs3)C2)c1 ZINC000678262723 1046604877 /nfs/dbraw/zinc/60/48/77/1046604877.db2.gz UZLKWBKOAYRITC-HNNXBMFYSA-N 0 3 310.422 4.393 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2Cc2ccc(N(C)C)nc2)o1 ZINC000678273894 1046605998 /nfs/dbraw/zinc/60/59/98/1046605998.db2.gz AQKLEHPAHSQYIP-KRWDZBQOSA-N 0 3 313.445 4.166 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN2CCCOC[C@H]2C)o1 ZINC000678275167 1046606407 /nfs/dbraw/zinc/60/64/07/1046606407.db2.gz UTANAPAETPETDA-CYBMUJFWSA-N 0 3 303.377 4.005 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(-c3ccncc3)cc2)cc1 ZINC001140168944 1046606533 /nfs/dbraw/zinc/60/65/33/1046606533.db2.gz QANHCHNCBHIUHW-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)C(C)(C)CO1 ZINC000678270196 1046606907 /nfs/dbraw/zinc/60/69/07/1046606907.db2.gz XWHQYEXBWZESPA-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2nccc3ccccc32)n1 ZINC000678268141 1046606976 /nfs/dbraw/zinc/60/69/76/1046606976.db2.gz YMHCBJRKZVFHEG-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN O[C@H](CN1CC2(CCC2)[C@H]1c1ccccc1)c1cccc(F)c1 ZINC000834377407 1046611056 /nfs/dbraw/zinc/61/10/56/1046611056.db2.gz DOKIPCGAMWZVBI-RTBURBONSA-N 0 3 311.400 4.086 20 0 DIADHN O[C@H](CN1CC2(CCC2)[C@@H]1c1ccccc1)c1cccc(F)c1 ZINC000834377400 1046612233 /nfs/dbraw/zinc/61/22/33/1046612233.db2.gz DOKIPCGAMWZVBI-MOPGFXCFSA-N 0 3 311.400 4.086 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCC(C)(C)C2=CCCC2)c1 ZINC000454359968 1046613598 /nfs/dbraw/zinc/61/35/98/1046613598.db2.gz NEVGALOMNWFPCB-UHFFFAOYSA-N 0 3 315.461 4.006 20 0 DIADHN CCCO[C@H]1CCCN([C@H](C)c2nc3ccccc3o2)CC1 ZINC000245559146 1046615762 /nfs/dbraw/zinc/61/57/62/1046615762.db2.gz WBBCKBNHYDQJSC-CABCVRRESA-N 0 3 302.418 4.170 20 0 DIADHN CCN(Cc1csc(-c2ccc(OC)cc2)n1)CC(C)C ZINC000642526738 1046619008 /nfs/dbraw/zinc/61/90/08/1046619008.db2.gz KMXABURJIQABTF-UHFFFAOYSA-N 0 3 304.459 4.297 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2[nH]c(C)cc2C)C1 ZINC001137331995 1046619657 /nfs/dbraw/zinc/61/96/57/1046619657.db2.gz BQEBHBQMMRAEMY-OAHLLOKOSA-N 0 3 316.470 4.007 20 0 DIADHN CN(Cc1c[nH]c2cccc(O)c12)Cc1cccc2cccnc21 ZINC001140233131 1046621049 /nfs/dbraw/zinc/62/10/49/1046621049.db2.gz GLIPTCQWKTUMST-UHFFFAOYSA-N 0 3 317.392 4.054 20 0 DIADHN CC1(C)CN(Cc2c[nH]c3cccc(O)c23)Cc2ccccc21 ZINC001140234354 1046621128 /nfs/dbraw/zinc/62/11/28/1046621128.db2.gz GHYUZWLKEKXQHY-UHFFFAOYSA-N 0 3 306.409 4.167 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1C/C=C\c1ccc(Cl)cc1 ZINC000678339978 1046621198 /nfs/dbraw/zinc/62/11/98/1046621198.db2.gz VUKZEDMGXUMVQT-AJNOYIKESA-N 0 3 315.848 4.232 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2c[nH]c3cccc(O)c23)cc1 ZINC001140233864 1046621316 /nfs/dbraw/zinc/62/13/16/1046621316.db2.gz WHOATQDICOLPIJ-SFHVURJKSA-N 0 3 322.408 4.219 20 0 DIADHN O[C@H](c1ccc(Cl)cc1)C1CCN(Cc2cccs2)CC1 ZINC000093319483 1046621707 /nfs/dbraw/zinc/62/17/07/1046621707.db2.gz RRJRYIACJPVQKB-QGZVFWFLSA-N 0 3 321.873 4.347 20 0 DIADHN O[C@H](c1ccc(Cl)cc1)C1CCN(Cc2ccsc2)CC1 ZINC000093319780 1046621889 /nfs/dbraw/zinc/62/18/89/1046621889.db2.gz FAEWQDQYUIDQBN-QGZVFWFLSA-N 0 3 321.873 4.347 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@H+](Cc1cnc[nH]1)C1CCCC1 ZINC000093315145 1046622152 /nfs/dbraw/zinc/62/21/52/1046622152.db2.gz REVDXHJWSVAPNL-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN [O-]c1ccc2ccccc2c1C[N@@H+](Cc1cnc[nH]1)C1CCCC1 ZINC000093315145 1046622158 /nfs/dbraw/zinc/62/21/58/1046622158.db2.gz REVDXHJWSVAPNL-UHFFFAOYSA-N 0 3 321.424 4.213 20 0 DIADHN C[C@H](NC1CCCCCC1)c1nc(Br)cs1 ZINC000834487303 1046623597 /nfs/dbraw/zinc/62/35/97/1046623597.db2.gz AJJJGQMPYMJJKR-VIFPVBQESA-N 0 3 303.269 4.279 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC4(CC(F)(F)C4)CC3)c2c1 ZINC001137335053 1046624507 /nfs/dbraw/zinc/62/45/07/1046624507.db2.gz FGOGLWWUPXXQQD-UHFFFAOYSA-N 0 3 308.347 4.318 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000834514364 1046625202 /nfs/dbraw/zinc/62/52/02/1046625202.db2.gz UXQRJJUZBLDOLI-LRDDRELGSA-N 0 3 314.454 4.435 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000834514366 1046625310 /nfs/dbraw/zinc/62/53/10/1046625310.db2.gz UXQRJJUZBLDOLI-MLGOLLRUSA-N 0 3 314.454 4.435 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)C[C@H](C)c2ccccc2)c(C)c1 ZINC000532941539 1046629463 /nfs/dbraw/zinc/62/94/63/1046629463.db2.gz RORZXAHARLYUPX-ROUUACIJSA-N 0 3 324.468 4.366 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N(C)C[C@H](C)c1ccccc1 ZINC000532942418 1046629765 /nfs/dbraw/zinc/62/97/65/1046629765.db2.gz YEEUPJUWGQVPSZ-ROUUACIJSA-N 0 3 324.468 4.366 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OC(F)F)cc2)c(C)n1 ZINC000678411338 1046630132 /nfs/dbraw/zinc/63/01/32/1046630132.db2.gz BJSNEDUJOARIIH-GFCCVEGCSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(Br)cc2)c(C)n1 ZINC000678414343 1046632268 /nfs/dbraw/zinc/63/22/68/1046632268.db2.gz CQIZKZZLXCXYOB-GFCCVEGCSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccccc3)C[C@@H]2C)nc2ccccc12 ZINC000532977715 1046632951 /nfs/dbraw/zinc/63/29/51/1046632951.db2.gz GYAKCQQOXZXDPE-YJBOKZPZSA-N 0 3 317.436 4.316 20 0 DIADHN CCCN(Cc1ccno1)[C@@H]1C[C@H](OCC)C12CCCCC2 ZINC000532977591 1046633820 /nfs/dbraw/zinc/63/38/20/1046633820.db2.gz MSNTXPROUORSNQ-SJORKVTESA-N 0 3 306.450 4.015 20 0 DIADHN CC(C)N(CC(=O)Nc1cc(Cl)ccc1Cl)CC1CC1 ZINC000533029803 1046643645 /nfs/dbraw/zinc/64/36/45/1046643645.db2.gz PJMAZJRJVGYNHM-UHFFFAOYSA-N 0 3 315.244 4.052 20 0 DIADHN CCC1(CN[C@H](C)c2nc(Br)cs2)CCC1 ZINC000834615173 1046637666 /nfs/dbraw/zinc/63/76/66/1046637666.db2.gz BIAPPWMMOFTZIP-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN CC[C@H](N[C@@H](CO)CC(F)F)c1ccc(Cl)c(Cl)c1 ZINC000429447860 1046642595 /nfs/dbraw/zinc/64/25/95/1046642595.db2.gz FVYPPZAMXUSEEN-SKDRFNHKSA-N 0 3 312.187 4.050 20 0 DIADHN CCc1ccc(C2CCN(Cc3cnc(C4CC4)o3)CC2)cc1 ZINC000628476023 1046642799 /nfs/dbraw/zinc/64/27/99/1046642799.db2.gz WSWAHEOFVRDDBO-UHFFFAOYSA-N 0 3 310.441 4.494 20 0 DIADHN Clc1ccc(-c2ncc(CN3CC4CC(C4)C3)s2)cc1 ZINC001140297324 1046644572 /nfs/dbraw/zinc/64/45/72/1046644572.db2.gz YDAJIVBZZJTMAI-UHFFFAOYSA-N 0 3 304.846 4.305 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2c(F)cccc2Cl)cs1 ZINC000834667895 1046646291 /nfs/dbraw/zinc/64/62/91/1046646291.db2.gz CSMJKRFESHAFGP-HZMBPMFUSA-N 0 3 313.825 4.233 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2c(F)cccc2Cl)cs1 ZINC000834667899 1046646884 /nfs/dbraw/zinc/64/68/84/1046646884.db2.gz CSMJKRFESHAFGP-IINYFYTJSA-N 0 3 313.825 4.233 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678499421 1046649802 /nfs/dbraw/zinc/64/98/02/1046649802.db2.gz FXEJUYMBNXOQOS-ACJLOTCBSA-N 0 3 319.807 4.195 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccccc1-c1cccnc1 ZINC001140317145 1046651671 /nfs/dbraw/zinc/65/16/71/1046651671.db2.gz FVWSLSXEPMDYBN-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN c1cc2c(s1)CCN(Cc1ccccc1-c1cccnc1)C2 ZINC001140315934 1046651850 /nfs/dbraw/zinc/65/18/50/1046651850.db2.gz OYDMFRCCQFNAFG-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN Cc1ncccc1CN(C)Cc1ccccc1-c1cccnc1 ZINC001140318682 1046653229 /nfs/dbraw/zinc/65/32/29/1046653229.db2.gz IFIMDQHNIRWURY-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2C[C@@H](O)C2)c2ccccc2Cl)cc1 ZINC000643273502 1046653463 /nfs/dbraw/zinc/65/34/63/1046653463.db2.gz QHNWILVNZLMHMP-SIXWZSSISA-N 0 3 315.844 4.098 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1F)c1nc2c(s1)CCCC2 ZINC000678544879 1046654224 /nfs/dbraw/zinc/65/42/24/1046654224.db2.gz VKDYHKOYGLHLOM-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN CC(C)N(Cc1ccc(N(C)C)nc1)[C@H](C)c1ccsc1 ZINC000643296099 1046656387 /nfs/dbraw/zinc/65/63/87/1046656387.db2.gz XKFJDLCBOLIOIM-CQSZACIVSA-N 0 3 303.475 4.181 20 0 DIADHN Clc1cccc(Cl)c1CNCCc1c[nH]c2ncccc12 ZINC000533104022 1046657057 /nfs/dbraw/zinc/65/70/57/1046657057.db2.gz LAJUNOLKIMYEAN-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN COc1ccc(C(C)(C)CN[C@H](C)c2nc(C)sc2C)cc1 ZINC000678580062 1046660625 /nfs/dbraw/zinc/66/06/25/1046660625.db2.gz AOIMQIWCXSGXOA-GFCCVEGCSA-N 0 3 318.486 4.397 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)c1cc2c(s1)CCC2 ZINC000678581874 1046661392 /nfs/dbraw/zinc/66/13/92/1046661392.db2.gz ZSMANTLAMIJEDZ-CYBMUJFWSA-N 0 3 301.455 4.405 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CCc2ccc(C)cc21 ZINC000678583874 1046662507 /nfs/dbraw/zinc/66/25/07/1046662507.db2.gz BQGMIILDWGSJJW-MSOLQXFVSA-N 0 3 309.409 4.104 20 0 DIADHN C[C@H](NCC(=O)NC1CCCC1)c1cccc(-c2ccccc2)c1 ZINC000678585008 1046662760 /nfs/dbraw/zinc/66/27/60/1046662760.db2.gz JURNUVOMMRVNQY-INIZCTEOSA-N 0 3 322.452 4.063 20 0 DIADHN FC(F)C1CCN(Cc2cccc(-c3nccs3)c2)CC1 ZINC001140337200 1046664465 /nfs/dbraw/zinc/66/44/65/1046664465.db2.gz GMUYZAACKXGOKN-UHFFFAOYSA-N 0 3 308.397 4.287 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1sccc1C ZINC000678589773 1046665874 /nfs/dbraw/zinc/66/58/74/1046665874.db2.gz RDDFVFUDGLQWLI-OCCSQVGLSA-N 0 3 303.427 4.240 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC000678594079 1046667601 /nfs/dbraw/zinc/66/76/01/1046667601.db2.gz BMJCVIDYXJXZKX-IFXJQAMLSA-N 0 3 317.404 4.263 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC000678594081 1046667752 /nfs/dbraw/zinc/66/77/52/1046667752.db2.gz BMJCVIDYXJXZKX-KUHUBIRLSA-N 0 3 317.404 4.263 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(F)cc1F)c1ccc(F)cc1 ZINC000678594933 1046669310 /nfs/dbraw/zinc/66/93/10/1046669310.db2.gz NNZLHDOHEOGEPR-DIFFPNOSSA-N 0 3 309.331 4.142 20 0 DIADHN C[C@H](NCC(C)(C)[C@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000678597480 1046670400 /nfs/dbraw/zinc/67/04/00/1046670400.db2.gz DYENJBHDNJIVBP-XJKSGUPXSA-N 0 3 322.518 4.268 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N(C)CC1CCCCC1 ZINC000533222610 1046671144 /nfs/dbraw/zinc/67/11/44/1046671144.db2.gz HVABQJTTZUFLHQ-LBPRGKRZSA-N 0 3 305.447 4.371 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](N[C@@H]1CCCc3cn[nH]c31)CC2 ZINC000678598346 1046671998 /nfs/dbraw/zinc/67/19/98/1046671998.db2.gz FWADYMRRZPQFPH-ZIAGYGMSSA-N 0 3 322.239 4.371 20 0 DIADHN CC(C)(CO)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000678601521 1046674197 /nfs/dbraw/zinc/67/41/97/1046674197.db2.gz BNZPGFCHYMVBCZ-VIFPVBQESA-N 0 3 308.274 4.040 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1ccccc1 ZINC000533224221 1046674377 /nfs/dbraw/zinc/67/43/77/1046674377.db2.gz RAFMGGYVFPJJMS-SJLPKXTDSA-N 0 3 304.478 4.007 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2CCc3cc(C)ccc32)c2ccccc21 ZINC000678608564 1046676772 /nfs/dbraw/zinc/67/67/72/1046676772.db2.gz QWLDGXSMYCYQAV-PMACEKPBSA-N 0 3 320.436 4.070 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](c1cccnc1)C1CCC1 ZINC000795793560 1046679014 /nfs/dbraw/zinc/67/90/14/1046679014.db2.gz IDBJPTMQZCTGSL-HXUWFJFHSA-N 0 3 309.457 4.169 20 0 DIADHN CCN(CCc1cccs1)Cc1cnc(-c2ccccc2)nc1 ZINC000533260673 1046680662 /nfs/dbraw/zinc/68/06/62/1046680662.db2.gz CCUFXYDUCRMORZ-UHFFFAOYSA-N 0 3 323.465 4.270 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000678638231 1046683879 /nfs/dbraw/zinc/68/38/79/1046683879.db2.gz HNIHKYDEZHAYFM-KFWWJZLASA-N 0 3 309.400 4.164 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000678640890 1046683985 /nfs/dbraw/zinc/68/39/85/1046683985.db2.gz USPVPCPQVHFTQL-QLFBSQMISA-N 0 3 309.400 4.164 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H](c1ccc(C)cc1)C1CC1 ZINC000678685122 1046687400 /nfs/dbraw/zinc/68/74/00/1046687400.db2.gz FXKGLRGUYKBQTD-IBGZPJMESA-N 0 3 310.441 4.256 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N(C)C[C@@H]3CC=CCC3)o2)c1 ZINC000784390883 1046687959 /nfs/dbraw/zinc/68/79/59/1046687959.db2.gz LIUOKOYNSPGMGF-HZPDHXFCSA-N 0 3 311.429 4.394 20 0 DIADHN Fc1ccccc1-c1ncc(CN2C3CCCC2CCC3)cn1 ZINC001140403793 1046690332 /nfs/dbraw/zinc/69/03/32/1046690332.db2.gz NTKFITJFRWAWSS-UHFFFAOYSA-N 0 3 311.404 4.190 20 0 DIADHN C[C@]1(CN[C@H](c2ncc[nH]2)C2CCCCC2)CC1(Cl)Cl ZINC000834884585 1046696395 /nfs/dbraw/zinc/69/63/95/1046696395.db2.gz NVAABOPLBNVXPK-GXTWGEPZSA-N 0 3 316.276 4.205 20 0 DIADHN Cc1csc(CN[C@H](C)c2ccc(Br)nc2)c1 ZINC000834882086 1046696470 /nfs/dbraw/zinc/69/64/70/1046696470.db2.gz FFSLHPUUCUYTAI-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H]1c2ccccc2CC[C@H]1C ZINC000678767835 1046697101 /nfs/dbraw/zinc/69/71/01/1046697101.db2.gz DUBGYJRPEBPOOB-BFUOFWGJSA-N 0 3 310.441 4.120 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2n[nH]c3ccccc32)CC1 ZINC001137349681 1046697164 /nfs/dbraw/zinc/69/71/64/1046697164.db2.gz FDAOXFAAMVJCKU-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2[nH]nc3ccccc32)CC1 ZINC001137349681 1046697169 /nfs/dbraw/zinc/69/71/69/1046697169.db2.gz FDAOXFAAMVJCKU-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@@]1(C)CC1(Cl)Cl ZINC000834883470 1046697242 /nfs/dbraw/zinc/69/72/42/1046697242.db2.gz FGWXZKBQXIETEE-SMDDNHRTSA-N 0 3 302.245 4.238 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1cnn(-c2ccccc2)c1 ZINC000834884121 1046697317 /nfs/dbraw/zinc/69/73/17/1046697317.db2.gz KKXOKEUCMZBNJR-SWLSCSKDSA-N 0 3 324.255 4.107 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000834883468 1046697353 /nfs/dbraw/zinc/69/73/53/1046697353.db2.gz FGWXZKBQXIETEE-FZMZJTMJSA-N 0 3 302.245 4.238 20 0 DIADHN Cc1csc(CN[C@@H](C)c2ccc(Br)nc2)c1 ZINC000834882085 1046697435 /nfs/dbraw/zinc/69/74/35/1046697435.db2.gz FFSLHPUUCUYTAI-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(Cl)Cl)c1ccnn1-c1ccccc1 ZINC000834884956 1046701312 /nfs/dbraw/zinc/70/13/12/1046701312.db2.gz REZPOGXYLHMMCP-WFASDCNBSA-N 0 3 324.255 4.107 20 0 DIADHN c1ccc(CC[C@@H]2CCCN2Cc2nc3c(s2)CCC3)cc1 ZINC000678813716 1046708564 /nfs/dbraw/zinc/70/85/64/1046708564.db2.gz HFNMWKYYGFSYGC-INIZCTEOSA-N 0 3 312.482 4.229 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N(C)C[C@H](C)c1ccccc1 ZINC000533400676 1046710529 /nfs/dbraw/zinc/71/05/29/1046710529.db2.gz PMIVKRJLAKMYIR-IRXDYDNUSA-N 0 3 310.441 4.058 20 0 DIADHN CCc1nc(CN[C@@H](Cc2ccccc2Cl)C2CC2)co1 ZINC000834900964 1046713031 /nfs/dbraw/zinc/71/30/31/1046713031.db2.gz XXQARYIEAZQXLF-INIZCTEOSA-N 0 3 304.821 4.001 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1n[nH]c2ccccc12 ZINC000644436167 1046713973 /nfs/dbraw/zinc/71/39/73/1046713973.db2.gz AWOXBGDYQAVAFT-LBPRGKRZSA-N 0 3 317.845 4.445 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1[nH]nc2ccccc21 ZINC000644436167 1046713986 /nfs/dbraw/zinc/71/39/86/1046713986.db2.gz AWOXBGDYQAVAFT-LBPRGKRZSA-N 0 3 317.845 4.445 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1nc2c(s1)CCC2 ZINC000678832768 1046714760 /nfs/dbraw/zinc/71/47/60/1046714760.db2.gz PEYNDTNNEHWCQE-GXTWGEPZSA-N 0 3 316.445 4.149 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1nc2c(s1)CCC2 ZINC000678832773 1046715575 /nfs/dbraw/zinc/71/55/75/1046715575.db2.gz PEYNDTNNEHWCQE-OCCSQVGLSA-N 0 3 316.445 4.149 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2c(s1)CCC2 ZINC000678838379 1046716587 /nfs/dbraw/zinc/71/65/87/1046716587.db2.gz VYSZQGHEFPHXLQ-GOEBONIOSA-N 0 3 312.482 4.085 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@@H](C)c3cccnc3Cl)C2)c1 ZINC000834904875 1046716993 /nfs/dbraw/zinc/71/69/93/1046716993.db2.gz PKQNNOHJMCGREB-QEJZJMRPSA-N 0 3 316.832 4.340 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)C)c1nc(Br)cs1 ZINC000834910691 1046718657 /nfs/dbraw/zinc/71/86/57/1046718657.db2.gz WDXPFVCKFBKEQJ-DTWKUNHWSA-N 0 3 305.285 4.381 20 0 DIADHN C[C@@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000644525424 1046719103 /nfs/dbraw/zinc/71/91/03/1046719103.db2.gz JZXRECXUPVUXML-BDJLRTHQSA-N 0 3 310.224 4.053 20 0 DIADHN CCCOC1CCN(Cc2c[nH]c3cccc(Cl)c23)CC1 ZINC001140437020 1046724370 /nfs/dbraw/zinc/72/43/70/1046724370.db2.gz ZUBIXOCJKBGHPL-UHFFFAOYSA-N 0 3 306.837 4.212 20 0 DIADHN COc1cccc(CCCN(C)Cc2nc(Cl)ccc2C)c1 ZINC001140446341 1046727031 /nfs/dbraw/zinc/72/70/31/1046727031.db2.gz HIKFSBOETRDKHS-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN CC[C@H](NCc1noc2c1CCCC2)C(C)(C)c1ccccc1 ZINC000678899395 1046728976 /nfs/dbraw/zinc/72/89/76/1046728976.db2.gz PIJFMXXJPYGHKS-IBGZPJMESA-N 0 3 312.457 4.399 20 0 DIADHN C[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(-c2ccncc2)cc1 ZINC000796778154 1046731105 /nfs/dbraw/zinc/73/11/05/1046731105.db2.gz FVKQZBCWGVYNKH-KUHUBIRLSA-N 0 3 318.424 4.200 20 0 DIADHN CC(C)O[C@H](CN1CCOc2ccccc2C1)c1ccccc1 ZINC000644658323 1046731369 /nfs/dbraw/zinc/73/13/69/1046731369.db2.gz QPGFMJBEWOOXFO-HXUWFJFHSA-N 0 3 311.425 4.047 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccccc2-c2nccs2)C1 ZINC001140452986 1046732282 /nfs/dbraw/zinc/73/22/82/1046732282.db2.gz CULPMZZYPMFPRJ-ZDUSSCGKSA-N 0 3 308.397 4.287 20 0 DIADHN CC(C)N(CC(=O)Nc1ccccc1-c1ccccc1)CC1CC1 ZINC000533493861 1046732724 /nfs/dbraw/zinc/73/27/24/1046732724.db2.gz CVSFIFUWWVMQFO-UHFFFAOYSA-N 0 3 322.452 4.413 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2nc(C)cs2)C12CCCC2 ZINC000678926500 1046734886 /nfs/dbraw/zinc/73/48/86/1046734886.db2.gz CQEVADQERIHMAG-QLFBSQMISA-N 0 3 308.491 4.230 20 0 DIADHN C[C@H]1SCC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001203039763 1046738252 /nfs/dbraw/zinc/73/82/52/1046738252.db2.gz UJBQZSPITPZBGT-PRHODGIISA-N 0 3 309.784 4.342 20 0 DIADHN COc1cc(F)cc(CN2CCC(c3ccc(F)cc3)CC2)c1 ZINC001140479372 1046740664 /nfs/dbraw/zinc/74/06/64/1046740664.db2.gz FWJMBBMZLRKUCC-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](Sc3cccc(Cl)c3)C2)o1 ZINC000644785757 1046741367 /nfs/dbraw/zinc/74/13/67/1046741367.db2.gz PMEOFRYPECSGQQ-HNNXBMFYSA-N 0 3 322.861 4.311 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCc2c(C)cccc2C)c1 ZINC000796832389 1046742119 /nfs/dbraw/zinc/74/21/19/1046742119.db2.gz OLNDJILRJSPOFH-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN CCCN(Cc1cc(-c2ccccc2)no1)C[C@@H]1CCCCO1 ZINC000533521061 1046743849 /nfs/dbraw/zinc/74/38/49/1046743849.db2.gz SJZALRJNGAIASC-KRWDZBQOSA-N 0 3 314.429 4.123 20 0 DIADHN CC1(C)CN(C[C@@H]2CCOc3ccccc32)[C@H]1c1cccnc1 ZINC000644835491 1046743979 /nfs/dbraw/zinc/74/39/79/1046743979.db2.gz OKEAJPYFQMSCDU-LPHOPBHVSA-N 0 3 308.425 4.031 20 0 DIADHN CC(C)CC[C@@H](NC[C@@H]1COC2(CCCC2)O1)c1ccoc1 ZINC000796856372 1046747327 /nfs/dbraw/zinc/74/73/27/1046747327.db2.gz UEBOMDZCKXAKIK-IAGOWNOFSA-N 0 3 307.434 4.032 20 0 DIADHN Clc1ccc([C@@H]2CCC[C@H]2NCc2ncc[nH]2)cc1Cl ZINC000678971400 1046749106 /nfs/dbraw/zinc/74/91/06/1046749106.db2.gz VECLVTCIAGSYEN-SMDDNHRTSA-N 0 3 310.228 4.142 20 0 DIADHN CO[C@H]1CCCC[C@H]1NCc1cc(-c2ccc(Cl)cc2)no1 ZINC000429518284 1046755837 /nfs/dbraw/zinc/75/58/37/1046755837.db2.gz LOGMRCJDGKHWDK-WBVHZDCISA-N 0 3 320.820 4.042 20 0 DIADHN CCn1nc(C)c(CNC/C(C)=C/c2ccc(Cl)cc2)c1C ZINC000533581792 1046757264 /nfs/dbraw/zinc/75/72/64/1046757264.db2.gz YALABFIKDLNTBZ-JLHYYAGUSA-N 0 3 317.864 4.366 20 0 DIADHN C[C@@H](NCc1ccc(OC(C)(C)C)cc1)c1cccc(CO)c1 ZINC000679012637 1046759149 /nfs/dbraw/zinc/75/91/49/1046759149.db2.gz WCOGSYCPDSOSRK-OAHLLOKOSA-N 0 3 313.441 4.207 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H](C)[C@H]2CCCCO2)o1 ZINC000679028954 1046760905 /nfs/dbraw/zinc/76/09/05/1046760905.db2.gz DJCIMWLLRBFTQT-KBXCAEBGSA-N 0 3 317.404 4.441 20 0 DIADHN COC[C@H](N[C@H]1CCC(F)(F)C1)c1cccc(C(F)(F)F)c1 ZINC000679072472 1046768357 /nfs/dbraw/zinc/76/83/57/1046768357.db2.gz WOJMAJIASZKMIU-STQMWFEESA-N 0 3 323.305 4.170 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(C(C)C)nc2CC)CC1 ZINC000645150830 1046770257 /nfs/dbraw/zinc/77/02/57/1046770257.db2.gz DNHQJIBYFNLMSG-UHFFFAOYSA-N 0 3 304.478 4.158 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@@H]1CCCCO1 ZINC000679092785 1046774249 /nfs/dbraw/zinc/77/42/49/1046774249.db2.gz SZZWFVVYIIIDRP-KEYYUXOJSA-N 0 3 316.470 4.418 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N(C)CCc1ncc(C)s1 ZINC000533670393 1046774578 /nfs/dbraw/zinc/77/45/78/1046774578.db2.gz LLRAAZOHKQHZDX-OAHLLOKOSA-N 0 3 310.413 4.355 20 0 DIADHN CC[C@H](Nc1cc(CN2CCSCC2)ccc1C)C1CC1 ZINC000679099943 1046776509 /nfs/dbraw/zinc/77/65/09/1046776509.db2.gz XCLGIPYMWZWASJ-KRWDZBQOSA-N 0 3 304.503 4.144 20 0 DIADHN CCCC[C@@H](C)N(CCCC)Cc1nc(-c2ccccn2)no1 ZINC000645236626 1046780359 /nfs/dbraw/zinc/78/03/59/1046780359.db2.gz DTDIJWGXLMUPAJ-OAHLLOKOSA-N 0 3 316.449 4.312 20 0 DIADHN Cc1ccccc1-c1noc(CN2CC(C)(C)[C@H]3CCC[C@@H]32)n1 ZINC000645249268 1046782889 /nfs/dbraw/zinc/78/28/89/1046782889.db2.gz NNWYIMGHFHLKSV-HOTGVXAUSA-N 0 3 311.429 4.056 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1ccc(C)cc1)c1cc(F)ccc1F ZINC000797065207 1046784255 /nfs/dbraw/zinc/78/42/55/1046784255.db2.gz KLLAVIXHQJUDHW-FASAQXTFSA-N 0 3 319.395 4.436 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cccc(F)c3Cl)C2)cc1 ZINC001140568111 1046787439 /nfs/dbraw/zinc/78/74/39/1046787439.db2.gz QTJJEEQIKYPDAR-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(F)cc3F)CC2)ccc1O ZINC001140580003 1046793944 /nfs/dbraw/zinc/79/39/44/1046793944.db2.gz NZMUNTLJLIZQRL-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN CC[C@@H](NCc1ccc(CF)cc1)c1ccc(OC)c(OC)c1 ZINC000796256797 1046802072 /nfs/dbraw/zinc/80/20/72/1046802072.db2.gz SQBZRMRJXHXXFZ-QGZVFWFLSA-N 0 3 317.404 4.414 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccncc2)ccc1Br ZINC000073852428 1046802987 /nfs/dbraw/zinc/80/29/87/1046802987.db2.gz CUNMAQKSDDLWSL-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccncc2)ccc1Br ZINC000073852429 1046803766 /nfs/dbraw/zinc/80/37/66/1046803766.db2.gz CUNMAQKSDDLWSL-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN [O-]c1ccc(Nc2ccccc2C[NH+]2CCCCC2)c(F)c1F ZINC001212009270 1046816267 /nfs/dbraw/zinc/81/62/67/1046816267.db2.gz LUTVSQRHQCCUFC-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc(OC(F)F)c(O)c1 ZINC001140624146 1046816747 /nfs/dbraw/zinc/81/67/47/1046816747.db2.gz ICMVGIWXMXHHMJ-UHFFFAOYSA-N 0 3 321.367 4.404 20 0 DIADHN c1coc(CN(CCC[C@@H]2CCOC2)Cc2cccs2)c1 ZINC000645768376 1046817526 /nfs/dbraw/zinc/81/75/26/1046817526.db2.gz PXWRXOANFNCSJA-OAHLLOKOSA-N 0 3 305.443 4.160 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCC[C@@H]1CCOC1 ZINC000645817395 1046819953 /nfs/dbraw/zinc/81/99/53/1046819953.db2.gz QLSGUPVBIUPVDR-UONOGXRCSA-N 0 3 304.459 4.106 20 0 DIADHN CC(C)c1nc(CCN(C)Cc2csc3ccccc23)no1 ZINC001140636955 1046821853 /nfs/dbraw/zinc/82/18/53/1046821853.db2.gz XKCRXALCWBELKT-UHFFFAOYSA-N 0 3 315.442 4.082 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccccc1Cl)c1ccc(F)cn1 ZINC000679355230 1046823040 /nfs/dbraw/zinc/82/30/40/1046823040.db2.gz QZKYISLKDDLSJG-DOTOQJQBSA-N 0 3 322.811 4.303 20 0 DIADHN CN(C)Cc1cnc(CNC[C@H]2CCCC[C@@H]2C(C)(C)C)s1 ZINC000796277061 1046823870 /nfs/dbraw/zinc/82/38/70/1046823870.db2.gz BPTXIGRIOSCQHD-ZBFHGGJFSA-N 0 3 323.550 4.147 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccccc1Cl)c1ccc(F)cn1 ZINC000679355232 1046825514 /nfs/dbraw/zinc/82/55/14/1046825514.db2.gz QZKYISLKDDLSJG-NVXWUHKLSA-N 0 3 322.811 4.303 20 0 DIADHN FC(F)(F)Oc1cccc([C@@H](NC/C=C/Cl)C2CC2)c1 ZINC000796291834 1046834794 /nfs/dbraw/zinc/83/47/94/1046834794.db2.gz VNBGTOFNUXNHFP-VRMVNXKISA-N 0 3 305.727 4.378 20 0 DIADHN COc1ccc(-c2ccc(CNCc3ccc(O)cc3)cc2)cc1 ZINC000679395628 1046838180 /nfs/dbraw/zinc/83/81/80/1046838180.db2.gz YJWCQKSZYACSSD-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN COc1ccc(CN2CCSC[C@@H]2c2ccccc2)c(C)c1 ZINC001140678094 1046847816 /nfs/dbraw/zinc/84/78/16/1046847816.db2.gz OONSOCFNHKSXJH-LJQANCHMSA-N 0 3 313.466 4.294 20 0 DIADHN COc1ccc(CN2CCSC[C@H]2c2ccccc2)c(C)c1 ZINC001140678093 1046848066 /nfs/dbraw/zinc/84/80/66/1046848066.db2.gz OONSOCFNHKSXJH-IBGZPJMESA-N 0 3 313.466 4.294 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)Cc1cccc(O)c1 ZINC000646046175 1046848686 /nfs/dbraw/zinc/84/86/86/1046848686.db2.gz DOPATQHDKURKIF-WJDWOHSUSA-N 0 3 311.425 4.074 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cc4ccccc4n3C)cccc21 ZINC001212052028 1046850327 /nfs/dbraw/zinc/85/03/27/1046850327.db2.gz CSFAJAZGBTXRSU-GOSISDBHSA-N 0 3 321.424 4.307 20 0 DIADHN CN(Cc1cc(C(C)(C)C)c(O)c(C(C)(C)C)c1)[C@@H]1CCOC1 ZINC001140694534 1046852725 /nfs/dbraw/zinc/85/27/25/1046852725.db2.gz RRIKSMJKMUKZQJ-OAHLLOKOSA-N 0 3 319.489 4.208 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C(C)(C)C)c(O)c(C(C)(C)C)c2)C1 ZINC001140693948 1046852773 /nfs/dbraw/zinc/85/27/73/1046852773.db2.gz NBENNPFJVCTLCQ-HNNXBMFYSA-N 0 3 319.489 4.208 20 0 DIADHN Cc1cc(F)c(CN(C)C2CC(C)C2)cc1Br ZINC001140697121 1046857722 /nfs/dbraw/zinc/85/77/22/1046857722.db2.gz GJWRGCWTSGNOSE-UHFFFAOYSA-N 0 3 300.215 4.127 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC2(C[C@@H]1C)CCOCC2 ZINC000646099609 1046857915 /nfs/dbraw/zinc/85/79/15/1046857915.db2.gz ADLCANVYEGUFAK-STQMWFEESA-N 0 3 311.828 4.431 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC2(C[C@@H]1C)CCOCC2 ZINC000646096092 1046858359 /nfs/dbraw/zinc/85/83/59/1046858359.db2.gz ILIARPPPXGLXQV-QWHCGFSZSA-N 0 3 311.828 4.431 20 0 DIADHN Cc1cc(CN2CC[C@](C)(c3ccccc3)C2)cc(Cl)n1 ZINC000797592069 1046860466 /nfs/dbraw/zinc/86/04/66/1046860466.db2.gz MSMXNNGNYFJNDR-SFHVURJKSA-N 0 3 300.833 4.207 20 0 DIADHN FCC[C@H]1CCCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000827271330 1046861479 /nfs/dbraw/zinc/86/14/79/1046861479.db2.gz MKKGKFMXEFNZAC-LLVKDONJSA-N 0 3 307.381 4.307 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc(-n2ccnc2)cc1 ZINC000679541439 1046862507 /nfs/dbraw/zinc/86/25/07/1046862507.db2.gz ZFMMMRAJFGEYAJ-HIFRSBDPSA-N 0 3 323.362 4.035 20 0 DIADHN CCCCN(Cc1nc(C)cs1)[C@@H]1CCc2ncsc2C1 ZINC000646129828 1046863385 /nfs/dbraw/zinc/86/33/85/1046863385.db2.gz UUAFYQNWUCGIPC-CYBMUJFWSA-N 0 3 321.515 4.068 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc(-n2ccnc2)cc1 ZINC000679541440 1046863748 /nfs/dbraw/zinc/86/37/48/1046863748.db2.gz ZFMMMRAJFGEYAJ-UKRRQHHQSA-N 0 3 323.362 4.035 20 0 DIADHN Cc1cc(CNCc2ccccc2COC(C)C)cc(Cl)n1 ZINC000797594891 1046864147 /nfs/dbraw/zinc/86/41/47/1046864147.db2.gz MMTGYYJOLBKWBM-UHFFFAOYSA-N 0 3 318.848 4.258 20 0 DIADHN Cc1ccc(C2(NCc3cc4ccccc4[nH]c3=O)CCC2)cc1 ZINC000646131230 1046864666 /nfs/dbraw/zinc/86/46/66/1046864666.db2.gz GHBZJCLNXJTOAS-UHFFFAOYSA-N 0 3 318.420 4.418 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cc(C)nc(Cl)c2)c1 ZINC000797597953 1046865047 /nfs/dbraw/zinc/86/50/47/1046865047.db2.gz PDYAYQCNCLDRJO-CYBMUJFWSA-N 0 3 304.821 4.293 20 0 DIADHN CC(C)N(Cc1cc(F)c(Cl)cc1F)C1CCOCC1 ZINC001140704398 1046865627 /nfs/dbraw/zinc/86/56/27/1046865627.db2.gz OMZHAMRFKBONTN-UHFFFAOYSA-N 0 3 303.780 4.008 20 0 DIADHN Cc1cc(CNCc2cc3c(s2)CCCC3)cc(Cl)n1 ZINC000797598813 1046866582 /nfs/dbraw/zinc/86/65/82/1046866582.db2.gz UQRCTKJKCWAGNB-UHFFFAOYSA-N 0 3 306.862 4.274 20 0 DIADHN c1ccc(Oc2ccc(CN3CCCC[C@H]3C3CCC3)nn2)cc1 ZINC000646139493 1046867423 /nfs/dbraw/zinc/86/74/23/1046867423.db2.gz WDBCAFLTKFMANT-IBGZPJMESA-N 0 3 323.440 4.424 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc(Cl)ccc2N)cc1 ZINC001140717553 1046870503 /nfs/dbraw/zinc/87/05/03/1046870503.db2.gz CXTCTOWPRGUSKQ-LBPRGKRZSA-N 0 3 304.821 4.124 20 0 DIADHN CN(Cc1cc(Cl)ccc1N)Cc1cccc2cccnc21 ZINC001140717312 1046871093 /nfs/dbraw/zinc/87/10/93/1046871093.db2.gz RCUCRSBCWKTVNB-UHFFFAOYSA-N 0 3 311.816 4.102 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1c2cc(C)ccc2C[C@H]1C ZINC000679576220 1046873893 /nfs/dbraw/zinc/87/38/93/1046873893.db2.gz UVLNTTDAORSZTJ-WNYOCNMUSA-N 0 3 323.436 4.350 20 0 DIADHN S=c1ncccn1CNC1(c2cccc(Cl)c2)CCCC1 ZINC000179183858 1046876147 /nfs/dbraw/zinc/87/61/47/1046876147.db2.gz SSDOMEWTEWOQIR-UHFFFAOYSA-N 0 3 319.861 4.283 20 0 DIADHN C[C@@H](NCC1(C2(O)CCC2)CC1)c1ccc(-c2ccccc2)cn1 ZINC000897527914 1046878798 /nfs/dbraw/zinc/87/87/98/1046878798.db2.gz SZCQLIIKWBNQHU-MRXNPFEDSA-N 0 3 322.452 4.094 20 0 DIADHN COc1cccc(Cl)c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001140730507 1046880110 /nfs/dbraw/zinc/88/01/10/1046880110.db2.gz LYNSZAZJPQQBPS-ZDUSSCGKSA-N 0 3 311.828 4.453 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1ccnc2ccccc12 ZINC000679584537 1046880631 /nfs/dbraw/zinc/88/06/31/1046880631.db2.gz SPMSOJSMGJQYEO-OCCSQVGLSA-N 0 3 308.347 4.398 20 0 DIADHN Cn1cnc2ccc(CN3CCC[C@H]3c3ccc(F)cc3)cc21 ZINC001140741165 1046882552 /nfs/dbraw/zinc/88/25/52/1046882552.db2.gz JVYXMLOWQFSBCC-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN Cn1cnc2ccc(CN3CCC[C@H]3c3cccc(F)c3)cc21 ZINC001140747299 1046884467 /nfs/dbraw/zinc/88/44/67/1046884467.db2.gz XZGHTCNSFPQMSK-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(C)nc1N1CCCC1 ZINC000679719423 1046896037 /nfs/dbraw/zinc/89/60/37/1046896037.db2.gz LFQCJPAOOJDSRO-VKAVYKQESA-N 0 3 321.468 4.183 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1ccc(C)nc1N1CCCC1 ZINC000679719421 1046896417 /nfs/dbraw/zinc/89/64/17/1046896417.db2.gz LFQCJPAOOJDSRO-SAPNQHFASA-N 0 3 321.468 4.183 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(C)nc2N2CCCC2)cc1 ZINC000679724492 1046897937 /nfs/dbraw/zinc/89/79/37/1046897937.db2.gz YKPCVTAJBHMYDB-QGZVFWFLSA-N 0 3 323.484 4.403 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(C)nc2N2CCCC2)cc1 ZINC000679724491 1046898444 /nfs/dbraw/zinc/89/84/44/1046898444.db2.gz YKPCVTAJBHMYDB-KRWDZBQOSA-N 0 3 323.484 4.403 20 0 DIADHN COc1ccc(CN2CCC[C@@H](OC(F)F)C2)c2ccccc12 ZINC000679740454 1046898959 /nfs/dbraw/zinc/89/89/59/1046898959.db2.gz NYYZMMPJXHMHGB-CQSZACIVSA-N 0 3 321.367 4.052 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC[C@H](OC(F)F)C1 ZINC000679741137 1046899206 /nfs/dbraw/zinc/89/92/06/1046899206.db2.gz VTAHLXSDICADBG-AWEZNQCLSA-N 0 3 321.367 4.052 20 0 DIADHN COc1ccccc1O[C@H]1CCN(Cc2coc3ccccc23)C1 ZINC001140785378 1046899503 /nfs/dbraw/zinc/89/95/03/1046899503.db2.gz BXNHTINFJDBWBH-INIZCTEOSA-N 0 3 323.392 4.095 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)Cc1cnc2cc[nH]cc1-2 ZINC001140806127 1046908250 /nfs/dbraw/zinc/90/82/50/1046908250.db2.gz VZCRBTWWBGZJAM-LJQANCHMSA-N 0 3 321.424 4.046 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)Cc1c[nH]c2ccncc12 ZINC001140806127 1046908263 /nfs/dbraw/zinc/90/82/63/1046908263.db2.gz VZCRBTWWBGZJAM-LJQANCHMSA-N 0 3 321.424 4.046 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2Cc2cnc3cc[nH]cc2-3)c1 ZINC001140805552 1046909580 /nfs/dbraw/zinc/90/95/80/1046909580.db2.gz IXIALAPKSSDPFV-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2Cc2c[nH]c3ccncc23)c1 ZINC001140805552 1046909587 /nfs/dbraw/zinc/90/95/87/1046909587.db2.gz IXIALAPKSSDPFV-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN COc1cc(CN[C@H](C)c2cccc(OC(F)F)c2)ccc1C ZINC000161788163 1046913560 /nfs/dbraw/zinc/91/35/60/1046913560.db2.gz XPWQVNQXBITKLK-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN CO[C@@H]1CCCN([C@H](C)c2ccc(Br)cc2)CC1 ZINC000679953287 1046916121 /nfs/dbraw/zinc/91/61/21/1046916121.db2.gz NWJUELKDDRTTRT-IUODEOHRSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@H]1CN(Cc2cc3ccccc3[nH]c2=O)[C@H]1c1ccccc1 ZINC000253551322 1046921774 /nfs/dbraw/zinc/92/17/74/1046921774.db2.gz OEMUJFGPNGBDBL-IFXJQAMLSA-N 0 3 304.393 4.133 20 0 DIADHN C[C@H]1COc2ccccc2CN1Cc1cccc2cnccc21 ZINC000680001187 1046927813 /nfs/dbraw/zinc/92/78/13/1046927813.db2.gz VRZNAGGWXGSQCE-HNNXBMFYSA-N 0 3 304.393 4.018 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2[nH]cc(C)c2C)C1 ZINC001140864109 1046934920 /nfs/dbraw/zinc/93/49/20/1046934920.db2.gz IHRIWUNMVHQDRI-OAHLLOKOSA-N 0 3 316.470 4.007 20 0 DIADHN CCN(Cc1cc2cnn(C(C)C)c2nc1Cl)CC(C)C ZINC000179301712 1046934998 /nfs/dbraw/zinc/93/49/98/1046934998.db2.gz ZUDBCPXXEVJICX-UHFFFAOYSA-N 0 3 308.857 4.144 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000162016737 1046935925 /nfs/dbraw/zinc/93/59/25/1046935925.db2.gz LOPTZIVNNLLDGN-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN Nc1cccc(F)c1CN1CCC2(CCCc3ccccc32)CC1 ZINC001140873017 1046937724 /nfs/dbraw/zinc/93/77/24/1046937724.db2.gz QCLUEDWZONYBCN-UHFFFAOYSA-N 0 3 324.443 4.278 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3noc4c3CCCC4)C2)c1 ZINC000680113193 1046949137 /nfs/dbraw/zinc/94/91/37/1046949137.db2.gz NTTWQPFYFUTJGZ-INIZCTEOSA-N 0 3 310.441 4.160 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@H]3C[C@H]32)cc1OCC1CC1 ZINC001140909509 1046949352 /nfs/dbraw/zinc/94/93/52/1046949352.db2.gz WWPRKQOXWCHPHV-LSDHHAIUSA-N 0 3 323.383 4.061 20 0 DIADHN Cc1ccccc1-n1cc(CNC(C)(C)c2ccccc2)cn1 ZINC000647176627 1046949921 /nfs/dbraw/zinc/94/99/21/1046949921.db2.gz RDTCFBNXMSRHGH-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000162290570 1046953777 /nfs/dbraw/zinc/95/37/77/1046953777.db2.gz ALFHBRKTNDEIQQ-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cnco1 ZINC001140934064 1046954263 /nfs/dbraw/zinc/95/42/63/1046954263.db2.gz RUNLOZNRERRZLU-UHFFFAOYSA-N 0 3 306.409 4.329 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2Cc2cnc(N)s2)cc1 ZINC000261882898 1046954656 /nfs/dbraw/zinc/95/46/56/1046954656.db2.gz RIOJIWGBJLGEEE-MRXNPFEDSA-N 0 3 301.459 4.186 20 0 DIADHN CCOC1CCN(Cc2cccn2-c2ccc(Cl)cc2)CC1 ZINC001140944973 1046957166 /nfs/dbraw/zinc/95/71/66/1046957166.db2.gz ZEFUHJIXWIHCJK-UHFFFAOYSA-N 0 3 318.848 4.132 20 0 DIADHN CN(Cc1c[nH]c2ncccc12)Cc1cccc(C(F)(F)F)c1 ZINC001137401875 1046958049 /nfs/dbraw/zinc/95/80/49/1046958049.db2.gz JZNKJCHIXIVMRU-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCCN(Cc1cnc(N)s1)[C@@H](C)c1cc2ccccc2o1 ZINC000262095434 1046958734 /nfs/dbraw/zinc/95/87/34/1046958734.db2.gz VCLCDVGBZFJNRZ-LBPRGKRZSA-N 0 3 315.442 4.445 20 0 DIADHN Clc1cccc(C2(NCc3cccc4nccn43)CCC2)c1 ZINC000647251719 1046960512 /nfs/dbraw/zinc/96/05/12/1046960512.db2.gz IEORJMLPMZMLLL-UHFFFAOYSA-N 0 3 311.816 4.157 20 0 DIADHN C[C@@H]1SCC[C@@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000647257389 1046962005 /nfs/dbraw/zinc/96/20/05/1046962005.db2.gz ASILRIHVKJFAKK-HZMBPMFUSA-N 0 3 324.902 4.447 20 0 DIADHN CSCCN[C@@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000611559977 1046962080 /nfs/dbraw/zinc/96/20/80/1046962080.db2.gz FIRWVHDFHBJDCI-INIZCTEOSA-N 0 3 323.408 4.330 20 0 DIADHN Cc1cc(CN2CCC(c3ccccc3C(F)(F)F)CC2)n[nH]1 ZINC001203082588 1046965277 /nfs/dbraw/zinc/96/52/77/1046965277.db2.gz VHRBTVQBHYQEIQ-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN C[C@H](CN[C@H](c1ccc(F)cc1)c1cccnc1)c1ccncc1 ZINC000680342456 1046968185 /nfs/dbraw/zinc/96/81/85/1046968185.db2.gz RDYYOGJLGZVUGW-FOIQADDNSA-N 0 3 321.399 4.098 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cc(F)c([O-])c(F)c2)cc1 ZINC001140989887 1046970152 /nfs/dbraw/zinc/97/01/52/1046970152.db2.gz FZQZJYRKDRZEOP-KRWDZBQOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cc(F)c([O-])c(F)c2)cc1 ZINC001140989887 1046970159 /nfs/dbraw/zinc/97/01/59/1046970159.db2.gz FZQZJYRKDRZEOP-KRWDZBQOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccc([C@@H]2CCC[N@H+]2Cc2cc(F)c([O-])c(F)c2)cc1 ZINC001140989887 1046970172 /nfs/dbraw/zinc/97/01/72/1046970172.db2.gz FZQZJYRKDRZEOP-KRWDZBQOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cc(F)c(O)c(F)c2)cc1 ZINC001140989887 1046970177 /nfs/dbraw/zinc/97/01/77/1046970177.db2.gz FZQZJYRKDRZEOP-KRWDZBQOSA-N 0 3 303.352 4.316 20 0 DIADHN COc1cc(F)cc(CN[C@H](CC(C)C)c2ccncc2)c1 ZINC000647295179 1046970058 /nfs/dbraw/zinc/97/00/58/1046970058.db2.gz BPJMKQSQWSZTAB-GOSISDBHSA-N 0 3 302.393 4.106 20 0 DIADHN CC[C@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccc(Cl)cc1 ZINC000680349886 1046970345 /nfs/dbraw/zinc/97/03/45/1046970345.db2.gz AEYXFSFEBFTJJM-AWEZNQCLSA-N 0 3 315.804 4.163 20 0 DIADHN COc1ccc([C@H](CC(C)C)NCc2cnn3ccccc23)cc1 ZINC000647303762 1046973875 /nfs/dbraw/zinc/97/38/75/1046973875.db2.gz LHYAVPJNLXNXET-IBGZPJMESA-N 0 3 323.440 4.220 20 0 DIADHN FC(F)C1CCN(Cc2ccc(-c3ccccn3)s2)CC1 ZINC001141001849 1046975343 /nfs/dbraw/zinc/97/53/43/1046975343.db2.gz DQNBBFVZQQRQPV-UHFFFAOYSA-N 0 3 308.397 4.287 20 0 DIADHN c1ccc(CC2(NCc3noc4c3CCCC4)CCCC2)cc1 ZINC000680433658 1046982725 /nfs/dbraw/zinc/98/27/25/1046982725.db2.gz VEPIWKDNWCWIEW-UHFFFAOYSA-N 0 3 310.441 4.199 20 0 DIADHN CC[C@H](C)C[C@H](CO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000647336636 1046982688 /nfs/dbraw/zinc/98/26/88/1046982688.db2.gz DIQRFDSZNYIRLK-OFQRWUPVSA-N 0 3 318.486 4.258 20 0 DIADHN CN(Cc1ccc2cccc(O)c2n1)[C@@H]1CCc2ccccc21 ZINC001141025905 1046982727 /nfs/dbraw/zinc/98/27/27/1046982727.db2.gz UTCCVKBBALDXNS-GOSISDBHSA-N 0 3 304.393 4.060 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(OC(F)(F)F)cc1 ZINC000237609105 1046983904 /nfs/dbraw/zinc/98/39/04/1046983904.db2.gz WNAHUHHRQOUVNW-NSHDSACASA-N 0 3 311.303 4.142 20 0 DIADHN Cc1ccncc1CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001141036313 1046987240 /nfs/dbraw/zinc/98/72/40/1046987240.db2.gz UDIZRIZPUNXPKL-GOSISDBHSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccnn1-c1ccccc1 ZINC000798932441 1046988895 /nfs/dbraw/zinc/98/88/95/1046988895.db2.gz UYLCEXXWRNMRMI-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1csc(-c2ccccc2F)n1 ZINC000647359495 1046992623 /nfs/dbraw/zinc/99/26/23/1046992623.db2.gz HWUZNJZWOQVZDE-MISXGVKJSA-N 0 3 322.474 4.494 20 0 DIADHN Cc1cc(CN2CCC[C@H](Oc3ccc(Cl)cc3)C2)ccn1 ZINC001137417017 1046993060 /nfs/dbraw/zinc/99/30/60/1046993060.db2.gz UUKFNWGDNMRZBP-SFHVURJKSA-N 0 3 316.832 4.087 20 0 DIADHN COc1ccc2nc(Cl)c(CN3CC4CCC3CC4)cc2c1 ZINC001141064602 1046996471 /nfs/dbraw/zinc/99/64/71/1046996471.db2.gz IYSMDPKVTNZVQZ-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CCCN(Cc1cnc(Cl)cn1)[C@@H](CC)c1ccccc1 ZINC000799117395 1047000079 /nfs/dbraw/zinc/00/00/79/1047000079.db2.gz KTQPGDMAHANBMU-INIZCTEOSA-N 0 3 303.837 4.493 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1cnc(Cl)cn1 ZINC000799120527 1047002132 /nfs/dbraw/zinc/00/21/32/1047002132.db2.gz XLUGQDFQKWGUHF-IRXDYDNUSA-N 0 3 315.848 4.288 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1CCC[C@@H]2C[C@@H]21 ZINC001141084869 1047002880 /nfs/dbraw/zinc/00/28/80/1047002880.db2.gz RHUGSHKHLYKWDT-XLIONFOSSA-N 0 3 323.436 4.259 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2c3cccc(F)c3CC[C@H]2C)c(C)n1 ZINC000269097742 1047003219 /nfs/dbraw/zinc/00/32/19/1047003219.db2.gz SGEJBRTXDXGKJZ-AGMRTUQYSA-N 0 3 313.420 4.207 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cnc(Cl)cn1)CC1CCC1 ZINC000799124994 1047004494 /nfs/dbraw/zinc/00/44/94/1047004494.db2.gz XPKNUJWQBQCYOU-CQSZACIVSA-N 0 3 315.848 4.493 20 0 DIADHN CC(C)=CCN(CC[C@@H]1CCOC1=O)Cc1ccc(Cl)cc1 ZINC000799199771 1047010991 /nfs/dbraw/zinc/01/09/91/1047010991.db2.gz HNJQRGADYBHBCM-MRXNPFEDSA-N 0 3 321.848 4.061 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@@H](O)c2ccc(Cl)cc2)s1 ZINC000303995501 1047012713 /nfs/dbraw/zinc/01/27/13/1047012713.db2.gz OYHGUMPKHUENLJ-XHDPSFHLSA-N 0 3 324.877 4.140 20 0 DIADHN F[C@@H]1CSC2(CN(Cc3ccccc3-c3cccs3)C2)C1 ZINC001141096538 1047014228 /nfs/dbraw/zinc/01/42/28/1047014228.db2.gz ICJBJRVVTIWSCL-AWEZNQCLSA-N 0 3 319.470 4.445 20 0 DIADHN CC(C)(C)c1cccc(CN2CC[C@H](Oc3ccncc3)C2)c1 ZINC001141106096 1047015963 /nfs/dbraw/zinc/01/59/63/1047015963.db2.gz NGRKHKRRKPGNPR-IBGZPJMESA-N 0 3 310.441 4.032 20 0 DIADHN CCOC(=O)C1(C)CCN(Cc2cccc(C(C)(C)C)c2)CC1 ZINC001141103672 1047016312 /nfs/dbraw/zinc/01/63/12/1047016312.db2.gz GHWWMLLPGNGYJG-UHFFFAOYSA-N 0 3 317.473 4.149 20 0 DIADHN C[C@H](NCCCNc1cccc(F)c1)c1ccncc1Cl ZINC000421845044 1047018412 /nfs/dbraw/zinc/01/84/12/1047018412.db2.gz VQPNWMSXDWSNQW-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN C[C@]1(CNCc2nc(-c3ccccc3F)cs2)CCCS1 ZINC000647913064 1047021331 /nfs/dbraw/zinc/02/13/31/1047021331.db2.gz MKILINGHBDKGFZ-MRXNPFEDSA-N 0 3 322.474 4.325 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@H](c2ccccn2)C1 ZINC000647951092 1047023191 /nfs/dbraw/zinc/02/31/91/1047023191.db2.gz LVLJJVLNEDYGJY-HNNXBMFYSA-N 0 3 316.445 4.192 20 0 DIADHN COc1ccc2nccc(CN3CCc4ccccc4[C@H]3C)c2c1 ZINC001141147077 1047026035 /nfs/dbraw/zinc/02/60/35/1047026035.db2.gz QNOMVQJVSSOJSN-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN CCCCc1oc2ccccc2c1CNC[C@@H](O)c1ccco1 ZINC000097287542 1047028896 /nfs/dbraw/zinc/02/88/96/1047028896.db2.gz IUQVQAYOHRFRFN-MRXNPFEDSA-N 0 3 313.397 4.192 20 0 DIADHN Cc1ccc(CN(Cc2nccc3ccccc32)CC2CC2)cn1 ZINC000648057749 1047032261 /nfs/dbraw/zinc/03/22/61/1047032261.db2.gz NAWGRJCOECCQMC-UHFFFAOYSA-N 0 3 317.436 4.350 20 0 DIADHN Clc1ncccc1CN1CCC2(CCc3ccccc32)CC1 ZINC001137420908 1047033285 /nfs/dbraw/zinc/03/32/85/1047033285.db2.gz CFTDAOWWSAAKRL-UHFFFAOYSA-N 0 3 312.844 4.215 20 0 DIADHN CN(C/C=C/c1ccccc1)Cc1ccc(-c2ncccn2)cc1 ZINC001141187101 1047040665 /nfs/dbraw/zinc/04/06/65/1047040665.db2.gz APJYKHLRCGGZDK-WEVVVXLNSA-N 0 3 315.420 4.289 20 0 DIADHN C[C@H](CNCc1cscn1)c1ccc(C(F)(F)F)cc1 ZINC000191156817 1047044277 /nfs/dbraw/zinc/04/42/77/1047044277.db2.gz KWUFRIBQZPGONI-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN CC1(F)CCN(Cc2cccc(-c3ccc(F)cc3)n2)CC1 ZINC001141206101 1047045207 /nfs/dbraw/zinc/04/52/07/1047045207.db2.gz SQFMMZODZBJVSX-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN C[C@H]1SCCN(Cc2ccc3c[nH]nc3c2)[C@H]1c1ccccc1 ZINC000536660433 1047049721 /nfs/dbraw/zinc/04/97/21/1047049721.db2.gz IXHFURJFIVOKKY-AUUYWEPGSA-N 0 3 323.465 4.242 20 0 DIADHN COc1cc(CN(C)Cc2ccc(Cl)cc2C)cc(OC)c1 ZINC001141231354 1047055183 /nfs/dbraw/zinc/05/51/83/1047055183.db2.gz LAYVGZPIEZOALA-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2c(OC)cccc21 ZINC000799717522 1047055693 /nfs/dbraw/zinc/05/56/93/1047055693.db2.gz PEEHYODMRPDRIY-LJQANCHMSA-N 0 3 324.468 4.319 20 0 DIADHN CCc1ccccc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001141227755 1047056058 /nfs/dbraw/zinc/05/60/58/1047056058.db2.gz HQQCYQRASWCZLZ-UHFFFAOYSA-N 0 3 307.437 4.219 20 0 DIADHN Cc1n[nH]cc1CN1C[C@H](C)C[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000536663634 1047056463 /nfs/dbraw/zinc/05/64/63/1047056463.db2.gz RHTJSLOLSBKDIQ-BZNIZROVSA-N 0 3 323.362 4.320 20 0 DIADHN COC(=O)c1cccc(CN(C)Cc2ccc(Cl)cc2C)c1 ZINC001141233967 1047058249 /nfs/dbraw/zinc/05/82/49/1047058249.db2.gz ZEYGZQRESSAABJ-UHFFFAOYSA-N 0 3 317.816 4.067 20 0 DIADHN Cc1n[nH]cc1CN1C[C@H](C)C[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000536663633 1047058691 /nfs/dbraw/zinc/05/86/91/1047058691.db2.gz RHTJSLOLSBKDIQ-BDJLRTHQSA-N 0 3 323.362 4.320 20 0 DIADHN Cc1cc(CN(C)CCC(=O)c2ccccc2)ccc1Cl ZINC001141236083 1047059025 /nfs/dbraw/zinc/05/90/25/1047059025.db2.gz IQOICAUZALEZBU-UHFFFAOYSA-N 0 3 301.817 4.353 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)[C@@H](C)C1 ZINC000799738171 1047061715 /nfs/dbraw/zinc/06/17/15/1047061715.db2.gz SRUHSVFULQVNNP-AAEUAGOBSA-N 0 3 319.392 4.298 20 0 DIADHN COC[C@H]1CCCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000536673211 1047064963 /nfs/dbraw/zinc/06/49/63/1047064963.db2.gz AMIZXCVIEQVYIC-AWEZNQCLSA-N 0 3 308.491 4.049 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC1 ZINC000536682367 1047065437 /nfs/dbraw/zinc/06/54/37/1047065437.db2.gz KCBOJURNALQVOJ-UHFFFAOYSA-N 0 3 319.832 4.337 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@H](Cc2ccncc2)C1 ZINC000680896914 1047065855 /nfs/dbraw/zinc/06/58/55/1047065855.db2.gz NRQSLZLGRJZZOA-GOSISDBHSA-N 0 3 317.436 4.316 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@H](OC(F)F)C1 ZINC000680897434 1047066079 /nfs/dbraw/zinc/06/60/79/1047066079.db2.gz VXHOLQUOVAXQBK-ZDUSSCGKSA-N 0 3 306.356 4.060 20 0 DIADHN CN(C)c1cccc(CN2CCSC[C@H]2c2ccccc2)c1 ZINC001141266392 1047068324 /nfs/dbraw/zinc/06/83/24/1047068324.db2.gz OTNXBTUKSWHRHL-IBGZPJMESA-N 0 3 312.482 4.043 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@H](C3CC3)C2)cc1Cl ZINC000536696858 1047077014 /nfs/dbraw/zinc/07/70/14/1047077014.db2.gz PKWVOQRUHXJHSD-LBPRGKRZSA-N 0 3 301.764 4.173 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccccc2Cl)[C@H]1c1ccccc1 ZINC000799997770 1047080434 /nfs/dbraw/zinc/08/04/34/1047080434.db2.gz UIRPSQMGXMQLLX-JEBQAFNWSA-N 0 3 301.817 4.066 20 0 DIADHN CCOc1ccc(CN2CCC(OCC3CCCC3)CC2)cc1 ZINC000536708954 1047083208 /nfs/dbraw/zinc/08/32/08/1047083208.db2.gz DYEHBDIKIQRCMB-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN COc1cccc([C@H]2CCCN2C[C@H]2CC2(Cl)Cl)c1 ZINC001115823617 1047084566 /nfs/dbraw/zinc/08/45/66/1047084566.db2.gz KZKSDLAPTITHCA-TZMCWYRMSA-N 0 3 300.229 4.026 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141317354 1047086932 /nfs/dbraw/zinc/08/69/32/1047086932.db2.gz WHMXSXNOXXMMLH-MRXNPFEDSA-N 0 3 313.372 4.029 20 0 DIADHN C[C@@H]1CN(Cc2ccc(Oc3ccc(F)cc3)cc2)CCCO1 ZINC001141314897 1047086999 /nfs/dbraw/zinc/08/69/99/1047086999.db2.gz HVIFGAZHICZDRV-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141316904 1047088081 /nfs/dbraw/zinc/08/80/81/1047088081.db2.gz BRLCETTXIDXVJZ-AWEZNQCLSA-N 0 3 315.388 4.085 20 0 DIADHN COc1ccsc1CN1CCC[C@H](Oc2ccc(C)cc2)C1 ZINC001141326917 1047092126 /nfs/dbraw/zinc/09/21/26/1047092126.db2.gz WSDIMWSNODJUOO-INIZCTEOSA-N 0 3 317.454 4.109 20 0 DIADHN COc1ccsc1CN1CCC[C@@H](Oc2ccc(C)cc2)C1 ZINC001141326918 1047093590 /nfs/dbraw/zinc/09/35/90/1047093590.db2.gz WSDIMWSNODJUOO-MRXNPFEDSA-N 0 3 317.454 4.109 20 0 DIADHN Cc1ncc(CN2CC[C@H](c3cc(C)c(Cl)cc3O)C2)s1 ZINC000827506206 1047093634 /nfs/dbraw/zinc/09/36/34/1047093634.db2.gz DPTXAEBFFLKBBJ-LBPRGKRZSA-N 0 3 322.861 4.108 20 0 DIADHN CC1(C)CCC(NCC(=O)c2cccc(Br)c2)CC1 ZINC001255295643 1047101253 /nfs/dbraw/zinc/10/12/53/1047101253.db2.gz LFYNZCYLQWVSGV-UHFFFAOYSA-N 0 3 324.262 4.190 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cnccc3C)ccc2c1 ZINC000536776937 1047101606 /nfs/dbraw/zinc/10/16/06/1047101606.db2.gz OARULQXEQIXXBR-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cccc(N(C)C)n1)CCC2 ZINC000536829228 1047103214 /nfs/dbraw/zinc/10/32/14/1047103214.db2.gz XELMEUKDYFJZHQ-FQEVSTJZSA-N 0 3 323.484 4.438 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000536834890 1047105940 /nfs/dbraw/zinc/10/59/40/1047105940.db2.gz SLSTWMQKWSJPMZ-RTBURBONSA-N 0 3 315.844 4.213 20 0 DIADHN Cn1cc(CN[C@@H](CC2CC2)c2ccccc2)c(C(F)(F)F)n1 ZINC000536837903 1047106367 /nfs/dbraw/zinc/10/63/67/1047106367.db2.gz IXNDAJSMSBZQRQ-HNNXBMFYSA-N 0 3 323.362 4.070 20 0 DIADHN CCOC[C@@H](C)N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000536851064 1047111125 /nfs/dbraw/zinc/11/11/25/1047111125.db2.gz IIPXOSFTNOLLDD-RDTXWAMCSA-N 0 3 303.833 4.444 20 0 DIADHN C[C@H](NCCOc1ccc(C(C)(C)C)cc1)c1cncc(F)c1 ZINC000536863089 1047115060 /nfs/dbraw/zinc/11/50/60/1047115060.db2.gz LQLFZQWVCMNEMQ-AWEZNQCLSA-N 0 3 316.420 4.248 20 0 DIADHN Fc1cc(CN2CC[C@H](C3CCCCC3)C2)c2c(c1)COCO2 ZINC001141398238 1047117858 /nfs/dbraw/zinc/11/78/58/1047117858.db2.gz YMXYWKOQNYPMHE-HNNXBMFYSA-N 0 3 319.420 4.094 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000536875080 1047117919 /nfs/dbraw/zinc/11/79/19/1047117919.db2.gz GUCXDENTAYTGIP-FQEVSTJZSA-N 0 3 308.425 4.276 20 0 DIADHN Cc1c([C@H](C)NCCCC(C)(C)C)nnn1-c1ccccc1 ZINC000536879941 1047120268 /nfs/dbraw/zinc/12/02/68/1047120268.db2.gz VKUMMEPWNYVRMP-AWEZNQCLSA-N 0 3 300.450 4.053 20 0 DIADHN Cc1ccc(CN[C@H](CN2CCCCC2)c2ccccc2)c(C)n1 ZINC000681409949 1047122694 /nfs/dbraw/zinc/12/26/94/1047122694.db2.gz IUHYXSFOOQEZDX-OAQYLSRUSA-N 0 3 323.484 4.015 20 0 DIADHN CCc1ccc2nccc(N3CCC(c4c[nH]nc4C)CC3)c2c1 ZINC000649359705 1047123658 /nfs/dbraw/zinc/12/36/58/1047123658.db2.gz FVHIPJBOJPCDJU-UHFFFAOYSA-N 0 3 320.440 4.213 20 0 DIADHN c1ccc(C2CCN(Cc3ccccc3OC3CC3)CC2)nc1 ZINC000649395797 1047124968 /nfs/dbraw/zinc/12/49/68/1047124968.db2.gz RFESEIXCHOXXJU-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN C(=C/c1ccccc1)\CCN1CC(c2nc3ccccc3[nH]2)C1 ZINC000649387240 1047125277 /nfs/dbraw/zinc/12/52/77/1047125277.db2.gz GBTNIESDQJUGHU-UXBLZVDNSA-N 0 3 303.409 4.066 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(F)F)cc1)c1cccnc1 ZINC000536951936 1047126615 /nfs/dbraw/zinc/12/66/15/1047126615.db2.gz XDPUCILVBBXBCT-MRXNPFEDSA-N 0 3 306.356 4.170 20 0 DIADHN C[C@H](NCc1ccc(NC(=O)C2CC2)cc1)c1ccc(F)cc1 ZINC001116292728 1047127967 /nfs/dbraw/zinc/12/79/67/1047127967.db2.gz CKFIWPJLAXTVQZ-ZDUSSCGKSA-N 0 3 312.388 4.025 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@@H]2CCOc3ccccc32)cs1 ZINC000681495368 1047128854 /nfs/dbraw/zinc/12/88/54/1047128854.db2.gz YGQFEJBKDZTKIP-WMLDXEAASA-N 0 3 314.454 4.155 20 0 DIADHN COC[C@H](N[C@H](C)Cc1ccc(Cl)cc1)c1ccc(C)o1 ZINC000537013812 1047130414 /nfs/dbraw/zinc/13/04/14/1047130414.db2.gz RQRZSMAZKAIPIM-WBMJQRKESA-N 0 3 307.821 4.150 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc12)c1ccc2ncsc2c1 ZINC000681595034 1047133197 /nfs/dbraw/zinc/13/31/97/1047133197.db2.gz JZPQQPAJVNWGHY-NSHDSACASA-N 0 3 308.410 4.023 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1ccc2ncsc2c1 ZINC000681595034 1047133203 /nfs/dbraw/zinc/13/32/03/1047133203.db2.gz JZPQQPAJVNWGHY-NSHDSACASA-N 0 3 308.410 4.023 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)N[C@@H](C)c1ccccc1)C(C)C ZINC000537059980 1047133960 /nfs/dbraw/zinc/13/39/60/1047133960.db2.gz IQJHFUQUDHCNDU-UWJYYQICSA-N 0 3 324.468 4.159 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)C(C[C@@H]1CCCO1)C(F)(F)F ZINC000649477517 1047135817 /nfs/dbraw/zinc/13/58/17/1047135817.db2.gz SKHNYUDHDLHMRP-NWDGAFQWSA-N 0 3 321.726 4.026 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)C(C[C@H]1CCCO1)C(F)(F)F ZINC000649477516 1047135953 /nfs/dbraw/zinc/13/59/53/1047135953.db2.gz SKHNYUDHDLHMRP-NEPJUHHUSA-N 0 3 321.726 4.026 20 0 DIADHN CCC[C@@H](NC(=O)[C@H](C)N[C@H](C)c1ccccc1)c1ccccc1 ZINC000537101121 1047136876 /nfs/dbraw/zinc/13/68/76/1047136876.db2.gz ZTJAKZBQBLMXAR-FUHIMQAGSA-N 0 3 324.468 4.383 20 0 DIADHN C[C@@H](CNC(C)(C)c1ccccc1Br)C(F)(F)F ZINC000537125055 1047138418 /nfs/dbraw/zinc/13/84/18/1047138418.db2.gz SWNXWMLHBORLOQ-VIFPVBQESA-N 0 3 324.184 4.472 20 0 DIADHN CCCCC[C@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001141462314 1047140269 /nfs/dbraw/zinc/14/02/69/1047140269.db2.gz JVOCVDGDJJAKCE-KRWDZBQOSA-N 0 3 316.489 4.371 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2)c1 ZINC000537115790 1047140502 /nfs/dbraw/zinc/14/05/02/1047140502.db2.gz RJVFSJHAZPJNNT-ROUUACIJSA-N 0 3 324.468 4.001 20 0 DIADHN C[C@H](NC[C@@H](O)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000537114060 1047140558 /nfs/dbraw/zinc/14/05/58/1047140558.db2.gz XOMVUWNHNQPQGJ-JSGCOSHPSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cncc(CCN2CCCC[C@@H]2c2cc3ccccc3[nH]2)n1 ZINC000649559896 1047142525 /nfs/dbraw/zinc/14/25/25/1047142525.db2.gz WKQMUVAYWDFICR-HXUWFJFHSA-N 0 3 320.440 4.036 20 0 DIADHN Cc1ccc2cc(CN3CC[C@H](F)C(F)(F)CC3)ccc2n1 ZINC001141473074 1047144127 /nfs/dbraw/zinc/14/41/27/1047144127.db2.gz ZELDNEOESVBKRK-INIZCTEOSA-N 0 3 308.347 4.112 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@H]2CC2(Cl)Cl)cc1 ZINC000838504798 1047144978 /nfs/dbraw/zinc/14/49/78/1047144978.db2.gz XVGVGKBBNLPPQV-TZMCWYRMSA-N 0 3 300.229 4.026 20 0 DIADHN CC[C@@H](OCCN1CCC[C@H]1c1cccnc1)c1ccccc1 ZINC000649569280 1047145578 /nfs/dbraw/zinc/14/55/78/1047145578.db2.gz GDSZQQVPPANIFN-VQTJNVASSA-N 0 3 310.441 4.386 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccc3nc(C)ccc3c1)C2 ZINC001141485022 1047146747 /nfs/dbraw/zinc/14/67/47/1047146747.db2.gz LLTQRCNICLRPCN-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN CC[C@@H](NCc1cnc(C(F)(F)F)nc1)c1ccc(C)cc1 ZINC000649574039 1047147272 /nfs/dbraw/zinc/14/72/72/1047147272.db2.gz YTFOMYWSVLLKCM-CQSZACIVSA-N 0 3 309.335 4.045 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2cc(C)ccc2Cl)o1 ZINC000827673059 1047148890 /nfs/dbraw/zinc/14/88/90/1047148890.db2.gz OSMNBZHBSHHWFT-UHFFFAOYSA-N 0 3 321.804 4.302 20 0 DIADHN COc1cc(-c2nc(CN(C(C)C)C(C)C)cs2)ccc1O ZINC001116505923 1047149841 /nfs/dbraw/zinc/14/98/41/1047149841.db2.gz WMFRUFIVCYDERV-UHFFFAOYSA-N 0 3 320.458 4.143 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H](C)C[C@H](O)c1ccco1 ZINC000305715121 1047152088 /nfs/dbraw/zinc/15/20/88/1047152088.db2.gz GSFHEZAPWZVZFN-HUBLWGQQSA-N 0 3 323.820 4.104 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H](C)C[C@@H](O)c1ccco1 ZINC000305715122 1047152175 /nfs/dbraw/zinc/15/21/75/1047152175.db2.gz GSFHEZAPWZVZFN-SLEUVZQESA-N 0 3 323.820 4.104 20 0 DIADHN Cc1nnsc1CN(C)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000649629949 1047155971 /nfs/dbraw/zinc/15/59/71/1047155971.db2.gz YMHBUHUVXAUEEA-CVEARBPZSA-N 0 3 301.459 4.005 20 0 DIADHN CCN(C(=O)CN[C@@H](c1ccccc1C)C1CC1)c1ccccc1 ZINC000537292544 1047159934 /nfs/dbraw/zinc/15/99/34/1047159934.db2.gz WDYNXMUUKGYLCF-OAQYLSRUSA-N 0 3 322.452 4.089 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H](C)CSC(C)(C)C)CCC2 ZINC001116629139 1047163733 /nfs/dbraw/zinc/16/37/33/1047163733.db2.gz JMFSWEXBZGDOGH-DZGCQCFKSA-N 0 3 309.523 4.351 20 0 DIADHN CC(C)(CF)NCc1ccc(OCc2cccc(F)c2)cc1 ZINC001116626760 1047163770 /nfs/dbraw/zinc/16/37/70/1047163770.db2.gz DEBRZASKIBWKSO-UHFFFAOYSA-N 0 3 305.368 4.242 20 0 DIADHN Cc1cccc2nc(C3CCN([C@H](C)c4ccncc4)CC3)oc21 ZINC000682043244 1047164885 /nfs/dbraw/zinc/16/48/85/1047164885.db2.gz KLIHVTJQKKHFDH-OAHLLOKOSA-N 0 3 321.424 4.472 20 0 DIADHN CC(C)[C@@H](NC[C@](C)(O)C(F)(F)F)c1cc2ccccc2o1 ZINC000537359152 1047176255 /nfs/dbraw/zinc/17/62/55/1047176255.db2.gz VIPNSOUAGSWNRQ-CABCVRRESA-N 0 3 315.335 4.033 20 0 DIADHN CC(C)CCCN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000682152014 1047177244 /nfs/dbraw/zinc/17/72/44/1047177244.db2.gz MXWXTYVTXYXPPJ-GHMZBOCLSA-N 0 3 305.306 4.485 20 0 DIADHN Cc1noc(C)c1CCN(C)Cc1oc2c(cccc2C)c1C ZINC000649819920 1047180097 /nfs/dbraw/zinc/18/00/97/1047180097.db2.gz MITNARKNNXRZEO-UHFFFAOYSA-N 0 3 312.413 4.329 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@@H]1c3ccccc3C[C@@H]1O)CCC2 ZINC000537401606 1047180144 /nfs/dbraw/zinc/18/01/44/1047180144.db2.gz XTKDNTLPXCRDPH-BHIFYINESA-N 0 3 321.464 4.435 20 0 DIADHN CC(C)(NCc1nc(-c2ccccc2F)no1)C1CCCCC1 ZINC001116822532 1047180184 /nfs/dbraw/zinc/18/01/84/1047180184.db2.gz LXECAGMLZHAVKL-UHFFFAOYSA-N 0 3 317.408 4.324 20 0 DIADHN COc1ccc(CNC2(c3ccc(C(F)(F)F)cc3)CC2)cc1 ZINC000649885919 1047184389 /nfs/dbraw/zinc/18/43/89/1047184389.db2.gz DVCKXNRSDXLZHH-UHFFFAOYSA-N 0 3 321.342 4.493 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cnc(-c3ccccc3)s1)C2 ZINC000649900950 1047187472 /nfs/dbraw/zinc/18/74/72/1047187472.db2.gz CHGQCNPKINAOME-OAHLLOKOSA-N 0 3 306.381 4.041 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cc(Cl)cc3cccnc31)C2 ZINC000649903459 1047188119 /nfs/dbraw/zinc/18/81/19/1047188119.db2.gz NSNKYPYZRPMCKS-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1c(Cl)ccc3cccnc31)C2 ZINC000649903520 1047189027 /nfs/dbraw/zinc/18/90/27/1047189027.db2.gz PNHFSDYNPXWLBB-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccccc1Oc1cccnc1)C2 ZINC000649905062 1047189313 /nfs/dbraw/zinc/18/93/13/1047189313.db2.gz SFLIURCUWJIMRE-QGZVFWFLSA-N 0 3 316.351 4.105 20 0 DIADHN Cc1cccc2nc(C3CCN(Cc4ccc(O)cc4)CC3)oc21 ZINC000682406760 1047192709 /nfs/dbraw/zinc/19/27/09/1047192709.db2.gz LDGNQFBBISQUMZ-UHFFFAOYSA-N 0 3 322.408 4.221 20 0 DIADHN Cc1ccccc1[C@H](O)C1CCN(Cc2cccs2)CC1 ZINC000796405993 1047198552 /nfs/dbraw/zinc/19/85/52/1047198552.db2.gz IUOWVDCKYQOTHI-GOSISDBHSA-N 0 3 301.455 4.002 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1ccc(Cl)nc1Cl ZINC000839142052 1047198997 /nfs/dbraw/zinc/19/89/97/1047198997.db2.gz AAUXHDHCVIHPSP-LBPRGKRZSA-N 0 3 324.255 4.284 20 0 DIADHN CN(Cc1c[nH]c2cc(Cl)ccc12)Cc1cccc(O)c1 ZINC001141579986 1047202387 /nfs/dbraw/zinc/20/23/87/1047202387.db2.gz SWDIQGOIDZUGOD-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]c3cc(Cl)ccc23)c1 ZINC001141583888 1047203972 /nfs/dbraw/zinc/20/39/72/1047203972.db2.gz WSJKYFJIAZHXST-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN Clc1ccc2c(CN3CCc4ccsc4C3)c[nH]c2c1 ZINC001141586272 1047205130 /nfs/dbraw/zinc/20/51/30/1047205130.db2.gz ZIXKZQBSNIQLEL-UHFFFAOYSA-N 0 3 302.830 4.441 20 0 DIADHN Cc1cc(CNC(C)(C)Cc2ccc(C(F)(F)F)cc2)on1 ZINC000527193716 1047205534 /nfs/dbraw/zinc/20/55/34/1047205534.db2.gz KNHUIAAIAPKPQT-UHFFFAOYSA-N 0 3 312.335 4.113 20 0 DIADHN FC(F)Oc1ccccc1CCNCc1cscc1Cl ZINC000682616337 1047208353 /nfs/dbraw/zinc/20/83/53/1047208353.db2.gz XNNUIQRUOXWERQ-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnn3ccccc23)cc1 ZINC000729686642 1047213044 /nfs/dbraw/zinc/21/30/44/1047213044.db2.gz QKGWXXMQOOPCHH-UHFFFAOYSA-N 0 3 307.441 4.483 20 0 DIADHN COc1ccc(CNCc2cnc(C)cc2C)c2ccccc12 ZINC000397110439 1047214989 /nfs/dbraw/zinc/21/49/89/1047214989.db2.gz SOZKGTGMRFSVJP-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CC(C)(C)Cc1nnc(C2CCN(Cc3ccccc3)CC2)o1 ZINC001117241824 1047217068 /nfs/dbraw/zinc/21/70/68/1047217068.db2.gz MCOGHZFCWYRSBE-UHFFFAOYSA-N 0 3 313.445 4.038 20 0 DIADHN Cc1ccccc1[C@@H](O)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000796418691 1047225850 /nfs/dbraw/zinc/22/58/50/1047225850.db2.gz PATAGDIJOKXNDO-NDEHPHGNSA-N 0 3 321.464 4.454 20 0 DIADHN CCC(CC)[C@@H](NCc1c(C)cnn1COC)c1cccs1 ZINC001117660704 1047235697 /nfs/dbraw/zinc/23/56/97/1047235697.db2.gz BKFFEAUBOBUWGJ-QGZVFWFLSA-N 0 3 321.490 4.124 20 0 DIADHN O=C(c1cccc(CN2CCCCC2)c1)N1CCCCCCC1 ZINC000839462148 1047236775 /nfs/dbraw/zinc/23/67/75/1047236775.db2.gz CSFLLCWSLLPFBE-UHFFFAOYSA-N 0 3 314.473 4.079 20 0 DIADHN CC(C)(NCc1cccc(C(=O)C(F)(F)F)c1)c1ccccc1 ZINC000839481215 1047240968 /nfs/dbraw/zinc/24/09/68/1047240968.db2.gz WYORXNGJMURIRP-UHFFFAOYSA-N 0 3 321.342 4.457 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc2cccnc2c1 ZINC000682950593 1047243458 /nfs/dbraw/zinc/24/34/58/1047243458.db2.gz ASUXXDFPELUGMM-SFHVURJKSA-N 0 3 304.393 4.108 20 0 DIADHN C[C@H](NCC[C@H](O)c1ccccc1)c1nc2ccccc2s1 ZINC000839551503 1047245400 /nfs/dbraw/zinc/24/54/00/1047245400.db2.gz DZFVCCPZDGOUTR-BBRMVZONSA-N 0 3 312.438 4.071 20 0 DIADHN CC[C@H](C)Oc1cc(CN2CCSCC[C@H]2C)ccc1OC ZINC000683000971 1047250475 /nfs/dbraw/zinc/25/04/75/1047250475.db2.gz KLWLLMMWFOCXKU-CABCVRRESA-N 0 3 323.502 4.200 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cc2ccccc2nc1Cl)C1CC1 ZINC000839639101 1047252067 /nfs/dbraw/zinc/25/20/67/1047252067.db2.gz LVSRGIIGYULJJL-KPZWWZAWSA-N 0 3 318.848 4.181 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2ccc(-c3ncco3)cc2F)C1 ZINC001117993421 1047253005 /nfs/dbraw/zinc/25/30/05/1047253005.db2.gz VQBFKWHOIIZBAN-GJZGRUSLSA-N 0 3 320.433 4.197 20 0 DIADHN Cc1ccnc(CN[C@H](COc2ccccc2F)C(C)(C)C)c1 ZINC000683020975 1047255248 /nfs/dbraw/zinc/25/52/48/1047255248.db2.gz ZWJMMJJZQOBZCP-GOSISDBHSA-N 0 3 316.420 4.112 20 0 DIADHN Cc1ccnc(CN2CCC[C@H](c3nc4ccccc4s3)C2)c1 ZINC000683013553 1047256059 /nfs/dbraw/zinc/25/60/59/1047256059.db2.gz IGTAPAHOOAONRH-HNNXBMFYSA-N 0 3 323.465 4.379 20 0 DIADHN COc1ccccc1OCCN(C)Cc1cccc(C(F)F)c1 ZINC000470722706 1047268199 /nfs/dbraw/zinc/26/81/99/1047268199.db2.gz LDXZNHIQEGCTAM-UHFFFAOYSA-N 0 3 321.367 4.144 20 0 DIADHN c1coc([C@H](N[C@@H]2CCc3cccnc3CC2)c2ccccc2)c1 ZINC000421819894 1047268523 /nfs/dbraw/zinc/26/85/23/1047268523.db2.gz JMUCNFDDHDSVNW-WIYYLYMNSA-N 0 3 318.420 4.301 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(C2CCOCC2)CC1 ZINC001118182579 1047271765 /nfs/dbraw/zinc/27/17/65/1047271765.db2.gz VZAKYQBRPZGFHX-UHFFFAOYSA-N 0 3 310.441 4.186 20 0 DIADHN C[C@H](NC1CC(CF)(CF)C1)c1nc(C(C)(C)C)cs1 ZINC001118487508 1047298722 /nfs/dbraw/zinc/29/87/22/1047298722.db2.gz SEPFWDXDFZJECT-JTQLQIEISA-N 0 3 302.434 4.179 20 0 DIADHN C[C@@H](Cc1cccnc1)NCc1csc(Cl)c1Cl ZINC000897967573 1047305244 /nfs/dbraw/zinc/30/52/44/1047305244.db2.gz TWQRZDXXCDCOJG-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN CC(=O)C1CCN(Cc2ccc3c(c2)Cc2ccccc2-3)CC1 ZINC001141740010 1047282120 /nfs/dbraw/zinc/28/21/20/1047282120.db2.gz QRDVPBLZGDXBIZ-UHFFFAOYSA-N 0 3 305.421 4.059 20 0 DIADHN COC(=O)C(C)(C)[C@@H](NCc1csc(C)c1)c1ccccc1 ZINC001118368546 1047284379 /nfs/dbraw/zinc/28/43/79/1047284379.db2.gz NIYHMVALQJHASO-INIZCTEOSA-N 0 3 317.454 4.087 20 0 DIADHN c1nc(CN[C@@H](CCCc2ccccc2)c2ccccc2)c[nH]1 ZINC000796441188 1047285167 /nfs/dbraw/zinc/28/51/67/1047285167.db2.gz HSELICCKOSXUBX-FQEVSTJZSA-N 0 3 305.425 4.264 20 0 DIADHN c1ncc(CN[C@@H](CCCc2ccccc2)c2ccccc2)[nH]1 ZINC000796441188 1047285173 /nfs/dbraw/zinc/28/51/73/1047285173.db2.gz HSELICCKOSXUBX-FQEVSTJZSA-N 0 3 305.425 4.264 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2ccc(O)c(F)c2)c1 ZINC000389233197 1047289808 /nfs/dbraw/zinc/28/98/08/1047289808.db2.gz JAANBVURYUZKEI-ZDUSSCGKSA-N 0 3 303.377 4.169 20 0 DIADHN CC(C)Oc1cccc([C@H](C)[NH2+]Cc2ccc([O-])c(F)c2)c1 ZINC000389233197 1047289823 /nfs/dbraw/zinc/28/98/23/1047289823.db2.gz JAANBVURYUZKEI-ZDUSSCGKSA-N 0 3 303.377 4.169 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccc(Cl)cn3)C2)c(F)c1 ZINC000897881887 1047295391 /nfs/dbraw/zinc/29/53/91/1047295391.db2.gz DUKSBUOCNGEPSG-YPFXGUDJSA-N 0 3 308.759 4.049 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1CN(C)C)c1ccc(Cl)cc1 ZINC000651090788 1047295549 /nfs/dbraw/zinc/29/55/49/1047295549.db2.gz FFUZWODWBRSHAZ-CYBMUJFWSA-N 0 3 316.832 4.144 20 0 DIADHN Fc1ccc2occ(CN3CCC(Cc4ccncc4)CC3)c2c1 ZINC001141856487 1047300695 /nfs/dbraw/zinc/30/06/95/1047300695.db2.gz GZOIFLMJYKDEQS-UHFFFAOYSA-N 0 3 324.399 4.422 20 0 DIADHN CCC[C@@](C)(NCc1cnc2onc(C)c2c1)c1ccccc1 ZINC000897926422 1047303110 /nfs/dbraw/zinc/30/31/10/1047303110.db2.gz XJMBJPHSNWTFMF-LJQANCHMSA-N 0 3 309.413 4.336 20 0 DIADHN C[C@@H](NC[C@@H]1Cc2ccccc21)c1ncc(-c2ccccc2)n1C ZINC000651218715 1047303499 /nfs/dbraw/zinc/30/34/99/1047303499.db2.gz HGBZTDRTSGDBPB-QAPCUYQASA-N 0 3 317.436 4.078 20 0 DIADHN COC[C@@H](N[C@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000651237021 1047304444 /nfs/dbraw/zinc/30/44/44/1047304444.db2.gz HJLGKICYLSBPTH-DNVCBOLYSA-N 0 3 314.429 4.196 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccccc1)C(C)(C)C ZINC001141875583 1047304735 /nfs/dbraw/zinc/30/47/35/1047304735.db2.gz AAISQYGSKKRMSK-IBGZPJMESA-N 0 3 318.505 4.402 20 0 DIADHN C[C@@H]1C[C@H](NCCc2ccc(-c3ccccc3)cc2)c2nccn21 ZINC000651271452 1047308302 /nfs/dbraw/zinc/30/83/02/1047308302.db2.gz NQAPFISCHJVGCH-UZLBHIALSA-N 0 3 317.436 4.388 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2c(c1)ncn2C)C(C)(C)C ZINC000897996180 1047310656 /nfs/dbraw/zinc/31/06/56/1047310656.db2.gz XXXIBOAFZHVTOM-LJQANCHMSA-N 0 3 322.456 4.154 20 0 DIADHN Clc1cc(CNC[C@]23C[C@H]2COC32CCC2)c(Cl)s1 ZINC000898063285 1047314345 /nfs/dbraw/zinc/31/43/45/1047314345.db2.gz WUHXDQILMWVJRF-GXFFZTMASA-N 0 3 318.269 4.104 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@H](N(C)C(=O)OC(C)(C)C)C1 ZINC000725814924 1047315627 /nfs/dbraw/zinc/31/56/27/1047315627.db2.gz XGDWHJFFHDPSSS-WBVHZDCISA-N 0 3 318.461 4.079 20 0 DIADHN CC[C@H](NCc1cccnc1C(F)(F)F)c1ccc(F)cc1 ZINC001118872602 1047315955 /nfs/dbraw/zinc/31/59/55/1047315955.db2.gz DACPYUJIYQIBCQ-AWEZNQCLSA-N 0 3 312.310 4.480 20 0 DIADHN CC(C)c1cccc(NC(=O)CNC(C)(C)c2ccccc2)c1 ZINC000725799209 1047316759 /nfs/dbraw/zinc/31/67/59/1047316759.db2.gz JNRGHNFGLKMYNC-UHFFFAOYSA-N 0 3 310.441 4.273 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H]1CCO[C@H](C(C)(C)C)C1)CCC2 ZINC001119002594 1047320751 /nfs/dbraw/zinc/32/07/51/1047320751.db2.gz SPPUAYAUXMPTEJ-ZACQAIPSSA-N 0 3 319.493 4.025 20 0 DIADHN COc1ccc(CNCc2ccccc2-c2ccccc2)cc1O ZINC000725850954 1047321717 /nfs/dbraw/zinc/32/17/17/1047321717.db2.gz FHWHNGHJTXVXAJ-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN Cc1nc(CCN[C@H]2CCc3ccc(F)c(Cl)c32)cs1 ZINC000651674630 1047322095 /nfs/dbraw/zinc/32/20/95/1047322095.db2.gz XYGWCDCOTYKPSW-ZDUSSCGKSA-N 0 3 310.825 4.064 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@H](c3cccc(Cl)c3)C2)co1 ZINC000840758489 1047325797 /nfs/dbraw/zinc/32/57/97/1047325797.db2.gz CXTBJIKRGUMMPS-ZFWWWQNUSA-N 0 3 304.821 4.316 20 0 DIADHN Cc1cccc(CCN[C@H]2CCc3ccc(F)c(Cl)c32)n1 ZINC000651789971 1047327639 /nfs/dbraw/zinc/32/76/39/1047327639.db2.gz GSNXDPSIHJSPNL-HNNXBMFYSA-N 0 3 304.796 4.002 20 0 DIADHN COCc1cc([C@@H](C)NCc2csc(C)c2C)ccc1OC ZINC000399880680 1047329885 /nfs/dbraw/zinc/32/98/85/1047329885.db2.gz KRYKBLFZFYLQTM-CYBMUJFWSA-N 0 3 319.470 4.371 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651814709 1047330188 /nfs/dbraw/zinc/33/01/88/1047330188.db2.gz FWFMIRHASFJOTI-QRWLVFNGSA-N 0 3 310.441 4.214 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1ccnc3ccccc13)CC2 ZINC000651901269 1047331566 /nfs/dbraw/zinc/33/15/66/1047331566.db2.gz DLKWMAZZLWJSOY-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN Cn1cc(-c2ccc(CN[C@@H]3CCc4c3cccc4F)cc2)cn1 ZINC001119440819 1047332270 /nfs/dbraw/zinc/33/22/70/1047332270.db2.gz WXBDVIXUYKLJAP-HXUWFJFHSA-N 0 3 321.399 4.003 20 0 DIADHN C[C@H]1CN(Cc2sccc2Oc2ccccc2)CC[C@@]1(C)O ZINC001141994534 1047334720 /nfs/dbraw/zinc/33/47/20/1047334720.db2.gz ORYUIGOUGPFBPE-KBXCAEBGSA-N 0 3 317.454 4.133 20 0 DIADHN Cc1nc2ccc(CN[C@@H](c3ccncc3)C3CC3)cc2s1 ZINC000898322823 1047334736 /nfs/dbraw/zinc/33/47/36/1047334736.db2.gz RYVOMOFBSVDCOU-GOSISDBHSA-N 0 3 309.438 4.241 20 0 DIADHN Cc1ccc(-c2nc(CNCCc3cccnc3C)cs2)cc1 ZINC000898256873 1047335506 /nfs/dbraw/zinc/33/55/06/1047335506.db2.gz IXJBIFDSNQMFBA-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN Cc1cn2c(CN[C@@H]3CCc4ccc(C)cc43)c(C)nc2s1 ZINC000651959471 1047335427 /nfs/dbraw/zinc/33/54/27/1047335427.db2.gz NVPHHXREXNOULH-MRXNPFEDSA-N 0 3 311.454 4.098 20 0 DIADHN COc1cc(CN[C@H](c2ccncc2)C2CC2)cc2ccccc21 ZINC000898319468 1047335885 /nfs/dbraw/zinc/33/58/85/1047335885.db2.gz GICHMNBTLDICCG-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H](c2ccncc2)C2CC2)s1 ZINC000898322878 1047336478 /nfs/dbraw/zinc/33/64/78/1047336478.db2.gz SUOZLRIHOXZTAG-CQSZACIVSA-N 0 3 312.360 4.403 20 0 DIADHN CC1(C)CCc2cc(CN[C@H](c3ccncc3)C3CC3)ccc2O1 ZINC000898326299 1047336594 /nfs/dbraw/zinc/33/65/94/1047336594.db2.gz VJNPGPYRXICFPR-FQEVSTJZSA-N 0 3 322.452 4.426 20 0 DIADHN Cc1nc2ccc(CN[C@H](c3ccncc3)C3CC3)cc2s1 ZINC000898322824 1047337264 /nfs/dbraw/zinc/33/72/64/1047337264.db2.gz RYVOMOFBSVDCOU-SFHVURJKSA-N 0 3 309.438 4.241 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CC[C@H]3OCC[C@@H]3C2)s1 ZINC001142001593 1047339539 /nfs/dbraw/zinc/33/95/39/1047339539.db2.gz WMMFVCXRQZZIAL-GDBMZVCRSA-N 0 3 315.438 4.151 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)NCc1csc(-c2ccccc2)n1 ZINC000105447601 1047341915 /nfs/dbraw/zinc/34/19/15/1047341915.db2.gz WZWUVFQYTOXTFC-AWEZNQCLSA-N 0 3 324.449 4.237 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC[C@@]4(CC4(F)F)C3)o2)cc1 ZINC000652062232 1047341986 /nfs/dbraw/zinc/34/19/86/1047341986.db2.gz CLRPDGRWEBWUNU-MRXNPFEDSA-N 0 3 307.315 4.317 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC[C@H](C)C2)c2ccccn2)cc1 ZINC000652195134 1047345488 /nfs/dbraw/zinc/34/54/88/1047345488.db2.gz LEXGOLAKOVSRMT-YRNRMSPPSA-N 0 3 310.441 4.205 20 0 DIADHN c1nc2n(c1CN[C@@H](c1cccnc1)C1CCCCC1)CCCC2 ZINC000652196826 1047346069 /nfs/dbraw/zinc/34/60/69/1047346069.db2.gz FKSFOGWRNKJITK-HXUWFJFHSA-N 0 3 324.472 4.026 20 0 DIADHN C[C@@H](N[C@@H]1CCN(C2CC2)C1)c1cc(F)c(Cl)cc1Cl ZINC000044686804 1047349271 /nfs/dbraw/zinc/34/92/71/1047349271.db2.gz PAUFMGRQPDDQGH-NXEZZACHSA-N 0 3 317.235 4.020 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CCC(=O)c1ccccc1 ZINC000537511639 1047349651 /nfs/dbraw/zinc/34/96/51/1047349651.db2.gz ONMIRMZIJSTHSH-GOSISDBHSA-N 0 3 309.409 4.105 20 0 DIADHN C[C@H](N[C@@H]1CCN(C2CC2)C1)c1cc(F)c(Cl)cc1Cl ZINC000044686801 1047350432 /nfs/dbraw/zinc/35/04/32/1047350432.db2.gz PAUFMGRQPDDQGH-VHSXEESVSA-N 0 3 317.235 4.020 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@H]2C2CCOCC2)cs1 ZINC000537526067 1047353649 /nfs/dbraw/zinc/35/36/49/1047353649.db2.gz QGIRKTATNPMOOS-KRWDZBQOSA-N 0 3 322.518 4.123 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC(C)C)C2CC2)c(Cl)c1 ZINC000044898294 1047354820 /nfs/dbraw/zinc/35/48/20/1047354820.db2.gz AIHHINRLYPASCJ-UHFFFAOYSA-N 0 3 308.853 4.016 20 0 DIADHN CC[C@@H](C)NC(=S)Nc1ccc2c(c1)CN([C@@H](C)C(C)C)C2 ZINC000726495268 1047361871 /nfs/dbraw/zinc/36/18/71/1047361871.db2.gz PSLPOCUXGDMBTA-KGLIPLIRSA-N 0 3 319.518 4.132 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCC2(CCOCC2)CC1 ZINC001139011842 1047364636 /nfs/dbraw/zinc/36/46/36/1047364636.db2.gz VUNHFGRAYFNJKA-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN CC(C)(CNCc1ccc(CSC(F)F)o1)OCC1CC1 ZINC000840960759 1047364908 /nfs/dbraw/zinc/36/49/08/1047364908.db2.gz UEUVHICVCORJCA-UHFFFAOYSA-N 0 3 319.417 4.030 20 0 DIADHN CC[C@H](NC/C=C\c1ccccc1OC)c1ccccc1OC ZINC000726607583 1047370566 /nfs/dbraw/zinc/37/05/66/1047370566.db2.gz UGSXHEJAZHCNJD-FYGXRCCRSA-N 0 3 311.425 4.458 20 0 DIADHN CC(C)Oc1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC000726607269 1047371083 /nfs/dbraw/zinc/37/10/83/1047371083.db2.gz MYUVLKGAUWSMFV-UHFFFAOYSA-N 0 3 309.409 4.039 20 0 DIADHN CC[C@@H](NCC1=Cc2ccccc2OC1)c1ccccc1OC ZINC000726607741 1047372073 /nfs/dbraw/zinc/37/20/73/1047372073.db2.gz YTGNHXCWCKTURJ-GOSISDBHSA-N 0 3 309.409 4.212 20 0 DIADHN CC[C@@H](NC/C=C\c1ccccc1OC)c1ccccc1OC ZINC000726607585 1047372178 /nfs/dbraw/zinc/37/21/78/1047372178.db2.gz UGSXHEJAZHCNJD-LECLMCCNSA-N 0 3 311.425 4.458 20 0 DIADHN Oc1ccc(CNC2(c3ccc(OC(F)(F)F)cc3)CC2)cc1 ZINC000648625472 1047378061 /nfs/dbraw/zinc/37/80/61/1047378061.db2.gz NHPVZRIKBKXVFU-UHFFFAOYSA-N 0 3 323.314 4.070 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC[C@]23CCOC3)cc1 ZINC001142102406 1047380763 /nfs/dbraw/zinc/38/07/63/1047380763.db2.gz UPFHCDLLVGEYBW-LJQANCHMSA-N 0 3 313.828 4.372 20 0 DIADHN FC1(F)CCN(CCCOc2ccc3ccccc3c2)CC1 ZINC000796539321 1047383158 /nfs/dbraw/zinc/38/31/58/1047383158.db2.gz IYNLOKNXZCUEQN-UHFFFAOYSA-N 0 3 305.368 4.340 20 0 DIADHN c1csc(-c2ccc(CN3CC4(C3)CCOCC4)s2)c1 ZINC001139019789 1047384565 /nfs/dbraw/zinc/38/45/65/1047384565.db2.gz YCOUVKLDGBHELS-UHFFFAOYSA-N 0 3 305.468 4.089 20 0 DIADHN Cc1ccc(CN2CCC3(CC(F)(F)C3)CC2)c(Cl)n1 ZINC001142125005 1047385028 /nfs/dbraw/zinc/38/50/28/1047385028.db2.gz GWIHILNTUVDIGK-UHFFFAOYSA-N 0 3 300.780 4.055 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1cnc(C)s1)CCC2 ZINC000678594588 1047387861 /nfs/dbraw/zinc/38/78/61/1047387861.db2.gz MPPRWKDPPNXKFR-BDJLRTHQSA-N 0 3 302.443 4.188 20 0 DIADHN COc1ccc(OCCCN2Cc3ccccc3[C@@H](C)C2)cc1 ZINC000726955488 1047395561 /nfs/dbraw/zinc/39/55/61/1047395561.db2.gz OMORSBXVDLLBJO-INIZCTEOSA-N 0 3 311.425 4.083 20 0 DIADHN Brc1ccc(CN[C@@H](c2cccnc2)C2CC2)cc1 ZINC000533922205 1047398790 /nfs/dbraw/zinc/39/87/90/1047398790.db2.gz LTLJVGNPSYXCNT-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN CCN(CCc1nccs1)Cc1cc(C(C)C)ccc1OC ZINC000653589223 1047404992 /nfs/dbraw/zinc/40/49/92/1047404992.db2.gz BZLXBRMNESSRKE-UHFFFAOYSA-N 0 3 318.486 4.340 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1CCc1noc2ccccc21 ZINC000653610582 1047407597 /nfs/dbraw/zinc/40/75/97/1047407597.db2.gz OEWBGJWUPQRDDY-VXGBXAGGSA-N 0 3 312.335 4.033 20 0 DIADHN Cc1cnc([C@H](NCCCc2c(F)cccc2F)C2CC2)s1 ZINC000653614141 1047408775 /nfs/dbraw/zinc/40/87/75/1047408775.db2.gz PJKOWWMYETYZRY-MRXNPFEDSA-N 0 3 322.424 4.403 20 0 DIADHN COc1cc(C)c(CNCc2coc3ccccc23)cc1OC ZINC000083468744 1047411339 /nfs/dbraw/zinc/41/13/39/1047411339.db2.gz ZJCKMMHMGQGFAZ-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN CSc1ccccc1CN(C)CCc1noc2ccccc21 ZINC000653651114 1047412630 /nfs/dbraw/zinc/41/26/30/1047412630.db2.gz XZOAYSUJASIMGN-UHFFFAOYSA-N 0 3 312.438 4.224 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)Nc1ccc2c3c(cccc31)CC2 ZINC001142285846 1047421489 /nfs/dbraw/zinc/42/14/89/1047421489.db2.gz MNQDFIIAHXPHJV-LJQANCHMSA-N 0 3 322.452 4.141 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC[C@H](OC2CCC2)C1 ZINC000653812344 1047422818 /nfs/dbraw/zinc/42/28/18/1047422818.db2.gz JBHIJIVHSXXQRM-SFHVURJKSA-N 0 3 302.462 4.047 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC[C@@H](OC2CCC2)C1 ZINC000653812343 1047422925 /nfs/dbraw/zinc/42/29/25/1047422925.db2.gz JBHIJIVHSXXQRM-GOSISDBHSA-N 0 3 302.462 4.047 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cnn(-c2ccccc2)c1 ZINC000322644533 1047423735 /nfs/dbraw/zinc/42/37/35/1047423735.db2.gz YYZBWDABLMTECR-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)[C@@H](C)CCc1ccccc1 ZINC000055898365 1047427172 /nfs/dbraw/zinc/42/71/72/1047427172.db2.gz VYXYBMATLFHWMC-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN FC(F)(F)CCNC1(c2cccc(OC(F)(F)F)c2)CC1 ZINC000653848095 1047427428 /nfs/dbraw/zinc/42/74/28/1047427428.db2.gz RSRBYKIIJUGLBK-UHFFFAOYSA-N 0 3 313.241 4.116 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3cccnc3)CC2)cc1 ZINC001142364571 1047436123 /nfs/dbraw/zinc/43/61/23/1047436123.db2.gz YEWSZYOAVLPPFF-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN Cc1ccc2ccccc2c1CN(C)Cc1cnc2ccccn12 ZINC001142372246 1047438186 /nfs/dbraw/zinc/43/81/86/1047438186.db2.gz WLXWLNFJAUPFTP-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3c(s2)CCC3)c(OC)c1 ZINC000118961434 1047440456 /nfs/dbraw/zinc/44/04/56/1047440456.db2.gz WYGFVLXZFQLPKT-LBPRGKRZSA-N 0 3 317.454 4.105 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccccc1OC)c1ccco1 ZINC000119083439 1047442033 /nfs/dbraw/zinc/44/20/33/1047442033.db2.gz PXGIVKWVVZUSSU-RDJZCZTQSA-N 0 3 316.445 4.022 20 0 DIADHN CCN(Cc1cc2c(C)nn(C)c2nc1Cl)C1CCCCC1 ZINC000749901839 1047446223 /nfs/dbraw/zinc/44/62/23/1047446223.db2.gz BDCYITCGFRISDC-UHFFFAOYSA-N 0 3 320.868 4.085 20 0 DIADHN CCC(CC)N(Cc1cc2c(C)nn(C)c2nc1Cl)C1CC1 ZINC000749905037 1047446943 /nfs/dbraw/zinc/44/69/43/1047446943.db2.gz UIEHYQSTOIXRIJ-UHFFFAOYSA-N 0 3 320.868 4.083 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc(-n3ccnc3)nc2)c(C)c1 ZINC000750038891 1047455349 /nfs/dbraw/zinc/45/53/49/1047455349.db2.gz LSRBWCDXCVIHHG-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(F)c(C)c1)CCC2 ZINC000119406014 1047455887 /nfs/dbraw/zinc/45/58/87/1047455887.db2.gz HJLIAQKXEZJZLE-CQSZACIVSA-N 0 3 304.434 4.320 20 0 DIADHN CO[C@@H](C)CN(Cc1cnc(-c2ccc(C)cc2)s1)C(C)C ZINC000537618424 1047457130 /nfs/dbraw/zinc/45/71/30/1047457130.db2.gz ZXPJCBBWWZAWDU-HNNXBMFYSA-N 0 3 318.486 4.364 20 0 DIADHN Cn1cc2c(cccc2CN2CCCC[C@H]2c2ccccc2F)n1 ZINC001142456922 1047457165 /nfs/dbraw/zinc/45/71/65/1047457165.db2.gz TWPTWPGZENBDFK-FQEVSTJZSA-N 0 3 323.415 4.440 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@@H]1Cc1ccccc1 ZINC000537620424 1047461514 /nfs/dbraw/zinc/46/15/14/1047461514.db2.gz BEHUYWGKBOMTAV-GOSISDBHSA-N 0 3 311.473 4.072 20 0 DIADHN COc1c(C)cc(CN2CCC[C@H]2c2cccc(C)n2)cc1C ZINC000537622523 1047467244 /nfs/dbraw/zinc/46/72/44/1047467244.db2.gz AFOLIRWMWUJWDW-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@H]1c1ccccc1 ZINC000537622508 1047467697 /nfs/dbraw/zinc/46/76/97/1047467697.db2.gz ZXSBJEPXRKRQMI-DNVCBOLYSA-N 0 3 303.409 4.270 20 0 DIADHN C[C@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@@H]1c1ccccc1 ZINC000537622510 1047467936 /nfs/dbraw/zinc/46/79/36/1047467936.db2.gz ZXSBJEPXRKRQMI-KXBFYZLASA-N 0 3 303.409 4.270 20 0 DIADHN CCn1nc(C)c(CN2CC[C@@H](C)[C@H]2c2cccc(F)c2)c1C ZINC000537621588 1047468083 /nfs/dbraw/zinc/46/80/83/1047468083.db2.gz ZIRJAJHRUBANBS-YJYMSZOUSA-N 0 3 315.436 4.242 20 0 DIADHN COc1ccc([C@H](C)NCc2sc(C)nc2C)cc1Cl ZINC000119829479 1047469938 /nfs/dbraw/zinc/46/99/38/1047469938.db2.gz PLGJOTVGGMYONP-VIFPVBQESA-N 0 3 310.850 4.273 20 0 DIADHN CC[C@H]1CN(Cc2c[nH]nc2-c2ccc(Cl)cc2)CCS1 ZINC000537623181 1047473099 /nfs/dbraw/zinc/47/30/99/1047473099.db2.gz XLLYMFHIMGMPLP-HNNXBMFYSA-N 0 3 321.877 4.058 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@@H]1CCCC[C@@H]1C ZINC000119978544 1047477965 /nfs/dbraw/zinc/47/79/65/1047477965.db2.gz RSUOKRZGABCTEN-SWLSCSKDSA-N 0 3 308.853 4.097 20 0 DIADHN CN(Cc1cccc2[nH]cnc21)Cc1ccccc1C(F)(F)F ZINC001142690236 1047480924 /nfs/dbraw/zinc/48/09/24/1047480924.db2.gz RJXILSXCRZVQKN-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000120193636 1047486653 /nfs/dbraw/zinc/48/66/53/1047486653.db2.gz GRRYWFDXTKGBDF-HZPDHXFCSA-N 0 3 308.425 4.165 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)[C@H](C)C1CC1 ZINC000929179710 1047486808 /nfs/dbraw/zinc/48/68/08/1047486808.db2.gz KGLUTBJYYZSOEJ-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN CC(C)(C)n1cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)cn1 ZINC000537628897 1047494989 /nfs/dbraw/zinc/49/49/89/1047494989.db2.gz JWVOKVDKSWLGLO-MRXNPFEDSA-N 0 3 317.864 4.281 20 0 DIADHN Cc1cc(CNC2CCC(c3ccc(Cl)cc3)CC2)nn1C ZINC001168338269 1047497832 /nfs/dbraw/zinc/49/78/32/1047497832.db2.gz YKECZOKRBKJRTE-UHFFFAOYSA-N 0 3 317.864 4.198 20 0 DIADHN C[C@H](N[C@@H]1CCOC2(CCCC2)C1)c1nc2c(s1)CCCC2 ZINC000090527104 1047504847 /nfs/dbraw/zinc/50/48/47/1047504847.db2.gz OZNNRSWZYYVCCD-UONOGXRCSA-N 0 3 320.502 4.164 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C2CC2)o1 ZINC000058523774 1047505114 /nfs/dbraw/zinc/50/51/14/1047505114.db2.gz RLSCWQWIQGYXIX-IAGOWNOFSA-N 0 3 311.429 4.105 20 0 DIADHN c1cc2c(nccc2CN2CCC3(C=Cc4ccccc43)CC2)[nH]1 ZINC001142871470 1047506877 /nfs/dbraw/zinc/50/68/77/1047506877.db2.gz LGDGHXPFSALZMN-UHFFFAOYSA-N 0 3 315.420 4.124 20 0 DIADHN C[C@@H](NC1(Cc2ccccc2)CCC1)c1cc2n(n1)CCCC2 ZINC000842862127 1047510273 /nfs/dbraw/zinc/51/02/73/1047510273.db2.gz WRDALXJMXSTKLU-MRXNPFEDSA-N 0 3 309.457 4.035 20 0 DIADHN CC(C)(CNCc1csc(-c2ccccn2)n1)c1ccccc1 ZINC000121236301 1047515226 /nfs/dbraw/zinc/51/52/26/1047515226.db2.gz WCZBVTNKZFROCW-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN CCn1nc(C)c(CN2C[C@H](C)C[C@@H]2c2cccc(F)c2)c1C ZINC000537635633 1047518087 /nfs/dbraw/zinc/51/80/87/1047518087.db2.gz GZWXLPAIQAPFFJ-BFUOFWGJSA-N 0 3 315.436 4.242 20 0 DIADHN CN(Cc1cnccn1)C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168343499 1047518920 /nfs/dbraw/zinc/51/89/20/1047518920.db2.gz WIMKLXVBRSRCIC-UHFFFAOYSA-N 0 3 315.848 4.288 20 0 DIADHN COc1cc(C)c(CN2CCCC[C@H]2c2cccnc2)c(C)c1 ZINC001142935279 1047519151 /nfs/dbraw/zinc/51/91/51/1047519151.db2.gz WWSYIKFPCMKRJC-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN c1cn2cccc(CN3CCC(Sc4ccccc4)CC3)c2n1 ZINC001142959876 1047520204 /nfs/dbraw/zinc/52/02/04/1047520204.db2.gz DFDMUFQGIUSDSD-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN Cn1nc(-c2ccccc2)cc1CN1CCC[C@H]1c1ccccc1 ZINC001143009475 1047524869 /nfs/dbraw/zinc/52/48/69/1047524869.db2.gz AVYDDKCKGJTESN-NRFANRHFSA-N 0 3 317.436 4.424 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1ccc2nccn2c1 ZINC001143033833 1047527356 /nfs/dbraw/zinc/52/73/56/1047527356.db2.gz KIANQPYLKINBTD-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN CN(Cc1ccno1)C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168347642 1047530641 /nfs/dbraw/zinc/53/06/41/1047530641.db2.gz ORZUQWJDZFCGGO-UHFFFAOYSA-N 0 3 304.821 4.486 20 0 DIADHN Clc1ccc(C2CCC(N3C[C@H]4CC[C@@H]3CO4)CC2)cc1 ZINC001168347535 1047530701 /nfs/dbraw/zinc/53/07/01/1047530701.db2.gz OADKFBUMBNGKCM-XAWIXRBMSA-N 0 3 305.849 4.229 20 0 DIADHN COc1ccc(CN(Cc2ccc(C)s2)C(C)C)cc1O ZINC000092485274 1047534253 /nfs/dbraw/zinc/53/42/53/1047534253.db2.gz IXENVRUXRPADRV-UHFFFAOYSA-N 0 3 305.443 4.181 20 0 DIADHN COc1ccc(C2=CCN(Cc3cc(C)cc(OC)c3)CC2)cc1 ZINC001143164294 1047536294 /nfs/dbraw/zinc/53/62/94/1047536294.db2.gz ZBHHRAVLMNDYGO-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN2CCC[C@H](c3nccs3)C2)o1 ZINC000092788763 1047538527 /nfs/dbraw/zinc/53/85/27/1047538527.db2.gz AGUZEEZPDJANLS-KCQAQPDRSA-N 0 3 302.443 4.239 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2scc3c2OCCO3)c1 ZINC001143178850 1047539153 /nfs/dbraw/zinc/53/91/53/1047539153.db2.gz NZJPMJZKJFITBU-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1ccc(CN2CCC(CCCc3ccccc3)CC2)c(N)n1 ZINC001143195120 1047539849 /nfs/dbraw/zinc/53/98/49/1047539849.db2.gz URDGUJFYPRMMFQ-UHFFFAOYSA-N 0 3 323.484 4.207 20 0 DIADHN Fc1cc(CN2CCC3(CC3)CC2)cc(OC(F)(F)F)c1 ZINC001143256338 1047544378 /nfs/dbraw/zinc/54/43/78/1047544378.db2.gz IESXRGDVHDLONW-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1ccc([S@](C)=O)cc1 ZINC000093361690 1047544551 /nfs/dbraw/zinc/54/45/51/1047544551.db2.gz HXVVMIFYWXCZBV-SIKLNZKXSA-N 0 3 315.482 4.253 20 0 DIADHN c1n[nH]cc1[C@H]1CCCCN1Cc1cccc(-c2ccncc2)c1 ZINC000093438257 1047546702 /nfs/dbraw/zinc/54/67/02/1047546702.db2.gz HOBHBELSYPLNNN-HXUWFJFHSA-N 0 3 318.424 4.199 20 0 DIADHN COc1c(F)ccc(CN(C)C(C)(C)Cc2ccccc2)c1F ZINC001143328608 1047553424 /nfs/dbraw/zinc/55/34/24/1047553424.db2.gz BXNWDBLNPRSLLD-UHFFFAOYSA-N 0 3 319.395 4.427 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@@H](C)C1 ZINC000122965806 1047565521 /nfs/dbraw/zinc/56/55/21/1047565521.db2.gz KNHZIQQJJRZPLU-AGIUHOORSA-N 0 3 319.836 4.429 20 0 DIADHN CC(C)(C)C1=CCN(Cc2ccc(Oc3cnccn3)cc2)CC1 ZINC000537693546 1047575760 /nfs/dbraw/zinc/57/57/60/1047575760.db2.gz KYZZQTDWZPQCOF-UHFFFAOYSA-N 0 3 323.440 4.447 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000123204830 1047578295 /nfs/dbraw/zinc/57/82/95/1047578295.db2.gz YVNOBTRXRYGWKM-KMFMINBZSA-N 0 3 316.470 4.418 20 0 DIADHN CC1CCC(N(C)Cc2nnc(-c3ccc(Cl)cc3)o2)CC1 ZINC000061799147 1047580779 /nfs/dbraw/zinc/58/07/79/1047580779.db2.gz PHVQJVQDFPAIOG-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN COC[C@]1(C)CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000648643343 1047581823 /nfs/dbraw/zinc/58/18/23/1047581823.db2.gz HIYQWUDCSLPUPU-QGZVFWFLSA-N 0 3 308.491 4.049 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1ccc(Cl)cc1)c1cccs1 ZINC000192678507 1047585850 /nfs/dbraw/zinc/58/58/50/1047585850.db2.gz MGXVYMGPDCWHNY-MNOVXSKESA-N 0 3 308.834 4.079 20 0 DIADHN CCC[C@H](NC[C@@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccn1 ZINC000926914327 1047590054 /nfs/dbraw/zinc/59/00/54/1047590054.db2.gz HPNQKTAHFYBPOY-YDHLFZDLSA-N 0 3 316.367 4.012 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC1CCC(C(F)(F)F)CC1 ZINC000924627424 1047592620 /nfs/dbraw/zinc/59/26/20/1047592620.db2.gz AYUHLMVQAVKGHY-MCIGGMRASA-N 0 3 302.340 4.071 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@@H](C)N2CC[C@@H](c3ccccc3)C2)c1 ZINC000477219889 1047604918 /nfs/dbraw/zinc/60/49/18/1047604918.db2.gz HVCWEBMYNXMYRJ-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN Fc1cccc(-c2nc(CN3CC[C@@H](c4ccccc4)C3)co2)c1 ZINC000477292421 1047611847 /nfs/dbraw/zinc/61/18/47/1047611847.db2.gz SFKOCEPFJMESGM-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN CCN(C(=O)CN1CCCC1(C)C)c1cccc2ccccc21 ZINC000477385576 1047616533 /nfs/dbraw/zinc/61/65/33/1047616533.db2.gz NAYUMXIDJRXVCM-UHFFFAOYSA-N 0 3 310.441 4.067 20 0 DIADHN COc1cccc(-c2nc(CN3CCCC3(C)C)cs2)c1 ZINC000477487073 1047621775 /nfs/dbraw/zinc/62/17/75/1047621775.db2.gz DPPPPUCZZJVNRD-UHFFFAOYSA-N 0 3 302.443 4.193 20 0 DIADHN CC1(C)CCCN1CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000477542543 1047625580 /nfs/dbraw/zinc/62/55/80/1047625580.db2.gz OSYIJIJXUSMGJR-UHFFFAOYSA-N 0 3 322.452 4.094 20 0 DIADHN Clc1cccc(-c2noc(CN3CCC[C@H]3C3CCC3)n2)c1 ZINC000477605228 1047627672 /nfs/dbraw/zinc/62/76/72/1047627672.db2.gz VXKILTJAGHRHQP-HNNXBMFYSA-N 0 3 317.820 4.155 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1CCC[C@@H]1C1CCC1 ZINC000477638321 1047628335 /nfs/dbraw/zinc/62/83/35/1047628335.db2.gz COSRGJJDNXJGAW-GOSISDBHSA-N 0 3 312.482 4.498 20 0 DIADHN Clc1ccc(-c2noc(CN3CCC[C@H]3C3CCC3)n2)cc1 ZINC000477615949 1047628373 /nfs/dbraw/zinc/62/83/73/1047628373.db2.gz MLNAJBOLOABNKR-HNNXBMFYSA-N 0 3 317.820 4.155 20 0 DIADHN C[C@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N1CCCCCC1 ZINC001153856957 1047633360 /nfs/dbraw/zinc/63/33/60/1047633360.db2.gz ADFQFOBXZCXIDA-IAGOWNOFSA-N 0 3 314.473 4.181 20 0 DIADHN COc1cc([C@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)ccn1 ZINC000565962959 1047635182 /nfs/dbraw/zinc/63/51/82/1047635182.db2.gz ZHRGURXRXLYQSV-DJJJIMSYSA-N 0 3 314.404 4.421 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)sc1C ZINC000477764820 1047636989 /nfs/dbraw/zinc/63/69/89/1047636989.db2.gz HJDCVCKGUDQWIR-GUYCJALGSA-N 0 3 300.471 4.386 20 0 DIADHN CSc1cc(CNCc2cc3ccccc3s2)ccn1 ZINC000537864534 1047641336 /nfs/dbraw/zinc/64/13/36/1047641336.db2.gz ZLFDOBFCGUXBMV-UHFFFAOYSA-N 0 3 300.452 4.308 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H](C)c2ccc3c(c2)CCC3)cc1 ZINC000537938270 1047644765 /nfs/dbraw/zinc/64/47/65/1047644765.db2.gz UBSKQKXAXOBANP-QVKFZJNVSA-N 0 3 309.453 4.122 20 0 DIADHN CC(C)CSCCCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000537950709 1047645911 /nfs/dbraw/zinc/64/59/11/1047645911.db2.gz JHDJHMSULXCXMC-ZDUSSCGKSA-N 0 3 312.548 4.452 20 0 DIADHN CC(C)Cc1ccc([C@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000537954424 1047647001 /nfs/dbraw/zinc/64/70/01/1047647001.db2.gz GLHOFTWFAPUPEB-HNNXBMFYSA-N 0 3 315.432 4.367 20 0 DIADHN CCC[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1ccc(OC)cc1 ZINC000537946759 1047648769 /nfs/dbraw/zinc/64/87/69/1047648769.db2.gz SFDHXCGOUXHXPS-RTWAWAEBSA-N 0 3 324.468 4.313 20 0 DIADHN CO[C@H](C)[C@@H](C)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000537967180 1047650599 /nfs/dbraw/zinc/65/05/99/1047650599.db2.gz XLEWOAPDMHTWCF-CHWSQXEVSA-N 0 3 305.368 4.067 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000537989634 1047650740 /nfs/dbraw/zinc/65/07/40/1047650740.db2.gz CEFUHOKTFGRQPN-FQEVSTJZSA-N 0 3 322.452 4.067 20 0 DIADHN CCCC[C@H](NCc1cccc(C(=O)NCC)c1)c1ccccc1 ZINC000537988787 1047650936 /nfs/dbraw/zinc/65/09/36/1047650936.db2.gz ZNEOYHGDLNFNDM-FQEVSTJZSA-N 0 3 324.468 4.457 20 0 DIADHN FC(F)(F)CCN[C@@H]1CCCOc2cc3c(cc21)CCCC3 ZINC000537987656 1047651057 /nfs/dbraw/zinc/65/10/57/1047651057.db2.gz ODKBVNBHYIHHKG-OAHLLOKOSA-N 0 3 313.363 4.321 20 0 DIADHN CN(Cc1ccc(N[C@H](c2ccccc2)C2CC2)nc1)C1CC1 ZINC001154644012 1047652934 /nfs/dbraw/zinc/65/29/34/1047652934.db2.gz AJEVHSGSVODDSC-HXUWFJFHSA-N 0 3 307.441 4.239 20 0 DIADHN CC[C@H](CSC)N(C)Cc1c(Cl)ccc2cccnc21 ZINC000537994081 1047653839 /nfs/dbraw/zinc/65/38/39/1047653839.db2.gz AIKJVDPFXUNUKI-CYBMUJFWSA-N 0 3 308.878 4.462 20 0 DIADHN CCC[C@H](NCC(=O)Nc1cc(F)cc(F)c1)c1ccccc1 ZINC000538141009 1047659535 /nfs/dbraw/zinc/65/95/35/1047659535.db2.gz GKKKJLQLEZDHSQ-KRWDZBQOSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C(=O)OC(C)C)cc2)cc1 ZINC000538129481 1047660793 /nfs/dbraw/zinc/66/07/93/1047660793.db2.gz ZQJAGQCENLADEF-INIZCTEOSA-N 0 3 311.425 4.411 20 0 DIADHN CC(C)c1nnc(CN[C@@H](CC2CCCCC2)C(C)(C)C)n1C ZINC000538391542 1047673034 /nfs/dbraw/zinc/67/30/34/1047673034.db2.gz IEVXKVFADDNGDY-INIZCTEOSA-N 0 3 320.525 4.413 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc2c(Cl)cccc2n1 ZINC001155254176 1047673143 /nfs/dbraw/zinc/67/31/43/1047673143.db2.gz GNHUDFHJOBNJNV-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1nccc2ccc(Cl)cc21 ZINC001155248670 1047673233 /nfs/dbraw/zinc/67/32/33/1047673233.db2.gz XDDODGKHPZRDSY-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cc(Cl)nc2ccccc21 ZINC001155247424 1047673379 /nfs/dbraw/zinc/67/33/79/1047673379.db2.gz HJFVEIQWQRAINO-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN Cc1nc(-n2c3ccccc3nc2[C@@H](C)N)c(Cl)cc1Cl ZINC001155257781 1047674450 /nfs/dbraw/zinc/67/44/50/1047674450.db2.gz JKHPZUHUEGZFLD-MRVPVSSYSA-N 0 3 321.211 4.055 20 0 DIADHN Cc1cc(NC(=O)[C@H](C)N2CCCCCC2)cc(C)c1Cl ZINC001155317426 1047676670 /nfs/dbraw/zinc/67/66/70/1047676670.db2.gz OZAIHGYTESTTFM-AWEZNQCLSA-N 0 3 308.853 4.160 20 0 DIADHN C[C@H](CN[C@@H](C)c1cccc(F)c1F)Oc1ccccc1F ZINC000538444797 1047677065 /nfs/dbraw/zinc/67/70/65/1047677065.db2.gz QRCFBOASKCTGIS-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cnc(C2CC2)s1 ZINC000538486554 1047682070 /nfs/dbraw/zinc/68/20/70/1047682070.db2.gz PAKBMJFEIYLALQ-ZBEGNZNMSA-N 0 3 320.433 4.025 20 0 DIADHN Clc1cc(N[C@@H]2CNCc3ccsc32)c2ccccc2n1 ZINC001155559936 1047684496 /nfs/dbraw/zinc/68/44/96/1047684496.db2.gz SBJAIOWNBXVGJY-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1ccc2ccc(N[C@@H]3CNCc4ccsc43)nc2c1 ZINC001155562732 1047684844 /nfs/dbraw/zinc/68/48/44/1047684844.db2.gz WQSFHTPOTTWHAL-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN Cc1c(Cl)cnc(Cl)c1N[C@H]1CNCc2ccsc21 ZINC001155563905 1047684932 /nfs/dbraw/zinc/68/49/32/1047684932.db2.gz DWKWPWZKNOBTEV-JTQLQIEISA-N 0 3 314.241 4.015 20 0 DIADHN Clc1cc2ccccc2nc1N[C@@H]1CNCc2ccsc21 ZINC001155562380 1047685274 /nfs/dbraw/zinc/68/52/74/1047685274.db2.gz RYVWVOMJEKKAMQ-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN CN(CCC1CCOCC1)Cc1cnc(C2CCCCC2)s1 ZINC000538718828 1047699746 /nfs/dbraw/zinc/69/97/46/1047699746.db2.gz QGEWTWOGGQQZII-UHFFFAOYSA-N 0 3 322.518 4.439 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1ccc(C2CCC2)cc1 ZINC001156101727 1047700287 /nfs/dbraw/zinc/70/02/87/1047700287.db2.gz GLQMJERQNKFGIB-GOSISDBHSA-N 0 3 300.446 4.013 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1ccc(C2CCC2)cc1 ZINC001156101728 1047700316 /nfs/dbraw/zinc/70/03/16/1047700316.db2.gz GLQMJERQNKFGIB-SFHVURJKSA-N 0 3 300.446 4.013 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CN1CC[C@H]1Cc1ccccc1 ZINC000538722124 1047701282 /nfs/dbraw/zinc/70/12/82/1047701282.db2.gz YPZDDLOPWZGYKY-KRWDZBQOSA-N 0 3 321.399 4.033 20 0 DIADHN Cc1nc(N[C@H](C)c2ccc3ccccc3c2)cc(N(C)C)n1 ZINC001156131672 1047701546 /nfs/dbraw/zinc/70/15/46/1047701546.db2.gz SUZGGAXYYDPXRT-CYBMUJFWSA-N 0 3 306.413 4.177 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2Cc2cccc3c[nH]nc32)cc1 ZINC000538735317 1047703770 /nfs/dbraw/zinc/70/37/70/1047703770.db2.gz OZKNKSSUJPDRNY-SFHVURJKSA-N 0 3 323.465 4.161 20 0 DIADHN C[C@H](C(=O)NC(C1CCCC1)C1CCCC1)N1CCCCCC1 ZINC001156358337 1047708559 /nfs/dbraw/zinc/70/85/59/1047708559.db2.gz KAMBLKGIBGJMEQ-MRXNPFEDSA-N 0 3 320.521 4.116 20 0 DIADHN C[C@@H](C(=O)NC(C1CCCC1)C1CCCC1)N1CCCCCC1 ZINC001156358336 1047708799 /nfs/dbraw/zinc/70/87/99/1047708799.db2.gz KAMBLKGIBGJMEQ-INIZCTEOSA-N 0 3 320.521 4.116 20 0 DIADHN Cc1ccc(CN2CCC(OCC3CCCC3)CC2)cc1F ZINC000538763466 1047710358 /nfs/dbraw/zinc/71/03/58/1047710358.db2.gz FTCGLVBZGIYUPS-UHFFFAOYSA-N 0 3 305.437 4.305 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCOc1ccc(F)cc1Cl ZINC000538782948 1047712760 /nfs/dbraw/zinc/71/27/60/1047712760.db2.gz ONVWLLFOFHMDIL-GFCCVEGCSA-N 0 3 323.795 4.257 20 0 DIADHN Cc1c(CNCc2ccc(F)cc2)sc2nc(C)nc(C)c12 ZINC000030543527 1047715754 /nfs/dbraw/zinc/71/57/54/1047715754.db2.gz MGOFGPKQSCFWOB-UHFFFAOYSA-N 0 3 315.417 4.045 20 0 DIADHN Cc1ccnc(NC[C@@H](c2ccccc2)N2CCCC2)c1Cl ZINC001156529803 1047716295 /nfs/dbraw/zinc/71/62/95/1047716295.db2.gz GCLWVALCPAXWSL-INIZCTEOSA-N 0 3 315.848 4.292 20 0 DIADHN c1ccc(C2CC2)c(CNc2ncccc2CN2CCCC2)c1 ZINC001156543247 1047717349 /nfs/dbraw/zinc/71/73/49/1047717349.db2.gz ALXFCVPICRTPPR-UHFFFAOYSA-N 0 3 307.441 4.167 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cc2ccccc2o1 ZINC000538879523 1047718199 /nfs/dbraw/zinc/71/81/99/1047718199.db2.gz ZTCVNVLZLFUXDL-DJJJIMSYSA-N 0 3 313.372 4.438 20 0 DIADHN Clc1cccc(OCCCN(Cc2ccccn2)C2CC2)c1 ZINC000538997643 1047724489 /nfs/dbraw/zinc/72/44/89/1047724489.db2.gz JFWLIGKOMGVTKD-UHFFFAOYSA-N 0 3 316.832 4.169 20 0 DIADHN C[C@@H](NCCOc1ccc(F)cc1)c1ccc2ccccc2n1 ZINC000539020579 1047725385 /nfs/dbraw/zinc/72/53/85/1047725385.db2.gz GIVZVMBFOPNJPF-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1Br)[C@@H]1CCCO1 ZINC000539041112 1047726604 /nfs/dbraw/zinc/72/66/04/1047726604.db2.gz RDDRCYCSEFUZIZ-CQDKDKBSSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1C[C@@H](C)c2c1cccc2C ZINC000539056085 1047729477 /nfs/dbraw/zinc/72/94/77/1047729477.db2.gz VEWZDOGJWZJORZ-BMFZPTHFSA-N 0 3 301.455 4.236 20 0 DIADHN C[C@H](NCCN1CCC1)c1ccc(Cl)c(Cl)c1Cl ZINC000539055121 1047729563 /nfs/dbraw/zinc/72/95/63/1047729563.db2.gz XGLULPGAINDFGT-VIFPVBQESA-N 0 3 307.652 4.003 20 0 DIADHN C[C@H](NCCOc1cccc(C(C)(C)C)c1)c1cncs1 ZINC000539084181 1047732700 /nfs/dbraw/zinc/73/27/00/1047732700.db2.gz PLLGBUQVZQANJJ-ZDUSSCGKSA-N 0 3 304.459 4.170 20 0 DIADHN CCOC[C@H](C)N[C@@H](c1ccccc1)c1ccc(OCC)cc1 ZINC000539094613 1047735620 /nfs/dbraw/zinc/73/56/20/1047735620.db2.gz XKIMRRSOTZEKBQ-JXFKEZNVSA-N 0 3 313.441 4.189 20 0 DIADHN CCOC[C@@H](C)N[C@H](c1ccccc1)c1ccc(OCC)cc1 ZINC000539094615 1047736047 /nfs/dbraw/zinc/73/60/47/1047736047.db2.gz XKIMRRSOTZEKBQ-OXQOHEQNSA-N 0 3 313.441 4.189 20 0 DIADHN Oc1ccc(CNCc2ccc(Cl)c(C(F)(F)F)c2)cc1 ZINC000238144286 1047738523 /nfs/dbraw/zinc/73/85/23/1047738523.db2.gz OWCJUGZXXSVBFO-UHFFFAOYSA-N 0 3 315.722 4.354 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc3ccccc32)cc1 ZINC000539229808 1047741218 /nfs/dbraw/zinc/74/12/18/1047741218.db2.gz PFSSGEAYFIZVMC-MRXNPFEDSA-N 0 3 318.420 4.438 20 0 DIADHN CCCCCn1cc(CN[C@@H](c2cccnc2)C(C)C)c(C)n1 ZINC000539216715 1047741897 /nfs/dbraw/zinc/74/18/97/1047741897.db2.gz HDGZRQWRKMADHC-LJQANCHMSA-N 0 3 314.477 4.264 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c1C ZINC000539289583 1047744795 /nfs/dbraw/zinc/74/47/95/1047744795.db2.gz WVHMVWVKTQQNFD-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c1C ZINC000539289581 1047745291 /nfs/dbraw/zinc/74/52/91/1047745291.db2.gz WVHMVWVKTQQNFD-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccc2c(c1)OCO2 ZINC000539315412 1047746464 /nfs/dbraw/zinc/74/64/64/1047746464.db2.gz VDCREHGHUWHDDM-SJKOYZFVSA-N 0 3 313.372 4.283 20 0 DIADHN Cc1cc(C)nc(NC2(c3ccc(C(F)(F)F)cc3)COC2)c1 ZINC001157587349 1047747159 /nfs/dbraw/zinc/74/71/59/1047747159.db2.gz GWESDSQFFCGJMA-UHFFFAOYSA-N 0 3 322.330 4.055 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc(OCC2CC2)c(F)c1)C(F)(F)F ZINC000539328666 1047747370 /nfs/dbraw/zinc/74/73/70/1047747370.db2.gz WGBHKIYAOQYNHS-GHMZBOCLSA-N 0 3 319.342 4.464 20 0 DIADHN Clc1cccc2c1ccnc2N1CCN[C@H](c2ccccc2)C1 ZINC001157758988 1047753246 /nfs/dbraw/zinc/75/32/46/1047753246.db2.gz HPFKZMNESPUYKN-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN CCCc1ccc(CNc2ccc(CN(C)C3CC3)cn2)cc1 ZINC001157829057 1047756359 /nfs/dbraw/zinc/75/63/59/1047756359.db2.gz GDDKKZHYRRZZLY-UHFFFAOYSA-N 0 3 309.457 4.240 20 0 DIADHN CCC(C)(CC)C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001158071782 1047768713 /nfs/dbraw/zinc/76/87/13/1047768713.db2.gz UJDBFPDFUYPBOT-UHFFFAOYSA-N 0 3 310.526 4.172 20 0 DIADHN CCCCn1nc(C)c(CNCC[C@H]2CC=CCC2)c1Cl ZINC001203380929 1047770241 /nfs/dbraw/zinc/77/02/41/1047770241.db2.gz YFSLFXQSXRHMOZ-HNNXBMFYSA-N 0 3 309.885 4.481 20 0 DIADHN COCC[C@@H](NC/C=C\c1ccc(F)cc1)c1ccc(C)o1 ZINC000478183439 1047771816 /nfs/dbraw/zinc/77/18/16/1047771816.db2.gz STKWDEBOEDPABE-CWIOURORSA-N 0 3 303.377 4.108 20 0 DIADHN C[C@@H](CCCO)NCc1c(Cl)cccc1Oc1ccccc1 ZINC000478196315 1047772807 /nfs/dbraw/zinc/77/28/07/1047772807.db2.gz LMDLEGRGGCTHRZ-AWEZNQCLSA-N 0 3 319.832 4.383 20 0 DIADHN CC[C@@H](C)CC(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000478284598 1047779107 /nfs/dbraw/zinc/77/91/07/1047779107.db2.gz PLHADZHYQCYOPJ-ZBFHGGJFSA-N 0 3 322.518 4.074 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1C ZINC000927070674 1047797958 /nfs/dbraw/zinc/79/79/58/1047797958.db2.gz PQCMURCZLBTDGH-HNAYVOBHSA-N 0 3 315.461 4.093 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cnc(OC)c(Cl)c2)cc1 ZINC000552852160 1047800455 /nfs/dbraw/zinc/80/04/55/1047800455.db2.gz KKAVFKHVQWUHHE-GFCCVEGCSA-N 0 3 304.821 4.157 20 0 DIADHN Cc1cc(C)nc(NC[C@H](O)c2c(Cl)cccc2Cl)c1 ZINC001159033448 1047807885 /nfs/dbraw/zinc/80/78/85/1047807885.db2.gz GYPFPNFMYLIADP-ZDUSSCGKSA-N 0 3 311.212 4.151 20 0 DIADHN Cc1ccc(Nc2ccnc(CN)c2)cc1OCc1ccccc1 ZINC001159296444 1047826839 /nfs/dbraw/zinc/82/68/39/1047826839.db2.gz NWDJKDPLHPWZHH-UHFFFAOYSA-N 0 3 319.408 4.171 20 0 DIADHN CC(C)COc1ccc2cc(Nc3ccnc(CN)c3)ccc2c1 ZINC001159296061 1047827199 /nfs/dbraw/zinc/82/71/99/1047827199.db2.gz DRFWNVSDTVCOJB-UHFFFAOYSA-N 0 3 321.424 4.472 20 0 DIADHN NCc1cc(Nc2cccc(F)c2OCc2ccccc2)ccn1 ZINC001159297047 1047827237 /nfs/dbraw/zinc/82/72/37/1047827237.db2.gz YUWAJFLHBFTOSP-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN CC(C)(c1ccc(Nc2ccnc(CN)c2)cc1)C(F)(F)F ZINC001159296741 1047827260 /nfs/dbraw/zinc/82/72/60/1047827260.db2.gz SISIHDQAALSVIV-UHFFFAOYSA-N 0 3 309.335 4.124 20 0 DIADHN Fc1ncc(-c2ccccc2)cc1[N-]c1cc([C@@H]2CCC[NH2+]2)no1 ZINC001159309632 1047830775 /nfs/dbraw/zinc/83/07/75/1047830775.db2.gz WZTAUTKMIRVQNX-AWEZNQCLSA-N 0 3 324.359 4.044 20 0 DIADHN Cc1cc(CN2CC[C@H](C(C)C)C2)c(Br)cc1F ZINC001204388658 1047841885 /nfs/dbraw/zinc/84/18/85/1047841885.db2.gz GMKQYXOMHMFJNG-LBPRGKRZSA-N 0 3 314.242 4.375 20 0 DIADHN COCc1cccc(CN[C@H]2CCc3ccc(Cl)cc32)c1 ZINC000479191636 1047843140 /nfs/dbraw/zinc/84/31/40/1047843140.db2.gz KTPRIPJUZKBAFM-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cn1 ZINC000479222534 1047847472 /nfs/dbraw/zinc/84/74/72/1047847472.db2.gz KFZYPVANMXDZKB-QGZVFWFLSA-N 0 3 316.832 4.299 20 0 DIADHN CCOc1c(Cl)cc(Nc2cnc(C)n2C)cc1Cl ZINC001174534358 1047863667 /nfs/dbraw/zinc/86/36/67/1047863667.db2.gz WVXYIAABNHLRNS-UHFFFAOYSA-N 0 3 300.189 4.178 20 0 DIADHN Cc1cnccc1Nc1ccc(NC(=O)OC(C)(C)C)cc1F ZINC001174538537 1047866285 /nfs/dbraw/zinc/86/62/85/1047866285.db2.gz JLZAHOSZQXOWFL-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN Cc1cnccc1Nc1ccc(Oc2ccc(F)cc2F)nc1 ZINC001174537078 1047866681 /nfs/dbraw/zinc/86/66/81/1047866681.db2.gz VMCSLEDPMXWTDA-UHFFFAOYSA-N 0 3 313.307 4.021 20 0 DIADHN CCOc1ccc(CN(C)Cc2cc3ccncc3s2)cc1 ZINC000667474332 1047876758 /nfs/dbraw/zinc/87/67/58/1047876758.db2.gz GMMCMLHGSAUYGT-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN(CCC1CC1)CC1CC1 ZINC001183035671 1047876881 /nfs/dbraw/zinc/87/68/81/1047876881.db2.gz IIVYBNIHCCXDQQ-UHFFFAOYSA-N 0 3 317.864 4.239 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2cccc(C(C)C)c2)o1 ZINC000479407080 1047885300 /nfs/dbraw/zinc/88/53/00/1047885300.db2.gz DQDZLTUMHHOSGT-UHFFFAOYSA-N 0 3 309.413 4.391 20 0 DIADHN COc1cc2c(cc1OC)C1(CCC1)N(CC1=CCCC1)CC2 ZINC001197836441 1047885892 /nfs/dbraw/zinc/88/58/92/1047885892.db2.gz CQOQPDWTFMVLRJ-UHFFFAOYSA-N 0 3 313.441 4.051 20 0 DIADHN Cc1cc2[nH]c(CNCc3ccccc3N(C)C)cc2c(C)c1 ZINC000479421245 1047885835 /nfs/dbraw/zinc/88/58/35/1047885835.db2.gz IXTHMWTXMJDULN-UHFFFAOYSA-N 0 3 307.441 4.141 20 0 DIADHN COc1ncc(CNC(C)(C)c2ccc(F)cc2)c2ccccc21 ZINC001197818875 1047888477 /nfs/dbraw/zinc/88/84/77/1047888477.db2.gz PANQPBWJDJSKKU-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN Cc1ccc([C@H](C)Nc2ncccc2CN2CCCC2)c(C)c1 ZINC001159632614 1047888785 /nfs/dbraw/zinc/88/87/85/1047888785.db2.gz ASLCFCYZYOWAJJ-KRWDZBQOSA-N 0 3 309.457 4.467 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1nc(C(F)(F)F)cs1 ZINC000479435273 1047891035 /nfs/dbraw/zinc/89/10/35/1047891035.db2.gz GMQJFTLJAHCXAJ-GHMZBOCLSA-N 0 3 304.381 4.244 20 0 DIADHN CCCCCCOc1ccc(CN2CCC[C@@]3(CCO3)C2)cc1 ZINC001204367040 1047892235 /nfs/dbraw/zinc/89/22/35/1047892235.db2.gz VAWKOZDDOBOFCI-HXUWFJFHSA-N 0 3 317.473 4.401 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccc2cn[nH]c2c1 ZINC001159662775 1047897340 /nfs/dbraw/zinc/89/73/40/1047897340.db2.gz DNWAYDVSILVVIF-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccc2c[nH]nc2c1 ZINC001159662775 1047897348 /nfs/dbraw/zinc/89/73/48/1047897348.db2.gz DNWAYDVSILVVIF-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN CSc1cc(CNCc2cc3c(cc(C)cc3C)[nH]2)ccn1 ZINC000479453946 1047897910 /nfs/dbraw/zinc/89/79/10/1047897910.db2.gz KSEADTAGDSJYBH-UHFFFAOYSA-N 0 3 311.454 4.191 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2cc(OC)c(O)cc2Cl)C1 ZINC001183169953 1047899378 /nfs/dbraw/zinc/89/93/78/1047899378.db2.gz GKHRRQUXMNBMQO-ITDFMYJTSA-N 0 3 309.837 4.232 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1nnc(-c2ccccc2)s1 ZINC000479460438 1047901028 /nfs/dbraw/zinc/90/10/28/1047901028.db2.gz KDZRZYDRJXUOKU-GOEBONIOSA-N 0 3 313.470 4.287 20 0 DIADHN Cn1cccc1CN1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001203265753 1047901399 /nfs/dbraw/zinc/90/13/99/1047901399.db2.gz NODKOZKMXYCJHL-ZDUSSCGKSA-N 0 3 309.240 4.321 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ccc(F)cc21)c1ccc2c(c1)OCO2 ZINC000479483831 1047904629 /nfs/dbraw/zinc/90/46/29/1047904629.db2.gz OPTLZTHFAGMOCN-PXAZEXFGSA-N 0 3 313.372 4.283 20 0 DIADHN COc1cc(C)cc(CNCc2cc3c(cc(C)cc3C)[nH]2)c1 ZINC000479468017 1047906555 /nfs/dbraw/zinc/90/65/55/1047906555.db2.gz XGOMOIYQKHQXRS-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN [NH3+]C1(c2ccc(Nc3c(Cl)ccc([O-])c3F)cc2)CCC1 ZINC001159730242 1047911770 /nfs/dbraw/zinc/91/17/70/1047911770.db2.gz MCZZQZGJNLALRS-UHFFFAOYSA-N 0 3 306.768 4.266 20 0 DIADHN CC(C)CN(Cc1ccccn1)C[C@]1(C)CC1(Cl)Cl ZINC001198001228 1047912236 /nfs/dbraw/zinc/91/22/36/1047912236.db2.gz OPZKGPIGVHMUJM-AWEZNQCLSA-N 0 3 301.261 4.124 20 0 DIADHN CCC[C@H](O)CCNCc1ccc(-c2ccccc2Cl)o1 ZINC001203889293 1047912762 /nfs/dbraw/zinc/91/27/62/1047912762.db2.gz COVPLFKSKFEEIG-ZDUSSCGKSA-N 0 3 307.821 4.241 20 0 DIADHN [O-]c1cccc(Nc2cccc(C3CC[NH2+]CC3)c2)c1Cl ZINC001159756677 1047915280 /nfs/dbraw/zinc/91/52/80/1047915280.db2.gz CMNKDESPIKZIRP-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN Cc1c(Cl)cccc1CN1CC[C@@H](Oc2cccc(F)c2)C1 ZINC001183363213 1047919402 /nfs/dbraw/zinc/91/94/02/1047919402.db2.gz HWUCNCWEOYRTDA-QGZVFWFLSA-N 0 3 319.807 4.441 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1CCOC[C@H]1CC1CC1 ZINC001198053735 1047920327 /nfs/dbraw/zinc/92/03/27/1047920327.db2.gz ZRMUQVABVZZVFR-CRAIPNDOSA-N 0 3 319.514 4.224 20 0 DIADHN COC[C@H](C)N(Cc1cccc(C)c1)Cc1cccc(OC)c1 ZINC001203894162 1047921227 /nfs/dbraw/zinc/92/12/27/1047921227.db2.gz GPLNQBMUEYKYLT-KRWDZBQOSA-N 0 3 313.441 4.041 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](OC)c1ccccc1 ZINC001174603967 1047921755 /nfs/dbraw/zinc/92/17/55/1047921755.db2.gz OBFGYSOQLZNWHD-IBGZPJMESA-N 0 3 301.405 4.425 20 0 DIADHN F[C@@]1(c2ccccc2)CCCN(Cc2cccc3n[nH]cc32)C1 ZINC001183410916 1047925100 /nfs/dbraw/zinc/92/51/00/1047925100.db2.gz XONIDJJYPRVHMX-IBGZPJMESA-N 0 3 309.388 4.024 20 0 DIADHN COC[C@H](C)Oc1ccc(CN(C)[C@H](C)c2cccs2)cc1 ZINC000667510475 1047925553 /nfs/dbraw/zinc/92/55/53/1047925553.db2.gz JWRFZNNIBVVDAD-LSDHHAIUSA-N 0 3 319.470 4.355 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H]1CC[C@H](C(F)(F)F)O1 ZINC001174614273 1047927143 /nfs/dbraw/zinc/92/71/43/1047927143.db2.gz CZDASXZGLUWGQU-UKRRQHHQSA-N 0 3 319.342 4.148 20 0 DIADHN C[C@H]1CCC[C@@H](C2CN(Cc3cc(F)ccc3N(C)C)C2)C1 ZINC001183441846 1047927656 /nfs/dbraw/zinc/92/76/56/1047927656.db2.gz AMKGMJOJPSHXDK-LSDHHAIUSA-N 0 3 304.453 4.150 20 0 DIADHN CCCc1cccc(CNCc2ccc(-n3ccnc3)c(F)c2)c1 ZINC000479558821 1047930683 /nfs/dbraw/zinc/93/06/83/1047930683.db2.gz YLVDLUBDYIQXSD-UHFFFAOYSA-N 0 3 323.415 4.254 20 0 DIADHN Fc1ccc(CCN[C@@H]2c3ccccc3CC[C@@H]2F)cc1F ZINC001183490547 1047931734 /nfs/dbraw/zinc/93/17/34/1047931734.db2.gz IPIWSYIUCKSSNX-FUHWJXTLSA-N 0 3 305.343 4.123 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H](c2ncccn2)C1 ZINC001198164883 1047932534 /nfs/dbraw/zinc/93/25/34/1047932534.db2.gz NEHLTEJHTRYJPP-GOEBONIOSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1nc(CCN(Cc2ccccc2F)CC2CC2)cs1 ZINC001174680526 1047932655 /nfs/dbraw/zinc/93/26/55/1047932655.db2.gz IWOXEYOJDKDKSO-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN Cc1cc2[nH]c(CNCc3ccc(OC(C)C)nc3)cc2c(C)c1 ZINC000479581979 1047937212 /nfs/dbraw/zinc/93/72/12/1047937212.db2.gz SYSGGBXUZGTXFV-UHFFFAOYSA-N 0 3 323.440 4.257 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc4[nH]ccc43)cccc21 ZINC001174652326 1047937092 /nfs/dbraw/zinc/93/70/92/1047937092.db2.gz ZRMCXBAIEKKSEG-SFHVURJKSA-N 0 3 307.397 4.297 20 0 DIADHN COc1ccc(C(C)(C)NCc2c(C)cccc2C)cc1F ZINC001174692180 1047937314 /nfs/dbraw/zinc/93/73/14/1047937314.db2.gz XCVDVODOSGNTID-UHFFFAOYSA-N 0 3 301.405 4.476 20 0 DIADHN CC(C)(NCc1ccc(Cl)cc1F)[C@H](O)c1ccccc1 ZINC001183500618 1047937638 /nfs/dbraw/zinc/93/76/38/1047937638.db2.gz QZMHVSSDIHKRIR-MRXNPFEDSA-N 0 3 307.796 4.081 20 0 DIADHN C[C@H](CNCc1cnc(-c2ccsc2)s1)C(F)(F)F ZINC000479606206 1047939078 /nfs/dbraw/zinc/93/90/78/1047939078.db2.gz JNJHCPIJHQCWNV-MRVPVSSYSA-N 0 3 306.378 4.160 20 0 DIADHN C[C@@]1(Cc2cccs2)CCCN1Cc1nc2c(o1)CCCC2 ZINC001198298721 1047941208 /nfs/dbraw/zinc/94/12/08/1047941208.db2.gz BPOQCIPLJHBYFX-SFHVURJKSA-N 0 3 316.470 4.212 20 0 DIADHN C(=C\[C@@H]1CCCN1C[C@H]1COc2ccccc2O1)\c1ccccc1 ZINC001198271203 1047943037 /nfs/dbraw/zinc/94/30/37/1047943037.db2.gz UMENIFHQQUNNMI-WVECPLPVSA-N 0 3 321.420 4.004 20 0 DIADHN CC(=O)c1ccc([C@H](C)Nc2ccc(CN(C)C3CC3)cn2)cc1 ZINC001159911916 1047946652 /nfs/dbraw/zinc/94/66/52/1047946652.db2.gz MDBRIAOJUWLCEG-AWEZNQCLSA-N 0 3 323.440 4.052 20 0 DIADHN C[C@H](NCc1cccc(O)c1)c1ccc(-c2ccncc2)cc1 ZINC000072742059 1047949411 /nfs/dbraw/zinc/94/94/11/1047949411.db2.gz OSIRCVQJJHSHBF-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4c(c3)CCCO4)cccc21 ZINC001174722496 1047954324 /nfs/dbraw/zinc/95/43/24/1047954324.db2.gz KYWJQNSVYNZSPE-GOSISDBHSA-N 0 3 324.424 4.140 20 0 DIADHN FC(F)(F)c1cc(Cl)nc(CNC2CC3(CCC3)C2)c1 ZINC001183674274 1047955836 /nfs/dbraw/zinc/95/58/36/1047955836.db2.gz WAJAUOOYIXKVCW-UHFFFAOYSA-N 0 3 304.743 4.176 20 0 DIADHN CCC[C@H]1CCCN1Cc1nc2cc(Br)ccc2[nH]1 ZINC001198431654 1047955893 /nfs/dbraw/zinc/95/58/93/1047955893.db2.gz ZOWAKADGDSBGSA-LBPRGKRZSA-N 0 3 322.250 4.090 20 0 DIADHN CC1(C)CN(Cc2noc3c2CC(C)(C)CC3)[C@@H]2CCC[C@@H]21 ZINC001198457908 1047959163 /nfs/dbraw/zinc/95/91/63/1047959163.db2.gz NYUBRMZIBVSKQC-GOEBONIOSA-N 0 3 302.462 4.200 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001174741662 1047960662 /nfs/dbraw/zinc/96/06/62/1047960662.db2.gz DAHRONXYCCVEPP-LJQANCHMSA-N 0 3 310.441 4.167 20 0 DIADHN Cc1ccc(C)c(C2CCN(Cc3cccc(N(C)C)n3)CC2)c1 ZINC001198466701 1047961060 /nfs/dbraw/zinc/96/10/60/1047961060.db2.gz CMPVHRJJAFNXKK-UHFFFAOYSA-N 0 3 323.484 4.144 20 0 DIADHN COc1ccncc1CN(C)[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000553885821 1047962954 /nfs/dbraw/zinc/96/29/54/1047962954.db2.gz LVEHCJZODDAXNR-KBPBESRZSA-N 0 3 318.848 4.368 20 0 DIADHN C[C@@H](NC[C@H]1Cc2ccccc21)c1nc(C(C)(C)C)cs1 ZINC000553918836 1047966512 /nfs/dbraw/zinc/96/65/12/1047966512.db2.gz MYVZQGSHODHKDT-TZMCWYRMSA-N 0 3 300.471 4.431 20 0 DIADHN Cc1nc([C@H](C)NCC[C@H]2OCCc3ccccc32)c(C)s1 ZINC000927118470 1047966562 /nfs/dbraw/zinc/96/65/62/1047966562.db2.gz YTVPFUNZMPFBCP-YVEFUNNKSA-N 0 3 316.470 4.115 20 0 DIADHN C[C@@H](NCc1cc(CO)ccc1F)c1cccc2ccccc21 ZINC001198507827 1047969314 /nfs/dbraw/zinc/96/93/14/1047969314.db2.gz LPTIXFMEFFGPAW-CQSZACIVSA-N 0 3 309.384 4.322 20 0 DIADHN C[C@H](NC[C@]1(c2ccccc2)CCCO1)c1ccncc1Cl ZINC000927119999 1047972880 /nfs/dbraw/zinc/97/28/80/1047972880.db2.gz NINQUBLTSWOYCV-KSSFIOAISA-N 0 3 316.832 4.092 20 0 DIADHN CSc1ccc(-c2cccc(Nc3ccncc3CN)c2)cc1 ZINC001160027574 1047974719 /nfs/dbraw/zinc/97/47/19/1047974719.db2.gz GZORITKZYULSLF-UHFFFAOYSA-N 0 3 321.449 4.095 20 0 DIADHN C=CNc1ccccc1CN(C)C[C@H](O)c1ccc(Cl)cc1 ZINC001174791456 1047974747 /nfs/dbraw/zinc/97/47/47/1047974747.db2.gz FDYRMKZIVCNEMU-SFHVURJKSA-N 0 3 316.832 4.061 20 0 DIADHN Cc1cccc([C@@H](O)CN2CC=C(c3cccc(C)c3C)CC2)c1 ZINC001198575456 1047975660 /nfs/dbraw/zinc/97/56/60/1047975660.db2.gz WUUVUMLPIXTHBB-QFIPXVFZSA-N 0 3 321.464 4.435 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@@H](Cc2nccs2)C1 ZINC001198575541 1047975861 /nfs/dbraw/zinc/97/58/61/1047975861.db2.gz XRGJWXZBSKZFLS-LRDDRELGSA-N 0 3 322.424 4.437 20 0 DIADHN Cn1cccc1CN1CCC[C@@H]1c1ccc(OC(F)(F)F)cc1 ZINC001203269852 1047977443 /nfs/dbraw/zinc/97/74/43/1047977443.db2.gz ABZOJAFRDGBBGB-MRXNPFEDSA-N 0 3 324.346 4.261 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4cc[nH]c4c3)cccc21 ZINC001174751762 1047977788 /nfs/dbraw/zinc/97/77/88/1047977788.db2.gz OLBIVUOQKREGBN-GOSISDBHSA-N 0 3 307.397 4.297 20 0 DIADHN CC[C@@H](c1ccccc1)N(Cc1n[nH]c(C(C)C)n1)C(C)C ZINC001174819115 1047982248 /nfs/dbraw/zinc/98/22/48/1047982248.db2.gz VQRVIMJHVIDWTR-INIZCTEOSA-N 0 3 300.450 4.290 20 0 DIADHN CC[C@H](c1ccccc1)N(Cc1n[nH]c(C(C)C)n1)C(C)C ZINC001174819116 1047982735 /nfs/dbraw/zinc/98/27/35/1047982735.db2.gz VQRVIMJHVIDWTR-MRXNPFEDSA-N 0 3 300.450 4.290 20 0 DIADHN CCc1cc(OC)ccc1CN(Cc1ccccn1)CC(C)C ZINC001183929359 1047983705 /nfs/dbraw/zinc/98/37/05/1047983705.db2.gz MKYYPGJWMAASRX-UHFFFAOYSA-N 0 3 312.457 4.311 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1cn(C2CCC2)nn1 ZINC000667563601 1047985551 /nfs/dbraw/zinc/98/55/51/1047985551.db2.gz JDECHVRMJRTVPJ-UXHICEINSA-N 0 3 324.472 4.161 20 0 DIADHN COC[C@@H](NC[C@@]1(C)CCCS1)c1cccc(Cl)c1F ZINC000554045737 1047991772 /nfs/dbraw/zinc/99/17/72/1047991772.db2.gz TWHHEWNASYZXFM-UKRRQHHQSA-N 0 3 317.857 4.042 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CNCc1cccc2c[nH]nc21 ZINC000153348908 1047995772 /nfs/dbraw/zinc/99/57/72/1047995772.db2.gz YZXVNCZWGLVKIY-OAHLLOKOSA-N 0 3 323.440 4.338 20 0 DIADHN C(c1nc(C2CCC2)no1)N1CCCCC12CCCCCC2 ZINC001198742655 1047996820 /nfs/dbraw/zinc/99/68/20/1047996820.db2.gz OUMHROKDSGMJMB-UHFFFAOYSA-N 0 3 303.450 4.416 20 0 DIADHN Cc1ccc(Cl)cc1CN[C@H](c1nnc[nH]1)C1CCCCC1 ZINC001184153295 1047999175 /nfs/dbraw/zinc/99/91/75/1047999175.db2.gz DTPXQEIOZXVUSX-INIZCTEOSA-N 0 3 318.852 4.178 20 0 DIADHN COCC(C)(C)CCCN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000554090779 1048000817 /nfs/dbraw/zinc/00/08/17/1048000817.db2.gz YBIBAXHCRAYOIJ-ZDUSSCGKSA-N 0 3 310.507 4.125 20 0 DIADHN Cn1ccnc1Nc1cc(C(F)(F)F)cc(C(F)(F)F)c1 ZINC001174852249 1048001151 /nfs/dbraw/zinc/00/11/51/1048001151.db2.gz FVBCLOFAJDVKFZ-UHFFFAOYSA-N 0 3 309.213 4.201 20 0 DIADHN CC[C@@H]1CC[C@@H]1NCc1nc(COC)sc1-c1ccccc1 ZINC000554095032 1048001260 /nfs/dbraw/zinc/00/12/60/1048001260.db2.gz AXKFFXKKQQUEGB-HIFRSBDPSA-N 0 3 316.470 4.235 20 0 DIADHN Fc1cccc(Br)c1CNC1CCCCCC1 ZINC000160876638 1048007347 /nfs/dbraw/zinc/00/73/47/1048007347.db2.gz LNHZGMMYTIHEEY-UHFFFAOYSA-N 0 3 300.215 4.401 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@H]1CC[C@H]1C1CC1 ZINC000554088797 1048007306 /nfs/dbraw/zinc/00/73/06/1048007306.db2.gz NSWBBHPXCWCBMG-HKUYNNGSSA-N 0 3 316.514 4.144 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCCC[C@@]12CCCOC2 ZINC001198822074 1048008631 /nfs/dbraw/zinc/00/86/31/1048008631.db2.gz ZNXBNYLOIVBETG-FQEVSTJZSA-N 0 3 317.473 4.107 20 0 DIADHN Nc1c(Cl)cccc1NC1=CCCN(Cc2ccccc2)C1 ZINC001160266637 1048017745 /nfs/dbraw/zinc/01/77/45/1048017745.db2.gz MXFVXCDUFBRLIF-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN Cc1cccc(C)c1Oc1ccc(Nc2ccncc2CN)cc1 ZINC001160299548 1048024157 /nfs/dbraw/zinc/02/41/57/1048024157.db2.gz ZABPUISVOFWMOI-UHFFFAOYSA-N 0 3 319.408 4.115 20 0 DIADHN Nc1cc(NC2=CCN(Cc3ccccc3)CC2)ccc1Cl ZINC001160305087 1048028668 /nfs/dbraw/zinc/02/86/68/1048028668.db2.gz UHQRSDIJPRTGMS-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN Cc1c(N)cccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001160310332 1048028820 /nfs/dbraw/zinc/02/88/20/1048028820.db2.gz OHFMMVIQHSPNSM-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN C[C@H](NCc1ccc2oc(=O)oc2c1)c1cccc2ccccc21 ZINC001199069822 1048034466 /nfs/dbraw/zinc/03/44/66/1048034466.db2.gz WMXMPSLJCQTPRR-ZDUSSCGKSA-N 0 3 319.360 4.390 20 0 DIADHN CC(C)(NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1nc2ccccc2s1 ZINC001174932743 1048035446 /nfs/dbraw/zinc/03/54/46/1048035446.db2.gz GBNCCBROENFVQV-IPYPFGDCSA-N 0 3 316.470 4.079 20 0 DIADHN CC(C)(NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1nc2ccccc2s1 ZINC001174932745 1048035747 /nfs/dbraw/zinc/03/57/47/1048035747.db2.gz GBNCCBROENFVQV-VNHYZAJKSA-N 0 3 316.470 4.079 20 0 DIADHN Cc1cc(C)c(CNCc2cnn(-c3ccccc3)c2)c(C)c1 ZINC001199137176 1048036946 /nfs/dbraw/zinc/03/69/46/1048036946.db2.gz QEJBWOYDKTUEOQ-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CC[C@](C)(O)CNCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001199118838 1048040177 /nfs/dbraw/zinc/04/01/77/1048040177.db2.gz RSKNGVYDGDMKHG-HNNXBMFYSA-N 0 3 320.260 4.031 20 0 DIADHN Cc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(N)c1C ZINC001160377076 1048042897 /nfs/dbraw/zinc/04/28/97/1048042897.db2.gz IYHJGUYAGCCLFE-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN NCc1ccc(Nc2c(Cl)ccc3ccc(Cl)nc32)cn1 ZINC001160405741 1048048166 /nfs/dbraw/zinc/04/81/66/1048048166.db2.gz LXGGKPKYGPKXJX-UHFFFAOYSA-N 0 3 319.195 4.139 20 0 DIADHN NCc1ccc(Nc2cc(Cl)nc3cc(Cl)ccc32)cn1 ZINC001160402060 1048048733 /nfs/dbraw/zinc/04/87/33/1048048733.db2.gz KJYGWHCSIGSVJN-UHFFFAOYSA-N 0 3 319.195 4.139 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNC[C@@H]1C[C@H]1C ZINC001199276911 1048054691 /nfs/dbraw/zinc/05/46/91/1048054691.db2.gz VVXJSDIIBGYYSC-KGLIPLIRSA-N 0 3 317.864 4.395 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000480129278 1048066910 /nfs/dbraw/zinc/06/69/10/1048066910.db2.gz YTKPOWOHIGJOBT-RDJZCZTQSA-N 0 3 314.429 4.025 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480166384 1048075982 /nfs/dbraw/zinc/07/59/82/1048075982.db2.gz JIKJXYNLZPDRIZ-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Fc1cccc(OCCNCc2cnc(C3CCCC3)s2)c1 ZINC001199564576 1048077341 /nfs/dbraw/zinc/07/73/41/1048077341.db2.gz XQBPYGCXYBWYHM-UHFFFAOYSA-N 0 3 320.433 4.108 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000554363868 1048079822 /nfs/dbraw/zinc/07/98/22/1048079822.db2.gz RNOQFPSNZUFXOH-KSSFIOAISA-N 0 3 303.833 4.423 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000776003969 1048080145 /nfs/dbraw/zinc/08/01/45/1048080145.db2.gz YUCDKZUKSUYIDR-SWLSCSKDSA-N 0 3 320.868 4.286 20 0 DIADHN C[C@H](NC[C@@H]1SCCc2ccccc21)c1ccc(Cl)cn1 ZINC000480177910 1048080343 /nfs/dbraw/zinc/08/03/43/1048080343.db2.gz HQJVRFDWCHQZEN-SJCJKPOMSA-N 0 3 318.873 4.416 20 0 DIADHN C[C@@H](NC[C@@H]1SCCc2ccccc21)c1ccc(Cl)cn1 ZINC000480177909 1048080427 /nfs/dbraw/zinc/08/04/27/1048080427.db2.gz HQJVRFDWCHQZEN-PXAZEXFGSA-N 0 3 318.873 4.416 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1conc1Cc1ccccc1 ZINC001199648491 1048082452 /nfs/dbraw/zinc/08/24/52/1048082452.db2.gz KQBCROFSQMFALA-OAHLLOKOSA-N 0 3 324.399 4.125 20 0 DIADHN COc1ccc(CN[C@H](C)COc2ccc(C(C)(C)C)cc2)o1 ZINC001199659078 1048084925 /nfs/dbraw/zinc/08/49/25/1048084925.db2.gz SRKXAIZOMJPISU-CQSZACIVSA-N 0 3 317.429 4.143 20 0 DIADHN Cc1ccc(CN[C@H](C)COc2ccc(C(C)(C)C)cc2)o1 ZINC001199659180 1048085806 /nfs/dbraw/zinc/08/58/06/1048085806.db2.gz VPZMXBIQYIBXSK-CQSZACIVSA-N 0 3 301.430 4.443 20 0 DIADHN CC[C@@H](CN1CCC(C(=O)C(F)(F)F)CC1)c1ccccc1 ZINC000776009285 1048086276 /nfs/dbraw/zinc/08/62/76/1048086276.db2.gz RZYGHEIJIXDIDD-ZDUSSCGKSA-N 0 3 313.363 4.024 20 0 DIADHN Fc1ccc(CNCc2cccc(-c3cccnc3)c2)cc1F ZINC001199742657 1048095415 /nfs/dbraw/zinc/09/54/15/1048095415.db2.gz KKNVKZKZLVVNGN-UHFFFAOYSA-N 0 3 310.347 4.317 20 0 DIADHN Cc1ccc(C[C@@]2(C)CCCN2Cc2coc(C3CC3)n2)cc1 ZINC001175036575 1048102052 /nfs/dbraw/zinc/10/20/52/1048102052.db2.gz SJRKXKDESGBXHR-HXUWFJFHSA-N 0 3 310.441 4.458 20 0 DIADHN CCCCC[C@H](NCc1nc(-c2ccoc2)no1)C(C)(C)C ZINC000776023963 1048102191 /nfs/dbraw/zinc/10/21/91/1048102191.db2.gz SLXJEUFDJOWBCZ-AWEZNQCLSA-N 0 3 305.422 4.414 20 0 DIADHN CCOc1ccc(F)c(Nc2ccc3c(c2)CN(C)CC3)c1F ZINC001175039994 1048103305 /nfs/dbraw/zinc/10/33/05/1048103305.db2.gz YKCCKGHZDZECNJ-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN CN(C)c1ncc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)s1 ZINC001199813469 1048106056 /nfs/dbraw/zinc/10/60/56/1048106056.db2.gz VSKDWNVOJBIWFJ-HNNXBMFYSA-N 0 3 321.465 4.225 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](c1ccco1)N(CC)CC ZINC001199864403 1048109186 /nfs/dbraw/zinc/10/91/86/1048109186.db2.gz JQAYDNOZBLQTNF-GOSISDBHSA-N 0 3 318.436 4.154 20 0 DIADHN CC[C@@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C2CC2)o1 ZINC000554484443 1048111039 /nfs/dbraw/zinc/11/10/39/1048111039.db2.gz RLSCWQWIQGYXIX-DLBZAZTESA-N 0 3 311.429 4.105 20 0 DIADHN CC(C)c1cnc(CNC[C@H](C)COCc2ccccc2)s1 ZINC001199906998 1048112244 /nfs/dbraw/zinc/11/22/44/1048112244.db2.gz POYPREFVMITAAU-HNNXBMFYSA-N 0 3 318.486 4.209 20 0 DIADHN Clc1cccc(Cl)c1CCCN1CCC2(CCO2)CC1 ZINC000554573935 1048131977 /nfs/dbraw/zinc/13/19/77/1048131977.db2.gz NBHUXRKEICNLIF-UHFFFAOYSA-N 0 3 314.256 4.181 20 0 DIADHN Cc1ccccc1CC(C)(C)Nc1ccc(CN(C)C2CC2)cn1 ZINC001161452350 1048132993 /nfs/dbraw/zinc/13/29/93/1048132993.db2.gz OTXRSIBNIUFJEQ-UHFFFAOYSA-N 0 3 323.484 4.417 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NCc2ccsc2Cl)C1 ZINC000480397301 1048136395 /nfs/dbraw/zinc/13/63/95/1048136395.db2.gz QOAHQMSPKPOLHN-CJNGLKHVSA-N 0 3 320.889 4.327 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NCc2ccsc2Cl)C1 ZINC000480397302 1048136699 /nfs/dbraw/zinc/13/66/99/1048136699.db2.gz QOAHQMSPKPOLHN-CZUORRHYSA-N 0 3 320.889 4.327 20 0 DIADHN CCOc1ccc(Nc2ccccc2CN(C)C)c(F)c1F ZINC001175152904 1048145876 /nfs/dbraw/zinc/14/58/76/1048145876.db2.gz ZANSOVHJPFCBCF-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN CCC1(CNCc2c(Cl)cc(Cl)cc2N(C)C)CC1 ZINC001200863683 1048149739 /nfs/dbraw/zinc/14/97/39/1048149739.db2.gz FQAMMNFDJIIJAI-UHFFFAOYSA-N 0 3 301.261 4.339 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNCC1(C)CC1 ZINC001200880800 1048152971 /nfs/dbraw/zinc/15/29/71/1048152971.db2.gz XVIJNKCAMYVVJE-UHFFFAOYSA-N 0 3 324.255 4.377 20 0 DIADHN CCO[C@@H](CCNCc1ccsc1Br)C(C)C ZINC001200910299 1048154490 /nfs/dbraw/zinc/15/44/90/1048154490.db2.gz BDWNJTNBPRIGDF-LBPRGKRZSA-N 0 3 320.296 4.051 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCN2Cc2cnc3n2CCC3)cc1 ZINC000667683659 1048156833 /nfs/dbraw/zinc/15/68/33/1048156833.db2.gz XLCJJYUQDIMHPI-IBGZPJMESA-N 0 3 309.457 4.290 20 0 DIADHN CN(C)Cc1ccc(NC(=O)/C=C/C2CCCCCC2)c(F)c1 ZINC001161700329 1048156987 /nfs/dbraw/zinc/15/69/87/1048156987.db2.gz WTXVHFPTCGNIOK-ZRDIBKRKSA-N 0 3 318.436 4.352 20 0 DIADHN Oc1cccc2c1CCN(CCCc1ccc(Cl)cc1)C2 ZINC001175140747 1048163982 /nfs/dbraw/zinc/16/39/82/1048163982.db2.gz PYKNYZVFFOQDOE-UHFFFAOYSA-N 0 3 301.817 4.037 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3ccncc3)cc2)cnc1F ZINC001200940945 1048164896 /nfs/dbraw/zinc/16/48/96/1048164896.db2.gz AWDDPQGAPRWQST-HNNXBMFYSA-N 0 3 321.399 4.442 20 0 DIADHN COc1cc2c(cc1CN[C@@H](C)c1sccc1C)O[C@@H](C)C2 ZINC000480530451 1048167732 /nfs/dbraw/zinc/16/77/32/1048167732.db2.gz SHJYPDHZVMJQKB-STQMWFEESA-N 0 3 317.454 4.239 20 0 DIADHN CC1(C)CCN(Cc2ccc(OCc3ccccc3F)nc2)C1 ZINC000480534886 1048168338 /nfs/dbraw/zinc/16/83/38/1048168338.db2.gz XTEVKEORTICUFY-UHFFFAOYSA-N 0 3 314.404 4.032 20 0 DIADHN Cc1cnc(CNCCc2ccc(-c3ccccc3)cc2)s1 ZINC001201008644 1048179579 /nfs/dbraw/zinc/17/95/79/1048179579.db2.gz PJFVNLGLQXHYFA-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN Cc1ccc(C(=O)CCN2CCCC[C@@H]2c2cccn2C)cc1 ZINC000480562811 1048180668 /nfs/dbraw/zinc/18/06/68/1048180668.db2.gz JTOJQIBCIDZKFN-LJQANCHMSA-N 0 3 310.441 4.134 20 0 DIADHN Cc1ccc(C(=O)CCN(CCC(F)(F)F)CC2CC2)cc1 ZINC000480564908 1048181385 /nfs/dbraw/zinc/18/13/85/1048181385.db2.gz CWFKPKDPMJOYAI-UHFFFAOYSA-N 0 3 313.363 4.232 20 0 DIADHN C[C@@H](NC[C@H]1CC1(Cl)Cl)c1ccccc1OC(F)F ZINC000774883262 1048182745 /nfs/dbraw/zinc/18/27/45/1048182745.db2.gz LSYAXKLMIDGHNT-RKDXNWHRSA-N 0 3 310.171 4.132 20 0 DIADHN Cc1cc(NC(=S)Nc2ccccc2CN(C)C)ccc1F ZINC001201039333 1048184717 /nfs/dbraw/zinc/18/47/17/1048184717.db2.gz OMAUVGUPVZRJFW-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1C[C@@H]1CCC2(CCCC2)O1 ZINC000554812022 1048191461 /nfs/dbraw/zinc/19/14/61/1048191461.db2.gz JVVRMEQDANEBBI-RDBSUJKOSA-N 0 3 305.384 4.141 20 0 DIADHN CC(C)Cc1ccc([C@H]([NH2+]Cc2ncccc2[O-])C2CC2)cc1 ZINC000554860169 1048203874 /nfs/dbraw/zinc/20/38/74/1048203874.db2.gz AMARKHFYZWYZKD-FQEVSTJZSA-N 0 3 310.441 4.227 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccccc1OC(F)(F)F)C(F)(F)F ZINC000480661211 1048204571 /nfs/dbraw/zinc/20/45/71/1048204571.db2.gz HRFHWIRXSRZHPT-BDAKNGLRSA-N 0 3 315.257 4.434 20 0 DIADHN Brc1ccccc1[C@@H]1CCCN1C[C@H]1CCC=CO1 ZINC000774910611 1048207502 /nfs/dbraw/zinc/20/75/02/1048207502.db2.gz SUOFFHAUFSYQAQ-CJNGLKHVSA-N 0 3 322.246 4.279 20 0 DIADHN C[C@@H](CN[C@@H]1CCCOc2ccc(Cl)cc21)C(F)(F)F ZINC000480660888 1048209271 /nfs/dbraw/zinc/20/92/71/1048209271.db2.gz JEUGNXBEIGMKRP-JOYOIKCWSA-N 0 3 307.743 4.342 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2cc(Cl)ccc21)C(F)(F)F ZINC000480669019 1048211621 /nfs/dbraw/zinc/21/16/21/1048211621.db2.gz UODPKOOWUQGUHB-BXKDBHETSA-N 0 3 307.743 4.342 20 0 DIADHN COC[C@H](C)Oc1ccc(CNCc2csc(C)c2C)cc1 ZINC000631205411 1048213065 /nfs/dbraw/zinc/21/30/65/1048213065.db2.gz AKAZTEAXYSDCLJ-ZDUSSCGKSA-N 0 3 319.470 4.068 20 0 DIADHN C[C@@H](NC1(Cc2ccccc2)CC1)c1nc2c(s1)CCCC2 ZINC000480690618 1048217727 /nfs/dbraw/zinc/21/77/27/1048217727.db2.gz SSIJVBNXIFTFBA-CQSZACIVSA-N 0 3 312.482 4.448 20 0 DIADHN c1nc(CN[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)sc1-c1ccccc1 ZINC000554880706 1048221534 /nfs/dbraw/zinc/22/15/34/1048221534.db2.gz PIIJEPARMDHPKI-PWIZWCRZSA-N 0 3 318.445 4.238 20 0 DIADHN Cc1ccc(CNc2cc(C)cc(C)n2)c(-c2ccnn2C)c1 ZINC000554917646 1048227281 /nfs/dbraw/zinc/22/72/81/1048227281.db2.gz GLBADMDRUWDCHY-UHFFFAOYSA-N 0 3 306.413 4.019 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc(N2CCCCC2)nc1 ZINC000480725310 1048232311 /nfs/dbraw/zinc/23/23/11/1048232311.db2.gz DMAKQUHFVJSSPI-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccco1 ZINC000480742220 1048236018 /nfs/dbraw/zinc/23/60/18/1048236018.db2.gz CDAQWDBAEPXRDV-QWHCGFSZSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@H](NC[C@H](c1cccc(Cl)c1)N1CCCC1)c1ccco1 ZINC000480767971 1048236577 /nfs/dbraw/zinc/23/65/77/1048236577.db2.gz IVALGIYHAFVNCS-WMLDXEAASA-N 0 3 318.848 4.421 20 0 DIADHN C[C@H](NC[C@@H](c1cccc(Cl)c1)N1CCCC1)c1ccco1 ZINC000480767973 1048236773 /nfs/dbraw/zinc/23/67/73/1048236773.db2.gz IVALGIYHAFVNCS-YOEHRIQHSA-N 0 3 318.848 4.421 20 0 DIADHN CC[S@@](=O)CCN[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000776154597 1048236974 /nfs/dbraw/zinc/23/69/74/1048236974.db2.gz BEFQTGKIIPAKMO-WHEQGISXSA-N 0 3 315.438 4.005 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCO[C@H]2CC(C)C)c(F)c1 ZINC000480772358 1048242498 /nfs/dbraw/zinc/24/24/98/1048242498.db2.gz WSHYPQLQGFUIKH-VHSSKADRSA-N 0 3 309.425 4.079 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCC=CO2)c2cccs2)cc1 ZINC000774955237 1048242769 /nfs/dbraw/zinc/24/27/69/1048242769.db2.gz NGLXYXIYPWAUJR-NVXWUHKLSA-N 0 3 303.402 4.259 20 0 DIADHN C[C@@H](N[C@H](C)c1nccc2ccccc21)c1ccc2c(c1)OCO2 ZINC000554988548 1048244499 /nfs/dbraw/zinc/24/44/99/1048244499.db2.gz NUWTZVVZRCUCMK-ZIAGYGMSSA-N 0 3 320.392 4.375 20 0 DIADHN [O-]c1ccc(F)c(Nc2ccc(C[NH+]3CCCC3)cc2)c1F ZINC001175265844 1048246657 /nfs/dbraw/zinc/24/66/57/1048246657.db2.gz QISHWONNLJLKJR-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN(Cc2ccc(C)c(Cl)c2)C1 ZINC001205340850 1048247339 /nfs/dbraw/zinc/24/73/39/1048247339.db2.gz MSXGMCSJJDUYJR-HNNXBMFYSA-N 0 3 323.864 4.060 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NC[C@H](O)c1ccc(C)o1 ZINC000776162017 1048248509 /nfs/dbraw/zinc/24/85/09/1048248509.db2.gz BAYJQQNIHZWROA-CJNGLKHVSA-N 0 3 313.397 4.281 20 0 DIADHN COc1ccc(C(C)=O)c(Nc2cccc(CN(C)C)c2)c1C ZINC001201400222 1048249773 /nfs/dbraw/zinc/24/97/73/1048249773.db2.gz SZIOQSFQLKKKCB-UHFFFAOYSA-N 0 3 312.413 4.011 20 0 DIADHN COc1ccccc1CN(C[C@@H](OC)c1ccccc1)C1CC1 ZINC000555012550 1048253035 /nfs/dbraw/zinc/25/30/35/1048253035.db2.gz HSBQQKVTDGHQOS-HXUWFJFHSA-N 0 3 311.425 4.047 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2nc(C)cs2)C2CC2)C[C@@H]1OC ZINC000776162728 1048259402 /nfs/dbraw/zinc/25/94/02/1048259402.db2.gz JTMSOFKNDXQHJQ-ILXRZTDVSA-N 0 3 308.491 4.086 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2nc(C)cs2)C2CC2)C[C@H]1OC ZINC000776162729 1048259875 /nfs/dbraw/zinc/25/98/75/1048259875.db2.gz JTMSOFKNDXQHJQ-KFWWJZLASA-N 0 3 308.491 4.086 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@H](C2CCOCC2)C1 ZINC000480875167 1048262146 /nfs/dbraw/zinc/26/21/46/1048262146.db2.gz LZWGZJRCNMVBQN-OCCSQVGLSA-N 0 3 311.828 4.289 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(C)ccc32)cc1Cl ZINC000776170236 1048268123 /nfs/dbraw/zinc/26/81/23/1048268123.db2.gz IVLFDKSSWROPFF-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN C[C@@H]1CC[C@H](N(CCO)Cc2cnc(C3CCCCC3)s2)C1 ZINC000555104141 1048270379 /nfs/dbraw/zinc/27/03/79/1048270379.db2.gz DMOQUSCJZVXIIF-ZBFHGGJFSA-N 0 3 322.518 4.174 20 0 DIADHN CC(C)(C)c1nnc([N-]c2ccc(C[NH+]3CCCCC3)cc2)o1 ZINC001175361386 1048276393 /nfs/dbraw/zinc/27/63/93/1048276393.db2.gz KATJPTDSWZKDLH-UHFFFAOYSA-N 0 3 314.433 4.097 20 0 DIADHN Cc1cc(Br)cc(CN[C@H](C)c2cccc(O)c2)c1 ZINC000774993975 1048278600 /nfs/dbraw/zinc/27/86/00/1048278600.db2.gz UDTJLMAZFZMIBP-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN Cc1noc(N=C2C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1C ZINC001201470868 1048294444 /nfs/dbraw/zinc/29/44/44/1048294444.db2.gz XLDXBKDISNOCRH-MSOLQXFVSA-N 0 3 309.413 4.024 20 0 DIADHN COc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1 ZINC001201474685 1048294589 /nfs/dbraw/zinc/29/45/89/1048294589.db2.gz KSBLVXMAIIKXLB-UXHICEINSA-N 0 3 320.436 4.428 20 0 DIADHN Cc1ccncc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201470898 1048295158 /nfs/dbraw/zinc/29/51/58/1048295158.db2.gz ZFYMHBUCLAVCNP-MOPGFXCFSA-N 0 3 305.425 4.123 20 0 DIADHN Cc1cc(Br)cc(CN[C@@H](C)c2cnccc2C)c1 ZINC000775016114 1048301221 /nfs/dbraw/zinc/30/12/21/1048301221.db2.gz LFISRPGFRYFYQA-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1cc(Br)cc(CN[C@H](C)c2cnccc2C)c1 ZINC000775016008 1048303277 /nfs/dbraw/zinc/30/32/77/1048303277.db2.gz LFISRPGFRYFYQA-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(CC(C)C)nc1)CCC2 ZINC001201549080 1048304997 /nfs/dbraw/zinc/30/49/97/1048304997.db2.gz GEGLYKKDHLJSKB-NRFANRHFSA-N 0 3 324.468 4.456 20 0 DIADHN c1ccc(COC[C@@H](NC[C@H]2CCC=CO2)c2ccccc2)cc1 ZINC000775024597 1048312965 /nfs/dbraw/zinc/31/29/65/1048312965.db2.gz QVFHRAKDEGQNDL-NHCUHLMSSA-N 0 3 323.436 4.227 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nc(-c2cccs2)no1 ZINC000481175852 1048321179 /nfs/dbraw/zinc/32/11/79/1048321179.db2.gz NEQHECGDVXCCRD-LPWJVIDDSA-N 0 3 305.447 4.273 20 0 DIADHN CCCCOc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1 ZINC001203972991 1048325581 /nfs/dbraw/zinc/32/55/81/1048325581.db2.gz YIWTUNRRAQWOMC-MRXNPFEDSA-N 0 3 315.379 4.435 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)N[C@@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000481185745 1048325447 /nfs/dbraw/zinc/32/54/47/1048325447.db2.gz XBKXJTNIVIVDRS-GQWLDOHISA-N 0 3 309.453 4.194 20 0 DIADHN Fc1ccc(NC[C@H]2CCC(F)(F)C2)cc1CN1CCCC1 ZINC001162655337 1048329762 /nfs/dbraw/zinc/32/97/62/1048329762.db2.gz KESABTJQBGHHBX-ZDUSSCGKSA-N 0 3 312.379 4.269 20 0 DIADHN CC(C)CCN1CCN(Cc2c(Cl)cccc2Cl)CC1 ZINC001201623837 1048337289 /nfs/dbraw/zinc/33/72/89/1048337289.db2.gz OQAVFJVODVVOFS-UHFFFAOYSA-N 0 3 315.288 4.157 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccc(OC(F)F)c(F)c1 ZINC000555419681 1048340931 /nfs/dbraw/zinc/34/09/31/1048340931.db2.gz SVALAKDSNHEGBZ-APPDUMDISA-N 0 3 321.342 4.450 20 0 DIADHN Cc1cc(NC2=CCN(Cc3ccccc3)CC2)cnc1Cl ZINC001175505964 1048341167 /nfs/dbraw/zinc/34/11/67/1048341167.db2.gz XEPICPCQVBBQMV-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccc4ccncc43)CC2)cc1 ZINC001175505502 1048341322 /nfs/dbraw/zinc/34/13/22/1048341322.db2.gz FPKBJWYCKNRBIO-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN COc1cccc(C)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505442 1048342757 /nfs/dbraw/zinc/34/27/57/1048342757.db2.gz BRXBLSJENIQWLS-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN c1sc2cnccc2c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505072 1048343351 /nfs/dbraw/zinc/34/33/51/1048343351.db2.gz NJAVJGYYWPTGEU-UHFFFAOYSA-N 0 3 321.449 4.498 20 0 DIADHN COc1cc(F)cc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506974 1048344753 /nfs/dbraw/zinc/34/47/53/1048344753.db2.gz RBCMCMXUDOZCDB-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN c1nsc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc12 ZINC001175507005 1048344882 /nfs/dbraw/zinc/34/48/82/1048344882.db2.gz SMQYBMSXYNAIGO-UHFFFAOYSA-N 0 3 321.449 4.498 20 0 DIADHN COCc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1 ZINC001175507487 1048346226 /nfs/dbraw/zinc/34/62/26/1048346226.db2.gz WXUBSQVOWJEKKT-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN CCOc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1 ZINC001175507089 1048346369 /nfs/dbraw/zinc/34/63/69/1048346369.db2.gz UZIFILWJSYEZJP-UHFFFAOYSA-N 0 3 308.425 4.287 20 0 DIADHN CNc1cccc(C)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506698 1048346493 /nfs/dbraw/zinc/34/64/93/1048346493.db2.gz DIMGMLWAFYRRFV-UHFFFAOYSA-N 0 3 307.441 4.238 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(F)c(C(F)(F)F)c1 ZINC001162766555 1048352891 /nfs/dbraw/zinc/35/28/91/1048352891.db2.gz PJBCXCLQALOCNP-VIFPVBQESA-N 0 3 323.293 4.203 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc(-c2ccncc2)cc1 ZINC001162776897 1048356628 /nfs/dbraw/zinc/35/66/28/1048356628.db2.gz FXXKZMRQYLESFJ-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN Cc1cc(OC(C)C)ccc1-n1c2ccccc2nc1[C@@H](C)N ZINC001162779172 1048357141 /nfs/dbraw/zinc/35/71/41/1048357141.db2.gz WAHVWWXSPYOBJM-CQSZACIVSA-N 0 3 309.413 4.141 20 0 DIADHN Cc1c(Cl)ccc2c1CN(CC[C@@H](O)c1ccccc1)CC2 ZINC001175579020 1048375638 /nfs/dbraw/zinc/37/56/38/1048375638.db2.gz PJXJJGWGAHYEHE-LJQANCHMSA-N 0 3 315.844 4.130 20 0 DIADHN C[C@H](NCc1cccc2ccoc21)c1ccc([S@](C)=O)cc1 ZINC001201857449 1048382240 /nfs/dbraw/zinc/38/22/40/1048382240.db2.gz MNPUEQRRTDSHKV-XMHCIUCPSA-N 0 3 313.422 4.021 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCSCC(C)C ZINC001201856878 1048382258 /nfs/dbraw/zinc/38/22/58/1048382258.db2.gz CAMVMVXMFIKADL-UHFFFAOYSA-N 0 3 301.883 4.218 20 0 DIADHN C[C@H]1CCN(Cc2ccccc2)C[C@@H]1Nc1ncc(Cl)s1 ZINC001187326058 1048388314 /nfs/dbraw/zinc/38/83/14/1048388314.db2.gz LBVGFLDLJKJLND-JSGCOSHPSA-N 0 3 321.877 4.119 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H](OC(C)C)C2(C)C)c(Cl)c1 ZINC001201888877 1048388722 /nfs/dbraw/zinc/38/87/22/1048388722.db2.gz KGIHEMLGABQCIJ-JKSUJKDBSA-N 0 3 311.853 4.030 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(F)c3ccccc23)cc1 ZINC001187295256 1048390232 /nfs/dbraw/zinc/39/02/32/1048390232.db2.gz XHPXANVOKBCBSU-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN CCOc1cncc(CN[C@H]2c3ccccc3CCC[C@H]2C)c1 ZINC001201901520 1048391391 /nfs/dbraw/zinc/39/13/91/1048391391.db2.gz OWEBGWACCOFKQU-FOIQADDNSA-N 0 3 310.441 4.284 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000481413646 1048392439 /nfs/dbraw/zinc/39/24/39/1048392439.db2.gz NOIJNSQLTJCIAR-ZBEGNZNMSA-N 0 3 306.356 4.240 20 0 DIADHN CCc1nocc1CNCC(C)(C)c1cccc(Cl)c1F ZINC000555577185 1048394554 /nfs/dbraw/zinc/39/45/54/1048394554.db2.gz BPAOOJDHWBNZRJ-UHFFFAOYSA-N 0 3 310.800 4.097 20 0 DIADHN Cc1ccnc(CNC[C@@H](C)c2ccccc2)c1Br ZINC001201929784 1048395177 /nfs/dbraw/zinc/39/51/77/1048395177.db2.gz RWOFZISLMWYMGY-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1cc3ccccc3n1C)CCO2 ZINC001201920328 1048402079 /nfs/dbraw/zinc/40/20/79/1048402079.db2.gz OWJMHCUPKZANAL-LJQANCHMSA-N 0 3 320.436 4.354 20 0 DIADHN Clc1ccccc1C[C@@H]1CCCCCN1Cc1c[nH]cn1 ZINC000555611422 1048406587 /nfs/dbraw/zinc/40/65/87/1048406587.db2.gz FVHILBBFBBQMNR-INIZCTEOSA-N 0 3 303.837 4.050 20 0 DIADHN Cc1ccnc(CN[C@](C)(Cc2ccc(Cl)cc2)C(C)C)n1 ZINC000555673209 1048419341 /nfs/dbraw/zinc/41/93/41/1048419341.db2.gz ZAQNRSJEOBFHAC-GOSISDBHSA-N 0 3 317.864 4.185 20 0 DIADHN CC1(C)CN(Cc2ccc(Oc3ccccc3)nn2)[C@@H]2CCC[C@H]21 ZINC000555721850 1048427788 /nfs/dbraw/zinc/42/77/88/1048427788.db2.gz XHQSPZWMCNAOFC-QZTJIDSGSA-N 0 3 323.440 4.279 20 0 DIADHN Cc1cc(CN2CCC3(CCOC3(C)C)CC2)cc(F)c1F ZINC001143610808 1048430673 /nfs/dbraw/zinc/43/06/73/1048430673.db2.gz IAGJJACVNHAFTO-UHFFFAOYSA-N 0 3 309.400 4.054 20 0 DIADHN CC(C)P(CCNC(=O)c1cc(C(F)(F)F)c[nH]1)C(C)C ZINC001187709476 1048432168 /nfs/dbraw/zinc/43/21/68/1048432168.db2.gz OECJCPAGULKUEQ-UHFFFAOYSA-N 0 3 322.311 4.062 20 0 DIADHN CCCCN(Cc1nnc(C2CC2)n1C1CC1)C1CCCCC1 ZINC000555773589 1048437549 /nfs/dbraw/zinc/43/75/49/1048437549.db2.gz BANZTHZGTMCELJ-UHFFFAOYSA-N 0 3 316.493 4.425 20 0 DIADHN Clc1cc2ccccc2c(N[C@H]2CNCc3ccsc32)n1 ZINC001163220739 1048439127 /nfs/dbraw/zinc/43/91/27/1048439127.db2.gz VDCHTBRJEBEPGW-ZDUSSCGKSA-N 0 3 315.829 4.206 20 0 DIADHN Cc1sc2nc([C@H](C)N3CCCC[C@@H](C)C3)nc(N)c2c1C ZINC000481612393 1048439335 /nfs/dbraw/zinc/43/93/35/1048439335.db2.gz UEZPESALJPEJJX-PWSUYJOCSA-N 0 3 318.490 4.073 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1cccnc1-c1ccccc1)CNC2 ZINC001163229508 1048440677 /nfs/dbraw/zinc/44/06/77/1048440677.db2.gz PSEIPEKZLWHDKF-INIZCTEOSA-N 0 3 307.422 4.067 20 0 DIADHN COCc1ccc2ccccc2c1N[C@@H]1CNCc2ccsc21 ZINC001163230817 1048440761 /nfs/dbraw/zinc/44/07/61/1048440761.db2.gz RFXCOFQKLHOTFV-QGZVFWFLSA-N 0 3 324.449 4.304 20 0 DIADHN COc1cc2ccccc2cc1N[C@H]1CNCc2ccsc21 ZINC001163230830 1048440968 /nfs/dbraw/zinc/44/09/68/1048440968.db2.gz ROTMHABPEAVLEF-INIZCTEOSA-N 0 3 310.422 4.166 20 0 DIADHN CC1(C)CCc2cc(N[C@@H]3CNCc4ccsc43)ccc2O1 ZINC001163228861 1048441765 /nfs/dbraw/zinc/44/17/65/1048441765.db2.gz DQOZFCUIOYJEQV-OAHLLOKOSA-N 0 3 314.454 4.108 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1cncc(Oc3ccccc3)c1)CNC2 ZINC001163231625 1048441805 /nfs/dbraw/zinc/44/18/05/1048441805.db2.gz XRAWXOIJDODHIU-KRWDZBQOSA-N 0 3 323.421 4.192 20 0 DIADHN Cc1cc(N[C@@H]2CNCc3ccsc32)c(OC(C)C)cc1C ZINC001163235693 1048443018 /nfs/dbraw/zinc/44/30/18/1048443018.db2.gz CUJZPZLHXOBTIU-MRXNPFEDSA-N 0 3 316.470 4.409 20 0 DIADHN COc1cccc(C2(NCc3cncc4ccccc43)CC2)c1 ZINC000776243921 1048444546 /nfs/dbraw/zinc/44/45/46/1048444546.db2.gz ZZUDWDKYDAUWFX-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(Cl)s3)cc2)CC1 ZINC001175782144 1048449911 /nfs/dbraw/zinc/44/99/11/1048449911.db2.gz NQVQKKDEGDGBHI-UHFFFAOYSA-N 0 3 321.877 4.287 20 0 DIADHN CCN1CCN(c2ccc(Nc3cncc(C(C)C)c3)cc2)CC1 ZINC001175781505 1048450390 /nfs/dbraw/zinc/45/03/90/1048450390.db2.gz GXYSFWFFPQCWGB-UHFFFAOYSA-N 0 3 324.472 4.091 20 0 DIADHN C[C@H](NCc1ccc2nccnc2c1)c1ccc2ncsc2c1 ZINC001202074369 1048453764 /nfs/dbraw/zinc/45/37/64/1048453764.db2.gz MWAGXOWCHVQGIM-LBPRGKRZSA-N 0 3 320.421 4.090 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000481679325 1048453778 /nfs/dbraw/zinc/45/37/78/1048453778.db2.gz YIMCSFBCVCOUTJ-MGPUTAFESA-N 0 3 311.400 4.408 20 0 DIADHN CC1(C)SC[C@H]1NCc1c(OC(F)F)ccc2ccccc21 ZINC001202127528 1048456981 /nfs/dbraw/zinc/45/69/81/1048456981.db2.gz UZZQTGFJGBUCCC-OAHLLOKOSA-N 0 3 323.408 4.425 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(-c3cccc(F)c3F)o2)O1 ZINC001202110672 1048463238 /nfs/dbraw/zinc/46/32/38/1048463238.db2.gz HTWHDNLFWZVLMU-CYBMUJFWSA-N 0 3 321.367 4.272 20 0 DIADHN CSc1cccc(NC(=O)Nc2ccccc2CN(C)C)c1 ZINC001188050047 1048464368 /nfs/dbraw/zinc/46/43/68/1048464368.db2.gz DUJQAYDTXZPLFQ-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN COc1ccc(C)cc1CN1CCC[C@]2(C1)OCc1ccccc12 ZINC000481706297 1048464527 /nfs/dbraw/zinc/46/45/27/1048464527.db2.gz IMMGMUXCCQJQMO-OAQYLSRUSA-N 0 3 323.436 4.025 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccc(F)c(F)c3)C2(C)C)sn1 ZINC001175821289 1048466663 /nfs/dbraw/zinc/46/66/63/1048466663.db2.gz JKYTZLJIPSEECL-CQSZACIVSA-N 0 3 322.424 4.498 20 0 DIADHN CO[C@@H](CN1CCc2cc(F)ccc2[C@H]1C)c1ccc(F)cc1 ZINC001175882151 1048470408 /nfs/dbraw/zinc/47/04/08/1048470408.db2.gz DLGKVIOBFARUFN-YJYMSZOUSA-N 0 3 317.379 4.272 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481737661 1048474305 /nfs/dbraw/zinc/47/43/05/1048474305.db2.gz OZKWDSUAOFPKQT-ZIAGYGMSSA-N 0 3 316.420 4.312 20 0 DIADHN COc1ccc(C(=O)NCCP(C(C)C)C(C)C)c(C)c1C ZINC001188089289 1048474755 /nfs/dbraw/zinc/47/47/55/1048474755.db2.gz FEBVGEDHQNMPTC-UHFFFAOYSA-N 0 3 323.417 4.341 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000481752204 1048478380 /nfs/dbraw/zinc/47/83/80/1048478380.db2.gz SWVKJBWCPYMCOE-SWLSCSKDSA-N 0 3 301.352 4.248 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC000075919589 1048489623 /nfs/dbraw/zinc/48/96/23/1048489623.db2.gz QPVQQVLLGNPMLJ-QWRGUYRKSA-N 0 3 305.274 4.104 20 0 DIADHN CCc1nc(C)c(CN2CCC(c3ccc(Cl)cc3)CC2)[nH]1 ZINC001203233450 1048499429 /nfs/dbraw/zinc/49/94/29/1048499429.db2.gz CTEXMLHOFFWKKO-UHFFFAOYSA-N 0 3 317.864 4.314 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000600641017 1048521678 /nfs/dbraw/zinc/52/16/78/1048521678.db2.gz JVELLEGJJHTACT-ZDUSSCGKSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CN[C@@H]1CC[C@H]1C ZINC001202314785 1048521504 /nfs/dbraw/zinc/52/15/04/1048521504.db2.gz KKFQQYDDIORFIJ-MEBBXXQBSA-N 0 3 324.255 4.376 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1c[nH]c(=O)c2ccccc12 ZINC001175991478 1048521768 /nfs/dbraw/zinc/52/17/68/1048521768.db2.gz FDICITSRTDIIRC-ZDUSSCGKSA-N 0 3 310.372 4.239 20 0 DIADHN Cc1cc(CN(C)Cc2cn(C)nc2-c2ccccc2)ccc1F ZINC000556045233 1048522170 /nfs/dbraw/zinc/52/21/70/1048522170.db2.gz JTNNZNNENZZRJW-UHFFFAOYSA-N 0 3 323.415 4.167 20 0 DIADHN FC(F)(F)CCCN(Cc1ccccc1)Cc1ccncc1 ZINC001202377816 1048538571 /nfs/dbraw/zinc/53/85/71/1048538571.db2.gz OBHHHTYYZGEQIW-UHFFFAOYSA-N 0 3 308.347 4.426 20 0 DIADHN CN(C)C=Cc1ccncc1NC(=N)C12CC3CC(CC(C3)C1)C2 ZINC001163851545 1048538869 /nfs/dbraw/zinc/53/88/69/1048538869.db2.gz XYARCRFBDVHXAP-GQCTYLIASA-N 0 3 324.472 4.219 20 0 DIADHN Cc1cc2[nH]c(CN3CC[C@H](C)[C@H](n4ccnc4)C3)cc2c(C)c1 ZINC000482005947 1048541757 /nfs/dbraw/zinc/54/17/57/1048541757.db2.gz LPJRAZQGVKMTCO-MGPUTAFESA-N 0 3 322.456 4.064 20 0 DIADHN CNC(=O)C[C@@H](N[C@@H](C)c1ccc(C(C)C)cc1)c1ccccc1 ZINC000482060305 1048550901 /nfs/dbraw/zinc/55/09/01/1048550901.db2.gz KDWMFOQUIKIHRV-OXJNMPFZSA-N 0 3 324.468 4.338 20 0 DIADHN Cn1c(CNc2ccncc2-c2ccccc2)cc2ccccc21 ZINC001163946922 1048554880 /nfs/dbraw/zinc/55/48/80/1048554880.db2.gz QCTRTNQDXQHNFC-UHFFFAOYSA-N 0 3 313.404 4.274 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@@H](C)CC(C)(C)O ZINC001202455274 1048556078 /nfs/dbraw/zinc/55/60/78/1048556078.db2.gz FPTRVZKGBZGYSN-LBPRGKRZSA-N 0 3 315.910 4.480 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc(OCC3CC3)nc2)c1 ZINC000482116684 1048558705 /nfs/dbraw/zinc/55/87/05/1048558705.db2.gz XBTCUDPSNZDGBG-INIZCTEOSA-N 0 3 310.441 4.338 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OCC3CC3)nc2)c(C)c1 ZINC000482120916 1048563032 /nfs/dbraw/zinc/56/30/32/1048563032.db2.gz BWQOCYMSPHGPQK-MRXNPFEDSA-N 0 3 310.441 4.338 20 0 DIADHN CC(C)[C@H](NCC[S@](=O)C(C)(C)C)c1cc2ccccc2o1 ZINC000776309338 1048564088 /nfs/dbraw/zinc/56/40/88/1048564088.db2.gz CNTGAQOSTWIBDS-JTSKRJEESA-N 0 3 321.486 4.267 20 0 DIADHN CC(C)[C@@H](NCC[S@](=O)C(C)(C)C)c1cc2ccccc2o1 ZINC000776309340 1048564401 /nfs/dbraw/zinc/56/44/01/1048564401.db2.gz CNTGAQOSTWIBDS-VGSWGCGISA-N 0 3 321.486 4.267 20 0 DIADHN COC[C@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000482152745 1048564450 /nfs/dbraw/zinc/56/44/50/1048564450.db2.gz AWSDCSJIXSNBFY-ZDUSSCGKSA-N 0 3 316.272 4.284 20 0 DIADHN Cc1cc(CN2CCc3nc[nH]c3C23CCCCC3)c(C)s1 ZINC001176114006 1048570755 /nfs/dbraw/zinc/57/07/55/1048570755.db2.gz NMLKALIXRQHLSB-UHFFFAOYSA-N 0 3 315.486 4.306 20 0 DIADHN CCCC[C@H](O)CNCc1cc(Cl)cc(Cl)c1Cl ZINC001252118757 1048575296 /nfs/dbraw/zinc/57/52/96/1048575296.db2.gz KPNFPHPYZMHKPJ-NSHDSACASA-N 0 3 310.652 4.288 20 0 DIADHN Fc1ccc(C2CC(NCc3nc4c(s3)CCCC4)C2)cc1 ZINC000776351222 1048575532 /nfs/dbraw/zinc/57/55/32/1048575532.db2.gz YNEYDKMHUJNJTP-UHFFFAOYSA-N 0 3 316.445 4.197 20 0 DIADHN Cc1ccccc1C1CC(NCc2nc3c(s2)CCCC3)C1 ZINC000776362237 1048577454 /nfs/dbraw/zinc/57/74/54/1048577454.db2.gz OMWBAKBNGLXWEU-UHFFFAOYSA-N 0 3 312.482 4.366 20 0 DIADHN Cc1c2[nH]cnc2ccc1N[C@@H](c1ccccc1)c1ccncc1 ZINC001164075436 1048577589 /nfs/dbraw/zinc/57/75/89/1048577589.db2.gz QNKVZXDQCHFXOM-FQEVSTJZSA-N 0 3 314.392 4.468 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNC(C)(C)c1ccc(F)cc1F ZINC001176178538 1048583877 /nfs/dbraw/zinc/58/38/77/1048583877.db2.gz YROKDSVVTOQMBQ-SUMWQHHRSA-N 0 3 311.416 4.385 20 0 DIADHN COCc1csc(CN2CC[C@@H](C(F)(F)F)C2(C)C)c1 ZINC000668042393 1048604952 /nfs/dbraw/zinc/60/49/52/1048604952.db2.gz SACLBBDPIVYKBJ-GFCCVEGCSA-N 0 3 307.381 4.057 20 0 DIADHN COCOc1ccc(Nc2ccnc(C3CCC3)c2)cc1F ZINC001176192159 1048590172 /nfs/dbraw/zinc/59/01/72/1048590172.db2.gz NJZCHVOBGZCBJM-UHFFFAOYSA-N 0 3 302.349 4.215 20 0 DIADHN CN(Cc1ccccc1C(F)(F)F)C[C@H]1Cc2ccccc2O1 ZINC001203698378 1048596397 /nfs/dbraw/zinc/59/63/97/1048596397.db2.gz UUNSVGKPZZWERA-OAHLLOKOSA-N 0 3 321.342 4.141 20 0 DIADHN CCc1ccc(CN(CCCCOC)Cc2ccccc2)nc1 ZINC000338925725 1048600681 /nfs/dbraw/zinc/60/06/81/1048600681.db2.gz PQAGJPYZTJMQPF-UHFFFAOYSA-N 0 3 312.457 4.073 20 0 DIADHN COCc1csc(CN2CC[C@H](C(F)(F)F)C2(C)C)c1 ZINC000668042394 1048603613 /nfs/dbraw/zinc/60/36/13/1048603613.db2.gz SACLBBDPIVYKBJ-LBPRGKRZSA-N 0 3 307.381 4.057 20 0 DIADHN Cc1csc(C[C@H]2CCCN(Cc3ccccc3F)C2)n1 ZINC001176217552 1048605677 /nfs/dbraw/zinc/60/56/77/1048605677.db2.gz IGANWYWQIHOFRQ-CQSZACIVSA-N 0 3 304.434 4.045 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(Cl)c3)c2C1 ZINC001176222077 1048609387 /nfs/dbraw/zinc/60/93/87/1048609387.db2.gz WSFPKSFEKFRZBP-UHFFFAOYSA-N 0 3 321.877 4.495 20 0 DIADHN Clc1ccc(OCCCNc2c[nH]cc3ccnc2-3)cc1 ZINC001164235077 1048612853 /nfs/dbraw/zinc/61/28/53/1048612853.db2.gz OQPCNZONVZJLQR-UHFFFAOYSA-N 0 3 301.777 4.097 20 0 DIADHN CC(C)(C)SCCNCc1cccc(F)c1OC(F)F ZINC000850119487 1048617918 /nfs/dbraw/zinc/61/79/18/1048617918.db2.gz IHZVKQJLONEADU-UHFFFAOYSA-N 0 3 307.381 4.048 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CC1)c1csc2ccccc12 ZINC000850124205 1048618740 /nfs/dbraw/zinc/61/87/40/1048618740.db2.gz XOAIXBKBBALMJH-CYBMUJFWSA-N 0 3 311.454 4.359 20 0 DIADHN CCN(C)c1ccccc1CNCc1cc2c(cccc2C)[nH]1 ZINC000850124969 1048618812 /nfs/dbraw/zinc/61/88/12/1048618812.db2.gz AIAWTZMLUKIHMP-UHFFFAOYSA-N 0 3 307.441 4.222 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cncc(C4CC4)c3)cccc21 ZINC001176285173 1048619317 /nfs/dbraw/zinc/61/93/17/1048619317.db2.gz ULSZKCMVEAZVIG-GOSISDBHSA-N 0 3 309.413 4.088 20 0 DIADHN COCc1ccc(CN2CCC(c3ccc(Cl)cc3)CC2)o1 ZINC000482507702 1048620800 /nfs/dbraw/zinc/62/08/00/1048620800.db2.gz KECNKHVPFKBTGT-UHFFFAOYSA-N 0 3 319.832 4.459 20 0 DIADHN C#CCOc1ccc(CN[C@H](C)c2ccc(F)c(Cl)c2)cc1 ZINC000237508897 1048621518 /nfs/dbraw/zinc/62/15/18/1048621518.db2.gz CZVGCMNMONKONG-CYBMUJFWSA-N 0 3 317.791 4.342 20 0 DIADHN COc1ccc(CN2CCC[C@H](C(F)(F)F)[C@H]2C)cc1C ZINC000482505736 1048621582 /nfs/dbraw/zinc/62/15/82/1048621582.db2.gz ICKZZWVIUJZBOR-OCCSQVGLSA-N 0 3 301.352 4.166 20 0 DIADHN CCCC1CCC(C[NH2+][C@@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000482542137 1048628312 /nfs/dbraw/zinc/62/83/12/1048628312.db2.gz XSBYJXXQSXYPHK-LEOMRAHMSA-N 0 3 312.461 4.090 20 0 DIADHN CC(C)(C)OC(=O)CCc1ccc(CN2CCCCC2)c(F)c1 ZINC001250330655 1048629216 /nfs/dbraw/zinc/62/92/16/1048629216.db2.gz WGSNJGZTPLIJNC-UHFFFAOYSA-N 0 3 321.436 4.086 20 0 DIADHN CCCCOc1ccc(CN[C@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850368281 1048640311 /nfs/dbraw/zinc/64/03/11/1048640311.db2.gz BJSAOEWDILGCLZ-PMACEKPBSA-N 0 3 311.425 4.134 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccccc1)c1cc(F)ccc1OC ZINC001176304799 1048641732 /nfs/dbraw/zinc/64/17/32/1048641732.db2.gz PIIXADDWQSPJPU-MJGOQNOKSA-N 0 3 317.404 4.263 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(F)nc1)c1ccc(OC(F)F)cc1 ZINC000930198250 1048642125 /nfs/dbraw/zinc/64/21/25/1048642125.db2.gz ZFQWANJFQVTYML-GHMZBOCLSA-N 0 3 310.319 4.234 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850371560 1048642424 /nfs/dbraw/zinc/64/24/24/1048642424.db2.gz KTGXTERNTZTYQB-QZTJIDSGSA-N 0 3 301.817 4.225 20 0 DIADHN CCCOc1ccc(CN[C@H]2C[C@H](O)c3ccccc32)c(C)c1 ZINC000850374618 1048642715 /nfs/dbraw/zinc/64/27/15/1048642715.db2.gz NFLPOCADMXJKEE-PMACEKPBSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H]1C[C@@H](O)c2ccccc21 ZINC000850373191 1048643232 /nfs/dbraw/zinc/64/32/32/1048643232.db2.gz DNYOKEWOUHDJAG-IAGOWNOFSA-N 0 3 321.342 4.282 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](CC)c1cc(C)ccc1C ZINC001151780073 1048645264 /nfs/dbraw/zinc/64/52/64/1048645264.db2.gz SYCSPUHAJZHLLT-LJQANCHMSA-N 0 3 318.505 4.383 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](CC)c1cc(C)ccc1C ZINC001151780072 1048645300 /nfs/dbraw/zinc/64/53/00/1048645300.db2.gz SYCSPUHAJZHLLT-IBGZPJMESA-N 0 3 318.505 4.383 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(SC(F)F)cc1)CC2 ZINC000850386728 1048645924 /nfs/dbraw/zinc/64/59/24/1048645924.db2.gz LUSGVUKGNDEJIA-MRXNPFEDSA-N 0 3 321.392 4.484 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(Cl)c(C)c1)[C@H](C)C2 ZINC000850387256 1048646056 /nfs/dbraw/zinc/64/60/56/1048646056.db2.gz WPRIMUKFAAZYDO-PXAZEXFGSA-N 0 3 300.833 4.375 20 0 DIADHN Clc1scc(CNCCC2SCCS2)c1Cl ZINC000850407387 1048649533 /nfs/dbraw/zinc/64/95/33/1048649533.db2.gz ZYASFZGBKSGMKV-UHFFFAOYSA-N 0 3 314.328 4.341 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc3c(c2)CCC3)cn1 ZINC000482638519 1048650806 /nfs/dbraw/zinc/65/08/06/1048650806.db2.gz QFVOLYZUCCFIIJ-HNNXBMFYSA-N 0 3 310.441 4.210 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850421461 1048651436 /nfs/dbraw/zinc/65/14/36/1048651436.db2.gz VRHIHXUFNOIDIH-LSDHHAIUSA-N 0 3 311.425 4.432 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850424505 1048651864 /nfs/dbraw/zinc/65/18/64/1048651864.db2.gz JSBLICFGUQHDIP-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@@H](NCc1cc(F)cc(F)c1)c1ccc2c(c1)CCCO2 ZINC000850426045 1048652120 /nfs/dbraw/zinc/65/21/20/1048652120.db2.gz WBMYMZZGTWTTFX-GFCCVEGCSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@@H](NC1CC(C)C1)c1cc(F)c(Br)cc1F ZINC001206697038 1048655788 /nfs/dbraw/zinc/65/57/88/1048655788.db2.gz MQXYBOTUMUVLIC-QJAFJHJLSA-N 0 3 304.178 4.176 20 0 DIADHN C[C@H](NC1(c2cccc(F)c2)CC1)c1ccc2c(c1)CCCO2 ZINC000850452886 1048661959 /nfs/dbraw/zinc/66/19/59/1048661959.db2.gz QOOIZTNAXUEJJX-AWEZNQCLSA-N 0 3 311.400 4.491 20 0 DIADHN CC[C@H](c1ccccc1)N(C)c1ccc(CN(C)C2CC2)cn1 ZINC001165159924 1048662792 /nfs/dbraw/zinc/66/27/92/1048662792.db2.gz OCHISKOIRUVANN-LJQANCHMSA-N 0 3 309.457 4.263 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccnc(Cl)c1)c1ccc2c(c1)CCCO2 ZINC000850465910 1048667196 /nfs/dbraw/zinc/66/71/96/1048667196.db2.gz GWGXYWZCVGCQGJ-OLZOCXBDSA-N 0 3 316.832 4.472 20 0 DIADHN Cc1nccc([C@@H]2CCCN([C@H](C)c3ccccc3Cl)C2)n1 ZINC000613212538 1048667200 /nfs/dbraw/zinc/66/72/00/1048667200.db2.gz WGRHENNLSALLTC-UKRRQHHQSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1nccc([C@@H]2CCCN([C@@H](C)c3ccccc3Cl)C2)n1 ZINC000613212536 1048667214 /nfs/dbraw/zinc/66/72/14/1048667214.db2.gz WGRHENNLSALLTC-DZGCQCFKSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1csc(C[C@H]2CCN(Cc3ccc(C)cc3Cl)C2)n1 ZINC001176432666 1048670016 /nfs/dbraw/zinc/67/00/16/1048670016.db2.gz PZTSYWFPUMTTMI-CQSZACIVSA-N 0 3 320.889 4.478 20 0 DIADHN CCC(C)(C)[C@@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000850502250 1048673156 /nfs/dbraw/zinc/67/31/56/1048673156.db2.gz MLPUDGYOQYETMP-RKDXNWHRSA-N 0 3 305.285 4.381 20 0 DIADHN c1csc(-c2ccc(CN[C@@H]3CCCc4n[nH]cc43)cc2)c1 ZINC000850504133 1048673447 /nfs/dbraw/zinc/67/34/47/1048673447.db2.gz VIPHCNBPSHAOHL-MRXNPFEDSA-N 0 3 309.438 4.305 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1 ZINC001204397086 1048675562 /nfs/dbraw/zinc/67/55/62/1048675562.db2.gz RRAIHZGFYKQRIK-UHFFFAOYSA-N 0 3 323.427 4.374 20 0 DIADHN Cc1nc([C@H]2CCCN(Cc3ccc(C(C)(C)C)cc3)C2)no1 ZINC001204397459 1048677071 /nfs/dbraw/zinc/67/70/71/1048677071.db2.gz WHROPBFVDORLTI-INIZCTEOSA-N 0 3 313.445 4.055 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccc(-c2ccccc2)cn1 ZINC000850523360 1048677242 /nfs/dbraw/zinc/67/72/42/1048677242.db2.gz HATVUHKSECPHOD-YJBOKZPZSA-N 0 3 308.425 4.111 20 0 DIADHN CC[C@@H](N[C@@H]1COC2(CCC2)C1)c1cc(Cl)ccc1OC ZINC000850526547 1048678376 /nfs/dbraw/zinc/67/83/76/1048678376.db2.gz VZMPFKNWXRVQBS-DZGCQCFKSA-N 0 3 309.837 4.101 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@H]1COC2(CCC2)C1 ZINC000850525928 1048678434 /nfs/dbraw/zinc/67/84/34/1048678434.db2.gz SDRNSVMVDNVIMH-TZMCWYRMSA-N 0 3 309.837 4.101 20 0 DIADHN Cc1cc([C@H](N[C@H]2C[C@H](O)c3ccccc32)C2CC2)ccc1F ZINC000850527062 1048680473 /nfs/dbraw/zinc/68/04/73/1048680473.db2.gz FEJQYIWLMVDGSN-SLFFLAALSA-N 0 3 311.400 4.353 20 0 DIADHN O[C@@H]1C[C@H](N[C@@H]2CCCc3c4ccccc4[nH]c32)c2ccccc21 ZINC000850527167 1048680876 /nfs/dbraw/zinc/68/08/76/1048680876.db2.gz UNZIJIDZXRGAQS-HSALFYBXSA-N 0 3 318.420 4.313 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@@H]1C[C@H](O)c2ccccc21 ZINC000850528186 1048680997 /nfs/dbraw/zinc/68/09/97/1048680997.db2.gz JGZWBSXPOYIOBY-FBFWQHAYSA-N 0 3 311.400 4.217 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](O)c2ccccc21)c1ccc(OC(F)F)cc1 ZINC000850529765 1048683228 /nfs/dbraw/zinc/68/32/28/1048683228.db2.gz SUFAIBZCRCZLDB-JECHBYEQSA-N 0 3 319.351 4.117 20 0 DIADHN CC(C)(F)CCN[C@@H](c1nc(Br)cs1)C1CC1 ZINC000850531928 1048684855 /nfs/dbraw/zinc/68/48/55/1048684855.db2.gz ODJDBOCQEKBQMF-SNVBAGLBSA-N 0 3 321.259 4.085 20 0 DIADHN Cc1cc2c(ccnc2NCC2CN(Cc3ccccc3)C2)s1 ZINC001165411527 1048686586 /nfs/dbraw/zinc/68/65/86/1048686586.db2.gz AFRFYNWETWTOLF-UHFFFAOYSA-N 0 3 323.465 4.149 20 0 DIADHN C[C@@H]1CCN([C@H](C(=O)Nc2ccccc2)c2ccccc2)[C@@H]1C ZINC000482847946 1048686930 /nfs/dbraw/zinc/68/69/30/1048686930.db2.gz ZFZQSKFYZWWIDC-MDZRGWNJSA-N 0 3 308.425 4.097 20 0 DIADHN Cc1noc(C)c1CN[C@@H]1CCCC[C@H]1Oc1cccc(C)c1 ZINC000482840060 1048687499 /nfs/dbraw/zinc/68/74/99/1048687499.db2.gz CTCZZOMOROPUPX-RTBURBONSA-N 0 3 314.429 4.080 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2CCc3ccc(O)cc32)cc1C ZINC000850543307 1048687490 /nfs/dbraw/zinc/68/74/90/1048687490.db2.gz HNWYGZSCVIOZNN-LIRRHRJNSA-N 0 3 311.425 4.356 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H]2CCc3ccc(O)cc32)cc1C ZINC000850543306 1048687616 /nfs/dbraw/zinc/68/76/16/1048687616.db2.gz HNWYGZSCVIOZNN-KUHUBIRLSA-N 0 3 311.425 4.356 20 0 DIADHN Cc1nc2c(cccc2NCC2CN(Cc3ccccc3)C2)s1 ZINC001165469883 1048689678 /nfs/dbraw/zinc/68/96/78/1048689678.db2.gz UJHVZIJDBVONDA-UHFFFAOYSA-N 0 3 323.465 4.149 20 0 DIADHN COC(=O)C[C@H](NCc1ccc(Cl)cc1Cl)C(C)(C)C ZINC001176463235 1048690151 /nfs/dbraw/zinc/69/01/51/1048690151.db2.gz CUNZHELMEKFUGP-ZDUSSCGKSA-N 0 3 318.244 4.061 20 0 DIADHN CC1=Cc2cccc(NCC3CN(Cc4ccccc4)C3)c2C1 ZINC001165455109 1048690600 /nfs/dbraw/zinc/69/06/00/1048690600.db2.gz SSUSSXYNBMATPY-UHFFFAOYSA-N 0 3 304.437 4.190 20 0 DIADHN C[C@H](NCCc1nsc2ccccc12)c1cccnc1Cl ZINC000850562559 1048692392 /nfs/dbraw/zinc/69/23/92/1048692392.db2.gz WOHMUCLYOBPFKM-NSHDSACASA-N 0 3 317.845 4.238 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC3SCCS3)oc21 ZINC000850562285 1048692619 /nfs/dbraw/zinc/69/26/19/1048692619.db2.gz ZOKFALWSWOIBFH-LLVKDONJSA-N 0 3 323.483 4.288 20 0 DIADHN CO[C@H](CN[C@@H](C)c1nc2ccccc2s1)C1CCCC1 ZINC000850561310 1048693014 /nfs/dbraw/zinc/69/30/14/1048693014.db2.gz NLUBKNIGOGBJPG-SWLSCSKDSA-N 0 3 304.459 4.152 20 0 DIADHN CCN(CC)Cc1cccc(NCCCc2ccncc2)c1F ZINC001165547125 1048696636 /nfs/dbraw/zinc/69/66/36/1048696636.db2.gz SYLGZZKUHOTZGW-UHFFFAOYSA-N 0 3 315.436 4.107 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc3c(c2)CCCO3)c1C ZINC000850578299 1048699940 /nfs/dbraw/zinc/69/99/40/1048699940.db2.gz KHXCYPBZRQGUNT-OAHLLOKOSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1CCCc1ccccc1 ZINC001176534156 1048711017 /nfs/dbraw/zinc/71/10/17/1048711017.db2.gz WSQCENHLGJTDLY-LVCYWYKZSA-N 0 3 309.453 4.470 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)CC2CC2)nnn1-c1cccc(Cl)c1 ZINC000482952604 1048712246 /nfs/dbraw/zinc/71/22/46/1048712246.db2.gz UGUSKZXTOWVWMU-RYUDHWBXSA-N 0 3 318.852 4.068 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCc3ccc(F)cc32)cc1F ZINC001190318398 1048715045 /nfs/dbraw/zinc/71/50/45/1048715045.db2.gz NGSALQUUIQYQRT-SFHVURJKSA-N 0 3 317.379 4.483 20 0 DIADHN c1nn(-c2ccccc2)c2c1CN(CCCC1CCCC1)CC2 ZINC001190318708 1048715415 /nfs/dbraw/zinc/71/54/15/1048715415.db2.gz NOWIEAFVNXJJGX-UHFFFAOYSA-N 0 3 309.457 4.201 20 0 DIADHN CN(C[C@@H]1OCCc2ccccc21)[C@H]1CCc2ccc(F)cc21 ZINC000482980508 1048716699 /nfs/dbraw/zinc/71/66/99/1048716699.db2.gz JRYHWIMKSRKSMB-PMACEKPBSA-N 0 3 311.400 4.059 20 0 DIADHN CO[C@@]1(C)CCCN(CCc2cc(Cl)ccc2Cl)CC1 ZINC000483035756 1048727316 /nfs/dbraw/zinc/72/73/16/1048727316.db2.gz LGBAMDMZSAOLCU-INIZCTEOSA-N 0 3 316.272 4.427 20 0 DIADHN CN(Cc1coc(-c2cccc(F)c2)n1)[C@@H]1CCC(C)(C)C1 ZINC000483101601 1048735869 /nfs/dbraw/zinc/73/58/69/1048735869.db2.gz CSCPTEZAPIIZBM-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000775386947 1048736769 /nfs/dbraw/zinc/73/67/69/1048736769.db2.gz HUXIOOMGRGDOHD-HXUWFJFHSA-N 0 3 310.441 4.157 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)[C@H]1CCC(C)(C)C1 ZINC000483120881 1048737717 /nfs/dbraw/zinc/73/77/17/1048737717.db2.gz UXSSECIPBPFGIT-INIZCTEOSA-N 0 3 302.462 4.006 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000775387299 1048739136 /nfs/dbraw/zinc/73/91/36/1048739136.db2.gz KVBHBNHHLDVOOP-HXUWFJFHSA-N 0 3 310.441 4.157 20 0 DIADHN OC[C@H](CCc1ccccc1)NCc1ccc(Cl)cc1Cl ZINC001203722137 1048740080 /nfs/dbraw/zinc/74/00/80/1048740080.db2.gz WFHXWLHBYZYTBT-INIZCTEOSA-N 0 3 324.251 4.077 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)C(=O)Nc1cccc(Cl)c1C ZINC000483116788 1048740681 /nfs/dbraw/zinc/74/06/81/1048740681.db2.gz HHWUEMZMYODENN-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1cc2ccccc2o1 ZINC000483127552 1048742853 /nfs/dbraw/zinc/74/28/53/1048742853.db2.gz NGNJYFFLBWXZPG-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CCN(CC1CCC1)[C@H](C)C(=O)Nc1ccc(C)cc1Cl ZINC000483128932 1048743563 /nfs/dbraw/zinc/74/35/63/1048743563.db2.gz JIGSCJKXFWKEON-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](N[C@H](CO)c1ccccc1)c1ccc(-c2ccncc2)cc1 ZINC000483159849 1048744542 /nfs/dbraw/zinc/74/45/42/1048744542.db2.gz SODGPOVUYVBDDV-HRAATJIYSA-N 0 3 318.420 4.133 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC)CC3CCC3)co2)cc1 ZINC000483132182 1048745262 /nfs/dbraw/zinc/74/52/62/1048745262.db2.gz UCGNRBANLGTOON-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN CC(C)N(C)c1ccc(CN2CCC[C@@H]2c2ccccc2)cn1 ZINC000483153951 1048748227 /nfs/dbraw/zinc/74/82/27/1048748227.db2.gz JSJYXYNNVYOURY-LJQANCHMSA-N 0 3 309.457 4.263 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@]3(CCOC3)OC(C)(C)C2)cc1 ZINC000483180345 1048750152 /nfs/dbraw/zinc/75/01/52/1048750152.db2.gz DHAWQDPGIBRZAA-UZLBHIALSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@@H](N[C@@H](C)c2ccco2)C1 ZINC000483165109 1048750335 /nfs/dbraw/zinc/75/03/35/1048750335.db2.gz BOMJDWZATIQASH-GOEBONIOSA-N 0 3 302.393 4.047 20 0 DIADHN CC(C)N(CCCF)Cc1cc(Br)ccc1F ZINC000483422202 1048794762 /nfs/dbraw/zinc/79/47/62/1048794762.db2.gz QBUUFGSISKNQQG-UHFFFAOYSA-N 0 3 306.194 4.158 20 0 DIADHN OCc1ccc(F)c(CN(Cc2ccccc2)CC2CCC2)c1 ZINC001190494021 1048750891 /nfs/dbraw/zinc/75/08/91/1048750891.db2.gz MRAVKFSCRFZQTG-UHFFFAOYSA-N 0 3 313.416 4.120 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483201555 1048755235 /nfs/dbraw/zinc/75/52/35/1048755235.db2.gz RMMHNFHBKSYHQW-WDEREUQCSA-N 0 3 312.335 4.467 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@](C)(CC)c1ccccc1 ZINC000775397384 1048757090 /nfs/dbraw/zinc/75/70/90/1048757090.db2.gz WKUWSTZTBQKTTO-NRFANRHFSA-N 0 3 324.468 4.411 20 0 DIADHN Cc1cc(C)cc([C@H](O)CN[C@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000775397304 1048757552 /nfs/dbraw/zinc/75/75/52/1048757552.db2.gz SHHBBIOKFIKCCX-SJKOYZFVSA-N 0 3 323.358 4.105 20 0 DIADHN CCCN(Cc1ccc(Cl)nn1)[C@@H](CC)c1ccccc1 ZINC001190582031 1048759725 /nfs/dbraw/zinc/75/97/25/1048759725.db2.gz MVPPUAOZGDCWPV-INIZCTEOSA-N 0 3 303.837 4.493 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1cc(O)ccc1Cl ZINC001190582041 1048759855 /nfs/dbraw/zinc/75/98/55/1048759855.db2.gz NFAPHUHXHCWMEX-UHFFFAOYSA-N 0 3 303.833 4.499 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@H](C(C)(C)C)C1 ZINC000483248425 1048761702 /nfs/dbraw/zinc/76/17/02/1048761702.db2.gz QNJOCEMXFCHDTD-SJORKVTESA-N 0 3 316.489 4.389 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccn(CCc3ccccc3)n2)C1 ZINC001190589839 1048762160 /nfs/dbraw/zinc/76/21/60/1048762160.db2.gz UDLRKESVDCTQHE-PXNSSMCTSA-N 0 3 311.473 4.136 20 0 DIADHN CN1CCc2ccc(Nc3ccc(-c4ccncc4)cc3)cc2C1 ZINC001176700182 1048763953 /nfs/dbraw/zinc/76/39/53/1048763953.db2.gz CFNHIYAKHAWAGW-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1ccc(OC)cc1OC ZINC001190597878 1048764421 /nfs/dbraw/zinc/76/44/21/1048764421.db2.gz YRSOOJMHNCSLDY-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN COc1ccccc1C[C@@H]1CCCN1Cc1c(F)cccc1F ZINC001190597831 1048764500 /nfs/dbraw/zinc/76/45/00/1048764500.db2.gz XBYTYMCHNOUKCP-HNNXBMFYSA-N 0 3 317.379 4.181 20 0 DIADHN Cc1nocc1CN1CCC[C@]1(C)Cc1ccc(Cl)s1 ZINC001176663030 1048769598 /nfs/dbraw/zinc/76/95/98/1048769598.db2.gz CNUQATFJPJNULG-OAHLLOKOSA-N 0 3 310.850 4.295 20 0 DIADHN CO[C@H](CN1Cc2ccccc2-c2ccccc2C1)C1CCC1 ZINC001190713145 1048775151 /nfs/dbraw/zinc/77/51/51/1048775151.db2.gz HIULNMZOGJJXIE-OAQYLSRUSA-N 0 3 307.437 4.484 20 0 DIADHN COc1ccc(Br)cc1[C@H]1CCCN1CC1CCC1 ZINC001190691800 1048778142 /nfs/dbraw/zinc/77/81/42/1048778142.db2.gz PRWWLGAXIBUONO-OAHLLOKOSA-N 0 3 324.262 4.395 20 0 DIADHN CC(C)[C@H]1CCC[C@@H]1N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483356269 1048782121 /nfs/dbraw/zinc/78/21/21/1048782121.db2.gz LKSXWFWCGIQKSN-MAZHCROVSA-N 0 3 317.408 4.351 20 0 DIADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483340354 1048782981 /nfs/dbraw/zinc/78/29/81/1048782981.db2.gz RNVSEYNROFRSDW-RSUWNVLCSA-N 0 3 317.408 4.351 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1CC2(CCC2)CO1 ZINC001190752249 1048784027 /nfs/dbraw/zinc/78/40/27/1048784027.db2.gz KAHAKLYIBWKYDQ-KRWDZBQOSA-N 0 3 305.437 4.387 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H]1CC2(CCC2)CO1 ZINC001190752250 1048784341 /nfs/dbraw/zinc/78/43/41/1048784341.db2.gz KAHAKLYIBWKYDQ-QGZVFWFLSA-N 0 3 305.437 4.387 20 0 DIADHN COCOc1ccc(F)cc1Nc1ccnc(C(C)(C)C)c1 ZINC001176715149 1048785605 /nfs/dbraw/zinc/78/56/05/1048785605.db2.gz NWSDGLDLGVFHPC-UHFFFAOYSA-N 0 3 304.365 4.245 20 0 DIADHN COCCN(Cc1cnc(C(C)(C)C)s1)[C@H]1CC[C@H](C)C1 ZINC000483406789 1048787456 /nfs/dbraw/zinc/78/74/56/1048787456.db2.gz MJPVIXKYAKVMCM-KBPBESRZSA-N 0 3 310.507 4.078 20 0 DIADHN CCc1ccc(CN2CCC(C(C)=O)(c3ccccc3)CC2)cc1 ZINC001204178139 1048789943 /nfs/dbraw/zinc/78/99/43/1048789943.db2.gz OZIQIZXTTIGBDB-UHFFFAOYSA-N 0 3 321.464 4.372 20 0 DIADHN CCC[C@@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483412146 1048789931 /nfs/dbraw/zinc/78/99/31/1048789931.db2.gz WSWXYFMNGLIYGF-SNVBAGLBSA-N 0 3 300.324 4.467 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)C[C@@H]2NCc3ccccc32)cc1 ZINC000851627999 1048791970 /nfs/dbraw/zinc/79/19/70/1048791970.db2.gz YDYGTXRTMJTDIZ-IBGZPJMESA-N 0 3 308.425 4.007 20 0 DIADHN NC1(C(=O)Nc2ccc3c(c2)oc2ccccc23)CC2(CCC2)C1 ZINC000851627833 1048793499 /nfs/dbraw/zinc/79/34/99/1048793499.db2.gz WHHSKUKAEJQGGK-UHFFFAOYSA-N 0 3 320.392 4.186 20 0 DIADHN COc1ccc([C@@H]2CCCN2CC[C@@H]2CCCC2(F)F)nc1 ZINC001176871470 1048795780 /nfs/dbraw/zinc/79/57/80/1048795780.db2.gz LWTFGOYQFIDHCS-BBRMVZONSA-N 0 3 310.388 4.053 20 0 DIADHN CCS[C@H](C)c1noc(CN(CC(C)C)C2CCCC2)n1 ZINC000483429884 1048797842 /nfs/dbraw/zinc/79/78/42/1048797842.db2.gz HLGSTFHMOKEJHK-CYBMUJFWSA-N 0 3 311.495 4.284 20 0 DIADHN COCc1cccc(CN2CC[C@H](C(F)(F)F)C(C)(C)C2)c1 ZINC000483438997 1048799268 /nfs/dbraw/zinc/79/92/68/1048799268.db2.gz JWBGDUOCUVBAOX-HNNXBMFYSA-N 0 3 315.379 4.243 20 0 DIADHN COCc1cccc(CN2CC[C@@H](C(F)(F)F)C(C)(C)C2)c1 ZINC000483438999 1048799327 /nfs/dbraw/zinc/79/93/27/1048799327.db2.gz JWBGDUOCUVBAOX-OAHLLOKOSA-N 0 3 315.379 4.243 20 0 DIADHN CN(C)c1ccccc1CN1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000483441496 1048799711 /nfs/dbraw/zinc/79/97/11/1048799711.db2.gz SDLSIRMKMJBSIP-OAHLLOKOSA-N 0 3 314.395 4.163 20 0 DIADHN Clc1ccccc1CCN1CCO[C@H](c2ccccc2)CC1 ZINC001176939778 1048801867 /nfs/dbraw/zinc/80/18/67/1048801867.db2.gz NKROOECFDSRRFN-IBGZPJMESA-N 0 3 315.844 4.346 20 0 DIADHN Clc1ccc2ccc(CN3CCCC[C@@]34CCOC4)nc2c1 ZINC001176977690 1048810954 /nfs/dbraw/zinc/81/09/54/1048810954.db2.gz NIWONTRJIJRTND-SFHVURJKSA-N 0 3 316.832 4.033 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCN(CCCC(C)C)CC1 ZINC001190993994 1048811334 /nfs/dbraw/zinc/81/13/34/1048811334.db2.gz FOENPPABVHHWIN-IBGZPJMESA-N 0 3 324.459 4.470 20 0 DIADHN Clc1ccccc1CNC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC001203149695 1048815301 /nfs/dbraw/zinc/81/53/01/1048815301.db2.gz SXVPARQBXGSMIO-IRXDYDNUSA-N 0 3 301.817 4.002 20 0 DIADHN Cc1ccc(CN2C[C@@H](c3ccccc3)C[C@H]2C)nc1Cl ZINC001191005334 1048817437 /nfs/dbraw/zinc/81/74/37/1048817437.db2.gz SDGCFEOBVNJDJL-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@@H](C)[C@@H](O)C(F)(F)F)c2)cc1 ZINC001203352173 1048819769 /nfs/dbraw/zinc/81/97/69/1048819769.db2.gz SJCQBWHKFFFMTQ-SUMWQHHRSA-N 0 3 323.358 4.063 20 0 DIADHN CO[C@H](CC(C)C)CN(C)Cc1cc(Br)cs1 ZINC001167023796 1048833709 /nfs/dbraw/zinc/83/37/09/1048833709.db2.gz KZTWVOPWGXKNEO-GFCCVEGCSA-N 0 3 320.296 4.004 20 0 DIADHN CCOc1ccc(CNC2(c3ccccc3)CCC2)cc1OC ZINC000065275683 1048822320 /nfs/dbraw/zinc/82/23/20/1048822320.db2.gz AJCAXXQFALDZFA-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cc(F)ccc1F)Oc1ccccc1F ZINC000483606677 1048824729 /nfs/dbraw/zinc/82/47/29/1048824729.db2.gz NWJSJDFDSVOSBO-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN Cc1ccc([C@@H](NCC2=CCCOC2)c2ccccc2)c(C)c1 ZINC000379737742 1048827484 /nfs/dbraw/zinc/82/74/84/1048827484.db2.gz SIGIARPSXVHJRP-NRFANRHFSA-N 0 3 307.437 4.329 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC000483627655 1048827684 /nfs/dbraw/zinc/82/76/84/1048827684.db2.gz UYCQTOHFTHNCNA-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@H](C)c1cc(F)ccc1F ZINC000483627657 1048828523 /nfs/dbraw/zinc/82/85/23/1048828523.db2.gz UYCQTOHFTHNCNA-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@@H](C)c2cc(F)ccc2F)cc1 ZINC000483649563 1048829846 /nfs/dbraw/zinc/82/98/46/1048829846.db2.gz WQYITLPBAGYPKB-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN Clc1ncccc1CN1CCC[C@H]1CCc1ccccc1 ZINC000110490559 1048830427 /nfs/dbraw/zinc/83/04/27/1048830427.db2.gz PFFZKLRDTPIFMX-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN CCCCN(Cc1nc2ccc(Br)cc2[nH]1)C1CC1 ZINC001167024405 1048833926 /nfs/dbraw/zinc/83/39/26/1048833926.db2.gz WWQRKQSTPMHNAE-UHFFFAOYSA-N 0 3 322.250 4.090 20 0 DIADHN C[C@H](CC1CCOCC1)CN1CCc2cc(Cl)ccc2C1 ZINC000483659003 1048835292 /nfs/dbraw/zinc/83/52/92/1048835292.db2.gz WRYMAPSUAJKZOR-CQSZACIVSA-N 0 3 307.865 4.151 20 0 DIADHN CCn1cc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c(C)n1 ZINC001177112567 1048835739 /nfs/dbraw/zinc/83/57/39/1048835739.db2.gz QXAYWTAOYZRIMP-KRWDZBQOSA-N 0 3 303.837 4.106 20 0 DIADHN Cc1cnc(CN2CCC[C@]2(C)Cc2ccccc2Cl)cn1 ZINC000852347644 1048837481 /nfs/dbraw/zinc/83/74/81/1048837481.db2.gz HHHVAYKIDGJXGO-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccc(F)cc3)C2)cc(Cl)n1 ZINC000797590498 1048838386 /nfs/dbraw/zinc/83/83/86/1048838386.db2.gz CHJLJZIBJUKDLT-HNNXBMFYSA-N 0 3 304.796 4.172 20 0 DIADHN COc1ccc(CNCc2cccc(CC3CCC3)c2)cc1F ZINC001177161403 1048839817 /nfs/dbraw/zinc/83/98/17/1048839817.db2.gz AHLHCSWADHSCNA-UHFFFAOYSA-N 0 3 313.416 4.467 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(OC2CCCC2)CC1 ZINC000338945107 1048840667 /nfs/dbraw/zinc/84/06/67/1048840667.db2.gz LNKAHFGCEVHRHD-UHFFFAOYSA-N 0 3 316.489 4.437 20 0 DIADHN CC(=O)Nc1ccc(CNCc2cccc(CC3CCC3)c2)cc1 ZINC001177162310 1048840937 /nfs/dbraw/zinc/84/09/37/1048840937.db2.gz LVPIKSYQHAFCHI-UHFFFAOYSA-N 0 3 322.452 4.277 20 0 DIADHN CC(C)[C@@H](NCc1cccc2nsnc21)c1ccccc1F ZINC000852446812 1048842312 /nfs/dbraw/zinc/84/23/12/1048842312.db2.gz NEYMNVIZXOPPTL-MRXNPFEDSA-N 0 3 315.417 4.317 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cccc3ccoc32)c1 ZINC001177180968 1048845696 /nfs/dbraw/zinc/84/56/96/1048845696.db2.gz QWYJMWICHNTITI-ZDUSSCGKSA-N 0 3 308.381 4.242 20 0 DIADHN CN(CC1CC(F)(F)C1)[C@H](CO)c1cccc(Cl)c1Cl ZINC000852514299 1048847836 /nfs/dbraw/zinc/84/78/36/1048847836.db2.gz FDYMBHMQPHRGHK-GFCCVEGCSA-N 0 3 324.198 4.004 20 0 DIADHN CCN(CC)C(=O)c1ccc(CNCc2cc(C)ccc2C)cc1 ZINC001177186650 1048848335 /nfs/dbraw/zinc/84/83/35/1048848335.db2.gz ULWLOFWYWZADGQ-UHFFFAOYSA-N 0 3 324.468 4.075 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H](OC)C1CCCC1 ZINC001203243708 1048849126 /nfs/dbraw/zinc/84/91/26/1048849126.db2.gz RAXVRYOJDFRYHO-MRXNPFEDSA-N 0 3 311.853 4.034 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CC2CCc3ccccc3CC2)c1 ZINC001203244268 1048850001 /nfs/dbraw/zinc/85/00/01/1048850001.db2.gz XVCVYTQYPFQBDW-UHFFFAOYSA-N 0 3 315.844 4.330 20 0 DIADHN CCOc1c(Cl)cccc1CNCCOc1ccc(F)cc1 ZINC001177240382 1048851237 /nfs/dbraw/zinc/85/12/37/1048851237.db2.gz CNBBEOYEHDWITP-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Cc1nn(C)c(CNCCc2ccc(C(C)(C)C)cc2)c1Cl ZINC001177251065 1048853346 /nfs/dbraw/zinc/85/33/46/1048853346.db2.gz RJNWSOZMUGIVJA-UHFFFAOYSA-N 0 3 319.880 4.012 20 0 DIADHN Fc1ccccc1CN1CCC(n2ncc3ccccc32)CC1 ZINC001203353446 1048855122 /nfs/dbraw/zinc/85/51/22/1048855122.db2.gz FXCOJJJVJHBUPX-UHFFFAOYSA-N 0 3 309.388 4.013 20 0 DIADHN COc1cncc(CN[C@@H]2CCCc3ccc(Cl)cc32)c1C ZINC001203294391 1048860204 /nfs/dbraw/zinc/86/02/04/1048860204.db2.gz JWRDHTNQAKMFFT-QGZVFWFLSA-N 0 3 316.832 4.219 20 0 DIADHN COc1ccccc1/C=C\CN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000483818826 1048864403 /nfs/dbraw/zinc/86/44/03/1048864403.db2.gz JBJVVNVKNSIFPX-VOFFAFHOSA-N 0 3 308.425 4.187 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@@H]2CCCC(C)(C)O2)s1 ZINC001191146684 1048864815 /nfs/dbraw/zinc/86/48/15/1048864815.db2.gz XNBQDKWCSUOIAB-KBPBESRZSA-N 0 3 310.507 4.354 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@@H]2F)c(C)c1Br ZINC001203314259 1048865568 /nfs/dbraw/zinc/86/55/68/1048865568.db2.gz LSDALYZRRSHWRD-UONOGXRCSA-N 0 3 314.242 4.436 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000483851122 1048866530 /nfs/dbraw/zinc/86/65/30/1048866530.db2.gz KSSLFAHYXVEHFR-IINYFYTJSA-N 0 3 321.795 4.263 20 0 DIADHN CC[C@H](CNCc1ccc(SC)o1)Oc1cccc(C)c1 ZINC001177315302 1048868573 /nfs/dbraw/zinc/86/85/73/1048868573.db2.gz SZNFSRUQIQCLKP-CQSZACIVSA-N 0 3 305.443 4.257 20 0 DIADHN COCc1csc(CN(Cc2ccc(C)nc2)CC2CC2)c1 ZINC000668273993 1048870899 /nfs/dbraw/zinc/87/08/99/1048870899.db2.gz QPFGFCWVTRJMDV-UHFFFAOYSA-N 0 3 316.470 4.010 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1CCC2(C1)CCCCC2 ZINC001191203464 1048883828 /nfs/dbraw/zinc/88/38/28/1048883828.db2.gz NOOWPTTVTHRIPN-CQSZACIVSA-N 0 3 320.864 4.323 20 0 DIADHN Cc1cnc(CNCC2(c3cccc(Cl)c3)CCC2)s1 ZINC001203402331 1048889192 /nfs/dbraw/zinc/88/91/92/1048889192.db2.gz GRUJQDLBQFWXRC-UHFFFAOYSA-N 0 3 306.862 4.316 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(O)cccc21)c1ccc2c(c1)CCCO2 ZINC000853239788 1048890423 /nfs/dbraw/zinc/89/04/23/1048890423.db2.gz DNHYZMMIATWXTE-IFXJQAMLSA-N 0 3 323.436 4.445 20 0 DIADHN C[C@H](N[C@H]1CCCc2cccnc21)c1ccc2c(c1)CCCO2 ZINC000853240789 1048891762 /nfs/dbraw/zinc/89/17/62/1048891762.db2.gz IGZUSXMDQPRDAX-KSSFIOAISA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000853242359 1048891897 /nfs/dbraw/zinc/89/18/97/1048891897.db2.gz PQKSWLUGNGGSHT-CHWSQXEVSA-N 0 3 317.454 4.494 20 0 DIADHN Cc1ccc([C@@H]2[C@H](C)CCCN2Cc2cnn(CCF)c2)cc1 ZINC001191181918 1048892847 /nfs/dbraw/zinc/89/28/47/1048892847.db2.gz NTEIWKNPAHAVGK-APWZRJJASA-N 0 3 315.436 4.134 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(Br)nc2)s1 ZINC001177496087 1048900563 /nfs/dbraw/zinc/90/05/63/1048900563.db2.gz AKWVLAPSGPXHGE-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN Cc1nc(CNCCCOc2ccc(Cl)cc2C)sc1C ZINC001177491693 1048900915 /nfs/dbraw/zinc/90/09/15/1048900915.db2.gz CJHQBIUIYULCGG-UHFFFAOYSA-N 0 3 324.877 4.280 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccccc2OC)c1 ZINC001203433911 1048902155 /nfs/dbraw/zinc/90/21/55/1048902155.db2.gz CRFXSILEJNYMJD-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001191233235 1048904878 /nfs/dbraw/zinc/90/48/78/1048904878.db2.gz MACYKOLCGVCPIG-OXJNMPFZSA-N 0 3 322.452 4.413 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@]12CCC[C@H]1OCC2 ZINC001203457231 1048905208 /nfs/dbraw/zinc/90/52/08/1048905208.db2.gz BEXVCCGLJBYFHA-RTWAWAEBSA-N 0 3 323.436 4.163 20 0 DIADHN COc1cccc(CN(Cc2ccccc2)C2CCC2)c1OC ZINC001203455497 1048906871 /nfs/dbraw/zinc/90/68/71/1048906871.db2.gz MXMKYKYGUYFUNI-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN c1coc(-c2nc(CNC[C@H]3CCc4ccccc43)cs2)c1 ZINC000111390469 1048907317 /nfs/dbraw/zinc/90/73/17/1048907317.db2.gz TZKKUVFGUOBGQX-CQSZACIVSA-N 0 3 310.422 4.223 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccoc1)c1ccccc1Br ZINC000484297323 1048922482 /nfs/dbraw/zinc/92/24/82/1048922482.db2.gz HWJHQAQAAZCNNP-NWDGAFQWSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@H](NCCNc1ccccn1)c1cc(Cl)ccc1Cl ZINC000484178671 1048912629 /nfs/dbraw/zinc/91/26/29/1048912629.db2.gz OFSPAZQNJJSFSG-NSHDSACASA-N 0 3 310.228 4.151 20 0 DIADHN CC1(C)CCCN(Cc2ccn(CCc3ccccc3)n2)CC1 ZINC001191297574 1048918350 /nfs/dbraw/zinc/91/83/50/1048918350.db2.gz MVNOREKNLZOIJB-UHFFFAOYSA-N 0 3 311.473 4.138 20 0 DIADHN CC(C)(C)OC(=O)[C@H]1CCN(Cc2cccc(C(C)(C)C)c2)C1 ZINC001191297208 1048918403 /nfs/dbraw/zinc/91/84/03/1048918403.db2.gz BFVZYOYIMFOOLO-INIZCTEOSA-N 0 3 317.473 4.148 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCCC(C)(C)CC1 ZINC001191297256 1048918506 /nfs/dbraw/zinc/91/85/06/1048918506.db2.gz CLNSDDGACKXTEF-UHFFFAOYSA-N 0 3 308.853 4.099 20 0 DIADHN COc1ccc2c(c1)nccc2Nc1c(C)nc2ccc(F)cn21 ZINC001203525175 1048918871 /nfs/dbraw/zinc/91/88/71/1048918871.db2.gz VNFLZIGZTNHKGK-UHFFFAOYSA-N 0 3 322.343 4.082 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CC[C@@H](CSc2ccccc2)C1 ZINC001191341262 1048919638 /nfs/dbraw/zinc/91/96/38/1048919638.db2.gz LSPGNMAZINRZQB-HUUCEWRRSA-N 0 3 316.445 4.396 20 0 DIADHN CC(C)C[C@@H]1CCN(CC(=O)Nc2ccc3ccccc3c2)C1 ZINC001191340023 1048919762 /nfs/dbraw/zinc/91/97/62/1048919762.db2.gz HLYGQNXKBWPJOY-INIZCTEOSA-N 0 3 310.441 4.146 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CC[C@H](CSc2ccccc2)C1 ZINC001191341260 1048921170 /nfs/dbraw/zinc/92/11/70/1048921170.db2.gz LSPGNMAZINRZQB-CABCVRRESA-N 0 3 316.445 4.396 20 0 DIADHN CC(C)C[C@@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001191342993 1048921226 /nfs/dbraw/zinc/92/12/26/1048921226.db2.gz QUWFGKPKRLLNGL-KGLIPLIRSA-N 0 3 308.853 4.035 20 0 DIADHN CCOc1ccccc1CN1CCC[C@@H]1c1ccccc1OC ZINC001203541153 1048923557 /nfs/dbraw/zinc/92/35/57/1048923557.db2.gz POKWLHUQCAMPIG-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN COc1cccc2cc(CNCc3ccc4[nH]ccc4c3)oc21 ZINC001177631324 1048925915 /nfs/dbraw/zinc/92/59/15/1048925915.db2.gz GASIHEWXEWGALU-UHFFFAOYSA-N 0 3 306.365 4.213 20 0 DIADHN O[C@@H](CNCc1cc(Cl)ccc1Cl)C1CCCCC1 ZINC001177624319 1048926051 /nfs/dbraw/zinc/92/60/51/1048926051.db2.gz JDCUKSVATOIDGW-HNNXBMFYSA-N 0 3 302.245 4.024 20 0 DIADHN Cc1cc(CNCC(C)(C)c2cccc(C(F)(F)F)c2)on1 ZINC001177631180 1048926324 /nfs/dbraw/zinc/92/63/24/1048926324.db2.gz CHVYOKFJWVVQII-UHFFFAOYSA-N 0 3 312.335 4.069 20 0 DIADHN Fc1cccc(CCCNCc2c(F)ccc(F)c2Cl)c1 ZINC001177683835 1048929778 /nfs/dbraw/zinc/92/97/78/1048929778.db2.gz XGXJZCDXDIZEDY-UHFFFAOYSA-N 0 3 313.750 4.480 20 0 DIADHN C[C@@H](COCc1ccccc1)CN1CC[C@@H]1c1cccc(F)c1 ZINC001191390259 1048930211 /nfs/dbraw/zinc/93/02/11/1048930211.db2.gz JXDVNBDDZBFGOE-OXQOHEQNSA-N 0 3 313.416 4.425 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccccc1SC ZINC000194839834 1048932125 /nfs/dbraw/zinc/93/21/25/1048932125.db2.gz HJWINEPHPHRXGT-AWEZNQCLSA-N 0 3 301.455 4.406 20 0 DIADHN O[C@H](CNC1(c2cccc(Cl)c2)CC1)c1cccc(Cl)c1 ZINC000775453031 1048934705 /nfs/dbraw/zinc/93/47/05/1048934705.db2.gz AJLPPUNKMJAFIG-MRXNPFEDSA-N 0 3 322.235 4.306 20 0 DIADHN CC(C)COc1ccc(C(C)(C)CNCc2nccs2)cc1 ZINC001177774902 1048936198 /nfs/dbraw/zinc/93/61/98/1048936198.db2.gz RELMGTNUQODMIF-UHFFFAOYSA-N 0 3 318.486 4.245 20 0 DIADHN Cc1c(Cl)cccc1CNCc1ccc2c(c1)C[C@@H](C)O2 ZINC001203598254 1048939302 /nfs/dbraw/zinc/93/93/02/1048939302.db2.gz QEJWSJWYMJKGQG-GFCCVEGCSA-N 0 3 301.817 4.262 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@@](C)(CCc2ccccc2)C1 ZINC001191443665 1048946281 /nfs/dbraw/zinc/94/62/81/1048946281.db2.gz FEJKQGGUOAXGHC-MAUKXSAKSA-N 0 3 315.486 4.252 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2NCc1csc2ccccc12 ZINC001177803701 1048948164 /nfs/dbraw/zinc/94/81/64/1048948164.db2.gz DKGGKLFHPWSKLX-INIZCTEOSA-N 0 3 309.438 4.167 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CCc1c(F)cccc1F ZINC001191486211 1048951914 /nfs/dbraw/zinc/95/19/14/1048951914.db2.gz MZNBANXQBKELHC-SFHVURJKSA-N 0 3 304.384 4.073 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NCCOCc1ccccc1 ZINC000484498071 1048952426 /nfs/dbraw/zinc/95/24/26/1048952426.db2.gz GYCMIPFBEGNFBH-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1n[nH]c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC001177850637 1048953636 /nfs/dbraw/zinc/95/36/36/1048953636.db2.gz UPBHSAJVQGDIRQ-UDTVLSCZSA-N 0 3 303.837 4.167 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2N[C@@H]1CCSc2c(F)cccc21 ZINC000854226447 1048954691 /nfs/dbraw/zinc/95/46/91/1048954691.db2.gz PEIUMHAUIDHVBX-IAGOWNOFSA-N 0 3 314.429 4.222 20 0 DIADHN COCc1cc(CNCc2ccc(CC(C)C)cc2)ccc1F ZINC001177854899 1048954802 /nfs/dbraw/zinc/95/48/02/1048954802.db2.gz DRZBSHXIVMDXQE-UHFFFAOYSA-N 0 3 315.432 4.460 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnn(C)c1C1CC1 ZINC001177844874 1048954919 /nfs/dbraw/zinc/95/49/19/1048954919.db2.gz WHKFGIBAXDCLKO-IBGZPJMESA-N 0 3 311.473 4.483 20 0 DIADHN Cc1cc(F)c(CNCCc2ccc3ncccc3c2)c(F)c1 ZINC001203682301 1048961185 /nfs/dbraw/zinc/96/11/85/1048961185.db2.gz GFQVOWNGHCNOPO-UHFFFAOYSA-N 0 3 312.363 4.154 20 0 DIADHN FC(F)(F)c1ccccc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001203687573 1048962988 /nfs/dbraw/zinc/96/29/88/1048962988.db2.gz SYDIMNQZVQDGIX-TXEJJXNPSA-N 0 3 305.290 4.183 20 0 DIADHN CC[C@@H](CNCc1cccc(C)c1F)Oc1ccccc1F ZINC001177950578 1048968202 /nfs/dbraw/zinc/96/82/02/1048968202.db2.gz WXPJATOSQZGCTG-HNNXBMFYSA-N 0 3 305.368 4.220 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1cn2cc(Cl)ccc2n1 ZINC001177972365 1048973823 /nfs/dbraw/zinc/97/38/23/1048973823.db2.gz IRDPKFCZCFXOJZ-HUUCEWRRSA-N 0 3 323.893 4.142 20 0 DIADHN Cc1cc(NC(=O)Nc2cccc(CN(C)C)c2)ccc1Cl ZINC001191768737 1048987964 /nfs/dbraw/zinc/98/79/64/1048987964.db2.gz KVGOIYBOLVPQLU-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCCCCN(C/C=C(/C)C(=O)OC)Cc1ccc(Cl)cc1 ZINC001191774035 1048989817 /nfs/dbraw/zinc/98/98/17/1048989817.db2.gz QTDQOGWWVLRHIA-PTNGSMBKSA-N 0 3 323.864 4.452 20 0 DIADHN COc1cc(C)c(CN(C)CCC(=O)c2ccccc2)cc1C ZINC001203759346 1048990842 /nfs/dbraw/zinc/99/08/42/1048990842.db2.gz CZDVWRIIJYSRGJ-UHFFFAOYSA-N 0 3 311.425 4.017 20 0 DIADHN Fc1ccc(CCN2CCC[C@H](Nc3ccccc3)C2)cc1F ZINC001191741591 1048995037 /nfs/dbraw/zinc/99/50/37/1048995037.db2.gz ITLQVISKKAZUDY-KRWDZBQOSA-N 0 3 316.395 4.084 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccc(C[NH+]2CCCC2)cc1 ZINC001203806642 1049003117 /nfs/dbraw/zinc/00/31/17/1049003117.db2.gz AEXSLTDVWRHRFZ-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN Cc1cc([C@H](NCc2cccc3c2OCC3)C2CC2)ccc1F ZINC001178218231 1049003225 /nfs/dbraw/zinc/00/32/25/1049003225.db2.gz JOTXWASTXAHPMJ-LJQANCHMSA-N 0 3 311.400 4.310 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@@]4(CCCO4)C3)c2)cc1 ZINC001203810714 1049004125 /nfs/dbraw/zinc/00/41/25/1049004125.db2.gz JCMLQTOVJIBVJJ-FQEVSTJZSA-N 0 3 309.409 4.234 20 0 DIADHN c1ccc(Oc2cccc(CN3CC[C@H]4CCCO[C@@H]4C3)c2)cc1 ZINC001203811991 1049008081 /nfs/dbraw/zinc/00/80/81/1049008081.db2.gz ZYTCRMLBGGVGEV-WIYYLYMNSA-N 0 3 323.436 4.480 20 0 DIADHN COc1cccc(CN2CCC(Oc3ccccc3C)CC2)c1 ZINC001203819617 1049010036 /nfs/dbraw/zinc/01/00/36/1049010036.db2.gz DRXFJIRKTJWHJZ-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN CC1(C)CC[C@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)O1 ZINC001191846022 1049010257 /nfs/dbraw/zinc/01/02/57/1049010257.db2.gz RRAHFNCCPHCEEZ-RTBURBONSA-N 0 3 313.416 4.462 20 0 DIADHN Cc1cc(CNCCn2c3ccccc3c3ccccc32)sn1 ZINC001178285901 1049010764 /nfs/dbraw/zinc/01/07/64/1049010764.db2.gz VLRMZPLPLUVGLD-UHFFFAOYSA-N 0 3 321.449 4.349 20 0 DIADHN CCOc1cccc(CN[C@@H]2CCCc3nc(C)sc32)c1 ZINC001178284994 1049011598 /nfs/dbraw/zinc/01/15/98/1049011598.db2.gz GWODUZGUESSLGI-OAHLLOKOSA-N 0 3 302.443 4.017 20 0 DIADHN COc1cccc(CN2CCC(Oc3cccc(C)c3)CC2)c1 ZINC001203826278 1049011528 /nfs/dbraw/zinc/01/15/28/1049011528.db2.gz UADUPXDYSJEPIE-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1ccc(OC)c(OC)c1 ZINC001203842250 1049013512 /nfs/dbraw/zinc/01/35/12/1049013512.db2.gz JCLMFRBLBZSOGK-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)Oc1cc(Cl)c(CN[C@@H](C)C[C@@H](C)O)cc1Cl ZINC001178294800 1049014447 /nfs/dbraw/zinc/01/44/47/1049014447.db2.gz AMXQIANYWMVLKV-WDEREUQCSA-N 0 3 320.260 4.030 20 0 DIADHN COc1ccc(CN2CCCC[C@@H]2c2ccccc2)cc1OC ZINC001203837273 1049014790 /nfs/dbraw/zinc/01/47/90/1049014790.db2.gz VCHOOQNGUQENQN-GOSISDBHSA-N 0 3 311.425 4.431 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccc(C)cc2)cc1OC ZINC001203838392 1049015107 /nfs/dbraw/zinc/01/51/07/1049015107.db2.gz VGHQWZIEUKGPGN-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN COc1cc(CN2CCC3(CC3)C2)ccc1OCc1ccccc1 ZINC001203848550 1049016933 /nfs/dbraw/zinc/01/69/33/1049016933.db2.gz GPCGXJDHNCHIFO-UHFFFAOYSA-N 0 3 323.436 4.260 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cccc(Cl)n2)C1(C)C ZINC001191988041 1049024164 /nfs/dbraw/zinc/02/41/64/1049024164.db2.gz VLIOLUIGLXSHSJ-CABCVRRESA-N 0 3 310.869 4.151 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H](C)c2ccc3c(c2)CCC3)cn1 ZINC001178386793 1049025225 /nfs/dbraw/zinc/02/52/25/1049025225.db2.gz MXJSXAWJRVORSF-MRXNPFEDSA-N 0 3 323.484 4.266 20 0 DIADHN CC(C)n1ncc2c1[C@H](NC/C=C\c1cccc(F)c1)CCC2 ZINC001178430287 1049029628 /nfs/dbraw/zinc/02/96/28/1049029628.db2.gz DLCXEMHARSQARS-LZCIBIBXSA-N 0 3 313.420 4.284 20 0 DIADHN CCCCCCNC(=O)Nc1ccccc1CN1CCCCC1 ZINC001191979809 1049030091 /nfs/dbraw/zinc/03/00/91/1049030091.db2.gz GRCPXAZAMFSDBB-UHFFFAOYSA-N 0 3 317.477 4.374 20 0 DIADHN Cc1c2ccccc2sc1[C@@H](C)NCc1ccc(CO)o1 ZINC001178436526 1049031651 /nfs/dbraw/zinc/03/16/51/1049031651.db2.gz KFNFZZUANDSPOJ-GFCCVEGCSA-N 0 3 301.411 4.146 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CNCc1cccc(Cl)c1Cl ZINC001178404552 1049035108 /nfs/dbraw/zinc/03/51/08/1049035108.db2.gz ODDXDTYSHBXXIA-AWEZNQCLSA-N 0 3 304.261 4.126 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)cc2)C1 ZINC001203943063 1049039047 /nfs/dbraw/zinc/03/90/47/1049039047.db2.gz CTHJQFCNHQNAFN-GOSISDBHSA-N 0 3 309.409 4.280 20 0 DIADHN CC1(C)CCC[C@H](CNCc2ccc(CSC(F)F)o2)O1 ZINC001203953607 1049044512 /nfs/dbraw/zinc/04/45/12/1049044512.db2.gz KGUQGNFPUIFGBS-GFCCVEGCSA-N 0 3 319.417 4.173 20 0 DIADHN COc1cccc(-c2csc(CNCCC=C(C)C)n2)c1 ZINC001178478295 1049045114 /nfs/dbraw/zinc/04/51/14/1049045114.db2.gz UHMMWLXUDDVZDB-UHFFFAOYSA-N 0 3 302.443 4.265 20 0 DIADHN CCOc1ccc(CN2CCC3(Cc4ccccc4O3)CC2)cc1 ZINC001203968230 1049046212 /nfs/dbraw/zinc/04/62/12/1049046212.db2.gz AQSMXUIADHFHOF-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN CC(C)C[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001192198543 1049051204 /nfs/dbraw/zinc/05/12/04/1049051204.db2.gz KPYZAFHHNQWVMG-GJZGRUSLSA-N 0 3 322.880 4.425 20 0 DIADHN Cc1c[nH]c(CNCC2(c3c(Cl)cccc3Cl)CCC2)n1 ZINC001178589646 1049057134 /nfs/dbraw/zinc/05/71/34/1049057134.db2.gz ONHDBXFXVWMPAK-UHFFFAOYSA-N 0 3 324.255 4.236 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1cccc(Cl)c1F ZINC001192182804 1049058078 /nfs/dbraw/zinc/05/80/78/1049058078.db2.gz AJXJHOYOMRSBCU-LBPRGKRZSA-N 0 3 307.796 4.248 20 0 DIADHN CC1(C)SC[C@@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC001178553392 1049058843 /nfs/dbraw/zinc/05/88/43/1049058843.db2.gz PFKGXQNUADZBJH-AWEZNQCLSA-N 0 3 309.381 4.208 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CNCc2cc(Cl)ccc2Cl)c1 ZINC001178556562 1049059069 /nfs/dbraw/zinc/05/90/69/1049059069.db2.gz CECPDUOIJFMRLD-KRWDZBQOSA-N 0 3 324.251 4.433 20 0 DIADHN Nc1nc(CN2CCC[C@@H]2CCC2CCCC2)nc2ccccc12 ZINC001192243251 1049059350 /nfs/dbraw/zinc/05/93/50/1049059350.db2.gz HQNUQUVWUQKIPT-MRXNPFEDSA-N 0 3 324.472 4.147 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1nnc(-c2ccco2)o1 ZINC000173309101 1049060082 /nfs/dbraw/zinc/06/00/82/1049060082.db2.gz XCMWDMWDJAFWQZ-QWHCGFSZSA-N 0 3 303.406 4.024 20 0 DIADHN C[C@@H]1CCC[C@@H]1CNCc1nc(Cl)ccc1Br ZINC001178558226 1049061948 /nfs/dbraw/zinc/06/19/48/1049061948.db2.gz ZSNLFNCZYHXQNF-NXEZZACHSA-N 0 3 317.658 4.023 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001178557451 1049062174 /nfs/dbraw/zinc/06/21/74/1049062174.db2.gz PPKQGAXBXKJGLD-KBPBESRZSA-N 0 3 321.770 4.406 20 0 DIADHN COc1cc(C)ccc1OC1CCN(Cc2cccs2)CC1 ZINC001204016233 1049062499 /nfs/dbraw/zinc/06/24/99/1049062499.db2.gz ZYAMVNPBYVNROR-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN COC(=O)c1cccc([C@@H]2CCCN2Cc2ccc(C)s2)c1 ZINC001204034685 1049069984 /nfs/dbraw/zinc/06/99/84/1049069984.db2.gz QURPIALXVFZCMX-KRWDZBQOSA-N 0 3 315.438 4.180 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CCc3[nH]c4ccc(C)cc4c3C2)CCO1 ZINC000780758814 1049070168 /nfs/dbraw/zinc/07/01/68/1049070168.db2.gz WSNIIUZGSKZFLI-JKSUJKDBSA-N 0 3 312.457 4.040 20 0 DIADHN c1[nH]c2ccccc2c1CN1CCC2(COc3ccccc32)CC1 ZINC001204046707 1049072135 /nfs/dbraw/zinc/07/21/35/1049072135.db2.gz UPPPWWGNSQJICP-UHFFFAOYSA-N 0 3 318.420 4.094 20 0 DIADHN c1ccc2c(c1)CO[C@@H]2CNCc1ccccc1OC1CCCC1 ZINC001204064164 1049076349 /nfs/dbraw/zinc/07/63/49/1049076349.db2.gz RTFZGVCIQGSYAA-OAQYLSRUSA-N 0 3 323.436 4.369 20 0 DIADHN Cc1ccc(CN(CCCO)Cc2cccc(Cl)c2)cc1F ZINC001192334303 1049077722 /nfs/dbraw/zinc/07/77/22/1049077722.db2.gz HAPRLLKJRHSXID-UHFFFAOYSA-N 0 3 321.823 4.172 20 0 DIADHN CC[C@H](NCc1cc(Cl)c(OC(C)C)cc1Cl)[C@H](C)O ZINC001178709236 1049078827 /nfs/dbraw/zinc/07/88/27/1049078827.db2.gz DNJGWARCODCWPV-HZMBPMFUSA-N 0 3 320.260 4.030 20 0 DIADHN C[C@H]1Cc2ccc(Br)cc2CN1CC1CC=CC1 ZINC001192326734 1049079892 /nfs/dbraw/zinc/07/98/92/1049079892.db2.gz XMLJEUXRSRHIBI-LBPRGKRZSA-N 0 3 306.247 4.162 20 0 DIADHN CCC1(NCc2ncc(Br)cc2F)CCCCC1 ZINC001178766259 1049085270 /nfs/dbraw/zinc/08/52/70/1049085270.db2.gz OAJREBWCXSPWSV-UHFFFAOYSA-N 0 3 315.230 4.186 20 0 DIADHN Oc1ccc(CN2CCC(c3ccc(F)cc3F)CC2)cc1 ZINC001204100754 1049085643 /nfs/dbraw/zinc/08/56/43/1049085643.db2.gz OVMNDTVBAGNOJV-UHFFFAOYSA-N 0 3 303.352 4.050 20 0 DIADHN CC[C@@](C)(CNCc1cc(Cl)ccc1OCC1CC1)OC ZINC001178769363 1049086385 /nfs/dbraw/zinc/08/63/85/1049086385.db2.gz NIMASIKTXZZJHS-KRWDZBQOSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1nc(CNC2(Cc3cccc(Cl)c3)CC2)sc1C ZINC001178727683 1049086719 /nfs/dbraw/zinc/08/67/19/1049086719.db2.gz HLMXDJGSTKCXCF-UHFFFAOYSA-N 0 3 306.862 4.278 20 0 DIADHN Cc1ccc(C)c(CN[C@H]2C[C@@]3(CCOC3)Oc3ccccc32)c1 ZINC001204121509 1049088634 /nfs/dbraw/zinc/08/86/34/1049088634.db2.gz YNXNFHMDWNRQRU-PZJWPPBQSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H](CO)c2ccc(F)cc2)c(C)c1 ZINC001178791216 1049089377 /nfs/dbraw/zinc/08/93/77/1049089377.db2.gz TZZNSPWLGZLNSZ-FBQMEGEOSA-N 0 3 313.416 4.087 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2c2ccc(C)cc2)ccc1O ZINC001204129722 1049089660 /nfs/dbraw/zinc/08/96/60/1049089660.db2.gz SEHRNKRLHLLVIV-GOSISDBHSA-N 0 3 311.425 4.436 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)C[C@@H](C)O3)cc1 ZINC001204145010 1049096916 /nfs/dbraw/zinc/09/69/16/1049096916.db2.gz MDTOBGMBZDOIHK-QRWLVFNGSA-N 0 3 323.436 4.356 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(C(F)(F)F)cc1 ZINC000173347580 1049098540 /nfs/dbraw/zinc/09/85/40/1049098540.db2.gz BYYOATFLJFJMES-ZTFRIQLXSA-N 0 3 321.342 4.014 20 0 DIADHN Cc1sc(CN2C[C@H](C)CC[C@@H]2C)cc1Br ZINC001204163780 1049100478 /nfs/dbraw/zinc/10/04/78/1049100478.db2.gz UHSIJBFCGNEKBQ-ZJUUUORDSA-N 0 3 302.281 4.439 20 0 DIADHN Fc1cccc([C@@H]2CCN2C[C@@H]2CCN(c3ccccc3)C2)c1 ZINC001204164171 1049100687 /nfs/dbraw/zinc/10/06/87/1049100687.db2.gz YZIZBYDASYRUIW-UZLBHIALSA-N 0 3 310.416 4.099 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3cnc(F)cc3C)C2)cc1 ZINC001204170560 1049102570 /nfs/dbraw/zinc/10/25/70/1049102570.db2.gz KIXDXQGWZFGXKB-GOSISDBHSA-N 0 3 312.432 4.292 20 0 DIADHN Cc1ccnc(CN[C@]2(C)CCCC[C@@H]2C)c1Br ZINC001178868893 1049102769 /nfs/dbraw/zinc/10/27/69/1049102769.db2.gz YLJDWWQCUSGDRB-SWLSCSKDSA-N 0 3 311.267 4.211 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNCC(C)(C)C1=CCCC1 ZINC001178873605 1049102897 /nfs/dbraw/zinc/10/28/97/1049102897.db2.gz VIEZSTJTFQQDDZ-UHFFFAOYSA-N 0 3 309.885 4.423 20 0 DIADHN COC[C@@H](C)N(Cc1ccc(C)cc1)Cc1cccc(OC)c1 ZINC001204174236 1049104252 /nfs/dbraw/zinc/10/42/52/1049104252.db2.gz KZQPTTDGQCDSIO-QGZVFWFLSA-N 0 3 313.441 4.041 20 0 DIADHN CCc1ccc(CN2CCC3(CC(=O)c4ccccc43)CC2)cc1 ZINC001204179332 1049104336 /nfs/dbraw/zinc/10/43/36/1049104336.db2.gz MTUHMJHLEHGQNN-UHFFFAOYSA-N 0 3 319.448 4.369 20 0 DIADHN Cc1ccc(CN2C[C@@H]3C[C@H](C2)c2cc4nccnc4cc23)cc1 ZINC001204173735 1049105308 /nfs/dbraw/zinc/10/53/08/1049105308.db2.gz BPCCTICLFDWOLF-CALCHBBNSA-N 0 3 315.420 4.025 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C/C3CCCCC3)n2)cc1 ZINC000904620810 1049106269 /nfs/dbraw/zinc/10/62/69/1049106269.db2.gz KPUZEJIYXPISBB-JLHYYAGUSA-N 0 3 311.429 4.392 20 0 DIADHN CC(C)C[C@H](C)Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904620248 1049106775 /nfs/dbraw/zinc/10/67/75/1049106775.db2.gz DJERDJQMXPJGQM-AWEZNQCLSA-N 0 3 301.434 4.023 20 0 DIADHN CC(C)CC1(c2nc(-c3ccc(CN(C)C)cc3)no2)CCC1 ZINC000904620383 1049106976 /nfs/dbraw/zinc/10/69/76/1049106976.db2.gz FBBSRBHKXRFICA-UHFFFAOYSA-N 0 3 313.445 4.266 20 0 DIADHN C[C@@H]1CC[C@H](c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@@H]1C ZINC000904622569 1049107871 /nfs/dbraw/zinc/10/78/71/1049107871.db2.gz JDRKTCDDHSUFGC-KEYYUXOJSA-N 0 3 313.445 4.338 20 0 DIADHN CC[C@H](c1nc(-c2ccc(CN(C)C)cc2)no1)c1ccccc1 ZINC000904623951 1049108398 /nfs/dbraw/zinc/10/83/98/1049108398.db2.gz GHYSCUSHBNQYOO-SFHVURJKSA-N 0 3 321.424 4.340 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1C ZINC001178914238 1049110260 /nfs/dbraw/zinc/11/02/60/1049110260.db2.gz SPZWBGTYJQZNRU-CYBMUJFWSA-N 0 3 317.816 4.286 20 0 DIADHN CCSc1ccc([C@H](C)NC[C@@](O)(CC)C(F)(F)F)cc1 ZINC001192556860 1049114243 /nfs/dbraw/zinc/11/42/43/1049114243.db2.gz HKMQICIXGLJYNI-FZMZJTMJSA-N 0 3 321.408 4.153 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H](O)C(C)C ZINC001178959513 1049114101 /nfs/dbraw/zinc/11/41/01/1049114101.db2.gz YZBIPOHJDLGWLK-RISCZKNCSA-N 0 3 308.224 4.018 20 0 DIADHN Cc1c(Cl)ccc(CNCCCC2(O)CCC2)c1Cl ZINC001178971648 1049115282 /nfs/dbraw/zinc/11/52/82/1049115282.db2.gz JYFJPNMMDCUAJK-UHFFFAOYSA-N 0 3 302.245 4.087 20 0 DIADHN CC[C@H](CNCc1c(Cl)cncc1Cl)CC(F)(F)F ZINC001178967034 1049115327 /nfs/dbraw/zinc/11/53/27/1049115327.db2.gz XGTWJENNLMAQPM-QMMMGPOBSA-N 0 3 315.166 4.457 20 0 DIADHN CO[C@@H](CNCc1ccc(F)c(Cl)c1Cl)CC(C)C ZINC001178971684 1049115635 /nfs/dbraw/zinc/11/56/35/1049115635.db2.gz LHLQDXKBGJLDAW-LLVKDONJSA-N 0 3 308.224 4.283 20 0 DIADHN Cc1cccc(-c2nc(-c3ccc(CN(C)C)cc3)no2)c1C ZINC000904627830 1049116221 /nfs/dbraw/zinc/11/62/21/1049116221.db2.gz VRPFJLAUZYHHQI-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3CCC4(CC4)CC3)n2)cc1 ZINC000904627829 1049116273 /nfs/dbraw/zinc/11/62/73/1049116273.db2.gz VPNADWIDWFTTHX-UHFFFAOYSA-N 0 3 311.429 4.236 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3CCC=CCCC3)n2)cc1 ZINC000904626532 1049116448 /nfs/dbraw/zinc/11/64/48/1049116448.db2.gz YRRYKMFUIBDUMR-KRWDZBQOSA-N 0 3 311.429 4.402 20 0 DIADHN COCCC1(CN[C@H]2C[C@]2(F)c2ccccc2)CCCCC1 ZINC001178999029 1049120226 /nfs/dbraw/zinc/12/02/26/1049120226.db2.gz XTNKRQXAAASKQP-HKUYNNGSSA-N 0 3 305.437 4.200 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@@H]2C[C@]2(F)c2ccccc2)C1 ZINC001178998970 1049120356 /nfs/dbraw/zinc/12/03/56/1049120356.db2.gz VYJTZGGQXDTKCU-RCOXNQKVSA-N 0 3 324.443 4.049 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@H]2C[C@]2(F)c2ccccc2)C1 ZINC001178998971 1049120491 /nfs/dbraw/zinc/12/04/91/1049120491.db2.gz VYJTZGGQXDTKCU-SEVLPDGMSA-N 0 3 324.443 4.049 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(C)CCCC(=O)OC(C)(C)C ZINC000339001907 1049122978 /nfs/dbraw/zinc/12/29/78/1049122978.db2.gz VXSAOVZONVDOQZ-UHFFFAOYSA-N 0 3 320.477 4.067 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3ccccc32)c(OC)c1C ZINC001204265377 1049123684 /nfs/dbraw/zinc/12/36/84/1049123684.db2.gz GFHKKGDNIJWKGF-GOSISDBHSA-N 0 3 311.425 4.132 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001204288120 1049127786 /nfs/dbraw/zinc/12/77/86/1049127786.db2.gz RPHOMSONKFYYSN-ZDUSSCGKSA-N 0 3 319.392 4.145 20 0 DIADHN CC[C@H](NCCSc1ccncc1)c1cc(F)ccc1F ZINC001192690582 1049130456 /nfs/dbraw/zinc/13/04/56/1049130456.db2.gz VTSHAWRQXFSBQI-INIZCTEOSA-N 0 3 308.397 4.193 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001192689369 1049130570 /nfs/dbraw/zinc/13/05/70/1049130570.db2.gz GZAWWPFDTBMLIG-OLZOCXBDSA-N 0 3 323.786 4.330 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(C)c(Cl)c2)cc1 ZINC001192730392 1049132093 /nfs/dbraw/zinc/13/20/93/1049132093.db2.gz GTIKMKMIVAGWLB-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN O[C@@H](CNCc1ccsc1Cl)c1cccc2ccccc21 ZINC001179123567 1049132919 /nfs/dbraw/zinc/13/29/19/1049132919.db2.gz VHEODJRTIFZAMN-INIZCTEOSA-N 0 3 317.841 4.378 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@@H]1CC2(CO1)CCCCC2 ZINC001192663048 1049132958 /nfs/dbraw/zinc/13/29/58/1049132958.db2.gz SRDOSTZVOSCBBE-HOCLYGCPSA-N 0 3 321.436 4.224 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCC(=O)[C@H](C)C12CCCC2 ZINC001204298368 1049133094 /nfs/dbraw/zinc/13/30/94/1049133094.db2.gz QUAHANGFTRYAFM-AWEZNQCLSA-N 0 3 310.441 4.200 20 0 DIADHN C[C@@H](NC[C@H]1CCCC(C)(C)O1)c1ccccc1OC(F)F ZINC001192747652 1049134160 /nfs/dbraw/zinc/13/41/60/1049134160.db2.gz WIEIXLNVPBIFHA-CHWSQXEVSA-N 0 3 313.388 4.286 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@]2(CCCO2)C1 ZINC001204298971 1049134459 /nfs/dbraw/zinc/13/44/59/1049134459.db2.gz YUSLTTJEANSPQE-HXUWFJFHSA-N 0 3 317.473 4.401 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC(C)(C)O1)c1ccccc1OC(F)F ZINC001192747653 1049134825 /nfs/dbraw/zinc/13/48/25/1049134825.db2.gz WIEIXLNVPBIFHA-OLZOCXBDSA-N 0 3 313.388 4.286 20 0 DIADHN C[C@H]1C[C@@H](c2cccc(F)c2)N(Cc2cccc3c2OCC3)C1 ZINC001204305545 1049136913 /nfs/dbraw/zinc/13/69/13/1049136913.db2.gz CXJFSMVIHXQCGU-LIRRHRJNSA-N 0 3 311.400 4.344 20 0 DIADHN CCOc1cccc(CN(CCOC)[C@H](C)c2ccccc2)c1 ZINC001204312967 1049137798 /nfs/dbraw/zinc/13/77/98/1049137798.db2.gz HJHLWTSYDNBJKN-QGZVFWFLSA-N 0 3 313.441 4.295 20 0 DIADHN Fc1c(Cl)cccc1CNC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC001179165261 1049138627 /nfs/dbraw/zinc/13/86/27/1049138627.db2.gz MROPJWHKUFVUFY-HOTGVXAUSA-N 0 3 319.807 4.141 20 0 DIADHN CC[C@](O)(CN[C@H](c1ccccc1Cl)C(C)C)C(F)(F)F ZINC001192831747 1049140086 /nfs/dbraw/zinc/14/00/86/1049140086.db2.gz DYVHWRCGTYANSX-KBPBESRZSA-N 0 3 323.786 4.330 20 0 DIADHN Cc1cc(C)c(/C=C\CNC[C@]23OCCC[C@H]2C3(F)F)c(C)c1 ZINC001179172842 1049140303 /nfs/dbraw/zinc/14/03/03/1049140303.db2.gz BXHOQOAYZMLFMW-XYDITTIYSA-N 0 3 321.411 4.029 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cn(C)c2ccccc12 ZINC001204325969 1049142412 /nfs/dbraw/zinc/14/24/12/1049142412.db2.gz RYFGQBFJSJHVDJ-HNNXBMFYSA-N 0 3 308.425 4.380 20 0 DIADHN CCOc1ccc(CNCc2cc(C)cc(C)c2)cc1OCC ZINC001179222591 1049142736 /nfs/dbraw/zinc/14/27/36/1049142736.db2.gz KGAKDHOGZCSUHB-UHFFFAOYSA-N 0 3 313.441 4.391 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@@]3(CCO3)C2)cc1 ZINC001204331842 1049144235 /nfs/dbraw/zinc/14/42/35/1049144235.db2.gz NVJMLIZKKBTMDI-OAQYLSRUSA-N 0 3 323.436 4.021 20 0 DIADHN c1ccc(COc2ccccc2CN2CC[C@@]3(CCCO3)C2)cc1 ZINC001204331438 1049144854 /nfs/dbraw/zinc/14/48/54/1049144854.db2.gz TVPLVJXKRYYQEE-NRFANRHFSA-N 0 3 323.436 4.021 20 0 DIADHN CCc1oc2ccccc2c1CNCc1cccc2c1CCOC2 ZINC001179265858 1049149557 /nfs/dbraw/zinc/14/95/57/1049149557.db2.gz DDTBRHSHMRYLFM-UHFFFAOYSA-N 0 3 321.420 4.358 20 0 DIADHN CC[C@@H]1CN(Cc2ccnn2CC2CC2)CC[C@H]1c1ccccc1 ZINC001204358189 1049149981 /nfs/dbraw/zinc/14/99/81/1049149981.db2.gz JKPZVDOESHUMED-WIYYLYMNSA-N 0 3 323.484 4.309 20 0 DIADHN CCCCCCOc1ccc(CN2CCC(O)(CC)CC2)cc1 ZINC001204366197 1049150983 /nfs/dbraw/zinc/15/09/83/1049150983.db2.gz IBDBKBIUIUQWMI-UHFFFAOYSA-N 0 3 319.489 4.383 20 0 DIADHN CC(C)(C)c1ccc(CN(CCO)Cc2cccs2)cc1 ZINC001204397354 1049154884 /nfs/dbraw/zinc/15/48/84/1049154884.db2.gz JGKKQGDMQVAZEV-UHFFFAOYSA-N 0 3 303.471 4.040 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1nc2c(s1)C[C@@H](C)CC2 ZINC001179348301 1049155027 /nfs/dbraw/zinc/15/50/27/1049155027.db2.gz VYDKIDUDJKDYME-NSHDSACASA-N 0 3 316.461 4.429 20 0 DIADHN CCOc1cccc(CN2CCC(N(C)c3ccccc3)CC2)c1 ZINC001204390378 1049154957 /nfs/dbraw/zinc/15/49/57/1049154957.db2.gz SZVVLSIHMVTNQV-UHFFFAOYSA-N 0 3 324.468 4.186 20 0 DIADHN CCc1ccc(CN2CCC3(CC(OCC4CC4)C3)CC2)o1 ZINC001204393554 1049155814 /nfs/dbraw/zinc/15/58/14/1049155814.db2.gz RCZITYVRYLXWAB-UHFFFAOYSA-N 0 3 303.446 4.013 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCCC(F)(F)CC2)cc1 ZINC001204413150 1049158465 /nfs/dbraw/zinc/15/84/65/1049158465.db2.gz CYWIDTGGOLXDLX-UHFFFAOYSA-N 0 3 309.278 4.206 20 0 DIADHN FC(F)(F)Oc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1 ZINC001204416028 1049159094 /nfs/dbraw/zinc/15/90/94/1049159094.db2.gz REDAARYRZFOGRH-TXEJJXNPSA-N 0 3 321.289 4.062 20 0 DIADHN CCC(CC)(CNCc1cc(F)ccc1OC)C(F)(F)F ZINC001179397259 1049159568 /nfs/dbraw/zinc/15/95/68/1049159568.db2.gz SFPXERSSEHUFIV-UHFFFAOYSA-N 0 3 307.331 4.293 20 0 DIADHN C[C@@H]1CO[C@@H](C)CN1CCCCc1cccc(C(F)(F)F)c1 ZINC001204430348 1049161540 /nfs/dbraw/zinc/16/15/40/1049161540.db2.gz HWSLMAGPLHENHJ-KGLIPLIRSA-N 0 3 315.379 4.137 20 0 DIADHN Fc1cc(-c2cccc([C@@H]3CNCCO3)c2)ccc1C(F)F ZINC000904842861 1049165113 /nfs/dbraw/zinc/16/51/13/1049165113.db2.gz DRYPQXNMVBMNGO-INIZCTEOSA-N 0 3 307.315 4.091 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1 ZINC001204453362 1049166337 /nfs/dbraw/zinc/16/63/37/1049166337.db2.gz VEQDUHQOHZLPST-OAHLLOKOSA-N 0 3 301.352 4.043 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCC(=O)Nc2ccc(C)c(F)c2)c1 ZINC001193012411 1049166444 /nfs/dbraw/zinc/16/64/44/1049166444.db2.gz QZVAFMZHBODHFV-OAHLLOKOSA-N 0 3 314.404 4.040 20 0 DIADHN Cc1ccccc1CN(Cc1ccccc1)Cc1ccc(=O)[nH]c1 ZINC001204461072 1049166803 /nfs/dbraw/zinc/16/68/03/1049166803.db2.gz QFQJXBCTGDFAFR-UHFFFAOYSA-N 0 3 318.420 4.298 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(C(C)(C)O)cc2)C2CCC2)o1 ZINC000775565415 1049168983 /nfs/dbraw/zinc/16/89/83/1049168983.db2.gz MQBAUMYXTOQRBD-LJQANCHMSA-N 0 3 313.441 4.446 20 0 DIADHN CCc1cccc(CN[C@H]2CCCOc3c(OC)cccc32)c1 ZINC001179468530 1049169313 /nfs/dbraw/zinc/16/93/13/1049169313.db2.gz GMUJSWQAHWEYJJ-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCOC2CCC2)cc1 ZINC001193071239 1049172583 /nfs/dbraw/zinc/17/25/83/1049172583.db2.gz WCSRWSCBAPLCKN-HNNXBMFYSA-N 0 3 301.352 4.147 20 0 DIADHN CC[C@@H](NCc1cccc(Cl)c1F)[C@H](O)c1ccccc1 ZINC001179524913 1049172855 /nfs/dbraw/zinc/17/28/55/1049172855.db2.gz DFRRLBFIJFFMIN-NVXWUHKLSA-N 0 3 307.796 4.081 20 0 DIADHN CC[C@H](NCc1cccc(Cl)c1F)[C@@H](O)c1ccccc1 ZINC001179524914 1049173256 /nfs/dbraw/zinc/17/32/56/1049173256.db2.gz DFRRLBFIJFFMIN-RDJZCZTQSA-N 0 3 307.796 4.081 20 0 DIADHN CN(Cc1ccc2c(c1)CCO2)Cc1cccc(C(F)(F)F)c1 ZINC001204492774 1049175077 /nfs/dbraw/zinc/17/50/77/1049175077.db2.gz UDQGYHCMNLRGJG-UHFFFAOYSA-N 0 3 321.342 4.272 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc3c(c2)CCO3)c1 ZINC001204491084 1049175189 /nfs/dbraw/zinc/17/51/89/1049175189.db2.gz FUOADJKRPZNVRW-HXUWFJFHSA-N 0 3 323.436 4.357 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000568758282 1049175543 /nfs/dbraw/zinc/17/55/43/1049175543.db2.gz KTTODTOZKZIEFH-BMFZPTHFSA-N 0 3 324.262 4.011 20 0 DIADHN Cc1cc(CNc2nc3cccc(Br)c3[nH]2)cs1 ZINC001179566544 1049177783 /nfs/dbraw/zinc/17/77/83/1049177783.db2.gz VPYXVFWNZPXWOQ-UHFFFAOYSA-N 0 3 322.231 4.307 20 0 DIADHN Cc1sc(CN2CCC[C@@H](C)CC2)cc1Br ZINC001204498305 1049177320 /nfs/dbraw/zinc/17/73/20/1049177320.db2.gz YRIUPDVBTTZEGL-SNVBAGLBSA-N 0 3 302.281 4.441 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCCC1CC=CC1 ZINC001179562487 1049177438 /nfs/dbraw/zinc/17/74/38/1049177438.db2.gz APBAQGHGGHXRSW-UHFFFAOYSA-N 0 3 303.299 4.170 20 0 DIADHN Cc1c(F)cccc1CN1CCC(Oc2ccc(F)cc2)CC1 ZINC001204512118 1049178060 /nfs/dbraw/zinc/17/80/60/1049178060.db2.gz FJTGSQGDPFTMNE-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN COc1ccc2cc(CN3CC[C@H](C(F)(F)F)C3)ccc2c1 ZINC001204514185 1049178600 /nfs/dbraw/zinc/17/86/00/1049178600.db2.gz BAQMLLXUIPVQAG-HNNXBMFYSA-N 0 3 309.331 4.233 20 0 DIADHN C[C@H](CN1C[C@@H](C)OC(C)(C)C1)c1ccc(C(F)(F)F)cc1 ZINC001204514717 1049178628 /nfs/dbraw/zinc/17/86/28/1049178628.db2.gz AOEYCIJQUKJIAS-CHWSQXEVSA-N 0 3 315.379 4.308 20 0 DIADHN Cc1c(F)cccc1CN1CCC(OCc2ccccc2)CC1 ZINC001204512424 1049179037 /nfs/dbraw/zinc/17/90/37/1049179037.db2.gz HZTBRVZCQRLKPQ-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN CN1CCN(Cc2cccc(Nc3ccccc3C3CC3)c2)CC1 ZINC001204537527 1049184938 /nfs/dbraw/zinc/18/49/38/1049184938.db2.gz JXEZLCXXZXGHEB-UHFFFAOYSA-N 0 3 321.468 4.055 20 0 DIADHN COC(=O)c1ccc([C@H]2CCCN2Cc2cc(C)c(C)o2)cc1 ZINC001204541925 1049185198 /nfs/dbraw/zinc/18/51/98/1049185198.db2.gz QLQKYCYZDYOWMN-GOSISDBHSA-N 0 3 313.397 4.020 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCO[C@H](CCc2ccccc2)C1 ZINC001193198590 1049186680 /nfs/dbraw/zinc/18/66/80/1049186680.db2.gz RHEZRQZTRPHZBZ-DOTOQJQBSA-N 0 3 311.416 4.001 20 0 DIADHN CCOc1cncc(CN2CCC[C@H]2c2cc(C)ccc2C)c1 ZINC001204549939 1049187978 /nfs/dbraw/zinc/18/79/78/1049187978.db2.gz DBZDEEGWHBEGGN-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN CC(C)COC[C@H](NCCCc1cccc(F)c1)c1ccco1 ZINC001193224209 1049187991 /nfs/dbraw/zinc/18/79/91/1049187991.db2.gz IBIVSNUBNDTUIK-SFHVURJKSA-N 0 3 319.420 4.355 20 0 DIADHN Cc1nsc(C)c1CN1CCC(c2ccccc2C)CC1 ZINC001193224724 1049188091 /nfs/dbraw/zinc/18/80/91/1049188091.db2.gz NFWCGQGSVQLPLI-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN COc1ccc(-c2cc(CN3CC[C@@H](C)C(C)(C)C3)on2)cc1 ZINC001193209861 1049188604 /nfs/dbraw/zinc/18/86/04/1049188604.db2.gz JPDPOBDPSBHZMT-CQSZACIVSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1cc(Br)ccc1CNC(C)(C)/C=C\Cl ZINC001179627280 1049191135 /nfs/dbraw/zinc/19/11/35/1049191135.db2.gz VUDFQOIUKWTJEJ-SREVYHEPSA-N 0 3 302.643 4.378 20 0 DIADHN Cc1c(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)cnn1C ZINC001204573247 1049193094 /nfs/dbraw/zinc/19/30/94/1049193094.db2.gz AJQYVDCWFINXTC-INIZCTEOSA-N 0 3 323.362 4.084 20 0 DIADHN Cc1ccc(CN[C@]2(C)CCOc3ccccc32)cc1Cl ZINC001179662490 1049193564 /nfs/dbraw/zinc/19/35/64/1049193564.db2.gz MTKAYSAIENVBAP-GOSISDBHSA-N 0 3 301.817 4.436 20 0 DIADHN CC/C=C\CCN1CCN(C(=O)OC(C)(C)C)C[C@@H]1CCC ZINC001193283225 1049193743 /nfs/dbraw/zinc/19/37/43/1049193743.db2.gz QJVRNOZVLBEZJA-QWGSZXSUSA-N 0 3 310.482 4.064 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@H](C4CC4)C3)n2)c1 ZINC001204592923 1049194736 /nfs/dbraw/zinc/19/47/36/1049194736.db2.gz PGEFQSIGGSZEHI-HNNXBMFYSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3ccc(C)nc3Cl)C2)c1 ZINC001193323677 1049197488 /nfs/dbraw/zinc/19/74/88/1049197488.db2.gz IEIXPAGXTYVRTL-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCCc3ccccc3C2)cs1 ZINC001179705690 1049197458 /nfs/dbraw/zinc/19/74/58/1049197458.db2.gz BRONDFTXYCYBLE-MRXNPFEDSA-N 0 3 314.498 4.478 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1ccc(Cl)nc1N ZINC001204604472 1049198610 /nfs/dbraw/zinc/19/86/10/1049198610.db2.gz QGRLXKCYGRFKQM-UHFFFAOYSA-N 0 3 303.837 4.118 20 0 DIADHN Brc1ccc2c(c1)CCN(C[C@@H]1CC[C@H]3C[C@H]3C1)C2 ZINC001193346346 1049199878 /nfs/dbraw/zinc/19/98/78/1049199878.db2.gz QGMLVKXFDVLMEA-DVOMOZLQSA-N 0 3 320.274 4.243 20 0 DIADHN Cc1ccccc1CN[C@@H](Cc1ccccc1)c1ccccn1 ZINC001179730465 1049199928 /nfs/dbraw/zinc/19/99/28/1049199928.db2.gz WSISGRKINDSROK-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCc3cn[nH]c32)c2ccccc2)cc1 ZINC000857850490 1049201526 /nfs/dbraw/zinc/20/15/26/1049201526.db2.gz STRCXJWGMDOQES-RBUKOAKNSA-N 0 3 321.399 4.305 20 0 DIADHN Fc1cccc([C@@H](N[C@@H]2CCCc3cn[nH]c32)c2ccccc2)c1 ZINC000857857431 1049201928 /nfs/dbraw/zinc/20/19/28/1049201928.db2.gz UFWXMVFHASTSHC-MOPGFXCFSA-N 0 3 321.399 4.305 20 0 DIADHN CCCCC1(NCc2cnn(Cc3ccccc3)c2Cl)CC1 ZINC001179764500 1049205943 /nfs/dbraw/zinc/20/59/43/1049205943.db2.gz XFPJJGNGODEXIO-UHFFFAOYSA-N 0 3 317.864 4.397 20 0 DIADHN CC(C)CO[C@H]1CCN(Cc2ccc(-c3ccc(F)cc3)o2)C1 ZINC001204633118 1049206778 /nfs/dbraw/zinc/20/67/78/1049206778.db2.gz RFWDJDNQEUTTCZ-KRWDZBQOSA-N 0 3 317.404 4.333 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000485207613 1049208030 /nfs/dbraw/zinc/20/80/30/1049208030.db2.gz VWZDFRSPSWBSIE-INIZCTEOSA-N 0 3 316.832 4.410 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857907044 1049208401 /nfs/dbraw/zinc/20/84/01/1049208401.db2.gz KYSIZSQEPZMYGM-CZUORRHYSA-N 0 3 316.832 4.088 20 0 DIADHN CC[C@H]1CCC[C@H](NCc2ncccc2Br)CC1 ZINC001179800645 1049208871 /nfs/dbraw/zinc/20/88/71/1049208871.db2.gz WMGKNKMHHFORIT-STQMWFEESA-N 0 3 311.267 4.293 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccc(C)cc1F)c1ccccc1 ZINC000485228668 1049209830 /nfs/dbraw/zinc/20/98/30/1049209830.db2.gz HBIKXZDOUWJFPC-QGZVFWFLSA-N 0 3 314.404 4.204 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCc2cccc(Cl)c2)o1 ZINC000485237747 1049210498 /nfs/dbraw/zinc/21/04/98/1049210498.db2.gz YSSAOMDVXHRFCV-KRWDZBQOSA-N 0 3 319.832 4.459 20 0 DIADHN COc1nc2ccccc2cc1CN(C)CCCc1ccccc1 ZINC001204647090 1049211572 /nfs/dbraw/zinc/21/15/72/1049211572.db2.gz BCIDHBDYYVXFJI-UHFFFAOYSA-N 0 3 320.436 4.308 20 0 DIADHN C[C@@H](NCc1cccc2cc[nH]c21)c1cc(F)ccc1N(C)C ZINC001179830279 1049211805 /nfs/dbraw/zinc/21/18/05/1049211805.db2.gz OGPFOBMMIAEZIN-CYBMUJFWSA-N 0 3 311.404 4.224 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cccc3[nH]c(=O)oc32)c1 ZINC001204658373 1049214048 /nfs/dbraw/zinc/21/40/48/1049214048.db2.gz TXJHJEUMBITSKZ-KRWDZBQOSA-N 0 3 308.381 4.179 20 0 DIADHN CSC[C@@H]1CCCN1Cc1ccc(Oc2ccccc2)o1 ZINC001179854962 1049215664 /nfs/dbraw/zinc/21/56/64/1049215664.db2.gz LKFUDJCIFNFWQB-AWEZNQCLSA-N 0 3 303.427 4.399 20 0 DIADHN c1ccc(COc2cccc(CN3CC4(C3)CCCC4)c2)nc1 ZINC000485305787 1049216764 /nfs/dbraw/zinc/21/67/64/1049216764.db2.gz JZVFYGLSUCTMNP-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN CCOc1cc(F)cc(CN2CCCC[C@@H](SCC)C2)c1 ZINC001179892514 1049217641 /nfs/dbraw/zinc/21/76/41/1049217641.db2.gz JWGGCISYADAIOO-QGZVFWFLSA-N 0 3 311.466 4.332 20 0 DIADHN CCCCCCN1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001193544752 1049218792 /nfs/dbraw/zinc/21/87/92/1049218792.db2.gz BPJGLCHPJXJQAQ-IYBDPMFKSA-N 0 3 310.482 4.041 20 0 DIADHN CCS[C@H]1CCCCN(Cc2cnc(CC(C)C)s2)C1 ZINC001179892405 1049219270 /nfs/dbraw/zinc/21/92/70/1049219270.db2.gz GJXSLKQWLNGSGP-AWEZNQCLSA-N 0 3 312.548 4.449 20 0 DIADHN Cc1c(C)c(C)c(CN[C@@](C)(CO)c2ccccc2)c(C)c1C ZINC001193507793 1049219674 /nfs/dbraw/zinc/21/96/74/1049219674.db2.gz KSTUVHBDCRDIIZ-NRFANRHFSA-N 0 3 311.469 4.226 20 0 DIADHN CCOC(=O)c1ccc(CN(C)[C@H](c2ccccc2)C(C)C)o1 ZINC001179892800 1049219595 /nfs/dbraw/zinc/21/95/95/1049219595.db2.gz RYWATMSRRRQJFX-SFHVURJKSA-N 0 3 315.413 4.285 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CCN1C/C=C\c1cccc(F)c1 ZINC001179899034 1049220700 /nfs/dbraw/zinc/22/07/00/1049220700.db2.gz YAKMVMINCWPMRL-OEPRFQHASA-N 0 3 324.443 4.045 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](NCc1ccnc(F)c1)c1ccc(Cl)cc1 ZINC000858146300 1049221641 /nfs/dbraw/zinc/22/16/41/1049221641.db2.gz CCEOBFKFOFVGJC-PJQXDXOGSA-N 0 3 304.796 4.361 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H](NCc1ccnc(F)c1)c1ccc(Cl)cc1 ZINC000858146299 1049222198 /nfs/dbraw/zinc/22/21/98/1049222198.db2.gz CCEOBFKFOFVGJC-JGFGOQIWSA-N 0 3 304.796 4.361 20 0 DIADHN c1coc(C2CCN(Cc3c[nH]nc3-c3cccs3)CC2)c1 ZINC000668463065 1049222907 /nfs/dbraw/zinc/22/29/07/1049222907.db2.gz VAIMWTABSRNMQT-UHFFFAOYSA-N 0 3 313.426 4.111 20 0 DIADHN C[C@]1(CNCc2csc(C(F)(F)F)c2)CC1(Cl)Cl ZINC000858172904 1049223285 /nfs/dbraw/zinc/22/32/85/1049223285.db2.gz KEHJNEYDDOTURW-SECBINFHSA-N 0 3 318.191 4.440 20 0 DIADHN CCCCOc1ccccc1CN1CCS[C@H](C(C)C)C1 ZINC001204682437 1049224365 /nfs/dbraw/zinc/22/43/65/1049224365.db2.gz RUVQUJVOWNUURM-SFHVURJKSA-N 0 3 307.503 4.439 20 0 DIADHN C[C@@]1(CNCc2csc(C(F)(F)F)c2)CC1(Cl)Cl ZINC000858172905 1049224548 /nfs/dbraw/zinc/22/45/48/1049224548.db2.gz KEHJNEYDDOTURW-VIFPVBQESA-N 0 3 318.191 4.440 20 0 DIADHN CCn1nc(CN2CCC[C@]3(CC=CCC3)C2)c2ccccc21 ZINC001179931727 1049229452 /nfs/dbraw/zinc/22/94/52/1049229452.db2.gz RIGHRODWCKJKQY-HXUWFJFHSA-N 0 3 309.457 4.379 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2ccc(C(C)(C)C)cc2)cc1 ZINC000858248904 1049231458 /nfs/dbraw/zinc/23/14/58/1049231458.db2.gz KIEPBTNOPHPENW-UHFFFAOYSA-N 0 3 324.468 4.257 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2ccc(C3CCC3)cc2)cc1 ZINC000858250413 1049231662 /nfs/dbraw/zinc/23/16/62/1049231662.db2.gz DVNZEKQHYNSJGP-UHFFFAOYSA-N 0 3 322.452 4.227 20 0 DIADHN CC(C)(C)[NH2+]Cc1ccc(Nc2c(F)cc([O-])cc2F)cc1 ZINC001204704843 1049234464 /nfs/dbraw/zinc/23/44/64/1049234464.db2.gz DLAYJGZPKCFYFQ-UHFFFAOYSA-N 0 3 306.356 4.302 20 0 DIADHN Cc1cccc(CN2CCC[C@@H]2C[C@H](O)c2cccs2)c1F ZINC001179952004 1049235830 /nfs/dbraw/zinc/23/58/30/1049235830.db2.gz NQAXNPFTCNRWLJ-CVEARBPZSA-N 0 3 319.445 4.284 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnc(Cl)n2C)c2ccccc21 ZINC000858276571 1049236084 /nfs/dbraw/zinc/23/60/84/1049236084.db2.gz YVDJEHOPAMTVQQ-HOTGVXAUSA-N 0 3 303.837 4.048 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cn(C(C)C)nc2C)C1(CC)CC ZINC001179951539 1049236711 /nfs/dbraw/zinc/23/67/11/1049236711.db2.gz KMZWEDIIBNKUAO-ZWKOTPCHSA-N 0 3 321.509 4.188 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1c(F)c(F)c(F)c(F)c1F ZINC001193637409 1049236737 /nfs/dbraw/zinc/23/67/37/1049236737.db2.gz WLTZNJYNKVCTPL-PSASIEDQSA-N 0 3 307.306 4.441 20 0 DIADHN COCCC1CN(C/C(C)=C/c2ccccc2C(F)(F)F)C1 ZINC000668471725 1049237560 /nfs/dbraw/zinc/23/75/60/1049237560.db2.gz IYBVTLJEWKDDQA-UKTHLTGXSA-N 0 3 313.363 4.077 20 0 DIADHN COc1cc(F)cc(OC)c1CN(CC1CCCCC1)C1CC1 ZINC001204714253 1049238142 /nfs/dbraw/zinc/23/81/42/1049238142.db2.gz OQZNYVSGCMNVGG-UHFFFAOYSA-N 0 3 321.436 4.388 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@H]1C ZINC001193604880 1049239141 /nfs/dbraw/zinc/23/91/41/1049239141.db2.gz IIIGWJUJXLKVJI-DLBZAZTESA-N 0 3 322.452 4.413 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@H](c1ccco1)N(C)C ZINC000195615626 1049240774 /nfs/dbraw/zinc/24/07/74/1049240774.db2.gz WZUYSLOOHFWJLQ-GDBMZVCRSA-N 0 3 312.413 4.288 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](C)c1ccns1 ZINC000858317820 1049240954 /nfs/dbraw/zinc/24/09/54/1049240954.db2.gz DMMRCJOKYDPLNP-JWAFFJSPSA-N 0 3 302.443 4.044 20 0 DIADHN CC[C@H](C)CN(CC)Cc1c(C(F)(F)F)nc2n1CCCC2 ZINC001179978925 1049243707 /nfs/dbraw/zinc/24/37/07/1049243707.db2.gz BAKXEKFXBIPZQE-LBPRGKRZSA-N 0 3 317.399 4.106 20 0 DIADHN C[C@H](N[C@@H]1CCC(C)(C)C[C@@H]1C)c1cn(-c2ccccc2)nn1 ZINC001193662094 1049243965 /nfs/dbraw/zinc/24/39/65/1049243965.db2.gz MBYOKRZBXGBPKO-YQQAZPJKSA-N 0 3 312.461 4.133 20 0 DIADHN Cn1c(Cl)ncc1CNC(C)(C)c1ccc2ccccc2c1 ZINC000858321656 1049244036 /nfs/dbraw/zinc/24/40/36/1049244036.db2.gz KXLNRGGDRHFKGX-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN C[C@@H]1CO[C@@H]2CN(Cc3ccc(-c4ccccc4Cl)o3)C[C@H]12 ZINC001204738330 1049246572 /nfs/dbraw/zinc/24/65/72/1049246572.db2.gz BQKULALKMKBLSZ-CDHAZOANSA-N 0 3 317.816 4.067 20 0 DIADHN Clc1cccc(CN[C@H]2COc3ccccc3C2)c1Cl ZINC000195719258 1049246499 /nfs/dbraw/zinc/24/64/99/1049246499.db2.gz AHGSCVTXMWPDQU-CYBMUJFWSA-N 0 3 308.208 4.087 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@@H]1CCCc2scnc21 ZINC000858355241 1049246811 /nfs/dbraw/zinc/24/68/11/1049246811.db2.gz JONDCXVCUJYORR-BXUZGUMPSA-N 0 3 306.862 4.395 20 0 DIADHN COc1cccc2cc([C@@H](C)NCCOCCC(C)C)oc21 ZINC000195712458 1049247766 /nfs/dbraw/zinc/24/77/66/1049247766.db2.gz JOUYYBVQOBQSBM-CQSZACIVSA-N 0 3 305.418 4.155 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](C)c2cc(-c3ccccc3)n(C)n2)C1 ZINC000858367110 1049248711 /nfs/dbraw/zinc/24/87/11/1049248711.db2.gz PKVQONPJVMEJGI-NUEKZKHPSA-N 0 3 315.486 4.022 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2cnn(Cc3ccc(F)cc3)c2)C1 ZINC001179958174 1049250213 /nfs/dbraw/zinc/25/02/13/1049250213.db2.gz WNHTXTXUVTYHFH-IBGZPJMESA-N 0 3 315.436 4.083 20 0 DIADHN CC[C@@H]1CN(Cc2cccc(Oc3ccccn3)c2)CCS1 ZINC001204769109 1049258238 /nfs/dbraw/zinc/25/82/38/1049258238.db2.gz PMDFTTNIXRPSSL-QGZVFWFLSA-N 0 3 314.454 4.201 20 0 DIADHN CC[C@H]1CN(Cc2cccc(Oc3ccccn3)c2)CCS1 ZINC001204769107 1049258659 /nfs/dbraw/zinc/25/86/59/1049258659.db2.gz PMDFTTNIXRPSSL-KRWDZBQOSA-N 0 3 314.454 4.201 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CCC(C2CCC2)CC1 ZINC000668492478 1049258828 /nfs/dbraw/zinc/25/88/28/1049258828.db2.gz KDBHCYGDKAXCNR-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C(C)=O)cc1)c1cccc(F)c1 ZINC000858496713 1049265568 /nfs/dbraw/zinc/26/55/68/1049265568.db2.gz BEDJPVHYSYKXFR-IBGZPJMESA-N 0 3 314.404 4.049 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@H](C)c2cc(C)sc2C)cc1 ZINC000858495814 1049266816 /nfs/dbraw/zinc/26/68/16/1049266816.db2.gz DJJQVMZEAVJOHD-CYBMUJFWSA-N 0 3 316.470 4.198 20 0 DIADHN CCN(Cc1ccc(C)cc1F)[C@H](COC)Cc1ccccc1 ZINC001204795898 1049267670 /nfs/dbraw/zinc/26/76/70/1049267670.db2.gz FCLZPYXLASQAFW-IBGZPJMESA-N 0 3 315.432 4.214 20 0 DIADHN CCCN(Cc1c2c(nn1C)CCCC2)[C@H]1CCc2ccccc21 ZINC001204802973 1049268592 /nfs/dbraw/zinc/26/85/92/1049268592.db2.gz HWYRCNZEQCBVJS-FQEVSTJZSA-N 0 3 323.484 4.198 20 0 DIADHN CCN1CCC[C@H](OCC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001204815285 1049273230 /nfs/dbraw/zinc/27/32/30/1049273230.db2.gz IJXIAZCROYJXJM-FQEVSTJZSA-N 0 3 323.436 4.037 20 0 DIADHN CCCn1cncc1CN1CC[C@](C)(CCc2ccccc2)C1 ZINC001180081384 1049275424 /nfs/dbraw/zinc/27/54/24/1049275424.db2.gz JYTJIOBMTKJXTI-FQEVSTJZSA-N 0 3 311.473 4.138 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCC1(C(F)(F)F)CCCC1 ZINC000858567268 1049276780 /nfs/dbraw/zinc/27/67/80/1049276780.db2.gz AHLSNJUTMXCSPW-SNVBAGLBSA-N 0 3 304.356 4.319 20 0 DIADHN COc1ccc(F)c(CN2CCC[C@@](C)(c3ccccc3)C2)c1 ZINC001180133390 1049279192 /nfs/dbraw/zinc/27/91/92/1049279192.db2.gz VGMULVBQQPHPIT-HXUWFJFHSA-N 0 3 313.416 4.388 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCOc1ccccc1C(C)(C)C ZINC001193907164 1049279329 /nfs/dbraw/zinc/27/93/29/1049279329.db2.gz PQDRYQKZAOKPRI-INIZCTEOSA-N 0 3 312.457 4.451 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)n(C)n1 ZINC000858599981 1049282957 /nfs/dbraw/zinc/28/29/57/1049282957.db2.gz OUOROCCZTDGRGC-MLGOLLRUSA-N 0 3 303.837 4.110 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(O[C@H](C)COC)cc1 ZINC001180107537 1049285349 /nfs/dbraw/zinc/28/53/49/1049285349.db2.gz FGALTCUCEAPSAR-OAHLLOKOSA-N 0 3 319.470 4.184 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2ccc3c(c2)NCC3)CC1 ZINC001204870356 1049291015 /nfs/dbraw/zinc/29/10/15/1049291015.db2.gz QNDTWUGASVDHKA-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N(CC)CCc1nccs1 ZINC000485621392 1049291264 /nfs/dbraw/zinc/29/12/64/1049291264.db2.gz PNIYMKVFBCWEIM-HNNXBMFYSA-N 0 3 310.413 4.437 20 0 DIADHN c1cc(-c2cnc([C@@H]3CCCN3)nc2)ccc1OC1CCCCC1 ZINC000906171979 1049297426 /nfs/dbraw/zinc/29/74/26/1049297426.db2.gz DHCHAERNHCOZJD-IBGZPJMESA-N 0 3 323.440 4.280 20 0 DIADHN CCCn1cncc1CN1CCCC[C@@H]1Cc1ccc(F)cc1 ZINC001180183418 1049298048 /nfs/dbraw/zinc/29/80/48/1049298048.db2.gz DHQLZQVXNLWRSI-GOSISDBHSA-N 0 3 315.436 4.029 20 0 DIADHN CC1(C)COCCN1CCCCc1ccc(Cl)c(Cl)c1 ZINC001194021025 1049301658 /nfs/dbraw/zinc/30/16/58/1049301658.db2.gz VJBVXGJMRXWIPJ-UHFFFAOYSA-N 0 3 316.272 4.427 20 0 DIADHN CC[C@H](c1ccccc1)N1CCC[C@@H](Oc2ncccc2F)C1 ZINC001194066805 1049314303 /nfs/dbraw/zinc/31/43/03/1049314303.db2.gz QJTCADGLBJEROK-SJLPKXTDSA-N 0 3 314.404 4.215 20 0 DIADHN CCC(CC)(CC)NCc1nc(-c2ccc(F)c(C)c2)no1 ZINC000485692418 1049314521 /nfs/dbraw/zinc/31/45/21/1049314521.db2.gz ZRYWLUPXDRLYIJ-UHFFFAOYSA-N 0 3 305.397 4.243 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](C)c3ccc4ncsc4c3)n2c1 ZINC000485710539 1049318888 /nfs/dbraw/zinc/31/88/88/1049318888.db2.gz NQKXDQBQFPKXNE-ZDUSSCGKSA-N 0 3 322.437 4.103 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@H](C2CCCCC2)C1 ZINC000668535771 1049319321 /nfs/dbraw/zinc/31/93/21/1049319321.db2.gz LDRCELGCGHOWEK-RDJZCZTQSA-N 0 3 320.502 4.397 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000485708564 1049319841 /nfs/dbraw/zinc/31/98/41/1049319841.db2.gz ASFRQFGDRHMPJQ-WMLDXEAASA-N 0 3 308.466 4.438 20 0 DIADHN CCc1ccc([C@@H]2CCCN(Cc3cc(OC)ns3)C2)cc1 ZINC001194115417 1049320035 /nfs/dbraw/zinc/32/00/35/1049320035.db2.gz BJPHYTZMCBTIQK-MRXNPFEDSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCCN(c2ccccc2F)CC1 ZINC001194116380 1049320268 /nfs/dbraw/zinc/32/02/68/1049320268.db2.gz QGXNHEJVNKSJOX-OAHLLOKOSA-N 0 3 316.395 4.238 20 0 DIADHN CO[C@](C)(CN(C)[C@H](C)c1nc2ccccc2s1)C1CC1 ZINC001180249576 1049321274 /nfs/dbraw/zinc/32/12/74/1049321274.db2.gz RWMDUXCNEUBJPR-SJKOYZFVSA-N 0 3 304.459 4.104 20 0 DIADHN Fc1cc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)ccn1 ZINC000859245857 1049323623 /nfs/dbraw/zinc/32/36/23/1049323623.db2.gz IOCRPVRLCPPEQA-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(C)c(Cl)n2)C12CCCCC2 ZINC001194091581 1049325921 /nfs/dbraw/zinc/32/59/21/1049325921.db2.gz NCURMENAECSZJI-HOTGVXAUSA-N 0 3 322.880 4.261 20 0 DIADHN CCCN(Cc1cnc(-c2c(F)cccc2F)s1)C1CC1 ZINC001204969203 1049326690 /nfs/dbraw/zinc/32/66/90/1049326690.db2.gz VDXWEICCPJMYRC-UHFFFAOYSA-N 0 3 308.397 4.463 20 0 DIADHN Fc1ccc(CNC[C@@H](c2ccccc2)C(F)(F)F)cc1F ZINC000485732519 1049328400 /nfs/dbraw/zinc/32/84/00/1049328400.db2.gz MNHDGLDBRYRTMJ-ZDUSSCGKSA-N 0 3 315.285 4.401 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1cncc2ccccc21 ZINC001204999411 1049335091 /nfs/dbraw/zinc/33/50/91/1049335091.db2.gz AKQVTJYPVDOOOP-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(Cl)cc2F)C12CCCC2 ZINC001194177183 1049336099 /nfs/dbraw/zinc/33/60/99/1049336099.db2.gz ULYQLKCJCCRVDC-JKSUJKDBSA-N 0 3 311.828 4.307 20 0 DIADHN Cc1ccc2c(CN3CCCC(F)(F)CC3)cc(=O)oc2c1C ZINC000859535867 1049337730 /nfs/dbraw/zinc/33/77/30/1049337730.db2.gz RCFVPICLIBDWQY-UHFFFAOYSA-N 0 3 321.367 4.031 20 0 DIADHN c1cc(CN2CCCC3(CCCCC3)C2)n(-c2ccccc2)n1 ZINC001180292879 1049338177 /nfs/dbraw/zinc/33/81/77/1049338177.db2.gz QPBSYWTXXWGNPS-UHFFFAOYSA-N 0 3 309.457 4.419 20 0 DIADHN O[C@]1(c2ccccc2)CCCN(Cc2cccc(C(F)F)c2)C1 ZINC000859589789 1049340076 /nfs/dbraw/zinc/34/00/76/1049340076.db2.gz PHTBNXXQMQHBMG-LJQANCHMSA-N 0 3 317.379 4.108 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@H]2NCCOC1CCC1 ZINC000777119165 1049343855 /nfs/dbraw/zinc/34/38/55/1049343855.db2.gz LOVQDMCLTHBTRZ-GOSISDBHSA-N 0 3 315.457 4.372 20 0 DIADHN OCC[C@H](NCC1=CCCC1)c1ccc(Cl)c(Cl)c1 ZINC001194220601 1049345855 /nfs/dbraw/zinc/34/58/55/1049345855.db2.gz DXTWTATWMOAOSV-HNNXBMFYSA-N 0 3 300.229 4.117 20 0 DIADHN CCc1oc2ccccc2c1CN(C)Cc1ccc(CO)cc1 ZINC000485813045 1049346309 /nfs/dbraw/zinc/34/63/09/1049346309.db2.gz IFTHZEIQGKZTIN-UHFFFAOYSA-N 0 3 309.409 4.120 20 0 DIADHN CCCN(CCN1CCCCC1)Cc1nc(C(C)(C)C)cs1 ZINC001194241040 1049347017 /nfs/dbraw/zinc/34/70/17/1049347017.db2.gz OFAJFZPPQCJGAL-UHFFFAOYSA-N 0 3 323.550 4.139 20 0 DIADHN CO[C@H](CC(C)C)CN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC001180404688 1049351238 /nfs/dbraw/zinc/35/12/38/1049351238.db2.gz WVAQSRHSZJNZFU-BMGDILEWSA-N 0 3 305.462 4.429 20 0 DIADHN COc1cccc(-c2csc(CN3CCCCCC3)n2)c1 ZINC001205045736 1049352131 /nfs/dbraw/zinc/35/21/31/1049352131.db2.gz CECWAZOOFHHFAE-UHFFFAOYSA-N 0 3 302.443 4.195 20 0 DIADHN Cc1c(Br)cncc1CN1CC[C@@H]2CCCC[C@H]2C1 ZINC001180403645 1049352336 /nfs/dbraw/zinc/35/23/36/1049352336.db2.gz MFPATKGBVVFWEH-KBPBESRZSA-N 0 3 323.278 4.165 20 0 DIADHN CO[C@H](CC(C)C)CN(Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC001180404691 1049352749 /nfs/dbraw/zinc/35/27/49/1049352749.db2.gz WVAQSRHSZJNZFU-ZTFGCOKTSA-N 0 3 305.462 4.429 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(-c3cnco3)cc2)c(C)n1 ZINC000906526244 1049353253 /nfs/dbraw/zinc/35/32/53/1049353253.db2.gz SYEQHESOMJSSGD-CQSZACIVSA-N 0 3 307.397 4.204 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC[C@H]3CCCC[C@@H]3C2)cn1 ZINC001180402506 1049353341 /nfs/dbraw/zinc/35/33/41/1049353341.db2.gz DMBSWDVYUBJHMV-RTBURBONSA-N 0 3 309.457 4.193 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1cc(C(F)(F)F)n[nH]1 ZINC001205053531 1049356709 /nfs/dbraw/zinc/35/67/09/1049356709.db2.gz WJXCPCLSPNSPII-UHFFFAOYSA-N 0 3 305.388 4.323 20 0 DIADHN Cc1cc(F)ncc1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC001203362617 1049357456 /nfs/dbraw/zinc/35/74/56/1049357456.db2.gz DNPRQIBVDGTPRO-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN C[C@H](c1nc(-c2ccc(CN(C)C)cc2)no1)C1CCCCC1 ZINC001205068702 1049360724 /nfs/dbraw/zinc/36/07/24/1049360724.db2.gz PSRXHUGTCYOADG-AWEZNQCLSA-N 0 3 313.445 4.482 20 0 DIADHN COc1cc(C)c(CN(C)[C@H]2CCCc3ccccc32)c(C)n1 ZINC001180422332 1049361836 /nfs/dbraw/zinc/36/18/36/1049361836.db2.gz IKGFOLZUWCMMOP-IBGZPJMESA-N 0 3 310.441 4.216 20 0 DIADHN C[C@]12CCCC[C@H]1CN2Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000860047618 1049365560 /nfs/dbraw/zinc/36/55/60/1049365560.db2.gz FNSOCMDEPWEVAH-HOCLYGCPSA-N 0 3 311.347 4.196 20 0 DIADHN C[C@@H](NCCOc1ccc(Cl)cc1Cl)c1ccns1 ZINC000860051109 1049367133 /nfs/dbraw/zinc/36/71/33/1049367133.db2.gz DTFRINWCRZJKEV-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN CCCCCOc1ccc(CN(C)CC2=CCCOC2)cc1 ZINC000668567650 1049367107 /nfs/dbraw/zinc/36/71/07/1049367107.db2.gz KTUAQLSNENTUIS-UHFFFAOYSA-N 0 3 303.446 4.034 20 0 DIADHN C[C@H]1Cc2cc(CN3CC=C(c4ccc(F)cc4)CC3)ccc2O1 ZINC001180462972 1049369606 /nfs/dbraw/zinc/36/96/06/1049369606.db2.gz XBBGXHDBJDNAGV-HNNXBMFYSA-N 0 3 323.411 4.438 20 0 DIADHN Cc1ccccc1O[C@H](C)C(=O)NCCP(C(C)C)C(C)C ZINC001180526511 1049374317 /nfs/dbraw/zinc/37/43/17/1049374317.db2.gz IHFNFILGMZPZKU-MRXNPFEDSA-N 0 3 323.417 4.177 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2nc(-c3ccc(C)cc3)cs2)C1(C)C ZINC001194447775 1049378966 /nfs/dbraw/zinc/37/89/66/1049378966.db2.gz YFMAZFCVBRRPJA-HZPDHXFCSA-N 0 3 316.470 4.022 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCSC[C@H]1C1CC1 ZINC001194519469 1049381351 /nfs/dbraw/zinc/38/13/51/1049381351.db2.gz NNMXVDQKORTHKR-SFHVURJKSA-N 0 3 304.503 4.095 20 0 DIADHN CN(CCCSC(C)(C)C)C[C@H]1OCCc2ccccc21 ZINC001194487546 1049383322 /nfs/dbraw/zinc/38/33/22/1049383322.db2.gz GOOYXBBLPAEVKQ-QGZVFWFLSA-N 0 3 307.503 4.154 20 0 DIADHN C[C@@H]1Cc2cc(CN(C)Cc3cccc(Cl)c3)ccc2O1 ZINC001180566548 1049384404 /nfs/dbraw/zinc/38/44/04/1049384404.db2.gz PLYFPNAKTXDXIG-CYBMUJFWSA-N 0 3 301.817 4.295 20 0 DIADHN CC(C)c1cccc(CN(C)C[C@H]2COc3ccccc3O2)c1 ZINC001180566792 1049384640 /nfs/dbraw/zinc/38/46/40/1049384640.db2.gz WGQIFEPNKIBCMN-SFHVURJKSA-N 0 3 311.425 4.082 20 0 DIADHN Cl/C=C\CN1CC[C@H](Cc2ccc(Br)cc2)C1 ZINC001194550733 1049389993 /nfs/dbraw/zinc/38/99/93/1049389993.db2.gz AKMBVKMJMCFOOI-JLMCXJIMSA-N 0 3 314.654 4.066 20 0 DIADHN CCCN(Cc1cn(C)nc1C1CCCC1)Cc1ccccc1 ZINC001205157060 1049395661 /nfs/dbraw/zinc/39/56/61/1049395661.db2.gz TVAOBOXHORBEAH-UHFFFAOYSA-N 0 3 311.473 4.490 20 0 DIADHN COc1ncc(CN2CCC3(CCCC3)CC2)c2ccccc21 ZINC001194599693 1049397584 /nfs/dbraw/zinc/39/75/84/1049397584.db2.gz PZDKWJKZGXSJNA-UHFFFAOYSA-N 0 3 310.441 4.400 20 0 DIADHN COc1cccc(CN2CCC[C@H](CCF)C2)c1OC(C)C ZINC000668593060 1049398642 /nfs/dbraw/zinc/39/86/42/1049398642.db2.gz PYWZYCDOMNWRKK-OAHLLOKOSA-N 0 3 309.425 4.054 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(OC(F)F)cc2)cc1 ZINC001205178347 1049403469 /nfs/dbraw/zinc/40/34/69/1049403469.db2.gz VIONJHXLLKBRFV-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc3c(c2)OC(F)(F)O3)c1C ZINC001194616386 1049406171 /nfs/dbraw/zinc/40/61/71/1049406171.db2.gz XGOACNZGNFLFNS-UHFFFAOYSA-N 0 3 319.351 4.257 20 0 DIADHN CC[C@@H](NC(=O)CN(CC)CC(C)(C)C)c1ccc(Cl)cc1 ZINC001194624189 1049406346 /nfs/dbraw/zinc/40/63/46/1049406346.db2.gz BBZSBNQCQJDKEC-MRXNPFEDSA-N 0 3 324.896 4.275 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1cccc2c1NCCC2 ZINC001180801763 1049408773 /nfs/dbraw/zinc/40/87/73/1049408773.db2.gz JHJHYZFDGMDMCY-DOMZBBRYSA-N 0 3 312.379 4.208 20 0 DIADHN Fc1ccc(CN2CCC[C@@H]2Cc2ccccn2)cc1Cl ZINC001204284910 1049414706 /nfs/dbraw/zinc/41/47/06/1049414706.db2.gz WUFLNKMGRQVTMZ-OAHLLOKOSA-N 0 3 304.796 4.081 20 0 DIADHN CCOC(=O)[C@@H](CC(C)C)N1CC[C@@H](c2ccc(CC)cc2)C1 ZINC001194715432 1049420540 /nfs/dbraw/zinc/42/05/40/1049420540.db2.gz OFUOCJDAEWUQNM-RTBURBONSA-N 0 3 317.473 4.016 20 0 DIADHN CCOC(=O)[C@@H](CC(C)C)N1CC[C@H](c2ccc(CC)cc2)C1 ZINC001194715431 1049420571 /nfs/dbraw/zinc/42/05/71/1049420571.db2.gz OFUOCJDAEWUQNM-RBUKOAKNSA-N 0 3 317.473 4.016 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001180950348 1049423279 /nfs/dbraw/zinc/42/32/79/1049423279.db2.gz AAUCOYOQIUYZIT-GFCCVEGCSA-N 0 3 301.352 4.295 20 0 DIADHN Cc1ccccc1OCCCN1Cc2ccccc2O[C@@H](C)C1 ZINC001194764919 1049427294 /nfs/dbraw/zinc/42/72/94/1049427294.db2.gz QZNAQBLEDNOWMC-KRWDZBQOSA-N 0 3 311.425 4.047 20 0 DIADHN CCOc1cccc([C@H](C)NC2(c3cccc(OC)c3)CC2)c1 ZINC000777156935 1049428097 /nfs/dbraw/zinc/42/80/97/1049428097.db2.gz IPOZKNVNLCZUKJ-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN COC1(CCN(C)[C@@H](C)c2nc3ccccc3s2)CCC1 ZINC000339022864 1049429967 /nfs/dbraw/zinc/42/99/67/1049429967.db2.gz WPUWHCJVVWFZJF-ZDUSSCGKSA-N 0 3 304.459 4.248 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1 ZINC001180995162 1049430004 /nfs/dbraw/zinc/43/00/04/1049430004.db2.gz JISHUHQZTMXPBB-BBWFWOEESA-N 0 3 324.509 4.144 20 0 DIADHN CC[C@@H]1CCN(Cc2cc(Br)c(C)s2)[C@H]1C ZINC001181041698 1049430283 /nfs/dbraw/zinc/43/02/83/1049430283.db2.gz BCKQJIRHKADVEB-GXSJLCMTSA-N 0 3 302.281 4.439 20 0 DIADHN CC1(C)CC(NCc2nc(-c3ccccc3)no2)CC(C)(C)C1 ZINC001205298306 1049431464 /nfs/dbraw/zinc/43/14/64/1049431464.db2.gz AIDYRUFOCUZXOG-UHFFFAOYSA-N 0 3 313.445 4.431 20 0 DIADHN CCOC(=O)[C@H]1CCCN1C1CCC(C2CCCCC2)CC1 ZINC001181056601 1049431802 /nfs/dbraw/zinc/43/18/02/1049431802.db2.gz UXTSMCXZMZLEDD-DAWZGUTISA-N 0 3 307.478 4.153 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC[C@H](C2CC2)C1 ZINC001194806956 1049432765 /nfs/dbraw/zinc/43/27/65/1049432765.db2.gz OJNJBFUNLSIVJE-HIFRSBDPSA-N 0 3 320.864 4.097 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC([C@H]3CCCCO3)CC2)o1 ZINC000668629455 1049435059 /nfs/dbraw/zinc/43/50/59/1049435059.db2.gz VPKFXXDJTODLAJ-ZTFGCOKTSA-N 0 3 303.446 4.184 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)s1 ZINC000668629855 1049437403 /nfs/dbraw/zinc/43/74/03/1049437403.db2.gz CSQRFHQBTDVUTH-HOCLYGCPSA-N 0 3 322.518 4.222 20 0 DIADHN C[C@@H]1CN(c2ccc(Cl)c(F)c2)CCN1[C@H]1C=CCCC1 ZINC001194874770 1049440593 /nfs/dbraw/zinc/44/05/93/1049440593.db2.gz UVWRKOZZKWBHFW-KGLIPLIRSA-N 0 3 308.828 4.098 20 0 DIADHN CCO[C@H](C)c1noc(CNC(C2CCCC2)C2CCCC2)n1 ZINC000775618439 1049444473 /nfs/dbraw/zinc/44/44/73/1049444473.db2.gz ZPUMIBIMQTWFAP-CYBMUJFWSA-N 0 3 321.465 4.006 20 0 DIADHN CCN(CCc1ccccn1)[C@@H](C)c1cccc(Cl)c1F ZINC000775621255 1049445540 /nfs/dbraw/zinc/44/55/40/1049445540.db2.gz QNHFQIICLRUCRJ-ZDUSSCGKSA-N 0 3 306.812 4.500 20 0 DIADHN Cc1n[nH]c(CN2CCC(c3ccc(Cl)cc3)CC2)c1C ZINC001181135263 1049446028 /nfs/dbraw/zinc/44/60/28/1049446028.db2.gz SRIDZRGQSYGICA-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN Clc1ccsc1CN1CCC2(COc3ccccc32)CC1 ZINC001205353610 1049447023 /nfs/dbraw/zinc/44/70/23/1049447023.db2.gz FRGKEAMXYCWOIF-UHFFFAOYSA-N 0 3 319.857 4.328 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cc2ccccc2[nH]1 ZINC000775626278 1049454302 /nfs/dbraw/zinc/45/43/02/1049454302.db2.gz ITLXKMYJTLPHBJ-QWHCGFSZSA-N 0 3 308.381 4.308 20 0 DIADHN Cc1cc(C)c(NC(=O)Nc2ccccc2CN(C)C)c(C)c1 ZINC001181265041 1049457009 /nfs/dbraw/zinc/45/70/09/1049457009.db2.gz FWNQYVJTSAVRDZ-UHFFFAOYSA-N 0 3 311.429 4.317 20 0 DIADHN c1coc([C@@H]2N(Cc3cccc4cc[nH]c43)CC23CCOCC3)c1 ZINC000668673148 1049461304 /nfs/dbraw/zinc/46/13/04/1049461304.db2.gz JCDFSKXSPGZQPT-IBGZPJMESA-N 0 3 322.408 4.115 20 0 DIADHN CCc1ccc([C@H]2CN(CC3Cc4ccccc4C3)CCO2)cc1 ZINC001205411682 1049463385 /nfs/dbraw/zinc/46/33/85/1049463385.db2.gz KUGIEGFAYPNSOM-JOCHJYFZSA-N 0 3 321.464 4.037 20 0 DIADHN COc1cccc(Cl)c1CNCCc1cc(C)cc(C)c1 ZINC001205411815 1049463480 /nfs/dbraw/zinc/46/34/80/1049463480.db2.gz PGINZECOPIRYGD-UHFFFAOYSA-N 0 3 303.833 4.298 20 0 DIADHN C[C@@H](Cc1ccsc1)N1CC2(CCOCC2)[C@H]1c1ccco1 ZINC000668672990 1049463599 /nfs/dbraw/zinc/46/35/99/1049463599.db2.gz FKMKDQRTHGYQPC-WMLDXEAASA-N 0 3 317.454 4.126 20 0 DIADHN CN(Cc1ccc(Br)o1)CC1(c2ccccc2)CC1 ZINC001205411330 1049463889 /nfs/dbraw/zinc/46/38/89/1049463889.db2.gz AQBUXUWXKGFLRW-UHFFFAOYSA-N 0 3 320.230 4.206 20 0 DIADHN COc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1Cl ZINC000486728991 1049463860 /nfs/dbraw/zinc/46/38/60/1049463860.db2.gz JUNWQKXIVRDTTD-UHFFFAOYSA-N 0 3 318.779 4.259 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1c(Cl)ccc2cccnc21 ZINC001195029488 1049464671 /nfs/dbraw/zinc/46/46/71/1049464671.db2.gz WYXLYMIFGKMRAG-OAHLLOKOSA-N 0 3 318.848 4.279 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(CC(=O)OCc2ccccc2)C1(C)C ZINC001195055859 1049467881 /nfs/dbraw/zinc/46/78/81/1049467881.db2.gz VJPSDAUJTGXOGH-KRWDZBQOSA-N 0 3 317.473 4.267 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@H]1CCC(C)C ZINC000486783804 1049469011 /nfs/dbraw/zinc/46/90/11/1049469011.db2.gz SHNPRYKTCCACFS-KRWDZBQOSA-N 0 3 302.462 4.143 20 0 DIADHN c1c(CN2CCC[C@H]2CCC2CCCCC2)nc2ncccn12 ZINC000486790227 1049471562 /nfs/dbraw/zinc/47/15/62/1049471562.db2.gz GMQSZOVHJWUCPG-SFHVURJKSA-N 0 3 312.461 4.054 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccc(C(F)(F)F)nc1 ZINC001205444219 1049473030 /nfs/dbraw/zinc/47/30/30/1049473030.db2.gz SPHYFYJJUKALFN-GORDUTHDSA-N 0 3 321.346 4.031 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)nc1)[C@@H]1CCc2ccccc21 ZINC001205455883 1049474776 /nfs/dbraw/zinc/47/47/76/1049474776.db2.gz YYFROLVPEJQBRU-OAHLLOKOSA-N 0 3 306.331 4.220 20 0 DIADHN CC(C)[C@H](CNCc1nnc(C2CC2)s1)Cc1ccccc1 ZINC001205454169 1049474784 /nfs/dbraw/zinc/47/47/84/1049474784.db2.gz ZYUMPFDHBDDWMJ-INIZCTEOSA-N 0 3 315.486 4.020 20 0 DIADHN CC[C@H](C)[C@H](CNC(C)(C)c1cccc(Cl)c1F)OC ZINC001205477763 1049481446 /nfs/dbraw/zinc/48/14/46/1049481446.db2.gz JCHFPGOBAYXZGN-FZMZJTMJSA-N 0 3 301.833 4.365 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@@H]2CCC[C@@H](C(C)C)CC2)s1 ZINC001205480543 1049481259 /nfs/dbraw/zinc/48/12/59/1049481259.db2.gz DMCWTSUPSFQHSI-BFHYXJOUSA-N 0 3 324.490 4.185 20 0 DIADHN COc1cc(Cl)ccc1CN1CCSC2(CCC2)CC1 ZINC001195135401 1049482310 /nfs/dbraw/zinc/48/23/10/1049482310.db2.gz HHTRUKIBWORKTH-UHFFFAOYSA-N 0 3 311.878 4.210 20 0 DIADHN COCc1cnc(CN[C@H]2CCC[C@@H](c3ccccc3)C2)s1 ZINC000486856874 1049482732 /nfs/dbraw/zinc/48/27/32/1049482732.db2.gz QHJHNJPZRNEUFU-CVEARBPZSA-N 0 3 316.470 4.106 20 0 DIADHN CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NCc1ccnc(F)c1C ZINC000862280676 1049484267 /nfs/dbraw/zinc/48/42/67/1049484267.db2.gz PRMHYDDWKPTNCH-MLGOLLRUSA-N 0 3 322.811 4.048 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)NCc1ccnc(F)c1C ZINC000862300416 1049485986 /nfs/dbraw/zinc/48/59/86/1049485986.db2.gz OWPYEAWVRUWCEZ-LRDDRELGSA-N 0 3 322.811 4.048 20 0 DIADHN Cc1cc([C@@H](NCc2ccnc(F)c2C)C2CC2)ccc1F ZINC000862291557 1049486164 /nfs/dbraw/zinc/48/61/64/1049486164.db2.gz RAETWVWCDLXPRH-KRWDZBQOSA-N 0 3 302.368 4.218 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2ccnc(F)c2C)cc1 ZINC000862353593 1049488071 /nfs/dbraw/zinc/48/80/71/1049488071.db2.gz SNRUXQWGQWHYJW-UHFFFAOYSA-N 0 3 316.420 4.343 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(c2ccsc2)CC1 ZINC000486911086 1049488363 /nfs/dbraw/zinc/48/83/63/1049488363.db2.gz JGOIKCMQSUZGHH-UHFFFAOYSA-N 0 3 303.475 4.148 20 0 DIADHN CCn1cc(CN2CCC(CCCc3ccccc3)CC2)cn1 ZINC001205513203 1049489560 /nfs/dbraw/zinc/48/95/60/1049489560.db2.gz APCQWOMTLPGXCB-UHFFFAOYSA-N 0 3 311.473 4.138 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2F)no1)[C@@H]1CCC[C@@H](C)C1 ZINC001195176736 1049492409 /nfs/dbraw/zinc/49/24/09/1049492409.db2.gz CREVLWKEJXYNLC-HZSPNIEDSA-N 0 3 317.408 4.180 20 0 DIADHN COC1(CCN(Cc2cccc(C)c2)Cc2ccccn2)CCC1 ZINC000339037764 1049494242 /nfs/dbraw/zinc/49/42/42/1049494242.db2.gz WUODGOPSUQKGRU-UHFFFAOYSA-N 0 3 324.468 4.351 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@H]3CC[C@@H](C2)S3)o1 ZINC000862473505 1049496321 /nfs/dbraw/zinc/49/63/21/1049496321.db2.gz SYSWZGXLOVLOKF-OLZOCXBDSA-N 0 3 319.442 4.205 20 0 DIADHN C[C@H](NC/C=C\c1ccncc1)c1ccccc1OC(F)F ZINC000486960239 1049499142 /nfs/dbraw/zinc/49/91/42/1049499142.db2.gz YOYCZZRNTBBGRN-ZFDPJTLLSA-N 0 3 304.340 4.047 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@H](C2CCC2)C1 ZINC000668718463 1049499898 /nfs/dbraw/zinc/49/98/98/1049499898.db2.gz NNOJWLQCQDFKBP-GOEBONIOSA-N 0 3 306.475 4.007 20 0 DIADHN Fc1ccc(F)c(C[C@H]2CCCN2C[C@H]2CCC3(CCC3)O2)c1 ZINC001195258108 1049501526 /nfs/dbraw/zinc/50/15/26/1049501526.db2.gz MHEIVTVJKXHPTO-IAGOWNOFSA-N 0 3 321.411 4.073 20 0 DIADHN Fc1ccc(F)c(C[C@@H]2CCCN2C[C@@H]2CCC3(CCC3)O2)c1 ZINC001195258110 1049501573 /nfs/dbraw/zinc/50/15/73/1049501573.db2.gz MHEIVTVJKXHPTO-IRXDYDNUSA-N 0 3 321.411 4.073 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC[C@@H]2Cc2cc(F)ccc2F)OC1 ZINC001195258463 1049502057 /nfs/dbraw/zinc/50/20/57/1049502057.db2.gz OTFXEYNMHNPMOA-SJORKVTESA-N 0 3 323.427 4.177 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](OCC2CCCCC2)C1 ZINC001205579914 1049503135 /nfs/dbraw/zinc/50/31/35/1049503135.db2.gz RJDRMIWUFAKKMA-WMZOPIPTSA-N 0 3 302.462 4.204 20 0 DIADHN [O-]c1ccc(C[NH2+]CCCCc2ccc(Cl)s2)cc1F ZINC001181729624 1049509513 /nfs/dbraw/zinc/50/95/13/1049509513.db2.gz OFNYHKNHCZFRQH-UHFFFAOYSA-N 0 3 313.825 4.359 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001195341233 1049514362 /nfs/dbraw/zinc/51/43/62/1049514362.db2.gz FQGGTLXYRYGMKY-NOLJZWGESA-N 0 3 323.864 4.131 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)Cc1ccnn1CC1CC1 ZINC001204305504 1049515351 /nfs/dbraw/zinc/51/53/51/1049515351.db2.gz CHFXREWLDVJXLK-AWEZNQCLSA-N 0 3 317.864 4.010 20 0 DIADHN CC1(C)CCc2onc(CN3CC[C@H](C4CCCC4)C3)c2C1 ZINC001195306073 1049516316 /nfs/dbraw/zinc/51/63/16/1049516316.db2.gz HQAGLGXFFMVGIH-HNNXBMFYSA-N 0 3 302.462 4.202 20 0 DIADHN CO[C@H]1C[C@H](N(C)Cc2csc(C(F)(F)F)c2)C12CCC2 ZINC001181797300 1049518361 /nfs/dbraw/zinc/51/83/61/1049518361.db2.gz VZVVFBGDYHQHJX-RYUDHWBXSA-N 0 3 319.392 4.156 20 0 DIADHN CC(C)O[C@H](CN1CC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC000794451425 1049521484 /nfs/dbraw/zinc/52/14/84/1049521484.db2.gz YUIMDZBTWBNNPN-HUUCEWRRSA-N 0 3 301.352 4.037 20 0 DIADHN CC(C)O[C@@H](CN1CC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC000794451423 1049521531 /nfs/dbraw/zinc/52/15/31/1049521531.db2.gz YUIMDZBTWBNNPN-CABCVRRESA-N 0 3 301.352 4.037 20 0 DIADHN Fc1ccc(CN2CCC(Cc3nc4ccccc4[nH]3)CC2)cc1 ZINC001195385328 1049525263 /nfs/dbraw/zinc/52/52/63/1049525263.db2.gz PANXMIRHWCGEDV-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CC(C)P(CCNC(=O)Cc1ccc2cc[nH]c2c1)C(C)C ZINC001181810395 1049529553 /nfs/dbraw/zinc/52/95/53/1049529553.db2.gz NONZHGDCTGZHDP-UHFFFAOYSA-N 0 3 318.401 4.125 20 0 DIADHN Fc1cc(CN2C[C@H](C3CC3)[C@@H]2C2CC2)ccc1OC(F)F ZINC001181869659 1049532331 /nfs/dbraw/zinc/53/23/31/1049532331.db2.gz CQQANFIIXOLIKU-CJNGLKHVSA-N 0 3 311.347 4.048 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C[C@@H]1O ZINC001205692078 1049535737 /nfs/dbraw/zinc/53/57/37/1049535737.db2.gz CBWLSELDYOYDCG-KUHUBIRLSA-N 0 3 315.844 4.210 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1ccc(F)c(OC)c1 ZINC001205692756 1049535878 /nfs/dbraw/zinc/53/58/78/1049535878.db2.gz KDUPCDOAQHENJB-GOSISDBHSA-N 0 3 317.404 4.426 20 0 DIADHN C[C@H]1CCN(Cc2ccc(-c3ccccc3)c(Cl)c2)C[C@@H]1O ZINC001205692079 1049536231 /nfs/dbraw/zinc/53/62/31/1049536231.db2.gz CBWLSELDYOYDCG-LIRRHRJNSA-N 0 3 315.844 4.210 20 0 DIADHN COc1ccc(Cl)c(CN2CCC[C@@H](c3cccnc3)C2)c1 ZINC001195547604 1049541252 /nfs/dbraw/zinc/54/12/52/1049541252.db2.gz PAPLEQJZWZTWKS-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@H]3CCCO[C@H]3C2)cc1 ZINC001204311041 1049542370 /nfs/dbraw/zinc/54/23/70/1049542370.db2.gz RKPYKWCHRIAEJB-QUCCMNQESA-N 0 3 317.473 4.257 20 0 DIADHN CN(Cc1ccc(-c2ccc3cc(O)ccc3c2)nc1)C1CC1 ZINC001205738126 1049550064 /nfs/dbraw/zinc/55/00/64/1049550064.db2.gz ONTOPXGIKCMJIT-UHFFFAOYSA-N 0 3 304.393 4.202 20 0 DIADHN C[C@H]1CC[C@@H](c2ccccc2)N(Cc2ccnn2CC2CC2)C1 ZINC001205750344 1049550757 /nfs/dbraw/zinc/55/07/57/1049550757.db2.gz LENACMMQFNABJL-JXFKEZNVSA-N 0 3 309.457 4.266 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC000487330526 1049554190 /nfs/dbraw/zinc/55/41/90/1049554190.db2.gz VMZRPFQGUVDVNK-UKRRQHHQSA-N 0 3 320.889 4.327 20 0 DIADHN Cc1ccc(OCc2ccccc2)cc1-c1ccc(CN)nc1 ZINC001205769967 1049559934 /nfs/dbraw/zinc/55/99/34/1049559934.db2.gz RWCSHEOMQHKXGB-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN C[C@@]1(Cc2cccc(Cl)c2)CCCN1Cc1ccns1 ZINC001195756305 1049563713 /nfs/dbraw/zinc/56/37/13/1049563713.db2.gz FUUQZISQTJICMA-INIZCTEOSA-N 0 3 306.862 4.394 20 0 DIADHN CCc1oc2ccccc2c1CN[C@@H](c1ccccc1)[C@H](C)O ZINC000668786663 1049564421 /nfs/dbraw/zinc/56/44/21/1049564421.db2.gz FMHZHXYHANMPAH-VBKZILBWSA-N 0 3 309.409 4.207 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cccc(OC3CC3)c2F)[C@@H]1C(C)C ZINC001205789966 1049564672 /nfs/dbraw/zinc/56/46/72/1049564672.db2.gz WTDDIZNJVRYJJG-QFBILLFUSA-N 0 3 305.437 4.479 20 0 DIADHN Brc1cccc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)c1 ZINC001205875593 1049588904 /nfs/dbraw/zinc/58/89/04/1049588904.db2.gz LTZZWOZDNFUJIN-HOTGVXAUSA-N 0 3 306.247 4.070 20 0 DIADHN CC[C@H](C)N1CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC001205813204 1049571557 /nfs/dbraw/zinc/57/15/57/1049571557.db2.gz TYLVVBZZOXHINN-HNNXBMFYSA-N 0 3 316.420 4.002 20 0 DIADHN CCOc1c(F)c(F)ccc1-c1ccc(OC)c(CN(C)C)c1 ZINC001205818612 1049572284 /nfs/dbraw/zinc/57/22/84/1049572284.db2.gz XWSWMCSQKLZBKR-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN Cc1ccc(CN2CCCC[C@@H]2CC(=O)OC(C)(C)C)cc1F ZINC001195797640 1049572553 /nfs/dbraw/zinc/57/25/53/1049572553.db2.gz JVJCITPKQYEDJS-MRXNPFEDSA-N 0 3 321.436 4.220 20 0 DIADHN CC(C)[C@H]1CN(C(=O)c2ccc(CN(C)C)cc2)c2ccccc21 ZINC000487734954 1049573423 /nfs/dbraw/zinc/57/34/23/1049573423.db2.gz UVMFGGTZOZNHFP-LJQANCHMSA-N 0 3 322.452 4.148 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)C(F)(F)C3(F)F)cc1 ZINC001195819046 1049573448 /nfs/dbraw/zinc/57/34/48/1049573448.db2.gz NIWUBLSBBPWKOW-UHFFFAOYSA-N 0 3 301.327 4.115 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(C(F)F)c(F)c2)CCCO1 ZINC001182099545 1049574101 /nfs/dbraw/zinc/57/41/01/1049574101.db2.gz OLADOJWUFJAZHB-OAHLLOKOSA-N 0 3 301.352 4.010 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CCSC[C@H](C)C1 ZINC000488158878 1049605239 /nfs/dbraw/zinc/60/52/39/1049605239.db2.gz GUQSXEHEPVKUDX-NEPJUHHUSA-N 0 3 314.292 4.195 20 0 DIADHN COc1cc(C)nc(CN2CCC(C3CCCCC3)CC2)c1 ZINC001195863377 1049580290 /nfs/dbraw/zinc/58/02/90/1049580290.db2.gz SNUNPYGHKGDSSK-UHFFFAOYSA-N 0 3 302.462 4.191 20 0 DIADHN Fc1ccc(CN2C[C@@H]3CCCC[C@@H]3C2)c(C(F)(F)F)c1 ZINC001205868234 1049587032 /nfs/dbraw/zinc/58/70/32/1049587032.db2.gz QIJIYASRSZYSTI-TXEJJXNPSA-N 0 3 301.327 4.467 20 0 DIADHN Brc1cccc(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1 ZINC001205875594 1049589749 /nfs/dbraw/zinc/58/97/49/1049589749.db2.gz LTZZWOZDNFUJIN-HZPDHXFCSA-N 0 3 306.247 4.070 20 0 DIADHN CCCCN(CC)[C@H](C(=O)N(C)C(C)(C)C)c1ccccc1 ZINC001182233534 1049591021 /nfs/dbraw/zinc/59/10/21/1049591021.db2.gz HOOSHPXFWXSMRQ-KRWDZBQOSA-N 0 3 304.478 4.107 20 0 DIADHN CCCCN(CC)[C@H](C(=O)NC(C)(C)C1CC1)c1ccccc1 ZINC001182236339 1049591726 /nfs/dbraw/zinc/59/17/26/1049591726.db2.gz QRXGIOZHCTZWIF-SFHVURJKSA-N 0 3 316.489 4.155 20 0 DIADHN CN(Cc1ccc(C2CC2)cc1)C[C@@H]1OCCc2ccccc21 ZINC001205889371 1049592869 /nfs/dbraw/zinc/59/28/69/1049592869.db2.gz DRTMXMCNKQAAIW-NRFANRHFSA-N 0 3 307.437 4.310 20 0 DIADHN COCCN(Cc1ncc(-c2cccs2)o1)[C@@H]1CCC[C@@H]1C ZINC000488039330 1049593707 /nfs/dbraw/zinc/59/37/07/1049593707.db2.gz CSPMNQDXSRVZJH-UONOGXRCSA-N 0 3 320.458 4.040 20 0 DIADHN CO[C@]1(C)CCCN(Cc2ncc(-c3ccccc3C)o2)CC1 ZINC000569989306 1049602817 /nfs/dbraw/zinc/60/28/17/1049602817.db2.gz ZBHNGZGXQAYBIO-LJQANCHMSA-N 0 3 314.429 4.041 20 0 DIADHN CCOC(=O)[C@@H]1C2CCC(CC2)N1C[C@@H]1CCCC(C)(C)C1 ZINC001205943426 1049608197 /nfs/dbraw/zinc/60/81/97/1049608197.db2.gz RFXPQNWOLLIMFQ-VXLLPVPCSA-N 0 3 307.478 4.009 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1C[C@H](O)C[C@H]1c1ccccc1 ZINC001182299147 1049610041 /nfs/dbraw/zinc/61/00/41/1049610041.db2.gz VVLWAFHHHBVBPG-MSOLQXFVSA-N 0 3 313.441 4.193 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1C[C@@H](O)C[C@H]1c1ccccc1 ZINC001182299149 1049610264 /nfs/dbraw/zinc/61/02/64/1049610264.db2.gz VVLWAFHHHBVBPG-ROUUACIJSA-N 0 3 313.441 4.193 20 0 DIADHN CC[C@H](NCC[C@@H]1CCC[C@H](C)C1)c1nnc2n1CCCCC2 ZINC001196092253 1049612848 /nfs/dbraw/zinc/61/28/48/1049612848.db2.gz GQJOTONBGOSIBX-ULQDDVLXSA-N 0 3 318.509 4.262 20 0 DIADHN CC[C@H](NCC[C@@H]1CCC[C@@H](C)C1)c1nnc2n1CCCCC2 ZINC001196092252 1049613437 /nfs/dbraw/zinc/61/34/37/1049613437.db2.gz GQJOTONBGOSIBX-IKGGRYGDSA-N 0 3 318.509 4.262 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@@H]3COCC[C@@H]32)c1 ZINC000488241418 1049617818 /nfs/dbraw/zinc/61/78/18/1049617818.db2.gz DZMGOFNOSKKKPC-CJNGLKHVSA-N 0 3 314.256 4.037 20 0 DIADHN C[C@@H](CO[C@@H]1CCN([C@H](C)c2ccccn2)C1)c1ccccc1 ZINC001206010193 1049627849 /nfs/dbraw/zinc/62/78/49/1049627849.db2.gz FIQRLGJRUWPFEK-YQVWRLOYSA-N 0 3 310.441 4.037 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2cc3ccccc3s2)c(C)o1 ZINC000774222015 1049632179 /nfs/dbraw/zinc/63/21/79/1049632179.db2.gz AZJLUBRJJAJPHW-WBMJQRKESA-N 0 3 315.438 4.495 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCOc2cccc(Cl)c2)on1 ZINC000067387255 1049633641 /nfs/dbraw/zinc/63/36/41/1049633641.db2.gz SHNVSRFYZPGCBX-INIZCTEOSA-N 0 3 320.820 4.242 20 0 DIADHN Oc1cccc(CN(Cc2cc3ccc(F)cc3[nH]2)CC2CC2)c1 ZINC000488319388 1049633759 /nfs/dbraw/zinc/63/37/59/1049633759.db2.gz CJJMBZFJJYFPIS-UHFFFAOYSA-N 0 3 324.399 4.425 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2c(F)cccc2Cl)c(C)o1 ZINC000774251379 1049635359 /nfs/dbraw/zinc/63/53/59/1049635359.db2.gz JDJCTGVHICFXDO-MEBBXXQBSA-N 0 3 311.784 4.073 20 0 DIADHN COc1ccc(CN(C)Cc2cc3ccc(F)cc3[nH]2)cc1C ZINC000488328788 1049636197 /nfs/dbraw/zinc/63/61/97/1049636197.db2.gz XHZOGMGGEPKTRB-UHFFFAOYSA-N 0 3 312.388 4.256 20 0 DIADHN Fc1ccc2cc(CN3CCCN(c4ccccc4)CC3)[nH]c2c1 ZINC000488326578 1049636822 /nfs/dbraw/zinc/63/68/22/1049636822.db2.gz GKTAOGYUTZUROD-UHFFFAOYSA-N 0 3 323.415 4.019 20 0 DIADHN Cc1cc(C)nc(CN2CCC3(CCc4ccccc4O3)CC2)c1 ZINC001196285714 1049638833 /nfs/dbraw/zinc/63/88/33/1049638833.db2.gz QTWMOSKLJIXNKE-UHFFFAOYSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)cs1 ZINC001196285237 1049639009 /nfs/dbraw/zinc/63/90/09/1049639009.db2.gz KHEGRWMDCZZFRV-UHFFFAOYSA-N 0 3 313.466 4.416 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3[nH]ccc3c2)cc1Cl ZINC001182424924 1049644174 /nfs/dbraw/zinc/64/41/74/1049644174.db2.gz XMUCHCKCTNNFIP-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2COC3(CCCCCC3)O2)c(C)o1 ZINC000774304029 1049644423 /nfs/dbraw/zinc/64/44/23/1049644423.db2.gz UEOAHXIFBXTSEM-GOEBONIOSA-N 0 3 307.434 4.013 20 0 DIADHN Fc1cccc(/C=C\CN2CC[C@]3(C2)CCc2ccccc2O3)c1 ZINC001206064043 1049645955 /nfs/dbraw/zinc/64/59/55/1049645955.db2.gz UREVFMKJCDJQFD-CDFDFNMFSA-N 0 3 323.411 4.309 20 0 DIADHN COC[C@H](C)CN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001206064071 1049646014 /nfs/dbraw/zinc/64/60/14/1049646014.db2.gz VIQGKCJJGAEWJC-GFCCVEGCSA-N 0 3 316.272 4.455 20 0 DIADHN Cc1ccc2c(CN(C)Cc3cccc(C)c3C)cc(=O)oc2c1 ZINC000794639746 1049647762 /nfs/dbraw/zinc/64/77/62/1049647762.db2.gz DBHAXZJECKQVBO-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN C[C@@]12CCN(Cc3ccc(SC(F)F)cc3)C[C@@H]1C2(F)F ZINC000794625532 1049649209 /nfs/dbraw/zinc/64/92/09/1049649209.db2.gz XZAOJJPUWCTACT-GXTWGEPZSA-N 0 3 319.367 4.478 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cccnc2OC2CCCC2)CS1 ZINC000488413825 1049650898 /nfs/dbraw/zinc/65/08/98/1049650898.db2.gz PTFCUAPQOQZKNU-WBVHZDCISA-N 0 3 320.502 4.023 20 0 DIADHN COc1ccc(CN2CCC(c3cccs3)CC2)cc1OC ZINC001203837849 1049651895 /nfs/dbraw/zinc/65/18/95/1049651895.db2.gz AVRKPTIURPKOPF-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CN(Cc1cc(F)ccc1Oc1ccccc1)C[C@@H]1CCOC1 ZINC001202513276 1049653127 /nfs/dbraw/zinc/65/31/27/1049653127.db2.gz PWCOYDYPCBDFBI-HNNXBMFYSA-N 0 3 315.388 4.086 20 0 DIADHN CNc1ccc(C)cc1CN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC001205773580 1049653239 /nfs/dbraw/zinc/65/32/39/1049653239.db2.gz ODMHVTBCDZNEOM-LSDHHAIUSA-N 0 3 313.272 4.052 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@@H](C4CCCCC4)C3)cn21 ZINC001196410016 1049654397 /nfs/dbraw/zinc/65/43/97/1049654397.db2.gz LFQSGQKTNFTCHC-GOSISDBHSA-N 0 3 311.473 4.435 20 0 DIADHN CCc1cc(CN[C@@H](c2ccccc2OC)C2CCCC2)n[nH]1 ZINC000774421840 1049660012 /nfs/dbraw/zinc/66/00/12/1049660012.db2.gz XBOZNHCVFIRNFD-LJQANCHMSA-N 0 3 313.445 4.002 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CC[C@@H]1CCC[C@@H](C)C1 ZINC001196450945 1049660169 /nfs/dbraw/zinc/66/01/69/1049660169.db2.gz TXZMEJNPKUMVGV-PBHICJAKSA-N 0 3 304.478 4.355 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cncc(Br)c1 ZINC001206126496 1049661004 /nfs/dbraw/zinc/66/10/04/1049661004.db2.gz ZVGYZDZJHDZMLR-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](c2ccccc2F)C2CCCC2)o1 ZINC000774429854 1049661821 /nfs/dbraw/zinc/66/18/21/1049661821.db2.gz AUTXPKMQHZQHKJ-MJGOQNOKSA-N 0 3 317.404 4.282 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2nc3ccccc3n2C)c1 ZINC000774435155 1049663451 /nfs/dbraw/zinc/66/34/51/1049663451.db2.gz QMXNOLVDGTWUBI-INIZCTEOSA-N 0 3 323.440 4.001 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CC[C@H](N(C)c2ccccc2)C1 ZINC001196505948 1049664211 /nfs/dbraw/zinc/66/42/11/1049664211.db2.gz JUNLTIBTZTWGOY-YOEHRIQHSA-N 0 3 316.395 4.237 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CCC[C@H](C(F)(F)F)C2)[n-]1 ZINC000488504196 1049664387 /nfs/dbraw/zinc/66/43/87/1049664387.db2.gz VWWQWOYARZIOAT-FIQHERPVSA-N 0 3 318.387 4.090 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C2CCC(C(F)(F)F)CC2)[n-]1 ZINC000488505090 1049665525 /nfs/dbraw/zinc/66/55/25/1049665525.db2.gz QXQRYPJGWBKLSD-JYBOHDQNSA-N 0 3 318.387 4.090 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@](C)(C(=O)OC(C)(C)C)C2)c1 ZINC001206150682 1049667847 /nfs/dbraw/zinc/66/78/47/1049667847.db2.gz DFFWQDDFJQTKPZ-SFHVURJKSA-N 0 3 323.864 4.202 20 0 DIADHN C/C=C\C[C@@H]1CCCN(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC001196603859 1049672776 /nfs/dbraw/zinc/67/27/76/1049672776.db2.gz DFTWQOAKZKZXPE-IIYAPEQQSA-N 0 3 311.828 4.191 20 0 DIADHN CC(C)O[C@H](CN1CC(c2ccc(F)cc2)C1)c1ccccc1 ZINC001206174871 1049675215 /nfs/dbraw/zinc/67/52/15/1049675215.db2.gz UHUMINVXQYADJA-HXUWFJFHSA-N 0 3 313.416 4.391 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N(CC)CCc1ccccc1 ZINC000488555667 1049675161 /nfs/dbraw/zinc/67/51/61/1049675161.db2.gz PMVZYRFIYIMHBT-KRWDZBQOSA-N 0 3 324.468 4.141 20 0 DIADHN CCN(CCc1ccccc1)[C@H](C)C(=O)Nc1ccc(C)cc1C ZINC000488552420 1049675225 /nfs/dbraw/zinc/67/52/25/1049675225.db2.gz JODMHGFLHYUESC-GOSISDBHSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@@H]1C[C@H]1CN1CCC[C@@H]1c1ccc(Br)s1 ZINC000488544378 1049675548 /nfs/dbraw/zinc/67/55/48/1049675548.db2.gz LYYLQUSPJGKHOP-OUAUKWLOSA-N 0 3 300.265 4.304 20 0 DIADHN COc1cccc(C2CC(N[C@@H]3C[C@]3(F)c3ccccc3)C2)c1 ZINC001182498609 1049677836 /nfs/dbraw/zinc/67/78/36/1049677836.db2.gz JUXGBYVSYBQKBP-DGGFBBCUSA-N 0 3 311.400 4.168 20 0 DIADHN CCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1cccc(C)c1C ZINC000488567563 1049677842 /nfs/dbraw/zinc/67/78/42/1049677842.db2.gz KWXGNULSBYFDQA-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN COc1ccc(-c2ccc(F)c(OC(C)C)c2)cc1CN(C)C ZINC001206191671 1049679737 /nfs/dbraw/zinc/67/97/37/1049679737.db2.gz RNVURFVJPZHGBG-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc4occc4c3)C2)cc1F ZINC001206194931 1049680742 /nfs/dbraw/zinc/68/07/42/1049680742.db2.gz MYEPSCRMQFNRNT-UHFFFAOYSA-N 0 3 313.347 4.386 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CCC(Cc2nccs2)CC1 ZINC001196727372 1049682776 /nfs/dbraw/zinc/68/27/76/1049682776.db2.gz CJXPBFBBSJHEKJ-ZDUSSCGKSA-N 0 3 304.434 4.298 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(Cc3nccs3)CC1)CC2 ZINC001196727379 1049683063 /nfs/dbraw/zinc/68/30/63/1049683063.db2.gz CREVEKXTPUDHTQ-KRWDZBQOSA-N 0 3 316.445 4.224 20 0 DIADHN C[C@]1(Cc2ccccc2F)CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC001182543251 1049686104 /nfs/dbraw/zinc/68/61/04/1049686104.db2.gz KKSICVWQRGHSCK-LJQANCHMSA-N 0 3 317.379 4.268 20 0 DIADHN C[C@]1(Cc2ccccc2F)CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC001182543251 1049686111 /nfs/dbraw/zinc/68/61/11/1049686111.db2.gz KKSICVWQRGHSCK-LJQANCHMSA-N 0 3 317.379 4.268 20 0 DIADHN Cc1c(F)ccc(F)c1C(=O)NCCP(C(C)C)C(C)C ZINC001196754866 1049687387 /nfs/dbraw/zinc/68/73/87/1049687387.db2.gz MPDAIWQKLHNMBJ-UHFFFAOYSA-N 0 3 315.344 4.302 20 0 DIADHN C[C@@H]1CN(CCCSc2ccccc2Cl)Cc2c[nH]nc21 ZINC001196789464 1049688272 /nfs/dbraw/zinc/68/82/72/1049688272.db2.gz MHLUXLFQEHZGKZ-GFCCVEGCSA-N 0 3 321.877 4.165 20 0 DIADHN CCOC[C@H]1CCCCN1Cc1ccc(SC(F)F)cc1 ZINC001206240180 1049688289 /nfs/dbraw/zinc/68/82/89/1049688289.db2.gz TUYUMMPOHILZJF-CQSZACIVSA-N 0 3 315.429 4.392 20 0 DIADHN CCCN(CC)[C@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000488732113 1049690050 /nfs/dbraw/zinc/69/00/50/1049690050.db2.gz ZMTAPXIGWIRQBU-QGZVFWFLSA-N 0 3 324.468 4.336 20 0 DIADHN CCCN(CC)[C@@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000488762371 1049693556 /nfs/dbraw/zinc/69/35/56/1049693556.db2.gz QVCHCOJXODHVNH-JTQLQIEISA-N 0 3 303.233 4.052 20 0 DIADHN Cc1sc(CN[C@@H]2CCCC[C@H]2F)cc1Br ZINC001206276418 1049696619 /nfs/dbraw/zinc/69/66/19/1049696619.db2.gz HWFHKYKDKSXMKT-VXGBXAGGSA-N 0 3 306.244 4.189 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1ccccc1F)c1cc(F)ccc1F ZINC000794797667 1049696883 /nfs/dbraw/zinc/69/68/83/1049696883.db2.gz PPUOSKGPRXYEFX-MSOLQXFVSA-N 0 3 323.358 4.124 20 0 DIADHN Cc1ccc(NC(=S)Nc2cccc(CN(C)C)c2)cc1C ZINC000044133140 1049697667 /nfs/dbraw/zinc/69/76/67/1049697667.db2.gz KIZVKCAMFQZOKV-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN C[C@H]1CN(Cc2coc3ccccc23)CCN1Cc1ccccc1 ZINC001206277159 1049698122 /nfs/dbraw/zinc/69/81/22/1049698122.db2.gz YVOLFLVKBALFQG-KRWDZBQOSA-N 0 3 320.436 4.139 20 0 DIADHN CN(C)Cc1cccc(NC(=S)Nc2ccccc2Cl)c1 ZINC000044133116 1049698275 /nfs/dbraw/zinc/69/82/75/1049698275.db2.gz GPVSANMLGGIBHP-UHFFFAOYSA-N 0 3 319.861 4.211 20 0 DIADHN CC(C)CCCCN1CCN(C(=O)OC(C)(C)C)C(C)(C)C1 ZINC001206307591 1049706551 /nfs/dbraw/zinc/70/65/51/1049706551.db2.gz HVIKHURRHFRLGU-UHFFFAOYSA-N 0 3 312.498 4.144 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CC[C@@H](CC(C)(C)C)C2)s1 ZINC001206314223 1049707683 /nfs/dbraw/zinc/70/76/83/1049707683.db2.gz OQNMQEYMASFAOS-NSHDSACASA-N 0 3 315.914 4.121 20 0 DIADHN CC[C@H](N[C@H]1CCN(c2ccccc2)C1=O)c1cc(C)ccc1C ZINC001196943187 1049707929 /nfs/dbraw/zinc/70/79/29/1049707929.db2.gz KKXIIXOPPHJJBW-PMACEKPBSA-N 0 3 322.452 4.150 20 0 DIADHN CC[C@@H](N[C@H]1CCN(c2ccccc2)C1=O)c1cc(C)ccc1C ZINC001196943188 1049708430 /nfs/dbraw/zinc/70/84/30/1049708430.db2.gz KKXIIXOPPHJJBW-UXHICEINSA-N 0 3 322.452 4.150 20 0 DIADHN CC[C@@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1cc(C)ccc1C ZINC001196943190 1049708494 /nfs/dbraw/zinc/70/84/94/1049708494.db2.gz KKXIIXOPPHJJBW-WOJBJXKFSA-N 0 3 322.452 4.150 20 0 DIADHN COc1cc(CN2CC[C@H](c3cc(C)ccn3)C2)ccc1Cl ZINC000570293167 1049714426 /nfs/dbraw/zinc/71/44/26/1049714426.db2.gz XLHXPVHMMLWSSH-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN Fc1ccc(N2CCN(CC3CCCCCC3)CC2)c(F)c1 ZINC001197050862 1049715480 /nfs/dbraw/zinc/71/54/80/1049715480.db2.gz GHYVWUBYDVJHSA-UHFFFAOYSA-N 0 3 308.416 4.057 20 0 DIADHN COc1cc(CN2CC[C@H](C3CCCC3)C2)c(Cl)cc1O ZINC001206350770 1049717735 /nfs/dbraw/zinc/71/77/35/1049717735.db2.gz NHCZLSONRHDLLO-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN CC1(C)C[C@H](c2ccccc2)N(Cc2ccnn2CC2CC2)C1 ZINC001206385871 1049725484 /nfs/dbraw/zinc/72/54/84/1049725484.db2.gz ZKORIACVVHBAFL-LJQANCHMSA-N 0 3 309.457 4.266 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(F)cc(F)c3F)cccc21 ZINC001206417377 1049731300 /nfs/dbraw/zinc/73/13/00/1049731300.db2.gz TXTIOPFWRHPFTL-HNNXBMFYSA-N 0 3 322.330 4.233 20 0 DIADHN COc1c(C)cccc1CNCc1cc2c(cccc2O)s1 ZINC001206470686 1049738912 /nfs/dbraw/zinc/73/89/12/1049738912.db2.gz FLJVLMHQNZMNKW-UHFFFAOYSA-N 0 3 313.422 4.214 20 0 DIADHN CN(C)Cc1ccccc1NC(=S)Nc1ccc(Cl)cc1 ZINC001197335922 1049744552 /nfs/dbraw/zinc/74/45/52/1049744552.db2.gz ZMVPIXPBJIZSGX-UHFFFAOYSA-N 0 3 319.861 4.211 20 0 DIADHN C[C@]1(c2ccccc2)CCCN1C[C@H](O)c1c(F)cccc1F ZINC001197342167 1049745763 /nfs/dbraw/zinc/74/57/63/1049745763.db2.gz NOQWIYYNSOBXJI-PKOBYXMFSA-N 0 3 317.379 4.009 20 0 DIADHN CN1CCc2ccc(NC3=CC[C@@H](C(F)(F)F)CC3)cc2C1 ZINC001197425640 1049751626 /nfs/dbraw/zinc/75/16/26/1049751626.db2.gz LFFRTBQJSBSTRW-CQSZACIVSA-N 0 3 310.363 4.333 20 0 DIADHN CC1(C)[C@@H](c2ccc(F)c(F)c2)CCN1Cc1cncs1 ZINC001197422039 1049751836 /nfs/dbraw/zinc/75/18/36/1049751836.db2.gz POKTVGUHVJLVOQ-CYBMUJFWSA-N 0 3 308.397 4.189 20 0 DIADHN COc1ccccc1CCCN1CCc2cc(F)ccc2[C@@H]1C ZINC001197494042 1049755580 /nfs/dbraw/zinc/75/55/80/1049755580.db2.gz BKXPKYFAGVSYIC-HNNXBMFYSA-N 0 3 313.416 4.386 20 0 DIADHN COc1cc(C)ccc1CN1CC[C@@](C)(c2cccc(F)c2)C1 ZINC001206569411 1049759075 /nfs/dbraw/zinc/75/90/75/1049759075.db2.gz VAEIZDDQFWDCKG-HXUWFJFHSA-N 0 3 313.416 4.306 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@H](C)c2ccsc2)s1 ZINC000669850853 1049759077 /nfs/dbraw/zinc/75/90/77/1049759077.db2.gz MNZVNEUUJDHQFX-MNOVXSKESA-N 0 3 310.488 4.114 20 0 DIADHN CN1CCN(CCCOC2CCCCCCCCCCC2)CC1 ZINC001206590295 1049764019 /nfs/dbraw/zinc/76/40/19/1049764019.db2.gz KIQPCWQTCNPUTK-UHFFFAOYSA-N 0 3 324.553 4.314 20 0 DIADHN COc1cccc([C@@]2(C)CCN(Cc3ccc(SC)o3)C2)c1 ZINC001206671538 1049778340 /nfs/dbraw/zinc/77/83/40/1049778340.db2.gz AUTQFHCEWFIYHF-SFHVURJKSA-N 0 3 317.454 4.174 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCOC2)c2ccc(Cl)cc2)cc1 ZINC000489879183 1049786151 /nfs/dbraw/zinc/78/61/51/1049786151.db2.gz ATFWGQFYLGMZHN-ZWKOTPCHSA-N 0 3 319.807 4.337 20 0 DIADHN CN(Cc1ccc(-c2cccc(OCC3CC3)c2)nc1)C1CC1 ZINC001206757741 1049793127 /nfs/dbraw/zinc/79/31/27/1049793127.db2.gz PGINWBMQUZBPML-UHFFFAOYSA-N 0 3 308.425 4.132 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3nc(-c4ccoc4)no3)C2)cc1 ZINC000490059085 1049796835 /nfs/dbraw/zinc/79/68/35/1049796835.db2.gz VUEHTJKBAZACSG-WMLDXEAASA-N 0 3 323.396 4.016 20 0 DIADHN CC(C)O[C@@H](CN1CC(Cc2ccsc2)C1)c1ccccc1 ZINC001206780032 1049798472 /nfs/dbraw/zinc/79/84/72/1049798472.db2.gz MYKNPARVBZJQNA-IBGZPJMESA-N 0 3 315.482 4.389 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@H](c2cnn(C)c2)c2cccc(F)c2)C1 ZINC000490147825 1049802501 /nfs/dbraw/zinc/80/25/01/1049802501.db2.gz ANUYNNOZISZYMM-VCBZYWHSSA-N 0 3 315.436 4.065 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OCC3CC3)nc1)[C@H](C)C2 ZINC000490121247 1049804241 /nfs/dbraw/zinc/80/42/41/1049804241.db2.gz ZVRUUUZZVMAVOR-QVKFZJNVSA-N 0 3 322.452 4.202 20 0 DIADHN CC[C@@H]1CCCCN1Cc1c(C)nn(C(=O)OC(C)(C)C)c1C ZINC001206812871 1049806611 /nfs/dbraw/zinc/80/66/11/1049806611.db2.gz RGCQLRHRTYIKRA-OAHLLOKOSA-N 0 3 321.465 4.048 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@@H]4CCC[C@@H]43)no2)cc1 ZINC001206829996 1049808873 /nfs/dbraw/zinc/80/88/73/1049808873.db2.gz SNIYXYJMPXICMN-KSSFIOAISA-N 0 3 312.413 4.115 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC[C@H]4CCC[C@@H]43)no2)cc1 ZINC001206836333 1049810290 /nfs/dbraw/zinc/81/02/90/1049810290.db2.gz KRUJFGBQFSWZAB-DYVFJYSZSA-N 0 3 300.377 4.245 20 0 DIADHN COc1ccc(-c2cc(CN(C)[C@H]3CC[C@H](C)CC3)no2)cc1 ZINC001206834575 1049811040 /nfs/dbraw/zinc/81/10/40/1049811040.db2.gz PMZBOIPFPPZHEE-CZIWCDLHSA-N 0 3 314.429 4.361 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H]4CCCC[C@@H]4C3)no2)cc1 ZINC001206838560 1049811229 /nfs/dbraw/zinc/81/12/29/1049811229.db2.gz ORSWEVFKLUQGRS-GDBMZVCRSA-N 0 3 314.404 4.493 20 0 DIADHN c1c(CN2C[C@@H]3C[C@H](C2)c2ccccc23)noc1-c1ccccc1 ZINC001206848330 1049813146 /nfs/dbraw/zinc/81/31/46/1049813146.db2.gz WWACGNZNDLVUGX-CALCHBBNSA-N 0 3 316.404 4.428 20 0 DIADHN Cc1ncsc1CN1CCC(Sc2ccccc2)CC1 ZINC001206991920 1049818761 /nfs/dbraw/zinc/81/87/61/1049818761.db2.gz QEZNCIKVDKAAHE-UHFFFAOYSA-N 0 3 304.484 4.208 20 0 DIADHN Cc1ncc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)s1 ZINC001207064269 1049829942 /nfs/dbraw/zinc/82/99/42/1049829942.db2.gz OUKGTRDYHQFQAA-ZDUSSCGKSA-N 0 3 314.454 4.034 20 0 DIADHN COc1c(O)cccc1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000490568219 1049840550 /nfs/dbraw/zinc/84/05/50/1049840550.db2.gz MRBUCWISOTZCHH-ZDUSSCGKSA-N 0 3 319.832 4.117 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cc(C2CC2)no1 ZINC000659868280 1049842042 /nfs/dbraw/zinc/84/20/42/1049842042.db2.gz OZUSAMZATUZSHG-UHFFFAOYSA-N 0 3 306.409 4.380 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2ccc3c(c2)CCCC3)c1 ZINC000490556935 1049843340 /nfs/dbraw/zinc/84/33/40/1049843340.db2.gz OYEJNLCIRXSKAU-HNNXBMFYSA-N 0 3 322.452 4.375 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc(F)c(COC)c2)c1 ZINC000151202883 1049850692 /nfs/dbraw/zinc/85/06/92/1049850692.db2.gz HWRYPGVPISYKSC-CQSZACIVSA-N 0 3 317.404 4.222 20 0 DIADHN COc1ccc(CN2CC([C@@H]3CCC[C@@H](C)C3)C2)c(C)c1OC ZINC001207234431 1049866424 /nfs/dbraw/zinc/86/64/24/1049866424.db2.gz KUJNTTAQIODEIW-GDBMZVCRSA-N 0 3 317.473 4.270 20 0 DIADHN COCc1cc(CNCc2ccc3c(c2)CCCC3)ccc1F ZINC000490837209 1049870461 /nfs/dbraw/zinc/87/04/61/1049870461.db2.gz PMTDTUSNJBISOB-UHFFFAOYSA-N 0 3 313.416 4.141 20 0 DIADHN COc1ccc(Oc2ccc(CN3CCC(F)CC3)cc2)cc1 ZINC001207315789 1049879438 /nfs/dbraw/zinc/87/94/38/1049879438.db2.gz TZRDXQVPBCPUMT-UHFFFAOYSA-N 0 3 315.388 4.421 20 0 DIADHN C[C@H](CN1CCC[C@@H](CF)C1)c1cccc(Br)c1 ZINC001207315164 1049879546 /nfs/dbraw/zinc/87/95/46/1049879546.db2.gz QIFMRVXDNFRQBV-OLZOCXBDSA-N 0 3 314.242 4.234 20 0 DIADHN Cc1nc(Cl)c(CCN2CCC[C@@H](C)[C@@H](C)C2)c(Cl)n1 ZINC001207335242 1049880611 /nfs/dbraw/zinc/88/06/11/1049880611.db2.gz YOCQPZHOWCZYJU-MNOVXSKESA-N 0 3 316.276 4.002 20 0 DIADHN Cc1nc(Cl)c(CCN2CCCCCCCC2)c(Cl)n1 ZINC001207341747 1049881767 /nfs/dbraw/zinc/88/17/67/1049881767.db2.gz SGWDOQVBHGHVLY-UHFFFAOYSA-N 0 3 316.276 4.291 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1cc(O)cc(C(F)(F)F)c1 ZINC000570889592 1049890817 /nfs/dbraw/zinc/89/08/17/1049890817.db2.gz QZXPFOFBRNWLBH-GTNSWQLSSA-N 0 3 321.342 4.434 20 0 DIADHN CC1(C)CCc2onc(CNC[C@H]3CCc4ccccc43)c2C1 ZINC001207415445 1049891062 /nfs/dbraw/zinc/89/10/62/1049891062.db2.gz OGYAJPYLQDUULD-OAHLLOKOSA-N 0 3 310.441 4.009 20 0 DIADHN Fc1ccc(-c2cc(CN[C@H]3CCCC34CCC4)no2)c(F)c1 ZINC001207424852 1049892299 /nfs/dbraw/zinc/89/22/99/1049892299.db2.gz ZCGIZATZDOVRCK-KRWDZBQOSA-N 0 3 318.367 4.432 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(CCc2cccc(Cl)c2)C1 ZINC001207425749 1049892533 /nfs/dbraw/zinc/89/25/33/1049892533.db2.gz QTTHESCGASWUOY-QGZVFWFLSA-N 0 3 313.828 4.087 20 0 DIADHN COc1ccccc1CCN1CCC[C@H]1c1cc(F)ccc1F ZINC001207455668 1049893578 /nfs/dbraw/zinc/89/35/78/1049893578.db2.gz FHJMNZVZTILOAG-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN CCN(CCCOc1cc(C)ccc1C)CCC(F)(F)F ZINC000586768251 1049895317 /nfs/dbraw/zinc/89/53/17/1049895317.db2.gz HVCKNKBZKSWLSW-UHFFFAOYSA-N 0 3 303.368 4.347 20 0 DIADHN COc1ccc(CCN2CCC[C@H]2c2c(F)cccc2F)cc1 ZINC001207477413 1049896882 /nfs/dbraw/zinc/89/68/82/1049896882.db2.gz ZKCFAQLYQHKVET-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN COc1cccc2c1CN(CC[C@@H](C)CCCC(C)(C)O)CC2 ZINC001207638110 1049919550 /nfs/dbraw/zinc/91/95/50/1049919550.db2.gz ZATKGUIINTXKQO-INIZCTEOSA-N 0 3 319.489 4.021 20 0 DIADHN Cc1cc([C@H]2CCCN2CC[C@H](C)CCCC(C)(C)O)no1 ZINC001207635706 1049920045 /nfs/dbraw/zinc/92/00/45/1049920045.db2.gz LIMDUPABESSPHL-RHSMWYFYSA-N 0 3 308.466 4.087 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1)C1CC1 ZINC001207669985 1049923520 /nfs/dbraw/zinc/92/35/20/1049923520.db2.gz RAHYKNFAGLSDDU-HZMBPMFUSA-N 0 3 305.809 4.229 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN(C)Cc1nc2ccccc2o1 ZINC001207678204 1049924334 /nfs/dbraw/zinc/92/43/34/1049924334.db2.gz GRPCYIAJAJUCTC-HNNXBMFYSA-N 0 3 318.461 4.227 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN1CCC[C@H]1c1nccs1 ZINC001207678394 1049926495 /nfs/dbraw/zinc/92/64/95/1049926495.db2.gz LFOYLUSUKZJESV-CABCVRRESA-N 0 3 310.507 4.247 20 0 DIADHN CN(C)C[C@@H](NCc1ccc(Cl)c(F)c1)c1ccc(F)cc1 ZINC000571319672 1049929529 /nfs/dbraw/zinc/92/95/29/1049929529.db2.gz ZJVBCBLIPWSLEJ-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN C(c1nc2c(s1)CCCC2)N1CCC[C@@H](C2CCCC2)C1 ZINC001207806220 1049941654 /nfs/dbraw/zinc/94/16/54/1049941654.db2.gz REPMARYRTHUKRG-OAHLLOKOSA-N 0 3 304.503 4.424 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CCc3cccnc3C2)c1 ZINC001207846005 1049945047 /nfs/dbraw/zinc/94/50/47/1049945047.db2.gz SOXFXTFWMVDPOC-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN Cc1cc(CN[C@@]2(C)CCCc3ccccc32)cnc1Cl ZINC000760675791 1049951521 /nfs/dbraw/zinc/95/15/21/1049951521.db2.gz HKZDCULHQMCELE-SFHVURJKSA-N 0 3 300.833 4.385 20 0 DIADHN C[C@@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1ccno1 ZINC001207917088 1049956215 /nfs/dbraw/zinc/95/62/15/1049956215.db2.gz DYPFUWLDMKXQNG-HNNXBMFYSA-N 0 3 300.446 4.283 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)CN2CCC(=O)[C@@H](C)CC2)cc1 ZINC001207931587 1049957128 /nfs/dbraw/zinc/95/71/28/1049957128.db2.gz HNZIZOKDRGXGFM-SJORKVTESA-N 0 3 301.474 4.290 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCc3cnn(C)c3C2)CC1 ZINC001207936645 1049959012 /nfs/dbraw/zinc/95/90/12/1049959012.db2.gz BMEIYHKTXHMEKU-GOSISDBHSA-N 0 3 313.489 4.251 20 0 DIADHN CCC(=O)N1CCN(C[C@@H]2CC=C(CCC=C(C)C)CC2)CC1 ZINC001207939715 1049962301 /nfs/dbraw/zinc/96/23/01/1049962301.db2.gz GDUGAJMEKSCTLH-LJQANCHMSA-N 0 3 318.505 4.014 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H](C)c1ccc(OC)c(F)c1 ZINC000571781787 1049967252 /nfs/dbraw/zinc/96/72/52/1049967252.db2.gz FACDCSISKBMTAO-STQMWFEESA-N 0 3 302.393 4.204 20 0 DIADHN CC(C)(c1noc(C2CCN(C3CC3)CC2)n1)C1=CCCCC1 ZINC000571803925 1049968399 /nfs/dbraw/zinc/96/83/99/1049968399.db2.gz ZIQVYYMJQWKUGV-UHFFFAOYSA-N 0 3 315.461 4.189 20 0 DIADHN Fc1cccc([C@@H]2CCN(CCc3c(F)cccc3F)C2)c1 ZINC001208116850 1049974408 /nfs/dbraw/zinc/97/44/08/1049974408.db2.gz HLQWNAIOENNSNB-CQSZACIVSA-N 0 3 305.343 4.136 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCN(C)[C@H](c3ccccc3)C2)o1 ZINC001208157142 1049979353 /nfs/dbraw/zinc/97/93/53/1049979353.db2.gz TZIOTVYIVPXDIW-LPHOPBHVSA-N 0 3 312.457 4.070 20 0 DIADHN COc1ccc(C2=CCN(CCc3cccc(F)c3)CC2)cc1 ZINC001208202318 1049984791 /nfs/dbraw/zinc/98/47/91/1049984791.db2.gz UMURCUWZYKHOAZ-UHFFFAOYSA-N 0 3 311.400 4.166 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)NCCCC2CCCCC2)c1 ZINC001168384236 1049996916 /nfs/dbraw/zinc/99/69/16/1049996916.db2.gz WUYBCILKZLPCKS-KRWDZBQOSA-N 0 3 316.489 4.122 20 0 DIADHN CC(C)N(CCOc1ccc(Cl)c(F)c1)Cc1ccccn1 ZINC000572456318 1050004758 /nfs/dbraw/zinc/00/47/58/1050004758.db2.gz HBWZBLBKJKOHTO-UHFFFAOYSA-N 0 3 322.811 4.164 20 0 DIADHN CC/C=C\CCN1CCC2(CC1)CC(=O)c1cc(C)ccc1O2 ZINC001208730134 1050007111 /nfs/dbraw/zinc/00/71/11/1050007111.db2.gz PYWZKHSDVMITTQ-PLNGDYQASA-N 0 3 313.441 4.151 20 0 DIADHN Cc1ccccc1-n1nc(C(C)(C)C)cc1NC1=CCN(C)CC1 ZINC001208793103 1050010482 /nfs/dbraw/zinc/01/04/82/1050010482.db2.gz CZYVEDBTSIFVHB-UHFFFAOYSA-N 0 3 324.472 4.110 20 0 DIADHN C[C@@]1(F)CCCN(CCCc2cccc(Br)c2)C1 ZINC001208820252 1050013114 /nfs/dbraw/zinc/01/31/14/1050013114.db2.gz IXVMZFKBIODPTP-OAHLLOKOSA-N 0 3 314.242 4.206 20 0 DIADHN CN1CC=C(Nc2c(C(F)(F)F)cccc2C(F)(F)F)CC1 ZINC001208844645 1050016194 /nfs/dbraw/zinc/01/61/94/1050016194.db2.gz SNTLJRBMVXRTGQ-UHFFFAOYSA-N 0 3 324.268 4.356 20 0 DIADHN Cc1ccc(SC(F)(F)F)cc1NC1=CCN(C)CC1 ZINC001208857663 1050017534 /nfs/dbraw/zinc/01/75/34/1050017534.db2.gz NVDIRHPLFIXQAO-UHFFFAOYSA-N 0 3 302.365 4.238 20 0 DIADHN CCC(=O)C(C)(C)CN(C)Cc1cc(Cl)cc(Cl)c1 ZINC001208924031 1050023103 /nfs/dbraw/zinc/02/31/03/1050023103.db2.gz WIWJQBSSTQIXAZ-UHFFFAOYSA-N 0 3 302.245 4.431 20 0 DIADHN CC(C)Oc1cc(NC2=CCN(C)CC2)cc(C(F)(F)F)c1 ZINC001208930125 1050025563 /nfs/dbraw/zinc/02/55/63/1050025563.db2.gz HRZJXFDYZCEGNV-UHFFFAOYSA-N 0 3 314.351 4.124 20 0 DIADHN CN1CC=C(Nc2cc(F)ccc2OC2CCCCC2)CC1 ZINC001208929485 1050025873 /nfs/dbraw/zinc/02/58/73/1050025873.db2.gz BKGRTELBPZLCCQ-UHFFFAOYSA-N 0 3 304.409 4.169 20 0 DIADHN CC(C)[C@@H](NCC(=O)N(C)C)c1ccc(C2CCCCC2)cc1 ZINC000589342410 1050028536 /nfs/dbraw/zinc/02/85/36/1050028536.db2.gz FXXLIQFFMUDHIJ-HXUWFJFHSA-N 0 3 316.489 4.109 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@H]2OCCC[C@H]2C1 ZINC001209104844 1050034922 /nfs/dbraw/zinc/03/49/22/1050034922.db2.gz IWXVSXKPKSKBBI-BLLLJJGKSA-N 0 3 314.256 4.037 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@@H](c2ccccn2)C1 ZINC001209111053 1050036411 /nfs/dbraw/zinc/03/64/11/1050036411.db2.gz HDDMWCULCIOBMZ-CYBMUJFWSA-N 0 3 321.251 4.420 20 0 DIADHN CSC1(CN2CCc3[nH]c4ccc(C)cc4c3C2)CCC1 ZINC000589495766 1050038284 /nfs/dbraw/zinc/03/82/84/1050038284.db2.gz LBULQFXYXMKICR-UHFFFAOYSA-N 0 3 300.471 4.120 20 0 DIADHN Oc1ccc(C2CCN(CCc3cc(F)cc(F)c3)CC2)cc1 ZINC001209132898 1050038306 /nfs/dbraw/zinc/03/83/06/1050038306.db2.gz KKRPVZBQVUSOQJ-UHFFFAOYSA-N 0 3 317.379 4.093 20 0 DIADHN c1ccc(CCCCCN2CCc3nc(C4CC4)ncc3C2)cc1 ZINC001209233996 1050045897 /nfs/dbraw/zinc/04/58/97/1050045897.db2.gz DBAFOWUKUNFDKV-UHFFFAOYSA-N 0 3 321.468 4.125 20 0 DIADHN Fc1ccc([C@@H]2CSCCN2CCc2cccs2)cc1 ZINC001209226812 1050046142 /nfs/dbraw/zinc/04/61/42/1050046142.db2.gz GVGFPSIVTHYREE-INIZCTEOSA-N 0 3 307.459 4.220 20 0 DIADHN C[C@@]1(F)CCN([C@@H](c2ccc(Cl)cc2)C2CCOCC2)C1 ZINC000572896507 1050046226 /nfs/dbraw/zinc/04/62/26/1050046226.db2.gz UIQGVYRMFUHEMB-DLBZAZTESA-N 0 3 311.828 4.242 20 0 DIADHN Fc1ccc(CCN2CCCC[C@H]2c2cccnc2)cc1F ZINC001209243094 1050046785 /nfs/dbraw/zinc/04/67/85/1050046785.db2.gz ABNPCKSHJSEBSR-SFHVURJKSA-N 0 3 302.368 4.130 20 0 DIADHN CN(CCCCOCc1ccccc1)Cc1ccccc1F ZINC001209259217 1050048249 /nfs/dbraw/zinc/04/82/49/1050048249.db2.gz RSHKIGZBUPZWSE-UHFFFAOYSA-N 0 3 301.405 4.255 20 0 DIADHN C[C@H](CN(C)Cc1cn2cc(Cl)ccc2n1)c1ccccc1 ZINC000589606701 1050048922 /nfs/dbraw/zinc/04/89/22/1050048922.db2.gz MFDLRZMEUHGTOC-CQSZACIVSA-N 0 3 313.832 4.223 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CCC[C@H](c2ccccc2)CC1 ZINC000589610892 1050049350 /nfs/dbraw/zinc/04/93/50/1050049350.db2.gz MUBRMCYKHJMSNX-HKUYNNGSSA-N 0 3 322.452 4.283 20 0 DIADHN Cc1ccc(CN(C)Cc2cccc(C(=O)NCC(C)C)c2)cc1 ZINC000589597154 1050050505 /nfs/dbraw/zinc/05/05/05/1050050505.db2.gz SEVDEARBSVDJCL-UHFFFAOYSA-N 0 3 324.468 4.013 20 0 DIADHN Fc1ccc(CNCc2ccc3[nH]cnc3c2)c(C(F)(F)F)c1 ZINC000589640645 1050052716 /nfs/dbraw/zinc/05/27/16/1050052716.db2.gz JEXSMHRQDFLGMS-UHFFFAOYSA-N 0 3 323.293 4.011 20 0 DIADHN Fc1ccc(CNCc2ccc3nc[nH]c3c2)c(C(F)(F)F)c1 ZINC000589640645 1050052721 /nfs/dbraw/zinc/05/27/21/1050052721.db2.gz JEXSMHRQDFLGMS-UHFFFAOYSA-N 0 3 323.293 4.011 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OCc3ccccc3)cc2)n[nH]1 ZINC000589647665 1050053472 /nfs/dbraw/zinc/05/34/72/1050053472.db2.gz UAQDOJMUAOQSFC-INIZCTEOSA-N 0 3 321.424 4.148 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1ccc2cccnc2c1 ZINC000589647527 1050053867 /nfs/dbraw/zinc/05/38/67/1050053867.db2.gz GSWRVGPVEVGFSV-GOSISDBHSA-N 0 3 304.393 4.238 20 0 DIADHN COC1CCC(CN2CCSC[C@H]2c2ccc(F)cc2)CC1 ZINC001209395879 1050055675 /nfs/dbraw/zinc/05/56/75/1050055675.db2.gz NHNGONHGHLRUBM-UYJHFMRCSA-N 0 3 323.477 4.121 20 0 DIADHN COc1c(C)cnc(CN2CCC[C@H]2c2ccc(C)cc2)c1C ZINC000589705747 1050056262 /nfs/dbraw/zinc/05/62/62/1050056262.db2.gz DDVAVRMUYNYYSP-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN COC(C)(C)CCN1CCSC[C@@H]1c1cccc(Cl)c1 ZINC001209409212 1050056790 /nfs/dbraw/zinc/05/67/90/1050056790.db2.gz SNJCKXNDNVXSJD-OAHLLOKOSA-N 0 3 313.894 4.245 20 0 DIADHN Cc1cccc2c(CN3CC[C@@](CF)(C(F)(F)F)C3)c[nH]c21 ZINC001209482574 1050062881 /nfs/dbraw/zinc/06/28/81/1050062881.db2.gz OYANAVKEHSKEME-HNNXBMFYSA-N 0 3 314.326 4.200 20 0 DIADHN Cc1ccc2[nH]cc(CN3CC[C@H]3COCc3ccccc3)c2c1 ZINC001209496902 1050064019 /nfs/dbraw/zinc/06/40/19/1050064019.db2.gz KOOSSKGYDAIYNI-IBGZPJMESA-N 0 3 320.436 4.267 20 0 DIADHN Fc1cccc(OC2CCN(Cc3ccc4cc[nH]c4c3)CC2)c1 ZINC001209512721 1050065326 /nfs/dbraw/zinc/06/53/26/1050065326.db2.gz OMGZJZKUSWGGMX-UHFFFAOYSA-N 0 3 324.399 4.350 20 0 DIADHN COc1cc(CN2CCC[C@@H]2c2ccccc2C)cc(F)c1O ZINC001209527316 1050067644 /nfs/dbraw/zinc/06/76/44/1050067644.db2.gz LOVBEGBGDCKCQA-QGZVFWFLSA-N 0 3 315.388 4.185 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccc(F)cc3F)CC2)[nH]1 ZINC001209579852 1050069022 /nfs/dbraw/zinc/06/90/22/1050069022.db2.gz YDISOTKPJHOHAE-UHFFFAOYSA-N 0 3 304.384 4.056 20 0 DIADHN Cc1ccc(CN2CCC(c3nc4ccccc4s3)CC2)[nH]1 ZINC001209573231 1050069484 /nfs/dbraw/zinc/06/94/84/1050069484.db2.gz OXSKHHBDRZBCAB-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CCN(Cc1ccc(N2CCCC2)nc1)Cc1ccc(C)c(C)c1 ZINC001209627405 1050071551 /nfs/dbraw/zinc/07/15/51/1050071551.db2.gz RYQKUEGHSMDLEZ-UHFFFAOYSA-N 0 3 323.484 4.321 20 0 DIADHN COc1cc(CN(C(C)C)[C@@H](C)c2ccccc2)cnc1OC ZINC001209619338 1050071857 /nfs/dbraw/zinc/07/18/57/1050071857.db2.gz TVQIVLRCWRDEGH-HNNXBMFYSA-N 0 3 314.429 4.070 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC[C@@H](c2ccccc2)C1 ZINC001209637477 1050072692 /nfs/dbraw/zinc/07/26/92/1050072692.db2.gz YVDTYAZHNXOHCH-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1cc(Cl)ncc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC001209637470 1050072918 /nfs/dbraw/zinc/07/29/18/1050072918.db2.gz YLHXTHPQNSMPEX-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1cc(Cl)ncc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC001209635071 1050072955 /nfs/dbraw/zinc/07/29/55/1050072955.db2.gz CJLRYLXTXNKCSY-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN O[C@@H](CNCc1cccc(Cl)c1Cl)C1CCCCC1 ZINC000590074273 1050074052 /nfs/dbraw/zinc/07/40/52/1050074052.db2.gz VISIOXOSYROSPD-AWEZNQCLSA-N 0 3 302.245 4.024 20 0 DIADHN Fc1ccc2c(CN3CCC[C@@H](C(F)(F)F)C3)c[nH]c2c1 ZINC001209693034 1050077111 /nfs/dbraw/zinc/07/71/11/1050077111.db2.gz OWDVLIXQYSZFBO-LLVKDONJSA-N 0 3 300.299 4.081 20 0 DIADHN CN(CCOc1ccccc1)Cc1cc2cc(Cl)ccc2[nH]1 ZINC001209722968 1050079099 /nfs/dbraw/zinc/07/90/99/1050079099.db2.gz LCNXUIMPLVSQSV-UHFFFAOYSA-N 0 3 314.816 4.332 20 0 DIADHN Clc1ccc2n[nH]c(CN3CCC4(CCCC4)CC3)c2c1 ZINC001209753232 1050079690 /nfs/dbraw/zinc/07/96/90/1050079690.db2.gz QKZPUDMAYYXCTB-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN CSCc1cnc(CNC2(C)CCC(F)(F)CC2)s1 ZINC000590188514 1050081440 /nfs/dbraw/zinc/08/14/40/1050081440.db2.gz HJNMNPWLAVJABL-UHFFFAOYSA-N 0 3 306.447 4.064 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c[nH]nc1-c1ccccc1)CC2 ZINC001209763297 1050081466 /nfs/dbraw/zinc/08/14/66/1050081466.db2.gz YLLZMXRAOHQLHL-UHFFFAOYSA-N 0 3 313.420 4.181 20 0 DIADHN FC1CC2(C1)CCCN(Cc1[nH]nc3ccc(Cl)cc31)CC2 ZINC001209760193 1050082343 /nfs/dbraw/zinc/08/23/43/1050082343.db2.gz VMNJPXBCBDJPCJ-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccsc1)c1ccc(C(=O)OC)cc1 ZINC000590254407 1050083395 /nfs/dbraw/zinc/08/33/95/1050083395.db2.gz GNCPCVCVKMTGAD-BLLLJJGKSA-N 0 3 303.427 4.337 20 0 DIADHN COc1ccc(C)c(Nc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC001209840066 1050092806 /nfs/dbraw/zinc/09/28/06/1050092806.db2.gz DWOIMBSKCSDEPI-SFHVURJKSA-N 0 3 312.413 4.132 20 0 DIADHN C[C@H](NC[C@@H]1CSCCS1)c1cccc(Cl)c1Cl ZINC000224540306 1050102430 /nfs/dbraw/zinc/10/24/30/1050102430.db2.gz CEYXVNGAZOEYFB-VHSXEESVSA-N 0 3 322.326 4.493 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H]3C3CC3)cs2)c1 ZINC000590787889 1050107764 /nfs/dbraw/zinc/10/77/64/1050107764.db2.gz MJSYUOZBSZCYAZ-QGZVFWFLSA-N 0 3 314.454 4.193 20 0 DIADHN Cc1ncc(CN[C@@H](c2cccnc2)C2CCCCC2)s1 ZINC000590798505 1050107853 /nfs/dbraw/zinc/10/78/53/1050107853.db2.gz ZFPZCWHDNSGXCO-QGZVFWFLSA-N 0 3 301.459 4.258 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H]3C3CC3)cs2)cc1 ZINC000590820245 1050110096 /nfs/dbraw/zinc/11/00/96/1050110096.db2.gz PYPMHUOBVVHHEG-KRWDZBQOSA-N 0 3 314.454 4.193 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1cccc(O)c1 ZINC000573047989 1050117295 /nfs/dbraw/zinc/11/72/95/1050117295.db2.gz IOWIFTILQXAVGP-LCYFTJDESA-N 0 3 319.351 4.187 20 0 DIADHN C[C@H](NC(=O)CN1[C@H](C)CCC[C@H]1C)c1cccc2ccccc21 ZINC000591083466 1050122563 /nfs/dbraw/zinc/12/25/63/1050122563.db2.gz VKPCORCGQMQSHJ-ZACQAIPSSA-N 0 3 324.468 4.280 20 0 DIADHN Cn1cc(CNCc2ccc(Cl)cc2)c(-c2cccs2)n1 ZINC000093946092 1050124664 /nfs/dbraw/zinc/12/46/64/1050124664.db2.gz XJBNLDSIGIPJBC-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN CC[C@H](CC(=O)N[C@@H](CN1CCCC1)c1ccccc1)C(C)C ZINC000591123683 1050125291 /nfs/dbraw/zinc/12/52/91/1050125291.db2.gz JIKKCYLLEUZIDS-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(C)c3F)c2C1 ZINC001210038154 1050126969 /nfs/dbraw/zinc/12/69/69/1050126969.db2.gz WYOHYZVNFRZULH-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN Cc1cc2[nH]ncc2cc1Nc1cncc(N2CCCCC2)c1 ZINC001210102205 1050136765 /nfs/dbraw/zinc/13/67/65/1050136765.db2.gz MWDCCNXVVINDDD-UHFFFAOYSA-N 0 3 307.401 4.000 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000591378319 1050143642 /nfs/dbraw/zinc/14/36/42/1050143642.db2.gz LXEGFGPNBHMDAB-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)C[C@@H](NCc1cc(C2CC2)nn1C)c1cccs1 ZINC000823691562 1050147329 /nfs/dbraw/zinc/14/73/29/1050147329.db2.gz QKGCFMHNQLBACX-MRXNPFEDSA-N 0 3 303.475 4.236 20 0 DIADHN CCCCC[C@H](NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)C(C)(C)C ZINC001136774308 1050152780 /nfs/dbraw/zinc/15/27/80/1050152780.db2.gz WYMWBXNXNCMCJO-NCOADZHNSA-N 0 3 310.526 4.359 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1csc2ccccc12 ZINC000823789884 1050157606 /nfs/dbraw/zinc/15/76/06/1050157606.db2.gz RTROGCSFBFGGBP-LBPRGKRZSA-N 0 3 311.454 4.363 20 0 DIADHN CC1(C)CN(C[C@H](O)c2ccc(F)cc2)[C@H]1c1cccs1 ZINC000805840796 1050158358 /nfs/dbraw/zinc/15/83/58/1050158358.db2.gz VYRXPRCAAGKZFQ-HOCLYGCPSA-N 0 3 305.418 4.004 20 0 DIADHN CC1(C)CN(C[C@@H](O)c2ccc(F)cc2)[C@@H]1c1cccs1 ZINC000805840794 1050158544 /nfs/dbraw/zinc/15/85/44/1050158544.db2.gz VYRXPRCAAGKZFQ-GDBMZVCRSA-N 0 3 305.418 4.004 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN([C@@H](C)[C@H](C)CC)C1CC1 ZINC000591475680 1050158617 /nfs/dbraw/zinc/15/86/17/1050158617.db2.gz VQYVUBVUGCBPEX-ZBFHGGJFSA-N 0 3 316.489 4.395 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2cccc(C)c2)no1)C1CC1 ZINC000591476172 1050159540 /nfs/dbraw/zinc/15/95/40/1050159540.db2.gz HQYIDAQUCXFCDZ-GJZGRUSLSA-N 0 3 313.445 4.444 20 0 DIADHN CCC[C@@H](NCc1nc(-c2ccc(F)c(F)c2)no1)C1CCC1 ZINC001171037212 1050169329 /nfs/dbraw/zinc/16/93/29/1050169329.db2.gz XAGPGHAXUUVIBR-OAHLLOKOSA-N 0 3 321.371 4.073 20 0 DIADHN Clc1cccc2c1CC[C@H](N1CCc3sccc3C1)C2 ZINC001171037529 1050171670 /nfs/dbraw/zinc/17/16/70/1050171670.db2.gz MMMYLZDEZQUFMR-AWEZNQCLSA-N 0 3 303.858 4.317 20 0 DIADHN OCCCN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000348051726 1050172158 /nfs/dbraw/zinc/17/21/58/1050172158.db2.gz MMQLIAWYDQBUKQ-UHFFFAOYSA-N 0 3 305.368 4.009 20 0 DIADHN Cc1ncc(CCNCc2cc(Cl)sc2Cl)s1 ZINC000824027340 1050176336 /nfs/dbraw/zinc/17/63/36/1050176336.db2.gz JXHQUHMCOKCSQW-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN CC1(C)CN(Cc2cc3ccccc3s2)[C@@H]1[C@@H]1CCCO1 ZINC000573409497 1050176350 /nfs/dbraw/zinc/17/63/50/1050176350.db2.gz VJEGIHSREUETEA-DOTOQJQBSA-N 0 3 301.455 4.291 20 0 DIADHN CC[C@H](CSc1ccccc1)NCc1cc(F)ncc1F ZINC000824023379 1050179046 /nfs/dbraw/zinc/17/90/46/1050179046.db2.gz RUOGYRWUGDMDPF-CYBMUJFWSA-N 0 3 308.397 4.020 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](CCO)c2ccccc2)cc1F ZINC000348089538 1050179123 /nfs/dbraw/zinc/17/91/23/1050179123.db2.gz VKDAJFGQQMNPGE-GUYCJALGSA-N 0 3 319.445 4.322 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cc(F)ncc1F ZINC000824023380 1050179752 /nfs/dbraw/zinc/17/97/52/1050179752.db2.gz RUOGYRWUGDMDPF-ZDUSSCGKSA-N 0 3 308.397 4.020 20 0 DIADHN CCn1c(CNCCc2ccc(Cl)cc2)nc2ccccc21 ZINC000378869923 1050190587 /nfs/dbraw/zinc/19/05/87/1050190587.db2.gz LDVXARMBMKVMAA-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN CCCC(=CC(=O)N(CC(C)C)C1CCN(C)CC1)CCC ZINC000770753789 1050191886 /nfs/dbraw/zinc/19/18/86/1050191886.db2.gz MAUBHDVNXRTYPG-UHFFFAOYSA-N 0 3 308.510 4.092 20 0 DIADHN CCOc1ccccc1CCNCc1c(F)cccc1Cl ZINC000591719684 1050193037 /nfs/dbraw/zinc/19/30/37/1050193037.db2.gz FHUKGMABSBWFPF-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN CC[C@H](NCc1ccc2ncccc2c1)c1ccccc1OC ZINC000378916944 1050194106 /nfs/dbraw/zinc/19/41/06/1050194106.db2.gz WOUUTHQXHWXWJZ-SFHVURJKSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@@H](CNCc1occc1Br)CC(F)(F)F ZINC000402634249 1050203804 /nfs/dbraw/zinc/20/38/04/1050203804.db2.gz ZBXOOCAEOHKKON-MRVPVSSYSA-N 0 3 314.145 4.110 20 0 DIADHN c1nn2ccccc2c1CN[C@H](c1cccnc1)C1CCCCC1 ZINC000591698243 1050196868 /nfs/dbraw/zinc/19/68/68/1050196868.db2.gz KDEAMIYDGGIRQF-FQEVSTJZSA-N 0 3 320.440 4.141 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2ccnc(Cl)c2F)C1 ZINC000824333506 1050199845 /nfs/dbraw/zinc/19/98/45/1050199845.db2.gz XVTPCGPGEHISFO-HDJSIYSDSA-N 0 3 304.796 4.218 20 0 DIADHN C[C@@H]([C@@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cnc[nH]1 ZINC001136873467 1050207882 /nfs/dbraw/zinc/20/78/82/1050207882.db2.gz RAGUGOGDJWQQQP-OXJNMPFZSA-N 0 3 321.424 4.050 20 0 DIADHN C[C@@H]([C@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cnc[nH]1 ZINC001136873465 1050208615 /nfs/dbraw/zinc/20/86/15/1050208615.db2.gz RAGUGOGDJWQQQP-JXFKEZNVSA-N 0 3 321.424 4.050 20 0 DIADHN CC(C)(C)[C@H]1CC[C@H]1NCc1nccn1CCc1ccccc1 ZINC000573588488 1050219456 /nfs/dbraw/zinc/21/94/56/1050219456.db2.gz TYSISYGVZLCVFO-ZWKOTPCHSA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H](CNCc1cc(F)cnc1Cl)c1cc(F)cc(F)c1 ZINC000824544567 1050224898 /nfs/dbraw/zinc/22/48/98/1050224898.db2.gz ZBIMFNZQAUUIHI-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN C[C@H](CNCc1cc(F)cnc1Cl)c1cc(F)cc(F)c1 ZINC000824544566 1050225905 /nfs/dbraw/zinc/22/59/05/1050225905.db2.gz ZBIMFNZQAUUIHI-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1cccnc1Cl ZINC000734150348 1050229966 /nfs/dbraw/zinc/22/99/66/1050229966.db2.gz YKWKQSCBVAEYMF-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCCO[C@@H](c2ccccc2)C1 ZINC000591814220 1050229878 /nfs/dbraw/zinc/22/98/78/1050229878.db2.gz MTMMTSZOIXTPJD-MISYRCLQSA-N 0 3 317.473 4.045 20 0 DIADHN COc1c(C)cc(CNCc2ccnc(C(F)(F)F)c2)cc1C ZINC000824660997 1050232594 /nfs/dbraw/zinc/23/25/94/1050232594.db2.gz NFFCZNGWWMUXKR-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN CCCCCCOc1ccc(CNC2(CF)CCOCC2)cc1 ZINC000824691124 1050234800 /nfs/dbraw/zinc/23/48/00/1050234800.db2.gz UGMKITDWXZKCST-UHFFFAOYSA-N 0 3 323.452 4.254 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@]2(C)CC2(Cl)Cl)s1 ZINC000824765853 1050240165 /nfs/dbraw/zinc/24/01/65/1050240165.db2.gz PTNYLNSKWQSBLY-GFCCVEGCSA-N 0 3 307.290 4.114 20 0 DIADHN COc1cccc2cc(CNC[C@]3(C)CC3(Cl)Cl)oc21 ZINC000824766151 1050240299 /nfs/dbraw/zinc/24/02/99/1050240299.db2.gz SCJQTUUGOVAVGY-AWEZNQCLSA-N 0 3 314.212 4.115 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CCOCC1)c1ccc(F)cn1 ZINC000771392550 1050247210 /nfs/dbraw/zinc/24/72/10/1050247210.db2.gz XCBJIRUJWBBQMP-IFXJQAMLSA-N 0 3 314.404 4.039 20 0 DIADHN COC(=O)c1ccoc1CN1CC[C@H](CC(C)(C)C)C1(C)C ZINC001171070581 1050253221 /nfs/dbraw/zinc/25/32/21/1050253221.db2.gz YYDSYXFCQQMORI-CYBMUJFWSA-N 0 3 307.434 4.103 20 0 DIADHN Cc1cccn2c(CN3CCCC[C@H]3c3ccccc3)cnc12 ZINC001136958632 1050255303 /nfs/dbraw/zinc/25/53/03/1050255303.db2.gz XWQRJMCIUWOAHP-IBGZPJMESA-N 0 3 305.425 4.370 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc3c(C)cccn23)c1 ZINC001136958363 1050255525 /nfs/dbraw/zinc/25/55/25/1050255525.db2.gz OTWWQIGWCRKEIR-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@H]3C[C@@H](O)c3ccco3)[nH]c2c1 ZINC000824928321 1050257595 /nfs/dbraw/zinc/25/75/95/1050257595.db2.gz WBGCRYHTQDLKCH-PKOBYXMFSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1ccc2c(CN3CC[C@H]3COCc3ccccc3)c[nH]c2c1 ZINC001136972973 1050265635 /nfs/dbraw/zinc/26/56/35/1050265635.db2.gz HQAUKBJSPDHUCH-IBGZPJMESA-N 0 3 320.436 4.267 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)co1 ZINC000825061100 1050270860 /nfs/dbraw/zinc/27/08/60/1050270860.db2.gz ACTQHNFSNAYECV-DZGCQCFKSA-N 0 3 304.821 4.316 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1c[nH]c2cccc(F)c12 ZINC001136985827 1050274480 /nfs/dbraw/zinc/27/44/80/1050274480.db2.gz XBDAXTNTPFIGDO-UHFFFAOYSA-N 0 3 310.372 4.012 20 0 DIADHN CCCc1ccc(CNCc2ccc(N3CCCC3=O)cc2)cc1 ZINC000760940150 1050276148 /nfs/dbraw/zinc/27/61/48/1050276148.db2.gz QCDRAZHJOVEGPI-UHFFFAOYSA-N 0 3 322.452 4.056 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3cccc(F)c13)CCC2 ZINC001136987464 1050276146 /nfs/dbraw/zinc/27/61/46/1050276146.db2.gz YRJDUUZOLMTTBX-UHFFFAOYSA-N 0 3 324.399 4.264 20 0 DIADHN Clc1cncc(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001136989623 1050276466 /nfs/dbraw/zinc/27/64/66/1050276466.db2.gz MBJIWRXPBUGSLS-UHFFFAOYSA-N 0 3 310.828 4.296 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Cl)cc(Cl)c2C1)c1ccno1 ZINC001171074950 1050281942 /nfs/dbraw/zinc/28/19/42/1050281942.db2.gz WEJOCKKDLSCCDR-SKDRFNHKSA-N 0 3 311.212 4.190 20 0 DIADHN C[C@@H]1CCC(C)(C)N1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001171077348 1050286750 /nfs/dbraw/zinc/28/67/50/1050286750.db2.gz DRLALAJFJUPIEY-SNVBAGLBSA-N 0 3 315.244 4.195 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)OCc2ccc(Cl)cc2)o1 ZINC000825309960 1050296658 /nfs/dbraw/zinc/29/66/58/1050296658.db2.gz WBKKXWYLFLYUCX-UHFFFAOYSA-N 0 3 321.804 4.132 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3ccc4c(c3)COC4)C2)cc1 ZINC001137027187 1050297463 /nfs/dbraw/zinc/29/74/63/1050297463.db2.gz ISTKRTJFMBQQTC-OAQYLSRUSA-N 0 3 323.436 4.069 20 0 DIADHN CCN(Cc1cccc(F)c1OC(F)F)C1CC(C)(C)C1 ZINC001171086428 1050297876 /nfs/dbraw/zinc/29/78/76/1050297876.db2.gz FUYBXVQCVFVMBB-UHFFFAOYSA-N 0 3 301.352 4.438 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc4c(c3)COC4)CC2)c1 ZINC001137028654 1050300146 /nfs/dbraw/zinc/30/01/46/1050300146.db2.gz YGQIAFKDYLJKIN-UHFFFAOYSA-N 0 3 323.436 4.069 20 0 DIADHN C[C@H](NC1CCC=CCC1)c1nc(Br)cs1 ZINC000886815249 1050308751 /nfs/dbraw/zinc/30/87/51/1050308751.db2.gz AFUWBNOMTRZLFS-VIFPVBQESA-N 0 3 301.253 4.055 20 0 DIADHN CN(C)C(=O)Nc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001210630560 1050320798 /nfs/dbraw/zinc/32/07/98/1050320798.db2.gz JMQDVVXAQYBREQ-UHFFFAOYSA-N 0 3 312.417 4.216 20 0 DIADHN CC(C)(C)C1CCN(Cc2cnc(-c3ccccc3)nc2)CC1 ZINC000734836082 1050325014 /nfs/dbraw/zinc/32/50/14/1050325014.db2.gz YTZQORFFASKCCQ-UHFFFAOYSA-N 0 3 309.457 4.402 20 0 DIADHN CCc1nn(C)c(Cl)c1CNCCc1ccc(C(C)C)cc1 ZINC000734842719 1050325285 /nfs/dbraw/zinc/32/52/85/1050325285.db2.gz WNGVIWZCIPFNHL-UHFFFAOYSA-N 0 3 319.880 4.092 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CCC[C@]3(CCOC3)C2)c1 ZINC001143672888 1050334159 /nfs/dbraw/zinc/33/41/59/1050334159.db2.gz UTTFXMBBZPQTLN-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@H]3OCCC[C@@H]3C2)c1 ZINC001143671064 1050334341 /nfs/dbraw/zinc/33/43/41/1050334341.db2.gz WGYDDYPAIGYBEM-CZUORRHYSA-N 0 3 313.363 4.015 20 0 DIADHN CCCCCN(C)Cc1ccnc(NC(=O)OC(C)(C)C)c1 ZINC001143683467 1050335965 /nfs/dbraw/zinc/33/59/65/1050335965.db2.gz INLMSWSMHXWKCY-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C)ccc2C(F)(F)F)[C@H](C)C1 ZINC001143683504 1050336251 /nfs/dbraw/zinc/33/62/51/1050336251.db2.gz JWOAGHKRUAYZPQ-OCCSQVGLSA-N 0 3 301.352 4.013 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@]3(CCSC3)C2)c1 ZINC001171097605 1050338233 /nfs/dbraw/zinc/33/82/33/1050338233.db2.gz FHXUMKBUQVVAMC-HNNXBMFYSA-N 0 3 315.404 4.425 20 0 DIADHN Cc1nn(C)c(Cl)c1CNCCCc1ccc(C(C)C)cc1 ZINC000735085474 1050343625 /nfs/dbraw/zinc/34/36/25/1050343625.db2.gz CCBOMJXWPNYAEL-UHFFFAOYSA-N 0 3 319.880 4.228 20 0 DIADHN CCc1ccc([C@H]2CCCN([C@H](CC(C)C)C(=O)OC)C2)cc1 ZINC001170040939 1050348339 /nfs/dbraw/zinc/34/83/39/1050348339.db2.gz ZQSSGXXHANMETL-RBUKOAKNSA-N 0 3 317.473 4.016 20 0 DIADHN CCN(Cc1cc(C(=O)OC)ccc1Cl)C1CC(C)(C)C1 ZINC001171099449 1050350913 /nfs/dbraw/zinc/35/09/13/1050350913.db2.gz VGAXXHZWBAPJNW-UHFFFAOYSA-N 0 3 309.837 4.137 20 0 DIADHN Cc1cccc(OC[C@@H](C)N[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480252918 1050351381 /nfs/dbraw/zinc/35/13/81/1050351381.db2.gz KXDGEISPTJFPTA-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc(F)cc(F)c2C)cc1 ZINC001143767802 1050353034 /nfs/dbraw/zinc/35/30/34/1050353034.db2.gz NCORUWUDTSWSGE-ZDUSSCGKSA-N 0 3 305.368 4.475 20 0 DIADHN FC(F)Oc1cccc(CN2CCCc3sccc3C2)c1 ZINC000592982460 1050358316 /nfs/dbraw/zinc/35/83/16/1050358316.db2.gz MYGYWMUEEIYTDE-UHFFFAOYSA-N 0 3 309.381 4.298 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)(F)F)cc2F)C2(CC2)C1 ZINC001143793574 1050360627 /nfs/dbraw/zinc/36/06/27/1050360627.db2.gz JCEYVMXEKRTATI-JTQLQIEISA-N 0 3 303.299 4.099 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(C(F)(F)F)c(Cl)c2)CC1 ZINC001143810247 1050371122 /nfs/dbraw/zinc/37/11/22/1050371122.db2.gz OAQRRRBSZAERIQ-LBPRGKRZSA-N 0 3 321.770 4.360 20 0 DIADHN CC[C@@H]1CCCN(C[C@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000735311281 1050374129 /nfs/dbraw/zinc/37/41/29/1050374129.db2.gz MWMLKILBGZXNPF-CJNGLKHVSA-N 0 3 315.379 4.251 20 0 DIADHN CC(C)c1ccccc1CN[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000783317513 1050376416 /nfs/dbraw/zinc/37/64/16/1050376416.db2.gz VVERTQFPHYSNFS-NYHFZMIOSA-N 0 3 315.482 4.398 20 0 DIADHN O=C1CCN([C@@H]2CCc3cc(Cl)ccc3C2)[C@@H]2CCCC[C@@H]12 ZINC001171115901 1050394029 /nfs/dbraw/zinc/39/40/29/1050394029.db2.gz IRANMMPTKOGOMJ-KZNAEPCWSA-N 0 3 317.860 4.031 20 0 DIADHN CO[C@H]1CCN(Cc2cccc(C(F)(F)F)c2C)[C@H](C)C1 ZINC001143929418 1050401614 /nfs/dbraw/zinc/40/16/14/1050401614.db2.gz KFVGYDQMNGAZCP-RISCZKNCSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1c(CN2CC[C@@H]3OCCC[C@H]3C2)cccc1C(F)(F)F ZINC001143917925 1050405600 /nfs/dbraw/zinc/40/56/00/1050405600.db2.gz QYYPKYPFPNZOHJ-HOCLYGCPSA-N 0 3 313.363 4.015 20 0 DIADHN C/C=C(\C)C(=O)Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001143943897 1050412674 /nfs/dbraw/zinc/41/26/74/1050412674.db2.gz QQDFMOSLNHNICD-FTTDQBRBSA-N 0 3 320.436 4.169 20 0 DIADHN OCC[C@H](NCc1ccc(C2CCC2)cc1)c1cccs1 ZINC000785794710 1050415117 /nfs/dbraw/zinc/41/51/17/1050415117.db2.gz QUALVOQPVFDFLW-KRWDZBQOSA-N 0 3 301.455 4.229 20 0 DIADHN CCCC[C@H](CC)CC(=O)N(CCN(C)C)C1CCCCC1 ZINC001143963745 1050418946 /nfs/dbraw/zinc/41/89/46/1050418946.db2.gz ACNKVCUOZXSBCU-KRWDZBQOSA-N 0 3 310.526 4.316 20 0 DIADHN CN(C)CCN(C(=O)/C=C\C1CCCCCC1)C1CCCCC1 ZINC001143963748 1050419763 /nfs/dbraw/zinc/41/97/63/1050419763.db2.gz AEBJMZUVIXOJIA-PFONDFGASA-N 0 3 320.521 4.236 20 0 DIADHN FC(F)(F)Oc1ccc(CN2CCC[C@]3(CC3(F)F)C2)cc1 ZINC000844439046 1050423828 /nfs/dbraw/zinc/42/38/28/1050423828.db2.gz ZZWKGRFHTHFZSY-ZDUSSCGKSA-N 0 3 321.289 4.206 20 0 DIADHN CC(C)O[C@@H](CN1CCC[C@@]2(CC2(F)F)C1)c1ccccc1 ZINC000844440135 1050424604 /nfs/dbraw/zinc/42/46/04/1050424604.db2.gz BVVCRYOJYVCUKW-DLBZAZTESA-N 0 3 309.400 4.274 20 0 DIADHN FC(F)(F)c1ccc(C2(NC[C@H]3CC3(Cl)Cl)CC2)cc1 ZINC000844461185 1050433255 /nfs/dbraw/zinc/43/32/55/1050433255.db2.gz CLIKELZFAMPBCT-LLVKDONJSA-N 0 3 324.173 4.478 20 0 DIADHN FC1(F)C[C@]12CCCN(Cc1cnc(-c3ccccc3)s1)C2 ZINC000844440937 1050427154 /nfs/dbraw/zinc/42/71/54/1050427154.db2.gz XADJNAVRJXAFCO-INIZCTEOSA-N 0 3 320.408 4.431 20 0 DIADHN CS[C@@H]1CCCC[C@H]1NCc1coc(-c2ccccc2)n1 ZINC000593654388 1050431590 /nfs/dbraw/zinc/43/15/90/1050431590.db2.gz WUEHUIOAPGHSMZ-HZPDHXFCSA-N 0 3 302.443 4.105 20 0 DIADHN FC1(F)CC(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)C1 ZINC000844472231 1050435024 /nfs/dbraw/zinc/43/50/24/1050435024.db2.gz LWGBNNLXVJLIRV-ULQDDVLXSA-N 0 3 307.384 4.026 20 0 DIADHN FC(F)Sc1ccc(CN2CC[C@@]3(CC3(F)F)C2)cc1 ZINC000844470548 1050435390 /nfs/dbraw/zinc/43/53/90/1050435390.db2.gz KIWIJNDPMQTHCN-CYBMUJFWSA-N 0 3 305.340 4.232 20 0 DIADHN Cc1cc(Nc2ccnc(C3CCC3)c2)ccc1N1CCOCC1 ZINC001210973202 1050438283 /nfs/dbraw/zinc/43/82/83/1050438283.db2.gz OREBCHQEGJFDKP-UHFFFAOYSA-N 0 3 323.440 4.238 20 0 DIADHN Cc1ccc([C@H](C)N(C)C[C@H]2CN(c3ccccc3)C(=O)O2)cc1 ZINC000735919588 1050438781 /nfs/dbraw/zinc/43/87/81/1050438781.db2.gz HMXMFMCWDATDGY-LPHOPBHVSA-N 0 3 324.424 4.013 20 0 DIADHN c1ccc(-c2nnc(SCCCN3CCCCC3)s2)cc1 ZINC000786049251 1050448169 /nfs/dbraw/zinc/44/81/69/1050448169.db2.gz JMYRLGLPOPNEQC-UHFFFAOYSA-N 0 3 319.499 4.173 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1ccc(F)cc1F)C1CCCC1 ZINC001171133406 1050453175 /nfs/dbraw/zinc/45/31/75/1050453175.db2.gz KLQGIPMFIUVZAT-ROUUACIJSA-N 0 3 309.400 4.307 20 0 DIADHN CCCCN(C)Cc1cnc(-c2ccc(OC)c(OC)c2)s1 ZINC001144057267 1050455937 /nfs/dbraw/zinc/45/59/37/1050455937.db2.gz BNXMTZWVPZIIAJ-UHFFFAOYSA-N 0 3 320.458 4.059 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1C[C@@H](c2ccc(C)cc2)C[C@@H]1C ZINC000786126170 1050457866 /nfs/dbraw/zinc/45/78/66/1050457866.db2.gz PZJYIUXQOCSHOW-BBRMVZONSA-N 0 3 317.864 4.068 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CC[C@@H]4CCO[C@H]4C3)c2)cc1 ZINC001144089112 1050459394 /nfs/dbraw/zinc/45/93/94/1050459394.db2.gz OWVAJOYIQQRKMB-NQIIRXRSSA-N 0 3 323.436 4.398 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2cccc(O)c2C)CC1 ZINC001144108221 1050466907 /nfs/dbraw/zinc/46/69/07/1050466907.db2.gz UGUOVPKOXGIBOS-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN CCc1ccc(CCNCc2c(Cl)n(C)nc2C(C)C)cc1 ZINC000736358120 1050469077 /nfs/dbraw/zinc/46/90/77/1050469077.db2.gz SEUKLLDRKRIMIV-UHFFFAOYSA-N 0 3 319.880 4.092 20 0 DIADHN Cc1c(O)cccc1CN(Cc1ccccn1)C1CCCCC1 ZINC001144118056 1050470515 /nfs/dbraw/zinc/47/05/15/1050470515.db2.gz NMINQLVYUZAOKI-UHFFFAOYSA-N 0 3 310.441 4.431 20 0 DIADHN Cc1c(O)cccc1CN1CCC(Sc2ccccc2)CC1 ZINC001144121188 1050472139 /nfs/dbraw/zinc/47/21/39/1050472139.db2.gz GFSKMBCZWJGNEH-UHFFFAOYSA-N 0 3 313.466 4.457 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2occc2Br)C1 ZINC000749110527 1050472447 /nfs/dbraw/zinc/47/24/47/1050472447.db2.gz KMRIJVREFFKWRC-GHMZBOCLSA-N 0 3 318.280 4.196 20 0 DIADHN CCc1cc(CN2CC[C@H](F)C(F)(F)CC2)cc(CC)c1O ZINC001144137659 1050474585 /nfs/dbraw/zinc/47/45/85/1050474585.db2.gz KSKVPROFURMDCY-HNNXBMFYSA-N 0 3 315.379 4.086 20 0 DIADHN CCc1cc(CN(C)Cc2ccc(OC)cc2)cc(CC)c1O ZINC001144139614 1050477658 /nfs/dbraw/zinc/47/76/58/1050477658.db2.gz JBVHTOODXSEPDQ-UHFFFAOYSA-N 0 3 313.441 4.158 20 0 DIADHN COc1cc(C)ccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001211117902 1050482148 /nfs/dbraw/zinc/48/21/48/1050482148.db2.gz GABUXRSJRYIQBZ-QGZVFWFLSA-N 0 3 312.413 4.132 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)nc1 ZINC000736629385 1050485657 /nfs/dbraw/zinc/48/56/57/1050485657.db2.gz PRFAOZIDLWYNBT-SWLSCSKDSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2n[nH]c3ccc(F)cc32)c1 ZINC001144191329 1050491133 /nfs/dbraw/zinc/49/11/33/1050491133.db2.gz JECPJNXWPYWHFF-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC[C@H](Cc4ccccc4)C3)c2c1 ZINC001144197233 1050491403 /nfs/dbraw/zinc/49/14/03/1050491403.db2.gz QRZTVCZDGAHKGP-MRXNPFEDSA-N 0 3 323.415 4.157 20 0 DIADHN Fc1ccc2[nH]nc(CN3CCC[C@H](Cc4ccccc4)C3)c2c1 ZINC001144197233 1050491412 /nfs/dbraw/zinc/49/14/12/1050491412.db2.gz QRZTVCZDGAHKGP-MRXNPFEDSA-N 0 3 323.415 4.157 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1ccc2cc[nH]c2n1 ZINC001144187752 1050493857 /nfs/dbraw/zinc/49/38/57/1050493857.db2.gz MXDOHEVRRZMGFQ-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN c1cc2ccc(CN3CCC(Sc4ccccc4)CC3)nc2[nH]1 ZINC001144187184 1050494459 /nfs/dbraw/zinc/49/44/59/1050494459.db2.gz CJMGNYPCFICXCZ-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN Cc1cccc(CN2CCC[C@@H]2COc2ccc(Cl)cc2)n1 ZINC000736945572 1050498318 /nfs/dbraw/zinc/49/83/18/1050498318.db2.gz GWSLQYIWRIMGCE-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1cccc(CN2CCC[C@H]2COc2ccc(Cl)cc2)n1 ZINC000736945571 1050498369 /nfs/dbraw/zinc/49/83/69/1050498369.db2.gz GWSLQYIWRIMGCE-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N(C)C[C@H]1CC1(Cl)Cl ZINC000736946578 1050498490 /nfs/dbraw/zinc/49/84/90/1050498490.db2.gz QPRLJUUVSPHTGV-CMPLNLGQSA-N 0 3 306.664 4.397 20 0 DIADHN COc1cc(CN2C[C@@H]3CCCC[C@H]3C2)ccc1Br ZINC001144223937 1050506178 /nfs/dbraw/zinc/50/61/78/1050506178.db2.gz CBMDWXYCTSPTRV-KBPBESRZSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2)ccc1Br ZINC001144226583 1050507732 /nfs/dbraw/zinc/50/77/32/1050507732.db2.gz SDOHOPDNWUHGOZ-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN c1ccn(-c2ccc(CN3CCC[C@H]3c3ccccn3)cc2)c1 ZINC001144246927 1050516287 /nfs/dbraw/zinc/51/62/87/1050516287.db2.gz LCTLYCOXCMIKLA-FQEVSTJZSA-N 0 3 303.409 4.209 20 0 DIADHN COC[C@@H](N[C@H](C)COc1ccccc1)c1ccc(Cl)cc1 ZINC000786815450 1050521978 /nfs/dbraw/zinc/52/19/78/1050521978.db2.gz RQONIKSCMWGRRS-RDTXWAMCSA-N 0 3 319.832 4.085 20 0 DIADHN CCS[C@@H]1CCCCN(Cn2cc(-c3ccccc3)cn2)C1 ZINC000738219059 1050524946 /nfs/dbraw/zinc/52/49/46/1050524946.db2.gz HYVMLBMWSNNYLV-GOSISDBHSA-N 0 3 315.486 4.115 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(CN2C(=O)C[C@@H]2c2ccccc2)CC1 ZINC000738239071 1050527930 /nfs/dbraw/zinc/52/79/30/1050527930.db2.gz IGHNXLJHHSEXQR-QZTJIDSGSA-N 0 3 314.473 4.066 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cn2nccc2-c2ccncc2)CC1 ZINC000738243494 1050529601 /nfs/dbraw/zinc/52/96/01/1050529601.db2.gz PFBMERGIYWRRHO-KRWDZBQOSA-N 0 3 312.461 4.051 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2cccnc2Cl)C1 ZINC000738313030 1050530054 /nfs/dbraw/zinc/53/00/54/1050530054.db2.gz UGIJTXWFPXWISU-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@H](NC[C@@H]1COC2(CCCCC2)O1)c1csc(Cl)c1 ZINC000786923772 1050532375 /nfs/dbraw/zinc/53/23/75/1050532375.db2.gz VWFFEWVJFKDATH-WCQYABFASA-N 0 3 315.866 4.128 20 0 DIADHN C[C@@H](NC[C@@H]1COC2(CCCCC2)O1)c1csc(Cl)c1 ZINC000786923770 1050532845 /nfs/dbraw/zinc/53/28/45/1050532845.db2.gz VWFFEWVJFKDATH-DGCLKSJQSA-N 0 3 315.866 4.128 20 0 DIADHN Cc1cscc1CN[C@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000786929485 1050532970 /nfs/dbraw/zinc/53/29/70/1050532970.db2.gz YGIBXYOPKVHMCS-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN Cn1ncc(C(F)(F)F)c1CN[C@@H](c1ccccc1)C1CCC1 ZINC000641781816 1050537824 /nfs/dbraw/zinc/53/78/24/1050537824.db2.gz BOWFJVDEOZJEIN-INIZCTEOSA-N 0 3 323.362 4.070 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2cc(F)cc(Cl)c2)c(C)o1 ZINC000787036266 1050542597 /nfs/dbraw/zinc/54/25/97/1050542597.db2.gz PGXKEAJVDNOSFV-MGPLVRAMSA-N 0 3 311.784 4.073 20 0 DIADHN CC(C)CCC[C@@H](C)NCc1cn(Cc2ccccc2F)cn1 ZINC001170070070 1050560714 /nfs/dbraw/zinc/56/07/14/1050560714.db2.gz BTMUMFUDYHZZKG-MRXNPFEDSA-N 0 3 317.452 4.375 20 0 DIADHN Cc1nc(F)ccc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000787174145 1050566913 /nfs/dbraw/zinc/56/69/13/1050566913.db2.gz DMGVRLAOFSSHLM-HNNXBMFYSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1nc2ccc(Nc3ccccc3C(=O)NC(C)(C)C)cc2[nH]1 ZINC001213074507 1050576979 /nfs/dbraw/zinc/57/69/79/1050576979.db2.gz YDEDJIMIPRBAHC-UHFFFAOYSA-N 0 3 322.412 4.143 20 0 DIADHN COC(=O)c1cc(Cl)cc(Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213075097 1050578536 /nfs/dbraw/zinc/57/85/36/1050578536.db2.gz CXOMITQXOJWBEL-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN COC(=O)c1ccc(Nc2ccc3nc(C)[nH]c3c2)c(Cl)c1 ZINC001213076497 1050579959 /nfs/dbraw/zinc/57/99/59/1050579959.db2.gz ULXYKYQCCOWISA-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@@H](O)c1ccccc1 ZINC000059375229 1050579973 /nfs/dbraw/zinc/57/99/73/1050579973.db2.gz SMIAVUXXKPMPMK-MRXNPFEDSA-N 0 3 310.224 4.159 20 0 DIADHN CSCC1(CCN[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000595067485 1050596816 /nfs/dbraw/zinc/59/68/16/1050596816.db2.gz IYVPUZJRMYPRAV-VIFPVBQESA-N 0 3 324.437 4.346 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@H](O)c1ccccc1 ZINC000059375228 1050581719 /nfs/dbraw/zinc/58/17/19/1050581719.db2.gz SMIAVUXXKPMPMK-INIZCTEOSA-N 0 3 310.224 4.159 20 0 DIADHN CSCC1(CCNCc2csc(-c3cccs3)n2)CC1 ZINC000594964382 1050583902 /nfs/dbraw/zinc/58/39/02/1050583902.db2.gz ZOUUWZSKKBWSIB-UHFFFAOYSA-N 0 3 324.540 4.495 20 0 DIADHN Fc1ccc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)nc1 ZINC000787307633 1050589329 /nfs/dbraw/zinc/58/93/29/1050589329.db2.gz KMEBGCREAQFRHL-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccc(Cl)nc1 ZINC000746678499 1050591341 /nfs/dbraw/zinc/59/13/41/1050591341.db2.gz YORXTFXRRKXHIN-RHSMWYFYSA-N 0 3 300.833 4.188 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC4(CCCC4)C3)nn2)cc1 ZINC000766250610 1050606918 /nfs/dbraw/zinc/60/69/18/1050606918.db2.gz RCDJWBYZUVLVQR-UHFFFAOYSA-N 0 3 309.413 4.035 20 0 DIADHN FC(F)(F)c1ncccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001144521058 1050607089 /nfs/dbraw/zinc/60/70/89/1050607089.db2.gz MVFIUGBZFMYENV-UHFFFAOYSA-N 0 3 320.305 4.112 20 0 DIADHN COc1ccc(Nc2ccnc(C(C)(C)C)c2)c(OC)c1F ZINC001213168405 1050607511 /nfs/dbraw/zinc/60/75/11/1050607511.db2.gz GZOWWLXRNNYQTC-UHFFFAOYSA-N 0 3 304.365 4.279 20 0 DIADHN CCSC1(CN[C@@H](C)c2nc3ccc(Cl)cc3n2C)CC1 ZINC000595102063 1050607516 /nfs/dbraw/zinc/60/75/16/1050607516.db2.gz ZEBFKCKICJNALR-NSHDSACASA-N 0 3 323.893 4.163 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cccnc1C(F)(F)F)CC2 ZINC001144538829 1050610546 /nfs/dbraw/zinc/61/05/46/1050610546.db2.gz RSXIBCGANXDZJP-CYBMUJFWSA-N 0 3 316.342 4.205 20 0 DIADHN FC(F)Oc1ccc(CCNCc2ccsc2Cl)cc1 ZINC000575041888 1050626588 /nfs/dbraw/zinc/62/65/88/1050626588.db2.gz CGWLWSUYMWDUDE-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](CC2CC2)c2ccccc2)cn1 ZINC000575041980 1050627080 /nfs/dbraw/zinc/62/70/80/1050627080.db2.gz XXLMCIYBCBULHI-LJQANCHMSA-N 0 3 310.441 4.500 20 0 DIADHN c1ccc(C[C@@H]2CCCCN2Cc2noc3c2CCCC3)cc1 ZINC000595557999 1050642937 /nfs/dbraw/zinc/64/29/37/1050642937.db2.gz OHASOOFZYWOHPV-KRWDZBQOSA-N 0 3 310.441 4.151 20 0 DIADHN Clc1ccc(-c2nc(CNC[C@H]3CC34CC4)cs2)cc1 ZINC000669572653 1050643348 /nfs/dbraw/zinc/64/33/48/1050643348.db2.gz KOGPIHBTPSVXEL-GFCCVEGCSA-N 0 3 304.846 4.353 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)c1 ZINC000575214069 1050646932 /nfs/dbraw/zinc/64/69/32/1050646932.db2.gz VTAPQKJIKDIDJU-QGZVFWFLSA-N 0 3 304.821 4.231 20 0 DIADHN Clc1ccc(Cl)c(CNCCCn2ccnc2)c1Cl ZINC000035054854 1050651579 /nfs/dbraw/zinc/65/15/79/1050651579.db2.gz FLOCCFZMFLORJF-UHFFFAOYSA-N 0 3 318.635 4.023 20 0 DIADHN CN(CCC(=O)C(C)(C)C)Cc1ccc(C(F)(F)F)cc1 ZINC000741155547 1050661360 /nfs/dbraw/zinc/66/13/60/1050661360.db2.gz JRZGCRJTKLKJFA-UHFFFAOYSA-N 0 3 301.352 4.143 20 0 DIADHN C[C@H]1CCC[C@H](C)N1CC(=O)N(Cc1ccccc1)C(C)(C)C ZINC000595716071 1050662692 /nfs/dbraw/zinc/66/26/92/1050662692.db2.gz KAJLUHMVUABXCJ-IRXDYDNUSA-N 0 3 316.489 4.077 20 0 DIADHN COc1cccc2cc(CN3CCC[C@@]4(CC4(F)F)C3)oc21 ZINC000846107747 1050663043 /nfs/dbraw/zinc/66/30/43/1050663043.db2.gz JZFLNFANJRWCAH-MRXNPFEDSA-N 0 3 307.340 4.063 20 0 DIADHN Cc1ccc(-c2ccc(CN3C[C@H]4[C@@H](C3)C4(F)F)s2)cc1 ZINC000846109560 1050664283 /nfs/dbraw/zinc/66/42/83/1050664283.db2.gz DOETUPVNDUBROV-GASCZTMLSA-N 0 3 305.393 4.420 20 0 DIADHN c1nc2cc(CN3CC[C@@H](OCc4ccccc4)C3)ccc2s1 ZINC001144737197 1050692303 /nfs/dbraw/zinc/69/23/03/1050692303.db2.gz QIIQVDWTGVQAAG-QGZVFWFLSA-N 0 3 324.449 4.087 20 0 DIADHN c1nc2cc(CN3CCC(Cc4ccncc4)CC3)ccc2s1 ZINC001144743460 1050703981 /nfs/dbraw/zinc/70/39/81/1050703981.db2.gz NUJDRGRADJHQST-UHFFFAOYSA-N 0 3 323.465 4.146 20 0 DIADHN CCN(Cc1ccc2scnc2c1)Cc1cccc(F)c1 ZINC001144743760 1050705247 /nfs/dbraw/zinc/70/52/47/1050705247.db2.gz YKEWJQICPDSBPM-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](Cc1ccccc1)c1ccccc1 ZINC000180001986 1050712017 /nfs/dbraw/zinc/71/20/17/1050712017.db2.gz UMBIJTGCPPLEGY-FOIQADDNSA-N 0 3 305.425 4.353 20 0 DIADHN CCCCC[C@H](NCc1cccn(CC)c1=O)c1ccccc1 ZINC000788607235 1050713054 /nfs/dbraw/zinc/71/30/54/1050713054.db2.gz JSNAOQGEPXGEEH-IBGZPJMESA-N 0 3 312.457 4.279 20 0 DIADHN Cc1cccc(O[C@@H](C)CN[C@@H]2CCc3ccc(Cl)nc32)c1 ZINC000788606934 1050714091 /nfs/dbraw/zinc/71/40/91/1050714091.db2.gz ATMYBVHXDYNSQW-XJKSGUPXSA-N 0 3 316.832 4.088 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@@H]2COCc3cccc(Cl)c32)o1 ZINC000788612561 1050715083 /nfs/dbraw/zinc/71/50/83/1050715083.db2.gz MWYDDYBHSSIBGP-YVEFUNNKSA-N 0 3 319.832 4.424 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cc(F)ccc1F)[C@@H](O)c1ccccc1 ZINC000788620801 1050719220 /nfs/dbraw/zinc/71/92/20/1050719220.db2.gz KKCXHVXBMILNQE-AGRHKRQWSA-N 0 3 319.395 4.374 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C(C)C)[C@@H](O)c2ccccc2)c(C)s1 ZINC000788620813 1050719389 /nfs/dbraw/zinc/71/93/89/1050719389.db2.gz KKFYBCGGMAGULG-XZOAIXRZSA-N 0 3 318.486 4.169 20 0 DIADHN CC/C(=C\C(=O)Nc1ccccc1CN(C)C)c1ccc(C)cc1 ZINC000741669924 1050722589 /nfs/dbraw/zinc/72/25/89/1050722589.db2.gz LHAUYYFQHHGOSX-SAPNQHFASA-N 0 3 322.452 4.489 20 0 DIADHN CC(C)CSCCCN[C@@H]1COCc2cccc(Cl)c21 ZINC000788685985 1050725315 /nfs/dbraw/zinc/72/53/15/1050725315.db2.gz LJGBKMYOYLEGGE-OAHLLOKOSA-N 0 3 313.894 4.280 20 0 DIADHN CCOCC(C)(C)NCc1cccc(Br)c1Cl ZINC000706544157 1050730936 /nfs/dbraw/zinc/73/09/36/1050730936.db2.gz PTXDNVSEVPIDMS-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788713483 1050732245 /nfs/dbraw/zinc/73/22/45/1050732245.db2.gz WFSRFSRLVSDZER-ZMSDIMECSA-N 0 3 323.864 4.095 20 0 DIADHN CC(C)C(C)(C)CN[C@@H](C)c1nc(Br)ccc1F ZINC000788757408 1050738123 /nfs/dbraw/zinc/73/81/23/1050738123.db2.gz LPIRKBGZGMHZHR-JTQLQIEISA-N 0 3 317.246 4.316 20 0 DIADHN COc1cc(F)c([C@@H](C)NCCSC(C)(C)C)cc1OC ZINC000772949428 1050740302 /nfs/dbraw/zinc/74/03/02/1050740302.db2.gz AGOTUEFUGJHMJU-LLVKDONJSA-N 0 3 315.454 4.025 20 0 DIADHN Cc1sc(CN[C@H](C)c2cccnc2)cc1Br ZINC000227774332 1050741668 /nfs/dbraw/zinc/74/16/68/1050741668.db2.gz BNRKMTGLDOZZQG-SECBINFHSA-N 0 3 311.248 4.065 20 0 DIADHN C[C@@H]1CN(Cc2ccnc(Cl)c2)CC[C@H]1c1ccccc1 ZINC000741806905 1050743088 /nfs/dbraw/zinc/74/30/88/1050743088.db2.gz FTRSTEKQVACDIK-RHSMWYFYSA-N 0 3 300.833 4.361 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H]2COCc3cccc(Cl)c32)s1 ZINC000788741826 1050743440 /nfs/dbraw/zinc/74/34/40/1050743440.db2.gz VXIKZMGYFYUBRY-HZMBPMFUSA-N 0 3 322.861 4.070 20 0 DIADHN Cc1cc(C)c(N2CC[C@@H](N[C@@H](C)c3ccccc3)C2=O)c(C)c1 ZINC000788801813 1050748413 /nfs/dbraw/zinc/74/84/13/1050748413.db2.gz LOYYDNHDYYIYBV-PKOBYXMFSA-N 0 3 322.452 4.068 20 0 DIADHN C[C@@H](NCC1(C)OCCCO1)c1ccccc1SC(C)(C)C ZINC000788781463 1050751882 /nfs/dbraw/zinc/75/18/82/1050751882.db2.gz GVKCTEYCVKLACI-CQSZACIVSA-N 0 3 323.502 4.381 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000788776922 1050752256 /nfs/dbraw/zinc/75/22/56/1050752256.db2.gz QJSFYFTVMLJODO-SWLSCSKDSA-N 0 3 324.346 4.440 20 0 DIADHN Clc1ccc(C2(NCc3ccc4nonc4c3)CCC2)cc1 ZINC000846825458 1050755565 /nfs/dbraw/zinc/75/55/65/1050755565.db2.gz KPUYBZFDNWUMHC-UHFFFAOYSA-N 0 3 313.788 4.045 20 0 DIADHN FC1(F)CCC(CN[C@@H]2COCc3cccc(Cl)c32)CC1 ZINC000788824321 1050757237 /nfs/dbraw/zinc/75/72/37/1050757237.db2.gz RUWORZYQMPASRR-CQSZACIVSA-N 0 3 315.791 4.326 20 0 DIADHN CN(C)[C@@H](CNc1ccnc2c(F)cccc12)c1ccsc1 ZINC000788833672 1050760060 /nfs/dbraw/zinc/76/00/60/1050760060.db2.gz DGFZZVACLXWTQP-INIZCTEOSA-N 0 3 315.417 4.150 20 0 DIADHN C[C@@H]1CC[C@H](NCc2c(F)c(F)cc(Br)c2F)C1 ZINC001170091492 1050761430 /nfs/dbraw/zinc/76/14/30/1050761430.db2.gz GUIHDLAOQPPDHI-SFYZADRCSA-N 0 3 322.168 4.145 20 0 DIADHN CC[C@@H](NCc1cc(C)ccc1OC)c1ccc2c(c1)CCO2 ZINC000772954222 1050761765 /nfs/dbraw/zinc/76/17/65/1050761765.db2.gz XLQWZGTWJJRWOF-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(N2CCCC2)cc1F ZINC001213853383 1050765746 /nfs/dbraw/zinc/76/57/46/1050765746.db2.gz OYJZEWWPHBEABL-UHFFFAOYSA-N 0 3 313.420 4.231 20 0 DIADHN C[C@H](NCc1ccc2nonc2c1)c1ccccc1C(F)(F)F ZINC000846846683 1050769167 /nfs/dbraw/zinc/76/91/67/1050769167.db2.gz VZOCWEXUYCTRAG-JTQLQIEISA-N 0 3 321.302 4.092 20 0 DIADHN CC[C@@H](NCc1ccc2nonc2c1)c1ccc(Cl)s1 ZINC000846852461 1050772642 /nfs/dbraw/zinc/77/26/42/1050772642.db2.gz IUOKRYPYCZGIJC-SNVBAGLBSA-N 0 3 307.806 4.179 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cnccc3C3CC3)cccc21 ZINC001213880878 1050773891 /nfs/dbraw/zinc/77/38/91/1050773891.db2.gz GYVZOQOIZOLWOU-GOSISDBHSA-N 0 3 309.413 4.088 20 0 DIADHN CC(=O)C1CCN(CCCOc2cccc(C(C)(C)C)c2)CC1 ZINC000846951353 1050788588 /nfs/dbraw/zinc/78/85/88/1050788588.db2.gz RKIQKMSWWLNKKU-UHFFFAOYSA-N 0 3 317.473 4.054 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@H]1c1ccc(Cl)cc1 ZINC000742137165 1050804443 /nfs/dbraw/zinc/80/44/43/1050804443.db2.gz ANLOBIBOUNDNQA-KRWDZBQOSA-N 0 3 322.880 4.126 20 0 DIADHN C[C@H]1CC[C@@H](CN2CCC(c3nc(C(C)(C)C)cs3)CC2)O1 ZINC000789316269 1050813431 /nfs/dbraw/zinc/81/34/31/1050813431.db2.gz IWCXGYZWBRMRIS-ZFWWWQNUSA-N 0 3 322.518 4.188 20 0 DIADHN CC1=C(C)CN(Cc2ccc(SC(=O)N(C)C)cc2)CC1 ZINC000796590589 1050820492 /nfs/dbraw/zinc/82/04/92/1050820492.db2.gz VYBLYSIBLDHBCR-UHFFFAOYSA-N 0 3 304.459 4.002 20 0 DIADHN Cc1csc2sc(CN3CC4(C3)CCCOC4)c(C)c12 ZINC001231829502 1050829239 /nfs/dbraw/zinc/82/92/39/1050829239.db2.gz ZTDNRCOZGYJDAB-UHFFFAOYSA-N 0 3 307.484 4.192 20 0 DIADHN Cc1cccc(NC(=O)c2ccc(Nc3nccn3C)cc2)c1C ZINC001214143339 1050830978 /nfs/dbraw/zinc/83/09/78/1050830978.db2.gz BOTMRAJVVNCPFG-UHFFFAOYSA-N 0 3 320.396 4.033 20 0 DIADHN FC(F)SCc1ccc(CN[C@H]2C[C@H]2Cc2ccccc2)o1 ZINC000742374819 1050835366 /nfs/dbraw/zinc/83/53/66/1050835366.db2.gz HHVUPZVQGNTPPN-CJNGLKHVSA-N 0 3 323.408 4.456 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccc(OC)cc2)o1)[C@H]1CC1(C)C ZINC001171286254 1050844584 /nfs/dbraw/zinc/84/45/84/1050844584.db2.gz HDJOSVDPIFQHCD-CVEARBPZSA-N 0 3 314.429 4.265 20 0 DIADHN Clc1ccc([C@@H](N[C@@H]2C[C@H]3CC[C@@H]2O3)c2ccccc2)cc1 ZINC000180549441 1050855281 /nfs/dbraw/zinc/85/52/81/1050855281.db2.gz UCDUWQBRHQMZJM-YRXWBPOGSA-N 0 3 313.828 4.339 20 0 DIADHN CC(C)N(CC(=O)Nc1cccc(Cl)c1Cl)C(C)C ZINC000180455863 1050858758 /nfs/dbraw/zinc/85/87/58/1050858758.db2.gz ZWMRYJISGIWRAN-UHFFFAOYSA-N 0 3 303.233 4.051 20 0 DIADHN CCCCC[C@H](C)CC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000742474098 1050862208 /nfs/dbraw/zinc/86/22/08/1050862208.db2.gz SNJMECJPQJLZPA-WMZOPIPTSA-N 0 3 304.478 4.012 20 0 DIADHN CCn1c(CN[C@@H](C)CSC(C)(C)C)nc2ccccc21 ZINC000761223257 1050866080 /nfs/dbraw/zinc/86/60/80/1050866080.db2.gz YKMSZEJNPOTXDH-ZDUSSCGKSA-N 0 3 305.491 4.066 20 0 DIADHN CCS[C@H]1CCC[C@@H]1NCc1cc(-c2ccc(F)cc2)no1 ZINC001170118500 1050879898 /nfs/dbraw/zinc/87/98/98/1050879898.db2.gz QYBZOUCTPAEWEW-RDJZCZTQSA-N 0 3 320.433 4.245 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000601961001 1050881428 /nfs/dbraw/zinc/88/14/28/1050881428.db2.gz BKUIYQHHVJCHIF-QGZVFWFLSA-N 0 3 316.489 4.186 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cccc3c2OCCO3)c(C)c1 ZINC000746850816 1050885181 /nfs/dbraw/zinc/88/51/81/1050885181.db2.gz SUXRUKOJJGUKFW-INIZCTEOSA-N 0 3 311.425 4.268 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000742581909 1050894162 /nfs/dbraw/zinc/89/41/62/1050894162.db2.gz CLGFBYPZFWSPIT-VQIMIIECSA-N 0 3 308.510 4.116 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000742581907 1050894299 /nfs/dbraw/zinc/89/42/99/1050894299.db2.gz CLGFBYPZFWSPIT-LPHOPBHVSA-N 0 3 308.510 4.116 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1Cl)c1cccnc1Cl ZINC000772984008 1050902302 /nfs/dbraw/zinc/90/23/02/1050902302.db2.gz BYWKWYWNCDKUFD-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1[C@H]1CC[C@@H](C)C1 ZINC001170124677 1050902979 /nfs/dbraw/zinc/90/29/79/1050902979.db2.gz HDCYHUSFRHPDKC-JLSDUUJJSA-N 0 3 314.473 4.285 20 0 DIADHN COc1ccc(Cl)c2c1C[C@H](N1CCC(C)(F)CC1)CC2 ZINC001171317407 1050908649 /nfs/dbraw/zinc/90/86/49/1050908649.db2.gz SYTSFVMGUFUROA-GFCCVEGCSA-N 0 3 311.828 4.030 20 0 DIADHN COc1ccc(Cl)c2c1C[C@@H](N1CCC(C)(F)CC1)CC2 ZINC001171317415 1050908680 /nfs/dbraw/zinc/90/86/80/1050908680.db2.gz SYTSFVMGUFUROA-LBPRGKRZSA-N 0 3 311.828 4.030 20 0 DIADHN Fc1ccccc1-n1ccc(CN2CC[C@@H](C3CCCC3)C2)n1 ZINC001171330699 1050937130 /nfs/dbraw/zinc/93/71/30/1050937130.db2.gz DSFWAZXSQQKGAQ-MRXNPFEDSA-N 0 3 313.420 4.024 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](C)c1ccc(-c2cccnc2)cc1 ZINC000180700698 1050958710 /nfs/dbraw/zinc/95/87/10/1050958710.db2.gz TZDVJLPXJISCOY-KBPBESRZSA-N 0 3 306.413 4.192 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000180695091 1050959078 /nfs/dbraw/zinc/95/90/78/1050959078.db2.gz LMXGBBBFWUYAJD-KBPBESRZSA-N 0 3 306.413 4.192 20 0 DIADHN C[C@H](NCc1csc(C2CCCCC2)n1)[C@H]1CCCOC1 ZINC000348150645 1050993741 /nfs/dbraw/zinc/99/37/41/1050993741.db2.gz ZASJPWUNMROGER-ZFWWWQNUSA-N 0 3 308.491 4.096 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000743258494 1051002487 /nfs/dbraw/zinc/00/24/87/1051002487.db2.gz SRDCOLSBJYSUTH-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN C[C@H](N[C@@H]1CCc2c(F)cc(F)cc2C1)c1c(F)cccc1F ZINC001171348890 1051008839 /nfs/dbraw/zinc/00/88/39/1051008839.db2.gz FOASKQBEQWZVOT-GXFFZTMASA-N 0 3 323.333 4.451 20 0 DIADHN FC[C@@H](N[C@H]1CCc2c(F)cc(F)cc2C1)c1ccccc1 ZINC001171348069 1051010040 /nfs/dbraw/zinc/01/00/40/1051010040.db2.gz ZNCJUFBSEZDKGF-MAUKXSAKSA-N 0 3 305.343 4.123 20 0 DIADHN CCC(CC)CN(CC)CN1C(=O)C[C@@H]1c1ccc(Cl)cc1 ZINC000743352717 1051014115 /nfs/dbraw/zinc/01/41/15/1051014115.db2.gz NGJUXGRPOYYLGJ-QGZVFWFLSA-N 0 3 322.880 4.329 20 0 DIADHN CCCCN(CCCC)CN1C(=O)[C@@H](C)[C@@H]1c1ccccc1 ZINC000743354895 1051016271 /nfs/dbraw/zinc/01/62/71/1051016271.db2.gz YELVUEYLXWTGMW-FUHWJXTLSA-N 0 3 302.462 4.066 20 0 DIADHN CC(C)C[C@@H]1CCCN1CN1C(=O)C[C@H]1c1ccc(Cl)cc1 ZINC000743360794 1051016812 /nfs/dbraw/zinc/01/68/12/1051016812.db2.gz ZRXRNZPIADOWBV-IRXDYDNUSA-N 0 3 320.864 4.081 20 0 DIADHN CC[C@H](NCc1ccccc1N(C)C)c1ccc2c(c1)CCCO2 ZINC000773003265 1051017160 /nfs/dbraw/zinc/01/71/60/1051017160.db2.gz CIWZABLSDHBWQZ-IBGZPJMESA-N 0 3 324.468 4.319 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CN1C(=O)[C@H](C)[C@@H]1c1ccccc1 ZINC000743365168 1051017545 /nfs/dbraw/zinc/01/75/45/1051017545.db2.gz REGWDZJEMUNVAM-OGWHTMIXSA-N 0 3 314.473 4.064 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)C1 ZINC000743371583 1051018749 /nfs/dbraw/zinc/01/87/49/1051018749.db2.gz WKXZIIQHCQLUDQ-KEYYUXOJSA-N 0 3 320.864 4.081 20 0 DIADHN CCN(CN1C(=O)C[C@H]1c1ccc(Cl)cc1)C1CCCCC1 ZINC000743347440 1051019301 /nfs/dbraw/zinc/01/93/01/1051019301.db2.gz PPALKAPVMWTGDJ-KRWDZBQOSA-N 0 3 320.864 4.226 20 0 DIADHN CCNC(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)c(F)c1 ZINC001214886467 1051020756 /nfs/dbraw/zinc/02/07/56/1051020756.db2.gz OAGFXSUCVQKFNT-UHFFFAOYSA-N 0 3 315.392 4.012 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cn2cc(-c3ccccc3)cn2)C1 ZINC000743398417 1051022125 /nfs/dbraw/zinc/02/21/25/1051022125.db2.gz HRRQDOKLJCXDFI-QZTJIDSGSA-N 0 3 315.486 4.114 20 0 DIADHN OCC[C@H](N[C@@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000773018216 1051023101 /nfs/dbraw/zinc/02/31/01/1051023101.db2.gz VRMYZQKWMCEXPS-WDEREUQCSA-N 0 3 320.285 4.183 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CN1C(=O)[C@H](C)[C@H]1c1ccccc1 ZINC000743381112 1051022957 /nfs/dbraw/zinc/02/29/57/1051022957.db2.gz WBZNHKNYZVZOJN-RWQQGDIJSA-N 0 3 314.473 4.064 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)[C@H](O)c1c(F)cccc1Cl ZINC000773041489 1051034214 /nfs/dbraw/zinc/03/42/14/1051034214.db2.gz KUJZPYLJUPQWQF-WMFXKJRFSA-N 0 3 313.825 4.313 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1ccc2ccccc2n1 ZINC000181151576 1051035382 /nfs/dbraw/zinc/03/53/82/1051035382.db2.gz HDSNPCDMBFGSJX-UHFFFAOYSA-N 0 3 320.436 4.008 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc[nH]2)cc1Br ZINC000773115549 1051064929 /nfs/dbraw/zinc/06/49/29/1051064929.db2.gz GAYZHMUBCZNZKE-GHMZBOCLSA-N 0 3 323.234 4.198 20 0 DIADHN COc1c(F)cc(Nc2ccccc2CN(C)C)cc1Cl ZINC001214973937 1051039599 /nfs/dbraw/zinc/03/95/99/1051039599.db2.gz IZJWWAGOKCCTHD-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccoc1)[C@@H](O)c1c(Cl)cccc1Cl ZINC000773047454 1051039698 /nfs/dbraw/zinc/03/96/98/1051039698.db2.gz VBNKNXSBHPDKBR-FEUHOPSXSA-N 0 3 314.212 4.359 20 0 DIADHN CC(C)OC1CCC(N[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000181000841 1051039772 /nfs/dbraw/zinc/03/97/72/1051039772.db2.gz QGUSYVCDWOPLPL-GRERDSQWSA-N 0 3 324.468 4.497 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1c(Cl)cccc1Cl)c1ccoc1 ZINC000773047459 1051040549 /nfs/dbraw/zinc/04/05/49/1051040549.db2.gz VBNKNXSBHPDKBR-YHAQOWFVSA-N 0 3 314.212 4.359 20 0 DIADHN CC[C@H](NC[C@H](O)c1cccc(C)c1)c1cc(F)ccc1F ZINC000773065731 1051047171 /nfs/dbraw/zinc/04/71/71/1051047171.db2.gz QOIUZCMAVYPAPD-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1ccc(F)cc1N(C)C ZINC000773070982 1051049054 /nfs/dbraw/zinc/04/90/54/1051049054.db2.gz ZBBUJDJUMAZPBT-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)NCc1cccc(F)n1 ZINC000773088610 1051056293 /nfs/dbraw/zinc/05/62/93/1051056293.db2.gz PMOVXPNAXBHMDS-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CC[C@H](NC[C@@](C)(O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000773116588 1051064256 /nfs/dbraw/zinc/06/42/56/1051064256.db2.gz DMRIFKLABAYHSM-ZWKOTPCHSA-N 0 3 323.358 4.052 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cccc(Cl)n2)cc1 ZINC000743842204 1051068626 /nfs/dbraw/zinc/06/86/26/1051068626.db2.gz CWRLELBETSHVQX-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN O[C@H](CN1CC[C@H](c2ccccc2F)C1)c1cccc(Cl)c1 ZINC000743847800 1051070441 /nfs/dbraw/zinc/07/04/41/1051070441.db2.gz QUFWMUUSXGYAKL-KBXCAEBGSA-N 0 3 319.807 4.002 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2cccc(C(F)(F)F)c2)c1 ZINC000743840594 1051071983 /nfs/dbraw/zinc/07/19/83/1051071983.db2.gz GSTJSDVUJATBTP-UHFFFAOYSA-N 0 3 322.374 4.403 20 0 DIADHN O[C@@H](CN1CC[C@H](c2cccc(F)c2)C1)c1cccc(Cl)c1 ZINC000743847066 1051072568 /nfs/dbraw/zinc/07/25/68/1051072568.db2.gz VSNHJAARSBCTIE-YJBOKZPZSA-N 0 3 319.807 4.002 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(C[C@@H]2CC2(Cl)Cl)C1 ZINC000743854518 1051077172 /nfs/dbraw/zinc/07/71/72/1051077172.db2.gz AXKOEIUWCVASRC-OSMZGAPFSA-N 0 3 302.220 4.402 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)Cc2cccc(Cl)n2)cc1 ZINC000743855163 1051078112 /nfs/dbraw/zinc/07/81/12/1051078112.db2.gz DVOGLFSGWOLUEG-UHFFFAOYSA-N 0 3 302.849 4.453 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(C[C@H]2CC2(Cl)Cl)C1 ZINC000743857388 1051079779 /nfs/dbraw/zinc/07/97/79/1051079779.db2.gz ULBGAOQMSVLPOZ-GYSYKLTISA-N 0 3 302.220 4.402 20 0 DIADHN CCCC1CCC(NCc2ncc(Br)s2)CC1 ZINC000713719602 1051084231 /nfs/dbraw/zinc/08/42/31/1051084231.db2.gz QZRWPUYSILWLIB-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N2CCC3(CCCC3)C2)c1 ZINC000743864981 1051084332 /nfs/dbraw/zinc/08/43/32/1051084332.db2.gz ZMXZOBMDPCCVGS-CQSZACIVSA-N 0 3 318.486 4.002 20 0 DIADHN CC[C@@H](NCc1ncc(Br)s1)C1CCCCC1 ZINC000713719705 1051084927 /nfs/dbraw/zinc/08/49/27/1051084927.db2.gz URISLAKPASDNEH-LLVKDONJSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CCC4(CCCC4)C3)o2)c1 ZINC000743864202 1051085732 /nfs/dbraw/zinc/08/57/32/1051085732.db2.gz YHVLXIZQBOLJTP-OAHLLOKOSA-N 0 3 311.429 4.372 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@@H](CC)c2ccccc2F)cc1 ZINC000797028140 1051115271 /nfs/dbraw/zinc/11/52/71/1051115271.db2.gz XJCGJAHFKWLWHJ-OALUTQOASA-N 0 3 301.405 4.162 20 0 DIADHN CCc1ccc([C@H](O)CN[C@@H](CC)c2ccccc2F)cc1 ZINC000797028141 1051115931 /nfs/dbraw/zinc/11/59/31/1051115931.db2.gz XJCGJAHFKWLWHJ-RBUKOAKNSA-N 0 3 301.405 4.162 20 0 DIADHN CCN(CCc1ccccc1)Cn1cc(-c2ccccc2)cn1 ZINC000744139603 1051120803 /nfs/dbraw/zinc/12/08/03/1051120803.db2.gz FKEOMUBQNKSCAX-UHFFFAOYSA-N 0 3 305.425 4.072 20 0 DIADHN CC[C@H]1C[C@H](N(C)Cc2cccc(Cl)c2Cl)CCO1 ZINC001170225921 1051162614 /nfs/dbraw/zinc/16/26/14/1051162614.db2.gz DNOWKUBIOBGYAJ-OLZOCXBDSA-N 0 3 302.245 4.383 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC001171455710 1051138004 /nfs/dbraw/zinc/13/80/04/1051138004.db2.gz CYZWIZULAOKIEB-DZGCQCFKSA-N 0 3 309.885 4.030 20 0 DIADHN FC[C@@H](N[C@@H]1CCc2cc(F)c(F)cc2C1)c1ccccc1 ZINC001171459026 1051140453 /nfs/dbraw/zinc/14/04/53/1051140453.db2.gz NODOTQBFWJVHFC-CRAIPNDOSA-N 0 3 305.343 4.123 20 0 DIADHN Fc1cccc2c1CCN([C@H]1CCc3cc(F)c(F)cc3C1)C2 ZINC001171496088 1051145790 /nfs/dbraw/zinc/14/57/90/1051145790.db2.gz NQAWUTZUGQPWDW-HNNXBMFYSA-N 0 3 317.354 4.020 20 0 DIADHN CC[C@@H]1C[C@@H](n2c3ccccc3nc2[C@H](N)CC(C)C)CCO1 ZINC001170181857 1051146188 /nfs/dbraw/zinc/14/61/88/1051146188.db2.gz JYFROZPDKZBVDC-ARFHVFGLSA-N 0 3 315.461 4.212 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NCCOC2CCCCC2)n1 ZINC001171478104 1051151372 /nfs/dbraw/zinc/15/13/72/1051151372.db2.gz RMBDMWLVKJHTFS-INIZCTEOSA-N 0 3 317.477 4.222 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@@H](N1CCCC(F)(F)CC1)CC2 ZINC001171532714 1051152029 /nfs/dbraw/zinc/15/20/29/1051152029.db2.gz FHGUEAZOFVOELO-NSHDSACASA-N 0 3 319.317 4.082 20 0 DIADHN C[C@]1(c2ccccc2)CCCN(Cc2cc3n(n2)CCCC3)CC1 ZINC001170195277 1051153496 /nfs/dbraw/zinc/15/34/96/1051153496.db2.gz SMSGOMBPPFSQLT-NRFANRHFSA-N 0 3 323.484 4.163 20 0 DIADHN CC(C)n1ccc(CN2CCC[C@](C)(c3ccccc3)CC2)n1 ZINC001170195958 1051154437 /nfs/dbraw/zinc/15/44/37/1051154437.db2.gz XNOHMSICBWOZDA-FQEVSTJZSA-N 0 3 311.473 4.408 20 0 DIADHN FC[C@@H](N[C@H]1CCc2cc(F)c(F)c(F)c2C1)c1ccccc1 ZINC001171510414 1051156207 /nfs/dbraw/zinc/15/62/07/1051156207.db2.gz BDODYLCMJAFAMX-XJKSGUPXSA-N 0 3 323.333 4.262 20 0 DIADHN CCN(CC)Cc1cccc(NC(C)(C)c2ccncc2)c1F ZINC001171519647 1051159796 /nfs/dbraw/zinc/15/97/96/1051159796.db2.gz ZSPZOBBPAJMEMA-UHFFFAOYSA-N 0 3 315.436 4.410 20 0 DIADHN CC[C@H]1C[C@H](N2CCc3ccc(C(F)(F)F)cc3C2)CCO1 ZINC001170227894 1051161909 /nfs/dbraw/zinc/16/19/09/1051161909.db2.gz QJSJZLXAUMBXLO-CVEARBPZSA-N 0 3 313.363 4.021 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@@H](N1CCc3ccccc3C1)CC2 ZINC001171530439 1051162050 /nfs/dbraw/zinc/16/20/50/1051162050.db2.gz RGDQVACWXZTZHX-HNNXBMFYSA-N 0 3 317.354 4.020 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@H](CO)c1ccccc1Cl ZINC001170260014 1051165330 /nfs/dbraw/zinc/16/53/30/1051165330.db2.gz GLJTYYQBICVMNZ-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1cccc2c1CC[C@H](NCc1nccc(Cl)c1Cl)C2 ZINC001171560340 1051166668 /nfs/dbraw/zinc/16/66/68/1051166668.db2.gz RHKRWIGYLHCSSD-ZDUSSCGKSA-N 0 3 321.251 4.344 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CCC[C@H]2CC2CCCC2)n1 ZINC001171631993 1051175024 /nfs/dbraw/zinc/17/50/24/1051175024.db2.gz SYFWQATZLGSYGP-IBGZPJMESA-N 0 3 309.457 4.417 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1CCc2cc(O)ccc2C1 ZINC001170278616 1051181212 /nfs/dbraw/zinc/18/12/12/1051181212.db2.gz WKIVAHMWALZCMX-ZDUSSCGKSA-N 0 3 301.817 4.035 20 0 DIADHN CCOC(=O)[C@@H]1C[C@H]1CN1CCCC[C@H]1c1ccc(Cl)cc1 ZINC001170290729 1051183327 /nfs/dbraw/zinc/18/33/27/1051183327.db2.gz QTVRDYPSIXLPIM-UAGQMJEPSA-N 0 3 321.848 4.066 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1CCc2ccc(F)cc2[C@H](C)C1 ZINC001171670189 1051188864 /nfs/dbraw/zinc/18/88/64/1051188864.db2.gz BSTBKNDHICJWNI-RZQQEMMASA-N 0 3 319.464 4.383 20 0 DIADHN C=C/C=C/CCN1CC[C@H](c2cccc(Br)c2)C1 ZINC001170413672 1051205375 /nfs/dbraw/zinc/20/53/75/1051205375.db2.gz ZEIHSCVIBUCFRJ-BWPKMQGJSA-N 0 3 306.247 4.371 20 0 DIADHN CC1(C)CC(Nc2ccncc2-c2ccccc2)CC(C)(C)O1 ZINC001170361987 1051191250 /nfs/dbraw/zinc/19/12/50/1051191250.db2.gz UVCGFPGGSOFPHG-UHFFFAOYSA-N 0 3 310.441 4.319 20 0 DIADHN CCOc1c(F)c(F)ccc1Nc1ccc2c(c1)CN(C)CC2 ZINC001215419833 1051197041 /nfs/dbraw/zinc/19/70/41/1051197041.db2.gz VCNIXCVAFSIGGK-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN Cc1sc(NC(=O)CN2CCCC[C@@H]2C2CCC2)c(C)c1C ZINC001171752385 1051209342 /nfs/dbraw/zinc/20/93/42/1051209342.db2.gz TZGJWDSYQDOZJW-MRXNPFEDSA-N 0 3 320.502 4.266 20 0 DIADHN Cc1ccc(CN2CC[C@@](C)(c3cccc(F)c3)C2)cc1F ZINC001171773480 1051210726 /nfs/dbraw/zinc/21/07/26/1051210726.db2.gz WWLXEZVYILJEHT-LJQANCHMSA-N 0 3 301.380 4.437 20 0 DIADHN CCCCCC[C@H](O)CN1CCS[C@@H](c2ccccc2)C1 ZINC001171778605 1051212714 /nfs/dbraw/zinc/21/27/14/1051212714.db2.gz OWQSSHXGFDODHD-ZWKOTPCHSA-N 0 3 307.503 4.108 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N1CC2(CCCCCC2)[C@H]1C(C)C ZINC001171737932 1051214912 /nfs/dbraw/zinc/21/49/12/1051214912.db2.gz UETZLFLWGAMERU-QZTJIDSGSA-N 0 3 320.521 4.068 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1nc(-c3ccccc3)cs1)C2 ZINC001171780974 1051217442 /nfs/dbraw/zinc/21/74/42/1051217442.db2.gz UXRRLCARAFBCAI-HNNXBMFYSA-N 0 3 324.424 4.206 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1cc(Cl)c(F)cc1F)C2 ZINC001171780771 1051217812 /nfs/dbraw/zinc/21/78/12/1051217812.db2.gz QAYGIFCRPSICGV-NSHDSACASA-N 0 3 311.734 4.014 20 0 DIADHN Fc1cccc2c1C[C@@H](N[C@H](c1ncccc1Cl)C1CC1)C2 ZINC001171787711 1051221015 /nfs/dbraw/zinc/22/10/15/1051221015.db2.gz MGBMLHLZESMBSV-GUYCJALGSA-N 0 3 316.807 4.082 20 0 DIADHN CCCCOC(=O)[C@@H](C)N1CCC[C@]1(CC)Cc1ccccc1 ZINC001171876162 1051227565 /nfs/dbraw/zinc/22/75/65/1051227565.db2.gz UWXIDGRKFPPEMB-YLJYHZDGSA-N 0 3 317.473 4.206 20 0 DIADHN COC[C@@H](NCCSC1CCCCC1)c1cccc(OC)c1 ZINC001171815078 1051227721 /nfs/dbraw/zinc/22/77/21/1051227721.db2.gz GEWZZIQMAPWSET-GOSISDBHSA-N 0 3 323.502 4.038 20 0 DIADHN CC(C)[C@@H]1N(C[C@H](O)c2ccc(Cl)c(F)c2)CC12CCC2 ZINC000793005562 1051237219 /nfs/dbraw/zinc/23/72/19/1051237219.db2.gz WXSFDMJMJIEWEN-HOTGVXAUSA-N 0 3 311.828 4.023 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1ncc(Br)cc1Cl ZINC001171916274 1051238172 /nfs/dbraw/zinc/23/81/72/1051238172.db2.gz KSHXMSDRMAOWNE-VIFPVBQESA-N 0 3 319.674 4.412 20 0 DIADHN C[C@@H]1CC(CCN(C)CC(=O)N(C)C2CCCCC2)C[C@@H](C)C1 ZINC001171906580 1051239025 /nfs/dbraw/zinc/23/90/25/1051239025.db2.gz MYMMGBMICGJAEF-IRXDYDNUSA-N 0 3 322.537 4.172 20 0 DIADHN CCOC(=O)C1(C)CCC(N2Cc3cccc(Cl)c3C2)CC1 ZINC001171956674 1051243838 /nfs/dbraw/zinc/24/38/38/1051243838.db2.gz KAVBWHISMKSKBR-UHFFFAOYSA-N 0 3 321.848 4.168 20 0 DIADHN CC(=O)[C@H]1CCCCN1[C@@H](C)Cc1ccc(C(F)(F)F)cc1 ZINC001171964923 1051249150 /nfs/dbraw/zinc/24/91/50/1051249150.db2.gz KWKLZVPXGOGKRC-BLLLJJGKSA-N 0 3 313.363 4.080 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000793167274 1051255618 /nfs/dbraw/zinc/25/56/18/1051255618.db2.gz GMUXWUBWRBDFGI-QWRGUYRKSA-N 0 3 305.809 4.229 20 0 DIADHN COCCCC[C@@H](NCc1ccc(F)nc1C)c1ccccc1 ZINC000793231131 1051263209 /nfs/dbraw/zinc/26/32/09/1051263209.db2.gz AGCGCBXGJAITBM-GOSISDBHSA-N 0 3 316.420 4.177 20 0 DIADHN CCC[C@H](NCc1ccc([C@@H]2C[C@@H]2C)o1)[C@@H](O)c1ccccc1 ZINC000793236636 1051268046 /nfs/dbraw/zinc/26/80/46/1051268046.db2.gz JJPUGBHJOFIGAC-MKNHVETJSA-N 0 3 313.441 4.395 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(Cl)c(CO)c2F)ccn1 ZINC001215604355 1051270893 /nfs/dbraw/zinc/27/08/93/1051270893.db2.gz CWQAFBLNYOHWNV-UHFFFAOYSA-N 0 3 308.784 4.408 20 0 DIADHN Cc1ccc([C@H](NC2CC(C(F)F)C2)c2ccccn2)cc1 ZINC000793249112 1051273611 /nfs/dbraw/zinc/27/36/11/1051273611.db2.gz CKMQLWXNNRKERT-DQPZFDDXSA-N 0 3 302.368 4.113 20 0 DIADHN CCC[C@@H](NCc1cscc1Cl)[C@H](O)c1ccccc1 ZINC000793245461 1051273875 /nfs/dbraw/zinc/27/38/75/1051273875.db2.gz XYHFGIHTIASEFI-HZPDHXFCSA-N 0 3 309.862 4.393 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3ccc(F)cc32)cnc1Cl ZINC000793309430 1051277309 /nfs/dbraw/zinc/27/73/09/1051277309.db2.gz MJGDTTGCJMPONJ-INIZCTEOSA-N 0 3 304.796 4.350 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)[C@H](C)NCc1cccnc1F ZINC000793283027 1051280843 /nfs/dbraw/zinc/28/08/43/1051280843.db2.gz YWRVOXRFXCRFJO-NEPJUHHUSA-N 0 3 315.417 4.112 20 0 DIADHN COc1ccccc1CN(Cc1cccc2cnccc21)C1CC1 ZINC000183042988 1051289508 /nfs/dbraw/zinc/28/95/08/1051289508.db2.gz LINFQNQUMRVNDG-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN OCc1cccc(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215676558 1051293003 /nfs/dbraw/zinc/29/30/03/1051293003.db2.gz DOWZBZBTVPGKLB-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN Oc1c(F)cccc1Nc1cccc(CN2CCCCC2)c1F ZINC001215676579 1051294475 /nfs/dbraw/zinc/29/44/75/1051294475.db2.gz FGXCWBNULDXTLX-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CC(C)n1cc(Nc2cccc(CN3CCCCC3)c2F)cn1 ZINC001215675600 1051294671 /nfs/dbraw/zinc/29/46/71/1051294671.db2.gz MNISKZZJYIQTSP-UHFFFAOYSA-N 0 3 316.424 4.333 20 0 DIADHN OCc1ccccc1Nc1cccc(CN2CCCCC2)c1F ZINC001215677371 1051295706 /nfs/dbraw/zinc/29/57/06/1051295706.db2.gz MLKSDPFEIFBRFM-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccc(Cl)cc2)C(C)C)n(C)n1 ZINC000793519956 1051306981 /nfs/dbraw/zinc/30/69/81/1051306981.db2.gz RQKDRRJATROFBJ-SUMWQHHRSA-N 0 3 305.853 4.430 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2ccc(Cl)cc2)C(C)C)n(C)n1 ZINC000793519954 1051307952 /nfs/dbraw/zinc/30/79/52/1051307952.db2.gz RQKDRRJATROFBJ-DYVFJYSZSA-N 0 3 305.853 4.430 20 0 DIADHN Fc1ccc(Cl)c(CN[C@H]2CSc3ccccc3C2)c1 ZINC001172185477 1051309083 /nfs/dbraw/zinc/30/90/83/1051309083.db2.gz SMIISFYYRBVRBQ-CQSZACIVSA-N 0 3 307.821 4.286 20 0 DIADHN Fc1ccc(Cl)c(CN[C@@H]2CSc3ccccc3C2)c1 ZINC001172185468 1051309323 /nfs/dbraw/zinc/30/93/23/1051309323.db2.gz SMIISFYYRBVRBQ-AWEZNQCLSA-N 0 3 307.821 4.286 20 0 DIADHN c1ccc2sc(CN[C@@H]3CSc4ccccc4C3)nc2c1 ZINC001172186732 1051309437 /nfs/dbraw/zinc/30/94/37/1051309437.db2.gz BUQMECMLLLJMLX-ZDUSSCGKSA-N 0 3 312.463 4.103 20 0 DIADHN Fc1cccc(Nc2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001215710549 1051316883 /nfs/dbraw/zinc/31/68/83/1051316883.db2.gz XDNCZDQFCUWDNZ-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1ccnc(F)c1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215711261 1051316943 /nfs/dbraw/zinc/31/69/43/1051316943.db2.gz JYYAMJXZWOIZKD-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Oc1c(F)cccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215711537 1051318812 /nfs/dbraw/zinc/31/88/12/1051318812.db2.gz CQNRNXUBTNNQTC-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CN(c1cccc(N)c1)c1ccc(CN2CCCCC2)c(F)c1 ZINC001215713215 1051318920 /nfs/dbraw/zinc/31/89/20/1051318920.db2.gz XQKNNJGVXJGFRL-UHFFFAOYSA-N 0 3 313.420 4.162 20 0 DIADHN CC[C@H](NCc1cc(C)nc(C)n1)c1cccc2ccccc21 ZINC000793564290 1051319471 /nfs/dbraw/zinc/31/94/71/1051319471.db2.gz LTRQYSVYXAMLIR-FQEVSTJZSA-N 0 3 305.425 4.488 20 0 DIADHN Oc1cc(F)ccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215711951 1051319827 /nfs/dbraw/zinc/31/98/27/1051319827.db2.gz LBISOUZGMALGHG-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Nc1cccc2ccn(-c3ccc(CN4CCCCC4)c(F)c3)c21 ZINC001215712222 1051319979 /nfs/dbraw/zinc/31/99/79/1051319979.db2.gz BPLGFSZTSHMBJV-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Clc1ccc(Cl)c(CNC2C[C@H]3CC[C@@H](C2)O3)c1Cl ZINC001172222989 1051320336 /nfs/dbraw/zinc/32/03/36/1051320336.db2.gz MVOCXFXSEXORCQ-PBINXNQUSA-N 0 3 320.647 4.446 20 0 DIADHN CN(C)Cc1cccc(C(=O)Oc2cc(Cl)ccc2Cl)c1 ZINC000746101860 1051320621 /nfs/dbraw/zinc/32/06/21/1051320621.db2.gz HEZDPMAJZUXLJW-UHFFFAOYSA-N 0 3 324.207 4.274 20 0 DIADHN C[C@@H](N[C@H]1CSc2ccccc2C1)c1cccc(F)c1F ZINC001172196472 1051323755 /nfs/dbraw/zinc/32/37/55/1051323755.db2.gz FWFZMZJMZZUQTM-DGCLKSJQSA-N 0 3 305.393 4.332 20 0 DIADHN CCCN(Cc1ccc(F)cc1)C[C@H](O)c1c(F)cccc1F ZINC000746137801 1051324952 /nfs/dbraw/zinc/32/49/52/1051324952.db2.gz PZBVJQODNRAKHR-KRWDZBQOSA-N 0 3 323.358 4.050 20 0 DIADHN CC1(C)C[C@H](NCc2cccc(C(F)(F)F)c2Cl)CO1 ZINC001172275586 1051327769 /nfs/dbraw/zinc/32/77/69/1051327769.db2.gz XBUHBUADMOBWNF-JTQLQIEISA-N 0 3 307.743 4.016 20 0 DIADHN C[C@H](c1ccccc1)N(C[C@H](O)c1c(F)cccc1F)C1CC1 ZINC000746115665 1051328149 /nfs/dbraw/zinc/32/81/49/1051328149.db2.gz WSANNZYHHHNKTC-ACJLOTCBSA-N 0 3 317.379 4.224 20 0 DIADHN CC1(C)C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)CO1 ZINC001172269551 1051328375 /nfs/dbraw/zinc/32/83/75/1051328375.db2.gz FSLBJWKQGGCSSC-NSHDSACASA-N 0 3 307.743 4.016 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1ccc(F)c(Cl)c1F ZINC001172327321 1051331540 /nfs/dbraw/zinc/33/15/40/1051331540.db2.gz NAPAHAGGAAJEJA-WDEREUQCSA-N 0 3 305.796 4.302 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H](O)c1ccccc1F ZINC000746165507 1051334249 /nfs/dbraw/zinc/33/42/49/1051334249.db2.gz MGAGHZSVFTWSBQ-LJQANCHMSA-N 0 3 319.395 4.301 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H](O)c1ccccc1 ZINC000746166493 1051334550 /nfs/dbraw/zinc/33/45/50/1051334550.db2.gz YSWGZHLTXPOTRS-LJQANCHMSA-N 0 3 301.405 4.161 20 0 DIADHN CCN(C(=O)CN1[C@H](C)CC[C@H]1C)c1ccc2ccccc2c1 ZINC000746187670 1051336915 /nfs/dbraw/zinc/33/69/15/1051336915.db2.gz RPTKGJMNEOXNIG-HZPDHXFCSA-N 0 3 310.441 4.066 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1cc(-c2ccccc2)no1 ZINC001172318386 1051339790 /nfs/dbraw/zinc/33/97/90/1051339790.db2.gz ITIKZKTUEWMOCH-LSDHHAIUSA-N 0 3 302.418 4.025 20 0 DIADHN c1coc([C@H](N[C@H]2CCOC3(CCCC3)C2)c2ccccc2)c1 ZINC000183799455 1051343068 /nfs/dbraw/zinc/34/30/68/1051343068.db2.gz CDIQQMOWVNFWIX-PKOBYXMFSA-N 0 3 311.425 4.450 20 0 DIADHN CC1(C)C[C@@H](N2CCC[C@H]2c2ccccc2Br)CO1 ZINC001172284590 1051345636 /nfs/dbraw/zinc/34/56/36/1051345636.db2.gz VQRLUZHAZRNNGP-DOMZBBRYSA-N 0 3 324.262 4.154 20 0 DIADHN C[C@@H]1CC[C@@H](N2CCc3c(cnn3-c3ccccc3)C2)C[C@@H]1C ZINC001172375555 1051355197 /nfs/dbraw/zinc/35/51/97/1051355197.db2.gz VZFFLJLSWQDNRW-JTDSTZFVSA-N 0 3 309.457 4.055 20 0 DIADHN C[C@@H]1CC[C@@H](N2CCc3c(cnn3-c3ccccc3)C2)C[C@H]1C ZINC001172375554 1051356018 /nfs/dbraw/zinc/35/60/18/1051356018.db2.gz VZFFLJLSWQDNRW-GPMSIDNRSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N1CCN(c2cccc(Cl)c2)CC1 ZINC001172329242 1051356545 /nfs/dbraw/zinc/35/65/45/1051356545.db2.gz GIRHYMKFMREWHY-CVEARBPZSA-N 0 3 324.896 4.056 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1ccsc1Br ZINC001172330763 1051357777 /nfs/dbraw/zinc/35/77/77/1051357777.db2.gz WIUWOPWPSXMURK-WDEREUQCSA-N 0 3 320.296 4.194 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001172335090 1051358504 /nfs/dbraw/zinc/35/85/04/1051358504.db2.gz OBNOLHUYDLEZPJ-UONOGXRCSA-N 0 3 320.408 4.164 20 0 DIADHN CCSc1cc(CNCc2cccc3cnccc32)ccn1 ZINC000189589183 1051359786 /nfs/dbraw/zinc/35/97/86/1051359786.db2.gz KEUDWRAKEVNSJR-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001172339136 1051360791 /nfs/dbraw/zinc/36/07/91/1051360791.db2.gz FTTGURXZQSKEGK-OAGGEKHMSA-N 0 3 315.461 4.336 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1cc(-c2ccccc2F)no1 ZINC001172353413 1051370620 /nfs/dbraw/zinc/37/06/20/1051370620.db2.gz WPFYBYRIXUUCAR-UONOGXRCSA-N 0 3 320.408 4.164 20 0 DIADHN C[C@@H]1CC[C@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@@H]1C ZINC001172363754 1051375897 /nfs/dbraw/zinc/37/58/97/1051375897.db2.gz PAEGPXHIWGNZBZ-XUJVJEKNSA-N 0 3 313.363 4.302 20 0 DIADHN C[C@@H]1CC[C@H](NCC(=O)c2ccc(C(F)(F)F)cc2)C[C@H]1C ZINC001172363751 1051376255 /nfs/dbraw/zinc/37/62/55/1051376255.db2.gz PAEGPXHIWGNZBZ-JMSVASOKSA-N 0 3 313.363 4.302 20 0 DIADHN C[C@@H]1CC[C@H](N(Cc2cccnc2)Cc2ccccn2)C[C@H]1C ZINC001172371759 1051380678 /nfs/dbraw/zinc/38/06/78/1051380678.db2.gz AEZAOXOOUHGWKK-HLIPFELVSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@@H](N[C@@H](C)c1sccc1Br)[C@H]1CCCCO1 ZINC000383177092 1051382719 /nfs/dbraw/zinc/38/27/19/1051382719.db2.gz IVSKWDGJDAPKFY-JFGNBEQYSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccccc1Br ZINC000384005178 1051383644 /nfs/dbraw/zinc/38/36/44/1051383644.db2.gz NKYXSZIFLJMDMF-NXEZZACHSA-N 0 3 304.178 4.288 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1sccc1Br ZINC000383177100 1051383692 /nfs/dbraw/zinc/38/36/92/1051383692.db2.gz IVSKWDGJDAPKFY-NHCYSSNCSA-N 0 3 318.280 4.119 20 0 DIADHN Cc1ncc(Nc2cc(Cl)cc(C(F)(F)F)c2F)n1C ZINC001215816857 1051387091 /nfs/dbraw/zinc/38/70/91/1051387091.db2.gz RZCIPYIVQBVBKS-UHFFFAOYSA-N 0 3 307.678 4.283 20 0 DIADHN CC(C)COCC[C@H](C)NCc1cc(-c2ccccc2F)no1 ZINC001172470309 1051399560 /nfs/dbraw/zinc/39/95/60/1051399560.db2.gz CVVCAYYFJUCXIQ-AWEZNQCLSA-N 0 3 320.408 4.022 20 0 DIADHN CC(C)OCC[C@@H](C)NCc1cc(-c2cccc(Cl)c2)no1 ZINC001172444283 1051407765 /nfs/dbraw/zinc/40/77/65/1051407765.db2.gz VENGRCVECFXDIH-CYBMUJFWSA-N 0 3 322.836 4.288 20 0 DIADHN CC(C)OCC[C@@H](C)NCc1csc(-c2ccc(F)cc2)n1 ZINC001172440223 1051408024 /nfs/dbraw/zinc/40/80/24/1051408024.db2.gz GSTDGDAFWVCJQM-CYBMUJFWSA-N 0 3 322.449 4.242 20 0 DIADHN CC(C)OCC[C@@H](C)N1CCSC[C@@H]1c1ccc(F)cc1 ZINC001172450137 1051409644 /nfs/dbraw/zinc/40/96/44/1051409644.db2.gz ZRPVLCVTADNUCE-RHSMWYFYSA-N 0 3 311.466 4.119 20 0 DIADHN Cc1ccc(Cl)c(CN[C@@H](C)CCOCC(C)C)c1F ZINC001172457505 1051411078 /nfs/dbraw/zinc/41/10/78/1051411078.db2.gz PPQIZDKNFFHLFQ-ZDUSSCGKSA-N 0 3 301.833 4.328 20 0 DIADHN CN(CCCc1ccc(Cl)cc1)Cc1ccc(Cl)nc1 ZINC000746451107 1051418092 /nfs/dbraw/zinc/41/80/92/1051418092.db2.gz WZOYLQDVWSMORV-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN O[C@H](CN1CC[C@@H]1c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000746457248 1051420759 /nfs/dbraw/zinc/42/07/59/1051420759.db2.gz MDZKOJCYQBGMCU-IAGOWNOFSA-N 0 3 321.342 4.186 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NCCCCc2ccccn2)n1 ZINC001168792714 1051423405 /nfs/dbraw/zinc/42/34/05/1051423405.db2.gz AUMXPOWQHQRSSR-KRWDZBQOSA-N 0 3 324.472 4.290 20 0 DIADHN CCCCCC[C@H](CCCC)NCc1cc2n(n1)CCCO2 ZINC001172513907 1051430503 /nfs/dbraw/zinc/43/05/03/1051430503.db2.gz QUZZVZFLRXUNMA-INIZCTEOSA-N 0 3 307.482 4.284 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172551127 1051434562 /nfs/dbraw/zinc/43/45/62/1051434562.db2.gz MKMQYZAIYKRFID-CABCVRRESA-N 0 3 317.379 4.399 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@H]2CCC[C@@H](c3ccccc3)C2)n1 ZINC001172553402 1051434657 /nfs/dbraw/zinc/43/46/57/1051434657.db2.gz QEUWVAFIVFMUKG-SQNIBIBYSA-N 0 3 311.429 4.241 20 0 DIADHN O=C(CN[C@@H]1CC[C@@H](c2ccccc2)C1)c1cc(F)ccc1F ZINC001172552610 1051435030 /nfs/dbraw/zinc/43/50/30/1051435030.db2.gz ALZJUXCSGDHWQT-GDBMZVCRSA-N 0 3 315.363 4.073 20 0 DIADHN C[C@H](NC1CCC(C)(O)CC1)c1nc(-c2ccccc2)cs1 ZINC000766480860 1051435947 /nfs/dbraw/zinc/43/59/47/1051435947.db2.gz UYFBBQWLNIGJGW-UQHVZDHRSA-N 0 3 316.470 4.154 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCCN1[C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172562708 1051442786 /nfs/dbraw/zinc/44/27/86/1051442786.db2.gz CPKDBXFGZSKANS-SQNIBIBYSA-N 0 3 315.457 4.129 20 0 DIADHN Cc1ccn2cc(CN(C)[C@@H]3CC[C@@H](c4ccccc4)C3)nc2c1 ZINC001172566273 1051445055 /nfs/dbraw/zinc/44/50/55/1051445055.db2.gz VELFUFMWJSYDIY-UYAOXDASSA-N 0 3 319.452 4.411 20 0 DIADHN CO[C@@H]1CCCN([C@H](C)CCc2c(F)cc(Cl)cc2F)C1 ZINC001172568653 1051446358 /nfs/dbraw/zinc/44/63/58/1051446358.db2.gz ANPJVWFNPTVHND-DGCLKSJQSA-N 0 3 317.807 4.050 20 0 DIADHN Cc1ccc([C@H](C)Nc2ccc([C@H]3CCCCN3C)cn2)nc1 ZINC001168852294 1051450696 /nfs/dbraw/zinc/45/06/96/1051450696.db2.gz SKGKTLBXRRDVML-MAUKXSAKSA-N 0 3 310.445 4.115 20 0 DIADHN O=C(CN[C@H]1CCC[C@@H](c2ccccc2)C1)c1ccc(F)cc1 ZINC001172538824 1051452005 /nfs/dbraw/zinc/45/20/05/1051452005.db2.gz UMNYLWGBLMQADS-MJGOQNOKSA-N 0 3 311.400 4.324 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538979 1051452442 /nfs/dbraw/zinc/45/24/42/1051452442.db2.gz YRKACIVZQKUEEE-FUHIMQAGSA-N 0 3 320.436 4.304 20 0 DIADHN NCc1ccc2c(n1)N([C@@H]1CCC[C@@H](c3ccccc3)C1)CCC2 ZINC001172539517 1051453241 /nfs/dbraw/zinc/45/32/41/1051453241.db2.gz HUSKRYBMMDZXFJ-UYAOXDASSA-N 0 3 321.468 4.019 20 0 DIADHN Cc1ccc(C(=O)CN[C@H]2CCC[C@@H](c3ccccc3)C2)cc1 ZINC001172540061 1051454310 /nfs/dbraw/zinc/45/43/10/1051454310.db2.gz LMZIDDYGIGCBEJ-UXHICEINSA-N 0 3 307.437 4.494 20 0 DIADHN C[C@H](NCCNc1ccccc1)c1ccc(F)cc1OC(F)F ZINC000766514976 1051454420 /nfs/dbraw/zinc/45/44/20/1051454420.db2.gz KCDIFNKVLQQDQT-LBPRGKRZSA-N 0 3 324.346 4.190 20 0 DIADHN CC(C)(C)CNC(=O)C(C)(C)NCc1cccc(C(C)(C)C)c1 ZINC001168875120 1051461690 /nfs/dbraw/zinc/46/16/90/1051461690.db2.gz XKPJMKCZLHLVIE-UHFFFAOYSA-N 0 3 318.505 4.015 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)cs1 ZINC000766528549 1051468138 /nfs/dbraw/zinc/46/81/38/1051468138.db2.gz MPXFNAJKHLBQPD-CBBWQLFWSA-N 0 3 308.491 4.299 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1sncc1Br ZINC001168980651 1051476996 /nfs/dbraw/zinc/47/69/96/1051476996.db2.gz LEABRMKXGLFSOX-ZYHUDNBSSA-N 0 3 317.296 4.354 20 0 DIADHN CC(C)n1cc([C@@H](C)NC/C=C\c2ccc(Cl)cc2)cn1 ZINC001168997656 1051484613 /nfs/dbraw/zinc/48/46/13/1051484613.db2.gz XAZXPWHRGPWALT-ZRUQZJFASA-N 0 3 303.837 4.481 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)c(F)c1)C[C@H]1CCCO1 ZINC001168997696 1051485870 /nfs/dbraw/zinc/48/58/70/1051485870.db2.gz XSGDJWVJUMMLRF-QGZVFWFLSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1ccc2nc(Cl)c(CN3C[C@@H]4CCC[C@@H]4C3)cc2c1 ZINC001137918924 1051486359 /nfs/dbraw/zinc/48/63/59/1051486359.db2.gz URRUVDBEUFDCOK-OKILXGFUSA-N 0 3 300.833 4.429 20 0 DIADHN O=C(C1CCN(C2CCC3(CCCC3)CC2)CC1)C(F)(F)F ZINC001172729202 1051487689 /nfs/dbraw/zinc/48/76/89/1051487689.db2.gz BGONNYHDBSFTOR-UHFFFAOYSA-N 0 3 317.395 4.333 20 0 DIADHN CCc1nc([C@H](C)NCC2([C@H]3CCCCO3)CCC2)cs1 ZINC001168991143 1051491065 /nfs/dbraw/zinc/49/10/65/1051491065.db2.gz ARPFUJYOPCHCJT-DZGCQCFKSA-N 0 3 308.491 4.096 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1cc(-c3ccccc3)no1)C2 ZINC001172708826 1051494140 /nfs/dbraw/zinc/49/41/40/1051494140.db2.gz NPWFKLPGKKNTJO-KRWDZBQOSA-N 0 3 324.811 4.252 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169051556 1051497219 /nfs/dbraw/zinc/49/72/19/1051497219.db2.gz XMQDIRANMAVBBH-FXAWDEMLSA-N 0 3 322.452 4.235 20 0 DIADHN Clc1ccc2c(c1)C[C@H](N[C@H]1COc3ccc(Cl)cc31)C2 ZINC001172714509 1051497508 /nfs/dbraw/zinc/49/75/08/1051497508.db2.gz AFVVGWLKXGMWDZ-ZBFHGGJFSA-N 0 3 320.219 4.184 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccc(F)cc1 ZINC001172754863 1051497550 /nfs/dbraw/zinc/49/75/50/1051497550.db2.gz JTHGDXHERYTATE-PBHICJAKSA-N 0 3 307.409 4.083 20 0 DIADHN Cc1ccc(CN)nc1N(C)C1CCC(Cc2ccccc2)CC1 ZINC001172816328 1051510208 /nfs/dbraw/zinc/51/02/08/1051510208.db2.gz GVMXWGXTQXWTEJ-UHFFFAOYSA-N 0 3 323.484 4.086 20 0 DIADHN CCc1ccccc1CCN[C@@H](COCC(C)C)c1ccco1 ZINC001169101975 1051511648 /nfs/dbraw/zinc/51/16/48/1051511648.db2.gz JMMSVEOOALWRPY-IBGZPJMESA-N 0 3 315.457 4.388 20 0 DIADHN Clc1cccnc1CNC1(Cc2ccccc2)CCCC1 ZINC001169101589 1051512519 /nfs/dbraw/zinc/51/25/19/1051512519.db2.gz FAOJKKVPLZHRNS-UHFFFAOYSA-N 0 3 300.833 4.380 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)N1CCO[C@@H](c2ccncc2)C1 ZINC001169083827 1051513471 /nfs/dbraw/zinc/51/34/71/1051513471.db2.gz GUHAGAUMXOPSRK-LEWJYISDSA-N 0 3 324.468 4.112 20 0 DIADHN Cc1ccc2c(c1)C[C@H](n1nc(-c3ccccc3)cc1CN)CC2 ZINC001172826033 1051516851 /nfs/dbraw/zinc/51/68/51/1051516851.db2.gz NNVUBWHWSPXMMX-LJQANCHMSA-N 0 3 317.436 4.047 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1C[C@H](O)c1ccc(Cl)cc1Cl ZINC000062350817 1051523297 /nfs/dbraw/zinc/52/32/97/1051523297.db2.gz ZTRZNCKJGHJZLL-RWSFTLGLSA-N 0 3 302.245 4.290 20 0 DIADHN CCc1ccc([C@@H](COC)NCCCc2c(F)cccc2F)o1 ZINC001169113952 1051531664 /nfs/dbraw/zinc/53/16/64/1051531664.db2.gz VUXXZEZSONYJJL-QGZVFWFLSA-N 0 3 323.383 4.030 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NC1(c2ccccn2)CC1 ZINC001172845158 1051532648 /nfs/dbraw/zinc/53/26/48/1051532648.db2.gz LSXZENNMZPRUKG-LBPRGKRZSA-N 0 3 304.796 4.084 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cccnc1Cl ZINC001172847077 1051534585 /nfs/dbraw/zinc/53/45/85/1051534585.db2.gz ZHCKKGALBLGXHS-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1cn(C2CCC2)cn1 ZINC001172856364 1051540645 /nfs/dbraw/zinc/54/06/45/1051540645.db2.gz GLRZCCNKXJFYEO-LBPRGKRZSA-N 0 3 321.827 4.121 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CC[C@]2(CC2(F)F)C1 ZINC001172859260 1051545418 /nfs/dbraw/zinc/54/54/18/1051545418.db2.gz ZDKQMCJTCKUXLY-YGRLFVJLSA-N 0 3 303.755 4.141 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1noc2ccccc21 ZINC001172862409 1051548242 /nfs/dbraw/zinc/54/82/42/1051548242.db2.gz YVXBSKLBWJJJHW-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1cccnc1Cl ZINC001172863803 1051549716 /nfs/dbraw/zinc/54/97/16/1051549716.db2.gz QDENKKAJFODGDP-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(N[C@H]2CCCC(F)(F)C2)n1 ZINC001169213896 1051551202 /nfs/dbraw/zinc/55/12/02/1051551202.db2.gz REHVDCMMSGUNLU-KGLIPLIRSA-N 0 3 309.404 4.450 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@H]2CCCC(F)(F)C2)nc1 ZINC001169214418 1051551788 /nfs/dbraw/zinc/55/17/88/1051551788.db2.gz YJGFLTXMHSJURB-GJZGRUSLSA-N 0 3 309.404 4.228 20 0 DIADHN C[C@@H](N[C@H]1CCCOC1)c1ccccc1OCc1ccccc1 ZINC000177929079 1051555687 /nfs/dbraw/zinc/55/56/87/1051555687.db2.gz KRYAKYSMPOQUCR-AEFFLSMTSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1ccc(CN[C@@H](C)CSc2ccc(Cl)cc2)nc1 ZINC001172871365 1051556421 /nfs/dbraw/zinc/55/64/21/1051556421.db2.gz OKZUCEUNPDLJIW-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccnc(CN[C@@H](C)CSc2ccc(Cl)cc2)c1 ZINC001172871896 1051556442 /nfs/dbraw/zinc/55/64/42/1051556442.db2.gz RDMTUOGGYOZZHX-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN CC[C@@H](C)CN(CC)C(=O)c1oc2ccccc2c1CN(C)C ZINC000177987924 1051557663 /nfs/dbraw/zinc/55/76/63/1051557663.db2.gz KJCRQLDCNJLVLB-CQSZACIVSA-N 0 3 316.445 4.003 20 0 DIADHN Cc1ccc(/C=C/c2ccc(-n3ccc([C@@H](C)N)n3)cc2)cc1 ZINC001169233205 1051558262 /nfs/dbraw/zinc/55/82/62/1051558262.db2.gz OCSHSYQUPPUGGF-KXPUMZMLSA-N 0 3 303.409 4.371 20 0 DIADHN CCCCOc1ccc2cc(-n3ccc([C@H](C)N)n3)ccc2c1 ZINC001169234533 1051560457 /nfs/dbraw/zinc/56/04/57/1051560457.db2.gz YBXBOALURANXFA-AWEZNQCLSA-N 0 3 309.413 4.224 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](C)c2ccc(F)nc2)cc1 ZINC000930195757 1051562761 /nfs/dbraw/zinc/56/27/61/1051562761.db2.gz VCRIEWAPGHTFKP-KBPBESRZSA-N 0 3 302.393 4.420 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](Cc1ccccc1)c1ccccc1 ZINC000178297090 1051566175 /nfs/dbraw/zinc/56/61/75/1051566175.db2.gz KMVQVDQVLJODNV-IIBYNOLFSA-N 0 3 319.452 4.363 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N[C@H]1CCc2cccnc21 ZINC001172884214 1051566992 /nfs/dbraw/zinc/56/69/92/1051566992.db2.gz WRIDYRIRDXYVQJ-LRDDRELGSA-N 0 3 318.873 4.493 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCCC[C@@H]2C)cc1Cl ZINC001169283202 1051568874 /nfs/dbraw/zinc/56/88/74/1051568874.db2.gz HRROMCWHFTUQJH-BBRMVZONSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](CO)N(Cc1ccc(Cl)cc1Cl)C1CCCC1 ZINC001169283788 1051570619 /nfs/dbraw/zinc/57/06/19/1051570619.db2.gz MIUSNQPMUWJMKV-LLVKDONJSA-N 0 3 302.245 4.119 20 0 DIADHN CC(C)C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccs1 ZINC000178571535 1051577328 /nfs/dbraw/zinc/57/73/28/1051577328.db2.gz MXJHJIVGJKGUKG-XYJFISCASA-N 0 3 301.455 4.083 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000311973053 1051577787 /nfs/dbraw/zinc/57/77/87/1051577787.db2.gz PIYIJWJKANCFQN-XLKFXECMSA-N 0 3 322.663 4.458 20 0 DIADHN CC(C)c1cc(C(C)C)c(-n2nncc2CN)c(C(C)C)c1 ZINC001169309820 1051582434 /nfs/dbraw/zinc/58/24/34/1051582434.db2.gz KOEAFYJTOZKSNF-UHFFFAOYSA-N 0 3 300.450 4.096 20 0 DIADHN COC[C@H](C)N(Cc1sccc1C)Cc1cccc(OC)c1 ZINC001204023901 1051584010 /nfs/dbraw/zinc/58/40/10/1051584010.db2.gz QZPNXMCPJIOLGT-HNNXBMFYSA-N 0 3 319.470 4.102 20 0 DIADHN C[C@@H](CCO)CN[C@H](c1ccc(F)cc1)c1ccccc1Cl ZINC000493278686 1051609651 /nfs/dbraw/zinc/60/96/51/1051609651.db2.gz VCMZWKREIVURRG-SCLBCKFNSA-N 0 3 321.823 4.177 20 0 DIADHN NCc1cc(-c2ccncc2)nn1C1CCC2(CCCCC2)CC1 ZINC001173115549 1051612284 /nfs/dbraw/zinc/61/22/84/1051612284.db2.gz FSTNULLAQNYBGV-UHFFFAOYSA-N 0 3 324.472 4.469 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCOCC2(CCCC2)C1 ZINC001169452679 1051616467 /nfs/dbraw/zinc/61/64/67/1051616467.db2.gz XDIWJHFFSQLGII-UHFFFAOYSA-N 0 3 317.473 4.211 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2[C@H]2CCc3ncsc3C2)c1 ZINC001173135320 1051619198 /nfs/dbraw/zinc/61/91/98/1051619198.db2.gz BHACEOSVXYVNTB-LRDDRELGSA-N 0 3 320.408 4.116 20 0 DIADHN CC[C@H](C)[C@H](C(=O)OC)N(CC)C[C@@H](CC)c1ccccc1 ZINC001169482248 1051622584 /nfs/dbraw/zinc/62/25/84/1051622584.db2.gz HQQQDDKLQYQGCX-LZLYRXPVSA-N 0 3 305.462 4.090 20 0 DIADHN COc1cccc2c1C[C@H](N[C@H](C)c1ccccc1Cl)CO2 ZINC001173145162 1051623609 /nfs/dbraw/zinc/62/36/09/1051623609.db2.gz PFPDKKIUZHJWHT-OLZOCXBDSA-N 0 3 317.816 4.003 20 0 DIADHN CC[C@@H](NC(=O)CN1CCCCC[C@H]1C)c1ccc(Cl)cc1 ZINC001169462873 1051624312 /nfs/dbraw/zinc/62/43/12/1051624312.db2.gz RHSLUPSRDJEVMJ-RHSMWYFYSA-N 0 3 322.880 4.172 20 0 DIADHN CN(C)Cc1cccc(Nc2cccc(C(=O)C(F)(F)F)c2)c1 ZINC001203344201 1051627535 /nfs/dbraw/zinc/62/75/35/1051627535.db2.gz ANDSQVVPFSCGHS-UHFFFAOYSA-N 0 3 322.330 4.237 20 0 DIADHN c1ccc(OC2CCC(NCc3nc4ccccc4o3)CC2)cc1 ZINC001173192910 1051627729 /nfs/dbraw/zinc/62/77/29/1051627729.db2.gz LXCVIVYEZWQXRN-UHFFFAOYSA-N 0 3 322.408 4.308 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1ncccc1Br ZINC001170481050 1051640387 /nfs/dbraw/zinc/64/03/87/1051640387.db2.gz QHJXAIYCVCBTMR-OAHLLOKOSA-N 0 3 311.267 4.245 20 0 DIADHN CCc1ccc(C[C@@H](C)n2nc(-c3ccccc3)cc2CN)cc1 ZINC001173235206 1051641693 /nfs/dbraw/zinc/64/16/93/1051641693.db2.gz KFDCMKUAHONIMN-MRXNPFEDSA-N 0 3 319.452 4.375 20 0 DIADHN CCCCC(=O)[C@H](C)Nc1ccc(OC)cc1CN(CC)CC ZINC001169551789 1051642642 /nfs/dbraw/zinc/64/26/42/1051642642.db2.gz WFOMJVYJAYLITJ-HNNXBMFYSA-N 0 3 320.477 4.097 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)N1CCOC[C@H]1C ZINC001173205668 1051643596 /nfs/dbraw/zinc/64/35/96/1051643596.db2.gz DMOBYPSXCSSHRR-ZWKOTPCHSA-N 0 3 309.453 4.318 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)N1CCO[C@@H](C)C1 ZINC001173207880 1051646919 /nfs/dbraw/zinc/64/69/19/1051646919.db2.gz XZJHWMNEEHBNOW-ROUUACIJSA-N 0 3 309.453 4.318 20 0 DIADHN C[NH+](C)CCCOc1ccc(Nc2ccc(Cl)c([O-])c2)cc1 ZINC001212591490 1051647118 /nfs/dbraw/zinc/64/71/18/1051647118.db2.gz PIMVTODBHWEOCU-UHFFFAOYSA-N 0 3 320.820 4.120 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1ccc2ccccc2n1 ZINC000493737299 1051651461 /nfs/dbraw/zinc/65/14/61/1051651461.db2.gz MKGUCXDVFMGODA-FOIQADDNSA-N 0 3 306.409 4.101 20 0 DIADHN CCc1ccc(C[C@H](C)N2CCc3ccc(C(C)=O)cc3C2)cc1 ZINC001173249640 1051652729 /nfs/dbraw/zinc/65/27/29/1051652729.db2.gz YNDIFBGZYLKXGI-INIZCTEOSA-N 0 3 321.464 4.441 20 0 DIADHN CCCC[C@@H](CC(C)C)N1CCc2nc(C3CC3)ncc2C1 ZINC001173260866 1051661763 /nfs/dbraw/zinc/66/17/63/1051661763.db2.gz ULTLHKSZYWARDT-KRWDZBQOSA-N 0 3 301.478 4.317 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccccc1OC(C)C ZINC000493897824 1051665788 /nfs/dbraw/zinc/66/57/88/1051665788.db2.gz RLDHCUAAABXMSL-JXFKEZNVSA-N 0 3 313.441 4.340 20 0 DIADHN CC[C@H]1CC[C@H](NCc2csc(-c3ccc(OC)cc3)n2)C1 ZINC001173266899 1051666034 /nfs/dbraw/zinc/66/60/34/1051666034.db2.gz ONQWHUNXWBUEQV-ZFWWWQNUSA-N 0 3 316.470 4.487 20 0 DIADHN CC[C@H]1CC[C@H](NCc2coc(-c3cccc(OC)c3)n2)C1 ZINC001173274368 1051670789 /nfs/dbraw/zinc/67/07/89/1051670789.db2.gz IQCOURXFKRDBAI-ZFWWWQNUSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1ccc(C[C@H](C)n2c3ccccc3nc2[C@@H](C)N)cc1 ZINC001173236662 1051671026 /nfs/dbraw/zinc/67/10/26/1051671026.db2.gz BLKDBAMVHGUJHN-LSDHHAIUSA-N 0 3 307.441 4.422 20 0 DIADHN CCSC[C@@H](C)N[C@H](C)c1cc(Cl)cc(OC)c1OC ZINC001173294820 1051677037 /nfs/dbraw/zinc/67/70/37/1051677037.db2.gz FDWSYFUFIOEOJQ-GHMZBOCLSA-N 0 3 317.882 4.149 20 0 DIADHN CCc1ccc(C[C@H](C)N2CCC[C@H]2c2nccs2)cc1 ZINC001173242062 1051672787 /nfs/dbraw/zinc/67/27/87/1051672787.db2.gz CQXZCWUTXLFHCH-YOEHRIQHSA-N 0 3 300.471 4.474 20 0 DIADHN Cc1ccc2c(c1)[C@@H]([NH3+])CCCN2c1ccc2c(c1)[N-]C(=S)C2 ZINC001169689549 1051672955 /nfs/dbraw/zinc/67/29/55/1051672955.db2.gz KYZXAUCLSBXLJR-INIZCTEOSA-N 0 3 323.465 4.222 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494002250 1051674764 /nfs/dbraw/zinc/67/47/64/1051674764.db2.gz ACELYPNXZXIKAL-MLGOLLRUSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@H](NC[C@H](OC)c1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494005495 1051674855 /nfs/dbraw/zinc/67/48/55/1051674855.db2.gz ZYIBKOBWSQOTES-RDJZCZTQSA-N 0 3 322.811 4.303 20 0 DIADHN CC[C@H]1CC[C@H](N2Cc3cnc(-c4ccc(F)cc4)nc3C2)C1 ZINC001173296806 1051678187 /nfs/dbraw/zinc/67/81/87/1051678187.db2.gz WBFYDEQCRFXAIS-GUYCJALGSA-N 0 3 311.404 4.177 20 0 DIADHN CCCc1csc(CNC[C@@H](Nc2ccccc2)C(C)C)n1 ZINC000494089146 1051688243 /nfs/dbraw/zinc/68/82/43/1051688243.db2.gz LPQYGJJOCOHPPK-QGZVFWFLSA-N 0 3 317.502 4.322 20 0 DIADHN CSc1ccc(NC(=O)CN(C)[C@H](C)C2CCCCC2)cc1 ZINC001169733292 1051690762 /nfs/dbraw/zinc/69/07/62/1051690762.db2.gz LRQNNXGLLMYIAP-CQSZACIVSA-N 0 3 320.502 4.248 20 0 DIADHN Cc1nc2ccc(CN[C@@H]3CCc4c3cccc4O)cc2s1 ZINC000494104800 1051691442 /nfs/dbraw/zinc/69/14/42/1051691442.db2.gz FPDKAIYAYWQGHO-OAHLLOKOSA-N 0 3 310.422 4.087 20 0 DIADHN Cc1cc(CN(CCc2cccc(F)c2)C2CCCC2)no1 ZINC000152539732 1051692915 /nfs/dbraw/zinc/69/29/15/1051692915.db2.gz RFVAHBAKRPTNLA-UHFFFAOYSA-N 0 3 302.393 4.109 20 0 DIADHN c1cc([C@H]2CN([C@H]3CCCC4(CCCCC4)C3)CCO2)ccn1 ZINC001173348942 1051704742 /nfs/dbraw/zinc/70/47/42/1051704742.db2.gz MCYZLYSXCVTZFO-RBUKOAKNSA-N 0 3 314.473 4.348 20 0 DIADHN c1ccc([C@@H]2C[C@H]2Nc2cc(CN3CCCCC3)ccn2)cc1 ZINC001169811139 1051705123 /nfs/dbraw/zinc/70/51/23/1051705123.db2.gz QLAJNFIWUBNEBB-RBUKOAKNSA-N 0 3 307.441 4.036 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc(CO)cc2)cc1 ZINC000183105217 1051707565 /nfs/dbraw/zinc/70/75/65/1051707565.db2.gz DVWMTTJDVZVEGH-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN Cc1c(F)ccc(F)c1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001216344833 1051710936 /nfs/dbraw/zinc/71/09/36/1051710936.db2.gz KDEGXRPOGNYBKI-INIZCTEOSA-N 0 3 318.367 4.402 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3scnc3Cl)C2)cc1 ZINC001169853252 1051714224 /nfs/dbraw/zinc/71/42/24/1051714224.db2.gz DEXNHHGSNHQNGW-TZMCWYRMSA-N 0 3 306.862 4.483 20 0 DIADHN Cc1cc2cc(NCc3cccnc3N3CCCC3)ccc2cn1 ZINC001169861096 1051718635 /nfs/dbraw/zinc/71/86/35/1051718635.db2.gz LRAHLUGEOZQLQJ-UHFFFAOYSA-N 0 3 318.424 4.151 20 0 DIADHN Cc1cc(C(=O)N(C)C)ccc1Nc1ccnc(C2CCC2)c1 ZINC001212729822 1051720921 /nfs/dbraw/zinc/72/09/21/1051720921.db2.gz RYVXCOUKFKDPHZ-UHFFFAOYSA-N 0 3 309.413 4.103 20 0 DIADHN Fc1cc2c(cc1F)CC(N1CCCC[C@H]1c1cccnc1)C2 ZINC001173480973 1051724808 /nfs/dbraw/zinc/72/48/08/1051724808.db2.gz BVJKMMQVKMFVFZ-IBGZPJMESA-N 0 3 314.379 4.054 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCc3nc(Cl)ccc32)c1 ZINC000806132777 1051732760 /nfs/dbraw/zinc/73/27/60/1051732760.db2.gz ZYGDCDGFNVBOCP-NHYWBVRUSA-N 0 3 302.805 4.082 20 0 DIADHN Clc1ccc2c(n1)CC[C@H]2NCc1cccc2cccnc21 ZINC000806135782 1051735419 /nfs/dbraw/zinc/73/54/19/1051735419.db2.gz ONHQBXCXUFNMPQ-OAHLLOKOSA-N 0 3 309.800 4.060 20 0 DIADHN Cc1cc(CN)nn1[C@@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173541718 1051737971 /nfs/dbraw/zinc/73/79/71/1051737971.db2.gz COVIWAWFJXBORT-JTQLQIEISA-N 0 3 312.244 4.151 20 0 DIADHN C[C@@H](N)c1nccn1[C@@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173544187 1051738050 /nfs/dbraw/zinc/73/80/50/1051738050.db2.gz PYSMLEKYKQXVNQ-WDEREUQCSA-N 0 3 312.244 4.403 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1ccncn1 ZINC001173549800 1051749191 /nfs/dbraw/zinc/74/91/91/1051749191.db2.gz JIGOMTBTMFHIIU-LBPRGKRZSA-N 0 3 324.255 4.237 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@H]1CCc3nc(Cl)ccc31)CC2 ZINC000806145073 1051753005 /nfs/dbraw/zinc/75/30/05/1051753005.db2.gz CBERDQKOMOAKPB-HOCLYGCPSA-N 0 3 302.780 4.139 20 0 DIADHN Clc1ccc2c(n1)CC[C@@H]2N[C@@H]1CCCc2sccc21 ZINC000806160488 1051763458 /nfs/dbraw/zinc/76/34/58/1051763458.db2.gz SFDFYYSHOVGGHL-OLZOCXBDSA-N 0 3 304.846 4.451 20 0 DIADHN Oc1cc(F)cc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000185449889 1051765945 /nfs/dbraw/zinc/76/59/45/1051765945.db2.gz XBUKSDTWMNQVEV-KRWDZBQOSA-N 0 3 305.780 4.426 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806164975 1051766813 /nfs/dbraw/zinc/76/68/13/1051766813.db2.gz BPUNDGPJTJOBIM-OAHLLOKOSA-N 0 3 318.873 4.375 20 0 DIADHN C[C@H](CCOCc1ccccc1)N[C@H](C)c1cccnc1Cl ZINC000806183827 1051769388 /nfs/dbraw/zinc/76/93/88/1051769388.db2.gz XYYBLIUYQBPISB-HUUCEWRRSA-N 0 3 318.848 4.381 20 0 DIADHN Oc1cc(F)cc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c1 ZINC000185515801 1051770543 /nfs/dbraw/zinc/77/05/43/1051770543.db2.gz PDJFYNPKWQBSEP-GOSISDBHSA-N 0 3 303.352 4.301 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)CCC(=O)C1CC1 ZINC000767354842 1051790885 /nfs/dbraw/zinc/79/08/85/1051790885.db2.gz UDZKXQJHXQOJRS-JTQLQIEISA-N 0 3 300.229 4.355 20 0 DIADHN COc1ccccc1[C@@H](CNCc1ccsc1)OC(C)C ZINC000185722751 1051781696 /nfs/dbraw/zinc/78/16/96/1051781696.db2.gz CGTHXEDIJVAYES-QGZVFWFLSA-N 0 3 305.443 4.013 20 0 DIADHN O=C(CCN1CC=C(c2cccc3ccccc32)CC1)C1CC1 ZINC000767351947 1051792737 /nfs/dbraw/zinc/79/27/37/1051792737.db2.gz CFHOCPTYVVXVBQ-UHFFFAOYSA-N 0 3 305.421 4.298 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NCc1cc(C)oc1C ZINC000767371014 1051796520 /nfs/dbraw/zinc/79/65/20/1051796520.db2.gz SKQADMSUELSLSK-PXAZEXFGSA-N 0 3 307.821 4.416 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1sccc1OC)c1ccco1 ZINC000186136502 1051797053 /nfs/dbraw/zinc/79/70/53/1051797053.db2.gz TYORXMPKHGEURM-KBPBESRZSA-N 0 3 322.474 4.083 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2ccc(C(C)(C)C)cc2)c(C)o1 ZINC000767371416 1051797762 /nfs/dbraw/zinc/79/77/62/1051797762.db2.gz WUKBVCAFJMDITM-GOSISDBHSA-N 0 3 301.430 4.017 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H]1CCc2c1cccc2OC ZINC000186194867 1051800738 /nfs/dbraw/zinc/80/07/38/1051800738.db2.gz SROWMSSCTMNQQM-RISCZKNCSA-N 0 3 303.427 4.103 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(C)cc3)c2C1 ZINC001173800311 1051808699 /nfs/dbraw/zinc/80/86/99/1051808699.db2.gz AZIPMXDCUXEPPV-UHFFFAOYSA-N 0 3 301.459 4.150 20 0 DIADHN COc1ccccc1/C=C/CN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000186410592 1051813045 /nfs/dbraw/zinc/81/30/45/1051813045.db2.gz JBJVVNVKNSIFPX-MCFURUIZSA-N 0 3 308.425 4.187 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)N[C@H](CCO)c1ccco1 ZINC000186522541 1051819585 /nfs/dbraw/zinc/81/95/85/1051819585.db2.gz KJNMIKGADSOPDE-SJORKVTESA-N 0 3 319.470 4.110 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccnn2-c2ccccc2)s1 ZINC000467346140 1051823797 /nfs/dbraw/zinc/82/37/97/1051823797.db2.gz JRVWPKKKPWEUET-AWEZNQCLSA-N 0 3 311.454 4.347 20 0 DIADHN CCOc1ccccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001173850528 1051825224 /nfs/dbraw/zinc/82/52/24/1051825224.db2.gz QRVMHDBNQQFEGM-QGZVFWFLSA-N 0 3 312.413 4.214 20 0 DIADHN C[C@H](N[C@@H](c1ccco1)c1ccccc1)C1CCSCC1 ZINC000186950451 1051834237 /nfs/dbraw/zinc/83/42/37/1051834237.db2.gz OJFJPFTUFALTLZ-KBXCAEBGSA-N 0 3 301.455 4.490 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(F)c(F)c3)c2C1 ZINC001173866497 1051837737 /nfs/dbraw/zinc/83/77/37/1051837737.db2.gz KQBOBGZFGWIJLY-UHFFFAOYSA-N 0 3 323.412 4.120 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)NC1(c2ccc(F)cc2F)CC1 ZINC001168464665 1051845990 /nfs/dbraw/zinc/84/59/90/1051845990.db2.gz JDVWAKKURHHOCL-LBPRGKRZSA-N 0 3 305.343 4.314 20 0 DIADHN C[C@@H](N[C@H]1CCOC2(CCCCC2)C1)c1c(F)cccc1F ZINC000767820506 1051856569 /nfs/dbraw/zinc/85/65/69/1051856569.db2.gz SPDZZSUTKJUUQN-KGLIPLIRSA-N 0 3 309.400 4.497 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(Cc2cc3ccccc3o2)CC1 ZINC000767888704 1051860507 /nfs/dbraw/zinc/86/05/07/1051860507.db2.gz RVNUZYPOBBZAEV-OAQYLSRUSA-N 0 3 321.420 4.378 20 0 DIADHN Cc1ccc(CN(CC[C@H]2CCCO2)Cc2ccco2)s1 ZINC000188903466 1051860840 /nfs/dbraw/zinc/86/08/40/1051860840.db2.gz KNSZMUCOHDDJCN-OAHLLOKOSA-N 0 3 305.443 4.221 20 0 DIADHN COc1cc(Nc2ccnc(C3CCC3)c2)cc(OC)c1OC ZINC001173904651 1051867807 /nfs/dbraw/zinc/86/78/07/1051867807.db2.gz CCAUJBXPGDYTIR-UHFFFAOYSA-N 0 3 314.385 4.119 20 0 DIADHN Cc1nc2ccc(CNCc3ccc(F)c(C)c3)cc2s1 ZINC000494333787 1051874168 /nfs/dbraw/zinc/87/41/68/1051874168.db2.gz SZMMMNBFLWEUPB-UHFFFAOYSA-N 0 3 300.402 4.342 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cc(F)cc(F)c3)cccc21 ZINC001173916460 1051876561 /nfs/dbraw/zinc/87/65/61/1051876561.db2.gz PUDNYBAZVYYWMN-INIZCTEOSA-N 0 3 304.340 4.094 20 0 DIADHN COC1(C[C@H](C)N[C@@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000494392013 1051890678 /nfs/dbraw/zinc/89/06/78/1051890678.db2.gz JSVYRESADZMLKD-UWVGGRQHSA-N 0 3 322.396 4.160 20 0 DIADHN CCCN(CC[S@](=O)c1ccccc1)Cc1ccc(C)cc1 ZINC000609203786 1051891446 /nfs/dbraw/zinc/89/14/46/1051891446.db2.gz QMOMWBSTGOXFPE-QFIPXVFZSA-N 0 3 315.482 4.015 20 0 DIADHN Fc1cc(Cl)ccc1CNCc1cc(-n2ccnc2)cs1 ZINC000494456040 1051896616 /nfs/dbraw/zinc/89/66/16/1051896616.db2.gz PMRHTXOMTILFFF-UHFFFAOYSA-N 0 3 321.808 4.016 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@@H]1CCOc2c(F)cccc21 ZINC000494457174 1051897300 /nfs/dbraw/zinc/89/73/00/1051897300.db2.gz YOGCURHGOYPPQZ-FSPWUOQZSA-N 0 3 315.388 4.015 20 0 DIADHN Cc1cccc(OCCCN[C@H](C)c2ccc(Cl)cn2)c1C ZINC000494505140 1051899115 /nfs/dbraw/zinc/89/91/15/1051899115.db2.gz ORMHRXNKEOZRGO-OAHLLOKOSA-N 0 3 318.848 4.472 20 0 DIADHN COc1nc(Nc2ccccc2CN(C)C)cc2ccccc21 ZINC001173928456 1051900440 /nfs/dbraw/zinc/90/04/40/1051900440.db2.gz AJLYRZWBRVKXOQ-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccccc1N1CCCCC1 ZINC001173929894 1051901885 /nfs/dbraw/zinc/90/18/85/1051901885.db2.gz LNPDXMICBILPPQ-UHFFFAOYSA-N 0 3 309.457 4.482 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cscc1C)CCS2 ZINC000494471315 1051901932 /nfs/dbraw/zinc/90/19/32/1051901932.db2.gz FMHYJBIOGKMVOT-HNNXBMFYSA-N 0 3 305.468 4.392 20 0 DIADHN CCOc1ccc(OCC)c(Nc2ccccc2CN(C)C)c1 ZINC001173930485 1051902114 /nfs/dbraw/zinc/90/21/14/1051902114.db2.gz ZGRLIZPZXIVVBO-UHFFFAOYSA-N 0 3 314.429 4.289 20 0 DIADHN CC(C)n1ncc2cc(Nc3ccccc3CN(C)C)ccc21 ZINC001173931125 1051903016 /nfs/dbraw/zinc/90/30/16/1051903016.db2.gz WIQVCQWBUBENHU-UHFFFAOYSA-N 0 3 308.429 4.422 20 0 DIADHN CC(C)Oc1cc(F)ccc1Nc1ccccc1CN(C)C ZINC001173930517 1051903553 /nfs/dbraw/zinc/90/35/53/1051903553.db2.gz HVYVDLXBBCDPIN-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN Cc1cc(Nc2ccccc2CN(C)C)n(-c2ccc(F)cc2)n1 ZINC001173929283 1051903743 /nfs/dbraw/zinc/90/37/43/1051903743.db2.gz ODYMWNGNHGFNLQ-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN CC(=O)Nc1ccc(CN2CC=C(c3ccc(C)cc3)CC2)cc1 ZINC000768215048 1051903874 /nfs/dbraw/zinc/90/38/74/1051903874.db2.gz VMCSBASHQFWRLF-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN CN(C)Cc1ccccc1Nc1c(N)cccc1C(F)(F)F ZINC001173931092 1051903876 /nfs/dbraw/zinc/90/38/76/1051903876.db2.gz VABBGQQQPANHBE-UHFFFAOYSA-N 0 3 309.335 4.093 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(OCC(F)(F)F)cc1 ZINC001173932626 1051904403 /nfs/dbraw/zinc/90/44/03/1051904403.db2.gz UIAZYADYMHWIRT-UHFFFAOYSA-N 0 3 324.346 4.433 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc2c(c1)NC(=O)CC2(C)C ZINC001173932152 1051904603 /nfs/dbraw/zinc/90/46/03/1051904603.db2.gz OXQFUJAQLAFXJU-UHFFFAOYSA-N 0 3 323.440 4.112 20 0 DIADHN CN(Cc1ccc2c(c1)COC2)Cc1ccccc1C(F)(F)F ZINC000768231671 1051906890 /nfs/dbraw/zinc/90/68/90/1051906890.db2.gz VQLXYUJWRQFQGR-UHFFFAOYSA-N 0 3 321.342 4.368 20 0 DIADHN FC(F)(F)c1cccnc1CN(CCc1ccccc1)C1CC1 ZINC000768251895 1051910130 /nfs/dbraw/zinc/91/01/30/1051910130.db2.gz BLAAUJGZZUBMQZ-UHFFFAOYSA-N 0 3 320.358 4.308 20 0 DIADHN Cc1cscc1CN[C@@H](c1ccc2[nH]c(=O)oc2c1)C(C)C ZINC000494507943 1051911015 /nfs/dbraw/zinc/91/10/15/1051911015.db2.gz IJJNIMNJKIZEDE-MRXNPFEDSA-N 0 3 316.426 4.390 20 0 DIADHN Cc1csc(CCCN[C@@H](C)c2csc(C(C)C)n2)n1 ZINC000186309190 1051918758 /nfs/dbraw/zinc/91/87/58/1051918758.db2.gz PGIZNQYSCNPFEP-LBPRGKRZSA-N 0 3 309.504 4.315 20 0 DIADHN CCSc1cc(CNC/C=C/c2ccccc2OC)ccn1 ZINC000189582803 1051919900 /nfs/dbraw/zinc/91/99/00/1051919900.db2.gz FPCSRXXNRFRZFG-SOFGYWHQSA-N 0 3 314.454 4.005 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3ccc(C(C)C)cc32)cc1O ZINC000189615835 1051922023 /nfs/dbraw/zinc/92/20/23/1051922023.db2.gz UXANEGCZOHDEPB-GOSISDBHSA-N 0 3 311.425 4.301 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@@H]1C[C@H](O)c1ccco1 ZINC000768507370 1051935179 /nfs/dbraw/zinc/93/51/79/1051935179.db2.gz BPSNFBXANVHCDK-SJORKVTESA-N 0 3 319.832 4.330 20 0 DIADHN Clc1ccc(CNCc2ccc(OCC3CC3)cc2)s1 ZINC000190723814 1051950086 /nfs/dbraw/zinc/95/00/86/1051950086.db2.gz GPEJMOXEUMSHFB-UHFFFAOYSA-N 0 3 307.846 4.480 20 0 DIADHN C[C@H](N[C@@H](CO)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000223643188 1051950867 /nfs/dbraw/zinc/95/08/67/1051950867.db2.gz DJVCTFJXIUZROW-GXTWGEPZSA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)N(C)c1ccc(CNCc2ccc3ccccc3c2)cn1 ZINC000190881071 1051953272 /nfs/dbraw/zinc/95/32/72/1051953272.db2.gz YRFJOEHPKZGHRI-UHFFFAOYSA-N 0 3 319.452 4.369 20 0 DIADHN Cc1c([C@H](C)NCCO[C@@H]2CCCC[C@@H]2C)cnn1C(C)C ZINC000191193124 1051963269 /nfs/dbraw/zinc/96/32/69/1051963269.db2.gz FJKLIKBUUNXRCV-RLFYNMQTSA-N 0 3 307.482 4.018 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000191646571 1051980841 /nfs/dbraw/zinc/98/08/41/1051980841.db2.gz GZQXVNQOQJXDPZ-IVZWLZJFSA-N 0 3 307.768 4.015 20 0 DIADHN CC[C@]1(C)CCCN(C[C@@H](O)c2ccccc2C(F)(F)F)C1 ZINC000743860477 1051976386 /nfs/dbraw/zinc/97/63/86/1051976386.db2.gz IERMBHFPOLUOLZ-HZPDHXFCSA-N 0 3 315.379 4.251 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CCCC(C)(C)C2)cn1 ZINC000768892866 1051978156 /nfs/dbraw/zinc/97/81/56/1051978156.db2.gz RGYJYUUJRVPBNN-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000191646542 1051979441 /nfs/dbraw/zinc/97/94/41/1051979441.db2.gz GZQXVNQOQJXDPZ-LPEHRKFASA-N 0 3 307.768 4.015 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(C)ccc3F)c2C1 ZINC001174111546 1051986084 /nfs/dbraw/zinc/98/60/84/1051986084.db2.gz SQVADDABMDWKLD-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN C[C@H](COc1ccc(C(C)(C)C)cc1)NCc1cncc(F)c1 ZINC000494610198 1051986560 /nfs/dbraw/zinc/98/65/60/1051986560.db2.gz YMBHFSGYADXYTP-CQSZACIVSA-N 0 3 316.420 4.075 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCCC3(C)C)o2)cc1 ZINC000191855262 1051988067 /nfs/dbraw/zinc/98/80/67/1051988067.db2.gz UQIXSFQJGOUVRI-MRXNPFEDSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1cc(C)cc([C@H](N[C@H]2CCCSC2)c2cccnc2)c1 ZINC000769009998 1051994367 /nfs/dbraw/zinc/99/43/67/1051994367.db2.gz AGQFFXXFYHRGIN-RBUKOAKNSA-N 0 3 312.482 4.273 20 0 DIADHN Cc1cc(C)c(CN2Cc3ccc(O)cc3C3(CC3)C2)c(C)c1 ZINC001170548572 1052002713 /nfs/dbraw/zinc/00/27/13/1052002713.db2.gz HJHHUKLTBXPXQD-UHFFFAOYSA-N 0 3 307.437 4.365 20 0 DIADHN O[C@H](c1ccccc1)[C@@H]1CCCCN1Cc1cc(F)cc(F)c1 ZINC000769242626 1052008757 /nfs/dbraw/zinc/00/87/57/1052008757.db2.gz JZYYSSVCZOIJQA-RBUKOAKNSA-N 0 3 317.379 4.053 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)cc2)c(C)c1OC ZINC000808376612 1052009463 /nfs/dbraw/zinc/00/94/63/1052009463.db2.gz XIMVKUQFKYFKNV-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(OC)c1C)c1ccc(F)cc1 ZINC000808385252 1052010288 /nfs/dbraw/zinc/01/02/88/1052010288.db2.gz OBFYOYOIFHBENX-QGZVFWFLSA-N 0 3 317.404 4.392 20 0 DIADHN CO[C@H](CN1C[C@@H](C(F)(F)F)CC[C@@H]1C)c1ccccc1 ZINC000670085426 1052026114 /nfs/dbraw/zinc/02/61/14/1052026114.db2.gz BQXUZJGZAWFGFP-AEGPPILISA-N 0 3 301.352 4.037 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc(C(=O)N3CCCC3)c2)ccn1 ZINC001174168901 1052031623 /nfs/dbraw/zinc/03/16/23/1052031623.db2.gz FCYFKTULZKPNQG-UHFFFAOYSA-N 0 3 323.440 4.359 20 0 DIADHN COc1cccc(CN2CCC3(CCCC3)C2)c1OC(F)F ZINC000769402243 1052039900 /nfs/dbraw/zinc/03/99/00/1052039900.db2.gz GEBHUAKZSAFKGI-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN CCCCNC(=O)c1cccc(Nc2ccnc(C3CC3)c2)c1 ZINC001174190380 1052040437 /nfs/dbraw/zinc/04/04/37/1052040437.db2.gz MCUARPFHSBIZMQ-UHFFFAOYSA-N 0 3 309.413 4.233 20 0 DIADHN Fc1cccc(F)c1-c1n[nH]cc1CN1CCC2(CCCC2)C1 ZINC000769393395 1052050276 /nfs/dbraw/zinc/05/02/76/1052050276.db2.gz BEOXOEVSVJYTCC-UHFFFAOYSA-N 0 3 317.383 4.121 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000769448564 1052056715 /nfs/dbraw/zinc/05/67/15/1052056715.db2.gz UNRDWSLWEQQKML-SWLSCSKDSA-N 0 3 320.868 4.286 20 0 DIADHN COCC[C@H](N[C@H](C)[C@H](OC)c1ccccc1)c1ccc(C)o1 ZINC000494765572 1052058764 /nfs/dbraw/zinc/05/87/64/1052058764.db2.gz RLRYCFASQLPITL-AYBZRNKSSA-N 0 3 317.429 4.031 20 0 DIADHN Cc1nsc(C)c1CN1Cc2cc(C)ccc2CC1(C)C ZINC001170559323 1052060165 /nfs/dbraw/zinc/06/01/65/1052060165.db2.gz MMHLHCPXKGPXJU-UHFFFAOYSA-N 0 3 300.471 4.405 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1CC[C@H](c2ccccc2)[C@H]1C ZINC000769499939 1052069243 /nfs/dbraw/zinc/06/92/43/1052069243.db2.gz XWFHZNGRSVHHTE-HIFRSBDPSA-N 0 3 317.864 4.014 20 0 DIADHN CC(C)C(=O)CCN(C)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000808713463 1052072583 /nfs/dbraw/zinc/07/25/83/1052072583.db2.gz OTWZIPPTKZSBOS-LBPRGKRZSA-N 0 3 301.352 4.313 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cccc4occc43)n2)cc1 ZINC001217485963 1052072990 /nfs/dbraw/zinc/07/29/90/1052072990.db2.gz BZZRDTRPJPRFOL-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CC(C)(NCc1ccc2c(c1)COC(C)(C)O2)c1cccs1 ZINC000769519965 1052074362 /nfs/dbraw/zinc/07/43/62/1052074362.db2.gz CXHKKLCWGVAPEG-UHFFFAOYSA-N 0 3 317.454 4.418 20 0 DIADHN Cc1cccc([C@@H](NCCCC2CCOCC2)c2ccccn2)c1 ZINC000769536194 1052077080 /nfs/dbraw/zinc/07/70/80/1052077080.db2.gz AMBRESMJJWTORR-OAQYLSRUSA-N 0 3 324.468 4.276 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1Cl)[C@@H](O)c1ccccc1 ZINC000808720642 1052078381 /nfs/dbraw/zinc/07/83/81/1052078381.db2.gz BHBDUIOGUXNUBL-XWCIJXRUSA-N 0 3 307.796 4.252 20 0 DIADHN Cc1cccc([C@@H](NCCc2ccccc2F)c2ccccn2)c1 ZINC000769539839 1052078822 /nfs/dbraw/zinc/07/88/22/1052078822.db2.gz ZRKWWTPHPJWQNV-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NC2CC(C(F)F)C2)cc1 ZINC000769537909 1052079143 /nfs/dbraw/zinc/07/91/43/1052079143.db2.gz NYKZJPWKEAOKOR-NHNAUAITSA-N 0 3 311.269 4.453 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000808720988 1052079740 /nfs/dbraw/zinc/07/97/40/1052079740.db2.gz FXXYZXZXTQWVTL-OCBCSQNSSA-N 0 3 305.368 4.128 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCCCC2(C)C)c(CN(C)C)c1 ZINC000194124004 1052096455 /nfs/dbraw/zinc/09/64/55/1052096455.db2.gz QUWODBXFRUOITG-MRXNPFEDSA-N 0 3 302.462 4.212 20 0 DIADHN C=Cn1cc(CN[C@H](Cc2ccccc2)c2cccs2)cn1 ZINC000194319148 1052106021 /nfs/dbraw/zinc/10/60/21/1052106021.db2.gz QTPFZIWDNPGNFP-QGZVFWFLSA-N 0 3 309.438 4.119 20 0 DIADHN CN(CCc1cccs1)Cc1cnc(-c2ccco2)s1 ZINC000194415051 1052111875 /nfs/dbraw/zinc/11/18/75/1052111875.db2.gz ITEVDBDXEOIAON-UHFFFAOYSA-N 0 3 304.440 4.139 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCOc1ccc(C(C)C)cc1 ZINC000769868938 1052112310 /nfs/dbraw/zinc/11/23/10/1052112310.db2.gz BBRBZFZNBLMBDH-AWEZNQCLSA-N 0 3 316.445 4.398 20 0 DIADHN c1noc2cc(NC3=CCCN(Cc4ccccc4)C3)ccc12 ZINC001174394782 1052116439 /nfs/dbraw/zinc/11/64/39/1052116439.db2.gz NFFDECRTLPKUOJ-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN c1ccc(CN2CCC=C(Nc3cccnc3C3CC3)C2)cc1 ZINC001174395042 1052117859 /nfs/dbraw/zinc/11/78/59/1052117859.db2.gz QXFUXTQMKJJDQD-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN Cc1ccc(N)c(NC2=CCCN(Cc3ccccc3)C2)c1C ZINC001174397242 1052118063 /nfs/dbraw/zinc/11/80/63/1052118063.db2.gz PNIJTUMGYPYMMT-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN Cc1cc(C)c(N)c(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174397115 1052118679 /nfs/dbraw/zinc/11/86/79/1052118679.db2.gz OJKWJERKJZEEPY-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN CCC[C@]1(CO)CCCN1Cc1cc2ccccc2nc1Cl ZINC000769912136 1052120183 /nfs/dbraw/zinc/12/01/83/1052120183.db2.gz CBTJNTGQONTOKS-GOSISDBHSA-N 0 3 318.848 4.015 20 0 DIADHN CCSc1cccc(CN[C@H](CCO)c2cccs2)c1 ZINC000769915125 1052120644 /nfs/dbraw/zinc/12/06/44/1052120644.db2.gz YGMRDEPFTYJGLZ-OAHLLOKOSA-N 0 3 307.484 4.073 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000769875991 1052125658 /nfs/dbraw/zinc/12/56/58/1052125658.db2.gz QKBZWWFWYPOLKH-NEPJUHHUSA-N 0 3 322.836 4.317 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000769874791 1052125888 /nfs/dbraw/zinc/12/58/88/1052125888.db2.gz MBFWMOOWTXQRGA-BDJLRTHQSA-N 0 3 322.836 4.237 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCN(CC)c1ccccc1C ZINC000769875020 1052126689 /nfs/dbraw/zinc/12/66/89/1052126689.db2.gz NDDDPQJNCFEVPG-HNNXBMFYSA-N 0 3 315.461 4.031 20 0 DIADHN COc1ccccc1[C@@H](CNCc1cc(C)oc1C)OC(C)C ZINC000769932645 1052133798 /nfs/dbraw/zinc/13/37/98/1052133798.db2.gz HAYXQWGAGZWOPG-LJQANCHMSA-N 0 3 317.429 4.161 20 0 DIADHN F[C@@H]1CNCC[C@@H]1O[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217794177 1052145532 /nfs/dbraw/zinc/14/55/32/1052145532.db2.gz KJKWTBFITPMCNO-FGTMMUONSA-N 0 3 319.807 4.146 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494929824 1052171524 /nfs/dbraw/zinc/17/15/24/1052171524.db2.gz JUQUGSFNGOFLCS-CABCVRRESA-N 0 3 320.458 4.039 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494929826 1052171709 /nfs/dbraw/zinc/17/17/09/1052171709.db2.gz JUQUGSFNGOFLCS-HUUCEWRRSA-N 0 3 320.458 4.039 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)C/C=C\c1ccncc1)CCC2 ZINC000494935274 1052172110 /nfs/dbraw/zinc/17/21/10/1052172110.db2.gz YNGHJAGVZUUVFV-KJPDOMRESA-N 0 3 313.470 4.123 20 0 DIADHN c1ccc(-c2ccc(CO[C@@H]3CNCc4ccccc43)cc2)nc1 ZINC001217938376 1052174900 /nfs/dbraw/zinc/17/49/00/1052174900.db2.gz NIDIVJGCXXOQTG-OAQYLSRUSA-N 0 3 316.404 4.110 20 0 DIADHN c1ccc(-c2ccc(CO[C@H]3CNCc4ccccc43)cc2)nc1 ZINC001217938374 1052175141 /nfs/dbraw/zinc/17/51/41/1052175141.db2.gz NIDIVJGCXXOQTG-NRFANRHFSA-N 0 3 316.404 4.110 20 0 DIADHN CO[C@]1(C)C[C@@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494938174 1052179171 /nfs/dbraw/zinc/17/91/71/1052179171.db2.gz GZQLNIGDJXWPDG-RHSMWYFYSA-N 0 3 320.458 4.039 20 0 DIADHN C[C@@H]1SCCN(C/C=C/c2ccncc2)[C@H]1c1ccccc1 ZINC000494962763 1052184030 /nfs/dbraw/zinc/18/40/30/1052184030.db2.gz ZKCCCVNMBIHAMA-RIOIIYTLSA-N 0 3 310.466 4.273 20 0 DIADHN CCOc1ccc(CN(CCC(F)(F)F)CC2CC2)cc1F ZINC000494963535 1052186632 /nfs/dbraw/zinc/18/66/32/1052186632.db2.gz YZMDGVPUVBVOCQ-UHFFFAOYSA-N 0 3 319.342 4.389 20 0 DIADHN Clc1ccc([C@H]2CSCCN2C[C@H]2CCSC2)cc1 ZINC000494964911 1052187163 /nfs/dbraw/zinc/18/71/63/1052187163.db2.gz UASMBKAJBFRCOO-IUODEOHRSA-N 0 3 313.919 4.183 20 0 DIADHN CC[C@@H](O)CN(Cc1cc(Cl)cs1)Cc1ccccc1 ZINC000494974942 1052187319 /nfs/dbraw/zinc/18/73/19/1052187319.db2.gz DKHGMDXYZQVTLH-OAHLLOKOSA-N 0 3 309.862 4.175 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1cccc2ccc(Cl)c(Cl)c21 ZINC001218064015 1052192608 /nfs/dbraw/zinc/19/26/08/1052192608.db2.gz FOGRJNIIGPCIPU-RYUDHWBXSA-N 0 3 314.187 4.225 20 0 DIADHN CC[C@H](NCCOCc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000495005832 1052196217 /nfs/dbraw/zinc/19/62/17/1052196217.db2.gz DFJRGFQOUMAQCV-INIZCTEOSA-N 0 3 322.811 4.132 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000228089601 1052198533 /nfs/dbraw/zinc/19/85/33/1052198533.db2.gz UEIGTPBHWBFMIQ-VGMNWLOBSA-N 0 3 310.652 4.457 20 0 DIADHN CC(C)(C)C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccccc1 ZINC000495019162 1052199257 /nfs/dbraw/zinc/19/92/57/1052199257.db2.gz QBMMSQDEJZNJNC-SLFFLAALSA-N 0 3 309.453 4.412 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1ccc(C(F)(F)F)cc1 ZINC001218191873 1052205678 /nfs/dbraw/zinc/20/56/78/1052205678.db2.gz AECJSVVUSJDLGI-LLVKDONJSA-N 0 3 307.315 4.449 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2C/C=C\c2ccncc2)cc1 ZINC000495088071 1052213768 /nfs/dbraw/zinc/21/37/68/1052213768.db2.gz DHTPDGJPSDJXFV-BOYDRYHPSA-N 0 3 308.425 4.331 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnc(N(C)C)s1)CC2 ZINC000495160421 1052240259 /nfs/dbraw/zinc/24/02/59/1052240259.db2.gz SUTJRZQSRZACCI-QGZVFWFLSA-N 0 3 315.486 4.110 20 0 DIADHN CCSc1cc(CNC/C=C/c2ccc(F)cc2)ccn1 ZINC000495159043 1052240281 /nfs/dbraw/zinc/24/02/81/1052240281.db2.gz SGBBIWUFPXUTDB-ONEGZZNKSA-N 0 3 302.418 4.136 20 0 DIADHN FC1(F)CCNC[C@@H]1Oc1cc(Cl)c(Cl)cc1Cl ZINC001218450311 1052240593 /nfs/dbraw/zinc/24/05/93/1052240593.db2.gz ZMDYWCOTOAJKIF-JTQLQIEISA-N 0 3 316.562 4.023 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H]3OCCC[C@@H]3C2)cc1Cl ZINC000187946723 1052243101 /nfs/dbraw/zinc/24/31/01/1052243101.db2.gz BSJLVYHZQCYCJQ-WBVHZDCISA-N 0 3 323.864 4.128 20 0 DIADHN Fc1cc(O[C@H]2CNCc3ccccc32)cc(C(F)(F)F)c1 ZINC001218485729 1052245403 /nfs/dbraw/zinc/24/54/03/1052245403.db2.gz MQAJAPWDAIEUBR-HNNXBMFYSA-N 0 3 311.278 4.068 20 0 DIADHN C[C@H]1c2ccc(O[C@@H]3CNCc4ccccc43)cc2OC1(C)C ZINC001218484185 1052245660 /nfs/dbraw/zinc/24/56/60/1052245660.db2.gz JLWYYERAHRYQTQ-ORAYPTAESA-N 0 3 309.409 4.184 20 0 DIADHN Clc1ccnc2ccc(O[C@@H]3CNCc4ccccc43)cc12 ZINC001218487354 1052247233 /nfs/dbraw/zinc/24/72/33/1052247233.db2.gz ILJXFSRIVZGIAX-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN C/C=C/c1ccc(OCC)c(O[C@@H]2CNCc3ccccc32)c1 ZINC001218485768 1052247478 /nfs/dbraw/zinc/24/74/78/1052247478.db2.gz FHQQUYFCXFPFBF-WRSLSUIGSA-N 0 3 309.409 4.342 20 0 DIADHN COc1ccc2ccc(O[C@H]3CNCc4ccccc43)cc2c1 ZINC001218487674 1052247629 /nfs/dbraw/zinc/24/76/29/1052247629.db2.gz OXNDJGODRCISEC-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1cccc2c(O[C@H]3CNCc4ccccc43)ccnc12 ZINC001218489571 1052247649 /nfs/dbraw/zinc/24/76/49/1052247649.db2.gz PADKWCZEWOHZND-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cc(O[C@@H]2CNCc3ccccc32)cc2cccnc12 ZINC001218488112 1052248384 /nfs/dbraw/zinc/24/83/84/1052248384.db2.gz IZHAVVNELAFRCG-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1O[C@@H]1CNCc2ccccc21 ZINC001218493591 1052249643 /nfs/dbraw/zinc/24/96/43/1052249643.db2.gz SJTFKQQVRBQSRF-CQSZACIVSA-N 0 3 312.171 4.356 20 0 DIADHN CCSc1cc(CNCc2ccc(Cl)c(C)c2)ccn1 ZINC000495194533 1052251814 /nfs/dbraw/zinc/25/18/14/1052251814.db2.gz RNKWNNOFNDSBMH-UHFFFAOYSA-N 0 3 306.862 4.445 20 0 DIADHN CCCCCCc1ccc(NC(=O)C[C@@H]2CCNC[C@@H]2F)cc1 ZINC001218837850 1052258849 /nfs/dbraw/zinc/25/88/49/1052258849.db2.gz JRLPYSVDVGMBSG-WMZOPIPTSA-N 0 3 320.452 4.086 20 0 DIADHN CCN(Cc1ccc(C(=O)OC)s1)[C@@H](C)Cc1ccsc1 ZINC000495228168 1052259451 /nfs/dbraw/zinc/25/94/51/1052259451.db2.gz JRPBOFRJTSXRCM-LBPRGKRZSA-N 0 3 323.483 4.049 20 0 DIADHN N[C@@H](CC1CCCC1)C(=O)Nc1ccccc1Cc1ccccc1 ZINC001218946831 1052264162 /nfs/dbraw/zinc/26/41/62/1052264162.db2.gz BRANUGGUXGZGSE-IBGZPJMESA-N 0 3 322.452 4.124 20 0 DIADHN CC(C)(C)[C@H]1CC[C@H](C(=O)Nc2cccc3c2CNC3)CC1 ZINC001218993184 1052266376 /nfs/dbraw/zinc/26/63/76/1052266376.db2.gz KQMLZJAMZPMDQC-CTYIDZIISA-N 0 3 300.446 4.081 20 0 DIADHN Cc1ccc(-c2ccc(C(=O)Nc3cccc4c3CNC4)cc2)o1 ZINC001218993343 1052266704 /nfs/dbraw/zinc/26/67/04/1052266704.db2.gz JNWVUZGKSRKDRR-UHFFFAOYSA-N 0 3 318.376 4.111 20 0 DIADHN C[C@@H]1CC(C)(C)c2cccc(NC(=O)c3ccc4c(c3)CNC4)c21 ZINC001219040003 1052270594 /nfs/dbraw/zinc/27/05/94/1052270594.db2.gz IFMQODSYTQFIPE-CYBMUJFWSA-N 0 3 320.436 4.327 20 0 DIADHN N[C@H](C(=O)Nc1cccc(-c2cccs2)c1)C1CCCCC1 ZINC001219270297 1052280229 /nfs/dbraw/zinc/28/02/29/1052280229.db2.gz DCXLFGBGAHMCKQ-KRWDZBQOSA-N 0 3 314.454 4.261 20 0 DIADHN OCCCCCCCN1CCCC[C@H]1c1nc2ccccc2o1 ZINC000761985815 1052281310 /nfs/dbraw/zinc/28/13/10/1052281310.db2.gz CXRZRZAINOXXHS-KRWDZBQOSA-N 0 3 316.445 4.298 20 0 DIADHN CCc1cc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)on1 ZINC001203226083 1052283070 /nfs/dbraw/zinc/28/30/70/1052283070.db2.gz DJDYEWRLQVBESG-CYBMUJFWSA-N 0 3 324.346 4.245 20 0 DIADHN Clc1sccc1CN[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000312631701 1052291231 /nfs/dbraw/zinc/29/12/31/1052291231.db2.gz UXLXJULEUXEUBE-MRXNPFEDSA-N 0 3 320.889 4.156 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1cccc(SC)c1 ZINC000523559453 1052296555 /nfs/dbraw/zinc/29/65/55/1052296555.db2.gz XQDIPDLOFPRTIZ-HNNXBMFYSA-N 0 3 306.475 4.002 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1OC1CCN(C2CC2)CC1 ZINC001234931202 1052297849 /nfs/dbraw/zinc/29/78/49/1052297849.db2.gz VRWPQLPGLABCPL-UHFFFAOYSA-N 0 3 304.192 4.138 20 0 DIADHN C[C@@H](NCc1ccc(O)c(F)c1)c1ccccc1C(F)(F)F ZINC000153431841 1052299859 /nfs/dbraw/zinc/29/98/59/1052299859.db2.gz WUEYZRKERLNJNM-SNVBAGLBSA-N 0 3 313.294 4.401 20 0 DIADHN CCCCCC(C)(C)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001127335708 1052299933 /nfs/dbraw/zinc/29/99/33/1052299933.db2.gz XUGJHUPRWNKINF-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CCOC(C)(C)CNCc1cc(Br)ccc1Cl ZINC000232185917 1052301342 /nfs/dbraw/zinc/30/13/42/1052301342.db2.gz CYLVVBYQQIFFBF-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CCN(Cc1ccncc1)Cc1cc(Br)ccc1C ZINC000313770564 1052302668 /nfs/dbraw/zinc/30/26/68/1052302668.db2.gz QPDRIOMFBNYUFN-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)C1CCCCCC1 ZINC000302923094 1052307237 /nfs/dbraw/zinc/30/72/37/1052307237.db2.gz ZJBUVQAAJQLAPR-UHFFFAOYSA-N 0 3 308.853 4.242 20 0 DIADHN C[C@H](CC(=O)NC[C@H](c1ccccc1)N(C)C)C1CCCCC1 ZINC000303136651 1052315663 /nfs/dbraw/zinc/31/56/63/1052315663.db2.gz QIJJEGGPLCURRW-VQIMIIECSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)N(C)CC(=O)N[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000303238737 1052318354 /nfs/dbraw/zinc/31/83/54/1052318354.db2.gz IBEYXQPRCGTKSH-SFHVURJKSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000670160923 1052327888 /nfs/dbraw/zinc/32/78/88/1052327888.db2.gz VHIRGSFXVWHZDB-ABAIWWIYSA-N 0 3 323.893 4.058 20 0 DIADHN CCCC1(CNCc2cc(O)ccc2Br)CCC1 ZINC000315179601 1052332935 /nfs/dbraw/zinc/33/29/35/1052332935.db2.gz XXDDOLZOYLIOEJ-UHFFFAOYSA-N 0 3 312.251 4.215 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC/C=C\c1ccccc1)CCCO2 ZINC000495409885 1052338689 /nfs/dbraw/zinc/33/86/89/1052338689.db2.gz QRQFDZAFWLXEBL-STISFXCESA-N 0 3 309.409 4.212 20 0 DIADHN CC(C)(C)CCCNCc1cc(O)ccc1Br ZINC000315591830 1052344446 /nfs/dbraw/zinc/34/44/46/1052344446.db2.gz OIBBYHGNXJJNJT-UHFFFAOYSA-N 0 3 300.240 4.071 20 0 DIADHN C[C@@H](NCCCOc1ccccc1)c1nc2ccccc2s1 ZINC000315604720 1052346443 /nfs/dbraw/zinc/34/64/43/1052346443.db2.gz LPIAAHNETHXFQA-CQSZACIVSA-N 0 3 312.438 4.416 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2)C1)c1ccccc1Cl ZINC000495490392 1052361415 /nfs/dbraw/zinc/36/14/15/1052361415.db2.gz HCSBMNITGLYYDX-HUUCEWRRSA-N 0 3 300.833 4.270 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(F)cc2F)C12CCCCC2 ZINC000316081073 1052361823 /nfs/dbraw/zinc/36/18/23/1052361823.db2.gz QLWKBWFWJCRDJF-IAGOWNOFSA-N 0 3 309.400 4.182 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)N[C@H](C)CCCC(C)C)s1 ZINC000754677458 1052369837 /nfs/dbraw/zinc/36/98/37/1052369837.db2.gz VXKKKLWDVPXSJK-HIFRSBDPSA-N 0 3 310.507 4.038 20 0 DIADHN C[C@@H](N[C@H](CN1CCCC1)c1ccccc1)c1ccccc1F ZINC000495638409 1052391824 /nfs/dbraw/zinc/39/18/24/1052391824.db2.gz LMQOSJPHMLPVIN-OXQOHEQNSA-N 0 3 312.432 4.313 20 0 DIADHN CC[C@H](NCc1cnc(C)s1)c1ccc2c(c1)CCCO2 ZINC000153595087 1052406180 /nfs/dbraw/zinc/40/61/80/1052406180.db2.gz DWCWKCGNRXXILD-INIZCTEOSA-N 0 3 302.443 4.017 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N1CC[C@H]1COCc1ccccc1 ZINC001168492366 1052408833 /nfs/dbraw/zinc/40/88/33/1052408833.db2.gz QYNPBPGYTGJMOX-UZLBHIALSA-N 0 3 313.416 4.048 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000762634692 1052411070 /nfs/dbraw/zinc/41/10/70/1052411070.db2.gz OXZUEJAGKDHCOH-SMDDNHRTSA-N 0 3 307.825 4.475 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000762634690 1052411394 /nfs/dbraw/zinc/41/13/94/1052411394.db2.gz OXZUEJAGKDHCOH-FZMZJTMJSA-N 0 3 307.825 4.475 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000762634691 1052411561 /nfs/dbraw/zinc/41/15/61/1052411561.db2.gz OXZUEJAGKDHCOH-RISCZKNCSA-N 0 3 307.825 4.475 20 0 DIADHN COC1(CNCc2cc(Cl)sc2Cl)CCCCC1 ZINC000319108495 1052419649 /nfs/dbraw/zinc/41/96/49/1052419649.db2.gz YODNCKNXYYRQKV-UHFFFAOYSA-N 0 3 308.274 4.494 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN(Cc2cccnc2)CC1 ZINC000053743523 1052419880 /nfs/dbraw/zinc/41/98/80/1052419880.db2.gz OOVRWDCDWQRKSK-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC(C)C[C@H](NCc1cccc2c1OCCO2)c1ccccc1 ZINC000236649719 1052428897 /nfs/dbraw/zinc/42/88/97/1052428897.db2.gz BATRXNZQNAGIFG-SFHVURJKSA-N 0 3 311.425 4.335 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccc2c1OCCO2)c1ccccc1 ZINC000236656467 1052429364 /nfs/dbraw/zinc/42/93/64/1052429364.db2.gz UZLVNOAPCGGVOF-IBGZPJMESA-N 0 3 311.425 4.335 20 0 DIADHN COCCNCc1ccc(Cl)cc1Oc1ccc(F)c(C)c1 ZINC000319652050 1052430677 /nfs/dbraw/zinc/43/06/77/1052430677.db2.gz PNBNNKVXJWVOCB-UHFFFAOYSA-N 0 3 323.795 4.316 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc3cc[nH]c32)cc1OC ZINC000236846697 1052432043 /nfs/dbraw/zinc/43/20/43/1052432043.db2.gz LWZDVYTXNAGGIP-AWEZNQCLSA-N 0 3 324.424 4.426 20 0 DIADHN CC[C@H](C)[C@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000237006674 1052435083 /nfs/dbraw/zinc/43/50/83/1052435083.db2.gz OJLUFBUEIBNUKQ-BLLLJJGKSA-N 0 3 307.821 4.097 20 0 DIADHN COc1ccc(CNC2(c3ccccc3)CCCC2)c(OC)c1 ZINC000237346004 1052438368 /nfs/dbraw/zinc/43/83/68/1052438368.db2.gz NQHYNMOUNLLGJN-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN C[C@@H]1CCC[C@H]1NCc1csc(CCc2ccccc2)n1 ZINC000237427734 1052440679 /nfs/dbraw/zinc/44/06/79/1052440679.db2.gz PYXSICWWGVMFFP-RHSMWYFYSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN[C@@H]2CCCc3sccc32)o1 ZINC000237681551 1052444382 /nfs/dbraw/zinc/44/43/82/1052444382.db2.gz IPDUIKJNRNLYMB-CQSZACIVSA-N 0 3 313.426 4.207 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN[C@H]2CCCc3sccc32)o1 ZINC000237682344 1052444864 /nfs/dbraw/zinc/44/48/64/1052444864.db2.gz IPDUIKJNRNLYMB-AWEZNQCLSA-N 0 3 313.426 4.207 20 0 DIADHN FC(F)Oc1ccccc1CNCc1cccc2cc[nH]c21 ZINC000237843190 1052445616 /nfs/dbraw/zinc/44/56/16/1052445616.db2.gz IVQNOGODTOKGQL-UHFFFAOYSA-N 0 3 302.324 4.059 20 0 DIADHN Fc1ccccc1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000237820992 1052445967 /nfs/dbraw/zinc/44/59/67/1052445967.db2.gz JGQSGSNSFHFVQS-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1cc2c(s1)CCCC2 ZINC000316649054 1052469985 /nfs/dbraw/zinc/46/99/85/1052469985.db2.gz QHXOPZSOIHRMQV-GFCCVEGCSA-N 0 3 315.438 4.206 20 0 DIADHN COc1c(F)c(C)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001222316052 1052480528 /nfs/dbraw/zinc/48/05/28/1052480528.db2.gz KUIZRBWOCGLCPF-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CN(Cc1ccc(-c2cccc(OC(F)(F)F)c2)nc1)C1CC1 ZINC001222322292 1052481605 /nfs/dbraw/zinc/48/16/05/1052481605.db2.gz DDHSRFAFHBEPDA-UHFFFAOYSA-N 0 3 322.330 4.241 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)c(OC)c1 ZINC000393433872 1052482550 /nfs/dbraw/zinc/48/25/50/1052482550.db2.gz QELSCDLWSDIKNK-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN Nc1ccnc2cc(-c3cccc(OC(F)(F)F)c3)ccc12 ZINC001222332220 1052484788 /nfs/dbraw/zinc/48/47/88/1052484788.db2.gz ZVHRJDDDLDHTER-UHFFFAOYSA-N 0 3 304.271 4.383 20 0 DIADHN C[C@H](NCc1ccc(-n2ccnc2)cc1)c1cc2ccccc2o1 ZINC000026313783 1052489041 /nfs/dbraw/zinc/48/90/41/1052489041.db2.gz NHJKQFRRHCKIBJ-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@@H](C)[C@@H](C)C1 ZINC001235637270 1052489835 /nfs/dbraw/zinc/48/98/35/1052489835.db2.gz NLVKHKBQIZOSNI-YPMHNXCESA-N 0 3 311.267 4.021 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@H](C)[C@H](C)C1 ZINC001235637269 1052489968 /nfs/dbraw/zinc/48/99/68/1052489968.db2.gz NLVKHKBQIZOSNI-WCQYABFASA-N 0 3 311.267 4.021 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763048361 1052493463 /nfs/dbraw/zinc/49/34/63/1052493463.db2.gz GHDZLFUWOYOSSC-HOTGVXAUSA-N 0 3 317.408 4.180 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000106859733 1052523341 /nfs/dbraw/zinc/52/33/41/1052523341.db2.gz AVIKZJICSGUYOL-IUODEOHRSA-N 0 3 319.836 4.401 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)C[C@@H](C)C(C)(C)C ZINC000670264881 1052540836 /nfs/dbraw/zinc/54/08/36/1052540836.db2.gz NGYHUBKJACNLPU-MRXNPFEDSA-N 0 3 304.478 4.246 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)cc1 ZINC000107070209 1052543902 /nfs/dbraw/zinc/54/39/02/1052543902.db2.gz XVZISGHJAYQLBB-UONOGXRCSA-N 0 3 314.454 4.086 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)Nc1cc(Cl)ccc1F ZINC000107070415 1052544037 /nfs/dbraw/zinc/54/40/37/1052544037.db2.gz XBPCRLJVNILAOK-NWDGAFQWSA-N 0 3 320.795 4.157 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000107070673 1052544437 /nfs/dbraw/zinc/54/44/37/1052544437.db2.gz SZDKMGJACPHSLT-HOTGVXAUSA-N 0 3 310.441 4.488 20 0 DIADHN COc1ccccc1-c1noc([C@@H](C)NC[C@@H](C)C(C)(C)C)n1 ZINC000670270086 1052545761 /nfs/dbraw/zinc/54/57/61/1052545761.db2.gz UFNIKTHEWFTLTJ-CHWSQXEVSA-N 0 3 317.433 4.078 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc(-c2cccc(F)c2)no1)C(C)(C)C ZINC000670269577 1052546508 /nfs/dbraw/zinc/54/65/08/1052546508.db2.gz QIHJVFJDTGMZTC-RYUDHWBXSA-N 0 3 305.397 4.209 20 0 DIADHN Brc1csc(CN[C@@H]2CCc3ccccc32)c1 ZINC000034937989 1052546761 /nfs/dbraw/zinc/54/67/61/1052546761.db2.gz ATUBIUFNGJRQPB-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)C(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000670268488 1052547652 /nfs/dbraw/zinc/54/76/52/1052547652.db2.gz KJORCFODRXVZGJ-UKRRQHHQSA-N 0 3 316.449 4.101 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(Cc2ccc3cnccc3c2)C1 ZINC000670272176 1052548809 /nfs/dbraw/zinc/54/88/09/1052548809.db2.gz SYYSKDPUDISPMM-FQEVSTJZSA-N 0 3 306.384 4.306 20 0 DIADHN CN(CCSc1cccc(Cl)c1)CCc1nccs1 ZINC000660126286 1052568436 /nfs/dbraw/zinc/56/84/36/1052568436.db2.gz VJJCSVWVFKMWDC-UHFFFAOYSA-N 0 3 312.891 4.063 20 0 DIADHN CC[C@H](NCc1sc(C)nc1C)c1ccc2c(c1)CCCO2 ZINC000154003407 1052609898 /nfs/dbraw/zinc/60/98/98/1052609898.db2.gz KXHISDXFOQOEAA-INIZCTEOSA-N 0 3 316.470 4.326 20 0 DIADHN C[C@@H](NCc1cc(Cl)c2c(c1)OCCCO2)c1cccs1 ZINC000037301284 1052622348 /nfs/dbraw/zinc/62/23/48/1052622348.db2.gz UBXFUSFBZNVJCA-LLVKDONJSA-N 0 3 323.845 4.414 20 0 DIADHN c1c2ccccc2oc1CO[C@@H]1CCN(Cc2ccccc2)C1 ZINC001223648188 1052623820 /nfs/dbraw/zinc/62/38/20/1052623820.db2.gz NHODURNZLZWCLJ-GOSISDBHSA-N 0 3 307.393 4.224 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@H]1CCc2c1c(F)ccc2F ZINC000763409601 1052624826 /nfs/dbraw/zinc/62/48/26/1052624826.db2.gz IVBJYYJDSXUDLB-UUWFMWQGSA-N 0 3 317.379 4.054 20 0 DIADHN COc1cccc([C@H](C)NCc2cccc(Br)c2)c1 ZINC000037771419 1052628873 /nfs/dbraw/zinc/62/88/73/1052628873.db2.gz BKNWHLYHEAJCGB-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN COc1ccc([C@@H](C)NCCSc2ccccc2)c(F)c1 ZINC000037904217 1052629805 /nfs/dbraw/zinc/62/98/05/1052629805.db2.gz OBYWOCQTNDNIGX-CYBMUJFWSA-N 0 3 305.418 4.277 20 0 DIADHN Clc1cccc(CNC[C@@H]2Cc3ccccc3O2)c1Cl ZINC000037986429 1052629924 /nfs/dbraw/zinc/62/99/24/1052629924.db2.gz JSFZIJGJOGHHKH-ZDUSSCGKSA-N 0 3 308.208 4.087 20 0 DIADHN Clc1ccc(OCCCNCc2ccco2)c(Cl)c1 ZINC000063319508 1052639143 /nfs/dbraw/zinc/63/91/43/1052639143.db2.gz CEUBQUAXHWLTLG-UHFFFAOYSA-N 0 3 300.185 4.145 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c[nH]nc2c1 ZINC000124929252 1052644577 /nfs/dbraw/zinc/64/45/77/1052644577.db2.gz SCWGTZVMSSNWKZ-NSHDSACASA-N 0 3 301.340 4.034 20 0 DIADHN Cn1ccc(CN[C@@H](Cc2ccccc2)c2ccccc2F)c1 ZINC000125809702 1052655244 /nfs/dbraw/zinc/65/52/44/1052655244.db2.gz QWOZINQWUSCYOD-FQEVSTJZSA-N 0 3 308.400 4.238 20 0 DIADHN C[C@H](O[C@H]1CN(Cc2ccccc2)CC[C@@H]1C)c1nccs1 ZINC001224004542 1052659211 /nfs/dbraw/zinc/65/92/11/1052659211.db2.gz UXZOUVXJKZFZNC-ZOBUZTSGSA-N 0 3 316.470 4.131 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)NCc1ccn(C)c1 ZINC000126284353 1052668262 /nfs/dbraw/zinc/66/82/62/1052668262.db2.gz PNLWCAJIDGKMKO-GOSISDBHSA-N 0 3 300.446 4.301 20 0 DIADHN CCC1(CN[C@@H](C)c2ncc(Br)s2)CCC1 ZINC000126648400 1052672609 /nfs/dbraw/zinc/67/26/09/1052672609.db2.gz TVNTXHYJNGUQJI-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN C[C@@H](N[C@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000126647309 1052672654 /nfs/dbraw/zinc/67/26/54/1052672654.db2.gz QQFPOVHWUOUGLS-BDAKNGLRSA-N 0 3 303.269 4.135 20 0 DIADHN COc1ccc2oc(CN[C@H]3CCc4c3cccc4OC)cc2c1 ZINC000126693285 1052673729 /nfs/dbraw/zinc/67/37/29/1052673729.db2.gz BKXIFVXXJJRJNS-SFHVURJKSA-N 0 3 323.392 4.227 20 0 DIADHN Cc1ccc([C@H]2CCCN2C[C@H](O)c2c(F)cccc2F)cc1 ZINC000916968074 1052675290 /nfs/dbraw/zinc/67/52/90/1052675290.db2.gz CBMZWVPCFBDXOH-MSOLQXFVSA-N 0 3 317.379 4.144 20 0 DIADHN Cc1ccc([C@@H]2CCCN2C[C@H](O)c2c(F)cccc2F)cc1 ZINC000916968077 1052675448 /nfs/dbraw/zinc/67/54/48/1052675448.db2.gz CBMZWVPCFBDXOH-ROUUACIJSA-N 0 3 317.379 4.144 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2CCc3c2cccc3C)cn1 ZINC000126789373 1052677314 /nfs/dbraw/zinc/67/73/14/1052677314.db2.gz BQRBXZPAVUWGBJ-LJQANCHMSA-N 0 3 309.457 4.013 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000917000620 1052678073 /nfs/dbraw/zinc/67/80/73/1052678073.db2.gz XBIYMQJTSDILFO-ROUUACIJSA-N 0 3 319.807 4.350 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1C[C@H](O)c1c(F)cccc1F ZINC000917012913 1052680218 /nfs/dbraw/zinc/68/02/18/1052680218.db2.gz MZDOMLYTCGDKGB-ROUUACIJSA-N 0 3 317.379 4.144 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccccc1Cl)c1cccc(F)c1 ZINC000917012008 1052680677 /nfs/dbraw/zinc/68/06/77/1052680677.db2.gz CXLRJNQRNUFCJJ-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN COc1ccc([C@H](NCC2=CCCOC2)c2ccc(C)cc2)cc1 ZINC000126844871 1052682629 /nfs/dbraw/zinc/68/26/29/1052682629.db2.gz FTCSSHCAOLUKME-OAQYLSRUSA-N 0 3 323.436 4.029 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OCC2CCC(C)CC2)C1 ZINC001224314827 1052688078 /nfs/dbraw/zinc/68/80/78/1052688078.db2.gz PRTAXRQETKAZJN-GPQLOAJWSA-N 0 3 302.462 4.060 20 0 DIADHN Cc1ccc(CN(C[C@@H](O)c2ccc3ccccc3c2)C2CC2)o1 ZINC000917062346 1052688088 /nfs/dbraw/zinc/68/80/88/1052688088.db2.gz OXROTYSVNVHLFH-OAQYLSRUSA-N 0 3 321.420 4.439 20 0 DIADHN CCOc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000127304419 1052692328 /nfs/dbraw/zinc/69/23/28/1052692328.db2.gz RUUJXIKWEKANTG-UONOGXRCSA-N 0 3 313.397 4.226 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000127303738 1052692468 /nfs/dbraw/zinc/69/24/68/1052692468.db2.gz RUUJXIKWEKANTG-KGLIPLIRSA-N 0 3 313.397 4.226 20 0 DIADHN C[C@@H](CCc1cccc(C(F)(F)F)c1)NCc1cscn1 ZINC000127354775 1052693219 /nfs/dbraw/zinc/69/32/19/1052693219.db2.gz SXSJYBLQORQBKZ-NSHDSACASA-N 0 3 314.376 4.273 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1ccc(Cl)c2cccnc12 ZINC000127666960 1052701950 /nfs/dbraw/zinc/70/19/50/1052701950.db2.gz WZIOQOMSPMCACI-ZDUSSCGKSA-N 0 3 311.816 4.476 20 0 DIADHN C[C@@H](CNCc1coc(-c2cccs2)n1)c1ccc(F)cc1 ZINC000127794389 1052702611 /nfs/dbraw/zinc/70/26/11/1052702611.db2.gz MICVURMQDYBVQQ-LBPRGKRZSA-N 0 3 316.401 4.436 20 0 DIADHN C[C@@H](CNCc1ccn(C2CCCC2)n1)c1ccc(F)cc1 ZINC000128071747 1052709230 /nfs/dbraw/zinc/70/92/30/1052709230.db2.gz QOFQPINSVBZURZ-AWEZNQCLSA-N 0 3 301.409 4.031 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2ccsc2)c1 ZINC000089725386 1052719078 /nfs/dbraw/zinc/71/90/78/1052719078.db2.gz JPVBYFOCHIMJGU-BBRMVZONSA-N 0 3 300.427 4.280 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](OCC2CC(C)(C)C2)C1 ZINC001224769019 1052724172 /nfs/dbraw/zinc/72/41/72/1052724172.db2.gz IONBQIJLZRKZKT-RDJZCZTQSA-N 0 3 302.462 4.060 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2ccc(OC)c(F)c2)cc1 ZINC000128459882 1052726283 /nfs/dbraw/zinc/72/62/83/1052726283.db2.gz BTHDAAJHSVODDR-CYBMUJFWSA-N 0 3 303.377 4.036 20 0 DIADHN c1ccc(CN2CC[C@H](OCCSc3ccccc3)C2)cc1 ZINC001224906382 1052734249 /nfs/dbraw/zinc/73/42/49/1052734249.db2.gz NKNRSYAZTPESMG-SFHVURJKSA-N 0 3 313.466 4.070 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](OCC2=CCCCC2)C1 ZINC001224996065 1052741750 /nfs/dbraw/zinc/74/17/50/1052741750.db2.gz BKKWULXCAGPPFN-WMZOPIPTSA-N 0 3 300.446 4.124 20 0 DIADHN Cc1ccc2oc(=O)n(CN3CCC[C@@H]3c3ccccc3C)c2c1 ZINC000917616066 1052746288 /nfs/dbraw/zinc/74/62/88/1052746288.db2.gz DPVAYNVMJFJTIT-QGZVFWFLSA-N 0 3 322.408 4.006 20 0 DIADHN Fc1ccccc1O[C@H]1[C@@H]2CC[C@H]1CN(Cc1ccccc1)C2 ZINC001225200799 1052753704 /nfs/dbraw/zinc/75/37/04/1052753704.db2.gz SMLMQYLHEOOZIZ-YRWFTTLQSA-N 0 3 311.400 4.115 20 0 DIADHN Cc1cccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)c1C ZINC001225219805 1052757465 /nfs/dbraw/zinc/75/74/65/1052757465.db2.gz KZOREEWEACUSHW-QZTJIDSGSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(=O)oc3cc(C)ccc23)c1 ZINC000917841948 1052760017 /nfs/dbraw/zinc/76/00/17/1052760017.db2.gz QLULVAADDZLBHF-UHFFFAOYSA-N 0 3 307.393 4.042 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1C ZINC001225246383 1052762717 /nfs/dbraw/zinc/76/27/17/1052762717.db2.gz WXWRPZDBHBUPLO-PKOBYXMFSA-N 0 3 310.441 4.303 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001225249691 1052762850 /nfs/dbraw/zinc/76/28/50/1052762850.db2.gz FUZACTHBFJSSCL-WMLDXEAASA-N 0 3 316.832 4.339 20 0 DIADHN CCC[C@H](NCCCc1nccs1)c1ncccc1Cl ZINC000925444616 1052762912 /nfs/dbraw/zinc/76/29/12/1052762912.db2.gz YWGJXOZXQNUKNZ-ZDUSSCGKSA-N 0 3 309.866 4.255 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1C ZINC001225246382 1052763081 /nfs/dbraw/zinc/76/30/81/1052763081.db2.gz WXWRPZDBHBUPLO-MJGOQNOKSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1C ZINC001225246381 1052763097 /nfs/dbraw/zinc/76/30/97/1052763097.db2.gz WXWRPZDBHBUPLO-IEBWSBKVSA-N 0 3 310.441 4.303 20 0 DIADHN COc1ccc(C)cc1OC1CCN(Cc2ccccc2)CC1 ZINC001225323840 1052773992 /nfs/dbraw/zinc/77/39/92/1052773992.db2.gz RYAPELVAGFLWPC-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1cc(F)ccc1O[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001225345516 1052777097 /nfs/dbraw/zinc/77/70/97/1052777097.db2.gz DFJCMNNWNNYXHW-NVXWUHKLSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CC2CCCC2)c(C)c1 ZINC000069227740 1052781929 /nfs/dbraw/zinc/78/19/29/1052781929.db2.gz JEKPPVYTEFVPIT-MRXNPFEDSA-N 0 3 302.462 4.061 20 0 DIADHN CC(C)c1cc(Br)ccc1O[C@@H]1CCCN(C)C1 ZINC001225383564 1052783144 /nfs/dbraw/zinc/78/31/44/1052783144.db2.gz CGAYOOZOQJBUKC-CYBMUJFWSA-N 0 3 312.251 4.046 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NC[C@@H]2CC(C)(C)CO2)cc1 ZINC001307654843 1052798987 /nfs/dbraw/zinc/79/89/87/1052798987.db2.gz IWNBLPZHXGZHLX-GJZGRUSLSA-N 0 3 315.379 4.393 20 0 DIADHN C[C@H](NC1CCC(=C2CCC2)CC1)c1nnc2n1CCCCC2 ZINC000638343878 1052808442 /nfs/dbraw/zinc/80/84/42/1052808442.db2.gz NLABKJZAEXCCHB-AWEZNQCLSA-N 0 3 314.477 4.078 20 0 DIADHN C[C@H](N[C@H]1CC2CCC1CC2)c1ncc(Br)s1 ZINC000556201509 1052819878 /nfs/dbraw/zinc/81/98/78/1052819878.db2.gz BKSZPZYFQBBYSE-PMUOWJKOSA-N 0 3 315.280 4.135 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cc(F)cc2cccnc21 ZINC000130089772 1052822805 /nfs/dbraw/zinc/82/28/05/1052822805.db2.gz BOUNGGKQMYTPNW-GOSISDBHSA-N 0 3 309.388 4.352 20 0 DIADHN CC(=O)c1cccc(O[C@@H](CCN(C)C)c2cccs2)c1 ZINC001225810507 1052833556 /nfs/dbraw/zinc/83/35/56/1052833556.db2.gz DZOFNGZMYKYMGD-INIZCTEOSA-N 0 3 303.427 4.023 20 0 DIADHN CC(=O)c1cc(F)ccc1O[C@H](CCN(C)C)c1ccccc1 ZINC001225837616 1052836903 /nfs/dbraw/zinc/83/69/03/1052836903.db2.gz PCVWZUZDYSICHA-GOSISDBHSA-N 0 3 315.388 4.100 20 0 DIADHN Cc1ccc(Cl)cc1O[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001225877291 1052841150 /nfs/dbraw/zinc/84/11/50/1052841150.db2.gz VSESAFJCHZPTJZ-GOEBONIOSA-N 0 3 316.832 4.258 20 0 DIADHN Fc1ccc(F)c(OC2CCN(Cc3ccccc3)CC2)c1 ZINC001225901763 1052845073 /nfs/dbraw/zinc/84/50/73/1052845073.db2.gz SIAOZGBSNNSKIK-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN CC(C)[C@H](NCC(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000010137203 1052850062 /nfs/dbraw/zinc/85/00/62/1052850062.db2.gz SAMKQNAWODRVGR-SFHVURJKSA-N 0 3 316.832 4.265 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000347476164 1052852781 /nfs/dbraw/zinc/85/27/81/1052852781.db2.gz HYTXOUKPYPJKJR-WBMJQRKESA-N 0 3 305.372 4.019 20 0 DIADHN Cc1cccc(C)c1O[C@@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001225987654 1052856688 /nfs/dbraw/zinc/85/66/88/1052856688.db2.gz ZTNYSNUNHNSQLY-QZTJIDSGSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1cccc(C)c1O[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001225987656 1052856847 /nfs/dbraw/zinc/85/68/47/1052856847.db2.gz ZTNYSNUNHNSQLY-ROUUACIJSA-N 0 3 310.441 4.303 20 0 DIADHN CC(=O)c1ccc2ccccc2c1O[C@@H]1CCCN(C(C)C)C1 ZINC001226030046 1052861987 /nfs/dbraw/zinc/86/19/87/1052861987.db2.gz BMHZVVRAJAMSBG-QGZVFWFLSA-N 0 3 311.425 4.294 20 0 DIADHN C[C@H]1CN(Cc2cnc(C(C)(C)C)nc2)CC[C@@H]1c1ccccc1 ZINC000556601338 1052870253 /nfs/dbraw/zinc/87/02/53/1052870253.db2.gz JXZWOGHSQJVTBR-LPHOPBHVSA-N 0 3 323.484 4.400 20 0 DIADHN Cc1ccc([C@@H](NCCCCCO)c2ccccc2Cl)cc1 ZINC000556599918 1052870291 /nfs/dbraw/zinc/87/02/91/1052870291.db2.gz BKSYJBUKBGTZLW-LJQANCHMSA-N 0 3 317.860 4.490 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H](Cc3ccccc3)C2)cn1 ZINC000556601911 1052870945 /nfs/dbraw/zinc/87/09/45/1052870945.db2.gz OITOELDKWCPGEK-SFHVURJKSA-N 0 3 323.484 4.229 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3ccccc3)s2)n(C)n1 ZINC000556610241 1052871312 /nfs/dbraw/zinc/87/13/12/1052871312.db2.gz RMZKHFGUANKKPQ-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@@H]2CCSC2)c2cccnc2)cc1 ZINC000925471964 1052887315 /nfs/dbraw/zinc/88/73/15/1052887315.db2.gz FOXQYAOBCYMRDG-RTBURBONSA-N 0 3 312.482 4.390 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H]2CCSC2)c2cccnc2)cc1 ZINC000925471961 1052887817 /nfs/dbraw/zinc/88/78/17/1052887817.db2.gz FOXQYAOBCYMRDG-MOPGFXCFSA-N 0 3 312.482 4.390 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCCc2occc2C1 ZINC000556877557 1052890797 /nfs/dbraw/zinc/89/07/97/1052890797.db2.gz JBUJJEZJQUOBRC-FOWTUZBSSA-N 0 3 311.425 4.278 20 0 DIADHN COC(=O)c1csc([C@H](C)NC2(c3ccccc3)CCC2)c1 ZINC000556884367 1052891561 /nfs/dbraw/zinc/89/15/61/1052891561.db2.gz BTOJXCGZBAKOTP-ZDUSSCGKSA-N 0 3 315.438 4.265 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(-c3ccncc3)s2)CC1(C)C ZINC000556895305 1052893727 /nfs/dbraw/zinc/89/37/27/1052893727.db2.gz PZRDYTJVPISLAX-OAHLLOKOSA-N 0 3 301.459 4.072 20 0 DIADHN CC1(C)CN(CC2CC2)C[C@@H](c2cccc(C(F)(F)F)c2)O1 ZINC000556910083 1052897243 /nfs/dbraw/zinc/89/72/43/1052897243.db2.gz UNYMJWPIXAWUSB-HNNXBMFYSA-N 0 3 313.363 4.267 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCC2)cc1F ZINC000556909787 1052897252 /nfs/dbraw/zinc/89/72/52/1052897252.db2.gz LOMIRSMUXQZUQD-LJQANCHMSA-N 0 3 313.416 4.128 20 0 DIADHN Cc1ccc2c(c1)[C@@H]1CN(C)CC[C@@H]1N2C(=O)C=C1CCCCC1 ZINC000919993156 1052909112 /nfs/dbraw/zinc/90/91/12/1052909112.db2.gz OHZQPEVQWFCHEZ-ICSRJNTNSA-N 0 3 324.468 4.020 20 0 DIADHN CCCCCC[C@H](C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000920082202 1052917162 /nfs/dbraw/zinc/91/71/62/1052917162.db2.gz SVXKEMIPOKCJPP-KRWDZBQOSA-N 0 3 316.489 4.371 20 0 DIADHN C[C@H](NC(=O)Nc1ccc2c(c1)CNC2)[C@@H](C)C1CCCCC1 ZINC000557051638 1052918006 /nfs/dbraw/zinc/91/80/06/1052918006.db2.gz KOYBPABYZHZJAC-KGLIPLIRSA-N 0 3 315.461 4.016 20 0 DIADHN C[C@H](Oc1ccnc(N)c1)c1c(Cl)ccc(F)c1Cl ZINC001226652976 1052919022 /nfs/dbraw/zinc/91/90/22/1052919022.db2.gz SWHMQUCXKKUOMV-ZETCQYMHSA-N 0 3 301.148 4.250 20 0 DIADHN COCCN1CCC(Oc2cc(C)c(N=O)cc2C(C)C)CC1 ZINC001226736296 1052925704 /nfs/dbraw/zinc/92/57/04/1052925704.db2.gz GNFBMNZXKICTFC-UHFFFAOYSA-N 0 3 320.433 4.006 20 0 DIADHN C[C@H]1CN(Cc2ccccc2)CC[C@@H]1Oc1nc2ccccc2o1 ZINC001226906052 1052943751 /nfs/dbraw/zinc/94/37/51/1052943751.db2.gz NQRQMACMWZFFEK-YJBOKZPZSA-N 0 3 322.408 4.117 20 0 DIADHN C[C@H](C1CC1)N(Cc1coc(-c2ccc(F)cc2)n1)C1CC1 ZINC000075683943 1052947883 /nfs/dbraw/zinc/94/78/83/1052947883.db2.gz NGBNIQCVGKLEQV-GFCCVEGCSA-N 0 3 300.377 4.244 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)NCCC2=CCCCC2)cc1 ZINC000195538994 1052972848 /nfs/dbraw/zinc/97/28/48/1052972848.db2.gz CHNOHMQZBOIHAT-IRXDYDNUSA-N 0 3 314.473 4.041 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N[C@@H](C)c2ccccc2C)cc1 ZINC000195538961 1052972864 /nfs/dbraw/zinc/97/28/64/1052972864.db2.gz NKIMVIQMDUTZQM-OKZBNKHCSA-N 0 3 324.468 4.220 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H]1c1ccccc1)c1ccc(Br)cn1 ZINC000411670077 1052986147 /nfs/dbraw/zinc/98/61/47/1052986147.db2.gz RITUTTIBUFNYHN-DJSGYFEHSA-N 0 3 317.230 4.051 20 0 DIADHN CCC[C@@H](C)N(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000609798276 1052992941 /nfs/dbraw/zinc/99/29/41/1052992941.db2.gz OIBOWEPGKBWAHZ-NSPYISDASA-N 0 3 322.301 4.221 20 0 DIADHN CC(=O)c1ccc(O[C@H]2CN(Cc3ccccc3)CC[C@@H]2C)cc1 ZINC001227463616 1052993419 /nfs/dbraw/zinc/99/34/19/1052993419.db2.gz ZSEJUSXWENAHMB-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN CC(C)(C)c1ccccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001227471860 1052994223 /nfs/dbraw/zinc/99/42/23/1052994223.db2.gz HOZQKIFCLPFEMW-CALCHBBNSA-N 0 3 317.473 4.006 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccc(C)cc2)cc1 ZINC000615329779 1053012963 /nfs/dbraw/zinc/01/29/63/1053012963.db2.gz LRAGAFIEGRMRDV-CVEARBPZSA-N 0 3 310.441 4.235 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(C)c2)cc1 ZINC000615328927 1053013278 /nfs/dbraw/zinc/01/32/78/1053013278.db2.gz GDRAQOYYJPYRQJ-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(Cl)c2)cc1 ZINC000615329267 1053013321 /nfs/dbraw/zinc/01/33/21/1053013321.db2.gz GQBNTKJQXJQYGX-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2ccc(-c3ccccc3)o2)cc1 ZINC000237899022 1053037477 /nfs/dbraw/zinc/03/74/77/1053037477.db2.gz WUVYKDWTTUBXKV-IBGZPJMESA-N 0 3 307.393 4.078 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(Cl)c1CNCC1CC1 ZINC000237891226 1053037870 /nfs/dbraw/zinc/03/78/70/1053037870.db2.gz WCWOHKGWZDCVPH-UHFFFAOYSA-N 0 3 324.255 4.046 20 0 DIADHN CC(C)c1ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001228100876 1053044091 /nfs/dbraw/zinc/04/40/91/1053044091.db2.gz VSPOLYHBMKMOHU-QFBILLFUSA-N 0 3 310.441 4.419 20 0 DIADHN CCC(=O)c1cccc(O[C@@H](CCN(C)C)c2cccs2)c1 ZINC001228115736 1053044956 /nfs/dbraw/zinc/04/49/56/1053044956.db2.gz WCQJZINRDJCLPX-KRWDZBQOSA-N 0 3 317.454 4.413 20 0 DIADHN CCC(=O)c1cccc(O[C@@H](CCN(C)C)c2ccccc2)c1 ZINC001228115239 1053045211 /nfs/dbraw/zinc/04/52/11/1053045211.db2.gz NXRYJRYNHWCIHP-FQEVSTJZSA-N 0 3 311.425 4.351 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccc(C3CC3)cc2)c(F)c1 ZINC000616267340 1053052348 /nfs/dbraw/zinc/05/23/48/1053052348.db2.gz KCXPMXGSWOCFIG-UHFFFAOYSA-N 0 3 312.388 4.017 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)c(F)c1 ZINC000616267493 1053052573 /nfs/dbraw/zinc/05/25/73/1053052573.db2.gz NWSZRGZYXDHWAZ-HWWDLCQESA-N 0 3 318.436 4.042 20 0 DIADHN CC(=O)c1c(O[C@H]2[C@@H]3CCC[C@H]2CN(C)C3)ccc2ccccc21 ZINC001228253129 1053057759 /nfs/dbraw/zinc/05/77/59/1053057759.db2.gz NRKZILKOJMCWOT-LFUKPOHLSA-N 0 3 323.436 4.152 20 0 DIADHN CC(=O)c1ccc(C)c(O[C@@H](CCN(C)C)c2ccccc2)c1 ZINC001228351204 1053081172 /nfs/dbraw/zinc/08/11/72/1053081172.db2.gz DYJWWFGFGOOLSU-IBGZPJMESA-N 0 3 311.425 4.269 20 0 DIADHN Cc1cc(C)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001228407551 1053095595 /nfs/dbraw/zinc/09/55/95/1053095595.db2.gz PDDUMXHGCJEPDA-ZWKOTPCHSA-N 0 3 310.441 4.221 20 0 DIADHN C[C@H](Oc1ccc2ccccc2c1CN(C)C)c1ccncc1 ZINC001228439542 1053104562 /nfs/dbraw/zinc/10/45/62/1053104562.db2.gz NRRANMBCCVGART-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1nc2cc(Nc3ccncc3Oc3ccccc3)ccc2[nH]1 ZINC001213070272 1053110250 /nfs/dbraw/zinc/11/02/50/1053110250.db2.gz HUVVTXAVQAVVDB-UHFFFAOYSA-N 0 3 316.364 4.224 20 0 DIADHN Cc1nc2ccc(Nc3ccncc3Oc3ccccc3)cc2[nH]1 ZINC001213070272 1053110255 /nfs/dbraw/zinc/11/02/55/1053110255.db2.gz HUVVTXAVQAVVDB-UHFFFAOYSA-N 0 3 316.364 4.224 20 0 DIADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC001350933711 1053119858 /nfs/dbraw/zinc/11/98/58/1053119858.db2.gz ZFDAALBPKOKSCL-STQMWFEESA-N 0 3 303.475 4.117 20 0 DIADHN CCC[C@H](N[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ncccc1Cl ZINC001350976502 1053125283 /nfs/dbraw/zinc/12/52/83/1053125283.db2.gz USAPTNRCZADAKQ-NOHGZBONSA-N 0 3 300.780 4.210 20 0 DIADHN CCN(C[C@@H](O)c1ccc(C)cc1)[C@@H](C)c1nc2ccccc2o1 ZINC000181125749 1053140783 /nfs/dbraw/zinc/14/07/83/1053140783.db2.gz SBJCTGRBNWEWAT-MAUKXSAKSA-N 0 3 324.424 4.253 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@@H]4CCCC[C@@H]4C3)n2)cs1 ZINC001318359230 1053163989 /nfs/dbraw/zinc/16/39/89/1053163989.db2.gz ORIWRURZAXXICH-LSDHHAIUSA-N 0 3 301.459 4.081 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ncc(-c2ccccc2)o1 ZINC001351294447 1053164404 /nfs/dbraw/zinc/16/44/04/1053164404.db2.gz LRXYJYQQTRVEAH-RJZRQDKASA-N 0 3 318.367 4.284 20 0 DIADHN CCc1ccc(CNC2(c3ccccc3OC)CCC2)s1 ZINC001318644407 1053180901 /nfs/dbraw/zinc/18/09/01/1053180901.db2.gz YVDNOBDCQWURMR-UHFFFAOYSA-N 0 3 301.455 4.488 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1cccc(-c2cccs2)c1 ZINC001360081435 1053181881 /nfs/dbraw/zinc/18/18/81/1053181881.db2.gz KSQODFOWXQAELS-MRXNPFEDSA-N 0 3 314.454 4.226 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cccc3cc[nH]c32)C1 ZINC001228889887 1053200400 /nfs/dbraw/zinc/20/04/00/1053200400.db2.gz FULGHPLAMNMIJZ-WBVHZDCISA-N 0 3 321.424 4.167 20 0 DIADHN c1cc2ncc(OC3CCN(Cc4ccccc4)CC3)cc2s1 ZINC001228894838 1053202445 /nfs/dbraw/zinc/20/24/45/1053202445.db2.gz IPALUACIVIYEJI-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1cc(C)cc(C)c1 ZINC000089508934 1053204672 /nfs/dbraw/zinc/20/46/72/1053204672.db2.gz KEAUJCNDRDWENB-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1cccc(C)c1C ZINC000089509074 1053205422 /nfs/dbraw/zinc/20/54/22/1053205422.db2.gz ZMVZKTFYMCAFAV-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN O=C(C1CCCCCCC1)N1CCN(CC2CCCCC2)CC1 ZINC001351738125 1053219123 /nfs/dbraw/zinc/21/91/23/1053219123.db2.gz KKUSUVNXPVAGNW-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nnc(-c2cc(C)oc2C)o1)C1CC1 ZINC000089893189 1053219476 /nfs/dbraw/zinc/21/94/76/1053219476.db2.gz IAEKTKPQTNLBGS-AAEUAGOBSA-N 0 3 317.433 4.345 20 0 DIADHN CC(=O)c1c(C)cccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001228994598 1053220265 /nfs/dbraw/zinc/22/02/65/1053220265.db2.gz ZWFHLMFDPSYSSW-IBGZPJMESA-N 0 3 324.332 4.387 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cs1 ZINC000381683303 1053230460 /nfs/dbraw/zinc/23/04/60/1053230460.db2.gz DKVHAWGOBBKGJI-QWRGUYRKSA-N 0 3 301.415 4.050 20 0 DIADHN C[C@@H](CC(=O)NC[C@@H](C)N(C)Cc1ccccc1)CC(C)(C)C ZINC001360511935 1053237796 /nfs/dbraw/zinc/23/77/96/1053237796.db2.gz XUGMENNUJDTBPT-DLBZAZTESA-N 0 3 318.505 4.086 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(OC)c(F)c2)c1 ZINC000090724101 1053240709 /nfs/dbraw/zinc/24/07/09/1053240709.db2.gz SKRLOXKQWQHXLK-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001360532308 1053241267 /nfs/dbraw/zinc/24/12/67/1053241267.db2.gz VEAGIKDEXCAESX-ADKAHSJRSA-N 0 3 322.537 4.172 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCC(F)(F)F)c(OC)c1 ZINC001351926110 1053243502 /nfs/dbraw/zinc/24/35/02/1053243502.db2.gz BAKMCGLUDDOSEA-CQSZACIVSA-N 0 3 317.351 4.183 20 0 DIADHN COc1ccccc1[C@@H](N[C@@H](C)C1CCCC1)c1ccccn1 ZINC000090913160 1053247746 /nfs/dbraw/zinc/24/77/46/1053247746.db2.gz BWWMISRXROVEKF-MGPUTAFESA-N 0 3 310.441 4.348 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000251791689 1053248106 /nfs/dbraw/zinc/24/81/06/1053248106.db2.gz WCPZCEKDVKOQQS-GFWSLJDESA-N 0 3 306.208 4.351 20 0 DIADHN Cc1ccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c(C(C)C)c1 ZINC001229126195 1053253876 /nfs/dbraw/zinc/25/38/76/1053253876.db2.gz SZPFUUBNHUNPJY-HDICACEKSA-N 0 3 317.473 4.141 20 0 DIADHN C[C@H]1C[C@H](NCc2csc(-c3ccccn3)n2)C[C@@H](C)C1 ZINC000252160520 1053258221 /nfs/dbraw/zinc/25/82/21/1053258221.db2.gz PUDJATIRPOSHPP-WDNDVIMCSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1ncc(Br)s1 ZINC000252730446 1053276273 /nfs/dbraw/zinc/27/62/73/1053276273.db2.gz LKJCHMJILOJBKD-OPRDCNLKSA-N 0 3 303.269 4.135 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2ncoc2C(C)C)c1 ZINC001319866277 1053283563 /nfs/dbraw/zinc/28/35/63/1053283563.db2.gz OTPKVFDHLCWUCZ-INIZCTEOSA-N 0 3 314.429 4.014 20 0 DIADHN C/C=C/c1ccc(OC)c(O[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC001229252443 1053284633 /nfs/dbraw/zinc/28/46/33/1053284633.db2.gz HVQXWFZPIXQHCM-CZXUKVBWSA-N 0 3 323.436 4.382 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1ccsc1 ZINC000382193838 1053291495 /nfs/dbraw/zinc/29/14/95/1053291495.db2.gz ZMAWJFFWELXBNF-ZWNOBZJWSA-N 0 3 301.415 4.132 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NC[C@H](O)c1ccsc1 ZINC000181786047 1053294872 /nfs/dbraw/zinc/29/48/72/1053294872.db2.gz OTWHYCIXFRIPDR-WBMJQRKESA-N 0 3 315.438 4.441 20 0 DIADHN Cc1cccc([C@H](C)Oc2ccc(C(=O)CCN(C)C)cc2)c1 ZINC001229302982 1053296075 /nfs/dbraw/zinc/29/60/75/1053296075.db2.gz OLXMJUMMOHYYMC-INIZCTEOSA-N 0 3 311.425 4.269 20 0 DIADHN C[C@H](NCCc1ccc(OC(C)(C)C)cc1)c1nccs1 ZINC001320027670 1053296291 /nfs/dbraw/zinc/29/62/91/1053296291.db2.gz ORZSWDYMDURNTF-ZDUSSCGKSA-N 0 3 304.459 4.214 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1[C@H](C)CCC[C@H]1C)c1ccc(F)cc1 ZINC001320030146 1053298063 /nfs/dbraw/zinc/29/80/63/1053298063.db2.gz HATPWVAQQXAMET-ADAWSYLGSA-N 0 3 320.452 4.044 20 0 DIADHN Cc1ccc(-c2nc(CNCCC3CCOCC3)cs2)cc1 ZINC001320080846 1053302550 /nfs/dbraw/zinc/30/25/50/1053302550.db2.gz FWSOLFLEZDXQQF-UHFFFAOYSA-N 0 3 316.470 4.025 20 0 DIADHN CC(C)(C(=O)C(C#N)c1nc2ccccc2s1)c1ccccc1 ZINC000069833539 1053306494 /nfs/dbraw/zinc/30/64/94/1053306494.db2.gz CDFHTNJCAOKAEA-AWEZNQCLSA-N 0 3 320.417 4.450 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1cccc(F)c1F ZINC000181978783 1053319244 /nfs/dbraw/zinc/31/92/44/1053319244.db2.gz BTAXKILEBWVBFJ-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1snc(OC)c1Cl ZINC001200046611 1053331447 /nfs/dbraw/zinc/33/14/47/1053331447.db2.gz UCCRCXHFMBRLBQ-ZDUSSCGKSA-N 0 3 324.877 4.306 20 0 DIADHN FC(F)c1cccc(CNCc2ccc(-n3cccn3)cc2)c1 ZINC001320518044 1053341705 /nfs/dbraw/zinc/34/17/05/1053341705.db2.gz HKBDCKMZPOUEDD-UHFFFAOYSA-N 0 3 313.351 4.100 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)C(C#N)c2nc3ccccc3o2)cc1 ZINC000070034276 1053350343 /nfs/dbraw/zinc/35/03/43/1053350343.db2.gz AUMCHPQEIPRYEZ-CJNGLKHVSA-N 0 3 318.376 4.370 20 0 DIADHN CO[C@]1(C)C[C@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001560647309 1053353555 /nfs/dbraw/zinc/35/35/55/1053353555.db2.gz UUXLVNDLKKCODM-SMDDNHRTSA-N 0 3 321.408 4.402 20 0 DIADHN CC1=CCN(Cc2cc3cc(Br)ccc3o2)CC1 ZINC001560684969 1053353765 /nfs/dbraw/zinc/35/37/65/1053353765.db2.gz GTQHZUOWOTZAAO-UHFFFAOYSA-N 0 3 306.203 4.347 20 0 DIADHN CCN1CC[C@H](Oc2c(C(C)=O)cccc2-c2ccccc2)C1 ZINC001229565109 1053354479 /nfs/dbraw/zinc/35/44/79/1053354479.db2.gz CKONDUHOSCTLGR-KRWDZBQOSA-N 0 3 309.409 4.029 20 0 DIADHN CCSC1(CNCc2ccc(C(F)(F)F)nc2Cl)CC1 ZINC001560943981 1053356008 /nfs/dbraw/zinc/35/60/08/1053356008.db2.gz HEBCLIPJUVWQJK-UHFFFAOYSA-N 0 3 324.799 4.129 20 0 DIADHN CC[C@H](C)CN(CC)[C@H](C)c1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000253556133 1053356810 /nfs/dbraw/zinc/35/68/10/1053356810.db2.gz UIMZUAZPPRZSIP-CMPLNLGQSA-N 0 3 321.490 4.443 20 0 DIADHN C[C@H](c1cccc(O[C@@H]2CCC(=O)c3ccccc32)c1)N(C)C ZINC001229624114 1053368431 /nfs/dbraw/zinc/36/84/31/1053368431.db2.gz ANBJOFSZDRFFCW-JLTOFOAXSA-N 0 3 309.409 4.406 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1CCCC[C@@H]1CC)c1ccc(F)cc1 ZINC001320887638 1053371621 /nfs/dbraw/zinc/37/16/21/1053371621.db2.gz FZJNTQQXBBYRDQ-WBAXXEDZSA-N 0 3 320.452 4.046 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1CCCC[C@H]1CC)c1ccc(F)cc1 ZINC001320887634 1053371986 /nfs/dbraw/zinc/37/19/86/1053371986.db2.gz FZJNTQQXBBYRDQ-OLMNPRSZSA-N 0 3 320.452 4.046 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC[C@@H]3CCCC[C@H]3C2)cs1 ZINC001320877593 1053372256 /nfs/dbraw/zinc/37/22/56/1053372256.db2.gz JYIKBKLOWVYEJD-KBPBESRZSA-N 0 3 301.459 4.150 20 0 DIADHN CN(C)Cc1ccccc1O[C@H]1CCc2ccc(Cl)cc21 ZINC001229703344 1053386699 /nfs/dbraw/zinc/38/66/99/1053386699.db2.gz YXZRONMVIZOGNW-SFHVURJKSA-N 0 3 301.817 4.468 20 0 DIADHN CN(C)Cc1ccccc1O[C@@H]1CCc2ccc(Cl)cc21 ZINC001229703342 1053387056 /nfs/dbraw/zinc/38/70/56/1053387056.db2.gz YXZRONMVIZOGNW-GOSISDBHSA-N 0 3 301.817 4.468 20 0 DIADHN CSC1(CNCc2c(Cl)cccc2OC(F)F)CCC1 ZINC000618967236 1053408292 /nfs/dbraw/zinc/40/82/92/1053408292.db2.gz XWTXFEVUOUDHCA-UHFFFAOYSA-N 0 3 321.820 4.317 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc(F)cc2Cl)c(C)o1 ZINC001321393863 1053411028 /nfs/dbraw/zinc/41/10/28/1053411028.db2.gz ISCVYBOKBAUDMY-MGPLVRAMSA-N 0 3 311.784 4.073 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2csc(-c3ccco3)n2)CCO1 ZINC000763527036 1053427975 /nfs/dbraw/zinc/42/79/75/1053427975.db2.gz QOTSAPKKLKRHPU-SWLSCSKDSA-N 0 3 320.458 4.086 20 0 DIADHN c1nc(C2CC2)sc1CN1CCSC2(CCCCC2)C1 ZINC000093705298 1053438731 /nfs/dbraw/zinc/43/87/31/1053438731.db2.gz CPNXSPDGSKMKIH-UHFFFAOYSA-N 0 3 308.516 4.272 20 0 DIADHN c1nc([C@@H](NCCc2cc3ccccc3o2)C2CCCCC2)n[nH]1 ZINC000572552181 1053439891 /nfs/dbraw/zinc/43/98/91/1053439891.db2.gz BFAAWJDJHOXXOM-SFHVURJKSA-N 0 3 324.428 4.005 20 0 DIADHN CN1CC[C@@H](NCc2c(F)cccc2Cl)[C@H]1c1ccccc1 ZINC000670988727 1053454001 /nfs/dbraw/zinc/45/40/01/1053454001.db2.gz FOGWDBGPKBAPEV-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN CN(CCc1nccs1)Cc1ccccc1OC1CCCC1 ZINC000093843755 1053457478 /nfs/dbraw/zinc/45/74/78/1053457478.db2.gz QTEFQAVUVNMBCS-UHFFFAOYSA-N 0 3 316.470 4.139 20 0 DIADHN CC(C)(NCc1ncc(Br)s1)C1CCCCC1 ZINC001322173956 1053462893 /nfs/dbraw/zinc/46/28/93/1053462893.db2.gz JSWWUXZCABAYHA-UHFFFAOYSA-N 0 3 317.296 4.354 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(C)o1)c1cccc(Cl)c1F ZINC000763541166 1053465033 /nfs/dbraw/zinc/46/50/33/1053465033.db2.gz YZHRWRDCPVYEGT-KBPBESRZSA-N 0 3 311.784 4.155 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccccc1)c1cccc(Cl)c1F ZINC000763539425 1053467871 /nfs/dbraw/zinc/46/78/71/1053467871.db2.gz QWUJLDGUAPOKAK-CVEARBPZSA-N 0 3 307.796 4.253 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccccc1)c1cccc(Cl)c1F ZINC000763539429 1053468202 /nfs/dbraw/zinc/46/82/02/1053468202.db2.gz QWUJLDGUAPOKAK-HZPDHXFCSA-N 0 3 307.796 4.253 20 0 DIADHN COc1nsc(CN[C@@H]2CCC[C@H](C(C)C)C2)c1Cl ZINC001200212895 1053471177 /nfs/dbraw/zinc/47/11/77/1053471177.db2.gz DYOOJLLQEUWQDB-WDEREUQCSA-N 0 3 302.871 4.110 20 0 DIADHN C[C@H](NC[C@H](O)c1cc(F)ccc1F)c1cc2ccccc2o1 ZINC000182625369 1053488452 /nfs/dbraw/zinc/48/84/52/1053488452.db2.gz MEROJDJNYBKQEO-ZBEGNZNMSA-N 0 3 317.335 4.095 20 0 DIADHN CCCC1CCN(Cc2ccc(SC(=O)N(C)C)cc2)CC1 ZINC001322422659 1053502419 /nfs/dbraw/zinc/50/24/19/1053502419.db2.gz OBXWZBDNQKFTSX-UHFFFAOYSA-N 0 3 320.502 4.472 20 0 DIADHN CC(=O)c1ccc(F)c(O[C@@H](CCN(C)C)c2ccccc2)c1 ZINC001230564228 1053558617 /nfs/dbraw/zinc/55/86/17/1053558617.db2.gz LWRDYNXGOHUTRI-SFHVURJKSA-N 0 3 315.388 4.100 20 0 DIADHN COC(=O)c1cc2cc(CN[C@H](C)c3ccsc3)ccc2o1 ZINC000619782550 1053559073 /nfs/dbraw/zinc/55/90/73/1053559073.db2.gz CMCBCHLOQMKOMB-LLVKDONJSA-N 0 3 315.394 4.132 20 0 DIADHN CCC(CC)[C@@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccccc1 ZINC001353440459 1053574435 /nfs/dbraw/zinc/57/44/35/1053574435.db2.gz ZVKDQXCKNIIIRE-RTBURBONSA-N 0 3 316.489 4.153 20 0 DIADHN CN1CC[C@@H](NCc2sccc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001323864661 1053607706 /nfs/dbraw/zinc/60/77/06/1053607706.db2.gz AMOIACWDNHSSKS-ZBFHGGJFSA-N 0 3 324.852 4.076 20 0 DIADHN CC(C)N1CC[C@H](Oc2cc(OC(F)(F)F)ccc2Cl)C1 ZINC001230831238 1053610289 /nfs/dbraw/zinc/61/02/89/1053610289.db2.gz LTXSUEXFWNAYGZ-NSHDSACASA-N 0 3 323.742 4.100 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(O)(c2ccccc2)CC1 ZINC001323984315 1053615604 /nfs/dbraw/zinc/61/56/04/1053615604.db2.gz ASAVJPNSUFQEBT-UHFFFAOYSA-N 0 3 318.420 4.031 20 0 DIADHN CN1CC[C@@H](Oc2ccc(OCc3ccccc3)c(Cl)c2)C1 ZINC001230886752 1053619703 /nfs/dbraw/zinc/61/97/03/1053619703.db2.gz DRQCNMVJWHYREU-MRXNPFEDSA-N 0 3 317.816 4.002 20 0 DIADHN CN(Cc1ccc(C(N)=O)cc1)Cc1ccc(C2CCCC2)cc1 ZINC001324264283 1053637609 /nfs/dbraw/zinc/63/76/09/1053637609.db2.gz MHFFTJTZAWCYCW-UHFFFAOYSA-N 0 3 322.452 4.075 20 0 DIADHN C[C@@H](NCCc1c(Cl)cccc1Cl)c1cc2n(n1)CCC2 ZINC000414062777 1053661224 /nfs/dbraw/zinc/66/12/24/1053661224.db2.gz DDJAUJTXFRPPAW-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc3c(c2)OCCO3)cc1 ZINC000620434963 1053662167 /nfs/dbraw/zinc/66/21/67/1053662167.db2.gz ZIRVVJQMZKQDBO-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)[C@@]1(CO)CCN(Cc2sc3ccccc3c2Cl)C1 ZINC001324571726 1053663362 /nfs/dbraw/zinc/66/33/62/1053663362.db2.gz REIYIBCGGJUNFL-KRWDZBQOSA-N 0 3 323.889 4.395 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4cc(C)[nH]n4)CC3)c[nH]c21 ZINC001324732627 1053676350 /nfs/dbraw/zinc/67/63/50/1053676350.db2.gz WTGYGKBAHGIGPN-UHFFFAOYSA-N 0 3 322.456 4.141 20 0 DIADHN CCC[C@@H](NCc1c(C)c(C)n[nH]c1=O)c1ccc(Cl)cc1 ZINC001324841968 1053682719 /nfs/dbraw/zinc/68/27/19/1053682719.db2.gz RPZLBXJRWCFXMJ-MRXNPFEDSA-N 0 3 319.836 4.083 20 0 DIADHN Cc1cccc2nc(OC3CCN(Cc4ccccc4)CC3)oc21 ZINC001231164479 1053692235 /nfs/dbraw/zinc/69/22/35/1053692235.db2.gz QXQBVKHLRPPMTA-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(Cl)c(F)c2)ccc1O ZINC001324992276 1053693722 /nfs/dbraw/zinc/69/37/22/1053693722.db2.gz LGHAYHSXCPIWQQ-LLVKDONJSA-N 0 3 323.795 4.434 20 0 DIADHN CCN(CC(C)C)[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000153766289 1053712595 /nfs/dbraw/zinc/71/25/95/1053712595.db2.gz HRGNEFSBDSKTDZ-GFCCVEGCSA-N 0 3 307.825 4.429 20 0 DIADHN c1cc(CN2CCC(OC3CCCCC3)CC2)n(C2CC2)c1 ZINC001325315723 1053713125 /nfs/dbraw/zinc/71/31/25/1053713125.db2.gz PAXIWXGFANYXSE-UHFFFAOYSA-N 0 3 302.462 4.137 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3C)c(OC)c1 ZINC000154168428 1053728218 /nfs/dbraw/zinc/72/82/18/1053728218.db2.gz GOMPOYYBXWSKKG-IFXJQAMLSA-N 0 3 311.425 4.350 20 0 DIADHN CCOC[C@H]1CCN([C@@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000154874930 1053749952 /nfs/dbraw/zinc/74/99/52/1053749952.db2.gz WECOVUMRCDLTMY-MEDUHNTESA-N 0 3 314.256 4.339 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2cccc(C)c2)c1 ZINC000154850758 1053750141 /nfs/dbraw/zinc/75/01/41/1053750141.db2.gz BVHYVZXFEUVDOO-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN CC[C@@](C)(NCc1cc2ccc(F)cc2[nH]c1=O)c1ccccc1 ZINC001200551444 1053754198 /nfs/dbraw/zinc/75/41/98/1053754198.db2.gz GYMOFLTTXCSOSG-HXUWFJFHSA-N 0 3 324.399 4.495 20 0 DIADHN Cc1ccc([C@@H](C)NCCc2coc(-c3ccc(C)cc3)n2)o1 ZINC000155137186 1053764840 /nfs/dbraw/zinc/76/48/40/1053764840.db2.gz FTESKCADJCCMLQ-OAHLLOKOSA-N 0 3 310.397 4.445 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)cc1C ZINC001231486533 1053766713 /nfs/dbraw/zinc/76/67/13/1053766713.db2.gz KJGJLRFVUDFBKB-PMACEKPBSA-N 0 3 318.480 4.010 20 0 DIADHN C(=C/c1ccc(CN2CC3(C2)CCOC3)cc1)\c1ccccc1 ZINC001231486893 1053767418 /nfs/dbraw/zinc/76/74/18/1053767418.db2.gz PIINWIVIXLDULE-VOTSOKGWSA-N 0 3 305.421 4.079 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCc1cccc(CO)c1 ZINC000268850003 1053769107 /nfs/dbraw/zinc/76/91/07/1053769107.db2.gz FPGUYAQGJXYQBG-GFCCVEGCSA-N 0 3 318.486 4.092 20 0 DIADHN CN(Cc1c[nH]c2cccnc12)Cc1cccc(C(F)(F)F)c1 ZINC001231490339 1053769192 /nfs/dbraw/zinc/76/91/92/1053769192.db2.gz PGWKDPUNDURPDD-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCc1ccc(CN2CCC(Oc3ncccc3C)CC2)s1 ZINC001231504318 1053772593 /nfs/dbraw/zinc/77/25/93/1053772593.db2.gz WYGQDGJMNNOKFB-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(OCCCOC)cc1 ZINC001231508681 1053775711 /nfs/dbraw/zinc/77/57/11/1053775711.db2.gz SFVRFOIACVLIDU-UHFFFAOYSA-N 0 3 319.470 4.186 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOCc2ccccc2)cs1 ZINC000269451530 1053782765 /nfs/dbraw/zinc/78/27/65/1053782765.db2.gz VWVMWNUDPFJXSG-CQSZACIVSA-N 0 3 304.459 4.099 20 0 DIADHN Brc1c[nH]c(CN2CCC3(CCCCC3)CC2)c1 ZINC001231571743 1053787273 /nfs/dbraw/zinc/78/72/73/1053787273.db2.gz KEDLXOLDYGUDAN-UHFFFAOYSA-N 0 3 311.267 4.324 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2ccc(CC(C)C)cc2)c1 ZINC000155822740 1053787289 /nfs/dbraw/zinc/78/72/89/1053787289.db2.gz STZTZGSMCAZRRU-INIZCTEOSA-N 0 3 324.468 4.096 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccccc2OC)o1)C(C)(C)C ZINC001326517720 1053789034 /nfs/dbraw/zinc/78/90/34/1053789034.db2.gz QGWUNDUIBBCWHY-OAHLLOKOSA-N 0 3 317.433 4.050 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1ccc(-c2ccccc2)o1 ZINC000156063426 1053797597 /nfs/dbraw/zinc/79/75/97/1053797597.db2.gz WSFAJJPCCLESKN-CQSZACIVSA-N 0 3 310.397 4.445 20 0 DIADHN COc1cc(F)ccc1CN(C)CCc1ccc(Cl)cc1 ZINC001231603503 1053797707 /nfs/dbraw/zinc/79/77/07/1053797707.db2.gz JVJUKNNLWPMQJT-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1cc(F)ccc1CN1CCC2(C=Cc3ccccc32)CC1 ZINC001231600857 1053798754 /nfs/dbraw/zinc/79/87/54/1053798754.db2.gz YIGWXDHGZIZOAM-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN COc1cc(C)c(CN2CC[C@@H]3CCCO[C@H]3C2)cc1C(C)C ZINC001231627330 1053809369 /nfs/dbraw/zinc/80/93/69/1053809369.db2.gz JTMVYEQLFQXQHZ-JXFKEZNVSA-N 0 3 317.473 4.128 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc3cc(F)ccc3o2)s1 ZINC001200611721 1053810999 /nfs/dbraw/zinc/81/09/99/1053810999.db2.gz NQHGHWQTGGQJFT-SNVBAGLBSA-N 0 3 304.390 4.058 20 0 DIADHN COc1cc(C)c(CN2CC[C@H]3CCCO[C@@H]3C2)cc1C(C)C ZINC001231627338 1053811212 /nfs/dbraw/zinc/81/12/12/1053811212.db2.gz JTMVYEQLFQXQHZ-OXQOHEQNSA-N 0 3 317.473 4.128 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC001231655200 1053822826 /nfs/dbraw/zinc/82/28/26/1053822826.db2.gz BROYSDMEHQLDPF-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc3ccccc32)cn1 ZINC001231653855 1053823278 /nfs/dbraw/zinc/82/32/78/1053823278.db2.gz ZPCLJFKFWQKBCP-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1ccc(OC(C)(C)C)cc1 ZINC000156829416 1053826207 /nfs/dbraw/zinc/82/62/07/1053826207.db2.gz ZXVIWLWSYZRNPB-OAHLLOKOSA-N 0 3 313.441 4.207 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1cccc(C(F)(F)F)c1 ZINC000272462811 1053827975 /nfs/dbraw/zinc/82/79/75/1053827975.db2.gz KMUUSPYYAHMTRY-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN c1cc2cc(CN3CCC(Sc4ccccc4)CC3)ncc2[nH]1 ZINC001231669330 1053829373 /nfs/dbraw/zinc/82/93/73/1053829373.db2.gz GSQKKTOODCUXEZ-UHFFFAOYSA-N 0 3 323.465 4.320 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cc3cc[nH]c3cn2)CC1 ZINC001231667696 1053829730 /nfs/dbraw/zinc/82/97/30/1053829730.db2.gz CSESLTAKWSLDMV-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cc3cc[nH]c3cn2)c1 ZINC001231669197 1053831293 /nfs/dbraw/zinc/83/12/93/1053831293.db2.gz ROILLAPDXXIPKH-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc([C@@H](C)NCCc2csc(C(C)C)n2)c(F)c1 ZINC000156952785 1053832175 /nfs/dbraw/zinc/83/21/75/1053832175.db2.gz ZXPIQCQGCBOULX-GFCCVEGCSA-N 0 3 322.449 4.308 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)CC(F)(F)F)cc1OCC ZINC000156931711 1053832488 /nfs/dbraw/zinc/83/24/88/1053832488.db2.gz NGDSKGVUGWMQME-NEPJUHHUSA-N 0 3 319.367 4.476 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2cc(F)ccc2F)cs1 ZINC000156954200 1053834378 /nfs/dbraw/zinc/83/43/78/1053834378.db2.gz MCAKKHITEUTUTB-NSHDSACASA-N 0 3 310.413 4.438 20 0 DIADHN Clc1ccccc1OC[C@H]1CCCN(Cc2cccnc2)C1 ZINC000157029445 1053835888 /nfs/dbraw/zinc/83/58/88/1053835888.db2.gz PHLSNJWYFVKUHJ-INIZCTEOSA-N 0 3 316.832 4.026 20 0 DIADHN CC(C)Oc1ccc(CN2CCC[C@@]3(CCOC3)C2)cc1Cl ZINC001231715205 1053837452 /nfs/dbraw/zinc/83/74/52/1053837452.db2.gz ZPOKDNWJGHWQBN-GOSISDBHSA-N 0 3 323.864 4.130 20 0 DIADHN COC(C)(C)[C@H](C)NCc1ccc(Br)cc1Cl ZINC000671108291 1053841730 /nfs/dbraw/zinc/84/17/30/1053841730.db2.gz MTZYVLHBPNPSOM-VIFPVBQESA-N 0 3 320.658 4.006 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1C[C@H]2CC[C@@H](C1)C2=O ZINC001231739024 1053845268 /nfs/dbraw/zinc/84/52/68/1053845268.db2.gz KHYNJLRRDOZIJS-CALCHBBNSA-N 0 3 323.436 4.038 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CCC[C@H](F)C2)c2ccccn2)cc1 ZINC001327324454 1053849385 /nfs/dbraw/zinc/84/93/85/1053849385.db2.gz MSZAARJPCSULCS-FCEWJHQRSA-N 0 3 314.404 4.050 20 0 DIADHN Cc1ccc2sc(NC[C@H](c3cccs3)N(C)C)nc2c1 ZINC001472637217 1053851885 /nfs/dbraw/zinc/85/18/85/1053851885.db2.gz NSMVGFDARSSVAZ-CYBMUJFWSA-N 0 3 317.483 4.381 20 0 DIADHN CC(C)Cc1ccc(CN2CCC(Oc3ccncc3)CC2)cc1 ZINC001231787005 1053856426 /nfs/dbraw/zinc/85/64/26/1053856426.db2.gz RKFWIPKDNMWEJZ-UHFFFAOYSA-N 0 3 324.468 4.324 20 0 DIADHN CCCCc1ccc(CN2CCC(Oc3cccnc3)CC2)cc1 ZINC001231783172 1053856917 /nfs/dbraw/zinc/85/69/17/1053856917.db2.gz YJVGBOMXRAYEAR-UHFFFAOYSA-N 0 3 324.468 4.468 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001231799430 1053860303 /nfs/dbraw/zinc/86/03/03/1053860303.db2.gz RWLVMBDRALVVSM-MJGOQNOKSA-N 0 3 321.468 4.464 20 0 DIADHN CC(C)(C)Oc1ccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)cc1 ZINC001231808383 1053865417 /nfs/dbraw/zinc/86/54/17/1053865417.db2.gz YVMRRMKIIAWQGV-GASCZTMLSA-N 0 3 309.400 4.341 20 0 DIADHN CC(C)(C)[C@H](N[C@H]1CCCn2nccc21)c1cccc(F)c1 ZINC001327595302 1053865802 /nfs/dbraw/zinc/86/58/02/1053865802.db2.gz JAZBJKZYFXERQC-DOTOQJQBSA-N 0 3 301.409 4.234 20 0 DIADHN CC(C)(C)[C@@H](N[C@H]1CCCn2nccc21)c1cccc(F)c1 ZINC001327595510 1053866126 /nfs/dbraw/zinc/86/61/26/1053866126.db2.gz JAZBJKZYFXERQC-RDJZCZTQSA-N 0 3 301.409 4.234 20 0 DIADHN CC(C)(C)[C@@H](N[C@@H]1CCCn2nccc21)c1cccc(F)c1 ZINC001327595512 1053866560 /nfs/dbraw/zinc/86/65/60/1053866560.db2.gz JAZBJKZYFXERQC-WBVHZDCISA-N 0 3 301.409 4.234 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc(OC)cc1)c1c(C)nn(C)c1C ZINC000157727470 1053869425 /nfs/dbraw/zinc/86/94/25/1053869425.db2.gz SQUBYMRAQHVVLO-QZTJIDSGSA-N 0 3 315.461 4.238 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1c[nH]nc1-c1ccc(F)cc1 ZINC000157833160 1053871112 /nfs/dbraw/zinc/87/11/12/1053871112.db2.gz DPIWBIQXDFFBNU-GFCCVEGCSA-N 0 3 315.417 4.470 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000157891724 1053873876 /nfs/dbraw/zinc/87/38/76/1053873876.db2.gz OZQBMNXSLXOJNH-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)NC(c1ccccc1)c1ccccc1 ZINC001327729092 1053874672 /nfs/dbraw/zinc/87/46/72/1053874672.db2.gz AEIJVPFKPXQIDJ-KRWDZBQOSA-N 0 3 324.468 4.013 20 0 DIADHN CCN(CC1CC1)[C@H](C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000157980010 1053878575 /nfs/dbraw/zinc/87/85/75/1053878575.db2.gz GLUIRXRCGIBPBO-MRXNPFEDSA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@@]12C[C@@H](CO1)N(Cc1ccccc1-c1ccc(F)cc1)C2 ZINC001231825977 1053880212 /nfs/dbraw/zinc/88/02/12/1053880212.db2.gz ZZNDWIUMVGSLAG-ICSRJNTNSA-N 0 3 311.400 4.246 20 0 DIADHN Clc1cnc2oc(CNCc3ccc4c(c3)CCC4)cc2c1 ZINC001327946855 1053897300 /nfs/dbraw/zinc/89/73/00/1053897300.db2.gz WBMPHQPWTLNEDD-UHFFFAOYSA-N 0 3 312.800 4.260 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)C(C)(C)C ZINC001327998146 1053902033 /nfs/dbraw/zinc/90/20/33/1053902033.db2.gz HHYIUNZBQCUROR-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3ccnc(C(F)F)c3)C2)cc1 ZINC001231892522 1053906034 /nfs/dbraw/zinc/90/60/34/1053906034.db2.gz DJTSPMKXPJPDNC-CQSZACIVSA-N 0 3 306.331 4.148 20 0 DIADHN FC(F)c1cc(CN2CCC[C@H](c3ccccc3)C2)ccn1 ZINC001231891898 1053906676 /nfs/dbraw/zinc/90/66/76/1053906676.db2.gz YJMSFXIUTGQXII-INIZCTEOSA-N 0 3 302.368 4.399 20 0 DIADHN CC(C)CCOc1ccc([C@H](C)NCc2ccn(C)c2)cc1 ZINC000158579595 1053909661 /nfs/dbraw/zinc/90/96/61/1053909661.db2.gz YTAWECHTFRHCNV-INIZCTEOSA-N 0 3 300.446 4.301 20 0 DIADHN CC[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1c(C)noc1C ZINC001354603280 1053919911 /nfs/dbraw/zinc/91/99/11/1053919911.db2.gz ZIINYOFEOLLOJJ-BFYDXBDKSA-N 0 3 320.383 4.381 20 0 DIADHN Cc1ccc(CCN(C)Cc2ncccc2C(F)(F)F)cc1 ZINC001231962782 1053921907 /nfs/dbraw/zinc/92/19/07/1053921907.db2.gz KEERAXIYCJWISR-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(F)cc3F)CC2)cc(C)n1 ZINC001232004410 1053937028 /nfs/dbraw/zinc/93/70/28/1053937028.db2.gz OOEVMMOTIYYJLG-UHFFFAOYSA-N 0 3 316.395 4.356 20 0 DIADHN FC(F)(F)c1cnc(Cl)c(CN2CC3CCC(CC3)C2)c1 ZINC001232010745 1053938961 /nfs/dbraw/zinc/93/89/61/1053938961.db2.gz LNMSLWIDYWPBAG-UHFFFAOYSA-N 0 3 318.770 4.376 20 0 DIADHN C[C@@H](NCc1cncc(Cl)c1)c1ccc2c(c1)CCCC2 ZINC000621273088 1053938949 /nfs/dbraw/zinc/93/89/49/1053938949.db2.gz MJROLBJONMPAFY-CYBMUJFWSA-N 0 3 300.833 4.465 20 0 DIADHN Cc1cc([C@H](NCc2cncc(Cl)c2)C2CC2)ccc1F ZINC000621275496 1053939013 /nfs/dbraw/zinc/93/90/13/1053939013.db2.gz XTTKAVSZIHCGIR-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H](NCc1cncc(Cl)c1)c1ccc2c(c1)CCCC2 ZINC000621273089 1053939812 /nfs/dbraw/zinc/93/98/12/1053939812.db2.gz MJROLBJONMPAFY-ZDUSSCGKSA-N 0 3 300.833 4.465 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2Cl)C1)c1ccccn1 ZINC000159211365 1053941606 /nfs/dbraw/zinc/94/16/06/1053941606.db2.gz AMKHCOWYEPDDCL-LSDHHAIUSA-N 0 3 315.848 4.055 20 0 DIADHN COCCCC[C@@H](NCc1cncc(Cl)c1)c1ccccc1 ZINC000621288895 1053943528 /nfs/dbraw/zinc/94/35/28/1053943528.db2.gz MMPUUCKZVSWWQL-GOSISDBHSA-N 0 3 318.848 4.383 20 0 DIADHN CCCc1ccc(CNCc2cccc(OCCOC)c2)s1 ZINC000621329994 1053948736 /nfs/dbraw/zinc/94/87/36/1053948736.db2.gz VTICVSSAAIBNJL-UHFFFAOYSA-N 0 3 319.470 4.016 20 0 DIADHN COc1cccc2[nH]cc(CN3CCC4(CC[C@H](F)C4)CC3)c21 ZINC001232038174 1053948872 /nfs/dbraw/zinc/94/88/72/1053948872.db2.gz JWDMXLLVFVYZQD-HNNXBMFYSA-N 0 3 316.420 4.281 20 0 DIADHN COc1cccc2[nH]cc(CN3Cc4ccccc4C[C@@H]3C)c21 ZINC001232037904 1053949027 /nfs/dbraw/zinc/94/90/27/1053949027.db2.gz FAHKJJWNPGDBMD-AWEZNQCLSA-N 0 3 306.409 4.123 20 0 DIADHN Cn1nc(C(F)F)c(CN2CC[C@H]3CCCC[C@@H]3C2)c1Cl ZINC001232056366 1053951900 /nfs/dbraw/zinc/95/19/00/1053951900.db2.gz DKNFQBRFAYEREL-GHMZBOCLSA-N 0 3 317.811 4.023 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc3ncccc3[nH]2)cc1 ZINC001232065314 1053952814 /nfs/dbraw/zinc/95/28/14/1053952814.db2.gz SJJBRDPPWOQPCU-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CCOC(=O)C1CCN(Cc2ccc(C(C)(C)CC)cc2)CC1 ZINC001232078861 1053954336 /nfs/dbraw/zinc/95/43/36/1053954336.db2.gz HFNYUBBRUAHCMK-UHFFFAOYSA-N 0 3 317.473 4.149 20 0 DIADHN CC(C)CCN(Cc1nc2ccccc2c(=O)[nH]1)C1CCCC1 ZINC000621314574 1053955062 /nfs/dbraw/zinc/95/50/62/1053955062.db2.gz SEKDNTUJKNRNRM-UHFFFAOYSA-N 0 3 313.445 4.126 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@@]3(C2)CC(F)(F)CO3)cc1 ZINC001232080577 1053956014 /nfs/dbraw/zinc/95/60/14/1053956014.db2.gz JSZDAFRALROSLO-SFHVURJKSA-N 0 3 323.427 4.374 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc3ccncc3[nH]2)cc1 ZINC001232093923 1053962408 /nfs/dbraw/zinc/96/24/08/1053962408.db2.gz FMNAFVXDLZGBSN-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CC[C@@H](NCc1ccnn1CCc1ccccc1)c1ccccc1 ZINC000621361788 1053966015 /nfs/dbraw/zinc/96/60/15/1053966015.db2.gz IEXFSYPISRSDEX-OAQYLSRUSA-N 0 3 319.452 4.367 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2ccc(C)c(Cl)c2)o1 ZINC001436772597 1053966237 /nfs/dbraw/zinc/96/62/37/1053966237.db2.gz HEAFVVFVSZKMPJ-UHFFFAOYSA-N 0 3 321.804 4.302 20 0 DIADHN CCN(Cc1cnc(-c2cccnc2)o1)Cc1ccc(C)c(C)c1 ZINC001232138557 1053967485 /nfs/dbraw/zinc/96/74/85/1053967485.db2.gz VDYFDTRHSDCKRM-UHFFFAOYSA-N 0 3 321.424 4.376 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3cnc(Cl)c(F)c3)cc2)C1 ZINC001232134586 1053967649 /nfs/dbraw/zinc/96/76/49/1053967649.db2.gz GIVFZPGGJAMCDR-UHFFFAOYSA-N 0 3 304.796 4.383 20 0 DIADHN Fc1ccc(OCc2ccccc2)c(CN2CC3CC(C3)C2)c1 ZINC001232119670 1053967992 /nfs/dbraw/zinc/96/79/92/1053967992.db2.gz VVGMDJRUOIGQPH-UHFFFAOYSA-N 0 3 311.400 4.247 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc(-c3cccnc3)o2)c1 ZINC001232130811 1053969167 /nfs/dbraw/zinc/96/91/67/1053969167.db2.gz JOSPUMSTXCKJDV-LJQANCHMSA-N 0 3 319.408 4.382 20 0 DIADHN CC(=O)Nc1ccc(CN(C)C/C=C/c2ccccc2)c(C)c1 ZINC001232187633 1053982596 /nfs/dbraw/zinc/98/25/96/1053982596.db2.gz ONYAANAICJVHSG-JXMROGBWSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1cnc2ccccc2c1NCc1nc(-c2cccs2)c[nH]1 ZINC001329444313 1053988334 /nfs/dbraw/zinc/98/83/34/1053988334.db2.gz CCGPEVXXESHXJJ-UHFFFAOYSA-N 0 3 320.421 4.029 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccnc2c(F)cccc12 ZINC001232208511 1053989496 /nfs/dbraw/zinc/98/94/96/1053989496.db2.gz XOYDZFLKRGCAPW-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN C[C@H]1CCN(Cc2ccnc3c(F)cccc23)CCC1(F)F ZINC001232210715 1053990253 /nfs/dbraw/zinc/99/02/53/1053990253.db2.gz RNZYPHCIQMRYEP-LBPRGKRZSA-N 0 3 308.347 4.241 20 0 DIADHN CC(C)(C)c1cc(CN2CCC3(CC[C@H](F)C3)CC2)ccn1 ZINC001232218079 1053994011 /nfs/dbraw/zinc/99/40/11/1053994011.db2.gz UCFPVPWYYYDXFA-INIZCTEOSA-N 0 3 304.453 4.483 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(c3cccnc3)CC2)ccn1 ZINC001232220443 1053997122 /nfs/dbraw/zinc/99/71/22/1053997122.db2.gz LEVRNAJUQPJMRP-UHFFFAOYSA-N 0 3 309.457 4.154 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2cccn2Cc2ccccc2)C1 ZINC001232233616 1053997897 /nfs/dbraw/zinc/99/78/97/1053997897.db2.gz IORGGWALMPINKX-MRXNPFEDSA-N 0 3 304.384 4.014 20 0 DIADHN CCCCc1ccc(C(=O)N(CCN(C)C)c2ccccc2)cc1 ZINC000621727356 1054001460 /nfs/dbraw/zinc/00/14/60/1054001460.db2.gz KTAZPOMPESBUNP-UHFFFAOYSA-N 0 3 324.468 4.238 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)c1ccccc1)CC(C)(C)C ZINC000621727331 1054002084 /nfs/dbraw/zinc/00/20/84/1054002084.db2.gz UVJVGPNTJJBPPH-MRXNPFEDSA-N 0 3 304.478 4.044 20 0 DIADHN Cc1nccnc1CN1CCC[C@]1(C)Cc1cccc(Cl)c1 ZINC000621731917 1054003787 /nfs/dbraw/zinc/00/37/87/1054003787.db2.gz GBYKIVAOTHIOLP-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CC[C@H](Cc3ccccc3)C2)c2cccnc12 ZINC001232278686 1054008795 /nfs/dbraw/zinc/00/87/95/1054008795.db2.gz GSDNOFCPMOVPTJ-QGZVFWFLSA-N 0 3 318.420 4.005 20 0 DIADHN [O-]c1ccc(C[N@H+]2CC[C@H](Cc3ccccc3)C2)c2cccnc12 ZINC001232278686 1054008807 /nfs/dbraw/zinc/00/88/07/1054008807.db2.gz GSDNOFCPMOVPTJ-QGZVFWFLSA-N 0 3 318.420 4.005 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CCC[C@@H](c3ccccc3)C2)c2cccnc12 ZINC001232278910 1054009845 /nfs/dbraw/zinc/00/98/45/1054009845.db2.gz KRVNFWYEKGBCMC-QGZVFWFLSA-N 0 3 318.420 4.320 20 0 DIADHN [O-]c1ccc(C[N@H+]2CCC[C@@H](c3ccccc3)C2)c2cccnc12 ZINC001232278910 1054009851 /nfs/dbraw/zinc/00/98/51/1054009851.db2.gz KRVNFWYEKGBCMC-QGZVFWFLSA-N 0 3 318.420 4.320 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CC[C@@H](c3ccc(F)cc3)C2)c2cccnc12 ZINC001232276539 1054010360 /nfs/dbraw/zinc/01/03/60/1054010360.db2.gz ZGXVGGWWUWXXDR-OAHLLOKOSA-N 0 3 322.383 4.069 20 0 DIADHN [O-]c1ccc(C[N@H+]2CC[C@@H](c3ccc(F)cc3)C2)c2cccnc12 ZINC001232276539 1054010374 /nfs/dbraw/zinc/01/03/74/1054010374.db2.gz ZGXVGGWWUWXXDR-OAHLLOKOSA-N 0 3 322.383 4.069 20 0 DIADHN Cc1cc(Br)ncc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001232319914 1054020063 /nfs/dbraw/zinc/02/00/63/1054020063.db2.gz NQUWLXKJQXFNBK-KGLIPLIRSA-N 0 3 323.278 4.165 20 0 DIADHN CCN(Cc1ccccc1)Cc1cnc(Br)cc1C ZINC001232316104 1054020793 /nfs/dbraw/zinc/02/07/93/1054020793.db2.gz RIQDSLAVGZSCPK-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CC(C)(C)OCCNCc1c(OC(F)F)ccc2ccccc21 ZINC000621813868 1054027212 /nfs/dbraw/zinc/02/72/12/1054027212.db2.gz YYTTVSTXYVLFBM-UHFFFAOYSA-N 0 3 323.383 4.346 20 0 DIADHN CSc1cc(C)c(CN2CC[C@@H](c3ccc(F)cc3)C2)cn1 ZINC001232347924 1054027633 /nfs/dbraw/zinc/02/76/33/1054027633.db2.gz IMOBARSPNLGXJJ-OAHLLOKOSA-N 0 3 316.445 4.241 20 0 DIADHN CCN(Cc1cccc(C(F)(F)F)c1)Cc1ccc(N)nc1C ZINC001232347653 1054027788 /nfs/dbraw/zinc/02/77/88/1054027788.db2.gz RCHWWLMYJFMBGV-UHFFFAOYSA-N 0 3 323.362 4.013 20 0 DIADHN CN1CCC[C@H]1CSc1nc(-c2ccc(F)cc2)cs1 ZINC001472918141 1054029204 /nfs/dbraw/zinc/02/92/04/1054029204.db2.gz URPPVKYOMGEMJC-ZDUSSCGKSA-N 0 3 308.447 4.136 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OCc3ccccc3)nc2)C2(CC2)C1 ZINC001232358744 1054031832 /nfs/dbraw/zinc/03/18/32/1054031832.db2.gz PKSPOHYKUZYCNR-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CCC3(CCC3)CC2)c1 ZINC001232386813 1054033894 /nfs/dbraw/zinc/03/38/94/1054033894.db2.gz YMACCPFTVPLIOU-UHFFFAOYSA-N 0 3 303.446 4.249 20 0 DIADHN CCCC(=O)NC[C@H]1CCCN([C@@H](C)c2ccccc2Cl)C1 ZINC000171097370 1054033969 /nfs/dbraw/zinc/03/39/69/1054033969.db2.gz TUWGCYWTRMTPIR-LSDHHAIUSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc(OC(C)C)c(CN2CCC(OC3CCC3)CC2)c1 ZINC001232395257 1054039037 /nfs/dbraw/zinc/03/90/37/1054039037.db2.gz JYHYIWPBFROJBK-UHFFFAOYSA-N 0 3 317.473 4.316 20 0 DIADHN Cc1c(F)cc(CN(C)Cc2cccc3cccnc32)cc1F ZINC001232423392 1054042566 /nfs/dbraw/zinc/04/25/66/1054042566.db2.gz OTYFAKQLIKCUGM-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2cc(OCc3ccccc3)no2)CC1 ZINC001232451382 1054047064 /nfs/dbraw/zinc/04/70/64/1054047064.db2.gz QEYFZMVCTZNRIS-JCNLHEQBSA-N 0 3 314.429 4.264 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCSC2)c2ccc(F)cc2)cc1 ZINC000163762691 1054048746 /nfs/dbraw/zinc/04/87/46/1054048746.db2.gz ZUIKAPUYBKBIGK-FUHWJXTLSA-N 0 3 317.429 4.019 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]c3nccc(Cl)c13)CC2 ZINC001232463062 1054049169 /nfs/dbraw/zinc/04/91/69/1054049169.db2.gz FKAFPVUXUVDJIL-CYBMUJFWSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3ccc(Cl)c(Cl)c3)C2)[nH]1 ZINC001232463259 1054051070 /nfs/dbraw/zinc/05/10/70/1054051070.db2.gz FXODLTBHWIDXHL-GFCCVEGCSA-N 0 3 310.228 4.014 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CC[C@H]4CCCC[C@@H]4C3)c21 ZINC001232461783 1054051525 /nfs/dbraw/zinc/05/15/25/1054051525.db2.gz LIZPYILBUSPCMQ-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN COc1cc(Cl)ccc1CN(C)CCc1ccccc1F ZINC001232502042 1054059791 /nfs/dbraw/zinc/05/97/91/1054059791.db2.gz KZEYCPZERJQCJZ-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN C[C@H]1CN(Cc2cc(F)ccc2N)CCc2ccc(Cl)cc21 ZINC001232510813 1054061907 /nfs/dbraw/zinc/06/19/07/1054061907.db2.gz GIQAFLZUQCQKHD-LBPRGKRZSA-N 0 3 318.823 4.223 20 0 DIADHN Cc1c(Br)cncc1CN1CCC2(CCCC2)CC1 ZINC001232529728 1054063071 /nfs/dbraw/zinc/06/30/71/1054063071.db2.gz UHDDTSPHCIQABH-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN C[C@@H](NCc1cnn(CC(F)F)c1)c1cccc2ccccc21 ZINC000293000729 1054064310 /nfs/dbraw/zinc/06/43/10/1054064310.db2.gz NUQKJEAQGUGULP-CYBMUJFWSA-N 0 3 315.367 4.152 20 0 DIADHN CCCCCN(C)Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232537109 1054065759 /nfs/dbraw/zinc/06/57/59/1054065759.db2.gz BLMPFMXBYFFYQU-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN FCc1cccc(CN2CCC(Cc3ccc(F)cc3)CC2)n1 ZINC001232536475 1054066820 /nfs/dbraw/zinc/06/68/20/1054066820.db2.gz FPVCIQGISOSFSC-UHFFFAOYSA-N 0 3 316.395 4.145 20 0 DIADHN c1cc2cc(CN(Cc3ccccc3)C3CCOCC3)ncc2o1 ZINC001232552779 1054070357 /nfs/dbraw/zinc/07/03/57/1054070357.db2.gz DRFUOEFFRHJVIR-UHFFFAOYSA-N 0 3 322.408 4.009 20 0 DIADHN CC[C@H]1CCCN(Cc2cc(OCc3ccccc3)ccn2)C1 ZINC001232586318 1054075634 /nfs/dbraw/zinc/07/56/34/1054075634.db2.gz ANRUOPNSVGTGOF-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN CCCCOC(=O)[C@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232611859 1054081658 /nfs/dbraw/zinc/08/16/58/1054081658.db2.gz CYSWEAZPOUSGNN-INIZCTEOSA-N 0 3 317.454 4.067 20 0 DIADHN Cc1noc(C)c1CCN1CCS[C@H](C)[C@@H]1c1ccccc1 ZINC000165956422 1054082566 /nfs/dbraw/zinc/08/25/66/1054082566.db2.gz MGKJQHULJZJYHW-CRAIPNDOSA-N 0 3 316.470 4.013 20 0 DIADHN Cc1noc(C)c1CCN1CCS[C@@H](C)[C@@H]1c1ccccc1 ZINC000165956536 1054083285 /nfs/dbraw/zinc/08/32/85/1054083285.db2.gz MGKJQHULJZJYHW-MAUKXSAKSA-N 0 3 316.470 4.013 20 0 DIADHN CCOC(=O)C1(C)CCN(Cc2ccc3ccsc3c2)CC1 ZINC001232611156 1054083316 /nfs/dbraw/zinc/08/33/16/1054083316.db2.gz GTNOZMLSGPULSK-UHFFFAOYSA-N 0 3 317.454 4.067 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc2ccccc2c1 ZINC000166558238 1054092498 /nfs/dbraw/zinc/09/24/98/1054092498.db2.gz MKJZYPWLOOWXTQ-PUCZYUMASA-N 0 3 303.405 4.149 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)Cc1cncc2cnccc21 ZINC001232653300 1054095056 /nfs/dbraw/zinc/09/50/56/1054095056.db2.gz UXPDPGLZMQFJQV-CYBMUJFWSA-N 0 3 311.816 4.476 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc(C(=O)OC)c(F)c1 ZINC001232705919 1054105432 /nfs/dbraw/zinc/10/54/32/1054105432.db2.gz WJGOWOICMCALKZ-SFHVURJKSA-N 0 3 315.388 4.195 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2ccc3ncsc3c2)C1 ZINC001232741239 1054112405 /nfs/dbraw/zinc/11/24/05/1054112405.db2.gz JGJFHAAHABRRLS-MRXNPFEDSA-N 0 3 324.449 4.258 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3cccc(C(F)F)c3)ccc2O1 ZINC001332335727 1054115021 /nfs/dbraw/zinc/11/50/21/1054115021.db2.gz OUAXNUFHKPNIEP-GFCCVEGCSA-N 0 3 303.352 4.237 20 0 DIADHN Cc1c(CN2CC[C@H](Cc3ccccc3)C2)ccnc1Cl ZINC001232752218 1054115999 /nfs/dbraw/zinc/11/59/99/1054115999.db2.gz FDMPHCBXSWYCLA-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN FC(F)CCCN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC001332440728 1054119675 /nfs/dbraw/zinc/11/96/75/1054119675.db2.gz NHVBYOGZOSFCNS-INIZCTEOSA-N 0 3 310.775 4.459 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@H]3CCC[C@H]3C2)c(Cl)n1 ZINC001232790896 1054122242 /nfs/dbraw/zinc/12/22/42/1054122242.db2.gz HTPXICLFWVHPNQ-MNOVXSKESA-N 0 3 318.770 4.376 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](N[C@H]1CCCc3nc(C)ncc31)CC2 ZINC000848528080 1054127324 /nfs/dbraw/zinc/12/73/24/1054127324.db2.gz JXZPAPIQAOXUHT-OALUTQOASA-N 0 3 307.441 4.056 20 0 DIADHN COc1cc(CN(C)[C@H]2CCc3ccccc32)c(OC)cc1C ZINC001232835237 1054128262 /nfs/dbraw/zinc/12/82/62/1054128262.db2.gz ZZEOMAHIWYWZCK-SFHVURJKSA-N 0 3 311.425 4.132 20 0 DIADHN CCCN(Cc1cc(Br)co1)Cc1ccccc1 ZINC001232855085 1054133150 /nfs/dbraw/zinc/13/31/50/1054133150.db2.gz WIXKVNNMKFFMDC-UHFFFAOYSA-N 0 3 308.219 4.454 20 0 DIADHN Cc1cccc([C@H](NCc2cnc(Cl)n2C)C(C)(C)C)c1 ZINC000848538983 1054135628 /nfs/dbraw/zinc/13/56/28/1054135628.db2.gz BZVSJWSPOVWSNK-HNNXBMFYSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1cc(O)cc(CN2CCC(c3ccc(F)cc3F)CC2)c1 ZINC001232882862 1054138741 /nfs/dbraw/zinc/13/87/41/1054138741.db2.gz CRSZVMVOODBNAR-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN Fc1cccc(-c2ccc(CN3CCC(C(F)F)CC3)cn2)c1 ZINC001232890548 1054141022 /nfs/dbraw/zinc/14/10/22/1054141022.db2.gz XECYGDSOJARJEB-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN FC(F)C1CCN(Cc2cncc(-c3ccccc3)c2)CC1 ZINC001232902795 1054147948 /nfs/dbraw/zinc/14/79/48/1054147948.db2.gz UUOXTSMDNDIXMC-UHFFFAOYSA-N 0 3 302.368 4.226 20 0 DIADHN Cc1cc(CNCCC(c2ccccc2)c2ccccc2)on1 ZINC000622287368 1054148176 /nfs/dbraw/zinc/14/81/76/1054148176.db2.gz HRNWDLIFMLMOIA-UHFFFAOYSA-N 0 3 306.409 4.295 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc3ncoc3c2)c1 ZINC001232945341 1054152915 /nfs/dbraw/zinc/15/29/15/1054152915.db2.gz PPIGLPXMJDEGLU-GOSISDBHSA-N 0 3 308.381 4.174 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2ccc3ncoc3c2)C1 ZINC001232942863 1054153577 /nfs/dbraw/zinc/15/35/77/1054153577.db2.gz NHZOKCPLOFRPNW-CQSZACIVSA-N 0 3 312.800 4.471 20 0 DIADHN CN(Cc1ccc2ncoc2c1)Cc1cccc(C(F)(F)F)c1 ZINC001232943193 1054153679 /nfs/dbraw/zinc/15/36/79/1054153679.db2.gz WHXHLFATQZTDAA-UHFFFAOYSA-N 0 3 320.314 4.479 20 0 DIADHN C[C@H](NCc1ccc(NC(=O)C2CC2)cc1)c1cccc(F)c1 ZINC001333399504 1054154240 /nfs/dbraw/zinc/15/42/40/1054154240.db2.gz XHBUNNRRHVPTHN-ZDUSSCGKSA-N 0 3 312.388 4.025 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc(OC(F)F)nc2)c1 ZINC001232952706 1054156274 /nfs/dbraw/zinc/15/62/74/1054156274.db2.gz NEVFDIDVMNMFLQ-INIZCTEOSA-N 0 3 318.367 4.329 20 0 DIADHN COC/C(C)=C/CN[C@@H](CC(F)(F)F)c1ccccc1C ZINC001333486297 1054156605 /nfs/dbraw/zinc/15/66/05/1054156605.db2.gz KFNIBHZVELCFQK-JQVXPOPVSA-N 0 3 301.352 4.171 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc(OC(F)F)nc1 ZINC001232956714 1054159195 /nfs/dbraw/zinc/15/91/95/1054159195.db2.gz GKFDQQXOAHRDDA-OAHLLOKOSA-N 0 3 306.356 4.266 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@H]2c2ccccc2)cn1 ZINC001232955093 1054159683 /nfs/dbraw/zinc/15/96/83/1054159683.db2.gz VKPDQVMFEWAPFH-HNNXBMFYSA-N 0 3 304.340 4.020 20 0 DIADHN CCOC(=O)C1(CC)CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001232987360 1054165540 /nfs/dbraw/zinc/16/55/40/1054165540.db2.gz UJTNLDFFKVKHGG-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN COc1ccccc1O[C@H]1CCN(Cc2ccc(C3CC3)cc2)C1 ZINC001232983444 1054165562 /nfs/dbraw/zinc/16/55/62/1054165562.db2.gz NBPZBKZKMHFIEP-IBGZPJMESA-N 0 3 323.436 4.226 20 0 DIADHN Cc1cccnc1OC1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001232986333 1054166547 /nfs/dbraw/zinc/16/65/47/1054166547.db2.gz IXOMLNMJASSHKI-UHFFFAOYSA-N 0 3 322.452 4.311 20 0 DIADHN Cc1csc([C@H](C)NC2CC(c3ccc(F)cc3F)C2)n1 ZINC001333668392 1054166602 /nfs/dbraw/zinc/16/66/02/1054166602.db2.gz MIJNIGHXGMYXPJ-ZBOXLXRLSA-N 0 3 308.397 4.327 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](c3cccc(Cl)c3)C2)cn1 ZINC001233009883 1054170953 /nfs/dbraw/zinc/17/09/53/1054170953.db2.gz NEGBCRUWVXHFMT-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN CC1(C)CCN(Cc2cnn(-c3cccc(C(F)(F)F)c3)c2)C1 ZINC001233041640 1054176708 /nfs/dbraw/zinc/17/67/08/1054176708.db2.gz UETRLISBHQSLME-UHFFFAOYSA-N 0 3 323.362 4.123 20 0 DIADHN CCCCN(C)Cc1cnn(-c2cccc(C(F)(F)F)c2)c1 ZINC001233040675 1054177120 /nfs/dbraw/zinc/17/71/20/1054177120.db2.gz MWPJVZVSSZJBFG-UHFFFAOYSA-N 0 3 311.351 4.123 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H](C)C1)c1ncc(-c2ccccc2)o1 ZINC001334064634 1054180732 /nfs/dbraw/zinc/18/07/32/1054180732.db2.gz VUDNPGBHFZRJIE-XUWVNRHRSA-N 0 3 314.429 4.196 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC001334222944 1054184888 /nfs/dbraw/zinc/18/48/88/1054184888.db2.gz BFMDCVNKOOBBHF-YTWAJWBKSA-N 0 3 322.396 4.016 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2[nH]nc3cc(F)ccc32)c1 ZINC001233119035 1054187713 /nfs/dbraw/zinc/18/77/13/1054187713.db2.gz HFYBJGOZDCIYFA-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN Cc1cc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)cnc1C ZINC001233162313 1054193744 /nfs/dbraw/zinc/19/37/44/1054193744.db2.gz ADCALYXEIILWCF-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CCC[C@@H](c2nc3ccccc3[nH]2)C1 ZINC001334613052 1054194466 /nfs/dbraw/zinc/19/44/66/1054194466.db2.gz DRLKIZIKKXCXMR-DZGCQCFKSA-N 0 3 324.403 4.038 20 0 DIADHN COc1ccc(C2(NCc3cnc(F)c(C)c3)CCCC2)cc1 ZINC001334692673 1054195560 /nfs/dbraw/zinc/19/55/60/1054195560.db2.gz CFDVXDWHBWIHCT-UHFFFAOYSA-N 0 3 314.404 4.097 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1c(C)cc(CO)cc1C ZINC001334814577 1054201145 /nfs/dbraw/zinc/20/11/45/1054201145.db2.gz WNPKYYPIEQRCPG-OAHLLOKOSA-N 0 3 315.482 4.368 20 0 DIADHN COc1cc(Br)cc(CN2CC3CCC(CC3)C2)c1 ZINC001233217871 1054203428 /nfs/dbraw/zinc/20/34/28/1054203428.db2.gz IWXUJJXYIXTODD-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233212060 1054203831 /nfs/dbraw/zinc/20/38/31/1054203831.db2.gz ZAQLPTOHFGLHET-MRXNPFEDSA-N 0 3 313.372 4.029 20 0 DIADHN COc1ccc(Cl)cc1CCN[C@@H](C)c1nc(C)cs1 ZINC001334908452 1054204161 /nfs/dbraw/zinc/20/41/61/1054204161.db2.gz WUWDIECSOBKDQZ-NSHDSACASA-N 0 3 310.850 4.007 20 0 DIADHN Cc1ccc(Cl)cc1CN1CC[C@@H](Oc2ccncc2C)C1 ZINC001233250954 1054216159 /nfs/dbraw/zinc/21/61/59/1054216159.db2.gz HFDRUESUEVITJW-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN O=C(C[C@H]1CCCN1CCCC(F)(F)F)c1ccc(F)cc1 ZINC001335394642 1054216196 /nfs/dbraw/zinc/21/61/96/1054216196.db2.gz YKVFNCMUBWYAIN-CQSZACIVSA-N 0 3 317.326 4.205 20 0 DIADHN Cc1ccc(Cl)cc1CN1CCC(c2cccnc2)CC1 ZINC001233250262 1054217633 /nfs/dbraw/zinc/21/76/33/1054217633.db2.gz CUILKIAJEHRYOH-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)C[C@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000184860033 1054221638 /nfs/dbraw/zinc/22/16/38/1054221638.db2.gz NKMGPNNPXMEKIM-WMLDXEAASA-N 0 3 322.408 4.169 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc(-c3ccncc3)cs2)cc1 ZINC001335838526 1054227048 /nfs/dbraw/zinc/22/70/48/1054227048.db2.gz QRBPSZRPIZFUFE-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@H]1CCCN(Cc2ccccc2)C1 ZINC001233317485 1054228012 /nfs/dbraw/zinc/22/80/12/1054228012.db2.gz OOGDSSDQYOLKHM-INIZCTEOSA-N 0 3 318.848 4.029 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@H]2OCCC[C@H]2C1 ZINC001233350397 1054245550 /nfs/dbraw/zinc/24/55/50/1054245550.db2.gz GAXPAOPLGVKTMC-SWLSCSKDSA-N 0 3 311.878 4.063 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H](c2cccnc2)C1 ZINC001233352401 1054246806 /nfs/dbraw/zinc/24/68/06/1054246806.db2.gz CICVHDRKCBINTA-CQSZACIVSA-N 0 3 318.873 4.446 20 0 DIADHN Cc1ncc(CN2CCC(CCc3ccc(Cl)cc3)CC2)o1 ZINC001233367257 1054251359 /nfs/dbraw/zinc/25/13/59/1054251359.db2.gz VKOYVQRTICFIHG-UHFFFAOYSA-N 0 3 318.848 4.481 20 0 DIADHN Nc1ccc(CN2CC[C@H](c3ccccc3F)C2)c(Cl)c1 ZINC001233375830 1054252359 /nfs/dbraw/zinc/25/23/59/1054252359.db2.gz DJQWPZKFCBGXDA-LBPRGKRZSA-N 0 3 304.796 4.051 20 0 DIADHN Nc1ccc(CN2CC[C@@H](c3ccccc3F)C2)c(Cl)c1 ZINC001233375829 1054252908 /nfs/dbraw/zinc/25/29/08/1054252908.db2.gz DJQWPZKFCBGXDA-GFCCVEGCSA-N 0 3 304.796 4.051 20 0 DIADHN CN(CCCc1ccccc1)Cc1cn2ccc(Cl)cc2n1 ZINC001233390772 1054255352 /nfs/dbraw/zinc/25/53/52/1054255352.db2.gz JMPBLIPOFHRGGV-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN CO[C@H](CN[C@@H]1CCc2c1cc(F)cc2F)c1ccc(F)cc1 ZINC001337156336 1054257567 /nfs/dbraw/zinc/25/75/67/1054257567.db2.gz JLODEKLRTGVRFQ-QZTJIDSGSA-N 0 3 321.342 4.069 20 0 DIADHN CC[C@H](NC(=O)[C@@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001439323208 1054263373 /nfs/dbraw/zinc/26/33/73/1054263373.db2.gz NZTSQSIRGSXUJC-PMACEKPBSA-N 0 3 324.468 4.280 20 0 DIADHN Cc1cc(CNC2(c3ccc(C(F)(F)F)cc3)CCCC2)[nH]n1 ZINC001337470924 1054271663 /nfs/dbraw/zinc/27/16/63/1054271663.db2.gz COROYYROBOVTEU-UHFFFAOYSA-N 0 3 323.362 4.296 20 0 DIADHN Cc1cc(CNC2(c3ccc(C(F)(F)F)cc3)CCCC2)n[nH]1 ZINC001337470924 1054271675 /nfs/dbraw/zinc/27/16/75/1054271675.db2.gz COROYYROBOVTEU-UHFFFAOYSA-N 0 3 323.362 4.296 20 0 DIADHN Clc1cncc(CN(Cc2ccccc2)C2CCCCC2)n1 ZINC001233447205 1054277116 /nfs/dbraw/zinc/27/71/16/1054277116.db2.gz XFWIXJOCWYFMCC-UHFFFAOYSA-N 0 3 315.848 4.465 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N(C)C1CCCCC1)c1ccc(F)cc1 ZINC001337649527 1054277882 /nfs/dbraw/zinc/27/78/82/1054277882.db2.gz KAQUJRPGNUHVGX-KSSFIOAISA-N 0 3 320.452 4.046 20 0 DIADHN CCN1CC[C@H](Oc2c(C(C)=O)cc(Cl)c3ccccc32)C1 ZINC001233542151 1054291521 /nfs/dbraw/zinc/29/15/21/1054291521.db2.gz MLTXKYDZWUDNAI-ZDUSSCGKSA-N 0 3 317.816 4.169 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)c1cccc2ccccc21 ZINC000622596007 1054305379 /nfs/dbraw/zinc/30/53/79/1054305379.db2.gz LTGQJZYKZLURFV-UHFFFAOYSA-N 0 3 324.468 4.178 20 0 DIADHN CCN(CCOC)Cc1ccc(C)cc1OCc1ccccc1 ZINC001233618050 1054313768 /nfs/dbraw/zinc/31/37/68/1054313768.db2.gz DEVXQBRMAIFCIA-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN COc1cc(CN[C@H]2CCCc3ccc(F)cc32)ccc1F ZINC001339651318 1054344914 /nfs/dbraw/zinc/34/49/14/1054344914.db2.gz ARGBQYPICOTMFD-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN Clc1ccc(C[C@@H](NCc2c[nH]cn2)c2ccccc2)cc1 ZINC000171132169 1054349502 /nfs/dbraw/zinc/34/95/02/1054349502.db2.gz JPIMQOHRKFGWIB-GOSISDBHSA-N 0 3 311.816 4.137 20 0 DIADHN Clc1ccc(C[C@@H](NCc2cnc[nH]2)c2ccccc2)cc1 ZINC000171132169 1054349511 /nfs/dbraw/zinc/34/95/11/1054349511.db2.gz JPIMQOHRKFGWIB-GOSISDBHSA-N 0 3 311.816 4.137 20 0 DIADHN CC(C)[C@H](C(=O)Nc1ccc(C(C)(C)C)cc1)N1CCCCC1 ZINC001440482459 1054352781 /nfs/dbraw/zinc/35/27/81/1054352781.db2.gz WTNJAQVZSSCSAA-GOSISDBHSA-N 0 3 316.489 4.433 20 0 DIADHN CCc1ccc([C@@H](CNC(=O)CCCCC(C)C)N(C)C)cc1 ZINC001440670357 1054363694 /nfs/dbraw/zinc/36/36/94/1054363694.db2.gz HEUAZCNZCGVVEB-LJQANCHMSA-N 0 3 318.505 4.184 20 0 DIADHN C[C@H](C(=O)Nc1cc(Cl)cc(Cl)c1)N1[C@H](C)CC[C@H]1C ZINC001440753629 1054366981 /nfs/dbraw/zinc/36/69/81/1054366981.db2.gz IAFXPILVNOCNNQ-GMTAPVOTSA-N 0 3 315.244 4.193 20 0 DIADHN CCN(Cc1cnn(C)c1)C1c2ccccc2-c2ccccc21 ZINC000171655882 1054380171 /nfs/dbraw/zinc/38/01/71/1054380171.db2.gz JMHBLTNRPJJRQY-UHFFFAOYSA-N 0 3 303.409 4.012 20 0 DIADHN CC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000171714845 1054383605 /nfs/dbraw/zinc/38/36/05/1054383605.db2.gz HYXFDAHSXHAHHO-KKUMJFAQSA-N 0 3 322.518 4.141 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H](C)c1ccc(C)cc1C ZINC001441174452 1054383971 /nfs/dbraw/zinc/38/39/71/1054383971.db2.gz DXTJBMXSWASQRU-GOSISDBHSA-N 0 3 318.505 4.335 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N[C@@H](C)CN1CCCCC1 ZINC001441177716 1054385085 /nfs/dbraw/zinc/38/50/85/1054385085.db2.gz PPZQDXZMNJHYCU-PKOBYXMFSA-N 0 3 310.526 4.364 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@@H]2c2ccc(F)cc2)c2ccccc12 ZINC001233963921 1054399328 /nfs/dbraw/zinc/39/93/28/1054399328.db2.gz DWBLEDYWAICVTP-LJQANCHMSA-N 0 3 322.383 4.417 20 0 DIADHN COc1ccc(CN2C[C@H]3CCCC[C@@]32c2ccccc2)cc1O ZINC001341605476 1054399578 /nfs/dbraw/zinc/39/95/78/1054399578.db2.gz XBRPOFJCZAFEJM-WIYYLYMNSA-N 0 3 323.436 4.302 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H]1CCCc2cnn(C(C)C)c21 ZINC001342637194 1054426927 /nfs/dbraw/zinc/42/69/27/1054426927.db2.gz LDWGWPBRCOGWTC-OALUTQOASA-N 0 3 309.457 4.427 20 0 DIADHN FC(F)(F)CCCN1CC2(CCOCC2)[C@H]1c1ccccc1 ZINC001343078226 1054437585 /nfs/dbraw/zinc/43/75/85/1054437585.db2.gz TZQPHJUQPSUAKO-OAHLLOKOSA-N 0 3 313.363 4.183 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)N(CCN(C)C)C2CCCCC2)CC1 ZINC001443336334 1054446961 /nfs/dbraw/zinc/44/69/61/1054446961.db2.gz LISIHSCLEHDFRJ-IYARVYRRSA-N 0 3 322.537 4.172 20 0 DIADHN CC[C@@H](NCCc1nsc2ccccc12)c1nccs1 ZINC000660252563 1054451806 /nfs/dbraw/zinc/45/18/06/1054451806.db2.gz CKTJVYADDZJNFS-GFCCVEGCSA-N 0 3 303.456 4.036 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H]3OCCC[C@@H]3C2)c1 ZINC001343899213 1054454866 /nfs/dbraw/zinc/45/48/66/1054454866.db2.gz XLMDQVYCMBGYOB-CZUORRHYSA-N 0 3 314.256 4.037 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cn1)C(C)(C)c1ccccc1 ZINC000671245913 1054459516 /nfs/dbraw/zinc/45/95/16/1054459516.db2.gz SBNLLTLMWSOXFY-UHFFFAOYSA-N 0 3 308.347 4.468 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NC[C@H]1CCCCN1CC ZINC001443869939 1054461651 /nfs/dbraw/zinc/46/16/51/1054461651.db2.gz JETUILASCJPWIZ-MJGOQNOKSA-N 0 3 310.526 4.364 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccccc1C)C1CC1 ZINC001443912301 1054461811 /nfs/dbraw/zinc/46/18/11/1054461811.db2.gz BSOQWFMWZSLQPL-FQEVSTJZSA-N 0 3 316.489 4.074 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)c1ccccc1)C1CCCCC1 ZINC001357343729 1054461876 /nfs/dbraw/zinc/46/18/76/1054461876.db2.gz FVIFNHSWWSGIKB-KRWDZBQOSA-N 0 3 316.489 4.188 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCC2(CCOCC2)CC1 ZINC000671252678 1054463462 /nfs/dbraw/zinc/46/34/62/1054463462.db2.gz ZAXLKSBZIHFSRV-CYBMUJFWSA-N 0 3 311.828 4.433 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@]3(C2)CCCOC3)c1 ZINC000671254098 1054463683 /nfs/dbraw/zinc/46/36/83/1054463683.db2.gz RAUICZAGMLVIPZ-INIZCTEOSA-N 0 3 314.256 4.038 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cc(C)nc(Cl)c2)C1(C)C ZINC001473258848 1054464544 /nfs/dbraw/zinc/46/45/44/1054464544.db2.gz AMJCDOWUBXDXEW-HZPDHXFCSA-N 0 3 324.896 4.459 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CC[C@]2(C1)CCCOC2 ZINC000671254632 1054464805 /nfs/dbraw/zinc/46/48/05/1054464805.db2.gz FQLWHQVGBIEILA-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN Clc1cccc(CCN2CC[C@@]3(C2)CCCOC3)c1Cl ZINC000671255069 1054465007 /nfs/dbraw/zinc/46/50/07/1054465007.db2.gz YYBFRLVSFCXTEP-MRXNPFEDSA-N 0 3 314.256 4.038 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1OC1[C@@H](C)CCC[C@@H]1C ZINC001234243271 1054471298 /nfs/dbraw/zinc/47/12/98/1054471298.db2.gz GQRLTDJCCPBUMV-STQMWFEESA-N 0 3 309.425 4.099 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc2c(c1)OCCO2 ZINC000337718099 1054471446 /nfs/dbraw/zinc/47/14/46/1054471446.db2.gz MMHLHPWSFSTQPU-ZDUSSCGKSA-N 0 3 309.365 4.055 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1C[C@@H](C)CC[C@H]1C ZINC001234242830 1054471472 /nfs/dbraw/zinc/47/14/72/1054471472.db2.gz DHOTXPHESUODIU-WOSRLPQWSA-N 0 3 309.425 4.099 20 0 DIADHN CSc1ccc(F)c(O[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC001234245972 1054471972 /nfs/dbraw/zinc/47/19/72/1054471972.db2.gz WAXFKSCPMXFFBN-HNNXBMFYSA-N 0 3 317.429 4.201 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1OC1CCCCCCC1 ZINC001234243036 1054472267 /nfs/dbraw/zinc/47/22/67/1054472267.db2.gz FLWQTPVBCRQRQP-UHFFFAOYSA-N 0 3 309.425 4.388 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1ccc(C(C)(C)C)cc1 ZINC000173347513 1054479832 /nfs/dbraw/zinc/47/98/32/1054479832.db2.gz BMZRIBIICLYJQE-CQSZACIVSA-N 0 3 315.432 4.466 20 0 DIADHN Cc1ccc(Cl)c(OC2CCN(C3CC3)CC2)c1Cl ZINC001234302734 1054491656 /nfs/dbraw/zinc/49/16/56/1054491656.db2.gz LXNMMDCTCGWWEP-UHFFFAOYSA-N 0 3 300.229 4.307 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@H](C)C(F)(F)F)cc1OC ZINC000441145831 1054497931 /nfs/dbraw/zinc/49/79/31/1054497931.db2.gz ITDINBDXXNXYJG-WCQYABFASA-N 0 3 317.351 4.039 20 0 DIADHN CC[C@@H](C)NC(=O)c1cccc(CN[C@H](C)c2cccc(C)c2)c1 ZINC000173936935 1054498363 /nfs/dbraw/zinc/49/83/63/1054498363.db2.gz ZTNIHKTWUWYDPK-IAGOWNOFSA-N 0 3 324.468 4.374 20 0 DIADHN C[C@H](NCc1cccc(OCC(F)F)c1)c1cccc(F)c1 ZINC000174039605 1054500223 /nfs/dbraw/zinc/50/02/23/1054500223.db2.gz LBAGPJSAVSVLFV-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN CC(=O)c1cc(O[C@@H](CCN(C)C)c2cccs2)ccc1C ZINC001234322004 1054501292 /nfs/dbraw/zinc/50/12/92/1054501292.db2.gz PSJKWFOQWYESKE-KRWDZBQOSA-N 0 3 317.454 4.331 20 0 DIADHN CC(C)CC(C)(C)CNC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001445347088 1054504509 /nfs/dbraw/zinc/50/45/09/1054504509.db2.gz YMEFSIHSFLGHRZ-UHFFFAOYSA-N 0 3 315.461 4.093 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)C[C@@H]1CCCOC1 ZINC000174151176 1054505319 /nfs/dbraw/zinc/50/53/19/1054505319.db2.gz FIVNBJLSUNEUQK-NEPJUHHUSA-N 0 3 302.245 4.413 20 0 DIADHN c1cc(CN2CCC[C@H](CCCc3ccccc3)C2)sn1 ZINC001473292182 1054511345 /nfs/dbraw/zinc/51/13/45/1054511345.db2.gz UPZJCJJSRBREJO-KRWDZBQOSA-N 0 3 300.471 4.378 20 0 DIADHN C[C@@H](Oc1cccc2c1CCN(C)C2)c1cccc(Cl)c1 ZINC001234424049 1054520243 /nfs/dbraw/zinc/52/02/43/1054520243.db2.gz OAHMLPXLDXMZJK-CYBMUJFWSA-N 0 3 301.817 4.468 20 0 DIADHN Cc1ccccc1N(C)C(=O)c1cccc(CN2CCCCC2)c1 ZINC000623047662 1054521024 /nfs/dbraw/zinc/52/10/24/1054521024.db2.gz MSXAUOHBSGZNDF-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H](C)C(C)(C)C)c(C)c1 ZINC000670262354 1054521090 /nfs/dbraw/zinc/52/10/90/1054521090.db2.gz HTEJMPZBYJZNHH-MRXNPFEDSA-N 0 3 304.478 4.164 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000072775510 1054532924 /nfs/dbraw/zinc/53/29/24/1054532924.db2.gz RCHDZPRCACSONT-BBRMVZONSA-N 0 3 317.408 4.276 20 0 DIADHN CCN(CCCOc1cccc(Cl)c1)CCC(F)(F)F ZINC000623120691 1054534258 /nfs/dbraw/zinc/53/42/58/1054534258.db2.gz HNENUCHAOFPISU-UHFFFAOYSA-N 0 3 309.759 4.383 20 0 DIADHN COc1cc(CNCc2ccc(F)cc2)cc(C(F)(F)F)c1 ZINC000623335327 1054561662 /nfs/dbraw/zinc/56/16/62/1054561662.db2.gz IAQCNRYSSLFEHS-UHFFFAOYSA-N 0 3 313.294 4.143 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1[nH]c(C)nc1C ZINC001234654350 1054570284 /nfs/dbraw/zinc/57/02/84/1054570284.db2.gz IBYJWLWCBWCQDD-INIZCTEOSA-N 0 3 301.459 4.086 20 0 DIADHN CCCCCc1ccc(OC2CCN(CCOC)CC2)c(F)c1 ZINC001234667339 1054574020 /nfs/dbraw/zinc/57/40/20/1054574020.db2.gz HBLSZKGHSQGKQE-UHFFFAOYSA-N 0 3 323.452 4.048 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1ccn(-c2ccccc2)n1 ZINC000623381285 1054580659 /nfs/dbraw/zinc/58/06/59/1054580659.db2.gz LAHYTEIOTJQNKG-UHFFFAOYSA-N 0 3 307.388 4.033 20 0 DIADHN CC[C@H](CN(CC)C(=O)CN(C)CC(C)(C)C)c1ccccc1 ZINC001448021398 1054584459 /nfs/dbraw/zinc/58/44/59/1054584459.db2.gz NXKJBJLTCIUWDL-QGZVFWFLSA-N 0 3 318.505 4.007 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3c2cccc3C)cc1OC1CC1 ZINC000623406115 1054587480 /nfs/dbraw/zinc/58/74/80/1054587480.db2.gz KZCNNQZRTLYEHV-LJQANCHMSA-N 0 3 323.436 4.322 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](C)c1ccccc1SC ZINC001448311000 1054594088 /nfs/dbraw/zinc/59/40/88/1054594088.db2.gz WDJJAVRCNFOANE-OAHLLOKOSA-N 0 3 322.518 4.098 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1nccn1Cc1ccccc1 ZINC000623432626 1054594758 /nfs/dbraw/zinc/59/47/58/1054594758.db2.gz BVAMPGPIUHDDKD-UHFFFAOYSA-N 0 3 321.415 4.093 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1ccsc1C(F)(F)F ZINC000623562944 1054623422 /nfs/dbraw/zinc/62/34/22/1054623422.db2.gz IMHRINXEXURPTR-LBPRGKRZSA-N 0 3 307.381 4.062 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](C)NCc2c(C)c(C)n[nH]c2=O)cc1 ZINC001348109766 1054613060 /nfs/dbraw/zinc/61/30/60/1054613060.db2.gz CBJBTDFCHDHRJV-DOMZBBRYSA-N 0 3 313.445 4.163 20 0 DIADHN C[C@H](NCc1ccc(CO)c(F)c1)c1ccc2ccccc2c1 ZINC000177246664 1054616659 /nfs/dbraw/zinc/61/66/59/1054616659.db2.gz NEOCEHBVLMCERT-AWEZNQCLSA-N 0 3 309.384 4.322 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(Cc2ccnc3ccccc23)C1 ZINC000670272162 1054621168 /nfs/dbraw/zinc/62/11/68/1054621168.db2.gz PEHLOWSTYXRFCB-FQEVSTJZSA-N 0 3 306.384 4.306 20 0 DIADHN COc1ccc(CNCc2ccc3occc3c2)cc1OC1CC1 ZINC000623563838 1054623637 /nfs/dbraw/zinc/62/36/37/1054623637.db2.gz LQQCGIAPHLEUSN-UHFFFAOYSA-N 0 3 323.392 4.272 20 0 DIADHN Cc1c2ccccc2sc1[C@@H](C)NCc1n[nH]c(C(C)C)n1 ZINC001473359801 1054640898 /nfs/dbraw/zinc/64/08/98/1054640898.db2.gz DBEVRFPDRIWHGF-GFCCVEGCSA-N 0 3 314.458 4.302 20 0 DIADHN Fc1ccccc1-c1cc(CN2CCc3ccccc3C2)c[nH]1 ZINC001235035056 1054643957 /nfs/dbraw/zinc/64/39/57/1054643957.db2.gz JTUFLWUQVCFHMA-UHFFFAOYSA-N 0 3 306.384 4.379 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(-c2nnc(C)o2)cc1 ZINC001235043321 1054645977 /nfs/dbraw/zinc/64/59/77/1054645977.db2.gz CYIZMBDGVVMMIH-UHFFFAOYSA-N 0 3 307.397 4.067 20 0 DIADHN CC(C)CCO[C@H]1CC[C@H](NCc2csc(C3CC3)n2)CC1 ZINC000623678725 1054646126 /nfs/dbraw/zinc/64/61/26/1054646126.db2.gz XARFELUFQHFYAA-JCNLHEQBSA-N 0 3 322.518 4.484 20 0 DIADHN Cc1cccnc1CNCCCOc1ccc2ccccc2c1 ZINC001348494576 1054651684 /nfs/dbraw/zinc/65/16/84/1054651684.db2.gz ZXFDETJXDKKNSX-UHFFFAOYSA-N 0 3 306.409 4.102 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1ccoc1)c1ccc2c(c1)OCCO2 ZINC000178385648 1054652230 /nfs/dbraw/zinc/65/22/30/1054652230.db2.gz NPHVPKCDVNBDSA-FZKQIMNGSA-N 0 3 301.386 4.099 20 0 DIADHN c1cncc([C@H]2CCCN2Cc2cccc(N3CCCC3)c2)c1 ZINC001235087650 1054654519 /nfs/dbraw/zinc/65/45/19/1054654519.db2.gz DPBLGIPEEKTSHP-HXUWFJFHSA-N 0 3 307.441 4.019 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc(N3CCCC3)c2)cn1 ZINC001235097281 1054657320 /nfs/dbraw/zinc/65/73/20/1054657320.db2.gz VBUHVXONDJNGRZ-OAQYLSRUSA-N 0 3 321.468 4.327 20 0 DIADHN CCCC[C@H](C(=O)N[C@@H](CN(C)C)c1ccc(F)cc1)C(C)C ZINC001450704642 1054658950 /nfs/dbraw/zinc/65/89/50/1054658950.db2.gz NWNINAQJOOSFTO-ROUUACIJSA-N 0 3 322.468 4.007 20 0 DIADHN C[C@@H](NCc1ccccc1CN(C)Cc1ccco1)c1ccoc1 ZINC000178782629 1054659009 /nfs/dbraw/zinc/65/90/09/1054659009.db2.gz SVTLUQOGPNTNHY-MRXNPFEDSA-N 0 3 324.424 4.355 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC001450737959 1054659650 /nfs/dbraw/zinc/65/96/50/1054659650.db2.gz CZXDEFWECIFTKE-OWCLPIDISA-N 0 3 302.462 4.034 20 0 DIADHN CC1(F)CCN(Cc2ccc(Oc3cccnc3)cc2)CC1 ZINC001235111899 1054660244 /nfs/dbraw/zinc/66/02/44/1054660244.db2.gz INHYZGJXXFQTCK-UHFFFAOYSA-N 0 3 300.377 4.198 20 0 DIADHN CN(C)Cc1ccc(NC(=O)c2ccccc2C(F)F)c(F)c1 ZINC001451394165 1054676984 /nfs/dbraw/zinc/67/69/84/1054676984.db2.gz UTQTZSWBFNXBJB-UHFFFAOYSA-N 0 3 322.330 4.077 20 0 DIADHN c1cc(-c2csc(CN3CCN4CCCC[C@H]4C3)c2)cs1 ZINC001235187641 1054678504 /nfs/dbraw/zinc/67/85/04/1054678504.db2.gz YQEAQYPCXSCSCC-INIZCTEOSA-N 0 3 318.511 4.147 20 0 DIADHN CCCCCCC(=O)N(CC)[C@H]1CCN(Cc2ccccc2)C1 ZINC001358471018 1054689094 /nfs/dbraw/zinc/68/90/94/1054689094.db2.gz UODGPJIHKLQMAZ-IBGZPJMESA-N 0 3 316.489 4.080 20 0 DIADHN CCN(Cc1cc2cccc(F)c2[nH]1)Cc1cccc(F)c1 ZINC001235249123 1054691633 /nfs/dbraw/zinc/69/16/33/1054691633.db2.gz OXOQEGAUMNOHJP-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN C[C@@H](Nc1cccc(CN2CCSCC2)c1)c1ccccc1 ZINC000179619135 1054692203 /nfs/dbraw/zinc/69/22/03/1054692203.db2.gz KSVFBVSIYSQBCW-MRXNPFEDSA-N 0 3 312.482 4.409 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc(CC)n2)cc1 ZINC001235257650 1054695206 /nfs/dbraw/zinc/69/52/06/1054695206.db2.gz IQQXPEDRLWDVDH-FQEVSTJZSA-N 0 3 310.441 4.380 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000179803865 1054703324 /nfs/dbraw/zinc/70/33/24/1054703324.db2.gz WIQAALNAGLXRSG-GOSISDBHSA-N 0 3 317.452 4.443 20 0 DIADHN C[C@@H](NCc1csc(C2CCCCC2)n1)C1CCOCC1 ZINC000179867115 1054709420 /nfs/dbraw/zinc/70/94/20/1054709420.db2.gz DTXXWXRMJUXSCB-CYBMUJFWSA-N 0 3 308.491 4.096 20 0 DIADHN CN(Cc1cccc(Br)c1Cl)CC1CCC1 ZINC001235603451 1054753995 /nfs/dbraw/zinc/75/39/95/1054753995.db2.gz HULAMLWFMPAIOB-UHFFFAOYSA-N 0 3 302.643 4.334 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3nc4c(o3)CCCC4)C2)cc1 ZINC001473433597 1054716124 /nfs/dbraw/zinc/71/61/24/1054716124.db2.gz WQLGESBELHNUFZ-WBVHZDCISA-N 0 3 310.441 4.240 20 0 DIADHN CCC(CC)[C@H](c1ccc(Cl)cc1)N(C)CC1OCCO1 ZINC001473437197 1054718459 /nfs/dbraw/zinc/71/84/59/1054718459.db2.gz YRPIDNUSCFDKCJ-QGZVFWFLSA-N 0 3 311.853 4.122 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(C)cc(C(F)(F)F)c1)N(CC)CC ZINC001452985935 1054722957 /nfs/dbraw/zinc/72/29/57/1054722957.db2.gz WRBJIOFTSJTFBA-AWEZNQCLSA-N 0 3 316.367 4.073 20 0 DIADHN CC(C)n1cc(CN[C@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000623751069 1054722987 /nfs/dbraw/zinc/72/29/87/1054722987.db2.gz GSHNIXCVNHRPCL-KRWDZBQOSA-N 0 3 303.837 4.285 20 0 DIADHN Clc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1cccnc1 ZINC000623754962 1054734531 /nfs/dbraw/zinc/73/45/31/1054734531.db2.gz WHKLGZBGHGJJJW-QGZVFWFLSA-N 0 3 311.816 4.384 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]c3cnc(Cl)cc23)CCC1(F)F ZINC001235508984 1054734822 /nfs/dbraw/zinc/73/48/22/1054734822.db2.gz TXLWFUBWEGWPKA-JTQLQIEISA-N 0 3 313.779 4.084 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]c3cnc(Cl)cc13)CC2 ZINC001235516127 1054734936 /nfs/dbraw/zinc/73/49/36/1054734936.db2.gz PZGFEFRCIFOHKQ-ZDUSSCGKSA-N 0 3 321.827 4.321 20 0 DIADHN CCCCC[C@H](NCc1cn(-c2ccccc2)nn1)C(C)(C)C ZINC001168529589 1054736780 /nfs/dbraw/zinc/73/67/80/1054736780.db2.gz LSBTUDZGTHHSRY-SFHVURJKSA-N 0 3 314.477 4.352 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCCC(=O)c2cccnc2)c1 ZINC001235530876 1054737923 /nfs/dbraw/zinc/73/79/23/1054737923.db2.gz XUSSNFRWANMHNW-UHFFFAOYSA-N 0 3 316.832 4.138 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC001473544021 1054738174 /nfs/dbraw/zinc/73/81/74/1054738174.db2.gz LJKCSJNMGFIDCN-NSHDSACASA-N 0 3 306.356 4.383 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCC(=O)c2ccccc2)c1 ZINC001235529392 1054738976 /nfs/dbraw/zinc/73/89/76/1054738976.db2.gz JVMWFSIDDRPXQB-UHFFFAOYSA-N 0 3 301.817 4.353 20 0 DIADHN Cc1cc(Cl)cc(CN(C)Cc2ccc3c(c2)OCCO3)c1 ZINC001235535630 1054740901 /nfs/dbraw/zinc/74/09/01/1054740901.db2.gz WCCJJKZOXDQXTB-UHFFFAOYSA-N 0 3 317.816 4.052 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000180242871 1054745153 /nfs/dbraw/zinc/74/51/53/1054745153.db2.gz IMHANXFSINQHHC-SJORKVTESA-N 0 3 315.486 4.183 20 0 DIADHN CCN(CC)[C@@H](CNc1nc2cc(C)ccc2o1)c1ccco1 ZINC000513645170 1054746576 /nfs/dbraw/zinc/74/65/76/1054746576.db2.gz IRQVNKOBQLYESC-HNNXBMFYSA-N 0 3 313.401 4.224 20 0 DIADHN C[C@H](NC1(c2ccccc2)CCC1)c1ccc2c(c1)OCCO2 ZINC000180349020 1054750405 /nfs/dbraw/zinc/75/04/05/1054750405.db2.gz JEFPOKVZSDJSRW-HNNXBMFYSA-N 0 3 309.409 4.188 20 0 DIADHN CCc1ncc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)o1 ZINC001473607192 1054753165 /nfs/dbraw/zinc/75/31/65/1054753165.db2.gz JUEBSIWBDMSEBJ-GOSISDBHSA-N 0 3 318.848 4.488 20 0 DIADHN CN(CCC1CC1)Cc1cccc(Br)c1Cl ZINC001235603541 1054753336 /nfs/dbraw/zinc/75/33/36/1054753336.db2.gz IRBDYTQDYJYURB-UHFFFAOYSA-N 0 3 302.643 4.334 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1ccccc1-c1ccncc1)C2 ZINC001235614525 1054753639 /nfs/dbraw/zinc/75/36/39/1054753639.db2.gz FRQAUJBLSWMOGY-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN Cc1ccc(CN(C)Cc2ccccc2-c2ccncc2)cn1 ZINC001235623986 1054757985 /nfs/dbraw/zinc/75/79/85/1054757985.db2.gz VLXDTPVRHCWGOD-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccccc1-c1ccncc1 ZINC001235628196 1054759594 /nfs/dbraw/zinc/75/95/94/1054759594.db2.gz MKOOLKFUPMTPAO-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@H](C)[C@@H](C)C1 ZINC001235637265 1054761285 /nfs/dbraw/zinc/76/12/85/1054761285.db2.gz NLVKHKBQIZOSNI-AAEUAGOBSA-N 0 3 311.267 4.021 20 0 DIADHN C[C@@H](CNc1ccc2ccccc2n1)N1CCc2sccc2C1 ZINC000519175284 1054764867 /nfs/dbraw/zinc/76/48/67/1054764867.db2.gz BUNVQILTJWTZAW-AWEZNQCLSA-N 0 3 323.465 4.155 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1cccc(OCC(F)F)c1 ZINC000180528944 1054767240 /nfs/dbraw/zinc/76/72/40/1054767240.db2.gz GHLDHMINYUDTDK-QGZVFWFLSA-N 0 3 321.342 4.247 20 0 DIADHN COc1cncc(CNC(C)(C)c2ccc3ccccc3c2)c1 ZINC000623803845 1054774197 /nfs/dbraw/zinc/77/41/97/1054774197.db2.gz UZWIIORNUPLVQN-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN CC(C)(NCc1ccnn1CC1CC1)c1ccc2ccccc2c1 ZINC000623803454 1054774656 /nfs/dbraw/zinc/77/46/56/1054774656.db2.gz GOLRZAYOFROZPI-UHFFFAOYSA-N 0 3 319.452 4.471 20 0 DIADHN Fc1ccc2nc(CN3CCCC[C@@H]3c3ccccc3)[nH]c2c1 ZINC001235685045 1054778895 /nfs/dbraw/zinc/77/88/95/1054778895.db2.gz XLYDVDWGYKKPII-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cnc(OC)c(Cl)c1 ZINC001235700543 1054780496 /nfs/dbraw/zinc/78/04/96/1054780496.db2.gz JFXPWHDWDZAADM-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN CCOc1ccc(Cl)cc1CNCC1(C(F)(F)F)CC1 ZINC001474138895 1054785227 /nfs/dbraw/zinc/78/52/27/1054785227.db2.gz NNEBODWRBAANKS-UHFFFAOYSA-N 0 3 307.743 4.171 20 0 DIADHN CCC(F)(F)c1ccccc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC001454989006 1054786747 /nfs/dbraw/zinc/78/67/47/1054786747.db2.gz BONIZKSMJBQBGW-IHRRRGAJSA-N 0 3 324.415 4.388 20 0 DIADHN COc1ccc([C@@H](NCc2cc3c(cccc3C)[nH]2)C2CC2)cn1 ZINC000623846244 1054787150 /nfs/dbraw/zinc/78/71/50/1054787150.db2.gz PNMWFLBGYWKKDI-FQEVSTJZSA-N 0 3 321.424 4.121 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)nc1)c1cc(C)sc1C ZINC000623858473 1054789191 /nfs/dbraw/zinc/78/91/91/1054789191.db2.gz MWXHEQPLCXKWSB-MRXNPFEDSA-N 0 3 304.459 4.400 20 0 DIADHN CCC[C@H](NCc1ccc(OC)nc1)c1cc(C)sc1C ZINC000623858472 1054789246 /nfs/dbraw/zinc/78/92/46/1054789246.db2.gz MWXHEQPLCXKWSB-INIZCTEOSA-N 0 3 304.459 4.400 20 0 DIADHN Cc1cccnc1CCNCc1ccc(F)c(Cl)c1Cl ZINC001474154607 1054790617 /nfs/dbraw/zinc/79/06/17/1054790617.db2.gz JFIPKXBITQGDJL-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN Cc1csc([C@@H](C)NCCCO[C@H](C)c2ccccc2)n1 ZINC000623854324 1054796075 /nfs/dbraw/zinc/79/60/75/1054796075.db2.gz ZAOACBMRYHJISF-HUUCEWRRSA-N 0 3 304.459 4.270 20 0 DIADHN Cc1csc([C@H](C)NCCCO[C@H](C)c2ccccc2)n1 ZINC000623854325 1054796521 /nfs/dbraw/zinc/79/65/21/1054796521.db2.gz ZAOACBMRYHJISF-LSDHHAIUSA-N 0 3 304.459 4.270 20 0 DIADHN CC[C@@H](C)N[C@H](CNc1nc2cccc(F)c2o1)c1ccco1 ZINC000624210215 1054796868 /nfs/dbraw/zinc/79/68/68/1054796868.db2.gz GKJVVKIZUVMTJT-BXUZGUMPSA-N 0 3 317.364 4.101 20 0 DIADHN CC[C@H](C)N[C@@H](CNc1nc2cccc(F)c2o1)c1ccco1 ZINC000624210216 1054797904 /nfs/dbraw/zinc/79/79/04/1054797904.db2.gz GKJVVKIZUVMTJT-FZMZJTMJSA-N 0 3 317.364 4.101 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@H](C)c1nc(C)cs1 ZINC000623879886 1054798465 /nfs/dbraw/zinc/79/84/65/1054798465.db2.gz RMOXBPZEGSREBX-CYBMUJFWSA-N 0 3 304.459 4.089 20 0 DIADHN Cc1csc([C@H](C)NCCCCOCc2ccccc2)n1 ZINC000623881370 1054800311 /nfs/dbraw/zinc/80/03/11/1054800311.db2.gz LSMNJPQNGVQFPN-HNNXBMFYSA-N 0 3 304.459 4.099 20 0 DIADHN CCOc1cc(CN2CC[C@H](c3ccccc3F)C2)ccc1F ZINC001235802283 1054806796 /nfs/dbraw/zinc/80/67/96/1054806796.db2.gz VSYUOYUHPATRSS-HNNXBMFYSA-N 0 3 317.379 4.353 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@@H]2CCCc3scnc32)cc1 ZINC000623898920 1054806805 /nfs/dbraw/zinc/80/68/05/1054806805.db2.gz VOWMEKIBFJNCQP-XJKSGUPXSA-N 0 3 316.470 4.313 20 0 DIADHN CCOc1cc(CN(CC)Cc2ccc(F)cc2)ccc1F ZINC001235803713 1054809336 /nfs/dbraw/zinc/80/93/36/1054809336.db2.gz SNUYCUYWIURZNC-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN Cc1csc([C@@H](C)NC[C@@H](Nc2ccccc2)C(C)C)n1 ZINC000623889345 1054809254 /nfs/dbraw/zinc/80/92/54/1054809254.db2.gz LBDOJBJPNVNASV-GDBMZVCRSA-N 0 3 303.475 4.239 20 0 DIADHN Cc1nc(NCc2cccs2)cc(NCc2cccs2)n1 ZINC000531934974 1054810751 /nfs/dbraw/zinc/81/07/51/1054810751.db2.gz ARDXEVQKDMIZKZ-UHFFFAOYSA-N 0 3 316.455 4.132 20 0 DIADHN COc1ccc(CCN[C@H](C)c2nc(C)cs2)c(Cl)c1 ZINC000623905463 1054812775 /nfs/dbraw/zinc/81/27/75/1054812775.db2.gz BBMDYCQFCJDKHD-LLVKDONJSA-N 0 3 310.850 4.007 20 0 DIADHN CCO[C@@H](CCN[C@@H]1CCCc2scnc21)C1CCCC1 ZINC000623902569 1054813140 /nfs/dbraw/zinc/81/31/40/1054813140.db2.gz LDICZLUJEWSFQA-CABCVRRESA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@H](N[C@H](CO)c1ccc(F)cc1)c1cc(C)ccc1C ZINC000623915759 1054817992 /nfs/dbraw/zinc/81/79/92/1054817992.db2.gz QYIGINMBCVREAZ-RBUKOAKNSA-N 0 3 301.405 4.217 20 0 DIADHN Nc1c(F)cccc1CN1CCC(Cc2ccccc2F)CC1 ZINC001235867154 1054825709 /nfs/dbraw/zinc/82/57/09/1054825709.db2.gz OGKFEYIXIQLSDN-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN COc1cccc(C(C)(C)CN[C@H]2CCCc3scnc32)c1 ZINC000623923452 1054826111 /nfs/dbraw/zinc/82/61/11/1054826111.db2.gz BFCBFLDVHQTYLX-HNNXBMFYSA-N 0 3 316.470 4.097 20 0 DIADHN Cc1nc2cc(CN3CC[C@H](Oc4ccccc4)C3)ccc2s1 ZINC001235864593 1054826302 /nfs/dbraw/zinc/82/63/02/1054826302.db2.gz FBPPMAXQHPWONL-KRWDZBQOSA-N 0 3 324.449 4.258 20 0 DIADHN Cc1csc([C@H](C)NCC2(c3ccc(F)cc3F)CC2)n1 ZINC000623925422 1054828271 /nfs/dbraw/zinc/82/82/71/1054828271.db2.gz DQYPJSOISJUSSA-NSHDSACASA-N 0 3 308.397 4.112 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c3cc(Cl)ccc3[nH]c21)c1cn[nH]c1 ZINC000623925555 1054828599 /nfs/dbraw/zinc/82/85/99/1054828599.db2.gz IQLBYWVACARODV-QLJPJBMISA-N 0 3 314.820 4.273 20 0 DIADHN C[C@H]1CCCC[N@@H+]1Cc1ccc(-c2cc([O-])cc(Cl)c2)nc1 ZINC001235899404 1054837703 /nfs/dbraw/zinc/83/77/03/1054837703.db2.gz HNPTZMRJVVDFJG-ZDUSSCGKSA-N 0 3 316.832 4.482 20 0 DIADHN C[C@H]1CCCC[N@H+]1Cc1ccc(-c2cc([O-])cc(Cl)c2)nc1 ZINC001235899404 1054837710 /nfs/dbraw/zinc/83/77/10/1054837710.db2.gz HNPTZMRJVVDFJG-ZDUSSCGKSA-N 0 3 316.832 4.482 20 0 DIADHN Cc1csc([C@@H](C)NC[C@H]2CCCN(c3ccccc3)C2)n1 ZINC000623972942 1054842448 /nfs/dbraw/zinc/84/24/48/1054842448.db2.gz CMUNKRKWLBEXHQ-HZPDHXFCSA-N 0 3 315.486 4.019 20 0 DIADHN CSc1ccc(CCN[C@@H]2CCCc3scnc32)cc1 ZINC000623977558 1054844527 /nfs/dbraw/zinc/84/45/27/1054844527.db2.gz VGFAERKLIMEICR-CQSZACIVSA-N 0 3 304.484 4.075 20 0 DIADHN COc1cc(CCN[C@H]2CCCc3scnc32)ccc1Cl ZINC000623990278 1054846202 /nfs/dbraw/zinc/84/62/02/1054846202.db2.gz YZLXSFKZJJHDIA-ZDUSSCGKSA-N 0 3 322.861 4.015 20 0 DIADHN Cc1csc([C@H](C)NCC[C@@H]2CSc3ccccc3O2)n1 ZINC000624005476 1054853923 /nfs/dbraw/zinc/85/39/23/1054853923.db2.gz RTTFSXKFHBRFBU-QWHCGFSZSA-N 0 3 320.483 4.046 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1-c1ccc2nc(N)[nH]c2c1 ZINC001235941470 1054860271 /nfs/dbraw/zinc/86/02/71/1054860271.db2.gz IZNMYNLBNDDLIK-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1-c1ccc2[nH]c(N)nc2c1 ZINC001235941470 1054860282 /nfs/dbraw/zinc/86/02/82/1054860282.db2.gz IZNMYNLBNDDLIK-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN CCC[C@@H](NC[C@@H](n1cccn1)C(F)(F)F)c1ccsc1 ZINC000624044611 1054865735 /nfs/dbraw/zinc/86/57/35/1054865735.db2.gz TXJAQHBMZNTCFS-CHWSQXEVSA-N 0 3 317.380 4.179 20 0 DIADHN CCC[C@H](NCc1c(C(F)(F)F)cnn1C)c1ccccc1 ZINC000624051672 1054865904 /nfs/dbraw/zinc/86/59/04/1054865904.db2.gz JYEGWFGEYFQOJO-AWEZNQCLSA-N 0 3 311.351 4.070 20 0 DIADHN Nc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)cc1F ZINC001235949630 1054866006 /nfs/dbraw/zinc/86/60/06/1054866006.db2.gz FFQCLIJPTXKGQA-AWEZNQCLSA-N 0 3 304.796 4.051 20 0 DIADHN CC[C@@H](NC[C@@H](n1cccn1)C(F)(F)F)c1cccc(C)c1 ZINC000624044250 1054866426 /nfs/dbraw/zinc/86/64/26/1054866426.db2.gz LKFOYXXRGMBXJD-HUUCEWRRSA-N 0 3 311.351 4.036 20 0 DIADHN CCC[C@H](CN[C@@H](C)c1nc2ccc(Cl)cc2n1C)OCC ZINC000624050121 1054866984 /nfs/dbraw/zinc/86/69/84/1054866984.db2.gz ZFDZCKABTXZVNK-GXTWGEPZSA-N 0 3 323.868 4.083 20 0 DIADHN CCC[C@@H](CN[C@@H](C)c1nc2ccc(Cl)cc2n1C)OCC ZINC000624050122 1054867105 /nfs/dbraw/zinc/86/71/05/1054867105.db2.gz ZFDZCKABTXZVNK-JSGCOSHPSA-N 0 3 323.868 4.083 20 0 DIADHN FC(F)(F)[C@@H](CN[C@H](CC1CC1)c1ccccc1)n1cccn1 ZINC000624042731 1054867389 /nfs/dbraw/zinc/86/73/89/1054867389.db2.gz ABIUDDCGVWNJEI-HZPDHXFCSA-N 0 3 323.362 4.118 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000624061859 1054868765 /nfs/dbraw/zinc/86/87/65/1054868765.db2.gz MHONXBACRLMLGI-HIFRSBDPSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1cc(-c2cccc(CN)n2)cc(C)c1OCc1ccccc1 ZINC001235956625 1054870596 /nfs/dbraw/zinc/87/05/96/1054870596.db2.gz BFFFLZDLMNHCRA-UHFFFAOYSA-N 0 3 318.420 4.403 20 0 DIADHN CN(C)Cc1ccnc(/C=C/c2ccc(C(F)(F)F)cc2)c1 ZINC001235983228 1054880367 /nfs/dbraw/zinc/88/03/67/1054880367.db2.gz VMVOMUWFZHKWDC-VMPITWQZSA-N 0 3 306.331 4.332 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ncc2ccccc2n1 ZINC001236002420 1054888280 /nfs/dbraw/zinc/88/82/80/1054888280.db2.gz JUXHZRCTLZPFIN-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1ccnc(-c2cccc(CN3CCCCC3)c2F)c1F ZINC001236004007 1054890964 /nfs/dbraw/zinc/89/09/64/1054890964.db2.gz JPHBLMMFIMLNBJ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CC(C)c1cncnc1-c1cccc(CN2CCCCC2)c1F ZINC001236005570 1054891213 /nfs/dbraw/zinc/89/12/13/1054891213.db2.gz UXPFZBZGDVSMPD-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN COc1ccnc(-c2cccc(CN3CCCCC3)c2F)c1F ZINC001236005048 1054892033 /nfs/dbraw/zinc/89/20/33/1054892033.db2.gz KETJXROEOPTAPG-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2cccnc2c1 ZINC001236010742 1054893497 /nfs/dbraw/zinc/89/34/97/1054893497.db2.gz FAYBJYZQZBNRQF-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc(=S)[nH]c1 ZINC001236011595 1054895035 /nfs/dbraw/zinc/89/50/35/1054895035.db2.gz JFXYTMYPXVZJFD-UHFFFAOYSA-N 0 3 302.418 4.162 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2nonc2c1 ZINC001236010689 1054895530 /nfs/dbraw/zinc/89/55/30/1054895530.db2.gz CRTNWHHUIZSNPO-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)c(F)cn1 ZINC001236014874 1054896239 /nfs/dbraw/zinc/89/62/39/1054896239.db2.gz IVXLUOGOFYTZSU-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)OC1(C)C)c1nc2c(s1)CCCC2 ZINC000180977976 1054897179 /nfs/dbraw/zinc/89/71/79/1054897179.db2.gz FWLGOSBDXVIXON-RISCZKNCSA-N 0 3 308.491 4.019 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)cc(F)n1 ZINC001236012173 1054898341 /nfs/dbraw/zinc/89/83/41/1054898341.db2.gz MISNKTCPEXQZHI-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cccn2ccnc12 ZINC001236013035 1054898438 /nfs/dbraw/zinc/89/84/38/1054898438.db2.gz YUAYLCKNRGSNPQ-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCCC3)c2F)c(N)c1 ZINC001236016291 1054901306 /nfs/dbraw/zinc/90/13/06/1054901306.db2.gz FJRSDEISTJHKOT-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN COc1cc(-c2cccc(CN3CCCCC3)c2F)cnc1F ZINC001236016791 1054901574 /nfs/dbraw/zinc/90/15/74/1054901574.db2.gz VYTAXBXHNMYCGH-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC(C)COc1ccc2cc(-c3ccc(CN)nc3)ccc2c1 ZINC001236030573 1054902492 /nfs/dbraw/zinc/90/24/92/1054902492.db2.gz HUMOPYYVRZKVHW-UHFFFAOYSA-N 0 3 306.409 4.395 20 0 DIADHN FC(F)(F)OCCN[C@H]1CCSc2ccc(Cl)cc21 ZINC000353344676 1054903047 /nfs/dbraw/zinc/90/30/47/1054903047.db2.gz VQJVHTSDFDRODZ-JTQLQIEISA-N 0 3 311.756 4.003 20 0 DIADHN CCCOc1ccc2cc(-c3ccc4c(n3)CNCC4)ccc2c1 ZINC001236034894 1054905303 /nfs/dbraw/zinc/90/53/03/1054905303.db2.gz QRLHITDVZXGHIW-UHFFFAOYSA-N 0 3 318.420 4.336 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccoc1 ZINC000181248182 1054907445 /nfs/dbraw/zinc/90/74/45/1054907445.db2.gz OVVDBVUMMHOMFK-DGCLKSJQSA-N 0 3 308.784 4.002 20 0 DIADHN COc1ccc(-c2ccc(-c3ccncc3)cc2)cc1CN(C)C ZINC001236042962 1054910304 /nfs/dbraw/zinc/91/03/04/1054910304.db2.gz RHJYUIKOIMOAFE-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN CC(C)C(=O)NCCN[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000181406232 1054912937 /nfs/dbraw/zinc/91/29/37/1054912937.db2.gz FFCKTVHZLBKRJA-AWEZNQCLSA-N 0 3 324.424 4.009 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(OC)c(Cl)c1)CC2 ZINC000181382271 1054913418 /nfs/dbraw/zinc/91/34/18/1054913418.db2.gz JKPAOGKYXIJVON-QGZVFWFLSA-N 0 3 317.816 4.134 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000083411405 1054932069 /nfs/dbraw/zinc/93/20/69/1054932069.db2.gz JWKWOAPPPFGPOS-KDOFPFPSSA-N 0 3 323.864 4.061 20 0 DIADHN CC(C)N1CCN(c2ccc(-c3cccc(C4CC4)c3)cn2)CC1 ZINC001236083633 1054932238 /nfs/dbraw/zinc/93/22/38/1054932238.db2.gz AUZMMKULCAMURV-UHFFFAOYSA-N 0 3 321.468 4.156 20 0 DIADHN C[C@H](NCCCOCC(F)(F)F)c1c(F)cccc1Cl ZINC000181739602 1054932446 /nfs/dbraw/zinc/93/24/46/1054932446.db2.gz KYLXTMLLHASYIX-VIFPVBQESA-N 0 3 313.722 4.099 20 0 DIADHN CC(C)CC[C@H](NCc1nnc(C2CCC2)n1C)C(C)(C)C ZINC000181764893 1054936782 /nfs/dbraw/zinc/93/67/82/1054936782.db2.gz ISIBFGLKIUGDLM-HNNXBMFYSA-N 0 3 306.498 4.023 20 0 DIADHN CC(C)(C)CN(Cc1nnc(-c2ccco2)o1)CC(C)(C)C ZINC000182078302 1054958737 /nfs/dbraw/zinc/95/87/37/1054958737.db2.gz GGSOMIBNMGJQAS-UHFFFAOYSA-N 0 3 305.422 4.224 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182159684 1054965941 /nfs/dbraw/zinc/96/59/41/1054965941.db2.gz REHQUGPCSLCPKN-LIXBPZJASA-N 0 3 321.367 4.301 20 0 DIADHN CO[C@@H](C)CN[C@@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000182250414 1054973045 /nfs/dbraw/zinc/97/30/45/1054973045.db2.gz HVCKHDNSCXVJIJ-GUYCJALGSA-N 0 3 321.367 4.002 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000624220490 1054973623 /nfs/dbraw/zinc/97/36/23/1054973623.db2.gz WKTZSZQWRAPOCU-HLTSFMKQSA-N 0 3 308.636 4.068 20 0 DIADHN CC[C@@H](NCc1cc(-c2ccc(Cl)cc2)no1)[C@@H]1CCCO1 ZINC000182310195 1054980548 /nfs/dbraw/zinc/98/05/48/1054980548.db2.gz HGSDDLWTFYDUPB-WBVHZDCISA-N 0 3 320.820 4.042 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(Cl)cc2F)C1)c1ccco1 ZINC000624225254 1054981952 /nfs/dbraw/zinc/98/19/52/1054981952.db2.gz NYNUMQUZFYIHGE-DGCLKSJQSA-N 0 3 308.784 4.002 20 0 DIADHN CCOc1ccnc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c1 ZINC001236189221 1054984293 /nfs/dbraw/zinc/98/42/93/1054984293.db2.gz CBLYNVNJXAIRJQ-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CCCn1cc(CN2CCC[C@@H]2c2c[nH]c3ccccc23)cn1 ZINC001236190970 1054986697 /nfs/dbraw/zinc/98/66/97/1054986697.db2.gz ILPKYFNHNFMPNY-LJQANCHMSA-N 0 3 308.429 4.112 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236190029 1054987810 /nfs/dbraw/zinc/98/78/10/1054987810.db2.gz DHIVWUYORRGNOB-LJQANCHMSA-N 0 3 308.429 4.282 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)cnc1F ZINC001236191503 1054990423 /nfs/dbraw/zinc/99/04/23/1054990423.db2.gz MMWHWXNNFCDKNT-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN c1cc2cccc(CN3CCC[C@@H]3c3c[nH]c4ccccc43)n2n1 ZINC001236194272 1054992693 /nfs/dbraw/zinc/99/26/93/1054992693.db2.gz UZQSGDHLFKJYTO-HXUWFJFHSA-N 0 3 316.408 4.153 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1nccn1C ZINC000182736154 1055005647 /nfs/dbraw/zinc/00/56/47/1055005647.db2.gz SVMXGJCJWMFWFH-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN Cc1ccc([C@@H](C)Nc2cccc(CN3CCSCC3)c2)o1 ZINC000182806374 1055009302 /nfs/dbraw/zinc/00/93/02/1055009302.db2.gz SJOUTPMXTQRINB-OAHLLOKOSA-N 0 3 316.470 4.310 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccc(Cl)cc2)o1)C1CCCCC1 ZINC000182940074 1055018856 /nfs/dbraw/zinc/01/88/56/1055018856.db2.gz AXPFXHJKMOKBMT-GFCCVEGCSA-N 0 3 319.836 4.448 20 0 DIADHN COc1ccc(C)nc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236230465 1055020105 /nfs/dbraw/zinc/02/01/05/1055020105.db2.gz BGRBIEBRQMUSPJ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CC(C)c1ccnc(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236232379 1055022307 /nfs/dbraw/zinc/02/23/07/1055022307.db2.gz MRTMCINCOKXZLU-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Cc1nc2[nH]ccc2c(-c2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001236231429 1055022704 /nfs/dbraw/zinc/02/27/04/1055022704.db2.gz BLIWNVXJMSIBDH-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN Fc1cc(-c2cc3cc[nH]c3cn2)ccc1CN1CCCCC1 ZINC001236234985 1055023482 /nfs/dbraw/zinc/02/34/82/1055023482.db2.gz QNDBNHSYYMANJA-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)c1cncnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236234220 1055024280 /nfs/dbraw/zinc/02/42/80/1055024280.db2.gz YSIKBCBSTDYRCL-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1cc(-c2nccc3cccnc32)ccc1CN1CCCCC1 ZINC001236234090 1055024811 /nfs/dbraw/zinc/02/48/11/1055024811.db2.gz XUHGJIAGJNNTRB-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1csc(-c2ccccc2OC)n1 ZINC000183057112 1055027262 /nfs/dbraw/zinc/02/72/62/1055027262.db2.gz QSNSMRBDWJMXCV-QWHCGFSZSA-N 0 3 304.459 4.343 20 0 DIADHN C[S@](=O)C[C@@H](NCc1cccc2ccccc21)c1ccccc1 ZINC000183071026 1055028151 /nfs/dbraw/zinc/02/81/51/1055028151.db2.gz CEYMFDYBQIBCGJ-OFNKIYASSA-N 0 3 323.461 4.049 20 0 DIADHN C[S@@](=O)C[C@H](NCc1cccc2ccccc21)c1ccccc1 ZINC000183070965 1055028349 /nfs/dbraw/zinc/02/83/49/1055028349.db2.gz CEYMFDYBQIBCGJ-NZQKXSOJSA-N 0 3 323.461 4.049 20 0 DIADHN COc1cnc(-c2ccc(CN3CCCCC3)c(F)c2)cc1C ZINC001236243692 1055029270 /nfs/dbraw/zinc/02/92/70/1055029270.db2.gz DCXRUBSBHVWUQY-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cn(C)nc1C1CCCCC1 ZINC000183087733 1055030020 /nfs/dbraw/zinc/03/00/20/1055030020.db2.gz CQHJYOSDUHWGBP-AWEZNQCLSA-N 0 3 309.523 4.041 20 0 DIADHN Fc1cc(-c2cnc3c(c2)CCC3)ccc1CN1CCCCC1 ZINC001236248072 1055031292 /nfs/dbraw/zinc/03/12/92/1055031292.db2.gz CTMVOKGOPBSKIM-UHFFFAOYSA-N 0 3 310.416 4.362 20 0 DIADHN Cn1cnc2cc(-c3ccc(CN4CCCCC4)c(F)c3)ccc21 ZINC001236249538 1055033130 /nfs/dbraw/zinc/03/31/30/1055033130.db2.gz TVCHECRFKDLUQL-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)n1 ZINC001236249542 1055033478 /nfs/dbraw/zinc/03/34/78/1055033478.db2.gz TYLKFTOAAPSYLZ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)cn1 ZINC001236250299 1055033954 /nfs/dbraw/zinc/03/39/54/1055033954.db2.gz GCKZMIXGJDEGLL-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1cnc(-c2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001236249309 1055034300 /nfs/dbraw/zinc/03/43/00/1055034300.db2.gz RVUJGHQMRIFEJU-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)Nc1ccccc1F)C(C)C ZINC000183209470 1055036290 /nfs/dbraw/zinc/03/62/90/1055036290.db2.gz CQSKMWUGNGPAJP-LJQANCHMSA-N 0 3 314.404 4.060 20 0 DIADHN CC(C)Cc1ncc(CN(C)C[C@H](C)c2nccs2)s1 ZINC000183254656 1055037538 /nfs/dbraw/zinc/03/75/38/1055037538.db2.gz XOMRLDJSVIOIJH-LBPRGKRZSA-N 0 3 309.504 4.034 20 0 DIADHN Cc1c(-c2ccc(CN3CCCCC3)c(F)c2)ccc2nccn21 ZINC001236258198 1055040365 /nfs/dbraw/zinc/04/03/65/1055040365.db2.gz KKXCAIWFBHCIQW-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN CSCCCCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000183618507 1055051061 /nfs/dbraw/zinc/05/10/61/1055051061.db2.gz HSTZVIVAMIGDEV-KRWDZBQOSA-N 0 3 304.434 4.043 20 0 DIADHN Nc1ccc(C2CCN(Cc3ccc(Cl)s3)CC2)cc1 ZINC001236335500 1055063968 /nfs/dbraw/zinc/06/39/68/1055063968.db2.gz KNAFMHNCDAESSU-UHFFFAOYSA-N 0 3 306.862 4.363 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1)c1cc2ccccc2s1 ZINC000184358498 1055073661 /nfs/dbraw/zinc/07/36/61/1055073661.db2.gz XWKQWSJXQBYWFA-ZDUSSCGKSA-N 0 3 310.422 4.191 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2cc3ccccc3s2)c1 ZINC000184354022 1055073694 /nfs/dbraw/zinc/07/36/94/1055073694.db2.gz NMMPLFMFSBGSKD-CYBMUJFWSA-N 0 3 324.449 4.112 20 0 DIADHN Nc1cccc2c1CCN(Cc1ccc3nc(Cl)ccc3c1)C2 ZINC001236365110 1055073966 /nfs/dbraw/zinc/07/39/66/1055073966.db2.gz DCKMPOZZMMVYMR-UHFFFAOYSA-N 0 3 323.827 4.029 20 0 DIADHN CCCCCOc1ccc(CN2CCc3c(N)cccc3C2)cc1 ZINC001236364081 1055074987 /nfs/dbraw/zinc/07/49/87/1055074987.db2.gz PYPWIYOPYABVRN-UHFFFAOYSA-N 0 3 324.468 4.396 20 0 DIADHN CC(C)Oc1c(F)ccc(-c2cnccc2/C=C/N(C)C)c1F ZINC001236366404 1055076121 /nfs/dbraw/zinc/07/61/21/1055076121.db2.gz IWIHOZFBDCWQPW-CSKARUKUSA-N 0 3 318.367 4.346 20 0 DIADHN Cc1cc(CN2CCc3c(N)cccc3C2)cc(C)c1OC(C)C ZINC001236369364 1055077577 /nfs/dbraw/zinc/07/75/77/1055077577.db2.gz ZRLPXZRMYPQLAF-UHFFFAOYSA-N 0 3 324.468 4.231 20 0 DIADHN CO[C@@H](C)CN[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184949233 1055084993 /nfs/dbraw/zinc/08/49/93/1055084993.db2.gz WASVTSKIACMQMU-QWRGUYRKSA-N 0 3 324.877 4.457 20 0 DIADHN CC[C@@H](NCCCOc1cccc(Cl)c1)c1nccs1 ZINC000185043631 1055086831 /nfs/dbraw/zinc/08/68/31/1055086831.db2.gz UARZKMLQSBRCOS-CQSZACIVSA-N 0 3 310.850 4.306 20 0 DIADHN Cc1cnc([C@@H](C)NCCCSCc2ccccc2)s1 ZINC000185041075 1055087834 /nfs/dbraw/zinc/08/78/34/1055087834.db2.gz DKEYQJWVNCJIAS-CQSZACIVSA-N 0 3 306.500 4.426 20 0 DIADHN Cc1cnc([C@@H](NCCc2ccccc2Cl)C2CC2)s1 ZINC000185333340 1055090634 /nfs/dbraw/zinc/09/06/34/1055090634.db2.gz YDMTYKQPDPYDKR-HNNXBMFYSA-N 0 3 306.862 4.388 20 0 DIADHN Cc1cnc([C@@H](NCCSc2ccccc2)C2CC2)s1 ZINC000185292772 1055092014 /nfs/dbraw/zinc/09/20/14/1055092014.db2.gz DUSKLTIIIDXCTP-HNNXBMFYSA-N 0 3 304.484 4.285 20 0 DIADHN C[C@@H](NCc1nnc(C2CC2)n1C1CC1)[C@H](C)C1CCCCC1 ZINC000185512211 1055092941 /nfs/dbraw/zinc/09/29/41/1055092941.db2.gz WTVWHTCSHUVFMR-UONOGXRCSA-N 0 3 316.493 4.185 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](C)c2cc(C)sc2C)c1 ZINC000193132600 1055098240 /nfs/dbraw/zinc/09/82/40/1055098240.db2.gz ARLDYGDAMMWDHI-LBPRGKRZSA-N 0 3 303.427 4.002 20 0 DIADHN O=C(CCC1CCCCCC1)N1CCN(C2CCCCC2)CC1 ZINC001536411883 1055103020 /nfs/dbraw/zinc/10/30/20/1055103020.db2.gz IJBFARXEFHNJOR-UHFFFAOYSA-N 0 3 320.521 4.214 20 0 DIADHN CCCOc1ccc(Cl)cc1-c1cc(CN(C)C)ccn1 ZINC001236450104 1055118877 /nfs/dbraw/zinc/11/88/77/1055118877.db2.gz COLVMGKUIPKUOD-UHFFFAOYSA-N 0 3 304.821 4.252 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000194374460 1055122106 /nfs/dbraw/zinc/12/21/06/1055122106.db2.gz UDCPDGNXBZSEKL-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)c1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nn1 ZINC001236469519 1055129512 /nfs/dbraw/zinc/12/95/12/1055129512.db2.gz JYRMYYFWZDOOKV-MOPGFXCFSA-N 0 3 319.452 4.420 20 0 DIADHN CCOc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1 ZINC001236469453 1055130038 /nfs/dbraw/zinc/13/00/38/1055130038.db2.gz JIEBVXQLGXBITN-MOPGFXCFSA-N 0 3 320.436 4.301 20 0 DIADHN CCCc1nccnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472134 1055131733 /nfs/dbraw/zinc/13/17/33/1055131733.db2.gz OSNPJPDDFPDZKN-MOPGFXCFSA-N 0 3 319.452 4.249 20 0 DIADHN Cc1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc(F)n1 ZINC001236472043 1055131861 /nfs/dbraw/zinc/13/18/61/1055131861.db2.gz KKWXYBHNRZNRQQ-MOPGFXCFSA-N 0 3 308.400 4.349 20 0 DIADHN CCc1cc(C)nc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236472258 1055132015 /nfs/dbraw/zinc/13/20/15/1055132015.db2.gz PXMJIMTXSHMVNR-UXHICEINSA-N 0 3 319.452 4.168 20 0 DIADHN COc1ncc(F)cc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236473734 1055133358 /nfs/dbraw/zinc/13/33/58/1055133358.db2.gz GMGMHESMRKTTGT-MSOLQXFVSA-N 0 3 324.399 4.050 20 0 DIADHN Cc1cc(F)nc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236473070 1055133655 /nfs/dbraw/zinc/13/36/55/1055133655.db2.gz CQUYFMRBQZQPHU-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN CCOc1cncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236476423 1055134997 /nfs/dbraw/zinc/13/49/97/1055134997.db2.gz SGANRHKPOVPACV-UXHICEINSA-N 0 3 320.436 4.301 20 0 DIADHN COc1nc(C)ccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236475496 1055135762 /nfs/dbraw/zinc/13/57/62/1055135762.db2.gz NGKFTGIZEKAPJI-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000194968866 1055141489 /nfs/dbraw/zinc/14/14/89/1055141489.db2.gz XEAAOKPORRUAFJ-RTBURBONSA-N 0 3 323.436 4.494 20 0 DIADHN c1ccc2c(c1)CCSC[C@@H]2NCc1ccc2ncccc2c1 ZINC000195145426 1055147301 /nfs/dbraw/zinc/14/73/01/1055147301.db2.gz PBCNBPNZGWBTAH-FQEVSTJZSA-N 0 3 320.461 4.355 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2sccc2Br)CS1 ZINC000381046930 1055148034 /nfs/dbraw/zinc/14/80/34/1055148034.db2.gz DKXLELOKESJVAY-ONGXEEELSA-N 0 3 320.321 4.130 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccsc2Br)CS1 ZINC000381127638 1055149827 /nfs/dbraw/zinc/14/98/27/1055149827.db2.gz JUDVICLAYUFVSY-WDEREUQCSA-N 0 3 320.321 4.130 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccsc2Br)CS1 ZINC000381127629 1055150075 /nfs/dbraw/zinc/15/00/75/1055150075.db2.gz JUDVICLAYUFVSY-GHMZBOCLSA-N 0 3 320.321 4.130 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@H]3CCCC[C@@H]3C)o2)cc1 ZINC000195696287 1055160492 /nfs/dbraw/zinc/16/04/92/1055160492.db2.gz MEECVNYOIPBXQH-YOEHRIQHSA-N 0 3 314.429 4.361 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000195675527 1055160557 /nfs/dbraw/zinc/16/05/57/1055160557.db2.gz NFHNGGBEEOADEO-UHTWSYAYSA-N 0 3 305.397 4.269 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@@H]2CCC[C@@H](C)C2)c1Cl ZINC000381589247 1055172813 /nfs/dbraw/zinc/17/28/13/1055172813.db2.gz DEHSGLPBOFLNBO-TZMCWYRMSA-N 0 3 309.837 4.137 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@H]2CCC[C@@H](C)C2)c1Cl ZINC000381589245 1055173096 /nfs/dbraw/zinc/17/30/96/1055173096.db2.gz DEHSGLPBOFLNBO-OCCSQVGLSA-N 0 3 309.837 4.137 20 0 DIADHN CCOc1ccc(C)c(F)c1-c1cnccc1/C=C/N(C)C ZINC001236548437 1055176423 /nfs/dbraw/zinc/17/64/23/1055176423.db2.gz RXPZCXCVRAIBAQ-PKNBQFBNSA-N 0 3 300.377 4.127 20 0 DIADHN COc1cccc(Cl)c1CNC[C@H]1CCCCC1(F)F ZINC000381755219 1055178926 /nfs/dbraw/zinc/17/89/26/1055178926.db2.gz WMEVPKWBOGZPBQ-LLVKDONJSA-N 0 3 303.780 4.264 20 0 DIADHN CO[C@H](CNCc1ccccc1OCc1ccccc1)C(C)C ZINC000381840685 1055187380 /nfs/dbraw/zinc/18/73/80/1055187380.db2.gz BVGVNBJFJLZSIE-HXUWFJFHSA-N 0 3 313.441 4.026 20 0 DIADHN [O-]c1cncc(-c2ccc(C(F)(F)F)cc2C[NH+]2CCCC2)c1 ZINC001236584461 1055199325 /nfs/dbraw/zinc/19/93/25/1055199325.db2.gz DQXUWDIZHLVXCN-UHFFFAOYSA-N 0 3 322.330 4.069 20 0 DIADHN Oc1cncc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)c1 ZINC001236584461 1055199332 /nfs/dbraw/zinc/19/93/32/1055199332.db2.gz DQXUWDIZHLVXCN-UHFFFAOYSA-N 0 3 322.330 4.069 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccccc1)c1cc(Cl)ccc1OC ZINC000764557957 1055210427 /nfs/dbraw/zinc/21/04/27/1055210427.db2.gz DTSJMVZFDZXOSH-IAGOWNOFSA-N 0 3 319.832 4.123 20 0 DIADHN CCC[C@]1(NCc2ccc(-c3ccc(F)cc3)o2)CCOC1 ZINC000382368424 1055211484 /nfs/dbraw/zinc/21/14/84/1055211484.db2.gz YURFYCKTMQFYGZ-SFHVURJKSA-N 0 3 303.377 4.135 20 0 DIADHN CCOc1ccc(CN2C[C@@H]3CCC[C@@H]3C2)cc1Br ZINC001236658875 1055215914 /nfs/dbraw/zinc/21/59/14/1055215914.db2.gz IKSQIXBDNPWGHJ-OKILXGFUSA-N 0 3 324.262 4.080 20 0 DIADHN CCN(Cc1cc2cc(OC)ccc2s1)Cc1cccnc1 ZINC001236663114 1055217161 /nfs/dbraw/zinc/21/71/61/1055217161.db2.gz RNHCTMNMAZLHMN-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3ccccc3C)cc2)CC1 ZINC000764621079 1055219806 /nfs/dbraw/zinc/21/98/06/1055219806.db2.gz VRGQHLXXQAKNPY-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN COc1cccc(Br)c1CN1CCC[C@@H]2CCC[C@H]21 ZINC001236674913 1055219886 /nfs/dbraw/zinc/21/98/86/1055219886.db2.gz AMNNHRVAOMCGJI-SWLSCSKDSA-N 0 3 324.262 4.222 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC[C@@H](C(F)F)C1 ZINC001236675113 1055225609 /nfs/dbraw/zinc/22/56/09/1055225609.db2.gz FZIGZWPGCUGDDI-OAHLLOKOSA-N 0 3 305.368 4.326 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@H]2CCOC2)c2cccs2)cc1 ZINC000764669543 1055234287 /nfs/dbraw/zinc/23/42/87/1055234287.db2.gz VIPPWVKGTYXNAP-HKUYNNGSSA-N 0 3 315.482 4.415 20 0 DIADHN Cc1cccc(N2CCN(Cc3cccc4ccoc43)CC2)c1 ZINC001236732821 1055243378 /nfs/dbraw/zinc/24/33/78/1055243378.db2.gz KNLLPYODWUYIIQ-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc4ccoc43)CC2)cc1 ZINC001236729531 1055244392 /nfs/dbraw/zinc/24/43/92/1055244392.db2.gz BLJPAJKUUBRXGT-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN CCC[C@@H](CNCc1cc(Br)ccc1Cl)OC ZINC000383138225 1055248378 /nfs/dbraw/zinc/24/83/78/1055248378.db2.gz WVOCLUMHJOKOTD-LBPRGKRZSA-N 0 3 320.658 4.007 20 0 DIADHN COc1cc(CN(C)Cc2cccc3occc32)cc(OC)c1 ZINC001236743957 1055249592 /nfs/dbraw/zinc/24/95/92/1055249592.db2.gz RUZGFSFUGXWVHM-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN C/C=C(/C=C\C(=O)NC[C@H](c1ccsc1)N(CC)CC)CC ZINC000764840892 1055273517 /nfs/dbraw/zinc/27/35/17/1055273517.db2.gz SIDWMSWYYVFBDZ-GKSOTYIUSA-N 0 3 320.502 4.160 20 0 DIADHN Cc1cc(Br)sc1CN1C[C@@H]2CCC[C@@H]2C1 ZINC001236823223 1055277095 /nfs/dbraw/zinc/27/70/95/1055277095.db2.gz HTNQLGAICFSPPN-PHIMTYICSA-N 0 3 300.265 4.051 20 0 DIADHN C[C@H](NC[C@@H]1CCN(c2ccccc2)C1)c1c(F)cccc1F ZINC000764890199 1055283917 /nfs/dbraw/zinc/28/39/17/1055283917.db2.gz GWXAAYPMIGNCSI-GJZGRUSLSA-N 0 3 316.395 4.142 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1c2ccccc2[C@@H](C)CC1(C)C ZINC001537360239 1055291147 /nfs/dbraw/zinc/29/11/47/1055291147.db2.gz KPZIPJXRUYMFBY-MAUKXSAKSA-N 0 3 314.473 4.178 20 0 DIADHN CCOC(=O)CCC1CCN(Cc2cccc(C)c2Cl)CC1 ZINC001236854610 1055292046 /nfs/dbraw/zinc/29/20/46/1055292046.db2.gz VEPRFELPJCQJSK-UHFFFAOYSA-N 0 3 323.864 4.204 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cc2sccc2nc1Cl ZINC001236866080 1055297010 /nfs/dbraw/zinc/29/70/10/1055297010.db2.gz DVOVCLSYZVOXSY-CQSZACIVSA-N 0 3 324.877 4.339 20 0 DIADHN Fc1cc(F)c2cc(CN3CC4CC(C4)C3)c(Cl)nc2c1 ZINC001236884633 1055303262 /nfs/dbraw/zinc/30/32/62/1055303262.db2.gz UZVLAEZJOXVEGP-UHFFFAOYSA-N 0 3 308.759 4.008 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1ccc(F)c2ccoc21 ZINC001236903140 1055306015 /nfs/dbraw/zinc/30/60/15/1055306015.db2.gz YEYFWSWDUZVEJE-UHFFFAOYSA-N 0 3 317.385 4.338 20 0 DIADHN CC/C(C)=C(/C)C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001587488134 1055311082 /nfs/dbraw/zinc/31/10/82/1055311082.db2.gz LJNMVVDZLVYDPL-MSUUIHNZSA-N 0 3 320.521 4.092 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)[C@H](C)C(C)(C)C ZINC001587517767 1055316358 /nfs/dbraw/zinc/31/63/58/1055316358.db2.gz SAGVFAIAVGDBAW-GJZGRUSLSA-N 0 3 304.478 4.313 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](C)[C@@H](C)C(C)(C)C ZINC001587517768 1055316775 /nfs/dbraw/zinc/31/67/75/1055316775.db2.gz SAGVFAIAVGDBAW-HUUCEWRRSA-N 0 3 304.478 4.313 20 0 DIADHN CN(Cc1ccc(-n2ccnc2)cc1Cl)C[C@@H]1CC1(C)C ZINC001236915891 1055318893 /nfs/dbraw/zinc/31/88/93/1055318893.db2.gz GOGHQDLOQUTSCG-AWEZNQCLSA-N 0 3 303.837 4.004 20 0 DIADHN C[C@@H]1CCN1Cc1ccc(-c2ccc(OC(F)(F)F)cc2)nc1 ZINC001236977597 1055322752 /nfs/dbraw/zinc/32/27/52/1055322752.db2.gz RHTIJPHAJVSQES-GFCCVEGCSA-N 0 3 322.330 4.241 20 0 DIADHN Cc1cccc(-c2ccc(CN3CC[C@]4(CCCO4)C3)cn2)c1C ZINC001236986426 1055324511 /nfs/dbraw/zinc/32/45/11/1055324511.db2.gz SEFKHXLUWNPATN-OAQYLSRUSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1cccc(-c2ccc(CN3C[C@@H]4C[C@H]3C[C@@H]4F)cn2)c1C ZINC001236989369 1055325366 /nfs/dbraw/zinc/32/53/66/1055325366.db2.gz NQUTUVWSOJWAHW-LNLFQRSKSA-N 0 3 310.416 4.298 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@H]4OCCC[C@@H]4C3)c2)cc1 ZINC001236991264 1055327340 /nfs/dbraw/zinc/32/73/40/1055327340.db2.gz MBMRAIOLNVPJCM-CTNGQTDRSA-N 0 3 322.452 4.058 20 0 DIADHN CCCO[C@@H]1CCN(Cc2cncc(-c3ccc(C)cc3)c2)C1 ZINC001236991714 1055329543 /nfs/dbraw/zinc/32/95/43/1055329543.db2.gz BFZDUBKSWMZWAW-HXUWFJFHSA-N 0 3 310.441 4.058 20 0 DIADHN Fc1ccc(-c2cncc(CN3CC[C@@]4(CC4(F)F)C3)c2)cc1 ZINC001237002880 1055334979 /nfs/dbraw/zinc/33/49/79/1055334979.db2.gz XIWVYOMSNJLEHI-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN C[C@@]1(CF)CCN(Cc2ccc(-c3ccc(F)cc3F)nc2)C1 ZINC001237003922 1055335440 /nfs/dbraw/zinc/33/54/40/1055335440.db2.gz KZHKXYQAJVUHNL-SFHVURJKSA-N 0 3 320.358 4.208 20 0 DIADHN Fc1ccc(-c2cncc(CN3CC[C@]4(CC4(F)F)C3)c2)cc1 ZINC001237002875 1055336666 /nfs/dbraw/zinc/33/66/66/1055336666.db2.gz XIWVYOMSNJLEHI-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN C[C@@H]1CCN1Cc1cccc(-c2ccc(OC(F)(F)F)cc2)n1 ZINC001237025005 1055343792 /nfs/dbraw/zinc/34/37/92/1055343792.db2.gz ZKRKHQFCZFJCCP-GFCCVEGCSA-N 0 3 322.330 4.241 20 0 DIADHN COc1ccc(CNCc2ccc3c(c2)CC(C)(C)O3)c(C)c1 ZINC000765258874 1055344338 /nfs/dbraw/zinc/34/43/38/1055344338.db2.gz CGGFJAZTWUDSFJ-UHFFFAOYSA-N 0 3 311.425 4.007 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(Cl)cc2)s1 ZINC000765246045 1055345099 /nfs/dbraw/zinc/34/50/99/1055345099.db2.gz ROSHRRLECVGOFK-NWDGAFQWSA-N 0 3 322.861 4.388 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CN2CCC3(CC3)C2)cc1F ZINC001237033076 1055346447 /nfs/dbraw/zinc/34/64/47/1055346447.db2.gz CUSFCLNNQSDURR-UHFFFAOYSA-N 0 3 320.408 4.159 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CCC2(CCOCC2)CC1 ZINC001237030793 1055347403 /nfs/dbraw/zinc/34/74/03/1055347403.db2.gz HHOXVYJWVPRUHJ-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@H](O)c1ccc(C)cc1 ZINC000765271739 1055352319 /nfs/dbraw/zinc/35/23/19/1055352319.db2.gz PGPCDBSZKXHWCI-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN COc1cccc2c(CN(C)Cc3cccc(F)c3)ccnc12 ZINC001237113550 1055374772 /nfs/dbraw/zinc/37/47/72/1055374772.db2.gz LZKVYDPHGYAIEV-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CC(C)[C@@H](NCc1cnn(C2CCC2)c1)c1ccc(F)cc1 ZINC000921512167 1055382889 /nfs/dbraw/zinc/38/28/89/1055382889.db2.gz IKXFKYRRUNARJJ-GOSISDBHSA-N 0 3 301.409 4.234 20 0 DIADHN CO[C@@H]1CCC[C@@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000765427892 1055388413 /nfs/dbraw/zinc/38/84/13/1055388413.db2.gz NGVORCVJSFCWAV-IVMMDQJWSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@H](COc1ccccc1)N[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000765440907 1055394565 /nfs/dbraw/zinc/39/45/65/1055394565.db2.gz VALGKRLBFKWKSA-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccc(OC)c(F)c1 ZINC000765461406 1055396390 /nfs/dbraw/zinc/39/63/90/1055396390.db2.gz ACLRPRUSDYUMCD-UHFFFAOYSA-N 0 3 312.344 4.003 20 0 DIADHN COc1cccc([C@@H]2CCCC[N@@H+]2Cc2occc2[S-])c1 ZINC001237189174 1055397644 /nfs/dbraw/zinc/39/76/44/1055397644.db2.gz BHHSSYSEORZJJV-HNNXBMFYSA-N 0 3 303.427 4.304 20 0 DIADHN COc1cccc([C@@H]2CCCC[N@H+]2Cc2occc2[S-])c1 ZINC001237189174 1055397656 /nfs/dbraw/zinc/39/76/56/1055397656.db2.gz BHHSSYSEORZJJV-HNNXBMFYSA-N 0 3 303.427 4.304 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H](C)c1nc2ccccc2[nH]1 ZINC000765476228 1055398150 /nfs/dbraw/zinc/39/81/50/1055398150.db2.gz RIODTNARGLRQSK-GFCCVEGCSA-N 0 3 318.380 4.293 20 0 DIADHN OCCCCCC[N@H+](Cc1occc1[S-])Cc1ccccc1 ZINC001237186719 1055400088 /nfs/dbraw/zinc/40/00/88/1055400088.db2.gz NXABJGHWWVTPBW-UHFFFAOYSA-N 0 3 319.470 4.123 20 0 DIADHN OCCCCCC[N@@H+](Cc1occc1[S-])Cc1ccccc1 ZINC001237186719 1055400095 /nfs/dbraw/zinc/40/00/95/1055400095.db2.gz NXABJGHWWVTPBW-UHFFFAOYSA-N 0 3 319.470 4.123 20 0 DIADHN COc1ccc([C@H](C)Nc2ccnc3cc(OC)ccc32)cc1 ZINC000765486871 1055403936 /nfs/dbraw/zinc/40/39/36/1055403936.db2.gz CSTOAUQJMFNWEI-ZDUSSCGKSA-N 0 3 308.381 4.425 20 0 DIADHN Cc1csc([C@H](NC[C@@H]2CC3(CO2)CCCCC3)C2CC2)n1 ZINC001168541534 1055405289 /nfs/dbraw/zinc/40/52/89/1055405289.db2.gz JQZFZJNUKDEXNT-JKSUJKDBSA-N 0 3 320.502 4.232 20 0 DIADHN CCCOC1CCN(Cc2ccc(C(F)F)c(OC)c2)CC1 ZINC001237271612 1055412207 /nfs/dbraw/zinc/41/22/07/1055412207.db2.gz DMKJFJNJFQUART-UHFFFAOYSA-N 0 3 313.388 4.024 20 0 DIADHN [O-]c1cc(F)cc(C[N@@H+]2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001237280412 1055415368 /nfs/dbraw/zinc/41/53/68/1055415368.db2.gz HPRXDCUDAZGNEW-CQSZACIVSA-N 0 3 305.780 4.174 20 0 DIADHN [O-]c1cc(F)cc(C[N@H+]2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001237280412 1055415381 /nfs/dbraw/zinc/41/53/81/1055415381.db2.gz HPRXDCUDAZGNEW-CQSZACIVSA-N 0 3 305.780 4.174 20 0 DIADHN Oc1cc(F)cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001237280412 1055415392 /nfs/dbraw/zinc/41/53/92/1055415392.db2.gz HPRXDCUDAZGNEW-CQSZACIVSA-N 0 3 305.780 4.174 20 0 DIADHN CN(CCCc1ccccc1)Cc1ccncc1C(F)(F)F ZINC001237290633 1055418281 /nfs/dbraw/zinc/41/82/81/1055418281.db2.gz LUSNVTKUSVLOFW-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN FC(F)(F)c1cnccc1CN1CC[C@H](Cc2ccccc2)C1 ZINC001237291887 1055418963 /nfs/dbraw/zinc/41/89/63/1055418963.db2.gz IBMRQZAQLPKDMN-OAHLLOKOSA-N 0 3 320.358 4.165 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@H](c1ccccc1)C(C)C ZINC000011099984 1055422579 /nfs/dbraw/zinc/42/25/79/1055422579.db2.gz QFMDRDBMOYRXNW-APWZRJJASA-N 0 3 310.441 4.309 20 0 DIADHN Cc1cc(F)cc(C)c1CN(C)CCC(=O)c1cccs1 ZINC001237327056 1055427405 /nfs/dbraw/zinc/42/74/05/1055427405.db2.gz XWISYUHXTUPQMN-UHFFFAOYSA-N 0 3 305.418 4.209 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC[C@@H](CF)C1 ZINC001237342077 1055433658 /nfs/dbraw/zinc/43/36/58/1055433658.db2.gz IICLPUFJNORFBB-AWEZNQCLSA-N 0 3 322.424 4.215 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC2(C[C@H](F)CO2)CC1 ZINC001237350494 1055436394 /nfs/dbraw/zinc/43/63/94/1055436394.db2.gz NJPMODQSRLOQBO-HNNXBMFYSA-N 0 3 311.828 4.050 20 0 DIADHN CCCCN(C)Cc1cccc(F)c1NC(=O)OC(C)(C)C ZINC001237358651 1055438771 /nfs/dbraw/zinc/43/87/71/1055438771.db2.gz LWZPEPXZMHHOGC-UHFFFAOYSA-N 0 3 310.413 4.405 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCCO3)cc1 ZINC000015301061 1055440940 /nfs/dbraw/zinc/44/09/40/1055440940.db2.gz YMUQQPGPZVISJL-SFHVURJKSA-N 0 3 309.409 4.103 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3cnc(-c4ccccc4)o3)C2)c1 ZINC001237369616 1055441187 /nfs/dbraw/zinc/44/11/87/1055441187.db2.gz XBDBTRWEIIHETJ-QGZVFWFLSA-N 0 3 322.383 4.470 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cnc(-c2ccccc2)o1 ZINC001237370183 1055441469 /nfs/dbraw/zinc/44/14/69/1055441469.db2.gz IUGQSWSRGBAKPR-VMPITWQZSA-N 0 3 319.408 4.272 20 0 DIADHN FC1CC2(C1)CCN(Cc1cnc(-c3ccccc3)o1)CC2 ZINC001237366416 1055441840 /nfs/dbraw/zinc/44/18/40/1055441840.db2.gz SPFNMUHHSXPVSI-UHFFFAOYSA-N 0 3 300.377 4.056 20 0 DIADHN C[C@@H]1CCN(Cc2cccc(F)c2NC(=O)OC(C)(C)C)C1 ZINC001237363377 1055441892 /nfs/dbraw/zinc/44/18/92/1055441892.db2.gz OIRWUYUZECOOCJ-GFCCVEGCSA-N 0 3 308.397 4.015 20 0 DIADHN CN(Cc1cnc(-c2ccccc2)o1)[C@H]1CCc2ccccc21 ZINC001237365900 1055441946 /nfs/dbraw/zinc/44/19/46/1055441946.db2.gz BZGRNUNZOVGSIQ-IBGZPJMESA-N 0 3 304.393 4.461 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1cnc(-c2ccccc2)o1 ZINC001237371791 1055442865 /nfs/dbraw/zinc/44/28/65/1055442865.db2.gz UMZLSIAVJFYCBK-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CCCCC[C@H](C)CC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001537814487 1055448015 /nfs/dbraw/zinc/44/80/15/1055448015.db2.gz BVQASJYZSJCJBJ-ZWOKBUDYSA-N 0 3 318.505 4.401 20 0 DIADHN CCC1(C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)CCCCC1 ZINC001537814568 1055448698 /nfs/dbraw/zinc/44/86/98/1055448698.db2.gz DKGKPLOVERLOBO-AEFFLSMTSA-N 0 3 316.489 4.155 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1ccccc1NC(C)=O ZINC001237418993 1055450912 /nfs/dbraw/zinc/45/09/12/1055450912.db2.gz VZBWFYNYOKMRPX-UHFFFAOYSA-N 0 3 310.441 4.284 20 0 DIADHN C[C@@H]1c2ccsc2CCN1Cc1ccc(-n2cccn2)cc1 ZINC000016421018 1055456156 /nfs/dbraw/zinc/45/61/56/1055456156.db2.gz VABRSAZZBDUSIX-CQSZACIVSA-N 0 3 309.438 4.053 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CCC[C@H]2CCCC[C@H]21 ZINC001237463435 1055457089 /nfs/dbraw/zinc/45/70/89/1055457089.db2.gz XBJYRHYFBMAGCG-MLGOLLRUSA-N 0 3 303.837 4.371 20 0 DIADHN COc1c(C)cccc1CN(C)Cc1cccc2cccnc21 ZINC001237512312 1055464020 /nfs/dbraw/zinc/46/40/20/1055464020.db2.gz ZQFKZQAMHUPQKT-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN OCCN(Cc1cccs1)Cc1cccc2c(F)cccc12 ZINC001237515230 1055464928 /nfs/dbraw/zinc/46/49/28/1055464928.db2.gz MHGOOXVGRPSPOK-UHFFFAOYSA-N 0 3 315.413 4.035 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cccc(OC(C)C)c1 ZINC001620841350 1055469257 /nfs/dbraw/zinc/46/92/57/1055469257.db2.gz BZOBYORAMPPVDR-IBGZPJMESA-N 0 3 311.425 4.260 20 0 DIADHN c1cc2cc(CN3CCC(Sc4ccccc4)CC3)ccn2n1 ZINC001237544641 1055471441 /nfs/dbraw/zinc/47/14/41/1055471441.db2.gz LXHHGIZUERNUGS-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccn2nccc2c1 ZINC001237543713 1055472545 /nfs/dbraw/zinc/47/25/45/1055472545.db2.gz AUJXREUVLSZATD-SFHVURJKSA-N 0 3 323.465 4.393 20 0 DIADHN CC(C)(CN[C@H]1CCCn2ccnc21)c1ccc2ccccc2c1 ZINC000624269031 1055472792 /nfs/dbraw/zinc/47/27/92/1055472792.db2.gz WNMLAIVVVMTCPM-IBGZPJMESA-N 0 3 319.452 4.439 20 0 DIADHN CCc1cccc2cc(CNC[C@@H](O)c3cccc(C)c3)oc21 ZINC001620854369 1055482930 /nfs/dbraw/zinc/48/29/30/1055482930.db2.gz CNINMRYUFWYIKH-LJQANCHMSA-N 0 3 309.409 4.127 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccnc(OC(F)F)c2)c1 ZINC001237611997 1055484285 /nfs/dbraw/zinc/48/42/85/1055484285.db2.gz RZCJHSXARHPKEE-INIZCTEOSA-N 0 3 318.367 4.329 20 0 DIADHN Cc1ccnc2cccc(CN3CCC(=O)[C@H](C)C34CCCC4)c12 ZINC001237629274 1055487498 /nfs/dbraw/zinc/48/74/98/1055487498.db2.gz XAURMTGEFRPCGJ-INIZCTEOSA-N 0 3 322.452 4.267 20 0 DIADHN CN1CC[C@H](NCc2cscc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001620862254 1055489968 /nfs/dbraw/zinc/48/99/68/1055489968.db2.gz KOMAWYZOGHWMGB-HOTGVXAUSA-N 0 3 324.852 4.076 20 0 DIADHN CN1CC[C@@H](NCc2cscc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001620862253 1055490504 /nfs/dbraw/zinc/49/05/04/1055490504.db2.gz KOMAWYZOGHWMGB-CVEARBPZSA-N 0 3 324.852 4.076 20 0 DIADHN COc1ccsc1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC001620864249 1055491686 /nfs/dbraw/zinc/49/16/86/1055491686.db2.gz UNPIPCCGKHAFOP-GDBMZVCRSA-N 0 3 317.454 4.014 20 0 DIADHN COc1ccsc1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC001620864251 1055491812 /nfs/dbraw/zinc/49/18/12/1055491812.db2.gz UNPIPCCGKHAFOP-HOCLYGCPSA-N 0 3 317.454 4.014 20 0 DIADHN CCc1ccc(CN[C@@](C)(CC)COc2ncccc2Cl)o1 ZINC001620868744 1055496156 /nfs/dbraw/zinc/49/61/56/1055496156.db2.gz NRJDXTSNEZCENY-KRWDZBQOSA-N 0 3 322.836 4.228 20 0 DIADHN CC(C)(CN[C@H]1CCn2ccnc21)c1ccc2ccccc2c1 ZINC000624268952 1055499721 /nfs/dbraw/zinc/49/97/21/1055499721.db2.gz RHACOLJQSNKQOE-SFHVURJKSA-N 0 3 305.425 4.049 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@@H]1CCCCS1 ZINC001620873595 1055501421 /nfs/dbraw/zinc/50/14/21/1055501421.db2.gz JDLGYVCKFSBYEK-INIZCTEOSA-N 0 3 303.427 4.447 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2cccc(C(N)=O)c2)cc1C ZINC001237697393 1055506873 /nfs/dbraw/zinc/50/68/73/1055506873.db2.gz LCGNLTKCKOVEJA-FQEVSTJZSA-N 0 3 322.452 4.130 20 0 DIADHN CCOC(=O)CC1CCN(Cc2cc(C)cc(C)c2Cl)CC1 ZINC001237699805 1055507179 /nfs/dbraw/zinc/50/71/79/1055507179.db2.gz ZRUSPCUYWHEWFS-UHFFFAOYSA-N 0 3 323.864 4.122 20 0 DIADHN Cc1cc(C)c(Cl)c(CN2CCC(c3ccn(C)n3)CC2)c1 ZINC001237701713 1055508199 /nfs/dbraw/zinc/50/81/99/1055508199.db2.gz MRMVDVLQTGKSTP-UHFFFAOYSA-N 0 3 317.864 4.070 20 0 DIADHN Cc1nnc([C@@H]2CCCN(Cc3cc(C)cc(C)c3Cl)C2)o1 ZINC001237702260 1055509947 /nfs/dbraw/zinc/50/99/47/1055509947.db2.gz QFJSMZQQYVCUJQ-CQSZACIVSA-N 0 3 319.836 4.028 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cnn(CCF)c1)c1ccc(F)cc1 ZINC000921546562 1055510193 /nfs/dbraw/zinc/51/01/93/1055510193.db2.gz FGYPIPVAHLMVKA-QGZVFWFLSA-N 0 3 321.415 4.259 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc(C)ccc1OC)CCC2 ZINC001620885551 1055511842 /nfs/dbraw/zinc/51/18/42/1055511842.db2.gz DCDKXNJYKRZAIA-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)nn1C ZINC001620887697 1055513388 /nfs/dbraw/zinc/51/33/88/1055513388.db2.gz ORUKSZGSTCAKPP-UHFFFAOYSA-N 0 3 313.489 4.093 20 0 DIADHN CCCn1nccc1CN[C@@H]1c2ccccc2CC[C@H]1C(C)C ZINC001620894786 1055519972 /nfs/dbraw/zinc/51/99/72/1055519972.db2.gz FQLRRYCRIOHYHE-ICSRJNTNSA-N 0 3 311.473 4.342 20 0 DIADHN C1=CC2(CCN(Cc3cccc4c3OCC4)CC2)c2ccccc21 ZINC001237744864 1055521327 /nfs/dbraw/zinc/52/13/27/1055521327.db2.gz IFUSPMUKLFZLTC-UHFFFAOYSA-N 0 3 317.432 4.182 20 0 DIADHN F[C@@H]1CCCN(Cc2cc(-c3cccc(Cl)c3)no2)CC1 ZINC001237765407 1055524637 /nfs/dbraw/zinc/52/46/37/1055524637.db2.gz JHHBEQSGMMBNTG-CQSZACIVSA-N 0 3 308.784 4.319 20 0 DIADHN C[C@@H](CCNCc1csc(-c2ccn(C)n2)n1)CC(C)(C)C ZINC001620902855 1055526692 /nfs/dbraw/zinc/52/66/92/1055526692.db2.gz VLAUTSCJTROCEN-ZDUSSCGKSA-N 0 3 320.506 4.096 20 0 DIADHN C[C@H](CCNCc1csc(-c2ccn(C)n2)n1)CC(C)(C)C ZINC001620902854 1055527054 /nfs/dbraw/zinc/52/70/54/1055527054.db2.gz VLAUTSCJTROCEN-CYBMUJFWSA-N 0 3 320.506 4.096 20 0 DIADHN CCCCCC[C@@H](C)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC000741885527 1055528614 /nfs/dbraw/zinc/52/86/14/1055528614.db2.gz NPVZTKXDQHYWQY-SJLPKXTDSA-N 0 3 304.478 4.012 20 0 DIADHN FC[C@@H]1CCCN(Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237772131 1055528813 /nfs/dbraw/zinc/52/88/13/1055528813.db2.gz FLKJUKLJXWEXLL-LBPRGKRZSA-N 0 3 308.784 4.177 20 0 DIADHN COc1cccc(CN2CCCCCCC2)c1Br ZINC001237866152 1055543452 /nfs/dbraw/zinc/54/34/52/1055543452.db2.gz SVIAIYUWMHJNNO-UHFFFAOYSA-N 0 3 312.251 4.224 20 0 DIADHN Cc1ccc2c(CC[NH2+]Cc3cc([O-])cc(Cl)c3)c[nH]c2c1 ZINC001620906890 1055531247 /nfs/dbraw/zinc/53/12/47/1055531247.db2.gz SWGUGPHNVMZYOU-UHFFFAOYSA-N 0 3 314.816 4.168 20 0 DIADHN CN(CCN[C@H](c1ccco1)c1ccccc1)Cc1ccccc1 ZINC000386811438 1055532350 /nfs/dbraw/zinc/53/23/50/1055532350.db2.gz DQTOTBNGPKLCKH-NRFANRHFSA-N 0 3 320.436 4.091 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001620910441 1055533168 /nfs/dbraw/zinc/53/31/68/1055533168.db2.gz RXEVYHJUDKVCOJ-KRWDZBQOSA-N 0 3 303.446 4.055 20 0 DIADHN C[C@H](CCC1CC1)NCc1cc(Br)cnc1Cl ZINC001620910787 1055536115 /nfs/dbraw/zinc/53/61/15/1055536115.db2.gz HHKWOYPPODOHSM-SECBINFHSA-N 0 3 317.658 4.166 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1ncc(C)cn1 ZINC001237810151 1055536106 /nfs/dbraw/zinc/53/61/06/1055536106.db2.gz LMIYSNCVUGINSB-IBGZPJMESA-N 0 3 311.473 4.408 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1cc(Cl)ccc1Cl ZINC001620914027 1055537564 /nfs/dbraw/zinc/53/75/64/1055537564.db2.gz GRULMCFDIRUWQA-CYBMUJFWSA-N 0 3 304.261 4.270 20 0 DIADHN CCN(Cc1ccccc1)Cc1cccc(-c2ccccn2)n1 ZINC001237830601 1055541935 /nfs/dbraw/zinc/54/19/35/1055541935.db2.gz QWGYDQWMHVOBOO-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN COc1cccc(CN2CC[C@H]3CCC[C@@H]3C2)c1Br ZINC001237860912 1055543982 /nfs/dbraw/zinc/54/39/82/1055543982.db2.gz PXYASDIYQIXIFG-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cccc(CN2CC[C@@H]3CCC[C@@H]3C2)c1Br ZINC001237860915 1055544480 /nfs/dbraw/zinc/54/44/80/1055544480.db2.gz PXYASDIYQIXIFG-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN(C)CC1CCCCC1 ZINC001237876068 1055549500 /nfs/dbraw/zinc/54/95/00/1055549500.db2.gz BSBBIMBKGZQCRG-UHFFFAOYSA-N 0 3 301.434 4.031 20 0 DIADHN COc1cccc([C@H]2C[C@H](NCc3cc(F)ccc3F)C2)c1 ZINC001620936362 1055549966 /nfs/dbraw/zinc/54/99/66/1055549966.db2.gz APAJTIWQVVDCTR-SAZUREKKSA-N 0 3 303.352 4.009 20 0 DIADHN CCN(Cc1ccc(Br)c(Cl)c1F)C(C)C ZINC001237888717 1055550948 /nfs/dbraw/zinc/55/09/48/1055550948.db2.gz GAJGFSJTDXUANO-UHFFFAOYSA-N 0 3 308.622 4.472 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CC[C@H](C(F)(F)F)C3)cc21 ZINC001237885553 1055552601 /nfs/dbraw/zinc/55/26/01/1055552601.db2.gz IIRGSOMVWGOKGG-HNNXBMFYSA-N 0 3 324.390 4.072 20 0 DIADHN CCN(Cc1nc2sc(C)c(C)c2c(N)n1)C1CCCCC1 ZINC000387278566 1055554745 /nfs/dbraw/zinc/55/47/45/1055554745.db2.gz INUSZULYYBYIBS-UHFFFAOYSA-N 0 3 318.490 4.045 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001538168263 1055556396 /nfs/dbraw/zinc/55/63/96/1055556396.db2.gz HFLURVVOQZUXBU-JLAWEPINSA-N 0 3 322.537 4.316 20 0 DIADHN CCOc1cc(F)cc(CN2CCC3(CCOC3(C)C)CC2)c1 ZINC001237919972 1055557855 /nfs/dbraw/zinc/55/78/55/1055557855.db2.gz ABGNDEMQSIOWFJ-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN CCOc1cc(F)cc(CN(C)CCc2ccccc2F)c1 ZINC001237924937 1055560348 /nfs/dbraw/zinc/56/03/48/1055560348.db2.gz WOUSAOHVMWCZLT-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccc(F)cc3)C2)cc1F ZINC001237955221 1055565036 /nfs/dbraw/zinc/56/50/36/1055565036.db2.gz CTMRCIJPZFSVBN-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccc2oc(CNC[C@H](c3ccccc3)C3CC3)nc2c1 ZINC001620950912 1055567749 /nfs/dbraw/zinc/56/77/49/1055567749.db2.gz QOSDOTWBPOVDIF-MRXNPFEDSA-N 0 3 310.372 4.250 20 0 DIADHN CCC(CC)(CNCc1cc(Cl)cc(Cl)c1OC)OC ZINC001620954452 1055574342 /nfs/dbraw/zinc/57/43/42/1055574342.db2.gz UVKMGONNNOTQIY-UHFFFAOYSA-N 0 3 320.260 4.297 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2cccc(N3CCCCC3)n2)cc1 ZINC001237998641 1055580112 /nfs/dbraw/zinc/58/01/12/1055580112.db2.gz HBDOYSDYSPQSCS-FQEVSTJZSA-N 0 3 321.468 4.409 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCC[C@@H](c2ccccc2)C1 ZINC001238026500 1055588069 /nfs/dbraw/zinc/58/80/69/1055588069.db2.gz PJMVKESFVOKADE-GOSISDBHSA-N 0 3 322.452 4.347 20 0 DIADHN COc1ccc(-c2nnc(CNC(C)(C)CC(C)(C)C)o2)cc1 ZINC000076493568 1055603274 /nfs/dbraw/zinc/60/32/74/1055603274.db2.gz WXDWYBJXTMQDRD-UHFFFAOYSA-N 0 3 317.433 4.050 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3cc(O)ccc3C)C2)cc1 ZINC001238099405 1055605319 /nfs/dbraw/zinc/60/53/19/1055605319.db2.gz PESRJVSIMUPWPZ-HXUWFJFHSA-N 0 3 311.425 4.052 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1sccc1Cl ZINC000338544063 1055614669 /nfs/dbraw/zinc/61/46/69/1055614669.db2.gz IZTNSVTYKTZQOT-UHFFFAOYSA-N 0 3 311.882 4.073 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cccc(-c3cccs3)n1)C2 ZINC001238208825 1055620328 /nfs/dbraw/zinc/62/03/28/1055620328.db2.gz COUXOSQDUSQDRK-HNNXBMFYSA-N 0 3 306.381 4.041 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3cccc(-c4cccs4)n3)C[C@H]2C1 ZINC001238211059 1055620451 /nfs/dbraw/zinc/62/04/51/1055620451.db2.gz MMXSKRYWRXLRFT-BETUJISGSA-N 0 3 320.408 4.287 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc(-c2cc[nH]n2)c1 ZINC001238204960 1055620690 /nfs/dbraw/zinc/62/06/90/1055620690.db2.gz XAMAOMZHZIISKU-OAHLLOKOSA-N 0 3 321.424 4.278 20 0 DIADHN Cc1c(Cl)ccc(CN2CCC(OC3CCC3)CC2)c1C ZINC001238215746 1055622946 /nfs/dbraw/zinc/62/29/46/1055622946.db2.gz OLMABGIXGAFJRA-UHFFFAOYSA-N 0 3 307.865 4.490 20 0 DIADHN CC(C)c1cc(CN2CCC[C@@](F)(CO)CC2)ccc1Cl ZINC001238217757 1055623602 /nfs/dbraw/zinc/62/36/02/1055623602.db2.gz KSXGARXPRHIOPX-KRWDZBQOSA-N 0 3 313.844 4.150 20 0 DIADHN CC(C)c1cc(CN2CC[C@@H]3OCCC[C@H]3C2)ccc1Cl ZINC001238216898 1055623627 /nfs/dbraw/zinc/62/36/27/1055623627.db2.gz GLVZIUNWFHTPSG-YJBOKZPZSA-N 0 3 307.865 4.464 20 0 DIADHN CCC[C@@H](C(=O)NC[C@H](c1ccsc1)N(CC)CC)C(C)C ZINC001595731091 1055626412 /nfs/dbraw/zinc/62/64/12/1055626412.db2.gz ULZHIDCLFBDXEX-IAGOWNOFSA-N 0 3 324.534 4.320 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000030270191 1055626818 /nfs/dbraw/zinc/62/68/18/1055626818.db2.gz HAMBKGYGWCFXOT-CVEARBPZSA-N 0 3 312.457 4.137 20 0 DIADHN COC(=O)CC[C@H]1CCN(Cc2ccc(Cl)c(C(C)C)c2)C1 ZINC001238230511 1055627907 /nfs/dbraw/zinc/62/79/07/1055627907.db2.gz ZBCYJXFWUJABDW-AWEZNQCLSA-N 0 3 323.864 4.239 20 0 DIADHN COc1c(Br)cc(F)cc1CN(C)CC(C)(C)C ZINC001238326076 1055638101 /nfs/dbraw/zinc/63/81/01/1055638101.db2.gz BYWZVIPYKIOVCM-UHFFFAOYSA-N 0 3 318.230 4.075 20 0 DIADHN CCCCN(CC)Cc1cc(F)cc(Br)c1OC ZINC001238338934 1055641148 /nfs/dbraw/zinc/64/11/48/1055641148.db2.gz YIALQPUKLFQCLN-UHFFFAOYSA-N 0 3 318.230 4.219 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cncc(OCc2ccccc2)c1 ZINC001238369758 1055645873 /nfs/dbraw/zinc/64/58/73/1055645873.db2.gz QNAPBPNELXFFCJ-LJQANCHMSA-N 0 3 310.441 4.425 20 0 DIADHN c1ccc(COc2cncc(CN3CCC[C@H]4CCC[C@@H]43)c2)cc1 ZINC001238369632 1055646147 /nfs/dbraw/zinc/64/61/47/1055646147.db2.gz PAFCUWKOTWZDIJ-CTNGQTDRSA-N 0 3 322.452 4.425 20 0 DIADHN C[C@H]1CN(Cc2cncc(OCc3ccccc3)c2)C2(CC2)C1 ZINC001238372339 1055647948 /nfs/dbraw/zinc/64/79/48/1055647948.db2.gz QTOPOKQBYGIIDF-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN C[C@@H]1CN(Cc2cncc(OCc3ccccc3)c2)C2(CC2)C1 ZINC001238372333 1055648923 /nfs/dbraw/zinc/64/89/23/1055648923.db2.gz QTOPOKQBYGIIDF-INIZCTEOSA-N 0 3 308.425 4.035 20 0 DIADHN Cc1cc(CN2CCC[C@H]2Cc2ccc(F)cc2)ncc1Cl ZINC001238422897 1055655859 /nfs/dbraw/zinc/65/58/59/1055655859.db2.gz RSTKWGFSSMARRG-KRWDZBQOSA-N 0 3 318.823 4.390 20 0 DIADHN CC[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001168570271 1055656041 /nfs/dbraw/zinc/65/60/41/1055656041.db2.gz LJNAZJKTOFDVHB-YOEHRIQHSA-N 0 3 302.462 4.186 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccccc3)C2)ncc1Cl ZINC001238420519 1055656345 /nfs/dbraw/zinc/65/63/45/1055656345.db2.gz OZEUAPKAYREMOH-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001168570269 1055656842 /nfs/dbraw/zinc/65/68/42/1055656842.db2.gz LJNAZJKTOFDVHB-RHSMWYFYSA-N 0 3 302.462 4.186 20 0 DIADHN Cc1cnc(CN2CCC[C@H](Oc3ccccc3C)C2)c(C)c1 ZINC001238429588 1055657423 /nfs/dbraw/zinc/65/74/23/1055657423.db2.gz NMDOVZFZLJBPCF-SFHVURJKSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cnc(CN2CCC(Cc3ccccc3F)CC2)c(C)c1 ZINC001238433963 1055658046 /nfs/dbraw/zinc/65/80/46/1055658046.db2.gz VIOBHRPMNLDMMH-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN CCOC1CC(CN(C)Cc2ccc(Cl)cc2Cl)C1 ZINC000671676261 1055660681 /nfs/dbraw/zinc/66/06/81/1055660681.db2.gz ZHURDNAJXWPSRI-UHFFFAOYSA-N 0 3 302.245 4.240 20 0 DIADHN COc1cccc(CN(C)Cc2cc(OC(C)C)ccc2C)c1 ZINC001238485398 1055670563 /nfs/dbraw/zinc/67/05/63/1055670563.db2.gz LFNREHULBSSMJS-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN Cc1ccc(OC(C)C)cc1CN1CC[C@@H](C(F)(F)F)C1 ZINC001238485914 1055672017 /nfs/dbraw/zinc/67/20/17/1055672017.db2.gz NUJCMBCCGIVDLG-CQSZACIVSA-N 0 3 301.352 4.166 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](c3ccccn3)C2)cc1C1CC1 ZINC001238511336 1055676324 /nfs/dbraw/zinc/67/63/24/1055676324.db2.gz KZKYNMDPGDHJNA-QGZVFWFLSA-N 0 3 310.416 4.478 20 0 DIADHN Cc1cc(F)cc(Br)c1CN1C[C@@H]2CCC[C@H]2C1 ZINC001238568062 1055690044 /nfs/dbraw/zinc/69/00/44/1055690044.db2.gz ZNDLFEHFLAMWMR-RYUDHWBXSA-N 0 3 312.226 4.129 20 0 DIADHN COc1cc(CN2CC[C@@H]3CCC[C@H]3C2)c(-c2ccccc2)cn1 ZINC001238599023 1055694030 /nfs/dbraw/zinc/69/40/30/1055694030.db2.gz DXRPVPFZQAEGMF-WMZOPIPTSA-N 0 3 322.452 4.379 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)cnc1F ZINC001238605021 1055694067 /nfs/dbraw/zinc/69/40/67/1055694067.db2.gz WJYFEBHVWOCLQO-OAHLLOKOSA-N 0 3 324.415 4.112 20 0 DIADHN COc1cc(CN2CC3(C2)CCCC3)c(-c2ccccc2)cn1 ZINC001238602105 1055694294 /nfs/dbraw/zinc/69/42/94/1055694294.db2.gz UBHGWTUOFIANDF-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN CCCO[C@H]1CCN(Cc2cnc(-c3ccccc3)c(C)c2)C1 ZINC001238612305 1055695525 /nfs/dbraw/zinc/69/55/25/1055695525.db2.gz PVLQAOBVMLPHCP-IBGZPJMESA-N 0 3 310.441 4.058 20 0 DIADHN Cc1cc(CN2CC[C@H]3OCCC[C@@H]3C2)cnc1-c1ccccc1 ZINC001238606321 1055696622 /nfs/dbraw/zinc/69/66/22/1055696622.db2.gz KEFSPAWLTLWDHN-WOJBJXKFSA-N 0 3 322.452 4.058 20 0 DIADHN COc1cc(F)ccc1-c1cccc(CN2CCSCC2)c1 ZINC001238628671 1055704079 /nfs/dbraw/zinc/70/40/79/1055704079.db2.gz RNUPFNGCUHPRRR-UHFFFAOYSA-N 0 3 317.429 4.050 20 0 DIADHN C=Cc1ccc(CNc2ccc([C@H]3CCCCN3C)cn2)cc1 ZINC001168576035 1055704714 /nfs/dbraw/zinc/70/47/14/1055704714.db2.gz QHHLCBVHPQUHHO-LJQANCHMSA-N 0 3 307.441 4.494 20 0 DIADHN Cc1cc(CN2CCC3(CCOC3(C)C)CC2)cnc1C1CC1 ZINC001238633120 1055707446 /nfs/dbraw/zinc/70/74/46/1055707446.db2.gz XNZKIFFTSYIDTF-UHFFFAOYSA-N 0 3 314.473 4.049 20 0 DIADHN CCN1CCCN(C(=O)CC2CCC(C(C)(C)CC)CC2)CC1 ZINC001565624770 1055714191 /nfs/dbraw/zinc/71/41/91/1055714191.db2.gz CPMNUBBROYQLGA-UHFFFAOYSA-N 0 3 322.537 4.173 20 0 DIADHN CSc1ccc(Cl)cc1CN1CCC2(CCOC2)CC1 ZINC001238673099 1055715049 /nfs/dbraw/zinc/71/50/49/1055715049.db2.gz SZTQAOKZUKBGOO-UHFFFAOYSA-N 0 3 311.878 4.064 20 0 DIADHN CCOCC1CCN(Cc2cc(Cl)ccc2SC)CC1 ZINC001238677902 1055717131 /nfs/dbraw/zinc/71/71/31/1055717131.db2.gz RDGAAGNUKOEUHJ-UHFFFAOYSA-N 0 3 313.894 4.310 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCC23CC3)c2ccccc2)nc1 ZINC000624315237 1055719087 /nfs/dbraw/zinc/71/90/87/1055719087.db2.gz ZEVCNNYECAICLQ-LPHOPBHVSA-N 0 3 310.416 4.480 20 0 DIADHN CSc1cc(C)cc(CN(C)Cc2ccc3c(c2)OCO3)c1 ZINC001238681282 1055719216 /nfs/dbraw/zinc/71/92/16/1055719216.db2.gz ISIMAEGSDSHBAF-UHFFFAOYSA-N 0 3 315.438 4.078 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCC23CC3)c2ccccc2)nc1 ZINC000624315236 1055719589 /nfs/dbraw/zinc/71/95/89/1055719589.db2.gz ZEVCNNYECAICLQ-APWZRJJASA-N 0 3 310.416 4.480 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(F)cc(N3CCCC3)c2)c1 ZINC001238697670 1055725782 /nfs/dbraw/zinc/72/57/82/1055725782.db2.gz AUJAGTJRMMQSOH-UHFFFAOYSA-N 0 3 312.432 4.366 20 0 DIADHN CSc1ncc(C)cc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001238720121 1055730913 /nfs/dbraw/zinc/73/09/13/1055730913.db2.gz HVJJTDFEBQAXHB-UHFFFAOYSA-N 0 3 320.502 4.036 20 0 DIADHN CC(C)c1ccc(CN2CCc3ccccc3CC2)c(Cl)n1 ZINC001238722847 1055735663 /nfs/dbraw/zinc/73/56/63/1055735663.db2.gz DFVCCOPCDVTTHX-UHFFFAOYSA-N 0 3 314.860 4.459 20 0 DIADHN CC(C)c1ccc(CN(C(C)C)C2CCOCC2)c(Cl)n1 ZINC001238730267 1055736648 /nfs/dbraw/zinc/73/66/48/1055736648.db2.gz BDXQPDCHEBCMDO-UHFFFAOYSA-N 0 3 310.869 4.248 20 0 DIADHN COc1c(CN2CCC[C@H]3C[C@H]32)cccc1OCc1ccccc1 ZINC001238750962 1055738931 /nfs/dbraw/zinc/73/89/31/1055738931.db2.gz ZSIWDJTYJNZVKA-PKOBYXMFSA-N 0 3 323.436 4.259 20 0 DIADHN Cc1cc(CN2CC[C@@H]3CCCO[C@@H]3C2)cc(C)c1OC(C)C ZINC001238753636 1055743087 /nfs/dbraw/zinc/74/30/87/1055743087.db2.gz ONXLJWFUBBPIJN-RBUKOAKNSA-N 0 3 317.473 4.092 20 0 DIADHN CC[C@@H](C(=O)N1CC(C)(C)c2c1cccc2Cl)N(CC)CC ZINC001566138989 1055743486 /nfs/dbraw/zinc/74/34/86/1055743486.db2.gz YTUJIOILHOJGOC-AWEZNQCLSA-N 0 3 322.880 4.085 20 0 DIADHN C[C@@H]1CCCCN(Cc2cccnc2OCc2ccccc2)C1 ZINC001238770774 1055747015 /nfs/dbraw/zinc/74/70/15/1055747015.db2.gz RGRUUENNUPUQLO-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ncccc2CN2CCC[C@@H]3CCC[C@@H]32)cc1 ZINC001238776226 1055747308 /nfs/dbraw/zinc/74/73/08/1055747308.db2.gz FGWKRXHPZWZSGQ-ICSRJNTNSA-N 0 3 322.452 4.425 20 0 DIADHN C[C@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)CS1 ZINC001620970594 1055750882 /nfs/dbraw/zinc/75/08/82/1055750882.db2.gz OYYYUPQBANUQCW-KWQFWETISA-N 0 3 309.784 4.342 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CCC2(CCCOC2)CC1 ZINC001238789000 1055752710 /nfs/dbraw/zinc/75/27/10/1055752710.db2.gz RXCNSWGSNPHPDO-UHFFFAOYSA-N 0 3 311.828 4.180 20 0 DIADHN CC(C)N1CCN(c2ccc(-c3ccc(C4CC4)cc3)cn2)CC1 ZINC001238834339 1055758562 /nfs/dbraw/zinc/75/85/62/1055758562.db2.gz RXFPOZCJFIORQS-UHFFFAOYSA-N 0 3 321.468 4.156 20 0 DIADHN CN(CC(=O)N1CCC[C@H]1CCC1CCCCC1)CC(C)(C)C ZINC001566404162 1055770823 /nfs/dbraw/zinc/77/08/23/1055770823.db2.gz VOXJHNKHSWAZEX-SFHVURJKSA-N 0 3 322.537 4.316 20 0 DIADHN C/C(=C\c1ccc(C)cc1)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001566424454 1055773820 /nfs/dbraw/zinc/77/38/20/1055773820.db2.gz SQWFPVTVBQXICU-SFQUDFHCSA-N 0 3 322.452 4.407 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001597066235 1055782550 /nfs/dbraw/zinc/78/25/50/1055782550.db2.gz UWBAZYSIUZXTRN-HXUWFJFHSA-N 0 3 322.537 4.460 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1ccc(OC)c(OC)c1 ZINC000057600513 1055785284 /nfs/dbraw/zinc/78/52/84/1055785284.db2.gz YPYKXHUBSIWRIV-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CCn1c2ccccc2c2cc(-c3cccc(CN)n3)ccc21 ZINC001238919994 1055789055 /nfs/dbraw/zinc/78/90/55/1055789055.db2.gz PZBCLZQNGYCUTM-UHFFFAOYSA-N 0 3 301.393 4.335 20 0 DIADHN COc1ccccc1C[C@H]1CCCN1Cc1cccc(F)c1F ZINC000058525815 1055804624 /nfs/dbraw/zinc/80/46/24/1055804624.db2.gz GLGQYSVBIKTFDZ-MRXNPFEDSA-N 0 3 317.379 4.181 20 0 DIADHN Cn1ncc(Cl)c1CN[C@H]1CC[C@H](c2cccc(Cl)c2)C1 ZINC001620974288 1055811456 /nfs/dbraw/zinc/81/14/56/1055811456.db2.gz IEORFKCBBMADHY-JSGCOSHPSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1cc(NC(=O)[C@H](C)N2CCCCCC2)ccc1C1CC1 ZINC001567795811 1055819728 /nfs/dbraw/zinc/81/97/28/1055819728.db2.gz VWWYNXMKBIABPE-HNNXBMFYSA-N 0 3 300.446 4.075 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C3CCCCC3)o2)cc1 ZINC000060105683 1055829641 /nfs/dbraw/zinc/82/96/41/1055829641.db2.gz ACQROKUVBUGMJL-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN CCCN(CCC)Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000060339650 1055833241 /nfs/dbraw/zinc/83/32/41/1055833241.db2.gz UMURXTIQHIKIND-UHFFFAOYSA-N 0 3 300.233 4.263 20 0 DIADHN CCCCC1CCC(CNC(=O)[C@@H]2CCCCN2CCC)CC1 ZINC001597486059 1055837637 /nfs/dbraw/zinc/83/76/37/1055837637.db2.gz REAJZEOERRTFEV-ACBHZAAOSA-N 0 3 322.537 4.364 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)c1cccc(CN2CCCC2)c1 ZINC000060552778 1055842172 /nfs/dbraw/zinc/84/21/72/1055842172.db2.gz KNAHSOLWIUVSBF-QGZVFWFLSA-N 0 3 322.452 4.116 20 0 DIADHN O=C(Nc1cccc2c1CNC2)Nc1cccc(Cl)c1Cl ZINC001239191322 1055845942 /nfs/dbraw/zinc/84/59/42/1055845942.db2.gz IDGGPRYKFJZAMX-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1nc(Cc2ccccc2)no1 ZINC000060877156 1055853010 /nfs/dbraw/zinc/85/30/10/1055853010.db2.gz GCPSJNSNKRRNRP-INIZCTEOSA-N 0 3 313.445 4.061 20 0 DIADHN CCCC[C@@H](C(=O)N(CCN(C)C)CC1CCCCC1)C(C)C ZINC001597613876 1055853535 /nfs/dbraw/zinc/85/35/35/1055853535.db2.gz PCMZGQBDXAIHQB-LJQANCHMSA-N 0 3 324.553 4.419 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCc2cc(OC)c(OC)cc2C1 ZINC000060876114 1055853901 /nfs/dbraw/zinc/85/39/01/1055853901.db2.gz BFMOSPJMVBDHEU-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@H]1CC(C)(C)C ZINC000060877293 1055854481 /nfs/dbraw/zinc/85/44/81/1055854481.db2.gz SAQXQTOZQVUUPU-KRWDZBQOSA-N 0 3 316.489 4.397 20 0 DIADHN C[C@@H]1COCC[C@H]1CNCc1c(Cl)ccc(Cl)c1Cl ZINC000671727108 1055886381 /nfs/dbraw/zinc/88/63/81/1055886381.db2.gz GCAUTOXWUZIBLA-ZJUUUORDSA-N 0 3 322.663 4.409 20 0 DIADHN CC(C)[C@@H](NCc1cnn(-c2ccccc2)c1)c1ccc(F)cc1 ZINC000061558127 1055868910 /nfs/dbraw/zinc/86/89/10/1055868910.db2.gz OOTNSKSDBAEGFY-HXUWFJFHSA-N 0 3 323.415 4.498 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C=C(C)c1ccccc1 ZINC001569753012 1055872104 /nfs/dbraw/zinc/87/21/04/1055872104.db2.gz IOKWZVHOJBVNJB-FYWRMAATSA-N 0 3 323.440 4.146 20 0 DIADHN CC(C)Oc1ncc(Cl)cc1-c1cnccc1/C=C/N(C)C ZINC001239332312 1055874041 /nfs/dbraw/zinc/87/40/41/1055874041.db2.gz LOXMRWPPWNEOMS-SOFGYWHQSA-N 0 3 317.820 4.117 20 0 DIADHN CCCN(C)[C@H](CNC(=O)CC(C)(C)C)c1ccc(Cl)cc1 ZINC001539701174 1055885786 /nfs/dbraw/zinc/88/57/86/1055885786.db2.gz KVPRFNCYYSSEJJ-MRXNPFEDSA-N 0 3 324.896 4.275 20 0 DIADHN CCCN(C(=O)CN(C)CC(C)(C)C)[C@H](CC)c1ccccc1 ZINC001597872724 1055887944 /nfs/dbraw/zinc/88/79/44/1055887944.db2.gz ACCWDADXRQKGQH-GOSISDBHSA-N 0 3 318.505 4.354 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@H](C)CC1)c1cccc2ccccc21 ZINC000063308706 1055887989 /nfs/dbraw/zinc/88/79/89/1055887989.db2.gz HCYKFBBMRGLKNT-DLBZAZTESA-N 0 3 324.468 4.139 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)C[C@@H](C)CC(C)(C)C ZINC001570178493 1055888592 /nfs/dbraw/zinc/88/85/92/1055888592.db2.gz NFXSKXWDYKNHBK-CQSZACIVSA-N 0 3 320.477 4.158 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC[C@@H](C)CC2)c(C)c1 ZINC000063309220 1055888585 /nfs/dbraw/zinc/88/85/85/1055888585.db2.gz PMUWWBYTUBWMPO-CXAGYDPISA-N 0 3 302.462 4.061 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc4ccccc43)c2)CC1 ZINC001239470217 1055895072 /nfs/dbraw/zinc/89/50/72/1055895072.db2.gz HKKPWJFUVSLMCQ-UHFFFAOYSA-N 0 3 318.420 4.375 20 0 DIADHN Cc1cc2cc(CNCc3c(C)nc4sc(C)cn34)ccc2[nH]1 ZINC000671731083 1055898375 /nfs/dbraw/zinc/89/83/75/1055898375.db2.gz OYFVQBRRCPPCDZ-UHFFFAOYSA-N 0 3 324.453 4.092 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)Nc1cccc(CN(C)C)c1 ZINC001539802575 1055906745 /nfs/dbraw/zinc/90/67/45/1055906745.db2.gz BNWVUDRNXHXWNR-INIZCTEOSA-N 0 3 302.462 4.459 20 0 DIADHN C[C@@H](CC1CCOCC1)NC1(c2c(F)cccc2F)CCC1 ZINC000624344258 1055909390 /nfs/dbraw/zinc/90/93/90/1055909390.db2.gz HVZYBVJBFHGCMJ-ZDUSSCGKSA-N 0 3 309.400 4.139 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N(C)CC1CCCC1 ZINC000064020549 1055909624 /nfs/dbraw/zinc/90/96/24/1055909624.db2.gz WPZMHMKDHLRBMG-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CCCCCN(C(=O)c1cccc(CN2CCCC2)c1)C(C)C ZINC000064027295 1055909703 /nfs/dbraw/zinc/90/97/03/1055909703.db2.gz AKTFZIWQKMRZJM-UHFFFAOYSA-N 0 3 316.489 4.323 20 0 DIADHN FCC1(CF)CC(NC2(c3c(F)cccc3F)CCC2)C1 ZINC000624345290 1055911778 /nfs/dbraw/zinc/91/17/78/1055911778.db2.gz BSVWYDAORPMHJN-UHFFFAOYSA-N 0 3 301.327 4.021 20 0 DIADHN Clc1ccc(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)c(Cl)c1 ZINC001203553532 1055920765 /nfs/dbraw/zinc/92/07/65/1055920765.db2.gz HIJSEEWVMQTEKZ-RIEGTJTDSA-N 0 3 300.229 4.041 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H](C)COc1ccccc1 ZINC001620751033 1055923551 /nfs/dbraw/zinc/92/35/51/1055923551.db2.gz WZEIKNIJECZFOJ-CQSZACIVSA-N 0 3 319.832 4.296 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1 ZINC001620750886 1055924375 /nfs/dbraw/zinc/92/43/75/1055924375.db2.gz RNYSKZPDUNVBRE-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671739275 1055925387 /nfs/dbraw/zinc/92/53/87/1055925387.db2.gz FQJZFMQWQJNMEY-WDEREUQCSA-N 0 3 300.333 4.084 20 0 DIADHN Cc1coc(CNCCOc2ccccc2-c2ccccc2)c1 ZINC001620751755 1055925466 /nfs/dbraw/zinc/92/54/66/1055925466.db2.gz CCQUUGFQTVTVEB-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN Cc1ccc(-c2ncc(CNCCOC(C)(C)C)s2)cc1C ZINC001620753982 1055926289 /nfs/dbraw/zinc/92/62/89/1055926289.db2.gz VNIIVJZAHDNLEM-UHFFFAOYSA-N 0 3 318.486 4.332 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@@H](C)c1ccccn1 ZINC000671742296 1055931493 /nfs/dbraw/zinc/93/14/93/1055931493.db2.gz NIDOFLRRCVTSEY-MFHCWRBVSA-N 0 3 310.441 4.084 20 0 DIADHN COc1cncc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)c1C ZINC001620759227 1055931445 /nfs/dbraw/zinc/93/14/45/1055931445.db2.gz OFZLXZWKZYUCCB-SFHVURJKSA-N 0 3 316.420 4.415 20 0 DIADHN Brc1cncc([C@@H]2CCCN2CC2CCCC2)c1 ZINC001620601697 1055974243 /nfs/dbraw/zinc/97/42/43/1055974243.db2.gz IYSADIIEEAUHKI-HNNXBMFYSA-N 0 3 309.251 4.171 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742721 1055933438 /nfs/dbraw/zinc/93/34/38/1055933438.db2.gz DYFKQLGRWGLQMT-GHMZBOCLSA-N 0 3 302.399 4.315 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCCOc3ccccc32)c(F)c1 ZINC001620762642 1055933929 /nfs/dbraw/zinc/93/39/29/1055933929.db2.gz HECUQNHOXSFRJP-AJNOYIKESA-N 0 3 315.363 4.482 20 0 DIADHN Clc1ccc(C2(CNCc3nc4c(s3)CCC4)CC2)cc1 ZINC001620765768 1055937661 /nfs/dbraw/zinc/93/76/61/1055937661.db2.gz SVGOPLBUHISAAC-UHFFFAOYSA-N 0 3 318.873 4.107 20 0 DIADHN Cc1cc2cc(CNCCOC3CCCCC3)oc2cc1C ZINC001620775199 1055940990 /nfs/dbraw/zinc/94/09/90/1055940990.db2.gz QCDMJZDWEKOEPA-UHFFFAOYSA-N 0 3 301.430 4.489 20 0 DIADHN C[C@H](N[C@H]1CCCOc2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671745107 1055941525 /nfs/dbraw/zinc/94/15/25/1055941525.db2.gz LUZYTVHVIZGLGY-WFASDCNBSA-N 0 3 324.380 4.098 20 0 DIADHN CN(C)c1cccc(CNCc2ccccc2N2CCCCC2)c1 ZINC001620776057 1055942703 /nfs/dbraw/zinc/94/27/03/1055942703.db2.gz CWIRHSCZVLFDCD-UHFFFAOYSA-N 0 3 323.484 4.033 20 0 DIADHN Cc1nc(CNCCc2ccc(OCC(C)C)cc2)sc1C ZINC001620776808 1055945274 /nfs/dbraw/zinc/94/52/74/1055945274.db2.gz TZNJCRACHSPSAD-UHFFFAOYSA-N 0 3 318.486 4.127 20 0 DIADHN CCOc1cccc(CNCc2cc3c(s2)CCCC3)c1 ZINC001620778053 1055946427 /nfs/dbraw/zinc/94/64/27/1055946427.db2.gz YPFAPIWDRRMOFM-UHFFFAOYSA-N 0 3 301.455 4.315 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(OCC(C)C)c2)cc1 ZINC001620491277 1055956673 /nfs/dbraw/zinc/95/66/73/1055956673.db2.gz FSTBZBOZHKAPPR-UHFFFAOYSA-N 0 3 313.441 4.362 20 0 DIADHN C[C@H](CNCc1ccc(Cl)cc1Cl)C(=O)OC(C)(C)C ZINC001620986410 1055958899 /nfs/dbraw/zinc/95/88/99/1055958899.db2.gz NKEFENRVGHYRKR-SNVBAGLBSA-N 0 3 318.244 4.061 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(C(F)(F)F)s2)C1(C)C ZINC001621121402 1055959227 /nfs/dbraw/zinc/95/92/27/1055959227.db2.gz FJCQKVZJAPXGNS-RYUDHWBXSA-N 0 3 321.408 4.402 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2ccc(F)c(Cl)c2)o1 ZINC001620504235 1055959637 /nfs/dbraw/zinc/95/96/37/1055959637.db2.gz NCUFDYKPXVXIKP-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCCCC1CC1 ZINC001620987174 1055960782 /nfs/dbraw/zinc/96/07/82/1055960782.db2.gz LSAYKKBBMPMKQY-UHFFFAOYSA-N 0 3 304.178 4.007 20 0 DIADHN CCC[C@H](C)[C@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001620994047 1055963671 /nfs/dbraw/zinc/96/36/71/1055963671.db2.gz AWJVKAMHGZDZPC-HZMBPMFUSA-N 0 3 323.786 4.246 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H]1OCCc2ccccc21 ZINC001620789493 1055963966 /nfs/dbraw/zinc/96/39/66/1055963966.db2.gz YGFDWWIJJIJYLB-SFHVURJKSA-N 0 3 313.441 4.289 20 0 DIADHN Cc1cc(CNC2(C3CCC3)CC2)c(Br)cc1F ZINC001620992300 1055964079 /nfs/dbraw/zinc/96/40/79/1055964079.db2.gz DYNXQFPQGJYTFW-UHFFFAOYSA-N 0 3 312.226 4.319 20 0 DIADHN FC1CCN(CCOc2ccc(Oc3ccccc3)cc2)CC1 ZINC001620539161 1055964646 /nfs/dbraw/zinc/96/46/46/1055964646.db2.gz WYQQQWTZXPCEST-UHFFFAOYSA-N 0 3 315.388 4.292 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@@H](C)C(C)(C)C)cc1Cl ZINC001620563978 1055967917 /nfs/dbraw/zinc/96/79/17/1055967917.db2.gz YCOJSFDREBMECB-CYBMUJFWSA-N 0 3 310.869 4.201 20 0 DIADHN C[C@@H](CN(C)[C@@H]1CCN(c2ccc(Cl)cc2)C1=O)C(C)(C)C ZINC001620563924 1055968201 /nfs/dbraw/zinc/96/82/01/1055968201.db2.gz XDRNRMXKLZJTSC-XJKSGUPXSA-N 0 3 322.880 4.059 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001621001623 1055968977 /nfs/dbraw/zinc/96/89/77/1055968977.db2.gz UAWSGYVOTPWZQT-MRXNPFEDSA-N 0 3 307.796 4.296 20 0 DIADHN Fc1cccc(Cl)c1CCN1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC001620575405 1055969956 /nfs/dbraw/zinc/96/99/56/1055969956.db2.gz OYYRYJZGQCFBCV-BEFAXECRSA-N 0 3 315.819 4.295 20 0 DIADHN Cc1cc(C)cc(CNCc2cc(F)cc(Br)c2)c1 ZINC000065932658 1055970291 /nfs/dbraw/zinc/97/02/91/1055970291.db2.gz JXRFAOMZQGLYPJ-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1cc2cccc(C)c2nc1Cl ZINC001620793086 1055972106 /nfs/dbraw/zinc/97/21/06/1055972106.db2.gz MBCWDQLCNNNSLG-SCLBCKFNSA-N 0 3 320.864 4.083 20 0 DIADHN C[C@@H](CNCc1ccc(Oc2ccccc2)o1)c1ccccn1 ZINC001621004488 1055973305 /nfs/dbraw/zinc/97/33/05/1055973305.db2.gz ZAXFRRBFZYPNFV-HNNXBMFYSA-N 0 3 308.381 4.360 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)c1F ZINC001621006954 1055974632 /nfs/dbraw/zinc/97/46/32/1055974632.db2.gz ZZPSANDHWXCCFT-HZPDHXFCSA-N 0 3 321.436 4.050 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1COc2cc(F)ccc2C1 ZINC001621011304 1055976891 /nfs/dbraw/zinc/97/68/91/1055976891.db2.gz AVDVDQJKQNRRQB-OAHLLOKOSA-N 0 3 319.807 4.135 20 0 DIADHN c1ccc2c(c1)cccc2CCCN1CCCC[C@@]12CCOC2 ZINC001620677955 1055978678 /nfs/dbraw/zinc/97/86/78/1055978678.db2.gz BDSKYMCVIRVZTC-NRFANRHFSA-N 0 3 309.453 4.417 20 0 DIADHN Fc1ccc2c(c1)C[C@@H]1[C@@H](NCc3cccc(Cl)c3F)[C@H]21 ZINC001621013424 1055979975 /nfs/dbraw/zinc/97/99/75/1055979975.db2.gz CODULILZWQAOPM-YSVLISHTSA-N 0 3 305.755 4.046 20 0 DIADHN Cc1cc(CNCc2ccc(OCC(F)F)cc2)ccc1F ZINC001620705234 1055983390 /nfs/dbraw/zinc/98/33/90/1055983390.db2.gz YKRDLUTVOQEBLX-UHFFFAOYSA-N 0 3 309.331 4.068 20 0 DIADHN Cc1ccc2ncc(CN[C@@]3(c4ccccc4)CC3(C)C)n2c1 ZINC001621018766 1055985438 /nfs/dbraw/zinc/98/54/38/1055985438.db2.gz KZUPTPNNICZOAD-HXUWFJFHSA-N 0 3 305.425 4.058 20 0 DIADHN Cc1cc(C)cc(CCNCc2ccsc2Br)c1 ZINC001620803710 1055998874 /nfs/dbraw/zinc/99/88/74/1055998874.db2.gz CHJYBYUHJBZKHU-UHFFFAOYSA-N 0 3 324.287 4.460 20 0 DIADHN CN(Cc1ccc2ccccc2c1)C(=O)C(C)(C)N1CCCCC1 ZINC001540253101 1056001734 /nfs/dbraw/zinc/00/17/34/1056001734.db2.gz HQVSJLXWVCMOPL-UHFFFAOYSA-N 0 3 324.468 4.063 20 0 DIADHN CC(C)CCCCNCc1nc(C(F)(F)F)n2c1CCCC2 ZINC001620808108 1056002325 /nfs/dbraw/zinc/00/23/25/1056002325.db2.gz BWTSPVNIXJDKQM-UHFFFAOYSA-N 0 3 317.399 4.154 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1COC2(CCC2)C1 ZINC001621046434 1056005885 /nfs/dbraw/zinc/00/58/85/1056005885.db2.gz GJKLYQFADFKDHP-LJQANCHMSA-N 0 3 323.436 4.163 20 0 DIADHN Cc1cc(CNCc2cc(N(C)C)nc3ccccc23)ccc1F ZINC001620813822 1056006557 /nfs/dbraw/zinc/00/65/57/1056006557.db2.gz VQDXCHVNTHXSKL-UHFFFAOYSA-N 0 3 323.415 4.038 20 0 DIADHN Cc1c(Cl)ccc(CNCCc2ccns2)c1Cl ZINC001621047870 1056008378 /nfs/dbraw/zinc/00/83/78/1056008378.db2.gz HWVHAIMHYDPBCB-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cc1nc(CNC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)cs1 ZINC001620816107 1056008614 /nfs/dbraw/zinc/00/86/14/1056008614.db2.gz RVBVMAJLDPGZMH-FUHWJXTLSA-N 0 3 316.470 4.017 20 0 DIADHN CCCCOc1ccc(CNC2(C)CC(F)(F)C2)cc1OC ZINC001621054554 1056013038 /nfs/dbraw/zinc/01/30/38/1056013038.db2.gz NDCJDDGBXCRDAN-UHFFFAOYSA-N 0 3 313.388 4.152 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cc(C(F)(F)F)cc(Cl)n2)CS1 ZINC001621056344 1056014356 /nfs/dbraw/zinc/01/43/56/1056014356.db2.gz VTKUFEKBQRVHFG-PSASIEDQSA-N 0 3 324.799 4.128 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1ccnn1CC1CC1 ZINC001621061257 1056017688 /nfs/dbraw/zinc/01/76/88/1056017688.db2.gz GEDDWESOMYXWSW-UHFFFAOYSA-N 0 3 319.452 4.299 20 0 DIADHN COc1cccc(OC)c1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001239672680 1056018227 /nfs/dbraw/zinc/01/82/27/1056018227.db2.gz LHIMGYFLVAMDAF-UHFFFAOYSA-N 0 3 309.409 4.372 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N(C)c1ccc(C(C)(C)C)cc1 ZINC001583099911 1056020208 /nfs/dbraw/zinc/02/02/08/1056020208.db2.gz BWWUMDZVRCUDDF-GOSISDBHSA-N 0 3 316.489 4.211 20 0 DIADHN C[C@@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCCC(C)(C)C ZINC001168603446 1056020926 /nfs/dbraw/zinc/02/09/26/1056020926.db2.gz FUQQAEFFYBDTHQ-CABCVRRESA-N 0 3 312.498 4.142 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3ccc4[nH]c(=O)oc4c3)ccc2[nH]1 ZINC000671770988 1056023292 /nfs/dbraw/zinc/02/32/92/1056023292.db2.gz OSTRJBPKUHULNE-GFCCVEGCSA-N 0 3 321.380 4.174 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3ccc4[nH]c(=O)oc4c3)ccc2[nH]1 ZINC000671770989 1056023735 /nfs/dbraw/zinc/02/37/35/1056023735.db2.gz OSTRJBPKUHULNE-LBPRGKRZSA-N 0 3 321.380 4.174 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001583140095 1056028048 /nfs/dbraw/zinc/02/80/48/1056028048.db2.gz TXFIANNXJOBWJY-GOSISDBHSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cc(C)cc(C[C@@H](C)NCc2ccn(-c3ccccc3)n2)c1 ZINC001620816917 1056035461 /nfs/dbraw/zinc/03/54/61/1056035461.db2.gz AEFQDEKODDRVIT-GOSISDBHSA-N 0 3 319.452 4.210 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cc(Cl)cc3c2OCC3)[C@H]1C(C)C ZINC001621334805 1056037334 /nfs/dbraw/zinc/03/73/34/1056037334.db2.gz XFNUCWQHAPEDQH-IRXDYDNUSA-N 0 3 307.865 4.387 20 0 DIADHN CCN(CC)Cc1cc(-c2cc(F)ccc2OC)ccc1F ZINC001239724706 1056042902 /nfs/dbraw/zinc/04/29/02/1056042902.db2.gz XIOVXSQTZUVCNL-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1nc(-c2cccs2)oc1C ZINC001620817270 1056047359 /nfs/dbraw/zinc/04/73/59/1056047359.db2.gz PKXGIIGEPSQMRY-LLVKDONJSA-N 0 3 308.447 4.005 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@H]2CCC[C@@H]2c2ccccc2)c1 ZINC001540627556 1056053986 /nfs/dbraw/zinc/05/39/86/1056053986.db2.gz GVZRPJCAVVHLRX-UXHICEINSA-N 0 3 322.452 4.271 20 0 DIADHN CCCCCCC(=O)N1c2ccc(C)cc2[C@H]2CN(C)CC[C@@H]21 ZINC000197068401 1056065693 /nfs/dbraw/zinc/06/56/93/1056065693.db2.gz KUEWTMVQWCTPPH-MJGOQNOKSA-N 0 3 314.473 4.100 20 0 DIADHN CCC(C)(C)C1CCC(NC(=O)[C@H](C)N2CCCCCC2)CC1 ZINC001540731265 1056069129 /nfs/dbraw/zinc/06/91/29/1056069129.db2.gz DYFSNOHTIPIVCY-AOCRQIFASA-N 0 3 322.537 4.362 20 0 DIADHN CC[C@@H]1CCC[C@@H]1C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001540779129 1056076109 /nfs/dbraw/zinc/07/61/09/1056076109.db2.gz GKYVNGJJUGRNCR-PVAVHDDUSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc(C(=O)N(C)C)cc3)cc21 ZINC001239802080 1056079468 /nfs/dbraw/zinc/07/94/68/1056079468.db2.gz KWZGJYONAJAQPI-UHFFFAOYSA-N 0 3 320.436 4.057 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1F)[C@@H](O)c1c(F)cccc1F ZINC001620818304 1056085545 /nfs/dbraw/zinc/08/55/45/1056085545.db2.gz SCRFRRYOFCZLHO-FUHWJXTLSA-N 0 3 323.358 4.014 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCCC3(CC3)CC2)c(C)c1 ZINC000626113097 1056095598 /nfs/dbraw/zinc/09/55/98/1056095598.db2.gz FDDNGOMIGQXBDF-KRWDZBQOSA-N 0 3 314.473 4.205 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2csc(C)c2C)c1 ZINC000399943656 1056121359 /nfs/dbraw/zinc/12/13/59/1056121359.db2.gz VJSKFDGQAPWJNQ-LBPRGKRZSA-N 0 3 302.443 4.174 20 0 DIADHN CCC(CC)CC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001542487463 1056127102 /nfs/dbraw/zinc/12/71/02/1056127102.db2.gz OYVLVYDDEYQQQA-LJQANCHMSA-N 0 3 316.489 4.074 20 0 DIADHN Cn1cncc1CNCc1ccc(-c2cccc(Cl)c2)s1 ZINC000352473002 1056127446 /nfs/dbraw/zinc/12/74/46/1056127446.db2.gz NEQDPGJZVABBEQ-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN Cn1cncc1CNCc1ccc(-c2ccc(Cl)cc2)s1 ZINC000352473879 1056128068 /nfs/dbraw/zinc/12/80/68/1056128068.db2.gz YYUHJIYAKAEXJO-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N(CCN(C)C)C1CCCCC1 ZINC001542881706 1056162815 /nfs/dbraw/zinc/16/28/15/1056162815.db2.gz SHKWHXXVMVKOSI-QGZVFWFLSA-N 0 3 322.537 4.316 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(COC)c2)ccc1F ZINC001239938132 1056171828 /nfs/dbraw/zinc/17/18/28/1056171828.db2.gz ZXRZNKSEEFSWLB-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccccc2COC)c1F ZINC001239955922 1056192494 /nfs/dbraw/zinc/19/24/94/1056192494.db2.gz ZRZMOOAFMLNHIU-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001168624021 1056219265 /nfs/dbraw/zinc/21/92/65/1056219265.db2.gz ZTTPZOIONIOXRB-SWHYSGLUSA-N 0 3 323.305 4.115 20 0 DIADHN CCC1(CC)[C@H](N[C@@H]2CCCc3cn(C(C)C)nc32)C[C@@H]1OC ZINC000765821401 1056223213 /nfs/dbraw/zinc/22/32/13/1056223213.db2.gz OSRSVMZEAJHUJN-ZACQAIPSSA-N 0 3 319.493 4.025 20 0 DIADHN CC(=O)n1ncc2ccc(Nc3ccnc(C4CCC4)c3)cc21 ZINC001212417484 1056224630 /nfs/dbraw/zinc/22/46/30/1056224630.db2.gz VFMLKQFPYIMASJ-UHFFFAOYSA-N 0 3 306.369 4.103 20 0 DIADHN CC(C)(C)NC(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001239993967 1056233280 /nfs/dbraw/zinc/23/32/80/1056233280.db2.gz DIZYGALYPWNSPM-UHFFFAOYSA-N 0 3 320.436 4.465 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccn1)c1nc(-c2ccccc2)cs1 ZINC000655836325 1056239954 /nfs/dbraw/zinc/23/99/54/1056239954.db2.gz IDNRSAJBXWXQPH-LSDHHAIUSA-N 0 3 323.465 4.487 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000655836322 1056240686 /nfs/dbraw/zinc/24/06/86/1056240686.db2.gz IDNRSAJBXWXQPH-CABCVRRESA-N 0 3 323.465 4.487 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCc3c(C)ccc(C)c32)ccn1 ZINC000347636342 1056254591 /nfs/dbraw/zinc/25/45/91/1056254591.db2.gz ZKTDMGIUYSJJDD-QAPCUYQASA-N 0 3 310.441 4.435 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(F)c1 ZINC001240025031 1056260821 /nfs/dbraw/zinc/26/08/21/1056260821.db2.gz TXHJUGMRNSNDDZ-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN Fc1ccc([C@@H](NCc2cnn(C3CCC3)c2)C2CCC2)cc1 ZINC000921684801 1056265066 /nfs/dbraw/zinc/26/50/66/1056265066.db2.gz UDBJYTXFSFGEAK-IBGZPJMESA-N 0 3 313.420 4.378 20 0 DIADHN COc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1Cl ZINC001240030405 1056266950 /nfs/dbraw/zinc/26/69/50/1056266950.db2.gz OGAARECAADEGFY-UHFFFAOYSA-N 0 3 302.805 4.005 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)C(=O)N[C@H](C)c2ccccc2)cc1 ZINC001168633977 1056267182 /nfs/dbraw/zinc/26/71/82/1056267182.db2.gz XUCZGHXGEPOXGI-BRWVUGGUSA-N 0 3 324.468 4.166 20 0 DIADHN C[C@H](C(=O)NC[C@H]1CCCC[C@@H]1C(C)(C)C)N1CCCCCC1 ZINC001584125172 1056268034 /nfs/dbraw/zinc/26/80/34/1056268034.db2.gz RMGCIUGVPYGTJG-KURKYZTESA-N 0 3 322.537 4.220 20 0 DIADHN CCN(CC)C(=O)c1cccc(-c2cccc(C3=NCCC3)c2)c1 ZINC001240054099 1056287903 /nfs/dbraw/zinc/28/79/03/1056287903.db2.gz PBXZIGLBZHDQAJ-UHFFFAOYSA-N 0 3 320.436 4.419 20 0 DIADHN O=C(c1cccc(-c2cccc(C3=NCCC3)c2)c1)N1CCCC1 ZINC001240060990 1056297285 /nfs/dbraw/zinc/29/72/85/1056297285.db2.gz ILWZMZWVUBBYFJ-UHFFFAOYSA-N 0 3 318.420 4.173 20 0 DIADHN CCC(CC)[C@H](NCc1n[nH]c(C2CC2)n1)c1cccs1 ZINC000347658848 1056299094 /nfs/dbraw/zinc/29/90/94/1056299094.db2.gz WSAGQWGEGUMQKK-HNNXBMFYSA-N 0 3 304.463 4.011 20 0 DIADHN CCc1ccc(N(CC(C)C)C(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001543852210 1056312179 /nfs/dbraw/zinc/31/21/79/1056312179.db2.gz FMRLHNYSFNUXDP-IBGZPJMESA-N 0 3 316.489 4.111 20 0 DIADHN Fc1ccc(C2(NC[C@@H]3CCC4(CCC4)CO3)CCC2)c(F)c1 ZINC001168641846 1056318078 /nfs/dbraw/zinc/31/80/78/1056318078.db2.gz BYMSAQTXPODQQN-HNNXBMFYSA-N 0 3 321.411 4.283 20 0 DIADHN Cc1cc(NC(=O)[C@@H]2CCCN2C(C)C)ccc1-c1ccccc1 ZINC001584331901 1056317861 /nfs/dbraw/zinc/31/78/61/1056317861.db2.gz XLPAJSYFDREICD-FQEVSTJZSA-N 0 3 322.452 4.473 20 0 DIADHN CCCC[C@H](CC)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001543933599 1056329267 /nfs/dbraw/zinc/32/92/67/1056329267.db2.gz HLVKFPPOEGZYJX-SFHVURJKSA-N 0 3 310.526 4.173 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)NC[C@@H]2N(C)CCCC2(C)C)cc1 ZINC001543944382 1056331258 /nfs/dbraw/zinc/33/12/58/1056331258.db2.gz HWNJQPIUVIKUJB-YJBOKZPZSA-N 0 3 316.489 4.050 20 0 DIADHN CN(Cc1nc2ccccc2s1)C[C@H]1C[C@@H]2[C@H](C1)C2(F)F ZINC000929234164 1056333342 /nfs/dbraw/zinc/33/33/42/1056333342.db2.gz YWMLOWYNUHNLFA-ZSBIGDGJSA-N 0 3 308.397 4.019 20 0 DIADHN CCCn1cc(-c2ccc(F)c(CN3CCCCC3)c2)cn1 ZINC001240133081 1056346917 /nfs/dbraw/zinc/34/69/17/1056346917.db2.gz ARERHWOYJCETGN-UHFFFAOYSA-N 0 3 301.409 4.085 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](O)c2ccc3ccccc3c2)o1 ZINC000765880080 1056351368 /nfs/dbraw/zinc/35/13/68/1056351368.db2.gz KLKNSMLSMXNYCK-AUUYWEPGSA-N 0 3 309.409 4.379 20 0 DIADHN CC[C@H](C(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1)N(C)C ZINC001544088357 1056358263 /nfs/dbraw/zinc/35/82/63/1056358263.db2.gz XPYVSYMINLRTOW-FOIQADDNSA-N 0 3 324.468 4.232 20 0 DIADHN COCc1cc(CNCc2ccc(CSC)cc2)ccc1F ZINC000921710135 1056364999 /nfs/dbraw/zinc/36/49/99/1056364999.db2.gz JOOHJOCPIWOQDV-UHFFFAOYSA-N 0 3 319.445 4.125 20 0 DIADHN Fc1cc(-c2ccc3nccn3c2)ccc1CN1CCCCC1 ZINC001240221057 1056377752 /nfs/dbraw/zinc/37/77/52/1056377752.db2.gz FIMRVCPKYQEJER-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN CCOc1ccc(CNCc2cnc(-c3ccccc3)s2)cc1 ZINC001620824998 1056377949 /nfs/dbraw/zinc/37/79/49/1056377949.db2.gz XXZNCYSOVQCULL-UHFFFAOYSA-N 0 3 324.449 4.499 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@H]1CCc2nc(Cl)ccc21 ZINC000806133521 1056413073 /nfs/dbraw/zinc/41/30/73/1056413073.db2.gz APJIZUJLNXRWOV-GJZGRUSLSA-N 0 3 302.780 4.139 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3ncn(C)c3c2)c1F ZINC001240322089 1056428780 /nfs/dbraw/zinc/42/87/80/1056428780.db2.gz OGIRUYRFUWGARW-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cn1cnc2ccc(-c3ccc(F)c(CN4CCCCC4)c3)cc21 ZINC001240321935 1056429539 /nfs/dbraw/zinc/42/95/39/1056429539.db2.gz LCVNXIJPVBDJGY-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CCC(C)(C)C(=O)CS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001614552867 1056440115 /nfs/dbraw/zinc/44/01/15/1056440115.db2.gz IUSDHSWPTFMJOH-QGZVFWFLSA-N 0 3 319.514 4.390 20 0 DIADHN Cc1csc(CS[C@H]2CCCN(Cc3ccccc3)C2)n1 ZINC001614553190 1056440515 /nfs/dbraw/zinc/44/05/15/1056440515.db2.gz URHCOURMYRRMLN-INIZCTEOSA-N 0 3 318.511 4.349 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(N[C@@H]2CCCc3cccnc32)n1 ZINC001168656910 1056446734 /nfs/dbraw/zinc/44/67/34/1056446734.db2.gz WBUBTTWSVIXVHB-NVXWUHKLSA-N 0 3 322.456 4.345 20 0 DIADHN CN(C)CCN(C(=O)CCC1CCCCC1)C1CCCCC1 ZINC001614982672 1056460893 /nfs/dbraw/zinc/46/08/93/1056460893.db2.gz REJZFWFHXOPQLR-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cccc(N(C)C)c1)CCC2 ZINC000072631358 1056463816 /nfs/dbraw/zinc/46/38/16/1056463816.db2.gz VMFGIGQTXPZVDM-QGZVFWFLSA-N 0 3 315.486 4.027 20 0 DIADHN c1coc(-c2cnc(C3=CCCN(Cc4ccccc4)C3)cn2)c1 ZINC001240383507 1056464438 /nfs/dbraw/zinc/46/44/38/1056464438.db2.gz FNFFQGYREXQLGD-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)Cc1ccc2c(c1)OCCO2 ZINC000060464442 1056465079 /nfs/dbraw/zinc/46/50/79/1056465079.db2.gz AMNSSDFHSBTHOB-ZDUSSCGKSA-N 0 3 317.816 4.304 20 0 DIADHN FC(F)(F)c1cccc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240385516 1056466152 /nfs/dbraw/zinc/46/61/52/1056466152.db2.gz WVLYQKJZVHNIIS-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN CC(C)(C)c1nccc(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240384386 1056466401 /nfs/dbraw/zinc/46/64/01/1056466401.db2.gz LXANGZWPFIKQQO-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN Cc1csc2c1ncnc2C1=CCCN(Cc2ccccc2)C1 ZINC001240384802 1056466806 /nfs/dbraw/zinc/46/68/06/1056466806.db2.gz SGWQDIUOTDQBGJ-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CC1(C)CCCC[C@@H]1CN1CCc2c(cnn2-c2ccccc2)C1 ZINC001615095629 1056467688 /nfs/dbraw/zinc/46/76/88/1056467688.db2.gz JQLIMMZSUUSCBZ-GOSISDBHSA-N 0 3 323.484 4.447 20 0 DIADHN c1coc(-c2ccc(C3=CCCN(Cc4ccccc4)C3)nn2)c1 ZINC001240386662 1056469488 /nfs/dbraw/zinc/46/94/88/1056469488.db2.gz RCEHSNITGFVEDL-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN CC(C)CCN(CC(=O)N(C1CC1)C1CCC(C)CC1)C1CC1 ZINC001615151397 1056470191 /nfs/dbraw/zinc/47/01/91/1056470191.db2.gz YNXZKOCIGKAUOC-UHFFFAOYSA-N 0 3 320.521 4.067 20 0 DIADHN CN(C)Cc1cccc(C2=CCCN(Cc3ccccc3)C2)c1F ZINC001240388806 1056471332 /nfs/dbraw/zinc/47/13/32/1056471332.db2.gz MFPJMNKWLNZCOV-UHFFFAOYSA-N 0 3 324.443 4.177 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CCc2ccccc2)cc1 ZINC001615195638 1056474795 /nfs/dbraw/zinc/47/47/95/1056474795.db2.gz RKTIBXRIJQLAHP-FQEVSTJZSA-N 0 3 322.452 4.031 20 0 DIADHN Fc1ccc(-c2cnc3[nH]ccc3c2)cc1CN1CCCCC1 ZINC001240394634 1056477162 /nfs/dbraw/zinc/47/71/62/1056477162.db2.gz GGXCXKUUOQHCHH-UHFFFAOYSA-N 0 3 309.388 4.307 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@@H](c2cc[nH]n2)C1 ZINC001615214588 1056477937 /nfs/dbraw/zinc/47/79/37/1056477937.db2.gz GQTLJAVTDFXDMU-GFCCVEGCSA-N 0 3 324.255 4.139 20 0 DIADHN COC[C@H](Cc1ccccc1)NCc1cc(Cl)ccc1Cl ZINC001620827516 1056481493 /nfs/dbraw/zinc/48/14/93/1056481493.db2.gz RJRSEYFUGQDSMT-INIZCTEOSA-N 0 3 324.251 4.341 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCC2CCOCC2)cc1F ZINC000765922006 1056485383 /nfs/dbraw/zinc/48/53/83/1056485383.db2.gz VZQRTJQYDQUTEP-SFHVURJKSA-N 0 3 309.400 4.309 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(-c2ccccn2)nc1 ZINC001615290098 1056487433 /nfs/dbraw/zinc/48/74/33/1056487433.db2.gz ADOGYERHASVKKS-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN CC[C@@H](CNCc1cscc1Cl)Oc1cccc(F)c1 ZINC001620827743 1056488714 /nfs/dbraw/zinc/48/87/14/1056488714.db2.gz YKDWZXKAXFQCFK-ZDUSSCGKSA-N 0 3 313.825 4.488 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)NC(C)(C)CC1CCCCCC1 ZINC001128150519 1056498311 /nfs/dbraw/zinc/49/83/11/1056498311.db2.gz LCYQERBWMUOGBN-KRWDZBQOSA-N 0 3 308.510 4.115 20 0 DIADHN CC(C)C[C@H]1CCCN1CC(=O)Nc1ccc2ccccc2c1 ZINC001615397637 1056504447 /nfs/dbraw/zinc/50/44/47/1056504447.db2.gz HXHOBHKZVOSGKJ-LJQANCHMSA-N 0 3 310.441 4.289 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1cnn(C2CCC2)c1 ZINC000921817905 1056515010 /nfs/dbraw/zinc/51/50/10/1056515010.db2.gz QNWATKJBFJXSSM-BFUOFWGJSA-N 0 3 313.420 4.160 20 0 DIADHN CC[C@](O)(CN(Cc1ccccc1)CC(C)(C)C)C(F)(F)F ZINC001615470026 1056515642 /nfs/dbraw/zinc/51/56/42/1056515642.db2.gz SMUJQUFBQIEETE-INIZCTEOSA-N 0 3 317.395 4.238 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1cc(C)cc(C)c1)C1CC1 ZINC001615468751 1056516405 /nfs/dbraw/zinc/51/64/05/1056516405.db2.gz BQIXMWKPLXXUNL-JKSUJKDBSA-N 0 3 302.462 4.141 20 0 DIADHN CC(C)(C)CN(Cc1ccccc1)CC1(Br)CC1 ZINC001615469627 1056517408 /nfs/dbraw/zinc/51/74/08/1056517408.db2.gz OTAFXAKJGDPAQP-UHFFFAOYSA-N 0 3 310.279 4.462 20 0 DIADHN CN(CCc1ccc(Br)cc1)Cc1ccccc1F ZINC001615474765 1056518235 /nfs/dbraw/zinc/51/82/35/1056518235.db2.gz WARPUNUDSAHTHN-UHFFFAOYSA-N 0 3 322.221 4.263 20 0 DIADHN COC(=O)c1cc(CN(C)[C@@H](c2ccccc2)C(C)C)cs1 ZINC001615485211 1056519006 /nfs/dbraw/zinc/51/90/06/1056519006.db2.gz OBJOZSZMZBQMGP-QGZVFWFLSA-N 0 3 317.454 4.364 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnn(CCF)c1)C(C)(C)C ZINC000921823223 1056520982 /nfs/dbraw/zinc/52/09/82/1056520982.db2.gz SYNHTVPWOHCYEH-QGZVFWFLSA-N 0 3 303.425 4.038 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCN(CC2CC(C)C2)CC1 ZINC001615510991 1056525764 /nfs/dbraw/zinc/52/57/64/1056525764.db2.gz ZYWMFLLTCHNXCD-JFIBYHEFSA-N 0 3 322.443 4.080 20 0 DIADHN Cc1cn[nH]c1CN1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC001615520063 1056526371 /nfs/dbraw/zinc/52/63/71/1056526371.db2.gz QFCASCILYOOBNE-QGZVFWFLSA-N 0 3 303.837 4.489 20 0 DIADHN C[C@@H]1CN([C@@H]2C=CCCCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001615524536 1056527388 /nfs/dbraw/zinc/52/73/88/1056527388.db2.gz UGHCLZTWRZPRMD-IXDOHACOSA-N 0 3 322.493 4.205 20 0 DIADHN c1ccc([C@@H]2CSCCN2C[C@@H]2CCC3(CCC3)CO2)cc1 ZINC001615535280 1056530003 /nfs/dbraw/zinc/53/00/03/1056530003.db2.gz CFGJOENGUDOFFD-ROUUACIJSA-N 0 3 317.498 4.126 20 0 DIADHN c1ccc([C@@H]2CSCCN2C[C@H]2CCC3(CCC3)CO2)cc1 ZINC001615535278 1056530435 /nfs/dbraw/zinc/53/04/35/1056530435.db2.gz CFGJOENGUDOFFD-MSOLQXFVSA-N 0 3 317.498 4.126 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@@H](C(C)C)CC2)c1 ZINC001615539138 1056530640 /nfs/dbraw/zinc/53/06/40/1056530640.db2.gz AZVMBPASMGILAR-CQSZACIVSA-N 0 3 323.864 4.385 20 0 DIADHN Cc1ccc(CN2CCN(Cc3ccccc3)C[C@H]2C)cc1C ZINC001615543967 1056531956 /nfs/dbraw/zinc/53/19/56/1056531956.db2.gz QRRBJIOUWNFVLT-LJQANCHMSA-N 0 3 308.469 4.010 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cc(F)cc(F)c1 ZINC001615559485 1056534824 /nfs/dbraw/zinc/53/48/24/1056534824.db2.gz QCCPDINMBPFXCG-DOMZBBRYSA-N 0 3 305.343 4.482 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC001615562442 1056536855 /nfs/dbraw/zinc/53/68/55/1056536855.db2.gz HXBZTSZEYJYIEP-YCPHGPKFSA-N 0 3 315.436 4.348 20 0 DIADHN O=C(CN1CCC[C@H]2CCC[C@@H]21)Nc1cccc2ccccc21 ZINC001615562525 1056537259 /nfs/dbraw/zinc/53/72/59/1056537259.db2.gz JUEHACXETRSUOX-APWZRJJASA-N 0 3 308.425 4.043 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001545004000 1056544321 /nfs/dbraw/zinc/54/43/21/1056544321.db2.gz RRKNDDMUOPFUMR-INIZCTEOSA-N 0 3 310.526 4.029 20 0 DIADHN CCCCN(Cc1ccc(Cl)nn1)[C@@H](C)c1ccccc1 ZINC001615629123 1056547950 /nfs/dbraw/zinc/54/79/50/1056547950.db2.gz DDDVCYUVPMMPJZ-AWEZNQCLSA-N 0 3 303.837 4.493 20 0 DIADHN C[C@H]1CCN(Cc2cccc3c2CCOC3)[C@H](c2ccco2)C1 ZINC001615650430 1056552353 /nfs/dbraw/zinc/55/23/53/1056552353.db2.gz QRDDYNHNZHUQHA-KXBFYZLASA-N 0 3 311.425 4.326 20 0 DIADHN CO[C@@]1(C)C[C@@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1(C)C ZINC001615650996 1056553697 /nfs/dbraw/zinc/55/36/97/1056553697.db2.gz WMHXAGQWRNEGJS-ABAIWWIYSA-N 0 3 317.260 4.024 20 0 DIADHN CCc1ccc([C@@H]2C[C@H](C)CCN2CCO[C@@H]2CCCCO2)o1 ZINC001615668843 1056558838 /nfs/dbraw/zinc/55/88/38/1056558838.db2.gz NIHXMYARLIHGFZ-HHXXYDBFSA-N 0 3 321.461 4.158 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1cnn(-c2ccccc2)c1 ZINC000765948051 1056561830 /nfs/dbraw/zinc/56/18/30/1056561830.db2.gz YNTXXNFYMNGHTD-SDMNGIDPSA-N 0 3 303.409 4.236 20 0 DIADHN Clc1ccccc1CNC[C@H](c1ccco1)N1CCCCC1 ZINC000075114489 1056563692 /nfs/dbraw/zinc/56/36/92/1056563692.db2.gz HIUMERQULVAFFI-QGZVFWFLSA-N 0 3 318.848 4.250 20 0 DIADHN C[C@H]1Cc2ccccc2CN1CCOc1ccc(F)c(Cl)c1 ZINC001615726001 1056570182 /nfs/dbraw/zinc/57/01/82/1056570182.db2.gz OOBJDSOQAXOVDR-ZDUSSCGKSA-N 0 3 319.807 4.305 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001615756039 1056572984 /nfs/dbraw/zinc/57/29/84/1056572984.db2.gz DCSMKSYMRFZGDL-LLVKDONJSA-N 0 3 317.260 4.300 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CC[C@H]2Cc2ccccc2)n1 ZINC001615796561 1056575682 /nfs/dbraw/zinc/57/56/82/1056575682.db2.gz FYINVTPGNHGZLQ-SFHVURJKSA-N 0 3 310.441 4.076 20 0 DIADHN CC[C@@H](C)[C@H](NCc1n[nH]c(C2CC2)n1)c1ccc(Cl)cc1 ZINC000347671992 1056577912 /nfs/dbraw/zinc/57/79/12/1056577912.db2.gz LDMPWFKICODDNZ-BZNIZROVSA-N 0 3 318.852 4.213 20 0 DIADHN C[C@H](NC(=O)[C@@H]1CCCCC1(C)C)[C@@H](c1ccccc1)N(C)C ZINC001585552810 1056578871 /nfs/dbraw/zinc/57/88/71/1056578871.db2.gz QQIWPTAYTJYNLR-SZMVWBNQSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)[C@@H]1CCN([C@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001615867776 1056587202 /nfs/dbraw/zinc/58/72/02/1056587202.db2.gz KDBCEPBGBQJIOK-CRAIPNDOSA-N 0 3 310.441 4.145 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)Cc2cccc(N(C)C)c2)s1 ZINC000075718245 1056587152 /nfs/dbraw/zinc/58/71/52/1056587152.db2.gz RZUNGRHCMAPEPU-CYBMUJFWSA-N 0 3 303.475 4.019 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccccc2OC(C)C)C12CCC2 ZINC001615870493 1056588279 /nfs/dbraw/zinc/58/82/79/1056588279.db2.gz BEQVAZAVZOFVIK-RTBURBONSA-N 0 3 317.473 4.253 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C(C)C)nc2C)C12CCC2 ZINC001615871095 1056590194 /nfs/dbraw/zinc/59/01/94/1056590194.db2.gz RJXJDIQKFPJSKX-MOPGFXCFSA-N 0 3 316.489 4.293 20 0 DIADHN Cc1ccccc1-c1noc(CNC(C)(C)CC(C)(C)C)n1 ZINC000063511823 1056590308 /nfs/dbraw/zinc/59/03/08/1056590308.db2.gz WEGAOXXDVSKDGZ-UHFFFAOYSA-N 0 3 301.434 4.349 20 0 DIADHN C[C@H](CCSc1ccccc1)N1CCOC2(CCCC2)C1 ZINC001615878199 1056590380 /nfs/dbraw/zinc/59/03/80/1056590380.db2.gz WHHRJCRKGJZNQT-MRXNPFEDSA-N 0 3 305.487 4.202 20 0 DIADHN C[C@@H](CCSc1ccccc1)N1CCOC2(CCCC2)C1 ZINC001615878198 1056591285 /nfs/dbraw/zinc/59/12/85/1056591285.db2.gz WHHRJCRKGJZNQT-INIZCTEOSA-N 0 3 305.487 4.202 20 0 DIADHN CN1CCN(C2(c3ccc(-c4ccc(CF)cc4)cc3)CC2)CC1 ZINC001240582746 1056591298 /nfs/dbraw/zinc/59/12/98/1056591298.db2.gz PGLPTUPOJKPDPU-UHFFFAOYSA-N 0 3 324.443 4.060 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccccc1C)c1ccc(F)cc1F ZINC000765952631 1056599213 /nfs/dbraw/zinc/59/92/13/1056599213.db2.gz NBPVZFGCKVRRSY-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN C[C@H](CN1CCCCC[C@H]1c1ccco1)NC(=O)OC(C)(C)C ZINC000785457433 1056604261 /nfs/dbraw/zinc/60/42/61/1056604261.db2.gz NCUWSUKDSYOOHE-CABCVRRESA-N 0 3 322.449 4.110 20 0 DIADHN C[C@@H](CN1CCCCC[C@H]1c1ccco1)NC(=O)OC(C)(C)C ZINC000785457434 1056604458 /nfs/dbraw/zinc/60/44/58/1056604458.db2.gz NCUWSUKDSYOOHE-GJZGRUSLSA-N 0 3 322.449 4.110 20 0 DIADHN CC(C)C[C@@H]1CCCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001616066721 1056611286 /nfs/dbraw/zinc/61/12/86/1056611286.db2.gz IVJFGNMSPNJIRD-AWEZNQCLSA-N 0 3 311.372 4.409 20 0 DIADHN CCCCN(Cc1nc2ccccc2c(=O)[nH]1)C1CCCCC1 ZINC000621302293 1056614257 /nfs/dbraw/zinc/61/42/57/1056614257.db2.gz HCBMNOZYLZIWOY-UHFFFAOYSA-N 0 3 313.445 4.270 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cc(F)cc(F)c2)C1(C)C ZINC001616160532 1056615996 /nfs/dbraw/zinc/61/59/96/1056615996.db2.gz ZULAYEHRDWYZEY-IAGOWNOFSA-N 0 3 311.416 4.380 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(O)c(OC)c2)c1F ZINC001240619901 1056617454 /nfs/dbraw/zinc/61/74/54/1056617454.db2.gz ZRCKAWZTSSNVID-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CC(C)(CNCc1csc(Br)c1)C(F)(F)F ZINC000309695984 1056617756 /nfs/dbraw/zinc/61/77/56/1056617756.db2.gz RJPSWEXKBATRIA-UHFFFAOYSA-N 0 3 316.186 4.189 20 0 DIADHN c1csc(CNCCc2ccc(OCc3ccccc3)cc2)n1 ZINC000309920956 1056621789 /nfs/dbraw/zinc/62/17/89/1056621789.db2.gz VWRSHJPCLPBGGZ-UHFFFAOYSA-N 0 3 324.449 4.054 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2c2cc(C)ccc2C)cc1 ZINC001616322820 1056633676 /nfs/dbraw/zinc/63/36/76/1056633676.db2.gz QWKBXLCLIAQQEF-FQEVSTJZSA-N 0 3 322.452 4.387 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC000921928545 1056635752 /nfs/dbraw/zinc/63/57/52/1056635752.db2.gz VDLMKPIDDQUARX-BLLLJJGKSA-N 0 3 308.381 4.262 20 0 DIADHN c1c(CN2CCC[C@H]2CCCc2ccccc2)nn2c1CCCC2 ZINC001616330611 1056635427 /nfs/dbraw/zinc/63/54/27/1056635427.db2.gz CULNQYFOLOEUPB-HXUWFJFHSA-N 0 3 323.484 4.207 20 0 DIADHN CSC[C@H]1CCCN1Cc1cccc(Br)c1C ZINC001616333328 1056637873 /nfs/dbraw/zinc/63/78/73/1056637873.db2.gz YDWWWLVEJYJGPX-CYBMUJFWSA-N 0 3 314.292 4.085 20 0 DIADHN COc1ccc(-c2cnc(C3CC3)nc2)cc1CN1CCCCC1 ZINC001240686453 1056639727 /nfs/dbraw/zinc/63/97/27/1056639727.db2.gz OJUXUEMLYUKMJR-UHFFFAOYSA-N 0 3 323.440 4.016 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc(C(F)F)c3)c2)CC1 ZINC001240690319 1056642332 /nfs/dbraw/zinc/64/23/32/1056642332.db2.gz NMPMBJNFQKPWIN-UHFFFAOYSA-N 0 3 318.367 4.159 20 0 DIADHN CCC[C@@H](C)CCCN1CCO[C@@]2(CCc3ccccc32)C1 ZINC001616374468 1056645241 /nfs/dbraw/zinc/64/52/41/1056645241.db2.gz GEHIDNGSFMYZRW-XLIONFOSSA-N 0 3 301.474 4.377 20 0 DIADHN CCCCCC[C@H](C)C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001128189467 1056648497 /nfs/dbraw/zinc/64/84/97/1056648497.db2.gz MVFMOAUQJNVMOC-YQVWRLOYSA-N 0 3 318.505 4.401 20 0 DIADHN C[C@H](C(=O)N[C@@H](C)c1cccc2ccccc21)N(C)CCC1CC1 ZINC001616425809 1056653224 /nfs/dbraw/zinc/65/32/24/1056653224.db2.gz FAGILXJOXSBPGD-JKSUJKDBSA-N 0 3 324.468 4.137 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CC[C@H](C(C)(C)C)C1 ZINC001616548637 1056675528 /nfs/dbraw/zinc/67/55/28/1056675528.db2.gz IPEIPOBDBSRHQN-LBPRGKRZSA-N 0 3 305.853 4.095 20 0 DIADHN CC/C=C\CCN[C@H](C)c1ccc(OC)cc1Br ZINC001616584860 1056682756 /nfs/dbraw/zinc/68/27/56/1056682756.db2.gz VPQPXWXPGPFAPH-FOQNGQEVSA-N 0 3 312.251 4.465 20 0 DIADHN CC/C=C/CCN[C@H](C)c1ccc(OC)cc1Br ZINC001616584859 1056683040 /nfs/dbraw/zinc/68/30/40/1056683040.db2.gz VPQPXWXPGPFAPH-BTDICHCPSA-N 0 3 312.251 4.465 20 0 DIADHN C[C@@H](NCc1csc(-c2ccccn2)n1)[C@@H](C)c1ccccc1 ZINC001616646272 1056693497 /nfs/dbraw/zinc/69/34/97/1056693497.db2.gz PVJOFFLCLLMYLB-HUUCEWRRSA-N 0 3 323.465 4.487 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3ccc([O-])c(F)c3)cc12 ZINC001240786849 1056697626 /nfs/dbraw/zinc/69/76/26/1056697626.db2.gz YAGCCTADNGUTCN-MRXNPFEDSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3ccc([O-])c(F)c3)cc12 ZINC001240786849 1056697631 /nfs/dbraw/zinc/69/76/31/1056697631.db2.gz YAGCCTADNGUTCN-MRXNPFEDSA-N 0 3 324.399 4.316 20 0 DIADHN COC(=O)c1cc(CNC(C)(C)c2cccc(C)c2)ccc1C ZINC001616714921 1056706481 /nfs/dbraw/zinc/70/64/81/1056706481.db2.gz SPSXVNAORPFEJI-UHFFFAOYSA-N 0 3 311.425 4.115 20 0 DIADHN Brc1cccc(C2(NCc3ccccc3)CC2)c1 ZINC000077447939 1056746640 /nfs/dbraw/zinc/74/66/40/1056746640.db2.gz MTBKSAQIDLCVQA-UHFFFAOYSA-N 0 3 302.215 4.228 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@H]2C2CC2)cs1 ZINC000077281171 1056718844 /nfs/dbraw/zinc/71/88/44/1056718844.db2.gz PNJKVAWIHPKMLA-INIZCTEOSA-N 0 3 314.454 4.193 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](CC2CCC2)c2ccccc2)c1 ZINC001616806983 1056721532 /nfs/dbraw/zinc/72/15/32/1056721532.db2.gz FIQNGXPUUQPXHE-HXUWFJFHSA-N 0 3 322.452 4.455 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616816375 1056721644 /nfs/dbraw/zinc/72/16/44/1056721644.db2.gz JKVLVXDGNAHJKG-NURSFMCSSA-N 0 3 323.305 4.115 20 0 DIADHN Cn1ccc2cc(-c3ccc(CN4CCCCC4)c(F)c3)cnc21 ZINC001240845455 1056725031 /nfs/dbraw/zinc/72/50/31/1056725031.db2.gz CPEBFQWSZOIPQT-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CC(C)(C)[C@H]1CC[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000672605104 1056735333 /nfs/dbraw/zinc/73/53/33/1056735333.db2.gz LEQHPPRWRDWOGU-KBPBESRZSA-N 0 3 301.459 4.119 20 0 DIADHN CC(C)Oc1cccc(CN(C)C[C@@H]2CCC3(CCC3)O2)c1 ZINC001616906645 1056737695 /nfs/dbraw/zinc/73/76/95/1056737695.db2.gz ZAOQSPBFNXYQEB-SFHVURJKSA-N 0 3 303.446 4.007 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)C[C@@H]1CCC2(CCC2)CO1 ZINC001616942366 1056744024 /nfs/dbraw/zinc/74/40/24/1056744024.db2.gz MFTSHKMLIZAKFM-AWEZNQCLSA-N 0 3 311.828 4.260 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC000672616715 1056744763 /nfs/dbraw/zinc/74/47/63/1056744763.db2.gz FGDHTDMLXBSRAF-UXHICEINSA-N 0 3 312.432 4.014 20 0 DIADHN C[C@H](c1ccccc1)[C@H](CO)NCc1cccc(Cl)c1Cl ZINC001616998819 1056757330 /nfs/dbraw/zinc/75/73/30/1056757330.db2.gz SQIWEQSDFMXQEO-WBMJQRKESA-N 0 3 324.251 4.248 20 0 DIADHN Cc1ccc(CCNCc2csc(C(C)(C)C)n2)c(C)c1 ZINC000065431381 1056757853 /nfs/dbraw/zinc/75/78/53/1056757853.db2.gz KERUKNSJFDCKID-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN CC(C)c1ccc(C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)cc1 ZINC001128299777 1056761474 /nfs/dbraw/zinc/76/14/74/1056761474.db2.gz PAQLILCOCIFTKP-OXQOHEQNSA-N 0 3 324.468 4.231 20 0 DIADHN c1ccc(CC[C@@H]2CN([C@@H]3CCCc4ccccc43)CCO2)cc1 ZINC001617073451 1056772634 /nfs/dbraw/zinc/77/26/34/1056772634.db2.gz TWZMAMVUFYDYPI-IFMALSPDSA-N 0 3 321.464 4.398 20 0 DIADHN C[C@H]1CCN(Cc2ccc(-c3ncco3)cc2F)CC1(C)C ZINC001617081268 1056773256 /nfs/dbraw/zinc/77/32/56/1056773256.db2.gz MSAAIRQZJZWAKB-ZDUSSCGKSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(-c3ncco3)cc2F)CC1(C)C ZINC001617081265 1056774132 /nfs/dbraw/zinc/77/41/32/1056774132.db2.gz MSAAIRQZJZWAKB-CYBMUJFWSA-N 0 3 302.393 4.349 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cccc3nsnc32)CC1 ZINC001617088042 1056774577 /nfs/dbraw/zinc/77/45/77/1056774577.db2.gz DLGKMUBWMOBNMA-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1cc(C)nc(CN[C@@H](CN2CCCCC2)c2ccccc2)c1 ZINC001617140087 1056782374 /nfs/dbraw/zinc/78/23/74/1056782374.db2.gz LYRHACRUJXDQAX-NRFANRHFSA-N 0 3 323.484 4.015 20 0 DIADHN CO[C@H]1CCCN([C@@H](C)c2ccc(Br)cc2)CC1 ZINC000679953292 1056783865 /nfs/dbraw/zinc/78/38/65/1056783865.db2.gz NWJUELKDDRTTRT-WFASDCNBSA-N 0 3 312.251 4.011 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1ccc(F)cc1F ZINC000132162241 1056792143 /nfs/dbraw/zinc/79/21/43/1056792143.db2.gz MBQWCXASGIRRQD-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN COc1cccc(CN[C@H](CC(F)(F)F)C(C)C)c1Cl ZINC001617283505 1056806314 /nfs/dbraw/zinc/80/63/14/1056806314.db2.gz KHYMRZADDOHTKC-LLVKDONJSA-N 0 3 309.759 4.415 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1cc(C(F)(F)F)co1 ZINC001617303683 1056810135 /nfs/dbraw/zinc/81/01/35/1056810135.db2.gz VFRPEHLUNBPSHN-STQMWFEESA-N 0 3 307.381 4.452 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1cc(C(F)(F)F)co1 ZINC001617303680 1056810871 /nfs/dbraw/zinc/81/08/71/1056810871.db2.gz VFRPEHLUNBPSHN-CHWSQXEVSA-N 0 3 307.381 4.452 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2cc(Cl)ccc2C)[C@H]1C ZINC001617359382 1056819695 /nfs/dbraw/zinc/81/96/95/1056819695.db2.gz GQLMFXVBVFFPDE-MELADBBJSA-N 0 3 308.853 4.096 20 0 DIADHN CC[C@H](NC(=O)CN1CC[C@H](CC)[C@@H]1C)c1ccc(Cl)cc1 ZINC001617361227 1056820427 /nfs/dbraw/zinc/82/04/27/1056820427.db2.gz SKGLUHUZKSPAJJ-ZQIUZPCESA-N 0 3 322.880 4.028 20 0 DIADHN Fc1cncc(F)c1CN[C@H]1CCCC[C@@H]1Cc1ccccc1 ZINC001617390676 1056824709 /nfs/dbraw/zinc/82/47/09/1056824709.db2.gz ODNRJPHEUMNQLB-BEFAXECRSA-N 0 3 316.395 4.251 20 0 DIADHN c1ccc(C[C@@H]2CCCC[C@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001617391191 1056825255 /nfs/dbraw/zinc/82/52/55/1056825255.db2.gz SYIHHENXDIJBMK-ZWKOTPCHSA-N 0 3 324.468 4.445 20 0 DIADHN Cc1cc2cc(CNCc3cn(C)nc3C3CCCC3)ccc2[nH]1 ZINC000922126237 1056828410 /nfs/dbraw/zinc/82/84/10/1056828410.db2.gz FLPXYFXKKGBEJL-UHFFFAOYSA-N 0 3 322.456 4.157 20 0 DIADHN COc1cc(F)cc(-c2cccc(CN3CCCC3)c2F)c1 ZINC001241031257 1056831340 /nfs/dbraw/zinc/83/13/40/1056831340.db2.gz HJHXMRMSZGTYCU-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2Nc1ccnc2ccccc21 ZINC000078380477 1056832197 /nfs/dbraw/zinc/83/21/97/1056832197.db2.gz SWKNUZVXHPLMJW-GOSISDBHSA-N 0 3 306.365 4.179 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cccc3[nH]c(=O)oc31)CCC2 ZINC000922144789 1056844458 /nfs/dbraw/zinc/84/44/58/1056844458.db2.gz XJNSGXUVIFPCFP-MRXNPFEDSA-N 0 3 308.381 4.009 20 0 DIADHN Fc1cccc(CCCN2CC[C@](F)(c3ccccc3)C2)c1 ZINC001617531970 1056845352 /nfs/dbraw/zinc/84/53/52/1056845352.db2.gz JJXKLFRFCZNZJC-LJQANCHMSA-N 0 3 301.380 4.329 20 0 DIADHN COC(=O)c1csc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC001617538623 1056845501 /nfs/dbraw/zinc/84/55/01/1056845501.db2.gz GREWAIFECOSOJK-MRXNPFEDSA-N 0 3 321.417 4.161 20 0 DIADHN CCOc1ccc(-c2cccc(CN(C)C)n2)cc1C(F)(F)F ZINC001241055274 1056852451 /nfs/dbraw/zinc/85/24/51/1056852451.db2.gz SQJUGTPXPRDVDV-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN CN(C)[C@@H](CNC(=O)c1ccc(C(C)(C)C)cc1)c1ccccc1 ZINC000010017782 1056856039 /nfs/dbraw/zinc/85/60/39/1056856039.db2.gz IMWLHBZSTNIYEH-IBGZPJMESA-N 0 3 324.468 4.017 20 0 DIADHN CCOc1ccc(F)c(-c2ccc(OC)c(CN(C)C)c2)c1F ZINC001241061630 1056857057 /nfs/dbraw/zinc/85/70/57/1056857057.db2.gz WRKFASKQKLCNSG-UHFFFAOYSA-N 0 3 321.367 4.101 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3ncccc3Cl)C2)cc1 ZINC001617610546 1056858588 /nfs/dbraw/zinc/85/85/88/1056858588.db2.gz JBPAVQWDXKNBFB-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN CCCCCCOc1ccc(-c2ccc(CN)nc2)c(F)c1F ZINC001241066592 1056860574 /nfs/dbraw/zinc/86/05/74/1056860574.db2.gz YFINIULWRADQSA-UHFFFAOYSA-N 0 3 320.383 4.445 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1CCOc1cccc2ccccc21 ZINC001617637515 1056862000 /nfs/dbraw/zinc/86/20/00/1056862000.db2.gz SDABMPYQVCPIJG-CJNGLKHVSA-N 0 3 323.358 4.491 20 0 DIADHN CCOc1cc(F)c(-c2ccc3c(N)ccnc3c2)cc1F ZINC001241073947 1056866315 /nfs/dbraw/zinc/86/63/15/1056866315.db2.gz RITOVCCBTSPNGM-UHFFFAOYSA-N 0 3 300.308 4.161 20 0 DIADHN CC(C)C[C@]1(CO)CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC001617675009 1056866717 /nfs/dbraw/zinc/86/67/17/1056866717.db2.gz WLOUGWQOBSHUGY-INIZCTEOSA-N 0 3 316.272 4.366 20 0 DIADHN CC(C)COc1cc(F)cc(-c2cnccc2/C=C/N(C)C)c1 ZINC001241077698 1056870106 /nfs/dbraw/zinc/87/01/06/1056870106.db2.gz MZLDRHOJTLZXKZ-SOFGYWHQSA-N 0 3 314.404 4.455 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2cc(F)cc3c2OCOC3)CC1 ZINC000078759382 1056873939 /nfs/dbraw/zinc/87/39/39/1056873939.db2.gz NJPQTONRBKOFLQ-INIZCTEOSA-N 0 3 321.436 4.340 20 0 DIADHN CCC1(CC)[C@@H](NCc2cccc(OC)c2Cl)C[C@@H]1OC ZINC001617727380 1056874915 /nfs/dbraw/zinc/87/49/15/1056874915.db2.gz IIUMWJZPRFTPHL-GJZGRUSLSA-N 0 3 311.853 4.032 20 0 DIADHN CCc1ccc([C@H]2CCCN(Cc3nc(C)cs3)C2)cc1 ZINC001617748927 1056877104 /nfs/dbraw/zinc/87/71/04/1056877104.db2.gz KMNPMROHSGXLIQ-KRWDZBQOSA-N 0 3 300.471 4.394 20 0 DIADHN COc1cc(C)c([C@@H](C)N2CCC[C@@H](OC(F)F)C2)cc1C ZINC000682078599 1056889489 /nfs/dbraw/zinc/88/94/89/1056889489.db2.gz ZKZHJJZFLMGRMB-ZIAGYGMSSA-N 0 3 313.388 4.077 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@@H]1Cc3cccc(Cl)c3C1)CO2 ZINC001168704522 1056892342 /nfs/dbraw/zinc/89/23/42/1056892342.db2.gz HCHPHVINOGWZHW-MLGOLLRUSA-N 0 3 320.219 4.184 20 0 DIADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1nc(-c2ccccc2)cs1 ZINC000682160384 1056893460 /nfs/dbraw/zinc/89/34/60/1056893460.db2.gz SYHIAIZUMXFYMC-SCRDCRAPSA-N 0 3 304.484 4.355 20 0 DIADHN CC(C)(CNCc1nccs1)c1ccc(C(F)(F)F)cc1 ZINC000682474738 1056898553 /nfs/dbraw/zinc/89/85/53/1056898553.db2.gz HZQGEZQTUBSPGV-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cccc(Cl)c2F)C12CCC2 ZINC001618000062 1056912610 /nfs/dbraw/zinc/91/26/10/1056912610.db2.gz DELWRSSQXRLTTQ-BYCMXARLSA-N 0 3 311.828 4.477 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2nc(C3CCCCC3)cs2)C1(C)C ZINC001618013370 1056913493 /nfs/dbraw/zinc/91/34/93/1056913493.db2.gz WRGUNWCMMANLLV-OAUYIBNBSA-N 0 3 322.518 4.340 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2ccccc2Br)O1 ZINC000682760681 1056916590 /nfs/dbraw/zinc/91/65/90/1056916590.db2.gz LPKQPKRAJNTZLW-XJKCOSOUSA-N 0 3 324.262 4.154 20 0 DIADHN COc1ccc(F)c(-c2cccc(CN3CCCC3)c2F)c1F ZINC001241142420 1056922873 /nfs/dbraw/zinc/92/28/73/1056922873.db2.gz BUQAPJBWDMNQRY-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN CC[C@]1(NCc2ccc(-c3ccccc3)cc2OC)CCOC1 ZINC000682952470 1056924960 /nfs/dbraw/zinc/92/49/60/1056924960.db2.gz WZLNQQFUVAAPHJ-FQEVSTJZSA-N 0 3 311.425 4.021 20 0 DIADHN O=C(CN1CCC2(CCCC2)CC1)NCCCC1CCCCC1 ZINC001618121634 1056925588 /nfs/dbraw/zinc/92/55/88/1056925588.db2.gz AMDWLEUZQVTRHR-UHFFFAOYSA-N 0 3 320.521 4.119 20 0 DIADHN CC[C@]1(NCc2ccc(-c3ccc(F)cc3C)o2)CCOC1 ZINC000682952693 1056926488 /nfs/dbraw/zinc/92/64/88/1056926488.db2.gz YUAPZAGHNQXTLM-SFHVURJKSA-N 0 3 303.377 4.053 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc(SC)cc2)c1 ZINC000657300960 1056926566 /nfs/dbraw/zinc/92/65/66/1056926566.db2.gz QZBVYBFEOMQCSS-GFCCVEGCSA-N 0 3 307.484 4.467 20 0 DIADHN Clc1sccc1CNC1CCN(c2cccs2)CC1 ZINC000682943290 1056927520 /nfs/dbraw/zinc/92/75/20/1056927520.db2.gz PJMJHQTZAJYASA-UHFFFAOYSA-N 0 3 312.891 4.222 20 0 DIADHN C[C@@H]1CCC[C@@H](CN2CC(C)(C)O[C@](C)(C(F)(F)F)C2)C1 ZINC001618171400 1056927804 /nfs/dbraw/zinc/92/78/04/1056927804.db2.gz WLIDKLRVTSISJL-NFAWXSAZSA-N 0 3 307.400 4.245 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3cccc(F)c3F)o2)CC1 ZINC000682993754 1056928845 /nfs/dbraw/zinc/92/88/45/1056928845.db2.gz GNKSEPPMCZVPPY-UHFFFAOYSA-N 0 3 321.367 4.226 20 0 DIADHN C[C@H]1CCSCCN1Cc1c(Cl)ccc2cccnc21 ZINC000683000568 1056930595 /nfs/dbraw/zinc/93/05/95/1056930595.db2.gz DXMVHYKKJZAGNA-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN CN1CCc2ccc(-c3cccc(C(F)(F)F)c3F)cc2C1 ZINC001241158777 1056933833 /nfs/dbraw/zinc/93/38/33/1056933833.db2.gz CDSMBGJZUGBKNJ-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H](C)CCC(C)(C)C)c1ccco1 ZINC000673290347 1056940012 /nfs/dbraw/zinc/94/00/12/1056940012.db2.gz QWZHKDYQNCXIKP-OWCLPIDISA-N 0 3 322.493 4.287 20 0 DIADHN Fc1ccccc1OCCN[C@H]1CCSc2c(F)cccc21 ZINC000357528362 1056940221 /nfs/dbraw/zinc/94/02/21/1056940221.db2.gz LJJVUMJKUFEKGY-HNNXBMFYSA-N 0 3 321.392 4.170 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1Cc2ccccc2OC(C)(C)C1 ZINC001618287496 1056944907 /nfs/dbraw/zinc/94/49/07/1056944907.db2.gz JWWFVUJNIKWREZ-WMZOPIPTSA-N 0 3 317.473 4.255 20 0 DIADHN Cc1cc(CN2CCC[C@@H](C3CC3)C2)ccc1Br ZINC001618287512 1056945380 /nfs/dbraw/zinc/94/53/80/1056945380.db2.gz JYEUPFFBPGLWDY-OAHLLOKOSA-N 0 3 308.263 4.380 20 0 DIADHN CCOc1ccc(-c2ccc(CN(C)C3CC3)cn2)c(F)c1F ZINC001241177789 1056947343 /nfs/dbraw/zinc/94/73/43/1056947343.db2.gz HSZZGPAQNVCIPG-UHFFFAOYSA-N 0 3 318.367 4.020 20 0 DIADHN Cc1ccc(CNCc2ccnn2C2CCC2)c2ccccc12 ZINC000922183134 1056954661 /nfs/dbraw/zinc/95/46/61/1056954661.db2.gz LDEHYNFEFNYPMZ-UHFFFAOYSA-N 0 3 305.425 4.360 20 0 DIADHN CC(=O)c1ccccc1-c1ccc(CN2CCCC[C@@H]2C)cn1 ZINC001241206069 1056958120 /nfs/dbraw/zinc/95/81/20/1056958120.db2.gz ZJICVBVBDBSFAU-HNNXBMFYSA-N 0 3 308.425 4.326 20 0 DIADHN FCC1(NCc2ccc(-c3cccs3)cc2)CCOCC1 ZINC000657479133 1056973881 /nfs/dbraw/zinc/97/38/81/1056973881.db2.gz URCKOIYENOEXIO-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN Cc1ccc(CNCc2c(C3CC3)cnn2C)c2ccccc12 ZINC000657490895 1056976616 /nfs/dbraw/zinc/97/66/16/1056976616.db2.gz PBAOHHWFGZNZRW-UHFFFAOYSA-N 0 3 305.425 4.049 20 0 DIADHN Cc1ccc(C2(NCc3cccc4[nH]c(=O)oc43)CCC2)cc1 ZINC000922216487 1056979196 /nfs/dbraw/zinc/97/91/96/1056979196.db2.gz XOPRUUJHXZYSEV-UHFFFAOYSA-N 0 3 308.381 4.011 20 0 DIADHN CCOc1cccc(F)c1-c1cc(CN2CCCCC2)ccn1 ZINC001241249194 1056979490 /nfs/dbraw/zinc/97/94/90/1056979490.db2.gz XHPUGRBCNASXJK-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cn(C)nc1C1CCCC1)CC2 ZINC000922219816 1056979992 /nfs/dbraw/zinc/97/99/92/1056979992.db2.gz ZJXJAKKZWXHUNZ-LJQANCHMSA-N 0 3 309.457 4.163 20 0 DIADHN Cc1cc(N(C)C)ncc1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001241250212 1056979942 /nfs/dbraw/zinc/97/99/42/1056979942.db2.gz HYWOYPPBMNNSNA-UHFFFAOYSA-N 0 3 307.441 4.124 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2cc([O-])cc(F)c2)nc1 ZINC001241261172 1056985182 /nfs/dbraw/zinc/98/51/82/1056985182.db2.gz FXDUIODIHQFCDD-SFHVURJKSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2cc([O-])cc(F)c2)nc1 ZINC001241261172 1056985186 /nfs/dbraw/zinc/98/51/86/1056985186.db2.gz FXDUIODIHQFCDD-SFHVURJKSA-N 0 3 300.377 4.140 20 0 DIADHN Fc1cccnc1[C@H](N[C@H]1Cc2cccc(Cl)c2C1)C1CC1 ZINC001168715999 1056985485 /nfs/dbraw/zinc/98/54/85/1056985485.db2.gz SREPSXIYJFZOHO-SUMWQHHRSA-N 0 3 316.807 4.082 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc(-n2cccn2)cc1 ZINC000161660122 1056986192 /nfs/dbraw/zinc/98/61/92/1056986192.db2.gz QHJPOBCJBPRHSE-UHFFFAOYSA-N 0 3 322.456 4.010 20 0 DIADHN CCOc1cccc(-c2cnccc2CN2CCCCC2)c1F ZINC001241266374 1056987556 /nfs/dbraw/zinc/98/75/56/1056987556.db2.gz FYBMCWHRDIDXOX-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN FC(F)(F)C1CCN([C@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168719736 1056995580 /nfs/dbraw/zinc/99/55/80/1056995580.db2.gz BUWUBYRPGCYFQC-LBPRGKRZSA-N 0 3 303.755 4.082 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1c(Cl)n[nH]c1C1CC1 ZINC000922291991 1056999754 /nfs/dbraw/zinc/99/97/54/1056999754.db2.gz PDGBHMCCABNSIP-UHFFFAOYSA-N 0 3 305.800 4.102 20 0 DIADHN CC[C@@](C)(NCc1cccc2[nH]c(=O)oc21)c1ccc(F)cc1 ZINC000922299766 1057001648 /nfs/dbraw/zinc/00/16/48/1057001648.db2.gz RSOQYBBKWHSIJI-GOSISDBHSA-N 0 3 314.360 4.088 20 0 DIADHN CN1CC=C(c2nc3ccccc3c(-c3ccccc3)n2)CC1 ZINC001241308374 1057007509 /nfs/dbraw/zinc/00/75/09/1057007509.db2.gz JXTDSHINBZKXMD-UHFFFAOYSA-N 0 3 301.393 4.016 20 0 DIADHN CN1CC=C(c2nnc(-c3ccccc3)c3ccccc32)CC1 ZINC001241313196 1057010064 /nfs/dbraw/zinc/01/00/64/1057010064.db2.gz VJHQIDNZFYOQAX-UHFFFAOYSA-N 0 3 301.393 4.016 20 0 DIADHN CC1(NCc2cccc(Oc3ccccn3)c2)CC(F)(F)C1 ZINC000922330569 1057010564 /nfs/dbraw/zinc/01/05/64/1057010564.db2.gz STDZXPZHWKGCKO-UHFFFAOYSA-N 0 3 304.340 4.151 20 0 DIADHN CC[C@@H](NCc1cnn(CCF)c1)c1cccc2ccccc21 ZINC000922342870 1057012943 /nfs/dbraw/zinc/01/29/43/1057012943.db2.gz JCWHCGRIPJKAMY-LJQANCHMSA-N 0 3 311.404 4.247 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cnc(C(C)(C)C)nc1 ZINC000657796299 1057014511 /nfs/dbraw/zinc/01/45/11/1057014511.db2.gz DSNKGMPFGPZHPS-SFHVURJKSA-N 0 3 309.457 4.250 20 0 DIADHN CN1CCC(N(C(=O)CC2(C)CCCC2)c2ccccc2)CC1 ZINC000673552305 1057024460 /nfs/dbraw/zinc/02/44/60/1057024460.db2.gz VBZUKIIBQRHKKR-UHFFFAOYSA-N 0 3 314.473 4.084 20 0 DIADHN O=c1[nH]cc(CNCc2ccc3c(c2)CCCC3)c2ccccc21 ZINC000922493652 1057028424 /nfs/dbraw/zinc/02/84/24/1057028424.db2.gz MIHCAZWCWCDVIF-UHFFFAOYSA-N 0 3 318.420 4.109 20 0 DIADHN CCCc1cccc(CNCc2c[nH]c(=O)c3ccccc23)c1 ZINC000922494068 1057028476 /nfs/dbraw/zinc/02/84/76/1057028476.db2.gz SODIIBHXFZRJIT-UHFFFAOYSA-N 0 3 306.409 4.183 20 0 DIADHN CCc1cc(CN[C@@H]2CCCc3c4ccccc4sc32)[nH]n1 ZINC000765991221 1057028763 /nfs/dbraw/zinc/02/87/63/1057028763.db2.gz BWUUWLSLSMNCGI-MRXNPFEDSA-N 0 3 311.454 4.354 20 0 DIADHN CCCOc1ccc(CN[C@H](c2ccccn2)C2CC2)c(C)c1 ZINC000922582143 1057035342 /nfs/dbraw/zinc/03/53/42/1057035342.db2.gz MDVRCNKMBWCQNT-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3ccccn3)C3CC3)ccc2n1 ZINC000922584103 1057036188 /nfs/dbraw/zinc/03/61/88/1057036188.db2.gz AOYJCHZAAGBRPM-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H](c2ccccn2)C2CC2)c(F)c1 ZINC000922584587 1057037081 /nfs/dbraw/zinc/03/70/81/1057037081.db2.gz LPJZIYBCIZDILZ-DDKKJVIOSA-N 0 3 300.352 4.114 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc4ccsc43)c2)CC1 ZINC001241402784 1057040927 /nfs/dbraw/zinc/04/09/27/1057040927.db2.gz RRBLVDLPHXYUOF-UHFFFAOYSA-N 0 3 324.449 4.436 20 0 DIADHN CCn1cc(CNC/C(C)=C/c2cccc(C(F)(F)F)c2)cn1 ZINC000673602079 1057042907 /nfs/dbraw/zinc/04/29/07/1057042907.db2.gz HOKQMDZYCPUBPK-NTUHNPAUSA-N 0 3 323.362 4.115 20 0 DIADHN CN1CCc2ccc(-c3c(F)c(F)c(F)c(F)c3F)cc2C1 ZINC001241410379 1057045347 /nfs/dbraw/zinc/04/53/47/1057045347.db2.gz PQYBOBDASSQJNA-UHFFFAOYSA-N 0 3 313.269 4.037 20 0 DIADHN CCc1cc(CN[C@@H]2CCCCc3ccc(Cl)cc32)[nH]n1 ZINC000765995771 1057045906 /nfs/dbraw/zinc/04/59/06/1057045906.db2.gz WZJSJFJMRYQXKY-QGZVFWFLSA-N 0 3 303.837 4.183 20 0 DIADHN CCc1cc(CN[C@@H]2CCCCc3ccc(Cl)cc32)n[nH]1 ZINC000765995771 1057045901 /nfs/dbraw/zinc/04/59/01/1057045901.db2.gz WZJSJFJMRYQXKY-QGZVFWFLSA-N 0 3 303.837 4.183 20 0 DIADHN C[C@H](NCCOc1cccnc1)c1ccc(-c2ccccc2)o1 ZINC000765998782 1057051544 /nfs/dbraw/zinc/05/15/44/1057051544.db2.gz OBUSDRKZIFNNAM-HNNXBMFYSA-N 0 3 308.381 4.071 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc3c2cnn3C)cc1F ZINC001241452243 1057051576 /nfs/dbraw/zinc/05/15/76/1057051576.db2.gz IQHBXZASFKYVQR-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cn1ncc2c1cccc2-c1ccc(F)c(CN2CCCCC2)c1 ZINC001241452314 1057051856 /nfs/dbraw/zinc/05/18/56/1057051856.db2.gz MDIFUZMTDYGXSQ-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN CCCCCCN1CCN([C@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168733563 1057052328 /nfs/dbraw/zinc/05/23/28/1057052328.db2.gz BLIMOOYWDUGTQA-KRWDZBQOSA-N 0 3 320.908 4.005 20 0 DIADHN COc1ccc(CNCc2ncc(C)cc2C)c2ccccc12 ZINC000922746064 1057052886 /nfs/dbraw/zinc/05/28/86/1057052886.db2.gz VYPNTQPWGBNSOB-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN CC(C)O[C@@H](CN1CCC2(CCOCC2)CC1)c1ccccc1 ZINC000428969414 1057054700 /nfs/dbraw/zinc/05/47/00/1057054700.db2.gz VPNFGSBJNGEVML-IBGZPJMESA-N 0 3 317.473 4.045 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2CCSC3(CCC3)C2)n1 ZINC000922842433 1057066386 /nfs/dbraw/zinc/06/63/86/1057066386.db2.gz JNJJNPQHGIPXOW-LBPRGKRZSA-N 0 3 310.532 4.349 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2cc(CC)[nH]n2)cc1 ZINC000766002488 1057069629 /nfs/dbraw/zinc/06/96/29/1057069629.db2.gz LRTANCWFLPWAIK-OAHLLOKOSA-N 0 3 315.461 4.392 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3nccnc3c2)cc1F ZINC001241498791 1057069967 /nfs/dbraw/zinc/06/99/67/1057069967.db2.gz INGKWHOECCTCIR-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN Cc1ccc2ncc(C3=CCN(Cc4ccccc4)CC3)nc2c1 ZINC001241501628 1057072219 /nfs/dbraw/zinc/07/22/19/1057072219.db2.gz POWUOZSWXKECPL-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CC(C)Oc1ccnc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241502095 1057072341 /nfs/dbraw/zinc/07/23/41/1057072341.db2.gz OUUUTUCTLDINED-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN COC(=O)c1ccc(C)cc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504377 1057074433 /nfs/dbraw/zinc/07/44/33/1057074433.db2.gz SSVSZZXBHNNVSI-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN FC(F)(F)c1cc(C2=CCN(Cc3ccccc3)CC2)ccn1 ZINC001241504677 1057075158 /nfs/dbraw/zinc/07/51/58/1057075158.db2.gz YCFUADUPLXTDPX-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN CCOC(=O)c1ccccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241504339 1057075748 /nfs/dbraw/zinc/07/57/48/1057075748.db2.gz FBBRVBQMKKCWQG-UHFFFAOYSA-N 0 3 321.420 4.153 20 0 DIADHN CCc1cc(CN[C@H](C)c2ccc(SC(F)F)cc2)n[nH]1 ZINC000766005575 1057076660 /nfs/dbraw/zinc/07/66/60/1057076660.db2.gz WFNLWPSPCYHRJC-SNVBAGLBSA-N 0 3 311.401 4.138 20 0 DIADHN CCc1cc(CN[C@@H](C)c2ccc(SC(F)F)cc2)n[nH]1 ZINC000766005574 1057076785 /nfs/dbraw/zinc/07/67/85/1057076785.db2.gz WFNLWPSPCYHRJC-JTQLQIEISA-N 0 3 311.401 4.138 20 0 DIADHN CCOc1cc(C)c(C2=CCN(Cc3ccccc3)CC2)cn1 ZINC001241505691 1057076826 /nfs/dbraw/zinc/07/68/26/1057076826.db2.gz YHDSORYAESOAAI-UHFFFAOYSA-N 0 3 308.425 4.078 20 0 DIADHN c1ccc(CN2CC=C(c3cccc4c3OCCC4)CC2)cc1 ZINC001241507928 1057078368 /nfs/dbraw/zinc/07/83/68/1057078368.db2.gz VFJWCJIFWGCEBE-UHFFFAOYSA-N 0 3 305.421 4.301 20 0 DIADHN c1ccc(CN2CC=C(c3ccnc(OC4CCC4)c3)CC2)cc1 ZINC001241507611 1057079305 /nfs/dbraw/zinc/07/93/05/1057079305.db2.gz LOPRMMTZJSLAAX-UHFFFAOYSA-N 0 3 320.436 4.302 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CC1CCC(=O)CC1 ZINC000347774278 1057085915 /nfs/dbraw/zinc/08/59/15/1057085915.db2.gz KYTFYJVGULIPDB-ZDUSSCGKSA-N 0 3 308.491 4.327 20 0 DIADHN Cc1cc(F)cc(CN2CCC3(Cc4ccccc4O3)CC2)c1 ZINC001143618730 1057086900 /nfs/dbraw/zinc/08/69/00/1057086900.db2.gz DGIIBWMXCKBUKR-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN Cc1ccc(-c2nc3ccccc3c(C3=CCCN(C)C3)n2)cc1 ZINC001241567239 1057093171 /nfs/dbraw/zinc/09/31/71/1057093171.db2.gz RPRKCKPXAWUMAO-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168738124 1057093542 /nfs/dbraw/zinc/09/35/42/1057093542.db2.gz JGERCGNYVKGENJ-CQSZACIVSA-N 0 3 307.771 4.217 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@H]3CCC[C@@H]32)co1 ZINC000625182931 1057097611 /nfs/dbraw/zinc/09/76/11/1057097611.db2.gz JDGIYTXFQMWLTQ-DYVFJYSZSA-N 0 3 300.377 4.245 20 0 DIADHN COc1ccc([C@H](NCc2scnc2C)C2CCC2)cc1 ZINC000922891262 1057098562 /nfs/dbraw/zinc/09/85/62/1057098562.db2.gz KQNDPTVFCVPKBN-QGZVFWFLSA-N 0 3 302.443 4.091 20 0 DIADHN CCCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@@H](C)c1ccc(C)o1 ZINC000673651055 1057098632 /nfs/dbraw/zinc/09/86/32/1057098632.db2.gz IGJSGIYBARCOAS-UXLLHSPISA-N 0 3 320.477 4.151 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(C)s2)C2CCC2)cc1 ZINC000922891607 1057099510 /nfs/dbraw/zinc/09/95/10/1057099510.db2.gz MKUAPXGMLYLLBJ-KRWDZBQOSA-N 0 3 302.443 4.091 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3nn(C)cc3c2)ccc1F ZINC001241608892 1057107429 /nfs/dbraw/zinc/10/74/29/1057107429.db2.gz IMHNKPUOWWAULF-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccc2c(c1)ncn2C ZINC000282431335 1057113802 /nfs/dbraw/zinc/11/38/02/1057113802.db2.gz RILORSABMABQNA-ZDUSSCGKSA-N 0 3 311.454 4.146 20 0 DIADHN CC[C@H](N[C@H](Cn1cccn1)c1ccccc1)c1ccccc1 ZINC000673715544 1057120075 /nfs/dbraw/zinc/12/00/75/1057120075.db2.gz ZNZFSEYLSMUOAP-VQTJNVASSA-N 0 3 305.425 4.365 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1noc2c1CCCC2 ZINC000673755856 1057126019 /nfs/dbraw/zinc/12/60/19/1057126019.db2.gz YAIDKULGNKUVTN-NVXWUHKLSA-N 0 3 310.441 4.007 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2ccc3c(c2)CCC3)c(C)c1 ZINC001168741711 1057127246 /nfs/dbraw/zinc/12/72/46/1057127246.db2.gz PGIKZZVCTHCXBW-MRXNPFEDSA-N 0 3 322.452 4.081 20 0 DIADHN C[C@H](NC1CCSCC1)c1ncc(C(F)(F)F)cc1Cl ZINC000925363277 1057130527 /nfs/dbraw/zinc/13/05/27/1057130527.db2.gz JQYUJGOJMPTRTC-QMMMGPOBSA-N 0 3 324.799 4.300 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cccnc1C(F)(F)F ZINC000625362206 1057130792 /nfs/dbraw/zinc/13/07/92/1057130792.db2.gz SBHAPFCKYVHQMR-SNVBAGLBSA-N 0 3 314.273 4.167 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000766030792 1057131777 /nfs/dbraw/zinc/13/17/77/1057131777.db2.gz VWBYQRXPPBFKGJ-CNOZUTPLSA-N 0 3 323.358 4.267 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1ccc(F)cc1)c1cc(F)ccc1F ZINC000766029241 1057131893 /nfs/dbraw/zinc/13/18/93/1057131893.db2.gz PSMSVEVHDZPMNX-CNOZUTPLSA-N 0 3 323.358 4.267 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000766030797 1057131906 /nfs/dbraw/zinc/13/19/06/1057131906.db2.gz VWBYQRXPPBFKGJ-VQTKTOELSA-N 0 3 323.358 4.267 20 0 DIADHN CN(Cc1cccnc1C(F)(F)F)C(C)(C)c1ccccc1 ZINC000625402922 1057134421 /nfs/dbraw/zinc/13/44/21/1057134421.db2.gz QSOWSPAUGRDWAZ-UHFFFAOYSA-N 0 3 308.347 4.468 20 0 DIADHN Cc1ccccc1[C@H](C)NCC(=O)Nc1cccc(Cl)c1C ZINC000216206023 1057136121 /nfs/dbraw/zinc/13/61/21/1057136121.db2.gz YJUCWNRDNMECQG-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN COc1cc(F)c(-c2ccccc2CN2CCCC2)c(F)c1 ZINC001241693084 1057139404 /nfs/dbraw/zinc/13/94/04/1057139404.db2.gz DDAXUKXSJJGGNC-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnn(C3CCC3)c2)c1F ZINC001241725274 1057148097 /nfs/dbraw/zinc/14/80/97/1057148097.db2.gz FIGPQIKNBVMWTD-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN COc1cc(-c2ccc(CN(C)C3CC3)cn2)ccc1Cl ZINC001241732474 1057149733 /nfs/dbraw/zinc/14/97/33/1057149733.db2.gz BZVIGCSCUVPCKJ-UHFFFAOYSA-N 0 3 302.805 4.005 20 0 DIADHN COc1cc(-c2cncc(CN3CCCCC3)c2)ccc1Cl ZINC001241736328 1057151112 /nfs/dbraw/zinc/15/11/12/1057151112.db2.gz YKVQWRALBWMXJF-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4ccccc4n3)cc2)CC1 ZINC001241737253 1057152566 /nfs/dbraw/zinc/15/25/66/1057152566.db2.gz DJMIGCOKPCJOMY-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H](C)c1cc2c(s1)CCC2 ZINC000766041952 1057153197 /nfs/dbraw/zinc/15/31/97/1057153197.db2.gz FTIZHHRDAPXHBZ-IAQYHMDHSA-N 0 3 313.470 4.063 20 0 DIADHN Cc1cc(C)c([C@H](C)N[C@H]2CCCc3nc(C)ncc32)cc1C ZINC000766041890 1057153223 /nfs/dbraw/zinc/15/32/23/1057153223.db2.gz BSBLDHRNCBLQSF-KXBFYZLASA-N 0 3 309.457 4.438 20 0 DIADHN NCc1cc(-c2ccc(-c3ccc4ccccc4c3)cc2)ncn1 ZINC001241740277 1057153391 /nfs/dbraw/zinc/15/33/91/1057153391.db2.gz CDHPLENZKJHVTK-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN CCN1CCN(c2ccc(-c3ccccc3OC(C)C)cc2)CC1 ZINC001241744362 1057153751 /nfs/dbraw/zinc/15/37/51/1057153751.db2.gz SSCOZDRIRLSMGN-UHFFFAOYSA-N 0 3 324.468 4.283 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4ncccc43)cc2)CC1 ZINC001241742439 1057153767 /nfs/dbraw/zinc/15/37/67/1057153767.db2.gz CIDGBLIMLDSIJK-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4cc[nH]c4cc3C)cc2)CC1 ZINC001241744652 1057153814 /nfs/dbraw/zinc/15/38/14/1057153814.db2.gz ZEQYICOAWLPKFC-UHFFFAOYSA-N 0 3 319.452 4.285 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4ncsc43)cc2)CC1 ZINC001241744551 1057154074 /nfs/dbraw/zinc/15/40/74/1057154074.db2.gz WRNJFUOPEIPVMG-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3ccccc3OC3CC3)cc2)CC1 ZINC001241743522 1057154078 /nfs/dbraw/zinc/15/40/78/1057154078.db2.gz CRNCTKAZMNVXJP-UHFFFAOYSA-N 0 3 322.452 4.037 20 0 DIADHN CC[C@@H](NCc1nc2cc(C)ccc2s1)[C@H]1CCCCO1 ZINC000625518243 1057155202 /nfs/dbraw/zinc/15/52/02/1057155202.db2.gz HMDXKMALEVJOGR-UKRRQHHQSA-N 0 3 304.459 4.042 20 0 DIADHN COCCOc1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc1 ZINC001241750948 1057156104 /nfs/dbraw/zinc/15/61/04/1057156104.db2.gz KXRPAFGXRBVUTF-UHFFFAOYSA-N 0 3 323.436 4.380 20 0 DIADHN CC[C@H](c1ccccc1)N1CCc2c(cccc2NC(C)=O)C1 ZINC000057862427 1057157500 /nfs/dbraw/zinc/15/75/00/1057157500.db2.gz FQCULQONKFCMML-HXUWFJFHSA-N 0 3 308.425 4.154 20 0 DIADHN COCCSc1ccc([C@H](C)N[C@@H](C)c2ccncc2)cc1 ZINC000350518121 1057170200 /nfs/dbraw/zinc/17/02/00/1057170200.db2.gz UACVIHQPZMMHPK-GJZGRUSLSA-N 0 3 316.470 4.232 20 0 DIADHN CCc1csc([C@H]2CCCN(Cc3ccc(CC)nc3)C2)n1 ZINC000347870143 1057174920 /nfs/dbraw/zinc/17/49/20/1057174920.db2.gz QPPPIWPXISHGGY-HNNXBMFYSA-N 0 3 315.486 4.043 20 0 DIADHN Cc1cccnc1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000625654951 1057183460 /nfs/dbraw/zinc/18/34/60/1057183460.db2.gz JJFJGDSRTPEMIA-HNNXBMFYSA-N 0 3 302.368 4.048 20 0 DIADHN C[C@H]1CN(Cc2cccc(OC(F)F)c2)C[C@H](C)C1(F)F ZINC000625651994 1057184007 /nfs/dbraw/zinc/18/40/07/1057184007.db2.gz ZNDHNJPYAHCRCJ-QWRGUYRKSA-N 0 3 305.315 4.011 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@H]1CNCc1csc(C2CC2)n1 ZINC000674045093 1057188367 /nfs/dbraw/zinc/18/83/67/1057188367.db2.gz FBJUPQIUYXKGRQ-WCQYABFASA-N 0 3 318.408 4.479 20 0 DIADHN Brc1cncc(CN2CCC3(CCCCC3)CC2)c1 ZINC000134263997 1057193427 /nfs/dbraw/zinc/19/34/27/1057193427.db2.gz OJSFZSCSZMZKCW-UHFFFAOYSA-N 0 3 323.278 4.390 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2CCCSCC2)c2ccccn2)cc1 ZINC000657998491 1057206580 /nfs/dbraw/zinc/20/65/80/1057206580.db2.gz LBYGJSRDZSPTEO-PKOBYXMFSA-N 0 3 312.482 4.355 20 0 DIADHN COc1cccc2[nH]c(-c3cncc(CN4CCCCC4)c3)cc21 ZINC001241998564 1057235644 /nfs/dbraw/zinc/23/56/44/1057235644.db2.gz BDYUPPBNJMMTSH-UHFFFAOYSA-N 0 3 321.424 4.224 20 0 DIADHN Cc1cc(C)c(CN[C@H](Cn2cccn2)c2ccccc2)c(C)c1 ZINC000674370923 1057236267 /nfs/dbraw/zinc/23/62/67/1057236267.db2.gz GJJDTTHDPWSVBS-OAQYLSRUSA-N 0 3 319.452 4.339 20 0 DIADHN COc1cc(-c2cnccc2CN2CCCCC2)c(F)cc1F ZINC001242049194 1057249944 /nfs/dbraw/zinc/24/99/44/1057249944.db2.gz HGILWQPKABRZNQ-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1cc(-c2cccc(CN3CCCC3)c2F)c(F)cc1F ZINC001242049656 1057250454 /nfs/dbraw/zinc/25/04/54/1057250454.db2.gz ZVLYRDPZFSETMH-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN NCc1cc(-c2ccccc2-c2ccc3ccccc3c2)ncn1 ZINC001242079838 1057256036 /nfs/dbraw/zinc/25/60/36/1057256036.db2.gz PDUBLIATGXKNPV-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN Cc1cnc(-c2ccc(OC(C)C)cc2C(F)(F)F)cc1N ZINC001242101808 1057262745 /nfs/dbraw/zinc/26/27/45/1057262745.db2.gz RHRLPGNGMQEJOF-UHFFFAOYSA-N 0 3 310.319 4.445 20 0 DIADHN CCCOc1c(Cl)cc(-c2cccc(CN)n2)cc1Cl ZINC001242109352 1057265106 /nfs/dbraw/zinc/26/51/06/1057265106.db2.gz CKUYUMSWUURGKB-UHFFFAOYSA-N 0 3 311.212 4.303 20 0 DIADHN CCCN(Cc1nc(-c2ccc(C(C)C)cc2)no1)CC1CC1 ZINC000674787248 1057282443 /nfs/dbraw/zinc/28/24/43/1057282443.db2.gz MNHPWDANRBXXFW-UHFFFAOYSA-N 0 3 313.445 4.482 20 0 DIADHN [O-]c1ccc(-c2ccc([C@@H]3CC[NH2+]C3)cc2)cc1C(F)(F)F ZINC001242166780 1057285677 /nfs/dbraw/zinc/28/56/77/1057285677.db2.gz XPKCTWJTCMWJJH-CQSZACIVSA-N 0 3 307.315 4.155 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](c1ccccn1)C(C)C)CCC2 ZINC000766080245 1057294416 /nfs/dbraw/zinc/29/44/16/1057294416.db2.gz BYKQMXPNVIOXCI-CVEARBPZSA-N 0 3 301.459 4.211 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](c1ccccn1)C(C)C ZINC000766081731 1057295794 /nfs/dbraw/zinc/29/57/94/1057295794.db2.gz PNWDEGLOXSDCGW-ICSRJNTNSA-N 0 3 310.441 4.455 20 0 DIADHN COc1ccc(-c2ccc(F)cc2[O-])c(C[NH+]2CCCCC2)c1 ZINC001242219523 1057298176 /nfs/dbraw/zinc/29/81/76/1057298176.db2.gz JLXKYNKKXHUVBG-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COCc1csc(CN[C@H]2CC(C)(C)Cc3occc32)c1 ZINC000658209348 1057320689 /nfs/dbraw/zinc/32/06/89/1057320689.db2.gz PFXXUDDFGHOKCN-HNNXBMFYSA-N 0 3 305.443 4.291 20 0 DIADHN COCc1csc(CN[C@H]2CCCOc3ccc(C)cc32)c1 ZINC000658208551 1057320891 /nfs/dbraw/zinc/32/08/91/1057320891.db2.gz JEMDPWHJZKMBIA-KRWDZBQOSA-N 0 3 317.454 4.206 20 0 DIADHN Fc1cc(F)c(-c2cncc(CN3CCCC3)c2)cc1Cl ZINC001242291254 1057323450 /nfs/dbraw/zinc/32/34/50/1057323450.db2.gz KANPVJTYEOEAGQ-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN CN1CCc2ccc(-c3c(F)cccc3C(F)(F)F)cc2C1 ZINC001242304195 1057328532 /nfs/dbraw/zinc/32/85/32/1057328532.db2.gz SLFPGVQUXSMAMS-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN COc1ccnc(CNC2CCC(c3ccccc3)CC2)c1F ZINC000658228661 1057328818 /nfs/dbraw/zinc/32/88/18/1057328818.db2.gz VNDNEEGLWZAZTP-UHFFFAOYSA-N 0 3 314.404 4.045 20 0 DIADHN COC1CC(CN[C@H](C)c2c(Cl)ccc(F)c2Cl)C1 ZINC000703138412 1057329761 /nfs/dbraw/zinc/32/97/61/1057329761.db2.gz RIYCFAWDJHCMNV-XNWIYYODSA-N 0 3 306.208 4.208 20 0 DIADHN OCc1ccc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001242343621 1057339822 /nfs/dbraw/zinc/33/98/22/1057339822.db2.gz PWSDRXZVVOHGCK-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN Clc1ccc(CN2CCC3(CC2)OCc2ccccc23)cc1 ZINC000675327095 1057342315 /nfs/dbraw/zinc/34/23/15/1057342315.db2.gz UYHDFAFEJFRYPC-UHFFFAOYSA-N 0 3 313.828 4.362 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3cccc(F)c3[O-])cc12 ZINC001242352167 1057342500 /nfs/dbraw/zinc/34/25/00/1057342500.db2.gz OZWJTDLBKAMDBA-OAHLLOKOSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3cccc(F)c3[O-])cc12 ZINC001242352167 1057342506 /nfs/dbraw/zinc/34/25/06/1057342506.db2.gz OZWJTDLBKAMDBA-OAHLLOKOSA-N 0 3 324.399 4.316 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)s1 ZINC000246034380 1057351255 /nfs/dbraw/zinc/35/12/55/1057351255.db2.gz MNSGSMXRFYIYOV-JJMVLAAESA-N 0 3 322.861 4.286 20 0 DIADHN FC(F)(F)c1cccc(-c2cccc([C@H]3CNCCO3)c2)c1 ZINC000041538825 1057352607 /nfs/dbraw/zinc/35/26/07/1057352607.db2.gz XRLUKNOXSJJKDD-MRXNPFEDSA-N 0 3 307.315 4.033 20 0 DIADHN FC(F)(F)c1ccccc1-c1cccc([C@H]2CNCCO2)c1 ZINC000041538809 1057352643 /nfs/dbraw/zinc/35/26/43/1057352643.db2.gz BYYWUZYGWNGBDB-MRXNPFEDSA-N 0 3 307.315 4.033 20 0 DIADHN FC(F)(F)c1ncccc1-c1cnccc1CN1CCCCC1 ZINC001242376182 1057352983 /nfs/dbraw/zinc/35/29/83/1057352983.db2.gz CBOKDMATGAHAPG-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccccc1 ZINC000658288428 1057355081 /nfs/dbraw/zinc/35/50/81/1057355081.db2.gz OYQJJDYKXJJEQX-UFYCRDLUSA-N 0 3 313.416 4.161 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@@H](C)c1cccc(F)c1)c1ccccc1 ZINC000658288317 1057355090 /nfs/dbraw/zinc/35/50/90/1057355090.db2.gz NXMYWHUKGZNYON-KYNGSXCRSA-N 0 3 301.405 4.235 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC[C@H]2CC(C)(C)C)c1 ZINC000143366232 1057356049 /nfs/dbraw/zinc/35/60/49/1057356049.db2.gz AUXQAZQDVAWUQH-KRWDZBQOSA-N 0 3 317.477 4.181 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(C)c(CO)c2)ccc1F ZINC001242386646 1057356508 /nfs/dbraw/zinc/35/65/08/1057356508.db2.gz UHJMKDAPLOURIN-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN Cc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)cc1CO ZINC001242386342 1057356542 /nfs/dbraw/zinc/35/65/42/1057356542.db2.gz KUSIGUFWWFHPHO-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1csc(-c2ccc(F)cc2)n1 ZINC000174552130 1057365235 /nfs/dbraw/zinc/36/52/35/1057365235.db2.gz XZSRMPMDXWUUAA-GFCCVEGCSA-N 0 3 322.449 4.242 20 0 DIADHN FC(F)Oc1ccc(-c2cc(N3CCCCC3)ccn2)cc1 ZINC001242440264 1057368284 /nfs/dbraw/zinc/36/82/84/1057368284.db2.gz ZGUWXVJFXCKHIK-UHFFFAOYSA-N 0 3 304.340 4.340 20 0 DIADHN COc1c(F)cccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001242485302 1057380674 /nfs/dbraw/zinc/38/06/74/1057380674.db2.gz PLRRPNWOZLPXCT-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCSc1ccccc1[C@@H](C)NC[C@H](O)c1ccc(C)o1 ZINC000766144203 1057380888 /nfs/dbraw/zinc/38/08/88/1057380888.db2.gz VVJNYLDWJVSHRA-HIFRSBDPSA-N 0 3 305.443 4.084 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(F)c2OC)c1F ZINC001242484975 1057381012 /nfs/dbraw/zinc/38/10/12/1057381012.db2.gz KIQRZWACYFTYAJ-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN Clc1cccc([C@H](NCc2cn[nH]c2)C2CCCCC2)c1 ZINC000675486173 1057382232 /nfs/dbraw/zinc/38/22/32/1057382232.db2.gz QCAFNRRKVAMEPE-QGZVFWFLSA-N 0 3 303.837 4.474 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(OC)c2C)ccc1F ZINC000658352267 1057384064 /nfs/dbraw/zinc/38/40/64/1057384064.db2.gz GXTIAFRZDVWEBZ-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CC(C)[C@H](NCCC(=O)OC(C)(C)C)c1ccccc1Cl ZINC001168758471 1057386179 /nfs/dbraw/zinc/38/61/79/1057386179.db2.gz SOWQLNKNSKEFTC-INIZCTEOSA-N 0 3 311.853 4.359 20 0 DIADHN CC(C)(C)C(=O)Nc1ccc(CN)nc1C1=CCCCCCC1 ZINC001242501638 1057386523 /nfs/dbraw/zinc/38/65/23/1057386523.db2.gz BEBKJQVVFBUWIM-UHFFFAOYSA-N 0 3 315.461 4.263 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(Cl)cnc2OC)cc1F ZINC001242509301 1057388410 /nfs/dbraw/zinc/38/84/10/1057388410.db2.gz CFZKEHZYMRUUOQ-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc([C@@H](C)O)c2)ccc1F ZINC001242551730 1057402787 /nfs/dbraw/zinc/40/27/87/1057402787.db2.gz JUBSLHZDJWFAFT-CQSZACIVSA-N 0 3 301.405 4.388 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](c1ccccc1)C1CC1 ZINC000675735991 1057403360 /nfs/dbraw/zinc/40/33/60/1057403360.db2.gz WZSGWCSYPNATRF-MJGOQNOKSA-N 0 3 309.409 4.260 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](c1ccccc1)C1CC1 ZINC000675735992 1057403576 /nfs/dbraw/zinc/40/35/76/1057403576.db2.gz WZSGWCSYPNATRF-PKOBYXMFSA-N 0 3 309.409 4.260 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](CC1CC1)c1ccccc1 ZINC000675727212 1057404923 /nfs/dbraw/zinc/40/49/23/1057404923.db2.gz KVUHIZSNGBYPCI-LJQANCHMSA-N 0 3 322.452 4.373 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1cccs1 ZINC000675726661 1057405122 /nfs/dbraw/zinc/40/51/22/1057405122.db2.gz BERMSEABFUZRTQ-VXGBXAGGSA-N 0 3 300.427 4.045 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](Cn2cccn2)c2ccccc2)c2ccccc21 ZINC000675757028 1057407722 /nfs/dbraw/zinc/40/77/22/1057407722.db2.gz YGGBZJSMYVGIPB-HBGVWJBISA-N 0 3 317.436 4.463 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCc1c(F)cccc1F ZINC000675753365 1057407929 /nfs/dbraw/zinc/40/79/29/1057407929.db2.gz IVKYZFVKWMSFPE-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN Cc1occc1CN[C@H](C)CC(=O)Nc1ccc(C(C)C)cc1 ZINC000675780416 1057410723 /nfs/dbraw/zinc/41/07/23/1057410723.db2.gz XWWOWMHJWQBVSS-CQSZACIVSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1ccc([C@@H](C)NCCOc2ccccc2Br)o1 ZINC000675777438 1057412295 /nfs/dbraw/zinc/41/22/95/1057412295.db2.gz GEYVUPMZWOSUIS-GFCCVEGCSA-N 0 3 324.218 4.080 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CSCc2ccccc21 ZINC000675782299 1057413193 /nfs/dbraw/zinc/41/31/93/1057413193.db2.gz DJJJATXSJWTRJQ-QZTJIDSGSA-N 0 3 311.450 4.260 20 0 DIADHN COc1cccc2c1OCC[C@@H]2NCc1c(C)cc(C)cc1C ZINC000675789436 1057415004 /nfs/dbraw/zinc/41/50/04/1057415004.db2.gz ZWILPUFAHDEKNQ-SFHVURJKSA-N 0 3 311.425 4.234 20 0 DIADHN COc1cccc2c1OCC[C@H]2NCc1c(C)cc(C)cc1C ZINC000675789435 1057415547 /nfs/dbraw/zinc/41/55/47/1057415547.db2.gz ZWILPUFAHDEKNQ-GOSISDBHSA-N 0 3 311.425 4.234 20 0 DIADHN C[C@H](N[C@H](CN1CCCCC1)c1ccccc1)c1cncs1 ZINC000675810159 1057417274 /nfs/dbraw/zinc/41/72/74/1057417274.db2.gz OKJCCTWKCKJXSR-DOTOQJQBSA-N 0 3 315.486 4.021 20 0 DIADHN COc1ccccc1OCCCN[C@@H](C)c1cc(F)ccc1F ZINC000675814020 1057418271 /nfs/dbraw/zinc/41/82/71/1057418271.db2.gz OIILPJCDZQZQDU-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(-n2ccnc2)cc1)c1ccccn1 ZINC000675817566 1057418575 /nfs/dbraw/zinc/41/85/75/1057418575.db2.gz VEMFXKUTNMJBKT-JXFKEZNVSA-N 0 3 320.440 4.459 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1cc(C)cc(C)c1 ZINC000675830981 1057420917 /nfs/dbraw/zinc/42/09/17/1057420917.db2.gz MVVGTJGBEGKLON-MAUKXSAKSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3ccc(F)cc3)s2)[nH]n1 ZINC000675893539 1057426962 /nfs/dbraw/zinc/42/69/62/1057426962.db2.gz ODKAFRJKQMHRLC-LBPRGKRZSA-N 0 3 315.417 4.437 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3ccc(F)cc3)s2)n[nH]1 ZINC000675893539 1057426969 /nfs/dbraw/zinc/42/69/69/1057426969.db2.gz ODKAFRJKQMHRLC-LBPRGKRZSA-N 0 3 315.417 4.437 20 0 DIADHN Cc1cc(CN[C@H](Cc2ccc(C)cc2)c2ccc(C)cc2)[nH]n1 ZINC000675893574 1057427279 /nfs/dbraw/zinc/42/72/79/1057427279.db2.gz PJQHFWBGOXJVOQ-OAQYLSRUSA-N 0 3 319.452 4.409 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1cc(C)ccc1C ZINC000675902778 1057433731 /nfs/dbraw/zinc/43/37/31/1057433731.db2.gz CSMIMALTLIKAGS-HNAYVOBHSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@H](C)c3ccccc32)c(N2CCCC2)n1 ZINC000675931665 1057436281 /nfs/dbraw/zinc/43/62/81/1057436281.db2.gz SUHLJENNYHMAAT-MGPUTAFESA-N 0 3 321.468 4.328 20 0 DIADHN CC[C@@H](Cc1cccs1)NCc1csc([C@@H](C)OC)n1 ZINC000675929687 1057436610 /nfs/dbraw/zinc/43/66/10/1057436610.db2.gz AQCNNQNUVYHGRR-NEPJUHHUSA-N 0 3 310.488 4.023 20 0 DIADHN Fc1cc(CN2CCC[C@@H](F)CC2)cc(OC(F)(F)F)c1 ZINC001143255966 1057453987 /nfs/dbraw/zinc/45/39/87/1057453987.db2.gz BRLGNZWIVXDGOX-LLVKDONJSA-N 0 3 309.278 4.048 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(-c3ccc(F)cc3)o2)C1 ZINC000676268385 1057460339 /nfs/dbraw/zinc/46/03/39/1057460339.db2.gz RUDJNAZGHRMBBZ-INIZCTEOSA-N 0 3 303.377 4.087 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccnc(OC3CC3)c2)cc1F ZINC001242726035 1057460942 /nfs/dbraw/zinc/46/09/42/1057460942.db2.gz KEWBFWQCUKKCCG-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(-c3ccccc3F)o2)C1 ZINC000676413724 1057471025 /nfs/dbraw/zinc/47/10/25/1057471025.db2.gz GAFCOCUFIVRXQZ-AWEZNQCLSA-N 0 3 303.377 4.087 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnnn1-c1ccccc1)C(C)C ZINC000676416957 1057472191 /nfs/dbraw/zinc/47/21/91/1057472191.db2.gz CAUHVBOMTAQCFD-FQEVSTJZSA-N 0 3 320.440 4.063 20 0 DIADHN Cc1nc([C@H](C)NCCc2c[nH]c3cccc(C)c23)c(C)s1 ZINC000676490508 1057476548 /nfs/dbraw/zinc/47/65/48/1057476548.db2.gz PYASZNJHQJUKIY-LBPRGKRZSA-N 0 3 313.470 4.443 20 0 DIADHN c1ccc(-c2cncc(CN3CCCCC3)c2)c(OC2CC2)c1 ZINC001242793470 1057477180 /nfs/dbraw/zinc/47/71/80/1057477180.db2.gz JOAUUGYNRSTONT-UHFFFAOYSA-N 0 3 308.425 4.276 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3cccc(F)c3)CC2)c(C)s1 ZINC000676493407 1057477368 /nfs/dbraw/zinc/47/73/68/1057477368.db2.gz XVMVQXLFICSTQG-LLVKDONJSA-N 0 3 304.434 4.281 20 0 DIADHN Cc1cc(CNCc2ccc(Sc3ccccc3)cc2)n[nH]1 ZINC000676569002 1057484516 /nfs/dbraw/zinc/48/45/16/1057484516.db2.gz BHTCZGMISMTBRS-UHFFFAOYSA-N 0 3 309.438 4.159 20 0 DIADHN CSc1ccc(-c2ncccc2CN2CCCC2)c(F)c1 ZINC001242813461 1057488007 /nfs/dbraw/zinc/48/80/07/1057488007.db2.gz SXUIRXVACQCHTA-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN COc1ccc(-c2ccc(SC)cc2F)cc1CN(C)C ZINC001242813967 1057488952 /nfs/dbraw/zinc/48/89/52/1057488952.db2.gz AZTNLQFQRVBSSN-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(C(F)(F)F)cc2)C(C)C)[nH]n1 ZINC000676644329 1057491686 /nfs/dbraw/zinc/49/16/86/1057491686.db2.gz QCSMWLHOFXUSLU-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000218861174 1057502442 /nfs/dbraw/zinc/50/24/42/1057502442.db2.gz AIJHFDDTIYVUJU-GJZGRUSLSA-N 0 3 312.457 4.184 20 0 DIADHN Fc1cc(-c2c[nH]c3ncccc23)ccc1CN1CCCCC1 ZINC001242864843 1057504864 /nfs/dbraw/zinc/50/48/64/1057504864.db2.gz KWKOCFSGPGKGON-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCC1(CC)CCC(NCc2nc3c(s2)COCC3)CC1 ZINC000922961182 1057517698 /nfs/dbraw/zinc/51/76/98/1057517698.db2.gz YSEILVCPAFGYRS-UHFFFAOYSA-N 0 3 308.491 4.054 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@@H]2CCC3(CCC3)O2)n1 ZINC000922969166 1057518508 /nfs/dbraw/zinc/51/85/08/1057518508.db2.gz XICPUWGGIQLDLS-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN Clc1ccc2cccnc2c1CNC1([C@@H]2CCCCO2)CC1 ZINC000923030786 1057522150 /nfs/dbraw/zinc/52/21/50/1057522150.db2.gz SBKZKQDTSZSOPW-INIZCTEOSA-N 0 3 316.832 4.080 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CCCC4(CC4)CC3)o2)cc1 ZINC000626113434 1057526227 /nfs/dbraw/zinc/52/62/27/1057526227.db2.gz SBALRXUNIOGBOL-HNNXBMFYSA-N 0 3 311.429 4.372 20 0 DIADHN Cc1nc(-c2cc(O)cc(OC(F)(F)F)c2)cc2[nH]ccc21 ZINC001242985971 1057536999 /nfs/dbraw/zinc/53/69/99/1057536999.db2.gz DKIUUOYJVMYTSE-UHFFFAOYSA-N 0 3 308.259 4.143 20 0 DIADHN COc1cccc2c1CN(CC1CCC(C(F)(F)F)CC1)C2 ZINC000626022934 1057549088 /nfs/dbraw/zinc/54/90/88/1057549088.db2.gz PCUZMOFVBVDSFQ-UHFFFAOYSA-N 0 3 313.363 4.380 20 0 DIADHN Fc1ccc(-c2ccc(-c3ccc4c(n3)OCCNC4)cc2)cc1 ZINC001243031175 1057551265 /nfs/dbraw/zinc/55/12/65/1057551265.db2.gz KMDBPKWGRPTXTG-UHFFFAOYSA-N 0 3 320.367 4.037 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N(Cc1ccnc(N)c1)C1CC1 ZINC000411233440 1057554894 /nfs/dbraw/zinc/55/48/94/1057554894.db2.gz CGXTXPUZUXYFPL-OAHLLOKOSA-N 0 3 317.436 4.543 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2ccc(F)c([O-])c2)ccc1OC ZINC001243045894 1057556393 /nfs/dbraw/zinc/55/63/93/1057556393.db2.gz AOMUZGUBTVJOOG-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN COc1ccc(C[NH+]2CCCCC2)cc1-c1ccc(F)c([O-])c1 ZINC001243048308 1057557495 /nfs/dbraw/zinc/55/74/95/1057557495.db2.gz VODKJNQOHMMZDY-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COc1ccc(-c2ccc(Cl)nc2Cl)cc1CN(C)C ZINC001243087989 1057567342 /nfs/dbraw/zinc/56/73/42/1057567342.db2.gz GRZDCPFYAGNPMF-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN Clc1ccc(-c2cncc(CN3CCCC3)c2)c(Cl)n1 ZINC001243089244 1057568113 /nfs/dbraw/zinc/56/81/13/1057568113.db2.gz ZIJWLPOYYGXLQN-UHFFFAOYSA-N 0 3 308.212 4.046 20 0 DIADHN CCCCOC(=O)c1cccc(-c2cnccc2/C=C/N(C)C)c1 ZINC001243098700 1057572235 /nfs/dbraw/zinc/57/22/35/1057572235.db2.gz NXNJIUKRRDDRJC-ZRDIBKRKSA-N 0 3 324.424 4.238 20 0 DIADHN CCc1cccc(NC2CCN(Cc3ccncc3)CC2)c1CC ZINC000488389258 1057579982 /nfs/dbraw/zinc/57/99/82/1057579982.db2.gz RRWBQCNJFAWRDI-UHFFFAOYSA-N 0 3 323.484 4.283 20 0 DIADHN CCc1ncc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)s1 ZINC000267606961 1057581306 /nfs/dbraw/zinc/58/13/06/1057581306.db2.gz GMADCHSSVAKNSE-UHFFFAOYSA-N 0 3 313.470 4.402 20 0 DIADHN CSc1ccc(F)c(-c2cncc(CN3CCCC3)c2)c1F ZINC001243215929 1057607377 /nfs/dbraw/zinc/60/73/77/1057607377.db2.gz DXSJTIZDINVXNA-UHFFFAOYSA-N 0 3 320.408 4.345 20 0 DIADHN Cc1c(Br)cccc1CNCc1cccn1C1CC1 ZINC001118399446 1057611733 /nfs/dbraw/zinc/61/17/33/1057611733.db2.gz DQYGWUGBBMBAKB-UHFFFAOYSA-N 0 3 319.246 4.184 20 0 DIADHN COc1c(F)cc(-c2cccc(CN3CCCC3)c2F)cc1F ZINC001243226963 1057612730 /nfs/dbraw/zinc/61/27/30/1057612730.db2.gz HEDFJKLDCRDVCL-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN COc1ccc(-c2c(F)cc(SC)cc2F)cc1CN(C)C ZINC001243231244 1057615197 /nfs/dbraw/zinc/61/51/97/1057615197.db2.gz LAHANJYKRAQDIX-UHFFFAOYSA-N 0 3 323.408 4.424 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CCCOCC2)s1 ZINC000510516199 1057615934 /nfs/dbraw/zinc/61/59/34/1057615934.db2.gz BKXNUJPOBPGMKT-UHFFFAOYSA-N 0 3 307.846 4.291 20 0 DIADHN CCCCOc1ccc(F)cc1-c1cncc(CN(C)C)c1 ZINC001243240518 1057618084 /nfs/dbraw/zinc/61/80/84/1057618084.db2.gz WWZZVTXMXOBQKY-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2scnc2C2CC2)cc1 ZINC000494357282 1057618604 /nfs/dbraw/zinc/61/86/04/1057618604.db2.gz OTFHKGVZMWTPTO-MRXNPFEDSA-N 0 3 314.454 4.366 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1scnc1C1CC1 ZINC000494946844 1057619290 /nfs/dbraw/zinc/61/92/90/1057619290.db2.gz IUMKCWXICNIKOX-ZDUSSCGKSA-N 0 3 316.470 4.092 20 0 DIADHN CCCCOc1cccc(F)c1-c1cncc(CN(C)C)c1 ZINC001243269771 1057629353 /nfs/dbraw/zinc/62/93/53/1057629353.db2.gz KHZBRTFWXFBTPP-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCc1ncc(CNC[C@H](c2ccccc2)C(F)(F)F)s1 ZINC000275017187 1057635491 /nfs/dbraw/zinc/63/54/91/1057635491.db2.gz RTBCQZIPCUDBOF-CYBMUJFWSA-N 0 3 314.376 4.141 20 0 DIADHN COc1nccc(-c2cccc(CN3CCCC3)c2F)c1Cl ZINC001243320127 1057644776 /nfs/dbraw/zinc/64/47/76/1057644776.db2.gz PTDPRXSJJCGUFZ-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN Cc1c(Cl)cc(Cl)cc1-c1cnccc1N1CCOCC1 ZINC001243333169 1057649118 /nfs/dbraw/zinc/64/91/18/1057649118.db2.gz WMXZEZPNZQPMBK-UHFFFAOYSA-N 0 3 323.223 4.200 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1coc3ccccc13)CC2 ZINC000280045955 1057650919 /nfs/dbraw/zinc/65/09/19/1057650919.db2.gz JFLQUOOBSXPUIM-KRWDZBQOSA-N 0 3 323.392 4.227 20 0 DIADHN C[C@@H](CN(C)Cn1cc(-c2ccccc2)cn1)c1ccccc1 ZINC000727429495 1057653778 /nfs/dbraw/zinc/65/37/78/1057653778.db2.gz WSRJDZNJUMYPJZ-KRWDZBQOSA-N 0 3 305.425 4.243 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc12)c1ccccc1C(F)(F)F ZINC000727468375 1057655833 /nfs/dbraw/zinc/65/58/33/1057655833.db2.gz IEVAVUVIICBGOV-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1ccccc1C(F)(F)F ZINC000727468375 1057655835 /nfs/dbraw/zinc/65/58/35/1057655835.db2.gz IEVAVUVIICBGOV-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CCC[C@@H](c2ccccc2)CC1 ZINC000727585203 1057657297 /nfs/dbraw/zinc/65/72/97/1057657297.db2.gz FGLZCBYQJXYSBN-MRXNPFEDSA-N 0 3 317.864 4.152 20 0 DIADHN FC(F)Oc1cccc(-c2cncc(CN3CCCCC3)c2)c1 ZINC001243359700 1057658119 /nfs/dbraw/zinc/65/81/19/1057658119.db2.gz PWWCNKPIPAQYQN-UHFFFAOYSA-N 0 3 318.367 4.336 20 0 DIADHN C[C@@H](NCc1ncccc1F)C1CCC(C(F)(F)F)CC1 ZINC000527240626 1057662113 /nfs/dbraw/zinc/66/21/13/1057662113.db2.gz RITTUQJHSBAGKA-VOMCLLRMSA-N 0 3 304.331 4.068 20 0 DIADHN C[C@H](NCc1ncccc1F)C1CCC(C(F)(F)F)CC1 ZINC000527240625 1057662513 /nfs/dbraw/zinc/66/25/13/1057662513.db2.gz RITTUQJHSBAGKA-UNXYVOJBSA-N 0 3 304.331 4.068 20 0 DIADHN CCC/C(C)=C\C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000727676550 1057664561 /nfs/dbraw/zinc/66/45/61/1057664561.db2.gz WTYAWSCDCZHVCQ-AOBSDOCKSA-N 0 3 314.473 4.076 20 0 DIADHN COc1ccc(-c2cnc(C)s2)cc1CN1CCCCC1 ZINC001243380994 1057665255 /nfs/dbraw/zinc/66/52/55/1057665255.db2.gz HUNLHXPCRSBSHZ-UHFFFAOYSA-N 0 3 302.443 4.113 20 0 DIADHN CCN(Cc1ccc(SC)cc1)Cc1ccc2c(c1)OCO2 ZINC000057621740 1057667607 /nfs/dbraw/zinc/66/76/07/1057667607.db2.gz NRURWVKDLGWIOH-UHFFFAOYSA-N 0 3 315.438 4.159 20 0 DIADHN Cc1cc(-c2ccc(F)c(CN3CCCCC3)c2)cnc1F ZINC001243398362 1057670890 /nfs/dbraw/zinc/67/08/90/1057670890.db2.gz RFENPKUWRMVEBP-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCCCOc1ccc(CN[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000533848340 1057673254 /nfs/dbraw/zinc/67/32/54/1057673254.db2.gz DQOPXGKUEFRYIH-OAQYLSRUSA-N 0 3 324.468 4.142 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H](CC2CC2)c2ccccc2)C1 ZINC000536845540 1057674677 /nfs/dbraw/zinc/67/46/77/1057674677.db2.gz MVGJSABODHBHNB-RTWAWAEBSA-N 0 3 306.453 4.304 20 0 DIADHN Fc1cc(CNCCSCc2ccccc2)cc(F)c1F ZINC000728066793 1057684341 /nfs/dbraw/zinc/68/43/41/1057684341.db2.gz SUXHASRKOTVATK-UHFFFAOYSA-N 0 3 311.372 4.127 20 0 DIADHN CC(C)Oc1cccc(-c2cnccc2/C=C/N(C)C)c1F ZINC001243450199 1057688548 /nfs/dbraw/zinc/68/85/48/1057688548.db2.gz KHGZNTCQSDMPFI-PKNBQFBNSA-N 0 3 300.377 4.207 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(-c3cc(CN)ncn3)cc2)cc1 ZINC001243450195 1057688794 /nfs/dbraw/zinc/68/87/94/1057688794.db2.gz KFMBHKOWIXDDSR-UHFFFAOYSA-N 0 3 319.408 4.057 20 0 DIADHN O=C(Nc1ccccc1)[C@H](c1ccccc1)N1CCCCCC1 ZINC000027269441 1057692285 /nfs/dbraw/zinc/69/22/85/1057692285.db2.gz JVOZHCZALFYNEL-IBGZPJMESA-N 0 3 308.425 4.242 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](c1ccccc1)N1CCCCCC1 ZINC000027269447 1057692704 /nfs/dbraw/zinc/69/27/04/1057692704.db2.gz JVOZHCZALFYNEL-LJQANCHMSA-N 0 3 308.425 4.242 20 0 DIADHN [O-]c1c(F)cc(F)cc1-c1ccc(F)c(C[NH+]2CCCC2)c1 ZINC001243505125 1057697855 /nfs/dbraw/zinc/69/78/55/1057697855.db2.gz DGLYXLWARHGXSL-UHFFFAOYSA-N 0 3 307.315 4.072 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2cc(F)cc(F)c2[O-])ccc1F ZINC001243506838 1057697971 /nfs/dbraw/zinc/69/79/71/1057697971.db2.gz LOLMOWHVDISAGP-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730023075 1057700714 /nfs/dbraw/zinc/70/07/14/1057700714.db2.gz RQUKDRNDIYOQMB-MJBXVCDLSA-N 0 3 318.486 4.245 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730022108 1057700822 /nfs/dbraw/zinc/70/08/22/1057700822.db2.gz MFUMZJHJPBXQTE-CHWSQXEVSA-N 0 3 318.486 4.245 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730020601 1057700870 /nfs/dbraw/zinc/70/08/70/1057700870.db2.gz CGDRZUYHNQJWCM-KGLIPLIRSA-N 0 3 318.486 4.247 20 0 DIADHN Cc1ccc2c(c1)[C@@H]1CN(C)CC[C@H]1N2C(=O)CC1=CCCCC1 ZINC000730165836 1057706402 /nfs/dbraw/zinc/70/64/02/1057706402.db2.gz OGFOJVTWUOIYPW-AZUAARDMSA-N 0 3 324.468 4.020 20 0 DIADHN Cc1ccc2c(c1)[C@H]1CN(C)CC[C@H]1N2C(=O)CC1=CCCCC1 ZINC000730165840 1057706516 /nfs/dbraw/zinc/70/65/16/1057706516.db2.gz OGFOJVTWUOIYPW-UYAOXDASSA-N 0 3 324.468 4.020 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2cccc([O-])c2F)ccc1OC ZINC001243546035 1057709180 /nfs/dbraw/zinc/70/91/80/1057709180.db2.gz KHHSVUWPUSQZJS-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1ccc2c(CN3CCCc4ccccc4C3)cc(=O)oc2c1 ZINC000730265467 1057710044 /nfs/dbraw/zinc/71/00/44/1057710044.db2.gz VXBOCFNMFZEASX-UHFFFAOYSA-N 0 3 319.404 4.050 20 0 DIADHN [O-]c1cccc(-c2ccc3[nH]cc(CC[NH+]4CCCC4)c3c2)c1F ZINC001243547832 1057710335 /nfs/dbraw/zinc/71/03/35/1057710335.db2.gz XPTJPMUVUYWAOD-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN COc1c(Cl)ccc(-c2ccc3c(N)ccnc3c2)c1F ZINC001243576944 1057720737 /nfs/dbraw/zinc/72/07/37/1057720737.db2.gz LRCXLVJGGHLTDV-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN COc1ccc(-c2ccc(Cl)c(OC)c2F)cc1CN(C)C ZINC001243577585 1057721438 /nfs/dbraw/zinc/72/14/38/1057721438.db2.gz WBCYKMCWQHYGSO-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN CCN(CC)c1ncc(CN[C@@](C)(CC)c2ccccc2)s1 ZINC000730817794 1057726541 /nfs/dbraw/zinc/72/65/41/1057726541.db2.gz VSJCMEMLXZNJLY-SFHVURJKSA-N 0 3 317.502 4.404 20 0 DIADHN CCCOc1c(F)cc(F)c(-c2cc(CN(C)C)ccn2)c1F ZINC001243621431 1057736637 /nfs/dbraw/zinc/73/66/37/1057736637.db2.gz IWXOBXQLYCAYQL-UHFFFAOYSA-N 0 3 324.346 4.016 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)Cn1nccc1-c1ccncc1 ZINC000731444988 1057743529 /nfs/dbraw/zinc/74/35/29/1057743529.db2.gz JMGJGCGKQOYZNV-KRWDZBQOSA-N 0 3 320.440 4.203 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1ccccc1C(F)(F)F ZINC000731450323 1057743752 /nfs/dbraw/zinc/74/37/52/1057743752.db2.gz CNBWXTHVNPRWSM-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H](O)c2ccc3ccccc3c2)o1 ZINC000731452796 1057743782 /nfs/dbraw/zinc/74/37/82/1057743782.db2.gz NRUNHYSJACDYPI-UAOJZALGSA-N 0 3 321.420 4.379 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cn2ccc(-c3ccsc3)n2)C1 ZINC000731660050 1057749632 /nfs/dbraw/zinc/74/96/32/1057749632.db2.gz NMWFAOWNRQUXKD-MRXNPFEDSA-N 0 3 323.465 4.367 20 0 DIADHN Cc1nc2ccc(-c3cccc(N4CCN(C)CC4)c3)cc2s1 ZINC001243669960 1057750029 /nfs/dbraw/zinc/75/00/29/1057750029.db2.gz YMCHODBWIQSIKX-UHFFFAOYSA-N 0 3 323.465 4.024 20 0 DIADHN CN(CCCc1ccccc1)Cn1ccc(-c2ccccc2)n1 ZINC000731658000 1057750146 /nfs/dbraw/zinc/75/01/46/1057750146.db2.gz BHXAVEHGWXGRME-UHFFFAOYSA-N 0 3 305.425 4.072 20 0 DIADHN CN1CCN(c2cccc(-c3ccc(OC4CCC4)cc3)c2)CC1 ZINC001243671313 1057750231 /nfs/dbraw/zinc/75/02/31/1057750231.db2.gz MKCYXPOBYVKFIL-UHFFFAOYSA-N 0 3 322.452 4.037 20 0 DIADHN CN1CCN(c2cccc(-c3ccc(C(F)F)cc3)c2)CC1 ZINC001243669502 1057750282 /nfs/dbraw/zinc/75/02/82/1057750282.db2.gz RXYNOBOLUDLCHE-UHFFFAOYSA-N 0 3 302.368 4.043 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@@H](c4ccccc4)C3)n2)cs1 ZINC000731661557 1057751180 /nfs/dbraw/zinc/75/11/80/1057751180.db2.gz OIUZIHADBLJQTN-MRXNPFEDSA-N 0 3 309.438 4.059 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC(C)(C)O2)c1cccc(O)c1 ZINC000731678994 1057751987 /nfs/dbraw/zinc/75/19/87/1057751987.db2.gz VREFDYCTVHQZFU-AWEZNQCLSA-N 0 3 311.425 4.347 20 0 DIADHN CN(C/C=C/Cl)CCCCCc1cc(-c2ccccc2)n[nH]1 ZINC000731751050 1057753196 /nfs/dbraw/zinc/75/31/96/1057753196.db2.gz FVWPGPUHZQGNGK-XYOKQWHBSA-N 0 3 317.864 4.474 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1ccc(Cl)nc1 ZINC000731751830 1057753445 /nfs/dbraw/zinc/75/34/45/1057753445.db2.gz DHUSPLNVYKPWDI-KRWDZBQOSA-N 0 3 316.832 4.253 20 0 DIADHN OCc1cc(F)cc(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001243695910 1057755769 /nfs/dbraw/zinc/75/57/69/1057755769.db2.gz DIHLCFWTYARADB-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2ncsc2c1)c1ccc(F)nc1 ZINC001119822197 1057755953 /nfs/dbraw/zinc/75/59/53/1057755953.db2.gz GBXSMKSGDRHMCH-QWRGUYRKSA-N 0 3 301.390 4.242 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(C)cc(CO)c2)cc1F ZINC001243704593 1057758017 /nfs/dbraw/zinc/75/80/17/1057758017.db2.gz OVFSMTBDKKITJS-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN OCc1cccc(-c2ccc(F)c(CN3CCCC3)c2)c1Cl ZINC001243707720 1057758511 /nfs/dbraw/zinc/75/85/11/1057758511.db2.gz WGWYDJSNOAIADA-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(c2ccnc3ccccc32)CC1 ZINC000731921673 1057758991 /nfs/dbraw/zinc/75/89/91/1057758991.db2.gz NRHFNMKQDVZRTB-NRFANRHFSA-N 0 3 318.420 4.185 20 0 DIADHN CCN(CC)Cc1cc(-c2c(Cl)cccc2CO)ccc1F ZINC001243716357 1057760188 /nfs/dbraw/zinc/76/01/88/1057760188.db2.gz KIPQAKAQIVSXON-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(Cl)cccc2CO)cc1F ZINC001243717939 1057761012 /nfs/dbraw/zinc/76/10/12/1057761012.db2.gz ZSVNHJOOODWUHF-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN C[C@@H](c1ccncc1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000732196719 1057769884 /nfs/dbraw/zinc/76/98/84/1057769884.db2.gz PJBLORWBUUSWDR-HNNXBMFYSA-N 0 3 303.409 4.413 20 0 DIADHN CCOc1ncc(-c2ccc(F)c(CN(CC)CC)c2)cc1F ZINC001243776786 1057776321 /nfs/dbraw/zinc/77/63/21/1057776321.db2.gz RSHIBLPGSUZSSQ-UHFFFAOYSA-N 0 3 320.383 4.267 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccnc(F)c3C)c(C)c2)CC1 ZINC001243776326 1057776652 /nfs/dbraw/zinc/77/66/52/1057776652.db2.gz XEFJNHJAAIKCSN-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN CN1CCc2ccc(-c3ccc(Br)cc3F)cc2C1 ZINC001243810183 1057785230 /nfs/dbraw/zinc/78/52/30/1057785230.db2.gz VBAQJUMLVAQZCE-UHFFFAOYSA-N 0 3 320.205 4.243 20 0 DIADHN CCN(CC)Cc1cc(C2=CC[C@@H](OC)CC2)ccc1OC ZINC001243816608 1057786395 /nfs/dbraw/zinc/78/63/95/1057786395.db2.gz OVLLYQTXCIOTNP-GOSISDBHSA-N 0 3 303.446 4.119 20 0 DIADHN COc1ccc(C2=CC[C@H](OC)CC2)cc1CN1CCCCC1 ZINC001243818230 1057786694 /nfs/dbraw/zinc/78/66/94/1057786694.db2.gz VGHXTRYTHQMOMR-IBGZPJMESA-N 0 3 315.457 4.263 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(OCOC)c2)ccc1F ZINC001243829655 1057789516 /nfs/dbraw/zinc/78/95/16/1057789516.db2.gz YWZUPEVSVFXVIC-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN COc1ccc(-c2cc(F)cc(F)c2Cl)cc1CN(C)C ZINC001243841944 1057790875 /nfs/dbraw/zinc/79/08/75/1057790875.db2.gz MXLFFLVTRKMPKA-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN Cc1cc(F)c2[nH]ccc2c1-c1ccc(CN(C)C2CC2)cn1 ZINC001243884460 1057798897 /nfs/dbraw/zinc/79/88/97/1057798897.db2.gz TUZIOFXDEUPMPD-UHFFFAOYSA-N 0 3 309.388 4.272 20 0 DIADHN Cc1cc(N[C@H](CC(C)(C)C)c2ccc(F)cc2)nc(N)n1 ZINC000733186584 1057805596 /nfs/dbraw/zinc/80/55/96/1057805596.db2.gz AAMFQJJZOAKVEI-CQSZACIVSA-N 0 3 302.397 4.096 20 0 DIADHN C[C@H](Cc1ccco1)NCc1cc(C(F)(F)F)ccc1F ZINC000733323945 1057812088 /nfs/dbraw/zinc/81/20/88/1057812088.db2.gz AWPYUZKECHCNAN-SNVBAGLBSA-N 0 3 301.283 4.158 20 0 DIADHN Cc1nc2cc(-c3ccc(CN(C)C4CC4)cn3)ccc2s1 ZINC001243938484 1057813618 /nfs/dbraw/zinc/81/36/18/1057813618.db2.gz HXRWFTAOGAVJQF-UHFFFAOYSA-N 0 3 309.438 4.261 20 0 DIADHN COc1ccc(-c2ccc3sc(C)nc3c2)cc1CN(C)C ZINC001243938258 1057813634 /nfs/dbraw/zinc/81/36/34/1057813634.db2.gz ZUWSVESQFPDKHJ-UHFFFAOYSA-N 0 3 312.438 4.342 20 0 DIADHN COc1ccc(-c2cc(F)c(SC)cc2F)cc1CN(C)C ZINC001243946309 1057816133 /nfs/dbraw/zinc/81/61/33/1057816133.db2.gz ZTMSTBIZAKGNPE-UHFFFAOYSA-N 0 3 323.408 4.424 20 0 DIADHN Fc1cc(C2=CNCCC2)cc(OCc2ccccc2)c1F ZINC001243952522 1057817335 /nfs/dbraw/zinc/81/73/35/1057817335.db2.gz SJSZTKKKOSTEAO-UHFFFAOYSA-N 0 3 301.336 4.268 20 0 DIADHN FC(F)(F)Oc1ccc(-c2ccc(C3=CNCCC3)cn2)cc1 ZINC001243952904 1057817521 /nfs/dbraw/zinc/81/75/21/1057817521.db2.gz YRFFOGXXTBEEKK-UHFFFAOYSA-N 0 3 320.314 4.372 20 0 DIADHN COc1ccc(-c2cnccc2/C=C/N(C)C)c(C(F)(F)F)c1 ZINC001243948260 1057818115 /nfs/dbraw/zinc/81/81/15/1057818115.db2.gz ZOYIORBLMUAJQX-VQHVLOKHSA-N 0 3 322.330 4.308 20 0 DIADHN FC(F)(F)c1ccc(-c2ccc3c(n2)CNCC3)c(Cl)c1 ZINC001243986124 1057829713 /nfs/dbraw/zinc/82/97/13/1057829713.db2.gz AVOMPQMVXINLPX-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN CCOc1ccc(-c2nccc3c2CCN3)c(C(F)(F)F)c1 ZINC001244043592 1057846476 /nfs/dbraw/zinc/84/64/76/1057846476.db2.gz BSLQXMLBRDBITJ-UHFFFAOYSA-N 0 3 308.303 4.134 20 0 DIADHN [O-]c1cccc(Cl)c1-c1cccc(C[NH+]2CCCC2)c1F ZINC001244046166 1057848208 /nfs/dbraw/zinc/84/82/08/1057848208.db2.gz AZTPKWXAAPAHIC-UHFFFAOYSA-N 0 3 305.780 4.448 20 0 DIADHN COc1ccc(-c2ccccc2OCC(C)C)cc1CN(C)C ZINC001244057049 1057852516 /nfs/dbraw/zinc/85/25/16/1057852516.db2.gz QWFAGTCYCTUTNI-UHFFFAOYSA-N 0 3 313.441 4.459 20 0 DIADHN Cc1ccc(-c2ccccc2)cc1-c1cccnc1OC1CNC1 ZINC001244060861 1057854284 /nfs/dbraw/zinc/85/42/84/1057854284.db2.gz ZGPKMWFVZRMBEY-UHFFFAOYSA-N 0 3 316.404 4.075 20 0 DIADHN COc1cccc(C(F)(F)F)c1-c1ccc2c(c1)CN(C)CC2 ZINC001244084870 1057863761 /nfs/dbraw/zinc/86/37/61/1057863761.db2.gz FHOGLZSLKAOILZ-UHFFFAOYSA-N 0 3 321.342 4.369 20 0 DIADHN Nc1c(F)c(F)c(F)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001244179440 1057892876 /nfs/dbraw/zinc/89/28/76/1057892876.db2.gz QURQCXFEWPNDHR-UHFFFAOYSA-N 0 3 324.321 4.088 20 0 DIADHN Cc1ncc(C(F)(F)F)cc1-c1cnccc1/C=C/N(C)C ZINC001244263169 1057915731 /nfs/dbraw/zinc/91/57/31/1057915731.db2.gz ZZJXXEHEGBNMEU-FNORWQNLSA-N 0 3 307.319 4.003 20 0 DIADHN Nc1ccc(-c2ccc(C3=NCCC3)cc2)cc1OC(F)(F)F ZINC001244267401 1057917466 /nfs/dbraw/zinc/91/74/66/1057917466.db2.gz NGXDMOMOHXFFAX-UHFFFAOYSA-N 0 3 320.314 4.417 20 0 DIADHN Nc1ccnc2cc(-c3ccc(N4CCCC4)cc3F)ccc12 ZINC001244271852 1057919208 /nfs/dbraw/zinc/91/92/08/1057919208.db2.gz BXJVMQUBWXYETL-UHFFFAOYSA-N 0 3 307.372 4.223 20 0 DIADHN CN1CCc2ccc(-c3ccc(N4CCCC4)cc3F)cc2C1 ZINC001244271250 1057919409 /nfs/dbraw/zinc/91/94/09/1057919409.db2.gz QMJBBUCPUDUZDR-UHFFFAOYSA-N 0 3 310.416 4.081 20 0 DIADHN Cc1ccc(-c2ccc(-c3ccc4c(n3)OCCNC4)cc2)cc1 ZINC001244297231 1057925974 /nfs/dbraw/zinc/92/59/74/1057925974.db2.gz AJJMIQDTYVVUQR-UHFFFAOYSA-N 0 3 316.404 4.206 20 0 DIADHN Fc1ccc(Cl)c(-c2cncc(CN3CCCC3)c2)c1F ZINC001244309892 1057930299 /nfs/dbraw/zinc/93/02/99/1057930299.db2.gz CVTDJQUZLWHZII-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN COc1ccc(-c2c(Cl)ccc(F)c2F)cc1CN(C)C ZINC001244311177 1057930899 /nfs/dbraw/zinc/93/08/99/1057930899.db2.gz SEUBHCRQOUMVBP-UHFFFAOYSA-N 0 3 311.759 4.355 20 0 DIADHN Cc1cc(F)cc(-c2ccc(CN(C)C3CC3)cn2)c1Cl ZINC001244354320 1057940908 /nfs/dbraw/zinc/94/09/08/1057940908.db2.gz CLWMKSBBSNRHAM-UHFFFAOYSA-N 0 3 304.796 4.444 20 0 DIADHN CC(C)c1cc(-c2cnccc2N2CCOCC2)ccc1Cl ZINC001244441013 1057958763 /nfs/dbraw/zinc/95/87/63/1057958763.db2.gz ZPHBZDPRKAEGOU-UHFFFAOYSA-N 0 3 316.832 4.362 20 0 DIADHN [O-]c1cc(Cl)ccc1-c1cccc2c1C1(CC2)CC[NH2+]CC1 ZINC001244469363 1057968112 /nfs/dbraw/zinc/96/81/12/1057968112.db2.gz IWIVNAQOHPTATJ-UHFFFAOYSA-N 0 3 313.828 4.280 20 0 DIADHN CN(C)Cc1cncc(-c2cc(-c3ccccc3)cnc2F)c1 ZINC001244472638 1057969233 /nfs/dbraw/zinc/96/92/33/1057969233.db2.gz NARUXUPRWCTJBW-UHFFFAOYSA-N 0 3 307.372 4.011 20 0 DIADHN CCN1CCc2cc(-c3c(F)ccc(C(C)=O)c3F)ccc2C1 ZINC001244618616 1058010969 /nfs/dbraw/zinc/01/09/69/1058010969.db2.gz IKGDERNFNNZKJA-UHFFFAOYSA-N 0 3 315.363 4.212 20 0 DIADHN COc1c(F)cc(-c2ccc(CN(C)C3CC3)cn2)cc1Cl ZINC001244696514 1058028975 /nfs/dbraw/zinc/02/89/75/1058028975.db2.gz YQQZPDXQBHTRJX-UHFFFAOYSA-N 0 3 320.795 4.144 20 0 DIADHN CCN(CC)Cc1cc(-c2c(C)cc(CO)cc2C)ccc1F ZINC001244697818 1058029440 /nfs/dbraw/zinc/02/94/40/1058029440.db2.gz ZOPXCOUYUHCYTB-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN COc1c(F)cc(-c2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001244699235 1058029947 /nfs/dbraw/zinc/02/99/47/1058029947.db2.gz CHHQQKNWTWQAON-UHFFFAOYSA-N 0 3 305.780 4.143 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(CO)c(F)c2F)c1F ZINC001244721452 1058035173 /nfs/dbraw/zinc/03/51/73/1058035173.db2.gz OCOUMOXBUHPCIU-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CC(C)Oc1ccc(F)c(F)c1-c1cnccc1/C=C/N(C)C ZINC001244741961 1058039982 /nfs/dbraw/zinc/03/99/82/1058039982.db2.gz GZOYHYGWINAXNX-CSKARUKUSA-N 0 3 318.367 4.346 20 0 DIADHN CN(Cc1ccc(-c2cccc(-c3ccncc3)c2)nc1)C1CC1 ZINC001244782391 1058047601 /nfs/dbraw/zinc/04/76/01/1058047601.db2.gz YDLFFOHRMRNASH-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN Cc1cc(-c2ccc3c(c2)COC32CNC2)cc(Cl)c1Cl ZINC001245044782 1058101424 /nfs/dbraw/zinc/10/14/24/1058101424.db2.gz QTLDKFQGBQXAEJ-UHFFFAOYSA-N 0 3 320.219 4.298 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cc(F)ccc3Cl)c2)CC1 ZINC001245131023 1058117975 /nfs/dbraw/zinc/11/79/75/1058117975.db2.gz JRGBBSFCTYXYLU-UHFFFAOYSA-N 0 3 320.795 4.014 20 0 DIADHN NCc1cccc(-c2ccccc2OCc2cccc(Cl)c2)n1 ZINC001245209361 1058127941 /nfs/dbraw/zinc/12/79/41/1058127941.db2.gz CGWCAMXPRZANGF-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN CCn1ncc2cc(-c3ccc(F)c(CN4CCCC4)c3)ccc21 ZINC001245237069 1058132520 /nfs/dbraw/zinc/13/25/20/1058132520.db2.gz LKXGVRFKRSVTNI-UHFFFAOYSA-N 0 3 323.415 4.458 20 0 DIADHN Nc1ccc2cc(-c3cc(N4CCCCC4)ccn3)ccc2c1 ZINC001245368792 1058154339 /nfs/dbraw/zinc/15/43/39/1058154339.db2.gz RFVMIYPSHVSWKE-UHFFFAOYSA-N 0 3 303.409 4.474 20 0 DIADHN FC(F)(F)CSc1cccc(-c2ccc3c(n2)CNCC3)c1 ZINC001245411442 1058161763 /nfs/dbraw/zinc/16/17/63/1058161763.db2.gz RRHMGDCASVKUGX-UHFFFAOYSA-N 0 3 324.371 4.049 20 0 DIADHN c1ccc(-c2ncccc2C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001245425330 1058164487 /nfs/dbraw/zinc/16/44/87/1058164487.db2.gz JYKNWPJHKDRGMW-LJQANCHMSA-N 0 3 320.436 4.017 20 0 DIADHN Fc1ccc2cc(C3=CC[C@@H](N4CCOCC4)CC3)ccc2c1 ZINC001245427289 1058164520 /nfs/dbraw/zinc/16/45/20/1058164520.db2.gz WBULQTRBDUCSHQ-HXUWFJFHSA-N 0 3 311.400 4.247 20 0 DIADHN Fc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c2sccc21 ZINC001245426414 1058164781 /nfs/dbraw/zinc/16/47/81/1058164781.db2.gz IQSNNAGWHZGUIN-CQSZACIVSA-N 0 3 317.429 4.309 20 0 DIADHN Fc1ccc(C2=CC[C@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245425380 1058164788 /nfs/dbraw/zinc/16/47/88/1058164788.db2.gz OPKVHKIHKDUCBE-INIZCTEOSA-N 0 3 311.400 4.247 20 0 DIADHN COc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c(C(C)C)c1 ZINC001245429164 1058164943 /nfs/dbraw/zinc/16/49/43/1058164943.db2.gz ROZXVUCXZKNAIJ-QGZVFWFLSA-N 0 3 315.457 4.087 20 0 DIADHN c1ccc(-c2ccccc2C2=CC[C@H](N3CCOCC3)CC2)nc1 ZINC001245429485 1058165369 /nfs/dbraw/zinc/16/53/69/1058165369.db2.gz XEZSXNUUUADTEP-SFHVURJKSA-N 0 3 320.436 4.017 20 0 DIADHN Nc1cc(F)cc(-c2cccc(CN3CCCC3)c2F)c1Cl ZINC001245465662 1058173339 /nfs/dbraw/zinc/17/33/39/1058173339.db2.gz APYAVSXZDUQJFI-UHFFFAOYSA-N 0 3 322.786 4.463 20 0 DIADHN Nc1cc(F)cc(-c2ccc(CN3CCCC3)c(F)c2)c1Cl ZINC001245469102 1058173979 /nfs/dbraw/zinc/17/39/79/1058173979.db2.gz YNSKZSNCFFOFNZ-UHFFFAOYSA-N 0 3 322.786 4.463 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001545565256 1058210432 /nfs/dbraw/zinc/21/04/32/1058210432.db2.gz FGAXTJSYNUZHHS-OWCLPIDISA-N 0 3 302.462 4.085 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@H]1CCC[C@@H]1C(C)(C)C ZINC001557081965 1058226933 /nfs/dbraw/zinc/22/69/33/1058226933.db2.gz LKGSNJSYOWISSN-IRXDYDNUSA-N 0 3 310.526 4.172 20 0 DIADHN CC(C)C[C@@H](C)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001547468474 1058249335 /nfs/dbraw/zinc/24/93/35/1058249335.db2.gz FMWAYIPVUDBCFA-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN Clc1cccc2[nH]c(-c3cncc(CN4CCCC4)c3)cc21 ZINC001245581156 1058251485 /nfs/dbraw/zinc/25/14/85/1058251485.db2.gz OVTJSZJUSOOHGO-UHFFFAOYSA-N 0 3 311.816 4.479 20 0 DIADHN CCN(CC)Cc1cncc(-c2ccc3c(c2)C(=O)CCCC3)c1 ZINC001245599607 1058276286 /nfs/dbraw/zinc/27/62/86/1058276286.db2.gz MCHUQTZUJLCMPF-UHFFFAOYSA-N 0 3 322.452 4.500 20 0 DIADHN FC(F)C1(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)CC1 ZINC001549107260 1058284169 /nfs/dbraw/zinc/28/41/69/1058284169.db2.gz ODOZJAPYFCVYOL-JYJNAYRXSA-N 0 3 307.384 4.026 20 0 DIADHN COc1ccc(C[NH+]2CCCCC2)cc1-c1c([O-])cccc1F ZINC001245653239 1058310566 /nfs/dbraw/zinc/31/05/66/1058310566.db2.gz AXVUGXFKLYEJEN-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN Cc1nnc(CN2[C@@H](C)C[C@@H](c3ccccc3)C2(C)C)s1 ZINC001550156188 1058319660 /nfs/dbraw/zinc/31/96/60/1058319660.db2.gz FKSHAUYXJWXQQV-WFASDCNBSA-N 0 3 301.459 4.003 20 0 DIADHN CC(C)c1nnc(CN2[C@H](C)C[C@@H](c3ccccc3)C2(C)C)o1 ZINC001550158059 1058322117 /nfs/dbraw/zinc/32/21/17/1058322117.db2.gz XYWZIEXXNAXQFC-ZBFHGGJFSA-N 0 3 313.445 4.350 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1cnc(Cl)n1C)CCC2 ZINC001550502985 1058341157 /nfs/dbraw/zinc/34/11/57/1058341157.db2.gz GONQANNZSZQZHJ-WFASDCNBSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1c(F)ccc(-c2cncc(CN3CCCCC3)c2)c1F ZINC001245691758 1058356475 /nfs/dbraw/zinc/35/64/75/1058356475.db2.gz BGPKEODGASEGAX-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CN(C)Cc1ccnc(-c2cc(Cl)ccc2C(F)(F)F)c1 ZINC001245694575 1058360380 /nfs/dbraw/zinc/36/03/80/1058360380.db2.gz NBEULBPLSSIIDS-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CN(C)Cc1cccc(-c2cc(Cl)ccc2C(F)(F)F)n1 ZINC001245695915 1058361929 /nfs/dbraw/zinc/36/19/29/1058361929.db2.gz HZVOHEFANJUTMW-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)c(F)c2F)nc1)C1CC1 ZINC001245738177 1058417985 /nfs/dbraw/zinc/41/79/85/1058417985.db2.gz BSMUFGWMWQPGBH-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN F[C@@H]1Cc2ccccc2[C@H]1N[C@H]1CCOC2(CCCCC2)C1 ZINC001552928970 1058454152 /nfs/dbraw/zinc/45/41/52/1058454152.db2.gz DUUPRJBNNOURNM-CGTJXYLNSA-N 0 3 303.421 4.093 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NC1(c2ccccn2)CCC1 ZINC001552972613 1058460423 /nfs/dbraw/zinc/46/04/23/1058460423.db2.gz LEOSVRZBQQSZBM-DNVCBOLYSA-N 0 3 322.452 4.383 20 0 DIADHN Nc1nc2ccc(-c3cnc(Cl)c(Cl)c3Cl)cc2[nH]1 ZINC001245806293 1058491510 /nfs/dbraw/zinc/49/15/10/1058491510.db2.gz GEGNICJPGCMOPN-UHFFFAOYSA-N 0 3 313.575 4.167 20 0 DIADHN Nc1nc2cc(-c3cnc(Cl)c(Cl)c3Cl)ccc2[nH]1 ZINC001245806293 1058491515 /nfs/dbraw/zinc/49/15/15/1058491515.db2.gz GEGNICJPGCMOPN-UHFFFAOYSA-N 0 3 313.575 4.167 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3cccc4[nH]ccc43)CC2)o1 ZINC001553875896 1058504811 /nfs/dbraw/zinc/50/48/11/1058504811.db2.gz VGGRFUBVPKZJDI-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN C[C@H]1CN(Cc2cc3cccnc3o2)CC[C@H](c2ccccc2)O1 ZINC001553969890 1058513331 /nfs/dbraw/zinc/51/33/31/1058513331.db2.gz ZJDFTCJXQZMADJ-HNAYVOBHSA-N 0 3 322.408 4.180 20 0 DIADHN CC(C)c1ccc(/C=C/CC(=O)N(CCN(C)C)C(C)C)cc1 ZINC001520059407 1058531025 /nfs/dbraw/zinc/53/10/25/1058531025.db2.gz CTNJITKNFSZGDI-BQYQJAHWSA-N 0 3 316.489 4.012 20 0 DIADHN CC[C@H](C)c1cccc(NC(=O)NC[C@H]2CCN2C(C)(C)C)c1 ZINC001563083440 1058536960 /nfs/dbraw/zinc/53/69/60/1058536960.db2.gz GRFUGRQNTJOVTC-WMLDXEAASA-N 0 3 317.477 4.194 20 0 DIADHN NCc1cccc(-c2cc(F)cc(C(F)(F)F)c2Cl)n1 ZINC001245837987 1058541928 /nfs/dbraw/zinc/54/19/28/1058541928.db2.gz MWNMDRULVGGNGC-UHFFFAOYSA-N 0 3 304.674 4.019 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1sccc1Cl ZINC001556805080 1058645572 /nfs/dbraw/zinc/64/55/72/1058645572.db2.gz YTNTYNQXMAIHPH-UHFFFAOYSA-N 0 3 323.849 4.071 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001520724508 1058671130 /nfs/dbraw/zinc/67/11/30/1058671130.db2.gz IZQVJNDWGBNTEI-AEFFLSMTSA-N 0 3 316.489 4.112 20 0 DIADHN CCCN(C(=O)C=C1CCC(C(C)(C)C)CC1)[C@@H]1CCN(C)C1 ZINC001557162823 1058708143 /nfs/dbraw/zinc/70/81/43/1058708143.db2.gz BWRBTUNPPSHHDZ-QRWMCTBCSA-N 0 3 320.521 4.092 20 0 DIADHN C/C=C(/CC)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979578 1058728426 /nfs/dbraw/zinc/72/84/26/1058728426.db2.gz MCBSDNVNVBBBTI-ZRBJYGNNSA-N 0 3 322.880 4.195 20 0 DIADHN CSc1cc(NC(=O)[C@@H]2CCCN2C2CCCC2)ccc1C ZINC001557326111 1058734254 /nfs/dbraw/zinc/73/42/54/1058734254.db2.gz VICHQMWYPCQABY-INIZCTEOSA-N 0 3 318.486 4.062 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)C(=O)[C@H]1CCN1C1CCCC1 ZINC001557559608 1058767148 /nfs/dbraw/zinc/76/71/48/1058767148.db2.gz AGENWLNQBZWZMP-IEBWSBKVSA-N 0 3 322.537 4.458 20 0 DIADHN CC[C@H](OC(=O)c1ccc(CN(CC)CC)o1)c1ccccc1 ZINC001521311989 1058818414 /nfs/dbraw/zinc/81/84/14/1058818414.db2.gz GGHGWTLOXNYAFK-KRWDZBQOSA-N 0 3 315.413 4.430 20 0 DIADHN CC(=O)c1ccc(CSCCN(C)Cc2ccccc2)cc1 ZINC001559404891 1059212523 /nfs/dbraw/zinc/21/25/23/1059212523.db2.gz HADZDJGQXOUHKX-UHFFFAOYSA-N 0 3 313.466 4.254 20 0 DIADHN CCSC[C@H](C)N1CC[C@@H]2Nc3ccc(Cl)cc3[C@@H]2C1 ZINC001247003038 1059231851 /nfs/dbraw/zinc/23/18/51/1059231851.db2.gz MPIFPBUIODHUFA-PJODQICGSA-N 0 3 310.894 4.065 20 0 DIADHN CCCn1c2ccc(C(F)(F)F)cc2nc1[C@@H]1CCCCN1 ZINC001247036570 1059255963 /nfs/dbraw/zinc/25/59/63/1059255963.db2.gz IUQUCHAWDPXGFP-LBPRGKRZSA-N 0 3 311.351 4.280 20 0 DIADHN CC(C)(C)n1c2cc(F)ccc2nc1[C@H](N)Cc1ccccc1 ZINC001247040426 1059257486 /nfs/dbraw/zinc/25/74/86/1059257486.db2.gz IQYOCDIQUSVHRH-OAHLLOKOSA-N 0 3 311.404 4.173 20 0 DIADHN CC[C@H](N)c1nc2ccc(OCc3ccccc3)cc2n1CC ZINC001247042595 1059262421 /nfs/dbraw/zinc/26/24/21/1059262421.db2.gz LPDNLTFNGYTADH-INIZCTEOSA-N 0 3 309.413 4.045 20 0 DIADHN COc1ccccc1CN(C[C@@H](OC)C1CCCCC1)C1CC1 ZINC001559489403 1059265464 /nfs/dbraw/zinc/26/54/64/1059265464.db2.gz QHCADOSNXBOHOL-HXUWFJFHSA-N 0 3 317.473 4.255 20 0 DIADHN COc1ncc(CN2CCC[C@@H]2C2CCCCC2)cc1Cl ZINC001559488709 1059267102 /nfs/dbraw/zinc/26/71/02/1059267102.db2.gz QRSQKFXAZCALEA-MRXNPFEDSA-N 0 3 308.853 4.288 20 0 DIADHN COc1ncc(CN2CCC[C@H]2C2CCCCC2)cc1Cl ZINC001559488708 1059267238 /nfs/dbraw/zinc/26/72/38/1059267238.db2.gz QRSQKFXAZCALEA-INIZCTEOSA-N 0 3 308.853 4.288 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001559491802 1059268806 /nfs/dbraw/zinc/26/88/06/1059268806.db2.gz UVSPBRRKKAAHCE-VQTJNVASSA-N 0 3 310.441 4.212 20 0 DIADHN CC[C@@H](CC(=O)N(CCN(C)C)Cc1ccccc1)C(C)(C)C ZINC001531292122 1059271372 /nfs/dbraw/zinc/27/13/72/1059271372.db2.gz LFBAQTIPVGVJFM-SFHVURJKSA-N 0 3 318.505 4.039 20 0 DIADHN CC1(C)CCc2onc(CN3CCC[C@H]3C3CCCC3)c2C1 ZINC001559493728 1059273941 /nfs/dbraw/zinc/27/39/41/1059273941.db2.gz LAYQAKPXQALRFY-KRWDZBQOSA-N 0 3 302.462 4.344 20 0 DIADHN C[C@H](c1ccc(CN(C)C[C@@H]2CCCCO2)cc1)C(F)(F)F ZINC001559495615 1059274917 /nfs/dbraw/zinc/27/49/17/1059274917.db2.gz MOYMRLQOZIOVGV-CJNGLKHVSA-N 0 3 315.379 4.353 20 0 DIADHN Cc1cccc(CCCN2C[C@@H](C)O[C@H](c3ccccc3)C2)c1 ZINC001559496391 1059276061 /nfs/dbraw/zinc/27/60/61/1059276061.db2.gz MJQKNKASAWIAIY-NQIIRXRSSA-N 0 3 309.453 4.390 20 0 DIADHN CCCCN(Cc1ccc2nonc2c1)[C@@H](C)c1ccc(C)o1 ZINC001559504176 1059291706 /nfs/dbraw/zinc/29/17/06/1059291706.db2.gz JBRXFVYIAOFBMC-AWEZNQCLSA-N 0 3 313.401 4.488 20 0 DIADHN CC(C)CN(Cc1ccccc1F)C[C@@H]1CC2(CCC2)CO1 ZINC001559505694 1059294728 /nfs/dbraw/zinc/29/47/28/1059294728.db2.gz NJTDXYOPQGZXIW-KRWDZBQOSA-N 0 3 305.437 4.243 20 0 DIADHN CC(C)CN(CCOCC1CCCC1)Cc1ccccc1F ZINC001559506222 1059298072 /nfs/dbraw/zinc/29/80/72/1059298072.db2.gz XDOFQIFITZTFSV-UHFFFAOYSA-N 0 3 307.453 4.491 20 0 DIADHN OCCN(Cc1ccccc1)Cc1ccc(C2CCCC2)cc1 ZINC001559523849 1059321712 /nfs/dbraw/zinc/32/17/12/1059321712.db2.gz ICTXBKWNGIVPQN-UHFFFAOYSA-N 0 3 309.453 4.339 20 0 DIADHN C[C@H]1CCC[C@H](C)N1C[C@@H](O)c1ccc(Cl)cc1Cl ZINC001559527269 1059324966 /nfs/dbraw/zinc/32/49/66/1059324966.db2.gz ZTRZNCKJGHJZLL-ZIBATOQPSA-N 0 3 302.245 4.290 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)cc1Cl)C1CC1 ZINC001559530614 1059329130 /nfs/dbraw/zinc/32/91/30/1059329130.db2.gz AHTVXVXPJWATCK-ZIAGYGMSSA-N 0 3 322.880 4.486 20 0 DIADHN CC[C@H](CC(=O)NC[C@H](C)N(C)Cc1ccccc1)C(C)(C)C ZINC001531482947 1059329508 /nfs/dbraw/zinc/32/95/08/1059329508.db2.gz KCKHGSHPYJZYIB-FUHWJXTLSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1cccc2c1CCN(CCOc1ccc(F)c(Cl)c1)C2 ZINC001559530016 1059330512 /nfs/dbraw/zinc/33/05/12/1059330512.db2.gz IRAGBCLAGDJNSU-UHFFFAOYSA-N 0 3 319.807 4.225 20 0 DIADHN Cc1cc(CN2CCN(Cc3ccccc3)C(C)(C)C2)cs1 ZINC001559532155 1059332150 /nfs/dbraw/zinc/33/21/50/1059332150.db2.gz ZZXYKHXUHDVABD-UHFFFAOYSA-N 0 3 314.498 4.153 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1nc(C)c(C)s1 ZINC001559534626 1059333974 /nfs/dbraw/zinc/33/39/74/1059333974.db2.gz RNCFMRYPYLSPRA-UHFFFAOYSA-N 0 3 306.450 4.354 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001531510837 1059340441 /nfs/dbraw/zinc/34/04/41/1059340441.db2.gz JGLTZWQIRLOKGD-XBMUEBEBSA-N 0 3 322.537 4.316 20 0 DIADHN C[C@@H]1SCCN(CCOCC2CCCC2)[C@@H]1c1ccccc1 ZINC001559542776 1059345862 /nfs/dbraw/zinc/34/58/62/1059345862.db2.gz GCQCZAVXRUKQGT-LPHOPBHVSA-N 0 3 319.514 4.372 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1cncc(Cl)c1 ZINC001559548005 1059354405 /nfs/dbraw/zinc/35/44/05/1059354405.db2.gz JEDLNOCLBAWNQL-SFHVURJKSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1ccc(CCN2C[C@@H](c3ccccc3C)OC[C@H]2C)cc1 ZINC001559549439 1059359398 /nfs/dbraw/zinc/35/93/98/1059359398.db2.gz NEGCVLLBMNNOGP-NQIIRXRSSA-N 0 3 309.453 4.308 20 0 DIADHN Fc1cccc(CCN2CCC(c3ccccc3F)CC2)c1 ZINC001559548988 1059361432 /nfs/dbraw/zinc/36/14/32/1059361432.db2.gz BYPSVLUWWWVPOY-UHFFFAOYSA-N 0 3 301.380 4.387 20 0 DIADHN Cc1cccc(-c2noc(C[C@@H](N)c3cccs3)n2)c1Cl ZINC001247260069 1059364699 /nfs/dbraw/zinc/36/46/99/1059364699.db2.gz YKRVCKASTDFOJM-LLVKDONJSA-N 0 3 319.817 4.002 20 0 DIADHN COc1cc(CN2CCCC[C@H]2c2cccc(C)c2C)ccn1 ZINC001559563505 1059384548 /nfs/dbraw/zinc/38/45/48/1059384548.db2.gz XZQSNLBQWCWWOP-IBGZPJMESA-N 0 3 310.441 4.434 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)nc1 ZINC001559563856 1059388736 /nfs/dbraw/zinc/38/87/36/1059388736.db2.gz DUJQOKNWTYZYCS-JXFKEZNVSA-N 0 3 310.441 4.106 20 0 DIADHN CC[C@H](C(=O)NC[C@H]1N(C)CCCC1(C)C)C1CCC(C)CC1 ZINC001531717341 1059393856 /nfs/dbraw/zinc/39/38/56/1059393856.db2.gz QCWUCMRTIHYOTR-ZGUYJTEBSA-N 0 3 322.537 4.076 20 0 DIADHN CCC[C@]1(C)CCCN(CC(=O)NCCCC2CCCCC2)C1 ZINC001559568069 1059398555 /nfs/dbraw/zinc/39/85/55/1059398555.db2.gz PWRQVSMBMKQYIG-HXUWFJFHSA-N 0 3 322.537 4.365 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC(C)(C)CC2)cc1Cl ZINC001559571796 1059401507 /nfs/dbraw/zinc/40/15/07/1059401507.db2.gz CVUAPZYYJXLYEC-UHFFFAOYSA-N 0 3 308.853 4.099 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1ccc(OC)cc1Br ZINC001559579497 1059411505 /nfs/dbraw/zinc/41/15/05/1059411505.db2.gz LJCWGIGJRDJFDJ-GFCCVEGCSA-N 0 3 314.267 4.326 20 0 DIADHN CCC1CCC(N(C)Cc2ccc(C(=O)OC)cc2F)CC1 ZINC001559583456 1059414714 /nfs/dbraw/zinc/41/47/14/1059414714.db2.gz HYVSFHHQCSSFCC-UHFFFAOYSA-N 0 3 307.409 4.013 20 0 DIADHN CN(Cc1cccc(O)c1)C[C@H]1CCC[C@@H](c2ccccc2)O1 ZINC001559584831 1059418446 /nfs/dbraw/zinc/41/84/46/1059418446.db2.gz YKPQZKUCCWULMB-UXHICEINSA-N 0 3 311.425 4.134 20 0 DIADHN Cc1cccc(CN(Cc2ccco2)C[C@@H]2CCCC[C@H]2O)c1 ZINC001559604500 1059437379 /nfs/dbraw/zinc/43/73/79/1059437379.db2.gz IBNKIEYUOQRVBY-AZUAARDMSA-N 0 3 313.441 4.141 20 0 DIADHN COC1(CCN2CCCC[C@H]2c2ccc(F)cc2F)CCC1 ZINC001559612466 1059441816 /nfs/dbraw/zinc/44/18/16/1059441816.db2.gz JKVSTJZZGRVGAT-KRWDZBQOSA-N 0 3 309.400 4.451 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(-c2ccccc2)cc1)CC1CC1 ZINC001559610672 1059443302 /nfs/dbraw/zinc/44/33/02/1059443302.db2.gz VWDDDHLDXGTVBF-UHFFFAOYSA-N 0 3 322.452 4.413 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(F)c(F)c2)C1(C)C ZINC001559632152 1059457899 /nfs/dbraw/zinc/45/78/99/1059457899.db2.gz BOBNEHPDQILXPZ-IAGOWNOFSA-N 0 3 311.416 4.380 20 0 DIADHN CCCN(CCN(C)C)C(=O)C/C=C\c1ccc(C(C)C)cc1 ZINC001531931064 1059458452 /nfs/dbraw/zinc/45/84/52/1059458452.db2.gz NKBZEFATXSESMW-FPLPWBNLSA-N 0 3 316.489 4.014 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(COC)c2)no1 ZINC001247416656 1059468528 /nfs/dbraw/zinc/46/85/28/1059468528.db2.gz CNWJLQTUXXUFKI-AWEZNQCLSA-N 0 3 317.433 4.066 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC001247418159 1059471072 /nfs/dbraw/zinc/47/10/72/1059471072.db2.gz GMOGGFSWNJHAOB-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN C[C@H](CCc1ccccc1)N1CCO[C@@](C)(c2ccccc2)C1 ZINC001559646526 1059472377 /nfs/dbraw/zinc/47/23/77/1059472377.db2.gz MTVCRIWJRKPSRT-WIYYLYMNSA-N 0 3 309.453 4.255 20 0 DIADHN C[C@H](CCc1ccccc1)N1CCO[C@](C)(c2ccccc2)C1 ZINC001559646524 1059472887 /nfs/dbraw/zinc/47/28/87/1059472887.db2.gz MTVCRIWJRKPSRT-NQIIRXRSSA-N 0 3 309.453 4.255 20 0 DIADHN CC1(N(C/C=C\Cl)Cc2ccc3c(c2)OCO3)CCCC1 ZINC001559645702 1059473353 /nfs/dbraw/zinc/47/33/53/1059473353.db2.gz PZRWSGUSFXAENG-WTKPLQERSA-N 0 3 307.821 4.303 20 0 DIADHN C[C@H]1CN(Cc2ccc(OCc3ccccc3)nc2)CC[C@@H]1C ZINC001559654065 1059482083 /nfs/dbraw/zinc/48/20/83/1059482083.db2.gz CJTYZENDLQGECA-IRXDYDNUSA-N 0 3 310.441 4.139 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCOC1CCSCC1 ZINC001559658459 1059487905 /nfs/dbraw/zinc/48/79/05/1059487905.db2.gz JSRWXROICUTDBK-KGLIPLIRSA-N 0 3 323.477 4.046 20 0 DIADHN CN1CCC(c2nc(-c3ccc(-c4ccccc4)cc3)no2)CC1 ZINC001247454834 1059489254 /nfs/dbraw/zinc/48/92/54/1059489254.db2.gz SYJUZBLXRSYEAM-UHFFFAOYSA-N 0 3 319.408 4.213 20 0 DIADHN CCc1onc(C)c1CN1CCC(Cc2ccc(C)cc2)CC1 ZINC001559672623 1059499005 /nfs/dbraw/zinc/49/90/05/1059499005.db2.gz NKROXIHSSPDZNU-UHFFFAOYSA-N 0 3 312.457 4.309 20 0 DIADHN Cc1ccc(-c2ccccc2)c(-c2noc([C@@H]3CCCN3)n2)c1 ZINC001247499006 1059500253 /nfs/dbraw/zinc/50/02/53/1059500253.db2.gz XPOASZKTAMFMRH-KRWDZBQOSA-N 0 3 305.381 4.137 20 0 DIADHN CCc1ccc(-c2ccc(-c3noc([C@H]4CCCN4)n3)cc2)cc1 ZINC001247496267 1059500819 /nfs/dbraw/zinc/50/08/19/1059500819.db2.gz AKMDMGICYYQFKM-GOSISDBHSA-N 0 3 319.408 4.391 20 0 DIADHN Cc1ccc(-c2ccc(-c3noc([C@@H]4CCCN4)n3)cc2)cc1 ZINC001247498089 1059501527 /nfs/dbraw/zinc/50/15/27/1059501527.db2.gz OULJVXZPWHNNRN-KRWDZBQOSA-N 0 3 305.381 4.137 20 0 DIADHN Cc1ccc(CCCN(C)Cc2cc(F)c(F)c(F)c2)cc1 ZINC001559678382 1059508534 /nfs/dbraw/zinc/50/85/34/1059508534.db2.gz VMHPKVKFVSUDKZ-UHFFFAOYSA-N 0 3 307.359 4.477 20 0 DIADHN Cc1cccc(C2=CCN(C[C@H]3CCC4(CCC4)CO3)CC2)c1 ZINC001559678696 1059508683 /nfs/dbraw/zinc/50/86/83/1059508683.db2.gz ARURNPZIRVVDOJ-HXUWFJFHSA-N 0 3 311.469 4.433 20 0 DIADHN Cn1cc(CN[C@H](CC(C)(C)C)c2ccc(Cl)cc2)cn1 ZINC001559693451 1059523485 /nfs/dbraw/zinc/52/34/85/1059523485.db2.gz HHWPHZWLHIVJOC-MRXNPFEDSA-N 0 3 305.853 4.341 20 0 DIADHN CC(C)COCCN[C@H](Cc1ccccc1)c1ccccc1F ZINC001559694183 1059524216 /nfs/dbraw/zinc/52/42/16/1059524216.db2.gz BXDQCTSIVJWFAZ-HXUWFJFHSA-N 0 3 315.432 4.372 20 0 DIADHN CC[C@@H](NCC(=O)N(CC(C)C)C1CC1)c1ccc(Cl)cc1 ZINC001559697238 1059526430 /nfs/dbraw/zinc/52/64/30/1059526430.db2.gz XERNJDSCNZUBTH-QGZVFWFLSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)N(C(C)C)C2CCCC2)c1 ZINC001559702451 1059528368 /nfs/dbraw/zinc/52/83/68/1059528368.db2.gz SGIYDTVXUISATD-KRWDZBQOSA-N 0 3 316.489 4.134 20 0 DIADHN c1ccc(C[C@H]2CN([C@H]3CCCc4ccccc43)CCO2)cc1 ZINC001559705970 1059531928 /nfs/dbraw/zinc/53/19/28/1059531928.db2.gz DMQVGRCBVDDEGL-FPOVZHCZSA-N 0 3 307.437 4.008 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)c1cccc(-n2cccn2)c1 ZINC001559704431 1059532402 /nfs/dbraw/zinc/53/24/02/1059532402.db2.gz NNRWMZNZVJPIOL-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN COc1ccc([C@@H](NCc2cc(F)cc(F)c2)C(C)C)cc1 ZINC001559707987 1059535530 /nfs/dbraw/zinc/53/55/30/1059535530.db2.gz JEGMCAYFLFGFNX-SFHVURJKSA-N 0 3 305.368 4.460 20 0 DIADHN C[C@@H](NC[C@@](C)(O)C(F)F)c1ccc(Oc2ccccc2)cc1 ZINC001559711437 1059538530 /nfs/dbraw/zinc/53/85/30/1059538530.db2.gz JGEMPYSNQHCHCC-FZKQIMNGSA-N 0 3 321.367 4.146 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1sc(C(C)C)nc1C)C1CCC1 ZINC001559712337 1059538588 /nfs/dbraw/zinc/53/85/88/1059538588.db2.gz JIWBNVBRYXYRPL-DZGCQCFKSA-N 0 3 310.507 4.383 20 0 DIADHN c1cc([C@@H]2CCCN2C[C@@H]2CCC3(CCCCCC3)O2)ccn1 ZINC001559715073 1059542349 /nfs/dbraw/zinc/54/23/49/1059542349.db2.gz QGHNUFGEQZKREB-OALUTQOASA-N 0 3 314.473 4.491 20 0 DIADHN Cc1cc([C@H](C)NCCOc2ccc(F)cc2Cl)c(C)o1 ZINC001559724680 1059554725 /nfs/dbraw/zinc/55/47/25/1059554725.db2.gz DXAPYRLUTNCLSM-NSHDSACASA-N 0 3 311.784 4.419 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnc3c(cnn3C(C)C)c2)s1 ZINC001559725064 1059555257 /nfs/dbraw/zinc/55/52/57/1059555257.db2.gz MSVBUBHPGJTYNW-CYBMUJFWSA-N 0 3 314.458 4.233 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1Cc1c[nH]c(=O)c2ccccc12 ZINC001559740577 1059576381 /nfs/dbraw/zinc/57/63/81/1059576381.db2.gz BDWJWEHQKDQAFA-YPMHNXCESA-N 0 3 324.346 4.103 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@H](O)c1ccc(F)cc1C ZINC001559748100 1059577580 /nfs/dbraw/zinc/57/75/80/1059577580.db2.gz ZCURATQDLOOHER-HFZJLKNISA-N 0 3 313.416 4.203 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN([C@@H](C)c2cccc(C)c2)C1 ZINC001559754532 1059586919 /nfs/dbraw/zinc/58/69/19/1059586919.db2.gz AJYGLPRSUJFTLR-ZFWWWQNUSA-N 0 3 301.352 4.099 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN(Cc2ccccc2C(C)C)C1 ZINC001559755965 1059589258 /nfs/dbraw/zinc/58/92/58/1059589258.db2.gz NXQRYUUUWPTQPP-INIZCTEOSA-N 0 3 315.379 4.353 20 0 DIADHN C[C@@H](O)[C@H]1C[C@H](C)CCN1CCc1cc(Cl)cc(Cl)c1 ZINC001559757666 1059591426 /nfs/dbraw/zinc/59/14/26/1059591426.db2.gz JAQCKCVICSIJOR-XHBSWPGZSA-N 0 3 316.272 4.017 20 0 DIADHN C[C@@H](O)[C@H]1C[C@H](C)CCN1Cc1c(Cl)oc2ccccc21 ZINC001559757596 1059591667 /nfs/dbraw/zinc/59/16/67/1059591667.db2.gz HBANQHVXBMEWPB-LALPHHSUSA-N 0 3 307.821 4.068 20 0 DIADHN Cc1conc1CN[C@@H]1CCC[C@H]1c1ccccc1C(F)(F)F ZINC001559758779 1059592951 /nfs/dbraw/zinc/59/29/51/1059592951.db2.gz IXWBAKOKCWPKIJ-DZGCQCFKSA-N 0 3 324.346 4.428 20 0 DIADHN COC(=O)c1sccc1CN[C@H]1CCC[C@H]1CCC(C)C ZINC001559759509 1059593194 /nfs/dbraw/zinc/59/31/94/1059593194.db2.gz WVKBZINVMBAIKQ-ZFWWWQNUSA-N 0 3 309.475 4.229 20 0 DIADHN CC(C)c1nsc(CN[C@@H]2CCC[C@H]2Cc2ccccc2)n1 ZINC001559758850 1059594885 /nfs/dbraw/zinc/59/48/85/1059594885.db2.gz KDFBIHQOYZKPMN-JKSUJKDBSA-N 0 3 315.486 4.163 20 0 DIADHN CC[C@H](Cc1ccccc1Br)N(C)C/C=C\Cl ZINC001559766272 1059600468 /nfs/dbraw/zinc/60/04/68/1059600468.db2.gz DIXXSMMVEYMLRI-OYVUYXNMSA-N 0 3 316.670 4.455 20 0 DIADHN CC[C@H](CN(CC)CC(=O)Nc1cccc(C)c1)c1ccccc1 ZINC001559767910 1059604299 /nfs/dbraw/zinc/60/42/99/1059604299.db2.gz AXUUXPJMMIPXFX-GOSISDBHSA-N 0 3 324.468 4.449 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ncc(-c3ccccc3F)o2)[C@H](C)C1 ZINC001559773590 1059609987 /nfs/dbraw/zinc/60/99/87/1059609987.db2.gz QSZRVXXXDUUWLK-XJKCOSOUSA-N 0 3 302.393 4.395 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001559774826 1059611924 /nfs/dbraw/zinc/61/19/24/1059611924.db2.gz KMDITAIYUPCSCC-WMLDXEAASA-N 0 3 302.462 4.043 20 0 DIADHN COc1nscc1CN1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC001559783364 1059617497 /nfs/dbraw/zinc/61/74/97/1059617497.db2.gz OBORRHZVHAILIJ-ACHSLPGTSA-N 0 3 314.454 4.062 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)CN(C)CCC1=CCCCC1 ZINC001559781851 1059619046 /nfs/dbraw/zinc/61/90/46/1059619046.db2.gz UIJNLBJLZKIZOK-QGZVFWFLSA-N 0 3 314.473 4.028 20 0 DIADHN CCC[C@H](C)CCCN1CCN(c2ccc(F)c(OC)c2)CC1 ZINC001559788156 1059622210 /nfs/dbraw/zinc/62/22/10/1059622210.db2.gz WTZLKDGDTBWQKU-INIZCTEOSA-N 0 3 322.468 4.173 20 0 DIADHN CC(C)(C)c1cccc(CN2CC[C@@H](OCc3ccncc3)C2)c1 ZINC001559787440 1059622312 /nfs/dbraw/zinc/62/23/12/1059622312.db2.gz UZKUDYBGEIYNQY-HXUWFJFHSA-N 0 3 324.468 4.170 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1CC/C=C/c1ccccc1 ZINC001559801331 1059639197 /nfs/dbraw/zinc/63/91/97/1059639197.db2.gz WORHBOUMVXCZSC-ZTVSIKPCSA-N 0 3 315.457 4.288 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1CCO[C@@H](C(C)(C)C)C1 ZINC001559805671 1059642182 /nfs/dbraw/zinc/64/21/82/1059642182.db2.gz WATFTWLFPWDISV-CRAIPNDOSA-N 0 3 321.530 4.470 20 0 DIADHN CCC1CCC(N(CCO)Cc2sccc2Cl)CC1 ZINC001559808944 1059642548 /nfs/dbraw/zinc/64/25/48/1059642548.db2.gz GNRDKSFGEDQUKB-UHFFFAOYSA-N 0 3 301.883 4.165 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)N(CCN(C)C)C1CCCC1 ZINC001524844593 1059652037 /nfs/dbraw/zinc/65/20/37/1059652037.db2.gz HUSRKWZRXYOXBL-GOSISDBHSA-N 0 3 322.537 4.316 20 0 DIADHN CC(C)[C@@H](NCc1ccc(F)cc1Cl)[C@H](O)c1ccccc1 ZINC001559822493 1059654425 /nfs/dbraw/zinc/65/44/25/1059654425.db2.gz GKVLRAVNXUVOKX-QZTJIDSGSA-N 0 3 321.823 4.327 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2cc(O)ccc2Cl)C1(C)C ZINC001559823099 1059655084 /nfs/dbraw/zinc/65/50/84/1059655084.db2.gz LZDLKYCJDHGTPC-HZPDHXFCSA-N 0 3 311.853 4.119 20 0 DIADHN CC(C)(C)C1CCC(CN2CCOc3cc(O)ccc3C2)CC1 ZINC001559827131 1059662677 /nfs/dbraw/zinc/66/26/77/1059662677.db2.gz GKCDCTOSHGXWNV-UHFFFAOYSA-N 0 3 317.473 4.439 20 0 DIADHN CO[C@H]1C[C@H](NCc2cc(Cl)ccc2OCC2CC2)C1(C)C ZINC001559844873 1059673301 /nfs/dbraw/zinc/67/33/01/1059673301.db2.gz ADOMGQYVWVSMFO-IRXDYDNUSA-N 0 3 323.864 4.032 20 0 DIADHN CO[C@H]1C[C@@H](NCc2cc(Cl)ccc2OCC2CC2)C1(C)C ZINC001559844874 1059674541 /nfs/dbraw/zinc/67/45/41/1059674541.db2.gz ADOMGQYVWVSMFO-SJORKVTESA-N 0 3 323.864 4.032 20 0 DIADHN CCO[C@H]1C[C@H](NCc2nc(C)c(C(C)(C)C)s2)C12CCC2 ZINC001559845736 1059674937 /nfs/dbraw/zinc/67/49/37/1059674937.db2.gz QCPIPSUBIPNVKZ-KBPBESRZSA-N 0 3 322.518 4.186 20 0 DIADHN COc1cccc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)c1 ZINC001559863818 1059685492 /nfs/dbraw/zinc/68/54/92/1059685492.db2.gz KZGGCLWOZKDLSA-GOSISDBHSA-N 0 3 317.816 4.002 20 0 DIADHN CC[C@H]1CN(C)CCN1Cc1ccc(Cl)c2ccccc12 ZINC001559870432 1059688362 /nfs/dbraw/zinc/68/83/62/1059688362.db2.gz XLDKWFAAULBUSS-HNNXBMFYSA-N 0 3 302.849 4.019 20 0 DIADHN Fc1cccc(F)c1CCN1CCC[C@H]1COc1ccccc1 ZINC001559867760 1059689549 /nfs/dbraw/zinc/68/95/49/1059689549.db2.gz AWWGORFBFYUGIR-HNNXBMFYSA-N 0 3 317.379 4.051 20 0 DIADHN Clc1ccccc1C[C@H]1CCCCCN1Cc1ccno1 ZINC001559870535 1059690135 /nfs/dbraw/zinc/69/01/35/1059690135.db2.gz ZZMKGARCYKSYFK-OAHLLOKOSA-N 0 3 304.821 4.315 20 0 DIADHN CC[C@@](C)(O)CN1CCC(c2c(Cl)cccc2Cl)CC1 ZINC001559875583 1059695577 /nfs/dbraw/zinc/69/55/77/1059695577.db2.gz AVLROIUTGHYHGJ-MRXNPFEDSA-N 0 3 316.272 4.334 20 0 DIADHN CC1(C)CN(Cc2cccc3cccnc32)Cc2ccccc2O1 ZINC001559880254 1059698694 /nfs/dbraw/zinc/69/86/94/1059698694.db2.gz BTEHXTIQFBEBFU-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](C3CC3)C2)c(Br)c1 ZINC001559880963 1059703249 /nfs/dbraw/zinc/70/32/49/1059703249.db2.gz XBEDNXYPSWIXGM-CQSZACIVSA-N 0 3 308.263 4.380 20 0 DIADHN CC(C)CC1(C)CCN(CC(=O)N(C)CC2CCCCC2)CC1 ZINC001559884632 1059708046 /nfs/dbraw/zinc/70/80/46/1059708046.db2.gz ZYJGMFOXUFONLY-UHFFFAOYSA-N 0 3 322.537 4.173 20 0 DIADHN CC(C)CC1(C)CCN(C[C@H]2CC[C@H](C(F)(F)F)O2)CC1 ZINC001559884439 1059708257 /nfs/dbraw/zinc/70/82/57/1059708257.db2.gz VGRUYYWBYGGUCI-ZIAGYGMSSA-N 0 3 307.400 4.245 20 0 DIADHN Cc1nn(C)c(Cl)c1CN(C)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001559898985 1059714227 /nfs/dbraw/zinc/71/42/27/1059714227.db2.gz QZNZGAITGJCMPJ-NVXWUHKLSA-N 0 3 317.864 4.150 20 0 DIADHN CCN(CCSc1cccc(OC)c1)Cc1occc1C ZINC001559917070 1059733942 /nfs/dbraw/zinc/73/39/42/1059733942.db2.gz QZRSMTKGSRGFIN-UHFFFAOYSA-N 0 3 305.443 4.211 20 0 DIADHN CC[C@@H]1CN(CCCSCc2ccccc2)C[C@@H](CC)O1 ZINC001559923436 1059736119 /nfs/dbraw/zinc/73/61/19/1059736119.db2.gz ALMHUPJULVTVEV-QZTJIDSGSA-N 0 3 307.503 4.199 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2coc(-c3ccc(F)c(F)c3)n2)[C@H]1C ZINC001559922223 1059737202 /nfs/dbraw/zinc/73/72/02/1059737202.db2.gz BRNSAMIOEZPQCN-UTUOFQBUSA-N 0 3 306.356 4.096 20 0 DIADHN CC(C)(C)CCCN1CCc2nccc(Br)c2C1 ZINC001559934233 1059743396 /nfs/dbraw/zinc/74/33/96/1059743396.db2.gz KRUMRDYYRJZIMF-UHFFFAOYSA-N 0 3 311.267 4.029 20 0 DIADHN Cc1ncsc1CCCNC1(c2ccccc2Cl)CC1 ZINC001559936764 1059749234 /nfs/dbraw/zinc/74/92/34/1059749234.db2.gz OCYHWCJYJUOTCY-UHFFFAOYSA-N 0 3 306.862 4.316 20 0 DIADHN C[C@@H]1CCC[C@H](C(=O)OC(C)(C)C)N1CC12CCC(CC1)C2 ZINC001559934892 1059749356 /nfs/dbraw/zinc/74/93/56/1059749356.db2.gz ZKHPIKVWPSSCPJ-XZZQLLPFSA-N 0 3 307.478 4.151 20 0 DIADHN COc1cccc(CN(Cc2ccc(C)nc2)CC2CC2)c1C ZINC001559939593 1059751679 /nfs/dbraw/zinc/75/16/79/1059751679.db2.gz UTCBICXEGZFRPG-UHFFFAOYSA-N 0 3 310.441 4.119 20 0 DIADHN Cc1cccc(C)c1CN(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001559949387 1059760075 /nfs/dbraw/zinc/76/00/75/1059760075.db2.gz XRJFXHVGTLKNJW-UHFFFAOYSA-N 0 3 319.351 4.257 20 0 DIADHN CCN1[C@H](C)CN([C@@H](C)c2cc(Cl)ccc2Cl)C[C@@H]1C ZINC001559956149 1059763194 /nfs/dbraw/zinc/76/31/94/1059763194.db2.gz NYXSZQFNOMJSRE-XQQFMLRXSA-N 0 3 315.288 4.469 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)[C@H]2CCCN2C(C)C)cc1 ZINC001525138119 1059764378 /nfs/dbraw/zinc/76/43/78/1059764378.db2.gz YBZNVNUSJWJVLZ-QGZVFWFLSA-N 0 3 302.462 4.186 20 0 DIADHN Cc1cc(CN2CCc3ccc(F)cc3[C@H](C)C2)cc(Cl)n1 ZINC001559958970 1059768008 /nfs/dbraw/zinc/76/80/08/1059768008.db2.gz NETDOFCINVQFKO-GFCCVEGCSA-N 0 3 318.823 4.344 20 0 DIADHN Cc1cncc(CN2CCc3ccc(Cl)cc3[C@H](C)C2)c1 ZINC001559964747 1059773448 /nfs/dbraw/zinc/77/34/48/1059773448.db2.gz FTOKRJJOJZNDTE-CQSZACIVSA-N 0 3 300.833 4.205 20 0 DIADHN CC(C)[C@@H](NCCC(=O)Nc1ccccc1)c1ccccc1F ZINC001559980379 1059782965 /nfs/dbraw/zinc/78/29/65/1059782965.db2.gz LJPNPBNJHQOSFV-LJQANCHMSA-N 0 3 314.404 4.141 20 0 DIADHN COc1cc(CN2CCC(C3CCC3)CC2)ccc1SC ZINC001559982032 1059788415 /nfs/dbraw/zinc/78/84/15/1059788415.db2.gz GUSRYTAMSMCMBC-UHFFFAOYSA-N 0 3 305.487 4.429 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CCC2(CCCCC2)CC1 ZINC001559981126 1059790233 /nfs/dbraw/zinc/79/02/33/1059790233.db2.gz GHKAGFGHVRLWMR-UHFFFAOYSA-N 0 3 319.445 4.175 20 0 DIADHN COc1cc(Br)ccc1[C@H]1CCCN1CCC1CC1 ZINC001559996737 1059802452 /nfs/dbraw/zinc/80/24/52/1059802452.db2.gz FHACAEKMIYIUAQ-OAHLLOKOSA-N 0 3 324.262 4.395 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2cc(F)ccc2F)C12CCC2 ZINC001560000813 1059808420 /nfs/dbraw/zinc/80/84/20/1059808420.db2.gz YBKXVEYGAMHXSC-HOTGVXAUSA-N 0 3 309.400 4.181 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2ccn(CCc3ccccc3)n2)C1 ZINC001560003869 1059810136 /nfs/dbraw/zinc/81/01/36/1059810136.db2.gz JQUFLQULTCOSPU-QZTJIDSGSA-N 0 3 311.473 4.136 20 0 DIADHN CCCCC[C@@H]1CCCCN1Cc1csc(C(=O)OC)c1 ZINC001560017733 1059827082 /nfs/dbraw/zinc/82/70/82/1059827082.db2.gz RYPNDSPIDMWSJX-OAHLLOKOSA-N 0 3 309.475 4.470 20 0 DIADHN Clc1cc(CN2CCCC3(CCCC3)C2)c2nccn2c1 ZINC001248434334 1059828181 /nfs/dbraw/zinc/82/81/81/1059828181.db2.gz CUTVELLQYSJSPU-UHFFFAOYSA-N 0 3 303.837 4.144 20 0 DIADHN C[C@H]1CC(F)(F)CCN1CCCOc1cccc(Cl)c1 ZINC001560048033 1059861856 /nfs/dbraw/zinc/86/18/56/1059861856.db2.gz BZFGFNGQPWTLBX-LBPRGKRZSA-N 0 3 303.780 4.229 20 0 DIADHN Fc1ccc([C@@H]2CCCN(C[C@@H]3CCC4(CCC4)O3)C2)cc1F ZINC001560022982 1059833189 /nfs/dbraw/zinc/83/31/89/1059833189.db2.gz KGLSNKHNRGLZHY-CVEARBPZSA-N 0 3 321.411 4.246 20 0 DIADHN CCc1cccc(CN2CCC(Oc3cccc(F)c3)CC2)c1 ZINC001560021319 1059837372 /nfs/dbraw/zinc/83/73/72/1059837372.db2.gz HJAWDKOEVFZZRZ-UHFFFAOYSA-N 0 3 313.416 4.432 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)C[C@H]1CC1(C)C ZINC001560028988 1059840101 /nfs/dbraw/zinc/84/01/01/1059840101.db2.gz IVPUSTYREHRQOM-CYBMUJFWSA-N 0 3 315.413 4.087 20 0 DIADHN Clc1cc(CN2CCC[C@@H]3CCCC[C@H]32)c2nccn2c1 ZINC001248452057 1059841855 /nfs/dbraw/zinc/84/18/55/1059841855.db2.gz WBINESKCMIXZMH-XJKSGUPXSA-N 0 3 303.837 4.142 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@H](OCCC(C)C)C1 ZINC001560033306 1059845777 /nfs/dbraw/zinc/84/57/77/1059845777.db2.gz QIWXCRJYLVAXLM-GOSISDBHSA-N 0 3 304.478 4.150 20 0 DIADHN Cc1ccc(C[C@]2(C)CCCN2Cc2ccc(Cl)nn2)cc1 ZINC001560040434 1059852200 /nfs/dbraw/zinc/85/22/00/1059852200.db2.gz PXASHIFIEWPXHY-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN C[C@@H]1CC(F)(F)CCN1CCCOc1cccc(Cl)c1 ZINC001560048032 1059860823 /nfs/dbraw/zinc/86/08/23/1059860823.db2.gz BZFGFNGQPWTLBX-GFCCVEGCSA-N 0 3 303.780 4.229 20 0 DIADHN COC(=O)[C@H]1CN(Cc2ccccc2C(C)C)Cc2ccccc21 ZINC001560045790 1059864677 /nfs/dbraw/zinc/86/46/77/1059864677.db2.gz RTNUSGOMPZEOFV-FQEVSTJZSA-N 0 3 323.436 4.082 20 0 DIADHN CCc1ccc2ccccc2c1CN1Cc2c[nH]nc2[C@H](C)C1 ZINC001560055898 1059869535 /nfs/dbraw/zinc/86/95/35/1059869535.db2.gz RDZLZCFCTZFNCS-CQSZACIVSA-N 0 3 305.425 4.245 20 0 DIADHN C[C@H](CN(C)[C@H](C(=O)OC(C)(C)C)C1CC1)C1CCCCC1 ZINC001560057979 1059872751 /nfs/dbraw/zinc/87/27/51/1059872751.db2.gz HLBUHEGDQCLVMU-PBHICJAKSA-N 0 3 309.494 4.255 20 0 DIADHN Fc1ccc2occc2c1CN1CCC(c2cccnc2)CC1 ZINC001248541931 1059873451 /nfs/dbraw/zinc/87/34/51/1059873451.db2.gz QEMOKOALDKTDRD-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN C[C@H](CN(C)[C@@H](C(=O)OC(C)(C)C)C1CC1)C1CCCCC1 ZINC001560057980 1059875792 /nfs/dbraw/zinc/87/57/92/1059875792.db2.gz HLBUHEGDQCLVMU-RHSMWYFYSA-N 0 3 309.494 4.255 20 0 DIADHN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001560065349 1059876737 /nfs/dbraw/zinc/87/67/37/1059876737.db2.gz KMFGNWGRPWLBHD-ZVZLLHMNSA-N 0 3 323.864 4.030 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CN(CCc1ccccc1)CC2 ZINC001560063456 1059879314 /nfs/dbraw/zinc/87/93/14/1059879314.db2.gz XYQVBRQRSCFVON-UHFFFAOYSA-N 0 3 305.343 4.306 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cccc3nc(C)ccc13)CC2 ZINC001248550745 1059883330 /nfs/dbraw/zinc/88/33/30/1059883330.db2.gz QYKGZTHCBLWBON-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CCC[C@@H](CC1CCCC1)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001525443986 1059891985 /nfs/dbraw/zinc/89/19/85/1059891985.db2.gz XURGRNMERVQDJR-ZWKOTPCHSA-N 0 3 322.537 4.220 20 0 DIADHN Cc1cncc([C@H]2CCCN2CCCc2c(F)cccc2F)c1 ZINC001560085856 1059899129 /nfs/dbraw/zinc/89/91/29/1059899129.db2.gz MYGPXWIDFBWJIY-LJQANCHMSA-N 0 3 316.395 4.438 20 0 DIADHN Cc1cccc([C@H](C)N2CC[C@H](C(F)(F)F)O[C@H](C)C2)c1 ZINC001560117910 1059922136 /nfs/dbraw/zinc/92/21/36/1059922136.db2.gz KCROAQRWFDFCEY-VNHYZAJKSA-N 0 3 301.352 4.098 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@H](CF)C2)cc(OC(C)C)c1 ZINC001248657824 1059925514 /nfs/dbraw/zinc/92/55/14/1059925514.db2.gz CPSYMSXQPDEUBU-MRXNPFEDSA-N 0 3 323.452 4.443 20 0 DIADHN CCCN(C)[C@H](CNC(=O)/C=C(/C)CC)c1ccc(Cl)cc1 ZINC001525551604 1059933923 /nfs/dbraw/zinc/93/39/23/1059933923.db2.gz AGXGWBITCVGKNZ-BHEFUSTPSA-N 0 3 322.880 4.195 20 0 DIADHN CCCCCCc1csc(CN(C)CCc2noc(C)n2)c1 ZINC001248683271 1059935197 /nfs/dbraw/zinc/93/51/97/1059935197.db2.gz PCWIXVAILARJLO-UHFFFAOYSA-N 0 3 321.490 4.237 20 0 DIADHN FC(F)(F)c1ccccc1CN1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001560137335 1059942056 /nfs/dbraw/zinc/94/20/56/1059942056.db2.gz BRTGQFLPSLEGEV-FUHWJXTLSA-N 0 3 317.354 4.479 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1ccc2onc(C)c2c1 ZINC001248702234 1059944019 /nfs/dbraw/zinc/94/40/19/1059944019.db2.gz FPWGEMCLOKJHBC-GOSISDBHSA-N 0 3 322.408 4.482 20 0 DIADHN Cc1noc2ccc(CN3CCC4(CCC(C)(C)O4)CC3)cc12 ZINC001248708286 1059946250 /nfs/dbraw/zinc/94/62/50/1059946250.db2.gz CXHNOUZNELFTLM-UHFFFAOYSA-N 0 3 314.429 4.060 20 0 DIADHN COc1ccc2c(c1)CN(Cc1ccc3onc(C)c3c1)CCC2 ZINC001248711725 1059948892 /nfs/dbraw/zinc/94/88/92/1059948892.db2.gz AEZYCBDJOIYUDN-UHFFFAOYSA-N 0 3 322.408 4.093 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC001560152923 1059949923 /nfs/dbraw/zinc/94/99/23/1059949923.db2.gz LEWZFOVTQKFNNI-CHWSQXEVSA-N 0 3 320.383 4.488 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1cccc(Cl)c1)c1ccc(F)cc1 ZINC001248724689 1059957568 /nfs/dbraw/zinc/95/75/68/1059957568.db2.gz CHSOASFEJFOYNY-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN C[C@@H]1CCCN(Cc2ncc(C(F)(F)F)cc2F)C[C@H]1C ZINC001248786894 1059972194 /nfs/dbraw/zinc/97/21/94/1059972194.db2.gz IQCQXMFFUVMZKP-GHMZBOCLSA-N 0 3 304.331 4.108 20 0 DIADHN COc1cccc(CN2CCCSC[C@@H]2C2CC2)c1Cl ZINC001560187686 1059973723 /nfs/dbraw/zinc/97/37/23/1059973723.db2.gz CXXHBAUTROLGNW-CQSZACIVSA-N 0 3 311.878 4.066 20 0 DIADHN CCCN(Cc1ccc(Br)n1C)Cc1ccccc1 ZINC001248775554 1059974208 /nfs/dbraw/zinc/97/42/08/1059974208.db2.gz LKVWEAKXPQUPER-UHFFFAOYSA-N 0 3 321.262 4.200 20 0 DIADHN Cc1cc(CN(C)CCc2cccc(C(F)(F)F)n2)cs1 ZINC001248801304 1059981616 /nfs/dbraw/zinc/98/16/16/1059981616.db2.gz KBFZIIVEOUDYCF-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN Cc1cc(CN2CCC3(CC(=O)c4ccccc43)CC2)cs1 ZINC001248804712 1059986454 /nfs/dbraw/zinc/98/64/54/1059986454.db2.gz RTCYMQJNYWIFHP-UHFFFAOYSA-N 0 3 311.450 4.177 20 0 DIADHN COc1ccnc(CN2CCC(c3cc(C)ccc3C)CC2)c1 ZINC001560205373 1059986767 /nfs/dbraw/zinc/98/67/67/1059986767.db2.gz UDETUHFOWLGFCI-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN O=C(c1ccccc1Cl)C1CCN(CC2=CCCC2)CC1 ZINC001560212047 1059987409 /nfs/dbraw/zinc/98/74/09/1059987409.db2.gz AYBSOVYKCOPQMB-UHFFFAOYSA-N 0 3 303.833 4.345 20 0 DIADHN Cc1ccc(CCN(C)Cc2cc(-c3ccccc3)n[nH]2)cc1 ZINC001560210836 1059989187 /nfs/dbraw/zinc/98/91/87/1059989187.db2.gz LUVNYKFIPROXJR-UHFFFAOYSA-N 0 3 305.425 4.060 20 0 DIADHN CC(C)N(Cc1cnc2ccccc2c1Cl)C1CCOCC1 ZINC001248814473 1059994523 /nfs/dbraw/zinc/99/45/23/1059994523.db2.gz OTYDMVWUAJXZLO-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc(F)c3cc[nH]c32)cn1 ZINC001248818210 1059996127 /nfs/dbraw/zinc/99/61/27/1059996127.db2.gz CCVOVDHERAFPIH-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN CC[C@@H](OCCN1CCO[C@@H](C(C)(C)C)CC1)c1ccccc1 ZINC001560236327 1060006713 /nfs/dbraw/zinc/00/67/13/1060006713.db2.gz KJYXFAUJLWREBF-RTBURBONSA-N 0 3 319.489 4.291 20 0 DIADHN CC(C)Sc1nccc(CN2CC[C@H](C3CCCCC3)C2)n1 ZINC001248832947 1060008581 /nfs/dbraw/zinc/00/85/81/1060008581.db2.gz KRFVAKJOEFFABI-INIZCTEOSA-N 0 3 319.518 4.379 20 0 DIADHN CCOC(=O)[C@@H]1[C@H]2CC[C@H](C2)N1Cc1c(C)ccc2ccccc21 ZINC001560243292 1060009990 /nfs/dbraw/zinc/00/99/90/1060009990.db2.gz QYBFRILDZXEWKW-QKLQHJQFSA-N 0 3 323.436 4.064 20 0 DIADHN CCC1CCN(Cc2[nH]nc3cc(C(F)(F)F)ccc32)CC1 ZINC001248845024 1060011343 /nfs/dbraw/zinc/01/13/43/1060011343.db2.gz WMGUETUXEOXKQY-UHFFFAOYSA-N 0 3 311.351 4.204 20 0 DIADHN CCN(CCNCc1cc(F)c(F)c(F)c1)c1cccc(C)c1 ZINC001560250228 1060016430 /nfs/dbraw/zinc/01/64/30/1060016430.db2.gz HMTWXYPNTSBTKP-UHFFFAOYSA-N 0 3 322.374 4.028 20 0 DIADHN C[C@@H]1CCCN(Cc2coc(-c3ccc(F)cc3)n2)C[C@H]1C ZINC001248853265 1060022095 /nfs/dbraw/zinc/02/20/95/1060022095.db2.gz ULSRTPMVFXSACN-ZIAGYGMSSA-N 0 3 302.393 4.349 20 0 DIADHN C[C@@H](CNC(=O)c1ccc(C(C)(C)C)s1)N1CCCC[C@H]1C ZINC001533798161 1060024690 /nfs/dbraw/zinc/02/46/90/1060024690.db2.gz XPKXEVSKCSKLNB-KGLIPLIRSA-N 0 3 322.518 4.038 20 0 DIADHN Cc1cc(Br)c(CN[C@H](C)Cc2ccco2)s1 ZINC001560262665 1060029834 /nfs/dbraw/zinc/02/98/34/1060029834.db2.gz FKAGBDXAIASFMJ-SECBINFHSA-N 0 3 314.248 4.133 20 0 DIADHN Cc1cc(Br)c(CN[C@@H](C)Cc2ccco2)s1 ZINC001560262666 1060030640 /nfs/dbraw/zinc/03/06/40/1060030640.db2.gz FKAGBDXAIASFMJ-VIFPVBQESA-N 0 3 314.248 4.133 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2ccc(Cl)nc2)cc1C ZINC001560274658 1060049437 /nfs/dbraw/zinc/04/94/37/1060049437.db2.gz NRUXPGRMGPKWNM-PLNGDYQASA-N 0 3 300.833 4.463 20 0 DIADHN CC[C@H](CNCc1ccc(F)c(F)c1F)Oc1cccc(C)c1 ZINC001560273001 1060049541 /nfs/dbraw/zinc/04/95/41/1060049541.db2.gz ZFLWITTVMBPMBK-CQSZACIVSA-N 0 3 323.358 4.360 20 0 DIADHN CCC1CCC(NCc2nn(-c3ccccc3)c3c2CCC3)CC1 ZINC001560275764 1060053897 /nfs/dbraw/zinc/05/38/97/1060053897.db2.gz RLGABMFIHGCLPX-UHFFFAOYSA-N 0 3 323.484 4.419 20 0 DIADHN CC(C)c1ccc(CNCc2cc3ccc(F)cc3[nH]c2=O)cc1 ZINC001560288009 1060066361 /nfs/dbraw/zinc/06/63/61/1060066361.db2.gz FFZVNMQKZJYXSJ-UHFFFAOYSA-N 0 3 324.399 4.493 20 0 DIADHN Fc1ccc2oc(CNCc3ccccc3-n3ccnc3)cc2c1 ZINC001560291641 1060069406 /nfs/dbraw/zinc/06/94/06/1060069406.db2.gz AGLWTTNLCAUCJE-UHFFFAOYSA-N 0 3 321.355 4.047 20 0 DIADHN COc1ccc(Cl)cc1CNC/C=C/c1ccc(F)cc1 ZINC001560295350 1060078872 /nfs/dbraw/zinc/07/88/72/1060078872.db2.gz WOGQLAMTNZDUHO-NSCUHMNNSA-N 0 3 305.780 4.291 20 0 DIADHN CC(C)C1CCC(CNCc2ccn(-c3ccccc3)n2)CC1 ZINC001560295928 1060079738 /nfs/dbraw/zinc/07/97/38/1060079738.db2.gz UPQCPLHEBWXTAI-UHFFFAOYSA-N 0 3 311.473 4.424 20 0 DIADHN Cc1cnccc1CNCc1ccc(Oc2ccccc2)cc1 ZINC001560305075 1060093595 /nfs/dbraw/zinc/09/35/95/1060093595.db2.gz QLFRYHSFVDPCIX-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H]3CCc4c3cccc4Cl)ccc2O1 ZINC001560310062 1060099953 /nfs/dbraw/zinc/09/99/53/1060099953.db2.gz HQSNSNYBYKARGF-KPZWWZAWSA-N 0 3 313.828 4.441 20 0 DIADHN CCn1cnc(Cl)c1CNC(C)(C)CCc1ccccc1 ZINC001560314033 1060102302 /nfs/dbraw/zinc/10/23/02/1060102302.db2.gz HSDMBVVCVMLQFJ-UHFFFAOYSA-N 0 3 305.853 4.057 20 0 DIADHN CCc1ccc(CNCCOc2ccccc2C(C)(C)C)o1 ZINC001560325389 1060112546 /nfs/dbraw/zinc/11/25/46/1060112546.db2.gz HNGRGVUKUUAZQQ-UHFFFAOYSA-N 0 3 301.430 4.308 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnc(N(C)C)s1 ZINC001560332901 1060117169 /nfs/dbraw/zinc/11/71/69/1060117169.db2.gz BKVCNNGOKPUHOM-QGZVFWFLSA-N 0 3 317.502 4.395 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc3c(s2)C[C@@H](C)CC3)s1 ZINC001560342210 1060128204 /nfs/dbraw/zinc/12/82/04/1060128204.db2.gz KQMHSTXSKQCEIU-RYUDHWBXSA-N 0 3 320.527 4.359 20 0 DIADHN Cc1cc(C)cc(CCNCc2cscc2Br)c1 ZINC001560342082 1060128355 /nfs/dbraw/zinc/12/83/55/1060128355.db2.gz FYRVQHDRRQABFR-UHFFFAOYSA-N 0 3 324.287 4.460 20 0 DIADHN CSc1ccc(F)cc1CNCc1cccc2c1CCC2 ZINC001560354547 1060140031 /nfs/dbraw/zinc/14/00/31/1060140031.db2.gz ULXYFTIERVGNRC-UHFFFAOYSA-N 0 3 301.430 4.326 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](C)Oc1ccc(F)cc1 ZINC001560353762 1060140557 /nfs/dbraw/zinc/14/05/57/1060140557.db2.gz VMYBBJFKBVBTMK-ZDUSSCGKSA-N 0 3 305.368 4.084 20 0 DIADHN Cc1ccc(O[C@H](C)CNCc2cccc(Cl)c2F)cc1 ZINC001560353989 1060140649 /nfs/dbraw/zinc/14/06/49/1060140649.db2.gz BSHDVSLIOPWBNI-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN CC[C@H](CNCc1nccc2c1CCCC2)Oc1ccccc1C ZINC001560357454 1060142092 /nfs/dbraw/zinc/14/20/92/1060142092.db2.gz NIKABUGCGOHQMS-GOSISDBHSA-N 0 3 324.468 4.216 20 0 DIADHN Cc1ccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)c(Cl)c1 ZINC001249073120 1060145222 /nfs/dbraw/zinc/14/52/22/1060145222.db2.gz IOPSDGSRWWENGY-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3c(c2)C[C@H](C)O3)cc1F ZINC001560364675 1060150186 /nfs/dbraw/zinc/15/01/86/1060150186.db2.gz RGOAEEKGCKIYCL-QWHCGFSZSA-N 0 3 315.388 4.009 20 0 DIADHN C[C@]1(CNCc2ccc(F)c(Cl)c2Cl)CCCC[C@H]1O ZINC001560368759 1060155703 /nfs/dbraw/zinc/15/57/03/1060155703.db2.gz CWRBXVWNOMLVJI-IUODEOHRSA-N 0 3 320.235 4.163 20 0 DIADHN FC(F)(F)c1ccc(CNCc2ccc3c(c2)COC3)cc1 ZINC001560377558 1060164973 /nfs/dbraw/zinc/16/49/73/1060164973.db2.gz BLLMIUARHVRSPK-UHFFFAOYSA-N 0 3 307.315 4.025 20 0 DIADHN Cc1cccc(C)c1CNCc1cn(C)nc1C1CCCCC1 ZINC001560411321 1060194663 /nfs/dbraw/zinc/19/46/63/1060194663.db2.gz WXIICEPEHVIDID-UHFFFAOYSA-N 0 3 311.473 4.374 20 0 DIADHN CC(C)OCCCCNCc1csc(-c2ccsc2)n1 ZINC001560412642 1060197525 /nfs/dbraw/zinc/19/75/25/1060197525.db2.gz CPPPIKDVPHDITE-UHFFFAOYSA-N 0 3 310.488 4.166 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CCc3ccccc3C2)s1 ZINC001560420423 1060203911 /nfs/dbraw/zinc/20/39/11/1060203911.db2.gz DUHHRRCSNGTWDD-CQSZACIVSA-N 0 3 314.498 4.335 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)o1 ZINC001560425529 1060209158 /nfs/dbraw/zinc/20/91/58/1060209158.db2.gz NIMPRYJBERDUEW-UHFFFAOYSA-N 0 3 300.446 4.348 20 0 DIADHN COc1ccc(C[C@@H](C)C[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001560425050 1060210146 /nfs/dbraw/zinc/21/01/46/1060210146.db2.gz QBPSXICFFWEFOY-CYBMUJFWSA-N 0 3 319.832 4.023 20 0 DIADHN Cc1nc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)[nH]c1C ZINC001560425756 1060213066 /nfs/dbraw/zinc/21/30/66/1060213066.db2.gz ZLZLADUIBXUNTQ-UHFFFAOYSA-N 0 3 313.489 4.391 20 0 DIADHN Cc1ccc(CN2CCC[C@H]3CCCC[C@@H]32)c(Br)n1 ZINC001249419048 1060244065 /nfs/dbraw/zinc/24/40/65/1060244065.db2.gz HREDSDIVCLBBFY-HIFRSBDPSA-N 0 3 323.278 4.307 20 0 DIADHN CCOC[C@H](C)NCc1cc(-c2ccccc2C)ccc1OC ZINC001560447492 1060235833 /nfs/dbraw/zinc/23/58/33/1060235833.db2.gz WWRZBRHDTUUGQN-INIZCTEOSA-N 0 3 313.441 4.185 20 0 DIADHN C/C=C/C[C@H](CO)NCc1cc(Cl)ccc1OC1CCCC1 ZINC001560448158 1060240194 /nfs/dbraw/zinc/24/01/94/1060240194.db2.gz UHZRLMRJKZEWQJ-WOMSROEHSA-N 0 3 323.864 4.078 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(-c3ccccc3F)o2)O1 ZINC001560448984 1060240229 /nfs/dbraw/zinc/24/02/29/1060240229.db2.gz WNCLSTMTHAQHLC-AWEZNQCLSA-N 0 3 303.377 4.133 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3cnsc3)C2)cc1Cl ZINC001560452590 1060240872 /nfs/dbraw/zinc/24/08/72/1060240872.db2.gz IFOKAWUEALSUQJ-HAQNSBGRSA-N 0 3 313.253 4.486 20 0 DIADHN C[C@@H](CN1CCC(Oc2ccccc2Cl)CC1)C(F)(F)F ZINC000533148083 1060246831 /nfs/dbraw/zinc/24/68/31/1060246831.db2.gz PTKSXQVNVDSJGA-NSHDSACASA-N 0 3 321.770 4.382 20 0 DIADHN C[C@H](CC(C)(C)C)NCc1nc(Cl)ccc1Br ZINC001560496185 1060258844 /nfs/dbraw/zinc/25/88/44/1060258844.db2.gz GJLYSLIIIJBLSZ-SECBINFHSA-N 0 3 319.674 4.412 20 0 DIADHN CCC[C@@]1(NCc2ccc(-c3cccc(F)c3F)o2)CCOC1 ZINC001560469259 1060259676 /nfs/dbraw/zinc/25/96/76/1060259676.db2.gz BUYFVUBCQCAZRX-GOSISDBHSA-N 0 3 321.367 4.274 20 0 DIADHN Fc1ccccc1CNCCn1ccc2cc(Cl)ccc21 ZINC001560470177 1060262453 /nfs/dbraw/zinc/26/24/53/1060262453.db2.gz RFUPWBBZFMTRIP-UHFFFAOYSA-N 0 3 302.780 4.224 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN(C)CCc2ccccc2)cn1 ZINC001249511431 1060272500 /nfs/dbraw/zinc/27/25/00/1060272500.db2.gz AFAMAOWPZWEQCO-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CCC[C@H](CNCc1ccc(-c2ccccc2)cc1OC)OC ZINC001560483787 1060275786 /nfs/dbraw/zinc/27/57/86/1060275786.db2.gz MHXZWMUEEYPKIQ-LJQANCHMSA-N 0 3 313.441 4.267 20 0 DIADHN Fc1cc2cc[nH]c2c(CN2CC[C@@H](OCc3ccccc3)C2)c1 ZINC001249525065 1060277304 /nfs/dbraw/zinc/27/73/04/1060277304.db2.gz XMKRVGCZOGFCFW-LJQANCHMSA-N 0 3 324.399 4.098 20 0 DIADHN CC(C)N(Cc1nc2cc(OC(F)(F)F)ccc2[nH]1)C(C)C ZINC001249530739 1060280687 /nfs/dbraw/zinc/28/06/87/1060280687.db2.gz APRMGRPTVZCILZ-UHFFFAOYSA-N 0 3 315.339 4.080 20 0 DIADHN CCCn1cncc1CN[C@H](C)c1ccc(F)c2ccccc21 ZINC001560491072 1060286402 /nfs/dbraw/zinc/28/64/02/1060286402.db2.gz VVFTUFKRYFRVGW-CQSZACIVSA-N 0 3 311.404 4.436 20 0 DIADHN COc1cccc(-c2csc(CNCCC3(F)CCC3)n2)c1 ZINC001560491434 1060286619 /nfs/dbraw/zinc/28/66/19/1060286619.db2.gz LWDCPERFZIALJL-UHFFFAOYSA-N 0 3 320.433 4.191 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@H]1NC/C=C\c1ccc(F)cc1F ZINC001560492518 1060287534 /nfs/dbraw/zinc/28/75/34/1060287534.db2.gz YSHMRYDFXNMXLQ-MYNMJNTDSA-N 0 3 301.336 4.090 20 0 DIADHN c1sc2ccccc2c1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249554589 1060290499 /nfs/dbraw/zinc/29/04/99/1060290499.db2.gz TZXQGRZKHXRUQB-OAHLLOKOSA-N 0 3 314.454 4.082 20 0 DIADHN CC(C)(C)c1ccc(NC2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001249556948 1060290742 /nfs/dbraw/zinc/29/07/42/1060290742.db2.gz RYROVEJWBRZYHU-LJQANCHMSA-N 0 3 314.473 4.165 20 0 DIADHN CC(C)c1cc(F)ccc1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249558730 1060292068 /nfs/dbraw/zinc/29/20/68/1060292068.db2.gz AQFHHZOAFRNWMZ-QGZVFWFLSA-N 0 3 318.436 4.130 20 0 DIADHN F[C@H]1CCCC[C@H]1NCc1cc(Cl)cc(Br)c1 ZINC001560551337 1060360604 /nfs/dbraw/zinc/36/06/04/1060360604.db2.gz KEKZTELUEFWDDS-QWHCGFSZSA-N 0 3 320.633 4.473 20 0 DIADHN c1coc(-c2ccccc2NC2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001249562186 1060294915 /nfs/dbraw/zinc/29/49/15/1060294915.db2.gz XOOPSGRXLXXGBK-QGZVFWFLSA-N 0 3 324.424 4.127 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1ccc(Oc2ccccc2)o1)C1CC1 ZINC001560505562 1060302014 /nfs/dbraw/zinc/30/20/14/1060302014.db2.gz YPFCRUREMSIDHO-KUHUBIRLSA-N 0 3 315.413 4.365 20 0 DIADHN Cc1ccc(CNC[C@H](CC(C)C)C(=O)OC(C)(C)C)c(F)c1 ZINC001560510820 1060310059 /nfs/dbraw/zinc/31/00/59/1060310059.db2.gz MMRNBFBTGBVPKP-INIZCTEOSA-N 0 3 323.452 4.228 20 0 DIADHN Cc1ccc(CNCc2cccc(Br)c2C)cc1F ZINC001560517551 1060318138 /nfs/dbraw/zinc/31/81/38/1060318138.db2.gz PQFNTUYZTMYULK-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN O=c1ccc(CNCc2cccc(Oc3ccccc3F)c2)c[nH]1 ZINC001560523267 1060323817 /nfs/dbraw/zinc/32/38/17/1060323817.db2.gz ZWKHYAYMFJUVAW-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN Clc1cccc(Cl)c1CNCCCO[C@H]1CCCCO1 ZINC001560525899 1060325307 /nfs/dbraw/zinc/32/53/07/1060325307.db2.gz SQAYIBCKDQYTMO-HNNXBMFYSA-N 0 3 318.244 4.016 20 0 DIADHN Cc1ccc(CNC[C@@H](O)c2cccc3ccccc32)c(F)c1 ZINC001560529116 1060330033 /nfs/dbraw/zinc/33/00/33/1060330033.db2.gz RZVZVUOBNBITGD-HXUWFJFHSA-N 0 3 309.384 4.111 20 0 DIADHN Cn1cc(CCNCc2c(F)cccc2Cl)c2ccccc21 ZINC001560535869 1060339291 /nfs/dbraw/zinc/33/92/91/1060339291.db2.gz OJPXHOMGDKBJMP-UHFFFAOYSA-N 0 3 316.807 4.303 20 0 DIADHN Cc1ccc(Br)c(F)c1CNC[C@@]1(C)CC1(F)F ZINC001560536604 1060339911 /nfs/dbraw/zinc/33/99/11/1060339911.db2.gz PJEHZXIJTIOWMF-GFCCVEGCSA-N 0 3 322.168 4.032 20 0 DIADHN CN(C)C[C@H]1CCc2ccccc2N1C(=O)CCCC(C)(C)C ZINC001526811747 1060345308 /nfs/dbraw/zinc/34/53/08/1060345308.db2.gz OLGOHQWVMQQXPH-QGZVFWFLSA-N 0 3 316.489 4.112 20 0 DIADHN Brc1c2ccccc2oc1CNC[C@@H]1C=CCC1 ZINC001560571458 1060384627 /nfs/dbraw/zinc/38/46/27/1060384627.db2.gz UMCBPNLDVLSPRX-LLVKDONJSA-N 0 3 306.203 4.251 20 0 DIADHN C=C/C=C/CCNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC001560573805 1060387626 /nfs/dbraw/zinc/38/76/26/1060387626.db2.gz SHGJWBMUAYZKIL-SNAWJCMRSA-N 0 3 315.848 4.115 20 0 DIADHN CC(C)(C)Oc1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC001560577979 1060392241 /nfs/dbraw/zinc/39/22/41/1060392241.db2.gz CNGDSVGZCLNJMI-UHFFFAOYSA-N 0 3 323.436 4.430 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](CNCc2cc3ccccc3o2)O1 ZINC001560581150 1060394116 /nfs/dbraw/zinc/39/41/16/1060394116.db2.gz RCHOLJCNTWKYAI-WFASDCNBSA-N 0 3 313.319 4.022 20 0 DIADHN COc1ccc(CNCc2cccc3c2CCC3)cc1Cl ZINC001560582525 1060396928 /nfs/dbraw/zinc/39/69/28/1060396928.db2.gz JRIXSWFLEQSMEK-UHFFFAOYSA-N 0 3 301.817 4.127 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNCc1csc(-c2ccsc2)n1 ZINC001560590298 1060402857 /nfs/dbraw/zinc/40/28/57/1060402857.db2.gz ROFJDBFHBPTCMO-DWZYQQQCSA-N 0 3 304.484 4.253 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1csc(-c2ccsc2)n1 ZINC001560590300 1060402930 /nfs/dbraw/zinc/40/29/30/1060402930.db2.gz ROFJDBFHBPTCMO-WAZAZEMKSA-N 0 3 304.484 4.253 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1c(Cl)cccc1OC(F)F ZINC001560594459 1060406643 /nfs/dbraw/zinc/40/66/43/1060406643.db2.gz NMIJNUVFJQONSO-ORXSELOVSA-N 0 3 301.764 4.076 20 0 DIADHN Cc1cc(F)c(CNCCC2(c3ccccc3)CC2)c(F)c1 ZINC001560594441 1060407988 /nfs/dbraw/zinc/40/79/88/1060407988.db2.gz MTPQIEXZHQBGQD-UHFFFAOYSA-N 0 3 301.380 4.485 20 0 DIADHN CC(C)(CNCc1csc(-c2ccc(F)cc2)n1)C1CC1 ZINC001560603225 1060417028 /nfs/dbraw/zinc/41/70/28/1060417028.db2.gz AJQHCQVEXIIIHC-UHFFFAOYSA-N 0 3 304.434 4.475 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@@H]1CCCC2(CCC2)O1 ZINC001560606700 1060419536 /nfs/dbraw/zinc/41/95/36/1060419536.db2.gz ZNFHWMNJKYYOND-LBPRGKRZSA-N 0 3 319.392 4.348 20 0 DIADHN C[C@H]1CCc2nc(CNCC[C@@H]3CCC(F)(F)C3)sc2C1 ZINC001560614475 1060427078 /nfs/dbraw/zinc/42/70/78/1060427078.db2.gz MERPXBQXEBMKCM-RYUDHWBXSA-N 0 3 314.445 4.183 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)c1ncccc1C ZINC001560617977 1060429124 /nfs/dbraw/zinc/42/91/24/1060429124.db2.gz HBDBYMVAEFPFHP-MLRPBERTSA-N 0 3 310.441 4.291 20 0 DIADHN Oc1ccc(CN2CC[C@@H](CSc3ccccc3)C2)cc1F ZINC001560627445 1060437358 /nfs/dbraw/zinc/43/73/58/1060437358.db2.gz AWSOYDGQCHKMFV-OAHLLOKOSA-N 0 3 317.429 4.146 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1C[C@H](C)CC[C@H]1C ZINC001560627656 1060437948 /nfs/dbraw/zinc/43/79/48/1060437948.db2.gz HJYGQKLUJFMZCW-VXGBXAGGSA-N 0 3 303.475 4.202 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1C[C@@H](C)CC[C@H]1C ZINC001560627654 1060438649 /nfs/dbraw/zinc/43/86/49/1060438649.db2.gz HJYGQKLUJFMZCW-NWDGAFQWSA-N 0 3 303.475 4.202 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1cc(OC)c(OC)cc1F ZINC001560627439 1060438743 /nfs/dbraw/zinc/43/87/43/1060438743.db2.gz AVPFHYFZVXQDOE-BBRMVZONSA-N 0 3 309.425 4.244 20 0 DIADHN CCOc1cncc(CN2CCC[C@H]2c2cccc(C)c2C)c1 ZINC001560636531 1060452406 /nfs/dbraw/zinc/45/24/06/1060452406.db2.gz IIBMNURPYKFFIE-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN COCCN(Cc1cc2ccccc2n1C)[C@@H](C)c1ccccc1 ZINC001560641633 1060458734 /nfs/dbraw/zinc/45/87/34/1060458734.db2.gz KJNYRQVMIBEIGQ-KRWDZBQOSA-N 0 3 322.452 4.388 20 0 DIADHN CCCc1cccc(CN2CCN(c3ccccc3C)CC2)c1 ZINC001560644022 1060463646 /nfs/dbraw/zinc/46/36/46/1060463646.db2.gz FTRXZIBOVQIISB-UHFFFAOYSA-N 0 3 308.469 4.270 20 0 DIADHN Oc1ccc(C2=CCN(Cc3ccc4c(c3)CCCO4)CC2)cc1 ZINC001560644864 1060466781 /nfs/dbraw/zinc/46/67/81/1060466781.db2.gz UQYOOPTVFUBIQY-UHFFFAOYSA-N 0 3 321.420 4.007 20 0 DIADHN COc1cc(F)cc(CN(C2CC2)[C@H]2CCc3ccccc32)c1 ZINC001560646223 1060471060 /nfs/dbraw/zinc/47/10/60/1060471060.db2.gz TYLJUOAJUROJGP-FQEVSTJZSA-N 0 3 311.400 4.486 20 0 DIADHN Cc1ccc(C(=O)Cc2ccc(CN3CCCCC3)cc2)cc1 ZINC001249909053 1060474189 /nfs/dbraw/zinc/47/41/89/1060474189.db2.gz YVBQJYJQQWKPTO-UHFFFAOYSA-N 0 3 307.437 4.406 20 0 DIADHN CCN(CCc1ccccc1)Cc1cc2ccccc2nc1OC ZINC001560656704 1060480185 /nfs/dbraw/zinc/48/01/85/1060480185.db2.gz MSVSNNPTAMLDSP-UHFFFAOYSA-N 0 3 320.436 4.308 20 0 DIADHN CCOc1ccc(Cl)cc1CN(C)[C@H](C)CCSC ZINC001560656163 1060481194 /nfs/dbraw/zinc/48/11/94/1060481194.db2.gz BCUNQECIEUSQQP-GFCCVEGCSA-N 0 3 301.883 4.312 20 0 DIADHN COc1cc(CN2CCC[C@H]2C2CCCCC2)cnc1Cl ZINC001249931706 1060489309 /nfs/dbraw/zinc/48/93/09/1060489309.db2.gz WQQPUYARVVBTIS-HNNXBMFYSA-N 0 3 308.853 4.288 20 0 DIADHN CCCN(Cc1cccnc1N)[C@H](C)c1cc2ccccc2o1 ZINC001560663577 1060490028 /nfs/dbraw/zinc/49/00/28/1060490028.db2.gz OYDDURDAZOTJST-CQSZACIVSA-N 0 3 309.413 4.383 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccnc(F)c2C)C12CCCCC2 ZINC001560668646 1060497662 /nfs/dbraw/zinc/49/76/62/1060497662.db2.gz QOKAQDSHRLDPEY-IRXDYDNUSA-N 0 3 320.452 4.089 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc(F)cc(N(C)C)c2)cc1 ZINC001249955227 1060501586 /nfs/dbraw/zinc/50/15/86/1060501586.db2.gz GYZHFEPGJPXRRC-CQSZACIVSA-N 0 3 316.420 4.093 20 0 DIADHN CCOc1cc(F)cc(CN(C)[C@H]2C[C@@H](OCC)C23CCC3)c1 ZINC001560675701 1060508827 /nfs/dbraw/zinc/50/88/27/1060508827.db2.gz WPAMNKKYDYLNRJ-ZWKOTPCHSA-N 0 3 321.436 4.004 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@H]2CCC(C)C)cc1F ZINC001560675544 1060512335 /nfs/dbraw/zinc/51/23/35/1060512335.db2.gz JHIAVDFKHKYFQL-HNNXBMFYSA-N 0 3 309.425 4.244 20 0 DIADHN CCN1C[C@@H](C)N(Cc2ccc(Cl)c(C)c2Cl)C[C@H]1C ZINC001560676583 1060513844 /nfs/dbraw/zinc/51/38/44/1060513844.db2.gz GWJBIZOGUSJPBS-VXGBXAGGSA-N 0 3 315.288 4.216 20 0 DIADHN C[C@@H]1C[C@@H](C)CCN1Cc1ccnc(OCc2ccccc2)c1 ZINC001249976022 1060517135 /nfs/dbraw/zinc/51/71/35/1060517135.db2.gz MTYUDFWCRVDUHZ-DLBZAZTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@@H](CCN(Cc1ccco1)C[C@H]1CCCO1)c1ccccc1 ZINC001560682022 1060522568 /nfs/dbraw/zinc/52/25/68/1060522568.db2.gz AOVBCODNVQJGQB-FXAWDEMLSA-N 0 3 313.441 4.454 20 0 DIADHN COC1(CN(Cc2ccco2)C[C@@H]2CCCO2)CCCCCC1 ZINC001560682043 1060527984 /nfs/dbraw/zinc/52/79/84/1060527984.db2.gz BACUEGIZDPHUED-SFHVURJKSA-N 0 3 321.461 4.000 20 0 DIADHN CCOc1cc(CN2CCC3(C=Cc4ccccc43)CC2)ccn1 ZINC001250002671 1060532757 /nfs/dbraw/zinc/53/27/57/1060532757.db2.gz MNFHQLZFNRZJDK-UHFFFAOYSA-N 0 3 320.436 4.041 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(OC)c(C(C)(C)C)c2)CCS1 ZINC001560684813 1060533881 /nfs/dbraw/zinc/53/38/81/1060533881.db2.gz ALOQFDSISAUAOM-OAHLLOKOSA-N 0 3 307.503 4.320 20 0 DIADHN CCOc1cc(CN(C)Cc2cccc3ccccc32)ccn1 ZINC001250009060 1060537022 /nfs/dbraw/zinc/53/70/22/1060537022.db2.gz BEUUXKCICXPDTL-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN(C)CC(=O)NCc1cccs1 ZINC001560691959 1060542194 /nfs/dbraw/zinc/54/21/94/1060542194.db2.gz OXFNVCJBECMCRA-MRXNPFEDSA-N 0 3 322.518 4.069 20 0 DIADHN Clc1ncc(CN2CCC3(CC3)CC2)c(Cl)c1Cl ZINC001250018578 1060543610 /nfs/dbraw/zinc/54/36/10/1060543610.db2.gz QFTPXURWHJXAFM-UHFFFAOYSA-N 0 3 305.636 4.418 20 0 DIADHN Cc1cccc2[nH]c(CN(C)CCN(C)c3ccccc3)cc21 ZINC001560696619 1060546384 /nfs/dbraw/zinc/54/63/84/1060546384.db2.gz VQIWZCFWJGNFRK-UHFFFAOYSA-N 0 3 307.441 4.045 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1C[C@H]1C[C@H]1C ZINC001560701051 1060554997 /nfs/dbraw/zinc/55/49/97/1060554997.db2.gz ZJKLQSLKPYGJNO-JMSVASOKSA-N 0 3 324.262 4.251 20 0 DIADHN Cc1c(F)ccc(Nc2cccc3c2OCC[C@H]3N(C)C)c1F ZINC001250053549 1060571290 /nfs/dbraw/zinc/57/12/90/1060571290.db2.gz GFKOGCWGPCLNSW-MRXNPFEDSA-N 0 3 318.367 4.402 20 0 DIADHN CCOC(=O)c1ccc(CN(Cc2ccccc2)[C@@H](C)CC)o1 ZINC001560719576 1060580753 /nfs/dbraw/zinc/58/07/53/1060580753.db2.gz NTUDGCRVRSCAKP-HNNXBMFYSA-N 0 3 315.413 4.257 20 0 DIADHN Cc1noc(C)c1CC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001250068920 1060580977 /nfs/dbraw/zinc/58/09/77/1060580977.db2.gz YQBIOAQVONTKSL-MOPGFXCFSA-N 0 3 308.425 4.197 20 0 DIADHN Cc1c(Cl)cccc1CN(C)CCOc1ccccc1F ZINC001560721565 1060584804 /nfs/dbraw/zinc/58/48/04/1060584804.db2.gz QAIYNBYRYNWFEY-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN CN(C)Cc1ccccc1CC(=O)c1ccc2ccccc2c1 ZINC001250072386 1060585203 /nfs/dbraw/zinc/58/52/03/1060585203.db2.gz SOUMBKQQKCZKSV-UHFFFAOYSA-N 0 3 303.405 4.327 20 0 DIADHN CN(C)Cc1cccc(CC(=O)c2ccc3ccccc3c2)c1 ZINC001250071897 1060585508 /nfs/dbraw/zinc/58/55/08/1060585508.db2.gz HOEPXFRNNVKZTF-UHFFFAOYSA-N 0 3 303.405 4.327 20 0 DIADHN CNCc1cccc(CC(=O)c2ccc3ccccc3c2)c1F ZINC001250073740 1060587241 /nfs/dbraw/zinc/58/72/41/1060587241.db2.gz IMKWWWJFTFKBHC-UHFFFAOYSA-N 0 3 307.368 4.124 20 0 DIADHN CC[C@H](C)[C@@H](CN(C)Cc1ccccc1OC(F)(F)F)OC ZINC001560727508 1060593866 /nfs/dbraw/zinc/59/38/66/1060593866.db2.gz KBIYHIOBRDFHOH-SWLSCSKDSA-N 0 3 319.367 4.078 20 0 DIADHN Cc1ccccc1CN(Cc1ccc(N(C)C(C)C)nc1)C1CC1 ZINC001560729632 1060597080 /nfs/dbraw/zinc/59/70/80/1060597080.db2.gz CCRAETQNXQWKJM-UHFFFAOYSA-N 0 3 323.484 4.399 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCN(C(=O)C2CC2)CC1(C)C ZINC001560733890 1060600950 /nfs/dbraw/zinc/60/09/50/1060600950.db2.gz DIAYOJFNSBVNJS-KRWDZBQOSA-N 0 3 320.521 4.092 20 0 DIADHN COc1ncc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)s1 ZINC001560734525 1060603798 /nfs/dbraw/zinc/60/37/98/1060603798.db2.gz JVXBDYVBHYMZMR-DOTOQJQBSA-N 0 3 316.470 4.201 20 0 DIADHN COc1nc2ccccc2cc1CN1CC[C@@H](C)C2(CCC2)C1 ZINC001560743746 1060611626 /nfs/dbraw/zinc/61/16/26/1060611626.db2.gz CJSWIFGSVGORTJ-OAHLLOKOSA-N 0 3 310.441 4.256 20 0 DIADHN COc1c(Cl)ccc(F)c1Nc1ccccc1CN(C)C ZINC001250106570 1060613363 /nfs/dbraw/zinc/61/33/63/1060613363.db2.gz WFWQKGDFGLAAEL-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN CC[C@H](CCc1ccccc1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001560751538 1060618780 /nfs/dbraw/zinc/61/87/80/1060618780.db2.gz PPTXRNTWNLLKSX-NVXWUHKLSA-N 0 3 317.379 4.399 20 0 DIADHN FC(F)n1cccc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC001560765249 1060629148 /nfs/dbraw/zinc/62/91/48/1060629148.db2.gz CWYOZERZAWQYIB-CYBMUJFWSA-N 0 3 318.289 4.319 20 0 DIADHN CC(C)OC[C@@H]1CN(CC2CCC3(CCCCC3)CC2)CCO1 ZINC001560768888 1060631859 /nfs/dbraw/zinc/63/18/59/1060631859.db2.gz MBFMEILRHCSQSB-IBGZPJMESA-N 0 3 323.521 4.253 20 0 DIADHN COc1cc(Nc2ccnc(C3CCC3)c2)c(Cl)c(OC)n1 ZINC001250127343 1060632154 /nfs/dbraw/zinc/63/21/54/1060632154.db2.gz GYAGHISUZUQLTJ-UHFFFAOYSA-N 0 3 319.792 4.158 20 0 DIADHN CC[C@@H](Nc1cccc(N2CCN(CC)CC2)c1)C1CCCC1 ZINC001560774876 1060638811 /nfs/dbraw/zinc/63/88/11/1060638811.db2.gz UMBZXAYPCGBZOP-HXUWFJFHSA-N 0 3 315.505 4.209 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2ncc(C(F)(F)F)s2)[C@@H]1C ZINC001560786962 1060649534 /nfs/dbraw/zinc/64/95/34/1060649534.db2.gz HEKQFBHLAXMNOC-GMTAPVOTSA-N 0 3 306.397 4.324 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCCC[C@H]2C2CC2)c(F)c1F ZINC001560801580 1060661797 /nfs/dbraw/zinc/66/17/97/1060661797.db2.gz STQJNMISGGUURQ-HZMBPMFUSA-N 0 3 301.327 4.301 20 0 DIADHN Fc1cc(Br)c(CNC2CC3(CCC3)C2)cc1F ZINC001560817551 1060677305 /nfs/dbraw/zinc/67/73/05/1060677305.db2.gz CFLPOMFEOGLZOD-UHFFFAOYSA-N 0 3 316.189 4.150 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCSC[C@H]1c1cnn(C)c1 ZINC001560805878 1060666054 /nfs/dbraw/zinc/66/60/54/1060666054.db2.gz FEGVWLPAKWZMHD-AEFFLSMTSA-N 0 3 321.534 4.283 20 0 DIADHN CCCC1CCC(N(C)Cc2ccnn2CC2CCC2)CC1 ZINC001560804308 1060666573 /nfs/dbraw/zinc/66/65/73/1060666573.db2.gz ZASGQWOKMZMIAP-UHFFFAOYSA-N 0 3 303.494 4.474 20 0 DIADHN CC[C@](C)(CN(Cc1cccnc1)Cc1ccccc1C)OC ZINC001560809414 1060668562 /nfs/dbraw/zinc/66/85/62/1060668562.db2.gz KOJUPXGFUTWHEH-HXUWFJFHSA-N 0 3 312.457 4.207 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)NCC3CCCCC3)n2)cc1F ZINC001560807018 1060669164 /nfs/dbraw/zinc/66/91/64/1060669164.db2.gz TVAHGYLWBDQLCW-ZDUSSCGKSA-N 0 3 317.408 4.415 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1cccc(Br)c1OC ZINC001560814636 1060674542 /nfs/dbraw/zinc/67/45/42/1060674542.db2.gz DCFDDNWRAUITHN-WCQYABFASA-N 0 3 312.251 4.221 20 0 DIADHN CC[C@@H]1CC[C@@H]1NCc1cc(F)c(F)cc1Br ZINC001560817866 1060676549 /nfs/dbraw/zinc/67/65/49/1060676549.db2.gz IIOATRVCUUBFQG-OQPBUACISA-N 0 3 304.178 4.006 20 0 DIADHN CCCn1nccc1CN1CCC[C@@H](c2ccc(CC)cc2)C1 ZINC001560816699 1060677387 /nfs/dbraw/zinc/67/73/87/1060677387.db2.gz BGLCBSKLGXRAIR-LJQANCHMSA-N 0 3 311.473 4.235 20 0 DIADHN Cc1ccc(CN2CC[C@H](Oc3ccncc3)C2)c(C)c1Cl ZINC001250205048 1060684614 /nfs/dbraw/zinc/68/46/14/1060684614.db2.gz ZDTAJLWHRFLZPD-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN C[C@]1(CN2CC(c3cccc(C(F)(F)F)c3)C2)CCCCO1 ZINC001560831196 1060689033 /nfs/dbraw/zinc/68/90/33/1060689033.db2.gz LYWGGHYKISMKRV-MRXNPFEDSA-N 0 3 313.363 4.064 20 0 DIADHN Cc1ccc(CCCN2CC(c3ccc(Cl)cc3)C2)cn1 ZINC001560837679 1060695780 /nfs/dbraw/zinc/69/57/80/1060695780.db2.gz YOTNIQYQMMXKIR-UHFFFAOYSA-N 0 3 300.833 4.075 20 0 DIADHN COc1cc(Nc2cnc(C)n2C)ccc1Oc1ccccc1 ZINC001250218308 1060699076 /nfs/dbraw/zinc/69/90/76/1060699076.db2.gz SMJLJXZECWROKE-UHFFFAOYSA-N 0 3 309.369 4.273 20 0 DIADHN COc1c(C)ccc(F)c1CN1CCC2(CC(F)(F)C2)CC1 ZINC001250224378 1060703142 /nfs/dbraw/zinc/70/31/42/1060703142.db2.gz JJGSUHCMRSACMR-UHFFFAOYSA-N 0 3 313.363 4.154 20 0 DIADHN Cc1cc(CN(C)[C@H](CO)c2ccc(Cl)cc2)ccc1Cl ZINC001560847945 1060705282 /nfs/dbraw/zinc/70/52/82/1060705282.db2.gz ZKZLIRMINFSKBM-QGZVFWFLSA-N 0 3 324.251 4.467 20 0 DIADHN COc1sc(CNCC2=CCCCC2)cc1Br ZINC001560859506 1060717266 /nfs/dbraw/zinc/71/72/66/1060717266.db2.gz MHTLSBSJWFXDCK-UHFFFAOYSA-N 0 3 316.264 4.109 20 0 DIADHN CCC(CC)N(CC)Cc1nnn(-c2ccccc2)c1C1CC1 ZINC001560862168 1060719537 /nfs/dbraw/zinc/71/95/37/1060719537.db2.gz IEMBSASYYBFLLJ-UHFFFAOYSA-N 0 3 312.461 4.155 20 0 DIADHN C[C@H](CN(Cc1cscc1Cl)[C@@H](C)CO)c1ccccc1 ZINC001560861851 1060720032 /nfs/dbraw/zinc/72/00/32/1060720032.db2.gz YYWVTPPLINXEKW-KGLIPLIRSA-N 0 3 323.889 4.388 20 0 DIADHN COCC1(CNCc2cncc(Cl)c2Cl)CCCCC1 ZINC001560866465 1060727853 /nfs/dbraw/zinc/72/78/53/1060727853.db2.gz HJOJBQJCJWEMOG-UHFFFAOYSA-N 0 3 317.260 4.075 20 0 DIADHN Fc1ccc(C2CN(CCCc3ccc(F)c(F)c3)C2)cc1 ZINC001560874619 1060735017 /nfs/dbraw/zinc/73/50/17/1060735017.db2.gz GLKIWFHWENUXAZ-UHFFFAOYSA-N 0 3 305.343 4.136 20 0 DIADHN Cc1ccc(CN2CC(Cc3ccc(F)c(F)c3)C2)cc1C ZINC001560876468 1060735544 /nfs/dbraw/zinc/73/55/44/1060735544.db2.gz LQYQVBOUQKGKGA-UHFFFAOYSA-N 0 3 301.380 4.256 20 0 DIADHN CC(C)[C@H]1N(Cc2cnn(CC3CCC3)c2)CC12CCCC2 ZINC001560883800 1060746363 /nfs/dbraw/zinc/74/63/63/1060746363.db2.gz GDVCZQDOEQVTMY-GOSISDBHSA-N 0 3 301.478 4.084 20 0 DIADHN Clc1ccc(-c2cccc(CN3CC[C@]34CCOC4)c2)cc1 ZINC001560892188 1060754144 /nfs/dbraw/zinc/75/41/44/1060754144.db2.gz GSGOTZZTKQWUNH-LJQANCHMSA-N 0 3 313.828 4.372 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@@H](C)[C@H]2C)c(Br)cc1F ZINC001560893056 1060756366 /nfs/dbraw/zinc/75/63/66/1060756366.db2.gz FLQAVZXBNMCIPD-QJPTWQEYSA-N 0 3 314.242 4.373 20 0 DIADHN Cc1cc(CN2C[C@H](C)[C@H](C)[C@@H]2C)c(Br)cc1F ZINC001560893058 1060757836 /nfs/dbraw/zinc/75/78/36/1060757836.db2.gz FLQAVZXBNMCIPD-SRVKXCTJSA-N 0 3 314.242 4.373 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(CO)c(F)c2Cl)ccn1 ZINC001250296324 1060762735 /nfs/dbraw/zinc/76/27/35/1060762735.db2.gz QRXPKLBOIIGFRD-UHFFFAOYSA-N 0 3 308.784 4.408 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@H](Oc3ccccc3)C2)cc1F ZINC001560903767 1060767864 /nfs/dbraw/zinc/76/78/64/1060767864.db2.gz CNYDGAPUGNJZAE-CHYADLBTSA-N 0 3 315.363 4.131 20 0 DIADHN CNc1ccccc1CN1CCC(c2cccc(F)c2F)CC1 ZINC001560904053 1060768207 /nfs/dbraw/zinc/76/82/07/1060768207.db2.gz LVTZMAAHQSFXOB-UHFFFAOYSA-N 0 3 316.395 4.386 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CN1CC[C@@H]2CCCC[C@@H]21 ZINC001560903921 1060768261 /nfs/dbraw/zinc/76/82/61/1060768261.db2.gz IEVMIWUXWYPVLG-AAEUAGOBSA-N 0 3 324.255 4.406 20 0 DIADHN COc1ccc2nc(CN3CCCC[C@@H]3C3CCC3)sc2c1 ZINC001560918092 1060779049 /nfs/dbraw/zinc/77/90/49/1060779049.db2.gz IZZXVOSFGBSXPE-MRXNPFEDSA-N 0 3 316.470 4.460 20 0 DIADHN CC(C)O[C@H]1CCCN(Cc2ccccc2CC(F)(F)F)C1 ZINC001560918475 1060779198 /nfs/dbraw/zinc/77/91/98/1060779198.db2.gz VXJNTGMGENMEOD-INIZCTEOSA-N 0 3 315.379 4.181 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccsc2)CCc2ccccc21 ZINC001560918372 1060779917 /nfs/dbraw/zinc/77/99/17/1060779917.db2.gz RQXBPPPEROAQCV-AWEZNQCLSA-N 0 3 323.465 4.300 20 0 DIADHN CC[C@@H]1CN(CCc2ccc(C(C)(C)C)cc2)[C@H](CC)CO1 ZINC001560919745 1060782580 /nfs/dbraw/zinc/78/25/80/1060782580.db2.gz MBGKRILMRCXABE-RTBURBONSA-N 0 3 303.490 4.416 20 0 DIADHN c1ncn2cc(Nc3ccc(N4CCCCCC4)cc3)ccc12 ZINC001250328885 1060784767 /nfs/dbraw/zinc/78/47/67/1060784767.db2.gz ZPUQATDETSJDST-UHFFFAOYSA-N 0 3 306.413 4.458 20 0 DIADHN CC[C@H](CN1[C@H](C)CC[C@@H]1C(=O)OC(C)(C)C)c1ccccc1 ZINC001560920992 1060786194 /nfs/dbraw/zinc/78/61/94/1060786194.db2.gz XPMFGIATYFULDY-JFIYKMOQSA-N 0 3 317.473 4.375 20 0 DIADHN CCOc1nccc(CN2CC[C@H]3CCCC[C@@H]3C2)c1Cl ZINC001250389760 1060792061 /nfs/dbraw/zinc/79/20/61/1060792061.db2.gz UVLHRIJMNPMSRN-ZIAGYGMSSA-N 0 3 308.853 4.146 20 0 DIADHN Cc1cc(Br)cc(CN2CCC[C@H](CCF)C2)c1 ZINC001560931580 1060794617 /nfs/dbraw/zinc/79/46/17/1060794617.db2.gz LSDFKFAUIBETPG-CYBMUJFWSA-N 0 3 314.242 4.329 20 0 DIADHN CCC(C)(C)OC1CN([C@H]2CC[C@@](C)(c3ccccc3)C2)C1 ZINC001560934425 1060796211 /nfs/dbraw/zinc/79/62/11/1060796211.db2.gz QQHPRKPMJLRUSP-FXAWDEMLSA-N 0 3 301.474 4.386 20 0 DIADHN FC(F)(F)Cc1ccccc1CN1CCCC(F)(F)CC1 ZINC001560933633 1060796673 /nfs/dbraw/zinc/79/66/73/1060796673.db2.gz WKSFQJKREVJNHC-UHFFFAOYSA-N 0 3 307.306 4.413 20 0 DIADHN COc1ccnc(CN[C@H]2CCCC[C@@H]2C2CCCCC2)c1F ZINC001560936946 1060798442 /nfs/dbraw/zinc/79/84/42/1060798442.db2.gz OIIXJAICXYHJLT-CVEARBPZSA-N 0 3 320.452 4.458 20 0 DIADHN COc1ccnc(CN[C@@H]2CCCC[C@H]2C2CCCCC2)c1F ZINC001560936949 1060799585 /nfs/dbraw/zinc/79/95/85/1060799585.db2.gz OIIXJAICXYHJLT-JKSUJKDBSA-N 0 3 320.452 4.458 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001560942798 1060808527 /nfs/dbraw/zinc/80/85/27/1060808527.db2.gz UAKODCLHAGFRPV-DOTOQJQBSA-N 0 3 313.489 4.125 20 0 DIADHN CCOc1cc(CN[C@]2(c3ccccc3)CC2(C)C)ccc1O ZINC001560947575 1060813673 /nfs/dbraw/zinc/81/36/73/1060813673.db2.gz BMNXWZYFBJYTNK-FQEVSTJZSA-N 0 3 311.425 4.206 20 0 DIADHN Cc1cc(CN2CC(Cc3cccs3)C2)cc2cccnc12 ZINC001560957664 1060823281 /nfs/dbraw/zinc/82/32/81/1060823281.db2.gz JTQZOMFDSLXDCH-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN CC(C)C1CC(NCc2cc(F)c(Br)cc2F)C1 ZINC001560958448 1060824863 /nfs/dbraw/zinc/82/48/63/1060824863.db2.gz SIIOLERMHORFEO-UHFFFAOYSA-N 0 3 318.205 4.252 20 0 DIADHN Cc1ccc(C2CC(NCc3noc4ccc(F)cc34)C2)cc1 ZINC001560975010 1060838281 /nfs/dbraw/zinc/83/82/81/1060838281.db2.gz SYQCLRGZDVBBQL-UHFFFAOYSA-N 0 3 310.372 4.311 20 0 DIADHN C[C@H](NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccc(-c2ccccc2)cn1 ZINC001560993159 1060853783 /nfs/dbraw/zinc/85/37/83/1060853783.db2.gz AEBVAOKAENBWLJ-HRDWYUFVSA-N 0 3 322.452 4.357 20 0 DIADHN CC[C@@H](NCc1ccc(Cl)cc1F)[C@@H](O)c1ccccc1 ZINC001560999551 1060859592 /nfs/dbraw/zinc/85/95/92/1060859592.db2.gz XWOAEXBRJPYATD-SJORKVTESA-N 0 3 307.796 4.081 20 0 DIADHN COc1cc(CN2CCC[C@@H]2c2ccccc2)cc2c1OCC=C2 ZINC001250510068 1060860827 /nfs/dbraw/zinc/86/08/27/1060860827.db2.gz JCXBKKPMALVIPA-LJQANCHMSA-N 0 3 321.420 4.438 20 0 DIADHN COc1cccc(CN2CCC[C@](C)(F)CC2)c1OCC1CC1 ZINC001250521222 1060866731 /nfs/dbraw/zinc/86/67/31/1060866731.db2.gz MRXPXWBVNIJKOM-IBGZPJMESA-N 0 3 321.436 4.198 20 0 DIADHN COc1cccc(CN2CCCC3(CCC3)C2)c1OCC1CC1 ZINC001250527312 1060873074 /nfs/dbraw/zinc/87/30/74/1060873074.db2.gz HHGJADLUTVUKAU-UHFFFAOYSA-N 0 3 315.457 4.250 20 0 DIADHN COc1cccc(CN2CCC[C@H]3CCC[C@@H]32)c1OCC1CC1 ZINC001250529688 1060874537 /nfs/dbraw/zinc/87/45/37/1060874537.db2.gz DZPDOWPOMFBRTH-AEFFLSMTSA-N 0 3 315.457 4.249 20 0 DIADHN C[C@H]1C[C@@H](NC2([C@H]3CCCCO3)CC2)c2ccsc2S1 ZINC001561020666 1060877542 /nfs/dbraw/zinc/87/75/42/1060877542.db2.gz NJBQLNVKINMXJS-IACUBPJLSA-N 0 3 309.500 4.365 20 0 DIADHN Cc1cc(F)c(CN[C@H](C)CCOCc2ccccc2)c(F)c1 ZINC001561019622 1060878466 /nfs/dbraw/zinc/87/84/66/1060878466.db2.gz JGDPCPAIAZPINF-OAHLLOKOSA-N 0 3 319.395 4.358 20 0 DIADHN Cn1c(Cl)ncc1CN1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001561025692 1060880680 /nfs/dbraw/zinc/88/06/80/1060880680.db2.gz GUQRAYMAQYOWEQ-INIZCTEOSA-N 0 3 317.864 4.089 20 0 DIADHN Cc1c2[nH]c(Cc3ccc(Br)cc3)nc2ccc1F ZINC001250547072 1060885479 /nfs/dbraw/zinc/88/54/79/1060885479.db2.gz FYQFLCZPNUQQNS-UHFFFAOYSA-N 0 3 319.177 4.364 20 0 DIADHN Cc1ccc2cc(CN(C)CCCC(=O)OC(C)(C)C)[nH]c2c1 ZINC001561036415 1060888748 /nfs/dbraw/zinc/88/87/48/1060888748.db2.gz ZYUCMIUNXAUADV-UHFFFAOYSA-N 0 3 316.445 4.030 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@H](Cc3nccs3)C2)c1 ZINC001561044107 1060896311 /nfs/dbraw/zinc/89/63/11/1060896311.db2.gz ROXQNJODDCZCCV-CYBMUJFWSA-N 0 3 306.862 4.170 20 0 DIADHN C[C@H](NC[C@@H]1OCc2ccccc21)c1nccc2ccccc21 ZINC001561049415 1060897733 /nfs/dbraw/zinc/89/77/33/1060897733.db2.gz VCXRCDJTNOFMBW-LIRRHRJNSA-N 0 3 304.393 4.157 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2ccc(OCC3CC3)cc2)CCO1 ZINC001561051642 1060900936 /nfs/dbraw/zinc/90/09/36/1060900936.db2.gz FAGYQTKDIGKFNP-LJQANCHMSA-N 0 3 317.473 4.112 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2C[C@@H]2C2CCCC2)cc(Cl)c1[O-] ZINC001561052018 1060902695 /nfs/dbraw/zinc/90/26/95/1060902695.db2.gz PVNMPTLNEVLTEA-LSDHHAIUSA-N 0 3 323.864 4.360 20 0 DIADHN Cc1c2[nH]c([C@@H](C)Cc3ccc4c(c3)OCO4)nc2ccc1F ZINC001250567913 1060903059 /nfs/dbraw/zinc/90/30/59/1060903059.db2.gz OKDUBJKXTDHGSW-JTQLQIEISA-N 0 3 312.344 4.085 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](C)CC[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC001462121490 1060944115 /nfs/dbraw/zinc/94/41/15/1060944115.db2.gz USXHVBZRJNQYSP-LMOYCYGVSA-N 0 3 317.408 4.351 20 0 DIADHN CCC[C@H](Nc1cccc(N2CCN(CC)CC2)c1)C1CCC1 ZINC001462124706 1060946597 /nfs/dbraw/zinc/94/65/97/1060946597.db2.gz GVITUZFRTBVRPE-FQEVSTJZSA-N 0 3 315.505 4.209 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@@H](C)[C@H](C)C3)c2)CC1 ZINC001462131309 1060953712 /nfs/dbraw/zinc/95/37/12/1060953712.db2.gz WPUZFSPOBIVHEZ-ZHALLVOQSA-N 0 3 315.505 4.065 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2C(C)(C)C)cc1Cl ZINC001473201098 1060962289 /nfs/dbraw/zinc/96/22/89/1060962289.db2.gz MNVXSFNTNOTYPE-OAHLLOKOSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@@H](COCc1ccccc1)CN(C)Cc1ccc(Cl)nc1 ZINC001473206339 1060977674 /nfs/dbraw/zinc/97/76/74/1060977674.db2.gz GHKMBQKCRFQDAR-OAHLLOKOSA-N 0 3 318.848 4.020 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CC[C@](C)(CCc2ccccc2)C1 ZINC001473212105 1060981460 /nfs/dbraw/zinc/98/14/60/1060981460.db2.gz DUQRBNJCIQPREJ-QUCCMNQESA-N 0 3 317.473 4.063 20 0 DIADHN CCO[C@@H](CNCc1ccc(Cl)cc1F)c1ccccc1 ZINC001462162694 1060982581 /nfs/dbraw/zinc/98/25/81/1060982581.db2.gz GMDVFTHEPGBYKP-KRWDZBQOSA-N 0 3 307.796 4.347 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@H](c3ccccc3)[C@@H]2C)cc1 ZINC001473213995 1060984955 /nfs/dbraw/zinc/98/49/55/1060984955.db2.gz YEAICDZIMQPOKT-JXFKEZNVSA-N 0 3 322.452 4.066 20 0 DIADHN CCCC[C@H](CC)CN1CCOC[C@H]1C[C@H](O)c1ccccc1 ZINC001473221099 1060997206 /nfs/dbraw/zinc/99/72/06/1060997206.db2.gz WRAKESVBCINQPB-SXLOBPIMSA-N 0 3 319.489 4.027 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccccc2Cl)cc1 ZINC001473218644 1060997514 /nfs/dbraw/zinc/99/75/14/1060997514.db2.gz LDDZMJBZDKIJSU-INIZCTEOSA-N 0 3 301.817 4.166 20 0 DIADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+][C@H](CC)Cc2ccc(C)cc2)[n-]1 ZINC001462181606 1061001773 /nfs/dbraw/zinc/00/17/73/1061001773.db2.gz BMRLWQYNPJSOKB-HLLBOEOZSA-N 0 3 314.477 4.299 20 0 DIADHN CC(C)CCC1CCN([C@H]2CCCN(CC(C)(C)C)C2=O)CC1 ZINC001473228268 1061008860 /nfs/dbraw/zinc/00/88/60/1061008860.db2.gz XPSYHCSNOIBSGH-SFHVURJKSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1cnc(N2CCN(CCC3C[C@@H](C)C[C@H](C)C3)CC2)s1 ZINC001473239169 1061014321 /nfs/dbraw/zinc/01/43/21/1061014321.db2.gz UAYNDXNFKAFEOS-GJZGRUSLSA-N 0 3 321.534 4.036 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001473243518 1061017613 /nfs/dbraw/zinc/01/76/13/1061017613.db2.gz CXIHZFJKIGFQHG-QAPCUYQASA-N 0 3 316.489 4.451 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)CCc1c(F)cccc1F)CCC2 ZINC001473248984 1061025141 /nfs/dbraw/zinc/02/51/41/1061025141.db2.gz LORDDSWVVCTQEG-INIZCTEOSA-N 0 3 322.424 4.282 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccns2)c2ccccc2)cc1 ZINC001473248926 1061025976 /nfs/dbraw/zinc/02/59/76/1061025976.db2.gz ZOUOAIXVHJUMQL-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NCC(=O)NCC2CC2)C(C)C)cc1 ZINC001473257043 1061034378 /nfs/dbraw/zinc/03/43/78/1061034378.db2.gz YXVFQGTWSADSOO-QRWLVFNGSA-N 0 3 316.489 4.013 20 0 DIADHN CC1CC(CN2Cc3cc(Br)ccc3C[C@@H]2C)C1 ZINC001473289391 1061057966 /nfs/dbraw/zinc/05/79/66/1061057966.db2.gz ZLWVKZUZUJGDAL-CPCZMJQVSA-N 0 3 308.263 4.242 20 0 DIADHN Cc1cc(CN[C@H](Cc2ccccc2)c2ccccc2)c(C)nn1 ZINC001473267094 1061039934 /nfs/dbraw/zinc/03/99/34/1061039934.db2.gz JVOLOKWRGBRSKA-OAQYLSRUSA-N 0 3 317.436 4.167 20 0 DIADHN CCSc1ccccc1C[C@@H](C)N[C@H](C)c1nccn1CC ZINC001462232166 1061044665 /nfs/dbraw/zinc/04/46/65/1061044665.db2.gz NXRNYUPQIDDVBL-HUUCEWRRSA-N 0 3 317.502 4.297 20 0 DIADHN CC[C@H](NC[C@@H](O)c1cccc(OC)c1)c1ccccc1Cl ZINC001250734526 1061047704 /nfs/dbraw/zinc/04/77/04/1061047704.db2.gz NDWJBZVVGCKNMO-ZWKOTPCHSA-N 0 3 319.832 4.123 20 0 DIADHN O[C@H](CN(Cc1ccccc1F)C1CCC1)c1cccc(F)c1 ZINC001473276476 1061049960 /nfs/dbraw/zinc/04/99/60/1061049960.db2.gz HDMMOEWMWTWFRA-LJQANCHMSA-N 0 3 317.379 4.053 20 0 DIADHN CCOc1c(Cl)cccc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC001462246520 1061050538 /nfs/dbraw/zinc/05/05/38/1061050538.db2.gz CCQSOMXGJRFWBY-DOTOQJQBSA-N 0 3 305.849 4.359 20 0 DIADHN CC(C)C[C@H](C)CNc1cc(N(C)C)c(Br)cn1 ZINC001462255387 1061057405 /nfs/dbraw/zinc/05/74/05/1061057405.db2.gz RNRZLEIDIOEVNM-NSHDSACASA-N 0 3 314.271 4.004 20 0 DIADHN CC(C)(CCN1CCN(c2ccc(F)cc2Cl)CC1)C1CC1 ZINC001473289563 1061058776 /nfs/dbraw/zinc/05/87/76/1061058776.db2.gz LWTXEAPVGHNBMG-UHFFFAOYSA-N 0 3 324.871 4.427 20 0 DIADHN COC/C(C)=C\CN1Cc2ccccc2C[C@@H]1c1ccccc1 ZINC001473289500 1061060338 /nfs/dbraw/zinc/06/03/38/1061060338.db2.gz KRTPJDFHDBXUCM-NYXAKURHSA-N 0 3 307.437 4.379 20 0 DIADHN CCOC(=O)CC[C@H]1CCCN(Cc2ccc(C)cc2Cl)C1 ZINC001473299177 1061065228 /nfs/dbraw/zinc/06/52/28/1061065228.db2.gz WSISTSSNPKQEDX-OAHLLOKOSA-N 0 3 323.864 4.204 20 0 DIADHN Cc1cc(Cl)cc(CN2CCN(C)Cc3ccccc32)c1 ZINC001462273853 1061068682 /nfs/dbraw/zinc/06/86/82/1061068682.db2.gz RGPZCNSXOZWNCA-UHFFFAOYSA-N 0 3 300.833 4.100 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2cc(C)cc(C)n2)c1 ZINC001473306153 1061070186 /nfs/dbraw/zinc/07/01/86/1061070186.db2.gz REWJPUHHNRBFKA-QRWLVFNGSA-N 0 3 310.441 4.290 20 0 DIADHN CN(CC[C@@H]1CCCC1(F)F)Cc1cc(F)c(F)c(F)c1 ZINC001473307773 1061071626 /nfs/dbraw/zinc/07/16/26/1061071626.db2.gz IPXRRPBVTXIBPI-NSHDSACASA-N 0 3 307.306 4.361 20 0 DIADHN C[C@H](NC[C@H]1C[C@@]1(C)Br)c1ccc(F)cc1Cl ZINC001462279542 1061072828 /nfs/dbraw/zinc/07/28/28/1061072828.db2.gz YWWKQOZFVZZGGW-IGJMFERPSA-N 0 3 320.633 4.303 20 0 DIADHN C[C@@H](NCCSC(F)(F)F)c1cc(Cl)ccc1F ZINC001473310124 1061075348 /nfs/dbraw/zinc/07/53/48/1061075348.db2.gz VLHDUTRILRHTNJ-SSDOTTSWSA-N 0 3 301.736 4.383 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC001473311604 1061077245 /nfs/dbraw/zinc/07/72/45/1061077245.db2.gz PBDHCRTWZHWRDB-RTBURBONSA-N 0 3 319.395 4.438 20 0 DIADHN Cc1cc(CN2Cc3ccccc3O[C@@H](C)C2)cc2cccnc12 ZINC001462287806 1061080390 /nfs/dbraw/zinc/08/03/90/1061080390.db2.gz BMOWYXOAXMKLGZ-INIZCTEOSA-N 0 3 318.420 4.326 20 0 DIADHN CC(C)N(Cc1cc(-c2ccccn2)n[nH]1)[C@@H](C)c1ccccc1 ZINC001462296901 1061085537 /nfs/dbraw/zinc/08/55/37/1061085537.db2.gz HPNLKTJJPXWWOL-INIZCTEOSA-N 0 3 320.440 4.443 20 0 DIADHN CC[C@H](NCCOCc1ccccc1)c1cc(F)ccc1F ZINC001473323100 1061085926 /nfs/dbraw/zinc/08/59/26/1061085926.db2.gz HBQYJEZFZXYLTJ-SFHVURJKSA-N 0 3 305.368 4.222 20 0 DIADHN Cc1cccc([C@@H](NCc2cccc(N(C)C)n2)C(C)(C)C)c1 ZINC001473328318 1061089418 /nfs/dbraw/zinc/08/94/18/1061089418.db2.gz XMOCKXNIPFDEJY-LJQANCHMSA-N 0 3 311.473 4.333 20 0 DIADHN CCCSC[C@@H](C)N[C@H](COC)c1cccc(Cl)c1 ZINC001462308235 1061095913 /nfs/dbraw/zinc/09/59/13/1061095913.db2.gz SPHNGIOVNPXEKO-IUODEOHRSA-N 0 3 301.883 4.149 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC001473346561 1061102861 /nfs/dbraw/zinc/10/28/61/1061102861.db2.gz NLMQVRIBVZSIGN-ROUUACIJSA-N 0 3 319.489 4.048 20 0 DIADHN CO[C@H](CNCc1ccc(C2CC2)cc1F)C1CCCCC1 ZINC001462317040 1061103175 /nfs/dbraw/zinc/10/31/75/1061103175.db2.gz KCSGJHKVHPPOCL-LJQANCHMSA-N 0 3 305.437 4.388 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCC(=O)N(C(C)C)C2CCCC2)c1 ZINC001473350060 1061105713 /nfs/dbraw/zinc/10/57/13/1061105713.db2.gz VDTYALYWVGXAOQ-KRWDZBQOSA-N 0 3 316.489 4.134 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccnn2C2CCC2)CC12CCCCC2 ZINC001462328552 1061107009 /nfs/dbraw/zinc/10/70/09/1061107009.db2.gz CMZULDDFRFHVOW-SFHVURJKSA-N 0 3 301.478 4.399 20 0 DIADHN FC(F)(F)C1=CCN(CCCc2ccc(Cl)cc2)CC1 ZINC001473353362 1061108837 /nfs/dbraw/zinc/10/88/37/1061108837.db2.gz WMNKOPFGBRNDSK-UHFFFAOYSA-N 0 3 303.755 4.467 20 0 DIADHN C[C@H]1C[C@H](N2CC[C@@H]3[C@H](C2)C3(F)F)c2ccsc2S1 ZINC001462330633 1061108869 /nfs/dbraw/zinc/10/88/69/1061108869.db2.gz IHCXUBLCJRJQAQ-IELRGYKMSA-N 0 3 301.427 4.261 20 0 DIADHN CCc1ccc(CN(Cc2ccc(O)c(OC)c2)C2CC2)cc1 ZINC001473352696 1061109949 /nfs/dbraw/zinc/10/99/49/1061109949.db2.gz NZNYCXLKVLLQGA-UHFFFAOYSA-N 0 3 311.425 4.128 20 0 DIADHN COc1cccc(CN(C)[C@H](C)Cc2cccc(F)c2)c1F ZINC001462336103 1061112200 /nfs/dbraw/zinc/11/22/00/1061112200.db2.gz TVFNEXUWBYMAMV-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@H]2F)cc1Br ZINC001462338600 1061115063 /nfs/dbraw/zinc/11/50/63/1061115063.db2.gz IRDXGRKECVHVQY-ZIAGYGMSSA-N 0 3 300.215 4.128 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN[C@@H]2CCCC[C@H]2F)cc1 ZINC001462341512 1061117996 /nfs/dbraw/zinc/11/79/96/1061117996.db2.gz WXUSAJWOAPGNPB-HZPDHXFCSA-N 0 3 307.409 4.012 20 0 DIADHN CC(C)COCCN[C@H](c1ccc(Cl)cc1F)C(C)C ZINC001473371536 1061119070 /nfs/dbraw/zinc/11/90/70/1061119070.db2.gz UFQIESPMGNEHJO-INIZCTEOSA-N 0 3 301.833 4.438 20 0 DIADHN CCn1ccc(CN(C)Cc2cccc(OCC(C)C)c2)c1 ZINC001473378873 1061121122 /nfs/dbraw/zinc/12/11/22/1061121122.db2.gz AMOABCFGGHHITN-UHFFFAOYSA-N 0 3 300.446 4.175 20 0 DIADHN Cn1cc([C@H](NCC/C=C\c2ccccc2)c2ccccc2)cn1 ZINC001473377444 1061122070 /nfs/dbraw/zinc/12/20/70/1061122070.db2.gz VNULGGRUPYWOMK-PRUHCIRGSA-N 0 3 317.436 4.203 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccc2ccccc2c1 ZINC001473386148 1061126854 /nfs/dbraw/zinc/12/68/54/1061126854.db2.gz SEBKJOJMSIYLHT-HNAYVOBHSA-N 0 3 310.441 4.289 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@]2(C1)OCc1ccccc12 ZINC001473402056 1061137242 /nfs/dbraw/zinc/13/72/42/1061137242.db2.gz JYRLXMJVJJASQL-HXUWFJFHSA-N 0 3 311.400 4.156 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2c(F)c(F)c(F)c(F)c2F)[C@@H]1C ZINC001473403993 1061139140 /nfs/dbraw/zinc/13/91/40/1061139140.db2.gz KDBUCINDWNKCGT-MRTMQBJTSA-N 0 3 307.306 4.296 20 0 DIADHN COC(=O)[C@@H]1C[C@@H](C)CCN1CC1CCC(C(C)(C)C)CC1 ZINC001473411245 1061145284 /nfs/dbraw/zinc/14/52/84/1061145284.db2.gz UPPHMEUGRZLMCO-OTBWCIGPSA-N 0 3 309.494 4.112 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@H](OCC2CC2)C1 ZINC001473410907 1061146513 /nfs/dbraw/zinc/14/65/13/1061146513.db2.gz YDGIMZHMQZGZQN-IBGZPJMESA-N 0 3 317.473 4.210 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCCCN2CCc2cn[nH]c2)c1 ZINC001473414591 1061147557 /nfs/dbraw/zinc/14/75/57/1061147557.db2.gz QZFJDEFTQGHMRJ-INIZCTEOSA-N 0 3 323.362 4.198 20 0 DIADHN CC(C)CC[C@@H](O)CNC(C)(C)c1cccc(Cl)c1F ZINC001473413026 1061148454 /nfs/dbraw/zinc/14/84/54/1061148454.db2.gz RLCRJLMBNQKNMP-GFCCVEGCSA-N 0 3 301.833 4.101 20 0 DIADHN Cc1n[nH]c(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)c1C ZINC001462397851 1061150432 /nfs/dbraw/zinc/15/04/32/1061150432.db2.gz CFIDXIULCDRVEM-SFHVURJKSA-N 0 3 317.864 4.277 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccc(Cl)cc1Cl)C1CC1 ZINC001473431689 1061158698 /nfs/dbraw/zinc/15/86/98/1061158698.db2.gz CQMJKZYSNFVJCA-BONVTDFDSA-N 0 3 302.245 4.459 20 0 DIADHN Fc1ccccc1C[C@H]1CCCCN1Cc1ccc2c(n1)CCC2 ZINC001462412166 1061156636 /nfs/dbraw/zinc/15/66/36/1061156636.db2.gz SKWTYERUFSOQBM-LJQANCHMSA-N 0 3 324.443 4.307 20 0 DIADHN COCC1(C2CCC2)CN(Cc2c(C)cc(Cl)cc2C)C1 ZINC001462415578 1061160388 /nfs/dbraw/zinc/16/03/88/1061160388.db2.gz GOQGJJCTOGAWEJ-UHFFFAOYSA-N 0 3 307.865 4.205 20 0 DIADHN C[C@@H]1CC(CNCc2nc(Br)cs2)C[C@@H](C)C1 ZINC001462416431 1061161104 /nfs/dbraw/zinc/16/11/04/1061161104.db2.gz FTGVCPUPUIEBPB-UWVGGRQHSA-N 0 3 317.296 4.068 20 0 DIADHN CCC1(CC)[C@H](NCc2nsc3ccccc23)[C@H](C)[C@H]1OC ZINC001473447941 1061165795 /nfs/dbraw/zinc/16/57/95/1061165795.db2.gz QNCGANCLFTUUFW-JCURWCKSSA-N 0 3 318.486 4.226 20 0 DIADHN C[C@H](NC1[C@H](C)CCC[C@H]1C)c1nc(Cc2ccccc2)no1 ZINC001473456895 1061170119 /nfs/dbraw/zinc/17/01/19/1061170119.db2.gz LKAWZSBCQJQJNC-KFWWJZLASA-N 0 3 313.445 4.136 20 0 DIADHN Clc1ccccc1CN(CCOCC1CCC1)CC1CC1 ZINC001473464464 1061174988 /nfs/dbraw/zinc/17/49/88/1061174988.db2.gz GVDSLDUPVUEHAG-UHFFFAOYSA-N 0 3 307.865 4.369 20 0 DIADHN Cc1ccc2c(c1)CN(CCCc1ccc(Cl)cc1)CCO2 ZINC001473466052 1061176557 /nfs/dbraw/zinc/17/65/57/1061176557.db2.gz WWQLUZXCMYHHLG-UHFFFAOYSA-N 0 3 315.844 4.476 20 0 DIADHN FC(F)(F)c1cccc(C2(NCCOCC3CCC3)CC2)c1 ZINC001473474749 1061179156 /nfs/dbraw/zinc/17/91/56/1061179156.db2.gz BQTRVPAYHKITMJ-UHFFFAOYSA-N 0 3 313.363 4.101 20 0 DIADHN C[C@H](NCc1cccn1C(F)F)c1ccc2c(c1)CCCO2 ZINC001462448923 1061181110 /nfs/dbraw/zinc/18/11/10/1061181110.db2.gz VFUCVKOWENDAFL-LBPRGKRZSA-N 0 3 306.356 4.059 20 0 DIADHN CC(C)(CNCc1c(Cl)ccnc1Cl)CC(F)(F)F ZINC001462455342 1061183957 /nfs/dbraw/zinc/18/39/57/1061183957.db2.gz ZRNQTIZJYWHWBK-UHFFFAOYSA-N 0 3 315.166 4.457 20 0 DIADHN c1cc(CN2CCC[C@@H](C3CCCCC3)C2)n(CC2CC2)n1 ZINC001462462515 1061188057 /nfs/dbraw/zinc/18/80/57/1061188057.db2.gz JILYTHDRZQQTNF-GOSISDBHSA-N 0 3 301.478 4.085 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cc(F)ccc1OC(F)F ZINC001473500932 1061197959 /nfs/dbraw/zinc/19/79/59/1061197959.db2.gz QPKNWRDEXKXGPU-UHFFFAOYSA-N 0 3 323.358 4.410 20 0 DIADHN CN(CCc1cccc(Br)c1)Cc1ccccc1F ZINC001473508111 1061202241 /nfs/dbraw/zinc/20/22/41/1061202241.db2.gz VHXJBRKFRGKWPY-UHFFFAOYSA-N 0 3 322.221 4.263 20 0 DIADHN C[C@@H]1CN(CCc2nsc3ccccc32)Cc2ccccc2O1 ZINC001473516885 1061211731 /nfs/dbraw/zinc/21/17/31/1061211731.db2.gz TWAIGOTZIRBFRQ-CQSZACIVSA-N 0 3 324.449 4.122 20 0 DIADHN CN(C)Cc1cc(F)cc(-c2nc3cc(Cl)ccc3[nH]2)c1 ZINC001251071030 1061217197 /nfs/dbraw/zinc/21/71/97/1061217197.db2.gz UCHRBTXCMFIZHG-UHFFFAOYSA-N 0 3 303.768 4.084 20 0 DIADHN C[C@@]1(CN2Cc3ccccc3OC(C)(C)C2)CC1(Cl)Cl ZINC001473520420 1061218614 /nfs/dbraw/zinc/21/86/14/1061218614.db2.gz YVLAZMFJWZVTDL-HNNXBMFYSA-N 0 3 314.256 4.244 20 0 DIADHN Cc1cccnc1CN(C)[C@@H]1C[C@H](Oc2ccccc2)C1(C)C ZINC001473525703 1061220621 /nfs/dbraw/zinc/22/06/21/1061220621.db2.gz FBZSOUALXZYBRV-MOPGFXCFSA-N 0 3 310.441 4.068 20 0 DIADHN C[C@@](O)(CN1CC2(CCCCC2)[C@@H]1c1ccccc1)C(F)F ZINC001473534667 1061229390 /nfs/dbraw/zinc/22/93/90/1061229390.db2.gz MTTFMKQKYBZCQG-DOTOQJQBSA-N 0 3 309.400 4.010 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cc3n(n2)CCCC3)C1(C)C ZINC001473542165 1061232038 /nfs/dbraw/zinc/23/20/38/1061232038.db2.gz MTLUXNNQHNGYKL-HNNXBMFYSA-N 0 3 303.494 4.256 20 0 DIADHN CN(Cc1nc2ccccc2[nH]1)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001473539684 1061233457 /nfs/dbraw/zinc/23/34/57/1061233457.db2.gz SQNBRYXTQWBYNX-VQIMIIECSA-N 0 3 305.425 4.331 20 0 DIADHN Cc1ncc(CN2CCC(Cc3cccc(F)c3F)CC2)s1 ZINC001473543141 1061235266 /nfs/dbraw/zinc/23/52/66/1061235266.db2.gz HLWMMLCPIQHZFD-UHFFFAOYSA-N 0 3 322.424 4.184 20 0 DIADHN COCCCC1(CN[C@H]2c3ccccc3CC[C@@H]2F)CCC1 ZINC001462527324 1061237078 /nfs/dbraw/zinc/23/70/78/1061237078.db2.gz KDAFPZFLYFRLRR-ROUUACIJSA-N 0 3 305.437 4.199 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@@H](CC(C)(C)C)C1 ZINC001473556100 1061243245 /nfs/dbraw/zinc/24/32/45/1061243245.db2.gz KEXVSMFYVGUBPP-KGLIPLIRSA-N 0 3 322.880 4.425 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccc(C)c(F)c1)c1ccccc1 ZINC001473556758 1061244676 /nfs/dbraw/zinc/24/46/76/1061244676.db2.gz WMNQPZACOUPNKJ-KDOFPFPSSA-N 0 3 314.404 4.202 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2csc(CCc3ccccc3)n2)[C@H]1C ZINC001473564705 1061251208 /nfs/dbraw/zinc/25/12/08/1061251208.db2.gz HXIODYGTEQGJEF-OAGGEKHMSA-N 0 3 314.498 4.405 20 0 DIADHN Cc1ccccc1C1CC(NCc2cc(O)ccc2Cl)C1 ZINC001462547326 1061253475 /nfs/dbraw/zinc/25/34/75/1061253475.db2.gz GAMZYVWBNXORTR-UHFFFAOYSA-N 0 3 301.817 4.390 20 0 DIADHN Cc1ccc(C2=NO[C@@H](CNCc3cccc4ccoc43)C2)cc1 ZINC001462556490 1061258196 /nfs/dbraw/zinc/25/81/96/1061258196.db2.gz VVXNTFWGLPSOKY-GOSISDBHSA-N 0 3 320.392 4.024 20 0 DIADHN C[C@H](NC[C@H]1CC(C)(C)CO1)c1ccc(-c2ccccc2)cn1 ZINC001462556657 1061258519 /nfs/dbraw/zinc/25/85/19/1061258519.db2.gz MARRHRMJOOAXNZ-MAUKXSAKSA-N 0 3 310.441 4.214 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCO[C@@H](C(F)(F)F)CC1 ZINC001462562057 1061264723 /nfs/dbraw/zinc/26/47/23/1061264723.db2.gz WUAYFEMMVFOUHW-HUUCEWRRSA-N 0 3 307.400 4.412 20 0 DIADHN CC(C)c1ccc(NC(=O)c2cccc(CN3CCCC3)c2)cn1 ZINC001456089985 1061267365 /nfs/dbraw/zinc/26/73/65/1061267365.db2.gz VDJJARZOJVOJFV-UHFFFAOYSA-N 0 3 323.440 4.053 20 0 DIADHN CC(C)COc1cccc(CN2CCC[C@@H](OC3CCC3)C2)c1 ZINC001473595326 1061274448 /nfs/dbraw/zinc/27/44/48/1061274448.db2.gz BXVRLOWBUPSKHK-HXUWFJFHSA-N 0 3 317.473 4.255 20 0 DIADHN CCCN(Cc1cn2cc(Cl)cc(Cl)c2n1)C(C)C ZINC001473590990 1061277465 /nfs/dbraw/zinc/27/74/65/1061277465.db2.gz KOIVXVURPLUKOL-UHFFFAOYSA-N 0 3 300.233 4.262 20 0 DIADHN FC1(F)C[C@@]2(CCN(CCc3cccc(Cl)c3)C2)C1(F)F ZINC001462583677 1061278141 /nfs/dbraw/zinc/27/81/41/1061278141.db2.gz ZDKBHALQXYYMSM-CYBMUJFWSA-N 0 3 321.745 4.249 20 0 DIADHN C[C@H]1CN(C[C@@H]2CC3(CO2)CCCCC3)CC2(CCCCC2)O1 ZINC001473596863 1061279379 /nfs/dbraw/zinc/27/93/79/1061279379.db2.gz DIBLRYCAXOEMLJ-ROUUACIJSA-N 0 3 321.505 4.149 20 0 DIADHN c1cc(CN2CCC(C3CCCCC3)CC2)c2c(c1)OCCO2 ZINC001473618703 1061294972 /nfs/dbraw/zinc/29/49/72/1061294972.db2.gz URGCOOHHWJZVJS-UHFFFAOYSA-N 0 3 315.457 4.250 20 0 DIADHN CCc1ccc(CN2CCCC[C@@H]2Cc2ccccc2F)nc1 ZINC001473619978 1061296842 /nfs/dbraw/zinc/29/68/42/1061296842.db2.gz UDOFLKURFOQEQI-LJQANCHMSA-N 0 3 312.432 4.380 20 0 DIADHN COc1cccc(F)c1CN[C@H](C)CCc1ccccc1F ZINC001462625413 1061305167 /nfs/dbraw/zinc/30/51/67/1061305167.db2.gz GQQDGVVXGAQUSI-CYBMUJFWSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@@H](C)N(CC)CC(=O)Nc1ccc(Cl)cc1Cl ZINC001473637744 1061306130 /nfs/dbraw/zinc/30/61/30/1061306130.db2.gz UVHKFJNHPJOINN-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN FC(F)[C@H](NC[C@@H]1CCCC12CCOCC2)C1CCCCC1 ZINC001473657552 1061319864 /nfs/dbraw/zinc/31/98/64/1061319864.db2.gz IVSXDWLJKAHTGK-JKSUJKDBSA-N 0 3 315.448 4.387 20 0 DIADHN CCC(C)(C)CCN[C@@H](CO)c1cccc(Cl)c1Cl ZINC001473658634 1061320886 /nfs/dbraw/zinc/32/08/86/1061320886.db2.gz IMGYAQLMNPXSCV-ZDUSSCGKSA-N 0 3 304.261 4.443 20 0 DIADHN CC(C)CCOCCN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC001473670185 1061333765 /nfs/dbraw/zinc/33/37/65/1061333765.db2.gz HWZGRYXUQZRJMZ-MRXNPFEDSA-N 0 3 311.416 4.207 20 0 DIADHN CSC1CCN(Cc2cc(-c3ccc(Cl)cc3)no2)CC1 ZINC001473705222 1061357647 /nfs/dbraw/zinc/35/76/47/1061357647.db2.gz WGFIKERTDLZWBE-UHFFFAOYSA-N 0 3 322.861 4.322 20 0 DIADHN C[C@H](CN(C)CC(=O)N(C)[C@H]1CCCC[C@H]1C)C1CCCCC1 ZINC001473706891 1061361279 /nfs/dbraw/zinc/36/12/79/1061361279.db2.gz QLFQVEVKUUBQOC-LMMKCTJWSA-N 0 3 322.537 4.172 20 0 DIADHN COc1ccc(CN(C)C/C=C/c2ccc(Cl)cc2)cc1 ZINC001473712081 1061365740 /nfs/dbraw/zinc/36/57/40/1061365740.db2.gz XQUXDAJJJUOMGC-ONEGZZNKSA-N 0 3 301.817 4.494 20 0 DIADHN C[C@H](c1cnccn1)N1CCC(Cc2ccc(Cl)cc2)CC1 ZINC001473725610 1061376186 /nfs/dbraw/zinc/37/61/86/1061376186.db2.gz RUUODSBFIUAPOB-CQSZACIVSA-N 0 3 315.848 4.146 20 0 DIADHN CO[C@H](CN1CCc2ccc(Cl)c(C)c2C1)c1ccccc1 ZINC001473737502 1061379718 /nfs/dbraw/zinc/37/97/18/1061379718.db2.gz KYHABGPYGJKTNH-LJQANCHMSA-N 0 3 315.844 4.394 20 0 DIADHN Cc1cncc([C@@H]2CCCN2C[C@H]2CC[C@H](c3ccccc3)O2)c1 ZINC001473733467 1061381820 /nfs/dbraw/zinc/38/18/20/1061381820.db2.gz CFNTWXNMPLXHHT-QHAWAJNXSA-N 0 3 322.452 4.447 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCC(C)(C)C[C@@H]2c2ccccc2)n1 ZINC001473740343 1061382641 /nfs/dbraw/zinc/38/26/41/1061382641.db2.gz DMEPDYAJBGDQOK-QZTJIDSGSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1c(C)c(C)c(CN2CCN(C)c3ncccc3C2)c(C)c1C ZINC001473740563 1061385113 /nfs/dbraw/zinc/38/51/13/1061385113.db2.gz BQGOREQFGABAIR-UHFFFAOYSA-N 0 3 323.484 4.076 20 0 DIADHN CCCc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001473748400 1061390426 /nfs/dbraw/zinc/39/04/26/1061390426.db2.gz YGJLMPCDKOBSTO-OAQYLSRUSA-N 0 3 319.452 4.367 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CC/C=C/c2ccccc2)CC1 ZINC001473756408 1061393632 /nfs/dbraw/zinc/39/36/32/1061393632.db2.gz XGRFCHIGMQBYPD-MEJUDOHFSA-N 0 3 320.480 4.469 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CCCCC(F)(F)F)CC1 ZINC001473756453 1061394321 /nfs/dbraw/zinc/39/43/21/1061394321.db2.gz YNCWBFMEGWRDGX-HNNXBMFYSA-N 0 3 314.395 4.098 20 0 DIADHN Fc1cc2c(cc1F)CN(CC[C@H]1CCc3ccccc31)CC2 ZINC001473756160 1061395556 /nfs/dbraw/zinc/39/55/56/1061395556.db2.gz YDDKNYUUINOUOG-OAHLLOKOSA-N 0 3 313.391 4.443 20 0 DIADHN CCc1ccc(CN2CCN([C@@H](C)c3cccc(C)c3)CC2)cc1 ZINC001473756270 1061396446 /nfs/dbraw/zinc/39/64/46/1061396446.db2.gz XOMPNPVTPOPXGS-IBGZPJMESA-N 0 3 322.496 4.436 20 0 DIADHN FC(F)(F)CCN1CCC[C@@H](c2cc(-c3ccccc3)n[nH]2)C1 ZINC001473762137 1061400554 /nfs/dbraw/zinc/40/05/54/1061400554.db2.gz RMWWYWRQBLBEJO-CQSZACIVSA-N 0 3 323.362 4.209 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCN(CCCC2CCC2)CC1 ZINC001473771791 1061402188 /nfs/dbraw/zinc/40/21/88/1061402188.db2.gz OCNTWNKMTQQQJD-HXUWFJFHSA-N 0 3 318.480 4.475 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N(C)C[C@@H](C)C(C)(C)C ZINC001473779706 1061406620 /nfs/dbraw/zinc/40/66/20/1061406620.db2.gz QNBBJBGGZPNNNT-CHWSQXEVSA-N 0 3 310.869 4.281 20 0 DIADHN CC[C@@H](NCc1cc(OC)ccn1)c1cccc2ccccc21 ZINC001473804938 1061415469 /nfs/dbraw/zinc/41/54/69/1061415469.db2.gz OIRHEBVNJNOUNN-HXUWFJFHSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1nc(CC2CCN([C@@H](C)c3cccc(Cl)c3)CC2)no1 ZINC001473816003 1061419974 /nfs/dbraw/zinc/41/99/74/1061419974.db2.gz SNCYUKRDHIJASS-LBPRGKRZSA-N 0 3 319.836 4.047 20 0 DIADHN CC[C@@H](OCCN[C@H](c1ccccn1)C1CC1)c1ccccc1 ZINC001473818708 1061421759 /nfs/dbraw/zinc/42/17/59/1061421759.db2.gz SZFJOEVDIXBTKN-UXHICEINSA-N 0 3 310.441 4.290 20 0 DIADHN OC[C@H](N[C@@H]1C=CCCCCC1)c1ccccc1C(F)(F)F ZINC001473820813 1061423159 /nfs/dbraw/zinc/42/31/59/1061423159.db2.gz GKJWGZNZADENPA-CJNGLKHVSA-N 0 3 313.363 4.217 20 0 DIADHN Cc1ncc(CN2CCC(c3cc(C)cc(C)c3)CC2)s1 ZINC001473862265 1061436380 /nfs/dbraw/zinc/43/63/80/1061436380.db2.gz AZRUNZATGBKDKT-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN CCC[C@@](C)(NCC(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC001473877894 1061447989 /nfs/dbraw/zinc/44/79/89/1061447989.db2.gz LLMQRMIAHJALKK-HXUWFJFHSA-N 0 3 310.441 4.239 20 0 DIADHN Cc1cccnc1[C@H](C)NCCOc1ccc(C(F)(F)F)cc1 ZINC001473880433 1061448116 /nfs/dbraw/zinc/44/81/16/1061448116.db2.gz ZEWXHYAEAIUVLA-ZDUSSCGKSA-N 0 3 324.346 4.138 20 0 DIADHN CN(C)CCN(C(=O)CCC1CCCCCC1)C1CCCC1 ZINC001457402304 1061449511 /nfs/dbraw/zinc/44/95/11/1061449511.db2.gz WQLUZSUPPBUXLA-UHFFFAOYSA-N 0 3 308.510 4.070 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@H](C)CC2)C[C@@H](COC)C(C)C ZINC001473892273 1061450664 /nfs/dbraw/zinc/45/06/64/1061450664.db2.gz IWNMYSJVHVVDKS-CABCVRRESA-N 0 3 324.534 4.008 20 0 DIADHN CC(C)c1ccc(CCNCc2nc3c(s2)CCCC3)cc1 ZINC001473914317 1061462843 /nfs/dbraw/zinc/46/28/43/1061462843.db2.gz HCZYNEIUUGUZRZ-UHFFFAOYSA-N 0 3 314.498 4.478 20 0 DIADHN COc1cccc(OC)c1CNCCc1ccc(C(C)C)cc1 ZINC001473914793 1061463430 /nfs/dbraw/zinc/46/34/30/1061463430.db2.gz RQWQISLKCUSMKM-UHFFFAOYSA-N 0 3 313.441 4.160 20 0 DIADHN Cc1cccc(OCCNCc2cscc2C(F)(F)F)c1 ZINC001473915182 1061464023 /nfs/dbraw/zinc/46/40/23/1061464023.db2.gz KJNOUIMUKHGBDR-UHFFFAOYSA-N 0 3 315.360 4.244 20 0 DIADHN CCN(CCCNCc1ccsc1Cl)c1ccccc1 ZINC001473917817 1061465531 /nfs/dbraw/zinc/46/55/31/1061465531.db2.gz SUKRIIPBTBLKSY-UHFFFAOYSA-N 0 3 308.878 4.408 20 0 DIADHN CC(C)c1ccc(OCCNCc2ccc(C(F)F)nc2)cc1 ZINC001473948066 1061490424 /nfs/dbraw/zinc/49/04/24/1061490424.db2.gz IQLGNMUHGNFCFP-UHFFFAOYSA-N 0 3 320.383 4.311 20 0 DIADHN CCOc1cccc(F)c1CN[C@@H](C)Cc1ccccc1F ZINC001473952180 1061493912 /nfs/dbraw/zinc/49/39/12/1061493912.db2.gz RVYJVXXGSZIZCM-ZDUSSCGKSA-N 0 3 305.368 4.084 20 0 DIADHN Cc1nc(CNCC2(c3cccc(F)c3)CCCCC2)[nH]c1C ZINC001473953817 1061496583 /nfs/dbraw/zinc/49/65/83/1061496583.db2.gz IRTVOGQLHJGQQH-UHFFFAOYSA-N 0 3 315.436 4.157 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccc(Cl)c(Cl)c2)cc1 ZINC001473957580 1061499075 /nfs/dbraw/zinc/49/90/75/1061499075.db2.gz NVPUBIWWIAMODP-NSHDSACASA-N 0 3 310.224 4.337 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)c(C)c1 ZINC001473962213 1061504944 /nfs/dbraw/zinc/50/49/44/1061504944.db2.gz ABHARBXYJXHYDS-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2ccn(-c3ccccc3)n2)c1 ZINC001473966530 1061510104 /nfs/dbraw/zinc/51/01/04/1061510104.db2.gz ZZTKREPCQNHPNO-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@@H]1CCCCN1CC ZINC001457936795 1061524136 /nfs/dbraw/zinc/52/41/36/1061524136.db2.gz VUTDFJFCIBJDCX-ROUUACIJSA-N 0 3 310.526 4.316 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccc2c(c1)C[C@@H](C)O2 ZINC001473981113 1061525001 /nfs/dbraw/zinc/52/50/01/1061525001.db2.gz VSGXBJQNOCBJSD-CQSZACIVSA-N 0 3 311.425 4.044 20 0 DIADHN C[C@H](Cc1cccs1)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001473991679 1061533883 /nfs/dbraw/zinc/53/38/83/1061533883.db2.gz QYXROZMYPUMOFD-NWDGAFQWSA-N 0 3 306.500 4.050 20 0 DIADHN Cc1ncsc1CNCc1cc2ccnc(Cl)c2s1 ZINC001473991362 1061535134 /nfs/dbraw/zinc/53/51/34/1061535134.db2.gz JXKIMPDBFRWRGA-UHFFFAOYSA-N 0 3 309.847 4.004 20 0 DIADHN c1nc2cc(CN[C@H]3CSCc4ccccc43)ccc2s1 ZINC001473992689 1061535538 /nfs/dbraw/zinc/53/55/38/1061535538.db2.gz CBGKMJYBVLCOLQ-INIZCTEOSA-N 0 3 312.463 4.374 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)[C@@H]1CCCc2ccccc21 ZINC001463952005 1061536279 /nfs/dbraw/zinc/53/62/79/1061536279.db2.gz CPZSLYUHPSKXCS-LJQANCHMSA-N 0 3 316.489 4.035 20 0 DIADHN C[C@H]1CC[C@H](NCc2csc(COc3ccccc3)n2)CC1 ZINC001473994514 1061536696 /nfs/dbraw/zinc/53/66/96/1061536696.db2.gz UDMNTWDXRZQORI-SHTZXODSSA-N 0 3 316.470 4.390 20 0 DIADHN CC[C@H](C(=O)N[C@H](c1ccc(CC(C)C)cc1)C(C)C)N(C)C ZINC001463962220 1061539076 /nfs/dbraw/zinc/53/90/76/1061539076.db2.gz OBZTWCMGCKLGKX-MOPGFXCFSA-N 0 3 318.505 4.039 20 0 DIADHN C[C@H](O)CN(Cc1cccc(Cl)c1)Cc1cccc(Cl)c1 ZINC001251961739 1061542429 /nfs/dbraw/zinc/54/24/29/1061542429.db2.gz KKEPIWBKBAQALU-ZDUSSCGKSA-N 0 3 324.251 4.376 20 0 DIADHN CC(C)(C)C[C@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474003345 1061545191 /nfs/dbraw/zinc/54/51/91/1061545191.db2.gz UCGXESOJJIMTQC-HNNXBMFYSA-N 0 3 321.848 4.487 20 0 DIADHN Cc1cnc(CNCC(C)(C)c2ccc(F)cc2Cl)s1 ZINC001474004058 1061545301 /nfs/dbraw/zinc/54/53/01/1061545301.db2.gz AKYIAXPXXYNABH-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccc(Cl)s1)c1ccccc1 ZINC001251991538 1061547245 /nfs/dbraw/zinc/54/72/45/1061547245.db2.gz VMVNCLDOGJTXJO-KBPBESRZSA-N 0 3 307.846 4.272 20 0 DIADHN CC(C)(C)c1cccc(OCCNCc2ccc(F)cc2F)c1 ZINC001474009705 1061548815 /nfs/dbraw/zinc/54/88/15/1061548815.db2.gz MSQQNMRINFEFOR-UHFFFAOYSA-N 0 3 319.395 4.431 20 0 DIADHN CCCC[C@H](COC)NCc1sc(C)cc1Br ZINC001474013642 1061555424 /nfs/dbraw/zinc/55/54/24/1061555424.db2.gz UUZGMYJAEBOCQY-LLVKDONJSA-N 0 3 320.296 4.114 20 0 DIADHN CSC(C)(C)CNCc1c(Cl)cc(Cl)cc1N(C)C ZINC001474025962 1061566731 /nfs/dbraw/zinc/56/67/31/1061566731.db2.gz IQDOZXSGTKUCJB-UHFFFAOYSA-N 0 3 321.317 4.291 20 0 DIADHN CCSCC[C@@H](C)NCc1nc(-c2cccs2)oc1C ZINC001474025575 1061567106 /nfs/dbraw/zinc/56/71/06/1061567106.db2.gz UKBOHRNLFZUSJI-LLVKDONJSA-N 0 3 310.488 4.333 20 0 DIADHN CCC(CC)(CNCc1nc(C(C)C)n2ccccc12)SC ZINC001474028906 1061569677 /nfs/dbraw/zinc/56/96/77/1061569677.db2.gz VBMJKXUDNRQGPS-UHFFFAOYSA-N 0 3 319.518 4.469 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H]2C2CCCCC2)ncc1Br ZINC001474029428 1061570026 /nfs/dbraw/zinc/57/00/26/1061570026.db2.gz JNFARSWAVHJTOT-HOCLYGCPSA-N 0 3 323.278 4.211 20 0 DIADHN CC[C@@H](NCc1cscc1Cl)[C@@H](O)c1ccccc1F ZINC001474028947 1061570679 /nfs/dbraw/zinc/57/06/79/1061570679.db2.gz VUYZYUCTANDOPK-CABCVRRESA-N 0 3 313.825 4.142 20 0 DIADHN Cc1ccsc1[C@@H](CO)NC/C=C/c1c(C)cc(C)cc1C ZINC001474034684 1061576539 /nfs/dbraw/zinc/57/65/39/1061576539.db2.gz DZLCRKFVKWDBFQ-DUMMIQTOSA-N 0 3 315.482 4.318 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1csc2ccccc12 ZINC001474034630 1061577069 /nfs/dbraw/zinc/57/70/69/1061577069.db2.gz BYJIDUPZXKJFON-CQSZACIVSA-N 0 3 303.452 4.094 20 0 DIADHN COC[C@@H](Cc1ccccc1)NCc1cc(C(C)(C)C)oc1C ZINC001474036728 1061578845 /nfs/dbraw/zinc/57/88/45/1061578845.db2.gz LTKVCBVGZGDPKK-GOSISDBHSA-N 0 3 315.457 4.233 20 0 DIADHN CCCCC[C@H](CC)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001464378056 1061584874 /nfs/dbraw/zinc/58/48/74/1061584874.db2.gz KDFFQMXIYOXSSV-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN CSCc1cc(F)ccc1CNCc1ccc2c(c1)CCC2 ZINC001474043448 1061588125 /nfs/dbraw/zinc/58/81/25/1061588125.db2.gz GFHHLFFQEHWGKD-UHFFFAOYSA-N 0 3 315.457 4.467 20 0 DIADHN O[C@H]1Cc2ccccc2[C@@H]1NCc1cccc(C2CCCC2)c1 ZINC001474051805 1061600811 /nfs/dbraw/zinc/60/08/11/1061600811.db2.gz MVDHRNCWKWTLNS-SFTDATJTSA-N 0 3 307.437 4.092 20 0 DIADHN CCOc1ccccc1CNCCOCc1ccc(Cl)cc1 ZINC001474070845 1061615899 /nfs/dbraw/zinc/61/58/99/1061615899.db2.gz KMUGHWLSRDWLOD-UHFFFAOYSA-N 0 3 319.832 4.045 20 0 DIADHN Cc1cc(CNCc2ccccc2-n2cccn2)ccc1Cl ZINC001474073083 1061618777 /nfs/dbraw/zinc/61/87/77/1061618777.db2.gz FLGUMEQQMUDEAA-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001464650034 1061619183 /nfs/dbraw/zinc/61/91/83/1061619183.db2.gz OJXUMQVXQKRIME-SJORKVTESA-N 0 3 322.537 4.220 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](CC)c1ccc(C)cc1 ZINC001464674247 1061624647 /nfs/dbraw/zinc/62/46/47/1061624647.db2.gz JORVXTDLSUJHAA-SFHVURJKSA-N 0 3 304.478 4.074 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc2ccc(F)c(F)c2n1 ZINC001474081969 1061628955 /nfs/dbraw/zinc/62/89/55/1061628955.db2.gz JIVVKGOPOHTXFB-UHFFFAOYSA-N 0 3 312.363 4.420 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@H]3CCCCO3)cs2)cc1 ZINC001474084507 1061632212 /nfs/dbraw/zinc/63/22/12/1061632212.db2.gz XJVZPHZLZLIUGQ-QGZVFWFLSA-N 0 3 316.470 4.167 20 0 DIADHN CCCCC[C@H](C(=O)NCc1ccccc1CN(C)C)C(C)C ZINC001464893997 1061645246 /nfs/dbraw/zinc/64/52/46/1061645246.db2.gz UHTQNLFMIJYPEA-IBGZPJMESA-N 0 3 318.505 4.217 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2c(Cl)cccc2N(C)C)C1(C)C ZINC001474102651 1061650257 /nfs/dbraw/zinc/65/02/57/1061650257.db2.gz LBBHFCFQUDOVII-SJORKVTESA-N 0 3 324.896 4.088 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NC/C=C/c1cccc(F)c1 ZINC001474103535 1061651252 /nfs/dbraw/zinc/65/12/52/1061651252.db2.gz UPBILEUMQRMGBD-KGXGESDWSA-N 0 3 315.436 4.450 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cnn(C2CCC2)c1 ZINC001474104183 1061651439 /nfs/dbraw/zinc/65/14/39/1061651439.db2.gz IDLVHQZHGROQNA-QRWLVFNGSA-N 0 3 309.457 4.411 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)CCC3)CCO2 ZINC001474105176 1061652716 /nfs/dbraw/zinc/65/27/16/1061652716.db2.gz SWOQGRVSJDDVFA-FQEVSTJZSA-N 0 3 307.437 4.351 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1ccc2c(n1)CCC2 ZINC001474104328 1061653919 /nfs/dbraw/zinc/65/39/19/1061653919.db2.gz MURSFRQIDLIECD-VFNWGFHPSA-N 0 3 306.453 4.374 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)N(CCN(C)C)CC(C)C ZINC001464948277 1061654697 /nfs/dbraw/zinc/65/46/97/1061654697.db2.gz HCTNXZRDYBGYGI-SFHVURJKSA-N 0 3 310.526 4.029 20 0 DIADHN COc1nsc(CN[C@@H]2CCC[C@@H](C(C)C)CC2)c1Cl ZINC001474120280 1061671281 /nfs/dbraw/zinc/67/12/81/1061671281.db2.gz WJSHBVFFQPCLHA-VXGBXAGGSA-N 0 3 316.898 4.500 20 0 DIADHN COC[C@H](NC/C=C\c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC001474128775 1061673439 /nfs/dbraw/zinc/67/34/39/1061673439.db2.gz HCDFNYUWQBEBJT-KVLZDYKBSA-N 0 3 319.807 4.470 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCCC(F)(F)F ZINC001474145583 1061690235 /nfs/dbraw/zinc/69/02/35/1061690235.db2.gz QFDAWPMOZOFINX-UHFFFAOYSA-N 0 3 304.337 4.144 20 0 DIADHN Cc1cc(C)c(CNCc2c(F)cccc2-n2cccn2)cc1C ZINC001474150091 1061693480 /nfs/dbraw/zinc/69/34/80/1061693480.db2.gz YYAGZKKWNQSIAK-UHFFFAOYSA-N 0 3 323.415 4.226 20 0 DIADHN COc1cc(Cl)c(CNC2CC(C(C)(C)C)C2)cc1OC ZINC001474161580 1061702695 /nfs/dbraw/zinc/70/26/95/1061702695.db2.gz XZKFKAOAMJAFKE-UHFFFAOYSA-N 0 3 311.853 4.272 20 0 DIADHN Cc1ccc(C2(CNCc3cc4n(n3)CCC4)CCCC2)c(C)c1 ZINC001474162603 1061706712 /nfs/dbraw/zinc/70/67/12/1061706712.db2.gz MIZOTOHTASXINE-UHFFFAOYSA-N 0 3 323.484 4.048 20 0 DIADHN CC[C@@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@@H](O)C(C)C ZINC001474180866 1061728202 /nfs/dbraw/zinc/72/82/02/1061728202.db2.gz PXHLMBDWAVKOPO-KGLIPLIRSA-N 0 3 323.786 4.244 20 0 DIADHN O[C@H](CNc1ccnc(-c2ccccc2)c1)c1ccc(F)cc1 ZINC001252834826 1061735372 /nfs/dbraw/zinc/73/53/72/1061735372.db2.gz HSTKPSGMSIZVNZ-LJQANCHMSA-N 0 3 308.356 4.033 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)OC(F)(F)O3)CC2 ZINC001474197582 1061747241 /nfs/dbraw/zinc/74/72/41/1061747241.db2.gz DNSHCBRGRLTPNT-HNNXBMFYSA-N 0 3 317.335 4.094 20 0 DIADHN O[C@@H](CNC1(c2ccccc2Cl)CCC1)c1ccc(F)cc1 ZINC001252865478 1061749706 /nfs/dbraw/zinc/74/97/06/1061749706.db2.gz HTNTZGADWHFIEB-KRWDZBQOSA-N 0 3 319.807 4.182 20 0 DIADHN C[C@@]1(CCNCc2c(F)ccc(F)c2Cl)C[C@]1(F)Cl ZINC001474200107 1061752114 /nfs/dbraw/zinc/75/21/14/1061752114.db2.gz IOCTYZITQDAQMS-CHWSQXEVSA-N 0 3 312.162 4.413 20 0 DIADHN CC(C)(C)OCCCNCc1ccc(Oc2ccccc2)o1 ZINC001474202469 1061752907 /nfs/dbraw/zinc/75/29/07/1061752907.db2.gz WOTNIXDCOGGKKO-UHFFFAOYSA-N 0 3 303.402 4.367 20 0 DIADHN C[C@@H]1CCc2nc(CNCC(C)(C)c3ccccc3)sc2C1 ZINC001474202993 1061757092 /nfs/dbraw/zinc/75/70/92/1061757092.db2.gz DPGGYJWALZGIFK-CQSZACIVSA-N 0 3 314.498 4.335 20 0 DIADHN Cc1cccc(CN[C@@H]2Cc3ccccc3O[C@H]2C(F)(F)F)c1 ZINC001474212607 1061768294 /nfs/dbraw/zinc/76/82/94/1061768294.db2.gz JGQNDIXUOUXATP-NVXWUHKLSA-N 0 3 321.342 4.019 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CC[C@H](C)SC2)s1 ZINC001474214723 1061772410 /nfs/dbraw/zinc/77/24/10/1061772410.db2.gz LJVLPCBCAPQYME-WPRPVWTQSA-N 0 3 320.321 4.193 20 0 DIADHN Cc1cc(CN[C@]2(C)CC2(C)C)c(Br)cc1F ZINC001474218001 1061775270 /nfs/dbraw/zinc/77/52/70/1061775270.db2.gz SBWMUHRSHNEIJI-CQSZACIVSA-N 0 3 300.215 4.175 20 0 DIADHN CCCC[C@H](CC)COC[C@H](O)CN1CC[C@@H]1c1ccccc1 ZINC001253003925 1061783582 /nfs/dbraw/zinc/78/35/82/1061783582.db2.gz AEAHRONGXJDSCK-DFQSSKMNSA-N 0 3 319.489 4.027 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@H]1CCc2ccc(Cl)cc21 ZINC001474225270 1061787160 /nfs/dbraw/zinc/78/71/60/1061787160.db2.gz ACKNKRIXSUNRFK-SFHVURJKSA-N 0 3 324.811 4.371 20 0 DIADHN CCC/C(C)=C/C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001465647708 1061789696 /nfs/dbraw/zinc/78/96/96/1061789696.db2.gz SBZNYODNIDLIOD-BMRADRMJSA-N 0 3 320.521 4.092 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C12CCC(CC1)C2(C)C ZINC001465660879 1061791557 /nfs/dbraw/zinc/79/15/57/1061791557.db2.gz TYZCPLROVFGKHD-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN C[C@@H](COc1ccccc1F)N[C@@H](C)c1ccc2ccccc2n1 ZINC001253085244 1061796393 /nfs/dbraw/zinc/79/63/93/1061796393.db2.gz BXBMAFFBAGWOKT-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN OC[C@H](NC/C=C/c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC001474236443 1061798317 /nfs/dbraw/zinc/79/83/17/1061798317.db2.gz AIZGNJDFNCXOGV-JZLODUJNSA-N 0 3 321.342 4.042 20 0 DIADHN C[C@](O)(CN1CCC[C@@H]1c1c(F)cccc1F)c1ccccc1 ZINC001253105319 1061805452 /nfs/dbraw/zinc/80/54/52/1061805452.db2.gz HTJICXZKUHBFBI-MJGOQNOKSA-N 0 3 317.379 4.009 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CN[C@]23CCC[C@H]2OCC3)o1 ZINC001474245974 1061811642 /nfs/dbraw/zinc/81/16/42/1061811642.db2.gz VQHRTARLQOTPQL-AEFFLSMTSA-N 0 3 319.351 4.026 20 0 DIADHN CC1(C)CC[C@H](CNCc2ccc(-c3ccccc3F)o2)OC1 ZINC001474249560 1061815462 /nfs/dbraw/zinc/81/54/62/1061815462.db2.gz KAYUUSUYWCIVCT-CQSZACIVSA-N 0 3 317.404 4.381 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCC1CCC(C(C)(C)C)CC1 ZINC001465817923 1061816360 /nfs/dbraw/zinc/81/63/60/1061816360.db2.gz NTXVMNKYKLPORK-DAWZGUTISA-N 0 3 322.537 4.220 20 0 DIADHN CCc1ccc(CN[C@H]2CCCOc3c(OC)cccc32)cc1 ZINC001474252773 1061820561 /nfs/dbraw/zinc/82/05/61/1061820561.db2.gz XNIKPMBIPKCUMY-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN CCCCOc1ccccc1CNC[C@H]1CCC2(CCC2)CO1 ZINC001474269477 1061835572 /nfs/dbraw/zinc/83/55/72/1061835572.db2.gz YPRGJTUHAOSJKY-GOSISDBHSA-N 0 3 317.473 4.304 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC001465899574 1061836167 /nfs/dbraw/zinc/83/61/67/1061836167.db2.gz ISKFXMDJXMFCQS-HQRMLTQVSA-N 0 3 322.537 4.362 20 0 DIADHN CCCCOc1ccc(CNC(C)(C)/C=C\Cl)cc1OC ZINC001474273412 1061838302 /nfs/dbraw/zinc/83/83/02/1061838302.db2.gz AYQSTTDRODSYHX-KTKRTIGZSA-N 0 3 311.853 4.495 20 0 DIADHN c1sc(COc2ccccc2)nc1CNC1CCC=CCC1 ZINC001474273147 1061839506 /nfs/dbraw/zinc/83/95/06/1061839506.db2.gz JSYHWMMAASEEDJ-UHFFFAOYSA-N 0 3 314.454 4.311 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@H]2CC(C)(C)OC2(C)C)cs1 ZINC001474279095 1061846268 /nfs/dbraw/zinc/84/62/68/1061846268.db2.gz IGOQZNCJMDZOEJ-LBPRGKRZSA-N 0 3 310.507 4.124 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2C[C@H](c3ccc(F)cc3F)C2)c1 ZINC001474279928 1061847363 /nfs/dbraw/zinc/84/73/63/1061847363.db2.gz CDWNDTWFFVTHAM-YEORSEQZSA-N 0 3 323.770 4.360 20 0 DIADHN CSCc1ccc(CNCc2ccc(-c3cnco3)cc2)cc1 ZINC001474290252 1061858128 /nfs/dbraw/zinc/85/81/28/1061858128.db2.gz VILRZKXHRHMXFW-UHFFFAOYSA-N 0 3 324.449 4.494 20 0 DIADHN CCOc1cc(F)cc(CNCc2cc(Cl)ccc2C)c1 ZINC001474288011 1061859698 /nfs/dbraw/zinc/85/96/98/1061859698.db2.gz JUIPTQPIUXSNPZ-UHFFFAOYSA-N 0 3 307.796 4.476 20 0 DIADHN CSCC(C)(C)NCc1sc(C)cc1Br ZINC001474290399 1061859741 /nfs/dbraw/zinc/85/97/41/1061859741.db2.gz KEVILZXQABWBOI-UHFFFAOYSA-N 0 3 308.310 4.050 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(-c3ccccc3Cl)o2)CO1 ZINC001474291105 1061860858 /nfs/dbraw/zinc/86/08/58/1061860858.db2.gz JKAYZZZFCZIEBV-GFCCVEGCSA-N 0 3 305.805 4.257 20 0 DIADHN Clc1ccc(CNC[C@@H]2OCc3ccccc32)c(Cl)c1 ZINC001474299371 1061866370 /nfs/dbraw/zinc/86/63/70/1061866370.db2.gz AEDZVAFEMSLXNA-INIZCTEOSA-N 0 3 308.208 4.355 20 0 DIADHN Cc1cccc(C)c1CN[C@H]1C[C@]2(CCOC2)Oc2ccccc21 ZINC001474305658 1061874341 /nfs/dbraw/zinc/87/43/41/1061874341.db2.gz SPLOJRPXWUFHIB-FPOVZHCZSA-N 0 3 323.436 4.076 20 0 DIADHN C[C@@H]1CC[C@H](C)N(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474308908 1061875872 /nfs/dbraw/zinc/87/58/72/1061875872.db2.gz JKGQSZTXLGBXRZ-KGLIPLIRSA-N 0 3 302.443 4.446 20 0 DIADHN Cc1sc(CN2CCC[C@@H](C)[C@@H]2C)cc1Br ZINC001474309165 1061875973 /nfs/dbraw/zinc/87/59/73/1061875973.db2.gz DFBKAFPVCSWYQQ-ZJUUUORDSA-N 0 3 302.281 4.439 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC001466095249 1061877173 /nfs/dbraw/zinc/87/71/73/1061877173.db2.gz AROSBCFOFXMXRF-APWZRJJASA-N 0 3 302.462 4.212 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1cnc2c(F)cccc2c1 ZINC001474308464 1061877367 /nfs/dbraw/zinc/87/73/67/1061877367.db2.gz CGMJUUHKNXKJAR-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccc(F)c(F)c1)c1ccccc1F ZINC001253381298 1061885375 /nfs/dbraw/zinc/88/53/75/1061885375.db2.gz WAIRJLJROYNUFZ-ROUUACIJSA-N 0 3 323.358 4.268 20 0 DIADHN Oc1cc(Cl)cc(CN2CC[C@@H](Cc3ccc(F)cc3)C2)c1 ZINC001474328124 1061913761 /nfs/dbraw/zinc/91/37/61/1061913761.db2.gz LIYVERFFDLWLJS-AWEZNQCLSA-N 0 3 319.807 4.249 20 0 DIADHN CCNc1ccccc1CN1CCC(Oc2ccc(C)cc2)CC1 ZINC001474332652 1061924596 /nfs/dbraw/zinc/92/45/96/1061924596.db2.gz LJTZLWKDQVKKPO-UHFFFAOYSA-N 0 3 324.468 4.470 20 0 DIADHN COc1cccc(-c2csc(CN3CC[C@H](C)[C@@H]3C)n2)c1 ZINC001474332781 1061925600 /nfs/dbraw/zinc/92/56/00/1061925600.db2.gz OWLABHJIBYAVMX-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@@H](c2cccc(OC)c2)C1 ZINC001474334990 1061930722 /nfs/dbraw/zinc/93/07/22/1061930722.db2.gz GVLRVNHMZFDGOM-IMFGXOCKSA-N 0 3 317.473 4.285 20 0 DIADHN CC1CCN(CCSc2ncc(-c3ccc(F)cc3)o2)CC1 ZINC001458887824 1061932906 /nfs/dbraw/zinc/93/29/06/1061932906.db2.gz GXNXVRKPKXUTQZ-UHFFFAOYSA-N 0 3 320.433 4.305 20 0 DIADHN CCn1cc(CN2CCCCC[C@H]2c2ccc(C)o2)c(C)n1 ZINC001474338347 1061934346 /nfs/dbraw/zinc/93/43/46/1061934346.db2.gz PKJOJZGXSJPDNT-KRWDZBQOSA-N 0 3 301.434 4.230 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1nccc2c1CCCC2 ZINC001474343360 1061941797 /nfs/dbraw/zinc/94/17/97/1061941797.db2.gz NWZJROGQILVGEE-FQEVSTJZSA-N 0 3 322.452 4.306 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CCSC2(CCCCC2)C1 ZINC001474344747 1061947657 /nfs/dbraw/zinc/94/76/57/1061947657.db2.gz SFDAOUHOIQGORP-UHFFFAOYSA-N 0 3 322.493 4.143 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1ccnc(OC)c1F ZINC001474344893 1061948733 /nfs/dbraw/zinc/94/87/33/1061948733.db2.gz WPOZCEGDWWXJFR-QGZVFWFLSA-N 0 3 316.420 4.073 20 0 DIADHN C[C@@H](CN(C)Cc1ccnn1-c1ccc(F)cc1)c1ccccc1 ZINC001474347319 1061952589 /nfs/dbraw/zinc/95/25/89/1061952589.db2.gz OFZSGRIVHUNGFF-INIZCTEOSA-N 0 3 323.415 4.247 20 0 DIADHN COc1ccc2nc(CN3CCCC(C)(C)CC3)sc2c1 ZINC001474350814 1061963001 /nfs/dbraw/zinc/96/30/01/1061963001.db2.gz RFJXBMKOZVOGPU-UHFFFAOYSA-N 0 3 304.459 4.317 20 0 DIADHN CC(C)(C)[C@@H](O)CN1CC[C@H](c2cccc(Cl)c2Cl)C1 ZINC001253727581 1061963380 /nfs/dbraw/zinc/96/33/80/1061963380.db2.gz FPADIPGVOBRBSR-FZMZJTMJSA-N 0 3 316.272 4.190 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CC[C@@H](OCC(C)C)C2)c(C)c1 ZINC001474354307 1061967730 /nfs/dbraw/zinc/96/77/30/1061967730.db2.gz OQRYMOBEQLEHSW-OVKVCFKUSA-N 0 3 301.474 4.372 20 0 DIADHN CCc1cccc2cc(CN3CC[C@H](OCC(C)C)C3)oc21 ZINC001474354738 1061968327 /nfs/dbraw/zinc/96/83/27/1061968327.db2.gz VDYDWTNUZDHNMH-KRWDZBQOSA-N 0 3 301.430 4.242 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CC[C@@H](OCC(C)C)C2)c(C)c1 ZINC001474354306 1061971297 /nfs/dbraw/zinc/97/12/97/1061971297.db2.gz OQRYMOBEQLEHSW-LIXSYLKWSA-N 0 3 301.474 4.372 20 0 DIADHN Cc1cc(CN(C)Cc2cccc(OC(C)C)c2)cc(C)c1O ZINC001474359090 1061981360 /nfs/dbraw/zinc/98/13/60/1061981360.db2.gz OUYWMNBFDRWAFC-UHFFFAOYSA-N 0 3 313.441 4.428 20 0 DIADHN CC(C)N(Cc1cccc(F)c1)Cc1cc(F)ccc1N(C)C ZINC001474361827 1061984361 /nfs/dbraw/zinc/98/43/61/1061984361.db2.gz AQTCPXDMJKYDOG-UHFFFAOYSA-N 0 3 318.411 4.441 20 0 DIADHN Cc1cc(CN(C)C[C@@H]2CCCCO2)ccc1C(F)(F)F ZINC001474366699 1061998713 /nfs/dbraw/zinc/99/87/13/1061998713.db2.gz YNUGDEICXIBXNE-AWEZNQCLSA-N 0 3 301.352 4.015 20 0 DIADHN CC[C@H]1CCCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474370487 1062007352 /nfs/dbraw/zinc/00/73/52/1062007352.db2.gz UNGVHKBKLSJTOX-AWEZNQCLSA-N 0 3 302.443 4.448 20 0 DIADHN C[C@H](CN1CCN(C)c2ccccc2C1)c1cc(F)cc(F)c1 ZINC001474371472 1062007451 /nfs/dbraw/zinc/00/74/51/1062007451.db2.gz HYBDXICGFNTDCW-CQSZACIVSA-N 0 3 316.395 4.020 20 0 DIADHN CCCc1cccc(CN2CCC(C(=O)OC(C)(C)C)CC2)c1 ZINC001474371212 1062008243 /nfs/dbraw/zinc/00/82/43/1062008243.db2.gz NZXCBGLEEBYRIR-UHFFFAOYSA-N 0 3 317.473 4.193 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccccc2N2CCCC2)n1 ZINC001254010856 1062013004 /nfs/dbraw/zinc/01/30/04/1062013004.db2.gz NQAZBCAEXPZXCU-NRFANRHFSA-N 0 3 321.468 4.327 20 0 DIADHN CC[C@H](C)N(CCc1ccccc1F)Cc1cn(C2CC2)cn1 ZINC001474377131 1062017791 /nfs/dbraw/zinc/01/77/91/1062017791.db2.gz WPAJLXOZZJEQID-HNNXBMFYSA-N 0 3 315.436 4.200 20 0 DIADHN Cc1cc(CS[C@H]2CCCN(Cc3ccccc3)C2)sn1 ZINC001459144203 1062022154 /nfs/dbraw/zinc/02/21/54/1062022154.db2.gz WRXLXMQVFKHTEL-INIZCTEOSA-N 0 3 318.511 4.349 20 0 DIADHN CC[C@@H](CN1CCO[C@@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC001474377691 1062021815 /nfs/dbraw/zinc/02/18/15/1062021815.db2.gz QFVIWBCILARQGT-OXJNMPFZSA-N 0 3 313.416 4.393 20 0 DIADHN CCCN(Cc1cccc2n[nH]cc21)Cc1ccc(F)cc1F ZINC001474378579 1062023077 /nfs/dbraw/zinc/02/30/77/1062023077.db2.gz AUCOPJZMLQQEJL-UHFFFAOYSA-N 0 3 315.367 4.253 20 0 DIADHN FC(F)C(F)(F)CS[C@H]1CCCN(Cc2ccccc2)C1 ZINC001459143837 1062023911 /nfs/dbraw/zinc/02/39/11/1062023911.db2.gz VRUHBAZTWBVCGE-ZDUSSCGKSA-N 0 3 321.383 4.285 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)CC1CCC(C)(C)CC1 ZINC001474381888 1062026057 /nfs/dbraw/zinc/02/60/57/1062026057.db2.gz VBQLRNCKLWEAHO-UHFFFAOYSA-N 0 3 322.880 4.427 20 0 DIADHN Cc1c(Br)cncc1CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC001474385450 1062032854 /nfs/dbraw/zinc/03/28/54/1062032854.db2.gz FVSRABUAPPMRNM-FFSVYQOJSA-N 0 3 323.278 4.306 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@@H](COc2ccccc2)C1 ZINC001474389183 1062041078 /nfs/dbraw/zinc/04/10/78/1062041078.db2.gz IMVZHXCVNZBFOA-MRXNPFEDSA-N 0 3 313.416 4.289 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(Cl)c(F)c1)c1cccc(CO)c1 ZINC001254205904 1062048797 /nfs/dbraw/zinc/04/87/97/1062048797.db2.gz ICVPOLBGNYUQIM-VXGBXAGGSA-N 0 3 307.796 4.383 20 0 DIADHN Fc1ccc(-c2nc(CNC3CCC(F)(F)CC3)co2)cc1 ZINC001254216055 1062054098 /nfs/dbraw/zinc/05/40/98/1062054098.db2.gz YHDUTVAORSWUEM-UHFFFAOYSA-N 0 3 310.319 4.148 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c(C2CCCC2)n1 ZINC001474403815 1062060794 /nfs/dbraw/zinc/06/07/94/1062060794.db2.gz GWARSZGTDZBSHI-NVXWUHKLSA-N 0 3 301.478 4.090 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@H]3CCCC[C@H]3C2)cc1OC ZINC001474405059 1062062301 /nfs/dbraw/zinc/06/23/01/1062062301.db2.gz RHRBSPAOEDSIKV-KGLIPLIRSA-N 0 3 323.864 4.369 20 0 DIADHN CC[C@H](C)[C@H](CN(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1)OC ZINC001474403179 1062063903 /nfs/dbraw/zinc/06/39/03/1062063903.db2.gz ZVBPFAWQMQISNA-CSHUHZATSA-N 0 3 305.462 4.429 20 0 DIADHN CN(CCOc1ccccc1F)Cc1ccc(F)c(Cl)c1 ZINC001474406056 1062068392 /nfs/dbraw/zinc/06/83/92/1062068392.db2.gz OIRKTTHVYNAQRD-UHFFFAOYSA-N 0 3 311.759 4.129 20 0 DIADHN c1cc2c(c(CN3CCCCC[C@@H]3c3ccncc3)c1)OCC2 ZINC001474408506 1062072034 /nfs/dbraw/zinc/07/20/34/1062072034.db2.gz HLWFVPCLZMNOEH-LJQANCHMSA-N 0 3 308.425 4.134 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001459307027 1062074449 /nfs/dbraw/zinc/07/44/49/1062074449.db2.gz AGENDAPOFCIWLF-QZTJIDSGSA-N 0 3 323.484 4.447 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001459307021 1062075184 /nfs/dbraw/zinc/07/51/84/1062075184.db2.gz AGENDAPOFCIWLF-MSOLQXFVSA-N 0 3 323.484 4.447 20 0 DIADHN CN(Cc1ccn(C)c1)[C@H](c1ccccc1)c1ccc(F)cc1 ZINC001474411137 1062079459 /nfs/dbraw/zinc/07/94/59/1062079459.db2.gz WEGQMYJULUZEPA-HXUWFJFHSA-N 0 3 308.400 4.386 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CN(C[C@@H]1CCOC1)C1CC1 ZINC001459310642 1062080734 /nfs/dbraw/zinc/08/07/34/1062080734.db2.gz NUGBNADDIDWAGY-JTQLQIEISA-N 0 3 318.219 4.133 20 0 DIADHN CC[C@H](C)CCC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001459324716 1062089527 /nfs/dbraw/zinc/08/95/27/1062089527.db2.gz LOBRRTGJIVKNFO-HKUYNNGSSA-N 0 3 318.505 4.086 20 0 DIADHN CCCN(C[C@@H](C)OC)[C@@H](C)c1ccccc1Br ZINC001459330053 1062094153 /nfs/dbraw/zinc/09/41/53/1062094153.db2.gz FJDCDCUWRBAIGC-OLZOCXBDSA-N 0 3 314.267 4.257 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)C[C@@H](O)Cc1ccc(F)cc1 ZINC001459328449 1062094934 /nfs/dbraw/zinc/09/49/34/1062094934.db2.gz DSKALUPVHKZRLI-CJNGLKHVSA-N 0 3 321.823 4.076 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cc3ccccc3n2C)C1 ZINC001474423981 1062097856 /nfs/dbraw/zinc/09/78/56/1062097856.db2.gz FCVYFYHGQIDRHH-YWZLYKJASA-N 0 3 305.425 4.156 20 0 DIADHN OC[C@@H](NC1CCC2(CC2)CC1)c1ccc(Cl)cc1Cl ZINC001254436379 1062100630 /nfs/dbraw/zinc/10/06/30/1062100630.db2.gz VVQBKFITWDHFPX-OAHLLOKOSA-N 0 3 314.256 4.339 20 0 DIADHN COc1ccc(-c2nc(CNC3CCC4(CC4)CC3)co2)cc1 ZINC001254436805 1062100822 /nfs/dbraw/zinc/10/08/22/1062100822.db2.gz RCFUJVYHDYKRQD-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN CCc1cccc(CN(Cc2ccccc2)C[C@H]2CCCO2)c1 ZINC001459355887 1062107351 /nfs/dbraw/zinc/10/73/51/1062107351.db2.gz UGORCXMIXDGCEK-OAQYLSRUSA-N 0 3 309.453 4.430 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1CCC1CCCCC1 ZINC001474434594 1062110394 /nfs/dbraw/zinc/11/03/94/1062110394.db2.gz CZSSBXODAIMLTG-CVEARBPZSA-N 0 3 324.509 4.287 20 0 DIADHN COCc1csc(CN2CC=C(c3cccc(C)c3)CC2)c1 ZINC001474433410 1062110613 /nfs/dbraw/zinc/11/06/13/1062110613.db2.gz MRMIXYVNADNBIS-UHFFFAOYSA-N 0 3 313.466 4.492 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4c(s3)CCCC4)C2)cc1 ZINC001474437177 1062114071 /nfs/dbraw/zinc/11/40/71/1062114071.db2.gz DLTPLICXVCIJRS-MRXNPFEDSA-N 0 3 312.482 4.320 20 0 DIADHN Cc1nnc(CN[C@H](C)[C@@H](C)c2ccc(CC(C)C)cc2)s1 ZINC001474436857 1062114326 /nfs/dbraw/zinc/11/43/26/1062114326.db2.gz ADWJQXRPFYCVKS-ZIAGYGMSSA-N 0 3 317.502 4.327 20 0 DIADHN CCCN(CCc1ccncc1C)Cc1ccc(F)cc1F ZINC001459375684 1062117048 /nfs/dbraw/zinc/11/70/48/1062117048.db2.gz PISNXGQVAPNDFR-UHFFFAOYSA-N 0 3 304.384 4.123 20 0 DIADHN Cc1cccc(CC[C@H](C)N[C@@H]2COc3cc(F)cc(F)c32)c1 ZINC001474439322 1062118756 /nfs/dbraw/zinc/11/87/56/1062118756.db2.gz NKDVKVCSFVBOJV-SUMWQHHRSA-N 0 3 317.379 4.318 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCC[C@H](C)CC1 ZINC001459385879 1062119000 /nfs/dbraw/zinc/11/90/00/1062119000.db2.gz LMXLODBZWPJWHD-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H]1c2cc(C)ccc2NC1=O ZINC001254482622 1062124099 /nfs/dbraw/zinc/12/40/99/1062124099.db2.gz LHPDTXSXOZBROL-YLJYHZDGSA-N 0 3 322.452 4.379 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H]1c2cc(C)ccc2NC1=O ZINC001254482617 1062125302 /nfs/dbraw/zinc/12/53/02/1062125302.db2.gz LHPDTXSXOZBROL-PXNSSMCTSA-N 0 3 322.452 4.379 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1nc(C)ccc1F ZINC001254486810 1062128531 /nfs/dbraw/zinc/12/85/31/1062128531.db2.gz FZUBBPOONKFTMV-KRWDZBQOSA-N 0 3 300.421 4.420 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCC(=O)c1cccc(F)c1 ZINC001254490200 1062131775 /nfs/dbraw/zinc/13/17/75/1062131775.db2.gz LROTVFLBTBVAPR-IBGZPJMESA-N 0 3 313.416 4.400 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1ncc(F)cc1F ZINC001254486827 1062132235 /nfs/dbraw/zinc/13/22/35/1062132235.db2.gz GLQFTXHRBRDVCW-MRXNPFEDSA-N 0 3 304.384 4.251 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CC[C@H]2CCCO[C@@H]2C1 ZINC001254491025 1062135448 /nfs/dbraw/zinc/13/54/48/1062135448.db2.gz AFWOYIBUQWTFAP-VAMGGRTRSA-N 0 3 301.474 4.289 20 0 DIADHN CC[C@H](CN(CC)Cc1cnc(OC)s1)c1ccccc1 ZINC001474459530 1062136618 /nfs/dbraw/zinc/13/66/18/1062136618.db2.gz FRMMRRGPMPYOQI-CQSZACIVSA-N 0 3 304.459 4.167 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CNCc1cc(COC(C)(C)C)on1 ZINC001474458338 1062136999 /nfs/dbraw/zinc/13/69/99/1062136999.db2.gz GZYOXUKZULGMPK-CABCVRRESA-N 0 3 308.466 4.296 20 0 DIADHN CN1CCN([C@@H]2CCc3cc(Cl)ccc32)Cc2ccccc21 ZINC001459425231 1062142470 /nfs/dbraw/zinc/14/24/70/1062142470.db2.gz IWPSGEARNSWNJZ-LJQANCHMSA-N 0 3 312.844 4.279 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1ccc(Cl)cc1F ZINC001474464017 1062142720 /nfs/dbraw/zinc/14/27/20/1062142720.db2.gz FATFHMDBFULQMZ-SJKOYZFVSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@@H](C)N(C)C[C@@H]1C ZINC001474463648 1062144298 /nfs/dbraw/zinc/14/42/98/1062144298.db2.gz TZMFCJUYJPKKOT-NEPJUHHUSA-N 0 3 322.905 4.388 20 0 DIADHN CC(C)CCN(CC(=O)OCc1ccccc1)C1CCCC1 ZINC001459458383 1062152333 /nfs/dbraw/zinc/15/23/33/1062152333.db2.gz RXJQTXLFYHTNDO-UHFFFAOYSA-N 0 3 303.446 4.021 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N(C)CCN(C)c1ccccc1 ZINC001459471462 1062157183 /nfs/dbraw/zinc/15/71/83/1062157183.db2.gz ZAHZMHSELWKYAF-IBGZPJMESA-N 0 3 318.411 4.484 20 0 DIADHN CCC[C@H](CN[C@H](CC(F)(F)F)c1ccccc1C)OC ZINC001474479411 1062157821 /nfs/dbraw/zinc/15/78/21/1062157821.db2.gz IQCDPVVYNCTJCB-UKRRQHHQSA-N 0 3 303.368 4.393 20 0 DIADHN C[C@@H](N[C@@H]1CC[C@@H]2CCC[C@H]12)c1nc(C(F)(F)F)cs1 ZINC001474478367 1062158631 /nfs/dbraw/zinc/15/86/31/1062158631.db2.gz GPVGEUYWNNZSDC-VPOLOUISSA-N 0 3 304.381 4.391 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@]3(CC=CCC3)C2)c1 ZINC001459476059 1062159528 /nfs/dbraw/zinc/15/95/28/1062159528.db2.gz FDTUEHBHUGOXBY-LJQANCHMSA-N 0 3 300.446 4.191 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)CC2)c1C ZINC001459485739 1062161409 /nfs/dbraw/zinc/16/14/09/1062161409.db2.gz GSKDDYCDYMXZBQ-QGZVFWFLSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CCN(CCCC(C)(C)C)CC1 ZINC001459478264 1062162703 /nfs/dbraw/zinc/16/27/03/1062162703.db2.gz MZNWOGQJVVRXLV-HNNXBMFYSA-N 0 3 324.459 4.470 20 0 DIADHN C[C@H](CN1CC(C)(C)OC[C@@H]1C)c1ccc(C(F)(F)F)cc1 ZINC001474494688 1062172290 /nfs/dbraw/zinc/17/22/90/1062172290.db2.gz CWRODZSUCKWZBN-OLZOCXBDSA-N 0 3 315.379 4.308 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@@H](C)CCC[C@@H]2C)c1 ZINC001459506544 1062173380 /nfs/dbraw/zinc/17/33/80/1062173380.db2.gz JKZTZDAFMZYJAE-STQMWFEESA-N 0 3 309.837 4.137 20 0 DIADHN Fc1ccc(C[C@H]2CCN(Cc3cc(F)ccc3F)C2)cc1 ZINC001459505124 1062173800 /nfs/dbraw/zinc/17/38/00/1062173800.db2.gz FGZKJTFZHBDOMX-CQSZACIVSA-N 0 3 305.343 4.169 20 0 DIADHN CC[C@](C)(CN[C@@H]1CCOc2c(Cl)c(Cl)ccc21)OC ZINC001474495616 1062175496 /nfs/dbraw/zinc/17/54/96/1062175496.db2.gz JBUKRTBFUGGVMN-IUODEOHRSA-N 0 3 318.244 4.222 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)N[C@H](C)CC(C)(C)C)n2)c1 ZINC001474496616 1062176575 /nfs/dbraw/zinc/17/65/75/1062176575.db2.gz JODJALLHESPLIF-CHWSQXEVSA-N 0 3 317.433 4.221 20 0 DIADHN CC(C)[C@H]1CN(C(=O)[C@H](C)N2CCCCCC2)c2ccccc21 ZINC001467703653 1062184092 /nfs/dbraw/zinc/18/40/92/1062184092.db2.gz ZKXMIHPPPFGOQC-FUHWJXTLSA-N 0 3 314.473 4.037 20 0 DIADHN CN(Cc1ccc(C(N)=O)cc1)Cc1cccc(C2CCCC2)c1 ZINC001474506954 1062187070 /nfs/dbraw/zinc/18/70/70/1062187070.db2.gz WOSDADXLJVXIGQ-UHFFFAOYSA-N 0 3 322.452 4.075 20 0 DIADHN COc1ccc(SCCN2CCC[C@@H]2c2ccncc2)cc1 ZINC001474506363 1062188137 /nfs/dbraw/zinc/18/81/37/1062188137.db2.gz WDMDAPQCVRTYDA-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1ccc(Cl)c(F)c1 ZINC001459531931 1062190076 /nfs/dbraw/zinc/19/00/76/1062190076.db2.gz MKHXBSVRHCFWEJ-ZDUSSCGKSA-N 0 3 312.816 4.072 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2ncccc2Cl)C1 ZINC001459535294 1062193424 /nfs/dbraw/zinc/19/34/24/1062193424.db2.gz ZHYWJQNDFZSUCK-LSDHHAIUSA-N 0 3 300.833 4.421 20 0 DIADHN COc1ccc(Cl)c(CN2CC[C@@H](Cc3ccccc3)C2)c1 ZINC001459545200 1062196885 /nfs/dbraw/zinc/19/68/85/1062196885.db2.gz XIOFKGCSJQDACG-INIZCTEOSA-N 0 3 315.844 4.413 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459544234 1062197005 /nfs/dbraw/zinc/19/70/05/1062197005.db2.gz YAXIHNZVEKYDBT-YJBOKZPZSA-N 0 3 315.436 4.412 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459544230 1062197231 /nfs/dbraw/zinc/19/72/31/1062197231.db2.gz YAXIHNZVEKYDBT-MAUKXSAKSA-N 0 3 315.436 4.412 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N1CCC2(CCCC2)C1 ZINC001459551367 1062202569 /nfs/dbraw/zinc/20/25/69/1062202569.db2.gz QGFORUDAVYWNMD-MRXNPFEDSA-N 0 3 322.452 4.433 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(C(F)(F)F)co2)C1 ZINC001459559049 1062206231 /nfs/dbraw/zinc/20/62/31/1062206231.db2.gz JHYRLGCAMAUEEB-WCQYABFASA-N 0 3 307.381 4.404 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCN([C@@H]2C=CCCC2)CC1 ZINC001459559662 1062209859 /nfs/dbraw/zinc/20/98/59/1062209859.db2.gz JLLYSIMRQWLWKQ-MAUKXSAKSA-N 0 3 304.865 4.127 20 0 DIADHN COc1cncc(CN2CCCC[C@@H]2c2cccc(C)c2C)c1 ZINC001459564909 1062211664 /nfs/dbraw/zinc/21/16/64/1062211664.db2.gz POOIXAMZGKTWBK-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Clc1ccc(C2CN(Cc3cccc4c3NCCC4)C2)cc1 ZINC001474527914 1062212278 /nfs/dbraw/zinc/21/22/78/1062212278.db2.gz FNGIFHQVTFJFFB-UHFFFAOYSA-N 0 3 312.844 4.298 20 0 DIADHN COc1cccc(OCCN2CC[C@@H](C)C[C@@H]2c2ccco2)c1 ZINC001459564336 1062212991 /nfs/dbraw/zinc/21/29/91/1062212991.db2.gz OBZAHGICUZARJL-CRAIPNDOSA-N 0 3 315.413 4.140 20 0 DIADHN COc1cccc(OCCN2CC[C@H](C)C[C@@H]2c2ccco2)c1 ZINC001459564337 1062214028 /nfs/dbraw/zinc/21/40/28/1062214028.db2.gz OBZAHGICUZARJL-MAUKXSAKSA-N 0 3 315.413 4.140 20 0 DIADHN CO[C@@](C)(CNCc1ccc(Cl)cc1F)c1ccccc1 ZINC001474531099 1062214322 /nfs/dbraw/zinc/21/43/22/1062214322.db2.gz JRRCVDWCLRCBNS-KRWDZBQOSA-N 0 3 307.796 4.131 20 0 DIADHN CO[C@](C)(CNCc1ccc(Cl)cc1F)c1ccccc1 ZINC001474531100 1062216079 /nfs/dbraw/zinc/21/60/79/1062216079.db2.gz JRRCVDWCLRCBNS-QGZVFWFLSA-N 0 3 307.796 4.131 20 0 DIADHN Cc1cc(Cl)cc(CN(C)C[C@H]2OCCc3ccccc32)c1 ZINC001474533800 1062218223 /nfs/dbraw/zinc/21/82/23/1062218223.db2.gz CUBHCOXPMMIOQT-LJQANCHMSA-N 0 3 315.844 4.394 20 0 DIADHN CC[C@@H]1CCC[C@H]1CNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474533547 1062219004 /nfs/dbraw/zinc/21/90/04/1062219004.db2.gz VBMSCNDYYFCJDP-WBVHZDCISA-N 0 3 324.472 4.061 20 0 DIADHN CC[C@H]1CCC[C@@H]1CNCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474533544 1062219182 /nfs/dbraw/zinc/21/91/82/1062219182.db2.gz VBMSCNDYYFCJDP-DOTOQJQBSA-N 0 3 324.472 4.061 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCN(c2cccc(F)n2)CC1 ZINC001474536231 1062220957 /nfs/dbraw/zinc/22/09/57/1062220957.db2.gz FTWSFLAWSREVMT-KRWDZBQOSA-N 0 3 319.468 4.115 20 0 DIADHN CN(C)c1cc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)ccn1 ZINC001254666462 1062222111 /nfs/dbraw/zinc/22/21/11/1062222111.db2.gz ZHRVTTLJRFGXQN-SFHVURJKSA-N 0 3 315.436 4.164 20 0 DIADHN CN(Cc1ccc2occc2c1)[C@H](CO)c1ccc(Cl)cc1 ZINC001474537029 1062223481 /nfs/dbraw/zinc/22/34/81/1062223481.db2.gz DCWDBZHMMFZRGV-QGZVFWFLSA-N 0 3 315.800 4.252 20 0 DIADHN COc1cc(CN(C)[C@H](CO)c2ccccc2)cc2ccccc21 ZINC001474540433 1062229523 /nfs/dbraw/zinc/22/95/23/1062229523.db2.gz FEMPZPRUKYHFEH-HXUWFJFHSA-N 0 3 321.420 4.014 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459588843 1062231461 /nfs/dbraw/zinc/23/14/61/1062231461.db2.gz DMOCBVISJXXRFX-KRWDZBQOSA-N 0 3 301.409 4.022 20 0 DIADHN Cc1nccnc1CN(Cc1ccccc1)[C@@H]1CCCC1(C)C ZINC001459604157 1062236819 /nfs/dbraw/zinc/23/68/19/1062236819.db2.gz RBDXDGCUULQVJB-LJQANCHMSA-N 0 3 309.457 4.366 20 0 DIADHN CN(CC1CC(C)(C)C1)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC001459602821 1062238463 /nfs/dbraw/zinc/23/84/63/1062238463.db2.gz DEIJSDZFEDIXQI-GOSISDBHSA-N 0 3 315.436 4.017 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3ccnn3CC(C)C)C2)cc1 ZINC001474548638 1062242508 /nfs/dbraw/zinc/24/25/08/1062242508.db2.gz DMPXLMXTSXEBLK-IBGZPJMESA-N 0 3 311.473 4.091 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1cccc(OC(C)(C)C)n1 ZINC001459619052 1062252498 /nfs/dbraw/zinc/25/24/98/1062252498.db2.gz FFPASKZCRSWVBS-AWEZNQCLSA-N 0 3 318.486 4.383 20 0 DIADHN Fc1cccc(F)c1CCCN1CC[C@@H]1Cc1ccccc1 ZINC001459618473 1062254323 /nfs/dbraw/zinc/25/43/23/1062254323.db2.gz HHTODWDOCQLAJS-MRXNPFEDSA-N 0 3 301.380 4.214 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCC(OCC2CCCC2)CC1 ZINC001459622555 1062256821 /nfs/dbraw/zinc/25/68/21/1062256821.db2.gz KEIOLFWKZGHAAJ-CQSZACIVSA-N 0 3 320.304 4.242 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CC[C@H](c2ccccc2)[C@H](CC)C1 ZINC001459625276 1062262033 /nfs/dbraw/zinc/26/20/33/1062262033.db2.gz HCIRKRXVULBBML-NZSAHSFTSA-N 0 3 317.473 4.234 20 0 DIADHN Cc1cc(Br)cc(CN2CCC[C@H](C3CC3)C2)c1 ZINC001474561650 1062264000 /nfs/dbraw/zinc/26/40/00/1062264000.db2.gz KFSZAHNMKUILSH-HNNXBMFYSA-N 0 3 308.263 4.380 20 0 DIADHN CC(C)c1ccc(-c2nnc([C@@H]3CCCN3CC3CC3)o2)cc1 ZINC001254857394 1062266888 /nfs/dbraw/zinc/26/68/88/1062266888.db2.gz GLOUJFFVTSONJC-KRWDZBQOSA-N 0 3 311.429 4.407 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccc2nccnc2c1 ZINC001474563503 1062269150 /nfs/dbraw/zinc/26/91/50/1062269150.db2.gz QTZWQMSRWJNQCJ-IBGZPJMESA-N 0 3 321.424 4.222 20 0 DIADHN Cc1ccc([C@H]2[C@@H](C)CCCN2CCn2cc(Cl)cn2)cc1 ZINC001459634171 1062269925 /nfs/dbraw/zinc/26/99/25/1062269925.db2.gz PGMVHCHFYZOXMN-MAUKXSAKSA-N 0 3 317.864 4.318 20 0 DIADHN Cc1cc(C)cc(CCN2CC(Cc3c(F)cccc3F)C2)c1 ZINC001474567995 1062272672 /nfs/dbraw/zinc/27/26/72/1062272672.db2.gz PQKARVZWZBKVSD-UHFFFAOYSA-N 0 3 315.407 4.299 20 0 DIADHN C[C@H](CC(C)(C)C)NCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474569449 1062274382 /nfs/dbraw/zinc/27/43/82/1062274382.db2.gz WIPLDYFWPICICC-CQSZACIVSA-N 0 3 312.461 4.059 20 0 DIADHN CCC1(c2ccccc2)CN(Cc2ccc3c(c2)CCCO3)C1 ZINC001474573450 1062282136 /nfs/dbraw/zinc/28/21/36/1062282136.db2.gz UPENQGMJAUQOCN-UHFFFAOYSA-N 0 3 307.437 4.175 20 0 DIADHN CCSCCN1CCC[C@@H]1c1ccccc1Br ZINC001459669946 1062287000 /nfs/dbraw/zinc/28/70/00/1062287000.db2.gz LDXNORRTMABXLO-CQSZACIVSA-N 0 3 314.292 4.339 20 0 DIADHN COc1cccnc1CNC1CCC(C2CCCCC2)CC1 ZINC001474582442 1062294090 /nfs/dbraw/zinc/29/40/90/1062294090.db2.gz XHDMLIKLIGDGIH-UHFFFAOYSA-N 0 3 302.462 4.319 20 0 DIADHN COc1cc(C)c(CN2CC(c3ccccc3)(C(C)C)C2)c(C)n1 ZINC001474584692 1062294212 /nfs/dbraw/zinc/29/42/12/1062294212.db2.gz FSLCSKYQYYOBJL-UHFFFAOYSA-N 0 3 324.468 4.117 20 0 DIADHN COC(C)(C)CCN(Cc1ccco1)Cc1cccc(C)c1 ZINC001459675823 1062294816 /nfs/dbraw/zinc/29/48/16/1062294816.db2.gz IMLKHUVJHRBDGA-UHFFFAOYSA-N 0 3 301.430 4.405 20 0 DIADHN COc1ccc(CN(C2CC2)[C@H]2CCCc3ccccc32)cn1 ZINC001459678362 1062298461 /nfs/dbraw/zinc/29/84/61/1062298461.db2.gz WBXIUIZFEJMSKY-IBGZPJMESA-N 0 3 308.425 4.132 20 0 DIADHN Cc1occc1CN1CCC(c2nc3c(s2)CCCC3)CC1 ZINC001474590141 1062300221 /nfs/dbraw/zinc/30/02/21/1062300221.db2.gz OBTCPCYDTOLNPR-UHFFFAOYSA-N 0 3 316.470 4.303 20 0 DIADHN FC(F)(F)c1cccc2c1CN(C1Cc3ccccc3C1)CC2 ZINC001255024902 1062300299 /nfs/dbraw/zinc/30/02/99/1062300299.db2.gz AZFSYJMXPPDJDX-UHFFFAOYSA-N 0 3 317.354 4.231 20 0 DIADHN COc1nc(CN2CC(C)(C)C[C@@H]2c2ccccc2)ccc1C ZINC001474592473 1062304872 /nfs/dbraw/zinc/30/48/72/1062304872.db2.gz PEYGQQIDXYMGRU-GOSISDBHSA-N 0 3 310.441 4.372 20 0 DIADHN COc1cccc2c(CN3CC(C)(CC(F)F)C3)cccc12 ZINC001474597718 1062307938 /nfs/dbraw/zinc/30/79/38/1062307938.db2.gz ZLMNFPPONRBFCY-UHFFFAOYSA-N 0 3 305.368 4.326 20 0 DIADHN CCCn1nccc1CN1CCC[C@]1(C)Cc1cccc(F)c1 ZINC001474594731 1062309612 /nfs/dbraw/zinc/30/96/12/1062309612.db2.gz ZCIJBUVLTFWQDD-LJQANCHMSA-N 0 3 315.436 4.029 20 0 DIADHN CCCN(Cc1cc(OC)c(Br)cc1F)C(C)C ZINC001474602213 1062314566 /nfs/dbraw/zinc/31/45/66/1062314566.db2.gz MGDYAAPQHUHUAJ-UHFFFAOYSA-N 0 3 318.230 4.217 20 0 DIADHN Cc1csc2nc(C)c(CN[C@H]3CCc4ccc(C)cc43)n12 ZINC001474609900 1062324198 /nfs/dbraw/zinc/32/41/98/1062324198.db2.gz NUVQMSBWWFJDOC-INIZCTEOSA-N 0 3 311.454 4.098 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1cc(C(=O)OC)ccc1F ZINC001459711907 1062327207 /nfs/dbraw/zinc/32/72/07/1062327207.db2.gz YMDKDIWFKICYDP-DYVFJYSZSA-N 0 3 307.409 4.013 20 0 DIADHN CC(C)CC[C@H]1CCCCN1Cc1nc(N)c2ccccc2n1 ZINC001459715090 1062328530 /nfs/dbraw/zinc/32/85/30/1062328530.db2.gz GQBIUZYJSLVQRC-OAHLLOKOSA-N 0 3 312.461 4.003 20 0 DIADHN COC[C@H](CN(C)[C@H](C)c1nc2ccccc2s1)C(C)C ZINC001459717725 1062331349 /nfs/dbraw/zinc/33/13/49/1062331349.db2.gz NVOKETXZUNZZAM-KGLIPLIRSA-N 0 3 306.475 4.208 20 0 DIADHN CC(C)CC[C@H]1CCCCN1Cc1cnnn1-c1ccccc1 ZINC001459720305 1062335419 /nfs/dbraw/zinc/33/54/19/1062335419.db2.gz HYUBWRXJYWUODB-QGZVFWFLSA-N 0 3 312.461 4.058 20 0 DIADHN Cc1cc(C)cc(CN2CCN(c3ccc(Cl)cc3)CC2)c1 ZINC001459723044 1062335807 /nfs/dbraw/zinc/33/58/07/1062335807.db2.gz LNSKNLIOISREGY-UHFFFAOYSA-N 0 3 314.860 4.279 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCCC[C@@H]2CC(C)C)c1 ZINC001459724215 1062337569 /nfs/dbraw/zinc/33/75/69/1062337569.db2.gz YBNOSEKSXDQVLW-MRXNPFEDSA-N 0 3 307.409 4.013 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cc(C)cc(C)n2)C1(C)C ZINC001459735087 1062347097 /nfs/dbraw/zinc/34/70/97/1062347097.db2.gz PBNDHAICSOANCD-MSOLQXFVSA-N 0 3 304.478 4.114 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@H](Oc3ccccc3)C2)c1 ZINC001474637017 1062360656 /nfs/dbraw/zinc/36/06/56/1062360656.db2.gz UEXPJBFZEPUVQT-GOSISDBHSA-N 0 3 301.817 4.302 20 0 DIADHN CC1(c2ccccc2)CN(CCCc2ccc(F)c(F)c2)C1 ZINC001474638949 1062365208 /nfs/dbraw/zinc/36/52/08/1062365208.db2.gz RZUHQOVFRIHSGC-UHFFFAOYSA-N 0 3 301.380 4.171 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001459757239 1062369632 /nfs/dbraw/zinc/36/96/32/1062369632.db2.gz SFWDYWRVCMAVLE-BBRMVZONSA-N 0 3 317.408 4.276 20 0 DIADHN CCCCC[C@H](C)CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001468646989 1062370263 /nfs/dbraw/zinc/37/02/63/1062370263.db2.gz PFRJIAPLIVKYHP-YJBOKZPZSA-N 0 3 322.468 4.151 20 0 DIADHN Brc1ccccc1[C@H]1CCCN1[C@H]1CCSC1 ZINC001255281699 1062378439 /nfs/dbraw/zinc/37/84/39/1062378439.db2.gz BKUKUDUFBUOESX-SMDDNHRTSA-N 0 3 312.276 4.092 20 0 DIADHN COc1cccc(-c2nc(CNC3CCC(C)(C)CC3)co2)c1 ZINC001255301903 1062384531 /nfs/dbraw/zinc/38/45/31/1062384531.db2.gz GRXYZGFIUVQNCO-UHFFFAOYSA-N 0 3 314.429 4.409 20 0 DIADHN c1c(CN2CCC[C@@H]2CCC2CCCC2)nn2c1CCCC2 ZINC001459771687 1062385307 /nfs/dbraw/zinc/38/53/07/1062385307.db2.gz NDCVABHZWOOZFZ-GOSISDBHSA-N 0 3 301.478 4.154 20 0 DIADHN CCOC(=O)[C@@H](CC)N1CCC[C@H](CCCc2ccccc2)C1 ZINC001459786180 1062399336 /nfs/dbraw/zinc/39/93/36/1062399336.db2.gz DXTBABLPWGBDEN-RBUKOAKNSA-N 0 3 317.473 4.063 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1cc(O)cc(Cl)c1 ZINC001474668995 1062400794 /nfs/dbraw/zinc/40/07/94/1062400794.db2.gz WOXAQRRXELXGEU-UHFFFAOYSA-N 0 3 319.832 4.466 20 0 DIADHN C[C@H]1OCCN(CCCCc2ccc(Cl)c(Cl)c2)[C@@H]1C ZINC001459795575 1062405219 /nfs/dbraw/zinc/40/52/19/1062405219.db2.gz HSEIEPFYWRFBJC-CHWSQXEVSA-N 0 3 316.272 4.425 20 0 DIADHN C[C@H](CNCc1noc2cc(F)ccc12)c1cc(F)cc(F)c1 ZINC001474679912 1062410473 /nfs/dbraw/zinc/41/04/73/1062410473.db2.gz KUXZJUILKOPCCT-SNVBAGLBSA-N 0 3 320.314 4.138 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CC2(CCCCC2)[C@H]1C(C)C ZINC001468838473 1062417998 /nfs/dbraw/zinc/41/79/98/1062417998.db2.gz LDJOTSVTWKUOLN-QZTJIDSGSA-N 0 3 320.521 4.068 20 0 DIADHN CC[C@@H](CO)N(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001459814463 1062418428 /nfs/dbraw/zinc/41/84/28/1062418428.db2.gz SJRRUOJTFDKSRI-INIZCTEOSA-N 0 3 321.823 4.252 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC(CC2CCOCC2)C1 ZINC001474687301 1062419681 /nfs/dbraw/zinc/41/96/81/1062419681.db2.gz FFXKGHURSNYHCR-UHFFFAOYSA-N 0 3 307.865 4.205 20 0 DIADHN Clc1ccccc1CN1CC[C@H](COc2ccccc2)C1 ZINC001459816852 1062421553 /nfs/dbraw/zinc/42/15/53/1062421553.db2.gz GCOXQQFGYPWOOX-HNNXBMFYSA-N 0 3 301.817 4.241 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cc(Br)ccc1F ZINC001459824164 1062427636 /nfs/dbraw/zinc/42/76/36/1062427636.db2.gz AXIABUHYGIESHR-LBPRGKRZSA-N 0 3 320.271 4.162 20 0 DIADHN CC1(C)CN(CC(F)(F)F)CCN1CCC1CCCCCC1 ZINC001459836355 1062435225 /nfs/dbraw/zinc/43/52/25/1062435225.db2.gz QHMSEXWBRBLWOR-UHFFFAOYSA-N 0 3 320.443 4.305 20 0 DIADHN FC(F)(F)c1ccc(CNCC[C@@H]2CC[C@H]3C[C@H]32)c(Cl)n1 ZINC001474709411 1062436690 /nfs/dbraw/zinc/43/66/90/1062436690.db2.gz HVYNWNNQNPJUST-NHCYSSNCSA-N 0 3 318.770 4.280 20 0 DIADHN Cc1cccc(CN2C[C@@H]3CCC[C@]3(C(F)(F)F)C2)c1F ZINC001474711374 1062436766 /nfs/dbraw/zinc/43/67/66/1062436766.db2.gz XPOIDBVXUBMYRJ-ZFWWWQNUSA-N 0 3 301.327 4.299 20 0 DIADHN COc1ccc([C@@H](CCO)NCc2ccccc2C(C)C)cc1 ZINC001459838356 1062438567 /nfs/dbraw/zinc/43/85/67/1062438567.db2.gz GPWYSDCHLKEPBK-HXUWFJFHSA-N 0 3 313.441 4.032 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@H](Cc3nccs3)C2)cc1 ZINC001474714447 1062439402 /nfs/dbraw/zinc/43/94/02/1062439402.db2.gz UWTWXDACOBNTPX-SSCKWRJFSA-N 0 3 316.445 4.250 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@H](Cc3nccs3)C2)cc1 ZINC001474714446 1062440659 /nfs/dbraw/zinc/44/06/59/1062440659.db2.gz UWTWXDACOBNTPX-BCMPFUBYSA-N 0 3 316.445 4.250 20 0 DIADHN CC[C@H](NCCN1CCc2ccccc21)c1ccc(F)cc1F ZINC001459845091 1062444188 /nfs/dbraw/zinc/44/41/88/1062444188.db2.gz QILAYKPCJTXQGR-SFHVURJKSA-N 0 3 316.395 4.068 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C)Cc1ccccc1)c1ccccc1 ZINC001459843653 1062444928 /nfs/dbraw/zinc/44/49/28/1062444928.db2.gz PZVBUNRPTXSQEY-HXUWFJFHSA-N 0 3 324.468 4.022 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1cccc(COC)c1 ZINC001459853617 1062450222 /nfs/dbraw/zinc/45/02/22/1062450222.db2.gz QCYUPYBSBWMSNL-LJQANCHMSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1ccc(N2CC[C@@H](N[C@H](C)c3cccc(C)c3C)C2=O)cc1 ZINC001459859599 1062454657 /nfs/dbraw/zinc/45/46/57/1062454657.db2.gz CYHWAIUIFNIRMC-YLJYHZDGSA-N 0 3 322.452 4.068 20 0 DIADHN CC(C)[C@H](NCCn1cc(Cl)cn1)c1ccccc1Cl ZINC001459860069 1062456069 /nfs/dbraw/zinc/45/60/69/1062456069.db2.gz KFZJHYNXLALSOO-HNNXBMFYSA-N 0 3 312.244 4.177 20 0 DIADHN CC(C)(C)C1CCC(NCc2ccn(CC(F)(F)F)n2)CC1 ZINC001255499624 1062461089 /nfs/dbraw/zinc/46/10/89/1062461089.db2.gz HQKKXIPQBZYRKU-UHFFFAOYSA-N 0 3 317.399 4.140 20 0 DIADHN CC(C)(C)C1CCC(NCC(=O)c2cc(F)ccc2F)CC1 ZINC001255500914 1062461532 /nfs/dbraw/zinc/46/15/32/1062461532.db2.gz NRFICYZWQMRHRU-UHFFFAOYSA-N 0 3 309.400 4.342 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1ccc3c(c1)C[C@@H](C)O3)C2 ZINC001474760107 1062466888 /nfs/dbraw/zinc/46/68/88/1062466888.db2.gz GVRWJEAZWQXWPB-MRXNPFEDSA-N 0 3 307.437 4.185 20 0 DIADHN O=C(CNC1CCC(C(F)(F)F)CC1)c1ccc(Cl)cc1 ZINC001255507414 1062467440 /nfs/dbraw/zinc/46/74/40/1062467440.db2.gz ZNVIGIURCUZIEY-UHFFFAOYSA-N 0 3 319.754 4.233 20 0 DIADHN CCCCCCN1CCN(C2CCC(C(F)(F)F)CC2)CC1 ZINC001255520367 1062473267 /nfs/dbraw/zinc/47/32/67/1062473267.db2.gz MRHJHEBCERAWHU-UHFFFAOYSA-N 0 3 320.443 4.305 20 0 DIADHN COc1cccc2c1CCN(C1CCC(C(F)(F)F)CC1)C2 ZINC001255521422 1062473545 /nfs/dbraw/zinc/47/35/45/1062473545.db2.gz BLNOKZSEYQKMGK-UHFFFAOYSA-N 0 3 313.363 4.174 20 0 DIADHN CC1(C)C[C@H](N2CCc3c(cccc3C(F)(F)F)C2)CCO1 ZINC001255553911 1062482115 /nfs/dbraw/zinc/48/21/15/1062482115.db2.gz QGSDCTOLEHZGBZ-CYBMUJFWSA-N 0 3 313.363 4.021 20 0 DIADHN COC[C@H](C)N1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC001255578096 1062486034 /nfs/dbraw/zinc/48/60/34/1062486034.db2.gz MTTZZTRJXDRQEV-SWLSCSKDSA-N 0 3 301.352 4.267 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(C(=O)c2ccccc2)cc1 ZINC001255633251 1062488780 /nfs/dbraw/zinc/48/87/80/1062488780.db2.gz KKROOFCPHQSIQB-ZDUSSCGKSA-N 0 3 321.342 4.348 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1ncc(C)c(OC)c1C ZINC001459913246 1062492405 /nfs/dbraw/zinc/49/24/05/1062492405.db2.gz MNOBLKZAHWNDRT-SFHVURJKSA-N 0 3 310.441 4.044 20 0 DIADHN CCCC[C@@H](CCC)NC(=O)N1CCN(C2CCCCC2)CC1 ZINC001469182861 1062497012 /nfs/dbraw/zinc/49/70/12/1062497012.db2.gz NAIMPXUVFNPKHS-QGZVFWFLSA-N 0 3 323.525 4.005 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CC[C@@H](C)C(C)(C)C1 ZINC001459925100 1062497539 /nfs/dbraw/zinc/49/75/39/1062497539.db2.gz SZBFOKASSRQGOK-NVXWUHKLSA-N 0 3 302.462 4.080 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2cccnc2)no1)C1CCCCCC1 ZINC001459923187 1062497762 /nfs/dbraw/zinc/49/77/62/1062497762.db2.gz TUHHYIFWSWEUEE-KGLIPLIRSA-N 0 3 314.433 4.141 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC[C@H](OCc2ccccc2)C1 ZINC001255654289 1062498835 /nfs/dbraw/zinc/49/88/35/1062498835.db2.gz BDPVASSESAOBMR-HIFRSBDPSA-N 0 3 301.352 4.009 20 0 DIADHN CC[C@@H](NC[C@](O)(c1ccccc1)C(C)C)c1nc(C)cs1 ZINC001459926034 1062500051 /nfs/dbraw/zinc/50/00/51/1062500051.db2.gz OCMHGQWERYADEI-SJLPKXTDSA-N 0 3 318.486 4.036 20 0 DIADHN Cc1cccc(OC2CCN([C@H](C)CC(F)(F)F)CC2)c1 ZINC001255656602 1062501215 /nfs/dbraw/zinc/50/12/15/1062501215.db2.gz XPHOWZPVNOEWFP-CYBMUJFWSA-N 0 3 301.352 4.179 20 0 DIADHN COC(=O)[C@H]1CCCCCN1CCCCc1cccc(Cl)c1 ZINC001459926757 1062501232 /nfs/dbraw/zinc/50/12/32/1062501232.db2.gz XYVKWFYYFIJQJU-QGZVFWFLSA-N 0 3 323.864 4.080 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN([C@@H](C)CC(F)(F)F)C1 ZINC001255658275 1062501353 /nfs/dbraw/zinc/50/13/53/1062501353.db2.gz PMYWQJNOGOEXPJ-KBPBESRZSA-N 0 3 301.352 4.179 20 0 DIADHN COc1cccc([C@@H]2CCCN([C@@H](C)CC(F)(F)F)C2)c1 ZINC001255664645 1062505220 /nfs/dbraw/zinc/50/52/20/1062505220.db2.gz RSELEJNFVGTLSV-GXTWGEPZSA-N 0 3 301.352 4.216 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCOCC1CCCC1)[C@@H]2C ZINC001459933432 1062507978 /nfs/dbraw/zinc/50/79/78/1062507978.db2.gz RKBUKQMPOPNASZ-HZPDHXFCSA-N 0 3 317.473 4.210 20 0 DIADHN COc1cccc([C@H]2CCCN([C@H](C)CC(F)(F)F)C2)c1 ZINC001255664649 1062508247 /nfs/dbraw/zinc/50/82/47/1062508247.db2.gz RSELEJNFVGTLSV-OCCSQVGLSA-N 0 3 301.352 4.216 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C)[C@@H]1CCCC[C@@H]1C ZINC001459948375 1062515632 /nfs/dbraw/zinc/51/56/32/1062515632.db2.gz GNLASBJIMMMKLT-BLLLJJGKSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccc(C)cc1Cl ZINC001459970739 1062536037 /nfs/dbraw/zinc/53/60/37/1062536037.db2.gz RDKLZFLJENCBNZ-PBHICJAKSA-N 0 3 322.880 4.488 20 0 DIADHN COc1cc(CN2CCC(c3ccsc3)CC2)ccc1C ZINC001459969273 1062537232 /nfs/dbraw/zinc/53/72/32/1062537232.db2.gz DLHRIJSKXRNBCI-UHFFFAOYSA-N 0 3 301.455 4.445 20 0 DIADHN CCC[C@@H](C)CCCN[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC001459979945 1062540286 /nfs/dbraw/zinc/54/02/86/1062540286.db2.gz NHGUKEOEFBNOSK-DNVCBOLYSA-N 0 3 317.452 4.455 20 0 DIADHN C[C@@H]1CCCCCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001459981190 1062540914 /nfs/dbraw/zinc/54/09/14/1062540914.db2.gz VLRMXTGPOGALDP-CQSZACIVSA-N 0 3 303.837 4.290 20 0 DIADHN CCC[C@@H](C)CCCN[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC001459979941 1062541022 /nfs/dbraw/zinc/54/10/22/1062541022.db2.gz NHGUKEOEFBNOSK-BEFAXECRSA-N 0 3 317.452 4.455 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](N2CCOC3(CCCCC3)C2)C1 ZINC001255722397 1062541504 /nfs/dbraw/zinc/54/15/04/1062541504.db2.gz ZBMPLNPAAZISQH-UONOGXRCSA-N 0 3 305.384 4.143 20 0 DIADHN Fc1ccc2c(c1)CCN([C@H]1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001255722440 1062542859 /nfs/dbraw/zinc/54/28/59/1062542859.db2.gz ZSACRGWUDDKXAV-HIFRSBDPSA-N 0 3 301.327 4.305 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cc(Cl)ccn1 ZINC001255722741 1062543336 /nfs/dbraw/zinc/54/33/36/1062543336.db2.gz BSOAPXCLDPIDTQ-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN CC[C@H]1CCN(Cc2cc(Br)ccc2OC)[C@H]1C ZINC001459981620 1062545210 /nfs/dbraw/zinc/54/52/10/1062545210.db2.gz ATELBWBERPKLSJ-RYUDHWBXSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cccc(Cl)n1 ZINC001255727654 1062548226 /nfs/dbraw/zinc/54/82/26/1062548226.db2.gz HGMRAKVNHFZKPT-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)CSc2ccc(F)cc2)nc1 ZINC001255728184 1062550435 /nfs/dbraw/zinc/55/04/35/1062550435.db2.gz OHLWKELIAVARGO-UONOGXRCSA-N 0 3 304.434 4.361 20 0 DIADHN Cc1ncccc1CN(C)[C@H](C)CSc1ccc(F)cc1 ZINC001255745874 1062570757 /nfs/dbraw/zinc/57/07/57/1062570757.db2.gz BYGYTXVESBLTKG-CYBMUJFWSA-N 0 3 304.434 4.142 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1Cc1cc(C)ccc1C ZINC001460035471 1062586069 /nfs/dbraw/zinc/58/60/69/1062586069.db2.gz BOWBBSGTJLGCBM-IBGZPJMESA-N 0 3 303.446 4.001 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CC[C@H](C(F)(F)F)C2)cc1 ZINC001255768939 1062588446 /nfs/dbraw/zinc/58/84/46/1062588446.db2.gz XJJGPZBGHUOSBD-OLZOCXBDSA-N 0 3 303.393 4.360 20 0 DIADHN Cc1ccc(SC[C@@H](C)N(C)Cc2cccnc2C)cc1 ZINC001255770405 1062593782 /nfs/dbraw/zinc/59/37/82/1062593782.db2.gz MRMSPURPZKDSNA-OAHLLOKOSA-N 0 3 300.471 4.311 20 0 DIADHN Cc1ccc(C[C@H](C)n2nc(-c3ccccc3)cc2CN)cc1 ZINC001255774627 1062595344 /nfs/dbraw/zinc/59/53/44/1062595344.db2.gz KAVJJYCZSVMWLK-INIZCTEOSA-N 0 3 305.425 4.121 20 0 DIADHN Cc1ccc(C[C@H](C)NCC(=O)c2ccc(Cl)cc2)cc1 ZINC001255772487 1062597110 /nfs/dbraw/zinc/59/71/10/1062597110.db2.gz IXKHHYDNKHZDSU-AWEZNQCLSA-N 0 3 301.817 4.052 20 0 DIADHN COc1cc(C[NH2+][C@H](C)Cc2ccc(C)cc2)cc(Cl)c1[O-] ZINC001255781538 1062602005 /nfs/dbraw/zinc/60/20/05/1062602005.db2.gz OUAZIUOAXDZQPO-CYBMUJFWSA-N 0 3 319.832 4.083 20 0 DIADHN COc1cc(C[NH2+][C@@H](C)Cc2ccc(C)cc2)cc(Cl)c1[O-] ZINC001255781539 1062603285 /nfs/dbraw/zinc/60/32/85/1062603285.db2.gz OUAZIUOAXDZQPO-ZDUSSCGKSA-N 0 3 319.832 4.083 20 0 DIADHN Fc1ccccc1N1CCCN(Cc2ccc3c(c2)CCC3)CC1 ZINC001460062472 1062608622 /nfs/dbraw/zinc/60/86/22/1062608622.db2.gz CLVILROXMWXKID-UHFFFAOYSA-N 0 3 324.443 4.027 20 0 DIADHN CC(=O)c1ccc2c(c1)CN([C@H](C)Cc1ccc(C)cc1)CC2 ZINC001255794930 1062613261 /nfs/dbraw/zinc/61/32/61/1062613261.db2.gz GMYAVHUCOURRMO-MRXNPFEDSA-N 0 3 307.437 4.187 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1cc(-c2ccccc2)no1 ZINC001255831955 1062618090 /nfs/dbraw/zinc/61/80/90/1062618090.db2.gz XYJSMZCEKGZDOO-AWEZNQCLSA-N 0 3 310.372 4.202 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1COc2cc(Cl)ccc21 ZINC001255837691 1062621444 /nfs/dbraw/zinc/62/14/44/1062621444.db2.gz HAGMYMXKOMPYMC-BDJLRTHQSA-N 0 3 305.780 4.133 20 0 DIADHN CC(C)[C@H](NCc1nc(C2CCCCC2)cs1)C(C)(C)O ZINC001460082457 1062621938 /nfs/dbraw/zinc/62/19/38/1062621938.db2.gz RKDYOLXERKHGKX-INIZCTEOSA-N 0 3 310.507 4.076 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H]1CCOc2c(F)cc(F)cc21 ZINC001255839316 1062623759 /nfs/dbraw/zinc/62/37/59/1062623759.db2.gz UWUZTSVQDJQKAJ-APPDUMDISA-N 0 3 321.342 4.148 20 0 DIADHN C[C@@H](Cc1ccccc1F)N1CCC(Oc2ccccc2)CC1 ZINC001255841409 1062627316 /nfs/dbraw/zinc/62/73/16/1062627316.db2.gz GPQXAEONRCRIGP-INIZCTEOSA-N 0 3 313.416 4.300 20 0 DIADHN C[C@@H](Cc1ccccc1F)NC1(c2ccc(F)cc2F)CC1 ZINC001255841124 1062628329 /nfs/dbraw/zinc/62/83/29/1062628329.db2.gz PRVTVONUFAPRNY-LBPRGKRZSA-N 0 3 305.343 4.314 20 0 DIADHN Fc1ccc(CN2CCC(c3ccc(F)c(F)c3)CC2)cc1 ZINC001460091444 1062631248 /nfs/dbraw/zinc/63/12/48/1062631248.db2.gz CGYQYSRNTJOJRZ-UHFFFAOYSA-N 0 3 305.343 4.484 20 0 DIADHN CC1(C)CO[C@@H](CN(Cc2ccccc2Cl)CC2CC2)C1 ZINC001460103040 1062640085 /nfs/dbraw/zinc/64/00/85/1062640085.db2.gz XDKSBLIGWPQRQF-MRXNPFEDSA-N 0 3 307.865 4.367 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)[C@H]1C[C@H](OC)C12CCC2 ZINC001460111424 1062643365 /nfs/dbraw/zinc/64/33/65/1062643365.db2.gz NCAGPPGSNDUGMF-OALUTQOASA-N 0 3 316.489 4.157 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)cs1 ZINC001460143761 1062659963 /nfs/dbraw/zinc/65/99/63/1062659963.db2.gz GUAVYPRBFRBZRS-CYBMUJFWSA-N 0 3 315.417 4.232 20 0 DIADHN CCCc1ccc(CN(C)[C@H](CO)c2ccc(Cl)cc2)cc1 ZINC001460142826 1062660828 /nfs/dbraw/zinc/66/08/28/1062660828.db2.gz OZHGVGMVTRHSHF-LJQANCHMSA-N 0 3 317.860 4.458 20 0 DIADHN CCC[C@]1(CO)CCN(CCc2c(Cl)cccc2Cl)C1 ZINC001460186576 1062688263 /nfs/dbraw/zinc/68/82/63/1062688263.db2.gz QGHQSAKWGQGFNO-INIZCTEOSA-N 0 3 316.272 4.020 20 0 DIADHN CCc1onc(C)c1CN(Cc1ccc(OC)cc1)C(C)(C)C ZINC001460194676 1062692345 /nfs/dbraw/zinc/69/23/45/1062692345.db2.gz IFGYTLNDMDUDGA-UHFFFAOYSA-N 0 3 316.445 4.355 20 0 DIADHN Cc1cncc(CN(C)[C@H]2C[C@H](Oc3ccccc3)C2(C)C)c1 ZINC001460195181 1062692980 /nfs/dbraw/zinc/69/29/80/1062692980.db2.gz IFGZPOKEOSORJJ-OALUTQOASA-N 0 3 310.441 4.068 20 0 DIADHN Cc1cncc(CN(C)[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC001460195184 1062693595 /nfs/dbraw/zinc/69/35/95/1062693595.db2.gz IFGZPOKEOSORJJ-RBUKOAKNSA-N 0 3 310.441 4.068 20 0 DIADHN Cc1cc(CN(C)[C@H]2C[C@H](Oc3ccccc3)C2(C)C)ccn1 ZINC001460199085 1062695533 /nfs/dbraw/zinc/69/55/33/1062695533.db2.gz WINGQSMLFVUBJX-OALUTQOASA-N 0 3 310.441 4.068 20 0 DIADHN Cc1ccnc(CN(C)[C@H]2C[C@H](Oc3ccccc3)C2(C)C)c1 ZINC001460199091 1062695906 /nfs/dbraw/zinc/69/59/06/1062695906.db2.gz WOICGBPVNUKYAZ-OALUTQOASA-N 0 3 310.441 4.068 20 0 DIADHN Cc1cc(CN(C)[C@@H]2C[C@H](Oc3ccccc3)C2(C)C)ccn1 ZINC001460199084 1062696256 /nfs/dbraw/zinc/69/62/56/1062696256.db2.gz WINGQSMLFVUBJX-MOPGFXCFSA-N 0 3 310.441 4.068 20 0 DIADHN Cc1cc(CN(C)[C@@H]2C[C@@H](Oc3ccccc3)C2(C)C)ccn1 ZINC001460199087 1062696697 /nfs/dbraw/zinc/69/66/97/1062696697.db2.gz WINGQSMLFVUBJX-RTBURBONSA-N 0 3 310.441 4.068 20 0 DIADHN FCCCCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC001460218300 1062705553 /nfs/dbraw/zinc/70/55/53/1062705553.db2.gz XOGVYPMUMMMMDP-HNNXBMFYSA-N 0 3 309.816 4.493 20 0 DIADHN CCC[C@H](N[C@H](C)c1nc(-c2cccs2)no1)C1CCC1 ZINC001460224576 1062707884 /nfs/dbraw/zinc/70/78/84/1062707884.db2.gz NGRMIHGDFAAHCG-YPMHNXCESA-N 0 3 305.447 4.418 20 0 DIADHN NCc1ccc2c(n1)N([C@@H]1CCC[C@H](c3ccccc3)C1)CCC2 ZINC001256108280 1062708369 /nfs/dbraw/zinc/70/83/69/1062708369.db2.gz HUSKRYBMMDZXFJ-AZUAARDMSA-N 0 3 321.468 4.019 20 0 DIADHN c1coc(CN2CCN([C@H]3CCC[C@H](c4ccccc4)C3)CC2)c1 ZINC001256117133 1062716125 /nfs/dbraw/zinc/71/61/25/1062716125.db2.gz FYIFNLIONXSXPB-PMACEKPBSA-N 0 3 324.468 4.124 20 0 DIADHN COc1ccc(CN2CCSC3(CCC3)CC2)cc1Cl ZINC001460238240 1062717702 /nfs/dbraw/zinc/71/77/02/1062717702.db2.gz HOJRYCYTPWGCDD-UHFFFAOYSA-N 0 3 311.878 4.210 20 0 DIADHN COc1cccc(C[C@@H](C)NCc2c(F)cccc2Cl)c1 ZINC001256143882 1062725627 /nfs/dbraw/zinc/72/56/27/1062725627.db2.gz JCWWVBIPUSRUMM-GFCCVEGCSA-N 0 3 307.796 4.209 20 0 DIADHN COc1cccc(C[C@H](C)NCc2c(F)cccc2Cl)c1 ZINC001256143883 1062726286 /nfs/dbraw/zinc/72/62/86/1062726286.db2.gz JCWWVBIPUSRUMM-LBPRGKRZSA-N 0 3 307.796 4.209 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@H](CC(C)(C)C)C1 ZINC001460257607 1062733847 /nfs/dbraw/zinc/73/38/47/1062733847.db2.gz VLDMOQBVQVLFHO-DLBZAZTESA-N 0 3 316.489 4.389 20 0 DIADHN COc1cccc(C[C@H](C)N(C)Cc2ccc(F)cc2F)c1 ZINC001256169922 1062739957 /nfs/dbraw/zinc/73/99/57/1062739957.db2.gz OUGKWKGIZMKABI-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN Cc1cnc(CN2CCC[C@@]2(C)Cc2cccc(F)c2)s1 ZINC001460278703 1062749428 /nfs/dbraw/zinc/74/94/28/1062749428.db2.gz SXZYRUOKOIJNDZ-KRWDZBQOSA-N 0 3 304.434 4.188 20 0 DIADHN Cc1ccc(CN(Cc2cccc(F)n2)CC(C)C)c(C)c1 ZINC001460280103 1062753229 /nfs/dbraw/zinc/75/32/29/1062753229.db2.gz WZQFJAIHULOCKL-UHFFFAOYSA-N 0 3 300.421 4.496 20 0 DIADHN CCCOc1ccc(-c2nnc(CN(CCC)CCC)o2)cc1 ZINC001256274011 1062760569 /nfs/dbraw/zinc/76/05/69/1062760569.db2.gz DKNWUSTTXGXZOC-UHFFFAOYSA-N 0 3 317.433 4.147 20 0 DIADHN CC(C)N(CCNC(=O)OC(C)(C)C)[C@@H](C)c1ccsc1 ZINC001460307862 1062774007 /nfs/dbraw/zinc/77/40/07/1062774007.db2.gz YHYFUAIAFNOFJF-ZDUSSCGKSA-N 0 3 312.479 4.044 20 0 DIADHN CC(C)CC[C@H](C)NCC(=O)c1cccc(Br)c1 ZINC001256351532 1062780612 /nfs/dbraw/zinc/78/06/12/1062780612.db2.gz QZUFDRJIXQETPL-LBPRGKRZSA-N 0 3 312.251 4.046 20 0 DIADHN CC[C@@H]1CN(C[C@H](C)CSc2ccccc2C)[C@@H](C)CO1 ZINC001460341181 1062793681 /nfs/dbraw/zinc/79/36/81/1062793681.db2.gz RAVUDDVCKKXQNS-BHYGNILZSA-N 0 3 307.503 4.223 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)C(=O)CN1CCC2(CCCCC2)CC1 ZINC001460360342 1062807645 /nfs/dbraw/zinc/80/76/45/1062807645.db2.gz FPGSLGXKTXWONG-ZWKOTPCHSA-N 0 3 320.521 4.070 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@@H]2CCC(C)(C)CO2)cc1F ZINC001460361427 1062808400 /nfs/dbraw/zinc/80/84/00/1062808400.db2.gz HVYAQNRUHGYAGG-RDJZCZTQSA-N 0 3 321.436 4.176 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@@H]1c1cc(F)ccc1F ZINC001256416316 1062812853 /nfs/dbraw/zinc/81/28/53/1062812853.db2.gz AEXYJMGFTKHZOR-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CC[C@@H](C3CCCCC3)C2)n1 ZINC001460364413 1062813629 /nfs/dbraw/zinc/81/36/29/1062813629.db2.gz JEBLTEWLPRLJHN-GOSISDBHSA-N 0 3 309.457 4.275 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@H]1c1ccc(F)cc1F ZINC001256423356 1062817116 /nfs/dbraw/zinc/81/71/16/1062817116.db2.gz CDGWATUBJWYRFU-UGSOOPFHSA-N 0 3 302.368 4.128 20 0 DIADHN CC[C@@H](C)[C@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001460402913 1062836016 /nfs/dbraw/zinc/83/60/16/1062836016.db2.gz CNYFGYIIJAOPKW-MNOVXSKESA-N 0 3 313.367 4.448 20 0 DIADHN CC[C@H](C)[C@@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001460402919 1062836404 /nfs/dbraw/zinc/83/64/04/1062836404.db2.gz CNYFGYIIJAOPKW-WDEREUQCSA-N 0 3 313.367 4.448 20 0 DIADHN CC(C)(C)N(Cc1ccsc1)C[C@@H]1COc2ccccc2O1 ZINC001460407842 1062840369 /nfs/dbraw/zinc/84/03/69/1062840369.db2.gz WJCLVYAIMFRZAS-OAHLLOKOSA-N 0 3 317.454 4.189 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CC(C)(C)CCC2(C)C)c1 ZINC001460461455 1062865336 /nfs/dbraw/zinc/86/53/36/1062865336.db2.gz ITPDYAWHCOJZPK-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@@H](C3CCC3)C2)c(C)c1 ZINC001460461936 1062865482 /nfs/dbraw/zinc/86/54/82/1062865482.db2.gz NYWUZTRDFGVSBT-SJLPKXTDSA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1nc(-c2ccc(F)c(C)c2)no1 ZINC001460481415 1062874265 /nfs/dbraw/zinc/87/42/65/1062874265.db2.gz JURMMDISXXYXJD-DZGCQCFKSA-N 0 3 317.408 4.337 20 0 DIADHN c1ccc(-c2ncc(CN3CCC[C@@H]4CCCC[C@@H]43)cn2)cc1 ZINC001460485237 1062877818 /nfs/dbraw/zinc/87/78/18/1062877818.db2.gz OLHGWUNAPFMQGJ-HKUYNNGSSA-N 0 3 307.441 4.298 20 0 DIADHN COCCC1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC001460489390 1062882539 /nfs/dbraw/zinc/88/25/39/1062882539.db2.gz FKCIHNFDCOPAGH-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CC(C)(C)OC(=O)[C@@]1(C)CCCN1CC1CCC(C)(C)CC1 ZINC001460499146 1062885342 /nfs/dbraw/zinc/88/53/42/1062885342.db2.gz IHKTWECBPUDJDX-LJQANCHMSA-N 0 3 309.494 4.399 20 0 DIADHN c1csc(-c2cnc(CN(CCC3CC3)CC3CC3)o2)c1 ZINC001460511817 1062893338 /nfs/dbraw/zinc/89/33/38/1062893338.db2.gz ONGULDRQPNALJW-UHFFFAOYSA-N 0 3 302.443 4.415 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN(C)C[C@@H](C)C1CCCCC1 ZINC001460600351 1062924633 /nfs/dbraw/zinc/92/46/33/1062924633.db2.gz RLIJBMHLUSJZKH-SJORKVTESA-N 0 3 310.526 4.028 20 0 DIADHN COc1cc(CN2CC[C@@H](c3ccc(F)cc3)C2(C)C)sn1 ZINC001460594805 1062925485 /nfs/dbraw/zinc/92/54/85/1062925485.db2.gz BSBTXTVDMQORNI-HNNXBMFYSA-N 0 3 320.433 4.059 20 0 DIADHN COc1cccc2c1CC[C@H](NCc1c(F)cccc1Cl)C2 ZINC001256634320 1062925574 /nfs/dbraw/zinc/92/55/74/1062925574.db2.gz XYNBRRCMRFZURF-ZDUSSCGKSA-N 0 3 319.807 4.135 20 0 DIADHN COc1cccc2c1CC[C@@H](NCc1c(F)cccc1Cl)C2 ZINC001256634319 1062925800 /nfs/dbraw/zinc/92/58/00/1062925800.db2.gz XYNBRRCMRFZURF-CYBMUJFWSA-N 0 3 319.807 4.135 20 0 DIADHN COC(=O)c1csc(CN[C@H](c2cccc(C)c2)C(C)C)c1 ZINC001460607926 1062931613 /nfs/dbraw/zinc/93/16/13/1062931613.db2.gz MGCQIMZGXICZPJ-KRWDZBQOSA-N 0 3 317.454 4.330 20 0 DIADHN CC(=O)Nc1cccc2c1CN(CC/C=C\c1ccccc1)CC2 ZINC001460618653 1062935817 /nfs/dbraw/zinc/93/58/17/1062935817.db2.gz JWYPVFYKJRXQHR-YHYXMXQVSA-N 0 3 320.436 4.107 20 0 DIADHN CCOC(CN[C@@H](c1ccc(F)cc1)C1CCCCC1)OCC ZINC001460625995 1062947290 /nfs/dbraw/zinc/94/72/90/1062947290.db2.gz IZYPPZQLHFROLK-LJQANCHMSA-N 0 3 323.452 4.436 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001256724499 1062954439 /nfs/dbraw/zinc/95/44/39/1062954439.db2.gz WHBZQSXVOREMTC-QAPCUYQASA-N 0 3 323.465 4.043 20 0 DIADHN Cc1cccc(CN2CCC(Cc3ccc(Cl)cc3)CC2)n1 ZINC001460647004 1062957223 /nfs/dbraw/zinc/95/72/23/1062957223.db2.gz AMVVODDWCKAAQR-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@H]2CSC[C@H]2C1 ZINC001460653198 1062961771 /nfs/dbraw/zinc/96/17/71/1062961771.db2.gz LVJMWYGUNPCMHU-VXGBXAGGSA-N 0 3 307.846 4.271 20 0 DIADHN C[C@@H](Cc1ccncc1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC001256747936 1062963157 /nfs/dbraw/zinc/96/31/57/1062963157.db2.gz JWIIPUWVQZRHGV-SCLBCKFNSA-N 0 3 302.368 4.128 20 0 DIADHN CC[C@H](CO)N(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001460660826 1062967871 /nfs/dbraw/zinc/96/78/71/1062967871.db2.gz SJRRUOJTFDKSRI-MRXNPFEDSA-N 0 3 321.823 4.252 20 0 DIADHN C[C@H](NCc1ccc2cnccc2c1)c1cc2cnccc2o1 ZINC001460678296 1062983535 /nfs/dbraw/zinc/98/35/35/1062983535.db2.gz QBWVAZFODWBCQD-ZDUSSCGKSA-N 0 3 303.365 4.227 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@@H](c2nccs2)C1 ZINC001460686313 1062988161 /nfs/dbraw/zinc/98/81/61/1062988161.db2.gz CISVMVKTWGKAJS-ABAIWWIYSA-N 0 3 308.397 4.362 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](c2nccs2)C1 ZINC001460686317 1062989452 /nfs/dbraw/zinc/98/94/52/1062989452.db2.gz CISVMVKTWGKAJS-IAQYHMDHSA-N 0 3 308.397 4.362 20 0 DIADHN COc1ccc(CN2C[C@H](C)CC2(C)C)c(Br)c1 ZINC001460707982 1063003698 /nfs/dbraw/zinc/00/36/98/1063003698.db2.gz LHHKIIHRGWTLLP-LLVKDONJSA-N 0 3 312.251 4.078 20 0 DIADHN FC(F)(F)OCCCN1CCCSC[C@H]1c1ccccc1 ZINC001460713293 1063005694 /nfs/dbraw/zinc/00/56/94/1063005694.db2.gz YZYVYOLNMNSDJY-AWEZNQCLSA-N 0 3 319.392 4.093 20 0 DIADHN c1csc([C@H]2CCCN2[C@@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC001256825323 1063005723 /nfs/dbraw/zinc/00/57/23/1063005723.db2.gz QSOLNAKFJZZMKZ-ZACQAIPSSA-N 0 3 314.454 4.200 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@H]1CCO[C@H](c2ccccc2)C1 ZINC001256826743 1063008070 /nfs/dbraw/zinc/00/80/70/1063008070.db2.gz VFYYJEBIYTVQNV-LPHOPBHVSA-N 0 3 322.408 4.180 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N1CC[C@@H]2[C@@H]1CCCN2C ZINC001471687604 1063013588 /nfs/dbraw/zinc/01/35/88/1063013588.db2.gz UGMPLZOTKMHJCR-QRVBRYPASA-N 0 3 320.521 4.068 20 0 DIADHN CCC1CCC(NCC(=O)c2ccc(C(F)(F)F)cc2)CC1 ZINC001256866690 1063021951 /nfs/dbraw/zinc/02/19/51/1063021951.db2.gz IUBYGXWPDOHPLN-UHFFFAOYSA-N 0 3 313.363 4.447 20 0 DIADHN CCC1CCC(NCC(=O)c2ccc(Br)cc2)CC1 ZINC001256865622 1063023556 /nfs/dbraw/zinc/02/35/56/1063023556.db2.gz NOYCIXVTLUKHKK-UHFFFAOYSA-N 0 3 324.262 4.190 20 0 DIADHN C[C@H]1CN(C(=O)C[C@H]2CCCCC2(C)C)CCN1C1CCCC1 ZINC001471825139 1063023811 /nfs/dbraw/zinc/02/38/11/1063023811.db2.gz JNWZUBNZPZWFFP-DLBZAZTESA-N 0 3 320.521 4.068 20 0 DIADHN C[C@H]1CN(C(=O)CCCCC(C)(C)C)CCN1C1CCCC1 ZINC001471826241 1063024661 /nfs/dbraw/zinc/02/46/61/1063024661.db2.gz ODTMAZOFKOHHEO-INIZCTEOSA-N 0 3 308.510 4.068 20 0 DIADHN COc1ncc(CN2CC[C@@](C)(C(F)(F)F)C2)c2ccccc21 ZINC001460734636 1063028376 /nfs/dbraw/zinc/02/83/76/1063028376.db2.gz KXWGVEUXJADJOA-MRXNPFEDSA-N 0 3 324.346 4.018 20 0 DIADHN O[C@H]1C[C@](NCc2ccc(C3CCCC3)cc2)(c2ccccc2)C1 ZINC001460744542 1063034109 /nfs/dbraw/zinc/03/41/09/1063034109.db2.gz RSHFEXQKFLMEMF-SZPZYZBQSA-N 0 3 321.464 4.484 20 0 DIADHN Clc1cccc(CCN2CC[C@H]([C@H]3CCCO3)C2)c1Cl ZINC001460751550 1063042438 /nfs/dbraw/zinc/04/24/38/1063042438.db2.gz XYVBEHBABOWRIV-DZGCQCFKSA-N 0 3 314.256 4.037 20 0 DIADHN OC1(C[C@@H]2CCCCN2Cc2cc(F)ccc2F)CCCCC1 ZINC001460760310 1063044002 /nfs/dbraw/zinc/04/40/02/1063044002.db2.gz LAUSMSSLTOGDCU-KRWDZBQOSA-N 0 3 323.427 4.405 20 0 DIADHN CC(C)(C)Oc1cccc(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)n1 ZINC001460762872 1063047988 /nfs/dbraw/zinc/04/79/88/1063047988.db2.gz NXGPKSCWUNNQFB-UTKZUKDTSA-N 0 3 322.452 4.032 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(C[C@@H]2CCCC3(CCC3)O2)C1 ZINC001460765954 1063049186 /nfs/dbraw/zinc/04/91/86/1063049186.db2.gz OUTMUDMLGMHFCY-GJZGRUSLSA-N 0 3 321.411 4.246 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@@H](C)C[C@@H](C)C1 ZINC001460800179 1063067173 /nfs/dbraw/zinc/06/71/73/1063067173.db2.gz GPFLVHWLIQVUMN-UMVBOHGHSA-N 0 3 322.880 4.343 20 0 DIADHN CN(CC(=O)NCCC1=CCCCC1)C[C@@H]1CCCCC1(C)C ZINC001460823340 1063083904 /nfs/dbraw/zinc/08/39/04/1063083904.db2.gz HXCYINDGJPFRCW-SFHVURJKSA-N 0 3 320.521 4.141 20 0 DIADHN C[C@@H](c1c(F)cccc1F)N1CCC[C@H](C2CCOCC2)C1 ZINC001460831195 1063086889 /nfs/dbraw/zinc/08/68/89/1063086889.db2.gz JNQZVSAEXCAOOR-ZFWWWQNUSA-N 0 3 309.400 4.164 20 0 DIADHN Cc1csc(C[C@H]2CCN(Cc3ccccc3Cl)C2)n1 ZINC001460835641 1063092453 /nfs/dbraw/zinc/09/24/53/1063092453.db2.gz QGTPWEIMTIFIMD-CYBMUJFWSA-N 0 3 306.862 4.170 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@H]2c2ccccc2Cl)C1 ZINC001257064137 1063097742 /nfs/dbraw/zinc/09/77/42/1063097742.db2.gz STLOTSGQBWYAIK-CPUCHLNUSA-N 0 3 321.848 4.209 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N(C)[C@@H](C)c2ccccc2Cl)C1 ZINC001257071319 1063100230 /nfs/dbraw/zinc/10/02/30/1063100230.db2.gz QVPJOFSYIQDQMR-MJBXVCDLSA-N 0 3 309.837 4.065 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@@H]2c2cccc(Cl)c2)C1 ZINC001257072858 1063101141 /nfs/dbraw/zinc/10/11/41/1063101141.db2.gz XOAPNNXGZVRQDO-HYVNUMGLSA-N 0 3 321.848 4.209 20 0 DIADHN C[C@H]1CC(N2CCc3ccc(C(F)(F)F)cc3C2)C[C@H](C)O1 ZINC001257096808 1063109705 /nfs/dbraw/zinc/10/97/05/1063109705.db2.gz JKAIWZIFTHOMOS-RYUDHWBXSA-N 0 3 313.363 4.020 20 0 DIADHN c1cc2c(s1)CN(C[C@H]1CCC[C@H](c3ccccc3)O1)CC2 ZINC001460891775 1063119339 /nfs/dbraw/zinc/11/93/39/1063119339.db2.gz SLIRTUGEZHQNRV-QZTJIDSGSA-N 0 3 313.466 4.417 20 0 DIADHN CCC[C@@H]1CCCN1Cc1coc(-c2cccc(OC)c2)n1 ZINC001460898612 1063123970 /nfs/dbraw/zinc/12/39/70/1063123970.db2.gz IVYRMSJTMUSUBF-MRXNPFEDSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1ccc(CNCc2ccc(N(C)c3ccccc3)nc2)cc1 ZINC001460989318 1063168139 /nfs/dbraw/zinc/16/81/39/1063168139.db2.gz MSHWBYXQUKYJPE-UHFFFAOYSA-N 0 3 317.436 4.448 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)NCc3cc(C)no3)ccc2c1 ZINC001257273567 1063177138 /nfs/dbraw/zinc/17/71/38/1063177138.db2.gz RCBUGUVECSBOOV-CQSZACIVSA-N 0 3 324.424 4.256 20 0 DIADHN CC(C)n1cc(CN[C@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC001461002960 1063177515 /nfs/dbraw/zinc/17/75/15/1063177515.db2.gz BXNUQWQXBWSOMQ-KRWDZBQOSA-N 0 3 303.837 4.358 20 0 DIADHN Cc1cn(C)nc1CNCC1(c2cccc(C)c2)CCCCC1 ZINC001461058568 1063223417 /nfs/dbraw/zinc/22/34/17/1063223417.db2.gz VWBXKAWITMGILY-UHFFFAOYSA-N 0 3 311.473 4.029 20 0 DIADHN CCCOc1ccc(CNCc2ccc3c(c2)C[C@@H](C)O3)cc1 ZINC001461057321 1063224089 /nfs/dbraw/zinc/22/40/89/1063224089.db2.gz QDKMZDWVFNVHAR-OAHLLOKOSA-N 0 3 311.425 4.089 20 0 DIADHN CCCCCC1CCC(N2CCC[C@@]3(CCC(=O)O3)C2)CC1 ZINC001257375294 1063240889 /nfs/dbraw/zinc/24/08/89/1063240889.db2.gz JACVNTINRIIZBZ-FAFZWHIHSA-N 0 3 307.478 4.297 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NC(C)(C)c1ccccc1F ZINC001257381596 1063246116 /nfs/dbraw/zinc/24/61/16/1063246116.db2.gz PMDCJCJNVPWATB-AWEZNQCLSA-N 0 3 309.425 4.162 20 0 DIADHN COc1ccc(CCCNCc2c(F)cc(C)cc2F)cc1 ZINC001461097051 1063250037 /nfs/dbraw/zinc/25/00/37/1063250037.db2.gz SFGYWFWVISSJRU-UHFFFAOYSA-N 0 3 305.368 4.004 20 0 DIADHN Cn1ccnc1CNCC1(c2ccc(Cl)cc2)CCCCC1 ZINC001461131059 1063278956 /nfs/dbraw/zinc/27/89/56/1063278956.db2.gz PXJASSACONCLBN-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN Cc1n[nH]c(C)c1CNCc1cccc(-c2cccc(C)c2)c1 ZINC001461134136 1063285461 /nfs/dbraw/zinc/28/54/61/1063285461.db2.gz SVHVBKFZDQCUHG-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN O[C@H](CNCc1ccc(F)c(Cl)c1Cl)C1CCCCC1 ZINC001461140365 1063287784 /nfs/dbraw/zinc/28/77/84/1063287784.db2.gz WWGOVSGXKZWMIQ-CYBMUJFWSA-N 0 3 320.235 4.163 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1ccn(CC(F)(F)F)n1 ZINC001257479149 1063301471 /nfs/dbraw/zinc/30/14/71/1063301471.db2.gz YXWAZHRHFPTNSW-OLZOCXBDSA-N 0 3 305.388 4.140 20 0 DIADHN CCC[C@H](C)CC[C@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001257486685 1063309219 /nfs/dbraw/zinc/30/92/19/1063309219.db2.gz ZSXSTNMMTQQXOB-GJZGRUSLSA-N 0 3 301.478 4.317 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCc1cccc2ccccc21 ZINC001461178792 1063315468 /nfs/dbraw/zinc/31/54/68/1063315468.db2.gz UAXMRQGOVVFDBH-UHFFFAOYSA-N 0 3 313.832 4.111 20 0 DIADHN CCCCC[C@H](C)N1CCn2c(CN(C)C(C)C)cnc2[C@@H]1C ZINC001257509532 1063318564 /nfs/dbraw/zinc/31/85/64/1063318564.db2.gz HFVJYJOVJRPXCS-IRXDYDNUSA-N 0 3 320.525 4.069 20 0 DIADHN COc1nsc(CN[C@H](C)Cc2ccc(C)cc2C)c1Cl ZINC001461206071 1063329909 /nfs/dbraw/zinc/32/99/09/1063329909.db2.gz IZLOMDHGDCRRDK-GFCCVEGCSA-N 0 3 324.877 4.143 20 0 DIADHN CCCCCC(CCCCC)N1CC[C@](O)(CF)[C@@H](F)C1 ZINC001257551112 1063331442 /nfs/dbraw/zinc/33/14/42/1063331442.db2.gz CEDQVFMALGUFGI-IRXDYDNUSA-N 0 3 305.453 4.260 20 0 DIADHN Cc1ccc(F)c(CN[C@H](c2ccccc2)C2CCOCC2)c1 ZINC001461208616 1063331362 /nfs/dbraw/zinc/33/13/62/1063331362.db2.gz RJJBXHIZKXTKRO-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN C[C@@H](Cc1ccc(Br)cc1)N1CCc2occc2C1 ZINC001257569026 1063339191 /nfs/dbraw/zinc/33/91/91/1063339191.db2.gz TZPFPXZIWAJPLY-LBPRGKRZSA-N 0 3 320.230 4.031 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H](C)c2cnn(C)c2)c1 ZINC001461237957 1063354169 /nfs/dbraw/zinc/35/41/69/1063354169.db2.gz XJSJJPSRLODUOG-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN FC(F)(F)c1cccc(CN[C@H]2CCCSC2)c1Cl ZINC001257661953 1063359829 /nfs/dbraw/zinc/35/98/29/1063359829.db2.gz XGRMPIXORZOYOV-JTQLQIEISA-N 0 3 309.784 4.344 20 0 DIADHN Clc1ccc(C2(N[C@H]3CCCSC3)CC2)c(Cl)c1 ZINC001257661949 1063359979 /nfs/dbraw/zinc/35/99/79/1063359979.db2.gz XDXNBOFPGYPEPJ-NSHDSACASA-N 0 3 302.270 4.468 20 0 DIADHN FC(F)(F)c1cccc(CN[C@@H]2CCCSC2)c1Cl ZINC001257661955 1063361317 /nfs/dbraw/zinc/36/13/17/1063361317.db2.gz XGRMPIXORZOYOV-SNVBAGLBSA-N 0 3 309.784 4.344 20 0 DIADHN CC(C)(C)Oc1ccccc1CNCc1ccc2[nH]cnc2c1 ZINC001461247159 1063364578 /nfs/dbraw/zinc/36/45/78/1063364578.db2.gz JHRUDHKTOLRXHE-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CC(C)(C)Oc1ccccc1CNCc1ccc2nc[nH]c2c1 ZINC001461247159 1063364601 /nfs/dbraw/zinc/36/46/01/1063364601.db2.gz JHRUDHKTOLRXHE-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN COc1ccccc1O[C@H](C)CNCc1ccc(C)cc1Cl ZINC001461257726 1063368367 /nfs/dbraw/zinc/36/83/67/1063368367.db2.gz PWEMAGDOHWMDMF-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN Cc1cnc(CS[C@@H]2CCCN(Cc3ccccc3)C2)s1 ZINC001473048851 1063373307 /nfs/dbraw/zinc/37/33/07/1063373307.db2.gz DCLKHKFJIUFCIO-MRXNPFEDSA-N 0 3 318.511 4.349 20 0 DIADHN C[C@@H](NC1CCC(OCc2ccccc2)CC1)c1cscn1 ZINC001257821864 1063393832 /nfs/dbraw/zinc/39/38/32/1063393832.db2.gz ORAIIOSUHQXFQZ-ODIFPOPNSA-N 0 3 316.470 4.322 20 0 DIADHN c1ccc(CC[C@H]2CCCN2Cc2nc3c(o2)CCCC3)cc1 ZINC001473112753 1063412820 /nfs/dbraw/zinc/41/28/20/1063412820.db2.gz SRCARCFMKICAJC-QGZVFWFLSA-N 0 3 310.441 4.151 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N1CCN(CC2CC2)CC1 ZINC001473113234 1063415893 /nfs/dbraw/zinc/41/58/93/1063415893.db2.gz WUMOQZPQOSENMG-LBPRGKRZSA-N 0 3 313.272 4.082 20 0 DIADHN CCC[C@@H](C)CCCN1CCCN(C(=O)c2ccsc2)CC1 ZINC001473116406 1063419956 /nfs/dbraw/zinc/41/99/56/1063419956.db2.gz ZXGZQBWMFWFJLC-MRXNPFEDSA-N 0 3 322.518 4.112 20 0 DIADHN CC(C)OC1CCC(N2CCc3cc(Cl)ccc3C2)CC1 ZINC001257883328 1063420893 /nfs/dbraw/zinc/42/08/93/1063420893.db2.gz XHLBHRXHOPPKQE-UHFFFAOYSA-N 0 3 307.865 4.434 20 0 DIADHN Cc1cccc([C@H](O)CNCc2ccc(Cl)c(C)c2Cl)c1 ZINC001461334337 1063426585 /nfs/dbraw/zinc/42/65/85/1063426585.db2.gz PJTXXVVFRIEYIG-MRXNPFEDSA-N 0 3 324.251 4.433 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC1(CC(C)C)CCC1 ZINC001461339517 1063426629 /nfs/dbraw/zinc/42/66/29/1063426629.db2.gz HJERNDQJDMVDJA-UHFFFAOYSA-N 0 3 309.425 4.149 20 0 DIADHN Clc1csc(CNCCOCc2ccc(Cl)cc2)c1 ZINC001461345860 1063433110 /nfs/dbraw/zinc/43/31/10/1063433110.db2.gz FMCFMKNNXACGSF-UHFFFAOYSA-N 0 3 316.253 4.361 20 0 DIADHN Cc1c[nH]c(CNCC2(c3ccc(Cl)cc3Cl)CCC2)n1 ZINC001461353183 1063437054 /nfs/dbraw/zinc/43/70/54/1063437054.db2.gz IGWISLKAGXJNPN-UHFFFAOYSA-N 0 3 324.255 4.236 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(Cl)cc2C1)c1ccccc1F ZINC001257946109 1063444456 /nfs/dbraw/zinc/44/44/56/1063444456.db2.gz BLMUXXGACUPGPH-BXUZGUMPSA-N 0 3 305.780 4.133 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1C[C@@H]3CCC[C@H]3O1)C2 ZINC001473137399 1063445027 /nfs/dbraw/zinc/44/50/27/1063445027.db2.gz UOAJNQPNLXPESJ-FFZOFVMBSA-N 0 3 313.485 4.300 20 0 DIADHN CC(C)c1ncc(CN[C@@H]2COc3ccc(Cl)cc3C2)s1 ZINC001257952682 1063445845 /nfs/dbraw/zinc/44/58/45/1063445845.db2.gz JWLSVKBTJHQWIJ-ZDUSSCGKSA-N 0 3 322.861 4.013 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(Cl)cc2C1)c1cc(F)cc(F)c1 ZINC001257954787 1063446863 /nfs/dbraw/zinc/44/68/63/1063446863.db2.gz RXGLZEDPVZUALK-QLJPJBMISA-N 0 3 323.770 4.273 20 0 DIADHN FC1CC2(C1)CCCN([C@H]1COc3ccc(Cl)cc3C1)CC2 ZINC001257968949 1063450206 /nfs/dbraw/zinc/45/02/06/1063450206.db2.gz RTBWAWQVCUGIOT-UPTPFMHGSA-N 0 3 323.839 4.248 20 0 DIADHN FC1CC2(C1)CCCN([C@@H]1COc3ccc(Cl)cc3C1)CC2 ZINC001257968948 1063450254 /nfs/dbraw/zinc/45/02/54/1063450254.db2.gz RTBWAWQVCUGIOT-PQUAAJSLSA-N 0 3 323.839 4.248 20 0 DIADHN Cc1ccc(CN[C@@H](Cn2ccnc2)c2ccc(C)cc2C)cc1 ZINC001461368830 1063450342 /nfs/dbraw/zinc/45/03/42/1063450342.db2.gz JCSRIMVFWNPSRI-NRFANRHFSA-N 0 3 319.452 4.339 20 0 DIADHN COc1ccccc1C(=O)CNC1CCC(c2ccccc2)CC1 ZINC001257993260 1063452972 /nfs/dbraw/zinc/45/29/72/1063452972.db2.gz KGAHUAKSJADAGF-UHFFFAOYSA-N 0 3 323.436 4.194 20 0 DIADHN COc1ccc(CN2CCCC[C@H]2c2ccccc2OC)cc1 ZINC001473150815 1063456840 /nfs/dbraw/zinc/45/68/40/1063456840.db2.gz VWGLUPOOYDUQQX-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)c(C)c1)C1CC1 ZINC001473155727 1063458079 /nfs/dbraw/zinc/45/80/79/1063458079.db2.gz IOSJGYRDOZNOLY-CZUORRHYSA-N 0 3 302.462 4.141 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2cc(OC)ccc2Cl)C1 ZINC001473157819 1063458861 /nfs/dbraw/zinc/45/88/61/1063458861.db2.gz AHNDGXYIGGDZAT-OAHLLOKOSA-N 0 3 313.894 4.456 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)c(C)c1)C1CC1 ZINC001473155728 1063459212 /nfs/dbraw/zinc/45/92/12/1063459212.db2.gz IOSJGYRDOZNOLY-XJKSGUPXSA-N 0 3 302.462 4.141 20 0 DIADHN Fc1cccc(F)c1CN(CC[C@@H]1CCCO1)C1CCCC1 ZINC001473156509 1063459918 /nfs/dbraw/zinc/45/99/18/1063459918.db2.gz WEOABMKBBQASMT-HNNXBMFYSA-N 0 3 309.400 4.279 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)c(Cl)c1)C1CC1 ZINC001473155694 1063460005 /nfs/dbraw/zinc/46/00/05/1063460005.db2.gz HUODFIGFEHZJNS-TZMCWYRMSA-N 0 3 322.880 4.486 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3nc(C)sc32)cc1 ZINC001461383490 1063462535 /nfs/dbraw/zinc/46/25/35/1063462535.db2.gz QCEANBMPJZKAOK-HNNXBMFYSA-N 0 3 302.443 4.017 20 0 DIADHN CSc1cc(CNCc2cccc(CC3CCC3)c2)ccn1 ZINC001461386469 1063464739 /nfs/dbraw/zinc/46/47/39/1063464739.db2.gz FRTRSJPTUVUJIE-UHFFFAOYSA-N 0 3 312.482 4.436 20 0 DIADHN CC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2C)CC1 ZINC001473160509 1063466275 /nfs/dbraw/zinc/46/62/75/1063466275.db2.gz SMTKHBDBPGXURW-GJZGRUSLSA-N 0 3 322.880 4.488 20 0 DIADHN CC[C@@H]1CCCN(Cc2coc(-c3cccc(OC)c3)n2)CC1 ZINC001473161025 1063466504 /nfs/dbraw/zinc/46/65/04/1063466504.db2.gz IPYGWBRIVQUOOB-OAHLLOKOSA-N 0 3 314.429 4.362 20 0 DIADHN C[C@H]1CN(CCCC(C)(C)C)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001473167951 1063468967 /nfs/dbraw/zinc/46/89/67/1063468967.db2.gz NPYNZLICNSPYOM-GJZGRUSLSA-N 0 3 312.498 4.142 20 0 DIADHN Fc1ccc(C[C@H]2CCN(Cc3cc(F)cc(F)c3)C2)cc1 ZINC001473174888 1063478326 /nfs/dbraw/zinc/47/83/26/1063478326.db2.gz YGOMKYYIPNQFDT-CQSZACIVSA-N 0 3 305.343 4.169 20 0 DIADHN CCc1cnc(CNC[C@]2(C)CCCc3ccccc32)s1 ZINC001461408674 1063479639 /nfs/dbraw/zinc/47/96/39/1063479639.db2.gz IVOTUWPDWSMBTN-SFHVURJKSA-N 0 3 300.471 4.089 20 0 DIADHN CN(C)c1ncc(CN2CCC[C@@H]3CCC[C@H]32)c2ccccc21 ZINC001473173408 1063480034 /nfs/dbraw/zinc/48/00/34/1063480034.db2.gz YOYHKNIKCMFTLN-HNAYVOBHSA-N 0 3 309.457 4.065 20 0 DIADHN FC1(F)Oc2ccc(CN[C@@H]3CCCc4sccc43)cc2O1 ZINC001461407289 1063480240 /nfs/dbraw/zinc/48/02/40/1063480240.db2.gz HTRWZEHIVDVVTG-GFCCVEGCSA-N 0 3 323.364 4.237 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(OC(F)F)cc1F ZINC001461413447 1063484199 /nfs/dbraw/zinc/48/41/99/1063484199.db2.gz YEFZSERHEMUVEV-SECBINFHSA-N 0 3 315.257 4.248 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1Cc1nccn1Cc1ccccc1 ZINC001473178426 1063485341 /nfs/dbraw/zinc/48/53/41/1063485341.db2.gz DTFKZHFJCSIQAS-IEBWSBKVSA-N 0 3 311.473 4.332 20 0 DIADHN CC[C@H](O)[C@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001473181710 1063488257 /nfs/dbraw/zinc/48/82/57/1063488257.db2.gz PBSSWTKJSQQOQK-CABCVRRESA-N 0 3 316.272 4.161 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN(CC/C=C\c2ccccc2)C1 ZINC001473181557 1063489800 /nfs/dbraw/zinc/48/98/00/1063489800.db2.gz WHMKPCXEZLQWRT-SGNXQUTQSA-N 0 3 313.363 4.133 20 0 DIADHN CC[C@@](O)(CN1CCCCC[C@@H]1c1ccc(C)o1)C(F)(F)F ZINC001473183084 1063490750 /nfs/dbraw/zinc/49/07/50/1063490750.db2.gz RHHDHPLNPMADEM-UKRRQHHQSA-N 0 3 319.367 4.209 20 0 DIADHN C[C@@H]1CCCN(C[C@H](O)c2ccc(Cl)cc2Cl)[C@H]1C ZINC001473184249 1063493225 /nfs/dbraw/zinc/49/32/25/1063493225.db2.gz FHWCJFCQICCCCL-ZETOZRRWSA-N 0 3 302.245 4.147 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)N1CCC(C(=O)C(F)(F)F)CC1 ZINC001258069484 1063495919 /nfs/dbraw/zinc/49/59/19/1063495919.db2.gz IQBIVLFZDFMZGN-QWHCGFSZSA-N 0 3 307.400 4.291 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCN(CCCC2CC2)CC1 ZINC001473188792 1063497151 /nfs/dbraw/zinc/49/71/51/1063497151.db2.gz ILTFACYDBIEYPK-HNNXBMFYSA-N 0 3 306.881 4.209 20 0 DIADHN C[C@H]1CCCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)[C@H]1C ZINC001473187569 1063497605 /nfs/dbraw/zinc/49/76/05/1063497605.db2.gz STKLJOAEOWMZRA-PGUXBMHVSA-N 0 3 302.245 4.147 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC001473187659 1063498436 /nfs/dbraw/zinc/49/84/36/1063498436.db2.gz RXHKSTHKCIJDHJ-SFHVURJKSA-N 0 3 302.393 4.493 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258073954 1063498821 /nfs/dbraw/zinc/49/88/21/1063498821.db2.gz HHVOUXCZDNSFQJ-VXGBXAGGSA-N 0 3 317.399 4.181 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258074844 1063500494 /nfs/dbraw/zinc/50/04/94/1063500494.db2.gz OCMBBKZTZUZVED-VXGBXAGGSA-N 0 3 317.399 4.181 20 0 DIADHN CC(C)=CCC[C@@H](C)N1CCN(c2nc3ccccc3o2)CC1 ZINC001258094725 1063518036 /nfs/dbraw/zinc/51/80/36/1063518036.db2.gz SISADWURKVGRAE-MRXNPFEDSA-N 0 3 313.445 4.085 20 0 DIADHN CC(C)(C)c1csc(CNCCc2ccc3[nH]ccc3c2)n1 ZINC001461458638 1063521445 /nfs/dbraw/zinc/52/14/45/1063521445.db2.gz DWYLISSKFQZZPG-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN CC(C)=CCC[C@@H](C)N1CCc2c(cnn2-c2ccccc2)C1 ZINC001258099856 1063522096 /nfs/dbraw/zinc/52/20/96/1063522096.db2.gz RZLYTQFPABGHJT-QGZVFWFLSA-N 0 3 309.457 4.365 20 0 DIADHN Fc1ccc([C@H]2CC[C@@H](NCc3nc4ccccc4o3)C2)cc1 ZINC001461478190 1063530412 /nfs/dbraw/zinc/53/04/12/1063530412.db2.gz FIJARRWKIVZNSK-GOEBONIOSA-N 0 3 310.372 4.393 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CN[C@H]1CC[C@@H]1C ZINC001461491494 1063537117 /nfs/dbraw/zinc/53/71/17/1063537117.db2.gz AZALIEZQYHIKII-GUYCJALGSA-N 0 3 317.864 4.090 20 0 DIADHN C[C@H]1C[C@H](N2CCc3nn(-c4ccccc4)cc3C2)C[C@@H](C)C1 ZINC001258169218 1063541771 /nfs/dbraw/zinc/54/17/71/1063541771.db2.gz WGSXYOGBOLYYSI-MNZLEMJZSA-N 0 3 309.457 4.055 20 0 DIADHN Cc1ccccc1[C@H](N[C@H](C)Cc1ccco1)c1ccncc1 ZINC001258170650 1063542880 /nfs/dbraw/zinc/54/28/80/1063542880.db2.gz JAJYPJUEUWKTDX-OXQOHEQNSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H](C)Cc1ccco1)c1ccncc1 ZINC001258170649 1063544658 /nfs/dbraw/zinc/54/46/58/1063544658.db2.gz JAJYPJUEUWKTDX-OXJNMPFZSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)Cc2ccco2)ncc1Br ZINC001258185172 1063551093 /nfs/dbraw/zinc/55/10/93/1063551093.db2.gz LYVHAWPCPPICPW-NEPJUHHUSA-N 0 3 323.234 4.027 20 0 DIADHN Clc1cc(Br)cc(CNC/C=C\C2CC2)c1 ZINC001461520528 1063553782 /nfs/dbraw/zinc/55/37/82/1063553782.db2.gz JQCFQJFOGZDZBV-UPHRSURJSA-N 0 3 300.627 4.158 20 0 DIADHN COCC1(CCNCc2nc(-c3cccs3)oc2C)CCC1 ZINC001461526178 1063559068 /nfs/dbraw/zinc/55/90/68/1063559068.db2.gz GLFQKEROBVLAKR-UHFFFAOYSA-N 0 3 320.458 4.008 20 0 DIADHN COc1ccc(-c2nc(CN[C@H](C)CCSC)cs2)cc1 ZINC001258202824 1063560922 /nfs/dbraw/zinc/56/09/22/1063560922.db2.gz WXWFDVMBXJMUQR-GFCCVEGCSA-N 0 3 322.499 4.050 20 0 DIADHN Cc1ccc(C2(CNCc3nc4c(s3)CCC4)CC2)c(C)c1 ZINC001461545187 1063570793 /nfs/dbraw/zinc/57/07/93/1063570793.db2.gz XRDNTMJFUFFOJC-UHFFFAOYSA-N 0 3 312.482 4.070 20 0 DIADHN CC(C)(C)c1nnc(C[NH2+][C@H]2CCC[C@H](C(C)(C)C)CC2)[n-]1 ZINC001461564699 1063582793 /nfs/dbraw/zinc/58/27/93/1063582793.db2.gz JSPRLHIGYDQBBO-KBPBESRZSA-N 0 3 306.498 4.187 20 0 DIADHN Cc1nc(CN[C@@H](C)CC(C)(C)SCc2ccco2)c(C)o1 ZINC001258297654 1063595082 /nfs/dbraw/zinc/59/50/82/1063595082.db2.gz HWKJOYZIBLXLIP-LBPRGKRZSA-N 0 3 322.474 4.465 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H]2COCc3ccccc32)cc1C ZINC001461590421 1063600452 /nfs/dbraw/zinc/60/04/52/1063600452.db2.gz VRSCSHYWYWYFBT-PVHVJQTOSA-N 0 3 307.437 4.486 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H](F)C2)c(Br)cc1F ZINC001461602843 1063606121 /nfs/dbraw/zinc/60/61/21/1063606121.db2.gz WPKKVYZJZSNWIY-VXGBXAGGSA-N 0 3 318.205 4.267 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N(C)Cc1ccno1 ZINC001258319422 1063607956 /nfs/dbraw/zinc/60/79/56/1063607956.db2.gz YZBYRSAAKVOENJ-ZDUSSCGKSA-N 0 3 308.447 4.190 20 0 DIADHN CC(C)(C)c1ccc(CN[C@@H]2CCc3ccccc3NC2=O)cc1 ZINC001461608590 1063608923 /nfs/dbraw/zinc/60/89/23/1063608923.db2.gz XPKSVXRZSHUFHW-LJQANCHMSA-N 0 3 322.452 4.027 20 0 DIADHN Cc1cc2cc(CNC[C@@H](C)C(=O)OC(C)(C)C)oc2cc1C ZINC001461614031 1063612874 /nfs/dbraw/zinc/61/28/74/1063612874.db2.gz WUOGTBONSKFMOR-CQSZACIVSA-N 0 3 317.429 4.117 20 0 DIADHN CSC(C)(C)C[C@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001258328374 1063613071 /nfs/dbraw/zinc/61/30/71/1063613071.db2.gz AJWFVSQQIAHMSG-LBPRGKRZSA-N 0 3 322.449 4.491 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1Cl)[C@@](C)(O)c1ccccc1 ZINC001461615173 1063613425 /nfs/dbraw/zinc/61/34/25/1063613425.db2.gz GJFVAANEZUKOGK-SJKOYZFVSA-N 0 3 324.251 4.379 20 0 DIADHN Cc1ccccc1C1(NCc2ccnn2CC2CCC2)CCC1 ZINC001461616816 1063615041 /nfs/dbraw/zinc/61/50/41/1063615041.db2.gz OPEJUCAHLVUMGQ-UHFFFAOYSA-N 0 3 309.457 4.161 20 0 DIADHN CO[C@@H](C)CNCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC001461617719 1063615494 /nfs/dbraw/zinc/61/54/94/1063615494.db2.gz MMTZHFOMDZXSTD-JTQLQIEISA-N 0 3 314.212 4.378 20 0 DIADHN CSC(C)(C)C[C@@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC001258330440 1063615506 /nfs/dbraw/zinc/61/55/06/1063615506.db2.gz AVZYKUBJRILUKP-ZWNOBZJWSA-N 0 3 319.873 4.022 20 0 DIADHN CSC(C)(C)C[C@@H](C)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001258333956 1063617127 /nfs/dbraw/zinc/61/71/27/1063617127.db2.gz QLCHJFWWBQHZCD-MFKMUULPSA-N 0 3 315.429 4.298 20 0 DIADHN CSC(C)(C)C[C@@H](C)N1CCO[C@](C)(c2ccc(C)cc2)C1 ZINC001258340614 1063617572 /nfs/dbraw/zinc/61/75/72/1063617572.db2.gz GBJMLCUEPSSCJJ-APWZRJJASA-N 0 3 321.530 4.463 20 0 DIADHN CSC(C)(C)C[C@@H](C)N1CCc2ccc(C(C)=O)cc2C1 ZINC001258347102 1063619814 /nfs/dbraw/zinc/61/98/14/1063619814.db2.gz UTSGCQAPKJIHGU-CYBMUJFWSA-N 0 3 305.487 4.168 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnn(C)c2C2CC2)cc1 ZINC001461625717 1063620781 /nfs/dbraw/zinc/62/07/81/1063620781.db2.gz AWEFRRYEAHGECE-UHFFFAOYSA-N 0 3 311.473 4.446 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H](CO)CCC(C)C)o1 ZINC001461626494 1063622686 /nfs/dbraw/zinc/62/26/86/1063622686.db2.gz JEMCZCWKJCDPIO-MRXNPFEDSA-N 0 3 319.420 4.281 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](CO)CCC(C)C)o2)cc1 ZINC001461631023 1063623924 /nfs/dbraw/zinc/62/39/24/1063623924.db2.gz SIZHHBDGJLKFHH-KRWDZBQOSA-N 0 3 301.430 4.142 20 0 DIADHN CC1(C)OC[C@@H]2C[C@@]21NCc1ccc(-c2ccccc2Cl)o1 ZINC001461630955 1063624065 /nfs/dbraw/zinc/62/40/65/1063624065.db2.gz SDKHAJNCVYDJNF-KPZWWZAWSA-N 0 3 317.816 4.257 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H](CO)CCC(C)C)o2)cc1 ZINC001461631031 1063625214 /nfs/dbraw/zinc/62/52/14/1063625214.db2.gz SIZHHBDGJLKFHH-QGZVFWFLSA-N 0 3 301.430 4.142 20 0 DIADHN CC[C@H](COCC1CC1)NCc1cnc(-c2ccc(C)o2)s1 ZINC001461634861 1063626480 /nfs/dbraw/zinc/62/64/80/1063626480.db2.gz RFMQTCKVTSEIMO-CQSZACIVSA-N 0 3 320.458 4.006 20 0 DIADHN COc1nccc(CNCCCCCCc2ccccc2)c1F ZINC001461648798 1063634323 /nfs/dbraw/zinc/63/43/23/1063634323.db2.gz UGYDJWCHYVKYNN-UHFFFAOYSA-N 0 3 316.420 4.122 20 0 DIADHN c1cc(-c2nc(CNC[C@@H]3CC[C@@H]4C[C@@H]4C3)cs2)cs1 ZINC001461654767 1063639009 /nfs/dbraw/zinc/63/90/09/1063639009.db2.gz BLNBFDRXQFVDEL-BZPMIXESSA-N 0 3 304.484 4.397 20 0 DIADHN COc1ccc(CC[C@H](C)N(C)Cc2ccc(F)cc2F)cc1 ZINC001258406516 1063643244 /nfs/dbraw/zinc/64/32/44/1063643244.db2.gz RVMXJIRFYOZJLV-AWEZNQCLSA-N 0 3 319.395 4.427 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H]3CCc4ccc(Cl)cc43)ccc2O1 ZINC001461668735 1063647962 /nfs/dbraw/zinc/64/79/62/1063647962.db2.gz FGISDLWHBIURPJ-KZULUSFZSA-N 0 3 313.828 4.441 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@@H]3CCCS3)cs2)cc1 ZINC001461670666 1063649390 /nfs/dbraw/zinc/64/93/90/1063649390.db2.gz QMURSHMGSBWYBI-INIZCTEOSA-N 0 3 318.511 4.494 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@H]1CCCC12CC2 ZINC001461675962 1063651723 /nfs/dbraw/zinc/65/17/23/1063651723.db2.gz KBHXDOMYXOYDIL-ZDUSSCGKSA-N 0 3 316.189 4.150 20 0 DIADHN CCCCC[C@@H](CC)NCc1ncc(Br)s1 ZINC001258435117 1063651842 /nfs/dbraw/zinc/65/18/42/1063651842.db2.gz UNXCJGFNUDOCEI-SNVBAGLBSA-N 0 3 305.285 4.354 20 0 DIADHN CC(C)(F)CNCc1ccc(OCc2cccc(F)c2)cc1 ZINC001461683641 1063656202 /nfs/dbraw/zinc/65/62/02/1063656202.db2.gz PTJILPUBVLIGLV-UHFFFAOYSA-N 0 3 305.368 4.242 20 0 DIADHN CC[C@@](C)(NCc1cnn(-c2ccccc2)c1)c1ccc(F)cc1 ZINC001461686498 1063658890 /nfs/dbraw/zinc/65/88/90/1063658890.db2.gz RGMPGIAUMYEYEL-HXUWFJFHSA-N 0 3 323.415 4.426 20 0 DIADHN C[C@H](CC1CC1)NCc1c(F)c(F)cc(Br)c1F ZINC001258448049 1063659307 /nfs/dbraw/zinc/65/93/07/1063659307.db2.gz NWOWBWOCMJJVHO-SSDOTTSWSA-N 0 3 322.168 4.145 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)CC3CC3)cs2)cc1 ZINC001258450517 1063660719 /nfs/dbraw/zinc/66/07/19/1063660719.db2.gz PMCYSMOOYFTVKN-LBPRGKRZSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@H](CC1CC1)N(C)Cc1cccc(Br)c1F ZINC001258467018 1063665768 /nfs/dbraw/zinc/66/57/68/1063665768.db2.gz DOTGOEIXZOOJAW-SNVBAGLBSA-N 0 3 300.215 4.209 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC[C@H]1c1ccc(Cl)cc1 ZINC001258496180 1063675369 /nfs/dbraw/zinc/67/53/69/1063675369.db2.gz OVAHZJNMCJFTDJ-BBRMVZONSA-N 0 3 309.837 4.209 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCCC[C@H]1c1ccccc1F ZINC001258495526 1063676576 /nfs/dbraw/zinc/67/65/76/1063676576.db2.gz JFYIFKBKKLMAQP-YOEHRIQHSA-N 0 3 307.409 4.085 20 0 DIADHN CN(Cc1ccc(F)cc1F)C1CCN(c2ccccc2)CC1 ZINC001258515140 1063681096 /nfs/dbraw/zinc/68/10/96/1063681096.db2.gz IQNCUDQWTGCHDI-UHFFFAOYSA-N 0 3 316.395 4.066 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2C[C@H]3CCC[C@H]3O2)c1 ZINC001461727793 1063681024 /nfs/dbraw/zinc/68/10/24/1063681024.db2.gz HMAHLNKNLQHUCX-RIEGTJTDSA-N 0 3 300.229 4.041 20 0 DIADHN CC1(C)C[C@]1(C)CNCc1ncc(Br)cc1Cl ZINC001461728644 1063682316 /nfs/dbraw/zinc/68/23/16/1063682316.db2.gz RFGKDJFVTHELQM-CYBMUJFWSA-N 0 3 317.658 4.023 20 0 DIADHN Fc1ccc(-c2cc(CN[C@H]3C[C@@H]4CC[C@@H](C4)C3)no2)c(F)c1 ZINC001461730605 1063683343 /nfs/dbraw/zinc/68/33/43/1063683343.db2.gz QCIGHBCTIIBNKU-IMRBUKKESA-N 0 3 318.367 4.288 20 0 DIADHN CCCC1CCC(n2nc(CN)c3cc(OC)ccc32)CC1 ZINC001258530655 1063685291 /nfs/dbraw/zinc/68/52/91/1063685291.db2.gz OTLLJNVKGVUJFJ-UHFFFAOYSA-N 0 3 301.434 4.035 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)C[C@@H](C)O3)ccc1Cl ZINC001461735226 1063686492 /nfs/dbraw/zinc/68/64/92/1063686492.db2.gz DWIDBNAEAALQGW-CYBMUJFWSA-N 0 3 301.817 4.262 20 0 DIADHN CC1(C)CC[C@@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001258593671 1063695980 /nfs/dbraw/zinc/69/59/80/1063695980.db2.gz ZJOWNJCTXKOBQE-SNVBAGLBSA-N 0 3 306.759 4.422 20 0 DIADHN CC1(C)CC[C@@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001258599304 1063703312 /nfs/dbraw/zinc/70/33/12/1063703312.db2.gz YDIAHELQBFTDBE-LLVKDONJSA-N 0 3 317.326 4.196 20 0 DIADHN CC1(C)CC[C@@H](N2Cc3cnc(-c4ccc(F)cc4)nc3C2)C1 ZINC001258606873 1063707235 /nfs/dbraw/zinc/70/72/35/1063707235.db2.gz DJUGQWAEVUWVNM-MRXNPFEDSA-N 0 3 311.404 4.177 20 0 DIADHN Cc1ccc(-c2ccc(CNCCNc3cccc(C)n3)o2)cc1 ZINC001461778150 1063710594 /nfs/dbraw/zinc/71/05/94/1063710594.db2.gz OUPZAUSCIKQSNC-UHFFFAOYSA-N 0 3 321.424 4.160 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H]2c3ccccc3CC[C@H]2O)cc1C ZINC001461783598 1063714493 /nfs/dbraw/zinc/71/44/93/1063714493.db2.gz SYLJQVLJFOHNFP-UBYOCFDASA-N 0 3 321.464 4.263 20 0 DIADHN C[C@H]1CC2(CC(NCc3ccc(F)c(Cl)c3Cl)C2)CO1 ZINC001461789854 1063717818 /nfs/dbraw/zinc/71/78/18/1063717818.db2.gz SBFXJKQNSOWNPJ-IEBVAXJPSA-N 0 3 318.219 4.180 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@@H]1c1c(F)cccc1F ZINC001258632799 1063718792 /nfs/dbraw/zinc/71/87/92/1063718792.db2.gz CYKMVESMYXCPOK-CXAGYDPISA-N 0 3 302.368 4.128 20 0 DIADHN CC1(C)C[C@@H](CNCc2c(F)ccc(F)c2Cl)C(C)(C)O1 ZINC001461789283 1063718784 /nfs/dbraw/zinc/71/87/84/1063718784.db2.gz YWQGMZLTJXKSBM-JTQLQIEISA-N 0 3 317.807 4.302 20 0 DIADHN CC1(C)C[C@H](CNCc2c(F)ccc(F)c2Cl)C(C)(C)O1 ZINC001461789284 1063718807 /nfs/dbraw/zinc/71/88/07/1063718807.db2.gz YWQGMZLTJXKSBM-SNVBAGLBSA-N 0 3 317.807 4.302 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCCc3cccnc32)c(F)c1 ZINC001461792825 1063720690 /nfs/dbraw/zinc/72/06/90/1063720690.db2.gz SOVWHOXLPIQAMN-RTZWDOBSSA-N 0 3 300.352 4.040 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NC(=N)c1cccnc1Cl ZINC001258651948 1063724372 /nfs/dbraw/zinc/72/43/72/1063724372.db2.gz KSAKVWPOVOIWMX-AWEZNQCLSA-N 0 3 301.821 4.061 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@@H]1C[C@H]2CCC[C@H]21 ZINC001461814714 1063733345 /nfs/dbraw/zinc/73/33/45/1063733345.db2.gz ZQROKUBPDOYQLA-JRKPZEMJSA-N 0 3 316.189 4.006 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@H]2C[C@H]2Cc2ccccc2)c1 ZINC001461819215 1063734697 /nfs/dbraw/zinc/73/46/97/1063734697.db2.gz HNAVYMNJOSQHIA-HZPDHXFCSA-N 0 3 301.817 4.014 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@@H]2OCc3ccccc32)o1 ZINC001461818041 1063735407 /nfs/dbraw/zinc/73/54/07/1063735407.db2.gz DQNHCVKRZMAMQM-FQEVSTJZSA-N 0 3 323.367 4.447 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@@H]1C[C@@H]1Cc1ccccc1 ZINC001461822506 1063736868 /nfs/dbraw/zinc/73/68/68/1063736868.db2.gz UATOXFFAZXDSRR-KBPBESRZSA-N 0 3 321.251 4.357 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@H]1C[C@@H]1Cc1ccccc1 ZINC001461822509 1063737801 /nfs/dbraw/zinc/73/78/01/1063737801.db2.gz UATOXFFAZXDSRR-UONOGXRCSA-N 0 3 321.251 4.357 20 0 DIADHN CC(C)COc1ccccc1CNC[C@@H]1OCc2ccccc21 ZINC001461823360 1063738221 /nfs/dbraw/zinc/73/82/21/1063738221.db2.gz BIGADAXTKGNXDZ-FQEVSTJZSA-N 0 3 311.425 4.083 20 0 DIADHN Cc1oc2ccccc2c1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC001461837405 1063743974 /nfs/dbraw/zinc/74/39/74/1063743974.db2.gz HREDMEZIIYURFW-HXUWFJFHSA-N 0 3 320.436 4.230 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CC[C@@H]2CCO[C@H]2C1 ZINC001258677612 1063744017 /nfs/dbraw/zinc/74/40/17/1063744017.db2.gz HUMPSHDXOPEAJX-HSMVNMDESA-N 0 3 314.256 4.035 20 0 DIADHN CCc1ccc(CN[C@@H]2C[C@]3(CCOC3)Oc3ccccc32)cc1 ZINC001461838911 1063744246 /nfs/dbraw/zinc/74/42/46/1063744246.db2.gz VIEQWQCMVKWOEK-CTNGQTDRSA-N 0 3 323.436 4.022 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CC[C@@]2(CCCO2)C1 ZINC001258677020 1063744616 /nfs/dbraw/zinc/74/46/16/1063744616.db2.gz BTJMOCQBEQLFCG-WBMJQRKESA-N 0 3 314.256 4.179 20 0 DIADHN Cc1ncc(CN(C)[C@H](C)Cc2ccc(Cl)cc2Cl)cn1 ZINC001258684041 1063749332 /nfs/dbraw/zinc/74/93/32/1063749332.db2.gz MGNYYYHRSYVEFE-LLVKDONJSA-N 0 3 324.255 4.155 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CCC[C@@]2(CCO2)C1 ZINC001258684294 1063749356 /nfs/dbraw/zinc/74/93/56/1063749356.db2.gz RBJTWKGDJCOUDL-BLLLJJGKSA-N 0 3 314.256 4.179 20 0 DIADHN CS[C@@H]1CCCCN(Cc2cc3c(ccnc3Cl)o2)C1 ZINC001461860969 1063754374 /nfs/dbraw/zinc/75/43/74/1063754374.db2.gz HLWVDQJPECDDIY-GFCCVEGCSA-N 0 3 310.850 4.199 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1[N@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC001461864627 1063760022 /nfs/dbraw/zinc/76/00/22/1063760022.db2.gz RKXGHCKZXKDFIE-HZPDHXFCSA-N 0 3 311.853 4.071 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1[N@@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC001461864627 1063760024 /nfs/dbraw/zinc/76/00/24/1063760024.db2.gz RKXGHCKZXKDFIE-HZPDHXFCSA-N 0 3 311.853 4.071 20 0 DIADHN COCCN(Cc1cc(F)cc(OC)c1)[C@@H](C)c1ccccc1 ZINC001461869100 1063760873 /nfs/dbraw/zinc/76/08/73/1063760873.db2.gz DYMJXMBXBNLICJ-HNNXBMFYSA-N 0 3 317.404 4.044 20 0 DIADHN CCCC[C@H](Cc1ccccc1)NCc1nccnc1Cl ZINC001258702228 1063761808 /nfs/dbraw/zinc/76/18/08/1063761808.db2.gz RENCHBZOYURHFC-OAHLLOKOSA-N 0 3 303.837 4.021 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)n1nc(CN)c2ccccc21 ZINC001258706421 1063763608 /nfs/dbraw/zinc/76/36/08/1063763608.db2.gz QPQXZOHHEYDFQI-KRWDZBQOSA-N 0 3 307.441 4.469 20 0 DIADHN CCCC[C@H](Cc1ccccc1)NC(=N)c1cccnc1Cl ZINC001258707407 1063764096 /nfs/dbraw/zinc/76/40/96/1063764096.db2.gz HJDJUUSHHKASJJ-OAHLLOKOSA-N 0 3 315.848 4.451 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(SC)o2)C1(CC)CC ZINC001461877742 1063770356 /nfs/dbraw/zinc/77/03/56/1063770356.db2.gz VFHRGLISLKXMSV-HUUCEWRRSA-N 0 3 311.491 4.417 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H]2CCC[C@H](C)C2)c(C)c1 ZINC001461884114 1063774167 /nfs/dbraw/zinc/77/41/67/1063774167.db2.gz WYRMYOLCPQCSAV-KBXCAEBGSA-N 0 3 316.489 4.308 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2Cc2ccc(=O)[nH]c2)o1 ZINC001461905173 1063787929 /nfs/dbraw/zinc/78/79/29/1063787929.db2.gz QXFCNBMMPKYHGQ-INIZCTEOSA-N 0 3 300.402 4.060 20 0 DIADHN c1ccc(N[C@H]2CCCN(Cc3nccc4c3CCCC4)C2)cc1 ZINC001461911477 1063793787 /nfs/dbraw/zinc/79/37/87/1063793787.db2.gz HWIDVQVSFMZIGB-IBGZPJMESA-N 0 3 321.468 4.037 20 0 DIADHN COc1ncc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)s1 ZINC001461913953 1063793883 /nfs/dbraw/zinc/79/38/83/1063793883.db2.gz QIZDOEQYFGJKLY-NWDGAFQWSA-N 0 3 324.877 4.429 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC(C)(C)CC2)s1 ZINC001461912479 1063794341 /nfs/dbraw/zinc/79/43/41/1063794341.db2.gz SRLSFPUODCEALA-UHFFFAOYSA-N 0 3 309.475 4.325 20 0 DIADHN CCC[C@@H](CN1CCC[C@@H]1c1nc2ccccc2n1CC)OC ZINC001461910524 1063795118 /nfs/dbraw/zinc/79/51/18/1063795118.db2.gz NQCUEYYHRXMZQO-MAUKXSAKSA-N 0 3 315.461 4.008 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N1CC[C@](CF)(C(F)(F)F)C1 ZINC001258744946 1063796141 /nfs/dbraw/zinc/79/61/41/1063796141.db2.gz HDWJTORRDRIOBD-BXUZGUMPSA-N 0 3 323.761 4.495 20 0 DIADHN CC(C)CO[C@H]1CCN([C@@H]2C[C@H](C)Sc3sccc32)C1 ZINC001461929278 1063812780 /nfs/dbraw/zinc/81/27/80/1063812780.db2.gz RXCHMXWVXLPVDO-KCQAQPDRSA-N 0 3 311.516 4.420 20 0 DIADHN COc1ccc(CN2CC=C(C(C)(C)C)CC2)cc1OC1CC1 ZINC001461928401 1063813550 /nfs/dbraw/zinc/81/35/50/1063813550.db2.gz UEYIGBDCRZGBIK-UHFFFAOYSA-N 0 3 315.457 4.415 20 0 DIADHN CC[C@H](C[C@@H](C)CC)NCc1nc(SC)ncc1Cl ZINC001258783775 1063820826 /nfs/dbraw/zinc/82/08/26/1063820826.db2.gz IVYDCFFSZBSCED-WDEREUQCSA-N 0 3 301.887 4.156 20 0 DIADHN CC[C@H](C)C[C@H](CC)NCc1nc(SC)ncc1Cl ZINC001258783774 1063821037 /nfs/dbraw/zinc/82/10/37/1063821037.db2.gz IVYDCFFSZBSCED-QWRGUYRKSA-N 0 3 301.887 4.156 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1ccc(OCCOC)o1 ZINC001461936363 1063824221 /nfs/dbraw/zinc/82/42/21/1063824221.db2.gz DLPOOWVQLHYFJL-GOSISDBHSA-N 0 3 317.429 4.278 20 0 DIADHN CC[C@H](C[C@@H](C)CC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258795461 1063830880 /nfs/dbraw/zinc/83/08/80/1063830880.db2.gz RKNCRJKWBIXPDD-FUHWJXTLSA-N 0 3 317.477 4.051 20 0 DIADHN Cc1nn2c(CN3CCC[C@@H]3CC(C)C)c(C(C)C)nc2s1 ZINC001461943921 1063831011 /nfs/dbraw/zinc/83/10/11/1063831011.db2.gz NNJAVVQNNRMNCM-CQSZACIVSA-N 0 3 320.506 4.233 20 0 DIADHN Cc1cc(C)cc(CCN(Cc2ccco2)C[C@@H]2CCCO2)c1 ZINC001461952758 1063835350 /nfs/dbraw/zinc/83/53/50/1063835350.db2.gz CQSYQUPHRMUYNV-FQEVSTJZSA-N 0 3 313.441 4.120 20 0 DIADHN CCN(Cc1cccn1C(F)F)[C@H](C)c1cccc(OC)c1 ZINC001461970070 1063849215 /nfs/dbraw/zinc/84/92/15/1063849215.db2.gz ZLCUDBKSTMOTPE-CYBMUJFWSA-N 0 3 308.372 4.475 20 0 DIADHN CC(C)CC(CC(C)C)NCc1nc(Br)cs1 ZINC001258833134 1063856401 /nfs/dbraw/zinc/85/64/01/1063856401.db2.gz WQNLAXCSKRCWJM-UHFFFAOYSA-N 0 3 319.312 4.456 20 0 DIADHN COc1cc(C)c(CN(Cc2ccc(C)s2)C(C)C)c(C)n1 ZINC001461985536 1063859945 /nfs/dbraw/zinc/85/99/45/1063859945.db2.gz GUCVKMKKWIKCNY-UHFFFAOYSA-N 0 3 318.486 4.488 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001462008799 1063876241 /nfs/dbraw/zinc/87/62/41/1063876241.db2.gz UNRHYDFZDNCSQJ-STQMWFEESA-N 0 3 309.475 4.181 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001462021016 1063884049 /nfs/dbraw/zinc/88/40/49/1063884049.db2.gz UAWDWUYWFDVSDZ-JSGCOSHPSA-N 0 3 305.853 4.237 20 0 DIADHN CC[C@@H](C)N(Cc1cnn(CC2CCC2)c1)Cc1ccccc1 ZINC001462019585 1063884192 /nfs/dbraw/zinc/88/41/92/1063884192.db2.gz JVYSJAVOCWRQHS-QGZVFWFLSA-N 0 3 311.473 4.484 20 0 DIADHN Cc1ccc(OCCN(C)Cc2ccc(F)c(Cl)c2)cc1 ZINC001462026439 1063886710 /nfs/dbraw/zinc/88/67/10/1063886710.db2.gz NQBAZPILPJBIDP-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN COc1ccc(OCCN(C)Cc2cc(C)cc(Cl)c2)cc1 ZINC001462025486 1063887449 /nfs/dbraw/zinc/88/74/49/1063887449.db2.gz KUVDOFQCSSDLNZ-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN CCCN(Cc1c(CC)nn(C)c1CC)Cc1ccc(C)cc1 ZINC001462027243 1063889788 /nfs/dbraw/zinc/88/97/88/1063889788.db2.gz SLYJZTTXHOKGEU-UHFFFAOYSA-N 0 3 313.489 4.266 20 0 DIADHN C[C@H](CN(C)Cc1ccc(Cl)nc1)c1cc(F)cc(F)c1 ZINC001462034845 1063890646 /nfs/dbraw/zinc/89/06/46/1063890646.db2.gz BLHOHFMBHREFJX-LLVKDONJSA-N 0 3 310.775 4.249 20 0 DIADHN C[C@@H](CN(C)Cc1ccc(Cl)nc1)c1cc(F)cc(F)c1 ZINC001462034846 1063892201 /nfs/dbraw/zinc/89/22/01/1063892201.db2.gz BLHOHFMBHREFJX-NSHDSACASA-N 0 3 310.775 4.249 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnn(CC3CCC3)c2)cc1 ZINC001462036552 1063893392 /nfs/dbraw/zinc/89/33/92/1063893392.db2.gz OUOAJNJPDKIYMK-FQEVSTJZSA-N 0 3 309.457 4.329 20 0 DIADHN CSc1ccccc1CN1CCC(Oc2ccccc2)CC1 ZINC000358053638 1063896875 /nfs/dbraw/zinc/89/68/75/1063896875.db2.gz WBXUDYPECUMOAD-UHFFFAOYSA-N 0 3 313.466 4.452 20 0 DIADHN CC1(C)CCC[C@H](NCc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC001462108214 1063932858 /nfs/dbraw/zinc/93/28/58/1063932858.db2.gz XWBCEAQDRZUQOZ-INIZCTEOSA-N 0 3 324.472 4.203 20 0 DIADHN COc1ccc2cc(CN[C@@H]3CCCc4ncccc43)ccc2c1 ZINC001261852407 1063950062 /nfs/dbraw/zinc/95/00/62/1063950062.db2.gz BORQBKYORFKITN-OAQYLSRUSA-N 0 3 318.420 4.411 20 0 DIADHN CC(C)[C@@H](NCc1ccc(N)nc1)c1cccc(C(F)(F)F)c1 ZINC001262238346 1063960915 /nfs/dbraw/zinc/96/09/15/1063960915.db2.gz XKSKLDYRJOPOFH-MRXNPFEDSA-N 0 3 323.362 4.170 20 0 DIADHN C[C@H](NCc1cnn(C)c1C1CC1)c1csc2ccccc12 ZINC001262370018 1063965478 /nfs/dbraw/zinc/96/54/78/1063965478.db2.gz VROPYKPCJCTROM-LBPRGKRZSA-N 0 3 311.454 4.363 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc3cccnc32)cc1[N+](=O)[O-] ZINC000378959512 1063982321 /nfs/dbraw/zinc/98/23/21/1063982321.db2.gz OZBZRQCXQXHCOB-CQSZACIVSA-N 0 3 321.380 4.302 20 0 DIADHN Nc1ccncc1-c1nc2cc(Sc3ccccc3)ccc2[nH]1 ZINC000587248660 1063997428 /nfs/dbraw/zinc/99/74/28/1063997428.db2.gz AMKNXHNGUHSFHI-UHFFFAOYSA-N 0 3 318.405 4.189 20 0 DIADHN Cc1ccc(OC(=O)[C@@H]2CCCN(Cc3ccccc3)C2)cc1C ZINC001264223666 1064046333 /nfs/dbraw/zinc/04/63/33/1064046333.db2.gz QNFDZKFMLTUVLF-LJQANCHMSA-N 0 3 323.436 4.121 20 0 DIADHN CCOC1(CNCc2cccc(Cl)c2Cl)CCCC1 ZINC000388995662 1064120666 /nfs/dbraw/zinc/12/06/66/1064120666.db2.gz DBYMFIRMCOHQFK-UHFFFAOYSA-N 0 3 302.245 4.432 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001264802163 1064137255 /nfs/dbraw/zinc/13/72/55/1064137255.db2.gz TWXBUGCWPRFMQK-SCTDSRPQSA-N 0 3 316.489 4.010 20 0 DIADHN CCCC1CCC(NCc2ccn(Cc3ccccc3)n2)CC1 ZINC000389956829 1064143049 /nfs/dbraw/zinc/14/30/49/1064143049.db2.gz DWZCKNQVHXJZDM-UHFFFAOYSA-N 0 3 311.473 4.380 20 0 DIADHN CC[C@@H](CC(=O)N(CCN(C)C)c1ccccc1)C(C)(C)C ZINC001264838027 1064145850 /nfs/dbraw/zinc/14/58/50/1064145850.db2.gz ZGMVMDFEYNZVKW-INIZCTEOSA-N 0 3 304.478 4.044 20 0 DIADHN C[C@H]1SCC[C@@H]1NCc1cc(Br)ccc1Cl ZINC000390801706 1064170071 /nfs/dbraw/zinc/17/00/71/1064170071.db2.gz ZUQKGPJWBZNLBV-PELKAZGASA-N 0 3 320.683 4.086 20 0 DIADHN CC1(C)C[C@H](NC2c3ccccc3Oc3ccccc32)CCO1 ZINC000391196452 1064181596 /nfs/dbraw/zinc/18/15/96/1064181596.db2.gz LTLICTVILAYCRR-CQSZACIVSA-N 0 3 309.409 4.429 20 0 DIADHN N[C@H](Cc1ccccc1)c1nc2ccccc2n1-c1ccccc1 ZINC000392163198 1064221569 /nfs/dbraw/zinc/22/15/69/1064221569.db2.gz UELCPRMTUFPZMQ-GOSISDBHSA-N 0 3 313.404 4.268 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ncc(Cl)n2C)C[C@H](c2ccccc2)C1 ZINC000393310689 1064270532 /nfs/dbraw/zinc/27/05/32/1064270532.db2.gz QYQSWYPJCNNSIZ-NUEKZKHPSA-N 0 3 317.864 4.136 20 0 DIADHN CC(C)c1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1 ZINC000393398581 1064276696 /nfs/dbraw/zinc/27/66/96/1064276696.db2.gz WFOCYYHFYHMVQL-UHFFFAOYSA-N 0 3 306.409 4.354 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1cscn1 ZINC001279389413 1064346017 /nfs/dbraw/zinc/34/60/17/1064346017.db2.gz QTBPIFULYXSPAY-RYQLBKOJSA-N 0 3 321.534 4.008 20 0 DIADHN FC(F)(F)CCCN1C[C@H]2C[C@@H](C1)N2CCCC1CCCC1 ZINC001279477725 1064351429 /nfs/dbraw/zinc/35/14/29/1064351429.db2.gz AYQUUWFALIYERU-IYBDPMFKSA-N 0 3 318.427 4.058 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC001279555626 1064357277 /nfs/dbraw/zinc/35/72/77/1064357277.db2.gz CDFGQUGIBNVKAJ-ZACQAIPSSA-N 0 3 321.534 4.008 20 0 DIADHN CN(C)[C@@H](CNC(=O)C[C@H]1CCCCC1(C)C)c1ccccc1 ZINC001290199520 1064684255 /nfs/dbraw/zinc/68/42/55/1064684255.db2.gz PVOHTUAMTXMVNI-MSOLQXFVSA-N 0 3 316.489 4.012 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001303541149 1064694689 /nfs/dbraw/zinc/69/46/89/1064694689.db2.gz XDXBZLWFVRYNSM-IRXDYDNUSA-N 0 3 308.510 4.068 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC001290931600 1064718657 /nfs/dbraw/zinc/71/86/57/1064718657.db2.gz RUSGFAUTFWXJDG-NUJGCVRESA-N 0 3 316.489 4.153 20 0 DIADHN CC[C@@H](C(=O)N[C@H](CCC(C)C)c1ccc(Cl)cc1)N(C)C ZINC001291711648 1064742535 /nfs/dbraw/zinc/74/25/35/1064742535.db2.gz RPHVXNNXDZMJSW-SJORKVTESA-N 0 3 324.896 4.274 20 0 DIADHN CCCC[C@H](C(=O)N1CCN(CCC(C)(C)C)CC1)C(C)C ZINC001291909006 1064750526 /nfs/dbraw/zinc/75/05/26/1064750526.db2.gz MCNIUSMRAYXVBS-KRWDZBQOSA-N 0 3 310.526 4.029 20 0 DIADHN CC(C)(C)CCN1CCN(C(=O)/C=C\C2CCCCCC2)CC1 ZINC001291908926 1064751134 /nfs/dbraw/zinc/75/11/34/1064751134.db2.gz IWBCIHGWEOEXQH-KHPPLWFESA-N 0 3 320.521 4.093 20 0 DIADHN C[C@H](C(=O)Nc1ccc2c3c(cccc31)CC2)N1[C@H](C)CC[C@H]1C ZINC001292095915 1064758386 /nfs/dbraw/zinc/75/83/86/1064758386.db2.gz ANVYRIJXGDRYIR-RBSFLKMASA-N 0 3 322.452 4.138 20 0 DIADHN C[C@H](C(=O)Nc1ccc2c3c(cccc31)CC2)N1[C@H](C)CC[C@@H]1C ZINC001292095914 1064758528 /nfs/dbraw/zinc/75/85/28/1064758528.db2.gz ANVYRIJXGDRYIR-QLFBSQMISA-N 0 3 322.452 4.138 20 0 DIADHN CCCN(C(=O)CN1[C@H](C)CC[C@@H]1C)[C@@H](CC)c1ccccc1 ZINC001292226870 1064764545 /nfs/dbraw/zinc/76/45/45/1064764545.db2.gz XNIDLAZFLSCGIO-SCTDSRPQSA-N 0 3 316.489 4.249 20 0 DIADHN CC(C)CCc1ccc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)cc1 ZINC001292369338 1064771683 /nfs/dbraw/zinc/77/16/83/1064771683.db2.gz MRMWDFHEOAWDNY-HOTGVXAUSA-N 0 3 302.462 4.087 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)Nc1cccc(Nc2ccccc2)c1 ZINC001292984421 1064794858 /nfs/dbraw/zinc/79/48/58/1064794858.db2.gz BMNBZFPKTUTANS-IBGZPJMESA-N 0 3 323.440 4.242 20 0 DIADHN C[C@@H](CCC(C)(C)C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001293663110 1064825253 /nfs/dbraw/zinc/82/52/53/1064825253.db2.gz HPRXMYNLLBOSDO-AWEZNQCLSA-N 0 3 315.461 4.235 20 0 DIADHN Fc1cccc(C2CCN(CCCC(F)(F)F)CC2)c1F ZINC001335019282 1064832384 /nfs/dbraw/zinc/83/23/84/1064832384.db2.gz RRZCCORJOPLGRH-UHFFFAOYSA-N 0 3 307.306 4.487 20 0 DIADHN CCCCN(C(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001294414954 1064867165 /nfs/dbraw/zinc/86/71/65/1064867165.db2.gz SGODMUOXTXAGBB-BBWFWOEESA-N 0 3 302.462 4.081 20 0 DIADHN Cc1cc2sc(N3CCN(C)[C@@H](C(C)(C)C)C3)nc2c(C)c1 ZINC001307195955 1064914804 /nfs/dbraw/zinc/91/48/04/1064914804.db2.gz JWDHSLZGLGDFDB-OAHLLOKOSA-N 0 3 317.502 4.080 20 0 DIADHN Cc1cccc([C@H](C)NC(=O)c2cccc(CN3CCCC3)c2)c1 ZINC001295484384 1064914862 /nfs/dbraw/zinc/91/48/62/1064914862.db2.gz BTVRDYRQIZQFQC-KRWDZBQOSA-N 0 3 322.452 4.082 20 0 DIADHN C[C@H](C(=O)N[C@@H]1CCC[C@@H](C(C)(C)C)CC1)N1CCCCCC1 ZINC001296514917 1064949129 /nfs/dbraw/zinc/94/91/29/1064949129.db2.gz GYQHDEPMWVTYOO-KZNAEPCWSA-N 0 3 322.537 4.362 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@@H]3CCCN3CC(C)C)cc2c1C ZINC001296672092 1064953248 /nfs/dbraw/zinc/95/32/48/1064953248.db2.gz SDSCLSQLAJCXOZ-KRWDZBQOSA-N 0 3 314.429 4.109 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N1C[C@@H](C(C)(C)C)c2ccccc21 ZINC001297186322 1064971002 /nfs/dbraw/zinc/97/10/02/1064971002.db2.gz IEMLLDZJKHOXJE-AEFFLSMTSA-N 0 3 314.473 4.037 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(Cl)c(F)c(Cl)c1)N(CC)CC ZINC001297497654 1064981512 /nfs/dbraw/zinc/98/15/12/1064981512.db2.gz VLWZBVLLPCMLHQ-LBPRGKRZSA-N 0 3 321.223 4.191 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)CCC[Si](C)(C)C ZINC001298609162 1065012582 /nfs/dbraw/zinc/01/25/82/1065012582.db2.gz MBYRYAKTFYJBEB-UHFFFAOYSA-N 0 3 321.541 4.161 20 0 DIADHN CCC(CC)N(CC)C(=O)c1cccc(CN2CCCCC2)c1 ZINC001298723097 1065017568 /nfs/dbraw/zinc/01/75/68/1065017568.db2.gz ICGUJQPFDIAIRK-UHFFFAOYSA-N 0 3 316.489 4.323 20 0 DIADHN CCC1(CC)CCCN1C(=O)c1cccc(CN2CCCC2)c1 ZINC001303308688 1065113592 /nfs/dbraw/zinc/11/35/92/1065113592.db2.gz ULDAKYXBUOFKNS-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN Cc1nc2ccccc2nc1SCCN(C)Cc1ccccc1 ZINC001307289529 1065327862 /nfs/dbraw/zinc/32/78/62/1065327862.db2.gz PZYJAPCQGDBNHR-UHFFFAOYSA-N 0 3 323.465 4.162 20 0 DIADHN CCC(CC)SCCCN1CCN(c2ccccc2)CC1 ZINC001307298943 1065333009 /nfs/dbraw/zinc/33/30/09/1065333009.db2.gz OVPNFDMEXVXXFJ-UHFFFAOYSA-N 0 3 306.519 4.121 20 0 DIADHN C[C@@H]1CCC[C@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001307412089 1065374901 /nfs/dbraw/zinc/37/49/01/1065374901.db2.gz CHILBMXLBIGWLX-SJORKVTESA-N 0 3 309.457 4.057 20 0 DIADHN COc1ccc(CN(C)Cc2c(C)cc(C)cc2C)cc1F ZINC001307414017 1065375323 /nfs/dbraw/zinc/37/53/23/1065375323.db2.gz RVKRVIQAQSBEAB-UHFFFAOYSA-N 0 3 301.405 4.392 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1cccc(N(C)C)c1 ZINC001307416224 1065377421 /nfs/dbraw/zinc/37/74/21/1065377421.db2.gz YBTDFQJZYSULOP-KRWDZBQOSA-N 0 3 300.471 4.324 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001307419015 1065379478 /nfs/dbraw/zinc/37/94/78/1065379478.db2.gz PJOIJWMXBIYZOU-JTQLQIEISA-N 0 3 311.353 4.263 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]1C1CCCC1 ZINC001307424620 1065386801 /nfs/dbraw/zinc/38/68/01/1065386801.db2.gz PLPWIFNUTXUFLY-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN c1ccc(CN(CCOC2CCCCC2)C[C@H]2CCCO2)cc1 ZINC001307431307 1065390947 /nfs/dbraw/zinc/39/09/47/1065390947.db2.gz WSJBSLGSXHULOD-HXUWFJFHSA-N 0 3 317.473 4.017 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2CCO[C@@H]2C)s1 ZINC001307437001 1065398037 /nfs/dbraw/zinc/39/80/37/1065398037.db2.gz RCMVRUQMTXJXCA-HUUCEWRRSA-N 0 3 305.443 4.077 20 0 DIADHN CCN(Cc1cc(F)ccc1Br)[C@@H](C)C(C)C ZINC001307437679 1065399906 /nfs/dbraw/zinc/39/99/06/1065399906.db2.gz JEFWXXZVVLACJK-NSHDSACASA-N 0 3 302.231 4.455 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3CCC[C@@H](C)CC3)n2)cc1F ZINC001307440932 1065401998 /nfs/dbraw/zinc/40/19/98/1065401998.db2.gz WAWLKTUJWHDPPN-TZMCWYRMSA-N 0 3 317.408 4.367 20 0 DIADHN CC(C)C[C@@H](C)N(C)Cc1cc(Br)ccc1F ZINC001307448966 1065406846 /nfs/dbraw/zinc/40/68/46/1065406846.db2.gz HNIYMAHXEYZXNV-LLVKDONJSA-N 0 3 302.231 4.455 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1CCC[C@@H](c2ccccc2)CC1 ZINC001307453415 1065408985 /nfs/dbraw/zinc/40/89/85/1065408985.db2.gz QTXJLGRJVMXKLF-MOPGFXCFSA-N 0 3 317.473 4.234 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001307452447 1065409068 /nfs/dbraw/zinc/40/90/68/1065409068.db2.gz ZJOHYRVILLGVKD-MRXNPFEDSA-N 0 3 301.409 4.022 20 0 DIADHN CCc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCO3)cc1 ZINC001307456857 1065411342 /nfs/dbraw/zinc/41/13/42/1065411342.db2.gz PQSRWWKLKONUOC-GOSISDBHSA-N 0 3 309.409 4.315 20 0 DIADHN Cc1nc(CN(C[C@@H](C)O)C2CCCCC2)sc1C(C)(C)C ZINC001307459301 1065412805 /nfs/dbraw/zinc/41/28/05/1065412805.db2.gz NLLUNUXQLHOTAO-CYBMUJFWSA-N 0 3 324.534 4.265 20 0 DIADHN CC(C)(C)OC(=O)[C@H](C1CC1)N1CCC[C@H](C(C)(C)C)CC1 ZINC001307473134 1065421131 /nfs/dbraw/zinc/42/11/31/1065421131.db2.gz NBOOVCODBBIBJE-HOTGVXAUSA-N 0 3 309.494 4.255 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001307471586 1065421911 /nfs/dbraw/zinc/42/19/11/1065421911.db2.gz CURAHIIZWBINHL-QGZVFWFLSA-N 0 3 301.409 4.022 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001307480001 1065425756 /nfs/dbraw/zinc/42/57/56/1065425756.db2.gz SQIZMERKWVPTRM-CABCVRRESA-N 0 3 301.409 4.022 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2[N@@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478906 1065426150 /nfs/dbraw/zinc/42/61/50/1065426150.db2.gz LWLGXEDQVDBQRB-KYJSFNMBSA-N 0 3 323.440 4.002 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2[N@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478906 1065426153 /nfs/dbraw/zinc/42/61/53/1065426153.db2.gz LWLGXEDQVDBQRB-KYJSFNMBSA-N 0 3 323.440 4.002 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2CCCOC(F)(F)F)o1 ZINC001307488818 1065432711 /nfs/dbraw/zinc/43/27/11/1065432711.db2.gz HKPIGSJCQMHFAJ-ZDUSSCGKSA-N 0 3 305.340 4.432 20 0 DIADHN CCN(CCCC1CCCC1)[C@@H]1CCN(c2ccccc2)C1=O ZINC001307491670 1065437833 /nfs/dbraw/zinc/43/78/33/1065437833.db2.gz XTBYLMACGKHJNP-LJQANCHMSA-N 0 3 314.473 4.084 20 0 DIADHN CC[C@@H]1CN(CCSc2cccc(Cl)c2)CCS1 ZINC001307498817 1065442229 /nfs/dbraw/zinc/44/22/29/1065442229.db2.gz FLWUAZGDLBVANS-CYBMUJFWSA-N 0 3 301.908 4.260 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)C[C@H]3CC)co2)cc1 ZINC001307501879 1065446330 /nfs/dbraw/zinc/44/63/30/1065446330.db2.gz UJDKAGBANDMKHF-RHSMWYFYSA-N 0 3 314.429 4.361 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1cccc2ncccc12 ZINC001307514730 1065453330 /nfs/dbraw/zinc/45/33/30/1065453330.db2.gz XRCWENSDXYBGTN-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN C[C@H]1CC[C@H](CN(Cc2cc(F)ccc2F)C2CCCC2)O1 ZINC001307519314 1065457762 /nfs/dbraw/zinc/45/77/62/1065457762.db2.gz DFMFNKQHXTWJMJ-SUMWQHHRSA-N 0 3 309.400 4.277 20 0 DIADHN C[C@H]1CC[C@@H](CN(Cc2cc(F)ccc2F)C2CCCC2)O1 ZINC001307519312 1065457876 /nfs/dbraw/zinc/45/78/76/1065457876.db2.gz DFMFNKQHXTWJMJ-GUYCJALGSA-N 0 3 309.400 4.277 20 0 DIADHN COc1cc(C)nc(CN2CCc3ccccc3[C@@H]2C(C)C)c1 ZINC001307525910 1065465055 /nfs/dbraw/zinc/46/50/55/1065465055.db2.gz BRVOCRZYOSGTRR-FQEVSTJZSA-N 0 3 310.441 4.154 20 0 DIADHN CCc1ccc2ccccc2c1CN(CC)Cc1cnn(C)c1 ZINC001307553122 1065478916 /nfs/dbraw/zinc/47/89/16/1065478916.db2.gz ZQAZJQWACCTDCO-UHFFFAOYSA-N 0 3 307.441 4.158 20 0 DIADHN CCC[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001307562822 1065483813 /nfs/dbraw/zinc/48/38/13/1065483813.db2.gz GTWCSLLPZQEZPE-KGLIPLIRSA-N 0 3 308.853 4.179 20 0 DIADHN Cn1cccc1[C@H]1CCCN1CCOc1ccc(Cl)c(F)c1 ZINC001307562543 1065484743 /nfs/dbraw/zinc/48/47/43/1065484743.db2.gz LEZJSPCANBNAPQ-QGZVFWFLSA-N 0 3 322.811 4.034 20 0 DIADHN COc1cc(C)nc(CNC2(c3cccc(C)c3)CCCC2)c1 ZINC001307576099 1065491131 /nfs/dbraw/zinc/49/11/31/1065491131.db2.gz NPEAUSMBGXHXAO-UHFFFAOYSA-N 0 3 310.441 4.266 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCOC(C)(C)C)cc1Cl ZINC001307581341 1065497052 /nfs/dbraw/zinc/49/70/52/1065497052.db2.gz QJYCNKSGVIHYLR-UHFFFAOYSA-N 0 3 313.869 4.374 20 0 DIADHN Cc1c(Br)cccc1CN(C)CCOC(C)(C)C ZINC001307581400 1065497160 /nfs/dbraw/zinc/49/71/60/1065497160.db2.gz RSTQMEMGAAXAKI-UHFFFAOYSA-N 0 3 314.267 4.004 20 0 DIADHN c1c(CN2CCCC[C@H]2CCCc2ccccc2)nn2c1CCC2 ZINC001307602157 1065509461 /nfs/dbraw/zinc/50/94/61/1065509461.db2.gz CGOGNKCIGNBTQI-FQEVSTJZSA-N 0 3 323.484 4.207 20 0 DIADHN CN(Cc1coc(-c2ccccc2F)n1)C[C@H]1CC=CCC1 ZINC001307602578 1065510315 /nfs/dbraw/zinc/51/03/15/1065510315.db2.gz JJHHELFDJHSGBQ-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN Clc1ccc(C[C@@H](NCc2cnon2)C2CCCCC2)cc1 ZINC001307616150 1065518722 /nfs/dbraw/zinc/51/87/22/1065518722.db2.gz ZHROBBYGFYOWSZ-QGZVFWFLSA-N 0 3 319.836 4.004 20 0 DIADHN CC(C)c1ccccc1CNC1(c2ccc3c(c2)OCO3)CC1 ZINC001307618913 1065520503 /nfs/dbraw/zinc/52/05/03/1065520503.db2.gz SIKKPIRAQPYGQF-UHFFFAOYSA-N 0 3 309.409 4.318 20 0 DIADHN CC[C@@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1ccc(Cl)cc1 ZINC001307630405 1065528436 /nfs/dbraw/zinc/52/84/36/1065528436.db2.gz QNPFXOJMPKCHBP-RHSMWYFYSA-N 0 3 324.896 4.274 20 0 DIADHN CC[C@@H](NC[C@@](O)(CC)C(F)(F)F)c1cccc(Cl)c1 ZINC001307630068 1065528682 /nfs/dbraw/zinc/52/86/82/1065528682.db2.gz JCEJESICSXHDRM-OLZOCXBDSA-N 0 3 309.759 4.084 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)OC(F)(F)O3)cc1 ZINC001307630855 1065528830 /nfs/dbraw/zinc/52/88/30/1065528830.db2.gz SCVMVMPIPAOECZ-GFCCVEGCSA-N 0 3 305.324 4.167 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)COC2)c1ccc(Cl)c(F)c1 ZINC001307636066 1065532286 /nfs/dbraw/zinc/53/22/86/1065532286.db2.gz BLIYEQZSBGQHMN-LLVKDONJSA-N 0 3 305.780 4.360 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCC(=O)Nc2c(C)cccc2C)c1 ZINC001307651253 1065541827 /nfs/dbraw/zinc/54/18/27/1065541827.db2.gz HGJFGXVREQIJDW-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN COc1cccc(NC(=O)CN(CC(C)(C)C)CC(C)(C)C)c1 ZINC001307650523 1065542273 /nfs/dbraw/zinc/54/22/73/1065542273.db2.gz ZAYPZSBJFLFHQQ-UHFFFAOYSA-N 0 3 320.477 4.028 20 0 DIADHN CN(CCCC(=O)OC(C)(C)C)Cc1ccc(Cl)c(F)c1 ZINC001307652593 1065545741 /nfs/dbraw/zinc/54/57/41/1065545741.db2.gz XXMHKIRYEZDLKC-UHFFFAOYSA-N 0 3 315.816 4.033 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCC2)CO1)c1nc2ccccc2s1 ZINC001307659588 1065549407 /nfs/dbraw/zinc/54/94/07/1065549407.db2.gz ZZLRJLSUJQKHMD-ZIAGYGMSSA-N 0 3 316.470 4.296 20 0 DIADHN C[C@H](CN1CCN(C(=O)OC(C)(C)C)[C@@H](C)C1)C1CCCCC1 ZINC001307695638 1065567889 /nfs/dbraw/zinc/56/78/89/1065567889.db2.gz NNSSFCYKDFEHGN-CVEARBPZSA-N 0 3 324.509 4.144 20 0 DIADHN Fc1ccc(CCN2CCC(c3ccsc3)CC2)cc1F ZINC001307698119 1065570187 /nfs/dbraw/zinc/57/01/87/1065570187.db2.gz NZMZGAPNGMIDTI-UHFFFAOYSA-N 0 3 307.409 4.448 20 0 DIADHN Cc1cc(Br)c2c(c1)CN(CC1CC(C)C1)CC2 ZINC001307702139 1065572389 /nfs/dbraw/zinc/57/23/89/1065572389.db2.gz HCCNHXRLXSOQEW-UHFFFAOYSA-N 0 3 308.263 4.162 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@@H](CC)[C@H]3C)co2)cc1 ZINC001307702338 1065572800 /nfs/dbraw/zinc/57/28/00/1065572800.db2.gz IINDJKMQLCNUPT-HUUCEWRRSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)c1ccc(CN2CC[C@@H](C)C[C@H]2C(N)=O)c(C(C)C)c1 ZINC001307705745 1065574685 /nfs/dbraw/zinc/57/46/85/1065574685.db2.gz NMZHMUVOALOUCA-BEFAXECRSA-N 0 3 316.489 4.019 20 0 DIADHN CCOC(=O)/C=C/CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC001307707631 1065577276 /nfs/dbraw/zinc/57/72/76/1065577276.db2.gz HHJZLEORHSBDRM-YSKGHYERSA-N 0 3 317.816 4.263 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(=Cc3ccc(F)c(F)c3)CC2)O1 ZINC001307707205 1065577520 /nfs/dbraw/zinc/57/75/20/1065577520.db2.gz FDKUWGMUVFEIDO-MRXNPFEDSA-N 0 3 321.411 4.402 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001307713105 1065580440 /nfs/dbraw/zinc/58/04/40/1065580440.db2.gz MSXWFZFFMBGOJM-CKEIUWERSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1cc(CN2CCC(c3cccc(Cl)c3)CC2)c(C)nn1 ZINC001307715537 1065580628 /nfs/dbraw/zinc/58/06/28/1065580628.db2.gz GCQTWOBUXCHHCE-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN Cc1c(Br)cccc1CN1CCSCC[C@@H]1C ZINC001307739979 1065595786 /nfs/dbraw/zinc/59/57/86/1065595786.db2.gz MBQYWHIAVYKLOB-NSHDSACASA-N 0 3 314.292 4.085 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)c(C)c1 ZINC001307738946 1065597266 /nfs/dbraw/zinc/59/72/66/1065597266.db2.gz RPLGTTWUGUPGIL-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN CCC(C)(C)N(C)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307737576 1065597388 /nfs/dbraw/zinc/59/73/88/1065597388.db2.gz CPIUYCOHGFMLSD-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN Cc1c(CN(C)Cc2c(C)ccc3cc(C)ccc32)cnn1C ZINC001307742187 1065597916 /nfs/dbraw/zinc/59/79/16/1065597916.db2.gz RKXPSNDXKOVFPV-UHFFFAOYSA-N 0 3 307.441 4.131 20 0 DIADHN CN(Cc1nc2cc(Cl)ccc2n1C)[C@@H]1CCC(C)(C)C1 ZINC001307741223 1065598795 /nfs/dbraw/zinc/59/87/95/1065598795.db2.gz FZMHMNGGWVUQOE-CYBMUJFWSA-N 0 3 305.853 4.237 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2scnc2Cl)C12CCCCC2 ZINC001307743189 1065599670 /nfs/dbraw/zinc/59/96/70/1065599670.db2.gz AXNCGFRPGQLYOS-CHWSQXEVSA-N 0 3 314.882 4.014 20 0 DIADHN CCC1(CC)[C@@H](NCc2nc(C)c(C(C)(C)C)s2)C[C@@H]1OC ZINC001307743800 1065601255 /nfs/dbraw/zinc/60/12/55/1065601255.db2.gz CUQJDGYLSFNYHD-KBPBESRZSA-N 0 3 324.534 4.432 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC2(CCC2)CC1 ZINC001307750872 1065605000 /nfs/dbraw/zinc/60/50/00/1065605000.db2.gz XZRWXOGIQBLLPP-SFHVURJKSA-N 0 3 314.473 4.003 20 0 DIADHN CCS[C@H]1CCC[C@@H]1NCc1ccc(Cl)nc1Cl ZINC001307753790 1065606547 /nfs/dbraw/zinc/60/65/47/1065606547.db2.gz JNKZZEUCUVRSLO-QWRGUYRKSA-N 0 3 305.274 4.152 20 0 DIADHN CCN1CCN(Cc2ccc(Cl)c3ccccc23)[C@H](C)C1 ZINC001307763262 1065612230 /nfs/dbraw/zinc/61/22/30/1065612230.db2.gz LMZVLZRGNVXMRX-CQSZACIVSA-N 0 3 302.849 4.019 20 0 DIADHN CC[C@@H](C)N1CCN([C@@H](C)c2ccc(Cl)c(Cl)c2)CC1 ZINC001307771540 1065613867 /nfs/dbraw/zinc/61/38/67/1065613867.db2.gz FHCBTOHQGSDQCO-OLZOCXBDSA-N 0 3 315.288 4.471 20 0 DIADHN CCOc1ccc(NC(=O)[C@H](C)N2CCCCCCCC2)cc1 ZINC001307775190 1065615183 /nfs/dbraw/zinc/61/51/83/1065615183.db2.gz ZGXVVZLYIBLBKL-INIZCTEOSA-N 0 3 318.461 4.069 20 0 DIADHN CCOc1ccc(NC(=O)[C@@H](C)N2CCCCCCCC2)cc1 ZINC001307775191 1065616191 /nfs/dbraw/zinc/61/61/91/1065616191.db2.gz ZGXVVZLYIBLBKL-MRXNPFEDSA-N 0 3 318.461 4.069 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1C[C@H](OC(C)C)C1(C)C ZINC001307777625 1065617370 /nfs/dbraw/zinc/61/73/70/1065617370.db2.gz HSRQVFPFQUYCHY-CVEARBPZSA-N 0 3 311.853 4.030 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H]1C[C@@H](OC(C)C)C1(C)C ZINC001307777627 1065617560 /nfs/dbraw/zinc/61/75/60/1065617560.db2.gz HSRQVFPFQUYCHY-HZPDHXFCSA-N 0 3 311.853 4.030 20 0 DIADHN CC(C)C[C@@]1(C)CCCN1Cc1ccnc(Br)c1 ZINC001307787253 1065620119 /nfs/dbraw/zinc/62/01/19/1065620119.db2.gz SZLCYNBIPKOVNM-OAHLLOKOSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1conc1CN[C@@](C)(Cc1ccc(Cl)cc1)C(C)C ZINC001307793481 1065623181 /nfs/dbraw/zinc/62/31/81/1065623181.db2.gz ILCCDKCMRTUQDE-KRWDZBQOSA-N 0 3 306.837 4.383 20 0 DIADHN CCc1ccc([C@H]2CCN([C@H](C)C(=O)Nc3ccccc3)C2)cc1 ZINC001307800604 1065628135 /nfs/dbraw/zinc/62/81/35/1065628135.db2.gz SYVZAXPDNSETAP-APWZRJJASA-N 0 3 322.452 4.066 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cc(Cl)ccc1Cl)C1CC1 ZINC001307844938 1065648529 /nfs/dbraw/zinc/64/85/29/1065648529.db2.gz MMWNLYKCHSHXPC-MEBBXXQBSA-N 0 3 302.245 4.287 20 0 DIADHN Cc1ccc2ncc(CN(C)C3CC(OC(C)(C)C)C3)cc2c1 ZINC001307850452 1065651381 /nfs/dbraw/zinc/65/13/81/1065651381.db2.gz QLGMNGOKCQJMAP-UHFFFAOYSA-N 0 3 312.457 4.321 20 0 DIADHN COC(=O)c1sccc1CN(C)[C@H]1CCC[C@H]1C(C)(C)C ZINC001307853296 1065654445 /nfs/dbraw/zinc/65/44/45/1065654445.db2.gz LSPHATKVMBUECI-KGLIPLIRSA-N 0 3 309.475 4.181 20 0 DIADHN Fc1cccc(CN2CC[C@H](C3CCCC3)C2)c1OC(F)F ZINC001307858183 1065656354 /nfs/dbraw/zinc/65/63/54/1065656354.db2.gz HRGASLLIMRJXLD-ZDUSSCGKSA-N 0 3 313.363 4.439 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@@H](c2cccnc2)C1 ZINC001307876927 1065665556 /nfs/dbraw/zinc/66/55/56/1065665556.db2.gz GVXSESSHWSEJGA-XJKSGUPXSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@@H](c1ccccc1)N(C)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001307884275 1065667846 /nfs/dbraw/zinc/66/78/46/1065667846.db2.gz LHRPBLBUTMSWPT-LBPRGKRZSA-N 0 3 305.324 4.201 20 0 DIADHN C[C@H]1CN(Cc2ccncc2Cl)CCc2ccc(F)cc21 ZINC001307889030 1065669824 /nfs/dbraw/zinc/66/98/24/1065669824.db2.gz DBSJLVAVFBEGSA-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1cc(C)cc(NC(=O)CNC2(c3ccccc3C)CCC2)c1 ZINC001307898587 1065671374 /nfs/dbraw/zinc/67/13/74/1065671374.db2.gz ZDQLQTKXBSZTBD-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN O=c1cc(C[N@@H+]2CCCC[C@H]2C2CCC2)nc(-c2ccccc2)[n-]1 ZINC001307902875 1065673628 /nfs/dbraw/zinc/67/36/28/1065673628.db2.gz DBCPORLMRHJWFG-SFHVURJKSA-N 0 3 323.440 4.004 20 0 DIADHN O=c1cc(C[N@H+]2CCCC[C@H]2C2CCC2)nc(-c2ccccc2)[n-]1 ZINC001307902875 1065673633 /nfs/dbraw/zinc/67/36/33/1065673633.db2.gz DBCPORLMRHJWFG-SFHVURJKSA-N 0 3 323.440 4.004 20 0 DIADHN O=c1cc(C[N@@H+]2CCCC[C@@H]2C2CCC2)nc(-c2ccccc2)[n-]1 ZINC001307902874 1065674170 /nfs/dbraw/zinc/67/41/70/1065674170.db2.gz DBCPORLMRHJWFG-GOSISDBHSA-N 0 3 323.440 4.004 20 0 DIADHN O=c1cc(C[N@H+]2CCCC[C@@H]2C2CCC2)nc(-c2ccccc2)[n-]1 ZINC001307902874 1065674173 /nfs/dbraw/zinc/67/41/73/1065674173.db2.gz DBCPORLMRHJWFG-GOSISDBHSA-N 0 3 323.440 4.004 20 0 DIADHN O[C@H](CN1CCC12CCCC2)c1ccc(Cl)cc1Cl ZINC001307903898 1065675536 /nfs/dbraw/zinc/67/55/36/1065675536.db2.gz IZKXWJOAKOTZTF-CQSZACIVSA-N 0 3 300.229 4.045 20 0 DIADHN CCc1onc(C)c1CN1CCCC[C@H]1Cc1ccccc1F ZINC001307904746 1065675639 /nfs/dbraw/zinc/67/56/39/1065675639.db2.gz FCBYNRVRAYYUEJ-INIZCTEOSA-N 0 3 316.420 4.282 20 0 DIADHN Cc1cc(CN2CC[C@H](C(=O)OC(C)(C)C)[C@H](C)C2)c(C)s1 ZINC001307939051 1065690235 /nfs/dbraw/zinc/69/02/35/1065690235.db2.gz NWEBEZVGUURKKT-WBMJQRKESA-N 0 3 323.502 4.165 20 0 DIADHN CC1(C)CCC[C@H](CN(Cc2ccccc2F)CC2CC2)O1 ZINC001307941143 1065691104 /nfs/dbraw/zinc/69/11/04/1065691104.db2.gz RTAIOKLYNFPHBY-QGZVFWFLSA-N 0 3 305.437 4.385 20 0 DIADHN OC[C@@H](NCC1CC2(CCC2)C1)c1cccc(Cl)c1Cl ZINC001307943488 1065692344 /nfs/dbraw/zinc/69/23/44/1065692344.db2.gz HMPWHQIPYZLCSQ-CQSZACIVSA-N 0 3 314.256 4.197 20 0 DIADHN CC1(C)CCC(C)(C)N(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC001307945982 1065693209 /nfs/dbraw/zinc/69/32/09/1065693209.db2.gz SVMYMVZPFOFMGW-HNNXBMFYSA-N 0 3 313.844 4.413 20 0 DIADHN OC[C@H](NCC1CC2(CCC2)C1)c1cccc(Cl)c1Cl ZINC001307943487 1065693298 /nfs/dbraw/zinc/69/32/98/1065693298.db2.gz HMPWHQIPYZLCSQ-AWEZNQCLSA-N 0 3 314.256 4.197 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2ccccc2C)cc1Cl ZINC001307971296 1065705043 /nfs/dbraw/zinc/70/50/43/1065705043.db2.gz QLUAJHNZHIYWLN-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN Cc1ccccc1[C@@H](C)NCC(=O)NCCCC1CCCCC1 ZINC001307970781 1065705650 /nfs/dbraw/zinc/70/56/50/1065705650.db2.gz KEYZEHPXEWAHTI-QGZVFWFLSA-N 0 3 316.489 4.122 20 0 DIADHN C[C@H](NCCCOc1cccc2ccccc21)c1ccns1 ZINC001307979765 1065708851 /nfs/dbraw/zinc/70/88/51/1065708851.db2.gz MVUQILOFRDXDQJ-AWEZNQCLSA-N 0 3 312.438 4.416 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](c2cccc(C)c2)C(C)C)cc1 ZINC001307989992 1065715068 /nfs/dbraw/zinc/71/50/68/1065715068.db2.gz PQBZOIWLLUTNFG-NRFANRHFSA-N 0 3 324.468 4.483 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)CC2 ZINC001307997359 1065716068 /nfs/dbraw/zinc/71/60/68/1065716068.db2.gz QZFYRVNRRDTZJV-YUELXQCFSA-N 0 3 309.375 4.500 20 0 DIADHN CC(=O)Nc1cccc2c1CN(CCCCc1ccccc1)CC2 ZINC001307995952 1065716599 /nfs/dbraw/zinc/71/65/99/1065716599.db2.gz NSSBBESKZDCIJB-UHFFFAOYSA-N 0 3 322.452 4.026 20 0 DIADHN COc1ccccc1[C@H]1CN(C[C@H]2CCCCC2(C)C)CCO1 ZINC001308007686 1065720995 /nfs/dbraw/zinc/72/09/95/1065720995.db2.gz WNULAYHAUAQWPR-VQIMIIECSA-N 0 3 317.473 4.285 20 0 DIADHN Fc1cccc(O[C@H]2CCN([C@@H]3CCc4c3cccc4F)C2)c1 ZINC001308009668 1065724076 /nfs/dbraw/zinc/72/40/76/1065724076.db2.gz CCFZRWQSTYSZRG-HNAYVOBHSA-N 0 3 315.363 4.105 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCO[C@@H]2CCCC[C@@H]2C)c1 ZINC001308020716 1065726283 /nfs/dbraw/zinc/72/62/83/1065726283.db2.gz XIUWYOMVWBSWSO-YTQUADARSA-N 0 3 302.462 4.122 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCO[C@@H]2CCCC[C@H]2C)c1 ZINC001308020714 1065726899 /nfs/dbraw/zinc/72/68/99/1065726899.db2.gz XIUWYOMVWBSWSO-NZSAHSFTSA-N 0 3 302.462 4.122 20 0 DIADHN Cc1cccc([C@H](O)CN2CCc3ccc(Cl)c(C)c3C2)c1 ZINC001308022259 1065728253 /nfs/dbraw/zinc/72/82/53/1065728253.db2.gz HLOIBFCULQLDCQ-LJQANCHMSA-N 0 3 315.844 4.049 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC001308071349 1065750623 /nfs/dbraw/zinc/75/06/23/1065750623.db2.gz RPIPFUHBWNKQML-GXFFZTMASA-N 0 3 305.290 4.253 20 0 DIADHN CC[C@H](NCc1cncc(OC)c1)c1cccc2ccccc21 ZINC001308085392 1065754320 /nfs/dbraw/zinc/75/43/20/1065754320.db2.gz ONMSMQWATYVMPM-FQEVSTJZSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@H](CN1CCc2nc[nH]c2[C@H]1c1ccccc1)CC(C)(C)C ZINC001308095140 1065761025 /nfs/dbraw/zinc/76/10/25/1065761025.db2.gz WWBMPLLRQNUOCG-HNAYVOBHSA-N 0 3 311.473 4.430 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@@H](C2CCOCC2)C1 ZINC001308103893 1065765984 /nfs/dbraw/zinc/76/59/84/1065765984.db2.gz USHJVEWOFPBVIU-UKRRQHHQSA-N 0 3 309.400 4.164 20 0 DIADHN CC[C@]1(C(=O)OC(C)(C)C)CCCN(Cc2ccccc2F)C1 ZINC001308119866 1065771074 /nfs/dbraw/zinc/77/10/74/1065771074.db2.gz BDQVGTIEVZROFE-IBGZPJMESA-N 0 3 321.436 4.160 20 0 DIADHN Cc1ccc(CN2CCC[C@]2(CO)c2ccccc2)c(Cl)c1 ZINC001308162984 1065786588 /nfs/dbraw/zinc/78/65/88/1065786588.db2.gz KAIFQVXFCNRIMJ-IBGZPJMESA-N 0 3 315.844 4.132 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(CCO[C@@H]1CCCC[C@H]1C)C2 ZINC001308173886 1065790304 /nfs/dbraw/zinc/79/03/04/1065790304.db2.gz PMRUUPKHZIARJU-OXQOHEQNSA-N 0 3 301.474 4.257 20 0 DIADHN Cc1cccc(CNCCSCc2ccccc2F)c1F ZINC001308176125 1065790355 /nfs/dbraw/zinc/79/03/55/1065790355.db2.gz GHBXVGAPYRTILN-UHFFFAOYSA-N 0 3 307.409 4.296 20 0 DIADHN CSc1ccc(CNCCCO[C@@H](C)c2ccccc2)o1 ZINC001308186761 1065797355 /nfs/dbraw/zinc/79/73/55/1065797355.db2.gz RXBIOYSZVALUQX-AWEZNQCLSA-N 0 3 305.443 4.259 20 0 DIADHN CC[C@H](NCc1cnc(N(C)C)s1)c1ccc(Cl)cc1 ZINC001308191845 1065800921 /nfs/dbraw/zinc/80/09/21/1065800921.db2.gz NBJZABSSTDPLSD-AWEZNQCLSA-N 0 3 309.866 4.103 20 0 DIADHN COc1ccc([C@H](NCc2cnc(Cl)c(C)c2)C2CC2)cc1 ZINC001308190019 1065801870 /nfs/dbraw/zinc/80/18/70/1065801870.db2.gz QZLRQEMUARJAPL-QGZVFWFLSA-N 0 3 316.832 4.293 20 0 DIADHN CCN(CCNCc1cc(C)ccc1F)c1ccccc1C ZINC001308204739 1065812858 /nfs/dbraw/zinc/81/28/58/1065812858.db2.gz FJBVETXEIQJXJZ-UHFFFAOYSA-N 0 3 300.421 4.059 20 0 DIADHN Cc1cc(CNCc2ccc(N3CCCCC3)nc2)c(C)s1 ZINC001308203500 1065813048 /nfs/dbraw/zinc/81/30/48/1065813048.db2.gz FHQKOZHWVRELSI-UHFFFAOYSA-N 0 3 315.486 4.040 20 0 DIADHN CC[C@H](CNCc1cc(F)ccc1OC)Oc1cccc(C)c1 ZINC001308206784 1065815314 /nfs/dbraw/zinc/81/53/14/1065815314.db2.gz WBRSEIAQWOVVLO-QGZVFWFLSA-N 0 3 317.404 4.090 20 0 DIADHN Cc1cc(CNCc2cccc(OC(C)C)c2)c2c(n1)CCCC2 ZINC001308209875 1065817766 /nfs/dbraw/zinc/81/77/66/1065817766.db2.gz UWYZPMTYUNQMSS-UHFFFAOYSA-N 0 3 324.468 4.346 20 0 DIADHN C[C@@H](COc1ccccc1F)NCc1cnc(C(C)(C)C)s1 ZINC001308222231 1065827893 /nfs/dbraw/zinc/82/78/93/1065827893.db2.gz VEFKPVOAAWJWFP-LBPRGKRZSA-N 0 3 322.449 4.137 20 0 DIADHN Cc1ccc(CCNCc2ncc(C(C)(C)C)s2)c(C)c1 ZINC001308230151 1065835462 /nfs/dbraw/zinc/83/54/62/1065835462.db2.gz TVDXIZJQWZUGPQ-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN CC(C)(C)[C@H](NCc1cccc(F)c1N)c1ccc(F)cc1 ZINC001308235166 1065841603 /nfs/dbraw/zinc/84/16/03/1065841603.db2.gz ISEPHFHXQRVXCS-QGZVFWFLSA-N 0 3 304.384 4.424 20 0 DIADHN Cc1ccc(-c2nc(CCNCc3cccc(C)c3F)co2)cc1 ZINC001308239226 1065845224 /nfs/dbraw/zinc/84/52/24/1065845224.db2.gz ZZLXESHNZLLDNJ-UHFFFAOYSA-N 0 3 324.399 4.430 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc2c(c1)OC(F)(F)O2 ZINC001308244153 1065849059 /nfs/dbraw/zinc/84/90/59/1065849059.db2.gz ZNIZZCFNCFPNFN-HNNXBMFYSA-N 0 3 317.335 4.094 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(F)c2cccnc12 ZINC001308249101 1065853853 /nfs/dbraw/zinc/85/38/53/1065853853.db2.gz YGAFBEIMBGJZCS-UHFFFAOYSA-N 0 3 323.415 4.120 20 0 DIADHN CCc1cccc2cc(CN[C@@H](C)CC(=O)OC(C)(C)C)oc21 ZINC001308251265 1065854430 /nfs/dbraw/zinc/85/44/30/1065854430.db2.gz IDUDAHSBANYYST-ZDUSSCGKSA-N 0 3 317.429 4.205 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN[C@@H](C)c1ccccc1 ZINC001308252931 1065856021 /nfs/dbraw/zinc/85/60/21/1065856021.db2.gz UTOLXDKVYJBCFB-AWEZNQCLSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc2c(c1)OC(F)(F)O2 ZINC001308257892 1065861439 /nfs/dbraw/zinc/86/14/39/1065861439.db2.gz WMQMPNNBAIRMHX-HNNXBMFYSA-N 0 3 317.335 4.094 20 0 DIADHN CC(C)(C)c1ccccc1OCCNCc1ccc(Cl)cn1 ZINC001308259181 1065862083 /nfs/dbraw/zinc/86/20/83/1065862083.db2.gz ZPMGTGSXOGEWSM-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2c(Cl)cncc2Cl)C1 ZINC001308269216 1065867806 /nfs/dbraw/zinc/86/78/06/1065867806.db2.gz QLTAOOCJMBIYCU-VHSXEESVSA-N 0 3 305.274 4.152 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2CCCO[C@@H]2C(C)(C)C)n1 ZINC001308268665 1065867951 /nfs/dbraw/zinc/86/79/51/1065867951.db2.gz KHHKHAHVZYSSGO-CJNGLKHVSA-N 0 3 324.534 4.372 20 0 DIADHN c1oc(-c2ccccc2)nc1CN[C@@H]1CCCc2ccccc2C1 ZINC001308274048 1065872271 /nfs/dbraw/zinc/87/22/71/1065872271.db2.gz DQGBNQHMMDUNSN-LJQANCHMSA-N 0 3 318.420 4.379 20 0 DIADHN Cc1cc(CNCCOc2ccccc2C(C)C)cnc1Cl ZINC001308274699 1065875288 /nfs/dbraw/zinc/87/52/88/1065875288.db2.gz MOFNIXKZIAFCFL-UHFFFAOYSA-N 0 3 318.848 4.335 20 0 DIADHN C[C@H](CNCc1nc2cc(F)ccc2o1)c1ccc(F)cc1F ZINC001308285525 1065883104 /nfs/dbraw/zinc/88/31/04/1065883104.db2.gz IHNINMHRPFJZPW-SNVBAGLBSA-N 0 3 320.314 4.138 20 0 DIADHN C[C@@H](CNCc1nc2cc(F)ccc2o1)c1ccc(F)cc1F ZINC001308285524 1065883330 /nfs/dbraw/zinc/88/33/30/1065883330.db2.gz IHNINMHRPFJZPW-JTQLQIEISA-N 0 3 320.314 4.138 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(SC(F)F)cc2)s1 ZINC001308288632 1065886053 /nfs/dbraw/zinc/88/60/53/1065886053.db2.gz DDFIRUGSSYSBBG-UHFFFAOYSA-N 0 3 314.426 4.364 20 0 DIADHN COc1cc(CNCc2ccc(SC)cc2)c2ccccc2n1 ZINC001308289321 1065886422 /nfs/dbraw/zinc/88/64/22/1065886422.db2.gz HOKDPCQIEVYEDF-UHFFFAOYSA-N 0 3 324.449 4.255 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C/c2ccc(F)cc2F)cc1F ZINC001308298611 1065895113 /nfs/dbraw/zinc/89/51/13/1065895113.db2.gz UXDQCZDMMFDESG-AAOUONPWSA-N 0 3 321.342 4.477 20 0 DIADHN C[C@@]1(CNCc2cccc(Cl)c2Cl)CCCC[C@H]1O ZINC001308302219 1065897027 /nfs/dbraw/zinc/89/70/27/1065897027.db2.gz WKAHIQLJIPXNBK-HIFRSBDPSA-N 0 3 302.245 4.024 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nc2c(s1)C[C@H](C)CC2 ZINC001308303463 1065899628 /nfs/dbraw/zinc/89/96/28/1065899628.db2.gz OJTPUPDDFFXNIV-VNHYZAJKSA-N 0 3 324.559 4.422 20 0 DIADHN COc1ccc(CNCc2ccc(OCC(C)C)cc2)c(C)c1 ZINC001308306189 1065901234 /nfs/dbraw/zinc/90/12/34/1065901234.db2.gz VCEOFSPVQDNFKD-UHFFFAOYSA-N 0 3 313.441 4.328 20 0 DIADHN CO[C@@H](CNCc1ccc(F)c(F)c1)c1ccccc1Cl ZINC001308306212 1065901287 /nfs/dbraw/zinc/90/12/87/1065901287.db2.gz LEVKUYHLXNSDPO-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1csc(-c2ccco2)n1 ZINC001308306801 1065901576 /nfs/dbraw/zinc/90/15/76/1065901576.db2.gz RPSGZEGHEZAFDK-HIFRSBDPSA-N 0 3 302.443 4.485 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@]1(C)CCCS1 ZINC001308329382 1065917740 /nfs/dbraw/zinc/91/77/40/1065917740.db2.gz XAOHFFJTWISJCF-HNNXBMFYSA-N 0 3 308.472 4.087 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)NCc2cccc(C)c2F)cc1 ZINC001308348436 1065931111 /nfs/dbraw/zinc/93/11/11/1065931111.db2.gz CXOIVLGDEUJIRD-IFXJQAMLSA-N 0 3 317.404 4.009 20 0 DIADHN CC[C@H](NCc1cccc(C2CCCC2)c1)[C@H](O)C(F)(F)F ZINC001308357143 1065937202 /nfs/dbraw/zinc/93/72/02/1065937202.db2.gz PLPQWIZOLVQFGG-HOTGVXAUSA-N 0 3 315.379 4.136 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cccc2nsnc21 ZINC001308362576 1065941199 /nfs/dbraw/zinc/94/11/99/1065941199.db2.gz NDHVNLONEQHPGP-UHFFFAOYSA-N 0 3 309.394 4.036 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cccc2c1OCCO2 ZINC001308364077 1065942297 /nfs/dbraw/zinc/94/22/97/1065942297.db2.gz QOQPLCNRTZFPPR-YWZLYKJASA-N 0 3 323.436 4.261 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cccc2c1OCCO2 ZINC001308364074 1065942379 /nfs/dbraw/zinc/94/23/79/1065942379.db2.gz QOQPLCNRTZFPPR-FOIQADDNSA-N 0 3 323.436 4.261 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1ccc3ncccc3c1)CCO2 ZINC001308366740 1065943043 /nfs/dbraw/zinc/94/30/43/1065943043.db2.gz RFYUVWZGPGEEIT-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN COc1ccccc1C1(CNCc2nc3ccccc3o2)CCC1 ZINC001308365839 1065943278 /nfs/dbraw/zinc/94/32/78/1065943278.db2.gz HHGUGZKHJNXWQH-UHFFFAOYSA-N 0 3 322.408 4.048 20 0 DIADHN Cc1c(Cl)ccc(CN[C@H]2Cc3cccc(O)c3C2)c1Cl ZINC001308370793 1065946652 /nfs/dbraw/zinc/94/66/52/1065946652.db2.gz CZKCPIKLGKYTNB-ZDUSSCGKSA-N 0 3 322.235 4.264 20 0 DIADHN CCc1cc(OC)ccc1CNCc1cccc(C(F)F)c1 ZINC001308372975 1065947883 /nfs/dbraw/zinc/94/78/83/1065947883.db2.gz XRWPILRHEKOGLB-UHFFFAOYSA-N 0 3 305.368 4.485 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@H](C)c1cccs1 ZINC001308376850 1065951121 /nfs/dbraw/zinc/95/11/21/1065951121.db2.gz UKOOZPJFTIHYNG-CYBMUJFWSA-N 0 3 315.417 4.232 20 0 DIADHN CCOc1cc(F)cc(CNC[C@@H](OCC)c2ccccc2)c1 ZINC001308388154 1065956360 /nfs/dbraw/zinc/95/63/60/1065956360.db2.gz JWERWCQLDCVADV-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN COc1cnc(F)c(CNCC[C@@H]2C[C@H](C)CC(C)(C)C2)c1 ZINC001308390546 1065957771 /nfs/dbraw/zinc/95/77/71/1065957771.db2.gz IZWVQZNIHHSVJO-UONOGXRCSA-N 0 3 308.441 4.171 20 0 DIADHN CCC1(CC)CCC(NCc2cn(-c3ccccc3)nn2)CC1 ZINC001308390788 1065958216 /nfs/dbraw/zinc/95/82/16/1065958216.db2.gz JXZJHOHUZPCHRP-UHFFFAOYSA-N 0 3 312.461 4.106 20 0 DIADHN COc1cccc(C2(NCc3ccc4scnc4c3)CC2)c1 ZINC001308401162 1065964125 /nfs/dbraw/zinc/96/41/25/1065964125.db2.gz MMBLOTKIGGNZJY-UHFFFAOYSA-N 0 3 310.422 4.084 20 0 DIADHN CCCCC/C(=C\c1ccccc1)CNCc1cc(C)nn1C ZINC001308404666 1065967440 /nfs/dbraw/zinc/96/74/40/1065967440.db2.gz DDHBCSXLSQTYBL-XMHGGMMESA-N 0 3 311.473 4.482 20 0 DIADHN C=Cc1ccc(CCNCc2csc(C(C)(C)C)n2)cc1 ZINC001308413213 1065971397 /nfs/dbraw/zinc/97/13/97/1065971397.db2.gz CBWSJAHVVMXYKP-UHFFFAOYSA-N 0 3 300.471 4.416 20 0 DIADHN C=Cc1ccc(CCNCc2nc3c(s2)C[C@@H](C)CC3)cc1 ZINC001308414112 1065971704 /nfs/dbraw/zinc/97/17/04/1065971704.db2.gz WLOYFNMPQKNUJM-AWEZNQCLSA-N 0 3 312.482 4.243 20 0 DIADHN CCOc1ccc(Cl)cc1CNCC1(OCC)CCCC1 ZINC001308415341 1065973141 /nfs/dbraw/zinc/97/31/41/1065973141.db2.gz GEXYHAZPFGZYKV-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN O=c1[nH]c2cccc(CNCc3cc4ccccc4s3)c2o1 ZINC001308416418 1065973350 /nfs/dbraw/zinc/97/33/50/1065973350.db2.gz MLOWMXAVQYATAR-UHFFFAOYSA-N 0 3 310.378 4.038 20 0 DIADHN Brc1ccc(/C=C/CNCc2ccsc2)cc1 ZINC001308415877 1065973811 /nfs/dbraw/zinc/97/38/11/1065973811.db2.gz DCBDSZGLMRRZFQ-OWOJBTEDSA-N 0 3 308.244 4.314 20 0 DIADHN Cc1noc2ncc(CNCc3cc4ccccc4s3)cc12 ZINC001308416348 1065974032 /nfs/dbraw/zinc/97/40/32/1065974032.db2.gz KMWRTHKSBXKHOJ-UHFFFAOYSA-N 0 3 309.394 4.036 20 0 DIADHN COc1cccc(C2(CNCc3c(F)cccc3Cl)CC2)c1 ZINC001308421472 1065976450 /nfs/dbraw/zinc/97/64/50/1065976450.db2.gz TXSGRHXNRHKVKS-UHFFFAOYSA-N 0 3 319.807 4.309 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)[C@@H](O)c1ccccc1 ZINC001308425141 1065979425 /nfs/dbraw/zinc/97/94/25/1065979425.db2.gz YNGOLJIOKZXRDK-SCLBCKFNSA-N 0 3 301.430 4.097 20 0 DIADHN CCOc1c(Cl)cccc1CNCCOCC1CCCC1 ZINC001308426003 1065980051 /nfs/dbraw/zinc/98/00/51/1065980051.db2.gz DDUSWVMNVQEVTD-UHFFFAOYSA-N 0 3 311.853 4.035 20 0 DIADHN CC(C)(CNCc1cccc(Cl)c1Cl)C1(O)CCCC1 ZINC001308435820 1065986260 /nfs/dbraw/zinc/98/62/60/1065986260.db2.gz DXLBHCJCLRCEKM-UHFFFAOYSA-N 0 3 316.272 4.414 20 0 DIADHN CC1(C)CC2(CO1)CCC(N[C@@H]1C[C@@]1(F)c1ccccc1)CC2 ZINC001308444783 1065991934 /nfs/dbraw/zinc/99/19/34/1065991934.db2.gz MNEWKEWNYZGQEA-LNXHZJMOSA-N 0 3 317.448 4.341 20 0 DIADHN CC(C)n1c(CN[C@H]2C[C@@H](OC(C)(C)C)C2)nc2ccccc21 ZINC001308443837 1065992238 /nfs/dbraw/zinc/99/22/38/1065992238.db2.gz FKLXONIEHDGUCC-GASCZTMLSA-N 0 3 315.461 4.053 20 0 DIADHN CC1(C)CC2(CO1)CCC(N[C@@H]1C[C@]1(F)c1ccccc1)CC2 ZINC001308444785 1065992374 /nfs/dbraw/zinc/99/23/74/1065992374.db2.gz MNEWKEWNYZGQEA-YTQPIUFNSA-N 0 3 317.448 4.341 20 0 DIADHN CC[C@@H](OCCNCc1cccc(C)c1F)c1ccccc1 ZINC001308455379 1065998087 /nfs/dbraw/zinc/99/80/87/1065998087.db2.gz IMBYKKXBCVGMGE-GOSISDBHSA-N 0 3 301.405 4.392 20 0 DIADHN Cc1c(Cl)ccc(CN[C@H](C)COCC2CC2)c1Cl ZINC001308455846 1065999974 /nfs/dbraw/zinc/99/99/74/1065999974.db2.gz PXJRVYTWVTZIKD-SNVBAGLBSA-N 0 3 302.245 4.207 20 0 DIADHN COc1nsc(CN[C@H]2CCCC23CCCCC3)c1Cl ZINC001308463222 1066004277 /nfs/dbraw/zinc/00/42/77/1066004277.db2.gz AMXSZGJYQLAAND-LBPRGKRZSA-N 0 3 314.882 4.398 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN[C@@H]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001308462902 1066005195 /nfs/dbraw/zinc/00/51/95/1066005195.db2.gz XDXFOLFXOSQHEX-UTUOFQBUSA-N 0 3 324.396 4.235 20 0 DIADHN CCC(NCc1nccc(C)c1Br)(C1CC1)C1CC1 ZINC001308467271 1066007770 /nfs/dbraw/zinc/00/77/70/1066007770.db2.gz YAFAZMMIEJLESG-UHFFFAOYSA-N 0 3 323.278 4.211 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CNCC2=CCCC2)s1 ZINC001308470827 1066011296 /nfs/dbraw/zinc/01/12/96/1066011296.db2.gz JPBXUUBRDNQIOV-UHFFFAOYSA-N 0 3 306.381 4.288 20 0 DIADHN CC1(C)CCC[C@H]1CCNCc1cccnc1Br ZINC001308473482 1066012682 /nfs/dbraw/zinc/01/26/82/1066012682.db2.gz HKDIPRZJSCVLCQ-ZDUSSCGKSA-N 0 3 311.267 4.150 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H](OC)C1CCCC1 ZINC001308474414 1066014581 /nfs/dbraw/zinc/01/45/81/1066014581.db2.gz AEQZQWMIJDHVAW-LJQANCHMSA-N 0 3 305.462 4.160 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cnc(Cl)c(F)c1 ZINC001308476788 1066015337 /nfs/dbraw/zinc/01/53/37/1066015337.db2.gz UBLIORZDAJSCAA-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN CCC[C@H](CNCc1c(Cl)ccc2cccnc21)OCC ZINC001308475878 1066015815 /nfs/dbraw/zinc/01/58/15/1066015815.db2.gz OXFPGWRKYRXACV-CQSZACIVSA-N 0 3 306.837 4.183 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CC12CCCCC2 ZINC001308478665 1066018462 /nfs/dbraw/zinc/01/84/62/1066018462.db2.gz VNFBQCIMCFZTQP-SFHVURJKSA-N 0 3 302.437 4.238 20 0 DIADHN Nc1c(Cl)cccc1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC001308482634 1066020226 /nfs/dbraw/zinc/02/02/26/1066020226.db2.gz RMUUEIQBGXWURF-OAHLLOKOSA-N 0 3 307.224 4.353 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1C(C)(C)C ZINC001308499919 1066030612 /nfs/dbraw/zinc/03/06/12/1066030612.db2.gz VRHFMEIUHHIOTQ-DGCLKSJQSA-N 0 3 301.352 4.033 20 0 DIADHN CCSc1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC001308500777 1066030622 /nfs/dbraw/zinc/03/06/22/1066030622.db2.gz FWRNUNVLXGWVRJ-UHFFFAOYSA-N 0 3 311.450 4.364 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2noc3c2CC(C)(C)CC3)C1 ZINC001308509078 1066035812 /nfs/dbraw/zinc/03/58/12/1066035812.db2.gz ZFOZQPAKWBXGLQ-HUUCEWRRSA-N 0 3 304.478 4.494 20 0 DIADHN Cc1cccc2cc(CNC[C@@H]3CC(C)(C)CO3)c(Cl)nc12 ZINC001308511290 1066038118 /nfs/dbraw/zinc/03/81/18/1066038118.db2.gz QGENOIQJIWLWQX-HNNXBMFYSA-N 0 3 318.848 4.101 20 0 DIADHN C[C@H](CNCc1ncc(Br)cc1Cl)C(C)(C)C ZINC001308522964 1066045398 /nfs/dbraw/zinc/04/53/98/1066045398.db2.gz FRRPFQBLSCCYRL-SECBINFHSA-N 0 3 319.674 4.269 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC[C@]12C[C@H]1CCC2 ZINC001308531016 1066050085 /nfs/dbraw/zinc/05/00/85/1066050085.db2.gz XHLMJVUOARJCGT-BMIGLBTASA-N 0 3 301.764 4.221 20 0 DIADHN C[C@@H](NCc1cn(C)nc1-c1ccccc1)c1ccc(F)cc1 ZINC001308540753 1066057075 /nfs/dbraw/zinc/05/70/75/1066057075.db2.gz QBBCGLWRXDTTCT-CQSZACIVSA-N 0 3 309.388 4.077 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3CCS[C@H](C)C3)cs2)cc1 ZINC001308540295 1066057759 /nfs/dbraw/zinc/05/77/59/1066057759.db2.gz RRZQNXSFYXQVHC-UKRRQHHQSA-N 0 3 318.511 4.492 20 0 DIADHN Fc1cccc(OCCCNCc2cc(Cl)ccc2F)c1 ZINC001308544172 1066059696 /nfs/dbraw/zinc/05/96/96/1066059696.db2.gz SDJSRZMJPGRXDH-UHFFFAOYSA-N 0 3 311.759 4.177 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2COC[C@H]2C2CC2)o1 ZINC001308546287 1066060077 /nfs/dbraw/zinc/06/00/77/1066060077.db2.gz ADPZXCQKRMXHMK-DOTOQJQBSA-N 0 3 317.816 4.115 20 0 DIADHN C[C@@H](NCc1cccc(F)c1N)c1csc2ccccc21 ZINC001308545826 1066060378 /nfs/dbraw/zinc/06/03/78/1066060378.db2.gz JQMRARPTGFAOIA-LLVKDONJSA-N 0 3 300.402 4.473 20 0 DIADHN COc1nsc(CNC[C@@H]2CCC=CCCC2)c1Cl ZINC001308547482 1066060850 /nfs/dbraw/zinc/06/08/50/1066060850.db2.gz KRBLFBBNGGKMCX-LLVKDONJSA-N 0 3 300.855 4.031 20 0 DIADHN O=c1[nH]ccc2oc(CN3CCCC4(C3)CCCCCC4)cc21 ZINC001308561888 1066072469 /nfs/dbraw/zinc/07/24/69/1066072469.db2.gz UDXGPOGBWQMKNY-UHFFFAOYSA-N 0 3 314.429 4.470 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)C[C@@H](C)c1ccccc1 ZINC001308572158 1066083611 /nfs/dbraw/zinc/08/36/11/1066083611.db2.gz ZEICUFRJRSIKKJ-GOSISDBHSA-N 0 3 324.468 4.449 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2ccc(F)c(F)c2F)cc1 ZINC001308573138 1066083765 /nfs/dbraw/zinc/08/37/65/1066083765.db2.gz HBRHTFQCVNTXNZ-CYBMUJFWSA-N 0 3 307.359 4.475 20 0 DIADHN CC[C@@](C)(CN1CCCC[C@H]1c1nc2ccccc2o1)OC ZINC001308574084 1066086538 /nfs/dbraw/zinc/08/65/38/1066086538.db2.gz BVPAZVDGTNYOFX-YJBOKZPZSA-N 0 3 302.418 4.170 20 0 DIADHN COc1cc(CN2CCC[C@@H](C(C)C)CC2)c(Cl)cc1O ZINC001308573419 1066087965 /nfs/dbraw/zinc/08/79/65/1066087965.db2.gz GORGTSWXRQLEQJ-CYBMUJFWSA-N 0 3 311.853 4.312 20 0 DIADHN COCCN(CCc1ccc(C(C)(C)C)cc1)Cc1ccco1 ZINC001308574286 1066088684 /nfs/dbraw/zinc/08/86/84/1066088684.db2.gz RZWKGLPLVAUMSE-UHFFFAOYSA-N 0 3 315.457 4.268 20 0 DIADHN CN(CCOCC1CC1)Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC001308576353 1066091200 /nfs/dbraw/zinc/09/12/00/1066091200.db2.gz QVWPSUMMMHJWRN-UHFFFAOYSA-N 0 3 321.770 4.217 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)CCN2Cc2cccc3c2OCC3)o1 ZINC001308577830 1066093118 /nfs/dbraw/zinc/09/31/18/1066093118.db2.gz MCVMZGBVIIRPPP-KBXCAEBGSA-N 0 3 311.425 4.496 20 0 DIADHN CC[C@]1(C)CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001308578170 1066095035 /nfs/dbraw/zinc/09/50/35/1066095035.db2.gz ZBXDWYDBRQVHDI-GOSISDBHSA-N 0 3 301.409 4.024 20 0 DIADHN CN(Cc1cccc2[nH]c(=O)oc21)Cc1cccc2ccccc21 ZINC001308579506 1066095492 /nfs/dbraw/zinc/09/54/92/1066095492.db2.gz BNZIOHPJPMQJIP-UHFFFAOYSA-N 0 3 318.376 4.319 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1ccnn1C1CCC1 ZINC001308582115 1066099905 /nfs/dbraw/zinc/09/99/05/1066099905.db2.gz BJDYVVQPBVQTAQ-UHFFFAOYSA-N 0 3 319.452 4.436 20 0 DIADHN C[C@H](CN(C)Cc1cc(Cl)cn1C)c1cc(F)cc(F)c1 ZINC001308585490 1066101889 /nfs/dbraw/zinc/10/18/89/1066101889.db2.gz ZVTOIFINEOAGOA-LLVKDONJSA-N 0 3 312.791 4.192 20 0 DIADHN COc1cc(CN2CCC[C@H](C)CC2)cc(C(F)(F)F)c1 ZINC001308590110 1066102492 /nfs/dbraw/zinc/10/24/92/1066102492.db2.gz PHSYALKTRQDGET-LBPRGKRZSA-N 0 3 301.352 4.336 20 0 DIADHN COc1nc(CN2CC[C@@](C)(CCc3ccccc3)C2)ccc1C ZINC001308598579 1066108598 /nfs/dbraw/zinc/10/85/98/1066108598.db2.gz LNNQJCDKXODRLZ-OAQYLSRUSA-N 0 3 324.468 4.243 20 0 DIADHN C[C@H]1c2cc(F)cc(F)c2CCN1CC1Cc2ccccc2C1 ZINC001308602938 1066111867 /nfs/dbraw/zinc/11/18/67/1066111867.db2.gz HQACFAUNSXZMAV-ZDUSSCGKSA-N 0 3 313.391 4.299 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001308605526 1066112758 /nfs/dbraw/zinc/11/27/58/1066112758.db2.gz ORLRLZZBBOMTPO-WFASDCNBSA-N 0 3 315.848 4.319 20 0 DIADHN Cc1noc2ncc(CN3CCC(CCC(C)C)CC3)cc12 ZINC001308605025 1066112998 /nfs/dbraw/zinc/11/29/98/1066112998.db2.gz YNMFVIGPIMJNMY-UHFFFAOYSA-N 0 3 301.434 4.179 20 0 DIADHN CC(C)N(Cc1ccc(F)cc1)Cc1cc(F)ccc1N(C)C ZINC001308608966 1066115886 /nfs/dbraw/zinc/11/58/86/1066115886.db2.gz JWTSOHQVGWAMGQ-UHFFFAOYSA-N 0 3 318.411 4.441 20 0 DIADHN COCc1csc(CN(Cc2ccc(F)cc2)C(C)C)c1 ZINC001308609882 1066116663 /nfs/dbraw/zinc/11/66/63/1066116663.db2.gz UTNURCSTGKFQED-UHFFFAOYSA-N 0 3 307.434 4.444 20 0 DIADHN COc1cc(CN(C)[C@@H](C)C(C)C)c(F)cc1Br ZINC001308614549 1066119564 /nfs/dbraw/zinc/11/95/64/1066119564.db2.gz WYCXXMACHKBAHN-JTQLQIEISA-N 0 3 318.230 4.073 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1sc(N2CCCC2)nc1Cl ZINC001308618792 1066121961 /nfs/dbraw/zinc/12/19/61/1066121961.db2.gz HVOAJJZERYUNAE-NEPJUHHUSA-N 0 3 313.898 4.017 20 0 DIADHN C[C@@H]1SCCN(Cc2cccc(Oc3ccccn3)c2)[C@H]1C ZINC001308618592 1066122429 /nfs/dbraw/zinc/12/24/29/1066122429.db2.gz IRENHBNGMMXGKN-GJZGRUSLSA-N 0 3 314.454 4.200 20 0 DIADHN CCn1cncc1CN1CCC[C@H](CCCc2ccccc2)C1 ZINC001308620763 1066122497 /nfs/dbraw/zinc/12/24/97/1066122497.db2.gz BJFQMNREJKRBFX-IBGZPJMESA-N 0 3 311.473 4.138 20 0 DIADHN c1cc(CN2CC[C@H](OCCCc3ccccc3)C2)n(C2CC2)c1 ZINC001308622288 1066122934 /nfs/dbraw/zinc/12/29/34/1066122934.db2.gz LZASQARHAISRNQ-NRFANRHFSA-N 0 3 324.468 4.047 20 0 DIADHN COCCCCN(Cc1cccn1C(F)F)Cc1ccccc1 ZINC001308631188 1066127510 /nfs/dbraw/zinc/12/75/10/1066127510.db2.gz BOZAQFWPCLZCAC-UHFFFAOYSA-N 0 3 322.399 4.312 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(n2cc(Cl)cn2)CC1 ZINC001308634342 1066129244 /nfs/dbraw/zinc/12/92/44/1066129244.db2.gz HDEZQBWRAKVGFW-UHFFFAOYSA-N 0 3 324.255 4.335 20 0 DIADHN COc1c(C)cnc(CN[C@@H]2c3ccccc3CC[C@H]2C)c1C ZINC001308639630 1066132297 /nfs/dbraw/zinc/13/22/97/1066132297.db2.gz STWZAVSOUNDVHO-YJYMSZOUSA-N 0 3 310.441 4.120 20 0 DIADHN CC(C)[C@H]1CCCCCN1Cc1sc(N(C)C)nc1Cl ZINC001308641770 1066132680 /nfs/dbraw/zinc/13/26/80/1066132680.db2.gz MUPJZVACEJKFAO-GFCCVEGCSA-N 0 3 315.914 4.263 20 0 DIADHN CCN(C)c1ccc(CN(C)Cc2ccc3ccccc3c2)cn1 ZINC001308649655 1066136486 /nfs/dbraw/zinc/13/64/86/1066136486.db2.gz LQQPVNLUWISHOH-UHFFFAOYSA-N 0 3 319.452 4.323 20 0 DIADHN CCC1CCC(N(C)Cc2cc(OC)c(OC)cc2F)CC1 ZINC001308650442 1066137062 /nfs/dbraw/zinc/13/70/62/1066137062.db2.gz QXKIXUIBGDVNOS-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001308652097 1066138065 /nfs/dbraw/zinc/13/80/65/1066138065.db2.gz FBRLRVJFVDIVNA-UHFFFAOYSA-N 0 3 305.324 4.030 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cc3c(cccc3C)[nH]2)cc1 ZINC001308653763 1066139835 /nfs/dbraw/zinc/13/98/35/1066139835.db2.gz OKKUWKGJRDQSAK-UHFFFAOYSA-N 0 3 308.425 4.296 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cn3ccnc3s2)CC1 ZINC001308672767 1066147978 /nfs/dbraw/zinc/14/79/78/1066147978.db2.gz PGIAGBPHUNGEAZ-UHFFFAOYSA-N 0 3 311.454 4.084 20 0 DIADHN Cc1nnc([C@H](C)NC2CCC(C)(c3ccccc3)CC2)s1 ZINC001308671500 1066148085 /nfs/dbraw/zinc/14/80/85/1066148085.db2.gz ARAPVZWGCKEJRJ-BELGNNRLSA-N 0 3 315.486 4.398 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CC[C@@H](c3ccccc3)C1)CO2 ZINC001308684663 1066155222 /nfs/dbraw/zinc/15/52/22/1066155222.db2.gz KSVDXVMFJJBZMI-UNEWFSDZSA-N 0 3 315.363 4.324 20 0 DIADHN CCC1(CC)CCN(Cc2ccc(F)c(F)c2N(C)C)CC1 ZINC001308696021 1066184647 /nfs/dbraw/zinc/18/46/47/1066184647.db2.gz JLGMWAGPYGIAQR-UHFFFAOYSA-N 0 3 310.432 4.433 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(F)c(Cl)cc2F)C12CCC2 ZINC001308729238 1066204699 /nfs/dbraw/zinc/20/46/99/1066204699.db2.gz RXKWGAGKSKKZFV-LSDHHAIUSA-N 0 3 315.791 4.056 20 0 DIADHN Cc1scc(CN2CCC(Oc3ccncc3C)CC2)c1C ZINC001308730263 1066206926 /nfs/dbraw/zinc/20/69/26/1066206926.db2.gz AMWISFIYQGQJIX-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN CCC(C)(C)N(C)Cc1nc(-c2cccc(OC)c2)cs1 ZINC001308735510 1066211587 /nfs/dbraw/zinc/21/15/87/1066211587.db2.gz QDNVNWAVTXCRRI-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN CSc1ccc(CN(Cc2ccc(O)cc2)CC2CC2)o1 ZINC001308742481 1066215369 /nfs/dbraw/zinc/21/53/69/1066215369.db2.gz GUNDMENPQVMKHU-UHFFFAOYSA-N 0 3 303.427 4.119 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccnn2-c2ccc(F)cc2)[C@H]1C(C)C ZINC001308762522 1066235742 /nfs/dbraw/zinc/23/57/42/1066235742.db2.gz DSWYWCBRZIQWLU-MOPGFXCFSA-N 0 3 315.436 4.124 20 0 DIADHN CCCC[N@H+](Cc1ccc([O-])c(F)c1)[C@@H]1CCCC[C@H]1OC ZINC001308765413 1066238970 /nfs/dbraw/zinc/23/89/70/1066238970.db2.gz INIBLYJIBJCGRL-SJLPKXTDSA-N 0 3 309.425 4.091 20 0 DIADHN CCCC[N@@H+](Cc1ccc([O-])c(F)c1)[C@@H]1CCCC[C@H]1OC ZINC001308765413 1066238987 /nfs/dbraw/zinc/23/89/87/1066238987.db2.gz INIBLYJIBJCGRL-SJLPKXTDSA-N 0 3 309.425 4.091 20 0 DIADHN Cc1cccc(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1Br ZINC001308771630 1066244946 /nfs/dbraw/zinc/24/49/46/1066244946.db2.gz KMBAQOAEGMCOLE-NVXWUHKLSA-N 0 3 320.274 4.378 20 0 DIADHN Cc1scc(CN(C)C[C@@H]2OCCc3ccccc32)c1C ZINC001308774651 1066248223 /nfs/dbraw/zinc/24/82/23/1066248223.db2.gz RQWZKWZEYBLGJL-SFHVURJKSA-N 0 3 301.455 4.111 20 0 DIADHN Cc1scc(CN(C)C[C@H]2OCCc3ccccc32)c1C ZINC001308774650 1066248473 /nfs/dbraw/zinc/24/84/73/1066248473.db2.gz RQWZKWZEYBLGJL-GOSISDBHSA-N 0 3 301.455 4.111 20 0 DIADHN CN1CCN(Cc2cc(Cl)ccc2Cl)c2ccccc2C1 ZINC001308782105 1066254596 /nfs/dbraw/zinc/25/45/96/1066254596.db2.gz KUEGZAYRXMVJAG-UHFFFAOYSA-N 0 3 321.251 4.445 20 0 DIADHN C[C@H](c1nccc2ccccc21)N1CCN(C)Cc2ccccc21 ZINC001308781225 1066256238 /nfs/dbraw/zinc/25/62/38/1066256238.db2.gz DLAJXHHYRWAKIG-MRXNPFEDSA-N 0 3 317.436 4.248 20 0 DIADHN COC(=O)c1[nH]c(CN(C(C)C)[C@H](C)c2ccccc2)cc1C ZINC001308797097 1066272883 /nfs/dbraw/zinc/27/28/83/1066272883.db2.gz BPWMTKSEVQKCSZ-OAHLLOKOSA-N 0 3 314.429 4.081 20 0 DIADHN CCC1CN(C[C@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)C1 ZINC001308802471 1066278619 /nfs/dbraw/zinc/27/86/19/1066278619.db2.gz IQGLNNLIYJYGQT-HNNXBMFYSA-N 0 3 312.498 4.048 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC001308808493 1066285239 /nfs/dbraw/zinc/28/52/39/1066285239.db2.gz DLGZRJTUTYXKNT-IUODEOHRSA-N 0 3 323.770 4.273 20 0 DIADHN Fc1ccc(F)c(C[C@@H]2CCCN2CCCC(F)(F)F)c1 ZINC001308823692 1066298649 /nfs/dbraw/zinc/29/86/49/1066298649.db2.gz PYJAAEYOAVXMSE-ZDUSSCGKSA-N 0 3 307.306 4.314 20 0 DIADHN CCOc1cc(C[NH2+][C@H](CC)[C@@H]2CC2(C)C)cc(Cl)c1[O-] ZINC001308822358 1066299972 /nfs/dbraw/zinc/29/99/72/1066299972.db2.gz BNUCSWPGNYUEKJ-GXTWGEPZSA-N 0 3 311.853 4.359 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@H](C)c1ncc(C(=O)OC)s1 ZINC001308827424 1066303335 /nfs/dbraw/zinc/30/33/35/1066303335.db2.gz MXHYJRUQZXSHIP-OCCSQVGLSA-N 0 3 324.490 4.329 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@H](C)c1ncc(C(=O)OC)s1 ZINC001308827425 1066305569 /nfs/dbraw/zinc/30/55/69/1066305569.db2.gz MXHYJRUQZXSHIP-TZMCWYRMSA-N 0 3 324.490 4.329 20 0 DIADHN Cc1ccc(CN2CC[C@](C)(c3cccc(F)c3)C2)c(F)c1 ZINC001308852215 1066329493 /nfs/dbraw/zinc/32/94/93/1066329493.db2.gz PCHPYJPGUIZVCH-IBGZPJMESA-N 0 3 301.380 4.437 20 0 DIADHN COc1ccc(CN2CCC[C@H](OC(C)C)C2)cc1C(C)(C)C ZINC001308851723 1066330594 /nfs/dbraw/zinc/33/05/94/1066330594.db2.gz UQYLVZIMMBJWKC-KRWDZBQOSA-N 0 3 319.489 4.382 20 0 DIADHN CC1(C)C[C@@H](NC[C@@H]2CC2(Cl)Cl)c2cc(F)ccc2O1 ZINC001308852440 1066333085 /nfs/dbraw/zinc/33/30/85/1066333085.db2.gz YYPSMFAJBQNELH-JOYOIKCWSA-N 0 3 318.219 4.211 20 0 DIADHN Fc1cccc2cc(CN3CCC(c4ccco4)CC3)cnc12 ZINC001308855667 1066336813 /nfs/dbraw/zinc/33/68/13/1066336813.db2.gz RBIPNCFEQWMDSK-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN COc1ccnc(CN[C@H]2CCCC[C@H]2c2ccccc2)c1F ZINC001308869232 1066348821 /nfs/dbraw/zinc/34/88/21/1066348821.db2.gz KEDQHBGEUWUIIV-HOTGVXAUSA-N 0 3 314.404 4.045 20 0 DIADHN CC(C)(C)c1nn(Cc2ccccc2)cc1CN1CC[C@H]2C[C@H]2C1 ZINC001308874872 1066353606 /nfs/dbraw/zinc/35/36/06/1066353606.db2.gz XBFWTEQICRTASG-ROUUACIJSA-N 0 3 323.484 4.071 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCc3nc(C(C)C)ncc3C2)C1 ZINC001308874556 1066354224 /nfs/dbraw/zinc/35/42/24/1066354224.db2.gz VEOMLVSHIUSZSV-HZPDHXFCSA-N 0 3 301.478 4.175 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@@H](C4CCCCC4)C3)cn2c1 ZINC001308879705 1066359891 /nfs/dbraw/zinc/35/98/91/1066359891.db2.gz ZTYNKZZXANZABP-GOSISDBHSA-N 0 3 311.473 4.435 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001308884985 1066365070 /nfs/dbraw/zinc/36/50/70/1066365070.db2.gz BELAZCGZQFPRCL-KZSQQDEKSA-N 0 3 313.420 4.190 20 0 DIADHN CCCc1ccc(CN2CC[C@H](Oc3ccc(C)cn3)C2)s1 ZINC001308886968 1066367813 /nfs/dbraw/zinc/36/78/13/1066367813.db2.gz NUWWQCCOJXPNNO-HNNXBMFYSA-N 0 3 316.470 4.057 20 0 DIADHN CC1(C)[C@@H](NCc2cccc(F)c2F)C[C@@H]1Oc1ccccc1 ZINC001308887589 1066368800 /nfs/dbraw/zinc/36/88/00/1066368800.db2.gz XAMQPRMRJCCIEH-IRXDYDNUSA-N 0 3 317.379 4.301 20 0 DIADHN CC1(C)[C@H](Oc2ccccc2)C[C@@H]1NCc1cccc(F)c1F ZINC001308887585 1066368826 /nfs/dbraw/zinc/36/88/26/1066368826.db2.gz XAMQPRMRJCCIEH-DLBZAZTESA-N 0 3 317.379 4.301 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCCC23CC3)cc(Cl)c1[O-] ZINC001308890889 1066370203 /nfs/dbraw/zinc/37/02/03/1066370203.db2.gz KAQQXIBLNYHQGB-CYBMUJFWSA-N 0 3 309.837 4.114 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2NCc1cccc2c1NCCC2 ZINC001308895739 1066376740 /nfs/dbraw/zinc/37/67/40/1066376740.db2.gz AKBPBDSVZJJFDR-SFHVURJKSA-N 0 3 312.844 4.475 20 0 DIADHN C[C@@H](CN(C)Cc1ccnn1CC1CCC1)C1CCCCC1 ZINC001308898092 1066378543 /nfs/dbraw/zinc/37/85/43/1066378543.db2.gz UIOYJAKNBHSUHE-INIZCTEOSA-N 0 3 303.494 4.331 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)NCc1ccc(C(C)(C)C)o1 ZINC001308901497 1066380115 /nfs/dbraw/zinc/38/01/15/1066380115.db2.gz ILUZCWBTFOGFER-RDTXWAMCSA-N 0 3 301.430 4.443 20 0 DIADHN C[C@H](NCc1cc(Cl)cc(Cl)c1F)[C@@H]1CCCCO1 ZINC001308903261 1066381720 /nfs/dbraw/zinc/38/17/20/1066381720.db2.gz YMMIHWMEIYBQTD-ZANVPECISA-N 0 3 306.208 4.180 20 0 DIADHN Cc1n[nH]c(CN2CCC(Cc3ccc(Cl)cc3)CC2)c1C ZINC001308904060 1066382952 /nfs/dbraw/zinc/38/29/52/1066382952.db2.gz HHSJYKVPSKFVIS-UHFFFAOYSA-N 0 3 317.864 4.135 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1noc2ccc(F)cc21 ZINC001308906757 1066384945 /nfs/dbraw/zinc/38/49/45/1066384945.db2.gz RCQJTFHSQJTRDH-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC([C@H]2CCC[C@@H](C)C2)C1 ZINC001308911909 1066389400 /nfs/dbraw/zinc/38/94/00/1066389400.db2.gz KDFHGPDGSQIFFX-ZBFHGGJFSA-N 0 3 309.457 4.196 20 0 DIADHN C[C@H]1CCC[C@@H](C2CN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC001308911099 1066389764 /nfs/dbraw/zinc/38/97/64/1066389764.db2.gz DIMTXYAMCYYMQN-UONOGXRCSA-N 0 3 315.486 4.396 20 0 DIADHN CCc1ccc(CN2CCN(C[C@@H](C)c3ccccc3)CC2)cc1 ZINC001308918417 1066395780 /nfs/dbraw/zinc/39/57/80/1066395780.db2.gz LIRRLEHDVMHUQT-LJQANCHMSA-N 0 3 322.496 4.170 20 0 DIADHN Cc1csc([C@@H]2CCN(C/C=C\c3ccc(F)cc3)C2)n1 ZINC001308927695 1066402736 /nfs/dbraw/zinc/40/27/36/1066402736.db2.gz JBYHRUIPYVZGLR-MPNWYJEXSA-N 0 3 302.418 4.093 20 0 DIADHN Cc1csc([C@H]2CCN(C/C=C/c3ccc(F)cc3)C2)n1 ZINC001308927693 1066403347 /nfs/dbraw/zinc/40/33/47/1066403347.db2.gz JBYHRUIPYVZGLR-FAAWYNLUSA-N 0 3 302.418 4.093 20 0 DIADHN Fc1ccc(CCCNCc2nc3c(s2)CCCC3)cc1F ZINC001308931060 1066405358 /nfs/dbraw/zinc/40/53/58/1066405358.db2.gz IHYIODOTWJDNNK-UHFFFAOYSA-N 0 3 322.424 4.023 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2noc3ccccc23)C12CCCCC2 ZINC001308934740 1066406539 /nfs/dbraw/zinc/40/65/39/1066406539.db2.gz KRJCVOPVYMPFAI-MSOLQXFVSA-N 0 3 314.429 4.045 20 0 DIADHN COc1ccc(SCCNCc2cscc2Cl)cc1 ZINC001308942747 1066410831 /nfs/dbraw/zinc/41/08/31/1066410831.db2.gz GAMIAULCEIMUFC-UHFFFAOYSA-N 0 3 313.875 4.292 20 0 DIADHN COc1cc(C)ccc1CN1C[C@@H]2CCC[C@]2(C(F)(F)F)C1 ZINC001308945646 1066413194 /nfs/dbraw/zinc/41/31/94/1066413194.db2.gz ZMDFJDQKJCUOBP-HOCLYGCPSA-N 0 3 313.363 4.168 20 0 DIADHN CC1(C)C[C@H](NCc2c(Cl)ccnc2Cl)CCS1 ZINC001308957400 1066418392 /nfs/dbraw/zinc/41/83/92/1066418392.db2.gz VLJXDPHZNFOTSV-SECBINFHSA-N 0 3 305.274 4.152 20 0 DIADHN C[C@H]1C[C@H](N[C@@H]2C[C@@H](c3ccccc3)Oc3ccccc32)CO1 ZINC001308965940 1066423541 /nfs/dbraw/zinc/42/35/41/1066423541.db2.gz ZJGJLTQAWVGMLC-LLYILUEJSA-N 0 3 309.409 4.019 20 0 DIADHN CC(C)=CCC[C@H](C)CCNCc1cc(C(F)(F)F)n(C)n1 ZINC001308973003 1066427526 /nfs/dbraw/zinc/42/75/26/1066427526.db2.gz STJSTPZEERUZBQ-ZDUSSCGKSA-N 0 3 317.399 4.301 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2C[C@H]3CCC[C@@H]32)c(Cl)n1 ZINC001308974424 1066427965 /nfs/dbraw/zinc/42/79/65/1066427965.db2.gz HXALZQZTBQZILF-DVVUODLYSA-N 0 3 304.743 4.032 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)CC1CCSCC1 ZINC001308982259 1066431399 /nfs/dbraw/zinc/43/13/99/1066431399.db2.gz BPOVBFZJUPVEBI-ZDUSSCGKSA-N 0 3 324.559 4.233 20 0 DIADHN C[C@H](N[C@@H]1CCC12CCC2)c1nc2ccccc2n1C(F)F ZINC001308990541 1066434846 /nfs/dbraw/zinc/43/48/46/1066434846.db2.gz YLGXXZKECQQSGD-SMDDNHRTSA-N 0 3 305.372 4.415 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](c1nc(C)no1)C(C)C ZINC001308989545 1066435611 /nfs/dbraw/zinc/43/56/11/1066435611.db2.gz IRYJJPFYDCJXLF-CVEARBPZSA-N 0 3 321.852 4.339 20 0 DIADHN CCCN(C)[C@H](CNC(=O)OC1CCC1)c1ccc(Cl)cc1 ZINC001309486379 1066457858 /nfs/dbraw/zinc/45/78/58/1066457858.db2.gz RGKNBLRYABDKPP-MRXNPFEDSA-N 0 3 324.852 4.002 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1ccc(F)c(F)c1 ZINC000078971192 185137661 /nfs/dbraw/zinc/13/76/61/185137661.db2.gz STIFDZKPHOMWAO-MNOVXSKESA-N 0 3 305.324 4.105 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cccc(OC(F)F)c2)c1 ZINC000078970162 185137214 /nfs/dbraw/zinc/13/72/14/185137214.db2.gz ULADKYRFTBNLRV-LBPRGKRZSA-N 0 3 307.340 4.147 20 0 DIADHN C[C@H](NCCc1nc(-c2ccccn2)cs1)c1ccsc1 ZINC000090044666 185279857 /nfs/dbraw/zinc/27/98/57/185279857.db2.gz FVHWFBMPYCNXJR-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN Cc1sc(CCN[C@H](C)c2ccncc2)nc1-c1ccccc1 ZINC000090399965 185294492 /nfs/dbraw/zinc/29/44/92/185294492.db2.gz VSBUDPKAEOXGPA-CQSZACIVSA-N 0 3 323.465 4.407 20 0 DIADHN CC[C@@H](NC[C@H](C)c1c(C)noc1C)c1cc(F)ccc1F ZINC000090728619 185311579 /nfs/dbraw/zinc/31/15/79/185311579.db2.gz QPYYIBKQFYSHLA-MGPLVRAMSA-N 0 3 308.372 4.414 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000090728429 185311598 /nfs/dbraw/zinc/31/15/98/185311598.db2.gz FMTSNOMKNLFSRT-PWSUYJOCSA-N 0 3 319.474 4.081 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2sc(CCOC)nc2C)s1 ZINC000092739219 185337764 /nfs/dbraw/zinc/33/77/64/185337764.db2.gz QQOYOLKNZNWDIU-NSHDSACASA-N 0 3 324.515 4.115 20 0 DIADHN CC(C)CC[C@H](NCc1ccc(NC(N)=O)cc1)c1ccoc1 ZINC000285764919 534944982 /nfs/dbraw/zinc/94/49/82/534944982.db2.gz SKFJCXMYWJNLPB-KRWDZBQOSA-N 0 3 315.417 4.037 20 0 DIADHN CCOc1ccc(-c2nc(CN(CC)C(C)(C)C)co2)cc1 ZINC000072181711 191071828 /nfs/dbraw/zinc/07/18/28/191071828.db2.gz HLLUZFHBNCLSJY-UHFFFAOYSA-N 0 3 302.418 4.361 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@H](C)[C@H](N)c2ccccc2)c1 ZINC000236790096 202009989 /nfs/dbraw/zinc/00/99/89/202009989.db2.gz XBPSDLWZUHAGHQ-BEFAXECRSA-N 0 3 310.441 4.109 20 0 DIADHN CCC(CC)(CC)NCc1nnc(-c2ccccc2Cl)o1 ZINC000081156349 192230326 /nfs/dbraw/zinc/23/03/26/192230326.db2.gz PUHJTFTUVISQMF-UHFFFAOYSA-N 0 3 307.825 4.448 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1cccc(F)c1 ZINC000186842256 200019745 /nfs/dbraw/zinc/01/97/45/200019745.db2.gz RDXVANKCMWEJKP-SJKOYZFVSA-N 0 3 315.392 4.118 20 0 DIADHN CCOCc1ccccc1CNCc1ccc2nc(C)ccc2c1 ZINC000187640670 200138462 /nfs/dbraw/zinc/13/84/62/200138462.db2.gz DYNXQYXBHVEYPF-UHFFFAOYSA-N 0 3 320.436 4.370 20 0 DIADHN CC[C@H](NCc1ccc(Cn2cccn2)cc1)c1cccs1 ZINC000178503306 541313033 /nfs/dbraw/zinc/31/30/33/541313033.db2.gz JPPWOMCQVIFJSY-KRWDZBQOSA-N 0 3 311.454 4.234 20 0 DIADHN Cc1ccccc1C1(CN[C@@H](C)c2cn3ccccc3n2)CC1 ZINC000556232682 370700781 /nfs/dbraw/zinc/70/07/81/370700781.db2.gz BMTJKALLXKVDFO-INIZCTEOSA-N 0 3 305.425 4.025 20 0 DIADHN c1cn(-c2ccccc2CN[C@@H](c2ccccc2)C2CC2)cn1 ZINC000091306981 193036295 /nfs/dbraw/zinc/03/62/95/193036295.db2.gz KDLWFKPPAVUGOX-FQEVSTJZSA-N 0 3 303.409 4.113 20 0 DIADHN CSC(C)(C)CN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091349985 193044506 /nfs/dbraw/zinc/04/45/06/193044506.db2.gz UVTDSCNBJMUKOG-SNVBAGLBSA-N 0 3 311.882 4.019 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000091412746 193053455 /nfs/dbraw/zinc/05/34/55/193053455.db2.gz KLRNGZOKRQJROJ-SSKCKEOISA-N 0 3 320.795 4.055 20 0 DIADHN Cc1noc([C@H]2CCCN(Cc3ccc(-c4ccccc4)o3)C2)n1 ZINC000091832143 193113786 /nfs/dbraw/zinc/11/37/86/193113786.db2.gz OEJOEJVZRRQPRJ-INIZCTEOSA-N 0 3 323.396 4.018 20 0 DIADHN O[C@H](C[C@H]1CCCCCN1Cc1cncs1)c1cccs1 ZINC000091891746 193123263 /nfs/dbraw/zinc/12/32/63/193123263.db2.gz ZAAGYHHTVZQCNQ-UKRRQHHQSA-N 0 3 322.499 4.073 20 0 DIADHN COc1cccc2c1CC[C@@H](N[C@H](C)c1cccc(F)c1F)C2 ZINC000426030994 334995807 /nfs/dbraw/zinc/99/58/07/334995807.db2.gz QBTVVHXCRFQDES-TZMCWYRMSA-N 0 3 317.379 4.182 20 0 DIADHN Cc1ccc(OCCN[C@@H](c2cccnc2)C2CCC2)c(C)c1 ZINC000563762060 334996392 /nfs/dbraw/zinc/99/63/92/334996392.db2.gz UQACNFZQINKBNK-HXUWFJFHSA-N 0 3 310.441 4.208 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@H](CO)C3CCCC3)oc21 ZINC000563783283 335006790 /nfs/dbraw/zinc/00/67/90/335006790.db2.gz DEEHOPCWQLNIOD-CZUORRHYSA-N 0 3 317.429 4.033 20 0 DIADHN c1ccc2c(c1)cccc2CN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000563781778 335006632 /nfs/dbraw/zinc/00/66/32/335006632.db2.gz KTVWPFGZMLIJJM-UXHICEINSA-N 0 3 307.437 4.373 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000191855407 335013399 /nfs/dbraw/zinc/01/33/99/335013399.db2.gz PSYMBSPSDFATJM-ZDUSSCGKSA-N 0 3 305.809 4.058 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)[C@@H](c1ccccc1)C(C)C ZINC000093361685 193237926 /nfs/dbraw/zinc/23/79/26/193237926.db2.gz VSEQEGXNZCEJJH-HXUWFJFHSA-N 0 3 310.441 4.474 20 0 DIADHN COCc1ccc(CN(C)[C@H]2CCCc3nc(C)sc32)cc1 ZINC000093364969 193240210 /nfs/dbraw/zinc/24/02/10/193240210.db2.gz ZUCYMVWRKWRSKS-KRWDZBQOSA-N 0 3 316.470 4.107 20 0 DIADHN Cc1cc2ncc([C@@H](C)NCc3c(C)cc(C)cc3C)c(C)n2n1 ZINC000093403302 193244604 /nfs/dbraw/zinc/24/46/04/193244604.db2.gz JAHVNEWTEZJEKX-MRXNPFEDSA-N 0 3 322.456 4.122 20 0 DIADHN Clc1sc(CNC2(C3CC3)CC2)cc1Br ZINC000380184489 332667166 /nfs/dbraw/zinc/66/71/66/332667166.db2.gz MSHUJBUHGYGWTI-UHFFFAOYSA-N 0 3 306.656 4.196 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc(Cl)cc2)c1 ZINC000019989030 182174255 /nfs/dbraw/zinc/17/42/55/182174255.db2.gz ZMGSYIBZNABCGV-GFCCVEGCSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@H](NCc1ccc(Br)cc1)c1ccc(F)cc1 ZINC000020095291 182185974 /nfs/dbraw/zinc/18/59/74/182185974.db2.gz QLARMGOMGMNWRD-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN CC[C@@H](NCc1cccnc1)c1ccc(Br)cc1 ZINC000020141011 182191564 /nfs/dbraw/zinc/19/15/64/182191564.db2.gz SDFSIZCETSBMES-OAHLLOKOSA-N 0 3 305.219 4.085 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1cc(F)ccc1Br ZINC000020555928 182231266 /nfs/dbraw/zinc/23/12/66/182231266.db2.gz HPMSSVUVPVVHJL-IINYFYTJSA-N 0 3 300.215 4.257 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(Cl)cc2)cc1OC ZINC000021792214 182289623 /nfs/dbraw/zinc/28/96/23/182289623.db2.gz UUJYPMYCPSDPKD-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN C[C@@H](c1cc(F)ccc1F)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425543059 335036235 /nfs/dbraw/zinc/03/62/35/335036235.db2.gz ATQQIXLPMHCPMJ-SCLBCKFNSA-N 0 3 321.411 4.307 20 0 DIADHN c1nn(-c2ccccc2)cc1CN1CCC(c2ccccc2)CC1 ZINC000040584235 183105357 /nfs/dbraw/zinc/10/53/57/183105357.db2.gz SSEVMIWXQHHFRF-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN CC[C@@H]1CCCCN1Cc1coc(-c2ccc(OC)cc2)n1 ZINC000042737461 183303456 /nfs/dbraw/zinc/30/34/56/183303456.db2.gz PNHRHBOHAIVITN-MRXNPFEDSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@@H]1C[C@H]1NCc1cccc(NC(=O)c2ccccc2Cl)c1 ZINC000105437812 194103920 /nfs/dbraw/zinc/10/39/20/194103920.db2.gz RQFALVVXGMMTKU-SJKOYZFVSA-N 0 3 314.816 4.090 20 0 DIADHN CCOc1cccc(CNCCCC(C)(F)F)c1OC(F)F ZINC000296164612 535457909 /nfs/dbraw/zinc/45/79/09/535457909.db2.gz WRKYHVNQFXBBSA-UHFFFAOYSA-N 0 3 323.330 4.212 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2c(C)cccc2C)cc1 ZINC000056201495 184007068 /nfs/dbraw/zinc/00/70/68/184007068.db2.gz YJVNHIVDIBNPNG-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@H](NCc1ccc(C)nc1)c1cccc(Br)c1 ZINC000062051325 184202690 /nfs/dbraw/zinc/20/26/90/184202690.db2.gz NHIVKAVQPPJWIV-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCCCNc3ccccn3)oc2c1 ZINC000426041944 335045642 /nfs/dbraw/zinc/04/56/42/335045642.db2.gz APGOMOZXCBQIIC-OAHLLOKOSA-N 0 3 309.413 4.289 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H]1CCCc2sccc21 ZINC000119699720 195021565 /nfs/dbraw/zinc/02/15/65/195021565.db2.gz ZIMDIZPZBLFUSE-SFHVURJKSA-N 0 3 323.465 4.409 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1cccc(OC)c1 ZINC000119641345 195007183 /nfs/dbraw/zinc/00/71/83/195007183.db2.gz XDJBJJMRMKZIDY-IBGZPJMESA-N 0 3 321.424 4.122 20 0 DIADHN CCOc1ccc([C@H](C)NCCC(F)(F)F)cc1OCC ZINC000272155924 130003518 /nfs/dbraw/zinc/00/35/18/130003518.db2.gz CTZXBGNVORZYOU-NSHDSACASA-N 0 3 305.340 4.087 20 0 DIADHN Cc1ccc(F)cc1CNC1(c2ccc(F)cc2)CCOCC1 ZINC000272192827 130006261 /nfs/dbraw/zinc/00/62/61/130006261.db2.gz VXXZXTKGVWFCIL-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN Fc1ccc([C@@H](NC2CCOCC2)c2ccc(Cl)cc2)cc1 ZINC000272223636 130008412 /nfs/dbraw/zinc/00/84/12/130008412.db2.gz CMFNNPZBQWZAKW-SFHVURJKSA-N 0 3 319.807 4.337 20 0 DIADHN CC(C)=CCC[C@@H](C)Nc1ccccc1CN1CCC(O)CC1 ZINC000272237996 130012406 /nfs/dbraw/zinc/01/24/06/130012406.db2.gz YBPYYTUHRMZOJN-QGZVFWFLSA-N 0 3 316.489 4.190 20 0 DIADHN CC(C)c1cccc(NC(=O)N(C)[C@@H](C)C2CCN(C)CC2)c1 ZINC000272376428 130024718 /nfs/dbraw/zinc/02/47/18/130024718.db2.gz SRINBTXFKLHWFM-HNNXBMFYSA-N 0 3 317.477 4.004 20 0 DIADHN CC(C)c1ccccc1NC(=O)N(C)[C@@H](C)C1CCN(C)CC1 ZINC000272416066 130028642 /nfs/dbraw/zinc/02/86/42/130028642.db2.gz ZOLJSDDQXPZRGQ-HNNXBMFYSA-N 0 3 317.477 4.004 20 0 DIADHN C[C@H](CCCCO)N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000272486677 130034259 /nfs/dbraw/zinc/03/42/59/130034259.db2.gz UAJMHNCLYILJIM-BEFAXECRSA-N 0 3 301.405 4.056 20 0 DIADHN C[C@@H](CCCCO)N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000272486679 130035226 /nfs/dbraw/zinc/03/52/26/130035226.db2.gz UAJMHNCLYILJIM-HNAYVOBHSA-N 0 3 301.405 4.056 20 0 DIADHN C[C@@H](NCc1ccccc1N1CCCCC1)c1cccc(CO)c1 ZINC000272575496 130043762 /nfs/dbraw/zinc/04/37/62/130043762.db2.gz GCYQFOPMGCZENY-QGZVFWFLSA-N 0 3 324.468 4.020 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC2(C1)CCOCC2 ZINC000272584256 130044326 /nfs/dbraw/zinc/04/43/26/130044326.db2.gz QYMYFBAMBYXCAK-UHFFFAOYSA-N 0 3 313.397 4.074 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000272714294 130056653 /nfs/dbraw/zinc/05/66/53/130056653.db2.gz AITNBSVIKMJTDE-ZIAGYGMSSA-N 0 3 312.413 4.065 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000272714289 130057447 /nfs/dbraw/zinc/05/74/47/130057447.db2.gz AITNBSVIKMJTDE-KGLIPLIRSA-N 0 3 312.413 4.065 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1ccc(SC(F)F)cc1 ZINC000272813788 130065659 /nfs/dbraw/zinc/06/56/59/130065659.db2.gz VRKKOCKXGUVBIL-HNNXBMFYSA-N 0 3 321.392 4.484 20 0 DIADHN C[C@H](CN(C)Cc1cc(-c2ccccc2)no1)c1nccs1 ZINC000273083120 130088039 /nfs/dbraw/zinc/08/80/39/130088039.db2.gz RCDUEWUCJFKFEB-CYBMUJFWSA-N 0 3 313.426 4.034 20 0 DIADHN CCCc1cc(NCc2nnc(C(C)C)n2C)c2ccccc2n1 ZINC000273184217 130096187 /nfs/dbraw/zinc/09/61/87/130096187.db2.gz BMDLSWIPEQOGRO-UHFFFAOYSA-N 0 3 323.444 4.051 20 0 DIADHN c1ccc([C@@H](NCc2n[nH]c(C3CC3)n2)C2CCCCC2)cc1 ZINC000273205204 130097841 /nfs/dbraw/zinc/09/78/41/130097841.db2.gz FSRXOKZWXBSWLO-GOSISDBHSA-N 0 3 310.445 4.093 20 0 DIADHN OCc1ccc(CN[C@@H]2CCCc3c(Cl)cccc32)cc1F ZINC000273396179 130116439 /nfs/dbraw/zinc/11/64/39/130116439.db2.gz LWEYYNYGOKFOAO-GOSISDBHSA-N 0 3 319.807 4.139 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](NCc3c(F)cccc3F)CCO2)cc1 ZINC000273507271 130130138 /nfs/dbraw/zinc/13/01/38/130130138.db2.gz QFXQNYPHSJLDKJ-KSSFIOAISA-N 0 3 321.342 4.114 20 0 DIADHN Fc1ccc([C@@H]2C[C@H](NCc3c(F)cccc3F)CCO2)cc1 ZINC000273507270 130130607 /nfs/dbraw/zinc/13/06/07/130130607.db2.gz QFXQNYPHSJLDKJ-KDOFPFPSSA-N 0 3 321.342 4.114 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](c2ccccc2)C1)c1cccnc1Cl ZINC000274275741 130223523 /nfs/dbraw/zinc/22/35/23/130223523.db2.gz IGKVKHVPBWTJDS-LXZKKBNFSA-N 0 3 316.832 4.306 20 0 DIADHN C[C@H](C1CC1)N(Cc1cn(C)nc1C(F)F)Cc1ccccc1 ZINC000274444832 130236185 /nfs/dbraw/zinc/23/61/85/130236185.db2.gz CVEPUKZMGKEWHM-CYBMUJFWSA-N 0 3 319.399 4.158 20 0 DIADHN Cn1cc(CN[C@@H](CC2CCC2)c2ccccc2)c(C(F)F)n1 ZINC000274464398 130237080 /nfs/dbraw/zinc/23/70/80/130237080.db2.gz IIFCQIAMJQPYRB-INIZCTEOSA-N 0 3 319.399 4.379 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cn(C)nc1C(F)F)C(C)C ZINC000274464415 130237084 /nfs/dbraw/zinc/23/70/84/130237084.db2.gz IJHYKJMNTSBLPK-HNNXBMFYSA-N 0 3 307.388 4.153 20 0 DIADHN CCn1nc(CNc2ccc(C)cc2CN(C)C)c2ccccc21 ZINC000274462244 130238619 /nfs/dbraw/zinc/23/86/19/130238619.db2.gz SWEMMRYYIODIAK-UHFFFAOYSA-N 0 3 322.456 4.038 20 0 DIADHN CC(C)C[C@H](NCc1cn(C)nc1C(F)F)c1ccccc1 ZINC000274498629 130241852 /nfs/dbraw/zinc/24/18/52/130241852.db2.gz RAACPXJCNMMZPB-HNNXBMFYSA-N 0 3 307.388 4.235 20 0 DIADHN C[C@H](NCCc1ccccc1)c1nc(C(F)(F)F)cs1 ZINC000274615977 130249380 /nfs/dbraw/zinc/24/93/80/130249380.db2.gz OIQHVBCPQGLFQR-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000274736649 130257401 /nfs/dbraw/zinc/25/74/01/130257401.db2.gz BWGYIWJUNXYVQQ-NYHFZMIOSA-N 0 3 315.482 4.227 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2ccc3c(c2)CC(=O)N3C)c1 ZINC000274756553 130259436 /nfs/dbraw/zinc/25/94/36/130259436.db2.gz GCUFJEZWOWHWQM-OAHLLOKOSA-N 0 3 322.452 4.009 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3ccc(Cl)cn3)nc21 ZINC000274926703 130275243 /nfs/dbraw/zinc/27/52/43/130275243.db2.gz PZOJOTLUABXMBV-LBPRGKRZSA-N 0 3 315.804 4.078 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274953295 130277470 /nfs/dbraw/zinc/27/74/70/130277470.db2.gz VMMSJOHUCSFOOR-PEBVRCNWSA-N 0 3 322.811 4.037 20 0 DIADHN OCCC[C@H](NCc1cc(F)cc(Cl)c1)c1ccccc1 ZINC000274997107 130281105 /nfs/dbraw/zinc/28/11/05/130281105.db2.gz NBDRJVUWDXSSAX-KRWDZBQOSA-N 0 3 307.796 4.083 20 0 DIADHN C[C@H](NC[C@@H]1CCc2nccn2C1)c1cc(Cl)ccc1Cl ZINC000275553031 130336494 /nfs/dbraw/zinc/33/64/94/130336494.db2.gz NLQPYXUGZHLXTN-RYUDHWBXSA-N 0 3 324.255 4.103 20 0 DIADHN CCC(CC)CN(CC)C(=O)Nc1ccccc1CCN(C)C ZINC000275611743 130349254 /nfs/dbraw/zinc/34/92/54/130349254.db2.gz IKHVSPAISHRDDF-UHFFFAOYSA-N 0 3 319.493 4.081 20 0 DIADHN C[C@@H](NC[C@H]1CCc2nccn2C1)c1ccc(Cl)cc1Cl ZINC000275648512 130353622 /nfs/dbraw/zinc/35/36/22/130353622.db2.gz UFMZYAAAFYGALF-VXGBXAGGSA-N 0 3 324.255 4.103 20 0 DIADHN Cc1ccc(Cl)cc1C(=O)Nc1ccccc1CCN(C)C ZINC000275731767 130372561 /nfs/dbraw/zinc/37/25/61/130372561.db2.gz XLDAKYCXLUTPQY-UHFFFAOYSA-N 0 3 316.832 4.005 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2C[C@H](c3cccc(F)c3)C2)cn1 ZINC000280703065 130813528 /nfs/dbraw/zinc/81/35/28/130813528.db2.gz COTWIBPWUNDKLB-DDUZABMNSA-N 0 3 301.409 4.200 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2C[C@H](c3ccccc3F)C2)cn1 ZINC000280817446 130819925 /nfs/dbraw/zinc/81/99/25/130819925.db2.gz NAIUTWOLQPPJME-DZKIICNBSA-N 0 3 301.409 4.200 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC000281254520 130847777 /nfs/dbraw/zinc/84/77/77/130847777.db2.gz GLXRBKLTPYAKJS-KRWDZBQOSA-N 0 3 303.837 4.203 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc3cc[nH]c31)CCCO2 ZINC000340172216 130989268 /nfs/dbraw/zinc/98/92/68/130989268.db2.gz GFNUYTPNVGHGBG-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)c1ccc(C)cc1C ZINC000340176818 131001930 /nfs/dbraw/zinc/00/19/30/131001930.db2.gz BWCJISPKCMGHRE-UHFFFAOYSA-N 0 3 324.468 4.027 20 0 DIADHN COCC[C@H](NCc1cc[nH]c1)c1ccc(Cl)c(Cl)c1 ZINC000284182939 131056793 /nfs/dbraw/zinc/05/67/93/131056793.db2.gz XUFOWMYTCMSFJB-HNNXBMFYSA-N 0 3 313.228 4.189 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc(C(C)(C)C)nc1)C1CC1 ZINC000284352059 131072185 /nfs/dbraw/zinc/07/21/85/131072185.db2.gz MIZTXZBDUTVCEQ-SFHVURJKSA-N 0 3 309.457 4.323 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H](C)c2cn(C)nc2C)cc1 ZINC000284400500 131077411 /nfs/dbraw/zinc/07/74/11/131077411.db2.gz PRIFYKDUIQWHMM-HUUCEWRRSA-N 0 3 315.461 4.319 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2cccc(CO)c2)cc1 ZINC000284523002 131088696 /nfs/dbraw/zinc/08/86/96/131088696.db2.gz WUEYFXHFXHNQIS-INIZCTEOSA-N 0 3 313.441 4.209 20 0 DIADHN C[C@H](N[C@H](CCc1ccccc1)c1ccccc1)c1cn[nH]c1 ZINC000285073313 131136264 /nfs/dbraw/zinc/13/62/64/131136264.db2.gz JIGBDHQKMZZDDY-OXJNMPFZSA-N 0 3 305.425 4.434 20 0 DIADHN COc1cc(CNCc2cccc3cc[nH]c32)ccc1OC(C)C ZINC000340198018 131139299 /nfs/dbraw/zinc/13/92/99/131139299.db2.gz YVGKHNMNZHUWSZ-UHFFFAOYSA-N 0 3 324.424 4.254 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1cn[nH]c1 ZINC000285254071 131151583 /nfs/dbraw/zinc/15/15/83/131151583.db2.gz QBLXDMZQUQEJQD-MEBBXXQBSA-N 0 3 309.335 4.230 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1occc1C(C)C ZINC000285275473 131153460 /nfs/dbraw/zinc/15/34/60/131153460.db2.gz MZXUOLMSBFEBJC-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000285316173 131156704 /nfs/dbraw/zinc/15/67/04/131156704.db2.gz XCHDQGNBFWAKRM-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN Cc1nc(C)c(CN(CCc2ccc(F)cc2)C2CC2)s1 ZINC000353485039 131175567 /nfs/dbraw/zinc/17/55/67/131175567.db2.gz ZGQBXNYLMNRHJP-UHFFFAOYSA-N 0 3 304.434 4.106 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC[C@](O)(C(F)(F)F)CC1 ZINC000285510422 131175863 /nfs/dbraw/zinc/17/58/63/131175863.db2.gz JUBZOCMRXMZEMU-LSDHHAIUSA-N 0 3 319.342 4.056 20 0 DIADHN Cc1cc2cc(CNCc3ccc(N(C)C(C)C)nc3)ccc2[nH]1 ZINC000285558565 131180932 /nfs/dbraw/zinc/18/09/32/131180932.db2.gz SGQINGFIRXXGBY-UHFFFAOYSA-N 0 3 322.456 4.006 20 0 DIADHN CC[C@H](NCc1ccnc(N2CCCC2)c1)c1cccc(C)c1 ZINC000285654039 131191101 /nfs/dbraw/zinc/19/11/01/131191101.db2.gz MLJYBDVDCQVIBC-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN Cc1cccc(CCCNCc2nc(-c3ccccc3)cs2)n1 ZINC000285670945 131191992 /nfs/dbraw/zinc/19/19/92/131191992.db2.gz UFYPRLJVDOZQIE-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1ccnc1)c1cccc(C)c1 ZINC000285680898 131192915 /nfs/dbraw/zinc/19/29/15/131192915.db2.gz NWIXICBUDRPOKB-OAQYLSRUSA-N 0 3 319.452 4.481 20 0 DIADHN COCCC[C@@H](CNCc1cscn1)c1ccc(Cl)cc1 ZINC000285697477 131194225 /nfs/dbraw/zinc/19/42/25/131194225.db2.gz VTAGVYRXVLROFH-AWEZNQCLSA-N 0 3 324.877 4.097 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H]2CCO[C@H](c3ccc(F)cc3)C2)c1 ZINC000285930196 131210672 /nfs/dbraw/zinc/21/06/72/131210672.db2.gz CVFSLIOCJISPFH-YJLNNSPDSA-N 0 3 314.404 4.100 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@H]2CCCO[C@H]2c2ccccc2)c1 ZINC000286032006 131216124 /nfs/dbraw/zinc/21/61/24/131216124.db2.gz KEUXPZKSGMCCAP-HQRMLTQVSA-N 0 3 310.441 4.209 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCC(=O)N3)c1C ZINC000286043947 131217101 /nfs/dbraw/zinc/21/71/01/131217101.db2.gz KSEIHJMRYPXTAP-LSDHHAIUSA-N 0 3 324.424 4.046 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1ccccc1-n1ccnn1 ZINC000286049599 131217493 /nfs/dbraw/zinc/21/74/93/131217493.db2.gz KEJPYCZYQKORSF-CZUORRHYSA-N 0 3 324.453 4.057 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccccc3)CC2)c(C)c1OC ZINC000286223171 131224634 /nfs/dbraw/zinc/22/46/34/131224634.db2.gz SLHKZDDEVHBIKK-OAHLLOKOSA-N 0 3 311.425 4.352 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@@H](N[C@@H](C)c2nc(C)cs2)C1 ZINC000340225789 131227936 /nfs/dbraw/zinc/22/79/36/131227936.db2.gz MIRHUKKJIXUYPZ-SOUVJXGZSA-N 0 3 324.490 4.004 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@H](N[C@H](C)c2nc(C)cs2)C1 ZINC000340225786 131228431 /nfs/dbraw/zinc/22/84/31/131228431.db2.gz MIRHUKKJIXUYPZ-ILXRZTDVSA-N 0 3 324.490 4.004 20 0 DIADHN OC1CCC(N[C@@H](c2cc3ccccc3o2)c2ccccc2)CC1 ZINC000286336998 131231666 /nfs/dbraw/zinc/23/16/66/131231666.db2.gz YEDSTBJYHYFTAA-WIXQSORDSA-N 0 3 321.420 4.415 20 0 DIADHN Cc1ccnc([C@H](C)NCCc2nc(C(C)(C)C)cs2)c1 ZINC000286371047 131232771 /nfs/dbraw/zinc/23/27/71/131232771.db2.gz ZQKJNKSXBBJQRF-ZDUSSCGKSA-N 0 3 303.475 4.037 20 0 DIADHN C[C@@H](NCC[S@@](=O)c1ccccc1)c1cccc(C2CC2)c1 ZINC000340239427 131246378 /nfs/dbraw/zinc/24/63/78/131246378.db2.gz NXKQSDYXMRBHSN-IVZQSRNASA-N 0 3 313.466 4.022 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1cccc(C2CC2)c1 ZINC000340240253 131248611 /nfs/dbraw/zinc/24/86/11/131248611.db2.gz HMVFVZMJWLFIJC-OAHLLOKOSA-N 0 3 308.425 4.243 20 0 DIADHN COCC(C)(C)CCCN[C@H](c1ccccc1)c1ccccn1 ZINC000286850922 131252297 /nfs/dbraw/zinc/25/22/97/131252297.db2.gz AJIDDDWPFKCYSY-LJQANCHMSA-N 0 3 312.457 4.213 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)s1 ZINC000286892096 131254333 /nfs/dbraw/zinc/25/43/33/131254333.db2.gz DCLHVKJJDAKESG-WFASDCNBSA-N 0 3 309.862 4.484 20 0 DIADHN O=C(Nc1cccc(-c2ccccc2)c1)C1CCN(C2CC2)CC1 ZINC000286901276 131255000 /nfs/dbraw/zinc/25/50/00/131255000.db2.gz MVAQMZVNDSBLHU-UHFFFAOYSA-N 0 3 320.436 4.167 20 0 DIADHN c1ccc2c(c1)OC1(CCCCC1)C[C@H]2NCC1=CCCOC1 ZINC000286908504 131255051 /nfs/dbraw/zinc/25/50/51/131255051.db2.gz DXAOJIBWHQSYNF-GOSISDBHSA-N 0 3 313.441 4.149 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCC1=CCCOC1)CCCS2 ZINC000286973838 131258917 /nfs/dbraw/zinc/25/89/17/131258917.db2.gz IQQHCKPJKGLYQH-HNNXBMFYSA-N 0 3 309.862 4.203 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000287371285 131277026 /nfs/dbraw/zinc/27/70/26/131277026.db2.gz HSNBNGDHZFYEAM-AWEZNQCLSA-N 0 3 305.443 4.014 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(C(=O)OC)cs1)c1ccccc1 ZINC000353501482 131280657 /nfs/dbraw/zinc/28/06/57/131280657.db2.gz ITBCGHLOOWVCJA-SWLSCSKDSA-N 0 3 303.427 4.337 20 0 DIADHN COC[C@@H](NCCCC(F)(F)F)c1cccc(Cl)c1F ZINC000287752081 131298557 /nfs/dbraw/zinc/29/85/57/131298557.db2.gz QJCNTZCEEHTGGM-LLVKDONJSA-N 0 3 313.722 4.099 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cc1 ZINC000289132675 131360786 /nfs/dbraw/zinc/36/07/86/131360786.db2.gz FBYNIZAKFQFMFN-JLTOFOAXSA-N 0 3 320.436 4.388 20 0 DIADHN C[C@@H](NCCOC1CCC1)c1ccc(Oc2cccnc2)cc1 ZINC000289161451 131362992 /nfs/dbraw/zinc/36/29/92/131362992.db2.gz DPVANFIQKONYHK-OAHLLOKOSA-N 0 3 312.413 4.094 20 0 DIADHN c1cc2cc(CN3CCC[C@H](c4noc(C5CC5)n4)C3)ccc2o1 ZINC000289175683 131363707 /nfs/dbraw/zinc/36/37/07/131363707.db2.gz FOVZTCXEQQLJFI-INIZCTEOSA-N 0 3 323.396 4.073 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H](c2ccccc2)C(C)(C)C)s1 ZINC000289203793 131365424 /nfs/dbraw/zinc/36/54/24/131365424.db2.gz HXPZQIGMBDJUKD-DOMZBBRYSA-N 0 3 304.459 4.073 20 0 DIADHN Cc1cccc([C@@H](NCc2cnc([C@H](C)O)s2)C(C)(C)C)c1 ZINC000289271910 131370336 /nfs/dbraw/zinc/37/03/36/131370336.db2.gz NQGYWGLTYOAYGJ-XJKSGUPXSA-N 0 3 318.486 4.382 20 0 DIADHN COCc1cccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000289334437 131375365 /nfs/dbraw/zinc/37/53/65/131375365.db2.gz WCJFASYHIQPCTQ-FQEVSTJZSA-N 0 3 320.436 4.482 20 0 DIADHN CC[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000340311185 131377705 /nfs/dbraw/zinc/37/77/05/131377705.db2.gz FFZMAXJDNXSVQF-MOPGFXCFSA-N 0 3 324.424 4.060 20 0 DIADHN C[C@H](NC[C@@H]1CCCCO1)c1ccc(Oc2cccnc2)cc1 ZINC000289460050 131387878 /nfs/dbraw/zinc/38/78/78/131387878.db2.gz PZONLWAQFDMQAA-YJBOKZPZSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1cn[nH]c1CNC(c1ccccc1C)c1ccccc1C ZINC000289565592 131396378 /nfs/dbraw/zinc/39/63/78/131396378.db2.gz RJMVOPBCWKALDP-UHFFFAOYSA-N 0 3 305.425 4.214 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3ccccc32)cc(N2CCCC2)n1 ZINC000289799589 131417863 /nfs/dbraw/zinc/41/78/63/131417863.db2.gz NUADWOXPKJIQKS-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1ccc(Br)nc1 ZINC000289946648 131437171 /nfs/dbraw/zinc/43/71/71/131437171.db2.gz WHYGPHVQNWKUHK-NWDGAFQWSA-N 0 3 305.219 4.256 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCCc2ccc(O)cc21 ZINC000291169132 131549138 /nfs/dbraw/zinc/54/91/38/131549138.db2.gz JTVFEYSKZOALQF-HXUWFJFHSA-N 0 3 311.425 4.096 20 0 DIADHN Fc1ccc([C@@H](C[C@H]2CCOC2)NCc2cccs2)cc1 ZINC000292834036 131681703 /nfs/dbraw/zinc/68/17/03/131681703.db2.gz BPVZFSDYLRWVGS-CXAGYDPISA-N 0 3 305.418 4.145 20 0 DIADHN Cc1cc2c(cc1C)[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)CCS2 ZINC000340450883 131794771 /nfs/dbraw/zinc/79/47/71/131794771.db2.gz JRGCVTPFMWHVPJ-XJKSGUPXSA-N 0 3 314.454 4.292 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(F)cc(F)cc21)c1cccc(CO)c1 ZINC000297177387 132003449 /nfs/dbraw/zinc/00/34/49/132003449.db2.gz DSQQGQWWUVIIQC-HXPMCKFVSA-N 0 3 317.379 4.185 20 0 DIADHN C[C@@H](CCO)CCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000297195760 132003881 /nfs/dbraw/zinc/00/38/81/132003881.db2.gz FRPZVMMWBDAZMU-CHWSQXEVSA-N 0 3 322.449 4.008 20 0 DIADHN CN(Cc1nnc(-c2ccco2)o1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000297467379 132016909 /nfs/dbraw/zinc/01/69/09/132016909.db2.gz IOIXVORJHNFDLI-JKSUJKDBSA-N 0 3 323.396 4.098 20 0 DIADHN Oc1cccc2c1CC[C@@H]2N[C@@H]1CCCc2nc(Cl)ccc21 ZINC000297592636 132024420 /nfs/dbraw/zinc/02/44/20/132024420.db2.gz HROKYAXMPOMOTL-ZBFHGGJFSA-N 0 3 314.816 4.095 20 0 DIADHN Cc1cc([C@H](C)NCCc2nc(C(F)(F)F)cs2)cs1 ZINC000297685705 132028797 /nfs/dbraw/zinc/02/87/97/132028797.db2.gz LSEFOEPCKHGSDB-VIFPVBQESA-N 0 3 320.405 4.425 20 0 DIADHN C[C@H](CN[C@@H]1CCn2ccnc21)c1c(Cl)cccc1Cl ZINC000297737813 132031374 /nfs/dbraw/zinc/03/13/74/132031374.db2.gz NWTMVEABHISPSM-ZWNOBZJWSA-N 0 3 310.228 4.028 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3nc(Cl)ccc32)cc1 ZINC000297734022 132031578 /nfs/dbraw/zinc/03/15/78/132031578.db2.gz NQLCXNLEPRYBOC-WBMJQRKESA-N 0 3 316.832 4.472 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000297815182 132035222 /nfs/dbraw/zinc/03/52/22/132035222.db2.gz QZQLSIPMWZUQTR-IAQYHMDHSA-N 0 3 311.351 4.476 20 0 DIADHN COc1c(Cl)cc(NC2CCN(C3CC3)CC2)cc1Cl ZINC000298571777 132068724 /nfs/dbraw/zinc/06/87/24/132068724.db2.gz XCLLQKIXQNFGNN-UHFFFAOYSA-N 0 3 315.244 4.041 20 0 DIADHN CCOc1cc(CN[C@H](C)c2cccs2)cc(Cl)c1O ZINC000299250803 132094726 /nfs/dbraw/zinc/09/47/26/132094726.db2.gz COJXDUUDELLHLJ-SNVBAGLBSA-N 0 3 311.834 4.357 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000299369844 132101244 /nfs/dbraw/zinc/10/12/44/132101244.db2.gz FIHQZWGSUXHIDW-AEFFLSMTSA-N 0 3 310.441 4.371 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000119846164 132138800 /nfs/dbraw/zinc/13/88/00/132138800.db2.gz PHPBXNROOSAAGI-CQSZACIVSA-N 0 3 319.836 4.448 20 0 DIADHN CC(C)NCc1csc(-c2ccc(C(F)(F)F)cc2)n1 ZINC000040429822 132141140 /nfs/dbraw/zinc/14/11/40/132141140.db2.gz VXAFJYHGSJDIFP-UHFFFAOYSA-N 0 3 300.349 4.327 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(Cl)s2)cc1OC ZINC000040444143 132141144 /nfs/dbraw/zinc/14/11/44/132141144.db2.gz FIWVUILLRMJZQT-SNVBAGLBSA-N 0 3 311.834 4.270 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccc2c(c1)OCCCO2 ZINC000120475014 132142047 /nfs/dbraw/zinc/14/20/47/132142047.db2.gz XMUHEVGBJSGDMQ-RDTXWAMCSA-N 0 3 309.409 4.186 20 0 DIADHN NC1(c2nc(-c3ccc(OC(F)F)cc3)cs2)CCCC1 ZINC000041164269 132146386 /nfs/dbraw/zinc/14/63/86/132146386.db2.gz XARSUNDRNAMLEU-UHFFFAOYSA-N 0 3 310.369 4.140 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2cc(C)oc2C)o1 ZINC000120861551 132147675 /nfs/dbraw/zinc/14/76/75/132147675.db2.gz LAOFLMVHNPYQGL-HOCLYGCPSA-N 0 3 317.433 4.347 20 0 DIADHN CC(C)N1CCCN(Cc2csc(C3CCCCC3)n2)CC1 ZINC000340684894 132151307 /nfs/dbraw/zinc/15/13/07/132151307.db2.gz UWRAIFKTSFKHJD-UHFFFAOYSA-N 0 3 321.534 4.107 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc2c(c1)CCCC2 ZINC000122107461 132161794 /nfs/dbraw/zinc/16/17/94/132161794.db2.gz MOZPJVVJCCGHCW-AUUYWEPGSA-N 0 3 309.457 4.027 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(OC)c(F)c2)c1 ZINC000122187244 132162427 /nfs/dbraw/zinc/16/24/27/132162427.db2.gz KWIBOPMYEPJFSC-CHWSQXEVSA-N 0 3 303.377 4.255 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(OC)c(F)c2)cc1 ZINC000122186080 132162502 /nfs/dbraw/zinc/16/25/02/132162502.db2.gz KVRUQEUKOWPOJA-QWHCGFSZSA-N 0 3 303.377 4.255 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)OCCO2 ZINC000122558747 132165824 /nfs/dbraw/zinc/16/58/24/132165824.db2.gz SYYMITWCVHTFOP-NSHDSACASA-N 0 3 321.779 4.101 20 0 DIADHN COC[C@@H](C)CNCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000525869622 335069641 /nfs/dbraw/zinc/06/96/41/335069641.db2.gz PULZKNXBWQGYJJ-NSHDSACASA-N 0 3 324.877 4.144 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425558919 335089627 /nfs/dbraw/zinc/08/96/27/335089627.db2.gz YKAYOSXSXUEADC-KBXCAEBGSA-N 0 3 303.421 4.168 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425558711 335089663 /nfs/dbraw/zinc/08/96/63/335089663.db2.gz YCAJBYAVPDAHBK-SCLBCKFNSA-N 0 3 321.411 4.307 20 0 DIADHN Cc1nocc1CN[C@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000570691060 332801647 /nfs/dbraw/zinc/80/16/47/332801647.db2.gz DRDZKBCDEUEZQU-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN COc1ccc(COCCN[C@H](C)c2cccc(Cl)c2)cc1 ZINC000537935782 332806615 /nfs/dbraw/zinc/80/66/15/332806615.db2.gz GPBOHNAQHLCXJC-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2ccc(OC)cc21)c1ccc(OC)cc1 ZINC000173230354 332825795 /nfs/dbraw/zinc/82/57/95/332825795.db2.gz YNBZMXQQMGMFAQ-WOJBJXKFSA-N 0 3 311.425 4.432 20 0 DIADHN CCC[C@@]1(C)CCCN(C(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000174869025 332866673 /nfs/dbraw/zinc/86/66/73/332866673.db2.gz QUVFLRSZWCGUSK-IBGZPJMESA-N 0 3 317.477 4.182 20 0 DIADHN Fc1ccc(CNCCOc2cccc3ccccc32)c(F)c1 ZINC000518759748 332898668 /nfs/dbraw/zinc/89/86/68/332898668.db2.gz HEBACZBUEBXKBZ-UHFFFAOYSA-N 0 3 313.347 4.287 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCC(=O)Nc1c(Cl)cccc1Cl ZINC000035159776 331479814 /nfs/dbraw/zinc/47/98/14/331479814.db2.gz YVSALSRISNGNLW-ZWNOBZJWSA-N 0 3 315.244 4.100 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(Cl)s2)cc1OC ZINC000035232482 331488106 /nfs/dbraw/zinc/48/81/06/331488106.db2.gz BNWUEKCLPDTEQA-SNVBAGLBSA-N 0 3 311.834 4.270 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1)c1ccc2c(c1)COC2 ZINC000537990227 331488938 /nfs/dbraw/zinc/48/89/38/331488938.db2.gz GYFVLWWOFVOQND-CYBMUJFWSA-N 0 3 317.816 4.100 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H](OC)C(C)(C)C)oc21 ZINC000538395152 331514568 /nfs/dbraw/zinc/51/45/68/331514568.db2.gz FAHILALPMFQXFB-LRDDRELGSA-N 0 3 305.418 4.153 20 0 DIADHN Cc1csc(CN[C@@H]2CCCC[C@@H]2Oc2ccccc2C)n1 ZINC000533445053 331531667 /nfs/dbraw/zinc/53/16/67/331531667.db2.gz WVSMTVZLUBRDQA-WBVHZDCISA-N 0 3 316.470 4.240 20 0 DIADHN CCC[C@@H](NCCc1cnccn1)c1ccc(Cl)cc1Cl ZINC000530518859 331552857 /nfs/dbraw/zinc/55/28/57/331552857.db2.gz JJCZWLDWFBKBMC-MRXNPFEDSA-N 0 3 324.255 4.457 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1ccnc1)c1cc(F)c(Cl)cc1Cl ZINC000037320310 331555113 /nfs/dbraw/zinc/55/51/13/331555113.db2.gz LNJUVBUALIYZMF-VHSXEESVSA-N 0 3 316.207 4.068 20 0 DIADHN CC[C@H](CN[C@H](C)c1cncc(F)c1)Oc1cccc(Cl)c1 ZINC000538019169 331563446 /nfs/dbraw/zinc/56/34/46/331563446.db2.gz ANZAAHHRSINGPB-MLGOLLRUSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@@H](C)c1ccccn1 ZINC000180225258 331564164 /nfs/dbraw/zinc/56/41/64/331564164.db2.gz OQYCZNOLDVZQTP-OLZOCXBDSA-N 0 3 308.347 4.382 20 0 DIADHN OC[C@H](N[C@@H]1CCC12CCCC2)c1ccc(C(F)(F)F)cc1 ZINC000530545481 331591449 /nfs/dbraw/zinc/59/14/49/331591449.db2.gz UYEOXJKBRYQNEM-LSDHHAIUSA-N 0 3 313.363 4.051 20 0 DIADHN COc1c2ccccc2oc1CN[C@H](C)Cc1ccsc1 ZINC000530546198 331592368 /nfs/dbraw/zinc/59/23/68/331592368.db2.gz XESDCMVLERQPGO-GFCCVEGCSA-N 0 3 301.411 4.224 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1)NC1(c2ccccc2)CCC1 ZINC000530668225 331617106 /nfs/dbraw/zinc/61/71/06/331617106.db2.gz OKTLZFWHESCSQV-INIZCTEOSA-N 0 3 308.425 4.073 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1ccc(-c2ccc(F)cc2)o1 ZINC000530674288 331617713 /nfs/dbraw/zinc/61/77/13/331617713.db2.gz VMQQNMFBTJFDGC-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(OC)c(OC)c2)cc1 ZINC000530722976 331621237 /nfs/dbraw/zinc/62/12/37/331621237.db2.gz OQNGODWRAOOKDU-UHFFFAOYSA-N 0 3 313.441 4.291 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)Cc3ccncc3)cs2)cc1 ZINC000530915218 331635448 /nfs/dbraw/zinc/63/54/48/331635448.db2.gz WOFLKBMKTNBOHK-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cnccc1CCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000533636695 331639078 /nfs/dbraw/zinc/63/90/78/331639078.db2.gz GDYQOWCQTZILAJ-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CC[C@@H]3CCCC[C@H]3C2)c1 ZINC000533689846 331646309 /nfs/dbraw/zinc/64/63/09/331646309.db2.gz KNGQYQDMXKRNGI-OKZBNKHCSA-N 0 3 314.473 4.293 20 0 DIADHN C[C@H](NCc1cccc(O)c1)c1ccc(OC(F)(F)F)cc1 ZINC000073649986 331670594 /nfs/dbraw/zinc/67/05/94/331670594.db2.gz SYVIVWJWQYDSKX-NSHDSACASA-N 0 3 311.303 4.142 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)c(Cl)c2)cc1OC ZINC000074335083 331671107 /nfs/dbraw/zinc/67/11/07/331671107.db2.gz PQDMRYYJLGXMQL-NSHDSACASA-N 0 3 323.795 4.347 20 0 DIADHN Cc1ccsc1CNCc1csc(Br)c1 ZINC000075734709 331672508 /nfs/dbraw/zinc/67/25/08/331672508.db2.gz ZQEHOCONDCCYGT-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C)cc2)cc1OC(F)F ZINC000079723003 331682721 /nfs/dbraw/zinc/68/27/21/331682721.db2.gz QUNNPFQEGVKDHC-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CC[C@H](N[C@H](CO)CC(C)(C)C)c1ccccc1OC(F)F ZINC000539048624 331685025 /nfs/dbraw/zinc/68/50/25/331685025.db2.gz RCBFGFQRAADWMK-JSGCOSHPSA-N 0 3 315.404 4.126 20 0 DIADHN CCc1ccc(-c2ccc(CNCC[C@H](O)C(C)C)o2)cc1 ZINC000465004990 332923780 /nfs/dbraw/zinc/92/37/80/332923780.db2.gz XGSGTROPVGDNOY-SFHVURJKSA-N 0 3 301.430 4.006 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CC[C@H](c4ccccc4)C3)cn2c1 ZINC000556418447 331702474 /nfs/dbraw/zinc/70/24/74/331702474.db2.gz IWHPYECNDBBSGN-ROUUACIJSA-N 0 3 305.425 4.069 20 0 DIADHN CC(C)COc1ccccc1CNCCOc1ccccc1F ZINC000556575299 331717693 /nfs/dbraw/zinc/71/76/93/331717693.db2.gz BPZMRFRTJOGHKW-UHFFFAOYSA-N 0 3 317.404 4.029 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-c3ccccc3)s2)n(C)n1 ZINC000556610242 331720063 /nfs/dbraw/zinc/72/00/63/331720063.db2.gz RMZKHFGUANKKPQ-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc(C)c(Cl)c2)CC1 ZINC000556609311 331720839 /nfs/dbraw/zinc/72/08/39/331720839.db2.gz OPDKWDWDCPVWOC-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C3CCC3)cc2)cc1 ZINC000556867339 331743916 /nfs/dbraw/zinc/74/39/16/331743916.db2.gz IGPQGSXRRYUEBX-UHFFFAOYSA-N 0 3 309.409 4.031 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CCCC1)c1ncc[nH]1 ZINC000556881226 331747330 /nfs/dbraw/zinc/74/73/30/331747330.db2.gz IXHAKOLWMGSEMU-CQSZACIVSA-N 0 3 301.459 4.165 20 0 DIADHN Cc1cccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)c1C ZINC000556909473 331751970 /nfs/dbraw/zinc/75/19/70/331751970.db2.gz HAVGQIIMJQEDNW-FQEVSTJZSA-N 0 3 309.453 4.297 20 0 DIADHN CCc1noc(C)c1CNCCc1ccc(C(C)(C)C)cc1 ZINC000556925793 331755125 /nfs/dbraw/zinc/75/51/25/331755125.db2.gz CZTQURJQCNMRBX-UHFFFAOYSA-N 0 3 300.446 4.175 20 0 DIADHN Cc1nc(C(C)C)ccc1CNC1(c2ccccc2)CCOCC1 ZINC000557010977 331762655 /nfs/dbraw/zinc/76/26/55/331762655.db2.gz JOIPGUBYQFGLSB-UHFFFAOYSA-N 0 3 324.468 4.309 20 0 DIADHN COC1(CCNCc2ccc(-c3cccc(F)c3F)o2)CCC1 ZINC000557040112 331764495 /nfs/dbraw/zinc/76/44/95/331764495.db2.gz NNJJQAFJIWXUHS-UHFFFAOYSA-N 0 3 321.367 4.274 20 0 DIADHN C[C@@H](NC[C@@H](c1ccco1)N1CCCCC1)c1ccc(F)cc1 ZINC000557099310 331769799 /nfs/dbraw/zinc/76/97/99/331769799.db2.gz WYNDOMGZLFLWNV-QAPCUYQASA-N 0 3 316.420 4.297 20 0 DIADHN FC(F)(F)CCCNCc1ncc(-c2ccccc2)s1 ZINC000557118561 331770867 /nfs/dbraw/zinc/77/08/67/331770867.db2.gz QQRBEPWDRQNBLD-UHFFFAOYSA-N 0 3 300.349 4.242 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H]1CCc2sc(Cl)cc21 ZINC000557261162 331778911 /nfs/dbraw/zinc/77/89/11/331778911.db2.gz CSZWWECECGJLIR-MWLCHTKSSA-N 0 3 315.866 4.099 20 0 DIADHN CC(C)n1cc([C@H](C)NCc2cc3c(s2)CCCC3)cn1 ZINC000557262289 331779263 /nfs/dbraw/zinc/77/92/63/331779263.db2.gz KGPRTFDRAWAAHT-ZDUSSCGKSA-N 0 3 303.475 4.255 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@H](OC)c2ccc(OC)cc2)o1 ZINC000557281778 331780858 /nfs/dbraw/zinc/78/08/58/331780858.db2.gz LDJCKMYUXJBTPO-KSMMKXTCSA-N 0 3 317.429 4.277 20 0 DIADHN Fc1ccccc1CN[C@H]1CCO[C@@H]1c1ccc(Cl)cc1 ZINC000557464488 331792058 /nfs/dbraw/zinc/79/20/58/331792058.db2.gz VCXJDVRGPMGBFY-DLBZAZTESA-N 0 3 305.780 4.099 20 0 DIADHN CCCCOCCN[C@H](C)c1ccc(Oc2cccnc2)cc1 ZINC000557507028 331795867 /nfs/dbraw/zinc/79/58/67/331795867.db2.gz SYQBDZJBCHNUGK-MRXNPFEDSA-N 0 3 314.429 4.341 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)NC1CCCC1)c1ccc(Cl)cc1 ZINC000557655120 331806804 /nfs/dbraw/zinc/80/68/04/331806804.db2.gz XQTNIHXUPAUPTI-CXAGYDPISA-N 0 3 322.880 4.074 20 0 DIADHN CC1(C)[C@H](NCc2sc3ccccc3c2Cl)[C@H]2CCO[C@H]21 ZINC000557819531 331818479 /nfs/dbraw/zinc/81/84/79/331818479.db2.gz HOHIOTIJTWRCHG-HFBAOOFYSA-N 0 3 321.873 4.458 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2NCc2cccc(Cl)c2)cc1F ZINC000557881540 331823879 /nfs/dbraw/zinc/82/38/79/331823879.db2.gz FZFDTUYHUCGJLG-IRXDYDNUSA-N 0 3 323.770 4.238 20 0 DIADHN CCC[C@H](NC(=O)[C@@H](C)N[C@H](C)c1ccccc1)c1ccccc1 ZINC000255953979 331828232 /nfs/dbraw/zinc/82/82/32/331828232.db2.gz ZTJAKZBQBLMXAR-HLIPFELVSA-N 0 3 324.468 4.383 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N[C@H]2CCCC[C@@H]2C)c(C)s1 ZINC000255955830 331828638 /nfs/dbraw/zinc/82/86/38/331828638.db2.gz YDUWYGAEWCLOSO-DPYKFYPSSA-N 0 3 322.518 4.099 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)[C@@H](C)N[C@H](C)c1cc(C)sc1C ZINC000255955709 331828645 /nfs/dbraw/zinc/82/86/45/331828645.db2.gz BUNISQCFYCKUNO-IIAWOOMASA-N 0 3 322.518 4.195 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](c2ccccc2)c2ccc(F)cc2)n1 ZINC000557999608 331831246 /nfs/dbraw/zinc/83/12/46/331831246.db2.gz JSAJRBNOHYCRDB-FQEVSTJZSA-N 0 3 323.415 4.018 20 0 DIADHN CCCN[C@@H](C)C(=O)Nc1ccc(Oc2ccc(C)cc2)cc1 ZINC000558068046 331849553 /nfs/dbraw/zinc/84/95/53/331849553.db2.gz CCPJIKSCYHAGFV-HNNXBMFYSA-N 0 3 312.413 4.114 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](NCc2noc3ccccc23)C1 ZINC000584182642 331858785 /nfs/dbraw/zinc/85/87/85/331858785.db2.gz HILRBGJPZNBDKU-HOTGVXAUSA-N 0 3 321.424 4.347 20 0 DIADHN C[C@@H](NC[C@@H]1COc2ccccc21)c1ccc(F)c(Cl)c1 ZINC000558167532 331881914 /nfs/dbraw/zinc/88/19/14/331881914.db2.gz UUCBFDZAEFVCRL-DGCLKSJQSA-N 0 3 305.780 4.306 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000558256752 331889500 /nfs/dbraw/zinc/88/95/00/331889500.db2.gz IIGQVVKQWNSPQB-CVEARBPZSA-N 0 3 323.415 4.293 20 0 DIADHN Cc1c([C@H](C)N[C@H]2CC[C@H](F)C2)cnn1-c1cccc(Cl)c1 ZINC000558257503 331889975 /nfs/dbraw/zinc/88/99/75/331889975.db2.gz QGRNMHYYIRKKKR-CQDKDKBSSA-N 0 3 321.827 4.375 20 0 DIADHN Cn1c(CN[C@@H]2CC[C@@H]2C(C)(C)C)nc2cc(Cl)ccc21 ZINC000558260350 331890693 /nfs/dbraw/zinc/89/06/93/331890693.db2.gz XWUDOPAGZDUSDO-QWHCGFSZSA-N 0 3 305.853 4.141 20 0 DIADHN CCC[C@@H](NCc1c(C)nn(C)c1C)c1ccc(Cl)cc1 ZINC000092324563 331919700 /nfs/dbraw/zinc/91/97/00/331919700.db2.gz HIISZYMXDASOSD-QGZVFWFLSA-N 0 3 305.853 4.321 20 0 DIADHN Cc1csc([C@@H](C)CN[C@H]2CCCOc3cc(F)ccc32)n1 ZINC000186570226 332934560 /nfs/dbraw/zinc/93/45/60/332934560.db2.gz YRYYPECMGGOXOD-NHYWBVRUSA-N 0 3 320.433 4.198 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cccc2ccsc21 ZINC000558671847 331933682 /nfs/dbraw/zinc/93/36/82/331933682.db2.gz AWQDNPKWGSQJLM-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2sc(C)nc2C)cc1F ZINC000040517953 331948625 /nfs/dbraw/zinc/94/86/25/331948625.db2.gz GFDAVOZHWQVSNV-ZJUUUORDSA-N 0 3 308.422 4.319 20 0 DIADHN c1cc2cc(CN[C@H](c3ccccc3)[C@@H]3CCOC3)ccc2[nH]1 ZINC000580094283 332936154 /nfs/dbraw/zinc/93/61/54/332936154.db2.gz MSWQHMULMMKTBV-UYAOXDASSA-N 0 3 306.409 4.035 20 0 DIADHN COc1ccc([C@H](C)NCC[C@@H]2C[C@@H](C)O[C@@H](C)C2)c(F)c1 ZINC000558968139 331961910 /nfs/dbraw/zinc/96/19/10/331961910.db2.gz BAURPPSUMSIESQ-XGUBFFRZSA-N 0 3 309.425 4.079 20 0 DIADHN COCC1CCN(Cc2cc(-c3ccccc3)cs2)CC1 ZINC000093103780 331971119 /nfs/dbraw/zinc/97/11/19/331971119.db2.gz CIOGGANLBDALGH-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN Oc1ccc(C2CCN(Cc3ccccc3Cl)CC2)cc1 ZINC000584249334 331980962 /nfs/dbraw/zinc/98/09/62/331980962.db2.gz HEWGBDFOQDWGRI-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN Cc1nocc1CNCC1(c2cccc(F)c2)CCCCC1 ZINC000559247420 332001912 /nfs/dbraw/zinc/00/19/12/332001912.db2.gz POLZFYPYIGIGOD-UHFFFAOYSA-N 0 3 302.393 4.114 20 0 DIADHN COCc1ccc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)cc1 ZINC000559248793 332003221 /nfs/dbraw/zinc/00/32/21/332003221.db2.gz QAOJAWZHOFYJQV-CVEARBPZSA-N 0 3 315.379 4.386 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](NCc2ccccc2Cl)C1 ZINC000518274468 332004379 /nfs/dbraw/zinc/00/43/79/332004379.db2.gz JEFADODKLINGAW-QAPCUYQASA-N 0 3 314.860 4.265 20 0 DIADHN CC(C)CCOCCNCc1ccccc1OC1CCCC1 ZINC000518280506 332006228 /nfs/dbraw/zinc/00/62/28/332006228.db2.gz SJABTOGIWKQYBP-UHFFFAOYSA-N 0 3 305.462 4.160 20 0 DIADHN CCN(CC)[C@@H](CNCc1cccc(C)c1C)c1ccco1 ZINC000518280561 332006545 /nfs/dbraw/zinc/00/65/45/332006545.db2.gz YKMXRVFLPWQUEC-SFHVURJKSA-N 0 3 300.446 4.069 20 0 DIADHN CCCC[C@H](CC)C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000518290648 332007683 /nfs/dbraw/zinc/00/76/83/332007683.db2.gz KNMGBECVSMMAIQ-IRXDYDNUSA-N 0 3 318.505 4.448 20 0 DIADHN CCCC[C@@H](CC)C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000518290646 332007768 /nfs/dbraw/zinc/00/77/68/332007768.db2.gz KNMGBECVSMMAIQ-DLBZAZTESA-N 0 3 318.505 4.448 20 0 DIADHN CSCc1cnc(CN[C@@H](C)C(C)(C)c2ccccc2)s1 ZINC000559259823 332008072 /nfs/dbraw/zinc/00/80/72/332008072.db2.gz GIIZFTVZQWBIBQ-ZDUSSCGKSA-N 0 3 320.527 4.462 20 0 DIADHN COc1cccc([C@H](C)N(C)C[C@H]2OCCc3ccccc32)c1 ZINC000584252436 332013544 /nfs/dbraw/zinc/01/35/44/332013544.db2.gz HNCJTFQDNOZGES-MGPUTAFESA-N 0 3 311.425 4.002 20 0 DIADHN OCC[C@H](NC/C=C/c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000584254903 332014443 /nfs/dbraw/zinc/01/44/43/332014443.db2.gz KSOQCGINVKUMQY-KROLTMCQSA-N 0 3 319.807 4.206 20 0 DIADHN COc1ccc(F)c(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000559282415 332014911 /nfs/dbraw/zinc/01/49/11/332014911.db2.gz RQFSFBLMNJJKDY-AAEUAGOBSA-N 0 3 319.342 4.293 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(OC(C)C)c2)cc1F ZINC000129322310 332018411 /nfs/dbraw/zinc/01/84/11/332018411.db2.gz VABDMQVEPUNVKR-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN CCc1cccc(CNCc2cccc(C(=O)N[C@@H](C)CC)c2)c1 ZINC000518384023 332022737 /nfs/dbraw/zinc/02/27/37/332022737.db2.gz XLFWCKAFCZQKIA-INIZCTEOSA-N 0 3 324.468 4.067 20 0 DIADHN COC1(CCNCc2ccc(-c3ccccc3F)o2)CCC1 ZINC000559312698 332023790 /nfs/dbraw/zinc/02/37/90/332023790.db2.gz JYJCPPFTPFJMTN-UHFFFAOYSA-N 0 3 303.377 4.135 20 0 DIADHN COc1cc(Cl)c(CNCCC2(OC)CCC2)cc1Cl ZINC000559313093 332023891 /nfs/dbraw/zinc/02/38/91/332023891.db2.gz PJLOEQHPKDBIKM-UHFFFAOYSA-N 0 3 318.244 4.051 20 0 DIADHN COCC1(CCNCc2ccc(F)c(Cl)c2Cl)CCC1 ZINC000559322964 332025645 /nfs/dbraw/zinc/02/56/45/332025645.db2.gz CMQNKFVHXRAPQX-UHFFFAOYSA-N 0 3 320.235 4.429 20 0 DIADHN CCOC1(CNCc2cc(C)ccc2OC(F)F)CCCC1 ZINC000559324102 332025794 /nfs/dbraw/zinc/02/57/94/332025794.db2.gz OMDCZAAQBGCTOY-UHFFFAOYSA-N 0 3 313.388 4.035 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cnn(-c2ccc(F)cc2)c1 ZINC000559327215 332026161 /nfs/dbraw/zinc/02/61/61/332026161.db2.gz WZOPIQYBJBSHJX-UHFFFAOYSA-N 0 3 323.415 4.345 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(-c2ccccc2)cc1)c1cnn(C)c1 ZINC000130792554 332026205 /nfs/dbraw/zinc/02/62/05/332026205.db2.gz ZDXVFJWWBLJWMX-HZPDHXFCSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(-c2ccccc2)cc1)c1cnn(C)c1 ZINC000130791943 332026208 /nfs/dbraw/zinc/02/62/08/332026208.db2.gz ZDXVFJWWBLJWMX-HOTGVXAUSA-N 0 3 305.425 4.499 20 0 DIADHN CC(C)[C@H](NCc1cccc(Br)n1)c1ccccc1 ZINC000131049968 332027254 /nfs/dbraw/zinc/02/72/54/332027254.db2.gz IDWAGJFXCFAWCT-INIZCTEOSA-N 0 3 319.246 4.331 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H]2CCc3c2cccc3C)c1 ZINC000518411519 332028121 /nfs/dbraw/zinc/02/81/21/332028121.db2.gz GXSQVGYQNWQZHH-WBMJQRKESA-N 0 3 315.438 4.181 20 0 DIADHN C[C@H](NC/C=C/c1ccccc1)c1ccc(-n2cccn2)c(F)c1 ZINC000559333754 332028221 /nfs/dbraw/zinc/02/82/21/332028221.db2.gz VTLCRPVFCUIAKA-RDTXFTJFSA-N 0 3 321.399 4.375 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1 ZINC000559334396 332028529 /nfs/dbraw/zinc/02/85/29/332028529.db2.gz VPCWKVHBBLIJSW-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(Cl)cc2)o1)C1CC1 ZINC000559336347 332029552 /nfs/dbraw/zinc/02/95/52/332029552.db2.gz PLWCTUVPUAGPHQ-KRWDZBQOSA-N 0 3 305.805 4.115 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N[C@@H]3CC4CCC3CC4)o2)cc1 ZINC000559341273 332030060 /nfs/dbraw/zinc/03/00/60/332030060.db2.gz KLTBEHQXBMRERS-ARAOSMHQSA-N 0 3 311.429 4.274 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccc(F)cc2C)o1)C(C)(C)O ZINC000559342487 332030360 /nfs/dbraw/zinc/03/03/60/332030360.db2.gz ACDFALCRSZRJAA-QGZVFWFLSA-N 0 3 305.393 4.033 20 0 DIADHN CCc1ccc([C@H](C)NCc2cncc(Br)c2)cc1 ZINC000131636654 332030505 /nfs/dbraw/zinc/03/05/05/332030505.db2.gz PANTWYDZLVNXNM-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN c1ccc(N[C@@H]2CCN(C[C@H]3CCC4(CCCCC4)O3)C2)cc1 ZINC000409447937 332032976 /nfs/dbraw/zinc/03/29/76/332032976.db2.gz TVDOCQZWQGRKCP-RTBURBONSA-N 0 3 314.473 4.055 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](C)[C@@H]1OCCc2sccc21 ZINC000426307907 332042226 /nfs/dbraw/zinc/04/22/26/332042226.db2.gz BNTVTKRTDNLWFW-IYOUNJFTSA-N 0 3 301.455 4.410 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1ccc(F)cc1 ZINC000426310570 332043393 /nfs/dbraw/zinc/04/33/93/332043393.db2.gz NUHGTEIHQDGXMX-PRXAMGSTSA-N 0 3 305.418 4.240 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000518447383 332045068 /nfs/dbraw/zinc/04/50/68/332045068.db2.gz VTIKYENGJWLPND-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN CCC(CC)[C@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000518450419 332047228 /nfs/dbraw/zinc/04/72/28/332047228.db2.gz WGGNRSCMWXSFHO-QGZVFWFLSA-N 0 3 321.848 4.487 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@@H](C)N2CCC(C)CC2)cc1 ZINC000520055303 332051305 /nfs/dbraw/zinc/05/13/05/332051305.db2.gz FJAQHSXEFFDEKZ-MRXNPFEDSA-N 0 3 317.477 4.052 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2C/C=C\c2ccncc2)c1 ZINC000426144660 332064502 /nfs/dbraw/zinc/06/45/02/332064502.db2.gz GBUFXPVUGNXDBN-NJXZSYQISA-N 0 3 308.425 4.187 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C/C=C\c1ccncc1)[C@@H]2C ZINC000426144655 332064581 /nfs/dbraw/zinc/06/45/81/332064581.db2.gz GACXDFHHLFLTMP-XETQRACLSA-N 0 3 308.425 4.111 20 0 DIADHN CC(F)(F)CCNCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000449355198 332066483 /nfs/dbraw/zinc/06/64/83/332066483.db2.gz WTUFMBFUDHANMF-UHFFFAOYSA-N 0 3 301.686 4.494 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)oc1C ZINC000426347300 332066633 /nfs/dbraw/zinc/06/66/33/332066633.db2.gz SLBXXDFJWZBUED-XWCIJXRUSA-N 0 3 305.443 4.311 20 0 DIADHN OC[C@@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1cccc(F)c1 ZINC000569384219 332072931 /nfs/dbraw/zinc/07/29/31/332072931.db2.gz QCRCBYKWQZPKBH-RBUKOAKNSA-N 0 3 324.399 4.008 20 0 DIADHN C[C@H](O)[C@H]1CCCCN1Cc1sc2ccccc2c1Cl ZINC000518582228 332084985 /nfs/dbraw/zinc/08/49/85/332084985.db2.gz JWZDUGZXWBWZBQ-WCQYABFASA-N 0 3 309.862 4.290 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1ccc(N2CCOC2=O)cc1 ZINC000090468808 332088810 /nfs/dbraw/zinc/08/88/10/332088810.db2.gz QHGWHFFBEUWFNM-MRXNPFEDSA-N 0 3 324.424 4.111 20 0 DIADHN C[C@H](NC(=O)Nc1ccc2c(c1)CNC2)c1cccc(C2CC2)c1 ZINC000569454305 332089460 /nfs/dbraw/zinc/08/94/60/332089460.db2.gz LGNXSAQQLIZTHY-ZDUSSCGKSA-N 0 3 321.424 4.050 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1cnc(-c2ccco2)s1 ZINC000449375241 332090759 /nfs/dbraw/zinc/09/07/59/332090759.db2.gz IBRWRWDHCVCHFJ-NSHDSACASA-N 0 3 312.385 4.318 20 0 DIADHN COc1ccc(OCCN(Cc2cccs2)C(C)C)cc1 ZINC000518603053 332092238 /nfs/dbraw/zinc/09/22/38/332092238.db2.gz DDMKCMNUIWAHQH-UHFFFAOYSA-N 0 3 305.443 4.046 20 0 DIADHN C[C@H]1CCc2nc(CNC[C@H]3CCCCC3(F)F)sc2C1 ZINC000449379354 332096676 /nfs/dbraw/zinc/09/66/76/332096676.db2.gz SWWTUOOJTDUACT-NWDGAFQWSA-N 0 3 314.445 4.183 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@H]3CCCCC3(F)F)sc2C1 ZINC000449379357 332096791 /nfs/dbraw/zinc/09/67/91/332096791.db2.gz SWWTUOOJTDUACT-VXGBXAGGSA-N 0 3 314.445 4.183 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1)[C@@H](C)c1cccc(O)c1 ZINC000091974938 332096794 /nfs/dbraw/zinc/09/67/94/332096794.db2.gz ZCQMWZMZYSMEAW-HNNXBMFYSA-N 0 3 321.424 4.365 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@H](CC(F)(F)F)C1 ZINC000569476639 332099046 /nfs/dbraw/zinc/09/90/46/332099046.db2.gz NPHKTTBBRYTPQQ-CYBMUJFWSA-N 0 3 300.368 4.288 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C1(CC)CCCCC1)c1ccco1 ZINC000569493934 332104087 /nfs/dbraw/zinc/10/40/87/332104087.db2.gz BHBHXOIQMPGWPP-HOTGVXAUSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3ccc(F)cc3F)nc21 ZINC000410315376 332109839 /nfs/dbraw/zinc/10/98/39/332109839.db2.gz AUDLBCWKTUFNAO-GFCCVEGCSA-N 0 3 316.351 4.308 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc([C@@H]4C[C@H]4C)o3)nc21 ZINC000410323032 332111114 /nfs/dbraw/zinc/11/11/14/332111114.db2.gz KYMDCSNZEILWTQ-UKRRQHHQSA-N 0 3 310.397 4.185 20 0 DIADHN COc1ccccc1CN(CCCCC1CCOCC1)C1CC1 ZINC000410326233 332111373 /nfs/dbraw/zinc/11/13/73/332111373.db2.gz NCFXOROGDPOLLU-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN Cc1cccc2oc(CCNCc3cccc(Cl)c3)nc21 ZINC000410332105 332112193 /nfs/dbraw/zinc/11/21/93/332112193.db2.gz DJJWKLVADTWNDQ-UHFFFAOYSA-N 0 3 300.789 4.122 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3cnc4ccccc4c3)C2)c1 ZINC000410350508 332115413 /nfs/dbraw/zinc/11/54/13/332115413.db2.gz ULIKSEZJIAWQPB-SFHVURJKSA-N 0 3 306.384 4.363 20 0 DIADHN CCC[C@H](NCCc1nc2c(cccc2C)o1)c1ccccn1 ZINC000410360530 332117454 /nfs/dbraw/zinc/11/74/54/332117454.db2.gz RJVSXVCBOWHYTH-HNNXBMFYSA-N 0 3 309.413 4.205 20 0 DIADHN CC(C)Oc1cccc(CNCCO[C@@H]2CCCC[C@@H]2C)c1 ZINC000520949449 332122097 /nfs/dbraw/zinc/12/20/97/332122097.db2.gz CKXWHUHDZAYORW-QFBILLFUSA-N 0 3 305.462 4.159 20 0 DIADHN c1ccc([C@H]2CSCCN2CCCCC2CCOCC2)cc1 ZINC000410388221 332122449 /nfs/dbraw/zinc/12/24/49/332122449.db2.gz YCXPROJSWFWZQJ-LJQANCHMSA-N 0 3 319.514 4.373 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cnc2ccccc2c1 ZINC000410388008 332122589 /nfs/dbraw/zinc/12/25/89/332122589.db2.gz PDVHGTIIUYRPLQ-INIZCTEOSA-N 0 3 320.436 4.306 20 0 DIADHN c1nc2cnccn2c1CN(Cc1ccccc1)C1CCCCC1 ZINC000092266028 332123557 /nfs/dbraw/zinc/12/35/57/332123557.db2.gz XWYUSUUFIJJLAN-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1ccc(Br)cc1F ZINC000132352427 332128048 /nfs/dbraw/zinc/12/80/48/332128048.db2.gz LPTNBEVBVAHOQI-MWLCHTKSSA-N 0 3 320.271 4.380 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc3nc(C)sc3c2)CC1 ZINC000426410695 332128092 /nfs/dbraw/zinc/12/80/92/332128092.db2.gz NGJBHUIZFCPKTD-MRXNPFEDSA-N 0 3 318.486 4.386 20 0 DIADHN C[C@@H](N[C@@H]1CCCSC1)c1cc(Br)ccc1F ZINC000132461799 332130144 /nfs/dbraw/zinc/13/01/44/332130144.db2.gz NMZVASTUCDXCFC-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)s1 ZINC000132908793 332134571 /nfs/dbraw/zinc/13/45/71/332134571.db2.gz VNPGKOAJPXNEHC-NEPJUHHUSA-N 0 3 317.458 4.213 20 0 DIADHN Cc1cnc([C@H](C)CN[C@@H]2CCCOc3cc(F)ccc32)s1 ZINC000186775151 332945561 /nfs/dbraw/zinc/94/55/61/332945561.db2.gz LKCBSBKRSIYAST-IAQYHMDHSA-N 0 3 320.433 4.198 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1C[C@@H]2CCCC[C@@H]2C1 ZINC000426777002 332135686 /nfs/dbraw/zinc/13/56/86/332135686.db2.gz JOYUJYXBFILBGG-YESZJQIVSA-N 0 3 314.473 4.259 20 0 DIADHN CCOC[C@@H]1CCN(Cc2ccc(-c3c(F)cccc3F)o2)C1 ZINC000093838904 332142883 /nfs/dbraw/zinc/14/28/83/332142883.db2.gz GNJJICARUWLJEX-CYBMUJFWSA-N 0 3 321.367 4.083 20 0 DIADHN CCCc1csc(CN(CCC)[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)n1 ZINC000426416728 332146148 /nfs/dbraw/zinc/14/61/48/332146148.db2.gz LIEFWTPOXXGINJ-OIISXLGYSA-N 0 3 322.518 4.121 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2C[C@H]3CCCC[C@@H]3C2)c(C)c1 ZINC000426802876 332155312 /nfs/dbraw/zinc/15/53/12/332155312.db2.gz YJQIQMAAKFLHJX-RCCFBDPRSA-N 0 3 314.473 4.061 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc(Cl)c2C)c1 ZINC000426974752 332163634 /nfs/dbraw/zinc/16/36/34/332163634.db2.gz YTWVZHABWWXWJI-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(C)CCCCCC1 ZINC000180934398 332168921 /nfs/dbraw/zinc/16/89/21/332168921.db2.gz AYJHBNKHQNGKCQ-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Fc1ccc([C@@H](NCC[C@H]2CCCO2)c2cccs2)cc1 ZINC000526047446 332172445 /nfs/dbraw/zinc/17/24/45/332172445.db2.gz MYVIJCWIVNQLAW-NVXWUHKLSA-N 0 3 305.418 4.135 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000181005108 332172959 /nfs/dbraw/zinc/17/29/59/332172959.db2.gz XSSYVPRBSWUVNT-KURKYZTESA-N 0 3 313.397 4.119 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCCCc1ccccn1 ZINC000181030001 332173331 /nfs/dbraw/zinc/17/33/31/332173331.db2.gz BZNXXYWNZAISAJ-UHFFFAOYSA-N 0 3 308.381 4.189 20 0 DIADHN CC[C@@H](C)[C@@H](C)C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000181111909 332175782 /nfs/dbraw/zinc/17/57/82/332175782.db2.gz GTZIPKSOFQQOQF-GDBMZVCRSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)OC1(C)C)c1cnc2ccsc2c1 ZINC000181258621 332188646 /nfs/dbraw/zinc/18/86/46/332188646.db2.gz GUEPPZGQIPJIRF-NHYWBVRUSA-N 0 3 304.459 4.293 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)OC1(C)C)c1cnc2ccsc2c1 ZINC000181258640 332188774 /nfs/dbraw/zinc/18/87/74/332188774.db2.gz GUEPPZGQIPJIRF-ABAIWWIYSA-N 0 3 304.459 4.293 20 0 DIADHN C[C@H](NC[C@@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000448025906 332193419 /nfs/dbraw/zinc/19/34/19/332193419.db2.gz GHYVEOPJTTYYCY-UWVGGRQHSA-N 0 3 321.820 4.345 20 0 DIADHN CC(C)(NC[C@H]1CCC(C)(C)O1)c1nc2ccccc2s1 ZINC000528602317 332196153 /nfs/dbraw/zinc/19/61/53/332196153.db2.gz LEJRASHELXFFRT-GFCCVEGCSA-N 0 3 304.459 4.079 20 0 DIADHN Cc1cccc(CCNC(C)(C)c2nc3ccccc3s2)n1 ZINC000528604694 332199924 /nfs/dbraw/zinc/19/99/24/332199924.db2.gz UEAOVOBEFCMIMB-UHFFFAOYSA-N 0 3 311.454 4.067 20 0 DIADHN C[C@@H]1CC(CCN[C@@H](c2ccccc2)c2ccccn2)C[C@@H](C)O1 ZINC000569612366 332205402 /nfs/dbraw/zinc/20/54/02/332205402.db2.gz YOZCASVERSMAIK-LZJOCLMNSA-N 0 3 324.468 4.354 20 0 DIADHN C[C@@H](CN1CCCCC1)Nc1ncc(C(F)(F)F)cc1Cl ZINC000047873380 332210708 /nfs/dbraw/zinc/21/07/08/332210708.db2.gz IZFDTLDMYHRYJN-JTQLQIEISA-N 0 3 321.774 4.040 20 0 DIADHN CCC(CC)N(CC(=O)Nc1c(C)cccc1Cl)C1CC1 ZINC000533838605 332217321 /nfs/dbraw/zinc/21/73/21/332217321.db2.gz AONUVGXFINQTEJ-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H]3[C@@H]4CCO[C@@H]4C34CCC4)oc2c1 ZINC000426050846 335095691 /nfs/dbraw/zinc/09/56/91/335095691.db2.gz MWOVUYFBUIJDDZ-JOQQVMPUSA-N 0 3 311.425 4.349 20 0 DIADHN CC[C@@H](O)CCCN[C@H](CC)c1ccccc1Br ZINC000358169533 332231655 /nfs/dbraw/zinc/23/16/55/332231655.db2.gz PMLGRCPTRWVKOL-IUODEOHRSA-N 0 3 314.267 4.041 20 0 DIADHN COc1ccccc1-c1ccc(CN2CCSC[C@H]2C)cc1 ZINC000584307354 332235563 /nfs/dbraw/zinc/23/55/63/332235563.db2.gz GCDMWCHZWKIUQM-OAHLLOKOSA-N 0 3 313.466 4.300 20 0 DIADHN COc1ccccc1-c1ccc(CN2CCSC[C@@H]2C)cc1 ZINC000584307353 332235612 /nfs/dbraw/zinc/23/56/12/332235612.db2.gz GCDMWCHZWKIUQM-HNNXBMFYSA-N 0 3 313.466 4.300 20 0 DIADHN Cc1ccccc1[C@@H]([C@H](C)Nc1ncnc2ccccc21)N(C)C ZINC000584309306 332236125 /nfs/dbraw/zinc/23/61/25/332236125.db2.gz ZPTHTYGVKTVQSL-HNAYVOBHSA-N 0 3 320.440 4.042 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H](C)[C@@H](O)Cc3ccccc3)oc2c1 ZINC000426072680 335098956 /nfs/dbraw/zinc/09/89/56/335098956.db2.gz MQXHOIXGEDQFQM-BXWFABGCSA-N 0 3 323.436 4.384 20 0 DIADHN c1c[nH]c([C@@H]2CCCN2CCCSCc2ccccc2)c1 ZINC000536381034 332236637 /nfs/dbraw/zinc/23/66/37/332236637.db2.gz CWKACVJCLPTVKU-SFHVURJKSA-N 0 3 300.471 4.475 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cc(C)ccc1F ZINC000536421756 332241889 /nfs/dbraw/zinc/24/18/89/332241889.db2.gz KHRMRKGLAYYHTH-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000363764252 332243136 /nfs/dbraw/zinc/24/31/36/332243136.db2.gz HZCHMGYULFRIQD-SDDRHHMPSA-N 0 3 307.825 4.475 20 0 DIADHN Fc1cccc(C2(NCCCOCCc3ccccc3)CC2)c1 ZINC000538214399 332243338 /nfs/dbraw/zinc/24/33/38/332243338.db2.gz DWLSKFZVCPJZTQ-UHFFFAOYSA-N 0 3 313.416 4.054 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](C)c1ccccc1OC(F)(F)F ZINC000152423855 335096654 /nfs/dbraw/zinc/09/66/54/335096654.db2.gz FUYTZKCDETYMKI-STQMWFEESA-N 0 3 319.367 4.035 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1cnccc1C ZINC000537969734 332245845 /nfs/dbraw/zinc/24/58/45/332245845.db2.gz ODTAALOEXOZBOY-LBTNJELSSA-N 0 3 318.848 4.470 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(Cc2ccncc2)CC1 ZINC000367523256 332248575 /nfs/dbraw/zinc/24/85/75/332248575.db2.gz OBMHFAYTFHSTFK-SFHVURJKSA-N 0 3 302.368 4.144 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2cccc(O)c2)cc1 ZINC000442771431 335097140 /nfs/dbraw/zinc/09/71/40/335097140.db2.gz TUTWORCMGZYNNK-XPGWFJOJSA-N 0 3 311.425 4.272 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccccn3)n2)[C@H]2CCC[C@H]21 ZINC000559425728 332257519 /nfs/dbraw/zinc/25/75/19/332257519.db2.gz SHQVAWQEHPZWFQ-ZBFHGGJFSA-N 0 3 313.470 4.216 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC(C)(C)[C@H]4CCC[C@@H]43)o2)cc1 ZINC000559426987 332258067 /nfs/dbraw/zinc/25/80/67/332258067.db2.gz MEPXVXZTUDPDSS-HOTGVXAUSA-N 0 3 311.429 4.056 20 0 DIADHN OC[C@@H]1CCCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000559458475 332261233 /nfs/dbraw/zinc/26/12/33/332261233.db2.gz FWQLMPWLOPBDAC-QGZVFWFLSA-N 0 3 311.425 4.073 20 0 DIADHN CO[C@@](C)(CNCc1cnc(C(C)(C)C)s1)c1ccccc1 ZINC000449482973 332280304 /nfs/dbraw/zinc/28/03/04/332280304.db2.gz FJIGYLAFUYHDOI-SFHVURJKSA-N 0 3 318.486 4.092 20 0 DIADHN CCN(CCCCn1ccnc1C)Cc1ccc(Cl)s1 ZINC000125427983 332282312 /nfs/dbraw/zinc/28/23/12/332282312.db2.gz ZSRLLRAPRNSFAS-UHFFFAOYSA-N 0 3 311.882 4.209 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](CC)c1ccc(C(=O)OC)cc1 ZINC000559842330 332284434 /nfs/dbraw/zinc/28/44/34/332284434.db2.gz MBNCJMVGIYYKNY-KBPBESRZSA-N 0 3 317.351 4.245 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@@H](C)c2ccncc2F)cc1 ZINC000559853852 332285519 /nfs/dbraw/zinc/28/55/19/332285519.db2.gz DTRLXDOGMFEVDY-CABCVRRESA-N 0 3 316.420 4.462 20 0 DIADHN C[C@@H](CC1CCOCC1)NCc1ccc(-c2ccco2)cc1F ZINC000448033545 332287236 /nfs/dbraw/zinc/28/72/36/332287236.db2.gz CSJDZQBERJKXRN-AWEZNQCLSA-N 0 3 317.404 4.381 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000559915142 332292309 /nfs/dbraw/zinc/29/23/09/332292309.db2.gz WCHONYNAZBXLRM-MCIONIFRSA-N 0 3 303.299 4.053 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccncc1F)Oc1cccc(Cl)c1 ZINC000559917461 332292695 /nfs/dbraw/zinc/29/26/95/332292695.db2.gz PPXSQPPJOSYLNZ-JSGCOSHPSA-N 0 3 322.811 4.382 20 0 DIADHN CC(C)[C@@H](N[C@H](C)C(=O)N(C)Cc1ccccc1)c1ccccc1 ZINC000096967052 332295181 /nfs/dbraw/zinc/29/51/81/332295181.db2.gz ZYAJURFPOGHPPP-YLJYHZDGSA-N 0 3 324.468 4.020 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc2c(c1)OCO2 ZINC000387515189 332295456 /nfs/dbraw/zinc/29/54/56/332295456.db2.gz DBYXKGCHUUWXJM-LLVKDONJSA-N 0 3 305.324 4.204 20 0 DIADHN Cc1ccc2cc([C@H](C)NCC3([C@@H](O)C(C)C)CC3)oc2c1 ZINC000426065554 335099397 /nfs/dbraw/zinc/09/93/97/335099397.db2.gz ZZGAURKHRVROID-KSSFIOAISA-N 0 3 301.430 4.189 20 0 DIADHN C[C@@H](NCCOc1ccccc1C(C)(C)C)c1cncc(F)c1 ZINC000537975411 332297095 /nfs/dbraw/zinc/29/70/95/332297095.db2.gz OAEWOGWPSHTGAN-CQSZACIVSA-N 0 3 316.420 4.248 20 0 DIADHN CC(C)c1ccoc1-c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000559969735 332298238 /nfs/dbraw/zinc/29/82/38/332298238.db2.gz FQLHZHCJHJNVRT-UHFFFAOYSA-N 0 3 311.385 4.182 20 0 DIADHN COc1cc(CNCc2ccc(C)s2)cc(Cl)c1OC ZINC000048068727 332301984 /nfs/dbraw/zinc/30/19/84/332301984.db2.gz NFUOAZQDMGCSPB-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc(OC(C)C)cc2)cs1 ZINC000574011071 332306497 /nfs/dbraw/zinc/30/64/97/332306497.db2.gz AEOVGKZRLUMLAS-CYBMUJFWSA-N 0 3 304.459 4.132 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000560056075 332306835 /nfs/dbraw/zinc/30/68/35/332306835.db2.gz PYBCAIAUXNFYNL-UGSOOPFHSA-N 0 3 320.839 4.433 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000560056073 332306944 /nfs/dbraw/zinc/30/69/44/332306944.db2.gz PYBCAIAUXNFYNL-FZKQIMNGSA-N 0 3 320.839 4.433 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NC[C@H]1CCCC1(F)F)CC2 ZINC000449496017 332308642 /nfs/dbraw/zinc/30/86/42/332308642.db2.gz PGMSEPAELAVFJW-KOLCDFICSA-N 0 3 305.290 4.116 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H](C)c2cccc(Cl)c2)CC1 ZINC000560183725 332317547 /nfs/dbraw/zinc/31/75/47/332317547.db2.gz NEOLHIAVJFNLRI-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN Cc1ccc2[nH]c(C(=O)Nc3ccccc3CN(C)C)cc2c1C ZINC000569616203 332320591 /nfs/dbraw/zinc/32/05/91/332320591.db2.gz JMTXMNNVRVUDJD-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN C[C@H](CCC(C)(C)C)Nc1ccccc1CN1CCC(O)CC1 ZINC000560243928 332322435 /nfs/dbraw/zinc/32/24/35/332322435.db2.gz GNJAGVMRDYQTSS-MRXNPFEDSA-N 0 3 318.505 4.270 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H](C)Cn2cccn2)cc1Cl ZINC000560244772 332322704 /nfs/dbraw/zinc/32/27/04/332322704.db2.gz GMXQYHCQNWUMNV-ZIAGYGMSSA-N 0 3 321.852 4.063 20 0 DIADHN COC[C@@H](N[C@@H](C)c1ccc(OC(C)C)c(Cl)c1)C1CC1 ZINC000560245824 332322890 /nfs/dbraw/zinc/32/28/90/332322890.db2.gz WOLHMJSREJFDSB-BLLLJJGKSA-N 0 3 311.853 4.203 20 0 DIADHN Cc1ccccc1C[C@H](NCc1ccn(C2CCCC2)n1)C1CC1 ZINC000560244884 332322953 /nfs/dbraw/zinc/32/29/53/332322953.db2.gz QDRHGFQTRSHKDX-NRFANRHFSA-N 0 3 323.484 4.418 20 0 DIADHN C[C@@H](NCc1ccnc(OC(F)F)c1)c1cc2c(s1)CCC2 ZINC000560268238 332325820 /nfs/dbraw/zinc/32/58/20/332325820.db2.gz RTAXLGKUANVEOM-SNVBAGLBSA-N 0 3 324.396 4.084 20 0 DIADHN CN(C)C[C@H](NCc1cc(F)cc(Cl)c1)c1ccc(F)cc1 ZINC000518827038 335101552 /nfs/dbraw/zinc/10/15/52/335101552.db2.gz IBZJZBGCCXABDO-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@@H](c4ccccc4)C3)c2c1 ZINC000560356691 332334535 /nfs/dbraw/zinc/33/45/35/332334535.db2.gz CPYFCLWXHCABIV-HXUWFJFHSA-N 0 3 304.393 4.121 20 0 DIADHN C[C@]1(CNCc2sccc2Cl)OCCc2sccc21 ZINC000583351114 332346734 /nfs/dbraw/zinc/34/67/34/332346734.db2.gz KXEWYISLVNNEQQ-CQSZACIVSA-N 0 3 313.875 4.041 20 0 DIADHN Cc1nc(CN[C@@H](C)CCc2ccc(F)cc2F)sc1C ZINC000560527388 332351631 /nfs/dbraw/zinc/35/16/31/332351631.db2.gz KSGBJTWIGOMMLD-JTQLQIEISA-N 0 3 310.413 4.149 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccncc1 ZINC000560540595 332355386 /nfs/dbraw/zinc/35/53/86/332355386.db2.gz ZRXJDBWDYBEPSQ-UYHISHBKSA-N 0 3 318.367 4.011 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)cc1F ZINC000560549052 332357284 /nfs/dbraw/zinc/35/72/84/332357284.db2.gz MTIZYVGANGQNAT-QGZVFWFLSA-N 0 3 319.399 4.142 20 0 DIADHN CC[C@H]1CCCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000560553601 332358983 /nfs/dbraw/zinc/35/89/83/332358983.db2.gz ICASMXQZFWOCBH-AWEZNQCLSA-N 0 3 301.459 4.217 20 0 DIADHN CC[C@@H]1CCCN(Cc2cnc(-c3ccncc3)s2)CC1 ZINC000560553602 332359036 /nfs/dbraw/zinc/35/90/36/332359036.db2.gz ICASMXQZFWOCBH-CQSZACIVSA-N 0 3 301.459 4.217 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc(OCC(C)C)cc2)s1 ZINC000560561535 332361078 /nfs/dbraw/zinc/36/10/78/332361078.db2.gz KWDUFTWYNVZFQA-UHFFFAOYSA-N 0 3 318.486 4.161 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1c(F)cccc1SC ZINC000560574712 332363457 /nfs/dbraw/zinc/36/34/57/332363457.db2.gz QTNXYAYBGDOTSU-SCLBCKFNSA-N 0 3 319.445 4.413 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2ccc(C)cc2)cc1 ZINC000560575901 332363767 /nfs/dbraw/zinc/36/37/67/332363767.db2.gz TYTAJORNBXEZAB-QGZVFWFLSA-N 0 3 313.441 4.261 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3cc(C)on3)CCCC2)c1 ZINC000583374039 332368732 /nfs/dbraw/zinc/36/87/32/332368732.db2.gz ICFXSQAATHEBQR-OAHLLOKOSA-N 0 3 314.429 4.154 20 0 DIADHN CCCCN(Cc1ncc(COC)s1)[C@@H]1CCC[C@@H](C)C1 ZINC000560611072 332369521 /nfs/dbraw/zinc/36/95/21/332369521.db2.gz AYYLLJUOXGPOOM-HUUCEWRRSA-N 0 3 310.507 4.470 20 0 DIADHN COC[C@H](NCc1ccc2cc(OC)ccc2c1)c1ccccc1 ZINC000560635487 332371603 /nfs/dbraw/zinc/37/16/03/332371603.db2.gz UFZVAGJCSJKBEI-NRFANRHFSA-N 0 3 321.420 4.326 20 0 DIADHN CCCN(CCC)[C@@H](C)C(=O)Nc1cccc(C(F)(F)F)c1 ZINC000560688453 332377981 /nfs/dbraw/zinc/37/79/81/332377981.db2.gz KSUXPRFUNYASLU-LBPRGKRZSA-N 0 3 316.367 4.154 20 0 DIADHN C[C@@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)NC(C)(C)C ZINC000463909725 332385908 /nfs/dbraw/zinc/38/59/08/332385908.db2.gz HNZHNFJRZQXFFS-CZUORRHYSA-N 0 3 322.468 4.196 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1ccccc1N(C)C ZINC000583397068 332385986 /nfs/dbraw/zinc/38/59/86/332385986.db2.gz FWMZQPDNCXQZAW-UONOGXRCSA-N 0 3 308.429 4.041 20 0 DIADHN C[C@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)NC(C)(C)C ZINC000463909726 332385994 /nfs/dbraw/zinc/38/59/94/332385994.db2.gz HNZHNFJRZQXFFS-XJKSGUPXSA-N 0 3 322.468 4.196 20 0 DIADHN C[C@@H](Cc1cccc(O)c1)NCc1ccc(-c2ccccc2)o1 ZINC000560817596 332388276 /nfs/dbraw/zinc/38/82/76/332388276.db2.gz YTTUZWPOXJQIOE-HNNXBMFYSA-N 0 3 307.393 4.373 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCCC[C@H]2C)cc(Cl)c1[O-] ZINC000560853543 332390733 /nfs/dbraw/zinc/39/07/33/332390733.db2.gz WTFOZTYSHGYAEC-TZMCWYRMSA-N 0 3 311.853 4.360 20 0 DIADHN CSc1ccc(CNC[C@H](c2ccc(F)cc2)N(C)C)s1 ZINC000583410441 332392245 /nfs/dbraw/zinc/39/22/45/332392245.db2.gz NTJUMAWMXJVILX-OAHLLOKOSA-N 0 3 324.490 4.002 20 0 DIADHN CCOc1ccc(CN(C)[C@H](CC)CSC)cc1OCC ZINC000560948558 332396356 /nfs/dbraw/zinc/39/63/56/332396356.db2.gz VCXDFSXZAMIZDE-OAHLLOKOSA-N 0 3 311.491 4.057 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@H](c3nccs3)C2)cc1 ZINC000092788805 332397162 /nfs/dbraw/zinc/39/71/62/332397162.db2.gz KLJIYMPHDXJRQC-KZTTYJGESA-N 0 3 302.418 4.175 20 0 DIADHN CC[C@@H](NCCC(C)(C)C(=O)OC)c1ccc(Cl)s1 ZINC000560990528 332399238 /nfs/dbraw/zinc/39/92/38/332399238.db2.gz HFMIDEIHKSAIHX-SNVBAGLBSA-N 0 3 303.855 4.032 20 0 DIADHN Cc1cc(C)c(CN[C@H](CCO)c2ccc(Cl)cc2)cc1C ZINC000584314252 332418702 /nfs/dbraw/zinc/41/87/02/332418702.db2.gz ZYAUSCNNXWSCQN-LJQANCHMSA-N 0 3 317.860 4.479 20 0 DIADHN C[C@@H](CCC(F)(F)F)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449586425 332432141 /nfs/dbraw/zinc/43/21/41/332432141.db2.gz MZHBSFIZMLZAJA-OIBJUYFYSA-N 0 3 311.269 4.412 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000561278008 332432637 /nfs/dbraw/zinc/43/26/37/332432637.db2.gz MJTJCBSPQUTXIK-RYUDHWBXSA-N 0 3 320.820 4.251 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNCc1ccc(C(F)(F)F)s1 ZINC000428854088 332439354 /nfs/dbraw/zinc/43/93/54/332439354.db2.gz BBVIROAWPBRVTG-MRVPVSSYSA-N 0 3 318.364 4.265 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnc(-c3ccncc3)s2)cc1 ZINC000561365166 332441907 /nfs/dbraw/zinc/44/19/07/332441907.db2.gz NLYOULNCQLOSND-AWEZNQCLSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)NCc1ccc(C(F)(F)F)s1 ZINC000428871810 332444567 /nfs/dbraw/zinc/44/45/67/332444567.db2.gz KCCQDHFAVAQDJE-MRVPVSSYSA-N 0 3 318.364 4.093 20 0 DIADHN CCc1ncc(CN[C@H](C)Cc2c(Cl)cccc2Cl)o1 ZINC000449611193 332448148 /nfs/dbraw/zinc/44/81/48/332448148.db2.gz UQCSBUOATMVBAO-SNVBAGLBSA-N 0 3 313.228 4.265 20 0 DIADHN COc1cccc(C2(NCc3ccc(C(F)(F)F)cc3)CC2)c1 ZINC000150891841 332452005 /nfs/dbraw/zinc/45/20/05/332452005.db2.gz KHRFWEUXCSNHKP-UHFFFAOYSA-N 0 3 321.342 4.493 20 0 DIADHN CC(C)CN(C(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000561465524 332453695 /nfs/dbraw/zinc/45/36/95/332453695.db2.gz IEBPHODUCMAGQH-UHFFFAOYSA-N 0 3 310.441 4.051 20 0 DIADHN C[C@H](CCOCc1ccccc1)NCc1cnc(C2CC2)s1 ZINC000561750476 332490423 /nfs/dbraw/zinc/49/04/23/332490423.db2.gz GZHMHKPLTTYDPS-CQSZACIVSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NC[C@@H]1COc2ccccc21 ZINC000561781594 332496351 /nfs/dbraw/zinc/49/63/51/332496351.db2.gz BPTFRRPMDAUCCP-GXTWGEPZSA-N 0 3 316.470 4.402 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000561878089 332510259 /nfs/dbraw/zinc/51/02/59/332510259.db2.gz QZSCKRSRPMFBSZ-SIKLNZKXSA-N 0 3 314.432 4.409 20 0 DIADHN Cc1cnc([C@H](C)NCC(C)(C)c2c(F)cccc2Cl)cn1 ZINC000427843848 332537216 /nfs/dbraw/zinc/53/72/16/332537216.db2.gz VWRKLBIZFBEZRK-LBPRGKRZSA-N 0 3 321.827 4.206 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H](c1ccc(Br)cc1)C1CC1 ZINC000562064777 332540626 /nfs/dbraw/zinc/54/06/26/332540626.db2.gz NGAUTSAGFKFHBM-RWMBFGLXSA-N 0 3 316.189 4.143 20 0 DIADHN CCN(CCCC(=O)c1ccc(F)cc1)Cc1cccs1 ZINC000049899966 334360626 /nfs/dbraw/zinc/36/06/26/334360626.db2.gz PHQFKGAQZZKGNG-UHFFFAOYSA-N 0 3 305.418 4.372 20 0 DIADHN CCSc1cc(CN[C@@H](CC)c2cccc(OC)c2)ccn1 ZINC000427873098 332552427 /nfs/dbraw/zinc/55/24/27/332552427.db2.gz NTUBDCBEIAGSOB-KRWDZBQOSA-N 0 3 316.470 4.443 20 0 DIADHN COCc1cc(CN[C@H]2c3cc(F)ccc3C[C@H]2C)ccc1F ZINC000151231288 332564591 /nfs/dbraw/zinc/56/45/91/332564591.db2.gz GZNBOSNMCBKPGE-CWTRNNRKSA-N 0 3 317.379 4.134 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cccc(Cn3ccnc3)c2)c1C ZINC000151312325 332570073 /nfs/dbraw/zinc/57/00/73/332570073.db2.gz XKTFCMNBQAUPIF-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(Cn3ccnc3)c2)c(C)c1 ZINC000151343997 332572452 /nfs/dbraw/zinc/57/24/52/332572452.db2.gz IIBGCDQYYRAZAX-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1OC(F)F ZINC000427985210 332581224 /nfs/dbraw/zinc/58/12/24/332581224.db2.gz FJLLUIHYMOHSDE-GXTWGEPZSA-N 0 3 313.388 4.286 20 0 DIADHN CC(C)n1cc([C@@H](C)NC[C@@H](C)Oc2ccc(Cl)cc2)cn1 ZINC000151573849 332590148 /nfs/dbraw/zinc/59/01/48/332590148.db2.gz RNMGJKFGRKYYQD-ZIAGYGMSSA-N 0 3 321.852 4.236 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc3c(s2)CCC3)cc1OC ZINC000151585797 332591163 /nfs/dbraw/zinc/59/11/63/332591163.db2.gz YUGYZKKTQIPLPU-GFCCVEGCSA-N 0 3 317.454 4.105 20 0 DIADHN CCc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)s1 ZINC000562519896 332601306 /nfs/dbraw/zinc/60/13/06/332601306.db2.gz YEFOPMURKWISIF-MRXNPFEDSA-N 0 3 321.511 4.366 20 0 DIADHN Cc1cc(Br)ccc1CN[C@@H](C)c1cccc(O)c1 ZINC000151784145 332616233 /nfs/dbraw/zinc/61/62/33/332616233.db2.gz BYTMZEYVMWIGRB-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN COc1ccc(C)cc1CNC[C@H](OC)c1ccc(Cl)cc1 ZINC000525695635 332619097 /nfs/dbraw/zinc/61/90/97/332619097.db2.gz YYWFZARMXWRMQZ-SFHVURJKSA-N 0 3 319.832 4.134 20 0 DIADHN CC(C)N(C(=O)CN[C@H](c1ccc(Cl)cc1)C1CC1)C(C)C ZINC000302760814 332623207 /nfs/dbraw/zinc/62/32/07/332623207.db2.gz PBKHHUMEIGABOC-SFHVURJKSA-N 0 3 322.880 4.026 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2cnc(C(F)(F)F)s2)C1 ZINC000443986590 332647921 /nfs/dbraw/zinc/64/79/21/332647921.db2.gz ZOLUCIPBNHMWGQ-WDEREUQCSA-N 0 3 306.397 4.466 20 0 DIADHN CC[C@@H](NCc1ccc(C)c(Cl)c1)c1c(C)nn(C)c1C ZINC000443999244 332648066 /nfs/dbraw/zinc/64/80/66/332648066.db2.gz YACBOJNTQOOLTM-MRXNPFEDSA-N 0 3 305.853 4.240 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccc(Br)s1)OC ZINC000394470059 332654993 /nfs/dbraw/zinc/65/49/93/332654993.db2.gz DYSAPRVPSRUEBR-GARJFASQSA-N 0 3 320.296 4.222 20 0 DIADHN CC(C)Cc1cccc([C@@H](C)NCc2cnc3n2CCCC3)c1 ZINC000569994805 332701055 /nfs/dbraw/zinc/70/10/55/332701055.db2.gz OKVMRBXTGHGGGP-MRXNPFEDSA-N 0 3 311.473 4.269 20 0 DIADHN CCC[C@H](CC)NCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000520958730 332701349 /nfs/dbraw/zinc/70/13/49/332701349.db2.gz CHOZDIMRGRHNEL-ZDUSSCGKSA-N 0 3 318.442 4.207 20 0 DIADHN CCc1ccc(CN[C@H]2CCCc3c(OC)cccc32)s1 ZINC000570239122 332730011 /nfs/dbraw/zinc/73/00/11/332730011.db2.gz BXJSCHPNOYQBDV-KRWDZBQOSA-N 0 3 301.455 4.486 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@H]3COc4ccccc43)o2)cc1 ZINC000570359259 332744982 /nfs/dbraw/zinc/74/49/82/332744982.db2.gz CGKKLOFQQFQMON-HNNXBMFYSA-N 0 3 323.367 4.352 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](c1cccs1)c1ccc(F)cc1 ZINC000570391550 332749005 /nfs/dbraw/zinc/74/90/05/332749005.db2.gz AJQZWGHYWYSUOI-FMKPAKJESA-N 0 3 307.459 4.460 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H](C)Cc2ccccc2F)c1 ZINC000323344133 332969996 /nfs/dbraw/zinc/96/99/96/332969996.db2.gz YCBZHUJOOOQPSN-ZIAGYGMSSA-N 0 3 317.404 4.125 20 0 DIADHN COC[C@@H](NCc1cccc2ccccc21)c1ccc(F)cc1 ZINC000538275464 332979315 /nfs/dbraw/zinc/97/93/15/332979315.db2.gz HDLUWSGQIPXFHU-HXUWFJFHSA-N 0 3 309.384 4.456 20 0 DIADHN Cc1ccc([C@H](C)NCC2(c3ccccc3C)CCOCC2)o1 ZINC000152427113 335114487 /nfs/dbraw/zinc/11/44/87/335114487.db2.gz GHCLSVNPKVPRBG-KRWDZBQOSA-N 0 3 313.441 4.295 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cnc(N(C)C)s1 ZINC000462558962 332987695 /nfs/dbraw/zinc/98/76/95/332987695.db2.gz YHGOBGBMAPFFKL-SUMWQHHRSA-N 0 3 315.486 4.012 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccccc1C(F)(F)F ZINC000177841135 332998024 /nfs/dbraw/zinc/99/80/24/332998024.db2.gz NZLFHKZMWWVFFR-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN C[C@H](NCC(C)(C)CCO)c1cc(F)c(Cl)cc1Cl ZINC000178165558 333002816 /nfs/dbraw/zinc/00/28/16/333002816.db2.gz NUDCNLFLEPZYGX-VIFPVBQESA-N 0 3 308.224 4.192 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc3c(c1)OCCO3)CC2 ZINC000178268421 333006175 /nfs/dbraw/zinc/00/61/75/333006175.db2.gz KGUSAUBOVUXNDU-KSSFIOAISA-N 0 3 309.409 4.104 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1CCCC[C@H]1OCCC(C)C ZINC000466361884 333013909 /nfs/dbraw/zinc/01/39/09/333013909.db2.gz ZPCKCABXXRCIHP-RTBURBONSA-N 0 3 321.509 4.236 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000178780986 333020114 /nfs/dbraw/zinc/02/01/14/333020114.db2.gz BPIONIYDDAIWBR-OAHLLOKOSA-N 0 3 303.377 4.133 20 0 DIADHN CCc1ccccc1NC(=O)CNC(C)(C)CCc1ccccc1 ZINC000151962212 333025265 /nfs/dbraw/zinc/02/52/65/333025265.db2.gz UQHNHFODXBFBQB-UHFFFAOYSA-N 0 3 324.468 4.189 20 0 DIADHN CC(C)[C@@H]1OCCC[C@@H]1CN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000580225678 333028438 /nfs/dbraw/zinc/02/84/38/333028438.db2.gz YFKSDDMRVXLMKU-KURKYZTESA-N 0 3 319.493 4.072 20 0 DIADHN Cc1nccnc1[C@H](C)NCCCc1ccc(Cl)cc1Cl ZINC000188102545 333030387 /nfs/dbraw/zinc/03/03/87/333030387.db2.gz GISDSGGLVJXKOU-NSHDSACASA-N 0 3 324.255 4.375 20 0 DIADHN Fc1ccc(CNC[C@@H]2SCCc3ccccc32)c(F)c1 ZINC000531153034 333032221 /nfs/dbraw/zinc/03/22/21/333032221.db2.gz FRSRVLYCWYUPLR-KRWDZBQOSA-N 0 3 305.393 4.085 20 0 DIADHN CC[C@@H](CN[C@@H](Cc1cccnc1)c1cccc(Cl)c1)OC ZINC000580249936 333041003 /nfs/dbraw/zinc/04/10/03/333041003.db2.gz SCCLEGOYZLGQHH-ROUUACIJSA-N 0 3 318.848 4.033 20 0 DIADHN C[C@H](NCC[C@H]1CCCCO1)c1ccccc1Br ZINC000182756300 333046438 /nfs/dbraw/zinc/04/64/38/333046438.db2.gz ZNXSKTRCRHCLOX-QWHCGFSZSA-N 0 3 312.251 4.059 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000378716714 333046823 /nfs/dbraw/zinc/04/68/23/333046823.db2.gz AKDUOOAVBRKKKW-RTHLEPHNSA-N 0 3 306.208 4.208 20 0 DIADHN CCC[C@H](CNCc1ccc(OCc2ccccc2)cc1)OC ZINC000378737728 333047799 /nfs/dbraw/zinc/04/77/99/333047799.db2.gz HIAAVWXSPILURD-HXUWFJFHSA-N 0 3 313.441 4.170 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000183073284 333052447 /nfs/dbraw/zinc/05/24/47/333052447.db2.gz BQISIWMTUXPKPK-VXGBXAGGSA-N 0 3 320.458 4.049 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1cccc(F)c1F ZINC000183205586 333053169 /nfs/dbraw/zinc/05/31/69/333053169.db2.gz CUJMNBSOPKKACH-CZUORRHYSA-N 0 3 320.383 4.046 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cccnc2)ccc1OC(F)F ZINC000171976717 333056468 /nfs/dbraw/zinc/05/64/68/333056468.db2.gz IDAYSBZCJZXQEV-NWDGAFQWSA-N 0 3 322.355 4.103 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccccc1 ZINC000171978215 333056499 /nfs/dbraw/zinc/05/64/99/333056499.db2.gz QHIZRBTXWMGYJF-KDOFPFPSSA-N 0 3 308.425 4.373 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2cccnc2)ccc1OC(F)F ZINC000171976716 333056668 /nfs/dbraw/zinc/05/66/68/333056668.db2.gz IDAYSBZCJZXQEV-NEPJUHHUSA-N 0 3 322.355 4.103 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(F)cc2)C(C)C)cc(OC)c1 ZINC000378869973 333058664 /nfs/dbraw/zinc/05/86/64/333058664.db2.gz LGNSBLOGDWNNFF-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN FC(F)(F)[C@@H]1CCCC[C@@H]1NCc1ccc2ncccc2c1 ZINC000378884828 333059751 /nfs/dbraw/zinc/05/97/51/333059751.db2.gz HGKRXCCZZJUNKO-ZBFHGGJFSA-N 0 3 308.347 4.446 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)NCc2cnn3ccccc23)cc1 ZINC000378929097 333065165 /nfs/dbraw/zinc/06/51/65/333065165.db2.gz WIZFYXQZEGRTPE-MRXNPFEDSA-N 0 3 307.441 4.384 20 0 DIADHN Cc1csc(C2(NCCCc3ccccc3C)CCC2)n1 ZINC000460347884 333067870 /nfs/dbraw/zinc/06/78/70/333067870.db2.gz DNJITCJALQVEMI-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN CC(C)Oc1cccc(CN2C[C@H](C)C[C@H]2c2cccnc2)c1 ZINC000460354625 333070051 /nfs/dbraw/zinc/07/00/51/333070051.db2.gz AEPDEJWVTWCVJQ-UZLBHIALSA-N 0 3 310.441 4.452 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2ccc(OC(F)F)cc2)C1 ZINC000460362865 333071314 /nfs/dbraw/zinc/07/13/14/333071314.db2.gz GFBLJWODPNBNRR-GUYCJALGSA-N 0 3 318.367 4.266 20 0 DIADHN Brc1cc(CNCC2(c3ccccc3)CC2)cs1 ZINC000518770491 333071682 /nfs/dbraw/zinc/07/16/82/333071682.db2.gz MYZYIJODBZZEQN-UHFFFAOYSA-N 0 3 322.271 4.332 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(CCSc2ccccc2F)C1 ZINC000460365787 333072317 /nfs/dbraw/zinc/07/23/17/333072317.db2.gz PSJDHRXDONHEOF-RHSMWYFYSA-N 0 3 316.445 4.396 20 0 DIADHN Clc1ccc(CN(CCCO[C@@H]2CCCCO2)C2CC2)cc1 ZINC000460380431 333075310 /nfs/dbraw/zinc/07/53/10/333075310.db2.gz NLICDNYTISBGJS-GOSISDBHSA-N 0 3 323.864 4.238 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@H](CC(C)C)C2)n1 ZINC000466747470 333083116 /nfs/dbraw/zinc/08/31/16/333083116.db2.gz JSFCLFYSBDZDFP-HZPDHXFCSA-N 0 3 313.445 4.474 20 0 DIADHN CCOc1ccccc1CNC[C@H](C)Oc1ccccc1Cl ZINC000462734203 333100082 /nfs/dbraw/zinc/10/00/82/333100082.db2.gz IMUOBTIMVROTJN-AWEZNQCLSA-N 0 3 319.832 4.296 20 0 DIADHN Cc1sc2[n-]c(C[NH2+][C@@]3(C)CCCC[C@H]3C)nc(=O)c2c1C ZINC000308688068 333101015 /nfs/dbraw/zinc/10/10/15/333101015.db2.gz BMDFKBIMPJWEQW-QGHHPUGFSA-N 0 3 319.474 4.072 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCc2ccccc2Cl)o1 ZINC000467016222 333106139 /nfs/dbraw/zinc/10/61/39/333106139.db2.gz KRJLHOKNGARGJH-QGZVFWFLSA-N 0 3 319.832 4.459 20 0 DIADHN CO[C@@H](CNCc1cc(C)ccc1C)c1ccc(Cl)cc1 ZINC000462745226 333106956 /nfs/dbraw/zinc/10/69/56/333106956.db2.gz YLJLBJFUEXRMIE-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN COc1ccc2oc([C@H](C)NC[C@@](C)(OC)C3CC3)c(C)c2c1 ZINC000462804616 333116019 /nfs/dbraw/zinc/11/60/19/333116019.db2.gz DWLNPRHOBDVHLQ-ORAYPTAESA-N 0 3 317.429 4.216 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](F)C1)c1csc(-c2ccccc2F)n1 ZINC000462816001 333118305 /nfs/dbraw/zinc/11/83/05/333118305.db2.gz ZMDPMHKYJVBBGR-SDDRHHMPSA-N 0 3 308.397 4.490 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@H]1c1ccccc1Cl)N(CC)CC ZINC000460967922 333119523 /nfs/dbraw/zinc/11/95/23/333119523.db2.gz GUTOCMQHLDVZRJ-SJORKVTESA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](N[C@H]1CCCN(CC(C)(C)C)C1=O)c1ccc(Cl)cc1 ZINC000460675746 333123245 /nfs/dbraw/zinc/12/32/45/333123245.db2.gz YGGZTFDUJNTWEJ-BBRMVZONSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(CC(C)(C)C)C1=O)c1ccc(Cl)cc1 ZINC000460675750 333123322 /nfs/dbraw/zinc/12/33/22/333123322.db2.gz YGGZTFDUJNTWEJ-CZUORRHYSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(CC(C)(C)C)C1=O)c1cccc(Cl)c1 ZINC000460679406 333123826 /nfs/dbraw/zinc/12/38/26/333123826.db2.gz OXYYOUFOCBRUEB-CZUORRHYSA-N 0 3 322.880 4.028 20 0 DIADHN Clc1csc(CN[C@@H]2CCCN(c3ccccc3)C2)c1 ZINC000487307943 333125877 /nfs/dbraw/zinc/12/58/77/333125877.db2.gz RUBNHVLFZIABJA-CQSZACIVSA-N 0 3 306.862 4.160 20 0 DIADHN Fc1cc(CNCCCc2ccc(Cl)cc2)cc(F)c1F ZINC000518775717 333126998 /nfs/dbraw/zinc/12/69/98/333126998.db2.gz DVWXBIIVPBFSSA-UHFFFAOYSA-N 0 3 313.750 4.480 20 0 DIADHN Cc1c2ccccc2oc1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000177363872 333127830 /nfs/dbraw/zinc/12/78/30/333127830.db2.gz WCHISVIONBYVHA-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2ccc3ccccc3n2)cs1 ZINC000178459515 333139202 /nfs/dbraw/zinc/13/92/02/333139202.db2.gz PBHILIDQNOXPRQ-ZDUSSCGKSA-N 0 3 311.454 4.147 20 0 DIADHN CCC[C@@H](N[C@@H](C)C(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000314531872 333139571 /nfs/dbraw/zinc/13/95/71/333139571.db2.gz BPCJUUSCTIAZHG-WMLDXEAASA-N 0 3 322.880 4.172 20 0 DIADHN COc1cccc2cc([C@@H](C)NCC3(OC)CCCC3)oc21 ZINC000320605326 333143403 /nfs/dbraw/zinc/14/34/03/333143403.db2.gz AVDQUYOMJJUGMO-CYBMUJFWSA-N 0 3 303.402 4.051 20 0 DIADHN COC[C@H](C)CN1CCc2sccc2[C@@H]1c1cccs1 ZINC000189586681 333149383 /nfs/dbraw/zinc/14/93/83/333149383.db2.gz BOPHXQUGSBJROH-MLGOLLRUSA-N 0 3 307.484 4.040 20 0 DIADHN CCn1cncc1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000417764229 335124958 /nfs/dbraw/zinc/12/49/58/335124958.db2.gz WRRGNZDUPNLMRO-HXUWFJFHSA-N 0 3 305.425 4.393 20 0 DIADHN C[C@@H](O)C1CCN(Cc2ccc(-c3ccccc3)s2)CC1 ZINC000092970124 333155635 /nfs/dbraw/zinc/15/56/35/333155635.db2.gz MSIKGXCOVIVKBB-CQSZACIVSA-N 0 3 301.455 4.008 20 0 DIADHN CCCCc1ccc([C@H](C)NCCc2nnc(C)s2)cc1 ZINC000391854290 335125519 /nfs/dbraw/zinc/12/55/19/335125519.db2.gz UEZWTVJOZPMBQF-ZDUSSCGKSA-N 0 3 303.475 4.082 20 0 DIADHN CN(C)[C@@H](CNC1c2ccccc2-c2ccccc21)c1ccco1 ZINC000391854335 335125592 /nfs/dbraw/zinc/12/55/92/335125592.db2.gz VCVQSISQCHUFOI-IBGZPJMESA-N 0 3 318.420 4.242 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](CO)C1)c1cc(Cl)ccc1Cl ZINC000387950338 333186934 /nfs/dbraw/zinc/18/69/34/333186934.db2.gz BBJQQFDFEKKJLK-GMXVVIOVSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](CO)C1)c1cccc(Cl)c1Cl ZINC000387965058 333187782 /nfs/dbraw/zinc/18/77/82/333187782.db2.gz SQKZXSIFUHGALM-SRVKXCTJSA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)(C)OCCNCc1cccc(OCc2ccccc2)c1 ZINC000309786613 333197098 /nfs/dbraw/zinc/19/70/98/333197098.db2.gz GNMICBJSGFHJHA-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN C[C@H](CCCC(F)(F)F)Nc1cccc(OCCN(C)C)c1 ZINC000318640575 333197383 /nfs/dbraw/zinc/19/73/83/333197383.db2.gz RNLDEGFNDTZGGV-CYBMUJFWSA-N 0 3 318.383 4.160 20 0 DIADHN CCn1nc(CN[C@@H](C)Cc2ccc(C)s2)c2ccccc21 ZINC000388061851 333199986 /nfs/dbraw/zinc/19/99/86/333199986.db2.gz BKMIZPLRGRTVNB-ZDUSSCGKSA-N 0 3 313.470 4.147 20 0 DIADHN CS[C@@H]1CC[C@@H]1NCc1cc(Cl)ccc1Br ZINC000309897285 333206286 /nfs/dbraw/zinc/20/62/86/333206286.db2.gz GIXRCAUNRNUEGZ-NWDGAFQWSA-N 0 3 320.683 4.086 20 0 DIADHN COc1ccc(O[C@H](C)CNCc2ccc(SC)s2)cc1 ZINC000582091950 333210592 /nfs/dbraw/zinc/21/05/92/333210592.db2.gz SNIAWQSTRZHKTD-GFCCVEGCSA-N 0 3 323.483 4.036 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc(Cl)c(C)c2)cc1 ZINC000186759823 333222691 /nfs/dbraw/zinc/22/26/91/333222691.db2.gz SCBJUFCHNSSCFL-CYBMUJFWSA-N 0 3 316.832 4.458 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCc2c(C)c3ccccc3n2C)s1 ZINC000318919082 333225299 /nfs/dbraw/zinc/22/52/99/333225299.db2.gz NFSNGCOSSOYTRW-GFCCVEGCSA-N 0 3 313.470 4.411 20 0 DIADHN C[C@@H](NCCOCc1ccccc1)c1ccc(OC(F)F)cc1 ZINC000390110163 333228603 /nfs/dbraw/zinc/22/86/03/333228603.db2.gz WHLNIZNCOBDUNR-CQSZACIVSA-N 0 3 321.367 4.155 20 0 DIADHN COc1ccc(CN[C@H](C)[C@H](OC)c2ccccc2Cl)cc1 ZINC000497261784 333229363 /nfs/dbraw/zinc/22/93/63/333229363.db2.gz NNSWIIOJUFDGHC-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)c1ccc(CN2CCC[C@@](O)(C(F)(F)F)CC2)cc1 ZINC000318948832 333230601 /nfs/dbraw/zinc/23/06/01/333230601.db2.gz XDMNUJDHBIETDO-INIZCTEOSA-N 0 3 315.379 4.089 20 0 DIADHN C[C@@H](N[C@H](c1cccs1)C1CC1)c1ccccc1-n1ccnn1 ZINC000514084899 333234401 /nfs/dbraw/zinc/23/44/01/333234401.db2.gz SLAQPXRRMXSDLK-ACJLOTCBSA-N 0 3 324.453 4.131 20 0 DIADHN Fc1cc(CNCCc2ccc3ccccc3n2)ccc1Cl ZINC000518785916 333234876 /nfs/dbraw/zinc/23/48/76/333234876.db2.gz BTHJJFOYLODXPA-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN Fc1ccc([C@@H](NCc2cccc3[nH]ccc32)[C@@H]2CCCO2)cc1 ZINC000518786010 333235707 /nfs/dbraw/zinc/23/57/07/333235707.db2.gz KMCCPDSCJKSQCQ-VQTJNVASSA-N 0 3 324.399 4.317 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1cc(C)ccc1OC)CC2 ZINC000390154810 333236587 /nfs/dbraw/zinc/23/65/87/333236587.db2.gz KDYGUKCCDWGTHS-IFXJQAMLSA-N 0 3 311.425 4.350 20 0 DIADHN OC[C@H]1C=C[C@@H](N[C@@H](CCCc2ccccc2)c2ccccc2)C1 ZINC000497343978 333238619 /nfs/dbraw/zinc/23/86/19/333238619.db2.gz WZOVDXYUPCUGSB-NNWRFLSQSA-N 0 3 321.464 4.277 20 0 DIADHN FC1(F)CCC(CNCc2sccc2Br)CC1 ZINC000500432714 333241667 /nfs/dbraw/zinc/24/16/67/333241667.db2.gz LDBLLHNWZPJWSQ-UHFFFAOYSA-N 0 3 324.234 4.426 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC[S@@](=O)c1ccccc1 ZINC000497373092 333241737 /nfs/dbraw/zinc/24/17/37/333241737.db2.gz XMSHYLANYXADHY-SIKLNZKXSA-N 0 3 315.482 4.123 20 0 DIADHN C/C=C/c1ccc(NC(=O)NCc2ccc(CN(C)C)cc2)cc1 ZINC000497383035 333243191 /nfs/dbraw/zinc/24/31/91/333243191.db2.gz FYJXBLYJMAWUQW-SNAWJCMRSA-N 0 3 323.440 4.103 20 0 DIADHN CCCCNC(=O)[C@@H]1CCCN([C@H](C)c2cccc(Cl)c2)C1 ZINC000497388984 333244356 /nfs/dbraw/zinc/24/43/56/333244356.db2.gz ONMIARPULRLEBI-GDBMZVCRSA-N 0 3 322.880 4.029 20 0 DIADHN C/C=C\c1ccc(NC(=O)NCc2cccc(CN(C)C)c2)cc1 ZINC000497411128 333248024 /nfs/dbraw/zinc/24/80/24/333248024.db2.gz JTAGFBJMKZBCNM-XQRVVYSFSA-N 0 3 323.440 4.103 20 0 DIADHN CN(Cc1sccc1Br)C(C1CC1)C1CC1 ZINC000497426218 333248981 /nfs/dbraw/zinc/24/89/81/333248981.db2.gz IWEZUOSTSZFMRC-UHFFFAOYSA-N 0 3 300.265 4.131 20 0 DIADHN COC(=O)c1oc(CN(C)[C@@H](c2ccccc2)C(C)C)cc1C ZINC000497422129 333249134 /nfs/dbraw/zinc/24/91/34/333249134.db2.gz KVLSDNNFUABQAZ-QGZVFWFLSA-N 0 3 315.413 4.204 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H](c2cccs2)C2CC2)c1 ZINC000514085456 333249441 /nfs/dbraw/zinc/24/94/41/333249441.db2.gz WFUGUGJXRYGLHU-MEBBXXQBSA-N 0 3 321.467 4.398 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC(=O)N[C@H]1CCCC[C@H]1C ZINC000497424973 333249634 /nfs/dbraw/zinc/24/96/34/333249634.db2.gz DULFDFUYSPTENA-IMFGXOCKSA-N 0 3 316.489 4.010 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCc3ccc(OC)cc32)c1 ZINC000390223284 333250058 /nfs/dbraw/zinc/25/00/58/333250058.db2.gz FELCFKHSXCNNIY-VLIAUNLRSA-N 0 3 311.425 4.432 20 0 DIADHN COC1(CN[C@@H]2CCSc3ccc(F)cc32)CCCCC1 ZINC000319050586 333250278 /nfs/dbraw/zinc/25/02/78/333250278.db2.gz MSTPDVIVPOAWCM-OAHLLOKOSA-N 0 3 309.450 4.302 20 0 DIADHN COC1(CN[C@H]2CCSc3ccc(F)cc32)CCCCC1 ZINC000319050585 333250403 /nfs/dbraw/zinc/25/04/03/333250403.db2.gz MSTPDVIVPOAWCM-HNNXBMFYSA-N 0 3 309.450 4.302 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H]2[C@@H]2CCCC[C@@]2(C)O)cs1 ZINC000497469743 333254141 /nfs/dbraw/zinc/25/41/41/333254141.db2.gz VWVUBGOUXLIXEQ-LZLYRXPVSA-N 0 3 322.518 4.172 20 0 DIADHN CC(C)c1nc(CN2CCC[C@@H]2[C@H]2CCCC[C@]2(C)O)cs1 ZINC000497469752 333254176 /nfs/dbraw/zinc/25/41/76/333254176.db2.gz VWVUBGOUXLIXEQ-NUJGCVRESA-N 0 3 322.518 4.172 20 0 DIADHN CSc1ccc(NC(=O)CN2CCC[C@@H]2C2CCCC2)cc1 ZINC000497495495 333256598 /nfs/dbraw/zinc/25/65/98/333256598.db2.gz YUTBSCMPGCUNMI-QGZVFWFLSA-N 0 3 318.486 4.002 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccs2)cc1OC(F)F ZINC000019231386 333260701 /nfs/dbraw/zinc/26/07/01/333260701.db2.gz DDTLGPZZBOGMGC-SNVBAGLBSA-N 0 3 313.369 4.209 20 0 DIADHN c1c2ccccc2oc1[C@@H](NC1CCSCC1)c1cccnc1 ZINC000498788477 333263401 /nfs/dbraw/zinc/26/34/01/333263401.db2.gz SOKCHSPXGSVDDF-IBGZPJMESA-N 0 3 324.449 4.402 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@H](c1cccnc1)C1CC1 ZINC000518788326 333267942 /nfs/dbraw/zinc/26/79/42/333267942.db2.gz GVKMUFIMJUFTSG-FQEVSTJZSA-N 0 3 309.457 4.403 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000503884289 333269380 /nfs/dbraw/zinc/26/93/80/333269380.db2.gz HGGIAXMJSPRBJJ-RAIGVLPGSA-N 0 3 301.402 4.219 20 0 DIADHN C[C@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@H]1CCCOC1 ZINC000503898421 333274052 /nfs/dbraw/zinc/27/40/52/333274052.db2.gz APSLKBUKPWXVAY-QWRGUYRKSA-N 0 3 321.770 4.264 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CCC[C@@H](C)[C@@H]2C)cc(Cl)c1[O-] ZINC000497692662 333278884 /nfs/dbraw/zinc/27/88/84/333278884.db2.gz TVIFCXWFZFCSBD-TYNCELHUSA-N 0 3 311.853 4.359 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CCC[C@@H](C)[C@H]2C)cc(Cl)c1[O-] ZINC000497692660 333278985 /nfs/dbraw/zinc/27/89/85/333278985.db2.gz TVIFCXWFZFCSBD-LALPHHSUSA-N 0 3 311.853 4.359 20 0 DIADHN CCCCc1ccc(NC(=O)c2cccc(CN(C)C)c2)cc1 ZINC000498598397 333281159 /nfs/dbraw/zinc/28/11/59/333281159.db2.gz RCODALLCDWZOBN-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN CC(C)COCCCN[C@@H](C)c1ccccc1Br ZINC000020147243 333282112 /nfs/dbraw/zinc/28/21/12/333282112.db2.gz PJRRDHDCRMOTFT-ZDUSSCGKSA-N 0 3 314.267 4.162 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@H](C)c2cnn(C3CCCC3)c2)C1(C)C ZINC000502429135 333294559 /nfs/dbraw/zinc/29/45/59/333294559.db2.gz GWXFRAVXNBNGOM-ZTFGCOKTSA-N 0 3 319.493 4.241 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](C)c2cnn(C3CCCC3)c2)C1(C)C ZINC000502429134 333294579 /nfs/dbraw/zinc/29/45/79/333294579.db2.gz GWXFRAVXNBNGOM-JCGIZDLHSA-N 0 3 319.493 4.241 20 0 DIADHN CC(C)[C@@H](NCCOC1CCCCCC1)c1ccc(F)cn1 ZINC000497820147 333296415 /nfs/dbraw/zinc/29/64/15/333296415.db2.gz CEOIHLIQXJGNGK-GOSISDBHSA-N 0 3 308.441 4.247 20 0 DIADHN CS[C@@H](CNCc1cscc1Br)C(C)(C)C ZINC000390547631 333296990 /nfs/dbraw/zinc/29/69/90/333296990.db2.gz NKBQZEJMVLCMJZ-NSHDSACASA-N 0 3 322.337 4.378 20 0 DIADHN CC(C)[C@@H](NCCCNc1ccccc1)c1ccc(F)cn1 ZINC000497826492 333297893 /nfs/dbraw/zinc/29/78/93/333297893.db2.gz SJDHTDOVIWNXCF-GOSISDBHSA-N 0 3 301.409 4.010 20 0 DIADHN COc1ccc([C@@H](NCCc2ccco2)c2ccccc2)cc1 ZINC000390552602 333298196 /nfs/dbraw/zinc/29/81/96/333298196.db2.gz QEKRYWZAUNBESI-FQEVSTJZSA-N 0 3 307.393 4.210 20 0 DIADHN Cc1csc(CCCCNCc2sccc2Cl)n1 ZINC000193078369 333298456 /nfs/dbraw/zinc/29/84/56/333298456.db2.gz DNUMGXMTIUYOAA-UHFFFAOYSA-N 0 3 300.880 4.279 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)NCc1sccc1Cl ZINC000193195820 333299968 /nfs/dbraw/zinc/29/99/68/333299968.db2.gz AODPLUPXMGBJDF-KOLCDFICSA-N 0 3 301.864 4.065 20 0 DIADHN CC(C)[C@@H](NCCc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000497866210 333303309 /nfs/dbraw/zinc/30/33/09/333303309.db2.gz YCUMSKNSPLXGOT-QGZVFWFLSA-N 0 3 306.812 4.404 20 0 DIADHN CC(C)[C@H](NCCc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000497866209 333303363 /nfs/dbraw/zinc/30/33/63/333303363.db2.gz YCUMSKNSPLXGOT-KRWDZBQOSA-N 0 3 306.812 4.404 20 0 DIADHN Cc1cc(CN2CCS[C@H](C(C)C)C2)c2ccccc2n1 ZINC000525781430 333306409 /nfs/dbraw/zinc/30/64/09/333306409.db2.gz FPAZUFUNZIWACG-SFHVURJKSA-N 0 3 300.471 4.117 20 0 DIADHN Fc1ccc(Br)cc1CNCCCc1ccccc1 ZINC000020122678 333306980 /nfs/dbraw/zinc/30/69/80/333306980.db2.gz YPJODGHDVSMWEF-UHFFFAOYSA-N 0 3 322.221 4.311 20 0 DIADHN CC1(C)CCC[C@@H]1CNCc1csc(-c2ccccn2)n1 ZINC000388447962 333308262 /nfs/dbraw/zinc/30/82/62/333308262.db2.gz IEFXBBUYAUURMQ-CYBMUJFWSA-N 0 3 301.459 4.121 20 0 DIADHN CCOc1ccc(CNC[C@@H]2CCCCC2(F)F)cc1OC ZINC000390641937 333310135 /nfs/dbraw/zinc/31/01/35/333310135.db2.gz HIFRRQCUZWWOGN-AWEZNQCLSA-N 0 3 313.388 4.009 20 0 DIADHN CCC1CCC(N(C)Cc2nnc(-c3ccc(C)cc3)o2)CC1 ZINC000514090032 333310399 /nfs/dbraw/zinc/31/03/99/333310399.db2.gz SVZFFBCLZHDVKP-UHFFFAOYSA-N 0 3 313.445 4.446 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cc(C)ccc1OC ZINC000525786606 333312218 /nfs/dbraw/zinc/31/22/18/333312218.db2.gz JHGXNWVYGWEAOM-INIZCTEOSA-N 0 3 313.441 4.075 20 0 DIADHN FC1(F)CC[C@H](CNCc2ccsc2Br)C1 ZINC000388474732 333313225 /nfs/dbraw/zinc/31/32/25/333313225.db2.gz PZKHJZDMZDDEJL-QMMMGPOBSA-N 0 3 310.207 4.036 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NC[C@@H]2CCCCC2(F)F)c1 ZINC000390705064 333316944 /nfs/dbraw/zinc/31/69/44/333316944.db2.gz UXQXWZHADFIXGV-OLZOCXBDSA-N 0 3 313.388 4.180 20 0 DIADHN C[C@H](N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1cccc(O)c1 ZINC000194937506 333317953 /nfs/dbraw/zinc/31/79/53/333317953.db2.gz WHEFBDCUBSDMEB-FTRWYGJKSA-N 0 3 311.425 4.211 20 0 DIADHN C[C@@H](N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1cccc(O)c1 ZINC000194937538 333317992 /nfs/dbraw/zinc/31/79/92/333317992.db2.gz WHEFBDCUBSDMEB-GQIGUUNPSA-N 0 3 311.425 4.211 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cccc(O)c1 ZINC000194942974 333318010 /nfs/dbraw/zinc/31/80/10/333318010.db2.gz NJCBQNXXHWYMBU-UYHISHBKSA-N 0 3 317.816 4.226 20 0 DIADHN CCCCCC(=O)N(CC)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000498007646 333319678 /nfs/dbraw/zinc/31/96/78/333319678.db2.gz BZJVRIFXQPUEFC-HKUYNNGSSA-N 0 3 316.489 4.251 20 0 DIADHN CC(C)CCC[C@H](C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000498021992 333321678 /nfs/dbraw/zinc/32/16/78/333321678.db2.gz IUMPURMADLETPS-KRWDZBQOSA-N 0 3 316.489 4.227 20 0 DIADHN CN(C)[C@@H](CNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC000020545910 333322060 /nfs/dbraw/zinc/32/20/60/333322060.db2.gz QSHVEJBDRKDEEN-INIZCTEOSA-N 0 3 323.267 4.386 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccc(F)c(Cl)c1 ZINC000020553637 333323132 /nfs/dbraw/zinc/32/31/32/333323132.db2.gz JFAUUHGOKVVELZ-IAQYHMDHSA-N 0 3 310.800 4.026 20 0 DIADHN OCCC1(NCc2csc(-c3cccs3)n2)CCCCC1 ZINC000531191398 333329835 /nfs/dbraw/zinc/32/98/35/333329835.db2.gz QFMKDMUQAIPRKD-UHFFFAOYSA-N 0 3 322.499 4.047 20 0 DIADHN CCCCN1CCc2c(cccc2NC(=O)Nc2ccccc2)C1 ZINC000531201710 333330859 /nfs/dbraw/zinc/33/08/59/333330859.db2.gz DFZSGIOTOIGIFI-UHFFFAOYSA-N 0 3 323.440 4.489 20 0 DIADHN C[C@H](NCCCC(C)(C)CO)c1cc(Cl)ccc1Cl ZINC000393405577 333333799 /nfs/dbraw/zinc/33/37/99/333333799.db2.gz ULOGXUDFJAQWBC-NSHDSACASA-N 0 3 304.261 4.443 20 0 DIADHN Fc1cccc(F)c1CNC[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000531212701 333335063 /nfs/dbraw/zinc/33/50/63/333335063.db2.gz ARMURHHHQHZCET-GHMZBOCLSA-N 0 3 307.306 4.423 20 0 DIADHN CN(C)Cc1ccc(C(=O)N[C@H](c2ccccc2)C(C)(C)C)cc1 ZINC000531278083 333339890 /nfs/dbraw/zinc/33/98/90/333339890.db2.gz PLZFVLFZYGEINS-LJQANCHMSA-N 0 3 324.468 4.265 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN[C@@H](C)c1cccs1 ZINC000121151266 333347110 /nfs/dbraw/zinc/34/71/10/333347110.db2.gz MXIRTCONTWLAQQ-AWEZNQCLSA-N 0 3 311.454 4.401 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)Cc3ccncc3)o2)cc1 ZINC000531391351 333348944 /nfs/dbraw/zinc/34/89/44/333348944.db2.gz KEPWURONYXKMCB-MRXNPFEDSA-N 0 3 306.409 4.371 20 0 DIADHN Fc1cncc(CNCCCc2ccc(Cl)cc2Cl)c1 ZINC000494611969 333349668 /nfs/dbraw/zinc/34/96/68/333349668.db2.gz LUDUMISRWIJDHE-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccsc1 ZINC000537941038 333350665 /nfs/dbraw/zinc/35/06/65/333350665.db2.gz FWWXKPTZKQRDJD-YPMHNXCESA-N 0 3 324.852 4.470 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1)C(F)(F)F ZINC000463239091 333356627 /nfs/dbraw/zinc/35/66/27/333356627.db2.gz UKLMOCDKPDARKZ-YFKPBYRVSA-N 0 3 319.632 4.496 20 0 DIADHN CSc1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)s1 ZINC000583469976 333360320 /nfs/dbraw/zinc/36/03/20/333360320.db2.gz NUKOEJXQOBSOML-ZBFHGGJFSA-N 0 3 319.495 4.480 20 0 DIADHN C[C@@H](NCC(C)(C)CCCO)c1cc(Cl)ccc1Cl ZINC000221589894 333361440 /nfs/dbraw/zinc/36/14/40/333361440.db2.gz UUEZIWDTJWULBP-LLVKDONJSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(Cl)cc1 ZINC000184674944 333363913 /nfs/dbraw/zinc/36/39/13/333363913.db2.gz YXYWHTBNGHDPFZ-CYBMUJFWSA-N 0 3 303.833 4.418 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccc(Cl)cc1Cl)C1CCCC1 ZINC000184669517 333364024 /nfs/dbraw/zinc/36/40/24/333364024.db2.gz LNZSHDBUKJHDDY-SNVBAGLBSA-N 0 3 315.244 4.100 20 0 DIADHN C[C@@H](CN1CCc2c(cnn2-c2ccccc2)C1)c1ccccc1 ZINC000531453103 333375658 /nfs/dbraw/zinc/37/56/58/333375658.db2.gz ZXZMHQICSWYYQO-KRWDZBQOSA-N 0 3 317.436 4.034 20 0 DIADHN O[C@]1(CNc2ccnc3ccccc32)CCC[C@H](C(F)(F)F)C1 ZINC000186720493 333376966 /nfs/dbraw/zinc/37/69/66/333376966.db2.gz GAAXEIMUOAZYRG-BLLLJJGKSA-N 0 3 324.346 4.130 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cnc2ccsc2c1)c1nccs1 ZINC000186725020 333377432 /nfs/dbraw/zinc/37/74/32/333377432.db2.gz BJYRFFMCSPYSIM-QWRGUYRKSA-N 0 3 303.456 4.207 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)OCO2)c1cccc(F)c1 ZINC000186839816 333379633 /nfs/dbraw/zinc/37/96/33/333379633.db2.gz MVEXCBLKRKSYSU-WBMJQRKESA-N 0 3 301.361 4.356 20 0 DIADHN Cc1cnc([C@H](N[C@H]2CS[C@@H](C(C)(C)C)C2)C2CC2)s1 ZINC000488458547 333379938 /nfs/dbraw/zinc/37/99/38/333379938.db2.gz IHHKZUGLDVCEMM-MGPQQGTHSA-N 0 3 310.532 4.412 20 0 DIADHN C[C@H]1CCCCN1C(=O)CN1CCCCC[C@@H]1c1ccccc1 ZINC000521426324 333381500 /nfs/dbraw/zinc/38/15/00/333381500.db2.gz SLKBBQZHSJFLSW-PKOBYXMFSA-N 0 3 314.473 4.005 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1ccc(Cl)cc1Cl ZINC000186922349 333381747 /nfs/dbraw/zinc/38/17/47/333381747.db2.gz OYHWDCIBKRESRI-ZEQHWRKHSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@@H](C1CC1)N(Cc1csc(Br)c1)C1CC1 ZINC000521428604 333382312 /nfs/dbraw/zinc/38/23/12/333382312.db2.gz IJEUTYZMUFKOLT-VIFPVBQESA-N 0 3 300.265 4.274 20 0 DIADHN CC(C)CCCN[C@H](C)c1ncc(Br)cc1F ZINC000186963949 333382502 /nfs/dbraw/zinc/38/25/02/333382502.db2.gz UNEBPSUDEZWSRD-SNVBAGLBSA-N 0 3 303.219 4.070 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccc(Br)cc1F)C1CC1 ZINC000521430807 333382821 /nfs/dbraw/zinc/38/28/21/333382821.db2.gz CGGSITNLTGSTCP-SNVBAGLBSA-N 0 3 312.226 4.351 20 0 DIADHN CC[C@@H](NC[C@H](c1ccco1)N(C)C)c1ccc(Cl)cc1 ZINC000021007598 333386443 /nfs/dbraw/zinc/38/64/43/333386443.db2.gz KLCQVQIARQJFCV-HZPDHXFCSA-N 0 3 306.837 4.277 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@@H]1CCCN1Cc1cc(Cl)ccc1Cl ZINC000228484626 333386914 /nfs/dbraw/zinc/38/69/14/333386914.db2.gz PMICEFAILSRIAB-VNQPRFMTSA-N 0 3 314.256 4.119 20 0 DIADHN CC(C)[C@H](C)N(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000522775698 333389064 /nfs/dbraw/zinc/38/90/64/333389064.db2.gz XKFJSPDVCKORIL-JEOXALJRSA-N 0 3 322.301 4.077 20 0 DIADHN COCC1(CCNCc2ccc(-c3c(F)cccc3F)o2)CC1 ZINC000563121783 333392954 /nfs/dbraw/zinc/39/29/54/333392954.db2.gz FLSZWIPITPBTNH-UHFFFAOYSA-N 0 3 321.367 4.131 20 0 DIADHN F[C@@H]1CC[C@@H](NCc2ccc(Cl)cc2Br)C1 ZINC000390818253 333396264 /nfs/dbraw/zinc/39/62/64/333396264.db2.gz QJRRNWCGHJCYFE-GHMZBOCLSA-N 0 3 306.606 4.083 20 0 DIADHN Brc1ccccc1CN[C@@H]1CCOC2(CCCC2)C1 ZINC000094369284 333397504 /nfs/dbraw/zinc/39/75/04/333397504.db2.gz KVFYQZBCJVOIBU-CQSZACIVSA-N 0 3 324.262 4.031 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2ccc3c(c2)OCCCO3)c1 ZINC000319708527 333398811 /nfs/dbraw/zinc/39/88/11/333398811.db2.gz DQZGEDMCILHIPR-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1ncc(CNC[C@@H]2CCCCC2(F)F)n1-c1ccccc1 ZINC000390918709 333405578 /nfs/dbraw/zinc/40/55/78/333405578.db2.gz VYSJNNFMRIAEIC-HNNXBMFYSA-N 0 3 319.399 4.096 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(CO)c(F)c2)C2CCC2)cc1 ZINC000187053131 333416228 /nfs/dbraw/zinc/41/62/28/333416228.db2.gz ZFWQQCAKYMGEHH-FQEVSTJZSA-N 0 3 313.416 4.257 20 0 DIADHN Cc1ncsc1CCNCc1cc(Cl)ccc1Cl ZINC000391058646 333418006 /nfs/dbraw/zinc/41/80/06/333418006.db2.gz AYXCNZWLUAIKFS-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CN(C)CCOc1cccc(N[C@H]2CCCc3ccccc32)c1 ZINC000319931322 333418810 /nfs/dbraw/zinc/41/88/10/333418810.db2.gz APNSTMPQXCLZLV-FQEVSTJZSA-N 0 3 310.441 4.117 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCC(C)=C(C)C2)c(CN(C)C)c1 ZINC000563167841 333422791 /nfs/dbraw/zinc/42/27/91/333422791.db2.gz YSWUVFQTCXLRIF-MRXNPFEDSA-N 0 3 300.446 4.132 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1cccc(Cl)c1 ZINC000021520842 333423896 /nfs/dbraw/zinc/42/38/96/333423896.db2.gz KSUWVDXRMIHKFV-NEPJUHHUSA-N 0 3 303.789 4.481 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](c1ccccc1)C1CC1)CCO2 ZINC000320118505 333426071 /nfs/dbraw/zinc/42/60/71/333426071.db2.gz XRUUMGDZWKHWPP-ICSRJNTNSA-N 0 3 309.409 4.260 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1cccc(Cl)c1Cl ZINC000187221339 333427011 /nfs/dbraw/zinc/42/70/11/333427011.db2.gz HJXLNIMLUQOUIC-RMIQQSQVSA-N 0 3 300.229 4.212 20 0 DIADHN CC(C)(C)[C@@H](CO)NCc1ccc(-c2ccccc2Cl)o1 ZINC000446834082 333449852 /nfs/dbraw/zinc/44/98/52/333449852.db2.gz VOIANTJUCVOTQK-MRXNPFEDSA-N 0 3 307.821 4.097 20 0 DIADHN COCc1cc([C@@H](C)N[C@@H]2CCCc3occc32)ccc1OC ZINC000123392200 333468381 /nfs/dbraw/zinc/46/83/81/333468381.db2.gz ADSGSISEMDBQTB-CXAGYDPISA-N 0 3 315.413 4.163 20 0 DIADHN CCCOc1ccc([C@H](C)NC[C@@H]2CCCO2)cc1OCCC ZINC000320256706 333469327 /nfs/dbraw/zinc/46/93/27/333469327.db2.gz BTNWNZHWSRSICB-RDJZCZTQSA-N 0 3 321.461 4.094 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(-c3cccc(F)c3F)o2)C[C@H](C)O1 ZINC000570992128 333476255 /nfs/dbraw/zinc/47/62/55/333476255.db2.gz GPSOASGYJDHEQD-CLLJXQQHSA-N 0 3 321.367 4.270 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000571015966 333480530 /nfs/dbraw/zinc/48/05/30/333480530.db2.gz FJUOTWKCNLWDRO-NSHDSACASA-N 0 3 323.843 4.149 20 0 DIADHN Cc1ncncc1[C@H](C)NCC(C)(C)c1ccc(F)cc1Cl ZINC000571060373 333505928 /nfs/dbraw/zinc/50/59/28/333505928.db2.gz MHZXYGRGQKNXNK-NSHDSACASA-N 0 3 321.827 4.206 20 0 DIADHN CC(C)[C@@H](O)CCNCc1ccc(-c2ccccc2Cl)o1 ZINC000236775177 333514336 /nfs/dbraw/zinc/51/43/36/333514336.db2.gz RLJWWXIQRMNCJS-INIZCTEOSA-N 0 3 307.821 4.097 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1F)[C@H](C)O)[C@@H]1C[C@H]1c1cccs1 ZINC000563264136 333515916 /nfs/dbraw/zinc/51/59/16/333515916.db2.gz NUTVUHRJWWAZDC-XRHVGZCVSA-N 0 3 319.445 4.091 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccccc1F ZINC000563264134 333515936 /nfs/dbraw/zinc/51/59/36/333515936.db2.gz NUTVUHRJWWAZDC-VQYVRKMMSA-N 0 3 319.445 4.091 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C(C)(C)C1CCC1 ZINC000410590394 333517553 /nfs/dbraw/zinc/51/75/53/333517553.db2.gz NZOWZONWJCUVGB-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN CCCC(O)(CCC)CN[C@H](C)c1cnc2ccsc2c1 ZINC000152044740 333518543 /nfs/dbraw/zinc/51/85/43/333518543.db2.gz SSLCIEPZGAFFHS-CYBMUJFWSA-N 0 3 306.475 4.278 20 0 DIADHN CC(C)c1ncc(CNC[C@@H]2SCCc3ccccc32)s1 ZINC000531564553 333537195 /nfs/dbraw/zinc/53/71/95/333537195.db2.gz UHCBKTZBJUAEAB-INIZCTEOSA-N 0 3 318.511 4.387 20 0 DIADHN CC[C@@H](NCCOC1CCCC1)c1ccccc1OC(F)F ZINC000531693584 333546163 /nfs/dbraw/zinc/54/61/63/333546163.db2.gz RYGWOZAZSXWNRT-OAHLLOKOSA-N 0 3 313.388 4.288 20 0 DIADHN Cc1nc(CN[C@@H](C)C(C)(C)c2ccccc2)nc2ccccc12 ZINC000531706562 333548285 /nfs/dbraw/zinc/54/82/85/333548285.db2.gz GTGOIIYLOOJSJX-INIZCTEOSA-N 0 3 319.452 4.394 20 0 DIADHN Cc1ccc([C@H](C)NC[C@H](c2ccc(Cl)cc2)N(C)C)o1 ZINC000531738526 333551835 /nfs/dbraw/zinc/55/18/35/333551835.db2.gz YDWNJCMBBRNCSD-XJKSGUPXSA-N 0 3 306.837 4.195 20 0 DIADHN Clc1ccc2sc(CCNCc3ccsc3)nc2c1 ZINC000531778341 333557467 /nfs/dbraw/zinc/55/74/67/333557467.db2.gz WIBHJSYOBPVVCC-UHFFFAOYSA-N 0 3 308.859 4.344 20 0 DIADHN C[C@H](NCCc1nccs1)c1ccc(Cl)cc1Cl ZINC000063133471 333558095 /nfs/dbraw/zinc/55/80/95/333558095.db2.gz WDNSZUFJOJEQSF-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCCc1nccs1 ZINC000063133350 333558255 /nfs/dbraw/zinc/55/82/55/333558255.db2.gz UIIBCVWPHRVBGZ-LBPRGKRZSA-N 0 3 300.427 4.345 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CCO[C@H]2C2CC2)o1 ZINC000534094933 333560073 /nfs/dbraw/zinc/56/00/73/333560073.db2.gz OEPVVTPBLGHQEP-HKUYNNGSSA-N 0 3 315.388 4.051 20 0 DIADHN Cc1ccsc1CNCc1ccc(Br)c(F)c1 ZINC000110386535 333581699 /nfs/dbraw/zinc/58/16/99/333581699.db2.gz RROGXOSPEOBUKV-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN c1cc2cc(CN[C@@H](C[C@H]3CCOC3)c3ccccc3)ccc2[nH]1 ZINC000563419704 333603215 /nfs/dbraw/zinc/60/32/15/333603215.db2.gz YFDHJWQGSAMUHX-UTKZUKDTSA-N 0 3 320.436 4.425 20 0 DIADHN COCCN[C@@H]1CCCc2nc(-c3ccc(Cl)cc3)sc21 ZINC000152133232 333620905 /nfs/dbraw/zinc/62/09/05/333620905.db2.gz AOTRDDWUVPKDNC-CYBMUJFWSA-N 0 3 322.861 4.077 20 0 DIADHN CC[C@@H](NCCOC1CCCCC1)c1ccc(OC)c(OC)c1 ZINC000320838522 335151816 /nfs/dbraw/zinc/15/18/16/335151816.db2.gz OFRUAKHPDQBZIQ-QGZVFWFLSA-N 0 3 321.461 4.094 20 0 DIADHN C[C@@H](NC[C@H](Cc1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000563654175 333647397 /nfs/dbraw/zinc/64/73/97/333647397.db2.gz CLFPFBVZYKPVPA-CJNGLKHVSA-N 0 3 323.358 4.464 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccccc2-n2ccnc2)c1 ZINC000152228564 333647752 /nfs/dbraw/zinc/64/77/52/333647752.db2.gz UESSNTIRYVEPFC-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@@H]1NCc1ccc2c(c1)COC2 ZINC000571084651 333656339 /nfs/dbraw/zinc/65/63/39/333656339.db2.gz WKPPJVHGGZCWTQ-FQEVSTJZSA-N 0 3 318.420 4.365 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccc(-c2cccnc2)cc1 ZINC000411473020 333678326 /nfs/dbraw/zinc/67/83/26/333678326.db2.gz JGLJNLBVXRBXEG-DNVCBOLYSA-N 0 3 310.441 4.357 20 0 DIADHN Clc1cccnc1C1CCN([C@H]2C[C@@H]2c2ccccc2)CC1 ZINC000411634495 333699346 /nfs/dbraw/zinc/69/93/46/333699346.db2.gz UXWRAWYNQKWZSQ-AEFFLSMTSA-N 0 3 312.844 4.471 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccc(C)cc1C)c1ccccc1 ZINC000411890607 333710552 /nfs/dbraw/zinc/71/05/52/333710552.db2.gz XUOXQOYROICXFX-WMZOPIPTSA-N 0 3 310.441 4.371 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1c(Cl)ccc2cccnc21)C1CC1 ZINC000411956296 333716686 /nfs/dbraw/zinc/71/66/86/333716686.db2.gz VHCNWQYYJSADEM-SGTLLEGYSA-N 0 3 318.848 4.181 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1c(Cl)ccc2cccnc21)C1CC1 ZINC000411956300 333716704 /nfs/dbraw/zinc/71/67/04/333716704.db2.gz VHCNWQYYJSADEM-XIKOKIGWSA-N 0 3 318.848 4.181 20 0 DIADHN OCC1([C@@H](NCCC(F)(F)F)c2ccccc2)CCCCC1 ZINC000412007545 333722518 /nfs/dbraw/zinc/72/25/18/333722518.db2.gz JSTISWMBUQKBJX-HNNXBMFYSA-N 0 3 315.379 4.213 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CCCC(C)(C)C2)c(F)c1 ZINC000412561669 333764274 /nfs/dbraw/zinc/76/42/74/333764274.db2.gz UJPPOFJJZFDKEK-CQSZACIVSA-N 0 3 306.425 4.042 20 0 DIADHN CCOC1(C)CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC000430110760 333811233 /nfs/dbraw/zinc/81/12/33/333811233.db2.gz KFZVLEFRXZWMFB-UHFFFAOYSA-N 0 3 307.821 4.477 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCO[C@H]3c3cccnc3)oc2cc1C ZINC000343380523 335160634 /nfs/dbraw/zinc/16/06/34/335160634.db2.gz BGKOYZCCUKZWCE-ICSRJNTNSA-N 0 3 322.408 4.064 20 0 DIADHN CSc1cc(C)ccc1NC(=O)c1cccc(CN(C)C)c1 ZINC000413891008 333878226 /nfs/dbraw/zinc/87/82/26/333878226.db2.gz FHASHHKXOJVOJO-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](C)OC)c1cc(F)cc(Br)c1 ZINC000343465157 335166838 /nfs/dbraw/zinc/16/68/38/335166838.db2.gz CIWKDQAWHLFXDR-RBZYPMLTSA-N 0 3 318.230 4.052 20 0 DIADHN Clc1ccc(CNCc2c[nH]nc2-c2ccsc2)cc1 ZINC000436264860 333888864 /nfs/dbraw/zinc/88/88/64/333888864.db2.gz KQYSJJKEQMRZOJ-UHFFFAOYSA-N 0 3 303.818 4.081 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2)s1)[C@@H]1CC1(C)C ZINC000414084687 333893777 /nfs/dbraw/zinc/89/37/77/333893777.db2.gz FQSAUEANUHTWBV-KBPBESRZSA-N 0 3 301.459 4.119 20 0 DIADHN COc1ccc2c(c1)[C@H](NCCSCC(C)C)CCS2 ZINC000343454879 335165771 /nfs/dbraw/zinc/16/57/71/335165771.db2.gz BTFPKUHPJQEKAB-OAHLLOKOSA-N 0 3 311.516 4.211 20 0 DIADHN COc1ccc(C2(N[C@@H](C)c3ccc(OC)cc3C)CC2)cc1 ZINC000414090334 333894378 /nfs/dbraw/zinc/89/43/78/333894378.db2.gz BZOONKTUALOLBX-HNNXBMFYSA-N 0 3 311.425 4.352 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414094588 333895273 /nfs/dbraw/zinc/89/52/73/333895273.db2.gz GLRCGSLYIARVCZ-HUUCEWRRSA-N 0 3 323.465 4.487 20 0 DIADHN C[C@@H](N[C@H]1CCCOCC1)c1csc(-c2ccccc2)n1 ZINC000414096251 333895540 /nfs/dbraw/zinc/89/55/40/333895540.db2.gz IDRPRSQTPOTSOE-HIFRSBDPSA-N 0 3 302.443 4.030 20 0 DIADHN C[C@@H](NC1CCSCC1)c1csc(-c2ccccc2)n1 ZINC000414096615 333895701 /nfs/dbraw/zinc/89/57/01/333895701.db2.gz OUMLRXWGBRGONH-GFCCVEGCSA-N 0 3 304.484 4.356 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1cc2n(n1)CCC2 ZINC000414134122 333900627 /nfs/dbraw/zinc/90/06/27/333900627.db2.gz GYMBLJUMKSKCAA-IHRRRGAJSA-N 0 3 315.848 4.080 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1csc(-c2ccccc2)n1 ZINC000414137789 333901276 /nfs/dbraw/zinc/90/12/76/333901276.db2.gz PEHBMCSSTWAOJK-CRNXPOROSA-N 0 3 314.454 4.028 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)s1 ZINC000414145459 333902614 /nfs/dbraw/zinc/90/26/14/333902614.db2.gz UOWLFEWDQCYADX-VZJVUDMVSA-N 0 3 321.877 4.487 20 0 DIADHN C[C@@H](N[C@@H](C)CCCCO)c1csc(-c2ccccc2)n1 ZINC000414156053 333904575 /nfs/dbraw/zinc/90/45/75/333904575.db2.gz VXSMCKDZWIYHAJ-UONOGXRCSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@H](NCCc1cn(C)cn1)c1cc2c(ccc3ccccc23)o1 ZINC000414121441 333906664 /nfs/dbraw/zinc/90/66/64/333906664.db2.gz GYFPPOVGPBLQFY-AWEZNQCLSA-N 0 3 319.408 4.213 20 0 DIADHN C[C@H](NCc1ccc2cncn2c1)c1ccc2c(c1)CCCC2 ZINC000414181394 333909583 /nfs/dbraw/zinc/90/95/83/333909583.db2.gz MCDHZAYOBZGWGJ-HNNXBMFYSA-N 0 3 305.425 4.064 20 0 DIADHN c1ncn2cc(CN[C@@H](c3ccccc3)C3CCCC3)ccc12 ZINC000414198278 333912919 /nfs/dbraw/zinc/91/29/19/333912919.db2.gz YIOXRTLUQLSGHC-FQEVSTJZSA-N 0 3 305.425 4.355 20 0 DIADHN COCC1(CN[C@@H](C)c2csc(-c3ccccc3)n2)CCC1 ZINC000414159264 333914844 /nfs/dbraw/zinc/91/48/44/333914844.db2.gz YHCFPIMYDAOUFF-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H]2C[C@H]2c2ccccc2F)cc1Cl ZINC000414160084 333915048 /nfs/dbraw/zinc/91/50/48/333915048.db2.gz CGZALPOVUUXGEJ-AKWBHNSASA-N 0 3 320.795 4.089 20 0 DIADHN COc1ccc([C@@H](C)NCC2(C(F)(F)F)CCC2)c(C)c1 ZINC000414165947 333916373 /nfs/dbraw/zinc/91/63/73/333916373.db2.gz QLJQDOGDFZCTTJ-GFCCVEGCSA-N 0 3 301.352 4.387 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1ccncn1 ZINC000414240675 333918633 /nfs/dbraw/zinc/91/86/33/333918633.db2.gz NQKXNBLUZGUIMA-JGGQBBKZSA-N 0 3 301.821 4.117 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(Cl)cc2)C(C)C)cn1 ZINC000414251847 333920874 /nfs/dbraw/zinc/92/08/74/333920874.db2.gz AGPFGFLTBCCQDJ-KRWDZBQOSA-N 0 3 303.837 4.179 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)nn1C ZINC000414224644 333924660 /nfs/dbraw/zinc/92/46/60/333924660.db2.gz ASWVWEYNUGTNSX-QRTARXTBSA-N 0 3 317.864 4.369 20 0 DIADHN CC(C)CC(CC(C)C)NCc1nccc(C(F)(F)F)n1 ZINC000414256628 333928401 /nfs/dbraw/zinc/92/84/01/333928401.db2.gz NXJJTTUTPCJXGD-UHFFFAOYSA-N 0 3 303.372 4.046 20 0 DIADHN COc1cc([C@H](C)NCC(C)(C)OCC2CC2)ccc1SC ZINC000414265105 333930162 /nfs/dbraw/zinc/93/01/62/333930162.db2.gz LOBVQIBMTVIASM-ZDUSSCGKSA-N 0 3 323.502 4.273 20 0 DIADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1nc(C(F)(F)F)cs1 ZINC000414284599 333933356 /nfs/dbraw/zinc/93/33/56/333933356.db2.gz QTLKJSDXTCUKPH-NKWVEPMBSA-N 0 3 320.302 4.401 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1C[C@H](c2ccccc2)C[C@H](C)C1 ZINC000414297510 333935363 /nfs/dbraw/zinc/93/53/63/333935363.db2.gz YMZKCPRLGHGCHA-IAAVTCLWSA-N 0 3 309.457 4.408 20 0 DIADHN Fc1ccc([C@H](C[C@H]2CCOC2)N[C@@H]2C[C@H]2c2ccco2)cc1 ZINC000414341704 333942591 /nfs/dbraw/zinc/94/25/91/333942591.db2.gz IFKVOTFNZSIPSG-NKGKWGDASA-N 0 3 315.388 4.032 20 0 DIADHN CCOc1cccc([C@H](C)NCc2cnc3ccccc3c2)c1 ZINC000263850013 333949424 /nfs/dbraw/zinc/94/94/24/333949424.db2.gz NMVHORKDCZHOKD-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccccc2-n2ccnc2)c2ccccc21 ZINC000343475285 335168040 /nfs/dbraw/zinc/16/80/40/335168040.db2.gz JZQGVXDALPUYQY-HNAYVOBHSA-N 0 3 303.409 4.210 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(N3CCCCC3)nc2)c1 ZINC000266498030 333974137 /nfs/dbraw/zinc/97/41/37/333974137.db2.gz USMPILWXLYJMGW-QGZVFWFLSA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc(CN[C@H]2CCC[C@H](c3ccc(Cl)cc3)C2)nn1 ZINC000414465599 333989172 /nfs/dbraw/zinc/98/91/72/333989172.db2.gz XYGZOQXYEVCZTN-RDJZCZTQSA-N 0 3 315.848 4.254 20 0 DIADHN Cc1cc2cc(CN3CCC(c4ccncn4)CC3)oc2cc1C ZINC000414471094 333990736 /nfs/dbraw/zinc/99/07/36/333990736.db2.gz NVZAELZKTWQMGZ-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CN(C)Cc1ccc(NCc2cnc(C3CCC3)s2)c(F)c1 ZINC000414486451 333995158 /nfs/dbraw/zinc/99/51/58/333995158.db2.gz KPDOFVZSQORLJA-UHFFFAOYSA-N 0 3 319.449 4.223 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@H]3CS[C@@H](C)C3)s2)cc1 ZINC000414499669 333998668 /nfs/dbraw/zinc/99/86/68/333998668.db2.gz OTONWQUTXKELKP-GXTWGEPZSA-N 0 3 304.484 4.102 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc(F)c2cccnc12 ZINC000414504562 333999900 /nfs/dbraw/zinc/99/99/00/333999900.db2.gz YJGNPSVMYGFQNW-UHFFFAOYSA-N 0 3 323.415 4.356 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccn(C(F)F)n2)C[C@@H](c2ccccc2)C1 ZINC000414509889 334002158 /nfs/dbraw/zinc/00/21/58/334002158.db2.gz GQBZTWWDFMGOBR-JLJPHGGASA-N 0 3 319.399 4.340 20 0 DIADHN c1ccc([C@@H]2CN(Cc3cnc(C4CC4)nc3)CC23CCC3)cc1 ZINC000414510166 334002432 /nfs/dbraw/zinc/00/24/32/334002432.db2.gz HKVLWRLWQXVRRR-IBGZPJMESA-N 0 3 319.452 4.124 20 0 DIADHN CC[C@@H](CNCc1cnc(C(F)(F)F)s1)CC(F)(F)F ZINC000414516273 334004268 /nfs/dbraw/zinc/00/42/68/334004268.db2.gz NYVCTDNMYBUFLG-SSDOTTSWSA-N 0 3 320.302 4.230 20 0 DIADHN C[C@@H](CNCc1ncc(-c2ccccc2)s1)CC(F)(F)F ZINC000414518765 334005224 /nfs/dbraw/zinc/00/52/24/334005224.db2.gz SQOOWWNXABIFCV-LLVKDONJSA-N 0 3 314.376 4.488 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(-c3cccc(F)c3F)o2)[C@H](C)O1 ZINC000414518503 334005297 /nfs/dbraw/zinc/00/52/97/334005297.db2.gz SKJOQNZHPRAFRQ-AGIUHOORSA-N 0 3 321.367 4.128 20 0 DIADHN C[C@@H]1C[C@H](CNCc2cc(C(F)(F)F)ccc2Cl)[C@H](C)O1 ZINC000414521437 334006236 /nfs/dbraw/zinc/00/62/36/334006236.db2.gz WEHMHDVKSYREPU-OUAUKWLOSA-N 0 3 321.770 4.262 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc([C@H]3C[C@@H]3C)o2)CC12CCOCC2 ZINC000414521663 334006381 /nfs/dbraw/zinc/00/63/81/334006381.db2.gz FFDSPXZMQZOFOK-ZVZYQTTQSA-N 0 3 303.446 4.040 20 0 DIADHN CC(C)Oc1cccc(CN2CC3(CCOCC3)[C@H]2C(C)C)c1 ZINC000414532242 334008865 /nfs/dbraw/zinc/00/88/65/334008865.db2.gz SPYQGPQWMPZYNU-LJQANCHMSA-N 0 3 317.473 4.111 20 0 DIADHN CC(C)[C@H]1N(Cc2cc3cc(F)ccc3o2)CC12CCOCC2 ZINC000414532996 334009489 /nfs/dbraw/zinc/00/94/89/334009489.db2.gz DTRISKWBNFTIKA-GOSISDBHSA-N 0 3 317.404 4.209 20 0 DIADHN CCN(CCc1ccccc1)Cc1cnc([C@H]2CCCO2)s1 ZINC000271087246 334018189 /nfs/dbraw/zinc/01/81/89/334018189.db2.gz UQLPEJAPXQNAOK-QGZVFWFLSA-N 0 3 316.470 4.059 20 0 DIADHN CC(C)(CNCc1cscc1C(F)(F)F)c1cccnc1 ZINC000414562250 334019639 /nfs/dbraw/zinc/01/96/39/334019639.db2.gz UJLBHOFTBDWQIB-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H]3C[C@H]3c3ccco3)s2)cc1 ZINC000414561829 334019846 /nfs/dbraw/zinc/01/98/46/334019846.db2.gz AGVBGXUMFPHENR-HZPDHXFCSA-N 0 3 310.422 4.357 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cnccc1C)c1ccsc1 ZINC000271375453 334022144 /nfs/dbraw/zinc/02/21/44/334022144.db2.gz HRDRUOXACOUWKX-MAUKXSAKSA-N 0 3 317.502 4.185 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc([S@](C)=O)cc1 ZINC000271483501 334023938 /nfs/dbraw/zinc/02/39/38/334023938.db2.gz NSSFRKVCCLNVNQ-BFSXNFSYSA-N 0 3 313.466 4.323 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1sc(C)nc1C ZINC000271875985 334027986 /nfs/dbraw/zinc/02/79/86/334027986.db2.gz OAWUZEKPGNXJRY-UVWXRNBGSA-N 0 3 322.449 4.326 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCC(F)(F)C1)CCS2 ZINC000343464634 335166988 /nfs/dbraw/zinc/16/69/88/335166988.db2.gz HDLRWPJKQLYNLT-RISCZKNCSA-N 0 3 313.413 4.400 20 0 DIADHN c1coc([C@@H]2C[C@H]2NCc2cnc(CCc3ccccc3)s2)c1 ZINC000414587153 334028946 /nfs/dbraw/zinc/02/89/46/334028946.db2.gz PJVGOWRBMBSGDC-IAGOWNOFSA-N 0 3 324.449 4.167 20 0 DIADHN C[C@@H](Cc1ccccc1C(F)(F)F)N[C@@H](C)c1cncs1 ZINC000272498336 334035648 /nfs/dbraw/zinc/03/56/48/334035648.db2.gz DJDOGNCGZMVKBP-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H](NCC1C[C@@H](C)O[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343528730 335175291 /nfs/dbraw/zinc/17/52/91/335175291.db2.gz BFMDCVNKOOBBHF-OPRDCNLKSA-N 0 3 322.396 4.016 20 0 DIADHN Cc1nnc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)s1 ZINC000274948895 334068116 /nfs/dbraw/zinc/06/81/16/334068116.db2.gz CZSBREWPZAVIDK-BRWVUGGUSA-N 0 3 313.470 4.005 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2cnn(C(C)(C)C)c2C)c1 ZINC000276369509 334076402 /nfs/dbraw/zinc/07/64/02/334076402.db2.gz OECDMWTZUPLSHV-UONOGXRCSA-N 0 3 315.461 4.367 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@H](C)c1csc(Cl)c1 ZINC000276415042 334077615 /nfs/dbraw/zinc/07/76/15/334077615.db2.gz VDHYIHIMFFCQHI-HTQZYQBOSA-N 0 3 301.761 4.020 20 0 DIADHN CCOC(CCN[C@H](C)c1cccc(Cl)c1Cl)OCC ZINC000276581409 334082494 /nfs/dbraw/zinc/08/24/94/334082494.db2.gz VDCOWLFUYHCICP-LLVKDONJSA-N 0 3 320.260 4.433 20 0 DIADHN CCOC(CCN[C@H](CC)c1cccc(Cl)c1F)OCC ZINC000276579094 334082520 /nfs/dbraw/zinc/08/25/20/334082520.db2.gz VCTZCWYJXPSWOP-CQSZACIVSA-N 0 3 317.832 4.309 20 0 DIADHN Cc1csc(C(=O)Nc2cccc(CN(C)C)c2)c1Cl ZINC000276591423 334083049 /nfs/dbraw/zinc/08/30/49/334083049.db2.gz OKDWYFVBJBVARY-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN FC1(F)CC(N[C@H](c2ccc(Br)cc2)C2CC2)C1 ZINC000277199724 334087127 /nfs/dbraw/zinc/08/71/27/334087127.db2.gz VOMYLMUZUNSLAF-ZDUSSCGKSA-N 0 3 316.189 4.288 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NC[C@@H]2CCSC2)cc1 ZINC000278800826 334101473 /nfs/dbraw/zinc/10/14/73/334101473.db2.gz RJPGWHDHWMJODW-GWCFXTLKSA-N 0 3 307.356 4.162 20 0 DIADHN C[C@H](O)CCCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000279103803 334105699 /nfs/dbraw/zinc/10/56/99/334105699.db2.gz OPEUNFJKOALSBL-KSSFIOAISA-N 0 3 303.833 4.180 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)NCCc2ccncc2)cc1 ZINC000438974951 334143999 /nfs/dbraw/zinc/14/39/99/334143999.db2.gz MYYJTZSDSGLELV-HNNXBMFYSA-N 0 3 312.310 4.047 20 0 DIADHN Cc1ncsc1CCN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000184505861 334145009 /nfs/dbraw/zinc/14/50/09/334145009.db2.gz RLFZGGLXHKAYBP-LLVKDONJSA-N 0 3 309.504 4.099 20 0 DIADHN C[C@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1cn[nH]c1 ZINC000285254074 334146450 /nfs/dbraw/zinc/14/64/50/334146450.db2.gz QBLXDMZQUQEJQD-ZUZCIYMTSA-N 0 3 309.335 4.230 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(Cl)cc2)cc1O ZINC000289801571 334168039 /nfs/dbraw/zinc/16/80/39/334168039.db2.gz OZMHJKDHSILQNH-RYUDHWBXSA-N 0 3 305.805 4.466 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(Cl)cc2)cc1O ZINC000289801569 334168044 /nfs/dbraw/zinc/16/80/44/334168044.db2.gz OZMHJKDHSILQNH-NWDGAFQWSA-N 0 3 305.805 4.466 20 0 DIADHN COCc1nc(CNc2c(C)c(C)nc3ccccc32)cs1 ZINC000290674226 334174156 /nfs/dbraw/zinc/17/41/56/334174156.db2.gz KSGXDAFMCFPYNK-UHFFFAOYSA-N 0 3 313.426 4.067 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cc2cc(F)ccc2o1 ZINC000414920164 334180879 /nfs/dbraw/zinc/18/08/79/334180879.db2.gz WWHUUZNDVWAUIH-SFHVURJKSA-N 0 3 310.372 4.321 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)c1 ZINC000291865940 334182634 /nfs/dbraw/zinc/18/26/34/334182634.db2.gz IAVSWGBOXWBIPR-JCGVRSQUSA-N 0 3 317.454 4.110 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cc(F)cc(Cl)c1 ZINC000414922663 334182795 /nfs/dbraw/zinc/18/27/95/334182795.db2.gz GHFPPBJJFXECSD-QGZVFWFLSA-N 0 3 304.796 4.229 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](O)c2ccc(Cl)cc2)s1 ZINC000291965306 334183596 /nfs/dbraw/zinc/18/35/96/334183596.db2.gz SIYBMGYVIVOKGX-IINYFYTJSA-N 0 3 324.877 4.052 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc(-n2ccnn2)cc1 ZINC000343668491 335181224 /nfs/dbraw/zinc/18/12/24/335181224.db2.gz NQKBZUPRUFNYQU-STXHMFSFSA-N 0 3 318.424 4.166 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1ccc(Cl)cc1F ZINC000414926655 334188614 /nfs/dbraw/zinc/18/86/14/334188614.db2.gz LCWCVVGUSZVCLC-KRWDZBQOSA-N 0 3 304.796 4.229 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H]1CCCc2cc(N)ccc21 ZINC000414929488 334193190 /nfs/dbraw/zinc/19/31/90/334193190.db2.gz NZPHRVFHCNJPHI-GOSISDBHSA-N 0 3 300.833 4.398 20 0 DIADHN CCN1CCC[C@@H]1CN[C@H](C)c1cc(Cl)sc1Cl ZINC000300406645 334271842 /nfs/dbraw/zinc/27/18/42/334271842.db2.gz IBSXBUNSBZJQEO-NXEZZACHSA-N 0 3 307.290 4.190 20 0 DIADHN COc1cc(OC)c([C@H](C)NCC2CC(F)(F)C2)cc1Cl ZINC000293354705 334197551 /nfs/dbraw/zinc/19/75/51/334197551.db2.gz HCVZJWGXGLUNKV-VIFPVBQESA-N 0 3 319.779 4.053 20 0 DIADHN CC[C@H](CN[C@@H](CC)c1cc(F)cc(Br)c1)OC ZINC000353559593 334209579 /nfs/dbraw/zinc/20/95/79/334209579.db2.gz KYUSLYUAABVEFY-KGLIPLIRSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1cc(CN[C@@H]2c3ccccc3CC[C@H]2n2ccnc2)cs1 ZINC000294657169 334211940 /nfs/dbraw/zinc/21/19/40/334211940.db2.gz ADXYBARBLOZLCZ-RTBURBONSA-N 0 3 323.465 4.271 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N1C[C@H]2CCCN2Cc2ccccc21 ZINC000340491929 334218420 /nfs/dbraw/zinc/21/84/20/334218420.db2.gz UNNORJFKMLPDMM-FUHWJXTLSA-N 0 3 314.473 4.070 20 0 DIADHN CC(C)(C)c1ncc(CNCc2ccc3c(c2)CCCN3)s1 ZINC000414977856 334223605 /nfs/dbraw/zinc/22/36/05/334223605.db2.gz QPKJJCWOUWHBLX-UHFFFAOYSA-N 0 3 315.486 4.089 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@H](C)c1ccc(F)cc1)CC2 ZINC000534480188 334276594 /nfs/dbraw/zinc/27/65/94/334276594.db2.gz RWPDVGJFVNBQEZ-CQSZACIVSA-N 0 3 311.400 4.190 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000296343383 334232206 /nfs/dbraw/zinc/23/22/06/334232206.db2.gz HXQQVUYIXYYXNB-FSPWUOQZSA-N 0 3 309.409 4.184 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000296350926 334232658 /nfs/dbraw/zinc/23/26/58/334232658.db2.gz IHFSXHFAWZOUIP-STQMWFEESA-N 0 3 322.449 4.151 20 0 DIADHN C[C@H](NC[C@H]1CCCCC1(F)F)c1nc2c(s1)CCC2 ZINC000453246888 334242746 /nfs/dbraw/zinc/24/27/46/334242746.db2.gz JTUKMPOOICAMEX-WDEREUQCSA-N 0 3 300.418 4.108 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1cccnc1)c1cc2ccccc2o1 ZINC000298120689 334246828 /nfs/dbraw/zinc/24/68/28/334246828.db2.gz PFLHCKJBRSXYLG-ACWOFJMJSA-N 0 3 308.381 4.009 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@H](C)c1cccs1 ZINC000298535241 334249634 /nfs/dbraw/zinc/24/96/34/334249634.db2.gz SMAHHNWKASGNLF-LLVKDONJSA-N 0 3 317.483 4.494 20 0 DIADHN C[C@H](NCC(C)(C)C1CCC1)c1ncc(Br)s1 ZINC000453308789 334251760 /nfs/dbraw/zinc/25/17/60/334251760.db2.gz OLLZODZHYVSVDS-VIFPVBQESA-N 0 3 317.296 4.383 20 0 DIADHN Cc1ccsc1CN[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000415024690 334259900 /nfs/dbraw/zinc/25/99/00/334259900.db2.gz NZBSPSDOXZBKBT-CQSZACIVSA-N 0 3 302.443 4.031 20 0 DIADHN FC(F)(F)c1cccc(CNCc2cccc3c2CCCN3)c1 ZINC000415030444 334260017 /nfs/dbraw/zinc/26/00/17/334260017.db2.gz GCUSFSACZDUUBV-UHFFFAOYSA-N 0 3 320.358 4.353 20 0 DIADHN CC(C)Oc1cccc(CNCc2cccc3c2CCCN3)c1 ZINC000415041945 334261079 /nfs/dbraw/zinc/26/10/79/334261079.db2.gz UGVFLKIXXAMULR-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(C(N)=O)cc2)C2CCCC2)cc1 ZINC000187690611 334268202 /nfs/dbraw/zinc/26/82/02/334268202.db2.gz INXJBWPCGPQDAB-HXUWFJFHSA-N 0 3 322.452 4.115 20 0 DIADHN COc1ccc(CN[C@H]2CCSc3ccc(F)cc32)c(C)c1 ZINC000537961626 334268210 /nfs/dbraw/zinc/26/82/10/334268210.db2.gz RLMAVEJMDOKHGL-KRWDZBQOSA-N 0 3 317.429 4.469 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](CO)c2ccccc2C)cc1 ZINC000534496533 334277840 /nfs/dbraw/zinc/27/78/40/334277840.db2.gz UDAGWRPQYFVIFS-KXBFYZLASA-N 0 3 315.482 4.491 20 0 DIADHN COc1ccc(CNCC2CCC(C)CC2)c(Cl)c1OC ZINC000110823382 334291377 /nfs/dbraw/zinc/29/13/77/334291377.db2.gz YFNXWOXVYDONSN-UHFFFAOYSA-N 0 3 311.853 4.273 20 0 DIADHN CC(F)(F)CCCNCc1cccc(Br)c1F ZINC000394908608 334295913 /nfs/dbraw/zinc/29/59/13/334295913.db2.gz LQSDJWDFMWZARB-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccco2)no1 ZINC000111056820 334301049 /nfs/dbraw/zinc/30/10/49/334301049.db2.gz IXDILWYRBLWDJB-OLZOCXBDSA-N 0 3 303.406 4.024 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(C)cc2OC)cc1 ZINC000531940287 334301282 /nfs/dbraw/zinc/30/12/82/334301282.db2.gz VWUOOWXCPZMWFG-MRXNPFEDSA-N 0 3 313.441 4.391 20 0 DIADHN Cc1cc(CNCc2cccc(Br)c2)cc(C)c1O ZINC000048817930 334301319 /nfs/dbraw/zinc/30/13/19/334301319.db2.gz GGTDUHYQWJOFEU-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1Cl)N1CCCC1)c1ccoc1 ZINC000531948135 334302768 /nfs/dbraw/zinc/30/27/68/334302768.db2.gz FSDDJKPDOIOGQG-KDOFPFPSSA-N 0 3 318.848 4.421 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000531950358 334304435 /nfs/dbraw/zinc/30/44/35/334304435.db2.gz KRAVDOWOLIASGT-SJORKVTESA-N 0 3 315.461 4.199 20 0 DIADHN Cc1cc([C@H](NCc2ccc3c[nH]nc3c2)C2CC2)ccc1F ZINC000534646170 334310003 /nfs/dbraw/zinc/31/00/03/334310003.db2.gz JQKBPBYKBYETST-LJQANCHMSA-N 0 3 309.388 4.251 20 0 DIADHN COCC1(NCc2ccc(-c3cccc(Cl)c3)s2)CC1 ZINC000531954078 334311358 /nfs/dbraw/zinc/31/13/58/334311358.db2.gz CBXOYTVDLFXRHT-UHFFFAOYSA-N 0 3 307.846 4.337 20 0 DIADHN COc1cc([C@H](C)NC[C@@H]2CCC(C)(C)O2)ccc1SC ZINC000187870762 334315485 /nfs/dbraw/zinc/31/54/85/334315485.db2.gz HQFAEFWAGTUKAA-JSGCOSHPSA-N 0 3 309.475 4.025 20 0 DIADHN COc1cc([C@H](C)NC[C@H]2CCC(C)(C)O2)ccc1SC ZINC000187870819 334315510 /nfs/dbraw/zinc/31/55/10/334315510.db2.gz HQFAEFWAGTUKAA-GXTWGEPZSA-N 0 3 309.475 4.025 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1c(C)cccc1C)C1CC1 ZINC000111227093 334316764 /nfs/dbraw/zinc/31/67/64/334316764.db2.gz DMPWKGLPBXDQHK-CJNGLKHVSA-N 0 3 302.462 4.141 20 0 DIADHN CCCO[C@H]1CCCN(CCc2c(F)cccc2Cl)CC1 ZINC000303421866 334319426 /nfs/dbraw/zinc/31/94/26/334319426.db2.gz YRUKDNVIKHOUBK-AWEZNQCLSA-N 0 3 313.844 4.303 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCOc1ccccc1Cl ZINC000303435939 334319970 /nfs/dbraw/zinc/31/99/70/334319970.db2.gz DLZOETCFAWTDDC-ZDUSSCGKSA-N 0 3 305.805 4.117 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@H](O)C2CCCCC2)cc1F ZINC000531965790 334323839 /nfs/dbraw/zinc/32/38/39/334323839.db2.gz YCBSNKGPQKGOSF-WBMJQRKESA-N 0 3 311.466 4.139 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@@H](N[C@@H](C)c2ccoc2)C1 ZINC000531967837 334327945 /nfs/dbraw/zinc/32/79/45/334327945.db2.gz VOQJXDZXEHEMMC-WMLDXEAASA-N 0 3 302.393 4.047 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)CC(=O)Nc1ccc(C)cc1C ZINC000303836338 334333806 /nfs/dbraw/zinc/33/38/06/334333806.db2.gz ATJHSGCFWBNORP-IBGZPJMESA-N 0 3 324.468 4.195 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2cnn(C(C)C)c2)cc1 ZINC000531972986 334335420 /nfs/dbraw/zinc/33/54/20/334335420.db2.gz ZRSKDSXQIBGUKR-CABCVRRESA-N 0 3 301.434 4.275 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@H]2CCCC[C@@H]2C(C)(C)C)c1 ZINC000303871473 334338112 /nfs/dbraw/zinc/33/81/12/334338112.db2.gz NPJAJJWMGLIHII-ROUUACIJSA-N 0 3 316.489 4.083 20 0 DIADHN CC(C)[C@H]1CC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)C[C@@H]1C ZINC000534793751 334339174 /nfs/dbraw/zinc/33/91/74/334339174.db2.gz PCHKBXGRPHZQNH-MWDXBVQZSA-N 0 3 318.509 4.116 20 0 DIADHN C[C@H](O)[C@@H]1CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000534844315 334339466 /nfs/dbraw/zinc/33/94/66/334339466.db2.gz GSMAUYDRJPGQHK-DLBZAZTESA-N 0 3 311.425 4.072 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccccc1Cl)c1cccs1 ZINC000049530788 334345638 /nfs/dbraw/zinc/34/56/38/334345638.db2.gz UKQYJTOFPSCCSL-GFCCVEGCSA-N 0 3 308.834 4.081 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccccc2N(C)C)cc1 ZINC000303938484 334346486 /nfs/dbraw/zinc/34/64/86/334346486.db2.gz WWINPNYAFOENNF-HXUWFJFHSA-N 0 3 310.441 4.098 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C)c1cccc(Cl)c1Cl ZINC000531976742 334347522 /nfs/dbraw/zinc/34/75/22/334347522.db2.gz COYNVINHRXHQDA-NDMCHQMPSA-N 0 3 314.256 4.458 20 0 DIADHN C[C@H](c1nc(-c2cccc(Cl)c2)no1)N1[C@H](C)CC[C@H]1C ZINC000443087440 338443770 /nfs/dbraw/zinc/44/37/70/338443770.db2.gz JRXZDPPETYJARF-IJLUTSLNSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@@H](c1nc(-c2cccc(Cl)c2)no1)N1[C@H](C)CC[C@H]1C ZINC000443087442 338443788 /nfs/dbraw/zinc/44/37/88/338443788.db2.gz JRXZDPPETYJARF-UTUOFQBUSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(Br)cc1 ZINC000111634838 334348450 /nfs/dbraw/zinc/34/84/50/334348450.db2.gz BTPMQAXLSGFKEP-LBPRGKRZSA-N 0 3 312.251 4.059 20 0 DIADHN Cn1ccc2ccc(NC3CCN(Cc4ccccc4)CC3)cc21 ZINC000443089311 338443972 /nfs/dbraw/zinc/44/39/72/338443972.db2.gz CQQGYXQRGKPSJD-UHFFFAOYSA-N 0 3 319.452 4.255 20 0 DIADHN COc1ccc2oc(CN[C@H](C)Cc3ccsc3)cc2c1 ZINC000535000646 334353309 /nfs/dbraw/zinc/35/33/09/334353309.db2.gz ZINIIUDWRPPCIF-GFCCVEGCSA-N 0 3 301.411 4.224 20 0 DIADHN CC(F)(F)CCCNCc1c(F)cccc1Br ZINC000394915270 334354495 /nfs/dbraw/zinc/35/44/95/334354495.db2.gz LTYMMYSKYDZZOB-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1ccccc1OC(F)F ZINC000531983334 334357260 /nfs/dbraw/zinc/35/72/60/334357260.db2.gz HUDJDZORLKFXSV-ZDUSSCGKSA-N 0 3 321.367 4.057 20 0 DIADHN Cc1cccc([C@H](C)NCc2cc(N(C)C)nc3ccccc23)c1 ZINC000531984783 334358354 /nfs/dbraw/zinc/35/83/54/334358354.db2.gz CICMEQSIVKFZTR-INIZCTEOSA-N 0 3 319.452 4.460 20 0 DIADHN OCCN(Cc1ccc(Cl)cc1Cl)C1CCCCC1 ZINC000049854663 334360021 /nfs/dbraw/zinc/36/00/21/334360021.db2.gz VKCYWFLHZYLJIR-UHFFFAOYSA-N 0 3 302.245 4.120 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCOc2ccc(Cl)cc2)n1 ZINC000531988327 334361881 /nfs/dbraw/zinc/36/18/81/334361881.db2.gz FTNNXZYMFMKIDR-GOSISDBHSA-N 0 3 316.832 4.259 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1ccc(Cl)c(F)c1 ZINC000535065493 334362625 /nfs/dbraw/zinc/36/26/25/334362625.db2.gz UHHOEHHDQPDHGN-SJKOYZFVSA-N 0 3 307.796 4.253 20 0 DIADHN CC[C@H](N[C@@H](CO)c1ccccc1C)c1ccc(Cl)cc1 ZINC000531994548 334369314 /nfs/dbraw/zinc/36/93/14/334369314.db2.gz PPIRGNZOPWLAEP-ROUUACIJSA-N 0 3 303.833 4.423 20 0 DIADHN COc1ccc([C@H](C)NCCOCc2ccccc2)cc1Cl ZINC000531994487 334369324 /nfs/dbraw/zinc/36/93/24/334369324.db2.gz JYPADKQJSKSGBC-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN Cc1cnc(CCN(C)[C@H](C)c2ccc(F)c(Cl)c2)s1 ZINC000535115155 334370435 /nfs/dbraw/zinc/37/04/35/334370435.db2.gz DQGSTXGOHXTBEZ-LLVKDONJSA-N 0 3 312.841 4.480 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H](C)Cc1ccc(Cl)cc1Cl ZINC000187915738 334370573 /nfs/dbraw/zinc/37/05/73/334370573.db2.gz DGKLUSQBTSPXOY-PWSUYJOCSA-N 0 3 324.255 4.374 20 0 DIADHN Cc1nn2c(CN3CCC[C@@H]3C(C)(C)C)c(C(C)C)nc2s1 ZINC000514097980 334373651 /nfs/dbraw/zinc/37/36/51/334373651.db2.gz CIKULRIDJMDHID-CQSZACIVSA-N 0 3 320.506 4.233 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000532013808 334374333 /nfs/dbraw/zinc/37/43/33/334374333.db2.gz XBNLHXVEHXYGFC-MJGOQNOKSA-N 0 3 311.473 4.332 20 0 DIADHN FC1(F)CCC[C@H](NCc2nc(-c3ccccc3)cs2)C1 ZINC000532015355 334375064 /nfs/dbraw/zinc/37/50/64/334375064.db2.gz AKQZMUFMHVTISQ-ZDUSSCGKSA-N 0 3 308.397 4.478 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cc(F)cc(F)c3)cc2c1C ZINC000535200541 334379697 /nfs/dbraw/zinc/37/96/97/334379697.db2.gz IXIFHCJXIKLUDC-UHFFFAOYSA-N 0 3 300.352 4.353 20 0 DIADHN CCC(CC)[C@H](CCNCc1c(C)nn(C(C)(C)C)c1C)OC ZINC000535200881 334379720 /nfs/dbraw/zinc/37/97/20/334379720.db2.gz KQJPKMGARPGBKQ-SFHVURJKSA-N 0 3 323.525 4.186 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccccc2CO)cc1 ZINC000535232959 334381211 /nfs/dbraw/zinc/38/12/11/334381211.db2.gz IIIAJBFYSVJNKH-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN C[C@@]1(CNCc2c(OC(F)F)ccc3ccccc32)CCCO1 ZINC000532034675 334381696 /nfs/dbraw/zinc/38/16/96/334381696.db2.gz GNERJGSBHZOIAV-SFHVURJKSA-N 0 3 321.367 4.100 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1ccc(OC)c(F)c1)c1ccccc1 ZINC000341011590 334399462 /nfs/dbraw/zinc/39/94/62/334399462.db2.gz IPGMTHDDPZWBOK-KBXCAEBGSA-N 0 3 317.404 4.263 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000341209607 334417522 /nfs/dbraw/zinc/41/75/22/334417522.db2.gz MFEDGIGZANPNDF-LRDDRELGSA-N 0 3 318.367 4.033 20 0 DIADHN COc1cccc([C@@H](C)NCc2cc(F)cc3cccnc32)c1 ZINC000341227313 334420029 /nfs/dbraw/zinc/42/00/29/334420029.db2.gz JEKPDESTHREYIG-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000341204958 334423526 /nfs/dbraw/zinc/42/35/26/334423526.db2.gz GHZPADBYBCYJGT-WBMJQRKESA-N 0 3 318.367 4.033 20 0 DIADHN CC(C)n1ccc(C[C@H](C)N[C@H](C)c2cc3ccccc3o2)n1 ZINC000443216701 338447791 /nfs/dbraw/zinc/44/77/91/338447791.db2.gz QJBYPDJRLBXMIJ-LSDHHAIUSA-N 0 3 311.429 4.492 20 0 DIADHN Cc1ccc(C2CC(N[C@H]3CCOc4c(F)cccc43)C2)cc1 ZINC000443225186 338448111 /nfs/dbraw/zinc/44/81/11/338448111.db2.gz PYSHALUPRUECHM-RJYAGPCLSA-N 0 3 311.400 4.494 20 0 DIADHN Cc1cc(CN2CCC(F)(c3cccnc3)CC2)c(C)s1 ZINC000342143956 334516799 /nfs/dbraw/zinc/51/67/99/334516799.db2.gz BXLSLDNEDBLIAZ-UHFFFAOYSA-N 0 3 304.434 4.221 20 0 DIADHN c1cc2cccc(CNCc3c(C4CC4)nc4sccn34)c2[nH]1 ZINC000342305093 334526908 /nfs/dbraw/zinc/52/69/08/334526908.db2.gz PQVLAKBDOBFJBN-UHFFFAOYSA-N 0 3 322.437 4.044 20 0 DIADHN CCC[C@H](NCCc1csc(C)n1)c1ccc(F)cc1F ZINC000342276501 334529412 /nfs/dbraw/zinc/52/94/12/334529412.db2.gz DCTBSPPBDGQNHI-INIZCTEOSA-N 0 3 310.413 4.403 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2CCCc3ccc(C)cc32)c1 ZINC000342313818 334531214 /nfs/dbraw/zinc/53/12/14/334531214.db2.gz SHLFQSFUKGZFPG-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN FCC1(NCCCc2c(Cl)cccc2Cl)CCOCC1 ZINC000449446236 338624749 /nfs/dbraw/zinc/62/47/49/338624749.db2.gz PAWLMEOGTRKZGA-UHFFFAOYSA-N 0 3 320.235 4.034 20 0 DIADHN CC[C@H](N[C@H]1CCCSC1)c1ccccc1Br ZINC000342727583 334569351 /nfs/dbraw/zinc/56/93/51/334569351.db2.gz HMBAKUDGBMGNRO-FZMZJTMJSA-N 0 3 314.292 4.385 20 0 DIADHN C[C@H](c1ccccc1)N(C(=O)c1cccc(CN(C)C)c1)C1CC1 ZINC000342729537 334569565 /nfs/dbraw/zinc/56/95/65/334569565.db2.gz RZRKDUPFGFTQOU-MRXNPFEDSA-N 0 3 322.452 4.114 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NC1(c2ccc(Cl)cc2)CCC1 ZINC000342806817 334578533 /nfs/dbraw/zinc/57/85/33/334578533.db2.gz UBSOMSSMQPCBNG-MRXNPFEDSA-N 0 3 315.848 4.120 20 0 DIADHN CCC[C@H](NCc1ccnc(OC(F)F)c1)c1ccccc1 ZINC000342807320 334578537 /nfs/dbraw/zinc/57/85/37/334578537.db2.gz XYGIZCFPYZTEDE-HNNXBMFYSA-N 0 3 306.356 4.314 20 0 DIADHN CC[C@@H](NCc1ccnc(OC(F)F)c1)c1cccc(F)c1 ZINC000342812963 334579472 /nfs/dbraw/zinc/57/94/72/334579472.db2.gz NSERBURZIBERAA-CQSZACIVSA-N 0 3 310.319 4.063 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)c1cccc(CN2CCCC2)c1 ZINC000342855690 334583092 /nfs/dbraw/zinc/58/30/92/334583092.db2.gz KNAHSOLWIUVSBF-KRWDZBQOSA-N 0 3 322.452 4.116 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000343105031 334604045 /nfs/dbraw/zinc/60/40/45/334604045.db2.gz UGAFVBYENKSRAD-ZWKOTPCHSA-N 0 3 301.817 4.041 20 0 DIADHN CC[C@@H](NCCCCCOc1ccccc1)c1nccs1 ZINC000187994912 334607186 /nfs/dbraw/zinc/60/71/86/334607186.db2.gz YOGIRWSQVIAARC-MRXNPFEDSA-N 0 3 304.459 4.433 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)c1sccc1F ZINC000343169864 334615282 /nfs/dbraw/zinc/61/52/82/334615282.db2.gz XOZPAIPFGRUVOC-UHFFFAOYSA-N 0 3 318.417 4.044 20 0 DIADHN CCC[C@H](NC(=O)Nc1ccccc1CNC)c1ccccc1 ZINC000415898584 334625844 /nfs/dbraw/zinc/62/58/44/334625844.db2.gz HUUSPGCQSDOXJJ-KRWDZBQOSA-N 0 3 311.429 4.069 20 0 DIADHN CNCc1cccc(NC(=O)N(CC(C)(C)C)CC(C)(C)C)c1 ZINC000415925705 334632767 /nfs/dbraw/zinc/63/27/67/334632767.db2.gz BLVZEBSBAXZYRV-UHFFFAOYSA-N 0 3 319.493 4.332 20 0 DIADHN CC(C)C[C@@H](NCc1cc(C(F)(F)F)n[nH]1)c1ccccc1 ZINC000440810883 334633041 /nfs/dbraw/zinc/63/30/41/334633041.db2.gz QKVXFYVLYDQVTA-CQSZACIVSA-N 0 3 311.351 4.306 20 0 DIADHN C[C@@H](NC(=O)c1ccc(CN(C)C)cc1)c1ccc(C2CC2)cc1 ZINC000416066216 334648833 /nfs/dbraw/zinc/64/88/33/334648833.db2.gz REIHUNBSPRCSAM-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN[C@H](c1nnc2ccccn21)C(C)C ZINC000443644592 338458801 /nfs/dbraw/zinc/45/88/01/338458801.db2.gz YQHSIYCHQLSJOT-BQFCYCMXSA-N 0 3 312.461 4.008 20 0 DIADHN Clc1ccc(-c2noc(C3CN(C4CCCCC4)C3)n2)cc1 ZINC000417226289 334700640 /nfs/dbraw/zinc/70/06/40/334700640.db2.gz ZMCZSLFIAUIQCX-UHFFFAOYSA-N 0 3 317.820 4.122 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccc(Br)s1 ZINC000050577163 334720259 /nfs/dbraw/zinc/72/02/59/334720259.db2.gz RVEUADJPOHXCOI-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCCCC1)c1nccc2ccccc21 ZINC000417366340 334744946 /nfs/dbraw/zinc/74/49/46/334744946.db2.gz NJJOOCSKHPYKNP-QAPCUYQASA-N 0 3 312.457 4.217 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCCCC1)c1nccc2ccccc21 ZINC000417366341 334745049 /nfs/dbraw/zinc/74/50/49/334745049.db2.gz NJJOOCSKHPYKNP-YJBOKZPZSA-N 0 3 312.457 4.217 20 0 DIADHN CCc1cc(N2CCC[C@H]([C@H]3CCOC3)C2)c2ccccc2n1 ZINC000443897904 338463143 /nfs/dbraw/zinc/46/31/43/338463143.db2.gz OXXMOZXQDJYGEG-HOTGVXAUSA-N 0 3 310.441 4.050 20 0 DIADHN C[C@H](Cc1coc2ccccc12)N[C@@H]1COCc2ccccc21 ZINC000417368128 334759371 /nfs/dbraw/zinc/75/93/71/334759371.db2.gz QSADGTRCVLIIND-AUUYWEPGSA-N 0 3 307.393 4.225 20 0 DIADHN CC(C)n1cncc1CN1CCC(Cc2cccc(F)c2)CC1 ZINC000425329294 334769877 /nfs/dbraw/zinc/76/98/77/334769877.db2.gz SSYCANGEASYHKC-UHFFFAOYSA-N 0 3 315.436 4.058 20 0 DIADHN Fc1cc(C2CC2)ccc1CN[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000425946191 334787937 /nfs/dbraw/zinc/78/79/37/334787937.db2.gz NTMNAKQQVFESFE-QRQLOZEOSA-N 0 3 315.432 4.140 20 0 DIADHN Fc1cc(C2CC2)ccc1CN[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000425946193 334788008 /nfs/dbraw/zinc/78/80/08/334788008.db2.gz NTMNAKQQVFESFE-UHOSZYNNSA-N 0 3 315.432 4.140 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2cnc(C(F)(F)F)s2)C1 ZINC000443982427 338465301 /nfs/dbraw/zinc/46/53/01/338465301.db2.gz CEJMUANQDYIIQZ-ZJUUUORDSA-N 0 3 324.437 4.316 20 0 DIADHN CC(C)C1CCC(NCc2cnc(C(F)(F)F)s2)CC1 ZINC000443993577 338465876 /nfs/dbraw/zinc/46/58/76/338465876.db2.gz LUIIHIHMWPRULN-UHFFFAOYSA-N 0 3 306.397 4.466 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](Cc1ccc(C)cc1)c1ccccn1 ZINC000425343075 334803634 /nfs/dbraw/zinc/80/36/34/334803634.db2.gz IBWKNAZCBATGQX-CEXWTWQISA-N 0 3 312.482 4.157 20 0 DIADHN CCC[C@H](N[C@@H]1COCc2ccccc21)c1ccc(OC)cc1 ZINC000417409294 334864074 /nfs/dbraw/zinc/86/40/74/334864074.db2.gz VGHNNXVVJNYQCP-VQTJNVASSA-N 0 3 311.425 4.398 20 0 DIADHN CCOC(C)(C)CN[C@H]1CCCSc2ccc(OC)cc21 ZINC000188684173 334868969 /nfs/dbraw/zinc/86/89/69/334868969.db2.gz QQHRFKLWSUYZAK-HNNXBMFYSA-N 0 3 309.475 4.027 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1cc(C)ccc1OC(F)F ZINC000188692692 334869610 /nfs/dbraw/zinc/86/96/10/334869610.db2.gz YQYZCRNKAJNMQL-GFCCVEGCSA-N 0 3 301.377 4.062 20 0 DIADHN CSCc1cccc(CN[C@@H]2CCc3ccc(F)cc32)c1 ZINC000188716646 334872805 /nfs/dbraw/zinc/87/28/05/334872805.db2.gz ZEJVALSQBJIWFG-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN COCCC1(CNc2cccc(CN(C)C)c2)CCCCC1 ZINC000189067475 334881938 /nfs/dbraw/zinc/88/19/38/334881938.db2.gz BUBPOMFCLPAFKC-UHFFFAOYSA-N 0 3 304.478 4.147 20 0 DIADHN CN(Cc1ccoc1)Cc1cccc(CN(C)Cc2ccoc2)c1 ZINC000343644382 335184494 /nfs/dbraw/zinc/18/44/94/335184494.db2.gz HTXOLCNBIDHDSG-UHFFFAOYSA-N 0 3 324.424 4.137 20 0 DIADHN C[C@H](NCCC[C@H]1CCCCO1)c1nc(C(F)(F)F)cs1 ZINC000343718912 335186354 /nfs/dbraw/zinc/18/63/54/335186354.db2.gz FQBQBEBZBRDUNF-WDEREUQCSA-N 0 3 322.396 4.162 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccnc(OC3CCC3)c2)c2ccccc21 ZINC000343705640 335189363 /nfs/dbraw/zinc/18/93/63/335189363.db2.gz JDRQZOLWKRKHOP-AUUYWEPGSA-N 0 3 308.425 4.351 20 0 DIADHN COc1cncc(CN(Cc2ccc(F)cc2)C(C)(C)C)c1 ZINC000343819035 335195404 /nfs/dbraw/zinc/19/54/04/335195404.db2.gz PXYSPEUMYUCLRR-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2cncc(C)c2)cc1OC ZINC000343840175 335196195 /nfs/dbraw/zinc/19/61/95/335196195.db2.gz NMSRLRSPJQDBPR-GJZGRUSLSA-N 0 3 314.429 4.209 20 0 DIADHN c1ccc([C@@H](NCCCCC2CCOCC2)c2ccccn2)cc1 ZINC000366847474 335199561 /nfs/dbraw/zinc/19/95/61/335199561.db2.gz DGUGXXMVCHNCFZ-OAQYLSRUSA-N 0 3 324.468 4.358 20 0 DIADHN CC(C)[C@H](C(=O)Nc1cccc(C(C)(F)F)c1)N1CCCCC1 ZINC000444433799 338472591 /nfs/dbraw/zinc/47/25/91/338472591.db2.gz HPRMFBSNLYHUBT-MRXNPFEDSA-N 0 3 324.415 4.247 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)Nc2c(C)cccc2C)CC1 ZINC000343941863 335203540 /nfs/dbraw/zinc/20/35/40/335203540.db2.gz WUTBUIDVGLXHQQ-IAGOWNOFSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H]1CCCN([C@H](C)C(=O)Nc2c(C)cccc2C)CC1 ZINC000343941866 335203701 /nfs/dbraw/zinc/20/37/01/335203701.db2.gz WUTBUIDVGLXHQQ-SJORKVTESA-N 0 3 302.462 4.143 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2N[C@H]1CCCOC1 ZINC000343974270 335204701 /nfs/dbraw/zinc/20/47/01/335204701.db2.gz FWNLJQIECQKLMK-WCBMZHEXSA-N 0 3 306.258 4.201 20 0 DIADHN O=C(CN[C@H](CC1CCC1)c1ccccc1)Nc1ccccc1 ZINC000344002758 335207041 /nfs/dbraw/zinc/20/70/41/335207041.db2.gz BLIZMBNLIDBQJM-LJQANCHMSA-N 0 3 308.425 4.146 20 0 DIADHN CCC[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1cccnc1 ZINC000343969145 335208759 /nfs/dbraw/zinc/20/87/59/335208759.db2.gz BSAWWAGDDPUODY-AABGKKOBSA-N 0 3 310.441 4.433 20 0 DIADHN CCCC[C@H](NCc1cccc(-n2cncn2)c1)c1ccccc1 ZINC000343972577 335208969 /nfs/dbraw/zinc/20/89/69/335208969.db2.gz HDRAJUUGWFWLRC-FQEVSTJZSA-N 0 3 320.440 4.288 20 0 DIADHN CCC(CC)N(CC)CC(=O)c1cc(C)n([C@@H](C)COC)c1C ZINC000344027265 335209981 /nfs/dbraw/zinc/20/99/81/335209981.db2.gz KUCNPWPXJVBMPL-HNNXBMFYSA-N 0 3 322.493 4.006 20 0 DIADHN O[C@@H]1Cc2ccccc2[C@@H]1N[C@H](CC1CCC1)c1ccccc1 ZINC000344030911 335210482 /nfs/dbraw/zinc/21/04/82/335210482.db2.gz ZIWSKCCICMIGDG-NJYVYQBISA-N 0 3 307.437 4.166 20 0 DIADHN C[C@H]1C[C@H](NCc2cnn(-c3ccccc3)c2)c2ccccc21 ZINC000344120583 335219140 /nfs/dbraw/zinc/21/91/40/335219140.db2.gz RZWJJJGEHISDPV-YWZLYKJASA-N 0 3 303.409 4.210 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC[C@@H](C3CC3)C2)c(C)c1 ZINC000344149126 335221907 /nfs/dbraw/zinc/22/19/07/335221907.db2.gz SMTMSNXSGDUMRJ-SJLPKXTDSA-N 0 3 314.473 4.061 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc(C(C)C)c(C)s2)C12CCC2 ZINC000344103902 335223386 /nfs/dbraw/zinc/22/33/86/335223386.db2.gz OYJCCWXXEXHTAW-KGLIPLIRSA-N 0 3 308.491 4.012 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccc(Oc2cccnc2)cc1 ZINC000344105457 335223732 /nfs/dbraw/zinc/22/37/32/335223732.db2.gz PQUPILGFFFOUDQ-ZRGWGRIASA-N 0 3 312.413 4.092 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](C)c1sccc1C)CCO2 ZINC000344108473 335223883 /nfs/dbraw/zinc/22/38/83/335223883.db2.gz XBHZXLRJQGIXCF-SWLSCSKDSA-N 0 3 303.427 4.240 20 0 DIADHN CC(C)(NCc1cc(-n2ccnc2)cs1)c1cccs1 ZINC000344198342 335224633 /nfs/dbraw/zinc/22/46/33/335224633.db2.gz BWLBZGJZMQBGKN-UHFFFAOYSA-N 0 3 303.456 4.020 20 0 DIADHN C[C@@H](Cc1cccc(O)c1)NCc1nc(-c2ccccc2)cs1 ZINC000344204624 335225102 /nfs/dbraw/zinc/22/51/02/335225102.db2.gz BEAPHZZWLRTVGU-AWEZNQCLSA-N 0 3 324.449 4.237 20 0 DIADHN CC(C)[C@H](O)C1(CN[C@H]2C[C@@H](C)Sc3sccc32)CC1 ZINC000344290840 335236896 /nfs/dbraw/zinc/23/68/96/335236896.db2.gz MOTBFZMJSOOXKS-XBFCOCLRSA-N 0 3 311.516 4.060 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N[C@H](CC)c2c(C)noc2C)C1(C)C ZINC000344292001 335237163 /nfs/dbraw/zinc/23/71/63/335237163.db2.gz NNLNTAVBUQIXLB-BZUAXINKSA-N 0 3 308.466 4.316 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCC1([C@H](O)C(C)C)CC1 ZINC000344302100 335238394 /nfs/dbraw/zinc/23/83/94/335238394.db2.gz VNVQFBNBIPAKBP-SWLSCSKDSA-N 0 3 310.507 4.023 20 0 DIADHN CC(C)(C)C[C@H](NC[C@@](C)(O)C(F)(F)F)c1ccccc1 ZINC000344306402 335239012 /nfs/dbraw/zinc/23/90/12/335239012.db2.gz WMTDGHNETAIDBQ-DZGCQCFKSA-N 0 3 303.368 4.067 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@H](C)CC[S@@](C)=O ZINC000344305888 335239180 /nfs/dbraw/zinc/23/91/80/335239180.db2.gz PFWJHRQNGSTBLJ-ALLJEULLSA-N 0 3 321.486 4.111 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@@](C)(O)C(F)(F)F)c1ccccc1 ZINC000344306418 335239195 /nfs/dbraw/zinc/23/91/95/335239195.db2.gz WMTDGHNETAIDBQ-UKRRQHHQSA-N 0 3 303.368 4.067 20 0 DIADHN CCCCn1cc(CNCc2cc(C)c3ncccc3c2)c(C)n1 ZINC000344324612 335245732 /nfs/dbraw/zinc/24/57/32/335245732.db2.gz GIVOZDDAODZTPG-UHFFFAOYSA-N 0 3 322.456 4.138 20 0 DIADHN Cn1cccc1CN[C@@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000344391442 335249101 /nfs/dbraw/zinc/24/91/01/335249101.db2.gz OVRAYGIWGUBHIE-HXUWFJFHSA-N 0 3 318.420 4.306 20 0 DIADHN Cc1ccc([C@H]2CCCN2CCOCC(F)(F)F)cc1C ZINC000518833046 335263131 /nfs/dbraw/zinc/26/31/31/335263131.db2.gz VABXUQVXDRPIRH-OAHLLOKOSA-N 0 3 301.352 4.019 20 0 DIADHN Cc1ncc(CNC(C)(C)Cc2ccc(Cl)cc2Cl)cn1 ZINC000344606319 335263701 /nfs/dbraw/zinc/26/37/01/335263701.db2.gz UTJLOXNOQSNGLJ-UHFFFAOYSA-N 0 3 324.255 4.203 20 0 DIADHN CCCOc1cccc(CN[C@@H](CC)c2c(C)nn(C)c2C)c1 ZINC000344757072 335273493 /nfs/dbraw/zinc/27/34/93/335273493.db2.gz JJULVDODIMYPTQ-SFHVURJKSA-N 0 3 315.461 4.067 20 0 DIADHN Cc1c([C@H](C)NCCc2ccc3ccccc3n2)cnn1C(C)C ZINC000345307077 335315833 /nfs/dbraw/zinc/31/58/33/335315833.db2.gz FOMSVSJMCBVFED-HNNXBMFYSA-N 0 3 322.456 4.214 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@H](OC(C)C)C2(C)C)cnn1C(C)C ZINC000345319282 335316946 /nfs/dbraw/zinc/31/69/46/335316946.db2.gz MDTWNCKVAVPFSU-JQFCIGGWSA-N 0 3 307.482 4.015 20 0 DIADHN c1csc([C@@H]2CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)n1 ZINC000190951636 335320272 /nfs/dbraw/zinc/32/02/72/335320272.db2.gz LFQPFSUGXYYOPN-CVEARBPZSA-N 0 3 320.502 4.204 20 0 DIADHN Brc1cccc([C@H]2CCCN2CC[C@@H]2CCCO2)c1 ZINC000345802422 335352221 /nfs/dbraw/zinc/35/22/21/335352221.db2.gz WSSHPIFVNOMMSY-JKSUJKDBSA-N 0 3 324.262 4.155 20 0 DIADHN c1cn(-c2csc(CN(Cc3ccccc3)C3CC3)c2)cn1 ZINC000346151373 335382303 /nfs/dbraw/zinc/38/23/03/335382303.db2.gz YXGDTBABCLMGBD-UHFFFAOYSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346219019 335385281 /nfs/dbraw/zinc/38/52/81/335385281.db2.gz VKIJPMZKBOUKSC-WCQYABFASA-N 0 3 317.820 4.151 20 0 DIADHN Fc1c(Br)cccc1CNC[C@@H]1CCCC1(F)F ZINC000394935070 335393831 /nfs/dbraw/zinc/39/38/31/335393831.db2.gz PDCYEQOYYCZUEU-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN Cc1nccn1CCN([C@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000346305342 335398177 /nfs/dbraw/zinc/39/81/77/335398177.db2.gz HRHAUTRMEUNRJM-CYBMUJFWSA-N 0 3 303.837 4.071 20 0 DIADHN C[C@@H](c1cccs1)N(CCn1ccnc1)Cc1ccccc1 ZINC000346305537 335398408 /nfs/dbraw/zinc/39/84/08/335398408.db2.gz INVLIQKLLGQXGJ-INIZCTEOSA-N 0 3 311.454 4.208 20 0 DIADHN Cc1nccn1CCN(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000346312110 335398866 /nfs/dbraw/zinc/39/88/66/335398866.db2.gz OJBOIJSBRVISOS-NSHDSACASA-N 0 3 312.244 4.191 20 0 DIADHN CCCC[C@@H](NCCO[C@@H]1CCCCO1)c1ccc(OC)cc1 ZINC000444684222 338482347 /nfs/dbraw/zinc/48/23/47/338482347.db2.gz IUZVSLGCGFOTGG-RTBURBONSA-N 0 3 321.461 4.059 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CCCSC2)c2cccnc2)ccc1F ZINC000346553154 335415123 /nfs/dbraw/zinc/41/51/23/335415123.db2.gz BSOGKCFYUNJNGC-AEFFLSMTSA-N 0 3 316.445 4.104 20 0 DIADHN CC[C@@H](NCCC(C)(C)OC)c1nc(C(F)(F)F)cs1 ZINC000346522683 335418342 /nfs/dbraw/zinc/41/83/42/335418342.db2.gz DOLBRGCXYNQGSW-SECBINFHSA-N 0 3 310.385 4.018 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CC1)c1ccc(-n2cncn2)cc1Cl ZINC000346597037 335422163 /nfs/dbraw/zinc/42/21/63/335422163.db2.gz UPEBMVIYMKPTFE-QWHCGFSZSA-N 0 3 318.852 4.150 20 0 DIADHN CC(C)OCCCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000346796753 335436429 /nfs/dbraw/zinc/43/64/29/335436429.db2.gz NWFHMVLBEYMEDJ-LJQANCHMSA-N 0 3 316.420 4.105 20 0 DIADHN Fc1ccccc1CCN1CCC(OCc2ccccc2)CC1 ZINC000346886249 335448186 /nfs/dbraw/zinc/44/81/86/335448186.db2.gz ACRIFRVVRPZWRU-UHFFFAOYSA-N 0 3 313.416 4.049 20 0 DIADHN CCCCCc1ccc([C@H](CC)N[C@@H](C)C[S@@](C)=O)cc1 ZINC000346887313 335448551 /nfs/dbraw/zinc/44/85/51/335448551.db2.gz SLCKUPCYRBFSQC-UQASEVPWSA-N 0 3 309.519 4.227 20 0 DIADHN FC(F)(F)C[C@H](NCCCC1CCOCC1)c1ccccc1 ZINC000347194609 335472755 /nfs/dbraw/zinc/47/27/55/335472755.db2.gz HTWCHIDQTRUGJK-INIZCTEOSA-N 0 3 315.379 4.477 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC(c2c[nH]cn2)CC1 ZINC000347506770 335500697 /nfs/dbraw/zinc/50/06/97/335500697.db2.gz QJFQUDXMDQWZOZ-QGZVFWFLSA-N 0 3 305.372 4.019 20 0 DIADHN C[C@H](NCCCOc1cccc(Cl)c1)c1cccc(O)c1 ZINC000444748901 338486859 /nfs/dbraw/zinc/48/68/59/338486859.db2.gz RXEOTBIQJKJDMA-ZDUSSCGKSA-N 0 3 305.805 4.165 20 0 DIADHN CCc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000347635877 335512324 /nfs/dbraw/zinc/51/23/24/335512324.db2.gz YRNDKEKDVCHYOR-SFHVURJKSA-N 0 3 303.833 4.116 20 0 DIADHN Clc1ccccc1OCCN[C@H]1CCCNc2ccccc21 ZINC000348094909 335552912 /nfs/dbraw/zinc/55/29/12/335552912.db2.gz IKKDRLCQJQRLPR-KRWDZBQOSA-N 0 3 316.832 4.255 20 0 DIADHN c1ccc2c(c1)NCCC[C@H]2NCc1ccnc(OC2CCC2)c1 ZINC000348139851 335556879 /nfs/dbraw/zinc/55/68/79/335556879.db2.gz WMBZRMMORLOIFR-LJQANCHMSA-N 0 3 323.440 4.050 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](CCO)c1ccc(Cl)cc1 ZINC000348221177 335562793 /nfs/dbraw/zinc/56/27/93/335562793.db2.gz GJFDBVPDPULAMZ-MOPGFXCFSA-N 0 3 315.844 4.349 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc(Cl)cc1 ZINC000348204689 335565921 /nfs/dbraw/zinc/56/59/21/335565921.db2.gz HSQSBIYUWLRYAE-ZWKOTPCHSA-N 0 3 319.807 4.180 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000348207607 335566000 /nfs/dbraw/zinc/56/60/00/335566000.db2.gz PUVVRQDMAWMYMR-KDOFPFPSSA-N 0 3 303.833 4.423 20 0 DIADHN CC[C@@H]1CC[C@@H]1N[C@H]1CCOc2c(Br)cccc21 ZINC000348255310 335567962 /nfs/dbraw/zinc/56/79/62/335567962.db2.gz JNCKIOLDSDCYOG-SWHYSGLUSA-N 0 3 310.235 4.051 20 0 DIADHN CC[C@@H]1CC[C@H]1N[C@@H]1CCOc2c(Br)cccc21 ZINC000348255308 335567979 /nfs/dbraw/zinc/56/79/79/335567979.db2.gz JNCKIOLDSDCYOG-LERXQTSPSA-N 0 3 310.235 4.051 20 0 DIADHN Cc1nnc([C@@H](C)NCC2CCC(c3ccccc3)CC2)s1 ZINC000348260326 335568737 /nfs/dbraw/zinc/56/87/37/335568737.db2.gz JBHCFWSAICSUQU-BZOOQXSSSA-N 0 3 315.486 4.471 20 0 DIADHN CC[C@H](NCCCOc1ccc(C)c(C)c1)c1c(C)noc1C ZINC000348279907 335570850 /nfs/dbraw/zinc/57/08/50/335570850.db2.gz GCRUXCIZZGDONY-SFHVURJKSA-N 0 3 316.445 4.418 20 0 DIADHN C[C@@H](CC1CCOCC1)N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000348330197 335582467 /nfs/dbraw/zinc/58/24/67/335582467.db2.gz RZKSHXHGUAJDDB-UWVGGRQHSA-N 0 3 322.396 4.018 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccnc(OC)c1)c1ccc(OC)cc1 ZINC000348758082 335606518 /nfs/dbraw/zinc/60/65/18/335606518.db2.gz YEVMVGCCCDSCSD-KSSFIOAISA-N 0 3 314.429 4.291 20 0 DIADHN CC(C)c1ncc(CNCCc2nc3ccccc3s2)s1 ZINC000348923048 335621203 /nfs/dbraw/zinc/62/12/03/335621203.db2.gz RNGSMQVRXLOELN-UHFFFAOYSA-N 0 3 317.483 4.209 20 0 DIADHN CCC[C@H](NCc1ccc2c(c1)OCCO2)c1ccsc1 ZINC000191184506 335635239 /nfs/dbraw/zinc/63/52/39/335635239.db2.gz WALCQTYHGCISJT-HNNXBMFYSA-N 0 3 303.427 4.150 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1ccc(Cl)cc1Cl ZINC000388967982 335667586 /nfs/dbraw/zinc/66/75/86/335667586.db2.gz NCUKTYIPLRJQAH-SRVKXCTJSA-N 0 3 302.245 4.459 20 0 DIADHN CC(C)c1ccc(CNCc2cncc(Br)c2)cc1 ZINC000158225356 338494221 /nfs/dbraw/zinc/49/42/21/338494221.db2.gz GYMDEIQLSINWKE-UHFFFAOYSA-N 0 3 319.246 4.257 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H]1CCCc2c1cnn2C ZINC000349803938 335701950 /nfs/dbraw/zinc/70/19/50/335701950.db2.gz RNZZXSXFBSZONH-MSOLQXFVSA-N 0 3 309.457 4.082 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H](C)c1ncc(C)s1 ZINC000349833469 335704835 /nfs/dbraw/zinc/70/48/35/335704835.db2.gz LNDFBTMZVDIXHK-TZMCWYRMSA-N 0 3 304.459 4.305 20 0 DIADHN C[C@H](CCCO)N[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000094941911 335761258 /nfs/dbraw/zinc/76/12/58/335761258.db2.gz COTWTFRAKSDRKW-RKDXNWHRSA-N 0 3 310.652 4.458 20 0 DIADHN Cc1sccc1CN1CCC(Oc2ccc(F)cc2F)CC1 ZINC000350476428 335756581 /nfs/dbraw/zinc/75/65/81/335756581.db2.gz KHSLCWNJSRWMEB-UHFFFAOYSA-N 0 3 323.408 4.378 20 0 DIADHN Cc1cscc1CNCc1ccc(Br)c(C)c1 ZINC000094878050 335757901 /nfs/dbraw/zinc/75/79/01/335757901.db2.gz GUFXATBBDWZTJI-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN Cc1ncsc1CN1CCC(CCc2ccc(O)cc2)CC1 ZINC000535783098 335768333 /nfs/dbraw/zinc/76/83/33/335768333.db2.gz JNXGLLUSJBYOMD-UHFFFAOYSA-N 0 3 316.470 4.002 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4conc4C)CC3)c[nH]c21 ZINC000350660383 335770102 /nfs/dbraw/zinc/77/01/02/335770102.db2.gz NJNOKAZHAHWHPZ-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN C[C@@H](NCc1ccn(C)c1)c1cccc(OCc2ccccc2)c1 ZINC000158443023 338499088 /nfs/dbraw/zinc/49/90/88/338499088.db2.gz TUNFUBCVIBNXJH-QGZVFWFLSA-N 0 3 320.436 4.455 20 0 DIADHN C[C@@H](NCCCOc1ccc(F)cc1)c1nccc2ccccc21 ZINC000519037623 335789034 /nfs/dbraw/zinc/78/90/34/335789034.db2.gz HUIWZCQZXUDGIJ-OAHLLOKOSA-N 0 3 324.399 4.494 20 0 DIADHN CC(C)(CNCc1cn2cc(Cl)ccc2n1)c1cccs1 ZINC000096585166 335820495 /nfs/dbraw/zinc/82/04/95/335820495.db2.gz VBYNNZFLKKLSIH-UHFFFAOYSA-N 0 3 319.861 4.117 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@H](C)N2CCC(C)CC2)c1 ZINC000519262014 335823624 /nfs/dbraw/zinc/82/36/24/335823624.db2.gz NMPIVQAIGUHHPM-INIZCTEOSA-N 0 3 317.477 4.052 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)NCCN1[C@@H](C)CCC[C@@H]1C ZINC000519380148 335841356 /nfs/dbraw/zinc/84/13/56/335841356.db2.gz CWTVBLIFNHMFKL-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1nc(CCN[C@H](C)c2ccc(Cl)c(F)c2)sc1C ZINC000536624710 335845222 /nfs/dbraw/zinc/84/52/22/335845222.db2.gz BCMKVUGDQZJKQJ-SNVBAGLBSA-N 0 3 312.841 4.446 20 0 DIADHN c1ccc2nc(N3CCCCC3)c(CN3C4CCC3CC4)cc2c1 ZINC000536633429 335848496 /nfs/dbraw/zinc/84/84/96/335848496.db2.gz MHFXASYTOJCREZ-UHFFFAOYSA-N 0 3 321.468 4.352 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1ccccc1N(C)C ZINC000536693704 335862630 /nfs/dbraw/zinc/86/26/30/335862630.db2.gz VFITWDLLUNYUQC-LSDHHAIUSA-N 0 3 316.420 4.010 20 0 DIADHN Cc1cncc([C@H](C)NCc2cccc(Br)c2C)c1 ZINC000519638890 335884319 /nfs/dbraw/zinc/88/43/19/335884319.db2.gz CRRUTTOLZZHPCB-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN COC[C@H](CC(C)C)N[C@H](C)c1ccc(OC(C)C)c(OC)c1 ZINC000532200312 335915160 /nfs/dbraw/zinc/91/51/60/335915160.db2.gz FTGWSBNXVDPHFN-WBVHZDCISA-N 0 3 323.477 4.194 20 0 DIADHN CN(C)[C@@H](CN[C@H]1CCc2c1cccc2Cl)c1ccsc1 ZINC000532200917 335915279 /nfs/dbraw/zinc/91/52/79/335915279.db2.gz MZNKZEWUQIKUMB-IRXDYDNUSA-N 0 3 320.889 4.281 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1ccc(Oc2cc(C)ccc2C)nc1 ZINC000532203349 335915866 /nfs/dbraw/zinc/91/58/66/335915866.db2.gz RNSVRLFGHGFUCA-HOTGVXAUSA-N 0 3 314.429 4.004 20 0 DIADHN CC[C@@H](N[C@@H](C)CC(=O)N(CC)CC)c1ccc(Cl)s1 ZINC000532223255 335917702 /nfs/dbraw/zinc/91/77/02/335917702.db2.gz DBJMZUOWQSXSIY-NWDGAFQWSA-N 0 3 316.898 4.089 20 0 DIADHN CCc1cccc(F)c1CNC[C@]1(C)OCCc2sccc21 ZINC000572505971 338505115 /nfs/dbraw/zinc/50/51/15/338505115.db2.gz MKMNWAQHSBMSDF-SFHVURJKSA-N 0 3 319.445 4.027 20 0 DIADHN Fc1ccc([C@@H](NCc2cccc3cc[nH]c32)[C@@H]2CCCO2)cc1 ZINC000520221620 335942911 /nfs/dbraw/zinc/94/29/11/335942911.db2.gz LCXXGPSSCCSQON-AZUAARDMSA-N 0 3 324.399 4.317 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@H](c2ccncc2)C1 ZINC000582219705 336019562 /nfs/dbraw/zinc/01/95/62/336019562.db2.gz YSSFFRIACLIEFV-YJBOKZPZSA-N 0 3 319.408 4.287 20 0 DIADHN CCCc1ncc(CN[C@@H]2CCc3[nH]c4ccc(C)cc4c3C2)o1 ZINC000582221922 336023471 /nfs/dbraw/zinc/02/34/71/336023471.db2.gz KJRCBHVECMQTKE-CQSZACIVSA-N 0 3 323.440 4.064 20 0 DIADHN CCN(Cc1ccc(-c2nc(CC3CCC3)no2)cc1)C1CC1 ZINC000351599627 336048404 /nfs/dbraw/zinc/04/84/04/336048404.db2.gz BNYNHRZUDMIYLL-UHFFFAOYSA-N 0 3 311.429 4.064 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnn(CC(F)F)c2)c1C ZINC000351643145 336054631 /nfs/dbraw/zinc/05/46/31/336054631.db2.gz ADUGVNRHFKWMGG-QGZVFWFLSA-N 0 3 319.399 4.102 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2Cc2cnn(CC(F)F)c2)c1 ZINC000351674453 336056885 /nfs/dbraw/zinc/05/68/85/336056885.db2.gz VBDDUBOOUDSDPY-KRWDZBQOSA-N 0 3 319.399 4.102 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000351758785 336065611 /nfs/dbraw/zinc/06/56/11/336065611.db2.gz QRANLXASSUKUCW-QGZVFWFLSA-N 0 3 301.821 4.069 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)NCc1cnc(C)nc1 ZINC000351757505 336065652 /nfs/dbraw/zinc/06/56/52/336065652.db2.gz NSBHILVMCRGQOL-SFHVURJKSA-N 0 3 323.465 4.229 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NC[C@H](C)C(F)(F)F)CCCO2 ZINC000480660134 336066949 /nfs/dbraw/zinc/06/69/49/336066949.db2.gz DZFBZJITCBZNAP-GXTWGEPZSA-N 0 3 301.352 4.305 20 0 DIADHN COc1cc([C@@H](C)NCCCSc2ccccc2)c(F)cn1 ZINC000520735097 336072693 /nfs/dbraw/zinc/07/26/93/336072693.db2.gz YVYQIBSZXZZSPO-CYBMUJFWSA-N 0 3 320.433 4.062 20 0 DIADHN CCOc1cc(CN2CCCC3(CC3)C2)ccc1OC(F)F ZINC000351894394 336108434 /nfs/dbraw/zinc/10/84/34/336108434.db2.gz RMIZBDRQOJWZOY-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN CN(C)[C@H](CNCc1ccc(-c2ccccc2)cc1)c1ccco1 ZINC000324201582 336109271 /nfs/dbraw/zinc/10/92/71/336109271.db2.gz STWBYZJPTCBPHD-HXUWFJFHSA-N 0 3 320.436 4.339 20 0 DIADHN CCC1CCC(N(C)Cc2nnc(-c3cc(C)oc3C)o2)CC1 ZINC000331874011 336151088 /nfs/dbraw/zinc/15/10/88/336151088.db2.gz BQOQQEDRLWVDDK-UHFFFAOYSA-N 0 3 317.433 4.347 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331885055 336151729 /nfs/dbraw/zinc/15/17/29/336151729.db2.gz GONPWLBACHEBKW-XQHKEYJVSA-N 0 3 305.447 4.273 20 0 DIADHN C[C@@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1nnc(-c2cccs2)o1 ZINC000331936184 336153433 /nfs/dbraw/zinc/15/34/33/336153433.db2.gz LZVVKJMCJDLEID-CZXHOFHRSA-N 0 3 305.447 4.273 20 0 DIADHN NC(=O)C[C@H]1CCCCN1Cc1ccc(Cl)c2cccnc12 ZINC000332236837 336164530 /nfs/dbraw/zinc/16/45/30/336164530.db2.gz SNJMCVDJLDLZTE-CYBMUJFWSA-N 0 3 317.820 4.168 20 0 DIADHN C[C@H]1CC[C@@H](C(N)=O)CN1Cc1c(Cl)ccc2cccnc21 ZINC000332249422 336164911 /nfs/dbraw/zinc/16/49/11/336164911.db2.gz BYFJDKQSIIWCSK-WCQYABFASA-N 0 3 317.820 4.024 20 0 DIADHN Clc1cccc(-c2n[nH]cc2CN2CCCC3(CC3)C2)c1 ZINC000351940438 336181824 /nfs/dbraw/zinc/18/18/24/336181824.db2.gz DEWYHCGTBGYIFM-UHFFFAOYSA-N 0 3 301.821 4.106 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CN1CCCC2(CC2)C1 ZINC000351941734 336184235 /nfs/dbraw/zinc/18/42/35/336184235.db2.gz VXOXTSDWNRKKFC-UHFFFAOYSA-N 0 3 313.420 4.004 20 0 DIADHN CCSc1cccc(CN2CCC(OCC3CC3)CC2)c1 ZINC000352012162 336188893 /nfs/dbraw/zinc/18/88/93/336188893.db2.gz YHQJDRVMCGKPRJ-UHFFFAOYSA-N 0 3 305.487 4.190 20 0 DIADHN Cc1cc([C@H]2CCCN2Cc2cccc(-c3ccncc3)c2)on1 ZINC000352097123 336194737 /nfs/dbraw/zinc/19/47/37/336194737.db2.gz NOGIZDGWUAJMKI-LJQANCHMSA-N 0 3 319.408 4.382 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H]1CCCc2occc21 ZINC000352113210 336195391 /nfs/dbraw/zinc/19/53/91/336195391.db2.gz FPPNZLZDXXRINI-RYBIEJRYSA-N 0 3 311.425 4.497 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@H](CN1CCCC1)c1ccccc1 ZINC000192630405 336201914 /nfs/dbraw/zinc/20/19/14/336201914.db2.gz ZKLMZDKVPCDDHG-RBUKOAKNSA-N 0 3 310.441 4.084 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@@H]2[C@H]2CCCO2)c2ccccc2n1 ZINC000352433733 336218331 /nfs/dbraw/zinc/21/83/31/336218331.db2.gz WZOQFQZWOLVXTL-UXHICEINSA-N 0 3 322.452 4.077 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@H]3Cc4ccccc4O3)oc21 ZINC000324433316 336218591 /nfs/dbraw/zinc/21/85/91/336218591.db2.gz ACVQKSZLXRLXMQ-BBRMVZONSA-N 0 3 323.392 4.096 20 0 DIADHN Fc1cc2cccnc2c(CN2CCC=C(c3ccco3)C2)c1 ZINC000352565294 336224811 /nfs/dbraw/zinc/22/48/11/336224811.db2.gz XIWCNASIIXSESR-UHFFFAOYSA-N 0 3 308.356 4.256 20 0 DIADHN CCC1(CC)CCC(NCc2ncc(CN(C)C)s2)CC1 ZINC000352714479 336231946 /nfs/dbraw/zinc/23/19/46/336231946.db2.gz WRCUHQSCPUHPBK-UHFFFAOYSA-N 0 3 309.523 4.043 20 0 DIADHN COc1ccc2[nH]cc(C3CCN(Cc4ccc(C)o4)CC3)c2c1 ZINC000352734984 336232883 /nfs/dbraw/zinc/23/28/83/336232883.db2.gz DZTYGHFAHDRZFU-UHFFFAOYSA-N 0 3 324.424 4.458 20 0 DIADHN COC(=O)CC[C@@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000352752056 336234844 /nfs/dbraw/zinc/23/48/44/336234844.db2.gz XBLNNXKQSCUUBG-GOSISDBHSA-N 0 3 323.392 4.217 20 0 DIADHN CC[C@H](NCc1ccc2occc2c1)c1ccc2c(c1)OCCO2 ZINC000352774335 336235651 /nfs/dbraw/zinc/23/56/51/336235651.db2.gz XANCSWUHFAPMCA-KRWDZBQOSA-N 0 3 323.392 4.445 20 0 DIADHN CC(C)Cn1ccnc1CNC1CC(c2ccccc2Cl)C1 ZINC000352774309 336235668 /nfs/dbraw/zinc/23/56/68/336235668.db2.gz WTXNWPACUKJDGH-UHFFFAOYSA-N 0 3 317.864 4.228 20 0 DIADHN CCC[C@H](C)N(C)Cc1cc(Br)ccc1OC ZINC000042250888 336237921 /nfs/dbraw/zinc/23/79/21/336237921.db2.gz LBGPHNWZVQXCNE-NSHDSACASA-N 0 3 300.240 4.078 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc([S@@](C)=O)cc1 ZINC000352800395 336238788 /nfs/dbraw/zinc/23/87/88/336238788.db2.gz ZLHUOAIRGJSZDI-WHEQGISXSA-N 0 3 313.422 4.021 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1ccc2c(c1)OCO2 ZINC000042330036 336238990 /nfs/dbraw/zinc/23/89/90/336238990.db2.gz HTKZOLZOOUJSBK-GTNSWQLSSA-N 0 3 301.411 4.279 20 0 DIADHN CSc1ccc(CNCc2ccc(Br)cc2)cc1 ZINC000042238863 336239489 /nfs/dbraw/zinc/23/94/89/336239489.db2.gz OOLGHDMZBUUPMT-UHFFFAOYSA-N 0 3 322.271 4.461 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)s1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000532393859 336245179 /nfs/dbraw/zinc/24/51/79/336245179.db2.gz FQRWLDRKOPQOCE-PRXAMGSTSA-N 0 3 314.454 4.063 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(OC)cc2OC)s1 ZINC000104151860 336246129 /nfs/dbraw/zinc/24/61/29/336246129.db2.gz GWPBOLDGOWQVFU-LBPRGKRZSA-N 0 3 305.443 4.179 20 0 DIADHN Fc1cccc(C[C@@H](NC[C@@H]2CCCO2)c2cccc(F)c2)c1 ZINC000532442302 336247544 /nfs/dbraw/zinc/24/75/44/336247544.db2.gz UNEMPAPZJQAPCS-RBUKOAKNSA-N 0 3 317.379 4.017 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccc(-n2cccn2)cc1 ZINC000532446098 336247827 /nfs/dbraw/zinc/24/78/27/336247827.db2.gz DVCMXKYNXULJHK-JLTOFOAXSA-N 0 3 321.399 4.035 20 0 DIADHN COc1ccccc1OCCN[C@H]1CCc2cc(Cl)ccc21 ZINC000532460911 336248731 /nfs/dbraw/zinc/24/87/31/336248731.db2.gz DNDSROLJFWNJMM-INIZCTEOSA-N 0 3 317.816 4.005 20 0 DIADHN C[C@@H](NCCOc1ccccc1)c1ccc(-c2ccncc2)cc1 ZINC000532461313 336248827 /nfs/dbraw/zinc/24/88/27/336248827.db2.gz WNYHRTSLAIFPFR-QGZVFWFLSA-N 0 3 318.420 4.478 20 0 DIADHN Cc1cc([C@H](C)NCCn2cnc3ccccc32)cc(C)c1F ZINC000532469098 336249352 /nfs/dbraw/zinc/24/93/52/336249352.db2.gz GTTAPQAQGKVBDN-HNNXBMFYSA-N 0 3 311.404 4.143 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCC(=O)N3)cc1 ZINC000532475959 336251103 /nfs/dbraw/zinc/25/11/03/336251103.db2.gz UQGKMYASTHOCDK-HUUCEWRRSA-N 0 3 308.425 4.292 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccccc1OC(F)F)c1ccccc1 ZINC000532479066 336251248 /nfs/dbraw/zinc/25/12/48/336251248.db2.gz RLNZJDDRXSLBAW-CXAGYDPISA-N 0 3 321.367 4.326 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1ccc(F)c(Cl)c1 ZINC000532485582 336251617 /nfs/dbraw/zinc/25/16/17/336251617.db2.gz XADVVHNDUAWDQP-LBPRGKRZSA-N 0 3 306.812 4.396 20 0 DIADHN C[C@H]1CCCN(CC(=O)Nc2ccccc2-c2ccccc2)CC1 ZINC000532487211 336251845 /nfs/dbraw/zinc/25/18/45/336251845.db2.gz FASDTILKSFRVDC-KRWDZBQOSA-N 0 3 322.452 4.414 20 0 DIADHN Cc1cc(CN(C)Cc2cccc(O)c2)cc(C)c1OC(F)F ZINC000532501533 336252353 /nfs/dbraw/zinc/25/23/53/336252353.db2.gz JNLULBHHSDOZJF-UHFFFAOYSA-N 0 3 321.367 4.242 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(C)cc2OC)C12CCC2 ZINC000532522617 336252698 /nfs/dbraw/zinc/25/26/98/336252698.db2.gz OUTBHQYINSMDFF-FHLIZLRMSA-N 0 3 303.446 4.002 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cnn(C(C)C)c1)CCC2 ZINC000532511602 336252910 /nfs/dbraw/zinc/25/29/10/336252910.db2.gz NVFYNZQTUPGPCU-LIRRHRJNSA-N 0 3 313.445 4.201 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCCC[C@H]2NCc2cc[nH]n2)cc1 ZINC000532513085 336252992 /nfs/dbraw/zinc/25/29/92/336252992.db2.gz AUDXGGMPMUWLEG-HZPDHXFCSA-N 0 3 323.362 4.245 20 0 DIADHN C[C@@H](NC[C@@H](c1ccc(Cl)cc1)N(C)C)c1ccsc1 ZINC000532550573 336256089 /nfs/dbraw/zinc/25/60/89/336256089.db2.gz QYRPCPMXVMACCC-WBMJQRKESA-N 0 3 308.878 4.355 20 0 DIADHN C[C@@H]1CCCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@@H]1C ZINC000532584697 336256335 /nfs/dbraw/zinc/25/63/35/336256335.db2.gz QIJDTJNXTJLHCU-IAGOWNOFSA-N 0 3 322.452 4.413 20 0 DIADHN Cn1cccc1[C@H]1CCCN1CCSc1ccccc1F ZINC000532625010 336257931 /nfs/dbraw/zinc/25/79/31/336257931.db2.gz BHEGMCUSXPVRPO-MRXNPFEDSA-N 0 3 304.434 4.093 20 0 DIADHN Cc1cccc(C)c1OC[C@H](NCc1cncs1)C(C)(C)C ZINC000532627436 336258150 /nfs/dbraw/zinc/25/81/50/336258150.db2.gz RPWPEVPZMRGWBJ-INIZCTEOSA-N 0 3 318.486 4.343 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(C(C)(C)C)cc1 ZINC000192706274 336259037 /nfs/dbraw/zinc/25/90/37/336259037.db2.gz KZJPWCWKSNPRSG-RDTXWAMCSA-N 0 3 311.473 4.446 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H]3CCC(C)(C)c4cccc2c43)n(C)n1 ZINC000417969973 336259279 /nfs/dbraw/zinc/25/92/79/336259279.db2.gz QOGFWCBEANITGG-KDOFPFPSSA-N 0 3 309.457 4.118 20 0 DIADHN C[C@H](CN(C)CCSCc1ccccc1)c1nccs1 ZINC000192777008 336261478 /nfs/dbraw/zinc/26/14/78/336261478.db2.gz BYYRPFSOGYHYPP-CQSZACIVSA-N 0 3 306.500 4.112 20 0 DIADHN CC[C@H](NCCSCc1ccccc1)c1c(C)noc1C ZINC000192779065 336261569 /nfs/dbraw/zinc/26/15/69/336261569.db2.gz GHLLOKKCXJYIME-INIZCTEOSA-N 0 3 304.459 4.266 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1Cl)c1ccnn1C ZINC000192796592 336262281 /nfs/dbraw/zinc/26/22/81/336262281.db2.gz OURRRXKWSXSHOD-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccnn1C ZINC000192796578 336262171 /nfs/dbraw/zinc/26/21/71/336262171.db2.gz OURRRXKWSXSHOD-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1ccnn1C ZINC000192796561 336262264 /nfs/dbraw/zinc/26/22/64/336262264.db2.gz OURRRXKWSXSHOD-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@H]2CCCC(F)(F)C2)c1 ZINC000532628945 336263004 /nfs/dbraw/zinc/26/30/04/336263004.db2.gz WQSVUAWYXSTNHQ-LBPRGKRZSA-N 0 3 305.315 4.264 20 0 DIADHN CCC[C@H](NCc1nc(=O)c2c(C)c(C)sc2[nH]1)C1CCC1 ZINC000324842025 336263011 /nfs/dbraw/zinc/26/30/11/336263011.db2.gz DBGDSMOWYRCDMV-ZDUSSCGKSA-N 0 3 319.474 4.072 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@H](C)c2ccnn2C)C2CC2)cc1 ZINC000192798781 336264588 /nfs/dbraw/zinc/26/45/88/336264588.db2.gz AHELQXJCQASNPW-QRWLVFNGSA-N 0 3 311.473 4.421 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532674888 336266260 /nfs/dbraw/zinc/26/62/60/336266260.db2.gz IQTLSHFSKXRIHE-DEYYWGMASA-N 0 3 315.388 4.446 20 0 DIADHN CCSCCN[C@H](c1ccc(F)cc1)c1ccc(OC)cc1 ZINC000532703154 336267200 /nfs/dbraw/zinc/26/72/00/336267200.db2.gz FKCJNYOWGHRHSU-GOSISDBHSA-N 0 3 319.445 4.266 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccc(Cl)cc1 ZINC000192847677 336269333 /nfs/dbraw/zinc/26/93/33/336269333.db2.gz VIWLEZVSEQLWRR-VXGBXAGGSA-N 0 3 317.820 4.242 20 0 DIADHN Clc1ccc(CNCCc2c[nH]c3ncccc23)c(Cl)c1 ZINC000532783497 336271135 /nfs/dbraw/zinc/27/11/35/336271135.db2.gz AAVIFSPCFOWVSW-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN O[C@@H]1CCCC[C@H]1CCCNCc1ccc(-c2ccccc2)o1 ZINC000532879608 336274123 /nfs/dbraw/zinc/27/41/23/336274123.db2.gz FYGZMYZUKUTLBX-QFBILLFUSA-N 0 3 313.441 4.368 20 0 DIADHN Clc1ccc(CNCc2ccc3c(c2)COC3)cc1Cl ZINC000532879652 336274127 /nfs/dbraw/zinc/27/41/27/336274127.db2.gz PGCVYAUKIASOBO-UHFFFAOYSA-N 0 3 308.208 4.313 20 0 DIADHN Cc1cc(C)c(CNC2(c3ccc4c(c3)OCO4)CC2)cc1C ZINC000532880038 336274256 /nfs/dbraw/zinc/27/42/56/336274256.db2.gz LGXPQCNRFXJGKY-UHFFFAOYSA-N 0 3 309.409 4.119 20 0 DIADHN Cc1cn[nH]c1CN[C@@H](C)c1ccc(-c2csc(C)n2)cc1 ZINC000352842605 336275481 /nfs/dbraw/zinc/27/54/81/336275481.db2.gz WIBWZGRAQMHLQV-LBPRGKRZSA-N 0 3 312.442 4.001 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@H](C)C1CCC1 ZINC000526972044 336276854 /nfs/dbraw/zinc/27/68/54/336276854.db2.gz NXZRWBUKBNJEAY-OAHLLOKOSA-N 0 3 304.503 4.144 20 0 DIADHN CC[C@H](N[C@@H](C)CSC)c1ccccc1Br ZINC000167902372 336277469 /nfs/dbraw/zinc/27/74/69/336277469.db2.gz MDJWDMYTAUOEBO-GWCFXTLKSA-N 0 3 302.281 4.241 20 0 DIADHN CCC(C)(C)N(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000526991761 336278090 /nfs/dbraw/zinc/27/80/90/336278090.db2.gz FKMSHCBYMGZRBT-UHFFFAOYSA-N 0 3 313.367 4.213 20 0 DIADHN C[C@@]1(O)CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC1 ZINC000526992349 336278263 /nfs/dbraw/zinc/27/82/63/336278263.db2.gz PIODDLWHVAQOHX-GOSISDBHSA-N 0 3 319.832 4.337 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CN1[C@@H](C)C[C@@H]1C ZINC000526989541 336278729 /nfs/dbraw/zinc/27/87/29/336278729.db2.gz OSNGODSRNCAEJF-RYUDHWBXSA-N 0 3 303.837 4.125 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnccn1)c1cccc(Cl)c1Cl ZINC000481954340 336280649 /nfs/dbraw/zinc/28/06/49/336280649.db2.gz PIBWBPLNHNOVRR-WDEREUQCSA-N 0 3 310.228 4.065 20 0 DIADHN Cc1nc(C)c(CCNCc2cscc2C(F)(F)F)s1 ZINC000352855116 336280793 /nfs/dbraw/zinc/28/07/93/336280793.db2.gz RVYCEDDMCHXENX-UHFFFAOYSA-N 0 3 320.405 4.173 20 0 DIADHN Cc1cc(CN[C@@H](c2cccnc2)C2CC2)c2ccccc2n1 ZINC000527076321 336282809 /nfs/dbraw/zinc/28/28/09/336282809.db2.gz WIGVRCDOEPVQTR-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN FC(F)COc1cnccc1CNC1CCC2(CCCC2)CC1 ZINC000352863489 336283052 /nfs/dbraw/zinc/28/30/52/336283052.db2.gz VIPWRFRVHWOVGU-UHFFFAOYSA-N 0 3 324.415 4.318 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc3c(c2)OCO3)cc1 ZINC000192918469 336284306 /nfs/dbraw/zinc/28/43/06/336284306.db2.gz MNRFOAUGFJNKCW-AWEZNQCLSA-N 0 3 313.397 4.055 20 0 DIADHN CCOc1ccccc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC000006350730 336285348 /nfs/dbraw/zinc/28/53/48/336285348.db2.gz XMMVNRGHNMKSRB-UHFFFAOYSA-N 0 3 324.468 4.470 20 0 DIADHN Fc1cccc(NC[C@H](c2cccc(Cl)c2)N2CCCC2)n1 ZINC000301060134 336287804 /nfs/dbraw/zinc/28/78/04/336287804.db2.gz BJGICAWRKBYYAX-OAHLLOKOSA-N 0 3 319.811 4.123 20 0 DIADHN Cc1cc(N2CCO[C@@H](c3ccccc3F)C2)c2ccccc2n1 ZINC000301138041 336291846 /nfs/dbraw/zinc/29/18/46/336291846.db2.gz ADSQEBFUHQXVNH-HXUWFJFHSA-N 0 3 322.383 4.260 20 0 DIADHN Cc1cc(N2CC[C@H](Nc3ccccc3)C2)c2ccccc2n1 ZINC000301234573 336296094 /nfs/dbraw/zinc/29/60/94/336296094.db2.gz LEQGGDUOPAERDR-KRWDZBQOSA-N 0 3 303.409 4.234 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2nc3ccccc3o2)CC1 ZINC000301313841 336300367 /nfs/dbraw/zinc/30/03/67/336300367.db2.gz KSYVMKBRFQUSHW-HNNXBMFYSA-N 0 3 321.424 4.465 20 0 DIADHN Cc1cc([C@@](C)(O)CNc2cc(C)nc3ccccc32)c(C)o1 ZINC000301407446 336304765 /nfs/dbraw/zinc/30/47/65/336304765.db2.gz HSYJWFRFGSRJPD-IBGZPJMESA-N 0 3 310.397 4.073 20 0 DIADHN Clc1cnccc1NC[C@H]1CCCN(Cc2cccs2)C1 ZINC000301497650 336310416 /nfs/dbraw/zinc/31/04/16/336310416.db2.gz CYGQEXNMDZISSC-CYBMUJFWSA-N 0 3 321.877 4.121 20 0 DIADHN CCCc1cc(N2CC[C@H]3OCCC[C@@H]3C2)c2ccccc2n1 ZINC000301763938 336328358 /nfs/dbraw/zinc/32/83/58/336328358.db2.gz AMZBTGBIQWIJER-FOIQADDNSA-N 0 3 310.441 4.193 20 0 DIADHN Cc1cc(NCc2ccn(C3CCCC3)n2)c2ccccc2n1 ZINC000301762992 336328381 /nfs/dbraw/zinc/32/83/81/336328381.db2.gz MTBUONOMZQCQCL-UHFFFAOYSA-N 0 3 306.413 4.467 20 0 DIADHN Cc1cc(N2CCC(C3CCOCC3)CC2)c2ccccc2n1 ZINC000301789994 336330075 /nfs/dbraw/zinc/33/00/75/336330075.db2.gz YMWBOYAKZKGZEM-UHFFFAOYSA-N 0 3 310.441 4.186 20 0 DIADHN CN1CC[C@@H](Nc2nc3ccccc3s2)[C@H]1c1ccccc1 ZINC000301850313 336333990 /nfs/dbraw/zinc/33/39/90/336333990.db2.gz WQFBZJWBSWNPDT-NVXWUHKLSA-N 0 3 309.438 4.154 20 0 DIADHN CCc1cc(N[C@H](C)C[C@H](O)c2ccco2)c2ccccc2n1 ZINC000301891822 336336565 /nfs/dbraw/zinc/33/65/65/336336565.db2.gz MEVRKCOTPIYHFN-ACJLOTCBSA-N 0 3 310.397 4.314 20 0 DIADHN Cc1nc2ccccc2c(N2CCO[C@H](c3cccs3)C2)c1C ZINC000302083618 336347771 /nfs/dbraw/zinc/34/77/71/336347771.db2.gz MOSZFWBWPKCYSM-KRWDZBQOSA-N 0 3 324.449 4.491 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@H](C)[C@@H](n3ccnc3)C2)c1C ZINC000302095207 336348183 /nfs/dbraw/zinc/34/81/83/336348183.db2.gz RONAPDPJWLJPCF-LIRRHRJNSA-N 0 3 320.440 4.136 20 0 DIADHN Cc1nc2ccccc2c(N2CCO[C@@H](c3ccsc3)C2)c1C ZINC000302096007 336348286 /nfs/dbraw/zinc/34/82/86/336348286.db2.gz RZTXNCMOWPNIRE-GOSISDBHSA-N 0 3 324.449 4.491 20 0 DIADHN Cc1ccc2nccc(N3CC[C@H](Nc4ccccc4)C3)c2c1 ZINC000302136275 336350183 /nfs/dbraw/zinc/35/01/83/336350183.db2.gz BDRHRAAKPSGVKY-KRWDZBQOSA-N 0 3 303.409 4.234 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@H](c4nccs4)C3)c2c1 ZINC000302165307 336351918 /nfs/dbraw/zinc/35/19/18/336351918.db2.gz RBHOOWXPJABYAB-AWEZNQCLSA-N 0 3 309.438 4.384 20 0 DIADHN C[C@@H]1CCN(C)CCN1Cc1ccc(-c2ccccc2Cl)o1 ZINC000514104492 336352327 /nfs/dbraw/zinc/35/23/27/336352327.db2.gz KISIMOUDTXPCGS-CQSZACIVSA-N 0 3 318.848 4.126 20 0 DIADHN Cc1ccc2nccc(N[C@H]3CCCn4nc(C(C)C)nc43)c2c1 ZINC000302174917 336352387 /nfs/dbraw/zinc/35/23/87/336352387.db2.gz WOEYRNLTPVNKHI-KRWDZBQOSA-N 0 3 321.428 4.205 20 0 DIADHN COCc1cc(CNc2ccnc3ccc(C)cc32)ccc1F ZINC000302175908 336352499 /nfs/dbraw/zinc/35/24/99/336352499.db2.gz XDQREMKFQNFGLE-UHFFFAOYSA-N 0 3 310.372 4.441 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)CCC(=O)c2ccc(F)cc2)C1 ZINC000352891075 336353563 /nfs/dbraw/zinc/35/35/63/336353563.db2.gz BLUDKVKLXCSZGC-HZPDHXFCSA-N 0 3 309.450 4.005 20 0 DIADHN Cc1ccc2nccc(N[C@@H](C)[C@H](O)c3ccc(F)cc3)c2c1 ZINC000302680660 336364734 /nfs/dbraw/zinc/36/47/34/336364734.db2.gz RTKHBHYNOAKZMY-DJJJIMSYSA-N 0 3 310.372 4.216 20 0 DIADHN CCN(CC(=O)Nc1ccccc1-c1ccccc1)CC(C)C ZINC000514116739 336367797 /nfs/dbraw/zinc/36/77/97/336367797.db2.gz LOPDKAXQKCZHSJ-UHFFFAOYSA-N 0 3 310.441 4.270 20 0 DIADHN CC(C)SCCN1CCC[C@H]1CC(=O)c1ccc(F)cc1 ZINC000514114632 336368215 /nfs/dbraw/zinc/36/82/15/336368215.db2.gz IUIJWIDZJRMTKS-INIZCTEOSA-N 0 3 309.450 4.005 20 0 DIADHN CC(C)SCCNC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000514119185 336369996 /nfs/dbraw/zinc/36/99/96/336369996.db2.gz RZJUKPCPSODYET-UHFFFAOYSA-N 0 3 303.393 4.426 20 0 DIADHN CSc1ccc(CNCc2ccc3ncccc3c2)s1 ZINC000352896244 336370480 /nfs/dbraw/zinc/37/04/80/336370480.db2.gz OSJLNVRSILDQMS-UHFFFAOYSA-N 0 3 300.452 4.308 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(O)c3nc(C)ccc23)c1 ZINC000514127747 336374457 /nfs/dbraw/zinc/37/44/57/336374457.db2.gz YOVAJBSVOIXLCK-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN COc1cccc([C@@H](C)[NH2+]Cc2ccc([O-])c3nc(C)ccc23)c1 ZINC000514127747 336374458 /nfs/dbraw/zinc/37/44/58/336374458.db2.gz YOVAJBSVOIXLCK-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN Cc1cccc(CCCNCc2cnc(C3CCCC3)s2)n1 ZINC000352943707 336378607 /nfs/dbraw/zinc/37/86/07/336378607.db2.gz FWCWFXJRHBVQJW-UHFFFAOYSA-N 0 3 315.486 4.227 20 0 DIADHN CC[C@@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1nccn1C ZINC000352966156 336379929 /nfs/dbraw/zinc/37/99/29/336379929.db2.gz HZHNTBKPGVWVBG-QZTJIDSGSA-N 0 3 313.420 4.236 20 0 DIADHN CC1(C)C[C@@H](N[C@H]2CC3(CCC3)Oc3ccccc32)C(C)(C)O1 ZINC000352970128 336380109 /nfs/dbraw/zinc/38/01/09/336380109.db2.gz ULBVOKFHGKUYPI-DOTOQJQBSA-N 0 3 315.457 4.369 20 0 DIADHN CC1(C)C[C@H](N[C@H]2CC3(CCC3)Oc3ccccc32)C(C)(C)O1 ZINC000352970133 336380223 /nfs/dbraw/zinc/38/02/23/336380223.db2.gz ULBVOKFHGKUYPI-RDJZCZTQSA-N 0 3 315.457 4.369 20 0 DIADHN CC1(C)C[C@H](N[C@@H]2CC3(CCC3)Oc3ccccc32)C(C)(C)O1 ZINC000352970134 336380233 /nfs/dbraw/zinc/38/02/33/336380233.db2.gz ULBVOKFHGKUYPI-WBVHZDCISA-N 0 3 315.457 4.369 20 0 DIADHN CN(Cc1ccc2occc2c1)C[C@H]1OCCc2ccccc21 ZINC000514632941 336386938 /nfs/dbraw/zinc/38/69/38/336386938.db2.gz LARUURJMYLOYGG-HXUWFJFHSA-N 0 3 307.393 4.179 20 0 DIADHN Fc1ccccc1SCCN1CCC(OC2CCCC2)CC1 ZINC000261398444 336387478 /nfs/dbraw/zinc/38/74/78/336387478.db2.gz ZACSBDVRXQAURO-UHFFFAOYSA-N 0 3 323.477 4.341 20 0 DIADHN Brc1cccc2c1CC[C@@H]2NCc1ccsc1 ZINC000105491913 336387631 /nfs/dbraw/zinc/38/76/31/336387631.db2.gz IRZXGFYCTRWCJC-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2N[C@H]1CCCc2ccccc21 ZINC000563948873 336388001 /nfs/dbraw/zinc/38/80/01/336388001.db2.gz IWPRDYUKQIBHBS-ROUUACIJSA-N 0 3 311.400 4.489 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@H](OC)C[C@H]2C)cc1 ZINC000443070462 336389971 /nfs/dbraw/zinc/38/99/71/336389971.db2.gz OXDGNVZWOIRTHB-APWZRJJASA-N 0 3 305.462 4.255 20 0 DIADHN c1sc2ccccc2c1CNC1(c2ccc3c(c2)OCO3)CC1 ZINC000532886736 336393242 /nfs/dbraw/zinc/39/32/42/336393242.db2.gz OZFKCCNCFSQCBR-UHFFFAOYSA-N 0 3 323.417 4.409 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1COC(C)(C)C1 ZINC000418034333 336395539 /nfs/dbraw/zinc/39/55/39/336395539.db2.gz OJNYGEXZUKUXPH-JSGCOSHPSA-N 0 3 301.352 4.146 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1cnc(C2CCC2)s1 ZINC000353079915 336398022 /nfs/dbraw/zinc/39/80/22/336398022.db2.gz KXSJKOOMKCDSQK-UHFFFAOYSA-N 0 3 315.486 4.181 20 0 DIADHN C[C@@H](N[C@@H]1COC(C)(C)C1)c1ccc(Oc2cccnc2)cc1 ZINC000418054875 336398098 /nfs/dbraw/zinc/39/80/98/336398098.db2.gz BJKOSCMWVOEORU-ZBFHGGJFSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@H](CCCO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000168245585 336398264 /nfs/dbraw/zinc/39/82/64/336398264.db2.gz YADGYRMBQKGMGM-PSASIEDQSA-N 0 3 308.274 4.183 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(OC2CCC2)CC1 ZINC000418095804 336398793 /nfs/dbraw/zinc/39/87/93/336398793.db2.gz LWTMUXMWKZAZOS-UHFFFAOYSA-N 0 3 303.446 4.007 20 0 DIADHN Cc1cc(CN2CCC(OC3CCC3)CC2)c2ccccc2n1 ZINC000418090950 336399150 /nfs/dbraw/zinc/39/91/50/336399150.db2.gz MBGMDVSHQAGDMZ-UHFFFAOYSA-N 0 3 310.441 4.077 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NCCOC(F)(F)F ZINC000353316431 336411597 /nfs/dbraw/zinc/41/15/97/336411597.db2.gz UEMWOHGDSGNSSH-AWEZNQCLSA-N 0 3 317.351 4.150 20 0 DIADHN CC[C@H](NCc1ccc(-n2cccn2)cc1)c1cccc(C)c1 ZINC000353395953 336415332 /nfs/dbraw/zinc/41/53/32/336415332.db2.gz RWBNSJIQOPZUHV-FQEVSTJZSA-N 0 3 305.425 4.422 20 0 DIADHN COc1ccc(F)cc1CNC1CCC(C(F)(F)F)CC1 ZINC000193130233 336418580 /nfs/dbraw/zinc/41/85/80/336418580.db2.gz MVSWYCFJPVQJAH-UHFFFAOYSA-N 0 3 305.315 4.045 20 0 DIADHN CC[C@H](NCc1cccc(N2CCCC2=O)c1)c1cccc(C)c1 ZINC000353458392 336418824 /nfs/dbraw/zinc/41/88/24/336418824.db2.gz CPPHUWFCVOUMAQ-FQEVSTJZSA-N 0 3 322.452 4.363 20 0 DIADHN CC(C)CC[C@@H](NC[C@@H](c1ccco1)N(C)C)c1ccoc1 ZINC000353455069 336418836 /nfs/dbraw/zinc/41/88/36/336418836.db2.gz OHRIHZSEVXXTJA-SJORKVTESA-N 0 3 304.434 4.242 20 0 DIADHN CC(C)Cc1cccc([C@H](C)N[C@@H]2CCCc3c2cnn3C)c1 ZINC000353462233 336419188 /nfs/dbraw/zinc/41/91/88/336419188.db2.gz OCOXENXSGVLOPX-HNAYVOBHSA-N 0 3 311.473 4.347 20 0 DIADHN CC(C)CC[C@H](NCCC(=O)N1CCCCCC1)c1ccoc1 ZINC000353495726 336420609 /nfs/dbraw/zinc/42/06/09/336420609.db2.gz IUKFNZDDSWMYHN-SFHVURJKSA-N 0 3 320.477 4.139 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NCc1ccc(C)nc1C ZINC000353546567 336424182 /nfs/dbraw/zinc/42/41/82/336424182.db2.gz WLMBOMBBSVSAHP-HLAWJBBLSA-N 0 3 324.468 4.139 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@H](C)c1ccncc1 ZINC000353553192 336424592 /nfs/dbraw/zinc/42/45/92/336424592.db2.gz BPEKOBAETCHGJE-HUYLIWGRSA-N 0 3 310.441 4.084 20 0 DIADHN CCOC[C@H](C)N[C@H](CC)c1ccc(OC(F)(F)F)cc1 ZINC000353684490 336434830 /nfs/dbraw/zinc/43/48/30/336434830.db2.gz SNDKUMBDKJYLFC-SMDDNHRTSA-N 0 3 305.340 4.051 20 0 DIADHN OC[C@@H](CN[C@@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000353888068 336446014 /nfs/dbraw/zinc/44/60/14/336446014.db2.gz YQDNIYCXXLFCBO-MWLCHTKSSA-N 0 3 320.285 4.040 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CCCOc3ccc(Cl)cc32)CC1 ZINC000353954204 336451045 /nfs/dbraw/zinc/45/10/45/336451045.db2.gz JIQXZSMJMGKAAS-LBPRGKRZSA-N 0 3 319.754 4.486 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1ccc2n[nH]cc2c1 ZINC000353970647 336451739 /nfs/dbraw/zinc/45/17/39/336451739.db2.gz FXQBUBJBBFISEB-BLVKFPJESA-N 0 3 309.388 4.430 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)cnn1C(C)C ZINC000353983493 336452513 /nfs/dbraw/zinc/45/25/13/336452513.db2.gz YFDKLKDNMLPDHW-OLZOCXBDSA-N 0 3 311.433 4.061 20 0 DIADHN OCC1([C@@H](NCc2coc3ccccc23)c2ccccc2)CCC1 ZINC000354009517 336453903 /nfs/dbraw/zinc/45/39/03/336453903.db2.gz MVPNJWJJUXWUGW-FQEVSTJZSA-N 0 3 321.420 4.426 20 0 DIADHN Cc1ccc(CCCN[C@H]2CCOc3c(Cl)cccc32)cn1 ZINC000354135710 336461176 /nfs/dbraw/zinc/46/11/76/336461176.db2.gz ZQXBCLVVAHNAGL-KRWDZBQOSA-N 0 3 316.832 4.089 20 0 DIADHN FC(F)(F)CSCCNCc1cnc(C2CCCC2)s1 ZINC000354290305 336465679 /nfs/dbraw/zinc/46/56/79/336465679.db2.gz UJMVSUGNSNMNTH-UHFFFAOYSA-N 0 3 324.437 4.186 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)c1ccccc1N(C)C ZINC000354307111 336466256 /nfs/dbraw/zinc/46/62/56/336466256.db2.gz XVARBYAJQGXBLV-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN CC1(CCNCc2csc(COc3ccccc3)n2)CC1 ZINC000355151405 336496465 /nfs/dbraw/zinc/49/64/65/336496465.db2.gz LXJLHMQFCJSWRV-UHFFFAOYSA-N 0 3 302.443 4.002 20 0 DIADHN Fc1ccc(-n2nccc2CNCc2ccc(C3CC3)cc2)cc1 ZINC000355165130 336496998 /nfs/dbraw/zinc/49/69/98/336496998.db2.gz ZMHFWFWLWZLLMP-UHFFFAOYSA-N 0 3 321.399 4.179 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2cncnc2)CC1 ZINC000355229822 336500282 /nfs/dbraw/zinc/50/02/82/336500282.db2.gz YKBDGJBQTAAUBY-UHFFFAOYSA-N 0 3 315.848 4.074 20 0 DIADHN CCc1nc([C@@H](C)NCC[C@@H](C)OCc2ccccc2)cs1 ZINC000355260296 336501139 /nfs/dbraw/zinc/50/11/39/336501139.db2.gz OBZDHESSURANIB-HUUCEWRRSA-N 0 3 318.486 4.352 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@H](C)O1)c1ccccc1Br ZINC000355278769 336502154 /nfs/dbraw/zinc/50/21/54/336502154.db2.gz RILUDACELWYOQB-ZOWXZIJZSA-N 0 3 312.251 4.057 20 0 DIADHN CO[C@H](CCNCc1cc(Cl)ccc1Cl)C(F)(F)F ZINC000468621207 339267224 /nfs/dbraw/zinc/26/72/24/339267224.db2.gz WDLUTILPQQPUCG-LLVKDONJSA-N 0 3 316.150 4.050 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)c2cccc(CN(C)C)c2)c(C)c1 ZINC000355862929 336525320 /nfs/dbraw/zinc/52/53/20/336525320.db2.gz BSDSYTPGGSVZTE-KRWDZBQOSA-N 0 3 324.468 4.198 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cnc(C(C)C)s1 ZINC000356307488 336545599 /nfs/dbraw/zinc/54/55/99/336545599.db2.gz MNTPSYNWOVPAHO-MLGOLLRUSA-N 0 3 322.449 4.271 20 0 DIADHN CC(C)C[C@@H](NCc1cccnc1-n1cccn1)c1ccccc1 ZINC000356704639 336558752 /nfs/dbraw/zinc/55/87/52/336558752.db2.gz VZHSOGXCYJMAIK-LJQANCHMSA-N 0 3 320.440 4.144 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCOC1)c1nc(-c2ccccc2)cs1 ZINC000356768728 336560406 /nfs/dbraw/zinc/56/04/06/336560406.db2.gz HVNJBUUYPCUGQD-DZKIICNBSA-N 0 3 316.470 4.276 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnc(C3CCCC3)s2)CCO1 ZINC000357344287 336581665 /nfs/dbraw/zinc/58/16/65/336581665.db2.gz GPAFQDFAZIMERF-ZBFHGGJFSA-N 0 3 308.491 4.094 20 0 DIADHN CC[C@@H](NCCc1nc(C)oc1C)c1cc(Cl)ccc1OC ZINC000357787709 336598510 /nfs/dbraw/zinc/59/85/10/336598510.db2.gz AXJSLUBPUTYNNV-OAHLLOKOSA-N 0 3 322.836 4.237 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2cc(C)ccc21)c1ccc2c(c1)OCCO2 ZINC000358013797 336606075 /nfs/dbraw/zinc/60/60/75/336606075.db2.gz CFODENNLUFPMJQ-RTBURBONSA-N 0 3 323.436 4.494 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@H]2NC[C@@H]1CCCCO1 ZINC000358014914 336606090 /nfs/dbraw/zinc/60/60/90/336606090.db2.gz DHDZDLAZCRQNLS-MAUKXSAKSA-N 0 3 303.446 4.182 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCOC1CCCCC1)CCS2 ZINC000358036194 336607856 /nfs/dbraw/zinc/60/78/56/336607856.db2.gz KNQRDYJJEGBSFN-INIZCTEOSA-N 0 3 309.450 4.302 20 0 DIADHN CC(C)Sc1ccc(CN[C@H](CCO)c2ccccc2)cc1 ZINC000358054491 336608923 /nfs/dbraw/zinc/60/89/23/336608923.db2.gz CHDFCXGDHVXJFK-LJQANCHMSA-N 0 3 315.482 4.400 20 0 DIADHN CC(C)[C@@H](O)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000358162170 336614043 /nfs/dbraw/zinc/61/40/43/336614043.db2.gz XZEQSPVNPHMYAC-ZJUUUORDSA-N 0 3 308.274 4.039 20 0 DIADHN CCOC[C@@H](C)N[C@@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000358161813 336614104 /nfs/dbraw/zinc/61/41/04/336614104.db2.gz RBGWCGYNTJMGIU-DNVCBOLYSA-N 0 3 311.425 4.192 20 0 DIADHN CC[C@@H](N[C@@H](C)COCCOC)c1ccc(Cl)cc1Cl ZINC000358195154 336616192 /nfs/dbraw/zinc/61/61/92/336616192.db2.gz USWKZDFCCWLGSY-XHDPSFHLSA-N 0 3 320.260 4.086 20 0 DIADHN C[C@H]1C[C@H](NCCc2ccccc2O)c2ccsc2S1 ZINC000358219349 336617421 /nfs/dbraw/zinc/61/74/21/336617421.db2.gz JOWALORJDZCCCR-FZMZJTMJSA-N 0 3 305.468 4.211 20 0 DIADHN CO[C@@H](CN[C@H]1CCCOc2cc(C)ccc21)c1ccccc1 ZINC000358225356 336617886 /nfs/dbraw/zinc/61/78/86/336617886.db2.gz RRFHJIZSCUCWHB-ICSRJNTNSA-N 0 3 311.425 4.186 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCc1ccc2c(c1)COC2 ZINC000358305245 336622931 /nfs/dbraw/zinc/62/29/31/336622931.db2.gz SBZLWJLWGFINLK-GFCCVEGCSA-N 0 3 316.470 4.456 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCCOc3ccc(C)cc32)c1 ZINC000358349840 336625405 /nfs/dbraw/zinc/62/54/05/336625405.db2.gz YVDPXRLOSUKAGC-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN COc1cc([C@@H](C)N[C@H](c2cccnc2)C2CC2)ccc1F ZINC000358366943 336626716 /nfs/dbraw/zinc/62/67/16/336626716.db2.gz LIUAIEHNKPBGLF-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCc3c1cccc3OC)CCC2 ZINC000358385064 336627642 /nfs/dbraw/zinc/62/76/42/336627642.db2.gz QTIKXSNVZOJOOM-UXHICEINSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1nc(-c2ccc([C@@H](C)NC[C@@H]3CCCCO3)cc2)cs1 ZINC000358824370 336644060 /nfs/dbraw/zinc/64/40/60/336644060.db2.gz KIRQJOQDPIWVOA-DYVFJYSZSA-N 0 3 316.470 4.338 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000358914603 336647295 /nfs/dbraw/zinc/64/72/95/336647295.db2.gz LXEASQRYVXLPPN-AEFFLSMTSA-N 0 3 315.848 4.192 20 0 DIADHN CCc1nc(C)c([C@H](C)NCC2(OC)CCC(C)CC2)s1 ZINC000359015969 336653301 /nfs/dbraw/zinc/65/33/01/336653301.db2.gz PNAONSPZGLYDNJ-JZLYGMAVSA-N 0 3 310.507 4.260 20 0 DIADHN CC[C@@H](NCc1cc2c(cc(C)cc2C)[nH]1)c1c(C)nn(C)c1C ZINC000359023500 336653811 /nfs/dbraw/zinc/65/38/11/336653811.db2.gz ZXKCVMAJUKCDDJ-GOSISDBHSA-N 0 3 324.472 4.376 20 0 DIADHN CC1CCC(C)(CN[C@@H](c2ccccc2)c2cncnc2)CC1 ZINC000359040595 336654803 /nfs/dbraw/zinc/65/48/03/336654803.db2.gz WJFNGVHFQCEYNW-AJPWXKBRSA-N 0 3 309.457 4.372 20 0 DIADHN CCC[C@@H](CC)N[C@H](CCO)c1ccccc1Br ZINC000359224520 336663659 /nfs/dbraw/zinc/66/36/59/336663659.db2.gz FAHPBKNLQHDQSC-IUODEOHRSA-N 0 3 314.267 4.041 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCCc2nc(C)sc21 ZINC000359297470 336666392 /nfs/dbraw/zinc/66/63/92/336666392.db2.gz DKMIXHKFKFKUMA-NHYWBVRUSA-N 0 3 301.459 4.137 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1C1CC1)c1nc(-c2ccncc2)cs1 ZINC000359302630 336666699 /nfs/dbraw/zinc/66/66/99/336666699.db2.gz LKDPQHCNOFSMEC-MAZHCROVSA-N 0 3 313.470 4.434 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000359348080 336668726 /nfs/dbraw/zinc/66/87/26/336668726.db2.gz UEUSDHYHYKZHKE-HZPDHXFCSA-N 0 3 320.440 4.242 20 0 DIADHN CC[C@@H](NC[C@@H](c1ccco1)N(C)C)c1cccc(Cl)c1F ZINC000359437662 336673093 /nfs/dbraw/zinc/67/30/93/336673093.db2.gz YTFQOFUTPCTQJF-CABCVRRESA-N 0 3 324.827 4.416 20 0 DIADHN CC[C@H](NC[C@H](c1cccs1)N(C)C)c1cc(F)ccc1F ZINC000359592131 336679239 /nfs/dbraw/zinc/67/92/39/336679239.db2.gz NRLDLNBLPOKQPE-JKSUJKDBSA-N 0 3 324.440 4.370 20 0 DIADHN CN(C)[C@H](CN[C@H]1CC(C)(C)Cc2occc21)c1cccs1 ZINC000359607280 336679992 /nfs/dbraw/zinc/67/99/92/336679992.db2.gz ZXCLNRIETVPWGP-LSDHHAIUSA-N 0 3 318.486 4.247 20 0 DIADHN C[C@@H](NCCc1cccc2cccnc21)c1ccc(Cl)cn1 ZINC000359622953 336680735 /nfs/dbraw/zinc/68/07/35/336680735.db2.gz UDUOBVOHVKNIAO-CYBMUJFWSA-N 0 3 311.816 4.177 20 0 DIADHN Clc1cnn(C2CCN(Cc3ccc4occc4c3)CC2)c1 ZINC000367355643 336684747 /nfs/dbraw/zinc/68/47/47/336684747.db2.gz DUIVFYGYVIEXDP-UHFFFAOYSA-N 0 3 315.804 4.120 20 0 DIADHN CC1(C)CC[C@H](NCc2ccc(CO)c(F)c2)c2ccccc21 ZINC000360646964 336717213 /nfs/dbraw/zinc/71/72/13/336717213.db2.gz LBWGTLFCBDCYSS-IBGZPJMESA-N 0 3 313.416 4.220 20 0 DIADHN CCO[C@@H](CN[C@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000360886080 336725804 /nfs/dbraw/zinc/72/58/04/336725804.db2.gz UNNWMTYAAJRTMD-PMACEKPBSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCSCc1ccc(C)cc1 ZINC000360967252 336730069 /nfs/dbraw/zinc/73/00/69/336730069.db2.gz WKQYHEFCQWGEDT-UHFFFAOYSA-N 0 3 317.502 4.104 20 0 DIADHN Cc1nc([C@H](C)NCCN2CCC3(CCCCC3)CC2)cs1 ZINC000360985318 336730848 /nfs/dbraw/zinc/73/08/48/336730848.db2.gz HFSDYNFXVUTEIN-HNNXBMFYSA-N 0 3 321.534 4.148 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cnc3ccccc3c1)CCC2 ZINC000360994341 336731487 /nfs/dbraw/zinc/73/14/87/336731487.db2.gz FATIIDDFHSQNHD-FQEVSTJZSA-N 0 3 304.393 4.108 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1CCOc2c(F)ccc(F)c21 ZINC000361180887 336742722 /nfs/dbraw/zinc/74/27/22/336742722.db2.gz VBWQBVALTJBJEH-BZNIZROVSA-N 0 3 321.342 4.148 20 0 DIADHN CC(C)C[C@@H](NCc1cccc(-n2cncn2)c1)c1ccccc1 ZINC000361507308 336760026 /nfs/dbraw/zinc/76/00/26/336760026.db2.gz DDRLFRNISODQFP-HXUWFJFHSA-N 0 3 320.440 4.144 20 0 DIADHN C[C@H](CN[C@@H]1CCCOc2ccccc21)Oc1ccc(F)cc1 ZINC000361718906 336769821 /nfs/dbraw/zinc/76/98/21/336769821.db2.gz BQMKXBDORCCFSR-RDTXWAMCSA-N 0 3 315.388 4.097 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1cc(F)ccc1F ZINC000361753895 336771478 /nfs/dbraw/zinc/77/14/78/336771478.db2.gz LLCRTATXXSKIRT-RCCFBDPRSA-N 0 3 319.399 4.386 20 0 DIADHN CCSCC[C@H](C)N[C@@H](C)c1cc(OC)c(OC)cc1F ZINC000361816029 336774185 /nfs/dbraw/zinc/77/41/85/336774185.db2.gz WVRXHJJOFVUIGG-RYUDHWBXSA-N 0 3 315.454 4.025 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2cnc(C3CCC3)s2)C1(C)C ZINC000361907386 336777721 /nfs/dbraw/zinc/77/77/21/336777721.db2.gz XGDDJBLCSCUJMU-CABCVRRESA-N 0 3 308.491 4.092 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCOCc1ccccc1)CCS2 ZINC000361966635 336780088 /nfs/dbraw/zinc/78/00/88/336780088.db2.gz NPSPXMPFIKYPDY-QGZVFWFLSA-N 0 3 317.429 4.169 20 0 DIADHN CC[C@H](NC[C@@]1(C)CCCO1)c1ccccc1Br ZINC000362022648 336782330 /nfs/dbraw/zinc/78/23/30/336782330.db2.gz FZCJCLWDJJRNIG-LSDHHAIUSA-N 0 3 312.251 4.059 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2ccc(C)s2)c2ccccc21 ZINC000362032301 336782702 /nfs/dbraw/zinc/78/27/02/336782702.db2.gz KNKZHSPRRPDGEO-CZUORRHYSA-N 0 3 314.454 4.205 20 0 DIADHN COc1ccc([C@@H]2CCCC[C@@H]2NCc2cscn2)cc1F ZINC000362203643 336787978 /nfs/dbraw/zinc/78/79/78/336787978.db2.gz WSZPXUDZIZXWMY-HOCLYGCPSA-N 0 3 320.433 4.107 20 0 DIADHN COc1ccc([C@H]2CCCC[C@@H]2NCc2cscn2)cc1F ZINC000362203644 336788041 /nfs/dbraw/zinc/78/80/41/336788041.db2.gz WSZPXUDZIZXWMY-ZBFHGGJFSA-N 0 3 320.433 4.107 20 0 DIADHN CC[C@H](NCc1ccncc1)c1cc(F)cc(Br)c1 ZINC000362512190 336801247 /nfs/dbraw/zinc/80/12/47/336801247.db2.gz PJLUMYLLBZXDDN-HNNXBMFYSA-N 0 3 323.209 4.224 20 0 DIADHN c1cn(-c2ccc(CN3CCC(c4ccsc4)CC3)cc2)cn1 ZINC000362666965 336808426 /nfs/dbraw/zinc/80/84/26/336808426.db2.gz QUIQDAFEMRBYHK-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN C[C@H](c1ccccc1)N1CCC([C@H]2c3ccccc3NC2=O)CC1 ZINC000363103440 336818760 /nfs/dbraw/zinc/81/87/60/336818760.db2.gz IOFJMSQZSDIQBV-QRWLVFNGSA-N 0 3 320.436 4.196 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(C2CC2)cc1 ZINC000418114293 336819240 /nfs/dbraw/zinc/81/92/40/336819240.db2.gz NQERYVAXSCVBCS-CQSZACIVSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC(C2CCOCC2)CC1 ZINC000367953524 336833045 /nfs/dbraw/zinc/83/30/45/336833045.db2.gz ZWKWPAOGKVMXGF-AWEZNQCLSA-N 0 3 314.429 4.027 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@H]1CCCc2nn(C)cc21 ZINC000369217041 336860758 /nfs/dbraw/zinc/86/07/58/336860758.db2.gz WLEJGTFARTUBRU-PKOBYXMFSA-N 0 3 309.457 4.082 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](c2ccnn2C)C1)c1c(F)cccc1F ZINC000369576633 336867579 /nfs/dbraw/zinc/86/75/79/336867579.db2.gz DJTKDPQIWXOHIC-MELADBBJSA-N 0 3 319.399 4.075 20 0 DIADHN C[C@H](NCc1ccc([S@](C)=O)cc1)c1ccc(C2CC2)cc1 ZINC000418118928 336870616 /nfs/dbraw/zinc/87/06/16/336870616.db2.gz WQKGYGHXZLOVJR-FPTDNZKUSA-N 0 3 313.466 4.152 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000168518482 336881980 /nfs/dbraw/zinc/88/19/80/336881980.db2.gz GCENKHBNVPIGTK-DJEJFTSGSA-N 0 3 319.445 4.283 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CCOCC1)c1cncc(F)c1 ZINC000370619607 336888569 /nfs/dbraw/zinc/88/85/69/336888569.db2.gz CLZHJGDLHCHSSS-KUHUBIRLSA-N 0 3 314.404 4.039 20 0 DIADHN CCCCOc1ccc(NC(=O)[C@H](CC)N(CC)CC)c(C)c1 ZINC000582293733 336891367 /nfs/dbraw/zinc/89/13/67/336891367.db2.gz SYLOSIRONJDSIQ-SFHVURJKSA-N 0 3 320.477 4.233 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](c1ccccc1)C1CC1 ZINC000371422196 336903532 /nfs/dbraw/zinc/90/35/32/336903532.db2.gz DTKIVBLZZUVRDL-QUCCMNQESA-N 0 3 309.409 4.260 20 0 DIADHN CCC[C@@H](N[C@@H](CCO)c1ccc(OC)cc1)c1ccccc1 ZINC000120386815 336909265 /nfs/dbraw/zinc/90/92/65/336909265.db2.gz RKDVTDIOVXVVGF-UXHICEINSA-N 0 3 313.441 4.250 20 0 DIADHN C[C@@H](CCc1ccccc1)NCc1ccn(Cc2ccccc2)n1 ZINC000389935674 336926570 /nfs/dbraw/zinc/92/65/70/336926570.db2.gz IPGYTESJVJDBLY-SFHVURJKSA-N 0 3 319.452 4.042 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)Nc1cccc(Cl)c1 ZINC000007378945 336927143 /nfs/dbraw/zinc/92/71/43/336927143.db2.gz VANRQOSREAYLFQ-QWHCGFSZSA-N 0 3 302.805 4.018 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2ccc(Cl)cc2)cn1 ZINC000372291224 336927183 /nfs/dbraw/zinc/92/71/83/336927183.db2.gz HNNMCVKRKCJLNG-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN C[C@@H](NCc1cccc2ccccc21)c1ccc([S@@](C)=O)cc1 ZINC000121109228 336931298 /nfs/dbraw/zinc/93/12/98/336931298.db2.gz CSSBHTWLMKEPLF-CMJOXMDJSA-N 0 3 323.461 4.428 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1cccc(F)c1F ZINC000389987544 336931638 /nfs/dbraw/zinc/93/16/38/336931638.db2.gz CJCFLBIPIFZTKY-UHFFFAOYSA-N 0 3 305.368 4.050 20 0 DIADHN Fc1ccc(Br)c(CNC2CCC(F)(F)CC2)c1 ZINC000390102510 336933851 /nfs/dbraw/zinc/93/38/51/336933851.db2.gz ICIRRGCCEWJFAL-UHFFFAOYSA-N 0 3 322.168 4.256 20 0 DIADHN Clc1cccnc1C1CCN(CCCC2CCOCC2)CC1 ZINC000372970072 336943999 /nfs/dbraw/zinc/94/39/99/336943999.db2.gz QBTFXBXAFXMEHS-UHFFFAOYSA-N 0 3 322.880 4.121 20 0 DIADHN COC1(C[C@H](C)N[C@@H](c2ccccc2)c2cccnc2)CCC1 ZINC000372992954 336944483 /nfs/dbraw/zinc/94/44/83/336944483.db2.gz MUAZJKHNVSLJHC-LPHOPBHVSA-N 0 3 310.441 4.108 20 0 DIADHN CCc1nnc(CN[C@H]2C[C@H](c3ccccc3)C[C@H](C)C2)s1 ZINC000391847339 336963128 /nfs/dbraw/zinc/96/31/28/336963128.db2.gz ZDQYQXORCJNGIV-NUEKZKHPSA-N 0 3 315.486 4.163 20 0 DIADHN CC[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)c1cccc(Cl)c1 ZINC000303608517 336966045 /nfs/dbraw/zinc/96/60/45/336966045.db2.gz YMLGXOXVYGTJSW-RHSMWYFYSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1nn(C(C)C)cc1CNCc1cccc(-c2cccnc2)c1 ZINC000374198597 336983559 /nfs/dbraw/zinc/98/35/59/336983559.db2.gz KCUSCFQXPUNARL-UHFFFAOYSA-N 0 3 320.440 4.124 20 0 DIADHN Fc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCOC2)cc1Cl ZINC000374467130 336989811 /nfs/dbraw/zinc/98/98/11/336989811.db2.gz AIQYLWDGBPHPAP-YJBOKZPZSA-N 0 3 319.807 4.347 20 0 DIADHN COCCSCCCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000488174027 339772490 /nfs/dbraw/zinc/77/24/90/339772490.db2.gz ZUZAVHIJLIIIFM-NSHDSACASA-N 0 3 322.301 4.414 20 0 DIADHN CCC[C@@H](N[C@@H]1CCCc2cnn(C(C)C)c21)c1cccnc1 ZINC000375170808 337005066 /nfs/dbraw/zinc/00/50/66/337005066.db2.gz JTFOXYRDFKKRJT-QZTJIDSGSA-N 0 3 312.461 4.367 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000375185418 337005424 /nfs/dbraw/zinc/00/54/24/337005424.db2.gz YYRZALHWZHWCFD-QZTJIDSGSA-N 0 3 313.420 4.258 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H]1CCc3cc(F)ccc31)CCC2 ZINC000375185420 337005472 /nfs/dbraw/zinc/00/54/72/337005472.db2.gz YYRZALHWZHWCFD-ZWKOTPCHSA-N 0 3 313.420 4.258 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cnc3n2CCCC3)c2ccccc21 ZINC000375273222 337007113 /nfs/dbraw/zinc/00/71/13/337007113.db2.gz YLVJALZNHPAUQC-RBUKOAKNSA-N 0 3 309.457 4.194 20 0 DIADHN COCCCOC1CCN(Cc2ccc(C(C)(C)C)cc2)CC1 ZINC000122429941 337008331 /nfs/dbraw/zinc/00/83/31/337008331.db2.gz SPMDWVAYFLYHOX-UHFFFAOYSA-N 0 3 319.489 4.002 20 0 DIADHN C[C@H](NCCCCOC(C)(C)C)c1nc(C(F)(F)F)cs1 ZINC000490073218 339825235 /nfs/dbraw/zinc/82/52/35/339825235.db2.gz DREUHFDFFMJCNV-JTQLQIEISA-N 0 3 324.412 4.408 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375808472 337020013 /nfs/dbraw/zinc/02/00/13/337020013.db2.gz RXOHCFQOVGAWEO-IXDOHACOSA-N 0 3 319.493 4.214 20 0 DIADHN c1c[nH]c([C@H](NCC2(c3ccccn3)CCC2)C2CCCCC2)n1 ZINC000376135872 337028071 /nfs/dbraw/zinc/02/80/71/337028071.db2.gz ZSYJOFKGDQEGRA-GOSISDBHSA-N 0 3 324.472 4.138 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cncs1 ZINC000122990792 337031494 /nfs/dbraw/zinc/03/14/94/337031494.db2.gz RMMURQHWWUUMPH-HZMBPMFUSA-N 0 3 324.396 4.473 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2C[C@H](c3cc(F)cc(F)c3)C2)cn1 ZINC000376450410 337033676 /nfs/dbraw/zinc/03/36/76/337033676.db2.gz UBIIPGRWDZOADU-YEWDVWPNSA-N 0 3 319.399 4.339 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1)c1ccc(-c2cccnc2)cc1 ZINC000305776555 337036456 /nfs/dbraw/zinc/03/64/56/337036456.db2.gz OQAKIMCYPBKNAH-HRAATJIYSA-N 0 3 318.420 4.133 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@@H](C2CCOCC2)C1 ZINC000377251322 337049472 /nfs/dbraw/zinc/04/94/72/337049472.db2.gz PYTWQYGTBUDZHX-MRXNPFEDSA-N 0 3 320.502 4.049 20 0 DIADHN Cc1cc(CNCc2ccc(-c3ccncc3)cc2)cc(C)c1O ZINC000377658982 337057591 /nfs/dbraw/zinc/05/75/91/337057591.db2.gz LKFCWSZZYJFABR-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN CCC[C@H](NC[C@]1(C)OCCc2sccc21)c1cccnc1 ZINC000377743099 337060024 /nfs/dbraw/zinc/06/00/24/337060024.db2.gz LNJPPOVCZVFEDE-WMZOPIPTSA-N 0 3 316.470 4.062 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCN(C(C)=O)c3ccccc32)cc1 ZINC000378400284 337071844 /nfs/dbraw/zinc/07/18/44/337071844.db2.gz HTMVWVVOTBQGRR-YWZLYKJASA-N 0 3 322.452 4.398 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000378400772 337071914 /nfs/dbraw/zinc/07/19/14/337071914.db2.gz UAFCBNOSURRXBQ-UXPWSPDFSA-N 0 3 311.400 4.180 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000378400771 337071942 /nfs/dbraw/zinc/07/19/42/337071942.db2.gz UAFCBNOSURRXBQ-LSTHTHJFSA-N 0 3 311.400 4.180 20 0 DIADHN c1ccc2nc(CN3CCC(Cc4cccnc4)CC3)ccc2c1 ZINC000378415426 337072244 /nfs/dbraw/zinc/07/22/44/337072244.db2.gz NZBVPFDMZFQVAW-UHFFFAOYSA-N 0 3 317.436 4.085 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000378480459 337073889 /nfs/dbraw/zinc/07/38/89/337073889.db2.gz BAFJMEGTBJVFQQ-OAGGEKHMSA-N 0 3 314.429 4.027 20 0 DIADHN Cc1noc(C)c1CN1CC[C@H](c2cccc(C(F)(F)F)c2)C1 ZINC000378643435 337077489 /nfs/dbraw/zinc/07/74/89/337077489.db2.gz AUQYRRWQAJQHSF-AWEZNQCLSA-N 0 3 324.346 4.300 20 0 DIADHN C[C@H](CN1CCCC1=O)N[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000193917991 337080511 /nfs/dbraw/zinc/08/05/11/337080511.db2.gz OEZWBRNAGIIFOA-SJORKVTESA-N 0 3 322.452 4.015 20 0 DIADHN Cc1cc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)nn1C ZINC000486805395 337080521 /nfs/dbraw/zinc/08/05/21/337080521.db2.gz UTUWGPQBQIMPDP-UONOGXRCSA-N 0 3 305.853 4.006 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCc3cc(OC)ccc32)c1 ZINC000320959754 337082004 /nfs/dbraw/zinc/08/20/04/337082004.db2.gz GKWWOVKVMBYRPL-XOBRGWDASA-N 0 3 311.425 4.432 20 0 DIADHN CCn1cc([C@@H](C)NC2CC(c3cccc(Cl)c3)C2)cn1 ZINC000360062546 337084947 /nfs/dbraw/zinc/08/49/47/337084947.db2.gz WCYBNMMNDJQPMP-RFSCMCKOSA-N 0 3 303.837 4.153 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1nnc(-c2ccccc2)o1 ZINC000170986383 337085683 /nfs/dbraw/zinc/08/56/83/337085683.db2.gz HUIDBKLLIAXTPE-JKSUJKDBSA-N 0 3 313.445 4.431 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000194234387 337086803 /nfs/dbraw/zinc/08/68/03/337086803.db2.gz AIWFQNZQSHZARJ-KGLIPLIRSA-N 0 3 323.362 4.287 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2cccn2)cc1)c1ccccc1 ZINC000171975557 337090646 /nfs/dbraw/zinc/09/06/46/337090646.db2.gz YVMHADVCMFWTOV-HXUWFJFHSA-N 0 3 305.425 4.172 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)ccc1C ZINC000194270169 337091661 /nfs/dbraw/zinc/09/16/61/337091661.db2.gz VFXQKHJLZLAEBJ-AZUAARDMSA-N 0 3 311.425 4.014 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)NC(C)(C)CC)c1ccc(Cl)cc1 ZINC000218863885 337091683 /nfs/dbraw/zinc/09/16/83/337091683.db2.gz ZRDQIMUZVIDZBR-SWLSCSKDSA-N 0 3 310.869 4.074 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)N[C@@H](CO)CC(C)(C)C ZINC000393534092 337092982 /nfs/dbraw/zinc/09/29/82/337092982.db2.gz ZITAUYMWZVDKMK-DGCLKSJQSA-N 0 3 312.523 4.197 20 0 DIADHN CC(C)[C@H](CO)CN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000394035211 337096417 /nfs/dbraw/zinc/09/64/17/337096417.db2.gz LLLJAYGCJJJBBH-ZJUUUORDSA-N 0 3 308.224 4.048 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1cnc(-c2ccco2)s1 ZINC000582522308 337099756 /nfs/dbraw/zinc/09/97/56/337099756.db2.gz ULJBXJPQQAHBBB-NSHDSACASA-N 0 3 304.440 4.185 20 0 DIADHN Cc1cnc(C2CCN([C@@H](C)Cc3ccsc3)CC2)s1 ZINC000582583721 337109857 /nfs/dbraw/zinc/10/98/57/337109857.db2.gz IXLNLHSTSUBSQT-LBPRGKRZSA-N 0 3 306.500 4.324 20 0 DIADHN C[C@H](NCCCCc1ccc(F)c(F)c1)c1ccncc1F ZINC000582611951 337110810 /nfs/dbraw/zinc/11/08/10/337110810.db2.gz CEFSFQQSOLSZHE-LBPRGKRZSA-N 0 3 308.347 4.172 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000582741220 337128215 /nfs/dbraw/zinc/12/82/15/337128215.db2.gz YTYBAVNINGGDHM-SJORKVTESA-N 0 3 311.828 4.055 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2nc3ccccc3s2)C1 ZINC000173868889 337138677 /nfs/dbraw/zinc/13/86/77/337138677.db2.gz UJIIMRNTCIXMMJ-QWHCGFSZSA-N 0 3 306.500 4.402 20 0 DIADHN Cc1nc2ccccc2n1CCCNCc1sccc1Cl ZINC000486970686 337147113 /nfs/dbraw/zinc/14/71/13/337147113.db2.gz NNWYVVLEWRBWDP-UHFFFAOYSA-N 0 3 319.861 4.240 20 0 DIADHN Cc1cscc1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000175545766 337147961 /nfs/dbraw/zinc/14/79/61/337147961.db2.gz KDGOVNKKWVLGAJ-UHFFFAOYSA-N 0 3 314.454 4.295 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(OC3CCCC3)CC2)c1 ZINC000175615148 337148421 /nfs/dbraw/zinc/14/84/21/337148421.db2.gz ZGRWMISOCBXCEG-OAHLLOKOSA-N 0 3 303.446 4.180 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccccc1-n1cccn1 ZINC000177179199 337154469 /nfs/dbraw/zinc/15/44/69/337154469.db2.gz QRMBFCDDJMDKHW-LSDHHAIUSA-N 0 3 309.388 4.423 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1ccccc1-n1cccn1 ZINC000177210301 337154824 /nfs/dbraw/zinc/15/48/24/337154824.db2.gz OJKTUKVTJSIINC-RHSMWYFYSA-N 0 3 307.397 4.194 20 0 DIADHN C[C@@H](c1ccccn1)N(C)CCCc1cc(-c2ccccc2)n[nH]1 ZINC000177094728 337155320 /nfs/dbraw/zinc/15/53/20/337155320.db2.gz UTHQSINBCKDCCQ-INIZCTEOSA-N 0 3 320.440 4.097 20 0 DIADHN Cc1cccc(CN(CCCOC(C)C)Cc2ccccn2)c1 ZINC000177644823 337158222 /nfs/dbraw/zinc/15/82/22/337158222.db2.gz WWOZDRDCZSGQGR-UHFFFAOYSA-N 0 3 312.457 4.207 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H](C)c2ccsc2)n1 ZINC000178480103 337163100 /nfs/dbraw/zinc/16/31/00/337163100.db2.gz OREKPABWRRNLIH-HNNXBMFYSA-N 0 3 311.454 4.401 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1ccc(-n2cncn2)cc1 ZINC000178495145 337163149 /nfs/dbraw/zinc/16/31/49/337163149.db2.gz WVMFPYCXPVJHNC-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN CC(C)c1noc(CCCN[C@@H](C)c2ccc3ccccc3n2)n1 ZINC000178517485 337163560 /nfs/dbraw/zinc/16/35/60/337163560.db2.gz MHORGLXUWWIGKA-AWEZNQCLSA-N 0 3 324.428 4.025 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCSCc2ccccc2F)s1 ZINC000178319721 337163821 /nfs/dbraw/zinc/16/38/21/337163821.db2.gz SWLMHQNISRYLLU-NSHDSACASA-N 0 3 324.490 4.483 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H](C)c1csc(Cl)c1 ZINC000469240881 337165566 /nfs/dbraw/zinc/16/55/66/337165566.db2.gz VLONXIWZNZYYTR-WFASDCNBSA-N 0 3 309.862 4.310 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1cccs1 ZINC000178709708 337166172 /nfs/dbraw/zinc/16/61/72/337166172.db2.gz AYIXMURMAGTNSD-LCMPSIMXSA-N 0 3 307.484 4.287 20 0 DIADHN N[C@H](CC(=O)N[C@@H](c1ccccc1)C1CCCC1)c1ccccc1 ZINC000178811013 337167084 /nfs/dbraw/zinc/16/70/84/337167084.db2.gz MYAVNJLZRVMYTQ-CTNGQTDRSA-N 0 3 322.452 4.124 20 0 DIADHN COCc1cccc(CN2CCC[C@H]2CC(=O)c2ccccc2)c1 ZINC000179238774 337168436 /nfs/dbraw/zinc/16/84/36/337168436.db2.gz PDPZSFMHHQRCJN-FQEVSTJZSA-N 0 3 323.436 4.070 20 0 DIADHN COC1(CN[C@@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000180199462 337175187 /nfs/dbraw/zinc/17/51/87/337175187.db2.gz NOQOMFGIRYBDBY-ZDUSSCGKSA-N 0 3 302.443 4.030 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1cccc(OC(C)C)c1 ZINC000180511875 337176022 /nfs/dbraw/zinc/17/60/22/337176022.db2.gz YBEVMQBIRFWINI-SFHVURJKSA-N 0 3 313.445 4.151 20 0 DIADHN CC[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccccc1F ZINC000180548726 337176263 /nfs/dbraw/zinc/17/62/63/337176263.db2.gz FPRMJQUGQJSDST-IBGZPJMESA-N 0 3 318.411 4.267 20 0 DIADHN COC(=O)CC[C@H](N[C@H](C)c1ccc(C)s1)c1ccccc1 ZINC000180670967 337177169 /nfs/dbraw/zinc/17/71/69/337177169.db2.gz DOYGWKOKGMFPBA-ZBFHGGJFSA-N 0 3 317.454 4.402 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1ccccc1F ZINC000181022014 337179337 /nfs/dbraw/zinc/17/93/37/337179337.db2.gz XSZDLCDAYIIKTQ-IFXJQAMLSA-N 0 3 324.403 4.208 20 0 DIADHN Fc1ccc(COC2CCN(Cc3cccs3)CC2)cc1 ZINC000181531789 337182909 /nfs/dbraw/zinc/18/29/09/337182909.db2.gz YSMLOGBRASZHHF-UHFFFAOYSA-N 0 3 305.418 4.068 20 0 DIADHN Cc1csc([C@H](NCCSc2ccccc2)C2CC2)n1 ZINC000181609409 337183224 /nfs/dbraw/zinc/18/32/24/337183224.db2.gz VFRSFRLTWUCKPQ-OAHLLOKOSA-N 0 3 304.484 4.285 20 0 DIADHN C[C@H](NCC1(C2CC2)CCC1)c1ncc(Br)s1 ZINC000181617488 337183348 /nfs/dbraw/zinc/18/33/48/337183348.db2.gz XFWATYWVSNFUAG-VIFPVBQESA-N 0 3 315.280 4.137 20 0 DIADHN CC[C@H](NC[C@H](C)c1c(C)noc1C)c1ccccc1OC ZINC000181629570 337183386 /nfs/dbraw/zinc/18/33/86/337183386.db2.gz XJDLLISGFIFCQD-LRDDRELGSA-N 0 3 302.418 4.144 20 0 DIADHN Cc1cncc(CN[C@H](C)[C@H](C)c2nc3ccccc3s2)c1 ZINC000181834485 337184804 /nfs/dbraw/zinc/18/48/04/337184804.db2.gz NFDUUNBIZDKEAH-UONOGXRCSA-N 0 3 311.454 4.282 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2cccc(-c3ccncc3)c2)c1 ZINC000181839391 337184961 /nfs/dbraw/zinc/18/49/61/337184961.db2.gz AFCHAQIBWWCTKV-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc(F)c(Cl)c2)cc1 ZINC000182046735 337185810 /nfs/dbraw/zinc/18/58/10/337185810.db2.gz SKKRHYYQJNCTII-NSHDSACASA-N 0 3 320.795 4.288 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1cccc(-c2cccnc2)c1 ZINC000183711278 337193091 /nfs/dbraw/zinc/19/30/91/337193091.db2.gz MJMLIEBGGIVATD-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000183999063 337194453 /nfs/dbraw/zinc/19/44/53/337194453.db2.gz NAZDMWWFOXUWOD-KBPBESRZSA-N 0 3 308.491 4.022 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2cccc3c2OC(C)(C)C3)s1 ZINC000184346267 337198084 /nfs/dbraw/zinc/19/80/84/337198084.db2.gz XRYIKCLOZYDTGX-LBPRGKRZSA-N 0 3 316.470 4.058 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2cncc(C)c2)cc1 ZINC000184384571 337198118 /nfs/dbraw/zinc/19/81/18/337198118.db2.gz BGXDEQHZKYDVPZ-HZPDHXFCSA-N 0 3 320.440 4.296 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2[nH]ccc2c1 ZINC000582856288 337211330 /nfs/dbraw/zinc/21/13/30/337211330.db2.gz NCYMNBYUWDZDKQ-SFHVURJKSA-N 0 3 310.372 4.093 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCCc2cc(C)no2)cc1 ZINC000582921013 337217877 /nfs/dbraw/zinc/21/78/77/337217877.db2.gz GUKVDBHCTJQNFH-MRXNPFEDSA-N 0 3 316.445 4.445 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1CC(C)=C[C@H](C)C1 ZINC000582995325 337223253 /nfs/dbraw/zinc/22/32/53/337223253.db2.gz DHPSNSNEVLVNQJ-KBXCAEBGSA-N 0 3 314.473 4.166 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C(C)(C)CO)cc1C ZINC000583053196 337230291 /nfs/dbraw/zinc/23/02/91/337230291.db2.gz STABQHLLEVXNCV-QGZVFWFLSA-N 0 3 303.471 4.214 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1c(F)cccc1Cl ZINC000583053926 337230338 /nfs/dbraw/zinc/23/03/38/337230338.db2.gz ADNMVUKEEQPFKW-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN Cc1ccc(CN2CCC(c3c[nH]c4ccc(F)cc43)CC2)cn1 ZINC000583110937 337238297 /nfs/dbraw/zinc/23/82/97/337238297.db2.gz AQVYKPGPQDUSTM-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N[C@H](c1ccccc1)C1CC1 ZINC000012677566 337257831 /nfs/dbraw/zinc/25/78/31/337257831.db2.gz DSYUTLSQBDXENE-OXQOHEQNSA-N 0 3 322.452 4.371 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000012676372 337257883 /nfs/dbraw/zinc/25/78/83/337257883.db2.gz DGEMEEQHVPSEHB-JXFKEZNVSA-N 0 3 322.452 4.371 20 0 DIADHN C[C@@H](NCc1coc(-c2cccs2)n1)C1CCSCC1 ZINC000187066960 337261536 /nfs/dbraw/zinc/26/15/36/337261536.db2.gz JPCKBSHLYGZNFU-LLVKDONJSA-N 0 3 308.472 4.024 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@H]12)c1cc(Cl)sc1Cl ZINC000187278785 337264702 /nfs/dbraw/zinc/26/47/02/337264702.db2.gz HYLSPUPASLEBOO-SCVMZPAESA-N 0 3 306.258 4.273 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Br)cc2)c(C)n1 ZINC000187421693 337265876 /nfs/dbraw/zinc/26/58/76/337265876.db2.gz XMHPZLHQJLHRTJ-GFCCVEGCSA-N 0 3 319.246 4.312 20 0 DIADHN c1cnn(-c2ccccc2CNCc2ccc(C3CC3)cc2)c1 ZINC000321478436 337270619 /nfs/dbraw/zinc/27/06/19/337270619.db2.gz GFUNMZWINRTDIT-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN C[C@H](NCc1ccc(F)nc1)c1ccc(-c2cccnc2)cc1 ZINC000189137209 337277043 /nfs/dbraw/zinc/27/70/43/337277043.db2.gz ULRCBZGTWYTPJU-AWEZNQCLSA-N 0 3 307.372 4.134 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@@H]1CCC[C@@H](C)C1 ZINC000321568002 337277453 /nfs/dbraw/zinc/27/74/53/337277453.db2.gz HGKRUWXGCLBJGD-CABCVRRESA-N 0 3 321.461 4.018 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCOc3c(C)cccc31)CC2 ZINC000189379774 337279056 /nfs/dbraw/zinc/27/90/56/337279056.db2.gz KDAACMDBRDPZJU-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2C[C@H](C)c3ccccc32)cn1 ZINC000583227168 337280051 /nfs/dbraw/zinc/28/00/51/337280051.db2.gz WVGZBSCFJJVAJV-KXBFYZLASA-N 0 3 309.457 4.266 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H]2C[C@H](C)c3ccccc32)cn1 ZINC000583227167 337280104 /nfs/dbraw/zinc/28/01/04/337280104.db2.gz WVGZBSCFJJVAJV-HNAYVOBHSA-N 0 3 309.457 4.266 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)o1 ZINC000583232785 337281271 /nfs/dbraw/zinc/28/12/71/337281271.db2.gz BZFPKRGWHNUVOX-WLWJZTKJSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1cc([C@H](C)NCc2cccc(N(C)C)c2)cc(C)c1F ZINC000514149017 337290569 /nfs/dbraw/zinc/29/05/69/337290569.db2.gz JCMLDWQBABWZQV-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(N(C)C)c2)cc(C)c1F ZINC000514149018 337290736 /nfs/dbraw/zinc/29/07/36/337290736.db2.gz JCMLDWQBABWZQV-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC[C@@H](c1ccco1)N1CCCC1)CC2 ZINC000321779988 337290969 /nfs/dbraw/zinc/29/09/69/337290969.db2.gz RIPYCTDDANWYPO-MOPGFXCFSA-N 0 3 310.441 4.002 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000321793721 337291068 /nfs/dbraw/zinc/29/10/68/337291068.db2.gz VSVVBWFINPAVEO-HZPDHXFCSA-N 0 3 311.425 4.486 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@H]2NCC[C@H](C)c1ccccc1 ZINC000191109056 337294159 /nfs/dbraw/zinc/29/41/59/337294159.db2.gz ILSROHNAAMEGHC-DOTOQJQBSA-N 0 3 312.461 4.020 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nnc(C2CC2)n1C1CC1 ZINC000191348038 337296121 /nfs/dbraw/zinc/29/61/21/337296121.db2.gz UASRPGAZFXTXPZ-WMLDXEAASA-N 0 3 316.493 4.185 20 0 DIADHN Brc1cncc(CNCc2ccc(C3CC3)cc2)c1 ZINC000191682200 337299220 /nfs/dbraw/zinc/29/92/20/337299220.db2.gz XMXMKMQZFOWIFO-UHFFFAOYSA-N 0 3 317.230 4.011 20 0 DIADHN c1cn2c(CNCc3ccc(C4CC4)cc3)c(C3CC3)nc2s1 ZINC000191682645 337300416 /nfs/dbraw/zinc/30/04/16/337300416.db2.gz RAWFHEBNVCCEIQ-UHFFFAOYSA-N 0 3 323.465 4.440 20 0 DIADHN CSC[C@H](C)NCc1cc(Br)c(Cl)s1 ZINC000191696289 337300628 /nfs/dbraw/zinc/30/06/28/337300628.db2.gz HCWCWTOYABTSTJ-LURJTMIESA-N 0 3 314.701 4.005 20 0 DIADHN COc1ccc(C)cc1N[C@H]1CCN(C)[C@H](c2ccccc2)C1 ZINC000583277107 337301071 /nfs/dbraw/zinc/30/10/71/337301071.db2.gz GJZPINQMPQKEIT-HKUYNNGSSA-N 0 3 310.441 4.251 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cc(F)cc2cccnc21 ZINC000192359246 337304659 /nfs/dbraw/zinc/30/46/59/337304659.db2.gz AQTGGQIHKVLPML-SFHVURJKSA-N 0 3 322.383 4.247 20 0 DIADHN CO[C@@H]1CCCN(C/C(C)=C/c2cccc(C(F)(F)F)c2)C1 ZINC000583289754 337307032 /nfs/dbraw/zinc/30/70/32/337307032.db2.gz QHAQVFDNDVSTEX-LRFDDAOPSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](CNCc1ncccc1F)c1ccc(C(F)(F)F)cc1 ZINC000583289769 337307050 /nfs/dbraw/zinc/30/70/50/337307050.db2.gz RBNVUTILPJMUTH-NSHDSACASA-N 0 3 312.310 4.133 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)N(C(C)C)C(C)C)c(C)s1 ZINC000193134884 337309780 /nfs/dbraw/zinc/30/97/80/337309780.db2.gz ZWPQEWALCLSXDE-KBPBESRZSA-N 0 3 310.507 4.049 20 0 DIADHN Clc1ccsc1CNC1CCN(c2cccs2)CC1 ZINC000193146524 337309923 /nfs/dbraw/zinc/30/99/23/337309923.db2.gz ZATSTTKKRDQGKA-UHFFFAOYSA-N 0 3 312.891 4.222 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2Cc2ccc(Cl)cc2)no1 ZINC000584391274 337310531 /nfs/dbraw/zinc/31/05/31/337310531.db2.gz MUYFVLBPPDIJBZ-WMLDXEAASA-N 0 3 304.821 4.137 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)no1 ZINC000584391273 337310601 /nfs/dbraw/zinc/31/06/01/337310601.db2.gz MUYFVLBPPDIJBZ-RHSMWYFYSA-N 0 3 304.821 4.137 20 0 DIADHN CC[C@@H](O)CCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000398460646 337311451 /nfs/dbraw/zinc/31/14/51/337311451.db2.gz IXROGKCIBXXHRS-MOPGFXCFSA-N 0 3 301.405 4.056 20 0 DIADHN CC(C)=CCOc1ccc(CC[C@@H](C)NCc2ccno2)cc1 ZINC000584412174 337321500 /nfs/dbraw/zinc/32/15/00/337321500.db2.gz WHXORRRYUAPUTO-MRXNPFEDSA-N 0 3 314.429 4.131 20 0 DIADHN Fc1cccc(Oc2ncccc2CN[C@@H]2CC[C@H]2C2CC2)c1 ZINC000584476152 337346378 /nfs/dbraw/zinc/34/63/78/337346378.db2.gz PPVFBUKJYCSQCV-ZWKOTPCHSA-N 0 3 312.388 4.291 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccc(F)cc2F)C(C)(C)C)n1 ZINC000584486371 337349788 /nfs/dbraw/zinc/34/97/88/337349788.db2.gz ASRCSUWRXYUOJS-QGZVFWFLSA-N 0 3 321.415 4.155 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ncc(-c2ccc(F)cc2)o1 ZINC000219702583 337351238 /nfs/dbraw/zinc/35/12/38/337351238.db2.gz CDFFBLNXMVPCFD-CZUORRHYSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1ncc(-c2ccc(F)cc2)o1 ZINC000219702667 337351308 /nfs/dbraw/zinc/35/13/08/337351308.db2.gz CDFFBLNXMVPCFD-XJKSGUPXSA-N 0 3 302.393 4.491 20 0 DIADHN CCOCC(C)(C)CN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000584553524 337360597 /nfs/dbraw/zinc/36/05/97/337360597.db2.gz VHVIFRIKWHSDPE-ZDUSSCGKSA-N 0 3 315.404 4.310 20 0 DIADHN Cc1cc([C@H](C)NC[C@]2(C)OCCc3sccc32)oc1C ZINC000584559419 337361278 /nfs/dbraw/zinc/36/12/78/337361278.db2.gz PMBYEKSVXHQWJO-SJCJKPOMSA-N 0 3 305.443 4.097 20 0 DIADHN C[C@H](CN1CCC[C@H]1c1ccccn1)c1cc(F)cc(F)c1 ZINC000584570359 337363675 /nfs/dbraw/zinc/36/36/75/337363675.db2.gz AVGQCHZWVZWHNH-ACJLOTCBSA-N 0 3 302.368 4.300 20 0 DIADHN CCCOc1ccc(CN2CC3(CCC3)[C@H]2[C@H]2CCCO2)cc1 ZINC000584583353 337368384 /nfs/dbraw/zinc/36/83/84/337368384.db2.gz QWAJHTFFVZBKNU-RTBURBONSA-N 0 3 315.457 4.009 20 0 DIADHN CCCOc1ccc(CN2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)cc1 ZINC000584583351 337368397 /nfs/dbraw/zinc/36/83/97/337368397.db2.gz QWAJHTFFVZBKNU-OALUTQOASA-N 0 3 315.457 4.009 20 0 DIADHN C[C@@H](Nc1cccc(C(F)(F)F)n1)[C@@H](c1ccccc1)N(C)C ZINC000583904593 337383821 /nfs/dbraw/zinc/38/38/21/337383821.db2.gz CWPCCGJYTMXMGC-WBMJQRKESA-N 0 3 323.362 4.204 20 0 DIADHN C[C@H](CN1CCC(c2cccc(F)c2F)CC1)C(F)(F)F ZINC000583906414 337383911 /nfs/dbraw/zinc/38/39/11/337383911.db2.gz MTRYNOMTZHWFSI-SNVBAGLBSA-N 0 3 307.306 4.343 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC(F)(c2cccnc2)CC1 ZINC000583887553 337384219 /nfs/dbraw/zinc/38/42/19/337384219.db2.gz YEGSNHUSOXLIDS-AWEZNQCLSA-N 0 3 302.368 4.243 20 0 DIADHN COC(=O)c1coc([C@@H](C)N[C@@H]2CCC[C@H]2c2ccccc2)c1 ZINC000520778852 337390328 /nfs/dbraw/zinc/39/03/28/337390328.db2.gz WKMGLCZNTFUNSC-XOKHGSTOSA-N 0 3 313.397 4.053 20 0 DIADHN COc1ccc(CN2CCC[C@H]2Cc2cccc(F)c2)cc1F ZINC000520785240 337391093 /nfs/dbraw/zinc/39/10/93/337391093.db2.gz DZKRNIVWHDJHCA-KRWDZBQOSA-N 0 3 317.379 4.181 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCCOc1cccc(Cl)c1 ZINC000532925385 337392982 /nfs/dbraw/zinc/39/29/82/337392982.db2.gz YBULSWOLQMZRPT-CQSZACIVSA-N 0 3 304.821 4.197 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC[C@@H]2Oc2cccc(C)c2)nc1 ZINC000532931701 337393685 /nfs/dbraw/zinc/39/36/85/337393685.db2.gz UDCJARDIIDPKOW-PMACEKPBSA-N 0 3 310.441 4.178 20 0 DIADHN C[C@H](NCCOc1ccc(F)cc1)c1cnc2ccsc2c1 ZINC000536804892 337394611 /nfs/dbraw/zinc/39/46/11/337394611.db2.gz FGAYNFSHHZZLMA-LBPRGKRZSA-N 0 3 316.401 4.165 20 0 DIADHN CCC[C@H](NCc1ccc(NCCOC)cc1)c1ccccc1 ZINC000126976625 337418558 /nfs/dbraw/zinc/41/85/58/337418558.db2.gz ZHSZAPRZMFKMDN-FQEVSTJZSA-N 0 3 312.457 4.376 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H]1CCCc2ccc(C(C)C)cc21 ZINC000127228485 337419804 /nfs/dbraw/zinc/41/98/04/337419804.db2.gz XJQNJOYDIGULTC-FQEVSTJZSA-N 0 3 311.473 4.328 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2cnc3ccsc3c2)s1 ZINC000130338922 337432829 /nfs/dbraw/zinc/43/28/29/337432829.db2.gz NMCQIMYGGCAMRC-NSHDSACASA-N 0 3 317.483 4.209 20 0 DIADHN CC[C@H](NC[C@H](C)SC)c1ccccc1Br ZINC000131276423 337437079 /nfs/dbraw/zinc/43/70/79/337437079.db2.gz GJMVJLZYTBUXHP-GWCFXTLKSA-N 0 3 302.281 4.241 20 0 DIADHN Cc1csc([C@H](C)NC2CCC(C(=O)OC(C)(C)C)CC2)n1 ZINC000131367546 337437460 /nfs/dbraw/zinc/43/74/60/337437460.db2.gz CZSUCZDOLDXAAO-HSBZDZAISA-N 0 3 324.490 4.003 20 0 DIADHN CC[C@@H](NCc1ccc(OCC2CC2)nc1)c1ccc(F)cc1 ZINC000131398170 337437620 /nfs/dbraw/zinc/43/76/20/337437620.db2.gz ITSPSIQEVYTKTJ-GOSISDBHSA-N 0 3 314.404 4.250 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@H](NCCc1cscn1)CCCO2 ZINC000131747205 337438773 /nfs/dbraw/zinc/43/87/73/337438773.db2.gz GBBVIVNTNJOCLW-OAHLLOKOSA-N 0 3 322.861 4.151 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1cccc(-c2ccncc2)c1 ZINC000132493531 337442346 /nfs/dbraw/zinc/44/23/46/337442346.db2.gz UBNZVNLCPFSYKC-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133832528 337446829 /nfs/dbraw/zinc/44/68/29/337446829.db2.gz WSJKWQZKGYGEHC-ABAIWWIYSA-N 0 3 305.491 4.463 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(OC2CCCC2)c(OC)c1)OC ZINC000419233186 337477397 /nfs/dbraw/zinc/47/73/97/337477397.db2.gz VPUZKIZLRBJDBF-GOEBONIOSA-N 0 3 321.461 4.092 20 0 DIADHN CC[C@@H](CN[C@H](Cc1ccc(Cl)cc1)c1ccccn1)OC ZINC000419252911 337478145 /nfs/dbraw/zinc/47/81/45/337478145.db2.gz TVGJRZTWZAWCGM-FUHWJXTLSA-N 0 3 318.848 4.033 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC(OC3CCC3)CC1)CC2 ZINC000419296661 337478631 /nfs/dbraw/zinc/47/86/31/337478631.db2.gz DTVNMFJLBBCHDR-SFHVURJKSA-N 0 3 305.849 4.361 20 0 DIADHN Cc1cccc(OCCN[C@@H](CC(F)F)c2ccccc2)c1 ZINC000420983056 337517489 /nfs/dbraw/zinc/51/74/89/337517489.db2.gz BELUBAQJYUWMMB-KRWDZBQOSA-N 0 3 305.368 4.360 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CN[C@H](CC(F)F)c1ccccc1 ZINC000421000007 337518387 /nfs/dbraw/zinc/51/83/87/337518387.db2.gz VQGDIKRHRXYGHM-ZTFGCOKTSA-N 0 3 319.395 4.137 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(Br)cc2)cc(C)n1 ZINC000421202454 337523695 /nfs/dbraw/zinc/52/36/95/337523695.db2.gz OQJVFJRSYLVUPA-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CCC[C@H](NC1CC2(C1)CCCCC2)c1nnc2ccccn21 ZINC000421342198 337527543 /nfs/dbraw/zinc/52/75/43/337527543.db2.gz NFKKHLCKUNEYQN-INIZCTEOSA-N 0 3 312.461 4.273 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H](CC(F)F)c1ccccc1 ZINC000421374030 337528371 /nfs/dbraw/zinc/52/83/71/337528371.db2.gz OPWWQUHYINEFPX-QZTJIDSGSA-N 0 3 319.395 4.230 20 0 DIADHN CC[C@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000421379092 337528991 /nfs/dbraw/zinc/52/89/91/337528991.db2.gz IPOSGXKCQTUWOX-MNOVXSKESA-N 0 3 306.208 4.180 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000421385829 337529907 /nfs/dbraw/zinc/52/99/07/337529907.db2.gz NXVOQOPSVKXOAP-LSDHHAIUSA-N 0 3 301.386 4.119 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421432099 337534122 /nfs/dbraw/zinc/53/41/22/337534122.db2.gz QFKWHWZYEQJQTQ-KSZLIROESA-N 0 3 312.457 4.047 20 0 DIADHN Fc1ccc(CN[C@@H]2CCC[C@@H]3OCC[C@@H]32)c(Cl)c1Cl ZINC000432252211 337540806 /nfs/dbraw/zinc/54/08/06/337540806.db2.gz FAJZUNFHCKMDGK-RTXFEEFZSA-N 0 3 318.219 4.180 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1cccs1 ZINC000246817039 337555446 /nfs/dbraw/zinc/55/54/46/337555446.db2.gz AYIXMURMAGTNSD-NRKGENKXSA-N 0 3 307.484 4.287 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2CCO[C@H]2c2ccccc2)s1 ZINC000247080099 337557606 /nfs/dbraw/zinc/55/76/06/337557606.db2.gz NMZUBHZRIAFTTG-MJEQTWJJSA-N 0 3 316.470 4.195 20 0 DIADHN C[C@@H](NC[C@@H]1COc2ccccc21)c1ccc(F)cc1Cl ZINC000571717943 337572500 /nfs/dbraw/zinc/57/25/00/337572500.db2.gz AYTIHSGBVKXTTA-VXGBXAGGSA-N 0 3 305.780 4.306 20 0 DIADHN CCn1c2ccccc2nc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000571786635 337576802 /nfs/dbraw/zinc/57/68/02/337576802.db2.gz VQXQBAWZQVNWGC-QAQDUYKDSA-N 0 3 305.425 4.092 20 0 DIADHN CCC(O)(CC)C(C)(C)CNCc1nc2ccc(F)cc2s1 ZINC000571939105 337583431 /nfs/dbraw/zinc/58/34/31/337583431.db2.gz JGHOBOZNHWGGPW-UHFFFAOYSA-N 0 3 324.465 4.102 20 0 DIADHN c1ccc(OCCCCCNCc2noc3ccccc23)cc1 ZINC000571940395 337583602 /nfs/dbraw/zinc/58/36/02/337583602.db2.gz WOLVUSRPABFZKI-UHFFFAOYSA-N 0 3 310.397 4.167 20 0 DIADHN COc1cc(F)c(CN[C@@H](C)CCCC(F)(F)F)cc1OC ZINC000572125636 337591812 /nfs/dbraw/zinc/59/18/12/337591812.db2.gz CXIQXSFLQJYVIK-JTQLQIEISA-N 0 3 323.330 4.054 20 0 DIADHN CCn1c2ccccc2nc1CN[C@H]1C[C@H](c2ccccc2F)C1 ZINC000572198934 337596413 /nfs/dbraw/zinc/59/64/13/337596413.db2.gz ULZWFQHIQDEQTL-SHTZXODSSA-N 0 3 323.415 4.231 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CCCc2c3ccccc3[nH]c21 ZINC000572201016 337596593 /nfs/dbraw/zinc/59/65/93/337596593.db2.gz QEGHHZMXIIPOBL-PMACEKPBSA-N 0 3 320.436 4.177 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)[C@H](C)[C@H](N)c1ccccc1 ZINC000261537593 337604851 /nfs/dbraw/zinc/60/48/51/337604851.db2.gz QHYZMMMLXQDVRQ-QKLQHJQFSA-N 0 3 324.468 4.150 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)Nc1ccc2c(c1F)CCNC2 ZINC000261697144 337608756 /nfs/dbraw/zinc/60/87/56/337608756.db2.gz JCJQOLFANWFWSW-CYBMUJFWSA-N 0 3 318.436 4.016 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCC(C3CCOCC3)CC1)CC2 ZINC000572350977 337612706 /nfs/dbraw/zinc/61/27/06/337612706.db2.gz BTLKMWHOUZXPPR-LJQANCHMSA-N 0 3 319.876 4.466 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C(F)(F)F)C1)c1ccccc1Cl ZINC000286852230 337616229 /nfs/dbraw/zinc/61/62/29/337616229.db2.gz FVSMWZSVRLWGLV-CWSCBRNRSA-N 0 3 307.743 4.101 20 0 DIADHN CN[C@H](CC(C)(C)C)C(=O)Nc1cccc(NC2CCCC2)c1 ZINC000572360694 337616506 /nfs/dbraw/zinc/61/65/06/337616506.db2.gz FGLGTCDBOLHHLX-QGZVFWFLSA-N 0 3 317.477 4.004 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC1CCN(c2ccccc2)CC1 ZINC000162382588 337618011 /nfs/dbraw/zinc/61/80/11/337618011.db2.gz ZSSUDNBLNSBPGE-QGZVFWFLSA-N 0 3 324.468 4.323 20 0 DIADHN C[C@H](CN[C@H](C)c1ccncc1Cl)COCc1ccccc1 ZINC000421823919 337646275 /nfs/dbraw/zinc/64/62/75/337646275.db2.gz FZELBLFUUYTWMB-HUUCEWRRSA-N 0 3 318.848 4.239 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)C1CC1)c1ccncc1Cl ZINC000421829836 337647180 /nfs/dbraw/zinc/64/71/80/337647180.db2.gz ALGSDOMPGMBAHX-UGSOOPFHSA-N 0 3 300.833 4.407 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3ccncc3Cl)nc21 ZINC000421829525 337647293 /nfs/dbraw/zinc/64/72/93/337647293.db2.gz IHEXHTJSADQJGD-GFCCVEGCSA-N 0 3 315.804 4.078 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)NCCCc1ccccn1 ZINC000421830622 337647450 /nfs/dbraw/zinc/64/74/50/337647450.db2.gz BTEYKUPAOIPFKI-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN CC[C@H](NCc1cc(C)nc2ccccc12)c1c(C)nn(C)c1C ZINC000162612721 337649415 /nfs/dbraw/zinc/64/94/15/337649415.db2.gz QQONCFMRSSXRBY-SFHVURJKSA-N 0 3 322.456 4.134 20 0 DIADHN C[C@H](CCOCc1ccccc1)N[C@H](C)c1ccncc1Cl ZINC000421854438 337650259 /nfs/dbraw/zinc/65/02/59/337650259.db2.gz LKIXKZKNGDUPFO-HUUCEWRRSA-N 0 3 318.848 4.381 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1ccncc1Cl)c1cccnc1 ZINC000421833225 337651687 /nfs/dbraw/zinc/65/16/87/337651687.db2.gz NKXGSSUGSXPDPC-BBRMVZONSA-N 0 3 303.837 4.220 20 0 DIADHN C[C@@H](NCC(C)(C)Oc1ccccc1)c1ccncc1Cl ZINC000421837813 337652620 /nfs/dbraw/zinc/65/26/20/337652620.db2.gz TYIMXPNBMWGPFN-CYBMUJFWSA-N 0 3 304.821 4.243 20 0 DIADHN C[C@@H](NCCCNc1ccccc1F)c1ccncc1Cl ZINC000421841265 337653427 /nfs/dbraw/zinc/65/34/27/337653427.db2.gz KBTJOPGPWRSWOB-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1Cl)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000421842856 337653643 /nfs/dbraw/zinc/65/36/43/337653643.db2.gz LSBFCMMJNGGPKE-ZVZLLHMNSA-N 0 3 316.832 4.339 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H]1CCOC2(CCC2)C1 ZINC000421844444 337653944 /nfs/dbraw/zinc/65/39/44/337653944.db2.gz OIOZPUZHRJHEIK-GXTWGEPZSA-N 0 3 309.837 4.101 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccncc1Cl ZINC000421845809 337654195 /nfs/dbraw/zinc/65/41/95/337654195.db2.gz XWHUYENTCIQISZ-UONOGXRCSA-N 0 3 308.853 4.268 20 0 DIADHN COc1cc(Cl)ccc1[C@@H](C)NCCc1nc(C)c(C)s1 ZINC000421846906 337654342 /nfs/dbraw/zinc/65/43/42/337654342.db2.gz VDXBJKPOGXSACI-LLVKDONJSA-N 0 3 324.877 4.315 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccncc1Cl ZINC000421865140 337656544 /nfs/dbraw/zinc/65/65/44/337656544.db2.gz ZDBZGNSFROHHRF-LALPHHSUSA-N 0 3 322.861 4.367 20 0 DIADHN CCc1noc(C)c1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000162676682 337659068 /nfs/dbraw/zinc/65/90/68/337659068.db2.gz KRPOCJDWXDGUTG-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H]2Cc3[nH]c4ccccc4c3C2)o1 ZINC000574989930 337669361 /nfs/dbraw/zinc/66/93/61/337669361.db2.gz XEXGWJZRTXHJCD-IOASZLSFSA-N 0 3 306.409 4.141 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCC(=O)Nc1c(C)cc(C)cc1Cl ZINC000429760921 337682507 /nfs/dbraw/zinc/68/25/07/337682507.db2.gz CLDSDRXWJIRFRU-UKRRQHHQSA-N 0 3 308.853 4.064 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)NCc1ccc(Cl)cc1Cl ZINC000422732953 337684523 /nfs/dbraw/zinc/68/45/23/337684523.db2.gz PYCFHAUHZKEEFM-JTQLQIEISA-N 0 3 324.255 4.006 20 0 DIADHN CNCc1ccccc1NC(=O)[C@@H](C)CCCc1ccccc1 ZINC000422742316 337686515 /nfs/dbraw/zinc/68/65/15/337686515.db2.gz RJYNPGVWCPNGSL-INIZCTEOSA-N 0 3 310.441 4.004 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)C(C)(C)[C@H](N)c1ccccc1 ZINC000422913336 337691141 /nfs/dbraw/zinc/69/11/41/337691141.db2.gz PQAATPMPSNXNPE-MRXNPFEDSA-N 0 3 316.832 4.313 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C)c1cnccc1N ZINC000422924293 337691749 /nfs/dbraw/zinc/69/17/49/337691749.db2.gz UDNMBDQTCHEZMV-NNDWQROWSA-N 0 3 315.848 4.195 20 0 DIADHN Cc1ccc(Cl)c(NC(=O)C(C)(C)[C@H](N)c2ccccc2)c1 ZINC000422984493 337693681 /nfs/dbraw/zinc/69/36/81/337693681.db2.gz YACCVCRQECTVRR-MRXNPFEDSA-N 0 3 316.832 4.313 20 0 DIADHN Cc1cccc([C@@H](C)NC(=O)C(C)(C)[C@@H](N)c2ccccc2)c1C ZINC000423209749 337704176 /nfs/dbraw/zinc/70/41/76/337704176.db2.gz VLWWWKMXFDJIAO-APWZRJJASA-N 0 3 324.468 4.207 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@H]1CCCc2ccc(F)cc21 ZINC000423357015 337714163 /nfs/dbraw/zinc/71/41/63/337714163.db2.gz RPYNPDQPNNMAIM-UXHICEINSA-N 0 3 310.416 4.453 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)ccc1F ZINC000423364953 337714648 /nfs/dbraw/zinc/71/46/48/337714648.db2.gz ZKBXVGOFGXBXSA-KZULUSFZSA-N 0 3 314.404 4.145 20 0 DIADHN C[C@@H](NCc1cccc2c1CCCN2)c1cc(F)cc(F)c1 ZINC000423417389 337716622 /nfs/dbraw/zinc/71/66/22/337716622.db2.gz SGUDBKUFCLZPPW-GFCCVEGCSA-N 0 3 302.368 4.174 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1cc(F)c(F)c(F)c1 ZINC000423416263 337716624 /nfs/dbraw/zinc/71/66/24/337716624.db2.gz QGXGBQOGWNERRJ-NSHDSACASA-N 0 3 320.358 4.313 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc3c1CCCN3)CCC2 ZINC000423420352 337716810 /nfs/dbraw/zinc/71/68/10/337716810.db2.gz WTPYDWHIZDXQSW-FQEVSTJZSA-N 0 3 310.416 4.351 20 0 DIADHN CC1(C)C[C@@H](N[C@@H]2CCc3ccccc32)c2cc(N)ccc2O1 ZINC000423426973 337717101 /nfs/dbraw/zinc/71/71/01/337717101.db2.gz PZYOKAYITAZUGC-QZTJIDSGSA-N 0 3 308.425 4.148 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1N[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423431888 337718688 /nfs/dbraw/zinc/71/86/88/337718688.db2.gz IWXDCUGRSPWDAS-MJWYBRSISA-N 0 3 322.452 4.394 20 0 DIADHN CC(C)[C@H](NCc1ccc2c(c1)CCCN2)c1ccc(F)cn1 ZINC000423436708 337719128 /nfs/dbraw/zinc/71/91/28/337719128.db2.gz FRUUUECYWJNDDO-IBGZPJMESA-N 0 3 313.420 4.066 20 0 DIADHN Fc1ccc2c(c1)CCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423444353 337719461 /nfs/dbraw/zinc/71/94/61/337719461.db2.gz LSFOWYRQLQWZPS-FQEVSTJZSA-N 0 3 310.416 4.351 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCN2)c1cnn(C2CCCC2)c1 ZINC000423454472 337720278 /nfs/dbraw/zinc/72/02/78/337720278.db2.gz ZCXNAJYYUFTSGQ-OAHLLOKOSA-N 0 3 324.472 4.207 20 0 DIADHN C[C@H](C(=O)N1CCCC[C@@H]1c1ccccc1)[C@H](N)c1ccccc1 ZINC000423718534 337726889 /nfs/dbraw/zinc/72/68/89/337726889.db2.gz CUVMPCVLTVCQIS-DBVUQKKJSA-N 0 3 322.452 4.076 20 0 DIADHN C[C@@H](C(=O)N[C@H]1CC[C@@H](C)c2ccccc21)[C@H](N)c1ccccc1 ZINC000423805105 337728217 /nfs/dbraw/zinc/72/82/17/337728217.db2.gz SDRJCDVQDHYCHY-IPZZXCBHSA-N 0 3 322.452 4.077 20 0 DIADHN C[C@H](CN1CCC(OCC2CC2)CC1)c1cccc(Cl)c1 ZINC000527116058 337731800 /nfs/dbraw/zinc/73/18/00/337731800.db2.gz KLBDUFMONGOGSS-CQSZACIVSA-N 0 3 307.865 4.335 20 0 DIADHN CC(C)C[C@@H](NCCOc1ccccc1Cl)c1ccccn1 ZINC000536833693 337734818 /nfs/dbraw/zinc/73/48/18/337734818.db2.gz RGISQCBAAMJSEK-QGZVFWFLSA-N 0 3 318.848 4.491 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccccc1)c1ccc(F)c(F)c1 ZINC000536837309 337735465 /nfs/dbraw/zinc/73/54/65/337735465.db2.gz GLIGWUSJDCYREZ-MJXNMMHHSA-N 0 3 316.395 4.061 20 0 DIADHN CCCn1c(CNC[C@H](C)CC(F)(F)F)nc2ccccc21 ZINC000396950953 337736087 /nfs/dbraw/zinc/73/60/87/337736087.db2.gz CCLYYIXJBFMWGQ-GFCCVEGCSA-N 0 3 313.367 4.124 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@H](CO)CC(F)(F)F)CCC2 ZINC000536841867 337737837 /nfs/dbraw/zinc/73/78/37/337737837.db2.gz WQYHVFFWRCVZMC-GOEBONIOSA-N 0 3 315.379 4.090 20 0 DIADHN Brc1cc(CN[C@@H]2CCc3ccccc32)cs1 ZINC000035251476 337742065 /nfs/dbraw/zinc/74/20/65/337742065.db2.gz HRFCQKXIHCDTKC-CYBMUJFWSA-N 0 3 308.244 4.288 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(Cl)s1 ZINC000035286057 337742659 /nfs/dbraw/zinc/74/26/59/337742659.db2.gz JKQGCJQRXDAYPW-STQMWFEESA-N 0 3 324.877 4.482 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)s1 ZINC000536844182 337743054 /nfs/dbraw/zinc/74/30/54/337743054.db2.gz HILBQOGQLFQPOB-CVEARBPZSA-N 0 3 315.486 4.163 20 0 DIADHN C[C@H](NCc1cc2c(s1)CCCC2)c1ccc2c(c1)OCO2 ZINC000536852049 337745481 /nfs/dbraw/zinc/74/54/81/337745481.db2.gz OZDFLZFFHPJWIK-LBPRGKRZSA-N 0 3 315.438 4.206 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](C)COCC(F)(F)F)cc1 ZINC000536861792 337746921 /nfs/dbraw/zinc/74/69/21/337746921.db2.gz HYIXCFQUBXRAGJ-WDEREUQCSA-N 0 3 307.381 4.027 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)Nc2cccc(CN(C)C)c2)cc1 ZINC000527512447 337748239 /nfs/dbraw/zinc/74/82/39/337748239.db2.gz JWFVYUUQHJOYKG-CVEARBPZSA-N 0 3 312.457 4.361 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)Nc2cccc(CN(C)C)c2)cc1 ZINC000527512449 337748255 /nfs/dbraw/zinc/74/82/55/337748255.db2.gz JWFVYUUQHJOYKG-HZPDHXFCSA-N 0 3 312.457 4.361 20 0 DIADHN COc1cc(CN2[C@@H](C)C[C@@H]2C)ccc1OCc1cccs1 ZINC000527529727 337749496 /nfs/dbraw/zinc/74/94/96/337749496.db2.gz WYHUNMIQNFJQGL-KBPBESRZSA-N 0 3 317.454 4.318 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1ncc(Cl)cc1Br ZINC000527645201 337754115 /nfs/dbraw/zinc/75/41/15/337754115.db2.gz KVTCDFCDHPRIFP-DTWKUNHWSA-N 0 3 305.647 4.022 20 0 DIADHN c1cc2ccc(CN[C@H](C[C@H]3CCOC3)c3ccccc3)cc2[nH]1 ZINC000527682213 337755570 /nfs/dbraw/zinc/75/55/70/337755570.db2.gz BRBJVVIJZAJSJM-DYESRHJHSA-N 0 3 320.436 4.425 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccsc2C(F)(F)F)CCO1 ZINC000527685900 337755799 /nfs/dbraw/zinc/75/57/99/337755799.db2.gz HACILMFGQJKVIC-NWDGAFQWSA-N 0 3 321.408 4.450 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1nc(-c2ccncc2)cs1 ZINC000527659905 337756810 /nfs/dbraw/zinc/75/68/10/337756810.db2.gz BWIFKFRNGYFENY-APYUEPQZSA-N 0 3 313.470 4.290 20 0 DIADHN Cc1nnsc1CN[C@@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000527710494 337758071 /nfs/dbraw/zinc/75/80/71/337758071.db2.gz PNVDWBGZRCUMCF-HZPDHXFCSA-N 0 3 301.459 4.053 20 0 DIADHN CCc1nnc(CN[C@@H]2CCC[C@@H](c3ccccc3)CC2)s1 ZINC000527710723 337758181 /nfs/dbraw/zinc/75/81/81/337758181.db2.gz LURQLEKJFNARSZ-HZPDHXFCSA-N 0 3 315.486 4.307 20 0 DIADHN Cc1cccc([C@H](NCc2ccc(-n3ccnc3)nc2)C(C)C)c1 ZINC000527807624 337765311 /nfs/dbraw/zinc/76/53/11/337765311.db2.gz CIQXFFILUDBZLY-HXUWFJFHSA-N 0 3 320.440 4.063 20 0 DIADHN Cc1cccc([C@H](NCc2ccc3c(c2)ncn3C)C(C)C)c1 ZINC000527814192 337765834 /nfs/dbraw/zinc/76/58/34/337765834.db2.gz PNXIVGMWDSPVNI-HXUWFJFHSA-N 0 3 307.441 4.369 20 0 DIADHN Cc1cccc([C@@H](NCc2ccc(-n3cncn3)cc2)C(C)C)c1 ZINC000527814377 337765923 /nfs/dbraw/zinc/76/59/23/337765923.db2.gz RJXAINCONKLMHI-FQEVSTJZSA-N 0 3 320.440 4.063 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000527840047 337767720 /nfs/dbraw/zinc/76/77/20/337767720.db2.gz BQRWRJYAMAOVDX-KRWDZBQOSA-N 0 3 320.795 4.124 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C(F)F)cc2)C2CC2)cn1 ZINC000527842310 337768140 /nfs/dbraw/zinc/76/81/40/337768140.db2.gz WYLWXHGPDDMSLO-QGZVFWFLSA-N 0 3 318.367 4.269 20 0 DIADHN COCc1ccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527846116 337768352 /nfs/dbraw/zinc/76/83/52/337768352.db2.gz KJQKDEQUKYFUGN-GOSISDBHSA-N 0 3 319.807 4.403 20 0 DIADHN Cc1cccc([C@H](NCc2ccc(CO)c(F)c2)C(C)C)c1 ZINC000527851044 337769067 /nfs/dbraw/zinc/76/90/67/337769067.db2.gz MLVMNTUYPSYDKL-LJQANCHMSA-N 0 3 301.405 4.113 20 0 DIADHN Cc1cccc([C@@H](NCc2ccnc(N3CCCC3)c2)C(C)C)c1 ZINC000527854020 337769144 /nfs/dbraw/zinc/76/91/44/337769144.db2.gz VPEYZBZCBPPBDC-NRFANRHFSA-N 0 3 323.484 4.477 20 0 DIADHN Cc1cccc([C@@H](NCc2cccc(N3CCC3=O)c2)C(C)C)c1 ZINC000527891467 337771991 /nfs/dbraw/zinc/77/19/91/337771991.db2.gz APZGAWSSZRNUNM-NRFANRHFSA-N 0 3 322.452 4.219 20 0 DIADHN CC(C)n1cncc1CNC1CC(c2cccc(Cl)c2)C1 ZINC000424146163 337772012 /nfs/dbraw/zinc/77/20/12/337772012.db2.gz PBTLRYJWWBZQGL-UHFFFAOYSA-N 0 3 303.837 4.153 20 0 DIADHN FC(F)(F)[C@@H](CN[C@@H]1CCCCc2ccccc21)n1cccn1 ZINC000527939438 337773234 /nfs/dbraw/zinc/77/32/34/337773234.db2.gz OZYIGIQPKIZBPT-HZPDHXFCSA-N 0 3 323.362 4.044 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21)c1ccncc1Cl ZINC000527941876 337773408 /nfs/dbraw/zinc/77/34/08/337773408.db2.gz JABCISPWWLSEKU-ZNXFUKJZSA-N 0 3 319.235 4.377 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@H]2CCN2C2CCCCC2)s1 ZINC000527944401 337773530 /nfs/dbraw/zinc/77/35/30/337773530.db2.gz CZZYEFSOEOLKHW-CZUORRHYSA-N 0 3 321.534 4.072 20 0 DIADHN COCCC1CCN([C@H](C)c2cc3cccc(OC)c3o2)CC1 ZINC000527932941 337776531 /nfs/dbraw/zinc/77/65/31/337776531.db2.gz GBTQBTOJNQBSLC-CQSZACIVSA-N 0 3 317.429 4.251 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NCC12CC(C1)CO2 ZINC000527985248 337777781 /nfs/dbraw/zinc/77/77/81/337777781.db2.gz UFXZRKQZOMERNY-CFEOFWIRSA-N 0 3 318.269 4.201 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](c1ccccn1)C1CCC1)CCC2 ZINC000527962425 337780444 /nfs/dbraw/zinc/78/04/44/337780444.db2.gz DRNXOTFZWSVAAD-SJORKVTESA-N 0 3 313.470 4.355 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1ccc2cn(C)nc2c1 ZINC000527968465 337781143 /nfs/dbraw/zinc/78/11/43/337781143.db2.gz ZUTOUJWKOVFBMD-ZUOKHONESA-N 0 3 323.415 4.126 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H](c1ccccn1)C1CCC1 ZINC000527970172 337781474 /nfs/dbraw/zinc/78/14/74/337781474.db2.gz WKFRKGIOXAELFS-XPGWFJOJSA-N 0 3 310.441 4.289 20 0 DIADHN Cc1ccc(C)c([C@@H](NCC2COC2)c2ccccc2Cl)c1 ZINC000528023439 337784340 /nfs/dbraw/zinc/78/43/40/337784340.db2.gz DATXKQOOIUGSKP-IBGZPJMESA-N 0 3 315.844 4.282 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccc(C)s2)C2CC2)cn1 ZINC000528041355 337785288 /nfs/dbraw/zinc/78/52/88/337785288.db2.gz BIBNBJHLXULNQV-YVEFUNNKSA-N 0 3 302.443 4.262 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@@H]1CCC[C@@H](c2cccnc2)C1 ZINC000424201374 337808836 /nfs/dbraw/zinc/80/88/36/337808836.db2.gz RVWINXLZUUZZNN-XCLNPWKQSA-N 0 3 310.416 4.335 20 0 DIADHN Cc1cc(CN)cc(NC(=O)[C@@H](CC(C)C)c2ccccc2)c1 ZINC000424229853 337811593 /nfs/dbraw/zinc/81/15/93/337811593.db2.gz AFMPCVAKLLNASQ-IBGZPJMESA-N 0 3 310.441 4.222 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](N[C@@H](c3ccco3)c3ccccc3)C[C@H]2C1 ZINC000424262057 337813212 /nfs/dbraw/zinc/81/32/12/337813212.db2.gz PXYUQYIJHGJNCJ-AISVETHESA-N 0 3 311.425 4.162 20 0 DIADHN Cc1cc(C)c(CN[C@H](Cc2ccncc2)c2cccs2)cn1 ZINC000424277418 337814355 /nfs/dbraw/zinc/81/43/55/337814355.db2.gz AIHVNTWKKKHVRB-GOSISDBHSA-N 0 3 323.465 4.229 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCO[C@@H](C(C)C)C2)c(Cl)c1 ZINC000424279342 337814516 /nfs/dbraw/zinc/81/45/16/337814516.db2.gz FTNUAMJAZFIBDI-OGHNNQOOSA-N 0 3 311.853 4.203 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCO[C@@H](C(C)C)C2)c(Cl)c1 ZINC000424279340 337814537 /nfs/dbraw/zinc/81/45/37/337814537.db2.gz FTNUAMJAZFIBDI-GDZNZVCISA-N 0 3 311.853 4.203 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCO[C@H](C(C)C)C2)c(Cl)c1 ZINC000424279338 337814549 /nfs/dbraw/zinc/81/45/49/337814549.db2.gz FTNUAMJAZFIBDI-DCGLDWPTSA-N 0 3 311.853 4.203 20 0 DIADHN COc1ccc([C@@H](C)NCCC[C@@H]2CCCCO2)c(Cl)c1 ZINC000424287255 337815515 /nfs/dbraw/zinc/81/55/15/337815515.db2.gz XIPPQCDCPNIGRB-KGLIPLIRSA-N 0 3 311.853 4.349 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)Cc2c(C)noc2C)c(Cl)c1 ZINC000424323400 337820610 /nfs/dbraw/zinc/82/06/10/337820610.db2.gz VYOJEKORTJVVBV-QWRGUYRKSA-N 0 3 322.836 4.235 20 0 DIADHN c1c2ccccc2oc1[C@@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cccnc1 ZINC000424360011 337826198 /nfs/dbraw/zinc/82/61/98/337826198.db2.gz VRZBSDHEZLIKCZ-CLWJZODNSA-N 0 3 304.393 4.305 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1ccc(Cl)cc1 ZINC000107810743 337831864 /nfs/dbraw/zinc/83/18/64/337831864.db2.gz DLLQSABNUCAJGR-ZDUSSCGKSA-N 0 3 305.805 4.078 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N1C2CCC1CC2)c1ccc2ccccc2c1 ZINC000533237287 337836807 /nfs/dbraw/zinc/83/68/07/337836807.db2.gz KNGQVYWGERBLCI-SNEKZUEESA-N 0 3 322.452 4.032 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)NCc1cccn1C ZINC000119345720 337857030 /nfs/dbraw/zinc/85/70/30/337857030.db2.gz XQJAWLWBZMJBLN-GOSISDBHSA-N 0 3 310.466 4.469 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(Cl)c1F ZINC000119370090 337858554 /nfs/dbraw/zinc/85/85/54/337858554.db2.gz XFUNEOYNWRGAID-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN OCc1ccccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000536998122 337860737 /nfs/dbraw/zinc/86/07/37/337860737.db2.gz GBCULKROTYXCPN-SFHVURJKSA-N 0 3 301.817 4.073 20 0 DIADHN COc1cc([C@H](C)NCCOCCC(C)C)ccc1SC ZINC000119551025 337861322 /nfs/dbraw/zinc/86/13/22/337861322.db2.gz JWHBHKQTITWHIR-AWEZNQCLSA-N 0 3 311.491 4.130 20 0 DIADHN C[C@H](CN[C@@H](C)c1nc2ccccc2n1C)c1ccc(F)cc1 ZINC000537000858 337861411 /nfs/dbraw/zinc/86/14/11/337861411.db2.gz UVHDBNCQPUIGCS-KGLIPLIRSA-N 0 3 311.404 4.167 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000119574859 337861936 /nfs/dbraw/zinc/86/19/36/337861936.db2.gz LYNKPISIOTXXAY-CYBMUJFWSA-N 0 3 321.367 4.285 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@@H](C)c2nc3ccccc3n2C)o1 ZINC000537012741 337862978 /nfs/dbraw/zinc/86/29/78/337862978.db2.gz FIOHUCQTVPUBDN-ZFWWWQNUSA-N 0 3 311.429 4.147 20 0 DIADHN C[C@@H](N[C@H](c1cccc(F)c1)C1CCCC1)c1cnn(C)c1 ZINC000119733895 337863142 /nfs/dbraw/zinc/86/31/42/337863142.db2.gz NOQDSNKPAVLSHN-ACJLOTCBSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(Cc3ccccc3)c2)c(C)c1 ZINC000119658480 337863766 /nfs/dbraw/zinc/86/37/66/337863766.db2.gz AMYLNRZSNAIARE-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN COc1ccc([C@H](NCc2ccnc(SC)c2)C2CC2)cc1 ZINC000537013696 337865191 /nfs/dbraw/zinc/86/51/91/337865191.db2.gz OIIDQYOMDHHHAL-GOSISDBHSA-N 0 3 314.454 4.053 20 0 DIADHN Cc1cc([C@H](NCc2ccccc2N(C)C)C2CC2)ccc1F ZINC000537015754 337866051 /nfs/dbraw/zinc/86/60/51/337866051.db2.gz JWPKIJRBIOABRM-HXUWFJFHSA-N 0 3 312.432 4.441 20 0 DIADHN CO[C@H]1CCC[C@@H]1CNCc1csc(-c2ccc(C)cc2)n1 ZINC000537024667 337871030 /nfs/dbraw/zinc/87/10/30/337871030.db2.gz TZQVFZNFONQDTH-WBVHZDCISA-N 0 3 316.470 4.023 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccccc1-n1cccn1 ZINC000120179799 337871306 /nfs/dbraw/zinc/87/13/06/337871306.db2.gz VWBUBPVHGSMWPV-UHFFFAOYSA-N 0 3 322.456 4.010 20 0 DIADHN COC[C@@H](N[C@H]1CCCc2cc(OC)ccc21)c1ccccc1 ZINC000089668071 337872309 /nfs/dbraw/zinc/87/23/09/337872309.db2.gz NPJBCMQVABKSOP-VQTJNVASSA-N 0 3 311.425 4.050 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc3c(c2)OCO3)cc1 ZINC000120334091 337872602 /nfs/dbraw/zinc/87/26/02/337872602.db2.gz KYPCORZTVNPTNH-AWEZNQCLSA-N 0 3 313.397 4.053 20 0 DIADHN COc1ccc([C@H](C)NCCSc2ccccc2F)cc1 ZINC000537029350 337874845 /nfs/dbraw/zinc/87/48/45/337874845.db2.gz QZIKNPKKJAESPR-ZDUSSCGKSA-N 0 3 305.418 4.277 20 0 DIADHN Cn1cncc1CNC1(c2ccc(C(C)(C)C)cc2)CCCC1 ZINC000120649227 337877081 /nfs/dbraw/zinc/87/70/81/337877081.db2.gz FKAANEGDHJBJLA-UHFFFAOYSA-N 0 3 311.473 4.277 20 0 DIADHN CCC(CC)[C@H](NCC(=O)N1CCC(C)CC1)c1cccs1 ZINC000537076068 337885090 /nfs/dbraw/zinc/88/50/90/337885090.db2.gz PXSWWIDNLISGME-SFHVURJKSA-N 0 3 322.518 4.074 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccc(-n2ccnn2)cc1)c1ccccc1 ZINC000090859982 337886101 /nfs/dbraw/zinc/88/61/01/337886101.db2.gz VGDUTBHUFKCZEK-OXQOHEQNSA-N 0 3 320.440 4.459 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCOC[C@H]2CCCO2)cc1 ZINC000121126500 337886497 /nfs/dbraw/zinc/88/64/97/337886497.db2.gz UVQRVKRMCUKNJS-NVXWUHKLSA-N 0 3 323.502 4.033 20 0 DIADHN CC[C@H](NC[C@H]1CCC(C)(C)c2ccccc21)c1nccn1C ZINC000537093834 337889399 /nfs/dbraw/zinc/88/93/99/337889399.db2.gz DKEMWMGOCCBYMQ-QAPCUYQASA-N 0 3 311.473 4.316 20 0 DIADHN C[C@H](CCO)N[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000121323362 337891772 /nfs/dbraw/zinc/89/17/72/337891772.db2.gz YYMSZYQTVKADNQ-ZIAGYGMSSA-N 0 3 303.377 4.040 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(F)c2)c1 ZINC000537118206 337892801 /nfs/dbraw/zinc/89/28/01/337892801.db2.gz YIDVHDKBWJGKDA-GJZGRUSLSA-N 0 3 314.404 4.120 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H]2CCc3c2cccc3OC)s1 ZINC000121892061 337902899 /nfs/dbraw/zinc/90/28/99/337902899.db2.gz UKGNGGWREINZOR-ABAIWWIYSA-N 0 3 316.470 4.361 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1nc2cc(C(F)(F)F)ccc2o1 ZINC000537165320 337904122 /nfs/dbraw/zinc/90/41/22/337904122.db2.gz YXFVMWBZPOFLSH-UWVGGRQHSA-N 0 3 300.324 4.371 20 0 DIADHN c1coc([C@@H](CNCc2ccc3ccccc3c2)N2CCCC2)c1 ZINC000060829465 337922590 /nfs/dbraw/zinc/92/25/90/337922590.db2.gz XVXYIRCAULHEFQ-HXUWFJFHSA-N 0 3 320.436 4.360 20 0 DIADHN C[C@@H](N[C@H]1CCCOc2ccccc21)c1ccccc1N(C)C ZINC000093943985 337923070 /nfs/dbraw/zinc/92/30/70/337923070.db2.gz CTEQDGLQCMHGJS-QAPCUYQASA-N 0 3 310.441 4.317 20 0 DIADHN CC(C)[C@H](NCc1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000061016013 337924277 /nfs/dbraw/zinc/92/42/77/337924277.db2.gz QTWQZBKENDLMAA-IBGZPJMESA-N 0 3 315.388 4.084 20 0 DIADHN CC(C)(C)[C@@H](COc1ccccc1F)NCCC(F)(F)F ZINC000537292286 337928514 /nfs/dbraw/zinc/92/85/14/337928514.db2.gz YAXGXWBOINKYIJ-CYBMUJFWSA-N 0 3 307.331 4.161 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCc1ncc(C)cn1 ZINC000537305167 337929962 /nfs/dbraw/zinc/92/99/62/337929962.db2.gz FIHDUMPNOYJLNA-OAHLLOKOSA-N 0 3 323.440 4.295 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H]1CCc2ccc(F)cc21 ZINC000537305931 337929998 /nfs/dbraw/zinc/92/99/98/337929998.db2.gz AWOQEOGHLGTISD-NXXSPTCGSA-N 0 3 317.379 4.318 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)[C@H](OC)c2ccccc2F)cc1 ZINC000537307129 337930250 /nfs/dbraw/zinc/93/02/50/337930250.db2.gz YXUANHALHUGVQK-NJSLBKSFSA-N 0 3 317.404 4.261 20 0 DIADHN FC1(F)CCC[C@H](NCc2ccccc2Br)C1 ZINC000537325895 337931126 /nfs/dbraw/zinc/93/11/26/337931126.db2.gz SGISLWKJPGDJPA-NSHDSACASA-N 0 3 304.178 4.117 20 0 DIADHN C[C@@H](N[C@H]1CCOC2(CCCCC2)C1)c1cccc(F)c1F ZINC000537357209 337932278 /nfs/dbraw/zinc/93/22/78/337932278.db2.gz KKTCZBVGNNNCSU-KGLIPLIRSA-N 0 3 309.400 4.497 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cccc2cc[nH]c21 ZINC000537386398 337934485 /nfs/dbraw/zinc/93/44/85/337934485.db2.gz PCDAUFCYAOOXAG-ORAYPTAESA-N 0 3 312.388 4.173 20 0 DIADHN CCOc1cc(C)ccc1CN[C@H](C)Cc1ccccc1OC ZINC000537400620 337935437 /nfs/dbraw/zinc/93/54/37/337935437.db2.gz FLPGROCUXZHANR-MRXNPFEDSA-N 0 3 313.441 4.123 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](N[C@H]1c3ccccc3C[C@H]1O)CCC2 ZINC000537401608 337935525 /nfs/dbraw/zinc/93/55/25/337935525.db2.gz XTKDNTLPXCRDPH-VSKRKVRLSA-N 0 3 321.464 4.435 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000537476696 337938766 /nfs/dbraw/zinc/93/87/66/337938766.db2.gz FEULOIMBOIYIKB-QLFBSQMISA-N 0 3 322.518 4.266 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cccc3c[nH]nc32)CC1 ZINC000537626053 337949482 /nfs/dbraw/zinc/94/94/82/337949482.db2.gz CUBRXCHYUGIFAX-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CCc1cnccc1[C@@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000514244808 337967891 /nfs/dbraw/zinc/96/78/91/337967891.db2.gz NLYKDPHYIQMSTM-ACJLOTCBSA-N 0 3 318.848 4.336 20 0 DIADHN CC(C)COC[C@H](NC[C@H]1CCCC(F)(F)C1)c1ccco1 ZINC000514235807 337968609 /nfs/dbraw/zinc/96/86/09/337968609.db2.gz IWGNSGIGLCZIQY-GJZGRUSLSA-N 0 3 315.404 4.408 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000315370914 337985723 /nfs/dbraw/zinc/98/57/23/337985723.db2.gz RONVOMZFUVCTKW-CHWSQXEVSA-N 0 3 319.474 4.072 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1csc(C)n1)c1ccccc1 ZINC000315403338 337986424 /nfs/dbraw/zinc/98/64/24/337986424.db2.gz GPAVONHHRQDCDP-KBXCAEBGSA-N 0 3 317.502 4.185 20 0 DIADHN Fc1ccccc1CNC[C@@H](Cc1ccccc1)C(F)(F)F ZINC000515924738 338001291 /nfs/dbraw/zinc/00/12/91/338001291.db2.gz MONPLBYYVMDXER-OAHLLOKOSA-N 0 3 311.322 4.337 20 0 DIADHN Cc1cccc(CCN2CCCC[C@@H]2c2nc3ccccc3o2)n1 ZINC000516056086 338003182 /nfs/dbraw/zinc/00/31/82/338003182.db2.gz LTNOPVAHAFZSSJ-GOSISDBHSA-N 0 3 321.424 4.301 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(C)c(F)c2)cc1OC ZINC000088511477 338003631 /nfs/dbraw/zinc/00/36/31/338003631.db2.gz DFIHQQDQERMNNS-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N[C@H](C)c1c(F)cncc1F ZINC000516100611 338004597 /nfs/dbraw/zinc/00/45/97/338004597.db2.gz XYVSLXGUGIHAKB-HIFRSBDPSA-N 0 3 304.384 4.340 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N[C@@H](C)c1c(F)cncc1F ZINC000516100610 338004600 /nfs/dbraw/zinc/00/46/00/338004600.db2.gz XYVSLXGUGIHAKB-DZGCQCFKSA-N 0 3 304.384 4.340 20 0 DIADHN CC(C)[C@H](C)NC(=O)CN1CCC[C@H]1c1cccc2ccccc21 ZINC000516309187 338009459 /nfs/dbraw/zinc/00/94/59/338009459.db2.gz KNBXKVRRCCCLBO-JXFKEZNVSA-N 0 3 324.468 4.137 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)CC(F)(F)F)cc1OC ZINC000516474911 338013132 /nfs/dbraw/zinc/01/31/32/338013132.db2.gz AYRNOMXMIWYTLW-GHMZBOCLSA-N 0 3 305.340 4.004 20 0 DIADHN CCCOc1c(CNC[C@@H]2CCCSC2)cccc1OCC ZINC000516508159 338014042 /nfs/dbraw/zinc/01/40/42/338014042.db2.gz ZSFZQYVBXAZJQL-HNNXBMFYSA-N 0 3 323.502 4.107 20 0 DIADHN CS[C@H]1CC[C@H]1N[C@@H](C)c1ccc(Br)cc1F ZINC000425390464 338015454 /nfs/dbraw/zinc/01/54/54/338015454.db2.gz YNBMKLCRAFIWKD-CKLFPEKLSA-N 0 3 318.255 4.133 20 0 DIADHN C[C@H]1CCN(Cc2cnc(-c3ccco3)s2)CC12CCC2 ZINC000426147237 338021275 /nfs/dbraw/zinc/02/12/75/338021275.db2.gz AGFIROYZPWVZOK-ZDUSSCGKSA-N 0 3 302.443 4.415 20 0 DIADHN CC(C)c1nnc(CCN[C@H]2CCCc3cc(F)ccc32)s1 ZINC000426293831 338024929 /nfs/dbraw/zinc/02/49/29/338024929.db2.gz ZEGHYNJXXMWFIZ-HNNXBMFYSA-N 0 3 319.449 4.010 20 0 DIADHN CC(C)c1nnc(CCN[C@@H]2CCCc3cc(F)ccc32)s1 ZINC000426293834 338024944 /nfs/dbraw/zinc/02/49/44/338024944.db2.gz ZEGHYNJXXMWFIZ-OAHLLOKOSA-N 0 3 319.449 4.010 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1C)c1ccc(OCc2ccccc2)cc1 ZINC000426292603 338024982 /nfs/dbraw/zinc/02/49/82/338024982.db2.gz FJPHRLQGZREAQO-JXXFODFXSA-N 0 3 311.425 4.094 20 0 DIADHN CC(C)[C@@H](NCc1cc2ccccc2n(C)c1=O)c1ccccc1 ZINC000426295137 338025053 /nfs/dbraw/zinc/02/50/53/338025053.db2.gz XEVHHNPJYGPSRR-HXUWFJFHSA-N 0 3 320.436 4.025 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H]1C)c1ccccc1OCc1ccccc1 ZINC000426294745 338025165 /nfs/dbraw/zinc/02/51/65/338025165.db2.gz KPECCTXNQTWTKY-GPMSIDNRSA-N 0 3 311.425 4.094 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)C2CCC(F)(F)CC2)o1 ZINC000426296814 338025287 /nfs/dbraw/zinc/02/52/87/338025287.db2.gz UIJYJXNTEYEFJY-LLVKDONJSA-N 0 3 300.393 4.239 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000426301977 338025535 /nfs/dbraw/zinc/02/55/35/338025535.db2.gz GHDOIOIXGPLBQA-SXLOBPIMSA-N 0 3 311.400 4.150 20 0 DIADHN CC(C)c1nnc(CCN[C@@H]2CCSc3ccccc32)s1 ZINC000426301292 338025601 /nfs/dbraw/zinc/02/56/01/338025601.db2.gz MCRNPAIFLVQNAN-CYBMUJFWSA-N 0 3 319.499 4.031 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1cc(F)ccc1F ZINC000426322617 338026980 /nfs/dbraw/zinc/02/69/80/338026980.db2.gz JPECOUWZLJWRJE-TZMCWYRMSA-N 0 3 320.358 4.033 20 0 DIADHN CCc1nc(C)c(CN[C@H](C)C2CCC(C(F)(F)F)CC2)o1 ZINC000426324084 338027074 /nfs/dbraw/zinc/02/70/74/338027074.db2.gz PQIHXFLHWDHSAN-QFWMXSHPSA-N 0 3 318.383 4.392 20 0 DIADHN Cc1nnsc1CN[C@@H](CCc1ccc(Cl)cc1)C(C)C ZINC000426335895 338027661 /nfs/dbraw/zinc/02/76/61/338027661.db2.gz RCPQWKFZFGZDLQ-HNNXBMFYSA-N 0 3 323.893 4.247 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@H](OCC)C23CCCCC3)o1 ZINC000426339137 338027923 /nfs/dbraw/zinc/02/79/23/338027923.db2.gz JGBUESTVXHMXSC-SJORKVTESA-N 0 3 320.477 4.153 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)Cc2ccccc2SC)o1 ZINC000426345632 338028182 /nfs/dbraw/zinc/02/81/82/338028182.db2.gz AHRLTGHYVZOPAL-ZDUSSCGKSA-N 0 3 318.486 4.378 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2cc3ccccc3n(C)c2=O)c1 ZINC000426353951 338028635 /nfs/dbraw/zinc/02/86/35/338028635.db2.gz XJBQFMMVORWCTG-MRXNPFEDSA-N 0 3 320.436 4.006 20 0 DIADHN COCC(C)(C)CN1CCC(=Cc2ccc(F)c(F)c2)CC1 ZINC000426368077 338029202 /nfs/dbraw/zinc/02/92/02/338029202.db2.gz WVQNDPOPYCPDJZ-UHFFFAOYSA-N 0 3 309.400 4.117 20 0 DIADHN O=c1[nH]ccc2c1CN(Cc1cc(-c3ccccc3)cs1)CC2 ZINC000426371086 338029303 /nfs/dbraw/zinc/02/93/03/338029303.db2.gz JGQLZRXVAPCJQL-UHFFFAOYSA-N 0 3 322.433 4.074 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1cc(-n2ccnc2)cs1 ZINC000426374155 338029417 /nfs/dbraw/zinc/02/94/17/338029417.db2.gz VVEBFBOIPIDEOS-MHWRWJLKSA-N 0 3 323.465 4.469 20 0 DIADHN CN(Cc1cccc2[nH]ccc21)CC1=Cc2ccccc2OC1 ZINC000426406137 338031002 /nfs/dbraw/zinc/03/10/02/338031002.db2.gz UIHMEQUBBQURIQ-UHFFFAOYSA-N 0 3 304.393 4.076 20 0 DIADHN CCCc1csc(CN(CCC)[C@@H]2[C@H]3CCO[C@H]3C2(C)C)n1 ZINC000426416727 338031283 /nfs/dbraw/zinc/03/12/83/338031283.db2.gz LIEFWTPOXXGINJ-DJIMGWMZSA-N 0 3 322.518 4.121 20 0 DIADHN Clc1cccc(-c2cnc(CN3CC[C@H]4CCC[C@@H]43)o2)c1 ZINC000426464060 338032837 /nfs/dbraw/zinc/03/28/37/338032837.db2.gz ZVFFRKBGVPSDID-DOMZBBRYSA-N 0 3 302.805 4.369 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CC[C@@H]4CCC[C@H]43)n2)cc1 ZINC000426466334 338033092 /nfs/dbraw/zinc/03/30/92/338033092.db2.gz FMYFURULCOVXFU-DOTOQJQBSA-N 0 3 311.429 4.234 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@H](CC)Cc2ccccc2)o1 ZINC000428214693 338042546 /nfs/dbraw/zinc/04/25/46/338042546.db2.gz FIFDLGQPHCSCDR-QGZVFWFLSA-N 0 3 300.446 4.389 20 0 DIADHN CCc1nc(C)c(CN(C[C@H]2CCCO2)C2CCC(C)CC2)o1 ZINC000428251957 338044676 /nfs/dbraw/zinc/04/46/76/338044676.db2.gz CMQGHAOFJSHBKE-BDVYOWHSSA-N 0 3 320.477 4.105 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCC(O)(C(F)(F)F)CC1 ZINC000428266213 338044887 /nfs/dbraw/zinc/04/48/87/338044887.db2.gz CRRQBULWLPVXKK-CQSZACIVSA-N 0 3 307.400 4.148 20 0 DIADHN OCCCCCN1CCC(c2ccc(Cl)cc2Cl)CC1 ZINC000428722101 338059652 /nfs/dbraw/zinc/05/96/52/338059652.db2.gz GRVJBIIXUJASRF-UHFFFAOYSA-N 0 3 316.272 4.335 20 0 DIADHN CC[C@H](C)[C@@H](NCc1n[nH]c(C(C)C)n1)c1ccc(Cl)cc1 ZINC000428322947 338047247 /nfs/dbraw/zinc/04/72/47/338047247.db2.gz IJJMGKLYQVMHQJ-BLLLJJGKSA-N 0 3 320.868 4.459 20 0 DIADHN CC(C)O[C@@H](CN[C@@H](c1cccnc1)C1CC1)c1ccccc1 ZINC000428340820 338047886 /nfs/dbraw/zinc/04/78/86/338047886.db2.gz LNCVOLXJULDGGY-VQTJNVASSA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)O[C@@H](CN[C@H](c1cccnc1)C1CC1)c1ccccc1 ZINC000428340818 338047963 /nfs/dbraw/zinc/04/79/63/338047963.db2.gz LNCVOLXJULDGGY-PMACEKPBSA-N 0 3 310.441 4.289 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCC(c2ccc(O)cc2)CC1 ZINC000428363290 338048775 /nfs/dbraw/zinc/04/87/75/338048775.db2.gz LHGNIFQHPIAFRV-UHFFFAOYSA-N 0 3 314.429 4.192 20 0 DIADHN CCCc1ccc([C@@H](NCc2n[nH]c(C(C)C)n2)C(C)C)cc1 ZINC000428379813 338049727 /nfs/dbraw/zinc/04/97/27/338049727.db2.gz BEYCVLMARYCMGZ-SFHVURJKSA-N 0 3 314.477 4.368 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3oc(C(C)C)nc3C)C2)cc1 ZINC000428399584 338050112 /nfs/dbraw/zinc/05/01/12/338050112.db2.gz MABUNYQPQUEUSJ-INIZCTEOSA-N 0 3 314.429 4.105 20 0 DIADHN c1ccc(-c2cccc(CN3CCC[C@@H](OCC4CC4)C3)c2)nc1 ZINC000428401580 338050367 /nfs/dbraw/zinc/05/03/67/338050367.db2.gz PRUYMBQHQBQUIE-HXUWFJFHSA-N 0 3 322.452 4.140 20 0 DIADHN OC1(C(F)F)CCN(Cc2ccc(-c3ccccc3)s2)CC1 ZINC000428466338 338053668 /nfs/dbraw/zinc/05/36/68/338053668.db2.gz VBAFZOJUFVAEAP-UHFFFAOYSA-N 0 3 323.408 4.007 20 0 DIADHN CCN(Cc1cnc2cccc(C)n12)[C@@H](C)Cc1ccsc1 ZINC000428470147 338053929 /nfs/dbraw/zinc/05/39/29/338053929.db2.gz MUFYUZIXWBCODU-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)[C@@H]2CCCC[C@H]2O)o1 ZINC000428473667 338054236 /nfs/dbraw/zinc/05/42/36/338054236.db2.gz NZHYKVBEZBZMRO-QZTJIDSGSA-N 0 3 317.404 4.129 20 0 DIADHN CCOc1cc(CN[C@H]2CC23CCCC3)cc(Cl)c1OC ZINC000428545203 338055838 /nfs/dbraw/zinc/05/58/38/338055838.db2.gz ISULAHCHGCGHQG-HNNXBMFYSA-N 0 3 309.837 4.170 20 0 DIADHN CCOc1cc(CN[C@@H]2CC23CCCC3)cc(Cl)c1OC ZINC000428545207 338055917 /nfs/dbraw/zinc/05/59/17/338055917.db2.gz ISULAHCHGCGHQG-OAHLLOKOSA-N 0 3 309.837 4.170 20 0 DIADHN c1ccc(COc2ccccc2CN[C@H]2CC23CCCC3)nc1 ZINC000428553585 338056068 /nfs/dbraw/zinc/05/60/68/338056068.db2.gz XXOHZAZZKOFOHH-IBGZPJMESA-N 0 3 308.425 4.083 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(C(F)(F)F)s2)C12CCC2 ZINC000428848386 338062265 /nfs/dbraw/zinc/06/22/65/338062265.db2.gz IYIOMXVTLBOZKH-NEPJUHHUSA-N 0 3 319.392 4.204 20 0 DIADHN Fc1ccc2nc(CNC[C@@H]3[C@@H]4Cc5ccccc5[C@H]34)sc2c1 ZINC000428853843 338062497 /nfs/dbraw/zinc/06/24/97/338062497.db2.gz BTCJUJWDZRSGGW-KHYOSLBOSA-N 0 3 324.424 4.111 20 0 DIADHN C[C@@H](CNCc1ccc(C(F)(F)F)s1)N1CCCC[C@H]1C ZINC000428866643 338063276 /nfs/dbraw/zinc/06/32/76/338063276.db2.gz YMFIGQJZKKQISB-NEPJUHHUSA-N 0 3 320.424 4.119 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2nc3ccc(F)cc3s2)s1 ZINC000428867214 338063347 /nfs/dbraw/zinc/06/33/47/338063347.db2.gz LZYCHEFWTJHJAB-VIFPVBQESA-N 0 3 321.446 4.094 20 0 DIADHN CC(C)N(CC(=O)N(C(C)C)C(C)C)[C@H](C)c1ccccc1 ZINC000428870026 338063552 /nfs/dbraw/zinc/06/35/52/338063552.db2.gz XGGTYGHRINGLIU-QGZVFWFLSA-N 0 3 304.478 4.103 20 0 DIADHN CC(C)N(CC(=O)N(C)Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000428870091 338063605 /nfs/dbraw/zinc/06/36/05/338063605.db2.gz CXTXCMBHUXIYPX-SFHVURJKSA-N 0 3 324.468 4.117 20 0 DIADHN Fc1ccc2nc(CNC[C@H]3CCc4ccccc43)sc2c1 ZINC000428871275 338063847 /nfs/dbraw/zinc/06/38/47/338063847.db2.gz IJKPGKMWGCZAKD-CYBMUJFWSA-N 0 3 312.413 4.255 20 0 DIADHN Cc1cc(F)ccc1CCNCc1nc2ccc(F)cc2s1 ZINC000428882732 338064567 /nfs/dbraw/zinc/06/45/67/338064567.db2.gz SAMWPFKLPQHEEA-UHFFFAOYSA-N 0 3 318.392 4.215 20 0 DIADHN Fc1ccc2nc(CNCCc3cc(F)ccc3F)sc2c1 ZINC000428883714 338064571 /nfs/dbraw/zinc/06/45/71/338064571.db2.gz SUUQEFBNIDRPMQ-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCCC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000428941368 338065696 /nfs/dbraw/zinc/06/56/96/338065696.db2.gz IJBRUMIASCQHFS-BBWFWOEESA-N 0 3 320.477 4.012 20 0 DIADHN CCCN(CCN1CCCCCC1)Cc1oc(C(C)C)nc1C ZINC000428941959 338065872 /nfs/dbraw/zinc/06/58/72/338065872.db2.gz XOAHQMKHCASHMQ-UHFFFAOYSA-N 0 3 321.509 4.194 20 0 DIADHN CC(C)O[C@H](CN1CC[C@H](C(F)(F)F)[C@@H]1C)c1ccccc1 ZINC000428942583 338065930 /nfs/dbraw/zinc/06/59/30/338065930.db2.gz BFJHUSNJRZVNQE-CWRNSKLLSA-N 0 3 315.379 4.425 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2oc(C(C)C)nc2C)C12CCCCC2 ZINC000428948719 338066216 /nfs/dbraw/zinc/06/62/16/338066216.db2.gz VABODQZPFFURQX-DLBZAZTESA-N 0 3 320.477 4.324 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2cc(C)ncn2)CC1 ZINC000428949997 338066271 /nfs/dbraw/zinc/06/62/71/338066271.db2.gz COLCMDKDBKPXSZ-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1cnc([C@H](C)N2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)cn1 ZINC000428948822 338066306 /nfs/dbraw/zinc/06/63/06/338066306.db2.gz VMGHUTITOGGHDL-KANFNMMFSA-N 0 3 307.441 4.257 20 0 DIADHN C[C@@H]1CC[C@H](CN2CC[C@@H](Sc3cccc(Cl)c3)C2)O1 ZINC000429120369 338070999 /nfs/dbraw/zinc/07/09/99/338070999.db2.gz GGUPXCHFSRWCPN-XNRPHZJLSA-N 0 3 311.878 4.074 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C2CCC2)C2CCC2)s1 ZINC000429133904 338071597 /nfs/dbraw/zinc/07/15/97/338071597.db2.gz ISFVXZMDYHZXRK-UHFFFAOYSA-N 0 3 304.381 4.377 20 0 DIADHN Cc1cncc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)c1 ZINC000429152370 338072046 /nfs/dbraw/zinc/07/20/46/338072046.db2.gz WHCBDHDJNRMICP-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN Cc1ccc(CN2CCC(c3ncccc3Cl)CC2)cc1 ZINC000429208966 338073121 /nfs/dbraw/zinc/07/31/21/338073121.db2.gz BRFXAQMUECYCOY-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(C)c(CN(C)Cc2cc3ccccc3[nH]c2=O)c1 ZINC000429302129 338077204 /nfs/dbraw/zinc/07/72/04/338077204.db2.gz QOJSTPFMNIRHQF-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN Oc1cccc2c1C[C@H](NC1c3ccccc3-c3ccccc31)C2 ZINC000429301697 338077274 /nfs/dbraw/zinc/07/72/74/338077274.db2.gz NYCPMLBPHDGIJA-OAHLLOKOSA-N 0 3 313.400 4.219 20 0 DIADHN CSC[C@H]1CCCN(Cc2c(Cl)ccc3cccnc32)C1 ZINC000429300391 338077330 /nfs/dbraw/zinc/07/73/30/338077330.db2.gz IFJOMAGYLZNRQS-ZDUSSCGKSA-N 0 3 320.889 4.463 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1ncccc1C(F)(F)F ZINC000429314368 338077474 /nfs/dbraw/zinc/07/74/74/338077474.db2.gz WBCUIGNOCNKBJZ-JTQLQIEISA-N 0 3 314.273 4.167 20 0 DIADHN CC[C@H](C)N(CCCC(C)C)Cc1nc2ccccc2c(=O)[nH]1 ZINC000429334184 338077756 /nfs/dbraw/zinc/07/77/56/338077756.db2.gz SXHKQPMGNXAKOW-HNNXBMFYSA-N 0 3 315.461 4.372 20 0 DIADHN COC1(C[C@@H](C)N[C@H](c2nc3ccccc3[nH]2)C(C)C)CCC1 ZINC000429413580 338080056 /nfs/dbraw/zinc/08/00/56/338080056.db2.gz VIKFOQMTODTASJ-PBHICJAKSA-N 0 3 315.461 4.197 20 0 DIADHN COC1(C[C@@H](C)N[C@@H](c2nc3ccccc3[nH]2)C(C)C)CCC1 ZINC000429413581 338080084 /nfs/dbraw/zinc/08/00/84/338080084.db2.gz VIKFOQMTODTASJ-RHSMWYFYSA-N 0 3 315.461 4.197 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000429425586 338080524 /nfs/dbraw/zinc/08/05/24/338080524.db2.gz MCALLEKSVMAXLE-UONOGXRCSA-N 0 3 318.848 4.416 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@H](N[C@@H]1CC13CCCC3)CCC2 ZINC000429427999 338080702 /nfs/dbraw/zinc/08/07/02/338080702.db2.gz OVDAWBACJDIODY-HUUCEWRRSA-N 0 3 310.363 4.400 20 0 DIADHN COC1(C[C@H](C)NCc2cc(C)ccc2OC(F)F)CCC1 ZINC000429436548 338080886 /nfs/dbraw/zinc/08/08/86/338080886.db2.gz WGFPKXSYRRXCMU-ZDUSSCGKSA-N 0 3 313.388 4.034 20 0 DIADHN COc1cccc(C[C@H](NC2CC(F)(F)C2)c2ccccc2)c1 ZINC000429456729 338081668 /nfs/dbraw/zinc/08/16/68/338081668.db2.gz XMGCFPMOISUFRL-SFHVURJKSA-N 0 3 317.379 4.366 20 0 DIADHN C[C@@H]1C[C@@H](NC2CC(F)(F)C2)c2cccc(Br)c21 ZINC000429460251 338081764 /nfs/dbraw/zinc/08/17/64/338081764.db2.gz BOBFNBZRDMSWPZ-PRHODGIISA-N 0 3 316.189 4.385 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1ccc(Oc2ccccc2)cc1 ZINC000429459658 338081821 /nfs/dbraw/zinc/08/18/21/338081821.db2.gz YTKFNVYIKBWJLV-DZGCQCFKSA-N 0 3 321.367 4.146 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1ccc(C2CCCCC2)cc1 ZINC000429463554 338081867 /nfs/dbraw/zinc/08/18/67/338081867.db2.gz UIIYHJSYVXVJHF-SUMWQHHRSA-N 0 3 311.416 4.401 20 0 DIADHN FC1(F)CC(N[C@@H](Cc2cccnc2)c2cccc(Cl)c2)C1 ZINC000429465651 338082004 /nfs/dbraw/zinc/08/20/04/338082004.db2.gz DDZULCALVYWDEE-INIZCTEOSA-N 0 3 322.786 4.406 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1ccc(-c2cccs2)cc1 ZINC000429466363 338082039 /nfs/dbraw/zinc/08/20/39/338082039.db2.gz YOSFBJJGFFNRGS-SMDDNHRTSA-N 0 3 311.397 4.082 20 0 DIADHN FC1(F)CC(N[C@H]2CCCOc3cc4c(cc32)CCCC4)C1 ZINC000429472303 338082209 /nfs/dbraw/zinc/08/22/09/338082209.db2.gz SZBBBSMMDCJXMQ-INIZCTEOSA-N 0 3 307.384 4.166 20 0 DIADHN C[C@H]1CC[C@@H](N(C)Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000429581497 338084974 /nfs/dbraw/zinc/08/49/74/338084974.db2.gz ZJXKACDBKSGKKC-CMPLNLGQSA-N 0 3 312.335 4.467 20 0 DIADHN CCN(C(=O)[C@H](C)[C@@H](N)c1ccccc1)c1cccc(Cl)c1 ZINC000429676122 338087156 /nfs/dbraw/zinc/08/71/56/338087156.db2.gz PWBCAWIWRXIJDE-CXAGYDPISA-N 0 3 316.832 4.029 20 0 DIADHN Cc1cnccc1OC1CCN(CCCSCC(C)C)CC1 ZINC000429723590 338088493 /nfs/dbraw/zinc/08/84/93/338088493.db2.gz UZBFNGSMYZHNMP-UHFFFAOYSA-N 0 3 322.518 4.013 20 0 DIADHN FC1(F)CC[C@@H](CNCc2csc(-c3ccccc3)n2)C1 ZINC000430007505 338093327 /nfs/dbraw/zinc/09/33/27/338093327.db2.gz RYPKJKGKPOQXRC-GFCCVEGCSA-N 0 3 308.397 4.335 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2ccc3c(c2)CC(C)(C)O3)C1 ZINC000430011549 338093400 /nfs/dbraw/zinc/09/34/00/338093400.db2.gz OLFOMSOKFGCLNZ-HNAYVOBHSA-N 0 3 322.452 4.378 20 0 DIADHN CSCCCCNCc1cc(Cl)ccc1OCC1CC1 ZINC000430020577 338093646 /nfs/dbraw/zinc/09/36/46/338093646.db2.gz JWSXPKUEFYZJIL-UHFFFAOYSA-N 0 3 313.894 4.362 20 0 DIADHN CC1(CNCc2cc(Cl)ccc2OCC2CC2)CCOCC1 ZINC000430032052 338094040 /nfs/dbraw/zinc/09/40/40/338094040.db2.gz DWTWHBJYBAGALG-UHFFFAOYSA-N 0 3 323.864 4.035 20 0 DIADHN CCC(CC)N(CC)Cc1cnc(-c2ccccc2OC)nc1 ZINC000430078003 338095045 /nfs/dbraw/zinc/09/50/45/338095045.db2.gz NNBQETDCSQMHIK-UHFFFAOYSA-N 0 3 313.445 4.163 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccc(Oc3cnccn3)cc2)CC12CCC2 ZINC000430085556 338095329 /nfs/dbraw/zinc/09/53/29/338095329.db2.gz ATLDEMXDINHNHA-IBGZPJMESA-N 0 3 323.440 4.279 20 0 DIADHN COc1ccc(CN2CC[C@]3(C)[C@H](C2)C3(F)F)c2ccccc12 ZINC000430088163 338095537 /nfs/dbraw/zinc/09/55/37/338095537.db2.gz VSCKGFFLAMVPPZ-ZWKOTPCHSA-N 0 3 317.379 4.326 20 0 DIADHN CCOC1(C)CCN(Cc2ccc3c(c2)CCC(C)(C)O3)CC1 ZINC000430089384 338095697 /nfs/dbraw/zinc/09/56/97/338095697.db2.gz MZZKFNMSOVTFJX-UHFFFAOYSA-N 0 3 317.473 4.181 20 0 DIADHN CC(C)N(Cc1ccc([S@](C)=O)cc1)[C@H](C)c1ccccc1 ZINC000430097396 338096257 /nfs/dbraw/zinc/09/62/57/338096257.db2.gz AVCDOVLUGJQLCZ-ZHRRBRCNSA-N 0 3 315.482 4.396 20 0 DIADHN COc1ccc([C@@H](C)CN2CC(C)(C)[C@@H]2c2cccnc2)cc1 ZINC000430095486 338096298 /nfs/dbraw/zinc/09/62/98/338096298.db2.gz BKWMXBZKSUSAPE-KXBFYZLASA-N 0 3 310.441 4.277 20 0 DIADHN C[C@]12CCN(Cc3cccc(-c4ccncc4)c3)C[C@H]1C2(F)F ZINC000430097252 338096359 /nfs/dbraw/zinc/09/63/59/338096359.db2.gz HRPANNGVGBTAPR-MSOLQXFVSA-N 0 3 314.379 4.226 20 0 DIADHN Cc1cc(C)n2c(CN(C(C)C)[C@@H](C)c3ccccc3)cnc2n1 ZINC000430108794 338097138 /nfs/dbraw/zinc/09/71/38/338097138.db2.gz XARNUGRHTVYHHO-KRWDZBQOSA-N 0 3 322.456 4.318 20 0 DIADHN COc1c(Br)cccc1CN(C1CCC1)C1CCC1 ZINC000430138770 338098709 /nfs/dbraw/zinc/09/87/09/338098709.db2.gz ODOZGVMVNURJJE-UHFFFAOYSA-N 0 3 324.262 4.365 20 0 DIADHN CSc1cc2c(cc1CN(C1CCC1)C1CCC1)OCCO2 ZINC000430144458 338099008 /nfs/dbraw/zinc/09/90/08/338099008.db2.gz ZQKVCQXVMLSGEE-UHFFFAOYSA-N 0 3 319.470 4.087 20 0 DIADHN CSC[C@@H]1CCCN(CCc2ccc(F)cc2Cl)C1 ZINC000430192646 338100521 /nfs/dbraw/zinc/10/05/21/338100521.db2.gz CMXZGUJIJMUREP-GFCCVEGCSA-N 0 3 301.858 4.097 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc3c(ccc(C)c3C)[nH]2)C1 ZINC000430193199 338100525 /nfs/dbraw/zinc/10/05/25/338100525.db2.gz FTVAHGUBLRMQMI-OAHLLOKOSA-N 0 3 302.487 4.360 20 0 DIADHN CC[C@@H]1CCN1Cc1c[nH]nc1-c1ccc(C(F)(F)F)cc1 ZINC000430195052 338100679 /nfs/dbraw/zinc/10/06/79/338100679.db2.gz MPKCOZRJBBOVAC-CQSZACIVSA-N 0 3 309.335 4.080 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2OC)nc1)[C@H](C)C(C)C ZINC000430234429 338102521 /nfs/dbraw/zinc/10/25/21/338102521.db2.gz ACEXFHUZFIOSBI-OAHLLOKOSA-N 0 3 313.445 4.019 20 0 DIADHN COc1ccccc1-c1ncc(CN(CC(C)C)C(C)C)cn1 ZINC000430248404 338103057 /nfs/dbraw/zinc/10/30/57/338103057.db2.gz ZLSQEUWLUWNBLS-UHFFFAOYSA-N 0 3 313.445 4.019 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccc(OCc3ccncc3)cc2)C1 ZINC000430276036 338103906 /nfs/dbraw/zinc/10/39/06/338103906.db2.gz CDALSGATSJFLAA-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)[C@@H](C)[C@@H](N)c2ccccc2)c1 ZINC000430407401 338106520 /nfs/dbraw/zinc/10/65/20/338106520.db2.gz IRCYJYUIJCYYEX-ABSDTBQOSA-N 0 3 324.468 4.159 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCSCc2ccccc2)on1 ZINC000430742889 338113091 /nfs/dbraw/zinc/11/30/91/338113091.db2.gz PTQCNZZRIUXYQE-INIZCTEOSA-N 0 3 302.443 4.053 20 0 DIADHN FC(F)(F)C[C@@H]1CCN(CCSCc2ccccc2)C1 ZINC000430760926 338114107 /nfs/dbraw/zinc/11/41/07/338114107.db2.gz UIVRYLSSQAILRD-AWEZNQCLSA-N 0 3 303.393 4.194 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCS2)c2ccccc2)cc1 ZINC000149490741 338115128 /nfs/dbraw/zinc/11/51/28/338115128.db2.gz PBALTEFXXPMZDI-ZWKOTPCHSA-N 0 3 301.430 4.400 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@@H](C)Cc1ccccc1 ZINC000430862966 338117296 /nfs/dbraw/zinc/11/72/96/338117296.db2.gz GAYXWUPEPNVSAH-HKUYNNGSSA-N 0 3 311.473 4.309 20 0 DIADHN C[C@@H](N[C@H]1CC2CCC1CC2)c1nc(-c2cccs2)no1 ZINC000431119141 338123209 /nfs/dbraw/zinc/12/32/09/338123209.db2.gz IFDZQMCODYXWSQ-XVSSEFHLSA-N 0 3 303.431 4.027 20 0 DIADHN CCC[C@](C)(O)CN[C@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000431326137 338127981 /nfs/dbraw/zinc/12/79/81/338127981.db2.gz AKZSQHCGCZSYED-RDJZCZTQSA-N 0 3 311.853 4.003 20 0 DIADHN CC(C)c1ccccc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000431524022 338133072 /nfs/dbraw/zinc/13/30/72/338133072.db2.gz ZYRCVSCRTMCVNV-LJQANCHMSA-N 0 3 310.441 4.442 20 0 DIADHN CCC[C@@H](CCO)CNCc1ccc(F)c(Cl)c1Cl ZINC000432228951 338149196 /nfs/dbraw/zinc/14/91/96/338149196.db2.gz NWFGGYUFKWOMMP-JTQLQIEISA-N 0 3 308.224 4.021 20 0 DIADHN C[C@H](O)CC(C)(C)CNCc1ccc(F)c(Cl)c1Cl ZINC000432244498 338150240 /nfs/dbraw/zinc/15/02/40/338150240.db2.gz CIRBXLYXLZDVOR-VIFPVBQESA-N 0 3 308.224 4.019 20 0 DIADHN C[C@H](Cc1cccc(O)c1)NCc1csc(Cl)c1Cl ZINC000432248549 338150668 /nfs/dbraw/zinc/15/06/68/338150668.db2.gz XGWCTUJQBSWBIU-SECBINFHSA-N 0 3 316.253 4.481 20 0 DIADHN C[C@H](Cc1ccncc1)NCc1ccc(F)c(Cl)c1Cl ZINC000432250562 338150853 /nfs/dbraw/zinc/15/08/53/338150853.db2.gz KSHXZSKVAXZHBP-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2csc(C3CC3)n2)C1 ZINC000432250740 338150915 /nfs/dbraw/zinc/15/09/15/338150915.db2.gz MNDUASPSUNIEFV-UHFFFAOYSA-N 0 3 308.491 4.094 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2cc(F)c(F)cc2F)C1 ZINC000432251540 338151018 /nfs/dbraw/zinc/15/10/18/338151018.db2.gz TUZXYSIHBMKUCE-UHFFFAOYSA-N 0 3 315.379 4.177 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1Cl)C1CCOCC1 ZINC000432258552 338151643 /nfs/dbraw/zinc/15/16/43/338151643.db2.gz ZPROIEWHRCBFPI-VIFPVBQESA-N 0 3 306.208 4.037 20 0 DIADHN C[C@@H](CCO)C1(CNCc2csc(Cl)c2Cl)CCC1 ZINC000432259393 338151677 /nfs/dbraw/zinc/15/16/77/338151677.db2.gz ONRLZBGPMJXYRU-JTQLQIEISA-N 0 3 322.301 4.333 20 0 DIADHN CN(Cc1nc2ccc(F)cc2s1)C1Cc2ccccc2C1 ZINC000432749943 338166225 /nfs/dbraw/zinc/16/62/25/338166225.db2.gz JWLLEXHYDLALJN-UHFFFAOYSA-N 0 3 312.413 4.035 20 0 DIADHN Fc1ccc2nc(CN3CC4C[C@@H]5CC3C[C@H](C4)C5)sc2c1 ZINC000432751988 338166479 /nfs/dbraw/zinc/16/64/79/338166479.db2.gz HAXRTEUOJNXLOG-DOOHLRMFSA-N 0 3 316.445 4.446 20 0 DIADHN Cc1cccc(CN(CCC2CCOCC2)Cc2ccccn2)c1 ZINC000432776887 338167289 /nfs/dbraw/zinc/16/72/89/338167289.db2.gz RIJQNQYBEIWHPE-UHFFFAOYSA-N 0 3 324.468 4.209 20 0 DIADHN COc1cc(CN2CC3(CCC3)C[C@H]2C)cc(Cl)c1OC ZINC000432790210 338167944 /nfs/dbraw/zinc/16/79/44/338167944.db2.gz VYDAMEKVAQPUGW-GFCCVEGCSA-N 0 3 309.837 4.122 20 0 DIADHN CN(Cc1cn2c(n1)CCCC2)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000432793778 338168007 /nfs/dbraw/zinc/16/80/07/338168007.db2.gz RUDSLLMGWXLXAW-FPOVZHCZSA-N 0 3 323.484 4.162 20 0 DIADHN CC(C)[C@@H](O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000432833519 338169892 /nfs/dbraw/zinc/16/98/92/338169892.db2.gz WWXDRLSPNDOCJQ-HOTGVXAUSA-N 0 3 315.379 4.249 20 0 DIADHN CCC[C@@H](NCc1nc(C(=O)OCC)cs1)C1CCCCC1 ZINC000432841110 338170445 /nfs/dbraw/zinc/17/04/45/338170445.db2.gz PGWHVMVNFIPLKN-CQSZACIVSA-N 0 3 324.490 4.158 20 0 DIADHN CCOC(=O)c1csc(CN[C@H](C)[C@H](C)C2CCCCC2)n1 ZINC000432850271 338170743 /nfs/dbraw/zinc/17/07/43/338170743.db2.gz FDTLZQFTDGKRTK-QWHCGFSZSA-N 0 3 324.490 4.014 20 0 DIADHN C[C@@H](CN(C)Cc1ccc(C(F)(F)F)s1)c1nccs1 ZINC000432914856 338172775 /nfs/dbraw/zinc/17/27/75/338172775.db2.gz JKHXSZULMLQPDX-VIFPVBQESA-N 0 3 320.405 4.459 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN(CCC2CCOCC2)C2CC2)o1 ZINC000432939442 338174347 /nfs/dbraw/zinc/17/43/47/338174347.db2.gz OFPDJQDYDNNXER-KSSFIOAISA-N 0 3 303.446 4.184 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC4(CCC4)C[C@@H]3C)s2)o1 ZINC000433025466 338177029 /nfs/dbraw/zinc/17/70/29/338177029.db2.gz KZQJBCHLQJKPTQ-LBPRGKRZSA-N 0 3 302.443 4.476 20 0 DIADHN C[C@H]1CC2(CCC2)CN1Cc1c(C2CC2)nc2sccn12 ZINC000433028127 338177163 /nfs/dbraw/zinc/17/71/63/338177163.db2.gz YDTIWZQGWFLQSW-LBPRGKRZSA-N 0 3 301.459 4.038 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc4c(c3)CCC4)CC2)s1 ZINC000433029373 338177275 /nfs/dbraw/zinc/17/72/75/338177275.db2.gz GFAJHWYTNWZUGX-UHFFFAOYSA-N 0 3 312.482 4.320 20 0 DIADHN C[C@H]1C[C@@H](NCC2(CO)CC3(CCC3)C2)c2ccsc2S1 ZINC000433056995 338179123 /nfs/dbraw/zinc/17/91/23/338179123.db2.gz JMTAQVQHBYNNDO-GXTWGEPZSA-N 0 3 323.527 4.206 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN[C@H]1CC2CCC1CC2 ZINC000433062258 338179536 /nfs/dbraw/zinc/17/95/36/338179536.db2.gz SNHITJHCUCSWSL-RUXDESIVSA-N 0 3 302.443 4.380 20 0 DIADHN CCn1cccc(CN[C@@H](CC(C)C)c2ccccc2C)c1=O ZINC000433101983 338181896 /nfs/dbraw/zinc/18/18/96/338181896.db2.gz QGCQFFWPDBSDKJ-IBGZPJMESA-N 0 3 312.457 4.054 20 0 DIADHN CCn1cccc(CN[C@H](CC(C)C)c2ccccc2C)c1=O ZINC000433101985 338181937 /nfs/dbraw/zinc/18/19/37/338181937.db2.gz QGCQFFWPDBSDKJ-LJQANCHMSA-N 0 3 312.457 4.054 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H]2CCCCN2C2CCCC2)c1 ZINC000433117946 338182421 /nfs/dbraw/zinc/18/24/21/338182421.db2.gz QDWRKXAOAGRHRY-GOSISDBHSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1cnc(C2CCN(CCOc3cccc(C)c3)CC2)s1 ZINC000433140496 338182894 /nfs/dbraw/zinc/18/28/94/338182894.db2.gz HQXDMKPEVIFULD-UHFFFAOYSA-N 0 3 316.470 4.018 20 0 DIADHN Cc1c([C@@H](C)NC2CC(C)(C)C2)cnn1-c1ccc(F)cc1 ZINC000433168808 338183911 /nfs/dbraw/zinc/18/39/11/338183911.db2.gz OQTQPYSURXABHQ-GFCCVEGCSA-N 0 3 301.409 4.159 20 0 DIADHN CC1(C)CC(N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000433178462 338184161 /nfs/dbraw/zinc/18/41/61/338184161.db2.gz RXJKKTAJRXVHJW-GOSISDBHSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1cc(CNc2cccc3c2OCC[C@@H]3N(C)C)ccc1F ZINC000433188159 338184925 /nfs/dbraw/zinc/18/49/25/338184925.db2.gz AXOPPIFJMBHEGL-SFHVURJKSA-N 0 3 314.404 4.131 20 0 DIADHN CCCN(CC1(C)CCC1)[C@H](C)C(=O)Nc1ccccc1C ZINC000433192777 338185036 /nfs/dbraw/zinc/18/50/36/338185036.db2.gz MPHAOAXUDVCEHM-MRXNPFEDSA-N 0 3 302.462 4.224 20 0 DIADHN OCC1(CNCc2ccsc2Cl)CC(c2ccccc2)C1 ZINC000433190868 338185164 /nfs/dbraw/zinc/18/51/64/338185164.db2.gz SNQATGKAQYPJCK-UHFFFAOYSA-N 0 3 321.873 4.047 20 0 DIADHN CCOc1ccccc1CNC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433199966 338185566 /nfs/dbraw/zinc/18/55/66/338185566.db2.gz FXZUNRNVMXHIEF-SFHVURJKSA-N 0 3 324.468 4.092 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCC1CCOCC1 ZINC000433207325 338185943 /nfs/dbraw/zinc/18/59/43/338185943.db2.gz KNOMGEASYFJAPJ-MRXNPFEDSA-N 0 3 315.379 4.395 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC[C@H]3C[C@@H]3c3ccccc3)cccc21 ZINC000433209661 338186027 /nfs/dbraw/zinc/18/60/27/338186027.db2.gz SJXXLINXXNYFOP-YVWKXTFCSA-N 0 3 322.452 4.287 20 0 DIADHN OCC1(CNCc2coc3ccccc23)CC(c2ccccc2)C1 ZINC000433210728 338186110 /nfs/dbraw/zinc/18/61/10/338186110.db2.gz XLWFUIJDVLGRDA-UHFFFAOYSA-N 0 3 321.420 4.079 20 0 DIADHN CC(C)[C@@H](NC(=O)C[C@@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000433217021 338186280 /nfs/dbraw/zinc/18/62/80/338186280.db2.gz AZLDPJUJAVQTDZ-PZJWPPBQSA-N 0 3 324.468 4.193 20 0 DIADHN CCCc1ccccc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000433217966 338186385 /nfs/dbraw/zinc/18/63/85/338186385.db2.gz CHBWWBOZKAMNND-LJQANCHMSA-N 0 3 310.441 4.271 20 0 DIADHN CSc1ccccc1NC(=O)C[C@H](c1ccccc1)N(C)C ZINC000433221544 338186411 /nfs/dbraw/zinc/18/64/11/338186411.db2.gz UQDXRIQCCXVWLU-MRXNPFEDSA-N 0 3 314.454 4.040 20 0 DIADHN FC(F)(F)COCCCN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000433283149 338189341 /nfs/dbraw/zinc/18/93/41/338189341.db2.gz CAVSCKFHTHENJO-CYBMUJFWSA-N 0 3 321.770 4.098 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2ccc(-c3cc[nH]n3)o2)c(C)c1 ZINC000433325006 338192496 /nfs/dbraw/zinc/19/24/96/338192496.db2.gz DMBBQALAHBBSMV-OAHLLOKOSA-N 0 3 309.413 4.007 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433329310 338192767 /nfs/dbraw/zinc/19/27/67/338192767.db2.gz UAWJQKCQMZGPTH-BFUOFWGJSA-N 0 3 307.397 4.083 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1ncc(C(F)(F)F)s1 ZINC000433336709 338193166 /nfs/dbraw/zinc/19/31/66/338193166.db2.gz ARAHBSMUDRPEPX-LBPRGKRZSA-N 0 3 314.376 4.273 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)s1 ZINC000433341636 338193349 /nfs/dbraw/zinc/19/33/49/338193349.db2.gz SWLXHQHPRYVIJO-GMTAPVOTSA-N 0 3 304.381 4.220 20 0 DIADHN CC1(C)C[C@H](NCc2ccc(-c3cc[nH]n3)o2)c2ccccc21 ZINC000433340600 338193415 /nfs/dbraw/zinc/19/34/15/338193415.db2.gz FCINUWJVDVDZKQ-KRWDZBQOSA-N 0 3 307.397 4.182 20 0 DIADHN CC[C@H](C)[C@@H](C)N(C(=O)C[C@H](c1ccccc1)N(C)C)C1CC1 ZINC000433363029 338193803 /nfs/dbraw/zinc/19/38/03/338193803.db2.gz QKQIXRHKVZOQDM-FRQCXROJSA-N 0 3 316.489 4.105 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCN(C(C)C)C1=O)c1ccc(Cl)cc1 ZINC000433778984 338201588 /nfs/dbraw/zinc/20/15/88/338201588.db2.gz FBAXJPCHHXDXGJ-IRXDYDNUSA-N 0 3 322.880 4.026 20 0 DIADHN c1cc(CN2CCCCC2)cc(-c2noc([C@@H]3C[C@H]3C3CC3)n2)c1 ZINC000434205627 338212254 /nfs/dbraw/zinc/21/22/54/338212254.db2.gz GCFDZVVADNQXTL-ZWKOTPCHSA-N 0 3 323.440 4.236 20 0 DIADHN Clc1cccc(CCN2CCC(c3ncc[nH]3)CC2)c1Cl ZINC000434472927 338216913 /nfs/dbraw/zinc/21/69/13/338216913.db2.gz CMSDMLNEYDIVIY-UHFFFAOYSA-N 0 3 324.255 4.139 20 0 DIADHN Cc1ccn(C2CCN(Cc3ccc(C(C)(C)C)cc3)CC2)n1 ZINC000434500026 338218619 /nfs/dbraw/zinc/21/86/19/338218619.db2.gz PMNILUADNPYZEX-UHFFFAOYSA-N 0 3 311.473 4.326 20 0 DIADHN CC1(C)CCN(Cc2cc(-c3ccccc3)no2)CCS1 ZINC000434671655 338223069 /nfs/dbraw/zinc/22/30/69/338223069.db2.gz JFUXCDGOOGZKCO-UHFFFAOYSA-N 0 3 302.443 4.059 20 0 DIADHN CC1(C)CCN(Cc2ccc(OC(F)F)cc2)CCS1 ZINC000434679963 338223213 /nfs/dbraw/zinc/22/32/13/338223213.db2.gz GRAGIUGYTHMCBA-UHFFFAOYSA-N 0 3 301.402 4.006 20 0 DIADHN Cc1cccc2nc(CN3CC[C@@H](c4ccccc4)[C@H]3C)cn21 ZINC000434901245 338227489 /nfs/dbraw/zinc/22/74/89/338227489.db2.gz UAMLMUAKLXMJOQ-VQIMIIECSA-N 0 3 305.425 4.021 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CC[C@@H](c2ccccc2)[C@@H]1C ZINC000434903846 338227593 /nfs/dbraw/zinc/22/75/93/338227593.db2.gz MWMZRLOQCXPHRG-JENIJYKNSA-N 0 3 322.452 4.200 20 0 DIADHN CCN(Cc1ccc(-c2cc[nH]n2)o1)Cc1c[nH]c2ccccc12 ZINC000434985852 338229076 /nfs/dbraw/zinc/22/90/76/338229076.db2.gz KYGGYVJDTOAHOM-UHFFFAOYSA-N 0 3 320.396 4.173 20 0 DIADHN C[C@H](CC1CCCC1)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000435033173 338230200 /nfs/dbraw/zinc/23/02/00/338230200.db2.gz JVVZKDXPBQVQCL-RDTXWAMCSA-N 0 3 302.462 4.453 20 0 DIADHN Cc1ccc([O-])c(C[N@@H+](C)[C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000435274207 338236136 /nfs/dbraw/zinc/23/61/36/338236136.db2.gz HFMWTIPBIOLYKV-SFHVURJKSA-N 0 3 302.393 4.064 20 0 DIADHN Cc1ccc([O-])c(C[N@H+](C)[C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000435274207 338236137 /nfs/dbraw/zinc/23/61/37/338236137.db2.gz HFMWTIPBIOLYKV-SFHVURJKSA-N 0 3 302.393 4.064 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1NCc1nc2ccccc2[nH]1 ZINC000435740865 338247368 /nfs/dbraw/zinc/24/73/68/338247368.db2.gz GJEBCJRAJMOVTL-QZTJIDSGSA-N 0 3 315.461 4.026 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC[C@H]2c2ccc[nH]2)c1 ZINC000435771041 338248472 /nfs/dbraw/zinc/24/84/72/338248472.db2.gz SHHYWWDVMJBYOU-HNNXBMFYSA-N 0 3 310.319 4.250 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000435785139 338249060 /nfs/dbraw/zinc/24/90/60/338249060.db2.gz AQFSHWRKWUYJLJ-WKILWMFISA-N 0 3 321.399 4.252 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2C[C@H](c3ccccc3)C2)s1 ZINC000435788222 338249366 /nfs/dbraw/zinc/24/93/66/338249366.db2.gz DKLUXYUMQTVQSC-WKILWMFISA-N 0 3 300.471 4.377 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000435790022 338249480 /nfs/dbraw/zinc/24/94/80/338249480.db2.gz ZJDQMQCXYGUFSF-KESTWPANSA-N 0 3 317.436 4.217 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@@H]2[C@H](C)[C@@H]2c2ccccc2)c1 ZINC000435800155 338249725 /nfs/dbraw/zinc/24/97/25/338249725.db2.gz PEXOYFIFWLRFBC-CDHQVMDDSA-N 0 3 322.452 4.045 20 0 DIADHN Cc1cccc2nc(CN[C@@H]3CC[C@H](c4ccccc4)C3)cn21 ZINC000436233128 338262628 /nfs/dbraw/zinc/26/26/28/338262628.db2.gz QURYKQLVLUNGEY-ZWKOTPCHSA-N 0 3 305.425 4.069 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@H](Cc3ccccc3)C2)sc1C ZINC000436247103 338263310 /nfs/dbraw/zinc/26/33/10/338263310.db2.gz NIMVEWVLDFUGJH-SJORKVTESA-N 0 3 300.471 4.261 20 0 DIADHN c1oc(SCCCN2CCCCC2)nc1-c1ccccc1 ZINC000436247166 338263376 /nfs/dbraw/zinc/26/33/76/338263376.db2.gz NQDITPMOEMDTRN-UHFFFAOYSA-N 0 3 302.443 4.310 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CC[C@@H](c4ccccc4)C3)nc2c1 ZINC000436253648 338263751 /nfs/dbraw/zinc/26/37/51/338263751.db2.gz PVSZCNKZXQBUDD-IAGOWNOFSA-N 0 3 305.425 4.297 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CC[C@@H](c4ccccc4)C3)[nH]c2c1 ZINC000436253648 338263752 /nfs/dbraw/zinc/26/37/52/338263752.db2.gz PVSZCNKZXQBUDD-IAGOWNOFSA-N 0 3 305.425 4.297 20 0 DIADHN C[C@@H](COCc1ccccc1)NCc1cc2c(cccc2F)[nH]1 ZINC000436254982 338263843 /nfs/dbraw/zinc/26/38/43/338263843.db2.gz STMKBFSTMSYYSP-AWEZNQCLSA-N 0 3 312.388 4.002 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3c(cccc3F)[nH]2)cc1F ZINC000436275684 338265131 /nfs/dbraw/zinc/26/51/31/338265131.db2.gz PPVXZQLTIWCVMF-NSHDSACASA-N 0 3 316.351 4.306 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@H]2C[C@H](c3ccccc3)C2)c1 ZINC000436288364 338266261 /nfs/dbraw/zinc/26/62/61/338266261.db2.gz VOFDAPLRMZYSDV-DJIMGWMZSA-N 0 3 311.425 4.301 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)cc(C(F)(F)F)c2)CCCO1 ZINC000436299129 338267442 /nfs/dbraw/zinc/26/74/42/338267442.db2.gz IYSIYZQNWDEWCP-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@@H](C)c1cnn(C(C)C)c1 ZINC000436301685 338267630 /nfs/dbraw/zinc/26/76/30/338267630.db2.gz NCPKFSZRCPIRJH-QXAKKESOSA-N 0 3 321.509 4.489 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2)C1)c1nc2ccccc2n1C ZINC000436306253 338267976 /nfs/dbraw/zinc/26/79/76/338267976.db2.gz HYDQZEKOXPEELR-XIRDDKMYSA-N 0 3 305.425 4.170 20 0 DIADHN Cc1cnc(NC[C@H](c2ccc(C)cc2)N2CCCC2)s1 ZINC000436311124 338268405 /nfs/dbraw/zinc/26/84/05/338268405.db2.gz SVRKXCYDDLQPFC-MRXNPFEDSA-N 0 3 301.459 4.009 20 0 DIADHN COC[C@@H](N[C@H]1C[C@H](c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000436312243 338268508 /nfs/dbraw/zinc/26/85/08/338268508.db2.gz VMBXWAJHGUHCJN-GPMSIDNRSA-N 0 3 317.379 4.188 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CC[C@@H]2C(C)C)cn1 ZINC000436414019 338271706 /nfs/dbraw/zinc/27/17/06/338271706.db2.gz OYENIDHOSIDTPQ-XLIONFOSSA-N 0 3 310.441 4.140 20 0 DIADHN COc1cc(CN2CC[C@H](C(F)(F)F)C2(C)C)ccc1C ZINC000436490834 338274016 /nfs/dbraw/zinc/27/40/16/338274016.db2.gz YWMVIWHZMIKWQK-AWEZNQCLSA-N 0 3 301.352 4.166 20 0 DIADHN O=C(Nc1ccccc1)C1(N[C@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC000437022538 338298159 /nfs/dbraw/zinc/29/81/59/338298159.db2.gz VOJLQZIIEQVCGY-MJGOQNOKSA-N 0 3 320.436 4.084 20 0 DIADHN C[C@H](N[C@H](CO)c1ccsc1)c1cc2ccccc2s1 ZINC000437031012 338298558 /nfs/dbraw/zinc/29/85/58/338298558.db2.gz VIMGBIDKSMENMD-SMDDNHRTSA-N 0 3 303.452 4.347 20 0 DIADHN COc1cnccc1[C@@H](C)NC1CC(c2ccc(Cl)cc2)C1 ZINC000437080897 338299756 /nfs/dbraw/zinc/29/97/56/338299756.db2.gz GBMZFOFLMDJBDT-XEBKBJJBSA-N 0 3 316.832 4.340 20 0 DIADHN CCSc1ccccc1[C@@H](C)N[C@H](CO)Cc1ccccc1 ZINC000437086255 338300051 /nfs/dbraw/zinc/30/00/51/338300051.db2.gz DYAGYKNFXJLUTF-WBVHZDCISA-N 0 3 315.482 4.053 20 0 DIADHN CCOC(C)(C)CN[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000437086109 338300073 /nfs/dbraw/zinc/30/00/73/338300073.db2.gz QGTMDJOTITUTNK-ZDUSSCGKSA-N 0 3 302.245 4.385 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)cc1F ZINC000437087052 338300167 /nfs/dbraw/zinc/30/01/67/338300167.db2.gz MWCYUIUELGXXPL-GWCFXTLKSA-N 0 3 307.331 4.462 20 0 DIADHN Cc1nc(C)c([C@H](C)NCc2ccccc2N(C)C(C)C)s1 ZINC000437089888 338300315 /nfs/dbraw/zinc/30/03/15/338300315.db2.gz CYMRULPPWLYYKW-ZDUSSCGKSA-N 0 3 317.502 4.455 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](CC(F)(F)F)C(C)C ZINC000437101532 338301092 /nfs/dbraw/zinc/30/10/92/338301092.db2.gz WCYMDIHIMMHYDF-KBPBESRZSA-N 0 3 301.352 4.249 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)c(F)c1 ZINC000437102831 338301131 /nfs/dbraw/zinc/30/11/31/338301131.db2.gz HMNVGUNKLHHFKB-YGRLFVJLSA-N 0 3 307.331 4.462 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@@H](C)CC(C)(C)c1ccccc1 ZINC000437106337 338301360 /nfs/dbraw/zinc/30/13/60/338301360.db2.gz GYLVDUCBVWBBPK-JKSUJKDBSA-N 0 3 312.457 4.497 20 0 DIADHN Cc1cccc(OCCN(CCC(F)(F)F)CC2CC2)c1 ZINC000437509878 338312012 /nfs/dbraw/zinc/31/20/12/338312012.db2.gz XRSUWUKBGYTSDI-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN c1c(CN2CCS[C@@H]3CCCC[C@H]32)onc1-c1ccccc1 ZINC000437523963 338312537 /nfs/dbraw/zinc/31/25/37/338312537.db2.gz IXIBRCMXTJESTE-QZTJIDSGSA-N 0 3 314.454 4.202 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)CC[C@H]1CCOC1 ZINC000437578819 338314333 /nfs/dbraw/zinc/31/43/33/338314333.db2.gz MWDILNSHPDRUOL-NEPJUHHUSA-N 0 3 302.245 4.413 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N(C)CC[C@H]1CCOC1 ZINC000437579044 338314381 /nfs/dbraw/zinc/31/43/81/338314381.db2.gz MWDILNSHPDRUOL-RYUDHWBXSA-N 0 3 302.245 4.413 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccsc1)Cc1cccc(F)c1 ZINC000437590510 338315244 /nfs/dbraw/zinc/31/52/44/338315244.db2.gz MOJXAQQGZWBQJM-UHFFFAOYSA-N 0 3 315.417 4.299 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](N2CCCC[C@@H]2c2ncc[nH]2)C1 ZINC000437712106 338319139 /nfs/dbraw/zinc/31/91/39/338319139.db2.gz AJKHWCXXSFRAIO-GGPKGHCWSA-N 0 3 309.457 4.447 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc4c(cccc4F)[nH]3)C2)cc1 ZINC000437740528 338319801 /nfs/dbraw/zinc/31/98/01/338319801.db2.gz BWCQZHVONKNWJW-OAHLLOKOSA-N 0 3 324.399 4.305 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](NCc2ccc(Cl)cn2)C1 ZINC000437790900 338321702 /nfs/dbraw/zinc/32/17/02/338321702.db2.gz ZIUFGGULOVWKLE-AEFFLSMTSA-N 0 3 300.833 4.335 20 0 DIADHN CC(C)Cn1ccnc1CN[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437792507 338321827 /nfs/dbraw/zinc/32/18/27/338321827.db2.gz APLWNNLUQGKBOZ-QUCCMNQESA-N 0 3 311.473 4.139 20 0 DIADHN Cc1ccc(NC(=O)Nc2ccccc2CCN(C)C)cc1C ZINC000437915162 338325100 /nfs/dbraw/zinc/32/51/00/338325100.db2.gz KNLURGRHTNWDBU-UHFFFAOYSA-N 0 3 311.429 4.052 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3c[nH]nc3-c3ccsc3)C2)c1 ZINC000437964896 338326677 /nfs/dbraw/zinc/32/66/77/338326677.db2.gz QZZRUDDVELJQEO-INIZCTEOSA-N 0 3 323.465 4.436 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1nc2ccccc2n1C1CC1 ZINC000437982982 338327217 /nfs/dbraw/zinc/32/72/17/338327217.db2.gz DLQAVCPRPYCDCA-AWEZNQCLSA-N 0 3 323.415 4.231 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@](C)(c3ccccc3)C2)s1 ZINC000438174958 338334810 /nfs/dbraw/zinc/33/48/10/338334810.db2.gz HPGMHOHZGXALGW-YJBOKZPZSA-N 0 3 300.471 4.306 20 0 DIADHN C[C@H](CNC1(c2nccs2)CCCC1)c1ccc(F)cc1 ZINC000438181378 338335217 /nfs/dbraw/zinc/33/52/17/338335217.db2.gz RSKSJWUYPDCIGK-CYBMUJFWSA-N 0 3 304.434 4.445 20 0 DIADHN CC[C@@](C)(NCCCCOc1ccccc1)c1nccs1 ZINC000438185026 338335556 /nfs/dbraw/zinc/33/55/56/338335556.db2.gz YQYPRLMGWDEFPH-QGZVFWFLSA-N 0 3 304.459 4.217 20 0 DIADHN CCc1noc(CC)c1CN[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000438187380 338335564 /nfs/dbraw/zinc/33/55/64/338335564.db2.gz ILKWDGZPLSKVFW-JXFKEZNVSA-N 0 3 312.457 4.399 20 0 DIADHN CC[C@](C)(NCCCCOc1ccccc1)c1nccs1 ZINC000438185025 338335580 /nfs/dbraw/zinc/33/55/80/338335580.db2.gz YQYPRLMGWDEFPH-KRWDZBQOSA-N 0 3 304.459 4.217 20 0 DIADHN CCc1noc(CC)c1CN[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000438187383 338335664 /nfs/dbraw/zinc/33/56/64/338335664.db2.gz ILKWDGZPLSKVFW-UZLBHIALSA-N 0 3 312.457 4.399 20 0 DIADHN CC(C)(C)c1nnc(CN[C@H]2CCC[C@H](C(F)(F)F)C2)s1 ZINC000438189067 338335710 /nfs/dbraw/zinc/33/57/10/338335710.db2.gz KPFFUZYNYVGROZ-UWVGGRQHSA-N 0 3 321.412 4.046 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@](C)(c3ccccc3)C2)cs1 ZINC000438213714 338336866 /nfs/dbraw/zinc/33/68/66/338336866.db2.gz KVTKZTBHHIFQHW-QAPCUYQASA-N 0 3 300.471 4.306 20 0 DIADHN Cc1sc(CN[C@@H](C)Cc2ccccc2F)nc1C(C)C ZINC000438216954 338336951 /nfs/dbraw/zinc/33/69/51/338336951.db2.gz UXFFDUIRGBDCPL-LBPRGKRZSA-N 0 3 306.450 4.435 20 0 DIADHN Cc1cc(-c2noc([C@H]3CCCN3C3CCCC3)n2)ccc1F ZINC000438244601 338337727 /nfs/dbraw/zinc/33/77/27/338337727.db2.gz SHTIDSHSGVRCIT-MRXNPFEDSA-N 0 3 315.392 4.264 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccccc3Cl)o2)CC[C@H]1O ZINC000438330449 338339971 /nfs/dbraw/zinc/33/99/71/338339971.db2.gz YUHNMAFVEJQMKJ-QGZVFWFLSA-N 0 3 319.832 4.193 20 0 DIADHN CC1(C)CCCCN(Cc2cnc(-c3ccncc3)s2)C1 ZINC000438349884 338340716 /nfs/dbraw/zinc/34/07/16/338340716.db2.gz QJGKGYQQIMFYSX-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1ccc(Cl)cc1 ZINC000438348710 338340740 /nfs/dbraw/zinc/34/07/40/338340740.db2.gz YMLYRNGGDPMLIY-UHFFFAOYSA-N 0 3 302.849 4.474 20 0 DIADHN CC(C)C(C)(C)CNCc1csc(COc2ccccc2)n1 ZINC000438351934 338340809 /nfs/dbraw/zinc/34/08/09/338340809.db2.gz FWGGZPZOGIOUJK-UHFFFAOYSA-N 0 3 318.486 4.494 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CCCC2(CCCC2)C1 ZINC000438351127 338340915 /nfs/dbraw/zinc/34/09/15/338340915.db2.gz CHMXIEQZPJFTBI-UHFFFAOYSA-N 0 3 303.494 4.411 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](CC(F)(F)F)C(C)C ZINC000438467206 338344640 /nfs/dbraw/zinc/34/46/40/338344640.db2.gz RRFWIEIIFNXAMS-GFCCVEGCSA-N 0 3 309.759 4.415 20 0 DIADHN C[C@@H](CN[C@@H]1CCOc2c(F)cccc21)c1ccc(F)cc1 ZINC000438460967 338344387 /nfs/dbraw/zinc/34/43/87/338344387.db2.gz NKJCYIRDBPUQNJ-YVEFUNNKSA-N 0 3 303.352 4.182 20 0 DIADHN CC[C@H]1CCN([C@H](C)C(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000438476110 338345180 /nfs/dbraw/zinc/34/51/80/338345180.db2.gz FBXFELMAKBWFHR-SJORKVTESA-N 0 3 322.452 4.413 20 0 DIADHN COc1ccccc1/C=C\CN[C@H](CC(F)(F)F)C(C)C ZINC000438490376 338345925 /nfs/dbraw/zinc/34/59/25/338345925.db2.gz ZWXJOHQYZSMIIS-IQCBXXCUSA-N 0 3 301.352 4.275 20 0 DIADHN CC(C)[C@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000438508477 338346580 /nfs/dbraw/zinc/34/65/80/338346580.db2.gz YGDPUQUGABEBAW-SFHVURJKSA-N 0 3 322.452 4.270 20 0 DIADHN Cc1ccc(/C=C/CC(=O)Nc2ccccc2CCN(C)C)cc1 ZINC000438573489 338348798 /nfs/dbraw/zinc/34/87/98/338348798.db2.gz ZUDWUCWWSAUMIM-VOTSOKGWSA-N 0 3 322.452 4.141 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c4cc(C)ccc4[nH]c32)cn1 ZINC000438828589 338352807 /nfs/dbraw/zinc/35/28/07/338352807.db2.gz WZKXXHSCJMXZJS-SFHVURJKSA-N 0 3 321.424 4.047 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)cn1 ZINC000438828586 338352816 /nfs/dbraw/zinc/35/28/16/338352816.db2.gz WZKXXHSCJMXZJS-GOSISDBHSA-N 0 3 321.424 4.047 20 0 DIADHN C[C@@H](O)CCN([C@@H](C)c1cccc(C(F)(F)F)c1)C1CC1 ZINC000438982398 338357625 /nfs/dbraw/zinc/35/76/25/338357625.db2.gz DLMIPTGGHRKGPN-NEPJUHHUSA-N 0 3 301.352 4.002 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2Cc2cccc(F)c2F)C1 ZINC000439006183 338358192 /nfs/dbraw/zinc/35/81/92/338358192.db2.gz SWFORFHKPYUPPX-KCMWZYNZSA-N 0 3 323.427 4.116 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC([C@@H]2CCCO2)CC1 ZINC000439284777 338366087 /nfs/dbraw/zinc/36/60/87/338366087.db2.gz MMJJTMSTZNHBQY-ROUUACIJSA-N 0 3 309.400 4.307 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC([C@@H]3CCCO3)CC1)CC2 ZINC000439294763 338366361 /nfs/dbraw/zinc/36/63/61/338366361.db2.gz MDORYCJWRKKMTB-ROUUACIJSA-N 0 3 305.849 4.218 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCC([C@H]3CCCO3)CC1)CC2 ZINC000439294764 338366373 /nfs/dbraw/zinc/36/63/73/338366373.db2.gz MDORYCJWRKKMTB-ZWKOTPCHSA-N 0 3 305.849 4.218 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2ccc(C(F)(F)F)cc2)CCCO1 ZINC000439470674 338370578 /nfs/dbraw/zinc/37/05/78/338370578.db2.gz PTXUQDRZBQGWPQ-SWLSCSKDSA-N 0 3 301.352 4.267 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2ccc(OC(F)(F)F)cc2)CCCO1 ZINC000439473784 338370707 /nfs/dbraw/zinc/37/07/07/338370707.db2.gz WDKGCRABJUVVMA-TZMCWYRMSA-N 0 3 317.351 4.147 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNCC3(O)CCC3)o2)cc1 ZINC000439475303 338370829 /nfs/dbraw/zinc/37/08/29/338370829.db2.gz OPDMNQWSTBJAEG-UHFFFAOYSA-N 0 3 313.441 4.249 20 0 DIADHN COc1ccc(OCCN[C@H](C)c2ccc(SC)cc2)cc1 ZINC000439475788 338370918 /nfs/dbraw/zinc/37/09/18/338370918.db2.gz FJVFWGPLFMLSGE-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2ccc(SC)cc2)c1 ZINC000439482557 338371306 /nfs/dbraw/zinc/37/13/06/338371306.db2.gz WPWSKVGFZFAJKC-CQSZACIVSA-N 0 3 317.454 4.147 20 0 DIADHN CCSc1cccc(NC(=O)[C@H]2CCCN2C2CCCC2)c1 ZINC000439964850 338381835 /nfs/dbraw/zinc/38/18/35/338381835.db2.gz DOSJXTQAPOUXGT-QGZVFWFLSA-N 0 3 318.486 4.144 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000440325988 338385542 /nfs/dbraw/zinc/38/55/42/338385542.db2.gz JPOIYGGEKVJOBI-IRXDYDNUSA-N 0 3 313.470 4.264 20 0 DIADHN OC[C@@]1(NCc2ccc3ccccc3c2)CCCc2ccccc21 ZINC000440478771 338388236 /nfs/dbraw/zinc/38/82/36/338388236.db2.gz CUUHCGCQRASOQV-QFIPXVFZSA-N 0 3 317.432 4.154 20 0 DIADHN CC[C@H](O)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000440503770 338388735 /nfs/dbraw/zinc/38/87/35/338388735.db2.gz VBMRUSRAMSRXDX-GJZGRUSLSA-N 0 3 301.352 4.003 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@@H](O)CCc1ccccc1 ZINC000440611663 338392370 /nfs/dbraw/zinc/39/23/70/338392370.db2.gz HJFNJPAWECIIPD-RDJZCZTQSA-N 0 3 315.482 4.053 20 0 DIADHN COc1ccc(OCCN[C@@H](C)c2ccccc2SC)cc1 ZINC000440647214 338394204 /nfs/dbraw/zinc/39/42/04/338394204.db2.gz NTATZEMKPMAGFZ-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN CC(C(=O)Nc1cccc(CCC(F)(F)F)c1)C(F)(F)F ZINC000440702299 338395505 /nfs/dbraw/zinc/39/55/05/338395505.db2.gz DDIFWULCLBOFQB-MRVPVSSYSA-N 0 3 313.241 4.318 20 0 DIADHN OC[C@@]1(NCc2cc3ccccc3s2)CCCc2ccccc21 ZINC000440822418 338398234 /nfs/dbraw/zinc/39/82/34/338398234.db2.gz UEKVPCDAPMHEQM-FQEVSTJZSA-N 0 3 323.461 4.215 20 0 DIADHN OC[C@]1(NCc2cc3ccccc3s2)CCCc2ccccc21 ZINC000440822419 338398268 /nfs/dbraw/zinc/39/82/68/338398268.db2.gz UEKVPCDAPMHEQM-HXUWFJFHSA-N 0 3 323.461 4.215 20 0 DIADHN CCOc1ccccc1OCCN([C@H](C)c1ccco1)C1CC1 ZINC000440902109 338399677 /nfs/dbraw/zinc/39/96/77/338399677.db2.gz HTDSYGIKSNAUFK-OAHLLOKOSA-N 0 3 315.413 4.283 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1ccc(Oc2ccccc2)o1 ZINC000441209959 338405366 /nfs/dbraw/zinc/40/53/66/338405366.db2.gz ZWKFNRRGPFWLOH-IRXDYDNUSA-N 0 3 301.386 4.119 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2ccc3[nH]ccc3c2)s1 ZINC000441231079 338406102 /nfs/dbraw/zinc/40/61/02/338406102.db2.gz RAVRVOBICLBXGW-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN CCC(C)(C)CCN1CCC(n2c3ccccc3[nH]c2=O)CC1 ZINC000441243712 338406440 /nfs/dbraw/zinc/40/64/40/338406440.db2.gz AVNSLZSZQZHBOM-UHFFFAOYSA-N 0 3 315.461 4.205 20 0 DIADHN Cc1sc(NC(=O)CNC(C)(C)CC(C)(C)C)c(C)c1C ZINC000441491034 338415665 /nfs/dbraw/zinc/41/56/65/338415665.db2.gz MNMIPBGPBPBHNA-UHFFFAOYSA-N 0 3 310.507 4.416 20 0 DIADHN Cc1ccc(C)c(OCCCN2CCC(C(F)(F)F)CC2)c1 ZINC000441622006 338417776 /nfs/dbraw/zinc/41/77/76/338417776.db2.gz QCEXZHJDFLOAHD-UHFFFAOYSA-N 0 3 315.379 4.347 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@H](c1ccccc1)N(C)C ZINC000442632832 338426340 /nfs/dbraw/zinc/42/63/40/338426340.db2.gz GKMTZZHWDRBKAS-MAUKXSAKSA-N 0 3 314.498 4.362 20 0 DIADHN C[C@@H](N[C@H]1Cc2[nH]c3ccccc3c2C1)c1c(F)cccc1F ZINC000442717203 338428863 /nfs/dbraw/zinc/42/88/63/338428863.db2.gz OYBFXPRZNOVAGO-VXGBXAGGSA-N 0 3 312.363 4.264 20 0 DIADHN Cc1sc(CNC2CCC(C(F)F)CC2)nc1C(C)C ZINC000442778886 338430559 /nfs/dbraw/zinc/43/05/59/338430559.db2.gz CGDLKWHSIUCCSU-UHFFFAOYSA-N 0 3 302.434 4.488 20 0 DIADHN COCCC[C@@H](NCc1sc(C)nc1C)c1ccc(F)cc1 ZINC000442784072 338430979 /nfs/dbraw/zinc/43/09/79/338430979.db2.gz OVBICAORQFJRCZ-MRXNPFEDSA-N 0 3 322.449 4.157 20 0 DIADHN COCc1nc(CN[C@@H](C)C(C)C)c(-c2ccccc2)s1 ZINC000442876726 338437422 /nfs/dbraw/zinc/43/74/22/338437422.db2.gz XVCBQKYNAJONNL-ZDUSSCGKSA-N 0 3 304.459 4.091 20 0 DIADHN COCC[C@@H](NCC[C@H](C)c1ccccc1)c1ccc(C)o1 ZINC000446813946 338536481 /nfs/dbraw/zinc/53/64/81/338536481.db2.gz IOWNYLRIAIKCGX-MAUKXSAKSA-N 0 3 301.430 4.449 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC1CCSCC1 ZINC000446848125 338538438 /nfs/dbraw/zinc/53/84/38/338538438.db2.gz QGVQTYVXQWZNRU-CQSZACIVSA-N 0 3 303.393 4.474 20 0 DIADHN CCO[C@H](CNCc1ccc(F)c2cccnc12)c1ccccc1 ZINC000446842692 338538070 /nfs/dbraw/zinc/53/80/70/338538070.db2.gz HDLJAWQJOATFKY-LJQANCHMSA-N 0 3 324.399 4.241 20 0 DIADHN CCO[C@@H](CNCc1ccccc1OC(C)C)c1ccccc1 ZINC000446853988 338538772 /nfs/dbraw/zinc/53/87/72/338538772.db2.gz YTGLRAJVDAAYOQ-FQEVSTJZSA-N 0 3 313.441 4.341 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC(C)(C)CCCc1ccccc1 ZINC000446936284 338541258 /nfs/dbraw/zinc/54/12/58/338541258.db2.gz LMSYPXOWOQXDMT-QGZVFWFLSA-N 0 3 311.473 4.485 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc3c(c2)OCO3)c2ccccc21 ZINC000447515123 338553424 /nfs/dbraw/zinc/55/34/24/338553424.db2.gz JKKHLNLRSIMTFX-ROUUACIJSA-N 0 3 309.409 4.390 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000447532485 338554516 /nfs/dbraw/zinc/55/45/16/338554516.db2.gz WJLUZZSWOOYJQT-PBHICJAKSA-N 0 3 315.413 4.128 20 0 DIADHN COC(=O)c1coc([C@@H](C)NCCc2cccc3ccccc32)c1 ZINC000447532695 338554747 /nfs/dbraw/zinc/55/47/47/338554747.db2.gz XFQNUCFIMCRBPT-CQSZACIVSA-N 0 3 323.392 4.113 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(F)c(F)c2)c(C)o1 ZINC000447575388 338558224 /nfs/dbraw/zinc/55/82/24/338558224.db2.gz SEYABNOCZQMHLQ-NBHSMZAVSA-N 0 3 321.367 4.355 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1cccc(OCc2ccccc2)c1 ZINC000447596283 338560946 /nfs/dbraw/zinc/56/09/46/338560946.db2.gz CEAJLMQZXNBKOI-BBWFWOEESA-N 0 3 313.441 4.340 20 0 DIADHN C[C@H]1C[C@@H](NC[C@@H]2CCCCN2C2CC2)c2ccsc2S1 ZINC000447596782 338561057 /nfs/dbraw/zinc/56/10/57/338561057.db2.gz CTHCXGMBWOBIMZ-DUVNUKRYSA-N 0 3 322.543 4.280 20 0 DIADHN C[C@H]1C[C@H](NC[C@H]2CCCCN2C2CC2)c2ccsc2S1 ZINC000447596780 338561059 /nfs/dbraw/zinc/56/10/59/338561059.db2.gz CTHCXGMBWOBIMZ-BJJXKVORSA-N 0 3 322.543 4.280 20 0 DIADHN FC(F)Oc1ccccc1CCN[C@H]1CCCc2occc21 ZINC000447599107 338561187 /nfs/dbraw/zinc/56/11/87/338561187.db2.gz JDDDGHRZIRHAFL-AWEZNQCLSA-N 0 3 307.340 4.091 20 0 DIADHN C[C@@H](CCN1CCC(C(=O)OC(C)(C)C)CC1)c1ccccc1 ZINC000447738068 338565277 /nfs/dbraw/zinc/56/52/77/338565277.db2.gz BHMFGXDTRCUCTJ-INIZCTEOSA-N 0 3 317.473 4.234 20 0 DIADHN c1cc(CNCc2ccc3ccccc3c2)n(-c2ccccc2)n1 ZINC000447928043 338571564 /nfs/dbraw/zinc/57/15/64/338571564.db2.gz GPJQVGUPEJSUOD-UHFFFAOYSA-N 0 3 313.404 4.315 20 0 DIADHN C[C@@H](CNCc1ccnn1-c1ccccc1)Sc1ccccc1 ZINC000447926228 338571568 /nfs/dbraw/zinc/57/15/68/338571568.db2.gz AMKZKNRVYAIEHU-INIZCTEOSA-N 0 3 323.465 4.143 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cnccc2N(C)C)c2ccccc21 ZINC000447979012 338573528 /nfs/dbraw/zinc/57/35/28/338573528.db2.gz UFCAAPBUUHNLGD-RTBURBONSA-N 0 3 309.457 4.122 20 0 DIADHN CCSCCCN[C@@H](C)c1ccccc1Br ZINC000162150738 338578005 /nfs/dbraw/zinc/57/80/05/338578005.db2.gz VZQISORAHIOTOF-NSHDSACASA-N 0 3 302.281 4.243 20 0 DIADHN c1cc(CNCCCSc2ccccc2)n(C2CCCC2)n1 ZINC000449057732 338589096 /nfs/dbraw/zinc/58/90/96/338589096.db2.gz DNSAJUDJPIFYOS-UHFFFAOYSA-N 0 3 315.486 4.270 20 0 DIADHN CCn1nnc(C)c1CN[C@@H](c1ccc(C(C)C)cc1)C(C)C ZINC000449064366 338589655 /nfs/dbraw/zinc/58/96/55/338589655.db2.gz UDNKCYJLZPYMDN-LJQANCHMSA-N 0 3 314.477 4.217 20 0 DIADHN CCCOc1ccc(CNCc2csc(C)c2)cc1OC ZINC000449075311 338591103 /nfs/dbraw/zinc/59/11/03/338591103.db2.gz MPSKWBHNXYBZGS-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN Clc1ccc(C2(CNCc3cc4cccnc4o3)CC2)cc1 ZINC000449118416 338594962 /nfs/dbraw/zinc/59/49/62/338594962.db2.gz SKSDKVAAMXGSME-UHFFFAOYSA-N 0 3 312.800 4.303 20 0 DIADHN COCC1CCC(N[C@@H](C)c2nc(C(C)(C)C)cs2)CC1 ZINC000449127701 338595569 /nfs/dbraw/zinc/59/55/69/338595569.db2.gz PWDZBYITWOBHEA-HSBZDZAISA-N 0 3 310.507 4.296 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449132500 338596088 /nfs/dbraw/zinc/59/60/88/338596088.db2.gz UROUVGAZIZMXKI-BONVTDFDSA-N 0 3 321.342 4.018 20 0 DIADHN Cc1ccc(C[C@@H](N[C@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449151371 338597149 /nfs/dbraw/zinc/59/71/49/338597149.db2.gz XISUHCSCBDBFNO-DYESRHJHSA-N 0 3 320.436 4.488 20 0 DIADHN C[C@@H](CNCc1ccnn1C1CCCC1)c1ccc(F)cc1F ZINC000449168866 338598835 /nfs/dbraw/zinc/59/88/35/338598835.db2.gz BXZDPCCPIUWQLV-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1cc2cccnc2o1 ZINC000449179347 338599951 /nfs/dbraw/zinc/59/99/51/338599951.db2.gz VPSPDAOOLPKDQW-XIKOKIGWSA-N 0 3 310.372 4.380 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CNCc1ccccc1OCC(C)C ZINC000449182580 338600068 /nfs/dbraw/zinc/60/00/68/338600068.db2.gz BOQXGTREQOKNOO-AWEZNQCLSA-N 0 3 316.445 4.220 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2cc3cccnc3o2)cc1 ZINC000449185270 338600399 /nfs/dbraw/zinc/60/03/99/338600399.db2.gz NORGGGAAWYCAMO-RTBURBONSA-N 0 3 322.408 4.146 20 0 DIADHN C[C@H](NC1CC1)C(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000449245612 338606210 /nfs/dbraw/zinc/60/62/10/338606210.db2.gz ATNLESHGGINUNI-GJZGRUSLSA-N 0 3 322.452 4.032 20 0 DIADHN CC(C)COc1ccccc1CN[C@H]1CCO[C@H](C(C)C)C1 ZINC000449248503 338606583 /nfs/dbraw/zinc/60/65/83/338606583.db2.gz HSSWXIVHNHJBEW-HKUYNNGSSA-N 0 3 305.462 4.015 20 0 DIADHN Cc1cc(CNc2cccc3c2OCC[C@@H]3N(C)C)cs1 ZINC000449294415 338610278 /nfs/dbraw/zinc/61/02/78/338610278.db2.gz ZAFKEXVJVZSRPE-INIZCTEOSA-N 0 3 302.443 4.054 20 0 DIADHN Fc1cccc(CNC[C@@H]2CCC(F)(F)C2)c1Br ZINC000449297559 338610684 /nfs/dbraw/zinc/61/06/84/338610684.db2.gz DHSKQAPWKLZBNY-SECBINFHSA-N 0 3 322.168 4.113 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cccc2ccoc21 ZINC000449313842 338611912 /nfs/dbraw/zinc/61/19/12/338611912.db2.gz PNYGFWRRNVWDOA-QGZVFWFLSA-N 0 3 311.356 4.358 20 0 DIADHN C[C@@H](COc1ccc(Cl)c(Cl)c1)N[C@H]1C[C@@H]1C(F)F ZINC000449320337 338612509 /nfs/dbraw/zinc/61/25/09/338612509.db2.gz NIZKKLLYPPKTMS-DXBFQKDVSA-N 0 3 310.171 4.004 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](NCC1(C(F)(F)F)CCC1)CC2 ZINC000449323365 338612759 /nfs/dbraw/zinc/61/27/59/338612759.db2.gz UPPTUHZYXKYUKJ-SNVBAGLBSA-N 0 3 323.280 4.413 20 0 DIADHN CCCOC1(CNCc2cc3cccnc3o2)CCCCC1 ZINC000449326856 338613193 /nfs/dbraw/zinc/61/31/93/338613193.db2.gz ZSZCUYSJDPZLNS-UHFFFAOYSA-N 0 3 302.418 4.047 20 0 DIADHN Cc1cccc2ncc(CN[C@H](C)c3cccc(C4CC4)c3)n21 ZINC000449346812 338615716 /nfs/dbraw/zinc/61/57/16/338615716.db2.gz AJMIVUSIHNMVFW-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN Cc1cc(C)n2c(CN[C@@H](C)c3cccc(C4CC4)c3)cnc2n1 ZINC000449349908 338616339 /nfs/dbraw/zinc/61/63/39/338616339.db2.gz KXURKSMLWAEXCB-HNNXBMFYSA-N 0 3 320.440 4.074 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@H]1CCCc2c(F)cccc21 ZINC000449350151 338616350 /nfs/dbraw/zinc/61/63/50/338616350.db2.gz LDNTURSLFAWTGY-INIZCTEOSA-N 0 3 301.409 4.014 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(F)cccc32)cc1OC ZINC000449350266 338616366 /nfs/dbraw/zinc/61/63/66/338616366.db2.gz LSZJJUMEJZSOMJ-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN COCc1ccc(CN[C@H]2CCCc3c(F)cc(F)cc32)cc1 ZINC000449351425 338616525 /nfs/dbraw/zinc/61/65/25/338616525.db2.gz PFYKULHOUAOVTF-IBGZPJMESA-N 0 3 317.379 4.278 20 0 DIADHN C[C@@H](N[C@H](CCO)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000449439519 338624243 /nfs/dbraw/zinc/62/42/43/338624243.db2.gz VYQKIUFJKHWGPI-CXAGYDPISA-N 0 3 323.358 4.480 20 0 DIADHN FCC1CCN(Cc2ccc(-c3ccncc3)cc2F)CC1 ZINC000449449916 338625545 /nfs/dbraw/zinc/62/55/45/338625545.db2.gz AGSHUSMIENWHGF-UHFFFAOYSA-N 0 3 302.368 4.069 20 0 DIADHN CCC[C@@H](NCc1ncc(Br)s1)C(C)(C)C ZINC000449476603 338628704 /nfs/dbraw/zinc/62/87/04/338628704.db2.gz VPPGYPHDOGPYIK-SECBINFHSA-N 0 3 305.285 4.210 20 0 DIADHN CCOc1ccc(CNCc2cc(C)cs2)cc1OCC ZINC000449480672 338629308 /nfs/dbraw/zinc/62/93/08/338629308.db2.gz PAYCAQFKAAZAIG-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](NC[C@@H]1CCCC1(F)F)CC2 ZINC000449496011 338631527 /nfs/dbraw/zinc/63/15/27/338631527.db2.gz PGMSEPAELAVFJW-GXSJLCMTSA-N 0 3 305.290 4.116 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@H]2c2ccccc2Cl)c2nccn21 ZINC000449570003 338638595 /nfs/dbraw/zinc/63/85/95/338638595.db2.gz IUEPRCRWUTWPQJ-QCPWZWHMSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2CCC[C@@H]2c2ccccc2Cl)c2nccn21 ZINC000449570002 338638605 /nfs/dbraw/zinc/63/86/05/338638605.db2.gz IUEPRCRWUTWPQJ-IRWJRLHMSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@@H]1C[C@H](C)n2ccnc21 ZINC000449574229 338639083 /nfs/dbraw/zinc/63/90/83/338639083.db2.gz TZOWJEBQWLNGTI-NTZNESFSSA-N 0 3 309.866 4.215 20 0 DIADHN C[C@H](CN[C@@H]1C[C@@H](C)n2ccnc21)c1ccc(C(F)(F)F)cc1 ZINC000449574479 338639325 /nfs/dbraw/zinc/63/93/25/338639325.db2.gz UUZIQFAGJRTWDD-LALPHHSUSA-N 0 3 323.362 4.301 20 0 DIADHN COC1(C[C@H](C)N[C@H]2CCc3cc(F)c(F)c(F)c32)CCC1 ZINC000449587829 338640069 /nfs/dbraw/zinc/64/00/69/338640069.db2.gz PDRCNZKBEBYOPY-GWCFXTLKSA-N 0 3 313.363 4.029 20 0 DIADHN CCc1ncc(CNCCCc2c(Cl)cccc2Cl)o1 ZINC000449608239 338640675 /nfs/dbraw/zinc/64/06/75/338640675.db2.gz GCSLGEOIPZHJEJ-UHFFFAOYSA-N 0 3 313.228 4.266 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000449625528 338642508 /nfs/dbraw/zinc/64/25/08/338642508.db2.gz OQKYMAAIOZNDGB-OFQRWUPVSA-N 0 3 302.443 4.022 20 0 DIADHN CC(C)c1cnc(CN[C@@H]2CCO[C@@H](c3cccs3)C2)s1 ZINC000449628317 338642821 /nfs/dbraw/zinc/64/28/21/338642821.db2.gz RWEPMJQFLWFHLY-CHWSQXEVSA-N 0 3 322.499 4.338 20 0 DIADHN CCc1ccc(CC)c(NC(=O)[C@@H](C)[C@@H](N)c2ccccc2)c1 ZINC000162609509 338644021 /nfs/dbraw/zinc/64/40/21/338644021.db2.gz HAIWHVXFEHRGQQ-IFXJQAMLSA-N 0 3 310.441 4.086 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCN(C)c3ccccc32)cc1 ZINC000449653135 338644373 /nfs/dbraw/zinc/64/43/73/338644373.db2.gz RRSJKSZRPKCUFW-IBGZPJMESA-N 0 3 310.441 4.146 20 0 DIADHN CN1CCC[C@@H](NCc2cc(F)cc(F)c2)c2ccccc21 ZINC000449655467 338644584 /nfs/dbraw/zinc/64/45/84/338644584.db2.gz ZEQNIXBDPZBMQR-QGZVFWFLSA-N 0 3 302.368 4.026 20 0 DIADHN Fc1ccc(CNCCC[C@@H]2CCOC2)c(Cl)c1Cl ZINC000449689978 338646491 /nfs/dbraw/zinc/64/64/91/338646491.db2.gz MNJDKWJQRHRYLR-SNVBAGLBSA-N 0 3 306.208 4.039 20 0 DIADHN C[C@@H](NCc1csc(COc2ccccc2)n1)C1CCCC1 ZINC000449709619 338647591 /nfs/dbraw/zinc/64/75/91/338647591.db2.gz ZQNXNAKPVTVKIH-CQSZACIVSA-N 0 3 316.470 4.390 20 0 DIADHN CCC[C@H]1CCCC[C@H]1CNCc1nccn1CC(F)(F)F ZINC000449732866 338648380 /nfs/dbraw/zinc/64/83/80/338648380.db2.gz DVWHMSABNHYXEF-KBPBESRZSA-N 0 3 317.399 4.142 20 0 DIADHN COc1cccc2c(CNCc3cnc(C)cc3C)cccc12 ZINC000449735444 338648996 /nfs/dbraw/zinc/64/89/96/338648996.db2.gz QSCLKVACMVDPEZ-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN FC1(F)CC(CN2CCC(Oc3ccccc3Cl)CC2)C1 ZINC000450619646 338694239 /nfs/dbraw/zinc/69/42/39/338694239.db2.gz XIYORHVTBCDQLV-UHFFFAOYSA-N 0 3 315.791 4.229 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCCc1cscn1 ZINC000450683537 338698887 /nfs/dbraw/zinc/69/88/87/338698887.db2.gz QFNMZFNRXHOCQI-INIZCTEOSA-N 0 3 304.459 4.071 20 0 DIADHN c1ccc([C@@H]2CCCC[C@@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000450767185 338704579 /nfs/dbraw/zinc/70/45/79/338704579.db2.gz UUJWXNUWKCVVAS-HOTGVXAUSA-N 0 3 313.470 4.232 20 0 DIADHN CC(C)CC[C@H]1CCC[C@@H]1NCc1ccn(CC(F)(F)F)n1 ZINC000450822863 338707053 /nfs/dbraw/zinc/70/70/53/338707053.db2.gz UFSBYXUJNHQLJM-HIFRSBDPSA-N 0 3 317.399 4.140 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCc1cscn1 ZINC000450864161 338709300 /nfs/dbraw/zinc/70/93/00/338709300.db2.gz HJVRBOZTHQNBBO-CQSZACIVSA-N 0 3 314.376 4.277 20 0 DIADHN CCOCC(C)(C)NCc1ccc([C@@H](C)C(F)(F)F)cc1 ZINC000451066637 338720338 /nfs/dbraw/zinc/72/03/38/338720338.db2.gz CSKHLHBOHSKTDC-GFCCVEGCSA-N 0 3 303.368 4.257 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000451123205 338722121 /nfs/dbraw/zinc/72/21/21/338722121.db2.gz UYCCWZGEFHQJIB-CAVOSLQRSA-N 0 3 316.470 4.335 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000451123206 338722153 /nfs/dbraw/zinc/72/21/53/338722153.db2.gz UYCCWZGEFHQJIB-FAXLKDOZSA-N 0 3 316.470 4.335 20 0 DIADHN CO[C@H](C)CNC(C)(C)c1nc(-c2ccccc2F)c(C)s1 ZINC000451168607 338724269 /nfs/dbraw/zinc/72/42/69/338724269.db2.gz WLSCGPMOKMGVKA-LLVKDONJSA-N 0 3 322.449 4.117 20 0 DIADHN CSCC1CCC(NCc2nc(-c3ccccc3)c[nH]2)CC1 ZINC000451190240 338725276 /nfs/dbraw/zinc/72/52/76/338725276.db2.gz KVVMQXHGOILQNK-UHFFFAOYSA-N 0 3 315.486 4.088 20 0 DIADHN Cc1cc([C@H](C)NC2CC(C)(F)C2)sc1Br ZINC000451194578 338725453 /nfs/dbraw/zinc/72/54/53/338725453.db2.gz ZGWCULTYSXPHIX-QTZUAFFRSA-N 0 3 306.244 4.360 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](OCc2ccccc2)C1(C)C)c1ccccn1 ZINC000451510510 338737157 /nfs/dbraw/zinc/73/71/57/338737157.db2.gz YDTWXRHUMBQTBJ-ZYSHUDEJSA-N 0 3 310.441 4.116 20 0 DIADHN Cc1n[nH]cc1CCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000194755653 338743911 /nfs/dbraw/zinc/74/39/11/338743911.db2.gz MQNLJIJQDXPEGU-FQEVSTJZSA-N 0 3 323.415 4.169 20 0 DIADHN Cc1cccc([C@H](C)NCC(=O)Nc2c(C)cccc2Cl)c1 ZINC000452089903 338753485 /nfs/dbraw/zinc/75/34/85/338753485.db2.gz QGUGHHSACZBCTM-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](C)[C@H](O)Cc1ccccc1 ZINC000194934799 338783002 /nfs/dbraw/zinc/78/30/02/338783002.db2.gz CMCMQAKVCPYYGP-HDMKZQKVSA-N 0 3 315.482 4.051 20 0 DIADHN COc1ccccc1[C@H](CN[C@H](C)c1csc(C)c1)N(C)C ZINC000453056808 338788373 /nfs/dbraw/zinc/78/83/73/338788373.db2.gz LNXBMJZMVSNONL-PBHICJAKSA-N 0 3 318.486 4.019 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc(-c3ccccc3)nn2C)s1 ZINC000453058958 338788616 /nfs/dbraw/zinc/78/86/16/338788616.db2.gz HSNXQCSUULZNSG-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN CC[C@@H](NCc1cccc(OCCOC)c1)c1ccsc1 ZINC000453061071 338788988 /nfs/dbraw/zinc/78/89/88/338788988.db2.gz KULDNQPEPOERPP-QGZVFWFLSA-N 0 3 305.443 4.014 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H](C)c1cc(F)ccc1F ZINC000453061049 338789015 /nfs/dbraw/zinc/78/90/15/338789015.db2.gz CSHZWTCVLVGVDY-ZIAGYGMSSA-N 0 3 304.384 4.422 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)N[C@@H](C)CCCc1cccnc1 ZINC000453063602 338789163 /nfs/dbraw/zinc/78/91/63/338789163.db2.gz NWSQDTXRLZTLSB-LSDHHAIUSA-N 0 3 316.420 4.291 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCCC[C@@H]2Cc2ccccc2)c2nccn21 ZINC000453082237 338791531 /nfs/dbraw/zinc/79/15/31/338791531.db2.gz BHFOHYAYNHETEU-OWYHZJEWSA-N 0 3 309.457 4.280 20 0 DIADHN CC[C@@H](NCCc1coc(-c2ccc(C)cc2)n1)c1ccncc1 ZINC000453089202 338792343 /nfs/dbraw/zinc/79/23/43/338792343.db2.gz JPEORXNHMVFJGV-LJQANCHMSA-N 0 3 321.424 4.328 20 0 DIADHN CC[C@H](N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccncc1 ZINC000453090060 338792507 /nfs/dbraw/zinc/79/25/07/338792507.db2.gz ORAPZPTVTCVSOU-PXNSSMCTSA-N 0 3 324.424 4.045 20 0 DIADHN Cc1ccccc1CN[C@@H](C)c1cc(-c2ccccc2)nn1C ZINC000453094354 338792947 /nfs/dbraw/zinc/79/29/47/338792947.db2.gz RRAHOEYAQYQZGH-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1ccncc1 ZINC000453119126 338796105 /nfs/dbraw/zinc/79/61/05/338796105.db2.gz LQVGXPGFBXSHEZ-HNAYVOBHSA-N 0 3 306.413 4.069 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2ccc(F)cc2Cl)c2nccn21 ZINC000453120706 338796152 /nfs/dbraw/zinc/79/61/52/338796152.db2.gz NBJURWLGMUAUMF-ABAIWWIYSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000453124858 338796738 /nfs/dbraw/zinc/79/67/38/338796738.db2.gz IQGMRCXXPZKRRE-BEFAXECRSA-N 0 3 312.413 4.094 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000453129234 338797478 /nfs/dbraw/zinc/79/74/78/338797478.db2.gz XNXZZLSKCQDOIZ-DNVCBOLYSA-N 0 3 314.429 4.196 20 0 DIADHN CS[C@@H](C)CN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453163878 338801500 /nfs/dbraw/zinc/80/15/00/338801500.db2.gz DNHZHZAFQOGWLA-UONOGXRCSA-N 0 3 302.443 4.276 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1ccncn1 ZINC000453167951 338802041 /nfs/dbraw/zinc/80/20/41/338802041.db2.gz JKUJQOUYKQGFPM-CVEARBPZSA-N 0 3 315.486 4.315 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1ccncn1 ZINC000453167953 338802055 /nfs/dbraw/zinc/80/20/55/338802055.db2.gz JKUJQOUYKQGFPM-HZPDHXFCSA-N 0 3 315.486 4.315 20 0 DIADHN CC[C@@H](N[C@@H]1CCN(C(C)=O)c2ccccc21)c1ccsc1 ZINC000453181907 338803730 /nfs/dbraw/zinc/80/37/30/338803730.db2.gz QWJCJZGNPYSBKZ-IAGOWNOFSA-N 0 3 314.454 4.287 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](OC)c1ccccc1F)c1ccncc1 ZINC000453182140 338803901 /nfs/dbraw/zinc/80/39/01/338803901.db2.gz SENSGZDJJBBILD-KKXDTOCCSA-N 0 3 302.393 4.038 20 0 DIADHN C[C@H](NCC1(Cc2ccccc2)CCCCC1)c1ccncn1 ZINC000453202222 338807236 /nfs/dbraw/zinc/80/72/36/338807236.db2.gz TYFOCIHBTVAYKX-KRWDZBQOSA-N 0 3 309.457 4.320 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccncn1 ZINC000453208850 338808075 /nfs/dbraw/zinc/80/80/75/338808075.db2.gz HJBCLMICLACOFD-RAIGVLPGSA-N 0 3 322.239 4.380 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnccn1)c1cc(Cl)ccc1Cl ZINC000453209813 338808290 /nfs/dbraw/zinc/80/82/90/338808290.db2.gz ZSQZTEFXGNLCJJ-WDEREUQCSA-N 0 3 310.228 4.065 20 0 DIADHN C[C@@H]1C[C@@H](NC2CC(c3ccc(F)c(Cl)c3)C2)c2nccn21 ZINC000453213197 338808650 /nfs/dbraw/zinc/80/86/50/338808650.db2.gz MENREMASUZATOC-VHBSBENZSA-N 0 3 319.811 4.217 20 0 DIADHN CSC1(CN[C@H](C)c2ncc(-c3ccccc3)n2C)CCC1 ZINC000453213777 338808915 /nfs/dbraw/zinc/80/89/15/338808915.db2.gz JGYDOXIMRHNEAX-CQSZACIVSA-N 0 3 315.486 4.023 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@H]2C[C@H](C)n3ccnc32)c2ccccc21 ZINC000453218897 338809416 /nfs/dbraw/zinc/80/94/16/338809416.db2.gz NXTUIMVLQRFPPX-LNMJFAINSA-N 0 3 309.457 4.406 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@H]1c2ccc(F)cc2O[C@H]1C ZINC000453218485 338809520 /nfs/dbraw/zinc/80/95/20/338809520.db2.gz INRULARMHFSFHH-BIENJYKASA-N 0 3 314.404 4.044 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCCc3c(F)cccc32)cn1 ZINC000453222736 338810080 /nfs/dbraw/zinc/81/00/80/338810080.db2.gz ARKRBKGGVQZVOW-SCLBCKFNSA-N 0 3 301.409 4.331 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c(F)cc(F)cc21)c1ccncc1 ZINC000453226155 338810666 /nfs/dbraw/zinc/81/06/66/338810666.db2.gz FDLPYFBBTQNQHN-ZWKOTPCHSA-N 0 3 302.368 4.478 20 0 DIADHN CC1(F)CC(N[C@@H](Cc2cccnc2)c2ccc(Cl)cc2)C1 ZINC000453230774 338811227 /nfs/dbraw/zinc/81/12/27/338811227.db2.gz DUXRCTPMWCTQNQ-ADKAHSJRSA-N 0 3 318.823 4.499 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2c3c(nn2C)CCCC3)c2sccc21 ZINC000453231051 338811411 /nfs/dbraw/zinc/81/14/11/338811411.db2.gz GOKIEFDDCQRGTM-MLGOLLRUSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](NC1CC(C)(F)C1)c1ccc(Br)cc1F ZINC000453238086 338812456 /nfs/dbraw/zinc/81/24/56/338812456.db2.gz NIAJBDOCBOSKMN-NCZMNARYSA-N 0 3 304.178 4.129 20 0 DIADHN CCn1ccnc1[C@@H](C)NC1(Cc2ccc(Cl)cc2)CCC1 ZINC000453241604 338812877 /nfs/dbraw/zinc/81/28/77/338812877.db2.gz PQXJBWNBPCFYSC-CQSZACIVSA-N 0 3 317.864 4.372 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(Cl)cc(Cl)c1)[C@@H](O)C(F)F ZINC000453265418 338816159 /nfs/dbraw/zinc/81/61/59/338816159.db2.gz BYUNPGZJRQLURP-CQFDHEJISA-N 0 3 312.187 4.049 20 0 DIADHN CC(C)(CO)CCN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000453271641 338817038 /nfs/dbraw/zinc/81/70/38/338817038.db2.gz GXEZUZSFIPJURY-QGZVFWFLSA-N 0 3 317.473 4.211 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](CO)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000453293136 338819746 /nfs/dbraw/zinc/81/97/46/338819746.db2.gz VCVLIGBSAWAVMQ-BBBLOLIVSA-N 0 3 322.663 4.458 20 0 DIADHN CC[C@](C)(CN[C@@H](c1cccnc1)c1ccc(F)c(C)c1)OC ZINC000453294302 338819942 /nfs/dbraw/zinc/81/99/42/338819942.db2.gz FBAXCPSWBNCSKX-RTBURBONSA-N 0 3 316.420 4.023 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCC(C)(C)c1ccccn1 ZINC000453297615 338820442 /nfs/dbraw/zinc/82/04/42/338820442.db2.gz UMJNHRVWCUNABI-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@@H]3CCOC3(C)C)cs2)cs1 ZINC000453299605 338820833 /nfs/dbraw/zinc/82/08/33/338820833.db2.gz VAKZYZFEWTYQQM-ZUZCIYMTSA-N 0 3 322.499 4.398 20 0 DIADHN Fc1ccccc1C[C@H](NCC1=CCOCC1)c1ccccc1 ZINC000453301086 338820877 /nfs/dbraw/zinc/82/08/77/338820877.db2.gz XZPKMJCOSRLCEJ-FQEVSTJZSA-N 0 3 311.400 4.046 20 0 DIADHN FCC1(CNC(c2ccccc2)c2ccccc2)CCOCC1 ZINC000453301688 338821106 /nfs/dbraw/zinc/82/11/06/338821106.db2.gz AJEHALCVEDHJKL-UHFFFAOYSA-N 0 3 313.416 4.132 20 0 DIADHN CC[C@H](NCc1cnc(OC)c(Cl)c1)c1cccc(C)c1 ZINC000453301604 338821108 /nfs/dbraw/zinc/82/11/08/338821108.db2.gz XPNLIDKTRXWZNF-INIZCTEOSA-N 0 3 304.821 4.293 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)O)c1nc(-c2ccccc2)cs1 ZINC000453307552 338821836 /nfs/dbraw/zinc/82/18/36/338821836.db2.gz CYXMIAFCQFHWSK-QWHCGFSZSA-N 0 3 304.459 4.010 20 0 DIADHN Cc1csc(CN[C@@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000453317245 338823792 /nfs/dbraw/zinc/82/37/92/338823792.db2.gz LBFOWNITBPSTMY-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000453318834 338824060 /nfs/dbraw/zinc/82/40/60/338824060.db2.gz LRUWOTAQBRYQGH-APPDUMDISA-N 0 3 321.827 4.497 20 0 DIADHN COC[C@H](CN[C@@H](C)c1csc(C)n1)c1ccc(Cl)cc1 ZINC000453325719 338825272 /nfs/dbraw/zinc/82/52/72/338825272.db2.gz RSDYICZLODKUEQ-FZMZJTMJSA-N 0 3 324.877 4.186 20 0 DIADHN C[C@H](NC1(Cc2cccc(Cl)c2)CC1)c1ccc(F)cn1 ZINC000453340018 338827568 /nfs/dbraw/zinc/82/75/68/338827568.db2.gz CCRIXZCLWQAKKC-LBPRGKRZSA-N 0 3 304.796 4.300 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCN(C)c3ccccc32)cc1 ZINC000453344427 338828264 /nfs/dbraw/zinc/82/82/64/338828264.db2.gz DZOVRGQBBIEHAP-BEFAXECRSA-N 0 3 310.441 4.317 20 0 DIADHN Cc1ccnc([C@@H](C)NC2(Cc3cccc(Cl)c3)CC2)c1 ZINC000453354633 338830298 /nfs/dbraw/zinc/83/02/98/338830298.db2.gz IMDXTFSNZMSCBC-CQSZACIVSA-N 0 3 300.833 4.469 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1c(F)cccc1-n1cccn1 ZINC000453360741 338831443 /nfs/dbraw/zinc/83/14/43/338831443.db2.gz PQWWMZXUNXNEQX-XOBRGWDASA-N 0 3 321.399 4.035 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCC(C)(C)C(C)(C)O)c1 ZINC000453374754 338834011 /nfs/dbraw/zinc/83/40/11/338834011.db2.gz TZVLTFPNAGADCL-LBPRGKRZSA-N 0 3 315.404 4.044 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H]2CCCN(C)c3ccccc32)s1 ZINC000453375089 338834102 /nfs/dbraw/zinc/83/41/02/338834102.db2.gz OWYSTIBEWWKKAX-XJKSGUPXSA-N 0 3 315.486 4.382 20 0 DIADHN CO[C@@H]([C@H](C)N[C@@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000453379614 338834980 /nfs/dbraw/zinc/83/49/80/338834980.db2.gz QVFMCXXRKNDDME-MKBNYLNASA-N 0 3 313.388 4.061 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@@H](C)c1cc(C)ccc1OC(F)F)C1CC1 ZINC000453379615 338835051 /nfs/dbraw/zinc/83/50/51/338835051.db2.gz QVFMCXXRKNDDME-OZVIIMIRSA-N 0 3 313.388 4.061 20 0 DIADHN CCc1ncc(CN[C@@H](CSc2ccccc2)C(C)C)o1 ZINC000453396682 338837695 /nfs/dbraw/zinc/83/76/95/338837695.db2.gz VCLNXHRIUAFJDH-INIZCTEOSA-N 0 3 304.459 4.144 20 0 DIADHN COC[C@H](NCCC1CC(F)(F)C1)c1ccc(Cl)cc1 ZINC000453401298 338838588 /nfs/dbraw/zinc/83/85/88/338838588.db2.gz BTVYBVWVOKXLCW-AWEZNQCLSA-N 0 3 303.780 4.053 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1O)c1ccc(Cl)c(Cl)c1Cl ZINC000453402322 338838867 /nfs/dbraw/zinc/83/88/67/338838867.db2.gz FMMSJYFFLHTQES-AVPPRXQKSA-N 0 3 308.636 4.211 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2cccc(Cl)c2)C1)c1nccn1C ZINC000453404945 338839111 /nfs/dbraw/zinc/83/91/11/338839111.db2.gz SCGRFCUCQVBMLT-BJJXKVORSA-N 0 3 303.837 4.060 20 0 DIADHN CCC(C)(C)OCCN[C@H](C)c1ccc(-n2ccnc2C)cc1 ZINC000453405601 338839320 /nfs/dbraw/zinc/83/93/20/338839320.db2.gz JKDWKXCQWKBLDM-OAHLLOKOSA-N 0 3 315.461 4.037 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCc3ccc(C)cn3)oc21 ZINC000453422987 338841178 /nfs/dbraw/zinc/84/11/78/338841178.db2.gz MAIMLJDXEOCDCY-HNNXBMFYSA-N 0 3 324.424 4.428 20 0 DIADHN Cc1ccc(CCN[C@@H]2CCSc3ccc(Cl)cc32)nc1 ZINC000453426933 338841425 /nfs/dbraw/zinc/84/14/25/338841425.db2.gz OIMCUHGIIKJYIK-MRXNPFEDSA-N 0 3 318.873 4.413 20 0 DIADHN Cc1ccc(CCN[C@@H]2CCCOc3c(Cl)cccc32)nc1 ZINC000453430858 338841751 /nfs/dbraw/zinc/84/17/51/338841751.db2.gz QZLMJXVGPAAIMH-QGZVFWFLSA-N 0 3 316.832 4.089 20 0 DIADHN Cc1ccc(CCN[C@H]2CCCOc3cc(Cl)ccc32)nc1 ZINC000453432427 338841830 /nfs/dbraw/zinc/84/18/30/338841830.db2.gz SALFCZXHCMULMX-KRWDZBQOSA-N 0 3 316.832 4.089 20 0 DIADHN CC(C)(CO)[C@@H](NC/C=C\c1ccccc1)c1cccs1 ZINC000453583958 338849257 /nfs/dbraw/zinc/84/92/57/338849257.db2.gz YVYUEBJWDWJWPR-IVEQORNPSA-N 0 3 301.455 4.111 20 0 DIADHN Fc1ccc(Br)cc1CNCCC1CC(F)(F)C1 ZINC000453590408 338849470 /nfs/dbraw/zinc/84/94/70/338849470.db2.gz PBERFEPMAUSDIP-UHFFFAOYSA-N 0 3 322.168 4.113 20 0 DIADHN CCC(C)(C)OCCNCc1cnc(-c2ccccc2)s1 ZINC000453616618 338850847 /nfs/dbraw/zinc/85/08/47/338850847.db2.gz PTYVUKCTVNEXIK-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1ccc2c(c1)OCO2 ZINC000195613820 338910145 /nfs/dbraw/zinc/91/01/45/338910145.db2.gz GLAMPJVJXSJYBW-UONOGXRCSA-N 0 3 309.409 4.316 20 0 DIADHN C[C@@H](CC(C)(C)C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000457240620 338956831 /nfs/dbraw/zinc/95/68/31/338956831.db2.gz XAFHERGBWQKBFE-ZDUSSCGKSA-N 0 3 316.445 4.049 20 0 DIADHN Cc1cc(CN)cc(NC(=O)c2ccc(SC(C)C)cc2)c1 ZINC000457385594 338961247 /nfs/dbraw/zinc/96/12/47/338961247.db2.gz VBMAJWYGGWKALY-UHFFFAOYSA-N 0 3 314.454 4.207 20 0 DIADHN Cc1c(C(=O)Nc2cc(C)cc(CN)c2)oc2c1c(C)ccc2C ZINC000457386553 338961364 /nfs/dbraw/zinc/96/13/64/338961364.db2.gz WOONXTWMRXEVCD-UHFFFAOYSA-N 0 3 322.408 4.377 20 0 DIADHN Cc1[nH]c2ccccc2c1C(=O)Nc1ccc(CN(C)C)c(C)c1 ZINC000458311088 338995650 /nfs/dbraw/zinc/99/56/50/338995650.db2.gz HFZWAYQZANGWTH-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@@H](CC)CSC)cc(Cl)c1[O-] ZINC000459552213 339035349 /nfs/dbraw/zinc/03/53/49/339035349.db2.gz SNWZSCDJXZPHCC-LBPRGKRZSA-N 0 3 317.882 4.018 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@@H](CC)CSC)cc(Cl)c1[O-] ZINC000459552213 339035350 /nfs/dbraw/zinc/03/53/50/339035350.db2.gz SNWZSCDJXZPHCC-LBPRGKRZSA-N 0 3 317.882 4.018 20 0 DIADHN CCCC[C@@H](C)N(CCCC)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000458645239 339002450 /nfs/dbraw/zinc/00/24/50/339002450.db2.gz YHPOIMIIBFGHKV-SJORKVTESA-N 0 3 323.525 4.027 20 0 DIADHN Cc1ccc(C)c(OC2CCN(C3CC(OC(C)C)C3)CC2)c1 ZINC000459298196 339019946 /nfs/dbraw/zinc/01/99/46/339019946.db2.gz ISJMYTFGRXEBGB-UHFFFAOYSA-N 0 3 317.473 4.103 20 0 DIADHN CC1(C)CCN(Cc2cn(C3CCCC3)nc2-c2ccccn2)C1 ZINC000459352233 339023736 /nfs/dbraw/zinc/02/37/36/339023736.db2.gz QBMFLTPUUFBYOR-UHFFFAOYSA-N 0 3 324.472 4.292 20 0 DIADHN CC(C)C[C@H](NCc1cnc(C2CC2)nc1)c1cccs1 ZINC000459374987 339025525 /nfs/dbraw/zinc/02/55/25/339025525.db2.gz PPBWKOVXEDPHIL-HNNXBMFYSA-N 0 3 301.459 4.293 20 0 DIADHN CC(C)[C@H](NCC1CCC(F)(F)CC1)c1nc(C2CC2)no1 ZINC000459383389 339026129 /nfs/dbraw/zinc/02/61/29/339026129.db2.gz BLJWGEMRAIFFNY-ZDUSSCGKSA-N 0 3 313.392 4.059 20 0 DIADHN Cc1cnc([C@@H](NCC2CCC(F)(F)CC2)C2CC2)s1 ZINC000459398805 339026990 /nfs/dbraw/zinc/02/69/90/339026990.db2.gz GGRIWOPYMBOPPW-ZDUSSCGKSA-N 0 3 300.418 4.318 20 0 DIADHN CC(C)OC1CC(N2CCC(=Cc3ccc(F)c(F)c3)CC2)C1 ZINC000459406137 339027419 /nfs/dbraw/zinc/02/74/19/339027419.db2.gz KRCQNPIWSGQECF-UHFFFAOYSA-N 0 3 321.411 4.400 20 0 DIADHN CCOc1cc(C[NH2+][C@@H](C)CCSCC)cc(Cl)c1[O-] ZINC000459422909 339028336 /nfs/dbraw/zinc/02/83/36/339028336.db2.gz ONKBUJGAXUSLAU-NSHDSACASA-N 0 3 317.882 4.066 20 0 DIADHN Cc1cc(F)c(CN[C@@H]2CCOC3(CCCC3)C2)c(Cl)c1 ZINC000459454389 339030167 /nfs/dbraw/zinc/03/01/67/339030167.db2.gz XZLSQOAOHCUXIP-CYBMUJFWSA-N 0 3 311.828 4.369 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cnc(C2CC2)nc1 ZINC000459472575 339031157 /nfs/dbraw/zinc/03/11/57/339031157.db2.gz CAUOPNUMWUHZJU-KUHUBIRLSA-N 0 3 307.441 4.157 20 0 DIADHN CC(C)CCn1ncc(NC2CCN(C3CC3)CC2)c1C(C)C ZINC000459502863 339032932 /nfs/dbraw/zinc/03/29/32/339032932.db2.gz GYQFGEOIWWOFIS-UHFFFAOYSA-N 0 3 318.509 4.091 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCCC2)c(C)n1 ZINC000459527204 339034128 /nfs/dbraw/zinc/03/41/28/339034128.db2.gz BIHSDODMMQTDFK-FQEVSTJZSA-N 0 3 324.468 4.082 20 0 DIADHN Cc1cc(NC2CCN(c3ccncc3)CC2)ccc1C1CC1 ZINC000459538033 339034692 /nfs/dbraw/zinc/03/46/92/339034692.db2.gz SAKVLXWHCQUWAM-UHFFFAOYSA-N 0 3 307.441 4.348 20 0 DIADHN CCOc1cc(CN[C@@H]2CCCc3ccc(C)cc32)ccc1O ZINC000459557462 339035487 /nfs/dbraw/zinc/03/54/87/339035487.db2.gz LOHADCAMBNCQCJ-GOSISDBHSA-N 0 3 311.425 4.267 20 0 DIADHN CC/C=C/CNCc1cn(C2CCCC2)nc1-c1ccccn1 ZINC000459577112 339036994 /nfs/dbraw/zinc/03/69/94/339036994.db2.gz LXFWJMNBZQOMSL-XVNBXDOJSA-N 0 3 310.445 4.116 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNC1(C2(C)CC2)CC1 ZINC000459578104 339037031 /nfs/dbraw/zinc/03/70/31/339037031.db2.gz WZTNPWVNIXSXTB-UHFFFAOYSA-N 0 3 301.459 4.004 20 0 DIADHN Cc1cc(F)c(CNC[C@@H]2CCOC3(CCC3)C2)c(Cl)c1 ZINC000459583640 339037359 /nfs/dbraw/zinc/03/73/59/339037359.db2.gz SSODNCGLMZTTMQ-CYBMUJFWSA-N 0 3 311.828 4.226 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@]3(C)CCCC[C@@H]3C)o2)c(C)o1 ZINC000459608040 339038370 /nfs/dbraw/zinc/03/83/70/339038370.db2.gz HNIFZYFXOUBNAN-GTNSWQLSSA-N 0 3 303.406 4.005 20 0 DIADHN CC(C)(O)C1CN(Cc2ccc(-c3ccc(Cl)cc3)s2)C1 ZINC000459610832 339038466 /nfs/dbraw/zinc/03/84/66/339038466.db2.gz NJRDMMZAAYWBOM-UHFFFAOYSA-N 0 3 321.873 4.271 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1ccc(Oc2ccccc2)nn1 ZINC000459619487 339038671 /nfs/dbraw/zinc/03/86/71/339038671.db2.gz UOFLJKPPJCHYGD-KXBFYZLASA-N 0 3 311.429 4.327 20 0 DIADHN C[C@H](NCCc1cccc(Cl)c1Cl)c1cncc(F)c1 ZINC000459618362 339038780 /nfs/dbraw/zinc/03/87/80/339038780.db2.gz DXNNDHZAVPFRPY-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN C[C@H]1CCCC[C@@]1(C)NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000459623154 339038926 /nfs/dbraw/zinc/03/89/26/339038926.db2.gz YUPUELNSGRKNIG-YVEFUNNKSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@@H](N[C@@H](C)CCCc1cccnc1)c1nc2ccccc2o1 ZINC000459630232 339039183 /nfs/dbraw/zinc/03/91/83/339039183.db2.gz AOTSHUCPHWCIPX-LSDHHAIUSA-N 0 3 309.413 4.285 20 0 DIADHN C[C@H](CCCc1cccnc1)NCc1ncc(-c2ccccc2)o1 ZINC000459641978 339039975 /nfs/dbraw/zinc/03/99/75/339039975.db2.gz MPZMOSISOXIHOW-MRXNPFEDSA-N 0 3 321.424 4.238 20 0 DIADHN C[C@H](N[C@H]1CS[C@@H](C(C)(C)C)C1)c1nc2c(s1)CCC2 ZINC000459645676 339040083 /nfs/dbraw/zinc/04/00/83/339040083.db2.gz HADFHKVNJLEVEU-MISXGVKJSA-N 0 3 310.532 4.203 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCC(F)(F)CC1)c1nc2c(s1)CCC2 ZINC000459655244 339040790 /nfs/dbraw/zinc/04/07/90/339040790.db2.gz UQBVGMDCTXRHLL-WDEREUQCSA-N 0 3 314.445 4.496 20 0 DIADHN CCCCCOc1ccc(CNCc2ccc3cncn3c2)cc1 ZINC000459661814 339041409 /nfs/dbraw/zinc/04/14/09/339041409.db2.gz SBIJWQUHEVZGCA-UHFFFAOYSA-N 0 3 323.440 4.193 20 0 DIADHN Cc1ncc(CN[C@H](C)CCCOc2ccc(Cl)c(C)c2)o1 ZINC000459674840 339042469 /nfs/dbraw/zinc/04/24/69/339042469.db2.gz LKKLUYXVVQZMMD-CYBMUJFWSA-N 0 3 322.836 4.282 20 0 DIADHN CN(Cc1nc(-c2ccoc2)no1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000459675805 339042517 /nfs/dbraw/zinc/04/25/17/339042517.db2.gz NAEJKNOTFKLYJX-SJORKVTESA-N 0 3 323.396 4.098 20 0 DIADHN CN(Cc1nc2cccnc2s1)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000459685431 339043023 /nfs/dbraw/zinc/04/30/23/339043023.db2.gz VVUHUBAWZLPQTI-DOTOQJQBSA-N 0 3 323.465 4.459 20 0 DIADHN CN(Cc1nc2cccnc2s1)[C@H]1CCC[C@H]1c1ccccc1 ZINC000459685438 339043034 /nfs/dbraw/zinc/04/30/34/339043034.db2.gz VVUHUBAWZLPQTI-RDJZCZTQSA-N 0 3 323.465 4.459 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCC[C@@H]4C[C@@H]43)co2)cc1 ZINC000459764209 339046998 /nfs/dbraw/zinc/04/69/98/339046998.db2.gz CNSPNYZUGSNOPY-JLSDUUJJSA-N 0 3 312.413 4.019 20 0 DIADHN COc1ccc([C@H](NC[C@H](C)SC)c2ccccc2)cc1 ZINC000166173459 339053013 /nfs/dbraw/zinc/05/30/13/339053013.db2.gz BSBZEHHQEMUDAP-KBXCAEBGSA-N 0 3 301.455 4.126 20 0 DIADHN C[C@@H](CCn1cccn1)N[C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000498929717 339057248 /nfs/dbraw/zinc/05/72/48/339057248.db2.gz OKAKIYFZQTUHOZ-SUMWQHHRSA-N 0 3 321.415 4.317 20 0 DIADHN CC[C@H](C[C@@H](C)CO)N[C@@H](CC)c1cccc(Cl)c1F ZINC000461085415 339058879 /nfs/dbraw/zinc/05/88/79/339058879.db2.gz JSMFWLHIGQNZHE-JMSVASOKSA-N 0 3 301.833 4.317 20 0 DIADHN O[C@@H](CN[C@H](c1ccc(Cl)cc1)C1CCCC1)C(F)(F)F ZINC000461357431 339062350 /nfs/dbraw/zinc/06/23/50/339062350.db2.gz CKWHAXLEDYZXOA-KBPBESRZSA-N 0 3 321.770 4.084 20 0 DIADHN FC(F)c1ccc(CN2CCCN(c3ccccc3)CC2)cc1 ZINC000461388684 339062819 /nfs/dbraw/zinc/06/28/19/339062819.db2.gz ODDSIBRVFDMEJW-UHFFFAOYSA-N 0 3 316.395 4.337 20 0 DIADHN COc1ccc(OCCN(C)Cc2ccc(C(F)F)cc2)cc1 ZINC000461394863 339063123 /nfs/dbraw/zinc/06/31/23/339063123.db2.gz QCZNMGSQBFDSTR-UHFFFAOYSA-N 0 3 321.367 4.144 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1SC(C)C)N(CC)CC ZINC000461447327 339064011 /nfs/dbraw/zinc/06/40/11/339064011.db2.gz VYNARYRJFOOKTN-OAHLLOKOSA-N 0 3 308.491 4.246 20 0 DIADHN CC[C@H](NC(=O)[C@H](CC)N(CC)CC)c1ccc(Cl)s1 ZINC000461651845 339065393 /nfs/dbraw/zinc/06/53/93/339065393.db2.gz XSCSRKNBDKZPHP-RYUDHWBXSA-N 0 3 316.898 4.089 20 0 DIADHN Fc1ccc(CNC(Cc2ccccc2)Cc2ccccc2)nc1 ZINC000461896024 339068091 /nfs/dbraw/zinc/06/80/91/339068091.db2.gz SLQKONULKXZRCX-UHFFFAOYSA-N 0 3 320.411 4.164 20 0 DIADHN CC(C)COC[C@@H](N[C@H]1CCc2ccccc2C1)c1ccco1 ZINC000462042333 339071219 /nfs/dbraw/zinc/07/12/19/339071219.db2.gz XSHGBICSIGPENT-RBUKOAKNSA-N 0 3 313.441 4.140 20 0 DIADHN Cc1sc(CN[C@H]2CCc3ccccc3C2)nc1C(C)C ZINC000462051321 339071427 /nfs/dbraw/zinc/07/14/27/339071427.db2.gz UDAYJJYVKCIJFT-INIZCTEOSA-N 0 3 300.471 4.222 20 0 DIADHN Cc1sc(CN[C@@H]2Cc3ccc(Cl)cc3C2)nc1C(C)C ZINC000462051889 339071584 /nfs/dbraw/zinc/07/15/84/339071584.db2.gz VYUGJJZCJCMRAS-OAHLLOKOSA-N 0 3 320.889 4.485 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3cncs3)C2)cc1 ZINC000462068500 339071878 /nfs/dbraw/zinc/07/18/78/339071878.db2.gz APGWYHZGUBJWSL-INIZCTEOSA-N 0 3 300.471 4.331 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)CCC(C)(C)O ZINC000462329328 339075919 /nfs/dbraw/zinc/07/59/19/339075919.db2.gz WGISGEATUZENBD-AWEZNQCLSA-N 0 3 318.486 4.272 20 0 DIADHN c1c2c(oc1-c1ccccc1)CN(CCCc1ccncc1)CC2 ZINC000462337695 339075996 /nfs/dbraw/zinc/07/59/96/339075996.db2.gz UNMOCMSTZONLNW-UHFFFAOYSA-N 0 3 318.420 4.333 20 0 DIADHN CCC(C)(C)C1CCC(NCc2cn3ccsc3n2)CC1 ZINC000462373172 339077193 /nfs/dbraw/zinc/07/71/93/339077193.db2.gz ZMEYJAHBXSGEMI-UHFFFAOYSA-N 0 3 305.491 4.480 20 0 DIADHN C[C@H]1C[C@@]1(NCc1ccccc1N(C)C)c1ccc(Cl)cc1 ZINC000503436619 339079571 /nfs/dbraw/zinc/07/95/71/339079571.db2.gz VVRPSNDFZPREMV-LIRRHRJNSA-N 0 3 314.860 4.431 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC(OCC2CC2)CC1 ZINC000462499155 339080100 /nfs/dbraw/zinc/08/01/00/339080100.db2.gz HTUSBHHWTYCBFM-UHFFFAOYSA-N 0 3 310.441 4.247 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000462505037 339080327 /nfs/dbraw/zinc/08/03/27/339080327.db2.gz BKVROFBUWMVJRN-KBXCAEBGSA-N 0 3 320.440 4.136 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1ccc2c[nH]nc2c1 ZINC000462541624 339080780 /nfs/dbraw/zinc/08/07/80/339080780.db2.gz FSWPLGYZFFYFBN-VBKZILBWSA-N 0 3 305.425 4.366 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1ccc2c[nH]nc2c1 ZINC000462541626 339080809 /nfs/dbraw/zinc/08/08/09/339080809.db2.gz FSWPLGYZFFYFBN-XOBRGWDASA-N 0 3 305.425 4.366 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@H]2NCc2ccc(C)nc2)c1 ZINC000462543690 339080925 /nfs/dbraw/zinc/08/09/25/339080925.db2.gz UTAXJZNAKAQSTN-UXHICEINSA-N 0 3 310.441 4.178 20 0 DIADHN COCc1ccc(CN[C@H]2c3ccccc3CC[C@H]2C(C)C)o1 ZINC000462543660 339080992 /nfs/dbraw/zinc/08/09/92/339080992.db2.gz UMELNCGKAXULLX-AZUAARDMSA-N 0 3 313.441 4.475 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cnn2ccccc12 ZINC000462543742 339081031 /nfs/dbraw/zinc/08/10/31/339081031.db2.gz LGCJSAMXTMBGGM-FOIQADDNSA-N 0 3 305.425 4.138 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1ccc2c(c1)ncn2C ZINC000462545381 339081175 /nfs/dbraw/zinc/08/11/75/339081175.db2.gz BXDRPXYHFYCWRB-VFNWGFHPSA-N 0 3 319.452 4.377 20 0 DIADHN Cc1ccn2c(CN[C@H]3c4ccccc4CCC[C@@H]3C)cnc2c1 ZINC000462559485 339081380 /nfs/dbraw/zinc/08/13/80/339081380.db2.gz ZIYHNCOAXQUYPY-HRAATJIYSA-N 0 3 319.452 4.446 20 0 DIADHN Cc1ccn2c(CN[C@@H]3c4ccccc4CCC[C@@H]3C)cnc2c1 ZINC000462559488 339081398 /nfs/dbraw/zinc/08/13/98/339081398.db2.gz ZIYHNCOAXQUYPY-KKSFZXQISA-N 0 3 319.452 4.446 20 0 DIADHN Cc1cc(CNCCCOCC(C)C)c(OC(F)F)cc1C ZINC000462675288 339083760 /nfs/dbraw/zinc/08/37/60/339083760.db2.gz LGFXNBXWHXRQFV-UHFFFAOYSA-N 0 3 315.404 4.057 20 0 DIADHN CC(C)(C)OC(=O)CCNCc1ccc(-c2ccccc2)s1 ZINC000462719127 339084717 /nfs/dbraw/zinc/08/47/17/339084717.db2.gz PILCHKHXJBXWSP-UHFFFAOYSA-N 0 3 317.454 4.237 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2cccc(C)c2)cc1Cl ZINC000462732848 339085253 /nfs/dbraw/zinc/08/52/53/339085253.db2.gz FPLZVOCBINDDRZ-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN Fc1ccccc1CN[C@@H]1CCCN(c2ccccc2Cl)C1 ZINC000462731632 339085290 /nfs/dbraw/zinc/08/52/90/339085290.db2.gz PPLMPARZTOHUHH-OAHLLOKOSA-N 0 3 318.823 4.238 20 0 DIADHN CO[C@@H](CNCc1ccc(F)c(C)c1)c1ccc(Cl)cc1 ZINC000462734544 339085561 /nfs/dbraw/zinc/08/55/61/339085561.db2.gz DCRQKNMDFSPVKX-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@H](C)Oc1cccc(F)c1 ZINC000462735287 339085745 /nfs/dbraw/zinc/08/57/45/339085745.db2.gz PLFGGUMQMWKHEZ-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN C[C@H](CNCc1cc(F)c(F)c(F)c1)Sc1ccccc1 ZINC000462737567 339085827 /nfs/dbraw/zinc/08/58/27/339085827.db2.gz IJIVWIMDDJUXCZ-LLVKDONJSA-N 0 3 311.372 4.374 20 0 DIADHN CCOc1cccc(CNC[C@H](C)Oc2ccccc2Cl)c1 ZINC000462737534 339085848 /nfs/dbraw/zinc/08/58/48/339085848.db2.gz QGPWJNWNLCLWPC-AWEZNQCLSA-N 0 3 319.832 4.296 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccccc2C)cc1Cl ZINC000462736698 339085863 /nfs/dbraw/zinc/08/58/63/339085863.db2.gz UHKZKZHCVNCMKA-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN CCOc1cccc(CNC[C@@H](C)Oc2ccccc2Cl)c1 ZINC000462737535 339085948 /nfs/dbraw/zinc/08/59/48/339085948.db2.gz QGPWJNWNLCLWPC-CQSZACIVSA-N 0 3 319.832 4.296 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccc(F)cc2)c(Cl)c1 ZINC000462742534 339086382 /nfs/dbraw/zinc/08/63/82/339086382.db2.gz VTLVYJJHOAQWBC-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CO[C@@H](CNCc1cc(C)ccc1F)c1cccc(Cl)c1 ZINC000462743803 339086404 /nfs/dbraw/zinc/08/64/04/339086404.db2.gz DTYDARVPIAPTHM-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN COc1ccc(CNCCCOc2ccccc2C)cc1Cl ZINC000462744239 339086527 /nfs/dbraw/zinc/08/65/27/339086527.db2.gz KWBLLYLZHPHNAL-UHFFFAOYSA-N 0 3 319.832 4.216 20 0 DIADHN c1cn(Cc2ccccc2)c(CNCCCCC2CCCC2)n1 ZINC000462747081 339086573 /nfs/dbraw/zinc/08/65/73/339086573.db2.gz UMUWJHQKGLSZSA-UHFFFAOYSA-N 0 3 311.473 4.382 20 0 DIADHN COc1ccc(C)cc1CNC[C@H](C)c1ccc(F)cc1F ZINC000462752480 339086930 /nfs/dbraw/zinc/08/69/30/339086930.db2.gz AGGZBZISAXCOIY-ZDUSSCGKSA-N 0 3 305.368 4.175 20 0 DIADHN C[C@H](CNCc1ccc(Cl)o1)Oc1ccc(Cl)cc1 ZINC000462753014 339087054 /nfs/dbraw/zinc/08/70/54/339087054.db2.gz CJOSLLPQCOYLRY-SNVBAGLBSA-N 0 3 300.185 4.144 20 0 DIADHN Brc1cc(CN[C@@H]2C[C@H]2Cc2ccccc2)cs1 ZINC000462760135 339087283 /nfs/dbraw/zinc/08/72/83/339087283.db2.gz FIVICRWHMOQZSH-ZIAGYGMSSA-N 0 3 322.271 4.231 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000462762025 339087335 /nfs/dbraw/zinc/08/73/35/339087335.db2.gz JOAVAENIMVTJLQ-WMLDXEAASA-N 0 3 301.817 4.069 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC000462762027 339087365 /nfs/dbraw/zinc/08/73/65/339087365.db2.gz JOAVAENIMVTJLQ-YOEHRIQHSA-N 0 3 301.817 4.069 20 0 DIADHN COc1ccc(F)cc1CNCC1CCC(C(F)(F)F)CC1 ZINC000462781213 339088134 /nfs/dbraw/zinc/08/81/34/339088134.db2.gz ZIIDLQNNHLBYPP-UHFFFAOYSA-N 0 3 319.342 4.293 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1ccsc1)c1ccc(C)o1 ZINC000462793923 339089020 /nfs/dbraw/zinc/08/90/20/339089020.db2.gz VKZKSTXCCGZOTI-XJKSGUPXSA-N 0 3 307.459 4.338 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)CCc2ccsc2)o1 ZINC000462792910 339089074 /nfs/dbraw/zinc/08/90/74/339089074.db2.gz XIMWLMXHIWMJOW-CJNGLKHVSA-N 0 3 307.459 4.202 20 0 DIADHN Cc1nn(C(C)C)cc1CNCCOc1cccc2ccccc21 ZINC000462793221 339089102 /nfs/dbraw/zinc/08/91/02/339089102.db2.gz RLUFWIDUMMKIFQ-UHFFFAOYSA-N 0 3 323.440 4.094 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1ccsc1)c1ccc(C)o1 ZINC000462793920 339089130 /nfs/dbraw/zinc/08/91/30/339089130.db2.gz VKZKSTXCCGZOTI-BBRMVZONSA-N 0 3 307.459 4.338 20 0 DIADHN CC(C)c1ccc(CCCNCc2cn(C)nc2C(F)F)cc1 ZINC000462798304 339089533 /nfs/dbraw/zinc/08/95/33/339089533.db2.gz NETKDDWLABGALP-UHFFFAOYSA-N 0 3 321.415 4.204 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCCSc1ccccc1F ZINC000462800178 339089722 /nfs/dbraw/zinc/08/97/22/339089722.db2.gz AGSOYNIJMQNTBI-MRXNPFEDSA-N 0 3 317.429 4.291 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000462803519 339089956 /nfs/dbraw/zinc/08/99/56/339089956.db2.gz KBMDPBKRVRQIBN-NBHGPNQESA-N 0 3 323.436 4.137 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H]2CCN(c3ccccc3F)C2)o1 ZINC000462811004 339090873 /nfs/dbraw/zinc/09/08/73/339090873.db2.gz FFFUZMOQKYANGQ-CABCVRRESA-N 0 3 316.420 4.158 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1ccccc1OC(F)(F)F)C1CC1 ZINC000462812748 339091129 /nfs/dbraw/zinc/09/11/29/339091129.db2.gz IKWKFWQKEQTQTF-IAQYHMDHSA-N 0 3 317.351 4.051 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000462819095 339091781 /nfs/dbraw/zinc/09/17/81/339091781.db2.gz WQOXDXBAJMEYSP-SCLBCKFNSA-N 0 3 316.470 4.276 20 0 DIADHN Cc1nc([C@@H](C)NCCCCc2ccc(F)c(F)c2)cs1 ZINC000462822104 339092076 /nfs/dbraw/zinc/09/20/76/339092076.db2.gz SHBBTCYZQNDYNP-LLVKDONJSA-N 0 3 310.413 4.403 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000462831431 339093078 /nfs/dbraw/zinc/09/30/78/339093078.db2.gz CGKKPBVSHWHWQU-IPELMVKDSA-N 0 3 310.441 4.215 20 0 DIADHN CC(C)N(Cc1cccc(OC2CCCC2)c1)[C@H]1CCOC1 ZINC000462853589 339094403 /nfs/dbraw/zinc/09/44/03/339094403.db2.gz JBRPZHUXXTVMTI-KRWDZBQOSA-N 0 3 303.446 4.007 20 0 DIADHN CC(C)N(Cc1ccc(-c2ccccc2F)o1)[C@H]1CCOC1 ZINC000462872232 339095620 /nfs/dbraw/zinc/09/56/20/339095620.db2.gz TWGVKIGKBMGEJG-AWEZNQCLSA-N 0 3 303.377 4.085 20 0 DIADHN Cc1nc([C@H](C)NCCCCOc2ccc(C)cc2)cs1 ZINC000462892341 339096405 /nfs/dbraw/zinc/09/64/05/339096405.db2.gz WMUMETVBPWJPKG-AWEZNQCLSA-N 0 3 304.459 4.270 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccccc2C(F)(F)F)cs1 ZINC000462895366 339096564 /nfs/dbraw/zinc/09/65/64/339096564.db2.gz LAEQLVZRMNFACR-JTQLQIEISA-N 0 3 314.376 4.364 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 ZINC000462897919 339096716 /nfs/dbraw/zinc/09/67/16/339096716.db2.gz VEMHHJJEJAWNAS-QASKLWRZSA-N 0 3 321.342 4.148 20 0 DIADHN Fc1ccccc1N1CC[C@H](CNCc2ccsc2Cl)C1 ZINC000462907309 339097366 /nfs/dbraw/zinc/09/73/66/339097366.db2.gz FJPCCYXEIZUVOX-GFCCVEGCSA-N 0 3 324.852 4.157 20 0 DIADHN Fc1ccccc1N1CC[C@H](CNCc2cc3ccccc3o2)C1 ZINC000462912634 339097836 /nfs/dbraw/zinc/09/78/36/339097836.db2.gz RGEGKHNLTSUAQJ-OAHLLOKOSA-N 0 3 324.399 4.188 20 0 DIADHN CN(Cc1cn(C)nc1C(F)F)C1CCC(C(C)(C)C)CC1 ZINC000462937529 339098342 /nfs/dbraw/zinc/09/83/42/339098342.db2.gz DJZMYYDPROSGHN-UHFFFAOYSA-N 0 3 313.436 4.394 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@@H]2CCCCC23CCCC3)c1 ZINC000462941153 339098526 /nfs/dbraw/zinc/09/85/26/339098526.db2.gz POUVUSLJBOHKQG-XJKSGUPXSA-N 0 3 305.418 4.220 20 0 DIADHN Cn1cc(CN2CCCC3(C2)CCCCCC3)c(C(F)F)n1 ZINC000462939906 339098532 /nfs/dbraw/zinc/09/85/32/339098532.db2.gz HASVPYCDICGYFO-UHFFFAOYSA-N 0 3 311.420 4.294 20 0 DIADHN CCN(Cc1ccc(-c2cccc(F)c2C)o1)[C@@H]1CCOC1 ZINC000463014313 339100464 /nfs/dbraw/zinc/10/04/64/339100464.db2.gz VFHRTOKXELSPMC-CQSZACIVSA-N 0 3 303.377 4.005 20 0 DIADHN CC(C)C[C@H](N[C@@H]1C[C@H](OC(C)C)C1(C)C)c1ccccn1 ZINC000463014231 339100496 /nfs/dbraw/zinc/10/04/96/339100496.db2.gz UXPFJTUUZBUUKQ-KSZLIROESA-N 0 3 304.478 4.351 20 0 DIADHN COC1(C)CCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000463027113 339101321 /nfs/dbraw/zinc/10/13/21/339101321.db2.gz FMOXTKDYVNBNLI-UHFFFAOYSA-N 0 3 316.272 4.427 20 0 DIADHN C[C@H](NCCNc1ccccn1)c1ccc(OC(C)(C)C)cc1 ZINC000463026686 339101375 /nfs/dbraw/zinc/10/13/75/339101375.db2.gz BJIUXPUWZOENDI-HNNXBMFYSA-N 0 3 313.445 4.022 20 0 DIADHN c1cnn([C@H]2CCN(Cc3cccc(Oc4ccccc4)c3)C2)c1 ZINC000463037064 339102395 /nfs/dbraw/zinc/10/23/95/339102395.db2.gz VUSKFDMIDVGUFF-SFHVURJKSA-N 0 3 319.408 4.122 20 0 DIADHN CCN(Cc1ccc(-c2cccc(F)c2)s1)[C@@H]1CCOC1 ZINC000463042245 339102749 /nfs/dbraw/zinc/10/27/49/339102749.db2.gz IZXHHFBNJLAETI-OAHLLOKOSA-N 0 3 305.418 4.165 20 0 DIADHN c1ccc2c(c1)nccc2NCc1ccc(-c2cccnc2)cc1 ZINC000463065820 339103760 /nfs/dbraw/zinc/10/37/60/339103760.db2.gz WHGXUBYTNKDALA-UHFFFAOYSA-N 0 3 311.388 4.331 20 0 DIADHN c1ccc(COC[C@@H]2CCN(c3ccnc4ccccc43)C2)cc1 ZINC000463067524 339103830 /nfs/dbraw/zinc/10/38/30/339103830.db2.gz CCCBHXJACJOBTM-GOSISDBHSA-N 0 3 318.420 4.278 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1cncc(C(F)(F)F)c1)C1CC1 ZINC000463081178 339104942 /nfs/dbraw/zinc/10/49/42/339104942.db2.gz ISWRLKAVAILCNI-VXGBXAGGSA-N 0 3 300.368 4.499 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nc2ccc(Br)cc2o1 ZINC000463084990 339105193 /nfs/dbraw/zinc/10/51/93/339105193.db2.gz XEVYQWCQTVSDSP-CYBMUJFWSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H]1CCCN(Cc2nc3ccc(Br)cc3o2)[C@H]1C ZINC000463092602 339105551 /nfs/dbraw/zinc/10/55/51/339105551.db2.gz KLEAVKUHDNHCCV-MNOVXSKESA-N 0 3 323.234 4.211 20 0 DIADHN COCC1(CN2CCCC[C@H]2c2nc3ccccc3o2)CCC1 ZINC000463113836 339106314 /nfs/dbraw/zinc/10/63/14/339106314.db2.gz PUTFKRCKSLYHFG-INIZCTEOSA-N 0 3 314.429 4.172 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463132685 339106817 /nfs/dbraw/zinc/10/68/17/339106817.db2.gz BNPHZCMUPXFWCJ-CMPLNLGQSA-N 0 3 323.234 4.259 20 0 DIADHN Cc1cc(NC(=O)C(C)C(F)(F)F)ccc1Oc1cccnc1 ZINC000463137711 339106933 /nfs/dbraw/zinc/10/69/33/339106933.db2.gz MKKUEHUWNLGXFN-LLVKDONJSA-N 0 3 324.302 4.319 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2Cc2cc(F)ccc2F)C1 ZINC000463201937 339109174 /nfs/dbraw/zinc/10/91/74/339109174.db2.gz KHBLUYAYFAQWAG-OLQIXAPSSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2Cc2cc(F)cc(F)c2)C1 ZINC000463208376 339109539 /nfs/dbraw/zinc/10/95/39/339109539.db2.gz XEJRPGFQZARBDB-XEKGUZQTSA-N 0 3 323.427 4.116 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463215809 339109806 /nfs/dbraw/zinc/10/98/06/339109806.db2.gz YMNIUIAFSCNCKI-ZDUSSCGKSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2Cc2ccc(F)cc2F)C1 ZINC000463216588 339110010 /nfs/dbraw/zinc/11/00/10/339110010.db2.gz ZHQTYBZGOXMWPC-AZFSHXRASA-N 0 3 323.427 4.116 20 0 DIADHN CC(C)(O)CCN[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000463230517 339110646 /nfs/dbraw/zinc/11/06/46/339110646.db2.gz PCBBJRPEPWJKOP-QGZVFWFLSA-N 0 3 303.833 4.180 20 0 DIADHN Clc1cccc(C2CCN(C[C@H]3CCOC3)CC2)c1Cl ZINC000463371459 339115934 /nfs/dbraw/zinc/11/59/34/339115934.db2.gz XJVBNIDNWSXRTP-GFCCVEGCSA-N 0 3 314.256 4.209 20 0 DIADHN Clc1cccc(C2CCN(C[C@@H]3CCOC3)CC2)c1Cl ZINC000463371461 339116050 /nfs/dbraw/zinc/11/60/50/339116050.db2.gz XJVBNIDNWSXRTP-LBPRGKRZSA-N 0 3 314.256 4.209 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CCc1ccccc1Cl)C2 ZINC000463414202 339116912 /nfs/dbraw/zinc/11/69/12/339116912.db2.gz MGRVKQIXOZJCKR-UHFFFAOYSA-N 0 3 313.828 4.136 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CCSc1ccccc1)C2 ZINC000463416048 339117002 /nfs/dbraw/zinc/11/70/02/339117002.db2.gz RSAHKTXPJOKVCO-UHFFFAOYSA-N 0 3 311.450 4.032 20 0 DIADHN c1cnn([C@@H]2CCN(Cc3ccccc3Oc3ccccc3)C2)c1 ZINC000463437516 339117694 /nfs/dbraw/zinc/11/76/94/339117694.db2.gz RQFILOSTQVMAAK-GOSISDBHSA-N 0 3 319.408 4.122 20 0 DIADHN CC1(C)[C@H](NCc2cn3ccccc3n2)C[C@H]1c1ccccc1 ZINC000463557614 339121250 /nfs/dbraw/zinc/12/12/50/339121250.db2.gz MTLGFKXSBYIMIS-ZWKOTPCHSA-N 0 3 305.425 4.006 20 0 DIADHN CC(C)CCOc1cccc(NC(=O)C(C)C(F)(F)F)c1 ZINC000463653640 339123320 /nfs/dbraw/zinc/12/33/20/339123320.db2.gz CGZMBTZTEDUOLX-LLVKDONJSA-N 0 3 303.324 4.248 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCCC1=CCCCCC1 ZINC000463715662 339124403 /nfs/dbraw/zinc/12/44/03/339124403.db2.gz ORSVBRXJCYKLCG-UHFFFAOYSA-N 0 3 319.445 4.083 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@H](c3ccccc3)C2(C)C)s1 ZINC000464060800 339132373 /nfs/dbraw/zinc/13/23/73/339132373.db2.gz FHWDOUITJIZREX-CVEARBPZSA-N 0 3 300.471 4.377 20 0 DIADHN CC1(C)[C@@H](c2ccccc2)C[C@@H]1NCc1cn2ccsc2n1 ZINC000464073617 339133163 /nfs/dbraw/zinc/13/31/63/339133163.db2.gz KYFAYDUYINAVMA-CVEARBPZSA-N 0 3 311.454 4.068 20 0 DIADHN CC(C(=O)Nc1cccc(N2CCCCCC2)c1)C(F)(F)F ZINC000464075244 339133293 /nfs/dbraw/zinc/13/32/93/339133293.db2.gz WJSVDMZLNCCCJE-GFCCVEGCSA-N 0 3 314.351 4.204 20 0 DIADHN CCCN(CCc1cccs1)Cc1nc2ccc(F)cc2o1 ZINC000464578628 339145988 /nfs/dbraw/zinc/14/59/88/339145988.db2.gz VJDQSMVRVOBVIW-UHFFFAOYSA-N 0 3 318.417 4.483 20 0 DIADHN CCCN(CCc1cccs1)Cc1cc(-c2ccco2)on1 ZINC000464583187 339146080 /nfs/dbraw/zinc/14/60/80/339146080.db2.gz AYZYTGDCKLWJMT-UHFFFAOYSA-N 0 3 316.426 4.451 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1c(C)cccc1CC ZINC000464734246 339150482 /nfs/dbraw/zinc/15/04/82/339150482.db2.gz KEJKSNRLJORTMV-QAPCUYQASA-N 0 3 316.489 4.397 20 0 DIADHN Cc1ccc(OCCCN2CCC(C(F)(F)F)CC2)cc1C ZINC000464770164 339152052 /nfs/dbraw/zinc/15/20/52/339152052.db2.gz FJEWOXHHYCBNHT-UHFFFAOYSA-N 0 3 315.379 4.347 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(-c3ccccc3)no2)C1 ZINC000464772954 339152326 /nfs/dbraw/zinc/15/23/26/339152326.db2.gz JVRNJBUCUOKTNC-NVXWUHKLSA-N 0 3 316.470 4.448 20 0 DIADHN FC(F)(F)C1CCN(CCOc2ccc3ccccc3c2)CC1 ZINC000464784494 339153499 /nfs/dbraw/zinc/15/34/99/339153499.db2.gz YBLJCRACKUZPMV-UHFFFAOYSA-N 0 3 323.358 4.493 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCC(C)(C)C1 ZINC000464790201 339153963 /nfs/dbraw/zinc/15/39/63/339153963.db2.gz DZFXJORIKYFMGS-INIZCTEOSA-N 0 3 322.452 4.413 20 0 DIADHN Cc1nc(CN(C)[C@@H](Cc2ccc(F)cc2)C(C)C)oc1C ZINC000464795727 339154300 /nfs/dbraw/zinc/15/43/00/339154300.db2.gz ZSSFWKVYGLOLOB-KRWDZBQOSA-N 0 3 304.409 4.130 20 0 DIADHN Cc1ccc(SCCN2CCC(OCC3CC3)CC2)cc1C ZINC000464811794 339154979 /nfs/dbraw/zinc/15/49/79/339154979.db2.gz DFYGPQKOHMZTLN-UHFFFAOYSA-N 0 3 319.514 4.287 20 0 DIADHN Clc1ccc(-c2nc(CN3C[C@@H]4CCC[C@H]4C3)co2)cc1 ZINC000464844545 339157241 /nfs/dbraw/zinc/15/72/41/339157241.db2.gz BDAHWMPVPMPFBD-KBPBESRZSA-N 0 3 302.805 4.227 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(-c2ccccc2)no1 ZINC000464850702 339157587 /nfs/dbraw/zinc/15/75/87/339157587.db2.gz NVAUBIPKFHALMJ-AWEZNQCLSA-N 0 3 304.459 4.305 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC000464852024 339157921 /nfs/dbraw/zinc/15/79/21/339157921.db2.gz ANNALZHEQVFAOS-ZDUSSCGKSA-N 0 3 322.449 4.444 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C(C)C)c(C)s1 ZINC000464866099 339159175 /nfs/dbraw/zinc/15/91/75/339159175.db2.gz OLDGWGJBFQCZRX-KRWDZBQOSA-N 0 3 317.454 4.330 20 0 DIADHN Clc1ccc(-c2cc(CN3C[C@@H]4CCC[C@@H]4C3)on2)cc1 ZINC000464882086 339160018 /nfs/dbraw/zinc/16/00/18/339160018.db2.gz XOFGOLFPBAOBSU-OKILXGFUSA-N 0 3 302.805 4.227 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc2cc(F)ccc2o1 ZINC000464896243 339160756 /nfs/dbraw/zinc/16/07/56/339160756.db2.gz OFKQXCVKABZAAA-INIZCTEOSA-N 0 3 312.388 4.420 20 0 DIADHN CN(C)CCN(C(=O)Nc1cccc(Cl)c1)C1CCCCC1 ZINC000465000882 339165176 /nfs/dbraw/zinc/16/51/76/339165176.db2.gz LTDVRIPSYNIVRG-UHFFFAOYSA-N 0 3 323.868 4.068 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@H](CCO)C(C)C)o2)cc1 ZINC000465009833 339165394 /nfs/dbraw/zinc/16/53/94/339165394.db2.gz GHIPKNCKYYDMKY-GOSISDBHSA-N 0 3 301.430 4.006 20 0 DIADHN CO[C@H](CNCc1ccc(-c2cccc(F)c2C)o1)C(C)C ZINC000465014844 339165426 /nfs/dbraw/zinc/16/54/26/339165426.db2.gz DWVFOFCKGFMJKE-GOSISDBHSA-N 0 3 305.393 4.155 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC(C)(C)CC)c1ccsc1 ZINC000465034581 339166254 /nfs/dbraw/zinc/16/62/54/339166254.db2.gz KGFBQOLATXLNDU-HNNXBMFYSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1nc(NC2CCN([C@@H](C)c3ccccc3)CC2)sc1C ZINC000465055787 339167198 /nfs/dbraw/zinc/16/71/98/339167198.db2.gz HYKIZNIBANPCEM-AWEZNQCLSA-N 0 3 315.486 4.397 20 0 DIADHN CCC(C)(C)CC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC000465172460 339170631 /nfs/dbraw/zinc/17/06/31/339170631.db2.gz BTTBEYXJKFIRHR-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN CC(C(=O)N(c1ccc(F)cc1)C1CCCC1)C(F)(F)F ZINC000465493379 339178326 /nfs/dbraw/zinc/17/83/26/339178326.db2.gz WSEBYTLBGSVWNR-SNVBAGLBSA-N 0 3 303.299 4.300 20 0 DIADHN C[C@@H](CCNCc1nc(-c2ccccc2)c[nH]1)c1ccccc1 ZINC000466404911 339202744 /nfs/dbraw/zinc/20/27/44/339202744.db2.gz DVGGUAWONRTQEV-INIZCTEOSA-N 0 3 305.425 4.360 20 0 DIADHN CC[C@@H]1CCC[C@@H](NCc2ccncc2OCC(F)(F)F)C1 ZINC000466418122 339203721 /nfs/dbraw/zinc/20/37/21/339203721.db2.gz VASFRWYQESEBMZ-TZMCWYRMSA-N 0 3 316.367 4.081 20 0 DIADHN C[C@@H]1CCc2nc(CNC3CC(c4ccccc4)C3)sc2C1 ZINC000466421785 339203927 /nfs/dbraw/zinc/20/39/27/339203927.db2.gz NQUFJLFSCBBNST-IUDNXUCKSA-N 0 3 312.482 4.304 20 0 DIADHN COc1cc2c(c(CNC3CC(c4ccccc4)C3)c1)O[C@@H](C)C2 ZINC000466422088 339204009 /nfs/dbraw/zinc/20/40/09/339204009.db2.gz IYMKFTSLMXIIIR-ASFAAARLSA-N 0 3 323.436 4.054 20 0 DIADHN CCn1nc(CNC2CC(c3ccccc3)C2)c2ccccc21 ZINC000466422150 339204113 /nfs/dbraw/zinc/20/41/13/339204113.db2.gz KABLFFVQCPBTKD-UHFFFAOYSA-N 0 3 305.425 4.092 20 0 DIADHN c1c(CNC2CC(c3ccccc3)C2)[nH]nc1-c1ccccc1 ZINC000466426010 339204450 /nfs/dbraw/zinc/20/44/50/339204450.db2.gz QNVRQDLFDXSFNX-UHFFFAOYSA-N 0 3 303.409 4.113 20 0 DIADHN C[C@@H]1CC(CNCc2cc(C(F)(F)F)ccc2F)C[C@@H](C)O1 ZINC000466426788 339204463 /nfs/dbraw/zinc/20/44/63/339204463.db2.gz XAFAPBIMOKQDNW-GHMZBOCLSA-N 0 3 319.342 4.138 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1c(C)cc(C)cc1C)CCO2 ZINC000466454516 339205709 /nfs/dbraw/zinc/20/57/09/339205709.db2.gz IOHASNGJRCPTET-IBGZPJMESA-N 0 3 311.425 4.234 20 0 DIADHN CCC[C@@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000466486230 339207068 /nfs/dbraw/zinc/20/70/68/339207068.db2.gz JBTZUADXCLMOIX-ZWKOTPCHSA-N 0 3 316.489 4.451 20 0 DIADHN CCC[C@H]1CCCN([C@@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000466486229 339207109 /nfs/dbraw/zinc/20/71/09/339207109.db2.gz JBTZUADXCLMOIX-ROUUACIJSA-N 0 3 316.489 4.451 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@H](CC(C)C)C2)c(C)c1 ZINC000466742670 339215291 /nfs/dbraw/zinc/21/52/91/339215291.db2.gz ZKSXPOCGAOUCRV-QZTJIDSGSA-N 0 3 316.489 4.307 20 0 DIADHN CC/C=C/CCN1CCC(C(=O)c2cc(F)ccc2F)CC1 ZINC000466835542 339218694 /nfs/dbraw/zinc/21/86/94/339218694.db2.gz PVWWZRZUUUNZFY-ONEGZZNKSA-N 0 3 307.384 4.216 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000466908190 339220944 /nfs/dbraw/zinc/22/09/44/339220944.db2.gz OOOVFSHUBCNZOW-HUUCEWRRSA-N 0 3 302.462 4.043 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000466934227 339222064 /nfs/dbraw/zinc/22/20/64/339222064.db2.gz BSDXLTJWIWZNSI-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2nc3ccc(F)cc3o2)cc1 ZINC000466951546 339222612 /nfs/dbraw/zinc/22/26/12/339222612.db2.gz AODDBYUSLUUTNO-CQSZACIVSA-N 0 3 312.388 4.338 20 0 DIADHN Fc1ccc2nc(CN3CC[C@H](Cc4ccccc4)C3)oc2c1 ZINC000466957086 339222617 /nfs/dbraw/zinc/22/26/17/339222617.db2.gz FEGSSLKHTWGPBK-OAHLLOKOSA-N 0 3 310.372 4.032 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCCSCC(C)C)o1 ZINC000467029611 339224991 /nfs/dbraw/zinc/22/49/91/339224991.db2.gz WBXONFBBIUGGRH-INIZCTEOSA-N 0 3 311.491 4.342 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN([C@H](C)c3cnccn3)C2)cc1 ZINC000467190650 339227471 /nfs/dbraw/zinc/22/74/71/339227471.db2.gz HUDXEECOWYRCRU-SJLPKXTDSA-N 0 3 309.457 4.226 20 0 DIADHN CC(C)CCOC1CCN(C[C@H]2CCC3(CCCCC3)O2)CC1 ZINC000467194200 339227701 /nfs/dbraw/zinc/22/77/01/339227701.db2.gz CCMWRIGKQWHGIA-LJQANCHMSA-N 0 3 323.521 4.395 20 0 DIADHN COc1ccc(C2(NC[C@@H]3CCC4(CCCCC4)O3)CC2)cc1 ZINC000467192070 339227709 /nfs/dbraw/zinc/22/77/09/339227709.db2.gz RJVACDDBAQLXRG-SFHVURJKSA-N 0 3 315.457 4.156 20 0 DIADHN CC(C)CCOC1CCN(C[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000467194199 339227752 /nfs/dbraw/zinc/22/77/52/339227752.db2.gz CCMWRIGKQWHGIA-IBGZPJMESA-N 0 3 323.521 4.395 20 0 DIADHN Cn1cccc1[C@H]1CCCN1C[C@H]1CCC2(CCCCC2)O1 ZINC000467197659 339227832 /nfs/dbraw/zinc/22/78/32/339227832.db2.gz UNTRAXQUTVLKQE-SJLPKXTDSA-N 0 3 302.462 4.044 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1csc(-c2ccccc2OC)n1 ZINC000467206740 339228149 /nfs/dbraw/zinc/22/81/49/339228149.db2.gz LPOGFNIWKDGYHB-ZFWWWQNUSA-N 0 3 316.470 4.439 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCCSc1ccccc1 ZINC000467305709 339230783 /nfs/dbraw/zinc/23/07/83/339230783.db2.gz LRLCAVITWPYCAH-KRWDZBQOSA-N 0 3 317.429 4.204 20 0 DIADHN Fc1cncc([C@@H](NCc2cccc(OC(F)F)c2)C2CC2)c1 ZINC000467344364 339232727 /nfs/dbraw/zinc/23/27/27/339232727.db2.gz BBVZBZROOQXFTP-INIZCTEOSA-N 0 3 322.330 4.063 20 0 DIADHN Cc1ccccc1OCCCN[C@@H](c1cncc(F)c1)C1CC1 ZINC000467358872 339233900 /nfs/dbraw/zinc/23/39/00/339233900.db2.gz QDVHCUXRIYZHHI-LJQANCHMSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@H](c1cncc(F)c1)C1CC1 ZINC000467368220 339234538 /nfs/dbraw/zinc/23/45/38/339234538.db2.gz GEECSEJGHRZMNZ-KUHUBIRLSA-N 0 3 314.404 4.037 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)CC[C@H]3CCCO3)s2)cc1 ZINC000467379596 339235488 /nfs/dbraw/zinc/23/54/88/339235488.db2.gz AMZYDNKBRXLEJB-MRXNPFEDSA-N 0 3 316.470 4.119 20 0 DIADHN Fc1cncc([C@@H](NCCOC2CCCCCC2)C2CC2)c1 ZINC000467389100 339236256 /nfs/dbraw/zinc/23/62/56/339236256.db2.gz OYLWFMWIIKISJN-SFHVURJKSA-N 0 3 306.425 4.001 20 0 DIADHN C[C@H](CC1CCCC1)NCc1ccncc1OCC(F)(F)F ZINC000467443306 339238831 /nfs/dbraw/zinc/23/88/31/339238831.db2.gz BZTFBIWCMUDACB-GFCCVEGCSA-N 0 3 316.367 4.081 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@](C)(c2ccc(F)cc2)C1 ZINC000467517279 339240839 /nfs/dbraw/zinc/24/08/39/339240839.db2.gz DOMPTSCJNGDGNG-LJQANCHMSA-N 0 3 315.436 4.075 20 0 DIADHN c1ccc([C@@H](NCCC2=CCCCCC2)c2cncnc2)cc1 ZINC000467534017 339241166 /nfs/dbraw/zinc/24/11/66/339241166.db2.gz ZHQDBBPTKXFXOM-HXUWFJFHSA-N 0 3 307.441 4.436 20 0 DIADHN Cn1ccc(CN2CCC(F)(c3ccc(Cl)cc3)CC2)c1 ZINC000467540869 339241319 /nfs/dbraw/zinc/24/13/19/339241319.db2.gz RGYDHQPXGPZMGT-UHFFFAOYSA-N 0 3 306.812 4.139 20 0 DIADHN C[C@@H](CCc1ccccc1)CN1CCCC[C@@H]1c1ccnn1C ZINC000467546420 339241669 /nfs/dbraw/zinc/24/16/69/339241669.db2.gz NZMYSCUKVSNDAR-FXAWDEMLSA-N 0 3 311.473 4.216 20 0 DIADHN COc1cccc(C2(F)CCN(Cc3ccsc3)CC2)c1 ZINC000467548206 339241841 /nfs/dbraw/zinc/24/18/41/339241841.db2.gz YBGFLPYJWRRLQP-UHFFFAOYSA-N 0 3 305.418 4.218 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CCN1Cc1cccc2nccn21 ZINC000467550617 339242098 /nfs/dbraw/zinc/24/20/98/339242098.db2.gz LXARIXHXCHMAQJ-SJLPKXTDSA-N 0 3 305.425 4.102 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cnn(C(C)(C)C)c1 ZINC000467550738 339242103 /nfs/dbraw/zinc/24/21/03/339242103.db2.gz NIEPINLAIXMHEW-LPHOPBHVSA-N 0 3 311.473 4.406 20 0 DIADHN CC[C@@H]1CN(Cc2cccc(OC3CCCC3)c2)CCCO1 ZINC000467560816 339242695 /nfs/dbraw/zinc/24/26/95/339242695.db2.gz VSPDRGOAMXGHCT-QGZVFWFLSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NCCN1[C@H](C)CCC[C@H]1C ZINC000467681088 339244340 /nfs/dbraw/zinc/24/43/40/339244340.db2.gz PFNOGEQIZYCHQE-ZIAGYGMSSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1ccccc1CCN[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000467730334 339244962 /nfs/dbraw/zinc/24/49/62/339244962.db2.gz ASVYPDALMJEKGN-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H]2CCC[C@@H]2OCc2ccccc2)c1 ZINC000467733945 339245120 /nfs/dbraw/zinc/24/51/20/339245120.db2.gz DAROWOWVLLHMQD-DBVUQKKJSA-N 0 3 310.441 4.179 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2cncc(C)c2)cc1 ZINC000467746855 339245639 /nfs/dbraw/zinc/24/56/39/339245639.db2.gz PMMPXMNNVZTMOC-UXPWSPDFSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2ccc(C)c(F)c2)cc1 ZINC000467762840 339246166 /nfs/dbraw/zinc/24/61/66/339246166.db2.gz DJZRVCAHYBYACG-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1ccc(CNCc2ccc(Br)c(C)c2)cc1F ZINC000467768568 339246265 /nfs/dbraw/zinc/24/62/65/339246265.db2.gz JDCPXJPJVSGJDM-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2NCc2cc(C)ccc2F)cc1 ZINC000467776917 339246543 /nfs/dbraw/zinc/24/65/43/339246543.db2.gz KPKMUYCDIKVENO-PMACEKPBSA-N 0 3 313.416 4.452 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000467855050 339247817 /nfs/dbraw/zinc/24/78/17/339247817.db2.gz MARKOSREKMJXCM-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@H](C)c1ccc(F)c(F)c1 ZINC000467855048 339247848 /nfs/dbraw/zinc/24/78/48/339247848.db2.gz MARKOSREKMJXCM-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](CN(C)Cc1cc(Br)cn1C)c1ccccc1 ZINC000468085183 339253607 /nfs/dbraw/zinc/25/36/07/339253607.db2.gz BBYDNGLYXWXIDV-ZDUSSCGKSA-N 0 3 321.262 4.023 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](C(C)C)C3)o2)cc1C ZINC000468289121 339258957 /nfs/dbraw/zinc/25/89/57/339258957.db2.gz XLVFNPWXITWLFO-RDJZCZTQSA-N 0 3 313.445 4.392 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](C(C)C)C3)o2)cc1C ZINC000468289122 339259048 /nfs/dbraw/zinc/25/90/48/339259048.db2.gz XLVFNPWXITWLFO-WBVHZDCISA-N 0 3 313.445 4.392 20 0 DIADHN C[C@@H](N[C@@H](C)CCC1CCCC1)c1nnc2n1CCCCC2 ZINC000468361901 339260664 /nfs/dbraw/zinc/26/06/64/339260664.db2.gz MJYWZFLOBJVMQU-LSDHHAIUSA-N 0 3 304.482 4.014 20 0 DIADHN CN(Cc1cc(Cl)cn1C)CC1CCC(C(F)(F)F)CC1 ZINC000468393679 339261571 /nfs/dbraw/zinc/26/15/71/339261571.db2.gz VPBMVDSJFUAFEJ-UHFFFAOYSA-N 0 3 322.802 4.479 20 0 DIADHN CCCCOc1ccccc1CN1CCCC2(CCOCC2)C1 ZINC000468406830 339262217 /nfs/dbraw/zinc/26/22/17/339262217.db2.gz YREZOZYJKZHAME-UHFFFAOYSA-N 0 3 317.473 4.258 20 0 DIADHN C/C(=C\c1ccccc1)CN1CCC[C@@H](CC(=O)OC(C)C)C1 ZINC000468474833 339263646 /nfs/dbraw/zinc/26/36/46/339263646.db2.gz IHPYQTQAPGSNSH-WPNIOXTASA-N 0 3 315.457 4.144 20 0 DIADHN c1c(CN[C@H]2CCC[C@H]2CCc2ccccc2)nc2n1CCCC2 ZINC000468513507 339265037 /nfs/dbraw/zinc/26/50/37/339265037.db2.gz CMTSEMDTHZYXAK-ICSRJNTNSA-N 0 3 323.484 4.111 20 0 DIADHN CCN(Cc1ccc2ccccc2c1)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000468523969 339265457 /nfs/dbraw/zinc/26/54/57/339265457.db2.gz SNCLBIMDQDPWAD-IAGOWNOFSA-N 0 3 324.468 4.061 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1ccccc1F)c1ccc(C)o1 ZINC000468551404 339266028 /nfs/dbraw/zinc/26/60/28/339266028.db2.gz DLUFDOAXVWRQQX-SUMWQHHRSA-N 0 3 305.393 4.026 20 0 DIADHN Cc1c([C@H](C)NCCc2ccc(F)cc2Cl)cnn1C(C)C ZINC000468824652 339271832 /nfs/dbraw/zinc/27/18/32/339271832.db2.gz DTZMHHNNBRBLGB-LBPRGKRZSA-N 0 3 323.843 4.458 20 0 DIADHN Cc1c([C@@H](C)NCCc2ccc(F)cc2Cl)cnn1C(C)C ZINC000468824489 339271882 /nfs/dbraw/zinc/27/18/82/339271882.db2.gz DTZMHHNNBRBLGB-GFCCVEGCSA-N 0 3 323.843 4.458 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)CN(C)C)c1csc(Cl)c1 ZINC000468894497 339273927 /nfs/dbraw/zinc/27/39/27/339273927.db2.gz HTMMEIMVIUDIBX-BBRMVZONSA-N 0 3 322.905 4.225 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cnn(C(C)(C)C)c1C ZINC000468922775 339274072 /nfs/dbraw/zinc/27/40/72/339274072.db2.gz KLEXNLPYBXTVFD-ZYHUDNBSSA-N 0 3 305.388 4.328 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCOCC(F)(F)C(F)F)c1 ZINC000468964502 339276181 /nfs/dbraw/zinc/27/61/81/339276181.db2.gz NNEVQKCKIIOIEU-CQSZACIVSA-N 0 3 319.342 4.049 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccccc1)c1csc(Cl)c1 ZINC000469002888 339277384 /nfs/dbraw/zinc/27/73/84/339277384.db2.gz OYOZENZBBAASQT-NUTKFTJISA-N 0 3 320.889 4.498 20 0 DIADHN Cc1c([C@H](C)NC2(Cc3ccccc3)CC2)cnn1C(C)(C)C ZINC000469073739 339279335 /nfs/dbraw/zinc/27/93/35/339279335.db2.gz VVKIHGYCWUEOAA-HNNXBMFYSA-N 0 3 311.473 4.372 20 0 DIADHN C[C@@H](NCCn1cnc2ccccc21)c1csc(Cl)c1 ZINC000469076414 339279446 /nfs/dbraw/zinc/27/94/46/339279446.db2.gz WQTWZIHIWRBHSI-LLVKDONJSA-N 0 3 305.834 4.102 20 0 DIADHN CCCC(C)(C)CC(=O)N(CC)Cc1ccc(CN(C)C)cc1 ZINC000469134259 339281058 /nfs/dbraw/zinc/28/10/58/339281058.db2.gz DQJVIZPYEQBZRA-UHFFFAOYSA-N 0 3 318.505 4.313 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N(C)CC1(C)COC1 ZINC000469158762 339281739 /nfs/dbraw/zinc/28/17/39/339281739.db2.gz ZRWYOLIUEHIHLV-AWEZNQCLSA-N 0 3 316.470 4.148 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cccc(-c2cccs2)c1 ZINC000469179935 339282256 /nfs/dbraw/zinc/28/22/56/339282256.db2.gz BLDBPRCKIJJDGV-OKILXGFUSA-N 0 3 314.454 4.226 20 0 DIADHN O=C(C[C@@H]1CCCN1CCc1ccccc1F)c1cccs1 ZINC000469229799 339283649 /nfs/dbraw/zinc/28/36/49/339283649.db2.gz WBQNMEMMWGMWKC-HNNXBMFYSA-N 0 3 317.429 4.167 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCc1ccccc1F)CCC2 ZINC000469252097 339284314 /nfs/dbraw/zinc/28/43/14/339284314.db2.gz YZZDNWNFCSDEMZ-MRXNPFEDSA-N 0 3 304.434 4.143 20 0 DIADHN CC1(C)OCc2cc(CN(Cc3ccccc3)C3CC3)ccc2O1 ZINC000469346573 339286638 /nfs/dbraw/zinc/28/66/38/339286638.db2.gz WVASKEBXWMKFLT-UHFFFAOYSA-N 0 3 323.436 4.496 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)nc1 ZINC000469451278 339289956 /nfs/dbraw/zinc/28/99/56/339289956.db2.gz ZSUOEVBHSTXROQ-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469471251 339290732 /nfs/dbraw/zinc/29/07/32/339290732.db2.gz WBSVSSHSXJGUIJ-CQSZACIVSA-N 0 3 316.395 4.376 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469473972 339290789 /nfs/dbraw/zinc/29/07/89/339290789.db2.gz GTSAHAMVCPICDA-CQSZACIVSA-N 0 3 316.395 4.376 20 0 DIADHN CC(C)[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccccc1Cl ZINC000469518713 339292346 /nfs/dbraw/zinc/29/23/46/339292346.db2.gz IRUPYAVBDJBDIW-QWQRMKEZSA-N 0 3 322.880 4.026 20 0 DIADHN CN(C/C=C\c1ccc(Cl)cc1)[C@H](CO)c1ccccc1 ZINC000469590401 339293771 /nfs/dbraw/zinc/29/37/71/339293771.db2.gz HLXUHEACCDLTFM-OOFWQKGWSA-N 0 3 301.817 4.019 20 0 DIADHN Cc1ccccc1C1CC(N(Cc2ccc(F)cn2)C2CC2)C1 ZINC000469605590 339294377 /nfs/dbraw/zinc/29/43/77/339294377.db2.gz VBVLXVFSLUZOPF-UHFFFAOYSA-N 0 3 310.416 4.440 20 0 DIADHN Fc1ccc(CN2CCC[C@]3(CCCc4ccccc43)C2)nc1 ZINC000469725383 339296761 /nfs/dbraw/zinc/29/67/61/339296761.db2.gz MZXNFLVTUNHBLN-HXUWFJFHSA-N 0 3 310.416 4.091 20 0 DIADHN Cc1cc(C2CCN(C/C=C\c3ccc(Cl)cc3)CC2)n[nH]1 ZINC000469771936 339298428 /nfs/dbraw/zinc/29/84/28/339298428.db2.gz HQKKFHZKCCGIOA-IHWYPQMZSA-N 0 3 315.848 4.264 20 0 DIADHN Cc1cc(C2CCN(C/C=C\c3ccc(Cl)cc3)CC2)[nH]n1 ZINC000469771936 339298429 /nfs/dbraw/zinc/29/84/29/339298429.db2.gz HQKKFHZKCCGIOA-IHWYPQMZSA-N 0 3 315.848 4.264 20 0 DIADHN OCC[C@H](N[C@H]1CCC[C@H]1CCc1ccccc1)c1ccco1 ZINC000469774328 339298809 /nfs/dbraw/zinc/29/88/09/339298809.db2.gz FDBKMBKTOHCLBX-FHWLQOOXSA-N 0 3 313.441 4.094 20 0 DIADHN CC[C@H]1CCCCN1CCN[C@@H](C)c1csc(C(C)C)n1 ZINC000469776894 339299054 /nfs/dbraw/zinc/29/90/54/339299054.db2.gz TXCUDZPGRCEZDH-GJZGRUSLSA-N 0 3 309.523 4.182 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3ccc(F)cn3)CC2)cc1 ZINC000469786416 339299882 /nfs/dbraw/zinc/29/98/82/339299882.db2.gz IZKNEPNRXDBKPW-CQSZACIVSA-N 0 3 302.368 4.130 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H](C)CN2C[C@H](C)C[C@H](C)C2)cs1 ZINC000469787297 339299993 /nfs/dbraw/zinc/29/99/93/339299993.db2.gz SIGLQTWIKFJPGH-QKPAOTATSA-N 0 3 323.550 4.284 20 0 DIADHN C[C@H](CCC1CC1)Nc1cccc(CN2CCSCC2)c1 ZINC000469787765 339300082 /nfs/dbraw/zinc/30/00/82/339300082.db2.gz QZRSBCPEBASMCB-OAHLLOKOSA-N 0 3 304.503 4.226 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccccc2-n2cccn2)c1 ZINC000469790409 339300214 /nfs/dbraw/zinc/30/02/14/339300214.db2.gz KZUSXPJIUZVRSZ-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN OCC[C@H](N[C@H]1CCC[C@@H]1c1ccccc1Cl)c1ccco1 ZINC000469790958 339300267 /nfs/dbraw/zinc/30/02/67/339300267.db2.gz MRCTWRGFPLQAFJ-PVAVHDDUSA-N 0 3 319.832 4.282 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H](CCO)c1ccco1 ZINC000469790887 339300320 /nfs/dbraw/zinc/30/03/20/339300320.db2.gz MKEDOWNTPYEIMR-MSOLQXFVSA-N 0 3 301.430 4.094 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCN2[C@H](C)CCC[C@@H]2C)cs1 ZINC000469791479 339300373 /nfs/dbraw/zinc/30/03/73/339300373.db2.gz RQJVDKVBUGTDBD-QLFBSQMISA-N 0 3 309.523 4.180 20 0 DIADHN CC(C)c1nc([C@H](C)NCCN2[C@@H](C)CCC[C@@H]2C)cs1 ZINC000469791478 339300406 /nfs/dbraw/zinc/30/04/06/339300406.db2.gz RQJVDKVBUGTDBD-KKUMJFAQSA-N 0 3 309.523 4.180 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)CCC2CC2)nnn1-c1ccc(C)cc1 ZINC000469807427 339301508 /nfs/dbraw/zinc/30/15/08/339301508.db2.gz HXIRHTUTOXKUPC-CABCVRRESA-N 0 3 312.461 4.113 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccc(OC(F)F)cc1 ZINC000469990847 339307227 /nfs/dbraw/zinc/30/72/27/339307227.db2.gz LWRFQJOQWLLZQH-LBPRGKRZSA-N 0 3 323.305 4.451 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccc(-n2cccn2)cc1 ZINC000469991596 339307288 /nfs/dbraw/zinc/30/72/88/339307288.db2.gz MRFXUJHTOHDBHT-OAHLLOKOSA-N 0 3 323.362 4.035 20 0 DIADHN CCOc1ccc(CN2CC[C@H](C(F)(F)F)C2(C)C)cc1 ZINC000469995492 339307624 /nfs/dbraw/zinc/30/76/24/339307624.db2.gz BBNKLYFQQIODLG-AWEZNQCLSA-N 0 3 301.352 4.248 20 0 DIADHN COC1CC(N[C@H](C)c2ccccc2OCc2ccccc2)C1 ZINC000470042151 339309417 /nfs/dbraw/zinc/30/94/17/339309417.db2.gz CBQAUOBAPUOAPB-FAEJEUNOSA-N 0 3 311.425 4.094 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(N(C)c2ccccc2)nc1 ZINC000470105398 339310790 /nfs/dbraw/zinc/31/07/90/339310790.db2.gz OUAACSZCPFTGDO-ZDUSSCGKSA-N 0 3 323.362 4.280 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CC1=Cc2ccccc2OC1 ZINC000470132858 339311380 /nfs/dbraw/zinc/31/13/80/339311380.db2.gz LWIBEVHPOKXMRB-HNNXBMFYSA-N 0 3 311.347 4.125 20 0 DIADHN C[C@@H]1C[C@H](C)CN1Cc1cc(Br)cc2cccnc21 ZINC000470204474 339314137 /nfs/dbraw/zinc/31/41/37/339314137.db2.gz VLFBCEICNIJBEQ-NWDGAFQWSA-N 0 3 319.246 4.228 20 0 DIADHN CCc1noc(CC)c1CNC[C@@H](C)c1cccc(Cl)c1 ZINC000470367398 339318396 /nfs/dbraw/zinc/31/83/96/339318396.db2.gz OHOWBZMSWRNHNY-GFCCVEGCSA-N 0 3 306.837 4.346 20 0 DIADHN CC[C@H](CNCc1nc2cc(C)ccc2n1C)c1ccccc1 ZINC000470542291 339321744 /nfs/dbraw/zinc/32/17/44/339321744.db2.gz NQBRCTRKDPBMIL-MRXNPFEDSA-N 0 3 307.441 4.165 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1ccccc1-n1cccn1 ZINC000470686866 339324809 /nfs/dbraw/zinc/32/48/09/339324809.db2.gz XZPLSCGHYUFEDP-GJBHZFNWSA-N 0 3 303.409 4.236 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1cccc(C(F)F)c1)CC2 ZINC000470763218 339327147 /nfs/dbraw/zinc/32/71/47/339327147.db2.gz BUCNQASJLGMVRD-UHFFFAOYSA-N 0 3 317.379 4.234 20 0 DIADHN Cc1ccc([C@H](NCCOC(F)(F)F)c2ccccc2)cc1 ZINC000470764902 339327335 /nfs/dbraw/zinc/32/73/35/339327335.db2.gz KUMFWORXPXHAJJ-MRXNPFEDSA-N 0 3 309.331 4.210 20 0 DIADHN CN(CCCC(=O)OC(C)(C)C)Cc1cccc(C(F)F)c1 ZINC000470772078 339327370 /nfs/dbraw/zinc/32/73/70/339327370.db2.gz JBWWNAXYLAKJOF-UHFFFAOYSA-N 0 3 313.388 4.178 20 0 DIADHN O[C@@H](CNC1(c2ccccc2)CC1)Cc1ccc2ccccc2c1 ZINC000470775957 339327532 /nfs/dbraw/zinc/32/75/32/339327532.db2.gz BUISOAFLZHGHLN-OAQYLSRUSA-N 0 3 317.432 4.022 20 0 DIADHN C[C@@H](CCO)N(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470776307 339327674 /nfs/dbraw/zinc/32/76/74/339327674.db2.gz GVIAVDKYYXCWDZ-HNNXBMFYSA-N 0 3 319.395 4.397 20 0 DIADHN O[C@@H](Cc1ccc2ccccc2c1)CN1CC[C@@H]1c1ccccc1 ZINC000470779175 339327843 /nfs/dbraw/zinc/32/78/43/339327843.db2.gz KSSXRHXWYUUVBM-FCHUYYIVSA-N 0 3 317.432 4.190 20 0 DIADHN CN(Cc1cccc(C(F)F)c1)C[C@H]1OCCc2ccccc21 ZINC000470781610 339327868 /nfs/dbraw/zinc/32/78/68/339327868.db2.gz YQUWGEIIJNDFMM-GOSISDBHSA-N 0 3 317.379 4.370 20 0 DIADHN CC1(c2ccc(Cl)cc2)CCN(Cc2ccncc2)CC1 ZINC000470875077 339329874 /nfs/dbraw/zinc/32/98/74/339329874.db2.gz ZLMSKFDNHDNAEN-UHFFFAOYSA-N 0 3 300.833 4.289 20 0 DIADHN Fc1ccccc1CO[C@H]1CCCN(Cc2cccs2)C1 ZINC000470931032 339331409 /nfs/dbraw/zinc/33/14/09/339331409.db2.gz MMGKVOYZKBBINE-HNNXBMFYSA-N 0 3 305.418 4.068 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCSCc1ccccc1 ZINC000470940210 339331562 /nfs/dbraw/zinc/33/15/62/339331562.db2.gz LUYKYPHDQVGQJM-JSGCOSHPSA-N 0 3 303.393 4.193 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1C[C@@H]1CCC2(CCCCC2)O1 ZINC000470938910 339331606 /nfs/dbraw/zinc/33/16/06/339331606.db2.gz ALMJOUQCAIZPBW-HZSPNIEDSA-N 0 3 305.384 4.141 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1cccc(OC(F)F)c1 ZINC000470953511 339332645 /nfs/dbraw/zinc/33/26/45/339332645.db2.gz PHVUWUIJCVUJFX-JOYOIKCWSA-N 0 3 309.278 4.061 20 0 DIADHN CN(C)CCN(C(=O)c1ccc2sccc2c1)c1ccccc1 ZINC000471067278 339336439 /nfs/dbraw/zinc/33/64/39/339336439.db2.gz VJMZKNFNBMRUEL-UHFFFAOYSA-N 0 3 324.449 4.110 20 0 DIADHN CCc1oc(C(=O)Nc2cccc(CN(CC)CC)c2)cc1C ZINC000471232398 339340650 /nfs/dbraw/zinc/34/06/50/339340650.db2.gz XFVGDWPQKBFMEF-UHFFFAOYSA-N 0 3 314.429 4.245 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1F)[C@@H](c1ccccc1)N(C)C ZINC000471581725 339348134 /nfs/dbraw/zinc/34/81/34/339348134.db2.gz JYWQLQNFOVBLHV-KSMMKXTCSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@H](C)NCCOc1cc(C)cc(C)c1 ZINC000471583641 339348146 /nfs/dbraw/zinc/34/81/46/339348146.db2.gz AUAUEKVZGBSAEA-AWEZNQCLSA-N 0 3 305.443 4.103 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H]2C[C@@H](OCc3ccccc3)C2(C)C)c1 ZINC000471594658 339348636 /nfs/dbraw/zinc/34/86/36/339348636.db2.gz QVYVZHZEUXJZSV-PWIZWCRZSA-N 0 3 324.468 4.425 20 0 DIADHN Cc1cncc([C@H](C)N[C@H]2C[C@H](OCc3ccccc3)C2(C)C)c1 ZINC000471594659 339348703 /nfs/dbraw/zinc/34/87/03/339348703.db2.gz QVYVZHZEUXJZSV-VDGAXYAQSA-N 0 3 324.468 4.425 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@@H](C)c2ccc(F)cn2)cc1 ZINC000471600284 339349017 /nfs/dbraw/zinc/34/90/17/339349017.db2.gz BDYSPJJYGZJHOJ-KGLIPLIRSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1F)[C@H](c1ccccc1)N(C)C ZINC000471608343 339349340 /nfs/dbraw/zinc/34/93/40/339349340.db2.gz CJUNRTIEPWDBQY-IQUTYRLHSA-N 0 3 318.411 4.307 20 0 DIADHN CC[C@H](NCCC(O)(CC)CC)c1ccccc1OC(F)F ZINC000471755827 339353075 /nfs/dbraw/zinc/35/30/75/339353075.db2.gz GRTXTGOSPRJMLC-AWEZNQCLSA-N 0 3 315.404 4.270 20 0 DIADHN CC(C)(C)[C@H](NCCOCC(F)F)c1cc2ccccc2o1 ZINC000471761171 339353344 /nfs/dbraw/zinc/35/33/44/339353344.db2.gz RZSBCQCKNYUJAT-MRXNPFEDSA-N 0 3 311.372 4.391 20 0 DIADHN FC(F)COCCN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000471767135 339353442 /nfs/dbraw/zinc/35/34/42/339353442.db2.gz GSTCPPZDNGDIBB-AWEZNQCLSA-N 0 3 303.780 4.053 20 0 DIADHN Cn1ccc2cccc(NC3CCN(Cc4ccccc4)CC3)c21 ZINC000471766404 339353483 /nfs/dbraw/zinc/35/34/83/339353483.db2.gz ANJMBUZUTOWMCI-UHFFFAOYSA-N 0 3 319.452 4.255 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](c2cc3ccccc3o2)c2cccnc2)C1 ZINC000471789635 339354126 /nfs/dbraw/zinc/35/41/26/339354126.db2.gz SSLIIUYCSFMSBL-QKLQHJQFSA-N 0 3 322.408 4.074 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000471797244 339354414 /nfs/dbraw/zinc/35/44/14/339354414.db2.gz FTJNUEPCSGIARG-NSHDSACASA-N 0 3 319.367 4.019 20 0 DIADHN CO[C@H]1CC[C@@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000471803048 339354636 /nfs/dbraw/zinc/35/46/36/339354636.db2.gz FJFKHXPXDKLROU-OLZOCXBDSA-N 0 3 305.805 4.257 20 0 DIADHN CO[C@@H]1CC[C@@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000471803042 339354638 /nfs/dbraw/zinc/35/46/38/339354638.db2.gz FJFKHXPXDKLROU-CHWSQXEVSA-N 0 3 305.805 4.257 20 0 DIADHN C[C@H]1C[C@H](O)CN1Cc1ccc(-c2cccc(Cl)c2)s1 ZINC000471805963 339354791 /nfs/dbraw/zinc/35/47/91/339354791.db2.gz VNDRRTMGMTWPRG-FZMZJTMJSA-N 0 3 307.846 4.024 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000472037187 339359895 /nfs/dbraw/zinc/35/98/95/339359895.db2.gz IDBZOSAVWLQQJZ-IAGOWNOFSA-N 0 3 319.452 4.202 20 0 DIADHN COc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)cc1F ZINC000472038377 339360090 /nfs/dbraw/zinc/36/00/90/339360090.db2.gz OSYIHTDRNSIUCJ-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN Cc1ccc2[nH]c(CNCc3cc(F)cc(F)c3)cc2c1C ZINC000472041876 339360201 /nfs/dbraw/zinc/36/02/01/339360201.db2.gz OQGCSBGOJFIVMZ-UHFFFAOYSA-N 0 3 300.352 4.353 20 0 DIADHN CCSc1cccc(CNCc2cc(C)c(O)c(C)c2)c1 ZINC000472041844 339360265 /nfs/dbraw/zinc/36/02/65/339360265.db2.gz INGJJQYIYZYSLD-UHFFFAOYSA-N 0 3 301.455 4.411 20 0 DIADHN CCn1nc(CNCC2(Cc3ccccc3)CC2)c2ccccc21 ZINC000472045332 339360506 /nfs/dbraw/zinc/36/05/06/339360506.db2.gz YBGDZERMLFEWTC-UHFFFAOYSA-N 0 3 319.452 4.169 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nn(CC)c2ccccc12 ZINC000472045262 339360525 /nfs/dbraw/zinc/36/05/25/339360525.db2.gz RJKDYVHNFBFKBC-MAUKXSAKSA-N 0 3 317.502 4.210 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1nn(CC)c2ccccc12 ZINC000472045264 339360604 /nfs/dbraw/zinc/36/06/04/339360604.db2.gz RJKDYVHNFBFKBC-YJBOKZPZSA-N 0 3 317.502 4.210 20 0 DIADHN CCn1nc(CN[C@@H](C)[C@H](C)c2ccccc2)c2ccccc21 ZINC000472052471 339360972 /nfs/dbraw/zinc/36/09/72/339360972.db2.gz LNOFPLHUNXOGHC-HOTGVXAUSA-N 0 3 307.441 4.338 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CNCc2ncc(Cl)s2)C1 ZINC000472067220 339362113 /nfs/dbraw/zinc/36/21/13/339362113.db2.gz DUWFWADIYWIJSG-DTWKUNHWSA-N 0 3 312.788 4.255 20 0 DIADHN CCSc1cccc(CNCc2cccc(N(C)C)c2)c1 ZINC000472071666 339362290 /nfs/dbraw/zinc/36/22/90/339362290.db2.gz ASBYDSFBJKDTTP-UHFFFAOYSA-N 0 3 300.471 4.154 20 0 DIADHN CCn1nc(CNCC2(c3ccc(F)cc3)CC2)c2ccccc21 ZINC000472074348 339362524 /nfs/dbraw/zinc/36/25/24/339362524.db2.gz RAZDAIUWGSIZQN-UHFFFAOYSA-N 0 3 323.415 4.017 20 0 DIADHN CCn1nc(CN[C@@H](Cc2ccccc2)C2CC2)c2ccccc21 ZINC000472074631 339362687 /nfs/dbraw/zinc/36/26/87/339362687.db2.gz SAVLLRDRRXUUBE-IBGZPJMESA-N 0 3 319.452 4.167 20 0 DIADHN C[C@H](NCc1cc2ccccc2o1)[C@@H](c1ccccc1)N(C)C ZINC000472080967 339362956 /nfs/dbraw/zinc/36/29/56/339362956.db2.gz VYQJVHNBKBXREM-YWZLYKJASA-N 0 3 308.425 4.214 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H](C)Oc1ccccc1C ZINC000472128512 339363620 /nfs/dbraw/zinc/36/36/20/339363620.db2.gz QKJXZXGGSHYVOT-KGLIPLIRSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](C)Oc1cccc(F)c1 ZINC000472128104 339363634 /nfs/dbraw/zinc/36/36/34/339363634.db2.gz MEPVTCSHQQNBLP-NWDGAFQWSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](C)Oc1ccc(F)cc1 ZINC000472129619 339363817 /nfs/dbraw/zinc/36/38/17/339363817.db2.gz DVLCXNBSMANDBC-NWDGAFQWSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccc(Oc2ccc(CNC(C)(C)CF)cc2)cc1 ZINC000472144818 339364240 /nfs/dbraw/zinc/36/42/40/339364240.db2.gz XFSOPIMUXFBYGU-UHFFFAOYSA-N 0 3 303.377 4.325 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1ccc2ccccc2n1 ZINC000472149262 339364374 /nfs/dbraw/zinc/36/43/74/339364374.db2.gz BYXXJHWYDQJPKZ-CYBMUJFWSA-N 0 3 312.438 4.198 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccncc1Cl ZINC000472239185 339367084 /nfs/dbraw/zinc/36/70/84/339367084.db2.gz HXHWRFDMEYLKCE-DOMZBBRYSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1ccncc1Cl ZINC000472243977 339367347 /nfs/dbraw/zinc/36/73/47/339367347.db2.gz SLGPSWFJDXHNRH-KRWDZBQOSA-N 0 3 300.833 4.251 20 0 DIADHN C[C@H]1CN(Cc2nc(-c3ccc(Cl)cc3)no2)C(C)(C)C1 ZINC000472267441 339368239 /nfs/dbraw/zinc/36/82/39/339368239.db2.gz GVJNRLDLSIKPIZ-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H]1CN(Cc2nnc(-c3cccc(Cl)c3)o2)C(C)(C)C1 ZINC000472273194 339368398 /nfs/dbraw/zinc/36/83/98/339368398.db2.gz HYDFVZWKHRZCKN-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H]1CN(CC(=O)Nc2ccccc2-c2ccccc2)C(C)(C)C1 ZINC000472274624 339368424 /nfs/dbraw/zinc/36/84/24/339368424.db2.gz JZYVZWNTXNYUPZ-MRXNPFEDSA-N 0 3 322.452 4.413 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccncc1Cl ZINC000472323215 339369845 /nfs/dbraw/zinc/36/98/45/339369845.db2.gz FMOYQWQSQSNMGQ-GOEBONIOSA-N 0 3 300.833 4.188 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@H](c2ncc[nH]2)C1 ZINC000472579321 339374111 /nfs/dbraw/zinc/37/41/11/339374111.db2.gz HXJJBPGYXSFESP-ZDUSSCGKSA-N 0 3 321.827 4.014 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nc(-c2ccco2)no1 ZINC000472606982 339375020 /nfs/dbraw/zinc/37/50/20/339375020.db2.gz MFZIJKXNTKVBCE-UONOGXRCSA-N 0 3 303.406 4.024 20 0 DIADHN Cc1cnc(CN[C@H](C)C2(c3ccccc3)CCCC2)s1 ZINC000472632745 339375452 /nfs/dbraw/zinc/37/54/52/339375452.db2.gz BITOEPJICQVQMB-OAHLLOKOSA-N 0 3 300.471 4.442 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(Cl)cc2)n1C)C(C)(C)C ZINC000473045643 339380538 /nfs/dbraw/zinc/38/05/38/339380538.db2.gz JMYZRCXYQGUUOE-CQSZACIVSA-N 0 3 320.868 4.050 20 0 DIADHN Cc1ccc2[nH]c(CN(C)C[C@@H](C)c3nccs3)cc2c1C ZINC000473128074 339381463 /nfs/dbraw/zinc/38/14/63/339381463.db2.gz MILRQMBYWGUJHF-CYBMUJFWSA-N 0 3 313.470 4.477 20 0 DIADHN Cc1cc(CN2CC[C@]3(C2)OCc2ccccc23)ccc1Cl ZINC000473122020 339381466 /nfs/dbraw/zinc/38/14/66/339381466.db2.gz XYRTVUPCTQMYFR-LJQANCHMSA-N 0 3 313.828 4.280 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1nn(CC)c2ccccc12 ZINC000473143354 339382553 /nfs/dbraw/zinc/38/25/53/339382553.db2.gz XJFPHXJNTKNSNW-AWEZNQCLSA-N 0 3 305.491 4.020 20 0 DIADHN Cc1ccc2[nH]c(CN(C)[C@@H](CCO)c3ccccc3)cc2c1C ZINC000473145107 339382764 /nfs/dbraw/zinc/38/27/64/339382764.db2.gz JNJBOMROVDRFHP-NRFANRHFSA-N 0 3 322.452 4.340 20 0 DIADHN CCN(CCc1cccs1)Cc1nn(CC)c2ccccc12 ZINC000473146169 339382900 /nfs/dbraw/zinc/38/29/00/339382900.db2.gz RLJGFBSNKNKVIC-UHFFFAOYSA-N 0 3 313.470 4.182 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1cccc(NC2CCCC2)c1 ZINC000473214075 339383720 /nfs/dbraw/zinc/38/37/20/339383720.db2.gz HYDFCAOERIJEBX-GOSISDBHSA-N 0 3 317.477 4.146 20 0 DIADHN CC(C)(NCCCCCn1cccn1)c1cccc(Cl)c1F ZINC000473434079 339385243 /nfs/dbraw/zinc/38/52/43/339385243.db2.gz JAVAAHHWRBGUCK-UHFFFAOYSA-N 0 3 323.843 4.371 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)ncn2C)c1cc2cccc(F)c2o1 ZINC000473986219 339396200 /nfs/dbraw/zinc/39/62/00/339396200.db2.gz ZANVCCAOAVIHJY-GFCCVEGCSA-N 0 3 323.371 4.309 20 0 DIADHN CCOC[C@@H](NCc1cnc(-c2ccsc2)s1)C(C)C ZINC000474017611 339397189 /nfs/dbraw/zinc/39/71/89/339397189.db2.gz BXRRUVKCCPTCSZ-CQSZACIVSA-N 0 3 310.488 4.022 20 0 DIADHN CCOC[C@H](NCc1cnc(-c2ccsc2)s1)C(C)C ZINC000474017610 339397255 /nfs/dbraw/zinc/39/72/55/339397255.db2.gz BXRRUVKCCPTCSZ-AWEZNQCLSA-N 0 3 310.488 4.022 20 0 DIADHN CCOC[C@H](NCc1ccc(OC(F)F)c(Cl)c1)C(C)C ZINC000474021207 339397378 /nfs/dbraw/zinc/39/73/78/339397378.db2.gz KHJZUJKJEQCSPG-ZDUSSCGKSA-N 0 3 321.795 4.092 20 0 DIADHN C[C@H](NCc1nnc(C(C)(C)C)s1)C1CCC(F)(F)CC1 ZINC000474031184 339397887 /nfs/dbraw/zinc/39/78/87/339397887.db2.gz ICTOLVQNVMGQTD-JTQLQIEISA-N 0 3 317.449 4.139 20 0 DIADHN Cc1sc(CN[C@@H]2CCCC[C@H]2[C@H]2CCOC2)nc1C(C)C ZINC000474035841 339398140 /nfs/dbraw/zinc/39/81/40/339398140.db2.gz QOSGAZZFGHSNQM-HRCADAONSA-N 0 3 322.518 4.260 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H]1CCc2c1cccc2O ZINC000474037322 339398308 /nfs/dbraw/zinc/39/83/08/339398308.db2.gz QKDGBIOVIMEOLL-MOPGFXCFSA-N 0 3 323.436 4.400 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCCC[C@@H]1[C@@H]1CCOC1 ZINC000474050770 339399305 /nfs/dbraw/zinc/39/93/05/339399305.db2.gz IQLITQCCJPBMEM-PBFPGSCMSA-N 0 3 311.828 4.164 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H]1c2cc(F)ccc2C[C@H]1C ZINC000474074076 339400992 /nfs/dbraw/zinc/40/09/92/339400992.db2.gz JUQWCHGDVVRMQX-UPRAQXHNSA-N 0 3 311.400 4.481 20 0 DIADHN COc1ncccc1CN[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000474462797 339415115 /nfs/dbraw/zinc/41/51/15/339415115.db2.gz UZPJBSTYHBPLPV-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)c1ccccn1)C1CCSCC1 ZINC000474476152 339416489 /nfs/dbraw/zinc/41/64/89/339416489.db2.gz WFNUFLILUZMWST-DNVCBOLYSA-N 0 3 312.482 4.292 20 0 DIADHN CC[C@](C)(O)CNCc1c(Cl)cccc1SC(C)C ZINC000474476820 339416572 /nfs/dbraw/zinc/41/65/72/339416572.db2.gz QJSINSCORQXXMH-HNNXBMFYSA-N 0 3 301.883 4.091 20 0 DIADHN CCOC[C@@H]1CCC[C@H]1NCc1csc(-c2ccccc2)n1 ZINC000474625956 339420828 /nfs/dbraw/zinc/42/08/28/339420828.db2.gz AXIYFLXMTJKOLY-DOTOQJQBSA-N 0 3 316.470 4.105 20 0 DIADHN CCOC[C@H]1CCC[C@@H]1NCc1nc2cc(Cl)ccc2s1 ZINC000474629995 339420919 /nfs/dbraw/zinc/42/09/19/339420919.db2.gz ZIXGITNYAKJANU-YPMHNXCESA-N 0 3 324.877 4.245 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@@H](C)C1CCCCC1 ZINC000474810255 339427453 /nfs/dbraw/zinc/42/74/53/339427453.db2.gz RANFOTQVKFYPDO-INMHGKMJSA-N 0 3 318.509 4.431 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H](N[C@@H]2CCCn3nc(C(C)C)nc32)C1 ZINC000474810726 339427582 /nfs/dbraw/zinc/42/75/82/339427582.db2.gz SQYSJROWDBGYOI-YESZJQIVSA-N 0 3 318.509 4.431 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H]1CCO[C@@H](C)C1 ZINC000474882772 339429630 /nfs/dbraw/zinc/42/96/30/339429630.db2.gz SJJHEXJSWKONKS-STQMWFEESA-N 0 3 313.894 4.498 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H]1CCO[C@H](C)C1 ZINC000474882509 339429703 /nfs/dbraw/zinc/42/97/03/339429703.db2.gz SJJHEXJSWKONKS-OLZOCXBDSA-N 0 3 313.894 4.498 20 0 DIADHN CS[C@H](CNCc1ccnn1-c1ccccc1C)C(C)(C)C ZINC000474944186 339432748 /nfs/dbraw/zinc/43/27/48/339432748.db2.gz SEHWKBWYUOZVPS-QGZVFWFLSA-N 0 3 317.502 4.048 20 0 DIADHN C[C@@H]1CC[C@H](CNC2(c3ccc(Cl)c(Cl)c3)CC2)O1 ZINC000475044374 339436681 /nfs/dbraw/zinc/43/66/81/339436681.db2.gz HJDHKIZWKQOYRD-ZYHUDNBSSA-N 0 3 300.229 4.140 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCC2(C(F)F)CC2)o1 ZINC000475209913 339441655 /nfs/dbraw/zinc/44/16/55/339441655.db2.gz FEEWMSXDKPYFBH-UHFFFAOYSA-N 0 3 313.294 4.360 20 0 DIADHN Fc1ccccc1CCCNCc1cnc(-c2ccco2)s1 ZINC000475559179 339455145 /nfs/dbraw/zinc/45/51/45/339455145.db2.gz NUDCMJRPCIDLLR-UHFFFAOYSA-N 0 3 316.401 4.265 20 0 DIADHN CCOc1cccc(CN[C@@H]2CC[C@@H](c3cccc(F)c3)C2)n1 ZINC000475562348 339455390 /nfs/dbraw/zinc/45/53/90/339455390.db2.gz FSUBHMRZVOCNEC-NVXWUHKLSA-N 0 3 314.404 4.045 20 0 DIADHN CC1(c2cccc(Cl)c2)CCN(Cc2ccccn2)CC1 ZINC000475616056 339457335 /nfs/dbraw/zinc/45/73/35/339457335.db2.gz CTSSANLHXFZTNF-UHFFFAOYSA-N 0 3 300.833 4.289 20 0 DIADHN CCn1nccc1CN1CCC(C)(c2cccc(Cl)c2)CC1 ZINC000475624700 339457499 /nfs/dbraw/zinc/45/74/99/339457499.db2.gz LKWJZIMCNWJIKC-UHFFFAOYSA-N 0 3 317.864 4.110 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CCC1CCCC1)c1ccsc1 ZINC000498374544 339469844 /nfs/dbraw/zinc/46/98/44/339469844.db2.gz RORBIZQNDWJFMH-QGZVFWFLSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@@H](NCCCO[C@@H]1CCCCO1)c1cc2ccccc2o1 ZINC000477671790 339498862 /nfs/dbraw/zinc/49/88/62/339498862.db2.gz NIWCPJIFANTCHC-RDTXWAMCSA-N 0 3 303.402 4.017 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000477855261 339502839 /nfs/dbraw/zinc/50/28/39/339502839.db2.gz XAJYNRFQFALQIS-NVXWUHKLSA-N 0 3 302.462 4.177 20 0 DIADHN COc1cccc2c1CC[C@@H]2NC[C@@H]1CCC2(CCCCC2)O1 ZINC000477861246 339503096 /nfs/dbraw/zinc/50/30/96/339503096.db2.gz WNHDQYKKFDZKAH-YJBOKZPZSA-N 0 3 315.457 4.154 20 0 DIADHN C[C@H](NC[C@H]1CCO[C@@H]1c1ccccc1)c1cccc(F)c1F ZINC000477931474 339505186 /nfs/dbraw/zinc/50/51/86/339505186.db2.gz CUZFSBMUDATFQQ-ZBQZNYHESA-N 0 3 317.379 4.393 20 0 DIADHN CC1(C)C[C@H](NCc2cnc(-c3ccsc3)s2)C(C)(C)O1 ZINC000478298998 339512832 /nfs/dbraw/zinc/51/28/32/339512832.db2.gz MMMGATYPOMJEKF-ZDUSSCGKSA-N 0 3 322.499 4.307 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2ccc(Br)cn2)c1 ZINC000479070521 339526955 /nfs/dbraw/zinc/52/69/55/339526955.db2.gz RGLZJCGFKRBDLU-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)CCc2ccccc2)c(C)c1 ZINC000479104500 339527579 /nfs/dbraw/zinc/52/75/79/339527579.db2.gz REVITVRPOXNTNE-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCc2ccc(Cl)cc21)c1ccsc1 ZINC000479257691 339529897 /nfs/dbraw/zinc/52/98/97/339529897.db2.gz ZOYJWGBPDXNOMO-DLBZAZTESA-N 0 3 320.889 4.281 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCCC[C@H]1[C@@H]1CCOC1 ZINC000479284186 339530455 /nfs/dbraw/zinc/53/04/55/339530455.db2.gz OAWQZHNFYNSSDA-JKIFEVAISA-N 0 3 323.864 4.034 20 0 DIADHN CCN(CC)c1ncc(CNCc2cccc(C(C)C)c2)s1 ZINC000479402396 339533238 /nfs/dbraw/zinc/53/32/38/339533238.db2.gz OLKLFJZRBIAOBR-UHFFFAOYSA-N 0 3 317.502 4.403 20 0 DIADHN Cc1cnccc1CCN[C@H](c1cc(F)ccc1F)C(C)C ZINC000479428104 339534665 /nfs/dbraw/zinc/53/46/65/339534665.db2.gz ZDEPFERETXZCOM-SFHVURJKSA-N 0 3 304.384 4.198 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000479442244 339535271 /nfs/dbraw/zinc/53/52/71/339535271.db2.gz XBKXJTNIVIVDRS-ONGXBYRLSA-N 0 3 309.453 4.194 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1ccc(Br)c(F)c1 ZINC000479450584 339535678 /nfs/dbraw/zinc/53/56/78/339535678.db2.gz IHEVHHQFGSUUME-QMMMGPOBSA-N 0 3 322.168 4.284 20 0 DIADHN C[C@H]1COCC[C@H]1NC1(c2c(Cl)cccc2Cl)CCC1 ZINC000479458389 339536080 /nfs/dbraw/zinc/53/60/80/339536080.db2.gz XDAZKEKGFYTRCH-SMDDNHRTSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H]1COCC[C@@H]1NC1(c2c(Cl)cccc2Cl)CCC1 ZINC000479458387 339536102 /nfs/dbraw/zinc/53/61/02/339536102.db2.gz XDAZKEKGFYTRCH-FZMZJTMJSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@@H](N[C@@H](CO)CC1CCC1)c1cc2cc(Cl)ccc2o1 ZINC000479547231 339540570 /nfs/dbraw/zinc/54/05/70/339540570.db2.gz VQMDAKSGQVBFSO-IAQYHMDHSA-N 0 3 307.821 4.288 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1cnc(C2CCCC2)s1 ZINC000479582031 339542328 /nfs/dbraw/zinc/54/23/28/339542328.db2.gz CCGGAOGGBIGEQF-SJORKVTESA-N 0 3 322.518 4.484 20 0 DIADHN C[C@@H](CNCc1cnc(-c2ccccc2)s1)C(F)(F)F ZINC000479609389 339543657 /nfs/dbraw/zinc/54/36/57/339543657.db2.gz YDKNJBBIWXKXQY-JTQLQIEISA-N 0 3 300.349 4.098 20 0 DIADHN Cc1c([C@H](C)NCCC2=CCCCC2)nnn1-c1ccccc1 ZINC000479769724 339548252 /nfs/dbraw/zinc/54/82/52/339548252.db2.gz LSLZFYZIHBZIMG-HNNXBMFYSA-N 0 3 310.445 4.117 20 0 DIADHN Cc1cccc2c1CC[C@H]2NC[C@H](c1ccco1)N1CCCC1 ZINC000479867515 339551680 /nfs/dbraw/zinc/55/16/80/339551680.db2.gz VKNUBITWGPWSGO-RTBURBONSA-N 0 3 310.441 4.002 20 0 DIADHN C[C@H](NCCc1cccs1)c1ccc(F)cc1OC(F)F ZINC000480074855 339557880 /nfs/dbraw/zinc/55/78/80/339557880.db2.gz YJHQPHIXWOBFMF-JTQLQIEISA-N 0 3 315.360 4.382 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480166383 339561559 /nfs/dbraw/zinc/56/15/59/339561559.db2.gz JIKJXYNLZPDRIZ-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCCN(C)c1ccccc1 ZINC000480175277 339562261 /nfs/dbraw/zinc/56/22/61/339562261.db2.gz CGMYJUOPCBVLIU-AWEZNQCLSA-N 0 3 317.502 4.362 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2ccc(Cl)cn2)C12CCCC2 ZINC000480185229 339562573 /nfs/dbraw/zinc/56/25/73/339562573.db2.gz VNEUEZFQLMEYBJ-VBNZEHGJSA-N 0 3 308.853 4.123 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)cc1)c1ccc(Cl)cn1 ZINC000480191521 339562837 /nfs/dbraw/zinc/56/28/37/339562837.db2.gz BGZVMPZJTAASKJ-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCC2(c3ccccc3)CC2)c1 ZINC000480211214 339563618 /nfs/dbraw/zinc/56/36/18/339563618.db2.gz XDZBMXYAIURTJD-HNNXBMFYSA-N 0 3 311.425 4.086 20 0 DIADHN Cc1ccc2c(CCN[C@@H](C)c3ccc(Cl)cn3)c[nH]c2c1 ZINC000480227899 339564253 /nfs/dbraw/zinc/56/42/53/339564253.db2.gz NKAUQJSGJFWNMC-ZDUSSCGKSA-N 0 3 313.832 4.418 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCc2cc(Cl)ccc21)c1ccsc1 ZINC000480243035 339564874 /nfs/dbraw/zinc/56/48/74/339564874.db2.gz CRCYUBRKCLGWBD-DLBZAZTESA-N 0 3 320.889 4.281 20 0 DIADHN C[C@@H](NCC(C)(C)Oc1ccccc1)c1ccc(Cl)cn1 ZINC000480259276 339565708 /nfs/dbraw/zinc/56/57/08/339565708.db2.gz SUNDJIIMHIIIFR-CYBMUJFWSA-N 0 3 304.821 4.243 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(Cn3cccn3)cc2)cc1 ZINC000480257604 339565797 /nfs/dbraw/zinc/56/57/97/339565797.db2.gz QMBNFAZFHZCMSH-KRWDZBQOSA-N 0 3 319.452 4.345 20 0 DIADHN Cc1ccc(OCCCN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480264455 339565960 /nfs/dbraw/zinc/56/59/60/339565960.db2.gz LYVXXHOHKRXIFQ-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2CCc3ccc(C(C)C)cc32)cn1 ZINC000480290255 339567406 /nfs/dbraw/zinc/56/74/06/339567406.db2.gz XGULNPPWMJQRQY-HXUWFJFHSA-N 0 3 323.484 4.438 20 0 DIADHN C[C@H](O)CC(C)(C)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480349817 339570013 /nfs/dbraw/zinc/57/00/13/339570013.db2.gz ABVVUSDLAHLSJM-LBPRGKRZSA-N 0 3 323.383 4.112 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000480369565 339571045 /nfs/dbraw/zinc/57/10/45/339571045.db2.gz CKHKRCJWKJXEEE-STQMWFEESA-N 0 3 323.383 4.112 20 0 DIADHN CCC[C@@H](CCO)CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480372705 339571127 /nfs/dbraw/zinc/57/11/27/339571127.db2.gz SOHZWSUBRPSBOK-ZDUSSCGKSA-N 0 3 323.383 4.113 20 0 DIADHN Fc1cccc(-c2ccc(CN[C@H]3CCCSC3)o2)c1F ZINC000480388785 339571725 /nfs/dbraw/zinc/57/17/25/339571725.db2.gz QQKBQPQSSPBHGN-NSHDSACASA-N 0 3 309.381 4.210 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NC/C=C/c1ccc(F)cc1F ZINC000480474912 339574233 /nfs/dbraw/zinc/57/42/33/339574233.db2.gz WNJSUKJBNQRCGR-OAJJDEHYSA-N 0 3 315.363 4.351 20 0 DIADHN C[C@H](O)[C@](C)(CNCc1ccsc1Cl)Cc1ccccc1 ZINC000480482569 339574275 /nfs/dbraw/zinc/57/42/75/339574275.db2.gz QQVWJFZSRYYSQC-GUYCJALGSA-N 0 3 323.889 4.121 20 0 DIADHN C[C@@H](O)[C@](C)(CNCc1ccccc1Cl)Cc1ccccc1 ZINC000480483716 339574567 /nfs/dbraw/zinc/57/45/67/339574567.db2.gz XIQSZZCMDBBXQP-BEFAXECRSA-N 0 3 317.860 4.059 20 0 DIADHN Cc1ccc(C)n1C1CCN(c2ccnc3ccccc32)CC1 ZINC000480491606 339574828 /nfs/dbraw/zinc/57/48/28/339574828.db2.gz OVUKQURTUPCPPH-UHFFFAOYSA-N 0 3 305.425 4.495 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCCN1CCCC[C@H]1C ZINC000480607697 339579346 /nfs/dbraw/zinc/57/93/46/339579346.db2.gz JFMBVPSJBWMTNN-KGLIPLIRSA-N 0 3 323.550 4.274 20 0 DIADHN CC(C)C[C@H](NC[C@H](C)N(C)c1ccccc1)c1ccccn1 ZINC000480613512 339579662 /nfs/dbraw/zinc/57/96/62/339579662.db2.gz RHGLCJXDKKSRMH-PXNSSMCTSA-N 0 3 311.473 4.283 20 0 DIADHN CC(C)C[C@@H](NC[C@@H](C)N(C)c1ccccc1)c1ccccn1 ZINC000480613517 339579698 /nfs/dbraw/zinc/57/96/98/339579698.db2.gz RHGLCJXDKKSRMH-YLJYHZDGSA-N 0 3 311.473 4.283 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(F)cc2N(C)C)s1 ZINC000480613511 339579772 /nfs/dbraw/zinc/57/97/72/339579772.db2.gz JRVHJXFCORVMMC-GFCCVEGCSA-N 0 3 306.450 4.366 20 0 DIADHN C[C@H](CN[C@H](C)c1ccc2ccccc2n1)N(C)c1ccccc1 ZINC000480631265 339580620 /nfs/dbraw/zinc/58/06/20/339580620.db2.gz QESDWDYPXJKYBG-IAGOWNOFSA-N 0 3 319.452 4.410 20 0 DIADHN C[C@H](N[C@@H](c1cnn(C)c1)c1ccc(F)cc1)C1CCCCC1 ZINC000480665712 339582103 /nfs/dbraw/zinc/58/21/03/339582103.db2.gz QLRKMCCPNRDAKA-IFXJQAMLSA-N 0 3 315.436 4.207 20 0 DIADHN CCOc1ccc(CNCc2ccc(OCC3CC3)cc2)cc1 ZINC000480666137 339582120 /nfs/dbraw/zinc/58/21/20/339582120.db2.gz DHZADAKJRMCPEM-UHFFFAOYSA-N 0 3 311.425 4.164 20 0 DIADHN CC[C@@H]1CCC[C@H](N[C@H](c2cnn(C)c2)c2ccc(F)cc2)C1 ZINC000480666415 339582124 /nfs/dbraw/zinc/58/21/24/339582124.db2.gz DXZXGZMTCGRXQN-CCKFTAQKSA-N 0 3 315.436 4.207 20 0 DIADHN C[C@H]1CC(N[C@H](c2cnn(C)c2)c2ccc(F)cc2)C[C@H](C)C1 ZINC000480692528 339583581 /nfs/dbraw/zinc/58/35/81/339583581.db2.gz AWYINFFXJJRBTP-LPMFXHHGSA-N 0 3 315.436 4.063 20 0 DIADHN COC1(CN[C@@H](C)c2cc(F)c(F)c(F)c2)CCCCC1 ZINC000480696804 339583719 /nfs/dbraw/zinc/58/37/19/339583719.db2.gz XTVSTXXZDBKCTH-NSHDSACASA-N 0 3 301.352 4.104 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1nc2cccc(Cl)c2n1C ZINC000480694845 339583724 /nfs/dbraw/zinc/58/37/24/339583724.db2.gz QCCZGDFDJXWFMR-VIFPVBQESA-N 0 3 319.758 4.047 20 0 DIADHN CC(C)CCC[C@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707061 339584181 /nfs/dbraw/zinc/58/41/81/339584181.db2.gz KCSRRRRRFSZAJB-HNAYVOBHSA-N 0 3 317.452 4.453 20 0 DIADHN CCCC[C@@H](CC)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480705567 339584212 /nfs/dbraw/zinc/58/42/12/339584212.db2.gz FCFSBTZXDWWJCA-QZTJIDSGSA-N 0 3 303.425 4.207 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480707522 339584221 /nfs/dbraw/zinc/58/42/21/339584221.db2.gz HJCVPAIMZPKKSW-JVPBZIDWSA-N 0 3 317.452 4.453 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccc(N2CCCCC2)nc1 ZINC000480737819 339585328 /nfs/dbraw/zinc/58/53/28/339585328.db2.gz FGXKYQIWGYVGLM-OAHLLOKOSA-N 0 3 315.486 4.293 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)[C@@H](C)OC)ccc1OC1CCCC1 ZINC000480739351 339585335 /nfs/dbraw/zinc/58/53/35/339585335.db2.gz ZGRWQCNILBDEMU-QLFBSQMISA-N 0 3 321.461 4.091 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccnc(OC2CCCC2)c1 ZINC000480746940 339585744 /nfs/dbraw/zinc/58/57/44/339585744.db2.gz SPBGJZZZIXYBLV-BTYIYWSLSA-N 0 3 322.452 4.426 20 0 DIADHN CC(C)(O)CCN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000480762261 339586302 /nfs/dbraw/zinc/58/63/02/339586302.db2.gz DDPOLYIFAOIIFW-QGZVFWFLSA-N 0 3 321.823 4.319 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(N(CC)CC)nc2)cc1 ZINC000480874153 339589351 /nfs/dbraw/zinc/58/93/51/339589351.db2.gz DKYLEAKWOJLFEY-INIZCTEOSA-N 0 3 311.473 4.341 20 0 DIADHN C[C@@H](NCCc1ccccc1C(F)(F)F)c1cncc(F)c1 ZINC000481019715 339593118 /nfs/dbraw/zinc/59/31/18/339593118.db2.gz GCFZFRCLBBNZDV-LLVKDONJSA-N 0 3 312.310 4.133 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](C)COc2cccc(C)c2)s1 ZINC000481018310 339593127 /nfs/dbraw/zinc/59/31/27/339593127.db2.gz DPVWKUFNBKDQRW-KGLIPLIRSA-N 0 3 318.486 4.440 20 0 DIADHN C[C@@H](COc1cccc(F)c1)N[C@@H](C)c1ccc2ccccc2n1 ZINC000481032093 339593746 /nfs/dbraw/zinc/59/37/46/339593746.db2.gz DRNHVBARBNGVMS-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000481117148 339595916 /nfs/dbraw/zinc/59/59/16/339595916.db2.gz QSPBCYFAODFAKV-YPMHNXCESA-N 0 3 305.447 4.103 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H]3CCC(C)(C)C[C@H]3C)o2)cc1 ZINC000481125614 339596214 /nfs/dbraw/zinc/59/62/14/339596214.db2.gz MCQSCETZDOLNJY-ZBFHGGJFSA-N 0 3 313.445 4.349 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccc(Oc3ccccc3)nn2)[C@H](C)C1 ZINC000481182398 339597590 /nfs/dbraw/zinc/59/75/90/339597590.db2.gz POQNVXBNODYSTP-RKVPGOIHSA-N 0 3 311.429 4.183 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1ccc(Oc2ccccc2)nn1 ZINC000481193426 339598122 /nfs/dbraw/zinc/59/81/22/339598122.db2.gz SUZUCDRJYBXGRR-QGZVFWFLSA-N 0 3 311.429 4.327 20 0 DIADHN C[C@@H](NCc1cnn(C)c1)c1ccc(-c2ccc(F)cc2)s1 ZINC000481251918 339599172 /nfs/dbraw/zinc/59/91/72/339599172.db2.gz MDRLGTVFZGXYRT-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN COc1ccc([C@H](C)NCCc2c(F)cccc2F)cc1F ZINC000481306866 339600341 /nfs/dbraw/zinc/60/03/41/339600341.db2.gz BITLVBPGDCGADG-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CCc1ccc([C@H](C)NCC(=O)Nc2ccc(Cl)cc2)s1 ZINC000481398483 339603261 /nfs/dbraw/zinc/60/32/61/339603261.db2.gz FHXDTPVMUMELFJ-NSHDSACASA-N 0 3 322.861 4.253 20 0 DIADHN Cc1cccc([C@@H](C)NCCC(=O)N(C)c2ccccc2)c1C ZINC000481424675 339604119 /nfs/dbraw/zinc/60/41/19/339604119.db2.gz PTGQHLPTDKZLEO-QGZVFWFLSA-N 0 3 310.441 4.007 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H]1C[C@@]1(C)C(C)C ZINC000481788516 339614074 /nfs/dbraw/zinc/61/40/74/339614074.db2.gz NSIGGLHXJBFVCJ-XLIONFOSSA-N 0 3 314.473 4.212 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2csc(-c3ccccn3)n2)[C@H]1C ZINC000481821055 339614574 /nfs/dbraw/zinc/61/45/74/339614574.db2.gz CPGAUNIXKUGFGV-QLFBSQMISA-N 0 3 315.486 4.367 20 0 DIADHN Cn1c(CN[C@H]2CC[C@H](c3ccccc3F)C2)nc2ccccc21 ZINC000481826470 339614922 /nfs/dbraw/zinc/61/49/22/339614922.db2.gz WTOWGTWTYFQMNZ-GJZGRUSLSA-N 0 3 323.415 4.138 20 0 DIADHN CO[C@@H](C)CCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000481934916 339617399 /nfs/dbraw/zinc/61/73/99/339617399.db2.gz LSFYGHMWJOHMNF-VHSXEESVSA-N 0 3 307.768 4.017 20 0 DIADHN CC[C@@H](N[C@@H](CC)C1CCCCC1)c1nnc2n1CCCCC2 ZINC000481935080 339617453 /nfs/dbraw/zinc/61/74/53/339617453.db2.gz MFFGAZPYTLNMCZ-DLBZAZTESA-N 0 3 318.509 4.404 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccccc2F)C1)c1ccc(F)cn1 ZINC000482083280 339622233 /nfs/dbraw/zinc/62/22/33/339622233.db2.gz RGHKEMPBUKXWIK-YDHLFZDLSA-N 0 3 302.368 4.347 20 0 DIADHN CC1(C)C[C@H](NCc2ccc3c(c2)OCCCO3)c2ccccc21 ZINC000482248386 339627430 /nfs/dbraw/zinc/62/74/30/339627430.db2.gz UDFGXVLOIHWYLC-SFHVURJKSA-N 0 3 323.436 4.360 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc3c(c2)OCCCO3)c2ccccc21 ZINC000482248384 339627500 /nfs/dbraw/zinc/62/75/00/339627500.db2.gz UDFGXVLOIHWYLC-GOSISDBHSA-N 0 3 323.436 4.360 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NC[C@H](O)C1CCCCC1 ZINC000482252879 339627668 /nfs/dbraw/zinc/62/76/68/339627668.db2.gz CUCNBISWZVRKSH-DOMZBBRYSA-N 0 3 324.534 4.341 20 0 DIADHN OCc1cccc(CN[C@@H]2CCc3c2ccc(Cl)c3Cl)c1 ZINC000482554859 339635859 /nfs/dbraw/zinc/63/58/59/339635859.db2.gz NDXVLOSACQQSOA-MRXNPFEDSA-N 0 3 322.235 4.263 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(C(C)C)cc1 ZINC000482599130 339637157 /nfs/dbraw/zinc/63/71/57/339637157.db2.gz RVKXXOLCDKBWLN-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN Cn1ccc(CNCc2ccccc2Sc2ccccc2)c1 ZINC000482651065 339639120 /nfs/dbraw/zinc/63/91/20/339639120.db2.gz VHZYRIDHAQCBJB-UHFFFAOYSA-N 0 3 308.450 4.466 20 0 DIADHN CC(C)CC[C@H](O)CN[C@@H](C)c1ccccc1C(F)(F)F ZINC000482828902 339643732 /nfs/dbraw/zinc/64/37/32/339643732.db2.gz QTUBFXLJARITGW-STQMWFEESA-N 0 3 303.368 4.153 20 0 DIADHN Cc1noc(C)c1CN[C@@H]1CCCC[C@@H]1Oc1ccccc1C ZINC000482840084 339644065 /nfs/dbraw/zinc/64/40/65/339644065.db2.gz CUANUQGIRWREAR-MJGOQNOKSA-N 0 3 314.429 4.080 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@@H](O)CCC(C)C ZINC000482869266 339645144 /nfs/dbraw/zinc/64/51/44/339645144.db2.gz RRSZLGOHXQGNSB-GOEBONIOSA-N 0 3 317.395 4.375 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1ccccc1OC(F)(F)F ZINC000482960174 339648520 /nfs/dbraw/zinc/64/85/20/339648520.db2.gz NGCBCMMHUZZHAX-ZOWXZIJZSA-N 0 3 319.367 4.033 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cccc(-n3ccnc3)c2)c1C ZINC000483131004 339653313 /nfs/dbraw/zinc/65/33/13/339653313.db2.gz AOOKGRRYQQWNLH-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1ccsc1[C@@H](C)NC[C@H](c1c(F)cccc1F)N(C)C ZINC000483142089 339653773 /nfs/dbraw/zinc/65/37/73/339653773.db2.gz LMVROHREAOJTIB-IUODEOHRSA-N 0 3 324.440 4.288 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2cccc(F)c2)C1)c1ccsc1 ZINC000483171854 339655152 /nfs/dbraw/zinc/65/51/52/339655152.db2.gz WTRVIOGVVSOQHB-XJKSGUPXSA-N 0 3 304.434 4.207 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCOC1CCCCCC1)CC2 ZINC000483209271 339656400 /nfs/dbraw/zinc/65/64/00/339656400.db2.gz WXIIFCFONFLJLC-IBGZPJMESA-N 0 3 303.446 4.012 20 0 DIADHN CSC[C@H](C)N[C@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000483229318 339657026 /nfs/dbraw/zinc/65/70/26/339657026.db2.gz DIWVHRIWWPBFHT-LSDHHAIUSA-N 0 3 317.502 4.200 20 0 DIADHN C[C@H](CCO)N[C@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000483315991 339661310 /nfs/dbraw/zinc/66/13/10/339661310.db2.gz MWGYAXVWGGDBRD-FZKQIMNGSA-N 0 3 321.823 4.123 20 0 DIADHN CC[C@@H]1CCC[C@H](N[C@H](C)c2nc(-c3cccc(F)c3)no2)C1 ZINC000483358485 339662616 /nfs/dbraw/zinc/66/26/16/339662616.db2.gz REZMQNXQRIZSJX-IOASZLSFSA-N 0 3 317.408 4.495 20 0 DIADHN CCCN(CCC)Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483404556 339664114 /nfs/dbraw/zinc/66/41/14/339664114.db2.gz OWHUCKBJPCNFBE-UHFFFAOYSA-N 0 3 300.324 4.469 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(C)cc2)c2ccccc2n1 ZINC000483531098 339668189 /nfs/dbraw/zinc/66/81/89/339668189.db2.gz LEJKMAXOFXODNC-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@H](C)Oc2ccc(C)cc2)s1 ZINC000483623457 339669792 /nfs/dbraw/zinc/66/97/92/339669792.db2.gz LRCPGAPGWRWTJS-ZIAGYGMSSA-N 0 3 318.486 4.440 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@@H](C)Oc2ccc(C)cc2)s1 ZINC000483623453 339669807 /nfs/dbraw/zinc/66/98/07/339669807.db2.gz LRCPGAPGWRWTJS-KGLIPLIRSA-N 0 3 318.486 4.440 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@@H](C)c2ccc(F)cc2F)c1 ZINC000483627933 339669904 /nfs/dbraw/zinc/66/99/04/339669904.db2.gz XIJRQIVNBJEFLP-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@H](C)c2ccc(F)cc2F)c1 ZINC000483627932 339669943 /nfs/dbraw/zinc/66/99/43/339669943.db2.gz XIJRQIVNBJEFLP-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@H](C)c2cc(F)ccc2F)c1 ZINC000483628512 339670010 /nfs/dbraw/zinc/67/00/10/339670010.db2.gz PZMGBXPSFHWZLW-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@H](C)C3)n2)cc1F ZINC000483644280 339670447 /nfs/dbraw/zinc/67/04/47/339670447.db2.gz AEVOGELAMWXJOT-CORIIIEPSA-N 0 3 317.408 4.413 20 0 DIADHN CCC[C@H](C)CN[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483660735 339671105 /nfs/dbraw/zinc/67/11/05/339671105.db2.gz WCDSICPYNOCXQQ-WCQYABFASA-N 0 3 305.397 4.271 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCCO[C@H]2C1(C)C)c1ccc(F)cc1Cl ZINC000483719584 339672684 /nfs/dbraw/zinc/67/26/84/339672684.db2.gz DROCIVXEVXFXHI-SZYCXQDTSA-N 0 3 311.828 4.333 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC000483748835 339674001 /nfs/dbraw/zinc/67/40/01/339674001.db2.gz WRSKTEHIPGBSEG-BHADISHBSA-N 0 3 323.864 4.203 20 0 DIADHN CCCC[C@H](CC)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000483750419 339674109 /nfs/dbraw/zinc/67/41/09/339674109.db2.gz ZUZAQSGBZLDAKO-PKOBYXMFSA-N 0 3 316.489 4.156 20 0 DIADHN CC[C@H](N[C@@H](CC)[C@H]1CCOC1)c1nc(C(F)(F)F)cs1 ZINC000483848283 339676179 /nfs/dbraw/zinc/67/61/79/339676179.db2.gz GBMWJACRYJDUQG-DCAQKATOSA-N 0 3 322.396 4.018 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000483851121 339676307 /nfs/dbraw/zinc/67/63/07/339676307.db2.gz KSSLFAHYXVEHFR-HZMBPMFUSA-N 0 3 321.795 4.263 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1sc(C(C)(C)C)nc1C)C(C)(C)C ZINC000483861254 339676834 /nfs/dbraw/zinc/67/68/34/339676834.db2.gz JHTGAUKBSCGRFT-AAEUAGOBSA-N 0 3 312.523 4.461 20 0 DIADHN CCC[C@H](CCO)CN[C@H](C)c1ccc(-c2ccccc2)o1 ZINC000483870445 339677516 /nfs/dbraw/zinc/67/75/16/339677516.db2.gz JLWHOGLUGQXCGH-HZPDHXFCSA-N 0 3 301.430 4.396 20 0 DIADHN C[C@H](N[C@@H]1[C@H]2CCO[C@H]2C1(C)C)c1ccc(-c2ccccc2)o1 ZINC000484158691 339682265 /nfs/dbraw/zinc/68/22/65/339682265.db2.gz FXRZEALBKBGTIT-LLBUTXAOSA-N 0 3 311.425 4.411 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@@H](C)C(C)(C)C)c1 ZINC000484155778 339682348 /nfs/dbraw/zinc/68/23/48/339682348.db2.gz FCJYHGNZSSTPMG-AWEZNQCLSA-N 0 3 314.429 4.407 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@H]2NCCNc1ccccn1 ZINC000484174904 339682508 /nfs/dbraw/zinc/68/25/08/339682508.db2.gz KVVOVDRGHYFIPL-CQSZACIVSA-N 0 3 322.239 4.077 20 0 DIADHN c1cn(CCCc2ccccc2)c(CNC2CCCCCC2)n1 ZINC000484285220 339683714 /nfs/dbraw/zinc/68/37/14/339683714.db2.gz VMVBHUADBXXQBT-UHFFFAOYSA-N 0 3 311.473 4.328 20 0 DIADHN c1nc(CN[C@@H](Cc2ccccc2)C2CC2)c(-c2ccccc2)o1 ZINC000484390429 339685872 /nfs/dbraw/zinc/68/58/72/339685872.db2.gz OZRBRAGDMMHMEV-IBGZPJMESA-N 0 3 318.420 4.453 20 0 DIADHN C[C@H](NC[C@H](CO)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000484479953 339687128 /nfs/dbraw/zinc/68/71/28/339687128.db2.gz ZWXCGOMYIUJAQY-GXTWGEPZSA-N 0 3 324.251 4.420 20 0 DIADHN COc1ccccc1O[C@H](C)CNCc1cc(F)cc(Cl)c1 ZINC000485692632 339709646 /nfs/dbraw/zinc/70/96/46/339709646.db2.gz HFHATLDGUXFDIO-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1ccc2ncsc2c1 ZINC000485819967 339713679 /nfs/dbraw/zinc/71/36/79/339713679.db2.gz SLJLDDHNUGLSSQ-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN CC(C)[C@H]1C[C@H](CN[C@@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000486198533 339723124 /nfs/dbraw/zinc/72/31/24/339723124.db2.gz NAOPEJYPZLMWQA-SQWLQELKSA-N 0 3 322.518 4.124 20 0 DIADHN C[C@@H](NCCc1nc2c(s1)CCCC2)c1ccc(F)cc1F ZINC000498486541 339724324 /nfs/dbraw/zinc/72/43/24/339724324.db2.gz GMHGVUWWDHYARJ-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1cc2ccc(F)cc2[nH]1 ZINC000486681066 339731049 /nfs/dbraw/zinc/73/10/49/339731049.db2.gz YWGFCCZCXLJPIO-LBPRGKRZSA-N 0 3 316.351 4.003 20 0 DIADHN COc1cc(CN2CCC(c3ccsc3)CC2)cc(OC)c1 ZINC000486924025 339738221 /nfs/dbraw/zinc/73/82/21/339738221.db2.gz BHPVBQNIEXPUKK-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc(Cl)cs1)c1ccco1 ZINC000486931958 339738660 /nfs/dbraw/zinc/73/86/60/339738660.db2.gz BZJXODGIXSLRSO-AWEZNQCLSA-N 0 3 312.866 4.167 20 0 DIADHN Fc1ccc(CNC/C=C/c2ccncc2)c(C(F)(F)F)c1 ZINC000486957205 339740100 /nfs/dbraw/zinc/74/01/00/339740100.db2.gz OSNZFWXLTNRTJI-OWOJBTEDSA-N 0 3 310.294 4.043 20 0 DIADHN Fc1ccc(C(NC[C@H]2CCSC2)c2ccc(F)cc2)cc1 ZINC000486965141 339740423 /nfs/dbraw/zinc/74/04/23/339740423.db2.gz CSZLFDOZKVZVER-CYBMUJFWSA-N 0 3 319.420 4.397 20 0 DIADHN Cc1cscc1CNCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000487159353 339744719 /nfs/dbraw/zinc/74/47/19/339744719.db2.gz NYUWOSFDTRVCTN-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN CN(C)Cc1ccccc1C(=O)NC1CC(C)(C)CC(C)(C)C1 ZINC000487238225 339747228 /nfs/dbraw/zinc/74/72/28/339747228.db2.gz LJJHIICOONVFJJ-UHFFFAOYSA-N 0 3 316.489 4.083 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1cccc(N2CCCC2)c1 ZINC000487267048 339747990 /nfs/dbraw/zinc/74/79/90/339747990.db2.gz DPEVGWVUJRCXOL-KEGWNNHHSA-N 0 3 307.441 4.046 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccncc2CC)cc1F ZINC000487564886 339757504 /nfs/dbraw/zinc/75/75/04/339757504.db2.gz UWYLNGYDRZUWRZ-ZDUSSCGKSA-N 0 3 302.393 4.033 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000487565525 339757723 /nfs/dbraw/zinc/75/77/23/339757723.db2.gz AJKZUVRZDUDMHG-ZWKOTPCHSA-N 0 3 323.864 4.034 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)CC2CCN(C)CC2)cc1 ZINC000487567622 339757897 /nfs/dbraw/zinc/75/78/97/339757897.db2.gz YBUIDNGHXQWPOT-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN Clc1ccsc1CNCCOc1ccccc1Cl ZINC000487576481 339758273 /nfs/dbraw/zinc/75/82/73/339758273.db2.gz UHJVXHHXPAORAI-UHFFFAOYSA-N 0 3 302.226 4.224 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCSc3ccc(F)cc32)CS1 ZINC000488421287 339781051 /nfs/dbraw/zinc/78/10/51/339781051.db2.gz JOJJXPKISUNYCD-NOLJZWGESA-N 0 3 311.491 4.482 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CS[C@H](C(C)(C)C)C2)cc1F ZINC000488427127 339781472 /nfs/dbraw/zinc/78/14/72/339781472.db2.gz XGNVEEDWAYRNQQ-GHJWDPDVSA-N 0 3 311.466 4.405 20 0 DIADHN CC[C@H](NCCCOc1ccc(C)cc1)c1ccc(F)cn1 ZINC000490131675 339826648 /nfs/dbraw/zinc/82/66/48/339826648.db2.gz XMUFHKODAUZJIK-KRWDZBQOSA-N 0 3 302.393 4.039 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCOC2)c2ccc(Cl)cc2)cc1 ZINC000490158186 339827372 /nfs/dbraw/zinc/82/73/72/339827372.db2.gz QHGFILQCWVBTAV-SCLBCKFNSA-N 0 3 319.807 4.195 20 0 DIADHN CC[C@@H](NCCOc1cccc2ccccc21)c1ccc(F)cn1 ZINC000490190051 339828277 /nfs/dbraw/zinc/82/82/77/339828277.db2.gz FFHXLJJPSAIHRU-GOSISDBHSA-N 0 3 324.399 4.494 20 0 DIADHN CC[C@@H](NCCCO[C@H](C)c1ccccc1)c1ccc(F)cn1 ZINC000491368442 339859636 /nfs/dbraw/zinc/85/96/36/339859636.db2.gz PYNHOWDVYLVGMP-CRAIPNDOSA-N 0 3 316.420 4.429 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCC(=O)Nc1c(C)cccc1C)[C@@H](C)C2 ZINC000491380536 339859916 /nfs/dbraw/zinc/85/99/16/339859916.db2.gz NLQCCAIRPAGOPA-KKSFZXQISA-N 0 3 322.452 4.073 20 0 DIADHN Cn1cc([C@@H](NCCC2CCCCC2)c2cccc(F)c2)cn1 ZINC000491423823 339861655 /nfs/dbraw/zinc/86/16/55/339861655.db2.gz PSHFCOBEWYRTOX-IBGZPJMESA-N 0 3 315.436 4.209 20 0 DIADHN COCC(C)(C)CNCc1ccc(-c2ccc(F)cc2C)o1 ZINC000491459204 339862951 /nfs/dbraw/zinc/86/29/51/339862951.db2.gz PWEUUOSPWUDDPW-UHFFFAOYSA-N 0 3 305.393 4.156 20 0 DIADHN C[C@@H](NCc1cc(Cl)cs1)[C@@H]1OCCc2sccc21 ZINC000492102759 339891472 /nfs/dbraw/zinc/89/14/72/339891472.db2.gz GHSHCSBIXBIDLT-OTYXRUKQSA-N 0 3 313.875 4.255 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)[C@H]1OCCc2sccc21 ZINC000492191322 339893850 /nfs/dbraw/zinc/89/38/50/339893850.db2.gz AJCAVASBYZKBQZ-KZULUSFZSA-N 0 3 313.422 4.286 20 0 DIADHN CSc1ccc(CN[C@@H](C)[C@@H]2OCCc3sccc32)cc1 ZINC000492195417 339893891 /nfs/dbraw/zinc/89/38/91/339893891.db2.gz VSUJHJUHCGHKHP-SJCJKPOMSA-N 0 3 319.495 4.262 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)[C@H]1OCCc2sccc21 ZINC000492193144 339893966 /nfs/dbraw/zinc/89/39/66/339893966.db2.gz MVPDFOLXMAWEJM-KZULUSFZSA-N 0 3 313.422 4.286 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000492206492 339894245 /nfs/dbraw/zinc/89/42/45/339894245.db2.gz HBSRLOJTMNWLKT-RYUDHWBXSA-N 0 3 306.356 4.098 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2ccc(Cl)cc2)s1 ZINC000492209474 339894323 /nfs/dbraw/zinc/89/43/23/339894323.db2.gz LMNBGUJRXBZERC-NSHDSACASA-N 0 3 309.866 4.208 20 0 DIADHN CCC(CC)(CNCc1cnc(-c2ccc(C)cc2)s1)OC ZINC000492278929 339896282 /nfs/dbraw/zinc/89/62/82/339896282.db2.gz XIWMPTHHEANALB-UHFFFAOYSA-N 0 3 318.486 4.413 20 0 DIADHN CCC1(CNCc2csc(Cl)c2Cl)CCOCC1 ZINC000492439406 339900661 /nfs/dbraw/zinc/90/06/61/339900661.db2.gz LFJZWODUSGPERT-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN Cc1nnsc1CN[C@@H]1CCCC[C@@H](c2ccccc2)C1 ZINC000492457993 339901126 /nfs/dbraw/zinc/90/11/26/339901126.db2.gz CHPLIFKDWNJLEX-HZPDHXFCSA-N 0 3 301.459 4.053 20 0 DIADHN CCSc1cccc(CNCc2c[nH]nc2-c2ccccc2)c1 ZINC000492508570 339902643 /nfs/dbraw/zinc/90/26/43/339902643.db2.gz GBRNWLHGRMTABZ-UHFFFAOYSA-N 0 3 323.465 4.479 20 0 DIADHN C[C@H](CCO)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493057615 339916118 /nfs/dbraw/zinc/91/61/18/339916118.db2.gz MIPAWYNCGAXENO-ACJLOTCBSA-N 0 3 321.823 4.177 20 0 DIADHN C[C@@H](CCO)N[C@@H](c1ccccc1)c1cccc2ccccc21 ZINC000493207719 339919755 /nfs/dbraw/zinc/91/97/55/339919755.db2.gz UAJOKMAUWDSSHS-KKSFZXQISA-N 0 3 305.421 4.290 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000493228716 339920364 /nfs/dbraw/zinc/92/03/64/339920364.db2.gz LWOORMIKKYFABL-WBVHZDCISA-N 0 3 322.811 4.303 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1ccccc1OC(F)F ZINC000493736176 339932266 /nfs/dbraw/zinc/93/22/66/339932266.db2.gz JVJRCIVSKFZAHQ-SUMWQHHRSA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1ccc2ccccc2n1 ZINC000493737300 339932389 /nfs/dbraw/zinc/93/23/89/339932389.db2.gz MKGUCXDVFMGODA-MGPUTAFESA-N 0 3 306.409 4.101 20 0 DIADHN CCCC[C@H](COC)N[C@@H](C)c1cc(Br)cs1 ZINC000493918016 339936675 /nfs/dbraw/zinc/93/66/75/339936675.db2.gz WSUGHVAKHKEPFT-CMPLNLGQSA-N 0 3 320.296 4.366 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccc(OC(C)C)cc1 ZINC000493951238 339937430 /nfs/dbraw/zinc/93/74/30/339937430.db2.gz AREMZZOPITZJME-UZLBHIALSA-N 0 3 313.441 4.340 20 0 DIADHN CCSCC[C@H](C)N[C@H](COC)c1ccc(Cl)cc1 ZINC000493971534 339937724 /nfs/dbraw/zinc/93/77/24/339937724.db2.gz XQMYWPUPJWLDKL-SWLSCSKDSA-N 0 3 301.883 4.149 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccccc1Cl)c1ccc(F)cn1 ZINC000494003456 339938682 /nfs/dbraw/zinc/93/86/82/339938682.db2.gz HVWKPEFIQBZJOL-SWLSCSKDSA-N 0 3 322.811 4.382 20 0 DIADHN CCCc1csc(CNCC(C)(C)c2cccc(OC)c2)n1 ZINC000494180987 339942935 /nfs/dbraw/zinc/94/29/35/339942935.db2.gz YNBTVZJYEYLZER-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CCCc1csc(CN[C@@H]2CCO[C@H](C(CC)CC)C2)n1 ZINC000494192155 339943290 /nfs/dbraw/zinc/94/32/90/339943290.db2.gz OQXKOMLPTWJIMJ-ZBFHGGJFSA-N 0 3 310.507 4.169 20 0 DIADHN CCCc1csc(CNC[C@H](C)COCc2ccccc2)n1 ZINC000494308052 339946338 /nfs/dbraw/zinc/94/63/38/339946338.db2.gz GWEMWYZQHSKEMQ-HNNXBMFYSA-N 0 3 318.486 4.038 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2OC1)c1ccc(F)cc1F ZINC000494601081 339954764 /nfs/dbraw/zinc/95/47/64/339954764.db2.gz JMYKFIGZNBWHGF-DOMZBBRYSA-N 0 3 303.352 4.009 20 0 DIADHN CO[C@@H](c1ccccc1)[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000494601688 339954809 /nfs/dbraw/zinc/95/48/09/339954809.db2.gz GPFNHZUPWFPILW-VEVIJQCQSA-N 0 3 305.368 4.392 20 0 DIADHN Fc1cncc(CNCC2(c3cccc(C(F)(F)F)c3)CC2)c1 ZINC000494609698 339955011 /nfs/dbraw/zinc/95/50/11/339955011.db2.gz SGTLXPPQVRNYED-UHFFFAOYSA-N 0 3 324.321 4.061 20 0 DIADHN C[C@H](CNCc1cncc(F)c1)c1c(Cl)cccc1Cl ZINC000494616647 339955375 /nfs/dbraw/zinc/95/53/75/339955375.db2.gz FFEPYPRCZITHMB-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC3CCC3)c1)[C@H](C)C2 ZINC000494745407 339959008 /nfs/dbraw/zinc/95/90/08/339959008.db2.gz JBVMSALIARUSGH-VFNWGFHPSA-N 0 3 322.452 4.344 20 0 DIADHN Cc1ccsc1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000494744851 339959108 /nfs/dbraw/zinc/95/91/08/339959108.db2.gz GAUZXSUCWMPAEV-LJQANCHMSA-N 0 3 308.450 4.373 20 0 DIADHN CCSc1cc(CN[C@@H](C)[C@H](OC)c2ccccc2)ccn1 ZINC000494784157 339960062 /nfs/dbraw/zinc/96/00/62/339960062.db2.gz JIJYZTUCBZINMI-KSSFIOAISA-N 0 3 316.470 4.059 20 0 DIADHN Cc1csc(C2(NCCCc3ccc(C)cc3)CCC2)n1 ZINC000494803328 339960739 /nfs/dbraw/zinc/96/07/39/339960739.db2.gz DOXGTBMYFQOJEL-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN Cc1nc2ccc(CN[C@H]3CCCc4c(O)cccc43)cc2s1 ZINC000494851020 339962555 /nfs/dbraw/zinc/96/25/55/339962555.db2.gz WPMUMGUODCAYSJ-INIZCTEOSA-N 0 3 324.449 4.478 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccnc2Oc2cccc(F)c2)[C@H]1C ZINC000494883781 339963546 /nfs/dbraw/zinc/96/35/46/339963546.db2.gz TWYVFTVTWIIPNZ-IGCXYCKISA-N 0 3 300.377 4.147 20 0 DIADHN C[C@H](CN[C@@H](C[C@@H]1CCOC1)c1ccc(F)cc1)C(F)(F)F ZINC000494967776 339967715 /nfs/dbraw/zinc/96/77/15/339967715.db2.gz AQRCQOIOHHIWOH-XUJVJEKNSA-N 0 3 319.342 4.081 20 0 DIADHN C[C@H](NC1(c2ccccc2)CCC1)c1ccc(C(=O)N(C)C)cc1 ZINC000495083828 339973378 /nfs/dbraw/zinc/97/33/78/339973378.db2.gz ZPYRDOSFRIBNSH-INIZCTEOSA-N 0 3 322.452 4.118 20 0 DIADHN CC[C@@H](C)NCc1cc(-c2c(OC)ccc3ccccc32)no1 ZINC000495435400 339984494 /nfs/dbraw/zinc/98/44/94/339984494.db2.gz WIHBJPYLZATDIS-CYBMUJFWSA-N 0 3 310.397 4.392 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](C)c2ccc(C)s2)c1 ZINC000495615513 339988410 /nfs/dbraw/zinc/98/84/10/339988410.db2.gz AWRATWPWFVIAFG-LBPRGKRZSA-N 0 3 304.415 4.086 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(NC(=O)OC)c2)s1 ZINC000495692631 339990370 /nfs/dbraw/zinc/99/03/70/339990370.db2.gz XCWMNYTUQKTXGE-GFCCVEGCSA-N 0 3 318.442 4.340 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](NC(=O)OC(C)(C)C)C1)c1ccco1 ZINC000496331235 340003229 /nfs/dbraw/zinc/00/32/29/340003229.db2.gz UGOAKEHDHUDDHR-ZNMIVQPWSA-N 0 3 322.449 4.014 20 0 DIADHN COC(=O)Nc1cccc(CNCc2c(C)oc3ccccc32)c1 ZINC000496827038 340013946 /nfs/dbraw/zinc/01/39/46/340013946.db2.gz IKWKQAIWPWRRAX-UHFFFAOYSA-N 0 3 324.380 4.209 20 0 DIADHN CC(C)(C)[C@@H](NCCc1cnccn1)c1cc2ccccc2o1 ZINC000498751877 340022558 /nfs/dbraw/zinc/02/25/58/340022558.db2.gz DKDZBWGYOVGDHM-SFHVURJKSA-N 0 3 309.413 4.142 20 0 DIADHN Cc1cnc(CCN[C@H](c2cc3ccccc3o2)C(C)(C)C)nc1 ZINC000498780585 340023225 /nfs/dbraw/zinc/02/32/25/340023225.db2.gz JQYOZLFODZFDBR-LJQANCHMSA-N 0 3 323.440 4.451 20 0 DIADHN C[C@H](CCN[C@H](c1cc2ccccc2o1)C(C)(C)C)[S@@](C)=O ZINC000498804566 340023588 /nfs/dbraw/zinc/02/35/88/340023588.db2.gz BBSSYNVLXHZQQD-NYFKNOIKSA-N 0 3 321.486 4.267 20 0 DIADHN CC(C)[C@@H](NCCOc1ccccc1Cl)c1ccc(F)cn1 ZINC000498809122 340023682 /nfs/dbraw/zinc/02/36/82/340023682.db2.gz XZYRFEPMPPEGTB-QGZVFWFLSA-N 0 3 322.811 4.240 20 0 DIADHN Cc1cc(CN(Cc2cccc(O)c2)CC2CC2)c(C)s1 ZINC000498893703 340025234 /nfs/dbraw/zinc/02/52/34/340025234.db2.gz AZIACUWTXIAQRH-UHFFFAOYSA-N 0 3 301.455 4.483 20 0 DIADHN CC1CCC(N(C)Cc2ccc(Oc3ccccc3)nn2)CC1 ZINC000498945999 340026804 /nfs/dbraw/zinc/02/68/04/340026804.db2.gz KOUCEQWPCRBIJY-UHFFFAOYSA-N 0 3 311.429 4.279 20 0 DIADHN Clc1ccc([C@@H]2CCCCCN2CCn2ccnc2)cc1 ZINC000499051169 340028518 /nfs/dbraw/zinc/02/85/18/340028518.db2.gz ANNSXWDVKQWFJI-KRWDZBQOSA-N 0 3 303.837 4.154 20 0 DIADHN Cc1nccn1CCN1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000499051855 340028582 /nfs/dbraw/zinc/02/85/82/340028582.db2.gz DEEKUCXEFTYRHV-GOSISDBHSA-N 0 3 317.864 4.462 20 0 DIADHN CCN(CCn1ccnc1)[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000499054647 340028694 /nfs/dbraw/zinc/02/86/94/340028694.db2.gz KJJPLZXBQPQMIE-HXUWFJFHSA-N 0 3 323.415 4.134 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000499071377 340029149 /nfs/dbraw/zinc/02/91/49/340029149.db2.gz UKMLYUHWUYKBJY-NVXWUHKLSA-N 0 3 311.429 4.279 20 0 DIADHN COc1cc([C@H](C)NCc2cnc3ccccc3c2)ccc1F ZINC000499604922 340040657 /nfs/dbraw/zinc/04/06/57/340040657.db2.gz HDQKYPIEWQKXAX-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN CCC(CC)[C@H](NCc1cnc(OC)nc1C)c1cccs1 ZINC000499605422 340040698 /nfs/dbraw/zinc/04/06/98/340040698.db2.gz ZKHVDARNDQOLQV-INIZCTEOSA-N 0 3 319.474 4.122 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCCCCC1CCOCC1 ZINC000499606545 340040733 /nfs/dbraw/zinc/04/07/33/340040733.db2.gz OICMJLLSJSZBOU-CQSZACIVSA-N 0 3 309.425 4.082 20 0 DIADHN c1ccc(OC[C@@H]2CCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000499620079 340041260 /nfs/dbraw/zinc/04/12/60/340041260.db2.gz QDCHXUMRVZOJNT-QGZVFWFLSA-N 0 3 318.420 4.136 20 0 DIADHN Cc1nn2c(CNCCC3=CCCCC3)c(C(C)C)nc2s1 ZINC000499686028 340042804 /nfs/dbraw/zinc/04/28/04/340042804.db2.gz PRBGIDRMLIOFDS-UHFFFAOYSA-N 0 3 318.490 4.203 20 0 DIADHN CC(C)N(C)CC(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000500020523 340048700 /nfs/dbraw/zinc/04/87/00/340048700.db2.gz RKYWKIKXGRQIAH-INIZCTEOSA-N 0 3 324.468 4.232 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCc2ccncc2)CC1 ZINC000500143882 340051201 /nfs/dbraw/zinc/05/12/01/340051201.db2.gz ZERWKXOHVGRDTL-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN COc1ccc(C)cc1CN1CCC[C@@H](Oc2ccccc2)C1 ZINC000500185384 340052496 /nfs/dbraw/zinc/05/24/96/340052496.db2.gz XBNLLAHZAVAJEI-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(OC)c(Cl)c1 ZINC000500394226 340056489 /nfs/dbraw/zinc/05/64/89/340056489.db2.gz LNKFRJUGOBGMDH-UHFFFAOYSA-N 0 3 319.832 4.209 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)N[C@@H](C)c1ccc(Cl)cc1 ZINC000500452087 340059248 /nfs/dbraw/zinc/05/92/48/340059248.db2.gz AYIQVFBTOPAADP-YOEHRIQHSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@@H]1CC(C)C ZINC000500459028 340059531 /nfs/dbraw/zinc/05/95/31/340059531.db2.gz UXHXNZMJYVAUEY-CQSZACIVSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CCCC[C@H]1OC(F)F ZINC000500476724 340060105 /nfs/dbraw/zinc/06/01/05/340060105.db2.gz OQXLCLQBSXUXOI-CHWSQXEVSA-N 0 3 307.743 4.119 20 0 DIADHN CC[C@H]1C[C@]1(NCc1cnc2cc(C)ccn12)c1cccc(C)c1 ZINC000500489697 340060439 /nfs/dbraw/zinc/06/04/39/340060439.db2.gz ZTZKNVWHSKVMCZ-LAUBAEHRSA-N 0 3 319.452 4.366 20 0 DIADHN Cc1cc([C@H](C)NCC(c2ccccc2)c2ccccc2)no1 ZINC000500501658 340060831 /nfs/dbraw/zinc/06/08/31/340060831.db2.gz JNQYXMJYKZLEKH-INIZCTEOSA-N 0 3 306.409 4.466 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1ccc(N(C)CC)nc1)c1cccc(C)c1 ZINC000500503021 340060930 /nfs/dbraw/zinc/06/09/30/340060930.db2.gz YUNIUOOQSAXAQD-NQIIRXRSSA-N 0 3 323.484 4.261 20 0 DIADHN CCCC[C@H](C)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC000500527391 340061479 /nfs/dbraw/zinc/06/14/79/340061479.db2.gz KRHKXZDXLRPUJO-GOEBONIOSA-N 0 3 310.507 4.074 20 0 DIADHN CC(C)[C@@H](NCc1cccc(-n2cccn2)c1)c1ccc(F)cc1 ZINC000500565153 340062391 /nfs/dbraw/zinc/06/23/91/340062391.db2.gz AAUHJQXHGGPUIC-HXUWFJFHSA-N 0 3 323.415 4.498 20 0 DIADHN Fc1c(Cl)cccc1CNCCc1cccc2[nH]ccc21 ZINC000500572329 340062648 /nfs/dbraw/zinc/06/26/48/340062648.db2.gz YPTJBXJDPXDOTG-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN C[C@H](N[C@H](COc1ccccc1F)C(C)(C)C)c1ccccn1 ZINC000500612650 340063554 /nfs/dbraw/zinc/06/35/54/340063554.db2.gz BIZWGMXFAZGZEE-KBXCAEBGSA-N 0 3 316.420 4.365 20 0 DIADHN CCC[C@H](NCCc1ccc2ccccc2n1)c1ccccn1 ZINC000500618545 340063872 /nfs/dbraw/zinc/06/38/72/340063872.db2.gz PTFJGLATAZCOHC-IBGZPJMESA-N 0 3 305.425 4.303 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@@H](C)c1ccccn1 ZINC000500643867 340064366 /nfs/dbraw/zinc/06/43/66/340064366.db2.gz DPBAZMOFTVMYPD-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccc(C(C)(C)C)cc1)c1ccccn1 ZINC000500650846 340064533 /nfs/dbraw/zinc/06/45/33/340064533.db2.gz UXNWGTITDIAMIE-JKSUJKDBSA-N 0 3 312.457 4.497 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3cccc(F)c3C)o2)CC1 ZINC000500696411 340065272 /nfs/dbraw/zinc/06/52/72/340065272.db2.gz JQMLTQZVYOZDSM-UHFFFAOYSA-N 0 3 317.404 4.395 20 0 DIADHN COCC1(C)CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC000500753129 340066530 /nfs/dbraw/zinc/06/65/30/340066530.db2.gz GMMNOPABHGBNJS-UHFFFAOYSA-N 0 3 317.404 4.334 20 0 DIADHN Fc1ccc(CCNCc2cc(F)c(F)c(F)c2)c(Cl)c1 ZINC000500892128 340069276 /nfs/dbraw/zinc/06/92/76/340069276.db2.gz QVFFHRCCKSWPPG-UHFFFAOYSA-N 0 3 317.713 4.229 20 0 DIADHN Cn1cc([C@@H](NCCSC2CCCCC2)C(C)(C)C)cn1 ZINC000501005542 340071981 /nfs/dbraw/zinc/07/19/81/340071981.db2.gz DQWWXGOBZTYRIM-MRXNPFEDSA-N 0 3 309.523 4.163 20 0 DIADHN C[C@@H](CN(C)Cc1cccnc1)c1ccc(C(F)(F)F)cc1 ZINC000501050804 340073129 /nfs/dbraw/zinc/07/31/29/340073129.db2.gz HKZIMWVRKYMJNJ-ZDUSSCGKSA-N 0 3 308.347 4.336 20 0 DIADHN CC(C)COCCN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000501113965 340074684 /nfs/dbraw/zinc/07/46/84/340074684.db2.gz UOKBYBRGDGORCH-UHFFFAOYSA-N 0 3 300.446 4.020 20 0 DIADHN Cc1ncc(CN2CC[C@@](C)(CCc3ccccc3)C2)s1 ZINC000501217881 340076431 /nfs/dbraw/zinc/07/64/31/340076431.db2.gz MTYGZPGQEJAQMJ-GOSISDBHSA-N 0 3 300.471 4.296 20 0 DIADHN CC(C)OC[C@@H](C)NCc1ccc(OCc2ccccc2)cc1 ZINC000501377921 340079535 /nfs/dbraw/zinc/07/95/35/340079535.db2.gz NEJAADGYCGFEOM-QGZVFWFLSA-N 0 3 313.441 4.169 20 0 DIADHN CCN(CC)Cc1cn(-c2ccccc2)nc1-c1ccccc1 ZINC000501438751 340081008 /nfs/dbraw/zinc/08/10/08/340081008.db2.gz VDSKDYUWIXAGSY-UHFFFAOYSA-N 0 3 305.425 4.381 20 0 DIADHN COc1ccc2oc([C@@H](C)N[C@@H](C)COC(C)C)c(C)c2c1 ZINC000501473355 340081900 /nfs/dbraw/zinc/08/19/00/340081900.db2.gz BZYJDFXZLPBFSI-GXTWGEPZSA-N 0 3 305.418 4.214 20 0 DIADHN CC(C)OC[C@@H](C)N[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000501474520 340081977 /nfs/dbraw/zinc/08/19/77/340081977.db2.gz FBBMSZZUUWJYHV-VXGBXAGGSA-N 0 3 305.340 4.049 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000501474513 340082013 /nfs/dbraw/zinc/08/20/13/340082013.db2.gz FBBMSZZUUWJYHV-NEPJUHHUSA-N 0 3 305.340 4.049 20 0 DIADHN Cc1ccc(NC(=O)NC[C@@H](C)N2CCCC[C@H]2C)cc1Cl ZINC000501504668 340082386 /nfs/dbraw/zinc/08/23/86/340082386.db2.gz SHEWHBDIITZFMM-ZIAGYGMSSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@H](C)N2CCC[C@@H](C)C2)c1 ZINC000501504579 340082441 /nfs/dbraw/zinc/08/24/41/340082441.db2.gz QOLFJAYHMIWGBT-CVEARBPZSA-N 0 3 317.477 4.052 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)NC[C@H](C)N1CCCC[C@H]1C ZINC000501503226 340082450 /nfs/dbraw/zinc/08/24/50/340082450.db2.gz NRGYVEDENDNSMR-KGLIPLIRSA-N 0 3 323.868 4.033 20 0 DIADHN Cc1ccc(NC(=O)NC[C@H](C)N2CCCC[C@H]2C)c(Cl)c1 ZINC000501515523 340082491 /nfs/dbraw/zinc/08/24/91/340082491.db2.gz NOWADFZOCJTWBU-KGLIPLIRSA-N 0 3 323.868 4.033 20 0 DIADHN CCCn1nccc1C1CCN([C@@H](C)c2cccc(F)c2)CC1 ZINC000501563561 340083855 /nfs/dbraw/zinc/08/38/55/340083855.db2.gz WYLWAOZFOVDROA-HNNXBMFYSA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)CN(C(=O)C[C@H]1CCCCC1(C)C)C1CCN(C)CC1 ZINC000501632689 340085474 /nfs/dbraw/zinc/08/54/74/340085474.db2.gz SRSRCVWSWQMGIR-QGZVFWFLSA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)CN(C(=O)CC1CCC(C)(C)CC1)C1CCN(C)CC1 ZINC000501648503 340085731 /nfs/dbraw/zinc/08/57/31/340085731.db2.gz KRGJRWMTBFXFHM-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN CC(=O)c1ccccc1OCCN1CCC[C@H]1c1ccc(C)cc1 ZINC000501804453 340089218 /nfs/dbraw/zinc/08/92/18/340089218.db2.gz KLIQSSXAYRCNGJ-FQEVSTJZSA-N 0 3 323.436 4.414 20 0 DIADHN C[C@@H](c1ccccc1)N1CCCN(Cc2ccc(F)cc2)CC1 ZINC000501836827 340089456 /nfs/dbraw/zinc/08/94/56/340089456.db2.gz NAWFWYUGCHYZEV-KRWDZBQOSA-N 0 3 312.432 4.095 20 0 DIADHN C[C@H](c1ccccc1)N1CCCN(Cc2ccc(F)cc2)CC1 ZINC000501836828 340089574 /nfs/dbraw/zinc/08/95/74/340089574.db2.gz NAWFWYUGCHYZEV-QGZVFWFLSA-N 0 3 312.432 4.095 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@H]1C)c1nc2c(s1)CCC2 ZINC000502032091 340093565 /nfs/dbraw/zinc/09/35/65/340093565.db2.gz LGHMWAWLMFHZAK-RPCCPQHDSA-N 0 3 312.482 4.475 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2nc3c(s2)CCC3)C12CCCC2 ZINC000502059877 340094411 /nfs/dbraw/zinc/09/44/11/340094411.db2.gz ZDGUZNLAYPGVHF-DAXOMENPSA-N 0 3 320.502 4.020 20 0 DIADHN COc1ccc(OCCCN(Cc2cccs2)C2CC2)cc1 ZINC000502183753 340096616 /nfs/dbraw/zinc/09/66/16/340096616.db2.gz CAKSNKJBYDMXOV-UHFFFAOYSA-N 0 3 317.454 4.190 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1cc(C)c(C)o1 ZINC000502364556 340100843 /nfs/dbraw/zinc/10/08/43/340100843.db2.gz GVMXNSJVUVHYID-LXIYXOSZSA-N 0 3 305.393 4.462 20 0 DIADHN COc1ccccc1OC[C@@H](C)CN[C@H](C)c1cc(C)c(C)o1 ZINC000502375749 340101018 /nfs/dbraw/zinc/10/10/18/340101018.db2.gz QMWAGPGPELCTRB-DZGCQCFKSA-N 0 3 317.429 4.271 20 0 DIADHN C[C@@H](NCCCNc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502399667 340101791 /nfs/dbraw/zinc/10/17/91/340101791.db2.gz GYWRBMDQZVZBOV-MRXNPFEDSA-N 0 3 312.461 4.151 20 0 DIADHN Cc1cc([C@H](C)NC2CCC(c3ccc(F)cc3)CC2)nn1C ZINC000502412584 340102384 /nfs/dbraw/zinc/10/23/84/340102384.db2.gz DNUAVGCKVGMRIC-NXVRBGIVSA-N 0 3 315.436 4.245 20 0 DIADHN Cc1cccc(OCCN[C@H](C)c2cnn(C3CCCC3)c2)c1 ZINC000502419343 340102781 /nfs/dbraw/zinc/10/27/81/340102781.db2.gz VNFPCRVYPYCURM-MRXNPFEDSA-N 0 3 313.445 4.036 20 0 DIADHN Cc1cc([C@@H](C)NCCc2c[nH]c3cc(F)ccc23)oc1C ZINC000502432179 340103173 /nfs/dbraw/zinc/10/31/73/340103173.db2.gz CQWRNTOYAZBBAG-GFCCVEGCSA-N 0 3 300.377 4.410 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]1Cc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502452001 340104122 /nfs/dbraw/zinc/10/41/22/340104122.db2.gz MESBDXMXCYJVCO-KNBMTAEXSA-N 0 3 309.457 4.280 20 0 DIADHN Cc1cc([C@@H](C)NCCc2ccccc2OC(F)F)oc1C ZINC000502455377 340104262 /nfs/dbraw/zinc/10/42/62/340104262.db2.gz QOXMLILGFMEWSX-GFCCVEGCSA-N 0 3 309.356 4.391 20 0 DIADHN Cc1cc([C@@H](C)NCCc2cccc(-c3ccccc3)c2)nn1C ZINC000502454017 340104311 /nfs/dbraw/zinc/10/43/11/340104311.db2.gz RCAJFJKDWMQUSN-QGZVFWFLSA-N 0 3 319.452 4.289 20 0 DIADHN COc1ccc(C[C@@H](C)N[C@@H](C)c2cc(C)c(C)o2)cc1OC ZINC000502456696 340104358 /nfs/dbraw/zinc/10/43/58/340104358.db2.gz ZUNJVCLNNSHZCK-KGLIPLIRSA-N 0 3 317.429 4.195 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2ccccc2)C(C)(C)CO)oc1C ZINC000502457384 340104415 /nfs/dbraw/zinc/10/44/15/340104415.db2.gz WTSKOGBFLOVMJD-QAPCUYQASA-N 0 3 315.457 4.349 20 0 DIADHN Fc1ccccc1Oc1cc(CN[C@@H]2CCC23CCC3)ccn1 ZINC000502463097 340104773 /nfs/dbraw/zinc/10/47/73/340104773.db2.gz PBBBBIYLMTYIJO-QGZVFWFLSA-N 0 3 312.388 4.435 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCN(c3c(F)cccc3F)C2)oc1C ZINC000502466128 340104880 /nfs/dbraw/zinc/10/48/80/340104880.db2.gz VYCXFXNNGYVRJM-JSGCOSHPSA-N 0 3 320.383 4.104 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502471280 340105075 /nfs/dbraw/zinc/10/50/75/340105075.db2.gz IWFKUGYSBDJJGF-WCQYABFASA-N 0 3 303.372 4.380 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)nn1C ZINC000502475698 340105260 /nfs/dbraw/zinc/10/52/60/340105260.db2.gz LHDYSSQEECRSPV-KBXCAEBGSA-N 0 3 313.420 4.154 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C2(c3ccccc3)CCCC2)nn1C ZINC000502480696 340105506 /nfs/dbraw/zinc/10/55/06/340105506.db2.gz IRBKSCAZVSSQIW-IRXDYDNUSA-N 0 3 311.473 4.280 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC(F)(F)C1)c1nc2c(s1)CCC2 ZINC000502509097 340106518 /nfs/dbraw/zinc/10/65/18/340106518.db2.gz LPLSDBLNXGGULO-GHMZBOCLSA-N 0 3 300.418 4.108 20 0 DIADHN COCC1(CN[C@@H](C)c2ccccc2OC(F)(F)F)CCC1 ZINC000502536405 340107169 /nfs/dbraw/zinc/10/71/69/340107169.db2.gz WFYOMHZWZUZZLA-LBPRGKRZSA-N 0 3 317.351 4.053 20 0 DIADHN COCC1(CN[C@H](C)c2ccccc2OC(F)(F)F)CCC1 ZINC000502536404 340107240 /nfs/dbraw/zinc/10/72/40/340107240.db2.gz WFYOMHZWZUZZLA-GFCCVEGCSA-N 0 3 317.351 4.053 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000502541347 340107263 /nfs/dbraw/zinc/10/72/63/340107263.db2.gz KGKFFIPRSWOVQY-GOSISDBHSA-N 0 3 315.388 4.099 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)CC1CCOCC1 ZINC000502547326 340107547 /nfs/dbraw/zinc/10/75/47/340107547.db2.gz AATHTFVTIGCKCE-UHFFFAOYSA-N 0 3 309.862 4.413 20 0 DIADHN CCSCCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000502552091 340107552 /nfs/dbraw/zinc/10/75/52/340107552.db2.gz PCUKUTCOTYBFIZ-NSHDSACASA-N 0 3 311.882 4.021 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccc(F)c(F)c1 ZINC000502563580 340107803 /nfs/dbraw/zinc/10/78/03/340107803.db2.gz PVCLDGNRKYOZBO-OWYVNGRQSA-N 0 3 305.315 4.166 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1cc(F)ccc1F ZINC000502649685 340109587 /nfs/dbraw/zinc/10/95/87/340109587.db2.gz JDKDLIYPDIAMEG-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN Cc1cc([C@H](C)NCC2CCC(c3ccccc3)CC2)nn1C ZINC000502681026 340110118 /nfs/dbraw/zinc/11/01/18/340110118.db2.gz CFVASKKPSHXJTO-MUYFXNHWSA-N 0 3 311.473 4.353 20 0 DIADHN C[C@H](NCCc1c(F)cccc1F)c1cnn(C2CCCC2)c1 ZINC000502682722 340110293 /nfs/dbraw/zinc/11/02/93/340110293.db2.gz HRNHLGLWXXCUFG-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN COc1cccc(Oc2ccc(CN[C@H]3CCC34CCC4)cn2)c1 ZINC000502687235 340110662 /nfs/dbraw/zinc/11/06/62/340110662.db2.gz PMBWFIYDOZLIRS-SFHVURJKSA-N 0 3 324.424 4.305 20 0 DIADHN Cc1nc(CCN2CCC[C@@H]2c2ccc(Cl)cc2)cs1 ZINC000502693781 340110875 /nfs/dbraw/zinc/11/08/75/340110875.db2.gz WYHCNJAACRYHAJ-MRXNPFEDSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@H](NCCc1cc(F)cc(F)c1)c1cnn(C2CCCC2)c1 ZINC000502699036 340111056 /nfs/dbraw/zinc/11/10/56/340111056.db2.gz WUDRHMVWNPMTBG-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN([C@H](C)c3ccccc3)C2)oc1C ZINC000502697538 340111091 /nfs/dbraw/zinc/11/10/91/340111091.db2.gz ARSFRRQXTZCSFW-FRQCXROJSA-N 0 3 312.457 4.383 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccccc2)N2CCCC2)oc1C ZINC000502706770 340111526 /nfs/dbraw/zinc/11/15/26/340111526.db2.gz IYVDDFSJRYTHRC-LPHOPBHVSA-N 0 3 312.457 4.384 20 0 DIADHN COCC[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000502709936 340111730 /nfs/dbraw/zinc/11/17/30/340111730.db2.gz AOZRFUKFBPWHAQ-MELADBBJSA-N 0 3 310.507 4.296 20 0 DIADHN CCCN(CCc1csc(C)n1)[C@H](C)c1ccccc1OC ZINC000502714624 340111971 /nfs/dbraw/zinc/11/19/71/340111971.db2.gz DREQETZMPIRDPB-CQSZACIVSA-N 0 3 318.486 4.476 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1F)c1cnn(C2CCCC2)c1 ZINC000502723113 340112323 /nfs/dbraw/zinc/11/23/23/340112323.db2.gz BAIRQQXJHDTHQE-LSDHHAIUSA-N 0 3 315.436 4.419 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C3CCCC3)c2)cc1 ZINC000502729635 340112567 /nfs/dbraw/zinc/11/25/67/340112567.db2.gz YVQQYZGTBWVFRJ-HUUCEWRRSA-N 0 3 313.445 4.419 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000502867634 340115031 /nfs/dbraw/zinc/11/50/31/340115031.db2.gz YMDOILJQPPUZOX-FZMZJTMJSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1nc(CCN2CC[C@H](C)[C@H]2c2cccc(F)c2)cs1 ZINC000502914532 340116607 /nfs/dbraw/zinc/11/66/07/340116607.db2.gz PCRFSDJKSHUULK-SJCJKPOMSA-N 0 3 304.434 4.216 20 0 DIADHN CC(C)COC[C@H](NC[C@@H]1C[C@@H]1c1ccccc1)c1ccco1 ZINC000503008416 340118561 /nfs/dbraw/zinc/11/85/61/340118561.db2.gz CPLZGFLVTHKOGN-OTWHNJEPSA-N 0 3 313.441 4.387 20 0 DIADHN c1csc(-c2nc(CNC[C@H]3C[C@H]3c3ccccc3)co2)c1 ZINC000503013840 340118682 /nfs/dbraw/zinc/11/86/82/340118682.db2.gz NOLGQKKBAAUXFC-ZBFHGGJFSA-N 0 3 310.422 4.296 20 0 DIADHN CN(CCCOc1cccc2ccccc21)Cc1cccnc1 ZINC000503148372 340122035 /nfs/dbraw/zinc/12/20/35/340122035.db2.gz VJSVYYAPQJUSGY-UHFFFAOYSA-N 0 3 306.409 4.136 20 0 DIADHN CCCC[C@H](C)C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000503317392 340125207 /nfs/dbraw/zinc/12/52/07/340125207.db2.gz CZXWYYITFIDOOT-HOTGVXAUSA-N 0 3 304.478 4.058 20 0 DIADHN Cc1ccc(CN[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)c(C)n1 ZINC000503410772 340127747 /nfs/dbraw/zinc/12/77/47/340127747.db2.gz CKHQWSQPQHPBNW-XIKOKIGWSA-N 0 3 300.833 4.377 20 0 DIADHN CCS[C@@H]1CCC[C@H]1NCC(=O)Nc1ccccc1C(C)C ZINC000503523896 340129729 /nfs/dbraw/zinc/12/97/29/340129729.db2.gz SJQIFRMEDLGVNJ-IAGOWNOFSA-N 0 3 320.502 4.012 20 0 DIADHN CC[C@H]1C[C@H](CNC2(c3nc(C)cs3)CCCC2)CCO1 ZINC000503553099 340130307 /nfs/dbraw/zinc/13/03/07/340130307.db2.gz XMCLJWAPNVRKNC-CABCVRRESA-N 0 3 308.491 4.016 20 0 DIADHN Cc1cnccc1CCNCc1ccc(Oc2ccccc2)o1 ZINC000503557047 340130395 /nfs/dbraw/zinc/13/03/95/340130395.db2.gz HNNOAQXQTDVZJQ-UHFFFAOYSA-N 0 3 308.381 4.108 20 0 DIADHN CC[C@H](NCc1ccc(Oc2ccccc2)o1)[C@H]1CCCO1 ZINC000503562807 340130736 /nfs/dbraw/zinc/13/07/36/340130736.db2.gz NOXHIZWEBHOTFD-DLBZAZTESA-N 0 3 301.386 4.119 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1CCC(OC2CCCC2)CC1 ZINC000503563982 340130749 /nfs/dbraw/zinc/13/07/49/340130749.db2.gz XQROUPOWOINIRE-LPHOPBHVSA-N 0 3 309.494 4.005 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000503585710 340131620 /nfs/dbraw/zinc/13/16/20/340131620.db2.gz DYCGASDQYJTCNP-YJBOKZPZSA-N 0 3 315.413 4.365 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000503585707 340131705 /nfs/dbraw/zinc/13/17/05/340131705.db2.gz DYCGASDQYJTCNP-CRAIPNDOSA-N 0 3 315.413 4.365 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@@H](n2cccn2)C1 ZINC000503588095 340131886 /nfs/dbraw/zinc/13/18/86/340131886.db2.gz CSKOMVIMPAZDMA-XJKSGUPXSA-N 0 3 323.362 4.300 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCC1CCSCC1 ZINC000503592540 340131900 /nfs/dbraw/zinc/13/19/00/340131900.db2.gz NMRKMXOZNCBMSU-UHFFFAOYSA-N 0 3 303.427 4.305 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](C)C(=O)N(C(C)C)C(C)C)cc1 ZINC000503587621 340131909 /nfs/dbraw/zinc/13/19/09/340131909.db2.gz WTNSGIDEAXWIBR-CABCVRRESA-N 0 3 322.518 4.093 20 0 DIADHN C[C@@H](NCc1ccc(Oc2ccccc2)o1)[C@H]1CCCCO1 ZINC000503597217 340132165 /nfs/dbraw/zinc/13/21/65/340132165.db2.gz GFCRTNTVJOTQEX-RHSMWYFYSA-N 0 3 301.386 4.119 20 0 DIADHN C[C@H]1C[C@@H](N[C@@H]2CCC[C@H]2OC(F)F)c2ccsc2S1 ZINC000503855077 340135211 /nfs/dbraw/zinc/13/52/11/340135211.db2.gz JSTZEXHDOPPQDE-JTLRNRKASA-N 0 3 319.442 4.423 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN1CCOC[C@@H](C)C1 ZINC000503868344 340135374 /nfs/dbraw/zinc/13/53/74/340135374.db2.gz AWAVBNVNVYMFKJ-XXYUJHKVSA-N 0 3 313.363 4.077 20 0 DIADHN COC1CCN(C/C(C)=C\c2ccccc2C(F)(F)F)CC1 ZINC000503894120 340136050 /nfs/dbraw/zinc/13/60/50/340136050.db2.gz QTXKNLRDFGMCOD-QBFSEMIESA-N 0 3 313.363 4.220 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cccc(CO)c1)c1ccc(F)cc1 ZINC000503906056 340136338 /nfs/dbraw/zinc/13/63/38/340136338.db2.gz YGIDULHCQQDGEX-IFXJQAMLSA-N 0 3 301.405 4.366 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cccc(OC(F)F)c1 ZINC000503910575 340136509 /nfs/dbraw/zinc/13/65/09/340136509.db2.gz MPTZEKGXGMHJAV-OASPWFOLSA-N 0 3 321.314 4.099 20 0 DIADHN C[C@H](NCCc1cccc2[nH]ccc21)c1cccc(F)c1F ZINC000503914286 340136692 /nfs/dbraw/zinc/13/66/92/340136692.db2.gz VTZUQTVPCAVHGT-LBPRGKRZSA-N 0 3 300.352 4.339 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2)cc1F)[C@H]1CCCOC1 ZINC000503922796 340137022 /nfs/dbraw/zinc/13/70/22/340137022.db2.gz YVWSEAVJLVTNON-KXBFYZLASA-N 0 3 313.416 4.397 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN1CCCO[C@@H](C)C1 ZINC000503937263 340137565 /nfs/dbraw/zinc/13/75/65/340137565.db2.gz LXDXBNSYYRRWFW-UELRPHRMSA-N 0 3 313.363 4.220 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCC[C@@H]2CCCCO2)o1 ZINC000503944303 340138101 /nfs/dbraw/zinc/13/81/01/340138101.db2.gz JKDLIMDVSCZQEB-INIZCTEOSA-N 0 3 317.404 4.443 20 0 DIADHN CC[C@H](C)[C@H](C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000504068947 340140391 /nfs/dbraw/zinc/14/03/91/340140391.db2.gz FPFLDSHRCRXQED-HOTGVXAUSA-N 0 3 302.462 4.293 20 0 DIADHN CNC(=O)c1cccc(CN2CCC[C@H]2c2ccc(C)c(C)c2)c1 ZINC000504094492 340140796 /nfs/dbraw/zinc/14/07/96/340140796.db2.gz OFBPYLFTHRKXHF-FQEVSTJZSA-N 0 3 322.452 4.000 20 0 DIADHN CC[C@H](CC(=O)N(CCN(C)C)c1ccccc1)c1ccccc1 ZINC000504681130 340150979 /nfs/dbraw/zinc/15/09/79/340150979.db2.gz SXZJGSHXFIEUJB-GOSISDBHSA-N 0 3 324.468 4.165 20 0 DIADHN COc1cc([C@H](C)NCCO[C@H]2CCCC[C@H]2C)ccc1F ZINC000504893210 340154912 /nfs/dbraw/zinc/15/49/12/340154912.db2.gz CYCFPUJOFSTKAR-KEYYUXOJSA-N 0 3 309.425 4.080 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1ccc2c(c1)OCCO2 ZINC000504899199 340155147 /nfs/dbraw/zinc/15/51/47/340155147.db2.gz CDVPGSQRXXQWOB-WMLDXEAASA-N 0 3 309.409 4.104 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)Oc2ccccc2F)ccc1F ZINC000504899624 340155308 /nfs/dbraw/zinc/15/53/08/340155308.db2.gz JMPCUCBRLJZIMF-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)c(OC)c1 ZINC000504912107 340155742 /nfs/dbraw/zinc/15/57/42/340155742.db2.gz QIDCNOMEWLSMNZ-KDOFPFPSSA-N 0 3 311.425 4.350 20 0 DIADHN c1ccc2c(c1)C=C(CN1CCCCC[C@@H]1c1ccncc1)CO2 ZINC000505248520 340164899 /nfs/dbraw/zinc/16/48/99/340164899.db2.gz COEYPDPCKDNMTH-HXUWFJFHSA-N 0 3 320.436 4.475 20 0 DIADHN CCCN(CCC)Cc1cc(Br)c(OC)s1 ZINC000505347716 340166658 /nfs/dbraw/zinc/16/66/58/340166658.db2.gz CWDMRHJSUJEVOW-UHFFFAOYSA-N 0 3 306.269 4.141 20 0 DIADHN COc1cc(CN2CCCC2)ccc1OCc1ccc(F)cc1 ZINC000505352170 340166814 /nfs/dbraw/zinc/16/68/14/340166814.db2.gz WIAIXQJARMNWTM-UHFFFAOYSA-N 0 3 315.388 4.009 20 0 DIADHN COc1ccccc1CCCN[C@H](C)c1cccc(F)c1F ZINC000505464339 340169073 /nfs/dbraw/zinc/16/90/73/340169073.db2.gz KFQZDDPZHMPHDH-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN COc1ccccc1CCCN[C@@H](C)c1c(F)cccc1OC ZINC000505472327 340169107 /nfs/dbraw/zinc/16/91/07/340169107.db2.gz RWYQHGPEGKVCJY-AWEZNQCLSA-N 0 3 317.404 4.126 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CN1CCCCCC1 ZINC000505467083 340169201 /nfs/dbraw/zinc/16/92/01/340169201.db2.gz KGXLMMOVOOADDF-UHFFFAOYSA-N 0 3 301.409 4.004 20 0 DIADHN CN(Cc1ccc(OCC(F)F)cc1)Cc1cccc(F)c1 ZINC000505560000 340170975 /nfs/dbraw/zinc/17/09/75/340170975.db2.gz OOVXLBZQQAFRAZ-UHFFFAOYSA-N 0 3 309.331 4.102 20 0 DIADHN CN(Cc1ccc(OC(F)F)cc1)Cc1cccc2cc[nH]c21 ZINC000505563725 340171126 /nfs/dbraw/zinc/17/11/26/340171126.db2.gz IMXHXYIENWZNLA-UHFFFAOYSA-N 0 3 316.351 4.401 20 0 DIADHN CCOCc1cc(CN(C)Cc2ccc(C)cc2)ccc1OC ZINC000505601442 340172458 /nfs/dbraw/zinc/17/24/58/340172458.db2.gz FSMQKAOPDQWITO-UHFFFAOYSA-N 0 3 313.441 4.172 20 0 DIADHN C[C@@H](NC(=O)CCCC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC000505614785 340173107 /nfs/dbraw/zinc/17/31/07/340173107.db2.gz VQZFTIBECWSAKX-QAPCUYQASA-N 0 3 304.478 4.010 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1csc(-c2ccccn2)n1 ZINC000308720530 340174916 /nfs/dbraw/zinc/17/49/16/340174916.db2.gz CWNJFRACKBNCKK-CXAGYDPISA-N 0 3 301.459 4.264 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)[C@H](C)C2CC2)cc1O ZINC000505775722 340177441 /nfs/dbraw/zinc/17/74/41/340177441.db2.gz DZOOUNSUPBTHEZ-OAHLLOKOSA-N 0 3 311.425 4.202 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cnc(N(C)C)s1)Cc1ccccc1 ZINC000505775670 340177485 /nfs/dbraw/zinc/17/74/85/340177485.db2.gz CUMZIEWYDUXSON-AWEZNQCLSA-N 0 3 315.486 4.010 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1F)Cc1ccccc1 ZINC000505858475 340179924 /nfs/dbraw/zinc/17/99/24/340179924.db2.gz DULFANNRZLEPDT-UHFFFAOYSA-N 0 3 309.388 4.238 20 0 DIADHN FC(F)(F)CCCCN1CC[C@H](COc2ccccc2)C1 ZINC000505874320 340180506 /nfs/dbraw/zinc/18/05/06/340180506.db2.gz HQIPNPUHAYZULP-AWEZNQCLSA-N 0 3 301.352 4.120 20 0 DIADHN Cc1cnc(CN2CCC[C@H]2c2cccc3ccccc32)cn1 ZINC000505899030 340181138 /nfs/dbraw/zinc/18/11/38/340181138.db2.gz UEDGBFJILJPCED-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc(F)cc1CNCCc1nc2ccccc2s1 ZINC000505962263 340182389 /nfs/dbraw/zinc/18/23/89/340182389.db2.gz KJYMGIIXEJJGBK-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2ccccc2)cc1OC(F)F ZINC000506026234 340183581 /nfs/dbraw/zinc/18/35/81/340183581.db2.gz JXRVEJBYOPUWPW-CYBMUJFWSA-N 0 3 321.367 4.490 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1cccc(-c2ccccn2)c1 ZINC000506031913 340183693 /nfs/dbraw/zinc/18/36/93/340183693.db2.gz SJTOBVBLCAOLTQ-NRFANRHFSA-N 0 3 317.436 4.424 20 0 DIADHN CC(C)c1ccc(CN2CCC(n3cc(Cl)cn3)CC2)cc1 ZINC000506030366 340183748 /nfs/dbraw/zinc/18/37/48/340183748.db2.gz GVISDNSUTXOACA-UHFFFAOYSA-N 0 3 317.864 4.497 20 0 DIADHN CC[C@H](C)N(Cc1cnc2nc(C)cc(C)n12)Cc1ccccc1 ZINC000506113039 340185982 /nfs/dbraw/zinc/18/59/82/340185982.db2.gz LIJSUXGMYCHOQM-INIZCTEOSA-N 0 3 322.456 4.147 20 0 DIADHN Cc1nc2sccn2c1CN(C)[C@H]1CCCc2ccccc21 ZINC000506125188 340186244 /nfs/dbraw/zinc/18/62/44/340186244.db2.gz KUVDMRSMKFXZDJ-INIZCTEOSA-N 0 3 311.454 4.214 20 0 DIADHN CN(Cc1ccc(-c2c(F)cccc2F)o1)[C@H]1CCSC1 ZINC000506180444 340187879 /nfs/dbraw/zinc/18/78/79/340187879.db2.gz UPMUZSRJLOPLAX-NSHDSACASA-N 0 3 309.381 4.162 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000506195267 340188339 /nfs/dbraw/zinc/18/83/39/340188339.db2.gz SITILUTYMTWPHA-JKSUJKDBSA-N 0 3 321.848 4.066 20 0 DIADHN Fc1ccc(CNCCc2nc3ccccc3s2)c(Cl)c1 ZINC000506211589 340188805 /nfs/dbraw/zinc/18/88/05/340188805.db2.gz IFOYZPJRDQQXFX-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN COCCOc1cccc(CN(C)[C@@H](C)c2ccc(F)cc2)c1 ZINC000506259326 340190219 /nfs/dbraw/zinc/19/02/19/340190219.db2.gz PNOLPXQZYGBMCD-HNNXBMFYSA-N 0 3 317.404 4.044 20 0 DIADHN COc1cccc(C(NCCCCF)c2cccc(OC)c2)c1 ZINC000506262041 340190410 /nfs/dbraw/zinc/19/04/10/340190410.db2.gz LXZLDKUZUMFLBV-UHFFFAOYSA-N 0 3 317.404 4.133 20 0 DIADHN COCCOc1cccc(CN2CCC[C@@H]2c2ccccc2)c1 ZINC000506266468 340190471 /nfs/dbraw/zinc/19/04/71/340190471.db2.gz BZVBLADEYYHMBX-HXUWFJFHSA-N 0 3 311.425 4.049 20 0 DIADHN Oc1ccc(C2=CCN(C/C=C\c3ccc(F)cc3)CC2)cc1 ZINC000506275134 340190755 /nfs/dbraw/zinc/19/07/55/340190755.db2.gz ZRLJGQXTBQLARJ-UPHRSURJSA-N 0 3 309.384 4.334 20 0 DIADHN Oc1ccc(C2=CCN(C/C=C/c3ccc(F)cc3)CC2)cc1 ZINC000506275126 340190799 /nfs/dbraw/zinc/19/07/99/340190799.db2.gz ZRLJGQXTBQLARJ-OWOJBTEDSA-N 0 3 309.384 4.334 20 0 DIADHN C[C@H](CNCc1ccccc1C(F)(F)F)Oc1ccccc1 ZINC000506317076 340191960 /nfs/dbraw/zinc/19/19/60/340191960.db2.gz XHKSJZWTNWOOFS-CYBMUJFWSA-N 0 3 309.331 4.263 20 0 DIADHN C[C@H](CNCc1ccccc1Br)Oc1ccccc1 ZINC000506320134 340192062 /nfs/dbraw/zinc/19/20/62/340192062.db2.gz IFFRCJBAPBZGJK-CYBMUJFWSA-N 0 3 320.230 4.006 20 0 DIADHN Fc1ccc(/C=C/CN2CCN(CC3CCCCC3)CC2)cc1 ZINC000506371639 340193498 /nfs/dbraw/zinc/19/34/98/340193498.db2.gz VTXSWJRUIAOWIZ-QPJJXVBHSA-N 0 3 316.464 4.037 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)C[C@H]2CCCO2)o1 ZINC000506445228 340194756 /nfs/dbraw/zinc/19/47/56/340194756.db2.gz OQHDWIABNVJYQE-CQSZACIVSA-N 0 3 303.377 4.005 20 0 DIADHN CNC(=O)c1cccc(CN(C)[C@H](c2ccccc2C)C(C)C)c1 ZINC000506464724 340194994 /nfs/dbraw/zinc/19/49/94/340194994.db2.gz XPZLDIGRXVDQHH-FQEVSTJZSA-N 0 3 324.468 4.184 20 0 DIADHN CCc1nn(C)c(CC)c1CN([C@H](C)c1ccccc1)C1CC1 ZINC000506552782 340196689 /nfs/dbraw/zinc/19/66/89/340196689.db2.gz NZMYJAMFXCYIQO-OAHLLOKOSA-N 0 3 311.473 4.271 20 0 DIADHN Fc1ccccc1-c1ccc(CN(C[C@H]2CCOC2)C2CC2)o1 ZINC000506594811 340197289 /nfs/dbraw/zinc/19/72/89/340197289.db2.gz NUDXWBUBDPETFR-CQSZACIVSA-N 0 3 315.388 4.087 20 0 DIADHN COCc1cccc(CN2CCC[C@H]2c2cccc(OC)c2)c1 ZINC000506630315 340198023 /nfs/dbraw/zinc/19/80/23/340198023.db2.gz CMKOOFKJBXJLFH-FQEVSTJZSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@H]2Cc2ccccc2)s1 ZINC000506669341 340199095 /nfs/dbraw/zinc/19/90/95/340199095.db2.gz OXVUDRVQRFCTOC-INIZCTEOSA-N 0 3 300.471 4.474 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2c(c1)CCO2 ZINC000506687619 340199522 /nfs/dbraw/zinc/19/95/22/340199522.db2.gz DRQNDGJZPIPHQT-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN COc1cc2c(cc1OC)[C@H](c1ccccc1)N(CC1CC1)CC2 ZINC000506778936 340201723 /nfs/dbraw/zinc/20/17/23/340201723.db2.gz UKYCYUQTIGETRJ-NRFANRHFSA-N 0 3 323.436 4.061 20 0 DIADHN COc1ccc(CN(C)C/C(C)=C/c2ccccc2)cc1OC ZINC000506788128 340201769 /nfs/dbraw/zinc/20/17/69/340201769.db2.gz DGBMCRLRGXNSJR-FOWTUZBSSA-N 0 3 311.425 4.239 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@H]1c1ccc[nH]1 ZINC000506814085 340202342 /nfs/dbraw/zinc/20/23/42/340202342.db2.gz IFCDUQHWQYHIOJ-JDQHYLEWSA-N 0 3 310.441 4.402 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cc2)C(C)C)cc1OC ZINC000507005604 340205068 /nfs/dbraw/zinc/20/50/68/340205068.db2.gz BKPWIKQBSXMEMR-UHFFFAOYSA-N 0 3 317.404 4.254 20 0 DIADHN COc1cc(CN(Cc2cccs2)C(C)C)cc(OC)c1 ZINC000507023868 340205555 /nfs/dbraw/zinc/20/55/55/340205555.db2.gz WYPDVSTWUUYDCR-UHFFFAOYSA-N 0 3 305.443 4.176 20 0 DIADHN CCc1nocc1CN1CCCCC[C@@H]1c1ccc(OC)cc1 ZINC000507034877 340205912 /nfs/dbraw/zinc/20/59/12/340205912.db2.gz IUMBCGRYALDQCS-LJQANCHMSA-N 0 3 314.429 4.363 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccsc2C)cc1OC ZINC000507083602 340206953 /nfs/dbraw/zinc/20/69/53/340206953.db2.gz WFRQPHGYDAIQRX-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C3CCC3)cc2)ccc1O ZINC000507102742 340207492 /nfs/dbraw/zinc/20/74/92/340207492.db2.gz KUFIWAVAOBSKKN-UHFFFAOYSA-N 0 3 311.425 4.300 20 0 DIADHN Cc1ccc(O)c(CN2CCC[C@@H]2c2cccc(Cl)c2)n1 ZINC000507112364 340207700 /nfs/dbraw/zinc/20/77/00/340207700.db2.gz UYVJVFWHZJLYHS-MRXNPFEDSA-N 0 3 302.805 4.086 20 0 DIADHN CC(C)(C)n1cc(CN2CCC[C@H]2CCc2ccccc2)cn1 ZINC000507116007 340208051 /nfs/dbraw/zinc/20/80/51/340208051.db2.gz NLSPBYQDOKIZJZ-IBGZPJMESA-N 0 3 311.473 4.235 20 0 DIADHN Cc1ccc(O)c(CN2CCC[C@@H]2c2ccccc2Cl)n1 ZINC000507154713 340209716 /nfs/dbraw/zinc/20/97/16/340209716.db2.gz KTGFLWKJSQZQHX-MRXNPFEDSA-N 0 3 302.805 4.086 20 0 DIADHN CN(CCCc1ccccc1)Cc1cnc2ccc(Cl)cn12 ZINC000507154659 340209742 /nfs/dbraw/zinc/20/97/42/340209742.db2.gz JZBYWXAQGTVGSB-UHFFFAOYSA-N 0 3 313.832 4.052 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@@H]2c2ccccc2C)o1 ZINC000507154432 340209755 /nfs/dbraw/zinc/20/97/55/340209755.db2.gz JSHGRFJGPQDTGF-QGZVFWFLSA-N 0 3 313.397 4.102 20 0 DIADHN c1cnn(-c2cccc(CN3CCC[C@H]3c3ccsc3)c2)c1 ZINC000507155759 340210097 /nfs/dbraw/zinc/21/00/97/340210097.db2.gz PWLRUJZDUKOHPQ-SFHVURJKSA-N 0 3 309.438 4.271 20 0 DIADHN c1cc([C@H]2CCCN2Cc2cnc(-c3ccccc3)[nH]2)cs1 ZINC000507186979 340211829 /nfs/dbraw/zinc/21/18/29/340211829.db2.gz IAAYWEBYPMQJAG-QGZVFWFLSA-N 0 3 309.438 4.475 20 0 DIADHN c1cnn(-c2cccc(CN(Cc3ccco3)C3CCCC3)c2)c1 ZINC000507205162 340213218 /nfs/dbraw/zinc/21/32/18/340213218.db2.gz UVMAKQKGLVNAJP-UHFFFAOYSA-N 0 3 321.424 4.410 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1ccccc1Br ZINC000507209196 340213526 /nfs/dbraw/zinc/21/35/26/340213526.db2.gz IASONGGYUSRMJD-SECBINFHSA-N 0 3 322.168 4.442 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1ccccc1Br ZINC000507209200 340213543 /nfs/dbraw/zinc/21/35/43/340213543.db2.gz IASONGGYUSRMJD-VIFPVBQESA-N 0 3 322.168 4.442 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1cc(F)ccc1F ZINC000507225373 340214451 /nfs/dbraw/zinc/21/44/51/340214451.db2.gz COYDYWQHEVKIFQ-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1ccc(F)c(F)c1 ZINC000507232111 340214923 /nfs/dbraw/zinc/21/49/23/340214923.db2.gz RJRMGWGKKGMSJJ-WDEREUQCSA-N 0 3 301.340 4.253 20 0 DIADHN CCCCN(Cc1ccccc1OCc1ccccn1)C1CC1 ZINC000507243049 340215267 /nfs/dbraw/zinc/21/52/67/340215267.db2.gz JIRFWPNDTCHRCS-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN C[C@@H](NCC1(c2ccccn2)CCC1)c1ccc(F)cc1F ZINC000507247611 340215547 /nfs/dbraw/zinc/21/55/47/340215547.db2.gz PJIYNUPHYDITEQ-CYBMUJFWSA-N 0 3 302.368 4.132 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1cnccn1)c1ccc(Cl)cc1 ZINC000507267384 340216278 /nfs/dbraw/zinc/21/62/78/340216278.db2.gz LVPVGYONEIBNMH-CJNGLKHVSA-N 0 3 303.837 4.220 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1cnccn1)c1ccc(Cl)cc1 ZINC000507267383 340216305 /nfs/dbraw/zinc/21/63/05/340216305.db2.gz LVPVGYONEIBNMH-BBRMVZONSA-N 0 3 303.837 4.220 20 0 DIADHN Cc1ccc(O)c(CN(C)[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000507375039 340218264 /nfs/dbraw/zinc/21/82/64/340218264.db2.gz KYIJWKZWPUYUTR-GFCCVEGCSA-N 0 3 324.346 4.307 20 0 DIADHN CC(C)[C@@H](NC(=O)CNC(C)(C)c1ccccc1)c1ccccc1 ZINC000507381383 340218399 /nfs/dbraw/zinc/21/83/99/340218399.db2.gz SMXVBYFQFXPACX-HXUWFJFHSA-N 0 3 324.468 4.025 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccccc1F)c1ccco1 ZINC000507406285 340218623 /nfs/dbraw/zinc/21/86/23/340218623.db2.gz ROMIYVFGJHPOBD-RHSMWYFYSA-N 0 3 305.393 4.107 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1ccccc1F)c1ccco1 ZINC000507406288 340218675 /nfs/dbraw/zinc/21/86/75/340218675.db2.gz ROMIYVFGJHPOBD-WMLDXEAASA-N 0 3 305.393 4.107 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1cccc(C)c1)c1ccco1 ZINC000507421166 340218880 /nfs/dbraw/zinc/21/88/80/340218880.db2.gz NGZJPWQKAYFOPJ-AEFFLSMTSA-N 0 3 301.430 4.277 20 0 DIADHN COc1cccc(CN(Cc2cccc(OC)c2)CC2CC2)c1 ZINC000507439180 340219540 /nfs/dbraw/zinc/21/95/40/340219540.db2.gz FPRSTUXAAFDQAL-UHFFFAOYSA-N 0 3 311.425 4.116 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@H]1Oc2ccccc2[C@H]1C ZINC000507471117 340221317 /nfs/dbraw/zinc/22/13/17/340221317.db2.gz OGICHVAQSRRVNS-ODCFSMADSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000507473573 340221442 /nfs/dbraw/zinc/22/14/42/340221442.db2.gz VHVUJUWCJMMGOB-SQGPQFPESA-N 0 3 323.484 4.130 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)Oc1cccc(Cl)c1 ZINC000507472670 340221450 /nfs/dbraw/zinc/22/14/50/340221450.db2.gz RNXDPKYLOOFCEJ-ZIAGYGMSSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H]1SCCc2ccccc21 ZINC000507482815 340222102 /nfs/dbraw/zinc/22/21/02/340222102.db2.gz FUTLTIDDTSMLDC-RDTXWAMCSA-N 0 3 312.482 4.325 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC(C)(C)c1ccccc1F ZINC000507498128 340222834 /nfs/dbraw/zinc/22/28/34/340222834.db2.gz UGPPZSOOCFGSHB-CQSZACIVSA-N 0 3 300.421 4.412 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](CN1CCCC1)c1ccccc1 ZINC000507499788 340222945 /nfs/dbraw/zinc/22/29/45/340222945.db2.gz ZUIQZRHEKNQNGN-FXAWDEMLSA-N 0 3 323.484 4.132 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1ccc(SCC)cc1 ZINC000507506956 340223180 /nfs/dbraw/zinc/22/31/80/340223180.db2.gz GCIDRNQDDYOQGE-KKUMJFAQSA-N 0 3 322.518 4.143 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1ccc(SCC)cc1 ZINC000507506958 340223199 /nfs/dbraw/zinc/22/31/99/340223199.db2.gz GCIDRNQDDYOQGE-RRFJBIMHSA-N 0 3 322.518 4.143 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)C(=O)NC(C)(C)CC)cc1 ZINC000507512114 340223514 /nfs/dbraw/zinc/22/35/14/340223514.db2.gz SKFREDCHFJPPNN-UONOGXRCSA-N 0 3 322.518 4.143 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@@H](C)c1ccc(SCC)cc1 ZINC000507511046 340223554 /nfs/dbraw/zinc/22/35/54/340223554.db2.gz YHRKGPOZSASINX-LSDHHAIUSA-N 0 3 322.518 4.144 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)N[C@H](C)c1nnc2n1CCCCC2 ZINC000507514199 340223597 /nfs/dbraw/zinc/22/35/97/340223597.db2.gz BLFWVZPLRGKHMN-ARFHVFGLSA-N 0 3 306.498 4.116 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)C(=O)NCCC(C)C)cc1 ZINC000507513574 340223624 /nfs/dbraw/zinc/22/36/24/340223624.db2.gz WQRJNRFVWYVLKD-HUUCEWRRSA-N 0 3 322.518 4.000 20 0 DIADHN CCO[C@@H](CCN[C@H](C)c1ncccc1CC)C1CCCC1 ZINC000507515961 340223738 /nfs/dbraw/zinc/22/37/38/340223738.db2.gz WOCJNFVZASTLQR-QAPCUYQASA-N 0 3 304.478 4.280 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1nc(C(C)(C)C)cs1 ZINC000507517214 340223844 /nfs/dbraw/zinc/22/38/44/340223844.db2.gz YUUQFWLBUUGZQY-CYBMUJFWSA-N 0 3 317.502 4.291 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](C)c1ccc(F)cc1F ZINC000507519841 340223901 /nfs/dbraw/zinc/22/39/01/340223901.db2.gz KPSRNDDNMKWABQ-QWHCGFSZSA-N 0 3 304.384 4.377 20 0 DIADHN c1cn(CCc2ccccc2)c(CN[C@H]2CCCC[C@@H]2C2CC2)n1 ZINC000507520527 340224124 /nfs/dbraw/zinc/22/41/24/340224124.db2.gz RCZOBSVMSAFMMC-UXHICEINSA-N 0 3 323.484 4.184 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccc2ccccc2n1 ZINC000507521692 340224298 /nfs/dbraw/zinc/22/42/98/340224298.db2.gz ZYJMQUORPKYGBQ-HNNXBMFYSA-N 0 3 305.425 4.086 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCC[C@@H]1CCCCO1 ZINC000507605311 340225991 /nfs/dbraw/zinc/22/59/91/340225991.db2.gz JSPTWBFMOHUOFI-HIFRSBDPSA-N 0 3 311.853 4.349 20 0 DIADHN CN(CCC1CCCCC1)Cc1cc(F)cc2c1OCOC2 ZINC000507615560 340226322 /nfs/dbraw/zinc/22/63/22/340226322.db2.gz LBWMKHDAXFTPHN-UHFFFAOYSA-N 0 3 307.409 4.094 20 0 DIADHN CC[C@@H]1C[C@@H](CN(Cc2ccco2)Cc2ccccc2)CCO1 ZINC000507620398 340226436 /nfs/dbraw/zinc/22/64/36/340226436.db2.gz NCGBBGPMKGNDPX-RBUKOAKNSA-N 0 3 313.441 4.487 20 0 DIADHN CC[C@H]1C[C@@H](CN(Cc2ccco2)Cc2ccccc2)CCO1 ZINC000507620397 340226513 /nfs/dbraw/zinc/22/65/13/340226513.db2.gz NCGBBGPMKGNDPX-OALUTQOASA-N 0 3 313.441 4.487 20 0 DIADHN C[C@H](N[C@@H](COc1ccccc1F)C(C)(C)C)c1ccncc1 ZINC000507661441 340227523 /nfs/dbraw/zinc/22/75/23/340227523.db2.gz UKHUABURLHVZCG-KSSFIOAISA-N 0 3 316.420 4.365 20 0 DIADHN Clc1ccccc1OC1CCN(Cc2cccs2)CC1 ZINC000507667644 340227928 /nfs/dbraw/zinc/22/79/28/340227928.db2.gz WGPDEKNXAYYVRW-UHFFFAOYSA-N 0 3 307.846 4.445 20 0 DIADHN CC[C@@H](C)N(CC(=O)N1CCCC[C@@H]1CC)Cc1ccccc1 ZINC000507697830 340228619 /nfs/dbraw/zinc/22/86/19/340228619.db2.gz MBIOVURHVINEIL-MJGOQNOKSA-N 0 3 316.489 4.078 20 0 DIADHN CC1(NCc2csc(-c3ccccc3)n2)Cc2ccccc2C1 ZINC000507718448 340229545 /nfs/dbraw/zinc/22/95/45/340229545.db2.gz OGWQPBITGIYJOK-UHFFFAOYSA-N 0 3 320.461 4.457 20 0 DIADHN Cc1cccc(C2=CCN(Cc3cccc4c[nH]nc43)CC2)c1 ZINC000507718452 340229548 /nfs/dbraw/zinc/22/95/48/340229548.db2.gz ZWNGAMRWVXFXBO-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN CC1(C)CC[C@@H](NCc2csc(COc3ccccc3)n2)C1 ZINC000507730858 340229737 /nfs/dbraw/zinc/22/97/37/340229737.db2.gz GVAIWUPSNGDMCY-CQSZACIVSA-N 0 3 316.470 4.390 20 0 DIADHN CCOC[C@@H]1CCN(Cc2ccc(-c3ccccc3)cc2F)C1 ZINC000507768260 340230640 /nfs/dbraw/zinc/23/06/40/340230640.db2.gz AEQOCODJTHDEHN-MRXNPFEDSA-N 0 3 313.416 4.351 20 0 DIADHN C[C@@H]1CN(CCCSc2ccccc2Cl)CCS1 ZINC000507769465 340230716 /nfs/dbraw/zinc/23/07/16/340230716.db2.gz SFMVFVUSLZVUCF-GFCCVEGCSA-N 0 3 301.908 4.260 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN1CC[C@@]2(CCOC2)C1 ZINC000507776383 340230995 /nfs/dbraw/zinc/23/09/95/340230995.db2.gz NWEJGXVAGJDOKZ-HXUWFJFHSA-N 0 3 311.400 4.105 20 0 DIADHN COC1CCC(N(C)Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000507780428 340231185 /nfs/dbraw/zinc/23/11/85/340231185.db2.gz WJXYMICFOGJGMW-UHFFFAOYSA-N 0 3 315.413 4.461 20 0 DIADHN CN(CCC1CCOCC1)Cc1ccc(Oc2ccccc2)o1 ZINC000507786680 340231292 /nfs/dbraw/zinc/23/12/92/340231292.db2.gz ZHGTXMIZAPDMAC-UHFFFAOYSA-N 0 3 315.413 4.320 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1ccc2c(c1)CCCC2 ZINC000507788464 340231521 /nfs/dbraw/zinc/23/15/21/340231521.db2.gz BESXWYIUCFUVQN-INIZCTEOSA-N 0 3 322.452 4.245 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NCCc1ccc2ccccc2n1 ZINC000507796703 340231854 /nfs/dbraw/zinc/23/18/54/340231854.db2.gz XIZHQHHMFIPSDS-LJQANCHMSA-N 0 3 324.374 4.333 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@H]2COCC[C@@H]21 ZINC000507814605 340232870 /nfs/dbraw/zinc/23/28/70/340232870.db2.gz STGLOCHXAGELML-YJBOKZPZSA-N 0 3 313.397 4.073 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@@H]2/C=C\c2ccccc2)c1C ZINC000507881640 340235049 /nfs/dbraw/zinc/23/50/49/340235049.db2.gz HLPQTPNEMAEAHG-SYGIOELKSA-N 0 3 309.457 4.198 20 0 DIADHN Cc1cc(Cl)c(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000507961711 340236567 /nfs/dbraw/zinc/23/65/67/340236567.db2.gz MEROMTJTMDCWPF-LURJTMIESA-N 0 3 300.107 4.439 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1[C@H](C)CC[C@H]1C ZINC000507959692 340236578 /nfs/dbraw/zinc/23/65/78/340236578.db2.gz YXRZSOKXBXQZMG-MXWKQRLJSA-N 0 3 315.244 4.193 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000516609354 340240676 /nfs/dbraw/zinc/24/06/76/340240676.db2.gz IFTOKUKUNRDDGG-IBGZPJMESA-N 0 3 309.457 4.110 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CCC2(CCCC2)CC1 ZINC000508207141 340240705 /nfs/dbraw/zinc/24/07/05/340240705.db2.gz JQOLMHXEBCPJAG-UHFFFAOYSA-N 0 3 310.441 4.422 20 0 DIADHN CC(C)CC(CC(C)C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000508237069 340241172 /nfs/dbraw/zinc/24/11/72/340241172.db2.gz BEGBFKRYDAEQEZ-UHFFFAOYSA-N 0 3 319.493 4.331 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1ccccc1-c1ccnn1C ZINC000508265793 340241699 /nfs/dbraw/zinc/24/16/99/340241699.db2.gz SYIVFVDKPFFJPT-INIZCTEOSA-N 0 3 317.436 4.206 20 0 DIADHN CCCCC(=O)N(CCC)[C@H]1CCCN(Cc2ccccc2)C1 ZINC000508456741 340244096 /nfs/dbraw/zinc/24/40/96/340244096.db2.gz MRMQMPQAOGDPSC-IBGZPJMESA-N 0 3 316.489 4.080 20 0 DIADHN COCCN(Cc1ccc2ccccc2n1)[C@@H](C)c1ccccc1 ZINC000508531706 340245899 /nfs/dbraw/zinc/24/58/99/340245899.db2.gz OQGWHTZKDMMZAV-KRWDZBQOSA-N 0 3 320.436 4.444 20 0 DIADHN COc1cccc(CN(Cc2ccc(C)s2)C(C)C)c1OC ZINC000508563741 340246717 /nfs/dbraw/zinc/24/67/17/340246717.db2.gz MJCMDTMAWHRQAA-UHFFFAOYSA-N 0 3 319.470 4.484 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCc2ccsc2C1 ZINC000508600362 340247552 /nfs/dbraw/zinc/24/75/52/340247552.db2.gz QGAJVNLIDNJVDR-PTNGSMBKSA-N 0 3 313.466 4.356 20 0 DIADHN CC[C@@H]1CN(C/C(C)=C/c2ccccc2COC)CCS1 ZINC000508631399 340248915 /nfs/dbraw/zinc/24/89/15/340248915.db2.gz KMTMVXIMHMOLTE-HAZIXKIPSA-N 0 3 305.487 4.064 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CC[C@@H]1Cc1ccccc1 ZINC000508813146 340253539 /nfs/dbraw/zinc/25/35/39/340253539.db2.gz QBEAXMONWPRTQY-HXUWFJFHSA-N 0 3 318.420 4.082 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(C)cc2C)cc1OC ZINC000508821257 340253683 /nfs/dbraw/zinc/25/36/83/340253683.db2.gz DXWCOJQXRRUBNH-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN C[C@H](NCc1nc2cc(Br)ccc2o1)C1CCCC1 ZINC000508961338 340256287 /nfs/dbraw/zinc/25/62/87/340256287.db2.gz FRBSDLBNKWOYKP-JTQLQIEISA-N 0 3 323.234 4.259 20 0 DIADHN C[C@H](F)CCN(Cc1csc(Br)c1)C1CC1 ZINC000508981377 340256865 /nfs/dbraw/zinc/25/68/65/340256865.db2.gz ZUOHMBJJHVMCRR-VIFPVBQESA-N 0 3 306.244 4.223 20 0 DIADHN C[C@H](F)CCN[C@H](COc1ccccc1F)c1ccccc1 ZINC000508991600 340257282 /nfs/dbraw/zinc/25/72/82/340257282.db2.gz OBLVQTQLFAZCQA-WMLDXEAASA-N 0 3 305.368 4.284 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccc(C)cc2)cs1)[C@H]1CCCO1 ZINC000508993063 340257467 /nfs/dbraw/zinc/25/74/67/340257467.db2.gz WSAGURSUKJMQHY-NVXWUHKLSA-N 0 3 316.470 4.166 20 0 DIADHN Cc1ncsc1CCN1[C@@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000509005607 340258015 /nfs/dbraw/zinc/25/80/15/340258015.db2.gz DOYUPVADNMNKJD-AAEUAGOBSA-N 0 3 304.434 4.141 20 0 DIADHN Cc1ncsc1CCN1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000509008782 340258139 /nfs/dbraw/zinc/25/81/39/340258139.db2.gz QVJVTCJQFRNAQG-UHFFFAOYSA-N 0 3 312.482 4.400 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCOc2cccc(F)c2)cc1 ZINC000509028218 340258695 /nfs/dbraw/zinc/25/86/95/340258695.db2.gz AVZQVYYILFRUAF-SFHVURJKSA-N 0 3 303.352 4.181 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)cn1 ZINC000509036463 340259094 /nfs/dbraw/zinc/25/90/94/340259094.db2.gz YJWWXEKUCQCHEM-GOSISDBHSA-N 0 3 315.848 4.460 20 0 DIADHN COc1cccc([C@@H]2CCCN2CCOc2cccc(F)c2)c1 ZINC000509059209 340260001 /nfs/dbraw/zinc/26/00/01/340260001.db2.gz ZFZSPLIFJSOHEG-IBGZPJMESA-N 0 3 315.388 4.050 20 0 DIADHN FCCCCN1CCC[C@H]1c1cccc(Br)c1 ZINC000509277708 340265174 /nfs/dbraw/zinc/26/51/74/340265174.db2.gz CMVYJDDWOCJJFG-AWEZNQCLSA-N 0 3 300.215 4.336 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nc2cc(Br)ccc2o1 ZINC000509123840 340261818 /nfs/dbraw/zinc/26/18/18/340261818.db2.gz NPELEJRBZPIFAN-MFKMUULPSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)Cn3cccn3)s2)cc1 ZINC000509132617 340262002 /nfs/dbraw/zinc/26/20/02/340262002.db2.gz QJFDLSDLUBZPAS-OAHLLOKOSA-N 0 3 311.454 4.098 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1nc(-c2ccc(C)cc2)cs1 ZINC000509134416 340262092 /nfs/dbraw/zinc/26/20/92/340262092.db2.gz DOZZLDRFBYSFFQ-NVXWUHKLSA-N 0 3 316.470 4.166 20 0 DIADHN Cc1ncsc1CCN1CCC(c2c(F)cccc2F)CC1 ZINC000509135609 340262172 /nfs/dbraw/zinc/26/21/72/340262172.db2.gz HIPYXKWRZGLMEP-UHFFFAOYSA-N 0 3 322.424 4.152 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509294976 340265566 /nfs/dbraw/zinc/26/55/66/340265566.db2.gz SJXBECULKBGYKU-PHIMTYICSA-N 0 3 323.234 4.068 20 0 DIADHN c1ncn([C@H]2CCCN(Cc3ccc(C4CCCCC4)cc3)C2)n1 ZINC000509342972 340266698 /nfs/dbraw/zinc/26/66/98/340266698.db2.gz JLZZWPAJGVGSQC-FQEVSTJZSA-N 0 3 324.472 4.163 20 0 DIADHN Cc1noc([C@H]2CCCN(Cc3ccc(C(C)(C)C)s3)C2)n1 ZINC000509347971 340266891 /nfs/dbraw/zinc/26/68/91/340266891.db2.gz HZMLHJGQXQPVGL-ZDUSSCGKSA-N 0 3 319.474 4.117 20 0 DIADHN CC(C)[C@@H]1CCN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509387654 340268019 /nfs/dbraw/zinc/26/80/19/340268019.db2.gz NNNNPGMWXQWTPO-LLVKDONJSA-N 0 3 323.234 4.068 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(Cl)cc1 ZINC000509413631 340268727 /nfs/dbraw/zinc/26/87/27/340268727.db2.gz HVSRFFRXZIPRFQ-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCCOC(F)F ZINC000509412379 340268753 /nfs/dbraw/zinc/26/87/53/340268753.db2.gz AARAFNPXVJGLPT-UHFFFAOYSA-N 0 3 321.367 4.081 20 0 DIADHN CCC(CC)(CCO)NCc1cc(Cl)c(OC)cc1Cl ZINC000509412618 340268762 /nfs/dbraw/zinc/26/87/62/340268762.db2.gz ANWINIMIBXRTSM-UHFFFAOYSA-N 0 3 320.260 4.033 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1coc2ccccc12 ZINC000509419170 340268983 /nfs/dbraw/zinc/26/89/83/340268983.db2.gz VSGAETUGUURKQW-KRWDZBQOSA-N 0 3 311.356 4.358 20 0 DIADHN CCc1ccc(CN[C@@H]2CCc3cc(F)c(OC)cc32)s1 ZINC000509424062 340269090 /nfs/dbraw/zinc/26/90/90/340269090.db2.gz HLBAJHOXMZKBHS-MRXNPFEDSA-N 0 3 305.418 4.235 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3cc(F)c(OC)cc32)cc1 ZINC000509428592 340269218 /nfs/dbraw/zinc/26/92/18/340269218.db2.gz DUMOFGOMFNXEPF-GOSISDBHSA-N 0 3 315.388 4.010 20 0 DIADHN CC(C)c1ccc(CNC[C@@H](c2ccco2)N2CCCC2)cc1 ZINC000302222519 340270576 /nfs/dbraw/zinc/27/05/76/340270576.db2.gz OGGDBFXANLMVKX-IBGZPJMESA-N 0 3 312.457 4.330 20 0 DIADHN CCN(C/C=C\c1ccccc1OC)[C@@H](C)c1cccc(O)c1 ZINC000509537516 340272720 /nfs/dbraw/zinc/27/27/20/340272720.db2.gz CSDBHNKEWINTHD-CLOOOTJHSA-N 0 3 311.425 4.497 20 0 DIADHN CC[C@H]1CCCN(Cc2ccccc2OCc2cccnc2)C1 ZINC000509552640 340273245 /nfs/dbraw/zinc/27/32/45/340273245.db2.gz CGVWHEOTMIWLCG-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1cccc(OC)c1 ZINC000509587315 340274147 /nfs/dbraw/zinc/27/41/47/340274147.db2.gz VMRYVDZOHOTWTP-WJDWOHSUSA-N 0 3 311.425 4.035 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(OC)cc1 ZINC000509615469 340274794 /nfs/dbraw/zinc/27/47/94/340274794.db2.gz FZEJKYTVNSRNDY-VBKFSLOCSA-N 0 3 311.425 4.035 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(F)cc1C)c1ccsc1 ZINC000509623417 340274919 /nfs/dbraw/zinc/27/49/19/340274919.db2.gz YXEUAHVCVYFYJC-SFHVURJKSA-N 0 3 320.477 4.368 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccccc1OC ZINC000509657973 340276072 /nfs/dbraw/zinc/27/60/72/340276072.db2.gz LZRGOZMUWSGBNX-VBKFSLOCSA-N 0 3 311.425 4.035 20 0 DIADHN Cn1nccc1-c1ccccc1CNCc1cccc(Cl)c1 ZINC000509664876 340276177 /nfs/dbraw/zinc/27/61/77/340276177.db2.gz JBSAHDDFTGHFQO-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN COc1ccc2cc(CN3CCC[C@@H]3c3cc(C)no3)ccc2c1 ZINC000509767130 340278655 /nfs/dbraw/zinc/27/86/55/340278655.db2.gz RXSXPSNYNUVQPH-LJQANCHMSA-N 0 3 322.408 4.482 20 0 DIADHN Cc1ncsc1CCN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000509797515 340279355 /nfs/dbraw/zinc/27/93/55/340279355.db2.gz CODVKNSAQZIOCK-BLLLJJGKSA-N 0 3 316.470 4.375 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCCOc2ccccc2)cc1 ZINC000302737068 340280414 /nfs/dbraw/zinc/28/04/14/340280414.db2.gz FXSKYWVKADJXNS-FQEVSTJZSA-N 0 3 311.425 4.301 20 0 DIADHN CCOc1ccc(OCCN(CC)Cc2cccs2)cc1 ZINC000302743691 340280873 /nfs/dbraw/zinc/28/08/73/340280873.db2.gz UROWDCRMOGOOAC-UHFFFAOYSA-N 0 3 305.443 4.048 20 0 DIADHN COc1ccc(C(=O)CCN2CCC[C@@H]2c2cccs2)cc1 ZINC000509838690 340281218 /nfs/dbraw/zinc/28/12/18/340281218.db2.gz KANYMXVDNRUXGA-MRXNPFEDSA-N 0 3 315.438 4.167 20 0 DIADHN COCC[C@@H](NCc1nc(-c2ccccc2)cs1)C(C)(C)C ZINC000509963022 340284021 /nfs/dbraw/zinc/28/40/21/340284021.db2.gz ILZHNHQEONOMHY-MRXNPFEDSA-N 0 3 318.486 4.351 20 0 DIADHN CCc1sc(-c2nnc(CN[C@@H]3CCCC[C@H]3C)o2)cc1C ZINC000510030186 340285663 /nfs/dbraw/zinc/28/56/63/340285663.db2.gz PHAJALBRWHLCQI-DGCLKSJQSA-N 0 3 319.474 4.337 20 0 DIADHN Clc1ccc(CCCN2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000510070542 340287341 /nfs/dbraw/zinc/28/73/41/340287341.db2.gz HPYPRBMOUDYJJZ-KRWDZBQOSA-N 0 3 303.837 4.223 20 0 DIADHN CN(CCCc1cc(-c2ccccc2)n[nH]1)Cc1ccsc1 ZINC000510127752 340289901 /nfs/dbraw/zinc/28/99/01/340289901.db2.gz OTKZCEPKOBFFEM-UHFFFAOYSA-N 0 3 311.454 4.203 20 0 DIADHN COc1ccc(Cl)cc1CN1CC[C@@H](c2ccccc2)C1 ZINC000302960888 340290601 /nfs/dbraw/zinc/29/06/01/340290601.db2.gz GMEZNRMTYGXGGO-OAHLLOKOSA-N 0 3 301.817 4.338 20 0 DIADHN COc1ccc(Cl)cc1CN1CC[C@H](c2ccccc2)C1 ZINC000302960886 340290669 /nfs/dbraw/zinc/29/06/69/340290669.db2.gz GMEZNRMTYGXGGO-HNNXBMFYSA-N 0 3 301.817 4.338 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N2CC[C@H](c3ccccc3)C2)cc1 ZINC000302970633 340291510 /nfs/dbraw/zinc/29/15/10/340291510.db2.gz IJWXSPJETYZGNF-LPHOPBHVSA-N 0 3 322.452 4.066 20 0 DIADHN Cc1ccc(CN2CCC(Nc3ccc(F)cc3)CC2)s1 ZINC000510190386 340292001 /nfs/dbraw/zinc/29/20/01/340292001.db2.gz DOFWSQOXKKPXDB-UHFFFAOYSA-N 0 3 304.434 4.272 20 0 DIADHN COc1ccccc1[C@H]1CC[C@H](C)CN1Cc1ncccc1C ZINC000510247068 340294083 /nfs/dbraw/zinc/29/40/83/340294083.db2.gz ZJFJNCMTAIWQNW-HNAYVOBHSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1cncc(C)c1 ZINC000510267405 340294636 /nfs/dbraw/zinc/29/46/36/340294636.db2.gz LFIMOQYULXJLJU-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCc3ccc(F)cc32)cc1O ZINC000510377801 340297266 /nfs/dbraw/zinc/29/72/66/340297266.db2.gz LZSAEWTWLQIWEO-KRWDZBQOSA-N 0 3 315.388 4.049 20 0 DIADHN CCN(Cc1c(C)nc2ccccn21)[C@@H](C)c1ccc(F)cc1 ZINC000510393418 340297787 /nfs/dbraw/zinc/29/77/87/340297787.db2.gz GWCUYIYKUWFRKG-HNNXBMFYSA-N 0 3 311.404 4.365 20 0 DIADHN Clc1ccccc1CN1CC[C@H](n2ncc3ccccc32)C1 ZINC000510402126 340298100 /nfs/dbraw/zinc/29/81/00/340298100.db2.gz NLRQLSNOFLSDIX-INIZCTEOSA-N 0 3 311.816 4.137 20 0 DIADHN c1nn([C@@H]2CCN(Cc3cc4ccccc4o3)C2)c2ccccc12 ZINC000510405591 340298262 /nfs/dbraw/zinc/29/82/62/340298262.db2.gz DWAWTAQNKGZBCM-QGZVFWFLSA-N 0 3 317.392 4.230 20 0 DIADHN COc1ccc(CCCN(C)Cc2cnc(C(C)C)s2)cc1 ZINC000510415649 340298619 /nfs/dbraw/zinc/29/86/19/340298619.db2.gz RBGACBPZYQRBJS-UHFFFAOYSA-N 0 3 318.486 4.340 20 0 DIADHN COCCN(CCSc1ccc(F)cc1)Cc1ccccc1 ZINC000510458888 340300032 /nfs/dbraw/zinc/30/00/32/340300032.db2.gz RSOFZEZDDCWTTA-UHFFFAOYSA-N 0 3 319.445 4.066 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cnc(C(C)(C)C)s1 ZINC000510462598 340300210 /nfs/dbraw/zinc/30/02/10/340300210.db2.gz TUNLDFCSPQFIQN-UHFFFAOYSA-N 0 3 306.450 4.254 20 0 DIADHN Cc1ccc(CN(C(=O)[C@@H](C)N2CCCCCC2)C(C)C)s1 ZINC000510498637 340301185 /nfs/dbraw/zinc/30/11/85/340301185.db2.gz CZOYMNRHUNMSBD-MRXNPFEDSA-N 0 3 322.518 4.058 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC[C@H](c3ncc[nH]3)C2)s1 ZINC000510533161 340301977 /nfs/dbraw/zinc/30/19/77/340301977.db2.gz JCOXDFZDVIIGSH-ZDUSSCGKSA-N 0 3 303.475 4.148 20 0 DIADHN CCCC1(C(=O)N[C@H](C)[C@@H](c2ccccc2C)N(C)C)CCC1 ZINC000510545676 340302326 /nfs/dbraw/zinc/30/23/26/340302326.db2.gz LQNKHYOFKSOTPF-AEFFLSMTSA-N 0 3 316.489 4.073 20 0 DIADHN CCC1CCN(Cc2cccc(OCc3ccccn3)c2)CC1 ZINC000510625353 340304236 /nfs/dbraw/zinc/30/42/36/340304236.db2.gz UEKXQNWOMGDTGK-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN OCCN(Cc1ccc(Cl)cc1)[C@H]1CCCc2ccccc21 ZINC000510638282 340304574 /nfs/dbraw/zinc/30/45/74/340304574.db2.gz LVWQQBAUSDFPTM-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN Cc1cc2cc(CN3CCC[C@H](c4ccnn4C)C3)oc2cc1C ZINC000510642984 340304643 /nfs/dbraw/zinc/30/46/43/340304643.db2.gz FAXUILFFPZHJFP-INIZCTEOSA-N 0 3 323.440 4.163 20 0 DIADHN Cc1cc2cc(CN3CCC[C@@H](c4ccnn4C)C3)oc2cc1C ZINC000510642985 340304692 /nfs/dbraw/zinc/30/46/92/340304692.db2.gz FAXUILFFPZHJFP-MRXNPFEDSA-N 0 3 323.440 4.163 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1c(F)cccc1OC(F)F ZINC000510652939 340305108 /nfs/dbraw/zinc/30/51/08/340305108.db2.gz QQJIZUUYDWUNIJ-SMDDNHRTSA-N 0 3 319.342 4.435 20 0 DIADHN Cn1nccc1[C@@H]1CCCN(Cc2ccc(-c3ccccc3)o2)C1 ZINC000510664905 340305574 /nfs/dbraw/zinc/30/55/74/340305574.db2.gz QKAOLMLDIIVGOF-QGZVFWFLSA-N 0 3 321.424 4.060 20 0 DIADHN Cc1cccc([C@H](NCC(C)(C)CCO)c2cccc(F)c2)c1 ZINC000510669809 340305746 /nfs/dbraw/zinc/30/57/46/340305746.db2.gz WLEXATTZWTWNIF-IBGZPJMESA-N 0 3 315.432 4.222 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](C)CCc2c(F)cccc2F)cs1 ZINC000510667321 340305801 /nfs/dbraw/zinc/30/58/01/340305801.db2.gz QXGGASDAYQXZFM-GHMZBOCLSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1cccc([C@H](NCCCn2cccn2)c2cccc(F)c2)c1 ZINC000510677686 340306244 /nfs/dbraw/zinc/30/62/44/340306244.db2.gz YTTRJRAHULTUBB-FQEVSTJZSA-N 0 3 323.415 4.100 20 0 DIADHN C[C@@H](CCc1c(F)cccc1F)NCc1ccc(Cl)cn1 ZINC000510694753 340307090 /nfs/dbraw/zinc/30/70/90/340307090.db2.gz KGIYXPOKITXMRB-NSHDSACASA-N 0 3 310.775 4.124 20 0 DIADHN Cc1cccc([C@H](NCCc2ccccn2)c2cccc(F)c2)c1 ZINC000510733906 340308575 /nfs/dbraw/zinc/30/85/75/340308575.db2.gz KMTMPVBRLDPHCE-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN CN(C)Cc1ccc(C(=O)N[C@H]2CCCC[C@H]2C(C)(C)C)cc1 ZINC000510734852 340308583 /nfs/dbraw/zinc/30/85/83/340308583.db2.gz KJYVPZMULGFUBS-MSOLQXFVSA-N 0 3 316.489 4.083 20 0 DIADHN c1cc(CN2CCSCC2)cc(N[C@H]2CC[C@@H]2C2CCC2)c1 ZINC000510739809 340309124 /nfs/dbraw/zinc/30/91/24/340309124.db2.gz YHFBBZAGTDVQPO-MOPGFXCFSA-N 0 3 316.514 4.226 20 0 DIADHN Cc1cccc([C@H](NCCc2cccnc2)c2cccc(F)c2)c1 ZINC000510749180 340309463 /nfs/dbraw/zinc/30/94/63/340309463.db2.gz HGLBJMNMGPTNOI-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nc2cc(Br)ccc2o1 ZINC000510797287 340311422 /nfs/dbraw/zinc/31/14/22/340311422.db2.gz OOGKTLDNDCWJBZ-ZYHUDNBSSA-N 0 3 323.234 4.259 20 0 DIADHN FCCCN1CCC[C@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000510806508 340311733 /nfs/dbraw/zinc/31/17/33/340311733.db2.gz LEWQQVDVTXDUKW-OAHLLOKOSA-N 0 3 323.456 4.122 20 0 DIADHN CC[C@@H](C)c1ccc([C@H](NCC(=O)N2CCCC2)C(C)C)cc1 ZINC000510815873 340311789 /nfs/dbraw/zinc/31/17/89/340311789.db2.gz RVLXRWNHYAWAEF-OXQOHEQNSA-N 0 3 316.489 4.109 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCc2ccc(C)cc21 ZINC000510937560 340315657 /nfs/dbraw/zinc/31/56/57/340315657.db2.gz ZBLBJOYUTJCRQB-MOPGFXCFSA-N 0 3 311.400 4.407 20 0 DIADHN COc1c2ccccc2oc1CN[C@H]1CCC[C@@H]1CCCF ZINC000510961545 340316323 /nfs/dbraw/zinc/31/63/23/340316323.db2.gz MIQGGBIWTGGYON-HIFRSBDPSA-N 0 3 305.393 4.449 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCc2cc(C)ccc21 ZINC000510961420 340316330 /nfs/dbraw/zinc/31/63/30/340316330.db2.gz LRUPNHHKENYJAR-MOPGFXCFSA-N 0 3 311.400 4.407 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H](C)c1cccc(F)c1 ZINC000510977780 340316849 /nfs/dbraw/zinc/31/68/49/340316849.db2.gz JNJYPMNRCSFVCP-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1cccc([C@@H](NCC[C@H]2CCOC2)c2cccc(F)c2)c1 ZINC000511018813 340318460 /nfs/dbraw/zinc/31/84/60/340318460.db2.gz UMLQXWUVVWTKSR-OXJNMPFZSA-N 0 3 313.416 4.240 20 0 DIADHN COCC[C@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)C(C)(C)C ZINC000511035755 340318939 /nfs/dbraw/zinc/31/89/39/340318939.db2.gz TWLKHTMZNOOOIB-UWVGGRQHSA-N 0 3 324.412 4.264 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCc2c1cccc2F ZINC000511053908 340319685 /nfs/dbraw/zinc/31/96/85/340319685.db2.gz HNLLLNUNIYMRGU-QZTJIDSGSA-N 0 3 315.363 4.238 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC([C@H](F)c3ccccc3F)CC2)O1 ZINC000511073514 340320120 /nfs/dbraw/zinc/32/01/20/340320120.db2.gz KYNHBRCDVDLYMZ-SIIHOXLZSA-N 0 3 309.400 4.116 20 0 DIADHN CC(C)c1ccc([C@H](C)N2CC=C(c3ccnn3C)CC2)cc1 ZINC000511092539 340320336 /nfs/dbraw/zinc/32/03/36/340320336.db2.gz ARIRNLYNDCGVSS-INIZCTEOSA-N 0 3 309.457 4.394 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(C[C@H]2CCCCO2)CC1 ZINC000511094163 340320539 /nfs/dbraw/zinc/32/05/39/340320539.db2.gz XNKZHMWNVNUIIV-QAPCUYQASA-N 0 3 309.400 4.117 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N1CCC[C@@H]1CN1CCCCC1 ZINC000511131738 340321881 /nfs/dbraw/zinc/32/18/81/340321881.db2.gz RYYQNSZRLJNLQE-PKOBYXMFSA-N 0 3 320.521 4.070 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(CCc2ccncc2)CC1 ZINC000511139170 340322107 /nfs/dbraw/zinc/32/21/07/340322107.db2.gz HEAFOUTVYVTYRY-IBGZPJMESA-N 0 3 316.395 4.186 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1 ZINC000511151481 340322555 /nfs/dbraw/zinc/32/25/55/340322555.db2.gz OBGBEKSXAKKTMY-ULQDDVLXSA-N 0 3 316.489 4.030 20 0 DIADHN CC(C)Sc1ccc(CN2CC[C@]3(O)CCCC[C@H]3C2)cc1 ZINC000511160910 340322826 /nfs/dbraw/zinc/32/28/26/340322826.db2.gz LARJIRJCGJLYIZ-PKOBYXMFSA-N 0 3 319.514 4.314 20 0 DIADHN CSc1ccccc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000511182784 340323469 /nfs/dbraw/zinc/32/34/69/340323469.db2.gz JMPZDWHUYNNOMM-PKOBYXMFSA-N 0 3 313.466 4.276 20 0 DIADHN CSc1ccccc1CN[C@H](C)c1cccc(-n2cccn2)c1 ZINC000511191100 340323543 /nfs/dbraw/zinc/32/35/43/340323543.db2.gz YYLMBOKWRQOWHY-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@H](CC(=O)Nc1cccc(CN(C)C)c1)C1CCCCC1 ZINC000511192507 340323704 /nfs/dbraw/zinc/32/37/04/340323704.db2.gz YNFFIRGKGWUUGG-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN CC[C@H](C)CCC(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000511194362 340323770 /nfs/dbraw/zinc/32/37/70/340323770.db2.gz XTYHLGXHEXKKDR-HOTGVXAUSA-N 0 3 304.478 4.058 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](C)c1ccc(F)cc1Cl ZINC000511222898 340324130 /nfs/dbraw/zinc/32/41/30/340324130.db2.gz PTVOOMNEEOMOLJ-VXGBXAGGSA-N 0 3 307.796 4.467 20 0 DIADHN CC[C@@H](N[C@@H](C)Cc1ccc(O)cc1)c1cc(F)ccc1F ZINC000511235929 340324288 /nfs/dbraw/zinc/32/42/88/340324288.db2.gz PZPHSPAULHYYPN-KPZWWZAWSA-N 0 3 305.368 4.342 20 0 DIADHN C[C@H](C(=O)N(C)Cc1ccc2ccccc2c1)N1[C@H](C)CC[C@H]1C ZINC000511356066 340325810 /nfs/dbraw/zinc/32/58/10/340325810.db2.gz UVVXAMYMPZRWSF-BRWVUGGUSA-N 0 3 324.468 4.060 20 0 DIADHN c1nn([C@H]2CCN(c3ccnc4ccccc43)C2)c2ccccc12 ZINC000511363921 340325974 /nfs/dbraw/zinc/32/59/74/340325974.db2.gz WGTFLKVBHMQECU-INIZCTEOSA-N 0 3 314.392 4.036 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1ccc(Sc2ccccn2)o1 ZINC000511382303 340326213 /nfs/dbraw/zinc/32/62/13/340326213.db2.gz WUMNPZLJASRGOH-CYBMUJFWSA-N 0 3 320.458 4.119 20 0 DIADHN CC[C@@H]1CCCN1Cc1cn(C)nc1-c1ccccc1Cl ZINC000511475507 340327954 /nfs/dbraw/zinc/32/79/54/340327954.db2.gz UMKGVLUTCKFQHZ-CQSZACIVSA-N 0 3 303.837 4.115 20 0 DIADHN C[C@]1(CNCc2ccc(Sc3ccccn3)o2)CCCS1 ZINC000511593314 340331812 /nfs/dbraw/zinc/33/18/12/340331812.db2.gz LIRVOGSKLJGBML-MRXNPFEDSA-N 0 3 320.483 4.201 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000303546082 340332512 /nfs/dbraw/zinc/33/25/12/340332512.db2.gz KXGCJHUBUBROPU-APWZRJJASA-N 0 3 316.489 4.012 20 0 DIADHN CN(C[C@@H]1C[C@@H]1c1ccccc1)C[C@@H]1OCCc2ccccc21 ZINC000511627985 340333031 /nfs/dbraw/zinc/33/30/31/340333031.db2.gz OHIAKQHUVFOZGP-TYPHKJRUSA-N 0 3 307.437 4.036 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NC/C=C\c1ccc(F)c(F)c1 ZINC000511754408 340337318 /nfs/dbraw/zinc/33/73/18/340337318.db2.gz JLBLQIVGGPBJPK-DDKKJVIOSA-N 0 3 315.363 4.351 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2ccc(CC)nc2)cc1 ZINC000511788555 340338416 /nfs/dbraw/zinc/33/84/16/340338416.db2.gz CYQHBMZMYUYXAS-UHFFFAOYSA-N 0 3 312.457 4.458 20 0 DIADHN CCCCc1ccc(NC(=O)c2ccc(CN(C)C)cc2)cc1 ZINC000511810073 340338835 /nfs/dbraw/zinc/33/88/35/340338835.db2.gz NHGWSHMJBOVKAK-UHFFFAOYSA-N 0 3 310.441 4.343 20 0 DIADHN CC(C)n1ccc(CN2C[C@H](c3ccccc3)[C@H]3CCC[C@H]32)n1 ZINC000511838490 340339823 /nfs/dbraw/zinc/33/98/23/340339823.db2.gz OSBADHUIMGFTMH-VAMGGRTRSA-N 0 3 309.457 4.232 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](c1cccnc1)C1CC1 ZINC000511841672 340339911 /nfs/dbraw/zinc/33/99/11/340339911.db2.gz FBZXKXZYYWCIEY-JQFUVSSDSA-N 0 3 322.452 4.372 20 0 DIADHN FC1(F)CCC(NCc2ccc(Sc3ccccn3)o2)CC1 ZINC000511854792 340340529 /nfs/dbraw/zinc/34/05/29/340340529.db2.gz JTMUOXWBWWZZSJ-UHFFFAOYSA-N 0 3 324.396 4.493 20 0 DIADHN c1cn2cc(CN3C[C@@H](c4ccccc4)[C@H]4CCC[C@H]43)nc2s1 ZINC000511875349 340341277 /nfs/dbraw/zinc/34/12/77/340341277.db2.gz RXTHCRNFTGKIDA-FGTMMUONSA-N 0 3 323.465 4.164 20 0 DIADHN CCN(Cc1nc(C)ccc1O)[C@@H](C)c1cc2ccccc2o1 ZINC000511911174 340342638 /nfs/dbraw/zinc/34/26/38/340342638.db2.gz ZDGQKDVIRYGFMJ-AWEZNQCLSA-N 0 3 310.397 4.425 20 0 DIADHN CCN(Cc1nc(C)ccc1O)[C@H](C)c1cc2ccccc2o1 ZINC000511911175 340342653 /nfs/dbraw/zinc/34/26/53/340342653.db2.gz ZDGQKDVIRYGFMJ-CQSZACIVSA-N 0 3 310.397 4.425 20 0 DIADHN COC1(CN[C@@H](C)c2cc(C)c(Br)s2)CCC1 ZINC000511925078 340342870 /nfs/dbraw/zinc/34/28/70/340342870.db2.gz CJQNPHRIFOPLER-JTQLQIEISA-N 0 3 318.280 4.039 20 0 DIADHN CCSc1cc(CNC/C=C/c2ccc(F)c(F)c2)ccn1 ZINC000511938927 340343398 /nfs/dbraw/zinc/34/33/98/340343398.db2.gz BVNDUHZBWVGONZ-ONEGZZNKSA-N 0 3 320.408 4.275 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1CC[C@H](C)C1 ZINC000511974488 340344234 /nfs/dbraw/zinc/34/42/34/340344234.db2.gz UZBZFKNCYDRRKY-ZDUSSCGKSA-N 0 3 317.864 4.043 20 0 DIADHN CC[C@@H](C)[C@H](NCc1c(C)cnn1C)c1ccc(Cl)cc1 ZINC000512005351 340345127 /nfs/dbraw/zinc/34/51/27/340345127.db2.gz GYLZXJXBWBXBOB-PXAZEXFGSA-N 0 3 305.853 4.259 20 0 DIADHN CC[C@H](C)[C@@H](NCc1c(C)cnn1C)c1ccc(Cl)cc1 ZINC000512005355 340345179 /nfs/dbraw/zinc/34/51/79/340345179.db2.gz GYLZXJXBWBXBOB-YVEFUNNKSA-N 0 3 305.853 4.259 20 0 DIADHN FC(F)(F)c1ccc(-n2cc(CN[C@H]3C=CCCC3)cn2)cc1 ZINC000512010228 340345306 /nfs/dbraw/zinc/34/53/06/340345306.db2.gz VKWUAVKFDQIYPK-HNNXBMFYSA-N 0 3 321.346 4.089 20 0 DIADHN COc1ccc(C2(NC/C=C/c3ccc(F)c(F)c3)CC2)cc1 ZINC000512018126 340345541 /nfs/dbraw/zinc/34/55/41/340345541.db2.gz YAXAYJSCZPKTAQ-NSCUHMNNSA-N 0 3 315.363 4.266 20 0 DIADHN CN(C)c1ncc(CN(Cc2ccccc2)CC2CCC2)s1 ZINC000512046273 340346625 /nfs/dbraw/zinc/34/66/25/340346625.db2.gz SDCPYWNSVSWHKF-UHFFFAOYSA-N 0 3 315.486 4.011 20 0 DIADHN CCc1ccc(CN[C@H](CC)CSc2ccccc2)cn1 ZINC000512047295 340346728 /nfs/dbraw/zinc/34/67/28/340346728.db2.gz YZRGNNKJWUKUMG-QGZVFWFLSA-N 0 3 300.471 4.305 20 0 DIADHN CCOc1ccc(Cl)cc1CCNCc1ccc(CC)nc1 ZINC000512057408 340347145 /nfs/dbraw/zinc/34/71/45/340347145.db2.gz KRQRVPVZWWGZES-UHFFFAOYSA-N 0 3 318.848 4.028 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H](c2cccnc2)C2CC2)cc1F ZINC000512068420 340347464 /nfs/dbraw/zinc/34/74/64/340347464.db2.gz RKFFVVXKIUEZCS-ZDBPOJEVSA-N 0 3 300.352 4.114 20 0 DIADHN CCc1ccc(CNCc2ccc(OC3CCCC3)cc2)cn1 ZINC000512081566 340347944 /nfs/dbraw/zinc/34/79/44/340347944.db2.gz CLCRROHHVVIMQQ-UHFFFAOYSA-N 0 3 310.441 4.255 20 0 DIADHN C[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc2c(c1)OCO2 ZINC000512083957 340348031 /nfs/dbraw/zinc/34/80/31/340348031.db2.gz MZUOJIDBEKEPNH-ZZKXABKFSA-N 0 3 317.335 4.058 20 0 DIADHN CCOCc1ccccc1CNC/C=C/c1ccc(F)c(F)c1 ZINC000512115238 340348913 /nfs/dbraw/zinc/34/89/13/340348913.db2.gz OUWNDICHQUJYNK-AATRIKPKSA-N 0 3 317.379 4.304 20 0 DIADHN CCOCc1ccccc1CNC/C=C\c1ccc(F)c(F)c1 ZINC000512115239 340348921 /nfs/dbraw/zinc/34/89/21/340348921.db2.gz OUWNDICHQUJYNK-WAYWQWQTSA-N 0 3 317.379 4.304 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000512134878 340349509 /nfs/dbraw/zinc/34/95/09/340349509.db2.gz ZMFTWHQGOWWNPM-LPHOPBHVSA-N 0 3 320.521 4.140 20 0 DIADHN Cc1c(Cl)c(CN[C@@H]2CSC[C@H]2C)nc2ccccc12 ZINC000512165844 340350522 /nfs/dbraw/zinc/35/05/22/340350522.db2.gz IAKFQIQCORXPCW-MEBBXXQBSA-N 0 3 306.862 4.038 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CCC[C@@]3(CC=CCC3)C2)c1 ZINC000512190730 340351617 /nfs/dbraw/zinc/35/16/17/340351617.db2.gz AMJXRLLMXISHPM-PXNSSMCTSA-N 0 3 312.457 4.144 20 0 DIADHN C[C@@H]1CC[C@H](c2ccccc2)N(CCOCC(F)(F)F)C1 ZINC000512199532 340352051 /nfs/dbraw/zinc/35/20/51/340352051.db2.gz XMPUWMCHUGBSHD-UKRRQHHQSA-N 0 3 301.352 4.039 20 0 DIADHN COc1ccc(CNCC[C@H]2CCCC[C@@H]2C)c(OC)c1OC ZINC000512224085 340352994 /nfs/dbraw/zinc/35/29/94/340352994.db2.gz HDUCPSLAGXUZSX-LSDHHAIUSA-N 0 3 321.461 4.018 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)[C@@H](C)c1ccccn1 ZINC000512261149 340354441 /nfs/dbraw/zinc/35/44/41/340354441.db2.gz PMXSZBCWRYJUOF-NOCRFKNCSA-N 0 3 310.441 4.324 20 0 DIADHN O[C@]1(C(F)(F)F)CCCN(Cc2ccc3ccccc3c2)CC1 ZINC000512268870 340354830 /nfs/dbraw/zinc/35/48/30/340354830.db2.gz JVHZCJYMJWLVJX-QGZVFWFLSA-N 0 3 323.358 4.119 20 0 DIADHN CCC(C)(C)N(C)Cc1csc(-c2cccc(OC)c2)n1 ZINC000512272914 340354904 /nfs/dbraw/zinc/35/49/04/340354904.db2.gz WEPXVKKURKQISV-UHFFFAOYSA-N 0 3 304.459 4.439 20 0 DIADHN C[C@@H]1CCN(Cc2conc2Cc2ccccc2)CC12CCC2 ZINC000512298144 340356066 /nfs/dbraw/zinc/35/60/66/340356066.db2.gz DABAGTLGSUJRJG-MRXNPFEDSA-N 0 3 310.441 4.278 20 0 DIADHN CN(Cc1ncc(-c2cccs2)o1)[C@@H]1CCc2ccccc2C1 ZINC000512313526 340356588 /nfs/dbraw/zinc/35/65/88/340356588.db2.gz JZVHPTPCZIEMCT-MRXNPFEDSA-N 0 3 324.449 4.392 20 0 DIADHN CN(Cc1nc2ccccc2s1)[C@H]1CCc2ccccc2C1 ZINC000512318078 340356862 /nfs/dbraw/zinc/35/68/62/340356862.db2.gz JWBDTBBLWFVMIF-INIZCTEOSA-N 0 3 308.450 4.286 20 0 DIADHN COc1ccc([C@@H](NCC2CCC2)c2ccccc2OC)cc1 ZINC000512333432 340357629 /nfs/dbraw/zinc/35/76/29/340357629.db2.gz ZQLXQVKVZWDFBO-HXUWFJFHSA-N 0 3 311.425 4.183 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1ccc(Br)cn1 ZINC000512346930 340358361 /nfs/dbraw/zinc/35/83/61/340358361.db2.gz PRKYWKPEHOWXGE-AWEZNQCLSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3conc3Cc3ccccc3)C2)C1 ZINC000512355240 340358722 /nfs/dbraw/zinc/35/87/22/340358722.db2.gz UDJQPAWGAWZKKU-OXQOHEQNSA-N 0 3 310.441 4.278 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000512390097 340360184 /nfs/dbraw/zinc/36/01/84/340360184.db2.gz YQHSQZZXUNJUNG-GOSISDBHSA-N 0 3 311.473 4.332 20 0 DIADHN CC1(C)C[C@@H](NCc2c(Cl)ccc3cccnc32)C(C)(C)O1 ZINC000512392700 340360315 /nfs/dbraw/zinc/36/03/15/340360315.db2.gz XJOBXLCFVRRQQN-OAHLLOKOSA-N 0 3 318.848 4.324 20 0 DIADHN CCN(CCC(=O)c1ccc(F)cc1)[C@H](C)c1cccc(O)c1 ZINC000512415265 340361194 /nfs/dbraw/zinc/36/11/94/340361194.db2.gz LAYSFXVULDJEBT-CQSZACIVSA-N 0 3 315.388 4.187 20 0 DIADHN COCCCCCNCc1ccc(-c2ccccc2)cc1OC ZINC000512425907 340361583 /nfs/dbraw/zinc/36/15/83/340361583.db2.gz DQXWHAIDHFAEJH-UHFFFAOYSA-N 0 3 313.441 4.269 20 0 DIADHN COCCC[C@H](CNCc1ccco1)c1ccc(Cl)cc1 ZINC000512532743 340365843 /nfs/dbraw/zinc/36/58/43/340365843.db2.gz URVKAJZASAPILV-OAHLLOKOSA-N 0 3 307.821 4.233 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC1Cc2ccccc2C1 ZINC000512542425 340366208 /nfs/dbraw/zinc/36/62/08/340366208.db2.gz OZQKNWYZUOGSFW-UHFFFAOYSA-N 0 3 322.433 4.083 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(OC2CCCCC2)CC1 ZINC000512574845 340367589 /nfs/dbraw/zinc/36/75/89/340367589.db2.gz UCTUSHKQIVPZFM-UHFFFAOYSA-N 0 3 305.437 4.448 20 0 DIADHN COc1cccc(C2CCN(Cc3ccccc3OC)CC2)c1 ZINC000512587152 340368078 /nfs/dbraw/zinc/36/80/78/340368078.db2.gz OEPRUIXJUKMNCW-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN COc1ccsc1CN1CCC(c2cccc(OC)c2)CC1 ZINC000512644122 340370627 /nfs/dbraw/zinc/37/06/27/340370627.db2.gz KEQJLWMIGXNSPA-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN CCCOc1cccc(CN2CCC(OC3CCCC3)CC2)c1 ZINC000512644902 340370728 /nfs/dbraw/zinc/37/07/28/340370728.db2.gz WVINUCPAXDXKRV-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN COc1cc(CN2CCCC2(C)C)ccc1OCC(F)(F)F ZINC000512645110 340370735 /nfs/dbraw/zinc/37/07/35/340370735.db2.gz YTANNEGLHDLQDV-UHFFFAOYSA-N 0 3 317.351 4.011 20 0 DIADHN COCc1ccccc1/C=C(/C)CNC[C@H](C)C(F)(F)F ZINC000512668846 340372232 /nfs/dbraw/zinc/37/22/32/340372232.db2.gz OIHDYTIPYCUNEL-FSBNRTBOSA-N 0 3 301.352 4.024 20 0 DIADHN CN(CCCc1cc(-c2ccccc2)no1)Cc1ccsc1 ZINC000512696500 340373373 /nfs/dbraw/zinc/37/33/73/340373373.db2.gz AEKZIJDAJRYOAZ-UHFFFAOYSA-N 0 3 312.438 4.468 20 0 DIADHN CCOC1CC2(C1)CCN([C@H]1CCc3ccc(Cl)cc31)C2 ZINC000512816747 340379001 /nfs/dbraw/zinc/37/90/01/340379001.db2.gz JDZJOTXQWBULCB-NXYGQSRBSA-N 0 3 305.849 4.218 20 0 DIADHN CC(C)Sc1ccc(CN2CC[C@H](COC(F)F)C2)cc1 ZINC000512844999 340380521 /nfs/dbraw/zinc/38/05/21/340380521.db2.gz QOOBTZURFNLQHZ-AWEZNQCLSA-N 0 3 315.429 4.248 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CSC[C@H]2C)o1 ZINC000512851714 340380912 /nfs/dbraw/zinc/38/09/12/340380912.db2.gz QCEWSVMOFNOEFF-MLGOLLRUSA-N 0 3 305.418 4.235 20 0 DIADHN CC(C)COc1ccc(CNCC2(C(F)(F)F)CC2)cc1 ZINC000512864784 340381453 /nfs/dbraw/zinc/38/14/53/340381453.db2.gz PFSOGYINIKGEGI-UHFFFAOYSA-N 0 3 301.352 4.154 20 0 DIADHN FC(F)(F)C1(CNCc2cnc(-c3ccccc3)s2)CC1 ZINC000512863842 340381458 /nfs/dbraw/zinc/38/14/58/340381458.db2.gz GYNZZODDEANLBZ-UHFFFAOYSA-N 0 3 312.360 4.242 20 0 DIADHN CCC[C@@]1(NCc2ccc(Oc3ccccc3)o2)CCOC1 ZINC000512868507 340381746 /nfs/dbraw/zinc/38/17/46/340381746.db2.gz DPKADYAPTBXDBO-GOSISDBHSA-N 0 3 301.386 4.121 20 0 DIADHN c1nn2ccccc2c1CNCc1ccc(-c2ccccc2)cc1 ZINC000512875036 340382062 /nfs/dbraw/zinc/38/20/62/340382062.db2.gz XZNXIEFTPKBAIE-UHFFFAOYSA-N 0 3 313.404 4.291 20 0 DIADHN COc1cccc(C2(NC/C=C\c3ccc(F)cc3F)CC2)c1 ZINC000512893210 340383019 /nfs/dbraw/zinc/38/30/19/340383019.db2.gz BHXXTBYUQNFFPA-ARJAWSKDSA-N 0 3 315.363 4.266 20 0 DIADHN FC(F)(F)C1(CNCc2cnc(-c3cccs3)s2)CC1 ZINC000512904509 340383510 /nfs/dbraw/zinc/38/35/10/340383510.db2.gz VWGBCCNBGJNYGA-UHFFFAOYSA-N 0 3 318.389 4.304 20 0 DIADHN Cc1cc(CCNCc2ccc(Sc3ccccc3)cc2)on1 ZINC000512977394 340386601 /nfs/dbraw/zinc/38/66/01/340386601.db2.gz LEDJLDBVKMQAAS-UHFFFAOYSA-N 0 3 324.449 4.467 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2nc3ccccc3nc2C)C1 ZINC000174351849 340386645 /nfs/dbraw/zinc/38/66/45/340386645.db2.gz NHSBIUAYMAQKAV-GJZGRUSLSA-N 0 3 315.486 4.044 20 0 DIADHN Cc1cc(CCNCc2c(Cl)cccc2SC(C)C)on1 ZINC000513012036 340388292 /nfs/dbraw/zinc/38/82/92/340388292.db2.gz MFMSOEAMPMJRIA-UHFFFAOYSA-N 0 3 324.877 4.469 20 0 DIADHN C[C@H](NC/C=C/c1ccc(F)cc1F)c1ccc2n[nH]cc2c1 ZINC000513016814 340388641 /nfs/dbraw/zinc/38/86/41/340388641.db2.gz LVHHDXSOTDFZLX-JDGPPOGSSA-N 0 3 313.351 4.205 20 0 DIADHN COc1cc(CN(C)CCC2CCCCC2)cc(OC)c1OC ZINC000513026995 340389350 /nfs/dbraw/zinc/38/93/50/340389350.db2.gz HRGIVFRPUNOOOH-UHFFFAOYSA-N 0 3 321.461 4.115 20 0 DIADHN C[C@H](NCc1ccc(OCC2CC2)cc1)c1ccc2n[nH]cc2c1 ZINC000513032650 340389550 /nfs/dbraw/zinc/38/95/50/340389550.db2.gz NLQBMZGVFOQJSP-AWEZNQCLSA-N 0 3 321.424 4.203 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc2n[nH]cc2c1 ZINC000513032264 340389565 /nfs/dbraw/zinc/38/95/65/340389565.db2.gz KCWHUWRSDATBQJ-NSHDSACASA-N 0 3 301.340 4.351 20 0 DIADHN Cc1cc2cc(CNCc3cc4c(cccc4F)[nH]3)ccc2[nH]1 ZINC000513049793 340390810 /nfs/dbraw/zinc/39/08/10/340390810.db2.gz BIDKRBJTLDHFLD-UHFFFAOYSA-N 0 3 307.372 4.387 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1c(F)cncc1F ZINC000513053100 340391020 /nfs/dbraw/zinc/39/10/20/340391020.db2.gz XTKJNWICHILBTQ-QWRGUYRKSA-N 0 3 310.775 4.295 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N(C)Cc1ccc(OC)c(O)c1 ZINC000174631947 340393307 /nfs/dbraw/zinc/39/33/07/340393307.db2.gz PHYIUEBFBNBZTR-MRXNPFEDSA-N 0 3 303.377 4.123 20 0 DIADHN CC(C)Oc1ccccc1CNCCc1c(F)cccc1F ZINC000513109821 340393602 /nfs/dbraw/zinc/39/36/02/340393602.db2.gz GEFUFEYJMFBPOE-UHFFFAOYSA-N 0 3 305.368 4.084 20 0 DIADHN CCc1ccc(-c2ccc(CN3CCC([C@H](C)O)CC3)o2)cc1 ZINC000513111778 340393848 /nfs/dbraw/zinc/39/38/48/340393848.db2.gz MJRFIOYZAYZIRH-HNNXBMFYSA-N 0 3 313.441 4.102 20 0 DIADHN CC[C@H](N[C@H](C(=O)NCc1ccccc1)c1ccccc1)C(C)C ZINC000513117820 340394033 /nfs/dbraw/zinc/39/40/33/340394033.db2.gz VNCDSLUAEHITBU-PMACEKPBSA-N 0 3 324.468 4.068 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C(C)C ZINC000513126995 340394252 /nfs/dbraw/zinc/39/42/52/340394252.db2.gz BCKLFIOJQRWHAP-IUODEOHRSA-N 0 3 305.397 4.269 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1)C(C)C ZINC000513127248 340394501 /nfs/dbraw/zinc/39/45/01/340394501.db2.gz FOXCUGLEDJICQT-SWLSCSKDSA-N 0 3 305.397 4.269 20 0 DIADHN CCC(CC)(CC)NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000174800497 340396226 /nfs/dbraw/zinc/39/62/26/340396226.db2.gz DZGHKGQHYDFRIZ-UHFFFAOYSA-N 0 3 307.825 4.448 20 0 DIADHN C[C@H](NCc1ccccc1C(F)(F)F)c1ccc2n[nH]cc2c1 ZINC000513195688 340396495 /nfs/dbraw/zinc/39/64/95/340396495.db2.gz GHUGTBGRTPKPMS-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN C[C@@H](NCc1cccc(C(F)F)c1)c1ccc2n[nH]cc2c1 ZINC000513197453 340396748 /nfs/dbraw/zinc/39/67/48/340396748.db2.gz WHBVIXPTSHWOPF-LLVKDONJSA-N 0 3 301.340 4.351 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1ccccc1-c1ccnn1C ZINC000513209631 340397329 /nfs/dbraw/zinc/39/73/29/340397329.db2.gz KHHRZUFVDPCTGL-UHFFFAOYSA-N 0 3 323.415 4.167 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCS[C@H](C)CC1 ZINC000513236599 340398756 /nfs/dbraw/zinc/39/87/56/340398756.db2.gz MUEFMUMVJSJWHE-RTYFKUQISA-N 0 3 305.487 4.064 20 0 DIADHN CO[C@@H](C)CN(C)Cc1c(OC(C)C)ccc2ccccc21 ZINC000513241758 340399030 /nfs/dbraw/zinc/39/90/30/340399030.db2.gz LTKROPUSPPMTHP-HNNXBMFYSA-N 0 3 301.430 4.094 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC(F)(F)C2)c(Br)c1 ZINC000513488612 340410219 /nfs/dbraw/zinc/41/02/19/340410219.db2.gz YJYYDLNAJNBLKD-LBPRGKRZSA-N 0 3 318.205 4.425 20 0 DIADHN CCCc1ccc([C@H](N[C@H](C)c2ccc(=O)[nH]n2)C(C)C)cc1 ZINC000513654036 340417356 /nfs/dbraw/zinc/41/73/56/340417356.db2.gz GWLLGURTQRHKHX-AUUYWEPGSA-N 0 3 313.445 4.183 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C(=O)OC)cs1)c1cccs1 ZINC000513660124 340417778 /nfs/dbraw/zinc/41/77/78/340417778.db2.gz FZUSKDXQGOYUJL-PWSUYJOCSA-N 0 3 309.456 4.398 20 0 DIADHN CCOC[C@@H](C)N[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000513683689 340419252 /nfs/dbraw/zinc/41/92/52/340419252.db2.gz MMSCBKGPGOUOSC-IAQYHMDHSA-N 0 3 317.351 4.051 20 0 DIADHN Cc1ccnc([C@@H](C)NCCOc2cccc(C(F)(F)F)c2)c1 ZINC000513713791 340421290 /nfs/dbraw/zinc/42/12/90/340421290.db2.gz UAMJDAAQCYFQEU-CYBMUJFWSA-N 0 3 324.346 4.138 20 0 DIADHN COc1cccc(COCCN[C@@H](C)c2ccccc2Cl)c1 ZINC000513859104 340428889 /nfs/dbraw/zinc/42/88/89/340428889.db2.gz HWGADJGWQNEOGD-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CSC1(CNCc2ccccc2OCc2ccccc2)CC1 ZINC000309887408 340434300 /nfs/dbraw/zinc/43/43/00/340434300.db2.gz LOFPMQUYFZDXIN-UHFFFAOYSA-N 0 3 313.466 4.251 20 0 DIADHN Cc1ccc(CCCC(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000177303065 340439108 /nfs/dbraw/zinc/43/91/08/340439108.db2.gz VLPNIRBVVFEFOG-UHFFFAOYSA-N 0 3 324.468 4.326 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(Cl)cc1F ZINC000177317814 340439167 /nfs/dbraw/zinc/43/91/67/340439167.db2.gz CVWWUGUETKGOTF-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H](c1ccccc1)C(C)C ZINC000177351303 340439547 /nfs/dbraw/zinc/43/95/47/340439547.db2.gz PGQNKOQDYDLPKL-HXUWFJFHSA-N 0 3 324.468 4.435 20 0 DIADHN COCCC[C@@H](C)NCc1ccc(Br)cc1Cl ZINC000177814658 340444021 /nfs/dbraw/zinc/44/40/21/340444021.db2.gz RWPBGAFEZGKCFB-SNVBAGLBSA-N 0 3 320.658 4.007 20 0 DIADHN CC(C)CC[C@](C)(O)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000178663683 340451398 /nfs/dbraw/zinc/45/13/98/340451398.db2.gz RJAZVTCCBALDHB-KXBFYZLASA-N 0 3 300.446 4.073 20 0 DIADHN Cc1ccc(C)c(OC2CCN(CCCc3ccncc3)CC2)c1 ZINC000517202103 340465336 /nfs/dbraw/zinc/46/53/36/340465336.db2.gz RHWRHKYYQMNJHY-UHFFFAOYSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1nc(-c2ccc(CNCc3cccnc3C)cc2)cs1 ZINC000517550917 340472010 /nfs/dbraw/zinc/47/20/10/340472010.db2.gz UEOYULPIPFCIPJ-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cncc(C)c1)c1ccsc1 ZINC000184277270 340491659 /nfs/dbraw/zinc/49/16/59/340491659.db2.gz LCCNCFGLVFESBQ-YJBOKZPZSA-N 0 3 317.502 4.185 20 0 DIADHN Cc1cncc([C@H](C)NCCc2nc(C(C)(C)C)cs2)c1 ZINC000184347855 340492259 /nfs/dbraw/zinc/49/22/59/340492259.db2.gz FITQIFVBMXQTAO-ZDUSSCGKSA-N 0 3 303.475 4.037 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H]1CCc2c1cccc2OC ZINC000186194818 340509348 /nfs/dbraw/zinc/50/93/48/340509348.db2.gz SROWMSSCTMNQQM-SMDDNHRTSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1nn(C)cc1[C@H](C)NCC(C)(C)c1cccc(Cl)c1F ZINC000186565934 340514392 /nfs/dbraw/zinc/51/43/92/340514392.db2.gz WWVHVTNRMYPSKH-NSHDSACASA-N 0 3 323.843 4.149 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000186804090 340515998 /nfs/dbraw/zinc/51/59/98/340515998.db2.gz DPXKQWBDLOBQRK-BBRMVZONSA-N 0 3 308.466 4.294 20 0 DIADHN CC[C@@H](NCc1cc(COC(C)(C)C)on1)C1CCCCC1 ZINC000186825209 340516302 /nfs/dbraw/zinc/51/63/02/340516302.db2.gz YDOQKFUGZOIIOU-QGZVFWFLSA-N 0 3 308.466 4.438 20 0 DIADHN Clc1cccc(Cl)c1CNCCCOC1CCCC1 ZINC000521833641 340535504 /nfs/dbraw/zinc/53/55/04/340535504.db2.gz BIDDYELMZGGPIF-UHFFFAOYSA-N 0 3 302.245 4.432 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000189839312 340540301 /nfs/dbraw/zinc/54/03/01/340540301.db2.gz JJVUFIZAHFUNLK-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1cccc(C(F)(F)F)c1 ZINC000223924039 340549087 /nfs/dbraw/zinc/54/90/87/340549087.db2.gz XEROPAAGVSUUAR-QMTHXVAHSA-N 0 3 315.360 4.151 20 0 DIADHN C[C@H](NCCc1ccncc1)c1cc(Cl)sc1Cl ZINC000224587446 340556916 /nfs/dbraw/zinc/55/69/16/340556916.db2.gz FBDJRMYMKHDNEJ-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H]2CCCc3c(O)cccc32)c1 ZINC000191663972 340557228 /nfs/dbraw/zinc/55/72/28/340557228.db2.gz FFNFWRNASOFELR-LJQANCHMSA-N 0 3 311.425 4.347 20 0 DIADHN CCCC(=O)Nc1ccc(CNc2ccnc3ccccc32)cc1 ZINC000521936154 340558016 /nfs/dbraw/zinc/55/80/16/340558016.db2.gz UNHFYKDWMILRCT-UHFFFAOYSA-N 0 3 319.408 4.007 20 0 DIADHN CCCCCN(C(=O)C1CCN(C2CC2)CC1)[C@@H](C)CCC ZINC000195381794 340578878 /nfs/dbraw/zinc/57/88/78/340578878.db2.gz ONOYFKASBCMOGJ-INIZCTEOSA-N 0 3 308.510 4.068 20 0 DIADHN C[C@@H](NCc1ccnc(N)c1)c1ccc(Cl)c2ccccc21 ZINC000193557320 340579772 /nfs/dbraw/zinc/57/97/72/340579772.db2.gz QHNQEBQIUFORCZ-GFCCVEGCSA-N 0 3 311.816 4.321 20 0 DIADHN COc1ccc2ccccc2c1CNCCN(C)c1ccccc1 ZINC000195569191 340581701 /nfs/dbraw/zinc/58/17/01/340581701.db2.gz HZFZZWFIUHIPQS-UHFFFAOYSA-N 0 3 320.436 4.074 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(CCc2ccc(O)cc2)CC1 ZINC000522208752 340588211 /nfs/dbraw/zinc/58/82/11/340588211.db2.gz QSUBIYTYRWBAOL-INIZCTEOSA-N 0 3 310.441 4.193 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCCOC(F)F ZINC000194027048 340588216 /nfs/dbraw/zinc/58/82/16/340588216.db2.gz NFSWFUIFADUQPB-SNVBAGLBSA-N 0 3 307.768 4.019 20 0 DIADHN Cc1c2ccccc2n(C)c1CNCc1ccc(Cl)s1 ZINC000227528613 340600013 /nfs/dbraw/zinc/60/00/13/340600013.db2.gz IBOVXGYCVHMOOU-UHFFFAOYSA-N 0 3 304.846 4.491 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H](C)C[C@H]2CCCO2)o1 ZINC000522381481 340600364 /nfs/dbraw/zinc/60/03/64/340600364.db2.gz DDUONRLHDAYWHQ-GOEBONIOSA-N 0 3 317.404 4.441 20 0 DIADHN CC(C)(C)OCCNCc1ccc(-c2c(F)cccc2F)o1 ZINC000522382914 340600367 /nfs/dbraw/zinc/60/03/67/340600367.db2.gz UPPFNOOFXLMJCT-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN Clc1c2ccccc2sc1CN[C@H]1CCO[C@@H]1C1CC1 ZINC000230316993 340619620 /nfs/dbraw/zinc/61/96/20/340619620.db2.gz PTPWOYOOAASNDH-BLLLJJGKSA-N 0 3 307.846 4.212 20 0 DIADHN C[C@@H](CNCc1cc(Cl)cc(Cl)c1)c1nccs1 ZINC000230692420 340620715 /nfs/dbraw/zinc/62/07/15/340620715.db2.gz NHQOBNNZKUQHJV-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](CNCc1cc(Cl)ccc1Cl)c1nccs1 ZINC000230694850 340620723 /nfs/dbraw/zinc/62/07/23/340620723.db2.gz GEKKTIKGPGUKDV-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CC[C@H](CO)NCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC000302612551 340630438 /nfs/dbraw/zinc/63/04/38/340630438.db2.gz QZKAMEXJZZKABX-LLVKDONJSA-N 0 3 314.212 4.114 20 0 DIADHN CC[C@@H](CC(=O)NC[C@@H](c1ccsc1)N(CC)CC)C(C)C ZINC000303193712 340633613 /nfs/dbraw/zinc/63/36/13/340633613.db2.gz FZXVVLSGLSXHEY-RDJZCZTQSA-N 0 3 324.534 4.320 20 0 DIADHN Clc1ccc(CNC[C@@H]2CCCO[C@@H]2c2ccccc2)o1 ZINC000524680604 340661016 /nfs/dbraw/zinc/66/10/16/340661016.db2.gz IUIISMYGHUULBE-WMLDXEAASA-N 0 3 305.805 4.191 20 0 DIADHN CCCC(CCC)N[C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000236778897 340664982 /nfs/dbraw/zinc/66/49/82/340664982.db2.gz XRENCZBGOKAQRW-LBPRGKRZSA-N 0 3 305.397 4.495 20 0 DIADHN CCOC[C@H](C)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000236975553 340667022 /nfs/dbraw/zinc/66/70/22/340667022.db2.gz ZPKWRJIKUXPGOT-LBPRGKRZSA-N 0 3 316.426 4.071 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2cccc3cc[nH]c32)cc1OC ZINC000237109253 340668035 /nfs/dbraw/zinc/66/80/35/340668035.db2.gz LWZDVYTXNAGGIP-CQSZACIVSA-N 0 3 324.424 4.426 20 0 DIADHN Cc1ccc([C@H](CNCc2cc3ccccc3o2)N2CCCC2)o1 ZINC000237652395 340672906 /nfs/dbraw/zinc/67/29/06/340672906.db2.gz UJDVRNFMKFUWRM-SFHVURJKSA-N 0 3 324.424 4.261 20 0 DIADHN Clc1ccc(CCNCc2csc(-c3ccco3)n2)cc1 ZINC000237668100 340672974 /nfs/dbraw/zinc/67/29/74/340672974.db2.gz GRBQZFXZVZNDAK-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN Fc1cccc(N2CCCC2)c1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000237795674 340673906 /nfs/dbraw/zinc/67/39/06/340673906.db2.gz XMUBSCRUYQMRFC-ROUUACIJSA-N 0 3 324.443 4.319 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCC1(O)CCCCCC1 ZINC000315876700 340677269 /nfs/dbraw/zinc/67/72/69/340677269.db2.gz KSYASDFAUQLZEO-CYBMUJFWSA-N 0 3 324.534 4.485 20 0 DIADHN COc1ccc([C@H](C)NCCc2cc(F)cc(F)c2)c(F)c1 ZINC000315913836 340677342 /nfs/dbraw/zinc/67/73/42/340677342.db2.gz NNWXMXXUSOMXCE-NSHDSACASA-N 0 3 309.331 4.006 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2ccccc2OC)C12CCC2 ZINC000315902425 340677404 /nfs/dbraw/zinc/67/74/04/340677404.db2.gz NKYXSTRCGSHUJS-BPQIPLTHSA-N 0 3 303.446 4.084 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc3ccccc3s2)C12CCCC2 ZINC000315919817 340677488 /nfs/dbraw/zinc/67/74/88/340677488.db2.gz QYZYMTWINBJARR-CVEARBPZSA-N 0 3 316.470 4.124 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccccc2C(F)(F)F)C12CCC2 ZINC000316011653 340677737 /nfs/dbraw/zinc/67/77/37/340677737.db2.gz ZFAVVIHTWYGAFU-HUUCEWRRSA-N 0 3 313.363 4.143 20 0 DIADHN Fc1cccc(CN2CCC(OCC3CCCCC3)CC2)c1 ZINC000524755356 340679171 /nfs/dbraw/zinc/67/91/71/340679171.db2.gz YPAKQPYCXDTORE-UHFFFAOYSA-N 0 3 305.437 4.387 20 0 DIADHN C[C@H](CNCc1cccc(F)c1F)Oc1ccccc1Cl ZINC000525182444 340693997 /nfs/dbraw/zinc/69/39/97/340693997.db2.gz YWEKMEDBMFMRMM-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Clc1ccc2nc(CN[C@@H]3CC[C@H]4CCC[C@H]4C3)cn2c1 ZINC000525182660 340694042 /nfs/dbraw/zinc/69/40/42/340694042.db2.gz IYLVFFHSVTWZMY-VNHYZAJKSA-N 0 3 303.837 4.046 20 0 DIADHN CCSCc1cccc(NC(=O)[C@@H](C)N2CCCCCC2)c1 ZINC000525253915 340697080 /nfs/dbraw/zinc/69/70/80/340697080.db2.gz RISMMNWNRAACML-OAHLLOKOSA-N 0 3 320.502 4.143 20 0 DIADHN C[C@@H](N[C@@H]1CS[C@H](C)C1)c1ccc(Br)s1 ZINC000397778119 340714073 /nfs/dbraw/zinc/71/40/73/340714073.db2.gz BZHFGDLRYRXCEP-HLTSFMKQSA-N 0 3 306.294 4.055 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CS[C@@H](C)C2)c2ccccc2)cc1 ZINC000397935868 340714848 /nfs/dbraw/zinc/71/48/48/340714848.db2.gz NWAQFPSSDUABRV-UCLAIMLFSA-N 0 3 313.466 4.268 20 0 DIADHN Fc1ccc([C@@H](NCCOC(F)(F)F)c2ccccc2)cc1 ZINC000398120815 340715793 /nfs/dbraw/zinc/71/57/93/340715793.db2.gz IDJNKBIVXOYBHV-HNNXBMFYSA-N 0 3 313.294 4.041 20 0 DIADHN Cc1ccc2sc(CN[C@H]3CCCC34CCOCC4)nc2c1 ZINC000528495427 340721328 /nfs/dbraw/zinc/72/13/28/340721328.db2.gz UOGDIENZWYZKNG-INIZCTEOSA-N 0 3 316.470 4.044 20 0 DIADHN Cc1ncsc1CCNC(C)(C)c1nc2ccccc2s1 ZINC000528603940 340725912 /nfs/dbraw/zinc/72/59/12/340725912.db2.gz SNRLYDGGGDZWEM-UHFFFAOYSA-N 0 3 317.483 4.129 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc(F)cc2C)cc1F ZINC000528679253 340729242 /nfs/dbraw/zinc/72/92/42/340729242.db2.gz OPXDGEICAFBSIG-UHFFFAOYSA-N 0 3 305.368 4.307 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H](C)Cc2cccs2)c1 ZINC000036992963 340729626 /nfs/dbraw/zinc/72/96/26/340729626.db2.gz QYHIMJVVZOHQMI-OLZOCXBDSA-N 0 3 305.443 4.047 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(C(F)F)c2)C2CC2)cn1 ZINC000528855289 340736955 /nfs/dbraw/zinc/73/69/55/340736955.db2.gz ZECUDRKKSUTUPB-KRWDZBQOSA-N 0 3 318.367 4.269 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc4cc[nH]c4c3)CC2)s1 ZINC000529558045 340762988 /nfs/dbraw/zinc/76/29/88/340762988.db2.gz ZZOBWVLFDZHYPW-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN Clc1ccc(CN[C@@H]2COc3ccccc3C2)cc1Cl ZINC000328283260 340769630 /nfs/dbraw/zinc/76/96/30/340769630.db2.gz ZHJNVBBLQQTOKV-ZDUSSCGKSA-N 0 3 308.208 4.087 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(F)cc1Cl ZINC000037218151 340774953 /nfs/dbraw/zinc/77/49/53/340774953.db2.gz UVEBTKKVXNAYPN-NHYWBVRUSA-N 0 3 310.800 4.026 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2ccc(CO)o2)CC1 ZINC000530287512 340786578 /nfs/dbraw/zinc/78/65/78/340786578.db2.gz MCDZHEJNPXPTQH-UHFFFAOYSA-N 0 3 313.441 4.007 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1)c1nc2c(s1)CCCC2 ZINC000530329552 340787823 /nfs/dbraw/zinc/78/78/23/340787823.db2.gz QDAOHCAKTVEDQX-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN Cc1ccc(OCCN[C@H](C)c2cnc3ccsc3c2)cc1 ZINC000537973391 340794748 /nfs/dbraw/zinc/79/47/48/340794748.db2.gz IWJIBKPWZNHSJZ-CQSZACIVSA-N 0 3 312.438 4.334 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](OC)c2cccc(Cl)c2)cc1 ZINC000537983523 340795518 /nfs/dbraw/zinc/79/55/18/340795518.db2.gz OQEHBHNPZOFOAY-FZKQIMNGSA-N 0 3 319.832 4.387 20 0 DIADHN CCc1ccc([C@H](NCc2ccc(COC)o2)C(C)C)cc1 ZINC000125219037 340802944 /nfs/dbraw/zinc/80/29/44/340802944.db2.gz NEAGCLJEBRPJES-LJQANCHMSA-N 0 3 301.430 4.475 20 0 DIADHN Cc1cccc(CN[C@@H](C)CCc2ccc(OC(F)F)cc2)n1 ZINC000125510393 340804692 /nfs/dbraw/zinc/80/46/92/340804692.db2.gz SXKFTOJZKKULMS-ZDUSSCGKSA-N 0 3 320.383 4.102 20 0 DIADHN CC[C@H](NC[C@H]1CCCO[C@H]1C(C)C)c1cc(F)ccc1F ZINC000538021814 340807847 /nfs/dbraw/zinc/80/78/47/340807847.db2.gz UVMQRLXNEAPOKG-BVGQSLNGSA-N 0 3 311.416 4.457 20 0 DIADHN CCC(CC)[C@H](CCN[C@@H](C)c1nc2ccccc2n1C)OC ZINC000538117544 340810416 /nfs/dbraw/zinc/81/04/16/340810416.db2.gz XINXKSLPVHCKGV-KSSFIOAISA-N 0 3 317.477 4.065 20 0 DIADHN COc1ccc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC000538228472 340814327 /nfs/dbraw/zinc/81/43/27/340814327.db2.gz VZTMKGXTHPBHTA-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN Cn1ccnc1[C@H](NC[C@@H]1CCC(C)(C)c2ccccc21)C1CC1 ZINC000538307096 340817444 /nfs/dbraw/zinc/81/74/44/340817444.db2.gz VOBWBIUCRFSXLV-QFBILLFUSA-N 0 3 323.484 4.316 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)cn1 ZINC000538324257 340818278 /nfs/dbraw/zinc/81/82/78/340818278.db2.gz FTSBBTWQDOVYPQ-BJOOFOGMSA-N 0 3 316.832 4.231 20 0 DIADHN CC[C@H](NCc1nc(-c2ccc(Cl)cc2)no1)C(C)(C)C ZINC000538389879 340819918 /nfs/dbraw/zinc/81/99/18/340819918.db2.gz KSCOHWBBXZOKKI-ZDUSSCGKSA-N 0 3 307.825 4.304 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000126465838 340827233 /nfs/dbraw/zinc/82/72/33/340827233.db2.gz LEANJUUMZZLOSE-KXUCPTDWSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCCc1ccccn1)CCCO2 ZINC000126455865 340827265 /nfs/dbraw/zinc/82/72/65/340827265.db2.gz KOIKMRBCZVPSNE-KRWDZBQOSA-N 0 3 316.832 4.089 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cnc(C2CC2)s1 ZINC000538486553 340827541 /nfs/dbraw/zinc/82/75/41/340827541.db2.gz PAKBMJFEIYLALQ-MEDUHNTESA-N 0 3 320.433 4.025 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000126520779 340828013 /nfs/dbraw/zinc/82/80/13/340828013.db2.gz KAKUQPGOHQFMHU-DTWKUNHWSA-N 0 3 305.285 4.381 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCCc1nccs1)CCCO2 ZINC000126624540 340829344 /nfs/dbraw/zinc/82/93/44/340829344.db2.gz OMEIBJVZJMLPPL-AWEZNQCLSA-N 0 3 322.861 4.151 20 0 DIADHN C[C@@H](NCCc1ccco1)c1ccc(Br)cc1F ZINC000126720446 340830934 /nfs/dbraw/zinc/83/09/34/340830934.db2.gz GKNQYFRZPVNCGR-SNVBAGLBSA-N 0 3 312.182 4.075 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4ccc(OC)cc43)CC2)cc1 ZINC000538523780 340831154 /nfs/dbraw/zinc/83/11/54/340831154.db2.gz NDXDXSBTCCECOM-HXUWFJFHSA-N 0 3 323.436 4.360 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2CCO[C@@H](CC(C)C)C2)s1 ZINC000538533067 340831924 /nfs/dbraw/zinc/83/19/24/340831924.db2.gz WEMCMADQMYVQSL-GJZGRUSLSA-N 0 3 310.507 4.025 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000538533872 340832050 /nfs/dbraw/zinc/83/20/50/340832050.db2.gz CUXMHCSYSVXFQR-WMZOPIPTSA-N 0 3 314.429 4.025 20 0 DIADHN Cc1cc(F)ccc1N[C@H](C)c1cccc(OCCN(C)C)c1 ZINC000538623040 340835572 /nfs/dbraw/zinc/83/55/72/340835572.db2.gz DIWGDNYZNMAFBV-OAHLLOKOSA-N 0 3 316.420 4.248 20 0 DIADHN C[C@H](CCc1cccc(C(F)(F)F)c1)NCc1cscn1 ZINC000127354999 340849814 /nfs/dbraw/zinc/84/98/14/340849814.db2.gz SXSJYBLQORQBKZ-LLVKDONJSA-N 0 3 314.376 4.273 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2-n2ccc(C)n2)cc1 ZINC000127638765 340854419 /nfs/dbraw/zinc/85/44/19/340854419.db2.gz XEUAPUIHTUHICY-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1cc(CN2CCCCC2)cc(C)c1OCc1ccccn1 ZINC000538824465 340855606 /nfs/dbraw/zinc/85/56/06/340855606.db2.gz KKHBIPYZBXCFCS-UHFFFAOYSA-N 0 3 310.441 4.263 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@@H]2NCCc1nccs1 ZINC000128000176 340859153 /nfs/dbraw/zinc/85/91/53/340859153.db2.gz JBCBJJFLNHNBDO-LBPRGKRZSA-N 0 3 313.253 4.270 20 0 DIADHN C[C@@H](N[C@H](COc1ccccc1F)C(C)(C)C)c1cccnc1 ZINC000538867449 340859560 /nfs/dbraw/zinc/85/95/60/340859560.db2.gz DDQDKMPWWAKERU-RDTXWAMCSA-N 0 3 316.420 4.365 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000128086456 340860487 /nfs/dbraw/zinc/86/04/87/340860487.db2.gz ACQCMPWXOGMVFH-LPEHRKFASA-N 0 3 306.208 4.208 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CCc3c2cccc3Cl)cc1 ZINC000539046486 340867044 /nfs/dbraw/zinc/86/70/44/340867044.db2.gz YQRGADVXSFQFOZ-OALUTQOASA-N 0 3 315.844 4.213 20 0 DIADHN CC[C@H](NCCOc1ncccc1F)c1ccc(Cl)s1 ZINC000539050463 340867776 /nfs/dbraw/zinc/86/77/76/340867776.db2.gz GBQGPJKGAMOFRI-NSHDSACASA-N 0 3 314.813 4.055 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](C)c1c(C)noc1C ZINC000539051273 340867874 /nfs/dbraw/zinc/86/78/74/340867874.db2.gz DFYVSVYSPMOCBR-CHWSQXEVSA-N 0 3 302.418 4.063 20 0 DIADHN C[C@H](CO)CCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000539054020 340868299 /nfs/dbraw/zinc/86/82/99/340868299.db2.gz UORLUDCTPIOCHZ-QWRGUYRKSA-N 0 3 321.795 4.001 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1cc2c(s1)CCCC2 ZINC000539054392 340868353 /nfs/dbraw/zinc/86/83/53/340868353.db2.gz LYYJVBXALCZDOI-GFCCVEGCSA-N 0 3 319.445 4.109 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CO)c2ccc(C(F)(F)F)cc2)cc1 ZINC000539055700 340868482 /nfs/dbraw/zinc/86/84/82/340868482.db2.gz GFCSTMYJXVBMAD-GUYCJALGSA-N 0 3 323.358 4.398 20 0 DIADHN Cc1ccc(C[C@@H](NCc2nnc(C(C)C)s2)C(C)C)cc1 ZINC000539057468 340868589 /nfs/dbraw/zinc/86/85/89/340868589.db2.gz GSILZKTXPWTFNP-MRXNPFEDSA-N 0 3 317.502 4.327 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CCC[C@@H]2c2ccccc2)s1 ZINC000539057961 340868961 /nfs/dbraw/zinc/86/89/61/340868961.db2.gz FUCVHGCDPWHXRV-HUUCEWRRSA-N 0 3 315.486 4.262 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1nnc(C(C)(C)C)s1 ZINC000539060597 340869400 /nfs/dbraw/zinc/86/94/00/340869400.db2.gz UVNDBUHUZSSWBV-HNNXBMFYSA-N 0 3 317.502 4.337 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cccc2c1OCCCO2 ZINC000539062672 340869558 /nfs/dbraw/zinc/86/95/58/340869558.db2.gz ZCSWBNMOLJEWEL-MAUKXSAKSA-N 0 3 323.436 4.494 20 0 DIADHN CN(C)c1ccccc1CN[C@H]1CCc2c1cccc2Cl ZINC000539070444 340870357 /nfs/dbraw/zinc/87/03/57/340870357.db2.gz KODCRHNXXCACJX-KRWDZBQOSA-N 0 3 300.833 4.183 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1C)c1ccc2c(c1)CCC(=O)N2 ZINC000539083535 340871611 /nfs/dbraw/zinc/87/16/11/340871611.db2.gz OGJBAIMIJMEWEF-HKZYLEAXSA-N 0 3 320.436 4.155 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000539085988 340871961 /nfs/dbraw/zinc/87/19/61/340871961.db2.gz BZZHTNYPRBVALN-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](NCCOc1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000539090311 340872498 /nfs/dbraw/zinc/87/24/98/340872498.db2.gz OLVUYQDZQBIUOP-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCOc1cccc(F)c1 ZINC000539090592 340872555 /nfs/dbraw/zinc/87/25/55/340872555.db2.gz OZCVDSODUXJDEE-GFCCVEGCSA-N 0 3 322.449 4.444 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000539161412 340875248 /nfs/dbraw/zinc/87/52/48/340875248.db2.gz NJGGCUYOPJAWTC-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN CCCCn1cc(CN[C@@H](C)c2ccc(CC)s2)c(C)n1 ZINC000539217575 340876942 /nfs/dbraw/zinc/87/69/42/340876942.db2.gz YIPLNOPIZSFHOV-AWEZNQCLSA-N 0 3 305.491 4.466 20 0 DIADHN CCCOc1ccc([C@@H](C)NC[C@@H](C)C(F)(F)F)cc1OC ZINC000539254914 340878523 /nfs/dbraw/zinc/87/85/23/340878523.db2.gz ZMCRLNUUSWEDGE-VXGBXAGGSA-N 0 3 319.367 4.333 20 0 DIADHN CC(C)OC(=O)c1ccc(CNC2(c3ccccc3)CC2)cc1 ZINC000539260164 340878743 /nfs/dbraw/zinc/87/87/43/340878743.db2.gz NDDLQZVNZQVNND-UHFFFAOYSA-N 0 3 309.409 4.031 20 0 DIADHN Cc1nccn1CCN[C@@H](c1oc2ccccc2c1C)C(C)C ZINC000539260137 340878893 /nfs/dbraw/zinc/87/88/93/340878893.db2.gz MJTKKPWHMVZJHF-GOSISDBHSA-N 0 3 311.429 4.233 20 0 DIADHN COc1cccc(CCCN[C@H](C)c2cc(F)ccc2OC)c1 ZINC000539269272 340879027 /nfs/dbraw/zinc/87/90/27/340879027.db2.gz SKQDSEGFBINZQL-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCCc2cc(F)ccc21 ZINC000539311951 340880500 /nfs/dbraw/zinc/88/05/00/340880500.db2.gz GOOHWPAYXYFNAL-OALUTQOASA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc(OCC2CC2)c(F)c1)C(F)(F)F ZINC000539328667 340881308 /nfs/dbraw/zinc/88/13/08/340881308.db2.gz WGBHKIYAOQYNHS-MNOVXSKESA-N 0 3 319.342 4.464 20 0 DIADHN C[C@@H](NCCOCC(F)(F)F)c1cc2cc(Cl)ccc2o1 ZINC000539497158 340887493 /nfs/dbraw/zinc/88/74/93/340887493.db2.gz ZUUSPXLCWOXDHL-SECBINFHSA-N 0 3 321.726 4.316 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H]1CCc2cc(F)ccc21 ZINC000539506492 340888155 /nfs/dbraw/zinc/88/81/55/340888155.db2.gz JFSINLBXNSMVNN-HQOQDVMHSA-N 0 3 317.379 4.318 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@H](C)c2cccc(F)c2F)c1 ZINC000539545287 340889978 /nfs/dbraw/zinc/88/99/78/340889978.db2.gz RNTLJGPFCXKCPY-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](CCO)C1(CNCc2ccc(Cl)cc2Cl)CCC1 ZINC000539544825 340889987 /nfs/dbraw/zinc/88/99/87/340889987.db2.gz BJPLIOJWKXXIQM-LBPRGKRZSA-N 0 3 316.272 4.272 20 0 DIADHN CC(C)n1cc([C@@H](C)N2CCC(Cc3ccccc3)CC2)cn1 ZINC000539560569 340890666 /nfs/dbraw/zinc/89/06/66/340890666.db2.gz SLDHTGVPDLZQER-QGZVFWFLSA-N 0 3 311.473 4.480 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCOC(C)(C)C)oc21 ZINC000539625394 340893388 /nfs/dbraw/zinc/89/33/88/340893388.db2.gz QHUVCIOUZKBNST-ZDUSSCGKSA-N 0 3 305.418 4.297 20 0 DIADHN CC[C@H](Cc1ccccc1)NC1(c2nc(C)cs2)CCC1 ZINC000539632699 340893674 /nfs/dbraw/zinc/89/36/74/340893674.db2.gz QKVPUPJJURJQMC-MRXNPFEDSA-N 0 3 300.471 4.442 20 0 DIADHN Cc1ccc([C@H](C)NC2(c3ccc4c(c3)OCO4)CC2)s1 ZINC000539651465 340894254 /nfs/dbraw/zinc/89/42/54/340894254.db2.gz MKHWWOGYTJJKJM-LBPRGKRZSA-N 0 3 301.411 4.125 20 0 DIADHN COCC1(N[C@@H](C)c2ccccc2OCc2ccccc2)CC1 ZINC000539651801 340894374 /nfs/dbraw/zinc/89/43/74/340894374.db2.gz UKHGSQMECHCPPM-INIZCTEOSA-N 0 3 311.425 4.095 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000539656180 340894835 /nfs/dbraw/zinc/89/48/35/340894835.db2.gz WNAMYUPJWILOHW-CYBMUJFWSA-N 0 3 324.399 4.050 20 0 DIADHN Cc1ccc(C)c(N[C@H](C)c2cccc(OCCN(C)C)c2)c1 ZINC000539719656 340897041 /nfs/dbraw/zinc/89/70/41/340897041.db2.gz URBWLYBUTSWILY-QGZVFWFLSA-N 0 3 312.457 4.417 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CCC(c2ccccc2F)CC1 ZINC000539818597 340901738 /nfs/dbraw/zinc/90/17/38/340901738.db2.gz ZJGXTDYKEIGVFT-UHFFFAOYSA-N 0 3 315.436 4.226 20 0 DIADHN CCc1ccc(C(C)(C)CN[C@@H](C)c2ccc(F)cn2)cc1 ZINC000539968507 340911359 /nfs/dbraw/zinc/91/13/59/340911359.db2.gz DNZXZDRWZKTANS-AWEZNQCLSA-N 0 3 300.421 4.412 20 0 DIADHN C[C@H](NCCc1cc(Cl)cc(Cl)c1)c1ccc(F)cn1 ZINC000539967862 340911405 /nfs/dbraw/zinc/91/14/05/340911405.db2.gz IOGJJNMLFPFLPC-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2c(Cl)cccc2Cl)CCO1 ZINC000540067332 340914060 /nfs/dbraw/zinc/91/40/60/340914060.db2.gz ZEETWBVQFAGALN-NHYWBVRUSA-N 0 3 302.245 4.287 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)[C@@H]2CCOC2)cc1C ZINC000540068573 340914183 /nfs/dbraw/zinc/91/41/83/340914183.db2.gz NULJEAKEINSCAU-TZIWHRDSSA-N 0 3 309.453 4.479 20 0 DIADHN C[C@H]1CC(NCc2ccc(-c3c(F)cccc3F)o2)C[C@H](C)O1 ZINC000128804285 340915351 /nfs/dbraw/zinc/91/53/51/340915351.db2.gz AMINVJWJAMNAOT-RYUDHWBXSA-N 0 3 321.367 4.270 20 0 DIADHN CCC[C@@H](NCCOCc1ccc(Cl)cc1)c1ccccn1 ZINC000540139901 340916241 /nfs/dbraw/zinc/91/62/41/340916241.db2.gz HKQZNZOTTXWEQB-QGZVFWFLSA-N 0 3 318.848 4.383 20 0 DIADHN CCc1ccc([C@@H](C)NCCOCc2cccc(OC)c2)s1 ZINC000540141850 340916515 /nfs/dbraw/zinc/91/65/15/340916515.db2.gz UVUNNZYKBIQULR-CQSZACIVSA-N 0 3 319.470 4.187 20 0 DIADHN CC[C@H](N[C@H](C)c1sccc1Br)[C@@H]1CCCO1 ZINC000540145935 340916938 /nfs/dbraw/zinc/91/69/38/340916938.db2.gz MOBPQQFWZXSUBV-USWWRNFRSA-N 0 3 318.280 4.119 20 0 DIADHN COCC[C@H](NCc1ccc(OC)cc1C)c1ccc(F)cc1 ZINC000540160048 340918855 /nfs/dbraw/zinc/91/88/55/340918855.db2.gz RMGNALDGWOLECA-IBGZPJMESA-N 0 3 317.404 4.010 20 0 DIADHN CCn1c2ccccc2nc1[C@@H](C)N[C@H]1CCc2ccccc2C1 ZINC000540171325 340920266 /nfs/dbraw/zinc/92/02/66/340920266.db2.gz QOVFRIKOJYHCCP-QAPCUYQASA-N 0 3 319.452 4.264 20 0 DIADHN CC1(C)C[C@H](NCc2ccccc2OC2CCC2)C(C)(C)O1 ZINC000540171122 340920268 /nfs/dbraw/zinc/92/02/68/340920268.db2.gz RMHJKXXXNIVLFA-KRWDZBQOSA-N 0 3 303.446 4.054 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cccc3C)cc1OC ZINC000540179436 340921051 /nfs/dbraw/zinc/92/10/51/340921051.db2.gz STDSNCSRQVPKKC-KSSFIOAISA-N 0 3 311.425 4.350 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2ccc(F)cc2F)c1 ZINC000540182220 340921306 /nfs/dbraw/zinc/92/13/06/340921306.db2.gz OTHVXJBQLYKAKE-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1Cl)c1cccnc1 ZINC000540190091 340922374 /nfs/dbraw/zinc/92/23/74/340922374.db2.gz WGGSZIMGLIWIJF-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CCOc1ccc([C@H](N[C@@H](C)[C@H](C)OC)c2ccccc2)cc1 ZINC000540189637 340922376 /nfs/dbraw/zinc/92/23/76/340922376.db2.gz BYZFTKYABXIHKE-TWOQFEAHSA-N 0 3 313.441 4.188 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccncc1)Oc1cccc(Cl)c1 ZINC000540223246 340924320 /nfs/dbraw/zinc/92/43/20/340924320.db2.gz ZDFXXBZSWYBMFT-XJKSGUPXSA-N 0 3 304.821 4.243 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1)c1nc2ccccc2n1C ZINC000540261175 340925037 /nfs/dbraw/zinc/92/50/37/340925037.db2.gz UPJBNSUKKCSRQR-CYBMUJFWSA-N 0 3 313.832 4.120 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cccc(N3CCOC3=O)c2)c(C)c1 ZINC000068707515 340925348 /nfs/dbraw/zinc/92/53/48/340925348.db2.gz VKICLSDOODSSKQ-MRXNPFEDSA-N 0 3 324.424 4.111 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@@H]2N[C@@H]2CCc3ccccc32)cc1 ZINC000540396906 340929469 /nfs/dbraw/zinc/92/94/69/340929469.db2.gz KYXRJCGKPBSRRS-QYZOEREBSA-N 0 3 313.828 4.447 20 0 DIADHN COc1c(C)cnc(CNC2(c3ccccc3)CCCC2)c1C ZINC000540398711 340929551 /nfs/dbraw/zinc/92/95/51/340929551.db2.gz UMPBFHJSPUBRSD-UHFFFAOYSA-N 0 3 310.441 4.266 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccc(OC)cc2)o1)C(C)(C)C ZINC000540588885 340936654 /nfs/dbraw/zinc/93/66/54/340936654.db2.gz GXWNADCPUUFHRV-MRXNPFEDSA-N 0 3 302.418 4.265 20 0 DIADHN CCC[C@@H](NCc1nc(-c2ccco2)no1)C1CCCCC1 ZINC000540591774 340936909 /nfs/dbraw/zinc/93/69/09/340936909.db2.gz ZGXNKANAQGXXEM-CQSZACIVSA-N 0 3 303.406 4.168 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNCCc2ccc3ccccc3n2)o1 ZINC000540595371 340937476 /nfs/dbraw/zinc/93/74/76/340937476.db2.gz DAIUWIMTRNBKRU-KBXCAEBGSA-N 0 3 306.409 4.284 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1 ZINC000540605033 340938124 /nfs/dbraw/zinc/93/81/24/340938124.db2.gz DWCOLQFVMJTSMF-FASAQXTFSA-N 0 3 317.379 4.454 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCC(CC)(CC)CO)oc21 ZINC000540606949 340938423 /nfs/dbraw/zinc/93/84/23/340938423.db2.gz KBCQEEUCRDHGDQ-AWEZNQCLSA-N 0 3 319.445 4.281 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2cccc(F)c2F)CC1 ZINC000540646138 340940046 /nfs/dbraw/zinc/94/00/46/340940046.db2.gz DCMSSXRQPXNDIZ-ZDUSSCGKSA-N 0 3 317.379 4.356 20 0 DIADHN FC(F)Oc1ccc([C@H](NCC2CC2)c2ccccc2)cc1 ZINC000540915251 340951442 /nfs/dbraw/zinc/95/14/42/340951442.db2.gz IVMIEAMHYIYYKZ-QGZVFWFLSA-N 0 3 303.352 4.377 20 0 DIADHN Clc1ccc(Br)c(CN[C@@H]2CCCSC2)c1 ZINC000129243814 340952067 /nfs/dbraw/zinc/95/20/67/340952067.db2.gz OMICMHJCMKXPLP-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN C[C@]1(NCc2cc(-c3ccccc3)n[nH]2)CCCc2ccccc21 ZINC000540962757 340953548 /nfs/dbraw/zinc/95/35/48/340953548.db2.gz KNXWGZXKLWOIBD-NRFANRHFSA-N 0 3 317.436 4.418 20 0 DIADHN CC[C@@H](NC1CCC(c2ccc(F)cc2)CC1)c1nccn1C ZINC000541013469 340955966 /nfs/dbraw/zinc/95/59/66/340955966.db2.gz MUNBMOLELLQGPN-VMWRSERWSA-N 0 3 315.436 4.326 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCC[C@@H]2C(F)(F)F)c1 ZINC000069393024 340957643 /nfs/dbraw/zinc/95/76/43/340957643.db2.gz DAYJKEZOCMYZBJ-LSDHHAIUSA-N 0 3 301.352 4.044 20 0 DIADHN Clc1ccc(-c2ccc(CNCC[C@H]3CCOC3)o2)cc1 ZINC000541368156 340968628 /nfs/dbraw/zinc/96/86/28/340968628.db2.gz HZLUCBGEUHBGGC-ZDUSSCGKSA-N 0 3 305.805 4.116 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1cc(F)ccc1F ZINC000541570268 340975239 /nfs/dbraw/zinc/97/52/39/340975239.db2.gz TWUJZPMZCMBTKT-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN CC(C)C[C@@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1cccs1 ZINC000541627059 340978459 /nfs/dbraw/zinc/97/84/59/340978459.db2.gz RSBFMDCAFUZNQW-HZPDHXFCSA-N 0 3 324.534 4.459 20 0 DIADHN Clc1cnccc1CNC1(Cc2ccccc2)CCCC1 ZINC000541696987 340980373 /nfs/dbraw/zinc/98/03/73/340980373.db2.gz KGTUUVXHBKHFEL-UHFFFAOYSA-N 0 3 300.833 4.380 20 0 DIADHN Cc1nc(CNC2(Cc3ccccc3)CCCC2)c(C)s1 ZINC000541702143 340980604 /nfs/dbraw/zinc/98/06/04/340980604.db2.gz LNGMTWBHCCFVKG-UHFFFAOYSA-N 0 3 300.471 4.405 20 0 DIADHN CCc1cnccc1[C@H](C)NCCSc1ccc(F)cc1 ZINC000542123904 340996126 /nfs/dbraw/zinc/99/61/26/340996126.db2.gz ROFJTAWIZFLHTB-ZDUSSCGKSA-N 0 3 304.434 4.226 20 0 DIADHN CN(CCCc1ccccc1Br)Cc1ccoc1 ZINC000542209682 341000197 /nfs/dbraw/zinc/00/01/97/341000197.db2.gz LPEHAEDVPFLHLS-UHFFFAOYSA-N 0 3 308.219 4.107 20 0 DIADHN C[C@@]1(NCc2ccc(Cl)c(Cl)c2)CCO[C@@H]1C1CC1 ZINC000542311250 341004120 /nfs/dbraw/zinc/00/41/20/341004120.db2.gz PPVJQIUJQQFMQQ-HUUCEWRRSA-N 0 3 300.229 4.041 20 0 DIADHN CS[C@@H](CNCc1ccccc1OC(F)F)C(C)(C)C ZINC000542313470 341004185 /nfs/dbraw/zinc/00/41/85/341004185.db2.gz TYPYUNISUDILPH-ZDUSSCGKSA-N 0 3 303.418 4.155 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000542317304 341004333 /nfs/dbraw/zinc/00/43/33/341004333.db2.gz WUIXVOISFYUZRZ-CYBMUJFWSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1ncccc1CNCCn1c2ccccc2c2ccccc21 ZINC000542316672 341004431 /nfs/dbraw/zinc/00/44/31/341004431.db2.gz YHCQUFRQCCWTLT-UHFFFAOYSA-N 0 3 315.420 4.288 20 0 DIADHN CC(C)c1csc(CN[C@H]2CCC[C@H](C(F)(F)F)C2)n1 ZINC000130207508 341006468 /nfs/dbraw/zinc/00/64/68/341006468.db2.gz OAMZKXCRBKTEKL-QWRGUYRKSA-N 0 3 306.397 4.477 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccc(Cl)s2)s1 ZINC000130232966 341007853 /nfs/dbraw/zinc/00/78/53/341007853.db2.gz LZINZTBCUUHPOF-SECBINFHSA-N 0 3 300.880 4.314 20 0 DIADHN COCCCN[C@H](c1ccc(F)cc1)c1cnc2ccccc2c1 ZINC000542476597 341009065 /nfs/dbraw/zinc/00/90/65/341009065.db2.gz PWABTCHKZJQSMI-HXUWFJFHSA-N 0 3 324.399 4.089 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1cccc(OC(C)(C)C)n1 ZINC000542483626 341009465 /nfs/dbraw/zinc/00/94/65/341009465.db2.gz DXSAEIXCHUROAL-NSHDSACASA-N 0 3 304.356 4.080 20 0 DIADHN C[C@H](NCCCNc1cccc(F)c1)c1ccc(F)c(F)c1 ZINC000542485681 341009790 /nfs/dbraw/zinc/00/97/90/341009790.db2.gz LZRYVTOTSPHFRZ-LBPRGKRZSA-N 0 3 308.347 4.257 20 0 DIADHN C[C@H](NCCCNc1ccccc1F)c1ccc(F)c(F)c1 ZINC000542488184 341009923 /nfs/dbraw/zinc/00/99/23/341009923.db2.gz BHVGDIXFGLVEAE-LBPRGKRZSA-N 0 3 308.347 4.257 20 0 DIADHN CC(C)[C@@H](NCCCNc1ccccc1F)c1ccc(F)cn1 ZINC000542488790 341009992 /nfs/dbraw/zinc/00/99/92/341009992.db2.gz XJGFHFOPSMPORW-GOSISDBHSA-N 0 3 319.399 4.149 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc(C)c(C(F)(F)F)c1 ZINC000542489511 341010154 /nfs/dbraw/zinc/01/01/54/341010154.db2.gz LGPOWPJYWAVUJH-NSHDSACASA-N 0 3 316.367 4.119 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccccc1SC(C)C ZINC000542492685 341010196 /nfs/dbraw/zinc/01/01/96/341010196.db2.gz YSHHZEGRPSHJSN-CYBMUJFWSA-N 0 3 308.491 4.292 20 0 DIADHN Fc1ccc([C@@H](NCC2(C3CC3)CCC2)c2ccccn2)cc1 ZINC000542494603 341010250 /nfs/dbraw/zinc/01/02/50/341010250.db2.gz MDHBOEISDRKZIG-LJQANCHMSA-N 0 3 310.416 4.480 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)C(=O)N(C)C2CCCCC2)c(C)s1 ZINC000542511995 341011234 /nfs/dbraw/zinc/01/12/34/341011234.db2.gz YLMBJIBQLDNHGS-KBPBESRZSA-N 0 3 322.518 4.195 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1CCO[C@@H]1CCCCO1 ZINC000542514381 341011329 /nfs/dbraw/zinc/01/13/29/341011329.db2.gz BJXFEAYQEYCZHS-IAGOWNOFSA-N 0 3 309.837 4.020 20 0 DIADHN C[C@H]1C[C@H](NC[C@@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000130271994 341011595 /nfs/dbraw/zinc/01/15/95/341011595.db2.gz QSSIVAOFHLRMKN-WHOFXGATSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@H]1C[C@@H](NC[C@@H]2Cc3ccccc3O2)c2ccsc2S1 ZINC000130272177 341011642 /nfs/dbraw/zinc/01/16/42/341011642.db2.gz QSSIVAOFHLRMKN-CORIIIEPSA-N 0 3 317.479 4.267 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2cc(F)c(F)c(F)c2)s1 ZINC000130273867 341011756 /nfs/dbraw/zinc/01/17/56/341011756.db2.gz IJHIONFBBZKNAV-VIFPVBQESA-N 0 3 314.376 4.016 20 0 DIADHN COC(=O)c1oc([C@H](C)N[C@H](C)[C@H](C)c2ccccc2)cc1C ZINC000542545715 341012965 /nfs/dbraw/zinc/01/29/65/341012965.db2.gz YETARXOTDSWECF-ZNMIVQPWSA-N 0 3 315.413 4.217 20 0 DIADHN CC(C)C[C@@H](N[C@@H](C)C(=O)NC1CCCCC1)c1cccs1 ZINC000542557488 341013480 /nfs/dbraw/zinc/01/34/80/341013480.db2.gz QDMZKLFRYXIIQK-GOEBONIOSA-N 0 3 322.518 4.262 20 0 DIADHN CCC[C@@H]1CCCCN1CC(=O)Nc1ccccc1C(C)C ZINC000542631181 341015283 /nfs/dbraw/zinc/01/52/83/341015283.db2.gz DAYYQXYYTUIVKF-MRXNPFEDSA-N 0 3 302.462 4.403 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CCC[C@@H](C(F)(F)F)C3)nc21 ZINC000130311184 341015433 /nfs/dbraw/zinc/01/54/33/341015433.db2.gz BHGWYJJCCYSUSG-VXGBXAGGSA-N 0 3 311.351 4.082 20 0 DIADHN CC[C@H](NCC(C)(C)c1c(F)cccc1Cl)c1ccn(C)n1 ZINC000542667358 341016309 /nfs/dbraw/zinc/01/63/09/341016309.db2.gz LEOFFFXKLHRLGT-AWEZNQCLSA-N 0 3 323.843 4.231 20 0 DIADHN CC[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1ccn(C)n1 ZINC000542669754 341016554 /nfs/dbraw/zinc/01/65/54/341016554.db2.gz YPWMBBDPMOPRGW-CQSZACIVSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@@H]1Cc1ccccc1)c1ccn(C)n1 ZINC000542677540 341016975 /nfs/dbraw/zinc/01/69/75/341016975.db2.gz KBIYCRKTUSJKRQ-CEXWTWQISA-N 0 3 311.473 4.262 20 0 DIADHN C[C@@H]1CCCCN1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000542697318 341017616 /nfs/dbraw/zinc/01/76/16/341017616.db2.gz KDAHCSIHPSFKMM-LLVKDONJSA-N 0 3 305.315 4.141 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccc3ccccc3n2)c(F)c1 ZINC000542700233 341017759 /nfs/dbraw/zinc/01/77/59/341017759.db2.gz PLUSFQMPOYZOII-HWKANZROSA-N 0 3 310.347 4.316 20 0 DIADHN CC[C@@H]1CCCN1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000542698996 341017887 /nfs/dbraw/zinc/01/78/87/341017887.db2.gz CPDLDBHNUPEPTI-GFCCVEGCSA-N 0 3 305.315 4.141 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](c2cnn(C)c2)c2cccc(F)c2)C[C@H]1C ZINC000542706927 341018338 /nfs/dbraw/zinc/01/83/38/341018338.db2.gz CYQHUTJTFUJNDJ-QZCPTFHJSA-N 0 3 315.436 4.063 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000130344289 341018970 /nfs/dbraw/zinc/01/89/70/341018970.db2.gz FRAMQWJCJVBWDW-HNNXBMFYSA-N 0 3 319.836 4.401 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cccc3O)cc1Cl ZINC000130350736 341019247 /nfs/dbraw/zinc/01/92/47/341019247.db2.gz NBLHFWBMBIMGBN-ZBEGNZNMSA-N 0 3 317.816 4.392 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3c2cccc3O)cc1Cl ZINC000130350323 341019334 /nfs/dbraw/zinc/01/93/34/341019334.db2.gz NBLHFWBMBIMGBN-MEDUHNTESA-N 0 3 317.816 4.392 20 0 DIADHN Brc1csc(CNC/C=C/c2ccccc2)c1 ZINC000070938010 341019697 /nfs/dbraw/zinc/01/96/97/341019697.db2.gz AXGIRPZNVOXLDH-QPJJXVBHSA-N 0 3 308.244 4.314 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCc2c[nH]nc2C1)c1ccc(Cl)cc1F ZINC000542845941 341023207 /nfs/dbraw/zinc/02/32/07/341023207.db2.gz GBVVZAOYXBTYFR-CXAGYDPISA-N 0 3 321.827 4.046 20 0 DIADHN Cc1cnn([C@@H]2CCN(Cc3cccc(-c4ccccc4)c3)C2)c1 ZINC000564262368 341026348 /nfs/dbraw/zinc/02/63/48/341026348.db2.gz YMTMDUOQAQYLFD-OAQYLSRUSA-N 0 3 317.436 4.306 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000542946448 341026950 /nfs/dbraw/zinc/02/69/50/341026950.db2.gz NHJNTPFIXDRFAN-DZGCQCFKSA-N 0 3 323.362 4.300 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccoc3)n2)[C@@H]2CCCC[C@@H]21 ZINC000542972139 341027588 /nfs/dbraw/zinc/02/75/88/341027588.db2.gz KBJUKLWVDWQAEE-DAXOMENPSA-N 0 3 302.443 4.414 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)c1 ZINC000542986053 341027851 /nfs/dbraw/zinc/02/78/51/341027851.db2.gz IPFZGMDXXCYPHL-OAHLLOKOSA-N 0 3 323.362 4.047 20 0 DIADHN Cc1cc(CN(C)[C@H]2CCSC2)ccc1Oc1cccnc1 ZINC000130581229 341029361 /nfs/dbraw/zinc/02/93/61/341029361.db2.gz LQKYHXIVAIFYCU-INIZCTEOSA-N 0 3 314.454 4.120 20 0 DIADHN FC(F)(F)c1cc([C@@H](N[C@@H]2CCOC2)C2CC2)ccc1Cl ZINC000543073561 341030598 /nfs/dbraw/zinc/03/05/98/341030598.db2.gz BBBRKXCFYFETNA-RISCZKNCSA-N 0 3 319.754 4.188 20 0 DIADHN CCCCn1cc(CNC2CC(c3ccccc3C)C2)c(C)n1 ZINC000543073790 341030634 /nfs/dbraw/zinc/03/06/34/341030634.db2.gz FRSFSPQRPVJBAD-UHFFFAOYSA-N 0 3 311.473 4.336 20 0 DIADHN C[C@]1(NCc2cccc(Cl)c2Cl)CCO[C@H]1C1CC1 ZINC000543241083 341037570 /nfs/dbraw/zinc/03/75/70/341037570.db2.gz DCWLMKVXCAYQBF-GJZGRUSLSA-N 0 3 300.229 4.041 20 0 DIADHN OCCCC1CCN(Cc2ccc(-c3ccccc3F)o2)CC1 ZINC000543102130 341032212 /nfs/dbraw/zinc/03/22/12/341032212.db2.gz AKENHGURUWUJLX-UHFFFAOYSA-N 0 3 317.404 4.070 20 0 DIADHN CN(CCCCC(=O)OC(C)(C)C)Cc1ccccc1Cl ZINC000543141324 341034007 /nfs/dbraw/zinc/03/40/07/341034007.db2.gz XXEVGWOHFXIHSM-UHFFFAOYSA-N 0 3 311.853 4.284 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)C[C@H]1CC=CCC1 ZINC000543176670 341035211 /nfs/dbraw/zinc/03/52/11/341035211.db2.gz JUFPYUDDSVWECN-INIZCTEOSA-N 0 3 300.446 4.037 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1CNC[C@H]1CCCCS1 ZINC000543237419 341037446 /nfs/dbraw/zinc/03/74/46/341037446.db2.gz DRKNZYGOVQDTTI-GFCCVEGCSA-N 0 3 307.356 4.220 20 0 DIADHN C[C@]1(NCc2ccc(Cl)cc2Cl)CCO[C@@H]1C1CC1 ZINC000543241076 341037517 /nfs/dbraw/zinc/03/75/17/341037517.db2.gz CVUCWKRYUARQFD-CABCVRRESA-N 0 3 300.229 4.041 20 0 DIADHN C[C@@]1(NCc2cccc(Cl)c2Cl)CCO[C@@H]1C1CC1 ZINC000543241084 341037564 /nfs/dbraw/zinc/03/75/64/341037564.db2.gz DCWLMKVXCAYQBF-HUUCEWRRSA-N 0 3 300.229 4.041 20 0 DIADHN O[C@H](CCc1ccccc1)CNCc1ccc(Cl)c(Cl)c1 ZINC000543242974 341037700 /nfs/dbraw/zinc/03/77/00/341037700.db2.gz DSYNFOUJAJMABW-OAHLLOKOSA-N 0 3 324.251 4.077 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C(C)(C)C)C1 ZINC000543248486 341038259 /nfs/dbraw/zinc/03/82/59/341038259.db2.gz CGIQCQXKPRTPMQ-NWDGAFQWSA-N 0 3 305.447 4.227 20 0 DIADHN C[C@H]1CO[C@H](c2ccccc2)CN1Cc1cccc2[nH]ccc21 ZINC000130686245 341039210 /nfs/dbraw/zinc/03/92/10/341039210.db2.gz LYFHMCUUDDOYPA-YWZLYKJASA-N 0 3 306.409 4.130 20 0 DIADHN C[C@H](NCCc1nc2c(s1)CCCC2)c1ccccc1F ZINC000130709705 341039804 /nfs/dbraw/zinc/03/98/04/341039804.db2.gz IEZZRLZRHDHQQE-LBPRGKRZSA-N 0 3 304.434 4.054 20 0 DIADHN CN(CCCF)[C@@H]1CCSc2ccc(Br)cc21 ZINC000130768208 341041438 /nfs/dbraw/zinc/04/14/38/341041438.db2.gz MGZPYLMUTVUEDT-GFCCVEGCSA-N 0 3 318.255 4.277 20 0 DIADHN CN(CCCF)[C@H]1CCSc2ccc(Br)cc21 ZINC000130768444 341041464 /nfs/dbraw/zinc/04/14/64/341041464.db2.gz MGZPYLMUTVUEDT-LBPRGKRZSA-N 0 3 318.255 4.277 20 0 DIADHN Cc1cc(CN2CCS[C@@H](C(C)C)C2)cc2cccnc12 ZINC000543394490 341041787 /nfs/dbraw/zinc/04/17/87/341041787.db2.gz PSAXRGBHNRANCR-QGZVFWFLSA-N 0 3 300.471 4.117 20 0 DIADHN Cc1cc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc2cccnc12 ZINC000543406399 341042641 /nfs/dbraw/zinc/04/26/41/341042641.db2.gz KQLSYBCJTDQKBV-LJQANCHMSA-N 0 3 322.456 4.154 20 0 DIADHN COC[C@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccc(C)o1 ZINC000543410143 341042654 /nfs/dbraw/zinc/04/26/54/341042654.db2.gz NJJGPTXXOGYASZ-PREGVCBESA-N 0 3 319.832 4.465 20 0 DIADHN COc1ccc(CN(C)C[C@@H]2CC=CCC2)c(Cl)c1OC ZINC000543411459 341042912 /nfs/dbraw/zinc/04/29/12/341042912.db2.gz OAXMCGWPLILYEX-CYBMUJFWSA-N 0 3 309.837 4.145 20 0 DIADHN CC[C@H](NC[C@@H](O)CCc1ccccc1)c1ccc(Cl)s1 ZINC000543416978 341043316 /nfs/dbraw/zinc/04/33/16/341043316.db2.gz IESWSIRSNDQMNK-GJZGRUSLSA-N 0 3 323.889 4.436 20 0 DIADHN CC[C@H](NC[C@H](O)CCc1ccccc1)c1ccc(Cl)s1 ZINC000543416977 341043335 /nfs/dbraw/zinc/04/33/35/341043335.db2.gz IESWSIRSNDQMNK-CABCVRRESA-N 0 3 323.889 4.436 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(c2ccc(OC)cc2)CCC1 ZINC000543416657 341043359 /nfs/dbraw/zinc/04/33/59/341043359.db2.gz XMPHFTATTVQQOA-INIZCTEOSA-N 0 3 324.468 4.425 20 0 DIADHN CC(C)C[C@@H](NCCCNc1cccc(F)c1)c1ccccn1 ZINC000543419051 341043659 /nfs/dbraw/zinc/04/36/59/341043659.db2.gz NHYLSZBHGLPZCY-LJQANCHMSA-N 0 3 315.436 4.400 20 0 DIADHN c1coc([C@H](NCCc2c[nH]c3ncccc23)c2ccccc2)c1 ZINC000543423040 341043746 /nfs/dbraw/zinc/04/37/46/341043746.db2.gz RBYRJRSXAOBTPY-LJQANCHMSA-N 0 3 317.392 4.078 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2CCO[C@@H]2CCCCO2)o1 ZINC000543445027 341044961 /nfs/dbraw/zinc/04/49/61/341044961.db2.gz SJJJRCKNKAAWLD-FUHWJXTLSA-N 0 3 307.434 4.048 20 0 DIADHN CCCC[C@@H](NCc1nnc(-c2ccc(C)cc2)o1)C1CCC1 ZINC000543455513 341045609 /nfs/dbraw/zinc/04/56/09/341045609.db2.gz VHNZHGZKKRTOPO-QGZVFWFLSA-N 0 3 313.445 4.494 20 0 DIADHN CCCC[C@@H](NCc1csc(-c2ncccn2)n1)C1CCC1 ZINC000543455137 341045716 /nfs/dbraw/zinc/04/57/16/341045716.db2.gz RCGRSSGRCRKBDD-OAHLLOKOSA-N 0 3 316.474 4.049 20 0 DIADHN C[C@H](NCc1nc(-c2ccco2)no1)[C@@H](C)C1CCCCC1 ZINC000543460992 341045897 /nfs/dbraw/zinc/04/58/97/341045897.db2.gz TWHCIOIPNDCUNM-OLZOCXBDSA-N 0 3 303.406 4.024 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H](C)[C@H](C)CC)co2)cc1 ZINC000543470612 341046281 /nfs/dbraw/zinc/04/62/81/341046281.db2.gz XDHDTSXMOKIZPX-ZIAGYGMSSA-N 0 3 302.418 4.265 20 0 DIADHN C[C@@H](NCc1csc(N(C)C2CC2)n1)[C@H](C)c1ccccc1 ZINC000543472438 341046416 /nfs/dbraw/zinc/04/64/16/341046416.db2.gz WEMDCMQPMMQLIT-UONOGXRCSA-N 0 3 315.486 4.024 20 0 DIADHN CCc1sc(-c2nnc(CN[C@@H](C)[C@H](C)CC)o2)cc1C ZINC000543471472 341046482 /nfs/dbraw/zinc/04/64/82/341046482.db2.gz GKYLFXMGPDWJEZ-PWSUYJOCSA-N 0 3 307.463 4.193 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H](C)[C@H](C)c3ccccc3)o2)cc1 ZINC000543475608 341046602 /nfs/dbraw/zinc/04/66/02/341046602.db2.gz YNQLKAXFOSQMSL-HOTGVXAUSA-N 0 3 321.424 4.327 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H](C)[C@H](C)c3ccccc3)o2)cc1 ZINC000543475610 341046619 /nfs/dbraw/zinc/04/66/19/341046619.db2.gz YNQLKAXFOSQMSL-JKSUJKDBSA-N 0 3 321.424 4.327 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N1[C@H](C)CCC[C@@H]1C)c1cccs1 ZINC000543486056 341047010 /nfs/dbraw/zinc/04/70/10/341047010.db2.gz RYRLTNRJZRSOAG-OWCLPIDISA-N 0 3 322.518 4.214 20 0 DIADHN COc1ccccc1C[C@H](NCc1nc(C)sc1C)C(C)C ZINC000543492322 341047371 /nfs/dbraw/zinc/04/73/71/341047371.db2.gz HRCMZCKXWNEFQN-INIZCTEOSA-N 0 3 318.486 4.125 20 0 DIADHN Cc1ccc(OCCCN[C@@H](C)c2cnn(C(C)C)c2)cc1C ZINC000543502586 341047786 /nfs/dbraw/zinc/04/77/86/341047786.db2.gz DDSFSMDGIAPQPT-KRWDZBQOSA-N 0 3 315.461 4.201 20 0 DIADHN COCC[C@@H](NCCSc1ccccc1F)c1ccc(C)o1 ZINC000543509924 341048155 /nfs/dbraw/zinc/04/81/55/341048155.db2.gz KGOLGBPOVVZFNW-OAHLLOKOSA-N 0 3 323.433 4.187 20 0 DIADHN Cc1cnc(C(C)(C)NCCCOc2ccc(Cl)cc2)s1 ZINC000543509330 341048175 /nfs/dbraw/zinc/04/81/75/341048175.db2.gz KBWKHJBLDXVLTR-UHFFFAOYSA-N 0 3 324.877 4.399 20 0 DIADHN c1ccc([C@H](N[C@@H]2CC[C@H]3CCC[C@H]3C2)c2cncnc2)cc1 ZINC000543591159 341049755 /nfs/dbraw/zinc/04/97/55/341049755.db2.gz DSEZNGKELNCHGQ-VUBSUSDNSA-N 0 3 307.441 4.124 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1cncnc1)C1CCCCC1 ZINC000543592224 341049796 /nfs/dbraw/zinc/04/97/96/341049796.db2.gz CGTFLGDGRCXEPP-OXJNMPFZSA-N 0 3 309.457 4.372 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccccc1Cl)c1ccn(C)n1 ZINC000543600240 341050476 /nfs/dbraw/zinc/05/04/76/341050476.db2.gz ODHTUKUEQRKANV-HNNXBMFYSA-N 0 3 305.853 4.092 20 0 DIADHN Cc1ccc([C@H](NCCc2sc(C)nc2C)C2CCC2)o1 ZINC000543600933 341050600 /nfs/dbraw/zinc/05/06/00/341050600.db2.gz DMPJMQTVKLMHPW-QGZVFWFLSA-N 0 3 304.459 4.335 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@H](CC)c1ccn(C)n1 ZINC000543601938 341050746 /nfs/dbraw/zinc/05/07/46/341050746.db2.gz JILCMRQVCKPCFM-HZPDHXFCSA-N 0 3 305.853 4.136 20 0 DIADHN Cc1ccc([C@@H](NCCn2c(C)nc3ccccc32)C2CCC2)o1 ZINC000543601880 341050825 /nfs/dbraw/zinc/05/08/25/341050825.db2.gz KZJPPAYQDPLCFC-FQEVSTJZSA-N 0 3 323.440 4.377 20 0 DIADHN COC1CCC(CCNCc2csc(C(C)(C)C)n2)CC1 ZINC000543617417 341051141 /nfs/dbraw/zinc/05/11/41/341051141.db2.gz VBIFMABRKGVLKI-UHFFFAOYSA-N 0 3 310.507 4.126 20 0 DIADHN C[C@H](CN(C)C/C=C/c1ccc(F)cc1F)c1nccs1 ZINC000543623874 341051582 /nfs/dbraw/zinc/05/15/82/341051582.db2.gz MBRWOUFXHWAXHR-AAOUONPWSA-N 0 3 308.397 4.170 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc(OCC(F)(F)F)c(F)c1 ZINC000543630759 341051951 /nfs/dbraw/zinc/05/19/51/341051951.db2.gz ARYJYQROUZYSDW-GHMZBOCLSA-N 0 3 305.315 4.140 20 0 DIADHN CCC[C@H]1CCCCN1Cc1cnc(-c2ccccn2)s1 ZINC000543636135 341052425 /nfs/dbraw/zinc/05/24/25/341052425.db2.gz YONCRIMWUZJJJT-AWEZNQCLSA-N 0 3 301.459 4.360 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1cnc(-c2ccccn2)s1 ZINC000543636137 341052510 /nfs/dbraw/zinc/05/25/10/341052510.db2.gz YONCRIMWUZJJJT-CQSZACIVSA-N 0 3 301.459 4.360 20 0 DIADHN Fc1ccc(C(NC[C@H]2CCCCO2)c2ccc(F)cc2)cc1 ZINC000543702635 341054092 /nfs/dbraw/zinc/05/40/92/341054092.db2.gz PZRORKOVRNSCSH-GOSISDBHSA-N 0 3 317.379 4.213 20 0 DIADHN CC(C)C[C@H](NCc1ccc(NC(N)=O)cc1)c1cccs1 ZINC000130960814 341055049 /nfs/dbraw/zinc/05/50/49/341055049.db2.gz MMZKPVVNTIMMJB-HNNXBMFYSA-N 0 3 317.458 4.116 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCc3ccc(F)cc32)cc1 ZINC000543775362 341058152 /nfs/dbraw/zinc/05/81/52/341058152.db2.gz BZDOORBEIKUCOZ-HXPMCKFVSA-N 0 3 312.388 4.122 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccc3c[nH]nc3c1)[C@H]2C ZINC000543780080 341058336 /nfs/dbraw/zinc/05/83/36/341058336.db2.gz MUPKHKPOIZSUDW-KBPBESRZSA-N 0 3 321.424 4.079 20 0 DIADHN Cc1cncc(CN[C@H](C)c2cccc(Br)c2)c1 ZINC000131089571 341059230 /nfs/dbraw/zinc/05/92/30/341059230.db2.gz RJEANMPTPICJMT-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CC[C@@H](NCc1cncc(C)c1)c1ccc(Br)cc1 ZINC000131091031 341059315 /nfs/dbraw/zinc/05/93/15/341059315.db2.gz LIUUHTIJDGBQGY-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1cc(C2CCN([C@@H]3CCc4c3cccc4Cl)CC2)n[nH]1 ZINC000543901856 341062920 /nfs/dbraw/zinc/06/29/20/341062920.db2.gz ICZAZPSVMJFGOV-GOSISDBHSA-N 0 3 315.848 4.238 20 0 DIADHN Cc1cc(C2CCN([C@@H]3CCc4c3cccc4Cl)CC2)[nH]n1 ZINC000543901856 341062921 /nfs/dbraw/zinc/06/29/21/341062921.db2.gz ICZAZPSVMJFGOV-GOSISDBHSA-N 0 3 315.848 4.238 20 0 DIADHN Fc1ccc(OC2CCN(CCCc3ccsc3)CC2)cc1 ZINC000543962214 341064355 /nfs/dbraw/zinc/06/43/55/341064355.db2.gz WQBBRGXLBNANAM-UHFFFAOYSA-N 0 3 319.445 4.363 20 0 DIADHN CC(C)(C)Oc1ccc(CNC2CC(c3ccccc3)C2)cn1 ZINC000543996711 341065591 /nfs/dbraw/zinc/06/55/91/341065591.db2.gz QPGJKAKTLWVIJI-UHFFFAOYSA-N 0 3 310.441 4.295 20 0 DIADHN CC(C)(C)[C@H](N[C@H]1CCOC1)c1cccc(C(F)(F)F)c1 ZINC000543999974 341065706 /nfs/dbraw/zinc/06/57/06/341065706.db2.gz RPYMTWMFQAKCFP-UONOGXRCSA-N 0 3 301.352 4.171 20 0 DIADHN CC(C)(C)[C@@H](N[C@H]1CCOC1)c1cccc(C(F)(F)F)c1 ZINC000543999972 341065712 /nfs/dbraw/zinc/06/57/12/341065712.db2.gz RPYMTWMFQAKCFP-KBPBESRZSA-N 0 3 301.352 4.171 20 0 DIADHN CC(C)COC1CCN(CCCc2ccccc2Cl)CC1 ZINC000544062662 341068747 /nfs/dbraw/zinc/06/87/47/341068747.db2.gz SWTPBFXAYVKIQO-UHFFFAOYSA-N 0 3 309.881 4.410 20 0 DIADHN CN(CCCc1ccccc1Br)CCC(F)(F)F ZINC000544071656 341069199 /nfs/dbraw/zinc/06/91/99/341069199.db2.gz SPZUHIRECHQCTN-UHFFFAOYSA-N 0 3 324.184 4.266 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCc1cccc(F)c1F)CCC2 ZINC000544071892 341069239 /nfs/dbraw/zinc/06/92/39/341069239.db2.gz YWUQQNFXEPYRHE-OAHLLOKOSA-N 0 3 322.424 4.282 20 0 DIADHN CC(C)(C)OC(=O)CCCCN1CCC[C@H]1c1cccc(F)c1 ZINC000544070509 341069265 /nfs/dbraw/zinc/06/92/65/341069265.db2.gz DMORHFGENHPVOZ-KRWDZBQOSA-N 0 3 321.436 4.475 20 0 DIADHN CN(Cc1ncc(-c2ccccc2F)o1)C[C@H]1CC=CCC1 ZINC000544109440 341070483 /nfs/dbraw/zinc/07/04/83/341070483.db2.gz YWKQWOWIDCIICY-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ncc(-c3ccccc3)s2)CCO1 ZINC000544166722 341072639 /nfs/dbraw/zinc/07/26/39/341072639.db2.gz WWBYBGPDKBDAIV-HUUCEWRRSA-N 0 3 316.470 4.105 20 0 DIADHN Cc1nc(CN2CC[C@H](c3cc(C)cc(C)c3)C2)c(C)s1 ZINC000544187997 341073721 /nfs/dbraw/zinc/07/37/21/341073721.db2.gz ZSIMIKQKHBAIOQ-INIZCTEOSA-N 0 3 300.471 4.366 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3cc(C)cc(C)c3)C2)c(C)s1 ZINC000544187998 341073743 /nfs/dbraw/zinc/07/37/43/341073743.db2.gz ZSIMIKQKHBAIOQ-MRXNPFEDSA-N 0 3 300.471 4.366 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)c1ccccc1F)[C@@H]1CCCO1 ZINC000544358768 341077983 /nfs/dbraw/zinc/07/79/83/341077983.db2.gz LRSJDOSPVYDPNP-BEVDRBHNSA-N 0 3 313.416 4.267 20 0 DIADHN Cc1nn2c(CN(C)C[C@H]3CC=CCC3)c(C(C)C)nc2s1 ZINC000544369542 341078547 /nfs/dbraw/zinc/07/85/47/341078547.db2.gz ZHVBVLZDXCTYSE-AWEZNQCLSA-N 0 3 318.490 4.011 20 0 DIADHN CC(C)CCC[C@@H](C)NC(=O)CN[C@H](c1cccs1)C1CC1 ZINC000544397252 341080190 /nfs/dbraw/zinc/08/01/90/341080190.db2.gz AUZZUSDWOMLALH-KDOFPFPSSA-N 0 3 322.518 4.120 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CN(CCCc2ccncc2)C2CC2)o1 ZINC000544410293 341081092 /nfs/dbraw/zinc/08/10/92/341081092.db2.gz DTMZEAMVIOTWMV-HNAYVOBHSA-N 0 3 310.441 4.395 20 0 DIADHN Fc1ccc([C@H]2C[C@H](Nc3ccnc4ccccc43)CCO2)cc1 ZINC000544415489 341081226 /nfs/dbraw/zinc/08/12/26/341081226.db2.gz RLYAQVQHXYSIBP-OXQOHEQNSA-N 0 3 322.383 4.128 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)CCC(C)(C)C)c(CN(C)C)c1 ZINC000544443422 341082330 /nfs/dbraw/zinc/08/23/30/341082330.db2.gz HYQQOBAOCMSMJC-HNNXBMFYSA-N 0 3 304.478 4.458 20 0 DIADHN CC[C@H](NCCCOc1ccccc1C)c1nc(C)cs1 ZINC000544449433 341082536 /nfs/dbraw/zinc/08/25/36/341082536.db2.gz IQJWQPPWXQNCJP-HNNXBMFYSA-N 0 3 304.459 4.270 20 0 DIADHN COc1ccccc1C[C@H](NCc1nc2ccccc2o1)C(C)C ZINC000544455118 341083005 /nfs/dbraw/zinc/08/30/05/341083005.db2.gz OMRBIVCJGSAGID-KRWDZBQOSA-N 0 3 324.424 4.193 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCCSCc2ccccc2)cn1 ZINC000544469819 341083591 /nfs/dbraw/zinc/08/35/91/341083591.db2.gz XFHPDCMNDCRULC-MRXNPFEDSA-N 0 3 317.502 4.438 20 0 DIADHN C[C@@H](NC(C)(C)c1cccs1)C(=O)Nc1ccc(Cl)cc1 ZINC000544471444 341083605 /nfs/dbraw/zinc/08/36/05/341083605.db2.gz RLJCRIHQYFFMLZ-LLVKDONJSA-N 0 3 322.861 4.253 20 0 DIADHN COCC[C@H](NCCCc1ccc(C)cc1)c1ccc(C)o1 ZINC000544474016 341083778 /nfs/dbraw/zinc/08/37/78/341083778.db2.gz WLRMVINLMUWGIO-SFHVURJKSA-N 0 3 301.430 4.196 20 0 DIADHN C[C@H](NCc1nc2ccccc2s1)[C@H]1Oc2ccccc2[C@H]1C ZINC000544513787 341084671 /nfs/dbraw/zinc/08/46/71/341084671.db2.gz FQMZDDPGRSAYAO-NQCMUKECSA-N 0 3 324.449 4.339 20 0 DIADHN C[C@H](NCc1c(F)cccc1Cl)[C@H]1COc2ccccc21 ZINC000544521102 341084810 /nfs/dbraw/zinc/08/48/10/341084810.db2.gz GFZQZYAQNGLBJN-SMDDNHRTSA-N 0 3 305.780 4.133 20 0 DIADHN CC[C@@H](CN[C@@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000544567032 341086007 /nfs/dbraw/zinc/08/60/07/341086007.db2.gz PJRSLQPTTYELFE-UWJYYQICSA-N 0 3 317.436 4.349 20 0 DIADHN CC[C@@H](NCC(C)(C)c1ccc(F)cc1Cl)c1ccn(C)n1 ZINC000544567180 341086081 /nfs/dbraw/zinc/08/60/81/341086081.db2.gz QDVAOWYNXKNVFR-OAHLLOKOSA-N 0 3 323.843 4.231 20 0 DIADHN Cc1ccc([C@H](NCc2cccc(-n3ccnc3)c2)C2CCC2)o1 ZINC000544569323 341086148 /nfs/dbraw/zinc/08/61/48/341086148.db2.gz NSMPPHPKCIQVCK-HXUWFJFHSA-N 0 3 321.424 4.405 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(OCC3CC3)nc2)s1 ZINC000131428720 341086385 /nfs/dbraw/zinc/08/63/85/341086385.db2.gz KJBGQOBPOSGQLJ-CYBMUJFWSA-N 0 3 316.470 4.345 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nc2c(s1)CCCC2)c1ccccc1 ZINC000544574315 341086557 /nfs/dbraw/zinc/08/65/57/341086557.db2.gz QXROQZHVJUVTFD-KBPBESRZSA-N 0 3 300.471 4.476 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](c2ccsc2)N(C)C)C2CCC2)o1 ZINC000544581448 341087226 /nfs/dbraw/zinc/08/72/26/341087226.db2.gz JLPFQFRRUJCCQH-WMZOPIPTSA-N 0 3 318.486 4.383 20 0 DIADHN C/C=C\COc1ccc(CC[C@H](C)N[C@H](C)c2ccon2)cc1 ZINC000544602911 341088219 /nfs/dbraw/zinc/08/82/19/341088219.db2.gz MNIOHDCVINAGJF-XETQRACLSA-N 0 3 314.429 4.301 20 0 DIADHN C[C@@H](CC1CCCCC1)CN(C)Cc1ccc([S@](C)=O)cc1 ZINC000544607555 341088544 /nfs/dbraw/zinc/08/85/44/341088544.db2.gz CFRXQISMOHGPDK-AOMKIAJQSA-N 0 3 321.530 4.462 20 0 DIADHN Fc1ccc(/C=C/CN[C@H](c2cccnc2)C2CC2)c(F)c1 ZINC000544633121 341089974 /nfs/dbraw/zinc/08/99/74/341089974.db2.gz DKVKPMLPNZSYGO-DCKQQPRJSA-N 0 3 300.352 4.114 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)[C@H]2CCC[C@@H](C)C2)s1 ZINC000544758770 341093096 /nfs/dbraw/zinc/09/30/96/341093096.db2.gz VZIHBHLFWITKMO-KOLCDFICSA-N 0 3 306.397 4.481 20 0 DIADHN c1ccc(-c2noc([C@@H]3C[C@H]3C3CC3)n2)c(CN2CCCCC2)c1 ZINC000544805818 341094291 /nfs/dbraw/zinc/09/42/91/341094291.db2.gz XTDDGFINPXZCOV-ZWKOTPCHSA-N 0 3 323.440 4.236 20 0 DIADHN c1ccc(-c2noc([C@H]3C[C@H]3C3CC3)n2)c(CN2CCCCC2)c1 ZINC000544805817 341094378 /nfs/dbraw/zinc/09/43/78/341094378.db2.gz XTDDGFINPXZCOV-ROUUACIJSA-N 0 3 323.440 4.236 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cc2ccccc2[nH]c1=O ZINC000544843277 341096064 /nfs/dbraw/zinc/09/60/64/341096064.db2.gz MDVNMUZFPBXRNL-HNNXBMFYSA-N 0 3 306.409 4.408 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc(F)c2)cc1OCC ZINC000072670544 341097153 /nfs/dbraw/zinc/09/71/53/341097153.db2.gz ASYFATYOBZZSLN-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN CCN(C(=O)CN[C@@H](C)c1c(C)cccc1C)c1ccccc1 ZINC000544894147 341097692 /nfs/dbraw/zinc/09/76/92/341097692.db2.gz QXUGIVIXIUAIHU-KRWDZBQOSA-N 0 3 310.441 4.007 20 0 DIADHN CCOCCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000131576605 341097749 /nfs/dbraw/zinc/09/77/49/341097749.db2.gz DGCGNMMMUULNIK-SNVBAGLBSA-N 0 3 307.768 4.019 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@H](C)c1ccc2c(c1)OCCO2 ZINC000544899195 341098436 /nfs/dbraw/zinc/09/84/36/341098436.db2.gz ZJYNPLRQJXUFOG-CVEARBPZSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1nc2ccccc2n1C1CC1 ZINC000544902930 341098741 /nfs/dbraw/zinc/09/87/41/341098741.db2.gz XSMUXMHHCVYKEE-CYBMUJFWSA-N 0 3 311.454 4.154 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCC[C@H]4CCC[C@@H]43)o2)cc1 ZINC000072693463 341099153 /nfs/dbraw/zinc/09/91/53/341099153.db2.gz QLAKZAMCXZPCKI-DOMZBBRYSA-N 0 3 317.820 4.155 20 0 DIADHN CCN(CC)[C@H](CNCc1cnc(C(C)C)s1)c1ccco1 ZINC000131742927 341110547 /nfs/dbraw/zinc/11/05/47/341110547.db2.gz RISPIHIQGLJXSP-OAHLLOKOSA-N 0 3 321.490 4.032 20 0 DIADHN CCc1ccc([C@H](NCc2cccc(C(=O)NC)c2)C(C)C)cc1 ZINC000072957607 341111377 /nfs/dbraw/zinc/11/13/77/341111377.db2.gz UJOPCAAYPLFWRK-HXUWFJFHSA-N 0 3 324.468 4.096 20 0 DIADHN COC[C@@H](C)N[C@@H](C)c1ccc(SCC(F)(F)F)cc1 ZINC000131864870 341112869 /nfs/dbraw/zinc/11/28/69/341112869.db2.gz BBVUSXBHAWQWHQ-MNOVXSKESA-N 0 3 307.381 4.027 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCCN2CCn2ccnc2)cc1 ZINC000131888488 341113206 /nfs/dbraw/zinc/11/32/06/341113206.db2.gz LEXYYFXDWNMGIG-INIZCTEOSA-N 0 3 323.362 4.129 20 0 DIADHN Cc1nc(C)c([C@H](C)NC2CC(OCc3ccccc3)C2)s1 ZINC000545206644 341113978 /nfs/dbraw/zinc/11/39/78/341113978.db2.gz PZKCOAFXFGTRFX-IGEOTXOUSA-N 0 3 316.470 4.158 20 0 DIADHN CCN(Cc1cc(C)nc(-c2ccc(Cl)cc2)n1)C1CC1 ZINC000131972261 341114372 /nfs/dbraw/zinc/11/43/72/341114372.db2.gz STQQWWZTGZSJQI-UHFFFAOYSA-N 0 3 301.821 4.090 20 0 DIADHN COCC[C@H](C)N[C@@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000545246440 341117111 /nfs/dbraw/zinc/11/71/11/341117111.db2.gz RRZWGBHOPQYMMI-JXFKEZNVSA-N 0 3 313.441 4.108 20 0 DIADHN COCC[C@@H](C)N[C@@H](c1ccc(C)cc1)c1ccc(OC)cc1 ZINC000545246443 341117170 /nfs/dbraw/zinc/11/71/70/341117170.db2.gz RRZWGBHOPQYMMI-UZLBHIALSA-N 0 3 313.441 4.108 20 0 DIADHN FC(F)(F)Cn1cc(CN2CCCC[C@@H]2c2ccccc2)cn1 ZINC000545278216 341118794 /nfs/dbraw/zinc/11/87/94/341118794.db2.gz VDPFJBDPHMDEJA-MRXNPFEDSA-N 0 3 323.362 4.173 20 0 DIADHN COCc1ccc(CN2CC[C@@H](c3c(F)cccc3F)C2)cc1 ZINC000545303652 341120099 /nfs/dbraw/zinc/12/00/99/341120099.db2.gz XJSVBCQWICKJNZ-MRXNPFEDSA-N 0 3 317.379 4.101 20 0 DIADHN CN(C)CCN(C(=O)Nc1ccccc1Cl)C1CCCCC1 ZINC000132514932 341125432 /nfs/dbraw/zinc/12/54/32/341125432.db2.gz YOOXMHARASFGKG-UHFFFAOYSA-N 0 3 323.868 4.068 20 0 DIADHN Cc1cccc2ncc(CN[C@H](C)c3ccc4c(c3)CCCC4)n21 ZINC000545467261 341126395 /nfs/dbraw/zinc/12/63/95/341126395.db2.gz OMLMJSIJJKNGPZ-MRXNPFEDSA-N 0 3 319.452 4.372 20 0 DIADHN C[C@@H]1CCC[C@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545530190 341129157 /nfs/dbraw/zinc/12/91/57/341129157.db2.gz RHIUDARGYHNKLE-GDBMZVCRSA-N 0 3 311.429 4.236 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)CC(F)(F)F)cc1OCC ZINC000545554804 341130308 /nfs/dbraw/zinc/13/03/08/341130308.db2.gz MDWMZISTYFOFCG-ZDUSSCGKSA-N 0 3 319.367 4.305 20 0 DIADHN C[C@H](c1ccccc1)N(CC(=O)NC1CCCC1)C1CCCC1 ZINC000564293337 341135965 /nfs/dbraw/zinc/13/59/65/341135965.db2.gz HDTAOJTVWYBRSZ-MRXNPFEDSA-N 0 3 314.473 4.051 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCc3ccccc32)c(C)c1OC ZINC000564294742 341143073 /nfs/dbraw/zinc/14/30/73/341143073.db2.gz ITJWBBFGHXARIG-SFHVURJKSA-N 0 3 311.425 4.132 20 0 DIADHN CCc1cc(CCCN[C@@H](CC)c2ccc(F)cc2F)on1 ZINC000564295287 341144303 /nfs/dbraw/zinc/14/43/03/341144303.db2.gz SSCDUMTZNLKVSV-KRWDZBQOSA-N 0 3 308.372 4.189 20 0 DIADHN Cc1cccc([C@H](C)N2CCC([C@@](C)(O)C(F)(F)F)CC2)c1 ZINC000545931988 341146968 /nfs/dbraw/zinc/14/69/68/341146968.db2.gz FZMNBASBHWXNHQ-XJKSGUPXSA-N 0 3 315.379 4.081 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1c3cc(C)ccc3C[C@@H]1C)CCO2 ZINC000545943582 341147751 /nfs/dbraw/zinc/14/77/51/341147751.db2.gz UCCSLPWUDLSMSD-ONTRVFCTSA-N 0 3 323.436 4.350 20 0 DIADHN Cc1cccnc1CNC[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000564298065 341150250 /nfs/dbraw/zinc/15/02/50/341150250.db2.gz ARVZXLDBCTUFTP-ZDUSSCGKSA-N 0 3 308.347 4.302 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H]1C[C@H](OCc2ccccc2)C1(C)C ZINC000546017280 341151317 /nfs/dbraw/zinc/15/13/17/341151317.db2.gz CPLWBRCHLNCJAL-VDGAXYAQSA-N 0 3 324.468 4.425 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H]1C[C@@H](OCc2ccccc2)C1(C)C ZINC000546017278 341151393 /nfs/dbraw/zinc/15/13/93/341151393.db2.gz CPLWBRCHLNCJAL-FFZOFVMBSA-N 0 3 324.468 4.425 20 0 DIADHN Cc1ccc(C(C)(C)NCCOc2ccc3ccccc3c2)cn1 ZINC000546104778 341153971 /nfs/dbraw/zinc/15/39/71/341153971.db2.gz RWDSLNAKBWQAQA-UHFFFAOYSA-N 0 3 320.436 4.447 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CCC[C@H]2CC(C)C)s1 ZINC000546102592 341153982 /nfs/dbraw/zinc/15/39/82/341153982.db2.gz WICHAGBWGCNJND-NSHDSACASA-N 0 3 306.397 4.481 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1cnccc1C ZINC000546165114 341155304 /nfs/dbraw/zinc/15/53/04/341155304.db2.gz SQEBHCYAQVTVNA-GLJUWKHASA-N 0 3 318.848 4.470 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1cnccc1C ZINC000546165113 341155332 /nfs/dbraw/zinc/15/53/32/341155332.db2.gz SQEBHCYAQVTVNA-DEYYWGMASA-N 0 3 318.848 4.470 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(OC)c(OC)c1 ZINC000546165324 341155433 /nfs/dbraw/zinc/15/54/33/341155433.db2.gz DTIRJPIPYCXXEN-PWSUYJOCSA-N 0 3 305.340 4.085 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cnc2c(cnn2C(C)C)c1 ZINC000546173294 341155841 /nfs/dbraw/zinc/15/58/41/341155841.db2.gz QDLSTCDUYMCVKD-INIZCTEOSA-N 0 3 322.456 4.480 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cnn(Cc2ccccc2)c1 ZINC000546178372 341156186 /nfs/dbraw/zinc/15/61/86/341156186.db2.gz NMYVOGZBCGNRTA-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1c(C)cccc1C ZINC000546223785 341157680 /nfs/dbraw/zinc/15/76/80/341157680.db2.gz JHWQMPABHIRLDX-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1cc(Cl)ccc1C ZINC000546228804 341158175 /nfs/dbraw/zinc/15/81/75/341158175.db2.gz QRLGJSKVUVZDDV-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CCCC[C@H](CC)CN[C@H](c1nnc2ccccn21)C(C)C ZINC000546230018 341158326 /nfs/dbraw/zinc/15/83/26/341158326.db2.gz MQVUPCJWSMAGBA-RDJZCZTQSA-N 0 3 302.466 4.232 20 0 DIADHN c1ccc2c(c1)nc(CN[C@H]1CCCC[C@@H]1C1CC1)n2C1CC1 ZINC000546266300 341160349 /nfs/dbraw/zinc/16/03/49/341160349.db2.gz RUZCUBWMTZYYKE-SJORKVTESA-N 0 3 309.457 4.430 20 0 DIADHN Cc1cccc(NCc2ccccc2N(C)C2CCN(C)CC2)c1 ZINC000133199990 341162479 /nfs/dbraw/zinc/16/24/79/341162479.db2.gz SBUBGYCNPQWQDV-UHFFFAOYSA-N 0 3 323.484 4.138 20 0 DIADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000546309828 341162675 /nfs/dbraw/zinc/16/26/75/341162675.db2.gz IIPZUUIBAMCMSV-KBXCAEBGSA-N 0 3 301.430 4.394 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCN1CC=C(C)CC1 ZINC000546575565 341173332 /nfs/dbraw/zinc/17/33/32/341173332.db2.gz VRHZBMKGTIRELJ-CQSZACIVSA-N 0 3 321.534 4.052 20 0 DIADHN CCc1cccnc1[C@H](C)NC1CC(OCc2ccccc2)C1 ZINC000546620712 341175304 /nfs/dbraw/zinc/17/53/04/341175304.db2.gz RFBXTPZHWQUYDO-MNNVXMFVSA-N 0 3 310.441 4.042 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(F)c(OC)c1)c1ccccc1 ZINC000564306305 341176742 /nfs/dbraw/zinc/17/67/42/341176742.db2.gz BESXCWHYKYBPHB-AUUYWEPGSA-N 0 3 317.404 4.263 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@@H](C)c1cnc(C)nc1C ZINC000546649319 341177233 /nfs/dbraw/zinc/17/72/33/341177233.db2.gz VYPJRWCAKRGJGJ-LBPRGKRZSA-N 0 3 309.413 4.041 20 0 DIADHN CCn1c2ccccc2nc1CNC1CC(c2ccccc2)C1 ZINC000546667452 341177980 /nfs/dbraw/zinc/17/79/80/341177980.db2.gz VQXQBAWZQVNWGC-UHFFFAOYSA-N 0 3 305.425 4.092 20 0 DIADHN CC[C@H](NCCc1cccnc1)c1ccccc1Br ZINC000133562963 341178466 /nfs/dbraw/zinc/17/84/66/341178466.db2.gz ISNOHVSSNBLAOY-INIZCTEOSA-N 0 3 319.246 4.128 20 0 DIADHN C[C@@H](CCO)N(Cc1ccccc1)Cc1ccc2ncccc2c1 ZINC000546735816 341181071 /nfs/dbraw/zinc/18/10/71/341181071.db2.gz RPQWEOAMMVLGTE-KRWDZBQOSA-N 0 3 320.436 4.008 20 0 DIADHN Fc1cncc(CN[C@@H](Cc2ccccc2)c2ccccc2)c1 ZINC000546744020 341181419 /nfs/dbraw/zinc/18/14/19/341181419.db2.gz DQMGOPWVIBMVIR-FQEVSTJZSA-N 0 3 306.384 4.294 20 0 DIADHN Cc1ccccc1CNCc1ccccc1OCc1cccnc1 ZINC000075734117 341181625 /nfs/dbraw/zinc/18/16/25/341181625.db2.gz GJXURGUTPDLOHK-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@H]4CCC[C@@H]43)o2)cc1 ZINC000075768859 341182615 /nfs/dbraw/zinc/18/26/15/341182615.db2.gz MKXFTOJIJLWTMO-PBHICJAKSA-N 0 3 312.413 4.115 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1ccc(Cl)s1)C(=O)OC ZINC000546870668 341184317 /nfs/dbraw/zinc/18/43/17/341184317.db2.gz XZQATHCHZFAGCP-JTQLQIEISA-N 0 3 303.855 4.032 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(OCC(F)F)cc1 ZINC000546880426 341185154 /nfs/dbraw/zinc/18/51/54/341185154.db2.gz UBRGDEOPZCADRQ-NSHDSACASA-N 0 3 311.294 4.151 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1ccc(N2CCCC2)nc1 ZINC000546882921 341185371 /nfs/dbraw/zinc/18/53/71/341185371.db2.gz ASEDRHYKADFOHU-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](C)c3c(C)cccc3C)c(C)n2n1 ZINC000546935001 341187474 /nfs/dbraw/zinc/18/74/74/341187474.db2.gz HXBUTMMVECBNLC-JKSUJKDBSA-N 0 3 322.456 4.375 20 0 DIADHN CCN(CCC1CC1)Cc1ccccc1OCc1cccnc1 ZINC000546938665 341187836 /nfs/dbraw/zinc/18/78/36/341187836.db2.gz LTXHBSHIDHHNMH-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc([C@@H]2C[C@@H]2CNCc2nc3ccccc3n2C2CC2)cc1 ZINC000546969890 341189284 /nfs/dbraw/zinc/18/92/84/341189284.db2.gz RCIFHNQMRKGAQN-AEFFLSMTSA-N 0 3 317.436 4.265 20 0 DIADHN Fc1ccc2cc(CN3CCC(c4ccncc4)CC3)[nH]c2c1 ZINC000564312935 341193258 /nfs/dbraw/zinc/19/32/58/341193258.db2.gz LICNLNHFNLMSTQ-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CC(C)(NCc1cccc2cc[nH]c21)c1ccc(F)c(F)c1 ZINC000236698500 341195465 /nfs/dbraw/zinc/19/54/65/341195465.db2.gz KRQIXNNXYSOJSQ-UHFFFAOYSA-N 0 3 300.352 4.471 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC[C@H]2C(C)=CCC[C@@H]2C)c(C)c1 ZINC000133935445 341201119 /nfs/dbraw/zinc/20/11/19/341201119.db2.gz HQAFVALFYDMVDO-YJBOKZPZSA-N 0 3 314.473 4.132 20 0 DIADHN CC(C)c1nc(CCNCc2ccc([C@H]3C[C@@H]3C)o2)cs1 ZINC000236893498 341203988 /nfs/dbraw/zinc/20/39/88/341203988.db2.gz XEKDOECMBLDJAC-WFASDCNBSA-N 0 3 304.459 4.315 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(Cl)s1)c1ccco1 ZINC000236893382 341204084 /nfs/dbraw/zinc/20/40/84/341204084.db2.gz DNLFADDTXQBGPJ-CYBMUJFWSA-N 0 3 312.866 4.167 20 0 DIADHN CCN(CC)c1ncc(CNC(C)(C)c2cccc(C)c2)s1 ZINC000236941898 341204754 /nfs/dbraw/zinc/20/47/54/341204754.db2.gz DFGJYGNEYOIAGJ-UHFFFAOYSA-N 0 3 317.502 4.323 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](CC(C)C)NCCC(C)(C)O ZINC000547317888 341205294 /nfs/dbraw/zinc/20/52/94/341205294.db2.gz VEGAZEURFHBKGG-OAHLLOKOSA-N 0 3 313.869 4.186 20 0 DIADHN CCCC[C@H](COC)N[C@@H](C)c1ccc(SC)c(OC)c1 ZINC000134080497 341206121 /nfs/dbraw/zinc/20/61/21/341206121.db2.gz DSPRIHYBWPXXKS-DZGCQCFKSA-N 0 3 311.491 4.273 20 0 DIADHN Cc1ccsc1CNCc1cccc(OC(F)(F)F)c1 ZINC000076397697 341206677 /nfs/dbraw/zinc/20/66/77/341206677.db2.gz NALJEKQBPYPJAO-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCC([C@@](C)(O)C(F)(F)F)CC1 ZINC000547346175 341207593 /nfs/dbraw/zinc/20/75/93/341207593.db2.gz MAVKMDDPBGVWPW-CZUORRHYSA-N 0 3 315.379 4.081 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2cnc(-c3ccccc3)s2)C1(C)C ZINC000237274223 341210478 /nfs/dbraw/zinc/21/04/78/341210478.db2.gz JTGOEZVGRZMQEH-CRAIPNDOSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1cnc(CN[C@H](C)Cc2cccc(C(F)(F)F)c2)s1 ZINC000547397627 341210880 /nfs/dbraw/zinc/21/08/80/341210880.db2.gz RJIBMVPCAPRBOW-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN COc1ccccc1-c1ccc(CNC[C@H]2CCCCO2)cc1 ZINC000237339679 341212154 /nfs/dbraw/zinc/21/21/54/341212154.db2.gz XQJDAAJYUNPANS-GOSISDBHSA-N 0 3 311.425 4.021 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2nc(C(C)(C)C)cs2)o1 ZINC000237362339 341212469 /nfs/dbraw/zinc/21/24/69/341212469.db2.gz RKPSNYNIAFKTAC-OCCSQVGLSA-N 0 3 318.486 4.489 20 0 DIADHN Cc1ccc(CNCCc2nc(C3CCCCC3)cs2)nc1 ZINC000134345142 341213611 /nfs/dbraw/zinc/21/36/11/341213611.db2.gz CEZCZYBLORZERD-UHFFFAOYSA-N 0 3 315.486 4.227 20 0 DIADHN CC(C)CC[C@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000134383574 341214329 /nfs/dbraw/zinc/21/43/29/341214329.db2.gz RAZZWVRKWBTBFN-GOSISDBHSA-N 0 3 317.477 4.181 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2nc(C(C)(C)C)cs2)o1 ZINC000237460844 341214473 /nfs/dbraw/zinc/21/44/73/341214473.db2.gz RKPSNYNIAFKTAC-TZMCWYRMSA-N 0 3 318.486 4.489 20 0 DIADHN C[C@@]1(CO)CCC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000237478478 341214650 /nfs/dbraw/zinc/21/46/50/341214650.db2.gz WFDWSEYMONOBAX-MSOLQXFVSA-N 0 3 319.832 4.241 20 0 DIADHN CC(C)=CCN1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 ZINC000134454837 341215747 /nfs/dbraw/zinc/21/57/47/341215747.db2.gz XCBFVTXGCFLXCQ-LLVKDONJSA-N 0 3 304.381 4.308 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCCCOCc2ccccc2)o1 ZINC000237562931 341215807 /nfs/dbraw/zinc/21/58/07/341215807.db2.gz QTACTXDZGUPDDG-LPHOPBHVSA-N 0 3 313.441 4.490 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@H]2CCCS2)o1 ZINC000237654093 341217429 /nfs/dbraw/zinc/21/74/29/341217429.db2.gz JKEDJMYTUZGSIZ-OAHLLOKOSA-N 0 3 305.418 4.379 20 0 DIADHN COc1cc(CN2CCCC[C@H](SC)C2)ccc1SC ZINC000134560098 341218373 /nfs/dbraw/zinc/21/83/73/341218373.db2.gz HKNYSRCJMUBYBA-AWEZNQCLSA-N 0 3 311.516 4.135 20 0 DIADHN COc1cc(CN2CCCC[C@@H](SC)C2)ccc1SC ZINC000134560122 341218423 /nfs/dbraw/zinc/21/84/23/341218423.db2.gz HKNYSRCJMUBYBA-CQSZACIVSA-N 0 3 311.516 4.135 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C1=CCCCCC1)c1ccsc1 ZINC000547511036 341219283 /nfs/dbraw/zinc/21/92/83/341219283.db2.gz RKBOZWLJZRFITE-KRWDZBQOSA-N 0 3 320.502 4.138 20 0 DIADHN C[C@]1(CO)CCC[C@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC000237743912 341219396 /nfs/dbraw/zinc/21/93/96/341219396.db2.gz WFDWSEYMONOBAX-QZTJIDSGSA-N 0 3 319.832 4.241 20 0 DIADHN CC[C@H](CSC)N(C)Cc1ccc(OC(F)F)c(F)c1 ZINC000564324314 341221696 /nfs/dbraw/zinc/22/16/96/341221696.db2.gz BORXFVUIBYEZRF-LLVKDONJSA-N 0 3 307.381 4.001 20 0 DIADHN CC(C)COc1cccc(CN[C@@H]2CCc3c2cccc3O)c1 ZINC000134810148 341224506 /nfs/dbraw/zinc/22/45/06/341224506.db2.gz BFILTBPZFHUFPH-LJQANCHMSA-N 0 3 311.425 4.204 20 0 DIADHN CCSc1cc(CNCc2ccnc3ccccc23)ccn1 ZINC000547664517 341226161 /nfs/dbraw/zinc/22/61/61/341226161.db2.gz GIOATFDWDIHHKU-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc2c(s1)CCC2)[C@H](C)c1ccccc1 ZINC000135054560 341229768 /nfs/dbraw/zinc/22/97/68/341229768.db2.gz HNLUHFPZQXSJOM-MJBXVCDLSA-N 0 3 300.471 4.475 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)[C@H]1CCCC[C@H]1C ZINC000547747796 341230135 /nfs/dbraw/zinc/23/01/35/341230135.db2.gz IVAVMRFIEHBIIL-PBHICJAKSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H](NCCCn1cccn1)c1ccc(Cl)cc1Cl ZINC000135100871 341230890 /nfs/dbraw/zinc/23/08/90/341230890.db2.gz YLWLVOSCFNXNEY-HNNXBMFYSA-N 0 3 312.244 4.321 20 0 DIADHN Cc1ccoc1CN[C@H](C)Cc1ccc(Br)cc1 ZINC000135150043 341232330 /nfs/dbraw/zinc/23/23/30/341232330.db2.gz GIKDHBSYVVWPGY-GFCCVEGCSA-N 0 3 308.219 4.071 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN(CCCCc2ccccc2)C1 ZINC000547829601 341235989 /nfs/dbraw/zinc/23/59/89/341235989.db2.gz PVVQEMUBIRLUPT-INIZCTEOSA-N 0 3 315.379 4.053 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN(CCCCc2ccccc2)C1 ZINC000547829602 341236013 /nfs/dbraw/zinc/23/60/13/341236013.db2.gz PVVQEMUBIRLUPT-MRXNPFEDSA-N 0 3 315.379 4.053 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@@H]2NCc2ncccc2C)c1 ZINC000547883200 341238717 /nfs/dbraw/zinc/23/87/17/341238717.db2.gz COUCURHTFLUXQH-ICSRJNTNSA-N 0 3 310.441 4.178 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccccc1CCC(C)C ZINC000548120741 341247977 /nfs/dbraw/zinc/24/79/77/341247977.db2.gz FOPUFSLTGSFBMC-OAHLLOKOSA-N 0 3 304.478 4.380 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN[C@@H]1CCc2ccccc21 ZINC000135953896 341248876 /nfs/dbraw/zinc/24/88/76/341248876.db2.gz FEZZOBDYAQWUDH-OAQYLSRUSA-N 0 3 317.436 4.266 20 0 DIADHN CCOc1ccccc1CN[C@@H](c1ccc(OC)cc1)C1CC1 ZINC000135958569 341248923 /nfs/dbraw/zinc/24/89/23/341248923.db2.gz JMZIFDGNDNHCLL-HXUWFJFHSA-N 0 3 311.425 4.335 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000548160589 341250258 /nfs/dbraw/zinc/25/02/58/341250258.db2.gz RUCSIVWIAOKNCY-CHWSQXEVSA-N 0 3 305.447 4.247 20 0 DIADHN COc1ccc(CN[C@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000079121725 341253918 /nfs/dbraw/zinc/25/39/18/341253918.db2.gz ZQDAHBGPNQAXOM-HXUWFJFHSA-N 0 3 318.420 4.164 20 0 DIADHN OCC[C@@H]1CCC[C@@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000548299684 341255021 /nfs/dbraw/zinc/25/50/21/341255021.db2.gz AGYYGOKOZFFRTO-GUYCJALGSA-N 0 3 319.832 4.241 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)NCc1cc(-c2ccccc2)on1 ZINC000136381672 341258016 /nfs/dbraw/zinc/25/80/16/341258016.db2.gz WTUZZFGMJUTAJN-HNNXBMFYSA-N 0 3 322.408 4.158 20 0 DIADHN Cc1sc2nc([C@H](C)N[C@@H]3CCCC[C@@H]3C)nc(N)c2c1C ZINC000548442525 341260826 /nfs/dbraw/zinc/26/08/26/341260826.db2.gz VBBJWNMRTVOBBN-XHVZSJERSA-N 0 3 318.490 4.120 20 0 DIADHN Cn1ccnc1[C@@H](NCC1(c2ccccc2)CCCCC1)C1CC1 ZINC000548586953 341266400 /nfs/dbraw/zinc/26/64/00/341266400.db2.gz MKAZZEAYCBALPL-IBGZPJMESA-N 0 3 323.484 4.363 20 0 DIADHN CCN(Cc1cccc(OC)c1OC)[C@H](C)Cc1ccsc1 ZINC000548640736 341268323 /nfs/dbraw/zinc/26/83/23/341268323.db2.gz NKGUVKNPDKNCSR-CQSZACIVSA-N 0 3 319.470 4.218 20 0 DIADHN Cn1nccc1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC000080198296 341270763 /nfs/dbraw/zinc/27/07/63/341270763.db2.gz ZROWHYVDLXDSAI-UHFFFAOYSA-N 0 3 303.837 4.023 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)Cc2ccc(C)s2)c(F)c1 ZINC000080227582 341271034 /nfs/dbraw/zinc/27/10/34/341271034.db2.gz VVUCCNAZJIBUJQ-YPMHNXCESA-N 0 3 307.434 4.486 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2nc(C)cs2)cc1 ZINC000080270980 341271953 /nfs/dbraw/zinc/27/19/53/341271953.db2.gz QRDTVHYBFITDRY-TZMCWYRMSA-N 0 3 304.459 4.132 20 0 DIADHN CC(C)CCOC1CCN(C[C@H]2CCC3(CCCC3)O2)CC1 ZINC000548910640 341280734 /nfs/dbraw/zinc/28/07/34/341280734.db2.gz HCXKXCRWNUQQSD-GOSISDBHSA-N 0 3 309.494 4.005 20 0 DIADHN CO[C@@H](CN1CCC(C(F)(F)F)CC1)c1ccc(Cl)cc1 ZINC000548918500 341280986 /nfs/dbraw/zinc/28/09/86/341280986.db2.gz AXZGYYSRTOGVOT-AWEZNQCLSA-N 0 3 321.770 4.302 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCOc2ccccc2)CC12CCC2 ZINC000548934709 341281538 /nfs/dbraw/zinc/28/15/38/341281538.db2.gz PGGKBWDTKMGTIJ-OAHLLOKOSA-N 0 3 313.363 4.120 20 0 DIADHN CC(C)c1cccc2c1CCN(CCCO[C@@H]1CCCCO1)C2 ZINC000548935893 341281650 /nfs/dbraw/zinc/28/16/50/341281650.db2.gz AWMCREIBYLSXQG-HXUWFJFHSA-N 0 3 317.473 4.101 20 0 DIADHN CCCN(Cc1sccc1Cl)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000549041081 341284441 /nfs/dbraw/zinc/28/44/41/341284441.db2.gz VOMYKPCBVXRLTC-KCPJHIHWSA-N 0 3 313.894 4.427 20 0 DIADHN CCCN(Cc1sccc1Cl)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000549041080 341284511 /nfs/dbraw/zinc/28/45/11/341284511.db2.gz VOMYKPCBVXRLTC-GLQYFDAESA-N 0 3 313.894 4.427 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3sccc3Cl)C2)cc1 ZINC000549041891 341284531 /nfs/dbraw/zinc/28/45/31/341284531.db2.gz XMIWZNCYUBAARF-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN Clc1ccc(C2(NC[C@@H]3CCC4(CCCC4)O3)CC2)cc1 ZINC000549136978 341286653 /nfs/dbraw/zinc/28/66/53/341286653.db2.gz CFDWELDAZKHNGC-INIZCTEOSA-N 0 3 305.849 4.411 20 0 DIADHN Cc1cnc(C2CCN(C[C@H]3CCC4(CCCC4)O3)CC2)s1 ZINC000549163902 341287659 /nfs/dbraw/zinc/28/76/59/341287659.db2.gz FNHFMNFRVOFIFW-MRXNPFEDSA-N 0 3 320.502 4.123 20 0 DIADHN Cc1ccc(CNCc2ccc(C)c(F)c2)c(-c2ccnn2C)c1 ZINC000549246710 341289597 /nfs/dbraw/zinc/28/95/97/341289597.db2.gz DETZUFBHHYTXGV-UHFFFAOYSA-N 0 3 323.415 4.133 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@H](OC)c2ccccc2)s1 ZINC000549349708 341292078 /nfs/dbraw/zinc/29/20/78/341292078.db2.gz DOUKBFPQCWKDRT-ZBFHGGJFSA-N 0 3 318.486 4.394 20 0 DIADHN CC(C)c1nc(C2CCN([C@H]3C=CCCCCC3)CC2)no1 ZINC000549401793 341293310 /nfs/dbraw/zinc/29/33/10/341293310.db2.gz ZTFYMIFKYBRJGO-INIZCTEOSA-N 0 3 303.450 4.261 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CS[C@H](C(C)(C)C)C1 ZINC000549408687 341293632 /nfs/dbraw/zinc/29/36/32/341293632.db2.gz PLKPWBVFVUJPIC-NUTKFTJISA-N 0 3 323.477 4.331 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc3[nH]cnc3c2)CC12CCC2 ZINC000549424563 341294296 /nfs/dbraw/zinc/29/42/96/341294296.db2.gz VHSWZVCRUIJYNU-HNNXBMFYSA-N 0 3 323.362 4.117 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc3nc[nH]c3c2)CC12CCC2 ZINC000549424563 341294297 /nfs/dbraw/zinc/29/42/97/341294297.db2.gz VHSWZVCRUIJYNU-HNNXBMFYSA-N 0 3 323.362 4.117 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc3c[nH]nc3c2)CC12CCC2 ZINC000549424972 341294346 /nfs/dbraw/zinc/29/43/46/341294346.db2.gz MQNKTUURNPSSIC-HNNXBMFYSA-N 0 3 323.362 4.117 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCC[C@H]4CCC[C@H]43)o2)cc1 ZINC000245452503 341295010 /nfs/dbraw/zinc/29/50/10/341295010.db2.gz QLAKZAMCXZPCKI-IUODEOHRSA-N 0 3 317.820 4.155 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCC[C@@H]4CCC[C@H]43)o2)cc1 ZINC000245452505 341295036 /nfs/dbraw/zinc/29/50/36/341295036.db2.gz QLAKZAMCXZPCKI-SWLSCSKDSA-N 0 3 317.820 4.155 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCC[C@@H]4CCC[C@H]43)o2)c1 ZINC000245478733 341296366 /nfs/dbraw/zinc/29/63/66/341296366.db2.gz VUGVDFPJZYHOHX-XJKSGUPXSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N(C)C[C@H]1CCCOC1 ZINC000245483387 341296671 /nfs/dbraw/zinc/29/66/71/341296671.db2.gz FIVNBJLSUNEUQK-NWDGAFQWSA-N 0 3 302.245 4.413 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)c2ccccc2Cl)nc1 ZINC000549538039 341296994 /nfs/dbraw/zinc/29/69/94/341296994.db2.gz WNWCUOXUGWVAIG-SCLBCKFNSA-N 0 3 318.848 4.163 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H]1CCCO[C@H]1CC(C)C ZINC000549553581 341297495 /nfs/dbraw/zinc/29/74/95/341297495.db2.gz DYRHOCGKYSYBOC-MOPGFXCFSA-N 0 3 304.478 4.197 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000549552976 341297562 /nfs/dbraw/zinc/29/75/62/341297562.db2.gz PEXDKCJSRXEVNX-OAQYLSRUSA-N 0 3 322.452 4.145 20 0 DIADHN Fc1ccc(OCCCNC2(c3nccs3)CCCC2)cc1 ZINC000081577842 341297579 /nfs/dbraw/zinc/29/75/79/341297579.db2.gz NLYZUWIUIZQTPE-UHFFFAOYSA-N 0 3 320.433 4.110 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2N[C@@H]2C=CCCCCC2)cc1F ZINC000549612761 341299020 /nfs/dbraw/zinc/29/90/20/341299020.db2.gz ZWUXKNNOSQMPDD-JLSDUUJJSA-N 0 3 307.384 4.273 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccc(COC)cc1 ZINC000549717895 341301321 /nfs/dbraw/zinc/30/13/21/341301321.db2.gz JRPRFMMCXVSLPK-IBGZPJMESA-N 0 3 313.441 4.425 20 0 DIADHN COc1ccc(F)c(CNCCCc2c[nH]c3ccccc23)c1 ZINC000549750545 341302064 /nfs/dbraw/zinc/30/20/64/341302064.db2.gz ANYBXTGSWFWDHB-UHFFFAOYSA-N 0 3 312.388 4.038 20 0 DIADHN c1cn(-c2cccc(CNCc3ccc(C4CCC4)cc3)c2)cn1 ZINC000549749288 341302146 /nfs/dbraw/zinc/30/21/46/341302146.db2.gz RFUREXOLFWISJF-UHFFFAOYSA-N 0 3 317.436 4.430 20 0 DIADHN CSCc1cnc(CN[C@@H](C)Cc2cc(C)cc(C)c2)s1 ZINC000549760964 341302415 /nfs/dbraw/zinc/30/24/15/341302415.db2.gz ORPBBYFSAYAHNH-AWEZNQCLSA-N 0 3 320.527 4.344 20 0 DIADHN CSCc1cnc(CN[C@@H](C)[C@H](C)c2ccccc2)s1 ZINC000549761100 341302553 /nfs/dbraw/zinc/30/25/53/341302553.db2.gz UHWZBHVTRRXKNT-STQMWFEESA-N 0 3 306.500 4.288 20 0 DIADHN Cc1ccc(CN2CC[C@H]2c2ccccc2)c(-c2cnn(C)c2)c1 ZINC000549783336 341303012 /nfs/dbraw/zinc/30/30/12/341303012.db2.gz KFYSQUGBSQYIAD-NRFANRHFSA-N 0 3 317.436 4.343 20 0 DIADHN CCc1nocc1CNCC(C)(C)Cc1cccc(Cl)c1 ZINC000549780390 341303083 /nfs/dbraw/zinc/30/30/83/341303083.db2.gz NYNDLHKYJJGXGD-UHFFFAOYSA-N 0 3 306.837 4.249 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3cnn(C)c3)s2)[C@@H]1c1ccccc1 ZINC000549802103 341303340 /nfs/dbraw/zinc/30/33/40/341303340.db2.gz JCSIYWAFYLITLQ-KUHUBIRLSA-N 0 3 323.465 4.342 20 0 DIADHN FC(F)(F)c1cccc(CCNCc2ccc(Cl)s2)n1 ZINC000549830144 341304368 /nfs/dbraw/zinc/30/43/68/341304368.db2.gz PWGLYXIBYAMUDB-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CC[C@@H]3CCCC[C@H]3C2)cc1 ZINC000549839325 341304649 /nfs/dbraw/zinc/30/46/49/341304649.db2.gz FFKRQXASMKMCDJ-OKZBNKHCSA-N 0 3 314.473 4.293 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@@H]2CC[C@H]3CCCC[C@H]3C2)cc1 ZINC000549839323 341304727 /nfs/dbraw/zinc/30/47/27/341304727.db2.gz FFKRQXASMKMCDJ-FGTMMUONSA-N 0 3 314.473 4.293 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1ccc(F)cc1OC(F)F ZINC000245604011 341304865 /nfs/dbraw/zinc/30/48/65/341304865.db2.gz QBOLWOFCNUTHDJ-LSCVPOLPSA-N 0 3 317.351 4.034 20 0 DIADHN C[C@H](c1cccc(NC(=O)c2ccccc2)c1)N1C[C@@H](C)[C@@H]1C ZINC000549924526 341306879 /nfs/dbraw/zinc/30/68/79/341306879.db2.gz YYWZXQPYXHGXEN-OWCLPIDISA-N 0 3 308.425 4.340 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)C1CCCCC1)c1nnc2ccccn21 ZINC000549929616 341307118 /nfs/dbraw/zinc/30/71/18/341307118.db2.gz JEPMZUCKHGEARW-CRAIPNDOSA-N 0 3 314.477 4.232 20 0 DIADHN CC(C)[C@H](NC[C@H](C)C1CCCCC1)c1nnc2ccccn21 ZINC000549929619 341307130 /nfs/dbraw/zinc/30/71/30/341307130.db2.gz JEPMZUCKHGEARW-YJBOKZPZSA-N 0 3 314.477 4.232 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000245651285 341308044 /nfs/dbraw/zinc/30/80/44/341308044.db2.gz KARKFROAEXUWPQ-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CC2CCCC2)nc1 ZINC000550075110 341309647 /nfs/dbraw/zinc/30/96/47/341309647.db2.gz NPKRDNFERYQIKQ-HNNXBMFYSA-N 0 3 309.251 4.171 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](CC4CC4)C3)o2)cc1 ZINC000550029501 341308946 /nfs/dbraw/zinc/30/89/46/341308946.db2.gz STMYOOQQYSHLHG-GOEBONIOSA-N 0 3 311.429 4.228 20 0 DIADHN Fc1cccc(-c2nc(CN3CC[C@@H](CC4CC4)C3)co2)c1 ZINC000550028148 341308958 /nfs/dbraw/zinc/30/89/58/341308958.db2.gz CCIZBXYXJXNAMM-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](CC4CC4)C3)o2)cc1 ZINC000550029503 341308977 /nfs/dbraw/zinc/30/89/77/341308977.db2.gz STMYOOQQYSHLHG-ZBFHGGJFSA-N 0 3 311.429 4.228 20 0 DIADHN C[C@@H]1CCN([C@@H]2CCc3c2cccc3Cl)C[C@H]1n1ccnc1 ZINC000245682000 341309174 /nfs/dbraw/zinc/30/91/74/341309174.db2.gz PGWFKDUMSHTVEN-FSPWUOQZSA-N 0 3 315.848 4.107 20 0 DIADHN CC(C)[C@@]1(CO)CCCN([C@H]2CCc3c2cccc3Cl)C1 ZINC000550063357 341309242 /nfs/dbraw/zinc/30/92/42/341309242.db2.gz LFJYSSHGARPISS-ROUUACIJSA-N 0 3 307.865 4.058 20 0 DIADHN CC(C)[C@]1(CO)CCCN([C@@H]2CCc3c2cccc3Cl)C1 ZINC000550063356 341309246 /nfs/dbraw/zinc/30/92/46/341309246.db2.gz LFJYSSHGARPISS-QZTJIDSGSA-N 0 3 307.865 4.058 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccc(F)cc3)o2)[C@H]2CCC[C@H]21 ZINC000550063895 341309354 /nfs/dbraw/zinc/30/93/54/341309354.db2.gz VORJKLKWDBKJJZ-CVEARBPZSA-N 0 3 314.404 4.491 20 0 DIADHN CN1CC[C@@H](NCc2cccc(F)c2Cl)[C@@H]1c1ccccc1 ZINC000550079976 341309667 /nfs/dbraw/zinc/30/96/67/341309667.db2.gz PFFYRLPBRLJPAP-AEFFLSMTSA-N 0 3 318.823 4.014 20 0 DIADHN CN1CC[C@H](NCc2cccc(F)c2Cl)[C@H]1c1ccccc1 ZINC000550079978 341309685 /nfs/dbraw/zinc/30/96/85/341309685.db2.gz PFFYRLPBRLJPAP-FUHWJXTLSA-N 0 3 318.823 4.014 20 0 DIADHN CSCc1cnc(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)s1 ZINC000550080589 341309702 /nfs/dbraw/zinc/30/97/02/341309702.db2.gz UTUIHIHVFUMLJW-MELADBBJSA-N 0 3 310.532 4.455 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccoc3)n2)[C@H]2CCC[C@H]21 ZINC000550082666 341309758 /nfs/dbraw/zinc/30/97/58/341309758.db2.gz UKNZTIKWXYXWND-CABCVRRESA-N 0 3 302.443 4.414 20 0 DIADHN CSCc1cnc(CN[C@H]2CCC[C@H]2c2ccccc2)s1 ZINC000550142420 341310964 /nfs/dbraw/zinc/31/09/64/341310964.db2.gz OEENRWYENXMRPS-HOTGVXAUSA-N 0 3 318.511 4.432 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)NCc1conc1C ZINC000550174946 341311682 /nfs/dbraw/zinc/31/16/82/341311682.db2.gz MUCLCKGUOGMZKA-MRXNPFEDSA-N 0 3 304.459 4.260 20 0 DIADHN Cc1sccc1CN[C@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000550199073 341312232 /nfs/dbraw/zinc/31/22/32/341312232.db2.gz SSHUZGZSBRRKHP-HOTGVXAUSA-N 0 3 307.846 4.330 20 0 DIADHN COc1ccc(F)c(CNC[C@H]2CCCCC(F)(F)C2)c1 ZINC000550217266 341312641 /nfs/dbraw/zinc/31/26/41/341312641.db2.gz RWNWOSNUAVTMNA-LBPRGKRZSA-N 0 3 301.352 4.140 20 0 DIADHN COc1cc(C)c(CNCc2ccc(C(C)(F)F)cc2)c(C)n1 ZINC000550226745 341313011 /nfs/dbraw/zinc/31/30/11/341313011.db2.gz VQBJLNQLQKYKMX-UHFFFAOYSA-N 0 3 320.383 4.109 20 0 DIADHN C[C@@H](NCCc1cc(C(F)(F)F)ccn1)c1ccccc1F ZINC000550271687 341314046 /nfs/dbraw/zinc/31/40/46/341314046.db2.gz UNOURVVCWGOXMF-LLVKDONJSA-N 0 3 312.310 4.133 20 0 DIADHN CC[C@@H](NCc1ccc(-c2cccc(F)c2C)o1)C(C)(C)O ZINC000550292095 341314593 /nfs/dbraw/zinc/31/45/93/341314593.db2.gz QOEGJKBNDYWUSD-QGZVFWFLSA-N 0 3 305.393 4.033 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccc(F)c3)o2)[C@@H]2CCC[C@@H]21 ZINC000550437698 341317126 /nfs/dbraw/zinc/31/71/26/341317126.db2.gz DYJCJXSKDIDVTG-JKSUJKDBSA-N 0 3 314.404 4.491 20 0 DIADHN CC1(C)CN(Cc2coc(-c3ccc(F)cc3)n2)[C@H]2CCC[C@@H]21 ZINC000550444963 341317213 /nfs/dbraw/zinc/31/72/13/341317213.db2.gz VZCOHPXHJUUWPT-IRXDYDNUSA-N 0 3 314.404 4.491 20 0 DIADHN FCCCCCN1CCN(CCCCCF)[C@@H]2CCCC[C@H]21 ZINC000550452850 341317357 /nfs/dbraw/zinc/31/73/57/341317357.db2.gz DZTSEBMKTORTTL-QZTJIDSGSA-N 0 3 316.480 4.195 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)[C@@H](C)C2 ZINC000245902688 341317726 /nfs/dbraw/zinc/31/77/26/341317726.db2.gz DVGKYLMNDIAZFE-IQUTYRLHSA-N 0 3 323.440 4.070 20 0 DIADHN OC[C@H]1CCCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000550491661 341317756 /nfs/dbraw/zinc/31/77/56/341317756.db2.gz RXQQQHDINOGXGQ-KRWDZBQOSA-N 0 3 311.425 4.073 20 0 DIADHN CC[C@H](NC[C@H](C[C@H](C)O)c1ccccc1)c1ccccc1F ZINC000245920026 341318248 /nfs/dbraw/zinc/31/82/48/341318248.db2.gz GWUDYZXTAUSBLO-KNBMTAEXSA-N 0 3 315.432 4.421 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2N[C@H]2CCc3ccccc32)cc1F ZINC000245945590 341319079 /nfs/dbraw/zinc/31/90/79/341319079.db2.gz VRHRFDQAMOEKKT-FHWLQOOXSA-N 0 3 315.363 4.072 20 0 DIADHN CC[C@@H](NCc1sccc1C)c1ccc(C(=O)OC)cc1 ZINC000550619160 341319609 /nfs/dbraw/zinc/31/96/09/341319609.db2.gz LAXHLPRLPOETBI-OAHLLOKOSA-N 0 3 303.427 4.084 20 0 DIADHN CCc1cc(CN[C@@H](Cc2ccccc2Cl)C2CC2)on1 ZINC000550662266 341320794 /nfs/dbraw/zinc/32/07/94/341320794.db2.gz SKKZWLKFFDGLBD-KRWDZBQOSA-N 0 3 304.821 4.001 20 0 DIADHN CCc1cc(CN[C@H](Cc2ccccc2Cl)C2CC2)on1 ZINC000550662267 341320871 /nfs/dbraw/zinc/32/08/71/341320871.db2.gz SKKZWLKFFDGLBD-QGZVFWFLSA-N 0 3 304.821 4.001 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccncc1F)Oc1ccccc1Cl ZINC000550678551 341321178 /nfs/dbraw/zinc/32/11/78/341321178.db2.gz IQHMKAXTVDINIM-QWHCGFSZSA-N 0 3 322.811 4.382 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccncc1F)Oc1ccccc1Cl ZINC000550678550 341321206 /nfs/dbraw/zinc/32/12/06/341321206.db2.gz IQHMKAXTVDINIM-OLZOCXBDSA-N 0 3 322.811 4.382 20 0 DIADHN Fc1ccc(CN(C[C@@H]2CCC(F)(F)C2)C2CC2)c(F)c1 ZINC000550684103 341321219 /nfs/dbraw/zinc/32/12/19/341321219.db2.gz MVIZESNEQXOVFG-LLVKDONJSA-N 0 3 301.327 4.365 20 0 DIADHN c1nc(CCN2Cc3ccccc3[C@H](c3ccccc3)C2)cs1 ZINC000550707117 341321841 /nfs/dbraw/zinc/32/18/41/341321841.db2.gz QSXDDOGOFPFBNP-FQEVSTJZSA-N 0 3 320.461 4.333 20 0 DIADHN c1nc(CCN2CCCC[C@H]2c2cc3ccccc3[nH]2)cs1 ZINC000550714289 341321898 /nfs/dbraw/zinc/32/18/98/341321898.db2.gz RVNGOUMGEBVHHR-SFHVURJKSA-N 0 3 311.454 4.394 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N(C)Cc1ncc(C)c(OC)c1C ZINC000550755415 341322658 /nfs/dbraw/zinc/32/26/58/341322658.db2.gz CRHQEXAPZPXYFD-SFHVURJKSA-N 0 3 316.420 4.429 20 0 DIADHN COc1ccncc1CN[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000550844131 341324343 /nfs/dbraw/zinc/32/43/43/341324343.db2.gz JGTJSRCZNQXFAV-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN C[C@@H](NCC(C)(C)N[C@@H](C)c1ccccc1)c1ccncc1F ZINC000550886619 341325598 /nfs/dbraw/zinc/32/55/98/341325598.db2.gz JRCHHQSVMWRRQM-LSDHHAIUSA-N 0 3 315.436 4.001 20 0 DIADHN C[C@H](NCC(C)(C)N[C@@H](C)c1ccccc1)c1ccncc1F ZINC000550886617 341325683 /nfs/dbraw/zinc/32/56/83/341325683.db2.gz JRCHHQSVMWRRQM-GJZGRUSLSA-N 0 3 315.436 4.001 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1ccncc1F)Cc1ccccc1 ZINC000550900621 341326301 /nfs/dbraw/zinc/32/63/01/341326301.db2.gz UDUZBCDNWJXHEZ-WBVHZDCISA-N 0 3 300.421 4.386 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccncc1F)Cc1ccccc1 ZINC000550900618 341326358 /nfs/dbraw/zinc/32/63/58/341326358.db2.gz UDUZBCDNWJXHEZ-DOTOQJQBSA-N 0 3 300.421 4.386 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1ccc(Cl)c(OC)c1 ZINC000550930800 341327103 /nfs/dbraw/zinc/32/71/03/341327103.db2.gz JNHUERBGMRHCMQ-ZDUSSCGKSA-N 0 3 318.848 4.199 20 0 DIADHN CC(C)c1ccc(NC(=O)C[C@@H](C)N[C@H]2CCC[C@H]2F)cc1 ZINC000550932778 341327187 /nfs/dbraw/zinc/32/71/87/341327187.db2.gz MZUBTJABGVEFGE-XYPHTWIQSA-N 0 3 306.425 4.007 20 0 DIADHN COc1cc([C@H](C)N[C@H]2c3cccc(F)c3CC[C@H]2C)ccn1 ZINC000550938188 341327431 /nfs/dbraw/zinc/32/74/31/341327431.db2.gz RIATUIUGPCGODL-QHRIQVFBSA-N 0 3 314.404 4.204 20 0 DIADHN COc1ccccc1C[C@H](N[C@@H](C)c1ccncc1F)C(C)C ZINC000550939516 341327514 /nfs/dbraw/zinc/32/75/14/341327514.db2.gz BTBFDHKHDRHCHG-KSSFIOAISA-N 0 3 316.420 4.147 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1Cl)c1cn2ccccc2n1 ZINC000550939947 341327571 /nfs/dbraw/zinc/32/75/71/341327571.db2.gz HJDKPAVEGHGOFN-GFCCVEGCSA-N 0 3 317.795 4.020 20 0 DIADHN C[C@H](CN(C)Cc1ccncc1)c1ccc(C(F)(F)F)cc1 ZINC000550954258 341327719 /nfs/dbraw/zinc/32/77/19/341327719.db2.gz IXGRPNGOHMSTFK-CYBMUJFWSA-N 0 3 308.347 4.336 20 0 DIADHN COC[C@@H](NCc1cnc(-c2ccc(Cl)cc2)s1)C(C)C ZINC000551185028 341333547 /nfs/dbraw/zinc/33/35/47/341333547.db2.gz KHQBUHMZHSLMIU-OAHLLOKOSA-N 0 3 324.877 4.224 20 0 DIADHN Cc1nccnc1[C@H](C)NCC[C@H](c1ccccc1)C(F)(F)F ZINC000551241990 341335174 /nfs/dbraw/zinc/33/51/74/341335174.db2.gz XWVPRPNGAHRMKC-SWLSCSKDSA-N 0 3 323.362 4.172 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1cc2c(s1)CCCC2 ZINC000551242154 341335217 /nfs/dbraw/zinc/33/52/17/341335217.db2.gz SJPCJTMVRVPEPC-NWDGAFQWSA-N 0 3 302.443 4.139 20 0 DIADHN CCc1noc(C)c1CN[C@H]1CCC[C@@H]1CCc1ccccc1 ZINC000551243883 341335397 /nfs/dbraw/zinc/33/53/97/341335397.db2.gz PEBGCAKETLFLAZ-XLIONFOSSA-N 0 3 312.457 4.437 20 0 DIADHN COC1(CCN[C@@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000551244461 341335446 /nfs/dbraw/zinc/33/54/46/341335446.db2.gz YZZPQYGIZBEKBH-NSHDSACASA-N 0 3 317.351 4.037 20 0 DIADHN COc1cc([C@H](C)NC[C@]2(C)C[C@H]2c2ccccc2)c(F)cn1 ZINC000551245906 341335459 /nfs/dbraw/zinc/33/54/59/341335459.db2.gz FJZXREQSOCYRIR-AXHNFQJDSA-N 0 3 314.404 4.074 20 0 DIADHN COC1(CCN[C@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000551244460 341335501 /nfs/dbraw/zinc/33/55/01/341335501.db2.gz YZZPQYGIZBEKBH-LLVKDONJSA-N 0 3 317.351 4.037 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccnc(OC)c2)C(C)C)cc1 ZINC000551266664 341336167 /nfs/dbraw/zinc/33/61/67/341336167.db2.gz CIPUJTCEXOSXHV-IFXJQAMLSA-N 0 3 314.429 4.147 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C(F)(F)F)cc2C)ccn1 ZINC000551278402 341336895 /nfs/dbraw/zinc/33/68/95/341336895.db2.gz QVXOCZAKSVDYRN-LBPRGKRZSA-N 0 3 324.346 4.268 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1nccn1C ZINC000551296081 341337439 /nfs/dbraw/zinc/33/74/39/341337439.db2.gz GBHUAYZAOPCFSX-KSSFIOAISA-N 0 3 319.880 4.382 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccc(SC)cc2)ccn1 ZINC000551304243 341337751 /nfs/dbraw/zinc/33/77/51/341337751.db2.gz DOZOALBZGPEXJR-QWHCGFSZSA-N 0 3 302.443 4.224 20 0 DIADHN CC1(C)CCC[C@H]1CN[C@H](c1ccc(F)cc1)c1cnccn1 ZINC000551310243 341338163 /nfs/dbraw/zinc/33/81/63/341338163.db2.gz YIDDAUTURXLYBT-MAUKXSAKSA-N 0 3 313.420 4.121 20 0 DIADHN CC1(C)CCC[C@@H]1CN[C@H](c1ccc(F)cc1)c1cnccn1 ZINC000551310242 341338179 /nfs/dbraw/zinc/33/81/79/341338179.db2.gz YIDDAUTURXLYBT-CRAIPNDOSA-N 0 3 313.420 4.121 20 0 DIADHN C[C@@]1(c2ccccc2)CCN(Cc2ccc(C(F)(F)F)cn2)C1 ZINC000551385594 341340272 /nfs/dbraw/zinc/34/02/72/341340272.db2.gz QTPVAVQTNHCRJE-QGZVFWFLSA-N 0 3 320.358 4.264 20 0 DIADHN CC(C)(NCCCCCF)c1ccccc1Br ZINC000551391288 341340365 /nfs/dbraw/zinc/34/03/65/341340365.db2.gz BPBSXLGNHYWTRZ-UHFFFAOYSA-N 0 3 302.231 4.414 20 0 DIADHN CC(C)CN(CCn1ccnc1)[C@H](C)c1ccccc1Cl ZINC000551421799 341341227 /nfs/dbraw/zinc/34/12/27/341341227.db2.gz KHYDBUIWVYUZMN-OAHLLOKOSA-N 0 3 305.853 4.256 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2cnc3n2CCCC3)cc1 ZINC000551565263 341345741 /nfs/dbraw/zinc/34/57/41/341345741.db2.gz SMGMPHGCFSFSTE-MRXNPFEDSA-N 0 3 311.473 4.413 20 0 DIADHN CCCCOc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)cc1 ZINC000551571205 341345912 /nfs/dbraw/zinc/34/59/12/341345912.db2.gz KWLSDDLQKVPOBG-OALUTQOASA-N 0 3 303.446 4.009 20 0 DIADHN COc1c(C)cc(CN2CCC(CC(F)(F)F)CC2)cc1C ZINC000551585275 341346477 /nfs/dbraw/zinc/34/64/77/341346477.db2.gz CGSMXYZTMBXDOI-UHFFFAOYSA-N 0 3 315.379 4.476 20 0 DIADHN Cn1ccc(CN[C@H]2CCCCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000551616784 341347277 /nfs/dbraw/zinc/34/72/77/341347277.db2.gz IOEKCKPEGQLRHE-MSOLQXFVSA-N 0 3 317.864 4.280 20 0 DIADHN CCC[C@@H]1CCCN([C@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000246426104 341348369 /nfs/dbraw/zinc/34/83/69/341348369.db2.gz CIDKLUZFBOLESG-IAGOWNOFSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1cc(F)c(F)c(F)c1 ZINC000246436004 341348689 /nfs/dbraw/zinc/34/86/89/341348689.db2.gz OEUSBWRMRYCMMP-COPLHBTASA-N 0 3 321.342 4.319 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCC(CC(F)(F)F)CC1 ZINC000551709578 341349646 /nfs/dbraw/zinc/34/96/46/341349646.db2.gz DFUZZIWPDFFNCV-HIFRSBDPSA-N 0 3 307.400 4.246 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2cc3ccccc3s2)CC1 ZINC000551723492 341350120 /nfs/dbraw/zinc/35/01/20/341350120.db2.gz AJHYXJCQVIHZBL-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CCC1CC(N[C@H](Cc2ccccc2)C(=O)Nc2ccccc2)C1 ZINC000551778491 341350992 /nfs/dbraw/zinc/35/09/92/341350992.db2.gz AOLOLTCYTRSGBS-RRWXTXHCSA-N 0 3 322.452 4.015 20 0 DIADHN COc1ncc([C@H](C)N[C@@H](C)Cc2ccccc2F)cc1Cl ZINC000551787965 341351399 /nfs/dbraw/zinc/35/13/99/341351399.db2.gz QHBKDPOPHDIQOZ-RYUDHWBXSA-N 0 3 322.811 4.165 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)on1 ZINC000551787469 341351424 /nfs/dbraw/zinc/35/14/24/341351424.db2.gz HJWIARCNZNMTPB-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nc(C)c(CN[C@H]2C[C@H](Oc3ccccc3)C2(C)C)s1 ZINC000551789753 341351727 /nfs/dbraw/zinc/35/17/27/341351727.db2.gz SNWUKULEPDMQBI-IRXDYDNUSA-N 0 3 316.470 4.096 20 0 DIADHN Cc1cc(C)cc(C[C@@H](C)NCc2cnc(C(C)(C)C)nc2)c1 ZINC000551806022 341352420 /nfs/dbraw/zinc/35/24/20/341352420.db2.gz KQYSWYIDGBEOMU-MRXNPFEDSA-N 0 3 311.473 4.112 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC(F)F)c1)[C@@H](C)C2 ZINC000551811246 341352515 /nfs/dbraw/zinc/35/25/15/341352515.db2.gz BHMFWXUFZIBKRP-SJCJKPOMSA-N 0 3 318.367 4.015 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000551811526 341352588 /nfs/dbraw/zinc/35/25/88/341352588.db2.gz DWLOXNVRVGQJFX-QZTJIDSGSA-N 0 3 313.397 4.215 20 0 DIADHN Cc1ccccc1[C@H](C)N(Cc1ccc(CO)o1)CC(C)C ZINC000551812822 341352656 /nfs/dbraw/zinc/35/26/56/341352656.db2.gz NVZJJGRMHYQJKC-INIZCTEOSA-N 0 3 301.430 4.300 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccnc(OC(F)F)c2)cc1 ZINC000551814998 341352868 /nfs/dbraw/zinc/35/28/68/341352868.db2.gz YZBWODJCTKHCBI-GFCCVEGCSA-N 0 3 306.356 4.096 20 0 DIADHN COCCOc1cccc(CN2CCC=C(c3ccccc3)C2)c1 ZINC000551826359 341353150 /nfs/dbraw/zinc/35/31/50/341353150.db2.gz KKABLJZRGZINGR-UHFFFAOYSA-N 0 3 323.436 4.001 20 0 DIADHN CC[C@@H]1Oc2cc([C@@H](C)N[C@H](C)c3ccccc3)ccc2NC1=O ZINC000246568576 341353824 /nfs/dbraw/zinc/35/38/24/341353824.db2.gz ZHIPIECPJBIILX-LBTNJELSSA-N 0 3 324.424 4.208 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000246637024 341354900 /nfs/dbraw/zinc/35/49/00/341354900.db2.gz RWAJTVZXSKQUQX-JKIFEVAISA-N 0 3 303.837 4.181 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1ccnc2ccccc12 ZINC000551926636 341355225 /nfs/dbraw/zinc/35/52/25/341355225.db2.gz ULNKRKYQELEEAD-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC(C)(C)c1ccccc1 ZINC000551938137 341355501 /nfs/dbraw/zinc/35/55/01/341355501.db2.gz MCBFLSASONKKHP-UHFFFAOYSA-N 0 3 310.441 4.055 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@@H]1c1ccccn1 ZINC000551941063 341355714 /nfs/dbraw/zinc/35/57/14/341355714.db2.gz DAIDWXHFWVHSEO-PVGBUVFQSA-N 0 3 322.452 4.468 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1cccc2ccccc21 ZINC000246906328 341358591 /nfs/dbraw/zinc/35/85/91/341358591.db2.gz FLFDHLBCCACOCU-LVXYXVKQSA-N 0 3 303.405 4.149 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1cnc2ccsc2c1 ZINC000246912583 341358605 /nfs/dbraw/zinc/35/86/05/341358605.db2.gz FTHPQKMLAOMFOZ-IRWQIABSSA-N 0 3 324.449 4.477 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1COc2ccc(C)cc21 ZINC000552004055 341358982 /nfs/dbraw/zinc/35/89/82/341358982.db2.gz OVKXKTDIGIFFEZ-IKXXZMEWSA-N 0 3 323.436 4.268 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2c3cccc(F)c3CC[C@H]2C)cn1 ZINC000246964830 341359116 /nfs/dbraw/zinc/35/91/16/341359116.db2.gz ICVLFKAGIKYVBH-FHSNZYRGSA-N 0 3 301.409 4.016 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1cccc(Cl)c1)[C@H]1CCOC1 ZINC000247018604 341360047 /nfs/dbraw/zinc/36/00/47/341360047.db2.gz MRHUABSOUHRVCX-UCLAIMLFSA-N 0 3 315.844 4.444 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccc([S@@](C)=O)cc1 ZINC000247168217 341361682 /nfs/dbraw/zinc/36/16/82/341361682.db2.gz VEJYSPXXDUOGCI-GHTZIAJQSA-N 0 3 301.455 4.007 20 0 DIADHN C[C@H]1CCN(CCCOCC(F)(F)F)[C@@H](c2ccco2)C1 ZINC000247186230 341362017 /nfs/dbraw/zinc/36/20/17/341362017.db2.gz BNRWEUFWSSWFPT-QWHCGFSZSA-N 0 3 305.340 4.022 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1cc(F)c(F)c(F)c1 ZINC000247205337 341362149 /nfs/dbraw/zinc/36/21/49/341362149.db2.gz VGJSOUISPKXPSG-KNXALSJPSA-N 0 3 323.362 4.135 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCC[C@@H]4CCC[C@H]43)o2)c1 ZINC000247347681 341363859 /nfs/dbraw/zinc/36/38/59/341363859.db2.gz GLXOSPUFYYCEPA-SWLSCSKDSA-N 0 3 317.820 4.155 20 0 DIADHN c1c[nH]c([C@@H](NC[C@H]2CCc3ccccc32)C2CCCCC2)n1 ZINC000552044631 341364628 /nfs/dbraw/zinc/36/46/28/341364628.db2.gz IWWMQESYAOVFRG-MJGOQNOKSA-N 0 3 309.457 4.351 20 0 DIADHN CC1(C2CC2)CCN(Cc2conc2Cc2ccccc2)CC1 ZINC000552051832 341365199 /nfs/dbraw/zinc/36/51/99/341365199.db2.gz JMNLYILHSXEARK-UHFFFAOYSA-N 0 3 310.441 4.278 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC000552059968 341365849 /nfs/dbraw/zinc/36/58/49/341365849.db2.gz AUTCVWIVUIWQAB-INIZCTEOSA-N 0 3 321.399 4.205 20 0 DIADHN Cc1ccnc([C@H](C)NC[C@@H](C)Oc2cccc(Cl)c2)c1 ZINC000552061936 341366169 /nfs/dbraw/zinc/36/61/69/341366169.db2.gz GHPGJHFCMMAIRD-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN FC(F)(F)Oc1cccc([C@@H](NC2CC(F)(F)C2)C2CC2)c1 ZINC000552063784 341366446 /nfs/dbraw/zinc/36/64/46/341366446.db2.gz MRPCBGKJHIQFGH-ZDUSSCGKSA-N 0 3 321.289 4.424 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cnn(-c4ccccc4)c3)C2)cc1 ZINC000552072357 341367633 /nfs/dbraw/zinc/36/76/33/341367633.db2.gz FHIXMKKWDDLSMG-UAPYVXQJSA-N 0 3 321.399 4.047 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@H]43)co2)cc1 ZINC000247579858 341367700 /nfs/dbraw/zinc/36/77/00/341367700.db2.gz SRFKTHZNZZNKBN-SUMWQHHRSA-N 0 3 300.377 4.245 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@H](c1nccn1C)C1CC1 ZINC000552090424 341369080 /nfs/dbraw/zinc/36/90/80/341369080.db2.gz JQKFONKRUHTXOP-MOPGFXCFSA-N 0 3 311.473 4.262 20 0 DIADHN C[C@H](NC[C@@H]1CCOC[C@H]1C)c1cc(F)c(Cl)cc1Cl ZINC000552098758 341369789 /nfs/dbraw/zinc/36/97/89/341369789.db2.gz NRDPLPGCYQXPGF-VWYCJHECSA-N 0 3 320.235 4.456 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1nc(-c2cccs2)no1 ZINC000248102876 341373285 /nfs/dbraw/zinc/37/32/85/341373285.db2.gz OEWZAYZVCQTTKP-ZDEQEGDKSA-N 0 3 305.447 4.273 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000248421962 341377333 /nfs/dbraw/zinc/37/73/33/341377333.db2.gz YGTFOAFEKAFQEA-HALDLXJZSA-N 0 3 302.462 4.067 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@@H](C)c2cccc(OC)c2)o1 ZINC000248624095 341381914 /nfs/dbraw/zinc/38/19/14/341381914.db2.gz OLXRSSDBMWZNQC-KSSFIOAISA-N 0 3 315.413 4.333 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2c[nH]nc2-c2ccccc2)C1 ZINC000552272240 341382735 /nfs/dbraw/zinc/38/27/35/341382735.db2.gz HIPIQSVCNSOCRO-MRXNPFEDSA-N 0 3 321.399 4.205 20 0 DIADHN CCCc1csc(CNC[C@H](c2ccccc2)C2CC2)n1 ZINC000552273017 341382767 /nfs/dbraw/zinc/38/27/67/341382767.db2.gz NHTKCOUKVFOTTN-QGZVFWFLSA-N 0 3 300.471 4.379 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3)[C@@H](C)C2)s1 ZINC000552277685 341383564 /nfs/dbraw/zinc/38/35/64/341383564.db2.gz DRWZQFKSHNDSOG-YOEHRIQHSA-N 0 3 300.471 4.331 20 0 DIADHN Clc1ccc(Cl)c(CC2CCN([C@H]3CCOC3)CC2)c1 ZINC000552281267 341383815 /nfs/dbraw/zinc/38/38/15/341383815.db2.gz OGIBPBHGSRPDDZ-HNNXBMFYSA-N 0 3 314.256 4.037 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)CC(F)(F)F)c(C)c1OC ZINC000552280441 341383824 /nfs/dbraw/zinc/38/38/24/341383824.db2.gz HDLKXJURSSIPPF-MWLCHTKSSA-N 0 3 305.340 4.004 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@@H](C)CCc1ccc(F)cc1F ZINC000552282580 341383931 /nfs/dbraw/zinc/38/39/31/341383931.db2.gz GIYMCJAMKKRKRC-QWHCGFSZSA-N 0 3 320.383 4.040 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1csc(Cl)c1 ZINC000248697007 341384462 /nfs/dbraw/zinc/38/44/62/341384462.db2.gz LJPDBWKFMPIVHI-FPMFFAJLSA-N 0 3 309.866 4.433 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC[C@@]3(C1)OCc1ccccc13)CCC2 ZINC000248760166 341386260 /nfs/dbraw/zinc/38/62/60/341386260.db2.gz UKTATKQQKZVCCI-SFTDATJTSA-N 0 3 323.411 4.335 20 0 DIADHN Cc1ccncc1[C@H](C)NCC(C)(C)Oc1cc(F)cc(F)c1 ZINC000552322724 341386402 /nfs/dbraw/zinc/38/64/02/341386402.db2.gz XHRFCDREWAWOIT-ZDUSSCGKSA-N 0 3 320.383 4.176 20 0 DIADHN CCOc1ccc([C@@H](C)NC2(c3cccc(OC)c3)CC2)cc1 ZINC000552326025 341386676 /nfs/dbraw/zinc/38/66/76/341386676.db2.gz FQGZRERSWURZFS-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CC[C@H]1C1CCC1 ZINC000552326298 341386715 /nfs/dbraw/zinc/38/67/15/341386715.db2.gz LHLDDHDLPZMPMW-MAUKXSAKSA-N 0 3 302.437 4.094 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000552335401 341387434 /nfs/dbraw/zinc/38/74/34/341387434.db2.gz QOMLDZYNSBSWGB-QWRGUYRKSA-N 0 3 301.415 4.045 20 0 DIADHN Fc1cc(Br)c(CN[C@H]2CCC[C@H]3C[C@H]32)cc1F ZINC000552340534 341387891 /nfs/dbraw/zinc/38/78/91/341387891.db2.gz XTPPDVKEBIMRSZ-HNXYLICVSA-N 0 3 316.189 4.006 20 0 DIADHN CC[C@H](C)[C@@H](C)NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000142093353 341388242 /nfs/dbraw/zinc/38/82/42/341388242.db2.gz JVTTZHTUQNEBGD-JKSUJKDBSA-N 0 3 310.441 4.316 20 0 DIADHN C[C@H](CN(C)C[C@H]1CCC2(CCCCC2)O1)c1nccs1 ZINC000248884977 341389313 /nfs/dbraw/zinc/38/93/13/341389313.db2.gz XOHLGTJLUOQGHG-HUUCEWRRSA-N 0 3 308.491 4.060 20 0 DIADHN CC1(CNCc2nc3c(s2)C[C@@H](c2ccccc2)CC3)CC1 ZINC000552371099 341389694 /nfs/dbraw/zinc/38/96/94/341389694.db2.gz PYONBYPDGLCABR-HNNXBMFYSA-N 0 3 312.482 4.305 20 0 DIADHN C[C@@H](NCCCCOc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000552384824 341390439 /nfs/dbraw/zinc/39/04/39/341390439.db2.gz QMEGVWBBAZVIER-CYBMUJFWSA-N 0 3 322.811 4.384 20 0 DIADHN O[C@@H]1CC[C@H](CN[C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000248958851 341390758 /nfs/dbraw/zinc/39/07/58/341390758.db2.gz AVBPAGHVKHNIAA-GDIGMMSISA-N 0 3 315.844 4.180 20 0 DIADHN CCc1nc([C@H](C)N[C@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000249168983 341394951 /nfs/dbraw/zinc/39/49/51/341394951.db2.gz AKNCBRBSMJQUNP-AXFHLTTASA-N 0 3 306.397 4.477 20 0 DIADHN CC[C@H]1CCC[C@H]1NCC(=O)Nc1cc(Cl)ccc1Cl ZINC000552550089 341398436 /nfs/dbraw/zinc/39/84/36/341398436.db2.gz JICNIEAYKWZGBU-GXFFZTMASA-N 0 3 315.244 4.100 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1cc2cc(Cl)ccc2o1 ZINC000249528115 341398778 /nfs/dbraw/zinc/39/87/78/341398778.db2.gz AELXPUCIYKFYLS-JAMKOXHTSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)(F)F)c1cccc(-c2ccccc2)c1 ZINC000552579700 341399654 /nfs/dbraw/zinc/39/96/54/341399654.db2.gz GZZBLHUOQRPXSQ-DYVFJYSZSA-N 0 3 323.358 4.318 20 0 DIADHN C[C@H](N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1cccc(O)c1 ZINC000249635021 341399977 /nfs/dbraw/zinc/39/99/77/341399977.db2.gz WHEFBDCUBSDMEB-YRNRMSPPSA-N 0 3 311.425 4.211 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3C[C@@H]4OCCC[C@@H]34)o2)cc1 ZINC000250103359 341402452 /nfs/dbraw/zinc/40/24/52/341402452.db2.gz RTUFTWWTSPUIHG-JZXOWHBKSA-N 0 3 317.816 4.257 20 0 DIADHN COC[C@H](N[C@@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000552893008 341407982 /nfs/dbraw/zinc/40/79/82/341407982.db2.gz IMTSBQMWDCDXRM-OALUTQOASA-N 0 3 315.844 4.444 20 0 DIADHN Cc1ccsc1CNC1(c2ccc(F)cc2F)CCOCC1 ZINC000089802781 341408912 /nfs/dbraw/zinc/40/89/12/341408912.db2.gz QFFOHTXWLUVZLQ-UHFFFAOYSA-N 0 3 323.408 4.130 20 0 DIADHN CC(C)(NCCCSCc1ccccc1)c1nccs1 ZINC000090554398 341416909 /nfs/dbraw/zinc/41/69/09/341416909.db2.gz NEYSCZRIZBIWTC-UHFFFAOYSA-N 0 3 306.500 4.291 20 0 DIADHN Cc1cc(C[C@H](C)N[C@H](C)c2ccc(C)o2)n(-c2ccccc2)n1 ZINC000090601430 341417562 /nfs/dbraw/zinc/41/75/62/341417562.db2.gz XOGBVAMSIBPXNK-WMLDXEAASA-N 0 3 323.440 4.364 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](c2ccccc2)C(C)(C)CO)o1 ZINC000090657453 341418231 /nfs/dbraw/zinc/41/82/31/341418231.db2.gz YWBDYSLAXKSRJN-YJBOKZPZSA-N 0 3 315.457 4.295 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)Cc1ccccc1)c1cc2ccccc2o1 ZINC000090655318 341418254 /nfs/dbraw/zinc/41/82/54/341418254.db2.gz YOTXZFXATRMMFV-RLFYNMQTSA-N 0 3 309.409 4.076 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2cnc3ccsc3c2)c1 ZINC000090723818 341418775 /nfs/dbraw/zinc/41/87/75/341418775.db2.gz YAKJFMCVIPINOL-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(-n2ccnn2)cc1)c1cccs1 ZINC000090860009 341419688 /nfs/dbraw/zinc/41/96/88/341419688.db2.gz TXILAVDZJOJPOQ-XJKSGUPXSA-N 0 3 312.442 4.131 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](C)c1cc(C)c(C)cc1C ZINC000090846117 341419813 /nfs/dbraw/zinc/41/98/13/341419813.db2.gz SIQUAEFMZAUUKG-INIZCTEOSA-N 0 3 313.489 4.321 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cc(F)cc(OC)c2)cc1 ZINC000090972218 341420927 /nfs/dbraw/zinc/42/09/27/341420927.db2.gz IXJKZNUZDGTZOO-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](c1ccccc1F)C1CCCC1 ZINC000091335073 341424867 /nfs/dbraw/zinc/42/48/67/341424867.db2.gz AHPGSEYEMBLIDB-LJQANCHMSA-N 0 3 316.420 4.494 20 0 DIADHN COc1ccc(-c2nc(CNC3[C@@H](C)CCC[C@@H]3C)co2)cc1 ZINC000553427484 341428580 /nfs/dbraw/zinc/42/85/80/341428580.db2.gz AMGWJHZQQAZVFQ-KBPBESRZSA-N 0 3 314.429 4.265 20 0 DIADHN CC[C@H](N[C@@H](C)CC[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000252728646 341429798 /nfs/dbraw/zinc/42/97/98/341429798.db2.gz CDNHAMKFQLKRPI-KDDHCUDTSA-N 0 3 322.301 4.191 20 0 DIADHN Cc1cc(C)n(CCN[C@@H](Cc2ccccc2)c2ccccc2)n1 ZINC000553611727 341432546 /nfs/dbraw/zinc/43/25/46/341432546.db2.gz KGUATXZYDVGEHJ-NRFANRHFSA-N 0 3 319.452 4.074 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1cnc(-c2ccncc2)s1 ZINC000553781989 341435867 /nfs/dbraw/zinc/43/58/67/341435867.db2.gz PQJAMOCTPGTGFN-SCLBCKFNSA-N 0 3 321.449 4.228 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1cnc(-c2ccncc2)s1 ZINC000553781987 341435876 /nfs/dbraw/zinc/43/58/76/341435876.db2.gz PQJAMOCTPGTGFN-ACJLOTCBSA-N 0 3 321.449 4.228 20 0 DIADHN COC[C@@H](NC[C@H](c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000554097295 341444279 /nfs/dbraw/zinc/44/42/79/341444279.db2.gz WSSDSYRIFGDDJF-IAGOWNOFSA-N 0 3 323.358 4.310 20 0 DIADHN FC(F)(F)C1CC(N[C@@H]2CCCOc3c(Cl)cccc32)C1 ZINC000554145089 341445497 /nfs/dbraw/zinc/44/54/97/341445497.db2.gz MFIGSGFBKBPIQV-SRHKJQAYSA-N 0 3 319.754 4.484 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCOC3CCC3)oc21 ZINC000554219830 341447035 /nfs/dbraw/zinc/44/70/35/341447035.db2.gz OHROOFAZLIFADT-ZDUSSCGKSA-N 0 3 303.402 4.051 20 0 DIADHN COC[C@H](NC[C@H](OC)c1cccc(Cl)c1)c1ccccc1 ZINC000554369640 341451633 /nfs/dbraw/zinc/45/16/33/341451633.db2.gz NIEIRVLTWVMYGR-ROUUACIJSA-N 0 3 319.832 4.005 20 0 DIADHN Cc1cncc([C@H](C)N[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC000554389567 341453176 /nfs/dbraw/zinc/45/31/76/341453176.db2.gz QUFASVOOYQFXLG-ZYSHUDEJSA-N 0 3 310.441 4.287 20 0 DIADHN COCC[C@H]1CCC[C@H]1NCc1c(Cl)cccc1Cl ZINC000554560943 341458094 /nfs/dbraw/zinc/45/80/94/341458094.db2.gz MEHCSKFGGNUXKJ-IAQYHMDHSA-N 0 3 302.245 4.288 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(Cl)c(F)c1 ZINC000554572822 341458527 /nfs/dbraw/zinc/45/85/27/341458527.db2.gz IYSZXVXIFKWJPZ-ZEGGKSINSA-N 0 3 307.743 4.290 20 0 DIADHN CCC[C@@H](NCCc1cc(C(F)(F)F)ccn1)c1ccccn1 ZINC000572547197 341459231 /nfs/dbraw/zinc/45/92/31/341459231.db2.gz BBQRNECMGYFVHE-OAHLLOKOSA-N 0 3 323.362 4.169 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN[C@H](C)c1cnn(C)c1 ZINC000554718217 341461953 /nfs/dbraw/zinc/46/19/53/341461953.db2.gz PTPYYYJTPHOKTE-FFXRNRBCSA-N 0 3 323.362 4.193 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CN[C@H]1CC[C@H](F)C1 ZINC000554719693 341462022 /nfs/dbraw/zinc/46/20/22/341462022.db2.gz HWLVPCZFUXIUHT-HOTGVXAUSA-N 0 3 315.436 4.150 20 0 DIADHN CCC[C@H](Cc1ccccc1)N[C@H](C)c1c(F)cncc1F ZINC000554878427 341467876 /nfs/dbraw/zinc/46/78/76/341467876.db2.gz QQBIPBRWKGKMHU-UKRRQHHQSA-N 0 3 304.384 4.422 20 0 DIADHN Clc1cc2c(s1)CC[C@H]2NCC1=Cc2ccccc2OC1 ZINC000554966048 341470740 /nfs/dbraw/zinc/47/07/40/341470740.db2.gz SDAZOQAQGKDZHU-CQSZACIVSA-N 0 3 317.841 4.454 20 0 DIADHN Fc1ccccc1OCCNCc1cscc1C(F)(F)F ZINC000554979225 341471253 /nfs/dbraw/zinc/47/12/53/341471253.db2.gz QWKGZDKZULCXGR-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN C[C@H](N[C@@H](CO)CCC(C)(C)C)c1nccc2ccccc21 ZINC000555002700 341472479 /nfs/dbraw/zinc/47/24/79/341472479.db2.gz KXSGICYQIRUSGB-GOEBONIOSA-N 0 3 300.446 4.073 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H](C)c3cc[nH]c(=O)c3)o2)cc1 ZINC000555608281 341486768 /nfs/dbraw/zinc/48/67/68/341486768.db2.gz CONDDJUFPWPKAL-AWEZNQCLSA-N 0 3 322.408 4.460 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC(C)(C)c2ccccc2C)c(C)c1 ZINC000555612430 341486993 /nfs/dbraw/zinc/48/69/93/341486993.db2.gz YLGJXQCCQLONRJ-UHFFFAOYSA-N 0 3 324.468 4.384 20 0 DIADHN Clc1cc(Br)ccc1CCNCc1ccco1 ZINC000555645133 341487853 /nfs/dbraw/zinc/48/78/53/341487853.db2.gz SNKBEQGGSCVAMM-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)N[C@@H](C)c2cc[nH]c(=O)c2)c1 ZINC000556223894 341498008 /nfs/dbraw/zinc/49/80/08/341498008.db2.gz LMDIYMKOFLHSPO-NWDGAFQWSA-N 0 3 322.355 4.109 20 0 DIADHN CO[C@@H](CN[C@H](c1cccc(C)c1)c1cccc(F)c1)C1CC1 ZINC000556238719 341498729 /nfs/dbraw/zinc/49/87/29/341498729.db2.gz LJYYURFWEXTMIV-VQTJNVASSA-N 0 3 313.416 4.238 20 0 DIADHN Cc1csc([C@H](NC[C@@H](C)Cc2ccccc2)C2CC2)n1 ZINC000152722011 341503103 /nfs/dbraw/zinc/50/31/03/341503103.db2.gz MHBHBFLSXWGZHT-SUMWQHHRSA-N 0 3 300.471 4.371 20 0 DIADHN C[C@H](NC[C@@]1(C)OCCc2sccc21)c1cccc(F)c1F ZINC000564615992 341505119 /nfs/dbraw/zinc/50/51/19/341505119.db2.gz MXUBXZKYYOPTKU-APPDUMDISA-N 0 3 323.408 4.165 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccc(OCC)c(F)c2)cc1 ZINC000152809494 341506870 /nfs/dbraw/zinc/50/68/70/341506870.db2.gz HNGCENBOAVEJLW-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN Clc1ccc([C@@H]2CCC[C@H]2NCc2ccno2)cc1Cl ZINC000564774237 341509241 /nfs/dbraw/zinc/50/92/41/341509241.db2.gz YUDHQMRKDMBQMQ-SWLSCSKDSA-N 0 3 311.212 4.407 20 0 DIADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc(SCC(F)(F)F)cc1 ZINC000153059303 341522770 /nfs/dbraw/zinc/52/27/70/341522770.db2.gz YQZQDSMVKOXHDV-YPMHNXCESA-N 0 3 319.392 4.171 20 0 DIADHN Clc1cccc2c1CC[C@@H]2NCc1cccc2c1CCCN2 ZINC000565357460 341525057 /nfs/dbraw/zinc/52/50/57/341525057.db2.gz AAMUNFRCFXNSHV-IBGZPJMESA-N 0 3 312.844 4.475 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)no1 ZINC000153186511 341528654 /nfs/dbraw/zinc/52/86/54/341528654.db2.gz FVFZIXAOMHCGIJ-ZBFHGGJFSA-N 0 3 324.346 4.428 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](C)c2cccc(CO)c2)cc1 ZINC000305770267 341532411 /nfs/dbraw/zinc/53/24/11/341532411.db2.gz HADXFBRHZCVYGF-JKSUJKDBSA-N 0 3 313.441 4.378 20 0 DIADHN Clc1ccc([C@H](NC[C@@H]2CCCO2)c2ccccc2)cc1 ZINC000565670770 341534608 /nfs/dbraw/zinc/53/46/08/341534608.db2.gz LZQQKUDBXDYWJW-ZWKOTPCHSA-N 0 3 301.817 4.198 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](O)C2CCCCC2)ccc1SC ZINC000163154842 341539318 /nfs/dbraw/zinc/53/93/18/341539318.db2.gz UGXSMXZOKIRMNE-CZUORRHYSA-N 0 3 323.502 4.009 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(F)cc2C1)c1csc(Cl)c1 ZINC000566032548 341546015 /nfs/dbraw/zinc/54/60/15/341546015.db2.gz LAFHANXPHLWPDB-RNCFNFMXSA-N 0 3 311.809 4.195 20 0 DIADHN COCc1ccc(CN[C@@H](c2ccc(OC)cc2)C2CC2)cc1 ZINC000566331586 341554309 /nfs/dbraw/zinc/55/43/09/341554309.db2.gz NXLMYDZOZGIAQO-HXUWFJFHSA-N 0 3 311.425 4.083 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCC[C@@H](C)C2)cc(Cl)c1[O-] ZINC000566577432 341562264 /nfs/dbraw/zinc/56/22/64/341562264.db2.gz KFWLTEPYGNHHNL-OLZOCXBDSA-N 0 3 311.853 4.360 20 0 DIADHN Cc1cc(C)cc(C[C@@H](C)N[C@@H](C)c2cn3ccccc3n2)c1 ZINC000566811117 341568904 /nfs/dbraw/zinc/56/89/04/341568904.db2.gz LWPFDOYIQRCMHG-SJORKVTESA-N 0 3 307.441 4.233 20 0 DIADHN COC[C@H](NCCCCC(F)(F)F)c1cccc(Cl)c1 ZINC000567197022 341585742 /nfs/dbraw/zinc/58/57/42/341585742.db2.gz FZIMSZPOYHHNPO-ZDUSSCGKSA-N 0 3 309.759 4.350 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2cccs2)c2ccc(F)cc2)[C@@H](C)O1 ZINC000567214767 341588554 /nfs/dbraw/zinc/58/85/54/341588554.db2.gz FUIOBXQGMGDIHW-BKBUGSPTSA-N 0 3 305.418 4.132 20 0 DIADHN Clc1cccc(Cl)c1[C@H](NCCCn1ccnc1)C1CC1 ZINC000567243398 341590931 /nfs/dbraw/zinc/59/09/31/341590931.db2.gz LRUYLHPQYJDKOA-MRXNPFEDSA-N 0 3 324.255 4.321 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CCC[C@H]1C1CC1 ZINC000567252157 341591436 /nfs/dbraw/zinc/59/14/36/341591436.db2.gz FYWLYUFDFUXELQ-YJBOKZPZSA-N 0 3 302.437 4.094 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](OC)C(C)(C)C)ccc1SC ZINC000154968645 341599299 /nfs/dbraw/zinc/59/92/99/341599299.db2.gz NRKFXLXZJNCTBB-BLLLJJGKSA-N 0 3 311.491 4.129 20 0 DIADHN COc1ccccc1[C@H](C)NC1CCN(c2ccccc2)CC1 ZINC000268752898 341603536 /nfs/dbraw/zinc/60/35/36/341603536.db2.gz YIRXHGIDNPYCLD-INIZCTEOSA-N 0 3 310.441 4.015 20 0 DIADHN COC1(CCN[C@@H](C)c2sccc2Br)CCC1 ZINC000310591712 341607552 /nfs/dbraw/zinc/60/75/52/341607552.db2.gz ZRFQMKUYKPLLKR-JTQLQIEISA-N 0 3 318.280 4.120 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2ccc3c(c2)CCCC3)c1 ZINC000155497087 341608224 /nfs/dbraw/zinc/60/82/24/341608224.db2.gz RWRBYDZDURGRIL-HNNXBMFYSA-N 0 3 322.452 4.375 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnccn1)C(c1ccccc1)c1ccccc1 ZINC000155594055 341610039 /nfs/dbraw/zinc/61/00/39/341610039.db2.gz OUELYVOGZKCWTM-DLBZAZTESA-N 0 3 317.436 4.348 20 0 DIADHN O=C1CCC(CN2CCC(=Cc3cccc(F)c3)CC2)CC1 ZINC000567515951 341611774 /nfs/dbraw/zinc/61/17/74/341611774.db2.gz ONDZNKPTZYHADE-UHFFFAOYSA-N 0 3 301.405 4.064 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccc(SCC(F)(F)F)cc1)OC ZINC000567550100 341614950 /nfs/dbraw/zinc/61/49/50/341614950.db2.gz UQXKHDMOBIHURZ-WCQYABFASA-N 0 3 321.408 4.417 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1C(F)(F)F)c1ccncc1 ZINC000156490606 341622078 /nfs/dbraw/zinc/62/20/78/341622078.db2.gz VASJHJPQVHZNTG-QWHCGFSZSA-N 0 3 324.346 4.219 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1ccc(Cl)s1)c1ccco1 ZINC000156556984 341622828 /nfs/dbraw/zinc/62/28/28/341622828.db2.gz QTOTXHZFRIEOFD-AAEUAGOBSA-N 0 3 313.850 4.293 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H](C)c1nccc2ccccc21 ZINC000567653892 341624611 /nfs/dbraw/zinc/62/46/11/341624611.db2.gz KYVWJIMNSHVYTF-APWZRJJASA-N 0 3 320.436 4.143 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(OCC(F)(F)F)c1 ZINC000157559402 341640581 /nfs/dbraw/zinc/64/05/81/341640581.db2.gz JKYASMKBTAWPMA-SECBINFHSA-N 0 3 315.257 4.058 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000157772209 341645196 /nfs/dbraw/zinc/64/51/96/341645196.db2.gz SYBIOICDJFHYHD-PNPHSEOMSA-N 0 3 323.864 4.347 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000568075404 341649991 /nfs/dbraw/zinc/64/99/91/341649991.db2.gz SYNCFZFSYUNMSG-HXUWFJFHSA-N 0 3 322.452 4.221 20 0 DIADHN C[C@H](C(=O)N1CCCC[C@@H]1c1ccccc1)N1CCCCCC1 ZINC000568160415 341655320 /nfs/dbraw/zinc/65/53/20/341655320.db2.gz FMFZDGHMLNOSNR-IEBWSBKVSA-N 0 3 314.473 4.005 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1F)c1cnn(C2CCCC2)c1 ZINC000569260075 341695911 /nfs/dbraw/zinc/69/59/11/341695911.db2.gz MLWZPMVVEUVVGP-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@@H](Cc1ccccc1)C(F)(F)F ZINC000569294177 341696960 /nfs/dbraw/zinc/69/69/60/341696960.db2.gz LDIXDFRTMVPPFI-GOEBONIOSA-N 0 3 322.374 4.462 20 0 DIADHN CC[C@@H](NCc1cnn(CC(F)F)c1)c1ccc(Cl)cc1 ZINC000293083293 341708709 /nfs/dbraw/zinc/70/87/09/341708709.db2.gz VEVMFHVFNPTUBK-CQSZACIVSA-N 0 3 313.779 4.043 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)c2cnn(CC)c2)cc1 ZINC000165396426 341711679 /nfs/dbraw/zinc/71/16/79/341711679.db2.gz PRLIHRDNGYUSHM-KBPBESRZSA-N 0 3 303.475 4.427 20 0 DIADHN COCCOc1ccc([C@@H](C)N[C@H](C)c2ccc(C)cc2)cc1 ZINC000165896938 341715127 /nfs/dbraw/zinc/71/51/27/341715127.db2.gz JGVVXUPVBVULKQ-IAGOWNOFSA-N 0 3 313.441 4.432 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2ccc(C)cc21)c1c(C)nn(C)c1C ZINC000166734654 341720660 /nfs/dbraw/zinc/72/06/60/341720660.db2.gz HTSQMLLZDJQGIS-RTBURBONSA-N 0 3 311.473 4.464 20 0 DIADHN Oc1cc(CN[C@H]2CCCC(F)(F)C2)cc(C(F)(F)F)c1 ZINC000573313266 341724562 /nfs/dbraw/zinc/72/45/62/341724562.db2.gz PAGQRNQWLQRXID-NSHDSACASA-N 0 3 309.278 4.079 20 0 DIADHN CC[C@@](C)(NCCc1ccc(F)cc1Cl)c1nccs1 ZINC000573617224 341733694 /nfs/dbraw/zinc/73/36/94/341733694.db2.gz XAZGQVPXXRIQPO-OAHLLOKOSA-N 0 3 312.841 4.393 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@H](C)c2ccncc2F)cc1 ZINC000573986523 341745295 /nfs/dbraw/zinc/74/52/95/341745295.db2.gz JKPYFOHGKQJCHW-UONOGXRCSA-N 0 3 304.434 4.361 20 0 DIADHN CC(C)OCc1ccccc1CNCc1ccc2[nH]ccc2c1 ZINC000574052848 341747134 /nfs/dbraw/zinc/74/71/34/341747134.db2.gz DRYCMFGDGBKPMR-UHFFFAOYSA-N 0 3 308.425 4.383 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(OC2CCCC2)cc1 ZINC000574349522 341756084 /nfs/dbraw/zinc/75/60/84/341756084.db2.gz QUPYPSWXICRNFA-UHFFFAOYSA-N 0 3 324.468 4.364 20 0 DIADHN Cc1nc2ccccc2c(N2CC[C@H](c3cccnc3)C2)c1C ZINC000574448726 341758862 /nfs/dbraw/zinc/75/88/62/341758862.db2.gz ASPYJDHPHBYONG-KRWDZBQOSA-N 0 3 303.409 4.241 20 0 DIADHN CCOc1cccc(CN[C@@H]2CC=C(C)CC2)c1OC(F)F ZINC000574471523 341759552 /nfs/dbraw/zinc/75/95/52/341759552.db2.gz VJHYSLBWYIRSHL-CQSZACIVSA-N 0 3 311.372 4.275 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@@H]1CCCC[C@H]1C)c1ccco1 ZINC000574597381 341763262 /nfs/dbraw/zinc/76/32/62/341763262.db2.gz VPNMUUYDNIQMDD-LTIDMASMSA-N 0 3 320.477 4.041 20 0 DIADHN Clc1ccc(-c2cnc(CN[C@H]3CCC[C@@H]4C[C@@H]43)o2)cc1 ZINC000575227820 341787619 /nfs/dbraw/zinc/78/76/19/341787619.db2.gz FVSMQONOUZXMGS-SNPRPXQTSA-N 0 3 302.805 4.273 20 0 DIADHN COC1(C)CCN([C@H](C)c2ccccc2Br)CC1 ZINC000580535555 341800481 /nfs/dbraw/zinc/80/04/81/341800481.db2.gz NLWDPUKBGQZHRR-GFCCVEGCSA-N 0 3 312.251 4.011 20 0 DIADHN OCC1([C@H](NCc2cccc(Cl)c2)c2ccccc2)CCC1 ZINC000575822452 341806861 /nfs/dbraw/zinc/80/68/61/341806861.db2.gz WMDFARHGXAFOFI-GOSISDBHSA-N 0 3 315.844 4.334 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(OCC(F)(F)F)nc2)c1 ZINC000173915831 341821346 /nfs/dbraw/zinc/82/13/46/341821346.db2.gz HJOZMXKYQBFCDB-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(N3CCC(C)CC3)nc2)c1 ZINC000173932381 341821621 /nfs/dbraw/zinc/82/16/21/341821621.db2.gz WGBOVFDAJCBTKG-GOSISDBHSA-N 0 3 323.484 4.477 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1cccc2c1CCCN2 ZINC000576269274 341837196 /nfs/dbraw/zinc/83/71/96/341837196.db2.gz NXUKIQFDBSEQRG-HXUWFJFHSA-N 0 3 322.452 4.221 20 0 DIADHN COC[C@@H](N[C@H]1CCCC(F)(F)C1)c1cccc(Cl)c1 ZINC000576506350 341849692 /nfs/dbraw/zinc/84/96/92/341849692.db2.gz SBSRZNWPUAYSCI-UONOGXRCSA-N 0 3 303.780 4.195 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1C1CC1)c1nc(-c2cccc(F)c2)no1 ZINC000576522748 341850666 /nfs/dbraw/zinc/85/06/66/341850666.db2.gz IEOJGWQGORJONH-IUIKQTSFSA-N 0 3 315.392 4.105 20 0 DIADHN CCCC(C)(C)CC(=O)NC[C@@H](N[C@H](C)CC)c1ccco1 ZINC000576573430 341852852 /nfs/dbraw/zinc/85/28/52/341852852.db2.gz XMJZUCPJNQRWFL-HUUCEWRRSA-N 0 3 308.466 4.041 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H]1CCc2sc(Cl)cc21 ZINC000576747240 341861260 /nfs/dbraw/zinc/86/12/60/341861260.db2.gz LSZZFCUIUOJVLA-DZGCQCFKSA-N 0 3 321.873 4.236 20 0 DIADHN C[C@@H](NC[C@H](CO)C1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000576791867 341863536 /nfs/dbraw/zinc/86/35/36/341863536.db2.gz FHWBMNFUECPGSS-PSASIEDQSA-N 0 3 322.663 4.316 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2ccccc2OCc2ccncc2)C1 ZINC000576823376 341864893 /nfs/dbraw/zinc/86/48/93/341864893.db2.gz ZOHRQHJEZJNYDS-ZWKOTPCHSA-N 0 3 314.404 4.031 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1ccccc1 ZINC000576883713 341868169 /nfs/dbraw/zinc/86/81/69/341868169.db2.gz NGSSWYVJYNKGPR-LPHOPBHVSA-N 0 3 320.440 4.459 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)C[C@@H](N)Cc1ccccc1 ZINC000576935442 341870596 /nfs/dbraw/zinc/87/05/96/341870596.db2.gz PZCOCDUDDSNHJE-KRWDZBQOSA-N 0 3 310.441 4.017 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1C)c1ccc(C)cc1 ZINC000192675056 341880703 /nfs/dbraw/zinc/88/07/03/341880703.db2.gz JBZWXBBIRFTPNU-WMZOPIPTSA-N 0 3 310.441 4.371 20 0 DIADHN CC(C)C[C@H](NC(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000580630521 341889320 /nfs/dbraw/zinc/88/93/20/341889320.db2.gz PJGZBERBDLVUGW-IBGZPJMESA-N 0 3 323.440 4.199 20 0 DIADHN C[C@H]1C[C@H](NCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000345344373 341894047 /nfs/dbraw/zinc/89/40/47/341894047.db2.gz AUTCGSUDLYHIGB-HZMBPMFUSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1CN(C)C)c1cccc(F)c1 ZINC000580673372 341895971 /nfs/dbraw/zinc/89/59/71/341895971.db2.gz WXBPKRNMFBOZNY-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(OC(C)C)c(Cl)c1)C1CC1 ZINC000577209307 341897412 /nfs/dbraw/zinc/89/74/12/341897412.db2.gz HOUKVZMAXMLART-SJCJKPOMSA-N 0 3 311.853 4.203 20 0 DIADHN COC(=O)c1coc([C@H](C)NCCc2ccc3ccccc3c2)c1 ZINC000577338478 341904845 /nfs/dbraw/zinc/90/48/45/341904845.db2.gz KZOAFZGTDYKVJX-AWEZNQCLSA-N 0 3 323.392 4.113 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000176956593 341906749 /nfs/dbraw/zinc/90/67/49/341906749.db2.gz GODCVBWXIINQQT-GLQYFDAESA-N 0 3 301.434 4.439 20 0 DIADHN CCCn1ccc(CNCc2ccc(OCC)c(Cl)c2)c1 ZINC000577380992 341907044 /nfs/dbraw/zinc/90/70/44/341907044.db2.gz NHYPOMOAOBWGMN-UHFFFAOYSA-N 0 3 306.837 4.240 20 0 DIADHN COc1ccccc1[C@@H](NCCc1cc(C)no1)C1CCCC1 ZINC000577715342 341931090 /nfs/dbraw/zinc/93/10/90/341931090.db2.gz HOPHCCPFDJHXFT-IBGZPJMESA-N 0 3 314.429 4.055 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2N[C@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000177937534 341940044 /nfs/dbraw/zinc/94/00/44/341940044.db2.gz YJLTUTWCSOCTLF-RXVVDRJESA-N 0 3 321.420 4.186 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H]1CCc3cc(F)ccc31)CCC2 ZINC000178088442 341944177 /nfs/dbraw/zinc/94/41/77/341944177.db2.gz PDWOBENOHWGZAC-LSDHHAIUSA-N 0 3 316.445 4.499 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC000178291831 341950812 /nfs/dbraw/zinc/95/08/12/341950812.db2.gz RMOWDRYCLXNJOM-SJKOYZFVSA-N 0 3 303.837 4.358 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@H](C)c2ccccc2C)cc1 ZINC000178334254 341951910 /nfs/dbraw/zinc/95/19/10/341951910.db2.gz GTTSIAJHBPOLRQ-QGZVFWFLSA-N 0 3 324.468 4.376 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2cc(COC(C)(C)C)on2)C1 ZINC000474925409 517467529 /nfs/dbraw/zinc/46/75/29/517467529.db2.gz VFRAGACZQOZFOB-HUUCEWRRSA-N 0 3 308.466 4.294 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H]2CCCOC2)c(OCCC)c1 ZINC000482645334 517591984 /nfs/dbraw/zinc/59/19/84/517591984.db2.gz WHKGTAPQWAZBMX-HZPDHXFCSA-N 0 3 321.461 4.094 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)C[C@H](N)c1ccccc1 ZINC000448239089 517782526 /nfs/dbraw/zinc/78/25/26/517782526.db2.gz IHZTTWPCBVUWGJ-OALUTQOASA-N 0 3 310.441 4.076 20 0 DIADHN COc1ccc2nc(CN[C@@H]3C[C@H]3Cc3ccccc3)sc2c1 ZINC000474378292 518163400 /nfs/dbraw/zinc/16/34/00/518163400.db2.gz OSIPFDSKQKTOKK-RHSMWYFYSA-N 0 3 324.449 4.026 20 0 DIADHN C[C@H](NCc1ccsc1Br)C1CC(F)(F)C1 ZINC000529559040 518183271 /nfs/dbraw/zinc/18/32/71/518183271.db2.gz WXXDVZSSLVFYRS-ZETCQYMHSA-N 0 3 310.207 4.034 20 0 DIADHN c1ncn2cc(CN[C@@H](CC3CCC3)c3ccccc3)ccc12 ZINC000414186836 518203985 /nfs/dbraw/zinc/20/39/85/518203985.db2.gz PKWAOUFBYDNVSN-FQEVSTJZSA-N 0 3 305.425 4.355 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3[nH]ccc32)cn1 ZINC000180881815 518892503 /nfs/dbraw/zinc/89/25/03/518892503.db2.gz ITEWXNDCILTOBO-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CC(C)N(C)Cc1cccc(NC(=O)c2ccccc2Cl)c1 ZINC000158704856 519630027 /nfs/dbraw/zinc/63/00/27/519630027.db2.gz ODNGUOSJNQOQPH-UHFFFAOYSA-N 0 3 316.832 4.433 20 0 DIADHN CC(C)NC(=O)Nc1ccc(CN[C@@H](C)c2ccccc2)cc1 ZINC000129405253 519712997 /nfs/dbraw/zinc/71/29/97/519712997.db2.gz PBTNMSABLVAUIB-HNNXBMFYSA-N 0 3 311.429 4.067 20 0 DIADHN CC(C)NC(=O)Nc1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000127992315 519713061 /nfs/dbraw/zinc/71/30/61/519713061.db2.gz MSGWETIVABPEMY-CYBMUJFWSA-N 0 3 317.458 4.129 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2c(C)cc(C)cc2C)cc1 ZINC000182032477 519732922 /nfs/dbraw/zinc/73/29/22/519732922.db2.gz DFGBBBDQCNKCII-MRXNPFEDSA-N 0 3 310.441 4.421 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H](c2ccccc2)C2CC2)cc1 ZINC000181926938 519733282 /nfs/dbraw/zinc/73/32/82/519733282.db2.gz PWAWNHDELIPDJL-JLTOFOAXSA-N 0 3 308.425 4.447 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H]2CCc3cc(F)ccc32)cc1 ZINC000182028509 519733490 /nfs/dbraw/zinc/73/34/90/519733490.db2.gz ZKXBBNOLZQSOOU-BLVKFPJESA-N 0 3 312.388 4.122 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCCc3ccccc32)cc1 ZINC000181909608 519734114 /nfs/dbraw/zinc/73/41/14/519734114.db2.gz FRHJRXFTCSOTCN-VBKZILBWSA-N 0 3 308.425 4.373 20 0 DIADHN CC(C)OC(=O)c1ccc(CN[C@H](C)c2cccs2)cc1 ZINC000182544858 519752354 /nfs/dbraw/zinc/75/23/54/519752354.db2.gz AXPGVAGZBPMMRM-CYBMUJFWSA-N 0 3 303.427 4.164 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cncc(C(F)(F)F)c1 ZINC000361395165 519792801 /nfs/dbraw/zinc/79/28/01/519792801.db2.gz MACPILBVJBQISH-LLVKDONJSA-N 0 3 314.273 4.167 20 0 DIADHN CC(C)[C@H](NC[C@H]1CCCC(F)(F)C1)c1nc(C2CC2)no1 ZINC000338284362 519820873 /nfs/dbraw/zinc/82/08/73/519820873.db2.gz ADCFUTQAAKYABE-AAEUAGOBSA-N 0 3 313.392 4.059 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1ccccc1 ZINC000163099791 519821584 /nfs/dbraw/zinc/82/15/84/519821584.db2.gz SBYLSJASTXLJCD-QOEFQVSFSA-N 0 3 315.482 4.472 20 0 DIADHN CC(C)c1ccc(C(=O)N(C)[C@@H]2CCN(C)[C@@H](C)C2)cc1Cl ZINC000189321950 519834566 /nfs/dbraw/zinc/83/45/66/519834566.db2.gz RDJRVJJKWALIBG-DZGCQCFKSA-N 0 3 322.880 4.018 20 0 DIADHN CC(C)c1ccc([C@H](C)N2CCC(CC(=O)N(C)C)CC2)cc1 ZINC000182495455 519839814 /nfs/dbraw/zinc/83/98/14/519839814.db2.gz CMGRLIUNKFUSOI-INIZCTEOSA-N 0 3 316.489 4.061 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@]2(C)CCO[C@H]2C2CC2)cs1 ZINC000364225815 519867742 /nfs/dbraw/zinc/86/77/42/519867742.db2.gz IVRNFNXAKIQAJJ-NUTKFTJISA-N 0 3 308.491 4.122 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000191301575 520351896 /nfs/dbraw/zinc/35/18/96/520351896.db2.gz KFNLLWIZFWWHMD-ZDUSSCGKSA-N 0 3 305.809 4.058 20 0 DIADHN CCCOc1ccc(CNCc2cccc3[nH]ccc32)cc1OC ZINC000236948413 520369769 /nfs/dbraw/zinc/36/97/69/520369769.db2.gz WNOKAVJNMYFVSC-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN CC1=C(c2ccco2)CN(Cc2ccc(-n3ccnc3)cc2)CC1 ZINC000338346155 520846799 /nfs/dbraw/zinc/84/67/99/520846799.db2.gz VDDDAIDVCUAWRR-UHFFFAOYSA-N 0 3 319.408 4.145 20 0 DIADHN CCSCCCNCc1cn(-c2ccc(Cl)cc2)nc1C ZINC000337234014 521704583 /nfs/dbraw/zinc/70/45/83/521704583.db2.gz AHLLENVFJPXFLG-UHFFFAOYSA-N 0 3 323.893 4.067 20 0 DIADHN CCSc1cc(CNCc2ccc(SC)cc2)ccn1 ZINC000189582219 521734095 /nfs/dbraw/zinc/73/40/95/521734095.db2.gz XSLVYKFCDFVNIZ-UHFFFAOYSA-N 0 3 304.484 4.205 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(N2CCOC2=O)cc1 ZINC000157948850 521842967 /nfs/dbraw/zinc/84/29/67/521842967.db2.gz BIHUKADCCXXVPN-OAHLLOKOSA-N 0 3 324.424 4.056 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc3ncccc3c2)s1 ZINC000195147861 522223839 /nfs/dbraw/zinc/22/38/39/522223839.db2.gz SGNMYVPVLGTJGB-GFCCVEGCSA-N 0 3 311.454 4.413 20 0 DIADHN CCc1nc(CCN[C@@H]2CCSc3ccc(F)cc32)cs1 ZINC000157046323 522281712 /nfs/dbraw/zinc/28/17/12/522281712.db2.gz LVPRDHOYTXNSEM-CQSZACIVSA-N 0 3 322.474 4.214 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2cnc3ccsc3c2)cs1 ZINC000157136308 522282643 /nfs/dbraw/zinc/28/26/43/522282643.db2.gz XJWVBYMNHKFIOK-NSHDSACASA-N 0 3 317.483 4.209 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(COC)cc1)CCC2 ZINC000124420801 522474734 /nfs/dbraw/zinc/47/47/34/522474734.db2.gz YYTOXFHWYWOVQN-HNNXBMFYSA-N 0 3 316.470 4.019 20 0 DIADHN CCc1nc2cc(CNCc3ccccc3)ccc2n1C1CC1 ZINC000338436196 522482286 /nfs/dbraw/zinc/48/22/86/522482286.db2.gz DEALFSKAAIGSCW-UHFFFAOYSA-N 0 3 305.425 4.223 20 0 DIADHN CC[C@H](NCCC(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000339331585 522575892 /nfs/dbraw/zinc/57/58/92/522575892.db2.gz HLUBTNBOIUNYJE-KRWDZBQOSA-N 0 3 316.832 4.410 20 0 DIADHN CC[C@H](NCCc1c(C)noc1C)c1cccc(Cl)c1F ZINC000161679224 522599744 /nfs/dbraw/zinc/59/97/44/522599744.db2.gz FUJGPQIUDKYPAB-HNNXBMFYSA-N 0 3 310.800 4.367 20 0 DIADHN CC[C@H](NCc1ccsc1C)c1ccc2c(c1)OCCO2 ZINC000339200553 522663477 /nfs/dbraw/zinc/66/34/77/522663477.db2.gz STIFXYSSQUNNMP-HNNXBMFYSA-N 0 3 303.427 4.069 20 0 DIADHN COC1CCC(N(C)Cc2nc(-c3ccccc3)oc2C)CC1 ZINC000265256736 522687767 /nfs/dbraw/zinc/68/77/67/522687767.db2.gz XKIDFUBPNHWHMT-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccncc1 ZINC000163769171 522695988 /nfs/dbraw/zinc/69/59/88/522695988.db2.gz AZOCEUUVAZFOKW-LRDDRELGSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1c(C)nn(C)c1C ZINC000156616110 522697777 /nfs/dbraw/zinc/69/77/77/522697777.db2.gz IZEJGQWGISPUKL-DJJJIMSYSA-N 0 3 311.473 4.328 20 0 DIADHN CC[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1ccncc1 ZINC000163692618 522700206 /nfs/dbraw/zinc/70/02/06/522700206.db2.gz KXZXJVIPKFODBY-LRDDRELGSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@@H](NCc1ccc(-n2cccn2)cc1)c1cccc(OC)c1 ZINC000157569310 522873194 /nfs/dbraw/zinc/87/31/94/522873194.db2.gz JFWNWNXUHBZELA-HXUWFJFHSA-N 0 3 321.424 4.122 20 0 DIADHN CC[C@@H](NCc1ccn(C)c1)c1cccc(Br)c1 ZINC000158564970 522887387 /nfs/dbraw/zinc/88/73/87/522887387.db2.gz VKDPIGFCLUMAAF-OAHLLOKOSA-N 0 3 307.235 4.029 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccncc1 ZINC000163769296 522910814 /nfs/dbraw/zinc/91/08/14/522910814.db2.gz AZOCEUUVAZFOKW-BLLLJJGKSA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@@H](N[C@@H]1CCSc2ccc(OC)cc21)c1ccncc1 ZINC000191518445 522926909 /nfs/dbraw/zinc/92/69/09/522926909.db2.gz PCTGGDSVXURYHR-IAGOWNOFSA-N 0 3 314.454 4.368 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc(OC)c(OC)c1)c1ccncc1 ZINC000163689277 522942932 /nfs/dbraw/zinc/94/29/32/522942932.db2.gz BTPBVJHDYZTQLZ-IAGOWNOFSA-N 0 3 314.429 4.291 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)[C@H](C)OC)ccc1SC(F)F ZINC000191652294 522944378 /nfs/dbraw/zinc/94/43/78/522944378.db2.gz BBPBTDVXYQIFIG-DCAQKATOSA-N 0 3 319.417 4.084 20 0 DIADHN CCc1nocc1CN[C@@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000339342332 522953061 /nfs/dbraw/zinc/95/30/61/522953061.db2.gz QSOIAWGXQZRTJP-QGZVFWFLSA-N 0 3 300.377 4.102 20 0 DIADHN COCc1ccc([C@@H]2CCCN2CCCCC(F)(F)F)o1 ZINC000131165125 523016946 /nfs/dbraw/zinc/01/69/46/523016946.db2.gz HEFSSXYLKZJMOO-ZDUSSCGKSA-N 0 3 305.340 4.296 20 0 DIADHN COCCCCCN[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000180548629 523135285 /nfs/dbraw/zinc/13/52/85/523135285.db2.gz BIUAAMRYXYQUGO-CQSZACIVSA-N 0 3 307.331 4.226 20 0 DIADHN COCc1nc(CNC2CCC(c3ccccc3)CC2)cs1 ZINC000266230998 523200607 /nfs/dbraw/zinc/20/06/07/523200607.db2.gz UOUUPJJTKBFGAI-UHFFFAOYSA-N 0 3 316.470 4.106 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000157910373 523459661 /nfs/dbraw/zinc/45/96/61/523459661.db2.gz BUISCTOOIQAZNJ-JKSUJKDBSA-N 0 3 320.440 4.377 20 0 DIADHN COCCC[C@H](C)N[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000178488254 523490141 /nfs/dbraw/zinc/49/01/41/523490141.db2.gz BJNVDUXLFIKTMV-KBXCAEBGSA-N 0 3 318.848 4.229 20 0 DIADHN CN(Cc1cncn1C)[C@@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000337218407 523504420 /nfs/dbraw/zinc/50/44/20/523504420.db2.gz BVRORFMWACHDTE-GOSISDBHSA-N 0 3 317.864 4.437 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000264764336 523871388 /nfs/dbraw/zinc/87/13/88/523871388.db2.gz YZDRSCQAQDKSJM-NWDGAFQWSA-N 0 3 316.367 4.010 20 0 DIADHN CO[C@H](CN1CCC(OCC2CCCC2)CC1)c1ccccc1 ZINC000338546265 523934256 /nfs/dbraw/zinc/93/42/56/523934256.db2.gz NCLCWIDRZVMKCH-HXUWFJFHSA-N 0 3 317.473 4.045 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc(NC(C)=O)cc2)s1 ZINC000181948432 523944944 /nfs/dbraw/zinc/94/49/44/523944944.db2.gz GNKRDZXJZYTRDK-LBPRGKRZSA-N 0 3 302.443 4.120 20 0 DIADHN CCc1ccc([C@H](CC)NCc2cccc(NC(C)=O)c2)cc1 ZINC000155459135 524048220 /nfs/dbraw/zinc/04/82/20/524048220.db2.gz WEMAOPGLWUYFRR-FQEVSTJZSA-N 0 3 310.441 4.448 20 0 DIADHN COCCOc1ccc([C@@H](C)NCc2cccc(Cl)c2)cc1 ZINC000132516369 524051521 /nfs/dbraw/zinc/05/15/21/524051521.db2.gz UIHLSBZSFAKVCV-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@H](C)[C@H](C)c1ccccc1 ZINC000181525034 524063260 /nfs/dbraw/zinc/06/32/60/524063260.db2.gz RGAAIWACXDOYHE-DLBZAZTESA-N 0 3 324.468 4.278 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN[C@@H](C)c1ccccc1 ZINC000119965695 524067944 /nfs/dbraw/zinc/06/79/44/524067944.db2.gz PMWTXLUEIRWQCY-HNNXBMFYSA-N 0 3 310.441 4.101 20 0 DIADHN Cc1cccc(-c2cccc(CN[C@@H](C)c3cnn(C)c3)c2)c1 ZINC000158534771 524110831 /nfs/dbraw/zinc/11/08/31/524110831.db2.gz MRZSXMCWVKYHHW-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000155902818 524460668 /nfs/dbraw/zinc/46/06/68/524460668.db2.gz ZTOIALUIYMCNDF-WJONJSRFSA-N 0 3 315.482 4.453 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000155884429 524470652 /nfs/dbraw/zinc/47/06/52/524470652.db2.gz AWGGRXCBCITLSD-CABCVRRESA-N 0 3 311.429 4.206 20 0 DIADHN Cc1ccc(CN[C@@H](Cc2ccccn2)c2cccnc2)c(C)c1 ZINC000338219606 524593847 /nfs/dbraw/zinc/59/38/47/524593847.db2.gz XELDFLNYFROYFT-NRFANRHFSA-N 0 3 317.436 4.167 20 0 DIADHN Cc1ccc(CN[C@H](Cc2ccccn2)c2cccnc2)c(C)c1 ZINC000338219607 524608401 /nfs/dbraw/zinc/60/84/01/524608401.db2.gz XELDFLNYFROYFT-OAQYLSRUSA-N 0 3 317.436 4.167 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000156960866 524726056 /nfs/dbraw/zinc/72/60/56/524726056.db2.gz RLYBWUBNVJAQEM-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cnccn1)c1c(Cl)cccc1Cl ZINC000155946349 524748878 /nfs/dbraw/zinc/74/88/78/524748878.db2.gz GQDAKCHNJMCJNM-QWRGUYRKSA-N 0 3 310.228 4.238 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cn(C)nc2-c2ccccc2)c1 ZINC000181037302 524829368 /nfs/dbraw/zinc/82/93/68/524829368.db2.gz VFCLZTTWRMFXOG-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cc(Cl)cc(CNCc2cccc(-n3ccnc3)c2)c1 ZINC000339265033 524872834 /nfs/dbraw/zinc/87/28/34/524872834.db2.gz ONACLXMLNSTDRZ-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](CCCO)c2ccccc2)c1 ZINC000339276935 524874998 /nfs/dbraw/zinc/87/49/98/524874998.db2.gz UAGQDUIQMRKDIP-GOSISDBHSA-N 0 3 303.833 4.252 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCCCCC(F)(F)F)c1 ZINC000130387258 524904261 /nfs/dbraw/zinc/90/42/61/524904261.db2.gz QBYVNLBEKUJJLY-NSHDSACASA-N 0 3 305.340 4.087 20 0 DIADHN C[C@]1(CNCc2nc(-c3ccccc3)c[nH]2)C[C@H]1c1ccccc1 ZINC000339452556 525268547 /nfs/dbraw/zinc/26/85/47/525268547.db2.gz ZQRCDEQDSHDFMT-GHTZIAJQSA-N 0 3 317.436 4.360 20 0 DIADHN COc1ccc([C@H](C)CNC(C)(C)c2nc(C)cs2)cc1 ZINC000182213818 525297609 /nfs/dbraw/zinc/29/76/09/525297609.db2.gz CKLNTOKFECGMDB-GFCCVEGCSA-N 0 3 304.459 4.089 20 0 DIADHN C[C@]1(NCc2nc(-c3ccccc3)c[nH]2)C[C@H]1c1ccccc1 ZINC000339473000 525298894 /nfs/dbraw/zinc/29/88/94/525298894.db2.gz ONFRFYAWMBYVMC-PXNSSMCTSA-N 0 3 303.409 4.113 20 0 DIADHN Cc1ccc(O)c(CN[C@@H]2CCSc3ccc(Cl)cc32)n1 ZINC000112716453 525351833 /nfs/dbraw/zinc/35/18/33/525351833.db2.gz BQGSMGMOIJZILO-CYBMUJFWSA-N 0 3 320.845 4.076 20 0 DIADHN C[C@H](NCC[S@@](=O)C(C)(C)C)c1cc2ccccc2s1 ZINC000188028363 525366337 /nfs/dbraw/zinc/36/63/37/525366337.db2.gz ZNYPAHOTLGLWIB-FKIZINRSSA-N 0 3 309.500 4.099 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cncn1C)C1CCC(C)CC1 ZINC000112711515 525375953 /nfs/dbraw/zinc/37/59/53/525375953.db2.gz FSBNHKCLKHZETG-LAGYLCCXSA-N 0 3 311.473 4.386 20 0 DIADHN C[C@@H](NCCc1csc(-c2ccccc2)n1)c1cncs1 ZINC000161959378 525467674 /nfs/dbraw/zinc/46/76/74/525467674.db2.gz WTGQJSFFRNZFPA-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCC2)c1)c1ccc(Cl)cc1 ZINC000131483483 525471879 /nfs/dbraw/zinc/47/18/79/525471879.db2.gz MVFJQXAKDXBGKK-AWEZNQCLSA-N 0 3 315.848 4.186 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc([S@@](C)=O)cc1 ZINC000339501785 525482373 /nfs/dbraw/zinc/48/23/73/525482373.db2.gz JIBBVDJFHDNZNB-WHEQGISXSA-N 0 3 313.422 4.021 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)ccc1F ZINC000268729865 525529597 /nfs/dbraw/zinc/52/95/97/525529597.db2.gz OCFJOUQWNDBMOO-CABCVRRESA-N 0 3 324.403 4.127 20 0 DIADHN Cc1cc([C@H](NCc2ccc(Cl)nc2)C2CC2)ccc1F ZINC000158724325 525537745 /nfs/dbraw/zinc/53/77/45/525537745.db2.gz LMKRQARALQQQRV-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H](N[C@@H](CCCO)c1ccccc1)c1ccc(F)c(F)c1 ZINC000266751161 525539845 /nfs/dbraw/zinc/53/98/45/525539845.db2.gz CSRYNZXBJNFMCX-UGSOOPFHSA-N 0 3 305.368 4.129 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](N[C@H](C)c2ccncc2F)C1 ZINC000338015336 525549838 /nfs/dbraw/zinc/54/98/38/525549838.db2.gz CJQAJGQFPCUUEX-BZUAXINKSA-N 0 3 313.420 4.301 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccccc1 ZINC000265476897 525660302 /nfs/dbraw/zinc/66/03/02/525660302.db2.gz NMXWIRRRJCNTJT-HUUCEWRRSA-N 0 3 308.425 4.447 20 0 DIADHN C[C@@H](N[C@H]1CCCc2occc21)c1ccc(NC(=O)C2CC2)cc1 ZINC000192632496 525681347 /nfs/dbraw/zinc/68/13/47/525681347.db2.gz RYHAHPFANNYJPB-ACJLOTCBSA-N 0 3 324.424 4.356 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(N3CCCC3)c2)cc1C ZINC000131416277 525737626 /nfs/dbraw/zinc/73/76/26/525737626.db2.gz DWTCOGDYXZABKM-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)cc1C ZINC000158832105 525739069 /nfs/dbraw/zinc/73/90/69/525739069.db2.gz DZIPHPOOSVJNHL-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2sc(C)nc2C)c1 ZINC000188723217 525740023 /nfs/dbraw/zinc/74/00/23/525740023.db2.gz DKDUAPXAGGGDGS-LLVKDONJSA-N 0 3 306.500 4.474 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3cncn3)cc2)c(C)c1 ZINC000158860352 525747666 /nfs/dbraw/zinc/74/76/66/525747666.db2.gz HFGSTYDNLKIEPS-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN CSCc1cnc(CNC[C@@H]2CCCc3ccccc32)s1 ZINC000339178519 525751308 /nfs/dbraw/zinc/75/13/08/525751308.db2.gz QJFVQSYDDBYUCQ-AWEZNQCLSA-N 0 3 318.511 4.216 20 0 DIADHN C[C@@H](O)C[C@@H](C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000180600023 525811526 /nfs/dbraw/zinc/81/15/26/525811526.db2.gz HQHBKPFULDHAGU-ZIAGYGMSSA-N 0 3 319.395 4.051 20 0 DIADHN C[C@@]1(NCc2cnc(C(F)(F)F)s2)C[C@@H]1c1ccccc1 ZINC000339473344 525874657 /nfs/dbraw/zinc/87/46/57/525874657.db2.gz PWKTXZDSEFHDKB-TZMCWYRMSA-N 0 3 312.360 4.198 20 0 DIADHN C[C@@H](OCCCN[C@@H](C)c1cncc(F)c1)c1ccccc1 ZINC000163388304 525902553 /nfs/dbraw/zinc/90/25/53/525902553.db2.gz JYZVFAZAXJROSN-LSDHHAIUSA-N 0 3 302.393 4.039 20 0 DIADHN Cc1ccc([C@H](C)NCc2cn(C)nc2-c2ccccc2)s1 ZINC000180411786 525930964 /nfs/dbraw/zinc/93/09/64/525930964.db2.gz WPFBCQFMHACTNE-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@@H](O)c1ccsc1 ZINC000223914105 525987835 /nfs/dbraw/zinc/98/78/35/525987835.db2.gz WCBUVGXEFBNDFB-IUODEOHRSA-N 0 3 301.411 4.187 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N1CCC2(C1)CCOCC2 ZINC000266536854 526036565 /nfs/dbraw/zinc/03/65/65/526036565.db2.gz HLJGVTXMXMTGQE-ZDUSSCGKSA-N 0 3 324.262 4.013 20 0 DIADHN COc1cccc(CN[C@H]2CCSc3ccc(OC)cc32)c1 ZINC000191488389 526039503 /nfs/dbraw/zinc/03/95/03/526039503.db2.gz QLOROMIOOQOYPU-KRWDZBQOSA-N 0 3 315.438 4.031 20 0 DIADHN C[C@H](c1ccc(Br)cc1)N1CCC2(C1)CCOCC2 ZINC000266536850 526040087 /nfs/dbraw/zinc/04/00/87/526040087.db2.gz HLJGVTXMXMTGQE-CYBMUJFWSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC(c2ncc[nH]2)CC1 ZINC000266728217 526040239 /nfs/dbraw/zinc/04/02/39/526040239.db2.gz IWHWKJQJPGUQSE-LBPRGKRZSA-N 0 3 323.362 4.369 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000266965679 526048093 /nfs/dbraw/zinc/04/80/93/526048093.db2.gz YDMKYCVTSCZJSI-WCQYABFASA-N 0 3 307.800 4.143 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](CCO)c2ccccc2)cc1 ZINC000266786834 526064034 /nfs/dbraw/zinc/06/40/34/526064034.db2.gz OIPGEZUZQCQTOV-RDTXWAMCSA-N 0 3 301.455 4.183 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@@H](C)c2cnn(C(C)(C)C)c2C)s1 ZINC000191499043 526111468 /nfs/dbraw/zinc/11/14/68/526111468.db2.gz FBMPZLKNDQDPJR-AAEUAGOBSA-N 0 3 320.506 4.166 20 0 DIADHN Cc1ccc2c(CN3CC[C@@H](CC(F)(F)F)C3)ccc(O)c2n1 ZINC000338383439 526128328 /nfs/dbraw/zinc/12/83/28/526128328.db2.gz YHSIZMKUVJJQCD-LBPRGKRZSA-N 0 3 324.346 4.023 20 0 DIADHN Cc1ccc2c(C[N@@H+]3CC[C@@H](CC(F)(F)F)C3)ccc([O-])c2n1 ZINC000338383439 526128335 /nfs/dbraw/zinc/12/83/35/526128335.db2.gz YHSIZMKUVJJQCD-LBPRGKRZSA-N 0 3 324.346 4.023 20 0 DIADHN Cc1ccc2c(C[N@H+]3CC[C@@H](CC(F)(F)F)C3)ccc([O-])c2n1 ZINC000338383439 526128337 /nfs/dbraw/zinc/12/83/37/526128337.db2.gz YHSIZMKUVJJQCD-LBPRGKRZSA-N 0 3 324.346 4.023 20 0 DIADHN C[C@H](c1ncc(-c2ccc(Cl)cc2)o1)N(C)C[C@@H]1CCOC1 ZINC000155062307 526182284 /nfs/dbraw/zinc/18/22/84/526182284.db2.gz GLZLXKHVGLSKML-OLZOCXBDSA-N 0 3 320.820 4.024 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CCC(c2ncc[nH]2)CC1 ZINC000266762735 526182605 /nfs/dbraw/zinc/18/26/05/526182605.db2.gz QLQINZCKOOVNNK-CQSZACIVSA-N 0 3 322.412 4.005 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)OCCCO3)CCC2 ZINC000339068500 526190941 /nfs/dbraw/zinc/19/09/41/526190941.db2.gz LERWRKZXGIIBKV-IBGZPJMESA-N 0 3 323.436 4.324 20 0 DIADHN Fc1cc2cccnc2c(CN[C@H]2CCCC[C@@H]2OC(F)F)c1 ZINC000337381803 526311454 /nfs/dbraw/zinc/31/14/54/526311454.db2.gz DERURRQZABGOMY-GJZGRUSLSA-N 0 3 324.346 4.014 20 0 DIADHN Oc1ccc(C2=CCN(Cc3cnc4ccccc4c3)CC2)cc1 ZINC000337139731 526343178 /nfs/dbraw/zinc/34/31/78/526343178.db2.gz SPKRXQJZYMLWLE-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN Cc1csc([C@H](C)CN[C@H](C)c2cnn(C(C)(C)C)c2C)n1 ZINC000191497672 526430761 /nfs/dbraw/zinc/43/07/61/526430761.db2.gz YCTDJABDHNEZHT-DGCLKSJQSA-N 0 3 320.506 4.166 20 0 DIADHN c1cc2c(cccc2CNCc2ccnc(OC3CCCC3)c2)[nH]1 ZINC000236637632 526441825 /nfs/dbraw/zinc/44/18/25/526441825.db2.gz QMVHBFLSIOEDIK-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN Cc1cscc1C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000128534048 526463497 /nfs/dbraw/zinc/46/34/97/526463497.db2.gz ORZPUJVBJROKFD-UHFFFAOYSA-N 0 3 314.454 4.213 20 0 DIADHN c1ccc([C@@H](NCCCOC2CCCC2)c2ccccn2)cc1 ZINC000180335369 526491107 /nfs/dbraw/zinc/49/11/07/526491107.db2.gz BHGNMYGGHTUYBT-HXUWFJFHSA-N 0 3 310.441 4.110 20 0 DIADHN Cc1noc(C)c1CCN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000155995645 526496688 /nfs/dbraw/zinc/49/66/88/526496688.db2.gz CUNJRBKCWNYSIK-OAHLLOKOSA-N 0 3 322.861 4.314 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1sc(C(C)C)nc1C ZINC000155949703 526497317 /nfs/dbraw/zinc/49/73/17/526497317.db2.gz NJAYGJWRLQGOEL-NSHDSACASA-N 0 3 307.463 4.073 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CC[C@@H]3CCCO3)nc2c1 ZINC000188661799 526522467 /nfs/dbraw/zinc/52/24/67/526522467.db2.gz DPYBVSMUDVQDIQ-ZFWWWQNUSA-N 0 3 302.443 4.002 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@H]1CCCOc2cc(C)ccc21 ZINC000182224411 526538247 /nfs/dbraw/zinc/53/82/47/526538247.db2.gz CRQWEGYDGJJIBY-UGSOOPFHSA-N 0 3 314.429 4.034 20 0 DIADHN c1cnn(-c2ccc(CN(Cc3ccccc3)C3CC3)cc2)c1 ZINC000338338893 526549923 /nfs/dbraw/zinc/54/99/23/526549923.db2.gz BJUMYGMDUVXNBA-UHFFFAOYSA-N 0 3 303.409 4.037 20 0 DIADHN Cc1n[nH]cc1CN[C@@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000189576658 526554922 /nfs/dbraw/zinc/55/49/22/526554922.db2.gz LBVZHUKIBKMMJL-HXUWFJFHSA-N 0 3 303.409 4.162 20 0 DIADHN Cc1n[nH]cc1CN[C@H](c1ccc(C(F)(F)F)cc1)C(C)C ZINC000130372015 526555970 /nfs/dbraw/zinc/55/59/70/526555970.db2.gz PXRHPOTZSMNVCN-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN c1coc(CN(Cc2c[nH]nc2-c2cccnc2)C2CCCC2)c1 ZINC000132201722 526566263 /nfs/dbraw/zinc/56/62/63/526566263.db2.gz ADZIWWCIBSURKB-UHFFFAOYSA-N 0 3 322.412 4.010 20 0 DIADHN c1coc([C@@H](CNc2nccc3occc32)N2CCCCC2)c1 ZINC000156699725 526568850 /nfs/dbraw/zinc/56/88/50/526568850.db2.gz LKHJOJPFVVESOI-OAHLLOKOSA-N 0 3 311.385 4.060 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCC1=CCCOC1 ZINC000126853794 526605133 /nfs/dbraw/zinc/60/51/33/526605133.db2.gz MEBSICPGFNBGKQ-CYBMUJFWSA-N 0 3 314.454 4.116 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@@H](C)c1cccnc1 ZINC000158528430 526612426 /nfs/dbraw/zinc/61/24/26/526612426.db2.gz KBDCKHOGPULBKB-QWHCGFSZSA-N 0 3 324.453 4.320 20 0 DIADHN c1sc(CNC[C@@H]2CCCCS2)nc1-c1ccccc1 ZINC000156397643 526632347 /nfs/dbraw/zinc/63/23/47/526632347.db2.gz IYMKCKHEYOMHML-AWEZNQCLSA-N 0 3 304.484 4.185 20 0 DIADHN Cc1nocc1CN[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC000339331001 526696185 /nfs/dbraw/zinc/69/61/85/526696185.db2.gz GGHRMBLOAGVKNR-GDBMZVCRSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nc(C)c(CCN[C@@H](C)c2cc(F)c(F)c(F)c2)s1 ZINC000157941897 526714545 /nfs/dbraw/zinc/71/45/45/526714545.db2.gz HOHKPHJCTXEKLI-QMMMGPOBSA-N 0 3 314.376 4.071 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)s1 ZINC000338386724 526716007 /nfs/dbraw/zinc/71/60/07/526716007.db2.gz ZYYMDSKWNGKEFG-CYBMUJFWSA-N 0 3 318.408 4.314 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@H]1C1CCC1 ZINC000102927891 526751228 /nfs/dbraw/zinc/75/12/28/526751228.db2.gz SKQPPBMUKVWADN-INIZCTEOSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2cc(F)c(F)c(F)c2)sc1C ZINC000127736822 526826909 /nfs/dbraw/zinc/82/69/09/526826909.db2.gz SVKBGVOCWJYLTR-VIFPVBQESA-N 0 3 314.376 4.071 20 0 DIADHN Cc1nc(CCN[C@H](CC(C)C)c2ccccn2)sc1C ZINC000127683656 526828457 /nfs/dbraw/zinc/82/84/57/526828457.db2.gz LOEWMHRWGLBMGU-MRXNPFEDSA-N 0 3 303.475 4.074 20 0 DIADHN CN(Cc1ccccc1F)[C@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000273391928 536483079 /nfs/dbraw/zinc/48/30/79/536483079.db2.gz FFGIWOAYSPKUCY-HKUYNNGSSA-N 0 3 317.379 4.317 20 0 DIADHN Cc1nc(CN[C@H](C)CCc2c(F)cccc2F)sc1C ZINC000338001361 526892605 /nfs/dbraw/zinc/89/26/05/526892605.db2.gz WXXWFPZVKIDYNM-SNVBAGLBSA-N 0 3 310.413 4.149 20 0 DIADHN Cc1sccc1CNC[C@H](c1cccs1)N1CCCC1 ZINC000339174610 526914991 /nfs/dbraw/zinc/91/49/91/526914991.db2.gz VNRNWSOUMNQFRS-OAHLLOKOSA-N 0 3 306.500 4.045 20 0 DIADHN Cc1sccc1CNCc1ccc(OCc2ccccn2)cc1 ZINC000339187221 526915000 /nfs/dbraw/zinc/91/50/00/526915000.db2.gz XLCOTJIRXCRTSI-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN Cc1sccc1CNCc1ccc(OC(F)F)c(F)c1 ZINC000339524594 526915182 /nfs/dbraw/zinc/91/51/82/526915182.db2.gz JNFVHDKINMPDGS-UHFFFAOYSA-N 0 3 301.333 4.087 20 0 DIADHN Cc1sccc1CNc1cccc2c1OCC[C@H]2N(C)C ZINC000339375349 526922682 /nfs/dbraw/zinc/92/26/82/526922682.db2.gz FMLUPEDSCBGLNY-MRXNPFEDSA-N 0 3 302.443 4.054 20 0 DIADHN O=C1CCCN1c1cccc(CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000180017719 526982473 /nfs/dbraw/zinc/98/24/73/526982473.db2.gz JWHRGNYJAXBYJW-NRFANRHFSA-N 0 3 320.436 4.054 20 0 DIADHN Cn1cc(CN[C@H](c2ccccc2)C2CC2)c(-c2ccccc2)n1 ZINC000180411462 527221132 /nfs/dbraw/zinc/22/11/32/527221132.db2.gz YMWILRIXGGWPQS-HXUWFJFHSA-N 0 3 317.436 4.328 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2c3cccc(F)c3CC[C@@H]2C)c(C)n1 ZINC000163288105 527310036 /nfs/dbraw/zinc/31/00/36/527310036.db2.gz SGEJBRTXDXGKJZ-BLWXERESSA-N 0 3 313.420 4.207 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000188468923 527460066 /nfs/dbraw/zinc/46/00/66/527460066.db2.gz YTJZRHTZPSAHAA-XAUMDUMWSA-N 0 3 309.457 4.395 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H](CC1CCC1)c1ccccc1 ZINC000181263818 527578794 /nfs/dbraw/zinc/57/87/94/527578794.db2.gz RVZUPZWQSHSPRD-OALUTQOASA-N 0 3 309.457 4.319 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000180078028 527638188 /nfs/dbraw/zinc/63/81/88/527638188.db2.gz IBKADKJHALIYAX-LJQANCHMSA-N 0 3 315.436 4.197 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1sc(C)nc1C)c1ccccc1 ZINC000284446998 536553724 /nfs/dbraw/zinc/55/37/24/536553724.db2.gz QRCKGBLMTQCSBF-XJKSGUPXSA-N 0 3 304.459 4.140 20 0 DIADHN CCC[C@@H](C(=O)Nc1ccccc1CCN(C)C)c1ccccc1 ZINC000275734357 535320705 /nfs/dbraw/zinc/32/07/05/535320705.db2.gz XWJWPAKLZZNMSV-LJQANCHMSA-N 0 3 324.468 4.313 20 0 DIADHN CC(C)=CCC[C@H](C)Nc1ccccc1CN1CCC(O)CC1 ZINC000272237994 535368933 /nfs/dbraw/zinc/36/89/33/535368933.db2.gz YBPYYTUHRMZOJN-KRWDZBQOSA-N 0 3 316.489 4.190 20 0 DIADHN CC[C@@H](NCc1cccc(OCCCOC)c1)c1ccsc1 ZINC000297112588 535806121 /nfs/dbraw/zinc/80/61/21/535806121.db2.gz YFBYNJOZKWZPKP-GOSISDBHSA-N 0 3 319.470 4.404 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1F ZINC000274068255 535809069 /nfs/dbraw/zinc/80/90/69/535809069.db2.gz OUUHIXFVVOMGKY-KGLIPLIRSA-N 0 3 307.800 4.321 20 0 DIADHN CCc1noc(C)c1CN[C@H]1CCC[C@@H](c2ncccc2C)C1 ZINC000293597744 535885222 /nfs/dbraw/zinc/88/52/22/535885222.db2.gz DECJAOAGZUVQAE-CVEARBPZSA-N 0 3 313.445 4.065 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)OC)c1)c1ccsc1 ZINC000297277830 535919317 /nfs/dbraw/zinc/91/93/17/535919317.db2.gz LCIMBPXTWSNBCS-HNNXBMFYSA-N 0 3 304.415 4.167 20 0 DIADHN CN1CCC(CC(=O)Nc2cccc(Nc3ccccc3)c2)CC1 ZINC000273284725 536336642 /nfs/dbraw/zinc/33/66/42/536336642.db2.gz XBWICGSKHGSJTI-UHFFFAOYSA-N 0 3 323.440 4.101 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@@H](c3ccccc3)C2)cs1 ZINC000275496463 536512345 /nfs/dbraw/zinc/51/23/45/536512345.db2.gz LRICLJNQOJTINB-FVQBIDKESA-N 0 3 316.470 4.276 20 0 DIADHN CO[C@@H](CN[C@H](C)c1csc(-c2csc(C)n2)c1)C1CC1 ZINC000340485523 536514132 /nfs/dbraw/zinc/51/41/32/536514132.db2.gz BNVVMXZWESBPQH-BMIGLBTASA-N 0 3 322.499 4.256 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cc(C)ccn1)c1ccc(Cl)cc1 ZINC000285967064 536643315 /nfs/dbraw/zinc/64/33/15/536643315.db2.gz GXNNJTPNLGXDSG-SUMWQHHRSA-N 0 3 304.821 4.082 20 0 DIADHN COCc1cc(CNC[C@H](c2ccc(Cl)cc2)C(C)C)no1 ZINC000298176836 536712947 /nfs/dbraw/zinc/71/29/47/536712947.db2.gz UZKYXEFQFSOZHE-KRWDZBQOSA-N 0 3 322.836 4.004 20 0 DIADHN COCCOc1ccccc1CN[C@H]1C[C@@H](C)c2ccccc21 ZINC000289242279 536733835 /nfs/dbraw/zinc/73/38/35/536733835.db2.gz MXDAAMSHJIDNSD-BEFAXECRSA-N 0 3 311.425 4.050 20 0 DIADHN COc1cc([C@@H](C)NC2CCC(C(F)(F)F)CC2)c(F)cn1 ZINC000287702316 536880242 /nfs/dbraw/zinc/88/02/42/536880242.db2.gz NSYALUQILISDMT-KPPDAEKUSA-N 0 3 320.330 4.001 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnccc2C)c(C)c1OC ZINC000285502047 536903634 /nfs/dbraw/zinc/90/36/34/536903634.db2.gz AGAAPIOMJPPSTJ-GJZGRUSLSA-N 0 3 314.429 4.127 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccsc2)c(C)c1OC ZINC000287582629 536903998 /nfs/dbraw/zinc/90/39/98/536903998.db2.gz RBLQOTAUYYZXJB-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN COc1ccccc1CCNCc1ccc(Cl)cc1Cl ZINC000013718688 563662627 /nfs/dbraw/zinc/66/26/27/563662627.db2.gz GVWDBIAPQMEQKX-UHFFFAOYSA-N 0 3 310.224 4.334 20 0 DIADHN CCCN(CCCOC)[C@H](C)c1ccccc1Br ZINC000071954137 1038539741 /nfs/dbraw/zinc/53/97/41/1038539741.db2.gz GMONRVQTXYZKGC-CYBMUJFWSA-N 0 3 314.267 4.259 20 0 DIADHN C[C@@H](NCc1cccc(OC(C)(C)C)n1)C(C)(C)C(F)F ZINC000657935361 1040194410 /nfs/dbraw/zinc/19/44/10/1040194410.db2.gz COPJCOKKMVXHDF-LLVKDONJSA-N 0 3 300.393 4.028 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(Br)cc1 ZINC000123246063 1044781035 /nfs/dbraw/zinc/78/10/35/1044781035.db2.gz RQZKDYHMAZIYLK-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1nc(CN(C(C)C)C2CCCC2)sc1Br ZINC001615887181 1056589437 /nfs/dbraw/zinc/58/94/37/1056589437.db2.gz OEUMBGUJYIYEKW-UHFFFAOYSA-N 0 3 317.296 4.367 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CC[C@H](C(F)(F)F)C1 ZINC001255745493 1062567096 /nfs/dbraw/zinc/56/70/96/1062567096.db2.gz VCTOAIBCZNBNDV-MNOVXSKESA-N 0 3 307.356 4.191 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CC[C@](C)(CF)C1 ZINC001256181186 1062741030 /nfs/dbraw/zinc/74/10/30/1062741030.db2.gz CKWFLFPWMKYVBX-IUODEOHRSA-N 0 3 314.242 4.062 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1snc(OC)c1Cl ZINC001461141404 1063286634 /nfs/dbraw/zinc/28/66/34/1063286634.db2.gz LJWLKTQRHXPQKM-GHMZBOCLSA-N 0 3 302.871 4.254 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1cn(CC(F)(F)F)cn1 ZINC001257474486 1063295204 /nfs/dbraw/zinc/29/52/04/1063295204.db2.gz GXFODADIRGKYSU-OLZOCXBDSA-N 0 3 305.388 4.140 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1c(F)cccc1OC(F)F ZINC001308734029 1066208260 /nfs/dbraw/zinc/20/82/60/1066208260.db2.gz IJWQORDOODQFSM-QMMMGPOBSA-N 0 3 315.257 4.248 20 0 DIADHN COc1ccc(CN[C@H]2CCSc3c(F)cccc32)cc1 ZINC000035047535 1035532182 /nfs/dbraw/zinc/53/21/82/1035532182.db2.gz XOUUKRKULZKACP-INIZCTEOSA-N 0 3 303.402 4.161 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000035100583 1035542895 /nfs/dbraw/zinc/54/28/95/1035542895.db2.gz YAAQHJKQSMREHM-ZBEGNZNMSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1noc(C)c1CN[C@@H](C)[C@H](C)c1nc2ccccc2s1 ZINC000153943177 1035634407 /nfs/dbraw/zinc/63/44/07/1035634407.db2.gz SLWZZPNMACZQDC-QWRGUYRKSA-N 0 3 315.442 4.183 20 0 DIADHN Cc1csc(CNCc2cc(F)cc(Br)c2)c1 ZINC000379092987 1035679817 /nfs/dbraw/zinc/67/98/17/1035679817.db2.gz FDHDCMFDKVSENB-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)C[C@H](O)Cc1cccc(Cl)c1 ZINC001167062100 1035682341 /nfs/dbraw/zinc/68/23/41/1035682341.db2.gz HDSVGKRMPIPEBR-XJKSGUPXSA-N 0 3 321.823 4.076 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCc2ncsc2C1 ZINC001208220600 1035736104 /nfs/dbraw/zinc/73/61/04/1035736104.db2.gz UDIMVYKMUACUDG-AWEZNQCLSA-N 0 3 310.507 4.123 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1sccc1Br ZINC000530513105 1035765880 /nfs/dbraw/zinc/76/58/80/1035765880.db2.gz DHZJWHCNJIIWHC-SNVBAGLBSA-N 0 3 320.296 4.102 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCCC2)n(C)n1 ZINC001203385933 1035797044 /nfs/dbraw/zinc/79/70/44/1035797044.db2.gz YRICLJDIVSNSEZ-LJQANCHMSA-N 0 3 315.436 4.279 20 0 DIADHN Clc1ccccc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001203385948 1035797425 /nfs/dbraw/zinc/79/74/25/1035797425.db2.gz YYNULXUSSJRLEU-UHFFFAOYSA-N 0 3 313.828 4.310 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc3ncccc3c2)n1 ZINC000127004671 1035827466 /nfs/dbraw/zinc/82/74/66/1035827466.db2.gz NORUULRONDJYDC-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cccc(F)c1)c1ccc(Cl)cc1 ZINC000024253028 1035832577 /nfs/dbraw/zinc/83/25/77/1035832577.db2.gz CKVGVLFYXVRNLT-MRXNPFEDSA-N 0 3 320.795 4.159 20 0 DIADHN FC(F)(F)CSCCNCc1c(Cl)oc2ccccc21 ZINC000538757616 1035848248 /nfs/dbraw/zinc/84/82/48/1035848248.db2.gz WICCTQWCDNMQBS-UHFFFAOYSA-N 0 3 323.767 4.471 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cccc3cnccc32)o1 ZINC000137073174 1035863857 /nfs/dbraw/zinc/86/38/57/1035863857.db2.gz VUMYOGLPIIJSNM-LJQANCHMSA-N 0 3 322.408 4.311 20 0 DIADHN CN1CC=C(Nc2c(Br)ccc3ccccc32)CC1 ZINC001208838534 1035879911 /nfs/dbraw/zinc/87/99/11/1035879911.db2.gz QBJCZMBAFADZDA-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN CCCCN(Cc1nnc(C2CCC2)n1C)[C@@H]1CCC[C@@H](C)C1 ZINC000339650108 1035901930 /nfs/dbraw/zinc/90/19/30/1035901930.db2.gz XBCPQEKMFDILPE-NVXWUHKLSA-N 0 3 318.509 4.263 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(N2CCOC2=O)c1)c1cccs1 ZINC000154475040 1035905222 /nfs/dbraw/zinc/90/52/22/1035905222.db2.gz DLAVPFJVMZVWSX-STQMWFEESA-N 0 3 316.426 4.117 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc(OC)nc2)cc1 ZINC000339684690 1035913949 /nfs/dbraw/zinc/91/39/49/1035913949.db2.gz UQEYEFHIHFYZND-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000036979425 1035915119 /nfs/dbraw/zinc/91/51/19/1035915119.db2.gz SEZCTTKGBPRSQX-HOTGVXAUSA-N 0 3 303.837 4.192 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CCC[C@@H](C)CC1 ZINC000063302656 1035937503 /nfs/dbraw/zinc/93/75/03/1035937503.db2.gz SVYMRTTWMAWOOD-HZPDHXFCSA-N 0 3 316.489 4.260 20 0 DIADHN CC(C)[C@@H](NCc1csc(C2CCCC2)n1)C1(CO)CCC1 ZINC000339736602 1035944978 /nfs/dbraw/zinc/94/49/78/1035944978.db2.gz GVFZQGBLZDTOFA-MRXNPFEDSA-N 0 3 322.518 4.078 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2cccc(C)c2)c2ccccc21 ZINC000339752099 1035956041 /nfs/dbraw/zinc/95/60/41/1035956041.db2.gz JIMKARFLIVTSLL-HNAYVOBHSA-N 0 3 308.425 4.144 20 0 DIADHN CC(C)c1ncc(CN(Cc2ccc(O)cc2)CC2CC2)s1 ZINC000349083699 1035968231 /nfs/dbraw/zinc/96/82/31/1035968231.db2.gz YYRNTXBIQOPGIA-UHFFFAOYSA-N 0 3 316.470 4.384 20 0 DIADHN CCC[C@@H]1CCCN1Cc1cc(OC)ccc1Br ZINC000396830897 1035985766 /nfs/dbraw/zinc/98/57/66/1035985766.db2.gz SMWIARKNLMQOBT-CYBMUJFWSA-N 0 3 312.251 4.222 20 0 DIADHN COCCCN(C)Cc1cc(F)ccc1Oc1ccccc1 ZINC001209053965 1035999844 /nfs/dbraw/zinc/99/98/44/1035999844.db2.gz VGFDABQRAJWWHK-UHFFFAOYSA-N 0 3 303.377 4.086 20 0 DIADHN COCCC1CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209081052 1036006724 /nfs/dbraw/zinc/00/67/24/1036006724.db2.gz JCWXLPOISLEKLC-UHFFFAOYSA-N 0 3 316.272 4.284 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000154824393 1036017306 /nfs/dbraw/zinc/01/73/06/1036017306.db2.gz IPCRAVBYRVCELQ-HOTGVXAUSA-N 0 3 321.424 4.293 20 0 DIADHN Cc1cnc(CN(Cc2ccccc2O)C2CCCCC2)o1 ZINC000075741363 1036018635 /nfs/dbraw/zinc/01/86/35/1036018635.db2.gz XJDKMDDXKSRPSY-UHFFFAOYSA-N 0 3 300.402 4.024 20 0 DIADHN CC(=O)OC(C)(C)CCCN(C)[C@@H](C)c1ccccc1Cl ZINC001209155423 1036032458 /nfs/dbraw/zinc/03/24/58/1036032458.db2.gz DWILSZZRZWRUPL-ZDUSSCGKSA-N 0 3 311.853 4.455 20 0 DIADHN Cc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c(C)c1 ZINC000538021806 1036078284 /nfs/dbraw/zinc/07/82/84/1036078284.db2.gz WLYSEVJGSAGXOI-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccc([C@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c(C)c1 ZINC000538021806 1036078300 /nfs/dbraw/zinc/07/83/00/1036078300.db2.gz WLYSEVJGSAGXOI-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN CCN(Cc1coc(-c2ccc(OC)cc2)n1)C1CCCC1 ZINC000047967493 1036086968 /nfs/dbraw/zinc/08/69/68/1036086968.db2.gz ZTSMWULTURKHPR-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN CCN(CC(=O)N[C@H](CC(C)(C)C)c1ccc(F)cc1)C(C)C ZINC001167108578 1036091807 /nfs/dbraw/zinc/09/18/07/1036091807.db2.gz RBNLQXMTPWWVIK-QGZVFWFLSA-N 0 3 322.468 4.150 20 0 DIADHN CCC1(O)CCC(NCc2csc(Cl)c2Cl)CC1 ZINC000683344404 1036179482 /nfs/dbraw/zinc/17/94/82/1036179482.db2.gz TWJBECUVGNHDGD-UHFFFAOYSA-N 0 3 308.274 4.228 20 0 DIADHN C[C@@H](NCc1ccc(Br)s1)c1ccsc1 ZINC000050344195 1036185674 /nfs/dbraw/zinc/18/56/74/1036185674.db2.gz AUMKPLKMESRPRZ-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN Cc1nc([C@@H](C)NCCC2CC(OC(C)(C)C)C2)c(C)s1 ZINC000683348176 1036191482 /nfs/dbraw/zinc/19/14/82/1036191482.db2.gz YPUKOTSXMSJZBC-VCANKDNSSA-N 0 3 310.507 4.394 20 0 DIADHN Fc1ccc(N2CCCN(Cc3ccccc3Cl)CC2)cc1 ZINC001203388144 1036212948 /nfs/dbraw/zinc/21/29/48/1036212948.db2.gz JTANTMZQKONLSG-UHFFFAOYSA-N 0 3 318.823 4.191 20 0 DIADHN C[C@@H](NCCCCn1ccnc1)c1cc(Cl)sc1Cl ZINC000222565948 1036225174 /nfs/dbraw/zinc/22/51/74/1036225174.db2.gz CCBWXMZUZNPSBF-SNVBAGLBSA-N 0 3 318.273 4.382 20 0 DIADHN Cc1noc(C)c1CCN1CCC[C@@H]1c1ccccc1Cl ZINC000164891338 1036233799 /nfs/dbraw/zinc/23/37/99/1036233799.db2.gz KQZCBVSMNKLOIE-QGZVFWFLSA-N 0 3 304.821 4.324 20 0 DIADHN COc1c(Cl)cccc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001140062016 1036238823 /nfs/dbraw/zinc/23/88/23/1036238823.db2.gz GRUPPNYYZWMVJX-CQSZACIVSA-N 0 3 311.828 4.453 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc(OC(C)C)nc2)C2CC2)cc1 ZINC000538060863 1036267550 /nfs/dbraw/zinc/26/75/50/1036267550.db2.gz UNHQJAUOOBNYET-HXUWFJFHSA-N 0 3 310.441 4.418 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2occc21)c1ccc(C(=O)OC)cc1 ZINC000340175764 1036277007 /nfs/dbraw/zinc/27/70/07/1036277007.db2.gz PBHSRZLWKIHCJT-SJORKVTESA-N 0 3 313.397 4.185 20 0 DIADHN CCN(Cc1coc(-c2ccccc2OC)n1)[C@@H](C)C(C)C ZINC001167240849 1036307920 /nfs/dbraw/zinc/30/79/20/1036307920.db2.gz MASFHIMDZLFDAC-AWEZNQCLSA-N 0 3 302.418 4.217 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCOc1ccc(C(C)(C)C)cc1 ZINC000754157185 1036315556 /nfs/dbraw/zinc/31/55/56/1036315556.db2.gz RSRDESIMRFRMBP-ZDUSSCGKSA-N 0 3 316.445 4.319 20 0 DIADHN CCCN(Cc1ncc(Br)cc1Cl)CC(C)C ZINC001167254899 1036325851 /nfs/dbraw/zinc/32/58/51/1036325851.db2.gz AGACRUFHADKKOI-UHFFFAOYSA-N 0 3 319.674 4.366 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(Cl)cc1 ZINC000120958547 1036378680 /nfs/dbraw/zinc/37/86/80/1036378680.db2.gz VGXMCNIUJCSQGY-ZDUSSCGKSA-N 0 3 320.839 4.392 20 0 DIADHN COC[C@@H](NC1CC(c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000121072609 1036389735 /nfs/dbraw/zinc/38/97/35/1036389735.db2.gz VMBXWAJHGUHCJN-LADRWXRNSA-N 0 3 317.379 4.188 20 0 DIADHN COc1c(Br)cccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001140151149 1036392367 /nfs/dbraw/zinc/39/23/67/1036392367.db2.gz MLSYIUNQAFDFHK-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN Clc1cccc(CCNCc2coc(-c3ccccc3)n2)c1 ZINC000237848910 1036414587 /nfs/dbraw/zinc/41/45/87/1036414587.db2.gz PUENLECKKQKJFC-UHFFFAOYSA-N 0 3 312.800 4.327 20 0 DIADHN Cc1ccc(CNC(C)(C)C(=O)Nc2ccccc2)cc1Cl ZINC000683472376 1036422525 /nfs/dbraw/zinc/42/25/25/1036422525.db2.gz NQLQFCCASUYXGS-UHFFFAOYSA-N 0 3 316.832 4.155 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2)C(C)C)c(F)c1 ZINC000008002847 1036453003 /nfs/dbraw/zinc/45/30/03/1036453003.db2.gz ZXMDOEUMTSERSS-IBGZPJMESA-N 0 3 314.404 4.060 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc(F)cc3cccnc31)CC2 ZINC000651959712 1036466894 /nfs/dbraw/zinc/46/68/94/1036466894.db2.gz ZYAAGCMKTIGYJO-IBGZPJMESA-N 0 3 306.384 4.459 20 0 DIADHN C[C@H]1CC[C@H](NCc2nc3cc(Cl)ccc3s2)CS1 ZINC000655701135 1036488564 /nfs/dbraw/zinc/48/85/64/1036488564.db2.gz ULDAFVCATYGWQH-ONGXEEELSA-N 0 3 312.891 4.323 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN[C@@H]1CCc2ccccc21 ZINC000655704782 1036491990 /nfs/dbraw/zinc/49/19/90/1036491990.db2.gz KNTJEVZRIFXASI-LJQANCHMSA-N 0 3 321.399 4.097 20 0 DIADHN CC(C)(CN[C@H]1CCCn2ccnc21)c1ccc(F)cc1Cl ZINC000655711973 1036495672 /nfs/dbraw/zinc/49/56/72/1036495672.db2.gz NJJWMEYZPBOHLK-HNNXBMFYSA-N 0 3 321.827 4.078 20 0 DIADHN Clc1ccc(CCCN[C@H]2CCCn3ccnc32)c(Cl)c1 ZINC000655719032 1036503640 /nfs/dbraw/zinc/50/36/40/1036503640.db2.gz OANAJAQSGCSQRT-HNNXBMFYSA-N 0 3 324.255 4.247 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@H](C)c2cncnc2C)c1C ZINC000655717184 1036503731 /nfs/dbraw/zinc/50/37/31/1036503731.db2.gz WSZIYXBPGDKBNX-CQSZACIVSA-N 0 3 308.429 4.042 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2nc(C)sc2C)C12CCCC2 ZINC000676493142 1036514867 /nfs/dbraw/zinc/51/48/67/1036514867.db2.gz SJHZKJLKLXHOFA-BYCMXARLSA-N 0 3 308.491 4.148 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3ccc(Cl)cc3Cl)C[C@@H]21 ZINC001203393742 1036539108 /nfs/dbraw/zinc/53/91/08/1036539108.db2.gz ATKKGBBEDABBTR-WPGHFRTFSA-N 0 3 314.256 4.240 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1F ZINC000655783814 1036539797 /nfs/dbraw/zinc/53/97/97/1036539797.db2.gz ZDCXDYKQWANTQU-AUUYWEPGSA-N 0 3 324.403 4.208 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000008003608 1036544635 /nfs/dbraw/zinc/54/46/35/1036544635.db2.gz IYEAPEXBXOFISM-LJQANCHMSA-N 0 3 314.404 4.060 20 0 DIADHN C[C@H]1CCCN(Cc2ccc(C(=O)OC(C)(C)C)s2)CC1 ZINC001204497237 1036563547 /nfs/dbraw/zinc/56/35/47/1036563547.db2.gz MWCDELYLSRRORX-ZDUSSCGKSA-N 0 3 309.475 4.325 20 0 DIADHN Clc1cccc(Cl)c1CCCN[C@H]1CCCn2ccnc21 ZINC000655821365 1036565562 /nfs/dbraw/zinc/56/55/62/1036565562.db2.gz XFHKSRBAJQCEPJ-HNNXBMFYSA-N 0 3 324.255 4.247 20 0 DIADHN C[C@@H](NCCCc1c(Cl)cccc1Cl)c1cnccn1 ZINC000655819496 1036567707 /nfs/dbraw/zinc/56/77/07/1036567707.db2.gz BYAMXLNHIVEHBA-LLVKDONJSA-N 0 3 310.228 4.067 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cc(F)ccc2C)cc1 ZINC001648816843 1036576575 /nfs/dbraw/zinc/57/65/75/1036576575.db2.gz JOCFEYBGTGTQOF-UHFFFAOYSA-N 0 3 314.404 4.163 20 0 DIADHN CCCCOc1cccc(CNCc2ccc3c(c2)ncn3C)c1 ZINC001648824352 1036592285 /nfs/dbraw/zinc/59/22/85/1036592285.db2.gz AGAKVOGUWSQQQT-UHFFFAOYSA-N 0 3 323.440 4.042 20 0 DIADHN c1cc(-c2ccccc2)oc1CNCc1cccc2n[nH]cc21 ZINC001648837645 1036617649 /nfs/dbraw/zinc/61/76/49/1036617649.db2.gz WRBNMXDFAZBFNO-UHFFFAOYSA-N 0 3 303.365 4.113 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@@H]2CCCCC(F)(F)C2)c1 ZINC001651136351 1036640796 /nfs/dbraw/zinc/64/07/96/1036640796.db2.gz QKXNCXGKGXFXSR-LLVKDONJSA-N 0 3 303.780 4.351 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CCCC(C)(C)CC1 ZINC000579974016 1036662637 /nfs/dbraw/zinc/66/26/37/1036662637.db2.gz SUFGJIBWMHKMNF-CABCVRRESA-N 0 3 304.482 4.185 20 0 DIADHN Cc1ccc(CNCCOc2ccc(C(C)C)cc2)c(F)c1 ZINC001648879283 1036669391 /nfs/dbraw/zinc/66/93/91/1036669391.db2.gz WRONEWVWXKIRLF-UHFFFAOYSA-N 0 3 301.405 4.426 20 0 DIADHN Cc1nnc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)s1 ZINC000579979290 1036673628 /nfs/dbraw/zinc/67/36/28/1036673628.db2.gz FMVGADCRRLSFRV-INIZCTEOSA-N 0 3 323.893 4.247 20 0 DIADHN CCC(C)(C)c1ccc(CN(CCO)Cc2ccccc2)cc1 ZINC001167318896 1036687418 /nfs/dbraw/zinc/68/74/18/1036687418.db2.gz XOQLINKLFGTGPL-UHFFFAOYSA-N 0 3 311.469 4.369 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)c2ccc(OC(C)C)cc2)s1 ZINC000076866620 1036707007 /nfs/dbraw/zinc/70/70/07/1036707007.db2.gz UAIFXXKXJCPWPV-LBPRGKRZSA-N 0 3 304.459 4.398 20 0 DIADHN COc1cc(CNCc2ccc(C)s2)c(SC)cc1OC ZINC000077988838 1036710090 /nfs/dbraw/zinc/71/00/90/1036710090.db2.gz SICPOYDTOZSGCL-UHFFFAOYSA-N 0 3 323.483 4.085 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@H](CN1CCCCC1)c1ccccc1 ZINC000683691259 1036714527 /nfs/dbraw/zinc/71/45/27/1036714527.db2.gz XDCROQOSRUJYIP-WOJBJXKFSA-N 0 3 324.468 4.474 20 0 DIADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000683694639 1036719596 /nfs/dbraw/zinc/71/95/96/1036719596.db2.gz ZNEVAFARVFKSFP-COLVAYQJSA-N 0 3 320.433 4.086 20 0 DIADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000683694640 1036720644 /nfs/dbraw/zinc/72/06/44/1036720644.db2.gz ZNEVAFARVFKSFP-NZVBXONLSA-N 0 3 320.433 4.086 20 0 DIADHN Cc1cc(CN(C)Cc2c[nH]nc2-c2ccccc2)ccc1F ZINC000683721670 1036767513 /nfs/dbraw/zinc/76/75/13/1036767513.db2.gz KJYRSPZTDUOWHE-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN Cn1ccnc1[C@@H](NC1CC(c2ccccc2Cl)C1)C1CC1 ZINC000340528675 1036787516 /nfs/dbraw/zinc/78/75/16/1036787516.db2.gz BWRGUHOQBJBZPY-KVULBXGLSA-N 0 3 315.848 4.060 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@@H]1CCC[C@H]1F ZINC000340533594 1036800374 /nfs/dbraw/zinc/80/03/74/1036800374.db2.gz VXTOTRNSJDDITJ-ZLKJLUDKSA-N 0 3 305.422 4.055 20 0 DIADHN Fc1ccccc1CSCCNCc1cccc(F)c1F ZINC000609353912 1036802719 /nfs/dbraw/zinc/80/27/19/1036802719.db2.gz BNEMYBBNOQMVAB-UHFFFAOYSA-N 0 3 311.372 4.127 20 0 DIADHN COc1nscc1CN(CCc1ccccc1)C1CCCC1 ZINC001167337105 1036804817 /nfs/dbraw/zinc/80/48/17/1036804817.db2.gz HECNQYHFYZGLIM-UHFFFAOYSA-N 0 3 316.470 4.139 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](CO)c2ccc(CC)cc2)cc1 ZINC000090844125 1036806921 /nfs/dbraw/zinc/80/69/21/1036806921.db2.gz QAWPOAFZBGLWJQ-QRWLVFNGSA-N 0 3 313.441 4.032 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(Br)cc1 ZINC000042209342 1036818239 /nfs/dbraw/zinc/81/82/39/1036818239.db2.gz RMYKMCGWCMZPCS-NSHDSACASA-N 0 3 306.203 4.006 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc(OC(F)F)c1)CCC2 ZINC000340543614 1036847088 /nfs/dbraw/zinc/84/70/88/1036847088.db2.gz SFWOXNRDTCSNBV-QGZVFWFLSA-N 0 3 319.351 4.161 20 0 DIADHN COc1ccc(C2(NCc3ccc4cnccc4c3)CC2)cc1 ZINC000683769313 1036878022 /nfs/dbraw/zinc/87/80/22/1036878022.db2.gz RWBAYTPCNLFRLY-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN CCOc1cc(C)ccc1CNCc1ccc2cnccc2c1 ZINC000683770868 1036881731 /nfs/dbraw/zinc/88/17/31/1036881731.db2.gz BUPDHKZZMCWPHT-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN C[C@H]1C[C@H](NCc2cccc(Br)c2Cl)CS1 ZINC000706453069 1036935039 /nfs/dbraw/zinc/93/50/39/1036935039.db2.gz SBTXSVVMSMYQRM-WPRPVWTQSA-N 0 3 320.683 4.086 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3noc4c3CCCC4)C2)cc1 ZINC000683800264 1036943716 /nfs/dbraw/zinc/94/37/16/1036943716.db2.gz JVWNKKWWPLBSOF-RDJZCZTQSA-N 0 3 310.441 4.240 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCCN1C[C@H]1CCCO1 ZINC000580099416 1036947607 /nfs/dbraw/zinc/94/76/07/1036947607.db2.gz DYWUWNRZSQRUMO-CJNGLKHVSA-N 0 3 313.363 4.411 20 0 DIADHN CCOc1c(Cl)cccc1CN1CC[C@H](c2ccco2)C1 ZINC000683830918 1036991156 /nfs/dbraw/zinc/99/11/56/1036991156.db2.gz ZJEJFUUXYZGIKI-ZDUSSCGKSA-N 0 3 305.805 4.321 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc3cnccc3c2)o1 ZINC000683837701 1037000491 /nfs/dbraw/zinc/00/04/91/1037000491.db2.gz CLHWRKWMNFWKAC-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc2cnccc2c1 ZINC000683840851 1037005051 /nfs/dbraw/zinc/00/50/51/1037005051.db2.gz VTSUITNWALTMJC-BLLLJJGKSA-N 0 3 308.347 4.398 20 0 DIADHN Clc1sccc1CNC1CCN(c2ccccc2)CC1 ZINC000312745715 1037006864 /nfs/dbraw/zinc/00/68/64/1037006864.db2.gz NTOSBMWWVCXVGG-UHFFFAOYSA-N 0 3 306.862 4.160 20 0 DIADHN CC(C)(NCc1ccc(-n2cccn2)cc1)c1cccc(F)c1 ZINC000124662497 1037021364 /nfs/dbraw/zinc/02/13/64/1037021364.db2.gz HFFXFDKMNUZGJD-UHFFFAOYSA-N 0 3 309.388 4.036 20 0 DIADHN CC1(C)C[C@]1(C)NCc1cccc(Br)c1Cl ZINC000706491411 1037026323 /nfs/dbraw/zinc/02/63/23/1037026323.db2.gz BTNDPHXRBFEKEC-ZDUSSCGKSA-N 0 3 302.643 4.381 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1ccccc1Cl ZINC000070988759 1037038258 /nfs/dbraw/zinc/03/82/58/1037038258.db2.gz GSACBUJSVXHNBO-UGSOOPFHSA-N 0 3 301.817 4.305 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)c1ccc2c(c1)CCC(=O)N2 ZINC000047826931 1037057537 /nfs/dbraw/zinc/05/75/37/1037057537.db2.gz HMQKHADFEFWSJW-JTQLQIEISA-N 0 3 320.845 4.137 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CCC[C@@H]1C(=O)OCc1ccccc1 ZINC001167381147 1037059584 /nfs/dbraw/zinc/05/95/84/1037059584.db2.gz KHKCVBUZUFXWPD-BHIYHBOVSA-N 0 3 315.457 4.021 20 0 DIADHN c1c2ccccc2[nH]c1CN[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000683927413 1037113096 /nfs/dbraw/zinc/11/30/96/1037113096.db2.gz VQYRSEXOAHAPGX-UXHICEINSA-N 0 3 306.409 4.178 20 0 DIADHN c1c2ccccc2[nH]c1CN[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000683927411 1037113648 /nfs/dbraw/zinc/11/36/48/1037113648.db2.gz VQYRSEXOAHAPGX-PMACEKPBSA-N 0 3 306.409 4.178 20 0 DIADHN CC[C@H](C)CN1CCN([C@@H](CC)c2ccc(F)cc2F)CC1 ZINC001167398703 1037127597 /nfs/dbraw/zinc/12/75/97/1037127597.db2.gz VSHMFYQHGUHRJL-KSSFIOAISA-N 0 3 310.432 4.080 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2COc3ccccc32)c1 ZINC000580476928 1037156972 /nfs/dbraw/zinc/15/69/72/1037156972.db2.gz PDFRZQASDNFSOB-GFCCVEGCSA-N 0 3 308.208 4.259 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1cccc(Cl)c1 ZINC000580477910 1037157003 /nfs/dbraw/zinc/15/70/03/1037157003.db2.gz HLYSFMPGIBSUIP-HNNXBMFYSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@@H]1SCCN(C[C@@H]2CCC(C)(C)CO2)[C@@H]1c1ccccc1 ZINC001167411952 1037166078 /nfs/dbraw/zinc/16/60/78/1037166078.db2.gz FPRQNDWXWICQEP-SZMVWBNQSA-N 0 3 319.514 4.370 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1cn(C(C)C)nn1 ZINC000580498193 1037169508 /nfs/dbraw/zinc/16/95/08/1037169508.db2.gz CLWCQUUPJDYKGR-RTBURBONSA-N 0 3 312.461 4.017 20 0 DIADHN CC1CCC(N2CCN(Cc3ccc(Cl)s3)CC2)CC1 ZINC001167417261 1037188556 /nfs/dbraw/zinc/18/85/56/1037188556.db2.gz RPYOLSZPZYTPQV-UHFFFAOYSA-N 0 3 312.910 4.098 20 0 DIADHN COC1CCN(Cc2cnc(-c3ccc(C)c(C)c3)s2)CC1 ZINC000656247797 1037188836 /nfs/dbraw/zinc/18/88/36/1037188836.db2.gz DCYIHVPFWQOZOZ-UHFFFAOYSA-N 0 3 316.470 4.038 20 0 DIADHN Cc1cc(CN2CCC(F)(F)[C@@H](C)C2)cc(C)c1OC(F)F ZINC000580607316 1037221683 /nfs/dbraw/zinc/22/16/83/1037221683.db2.gz LUOXTHUXNNSGPL-LBPRGKRZSA-N 0 3 319.342 4.382 20 0 DIADHN C[C@H](CN1CC2(CC2(F)F)C1)c1ccc(C(F)(F)F)cc1 ZINC000656265704 1037235155 /nfs/dbraw/zinc/23/51/55/1037235155.db2.gz YCGXEVXGQJYHPG-SNVBAGLBSA-N 0 3 305.290 4.150 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000684020887 1037244603 /nfs/dbraw/zinc/24/46/03/1037244603.db2.gz MLWGSOAWMAOFHJ-UHFFFAOYSA-N 0 3 307.441 4.423 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@@H](Nc2ccccc2)C1 ZINC000684020475 1037249093 /nfs/dbraw/zinc/24/90/93/1037249093.db2.gz CVIHTHGGWNGLNS-GOSISDBHSA-N 0 3 305.425 4.244 20 0 DIADHN c1c2ccccc2[nH]c1CN1CC[C@H](COc2ccccc2)C1 ZINC000684023451 1037251338 /nfs/dbraw/zinc/25/13/38/1037251338.db2.gz VKCQXBAYDCCNMZ-INIZCTEOSA-N 0 3 306.409 4.069 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1ccn(-c2ccccc2F)n1 ZINC001167430029 1037251782 /nfs/dbraw/zinc/25/17/82/1037251782.db2.gz QLVKIQUPXOSYLT-LSDHHAIUSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125469749 1037270441 /nfs/dbraw/zinc/27/04/41/1037270441.db2.gz ZYLNXFCDOIHFBJ-GOSISDBHSA-N 0 3 322.537 4.317 20 0 DIADHN CC(C)[C@@H]1N(Cc2cn(C)nc2-c2cccs2)CC1(C)C ZINC000580908650 1037352964 /nfs/dbraw/zinc/35/29/64/1037352964.db2.gz WHDUHLGBHRJRGY-INIZCTEOSA-N 0 3 303.475 4.015 20 0 DIADHN CCN(Cc1ccc(F)c(OC)c1)[C@H](C)c1cccc(O)c1 ZINC000091975333 1037354771 /nfs/dbraw/zinc/35/47/71/1037354771.db2.gz RVCUVJXUFUGMLW-CYBMUJFWSA-N 0 3 303.377 4.123 20 0 DIADHN c1coc([C@H]2CCCCCN2C[C@H]2COC3(CCCCC3)O2)c1 ZINC001167438576 1037356735 /nfs/dbraw/zinc/35/67/35/1037356735.db2.gz PHZNTCQRTNGWDY-DLBZAZTESA-N 0 3 319.445 4.273 20 0 DIADHN CC(C)(C)c1cnc(CNC2(C)CCC(F)(F)CC2)s1 ZINC000684109955 1037359325 /nfs/dbraw/zinc/35/93/25/1037359325.db2.gz ILMZQDMKFOLNBE-UHFFFAOYSA-N 0 3 302.434 4.498 20 0 DIADHN CCc1ccc(CN2C[C@H](C)C[C@H]2c2cccc(OC)c2)nc1 ZINC000580940322 1037375404 /nfs/dbraw/zinc/37/54/04/1037375404.db2.gz LTBLCJRGDBKMKS-QRWLVFNGSA-N 0 3 310.441 4.236 20 0 DIADHN CCc1ccc(CN2C[C@H](C)C[C@@H]2c2cccc(OC)c2)nc1 ZINC000580940320 1037376024 /nfs/dbraw/zinc/37/60/24/1037376024.db2.gz LTBLCJRGDBKMKS-FOIQADDNSA-N 0 3 310.441 4.236 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCO[C@]2(CCSC2)C1 ZINC000580950379 1037379707 /nfs/dbraw/zinc/37/97/07/1037379707.db2.gz LSWCZXFWRYHSTH-HNAYVOBHSA-N 0 3 313.466 4.109 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC2(CC1)C[C@H](O)c1ccccc1O2 ZINC000933076042 1037408916 /nfs/dbraw/zinc/40/89/16/1037408916.db2.gz RXODYVOCXNXQNU-LPHOPBHVSA-N 0 3 323.436 4.098 20 0 DIADHN CO[C@H](CN1CCC[C@@H](C(F)(F)F)[C@H]1C)c1ccccc1 ZINC000581119346 1037439901 /nfs/dbraw/zinc/43/99/01/1037439901.db2.gz PNDGCLWEEYCIGD-BPLDGKMQSA-N 0 3 301.352 4.037 20 0 DIADHN CO[C@H](CN1CCC[C@H](C(F)(F)F)[C@H]1C)c1ccccc1 ZINC000581119348 1037440296 /nfs/dbraw/zinc/44/02/96/1037440296.db2.gz PNDGCLWEEYCIGD-VHDGCEQUSA-N 0 3 301.352 4.037 20 0 DIADHN CCOc1cc(CN2CC[C@@H](c3ccco3)C2)cc(Cl)c1O ZINC000656438469 1037492300 /nfs/dbraw/zinc/49/23/00/1037492300.db2.gz QMQOJWGLFVWDTH-CYBMUJFWSA-N 0 3 321.804 4.027 20 0 DIADHN Cc1cnc(C2CCN(Cc3c(C)cc(C)nc3C)CC2)s1 ZINC000639632688 1037501668 /nfs/dbraw/zinc/50/16/68/1037501668.db2.gz ZDDVJOSKODNRNM-UHFFFAOYSA-N 0 3 315.486 4.151 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1O ZINC000067011184 1037503123 /nfs/dbraw/zinc/50/31/23/1037503123.db2.gz MKLJLYWJIBEZDX-UHFFFAOYSA-N 0 3 305.805 4.079 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2sccc2Br)CS1 ZINC000381046926 1037507977 /nfs/dbraw/zinc/50/79/77/1037507977.db2.gz DKXLELOKESJVAY-KOLCDFICSA-N 0 3 320.321 4.130 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000581285840 1037515746 /nfs/dbraw/zinc/51/57/46/1037515746.db2.gz LVUBRICNKLQVPK-JXFKEZNVSA-N 0 3 313.441 4.166 20 0 DIADHN C[C@H](c1nc(-c2nccc3ccccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276138787 1037536215 /nfs/dbraw/zinc/53/62/15/1037536215.db2.gz BURMZSUYMVYRRK-MGPQQGTHSA-N 0 3 322.412 4.219 20 0 DIADHN COc1cc(C)ccc1CNCc1cccc(-c2ccccn2)c1 ZINC000128815309 1037546327 /nfs/dbraw/zinc/54/63/27/1037546327.db2.gz VIFNUXRHCFCMSW-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CC(C)OC1CC(N2CCC(c3cccc(F)c3F)CC2)C1 ZINC000656497814 1037555606 /nfs/dbraw/zinc/55/56/06/1037555606.db2.gz GGDSTQDBAVDPFG-UHFFFAOYSA-N 0 3 309.400 4.100 20 0 DIADHN Cc1ccnc([C@@H]2CCN(CCSc3ccccc3F)C2)c1 ZINC000581378068 1037565388 /nfs/dbraw/zinc/56/53/88/1037565388.db2.gz XYQVWVWEKNCJSQ-OAHLLOKOSA-N 0 3 316.445 4.111 20 0 DIADHN CC[C@H](NCc1cc(F)cc(OC)c1)c1ccc(OC)cc1 ZINC000090972093 1037596465 /nfs/dbraw/zinc/59/64/65/1037596465.db2.gz JVEIEMSCVOURKA-SFHVURJKSA-N 0 3 303.377 4.084 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089903592 1037601978 /nfs/dbraw/zinc/60/19/78/1037601978.db2.gz IXKUSRJFOGZVTM-AWEZNQCLSA-N 0 3 303.406 4.101 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1NCc1cnc(C2CC2)s1 ZINC000581414945 1037610557 /nfs/dbraw/zinc/61/05/57/1037610557.db2.gz HDBNDAZLACWHRV-BXUZGUMPSA-N 0 3 322.836 4.459 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(Cl)cc2C)s1 ZINC000754674966 1037617129 /nfs/dbraw/zinc/61/71/29/1037617129.db2.gz NWEPZAFNOHMTSJ-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000341022252 1037621950 /nfs/dbraw/zinc/62/19/50/1037621950.db2.gz VHYKYTHJMJPLOG-QFBILLFUSA-N 0 3 313.441 4.432 20 0 DIADHN COc1cc(C)nc(CN2CCC[C@H]2c2cccc(C)c2C)c1 ZINC001167464526 1037662632 /nfs/dbraw/zinc/66/26/32/1037662632.db2.gz NNENVZWWYAATTI-FQEVSTJZSA-N 0 3 310.441 4.353 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2scnc2Cl)C1 ZINC001167465588 1037672985 /nfs/dbraw/zinc/67/29/85/1037672985.db2.gz YDLPCHIEXCCESR-OLZOCXBDSA-N 0 3 306.862 4.483 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2cnn(C(C)C)c2C)c1 ZINC000276308630 1037691680 /nfs/dbraw/zinc/69/16/80/1037691680.db2.gz FBKOXBQWLPJLBH-KBPBESRZSA-N 0 3 301.434 4.193 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C(C)(C)C)c2C)cc1 ZINC000276325759 1037703223 /nfs/dbraw/zinc/70/32/23/1037703223.db2.gz HRLMAWITWBSLLF-KBPBESRZSA-N 0 3 315.461 4.367 20 0 DIADHN CC[C@@H]1CCCC[C@H]1c1noc(C2CCN(C3CC3)CC2)n1 ZINC000341176904 1037709390 /nfs/dbraw/zinc/70/93/90/1037709390.db2.gz SFMCQMBVQVXZQU-CZUORRHYSA-N 0 3 303.450 4.095 20 0 DIADHN CCCN(Cc1csc(-c2ccc(O)c(OC)c2)n1)C(C)C ZINC000581556368 1037737516 /nfs/dbraw/zinc/73/75/16/1037737516.db2.gz DSODNDUEUDWPQV-UHFFFAOYSA-N 0 3 320.458 4.145 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnc(C)s1)c1cccc(Cl)c1 ZINC000090173387 1037745679 /nfs/dbraw/zinc/74/56/79/1037745679.db2.gz WUXSQBNXAKRHJR-HZMBPMFUSA-N 0 3 310.850 4.143 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)NCC(C)C)c1)c1ccccc1 ZINC000341222213 1037763943 /nfs/dbraw/zinc/76/39/43/1037763943.db2.gz RIFVYRAQIXMWQT-HXUWFJFHSA-N 0 3 324.468 4.313 20 0 DIADHN COCc1csc(CN[C@@H]2CCc3c2cccc3Cl)c1 ZINC000656685389 1037795362 /nfs/dbraw/zinc/79/53/62/1037795362.db2.gz PJKIRLFUKOAPES-MRXNPFEDSA-N 0 3 307.846 4.325 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@H](c2cccs2)C2CC2)cn1 ZINC000647169136 1037804341 /nfs/dbraw/zinc/80/43/41/1037804341.db2.gz BEXMEYZRGKTBGP-IBGZPJMESA-N 0 3 323.465 4.483 20 0 DIADHN O=c1[nH]c2cccc(CN3CCCCC[C@H]3c3ccncc3)c2o1 ZINC000891440669 1037812875 /nfs/dbraw/zinc/81/28/75/1037812875.db2.gz NMVVBQUVRBWAGL-KRWDZBQOSA-N 0 3 323.396 4.046 20 0 DIADHN Clc1ccccc1CN[C@H](CN1CCCC1)c1ccccc1 ZINC000097137375 1037838590 /nfs/dbraw/zinc/83/85/90/1037838590.db2.gz APUSZTHPQLXVOS-LJQANCHMSA-N 0 3 314.860 4.267 20 0 DIADHN CC[C@H](NC(=O)CN1CCC[C@@H](C)[C@H]1C)c1ccc(Cl)cc1 ZINC001167477722 1037896872 /nfs/dbraw/zinc/89/68/72/1037896872.db2.gz HBHIDZFYUHTBIU-CPUCHLNUSA-N 0 3 322.880 4.028 20 0 DIADHN CC(C)[C@@]1(CO)CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000581682931 1037905565 /nfs/dbraw/zinc/90/55/65/1037905565.db2.gz SIOFVAAAMPNPHG-SFHVURJKSA-N 0 3 321.848 4.317 20 0 DIADHN CC(C)[C@]1(CO)CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000581682930 1037906993 /nfs/dbraw/zinc/90/69/93/1037906993.db2.gz SIOFVAAAMPNPHG-GOSISDBHSA-N 0 3 321.848 4.317 20 0 DIADHN Cc1ccc(Cl)nc1CN(C)CCc1ccccc1Cl ZINC001140444459 1037907467 /nfs/dbraw/zinc/90/74/67/1037907467.db2.gz UFCSAZPQAXEATN-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1cc(CNCc2cc(C)cs2)cc(Cl)c1OC ZINC000394233785 1037910154 /nfs/dbraw/zinc/91/01/54/1037910154.db2.gz NYNDYBGVFLXZMV-UHFFFAOYSA-N 0 3 311.834 4.017 20 0 DIADHN c1ccc(Oc2cccnc2)c(CNC2([C@@H]3CCCCO3)CC2)c1 ZINC000933377140 1037928497 /nfs/dbraw/zinc/92/84/97/1037928497.db2.gz FXDCNPWTJFSPSL-IBGZPJMESA-N 0 3 324.424 4.065 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCc3c(Cl)cccc32)ccn1 ZINC000348683299 1037948542 /nfs/dbraw/zinc/94/85/42/1037948542.db2.gz OUDOMHWZIDFYOF-SJKOYZFVSA-N 0 3 316.832 4.472 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc(C)s1)c1ccc2c(c1)OCCO2 ZINC000090404138 1037957200 /nfs/dbraw/zinc/95/72/00/1037957200.db2.gz PMLSYGYBDQFZFO-RISCZKNCSA-N 0 3 318.442 4.025 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2ccccn2)[C@@H]1C1CC1 ZINC000581757975 1037974013 /nfs/dbraw/zinc/97/40/13/1037974013.db2.gz KPACBYUOFXXYGV-HXUWFJFHSA-N 0 3 322.452 4.281 20 0 DIADHN CC[C@@H](C)[C@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000602285595 1037977060 /nfs/dbraw/zinc/97/70/60/1037977060.db2.gz GBYVSRJDZPTSEJ-MLGOLLRUSA-N 0 3 307.821 4.097 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)CCCc2cccnc2)c(C)s1 ZINC000656900864 1037992660 /nfs/dbraw/zinc/99/26/60/1037992660.db2.gz LYTOEACLOWUQBF-OLZOCXBDSA-N 0 3 303.475 4.217 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cnc3cccc(C)n23)cc1 ZINC000341516321 1038062252 /nfs/dbraw/zinc/06/22/52/1038062252.db2.gz WGAOFIOMTBICKR-CQSZACIVSA-N 0 3 311.454 4.215 20 0 DIADHN CC(C)c1nn(Cc2ccccc2)c(Cl)c1CNCCCF ZINC000754823429 1038089140 /nfs/dbraw/zinc/08/91/40/1038089140.db2.gz CCSBYFKVWDITFJ-UHFFFAOYSA-N 0 3 323.843 4.157 20 0 DIADHN CSC1CCN(Cc2ccccc2Oc2cccnc2)CC1 ZINC000602919236 1038112554 /nfs/dbraw/zinc/11/25/54/1038112554.db2.gz BGWNNIMDNPWSLV-UHFFFAOYSA-N 0 3 314.454 4.201 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)s1 ZINC000090418537 1038114301 /nfs/dbraw/zinc/11/43/01/1038114301.db2.gz KDEVDAKMFYDVNK-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2cccc(N3CCCC3)c2)s1 ZINC000090419240 1038118216 /nfs/dbraw/zinc/11/82/16/1038118216.db2.gz WKXDCKBPQZAGND-UONOGXRCSA-N 0 3 315.486 4.464 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2nc3cccnc3s2)C1 ZINC000341560806 1038140164 /nfs/dbraw/zinc/14/01/64/1038140164.db2.gz GOHGSAODRBRDIC-GJZGRUSLSA-N 0 3 323.465 4.378 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cn1nccc1-c1cccnc1 ZINC000170637868 1038148462 /nfs/dbraw/zinc/14/84/62/1038148462.db2.gz NPEGYIHEMLAPNP-HXUWFJFHSA-N 0 3 318.424 4.048 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1ccns1 ZINC000926214419 1038164292 /nfs/dbraw/zinc/16/42/92/1038164292.db2.gz APQOVRHRNCIFDL-JQWIXIFHSA-N 0 3 300.349 4.140 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccsc2)cc1OC ZINC000061045636 1038176992 /nfs/dbraw/zinc/17/69/92/1038176992.db2.gz PJOSSYBUSNZTDH-CYBMUJFWSA-N 0 3 305.443 4.396 20 0 DIADHN Cc1cc(C)cc(OCCNCc2cnc(CC(C)C)s2)c1 ZINC001648913640 1038193709 /nfs/dbraw/zinc/19/37/09/1038193709.db2.gz BTYKFSKWZZIMBO-UHFFFAOYSA-N 0 3 318.486 4.127 20 0 DIADHN COc1ccc([C@@H](CO)N(C)Cc2ccc3ccccc3c2)cc1 ZINC000603428166 1038206459 /nfs/dbraw/zinc/20/64/59/1038206459.db2.gz MIOBLBBLLQQMFP-OAQYLSRUSA-N 0 3 321.420 4.014 20 0 DIADHN C[C@@](O)(CNCc1c(Cl)oc2ccccc21)c1cccs1 ZINC000761310713 1038207594 /nfs/dbraw/zinc/20/75/94/1038207594.db2.gz KONNIRVGJHKFIO-MRXNPFEDSA-N 0 3 321.829 4.145 20 0 DIADHN C/C(=C/c1ccccc1)CNC(C)(C)C(=O)Nc1ccccc1 ZINC000669681822 1038213931 /nfs/dbraw/zinc/21/39/31/1038213931.db2.gz JSUKXHYHIOBWMA-PEZBUJJGSA-N 0 3 308.425 4.097 20 0 DIADHN CC(C)(C)OC(=O)CCCCCN[C@@H]1CCCc2scnc21 ZINC000599029167 1038217649 /nfs/dbraw/zinc/21/76/49/1038217649.db2.gz CWRMNRUPHCSYBJ-CYBMUJFWSA-N 0 3 324.490 4.012 20 0 DIADHN Cc1ncc(CN[C@H](C)[C@H](C)c2ccccc2C(F)(F)F)o1 ZINC000657102885 1038247108 /nfs/dbraw/zinc/24/71/08/1038247108.db2.gz QULIYDNAGUMADD-WDEREUQCSA-N 0 3 312.335 4.284 20 0 DIADHN Clc1ccc([C@@H](N[C@@H]2CCCOC2)c2ccccc2)cc1 ZINC000158405117 1038253106 /nfs/dbraw/zinc/25/31/06/1038253106.db2.gz XMZJIMIZJUZRAC-MSOLQXFVSA-N 0 3 301.817 4.198 20 0 DIADHN Cc1csc(C(=O)Nc2cc(C)cc(CN(C)C)c2)c1Cl ZINC000276887136 1038266528 /nfs/dbraw/zinc/26/65/28/1038266528.db2.gz TXCQQJIYNWTLDD-UHFFFAOYSA-N 0 3 322.861 4.332 20 0 DIADHN C[C@@H]([NH2+]CCC1CC(OC(C)(C)C)C1)c1cc([O-])cc(F)c1 ZINC000926285048 1038270476 /nfs/dbraw/zinc/27/04/76/1038270476.db2.gz HOGXQRCROOTRAV-SFYCDEOASA-N 0 3 309.425 4.166 20 0 DIADHN Fc1ccccc1CN1CCC[C@@H](c2nc3ccccc3o2)C1 ZINC000029824836 1038293710 /nfs/dbraw/zinc/29/37/10/1038293710.db2.gz IXXVOYOJAWZWQB-OAHLLOKOSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1ccoc1CN1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 ZINC000093319668 1038299538 /nfs/dbraw/zinc/29/95/38/1038299538.db2.gz ZOZDFDDGTPCUBG-SFHVURJKSA-N 0 3 319.832 4.187 20 0 DIADHN C[C@H](c1nc(-c2cccc3cnccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276979738 1038310788 /nfs/dbraw/zinc/31/07/88/1038310788.db2.gz VQPHDLGOVKHQLR-HZSPNIEDSA-N 0 3 322.412 4.219 20 0 DIADHN CCN(Cc1cccc(C(=O)OC)c1)[C@@H](C)c1ccc(F)cc1 ZINC000603892866 1038316470 /nfs/dbraw/zinc/31/64/70/1038316470.db2.gz IHOBBCQTFGCXIY-AWEZNQCLSA-N 0 3 315.388 4.195 20 0 DIADHN Cc1nc(Br)ccc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001232323912 1038318834 /nfs/dbraw/zinc/31/88/34/1038318834.db2.gz NKLXFTFTINJVGC-ZFWWWQNUSA-N 0 3 323.278 4.307 20 0 DIADHN CCCCn1cc(CN[C@H]2CCCc3cc(F)ccc32)c(C)n1 ZINC000341888004 1038321254 /nfs/dbraw/zinc/32/12/54/1038321254.db2.gz KZZLTZKLZNBSPI-IBGZPJMESA-N 0 3 315.436 4.298 20 0 DIADHN CC(C)(NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000535734839 1038324087 /nfs/dbraw/zinc/32/40/87/1038324087.db2.gz JFNWZDLRPANXIQ-UHFFFAOYSA-N 0 3 302.443 4.000 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1nc2ccccc2nc1C ZINC000603968476 1038331932 /nfs/dbraw/zinc/33/19/32/1038331932.db2.gz WFDSLBDWZOVUHS-OAQYLSRUSA-N 0 3 317.436 4.448 20 0 DIADHN Cc1csc(CCNCc2cc(Cl)sc2Cl)n1 ZINC000312552985 1038400626 /nfs/dbraw/zinc/40/06/26/1038400626.db2.gz DKWIBPBKFFIAKZ-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN COC1CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)CC1 ZINC000535781924 1038337513 /nfs/dbraw/zinc/33/75/13/1038337513.db2.gz HGSXXAJMVIVCGU-UHFFFAOYSA-N 0 3 305.805 4.211 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2[nH]cnc2c1 ZINC000535780253 1038339656 /nfs/dbraw/zinc/33/96/56/1038339656.db2.gz HPMNTZLAMVRVKX-NSHDSACASA-N 0 3 301.340 4.034 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)Cc1ccc2nc[nH]c2c1 ZINC000535780253 1038339671 /nfs/dbraw/zinc/33/96/71/1038339671.db2.gz HPMNTZLAMVRVKX-NSHDSACASA-N 0 3 301.340 4.034 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN1CCCOC[C@H]1C ZINC000669708707 1038348239 /nfs/dbraw/zinc/34/82/39/1038348239.db2.gz SJBCCUXHJAUKFZ-JWAFFJSPSA-N 0 3 313.363 4.220 20 0 DIADHN CCc1cccc(CN[C@H](Cc2nc(C)no2)c2ccccc2)c1 ZINC000277021590 1038354146 /nfs/dbraw/zinc/35/41/46/1038354146.db2.gz ALGZIBXDDLHTKG-LJQANCHMSA-N 0 3 321.424 4.014 20 0 DIADHN FC(F)(F)CC1CCN(Cc2ccc(-n3ccnc3)cc2)CC1 ZINC000341998623 1038363699 /nfs/dbraw/zinc/36/36/99/1038363699.db2.gz UWHIBGMQZUXTMC-UHFFFAOYSA-N 0 3 323.362 4.037 20 0 DIADHN CCc1ccccc1CN[C@H](Cc1nc(C)no1)c1ccccc1 ZINC000277145279 1038387978 /nfs/dbraw/zinc/38/79/78/1038387978.db2.gz JINUTGLFRPPJDE-LJQANCHMSA-N 0 3 321.424 4.014 20 0 DIADHN COC(=O)C(C)(C)[C@@H](NCc1cccc(C)c1)c1ccccc1 ZINC000610908805 1038400317 /nfs/dbraw/zinc/40/03/17/1038400317.db2.gz IXCYHEQTXUDBEO-SFHVURJKSA-N 0 3 311.425 4.025 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1ccn(-c2ccccc2)n1 ZINC000604394110 1038411304 /nfs/dbraw/zinc/41/13/04/1038411304.db2.gz BFXBHWNMKHFXHR-PKOBYXMFSA-N 0 3 317.436 4.250 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(-c2ccc(F)cc2C)o1 ZINC000604416692 1038414101 /nfs/dbraw/zinc/41/41/01/1038414101.db2.gz YZKIHCZXDMBYND-SFHVURJKSA-N 0 3 319.420 4.281 20 0 DIADHN C[C@H](O)CCN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626113268 1038418364 /nfs/dbraw/zinc/41/83/64/1038418364.db2.gz LKHVUTCRBXFFHR-AWEZNQCLSA-N 0 3 314.454 4.003 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](c2cccs2)N2CCCC2)o1 ZINC000150908523 1038424083 /nfs/dbraw/zinc/42/40/83/1038424083.db2.gz NNJOVTGGXFOOQK-CABCVRRESA-N 0 3 304.459 4.137 20 0 DIADHN C[C@@H](NC1CC(F)(F)C1)c1ccc(SCC(F)F)cc1 ZINC000277186634 1038435411 /nfs/dbraw/zinc/43/54/11/1038435411.db2.gz ULICQUWJGQPOHE-SECBINFHSA-N 0 3 307.356 4.492 20 0 DIADHN C[C@H](CCC1CCCCC1)N[C@H](C(=O)N(C)C)c1ccccc1 ZINC000604773459 1038445904 /nfs/dbraw/zinc/44/59/04/1038445904.db2.gz ORBGYVJMWXXYEH-APWZRJJASA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](CO)NCc1ccc(Cl)cc1Cl ZINC000604767985 1038446081 /nfs/dbraw/zinc/44/60/81/1038446081.db2.gz IVBZBFYWFAKKJD-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1ccccc1CN[C@](C)(CO)c1cccc(C(F)(F)F)c1 ZINC000604767041 1038451341 /nfs/dbraw/zinc/45/13/41/1038451341.db2.gz AFZPYPZHRWDTDJ-QGZVFWFLSA-N 0 3 323.358 4.011 20 0 DIADHN Cc1ccsc1CN(CCCO)Cc1cccc(Cl)c1 ZINC000013997310 1038452441 /nfs/dbraw/zinc/45/24/41/1038452441.db2.gz REAAZYMLAXQXJO-UHFFFAOYSA-N 0 3 309.862 4.095 20 0 DIADHN Fc1ccc(CN(CCc2ccccc2)Cc2ccncc2)cc1 ZINC000013990002 1038452498 /nfs/dbraw/zinc/45/24/98/1038452498.db2.gz ZYMZXXVEIUZCAD-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN COc1ccc(CNCc2ccc(C3CC3)cc2)c(C)c1OC ZINC000342174557 1038466281 /nfs/dbraw/zinc/46/62/81/1038466281.db2.gz UNXKZOFBHYKPQT-UHFFFAOYSA-N 0 3 311.425 4.179 20 0 DIADHN CC[C@H]1CCCN(Cc2ncc(-c3ccc(OC)cc3)o2)CC1 ZINC000604998822 1038476851 /nfs/dbraw/zinc/47/68/51/1038476851.db2.gz FDKWMSFQNBFCDV-HNNXBMFYSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1cccc([C@H](NCc2cnnn2-c2ccccc2)C(C)C)c1 ZINC000623730896 1038477453 /nfs/dbraw/zinc/47/74/53/1038477453.db2.gz CDJRZFBIWMQVMJ-HXUWFJFHSA-N 0 3 320.440 4.063 20 0 DIADHN c1ccc(OCCCN2CCS[C@H](c3ccccc3)C2)cc1 ZINC000605155222 1038494262 /nfs/dbraw/zinc/49/42/62/1038494262.db2.gz LMAKRGHZFZHEAG-IBGZPJMESA-N 0 3 313.466 4.246 20 0 DIADHN CC(C)n1ccnc1CNCC(c1ccccc1)c1ccccc1 ZINC000657288184 1038501210 /nfs/dbraw/zinc/50/12/10/1038501210.db2.gz ATNKXKXWNSMDLM-UHFFFAOYSA-N 0 3 319.452 4.386 20 0 DIADHN Cc1c(Br)cncc1CN[C@H](C)c1ccccc1 ZINC000657288903 1038503408 /nfs/dbraw/zinc/50/34/08/1038503408.db2.gz BTSKDFVOTIAIDN-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)(C)[C@@H]1OCCC[C@@H]1CNCc1c(F)cccc1Cl ZINC000605323443 1038506817 /nfs/dbraw/zinc/50/68/17/1038506817.db2.gz WYDBVMKYIAKOKB-MLGOLLRUSA-N 0 3 313.844 4.410 20 0 DIADHN C[C@H](NCc1ccnn1CC1CC1)c1cccc2ccccc21 ZINC000657289639 1038506793 /nfs/dbraw/zinc/50/67/93/1038506793.db2.gz FMOVXHTUHYGLNI-HNNXBMFYSA-N 0 3 305.425 4.297 20 0 DIADHN COc1cccc(CN2CCS[C@H](C)[C@H]2c2ccccc2)c1 ZINC000605335786 1038508019 /nfs/dbraw/zinc/50/80/19/1038508019.db2.gz UZBVGOCTWCZKMP-BEFAXECRSA-N 0 3 313.466 4.374 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2nc3ccccc3o2)cc1 ZINC000055908661 1038509909 /nfs/dbraw/zinc/50/99/09/1038509909.db2.gz KFFSAJPYCMEYGQ-MRXNPFEDSA-N 0 3 310.372 4.174 20 0 DIADHN CCCc1ccc([C@H](NCc2cnn3c2CCC3)C(C)C)cc1 ZINC000657296074 1038511921 /nfs/dbraw/zinc/51/19/21/1038511921.db2.gz QOHITETVKOVUDD-HXUWFJFHSA-N 0 3 311.473 4.269 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC000605421665 1038512845 /nfs/dbraw/zinc/51/28/45/1038512845.db2.gz NPGYMVAYSDRINU-IFIJOSMWSA-N 0 3 320.864 4.240 20 0 DIADHN COCc1csc(CNCc2ccc(C(F)(F)F)cc2)c1 ZINC000657296716 1038514005 /nfs/dbraw/zinc/51/40/05/1038514005.db2.gz KRYMXRRPAOZEJL-UHFFFAOYSA-N 0 3 315.360 4.203 20 0 DIADHN COCc1csc(CNCc2ccccc2COC(C)C)c1 ZINC000657299617 1038522600 /nfs/dbraw/zinc/52/26/00/1038522600.db2.gz WZQMLWGXJNAVBS-UHFFFAOYSA-N 0 3 319.470 4.109 20 0 DIADHN CC(C)CN(C)[C@@H](C)C(=O)Nc1ccccc1-c1ccccc1 ZINC000068334993 1038526265 /nfs/dbraw/zinc/52/62/65/1038526265.db2.gz LHWLDPZNCAGTCI-INIZCTEOSA-N 0 3 310.441 4.268 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(CCc2cccs2)CC1 ZINC000060876932 1038530120 /nfs/dbraw/zinc/53/01/20/1038530120.db2.gz USWPJISIOIEIIY-IBGZPJMESA-N 0 3 314.498 4.060 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2nc3cc(F)ccc3o2)C1 ZINC000151882174 1038534134 /nfs/dbraw/zinc/53/41/34/1038534134.db2.gz SCWQJKIQOAHPAR-STQMWFEESA-N 0 3 308.422 4.073 20 0 DIADHN C[C@H](CCO)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000151896953 1038535591 /nfs/dbraw/zinc/53/55/91/1038535591.db2.gz NTFYANBSNMANCL-SCZZXKLOSA-N 0 3 308.274 4.040 20 0 DIADHN Fc1ccc(C[C@H]2CCN(c3ccnc4ccccc43)C2)cc1 ZINC000605698760 1038548970 /nfs/dbraw/zinc/54/89/70/1038548970.db2.gz QNAKUPIWRSVFFI-MRXNPFEDSA-N 0 3 306.384 4.443 20 0 DIADHN COCc1csc(CNCc2csc3ccccc23)c1 ZINC000657312350 1038549695 /nfs/dbraw/zinc/54/96/95/1038549695.db2.gz GWOZRSQJWWMFRS-UHFFFAOYSA-N 0 3 303.452 4.399 20 0 DIADHN Cc1cccn2cc(CN3C[C@@H](c4ccc(F)cc4)C[C@@H]3C)nc12 ZINC000605764174 1038551363 /nfs/dbraw/zinc/55/13/63/1038551363.db2.gz HWJZINNDZSFELY-RDJZCZTQSA-N 0 3 323.415 4.160 20 0 DIADHN Cc1cnc([C@H](C)N[C@H](C)CCc2ccc(F)cc2F)s1 ZINC000582018975 1038551475 /nfs/dbraw/zinc/55/14/75/1038551475.db2.gz OHKIAVOXMCZODE-PWSUYJOCSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1cnc([C@@H](C)N[C@@H](C)CCc2ccc(F)cc2F)s1 ZINC000582018973 1038551654 /nfs/dbraw/zinc/55/16/54/1038551654.db2.gz OHKIAVOXMCZODE-CMPLNLGQSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1cccc(CN(Cc2ccc3c(c2)OCO3)C2CCCC2)n1 ZINC000605898555 1038553716 /nfs/dbraw/zinc/55/37/16/1038553716.db2.gz IASWQFLWQMXVOQ-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cnc2n1CCC2)c1ccc(F)cc1 ZINC000657313425 1038554684 /nfs/dbraw/zinc/55/46/84/1038554684.db2.gz LSAIKSARZLIEAE-QGZVFWFLSA-N 0 3 315.436 4.236 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2c(C)noc2CC)C12CCCCC2 ZINC001167540307 1038557571 /nfs/dbraw/zinc/55/75/71/1038557571.db2.gz ZAMPBZHYCHOMPU-ROUUACIJSA-N 0 3 320.477 4.105 20 0 DIADHN COCc1csc(CNCc2ccc(OCC(C)C)cc2)c1 ZINC000657318158 1038562135 /nfs/dbraw/zinc/56/21/35/1038562135.db2.gz LUACQEWVLPZLJN-UHFFFAOYSA-N 0 3 319.470 4.219 20 0 DIADHN COc1ccccc1[C@H]1CCN(c2ccnc3ccccc32)C1 ZINC000606157721 1038569765 /nfs/dbraw/zinc/56/97/65/1038569765.db2.gz UPAZDGCDYHURJE-HNNXBMFYSA-N 0 3 304.393 4.237 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3ccccc3)[C@H]3CCCO3)[nH]c2c1 ZINC000657336792 1038578253 /nfs/dbraw/zinc/57/82/53/1038578253.db2.gz WUJPAULCDODXCF-NHCUHLMSSA-N 0 3 320.436 4.486 20 0 DIADHN Cc1ccc2cc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)[nH]c2c1 ZINC000657336793 1038578292 /nfs/dbraw/zinc/57/82/92/1038578292.db2.gz WUJPAULCDODXCF-RTWAWAEBSA-N 0 3 320.436 4.486 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@@H]3CCCO3)cc21 ZINC000657335431 1038579619 /nfs/dbraw/zinc/57/96/19/1038579619.db2.gz JIXXFEDXKJAVBG-LEWJYISDSA-N 0 3 320.436 4.486 20 0 DIADHN COCc1cccc(CN[C@@H](c2ncccc2C)C(C)(C)C)c1 ZINC000934292460 1038582747 /nfs/dbraw/zinc/58/27/47/1038582747.db2.gz QDWQYDYYWHXHNL-IBGZPJMESA-N 0 3 312.457 4.413 20 0 DIADHN C[C@H](NCc1ccccc1-n1ccnc1)c1ccc(Cl)s1 ZINC000152227406 1038591974 /nfs/dbraw/zinc/59/19/74/1038591974.db2.gz JXVDNOHPCAOGDH-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000152243339 1038597579 /nfs/dbraw/zinc/59/75/79/1038597579.db2.gz DPCKZGBCBOMWIS-BLLLJJGKSA-N 0 3 324.424 4.238 20 0 DIADHN CSc1ccc(CCN[C@H](C)c2nc(C)sc2C)cc1 ZINC000684774374 1038598058 /nfs/dbraw/zinc/59/80/58/1038598058.db2.gz ZJQSPXSDKIZWNX-LLVKDONJSA-N 0 3 306.500 4.375 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1ccnn1CC1CC1 ZINC000657360069 1038599165 /nfs/dbraw/zinc/59/91/65/1038599165.db2.gz VUUBIPUSWNSPMK-HXUWFJFHSA-N 0 3 311.473 4.479 20 0 DIADHN C[C@H](NCc1ccccc1-n1ccnc1)c1cc2ccccc2o1 ZINC000152266523 1038600746 /nfs/dbraw/zinc/60/07/46/1038600746.db2.gz ZTWJTCPRBVBZOU-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CCOc1cc(CNCc2cc3c(cccc3C)[nH]2)ccc1OC ZINC000657361146 1038601514 /nfs/dbraw/zinc/60/15/14/1038601514.db2.gz GKVVIMZCRMDHSL-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nc(-c2ccccc2C)no1 ZINC000606434183 1038601720 /nfs/dbraw/zinc/60/17/20/1038601720.db2.gz OPIJARSGTTVWFR-WMLDXEAASA-N 0 3 313.445 4.446 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000606434372 1038601930 /nfs/dbraw/zinc/60/19/30/1038601930.db2.gz WMMBXIYNMNVSHT-WBVHZDCISA-N 0 3 313.445 4.446 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2noc3c2CCCC3)C1 ZINC000606435379 1038602227 /nfs/dbraw/zinc/60/22/27/1038602227.db2.gz ZQFAFAUIXLODQZ-HZPDHXFCSA-N 0 3 310.441 4.240 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cc2ccncc2s1)C(C)C ZINC000657362907 1038605560 /nfs/dbraw/zinc/60/55/60/1038605560.db2.gz KBAJWMWWAFCNQI-KRWDZBQOSA-N 0 3 311.454 4.487 20 0 DIADHN C[C@@H](CNCc1noc2c1CCCC2)c1cccc(Cl)c1 ZINC000582203532 1038610865 /nfs/dbraw/zinc/61/08/65/1038610865.db2.gz JKLTXWFVLGRUGJ-LBPRGKRZSA-N 0 3 304.821 4.100 20 0 DIADHN C[C@H]1CSCCN1Cc1ccc(OCc2ccccc2)cc1 ZINC000606540739 1038614562 /nfs/dbraw/zinc/61/45/62/1038614562.db2.gz FFBJFKWXXZKVJF-INIZCTEOSA-N 0 3 313.466 4.203 20 0 DIADHN COc1ccnc(CN2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)c1 ZINC000606540662 1038614754 /nfs/dbraw/zinc/61/47/54/1038614754.db2.gz AJOUNGBUMLVKFM-OXQOHEQNSA-N 0 3 310.441 4.372 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cn3cc(C)ccc3n2)cc1 ZINC000606540644 1038614894 /nfs/dbraw/zinc/61/48/94/1038614894.db2.gz ZJZNNFKNYVQFCJ-KRWDZBQOSA-N 0 3 307.441 4.014 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000051531796 1038617391 /nfs/dbraw/zinc/61/73/91/1038617391.db2.gz LQRJZXHGJJDBGN-QRWLVFNGSA-N 0 3 313.416 4.332 20 0 DIADHN O=C(CC1=CCCCC1)N(CCN1CCCC1)c1ccccc1 ZINC000606813982 1038630116 /nfs/dbraw/zinc/63/01/16/1038630116.db2.gz AYYZBVLWGZWRKF-UHFFFAOYSA-N 0 3 312.457 4.006 20 0 DIADHN CO[C@@H](C)c1nc(CNC2CCC(C3CCC3)CC2)cs1 ZINC000657391832 1038633873 /nfs/dbraw/zinc/63/38/73/1038633873.db2.gz OLZAVHQKPDJFPS-GRTSSRMGSA-N 0 3 308.491 4.299 20 0 DIADHN Clc1ccc2sc(CN[C@H]3CCOC34CCCC4)nc2c1 ZINC000934386287 1038637025 /nfs/dbraw/zinc/63/70/25/1038637025.db2.gz WSSXBSFTNPPYDT-AWEZNQCLSA-N 0 3 322.861 4.141 20 0 DIADHN CC[C@@H]1CCCCCN1CC(=O)Nc1cccc(Cl)c1C ZINC000606900547 1038638668 /nfs/dbraw/zinc/63/86/68/1038638668.db2.gz HSTHPQJNZAYIBF-CQSZACIVSA-N 0 3 308.853 4.242 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1cccc(Cl)c1C ZINC000606900542 1038638806 /nfs/dbraw/zinc/63/88/06/1038638806.db2.gz HSTHPQJNZAYIBF-AWEZNQCLSA-N 0 3 308.853 4.242 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCCC[C@@H]1CC ZINC000606901046 1038638873 /nfs/dbraw/zinc/63/88/73/1038638873.db2.gz WRPCXSAOJRCDGL-KRWDZBQOSA-N 0 3 302.462 4.151 20 0 DIADHN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccc2ccccc2c1 ZINC001167567966 1038644932 /nfs/dbraw/zinc/64/49/32/1038644932.db2.gz MMGYVIHISHTNNU-RTWAWAEBSA-N 0 3 324.468 4.061 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCCN(C(=O)OC(C)(C)C)[C@@H]2C)o1 ZINC000230951516 1038651171 /nfs/dbraw/zinc/65/11/71/1038651171.db2.gz KZOXMKBFQDYWQN-RBSFLKMASA-N 0 3 322.449 4.027 20 0 DIADHN c1nc2n(c1CN[C@H](CC1CCCC1)c1ccccc1)CCC2 ZINC000657416078 1038654921 /nfs/dbraw/zinc/65/49/21/1038654921.db2.gz PQSBIZCJUUSXRC-LJQANCHMSA-N 0 3 309.457 4.241 20 0 DIADHN CN(Cc1cccs1)Cc1ccc(OCc2ccncc2)cc1 ZINC000342536180 1038657383 /nfs/dbraw/zinc/65/73/83/1038657383.db2.gz WOXYIMNTYBQMOU-UHFFFAOYSA-N 0 3 324.449 4.354 20 0 DIADHN CCN(CC)[C@H](CNCc1nccc2ccccc21)c1ccco1 ZINC000607167609 1038661099 /nfs/dbraw/zinc/66/10/99/1038661099.db2.gz WSMHYOYWBQNSNZ-LJQANCHMSA-N 0 3 323.440 4.001 20 0 DIADHN CCCOc1ccc(CNCc2ccnc(SC)c2)c(C)c1 ZINC000657424709 1038662324 /nfs/dbraw/zinc/66/23/24/1038662324.db2.gz FTVJPPOLSWVDTR-UHFFFAOYSA-N 0 3 316.470 4.191 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2C[C@@H](C)[C@H]2c2ccccc2)cc1 ZINC001167575624 1038672159 /nfs/dbraw/zinc/67/21/59/1038672159.db2.gz FGTRFAYZXUZION-UZLBHIALSA-N 0 3 323.436 4.445 20 0 DIADHN CC(C)CC[C@@H](NC(=O)c1cccc(CN(C)C)c1)C(C)(C)C ZINC000607387074 1038673703 /nfs/dbraw/zinc/67/37/03/1038673703.db2.gz RCJMSDQMOLXCLD-GOSISDBHSA-N 0 3 318.505 4.329 20 0 DIADHN C[C@@H](NCCc1cccs1)c1nc2ccccc2n1C(F)F ZINC000091264024 1038674251 /nfs/dbraw/zinc/67/42/51/1038674251.db2.gz MOVSACKOISTFRM-LLVKDONJSA-N 0 3 321.396 4.386 20 0 DIADHN CC(C)n1ccnc1CNCC(C)(C)c1cccc(Cl)c1F ZINC000657438703 1038678648 /nfs/dbraw/zinc/67/86/48/1038678648.db2.gz VEYLLLYEPNWOTN-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN CC(C)N(CC(=O)Nc1ccc(-c2ccccc2)cc1)C(C)C ZINC001168423061 1038691403 /nfs/dbraw/zinc/69/14/03/1038691403.db2.gz DCEXYVJGRTZXDO-UHFFFAOYSA-N 0 3 310.441 4.411 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1cc(C(F)(F)F)co1 ZINC001167581384 1038691923 /nfs/dbraw/zinc/69/19/23/1038691923.db2.gz AAXQHMWUJGMSDC-JTQLQIEISA-N 0 3 303.349 4.423 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](CC(F)(F)F)c2ccccc2C)CO1 ZINC000657450685 1038692685 /nfs/dbraw/zinc/69/26/85/1038692685.db2.gz YQFIPVOENQDHGD-UMVBOHGHSA-N 0 3 301.352 4.146 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](CC(F)(F)F)c2ccccc2C)CO1 ZINC000657450684 1038693520 /nfs/dbraw/zinc/69/35/20/1038693520.db2.gz YQFIPVOENQDHGD-NFAWXSAZSA-N 0 3 301.352 4.146 20 0 DIADHN Cc1ccc(CN(C)Cc2cn(C)nc2-c2cccs2)cc1 ZINC000342634633 1038694113 /nfs/dbraw/zinc/69/41/13/1038694113.db2.gz LFKMKJHWONLBGZ-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CC1(C)CCc2ccccc2[C@H](NCc2cnn3c2CCC3)C1 ZINC000657459385 1038698764 /nfs/dbraw/zinc/69/87/64/1038698764.db2.gz ZQRUFDIESYOLHM-GOSISDBHSA-N 0 3 309.457 4.023 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000657457797 1038699474 /nfs/dbraw/zinc/69/94/74/1038699474.db2.gz QDRIXXYQIOXMLQ-WBVHZDCISA-N 0 3 301.409 4.029 20 0 DIADHN CC[C@H]1C[C@@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CO1 ZINC000657460220 1038700310 /nfs/dbraw/zinc/70/03/10/1038700310.db2.gz VCLAZNZXLAFLFQ-KGLIPLIRSA-N 0 3 322.861 4.121 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CO1 ZINC000657460222 1038700919 /nfs/dbraw/zinc/70/09/19/1038700919.db2.gz VCLAZNZXLAFLFQ-ZIAGYGMSSA-N 0 3 322.861 4.121 20 0 DIADHN c1nc2n(c1CNCc1cccc(C3CCCC3)c1)CCCC2 ZINC000657459985 1038700954 /nfs/dbraw/zinc/70/09/54/1038700954.db2.gz NGTZLPXJFUGIMJ-UHFFFAOYSA-N 0 3 309.457 4.167 20 0 DIADHN Cc1c[nH]c(CN[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)n1 ZINC000657465159 1038704262 /nfs/dbraw/zinc/70/42/62/1038704262.db2.gz WNWSEDLRKPSFIB-CABCVRRESA-N 0 3 323.362 4.163 20 0 DIADHN Fc1cccc(CNC[C@H]2CCCCC2(F)F)c1OC(F)F ZINC000657478231 1038710969 /nfs/dbraw/zinc/71/09/69/1038710969.db2.gz ZAYFXFNOJGZLCP-LLVKDONJSA-N 0 3 323.305 4.342 20 0 DIADHN Cc1noc(C[C@H](NCc2cccc(C)c2C)c2ccccc2)n1 ZINC000277709399 1038711731 /nfs/dbraw/zinc/71/17/31/1038711731.db2.gz SAXRYQSSJHVBCS-IBGZPJMESA-N 0 3 321.424 4.068 20 0 DIADHN COCc1csc(CN[C@@H]2CCCc3c(F)cccc32)c1 ZINC000657477750 1038712147 /nfs/dbraw/zinc/71/21/47/1038712147.db2.gz YRYNRYJEARBWFX-QGZVFWFLSA-N 0 3 305.418 4.201 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc3ccncc3s2)c2ccccc2O1 ZINC000657478655 1038713981 /nfs/dbraw/zinc/71/39/81/1038713981.db2.gz LCTPPALDSJFGBE-MLGOLLRUSA-N 0 3 310.422 4.298 20 0 DIADHN Cc1cccn2cc(CN3CC[C@@H](c4ccccc4)[C@H](C)C3)nc12 ZINC000607751779 1038717450 /nfs/dbraw/zinc/71/74/50/1038717450.db2.gz DOSRPMXJJYSBNC-YLJYHZDGSA-N 0 3 319.452 4.268 20 0 DIADHN Cc1ncsc1-c1ccc(CNCc2cnc(C)cc2C)cc1 ZINC000657485219 1038719211 /nfs/dbraw/zinc/71/92/11/1038719211.db2.gz VTOUGFKCZKPNCL-UHFFFAOYSA-N 0 3 323.465 4.420 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000607742912 1038720769 /nfs/dbraw/zinc/72/07/69/1038720769.db2.gz YDNYSJMFEVLHSB-STQMWFEESA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@@H](C)CCC[C@H]1C ZINC000607742592 1038721253 /nfs/dbraw/zinc/72/12/53/1038721253.db2.gz RRTPXITXTBJCAR-JKSUJKDBSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1cccc2nc(CN3CC[C@H](c4ccccc4)[C@H](C)C3)cn21 ZINC000607752222 1038721829 /nfs/dbraw/zinc/72/18/29/1038721829.db2.gz NOVZSGMUCRPAKN-UZLBHIALSA-N 0 3 319.452 4.268 20 0 DIADHN CCOc1ccc(CNCc2cnccc2C(C)(C)C)c(C)c1 ZINC000657489998 1038724051 /nfs/dbraw/zinc/72/40/51/1038724051.db2.gz BLHGWILOMCCULQ-UHFFFAOYSA-N 0 3 312.457 4.376 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccccc3o2)CC[C@@H]1c1ccccc1 ZINC000607752154 1038725272 /nfs/dbraw/zinc/72/52/72/1038725272.db2.gz KOYALUFXIAFYIY-WBVHZDCISA-N 0 3 306.409 4.453 20 0 DIADHN Cc1ccc2nc(CN3CC[C@H](c4ccccc4)[C@@H](C)C3)cn2c1 ZINC000607755682 1038728382 /nfs/dbraw/zinc/72/83/82/1038728382.db2.gz IGOSRQIYXGHCNM-PXNSSMCTSA-N 0 3 319.452 4.268 20 0 DIADHN Cc1nc(CN[C@@H](C)Cc2cccc(C(F)(F)F)c2)cs1 ZINC000684953965 1038738405 /nfs/dbraw/zinc/73/84/05/1038738405.db2.gz WWGILBMUQPXUGE-JTQLQIEISA-N 0 3 314.376 4.191 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H]1CCCc2cccnc21 ZINC000684954959 1038738418 /nfs/dbraw/zinc/73/84/18/1038738418.db2.gz HWIWIIDUJYGIGT-MOPGFXCFSA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCCc3cccnc32)C2CC2)cc1 ZINC000684953290 1038738453 /nfs/dbraw/zinc/73/84/53/1038738453.db2.gz BKNXPWDPOOSDJC-OALUTQOASA-N 0 3 308.425 4.209 20 0 DIADHN Cc1nc(CN[C@H](C)Cc2cccc(C(F)(F)F)c2)cs1 ZINC000684953971 1038738527 /nfs/dbraw/zinc/73/85/27/1038738527.db2.gz WWGILBMUQPXUGE-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)N[C@@H]1CCCc2cccnc21 ZINC000684956745 1038739990 /nfs/dbraw/zinc/73/99/90/1038739990.db2.gz YCINPJFVHZGZMP-SJLPKXTDSA-N 0 3 310.441 4.133 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCCc3cccnc32)C(C)C)cc1 ZINC000684954339 1038740086 /nfs/dbraw/zinc/74/00/86/1038740086.db2.gz ZEVFAPQJUAEINW-OALUTQOASA-N 0 3 310.441 4.455 20 0 DIADHN CO[C@@H](CN[C@H]1CCCc2cccnc21)c1ccc(Cl)cc1 ZINC000684957874 1038742652 /nfs/dbraw/zinc/74/26/52/1038742652.db2.gz ZHUCPBWCWYECBR-IRXDYDNUSA-N 0 3 316.832 4.090 20 0 DIADHN CC(C)c1ccccc1OCCN[C@@H]1CCCc2cccnc21 ZINC000684958671 1038743019 /nfs/dbraw/zinc/74/30/19/1038743019.db2.gz SLLRGZWMXUKROD-GOSISDBHSA-N 0 3 310.441 4.251 20 0 DIADHN CO[C@H](CN[C@@H]1CCCc2cccnc21)c1cccc(Cl)c1 ZINC000684959888 1038744284 /nfs/dbraw/zinc/74/42/84/1038744284.db2.gz RJJWUTOCUVEQER-IAGOWNOFSA-N 0 3 316.832 4.090 20 0 DIADHN Cc1csc(CN[C@H](C)Cc2cccc(C(F)(F)F)c2)n1 ZINC000342724868 1038744732 /nfs/dbraw/zinc/74/47/32/1038744732.db2.gz AHASSLKSEBCPOH-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCc2cccnc21)c1ccccc1Cl ZINC000684960200 1038745136 /nfs/dbraw/zinc/74/51/36/1038745136.db2.gz UFQHSLLYMKZRLZ-SJORKVTESA-N 0 3 316.832 4.090 20 0 DIADHN FC(F)(F)[C@H](CN[C@H]1CCCc2cccnc21)c1ccccc1 ZINC000684962465 1038745923 /nfs/dbraw/zinc/74/59/23/1038745923.db2.gz TUIBKNCYVRKZEY-CVEARBPZSA-N 0 3 320.358 4.395 20 0 DIADHN c1sc(-c2ccccn2)nc1CN[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000608064104 1038762821 /nfs/dbraw/zinc/76/28/21/1038762821.db2.gz ZEMMWOYUJLWAMT-SOUVJXGZSA-N 0 3 313.470 4.264 20 0 DIADHN C[C@H](CNCc1cccc(F)c1F)Oc1cccc(Cl)c1 ZINC000608065337 1038763650 /nfs/dbraw/zinc/76/36/50/1038763650.db2.gz IHVPFDZPBQOFKW-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)NCc1csc(COC)n1 ZINC000608057462 1038768734 /nfs/dbraw/zinc/76/87/34/1038768734.db2.gz LJLSLVIZZZSXOC-CQSZACIVSA-N 0 3 324.877 4.054 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000075022107 1038784107 /nfs/dbraw/zinc/78/41/07/1038784107.db2.gz CDRRYRZUKAEINN-GOEBONIOSA-N 0 3 302.462 4.177 20 0 DIADHN Cc1ccc(CN[C@@H](Cc2ccccc2)c2ccccc2)nc1 ZINC000133595869 1038786764 /nfs/dbraw/zinc/78/67/64/1038786764.db2.gz FZMHFCIGPQSMHQ-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@H](O)c2cccc(Cl)c2)s1 ZINC000075718202 1038803314 /nfs/dbraw/zinc/80/33/14/1038803314.db2.gz MSOKFUWMUVJWQT-NHYWBVRUSA-N 0 3 324.877 4.140 20 0 DIADHN CC[C@@H](NCc1ccnc(OC(F)F)c1)c1ccc(C)cc1 ZINC000342807020 1038830376 /nfs/dbraw/zinc/83/03/76/1038830376.db2.gz VIYJNAVXLYKDCD-OAHLLOKOSA-N 0 3 306.356 4.232 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1cccc(F)c1 ZINC000173938771 1038832491 /nfs/dbraw/zinc/83/24/91/1038832491.db2.gz PVZNYLWEVAUEJC-OLZOCXBDSA-N 0 3 312.388 4.122 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H](C)[C@H](C)C1 ZINC000076114367 1038832166 /nfs/dbraw/zinc/83/21/66/1038832166.db2.gz QLZSEFFPQMPJAA-UTUOFQBUSA-N 0 3 305.809 4.039 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H](O)c1cccc(F)c1 ZINC000063755078 1038832701 /nfs/dbraw/zinc/83/27/01/1038832701.db2.gz SMFOMGFYEAUXSK-IBGZPJMESA-N 0 3 319.395 4.301 20 0 DIADHN CC[C@@H](CO)NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000608383280 1038837050 /nfs/dbraw/zinc/83/70/50/1038837050.db2.gz JATDMXYOSRLOHX-INIZCTEOSA-N 0 3 301.430 4.105 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000342810522 1038840093 /nfs/dbraw/zinc/84/00/93/1038840093.db2.gz ABGJHROOGZOOKQ-WBMJQRKESA-N 0 3 318.367 4.411 20 0 DIADHN c1cc(-c2ncc(CN3CCC[C@H]3[C@H]3CCCO3)s2)cs1 ZINC000342817715 1038860666 /nfs/dbraw/zinc/86/06/66/1038860666.db2.gz GHYSHANQDSXXTR-LSDHHAIUSA-N 0 3 320.483 4.015 20 0 DIADHN O[C@H](c1ccc(Cl)cc1)C1CCN(CCC(F)(F)F)CC1 ZINC000077284965 1038863583 /nfs/dbraw/zinc/86/35/83/1038863583.db2.gz NEBKLRPYTZSLQQ-CQSZACIVSA-N 0 3 321.770 4.038 20 0 DIADHN CC(C)(C)c1nnc2ccc(Nc3ccnc(C(C)(C)C)c3)cn21 ZINC001213164026 1038871599 /nfs/dbraw/zinc/87/15/99/1038871599.db2.gz GLYOXCFDIJNVLP-UHFFFAOYSA-N 0 3 323.444 4.463 20 0 DIADHN Cc1ccc(-c2nc(CNCCc3cnccc3C)cs2)cc1 ZINC000657588159 1038894792 /nfs/dbraw/zinc/89/47/92/1038894792.db2.gz OMJLRBDKKYFCLT-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN Fc1cccc(-c2nc(CN3CCC4(CCCC4)C3)co2)c1 ZINC000174266132 1038911680 /nfs/dbraw/zinc/91/16/80/1038911680.db2.gz FEFJSWXYJQJGRF-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC4(CCCC4)C3)o2)cc1 ZINC000174273037 1038921242 /nfs/dbraw/zinc/92/12/42/1038921242.db2.gz HPLSXWGSAIUFJV-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ncc(-c3ccc(F)cc3)o2)C1 ZINC000642189961 1038922467 /nfs/dbraw/zinc/92/24/67/1038922467.db2.gz QFTIUGMLBKOBAG-XJKSGUPXSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1ccc(CCNCc2csc(-c3ccccn3)n2)cc1C ZINC000657626405 1038924190 /nfs/dbraw/zinc/92/41/90/1038924190.db2.gz VOTHHEBJRZYHHD-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN Cc1nccn1CCCN1CCCC[C@H]1c1ccc(F)cc1F ZINC000342865925 1038933892 /nfs/dbraw/zinc/93/38/92/1038933892.db2.gz XUIROTRKWVGVQJ-SFHVURJKSA-N 0 3 319.399 4.087 20 0 DIADHN CC(C)(C)OCCCNCc1csc(-c2ccc(F)cc2)n1 ZINC000657638010 1038934038 /nfs/dbraw/zinc/93/40/38/1038934038.db2.gz OPYVQBGLKSDVDK-UHFFFAOYSA-N 0 3 322.449 4.244 20 0 DIADHN Cn1cc(CN[C@@H]2CCc3ccccc32)c(C2CCCCC2)n1 ZINC000657642890 1038940571 /nfs/dbraw/zinc/94/05/71/1038940571.db2.gz LOCNPRNCRZCWLD-LJQANCHMSA-N 0 3 309.457 4.245 20 0 DIADHN COc1cc([C@H](C)NCc2sc(C)nc2C)ccc1SC ZINC000119878995 1038953589 /nfs/dbraw/zinc/95/35/89/1038953589.db2.gz WONWRSRUBZJMDI-JTQLQIEISA-N 0 3 322.499 4.341 20 0 DIADHN COCc1csc(CNCc2cc(C)c3ncccc3c2)c1 ZINC000657659596 1038962795 /nfs/dbraw/zinc/96/27/95/1038962795.db2.gz NXUCVUKTOXNPGN-UHFFFAOYSA-N 0 3 312.438 4.041 20 0 DIADHN C[C@@]1(CCNCc2c(F)cccc2Br)CC1(F)F ZINC000657670748 1038981995 /nfs/dbraw/zinc/98/19/95/1038981995.db2.gz OTYGFVFKOFWSSY-GFCCVEGCSA-N 0 3 322.168 4.113 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3nc(C4CC4)nn3c2)ccn1 ZINC001213164656 1038992503 /nfs/dbraw/zinc/99/25/03/1038992503.db2.gz WSBZHCHBVZRECQ-UHFFFAOYSA-N 0 3 307.401 4.043 20 0 DIADHN C[C@H](CN1CCN(c2cc(F)ccc2F)CC1)CC(C)(C)C ZINC001167630917 1038995080 /nfs/dbraw/zinc/99/50/80/1038995080.db2.gz RZADFKCRMKUTDQ-AWEZNQCLSA-N 0 3 310.432 4.159 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc(C(F)(F)F)c1)CC2 ZINC000657691386 1039012024 /nfs/dbraw/zinc/01/20/24/1039012024.db2.gz FIUCEDWUKGPLPE-INIZCTEOSA-N 0 3 307.315 4.188 20 0 DIADHN COc1cc(CN2CC(C3CC3)C2)ccc1OCc1ccccc1 ZINC000643345366 1039014365 /nfs/dbraw/zinc/01/43/65/1039014365.db2.gz SVKVUWBCQOIXTB-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN CCCOc1ccc(CN[C@H]2CCc3ccc(O)cc32)c(C)c1 ZINC000657693897 1039021370 /nfs/dbraw/zinc/02/13/70/1039021370.db2.gz VAERCNKCZMOUAE-FQEVSTJZSA-N 0 3 311.425 4.267 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)C[C@@H]1CCCOC1 ZINC000534350912 1039035327 /nfs/dbraw/zinc/03/53/27/1039035327.db2.gz NNBIGVVITVYPBP-AWEZNQCLSA-N 0 3 308.491 4.049 20 0 DIADHN CN(Cc1cc(Cl)ncc1F)C12CC3CC(CC(C3)C1)C2 ZINC001140607821 1039047652 /nfs/dbraw/zinc/04/76/52/1039047652.db2.gz XFFMJYQINUTVTD-UHFFFAOYSA-N 0 3 308.828 4.275 20 0 DIADHN Clc1ccc(Cl)c(CN2CC[C@@H](C3CCOCC3)C2)c1 ZINC000534381473 1039048719 /nfs/dbraw/zinc/04/87/19/1039048719.db2.gz VPJPXUDLNGBSKF-CYBMUJFWSA-N 0 3 314.256 4.242 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](O)c2ccc(Cl)cc2Cl)o1 ZINC000161471282 1039060216 /nfs/dbraw/zinc/06/02/16/1039060216.db2.gz HFRDCKCTQMJZCV-IINYFYTJSA-N 0 3 314.212 4.279 20 0 DIADHN COc1c(O)cccc1CN(Cc1ccccc1)CC1CCC1 ZINC000491227473 1039060856 /nfs/dbraw/zinc/06/08/56/1039060856.db2.gz DYNXYNWOUMUABI-UHFFFAOYSA-N 0 3 311.425 4.203 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1ccc(C(F)F)nc1 ZINC000631148839 1039065249 /nfs/dbraw/zinc/06/52/49/1039065249.db2.gz VZIVNYINTDKBFF-OAHLLOKOSA-N 0 3 306.331 4.326 20 0 DIADHN CCC(CC)N[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000007388763 1039094121 /nfs/dbraw/zinc/09/41/21/1039094121.db2.gz WTUNRXOLUMUCCQ-GOSISDBHSA-N 0 3 314.404 4.284 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@H](C)c2cccs2)cc1F ZINC000153699815 1039095073 /nfs/dbraw/zinc/09/50/73/1039095073.db2.gz PMJWQWGNNCGRTH-GFCCVEGCSA-N 0 3 315.417 4.232 20 0 DIADHN CN(Cc1cccs1)Cc1ccc(OCc2cccnc2)cc1 ZINC000343015878 1039105090 /nfs/dbraw/zinc/10/50/90/1039105090.db2.gz QXCREQGMFJNALS-UHFFFAOYSA-N 0 3 324.449 4.354 20 0 DIADHN CCc1nn(C)cc1CN[C@H]1CCCc2ccc(C(C)C)cc21 ZINC000091742300 1039115282 /nfs/dbraw/zinc/11/52/82/1039115282.db2.gz VAXWHAWFZOFISZ-FQEVSTJZSA-N 0 3 311.473 4.273 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1cccc(OC(F)F)c1 ZINC000525637372 1039139514 /nfs/dbraw/zinc/13/95/14/1039139514.db2.gz KARKUPDURFOBDU-CYBMUJFWSA-N 0 3 303.352 4.235 20 0 DIADHN CC(=O)NCCCCCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC000929486489 1039145900 /nfs/dbraw/zinc/14/59/00/1039145900.db2.gz WVTGJFCLUZDEDW-AWEZNQCLSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cc(CN2CCc3ccccc3[C@H]2Cc2ccccc2)on1 ZINC000535290655 1039173562 /nfs/dbraw/zinc/17/35/62/1039173562.db2.gz URFWNZRYYCUJMC-OAQYLSRUSA-N 0 3 318.420 4.325 20 0 DIADHN Cc1ccc(NC(=O)c2cscc2C(F)F)c(CN(C)C)c1 ZINC000888984367 1039183885 /nfs/dbraw/zinc/18/38/85/1039183885.db2.gz KZTIEYUQQIONSJ-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN CCOc1cc(CN2CC[C@H]3CCC[C@@H]32)cc(Cl)c1OC ZINC000428463283 1039197567 /nfs/dbraw/zinc/19/75/67/1039197567.db2.gz SMTYOQUVFLIXBJ-HIFRSBDPSA-N 0 3 309.837 4.122 20 0 DIADHN C[C@H]1N(Cc2ccc(-c3ccsc3)cc2)CCOC1(C)C ZINC001167658612 1039198011 /nfs/dbraw/zinc/19/80/11/1039198011.db2.gz JDENJZRLNGFFQC-CQSZACIVSA-N 0 3 301.455 4.414 20 0 DIADHN c1csc(-c2noc(CN3CCCC34CCCCC4)n2)c1 ZINC000535383184 1039201614 /nfs/dbraw/zinc/20/16/14/1039201614.db2.gz PMENPXRLADIPSW-UHFFFAOYSA-N 0 3 303.431 4.097 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(Cl)cc2F)c1 ZINC000535419007 1039204591 /nfs/dbraw/zinc/20/45/91/1039204591.db2.gz IIWNKKOQTDKPQP-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc2ncccc2c1)c1ccccc1 ZINC000657742221 1039207706 /nfs/dbraw/zinc/20/77/06/1039207706.db2.gz NVTGIPOELRLUCL-NHCUHLMSSA-N 0 3 320.436 4.083 20 0 DIADHN CSc1cccc(NC(=O)CN(C)C2CCCCCC2)c1 ZINC000139805310 1039218757 /nfs/dbraw/zinc/21/87/57/1039218757.db2.gz OZCRLRVISNTUMG-UHFFFAOYSA-N 0 3 306.475 4.002 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@@H](C)c3ccnn3C)c2)cc1 ZINC000278158442 1039228338 /nfs/dbraw/zinc/22/83/38/1039228338.db2.gz ATEAGMUPRAXOQO-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)c(C)s1 ZINC000657745731 1039233945 /nfs/dbraw/zinc/23/39/45/1039233945.db2.gz KBNRLEOJCFKQOG-ZWKOTPCHSA-N 0 3 303.471 4.213 20 0 DIADHN CC(C)(CNCc1ccsc1C(F)(F)F)C(F)(F)F ZINC000623515807 1039236209 /nfs/dbraw/zinc/23/62/09/1039236209.db2.gz QZHNSRNHRMSIAT-UHFFFAOYSA-N 0 3 305.287 4.445 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cc2ccccc2[nH]1)c1ccccc1 ZINC000657746205 1039239550 /nfs/dbraw/zinc/23/95/50/1039239550.db2.gz KWRMGWSTWYYHMA-VQTJNVASSA-N 0 3 308.425 4.016 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCc2ccsc2C1 ZINC000535574844 1039248619 /nfs/dbraw/zinc/24/86/19/1039248619.db2.gz CYMBUOYUZKSXKB-LLVKDONJSA-N 0 3 309.381 4.469 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2cccc(NC(C)=O)c2)cc1 ZINC000155459028 1039254341 /nfs/dbraw/zinc/25/43/41/1039254341.db2.gz WEMAOPGLWUYFRR-HXUWFJFHSA-N 0 3 310.441 4.448 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc2cnccc2c1)c1ccccc1 ZINC000657747759 1039255223 /nfs/dbraw/zinc/25/52/23/1039255223.db2.gz RWNMYLCBDSYVMG-SFTDATJTSA-N 0 3 320.436 4.083 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2O)cc1 ZINC000535989633 1039265258 /nfs/dbraw/zinc/26/52/58/1039265258.db2.gz ZLUCAXDOQBAGPL-MJXNMMHHSA-N 0 3 313.466 4.108 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@@H]1C)c1ncc(Br)s1 ZINC000535990776 1039266551 /nfs/dbraw/zinc/26/65/51/1039266551.db2.gz KPVXJTLVEYHNNU-XWLWVQCSSA-N 0 3 317.296 4.381 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2Cl)o1)C(C)(C)C ZINC000539494163 1039269049 /nfs/dbraw/zinc/26/90/49/1039269049.db2.gz YXSFBWHGEHSKHK-ZDUSSCGKSA-N 0 3 307.825 4.304 20 0 DIADHN Clc1cc(CN[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)c(Cl)s1 ZINC000539495542 1039270639 /nfs/dbraw/zinc/27/06/39/1039270639.db2.gz XBGDYENKDDFTNY-WCQGTBRESA-N 0 3 318.269 4.102 20 0 DIADHN Cn1ccnc1CN[C@@H]1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000539497326 1039277086 /nfs/dbraw/zinc/27/70/86/1039277086.db2.gz DCQLKKSNRLEXEF-IUODEOHRSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1ccc(CNCC2=CCCOC2)c(Oc2ccccc2)c1 ZINC000539520434 1039281555 /nfs/dbraw/zinc/28/15/55/1039281555.db2.gz JSCUCBWXJQBWBD-UHFFFAOYSA-N 0 3 309.409 4.224 20 0 DIADHN C[C@@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1cccc(F)c1F ZINC000539556302 1039292004 /nfs/dbraw/zinc/29/20/04/1039292004.db2.gz UYKCEVNVCSFFSO-PXAZEXFGSA-N 0 3 322.374 4.057 20 0 DIADHN COCCOc1cccc(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001213168537 1039293196 /nfs/dbraw/zinc/29/31/96/1039293196.db2.gz LFCZWRRTRKRDCQ-UHFFFAOYSA-N 0 3 300.402 4.148 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2cccnc2c1 ZINC000669833214 1039298144 /nfs/dbraw/zinc/29/81/44/1039298144.db2.gz XWUUDEPDMPZTOL-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN CC(C)(C)c1cc(Nc2ccccc2CN2CCCC2=O)ccn1 ZINC001213168594 1039299689 /nfs/dbraw/zinc/29/96/89/1039299689.db2.gz MZKQDWFBDCHLJX-UHFFFAOYSA-N 0 3 323.440 4.245 20 0 DIADHN COC(=O)c1c(F)ccc(Nc2ccnc(C(C)(C)C)c2)c1F ZINC001213168935 1039313111 /nfs/dbraw/zinc/31/31/11/1039313111.db2.gz XHWYCPWCWCHORZ-UHFFFAOYSA-N 0 3 320.339 4.188 20 0 DIADHN Cc1cccnc1[C@H](NCc1cccc2ncccc12)C(C)C ZINC000634961699 1039313671 /nfs/dbraw/zinc/31/36/71/1039313671.db2.gz BAYQIUXNZRKIDJ-LJQANCHMSA-N 0 3 305.425 4.425 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1cnn(C(C)(C)C)c1 ZINC000462555520 1039328790 /nfs/dbraw/zinc/32/87/90/1039328790.db2.gz SETYRXAQBGQPEL-KXBFYZLASA-N 0 3 311.473 4.441 20 0 DIADHN C[C@@H](CN[C@@H](c1cnn(C)c1)c1cccc(F)c1)c1ccccc1 ZINC000491283108 1039341540 /nfs/dbraw/zinc/34/15/40/1039341540.db2.gz ICERTBATFPCEOX-MGPUTAFESA-N 0 3 323.415 4.042 20 0 DIADHN Cn1cc([C@H](NCCCC(C)(C)C)c2cccc(F)c2)cn1 ZINC000539813187 1039344089 /nfs/dbraw/zinc/34/40/89/1039344089.db2.gz QREUDYLQULVANO-QGZVFWFLSA-N 0 3 303.425 4.065 20 0 DIADHN CO[C@@H](C)CN(Cc1cnc(-c2ccccc2)s1)C(C)C ZINC000539819410 1039347180 /nfs/dbraw/zinc/34/71/80/1039347180.db2.gz FFILHIRNSIHZHB-AWEZNQCLSA-N 0 3 304.459 4.055 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1cnc(N(C)C)s1 ZINC000539830586 1039356089 /nfs/dbraw/zinc/35/60/89/1039356089.db2.gz GTGDBGAXDFUGOZ-MRXNPFEDSA-N 0 3 303.475 4.038 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1cnc(N(C)C)s1 ZINC000539830585 1039357099 /nfs/dbraw/zinc/35/70/99/1039357099.db2.gz GTGDBGAXDFUGOZ-INIZCTEOSA-N 0 3 303.475 4.038 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cnc(-c2cccs2)s1 ZINC000580012704 1039361285 /nfs/dbraw/zinc/36/12/85/1039361285.db2.gz KQILXAJHMMWQJH-NSHDSACASA-N 0 3 312.529 4.445 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3ccccc3Cl)o2)[C@H]1C ZINC000245384299 1039361716 /nfs/dbraw/zinc/36/17/16/1039361716.db2.gz VDKTZRYSUPTIGZ-TYNCELHUSA-N 0 3 319.836 4.304 20 0 DIADHN COc1ccc(C)cc1CN1CCC(OCC2CCCC2)CC1 ZINC000539862793 1039374642 /nfs/dbraw/zinc/37/46/42/1039374642.db2.gz QYNUKKHGMXNULQ-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN Clc1cccc(Cl)c1CNCCC1SCCS1 ZINC000693793360 1039390284 /nfs/dbraw/zinc/39/02/84/1039390284.db2.gz XHQSKFSWNKWPFJ-UHFFFAOYSA-N 0 3 308.299 4.279 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@@H](C)c2ccsc2)s1 ZINC000669850854 1039393692 /nfs/dbraw/zinc/39/36/92/1039393692.db2.gz MNZVNEUUJDHQFX-QWRGUYRKSA-N 0 3 310.488 4.114 20 0 DIADHN COc1ccc(C2(NCc3ccc(F)nc3C)CCCC2)cc1 ZINC000278337964 1039393859 /nfs/dbraw/zinc/39/38/59/1039393859.db2.gz GMJDJQYLEKYHFW-UHFFFAOYSA-N 0 3 314.404 4.097 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@]2(C)CCCOC2)o1 ZINC000540069059 1039412927 /nfs/dbraw/zinc/41/29/27/1039412927.db2.gz NXBAVGMBWJLIAL-GOSISDBHSA-N 0 3 303.377 4.053 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@]2(C)CCCOC2)o1 ZINC000540069060 1039414865 /nfs/dbraw/zinc/41/48/65/1039414865.db2.gz NXBAVGMBWJLIAL-SFHVURJKSA-N 0 3 303.377 4.053 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(F)cn1)Oc1cccc(Cl)c1 ZINC000540154905 1039432439 /nfs/dbraw/zinc/43/24/39/1039432439.db2.gz ZTBZWGHBWOQZHA-WFASDCNBSA-N 0 3 322.811 4.382 20 0 DIADHN COC[C@H](N[C@@H]1CCCc2ccc(C)cc21)c1ccc(F)cc1 ZINC000540187334 1039443147 /nfs/dbraw/zinc/44/31/47/1039443147.db2.gz WIAQHGNEHMMRQS-UXHICEINSA-N 0 3 313.416 4.489 20 0 DIADHN CCCCn1cc(CNCc2csc3ccccc23)c(C)n1 ZINC000540202885 1039450036 /nfs/dbraw/zinc/45/00/36/1039450036.db2.gz IQJXIYDWHXBUAD-UHFFFAOYSA-N 0 3 313.470 4.496 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](CO)c2sccc2C)cc1 ZINC000540222565 1039459016 /nfs/dbraw/zinc/45/90/16/1039459016.db2.gz QXFFFARYLWAHAN-WFASDCNBSA-N 0 3 307.484 4.163 20 0 DIADHN CC(C)N(Cc1ccccc1)C(=O)[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000540401613 1039487293 /nfs/dbraw/zinc/48/72/93/1039487293.db2.gz UQKBMDIMMTXXAO-ZWKOTPCHSA-N 0 3 324.468 4.163 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1csc(COc2ccc(F)cc2)n1 ZINC000540467225 1039499305 /nfs/dbraw/zinc/49/93/05/1039499305.db2.gz FEMGQHGICNLUGZ-QWHCGFSZSA-N 0 3 322.449 4.385 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@H](CN2CCCC2)c2ccccc2)o1 ZINC000540499160 1039504673 /nfs/dbraw/zinc/50/46/73/1039504673.db2.gz CRXWCVFCNVIBGN-LSTHTHJFSA-N 0 3 324.468 4.330 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCCC2(CC2)CC1 ZINC000626113872 1039511305 /nfs/dbraw/zinc/51/13/05/1039511305.db2.gz JYELNAMBMKIKEN-UHFFFAOYSA-N 0 3 302.443 4.478 20 0 DIADHN Fc1ccc(CN[C@@H](c2cccnc2)C2CC2)cc1C(F)(F)F ZINC000536165262 1039513539 /nfs/dbraw/zinc/51/35/39/1039513539.db2.gz JEBMMRQURYZOED-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN Cc1ccccc1[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)C1CC1 ZINC000540591696 1039520593 /nfs/dbraw/zinc/52/05/93/1039520593.db2.gz KKTHLBHVPDIAQE-KXBFYZLASA-N 0 3 308.425 4.063 20 0 DIADHN CCOc1ccc(-c2noc(CN[C@H](CC)C(C)(C)C)n2)cc1 ZINC000540590094 1039521580 /nfs/dbraw/zinc/52/15/80/1039521580.db2.gz AVKQSYBRBGDUGV-OAHLLOKOSA-N 0 3 317.433 4.050 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@H](C(C)(C)C)CC2)cs1 ZINC000564418426 1039522616 /nfs/dbraw/zinc/52/26/16/1039522616.db2.gz DPDUSVSMOCDLFX-UONOGXRCSA-N 0 3 310.507 4.374 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2ccccc2)c2ccncc2)C[C@@H]1OC ZINC000540597893 1039524236 /nfs/dbraw/zinc/52/42/36/1039524236.db2.gz WAVXXSNDNOSONN-HSALFYBXSA-N 0 3 324.468 4.354 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NC1(c3ccccc3C)CC1)CC2 ZINC000647316578 1039527968 /nfs/dbraw/zinc/52/79/68/1039527968.db2.gz SWVPQLCZTHDHCP-GOSISDBHSA-N 0 3 323.436 4.278 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cnn(C(C)C)c1C)c1ccccc1 ZINC000278533573 1039529362 /nfs/dbraw/zinc/52/93/62/1039529362.db2.gz UKPPXBKDZFTALQ-BEFAXECRSA-N 0 3 315.461 4.201 20 0 DIADHN Cc1cc(CN[C@@H]2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)no1 ZINC000583973461 1039531755 /nfs/dbraw/zinc/53/17/55/1039531755.db2.gz NORRBIUNYMQMDE-DZGCQCFKSA-N 0 3 324.346 4.428 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000583985180 1039534147 /nfs/dbraw/zinc/53/41/47/1039534147.db2.gz WCHONYNAZBXLRM-MELADBBJSA-N 0 3 303.299 4.053 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cccc(F)c1F)c1ccco1 ZINC000540645724 1039537436 /nfs/dbraw/zinc/53/74/36/1039537436.db2.gz GOCHVUNXZUJGEC-CZUORRHYSA-N 0 3 322.399 4.292 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)[C@H](C)O)ccc1C(F)(F)F ZINC000657814270 1039540193 /nfs/dbraw/zinc/54/01/93/1039540193.db2.gz BLYOSMYGDGIKSL-GUYCJALGSA-N 0 3 323.358 4.226 20 0 DIADHN CCSc1cccc(CN[C@@H](c2ccccc2)[C@@H](C)O)c1 ZINC000657815389 1039545563 /nfs/dbraw/zinc/54/55/63/1039545563.db2.gz GUAIJLNMJUJJBK-RDTXWAMCSA-N 0 3 301.455 4.010 20 0 DIADHN CCCCOc1ccc(CN[C@@H](c2ccccc2)[C@H](C)O)cc1 ZINC000657816810 1039550280 /nfs/dbraw/zinc/55/02/80/1039550280.db2.gz MADOBKSBMBJECP-OXJNMPFZSA-N 0 3 313.441 4.077 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2NC1(C2CC2)CC1 ZINC000540753455 1039556765 /nfs/dbraw/zinc/55/67/65/1039556765.db2.gz PHNPFSDUDIFGMT-ZDUSSCGKSA-N 0 3 310.210 4.108 20 0 DIADHN Cn1ccc(CN[C@H]2CCCSc3ccc(Cl)cc32)c1 ZINC000158542471 1039557109 /nfs/dbraw/zinc/55/71/09/1039557109.db2.gz BSRYKLUAMRWIGT-HNNXBMFYSA-N 0 3 306.862 4.395 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCOc3ccc(C)cc32)c1 ZINC000158536157 1039557861 /nfs/dbraw/zinc/55/78/61/1039557861.db2.gz IYPLFLOLEKGXEE-LJQANCHMSA-N 0 3 311.425 4.145 20 0 DIADHN COc1ccc([C@H]2CCCN2CCC(=O)c2ccccc2)cc1 ZINC000540822370 1039572287 /nfs/dbraw/zinc/57/22/87/1039572287.db2.gz DTQIKQMOEGYNGB-LJQANCHMSA-N 0 3 309.409 4.105 20 0 DIADHN Clc1ccc([C@H](N[C@H]2CCCn3nccc32)C2CC2)cc1 ZINC000657823717 1039581533 /nfs/dbraw/zinc/58/15/33/1039581533.db2.gz AJJRFYKTBAUWKV-DOTOQJQBSA-N 0 3 301.821 4.112 20 0 DIADHN c1cc2n(n1)CCC[C@H]2N[C@H](Cc1ccccc1)c1ccccc1 ZINC000657823776 1039589034 /nfs/dbraw/zinc/58/90/34/1039589034.db2.gz BJTRNDHOSWFJCM-WOJBJXKFSA-N 0 3 317.436 4.292 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1cc2cnccc2o1 ZINC000657826126 1039595445 /nfs/dbraw/zinc/59/54/45/1039595445.db2.gz DQRLHMNAMJVLSP-CQSZACIVSA-N 0 3 310.397 4.215 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(C(F)F)nc1 ZINC000657826036 1039596415 /nfs/dbraw/zinc/59/64/15/1039596415.db2.gz CWSOYFPQCAGPND-CYBMUJFWSA-N 0 3 320.383 4.407 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccc(OC(C)(C)C)cc1 ZINC000647322060 1039599275 /nfs/dbraw/zinc/59/92/75/1039599275.db2.gz DLEJSUJRBMTXBU-OAHLLOKOSA-N 0 3 313.441 4.207 20 0 DIADHN OCCC1CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000540952914 1039599528 /nfs/dbraw/zinc/59/95/28/1039599528.db2.gz KRUKXXXIKFMLME-UHFFFAOYSA-N 0 3 313.416 4.087 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(OC)c(F)c2)cc1 ZINC000151621405 1039609145 /nfs/dbraw/zinc/60/91/45/1039609145.db2.gz ULGOKNAMLWOTKZ-CQSZACIVSA-N 0 3 317.404 4.222 20 0 DIADHN COc1ccc([C@H](C)NCc2cscc2C)c(C)c1OC ZINC000584119597 1039609500 /nfs/dbraw/zinc/60/95/00/1039609500.db2.gz VTACRJKYFLHUAU-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3ncc(-c4cccc(F)c4)o3)C2)C1 ZINC000541024733 1039610055 /nfs/dbraw/zinc/61/00/55/1039610055.db2.gz SCFIMKWPPLGWKI-AUUYWEPGSA-N 0 3 314.404 4.493 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@]3(CC[C@H](C)C3)C2)c1C ZINC000541024300 1039610468 /nfs/dbraw/zinc/61/04/68/1039610468.db2.gz MGOYVHBVUSUVLH-VHFSOBRXSA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H]1CCC[C@H](CNCc2ncc(Br)s2)C1 ZINC000714937207 1039613465 /nfs/dbraw/zinc/61/34/65/1039613465.db2.gz QICHDRRMNCZGLA-MNOVXSKESA-N 0 3 317.296 4.212 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(Cl)cccc1Cl)c1ccsc1 ZINC000090079286 1039615943 /nfs/dbraw/zinc/61/59/43/1039615943.db2.gz QBYPISSIOSDGLM-RNCFNFMXSA-N 0 3 316.253 4.439 20 0 DIADHN C[C@@]1(c2ccc(F)cc2)CCN(Cc2cnc(C3CC3)s2)C1 ZINC000584148088 1039620638 /nfs/dbraw/zinc/62/06/38/1039620638.db2.gz TYBDBPBJMLUHLW-GOSISDBHSA-N 0 3 316.445 4.323 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000541229268 1039638256 /nfs/dbraw/zinc/63/82/56/1039638256.db2.gz QKZFSYJYHGFQCG-FHSNZYRGSA-N 0 3 321.823 4.318 20 0 DIADHN CSc1ccccc1NC(=O)[C@@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC000541391058 1039676082 /nfs/dbraw/zinc/67/60/82/1039676082.db2.gz SMVVMOHDHVSAGP-KGLIPLIRSA-N 0 3 320.502 4.104 20 0 DIADHN Cc1cc(CNC2(c3ccc(F)cc3)CC2)cc2cccnc12 ZINC000541375543 1039676300 /nfs/dbraw/zinc/67/63/00/1039676300.db2.gz VDIMWZYUCIRLMQ-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN CSc1ccccc1NC(=O)[C@H](C)N1CC[C@H](C(C)(C)C)C1 ZINC000541391057 1039677496 /nfs/dbraw/zinc/67/74/96/1039677496.db2.gz SMVVMOHDHVSAGP-KBPBESRZSA-N 0 3 320.502 4.104 20 0 DIADHN O[C@@H](CCc1ccccc1)CNCc1ccc(-c2ccccc2)o1 ZINC000541384173 1039677859 /nfs/dbraw/zinc/67/78/59/1039677859.db2.gz REBZCVDDHZOPKU-IBGZPJMESA-N 0 3 321.420 4.030 20 0 DIADHN Cc1ccc([C@H]2CCN([C@@H](C)C(=O)Nc3ccccc3C)C2)cc1 ZINC000541393345 1039678894 /nfs/dbraw/zinc/67/88/94/1039678894.db2.gz OMWSQUCYJGLSRV-HKUYNNGSSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@]2(C)CCO[C@H]2C)o1 ZINC000541385468 1039678930 /nfs/dbraw/zinc/67/89/30/1039678930.db2.gz DRBVIROXSZVGNR-UGSOOPFHSA-N 0 3 303.377 4.051 20 0 DIADHN Cc1cc([C@H](NCCc2ccccc2)c2cccnc2)ccc1F ZINC000541547307 1039698248 /nfs/dbraw/zinc/69/82/48/1039698248.db2.gz UABYOQMOIPWUEH-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1ccccc1CCN[C@@H](c1ccccc1)c1ccc(F)cn1 ZINC000541564790 1039699018 /nfs/dbraw/zinc/69/90/18/1039699018.db2.gz BQUYJXNRSDDFPW-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1ccc(F)cc1F ZINC000541566361 1039700501 /nfs/dbraw/zinc/70/05/01/1039700501.db2.gz LTTITEUXHGCEMN-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000541627726 1039719677 /nfs/dbraw/zinc/71/96/77/1039719677.db2.gz XLIDGAMEQCYSTH-ZFWWWQNUSA-N 0 3 310.507 4.118 20 0 DIADHN CCCCN(C(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000081847653 1039730360 /nfs/dbraw/zinc/73/03/60/1039730360.db2.gz DUVPWNIVFCKITL-UHFFFAOYSA-N 0 3 310.441 4.195 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)CCCC2)c1c(C)nn(C)c1C ZINC000491324979 1039735887 /nfs/dbraw/zinc/73/58/87/1039735887.db2.gz YDJKTOAQXKKCKT-IBGZPJMESA-N 0 3 311.473 4.157 20 0 DIADHN c1sc(-c2ccccc2)nc1CN[C@H]1CCCSCC1 ZINC000657855110 1039744722 /nfs/dbraw/zinc/74/47/22/1039744722.db2.gz DDKCUOFBIJIUSR-AWEZNQCLSA-N 0 3 304.484 4.185 20 0 DIADHN CC(C)[C@H]1CN(Cc2cc(Cl)cc3cccnc32)CCS1 ZINC000464774530 1039755424 /nfs/dbraw/zinc/75/54/24/1039755424.db2.gz PFAOCIGZBREGEH-MRXNPFEDSA-N 0 3 320.889 4.462 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2cc[nH]c2)cc1OC ZINC000086251117 1039758907 /nfs/dbraw/zinc/75/89/07/1039758907.db2.gz XVNWISKHWLIUDV-OAHLLOKOSA-N 0 3 316.445 4.443 20 0 DIADHN CCC[C@H](NCCc1ccc(C)cn1)c1ncccc1Cl ZINC000926563255 1039763841 /nfs/dbraw/zinc/76/38/41/1039763841.db2.gz DDEDPTAVYXESJR-INIZCTEOSA-N 0 3 303.837 4.112 20 0 DIADHN CC(C)(C)[C@H](N[C@@H]1CCCn2nccc21)c1ccc(F)cc1 ZINC000657859789 1039767177 /nfs/dbraw/zinc/76/71/77/1039767177.db2.gz XRKWFWPHDAUJQL-NVXWUHKLSA-N 0 3 301.409 4.234 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(-n3ccnc3)cc2)cc1F ZINC000088511424 1039769017 /nfs/dbraw/zinc/76/90/17/1039769017.db2.gz FMEIVMHPFIKXHS-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C[C@@H](C)C(F)(F)F)[C@H]2C ZINC000541951537 1039769284 /nfs/dbraw/zinc/76/92/84/1039769284.db2.gz HHZUMONIGAKJRW-WOPDTQHZSA-N 0 3 301.352 4.201 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2ccc([S@@](C)=O)cc2)cc1C ZINC000090221033 1039774856 /nfs/dbraw/zinc/77/48/56/1039774856.db2.gz ZUUMJIMZYACFMW-AOMKIAJQSA-N 0 3 315.482 4.200 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1c(C)ccc2ccccc21 ZINC000541980038 1039776816 /nfs/dbraw/zinc/77/68/16/1039776816.db2.gz ASDBOSRESOAOQH-OAQYLSRUSA-N 0 3 305.421 4.280 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)c1 ZINC000089613944 1039777004 /nfs/dbraw/zinc/77/70/04/1039777004.db2.gz LSDIUJQTYIPYIJ-YRVVQQKDSA-N 0 3 311.425 4.288 20 0 DIADHN c1cc(CNCc2ccc(-c3ccccc3)s2)n(CC2CC2)n1 ZINC000623590951 1039780498 /nfs/dbraw/zinc/78/04/98/1039780498.db2.gz PQQBDJSYMCOBNL-UHFFFAOYSA-N 0 3 323.465 4.311 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552720 1039783953 /nfs/dbraw/zinc/78/39/53/1039783953.db2.gz OZKMNXVKBPXFHR-GJZGRUSLSA-N 0 3 316.445 4.499 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccccc3n2C(F)F)[C@H]2CCCC[C@H]12 ZINC000542041859 1039784902 /nfs/dbraw/zinc/78/49/02/1039784902.db2.gz UOTZBHCAUYPODM-NFAWXSAZSA-N 0 3 319.399 4.442 20 0 DIADHN CCCC[C@H](COC)N[C@@H](C)c1nc(-c2ccncc2)cs1 ZINC000090045854 1039789233 /nfs/dbraw/zinc/78/92/33/1039789233.db2.gz DHRUGAZYLOTXFG-DZGCQCFKSA-N 0 3 319.474 4.061 20 0 DIADHN CN(CCCc1ccsc1)Cc1ccc(Br)o1 ZINC000542090650 1039790261 /nfs/dbraw/zinc/79/02/61/1039790261.db2.gz LZOGXQIPJSHGRI-UHFFFAOYSA-N 0 3 314.248 4.168 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCn3nccc32)C2CCCC2)cc1 ZINC000657859879 1039803627 /nfs/dbraw/zinc/80/36/27/1039803627.db2.gz VGGDARPQMLIOLN-IEBWSBKVSA-N 0 3 313.420 4.378 20 0 DIADHN OCCCC1CCN(Cc2cnc(C3CCCCC3)s2)CC1 ZINC000542172200 1039811586 /nfs/dbraw/zinc/81/15/86/1039811586.db2.gz SDBUHPVDNORNIB-UHFFFAOYSA-N 0 3 322.518 4.175 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCOCC4(CC4)C3)s2)cc1 ZINC000542173850 1039811873 /nfs/dbraw/zinc/81/18/73/1039811873.db2.gz LIBGBMGWBSLQFJ-UHFFFAOYSA-N 0 3 317.429 4.167 20 0 DIADHN c1ccc([C@H]2CN(C[C@H]3CCC4(CCCC4)O3)CCS2)cc1 ZINC000669930131 1039822277 /nfs/dbraw/zinc/82/22/77/1039822277.db2.gz WLTIIECLYWGEAE-QZTJIDSGSA-N 0 3 317.498 4.268 20 0 DIADHN CCCCN(Cc1ccc(OC)nn1)[C@@H](CC)c1ccccc1 ZINC000669929991 1039823306 /nfs/dbraw/zinc/82/33/06/1039823306.db2.gz WYINYOQBTCAVNC-SFHVURJKSA-N 0 3 313.445 4.239 20 0 DIADHN c1cc2n(n1)CCC[C@@H]2NCc1ccc(-c2ccccc2)cc1 ZINC000657862528 1039832304 /nfs/dbraw/zinc/83/23/04/1039832304.db2.gz OODBLFXGIPONIT-IBGZPJMESA-N 0 3 303.409 4.175 20 0 DIADHN Cc1csc(CN[C@H](CSc2ccccc2)C(C)C)n1 ZINC000491357225 1039837312 /nfs/dbraw/zinc/83/73/12/1039837312.db2.gz XFQBNBZUKCZRGH-OAHLLOKOSA-N 0 3 306.500 4.358 20 0 DIADHN CC(C)COC[C@@H](N[C@@H](C)C1CC(F)(F)C1)c1ccco1 ZINC000628210714 1039839300 /nfs/dbraw/zinc/83/93/00/1039839300.db2.gz HDLCALCDOQRWCU-GXTWGEPZSA-N 0 3 301.377 4.017 20 0 DIADHN CCn1nc(C)c(CN[C@@H](c2ccc(OC)cc2)C(C)C)c1C ZINC000091318444 1039843376 /nfs/dbraw/zinc/84/33/76/1039843376.db2.gz SIXWYLWQZAGEAQ-LJQANCHMSA-N 0 3 315.461 4.015 20 0 DIADHN COc1cc(CN[C@@H](c2cccnc2)C(C)C)c2ccccc2n1 ZINC000091348803 1039846448 /nfs/dbraw/zinc/84/64/48/1039846448.db2.gz BAGNIDAXIHIBLD-HXUWFJFHSA-N 0 3 321.424 4.125 20 0 DIADHN Cc1csc([C@H](C)NCCc2cccc(C(F)(F)F)c2)n1 ZINC000628211248 1039854239 /nfs/dbraw/zinc/85/42/39/1039854239.db2.gz MFJHEOLVRLWXHW-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1ccc(SC(F)F)cc1 ZINC000151808508 1039876452 /nfs/dbraw/zinc/87/64/52/1039876452.db2.gz OCTJXJPOONBYRQ-GFCCVEGCSA-N 0 3 323.408 4.344 20 0 DIADHN Cc1ccc(CSCCN[C@@H](C)c2ccc(F)cn2)cc1 ZINC000092720504 1039878322 /nfs/dbraw/zinc/87/83/22/1039878322.db2.gz BJCMSEAVSUQUNJ-AWEZNQCLSA-N 0 3 304.434 4.113 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)[C@H](OC)c1ccccc1 ZINC000491931297 1039894717 /nfs/dbraw/zinc/89/47/17/1039894717.db2.gz JUPVDMDKPYPORZ-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(F)cc32)cc1OC ZINC000093116303 1039894620 /nfs/dbraw/zinc/89/46/20/1039894620.db2.gz UEEPSWZXSOBDLY-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2C[C@H]2c2ccccc2C)c(CN(C)C)c1 ZINC000077002314 1039898671 /nfs/dbraw/zinc/89/86/71/1039898671.db2.gz HMWVMPHPGWMARO-RBUKOAKNSA-N 0 3 322.452 4.107 20 0 DIADHN COCc1ccc(CN2CC[C@H](CSc3ccccc3)C2)o1 ZINC000093756944 1039900101 /nfs/dbraw/zinc/90/01/01/1039900101.db2.gz DQGQSNMNCNDTEF-HNNXBMFYSA-N 0 3 317.454 4.040 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000093889646 1039907733 /nfs/dbraw/zinc/90/77/33/1039907733.db2.gz BBCRWHZIVGSDGT-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)cc1C ZINC000093889645 1039909284 /nfs/dbraw/zinc/90/92/84/1039909284.db2.gz BBCRWHZIVGSDGT-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H]1CCOc2ccc(F)cc21 ZINC000492090187 1039918303 /nfs/dbraw/zinc/91/83/03/1039918303.db2.gz YSLLISUSYWULPK-FMEYXAORSA-N 0 3 315.388 4.015 20 0 DIADHN CC(C)c1ncc(CN[C@H](C)[C@H]2OCCc3sccc32)s1 ZINC000492155522 1039926892 /nfs/dbraw/zinc/92/68/92/1039926892.db2.gz WHRBQXACNBSNEI-IAQYHMDHSA-N 0 3 322.499 4.120 20 0 DIADHN C[C@H](NCc1ccccc1Cl)[C@H]1OCCc2sccc21 ZINC000492193294 1039930550 /nfs/dbraw/zinc/93/05/50/1039930550.db2.gz NYSYDLFTBXTXGY-MEDUHNTESA-N 0 3 307.846 4.194 20 0 DIADHN CCC(CC)(CNCc1ccc(-c2ccncc2)cc1F)OC ZINC000492258730 1039939480 /nfs/dbraw/zinc/93/94/80/1039939480.db2.gz NNWBYOQPNVLSJX-UHFFFAOYSA-N 0 3 316.420 4.183 20 0 DIADHN CC(C)(C)[C@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492250856 1039942058 /nfs/dbraw/zinc/94/20/58/1039942058.db2.gz HCWHKCBNWCREJY-QGZVFWFLSA-N 0 3 319.395 4.051 20 0 DIADHN CCSc1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC000492296134 1039948906 /nfs/dbraw/zinc/94/89/06/1039948906.db2.gz QNRKXSHUXKGFEI-UHFFFAOYSA-N 0 3 311.450 4.364 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NC/C=C/c1ccncc1 ZINC000492357081 1039955376 /nfs/dbraw/zinc/95/53/76/1039955376.db2.gz MEWMJNNUTNHDTM-HSWBROFVSA-N 0 3 304.846 4.477 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2cc3ccncc3s2)cn1 ZINC000657877673 1039985080 /nfs/dbraw/zinc/98/50/80/1039985080.db2.gz IBECTEQJDCYXQV-OLZOCXBDSA-N 0 3 314.458 4.486 20 0 DIADHN COCC[C@@H](C)CN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926578398 1039991219 /nfs/dbraw/zinc/99/12/19/1039991219.db2.gz NPYBHICDZBGXAD-RDTXWAMCSA-N 0 3 318.848 4.087 20 0 DIADHN C[C@H](N[C@@H](CCCO)c1ccccc1)c1ccc(C(F)F)nc1 ZINC000657886098 1040000123 /nfs/dbraw/zinc/00/01/23/1040000123.db2.gz HNRNCWMZLBGQIG-BBRMVZONSA-N 0 3 320.383 4.184 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1CCc2cc(F)ccc2C1 ZINC001168445959 1040012745 /nfs/dbraw/zinc/01/27/45/1040012745.db2.gz NPCMGHCIKOQWOB-INIZCTEOSA-N 0 3 305.343 4.093 20 0 DIADHN CC(C)N(CC(=O)N1CC[C@@H](C)Sc2ccccc21)C(C)C ZINC000492878096 1040034048 /nfs/dbraw/zinc/03/40/48/1040034048.db2.gz QGQZFBINCLLMJK-OAHLLOKOSA-N 0 3 320.502 4.023 20 0 DIADHN CCC[C@H]([NH2+]Cc1ncccc1[O-])c1cccc(C(F)(F)F)c1 ZINC000685422059 1040040111 /nfs/dbraw/zinc/04/01/11/1040040111.db2.gz JQXFOLCFOOWORI-AWEZNQCLSA-N 0 3 324.346 4.437 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCCC3(C)C)co2)cc1 ZINC000492935617 1040041589 /nfs/dbraw/zinc/04/15/89/1040041589.db2.gz DRJYUMVALURVMS-KRWDZBQOSA-N 0 3 314.429 4.409 20 0 DIADHN Clc1c2ccccc2sc1CN1CC[C@@]2(CCOC2)C1 ZINC000153416969 1040044110 /nfs/dbraw/zinc/04/41/10/1040044110.db2.gz OCNTWZRPHBJSTQ-MRXNPFEDSA-N 0 3 307.846 4.167 20 0 DIADHN CCc1ccc(CN2C[C@@H](C)C[C@H]2c2cccc(OC)c2)cn1 ZINC000685427311 1040044377 /nfs/dbraw/zinc/04/43/77/1040044377.db2.gz SKFHMBHBKCTYTM-YWZLYKJASA-N 0 3 310.441 4.236 20 0 DIADHN COc1ccc(OC(F)F)c(CN2CCC3(CCC3)CC2)c1 ZINC000685444122 1040048531 /nfs/dbraw/zinc/04/85/31/1040048531.db2.gz CODOUPLHEUCERP-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN Cn1cc(CN2CCC3(CCC3)CC2)c(C2CCCCC2)n1 ZINC000685444001 1040050668 /nfs/dbraw/zinc/05/06/68/1040050668.db2.gz NKMXSDAQUJPJBM-UHFFFAOYSA-N 0 3 301.478 4.234 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@H](C[C@@H]3CCOC3)C2)c(F)c1 ZINC000685463994 1040063295 /nfs/dbraw/zinc/06/32/95/1040063295.db2.gz KRRTWKHWNCLHBD-KIAQAMFHSA-N 0 3 321.411 4.117 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@H](C[C@H]3CCOC3)C2)c(F)c1 ZINC000685463992 1040063606 /nfs/dbraw/zinc/06/36/06/1040063606.db2.gz KRRTWKHWNCLHBD-DICUUSGZSA-N 0 3 321.411 4.117 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)C/C=C\c1ccc(F)c(F)c1 ZINC000685470093 1040069119 /nfs/dbraw/zinc/06/91/19/1040069119.db2.gz TZYDDPJKKNURIM-XDXAGZTOSA-N 0 3 302.368 4.456 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccccc1C)c1ccc(F)cn1 ZINC000493059113 1040074119 /nfs/dbraw/zinc/07/41/19/1040074119.db2.gz OJXFFEJRVPAAAK-GDBMZVCRSA-N 0 3 302.393 4.037 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(OC2CCC(C)CC2)CC1 ZINC000493084640 1040081864 /nfs/dbraw/zinc/08/18/64/1040081864.db2.gz ZBQGMUYNDQUJNB-CGZBRXJRSA-N 0 3 302.462 4.202 20 0 DIADHN CC(C)C[C@H]1CCCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000176692659 1040085291 /nfs/dbraw/zinc/08/52/91/1040085291.db2.gz GZTPZXLVIYWTFB-GOSISDBHSA-N 0 3 317.477 4.181 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1CCc2cc(F)ccc2C1 ZINC001168447593 1040088448 /nfs/dbraw/zinc/08/84/48/1040088448.db2.gz YCLFMNJPHDQTSZ-KRWDZBQOSA-N 0 3 305.343 4.093 20 0 DIADHN CC[C@@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1ccccc1F ZINC000493105831 1040095411 /nfs/dbraw/zinc/09/54/11/1040095411.db2.gz RXJYQCNFHXJFOT-HOCLYGCPSA-N 0 3 320.383 4.258 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(-c2cccnc2)cc1 ZINC000657899894 1040097490 /nfs/dbraw/zinc/09/74/90/1040097490.db2.gz YFKBMKFEEVBPQS-DNVCBOLYSA-N 0 3 318.424 4.131 20 0 DIADHN C[C@]1(CNC(c2ccc(F)cc2)c2ccc(F)cc2)CCCO1 ZINC000493133571 1040103803 /nfs/dbraw/zinc/10/38/03/1040103803.db2.gz WBVRYTHCIHOHHO-LJQANCHMSA-N 0 3 317.379 4.213 20 0 DIADHN CC(C)COc1ccc([C@@H](C)N[C@H]2CCCn3nccc32)cc1 ZINC000657905582 1040107534 /nfs/dbraw/zinc/10/75/34/1040107534.db2.gz SEIAAVODZMKDGA-QAPCUYQASA-N 0 3 313.445 4.104 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cccc(F)c1Cl ZINC000177340079 1040118240 /nfs/dbraw/zinc/11/82/40/1040118240.db2.gz BVPQDXGHWQXRNN-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN c1ccc(OC[C@H](N[C@@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657912270 1040126683 /nfs/dbraw/zinc/12/66/83/1040126683.db2.gz AUCFXIWQJWRDOO-ICSRJNTNSA-N 0 3 323.436 4.108 20 0 DIADHN c1ccc(OC[C@@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)cc1 ZINC000657912272 1040127058 /nfs/dbraw/zinc/12/70/58/1040127058.db2.gz AUCFXIWQJWRDOO-UYAOXDASSA-N 0 3 323.436 4.108 20 0 DIADHN CC(C)C[C@@H]1CCCN(C(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000177698288 1040136688 /nfs/dbraw/zinc/13/66/88/1040136688.db2.gz OKSJEARTSVKSNF-INIZCTEOSA-N 0 3 317.477 4.038 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3cccnc3C)ccc2c1 ZINC000685570899 1040138712 /nfs/dbraw/zinc/13/87/12/1040138712.db2.gz GTOMWNXZPHSSON-AWEZNQCLSA-N 0 3 306.409 4.403 20 0 DIADHN CC[C@H](C)CN(CC)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000177859143 1040144041 /nfs/dbraw/zinc/14/40/41/1040144041.db2.gz IVUHODINVPATIJ-LBPRGKRZSA-N 0 3 307.825 4.258 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2c(c1)CCC2)c1cccs1 ZINC000685585490 1040147298 /nfs/dbraw/zinc/14/72/98/1040147298.db2.gz SADJSNRRXUYUBT-GOSISDBHSA-N 0 3 315.482 4.086 20 0 DIADHN CCc1ccc(CN[C@@H](c2cccs2)C(C)(C)CO)cc1 ZINC000685585436 1040147883 /nfs/dbraw/zinc/14/78/83/1040147883.db2.gz WBMFHPQBNOEMBZ-KRWDZBQOSA-N 0 3 303.471 4.160 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@H](C)c1ccccn1 ZINC000685612206 1040154821 /nfs/dbraw/zinc/15/48/21/1040154821.db2.gz PUDATPNBUZJOQN-YBEMTRGBSA-N 0 3 318.367 4.437 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000685612160 1040155939 /nfs/dbraw/zinc/15/59/39/1040155939.db2.gz OOXMTSZCAHSDGG-LLVKDONJSA-N 0 3 321.467 4.092 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc(O)cc(C(F)(F)F)c1)CC2 ZINC000685615490 1040158384 /nfs/dbraw/zinc/15/83/84/1040158384.db2.gz UGMRIQZEWBIAMR-KRWDZBQOSA-N 0 3 321.342 4.497 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cc(O)cc(C(F)(F)F)c1)CC2 ZINC000685615491 1040160079 /nfs/dbraw/zinc/16/00/79/1040160079.db2.gz UGMRIQZEWBIAMR-QGZVFWFLSA-N 0 3 321.342 4.497 20 0 DIADHN Cc1cn[nH]c1CNCc1ccc(C)cc1O[C@@H](C)CC(C)C ZINC000685618500 1040161260 /nfs/dbraw/zinc/16/12/60/1040161260.db2.gz DHFFKSQMWDADGA-INIZCTEOSA-N 0 3 315.461 4.130 20 0 DIADHN Cc1cc([C@H](NCCCO)c2cccc(Cl)c2)c(C)s1 ZINC000685643681 1040179037 /nfs/dbraw/zinc/17/90/37/1040179037.db2.gz XZMHTVXWAVJZMS-MRXNPFEDSA-N 0 3 309.862 4.080 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@@H]1C)c1nccc2ccccc21 ZINC000685645013 1040180411 /nfs/dbraw/zinc/18/04/11/1040180411.db2.gz PAJXXPVXRMQRCB-BXWFABGCSA-N 0 3 312.457 4.481 20 0 DIADHN Cc1cc([C@@H](N[C@@H]2CCOC2)c2cccc(Cl)c2)c(C)s1 ZINC000685650927 1040186292 /nfs/dbraw/zinc/18/62/92/1040186292.db2.gz WPAPOFPFPIHWMF-WBVHZDCISA-N 0 3 321.873 4.486 20 0 DIADHN CCOC1CCC(N[C@@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000657934430 1040193107 /nfs/dbraw/zinc/19/31/07/1040193107.db2.gz ANUCRDODOBJGNM-GRERDSQWSA-N 0 3 324.468 4.417 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936843 1040195805 /nfs/dbraw/zinc/19/58/05/1040195805.db2.gz RSISWAQDNVZOQS-AEFFLSMTSA-N 0 3 316.445 4.185 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCCSCC2)c2ccccn2)c1 ZINC000657936983 1040196274 /nfs/dbraw/zinc/19/62/74/1040196274.db2.gz SKRIKHSGJMXDEW-MJGOQNOKSA-N 0 3 312.482 4.355 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)cc1 ZINC000685661139 1040200372 /nfs/dbraw/zinc/20/03/72/1040200372.db2.gz QAOWXRIOZZCIOB-QGZVFWFLSA-N 0 3 323.484 4.403 20 0 DIADHN Cc1nnc(CN[C@H]2CCCC[C@@H]2c2ccccc2Cl)s1 ZINC000657972973 1040234373 /nfs/dbraw/zinc/23/43/73/1040234373.db2.gz UITHYCJKTRFZAP-HIFRSBDPSA-N 0 3 321.877 4.316 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(C(F)F)nc1)c1ccccc1 ZINC000657995005 1040255758 /nfs/dbraw/zinc/25/57/58/1040255758.db2.gz XYTOXVCPUJHBLN-SUMWQHHRSA-N 0 3 320.383 4.448 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2CCCSCC2)c2ccccn2)cc1 ZINC000657998489 1040257410 /nfs/dbraw/zinc/25/74/10/1040257410.db2.gz LBYGJSRDZSPTEO-IEBWSBKVSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@H](NCc1c(F)cccc1N1CCCC1)C(C)(C)C(F)F ZINC000658010006 1040257907 /nfs/dbraw/zinc/25/79/07/1040257907.db2.gz QHUKQLSBCWJRLQ-LBPRGKRZSA-N 0 3 314.395 4.195 20 0 DIADHN C[C@@H](N[C@H](C)C(C)(C)C(F)F)c1nccn1-c1ccccc1 ZINC000658016617 1040260050 /nfs/dbraw/zinc/26/00/50/1040260050.db2.gz XPZUHXBWQMFUCN-CHWSQXEVSA-N 0 3 307.388 4.203 20 0 DIADHN Fc1ccc([C@@H](N[C@H]2CCCn3nccc32)C2CC2)cc1Cl ZINC000658027231 1040266754 /nfs/dbraw/zinc/26/67/54/1040266754.db2.gz LBEUIZILQVURMJ-RDJZCZTQSA-N 0 3 319.811 4.251 20 0 DIADHN C[C@@H](NCc1cc(Cl)cc(Cl)c1)c1cccc(CO)c1 ZINC000658055485 1040290746 /nfs/dbraw/zinc/29/07/46/1040290746.db2.gz OWUBDEJFFGYSRC-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN Cc1ccc([C@H](NCc2cnc(C(F)(F)F)nc2)C2CC2)cc1 ZINC000658080372 1040294436 /nfs/dbraw/zinc/29/44/36/1040294436.db2.gz YVUPUNHFAPFGDI-HNNXBMFYSA-N 0 3 321.346 4.045 20 0 DIADHN c1ccc2c(c1)CCCC[C@@H]2NCc1cccnc1N1CCCC1 ZINC000658101098 1040311284 /nfs/dbraw/zinc/31/12/84/1040311284.db2.gz MJVIJGDJOORBBI-FQEVSTJZSA-N 0 3 321.468 4.239 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cccnc1N1CCCC1 ZINC000658103125 1040311783 /nfs/dbraw/zinc/31/17/83/1040311783.db2.gz HFDFANWGIBCJKG-LPHOPBHVSA-N 0 3 321.468 4.328 20 0 DIADHN Cc1ccc([C@H](NCc2cccnc2N2CCCC2)C2CC2)cc1 ZINC000658103985 1040312508 /nfs/dbraw/zinc/31/25/08/1040312508.db2.gz NNQRZBDMAHUAQV-FQEVSTJZSA-N 0 3 321.468 4.231 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2cccnc2N2CCCC2)cc1C ZINC000658100812 1040312538 /nfs/dbraw/zinc/31/25/38/1040312538.db2.gz LIAQKWNHQRUYKC-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN CC[C@H](C)CCN[C@@H](C)c1ncc(Br)cc1F ZINC000658121867 1040321064 /nfs/dbraw/zinc/32/10/64/1040321064.db2.gz RGMHAGPTWDJGTA-UWVGGRQHSA-N 0 3 303.219 4.070 20 0 DIADHN CC[C@@H](C)CCN[C@H](C)c1ncc(Br)cc1F ZINC000658121866 1040321748 /nfs/dbraw/zinc/32/17/48/1040321748.db2.gz RGMHAGPTWDJGTA-NXEZZACHSA-N 0 3 303.219 4.070 20 0 DIADHN COC[C@H](N[C@@H]1C[C@H](C)c2ccccc21)c1cccc(OC)c1 ZINC000658161048 1040332280 /nfs/dbraw/zinc/33/22/80/1040332280.db2.gz IRIWPDHVBNVSJO-KPOBHBOGSA-N 0 3 311.425 4.221 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cccc(C)c1C)c1cccc(OC)c1 ZINC000658167943 1040338271 /nfs/dbraw/zinc/33/82/71/1040338271.db2.gz ZKCWHWUAMBNBGH-OXJNMPFZSA-N 0 3 313.441 4.350 20 0 DIADHN C[C@H](NCCO[C@H]1CC1(F)F)c1ccc(-c2ccccc2)o1 ZINC000658169696 1040342056 /nfs/dbraw/zinc/34/20/56/1040342056.db2.gz OSXSNLRAOGMWSQ-LRDDRELGSA-N 0 3 307.340 4.021 20 0 DIADHN CC(C)(C)OCCNCc1ccccc1OCc1ccccc1 ZINC000381757819 1040346311 /nfs/dbraw/zinc/34/63/11/1040346311.db2.gz HCRGKKZYOFDJRB-UHFFFAOYSA-N 0 3 313.441 4.170 20 0 DIADHN CC(C)(C)OCCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000658185367 1040346566 /nfs/dbraw/zinc/34/65/66/1040346566.db2.gz CATFCHGXKQPEKT-SFHVURJKSA-N 0 3 316.420 4.105 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2c(C3CC3)cnn2C)c2ccccc21 ZINC000658211367 1040353021 /nfs/dbraw/zinc/35/30/21/1040353021.db2.gz FGJQOXXDXAEAJS-MJGOQNOKSA-N 0 3 309.457 4.272 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1c(C2CC2)cnn1C ZINC000658213016 1040353226 /nfs/dbraw/zinc/35/32/26/1040353226.db2.gz NFXKZJDHMSPZOO-SFHVURJKSA-N 0 3 309.457 4.082 20 0 DIADHN CC(C)Cc1cccc([C@H](C)NCc2c(C3CC3)cnn2C)c1 ZINC000658213141 1040353333 /nfs/dbraw/zinc/35/33/33/1040353333.db2.gz OKBLWTHKIILPHO-HNNXBMFYSA-N 0 3 311.473 4.347 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC000658205508 1040354740 /nfs/dbraw/zinc/35/47/40/1040354740.db2.gz HTQVZHFPMZQRIQ-VLEAKVRGSA-N 0 3 304.381 4.249 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC[C@@H]3C[C@@H]32)c2ccccn2)cc1 ZINC000658206382 1040356321 /nfs/dbraw/zinc/35/63/21/1040356321.db2.gz NHPQDUYESXOPLA-JMVFIXPQSA-N 0 3 310.416 4.336 20 0 DIADHN COC[C@@H](NCC[C@@]1(C)CC1(F)F)c1cccc(Cl)c1F ZINC000658218993 1040358854 /nfs/dbraw/zinc/35/88/54/1040358854.db2.gz GMKWRNRJSMLJSV-OCCSQVGLSA-N 0 3 321.770 4.192 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccc(-c2ccccc2)cn1 ZINC000658225601 1040365633 /nfs/dbraw/zinc/36/56/33/1040365633.db2.gz ZXIOSQJEYQYDFR-GUYCJALGSA-N 0 3 302.368 4.445 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1cc(Br)ccc1F ZINC000658217562 1040374726 /nfs/dbraw/zinc/37/47/26/1040374726.db2.gz GKGQDOHCNBHTEP-UFBFGSQYSA-N 0 3 322.168 4.284 20 0 DIADHN CC(C)C[C@@H](NCc1c(C2CC2)cnn1C)c1cccs1 ZINC000658213771 1040371664 /nfs/dbraw/zinc/37/16/64/1040371664.db2.gz UANOKFAJJVJDBI-OAHLLOKOSA-N 0 3 303.475 4.236 20 0 DIADHN C[C@H]1CC[C@@H](NCc2c(C3CC3)cnn2C)c2sccc21 ZINC000658214343 1040375395 /nfs/dbraw/zinc/37/53/95/1040375395.db2.gz YAGCBBZMJWUJBY-XHDPSFHLSA-N 0 3 301.459 4.087 20 0 DIADHN Cc1cc([C@H](NC[C@]2(C)CC2(F)F)c2cccnc2)ccc1F ZINC000658216426 1040375523 /nfs/dbraw/zinc/37/55/23/1040375523.db2.gz BTFJSSKMGFSKNI-IRXDYDNUSA-N 0 3 320.358 4.253 20 0 DIADHN C[C@@H](NC[C@@H]1CCC[C@@H](C)O1)c1sccc1Br ZINC000658217239 1040376713 /nfs/dbraw/zinc/37/67/13/1040376713.db2.gz CRCNZUCJOJJFQT-MXWKQRLJSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2c3cc(C)ccc3C[C@@H]2C)cc1O ZINC000658244776 1040385233 /nfs/dbraw/zinc/38/52/33/1040385233.db2.gz MXVXINUKFQJNCH-LRDNONRASA-N 0 3 311.425 4.293 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000658288062 1040404760 /nfs/dbraw/zinc/40/47/60/1040404760.db2.gz MKKVABJUZZLBHF-JCGIZDLHSA-N 0 3 303.471 4.465 20 0 DIADHN C[C@H](NCCc1nsc2ccccc12)c1ccncc1Cl ZINC000658316173 1040416577 /nfs/dbraw/zinc/41/65/77/1040416577.db2.gz ZZLKSESQRAHRGH-NSHDSACASA-N 0 3 317.845 4.238 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc(C)nc3C)CCC2)c1 ZINC000658322895 1040422731 /nfs/dbraw/zinc/42/27/31/1040422731.db2.gz DXYKNFXTBNAUAT-MRXNPFEDSA-N 0 3 310.441 4.437 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C=C1CCCCC1)c1ccsc1 ZINC000065780225 1040428601 /nfs/dbraw/zinc/42/86/01/1040428601.db2.gz YECBRNHUAXZTFM-QGZVFWFLSA-N 0 3 320.502 4.138 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(C(F)F)nc2)c1C ZINC000658346026 1040434490 /nfs/dbraw/zinc/43/44/90/1040434490.db2.gz BAVIWGAAROBSKD-LBPRGKRZSA-N 0 3 306.356 4.187 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(OC)c2C)cc1F ZINC000658352549 1040437282 /nfs/dbraw/zinc/43/72/82/1040437282.db2.gz IFYJLOKGZQMBGZ-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1ccccc1C(F)(F)F)c1ccccc1 ZINC000658360815 1040439676 /nfs/dbraw/zinc/43/96/76/1040439676.db2.gz KANJNRXFBFIRBU-GDZNZVCISA-N 0 3 323.358 4.478 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1ccccc1C(F)(F)F)c1ccccc1 ZINC000658360810 1040441764 /nfs/dbraw/zinc/44/17/64/1040441764.db2.gz KANJNRXFBFIRBU-AHIWAGSCSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@@H](NC/C=C\Cl)c1cccc(OCc2ccccn2)c1 ZINC000255757014 1040461249 /nfs/dbraw/zinc/46/12/49/1040461249.db2.gz SROPUBWMUVTQQI-VYLZPFMQSA-N 0 3 302.805 4.064 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1 ZINC000191657350 1040472442 /nfs/dbraw/zinc/47/24/42/1040472442.db2.gz JNDLCNDOSCMLPL-LJQANCHMSA-N 0 3 311.425 4.347 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(F)cc2C)C(C)C)cc1 ZINC000892466788 1040490504 /nfs/dbraw/zinc/49/05/04/1040490504.db2.gz BDZWEBUKGRLCCH-SFHVURJKSA-N 0 3 302.393 4.025 20 0 DIADHN COc1ccc([C@H](NCc2cnc(F)c(C)c2)C(C)C)cc1 ZINC000892468085 1040490527 /nfs/dbraw/zinc/49/05/27/1040490527.db2.gz OSHMYMYZCNBUML-QGZVFWFLSA-N 0 3 302.393 4.025 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1cnc2ccccc2n1 ZINC000628231750 1040517733 /nfs/dbraw/zinc/51/77/33/1040517733.db2.gz ZQCYZTFRDSJRAS-VBKZILBWSA-N 0 3 303.409 4.043 20 0 DIADHN COc1cccc(Cl)c1CN1CCC2(CCOC2(C)C)CC1 ZINC001140730726 1040523846 /nfs/dbraw/zinc/52/38/46/1040523846.db2.gz YGTSWQUFYWOTTR-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@@H](NC/C=C/Cl)c1cccc(OCc2ccccn2)c1 ZINC000179695914 1040526422 /nfs/dbraw/zinc/52/64/22/1040526422.db2.gz SROPUBWMUVTQQI-VZUFXWRPSA-N 0 3 302.805 4.064 20 0 DIADHN Cc1ncc(CNCC2(c3ccc(F)cc3Cl)CCCC2)o1 ZINC000628241319 1040532097 /nfs/dbraw/zinc/53/20/97/1040532097.db2.gz QSSYPOSBIKWJGV-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN CO[C@@H](CN(CCC(F)(F)F)CC1CC1)c1ccccc1 ZINC000558832666 1040552245 /nfs/dbraw/zinc/55/22/45/1040552245.db2.gz HZDHBLSHFYJHRX-HNNXBMFYSA-N 0 3 301.352 4.039 20 0 DIADHN CO[C@H](CN(CCC(F)(F)F)CC1CC1)c1ccccc1 ZINC000558832667 1040553806 /nfs/dbraw/zinc/55/38/06/1040553806.db2.gz HZDHBLSHFYJHRX-OAHLLOKOSA-N 0 3 301.352 4.039 20 0 DIADHN CC(C)SCCN[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000580815720 1040565844 /nfs/dbraw/zinc/56/58/44/1040565844.db2.gz ONDQVGLSVGRIGA-NSHDSACASA-N 0 3 311.882 4.019 20 0 DIADHN CCn1cc(CN[C@@H](c2ccc(Cl)cc2)C(C)C)c(C)n1 ZINC000892487642 1040566322 /nfs/dbraw/zinc/56/63/22/1040566322.db2.gz OJCUAPXAYPLRER-QGZVFWFLSA-N 0 3 305.853 4.352 20 0 DIADHN O=c1ccc2c([nH]1)CCC[C@@H]2NCc1ccc(C(F)F)c(F)c1 ZINC000892490665 1040575154 /nfs/dbraw/zinc/57/51/54/1040575154.db2.gz XQDFJGZZSSXFPD-AWEZNQCLSA-N 0 3 322.330 4.031 20 0 DIADHN c1ccc(C(NC[C@@H]2CC[C@H](C3CC3)O2)c2ccccc2)cc1 ZINC001167688850 1040585780 /nfs/dbraw/zinc/58/57/80/1040585780.db2.gz GYILCLQXYMLNOC-VQTJNVASSA-N 0 3 307.437 4.323 20 0 DIADHN COCc1ccc(CNCc2ccc(C(F)F)c(F)c2)cc1 ZINC000892502960 1040631112 /nfs/dbraw/zinc/63/11/12/1040631112.db2.gz SWSCUPIBKZAHSB-UHFFFAOYSA-N 0 3 309.331 4.200 20 0 DIADHN CC(C)CCC1CCN(CCOCC(F)(F)C(F)F)CC1 ZINC001167689361 1040633826 /nfs/dbraw/zinc/63/38/26/1040633826.db2.gz JKFALDIHODUQKY-UHFFFAOYSA-N 0 3 313.379 4.052 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)N[C@H]1CCCc2oc(C)nc21 ZINC000926682423 1040640141 /nfs/dbraw/zinc/64/01/41/1040640141.db2.gz WDIQGZQRHYYMLC-HOTGVXAUSA-N 0 3 302.393 4.110 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H](C)Cc1ccc(F)cc1C ZINC000926683246 1040648868 /nfs/dbraw/zinc/64/88/68/1040648868.db2.gz KWRDZXINLCGISQ-WBMJQRKESA-N 0 3 302.393 4.029 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)[nH]c1C ZINC000570292175 1040685673 /nfs/dbraw/zinc/68/56/73/1040685673.db2.gz IGAIQSUYWFKWSI-GDBMZVCRSA-N 0 3 303.837 4.106 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@H]32)c(Br)c1 ZINC001140760858 1040700297 /nfs/dbraw/zinc/70/02/97/1040700297.db2.gz WGSBRNQBTMHUIH-MLGOLLRUSA-N 0 3 324.262 4.222 20 0 DIADHN COc1ccc(CN(CC2CC2)C(C)C)c(Br)c1 ZINC001140761461 1040705459 /nfs/dbraw/zinc/70/54/59/1040705459.db2.gz PTGDXTJBGJGVIS-UHFFFAOYSA-N 0 3 312.251 4.078 20 0 DIADHN Cc1csc(C(C)(C)NCCc2ccc(F)cc2Cl)n1 ZINC000570387557 1040710082 /nfs/dbraw/zinc/71/00/82/1040710082.db2.gz ZVYLFQYEVVMFOV-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1sccc1Br ZINC000309630324 1040714992 /nfs/dbraw/zinc/71/49/92/1040714992.db2.gz RBSFLYLLSCZVKT-YUMQZZPRSA-N 0 3 310.207 4.349 20 0 DIADHN C[C@@H](N[C@H]1CCOc2ccccc21)c1ccc(C(C)(C)O)cc1 ZINC000924563312 1040760757 /nfs/dbraw/zinc/76/07/57/1040760757.db2.gz JUAQAMDEDAGDGE-KDOFPFPSSA-N 0 3 311.425 4.088 20 0 DIADHN Cc1cn[nH]c1CN(Cc1ccccc1F)C1CCCCC1 ZINC000685836157 1040772869 /nfs/dbraw/zinc/77/28/69/1040772869.db2.gz QCEUKBVNQRWGQU-UHFFFAOYSA-N 0 3 301.409 4.192 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC(C)(C)[C@@H]1C1CC1 ZINC000685849882 1040802155 /nfs/dbraw/zinc/80/21/55/1040802155.db2.gz HCAUXTSPBNFXKG-FQEVSTJZSA-N 0 3 322.452 4.378 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC(C)C)nc2)c(C)c1 ZINC000414064799 1040810068 /nfs/dbraw/zinc/81/00/68/1040810068.db2.gz GEWZGWAFITXPTE-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN CC[C@H](NCc1cnn(C)c1C1CC1)c1ccc(Cl)cc1 ZINC000892531339 1040819345 /nfs/dbraw/zinc/81/93/45/1040819345.db2.gz QCYTUMJDIQTARC-INIZCTEOSA-N 0 3 303.837 4.192 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc3c(c2)CCO3)cc1F ZINC000177902946 1040821891 /nfs/dbraw/zinc/82/18/91/1040821891.db2.gz JSDUPYNOZUCAFF-ZDUSSCGKSA-N 0 3 315.388 4.010 20 0 DIADHN C[C@H](NCC1(C)CCOCC1)c1csc(-c2ccccc2)n1 ZINC000414081886 1040834846 /nfs/dbraw/zinc/83/48/46/1040834846.db2.gz BSUHKYSMTHWVPC-AWEZNQCLSA-N 0 3 316.470 4.277 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@H](OCC(F)(F)F)C2)c(C)c1 ZINC000613267459 1040846862 /nfs/dbraw/zinc/84/68/62/1040846862.db2.gz PNTZDLWFZPDPQW-HNNXBMFYSA-N 0 3 315.379 4.155 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CC2(F)F)c2ccccn2)c1 ZINC000926734876 1040847199 /nfs/dbraw/zinc/84/71/99/1040847199.db2.gz BTPSCODOIBVVEG-WBVHZDCISA-N 0 3 302.368 4.114 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN(Cc2cccc3ccccc32)C1 ZINC000613267471 1040849593 /nfs/dbraw/zinc/84/95/93/1040849593.db2.gz PWQJWVDFRVTMEI-INIZCTEOSA-N 0 3 323.358 4.383 20 0 DIADHN CC[C@H](N[C@H](C)c1csc(-c2ccccc2)n1)[C@@H]1CCCO1 ZINC000414095247 1040851558 /nfs/dbraw/zinc/85/15/58/1040851558.db2.gz HFTIVMJIYZEPFJ-KMFMINBZSA-N 0 3 316.470 4.418 20 0 DIADHN FC1(F)C[C@@H]1CCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000926735706 1040857679 /nfs/dbraw/zinc/85/76/79/1040857679.db2.gz GWFFKBMOXUBENL-XJKSGUPXSA-N 0 3 322.786 4.459 20 0 DIADHN C[C@@H](NCC(C)(C)CCCO)c1csc(-c2ccccc2)n1 ZINC000414100978 1040860835 /nfs/dbraw/zinc/86/08/35/1040860835.db2.gz RTOIUBXHRVEZQJ-CQSZACIVSA-N 0 3 318.486 4.259 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc(OC)cc3C)CC2)c1 ZINC000414102146 1040864826 /nfs/dbraw/zinc/86/48/26/1040864826.db2.gz UXOWYIMYRSRRKK-HNNXBMFYSA-N 0 3 311.425 4.352 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(F)cc(OC)c2)c(C)c1 ZINC000414102006 1040865017 /nfs/dbraw/zinc/86/50/17/1040865017.db2.gz MLUQZOAZZHQANM-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CC(C)CCSCC(=O)C(C#N)c1nc2ccccc2s1 ZINC000066504629 1040867337 /nfs/dbraw/zinc/86/73/37/1040867337.db2.gz HZMTUIFDRKUJKA-GFCCVEGCSA-N 0 3 318.467 4.252 20 0 DIADHN CC[C@H](C[C@H](C)CO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414111262 1040876101 /nfs/dbraw/zinc/87/61/01/1040876101.db2.gz MMSAVGYKOHMNGM-SQWLQELKSA-N 0 3 318.486 4.258 20 0 DIADHN C[C@@H](CC(=O)C(C#N)c1nc2ccccc2o1)c1ccc(F)cc1 ZINC000066516620 1040879036 /nfs/dbraw/zinc/87/90/36/1040879036.db2.gz GXSVNCNYABTYEY-WFASDCNBSA-N 0 3 322.339 4.337 20 0 DIADHN C[C@@H](CC(=O)C(C#N)c1nc2ccccc2o1)c1ccc(F)cc1 ZINC000066516618 1040879753 /nfs/dbraw/zinc/87/97/53/1040879753.db2.gz GXSVNCNYABTYEY-SWLSCSKDSA-N 0 3 322.339 4.337 20 0 DIADHN Cc1cccc2c1[C@H](NCc1cnccc1C(F)(F)F)CC2 ZINC000926739678 1040883030 /nfs/dbraw/zinc/88/30/30/1040883030.db2.gz XBJJUFGNDDTOOD-OAHLLOKOSA-N 0 3 306.331 4.186 20 0 DIADHN CN(C)[C@@H](CNc1nccc2sccc21)c1cccs1 ZINC000613335318 1040886291 /nfs/dbraw/zinc/88/62/91/1040886291.db2.gz GNGXPILCVDXBFA-LBPRGKRZSA-N 0 3 303.456 4.073 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@H]1C)c1csc(-c2ccccc2)n1 ZINC000414122449 1040888801 /nfs/dbraw/zinc/88/88/01/1040888801.db2.gz BBFGOMRQARZRAI-MBNYWOFBSA-N 0 3 304.484 4.212 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(OC)cc2C)cc1F ZINC000414123473 1040890735 /nfs/dbraw/zinc/89/07/35/1040890735.db2.gz ONOFPCKPICZVRG-AWEZNQCLSA-N 0 3 317.404 4.392 20 0 DIADHN COc1cc2c(cc1OC)CN([C@@H]1CCCc3ccccc31)CC2 ZINC000613351153 1040891613 /nfs/dbraw/zinc/89/16/13/1040891613.db2.gz HFINVPOBODQHEV-LJQANCHMSA-N 0 3 323.436 4.140 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1cc2n(n1)CCC2 ZINC000414125598 1040895890 /nfs/dbraw/zinc/89/58/90/1040895890.db2.gz DFNJEUBKDHCFKT-NOLJZWGESA-N 0 3 315.848 4.080 20 0 DIADHN CC(C)Oc1cccc(CN(C)CCOc2ccccc2F)c1 ZINC000613387878 1040910254 /nfs/dbraw/zinc/91/02/54/1040910254.db2.gz HSMMZMROGXBAJH-UHFFFAOYSA-N 0 3 317.404 4.124 20 0 DIADHN C[C@@H](NC[C@@H]1CCSC1)c1csc(-c2ccccc2)n1 ZINC000414155892 1040927038 /nfs/dbraw/zinc/92/70/38/1040927038.db2.gz UECAHNBGSYUFQN-OLZOCXBDSA-N 0 3 304.484 4.214 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccn(C)n1 ZINC000414155505 1040928059 /nfs/dbraw/zinc/92/80/59/1040928059.db2.gz SYBLKEFXMQHZEC-RAIGVLPGSA-N 0 3 324.255 4.324 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccccc3)no2)[C@@H]2CCCC[C@H]12 ZINC000613416026 1040930532 /nfs/dbraw/zinc/93/05/32/1040930532.db2.gz PMKYJVNFDDKNBL-DJIMGWMZSA-N 0 3 311.429 4.137 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccsc3)no2)[C@H]2CCCC[C@@H]12 ZINC000613415654 1040933130 /nfs/dbraw/zinc/93/31/30/1040933130.db2.gz JCCYIHHOBQDFCI-SNPRPXQTSA-N 0 3 317.458 4.199 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)[C@H]3CCCC[C@@H]32)c(C)c1 ZINC000613416818 1040936735 /nfs/dbraw/zinc/93/67/35/1040936735.db2.gz YOVKWNGPMJCQHU-WDYCEAGBSA-N 0 3 314.473 4.143 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1cccc(SC)c1 ZINC000613422702 1040940844 /nfs/dbraw/zinc/94/08/44/1040940844.db2.gz CIXCHCVKDRYZKI-UHFFFAOYSA-N 0 3 308.491 4.105 20 0 DIADHN COc1ccc(OC2CCN(C/C=C/c3ccccc3)CC2)cc1 ZINC000613456702 1040964726 /nfs/dbraw/zinc/96/47/26/1040964726.db2.gz NRUKHWWWCLTLFY-VMPITWQZSA-N 0 3 323.436 4.252 20 0 DIADHN c1csc(-c2noc(CN3CCC[C@H]3C3CCCCC3)n2)c1 ZINC000613481155 1040990750 /nfs/dbraw/zinc/99/07/50/1040990750.db2.gz TUEPJMCSMMTTQP-AWEZNQCLSA-N 0 3 317.458 4.343 20 0 DIADHN COc1ccccc1CN(CCCOc1ccccc1)C1CC1 ZINC000613485622 1041000000 /nfs/dbraw/zinc/00/00/00/1041000000.db2.gz KVSFDUFMOFOORZ-UHFFFAOYSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cnc(C)nc2C)c2ccccc12 ZINC000414206489 1041002113 /nfs/dbraw/zinc/00/21/13/1041002113.db2.gz FIWYDTNFFXUXQO-AWEZNQCLSA-N 0 3 305.425 4.406 20 0 DIADHN CCCCCC(C)(C)C[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000808702071 1041011074 /nfs/dbraw/zinc/01/10/74/1041011074.db2.gz RHHZITJYERSLNA-MRXNPFEDSA-N 0 3 300.450 4.090 20 0 DIADHN Clc1ccc(CN(C[C@@H]2CCCO2)C2CC2)cc1Cl ZINC000613496692 1041011837 /nfs/dbraw/zinc/01/18/37/1041011837.db2.gz DZQYXRSUWHCLRA-ZDUSSCGKSA-N 0 3 300.229 4.137 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2nccs2)cc1OC ZINC000414227235 1041023972 /nfs/dbraw/zinc/02/39/72/1041023972.db2.gz WAJHIUREUXKNBD-UPJWGTAASA-N 0 3 320.458 4.003 20 0 DIADHN CC[C@H]1CCCC[C@H]1CN(C)CC(=O)Nc1ccc(C)cc1 ZINC001204618886 1041027805 /nfs/dbraw/zinc/02/78/05/1041027805.db2.gz YLTZDLJASNTKNS-IRXDYDNUSA-N 0 3 302.462 4.082 20 0 DIADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000414231989 1041034356 /nfs/dbraw/zinc/03/43/56/1041034356.db2.gz POAFSXYEXVOORC-DYEKYZERSA-N 0 3 304.484 4.355 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nnc(-c2ccccc2)s1 ZINC000464684942 1041041551 /nfs/dbraw/zinc/04/15/51/1041041551.db2.gz IZDQLJMZWRPZOI-OAHLLOKOSA-N 0 3 301.459 4.360 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@@H](c2ccncn2)C1 ZINC000613511009 1041051090 /nfs/dbraw/zinc/05/10/90/1041051090.db2.gz PDHIDRCPDJAHMT-ZIAGYGMSSA-N 0 3 301.821 4.071 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000613513489 1041062651 /nfs/dbraw/zinc/06/26/51/1041062651.db2.gz TXVTUHKIMYVRLG-OXJNMPFZSA-N 0 3 315.457 4.077 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@H]1C)c1csc(-c2ccccc2)n1 ZINC000414269048 1041068472 /nfs/dbraw/zinc/06/84/72/1041068472.db2.gz UYJJHYWOQPIEBW-HGTKMLMNSA-N 0 3 316.470 4.274 20 0 DIADHN CCOc1cccc(CNCc2ccc(C(F)F)c(F)c2)c1 ZINC000892591112 1041070446 /nfs/dbraw/zinc/07/04/46/1041070446.db2.gz BZQARRYMWLQZIU-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN Fc1ccc([C@@H](C[C@@H]2CCOC2)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414315296 1041115605 /nfs/dbraw/zinc/11/56/05/1041115605.db2.gz RTINFVSMAMWONR-OKDNKWQNSA-N 0 3 309.400 4.164 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@@H](N[C@@H]1C[C@H]1c1ccco1)CCC2 ZINC000414347326 1041155823 /nfs/dbraw/zinc/15/58/23/1041155823.db2.gz NAYPRBQYWAHZKW-VHDGCEQUSA-N 0 3 322.330 4.217 20 0 DIADHN NCc1nn(-c2ccc3c(c2)[nH]c2ccccc23)c2ccccc12 ZINC001167698340 1041158306 /nfs/dbraw/zinc/15/83/06/1041158306.db2.gz TUFGPRMYSAFCHZ-UHFFFAOYSA-N 0 3 312.376 4.119 20 0 DIADHN Cc1ccc(-c2ccccc2)c(-n2nc(CN)c3ccccc32)c1 ZINC001167698078 1041158493 /nfs/dbraw/zinc/15/84/93/1041158493.db2.gz LXWUFQNEGJFPTF-UHFFFAOYSA-N 0 3 313.404 4.460 20 0 DIADHN COc1cccc([C@H](C)NCc2cc(C)nc3c2CCCC3)c1 ZINC000892634518 1041166149 /nfs/dbraw/zinc/16/61/49/1041166149.db2.gz XIZOBLGJGVVCCJ-HNNXBMFYSA-N 0 3 310.441 4.128 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)cn1 ZINC000414357907 1041166232 /nfs/dbraw/zinc/16/62/32/1041166232.db2.gz KRULAHBVHYZOBX-BJOOFOGMSA-N 0 3 315.848 4.179 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000102888222 1041174902 /nfs/dbraw/zinc/17/49/02/1041174902.db2.gz TVIZYNVIVHMOLM-MLGOLLRUSA-N 0 3 309.331 4.090 20 0 DIADHN Cc1cccn2c(CN[C@@H](c3ccc(F)c(C)c3)C3CC3)cnc12 ZINC000533920979 1041178271 /nfs/dbraw/zinc/17/82/71/1041178271.db2.gz JMKIOHOKPLBZOO-LJQANCHMSA-N 0 3 323.415 4.331 20 0 DIADHN CN(C)Cc1cnc(CN2CCC[C@H]2CC2CCCCC2)s1 ZINC000809559047 1041187210 /nfs/dbraw/zinc/18/72/10/1041187210.db2.gz YJELXWAIBSBRGK-INIZCTEOSA-N 0 3 321.534 4.140 20 0 DIADHN Cc1nn(-c2ccccn2)c(Cl)c1CN(CC(C)C)C(C)C ZINC000809548637 1041191521 /nfs/dbraw/zinc/19/15/21/1041191521.db2.gz NMXCCJXCZUSMSA-UHFFFAOYSA-N 0 3 320.868 4.096 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc([C@@H](C)O)s1)c1ccccc1 ZINC000809607988 1041195147 /nfs/dbraw/zinc/19/51/47/1041195147.db2.gz FJNCIUYSUXHPDQ-CXAGYDPISA-N 0 3 318.486 4.464 20 0 DIADHN CCC[C@H](NCc1ncc(C2OCCO2)s1)C1CCCCC1 ZINC000809614378 1041197824 /nfs/dbraw/zinc/19/78/24/1041197824.db2.gz KKWQGGDUKHUEAG-AWEZNQCLSA-N 0 3 324.490 4.027 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H](CC2CCC2)c2ccccc2)s1 ZINC000809635326 1041209995 /nfs/dbraw/zinc/20/99/95/1041209995.db2.gz WBYWYFPFJQHTNA-DYVFJYSZSA-N 0 3 316.470 4.218 20 0 DIADHN CC[C@@H](CN(CC)Cc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000809642450 1041210410 /nfs/dbraw/zinc/21/04/10/1041210410.db2.gz GATJWEZWWFQXBV-GJZGRUSLSA-N 0 3 318.486 4.212 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H]2CCSC2)c2ccccn2)cc1 ZINC000926780207 1041210416 /nfs/dbraw/zinc/21/04/16/1041210416.db2.gz XACYQWDMFWHPEZ-VQIMIIECSA-N 0 3 312.482 4.212 20 0 DIADHN c1oc(C2CC2)nc1CN1C[C@@H](c2ccccc2)[C@@H]2CCC[C@@H]21 ZINC000809693997 1041214925 /nfs/dbraw/zinc/21/49/25/1041214925.db2.gz GKSDXURPEOTBMH-FHWLQOOXSA-N 0 3 308.425 4.320 20 0 DIADHN c1oc(C2CC2)nc1CN1C[C@@H](c2ccccc2)[C@H]2CCC[C@H]21 ZINC000809693996 1041214971 /nfs/dbraw/zinc/21/49/71/1041214971.db2.gz GKSDXURPEOTBMH-CEXWTWQISA-N 0 3 308.425 4.320 20 0 DIADHN CCc1ccc(CN2CCC([C@H](O)c3ccccc3C)CC2)o1 ZINC000809703464 1041227725 /nfs/dbraw/zinc/22/77/25/1041227725.db2.gz QWAZZUYWVOJEKO-FQEVSTJZSA-N 0 3 313.441 4.096 20 0 DIADHN O[C@H](CCNCc1cc(Cl)ccc1Cl)c1ccccc1 ZINC000809748791 1041245228 /nfs/dbraw/zinc/24/52/28/1041245228.db2.gz YDRWGBHXALGGNC-MRXNPFEDSA-N 0 3 310.224 4.207 20 0 DIADHN C[C@H](NCc1nccn1C(F)F)C1(c2ccccc2)CCCC1 ZINC000187575723 1041248894 /nfs/dbraw/zinc/24/88/94/1041248894.db2.gz HEQJIRLZKLARPY-AWEZNQCLSA-N 0 3 319.399 4.268 20 0 DIADHN CCOCOc1ccc(CN(CC)[C@@H](C)c2cccnc2)cc1 ZINC000809766232 1041250646 /nfs/dbraw/zinc/25/06/46/1041250646.db2.gz VRIBPMBPVBQUNL-INIZCTEOSA-N 0 3 314.429 4.038 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2cccn2)cc1)c1cccc(F)c1 ZINC000187722805 1041257975 /nfs/dbraw/zinc/25/79/75/1041257975.db2.gz WODSAQFTPZSBBB-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc([S@](C)=O)cc1 ZINC000181249499 1041258649 /nfs/dbraw/zinc/25/86/49/1041258649.db2.gz WZVOTJYYGBTKEP-GHNFSYLKSA-N 0 3 313.466 4.071 20 0 DIADHN CC[C@H](NCc1ccc(Cn2cccn2)cc1)c1cccc(F)c1 ZINC000187722785 1041258684 /nfs/dbraw/zinc/25/86/84/1041258684.db2.gz WODSAQFTPZSBBB-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCSc3c(F)cccc32)c1 ZINC000423474830 1041259347 /nfs/dbraw/zinc/25/93/47/1041259347.db2.gz HRYHXNZOAVQQKO-INIZCTEOSA-N 0 3 302.418 4.043 20 0 DIADHN C[C@@H](CO)CN[C@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000678027443 1041263135 /nfs/dbraw/zinc/26/31/35/1041263135.db2.gz ARYXPBAWMIYZMK-SJKOYZFVSA-N 0 3 324.251 4.301 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccc(C(F)F)c(F)c2)cc1 ZINC000892672239 1041265516 /nfs/dbraw/zinc/26/55/16/1041265516.db2.gz IBJIXOIIHQSZQN-NSHDSACASA-N 0 3 309.331 4.106 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NC[C@H]1CCN1C1CCCC1 ZINC001131916465 1041269229 /nfs/dbraw/zinc/26/92/29/1041269229.db2.gz QBDHRUFJQJBKCE-IEBWSBKVSA-N 0 3 308.510 4.116 20 0 DIADHN CCCCOc1cccc(NC(=O)C[C@@H]2NCc3ccccc32)c1 ZINC000659251179 1041277809 /nfs/dbraw/zinc/27/78/09/1041277809.db2.gz DKORKCQCWJWQDU-IBGZPJMESA-N 0 3 324.424 4.039 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)C[C@H]2NCc3ccccc32)c1 ZINC000659273536 1041284867 /nfs/dbraw/zinc/28/48/67/1041284867.db2.gz DTAZXBCFTWCSKC-LJQANCHMSA-N 0 3 308.425 4.007 20 0 DIADHN OC1(CNCc2ccc(Cl)cc2Cl)CCCCCC1 ZINC000106029359 1041290132 /nfs/dbraw/zinc/29/01/32/1041290132.db2.gz AZLUBYLANDENFD-UHFFFAOYSA-N 0 3 302.245 4.168 20 0 DIADHN COc1ccc2c(c1)C1(CC1)CN(CCSC(C)(C)C)C2 ZINC000810100830 1041311800 /nfs/dbraw/zinc/31/18/00/1041311800.db2.gz VHZDHPIMCVROAY-UHFFFAOYSA-N 0 3 305.487 4.074 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)cc1F ZINC000924590161 1041316264 /nfs/dbraw/zinc/31/62/64/1041316264.db2.gz OUEGIJXVHUSCOR-AWEZNQCLSA-N 0 3 301.405 4.212 20 0 DIADHN O[C@H](CNC(c1ccccc1)c1ccccc1)c1ccsc1 ZINC000305829142 1041317710 /nfs/dbraw/zinc/31/77/10/1041317710.db2.gz NNSPMGKRTFHLAI-GOSISDBHSA-N 0 3 309.434 4.161 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(F)c(Cl)c2)cc1 ZINC000782955186 1041349218 /nfs/dbraw/zinc/34/92/18/1041349218.db2.gz DHCDBLOZCBLYPV-UHFFFAOYSA-N 0 3 323.795 4.142 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)ccc1F ZINC000924595220 1041363439 /nfs/dbraw/zinc/36/34/39/1041363439.db2.gz URFOFYXVNIFUGA-CQSZACIVSA-N 0 3 301.405 4.212 20 0 DIADHN Cn1cncc1NCc1ccc(Oc2ccc(Cl)cc2)cc1 ZINC001167712207 1041365079 /nfs/dbraw/zinc/36/50/79/1041365079.db2.gz GTFWUQYUFKJFAW-UHFFFAOYSA-N 0 3 313.788 4.478 20 0 DIADHN C[C@@H](NC[C@@H](C)C(C)(C)C)c1ncc(Br)s1 ZINC000309787363 1041426804 /nfs/dbraw/zinc/42/68/04/1041426804.db2.gz SYFQUFJETCHULM-RKDXNWHRSA-N 0 3 305.285 4.238 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CNCc1ccc2[nH]c(C)c(C)c2c1 ZINC000892729934 1041389995 /nfs/dbraw/zinc/38/99/95/1041389995.db2.gz CRXAMTVIUBDEJP-UHFFFAOYSA-N 0 3 324.472 4.469 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N(Cc1cccc(F)c1)C(C)(C)C ZINC000613639497 1041394923 /nfs/dbraw/zinc/39/49/23/1041394923.db2.gz FAHJPNKFQQKSHH-OAHLLOKOSA-N 0 3 322.468 4.073 20 0 DIADHN CC(C)C[C@H](NCc1cccc2c1OCCO2)c1cccs1 ZINC000236649752 1041413938 /nfs/dbraw/zinc/41/39/38/1041413938.db2.gz ZQAAQGCBDVDJPG-HNNXBMFYSA-N 0 3 317.454 4.396 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cnc(F)c(C)c2)cc1 ZINC000892913507 1041420392 /nfs/dbraw/zinc/42/03/92/1041420392.db2.gz DOUBLAYJYMJKKT-ZDUSSCGKSA-N 0 3 304.434 4.492 20 0 DIADHN Cc1nc(CN(CCc2ccc(F)cc2)C2CCCC2)c(C)o1 ZINC000659860116 1041464676 /nfs/dbraw/zinc/46/46/76/1041464676.db2.gz UALLGWHLTDLRFH-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN CC(C)[C@@H]1N(C(=O)[C@@H]2CCCN2C(C)C)CC12CCCCCC2 ZINC001132574397 1041478075 /nfs/dbraw/zinc/47/80/75/1041478075.db2.gz ASIIYDJEVYGHJT-ROUUACIJSA-N 0 3 320.521 4.067 20 0 DIADHN CCN(CCc1nsc2ccccc21)CCC(F)(F)F ZINC000659869628 1041483748 /nfs/dbraw/zinc/48/37/48/1041483748.db2.gz XUBLPROFPNLHMK-UHFFFAOYSA-N 0 3 302.365 4.113 20 0 DIADHN Clc1ccc2c(c1)C1(CCN(Cc3ccsc3)CC1)OC2 ZINC001204042360 1041503308 /nfs/dbraw/zinc/50/33/08/1041503308.db2.gz AWJUIAVRXBTBDD-UHFFFAOYSA-N 0 3 319.857 4.423 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1ccnc(Cl)c1Cl ZINC000811491128 1041524860 /nfs/dbraw/zinc/52/48/60/1041524860.db2.gz ACFLBAVPMZLUMS-CHWSQXEVSA-N 0 3 317.260 4.072 20 0 DIADHN Cc1cc(F)ccc1CCNCc1ccnc(Cl)c1Cl ZINC000811484666 1041523447 /nfs/dbraw/zinc/52/34/47/1041523447.db2.gz GWYVGAXXHKYCPG-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN Clc1nccc(CNC2(Cc3ccccc3)CC2)c1Cl ZINC000811489510 1041524298 /nfs/dbraw/zinc/52/42/98/1041524298.db2.gz DQSUTSUIONOVSH-UHFFFAOYSA-N 0 3 307.224 4.253 20 0 DIADHN Fc1ccccc1-c1csc(CNC2CC(C(F)F)C2)n1 ZINC000811496835 1041525825 /nfs/dbraw/zinc/52/58/25/1041525825.db2.gz NIPKMMSXQHPCMT-UHFFFAOYSA-N 0 3 312.360 4.083 20 0 DIADHN Clc1ccc(CCNCc2ccnc(Cl)c2Cl)s1 ZINC000811474647 1041527016 /nfs/dbraw/zinc/52/70/16/1041527016.db2.gz PEWYLFCGKZNVFQ-UHFFFAOYSA-N 0 3 321.660 4.436 20 0 DIADHN Clc1nccc(CN[C@H]2C[C@H](c3ccccc3)C2)c1Cl ZINC000811501839 1041533438 /nfs/dbraw/zinc/53/34/38/1041533438.db2.gz ZGDXGQVICZVUKK-HDJSIYSDSA-N 0 3 307.224 4.424 20 0 DIADHN Fc1ccc(CN(Cc2cnc3ccccc3n2)CC2CC2)cc1 ZINC000659932667 1041545633 /nfs/dbraw/zinc/54/56/33/1041545633.db2.gz JNKHGFXWDYFPGF-UHFFFAOYSA-N 0 3 321.399 4.181 20 0 DIADHN Cc1nccn1CCCN(C)Cc1cc(Cl)ccc1Cl ZINC000136296932 1041554388 /nfs/dbraw/zinc/55/43/88/1041554388.db2.gz PSZYVVZHNOBOQV-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(/C=C\C(=O)Nc2ccccc2CN(C)C)o1 ZINC000042752635 1041560449 /nfs/dbraw/zinc/56/04/49/1041560449.db2.gz WJMCJPFBAJAVOR-IBFHOGNFSA-N 0 3 324.424 4.117 20 0 DIADHN Cc1nc(CN(C)[C@@H](Cc2ccc(F)cc2)C(C)C)c(C)o1 ZINC000659968583 1041564240 /nfs/dbraw/zinc/56/42/40/1041564240.db2.gz HYZHGHJQVHKNLR-SFHVURJKSA-N 0 3 304.409 4.130 20 0 DIADHN C[C@@H](NCCc1c[nH]c2cc(Cl)ccc12)c1nccs1 ZINC000093403277 1041565584 /nfs/dbraw/zinc/56/55/84/1041565584.db2.gz MNBVZMWHISOZRY-SNVBAGLBSA-N 0 3 305.834 4.171 20 0 DIADHN CC[C@@H](CC(F)F)CN(C)Cc1nc2c(s1)CCCC2 ZINC000659982475 1041570002 /nfs/dbraw/zinc/57/00/02/1041570002.db2.gz NSCWPVKUZCRHCF-NSHDSACASA-N 0 3 302.434 4.135 20 0 DIADHN CC[C@H](Nc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000182625210 1041570600 /nfs/dbraw/zinc/57/06/00/1041570600.db2.gz XXYOPCRFDZTNCT-FQEVSTJZSA-N 0 3 309.457 4.002 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1F ZINC000651377131 1041571682 /nfs/dbraw/zinc/57/16/82/1041571682.db2.gz OZFIWSMJZGFOSY-VXGBXAGGSA-N 0 3 314.360 4.393 20 0 DIADHN C[C@H](NCC1([C@H](O)c2ccccc2)CC1)c1cccc(F)c1F ZINC000183313330 1041580074 /nfs/dbraw/zinc/58/00/74/1041580074.db2.gz HYEBTVPOMOGAHX-SCLBCKFNSA-N 0 3 317.379 4.129 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1nsc2ccccc21 ZINC000660013912 1041582536 /nfs/dbraw/zinc/58/25/36/1041582536.db2.gz KFZRXIDCLDGWCA-CYBMUJFWSA-N 0 3 312.438 4.065 20 0 DIADHN Cc1nccnc1[C@@H](C)NC1CC(c2cccc(Cl)c2)C1 ZINC000363867726 1041585190 /nfs/dbraw/zinc/58/51/90/1041585190.db2.gz SKPHERDORIRQEF-XEBKBJJBSA-N 0 3 301.821 4.035 20 0 DIADHN CCC[C@H](N[C@@H]1CCCc2nc(C)sc21)c1cccnc1 ZINC000363870679 1041586956 /nfs/dbraw/zinc/58/69/56/1041586956.db2.gz WUWLBQYIVTYVEQ-GOEBONIOSA-N 0 3 301.459 4.355 20 0 DIADHN CCC[C@@H](N[C@@H]1CCCc2nc(C)sc21)c1cccnc1 ZINC000363870678 1041587006 /nfs/dbraw/zinc/58/70/06/1041587006.db2.gz WUWLBQYIVTYVEQ-GDBMZVCRSA-N 0 3 301.459 4.355 20 0 DIADHN Fc1cc(CN[C@H](c2cccnc2)C2CC2)ccc1C(F)F ZINC000893255323 1041588311 /nfs/dbraw/zinc/58/83/11/1041588311.db2.gz MBGFPBYTXFZATB-INIZCTEOSA-N 0 3 306.331 4.399 20 0 DIADHN c1c(CN2CCC[C@@H](c3nc4ccccc4o3)C2)onc1C1CC1 ZINC000660031814 1041588461 /nfs/dbraw/zinc/58/84/61/1041588461.db2.gz QJVHWFGRNPSCRB-CQSZACIVSA-N 0 3 323.396 4.073 20 0 DIADHN Cc1c(CNCc2ccccc2-c2ccc(C)cc2C)cnn1C ZINC000893270068 1041603360 /nfs/dbraw/zinc/60/33/60/1041603360.db2.gz WBAFYIOEFOWRIN-UHFFFAOYSA-N 0 3 319.452 4.302 20 0 DIADHN CCC[C@H](N[C@H]1CCOC2(CCC2)C1)c1ncccc1Cl ZINC000925560985 1041612658 /nfs/dbraw/zinc/61/26/58/1041612658.db2.gz ANVSNCJMGCDXMI-ZFWWWQNUSA-N 0 3 308.853 4.268 20 0 DIADHN FC[C@@H](N[C@H]1CCc2cc(F)cc(F)c2C1)c1ccccc1 ZINC001170674443 1041612676 /nfs/dbraw/zinc/61/26/76/1041612676.db2.gz PQEIRZDGHICAKA-MAUKXSAKSA-N 0 3 305.343 4.123 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@H]1CCCN1C(=O)OC(C)(C)C ZINC000257353102 1041613787 /nfs/dbraw/zinc/61/37/87/1041613787.db2.gz PISOPRIQDUDSIB-ZIAGYGMSSA-N 0 3 324.490 4.140 20 0 DIADHN CCC(C)(CC)NCc1ncc(Br)cc1Cl ZINC000660104085 1041615027 /nfs/dbraw/zinc/61/50/27/1041615027.db2.gz QXPYSQPCASMOPN-UHFFFAOYSA-N 0 3 305.647 4.166 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000651410690 1041619007 /nfs/dbraw/zinc/61/90/07/1041619007.db2.gz VEPHYTAGTSWFCS-JDNHERCYSA-N 0 3 307.800 4.313 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cccc(C(F)F)c1 ZINC001170681067 1041632473 /nfs/dbraw/zinc/63/24/73/1041632473.db2.gz DYXZRKIJSAZJMA-LBPRGKRZSA-N 0 3 313.351 4.497 20 0 DIADHN CC(C)C[C@H](NCc1cnc2n1CCCC2)c1cccs1 ZINC000367438579 1041637899 /nfs/dbraw/zinc/63/78/99/1041637899.db2.gz NWOSIGAHGGJRTM-HNNXBMFYSA-N 0 3 303.475 4.158 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cccs2)c(C)c1OC ZINC000584681728 1041638630 /nfs/dbraw/zinc/63/86/30/1041638630.db2.gz QPXKSCSITRTARO-OAHLLOKOSA-N 0 3 317.454 4.411 20 0 DIADHN Cc1ccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)c2cc[nH]c21 ZINC001170686088 1041643649 /nfs/dbraw/zinc/64/36/49/1041643649.db2.gz NDUFVLTVWLBKND-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN COC(=O)c1cc(CN(C(C)C)[C@@H](C)c2ccccc2)c(C)o1 ZINC000584696841 1041645012 /nfs/dbraw/zinc/64/50/12/1041645012.db2.gz DZEUUGWGFKLZNZ-AWEZNQCLSA-N 0 3 315.413 4.346 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(SC)c2)cc1F ZINC000660175742 1041648062 /nfs/dbraw/zinc/64/80/62/1041648062.db2.gz AEJZRCDHURHIRF-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN C[C@H]1c2ccccc2CN1C[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC000812828306 1041653061 /nfs/dbraw/zinc/65/30/61/1041653061.db2.gz QKKHWWNCAAOJCI-SJCJKPOMSA-N 0 3 321.342 4.316 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)sn1 ZINC000660187051 1041654483 /nfs/dbraw/zinc/65/44/83/1041654483.db2.gz JANYOCSAJAJUBE-QGZVFWFLSA-N 0 3 320.433 4.312 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)[C@@H]2C[C@H]2c2cccs2)[n-]1 ZINC000417324727 1041658107 /nfs/dbraw/zinc/65/81/07/1041658107.db2.gz DBYVVQPEZYETDR-MQLXINIDSA-N 0 3 318.490 4.223 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1ncc(Br)cc1Cl ZINC000660196163 1041660702 /nfs/dbraw/zinc/66/07/02/1041660702.db2.gz GZVLUZTYYYQBCS-RKDXNWHRSA-N 0 3 305.647 4.022 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@@H](N1CCC[C@H](C(F)(F)F)C1)C2 ZINC001170693973 1041662787 /nfs/dbraw/zinc/66/27/87/1041662787.db2.gz GCNKHFDIJBMNSP-WCQYABFASA-N 0 3 319.317 4.096 20 0 DIADHN c1ccc([C@H](C[C@H]2CCOC2)N[C@@H]2COCc3ccccc32)cc1 ZINC000417368994 1041665564 /nfs/dbraw/zinc/66/55/64/1041665564.db2.gz RPCDWGAVKUXENS-TYCQWZJGSA-N 0 3 323.436 4.015 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H](C)c1cnn(C(C)C)c1 ZINC000584818499 1041680893 /nfs/dbraw/zinc/68/08/93/1041680893.db2.gz SHPVPHJKHRIWNP-RDTXWAMCSA-N 0 3 313.445 4.201 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H](C)c2ccccc2)o1 ZINC000813281762 1041692632 /nfs/dbraw/zinc/69/26/32/1041692632.db2.gz MJMVEOXYOFTZNQ-AWEZNQCLSA-N 0 3 301.386 4.039 20 0 DIADHN Cc1nc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)co1 ZINC000660254355 1041692647 /nfs/dbraw/zinc/69/26/47/1041692647.db2.gz PGDDBCFJWFTWJL-HNNXBMFYSA-N 0 3 306.409 4.293 20 0 DIADHN CC[C@@H](NCCSc1cccc(OC)c1)c1c(C)noc1C ZINC000660260133 1041693755 /nfs/dbraw/zinc/69/37/55/1041693755.db2.gz SVRAIXRCMOKNEP-MRXNPFEDSA-N 0 3 320.458 4.133 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000813322371 1041694356 /nfs/dbraw/zinc/69/43/56/1041694356.db2.gz BOZFTXAWRVMAAH-JXFKEZNVSA-N 0 3 320.440 4.315 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC000418102206 1041725178 /nfs/dbraw/zinc/72/51/78/1041725178.db2.gz TXFXYNKNMKTSTM-UHFFFAOYSA-N 0 3 313.363 4.107 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCC2)nc1)c1ccc(C2CC2)cc1 ZINC000418110623 1041726810 /nfs/dbraw/zinc/72/68/10/1041726810.db2.gz CDYUXIGVMXGZQV-MRXNPFEDSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)co1 ZINC000660374662 1041750038 /nfs/dbraw/zinc/75/00/38/1041750038.db2.gz YCZFTWGJLJVMEN-ZBFHGGJFSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@H]2c2ccccc2C(F)(F)F)co1 ZINC000660374660 1041750172 /nfs/dbraw/zinc/75/01/72/1041750172.db2.gz YCZFTWGJLJVMEN-HOCLYGCPSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ccc(CN2CCN(c3cccc(F)c3)C[C@@H]2C)c(C)c1 ZINC001170722156 1041760233 /nfs/dbraw/zinc/76/02/33/1041760233.db2.gz DDNRXOCLPIROSV-KRWDZBQOSA-N 0 3 312.432 4.153 20 0 DIADHN COc1ccc(Cl)c(CN(C)C2CCC(F)(F)CC2)c1 ZINC000558176476 1041760817 /nfs/dbraw/zinc/76/08/17/1041760817.db2.gz NPSQPRIQBBELIC-UHFFFAOYSA-N 0 3 303.780 4.358 20 0 DIADHN CCc1cccc(CNCc2cn(C)nc2-c2ccc(F)cc2)c1 ZINC000652033037 1041761749 /nfs/dbraw/zinc/76/17/49/1041761749.db2.gz WRMWHXSMARCOSN-UHFFFAOYSA-N 0 3 323.415 4.078 20 0 DIADHN Cc1cc(CN2CCN(c3cccc(F)c3)C[C@@H]2C)c(C)s1 ZINC001170723205 1041763352 /nfs/dbraw/zinc/76/33/52/1041763352.db2.gz OVSILJMKXGQFMG-ZDUSSCGKSA-N 0 3 318.461 4.215 20 0 DIADHN C[C@H](c1ccco1)N(C[C@@H](O)c1ccc(Cl)c(F)c1)C1CC1 ZINC000759847207 1041774400 /nfs/dbraw/zinc/77/44/00/1041774400.db2.gz FYDUWPPDJQNKFY-BDJLRTHQSA-N 0 3 323.795 4.331 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@@]2(C1)CCc1ccccc1O2 ZINC001170731746 1041783499 /nfs/dbraw/zinc/78/34/99/1041783499.db2.gz GJOVSPYUMREIDR-FOIQADDNSA-N 0 3 311.400 4.356 20 0 DIADHN C[C@H]1CN(C2CC(OCc3ccccc3)C2)Cc2ccccc2O1 ZINC001170733011 1041786735 /nfs/dbraw/zinc/78/67/35/1041786735.db2.gz PRLTYJHVAGGRPG-DZIBYMRMSA-N 0 3 323.436 4.017 20 0 DIADHN Fc1ccc(C[C@@H]2CCN(Cc3cccc(F)c3F)C2)cc1 ZINC000605760118 1041799116 /nfs/dbraw/zinc/79/91/16/1041799116.db2.gz WUVIBANTLSBHQA-AWEZNQCLSA-N 0 3 305.343 4.169 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3c(F)cccc3Br)C[C@@H]21 ZINC001170736051 1041800446 /nfs/dbraw/zinc/80/04/46/1041800446.db2.gz DSBCTVRPCOQTTC-WLLOZRIZSA-N 0 3 312.226 4.112 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1ccc(Sc2ccccn2)o1 ZINC000814289841 1041803964 /nfs/dbraw/zinc/80/39/64/1041803964.db2.gz VRBDESOVTKHEJL-ZDUSSCGKSA-N 0 3 314.410 4.140 20 0 DIADHN Cc1ccc(CNCc2ccc(-n3ccnc3)cc2)cc1Cl ZINC000195439464 1041807949 /nfs/dbraw/zinc/80/79/49/1041807949.db2.gz ORWQBKBPNSRIMR-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N3CCn4cccc4[C@@H]3c3ccccc3)C[C@@H]21 ZINC001170741294 1041809656 /nfs/dbraw/zinc/80/96/56/1041809656.db2.gz VCHJFFHBAVELOW-DMUMMCEESA-N 0 3 306.453 4.328 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC=C(c2cccnc2)C1 ZINC001170745268 1041815432 /nfs/dbraw/zinc/81/54/32/1041815432.db2.gz SKWWLIHUWWYBMD-UHFFFAOYSA-N 0 3 322.452 4.257 20 0 DIADHN FC(F)c1cccc(CN2CCC[C@H](c3ccccn3)C2)c1 ZINC000660625405 1041844712 /nfs/dbraw/zinc/84/47/12/1041844712.db2.gz HISZVMIYBXSOKF-INIZCTEOSA-N 0 3 302.368 4.399 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3cccnc3Cl)C2)cc1 ZINC000814640603 1041846645 /nfs/dbraw/zinc/84/66/45/1041846645.db2.gz MDWPXMVUKSXRAC-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H](c2ccccn2)C1 ZINC000660631979 1041847232 /nfs/dbraw/zinc/84/72/32/1041847232.db2.gz RCZTWGIZNBKWHH-HIFRSBDPSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)o1 ZINC000429785763 1041848706 /nfs/dbraw/zinc/84/87/06/1041848706.db2.gz CLPXBIYIHCAXNF-STQMWFEESA-N 0 3 306.356 4.428 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000642330741 1041851555 /nfs/dbraw/zinc/85/15/55/1041851555.db2.gz MCVONSCQKJCDGI-CJNGLKHVSA-N 0 3 310.869 4.028 20 0 DIADHN CC1(C)[C@@H]2C[C@H](N3Cc4cccc(Br)c4C3)C[C@@H]21 ZINC001170758626 1041853135 /nfs/dbraw/zinc/85/31/35/1041853135.db2.gz SUHPHNAHIRPJDQ-UBSAEIQESA-N 0 3 306.247 4.199 20 0 DIADHN COc1ccc(CN(Cc2cc3cnccc3o2)C2CC2)cc1 ZINC000651608771 1041853921 /nfs/dbraw/zinc/85/39/21/1041853921.db2.gz DKHDKUOJBRKPDJ-UHFFFAOYSA-N 0 3 308.381 4.001 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCC[C@H]1CCOC1 ZINC000660708490 1041854546 /nfs/dbraw/zinc/85/45/46/1041854546.db2.gz PRKZBODYMGSYLI-STQMWFEESA-N 0 3 321.852 4.026 20 0 DIADHN CC(C)OCCCN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660709181 1041854585 /nfs/dbraw/zinc/85/45/85/1041854585.db2.gz GTCNDBSVGOFQPO-GFCCVEGCSA-N 0 3 309.841 4.024 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)C[C@@H]1CCO[C@H](C)C1 ZINC000660708421 1041855199 /nfs/dbraw/zinc/85/51/99/1041855199.db2.gz OSCOGKSZLOQARR-FRRDWIJNSA-N 0 3 321.852 4.024 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCC1CCOCC1 ZINC000660708870 1041855665 /nfs/dbraw/zinc/85/56/65/1041855665.db2.gz WMGBDCAJCIBMCE-LBPRGKRZSA-N 0 3 321.852 4.026 20 0 DIADHN COCCN(Cc1cc2cnccc2o1)[C@H](C)c1ccccc1 ZINC000651617704 1041857970 /nfs/dbraw/zinc/85/79/70/1041857970.db2.gz NJJGBHPQHOUMDS-OAHLLOKOSA-N 0 3 310.397 4.037 20 0 DIADHN c1c2cnccc2oc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC000651623637 1041859507 /nfs/dbraw/zinc/85/95/07/1041859507.db2.gz LYSXWLVVQFVPEY-GOSISDBHSA-N 0 3 322.408 4.009 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1cc2cnccc2o1 ZINC000651631357 1041860123 /nfs/dbraw/zinc/86/01/23/1041860123.db2.gz KMCYKIQPDHWDRI-QGZVFWFLSA-N 0 3 308.381 4.174 20 0 DIADHN c1c2cnccc2oc1CN1CCS[C@H](c2ccccc2)C1 ZINC000651640096 1041861946 /nfs/dbraw/zinc/86/19/46/1041861946.db2.gz BMZNELOZNBHZBI-SFHVURJKSA-N 0 3 310.422 4.118 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1cc2cnccc2o1)c1ccccc1 ZINC000651645432 1041863941 /nfs/dbraw/zinc/86/39/41/1041863941.db2.gz SGXIAELDSBMSIT-KRWDZBQOSA-N 0 3 320.392 4.065 20 0 DIADHN COC1(C(F)(F)F)CCN(Cc2cccc(C(C)C)c2)CC1 ZINC000660822144 1041864198 /nfs/dbraw/zinc/86/41/98/1041864198.db2.gz ACUHTRWSOIWZLP-UHFFFAOYSA-N 0 3 315.379 4.353 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cc3cnccc3o2)CC1 ZINC000651643213 1041864413 /nfs/dbraw/zinc/86/44/13/1041864413.db2.gz WIZAELZKWQPDSU-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN CCn1cc(CN[C@H](c2cccc(C(F)(F)F)c2)C2CC2)cn1 ZINC000094015121 1041865038 /nfs/dbraw/zinc/86/50/38/1041865038.db2.gz ZMJIAWMKVTUJMZ-INIZCTEOSA-N 0 3 323.362 4.163 20 0 DIADHN CC[C@]1(C)CCC[C@@H]1Nc1ccccc1CN1CCC(O)CC1 ZINC000651654915 1041866068 /nfs/dbraw/zinc/86/60/68/1041866068.db2.gz HJNYZXRDQXTIDI-VQTJNVASSA-N 0 3 316.489 4.024 20 0 DIADHN Fc1cccc(CN2CCC3(CC3(F)F)CC2)c1OC(F)F ZINC000660917722 1041871359 /nfs/dbraw/zinc/87/13/59/1041871359.db2.gz PCBPBXWDIXPLLW-UHFFFAOYSA-N 0 3 321.289 4.048 20 0 DIADHN CC[C@@H](CN[C@@H](Cc1ccccc1)c1ncco1)CC(F)F ZINC000660926146 1041872571 /nfs/dbraw/zinc/87/25/71/1041872571.db2.gz MDCXAZXGIWPZLI-HIFRSBDPSA-N 0 3 308.372 4.229 20 0 DIADHN COc1cc(OC)c(CN[C@@H](c2ccccc2)C(C)C)cc1F ZINC000651670284 1041873626 /nfs/dbraw/zinc/87/36/26/1041873626.db2.gz BUAUDDIPCMNYHG-LJQANCHMSA-N 0 3 317.404 4.330 20 0 DIADHN COc1cc(OC)c(CN[C@H](c2ccccc2)C(C)C)cc1F ZINC000651670283 1041874155 /nfs/dbraw/zinc/87/41/55/1041874155.db2.gz BUAUDDIPCMNYHG-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN COC[C@H](CC(C)(C)C)N[C@@H](c1ccccc1)c1cccnc1 ZINC000651700282 1041886816 /nfs/dbraw/zinc/88/68/16/1041886816.db2.gz ZLSHNEQQDVOFOT-OALUTQOASA-N 0 3 312.457 4.212 20 0 DIADHN COC[C@H](CC(C)(C)C)N[C@@H](c1ccccc1)c1ccncc1 ZINC000651704230 1041888967 /nfs/dbraw/zinc/88/89/67/1041888967.db2.gz AMMVKJMEVUKFSP-OALUTQOASA-N 0 3 312.457 4.212 20 0 DIADHN Cn1c(CN[C@H]2CCCC23CCCCC3)nnc1-c1ccccc1 ZINC000661126331 1041891706 /nfs/dbraw/zinc/89/17/06/1041891706.db2.gz CSUXGVFUGYFOEM-KRWDZBQOSA-N 0 3 324.472 4.075 20 0 DIADHN CCc1cccc(CN[C@@H](Cc2nccn2C)c2ccccc2)c1 ZINC000651724472 1041896423 /nfs/dbraw/zinc/89/64/23/1041896423.db2.gz WEPNXIMAZGNCFT-FQEVSTJZSA-N 0 3 319.452 4.056 20 0 DIADHN Fc1ccc(CN2CCC(c3ccco3)CC2)c2ncccc12 ZINC000661163777 1041898406 /nfs/dbraw/zinc/89/84/06/1041898406.db2.gz QNHGSOWSRSRJSX-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000651739244 1041899770 /nfs/dbraw/zinc/89/97/70/1041899770.db2.gz OMZGBGRLMHHPHL-OTYXRUKQSA-N 0 3 322.811 4.465 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccc(-c2ccccc2)cn1 ZINC000651768276 1041907278 /nfs/dbraw/zinc/90/72/78/1041907278.db2.gz FNEOTNKYMXCNSJ-QAPCUYQASA-N 0 3 310.441 4.357 20 0 DIADHN CC[C@@H](NCc1ccnc(Br)c1)c1ccc(C)cc1 ZINC000815080716 1041910934 /nfs/dbraw/zinc/91/09/34/1041910934.db2.gz VZZPERWIYQWUAJ-OAHLLOKOSA-N 0 3 319.246 4.393 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651783254 1041911019 /nfs/dbraw/zinc/91/10/19/1041911019.db2.gz VVRHHXGPRYURRV-INIZCTEOSA-N 0 3 312.457 4.340 20 0 DIADHN O[C@@H](CN1CCc2cccc(F)c2C1)c1cccc2ccccc21 ZINC000815081270 1041912739 /nfs/dbraw/zinc/91/27/39/1041912739.db2.gz XNEWSDOJYFBITG-NRFANRHFSA-N 0 3 321.395 4.071 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cc4cnccc4o3)C2)c1 ZINC000651816954 1041922429 /nfs/dbraw/zinc/92/24/29/1041922429.db2.gz RBLWWIZZVPULJK-CQSZACIVSA-N 0 3 312.800 4.471 20 0 DIADHN Cc1ccc(CCN[C@H]2CCc3ccc(F)c(Cl)c32)nc1 ZINC000651827837 1041927309 /nfs/dbraw/zinc/92/73/09/1041927309.db2.gz OTGLAISJSIMKOK-HNNXBMFYSA-N 0 3 304.796 4.002 20 0 DIADHN Cc1ccc(CCN[C@@H]2CCc3ccc(F)c(Cl)c32)nc1 ZINC000651827838 1041927426 /nfs/dbraw/zinc/92/74/26/1041927426.db2.gz OTGLAISJSIMKOK-OAHLLOKOSA-N 0 3 304.796 4.002 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1cccc3cnccc31)CC2 ZINC000651900788 1041946610 /nfs/dbraw/zinc/94/66/10/1041946610.db2.gz JJDJFJIBINVIRB-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN C[C@@H](N[C@@H]1Cc2ccccc2[C@@H]1C)c1nnc(-c2ccccc2)o1 ZINC000661526747 1041948130 /nfs/dbraw/zinc/94/81/30/1041948130.db2.gz JYZUAKMFKVZFIU-PMUMKWKESA-N 0 3 319.408 4.116 20 0 DIADHN CC[C@H](OCCNCc1cn(C(C)C)nc1C)c1ccccc1 ZINC000651908799 1041948853 /nfs/dbraw/zinc/94/88/53/1041948853.db2.gz NTYIDSVMXIMSQY-IBGZPJMESA-N 0 3 315.461 4.030 20 0 DIADHN CC[C@@H]1CN(CCCC2CCCCC2)CCN1CC(F)F ZINC000561949874 1041949442 /nfs/dbraw/zinc/94/94/42/1041949442.db2.gz MIQYYCINEAMZRO-MRXNPFEDSA-N 0 3 302.453 4.008 20 0 DIADHN Cc1ccc(CNCc2ccc(OCc3ccccc3)cc2)cn1 ZINC000310105234 1041949563 /nfs/dbraw/zinc/94/95/63/1041949563.db2.gz PANIYKYYUWAHRE-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN C[C@H]1CN(Cc2sc3ccccc3c2Cl)C[C@H](C)C1O ZINC000661626860 1041962941 /nfs/dbraw/zinc/96/29/41/1041962941.db2.gz WYOGKDKLVWFTRQ-QWRGUYRKSA-N 0 3 309.862 4.003 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000815559976 1041963131 /nfs/dbraw/zinc/96/31/31/1041963131.db2.gz VKAGDLJEUOZMIG-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN COCc1cc(CN[C@@H](C)c2ccc(F)cc2C)ccc1F ZINC000815581560 1041966414 /nfs/dbraw/zinc/96/64/14/1041966414.db2.gz JKYDOUIWDSHCDG-ZDUSSCGKSA-N 0 3 305.368 4.270 20 0 DIADHN CCC1(CN[C@@H](c2ccc(OC)cc2)c2ccccn2)CCC1 ZINC000652158952 1041970293 /nfs/dbraw/zinc/97/02/93/1041970293.db2.gz NUHIPRDLGNNVQA-IBGZPJMESA-N 0 3 310.441 4.350 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccc(OCC2CC2)nc1 ZINC000815573167 1041972737 /nfs/dbraw/zinc/97/27/37/1041972737.db2.gz ZYYDQKKMXLGCQO-AWEZNQCLSA-N 0 3 314.404 4.169 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1cn(C)nc1-c1ccccc1 ZINC000815615093 1041973970 /nfs/dbraw/zinc/97/39/70/1041973970.db2.gz IJPYMULWXKCZID-OAHLLOKOSA-N 0 3 323.415 4.385 20 0 DIADHN COc1ccc([C@@H](NCCCC(C)(F)F)c2ccccn2)cc1 ZINC000652202889 1041976716 /nfs/dbraw/zinc/97/67/16/1041976716.db2.gz XFSZUUDQCDCUTG-QGZVFWFLSA-N 0 3 320.383 4.205 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000815627335 1041977431 /nfs/dbraw/zinc/97/74/31/1041977431.db2.gz YTUNNSVCDVKVPN-AUUYWEPGSA-N 0 3 318.411 4.227 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000815627336 1041977626 /nfs/dbraw/zinc/97/76/26/1041977626.db2.gz YTUNNSVCDVKVPN-IFXJQAMLSA-N 0 3 318.411 4.227 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(F)c2ccccc21)C(F)(F)F ZINC000815605447 1041979544 /nfs/dbraw/zinc/97/95/44/1041979544.db2.gz UYAOGWJVQOYBCE-BMIGLBTASA-N 0 3 315.310 4.207 20 0 DIADHN CCOC(=O)[C@H](CC(C)(C)F)Nc1ccc2cnc(C)cc2c1 ZINC001170796026 1041982121 /nfs/dbraw/zinc/98/21/21/1041982121.db2.gz QLSHTSOTTUQXHN-INIZCTEOSA-N 0 3 318.392 4.025 20 0 DIADHN Clc1cccc(-c2nc(CN[C@@H]3CCCOCC3)cs2)c1 ZINC001170800984 1041991948 /nfs/dbraw/zinc/99/19/48/1041991948.db2.gz LRHGBMFHVUSKGD-CQSZACIVSA-N 0 3 322.861 4.122 20 0 DIADHN CCCC[C@H](CC)CC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001126142678 1041992627 /nfs/dbraw/zinc/99/26/27/1041992627.db2.gz ILWMJKFNGLOJND-XBMUEBEBSA-N 0 3 322.537 4.316 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001126142677 1041993290 /nfs/dbraw/zinc/99/32/90/1041993290.db2.gz ILWMJKFNGLOJND-JLAWEPINSA-N 0 3 322.537 4.316 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cnc(OC)c(Cl)c2)c1 ZINC000652306824 1041997313 /nfs/dbraw/zinc/99/73/13/1041997313.db2.gz FHIJZNZJROHAFE-GFCCVEGCSA-N 0 3 304.821 4.157 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1ccc(CSC(F)F)o1 ZINC000093558900 1042003579 /nfs/dbraw/zinc/00/35/79/1042003579.db2.gz UJEZQUUNTAZMMP-SNVBAGLBSA-N 0 3 309.447 4.309 20 0 DIADHN c1ccc(OC2CC2)c(CN2CCC([C@H]3CCCCO3)CC2)c1 ZINC000661867385 1042011478 /nfs/dbraw/zinc/01/14/78/1042011478.db2.gz MLYMQVGTBUPGFD-LJQANCHMSA-N 0 3 315.457 4.009 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000661880845 1042014200 /nfs/dbraw/zinc/01/42/00/1042014200.db2.gz ROAXKFFQYQBKGU-CPUCHLNUSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000661880075 1042014464 /nfs/dbraw/zinc/01/44/64/1042014464.db2.gz ZEDCEDGIPSXLJE-INMHGKMJSA-N 0 3 314.429 4.170 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000661881321 1042014909 /nfs/dbraw/zinc/01/49/09/1042014909.db2.gz UTJPNEKSRPQUTL-HBUWYVDXSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000661881322 1042014943 /nfs/dbraw/zinc/01/49/43/1042014943.db2.gz UTJPNEKSRPQUTL-LBTNJELSSA-N 0 3 309.400 4.307 20 0 DIADHN O=C1CCCC12CCN([C@H]1CCc3c1cccc3Cl)CC2 ZINC000661958191 1042029677 /nfs/dbraw/zinc/02/96/77/1042029677.db2.gz NZOKHFZFOCXIBB-INIZCTEOSA-N 0 3 303.833 4.163 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(CCC1OCCCO1)C1CC1 ZINC000748051489 1042031107 /nfs/dbraw/zinc/03/11/07/1042031107.db2.gz YYASSAOKTVKHLN-ZDUSSCGKSA-N 0 3 309.837 4.019 20 0 DIADHN C[C@@H](NCc1cc(-c2cccs2)on1)C1CCC(F)CC1 ZINC000662003949 1042040145 /nfs/dbraw/zinc/04/01/45/1042040145.db2.gz VOFOIYDOAYJPSD-PNESKVBLSA-N 0 3 308.422 4.410 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@@H](C4CCC4)C3)o2)cc1 ZINC000662038125 1042047788 /nfs/dbraw/zinc/04/77/88/1042047788.db2.gz KRDUAIVGEWHHPF-QGZVFWFLSA-N 0 3 311.429 4.057 20 0 DIADHN FC(F)Oc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000058474402 1042070373 /nfs/dbraw/zinc/07/03/73/1042070373.db2.gz LOBQDRGTOKYEPO-UHFFFAOYSA-N 0 3 302.324 4.059 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000662131876 1042070476 /nfs/dbraw/zinc/07/04/76/1042070476.db2.gz VREYWUZNDGPAMC-PHTAMDTFSA-N 0 3 317.820 4.153 20 0 DIADHN c1cc2c(o1)CCCN(C[C@H]1CCC[C@H](c3ccccc3)O1)C2 ZINC001170835621 1042073881 /nfs/dbraw/zinc/07/38/81/1042073881.db2.gz NBRNGAIIVSPLHF-UYAOXDASSA-N 0 3 311.425 4.338 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1[C@H](CC)CCC[C@@H]1C ZINC000662158793 1042076142 /nfs/dbraw/zinc/07/61/42/1042076142.db2.gz XKHXJOBROADOOX-DOTOQJQBSA-N 0 3 302.462 4.149 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(CCC1CC1)CC1CC1 ZINC000662179651 1042078504 /nfs/dbraw/zinc/07/85/04/1042078504.db2.gz RYPQWTIGAGFWPM-UHFFFAOYSA-N 0 3 314.473 4.008 20 0 DIADHN c1sc(-c2ccccn2)nc1CN(CCC1CC1)CC1CC1 ZINC000662180047 1042079066 /nfs/dbraw/zinc/07/90/66/1042079066.db2.gz YFOGSRTYCBTLDI-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001135718465 1042085520 /nfs/dbraw/zinc/08/55/20/1042085520.db2.gz SQCGJDUFFSFNRI-AZUAARDMSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](NCc1coc(-c2c(F)cccc2F)n1)C1CCCC1 ZINC000184655520 1042085830 /nfs/dbraw/zinc/08/58/30/1042085830.db2.gz OHOGEKGWOXBFGY-NSHDSACASA-N 0 3 306.356 4.288 20 0 DIADHN C[C@@H](NCc1coc(-c2c(F)cccc2F)n1)C1CCCC1 ZINC000184655534 1042086687 /nfs/dbraw/zinc/08/66/87/1042086687.db2.gz OHOGEKGWOXBFGY-LLVKDONJSA-N 0 3 306.356 4.288 20 0 DIADHN CC[C@H](C)CCCCC(=O)NC[C@H](c1ccccc1)N(C)C ZINC001135742479 1042088021 /nfs/dbraw/zinc/08/80/21/1042088021.db2.gz NWOYRGGCGBGRSJ-FUHWJXTLSA-N 0 3 304.478 4.012 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)NC[C@H](C)N(C)Cc1ccccc1 ZINC001126212958 1042089755 /nfs/dbraw/zinc/08/97/55/1042089755.db2.gz YUQIRPCZBIVNDS-IRXDYDNUSA-N 0 3 318.505 4.086 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001135853696 1042099918 /nfs/dbraw/zinc/09/99/18/1042099918.db2.gz DNUOTKXTSKZKOB-KGLIPLIRSA-N 0 3 320.477 4.014 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2cncc3ccccc32)C1 ZINC000817282245 1042100161 /nfs/dbraw/zinc/10/01/61/1042100161.db2.gz XFPKDMSWUBJYRV-ZDUSSCGKSA-N 0 3 308.347 4.399 20 0 DIADHN C[C@@H](c1ccc(CN(C)CC2=CCSC2)cc1)C(F)(F)F ZINC000662267802 1042100925 /nfs/dbraw/zinc/10/09/25/1042100925.db2.gz YIJPHPAGJOJFJO-LBPRGKRZSA-N 0 3 315.404 4.457 20 0 DIADHN CC(C)c1cccc(CNCc2nc(-c3ccc(F)cc3)n[nH]2)c1 ZINC000663319050 1042113824 /nfs/dbraw/zinc/11/38/24/1042113824.db2.gz OVXGZBIDKCQJBK-UHFFFAOYSA-N 0 3 324.403 4.024 20 0 DIADHN CC(C)c1cccc(C[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1 ZINC000663319050 1042113828 /nfs/dbraw/zinc/11/38/28/1042113828.db2.gz OVXGZBIDKCQJBK-UHFFFAOYSA-N 0 3 324.403 4.024 20 0 DIADHN Cc1ccc(OC[C@H](C)N[C@@H](C)c2ccncc2Cl)cc1 ZINC000817574750 1042115503 /nfs/dbraw/zinc/11/55/03/1042115503.db2.gz QZGVVNUFTZVECN-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1cc(CNCc2cccc(C(C)C)c2)cc(N2CCCC2)n1 ZINC000663324411 1042116024 /nfs/dbraw/zinc/11/60/24/1042116024.db2.gz CBHITRVQJWTVHY-UHFFFAOYSA-N 0 3 323.484 4.403 20 0 DIADHN CCC1(CN[C@H](c2nc(Br)cs2)C2CC2)CC1 ZINC000817580317 1042116196 /nfs/dbraw/zinc/11/61/96/1042116196.db2.gz IGJPAPZSMUOFEF-NSHDSACASA-N 0 3 315.280 4.137 20 0 DIADHN Cc1cccc2c(C(=O)Nc3cccc(CN(C)C)c3)coc21 ZINC001135964005 1042121666 /nfs/dbraw/zinc/12/16/66/1042121666.db2.gz KSGDQUPIWZAATM-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(OC(C)(C)C)CC1 ZINC000162467087 1042127315 /nfs/dbraw/zinc/12/73/15/1042127315.db2.gz NEYCPISSTVDWQD-UHFFFAOYSA-N 0 3 305.462 4.253 20 0 DIADHN Cc1cc(C)c(CNCc2cnccc2C(F)(F)F)c(C)c1 ZINC000663351764 1042128754 /nfs/dbraw/zinc/12/87/54/1042128754.db2.gz JBMYACKOCACQBA-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(-c3ccccc3)nc2)CC12CCC2 ZINC000644835411 1042132788 /nfs/dbraw/zinc/13/27/88/1042132788.db2.gz XOLCASSSERRKLQ-SFHVURJKSA-N 0 3 307.441 4.154 20 0 DIADHN Cc1ccccc1OCCCN1CCC[C@H](C(F)(F)F)C1 ZINC000065208700 1042135456 /nfs/dbraw/zinc/13/54/56/1042135456.db2.gz MQDRZTHTGQBONM-AWEZNQCLSA-N 0 3 301.352 4.038 20 0 DIADHN Clc1cccc2c1C[C@@H](NCc1nc3ccccc3o1)CC2 ZINC001170894227 1042143759 /nfs/dbraw/zinc/14/37/59/1042143759.db2.gz OPRQTNVNESDUHC-ZDUSSCGKSA-N 0 3 312.800 4.128 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000165357827 1042146056 /nfs/dbraw/zinc/14/60/56/1042146056.db2.gz NJAVKMKNXQNCLJ-KGLIPLIRSA-N 0 3 303.475 4.332 20 0 DIADHN Clc1cccnc1CN[C@H]1CCc2cccc(Cl)c2C1 ZINC001170897535 1042147448 /nfs/dbraw/zinc/14/74/48/1042147448.db2.gz PQQGSTSCNVEMCB-LBPRGKRZSA-N 0 3 307.224 4.036 20 0 DIADHN CC(C)C[C@H](NCc1cc(N)ccn1)c1ccc(Cl)cc1 ZINC000925772464 1042160197 /nfs/dbraw/zinc/16/01/97/1042160197.db2.gz SEYGIFRPWHCAKX-KRWDZBQOSA-N 0 3 303.837 4.194 20 0 DIADHN Clc1cccc2c1C[C@H](N1CCc3sccc3C1)CC2 ZINC001170920431 1042166101 /nfs/dbraw/zinc/16/61/01/1042166101.db2.gz RPIRISPQRQXRPK-CQSZACIVSA-N 0 3 303.858 4.317 20 0 DIADHN Cc1ccc(C2CC2)c(C(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000819354129 1042179442 /nfs/dbraw/zinc/17/94/42/1042179442.db2.gz HFIDLJKLRXRNQO-UHFFFAOYSA-N 0 3 322.452 4.495 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cnccc1C(F)(F)F ZINC000663475048 1042185622 /nfs/dbraw/zinc/18/56/22/1042185622.db2.gz SIZNPFDQILLCTO-INIZCTEOSA-N 0 3 306.331 4.186 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000026467507 1042188661 /nfs/dbraw/zinc/18/86/61/1042188661.db2.gz QDUOEZQGYPPFPL-HZPDHXFCSA-N 0 3 302.462 4.259 20 0 DIADHN CC(C)C[C@@H](C)CC(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC000303227061 1042198916 /nfs/dbraw/zinc/19/89/16/1042198916.db2.gz WXBMMQOPZWZEHU-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000026475530 1042204982 /nfs/dbraw/zinc/20/49/82/1042204982.db2.gz ZWEIXTHMUKAXFS-CVEARBPZSA-N 0 3 316.489 4.433 20 0 DIADHN CCc1ccc(CCN(Cc2ccco2)C[C@H]2CCCO2)cc1 ZINC000604383673 1042206578 /nfs/dbraw/zinc/20/65/78/1042206578.db2.gz CGCVYPUMXVRREZ-HXUWFJFHSA-N 0 3 313.441 4.066 20 0 DIADHN c1ccc(OCCCN2CCSC[C@H]2c2ccccc2)cc1 ZINC000303633119 1042208888 /nfs/dbraw/zinc/20/88/88/1042208888.db2.gz FWAYWYCMQZAWQG-IBGZPJMESA-N 0 3 313.466 4.246 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000893621141 1042211581 /nfs/dbraw/zinc/21/15/81/1042211581.db2.gz PIFPEWULNFIPJG-SJLPKXTDSA-N 0 3 317.816 4.056 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2CCO[C@H](c3ccccc3)C2)c1 ZINC000893621142 1042211641 /nfs/dbraw/zinc/21/16/41/1042211641.db2.gz PIFPEWULNFIPJG-WMZOPIPTSA-N 0 3 317.816 4.056 20 0 DIADHN CCC(CC)CN(CC)Cc1nnc(-c2ccc(C)cc2)o1 ZINC000051824733 1042214345 /nfs/dbraw/zinc/21/43/45/1042214345.db2.gz FRFWHXAQDJWDJI-UHFFFAOYSA-N 0 3 301.434 4.303 20 0 DIADHN CCc1cccc(Cl)c1CNCCN1Cc2ccccc2C1 ZINC001648935565 1042221646 /nfs/dbraw/zinc/22/16/46/1042221646.db2.gz UHARJKALBOZONR-UHFFFAOYSA-N 0 3 314.860 4.008 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1sccc1C(F)F ZINC000820608351 1042223144 /nfs/dbraw/zinc/22/31/44/1042223144.db2.gz GVQFVACACHOEBR-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN Cc1noc(C)c1CCN[C@H](Cc1ccccc1C)c1ccco1 ZINC000820810568 1042229433 /nfs/dbraw/zinc/22/94/33/1042229433.db2.gz ZJPFWCISYCNGRV-LJQANCHMSA-N 0 3 324.424 4.309 20 0 DIADHN CSc1cccc(CN[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000660554891 1042234787 /nfs/dbraw/zinc/23/47/87/1042234787.db2.gz OJCSORKSFOEHOM-KRWDZBQOSA-N 0 3 321.873 4.275 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1cc(-c3ccccc3)on1)CC2 ZINC001170955664 1042235733 /nfs/dbraw/zinc/23/57/33/1042235733.db2.gz NWKVZESNVIFZDO-MRXNPFEDSA-N 0 3 322.383 4.128 20 0 DIADHN COc1ccc([C@H](NCCOCC(C)C)c2ccccc2)cc1 ZINC000322251657 1042241688 /nfs/dbraw/zinc/24/16/88/1042241688.db2.gz IKHMSLNNOBFMBB-HXUWFJFHSA-N 0 3 313.441 4.047 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCCOc1cc(C)cc(C)c1 ZINC000663598647 1042246281 /nfs/dbraw/zinc/24/62/81/1042246281.db2.gz YQIJHFYISPZVMT-CQSZACIVSA-N 0 3 302.418 4.028 20 0 DIADHN CCc1ccccc1CN[C@H](c1ccc(F)cc1)[C@H]1CCCO1 ZINC001648940419 1042249328 /nfs/dbraw/zinc/24/93/28/1042249328.db2.gz AKCRZCXIORWCRD-WOJBJXKFSA-N 0 3 313.416 4.398 20 0 DIADHN Cc1ccc(NCCN2CCSCC2)cc1-c1ccccc1 ZINC001167838860 1042251301 /nfs/dbraw/zinc/25/13/01/1042251301.db2.gz IIQNWJDWWNWJKA-UHFFFAOYSA-N 0 3 312.482 4.123 20 0 DIADHN COc1cc2ccccc2cc1CN[C@@H](C)COc1ccccc1 ZINC001648940939 1042251965 /nfs/dbraw/zinc/25/19/65/1042251965.db2.gz NPOWTZJWPJACJF-INIZCTEOSA-N 0 3 321.420 4.406 20 0 DIADHN CC[C@H](NCC(=O)N(C(C)C)C(C)C)c1cccc(Cl)c1 ZINC000195673504 1042256972 /nfs/dbraw/zinc/25/69/72/1042256972.db2.gz ANAKKFMSKYUCOI-INIZCTEOSA-N 0 3 310.869 4.026 20 0 DIADHN COCCCOc1cc(C)ccc1CN[C@@H](C)c1cccs1 ZINC000167233379 1042259736 /nfs/dbraw/zinc/25/97/36/1042259736.db2.gz MMBRWUOTJHCCLV-HNNXBMFYSA-N 0 3 319.470 4.323 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2C[C@@H](C(C)C)c3ccccc32)cn1 ZINC000279020694 1042260363 /nfs/dbraw/zinc/26/03/63/1042260363.db2.gz HQTIUMKDJBMVRC-RBUKOAKNSA-N 0 3 323.440 4.014 20 0 DIADHN CSCC[C@H](C)N[C@H](C)c1ccc(F)cc1Br ZINC000223745858 1042265732 /nfs/dbraw/zinc/26/57/32/1042265732.db2.gz BRPQNSKYJVRBLZ-VHSXEESVSA-N 0 3 320.271 4.380 20 0 DIADHN Cc1cnc([C@@H](C)CNCc2ncc(C(C)(C)C)s2)s1 ZINC000279137581 1042276618 /nfs/dbraw/zinc/27/66/18/1042276618.db2.gz NMMQYHQOQPPMRK-JTQLQIEISA-N 0 3 309.504 4.099 20 0 DIADHN CC(C)CC[C@H](NCc1nc2ccccc2c(=O)[nH]1)C(C)(C)C ZINC000663677118 1042279253 /nfs/dbraw/zinc/27/92/53/1042279253.db2.gz VIZMERJKOWJQGR-INIZCTEOSA-N 0 3 315.461 4.276 20 0 DIADHN CC(C)(C)CCNC(=O)CN[C@@H](c1ccccc1)C1CCCC1 ZINC001167852578 1042280083 /nfs/dbraw/zinc/28/00/83/1042280083.db2.gz OYGLBZYJTUPZAX-IBGZPJMESA-N 0 3 316.489 4.060 20 0 DIADHN C[C@@H](N[C@@H](C)c1cncc(F)c1)c1cccc(N2CCCC2)c1 ZINC000168380385 1042280433 /nfs/dbraw/zinc/28/04/33/1042280433.db2.gz YLUXSKOLSXMXCN-CABCVRRESA-N 0 3 313.420 4.233 20 0 DIADHN C[C@H](N[C@@H](C)c1cncc(F)c1)c1cccc(N2CCCC2)c1 ZINC000168380320 1042280505 /nfs/dbraw/zinc/28/05/05/1042280505.db2.gz YLUXSKOLSXMXCN-GJZGRUSLSA-N 0 3 313.420 4.233 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)C(C)(C)CC(C)(C)C)N(C)C)cc1 ZINC001625917517 1042286840 /nfs/dbraw/zinc/28/68/40/1042286840.db2.gz BIGVHYCZQFVWDF-QGZVFWFLSA-N 0 3 318.505 4.176 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CC[C@@H]1CC1(Cl)Cl ZINC000822956269 1042306987 /nfs/dbraw/zinc/30/69/87/1042306987.db2.gz HXISHALKTKMBFM-RKDXNWHRSA-N 0 3 304.183 4.233 20 0 DIADHN C[C@H](O)[C@H](N[C@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000658359707 1042291913 /nfs/dbraw/zinc/29/19/13/1042291913.db2.gz HKQXHPMYSVPKME-AGRHKRQWSA-N 0 3 315.844 4.429 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(Br)s1 ZINC000063099688 1042298975 /nfs/dbraw/zinc/29/89/75/1042298975.db2.gz ZIMGQSFXMUVDOP-ZCFIWIBFSA-N 0 3 302.159 4.114 20 0 DIADHN C[C@@H](NC1CCC(C(C)(C)C)CC1)c1nnc2n1CCCCC2 ZINC000319915884 1042297647 /nfs/dbraw/zinc/29/76/47/1042297647.db2.gz DNWICIVDFAERNL-QQFBHYJXSA-N 0 3 318.509 4.260 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN[C@H](c1ccccc1)c1ccccn1 ZINC000822696139 1042297828 /nfs/dbraw/zinc/29/78/28/1042297828.db2.gz KYSUKGUFRCYVDF-GOEBONIOSA-N 0 3 321.251 4.345 20 0 DIADHN ClC1(Cl)C[C@H]1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000822696141 1042298015 /nfs/dbraw/zinc/29/80/15/1042298015.db2.gz KYSUKGUFRCYVDF-ZBFHGGJFSA-N 0 3 321.251 4.345 20 0 DIADHN CC[C@@H](NCc1ccnc(C)c1)c1ccc(Br)cc1 ZINC000391169902 1042303382 /nfs/dbraw/zinc/30/33/82/1042303382.db2.gz YLFRAEOMIIGCJV-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCc1ccc(CO)cc1 ZINC000323421720 1042303542 /nfs/dbraw/zinc/30/35/42/1042303542.db2.gz OPGWRDAQESESJH-AWEZNQCLSA-N 0 3 309.409 4.338 20 0 DIADHN Cc1ncsc1CN(C)CCCCCOc1ccccc1 ZINC000187168517 1042305082 /nfs/dbraw/zinc/30/50/82/1042305082.db2.gz LYNLVFFYFRMHJH-UHFFFAOYSA-N 0 3 304.459 4.133 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1CC[C@@H]1CC1(Cl)Cl ZINC000822956266 1042306568 /nfs/dbraw/zinc/30/65/68/1042306568.db2.gz HXISHALKTKMBFM-BDAKNGLRSA-N 0 3 304.183 4.233 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)c(C)c2)cc1OC ZINC000064845586 1042319706 /nfs/dbraw/zinc/31/97/06/1042319706.db2.gz FVYPFVIAJNOOPN-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1cccc(C(F)F)c1)c1ccco1 ZINC000279587187 1042324173 /nfs/dbraw/zinc/32/41/73/1042324173.db2.gz CYNQDUDKOYXIHY-HZPDHXFCSA-N 0 3 321.367 4.305 20 0 DIADHN Clc1cccnc1CN[C@@H]1CCc2c(Cl)cccc2C1 ZINC001171018323 1042326232 /nfs/dbraw/zinc/32/62/32/1042326232.db2.gz SAMMOSTVKYWBKY-GFCCVEGCSA-N 0 3 307.224 4.036 20 0 DIADHN Cc1ccc(CN2CCC(n3c(C)nc4ccccc43)CC2)o1 ZINC000279665234 1042330108 /nfs/dbraw/zinc/33/01/08/1042330108.db2.gz GUYQOSKIPFQKRN-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN CCc1ccc([C@H](NCc2cc(C3CC3)nn2C)C(C)C)cc1 ZINC000823595890 1042334860 /nfs/dbraw/zinc/33/48/60/1042334860.db2.gz ANMMLUWLFQECLC-HXUWFJFHSA-N 0 3 311.473 4.347 20 0 DIADHN COCc1cc([C@@H](C)NCc2cscc2C)ccc1OC ZINC000094877320 1042334880 /nfs/dbraw/zinc/33/48/80/1042334880.db2.gz UVFNPUIISYJGFW-CYBMUJFWSA-N 0 3 305.443 4.062 20 0 DIADHN Cc1cscc1CN[C@H](C)c1ccc2c(c1)OCCCO2 ZINC000094878341 1042335326 /nfs/dbraw/zinc/33/53/26/1042335326.db2.gz UPQAEUIJZJAHHU-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN c1nc2c(s1)CN(CC1CCC(c3ccccc3)CC1)CC2 ZINC000525840330 1042337470 /nfs/dbraw/zinc/33/74/70/1042337470.db2.gz ZCUWNRDVVKIPRF-UHFFFAOYSA-N 0 3 312.482 4.475 20 0 DIADHN CCn1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000112096751 1042338764 /nfs/dbraw/zinc/33/87/64/1042338764.db2.gz PQAHHJPWCUSNFX-QGZVFWFLSA-N 0 3 303.425 4.309 20 0 DIADHN Cc1cccc(NC(=O)CN2CCCC[C@@H]2CC(C)C)c1C ZINC001167896774 1042341232 /nfs/dbraw/zinc/34/12/32/1042341232.db2.gz ANIYMSZWWLPMTP-QGZVFWFLSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccncc2)cc1Br ZINC000097898178 1042342360 /nfs/dbraw/zinc/34/23/60/1042342360.db2.gz RQBVIIFZHBXVON-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@@H]1CCc2ccc(F)cc21 ZINC000437113523 1042344249 /nfs/dbraw/zinc/34/42/49/1042344249.db2.gz JRHGNFPKVFUAKL-LJQANCHMSA-N 0 3 312.432 4.447 20 0 DIADHN CC[C@@H](NCc1cnn(Cc2ccccc2)c1)c1ccc(F)cc1 ZINC000124062291 1042352269 /nfs/dbraw/zinc/35/22/69/1042352269.db2.gz ITIDGUIEIKKOCZ-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2ccc3c[nH]nc3c2)c1 ZINC000236594756 1042369808 /nfs/dbraw/zinc/36/98/08/1042369808.db2.gz FJYLKBRAXXWIOG-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCC[C@@H]1CCSC1 ZINC001203383897 1042379233 /nfs/dbraw/zinc/37/92/33/1042379233.db2.gz DXNSWAYIULADNO-SNVBAGLBSA-N 0 3 321.820 4.174 20 0 DIADHN OCCN(Cc1cc(Cl)ccc1Cl)C1CCCCC1 ZINC000087765510 1042379646 /nfs/dbraw/zinc/37/96/46/1042379646.db2.gz UEHLZKCLXNHWBE-UHFFFAOYSA-N 0 3 302.245 4.120 20 0 DIADHN Cc1cccc(CNCc2ccc(Br)c(F)c2)c1 ZINC000110386723 1042405645 /nfs/dbraw/zinc/40/56/45/1042405645.db2.gz SAQRVQXURDKIPA-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN Fc1ccc(-c2nc(CNC[C@H]3Cc4ccccc43)cs2)cc1 ZINC000237933182 1042383640 /nfs/dbraw/zinc/38/36/40/1042383640.db2.gz HDCSMVCJQGXCLP-OAHLLOKOSA-N 0 3 324.424 4.379 20 0 DIADHN CCOc1ccccc1CCNCc1ncc(C(C)(C)C)s1 ZINC000447937341 1042388022 /nfs/dbraw/zinc/38/80/22/1042388022.db2.gz ASEORLCEIJDBDR-UHFFFAOYSA-N 0 3 318.486 4.172 20 0 DIADHN CCn1cc(CN2CCC[C@@H]2c2ccc[nH]2)c(-c2ccccc2)n1 ZINC000893864387 1042394572 /nfs/dbraw/zinc/39/45/72/1042394572.db2.gz ABFLATMJXWLXHP-LJQANCHMSA-N 0 3 320.440 4.235 20 0 DIADHN C[C@H](CNCc1nccn1C1CC1)c1ccc(C(F)(F)F)cc1 ZINC000893912947 1042395315 /nfs/dbraw/zinc/39/53/15/1042395315.db2.gz LRIFVNSYKKCXRQ-GFCCVEGCSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@H](NCCc1nccs1)c1cc(F)c(Cl)cc1Cl ZINC000063133843 1042395763 /nfs/dbraw/zinc/39/57/63/1042395763.db2.gz KEXOYOTUFIMLIA-QMMMGPOBSA-N 0 3 319.232 4.482 20 0 DIADHN Cc1[nH]nc(CN2CC=C(c3c(C)[nH]c4ccccc43)CC2)c1C ZINC000893926298 1042396203 /nfs/dbraw/zinc/39/62/03/1042396203.db2.gz UKCQWBYFECSLPW-UHFFFAOYSA-N 0 3 320.440 4.106 20 0 DIADHN Fc1ccc(OC(F)(F)F)cc1CN1CCC2(CCC2)C1 ZINC001143544205 1042397018 /nfs/dbraw/zinc/39/70/18/1042397018.db2.gz CRNFFVIJDQQXIT-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN CCCCNC(=O)C1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC000048055297 1042398517 /nfs/dbraw/zinc/39/85/17/1042398517.db2.gz SCXKBBNEMKGSEG-AWEZNQCLSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000925930047 1042401453 /nfs/dbraw/zinc/40/14/53/1042401453.db2.gz SFNYVNWNJFNNEI-DLBZAZTESA-N 0 3 302.368 4.257 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000925930050 1042401885 /nfs/dbraw/zinc/40/18/85/1042401885.db2.gz SFNYVNWNJFNNEI-IAGOWNOFSA-N 0 3 302.368 4.257 20 0 DIADHN CC[C@H](NCc1c(C)nn(CC)c1C)c1cccc(Cl)c1 ZINC000307965039 1042414778 /nfs/dbraw/zinc/41/47/78/1042414778.db2.gz DDDHQTDDMPWIDS-KRWDZBQOSA-N 0 3 305.853 4.414 20 0 DIADHN CC[C@@H](NCc1c(C)nn(CC)c1C)c1cccc(Cl)c1 ZINC000307965040 1042415341 /nfs/dbraw/zinc/41/53/41/1042415341.db2.gz DDDHQTDDMPWIDS-QGZVFWFLSA-N 0 3 305.853 4.414 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)C1CCCCC1)c1nc(C2CC2)no1 ZINC000645113499 1042433325 /nfs/dbraw/zinc/43/33/25/1042433325.db2.gz ZEHZCPIWQLSDCL-CJNGLKHVSA-N 0 3 305.466 4.450 20 0 DIADHN CC[C@H](CN1CCC[C@H]1c1nc2ccccc2n1C(C)C)OC ZINC000419233475 1042434917 /nfs/dbraw/zinc/43/49/17/1042434917.db2.gz HCGQCYKECRTYAX-QAPCUYQASA-N 0 3 315.461 4.179 20 0 DIADHN CC[C@H](CN1CCC[C@@H]1c1nc2ccccc2n1C(C)C)OC ZINC000419233473 1042435178 /nfs/dbraw/zinc/43/51/78/1042435178.db2.gz HCGQCYKECRTYAX-CRAIPNDOSA-N 0 3 315.461 4.179 20 0 DIADHN CCCN(CCC(=O)Nc1ccccc1)Cc1ccccc1F ZINC000614224746 1042439301 /nfs/dbraw/zinc/43/93/01/1042439301.db2.gz UQBHKXPKIYPMOD-UHFFFAOYSA-N 0 3 314.404 4.067 20 0 DIADHN CC(C)Oc1cccc(F)c1Nc1ccc2c(c1)CN(C)CC2 ZINC001211783659 1042447996 /nfs/dbraw/zinc/44/79/96/1042447996.db2.gz YHJKRTJSNCOSKL-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN Cc1cnc([C@H](N[C@@H]2C[C@H]2c2cccc(F)c2)C2CC2)s1 ZINC000411520058 1042454881 /nfs/dbraw/zinc/45/48/81/1042454881.db2.gz USPWHSDTPJAIQN-ARFHVFGLSA-N 0 3 302.418 4.187 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NCc1nc2ccccc2c(=O)[nH]1 ZINC000664048139 1042458152 /nfs/dbraw/zinc/45/81/52/1042458152.db2.gz ZSPSPSKWYCORCV-GDBMZVCRSA-N 0 3 313.445 4.030 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCOc2cc(C)ccc2C)on1 ZINC000052009550 1042461478 /nfs/dbraw/zinc/46/14/78/1042461478.db2.gz KBEDEFVMOKDRBM-KRWDZBQOSA-N 0 3 314.429 4.206 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2Cl)o1)[C@@H]1CC1(C)C ZINC000419307980 1042464891 /nfs/dbraw/zinc/46/48/91/1042464891.db2.gz AEOZETNKAWCZCR-GXTWGEPZSA-N 0 3 319.836 4.304 20 0 DIADHN CCN(Cc1nc2ccccc2c(C)c1Cl)C[C@H]1CCOC1 ZINC000052071266 1042465741 /nfs/dbraw/zinc/46/57/41/1042465741.db2.gz JAXGSQJJNDVJIG-CQSZACIVSA-N 0 3 318.848 4.055 20 0 DIADHN Cc1noc2ncc(CN[C@@H](CC(C)C)c3ccccc3)cc12 ZINC000894144012 1042471030 /nfs/dbraw/zinc/47/10/30/1042471030.db2.gz ZHISRLQPPIBGAM-SFHVURJKSA-N 0 3 309.413 4.408 20 0 DIADHN COCCCC[C@H](NCc1cnc(F)cc1C)c1ccccc1 ZINC000894143533 1042471195 /nfs/dbraw/zinc/47/11/95/1042471195.db2.gz XZLHHZRKSQMPHN-SFHVURJKSA-N 0 3 316.420 4.177 20 0 DIADHN CN(Cc1ccn(CC(F)(F)F)n1)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000419333479 1042471259 /nfs/dbraw/zinc/47/12/59/1042471259.db2.gz MDDBCUZXYPSMRA-KBPBESRZSA-N 0 3 317.399 4.092 20 0 DIADHN Cc1noc2ncc(CN[C@H](C)c3ccc(Cl)cc3)cc12 ZINC000894145953 1042471655 /nfs/dbraw/zinc/47/16/55/1042471655.db2.gz KVOARGSNEKJLEB-SNVBAGLBSA-N 0 3 301.777 4.035 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2F)s1)N1C[C@@H](C)[C@@H](C)[C@H]1C ZINC000419351346 1042476089 /nfs/dbraw/zinc/47/60/89/1042476089.db2.gz IYPZGXQTKSWAQO-FDYHWXHSSA-N 0 3 319.449 4.382 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](C)c1ccc(C2CC2)cc1 ZINC000419355518 1042479209 /nfs/dbraw/zinc/47/92/09/1042479209.db2.gz NHNLLEHDYBLMDB-INIZCTEOSA-N 0 3 322.452 4.470 20 0 DIADHN CC(C)N(CCOc1ccccc1Cl)Cc1ccccn1 ZINC000052218316 1042485203 /nfs/dbraw/zinc/48/52/03/1042485203.db2.gz VFWMINNIABPZTN-UHFFFAOYSA-N 0 3 304.821 4.024 20 0 DIADHN CCCSC[C@@H](C)N[C@H](C)c1ccc(Br)cn1 ZINC000894170858 1042494541 /nfs/dbraw/zinc/49/45/41/1042494541.db2.gz IUVHBMNKMJOQMV-GHMZBOCLSA-N 0 3 317.296 4.026 20 0 DIADHN O=C(CN(C1CC1)C1CCCCC1)Nc1ccccc1Cl ZINC000052664564 1042495102 /nfs/dbraw/zinc/49/51/02/1042495102.db2.gz NJLHVRTWZLZMIU-UHFFFAOYSA-N 0 3 306.837 4.076 20 0 DIADHN CC(C)[C@H](C)N(C)CC(=O)Nc1ccccc1Cc1ccccc1 ZINC000030148820 1042497823 /nfs/dbraw/zinc/49/78/23/1042497823.db2.gz YIPDRPSHTTZFGF-KRWDZBQOSA-N 0 3 324.468 4.192 20 0 DIADHN CC(C)[C@H]1N(Cc2c[nH]nc2-c2cccs2)CC12CCC2 ZINC000430102797 1042498868 /nfs/dbraw/zinc/49/88/68/1042498868.db2.gz PEFHKGBFRGNGQY-MRXNPFEDSA-N 0 3 301.459 4.149 20 0 DIADHN CCCOCc1ccccc1CNCc1cccc2c1NCCC2 ZINC000894192105 1042499958 /nfs/dbraw/zinc/49/99/58/1042499958.db2.gz XMCUVOFSVLFIRB-UHFFFAOYSA-N 0 3 324.468 4.261 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@@H](C)C[C@H](C)C1 ZINC000052869951 1042520465 /nfs/dbraw/zinc/52/04/65/1042520465.db2.gz AMKMUBKSBHTDGF-ZOBUZTSGSA-N 0 3 316.489 4.423 20 0 DIADHN COc1ccccc1C(C)(C)NCc1cc(C)c(OC)c(C)c1 ZINC000237104420 1042524687 /nfs/dbraw/zinc/52/46/87/1042524687.db2.gz QXNRGWUVVDAAKW-UHFFFAOYSA-N 0 3 313.441 4.346 20 0 DIADHN Fc1ccccc1N1CCCN(CCCCC(F)(F)F)CC1 ZINC000513373343 1042537053 /nfs/dbraw/zinc/53/70/53/1042537053.db2.gz YIXFCPHLQGMHNW-UHFFFAOYSA-N 0 3 318.358 4.070 20 0 DIADHN CCCN(Cc1cnc(CC(C)C)s1)C[C@H]1CCCCO1 ZINC000513480174 1042546735 /nfs/dbraw/zinc/54/67/35/1042546735.db2.gz XZLJPTCULWQUTR-OAHLLOKOSA-N 0 3 310.507 4.123 20 0 DIADHN Cc1ncoc1CNCC1(Sc2ccccc2)CCCC1 ZINC000894230170 1042550180 /nfs/dbraw/zinc/55/01/80/1042550180.db2.gz QESMFBJQDOYSEI-UHFFFAOYSA-N 0 3 302.443 4.178 20 0 DIADHN CC(C)c1nc(CCN[C@@H]2CCc3c2nccc3Cl)cs1 ZINC000924907449 1042555575 /nfs/dbraw/zinc/55/55/75/1042555575.db2.gz NTSODKNRSQTEJG-CQSZACIVSA-N 0 3 321.877 4.135 20 0 DIADHN CCc1ncc(CN(C2CC2)[C@@H]2CCCc3ccccc32)cn1 ZINC000414366473 1042559500 /nfs/dbraw/zinc/55/95/00/1042559500.db2.gz BZQPILBSVUACSW-LJQANCHMSA-N 0 3 307.441 4.081 20 0 DIADHN Cc1ccc([C@H](NCCCC2CCOCC2)c2ccccn2)cc1 ZINC000280002025 1042561644 /nfs/dbraw/zinc/56/16/44/1042561644.db2.gz JJWNLEVTXVCIKB-NRFANRHFSA-N 0 3 324.468 4.276 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1ccc2c(c1)CCCC2 ZINC000280233578 1042567898 /nfs/dbraw/zinc/56/78/98/1042567898.db2.gz VLPYSPGQJIVTSU-LIRRHRJNSA-N 0 3 309.457 4.027 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc2c(c1)CCCC2 ZINC000280233562 1042568519 /nfs/dbraw/zinc/56/85/19/1042568519.db2.gz VLPYSPGQJIVTSU-AUUYWEPGSA-N 0 3 309.457 4.027 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000513691876 1042570638 /nfs/dbraw/zinc/57/06/38/1042570638.db2.gz XBJGSNVUTKYLPI-ZDUSSCGKSA-N 0 3 321.367 4.490 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CCC(C)(C)c2ccccc2)c1 ZINC000513717373 1042596845 /nfs/dbraw/zinc/59/68/45/1042596845.db2.gz CYKFUIADHZBEIU-UHFFFAOYSA-N 0 3 324.468 4.445 20 0 DIADHN Nc1cccc(CNC2(c3ccc(F)cc3F)CCCC2)c1 ZINC000420397938 1042599951 /nfs/dbraw/zinc/59/99/51/1042599951.db2.gz GQDHXBVMFNPWGN-UHFFFAOYSA-N 0 3 302.368 4.106 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@@H](C4CCCC4)C3)nn2)cc1 ZINC000420961540 1042618124 /nfs/dbraw/zinc/61/81/24/1042618124.db2.gz IVUKKDFOWSXVEP-QGZVFWFLSA-N 0 3 323.440 4.281 20 0 DIADHN COc1cccc([C@H](C)N2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC000420942534 1042618976 /nfs/dbraw/zinc/61/89/76/1042618976.db2.gz HBTKRXOQLXFISW-STQMWFEESA-N 0 3 301.352 4.421 20 0 DIADHN FC(F)Oc1ccc([C@H]2CN(C[C@H]3CC=CCC3)CCO2)cc1 ZINC000513733976 1042619121 /nfs/dbraw/zinc/61/91/21/1042619121.db2.gz YEYYCSFHOJWOJF-WMLDXEAASA-N 0 3 323.383 4.018 20 0 DIADHN COCc1cccc(CN[C@@H](CC(F)F)c2ccccc2)c1 ZINC000420989575 1042619776 /nfs/dbraw/zinc/61/97/76/1042619776.db2.gz CLGIQDZXTYHTIF-KRWDZBQOSA-N 0 3 305.368 4.319 20 0 DIADHN O=C(CCN[C@@H](CC(F)F)c1ccccc1)Nc1ccccc1 ZINC000421001852 1042621157 /nfs/dbraw/zinc/62/11/57/1042621157.db2.gz XJNKKGNYTYIFGZ-INIZCTEOSA-N 0 3 318.367 4.001 20 0 DIADHN CCn1nc(C)c(CNCc2ccc(C)c3ccccc23)c1C ZINC000414489341 1042622618 /nfs/dbraw/zinc/62/26/18/1042622618.db2.gz YNBHEJGJAPLVOI-UHFFFAOYSA-N 0 3 307.441 4.271 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CCO2)c1ccccc1OC ZINC000319667995 1042624136 /nfs/dbraw/zinc/62/41/36/1042624136.db2.gz CNBGOUOSIXAUSK-KSSFIOAISA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1ccc(Cl)cc1Cl ZINC000421209433 1042627774 /nfs/dbraw/zinc/62/77/74/1042627774.db2.gz YVLNXNTZYHCWJR-LLVKDONJSA-N 0 3 302.245 4.339 20 0 DIADHN CC[C@H](NC1CC2(C1)CCCCC2)c1nnc2n1CCCCC2 ZINC000421321349 1042629657 /nfs/dbraw/zinc/62/96/57/1042629657.db2.gz DMFMYJUASRKSNF-INIZCTEOSA-N 0 3 316.493 4.158 20 0 DIADHN c1cc(CN2CCC[C@@H](c3noc(C4CC4)n3)C2)cc(C2CC2)c1 ZINC000421317938 1042629816 /nfs/dbraw/zinc/62/98/16/1042629816.db2.gz AVMPKRHULNWFFP-GOSISDBHSA-N 0 3 323.440 4.204 20 0 DIADHN c1cc(CN2CCC[C@H](c3noc(C4CC4)n3)C2)cc(C2CC2)c1 ZINC000421317939 1042629980 /nfs/dbraw/zinc/62/99/80/1042629980.db2.gz AVMPKRHULNWFFP-SFHVURJKSA-N 0 3 323.440 4.204 20 0 DIADHN CC(C)(C)c1nc(CNC[C@H]2CC23CCSCC3)cs1 ZINC000421351842 1042631628 /nfs/dbraw/zinc/63/16/28/1042631628.db2.gz MVIMYRMWLAMTNV-GFCCVEGCSA-N 0 3 310.532 4.064 20 0 DIADHN COc1ccc2cc(CNCc3cc(C)nc(C)c3)ccc2c1 ZINC000421384471 1042635196 /nfs/dbraw/zinc/63/51/96/1042635196.db2.gz PFOAFISKCFMRLU-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN COC1([C@@H](C)NCc2csc(-c3ccccc3)n2)CCCC1 ZINC000421823710 1042653857 /nfs/dbraw/zinc/65/38/57/1042653857.db2.gz DWPLQEPTCPUTQL-CQSZACIVSA-N 0 3 316.470 4.247 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@H](C)c1ccncc1Cl ZINC000421828544 1042654772 /nfs/dbraw/zinc/65/47/72/1042654772.db2.gz DFFVWLFFMVCCFW-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccccc1OCCCN[C@H](C)c1ccncc1Cl ZINC000421834955 1042655582 /nfs/dbraw/zinc/65/55/82/1042655582.db2.gz PEHWHOYEPNKJLH-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN C[C@@H](NCCSCc1ccccc1F)c1ccncc1Cl ZINC000421813610 1042656032 /nfs/dbraw/zinc/65/60/32/1042656032.db2.gz HBJUUYFRRKGPOG-GFCCVEGCSA-N 0 3 324.852 4.458 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000421851865 1042656871 /nfs/dbraw/zinc/65/68/71/1042656871.db2.gz HARCJHIVSPWHII-ZWKOPEQDSA-N 0 3 319.779 4.160 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)cs2)C2CC2)c(F)c1 ZINC000421851767 1042657057 /nfs/dbraw/zinc/65/70/57/1042657057.db2.gz GIMHCPKEDKKCNT-KRWDZBQOSA-N 0 3 305.418 4.445 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2C[C@H]2c2ccco2)C2CC2)c(F)c1 ZINC000421856909 1042657496 /nfs/dbraw/zinc/65/74/96/1042657496.db2.gz OQRYIEDYTPRZFD-KYJSFNMBSA-N 0 3 301.361 4.024 20 0 DIADHN C[C@H](NCCc1csc(C(C)(C)C)n1)c1ccncc1Cl ZINC000421838966 1042659068 /nfs/dbraw/zinc/65/90/68/1042659068.db2.gz WCDKNMLUEAUQMM-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1ccncc1Cl ZINC000421848448 1042660523 /nfs/dbraw/zinc/66/05/23/1042660523.db2.gz AKPNNKAXDRCFME-JHJVBQTASA-N 0 3 304.796 4.471 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CC23CCSCC3)cs1 ZINC000421895442 1042662211 /nfs/dbraw/zinc/66/22/11/1042662211.db2.gz YNRRLEUJVWQTGQ-QWHCGFSZSA-N 0 3 310.532 4.450 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OCc2ccccc2)cc1)c1cn[nH]c1 ZINC000271436309 1042664703 /nfs/dbraw/zinc/66/47/03/1042664703.db2.gz DTIYMSOHXBDERN-JKSUJKDBSA-N 0 3 321.424 4.401 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1csc2ccccc12 ZINC000031231958 1042665660 /nfs/dbraw/zinc/66/56/60/1042665660.db2.gz AYZHMJRRQSBYFH-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN Cc1n[nH]cc1CN[C@@H]1CCCc2cc(SC(F)F)ccc21 ZINC000271753333 1042667809 /nfs/dbraw/zinc/66/78/09/1042667809.db2.gz YGDBKQDFMXCEDZ-OAHLLOKOSA-N 0 3 323.412 4.200 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1OC(F)F)c1cccc(CO)c1 ZINC000271957696 1042672585 /nfs/dbraw/zinc/67/25/85/1042672585.db2.gz LCDMJMGONSYPJO-OLZOCXBDSA-N 0 3 321.367 4.192 20 0 DIADHN C[C@@H](N[C@H](C)c1ccccc1OC(F)F)c1cccc(CO)c1 ZINC000271957694 1042672714 /nfs/dbraw/zinc/67/27/14/1042672714.db2.gz LCDMJMGONSYPJO-CHWSQXEVSA-N 0 3 321.367 4.192 20 0 DIADHN COCc1cc([C@H](C)NC2(c3ccccc3)CC2)ccc1OC ZINC000272032923 1042675353 /nfs/dbraw/zinc/67/53/53/1042675353.db2.gz ULQOSFPNNYXPGV-HNNXBMFYSA-N 0 3 311.425 4.182 20 0 DIADHN Cc1cccnc1[C@H](NCc1cccc2c1NCCC2)C(C)C ZINC000894269520 1042681468 /nfs/dbraw/zinc/68/14/68/1042681468.db2.gz RIUNBOBEURUGLD-GOSISDBHSA-N 0 3 309.457 4.235 20 0 DIADHN Clc1ccccc1CN1CCCN(c2ccccc2)CC1 ZINC000302950235 1042684314 /nfs/dbraw/zinc/68/43/14/1042684314.db2.gz JZTOXABVDOKTDV-UHFFFAOYSA-N 0 3 300.833 4.052 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)N[C@@H](C)c1c(F)cccc1Cl ZINC000422922480 1042692368 /nfs/dbraw/zinc/69/23/68/1042692368.db2.gz SWHWFVCCRLJYQU-JQWIXIFHSA-N 0 3 321.827 4.053 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C)c1cnccc1N ZINC000422924295 1042693263 /nfs/dbraw/zinc/69/32/63/1042693263.db2.gz UDNMBDQTCHEZMV-SJECXTJVSA-N 0 3 315.848 4.195 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(F)cn1 ZINC000423350694 1042707465 /nfs/dbraw/zinc/70/74/65/1042707465.db2.gz GQLKGYWXRWYLOD-IEBWSBKVSA-N 0 3 313.420 4.167 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(Cl)cn1 ZINC000423354312 1042707692 /nfs/dbraw/zinc/70/76/92/1042707692.db2.gz NGHXKWNRWJDJDR-PIGZYNQJSA-N 0 3 301.821 4.045 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1cc(F)c(F)c(F)c1 ZINC000423353479 1042707925 /nfs/dbraw/zinc/70/79/25/1042707925.db2.gz LTOCRAHXZPBYSD-DYZYQPBXSA-N 0 3 320.358 4.414 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1cnn(C2CCCC2)c1 ZINC000423409795 1042711178 /nfs/dbraw/zinc/71/11/78/1042711178.db2.gz CFRFRLTUSFNCOL-HNNXBMFYSA-N 0 3 324.472 4.207 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1ccc2c(c1)CCCN2 ZINC000423453717 1042712559 /nfs/dbraw/zinc/71/25/59/1042712559.db2.gz XXDQFUBTZCKNQQ-IBGZPJMESA-N 0 3 308.425 4.048 20 0 DIADHN CC[C@H](N[C@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccncc1 ZINC000423428317 1042713394 /nfs/dbraw/zinc/71/33/94/1042713394.db2.gz GLGJYEVFMPQUKX-IRXDYDNUSA-N 0 3 311.429 4.007 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1N[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423431890 1042713552 /nfs/dbraw/zinc/71/35/52/1042713552.db2.gz IWXDCUGRSPWDAS-ORPRQENYSA-N 0 3 322.452 4.394 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2cccc(OC(F)(F)F)c2)c1 ZINC000423476045 1042715221 /nfs/dbraw/zinc/71/52/21/1042715221.db2.gz JRYIHOXRUZCGGY-GFCCVEGCSA-N 0 3 324.346 4.327 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CC[C@H](c2ccncc2)C1 ZINC000647934286 1042722606 /nfs/dbraw/zinc/72/26/06/1042722606.db2.gz YHIFFYCGXVLTJG-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@H]1CCC[C@H](c2cccnc2)C1 ZINC000424201368 1042729219 /nfs/dbraw/zinc/72/92/19/1042729219.db2.gz RVWINXLZUUZZNN-KNTRFNDTSA-N 0 3 310.416 4.335 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@H]1C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000424300365 1042732383 /nfs/dbraw/zinc/73/23/83/1042732383.db2.gz UMVPNELYLDOVHM-MIMYLULJSA-N 0 3 304.381 4.249 20 0 DIADHN Clc1cccc2c1CCC[C@H]2NCc1cnn2ccccc12 ZINC000353863463 1042752684 /nfs/dbraw/zinc/75/26/84/1042752684.db2.gz GNWGTGUYXDIQSK-QGZVFWFLSA-N 0 3 311.816 4.155 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@H](c2ccccc2)C1 ZINC000513821386 1042757336 /nfs/dbraw/zinc/75/73/36/1042757336.db2.gz IDNWRUPSAHPJQD-QAPCUYQASA-N 0 3 319.408 4.287 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@@H](c2ccccc2)C1 ZINC000513821384 1042757415 /nfs/dbraw/zinc/75/74/15/1042757415.db2.gz IDNWRUPSAHPJQD-CRAIPNDOSA-N 0 3 319.408 4.287 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1cc(C)no1)c1ccc(Cl)cc1 ZINC000513894019 1042761856 /nfs/dbraw/zinc/76/18/56/1042761856.db2.gz FIEZGNHAOLNVIY-NVXWUHKLSA-N 0 3 320.820 4.161 20 0 DIADHN CN(c1ccccc1)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000514234205 1042776063 /nfs/dbraw/zinc/77/60/63/1042776063.db2.gz LGECNNGGSJDWHU-DHZHZOJOSA-N 0 3 306.453 4.301 20 0 DIADHN Cc1nn(C(C)C)cc1CNC(C)(C)c1ccc(Cl)cc1 ZINC000281530188 1042780930 /nfs/dbraw/zinc/78/09/30/1042780930.db2.gz YLSZNIGNQAWNFP-UHFFFAOYSA-N 0 3 305.853 4.451 20 0 DIADHN COc1ccc(CN2CCCC23CC3)cc1OC1CCCC1 ZINC001141513542 1042781312 /nfs/dbraw/zinc/78/13/12/1042781312.db2.gz FVICFBYHTFONQR-UHFFFAOYSA-N 0 3 301.430 4.145 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1ccccn1)c1ccc(Cl)cc1 ZINC000282366817 1042785688 /nfs/dbraw/zinc/78/56/88/1042785688.db2.gz ABWUCZJRALGGTI-DYVFJYSZSA-N 0 3 304.821 4.116 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)N1CCCCCC1 ZINC000514859566 1042794946 /nfs/dbraw/zinc/79/49/46/1042794946.db2.gz XGLSQZBKQLRVFS-JKSUJKDBSA-N 0 3 302.462 4.403 20 0 DIADHN CC(C)CCCC(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001269939568 1042795100 /nfs/dbraw/zinc/79/51/00/1042795100.db2.gz NFVMSLTZWBXVSC-LJQANCHMSA-N 0 3 318.505 4.086 20 0 DIADHN CCn1nc(C)c(CN[C@H]2C[C@H](C(C)C)c3ccccc32)c1C ZINC000514909640 1042799244 /nfs/dbraw/zinc/79/92/44/1042799244.db2.gz APIYIOLMSCMADG-QUCCMNQESA-N 0 3 311.473 4.494 20 0 DIADHN CC(C)n1cncc1CN1[C@H](C)[C@H](CC(F)(F)F)C[C@@H]1C ZINC000425373146 1042800370 /nfs/dbraw/zinc/80/03/70/1042800370.db2.gz UURPEDCXCRQGPN-XQQFMLRXSA-N 0 3 303.372 4.015 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000514963123 1042801966 /nfs/dbraw/zinc/80/19/66/1042801966.db2.gz UMTUXWOZPZFSTK-HOTGVXAUSA-N 0 3 312.457 4.041 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000514963121 1042802013 /nfs/dbraw/zinc/80/20/13/1042802013.db2.gz UMTUXWOZPZFSTK-CVEARBPZSA-N 0 3 312.457 4.041 20 0 DIADHN CCCN(CCC)CC(=O)N1CC[C@@H](C)Sc2ccccc21 ZINC000515124034 1042809784 /nfs/dbraw/zinc/80/97/84/1042809784.db2.gz XCLAXQKELHVRAF-OAHLLOKOSA-N 0 3 320.502 4.026 20 0 DIADHN CN(CCn1ccc2cc(Cl)ccc21)CCC(F)(F)F ZINC000515162178 1042810110 /nfs/dbraw/zinc/81/01/10/1042810110.db2.gz AMFWOVWTSKQHNC-UHFFFAOYSA-N 0 3 304.743 4.179 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@H](N[C@@H](C)CC)c1ccco1 ZINC000515396116 1042818024 /nfs/dbraw/zinc/81/80/24/1042818024.db2.gz ITGJJFDFALWQRL-MPGHIAIKSA-N 0 3 308.466 4.041 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCCc1c[nH]c2ccccc12 ZINC000925000246 1042819510 /nfs/dbraw/zinc/81/95/10/1042819510.db2.gz RUJZGHDPNHZQIN-KRWDZBQOSA-N 0 3 309.413 4.064 20 0 DIADHN CO[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000425481441 1042829904 /nfs/dbraw/zinc/82/99/04/1042829904.db2.gz PZQIQSULKZPLKL-CABCVRRESA-N 0 3 301.352 4.003 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(Cl)cc2)cc1 ZINC000515717531 1042832531 /nfs/dbraw/zinc/83/25/31/1042832531.db2.gz NETWORMPNZVASG-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@H]2Cc3ccccc3O2)o1 ZINC000027220423 1042840359 /nfs/dbraw/zinc/84/03/59/1042840359.db2.gz PNRVDKUFWLCRLT-MRXNPFEDSA-N 0 3 323.367 4.179 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@@H]2[C@@H]2CCCOC2)s1 ZINC000516770862 1042853770 /nfs/dbraw/zinc/85/37/70/1042853770.db2.gz PYBFVLGRVHSBGD-DGCLKSJQSA-N 0 3 319.392 4.158 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(Cl)s1)c1cccs1 ZINC000289974867 1042860846 /nfs/dbraw/zinc/86/08/46/1042860846.db2.gz XKTULVMRUKBEJH-CYBMUJFWSA-N 0 3 315.891 4.312 20 0 DIADHN CCc1cccc(CNCc2cccc(NC(=O)C3CCC3)c2)c1 ZINC000517240941 1042873329 /nfs/dbraw/zinc/87/33/29/1042873329.db2.gz OODLYNWBIRJLDO-UHFFFAOYSA-N 0 3 322.452 4.277 20 0 DIADHN c1cc(-c2ccccc2)oc1CNCc1ccc2nc[nH]c2c1 ZINC000517534606 1042884528 /nfs/dbraw/zinc/88/45/28/1042884528.db2.gz WGOJEBFGYQGWIZ-UHFFFAOYSA-N 0 3 303.365 4.113 20 0 DIADHN O[C@@H](CNCc1ccc(Cl)cc1Cl)C1CCCCC1 ZINC000517551293 1042885323 /nfs/dbraw/zinc/88/53/23/1042885323.db2.gz CDVAPWXXXKIBNK-HNNXBMFYSA-N 0 3 302.245 4.024 20 0 DIADHN COCCN(Cc1cnc(-c2ccco2)s1)[C@@H]1CC[C@H](C)C1 ZINC000425962352 1042887093 /nfs/dbraw/zinc/88/70/93/1042887093.db2.gz HFPMUJWLQAMGEX-UONOGXRCSA-N 0 3 320.458 4.040 20 0 DIADHN Clc1ccc2[nH]c([C@H]3CCCN3C[C@@H]3CCSC3)nc2c1 ZINC000425971834 1042890041 /nfs/dbraw/zinc/89/00/41/1042890041.db2.gz SPKIODGLYDLNLJ-XHDPSFHLSA-N 0 3 321.877 4.106 20 0 DIADHN Fc1cccnc1CN[C@@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000517679975 1042893058 /nfs/dbraw/zinc/89/30/58/1042893058.db2.gz INSDZSNYJAGJEQ-UKRRQHHQSA-N 0 3 304.796 4.300 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(CSC)cc2)cc1 ZINC000517766748 1042896283 /nfs/dbraw/zinc/89/62/83/1042896283.db2.gz YSWBHBDFQAHDQO-AWEZNQCLSA-N 0 3 301.455 4.409 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2ccc(F)cc2)no1)C1CC1 ZINC000517896369 1042902150 /nfs/dbraw/zinc/90/21/50/1042902150.db2.gz DKMPPOAPIFXNEN-OLZOCXBDSA-N 0 3 317.408 4.275 20 0 DIADHN Clc1cccc(-c2nc(CN3CC[C@H]4CCC[C@H]43)co2)c1 ZINC000426464629 1042923088 /nfs/dbraw/zinc/92/30/88/1042923088.db2.gz XJCZJHLTTDMIMC-MLGOLLRUSA-N 0 3 302.805 4.369 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@H]1C[C@H](OC(C)(C)C)C12CCC2 ZINC000925059548 1042926400 /nfs/dbraw/zinc/92/64/00/1042926400.db2.gz BIOWEESAZBUHQF-CQDKDKBSSA-N 0 3 306.450 4.068 20 0 DIADHN Cc1ccc(-c2cc(CN(C)CCc3ccccc3)on2)cc1 ZINC000519004560 1042928048 /nfs/dbraw/zinc/92/80/48/1042928048.db2.gz BCMIHZXDGPOPEJ-UHFFFAOYSA-N 0 3 306.409 4.325 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@H]4CCC[C@@H]43)nc2c1 ZINC000426525847 1042931771 /nfs/dbraw/zinc/93/17/71/1042931771.db2.gz SGMIISDNNVPSER-MFKMUULPSA-N 0 3 310.319 4.221 20 0 DIADHN COc1ccccc1O[C@H]1CCCN(Cc2ccc(C)s2)C1 ZINC000519230644 1042939422 /nfs/dbraw/zinc/93/94/22/1042939422.db2.gz ROPDUCFGILBPBZ-HNNXBMFYSA-N 0 3 317.454 4.109 20 0 DIADHN CCc1cccc(OCCN(C)[C@@H](C)c2sc(C)nc2C)c1 ZINC000519344264 1042945232 /nfs/dbraw/zinc/94/52/32/1042945232.db2.gz AFLCXWIPZJHSQN-AWEZNQCLSA-N 0 3 318.486 4.394 20 0 DIADHN Cc1ncoc1CNCC(C)(C)c1ccc(C(F)(F)F)cc1 ZINC000894534012 1042965964 /nfs/dbraw/zinc/96/59/64/1042965964.db2.gz WDWSSFSKUBSYQV-UHFFFAOYSA-N 0 3 312.335 4.069 20 0 DIADHN CN(Cc1ccnn1C1CCC1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000894527208 1042966422 /nfs/dbraw/zinc/96/64/22/1042966422.db2.gz UJZUOZMEEMUCFW-BETUJISGSA-N 0 3 315.383 4.161 20 0 DIADHN CSc1ccc([C@@H](C)NCc2cnc3onc(C)c3c2)cc1 ZINC000894651893 1042971436 /nfs/dbraw/zinc/97/14/36/1042971436.db2.gz IQSYVQDLTFXYFL-LLVKDONJSA-N 0 3 313.426 4.104 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN[C@@H]1CCCc2c(F)cccc21 ZINC000894659521 1042972059 /nfs/dbraw/zinc/97/20/59/1042972059.db2.gz USBJDECYCHVFPF-LJQANCHMSA-N 0 3 315.436 4.387 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(C(F)F)c(F)c2)CC1(C)C ZINC000894723885 1042975062 /nfs/dbraw/zinc/97/50/62/1042975062.db2.gz QONRVJOJLDEVIX-AWEZNQCLSA-N 0 3 301.352 4.010 20 0 DIADHN Oc1cccc2sc(CN3CCC[C@H]3c3cccnc3)cc21 ZINC000894762639 1042976548 /nfs/dbraw/zinc/97/65/48/1042976548.db2.gz WMUOBAXHHXOBBT-INIZCTEOSA-N 0 3 310.422 4.339 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@@H]2CCCC[C@@H]2c2ccccc2Cl)[n-]1 ZINC000894778282 1042977258 /nfs/dbraw/zinc/97/72/58/1042977258.db2.gz PEAZHRBDDNNYTC-SGIREYDYSA-N 0 3 318.852 4.144 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)N[C@@H](C)c1cscn1 ZINC000578884772 1042981233 /nfs/dbraw/zinc/98/12/33/1042981233.db2.gz RYPPOQPHZPLFFY-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487380388 1042983957 /nfs/dbraw/zinc/98/39/57/1042983957.db2.gz RELQMCKCNUKCRH-HNNXBMFYSA-N 0 3 321.371 4.025 20 0 DIADHN Cc1cnc([C@@H](C)Nc2cccc3c2CN([C@H](C)C(C)C)C3)cn1 ZINC000427443221 1042988244 /nfs/dbraw/zinc/98/82/44/1042988244.db2.gz KTEXHKORILJQDC-HZPDHXFCSA-N 0 3 324.472 4.318 20 0 DIADHN CC(F)(F)c1ccc(CNCc2cccc3c2NCCC3)cc1 ZINC000894854203 1042988896 /nfs/dbraw/zinc/98/88/96/1042988896.db2.gz DAZDYEOXQWGXEV-UHFFFAOYSA-N 0 3 316.395 4.446 20 0 DIADHN Cc1nc(C)c(CN2C[C@H](C)C[C@H]2c2ccccc2F)s1 ZINC000353697348 1042990885 /nfs/dbraw/zinc/99/08/85/1042990885.db2.gz GELLEEDDILOPDP-BZNIZROVSA-N 0 3 304.434 4.482 20 0 DIADHN Cc1ccncc1CCCN[C@@H](C)c1c(F)cccc1Cl ZINC000519786817 1043003259 /nfs/dbraw/zinc/00/32/59/1043003259.db2.gz FTBPUVODEXQULT-ZDUSSCGKSA-N 0 3 306.812 4.466 20 0 DIADHN COc1ccc2oc(CN(C)Cc3ccccc3N(C)C)cc2c1 ZINC000519852540 1043005813 /nfs/dbraw/zinc/00/58/13/1043005813.db2.gz VANYIAXZCWUVGG-UHFFFAOYSA-N 0 3 324.424 4.139 20 0 DIADHN O[C@@H](CNC(c1ccccc1)c1ccccc1)c1ccccc1F ZINC000028909195 1043009952 /nfs/dbraw/zinc/00/99/52/1043009952.db2.gz RACCANGZVJICQC-FQEVSTJZSA-N 0 3 321.395 4.238 20 0 DIADHN C[C@@H](NCc1cc(C(F)(F)F)n[nH]1)c1cccc2ccccc21 ZINC000440811916 1043011076 /nfs/dbraw/zinc/01/10/76/1043011076.db2.gz IKBHBHYGWFMRQC-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN C[C@H](NC[C@](C)(O)c1ccsc1)c1ccc(F)cc1Cl ZINC000159944280 1043018279 /nfs/dbraw/zinc/01/82/79/1043018279.db2.gz KOGRSCFDLIBWEG-BONVTDFDSA-N 0 3 313.825 4.099 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H](C)Cc1c(Cl)cccc1Cl ZINC000188281789 1043019126 /nfs/dbraw/zinc/01/91/26/1043019126.db2.gz CJKWNQYZPAFYDV-PWSUYJOCSA-N 0 3 324.255 4.374 20 0 DIADHN CCCN(CC(=O)N1CCC[C@H](C)C1)[C@H](CC)c1ccccc1 ZINC000520172759 1043020342 /nfs/dbraw/zinc/02/03/42/1043020342.db2.gz YCIWTMIDDYYXSS-PKOBYXMFSA-N 0 3 316.489 4.108 20 0 DIADHN Cc1nc(CN(CCc2ccccc2F)C2CCCC2)oc1C ZINC000520169488 1043020393 /nfs/dbraw/zinc/02/03/93/1043020393.db2.gz SAFOIQCFXUEBDU-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N[C@@H](C)c1ccccc1 ZINC000520175026 1043021368 /nfs/dbraw/zinc/02/13/68/1043021368.db2.gz QHSRUGZTGJTRLE-PXNSSMCTSA-N 0 3 324.468 4.337 20 0 DIADHN Cc1cccc(C2(NCc3cccc4c3OCO4)CCCC2)c1 ZINC000520217585 1043024800 /nfs/dbraw/zinc/02/48/00/1043024800.db2.gz AUSMBKGBZWDHNU-UHFFFAOYSA-N 0 3 309.409 4.283 20 0 DIADHN Cc1sc(NC(=O)CN(C)[C@H]2CCC[C@H](C)C2)c(C)c1C ZINC000441173606 1043025278 /nfs/dbraw/zinc/02/52/78/1043025278.db2.gz CMXVEKUIULAYIF-NHYWBVRUSA-N 0 3 308.491 4.122 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CNCc1cnn(C)c1C ZINC000441352150 1043038214 /nfs/dbraw/zinc/03/82/14/1043038214.db2.gz KCYCFMZGBKYYCR-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN Cc1n[nH]cc1CNCc1sc2ccc(Cl)cc2c1C ZINC000441354981 1043038429 /nfs/dbraw/zinc/03/84/29/1043038429.db2.gz OPHSJFWYBCIOGU-UHFFFAOYSA-N 0 3 305.834 4.184 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)CC(=O)Nc2ccccc2)cc1 ZINC000441346866 1043040197 /nfs/dbraw/zinc/04/01/97/1043040197.db2.gz LDJBGLWDUGDOJS-UXHICEINSA-N 0 3 322.452 4.202 20 0 DIADHN CCC(C)(C)CCN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000441394606 1043043059 /nfs/dbraw/zinc/04/30/59/1043043059.db2.gz LKRZKUFFDNDODU-SFHVURJKSA-N 0 3 317.452 4.407 20 0 DIADHN CN(C)c1ccccc1CN1CCSC[C@@H]1c1ccccc1 ZINC000441413321 1043044320 /nfs/dbraw/zinc/04/43/20/1043044320.db2.gz QKSATEPIIMNAJJ-LJQANCHMSA-N 0 3 312.482 4.043 20 0 DIADHN CC[C@@H](CN(C)[C@@H](c1nnc[nH]1)c1ccccc1)c1ccccc1 ZINC000894963400 1043055473 /nfs/dbraw/zinc/05/54/73/1043055473.db2.gz YUVZLKHNOBJOOI-QFBILLFUSA-N 0 3 320.440 4.020 20 0 DIADHN CC(C)c1ccc([C@@H](NCc2ccc(CO)o2)C(C)C)cc1 ZINC000174247063 1043062660 /nfs/dbraw/zinc/06/26/60/1043062660.db2.gz LIUJRBDWFMSHLC-IBGZPJMESA-N 0 3 301.430 4.382 20 0 DIADHN CC(C)c1ccc([C@H](NCc2ccc(CO)o2)C(C)C)cc1 ZINC000174247049 1043062846 /nfs/dbraw/zinc/06/28/46/1043062846.db2.gz LIUJRBDWFMSHLC-LJQANCHMSA-N 0 3 301.430 4.382 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(CC)o1)[C@@H](O)c1ccccc1 ZINC000794831771 1043071489 /nfs/dbraw/zinc/07/14/89/1043071489.db2.gz QLRMHEAZYKTVGS-LJHODMEESA-N 0 3 301.430 4.395 20 0 DIADHN Cc1csc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)n1 ZINC000350254901 1043071545 /nfs/dbraw/zinc/07/15/45/1043071545.db2.gz RJRWZLNQYKHWEA-INIZCTEOSA-N 0 3 302.418 4.316 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCc3ccc(F)cc32)c1 ZINC000174988442 1043074581 /nfs/dbraw/zinc/07/45/81/1043074581.db2.gz FIJJRSACWPBCNZ-CWTRNNRKSA-N 0 3 312.388 4.122 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cc(F)cc(F)c1 ZINC000120488060 1043081155 /nfs/dbraw/zinc/08/11/55/1043081155.db2.gz KOLMKSGCJYBYMC-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000441430679 1043082492 /nfs/dbraw/zinc/08/24/92/1043082492.db2.gz APIXLOGUDLBYFR-XJKSGUPXSA-N 0 3 302.443 4.476 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H](O)c1ccc(Cl)cc1 ZINC000120511920 1043083433 /nfs/dbraw/zinc/08/34/33/1043083433.db2.gz NTRSRBBHEFWYMJ-GUYCJALGSA-N 0 3 319.832 4.123 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000120512252 1043085349 /nfs/dbraw/zinc/08/53/49/1043085349.db2.gz NTRSRBBHEFWYMJ-SUMWQHHRSA-N 0 3 319.832 4.123 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2CC[C@H](C(C)C)[C@@H](C)C2)cs1 ZINC000536301384 1043092278 /nfs/dbraw/zinc/09/22/78/1043092278.db2.gz AZRLLSSIZZBUMU-DSJMHWKBSA-N 0 3 310.507 4.401 20 0 DIADHN Cc1ccc([C@@H](NCc2cnc(Cl)c(F)c2)C2CC2)cc1 ZINC000865410487 1043093452 /nfs/dbraw/zinc/09/34/52/1043093452.db2.gz WLUBVUVGZSGNJB-MRXNPFEDSA-N 0 3 304.796 4.423 20 0 DIADHN CC[C@H]1CCCCCN1CC(=O)Nc1sc(C)c(C)c1C ZINC000441435786 1043097724 /nfs/dbraw/zinc/09/77/24/1043097724.db2.gz FSFRMTLLBPLENF-HNNXBMFYSA-N 0 3 308.491 4.266 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2cccc(OC(C)(C)C)c2)cc1 ZINC000865429422 1043098492 /nfs/dbraw/zinc/09/84/92/1043098492.db2.gz VDRLUAKJMYIHKI-OAHLLOKOSA-N 0 3 313.441 4.207 20 0 DIADHN CC(C)c1cccc(NC(=O)CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000441437493 1043103482 /nfs/dbraw/zinc/10/34/82/1043103482.db2.gz HTQXHHOBQPASFD-QAPCUYQASA-N 0 3 300.446 4.013 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2ccc3c(c2)COC3)c1 ZINC000865495968 1043104464 /nfs/dbraw/zinc/10/44/64/1043104464.db2.gz OJYIKZJHAPVOSX-UHFFFAOYSA-N 0 3 311.425 4.184 20 0 DIADHN CC(C)[C@@H](CNCc1c(Cl)cncc1Cl)c1cccnc1 ZINC000865486149 1043104564 /nfs/dbraw/zinc/10/45/64/1043104564.db2.gz JYQDIMVSKLLLGM-CYBMUJFWSA-N 0 3 324.255 4.313 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cccc(OC)c2)cc1F ZINC000177313240 1043106155 /nfs/dbraw/zinc/10/61/55/1043106155.db2.gz YSRJKUFKWNYDEO-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN CSc1ccccc1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000177301024 1043107082 /nfs/dbraw/zinc/10/70/82/1043107082.db2.gz NBWDZADTAJJITC-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865515673 1043107715 /nfs/dbraw/zinc/10/77/15/1043107715.db2.gz URZRQQREIUJYHJ-YGRLFVJLSA-N 0 3 317.260 4.072 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCCCc3ccccc32)c1 ZINC000177425375 1043108363 /nfs/dbraw/zinc/10/83/63/1043108363.db2.gz GVUNXBLIAPXWLY-HXUWFJFHSA-N 0 3 308.425 4.202 20 0 DIADHN Cc1c(Cl)cccc1CN[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000865511226 1043108791 /nfs/dbraw/zinc/10/87/91/1043108791.db2.gz XXMPHUZXRHVYQX-YEJXKQKISA-N 0 3 321.873 4.237 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCO[C@@H](C(C)(C)C)C2)n1 ZINC000865515640 1043108877 /nfs/dbraw/zinc/10/88/77/1043108877.db2.gz TUQRSTJXROAAJB-TZMCWYRMSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(OCC2CC2)c(F)c1)c1ccncc1 ZINC000177572892 1043109580 /nfs/dbraw/zinc/10/95/80/1043109580.db2.gz JIGKEDAINPCWAM-KBPBESRZSA-N 0 3 314.404 4.421 20 0 DIADHN Cc1c(Cl)cccc1CNCc1ccc(C(C)(C)O)cc1 ZINC000865532078 1043111319 /nfs/dbraw/zinc/11/13/19/1043111319.db2.gz RIVMJTPTDRMQNC-UHFFFAOYSA-N 0 3 303.833 4.166 20 0 DIADHN CCC[C@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000177737049 1043112312 /nfs/dbraw/zinc/11/23/12/1043112312.db2.gz IAQOBNDRZSZFSQ-IBGZPJMESA-N 0 3 310.441 4.019 20 0 DIADHN C[C@H](C[C@@H](O)c1ccccc1)N[C@@H](C)c1ccc(F)cc1F ZINC000120631457 1043113609 /nfs/dbraw/zinc/11/36/09/1043113609.db2.gz OZEKDVOEWAPPQQ-FHSNZYRGSA-N 0 3 305.368 4.128 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1ccc(-n2cccn2)cc1 ZINC000177940663 1043117571 /nfs/dbraw/zinc/11/75/71/1043117571.db2.gz LSVAZNXUIKDKCQ-KBXCAEBGSA-N 0 3 307.397 4.194 20 0 DIADHN C[C@@H](N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccsc1 ZINC000177940277 1043117785 /nfs/dbraw/zinc/11/77/85/1043117785.db2.gz VLHCRYHMBNKYOL-XIKOKIGWSA-N 0 3 315.438 4.321 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2cccc(-c3ccncc3)c2)cnn1C ZINC000177964598 1043118128 /nfs/dbraw/zinc/11/81/28/1043118128.db2.gz GRGVDCQKEUZSLA-LSDHHAIUSA-N 0 3 320.440 4.202 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCc3cc(Cl)ccc32)cn1 ZINC000178086800 1043118538 /nfs/dbraw/zinc/11/85/38/1043118538.db2.gz RTLZWAWGXLPQDI-SJCJKPOMSA-N 0 3 303.837 4.456 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC000178124413 1043121381 /nfs/dbraw/zinc/12/13/81/1043121381.db2.gz TZAVSAGLARGBAN-YSSFQJQWSA-N 0 3 311.425 4.266 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@H](C)c2ccsc2)cc1 ZINC000178115460 1043121513 /nfs/dbraw/zinc/12/15/13/1043121513.db2.gz QDTPELLIELALFA-CYBMUJFWSA-N 0 3 302.443 4.338 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC000178124423 1043121660 /nfs/dbraw/zinc/12/16/60/1043121660.db2.gz TZAVSAGLARGBAN-XPGWFJOJSA-N 0 3 311.425 4.266 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCc3cc(F)ccc31)CCC2 ZINC000178089212 1043121917 /nfs/dbraw/zinc/12/19/17/1043121917.db2.gz LTVYVBXUWNRVLN-GOEBONIOSA-N 0 3 302.418 4.245 20 0 DIADHN c1cc(-c2nc(CNCC[C@@H]3CCSC3)cs2)cs1 ZINC000865654471 1043123126 /nfs/dbraw/zinc/12/31/26/1043123126.db2.gz MDDFJMKTRWTIFI-LLVKDONJSA-N 0 3 310.513 4.104 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178137764 1043123200 /nfs/dbraw/zinc/12/32/00/1043123200.db2.gz KDWFOJQFWUNIEX-KIMHZCHSSA-N 0 3 315.482 4.398 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178262910 1043124845 /nfs/dbraw/zinc/12/48/45/1043124845.db2.gz PABRPKRGYKHQHH-PZPWOCDFSA-N 0 3 301.455 4.144 20 0 DIADHN Cc1ccccc1-c1cccc(CNC[C@@](C)(O)C(F)(F)F)c1 ZINC000536336413 1043126593 /nfs/dbraw/zinc/12/65/93/1043126593.db2.gz FEMVECOGIYQGBR-QGZVFWFLSA-N 0 3 323.358 4.065 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cnn(C(C)C)c2)C2CC2)cc1 ZINC000178467717 1043131161 /nfs/dbraw/zinc/13/11/61/1043131161.db2.gz QMNSRGBIQNSWFM-LIRRHRJNSA-N 0 3 313.445 4.275 20 0 DIADHN CC[C@H](NCc1ccc2cc[nH]c2c1)c1cccc(OC)c1OC ZINC000865758139 1043131508 /nfs/dbraw/zinc/13/15/08/1043131508.db2.gz YETAYEYJHDCVCD-KRWDZBQOSA-N 0 3 324.424 4.426 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cnn(C(C)C)c2)C2CC2)cc1 ZINC000178467707 1043131622 /nfs/dbraw/zinc/13/16/22/1043131622.db2.gz QMNSRGBIQNSWFM-KUHUBIRLSA-N 0 3 313.445 4.275 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000178549004 1043132854 /nfs/dbraw/zinc/13/28/54/1043132854.db2.gz HLKGMORFHCWLEK-QWHCGFSZSA-N 0 3 321.367 4.192 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H](C)c2cnc3ccsc3c2)cc1 ZINC000178553434 1043133341 /nfs/dbraw/zinc/13/33/41/1043133341.db2.gz VHIWAKKPYGDOLB-STQMWFEESA-N 0 3 312.438 4.200 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1CNCc1csc(C2CC2)n1 ZINC000865782262 1043133582 /nfs/dbraw/zinc/13/35/82/1043133582.db2.gz OSNHXLGBDDMWOK-WCQYABFASA-N 0 3 316.392 4.255 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@H]43)co2)cc1F ZINC000441461472 1043135981 /nfs/dbraw/zinc/13/59/81/1043135981.db2.gz GKZXNKVABGEUJB-SJKOYZFVSA-N 0 3 318.367 4.384 20 0 DIADHN Clc1cnc(CN[C@H](Cc2cccnc2)C2CCCC2)s1 ZINC000865834572 1043138329 /nfs/dbraw/zinc/13/83/29/1043138329.db2.gz UJPQZMBVCRENMT-CQSZACIVSA-N 0 3 321.877 4.083 20 0 DIADHN CCc1ccc2nccc(N3CC4(C[C@@H]3C)CCOCC4)c2c1 ZINC000645384530 1043144336 /nfs/dbraw/zinc/14/43/36/1043144336.db2.gz PZQIZLVIFDCIKG-HNNXBMFYSA-N 0 3 310.441 4.193 20 0 DIADHN Cc1cc(CN[C@H](C)[C@@H](C)c2ccccc2C(F)(F)F)on1 ZINC000179420206 1043145275 /nfs/dbraw/zinc/14/52/75/1043145275.db2.gz KEQUEAWIOYABLV-VXGBXAGGSA-N 0 3 312.335 4.284 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1c(C)nn(C(C)(C)C)c1C ZINC000353924600 1043162042 /nfs/dbraw/zinc/16/20/42/1043162042.db2.gz KVDOVGAAINDBSV-CYBMUJFWSA-N 0 3 311.539 4.218 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccc(F)cc1F ZINC000120859818 1043149428 /nfs/dbraw/zinc/14/94/28/1043149428.db2.gz PUXHYXFYKUJHIH-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccc(F)cc1F ZINC000120860284 1043149598 /nfs/dbraw/zinc/14/95/98/1043149598.db2.gz PUXHYXFYKUJHIH-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC2(C[C@@H]1C)CCOCC2 ZINC000645384870 1043149994 /nfs/dbraw/zinc/14/99/94/1043149994.db2.gz VEGSATOZSNWHEB-INIZCTEOSA-N 0 3 310.441 4.247 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCC(C3CC3)CC2)c1 ZINC000794893787 1043153792 /nfs/dbraw/zinc/15/37/92/1043153792.db2.gz PIWAYSIOBSABPR-UHFFFAOYSA-N 0 3 318.486 4.082 20 0 DIADHN C[C@H](N[C@@H]1CCSc2ccc(F)cc21)c1cccc(CO)c1 ZINC000183239016 1043158909 /nfs/dbraw/zinc/15/89/09/1043158909.db2.gz BLTASGCMOOKJRE-YVEFUNNKSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(C(C)(C)C)s1)c1nccs1 ZINC000183257862 1043159719 /nfs/dbraw/zinc/15/97/19/1043159719.db2.gz IYNPQAHCZYMKRQ-NSHDSACASA-N 0 3 309.504 4.133 20 0 DIADHN C[C@H](CCc1cccnc1)N[C@H](c1ccco1)c1ccccc1 ZINC000866219810 1043161270 /nfs/dbraw/zinc/16/12/70/1043161270.db2.gz YNUPQSJYAYXANI-UZLBHIALSA-N 0 3 306.409 4.375 20 0 DIADHN Cc1nc(CN[C@@H](C)CCc2cncc3ccccc32)cs1 ZINC000866238065 1043164052 /nfs/dbraw/zinc/16/40/52/1043164052.db2.gz IAPZZUVWTRUQFU-ZDUSSCGKSA-N 0 3 311.454 4.111 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@H](O)c1ccc(Cl)cc1 ZINC000120973063 1043165442 /nfs/dbraw/zinc/16/54/42/1043165442.db2.gz FEXRPPDUKDSNAG-DYVFJYSZSA-N 0 3 319.832 4.041 20 0 DIADHN COc1cccc(CCCN2CCC[C@@H]2c2csc(C)n2)c1 ZINC000189752704 1043165614 /nfs/dbraw/zinc/16/56/14/1043165614.db2.gz SPBZWZLBRVEJRF-GOSISDBHSA-N 0 3 316.470 4.230 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2c3cc(F)ccc3CC[C@@H]2C)c1C ZINC000866257225 1043167833 /nfs/dbraw/zinc/16/78/33/1043167833.db2.gz UFPAVOUNHDMPGY-BUXKBTBVSA-N 0 3 315.436 4.072 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H]2CCc3cccc4cccc2c43)n[nH]1 ZINC000866313018 1043178026 /nfs/dbraw/zinc/17/80/26/1043178026.db2.gz UOBOAFNYWNNOPB-GUYCJALGSA-N 0 3 320.440 4.419 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000184265408 1043180071 /nfs/dbraw/zinc/18/00/71/1043180071.db2.gz ATSWWSSGZCMGRG-QWHCGFSZSA-N 0 3 306.356 4.403 20 0 DIADHN Cc1cncc([C@H](C)NCc2cccc(OCC(F)(F)F)c2)c1 ZINC000184280629 1043180210 /nfs/dbraw/zinc/18/02/10/1043180210.db2.gz MIMRZVSYIRDWMQ-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H](C)c2cccc(N3CCCC3)c2)c1 ZINC000184386036 1043184803 /nfs/dbraw/zinc/18/48/03/1043184803.db2.gz LXRZTEJGSATPAC-DLBZAZTESA-N 0 3 309.457 4.402 20 0 DIADHN Cn1cc(CNCc2ccc(Cl)cc2)c(-c2ccccc2)n1 ZINC000093052762 1043188400 /nfs/dbraw/zinc/18/84/00/1043188400.db2.gz MBVCWSCRJPEKCN-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2Cl)o1)C1CCCC1 ZINC000184657811 1043188766 /nfs/dbraw/zinc/18/87/66/1043188766.db2.gz WNNIUPRPODKSSK-LLVKDONJSA-N 0 3 305.809 4.058 20 0 DIADHN CCc1nc(CN[C@H](C)c2ccc(Cl)c3ccccc32)n[nH]1 ZINC000184691285 1043189044 /nfs/dbraw/zinc/18/90/44/1043189044.db2.gz BQAMXOXOUGCOTN-LLVKDONJSA-N 0 3 314.820 4.025 20 0 DIADHN CCC[C@H](NCc1ccnc(N2CCCCC2)c1)c1cccnc1 ZINC000185054221 1043194555 /nfs/dbraw/zinc/19/45/55/1043194555.db2.gz KSAWXPBSLDMWCQ-IBGZPJMESA-N 0 3 324.472 4.098 20 0 DIADHN C[C@H](N[C@H]1CCCc2ncccc21)c1ccc2c(c1)CCCO2 ZINC000866393930 1043196019 /nfs/dbraw/zinc/19/60/19/1043196019.db2.gz SJNDOAXFSZZCHG-LIRRHRJNSA-N 0 3 308.425 4.135 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ncccc21)c1ccc2c(c1)CCCO2 ZINC000866393927 1043196271 /nfs/dbraw/zinc/19/62/71/1043196271.db2.gz SJNDOAXFSZZCHG-AUUYWEPGSA-N 0 3 308.425 4.135 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](C)c1ccc(OC(C)(C)C)cc1 ZINC000185219029 1043197570 /nfs/dbraw/zinc/19/75/70/1043197570.db2.gz RVIRBFGZZFXEMC-UONOGXRCSA-N 0 3 315.461 4.318 20 0 DIADHN Fc1ccc([C@H](NCC[C@H]2CCSC2)c2ccccn2)cc1 ZINC000866403080 1043198113 /nfs/dbraw/zinc/19/81/13/1043198113.db2.gz QKDINQRVPIPTJO-KSSFIOAISA-N 0 3 316.445 4.043 20 0 DIADHN Fc1ccc([C@H](NCC[C@H]2CCSC2)c2ccccc2)nc1 ZINC000866402110 1043198311 /nfs/dbraw/zinc/19/83/11/1043198311.db2.gz ICMDBEYYZOGNFP-KBXCAEBGSA-N 0 3 316.445 4.043 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)c(OCC)c1)c1cccnc1 ZINC000185452548 1043200998 /nfs/dbraw/zinc/20/09/98/1043200998.db2.gz VVWBGSYMJZNMBD-QGZVFWFLSA-N 0 3 314.429 4.120 20 0 DIADHN CCSc1cccc(CN[C@@H](CCCO)c2ccccc2)c1 ZINC000185550942 1043202595 /nfs/dbraw/zinc/20/25/95/1043202595.db2.gz DTCQUEJLVLYLIK-IBGZPJMESA-N 0 3 315.482 4.402 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@@H]1CCC[C@H](C)C1 ZINC000520525449 1043209891 /nfs/dbraw/zinc/20/98/91/1043209891.db2.gz DOKPFEAPADULSD-MAUKXSAKSA-N 0 3 316.489 4.260 20 0 DIADHN COC(C)(C)CCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000866504709 1043212888 /nfs/dbraw/zinc/21/28/88/1043212888.db2.gz BNHDUMPCUZQCED-ZDUSSCGKSA-N 0 3 304.459 4.276 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)C[C@H](O)c1ccccc1 ZINC000121094887 1043215432 /nfs/dbraw/zinc/21/54/32/1043215432.db2.gz QSLCYKBFGKEOMW-ZBFHGGJFSA-N 0 3 318.486 4.301 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)NCc1nn(C)cc1C(F)F ZINC000866565854 1043223718 /nfs/dbraw/zinc/22/37/18/1043223718.db2.gz SSBUDNAXMPMUGR-CYBMUJFWSA-N 0 3 321.415 4.204 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@@H]2C[C@H](c3ccccc3)C2(C)C)n1 ZINC000866566004 1043224881 /nfs/dbraw/zinc/22/48/81/1043224881.db2.gz WHDKVYDGROEPTL-GDBMZVCRSA-N 0 3 319.399 4.030 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2NCc2nn(C)cc2C(F)F)cc1 ZINC000866567204 1043225061 /nfs/dbraw/zinc/22/50/61/1043225061.db2.gz MMQBTFCNQRMXLW-GDBMZVCRSA-N 0 3 319.399 4.092 20 0 DIADHN Cc1csc([C@H](C)CN[C@H](C)c2nc3c(s2)CCCC3)n1 ZINC000186577837 1043230083 /nfs/dbraw/zinc/23/00/83/1043230083.db2.gz WGYPMUPYNYLPOC-ZYHUDNBSSA-N 0 3 321.515 4.241 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccc(Cl)nc1 ZINC000866609372 1043233349 /nfs/dbraw/zinc/23/33/49/1043233349.db2.gz MHHWLDLJSOJNFM-YUSALJHKSA-N 0 3 304.743 4.283 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(-c2ccccc2)c1)c1ccnn1C ZINC000866613418 1043236323 /nfs/dbraw/zinc/23/63/23/1043236323.db2.gz SKRZQBDDXWUZEN-HZPDHXFCSA-N 0 3 305.425 4.499 20 0 DIADHN Cc1cncc([C@H](C)NC[C@H](c2ccccc2)C(F)(F)F)c1 ZINC000186847604 1043236778 /nfs/dbraw/zinc/23/67/78/1043236778.db2.gz DYNZNGNYSQGKDW-XJKSGUPXSA-N 0 3 308.347 4.387 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@H]1C(C)(C)CC ZINC000441629459 1043237356 /nfs/dbraw/zinc/23/73/56/1043237356.db2.gz DAHSFJNYEPOQEN-KRWDZBQOSA-N 0 3 316.489 4.397 20 0 DIADHN CC[C@H](C[C@H](C)O)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000866634075 1043242043 /nfs/dbraw/zinc/24/20/43/1043242043.db2.gz NQZYEUFJKBDVQN-GZBFAFLISA-N 0 3 304.459 4.010 20 0 DIADHN CC[C@H](C[C@H](C)O)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000866634076 1043242262 /nfs/dbraw/zinc/24/22/62/1043242262.db2.gz NQZYEUFJKBDVQN-KCQAQPDRSA-N 0 3 304.459 4.010 20 0 DIADHN CC[C@H](C[C@H](C)O)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000866632922 1043242439 /nfs/dbraw/zinc/24/24/39/1043242439.db2.gz DHRGRFJLJSLMNJ-RWMBFGLXSA-N 0 3 322.449 4.149 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000441650865 1043242460 /nfs/dbraw/zinc/24/24/60/1043242460.db2.gz WAGZLGDKJNDYJU-MRVWCRGKSA-N 0 3 314.256 4.413 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000441650868 1043242974 /nfs/dbraw/zinc/24/29/74/1043242974.db2.gz WAGZLGDKJNDYJU-XBFCOCLRSA-N 0 3 314.256 4.413 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000441650862 1043243975 /nfs/dbraw/zinc/24/39/75/1043243975.db2.gz WAGZLGDKJNDYJU-KWCYVHTRSA-N 0 3 314.256 4.413 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1ccc(F)c2ccccc21 ZINC000645415269 1043249392 /nfs/dbraw/zinc/24/93/92/1043249392.db2.gz LMBXROCEMSSNAH-DYXDTQHNSA-N 0 3 321.395 4.288 20 0 DIADHN CCCCN(CC(=O)N(Cc1ccccc1)C(C)(C)C)C1CC1 ZINC000058592487 1043251162 /nfs/dbraw/zinc/25/11/62/1043251162.db2.gz MNBXBJGBRHZKGK-UHFFFAOYSA-N 0 3 316.489 4.078 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1ccnc(Cl)c1 ZINC000121934566 1043267735 /nfs/dbraw/zinc/26/77/35/1043267735.db2.gz FFPOSHKZSIATRA-IAQYHMDHSA-N 0 3 302.805 4.082 20 0 DIADHN c1nc(CNC[C@@H]2CC3c4ccccc4C2c2ccccc23)co1 ZINC000192290479 1043268431 /nfs/dbraw/zinc/26/84/31/1043268431.db2.gz JBAKWXMSVORUCM-YJPTXTSQSA-N 0 3 316.404 4.062 20 0 DIADHN CCOc1cc(CNCc2cc(Cl)cs2)ccc1OC ZINC000193104833 1043280710 /nfs/dbraw/zinc/28/07/10/1043280710.db2.gz KAUKAHLNHFVYNA-UHFFFAOYSA-N 0 3 311.834 4.099 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(-c3ccccc3)cc21)c1ccnn1C ZINC000193315842 1043281682 /nfs/dbraw/zinc/28/16/82/1043281682.db2.gz KRWGGMSUNHOOEJ-QRWLVFNGSA-N 0 3 317.436 4.425 20 0 DIADHN CCSc1cc(CN[C@H]2CCCNc3ccccc32)ccn1 ZINC000193316060 1043282053 /nfs/dbraw/zinc/28/20/53/1043282053.db2.gz KHRHMOPSRBKUSK-KRWDZBQOSA-N 0 3 313.470 4.230 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(Cl)nc1)c1ccccc1 ZINC000193428543 1043284798 /nfs/dbraw/zinc/28/47/98/1043284798.db2.gz YVRFZJCIJVCWCT-CZUORRHYSA-N 0 3 304.821 4.163 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2ccc(Cl)nc2)cc1 ZINC000193359927 1043285135 /nfs/dbraw/zinc/28/51/35/1043285135.db2.gz BBCARWSFPNFSQQ-ZDUSSCGKSA-N 0 3 303.837 4.408 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCC1(c2cccc(Cl)c2)CC1 ZINC000925112431 1043289596 /nfs/dbraw/zinc/28/95/96/1043289596.db2.gz XJWJDOUQJXDOAW-HNNXBMFYSA-N 0 3 316.832 4.335 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)cn1 ZINC000194340955 1043291060 /nfs/dbraw/zinc/29/10/60/1043291060.db2.gz OGOIEKZTKWVCDH-CSMYWGQOSA-N 0 3 301.821 4.124 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCc1cccc(N)c1 ZINC000665233220 1043291906 /nfs/dbraw/zinc/29/19/06/1043291906.db2.gz BTBYODVHILGERN-LLVKDONJSA-N 0 3 303.475 4.182 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NCc1cccc(N)c1 ZINC000665233513 1043293864 /nfs/dbraw/zinc/29/38/64/1043293864.db2.gz JQGZJUQHYIRESI-KRWDZBQOSA-N 0 3 316.832 4.234 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2cccc(O)c2)n1 ZINC000194915368 1043294756 /nfs/dbraw/zinc/29/47/56/1043294756.db2.gz IHDRJLMLDJCMJG-MRXNPFEDSA-N 0 3 321.424 4.046 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1sccc1C)CCCO2 ZINC000268795438 1043330631 /nfs/dbraw/zinc/33/06/31/1043330631.db2.gz HSBMAJVZQVXBCW-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN CCC[C@@H](NCc1cccc(C(=O)NC2CC2)c1)c1ccccc1 ZINC000268798876 1043331124 /nfs/dbraw/zinc/33/11/24/1043331124.db2.gz FSEKCHVDEHTAQR-HXUWFJFHSA-N 0 3 322.452 4.210 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cccc(N2CCCC2=O)c1 ZINC000268840525 1043334918 /nfs/dbraw/zinc/33/49/18/1043334918.db2.gz UDXNACOGAJGDRU-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN CCOCCOCc1cccc(CN[C@H](C)c2ccsc2)c1 ZINC000268837118 1043336806 /nfs/dbraw/zinc/33/68/06/1043336806.db2.gz SJOWWLNZVYYYRL-OAHLLOKOSA-N 0 3 319.470 4.152 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)s1 ZINC000268855207 1043338828 /nfs/dbraw/zinc/33/88/28/1043338828.db2.gz QPCUYJBCYAWYAT-UVARLRKDSA-N 0 3 307.484 4.206 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000665268315 1043339444 /nfs/dbraw/zinc/33/94/44/1043339444.db2.gz MERMFEOEEJPAAK-MSOLQXFVSA-N 0 3 302.368 4.275 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](c1ncccc1C)C(C)C ZINC000268898624 1043341951 /nfs/dbraw/zinc/34/19/51/1043341951.db2.gz KZGYPEVHQJRTAH-MJGOQNOKSA-N 0 3 310.441 4.373 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCc1csc([C@H](C)OC)n1 ZINC000268901764 1043342758 /nfs/dbraw/zinc/34/27/58/1043342758.db2.gz BKAKDTWVTUSEKR-HOCLYGCPSA-N 0 3 318.486 4.352 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@H](C)c2cnc(C)nc2C)c1C ZINC000268910741 1043343137 /nfs/dbraw/zinc/34/31/37/1043343137.db2.gz ODAJEDGHOJUSOH-CQSZACIVSA-N 0 3 322.456 4.351 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1ccc(Cl)cc1 ZINC000268925962 1043343183 /nfs/dbraw/zinc/34/31/83/1043343183.db2.gz VYAMTFMAALCCMH-GUYCJALGSA-N 0 3 321.852 4.156 20 0 DIADHN CCC(CC)(NCc1cnn(C)c1C)c1ccc(Cl)cc1 ZINC000268933931 1043343956 /nfs/dbraw/zinc/34/39/56/1043343956.db2.gz ZQNQQBRKMGHODH-UHFFFAOYSA-N 0 3 305.853 4.187 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H]1CCc2cc(N)ccc21 ZINC000665270277 1043344044 /nfs/dbraw/zinc/34/40/44/1043344044.db2.gz VHJNDVZURWLLPH-MEDUHNTESA-N 0 3 315.486 4.495 20 0 DIADHN CC1(C)Cc2occc2[C@@H](N[C@H](CCCO)c2ccccc2)C1 ZINC000268949081 1043345396 /nfs/dbraw/zinc/34/53/96/1043345396.db2.gz BUWXBXZZJPATKA-MSOLQXFVSA-N 0 3 313.441 4.397 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc3cc(OC)ccc3c2)cn1 ZINC000268981225 1043346508 /nfs/dbraw/zinc/34/65/08/1043346508.db2.gz HWTIHJTTZWVLRL-CABCVRRESA-N 0 3 323.440 4.477 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](C)c2ccc3cc(OC)ccc3c2)cn1 ZINC000268981226 1043347154 /nfs/dbraw/zinc/34/71/54/1043347154.db2.gz HWTIHJTTZWVLRL-GJZGRUSLSA-N 0 3 323.440 4.477 20 0 DIADHN Cc1cccc(C2(NCc3cccc4c3OCCO4)CCCC2)c1 ZINC000520963471 1043347451 /nfs/dbraw/zinc/34/74/51/1043347451.db2.gz LJIAJHGYERJHOH-UHFFFAOYSA-N 0 3 323.436 4.325 20 0 DIADHN c1sc(CN[C@H]2CCOC3(CCC3)C2)nc1-c1ccccc1 ZINC000268995280 1043348252 /nfs/dbraw/zinc/34/82/52/1043348252.db2.gz XMLFFZPOYNAQSI-HNNXBMFYSA-N 0 3 314.454 4.001 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2sc(C(C)(C)C)nc2C)o1 ZINC000269057727 1043354130 /nfs/dbraw/zinc/35/41/30/1043354130.db2.gz SNGKJOWYKIAVLP-AAEUAGOBSA-N 0 3 322.474 4.035 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2sc(C(C)(C)C)nc2C)o1 ZINC000269057732 1043354330 /nfs/dbraw/zinc/35/43/30/1043354330.db2.gz SNGKJOWYKIAVLP-WCQYABFASA-N 0 3 322.474 4.035 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1cccc(C(F)(F)F)c1 ZINC000269080025 1043356132 /nfs/dbraw/zinc/35/61/32/1043356132.db2.gz OGZJCYHVBVGZIZ-JECHBYEQSA-N 0 3 321.342 4.014 20 0 DIADHN CCN(Cc1cnc(C(C)(C)C)nc1)[C@@H](C)Cc1ccsc1 ZINC000521080261 1043360340 /nfs/dbraw/zinc/36/03/40/1043360340.db2.gz GYRNAUVGRHYUFE-AWEZNQCLSA-N 0 3 317.502 4.289 20 0 DIADHN CCN(Cc1cnc(C(C)(C)C)nc1)[C@H](C)Cc1ccsc1 ZINC000521080269 1043360390 /nfs/dbraw/zinc/36/03/90/1043360390.db2.gz GYRNAUVGRHYUFE-CQSZACIVSA-N 0 3 317.502 4.289 20 0 DIADHN Cc1nc([C@H](C)NCCCCOCCc2ccccc2)cs1 ZINC000269453337 1043365821 /nfs/dbraw/zinc/36/58/21/1043365821.db2.gz WEDVZOWSOCLMSM-HNNXBMFYSA-N 0 3 318.486 4.142 20 0 DIADHN COc1ccc([C@@H](C)CCN[C@H](C)c2csc(C)n2)cc1 ZINC000269418378 1043365844 /nfs/dbraw/zinc/36/58/44/1043365844.db2.gz KYIABNWXSZJOGH-QWHCGFSZSA-N 0 3 304.459 4.305 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1csc(C)n1)c1ccsc1 ZINC000269422100 1043366214 /nfs/dbraw/zinc/36/62/14/1043366214.db2.gz MAWIEYISNVIVPA-WBMJQRKESA-N 0 3 323.531 4.247 20 0 DIADHN CC(C)OCCN(Cc1ccccc1)Cc1ccc(F)cc1 ZINC000521192093 1043370021 /nfs/dbraw/zinc/37/00/21/1043370021.db2.gz XSTWZZLQJFNQDL-UHFFFAOYSA-N 0 3 301.405 4.253 20 0 DIADHN CCCN(Cc1ccc(C(=O)OC)o1)[C@@H](CC)c1ccccc1 ZINC000521193461 1043370402 /nfs/dbraw/zinc/37/04/02/1043370402.db2.gz CZMXYQCYWWZHMM-KRWDZBQOSA-N 0 3 315.413 4.430 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N1CCCC[C@H]1CC ZINC000521198046 1043374434 /nfs/dbraw/zinc/37/44/34/1043374434.db2.gz AGVZKRMQPUGKHD-MOPGFXCFSA-N 0 3 316.489 4.251 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)cc1 ZINC000270188573 1043380635 /nfs/dbraw/zinc/38/06/35/1043380635.db2.gz XSQSSOICJUKZQP-IVZQSRNASA-N 0 3 315.482 4.227 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000270188576 1043380974 /nfs/dbraw/zinc/38/09/74/1043380974.db2.gz XSQSSOICJUKZQP-QRQCRPRQSA-N 0 3 315.482 4.227 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000270188574 1043381344 /nfs/dbraw/zinc/38/13/44/1043381344.db2.gz XSQSSOICJUKZQP-NYHFZMIOSA-N 0 3 315.482 4.227 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)cc1 ZINC000270188575 1043381427 /nfs/dbraw/zinc/38/14/27/1043381427.db2.gz XSQSSOICJUKZQP-OYHNWAKOSA-N 0 3 315.482 4.227 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)N[C@H](C)c2cccc(CO)c2)c1 ZINC000270411793 1043384256 /nfs/dbraw/zinc/38/42/56/1043384256.db2.gz CIYJRUGRHXSEMB-HZPDHXFCSA-N 0 3 313.441 4.378 20 0 DIADHN Cc1[nH]c2ccccc2c1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000270402319 1043385445 /nfs/dbraw/zinc/38/54/45/1043385445.db2.gz WNRKUFJDZFWGEI-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H](C4CCC4)C3)co2)cc1 ZINC000665284543 1043386307 /nfs/dbraw/zinc/38/63/07/1043386307.db2.gz NNCSXSLAHMFQHD-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccc(Cl)nc2)cc1 ZINC000270476717 1043389329 /nfs/dbraw/zinc/38/93/29/1043389329.db2.gz JSSSSRHROLYRBY-CYBMUJFWSA-N 0 3 304.821 4.373 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1cc(Cl)c(N)c(Cl)c1 ZINC000270547249 1043389966 /nfs/dbraw/zinc/38/99/66/1043389966.db2.gz ZDVIDIQCGZEZPV-VHSXEESVSA-N 0 3 310.228 4.382 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@H](C)c1cccnc1Cl ZINC000270651527 1043393351 /nfs/dbraw/zinc/39/33/51/1043393351.db2.gz QPKAZEHEUOGWCX-HSMVNMDESA-N 0 3 322.811 4.037 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@H]1CCc2c1c(F)ccc2F ZINC000270669487 1043393634 /nfs/dbraw/zinc/39/36/34/1043393634.db2.gz WABNZXUCPAGZHB-WKPIXPDZSA-N 0 3 323.408 4.115 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@H](C)c1ccc(F)cc1F ZINC000270647949 1043393861 /nfs/dbraw/zinc/39/38/61/1043393861.db2.gz PXHJOAHCQDZJIO-UEKVPHQBSA-N 0 3 311.397 4.189 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1cccs1)C(C)C ZINC000271059393 1043399640 /nfs/dbraw/zinc/39/96/40/1043399640.db2.gz FMPKQNOKTOESNW-UHFFFAOYSA-N 0 3 302.443 4.117 20 0 DIADHN CO[C@@]1(C)C[C@H](N(C)Cc2cnc(C3CCC3)s2)C1(C)C ZINC000353963873 1043402495 /nfs/dbraw/zinc/40/24/95/1043402495.db2.gz YLOHEFYPMWMFIZ-YOEHRIQHSA-N 0 3 308.491 4.046 20 0 DIADHN C[C@@H]1C[C@H](NCC(=O)Nc2ccc(Cl)cc2)c2ccccc21 ZINC000521502889 1043409765 /nfs/dbraw/zinc/40/97/65/1043409765.db2.gz HYKOFCIFOXNLFW-PXAZEXFGSA-N 0 3 314.816 4.117 20 0 DIADHN CC[C@H](N[C@H](C)c1sc(CCOC)nc1C)c1ccccc1 ZINC000271286847 1043409918 /nfs/dbraw/zinc/40/99/18/1043409918.db2.gz DKQJAGMIAGSUNJ-CJNGLKHVSA-N 0 3 318.486 4.442 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCO[C@@H](C(C)C)C2)c2ccccn2)c1 ZINC000925300311 1043411117 /nfs/dbraw/zinc/41/11/17/1043411117.db2.gz KKFFMXHDMVUOMH-TYPHKJRUSA-N 0 3 324.468 4.273 20 0 DIADHN CCCCCOc1ccc([C@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000271414723 1043416584 /nfs/dbraw/zinc/41/65/84/1043416584.db2.gz BDJZAXDIZICVAS-GJZGRUSLSA-N 0 3 301.434 4.391 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442779003 1043416849 /nfs/dbraw/zinc/41/68/49/1043416849.db2.gz KTBHYHQWTKORIS-NSHDSACASA-N 0 3 314.791 4.217 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000271428457 1043416905 /nfs/dbraw/zinc/41/69/05/1043416905.db2.gz CVFJFYLUUDXVER-HUUCEWRRSA-N 0 3 301.434 4.246 20 0 DIADHN COc1ccc(C(C)(C)CN[C@H](C)c2csc(C)n2)cc1 ZINC000271464500 1043421849 /nfs/dbraw/zinc/42/18/49/1043421849.db2.gz KPFGKRKBJOLHKT-GFCCVEGCSA-N 0 3 304.459 4.089 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000442804554 1043421933 /nfs/dbraw/zinc/42/19/33/1043421933.db2.gz ZZBOFQTZPIPJTF-BPNCWPANSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1c(C)oc2ccccc21 ZINC000925495825 1043422825 /nfs/dbraw/zinc/42/28/25/1043422825.db2.gz OGFNTKTWVRCKNZ-SWLSCSKDSA-N 0 3 315.438 4.495 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2cccc(N3CCC3=O)c2)cc1 ZINC000442797397 1043425698 /nfs/dbraw/zinc/42/56/98/1043425698.db2.gz HSQYNBTWLUZEFM-HXUWFJFHSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@H](N[C@H]1C[C@@H](C)c2c1cccc2Br)c1cn[nH]c1 ZINC000271499286 1043426035 /nfs/dbraw/zinc/42/60/35/1043426035.db2.gz LUOJZUWJWHORKE-BFVZDQMLSA-N 0 3 320.234 4.071 20 0 DIADHN CCc1cc(CN[C@@H]2CC(C)(C)Sc3ccccc32)n[nH]1 ZINC000872036617 1043428841 /nfs/dbraw/zinc/42/88/41/1043428841.db2.gz XPVBLXXKXHABEG-OAHLLOKOSA-N 0 3 301.459 4.077 20 0 DIADHN C[C@@H](N[C@@H](C)c1cn[nH]c1)c1ccc(SCC(F)F)cc1 ZINC000271517422 1043429103 /nfs/dbraw/zinc/42/91/03/1043429103.db2.gz NZMWCMITZBVYDL-MNOVXSKESA-N 0 3 311.401 4.179 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nc(-c3ccccc3)sc21)c1cn[nH]c1 ZINC000271509702 1043429682 /nfs/dbraw/zinc/42/96/82/1043429682.db2.gz NARXSJONAVTHGM-SWLSCSKDSA-N 0 3 324.453 4.261 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2ccccc2n1)[C@@H](O)c1cccc(F)c1 ZINC000271520211 1043430089 /nfs/dbraw/zinc/43/00/89/1043430089.db2.gz QBRRYTFMGJIBRF-LRDNONRASA-N 0 3 324.399 4.147 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCO[C@H](C(C)(C)C)C2)on1 ZINC000872040710 1043430490 /nfs/dbraw/zinc/43/04/90/1043430490.db2.gz ZULYQQDEQPBTCW-PBHICJAKSA-N 0 3 308.466 4.262 20 0 DIADHN CCC(CC)c1cc(CN[C@H]2CCO[C@H](C(C)(C)C)C2)on1 ZINC000872040713 1043431352 /nfs/dbraw/zinc/43/13/52/1043431352.db2.gz ZULYQQDEQPBTCW-YOEHRIQHSA-N 0 3 308.466 4.262 20 0 DIADHN CS[C@H](C)CN[C@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925602109 1043435529 /nfs/dbraw/zinc/43/55/29/1043435529.db2.gz QZUXRSSNKWSXML-CRAIPNDOSA-N 0 3 300.471 4.129 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCOC2(CCCCC2)C1)c1cccc([O-])c1F ZINC000872049016 1043435579 /nfs/dbraw/zinc/43/55/79/1043435579.db2.gz ODERCVDKNMSWDS-UONOGXRCSA-N 0 3 307.409 4.064 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(CC)c1)c1ccc(OC(F)F)cc1 ZINC000271551670 1043436731 /nfs/dbraw/zinc/43/67/31/1043436731.db2.gz UXIKUNRSEWMMCD-BLLLJJGKSA-N 0 3 323.387 4.306 20 0 DIADHN CC(C)OC1CCC(N[C@@H]2COCc3cccc(Cl)c32)CC1 ZINC000925609430 1043438857 /nfs/dbraw/zinc/43/88/57/1043438857.db2.gz BDZMMMOLEQGUEM-VMBOVVBDSA-N 0 3 323.864 4.237 20 0 DIADHN Cc1cc(C[NH+](C)C)cc(N[C@@H](C)c2cccc([O-])c2F)c1 ZINC000872056948 1043439164 /nfs/dbraw/zinc/43/91/64/1043439164.db2.gz BDZUPEXADSHVMR-ZDUSSCGKSA-N 0 3 302.393 4.074 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000271595795 1043440916 /nfs/dbraw/zinc/44/09/16/1043440916.db2.gz SYWAPQVHOKRCIT-QWHCGFSZSA-N 0 3 306.356 4.403 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000271591973 1043442040 /nfs/dbraw/zinc/44/20/40/1043442040.db2.gz YMJHDNPCIWJTSC-GJZGRUSLSA-N 0 3 321.424 4.497 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc3c(c2)ncn3C)cc1 ZINC000271619356 1043443707 /nfs/dbraw/zinc/44/37/07/1043443707.db2.gz CXDZSIISGCHLAL-OAHLLOKOSA-N 0 3 323.440 4.213 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@H](C)c1cccc(N2CCCC2)c1 ZINC000271624640 1043445225 /nfs/dbraw/zinc/44/52/25/1043445225.db2.gz ZBMFYBNITCKOCU-SJORKVTESA-N 0 3 309.457 4.402 20 0 DIADHN CC[C@H](CCC(F)(F)F)[NH2+][C@@H](C)c1nnc([C@@H](C)CC)[n-]1 ZINC000872065452 1043445446 /nfs/dbraw/zinc/44/54/46/1043445446.db2.gz BLLPSXJGPJWQFD-GARJFASQSA-N 0 3 306.376 4.090 20 0 DIADHN CCCCCOc1cc(CN[C@H]2C[C@@H]2C(F)F)ccc1OC ZINC000558131991 1043445527 /nfs/dbraw/zinc/44/55/27/1043445527.db2.gz XCAHSWLSOWTVSW-KBPBESRZSA-N 0 3 313.388 4.007 20 0 DIADHN CCCCn1cc(CN[C@@H](C)c2cc3ccccc3[nH]2)c(C)n1 ZINC000925691359 1043454800 /nfs/dbraw/zinc/45/48/00/1043454800.db2.gz LONGOYOQORWDFU-HNNXBMFYSA-N 0 3 310.445 4.324 20 0 DIADHN Cc1n[nH]cc1CN(Cc1ccccc1C(F)(F)F)CC1CC1 ZINC000348631847 1043459234 /nfs/dbraw/zinc/45/92/34/1043459234.db2.gz FYNJOUDZJRDMOP-UHFFFAOYSA-N 0 3 323.362 4.149 20 0 DIADHN Cc1ncc([C@H](C)NCc2ccc(C(C)(C)C)s2)c(C)n1 ZINC000872105725 1043460800 /nfs/dbraw/zinc/46/08/00/1043460800.db2.gz FKKRJHFWYOQMDJ-NSHDSACASA-N 0 3 303.475 4.303 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777574140 1043461099 /nfs/dbraw/zinc/46/10/99/1043461099.db2.gz HFTYOUUUOISFQH-HNNXBMFYSA-N 0 3 320.440 4.446 20 0 DIADHN CC(C)c1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC000777574140 1043461105 /nfs/dbraw/zinc/46/11/05/1043461105.db2.gz HFTYOUUUOISFQH-HNNXBMFYSA-N 0 3 320.440 4.446 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1ccc(C)nc1 ZINC000348704761 1043462915 /nfs/dbraw/zinc/46/29/15/1043462915.db2.gz FEPIAELNJXVAAG-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC=C(c3ccco3)C2)c1 ZINC000348675676 1043463085 /nfs/dbraw/zinc/46/30/85/1043463085.db2.gz QYTGYBVCIVNKED-UHFFFAOYSA-N 0 3 319.408 4.267 20 0 DIADHN CO[C@H](C)CNCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000442988979 1043467240 /nfs/dbraw/zinc/46/72/40/1043467240.db2.gz JHOKKWBGLWZECF-LLVKDONJSA-N 0 3 313.319 4.090 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc2oc(=O)[nH]c2c1 ZINC000872123928 1043469453 /nfs/dbraw/zinc/46/94/53/1043469453.db2.gz YAKHOEILQGZSLP-IUODEOHRSA-N 0 3 308.381 4.180 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@H]2CC[C@@](C)(c3ccccc3)C2)n1 ZINC000348815556 1043469900 /nfs/dbraw/zinc/46/99/00/1043469900.db2.gz KBJMQLKCTIGWSV-JENIJYKNSA-N 0 3 311.429 4.025 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C(F)(F)F)nc1)c1ccncc1 ZINC000872129772 1043470847 /nfs/dbraw/zinc/47/08/47/1043470847.db2.gz BZFXAHBUCQMEKU-FZMZJTMJSA-N 0 3 309.335 4.297 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(CO)c1)c1ccc(C(F)(F)F)nc1 ZINC000872130336 1043472567 /nfs/dbraw/zinc/47/25/67/1043472567.db2.gz LDHYTNWEDAFJHH-NWDGAFQWSA-N 0 3 324.346 4.005 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000558254184 1043473996 /nfs/dbraw/zinc/47/39/96/1043473996.db2.gz AXGNTESZLJPHHN-GUYCJALGSA-N 0 3 320.477 4.403 20 0 DIADHN CO[C@@H]1CCN(CCCc2c(Cl)cccc2Cl)[C@@H](C)C1 ZINC000443066054 1043474411 /nfs/dbraw/zinc/47/44/11/1043474411.db2.gz IVRGGEVYSLETGT-QWHCGFSZSA-N 0 3 316.272 4.425 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c(C)c1 ZINC000558260119 1043475470 /nfs/dbraw/zinc/47/54/70/1043475470.db2.gz XQLMCVZTIHDDKG-OXQOHEQNSA-N 0 3 314.448 4.396 20 0 DIADHN c1ccc(-c2cccc(CN3CCC[C@@H]3c3ccncc3)c2)nc1 ZINC000348890143 1043478550 /nfs/dbraw/zinc/47/85/50/1043478550.db2.gz DFVLYWLCAYVVKF-OAQYLSRUSA-N 0 3 315.420 4.481 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2[NH2+]Cc1nnc(-c2ccccc2)[n-]1 ZINC000777578003 1043484529 /nfs/dbraw/zinc/48/45/29/1043484529.db2.gz GIVZZWHNKWMAQR-WMLDXEAASA-N 0 3 318.424 4.118 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1nnc(-c2ccccc2)[nH]1 ZINC000777578003 1043484535 /nfs/dbraw/zinc/48/45/35/1043484535.db2.gz GIVZZWHNKWMAQR-WMLDXEAASA-N 0 3 318.424 4.118 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2)C[C@H]2CCCCO2)cc1 ZINC000521819058 1043484790 /nfs/dbraw/zinc/48/47/90/1043484790.db2.gz RQVMUWLKSKXJKB-HXUWFJFHSA-N 0 3 313.416 4.397 20 0 DIADHN CCC[C@H](NCc1cccc(OCCOC)c1)c1ccsc1 ZINC000443079179 1043485623 /nfs/dbraw/zinc/48/56/23/1043485623.db2.gz NOPAQMUODXUCKY-SFHVURJKSA-N 0 3 319.470 4.404 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2)C[C@@H]2CCCCO2)cc1 ZINC000521819057 1043485667 /nfs/dbraw/zinc/48/56/67/1043485667.db2.gz RQVMUWLKSKXJKB-FQEVSTJZSA-N 0 3 313.416 4.397 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777579174 1043486410 /nfs/dbraw/zinc/48/64/10/1043486410.db2.gz SEMIDQVPORGGBU-KRWDZBQOSA-N 0 3 306.413 4.103 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N3[C@H](C)CC[C@@H]3C)n2)ccc1F ZINC000443101437 1043487940 /nfs/dbraw/zinc/48/79/40/1043487940.db2.gz ZJPNPIPLTWXRAJ-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000521823331 1043488194 /nfs/dbraw/zinc/48/81/94/1043488194.db2.gz PWDFFVFVUCMBDC-JENIJYKNSA-N 0 3 316.489 4.249 20 0 DIADHN COC[C@H](NCc1cc(C(F)(F)F)ccc1Cl)C(C)C ZINC001200601026 1043497573 /nfs/dbraw/zinc/49/75/73/1043497573.db2.gz JGJJNPKWSXQHMA-ZDUSSCGKSA-N 0 3 309.759 4.119 20 0 DIADHN Fc1cccc(Cl)c1CN1CCCc2ccccc2CC1 ZINC001307774658 1043498909 /nfs/dbraw/zinc/49/89/09/1043498909.db2.gz VREPNHBKMZYPQY-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN Cc1nc2ccc(CN(Cc3cccnc3)C(C)C)cc2s1 ZINC000349245247 1043500031 /nfs/dbraw/zinc/50/00/31/1043500031.db2.gz PCCQRTNTGKWJDA-UHFFFAOYSA-N 0 3 311.454 4.410 20 0 DIADHN CCN(Cc1cccc(O)c1)Cc1cccc(-c2ccncc2)c1 ZINC000349332885 1043501914 /nfs/dbraw/zinc/50/19/14/1043501914.db2.gz PFSCHDPIYZWQLG-UHFFFAOYSA-N 0 3 318.420 4.476 20 0 DIADHN CCN(Cc1cccc(-c2ccncc2)c1)Cc1ccc(C)cn1 ZINC000349317140 1043502744 /nfs/dbraw/zinc/50/27/44/1043502744.db2.gz PHRMTJKPYFYBDZ-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN Cc1ccsc1CN(C)Cc1cc(F)cc2cccnc21 ZINC000349545595 1043511559 /nfs/dbraw/zinc/51/15/59/1043511559.db2.gz QVRAPXHSOJUFPR-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccc(C)o1)Cc1cccc(F)c1 ZINC000349565387 1043514821 /nfs/dbraw/zinc/51/48/21/1043514821.db2.gz XOVOVLQDFMGOPI-UHFFFAOYSA-N 0 3 313.376 4.139 20 0 DIADHN COCC[C@@H](NCc1cccc2ncccc12)c1ccc(C)o1 ZINC000873102530 1043516751 /nfs/dbraw/zinc/51/67/51/1043516751.db2.gz MJZLRKJFHDYLPO-GOSISDBHSA-N 0 3 310.397 4.004 20 0 DIADHN CN(CCc1cccc(Cl)c1)Cc1ccc(N2CCCC2)o1 ZINC001137068021 1043518799 /nfs/dbraw/zinc/51/87/99/1043518799.db2.gz RYLGPHGVDADUMX-UHFFFAOYSA-N 0 3 318.848 4.208 20 0 DIADHN COCc1cc(CNCc2ccc(SC)s2)ccc1F ZINC000558486171 1043519429 /nfs/dbraw/zinc/51/94/29/1043519429.db2.gz RVPXZGWFRRBUSV-UHFFFAOYSA-N 0 3 311.447 4.045 20 0 DIADHN C[C@@]1(CCNCc2nc3cc(F)ccc3o2)CC1(Cl)Cl ZINC000873131312 1043519779 /nfs/dbraw/zinc/51/97/79/1043519779.db2.gz MWRDRHHOKGSEKF-CYBMUJFWSA-N 0 3 317.191 4.031 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN(Cc1ccccc1)C1CC1 ZINC000349801232 1043523293 /nfs/dbraw/zinc/52/32/93/1043523293.db2.gz QCIXIPIEIQSXJL-UHFFFAOYSA-N 0 3 303.409 4.241 20 0 DIADHN COC[C@H](NCCCCCSC)c1cccc(Cl)c1F ZINC000522023251 1043523483 /nfs/dbraw/zinc/52/34/83/1043523483.db2.gz HQYPXOWIHDDACR-AWEZNQCLSA-N 0 3 319.873 4.290 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2cccn2C)CC1 ZINC000349839644 1043527560 /nfs/dbraw/zinc/52/75/60/1043527560.db2.gz LKWVXRKAZOYJOM-UHFFFAOYSA-N 0 3 305.425 4.104 20 0 DIADHN Fc1cc(CN2CCCC3(CCCCC3)C2)c2c(c1)COCO2 ZINC000522070929 1043530016 /nfs/dbraw/zinc/53/00/16/1043530016.db2.gz RNUAFTITOVYPSV-UHFFFAOYSA-N 0 3 319.420 4.239 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2cc(N)cc(F)c2)cc1 ZINC000873287436 1043531169 /nfs/dbraw/zinc/53/11/69/1043531169.db2.gz FBOMLSBCDKHQDF-ZDUSSCGKSA-N 0 3 302.393 4.046 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCc1cc(N)cc(F)c1 ZINC000873288036 1043531304 /nfs/dbraw/zinc/53/13/04/1043531304.db2.gz SJSKUIXKBHXSDI-JTQLQIEISA-N 0 3 321.465 4.321 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H](C)C[C@H](C)O)c2cccnc2)cc1 ZINC000926131443 1043533080 /nfs/dbraw/zinc/53/30/80/1043533080.db2.gz QKOJYUSFGFLLJW-GUXCAODWSA-N 0 3 312.457 4.043 20 0 DIADHN CCSc1ccccc1[C@H](C)NCc1cc(N)cc(F)c1 ZINC000873288982 1043533179 /nfs/dbraw/zinc/53/31/79/1043533179.db2.gz NQNFEDSKFBHVRR-LBPRGKRZSA-N 0 3 304.434 4.371 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCO[C@@H](C)C2)c2ccccn2)c1 ZINC000926133811 1043533780 /nfs/dbraw/zinc/53/37/80/1043533780.db2.gz FFJUMQKCQOCSME-UEXGIBASSA-N 0 3 324.468 4.274 20 0 DIADHN C[C@@H](CC1CCOCC1)CN1CCCC[C@@H]1c1nccs1 ZINC000350004161 1043534562 /nfs/dbraw/zinc/53/45/62/1043534562.db2.gz BRAZLRIXRJTNIZ-GOEBONIOSA-N 0 3 308.491 4.123 20 0 DIADHN COCc1ccc(CN2CCC(c3c[nH]c4ccccc43)CC2)o1 ZINC000349988280 1043534722 /nfs/dbraw/zinc/53/47/22/1043534722.db2.gz DURGOAHUBTWBKN-UHFFFAOYSA-N 0 3 324.424 4.287 20 0 DIADHN FCc1ccc(CN2CCSC[C@@H]2c2ccccc2)cc1 ZINC000777586412 1043534762 /nfs/dbraw/zinc/53/47/62/1043534762.db2.gz MTQDJAKNNZDFFP-GOSISDBHSA-N 0 3 301.430 4.446 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCO[C@H](C)C2)c2ccccn2)cc1 ZINC000926133293 1043535038 /nfs/dbraw/zinc/53/50/38/1043535038.db2.gz BSENFTDTDOESNH-OPYAIIAOSA-N 0 3 324.468 4.274 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCO[C@H](C)C2)c2ccccn2)c1 ZINC000926133810 1043535089 /nfs/dbraw/zinc/53/50/89/1043535089.db2.gz FFJUMQKCQOCSME-OPYAIIAOSA-N 0 3 324.468 4.274 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1ccns1 ZINC000926161025 1043535766 /nfs/dbraw/zinc/53/57/66/1043535766.db2.gz IIEOASZHPKCUJG-ZETOZRRWSA-N 0 3 310.850 4.223 20 0 DIADHN COCCC(C)(C)CN[C@H](c1cccc(C)c1)c1ccccn1 ZINC000926169061 1043537914 /nfs/dbraw/zinc/53/79/14/1043537914.db2.gz XKGGMMPYFQOVAN-LJQANCHMSA-N 0 3 312.457 4.132 20 0 DIADHN CCC[C@H]1CCCC[C@@H]1CNC(=O)c1cccc(CN(C)C)c1 ZINC001299373590 1043537993 /nfs/dbraw/zinc/53/79/93/1043537993.db2.gz LBKUPEAQRYGAIK-PKOBYXMFSA-N 0 3 316.489 4.085 20 0 DIADHN Cc1ccc([C@H](NCCC[C@@H]2CCCCO2)c2ccccn2)cc1 ZINC000926174647 1043538670 /nfs/dbraw/zinc/53/86/70/1043538670.db2.gz LRPNXICUWKGUNZ-FPOVZHCZSA-N 0 3 324.468 4.418 20 0 DIADHN Cc1ccc([C@H](NCCC[C@H]2CCCCO2)c2ccccn2)cc1 ZINC000926174645 1043539021 /nfs/dbraw/zinc/53/90/21/1043539021.db2.gz LRPNXICUWKGUNZ-CTNGQTDRSA-N 0 3 324.468 4.418 20 0 DIADHN C[C@H]([NH2+][C@H]1CCO[C@H](c2ccccc2)C1)c1cc([O-])cc(F)c1 ZINC000926179854 1043540488 /nfs/dbraw/zinc/54/04/88/1043540488.db2.gz HCSXGMRBOMYOBU-IXDGSTSKSA-N 0 3 315.388 4.102 20 0 DIADHN CCC[C@H](NC[C@@]1(C)CCO[C@@H]1C1CC1)c1ncccc1Cl ZINC000926196122 1043543999 /nfs/dbraw/zinc/54/39/99/1043543999.db2.gz HNRNZZJPVYVPKI-CGTJXYLNSA-N 0 3 322.880 4.371 20 0 DIADHN CCC[C@H](NC[C@]1(C)CCO[C@H]1C1CC1)c1ncccc1Cl ZINC000926196125 1043545616 /nfs/dbraw/zinc/54/56/16/1043545616.db2.gz HNRNZZJPVYVPKI-SZMVWBNQSA-N 0 3 322.880 4.371 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H](c1ccccc1)C(F)(F)F ZINC000926217238 1043548383 /nfs/dbraw/zinc/54/83/83/1043548383.db2.gz QOWICRNIWQRKHZ-IINYFYTJSA-N 0 3 312.335 4.288 20 0 DIADHN CCO[C@@H](CN[C@H]1CCc2c1nccc2Cl)c1ccccc1 ZINC000926297779 1043559468 /nfs/dbraw/zinc/55/94/68/1043559468.db2.gz LNLJNNIXFIHUIT-IRXDYDNUSA-N 0 3 316.832 4.090 20 0 DIADHN CCCNc1ccc(CN[C@@H](C)c2ccnn2C2CCC2)cc1 ZINC000926289036 1043560235 /nfs/dbraw/zinc/56/02/35/1043560235.db2.gz WMXPMQIMQQEKKB-HNNXBMFYSA-N 0 3 312.461 4.281 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc3c(=O)cc[nH]c3c2)cc1 ZINC000895202901 1043561732 /nfs/dbraw/zinc/56/17/32/1043561732.db2.gz WNTMJXYOPHOYMM-UHFFFAOYSA-N 0 3 320.436 4.115 20 0 DIADHN CC(C)n1cc(CN2CCC3(C=Cc4ccccc43)CC2)cn1 ZINC001137074215 1043561763 /nfs/dbraw/zinc/56/17/63/1043561763.db2.gz BDXBGZXZKIKTHL-UHFFFAOYSA-N 0 3 307.441 4.025 20 0 DIADHN C[C@H](NC[C@H]1CCSC1)c1ncc(C(F)(F)F)cc1Cl ZINC000926325154 1043563816 /nfs/dbraw/zinc/56/38/16/1043563816.db2.gz ZJFJNWSZKMKGOE-DTWKUNHWSA-N 0 3 324.799 4.158 20 0 DIADHN Clc1ccc([C@H](NC[C@@H]2CCSC2)c2ccccn2)cc1 ZINC000926324168 1043564137 /nfs/dbraw/zinc/56/41/37/1043564137.db2.gz SLXHRRMXXFOHFB-GUYCJALGSA-N 0 3 318.873 4.167 20 0 DIADHN O=C(CCN1CCC(c2ccc(F)cc2)CC1)c1ccccc1 ZINC000777597389 1043571368 /nfs/dbraw/zinc/57/13/68/1043571368.db2.gz BYCDQAWAXJBZFK-UHFFFAOYSA-N 0 3 311.400 4.278 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CCCSC2)c2ccccn2)cc1 ZINC000926431697 1043575735 /nfs/dbraw/zinc/57/57/35/1043575735.db2.gz QSZCNKAAKUKZDW-LPHOPBHVSA-N 0 3 312.482 4.212 20 0 DIADHN Clc1ccccc1C[C@H](NCC1=CCCOC1)c1ccco1 ZINC000926432227 1043578214 /nfs/dbraw/zinc/57/82/14/1043578214.db2.gz UCARIAXXXXWMEK-KRWDZBQOSA-N 0 3 317.816 4.153 20 0 DIADHN CC1(NCc2csc(COc3ccccc3)n2)CCCC1 ZINC000522213264 1043578989 /nfs/dbraw/zinc/57/89/89/1043578989.db2.gz POBPHPIOVJIQFE-UHFFFAOYSA-N 0 3 302.443 4.144 20 0 DIADHN FC1(F)CC(CN[C@H](c2ccc(Cl)cc2)c2ccccn2)C1 ZINC000926466531 1043581194 /nfs/dbraw/zinc/58/11/94/1043581194.db2.gz AREKJPRDAPDGBD-MRXNPFEDSA-N 0 3 322.786 4.459 20 0 DIADHN Cc1cc2cc(CNCc3cc(F)cc4cccnc43)ccc2[nH]1 ZINC000353142406 1043586948 /nfs/dbraw/zinc/58/69/48/1043586948.db2.gz SKWHVQUCOSORMC-UHFFFAOYSA-N 0 3 319.383 4.453 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(F)cccc21)c1cnn(C2CCC2)c1 ZINC000926497278 1043588614 /nfs/dbraw/zinc/58/86/14/1043588614.db2.gz VLMXEIFUJXECOA-ORAYPTAESA-N 0 3 313.420 4.475 20 0 DIADHN c1cc(C2=CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)ccn1 ZINC000353170350 1043588930 /nfs/dbraw/zinc/58/89/30/1043588930.db2.gz GLSJEXGWXGMIRI-IBGZPJMESA-N 0 3 312.457 4.053 20 0 DIADHN Cc1cccc2c1[C@@H](NCc1ccnc(C(F)(F)F)c1)CC2 ZINC000926509511 1043591702 /nfs/dbraw/zinc/59/17/02/1043591702.db2.gz IPLKDSVSTZIMGR-AWEZNQCLSA-N 0 3 306.331 4.186 20 0 DIADHN CCSc1ccccc1C[C@H](C)N[C@H]1C[C@H](C)n2ccnc21 ZINC000926531878 1043592621 /nfs/dbraw/zinc/59/26/21/1043592621.db2.gz IBUOEEQFJWTWHB-DZKIICNBSA-N 0 3 315.486 4.222 20 0 DIADHN CC(C)(NC[C@H]1CC[C@H](C2CC2)O1)c1cccc(Cl)c1F ZINC001307707940 1043593418 /nfs/dbraw/zinc/59/34/18/1043593418.db2.gz CUXQTUBGFAJWOF-IUODEOHRSA-N 0 3 311.828 4.261 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1ccccc1C(F)(F)F ZINC000389022776 1043595510 /nfs/dbraw/zinc/59/55/10/1043595510.db2.gz MSHAQOPMEWWXLN-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2NC1CCC2(CCCC2)CC1 ZINC000353268628 1043595665 /nfs/dbraw/zinc/59/56/65/1043595665.db2.gz RIDGPZSKMADTFA-INIZCTEOSA-N 0 3 316.493 4.329 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1ccnn1C1CCC1 ZINC000926538444 1043596978 /nfs/dbraw/zinc/59/69/78/1043596978.db2.gz FBROJBAGYDMUJW-YJBOKZPZSA-N 0 3 324.472 4.230 20 0 DIADHN CCCc1ncc(CNC2CC(Sc3ccccc3)C2)o1 ZINC000926538824 1043597060 /nfs/dbraw/zinc/59/70/60/1043597060.db2.gz VEGAQNLTEDZAIS-UHFFFAOYSA-N 0 3 302.443 4.040 20 0 DIADHN CC(C)c1ccc([C@@H](NC[C@@H]2CCC[C@H]2O)c2cccnc2)cc1 ZINC000926551079 1043599448 /nfs/dbraw/zinc/59/94/48/1043599448.db2.gz RDJJLCFGYSPNSR-CEWLAPEOSA-N 0 3 324.468 4.045 20 0 DIADHN Cc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc2c(c1)COC2 ZINC000353340809 1043599765 /nfs/dbraw/zinc/59/97/65/1043599765.db2.gz DDHUNYDQOJBPLF-AUUYWEPGSA-N 0 3 309.409 4.200 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc3c(c2)OCCO3)s1 ZINC000353331919 1043600086 /nfs/dbraw/zinc/60/00/86/1043600086.db2.gz XDJOMPSDATVUGF-OAHLLOKOSA-N 0 3 315.438 4.165 20 0 DIADHN CC(C)c1ccccc1N(C)C(=O)[C@@H](C)N1CCCCCC1 ZINC001141747149 1043603411 /nfs/dbraw/zinc/60/34/11/1043603411.db2.gz NLEAFCPVABMRQG-MRXNPFEDSA-N 0 3 302.462 4.037 20 0 DIADHN C[C@H](O)[C@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000558800760 1043604687 /nfs/dbraw/zinc/60/46/87/1043604687.db2.gz NLBXPMNKXJUABU-STQMWFEESA-N 0 3 316.272 4.019 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCC3(CCCC3)CC2)c1 ZINC000353422897 1043607322 /nfs/dbraw/zinc/60/73/22/1043607322.db2.gz OXUCFBXYQRPABE-UHFFFAOYSA-N 0 3 301.459 4.294 20 0 DIADHN COc1ccccc1O[C@H]1CCCN(Cc2ccsc2C)C1 ZINC000353484002 1043610589 /nfs/dbraw/zinc/61/05/89/1043610589.db2.gz UYNLOTLIQDRXJW-INIZCTEOSA-N 0 3 317.454 4.109 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H](C)c2ccc(OC)cc2)c1 ZINC001648959928 1043611041 /nfs/dbraw/zinc/61/10/41/1043611041.db2.gz FTKMFLVGTBGSIY-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000558831629 1043612778 /nfs/dbraw/zinc/61/27/78/1043612778.db2.gz OMAJLXIPNJTQNH-ACJLOTCBSA-N 0 3 305.368 4.345 20 0 DIADHN COc1ccc(CN2CCC(OC3CCC(C)CC3)CC2)cc1 ZINC000353525092 1043613189 /nfs/dbraw/zinc/61/31/89/1043613189.db2.gz FQHPFMLOCADMHW-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN Cc1ccc([C@H](NCCCOC(C)(C)C)c2cccnc2)cc1 ZINC000926696461 1043618103 /nfs/dbraw/zinc/61/81/03/1043618103.db2.gz LIIPBIFOBQZBLI-IBGZPJMESA-N 0 3 312.457 4.274 20 0 DIADHN CCN(Cc1nc(C)sc1C)[C@H](C)Cc1ccc(OC)cc1 ZINC000443611782 1043619179 /nfs/dbraw/zinc/61/91/79/1043619179.db2.gz BHTBDFSVTWZGOC-CYBMUJFWSA-N 0 3 318.486 4.222 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CCC[C@H]3CCC[C@@H]32)co1 ZINC000443617114 1043622155 /nfs/dbraw/zinc/62/21/55/1043622155.db2.gz VJBHXVMFYQMBQU-WBMJQRKESA-N 0 3 318.367 4.384 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000443620921 1043622205 /nfs/dbraw/zinc/62/22/05/1043622205.db2.gz UJPZOMWGSLSEGM-YPMHNXCESA-N 0 3 306.356 4.240 20 0 DIADHN COc1ccccc1-c1cc(CNC2CC3(C2)CCCC3)on1 ZINC000926731538 1043623241 /nfs/dbraw/zinc/62/32/41/1043623241.db2.gz JTYZTANZRURCDQ-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(Cl)cc32)cc1O ZINC000535687044 1043624698 /nfs/dbraw/zinc/62/46/98/1043624698.db2.gz VCSIGQIPIOYQNW-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN FC1(F)C[C@H]1CCN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000926739262 1043625042 /nfs/dbraw/zinc/62/50/42/1043625042.db2.gz YWKYIXUQOKWQON-CZUORRHYSA-N 0 3 322.786 4.459 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@@H](C)c2cc3ccccc3[nH]2)cc1 ZINC000926755889 1043626071 /nfs/dbraw/zinc/62/60/71/1043626071.db2.gz PXALCTBSNPCBIU-AWEZNQCLSA-N 0 3 321.424 4.001 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@H](C)c2cc3ccccc3[nH]2)cc1 ZINC000926755890 1043626294 /nfs/dbraw/zinc/62/62/94/1043626294.db2.gz PXALCTBSNPCBIU-CQSZACIVSA-N 0 3 321.424 4.001 20 0 DIADHN CCOC(CN[C@@H](C)c1ccc(SC(C)C)cc1)OCC ZINC000125440530 1043627141 /nfs/dbraw/zinc/62/71/41/1043627141.db2.gz JUBOZHXGFWALRA-AWEZNQCLSA-N 0 3 311.491 4.237 20 0 DIADHN CC1(C)CC[C@H](NCc2ccc3cc[nH]c3n2)c2ccccc21 ZINC000353640394 1043627431 /nfs/dbraw/zinc/62/74/31/1043627431.db2.gz GOXRFKSHKWHOPO-SFHVURJKSA-N 0 3 305.425 4.465 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2sc(C)nc2C)cc1C ZINC000353632229 1043627973 /nfs/dbraw/zinc/62/79/73/1043627973.db2.gz OLUCKASRPMOICN-CYBMUJFWSA-N 0 3 304.459 4.270 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cc3ccccc3[nH]2)C2CC2)cn1 ZINC000926775441 1043630035 /nfs/dbraw/zinc/63/00/35/1043630035.db2.gz XDXBWYGHWAPHMS-XCLFUZPHSA-N 0 3 321.424 4.373 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN(C)C1CCC1 ZINC000353675283 1043631470 /nfs/dbraw/zinc/63/14/70/1043631470.db2.gz TWVPOHDWXDAYKJ-UHFFFAOYSA-N 0 3 317.864 4.186 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@H]1C[C@H](Sc2ccccc2)C1 ZINC000926791766 1043633973 /nfs/dbraw/zinc/63/39/73/1043633973.db2.gz FUBWZBOHIHYXAY-PJODQICGSA-N 0 3 302.443 4.265 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](O)c2ccc(OC(C)C)cc2)o1 ZINC000125558842 1043635550 /nfs/dbraw/zinc/63/55/50/1043635550.db2.gz VNRKIMFPHHHIAG-KBXCAEBGSA-N 0 3 317.429 4.013 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccnn1C1CCC1 ZINC000926810625 1043636102 /nfs/dbraw/zinc/63/61/02/1043636102.db2.gz UQFATUUQKITRDB-MRVWCRGKSA-N 0 3 313.367 4.156 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@@H]2c2ccc(F)cc2)cc1 ZINC000125573085 1043638292 /nfs/dbraw/zinc/63/82/92/1043638292.db2.gz RHXZBYLSYLLZAF-LJQANCHMSA-N 0 3 313.372 4.088 20 0 DIADHN Cc1cscc1CNCc1ccc(Br)cc1C ZINC000395150083 1043638345 /nfs/dbraw/zinc/63/83/45/1043638345.db2.gz NFJVDQOOAVLJST-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(C(C)(C)O)cc1)c1cc2cnccc2o1 ZINC000926812912 1043638515 /nfs/dbraw/zinc/63/85/15/1043638515.db2.gz PLGBPRBNBYQSBY-UONOGXRCSA-N 0 3 324.424 4.467 20 0 DIADHN Clc1ccnc2c1CCC[C@H]2NCc1cccc2[nH]ccc21 ZINC000926818524 1043638616 /nfs/dbraw/zinc/63/86/16/1043638616.db2.gz YSGYGWSKJHLZPL-QGZVFWFLSA-N 0 3 311.816 4.384 20 0 DIADHN CCc1ccc(CNCc2ccc(OC(F)(F)F)cc2)cc1 ZINC000389111710 1043641124 /nfs/dbraw/zinc/64/11/24/1043641124.db2.gz NAULMIYJFDLVHG-UHFFFAOYSA-N 0 3 309.331 4.437 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@]12CCCO2)c1cc(-c2ccccc2)no1 ZINC000926863946 1043643399 /nfs/dbraw/zinc/64/33/99/1043643399.db2.gz DSSLJMHVOLJWFZ-ZOCIIQOWSA-N 0 3 312.413 4.094 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCC2)O1)c1cc(-c2ccccc2)no1 ZINC000926859161 1043643728 /nfs/dbraw/zinc/64/37/28/1043643728.db2.gz FVQWDDFETWIBDM-HOCLYGCPSA-N 0 3 312.413 4.094 20 0 DIADHN CSCC[C@H](C)N(C)Cc1c(C)nn(-c2ccccc2)c1C ZINC000353794051 1043643873 /nfs/dbraw/zinc/64/38/73/1043643873.db2.gz KPURCSGYORABGP-AWEZNQCLSA-N 0 3 317.502 4.063 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CCC3(CCC3)O2)c2cccnc2)cc1 ZINC000926858571 1043644684 /nfs/dbraw/zinc/64/46/84/1043644684.db2.gz CATCFUTWKGSYIY-PMACEKPBSA-N 0 3 322.452 4.171 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CC[C@H](C)C[C@H](C)C1 ZINC000443647754 1043644864 /nfs/dbraw/zinc/64/48/64/1043644864.db2.gz GCVDQSZYPNCUNS-HOTGVXAUSA-N 0 3 316.489 4.118 20 0 DIADHN Cc1ccc([C@H](NC[C@H]2CC3(CCC3)CO2)c2cccnc2)cc1 ZINC000926871061 1043645328 /nfs/dbraw/zinc/64/53/28/1043645328.db2.gz JQPKAHCGLCTZJM-UXHICEINSA-N 0 3 322.452 4.028 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2CC3(CCC3)CO2)c2cccnc2)cc1 ZINC000926871063 1043645689 /nfs/dbraw/zinc/64/56/89/1043645689.db2.gz JQPKAHCGLCTZJM-WOJBJXKFSA-N 0 3 322.452 4.028 20 0 DIADHN CCC[C@H](NCc1ccc(C[S@@](C)=O)cc1)c1ccsc1 ZINC000353806010 1043645690 /nfs/dbraw/zinc/64/56/90/1043645690.db2.gz PDHMPWMKAOEZDW-LAUBAEHRSA-N 0 3 321.511 4.258 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2CC3(CCC3)CO2)c2cccnc2)cc1 ZINC000926871062 1043646676 /nfs/dbraw/zinc/64/66/76/1043646676.db2.gz JQPKAHCGLCTZJM-VQTJNVASSA-N 0 3 322.452 4.028 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2Cc2cnn(C)c2C)o1 ZINC000353832880 1043648592 /nfs/dbraw/zinc/64/85/92/1043648592.db2.gz YACVBQXCBWUHHU-QGZVFWFLSA-N 0 3 301.434 4.001 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@H](C)c1cccnc1Cl ZINC000125699425 1043649801 /nfs/dbraw/zinc/64/98/01/1043649801.db2.gz OCNHRFRFRLJRID-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000926894712 1043650653 /nfs/dbraw/zinc/65/06/53/1043650653.db2.gz PHSAINGVNKWYKJ-IFXJQAMLSA-N 0 3 310.441 4.111 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(F)cc2C)cc1O ZINC000926897838 1043650770 /nfs/dbraw/zinc/65/07/70/1043650770.db2.gz LQCUNZBIKAOGPE-QWHCGFSZSA-N 0 3 303.377 4.260 20 0 DIADHN CN(C)C[C@H](N[C@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000558967696 1043652041 /nfs/dbraw/zinc/65/20/41/1043652041.db2.gz ABYUIUVNJAUNRO-OALUTQOASA-N 0 3 314.860 4.220 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C3CCC3)c2)cc1C ZINC000926903599 1043652653 /nfs/dbraw/zinc/65/26/53/1043652653.db2.gz XREKQMXSLCKXSO-GJZGRUSLSA-N 0 3 313.445 4.337 20 0 DIADHN Cc1nc(CNCC(C)(C)c2cccc(Cl)c2)sc1C ZINC001648961167 1043653324 /nfs/dbraw/zinc/65/33/24/1043653324.db2.gz YVEMALPUEPJZRH-UHFFFAOYSA-N 0 3 308.878 4.481 20 0 DIADHN CC(C)CC[C@H](NCc1cc(COC(C)(C)C)on1)C1CC1 ZINC000558968004 1043654161 /nfs/dbraw/zinc/65/41/61/1043654161.db2.gz FXZZUEZVWIVHFB-KRWDZBQOSA-N 0 3 308.466 4.294 20 0 DIADHN COc1ccc2ccccc2c1CCN[C@@H](C)c1nccs1 ZINC000926910964 1043654494 /nfs/dbraw/zinc/65/44/94/1043654494.db2.gz KDPGONQNRZDNLP-ZDUSSCGKSA-N 0 3 312.438 4.198 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cnc(CC(C)C)s2)C1 ZINC000353925710 1043660413 /nfs/dbraw/zinc/66/04/13/1043660413.db2.gz OMCVVQQPJFEQHO-KBPBESRZSA-N 0 3 312.548 4.448 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC1(c2cccc(F)c2)CCC1 ZINC000926922405 1043658125 /nfs/dbraw/zinc/65/81/25/1043658125.db2.gz HUYATHLOSISPMH-MRXNPFEDSA-N 0 3 314.404 4.211 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1cnc2ccsc2c1 ZINC000926924096 1043658295 /nfs/dbraw/zinc/65/82/95/1043658295.db2.gz WHXGLXKHCZXVEZ-STQMWFEESA-N 0 3 324.449 4.471 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)on1 ZINC000631126124 1043662542 /nfs/dbraw/zinc/66/25/42/1043662542.db2.gz IUJDWFDSUHLSGC-HAQNSBGRSA-N 0 3 311.212 4.326 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(N2CCCC2)nc1 ZINC000535785740 1043665348 /nfs/dbraw/zinc/66/53/48/1043665348.db2.gz CIRUIMBFDBPZIZ-UHFFFAOYSA-N 0 3 309.457 4.012 20 0 DIADHN CC(C)C[C@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000353971474 1043666427 /nfs/dbraw/zinc/66/64/27/1043666427.db2.gz KIAHLORAGAFNBY-ZBFHGGJFSA-N 0 3 308.491 4.253 20 0 DIADHN Cn1cnc2cc(CN3CC4(CCC4)[C@@H]3c3ccccc3)ccc21 ZINC000353971171 1043666891 /nfs/dbraw/zinc/66/68/91/1043666891.db2.gz IOBKXMLHXGDHLW-FQEVSTJZSA-N 0 3 317.436 4.301 20 0 DIADHN Cc1ccc(CCCN(C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000474153063 1043667016 /nfs/dbraw/zinc/66/70/16/1043667016.db2.gz WYAKGPISRYAGCL-CQSZACIVSA-N 0 3 304.384 4.294 20 0 DIADHN C[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1ccncc1Cl ZINC000926977613 1043667043 /nfs/dbraw/zinc/66/70/43/1043667043.db2.gz OKTOGXJEMYAOOP-SGIREYDYSA-N 0 3 322.786 4.435 20 0 DIADHN C[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1ccncc1Cl ZINC000926977612 1043667098 /nfs/dbraw/zinc/66/70/98/1043667098.db2.gz OKTOGXJEMYAOOP-DJSGYFEHSA-N 0 3 322.786 4.435 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCS[C@H](C)C2)c2ccccn2)cc1 ZINC000927030974 1043668238 /nfs/dbraw/zinc/66/82/38/1043668238.db2.gz VEAHNXURTFBTJW-AYBZRNKSSA-N 0 3 312.482 4.353 20 0 DIADHN CCCCOC1CCN(Cc2cc3cc(F)ccc3o2)CC1 ZINC000353995479 1043668714 /nfs/dbraw/zinc/66/87/14/1043668714.db2.gz IUMRQYYWZQKNTP-UHFFFAOYSA-N 0 3 305.393 4.353 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2ccccc2)c2ccc(F)cn2)CCS1 ZINC000927031317 1043670496 /nfs/dbraw/zinc/67/04/96/1043670496.db2.gz LVSBOVGCAHVVEI-QBIMZIAESA-N 0 3 316.445 4.184 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2cncs2)cc1 ZINC000166674830 1043673705 /nfs/dbraw/zinc/67/37/05/1043673705.db2.gz VKUNVYUBGDJGDG-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cccnc21)c1ccc(C(C)(C)O)cc1 ZINC000927061741 1043675524 /nfs/dbraw/zinc/67/55/24/1043675524.db2.gz RLPKJNGTCQEADC-RDTXWAMCSA-N 0 3 310.441 4.037 20 0 DIADHN c1ccc([C@@H](C[C@@H]2CCOC2)N[C@@H]2CCCc3cccnc32)cc1 ZINC000927059378 1043675663 /nfs/dbraw/zinc/67/56/63/1043675663.db2.gz DQZBYMTWVZTTDH-PWIZWCRZSA-N 0 3 322.452 4.217 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCSc2ccc(F)cc21)c1cnn(C)c1 ZINC000927065458 1043679914 /nfs/dbraw/zinc/67/99/14/1043679914.db2.gz HONAUIBNUCEUBL-NVXWUHKLSA-N 0 3 319.449 4.083 20 0 DIADHN FC(F)(F)c1ccccc1C1CCN(Cc2ccncc2)CC1 ZINC000354078422 1043680134 /nfs/dbraw/zinc/68/01/34/1043680134.db2.gz RKGZAFCDXVRNFI-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](C2CC2)[C@@H]2CCCOC2)cs1 ZINC000927069399 1043680669 /nfs/dbraw/zinc/68/06/69/1043680669.db2.gz MGUPZKRQHLMUHZ-JGGQBBKZSA-N 0 3 308.491 4.122 20 0 DIADHN CC(C)[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1cnn(C)c1 ZINC000927069597 1043680713 /nfs/dbraw/zinc/68/07/13/1043680713.db2.gz XUCYJGGHGCBTIW-IRXDYDNUSA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000927067289 1043681031 /nfs/dbraw/zinc/68/10/31/1043681031.db2.gz FNQQWXHVMLVOQZ-IAGOWNOFSA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927069002 1043681208 /nfs/dbraw/zinc/68/12/08/1043681208.db2.gz UPXIYVBFMZZKKM-BEFAXECRSA-N 0 3 315.461 4.255 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1nccc2ccccc21)c1cnn(C)c1 ZINC000927070709 1043681999 /nfs/dbraw/zinc/68/19/99/1043681999.db2.gz QBVLGQROXLJETG-KDOFPFPSSA-N 0 3 308.429 4.016 20 0 DIADHN Cc1ncc([C@@H](C)NCc2cc3c(cccc3O)s2)s1 ZINC000895439511 1043682722 /nfs/dbraw/zinc/68/27/22/1043682722.db2.gz COOCCNCZYJJJNF-SECBINFHSA-N 0 3 304.440 4.223 20 0 DIADHN FCc1ccc(CNC2(c3ccc(F)cc3)CCOCC2)cc1 ZINC000777613450 1043682739 /nfs/dbraw/zinc/68/27/39/1043682739.db2.gz NLDKJOUXJSABHC-UHFFFAOYSA-N 0 3 317.379 4.091 20 0 DIADHN C[C@H](NCc1csc(-c2ccccn2)n1)C1CCCCC1 ZINC000052333724 1043682978 /nfs/dbraw/zinc/68/29/78/1043682978.db2.gz OFQPWEXVAMCMSU-ZDUSSCGKSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1ncc([C@H](C)NCc2cc3c(cccc3O)s2)s1 ZINC000895439512 1043682984 /nfs/dbraw/zinc/68/29/84/1043682984.db2.gz COOCCNCZYJJJNF-VIFPVBQESA-N 0 3 304.440 4.223 20 0 DIADHN OCCC[C@@H](N[C@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000925128272 1043687337 /nfs/dbraw/zinc/68/73/37/1043687337.db2.gz XVKSHRDQDMIJDG-QUCCMNQESA-N 0 3 310.441 4.026 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2NCc1cc(C2CC2)nn1C ZINC000927101701 1043687391 /nfs/dbraw/zinc/68/73/91/1043687391.db2.gz MASOHKUBYJJIAO-SFHVURJKSA-N 0 3 309.457 4.082 20 0 DIADHN CC(C)C[C@@H](NCc1cc(C2CC2)nn1C)c1ccc(F)cc1 ZINC000927102408 1043687422 /nfs/dbraw/zinc/68/74/22/1043687422.db2.gz XTLOJXUTONAIMR-GOSISDBHSA-N 0 3 315.436 4.314 20 0 DIADHN Cc1cc(C)cc([C@@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c1 ZINC000777614885 1043691711 /nfs/dbraw/zinc/69/17/11/1043691711.db2.gz MHWLEVNQRDYSCO-GOSISDBHSA-N 0 3 323.484 4.228 20 0 DIADHN c1oc2ccccc2c1CN1CCC[C@@H](c2noc(C3CC3)n2)C1 ZINC000354301078 1043693339 /nfs/dbraw/zinc/69/33/39/1043693339.db2.gz AKYLUHBKRYOBSM-CQSZACIVSA-N 0 3 323.396 4.073 20 0 DIADHN CSc1ccc([C@H](C)N[C@H]2c3ccccc3CC[C@H]2O)cc1 ZINC000927145769 1043698728 /nfs/dbraw/zinc/69/87/28/1043698728.db2.gz YCRIVKNWNWBKJV-BKTGTZMESA-N 0 3 313.466 4.108 20 0 DIADHN CCCN(Cc1ccc(Cl)nn1)[C@H]1CCc2ccccc21 ZINC000876593173 1043699021 /nfs/dbraw/zinc/69/90/21/1043699021.db2.gz MVWAXJFLUBLSMQ-INIZCTEOSA-N 0 3 301.821 4.030 20 0 DIADHN CCOc1cc(CNCc2ccc(SCC)cc2)ccc1O ZINC000895526598 1043700834 /nfs/dbraw/zinc/70/08/34/1043700834.db2.gz PAMWJQIKKQHKAW-UHFFFAOYSA-N 0 3 317.454 4.193 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1ccc(CF)cc1)c1cccs1 ZINC000777617410 1043701193 /nfs/dbraw/zinc/70/11/93/1043701193.db2.gz KLXKMEUHYDWWAM-INIZCTEOSA-N 0 3 317.429 4.455 20 0 DIADHN CC(C)OC1CCN(Cc2cc3nc(Cl)ccc3s2)CC1 ZINC000876631827 1043703943 /nfs/dbraw/zinc/70/39/43/1043703943.db2.gz XIXIEGIXFVDSRW-UHFFFAOYSA-N 0 3 324.877 4.339 20 0 DIADHN C[C@H](CCN(Cc1cccnc1)C1CC1)OCc1ccccc1 ZINC000354655713 1043712267 /nfs/dbraw/zinc/71/22/67/1043712267.db2.gz ALCYFTJTBLNQNU-QGZVFWFLSA-N 0 3 310.441 4.041 20 0 DIADHN CC[C@@H](NCc1ccc([S@](C)=O)cc1)c1ccc(C)c(F)c1 ZINC000093113667 1043717778 /nfs/dbraw/zinc/71/77/78/1043717778.db2.gz CXPUJWLRABCCQU-XMSQKQJNSA-N 0 3 319.445 4.112 20 0 DIADHN Cc1nc(CCN2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)cs1 ZINC000354830174 1043721122 /nfs/dbraw/zinc/72/11/22/1043721122.db2.gz JRIVFZYZRMLUQB-RYUDHWBXSA-N 0 3 304.434 4.141 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC[C@H]1CC1(Cl)Cl)CCS2 ZINC000876908397 1043723339 /nfs/dbraw/zinc/72/33/39/1043723339.db2.gz NNPBSXQPOAURHN-LDYMZIIASA-N 0 3 306.233 4.146 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000876950403 1043725873 /nfs/dbraw/zinc/72/58/73/1043725873.db2.gz MJZMNXXHCRYJLC-OABGYEMISA-N 0 3 319.332 4.338 20 0 DIADHN CCn1cc(CN[C@@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC000895671931 1043730147 /nfs/dbraw/zinc/73/01/47/1043730147.db2.gz MMJVRADVNQLMKJ-QGZVFWFLSA-N 0 3 303.837 4.032 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1cccnc1 ZINC000354974712 1043734343 /nfs/dbraw/zinc/73/43/43/1043734343.db2.gz XZIUCXSIPWQUDZ-DCGLDWPTSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1nc(C)c(CNCc2cc3nc(Cl)ccc3s2)s1 ZINC000877064953 1043738902 /nfs/dbraw/zinc/73/89/02/1043738902.db2.gz GWQVVYADDWUNRT-UHFFFAOYSA-N 0 3 323.874 4.313 20 0 DIADHN CCO[P@@](C)(=O)CC[C@@H](C)NCc1nc(C(C)C)cs1 ZINC000877107996 1043744098 /nfs/dbraw/zinc/74/40/98/1043744098.db2.gz NUTHQALCMROAAN-CWTRNNRKSA-N 0 3 318.423 4.079 20 0 DIADHN CC(C)[C@@](C)(Cc1ccccc1)NCc1nnc(C2CC2)s1 ZINC000895759190 1043747464 /nfs/dbraw/zinc/74/74/64/1043747464.db2.gz YTVSDVQBKCPUFL-GOSISDBHSA-N 0 3 315.486 4.163 20 0 DIADHN ClC1(Cl)C[C@@H]1CNCc1ccc(Oc2ccccc2)nc1 ZINC000877147106 1043749004 /nfs/dbraw/zinc/74/90/04/1043749004.db2.gz OBZPTPWHNLJXNJ-CYBMUJFWSA-N 0 3 323.223 4.157 20 0 DIADHN ClC1(Cl)C[C@H]1CNCc1ccc(Oc2ccccc2)nc1 ZINC000877147107 1043749498 /nfs/dbraw/zinc/74/94/98/1043749498.db2.gz OBZPTPWHNLJXNJ-ZDUSSCGKSA-N 0 3 323.223 4.157 20 0 DIADHN C[S@@](=O)c1ccc(CN2CCC[C@@H]2CCC2CCCC2)cc1 ZINC000355218392 1043752191 /nfs/dbraw/zinc/75/21/91/1043752191.db2.gz IFNPTINYRRLNMK-XMSQKQJNSA-N 0 3 319.514 4.359 20 0 DIADHN CCc1nc([C@H](C)NCC[C@H](C)OCc2ccccc2)cs1 ZINC000355260293 1043755648 /nfs/dbraw/zinc/75/56/48/1043755648.db2.gz OBZDHESSURANIB-GJZGRUSLSA-N 0 3 318.486 4.352 20 0 DIADHN Cc1cnc([C@@H](C)NCC[C@@H](C)OCc2ccccc2)s1 ZINC000355285522 1043756788 /nfs/dbraw/zinc/75/67/88/1043756788.db2.gz VQNFWLJBGTVYNO-UKRRQHHQSA-N 0 3 304.459 4.098 20 0 DIADHN COc1cc(C)c(CN[C@H]2CCCc3ccc(F)cc32)c(C)n1 ZINC000355478114 1043769834 /nfs/dbraw/zinc/76/98/34/1043769834.db2.gz WDKXNNICUGZVDK-SFHVURJKSA-N 0 3 314.404 4.013 20 0 DIADHN CCc1ccc(CN2CCC(n3c(C)nc4ccccc43)CC2)o1 ZINC000355521059 1043774895 /nfs/dbraw/zinc/77/48/95/1043774895.db2.gz YDQVDYHGKQJACO-UHFFFAOYSA-N 0 3 323.440 4.337 20 0 DIADHN Cn1c(CN[C@H](c2ccccn2)C2CCC2)cc2ccccc21 ZINC000639365281 1043777061 /nfs/dbraw/zinc/77/70/61/1043777061.db2.gz JWNZEFUHEFMSBD-FQEVSTJZSA-N 0 3 305.425 4.204 20 0 DIADHN Fc1ccc2occ(CN3CCC(c4ccncc4)CC3)c2c1 ZINC001141852824 1043778541 /nfs/dbraw/zinc/77/85/41/1043778541.db2.gz SJOHVXDESCVMQA-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Fc1ccc2occ(CN3CCC(c4ccccn4)CC3)c2c1 ZINC001141852862 1043779039 /nfs/dbraw/zinc/77/90/39/1043779039.db2.gz UCKISMLYKHITHH-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCCCNc1ccccn1 ZINC000355672230 1043783382 /nfs/dbraw/zinc/78/33/82/1043783382.db2.gz RFPDXAIXXKQXAY-UHFFFAOYSA-N 0 3 323.396 4.059 20 0 DIADHN Cc1c(CN[C@@H]2CCc3cccc4cccc2c43)ccnc1F ZINC000895933127 1043786192 /nfs/dbraw/zinc/78/61/92/1043786192.db2.gz AKCYCGMTGPKMOC-GOSISDBHSA-N 0 3 306.384 4.459 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777736293 1043786246 /nfs/dbraw/zinc/78/62/46/1043786246.db2.gz COKOPFYXRLFFEI-FZKQIMNGSA-N 0 3 319.807 4.134 20 0 DIADHN CCOc1cc(C[NH2+]CC(C)(C)C(C)(F)F)cc(Cl)c1[O-] ZINC000877730874 1043791529 /nfs/dbraw/zinc/79/15/29/1043791529.db2.gz IGKAVFMWIVWPFP-UHFFFAOYSA-N 0 3 321.795 4.215 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)c2cccc(CN(C)C)c2)c(C)c1 ZINC000355862942 1043791591 /nfs/dbraw/zinc/79/15/91/1043791591.db2.gz BSDSYTPGGSVZTE-QGZVFWFLSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1ccccc1-n1nccc1CN[C@@H]1CCCc2ccccc21 ZINC000474472328 1043793018 /nfs/dbraw/zinc/79/30/18/1043793018.db2.gz NRRICABQNOYWKW-HXUWFJFHSA-N 0 3 317.436 4.348 20 0 DIADHN CCc1ncc(CN2CCC(c3c[nH]c4ccccc43)CC2)o1 ZINC000929161287 1043795831 /nfs/dbraw/zinc/79/58/31/1043795831.db2.gz PDVCHVMNXXPQBV-UHFFFAOYSA-N 0 3 309.413 4.098 20 0 DIADHN Oc1ccc(C2CCC(NCc3ncc(Cl)s3)CC2)cc1 ZINC000877807781 1043798710 /nfs/dbraw/zinc/79/87/10/1043798710.db2.gz UNOIPZDWYBSCAZ-UHFFFAOYSA-N 0 3 322.861 4.318 20 0 DIADHN CCCN(CCCC(C)(F)F)CC(=O)Nc1ccccc1C ZINC000929212747 1043800941 /nfs/dbraw/zinc/80/09/41/1043800941.db2.gz TYRJOLFOWUFBQM-UHFFFAOYSA-N 0 3 312.404 4.081 20 0 DIADHN FC(F)(F)c1cscc1CN1CCC[C@H]([C@H]2CCOC2)C1 ZINC000356128812 1043814494 /nfs/dbraw/zinc/81/44/94/1043814494.db2.gz AXQXFWSSACAWQU-RYUDHWBXSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1cc(CN(Cc2ccc(F)cc2)C(C)(C)C)c(C)nn1 ZINC000929836453 1043844218 /nfs/dbraw/zinc/84/42/18/1043844218.db2.gz XMEDHSDSGXUWAN-UHFFFAOYSA-N 0 3 301.409 4.033 20 0 DIADHN CC(C)c1ccc(CNCc2ccnn2-c2ccc(F)cc2)cc1 ZINC000474603577 1043847492 /nfs/dbraw/zinc/84/74/92/1043847492.db2.gz VJQIOHHDYCCAOM-UHFFFAOYSA-N 0 3 323.415 4.425 20 0 DIADHN Cc1oc2ccccc2c1CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC000356534333 1043848269 /nfs/dbraw/zinc/84/82/69/1043848269.db2.gz WVPOTKBRNAURBN-AWEZNQCLSA-N 0 3 315.804 4.038 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC[C@H]3c3ccccn3)O2)cc1 ZINC000878175295 1043854219 /nfs/dbraw/zinc/85/42/19/1043854219.db2.gz OTUKPQWOZZDGQK-HOJAQTOUSA-N 0 3 308.425 4.139 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H]2CCCCc3ccccc32)cn1 ZINC000356636786 1043857055 /nfs/dbraw/zinc/85/70/55/1043857055.db2.gz VVNXWYHHJNGUPA-LJQANCHMSA-N 0 3 310.441 4.426 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC(C)(C)CC(F)(F)F ZINC000878206491 1043857380 /nfs/dbraw/zinc/85/73/80/1043857380.db2.gz YIZSCVNMBQQUJE-UHFFFAOYSA-N 0 3 316.367 4.055 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cccnc2)ccc1OC(C)C ZINC000356671677 1043859174 /nfs/dbraw/zinc/85/91/74/1043859174.db2.gz HCTMSZZKAPWFIF-GJZGRUSLSA-N 0 3 314.429 4.289 20 0 DIADHN FC1(F)C[C@@]2(CCN(Cc3nccc4ccccc43)C2)C1(F)F ZINC000896226732 1043866085 /nfs/dbraw/zinc/86/60/85/1043866085.db2.gz ICPKKPZWDMYILN-OAHLLOKOSA-N 0 3 324.321 4.101 20 0 DIADHN C[C@H](c1cccnc1)N(C)C[C@@H]1CCC[C@H](c2ccccc2)O1 ZINC000878313399 1043871133 /nfs/dbraw/zinc/87/11/33/1043871133.db2.gz GERYYTDZJAHWJR-LSTHTHJFSA-N 0 3 310.441 4.385 20 0 DIADHN COCc1nc(CN[C@H](CCc2ccccc2)C(C)C)cs1 ZINC000289756685 1043871497 /nfs/dbraw/zinc/87/14/97/1043871497.db2.gz LKVPAQQMLWFXAV-QGZVFWFLSA-N 0 3 318.486 4.037 20 0 DIADHN CC[C@@H](NCC1(OC)CCC1)c1ccccc1Br ZINC000356784425 1043876386 /nfs/dbraw/zinc/87/63/86/1043876386.db2.gz SEBKNMAGUMBOAL-CQSZACIVSA-N 0 3 312.251 4.059 20 0 DIADHN C[C@@H](c1cccnc1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930118717 1043879077 /nfs/dbraw/zinc/87/90/77/1043879077.db2.gz INCDSHGKHUUHTH-IAOVAPTHSA-N 0 3 302.368 4.123 20 0 DIADHN Cc1nnc(CCN[C@@H](C)c2cc3cc(Cl)ccc3o2)s1 ZINC000289793574 1043879002 /nfs/dbraw/zinc/87/90/02/1043879002.db2.gz NKMWLTRJHCHMAK-VIFPVBQESA-N 0 3 321.833 4.139 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCC2)O1)c1nc2ccccc2s1 ZINC000878416482 1043888621 /nfs/dbraw/zinc/88/86/21/1043888621.db2.gz AZBDQOUPMQKZEK-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(Br)nc1 ZINC000289885414 1043891775 /nfs/dbraw/zinc/89/17/75/1043891775.db2.gz SVLWQNHNPALGRH-IAQYHMDHSA-N 0 3 317.230 4.182 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)c1 ZINC000289893050 1043894612 /nfs/dbraw/zinc/89/46/12/1043894612.db2.gz SSDUQWYJDXNNHD-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(Cl)cc2)C2CCC2)[nH]1 ZINC000930216641 1043895452 /nfs/dbraw/zinc/89/54/52/1043895452.db2.gz MTGYAISWORYHIK-KRWDZBQOSA-N 0 3 303.837 4.311 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)NC[C@H]3CC=CCC3)n2)cc1F ZINC000561134010 1043895571 /nfs/dbraw/zinc/89/55/71/1043895571.db2.gz AASHYGNZGNYZDY-KGLIPLIRSA-N 0 3 315.392 4.191 20 0 DIADHN Cc1conc1CNC(C)(C)Cc1ccc(C(F)(F)F)cc1 ZINC000878484939 1043896058 /nfs/dbraw/zinc/89/60/58/1043896058.db2.gz CDFNHUFPOCGZQS-UHFFFAOYSA-N 0 3 312.335 4.113 20 0 DIADHN CCC(CC)[C@@H](NCc1cc(C)nnc1C)c1cccs1 ZINC000930214609 1043897426 /nfs/dbraw/zinc/89/74/26/1043897426.db2.gz YPQAFJXZTLEJAE-QGZVFWFLSA-N 0 3 303.475 4.422 20 0 DIADHN CCSc1ccccc1CN[C@@H](CCCO)c1ccccc1 ZINC000930225941 1043898778 /nfs/dbraw/zinc/89/87/78/1043898778.db2.gz HCVIXWQPXCFLHN-SFHVURJKSA-N 0 3 315.482 4.402 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(Br)nc1)c1ccccc1 ZINC000289946651 1043900283 /nfs/dbraw/zinc/90/02/83/1043900283.db2.gz WHYGPHVQNWKUHK-RYUDHWBXSA-N 0 3 305.219 4.256 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)c(C)nn1 ZINC000930237490 1043903324 /nfs/dbraw/zinc/90/33/24/1043903324.db2.gz IHZFYNIKNALHDN-UZCIPKQKSA-N 0 3 315.848 4.234 20 0 DIADHN Cc1cc([C@@H](N[C@@H](C)c2ccc(F)nc2)C2CC2)ccc1F ZINC000930240697 1043903417 /nfs/dbraw/zinc/90/34/17/1043903417.db2.gz ZEXOQGLNGBVCCJ-SGTLLEGYSA-N 0 3 302.368 4.470 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@@H](C)C1CCC1 ZINC000357013521 1043907313 /nfs/dbraw/zinc/90/73/13/1043907313.db2.gz UPPCIHUCDMNGOW-HNNXBMFYSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@@H](NCC[S@@](=O)C(C)(C)C)c1ccc(Cl)c(Cl)c1 ZINC000127287984 1043913188 /nfs/dbraw/zinc/91/31/88/1043913188.db2.gz UHGVRJQYMQXIRJ-DGIBIBHMSA-N 0 3 322.301 4.191 20 0 DIADHN COCc1ccccc1CN[C@H]1CCc2c1cccc2Cl ZINC000532198402 1043915055 /nfs/dbraw/zinc/91/50/55/1043915055.db2.gz RJAOEOWGJLLQKA-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000561278006 1043917767 /nfs/dbraw/zinc/91/77/67/1043917767.db2.gz MJTJCBSPQUTXIK-NEPJUHHUSA-N 0 3 320.820 4.251 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000035601286 1043918209 /nfs/dbraw/zinc/91/82/09/1043918209.db2.gz OZBLOQIRYVBPRM-BZNIZROVSA-N 0 3 310.224 4.378 20 0 DIADHN Cc1cc(C(=O)Nc2cccc(CN(C)C)c2)c(C)cc1Cl ZINC000474778082 1043919214 /nfs/dbraw/zinc/91/92/14/1043919214.db2.gz UZSRVVKJIOOEAM-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN c1coc(C2=CCCN(C3CC(OCc4ccccc4)C3)C2)c1 ZINC000930440174 1043926381 /nfs/dbraw/zinc/92/63/81/1043926381.db2.gz CFBQUIUKXQWZPR-UHFFFAOYSA-N 0 3 309.409 4.117 20 0 DIADHN C[C@H](O)c1ncc(CN[C@@H](C)c2cccc3ccccc32)s1 ZINC000290213620 1043929148 /nfs/dbraw/zinc/92/91/48/1043929148.db2.gz YTFWDEXIOVPSDK-STQMWFEESA-N 0 3 312.438 4.200 20 0 DIADHN CC(C)(NCC1CCC(=O)CC1)c1nc2cc(Cl)ccc2o1 ZINC000879160053 1043947657 /nfs/dbraw/zinc/94/76/57/1043947657.db2.gz OQROFFVMWGRYNJ-UHFFFAOYSA-N 0 3 320.820 4.065 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnc([C@@H](C)O)s1 ZINC000290395425 1043947721 /nfs/dbraw/zinc/94/77/21/1043947721.db2.gz OYMABXQXUUZSRJ-PBHICJAKSA-N 0 3 318.486 4.382 20 0 DIADHN CC(C)(NCCC[C@H]1CCOC1)c1nc2cc(Cl)ccc2o1 ZINC000879160036 1043948391 /nfs/dbraw/zinc/94/83/91/1043948391.db2.gz OCDNZCNKOCDWSL-LBPRGKRZSA-N 0 3 322.836 4.123 20 0 DIADHN CC(C)C[C@@H](NCC(=O)N(C(C)C)C(C)C)c1ccccc1 ZINC000357419409 1043950150 /nfs/dbraw/zinc/95/01/50/1043950150.db2.gz USMTVBXJYODXSM-GOSISDBHSA-N 0 3 304.478 4.009 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2ccc(OC)c(O)c2)cc1 ZINC000290575175 1043965020 /nfs/dbraw/zinc/96/50/20/1043965020.db2.gz FNJVCWMINNNGHD-CQSZACIVSA-N 0 3 314.429 4.074 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2[C@H]2CCCOC2)sc1C(C)(C)C ZINC000930810303 1043966268 /nfs/dbraw/zinc/96/62/68/1043966268.db2.gz GZGWLMSLHGHWCR-LSDHHAIUSA-N 0 3 322.518 4.140 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(F)c(C)c2)cc1O ZINC000290692696 1043975623 /nfs/dbraw/zinc/97/56/23/1043975623.db2.gz MUXAGJXHZJHCIV-OLZOCXBDSA-N 0 3 303.377 4.260 20 0 DIADHN Cc1[nH]ncc1CNc1ccnc(Oc2ccccc2Cl)c1 ZINC000357695335 1043976532 /nfs/dbraw/zinc/97/65/32/1043976532.db2.gz DWKWPTDLURUSHA-UHFFFAOYSA-N 0 3 314.776 4.171 20 0 DIADHN Cc1ccc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)nc1Cl ZINC000879671343 1043979419 /nfs/dbraw/zinc/97/94/19/1043979419.db2.gz CCSKHEUZUKDZHR-VXGBXAGGSA-N 0 3 304.743 4.030 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2cccc(F)c2)no1)C1CCCCC1 ZINC000474924017 1043985199 /nfs/dbraw/zinc/98/51/99/1043985199.db2.gz LVCNQRFNDHNKLL-OLZOCXBDSA-N 0 3 317.408 4.495 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(-c2ccncc2)cc1 ZINC000357786096 1043986731 /nfs/dbraw/zinc/98/67/31/1043986731.db2.gz VKSKKXRFUGTLJR-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000474933567 1043988884 /nfs/dbraw/zinc/98/88/84/1043988884.db2.gz GFDBOKGPSQWYDF-FQEVSTJZSA-N 0 3 311.400 4.020 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000474933569 1043990191 /nfs/dbraw/zinc/99/01/91/1043990191.db2.gz GFDBOKGPSQWYDF-HXUWFJFHSA-N 0 3 311.400 4.020 20 0 DIADHN CC(C)c1ccccc1CN[C@@H](c1ccncc1)C1(CO)CCC1 ZINC000931079514 1043990555 /nfs/dbraw/zinc/99/05/55/1043990555.db2.gz RDKCIXYMBJSUMP-FQEVSTJZSA-N 0 3 324.468 4.199 20 0 DIADHN C[C@@H]1CC(F)(F)CCN1C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000931254045 1044007637 /nfs/dbraw/zinc/00/76/37/1044007637.db2.gz GMHJABBMCBOXDJ-MRVWCRGKSA-N 0 3 301.327 4.155 20 0 DIADHN Cc1cc(CN[C@@H]2CCSc3c(F)cccc32)cc(C)c1O ZINC000358038930 1044008629 /nfs/dbraw/zinc/00/86/29/1044008629.db2.gz PPWAFFLNGBQBQI-MRXNPFEDSA-N 0 3 317.429 4.475 20 0 DIADHN CC[C@H](NCc1cnc2ccccc2c1)c1cccc(OC)c1 ZINC000358035452 1044010500 /nfs/dbraw/zinc/01/05/00/1044010500.db2.gz JRFZCQQRQVJBFA-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN CCC1(CC)CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000880096100 1044011022 /nfs/dbraw/zinc/01/10/22/1044011022.db2.gz AUBGHNSAMKNORT-UHFFFAOYSA-N 0 3 303.406 4.101 20 0 DIADHN CC[C@@H](NCCOc1ccccc1OC)c1cc(F)ccc1F ZINC000358065144 1044013503 /nfs/dbraw/zinc/01/35/03/1044013503.db2.gz RODOPYGJVRVHSP-MRXNPFEDSA-N 0 3 321.367 4.093 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc3c(c2)C[C@H](C)O3)c1 ZINC001648969006 1044013511 /nfs/dbraw/zinc/01/35/11/1044013511.db2.gz JZWNGSDFDBPEKE-GJZGRUSLSA-N 0 3 311.425 4.260 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(F)cc2C)ccc1O ZINC000896799840 1044014194 /nfs/dbraw/zinc/01/41/94/1044014194.db2.gz CYPKAFVCILSHDD-CYBMUJFWSA-N 0 3 303.377 4.089 20 0 DIADHN COC(=O)CC[C@@H](N[C@H]1CCc2ccc(C)cc21)c1ccccc1 ZINC000358081860 1044016599 /nfs/dbraw/zinc/01/65/99/1044016599.db2.gz IZOBEGYHGHYATF-UXHICEINSA-N 0 3 323.436 4.266 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCOc1ccccc1F)CCS2 ZINC000358087603 1044018112 /nfs/dbraw/zinc/01/81/12/1044018112.db2.gz OVIBQGBUVKCRGC-OAHLLOKOSA-N 0 3 321.392 4.170 20 0 DIADHN CO[C@H](C)CCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291084006 1044021802 /nfs/dbraw/zinc/02/18/02/1044021802.db2.gz CFXFLJGSJDOODY-VXGBXAGGSA-N 0 3 308.422 4.025 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000358138936 1044023446 /nfs/dbraw/zinc/02/34/46/1044023446.db2.gz JIETXGOGFXBCSZ-GUYCJALGSA-N 0 3 316.832 4.408 20 0 DIADHN O[C@@H](CN(Cc1cccs1)Cc1ccccc1)c1ccco1 ZINC000128309318 1044025393 /nfs/dbraw/zinc/02/53/93/1044025393.db2.gz PDKNNYHNWNUOBQ-KRWDZBQOSA-N 0 3 313.422 4.077 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC(c3ncco3)CC2)cc1 ZINC000931451182 1044028170 /nfs/dbraw/zinc/02/81/70/1044028170.db2.gz SBDDZYBAYWTUBG-UHFFFAOYSA-N 0 3 310.319 4.073 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC(c2ncco2)CC1 ZINC000931446208 1044028234 /nfs/dbraw/zinc/02/82/34/1044028234.db2.gz HIERUISACGJZKM-MRXNPFEDSA-N 0 3 302.805 4.195 20 0 DIADHN Cc1ccc(OC(F)F)c(CN2CC[C@@H](c3nccs3)C2)c1 ZINC000880320915 1044028625 /nfs/dbraw/zinc/02/86/25/1044028625.db2.gz JYEMYLOTJZFRRJ-GFCCVEGCSA-N 0 3 324.396 4.042 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@H](c2nccs2)C1 ZINC000880314091 1044028802 /nfs/dbraw/zinc/02/88/02/1044028802.db2.gz VSSKWOLNAGLUMW-LBPRGKRZSA-N 0 3 312.360 4.151 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CNC3(c4ncccn4)CCC3)C2)cc1 ZINC000880367337 1044031678 /nfs/dbraw/zinc/03/16/78/1044031678.db2.gz BKCMTDZJQLQWJS-SJLPKXTDSA-N 0 3 307.441 4.029 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC000561951987 1044032844 /nfs/dbraw/zinc/03/28/44/1044032844.db2.gz YTLPTFQQQQSKPD-HSNDNRACSA-N 0 3 309.457 4.187 20 0 DIADHN C[C@@H](NCCCCCCO)c1csc(-c2ccccc2F)n1 ZINC000291207723 1044039442 /nfs/dbraw/zinc/03/94/42/1044039442.db2.gz MNLIZYQSVMAQSB-CYBMUJFWSA-N 0 3 322.449 4.153 20 0 DIADHN CC[C@H](NCc1nc(-c2cccs2)oc1C)[C@H]1CCCOC1 ZINC000880466241 1044042740 /nfs/dbraw/zinc/04/27/40/1044042740.db2.gz UAUVRUJPZQUNOQ-KBPBESRZSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1nnc(CN2[C@H](C)C[C@H](c3ccccc3)C2(C)C)s1 ZINC000880593597 1044048510 /nfs/dbraw/zinc/04/85/10/1044048510.db2.gz FKSHAUYXJWXQQV-IUODEOHRSA-N 0 3 301.459 4.003 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H](C)C1)c1nc(-c2ccccc2)cs1 ZINC000358301412 1044051154 /nfs/dbraw/zinc/05/11/54/1044051154.db2.gz QUYPNDWQVAXWKQ-VNHYZAJKSA-N 0 3 302.443 4.028 20 0 DIADHN CCC(CC)n1ccc(CN(CC)[C@H]2CCOC(C)(C)C2)n1 ZINC000562043438 1044054940 /nfs/dbraw/zinc/05/49/40/1044054940.db2.gz BHXPYOQDNCISKX-KRWDZBQOSA-N 0 3 307.482 4.024 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)c(F)c1 ZINC000562058212 1044057268 /nfs/dbraw/zinc/05/72/68/1044057268.db2.gz UGSYJVCVMOFAKW-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN C[C@@H](N[C@@H]1CCCOCC1)c1csc(-c2ccccc2F)n1 ZINC000291342234 1044062424 /nfs/dbraw/zinc/06/24/24/1044062424.db2.gz WPCMOHKUDUIVLX-CHWSQXEVSA-N 0 3 320.433 4.169 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2cccnc2)C2CC2)cc1F ZINC000358374996 1044062836 /nfs/dbraw/zinc/06/28/36/1044062836.db2.gz GNUCBLRBZIQFAA-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1cc(CN(C)[C@H](C)c2ccc(F)c(F)c2)cc(C)c1O ZINC000562111101 1044067589 /nfs/dbraw/zinc/06/75/89/1044067589.db2.gz LDKBFGCBUGVSFS-CYBMUJFWSA-N 0 3 305.368 4.480 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCCCOC(C)(C)C ZINC000475044650 1044072372 /nfs/dbraw/zinc/07/23/72/1044072372.db2.gz DOSJVPVGZSIIBC-UHFFFAOYSA-N 0 3 313.869 4.376 20 0 DIADHN Clc1cccc([C@@H]2CCCN2Cc2cccc3nonc32)c1 ZINC001203139484 1044074466 /nfs/dbraw/zinc/07/44/66/1044074466.db2.gz GUKKGPIAZRJKBU-INIZCTEOSA-N 0 3 313.788 4.213 20 0 DIADHN CC(C)n1nccc1CN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000280877099 1044080827 /nfs/dbraw/zinc/08/08/27/1044080827.db2.gz MZLKIHICLKACBH-UGSOOPFHSA-N 0 3 301.409 4.016 20 0 DIADHN Cc1cc2cc([C@@H](C)NCC3([S@@](C)=O)CCC3)oc2cc1C ZINC000281035591 1044090230 /nfs/dbraw/zinc/09/02/30/1044090230.db2.gz NLZATUIBRMFHJJ-JLCFBVMHSA-N 0 3 319.470 4.001 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2cnn(C(C)C)c2)c1 ZINC000346334101 1044094375 /nfs/dbraw/zinc/09/43/75/1044094375.db2.gz NPUVRSZWYMAQFS-BEFAXECRSA-N 0 3 313.445 4.056 20 0 DIADHN CN(C)c1ccncc1CN[C@@H]1CCCc2sc(Cl)cc21 ZINC000291712331 1044096263 /nfs/dbraw/zinc/09/62/63/1044096263.db2.gz LMURSWIJYXJNOC-CYBMUJFWSA-N 0 3 321.877 4.030 20 0 DIADHN COc1ccc([C@@H](NC[C@H]2CCC=CO2)c2ccccc2)cc1 ZINC000380200427 1044100131 /nfs/dbraw/zinc/10/01/31/1044100131.db2.gz CMZUQGRANFDNTE-UXHICEINSA-N 0 3 309.409 4.067 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(c2ccn(C)n2)CC1 ZINC000932140925 1044103256 /nfs/dbraw/zinc/10/32/56/1044103256.db2.gz DYVUNFOQHJROOV-ZDUSSCGKSA-N 0 3 303.837 4.014 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000291809104 1044105924 /nfs/dbraw/zinc/10/59/24/1044105924.db2.gz CLLIQDIIBHQHIA-PGUXBMHVSA-N 0 3 323.483 4.171 20 0 DIADHN CCC1(CC)CCCN(Cc2nc(-c3ccoc3)no2)CC1 ZINC000346472166 1044106032 /nfs/dbraw/zinc/10/60/32/1044106032.db2.gz PGLAUHQTRYFPHS-UHFFFAOYSA-N 0 3 303.406 4.122 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000358931907 1044111355 /nfs/dbraw/zinc/11/13/55/1044111355.db2.gz JMNGXGWYEIKEGL-AEFFLSMTSA-N 0 3 317.864 4.438 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000358931909 1044111859 /nfs/dbraw/zinc/11/18/59/1044111859.db2.gz JMNGXGWYEIKEGL-SJLPKXTDSA-N 0 3 317.864 4.438 20 0 DIADHN c1csc(CN[C@H]2CCCc3nn(-c4ccccc4)cc32)c1 ZINC000358945781 1044113717 /nfs/dbraw/zinc/11/37/17/1044113717.db2.gz QOQXORBFIMANJX-KRWDZBQOSA-N 0 3 309.438 4.101 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1ccc(Cl)nc1 ZINC000291920014 1044115290 /nfs/dbraw/zinc/11/52/90/1044115290.db2.gz NULDYNUKUHFDHS-XMZIXOGTSA-N 0 3 322.861 4.150 20 0 DIADHN C[C@H](CN[C@H]1CCCc2nn(-c3ccccc3)cc21)C(F)(F)F ZINC000359001072 1044118785 /nfs/dbraw/zinc/11/87/85/1044118785.db2.gz KMNKFLOCRVXYBF-DOMZBBRYSA-N 0 3 323.362 4.038 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000359008790 1044120775 /nfs/dbraw/zinc/12/07/75/1044120775.db2.gz XVCRLBRAUAMXRA-DOMZBBRYSA-N 0 3 323.362 4.180 20 0 DIADHN CCO[C@H]1C[C@H](NC2(c3nc(C)cs3)CCC2)C12CCCC2 ZINC000346648886 1044124828 /nfs/dbraw/zinc/12/48/28/1044124828.db2.gz HKACMFTZGBKMPG-GJZGRUSLSA-N 0 3 320.502 4.158 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](CCCCOC)c2ccccc2)cn1 ZINC000359038599 1044125187 /nfs/dbraw/zinc/12/51/87/1044125187.db2.gz RPROGOVJEIVUHT-LPHOPBHVSA-N 0 3 315.461 4.112 20 0 DIADHN COc1ccc([C@H](NCc2cn(C(C)C)nc2C)C2CC2)cc1 ZINC000281402883 1044127856 /nfs/dbraw/zinc/12/78/56/1044127856.db2.gz PTEBVCWNHYHGRB-LJQANCHMSA-N 0 3 313.445 4.022 20 0 DIADHN COc1ccc([C@@H](NCc2cn(C(C)C)nc2C)C2CC2)cc1 ZINC000281402880 1044127960 /nfs/dbraw/zinc/12/79/60/1044127960.db2.gz PTEBVCWNHYHGRB-IBGZPJMESA-N 0 3 313.445 4.022 20 0 DIADHN Cc1ccc([C@@H]2CCCN2[C@H](C)C(=O)N(C(C)C)C(C)C)s1 ZINC000359078470 1044128453 /nfs/dbraw/zinc/12/84/53/1044128453.db2.gz SRQRKVNCMPKSOE-CVEARBPZSA-N 0 3 322.518 4.227 20 0 DIADHN CCC1(CC)[C@H](NC2(c3nc(C)cs3)CCC2)C[C@@H]1OC ZINC000346661061 1044128863 /nfs/dbraw/zinc/12/88/63/1044128863.db2.gz UZPJUVITPKJFAY-KGLIPLIRSA-N 0 3 308.491 4.014 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000359145378 1044135601 /nfs/dbraw/zinc/13/56/01/1044135601.db2.gz URWHIVFPCXXVCX-AWEZNQCLSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1cc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)ccc1Cl ZINC000292107722 1044138000 /nfs/dbraw/zinc/13/80/00/1044138000.db2.gz JYQILNZYBCSPMS-MRXNPFEDSA-N 0 3 318.852 4.178 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1ccnc(Br)c1 ZINC000932563114 1044143602 /nfs/dbraw/zinc/14/36/02/1044143602.db2.gz YJYYWRXOUSPHOO-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN CCN(Cc1c(F)cccc1OC(F)(F)F)C1CCCC1 ZINC001308755366 1044152588 /nfs/dbraw/zinc/15/25/88/1044152588.db2.gz FQTFOGIEJGFTSC-UHFFFAOYSA-N 0 3 305.315 4.489 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000359313685 1044153810 /nfs/dbraw/zinc/15/38/10/1044153810.db2.gz BWFCYEHQODZNIT-JKSUJKDBSA-N 0 3 320.440 4.242 20 0 DIADHN CCCCn1cc(CN[C@H](CC)c2cccc(OC)c2)c(C)n1 ZINC000359344698 1044157103 /nfs/dbraw/zinc/15/71/03/1044157103.db2.gz PYTBSAUWISBNEQ-LJQANCHMSA-N 0 3 315.461 4.241 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000359348082 1044158725 /nfs/dbraw/zinc/15/87/25/1044158725.db2.gz UEUSDHYHYKZHKE-JKSUJKDBSA-N 0 3 320.440 4.242 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2cccc3cccnc32)cc1 ZINC001137175571 1044162400 /nfs/dbraw/zinc/16/24/00/1044162400.db2.gz CWIHIZIFMVTKPP-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(=O)oc2c(C)c(C)ccc12 ZINC000007437503 1044169189 /nfs/dbraw/zinc/16/91/89/1044169189.db2.gz QQVOHLOGXMRMNN-UHFFFAOYSA-N 0 3 321.420 4.432 20 0 DIADHN FC1(F)CC[C@@H](CNCc2csc(-c3cccs3)n2)C1 ZINC000281632133 1044170781 /nfs/dbraw/zinc/17/07/81/1044170781.db2.gz LUXWLVRAXRPUSQ-SNVBAGLBSA-N 0 3 314.426 4.397 20 0 DIADHN Cc1nc([C@H](C)NC[C@]2(C)CCCc3ccccc32)cs1 ZINC000562866733 1044172201 /nfs/dbraw/zinc/17/22/01/1044172201.db2.gz OQZMNTDHXQPJOG-UGSOOPFHSA-N 0 3 300.471 4.396 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnn(CC(F)F)c1)CC2 ZINC000292474569 1044177430 /nfs/dbraw/zinc/17/74/30/1044177430.db2.gz AICDTHUTDLJGBI-QGZVFWFLSA-N 0 3 319.399 4.049 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](C2CCOCC2)C1 ZINC000933081244 1044181516 /nfs/dbraw/zinc/18/15/16/1044181516.db2.gz KVVPNLHFGRTFPZ-GDBMZVCRSA-N 0 3 314.429 4.027 20 0 DIADHN Fc1cncc([C@@H](N[C@@H]2CCSc3ccccc32)C2CC2)c1 ZINC000281762433 1044188998 /nfs/dbraw/zinc/18/89/98/1044188998.db2.gz WKLDHZNBGGUSIG-AEFFLSMTSA-N 0 3 314.429 4.499 20 0 DIADHN CCS[C@H]1CCC[C@H](NCc2nccn2-c2ccccc2)C1 ZINC000359746039 1044197084 /nfs/dbraw/zinc/19/70/84/1044197084.db2.gz GVLJJBRZUGTYKV-RDJZCZTQSA-N 0 3 315.486 4.026 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H](C)c1ccc2c(c1)CCO2 ZINC000933488269 1044198071 /nfs/dbraw/zinc/19/80/71/1044198071.db2.gz BMUJWFBYDJBIBS-CQSZACIVSA-N 0 3 310.441 4.299 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cnc3ccccc3c2)C1 ZINC000347171530 1044201644 /nfs/dbraw/zinc/20/16/44/1044201644.db2.gz RBMIIOSNWLUUDF-MGPUTAFESA-N 0 3 303.409 4.213 20 0 DIADHN c1nc(-c2ccccn2)sc1CNCc1ccc(C2CC2)cc1 ZINC000359814183 1044202474 /nfs/dbraw/zinc/20/24/74/1044202474.db2.gz JEWAHYBSJDZACM-UHFFFAOYSA-N 0 3 321.449 4.372 20 0 DIADHN Fc1cc(CNCc2ccc(C3CC3)cc2)ccc1-n1ccnc1 ZINC000359812471 1044203464 /nfs/dbraw/zinc/20/34/64/1044203464.db2.gz WGEQDLCTGGHLKL-UHFFFAOYSA-N 0 3 321.399 4.179 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]2Cc2ccccc2Cl)s1 ZINC000347241819 1044212766 /nfs/dbraw/zinc/21/27/66/1044212766.db2.gz BKTZVOUHKJELBC-CQSZACIVSA-N 0 3 306.862 4.312 20 0 DIADHN Oc1ccc(CCCNCc2c(Cl)cccc2Cl)cc1 ZINC000522841252 1044218699 /nfs/dbraw/zinc/21/86/99/1044218699.db2.gz PIFZKIRHDGXHAC-UHFFFAOYSA-N 0 3 310.224 4.421 20 0 DIADHN CCCNc1ccc(CNCc2cccc(Cl)c2N)cc1 ZINC000883104981 1044220853 /nfs/dbraw/zinc/22/08/53/1044220853.db2.gz TZBZFZVSEKYNTC-UHFFFAOYSA-N 0 3 303.837 4.034 20 0 DIADHN Cc1oncc1CN(C)[C@@H](C)Cc1ccccc1C(F)(F)F ZINC000347289803 1044225198 /nfs/dbraw/zinc/22/51/98/1044225198.db2.gz BDOUXTHJBVQECK-NSHDSACASA-N 0 3 312.335 4.065 20 0 DIADHN CCCC[C@H](CCC)NC(=O)c1cccc(CN2CCCC2)c1 ZINC001137184617 1044227092 /nfs/dbraw/zinc/22/70/92/1044227092.db2.gz FRHQJNCVXZCOLR-IBGZPJMESA-N 0 3 316.489 4.371 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccccc1C1(C)CC1 ZINC000934168931 1044227917 /nfs/dbraw/zinc/22/79/17/1044227917.db2.gz LISPNIJMKILWMH-UHFFFAOYSA-N 0 3 322.452 4.360 20 0 DIADHN CCCCC1(N[C@@H](C)c2nc(=O)c3c(C)c(C)sc3[nH]2)CC1 ZINC000934206562 1044230208 /nfs/dbraw/zinc/23/02/08/1044230208.db2.gz BMHFPAHUTJINLJ-NSHDSACASA-N 0 3 319.474 4.387 20 0 DIADHN COc1cccc(C2(NCc3cccc(Cl)c3N)CCC2)c1 ZINC000883181227 1044230166 /nfs/dbraw/zinc/23/01/66/1044230166.db2.gz VQAPRJFJXLCRAL-UHFFFAOYSA-N 0 3 316.832 4.100 20 0 DIADHN Cc1ccc2ncc(CNCc3ccc(C(C)(F)F)cc3)n2c1 ZINC000292948925 1044234775 /nfs/dbraw/zinc/23/47/75/1044234775.db2.gz KJJGKAWERYEMIP-UHFFFAOYSA-N 0 3 315.367 4.044 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)F)c(F)c2)c(C)c1 ZINC000563239587 1044236426 /nfs/dbraw/zinc/23/64/26/1044236426.db2.gz SLZGWXYESGKZMK-UHFFFAOYSA-N 0 3 309.331 4.334 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)c1cccc(CN(C)C)c1 ZINC000360135577 1044239163 /nfs/dbraw/zinc/23/91/63/1044239163.db2.gz JYMVAIFFTQNUAN-KRWDZBQOSA-N 0 3 324.468 4.189 20 0 DIADHN CCSc1ccc(CNCc2c(C)nc3ccccn32)cc1 ZINC000883236031 1044240958 /nfs/dbraw/zinc/24/09/58/1044240958.db2.gz PYJRXVXQUIEMDG-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cnc2c(cnn2C(C)C)c1 ZINC000293022916 1044241323 /nfs/dbraw/zinc/24/13/23/1044241323.db2.gz OZUIKRPDFGIGGB-UHFFFAOYSA-N 0 3 322.456 4.346 20 0 DIADHN COc1cc(CNCc2ccc(C(C)(F)F)cc2)cc(OC)c1 ZINC000293052721 1044243602 /nfs/dbraw/zinc/24/36/02/1044243602.db2.gz TZJNKHRYOIAUTJ-UHFFFAOYSA-N 0 3 321.367 4.105 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC[C@@H]1c1ccccc1F ZINC001137186553 1044257651 /nfs/dbraw/zinc/25/76/51/1044257651.db2.gz ZEQLXIZDELRLQF-LJQANCHMSA-N 0 3 322.383 4.417 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H](C)c2cccc(C3CC3)c2)c1 ZINC000293245435 1044263304 /nfs/dbraw/zinc/26/33/04/1044263304.db2.gz BIOZDKZWJDPUFC-AWEZNQCLSA-N 0 3 308.425 4.373 20 0 DIADHN Cc1sc2[n-]c([C@H](C)[NH2+][C@@H]3CC=CC[C@H]3C)nc(=O)c2c1C ZINC000934624319 1044263771 /nfs/dbraw/zinc/26/37/71/1044263771.db2.gz CJGHTGHSZWXPKB-SUZMYJTESA-N 0 3 317.458 4.019 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CNCc1cnn(C)c1C ZINC000293240503 1044264581 /nfs/dbraw/zinc/26/45/81/1044264581.db2.gz LFQSILALPZYVPU-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H](c2ccncc2)C2CC2)cc1 ZINC000934688234 1044268266 /nfs/dbraw/zinc/26/82/66/1044268266.db2.gz UYWCWPPVEGNXLQ-MRXNPFEDSA-N 0 3 306.331 4.341 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934695757 1044271227 /nfs/dbraw/zinc/27/12/27/1044271227.db2.gz COJDGXUIIDNUIJ-IBGZPJMESA-N 0 3 310.441 4.500 20 0 DIADHN Brc1csc(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934692423 1044271502 /nfs/dbraw/zinc/27/15/02/1044271502.db2.gz OIBAGZHCCRYUNC-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN FC(F)(F)Oc1cccc(CN[C@H](c2ccncc2)C2CC2)c1 ZINC000934701242 1044273341 /nfs/dbraw/zinc/27/33/41/1044273341.db2.gz NGZFQSCHRNFMRI-INIZCTEOSA-N 0 3 322.330 4.221 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1ccc2cc[nH]c2c1 ZINC000883329683 1044277117 /nfs/dbraw/zinc/27/71/17/1044277117.db2.gz PODHEVYPDRAZTP-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN CCCN(Cc1sc(C)nc1C)[C@@H]1CCCc2cccnc21 ZINC000360483922 1044277460 /nfs/dbraw/zinc/27/74/60/1044277460.db2.gz OKAQXAQCDNPYNP-MRXNPFEDSA-N 0 3 315.486 4.445 20 0 DIADHN COc1cc2c(cc1OC)[C@H](N[C@H](C)c1ccoc1)CCCC2 ZINC000883347517 1044278277 /nfs/dbraw/zinc/27/82/77/1044278277.db2.gz UELQXXUPKJYLHB-CXAGYDPISA-N 0 3 315.413 4.415 20 0 DIADHN Cc1[nH]c2ccc(CN[C@@H](C)c3cnn(C(C)C)c3)cc2c1C ZINC000360494946 1044279192 /nfs/dbraw/zinc/27/91/92/1044279192.db2.gz IMZHRXGYCNAAOS-HNNXBMFYSA-N 0 3 310.445 4.413 20 0 DIADHN COc1cc2c(cc1OC)[C@H](N[C@@H](C)c1ccoc1)CCCC2 ZINC000883347520 1044279624 /nfs/dbraw/zinc/27/96/24/1044279624.db2.gz UELQXXUPKJYLHB-SUMWQHHRSA-N 0 3 315.413 4.415 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2N[C@@H](C)c1cccs1 ZINC000883331285 1044279702 /nfs/dbraw/zinc/27/97/02/1044279702.db2.gz VNFXVLCWSBLBGP-JSGCOSHPSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c(C)c1 ZINC000360578034 1044285711 /nfs/dbraw/zinc/28/57/11/1044285711.db2.gz FNSZUAFTGMOPIJ-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1N[C@@H]1CCOC2(CCCC2)C1 ZINC000883377577 1044288710 /nfs/dbraw/zinc/28/87/10/1044288710.db2.gz LFLDJPYGZSPCFS-NXHRZFHOSA-N 0 3 303.421 4.093 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@H]1N[C@H]1CCOC2(CCCC2)C1 ZINC000883377574 1044290654 /nfs/dbraw/zinc/29/06/54/1044290654.db2.gz LFLDJPYGZSPCFS-CGTJXYLNSA-N 0 3 303.421 4.093 20 0 DIADHN FC(F)Oc1cccc(CN[C@H](c2cccnc2)C2CCC2)c1 ZINC000360657680 1044296995 /nfs/dbraw/zinc/29/69/95/1044296995.db2.gz PEVYRWOBJQRVJT-KRWDZBQOSA-N 0 3 318.367 4.314 20 0 DIADHN c1cncc([C@H](NCc2ccnc(OC3CCC3)c2)C2CCC2)c1 ZINC000360658851 1044297711 /nfs/dbraw/zinc/29/77/11/1044297711.db2.gz WAXDYLMUCIMXCK-HXUWFJFHSA-N 0 3 323.440 4.039 20 0 DIADHN CCCCCN(CC(=O)Nc1cccc(Cl)c1C)C(C)C ZINC000058733386 1044298190 /nfs/dbraw/zinc/29/81/90/1044298190.db2.gz SJXWJAQWPXQGOR-UHFFFAOYSA-N 0 3 310.869 4.488 20 0 DIADHN COc1ccc([C@H](NCc2cnc(F)c(C)c2)C2CCC2)cc1 ZINC000897079095 1044300550 /nfs/dbraw/zinc/30/05/50/1044300550.db2.gz DHJUZTDLNWGRPT-GOSISDBHSA-N 0 3 314.404 4.169 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387573 1044300445 /nfs/dbraw/zinc/30/04/45/1044300445.db2.gz ZUXIPIMOHFAEHV-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc(-n2ccnc2)cc1 ZINC000360689240 1044301077 /nfs/dbraw/zinc/30/10/77/1044301077.db2.gz KSMKBACOYMHBBH-BBRMVZONSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc(-n2ccnc2)cc1 ZINC000360689243 1044301795 /nfs/dbraw/zinc/30/17/95/1044301795.db2.gz KSMKBACOYMHBBH-XJKSGUPXSA-N 0 3 323.362 4.035 20 0 DIADHN CSCc1cnc(CNC[C@@H](C)c2ccc(F)cc2)s1 ZINC000293710524 1044315757 /nfs/dbraw/zinc/31/57/57/1044315757.db2.gz XUXDKRKFFWAVAN-LLVKDONJSA-N 0 3 310.463 4.039 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cnc3cccc(C)n23)c1 ZINC001137190854 1044315756 /nfs/dbraw/zinc/31/57/56/1044315756.db2.gz GKVPPJGTHZXQHB-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1cc2cc(F)ccc2o1 ZINC000360849081 1044319579 /nfs/dbraw/zinc/31/95/79/1044319579.db2.gz WWRXXIKLOXLHQC-SUMWQHHRSA-N 0 3 305.393 4.257 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1nccn1CC(F)(F)F ZINC001257476965 1044321224 /nfs/dbraw/zinc/32/12/24/1044321224.db2.gz RKNRGXQLQVOKFP-QWHCGFSZSA-N 0 3 305.388 4.140 20 0 DIADHN FC(F)C1(CNCc2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000475215143 1044327349 /nfs/dbraw/zinc/32/73/49/1044327349.db2.gz PUQUISBRWWHXLO-UHFFFAOYSA-N 0 3 313.697 4.494 20 0 DIADHN FC(F)C1(CNCc2cc(Br)ccc2Cl)CC1 ZINC000475215782 1044327738 /nfs/dbraw/zinc/32/77/38/1044327738.db2.gz RVOJGIWRPWEJNC-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN Cc1ccc(C(=O)Nc2ccccc2CN(C)C)c(Cl)c1C ZINC000564063315 1044336712 /nfs/dbraw/zinc/33/67/12/1044336712.db2.gz IMVDMTDYKGDORB-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H](CC(C)(C)C)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000475272881 1044340709 /nfs/dbraw/zinc/34/07/09/1044340709.db2.gz CHQOPJSPAWQAMK-GJZGRUSLSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)cs1 ZINC000360988571 1044342294 /nfs/dbraw/zinc/34/22/94/1044342294.db2.gz ZGBRSBKQUAEKDE-DEYYWGMASA-N 0 3 317.502 4.102 20 0 DIADHN COCCC[C@@H](Cc1ccccc1)N[C@@H](C)c1csc(C)n1 ZINC000360995123 1044342587 /nfs/dbraw/zinc/34/25/87/1044342587.db2.gz HOPUVLQBCKBGRV-YOEHRIQHSA-N 0 3 318.486 4.140 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CN[C@H](C)c1csc(C)n1 ZINC000361000586 1044342967 /nfs/dbraw/zinc/34/29/67/1044342967.db2.gz YYEHUUBJDILYSI-IUODEOHRSA-N 0 3 316.470 4.231 20 0 DIADHN Brc1ccc(C2(NCc3ccncc3)CCC2)cc1 ZINC000102426859 1044344102 /nfs/dbraw/zinc/34/41/02/1044344102.db2.gz PFBJCEHAZUQOSQ-UHFFFAOYSA-N 0 3 317.230 4.013 20 0 DIADHN Cc1ccccc1OCCCN[C@H](C)c1cccnc1Cl ZINC000132939982 1044344340 /nfs/dbraw/zinc/34/43/40/1044344340.db2.gz SZEDQAUKWYRFMA-CQSZACIVSA-N 0 3 304.821 4.163 20 0 DIADHN Oc1ccc(CN(Cc2cnc(C3CC3)s2)CC2CC2)cc1 ZINC000564193267 1044355197 /nfs/dbraw/zinc/35/51/97/1044355197.db2.gz HLNIJCIIXKYPIQ-UHFFFAOYSA-N 0 3 314.454 4.138 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@H](C)c3cnn(C)c3C)ccc2c1 ZINC000192855108 1044356259 /nfs/dbraw/zinc/35/62/59/1044356259.db2.gz YYNXGTFJAMVIOQ-ZIAGYGMSSA-N 0 3 323.440 4.302 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1cccc(N(C)C)c1)CCCO2 ZINC000361114810 1044364118 /nfs/dbraw/zinc/36/41/18/1044364118.db2.gz UVPXFPJDGGYLOP-FQEVSTJZSA-N 0 3 324.468 4.373 20 0 DIADHN Cc1cc(C)cc(-c2ccc(C[NH2+]Cc3ncccc3[O-])cc2)c1 ZINC000475333277 1044365236 /nfs/dbraw/zinc/36/52/36/1044365236.db2.gz BQGMSTRVIFLNSL-UHFFFAOYSA-N 0 3 318.420 4.361 20 0 DIADHN CCc1cnc(CN2CC[C@@H](c3ccc(C)cc3C)C2)s1 ZINC000475343176 1044370754 /nfs/dbraw/zinc/37/07/54/1044370754.db2.gz QGVKKJVPVWKCNZ-OAHLLOKOSA-N 0 3 300.471 4.312 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361186703 1044371879 /nfs/dbraw/zinc/37/18/79/1044371879.db2.gz MKXRLNGIXUIBCC-BXKDBHETSA-N 0 3 323.305 4.499 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)NCc1c(F)cc(C)cc1F ZINC000897213063 1044372913 /nfs/dbraw/zinc/37/29/13/1044372913.db2.gz NXJOBTPEWIHQCR-QKVFXAPYSA-N 0 3 319.332 4.086 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@@H]2NC[C@H]1C[C@@H]1c1ccccc1 ZINC000361237454 1044381101 /nfs/dbraw/zinc/38/11/01/1044381101.db2.gz OTULQUNGBJIHGC-CPUCHLNUSA-N 0 3 315.363 4.182 20 0 DIADHN C[C@@H]1CCN(Cc2ccc([S@@](C)=O)cc2)CC12CCCCC2 ZINC000361271182 1044383243 /nfs/dbraw/zinc/38/32/43/1044383243.db2.gz IYTXDMRIDCTDGX-OPAMFIHVSA-N 0 3 319.514 4.216 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCc3c2cccc3Cl)ccc1O ZINC000487469485 1044384546 /nfs/dbraw/zinc/38/45/46/1044384546.db2.gz HIVAJMWWKGTJNZ-MRXNPFEDSA-N 0 3 317.816 4.174 20 0 DIADHN Cc1nc(-c2cc([C@H](C)N[C@H]3C[C@H]3C(F)F)cs2)cs1 ZINC000348268869 1044388498 /nfs/dbraw/zinc/38/84/98/1044388498.db2.gz FELAGDVDICTRTB-XROYCOCOSA-N 0 3 314.426 4.484 20 0 DIADHN CC[C@@H](NCc1cncc(C(F)(F)F)c1)c1ccc(OC)cc1 ZINC000361348646 1044394391 /nfs/dbraw/zinc/39/43/91/1044394391.db2.gz MJQVZZFPEUQSHV-MRXNPFEDSA-N 0 3 324.346 4.350 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000361444603 1044403778 /nfs/dbraw/zinc/40/37/78/1044403778.db2.gz RYNDNDJCCSKIGN-QZTJIDSGSA-N 0 3 323.864 4.061 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000361480696 1044408503 /nfs/dbraw/zinc/40/85/03/1044408503.db2.gz DYDWGAAWNKGOHN-NSHDSACASA-N 0 3 318.490 4.073 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000193008538 1044408687 /nfs/dbraw/zinc/40/86/87/1044408687.db2.gz SWNCJYBTXVDXRE-FUHWJXTLSA-N 0 3 315.848 4.192 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000361492703 1044410810 /nfs/dbraw/zinc/41/08/10/1044410810.db2.gz LCVSLIYSQGHLPK-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN COc1cc([C@@H](C)NC2CC(C)(c3ccccc3)C2)c(F)cn1 ZINC000565074525 1044412624 /nfs/dbraw/zinc/41/26/24/1044412624.db2.gz WZPWTUDSTAFRLO-VZDIAYEVSA-N 0 3 314.404 4.000 20 0 DIADHN Cc1ncc(CN[C@@H]2C[C@H]3CCC(C)(C)c4cccc2c43)n1C ZINC000565236472 1044420522 /nfs/dbraw/zinc/42/05/22/1044420522.db2.gz APGRDPFDYUAURO-RDTXWAMCSA-N 0 3 309.457 4.118 20 0 DIADHN Cc1cc(CNCc2ccccc2COC(C)C)cc(C)c1O ZINC000565264759 1044423232 /nfs/dbraw/zinc/42/32/32/1044423232.db2.gz VGWBHTSAEJHMPW-UHFFFAOYSA-N 0 3 313.441 4.224 20 0 DIADHN C[C@@H]1CCSCCN1Cc1cnc(-c2ccsc2)s1 ZINC000361643823 1044427272 /nfs/dbraw/zinc/42/72/72/1044427272.db2.gz NTGPEMPEULIITP-LLVKDONJSA-N 0 3 310.513 4.199 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3ccc(Cl)cn3)C2)c(C)c1 ZINC000565338511 1044427689 /nfs/dbraw/zinc/42/76/89/1044427689.db2.gz YEVFSSDTCQNFMM-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCSCC[C@H]3C)s2)o1 ZINC000361638785 1044428031 /nfs/dbraw/zinc/42/80/31/1044428031.db2.gz MSLPMUUDAGAZSZ-LLVKDONJSA-N 0 3 308.472 4.039 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@H](C)c1cnn(C)c1C)CCC2 ZINC000565363921 1044430163 /nfs/dbraw/zinc/43/01/63/1044430163.db2.gz YGDMCGIOIKMGDB-XIKOKIGWSA-N 0 3 315.486 4.179 20 0 DIADHN COCCN(Cc1cnc(C(C)C)s1)C[C@@H]1CC=CCC1 ZINC000361676882 1044431524 /nfs/dbraw/zinc/43/15/24/1044431524.db2.gz BNGLJXZZXDVHMY-OAHLLOKOSA-N 0 3 308.491 4.071 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000294541993 1044431873 /nfs/dbraw/zinc/43/18/73/1044431873.db2.gz AQOTWRYDQDLAOF-IHRRRGAJSA-N 0 3 301.821 4.035 20 0 DIADHN CCO[C@@H]1C[C@@H]1N[C@H](C)c1csc(-c2csc(C)n2)c1 ZINC000565461244 1044436214 /nfs/dbraw/zinc/43/62/14/1044436214.db2.gz PBPSAZMQDKMSSC-LJWDBELGSA-N 0 3 308.472 4.008 20 0 DIADHN CCO[C@@H]1C[C@@H]1N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000565461240 1044436565 /nfs/dbraw/zinc/43/65/65/1044436565.db2.gz PBPSAZMQDKMSSC-DUFXMDAXSA-N 0 3 308.472 4.008 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@@H]1n1cccn1)c1cc(F)ccc1F ZINC000361753892 1044439091 /nfs/dbraw/zinc/43/90/91/1044439091.db2.gz LLCRTATXXSKIRT-KSZLIROESA-N 0 3 319.399 4.386 20 0 DIADHN C[C@H](NCc1ccc(COCC(F)(F)F)cc1)c1cccnc1 ZINC000112519386 1044441512 /nfs/dbraw/zinc/44/15/12/1044441512.db2.gz DDVRXMDOMZWFQE-ZDUSSCGKSA-N 0 3 324.346 4.011 20 0 DIADHN CC[C@H](NC[C@H](OC(C)C)c1ccccc1)c1c(C)noc1C ZINC000428349108 1044442130 /nfs/dbraw/zinc/44/21/30/1044442130.db2.gz ATMUTVAQBYKDJU-ROUUACIJSA-N 0 3 316.445 4.498 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(F)nc1)c1ccc(Br)cc1 ZINC000930181631 1044442570 /nfs/dbraw/zinc/44/25/70/1044442570.db2.gz GOZGHVONKFBINT-GHMZBOCLSA-N 0 3 323.209 4.395 20 0 DIADHN CC(=O)c1c(F)cc(F)cc1Nc1ccc2nc(C)[nH]c2c1 ZINC001213075223 1044451446 /nfs/dbraw/zinc/45/14/46/1044451446.db2.gz JUQRWZWDGHYPAF-UHFFFAOYSA-N 0 3 301.296 4.096 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc(C(=O)OC)cc1)c1ccncc1 ZINC000294721071 1044453184 /nfs/dbraw/zinc/45/31/84/1044453184.db2.gz KBRSXOXGSZDRNY-ZWKOTPCHSA-N 0 3 312.413 4.060 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CN([C@H](C)c1ccco1)C1CC1 ZINC000361866017 1044453240 /nfs/dbraw/zinc/45/32/40/1044453240.db2.gz RRMWRWDOSDFHNB-MRXNPFEDSA-N 0 3 321.424 4.499 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CCO)c2ccccc2)cc(C)c1F ZINC000361884565 1044455635 /nfs/dbraw/zinc/45/56/35/1044455635.db2.gz DNVVVAZPQKDWRP-YJBOKZPZSA-N 0 3 301.405 4.217 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CCO)c2ccccc2)cc(C)c1F ZINC000361884564 1044455822 /nfs/dbraw/zinc/45/58/22/1044455822.db2.gz DNVVVAZPQKDWRP-QAPCUYQASA-N 0 3 301.405 4.217 20 0 DIADHN CCOc1cc(CNCc2coc3ccccc23)ccc1OC ZINC000114508963 1044456733 /nfs/dbraw/zinc/45/67/33/1044456733.db2.gz JGYUKISJHKWUQE-UHFFFAOYSA-N 0 3 311.381 4.130 20 0 DIADHN CO[C@@H](C)c1nc(CNC2CCC(C(F)(F)F)CC2)cs1 ZINC000361895457 1044457136 /nfs/dbraw/zinc/45/71/36/1044457136.db2.gz XOYIBTKLJDLINT-WHXUTIOJSA-N 0 3 322.396 4.061 20 0 DIADHN CC(C)(C[NH2+][C@@H](c1cccs1)c1nnc[n-]1)C1CCCCC1 ZINC000294803933 1044462140 /nfs/dbraw/zinc/46/21/40/1044462140.db2.gz PCKMUIDUCQCPCO-HNNXBMFYSA-N 0 3 318.490 4.152 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000361951098 1044462803 /nfs/dbraw/zinc/46/28/03/1044462803.db2.gz GZIWYAKEDFCRSQ-OSAQELSMSA-N 0 3 304.484 4.355 20 0 DIADHN CCC[C@@H](NC[C@H](O)C(F)F)c1ccc(Cl)c(Cl)c1 ZINC000361965011 1044463681 /nfs/dbraw/zinc/46/36/81/1044463681.db2.gz ATTKANGPMAJYOT-NEPJUHHUSA-N 0 3 312.187 4.050 20 0 DIADHN c1ccc(COCCN[C@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000361972114 1044465210 /nfs/dbraw/zinc/46/52/10/1044465210.db2.gz PFTITETWTJYBMB-IBGZPJMESA-N 0 3 323.436 4.239 20 0 DIADHN Cc1cnc([C@H](NCCCN(C)c2ccccc2)C2CC2)s1 ZINC000566066082 1044472286 /nfs/dbraw/zinc/47/22/86/1044472286.db2.gz ZIUDMGGTPWCIOF-QGZVFWFLSA-N 0 3 315.486 4.019 20 0 DIADHN FC(F)(F)c1cnc(CN[C@H]2CCCCC23CCCC3)nc1 ZINC000294928528 1044473849 /nfs/dbraw/zinc/47/38/49/1044473849.db2.gz GCYLVPLHTQONRY-ZDUSSCGKSA-N 0 3 313.367 4.088 20 0 DIADHN c1sc(C2CCCC2)nc1CN1CCC[C@@H]1[C@@H]1CCCOC1 ZINC000566172762 1044476725 /nfs/dbraw/zinc/47/67/25/1044476725.db2.gz FYZFJONZFGYUOK-NVXWUHKLSA-N 0 3 320.502 4.192 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1ccc(C)s1 ZINC000631570677 1044485086 /nfs/dbraw/zinc/48/50/86/1044485086.db2.gz XLUOFHRSRGIYIN-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cccnc1Cl)c1ccco1 ZINC000119082306 1044488769 /nfs/dbraw/zinc/48/87/69/1044488769.db2.gz QGHQOJVNDZBRSH-HIFRSBDPSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](c2ccc(F)cc2)C2CCC2)c(C)n1 ZINC000119318673 1044493548 /nfs/dbraw/zinc/49/35/48/1044493548.db2.gz VBPOALDQWVQZGZ-ORAYPTAESA-N 0 3 313.420 4.425 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000119352438 1044494232 /nfs/dbraw/zinc/49/42/32/1044494232.db2.gz JSYXMISFPGOKPF-PKOBYXMFSA-N 0 3 313.420 4.068 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)[C@H](C)N[C@H]1CCn2ccnc21 ZINC000295182589 1044495960 /nfs/dbraw/zinc/49/59/60/1044495960.db2.gz VZNYDUDPYDUGNT-HUBLWGQQSA-N 0 3 323.362 4.129 20 0 DIADHN C[C@H](c1ccccc1C(F)(F)F)[C@H](C)N[C@@H]1CCn2ccnc21 ZINC000295182592 1044496017 /nfs/dbraw/zinc/49/60/17/1044496017.db2.gz VZNYDUDPYDUGNT-SLEUVZQESA-N 0 3 323.362 4.129 20 0 DIADHN CC1=C(C)C[C@@H]([C@@H](C)NCc2ncccc2Br)CC1 ZINC001308980208 1044505242 /nfs/dbraw/zinc/50/52/42/1044505242.db2.gz NUCRMCHYQPTZLC-KGLIPLIRSA-N 0 3 323.278 4.459 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3ccnn3-c3ccccc3)C2)cc1 ZINC000475562378 1044506829 /nfs/dbraw/zinc/50/68/29/1044506829.db2.gz GHBPFOAFSYLZEK-KRWDZBQOSA-N 0 3 321.399 4.001 20 0 DIADHN CC(C)C[C@H](NC[C@H](O)c1ccc(Cl)cc1)c1ccccn1 ZINC000120450625 1044508985 /nfs/dbraw/zinc/50/89/85/1044508985.db2.gz UBVREWMBKUXVSP-ROUUACIJSA-N 0 3 318.848 4.145 20 0 DIADHN CCc1cccc(C)c1NC(=O)CNC1(c2ccccc2)CCC1 ZINC000120518170 1044511377 /nfs/dbraw/zinc/51/13/77/1044511377.db2.gz NVTFQGXJQQTKMK-UHFFFAOYSA-N 0 3 322.452 4.165 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(OCC(C)C)cc2)cn1 ZINC000120776964 1044511917 /nfs/dbraw/zinc/51/19/17/1044511917.db2.gz CADBTGYXBXRXRR-CVEARBPZSA-N 0 3 315.461 4.350 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(OCC(C)C)cc2)cn1 ZINC000120776818 1044512389 /nfs/dbraw/zinc/51/23/89/1044512389.db2.gz CADBTGYXBXRXRR-HZPDHXFCSA-N 0 3 315.461 4.350 20 0 DIADHN Cc1cnc(CNC[C@@H]2CCCO[C@H]2c2ccc(C)cc2)s1 ZINC000295339067 1044513313 /nfs/dbraw/zinc/51/33/13/1044513313.db2.gz CTWNYKRLFLSTPZ-WMZOPIPTSA-N 0 3 316.470 4.017 20 0 DIADHN CC(C)[C@H]1CN(C(=O)c2ccccc2CN(C)C)c2ccccc21 ZINC000487498378 1044514175 /nfs/dbraw/zinc/51/41/75/1044514175.db2.gz JZTXHZGCTCOHIO-LJQANCHMSA-N 0 3 322.452 4.148 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(F)cc1F ZINC000120867776 1044515769 /nfs/dbraw/zinc/51/57/69/1044515769.db2.gz RLXQOUYKHRHMMD-LBPRGKRZSA-N 0 3 322.374 4.016 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(c2ccncc2)CC1 ZINC000566824857 1044519529 /nfs/dbraw/zinc/51/95/29/1044519529.db2.gz XSLQTPNBIHPKBR-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)COc1cccc(CN[C@H](C)c2cccc(CO)c2)c1 ZINC000121429492 1044522911 /nfs/dbraw/zinc/52/29/11/1044522911.db2.gz CZPOLKDAVLAUCW-MRXNPFEDSA-N 0 3 313.441 4.065 20 0 DIADHN CC(C)COc1cccc(CN[C@@H](C)c2cccc(CO)c2)c1 ZINC000121429333 1044522959 /nfs/dbraw/zinc/52/29/59/1044522959.db2.gz CZPOLKDAVLAUCW-INIZCTEOSA-N 0 3 313.441 4.065 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2cnc(C)cn2)CC1 ZINC000475584558 1044523089 /nfs/dbraw/zinc/52/30/89/1044523089.db2.gz ZEVGSLXCOQBOJX-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)[C@H]1CCCC[C@@H]1CC)c1ccco1 ZINC000567017381 1044536088 /nfs/dbraw/zinc/53/60/88/1044536088.db2.gz YTCFXGAUULGZOP-LUKYLMHMSA-N 0 3 320.477 4.041 20 0 DIADHN CN(C)C[C@@H](N[C@@H]1CCCc2ccccc21)c1ccc(F)cc1 ZINC000348327546 1044539444 /nfs/dbraw/zinc/53/94/44/1044539444.db2.gz OJYWPZXEKYEATP-WOJBJXKFSA-N 0 3 312.432 4.096 20 0 DIADHN CC(C)c1nc(CN[C@H]2CCCC(F)(F)C2)c2ccccn21 ZINC000567171687 1044545949 /nfs/dbraw/zinc/54/59/49/1044545949.db2.gz NRHSLHLQDQKAJV-ZDUSSCGKSA-N 0 3 307.388 4.125 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N(C)C[C@@H]1CC=CCC1 ZINC000567196899 1044549344 /nfs/dbraw/zinc/54/93/44/1044549344.db2.gz AXPSRCYONZDTAQ-CHWSQXEVSA-N 0 3 303.431 4.147 20 0 DIADHN CC[C@@H](NCc1cc(C)cs1)c1cccc(OC)c1OC ZINC000631631064 1044552195 /nfs/dbraw/zinc/55/21/95/1044552195.db2.gz HQNMDFUWROJZDN-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN CN(C)C[C@@H](N[C@H]1CCCc2sccc21)c1ccc(F)cc1 ZINC000348337552 1044553305 /nfs/dbraw/zinc/55/33/05/1044553305.db2.gz TXPYXCYBPPKDBE-DLBZAZTESA-N 0 3 318.461 4.157 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000362915917 1044561918 /nfs/dbraw/zinc/56/19/18/1044561918.db2.gz CUKMHDAXLHRRHK-QGZVFWFLSA-N 0 3 310.372 4.229 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000362923294 1044562704 /nfs/dbraw/zinc/56/27/04/1044562704.db2.gz RJRBUMVWOAZVMC-KRWDZBQOSA-N 0 3 310.372 4.180 20 0 DIADHN C[C@@H]1CSC[C@H]1N[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000295824781 1044564773 /nfs/dbraw/zinc/56/47/73/1044564773.db2.gz UZOGTPWKVIXAKS-JIMOISOXSA-N 0 3 307.356 4.160 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000295851554 1044566286 /nfs/dbraw/zinc/56/62/86/1044566286.db2.gz DXUHNCZFVSVSJE-ACJLOTCBSA-N 0 3 308.429 4.113 20 0 DIADHN CCn1nccc1CCN(Cc1ccco1)Cc1cccc(C)c1 ZINC000567410639 1044566688 /nfs/dbraw/zinc/56/66/88/1044566688.db2.gz ABFFNFAFHZJNGB-UHFFFAOYSA-N 0 3 323.440 4.049 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000295851560 1044567863 /nfs/dbraw/zinc/56/78/63/1044567863.db2.gz DXUHNCZFVSVSJE-SCLBCKFNSA-N 0 3 308.429 4.113 20 0 DIADHN CSc1ccc(C)c(NC(=O)c2ccccc2CN(C)C)c1 ZINC000362980514 1044571926 /nfs/dbraw/zinc/57/19/26/1044571926.db2.gz PXLWGMSSDXJEBG-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC[C@@H](NCc1cccc(N2CCCC2=O)c1)c1ccsc1 ZINC000295917616 1044576815 /nfs/dbraw/zinc/57/68/15/1044576815.db2.gz JQDVEOMCNXNRBM-QGZVFWFLSA-N 0 3 314.454 4.116 20 0 DIADHN Cn1nccc1CN[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000135977306 1044578522 /nfs/dbraw/zinc/57/85/22/1044578522.db2.gz SAHQYYUTNBVPOX-KRWDZBQOSA-N 0 3 303.837 4.095 20 0 DIADHN CC[C@@H](C)[C@H](C)N(Cc1nc(-c2ccccc2F)no1)C1CC1 ZINC000444623193 1044579102 /nfs/dbraw/zinc/57/91/02/1044579102.db2.gz IRUBHGIUAKKGBB-OLZOCXBDSA-N 0 3 317.408 4.275 20 0 DIADHN Cc1nc2ccc(CN3CCN(C)Cc4ccccc43)cc2s1 ZINC000353590799 1044579327 /nfs/dbraw/zinc/57/93/27/1044579327.db2.gz VIFGNROCORXIKG-UHFFFAOYSA-N 0 3 323.465 4.057 20 0 DIADHN CC(C)N(Cc1nc(-c2ccccc2F)no1)C1CCCCC1 ZINC000444622578 1044580465 /nfs/dbraw/zinc/58/04/65/1044580465.db2.gz WBQNTVLXUCXEOB-UHFFFAOYSA-N 0 3 317.408 4.419 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2cc(Cl)cc(Cl)c2)c2nccn21 ZINC000296019897 1044585092 /nfs/dbraw/zinc/58/50/92/1044585092.db2.gz PKLKMFLRNFRKCT-QMTHXVAHSA-N 0 3 310.228 4.028 20 0 DIADHN Cc1cc(CN[C@H](c2cccs2)C(C)(C)CO)ccc1F ZINC000296021484 1044585219 /nfs/dbraw/zinc/58/52/19/1044585219.db2.gz NLCSYEDCGJBCMN-MRXNPFEDSA-N 0 3 307.434 4.045 20 0 DIADHN CCCOc1cccc(CN2CC[C@H](CC(F)(F)F)C2)c1 ZINC000567835716 1044587904 /nfs/dbraw/zinc/58/79/04/1044587904.db2.gz PSKHIURVRABUHC-CQSZACIVSA-N 0 3 301.352 4.250 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000925158256 1044591062 /nfs/dbraw/zinc/59/10/62/1044591062.db2.gz RJUPLDIPKRBQAE-LMMKCTJWSA-N 0 3 312.482 4.353 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nc(-c2cccs2)no1 ZINC000444663345 1044593641 /nfs/dbraw/zinc/59/36/41/1044593641.db2.gz KLTPQHLBMGOWKM-CHWSQXEVSA-N 0 3 305.447 4.199 20 0 DIADHN CN1CC[C@H](NCc2cc(F)ccc2Cl)[C@@H]1c1ccccc1 ZINC000567968665 1044594203 /nfs/dbraw/zinc/59/42/03/1044594203.db2.gz UNIIZHGKBDTSDR-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1ccc([C@@H](NCC2CC(F)(F)C2)c2ccccn2)cc1 ZINC000296160865 1044594049 /nfs/dbraw/zinc/59/40/49/1044594049.db2.gz YRHIIODNMKWMBS-QGZVFWFLSA-N 0 3 302.368 4.114 20 0 DIADHN C[C@@H](NCc1nc(C2CCCCC2)cs1)[C@@H]1CCCOC1 ZINC000444675490 1044596645 /nfs/dbraw/zinc/59/66/45/1044596645.db2.gz NMWKVRPCQCJPAN-UKRRQHHQSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@H]2C[C@]23CCOC3)s1 ZINC000885966753 1044597113 /nfs/dbraw/zinc/59/71/13/1044597113.db2.gz CNBXGUVLCVPQTI-QAPCUYQASA-N 0 3 317.454 4.078 20 0 DIADHN C[C@H]1C[C@H](NCC2(c3ccc(F)cc3Cl)CC2)c2nccn21 ZINC000296229591 1044601028 /nfs/dbraw/zinc/60/10/28/1044601028.db2.gz AKUCNSUYTFTGCT-NHYWBVRUSA-N 0 3 319.811 4.003 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2C[C@@H](C)Oc3ccccc32)cc1 ZINC000296252239 1044603614 /nfs/dbraw/zinc/60/36/14/1044603614.db2.gz CYZPOPBRLWWQPR-BIENJYKASA-N 0 3 324.424 4.208 20 0 DIADHN CC(C)[C@H](NCCO[C@H]1CCCCO1)c1ccccc1Cl ZINC000444684146 1044604163 /nfs/dbraw/zinc/60/41/63/1044604163.db2.gz KRFFVYBMMJDKRP-IRXDYDNUSA-N 0 3 311.853 4.170 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000444691708 1044604893 /nfs/dbraw/zinc/60/48/93/1044604893.db2.gz KFJHXSHUJKPDOO-ZDUSSCGKSA-N 0 3 301.352 4.248 20 0 DIADHN Cc1ccnc([C@H]2CCN([C@H](C)c3ccc(F)c(F)c3)C2)c1 ZINC000568180762 1044607937 /nfs/dbraw/zinc/60/79/37/1044607937.db2.gz BHRHKRKPODLYTI-HIFRSBDPSA-N 0 3 302.368 4.219 20 0 DIADHN FC(F)Oc1cccc(CN2CC[C@H](CC(F)(F)F)C2)c1 ZINC000444701351 1044609471 /nfs/dbraw/zinc/60/94/71/1044609471.db2.gz WGUFQHYIVIIXMJ-LLVKDONJSA-N 0 3 309.278 4.062 20 0 DIADHN Fc1cc2cccnc2c(CN2CC[C@H](CC(F)(F)F)C2)c1 ZINC000444711846 1044611906 /nfs/dbraw/zinc/61/19/06/1044611906.db2.gz XZGYSRIPSJDKOE-LLVKDONJSA-N 0 3 312.310 4.148 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(F)c3cccnc23)ccc1F ZINC000444733721 1044613219 /nfs/dbraw/zinc/61/32/19/1044613219.db2.gz MFZIBCLEMLMFHP-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN COCCN[C@H](c1cc(C)sc1C)c1cccc(Cl)c1 ZINC000568333945 1044617694 /nfs/dbraw/zinc/61/76/94/1044617694.db2.gz LYEHFVXBHYGILB-INIZCTEOSA-N 0 3 309.862 4.344 20 0 DIADHN Cc1cc(CN(C)Cc2cc(F)cc3cccnc32)ccc1F ZINC000444746790 1044618605 /nfs/dbraw/zinc/61/86/05/1044618605.db2.gz ZKBVVYQSUDRDER-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000444765051 1044620056 /nfs/dbraw/zinc/62/00/56/1044620056.db2.gz DEQUQYYMBJJLKF-MRXNPFEDSA-N 0 3 311.429 4.137 20 0 DIADHN FC(F)(F)CC1CCN(C[C@@H]2CCC3(CCC3)CO2)CC1 ZINC000886193989 1044624634 /nfs/dbraw/zinc/62/46/34/1044624634.db2.gz GVCIUGODPLQDMO-AWEZNQCLSA-N 0 3 305.384 4.000 20 0 DIADHN Fc1ccc(-c2nc(CN3CCCC34CCC4)cs2)cc1 ZINC000444774875 1044626428 /nfs/dbraw/zinc/62/64/28/1044626428.db2.gz KYQKPRPSOKXLPB-UHFFFAOYSA-N 0 3 302.418 4.468 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCCOCC1CC1 ZINC000444790311 1044630663 /nfs/dbraw/zinc/63/06/63/1044630663.db2.gz ILMUUXKUAPYKPK-OAHLLOKOSA-N 0 3 301.352 4.005 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@@H](Nc3ccccc3)C2)cc1F ZINC000568565219 1044635968 /nfs/dbraw/zinc/63/59/68/1044635968.db2.gz GFRLBYUGRREJTK-VGOKWCNXSA-N 0 3 314.379 4.164 20 0 DIADHN CC[C@@H](NCc1cnc(OC)c(Cl)c1)c1ccc(F)cc1 ZINC000296625981 1044636596 /nfs/dbraw/zinc/63/65/96/1044636596.db2.gz XDVTXFWJJNEUDD-OAHLLOKOSA-N 0 3 308.784 4.124 20 0 DIADHN CC[C@@H](NCc1c(C)nnn1CC)c1cccc2ccccc21 ZINC000886361863 1044636782 /nfs/dbraw/zinc/63/67/82/1044636782.db2.gz YLQYSMAAEYECFJ-GOSISDBHSA-N 0 3 308.429 4.001 20 0 DIADHN C[C@@H](N[C@H](CNC(=O)OC(C)(C)C)C1CCCC1)c1ccco1 ZINC000219327947 1044639274 /nfs/dbraw/zinc/63/92/74/1044639274.db2.gz YOUXVXDNSKJBDN-UKRRQHHQSA-N 0 3 322.449 4.014 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@H](C)N1Cc1nnc(-c2ccco2)o1 ZINC000296656187 1044640554 /nfs/dbraw/zinc/64/05/54/1044640554.db2.gz JMWDQORNTMJMPW-YCPHGPKFSA-N 0 3 323.396 4.096 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCSc2c(F)cccc21)c1cnn(C)c1 ZINC000886502912 1044648079 /nfs/dbraw/zinc/64/80/79/1044648079.db2.gz TVQCCPOIEHALSH-JKSUJKDBSA-N 0 3 319.449 4.083 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3c4cc(F)ccc4[nH]c32)cn1 ZINC000886505228 1044648502 /nfs/dbraw/zinc/64/85/02/1044648502.db2.gz QRUZNISCCQRXTG-GOSISDBHSA-N 0 3 309.388 4.178 20 0 DIADHN CCOCCN(C)[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000523556983 1044657880 /nfs/dbraw/zinc/65/78/80/1044657880.db2.gz WSKYKRVIYWLRQQ-OAHLLOKOSA-N 0 3 318.486 4.456 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)CC1(C)CCCCC1)c1ccco1 ZINC000568921882 1044660647 /nfs/dbraw/zinc/66/06/47/1044660647.db2.gz HFFQSFFBTFAOJE-HZPDHXFCSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ncsc1CN[C@H](C)c1ccc(Br)cc1 ZINC000071212364 1044661058 /nfs/dbraw/zinc/66/10/58/1044661058.db2.gz NMICPGWYYSDPMN-SECBINFHSA-N 0 3 311.248 4.065 20 0 DIADHN CCCN(CC(=O)NCc1ccccc1)[C@@H](CC)c1ccccc1 ZINC000523612742 1044666785 /nfs/dbraw/zinc/66/67/85/1044666785.db2.gz KVXQTBYJJBEMHQ-FQEVSTJZSA-N 0 3 324.468 4.166 20 0 DIADHN COc1ccccc1C[C@H](C)NCc1ncoc1-c1ccccc1 ZINC000364491956 1044667009 /nfs/dbraw/zinc/66/70/09/1044667009.db2.gz CRALILKWRCFPLQ-HNNXBMFYSA-N 0 3 322.408 4.071 20 0 DIADHN CO[C@@H]1CCC[C@@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000886603516 1044668266 /nfs/dbraw/zinc/66/82/66/1044668266.db2.gz PJWKDZOHEYJVOP-HBNTYKKESA-N 0 3 322.396 4.160 20 0 DIADHN CCSc1cccc(CN[C@@H](c2cnn(C)c2)C(C)C)c1 ZINC000886614056 1044668402 /nfs/dbraw/zinc/66/84/02/1044668402.db2.gz MJOZJBBORUIVQN-QGZVFWFLSA-N 0 3 303.475 4.019 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCc2c(F)cc(F)cc21)c1cnn(C)c1 ZINC000886615343 1044668696 /nfs/dbraw/zinc/66/86/96/1044668696.db2.gz DOXKXIPOBNLYLU-ZWKOTPCHSA-N 0 3 319.399 4.063 20 0 DIADHN Cc1ccncc1CN[C@@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC000886621008 1044669794 /nfs/dbraw/zinc/66/97/94/1044669794.db2.gz YPOKRJGAWQQASK-GOSISDBHSA-N 0 3 309.388 4.178 20 0 DIADHN COc1cc(CN[C@H](c2cnn(C)c2)C(C)C)cc2ccccc21 ZINC000886618894 1044670747 /nfs/dbraw/zinc/67/07/47/1044670747.db2.gz NSILZLBJWDWROF-FQEVSTJZSA-N 0 3 323.440 4.069 20 0 DIADHN Fc1ccc2[nH]c3c(c2c1)CCC[C@H]3NCc1ccnc(F)c1 ZINC000886646543 1044674524 /nfs/dbraw/zinc/67/45/24/1044674524.db2.gz MGVJUPVDLWUWBN-MRXNPFEDSA-N 0 3 313.351 4.008 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ncccn2)C1 ZINC000886678822 1044677832 /nfs/dbraw/zinc/67/78/32/1044677832.db2.gz LMCDSIGDFHDORK-DZGCQCFKSA-N 0 3 301.821 4.071 20 0 DIADHN CCCc1nc(CN2C[C@@H](c3ccccc3)C[C@H]2C)cs1 ZINC000523760230 1044682567 /nfs/dbraw/zinc/68/25/67/1044682567.db2.gz JNPNRNPGFCSEMM-ZBFHGGJFSA-N 0 3 300.471 4.474 20 0 DIADHN Fc1ccc(OC2CCN(C/C=C\c3ccccc3)CC2)cc1 ZINC000523790387 1044685959 /nfs/dbraw/zinc/68/59/59/1044685959.db2.gz IXHKUISAUSJDEQ-DAXSKMNVSA-N 0 3 311.400 4.382 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)CCc3ccco3)co2)cc1 ZINC000155388103 1044699329 /nfs/dbraw/zinc/69/93/29/1044699329.db2.gz KTXWMRHDNXNRGF-OAHLLOKOSA-N 0 3 310.397 4.354 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H]2CCCCC23CCCC3)cs1 ZINC000365836126 1044706125 /nfs/dbraw/zinc/70/61/25/1044706125.db2.gz HNAOXFHBTGXLQE-DZGCQCFKSA-N 0 3 308.491 4.443 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1cn2cc(Cl)ccc2n1 ZINC000623353387 1044720567 /nfs/dbraw/zinc/72/05/67/1044720567.db2.gz JTVQXJGIVROZAR-UHFFFAOYSA-N 0 3 315.795 4.149 20 0 DIADHN CCn1c2ccccc2nc1[C@H]1CCCN1CCCCCOC ZINC000084131253 1044723546 /nfs/dbraw/zinc/72/35/46/1044723546.db2.gz YZDGWYZKZWGYEM-GOSISDBHSA-N 0 3 315.461 4.010 20 0 DIADHN C[C@H]1CN(CCOc2ccc3ccccc3c2)CCC1(F)F ZINC000569970160 1044723686 /nfs/dbraw/zinc/72/36/86/1044723686.db2.gz JSIFTCZTPJUFPU-AWEZNQCLSA-N 0 3 305.368 4.196 20 0 DIADHN CC(C)Cc1cccc([C@H](C)NCc2cnc3n2CCCC3)c1 ZINC000569994804 1044724282 /nfs/dbraw/zinc/72/42/82/1044724282.db2.gz OKVMRBXTGHGGGP-INIZCTEOSA-N 0 3 311.473 4.269 20 0 DIADHN CC1(C)CN([C@@H]2CCCc3ccc(F)cc32)[C@@H]1[C@@H]1CCCO1 ZINC000570087992 1044730048 /nfs/dbraw/zinc/73/00/48/1044730048.db2.gz KXARGLAXFBSFQW-FGTMMUONSA-N 0 3 303.421 4.093 20 0 DIADHN CC[C@@H](C)C(=O)Nc1cccc(CN[C@H]2CCc3ccccc32)c1 ZINC000089513694 1044740016 /nfs/dbraw/zinc/74/00/16/1044740016.db2.gz FCRKWHKOLYRPIL-QRWLVFNGSA-N 0 3 322.452 4.448 20 0 DIADHN CC1(C)CC[C@@H](NCc2cnc3n2CCCC3)c2ccccc21 ZINC000367439448 1044741100 /nfs/dbraw/zinc/74/11/00/1044741100.db2.gz UQVHQUBNXMYVIZ-GOSISDBHSA-N 0 3 309.457 4.122 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1cc2ccccc2s1 ZINC000367434955 1044742701 /nfs/dbraw/zinc/74/27/01/1044742701.db2.gz AJEQKHKCQLCGIB-CYBMUJFWSA-N 0 3 311.454 4.285 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@H](C)c2ccccc2)s1 ZINC000089553433 1044742871 /nfs/dbraw/zinc/74/28/71/1044742871.db2.gz SQFKKMAZKOYRBA-CHWSQXEVSA-N 0 3 304.459 4.052 20 0 DIADHN C[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(F)c2ccccc21 ZINC000367492039 1044743849 /nfs/dbraw/zinc/74/38/49/1044743849.db2.gz XVFUIPHBCDUNCH-XIKOKIGWSA-N 0 3 309.388 4.430 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2ccc(C)cc2C)c2ccccc21 ZINC000367570596 1044747747 /nfs/dbraw/zinc/74/77/47/1044747747.db2.gz QVZDXWPLMZMVRB-UZLBHIALSA-N 0 3 322.452 4.452 20 0 DIADHN c1csc([C@@H](Cc2ccccc2)N[C@H]2CCCc3n[nH]cc32)c1 ZINC000367597817 1044748093 /nfs/dbraw/zinc/74/80/93/1044748093.db2.gz CELMIZKWESJUQB-FUHWJXTLSA-N 0 3 323.465 4.422 20 0 DIADHN c1csc([C@H](Cc2ccccc2)N[C@H]2CCCc3n[nH]cc32)c1 ZINC000367597819 1044748617 /nfs/dbraw/zinc/74/86/17/1044748617.db2.gz CELMIZKWESJUQB-WMZOPIPTSA-N 0 3 323.465 4.422 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1cnc(C3CCCC3)s1)C2 ZINC000367707386 1044750181 /nfs/dbraw/zinc/75/01/81/1044750181.db2.gz UATQWXZHQMRGQK-UHFFFAOYSA-N 0 3 320.502 4.192 20 0 DIADHN C[C@H](N[C@@H](c1cccc(C(F)(F)F)c1)C1CC1)c1cnn(C)c1 ZINC000090974877 1044751000 /nfs/dbraw/zinc/75/10/00/1044751000.db2.gz CUSISPBKQYELFJ-MEDUHNTESA-N 0 3 323.362 4.241 20 0 DIADHN COc1ccc([C@@H](CCC(C)C)NCc2cnn(C)c2C)cc1 ZINC000090887688 1044751144 /nfs/dbraw/zinc/75/11/44/1044751144.db2.gz JBAVRBQTUOKMFG-LJQANCHMSA-N 0 3 315.461 4.004 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(Cl)cccc1Cl)c1cnccn1 ZINC000090911166 1044751583 /nfs/dbraw/zinc/75/15/83/1044751583.db2.gz CVJHZINJYZPDLW-WDEREUQCSA-N 0 3 310.228 4.065 20 0 DIADHN CN(C)c1cccc(CN[C@@H](c2cccs2)C2CCCC2)n1 ZINC000090850837 1044751731 /nfs/dbraw/zinc/75/17/31/1044751731.db2.gz WYNSBDMINPFIRK-GOSISDBHSA-N 0 3 315.486 4.230 20 0 DIADHN C[C@H](N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1cncc(F)c1 ZINC000090804446 1044751744 /nfs/dbraw/zinc/75/17/44/1044751744.db2.gz ZJAAMZOCOINDEW-DOXZYTNZSA-N 0 3 314.404 4.039 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC(n2cc(Cl)cn2)CC1 ZINC000367857993 1044754628 /nfs/dbraw/zinc/75/46/28/1044754628.db2.gz WTRFFOVDOYCWMJ-GFCCVEGCSA-N 0 3 307.800 4.074 20 0 DIADHN COc1ccccc1-c1nc(CNC2(C3CC3)CCC2)cs1 ZINC000887145177 1044754706 /nfs/dbraw/zinc/75/47/06/1044754706.db2.gz RAJBUPZPZUHPGN-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccco2)cc1F)[C@@]1(C)CCCO1 ZINC000570429960 1044757750 /nfs/dbraw/zinc/75/77/50/1044757750.db2.gz GWZSWEIXCTWJQF-FZKQIMNGSA-N 0 3 303.377 4.133 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCC3(C)C)cs2)c1 ZINC000570462376 1044758451 /nfs/dbraw/zinc/75/84/51/1044758451.db2.gz QGAFMEJNMAPGFL-OAHLLOKOSA-N 0 3 302.443 4.097 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1ccc2[nH]cnc2c1 ZINC000570557526 1044764895 /nfs/dbraw/zinc/76/48/95/1044764895.db2.gz HDZQTHPCXWDWLQ-LBPRGKRZSA-N 0 3 317.845 4.445 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1ccc2nc[nH]c2c1 ZINC000570557526 1044764906 /nfs/dbraw/zinc/76/49/06/1044764906.db2.gz HDZQTHPCXWDWLQ-LBPRGKRZSA-N 0 3 317.845 4.445 20 0 DIADHN Fc1ccc([C@H](NCc2ccc3c(n2)CCC3)C2CCC2)cc1 ZINC000570536475 1044765463 /nfs/dbraw/zinc/76/54/63/1044765463.db2.gz SDFBCBVIHAGGNN-HXUWFJFHSA-N 0 3 310.416 4.340 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNCC2=CCCOC2)c1 ZINC000570599103 1044767672 /nfs/dbraw/zinc/76/76/72/1044767672.db2.gz NHWRJVSCMHGWFO-UHFFFAOYSA-N 0 3 321.848 4.098 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1Cl ZINC000368423177 1044774863 /nfs/dbraw/zinc/77/48/63/1044774863.db2.gz BJIBXELQNODDNY-RNCFNFMXSA-N 0 3 310.228 4.445 20 0 DIADHN CSC1(CN(CCC(F)(F)F)Cc2ccccc2)CC1 ZINC000570727404 1044776787 /nfs/dbraw/zinc/77/67/87/1044776787.db2.gz CESHIMYXMQVNBE-UHFFFAOYSA-N 0 3 303.393 4.337 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCO[C@@H](C(C)C)C2)c2ccccn2)cc1 ZINC000368444641 1044778065 /nfs/dbraw/zinc/77/80/65/1044778065.db2.gz ZVCGGYCLMRYBSK-TYPHKJRUSA-N 0 3 324.468 4.273 20 0 DIADHN CCCN(CCN[C@H](C)c1ccsc1)C(=O)OC(C)(C)C ZINC000222410211 1044778338 /nfs/dbraw/zinc/77/83/38/1044778338.db2.gz IEITYWKBQRXKRS-CYBMUJFWSA-N 0 3 312.479 4.046 20 0 DIADHN CO[C@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)C12CCC2 ZINC001202749104 1044783809 /nfs/dbraw/zinc/78/38/09/1044783809.db2.gz GOSNXVGGFUAGKN-RYUDHWBXSA-N 0 3 318.219 4.180 20 0 DIADHN COCC[C@@H]1CCCCN([C@H](C)c2ncc(C(C)(C)C)o2)C1 ZINC000368658219 1044785192 /nfs/dbraw/zinc/78/51/92/1044785192.db2.gz HOHHJZHNSQJSPX-CABCVRRESA-N 0 3 308.466 4.172 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1ccccc1Cl ZINC000570857385 1044787208 /nfs/dbraw/zinc/78/72/08/1044787208.db2.gz MUSICKRMRYUDTB-UHFFFAOYSA-N 0 3 317.820 4.010 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)CCC(=O)c1ccccc1 ZINC000570975625 1044792371 /nfs/dbraw/zinc/79/23/71/1044792371.db2.gz JCPHNBYISHAXDD-GOSISDBHSA-N 0 3 311.425 4.351 20 0 DIADHN COc1cc(CN[C@H](C)c2cccs2)cc(Cl)c1OC ZINC000034992356 1044798547 /nfs/dbraw/zinc/79/85/47/1044798547.db2.gz IXQPEFBLTIDJCX-SNVBAGLBSA-N 0 3 311.834 4.270 20 0 DIADHN Cc1ccc2oc(-c3noc(C4CCN(C5CC5)CC4)n3)cc2c1 ZINC000571206404 1044803671 /nfs/dbraw/zinc/80/36/71/1044803671.db2.gz SHGNHHVEMBSFPT-UHFFFAOYSA-N 0 3 323.396 4.133 20 0 DIADHN CCC[C@@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccccn1 ZINC000571334572 1044807877 /nfs/dbraw/zinc/80/78/77/1044807877.db2.gz QNQBUBPLQDNUAD-GGPKGHCWSA-N 0 3 310.441 4.433 20 0 DIADHN CC(C)n1ccc(CN[C@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)n1 ZINC000571347031 1044809092 /nfs/dbraw/zinc/80/90/92/1044809092.db2.gz CFLCFZJXCPHYQW-KWCYVHTRSA-N 0 3 323.893 4.461 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H]2CCCN(c3ccccc3)C2)cs1 ZINC000369650471 1044813040 /nfs/dbraw/zinc/81/30/40/1044813040.db2.gz UUALRVCTWKFYSH-GDBMZVCRSA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H](NCc1cc(F)ccc1Cl)[C@H]1COc2ccccc21 ZINC000445781575 1044815983 /nfs/dbraw/zinc/81/59/83/1044815983.db2.gz KRLLMCRMLVVFEP-IAQYHMDHSA-N 0 3 305.780 4.133 20 0 DIADHN CC(C)[C@@H](NCc1cncn1Cc1ccccc1)c1ccccc1 ZINC000445801187 1044821119 /nfs/dbraw/zinc/82/11/19/1044821119.db2.gz FGLURYSIVVMTTB-OAQYLSRUSA-N 0 3 319.452 4.418 20 0 DIADHN Cc1n[nH]cc1CN[C@@H]1CCCc2nc(-c3ccccc3)sc21 ZINC000263726957 1044822735 /nfs/dbraw/zinc/82/27/35/1044822735.db2.gz XHYIAFQTINXMSR-OAHLLOKOSA-N 0 3 324.453 4.009 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc3cnn(C)c3c2)cc(C)c1F ZINC000571703000 1044823779 /nfs/dbraw/zinc/82/37/79/1044823779.db2.gz ZBLBEAXOPNHOTH-CQSZACIVSA-N 0 3 311.404 4.180 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2cncn2Cc2ccccc2)c1 ZINC000445810281 1044826189 /nfs/dbraw/zinc/82/61/89/1044826189.db2.gz IWQYMAMDFMNKTP-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN CC(C)COc1ccccc1CN(C)C[C@@H](C)c1nccs1 ZINC000572075558 1044838459 /nfs/dbraw/zinc/83/84/59/1044838459.db2.gz ZQJGUQXKLKSQIF-OAHLLOKOSA-N 0 3 318.486 4.413 20 0 DIADHN c1nc2c(s1)CN(CCCc1cccc3ccccc31)CC2 ZINC000445878774 1044840253 /nfs/dbraw/zinc/84/02/53/1044840253.db2.gz WBSKDEDYTCREDU-UHFFFAOYSA-N 0 3 308.450 4.287 20 0 DIADHN Cc1nc([C@@H](C)NC[C@H](c2ccc(Cl)cc2)N(C)C)cs1 ZINC000572180650 1044848782 /nfs/dbraw/zinc/84/87/82/1044848782.db2.gz LFWRSXYAHAIGQG-BDJLRTHQSA-N 0 3 323.893 4.058 20 0 DIADHN Cc1cc(CN[C@H](c2ccc3c(c2)OCCO3)C(C)C)c(C)o1 ZINC000163276192 1044849004 /nfs/dbraw/zinc/84/90/04/1044849004.db2.gz CRAUETFZYLZGOD-IBGZPJMESA-N 0 3 315.413 4.155 20 0 DIADHN CO[C@H](CN1CCC(F)(F)[C@@H](C)C1)c1ccc(Cl)cc1 ZINC000572207546 1044849169 /nfs/dbraw/zinc/84/91/69/1044849169.db2.gz ATSQZYMVPBZGMU-SMDDNHRTSA-N 0 3 303.780 4.005 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@@H]1CC[C@H](F)C1 ZINC000572327130 1044853380 /nfs/dbraw/zinc/85/33/80/1044853380.db2.gz WCDCCHUPTOXPNU-WDEREUQCSA-N 0 3 305.224 4.040 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1cccs1)c1ccc(F)cc1Cl ZINC000156920825 1044857179 /nfs/dbraw/zinc/85/71/79/1044857179.db2.gz ZPHPJLUCDVIKCM-BMIGLBTASA-N 0 3 313.825 4.099 20 0 DIADHN CO[C@H](CN(Cc1ccccn1)C(C)C)c1ccc(Cl)cc1 ZINC000572500147 1044859174 /nfs/dbraw/zinc/85/91/74/1044859174.db2.gz ZBTSDSDVHRREMP-GOSISDBHSA-N 0 3 318.848 4.333 20 0 DIADHN CC1=C[C@@H](C)C[C@H](CNCc2nc(C(F)(F)F)cs2)C1 ZINC000572447085 1044859392 /nfs/dbraw/zinc/85/93/92/1044859392.db2.gz WVBNDHVEGYQADV-KOLCDFICSA-N 0 3 304.381 4.244 20 0 DIADHN c1c(CN2CCCCC[C@H]2c2ccccc2)nc2ccccn12 ZINC000524547663 1044864822 /nfs/dbraw/zinc/86/48/22/1044864822.db2.gz BTFBGOWTIRPSRZ-IBGZPJMESA-N 0 3 305.425 4.452 20 0 DIADHN Cc1nccn1CCCCN1CC[C@@H]1c1ccc(Cl)cc1 ZINC000371337304 1044868343 /nfs/dbraw/zinc/86/83/43/1044868343.db2.gz WMKKOYOSWDMDPY-QGZVFWFLSA-N 0 3 303.837 4.072 20 0 DIADHN Cc1nccn1CCCCN1CC[C@H]1c1ccc(Cl)cc1 ZINC000371337303 1044869159 /nfs/dbraw/zinc/86/91/59/1044869159.db2.gz WMKKOYOSWDMDPY-KRWDZBQOSA-N 0 3 303.837 4.072 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@H](c2ccco2)C1 ZINC000371765982 1044889209 /nfs/dbraw/zinc/88/92/09/1044889209.db2.gz NNNMWPUPNHFAIB-HOCLYGCPSA-N 0 3 308.381 4.485 20 0 DIADHN Clc1cccc(CNC[C@H]2COc3ccccc32)c1Cl ZINC000573132309 1044892136 /nfs/dbraw/zinc/89/21/36/1044892136.db2.gz RFWVEGNTUPBPPW-LBPRGKRZSA-N 0 3 308.208 4.259 20 0 DIADHN C[C@H](CSC(C)(C)C)N[C@H](c1cnn(C)c1)c1ccccc1 ZINC000371808774 1044892173 /nfs/dbraw/zinc/89/21/73/1044892173.db2.gz KTQPZXQUVPFDDP-PBHICJAKSA-N 0 3 317.502 4.019 20 0 DIADHN CC1(C)CCCCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000446061945 1044892365 /nfs/dbraw/zinc/89/23/65/1044892365.db2.gz RVHTXPYQTSUROV-UHFFFAOYSA-N 0 3 311.429 4.281 20 0 DIADHN CC1(C)CN(Cc2ccccc2Oc2ccccc2)CC[C@@H]1O ZINC000446062254 1044894439 /nfs/dbraw/zinc/89/44/39/1044894439.db2.gz NBXPNQOTXHYSNM-IBGZPJMESA-N 0 3 311.425 4.072 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@@H](C)c1ccc(F)cc1)CCC2 ZINC000372083998 1044904689 /nfs/dbraw/zinc/90/46/89/1044904689.db2.gz PSVVFLCPKVFFJL-SUMWQHHRSA-N 0 3 301.409 4.331 20 0 DIADHN COC[C@@H]1CCCCN([C@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000372097474 1044905794 /nfs/dbraw/zinc/90/57/94/1044905794.db2.gz ZQSVVASXJWOYOT-HZPDHXFCSA-N 0 3 314.429 4.151 20 0 DIADHN Brc1ccc2c(c1)[C@H](NCc1cccs1)CC2 ZINC000193157764 1044908127 /nfs/dbraw/zinc/90/81/27/1044908127.db2.gz XBXFCLOOTMEQOW-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@@H]1C(C)(C)C ZINC000524756806 1044916015 /nfs/dbraw/zinc/91/60/15/1044916015.db2.gz WODCRNZSFSWOBL-OAHLLOKOSA-N 0 3 308.853 4.097 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@@H]3C(C)(C)C)o2)cc1 ZINC000524755844 1044917151 /nfs/dbraw/zinc/91/71/51/1044917151.db2.gz IXBAWKBGTPGBMN-QGZVFWFLSA-N 0 3 314.429 4.361 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1ccc(C)cc1C ZINC000524812214 1044926248 /nfs/dbraw/zinc/92/62/48/1044926248.db2.gz ZGBIRGRIZZZZJH-YJBOKZPZSA-N 0 3 302.462 4.143 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000372897512 1044932301 /nfs/dbraw/zinc/93/23/01/1044932301.db2.gz NCHHCVAHGTXGFF-QAPCUYQASA-N 0 3 319.807 4.347 20 0 DIADHN COCCN(CCCc1ccc(Cl)cc1)Cc1ccco1 ZINC000524907354 1044947050 /nfs/dbraw/zinc/94/70/50/1044947050.db2.gz YZROWMQTZKOMAT-UHFFFAOYSA-N 0 3 307.821 4.014 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(CCc2c(F)cccc2Cl)C1 ZINC000574542962 1044957308 /nfs/dbraw/zinc/95/73/08/1044957308.db2.gz MTXMBNPQYGJNAZ-SCLBCKFNSA-N 0 3 318.823 4.500 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4c(OC)cccc43)CC2)cc1 ZINC000574594771 1044960724 /nfs/dbraw/zinc/96/07/24/1044960724.db2.gz KZMGWSZPWYZMQI-LJQANCHMSA-N 0 3 323.436 4.360 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2nc3ccccc3s2)C1(C)C ZINC000223843228 1044972293 /nfs/dbraw/zinc/97/22/93/1044972293.db2.gz VONNFNQKWRIVJR-NILFDRSVSA-N 0 3 304.459 4.151 20 0 DIADHN Cc1ccc(CN2CCc3ccsc3C2)c(-c2ccnn2C)c1 ZINC000574859158 1044977708 /nfs/dbraw/zinc/97/77/08/1044977708.db2.gz FPQGSUGGRKRSFY-UHFFFAOYSA-N 0 3 323.465 4.015 20 0 DIADHN Cc1ccc2ncc(CN3CCC(OCC(C)C)CC3)cc2c1 ZINC000411190788 1044982609 /nfs/dbraw/zinc/98/26/09/1044982609.db2.gz VIAYRAUMOVVDBZ-UHFFFAOYSA-N 0 3 312.457 4.180 20 0 DIADHN Cc1ccc2c(CN3CCC[C@@H]3c3ccco3)ccc(O)c2n1 ZINC000338314428 1044989919 /nfs/dbraw/zinc/98/99/19/1044989919.db2.gz LLCNTGQOYJAVEX-MRXNPFEDSA-N 0 3 308.381 4.179 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@H]3c3cccn3C)cc2c1 ZINC000411319651 1044994536 /nfs/dbraw/zinc/99/45/36/1044994536.db2.gz FOENQRINESJPBY-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN CCCc1ccc([C@@H](N[C@H](C)c2n[nH]c(C)n2)C(C)C)cc1 ZINC000411391338 1045003784 /nfs/dbraw/zinc/00/37/84/1045003784.db2.gz BIYHJTWXZMCNTI-DYVFJYSZSA-N 0 3 300.450 4.114 20 0 DIADHN CCc1ccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000575763923 1045005363 /nfs/dbraw/zinc/00/53/63/1045005363.db2.gz FKRGAIAIHXTLFW-QGZVFWFLSA-N 0 3 322.905 4.356 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)CCc1cncs1 ZINC000411408098 1045006140 /nfs/dbraw/zinc/00/61/40/1045006140.db2.gz OAZYBIAXQSQRQC-LBPRGKRZSA-N 0 3 309.504 4.267 20 0 DIADHN CCC[C@@H](NCc1csc(C(=O)OC)c1)c1ccccc1 ZINC000411435756 1045006242 /nfs/dbraw/zinc/00/62/42/1045006242.db2.gz DUCHAAUNZPUXPX-OAHLLOKOSA-N 0 3 303.427 4.166 20 0 DIADHN Cc1ccoc1CNCCOc1ccccc1-c1ccccc1 ZINC000525190113 1045007246 /nfs/dbraw/zinc/00/72/46/1045007246.db2.gz KIQRPPBSCKUWHT-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN COc1ccc(CN2CCC[C@H](c3ccncc3)C2)cc1Cl ZINC000575787335 1045007718 /nfs/dbraw/zinc/00/77/18/1045007718.db2.gz VAHUSUFDYXNNIY-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN Cc1ccoc1CNC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000525180542 1045007916 /nfs/dbraw/zinc/00/79/16/1045007916.db2.gz WRSYGVUTUUPIMM-INIZCTEOSA-N 0 3 318.486 4.212 20 0 DIADHN C[C@@H](NCCc1cncs1)c1cccc(Cl)c1Cl ZINC000411468023 1045010625 /nfs/dbraw/zinc/01/06/25/1045010625.db2.gz DUBVZMLAXAFTKQ-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CCCC[C@@H]1C1CC1 ZINC000575870519 1045013304 /nfs/dbraw/zinc/01/33/04/1045013304.db2.gz FTMBEAIBLYMRAV-MSOLQXFVSA-N 0 3 314.473 4.212 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@@H](C(C)C)C1 ZINC000446712714 1045015335 /nfs/dbraw/zinc/01/53/35/1045015335.db2.gz SOLFFRJMUMTZKY-MRXNPFEDSA-N 0 3 302.462 4.035 20 0 DIADHN CCOc1ccc([C@H](CC)NCc2cccnc2)cc1OCC ZINC000151100904 1045015457 /nfs/dbraw/zinc/01/54/57/1045015457.db2.gz LDGSEXOJJUERFS-KRWDZBQOSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1cnc([C@H](N[C@H]2C[C@H]2c2cccc(F)c2)C2CC2)s1 ZINC000411520059 1045017021 /nfs/dbraw/zinc/01/70/21/1045017021.db2.gz USPWHSDTPJAIQN-HRCADAONSA-N 0 3 302.418 4.187 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@H](C)c1ccc(SC(C)C)cc1 ZINC000151164232 1045019110 /nfs/dbraw/zinc/01/91/10/1045019110.db2.gz CWYVBVUWRFNIMZ-CYBMUJFWSA-N 0 3 317.502 4.388 20 0 DIADHN C[C@@H]1CN([C@H](c2ccccc2)c2ccc(Cl)cc2)CC[C@@H]1O ZINC000411570994 1045018962 /nfs/dbraw/zinc/01/89/62/1045018962.db2.gz OLKGMQJMJCRNPP-MDASCCDHSA-N 0 3 315.844 4.132 20 0 DIADHN C[C@@H]1CN([C@@H](c2ccccc2)c2ccc(Cl)cc2)CC[C@H]1O ZINC000411570996 1045019098 /nfs/dbraw/zinc/01/90/98/1045019098.db2.gz OLKGMQJMJCRNPP-ZMYBRWDISA-N 0 3 315.844 4.132 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(CC(C)C)cc2)C2CC2)n1C ZINC000575936218 1045021558 /nfs/dbraw/zinc/02/15/58/1045021558.db2.gz OFUHJKSMHUWKAJ-FQEVSTJZSA-N 0 3 311.473 4.168 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](c2ccc(C(C)(C)C)cc2)C(C)C)n[nH]1 ZINC000411693135 1045022169 /nfs/dbraw/zinc/02/21/69/1045022169.db2.gz LPJLRSQWVDXOSQ-CXAGYDPISA-N 0 3 314.477 4.459 20 0 DIADHN COc1cccc(CN2CCC(OCC3CCCCC3)CC2)c1 ZINC000525272516 1045023882 /nfs/dbraw/zinc/02/38/82/1045023882.db2.gz FOOAJQNBDAKKJT-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN CCCCN(Cc1nnc2n1CCCCC2)[C@H]1CCC[C@H](C)C1 ZINC000576006881 1045025359 /nfs/dbraw/zinc/02/53/59/1045025359.db2.gz WUJYUKHZQBWEQC-IRXDYDNUSA-N 0 3 318.509 4.185 20 0 DIADHN CCS[C@H]1CCC[C@H]1NCc1ccc(-c2ncco2)cc1F ZINC000411716963 1045025726 /nfs/dbraw/zinc/02/57/26/1045025726.db2.gz GPKQVEQRTXTLOV-CVEARBPZSA-N 0 3 320.433 4.245 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccnc2-n2ccnc2)cc1 ZINC000151503821 1045026738 /nfs/dbraw/zinc/02/67/38/1045026738.db2.gz VZMONTRROMESGL-INIZCTEOSA-N 0 3 320.440 4.071 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)Cc1cnc(Cl)cn1 ZINC000799122154 1045027192 /nfs/dbraw/zinc/02/71/92/1045027192.db2.gz RGRUYTBVPMPTFA-KRWDZBQOSA-N 0 3 303.837 4.268 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCCOc3ccccc32)cc1 ZINC000151637399 1045029848 /nfs/dbraw/zinc/02/98/48/1045029848.db2.gz MFBBHEUVZPXZOG-LJQANCHMSA-N 0 3 311.425 4.227 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCCc3sccc32)cc1 ZINC000151638593 1045029985 /nfs/dbraw/zinc/02/99/85/1045029985.db2.gz FKJNNXUPHUYFBP-KRWDZBQOSA-N 0 3 301.455 4.452 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ncc(-c2ccc(F)cc2)o1 ZINC000525274940 1045030194 /nfs/dbraw/zinc/03/01/94/1045030194.db2.gz VEIJUAFXVSEGNB-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2cccc(-n3ccnc3)c2)cn1 ZINC000151715220 1045030459 /nfs/dbraw/zinc/03/04/59/1045030459.db2.gz QCWYCIBZOIUOQU-HZPDHXFCSA-N 0 3 323.444 4.062 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)c1cccc(-n2ccnc2)c1 ZINC000151681169 1045030508 /nfs/dbraw/zinc/03/05/08/1045030508.db2.gz KYNVPHZFRXLQNM-CVEARBPZSA-N 0 3 321.424 4.293 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N3CC[C@@H](C(C)C)C3)n2)ccc1F ZINC000446736695 1045031197 /nfs/dbraw/zinc/03/11/97/1045031197.db2.gz WGRHIENOGRHGGH-UKRRQHHQSA-N 0 3 317.408 4.223 20 0 DIADHN CCc1c2ccccc2oc1C(=O)Nc1ccccc1CN(C)C ZINC000576072190 1045032076 /nfs/dbraw/zinc/03/20/76/1045032076.db2.gz KBINRPHLTLENHY-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN C[C@@H](N[C@@H]1COc2cc(F)ccc2C1)c1ccc2ccccc2n1 ZINC000576122593 1045033498 /nfs/dbraw/zinc/03/34/98/1045033498.db2.gz GUUIYFRMFRJRGK-DYVFJYSZSA-N 0 3 322.383 4.028 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3CC[C@H](C(C)C)C3)n2)cc1F ZINC000446736471 1045033926 /nfs/dbraw/zinc/03/39/26/1045033926.db2.gz UPVOPMUUTYPNTM-ZFWWWQNUSA-N 0 3 317.408 4.223 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1scnc1C ZINC000576147723 1045038910 /nfs/dbraw/zinc/03/89/10/1045038910.db2.gz JRBJEQHXVUIQFN-CZUORRHYSA-N 0 3 316.470 4.433 20 0 DIADHN CO[C@@](C)([C@@H](C)NCc1nc(-c2ccccc2)cs1)C1CC1 ZINC000411973494 1045039709 /nfs/dbraw/zinc/03/97/09/1045039709.db2.gz RTVVAHBOZQERMV-ACJLOTCBSA-N 0 3 316.470 4.103 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2nc(C(F)(F)F)cs2)o1 ZINC000152250270 1045040031 /nfs/dbraw/zinc/04/00/31/1045040031.db2.gz RIAVSTNWBIOTLB-SECBINFHSA-N 0 3 318.364 4.211 20 0 DIADHN CC(C)n1cc(CN(C)[C@@H]2CCCC[C@@H]2c2ccccc2)nn1 ZINC000412004066 1045043404 /nfs/dbraw/zinc/04/34/04/1045043404.db2.gz BWRCIPQXWCYAKT-RTBURBONSA-N 0 3 312.461 4.017 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000152556706 1045044333 /nfs/dbraw/zinc/04/43/33/1045044333.db2.gz FWFUSKIUPZNVBM-BYVOGVQKSA-N 0 3 321.873 4.489 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@@H]1CCC[C@H](c2cccnc2)C1 ZINC000374300040 1045046109 /nfs/dbraw/zinc/04/61/09/1045046109.db2.gz BTUNPZBVLQDNQZ-FUHWJXTLSA-N 0 3 324.472 4.209 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000152712094 1045047215 /nfs/dbraw/zinc/04/72/15/1045047215.db2.gz KDWFOJQFWUNIEX-AYSMAOOMSA-N 0 3 315.482 4.398 20 0 DIADHN Cc1ccncc1CN[C@@H](c1ccccc1)C1(CO)CCCCC1 ZINC000412009804 1045049872 /nfs/dbraw/zinc/04/98/72/1045049872.db2.gz OSHKMWNVTFJJFH-FQEVSTJZSA-N 0 3 324.468 4.164 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC(C)(C)C[C@H]2C)c(C)c1 ZINC000412045922 1045050927 /nfs/dbraw/zinc/05/09/27/1045050927.db2.gz QMYMSEIWQZVUCM-CVEARBPZSA-N 0 3 302.462 4.059 20 0 DIADHN N#CC(C(=O)CCc1ccc(F)cc1)c1ccc2ccccc2n1 ZINC000047375768 1045051688 /nfs/dbraw/zinc/05/16/88/1045051688.db2.gz UUOSBLJQZGKWML-KRWDZBQOSA-N 0 3 318.351 4.183 20 0 DIADHN FC(F)Oc1ccc(CN2CCCC23CCC3)cc1Cl ZINC000446847419 1045051805 /nfs/dbraw/zinc/05/18/05/1045051805.db2.gz MJJVARXEJIADGT-UHFFFAOYSA-N 0 3 301.764 4.460 20 0 DIADHN CCO[C@H](CNCc1cnc(C(C)C)s1)c1ccccc1 ZINC000446850462 1045052640 /nfs/dbraw/zinc/05/26/40/1045052640.db2.gz ZOTGPHBRIPIUER-MRXNPFEDSA-N 0 3 304.459 4.134 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccc(F)cc1F ZINC000374490565 1045062377 /nfs/dbraw/zinc/06/23/77/1045062377.db2.gz XQEYUQGCNMUUFN-ZUEVXXBESA-N 0 3 317.379 4.393 20 0 DIADHN Cc1ccc(CN[C@H](C)Cc2ccco2)c(Br)c1 ZINC000159282968 1045128527 /nfs/dbraw/zinc/12/85/27/1045128527.db2.gz LFUMALOXVUMZQF-GFCCVEGCSA-N 0 3 308.219 4.071 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cc(F)ccc1F)c1ccccc1 ZINC000447034818 1045085419 /nfs/dbraw/zinc/08/54/19/1045085419.db2.gz ALKHZBOCZMECBL-SCLBCKFNSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@H](CN[C@@H]1CCc2c1c(F)ccc2F)c1ccccc1 ZINC000447039949 1045085765 /nfs/dbraw/zinc/08/57/65/1045085765.db2.gz QPRFDTOBZJIGHO-QZTJIDSGSA-N 0 3 317.379 4.320 20 0 DIADHN CCCOc1cccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)c1 ZINC000447059481 1045088967 /nfs/dbraw/zinc/08/89/67/1045088967.db2.gz LWCRMBWCECPUDX-UHFFFAOYSA-N 0 3 315.461 4.128 20 0 DIADHN CC[C@H]1CC[C@H](C(=O)Nc2ccc(CN(C)C)cc2F)CC1 ZINC000412558108 1045090588 /nfs/dbraw/zinc/09/05/88/1045090588.db2.gz KKDWDHIIMUNTSK-CTYIDZIISA-N 0 3 306.425 4.042 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CCC2CCCCC2)c(F)c1 ZINC000412553304 1045090614 /nfs/dbraw/zinc/09/06/14/1045090614.db2.gz WCXDIPITPKWMSV-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN c1ccc(C[C@H]2CCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC000577025827 1045095646 /nfs/dbraw/zinc/09/56/46/1045095646.db2.gz DCODXBYTBPDPEE-AEFFLSMTSA-N 0 3 310.441 4.054 20 0 DIADHN CN(C)[C@H](CNc1nc2cccc(F)c2s1)c1cccs1 ZINC001649173792 1045102600 /nfs/dbraw/zinc/10/26/00/1045102600.db2.gz CQTSMMUEEABRCS-GFCCVEGCSA-N 0 3 321.446 4.212 20 0 DIADHN COc1cc(CN2CCC[C@@]3(C2)OCc2ccccc23)ccc1C ZINC000577142624 1045103223 /nfs/dbraw/zinc/10/32/23/1045103223.db2.gz RUFNQWYAKZADDT-NRFANRHFSA-N 0 3 323.436 4.025 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)o1 ZINC000577140360 1045103268 /nfs/dbraw/zinc/10/32/68/1045103268.db2.gz BZFPKRGWHNUVOX-YCEFEEMOSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1cnccc1CCN(CCC(F)(F)F)Cc1ccccc1 ZINC000577174776 1045104557 /nfs/dbraw/zinc/10/45/57/1045104557.db2.gz PJYPXWVVBKUUJP-UHFFFAOYSA-N 0 3 322.374 4.387 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2ccc(O)cc2)cc1 ZINC000525709772 1045112126 /nfs/dbraw/zinc/11/21/26/1045112126.db2.gz WSKODQSKQUWIOL-UHFFFAOYSA-N 0 3 319.404 4.358 20 0 DIADHN CCSc1nnc(SC[C@@H]2CCCCN2C(C)C)s1 ZINC000412980925 1045117180 /nfs/dbraw/zinc/11/71/80/1045117180.db2.gz FRFCSEMKQBCZIY-NSHDSACASA-N 0 3 317.549 4.005 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@@H](c3ncc[nH]3)C2)c1 ZINC000447314551 1045118081 /nfs/dbraw/zinc/11/80/81/1045118081.db2.gz NCFOQNQHRLUDTK-CYBMUJFWSA-N 0 3 324.255 4.139 20 0 DIADHN Cc1cccc([C@@H](NCC2(CCO)CCCC2)c2ccccn2)c1 ZINC000925194889 1045125981 /nfs/dbraw/zinc/12/59/81/1045125981.db2.gz RDSKSPCERONYSC-HXUWFJFHSA-N 0 3 324.468 4.012 20 0 DIADHN CC(C)CCCN1CCCC[C@@]12CC(=O)N(CC1CCCC1)C2 ZINC001276259963 1045130953 /nfs/dbraw/zinc/13/09/53/1045130953.db2.gz PNJOQBIVLNABQQ-FQEVSTJZSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cccc(Br)c1C ZINC000577555847 1045134638 /nfs/dbraw/zinc/13/46/38/1045134638.db2.gz XYFMWYBMFHWXPB-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CCCC2(CCCCC2)C1 ZINC000600747233 1045135072 /nfs/dbraw/zinc/13/50/72/1045135072.db2.gz MJDSVIPVKVVZIK-MRXNPFEDSA-N 0 3 300.446 4.060 20 0 DIADHN CC(C)(O)CCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000577563789 1045137358 /nfs/dbraw/zinc/13/73/58/1045137358.db2.gz CGWIDHZCPRUIBE-AWEZNQCLSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1cnc(CN[C@@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)s1 ZINC000525996346 1045147551 /nfs/dbraw/zinc/14/75/51/1045147551.db2.gz LXZWRKFRSBAWOJ-GARJFASQSA-N 0 3 312.891 4.448 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(OC)cc1F)c1ccccc1 ZINC000447566172 1045150810 /nfs/dbraw/zinc/15/08/10/1045150810.db2.gz QXVLQYUJRCCDNE-IFXJQAMLSA-N 0 3 317.404 4.263 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(OC)cc1F)c1ccccc1 ZINC000447566171 1045151245 /nfs/dbraw/zinc/15/12/45/1045151245.db2.gz QXVLQYUJRCCDNE-AUUYWEPGSA-N 0 3 317.404 4.263 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]2CCC(C)(C)c3cccc1c32)c1ccnn1C ZINC000447586843 1045152727 /nfs/dbraw/zinc/15/27/27/1045152727.db2.gz PBRLRJSFTMISJT-ZQIUZPCESA-N 0 3 309.457 4.371 20 0 DIADHN Cc1cc2cc(CN3CC[C@H](COC(F)F)C3)oc2cc1C ZINC000577662119 1045152723 /nfs/dbraw/zinc/15/27/23/1045152723.db2.gz MSHZLLYTAGOOPW-ZDUSSCGKSA-N 0 3 309.356 4.111 20 0 DIADHN CC(C)[C@H](Cc1ccccc1)NCc1csc(C2CC2)n1 ZINC000779023429 1045154243 /nfs/dbraw/zinc/15/42/43/1045154243.db2.gz DAVJZJGHWXNXOR-KRWDZBQOSA-N 0 3 300.471 4.377 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3ncccc3F)CC2)cc1 ZINC000525998353 1045156841 /nfs/dbraw/zinc/15/68/41/1045156841.db2.gz IOMOJHQAVKAQNF-INIZCTEOSA-N 0 3 300.352 4.086 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H](C)c1cc(C)oc1C ZINC000447581023 1045158476 /nfs/dbraw/zinc/15/84/76/1045158476.db2.gz RVOSGGNIFWNVSC-JCGVRSQUSA-N 0 3 305.393 4.462 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000557272986 1045164567 /nfs/dbraw/zinc/16/45/67/1045164567.db2.gz FQIREQCLXTYGNU-SJKOYZFVSA-N 0 3 318.367 4.485 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H](c1ccccc1C)C1CC1 ZINC000557383667 1045172655 /nfs/dbraw/zinc/17/26/55/1045172655.db2.gz KOYYQKCDDMXQRT-LJQANCHMSA-N 0 3 310.441 4.256 20 0 DIADHN COC(=O)c1cc(CN(C)CC[C@@H](C)c2ccccc2)oc1C ZINC000447738789 1045173325 /nfs/dbraw/zinc/17/33/25/1045173325.db2.gz WGXPAQJJZKFZHU-CQSZACIVSA-N 0 3 315.413 4.000 20 0 DIADHN COc1ccc(CN[C@@H](C)c2coc3ccccc32)cc1OC ZINC000924535715 1045174171 /nfs/dbraw/zinc/17/41/71/1045174171.db2.gz HZNDDPJCLDTRJA-ZDUSSCGKSA-N 0 3 311.381 4.301 20 0 DIADHN COc1cc(CNCc2ccc3[nH]c(C)c(C)c3c2)ccc1C ZINC000447817907 1045178163 /nfs/dbraw/zinc/17/81/63/1045178163.db2.gz TVFSVEJMDQINIL-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000924592684 1045181456 /nfs/dbraw/zinc/18/14/56/1045181456.db2.gz DFVBJDWLCUANKP-GJZGRUSLSA-N 0 3 313.445 4.419 20 0 DIADHN C[C@@H](CNCc1nc(-c2ccccc2)c[nH]1)Sc1ccccc1 ZINC000447854953 1045184298 /nfs/dbraw/zinc/18/42/98/1045184298.db2.gz NOXDONGNINZAPU-HNNXBMFYSA-N 0 3 323.465 4.347 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)NCc1ccc(N(C)C)nc1 ZINC000924640788 1045185113 /nfs/dbraw/zinc/18/51/13/1045185113.db2.gz ZSPLFHQAJTZSAY-ZDUSSCGKSA-N 0 3 309.413 4.053 20 0 DIADHN CC(C)(C)c1cnc(CNCCc2ccc(Cl)cc2)s1 ZINC000447944482 1045190702 /nfs/dbraw/zinc/19/07/02/1045190702.db2.gz MQYGERJNAQKGID-UHFFFAOYSA-N 0 3 308.878 4.426 20 0 DIADHN C[C@@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnnc1 ZINC000924694071 1045191263 /nfs/dbraw/zinc/19/12/63/1045191263.db2.gz AZAHDWLOVTYQRM-CXAGYDPISA-N 0 3 301.409 4.444 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1F)c1ccccc1Cl ZINC000048330956 1045191360 /nfs/dbraw/zinc/19/13/60/1045191360.db2.gz FBWLGVRAYNRBFT-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN C[C@H](NC[C@@H](O)c1cc(F)ccc1F)c1ccccc1Cl ZINC000048330959 1045191869 /nfs/dbraw/zinc/19/18/69/1045191869.db2.gz QBQHCKBOUMSKFH-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN COc1cccc(C[C@@H](C)NCc2ncc(C(C)(C)C)s2)c1 ZINC000447930677 1045192121 /nfs/dbraw/zinc/19/21/21/1045192121.db2.gz NBCQJVZYGMACKB-CYBMUJFWSA-N 0 3 318.486 4.170 20 0 DIADHN CC(C)(C)c1cnc(CNCCCc2cccc(F)c2)s1 ZINC000447928613 1045192408 /nfs/dbraw/zinc/19/24/08/1045192408.db2.gz FDWCMPQDGOETIF-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ncc(C(F)(F)F)cc21)c1ccc[nH]1 ZINC000779041756 1045195676 /nfs/dbraw/zinc/19/56/76/1045195676.db2.gz VKZPYRODABPGFQ-MEBBXXQBSA-N 0 3 309.335 4.157 20 0 DIADHN C[C@@H](N[C@H]1CCCc2ncc(C(F)(F)F)cc21)c1ccc[nH]1 ZINC000779041754 1045195684 /nfs/dbraw/zinc/19/56/84/1045195684.db2.gz VKZPYRODABPGFQ-BMIGLBTASA-N 0 3 309.335 4.157 20 0 DIADHN C[C@@H](NCCSCc1cccc2ccccc21)c1ccnnc1 ZINC000924752463 1045196089 /nfs/dbraw/zinc/19/60/89/1045196089.db2.gz PHINAGHPLZWCCQ-OAHLLOKOSA-N 0 3 323.465 4.214 20 0 DIADHN CN(CCc1ccccn1)Cc1ccc(-c2ccccc2F)o1 ZINC000527108561 1045196234 /nfs/dbraw/zinc/19/62/34/1045196234.db2.gz UVOQBSTYAHTGEE-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@@](C)(c3ccccc3)C2)[n-]1 ZINC000527105568 1045196621 /nfs/dbraw/zinc/19/66/21/1045196621.db2.gz HLCIOHRFDWDOGW-ZSZQSSIHSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+][C@@H]2CC[C@](C)(c3ccccc3)C2)[n-]1 ZINC000527105565 1045196651 /nfs/dbraw/zinc/19/66/51/1045196651.db2.gz HLCIOHRFDWDOGW-GMBSWORKSA-N 0 3 312.461 4.089 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCCC1(F)CCC1 ZINC000578018320 1045199015 /nfs/dbraw/zinc/19/90/15/1045199015.db2.gz IYSRVHHXGPHORS-UHFFFAOYSA-N 0 3 309.278 4.096 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)ccc1C ZINC000924830983 1045201045 /nfs/dbraw/zinc/20/10/45/1045201045.db2.gz JFVAGBQRTROJEH-HNNXBMFYSA-N 0 3 313.441 4.082 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc(C(C)(C)O)cc1 ZINC000924842661 1045203025 /nfs/dbraw/zinc/20/30/25/1045203025.db2.gz HIXCPPJQFYTIPN-DJJJIMSYSA-N 0 3 313.416 4.391 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)[C@H](CCO)c1ccccc1 ZINC000527191156 1045203527 /nfs/dbraw/zinc/20/35/27/1045203527.db2.gz DPMFJUNQNGWNOY-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN C[C@H](NCc1cc2c(s1)CCCC2)c1ccnn1C1CCC1 ZINC000924838720 1045203894 /nfs/dbraw/zinc/20/38/94/1045203894.db2.gz HTYYYQUDPWPMTO-ZDUSSCGKSA-N 0 3 315.486 4.399 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2F)c1ccc(C(C)(C)O)cc1 ZINC000924842663 1045204107 /nfs/dbraw/zinc/20/41/07/1045204107.db2.gz HIXCPPJQFYTIPN-ORAYPTAESA-N 0 3 313.416 4.391 20 0 DIADHN COCc1cc(CNCc2ccc(C(F)F)cc2)ccc1F ZINC000527227191 1045205120 /nfs/dbraw/zinc/20/51/20/1045205120.db2.gz UALNUZDNKWPPIL-UHFFFAOYSA-N 0 3 309.331 4.200 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccc(Cl)c(Cl)c1)c1ncc[nH]1 ZINC000578088766 1045205525 /nfs/dbraw/zinc/20/55/25/1045205525.db2.gz GEJLEDUKDDAXQP-SNVBAGLBSA-N 0 3 312.244 4.345 20 0 DIADHN CCCOc1ccc(CN2CC[C@H](C(F)(F)F)[C@H]2C)cc1 ZINC000527238637 1045206027 /nfs/dbraw/zinc/20/60/27/1045206027.db2.gz JUIKUQDIPAQRAD-DOMZBBRYSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@H](c1nc(-c2cnc3ccccc3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000527198305 1045206642 /nfs/dbraw/zinc/20/66/42/1045206642.db2.gz MULWGVKKQSUCMJ-HZSPNIEDSA-N 0 3 322.412 4.219 20 0 DIADHN CCc1ccc([C@@H](NCCc2nccs2)c2cccnc2)cc1 ZINC000924875915 1045207484 /nfs/dbraw/zinc/20/74/84/1045207484.db2.gz FPODCLIIMIXEMX-LJQANCHMSA-N 0 3 323.465 4.022 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1cn(C)cn1 ZINC000924895705 1045209674 /nfs/dbraw/zinc/20/96/74/1045209674.db2.gz METZFZGOBGTRGQ-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN CCn1nc(C)c(CN[C@H](C)c2c(C)oc3ccccc32)c1C ZINC000924925708 1045211808 /nfs/dbraw/zinc/21/18/08/1045211808.db2.gz VPYZCMMIIRJMHO-CYBMUJFWSA-N 0 3 311.429 4.425 20 0 DIADHN Cc1ncc(CN[C@@H](c2oc3ccccc3c2C)C(C)C)n1C ZINC000578144202 1045213361 /nfs/dbraw/zinc/21/33/61/1045213361.db2.gz LHZLSLBFTOEGDN-GOSISDBHSA-N 0 3 311.429 4.270 20 0 DIADHN CC(C)[C@@H](NCC(=O)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000557652146 1045214489 /nfs/dbraw/zinc/21/44/89/1045214489.db2.gz IVAKCIDKIPYUNY-GOSISDBHSA-N 0 3 322.880 4.029 20 0 DIADHN FC(F)(F)CCNCc1cc2cc(Br)ccc2o1 ZINC000578144046 1045214556 /nfs/dbraw/zinc/21/45/56/1045214556.db2.gz GWCITKBKSXWLKJ-UHFFFAOYSA-N 0 3 322.124 4.237 20 0 DIADHN Clc1cccc(CCNCc2cc(-c3ccccc3)on2)c1 ZINC000578151769 1045215135 /nfs/dbraw/zinc/21/51/35/1045215135.db2.gz XEPUYPZZRHVLJN-UHFFFAOYSA-N 0 3 312.800 4.327 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@@H](c3ccccc3)C2)nc2ccccc12 ZINC000527351894 1045217069 /nfs/dbraw/zinc/21/70/69/1045217069.db2.gz FUARNAFFOKIFPN-MSOLQXFVSA-N 0 3 317.436 4.364 20 0 DIADHN CC[C@H]1CN(Cc2ncc(C)s2)CC[C@@H]1c1ccccc1 ZINC000578228236 1045222366 /nfs/dbraw/zinc/22/23/66/1045222366.db2.gz VLLZZFPBWNUMTD-RDJZCZTQSA-N 0 3 300.471 4.467 20 0 DIADHN C[C@@H](NC[C@H](C)Sc1ccccc1)c1cn2c(n1)CCCC2 ZINC000925161775 1045231166 /nfs/dbraw/zinc/23/11/66/1045231166.db2.gz LGUGFLPDMASTJO-LSDHHAIUSA-N 0 3 315.486 4.051 20 0 DIADHN CSC(C)(C)CN[C@@H](c1cccc(C)c1)c1ccccn1 ZINC000925188209 1045232491 /nfs/dbraw/zinc/23/24/91/1045232491.db2.gz SNCYJDUESVDFFK-KRWDZBQOSA-N 0 3 300.471 4.211 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CCCCC2)ccn1 ZINC000527538518 1045236847 /nfs/dbraw/zinc/23/68/47/1045236847.db2.gz DNEPTTHURIJCBT-HXUWFJFHSA-N 0 3 324.468 4.164 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H](C)CCCO)c2cccnc2)cc1 ZINC000925257081 1045238937 /nfs/dbraw/zinc/23/89/37/1045238937.db2.gz LFHAMRAJHVHLDP-JXFKEZNVSA-N 0 3 312.457 4.045 20 0 DIADHN C[C@H](O)C[C@@H]1CCCCN1Cc1ccc(Oc2ccccc2)o1 ZINC000448366604 1045239605 /nfs/dbraw/zinc/23/96/05/1045239605.db2.gz NGLMVOWSNQVJII-HOTGVXAUSA-N 0 3 315.413 4.197 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@@H](C)CCCO)c2cccnc2)cc1 ZINC000925257083 1045240002 /nfs/dbraw/zinc/24/00/02/1045240002.db2.gz LFHAMRAJHVHLDP-OXJNMPFZSA-N 0 3 312.457 4.045 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](Cc1ccccc1)c1cccs1 ZINC000578453198 1045243330 /nfs/dbraw/zinc/24/33/30/1045243330.db2.gz JFRFJEWPRMCZDM-MAUKXSAKSA-N 0 3 323.465 4.481 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](Cc1ccccc1)c1cccs1 ZINC000578453200 1045243908 /nfs/dbraw/zinc/24/39/08/1045243908.db2.gz JFRFJEWPRMCZDM-YJBOKZPZSA-N 0 3 323.465 4.481 20 0 DIADHN c1cc2ccc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)cc2[nH]1 ZINC000527648478 1045243870 /nfs/dbraw/zinc/24/38/70/1045243870.db2.gz FVSDXCHTXBOCKC-UXHICEINSA-N 0 3 306.409 4.178 20 0 DIADHN Cc1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC[C@H](c2ccccc2)CC1 ZINC000527671576 1045245806 /nfs/dbraw/zinc/24/58/06/1045245806.db2.gz VJAWOEUSOOHZOV-OTWHNJEPSA-N 0 3 324.472 4.128 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2ccncc2Cl)cc1 ZINC000527671433 1045246898 /nfs/dbraw/zinc/24/68/98/1045246898.db2.gz XHNPMWKNFSYOFQ-ZWKOTPCHSA-N 0 3 316.832 4.053 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCOC3(CCCC3)C2)c1Cl ZINC000527677714 1045248084 /nfs/dbraw/zinc/24/80/84/1045248084.db2.gz WRPJBALIMFFXGY-LLVKDONJSA-N 0 3 315.791 4.200 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](c2ccccc2)c2ccc(C)cc2)o1 ZINC000779074740 1045248534 /nfs/dbraw/zinc/24/85/34/1045248534.db2.gz RFSKBUCIGVQJPQ-CTNGQTDRSA-N 0 3 321.420 4.309 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccnc(SCC)c2)c1 ZINC000779076138 1045251116 /nfs/dbraw/zinc/25/11/16/1045251116.db2.gz CRJXQBPYEGXSBP-CQSZACIVSA-N 0 3 316.470 4.443 20 0 DIADHN C[C@@H](N[C@@H](C)[C@@H]1C[C@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000527701856 1045252155 /nfs/dbraw/zinc/25/21/55/1045252155.db2.gz SIPKNXNMRVBGHT-OEIWMXHLSA-N 0 3 304.381 4.247 20 0 DIADHN CC(C)Oc1ccc(CN2CC3(CCC3)[C@@H]2[C@H]2CCCO2)cc1 ZINC000578560845 1045255287 /nfs/dbraw/zinc/25/52/87/1045255287.db2.gz FICOXVXHNBUFSY-MOPGFXCFSA-N 0 3 315.457 4.007 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(-c2ccccc2)o1 ZINC000578650600 1045260129 /nfs/dbraw/zinc/26/01/29/1045260129.db2.gz ZROPCPJHASETQB-UONOGXRCSA-N 0 3 308.381 4.459 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](c2ccccn2)C2CCC2)cc1 ZINC000527769821 1045261296 /nfs/dbraw/zinc/26/12/96/1045261296.db2.gz BQWOXTJXWYXYSF-FQEVSTJZSA-N 0 3 310.441 4.500 20 0 DIADHN c1ccc([C@H](NCc2ccc3ncccc3c2)C2CCC2)nc1 ZINC000527774398 1045263136 /nfs/dbraw/zinc/26/31/36/1045263136.db2.gz UIUPDRDIRNBVMA-HXUWFJFHSA-N 0 3 303.409 4.261 20 0 DIADHN CC[C@H](NCc1cnn(CC(F)F)c1)c1cc(C)ccc1C ZINC000527818618 1045264620 /nfs/dbraw/zinc/26/46/20/1045264620.db2.gz GTUFCFPHTQWSFS-INIZCTEOSA-N 0 3 307.388 4.006 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2ccc(C(N)=O)cc2)cc1 ZINC000527845484 1045265176 /nfs/dbraw/zinc/26/51/76/1045265176.db2.gz JZGPOIQYGGFNBA-LSDHHAIUSA-N 0 3 310.441 4.150 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2cccnc2-n2ccnc2)c1 ZINC000527854097 1045268893 /nfs/dbraw/zinc/26/88/93/1045268893.db2.gz WCGMHOBIPDIXSP-MRXNPFEDSA-N 0 3 320.440 4.242 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1C[C@H]1CCC2(CCCC2)O1 ZINC000578789209 1045272011 /nfs/dbraw/zinc/27/20/11/1045272011.db2.gz AJOYQTSLPUCEHA-SJLPKXTDSA-N 0 3 302.462 4.044 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2cccc(N3CCC3=O)c2)c1 ZINC000527894269 1045273923 /nfs/dbraw/zinc/27/39/23/1045273923.db2.gz MJOAIMVJESITIH-MRXNPFEDSA-N 0 3 322.452 4.398 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2cccn(CC)c2=O)cc1 ZINC000527897336 1045276149 /nfs/dbraw/zinc/27/61/49/1045276149.db2.gz SWNRWZOGNMHNMS-HZPDHXFCSA-N 0 3 312.457 4.233 20 0 DIADHN C[C@@H](F)CCN[C@H](C)c1cc(F)c(Br)cc1F ZINC000527900357 1045278685 /nfs/dbraw/zinc/27/86/85/1045278685.db2.gz GUCMSVVGRZLWGY-HTQZYQBOSA-N 0 3 310.157 4.126 20 0 DIADHN C[C@@H]1CC[C@@H](NC[C@@H](n2cccn2)C(F)(F)F)c2ccccc21 ZINC000527928639 1045278724 /nfs/dbraw/zinc/27/87/24/1045278724.db2.gz CKVDMVCGALBLFD-DAXOMENPSA-N 0 3 323.362 4.215 20 0 DIADHN COc1cccc([C@@H](CN[C@@H](C)c2cc(F)cc(F)c2)OC)c1 ZINC000527920602 1045284006 /nfs/dbraw/zinc/28/40/06/1045284006.db2.gz OEFBLSKZPCAPOP-KPZWWZAWSA-N 0 3 321.367 4.012 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1ccncc1Br ZINC001258067892 1045284873 /nfs/dbraw/zinc/28/48/73/1045284873.db2.gz TXFBUUBVUWJKMC-QWHCGFSZSA-N 0 3 313.283 4.395 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2c(C)nsc2C)c1 ZINC000578949883 1045285180 /nfs/dbraw/zinc/28/51/80/1045285180.db2.gz UHIIPVBHAFMRDO-QGZVFWFLSA-N 0 3 302.443 4.106 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](n2cccn2)C(F)(F)F)C2CC2)cc1 ZINC000527930840 1045285955 /nfs/dbraw/zinc/28/59/55/1045285955.db2.gz GMIKQQMZASANRL-JKSUJKDBSA-N 0 3 323.362 4.036 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](n2cccn2)C(F)(F)F)C2CC2)cc1 ZINC000527930758 1045286227 /nfs/dbraw/zinc/28/62/27/1045286227.db2.gz GMIKQQMZASANRL-CVEARBPZSA-N 0 3 323.362 4.036 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC[C@@H]3CCCC[C@@H]32)s1 ZINC000579003485 1045286990 /nfs/dbraw/zinc/28/69/90/1045286990.db2.gz IGTLPZRCWRCEST-QWRGUYRKSA-N 0 3 304.381 4.235 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccccc1Cl)c1ccccc1F ZINC000779116785 1045290520 /nfs/dbraw/zinc/29/05/20/1045290520.db2.gz NOQLSDFHVSQZRN-IAGOWNOFSA-N 0 3 307.796 4.253 20 0 DIADHN C[C@H](N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000528004112 1045292996 /nfs/dbraw/zinc/29/29/96/1045292996.db2.gz QTABKFUIQPZKHY-RBUCKAEESA-N 0 3 316.470 4.273 20 0 DIADHN Cc1ncccc1CN[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000527987425 1045294623 /nfs/dbraw/zinc/29/46/23/1045294623.db2.gz PDRVATHLBZZCIC-INIZCTEOSA-N 0 3 307.224 4.474 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1cccc(F)c1)c1ccccc1F ZINC000779124768 1045294917 /nfs/dbraw/zinc/29/49/17/1045294917.db2.gz RHOXWUDTGFLEQK-UYHISHBKSA-N 0 3 305.368 4.128 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H]3CCC[C@@H]4C[C@@H]43)n2)cc1F ZINC000579203955 1045300137 /nfs/dbraw/zinc/30/01/37/1045300137.db2.gz ZYRKZMOPKQQXCM-CQJJVWNLSA-N 0 3 315.392 4.023 20 0 DIADHN Cc1csc(CNCCCO[C@H]2CCCc3ccccc32)n1 ZINC000117386326 1045301886 /nfs/dbraw/zinc/30/18/86/1045301886.db2.gz KPSYFQJYTSIRPG-KRWDZBQOSA-N 0 3 316.470 4.025 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@]2(C)OCCc3sccc32)o1 ZINC000579413000 1045314079 /nfs/dbraw/zinc/31/40/79/1045314079.db2.gz DXTSMRDRYHJASE-SJKOYZFVSA-N 0 3 305.443 4.042 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)C/C=C\c1ccc(F)cc1F ZINC000579647869 1045328434 /nfs/dbraw/zinc/32/84/34/1045328434.db2.gz VYUBUDVYEDTQAL-XDXAGZTOSA-N 0 3 302.368 4.456 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000579662606 1045329570 /nfs/dbraw/zinc/32/95/70/1045329570.db2.gz URFHROZHXZEYTK-WOJBJXKFSA-N 0 3 309.453 4.431 20 0 DIADHN Cc1cc(CN2CC=C(c3c[nH]c4ncccc34)CC2)cs1 ZINC000449011310 1045334226 /nfs/dbraw/zinc/33/42/26/1045334226.db2.gz YWPSKHQNRQUXBS-UHFFFAOYSA-N 0 3 309.438 4.222 20 0 DIADHN COc1cc(CN2CCC(C(F)F)CC2)cc2ccccc21 ZINC000449031241 1045336017 /nfs/dbraw/zinc/33/60/17/1045336017.db2.gz UQLDKAZGCFEHSF-UHFFFAOYSA-N 0 3 305.368 4.326 20 0 DIADHN CCOC(=O)c1cc(CN[C@H](C)c2cccc(Cl)c2)[nH]c1C ZINC000449065410 1045339558 /nfs/dbraw/zinc/33/95/58/1045339558.db2.gz UHACDPQDYSQCKG-LLVKDONJSA-N 0 3 320.820 4.004 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2ccnn2C2CCCC2)C1 ZINC000449045236 1045340260 /nfs/dbraw/zinc/34/02/60/1045340260.db2.gz HKKAMVOGEFFASW-RDJZCZTQSA-N 0 3 307.507 4.104 20 0 DIADHN Cc1cccc(-c2cccc(CNCc3ccc(F)nc3)c2)c1 ZINC000189027575 1045341298 /nfs/dbraw/zinc/34/12/98/1045341298.db2.gz LKFCJGKNHUVORW-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN Clc1ccc(C[C@H]2CCC[C@@H]2NCc2nccs2)cc1 ZINC000449085522 1045342227 /nfs/dbraw/zinc/34/22/27/1045342227.db2.gz OBUNWWZYJQVASD-HIFRSBDPSA-N 0 3 306.862 4.298 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H](N[C@@H](C)c2nnc3n2CCCCC3)C1 ZINC000449073465 1045344234 /nfs/dbraw/zinc/34/42/34/1045344234.db2.gz NFZPWXBOTXHNQU-GVDBMIGSSA-N 0 3 318.509 4.260 20 0 DIADHN C[C@@H](N[C@H]1CCOC2(CCC2)C1)c1nc(C(C)(C)C)cs1 ZINC000449125463 1045350033 /nfs/dbraw/zinc/35/00/33/1045350033.db2.gz JEUOFCXMQQGDTA-OLZOCXBDSA-N 0 3 308.491 4.193 20 0 DIADHN COc1ccccc1[C@H](N[C@@H]1CSC[C@@H]1C)c1ccccc1 ZINC000449145772 1045352005 /nfs/dbraw/zinc/35/20/05/1045352005.db2.gz LXUMOMFDMMBJBJ-POZUXBRTSA-N 0 3 313.466 4.126 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000449172206 1045354887 /nfs/dbraw/zinc/35/48/87/1045354887.db2.gz UZQWMIIONUFVMT-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN COc1cc(C)ccc1CN[C@@H](C)c1cccc(N2CCCC2)c1 ZINC000449194533 1045357882 /nfs/dbraw/zinc/35/78/82/1045357882.db2.gz SWDPSGJHUPKDSD-KRWDZBQOSA-N 0 3 324.468 4.455 20 0 DIADHN C[C@H](Cc1coc2ccccc12)NCc1nc(C2CC2)cs1 ZINC000449192204 1045357951 /nfs/dbraw/zinc/35/79/51/1045357951.db2.gz PRGVKOYWPVZKDU-GFCCVEGCSA-N 0 3 312.438 4.488 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccnn1C1CCCC1 ZINC000449209570 1045361249 /nfs/dbraw/zinc/36/12/49/1045361249.db2.gz UYOQMRYDPINFPI-FOIQADDNSA-N 0 3 309.457 4.411 20 0 DIADHN COc1cccc2c(CN(C)[C@H](C)c3ccccn3)cccc12 ZINC000449225644 1045364674 /nfs/dbraw/zinc/36/46/74/1045364674.db2.gz KYNVQZWKEYYIRH-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3ccoc32)cn1 ZINC000449222706 1045364830 /nfs/dbraw/zinc/36/48/30/1045364830.db2.gz DLQKDRXTOPRSOL-UHFFFAOYSA-N 0 3 310.397 4.295 20 0 DIADHN COc1cc(C)ccc1CN1CCC(c2ncc(C)s2)CC1 ZINC000449283581 1045371503 /nfs/dbraw/zinc/37/15/03/1045371503.db2.gz MIIYOVIGPGVZAD-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN O[C@@H](CN1CCCCC[C@@H]1c1ccco1)c1ccccc1F ZINC000076804328 1045372993 /nfs/dbraw/zinc/37/29/93/1045372993.db2.gz KREIUIZQNRUBSM-SJORKVTESA-N 0 3 303.377 4.069 20 0 DIADHN Cc1ccc([C@@H](N[C@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449292559 1045373927 /nfs/dbraw/zinc/37/39/27/1045373927.db2.gz DJMPNLWHJGGJSG-OXQOHEQNSA-N 0 3 306.409 4.293 20 0 DIADHN N#CC(C(=O)C1CCC(c2ccccc2)CC1)c1ccccn1 ZINC000076825664 1045374227 /nfs/dbraw/zinc/37/42/27/1045374227.db2.gz FBWPZUKAROSDRC-ABHNRTSZSA-N 0 3 304.393 4.232 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](N[C@@H]1[C@H]3Cc4ccccc4[C@@H]13)CC2 ZINC000449325866 1045375880 /nfs/dbraw/zinc/37/58/80/1045375880.db2.gz QBQVGCXPNXAESH-WRUATKAJSA-N 0 3 315.338 4.019 20 0 DIADHN C[C@@H](NC[C@@H](C)c1cc(F)cc(F)c1)c1c(F)cncc1F ZINC000449322602 1045377436 /nfs/dbraw/zinc/37/74/36/1045377436.db2.gz YENCGAFRQZGSII-NXEZZACHSA-N 0 3 312.310 4.092 20 0 DIADHN Cc1cccn2c(CN[C@H](C)c3cccc(C4CC4)c3)cnc12 ZINC000449349447 1045380092 /nfs/dbraw/zinc/38/00/92/1045380092.db2.gz JNLUGOWBXPIORS-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN C[C@@H]1[C@@H](CC(F)(F)F)C[C@H](C)N1Cc1cnn(C(C)(C)C)c1 ZINC000449366362 1045382169 /nfs/dbraw/zinc/38/21/69/1045382169.db2.gz VYUPEWXEJHYFPN-OUCADQQQSA-N 0 3 317.399 4.189 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H]1CCn2ccnc21 ZINC000449370068 1045383578 /nfs/dbraw/zinc/38/35/78/1045383578.db2.gz IBIRLVNVJQQUQA-UKRRQHHQSA-N 0 3 324.255 4.246 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(F)c(C)c2)cc1OC ZINC000449373161 1045384578 /nfs/dbraw/zinc/38/45/78/1045384578.db2.gz OTMYNUOHSWAAAB-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)c(C)c2)c(C)c1OC ZINC000449374177 1045384639 /nfs/dbraw/zinc/38/46/39/1045384639.db2.gz XSXSSGNMUASUJA-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN Cc1cc(CN[C@H]2CCc3c2cccc3O)cc2cccnc12 ZINC000449384834 1045387719 /nfs/dbraw/zinc/38/77/19/1045387719.db2.gz CUSWARUXFWZZJC-SFHVURJKSA-N 0 3 304.393 4.026 20 0 DIADHN Cc1ccc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000449449506 1045394350 /nfs/dbraw/zinc/39/43/50/1045394350.db2.gz LTQILVYHXDBFMN-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1cc2ccccc2[nH]c1=O ZINC000449460491 1045396192 /nfs/dbraw/zinc/39/61/92/1045396192.db2.gz NMWGLFOJXKXTPB-RISCZKNCSA-N 0 3 324.346 4.103 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(C(F)(F)F)c1)[C@H](C)C2 ZINC000449439781 1045396919 /nfs/dbraw/zinc/39/69/19/1045396919.db2.gz WYAUDZLYXOZAEP-SJKOYZFVSA-N 0 3 320.358 4.432 20 0 DIADHN Cc1cccc([C@@H](NC(=O)Nc2ccc3c(c2)CNC3)C(C)C)c1 ZINC000528698082 1045398820 /nfs/dbraw/zinc/39/88/20/1045398820.db2.gz REQWBDCUEGEUET-IBGZPJMESA-N 0 3 323.440 4.117 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1Cc1ccc2ncccc2c1 ZINC000449463924 1045399815 /nfs/dbraw/zinc/39/98/15/1045399815.db2.gz XLYRDTOEHWSFKZ-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1cccnc1CCCNCc1csc(-c2ccccc2)n1 ZINC000449486318 1045401621 /nfs/dbraw/zinc/40/16/21/1045401621.db2.gz TZFOMXVYGYHVRA-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(Cl)c3cccnc23)C[C@@H]1C ZINC000449490092 1045402069 /nfs/dbraw/zinc/40/20/69/1045402069.db2.gz BMBDEERYQFFLMO-SUMWQHHRSA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@@H]1CCN(Cc2c(Cl)ccc3cccnc32)C[C@@H]1C ZINC000449498431 1045403149 /nfs/dbraw/zinc/40/31/49/1045403149.db2.gz LHZADKPZUFGWLA-SUMWQHHRSA-N 0 3 318.848 4.135 20 0 DIADHN CC(C)c1ccc(Cl)c(-c2cnccc2N2CCOCC2)c1 ZINC001204688669 1045404079 /nfs/dbraw/zinc/40/40/79/1045404079.db2.gz YVMGOQHYKKQTHQ-UHFFFAOYSA-N 0 3 316.832 4.362 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3ccccc3)s2)C[C@H]1C ZINC000449502204 1045404619 /nfs/dbraw/zinc/40/46/19/1045404619.db2.gz WLAHVKFUVFITLU-RHSMWYFYSA-N 0 3 316.470 4.057 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3ccsc3)s2)C[C@@H]1C ZINC000449502291 1045404729 /nfs/dbraw/zinc/40/47/29/1045404729.db2.gz WRSBHDCYZAVTSI-WFASDCNBSA-N 0 3 322.499 4.119 20 0 DIADHN CCO[C@@H]1CCN(Cc2cnc(-c3ccsc3)s2)C[C@H]1C ZINC000449502287 1045404831 /nfs/dbraw/zinc/40/48/31/1045404831.db2.gz WRSBHDCYZAVTSI-IUODEOHRSA-N 0 3 322.499 4.119 20 0 DIADHN Fc1cc(Cl)ccc1CN1CC2(CCOCC2)[C@@H]2CCC[C@@H]21 ZINC000449515797 1045405381 /nfs/dbraw/zinc/40/53/81/1045405381.db2.gz BMKQRPNYJMRTGN-WBVHZDCISA-N 0 3 323.839 4.260 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3cccs3)s2)C[C@H]1C ZINC000449506115 1045405625 /nfs/dbraw/zinc/40/56/25/1045405625.db2.gz ZZGCORBEANYQCH-OCCSQVGLSA-N 0 3 322.499 4.119 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cnc([C@@H]3CCCO3)s2)[C@@H]1C(C)C ZINC000449556181 1045413185 /nfs/dbraw/zinc/41/31/85/1045413185.db2.gz RRPUBTHCBCWSPH-HRCADAONSA-N 0 3 308.491 4.107 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC1(CO)CC1 ZINC000449580836 1045415957 /nfs/dbraw/zinc/41/59/57/1045415957.db2.gz UTKBCQRIVSLASQ-UHFFFAOYSA-N 0 3 313.894 4.093 20 0 DIADHN CCn1ccnc1[C@@H](C)NC1CC(c2ccc(Cl)cc2)C1 ZINC000449563545 1045414661 /nfs/dbraw/zinc/41/46/61/1045414661.db2.gz WFGZHJPOZFFDSC-XEBKBJJBSA-N 0 3 303.837 4.153 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000449574056 1045415553 /nfs/dbraw/zinc/41/55/53/1045415553.db2.gz SVEYSQIBKBMYLJ-UOJCXKCYSA-N 0 3 315.848 4.468 20 0 DIADHN Fc1cccc(F)c1CN[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000449622893 1045421055 /nfs/dbraw/zinc/42/10/55/1045421055.db2.gz KVCNRHQEJGPKDN-IAQYHMDHSA-N 0 3 309.381 4.036 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(C)c1)c1ccc(OC)c(OC)c1 ZINC000119402332 1045426327 /nfs/dbraw/zinc/42/63/27/1045426327.db2.gz ZZHDJVCBGAPQTR-QGZVFWFLSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1ccc(-c2nc(CNCCC[C@@H]3CCOC3)cs2)cc1 ZINC000449684806 1045426374 /nfs/dbraw/zinc/42/63/74/1045426374.db2.gz QGGXEMMCVMAILB-OAHLLOKOSA-N 0 3 316.470 4.025 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2nc3ccc(F)cc3o2)C1 ZINC000119498997 1045429138 /nfs/dbraw/zinc/42/91/38/1045429138.db2.gz QBTPDQDKUPTIRR-OLZOCXBDSA-N 0 3 308.422 4.073 20 0 DIADHN Cc1cc(C)c(CNCc2cccc(OC3CCCC3)c2)cn1 ZINC000449736271 1045432619 /nfs/dbraw/zinc/43/26/19/1045432619.db2.gz UUYAYGJAGJYTAT-UHFFFAOYSA-N 0 3 310.441 4.310 20 0 DIADHN COCC1(CNCc2csc(-c3cccs3)n2)CCCC1 ZINC000449739471 1045433053 /nfs/dbraw/zinc/43/30/53/1045433053.db2.gz AQVSDRGOFZLBQW-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN COc1cccnc1CN[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000449747589 1045434192 /nfs/dbraw/zinc/43/41/92/1045434192.db2.gz JNEHEXCGHWWCSK-CHWSQXEVSA-N 0 3 304.821 4.025 20 0 DIADHN Cc1ccccc1CN[C@@H](c1cccs1)C1(CO)CCC1 ZINC000449765036 1045434375 /nfs/dbraw/zinc/43/43/75/1045434375.db2.gz FYHBJXHYQSHEME-KRWDZBQOSA-N 0 3 301.455 4.050 20 0 DIADHN COc1ccc([C@@H](CCO)N[C@@H](C)c2ccc(C)cc2C)cc1 ZINC000120380516 1045467858 /nfs/dbraw/zinc/46/78/58/1045467858.db2.gz WWNMISRJXOYDPZ-OXJNMPFZSA-N 0 3 313.441 4.086 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cccc(OC)c2Cl)C1 ZINC001307495661 1045476552 /nfs/dbraw/zinc/47/65/52/1045476552.db2.gz PNVGJNHFVGDLBG-UONOGXRCSA-N 0 3 313.894 4.455 20 0 DIADHN CCc1cc(CCCN2CC=C(c3ccc(F)cc3)CC2)on1 ZINC000450222098 1045477354 /nfs/dbraw/zinc/47/73/54/1045477354.db2.gz ZOSFHSBKYVUZSG-UHFFFAOYSA-N 0 3 314.404 4.098 20 0 DIADHN O=C(c1cc(F)ccc1F)C1CCN(CC2=CCCCC2)CC1 ZINC000450263462 1045481474 /nfs/dbraw/zinc/48/14/74/1045481474.db2.gz XEFSCCCDELGWJL-UHFFFAOYSA-N 0 3 319.395 4.360 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1ccc3cc[nH]c3c1)CCC2 ZINC000529532990 1045488499 /nfs/dbraw/zinc/48/84/99/1045488499.db2.gz KHFXKTKPEKNQBG-QGZVFWFLSA-N 0 3 311.454 4.442 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@@H]1[C@@H]1CCCOC1 ZINC000529611877 1045500957 /nfs/dbraw/zinc/50/09/57/1045500957.db2.gz ZETYPXOWYAZACG-CHWSQXEVSA-N 0 3 319.392 4.158 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2ccn(CC(F)(F)F)n2)CC1 ZINC000450405189 1045502121 /nfs/dbraw/zinc/50/21/21/1045502121.db2.gz DMTDMUFOPKOBKD-CYBMUJFWSA-N 0 3 317.399 4.094 20 0 DIADHN C[C@@H](CCOCc1ccccc1)NCc1cc(F)c(F)cc1F ZINC000389967398 1045509412 /nfs/dbraw/zinc/50/94/12/1045509412.db2.gz OMRRRKYTHRSFEA-ZDUSSCGKSA-N 0 3 323.358 4.189 20 0 DIADHN COc1cccc(C=C2CCN(CC3CC(F)(F)C3)CC2)c1 ZINC000450492955 1045512344 /nfs/dbraw/zinc/51/23/44/1045512344.db2.gz YHGUFUNUNMLNCC-UHFFFAOYSA-N 0 3 307.384 4.220 20 0 DIADHN CCC(CC)CN(CC)Cc1cc2c(C)nn(C)c2nc1Cl ZINC000750283020 1045518712 /nfs/dbraw/zinc/51/87/12/1045518712.db2.gz LWEQXSBJJUJKHS-UHFFFAOYSA-N 0 3 322.884 4.188 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@@H](c2ncc[nH]2)C1 ZINC000450560290 1045518971 /nfs/dbraw/zinc/51/89/71/1045518971.db2.gz FDQHXQUTBIAXDD-GFCCVEGCSA-N 0 3 324.255 4.139 20 0 DIADHN CC[C@@](C)(NC[C@H]1CCCCC1(F)F)c1nc(C)cs1 ZINC000450575165 1045520902 /nfs/dbraw/zinc/52/09/02/1045520902.db2.gz GFSWZZCAVPSXMC-TZMCWYRMSA-N 0 3 302.434 4.492 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCc2cscn2)CC1 ZINC000450570812 1045520949 /nfs/dbraw/zinc/52/09/49/1045520949.db2.gz QGDBGSYNAOELAF-UHFFFAOYSA-N 0 3 323.465 4.265 20 0 DIADHN FC1(F)CC(CN2CCCC[C@@H]2c2nc3ccccc3o2)C1 ZINC000450601271 1045524530 /nfs/dbraw/zinc/52/45/30/1045524530.db2.gz USCVPTYCPRJUDW-CQSZACIVSA-N 0 3 306.356 4.400 20 0 DIADHN CCCc1ccc(CN2CCC(c3noc(CC)n3)CC2)s1 ZINC001138528181 1045525742 /nfs/dbraw/zinc/52/57/42/1045525742.db2.gz OZZRJONXBMROMZ-UHFFFAOYSA-N 0 3 319.474 4.026 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc3[nH]ccc3c2)cc1OC ZINC000628122098 1045526411 /nfs/dbraw/zinc/52/64/11/1045526411.db2.gz JXVHZYINSHRMBF-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN Cn1ncc(CN[C@H](c2cccc(F)c2)C(C)(C)C)c1C1CC1 ZINC001120027926 1045533219 /nfs/dbraw/zinc/53/32/19/1045533219.db2.gz VFYVQXRNQIBLOQ-GOSISDBHSA-N 0 3 315.436 4.314 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)o1)c1ccc(Cl)cc1 ZINC000628207773 1045544398 /nfs/dbraw/zinc/54/43/98/1045544398.db2.gz KSKAJRUVVRWLOB-UHFFFAOYSA-N 0 3 304.821 4.273 20 0 DIADHN Cc1ncc(CNCC2(Sc3ccccc3)CCCC2)o1 ZINC000628211536 1045544737 /nfs/dbraw/zinc/54/47/37/1045544737.db2.gz DTYWQRANYADRLK-UHFFFAOYSA-N 0 3 302.443 4.178 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2ccc(OCC(C)C)cc2)o1 ZINC000628213308 1045546501 /nfs/dbraw/zinc/54/65/01/1045546501.db2.gz GCHIXYQSMIGDNY-UHFFFAOYSA-N 0 3 316.445 4.085 20 0 DIADHN Cc1ccc([C@@H](C)CNCc2nc(C(F)(F)F)cs2)cc1 ZINC000628218827 1045547354 /nfs/dbraw/zinc/54/73/54/1045547354.db2.gz RVAAIJACNPDJPJ-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN C[C@@H](O)CCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000450765631 1045558416 /nfs/dbraw/zinc/55/84/16/1045558416.db2.gz XLSXGYIIAKFLKW-IUODEOHRSA-N 0 3 301.352 4.003 20 0 DIADHN c1ccc([C@H]2CCCC[C@@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000450767184 1045560094 /nfs/dbraw/zinc/56/00/94/1045560094.db2.gz UUJWXNUWKCVVAS-CVEARBPZSA-N 0 3 313.470 4.232 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1ccc(Cl)cc1)c1cccs1 ZINC000750838533 1045561679 /nfs/dbraw/zinc/56/16/79/1045561679.db2.gz KXJNSZWNWNIZCT-HOTGVXAUSA-N 0 3 321.873 4.490 20 0 DIADHN Cc1ncc(CN(C)[C@@H](C)Cc2ccccc2C(F)(F)F)o1 ZINC000628276672 1045562039 /nfs/dbraw/zinc/56/20/39/1045562039.db2.gz KMVDBWRIICGLTM-NSHDSACASA-N 0 3 312.335 4.065 20 0 DIADHN Cc1ccc(NC(=O)CNC(C)(C)c2ccccc2)cc1Cl ZINC000750875210 1045565534 /nfs/dbraw/zinc/56/55/34/1045565534.db2.gz QBQSLFUTISSENC-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN C[C@]1(Cc2ccccc2F)CCCN1Cc1cnc(C2CC2)o1 ZINC000628322065 1045568021 /nfs/dbraw/zinc/56/80/21/1045568021.db2.gz XHEIBVXZXFWIRK-LJQANCHMSA-N 0 3 314.404 4.288 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2C[C@H](C)C(F)(F)[C@@H](C)C2)c1 ZINC000628390688 1045574597 /nfs/dbraw/zinc/57/45/97/1045574597.db2.gz MNDBVYRMPXLIIA-KBPBESRZSA-N 0 3 319.399 4.108 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Cc1ccc(C2CCC2)cc1 ZINC001123836019 1045588298 /nfs/dbraw/zinc/58/82/98/1045588298.db2.gz DEQWQLDCWWRIJJ-UHFFFAOYSA-N 0 3 322.452 4.197 20 0 DIADHN Oc1ccc([C@H]2CCN(Cc3coc(-c4ccccc4)n3)C2)cc1 ZINC000628481147 1045589254 /nfs/dbraw/zinc/58/92/54/1045589254.db2.gz QISXOSNNBLQVDZ-KRWDZBQOSA-N 0 3 320.392 4.037 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](C)c1cccc2ccccc21 ZINC000008268904 1045589456 /nfs/dbraw/zinc/58/94/56/1045589456.db2.gz PIPLZYRUJGPSDT-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCCC(=O)c1ccc(F)cc1 ZINC000450890706 1045590319 /nfs/dbraw/zinc/59/03/19/1045590319.db2.gz WPMWBODZPWHUBK-SMDDNHRTSA-N 0 3 317.326 4.061 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)Nc1cc(C)nc(N)n1 ZINC000751228314 1045593315 /nfs/dbraw/zinc/59/33/15/1045593315.db2.gz PYUJLGYWNNNEMX-HNNXBMFYSA-N 0 3 324.453 4.133 20 0 DIADHN Cc1ccc(Br)cc1C[NH2+][C@@H](C)c1ccccc1[O-] ZINC000190695400 1045601152 /nfs/dbraw/zinc/60/11/52/1045601152.db2.gz AXCPWDUKGBRXKK-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN CCSCCCNCc1c(C)nn(-c2ccccc2)c1Cl ZINC000800283566 1045604188 /nfs/dbraw/zinc/60/41/88/1045604188.db2.gz ZBCJXLCLSBHICL-UHFFFAOYSA-N 0 3 323.893 4.067 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@@H](c2ccncc2)C1 ZINC000450996431 1045605537 /nfs/dbraw/zinc/60/55/37/1045605537.db2.gz HAQZRMSVAPYHRH-XJKSGUPXSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)(C)c1cnc(CN[C@@H]2Cc3ccc(Cl)cc3C2)s1 ZINC000800286678 1045606116 /nfs/dbraw/zinc/60/61/16/1045606116.db2.gz PRDXKWHVISUCQQ-CQSZACIVSA-N 0 3 320.889 4.351 20 0 DIADHN COc1cc(CN2CCC[C@H](c3ccncc3)C2)ccc1Cl ZINC000451002512 1045607507 /nfs/dbraw/zinc/60/75/07/1045607507.db2.gz LUVIWWJWGMTRLA-INIZCTEOSA-N 0 3 316.832 4.123 20 0 DIADHN CCc1nnc(CN[C@@H]2CCCC[C@H]2C2CCCCC2)s1 ZINC000391837642 1045621755 /nfs/dbraw/zinc/62/17/55/1045621755.db2.gz KOOMXGWHZBPDIW-LSDHHAIUSA-N 0 3 307.507 4.329 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](NC(=O)OC(C)(C)C)C(C)C)oc1C ZINC000800478756 1045625195 /nfs/dbraw/zinc/62/51/95/1045625195.db2.gz QRVDKJCANRZKKY-ZFWWWQNUSA-N 0 3 324.465 4.096 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1ccncc1Br ZINC001168127327 1045629050 /nfs/dbraw/zinc/62/90/50/1045629050.db2.gz VZRYHTHKBJAMJI-DOMZBBRYSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2cc(F)cc(Cl)c2)oc1C ZINC000800491048 1045630843 /nfs/dbraw/zinc/63/08/43/1045630843.db2.gz NFQIHWKNWUQJDP-BONVTDFDSA-N 0 3 311.784 4.073 20 0 DIADHN CCc1ccc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)nc1 ZINC000451146879 1045633282 /nfs/dbraw/zinc/63/32/82/1045633282.db2.gz MMKOLLCVMCTZGO-SCRDCRAPSA-N 0 3 300.368 4.195 20 0 DIADHN O[C@H](CN1CCCC[C@H]1c1ccc(F)cc1)c1ccc(F)cc1 ZINC000752088305 1045656877 /nfs/dbraw/zinc/65/68/77/1045656877.db2.gz FUQZKBRBYRRHIK-RBUKOAKNSA-N 0 3 317.379 4.225 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1ccc(F)cc1)c1cccc(F)c1 ZINC000752090450 1045658481 /nfs/dbraw/zinc/65/84/81/1045658481.db2.gz YOJZQQZEZHNWFP-OALUTQOASA-N 0 3 317.379 4.225 20 0 DIADHN C[C@@H](CCO)N[C@@H](c1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000779396104 1045661194 /nfs/dbraw/zinc/66/11/94/1045661194.db2.gz HUAYIEZDRVKBKJ-SJCJKPOMSA-N 0 3 324.251 4.443 20 0 DIADHN Cc1ccc(C)c(OC(=O)[C@@H]2CCCN(Cc3ccccc3)C2)c1 ZINC001124371988 1045669274 /nfs/dbraw/zinc/66/92/74/1045669274.db2.gz MXJLDVUOTMCIJV-LJQANCHMSA-N 0 3 323.436 4.121 20 0 DIADHN OCc1ccc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)cc1 ZINC000451352173 1045669291 /nfs/dbraw/zinc/66/92/91/1045669291.db2.gz HATWCVOFFWAYRE-SFHVURJKSA-N 0 3 319.807 4.212 20 0 DIADHN Fc1cccc([C@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)c1 ZINC000530465651 1045672520 /nfs/dbraw/zinc/67/25/20/1045672520.db2.gz BUTPZYCVIUJWNN-ZWKOTPCHSA-N 0 3 303.421 4.454 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(Br)cc21)c1ccc[nH]1 ZINC000800976461 1045673990 /nfs/dbraw/zinc/67/39/90/1045673990.db2.gz YSPUCBSFEHOLSJ-MEBBXXQBSA-N 0 3 305.219 4.115 20 0 DIADHN C[C@@]12CN(Cc3csc(-c4ccoc4)n3)C[C@@H]1[C@@H]1C=C[C@H]2C1 ZINC000451376172 1045675988 /nfs/dbraw/zinc/67/59/88/1045675988.db2.gz VNBYHYNCXGAZPF-YUFPNPJJSA-N 0 3 312.438 4.047 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CCC34CCCC4)co2)cc1F ZINC000451452007 1045684050 /nfs/dbraw/zinc/68/40/50/1045684050.db2.gz CDZAFEADBMERRR-INIZCTEOSA-N 0 3 318.367 4.432 20 0 DIADHN c1ccc2c(c1)-c1ccccc1C2NC[C@H]1COC2(CCCC2)O1 ZINC000779415935 1045686164 /nfs/dbraw/zinc/68/61/64/1045686164.db2.gz IVAFNCFVVYSMQT-HNNXBMFYSA-N 0 3 321.420 4.032 20 0 DIADHN CC1(C)[C@H](NCc2nc(C3CCCCC3)cs2)[C@H]2CCO[C@@H]21 ZINC000451471268 1045688044 /nfs/dbraw/zinc/68/80/44/1045688044.db2.gz OWZXLVQIBVUQJE-XYPHTWIQSA-N 0 3 320.502 4.094 20 0 DIADHN c1ccc2c(c1)-c1ccccc1C2NC[C@@H]1COC2(CCCC2)O1 ZINC000779416116 1045690594 /nfs/dbraw/zinc/69/05/94/1045690594.db2.gz IVAFNCFVVYSMQT-OAHLLOKOSA-N 0 3 321.420 4.032 20 0 DIADHN CO[C@H]1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)CC1(C)C ZINC000451552476 1045697160 /nfs/dbraw/zinc/69/71/60/1045697160.db2.gz NDWDXISYUPZRLL-SFHVURJKSA-N 0 3 317.473 4.061 20 0 DIADHN Cc1ccc(NC(=O)CC2CCCCCC2)c(CN(C)C)c1 ZINC000530694058 1045713885 /nfs/dbraw/zinc/71/38/85/1045713885.db2.gz LLLPIGBDSSWSCU-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN Fc1ccc(C2(NCc3ccc(OCC(F)F)cc3)CC2)cc1 ZINC000530729195 1045717724 /nfs/dbraw/zinc/71/77/24/1045717724.db2.gz JRKVEZFZGGXBLG-UHFFFAOYSA-N 0 3 321.342 4.249 20 0 DIADHN CN(c1ccccc1)C1CCN(c2ccnc3ccccc32)CC1 ZINC000530727655 1045720739 /nfs/dbraw/zinc/72/07/39/1045720739.db2.gz LZVCLJKHQDNTFB-UHFFFAOYSA-N 0 3 317.436 4.340 20 0 DIADHN CC[C@@](C)(N)c1cc(-c2cc3ccccc3nc2Cl)no1 ZINC000901399599 1045721044 /nfs/dbraw/zinc/72/10/44/1045721044.db2.gz GOXUQVJJQMULNY-MRXNPFEDSA-N 0 3 301.777 4.127 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@]2(CCCOC2)C1 ZINC000530865842 1045740827 /nfs/dbraw/zinc/74/08/27/1045740827.db2.gz JLHADBMIJZYBPR-ZWKOTPCHSA-N 0 3 309.400 4.309 20 0 DIADHN C[C@H](NCc1ccc(SC(=O)N(C)C)cc1)c1ccsc1 ZINC000801571472 1045744793 /nfs/dbraw/zinc/74/47/93/1045744793.db2.gz ZJEJMVLQXGWEKQ-LBPRGKRZSA-N 0 3 320.483 4.373 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCC[C@@]2(CCOC2)C1 ZINC000451909529 1045745200 /nfs/dbraw/zinc/74/52/00/1045745200.db2.gz XEDLZTZIAXDFDM-CZUORRHYSA-N 0 3 324.262 4.013 20 0 DIADHN CC1(C)OCc2cc(CNCc3ccc(C4CC4)cc3)ccc2O1 ZINC000191681749 1045747738 /nfs/dbraw/zinc/74/77/38/1045747738.db2.gz GADMBEDCHYVPDP-UHFFFAOYSA-N 0 3 323.436 4.499 20 0 DIADHN CC1=C(c2ccco2)CN(C[C@H](O)c2ccccc2Cl)CC1 ZINC000801602761 1045752546 /nfs/dbraw/zinc/75/25/46/1045752546.db2.gz YKGFMDKWWOQURE-KRWDZBQOSA-N 0 3 317.816 4.146 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCc2ccncc2)c(F)c1 ZINC000451969768 1045758804 /nfs/dbraw/zinc/75/88/04/1045758804.db2.gz KYRGJABJFOWTRG-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN CSc1ccc(OCCN2CCC[C@H]2c2ccccn2)cc1 ZINC000530962296 1045760849 /nfs/dbraw/zinc/76/08/49/1045760849.db2.gz FJRWCKWDPFHBFP-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CNCc2csc(C3CC3)n2)C1 ZINC000530974755 1045764984 /nfs/dbraw/zinc/76/49/84/1045764984.db2.gz PGNPMEWTJOZIBB-CMPLNLGQSA-N 0 3 318.408 4.479 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C)c2)cc1Cl ZINC000452097659 1045767726 /nfs/dbraw/zinc/76/77/26/1045767726.db2.gz YTTYAAKADLJZOX-ZDUSSCGKSA-N 0 3 317.816 4.286 20 0 DIADHN Cc1cccc([C@H](C)NCc2cccc(C(=O)NCC(C)C)c2)c1 ZINC000452087138 1045772760 /nfs/dbraw/zinc/77/27/60/1045772760.db2.gz NLWVNZKWBSCNTE-KRWDZBQOSA-N 0 3 324.468 4.232 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2CCc3c2cccc3O)cc1C ZINC000753655636 1045784656 /nfs/dbraw/zinc/78/46/56/1045784656.db2.gz VNWYKPHMWZQPAI-KBXCAEBGSA-N 0 3 311.425 4.356 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1cccc(Br)c1 ZINC000237509490 1045785268 /nfs/dbraw/zinc/78/52/68/1045785268.db2.gz IUBPEVHMDIOUQS-NSHDSACASA-N 0 3 305.219 4.003 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCC1CC(c2ccccc2)C1 ZINC000801731316 1045785355 /nfs/dbraw/zinc/78/53/55/1045785355.db2.gz XYQVKRJCVPNLPJ-UHFFFAOYSA-N 0 3 324.255 4.010 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@H](c2ccccc2)c2ccc(F)cn2)C1 ZINC000753664779 1045786901 /nfs/dbraw/zinc/78/69/01/1045786901.db2.gz RCBVSRKZLRNWOS-JFIYKMOQSA-N 0 3 316.445 4.184 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2cc(C)c(OC)cc2C)c1 ZINC000753698339 1045791218 /nfs/dbraw/zinc/79/12/18/1045791218.db2.gz KQYWGKJPMXHUIR-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)c2cncc(F)c2)cc1C ZINC000753726758 1045797050 /nfs/dbraw/zinc/79/70/50/1045797050.db2.gz DGSLKIBOAWOYGA-KBPBESRZSA-N 0 3 302.393 4.258 20 0 DIADHN CCOc1ccc(C2=CCN(CCC(=O)C(C)(C)C)CC2)cc1 ZINC000753746043 1045798837 /nfs/dbraw/zinc/79/88/37/1045798837.db2.gz NAHMHZCVEPZHGX-UHFFFAOYSA-N 0 3 315.457 4.180 20 0 DIADHN COc1cncc(CN[C@H](CC(C)C)c2cccs2)c1C ZINC000631049420 1045805865 /nfs/dbraw/zinc/80/58/65/1045805865.db2.gz YPOZFGIUXAAQFC-OAHLLOKOSA-N 0 3 304.459 4.337 20 0 DIADHN CCC[C@@H](NC[C@H]1CSCCS1)c1ncccc1Cl ZINC000925242808 1045806784 /nfs/dbraw/zinc/80/67/84/1045806784.db2.gz MEESYTSHRRVASP-WCQYABFASA-N 0 3 316.923 4.014 20 0 DIADHN COc1cncc(CNC(C)(C)c2ccc(Cl)cc2)c1C ZINC000631061808 1045807633 /nfs/dbraw/zinc/80/76/33/1045807633.db2.gz IEXAOWLLBKIIMD-UHFFFAOYSA-N 0 3 304.821 4.077 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1csc2ccccc12 ZINC000925243162 1045815263 /nfs/dbraw/zinc/81/52/63/1045815263.db2.gz BFLBQJKWYIAQNK-KHDYIWLOSA-N 0 3 309.434 4.210 20 0 DIADHN Clc1c2ccccc2oc1CN[C@@H]1CCOC2(CCC2)C1 ZINC000631091502 1045816104 /nfs/dbraw/zinc/81/61/04/1045816104.db2.gz NBNVZPHKRGMLPH-GFCCVEGCSA-N 0 3 305.805 4.278 20 0 DIADHN FC(F)(F)Cc1ccc(CN[C@H](c2cccnc2)C2CC2)cc1 ZINC000631101788 1045818287 /nfs/dbraw/zinc/81/82/87/1045818287.db2.gz KGHUZZFMHADECH-KRWDZBQOSA-N 0 3 320.358 4.427 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1ccc(C(F)F)nc1 ZINC000631103081 1045819554 /nfs/dbraw/zinc/81/95/54/1045819554.db2.gz YCTJICYPDNNZKW-YVEFUNNKSA-N 0 3 302.368 4.432 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cccc3nccn32)cc1 ZINC000475678410 1045826781 /nfs/dbraw/zinc/82/67/81/1045826781.db2.gz WPIFSWSDHHCQAK-UHFFFAOYSA-N 0 3 323.440 4.148 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1ccc(CC(F)(F)F)cc1)CC2 ZINC000631174772 1045829429 /nfs/dbraw/zinc/82/94/29/1045829429.db2.gz FGTDUDGQXAQRBC-KRWDZBQOSA-N 0 3 321.342 4.274 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccc(Cl)cc1C)C1CC1 ZINC000531344614 1045830683 /nfs/dbraw/zinc/83/06/83/1045830683.db2.gz PIZKKAQQSUOJFQ-UHFFFAOYSA-N 0 3 308.853 4.240 20 0 DIADHN COc1ccc([C@@H](NCc2csc(C)c2C)C2CC2)cn1 ZINC000631186374 1045832505 /nfs/dbraw/zinc/83/25/05/1045832505.db2.gz VKJQPWWFRFSYPL-KRWDZBQOSA-N 0 3 302.443 4.009 20 0 DIADHN COc1ccc(CNCc2csc(C)c2C)cc1OC1CC1 ZINC000631206844 1045834430 /nfs/dbraw/zinc/83/44/30/1045834430.db2.gz OISDVAXPFAUGLX-UHFFFAOYSA-N 0 3 317.454 4.205 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1ccc2cccnc2c1 ZINC000631205831 1045836888 /nfs/dbraw/zinc/83/68/88/1045836888.db2.gz AZKDLBXFTOOIAS-UHFFFAOYSA-N 0 3 322.456 4.093 20 0 DIADHN CCOc1ccc(CNCc2ccc3cccnc3c2)c(C)c1 ZINC000631205931 1045837002 /nfs/dbraw/zinc/83/70/02/1045837002.db2.gz BXSFKFSKNWAULE-UHFFFAOYSA-N 0 3 306.409 4.232 20 0 DIADHN Cc1scc(CN[C@H](C)c2ccc3[nH]c(=O)oc3c2)c1C ZINC000631248702 1045843745 /nfs/dbraw/zinc/84/37/45/1045843745.db2.gz WCIQBNYRRBHKHC-SNVBAGLBSA-N 0 3 302.399 4.063 20 0 DIADHN C[C@H](NCc1ccccc1C1CC1)c1ccc2[nH]c(=O)oc2c1 ZINC000631249621 1045844158 /nfs/dbraw/zinc/84/41/58/1045844158.db2.gz HAPIBOOYCCRBQX-LBPRGKRZSA-N 0 3 308.381 4.262 20 0 DIADHN CC[C@H](NCc1cccc2[nH]ccc21)c1cccc(OC)c1OC ZINC000631265223 1045846272 /nfs/dbraw/zinc/84/62/72/1045846272.db2.gz XCILAYGLUUXOFA-KRWDZBQOSA-N 0 3 324.424 4.426 20 0 DIADHN CC(C)(C)SCCN1CCC[C@@H]1CC(=O)c1cccs1 ZINC000801933731 1045849668 /nfs/dbraw/zinc/84/96/68/1045849668.db2.gz CLAQBNIGFTYFEN-CYBMUJFWSA-N 0 3 311.516 4.317 20 0 DIADHN CC[C@H](NCc1ccnc(N(C)C)c1)c1ccc(Cl)cc1 ZINC000754124736 1045851371 /nfs/dbraw/zinc/85/13/71/1045851371.db2.gz UBGPEOHLLJXGHI-INIZCTEOSA-N 0 3 303.837 4.042 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@@H]1CS[C@H](C(C)(C)C)C1)CCC2 ZINC000754148470 1045854898 /nfs/dbraw/zinc/85/48/98/1045854898.db2.gz IQUPBJUTICEEJU-XHSDSOJGSA-N 0 3 321.534 4.351 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2CCCc3ncccc32)cc1 ZINC000631568169 1045871169 /nfs/dbraw/zinc/87/11/69/1045871169.db2.gz RTXQWYQNSAOMEG-MRXNPFEDSA-N 0 3 306.331 4.268 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@H]1CSCc2ccccc21 ZINC000631576544 1045873560 /nfs/dbraw/zinc/87/35/60/1045873560.db2.gz KIAXOGIQXCLDLD-OALUTQOASA-N 0 3 311.450 4.260 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1sccc1C ZINC000631574214 1045874885 /nfs/dbraw/zinc/87/48/85/1045874885.db2.gz XNLUPXAFIRNNSR-AWEZNQCLSA-N 0 3 303.427 4.069 20 0 DIADHN CC[C@@H](N[C@H](CC)c1cccc(OC)c1OC)c1ccncc1 ZINC000631580588 1045876639 /nfs/dbraw/zinc/87/66/39/1045876639.db2.gz BADGNEDQZSQFSV-IAGOWNOFSA-N 0 3 314.429 4.291 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H]3CCc4ccccc43)co2)cc1 ZINC000754340515 1045877324 /nfs/dbraw/zinc/87/73/24/1045877324.db2.gz BUQCNNSADIPWSS-GOSISDBHSA-N 0 3 318.420 4.470 20 0 DIADHN Cc1cc(Cl)c(NC(=O)c2ccccc2CN(C)C)cc1C ZINC000452889063 1045881583 /nfs/dbraw/zinc/88/15/83/1045881583.db2.gz PQIJXZDZDHJTLP-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC(C)Oc1ccc2nccc(N3CCC(F)(F)CC3)c2c1 ZINC000828061678 1045887907 /nfs/dbraw/zinc/88/79/07/1045887907.db2.gz IUCUFTDVQZNQPJ-UHFFFAOYSA-N 0 3 306.356 4.258 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](C)c2sccc2C)c1 ZINC000531681380 1045896489 /nfs/dbraw/zinc/89/64/89/1045896489.db2.gz JESWDMUMIPAISP-ZDUSSCGKSA-N 0 3 302.443 4.256 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1ccc(C(F)F)nc1 ZINC000631653564 1045896781 /nfs/dbraw/zinc/89/67/81/1045896781.db2.gz MKHUPSCXQDUWJE-VMGRFDJRSA-N 0 3 318.367 4.200 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1cnn(C2CCCC2)c1 ZINC000631683324 1045902436 /nfs/dbraw/zinc/90/24/36/1045902436.db2.gz LIXXRTPKXNMYEE-OAHLLOKOSA-N 0 3 320.440 4.397 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NCc2csc(C)c2C)s1 ZINC000631681857 1045902770 /nfs/dbraw/zinc/90/27/70/1045902770.db2.gz ILPBMXQSVLAJPJ-LLVKDONJSA-N 0 3 324.515 4.170 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc2cccnc2c1 ZINC000631681892 1045903205 /nfs/dbraw/zinc/90/32/05/1045903205.db2.gz CAEUJGHKKJMNJZ-QGZVFWFLSA-N 0 3 322.383 4.160 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc3cccnc3c1)CC2 ZINC000631685296 1045903787 /nfs/dbraw/zinc/90/37/87/1045903787.db2.gz XWDPDLAVURCMMG-IBGZPJMESA-N 0 3 304.393 4.021 20 0 DIADHN CC[C@@](C)(NC[C@@]1(C)CC1(Cl)Cl)c1nc(C)cs1 ZINC000828352387 1045903826 /nfs/dbraw/zinc/90/38/26/1045903826.db2.gz IBTTXCOCJYKDPM-VXGBXAGGSA-N 0 3 307.290 4.250 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccc2cccnc2c1 ZINC000631684685 1045904306 /nfs/dbraw/zinc/90/43/06/1045904306.db2.gz TZKFMCBHIHICPF-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1ccc2cccnc2c1 ZINC000631684684 1045904791 /nfs/dbraw/zinc/90/47/91/1045904791.db2.gz TZKFMCBHIHICPF-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccccc2C(C)C)s1 ZINC000754661511 1045905120 /nfs/dbraw/zinc/90/51/20/1045905120.db2.gz IGWOJSLCTXXGIB-CQSZACIVSA-N 0 3 316.470 4.469 20 0 DIADHN CSc1cccc(NC(=O)CN[C@H](C)c2ccc(C)s2)c1 ZINC000754654670 1045905972 /nfs/dbraw/zinc/90/59/72/1045905972.db2.gz DWQWXRAMLGMJMB-GFCCVEGCSA-N 0 3 320.483 4.068 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)O[C@@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000631703463 1045907444 /nfs/dbraw/zinc/90/74/44/1045907444.db2.gz OYNOWQPRRBZYMM-GPINWOSQSA-N 0 3 312.413 4.090 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(C)cc(C)c2)s1 ZINC000754667956 1045907873 /nfs/dbraw/zinc/90/78/73/1045907873.db2.gz MTXMCNSKGFSASF-CABCVRRESA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(C)cc(C)c2)s1 ZINC000754667964 1045908442 /nfs/dbraw/zinc/90/84/42/1045908442.db2.gz MTXMCNSKGFSASF-LSDHHAIUSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)NCCC2=CCCCC2)s1 ZINC000754672997 1045911032 /nfs/dbraw/zinc/91/10/32/1045911032.db2.gz JYIOWFLZTMNFSY-LSDHHAIUSA-N 0 3 320.502 4.102 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C(C)C)c1)c1cccc(OC)c1 ZINC000531717845 1045911070 /nfs/dbraw/zinc/91/10/70/1045911070.db2.gz CGTYFGJSKMPHCI-RDTXWAMCSA-N 0 3 301.434 4.275 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1cc2ccccc2o1 ZINC000631729966 1045911268 /nfs/dbraw/zinc/91/12/68/1045911268.db2.gz LYHAKWIVFJMARK-AWEZNQCLSA-N 0 3 307.393 4.356 20 0 DIADHN c1cnc2cccc(CN3CCOC[C@H]3C3CCCCC3)c2c1 ZINC001137631921 1045912761 /nfs/dbraw/zinc/91/27/61/1045912761.db2.gz IBFJCTXIBJJSDC-FQEVSTJZSA-N 0 3 310.441 4.016 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000631736233 1045913266 /nfs/dbraw/zinc/91/32/66/1045913266.db2.gz CIIXXNFOFLYFDN-QWRGUYRKSA-N 0 3 302.399 4.315 20 0 DIADHN c1ccc2c(c1)CN(Cc1cccc3ncccc13)CCS2 ZINC001137632248 1045914647 /nfs/dbraw/zinc/91/46/47/1045914647.db2.gz XAOYDEHMJJZYOQ-UHFFFAOYSA-N 0 3 306.434 4.343 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)cs1 ZINC000631737476 1045915035 /nfs/dbraw/zinc/91/50/35/1045915035.db2.gz PODQWXDMBRSQGD-GHMZBOCLSA-N 0 3 302.399 4.315 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccc(F)c1 ZINC000631737300 1045916113 /nfs/dbraw/zinc/91/61/13/1045916113.db2.gz LJJASGZNVNHHSI-NHYWBVRUSA-N 0 3 314.360 4.474 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2C[C@H]2C(F)(F)F)ccc1SC ZINC000631757496 1045917613 /nfs/dbraw/zinc/91/76/13/1045917613.db2.gz ZXHHGTMJINHCPA-FBIMIBRVSA-N 0 3 305.365 4.019 20 0 DIADHN C[C@H]1C[C@H](NCC2(c3ccc(F)cc3)CCCC2)c2nccn21 ZINC000453072538 1045918021 /nfs/dbraw/zinc/91/80/21/1045918021.db2.gz YTGUNFBNXZKKBQ-YOEHRIQHSA-N 0 3 313.420 4.130 20 0 DIADHN CCOC[C@@]12CCC[C@@H]1CN(Cc1ccc(Cl)c(F)c1)C2 ZINC001137650653 1045918389 /nfs/dbraw/zinc/91/83/89/1045918389.db2.gz MREQLOPVODVXPU-PBHICJAKSA-N 0 3 311.828 4.118 20 0 DIADHN C[C@@H]1C[C@@H](NCCc2cccc(Cl)c2Cl)c2nccn21 ZINC000453072997 1045918799 /nfs/dbraw/zinc/91/87/99/1045918799.db2.gz AGYGLOLCWCUBIA-ZWNOBZJWSA-N 0 3 310.228 4.028 20 0 DIADHN COc1cccc(CN[C@H]2CC[C@H](C)c3ccsc32)c1OC ZINC000453054611 1045920520 /nfs/dbraw/zinc/92/05/20/1045920520.db2.gz BAQHSZVNNIAXGY-WFASDCNBSA-N 0 3 317.454 4.494 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(Cl)c(F)c3)C2)cc1 ZINC001137654479 1045921370 /nfs/dbraw/zinc/92/13/70/1045921370.db2.gz UBPIPAZEGOVRGR-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@@H](CCCc1cccnc1)N[C@@H](C)c1c(F)cccc1F ZINC000453062722 1045922890 /nfs/dbraw/zinc/92/28/90/1045922890.db2.gz POYIMQVTSNJBHH-KBPBESRZSA-N 0 3 304.384 4.422 20 0 DIADHN Cc1cc2c(cc1C)[C@H](N[C@H]1CCCn3nccc31)CCS2 ZINC000631810593 1045923616 /nfs/dbraw/zinc/92/36/16/1045923616.db2.gz WPRKDLAKIVUVQU-CVEARBPZSA-N 0 3 313.470 4.162 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](N[C@H]1CCCn3nccc31)CCS2 ZINC000631810594 1045924045 /nfs/dbraw/zinc/92/40/45/1045924045.db2.gz WPRKDLAKIVUVQU-HOTGVXAUSA-N 0 3 313.470 4.162 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1cc(-c2ccccc2)nn1C ZINC000453074758 1045924986 /nfs/dbraw/zinc/92/49/86/1045924986.db2.gz ONTKXEGEOQWEAD-DNVCBOLYSA-N 0 3 317.436 4.425 20 0 DIADHN Fc1cccc(F)c1CN(C[C@@H]1CCCOC1)C1CCCC1 ZINC000531760348 1045925382 /nfs/dbraw/zinc/92/53/82/1045925382.db2.gz LZSREWKORGJACP-AWEZNQCLSA-N 0 3 309.400 4.136 20 0 DIADHN Cc1cccc(C2(CN[C@@H]3C[C@H](C)n4ccnc43)CCCC2)c1 ZINC000453098553 1045925751 /nfs/dbraw/zinc/92/57/51/1045925751.db2.gz VLCPOBVADBKTEF-FUHWJXTLSA-N 0 3 309.457 4.299 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccncc1 ZINC000453079652 1045927881 /nfs/dbraw/zinc/92/78/81/1045927881.db2.gz SKZZZJIJQJFEFN-KUHUBIRLSA-N 0 3 323.440 4.232 20 0 DIADHN Cc1cccc(CN2CCC3(COc4ccccc43)CC2)c1F ZINC001137678329 1045929080 /nfs/dbraw/zinc/92/90/80/1045929080.db2.gz IUJCKEYRWSEUHA-UHFFFAOYSA-N 0 3 311.400 4.060 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](CC)c2ccncc2)cc1OC ZINC000453086331 1045929969 /nfs/dbraw/zinc/92/99/69/1045929969.db2.gz VGJBKGLFOYSUSJ-PBHICJAKSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@]1(C)CC1(Cl)Cl ZINC000828755934 1045930418 /nfs/dbraw/zinc/93/04/18/1045930418.db2.gz STJUGCDYHQZHRE-UTLUCORTSA-N 0 3 304.183 4.233 20 0 DIADHN Cc1cccc(CN2CCC3(Cc4ccccc4O3)CC2)c1F ZINC001137678269 1045930555 /nfs/dbraw/zinc/93/05/55/1045930555.db2.gz FMYKENYIXYOBKN-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccncn1)c1c(Cl)cccc1Cl ZINC000453096188 1045933368 /nfs/dbraw/zinc/93/33/68/1045933368.db2.gz POLANMYKKWCPOZ-MNOVXSKESA-N 0 3 310.228 4.238 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(Cn3ccnc3)c2)cs1 ZINC000453095997 1045934616 /nfs/dbraw/zinc/93/46/16/1045934616.db2.gz VOEANPOCFKTRSZ-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN C[C@H](OC(=O)C(C)(C)N(C)C1CCCC1)c1cccc(F)c1 ZINC000754868918 1045936236 /nfs/dbraw/zinc/93/62/36/1045936236.db2.gz MRHUDFJQVSTVDO-ZDUSSCGKSA-N 0 3 307.409 4.083 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(Cn3ccnc3)cc2)cs1 ZINC000453101759 1045936681 /nfs/dbraw/zinc/93/66/81/1045936681.db2.gz ZHEFGKMWYGRMOP-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN CSC[C@H](C)N[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453105380 1045937548 /nfs/dbraw/zinc/93/75/48/1045937548.db2.gz BLEVIKPPBWTTJN-KBPBESRZSA-N 0 3 302.443 4.276 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(C)(C)c1ccc(F)cc1Cl ZINC000453110240 1045939279 /nfs/dbraw/zinc/93/92/79/1045939279.db2.gz DDSCFSSFJHMUQA-LBPRGKRZSA-N 0 3 323.843 4.324 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(F)c(CN(C)C)c2)cs1 ZINC000453114834 1045941950 /nfs/dbraw/zinc/94/19/50/1045941950.db2.gz HIHLPBVSVCLHQT-ZDUSSCGKSA-N 0 3 306.450 4.108 20 0 DIADHN C[C@@H]1C[C@H](NCC2(c3cccs3)CCCCC2)c2nccn21 ZINC000453112597 1045942421 /nfs/dbraw/zinc/94/24/21/1045942421.db2.gz QXKNLQJOHMMEOT-CABCVRRESA-N 0 3 315.486 4.442 20 0 DIADHN COCc1ccc([C@H]2CCCN2C[C@@]2(C)CC2(Cl)Cl)o1 ZINC000828911727 1045944029 /nfs/dbraw/zinc/94/40/29/1045944029.db2.gz REXQTNFGDDENES-TZMCWYRMSA-N 0 3 318.244 4.147 20 0 DIADHN COc1cc(CN[C@H](C)c2csc(C)c2)c2ccccc2n1 ZINC000453125445 1045947170 /nfs/dbraw/zinc/94/71/70/1045947170.db2.gz VSTXUTDQDRXDAW-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN CC[C@@H](N[C@@H](CCC(=O)OC)c1ccccc1)c1ccsc1 ZINC000453151047 1045951405 /nfs/dbraw/zinc/95/14/05/1045951405.db2.gz AGNGPFABORGDDV-SJORKVTESA-N 0 3 317.454 4.483 20 0 DIADHN C[C@H]1C[C@H](NCCc2cccc(-c3ccccc3)c2)c2nccn21 ZINC000453139747 1045957935 /nfs/dbraw/zinc/95/79/35/1045957935.db2.gz JQVPQRJAKYRVDS-JXFKEZNVSA-N 0 3 317.436 4.388 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1Cc1ccc(-n2cccn2)cc1 ZINC001137759392 1045958950 /nfs/dbraw/zinc/95/89/50/1045958950.db2.gz QIZHEUGLZIYTPA-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN CC(C)c1csc(CN[C@@H](C)CCCc2cccnc2)n1 ZINC000453152815 1045959897 /nfs/dbraw/zinc/95/98/97/1045959897.db2.gz VCFLLTFIIHJGAW-AWEZNQCLSA-N 0 3 303.475 4.163 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(C)(C)c1c(F)cccc1Cl ZINC000453157004 1045960776 /nfs/dbraw/zinc/96/07/76/1045960776.db2.gz XBYCAWFGPBJIMD-LBPRGKRZSA-N 0 3 323.843 4.324 20 0 DIADHN Cc1ccnc(C2CCN([C@H](C)c3ccc(Cl)cc3)CC2)n1 ZINC000755219527 1045964406 /nfs/dbraw/zinc/96/44/06/1045964406.db2.gz YGMRRCMGODONTJ-CQSZACIVSA-N 0 3 315.848 4.379 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1ccncn1 ZINC000453167954 1045965134 /nfs/dbraw/zinc/96/51/34/1045965134.db2.gz JKUJQOUYKQGFPM-JKSUJKDBSA-N 0 3 315.486 4.315 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@@H]2CCC(C)(C)c3ccccc32)c2nccn21 ZINC000453170285 1045965702 /nfs/dbraw/zinc/96/57/02/1045965702.db2.gz VCBPHRKQTJJMKW-RVKKMQEKSA-N 0 3 309.457 4.334 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1cc2c(C)nn(C)c2nc1Cl ZINC000755245264 1045965942 /nfs/dbraw/zinc/96/59/42/1045965942.db2.gz NXWINOXCAQSAHO-CQSZACIVSA-N 0 3 320.868 4.085 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](C)c2csc(C)c2)c2ccccc21 ZINC000453184932 1045971410 /nfs/dbraw/zinc/97/14/10/1045971410.db2.gz UXGLTCVHTZCXHM-DYVFJYSZSA-N 0 3 314.454 4.205 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453192911 1045976186 /nfs/dbraw/zinc/97/61/86/1045976186.db2.gz DBNBEFAKCXKZJK-HNNXBMFYSA-N 0 3 314.429 4.340 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@@H](c2cccnc2)C1 ZINC000829500782 1045981268 /nfs/dbraw/zinc/98/12/68/1045981268.db2.gz QYLSRJDPXLIAFB-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1cc(CCN[C@@H](C)c2ccc(Oc3ccccc3)cn2)on1 ZINC000453217886 1045989837 /nfs/dbraw/zinc/98/98/37/1045989837.db2.gz VASBSTATRLRELD-HNNXBMFYSA-N 0 3 323.396 4.064 20 0 DIADHN C[C@H]1CC[C@H](NCc2c3c(nn2C)CCCC3)c2sccc21 ZINC000453231049 1045997262 /nfs/dbraw/zinc/99/72/62/1045997262.db2.gz GOKIEFDDCQRGTM-LRDDRELGSA-N 0 3 315.486 4.089 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cs1 ZINC000453238345 1045998451 /nfs/dbraw/zinc/99/84/51/1045998451.db2.gz WJLZYZICYSESTG-DYVFJYSZSA-N 0 3 306.450 4.149 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccncc1 ZINC000453250540 1046003032 /nfs/dbraw/zinc/00/30/32/1046003032.db2.gz ZLVXCXGCVLDKJU-KDOFPFPSSA-N 0 3 324.403 4.208 20 0 DIADHN COc1ccc(N(C)c2ccc(CN3CC4(CCC4)C3)cc2)cc1 ZINC001137912940 1046012114 /nfs/dbraw/zinc/01/21/14/1046012114.db2.gz IVQFUPDRQJXNRR-UHFFFAOYSA-N 0 3 322.452 4.449 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccnc(C)c1)CCCS2 ZINC000453278353 1046012993 /nfs/dbraw/zinc/01/29/93/1046012993.db2.gz CTDFLLUZDWESOH-KRWDZBQOSA-N 0 3 314.454 4.115 20 0 DIADHN Cc1cccc2c1CCN(Cc1ccc(-c3cnn(C)c3)s1)C2 ZINC000802639519 1046021180 /nfs/dbraw/zinc/02/11/80/1046021180.db2.gz CBCUQUGVHWVHJB-UHFFFAOYSA-N 0 3 323.465 4.015 20 0 DIADHN CCNc1ccccc1CNCc1ccc(CSC)cc1 ZINC000902562501 1046021900 /nfs/dbraw/zinc/02/19/00/1046021900.db2.gz HCJKTVDMOOIFPH-UHFFFAOYSA-N 0 3 300.471 4.271 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cc(Cl)cc3Cl)ccn1 ZINC000453301996 1046025166 /nfs/dbraw/zinc/02/51/66/1046025166.db2.gz ZSHUJTOIEBOIBE-MRXNPFEDSA-N 0 3 307.224 4.474 20 0 DIADHN CC[C@@](C)(CN[C@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453305233 1046027255 /nfs/dbraw/zinc/02/72/55/1046027255.db2.gz QBVRJGLSWPMCMS-BEFAXECRSA-N 0 3 314.429 4.340 20 0 DIADHN Cc1cccnc1CCCN[C@H](C)c1csc(C(C)C)n1 ZINC000453313851 1046030912 /nfs/dbraw/zinc/03/09/12/1046030912.db2.gz XHPXMBTUQWSZFK-CQSZACIVSA-N 0 3 303.475 4.253 20 0 DIADHN CC(C)O[C@@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137956402 1046033690 /nfs/dbraw/zinc/03/36/90/1046033690.db2.gz MGBBTGDKSKBYKC-CYBMUJFWSA-N 0 3 319.392 4.298 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](O)c3cccc(F)c3)oc2c1 ZINC000756033117 1046040503 /nfs/dbraw/zinc/04/05/03/1046040503.db2.gz UYXQOSBVDWXRFU-GUYCJALGSA-N 0 3 313.372 4.265 20 0 DIADHN COCC(COC)N[C@H](C)c1ccc(SC2CCCC2)cc1 ZINC000453335132 1046041511 /nfs/dbraw/zinc/04/15/11/1046041511.db2.gz KDNDDJHVPCGIJD-CQSZACIVSA-N 0 3 323.502 4.033 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1C[NH2+][C@H](c1cccs1)c1nnc[n-]1 ZINC000453339390 1046042214 /nfs/dbraw/zinc/04/22/14/1046042214.db2.gz BKYWFSJAOVPZSJ-IJEWVQPXSA-N 0 3 318.490 4.152 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC001137976209 1046042463 /nfs/dbraw/zinc/04/24/63/1046042463.db2.gz HRCIEPOVQXVLLL-MRXNPFEDSA-N 0 3 318.848 4.279 20 0 DIADHN CC(C)N(CCCCCO)Cc1cc2ccccc2nc1Cl ZINC001137979890 1046044667 /nfs/dbraw/zinc/04/46/67/1046044667.db2.gz RSWFYYGCZJJOJY-UHFFFAOYSA-N 0 3 320.864 4.261 20 0 DIADHN CCC1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)COC1 ZINC000453344162 1046047515 /nfs/dbraw/zinc/04/75/15/1046047515.db2.gz DBDIUERGQPLGNG-SNVBAGLBSA-N 0 3 320.285 4.449 20 0 DIADHN C[C@H](NC1(Cc2cccc(Cl)c2)CC1)c1ccncc1F ZINC000453345356 1046047844 /nfs/dbraw/zinc/04/78/44/1046047844.db2.gz FFSRTQUFSMVGDA-LBPRGKRZSA-N 0 3 304.796 4.300 20 0 DIADHN C[C@@H](c1cnn(C2CCCC2)c1)N1CCC[C@@]2(CCSC2)C1 ZINC000453346748 1046048407 /nfs/dbraw/zinc/04/84/07/1046048407.db2.gz GBCKGPSVWPODAY-MAUKXSAKSA-N 0 3 319.518 4.278 20 0 DIADHN CCO[C@@H]1CCC[C@@H](N[C@H](c2ccccc2)c2ccccn2)C1 ZINC000902725230 1046051639 /nfs/dbraw/zinc/05/16/39/1046051639.db2.gz SASZMMOAPMTGOL-QWFCFKBJSA-N 0 3 310.441 4.108 20 0 DIADHN Cc1cc(C)c(CN[C@@H]2CCCOc3cc(C)c(C)cc32)cn1 ZINC000453349125 1046052590 /nfs/dbraw/zinc/05/25/90/1046052590.db2.gz HIHQWCIFNOJNCL-LJQANCHMSA-N 0 3 310.441 4.319 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3c(Cl)ccc(C)c3F)C[C@@H]21 ZINC001138033173 1046066370 /nfs/dbraw/zinc/06/63/70/1046066370.db2.gz HNWMDGOUVLTFEG-DVOMOZLQSA-N 0 3 311.828 4.034 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCCc3c(F)cccc32)cc1 ZINC000830418977 1046068076 /nfs/dbraw/zinc/06/80/76/1046068076.db2.gz OHIATPNHZJLDHS-IBGZPJMESA-N 0 3 313.416 4.220 20 0 DIADHN C[C@H]1C[C@H](NCc2c(F)cccc2-n2cccn2)c2ccccc21 ZINC000453371804 1046071536 /nfs/dbraw/zinc/07/15/36/1046071536.db2.gz UYRILIFPDIKXIM-LIRRHRJNSA-N 0 3 321.399 4.350 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H]1COc2ccccc2C1)c1nc(C)cs1 ZINC000902796682 1046072311 /nfs/dbraw/zinc/07/23/11/1046072311.db2.gz SYHRYCSMYYCRTR-BPUTZDHNSA-N 0 3 316.470 4.132 20 0 DIADHN COc1cccc2c1CN(Cc1ccc(O)c3ccccc13)CC2 ZINC001138054247 1046076875 /nfs/dbraw/zinc/07/68/75/1046076875.db2.gz BQJDHHMZBVSFGV-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1ncc(Br)s1 ZINC000453402536 1046077656 /nfs/dbraw/zinc/07/76/56/1046077656.db2.gz AGEKNFVBAQLXPG-PELKAZGASA-N 0 3 315.280 4.135 20 0 DIADHN c1ccc([C@@H](NCC2(C3CCC3)CCC2)c2cncnc2)cc1 ZINC000453403424 1046078575 /nfs/dbraw/zinc/07/85/75/1046078575.db2.gz QPLBSTZHTKFBAW-LJQANCHMSA-N 0 3 307.441 4.126 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453417211 1046081625 /nfs/dbraw/zinc/08/16/25/1046081625.db2.gz ZXGNWVHQVNCRNK-UOJCXKCYSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453417212 1046082065 /nfs/dbraw/zinc/08/20/65/1046082065.db2.gz ZXGNWVHQVNCRNK-WNOSKKOXSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](C)O1)c1ccc(Oc2ccccc2)cn1 ZINC000453416565 1046082790 /nfs/dbraw/zinc/08/27/90/1046082790.db2.gz UUKYNGSSZXVOJU-HLLBOEOZSA-N 0 3 312.413 4.092 20 0 DIADHN CSCCN(C)Cc1cccc(OCc2ccccc2)c1 ZINC001138079877 1046085061 /nfs/dbraw/zinc/08/50/61/1046085061.db2.gz DQOYWCLJJYFQTE-UHFFFAOYSA-N 0 3 301.455 4.060 20 0 DIADHN C[C@H](NCCSC(C)(C)C)c1ccc(C(F)(F)F)cn1 ZINC000902855197 1046086876 /nfs/dbraw/zinc/08/68/76/1046086876.db2.gz VNPIHFVAOPMGNN-JTQLQIEISA-N 0 3 306.397 4.283 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H](Oc3ccccc3)C2)c1 ZINC001138100518 1046088834 /nfs/dbraw/zinc/08/88/34/1046088834.db2.gz VNQIOLKFHOIGEL-HXUWFJFHSA-N 0 3 311.425 4.129 20 0 DIADHN CCc1cnc(CN(CCc2cccc(F)c2)C2CCCC2)o1 ZINC000532059323 1046090650 /nfs/dbraw/zinc/09/06/50/1046090650.db2.gz VUJRTJUJASHQOR-UHFFFAOYSA-N 0 3 316.420 4.363 20 0 DIADHN O[C@@H](CN1CC2(CC=CC2)[C@@H]1c1ccccc1)c1ccccc1F ZINC000830749816 1046095853 /nfs/dbraw/zinc/09/58/53/1046095853.db2.gz PYQPPPQOJADNLS-PMACEKPBSA-N 0 3 323.411 4.252 20 0 DIADHN OCC1([C@H](NCc2ccsc2)c2ccccc2)CCCC1 ZINC000453625750 1046096172 /nfs/dbraw/zinc/09/61/72/1046096172.db2.gz ZEBPZFSBLIRJNU-QGZVFWFLSA-N 0 3 301.455 4.132 20 0 DIADHN CCC(C)(C)OCCNCc1cnc(-c2ccsc2)s1 ZINC000453610810 1046098168 /nfs/dbraw/zinc/09/81/68/1046098168.db2.gz GKQLNTSDDUANIA-UHFFFAOYSA-N 0 3 310.488 4.166 20 0 DIADHN Cc1nn(C)c(C)c1CN1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453610652 1046098452 /nfs/dbraw/zinc/09/84/52/1046098452.db2.gz KCWSIJFCGCWLBD-LJQANCHMSA-N 0 3 309.457 4.154 20 0 DIADHN c1cnc2ccc(CN3CCOC[C@H]3C3CCCCC3)cc2c1 ZINC001138113489 1046099615 /nfs/dbraw/zinc/09/96/15/1046099615.db2.gz DBFOJNVNMGLZHG-FQEVSTJZSA-N 0 3 310.441 4.016 20 0 DIADHN CC(C)CN(Cc1cnn(C)c1)Cc1ccc(C(F)F)cc1 ZINC000453614176 1046101196 /nfs/dbraw/zinc/10/11/96/1046101196.db2.gz OZBBFGHLSMPXML-UHFFFAOYSA-N 0 3 307.388 4.016 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H](C)c1cc2c(s1)CCCC2 ZINC000532248381 1046120724 /nfs/dbraw/zinc/12/07/24/1046120724.db2.gz SFKSWRQSWZPJSP-WMLDXEAASA-N 0 3 315.482 4.320 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1ccc(C(F)(F)F)cn1 ZINC000902947221 1046122896 /nfs/dbraw/zinc/12/28/96/1046122896.db2.gz FIKPPOUOWWXDHC-JQWIXIFHSA-N 0 3 304.381 4.037 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@@H](C)c1cncc(F)c1 ZINC000902947851 1046123526 /nfs/dbraw/zinc/12/35/26/1046123526.db2.gz NBMMWFNBADSLNR-JMERFSKESA-N 0 3 314.404 4.204 20 0 DIADHN Clc1cc2c(cc1CN1CC[C@@H](c3ccccc3)C1)OCO2 ZINC001138239579 1046124891 /nfs/dbraw/zinc/12/48/91/1046124891.db2.gz IOCGAKRXNWVRTH-CQSZACIVSA-N 0 3 315.800 4.058 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCO[C@@H]2CCCC[C@H]2C)n1 ZINC000532244017 1046125281 /nfs/dbraw/zinc/12/52/81/1046125281.db2.gz TVNUNEQNVLPZCO-AYOQOUSVSA-N 0 3 302.462 4.122 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)c2ccccc2Cl)C2CCC2)o1 ZINC000756741894 1046126434 /nfs/dbraw/zinc/12/64/34/1046126434.db2.gz YOKWNCGYSNHGSR-WMZOPIPTSA-N 0 3 319.832 4.406 20 0 DIADHN Cc1ncccc1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC000237616983 1046128884 /nfs/dbraw/zinc/12/88/84/1046128884.db2.gz CPSHRABXWWBLFT-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(OC)c(OC)c2)c1 ZINC000193899464 1046135344 /nfs/dbraw/zinc/13/53/44/1046135344.db2.gz VAYJYSULBQLQEI-KBPBESRZSA-N 0 3 315.413 4.124 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2COC3(CCCCC3)O2)C2CCC2)o1 ZINC000756791089 1046135443 /nfs/dbraw/zinc/13/54/43/1046135443.db2.gz QMDIVKXIISGCCK-AEFFLSMTSA-N 0 3 319.445 4.095 20 0 DIADHN CCO[C@@H]1CCC[C@@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000902976087 1046135916 /nfs/dbraw/zinc/13/59/16/1046135916.db2.gz MNHRVDLIJFLGHC-HBNTYKKESA-N 0 3 322.396 4.160 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000083411402 1046138358 /nfs/dbraw/zinc/13/83/58/1046138358.db2.gz JWKWOAPPPFGPOS-KSSFIOAISA-N 0 3 323.864 4.061 20 0 DIADHN CCOC1(CN[C@@H](C)c2ccc(C(F)(F)F)cn2)CCCC1 ZINC000903001628 1046139833 /nfs/dbraw/zinc/13/98/33/1046139833.db2.gz WFTVRVHQTXJAGV-LBPRGKRZSA-N 0 3 316.367 4.100 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1cccc2nccnc12 ZINC001138304799 1046141707 /nfs/dbraw/zinc/14/17/07/1046141707.db2.gz QGXHRBXSNQIMAI-IBGZPJMESA-N 0 3 321.399 4.496 20 0 DIADHN Fc1cccc([C@H]2CCCN2Cc2cccc3nccnc23)c1 ZINC001138305024 1046141911 /nfs/dbraw/zinc/14/19/11/1046141911.db2.gz VYURGIQDPDDWNP-GOSISDBHSA-N 0 3 307.372 4.106 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1N[C@@H](C)c1ccc(C(F)(F)F)cn1 ZINC000903017432 1046142990 /nfs/dbraw/zinc/14/29/90/1046142990.db2.gz SYFUZEYSKILDCI-NILFDRSVSA-N 0 3 316.367 4.099 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](OC(C)(C)C)C1)c1ccc(C(F)(F)F)cn1 ZINC000903037617 1046144372 /nfs/dbraw/zinc/14/43/72/1046144372.db2.gz MVJVWEPNFVSMSL-RTXFEEFZSA-N 0 3 316.367 4.097 20 0 DIADHN Brc1ccc2[nH]cc(CN3CCC4(CC4)CC3)c2c1 ZINC001138317295 1046151913 /nfs/dbraw/zinc/15/19/13/1046151913.db2.gz OOWRSPGDSBULOQ-UHFFFAOYSA-N 0 3 319.246 4.306 20 0 DIADHN Cc1cccc(CN[C@H](c2ccccc2F)C2CCOCC2)c1 ZINC000903062714 1046152426 /nfs/dbraw/zinc/15/24/26/1046152426.db2.gz WFCCKEVBMDGZLF-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN Fc1cccc(CN[C@H](c2ccccc2F)C2CCOCC2)c1 ZINC000903062691 1046153506 /nfs/dbraw/zinc/15/35/06/1046153506.db2.gz VRHDRKAGUZEBAP-IBGZPJMESA-N 0 3 317.379 4.222 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1cnn(CC2CCC2)c1 ZINC000903062444 1046153522 /nfs/dbraw/zinc/15/35/22/1046153522.db2.gz RTWHPTPRQGGPDO-FOIQADDNSA-N 0 3 309.457 4.485 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1N[C@H](CCc1cccnc1)c1ccccc1 ZINC000903080289 1046158601 /nfs/dbraw/zinc/15/86/01/1046158601.db2.gz JDEKYAOCFFMAFC-BRWVUGGUSA-N 0 3 320.358 4.296 20 0 DIADHN CC(=O)OCc1cccc([C@H](C)NCc2ccc(Cl)s2)c1 ZINC000903079909 1046159260 /nfs/dbraw/zinc/15/92/60/1046159260.db2.gz DLORPVWIQRBGFC-NSHDSACASA-N 0 3 323.845 4.315 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H]1CCCc2cn[nH]c21 ZINC000903089701 1046160443 /nfs/dbraw/zinc/16/04/43/1046160443.db2.gz ZMANNZIKCXYROC-OAHLLOKOSA-N 0 3 319.836 4.018 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CC[C@H](Oc2ccccc2C)C1 ZINC001138399462 1046165809 /nfs/dbraw/zinc/16/58/09/1046165809.db2.gz FFPXNBVJHHRYJQ-KRWDZBQOSA-N 0 3 320.436 4.438 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138407652 1046167249 /nfs/dbraw/zinc/16/72/49/1046167249.db2.gz ATTZZMLGNMOYRR-MRXNPFEDSA-N 0 3 319.449 4.049 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2nc3cc(F)ccc3o2)CCO1 ZINC000634974661 1046167568 /nfs/dbraw/zinc/16/75/68/1046167568.db2.gz HOEVNKFCYBHUCS-YOEHRIQHSA-N 0 3 320.408 4.040 20 0 DIADHN CCCN(CCC)Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138402201 1046167541 /nfs/dbraw/zinc/16/75/41/1046167541.db2.gz JPYXAMRKBHKEOQ-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN O[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1cc2ccccc2s1 ZINC000903110528 1046168571 /nfs/dbraw/zinc/16/85/71/1046168571.db2.gz OYZOCABMEUNVBQ-QFBILLFUSA-N 0 3 324.449 4.071 20 0 DIADHN Cc1c2cc(F)ccc2[nH]c1CN1CCC(c2ccccn2)CC1 ZINC001138411942 1046168878 /nfs/dbraw/zinc/16/88/78/1046168878.db2.gz DNIDKHLTFCUWTR-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1cc(NC(=O)[C@@H](C(C)C)N2CCCCC2)ccc1C1CC1 ZINC001138408991 1046169370 /nfs/dbraw/zinc/16/93/70/1046169370.db2.gz CCDZHFVRDSMHNY-LJQANCHMSA-N 0 3 314.473 4.321 20 0 DIADHN CC[C@@H](CNCc1nc2cc(F)ccc2o1)CC(F)(F)F ZINC000634984336 1046169841 /nfs/dbraw/zinc/16/98/41/1046169841.db2.gz PAFPTCNHOAIURL-SECBINFHSA-N 0 3 304.287 4.035 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1cc(F)c(F)cc1F ZINC001168152343 1046169850 /nfs/dbraw/zinc/16/98/50/1046169850.db2.gz QJFFWDBDPZREKX-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](OCc4ccccc4)C3)cc2c1 ZINC001138415815 1046171766 /nfs/dbraw/zinc/17/17/66/1046171766.db2.gz MYYQCFAVQRBIAO-HXUWFJFHSA-N 0 3 320.436 4.267 20 0 DIADHN COc1c(F)cc(CN2CC[C@@H](Cc3ccccc3)C2)cc1F ZINC001138424214 1046175076 /nfs/dbraw/zinc/17/50/76/1046175076.db2.gz PAGUAGGZLRBWQU-HNNXBMFYSA-N 0 3 317.379 4.038 20 0 DIADHN COc1c(F)cc(CN2CCC[C@@H](c3ccccc3)C2)cc1F ZINC001138424240 1046177917 /nfs/dbraw/zinc/17/79/17/1046177917.db2.gz QGPGTIHQJACYMA-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1cnn(CC2CCC2)c1 ZINC000903157367 1046179041 /nfs/dbraw/zinc/17/90/41/1046179041.db2.gz FESNLJFXUVLHPC-DOMZBBRYSA-N 0 3 317.399 4.311 20 0 DIADHN CCOc1ccc(CN(C)CCC(=O)c2ccccc2)c(C)c1 ZINC001138435846 1046179623 /nfs/dbraw/zinc/17/96/23/1046179623.db2.gz QMGRLOIGTFAYCW-UHFFFAOYSA-N 0 3 311.425 4.099 20 0 DIADHN COc1cc(C)c(CN2CCc3ccccc3[C@@H]2C)cc1OC ZINC001138435880 1046180321 /nfs/dbraw/zinc/18/03/21/1046180321.db2.gz PAIHAKPQLLWUQJ-HNNXBMFYSA-N 0 3 311.425 4.132 20 0 DIADHN Cc1csc([C@@H](C)N[C@H]2CCc3cccc(Cl)c3C2)n1 ZINC000666087317 1046181439 /nfs/dbraw/zinc/18/14/39/1046181439.db2.gz CWQGIIUOLCUSFV-YPMHNXCESA-N 0 3 306.862 4.313 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)N[C@@H](C)c2ccsc2)c1 ZINC000903167533 1046182937 /nfs/dbraw/zinc/18/29/37/1046182937.db2.gz ZXXLDVCKGOFRAP-OLZOCXBDSA-N 0 3 303.427 4.223 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2cccc(Cl)c2C1)c1nccs1 ZINC000666091180 1046183946 /nfs/dbraw/zinc/18/39/46/1046183946.db2.gz XCGPIAVLLIIGOT-IUODEOHRSA-N 0 3 306.862 4.395 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1ccc(C)c(F)c1 ZINC001168153131 1046184463 /nfs/dbraw/zinc/18/44/63/1046184463.db2.gz DOYCMNHPUZBNOO-MRXNPFEDSA-N 0 3 306.425 4.117 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)C(=O)C[C@@H]1NCc2ccccc21 ZINC000659274864 1046185360 /nfs/dbraw/zinc/18/53/60/1046185360.db2.gz SOLSSQFATRXMBA-PMACEKPBSA-N 0 3 322.452 4.221 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000475726561 1046185442 /nfs/dbraw/zinc/18/54/42/1046185442.db2.gz KTRPWMUCRGHDFX-OAGGEKHMSA-N 0 3 302.462 4.115 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H]3CCCC[C@H]3C2)cc1 ZINC001138446967 1046185563 /nfs/dbraw/zinc/18/55/63/1046185563.db2.gz JQPFCKXUBRUCFK-AEFFLSMTSA-N 0 3 309.457 4.397 20 0 DIADHN CN(C)c1ccnc(CN[C@H]2CCc3cccc4cccc2c43)c1 ZINC000903197934 1046191494 /nfs/dbraw/zinc/19/14/94/1046191494.db2.gz XGADVCYCITUYDT-FQEVSTJZSA-N 0 3 317.436 4.078 20 0 DIADHN CC[C@@H](NCc1cc(N(C)C)ccn1)c1ccc(Cl)cc1 ZINC000903203101 1046193229 /nfs/dbraw/zinc/19/32/29/1046193229.db2.gz OAUZSQFYJOEUNS-QGZVFWFLSA-N 0 3 303.837 4.042 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccc(OC)c(Cl)c1 ZINC001138476109 1046193733 /nfs/dbraw/zinc/19/37/33/1046193733.db2.gz ZJJLHNATAIZRDL-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc3c(c2)ncn3C)cc1 ZINC000903206430 1046194777 /nfs/dbraw/zinc/19/47/77/1046194777.db2.gz GVJZWDVMRHMJSV-OAHLLOKOSA-N 0 3 323.440 4.211 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccccc3F)C2)cc1Cl ZINC001138473783 1046194869 /nfs/dbraw/zinc/19/48/69/1046194869.db2.gz BXANUYMJSDLKNJ-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001138482691 1046195897 /nfs/dbraw/zinc/19/58/97/1046195897.db2.gz LEVRSFFZONASLD-OAHLLOKOSA-N 0 3 311.828 4.453 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCc1cccc(F)c1 ZINC001138483537 1046197109 /nfs/dbraw/zinc/19/71/09/1046197109.db2.gz KMPUTSRGQWRVOV-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccnc(Cl)c2)cc1C ZINC000903233174 1046201137 /nfs/dbraw/zinc/20/11/37/1046201137.db2.gz CBHJBGNKGMNOJL-CHWSQXEVSA-N 0 3 304.821 4.464 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C(C)C)c2)cc1C ZINC000903235242 1046201630 /nfs/dbraw/zinc/20/16/30/1046201630.db2.gz VXFVRLUWLXAOBD-HUUCEWRRSA-N 0 3 301.434 4.193 20 0 DIADHN O=c1[nH]cc(CN[C@@H]2CCCc3ccc(F)cc32)c2ccccc12 ZINC000903235917 1046202269 /nfs/dbraw/zinc/20/22/69/1046202269.db2.gz QCUKDWSYGGOVDT-LJQANCHMSA-N 0 3 322.383 4.247 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC[C@@H]2CCC[C@H]21 ZINC001138502100 1046202577 /nfs/dbraw/zinc/20/25/77/1046202577.db2.gz IUNPSOWUQLGTJF-PZJWPPBQSA-N 0 3 323.484 4.313 20 0 DIADHN O=c1[nH]cc(CN[C@@H]2CCCc3cc(F)ccc32)c2ccccc12 ZINC000903235962 1046203018 /nfs/dbraw/zinc/20/30/18/1046203018.db2.gz QWLKCNDLHLPULD-LJQANCHMSA-N 0 3 322.383 4.247 20 0 DIADHN O=c1[nH]cc(CN[C@H]2CCCc3ccc(F)cc32)c2ccccc12 ZINC000903235916 1046203048 /nfs/dbraw/zinc/20/30/48/1046203048.db2.gz QCUKDWSYGGOVDT-IBGZPJMESA-N 0 3 322.383 4.247 20 0 DIADHN COCC[C@H](NC/C=C\Cl)c1cccc(C(F)(F)F)c1 ZINC000831857452 1046203711 /nfs/dbraw/zinc/20/37/11/1046203711.db2.gz LEDCYULDLFLDFF-QTEJZOKPSA-N 0 3 307.743 4.125 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c(O)cccc21)c1ccc2c(c1)CCO2 ZINC000903238507 1046203774 /nfs/dbraw/zinc/20/37/74/1046203774.db2.gz NIBKLTSAIGTHBP-ACJLOTCBSA-N 0 3 309.409 4.055 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3c(c2)CCO3)s1 ZINC000903238329 1046204451 /nfs/dbraw/zinc/20/44/51/1046204451.db2.gz HJBBSUCBKJTRLQ-VXGBXAGGSA-N 0 3 316.470 4.361 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)nc1)c1ccc2c(c1)CCO2 ZINC000903238660 1046204663 /nfs/dbraw/zinc/20/46/63/1046204663.db2.gz RSMOZEBSHYVBAL-NEPJUHHUSA-N 0 3 302.805 4.082 20 0 DIADHN COc1ccc2[nH]cc(CN3CCc4ccc(C)cc4C3)c2c1 ZINC001138504084 1046204982 /nfs/dbraw/zinc/20/49/82/1046204982.db2.gz RQOWYUNUDNYIHB-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1ccc2[nH]cc(CN3Cc4ccccc4C[C@@H]3C)c2c1 ZINC001138509966 1046206062 /nfs/dbraw/zinc/20/60/62/1046206062.db2.gz KHRPEZMCWFFICE-AWEZNQCLSA-N 0 3 306.409 4.123 20 0 DIADHN CCCc1ccc(CN2C[C@H]3c4ccccc4C(=O)[C@H]3C2)s1 ZINC001138523542 1046211762 /nfs/dbraw/zinc/21/17/62/1046211762.db2.gz IBQNWHUUTBAWPB-ROUUACIJSA-N 0 3 311.450 4.113 20 0 DIADHN CCCc1ccc(CN2CCC(c3nnc(CC)o3)CC2)s1 ZINC001138527384 1046212048 /nfs/dbraw/zinc/21/20/48/1046212048.db2.gz GEPJSNWWBLCSCK-UHFFFAOYSA-N 0 3 319.474 4.026 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3c2NCCC3)cc1F ZINC000321186353 1046212587 /nfs/dbraw/zinc/21/25/87/1046212587.db2.gz RPAFVRLRJWRHNM-CYBMUJFWSA-N 0 3 314.404 4.043 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCCC[C@@H]2O)c2ccccn2)c1 ZINC000903263004 1046213142 /nfs/dbraw/zinc/21/31/42/1046213142.db2.gz IFDXTFLBURKTAN-YYWHXJBOSA-N 0 3 324.468 4.010 20 0 DIADHN CC[C@]1(C)CCN([C@@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001168163162 1046216635 /nfs/dbraw/zinc/21/66/35/1046216635.db2.gz GJXHAMSDMNQLIG-MGPUTAFESA-N 0 3 310.441 4.289 20 0 DIADHN CC[C@@]1(C)CCN([C@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001168163163 1046216772 /nfs/dbraw/zinc/21/67/72/1046216772.db2.gz GJXHAMSDMNQLIG-QRWLVFNGSA-N 0 3 310.441 4.289 20 0 DIADHN C[C@H](N[C@@H]1CCCC2(CC2)C1)c1nc(Br)cs1 ZINC000903280623 1046220458 /nfs/dbraw/zinc/22/04/58/1046220458.db2.gz WHRBMVRURNHQGN-VHSXEESVSA-N 0 3 315.280 4.279 20 0 DIADHN O[C@@H](CCN(Cc1ccccc1F)C1CCC1)c1ccccc1 ZINC001168164615 1046221087 /nfs/dbraw/zinc/22/10/87/1046221087.db2.gz RFNTXYKYPQQMMW-FQEVSTJZSA-N 0 3 313.416 4.304 20 0 DIADHN CC[C@H](NCc1cccc2c1NCCC2)c1ccc(OC)cc1 ZINC000321249785 1046222845 /nfs/dbraw/zinc/22/28/45/1046222845.db2.gz JYMXELBCWZXDQA-IBGZPJMESA-N 0 3 310.441 4.294 20 0 DIADHN CC1(C)C[C@@H]1CNCc1oc2ccccc2c1Br ZINC001308260618 1046223487 /nfs/dbraw/zinc/22/34/87/1046223487.db2.gz LMEIICMGHGPFJJ-SNVBAGLBSA-N 0 3 308.219 4.331 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1cc(F)cnc1Cl ZINC001168165183 1046223799 /nfs/dbraw/zinc/22/37/99/1046223799.db2.gz IAVHYGXSBWVLNO-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)N[C@H](CO)c1ccccc1Cl ZINC001168165814 1046223975 /nfs/dbraw/zinc/22/39/75/1046223975.db2.gz JKTQPHZILFYBIH-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)CC[C@@H](C)N[C@@H](CO)c1ccc(Cl)cc1Cl ZINC001256355659 1046224468 /nfs/dbraw/zinc/22/44/68/1046224468.db2.gz ARZNMGQRIKAENY-ABAIWWIYSA-N 0 3 304.261 4.441 20 0 DIADHN C[C@@H](N[C@H](C)c1ccncc1)c1ccc(Br)s1 ZINC000038001865 1046226901 /nfs/dbraw/zinc/22/69/01/1046226901.db2.gz DJJSPPWXWWFSOQ-NXEZZACHSA-N 0 3 311.248 4.317 20 0 DIADHN Cc1ccsc1[C@H](C)NC1(c2ccc3c(c2)OCCO3)CC1 ZINC000903293607 1046227175 /nfs/dbraw/zinc/22/71/75/1046227175.db2.gz DQIFUCISZJRHNV-ZDUSSCGKSA-N 0 3 315.438 4.168 20 0 DIADHN Cc1cc(CN2CC[C@@H](Oc3cc(F)ccc3F)C2)c(C)s1 ZINC001138568265 1046229231 /nfs/dbraw/zinc/22/92/31/1046229231.db2.gz VXAQNOSRHIUGET-OAHLLOKOSA-N 0 3 323.408 4.296 20 0 DIADHN COc1cc(CN2CCC3(CC(F)C3)CC2)ccc1OC(C)C ZINC001138572466 1046231626 /nfs/dbraw/zinc/23/16/26/1046231626.db2.gz SZAOJURYYSLNDZ-UHFFFAOYSA-N 0 3 321.436 4.197 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@@H](C)c1cncs1 ZINC000903305595 1046232297 /nfs/dbraw/zinc/23/22/97/1046232297.db2.gz OBAUFTDEKLTMRE-MQIPJXDCSA-N 0 3 302.443 4.126 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1c(C)cc(CO)cc1C ZINC000903308124 1046232747 /nfs/dbraw/zinc/23/27/47/1046232747.db2.gz NNIGLIWOYFJNTM-INIZCTEOSA-N 0 3 313.441 4.045 20 0 DIADHN COc1ccc2c(CN3CCc4c(C)cccc4C3)c[nH]c2c1 ZINC001138586323 1046233170 /nfs/dbraw/zinc/23/31/70/1046233170.db2.gz IBGOULHJGAFSIV-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](CC(C)C)c1ccccn1 ZINC000903306666 1046233283 /nfs/dbraw/zinc/23/32/83/1046233283.db2.gz AGNQJPMRSWUSQR-FQEVSTJZSA-N 0 3 312.457 4.068 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](c1ncccn1)C1CC1 ZINC000903321559 1046236265 /nfs/dbraw/zinc/23/62/65/1046236265.db2.gz AALXOQUWHRKKTP-GDZNZVCISA-N 0 3 315.848 4.363 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)N[C@H](c1ncccn1)C1CC1 ZINC000903323073 1046236750 /nfs/dbraw/zinc/23/67/50/1046236750.db2.gz AFNZVBDGJOAFCC-QAPCUYQASA-N 0 3 309.457 4.274 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](c1ncccn1)C1CC1 ZINC000903321558 1046237256 /nfs/dbraw/zinc/23/72/56/1046237256.db2.gz AALXOQUWHRKKTP-DCGLDWPTSA-N 0 3 315.848 4.363 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](N[C@H](c2ncccn2)C2CC2)C1 ZINC000903326948 1046239088 /nfs/dbraw/zinc/23/90/88/1046239088.db2.gz NYSIWOUVDSDJFG-HBFSDRIKSA-N 0 3 307.441 4.028 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(Cl)c(C)c2)cc1 ZINC000758018645 1046239237 /nfs/dbraw/zinc/23/92/37/1046239237.db2.gz YHZKCRNBRZHDDR-UHFFFAOYSA-N 0 3 319.832 4.311 20 0 DIADHN Fc1ccc(C2=CC[C@H](N[C@H](c3ncccn3)C3CC3)CC2)cc1 ZINC000903323754 1046239309 /nfs/dbraw/zinc/23/93/09/1046239309.db2.gz CWBGPGAFHZLXNY-OALUTQOASA-N 0 3 323.415 4.293 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@@H](c1ncccn1)C1CC1 ZINC000903324806 1046240170 /nfs/dbraw/zinc/24/01/70/1046240170.db2.gz RTLIDUPZIIKNGN-DLBZAZTESA-N 0 3 315.848 4.192 20 0 DIADHN COc1ccc(-c2cccc(CN3CCC(C(C)=O)CC3)c2)cc1 ZINC001138614728 1046241077 /nfs/dbraw/zinc/24/10/77/1046241077.db2.gz FQAFQSQQJPCFHK-UHFFFAOYSA-N 0 3 323.436 4.163 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2ccccc2N2CCCC2)nc1 ZINC001138612440 1046241603 /nfs/dbraw/zinc/24/16/03/1046241603.db2.gz SBHKIHLSHGKYCG-FQEVSTJZSA-N 0 3 307.441 4.019 20 0 DIADHN CCN(C[C@H](O)c1ccc(C)cc1)[C@H](C)c1nc2ccccc2o1 ZINC000245554273 1046243759 /nfs/dbraw/zinc/24/37/59/1046243759.db2.gz SBJCTGRBNWEWAT-QAPCUYQASA-N 0 3 324.424 4.253 20 0 DIADHN Cc1cc([C@H]2CCCN2[C@@H](C)Cc2ccc(Cl)cc2)no1 ZINC001168170743 1046245196 /nfs/dbraw/zinc/24/51/96/1046245196.db2.gz PQJZWQMMHWPVBD-YVEFUNNKSA-N 0 3 304.821 4.405 20 0 DIADHN CC[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903334984 1046246382 /nfs/dbraw/zinc/24/63/82/1046246382.db2.gz JKDOUPHLHYXWHY-IEBWSBKVSA-N 0 3 324.424 4.060 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C(F)F)nc2)cc1C ZINC000897414943 1046249188 /nfs/dbraw/zinc/24/91/88/1046249188.db2.gz OQIJPYPYUWIJTL-GFCCVEGCSA-N 0 3 306.356 4.187 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(-n2cccn2)cc1 ZINC000903338195 1046250953 /nfs/dbraw/zinc/25/09/53/1046250953.db2.gz GPXAZPQCTRCITH-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN CC[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCO2 ZINC000903340410 1046253609 /nfs/dbraw/zinc/25/36/09/1046253609.db2.gz QXLCOLBDOPVZDJ-XLIONFOSSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H](NCc1cnc2c(F)cccc2c1)c1ccc2c(c1)CCO2 ZINC000897417976 1046253629 /nfs/dbraw/zinc/25/36/29/1046253629.db2.gz IOSMJXUBJVJGSE-CYBMUJFWSA-N 0 3 322.383 4.160 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC(C)(C)O1)c1ccc(C(F)(F)F)cn1 ZINC000903357451 1046254685 /nfs/dbraw/zinc/25/46/85/1046254685.db2.gz ZEQCCCQRGDQWKY-YPMHNXCESA-N 0 3 316.367 4.099 20 0 DIADHN CCc1nc(CN[C@@](C)(Cc2ccccc2)C2CC2)cs1 ZINC001202850624 1046255766 /nfs/dbraw/zinc/25/57/66/1046255766.db2.gz BJHVDHBATZUQMR-SFHVURJKSA-N 0 3 300.471 4.207 20 0 DIADHN COc1cccc(CN[C@@H]2CCCOc3ccc(C)cc32)c1C ZINC000658354834 1046258231 /nfs/dbraw/zinc/25/82/31/1046258231.db2.gz XJWNUDITCPNLHG-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN c1c(CN2CCC[C@H]2CCC2CCCCC2)nn2c1CCC2 ZINC001168174874 1046259951 /nfs/dbraw/zinc/25/99/51/1046259951.db2.gz JMIKDZIACDFJCV-SFHVURJKSA-N 0 3 301.478 4.154 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)C[C@@H](O)c2ccc(F)cc2)o1 ZINC000245713202 1046262662 /nfs/dbraw/zinc/26/26/62/1046262662.db2.gz UFZQYKKUJCWLMQ-GDZNZVCISA-N 0 3 305.393 4.144 20 0 DIADHN COC[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000666227753 1046263724 /nfs/dbraw/zinc/26/37/24/1046263724.db2.gz IKUUYOVZDNBZCH-XDTLVQLUSA-N 0 3 323.305 4.026 20 0 DIADHN CNc1ncccc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC001138689000 1046271897 /nfs/dbraw/zinc/27/18/97/1046271897.db2.gz ATCMVLIKZMXRRD-UHFFFAOYSA-N 0 3 315.848 4.156 20 0 DIADHN CCC[C@H](NCc1c(C)nc2ccccn21)c1ccc(OC)cc1 ZINC000903420363 1046274022 /nfs/dbraw/zinc/27/40/22/1046274022.db2.gz AOFNLYGDTLIEBI-SFHVURJKSA-N 0 3 323.440 4.282 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000903427771 1046276381 /nfs/dbraw/zinc/27/63/81/1046276381.db2.gz JWWFHDHYTYAGMY-PXAZEXFGSA-N 0 3 318.367 4.485 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2c(c1)CCCO2 ZINC001138718542 1046276770 /nfs/dbraw/zinc/27/67/70/1046276770.db2.gz JTSZWHFDOPSXRU-HNNXBMFYSA-N 0 3 311.425 4.213 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](c2ccncc2)C2CC2)s1 ZINC000903428173 1046278400 /nfs/dbraw/zinc/27/84/00/1046278400.db2.gz MWVCVLWTKGPLBU-LRDDRELGSA-N 0 3 301.459 4.211 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CCC[C@@H]2CCO2)c1 ZINC000637876470 1046281693 /nfs/dbraw/zinc/28/16/93/1046281693.db2.gz SZWNWBNVTSHWLE-CXAGYDPISA-N 0 3 319.495 4.326 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@H](C)c1cccc(F)c1F ZINC000245908119 1046283671 /nfs/dbraw/zinc/28/36/71/1046283671.db2.gz OWKHPWKZXZNNKX-QFSBIZTOSA-N 0 3 323.358 4.267 20 0 DIADHN Cc1ccc([C@@H]2C[C@H]2C(=O)Nc2ccccc2CN(C)C)cc1C ZINC000666271768 1046287417 /nfs/dbraw/zinc/28/74/17/1046287417.db2.gz GLGXTPGKUUAJNV-RBUKOAKNSA-N 0 3 322.452 4.107 20 0 DIADHN Cc1ccc(CN(CCC[C@H]2CCO2)Cc2ccco2)s1 ZINC000637976967 1046289043 /nfs/dbraw/zinc/28/90/43/1046289043.db2.gz NEGUTEKFLXVXFK-HNNXBMFYSA-N 0 3 305.443 4.221 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC2(CCCC2)CC1 ZINC001138765326 1046292180 /nfs/dbraw/zinc/29/21/80/1046292180.db2.gz MLXCFLWKBUPOON-UHFFFAOYSA-N 0 3 309.457 4.088 20 0 DIADHN c1cc2cccc(CN3CCC[C@H](CCc4ccccc4)C3)n2n1 ZINC001138810289 1046298415 /nfs/dbraw/zinc/29/84/15/1046298415.db2.gz RKXGTVXCZCWZGZ-LJQANCHMSA-N 0 3 319.452 4.179 20 0 DIADHN c1cc2cccc(CN3CCC[C@@H](CCc4ccccc4)C3)n2n1 ZINC001138810287 1046299228 /nfs/dbraw/zinc/29/92/28/1046299228.db2.gz RKXGTVXCZCWZGZ-IBGZPJMESA-N 0 3 319.452 4.179 20 0 DIADHN c1cc2cc(CN3CCC4(Cc5ccccc5O4)CC3)ccc2o1 ZINC001138824533 1046300876 /nfs/dbraw/zinc/30/08/76/1046300876.db2.gz CQEKAUQIURZPBD-UHFFFAOYSA-N 0 3 319.404 4.403 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc4occc4c3)CC2)n1 ZINC001138825628 1046302665 /nfs/dbraw/zinc/30/26/65/1046302665.db2.gz DJDMIMCILFJJRR-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H](c1ccco1)N(C[C@@H](O)c1cccc(Cl)c1)C1CC1 ZINC000246085409 1046303367 /nfs/dbraw/zinc/30/33/67/1046303367.db2.gz LUEBVDQGAGAKSW-MLGOLLRUSA-N 0 3 305.805 4.192 20 0 DIADHN Cc1cccc(O[C@H]2CCCN(Cc3ccc4occc4c3)C2)n1 ZINC001138826041 1046305397 /nfs/dbraw/zinc/30/53/97/1046305397.db2.gz NBIKOWMNOIWCQB-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccc2cc(CN3C[C@@H]4CCC[C@@H]4C3)c(Cl)nc2c1 ZINC001138858453 1046310166 /nfs/dbraw/zinc/31/01/66/1046310166.db2.gz HDUIYUBIAWDSIW-GASCZTMLSA-N 0 3 300.833 4.429 20 0 DIADHN CC[C@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293853 1046312302 /nfs/dbraw/zinc/31/23/02/1046312302.db2.gz LZLQNWHQLLWWCH-ZWKOTPCHSA-N 0 3 312.461 4.475 20 0 DIADHN CC[C@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293853 1046312317 /nfs/dbraw/zinc/31/23/17/1046312317.db2.gz LZLQNWHQLLWWCH-ZWKOTPCHSA-N 0 3 312.461 4.475 20 0 DIADHN CCOCc1ccccc1CNCc1cc2ccccc2n1C ZINC000638330051 1046313809 /nfs/dbraw/zinc/31/38/09/1046313809.db2.gz ZOKHIJWZBQBTKC-UHFFFAOYSA-N 0 3 308.425 4.005 20 0 DIADHN O[C@H](CN1CC2(C1)CCCCC2)c1cccc(C(F)(F)F)c1 ZINC000759062179 1046313852 /nfs/dbraw/zinc/31/38/52/1046313852.db2.gz BGZDULWXEJMLLW-OAHLLOKOSA-N 0 3 313.363 4.005 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3ccccc3n2)cc1 ZINC001138866919 1046314987 /nfs/dbraw/zinc/31/49/87/1046314987.db2.gz XTGFWHGQZACFPL-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)CC[C@H](C)N1CCc2ncc(Br)cc2C1 ZINC001256371649 1046319417 /nfs/dbraw/zinc/31/94/17/1046319417.db2.gz SDRWDSAWZAFDSB-LBPRGKRZSA-N 0 3 311.267 4.027 20 0 DIADHN Cc1ccccc1CNCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000237742267 1046320701 /nfs/dbraw/zinc/32/07/01/1046320701.db2.gz VFMZVSNBRCLDRT-UHFFFAOYSA-N 0 3 311.425 4.240 20 0 DIADHN Cn1ccnc1[C@H](N[C@H](c1ccccc1)C1CCCC1)C1CC1 ZINC000638429353 1046321243 /nfs/dbraw/zinc/32/12/43/1046321243.db2.gz JNLJOUPAWSZDJI-RTBURBONSA-N 0 3 309.457 4.392 20 0 DIADHN C[C@H](NCc1nc2cc(Cl)ccc2s1)[C@H]1CC1(F)F ZINC000638443170 1046322609 /nfs/dbraw/zinc/32/26/09/1046322609.db2.gz ISCWNTJYENGDFW-IONNQARKSA-N 0 3 302.777 4.083 20 0 DIADHN Clc1ccc2c(CCNCc3cc(C4CC4)no3)c[nH]c2c1 ZINC000638455230 1046324955 /nfs/dbraw/zinc/32/49/55/1046324955.db2.gz UKOSEVHEPANOFV-UHFFFAOYSA-N 0 3 315.804 4.019 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2Br)c1ccc[nH]1 ZINC000760250627 1046327540 /nfs/dbraw/zinc/32/75/40/1046327540.db2.gz RDABGNHJFPGLBN-BMIGLBTASA-N 0 3 305.219 4.115 20 0 DIADHN CC[C@@H](NC[C@H]1CCN(c2ccccc2)C1)c1nc(C)cs1 ZINC000638579761 1046330625 /nfs/dbraw/zinc/33/06/25/1046330625.db2.gz XELPKZHURDTCQP-NVXWUHKLSA-N 0 3 315.486 4.019 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cnc3[nH]ccc3c1Cl)CC2 ZINC001138934155 1046330727 /nfs/dbraw/zinc/33/07/27/1046330727.db2.gz UIKNISLAHTXOJY-CYBMUJFWSA-N 0 3 321.827 4.272 20 0 DIADHN Cc1cccnc1[C@H](NCc1cc2ccccc2n1C)C(C)C ZINC000638633101 1046333939 /nfs/dbraw/zinc/33/39/39/1046333939.db2.gz WKUIQFSTGHKBHF-LJQANCHMSA-N 0 3 307.441 4.369 20 0 DIADHN CCCCOc1cccc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)c1 ZINC001138952010 1046334893 /nfs/dbraw/zinc/33/48/93/1046334893.db2.gz GIYNQCLAFCEMLV-IYBDPMFKSA-N 0 3 309.400 4.343 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1F)c1cc2ccccc2o1 ZINC000090078592 1046336651 /nfs/dbraw/zinc/33/66/51/1046336651.db2.gz SZQUGMHFMDVPMP-ABAIWWIYSA-N 0 3 317.335 4.095 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CCC2(CCOC2)CC1 ZINC001138958056 1046337908 /nfs/dbraw/zinc/33/79/08/1046337908.db2.gz SOQZTUFSATWPHO-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001138952184 1046338426 /nfs/dbraw/zinc/33/84/26/1046338426.db2.gz MWJNPUASXJRKEX-OLZOCXBDSA-N 0 3 303.837 4.180 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN(C)CCc1cccnc1 ZINC001138958752 1046340893 /nfs/dbraw/zinc/34/08/93/1046340893.db2.gz JDDVDAPSJBZBLO-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](c2nnc[nH]2)C2CCCCC2)cc1F ZINC000638762203 1046340957 /nfs/dbraw/zinc/34/09/57/1046340957.db2.gz BWKVNCBXPIPBOY-SUMWQHHRSA-N 0 3 316.424 4.224 20 0 DIADHN Cc1cc([C@@H](NCc2cccc(F)c2N)C2CC2)ccc1F ZINC000638802734 1046342678 /nfs/dbraw/zinc/34/26/78/1046342678.db2.gz CBKUYEXBVZWUMN-SFHVURJKSA-N 0 3 302.368 4.096 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cc(C2CC2)no1 ZINC000638788110 1046342987 /nfs/dbraw/zinc/34/29/87/1046342987.db2.gz RMFBKJJJHYRWCZ-AWEZNQCLSA-N 0 3 302.443 4.213 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](c1nccn1C)C1CC1 ZINC000638787666 1046343082 /nfs/dbraw/zinc/34/30/82/1046343082.db2.gz JLTWISBCRJXOQR-DOTOQJQBSA-N 0 3 315.486 4.032 20 0 DIADHN CC(C)COc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)cc1 ZINC001138970036 1046343594 /nfs/dbraw/zinc/34/35/94/1046343594.db2.gz VJENWTDDEAILLU-MRXNPFEDSA-N 0 3 315.379 4.291 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Br)[C@H]1CC1(F)F ZINC000666445711 1046346698 /nfs/dbraw/zinc/34/66/98/1046346698.db2.gz XHCKONUJIGZJFV-OIBJUYFYSA-N 0 3 324.596 4.236 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccccc2C)cc1OCC ZINC001138974954 1046347198 /nfs/dbraw/zinc/34/71/98/1046347198.db2.gz VPTUYDHWGLXZIY-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN C[C@@H](NCc1ccccc1OCc1ccccc1)[C@H]1CC1(F)F ZINC000666445173 1046348722 /nfs/dbraw/zinc/34/87/22/1046348722.db2.gz QQITWBQTCBNUEW-RHSMWYFYSA-N 0 3 317.379 4.399 20 0 DIADHN CC(C)Oc1ccc(Br)cc1CN1CC2CC(C2)C1 ZINC001138989807 1046351625 /nfs/dbraw/zinc/35/16/25/1046351625.db2.gz JMDRPUQATXQSSR-UHFFFAOYSA-N 0 3 324.262 4.078 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc(C(F)F)nc3)CC2)cn1 ZINC000638982188 1046352202 /nfs/dbraw/zinc/35/22/02/1046352202.db2.gz OCASQEUIDMSKCL-UHFFFAOYSA-N 0 3 317.383 4.102 20 0 DIADHN Oc1ccc(CNCc2cccc(OCc3ccccc3)c2)cc1 ZINC000061044835 1046353774 /nfs/dbraw/zinc/35/37/74/1046353774.db2.gz CIFJIAWRNXDWOM-UHFFFAOYSA-N 0 3 319.404 4.261 20 0 DIADHN CC(C)CN(C(=O)c1ccc2ccccc2c1)C1CCN(C)CC1 ZINC000053222911 1046355740 /nfs/dbraw/zinc/35/57/40/1046355740.db2.gz JKYLYNFGOMMZDS-UHFFFAOYSA-N 0 3 324.468 4.032 20 0 DIADHN O[C@H](C[C@@H]1CCCCCN1Cc1ccccc1F)c1ccco1 ZINC000759449620 1046356931 /nfs/dbraw/zinc/35/69/31/1046356931.db2.gz PYORFUFZAMARJC-FUHWJXTLSA-N 0 3 317.404 4.287 20 0 DIADHN CN(Cc1ccc(-c2cccs2)s1)C[C@H]1CCCCO1 ZINC001139017873 1046359273 /nfs/dbraw/zinc/35/92/73/1046359273.db2.gz AFQYFBRAFCJNRJ-CYBMUJFWSA-N 0 3 307.484 4.478 20 0 DIADHN CCCO[C@H]1CCN(Cc2ccc(-c3cccs3)s2)C1 ZINC001139019706 1046360785 /nfs/dbraw/zinc/36/07/85/1046360785.db2.gz XENQZHWOZNNBCM-ZDUSSCGKSA-N 0 3 307.484 4.478 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN(C1CC1)C1CCCCC1 ZINC000061163573 1046365592 /nfs/dbraw/zinc/36/55/92/1046365592.db2.gz UNRAIZSVUDEBSZ-UHFFFAOYSA-N 0 3 320.864 4.384 20 0 DIADHN COc1ccccc1-c1ccc(CN2CCC(C(C)=O)CC2)cc1 ZINC001139036717 1046367031 /nfs/dbraw/zinc/36/70/31/1046367031.db2.gz SISUMASHDFGIAY-UHFFFAOYSA-N 0 3 323.436 4.163 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)[C@@H](C)c1cnc(C)nc1C ZINC000639186756 1046368623 /nfs/dbraw/zinc/36/86/23/1046368623.db2.gz FSKYGLNSTMUCJV-IFXJQAMLSA-N 0 3 313.445 4.246 20 0 DIADHN OCC1([C@H](NCc2ccc(CF)cc2)c2cccs2)CCC1 ZINC000832698240 1046371643 /nfs/dbraw/zinc/37/16/43/1046371643.db2.gz PJXIVLFCOWATRP-QGZVFWFLSA-N 0 3 319.445 4.211 20 0 DIADHN OC1(Cc2ccccc2)CCN(Cc2cc3ccccc3o2)CC1 ZINC001139060752 1046372213 /nfs/dbraw/zinc/37/22/13/1046372213.db2.gz XBJWRRMKZGXWKO-UHFFFAOYSA-N 0 3 321.420 4.002 20 0 DIADHN OCC1([C@@H](NCc2ccc(CF)cc2)c2cccs2)CCC1 ZINC000832698236 1046373905 /nfs/dbraw/zinc/37/39/05/1046373905.db2.gz PJXIVLFCOWATRP-KRWDZBQOSA-N 0 3 319.445 4.211 20 0 DIADHN Fc1ccc(-c2cccc(CN3CC4(C3)CCCOC4)c2)cc1 ZINC001139068398 1046375324 /nfs/dbraw/zinc/37/53/24/1046375324.db2.gz RXBSLTCEHZDWAY-UHFFFAOYSA-N 0 3 311.400 4.105 20 0 DIADHN FC(F)(F)Cc1ccc(CN2CCC(c3ccon3)CC2)cc1 ZINC000639329078 1046379055 /nfs/dbraw/zinc/37/90/55/1046379055.db2.gz LIDGOYXEVTZCHB-UHFFFAOYSA-N 0 3 324.346 4.159 20 0 DIADHN C[C@@H](O)[C@@H](NCc1ccccc1CC(F)(F)F)c1ccccc1 ZINC000639334660 1046382624 /nfs/dbraw/zinc/38/26/24/1046382624.db2.gz ILTRFQZUOPASEY-CXAGYDPISA-N 0 3 323.358 4.003 20 0 DIADHN Cc1ccc2cc(CN3CC[C@H](O)C[C@@H]3c3ccccc3)[nH]c2c1 ZINC000639368725 1046384233 /nfs/dbraw/zinc/38/42/33/1046384233.db2.gz YMEHOFQQNIJHHY-PZJWPPBQSA-N 0 3 320.436 4.174 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(Oc2ncccn2)cc1 ZINC001139080311 1046384827 /nfs/dbraw/zinc/38/48/27/1046384827.db2.gz SRJCCYNAHACIOR-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN O[C@H](CN1CCC[C@@H]1/C=C/c1ccccc1)c1cccc(F)c1 ZINC000780400789 1046385570 /nfs/dbraw/zinc/38/55/70/1046385570.db2.gz MECCFESYYCFWQG-XICOBVEKSA-N 0 3 311.400 4.037 20 0 DIADHN O[C@H]1CCN(Cc2cc3ccccc3o2)[C@H](c2ccccc2)C1 ZINC000639367959 1046385838 /nfs/dbraw/zinc/38/58/38/1046385838.db2.gz VLOADEQGBIZDEF-HKUYNNGSSA-N 0 3 307.393 4.131 20 0 DIADHN O[C@H]1CCN(Cc2ccc(C(F)F)cc2)[C@@H](c2ccccc2)C1 ZINC000639365739 1046386141 /nfs/dbraw/zinc/38/61/41/1046386141.db2.gz FXTRYZSSQJLJFE-ZWKOTPCHSA-N 0 3 317.379 4.322 20 0 DIADHN Cc1ncc([C@@H](C)NC[C@@H](OC(C)C)c2ccccc2)s1 ZINC000639383140 1046387202 /nfs/dbraw/zinc/38/72/02/1046387202.db2.gz RPIQLHJKMWIYEZ-CZUORRHYSA-N 0 3 304.459 4.268 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001139102002 1046387680 /nfs/dbraw/zinc/38/76/80/1046387680.db2.gz GHCQFGQLWFVQCD-MRXNPFEDSA-N 0 3 323.362 4.382 20 0 DIADHN COc1ccc(CN(C)CC2CCCCC2)c(Cl)c1OC ZINC001139120760 1046388332 /nfs/dbraw/zinc/38/83/32/1046388332.db2.gz VIKIDSVWMZHSFM-UHFFFAOYSA-N 0 3 311.853 4.369 20 0 DIADHN COc1ccc(CN(C)[C@H]2CC[C@H](C)CC2)c(Cl)c1OC ZINC001139119158 1046389917 /nfs/dbraw/zinc/38/99/17/1046389917.db2.gz FCTBINNHVHPHJM-MQMHXKEQSA-N 0 3 311.853 4.368 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@H](C2CCC2)CC1 ZINC000639391600 1046390029 /nfs/dbraw/zinc/39/00/29/1046390029.db2.gz GQSMHURKEDRRQW-DOTOQJQBSA-N 0 3 320.502 4.397 20 0 DIADHN CC1CCC(NCc2ncc(C(F)(F)F)cc2Cl)CC1 ZINC001168196620 1046392532 /nfs/dbraw/zinc/39/25/32/1046392532.db2.gz HPWZEDUMAUOHAU-UHFFFAOYSA-N 0 3 306.759 4.422 20 0 DIADHN COc1ccc(OC)c(CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC000104699461 1046396439 /nfs/dbraw/zinc/39/64/39/1046396439.db2.gz SIEBRYONNJJYBU-FQEVSTJZSA-N 0 3 311.425 4.335 20 0 DIADHN Clc1ccc(Br)c(CN[C@@H]2C[C@H]2C2CCC2)c1 ZINC001202903606 1046400693 /nfs/dbraw/zinc/40/06/93/1046400693.db2.gz RZMHRBLNXOHOJF-GXTWGEPZSA-N 0 3 314.654 4.381 20 0 DIADHN O=c1cc(CN(Cc2ccc(Cl)c(Cl)c2)C2CC2)cc[nH]1 ZINC000639454739 1046403139 /nfs/dbraw/zinc/40/31/39/1046403139.db2.gz GXCYQDMSPCPCHP-UHFFFAOYSA-N 0 3 323.223 4.259 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)Cc2cccc3c2CCOC3)s1 ZINC000639481825 1046405024 /nfs/dbraw/zinc/40/50/24/1046405024.db2.gz FUOGDRVQGFKPQA-ZDUSSCGKSA-N 0 3 316.470 4.026 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCC4(CC(F)(F)C4)CC3)c21 ZINC001139192030 1046405889 /nfs/dbraw/zinc/40/58/89/1046405889.db2.gz HGCGFOXJKMTDSJ-UHFFFAOYSA-N 0 3 304.384 4.488 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c3cccc(C)c23)cc1OC ZINC001139197004 1046406124 /nfs/dbraw/zinc/40/61/24/1046406124.db2.gz AKPWFELJFMYKSG-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3cccc4ccccc43)o2)[C@H]1C ZINC000639511198 1046406567 /nfs/dbraw/zinc/40/65/67/1046406567.db2.gz NOJZAUHLYBDELU-KBPBESRZSA-N 0 3 307.397 4.120 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2C[C@@H]3CCC[C@H]3C2)o1 ZINC000639514208 1046407247 /nfs/dbraw/zinc/40/72/47/1046407247.db2.gz CNNDANNKQRGPHZ-HOTGVXAUSA-N 0 3 319.408 4.122 20 0 DIADHN Clc1cccc(C2(NCc3cccc4c3CCOC4)CC2)c1 ZINC000639565435 1046412501 /nfs/dbraw/zinc/41/25/01/1046412501.db2.gz JHYZTUFRPZHCDV-UHFFFAOYSA-N 0 3 313.828 4.192 20 0 DIADHN Cn1ccc2ccc(CN3CCSC[C@@H]3c3ccccc3)cc21 ZINC001139205970 1046412783 /nfs/dbraw/zinc/41/27/83/1046412783.db2.gz VGXWBOSQFWVKSR-HXUWFJFHSA-N 0 3 322.477 4.468 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc(-n3cccn3)cc2)c(C)n1 ZINC000639567460 1046412794 /nfs/dbraw/zinc/41/27/94/1046412794.db2.gz PWTMNCFOTSUBJM-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccccc2-n2cccn2)c(C)n1 ZINC000639564621 1046413563 /nfs/dbraw/zinc/41/35/63/1046413563.db2.gz JIKUEHWAOQREJW-MRXNPFEDSA-N 0 3 320.440 4.043 20 0 DIADHN c1cc2c(cccc2CN[C@H](c2ccccc2)[C@H]2CCCO2)[nH]1 ZINC000171132809 1046414001 /nfs/dbraw/zinc/41/40/01/1046414001.db2.gz LXFLDCHAIVOZPP-WOJBJXKFSA-N 0 3 306.409 4.178 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C(C)C)o1 ZINC000171146951 1046414095 /nfs/dbraw/zinc/41/40/95/1046414095.db2.gz LGUYMLCXWXKUSQ-IRXDYDNUSA-N 0 3 313.445 4.351 20 0 DIADHN O[C@H](CN1CCCCC[C@@H]1c1ccco1)c1ccc(F)cc1F ZINC000246725999 1046415542 /nfs/dbraw/zinc/41/55/42/1046415542.db2.gz IVIPNEPPGLRJCF-IAGOWNOFSA-N 0 3 321.367 4.209 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3ncsc3c2)c(C)n1 ZINC000639594986 1046416108 /nfs/dbraw/zinc/41/61/08/1046416108.db2.gz CIJHJXKKDUMUKJ-CYBMUJFWSA-N 0 3 311.454 4.467 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1ccc2ncsc2c1 ZINC000639596815 1046416160 /nfs/dbraw/zinc/41/61/60/1046416160.db2.gz YBNDFTZIUMLCGI-ZDUSSCGKSA-N 0 3 324.449 4.220 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000639620382 1046418381 /nfs/dbraw/zinc/41/83/81/1046418381.db2.gz CTZWFGDEBLELFM-JSGCOSHPSA-N 0 3 324.346 4.423 20 0 DIADHN O[C@H](CN1CCCCC[C@H]1c1ccco1)c1ccccc1F ZINC000246740877 1046418934 /nfs/dbraw/zinc/41/89/34/1046418934.db2.gz KREIUIZQNRUBSM-DLBZAZTESA-N 0 3 303.377 4.069 20 0 DIADHN O=c1cc(CN2CCCC[C@H]2c2ccc(Cl)cc2)cc[nH]1 ZINC000639662109 1046420566 /nfs/dbraw/zinc/42/05/66/1046420566.db2.gz AQKYOQGKUAMFPZ-INIZCTEOSA-N 0 3 302.805 4.168 20 0 DIADHN OC[C@@H]1CCCN1Cc1ccccc1Oc1ccc(Cl)cc1 ZINC001139264034 1046420945 /nfs/dbraw/zinc/42/09/45/1046420945.db2.gz IRCFTRILWIPFIB-INIZCTEOSA-N 0 3 317.816 4.089 20 0 DIADHN CC1=Nc2ccccc2C12CCN([C@H](C)c1cccnc1)CC2 ZINC000639714945 1046423718 /nfs/dbraw/zinc/42/37/18/1046423718.db2.gz JXMHQDIPVTUHPI-OAHLLOKOSA-N 0 3 305.425 4.282 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1[nH]c(-c2ccccc2)nc1Cl ZINC001139285651 1046425214 /nfs/dbraw/zinc/42/52/14/1046425214.db2.gz ZLDNLOOLLCSIFS-OAHLLOKOSA-N 0 3 303.837 4.351 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccnc(C)c1)CC2 ZINC000639730822 1046425906 /nfs/dbraw/zinc/42/59/06/1046425906.db2.gz YKJDXEHZIKKMEE-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN FC(F)(F)CCNCc1ccc(SC(F)(F)F)cc1 ZINC000063099047 1046428801 /nfs/dbraw/zinc/42/88/01/1046428801.db2.gz LXYBUBCAFRHZNY-UHFFFAOYSA-N 0 3 303.271 4.341 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](O)c2ccc(Cl)c(F)c2)o1 ZINC000090921032 1046430756 /nfs/dbraw/zinc/43/07/56/1046430756.db2.gz RGFAQBBEYDFSGQ-BONVTDFDSA-N 0 3 311.784 4.019 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCc3nc(C)sc32)ccc1F ZINC000090956902 1046432230 /nfs/dbraw/zinc/43/22/30/1046432230.db2.gz VWSCZWAPPKVJOW-YGRLFVJLSA-N 0 3 320.433 4.327 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCc3nc(C)sc32)ccc1F ZINC000090956900 1046432553 /nfs/dbraw/zinc/43/25/53/1046432553.db2.gz VWSCZWAPPKVJOW-HZMBPMFUSA-N 0 3 320.433 4.327 20 0 DIADHN COc1ccc2c(c1)C[C@H](NCc1c(Cl)cccc1Cl)C2 ZINC001168225213 1046432976 /nfs/dbraw/zinc/43/29/76/1046432976.db2.gz VYBWSOGBSJLUCT-CYBMUJFWSA-N 0 3 322.235 4.259 20 0 DIADHN CC(C)C[C@@H](C)NCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000676763894 1046435999 /nfs/dbraw/zinc/43/59/99/1046435999.db2.gz WZPZPPJWYSTGEW-GFCCVEGCSA-N 0 3 318.442 4.063 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cc(OC)ccc1Cl ZINC001137264976 1046436249 /nfs/dbraw/zinc/43/62/49/1046436249.db2.gz GJUWTAIOBXHBLA-UHFFFAOYSA-N 0 3 318.848 4.198 20 0 DIADHN Fc1ccc([C@H]2CCCN2C[C@H]2CCOC2)cc1C(F)(F)F ZINC000639935967 1046437923 /nfs/dbraw/zinc/43/79/23/1046437923.db2.gz OCGLLJHTOGBGPM-IAQYHMDHSA-N 0 3 317.326 4.018 20 0 DIADHN C[C@H]1CCN(Cc2cccn2Cc2cnc(Cl)s2)[C@@H](C)C1 ZINC001139367670 1046438202 /nfs/dbraw/zinc/43/82/02/1046438202.db2.gz QRCSVKJESKUYQZ-STQMWFEESA-N 0 3 323.893 4.267 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2ccc(Cl)cc2Cl)C1 ZINC001202929367 1046440467 /nfs/dbraw/zinc/44/04/67/1046440467.db2.gz FVTPBIPNCDGRKA-BETUJISGSA-N 0 3 302.245 4.429 20 0 DIADHN Cc1ncc([C@@H](C)NCCSc2ccc(F)cc2F)s1 ZINC000639996940 1046440984 /nfs/dbraw/zinc/44/09/84/1046440984.db2.gz SSUBMRXAXGNRIF-SECBINFHSA-N 0 3 314.426 4.173 20 0 DIADHN COC1(C)CCN(Cc2cccc(SC(F)(F)F)c2)CC1 ZINC000781338971 1046441330 /nfs/dbraw/zinc/44/13/30/1046441330.db2.gz FROZYFDQVOQSFE-UHFFFAOYSA-N 0 3 319.392 4.299 20 0 DIADHN Cc1ncc([C@H](C)NCCSc2ccc(F)cc2F)s1 ZINC000639996952 1046441905 /nfs/dbraw/zinc/44/19/05/1046441905.db2.gz SSUBMRXAXGNRIF-VIFPVBQESA-N 0 3 314.426 4.173 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2c(Cl)ccc3cccnc32)C1 ZINC001202930016 1046441923 /nfs/dbraw/zinc/44/19/23/1046441923.db2.gz SADLRGZIZVWFQZ-OKILXGFUSA-N 0 3 318.848 4.324 20 0 DIADHN CCN(Cc1ccc(-c2cnccn2)cc1)Cc1cccc(F)c1 ZINC001139393158 1046442344 /nfs/dbraw/zinc/44/23/44/1046442344.db2.gz JXGASFZDBTUXST-UHFFFAOYSA-N 0 3 321.399 4.305 20 0 DIADHN COc1ncc(CN[C@H](c2ccccc2)C2CCC2)cc1Cl ZINC000676894017 1046444604 /nfs/dbraw/zinc/44/46/04/1046444604.db2.gz UTAACJGAALSPHQ-QGZVFWFLSA-N 0 3 316.832 4.375 20 0 DIADHN c1cc(-c2ccccc2)sc1CN1CC(c2cccnc2)C1 ZINC001139414159 1046445305 /nfs/dbraw/zinc/44/53/05/1046445305.db2.gz KGOIVZGFJZEBBA-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CN[C@@H]1CCC(F)(F)C1 ZINC000676903224 1046446300 /nfs/dbraw/zinc/44/63/00/1046446300.db2.gz ZQVZURUNIZDWLO-OAHLLOKOSA-N 0 3 306.331 4.165 20 0 DIADHN c1n[nH]cc1CN[C@H](c1ccccc1)[C@H]1CCCc2ccccc21 ZINC000676903405 1046446394 /nfs/dbraw/zinc/44/63/94/1046446394.db2.gz DFZAFKOJIYECDD-LEWJYISDSA-N 0 3 317.436 4.361 20 0 DIADHN c1cc(CN2CCSCC2)cc(NC[C@H]2CC=CCC2)c1 ZINC000676903423 1046446511 /nfs/dbraw/zinc/44/65/11/1046446511.db2.gz FODPITCJUCHYHG-INIZCTEOSA-N 0 3 302.487 4.004 20 0 DIADHN CCCn1cc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)c(C)n1 ZINC001649176198 1046448194 /nfs/dbraw/zinc/44/81/94/1046448194.db2.gz WUGBXNXQNVJONW-UHFFFAOYSA-N 0 3 324.472 4.298 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccccc1)c1cccc(-c2ccncc2)c1 ZINC000123675375 1046449684 /nfs/dbraw/zinc/44/96/84/1046449684.db2.gz QEUIHBGQTFZNPH-IIBYNOLFSA-N 0 3 318.420 4.133 20 0 DIADHN C[C@H](c1ccc(CN[C@@H]2CCCn3nccc32)cc1)C(F)(F)F ZINC000640218896 1046453771 /nfs/dbraw/zinc/45/37/71/1046453771.db2.gz APCTXXGWJMODRF-IUODEOHRSA-N 0 3 323.362 4.174 20 0 DIADHN CC(C)(C)OC1CCN(CCSc2ccc(F)cc2)CC1 ZINC000124204007 1046455418 /nfs/dbraw/zinc/45/54/18/1046455418.db2.gz NSPVEQURCBMJIO-UHFFFAOYSA-N 0 3 311.466 4.197 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCc3c2cc(F)cc3F)c1 ZINC000677042982 1046455958 /nfs/dbraw/zinc/45/59/58/1046455958.db2.gz NFRNYOIQOBIMBE-BBATYDOGSA-N 0 3 303.352 4.312 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2ccc(-c3ccsc3)nc2)C1 ZINC001139503840 1046457857 /nfs/dbraw/zinc/45/78/57/1046457857.db2.gz KIWOFMRUTGTGSC-ZDUSSCGKSA-N 0 3 308.397 4.287 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCC[C@H](C2CC2)C1 ZINC000677056926 1046459427 /nfs/dbraw/zinc/45/94/27/1046459427.db2.gz NIYYAWLUPXWCHV-WBVHZDCISA-N 0 3 314.473 4.259 20 0 DIADHN COc1ccc(-c2nc(CNCCC3=CCCC3)cs2)cc1 ZINC000677129448 1046466956 /nfs/dbraw/zinc/46/69/56/1046466956.db2.gz VYIRLOZZIGOBBH-UHFFFAOYSA-N 0 3 314.454 4.409 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Cc3ccccc3)C2)cnc1Cl ZINC001139543553 1046469190 /nfs/dbraw/zinc/46/91/90/1046469190.db2.gz CKXIVUOTSREZCQ-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1ccc(CCN(C)Cc2c[nH]c(-c3ccccc3)n2)cc1 ZINC001139555459 1046471147 /nfs/dbraw/zinc/47/11/47/1046471147.db2.gz YCKWZOGMJFOBBK-UHFFFAOYSA-N 0 3 305.425 4.060 20 0 DIADHN CC(C)N(CCOc1ccccc1Cl)Cc1cccnc1 ZINC000125694519 1046472886 /nfs/dbraw/zinc/47/28/86/1046472886.db2.gz RLLSVUGLVFWIKY-UHFFFAOYSA-N 0 3 304.821 4.024 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C(C)(C)c2ccccc2C)c1 ZINC000536551564 1046476900 /nfs/dbraw/zinc/47/69/00/1046476900.db2.gz GQOXWZVYXDBAOL-UHFFFAOYSA-N 0 3 324.468 4.281 20 0 DIADHN CCOC1CCN(Cc2ccc(SC(F)(F)F)cc2)CC1 ZINC000091678634 1046478833 /nfs/dbraw/zinc/47/88/33/1046478833.db2.gz QOUYZPLERGVNES-UHFFFAOYSA-N 0 3 319.392 4.299 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCCc3ccccc32)cn1 ZINC000126876894 1046479032 /nfs/dbraw/zinc/47/90/32/1046479032.db2.gz DKGWLHPTJXAZDV-IBGZPJMESA-N 0 3 309.457 4.095 20 0 DIADHN Cc1cc(C(C)(C)C)ccc1OC(=O)C1CCN(C2CC2)CC1 ZINC000781873979 1046481298 /nfs/dbraw/zinc/48/12/98/1046481298.db2.gz STHDLKZBGKTCEL-UHFFFAOYSA-N 0 3 315.457 4.072 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2C(C)(C)OC)cc1Cl ZINC001139597469 1046482325 /nfs/dbraw/zinc/48/23/25/1046482325.db2.gz XMVFJLOLNRJAKW-INIZCTEOSA-N 0 3 311.853 4.128 20 0 DIADHN C[C@H](c1cccc2ccccc21)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000640992501 1046483831 /nfs/dbraw/zinc/48/38/31/1046483831.db2.gz RNLZVSIEZKDPSE-ACJLOTCBSA-N 0 3 308.429 4.087 20 0 DIADHN CCOc1ccc(C)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001139606805 1046484870 /nfs/dbraw/zinc/48/48/70/1046484870.db2.gz CREWGQCMTVHCNQ-QGZVFWFLSA-N 0 3 305.437 4.498 20 0 DIADHN C[C@@H](CCSc1ccccc1)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000641140718 1046486716 /nfs/dbraw/zinc/48/67/16/1046486716.db2.gz UDOKZWJMCONGAG-BBRMVZONSA-N 0 3 318.490 4.067 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccc(Cl)c(OC)c1 ZINC001139615274 1046486845 /nfs/dbraw/zinc/48/68/45/1046486845.db2.gz AWUMNXDZOVXBBE-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(Cc1ccc3c(c1)COC3)[C@H]2C ZINC000781928168 1046487921 /nfs/dbraw/zinc/48/79/21/1046487921.db2.gz TZHXBTNFPVMENE-GJZGRUSLSA-N 0 3 323.436 4.233 20 0 DIADHN Fc1cc2cccnc2c(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000347373002 1046488089 /nfs/dbraw/zinc/48/80/89/1046488089.db2.gz VTAFTONKPFWNOI-GOSISDBHSA-N 0 3 307.372 4.010 20 0 DIADHN Cc1csc(CN2CCC(c3ccncc3)CC2)c1Cl ZINC001137291461 1046489917 /nfs/dbraw/zinc/48/99/17/1046489917.db2.gz SRKUJMCQNSPRJS-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001139679969 1046498877 /nfs/dbraw/zinc/49/88/77/1046498877.db2.gz LLGPNVVLRCKYMS-MRXNPFEDSA-N 0 3 315.436 4.055 20 0 DIADHN CCC1(CN2CCC[C@@H]2c2cccc(Br)c2)COC1 ZINC001202962670 1046503981 /nfs/dbraw/zinc/50/39/81/1046503981.db2.gz MPOQYQIPRZYFND-OAHLLOKOSA-N 0 3 324.262 4.013 20 0 DIADHN CCc1ccc([C@H](C)C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000677600140 1046504132 /nfs/dbraw/zinc/50/41/32/1046504132.db2.gz YQUDKIVJJQDTJV-INIZCTEOSA-N 0 3 324.468 4.361 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc3occc3s2)c1 ZINC000677599821 1046504772 /nfs/dbraw/zinc/50/47/72/1046504772.db2.gz GPSKXCLXNLBGAI-UHFFFAOYSA-N 0 3 314.410 4.117 20 0 DIADHN CC(C)C[C@@H](NCc1ccncc1N)c1ccc(Cl)cc1 ZINC000641729283 1046507214 /nfs/dbraw/zinc/50/72/14/1046507214.db2.gz XXVSXJDBGLXQIL-QGZVFWFLSA-N 0 3 303.837 4.194 20 0 DIADHN CCC[C@H](NCc1ccncc1N)c1cccc(C(F)(F)F)c1 ZINC000641713846 1046507943 /nfs/dbraw/zinc/50/79/43/1046507943.db2.gz JSGBQHKXVPTACT-INIZCTEOSA-N 0 3 323.362 4.314 20 0 DIADHN c1ccc([C@H]2CCCCN2Cc2cnc3cccnc3c2)cc1 ZINC001139740047 1046508192 /nfs/dbraw/zinc/50/81/92/1046508192.db2.gz HPGFZEFOKWYGKH-HXUWFJFHSA-N 0 3 303.409 4.357 20 0 DIADHN c1ccc(CN(Cc2cnc3cccnc3c2)C2CCC2)cc1 ZINC001139745505 1046509152 /nfs/dbraw/zinc/50/91/52/1046509152.db2.gz KFXIKGFQBURUIN-UHFFFAOYSA-N 0 3 303.409 4.185 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@H](NCCNc1ccccn1)CC2 ZINC000677655051 1046509972 /nfs/dbraw/zinc/50/99/72/1046509972.db2.gz OQUHEWYMUPOBNO-CQSZACIVSA-N 0 3 322.239 4.077 20 0 DIADHN Clc1ccc(CNC2(CCOCc3ccccc3)CC2)o1 ZINC000677662411 1046510209 /nfs/dbraw/zinc/51/02/09/1046510209.db2.gz BPJWIBSJWNEDEG-UHFFFAOYSA-N 0 3 305.805 4.162 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CC[C@H](C(F)(F)F)C1 ZINC000677713859 1046513709 /nfs/dbraw/zinc/51/37/09/1046513709.db2.gz LTMHJKLZHSSEEV-ONGXEEELSA-N 0 3 309.278 4.233 20 0 DIADHN FC(F)(F)Cn1cc(CN[C@@H](c2ccccc2)C2CCC2)cn1 ZINC000641776638 1046513834 /nfs/dbraw/zinc/51/38/34/1046513834.db2.gz UGTFYYHXYDTQJH-INIZCTEOSA-N 0 3 323.362 4.076 20 0 DIADHN CCCCCN(C)Cc1cnc(-c2ccc(OC)cc2)s1 ZINC001139789398 1046516349 /nfs/dbraw/zinc/51/63/49/1046516349.db2.gz HGOSTKGRJMZOCL-UHFFFAOYSA-N 0 3 304.459 4.441 20 0 DIADHN COc1ccc(-c2ncc(CN3CC[C@@](C)(CF)C3)s2)cc1 ZINC001139789313 1046516608 /nfs/dbraw/zinc/51/66/08/1046516608.db2.gz FDGATBSXWHEHRX-KRWDZBQOSA-N 0 3 320.433 4.000 20 0 DIADHN FC(F)c1ccccc1CN1CCC(c2cccnc2)CC1 ZINC001139800625 1046518436 /nfs/dbraw/zinc/51/84/36/1046518436.db2.gz RQXOAFNHHPPMDS-UHFFFAOYSA-N 0 3 302.368 4.399 20 0 DIADHN COc1ccc(-c2ncc(CN3CCCCC34CC4)s2)cc1 ZINC001139794529 1046518586 /nfs/dbraw/zinc/51/85/86/1046518586.db2.gz HOHUFDVYSJAPGM-UHFFFAOYSA-N 0 3 314.454 4.337 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H](COC)CC(C)(C)C ZINC001202972221 1046519593 /nfs/dbraw/zinc/51/95/93/1046519593.db2.gz YDMCPBRNLWGVPB-CQSZACIVSA-N 0 3 313.869 4.280 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3ccccc3C(F)F)C2)c1 ZINC001139802305 1046521803 /nfs/dbraw/zinc/52/18/03/1046521803.db2.gz OCOQEEQSZGUZDR-MRXNPFEDSA-N 0 3 321.342 4.417 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2ccc(C(C)C)cc2)s1 ZINC000677754666 1046522016 /nfs/dbraw/zinc/52/20/16/1046522016.db2.gz JSPCRQIGEIYLMF-ZDUSSCGKSA-N 0 3 304.459 4.305 20 0 DIADHN Cc1ccc2ncc(CN(Cc3ccccc3)C3CCC3)n2c1 ZINC001137312070 1046526453 /nfs/dbraw/zinc/52/64/53/1046526453.db2.gz FKPXNEWDYPEXCE-UHFFFAOYSA-N 0 3 305.425 4.197 20 0 DIADHN C[C@H]1CN(Cc2ccnc(F)c2)CCc2ccc(Cl)cc21 ZINC001139835891 1046529361 /nfs/dbraw/zinc/52/93/61/1046529361.db2.gz RJMYUEOZRNNCPV-LBPRGKRZSA-N 0 3 304.796 4.036 20 0 DIADHN Cc1ccc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)nc1 ZINC001139842788 1046529762 /nfs/dbraw/zinc/52/97/62/1046529762.db2.gz BEVJSOJKZGZCAK-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN Clc1cnccc1CN1CCC2(CCc3ccccc32)CC1 ZINC001139844428 1046532639 /nfs/dbraw/zinc/53/26/39/1046532639.db2.gz OVYHZEPKTZVYKA-UHFFFAOYSA-N 0 3 312.844 4.215 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc(Cl)nc(Cl)c1 ZINC000782656658 1046539191 /nfs/dbraw/zinc/53/91/91/1046539191.db2.gz SVBYUVFTPWRVRW-VIFPVBQESA-N 0 3 315.166 4.455 20 0 DIADHN COCc1ccc(CN(C)[C@H](C)c2ccc(F)c(F)c2)cc1 ZINC000536627864 1046539141 /nfs/dbraw/zinc/53/91/41/1046539141.db2.gz DSJSPDHGGYPDNQ-CYBMUJFWSA-N 0 3 305.368 4.304 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc3cccnc3c2)ccc1F ZINC000677966237 1046540764 /nfs/dbraw/zinc/54/07/64/1046540764.db2.gz JRYWDMOIHGUXPI-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@H]2OCCC[C@H]2C1 ZINC001139883885 1046541383 /nfs/dbraw/zinc/54/13/83/1046541383.db2.gz MIIYPTOXBGAMEE-XJKSGUPXSA-N 0 3 313.363 4.015 20 0 DIADHN c1ccc(C[C@@H]2COCCN2Cc2ccccc2C2CC2)cc1 ZINC001139883176 1046543353 /nfs/dbraw/zinc/54/33/53/1046543353.db2.gz FYVHOKICRQIMDZ-HXUWFJFHSA-N 0 3 307.437 4.008 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN(CCO)Cc1ccccc1 ZINC001139883714 1046543377 /nfs/dbraw/zinc/54/33/77/1046543377.db2.gz FGYFTJQBZCIGPK-UHFFFAOYSA-N 0 3 323.358 4.008 20 0 DIADHN OCc1ccc2c(c1)CCN(Cc1ccc(F)c3ccccc13)C2 ZINC001139889749 1046546931 /nfs/dbraw/zinc/54/69/31/1046546931.db2.gz KQSMMEOBAJTQDB-UHFFFAOYSA-N 0 3 321.395 4.030 20 0 DIADHN CN(Cc1cccc2cccnc21)Cc1cncc2ccccc21 ZINC001139895381 1046547183 /nfs/dbraw/zinc/54/71/83/1046547183.db2.gz TVGFHUQXWLOIDJ-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN CC(C)c1ccsc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000053026669 1046550337 /nfs/dbraw/zinc/55/03/37/1046550337.db2.gz QHDGCZFCBBPHEA-UHFFFAOYSA-N 0 3 302.443 4.185 20 0 DIADHN Cn1ncc2ccc(CN3CCC(c4ccccc4F)CC3)cc21 ZINC001139908886 1046552231 /nfs/dbraw/zinc/55/22/31/1046552231.db2.gz NHVHRGBGCRDCML-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN Cc1ccc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)cn1 ZINC001139933011 1046554170 /nfs/dbraw/zinc/55/41/70/1046554170.db2.gz AUIHQIKBMBEBTQ-ZDUSSCGKSA-N 0 3 300.833 4.205 20 0 DIADHN Brc1ccnc2[nH]cc(CN3CCCCCCC3)c21 ZINC001139947281 1046556713 /nfs/dbraw/zinc/55/67/13/1046556713.db2.gz GQOJYSHOAMYNHN-UHFFFAOYSA-N 0 3 322.250 4.092 20 0 DIADHN CN(Cc1nc2ccccc2s1)C[C@H]1CCOc2ccccc21 ZINC000678126631 1046562569 /nfs/dbraw/zinc/56/25/69/1046562569.db2.gz LYKSWTDGYKKYSW-CQSZACIVSA-N 0 3 324.449 4.294 20 0 DIADHN Cc1ccccc1O[C@@H]1CCN(Cc2cc3ccccc3cn2)C1 ZINC001139997177 1046564991 /nfs/dbraw/zinc/56/49/91/1046564991.db2.gz AJDDCTGCMAYBCR-HXUWFJFHSA-N 0 3 318.420 4.197 20 0 DIADHN Cc1nccnc1[C@H](C)NCCCOc1cccc2ccccc21 ZINC000783226580 1046565418 /nfs/dbraw/zinc/56/54/18/1046565418.db2.gz RNFSFYCKHYYPQW-HNNXBMFYSA-N 0 3 321.424 4.058 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3cc4ccccc4cn3)C2)cc1 ZINC001139998290 1046566422 /nfs/dbraw/zinc/56/64/22/1046566422.db2.gz RXQOPNXOWSCHRZ-HXUWFJFHSA-N 0 3 322.383 4.027 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nc4ccccc4s3)C2)nc1 ZINC000536631719 1046569844 /nfs/dbraw/zinc/56/98/44/1046569844.db2.gz FPPTZMOATAVSLJ-HNNXBMFYSA-N 0 3 323.465 4.379 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CC[C@@H]2C)c2ccccc2OC)cc1 ZINC000783264333 1046571469 /nfs/dbraw/zinc/57/14/69/1046571469.db2.gz MXKHOWFTWNZQQU-QMIHWGKISA-N 0 3 311.425 4.181 20 0 DIADHN CCOC1CCN(Cc2ccc(-c3ccccc3F)o2)CC1 ZINC000678152535 1046572045 /nfs/dbraw/zinc/57/20/45/1046572045.db2.gz IIGPQEPVKJSVFO-UHFFFAOYSA-N 0 3 303.377 4.087 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CCC[C@@H](C(F)(F)F)C1)CO2 ZINC000783290829 1046575493 /nfs/dbraw/zinc/57/54/93/1046575493.db2.gz HEMNYCVRXWIEIL-UISBYWKRSA-N 0 3 321.289 4.109 20 0 DIADHN C[C@@H](NC[C@@H]1CCC=CO1)c1cc(Cl)ccc1OC(F)F ZINC000783307845 1046576879 /nfs/dbraw/zinc/57/68/79/1046576879.db2.gz AGIWJPHPWUCXGP-PWSUYJOCSA-N 0 3 317.763 4.285 20 0 DIADHN CCCCN(CC(=O)N[C@H](CC)c1ccc(Cl)cc1)C1CC1 ZINC000642189531 1046576697 /nfs/dbraw/zinc/57/66/97/1046576697.db2.gz LTXKEKXYXKNCRI-QGZVFWFLSA-N 0 3 322.880 4.172 20 0 DIADHN CCOc1ccc(C2=CCN([C@H](C)c3ccncc3)CC2)cc1 ZINC000678173539 1046579462 /nfs/dbraw/zinc/57/94/62/1046579462.db2.gz YDGKORWTFQRZII-MRXNPFEDSA-N 0 3 308.425 4.331 20 0 DIADHN COc1ccc2[nH]nc(CN3CCC[C@H]3c3ccc(C)cc3)c2c1 ZINC001140068470 1046579962 /nfs/dbraw/zinc/57/99/62/1046579962.db2.gz MAEWBHDIPIERFS-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC[C@H]3c3ccc(C)cc3)c2c1 ZINC001140068470 1046579974 /nfs/dbraw/zinc/57/99/74/1046579974.db2.gz MAEWBHDIPIERFS-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2[nH]nc(CN3CCCC[C@@H]3c3ccccc3)c2c1 ZINC001140065137 1046580786 /nfs/dbraw/zinc/58/07/86/1046580786.db2.gz AKQDIMYQSFDVRU-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc2n[nH]c(CN3CCCC[C@@H]3c3ccccc3)c2c1 ZINC001140065137 1046580796 /nfs/dbraw/zinc/58/07/96/1046580796.db2.gz AKQDIMYQSFDVRU-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN c1ccc2c(c1)CC[C@H]2N(Cc1n[nH]c2ccccc21)C1CC1 ZINC000678196497 1046582628 /nfs/dbraw/zinc/58/26/28/1046582628.db2.gz WSYDHXCYTJJQIN-HXUWFJFHSA-N 0 3 303.409 4.215 20 0 DIADHN c1ccc2c(c1)CC[C@H]2N(Cc1[nH]nc2ccccc21)C1CC1 ZINC000678196497 1046582638 /nfs/dbraw/zinc/58/26/38/1046582638.db2.gz WSYDHXCYTJJQIN-HXUWFJFHSA-N 0 3 303.409 4.215 20 0 DIADHN Fc1ccc(-c2ncc(CN3CCC34CCCC4)s2)cc1 ZINC001140093625 1046588487 /nfs/dbraw/zinc/58/84/87/1046588487.db2.gz KDYKAZHYSHQUKJ-UHFFFAOYSA-N 0 3 302.418 4.468 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccc(O)cc2)o1 ZINC000678213129 1046590696 /nfs/dbraw/zinc/59/06/96/1046590696.db2.gz WFAWLPAKCAYTDY-QGZVFWFLSA-N 0 3 323.396 4.021 20 0 DIADHN CC(C)CC[C@H](NCc1cncn1C)c1ccc(Cl)cc1 ZINC000236624301 1046590936 /nfs/dbraw/zinc/59/09/36/1046590936.db2.gz NDASNOHYCISWTR-KRWDZBQOSA-N 0 3 305.853 4.341 20 0 DIADHN Cc1ccc(CCN(C)Cc2cc(C(F)(F)F)ccn2)cc1 ZINC001140108107 1046591721 /nfs/dbraw/zinc/59/17/21/1046591721.db2.gz MFDQKELQKKFNCR-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cc(C(F)(F)F)ccn1 ZINC001140108902 1046592459 /nfs/dbraw/zinc/59/24/59/1046592459.db2.gz SHDPNMCLQRWTPY-GORDUTHDSA-N 0 3 321.346 4.031 20 0 DIADHN CCOc1cccc(CN2CCCO[C@H](c3ccccc3)C2)c1 ZINC000678239205 1046595395 /nfs/dbraw/zinc/59/53/95/1046595395.db2.gz WHFMTANUXNHSHK-FQEVSTJZSA-N 0 3 311.425 4.049 20 0 DIADHN CC(C)CN(CC(=O)Nc1ccc(Cl)cc1Cl)C(C)C ZINC000642329125 1046596422 /nfs/dbraw/zinc/59/64/22/1046596422.db2.gz CVRDHIPOXPXMRP-UHFFFAOYSA-N 0 3 317.260 4.298 20 0 DIADHN c1ccc([C@H]2CN(Cc3cnc4ccccc4c3)CCCO2)cc1 ZINC000678238735 1046597069 /nfs/dbraw/zinc/59/70/69/1046597069.db2.gz MWOJCISKUWWBBK-OAQYLSRUSA-N 0 3 318.420 4.198 20 0 DIADHN Cc1ncccc1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000678238843 1046597121 /nfs/dbraw/zinc/59/71/21/1046597121.db2.gz IBJPLMISUZZBGB-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN Fc1cccc(CN(Cc2ccccc2)C2CCSCC2)n1 ZINC001140135390 1046598293 /nfs/dbraw/zinc/59/82/93/1046598293.db2.gz FABIJFIECVEXDL-UHFFFAOYSA-N 0 3 316.445 4.119 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000642330185 1046598654 /nfs/dbraw/zinc/59/86/54/1046598654.db2.gz QHIMVLUHAUIZLX-HZPDHXFCSA-N 0 3 302.462 4.259 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1Cc1ccc2c[nH]nc2c1 ZINC000678241619 1046599851 /nfs/dbraw/zinc/59/98/51/1046599851.db2.gz XHUAUPUFGKFKKU-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN CC[C@H]1CCCN([C@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000642330184 1046600289 /nfs/dbraw/zinc/60/02/89/1046600289.db2.gz QHIMVLUHAUIZLX-CVEARBPZSA-N 0 3 302.462 4.259 20 0 DIADHN CCOCOc1ccc(CN2C[C@H](C)[C@H]2c2ccccc2)cc1 ZINC000783493472 1046600862 /nfs/dbraw/zinc/60/08/62/1046600862.db2.gz HFLDUCHOBZRIOT-JXFKEZNVSA-N 0 3 311.425 4.252 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN1CC[C@@H](c2ccco2)C1 ZINC000678262368 1046601102 /nfs/dbraw/zinc/60/11/02/1046601102.db2.gz NZWNQXLWNMOHPE-OAHLLOKOSA-N 0 3 314.404 4.008 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@H]2CCC[C@H]21 ZINC001140155100 1046601853 /nfs/dbraw/zinc/60/18/53/1046601853.db2.gz LZPRCEVEYPVHEA-IUODEOHRSA-N 0 3 324.262 4.222 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccc(C)c(OC)c3)CC2)cc1 ZINC001140162000 1046603704 /nfs/dbraw/zinc/60/37/04/1046603704.db2.gz GRTSFBRBBNDHKB-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)OCCCO3)c(C)c1 ZINC000322127952 1046605068 /nfs/dbraw/zinc/60/50/68/1046605068.db2.gz SUPBAGHWHKFPJB-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1cccc(C)c1CN(C)[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000245454937 1046605674 /nfs/dbraw/zinc/60/56/74/1046605674.db2.gz AJBKCWPJYYCAMP-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN c1cc2c(s1)CCN(Cc1ccc(-c3ccncc3)cc1)C2 ZINC001140168372 1046606090 /nfs/dbraw/zinc/60/60/90/1046606090.db2.gz BCLBTPOXZMRZDW-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2nccc3ccccc32)n1 ZINC000678268146 1046606180 /nfs/dbraw/zinc/60/61/80/1046606180.db2.gz YMHCBJRKZVFHEG-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN C[C@H]1COCCCN1Cc1ccc(-c2ccccc2Cl)o1 ZINC000678274605 1046607031 /nfs/dbraw/zinc/60/70/31/1046607031.db2.gz QTCAJIONEYGEAL-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(-c3ccncc3)cc2)c1 ZINC001140172841 1046608228 /nfs/dbraw/zinc/60/82/28/1046608228.db2.gz DMEDBQMLEHDDHW-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCOc3ccccc32)[C@@H](c2ccccc2)CO1 ZINC000678279122 1046609231 /nfs/dbraw/zinc/60/92/31/1046609231.db2.gz HZWZDKUTNRLSKY-YVWKXTFCSA-N 0 3 323.436 4.015 20 0 DIADHN Cc1ccc2cc(CN3C[C@@H]4[C@H](C3)C4(C)C)c(Cl)nc2c1 ZINC001138863020 1046610772 /nfs/dbraw/zinc/61/07/72/1046610772.db2.gz YNQUYMABZZYLSW-GASCZTMLSA-N 0 3 300.833 4.284 20 0 DIADHN CC[C@@H](C)Oc1cc(CN(C)CCOC(C)(C)C)ccc1OC ZINC000678284206 1046611730 /nfs/dbraw/zinc/61/17/30/1046611730.db2.gz SJEDJBWCLAMLAZ-OAHLLOKOSA-N 0 3 323.477 4.119 20 0 DIADHN c1ccc(SC[C@H]2CCN(Cc3n[nH]c4ccccc34)C2)cc1 ZINC000678284626 1046612820 /nfs/dbraw/zinc/61/28/20/1046612820.db2.gz WJVRRAREUQGKPZ-HNNXBMFYSA-N 0 3 323.465 4.177 20 0 DIADHN CCc1ccc([C@@H](NCCc2cscn2)c2cccnc2)cc1 ZINC000925285369 1046614198 /nfs/dbraw/zinc/61/41/98/1046614198.db2.gz IUNZMGHXAZAXGM-LJQANCHMSA-N 0 3 323.465 4.022 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@H](Oc3ccc(Cl)cc3)C2)[nH]1 ZINC001137330879 1046614819 /nfs/dbraw/zinc/61/48/19/1046614819.db2.gz FSGMIFUTLNYRQG-KRWDZBQOSA-N 0 3 318.848 4.328 20 0 DIADHN C[C@@H](NCC1(NC(=O)OC(C)(C)C)CCCCC1)c1ccoc1 ZINC000697032502 1046615346 /nfs/dbraw/zinc/61/53/46/1046615346.db2.gz ZIFMUJAKPSIJPU-CQSZACIVSA-N 0 3 322.449 4.158 20 0 DIADHN C[C@@H](c1cc2ccccc2o1)N(C)C[C@H]1COc2ccccc2O1 ZINC000642524149 1046616772 /nfs/dbraw/zinc/61/67/72/1046616772.db2.gz VOLRWGOUOUQEFX-HOCLYGCPSA-N 0 3 323.392 4.266 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CN[C@H](CO)CCF)o2)cc1 ZINC001203012926 1046617422 /nfs/dbraw/zinc/61/74/22/1046617422.db2.gz XMIPOSHRVRKANM-INIZCTEOSA-N 0 3 319.420 4.054 20 0 DIADHN Cc1cc(C)c(CN2CCC(c3ccc(F)cc3F)CC2)[nH]1 ZINC001137333777 1046619181 /nfs/dbraw/zinc/61/91/81/1046619181.db2.gz SBQIFSPOPVKWPF-UHFFFAOYSA-N 0 3 304.384 4.289 20 0 DIADHN Oc1cccc2[nH]cc(CN3CC=C(c4ccccc4)CC3)c21 ZINC001140234801 1046621235 /nfs/dbraw/zinc/62/12/35/1046621235.db2.gz KQBFYLBOZSIIHE-UHFFFAOYSA-N 0 3 304.393 4.163 20 0 DIADHN C[C@H](NCCC1=CCCCC1)c1nc(Br)cs1 ZINC000834487941 1046622833 /nfs/dbraw/zinc/62/28/33/1046622833.db2.gz SULCIZPKYFBBBY-JTQLQIEISA-N 0 3 315.280 4.447 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1nc(Br)cs1 ZINC000834487973 1046623009 /nfs/dbraw/zinc/62/30/09/1046623009.db2.gz VGXPTJWLNYOVMC-GUBZILKMSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H](NCCC(C)(C)C1CC1)c1nc(Br)cs1 ZINC000834814001 1046673433 /nfs/dbraw/zinc/67/34/33/1046673433.db2.gz CDNJFVOLHPRWHW-SECBINFHSA-N 0 3 317.296 4.383 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)cs1 ZINC000834513124 1046624982 /nfs/dbraw/zinc/62/49/82/1046624982.db2.gz HFPOMXJPFZWLID-STQMWFEESA-N 0 3 314.454 4.353 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H]3CCC[C@@H](C)C3)co2)cc1 ZINC000642636418 1046625422 /nfs/dbraw/zinc/62/54/22/1046625422.db2.gz RHCZICAWROQZHE-PBHICJAKSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cccc(OC(F)(F)F)c1 ZINC000678362519 1046625801 /nfs/dbraw/zinc/62/58/01/1046625801.db2.gz FWXTXAGFYIQODX-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(Br)cs1 ZINC000834534728 1046626987 /nfs/dbraw/zinc/62/69/87/1046626987.db2.gz URXQTGKALQJEHN-KXUCPTDWSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)C[C@@H](C)c2ccccc2)c(C)c1 ZINC000532941538 1046629536 /nfs/dbraw/zinc/62/95/36/1046629536.db2.gz RORZXAHARLYUPX-QZTJIDSGSA-N 0 3 324.468 4.366 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N(C)C[C@H](C)c1ccccc1 ZINC000532942419 1046629808 /nfs/dbraw/zinc/62/98/08/1046629808.db2.gz YEEUPJUWGQVPSZ-ZWKOTPCHSA-N 0 3 324.468 4.366 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(C)nc2C)cc1F ZINC000678412596 1046630557 /nfs/dbraw/zinc/63/05/57/1046630557.db2.gz YCFUUADAECWJQT-OCCSQVGLSA-N 0 3 302.393 4.258 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC[C@@H]3c3ccccn3)c2c1 ZINC001140270261 1046635606 /nfs/dbraw/zinc/63/56/06/1046635606.db2.gz DYYGRHUDTYTUAU-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC(C(F)F)CC3)c2c1 ZINC001140271934 1046635908 /nfs/dbraw/zinc/63/59/08/1046635908.db2.gz KPIJERINZWTXGV-UHFFFAOYSA-N 0 3 308.372 4.044 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnccc2C)cc1Cl ZINC000678426980 1046636435 /nfs/dbraw/zinc/63/64/35/1046636435.db2.gz LSZQOUSDQUFCFM-OLZOCXBDSA-N 0 3 304.821 4.464 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](C)c2ncc(-c3ccccc3)n2C)C1 ZINC000834602757 1046637938 /nfs/dbraw/zinc/63/79/38/1046637938.db2.gz UVMNHBMHNFLBQE-CWRNSKLLSA-N 0 3 315.486 4.022 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C)nc2C)c2ccccc2n1 ZINC000678429397 1046638082 /nfs/dbraw/zinc/63/80/82/1046638082.db2.gz WJYMDVJYKPSADY-CQSZACIVSA-N 0 3 321.424 4.106 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(C)nc2C)c2ccccc2n1 ZINC000678429393 1046638304 /nfs/dbraw/zinc/63/83/04/1046638304.db2.gz WJYMDVJYKPSADY-AWEZNQCLSA-N 0 3 321.424 4.106 20 0 DIADHN COC[C@@H]1CCCN1Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC001140295340 1046643681 /nfs/dbraw/zinc/64/36/81/1046643681.db2.gz GTCUWLFMRMUCNC-AWEZNQCLSA-N 0 3 322.861 4.074 20 0 DIADHN CCC1(O)CCC(NCc2csc(-c3ccsc3)n2)CC1 ZINC000678498427 1046648295 /nfs/dbraw/zinc/64/82/95/1046648295.db2.gz VFLFJHOSHUJASQ-UHFFFAOYSA-N 0 3 322.499 4.045 20 0 DIADHN Cc1cnc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)s1 ZINC000678522022 1046650251 /nfs/dbraw/zinc/65/02/51/1046650251.db2.gz RZHSSKJAZCGXAA-HNNXBMFYSA-N 0 3 311.454 4.312 20 0 DIADHN CCC1(O)CCC(NCc2c(Cl)cccc2Cl)CC1 ZINC000678499530 1046650426 /nfs/dbraw/zinc/65/04/26/1046650426.db2.gz YRDLLTHMLSQRJL-UHFFFAOYSA-N 0 3 302.245 4.167 20 0 DIADHN CC(C)(C)OC(=O)C[C@@H]1CCCN(CCCCC(F)(F)F)C1 ZINC000533098811 1046653033 /nfs/dbraw/zinc/65/30/33/1046653033.db2.gz HSXJHTJBHBQQQH-ZDUSSCGKSA-N 0 3 323.399 4.163 20 0 DIADHN CC1(C)CN(Cc2ccccc2-c2cccnc2)CC(C)(C)O1 ZINC001140318688 1046654244 /nfs/dbraw/zinc/65/42/44/1046654244.db2.gz IKLGPKSCBLWXKY-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN COc1cccc(CN(C)Cc2cccc(-c3nccs3)c2)c1 ZINC001140335085 1046662531 /nfs/dbraw/zinc/66/25/31/1046662531.db2.gz NNUSKLYSZZUBMV-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@@H]1CCc3ccc(C)cc31)CCO2 ZINC000678584474 1046662620 /nfs/dbraw/zinc/66/26/20/1046662620.db2.gz QSXJZLKLTUEJQC-UXHICEINSA-N 0 3 323.436 4.494 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H]1CCc2c1cccc2C ZINC000678583336 1046662676 /nfs/dbraw/zinc/66/26/76/1046662676.db2.gz XJIYOIUZSUOCGR-ZWKOTPCHSA-N 0 3 309.409 4.104 20 0 DIADHN CCOc1ccccc1CCN[C@@H](C)c1nc(C)sc1C ZINC000678582138 1046662960 /nfs/dbraw/zinc/66/29/60/1046662960.db2.gz DPARPCAOQYRHAG-LBPRGKRZSA-N 0 3 304.459 4.052 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@@H]1CCc3c1cccc3C)CCO2 ZINC000678582421 1046663165 /nfs/dbraw/zinc/66/31/65/1046663165.db2.gz IIXDZPRQAGNGKC-WOJBJXKFSA-N 0 3 323.436 4.494 20 0 DIADHN C[C@@H](NCC(=O)NC1CCCC1)c1cccc(-c2ccccc2)c1 ZINC000678585009 1046663194 /nfs/dbraw/zinc/66/31/94/1046663194.db2.gz JURNUVOMMRVNQY-MRXNPFEDSA-N 0 3 322.452 4.063 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1ccc(F)cc1Cl ZINC000678586208 1046663810 /nfs/dbraw/zinc/66/38/10/1046663810.db2.gz WKXAPRKZNNEVDO-KZULUSFZSA-N 0 3 319.807 4.444 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H]1OCCc2ccccc21 ZINC000678585791 1046664078 /nfs/dbraw/zinc/66/40/78/1046664078.db2.gz LTMHULLFEGGWAJ-FOIQADDNSA-N 0 3 311.425 4.050 20 0 DIADHN CC(C)CCN(C)Cc1nc2ccc(Br)cc2o1 ZINC000643366472 1046664640 /nfs/dbraw/zinc/66/46/40/1046664640.db2.gz VZYLJAWBCBCIAS-UHFFFAOYSA-N 0 3 311.223 4.068 20 0 DIADHN FC(F)[C@H]1CCCN(Cc2cccc(-c3nccs3)c2)C1 ZINC001140337427 1046665212 /nfs/dbraw/zinc/66/52/12/1046665212.db2.gz NHTVCTJIZRAYQX-AWEZNQCLSA-N 0 3 308.397 4.287 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1sccc1C ZINC000678589771 1046665511 /nfs/dbraw/zinc/66/55/11/1046665511.db2.gz RDDFVFUDGLQWLI-JSGCOSHPSA-N 0 3 303.427 4.240 20 0 DIADHN C[C@@H](NC[C@H]1OCCc2ccccc21)c1cc(F)c(F)c(F)c1 ZINC000678589867 1046666507 /nfs/dbraw/zinc/66/65/07/1046666507.db2.gz RSQLSHWBVPUDFI-PIGZYNQJSA-N 0 3 321.342 4.069 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC000678594804 1046669058 /nfs/dbraw/zinc/66/90/58/1046669058.db2.gz MYEPCQWIXJYICX-XIKOKIGWSA-N 0 3 321.367 4.012 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC000678594803 1046669500 /nfs/dbraw/zinc/66/95/00/1046669500.db2.gz MYEPCQWIXJYICX-SGTLLEGYSA-N 0 3 321.367 4.012 20 0 DIADHN Fc1ccc(CC2CN(Cc3cncc4ccccc43)C2)cc1 ZINC000784209777 1046673228 /nfs/dbraw/zinc/67/32/28/1046673228.db2.gz ZAYVLZORTGCMNW-UHFFFAOYSA-N 0 3 306.384 4.048 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1ccccc1 ZINC000533224220 1046674165 /nfs/dbraw/zinc/67/41/65/1046674165.db2.gz RAFMGGYVFPJJMS-FUHWJXTLSA-N 0 3 304.478 4.007 20 0 DIADHN Cc1nc([C@H](C)NCCC2Cc3ccccc3C2)c(C)s1 ZINC000678607917 1046677191 /nfs/dbraw/zinc/67/71/91/1046677191.db2.gz WBROCHNWBUDYPG-LBPRGKRZSA-N 0 3 300.471 4.216 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)C2CCC2)nnn1-c1cccc(Cl)c1 ZINC000678608121 1046677469 /nfs/dbraw/zinc/67/74/69/1046677469.db2.gz YSFVVCDUZXGEHX-RYUDHWBXSA-N 0 3 318.852 4.068 20 0 DIADHN C[C@@H](CC(C)(C)c1c[nH]c2ccccc21)N[C@H]1CCn2ccnc21 ZINC000834832295 1046677529 /nfs/dbraw/zinc/67/75/29/1046677529.db2.gz NULXLCGSWIRLKL-KSSFIOAISA-N 0 3 322.456 4.155 20 0 DIADHN c1ccc(-c2ccc(CN3CCC[C@H]3c3cccnc3)cc2)nc1 ZINC001140377485 1046677721 /nfs/dbraw/zinc/67/77/21/1046677721.db2.gz YNXQUSRZMGXUHF-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N1C2CCC1CC2)c1ccc2ccccc2c1 ZINC000533237285 1046678071 /nfs/dbraw/zinc/67/80/71/1046678071.db2.gz KNGQVYWGERBLCI-IDBCUWONSA-N 0 3 322.452 4.032 20 0 DIADHN C[C@H](CC(C)(C)c1c[nH]c2ccccc21)N[C@@H]1CCn2ccnc21 ZINC000834832296 1046678740 /nfs/dbraw/zinc/67/87/40/1046678740.db2.gz NULXLCGSWIRLKL-RDTXWAMCSA-N 0 3 322.456 4.155 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](c1cccnc1)C1CCC1 ZINC000795793559 1046679048 /nfs/dbraw/zinc/67/90/48/1046679048.db2.gz IDBJPTMQZCTGSL-FQEVSTJZSA-N 0 3 309.457 4.169 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2N[C@@H](c1cccnc1)C1CC1 ZINC000678609276 1046679154 /nfs/dbraw/zinc/67/91/54/1046679154.db2.gz LDZIMKZSFMKYRQ-ZWKOTPCHSA-N 0 3 300.352 4.088 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000678638230 1046682671 /nfs/dbraw/zinc/68/26/71/1046682671.db2.gz HNIHKYDEZHAYFM-ILXRZTDVSA-N 0 3 309.400 4.164 20 0 DIADHN C[C@H](c1ccc(F)cc1F)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000678638233 1046684075 /nfs/dbraw/zinc/68/40/75/1046684075.db2.gz HNIHKYDEZHAYFM-RBSFLKMASA-N 0 3 309.400 4.164 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N(C)C[C@@H](C)c2ccccc2)c1C ZINC000533263301 1046684446 /nfs/dbraw/zinc/68/44/46/1046684446.db2.gz UWYMZYAOSBJTOJ-SJLPKXTDSA-N 0 3 324.468 4.366 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1c(C)cccc1C ZINC000533290061 1046687867 /nfs/dbraw/zinc/68/78/67/1046687867.db2.gz NSYLLDCBVORGEL-YOEHRIQHSA-N 0 3 302.462 4.143 20 0 DIADHN Fc1ccccc1-c1ncc(CN2CCC[C@@H]3CCC[C@H]32)cn1 ZINC001140398828 1046688622 /nfs/dbraw/zinc/68/86/22/1046688622.db2.gz AFZDGMWSIVOQCZ-MAUKXSAKSA-N 0 3 311.404 4.047 20 0 DIADHN Brc1ccc2oc(CNC[C@@H]3CC=CCC3)nc2c1 ZINC000643885145 1046689054 /nfs/dbraw/zinc/68/90/54/1046689054.db2.gz IOGSDDNYTQJAER-LLVKDONJSA-N 0 3 321.218 4.036 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CN(C)Cc3ccccc32)C2CC2)cc1 ZINC000678695507 1046689168 /nfs/dbraw/zinc/68/91/68/1046689168.db2.gz JRTCVKVCKAUPIS-RTWAWAEBSA-N 0 3 306.453 4.222 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC000643885554 1046689272 /nfs/dbraw/zinc/68/92/72/1046689272.db2.gz JNGDLJWRPFTROX-UHFFFAOYSA-N 0 3 318.420 4.263 20 0 DIADHN CC(C)C1CCN(Cc2cnc(-c3ccccc3F)nc2)CC1 ZINC001140405176 1046690697 /nfs/dbraw/zinc/69/06/97/1046690697.db2.gz LSSMOFTXUFIOIY-UHFFFAOYSA-N 0 3 313.420 4.151 20 0 DIADHN CC(C)(CN1CCC[C@@H](OCC(F)(F)F)C1)c1ccccc1 ZINC000678728296 1046691172 /nfs/dbraw/zinc/69/11/72/1046691172.db2.gz DAVRRSQJLSGRNR-OAHLLOKOSA-N 0 3 315.379 4.008 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1cccc(N(C)C)c1 ZINC000678745707 1046693376 /nfs/dbraw/zinc/69/33/76/1046693376.db2.gz SCNVCXALHUPHIC-IBGZPJMESA-N 0 3 312.457 4.392 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccc(OCC2CC2)nc1 ZINC000678768993 1046697196 /nfs/dbraw/zinc/69/71/96/1046697196.db2.gz YCMPJNQSHMSACI-BTYIYWSLSA-N 0 3 322.452 4.284 20 0 DIADHN C[C@H](NCC(C)(C)C1CCC1)c1nc(Br)cs1 ZINC000834883039 1046697209 /nfs/dbraw/zinc/69/72/09/1046697209.db2.gz GYDPOSRPMNOBSN-VIFPVBQESA-N 0 3 317.296 4.383 20 0 DIADHN CC[C@H](NCCc1ccc(-c2ccccc2)cc1)c1nccn1C ZINC000678773184 1046698420 /nfs/dbraw/zinc/69/84/20/1046698420.db2.gz VCBUNDUYBJQCKC-FQEVSTJZSA-N 0 3 319.452 4.371 20 0 DIADHN CC[C@@H](NCCc1ccc(-c2ccccc2)cc1)c1nccn1C ZINC000678773188 1046698629 /nfs/dbraw/zinc/69/86/29/1046698629.db2.gz VCBUNDUYBJQCKC-HXUWFJFHSA-N 0 3 319.452 4.371 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000678776428 1046699904 /nfs/dbraw/zinc/69/99/04/1046699904.db2.gz MAQXLOFOZVAJRE-HXUWFJFHSA-N 0 3 322.452 4.373 20 0 DIADHN N[C@H]1CCCc2cn(Cc3sc4ccccc4c3Cl)nc21 ZINC000644167916 1046700155 /nfs/dbraw/zinc/70/01/55/1046700155.db2.gz PNIBOXGURDWDHN-LBPRGKRZSA-N 0 3 317.845 4.136 20 0 DIADHN CS[C@H](CNCc1c(Cl)n(C)nc1C(C)C)C(C)(C)C ZINC000784624576 1046700671 /nfs/dbraw/zinc/70/06/71/1046700671.db2.gz AHHRVURGXPCFSS-GFCCVEGCSA-N 0 3 317.930 4.064 20 0 DIADHN CCN(C(=O)CN[C@@H](CC1CC1)c1ccccc1)c1ccccc1 ZINC000678776317 1046701078 /nfs/dbraw/zinc/70/10/78/1046701078.db2.gz KJYXJTXDMRNXEP-FQEVSTJZSA-N 0 3 322.452 4.171 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](CC1CC1)c1ccccc1 ZINC000678777369 1046701155 /nfs/dbraw/zinc/70/11/55/1046701155.db2.gz SZLTXXZFLRMCNB-LJQANCHMSA-N 0 3 308.425 4.065 20 0 DIADHN CC(C)N(C(=O)CN[C@H](c1ccccc1)C(C)(C)C)C(C)C ZINC000533353339 1046705514 /nfs/dbraw/zinc/70/55/14/1046705514.db2.gz RYANYKQVVCPLAP-GOSISDBHSA-N 0 3 304.478 4.009 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCCOc1c(C)cccc1C ZINC000678804038 1046705785 /nfs/dbraw/zinc/70/57/85/1046705785.db2.gz GAXNKSDLKWIRPR-AWEZNQCLSA-N 0 3 302.418 4.028 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2)C2CCC2)cc1 ZINC000533368000 1046709854 /nfs/dbraw/zinc/70/98/54/1046709854.db2.gz HJFBWDDEKRABRE-HXUWFJFHSA-N 0 3 308.425 4.065 20 0 DIADHN COCCCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000533371995 1046710863 /nfs/dbraw/zinc/71/08/63/1046710863.db2.gz OVERILGDVYVJGT-HNNXBMFYSA-N 0 3 301.352 4.269 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NCc1ccc2c(c1)CCCC2 ZINC000644388224 1046711021 /nfs/dbraw/zinc/71/10/21/1046711021.db2.gz NPNWEUYSCOWTOB-HXUWFJFHSA-N 0 3 323.436 4.187 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2cc(Cl)c(N)c(Cl)c2)cn1 ZINC000834902783 1046712424 /nfs/dbraw/zinc/71/24/24/1046712424.db2.gz LHJXCVFSKVYCEG-LLVKDONJSA-N 0 3 324.255 4.438 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cc(Cl)c(N)c(Cl)c2)cn1 ZINC000834902798 1046712805 /nfs/dbraw/zinc/71/28/05/1046712805.db2.gz LHJXCVFSKVYCEG-NSHDSACASA-N 0 3 324.255 4.438 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cc(-c2ccc(C)cc2)no1 ZINC000533393120 1046713640 /nfs/dbraw/zinc/71/36/40/1046713640.db2.gz AWXIKOGJMQHNCH-AWEZNQCLSA-N 0 3 304.459 4.223 20 0 DIADHN CC[C@H](NCc1cnc(C)cc1C)c1ccc2c(c1)CCCO2 ZINC000834903454 1046714435 /nfs/dbraw/zinc/71/44/35/1046714435.db2.gz PQGUXHXFCKRJSJ-IBGZPJMESA-N 0 3 310.441 4.264 20 0 DIADHN C[C@H]1CN(Cc2nc3c(s2)CCC3)CC[C@H]1c1ccccc1 ZINC000678835754 1046716861 /nfs/dbraw/zinc/71/68/61/1046716861.db2.gz ZUVLGHWPMWHKGQ-GOEBONIOSA-N 0 3 312.482 4.257 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2c(s1)CCC2 ZINC000678838378 1046717327 /nfs/dbraw/zinc/71/73/27/1046717327.db2.gz VYSZQGHEFPHXLQ-GDBMZVCRSA-N 0 3 312.482 4.085 20 0 DIADHN COc1ccccc1[C@H](O)CN[C@@H](CCC(C)C)c1ccoc1 ZINC000796700884 1046718290 /nfs/dbraw/zinc/71/82/90/1046718290.db2.gz FSBKSFHXMQXOGU-ZWKOTPCHSA-N 0 3 317.429 4.089 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2ccccc2CO)cc1Cl ZINC000796699938 1046718918 /nfs/dbraw/zinc/71/89/18/1046718918.db2.gz BRVSEDKCOQMEIE-CYBMUJFWSA-N 0 3 319.832 4.000 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1cccnc1Cl ZINC000834910965 1046719054 /nfs/dbraw/zinc/71/90/54/1046719054.db2.gz WQWBYFUYCYANQC-YRGRVCCFSA-N 0 3 322.861 4.367 20 0 DIADHN COc1cc(F)cc(C[C@H](C)N[C@H](C)c2cccnc2Cl)c1 ZINC000834913958 1046720323 /nfs/dbraw/zinc/72/03/23/1046720323.db2.gz RPAYIADFRXAKKL-NWDGAFQWSA-N 0 3 322.811 4.165 20 0 DIADHN CN(Cc1c[nH]c2cccc(Cl)c12)Cc1cccc(O)c1 ZINC001140432711 1046720713 /nfs/dbraw/zinc/72/07/13/1046720713.db2.gz FPRLDCIDSZFUAV-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@H](C)C[C@@H]3C)cs2)c1 ZINC000533431119 1046722336 /nfs/dbraw/zinc/72/23/36/1046722336.db2.gz OOEFJZQUAXWVPP-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@@H](C)C[C@@H]3C)cs2)c1 ZINC000533431123 1046722504 /nfs/dbraw/zinc/72/25/04/1046722504.db2.gz OOEFJZQUAXWVPP-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c3cccc(Cl)c23)cc1 ZINC001140433235 1046723014 /nfs/dbraw/zinc/72/30/14/1046723014.db2.gz TVTWRKVETMTMQI-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN c1ccc(C[C@H]2CCN(Cc3nc4ccccc4s3)C2)cc1 ZINC000533430578 1046723376 /nfs/dbraw/zinc/72/33/76/1046723376.db2.gz KDJSMFGHWODBOM-MRXNPFEDSA-N 0 3 308.450 4.361 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CCCCC1)c1ccc(=O)[nH]n1 ZINC000796718643 1046723703 /nfs/dbraw/zinc/72/37/03/1046723703.db2.gz XEQFXMPZAHAUDA-IFXJQAMLSA-N 0 3 311.429 4.154 20 0 DIADHN CC(C)CC[C@@H](NCc1nc(-c2ccccn2)no1)C(C)(C)C ZINC000678898954 1046728601 /nfs/dbraw/zinc/72/86/01/1046728601.db2.gz TVDZZQDAGWUMRP-OAHLLOKOSA-N 0 3 316.449 4.072 20 0 DIADHN COc1ccc(-c2cnc(CNC(C(C)C)C(C)C)o2)cc1 ZINC000678900447 1046729858 /nfs/dbraw/zinc/72/98/58/1046729858.db2.gz MTRGUNAPFJRLJI-UHFFFAOYSA-N 0 3 302.418 4.120 20 0 DIADHN CCc1sc(-c2nnc(CNC(C(C)C)C(C)C)o2)cc1C ZINC000678900769 1046730747 /nfs/dbraw/zinc/73/07/47/1046730747.db2.gz YRLOLZXSJGXUJC-UHFFFAOYSA-N 0 3 321.490 4.439 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@H](OC(C)C)c1ccccc1 ZINC000644681836 1046735678 /nfs/dbraw/zinc/73/56/78/1046735678.db2.gz BXQNFNNXMYRKJH-DYVFJYSZSA-N 0 3 302.418 4.108 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1cnc(C)s1 ZINC000678932184 1046738359 /nfs/dbraw/zinc/73/83/59/1046738359.db2.gz XKBKYXBSDMKVBC-UVWXRNBGSA-N 0 3 308.422 4.017 20 0 DIADHN CC(C)CC[C@H](NC[C@@H](O)c1c(F)cccc1F)c1ccoc1 ZINC000796814960 1046738848 /nfs/dbraw/zinc/73/88/48/1046738848.db2.gz BHMDAKTZOVADLO-DLBZAZTESA-N 0 3 323.383 4.358 20 0 DIADHN CC(C)(CNCc1nc2c(s1)CCCC2)c1ccccc1 ZINC000678946957 1046743426 /nfs/dbraw/zinc/74/34/26/1046743426.db2.gz OKFUMENTAIWHTH-UHFFFAOYSA-N 0 3 300.471 4.089 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cccc(F)c1F)c1ccc(F)cc1 ZINC000678945946 1046744099 /nfs/dbraw/zinc/74/40/99/1046744099.db2.gz SZCIQPGHRBSINH-BZNIZROVSA-N 0 3 309.331 4.142 20 0 DIADHN FC1(F)CC[C@@H](NCc2c(Cl)cccc2N2CCCC2)C1 ZINC000678994686 1046751469 /nfs/dbraw/zinc/75/14/69/1046751469.db2.gz RZAUGKNIKODURV-GFCCVEGCSA-N 0 3 314.807 4.218 20 0 DIADHN CC[C@H](OCCNCc1cccc(Cl)c1N)c1ccccc1 ZINC001203042918 1046753373 /nfs/dbraw/zinc/75/33/73/1046753373.db2.gz HGNLGMNJYFADTN-KRWDZBQOSA-N 0 3 318.848 4.180 20 0 DIADHN Cc1cccn2cc(CN3CCC[C@H](c4ccccc4)C3)nc12 ZINC000645010786 1046755701 /nfs/dbraw/zinc/75/57/01/1046755701.db2.gz XNQFNAICUPLILH-SFHVURJKSA-N 0 3 305.425 4.022 20 0 DIADHN CC(C)(C)c1nc(CN[C@H](c2ccccc2)C2CCCC2)n[nH]1 ZINC000644998249 1046756205 /nfs/dbraw/zinc/75/62/05/1046756205.db2.gz MLQUNQCLVKKNSZ-QGZVFWFLSA-N 0 3 312.461 4.123 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1(c3ccc(F)cc3)CCC1)CC2 ZINC000679014752 1046757945 /nfs/dbraw/zinc/75/79/45/1046757945.db2.gz ABOJEMYVDUWGMJ-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H](C)[C@H]2CCCCO2)o1 ZINC000679029442 1046760105 /nfs/dbraw/zinc/76/01/05/1046760105.db2.gz QCYGJVZKWCTIDA-RDTXWAMCSA-N 0 3 317.404 4.441 20 0 DIADHN Cc1ncc([C@@H](C)NC(C)(C)c2ccc(Cl)cc2)c(C)n1 ZINC000679028427 1046760814 /nfs/dbraw/zinc/76/08/14/1046760814.db2.gz NXXYJJMDTYIFSP-GFCCVEGCSA-N 0 3 303.837 4.333 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H]2CC(C)(C)C)c(Cl)c1OC ZINC001203043416 1046760997 /nfs/dbraw/zinc/76/09/97/1046760997.db2.gz PEKDENCCRVBADL-QWHCGFSZSA-N 0 3 311.853 4.272 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCCO[C@H](c2ccccc2)C1 ZINC000645083182 1046762394 /nfs/dbraw/zinc/76/23/94/1046762394.db2.gz NQCLMMZVGGMDCM-NRFANRHFSA-N 0 3 324.468 4.477 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCCO[C@@H](c2ccccc2)C1 ZINC000645083183 1046762562 /nfs/dbraw/zinc/76/25/62/1046762562.db2.gz NQCLMMZVGGMDCM-OAQYLSRUSA-N 0 3 324.468 4.477 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4ccc(F)cc43)CC2)cc1 ZINC000679030470 1046762740 /nfs/dbraw/zinc/76/27/40/1046762740.db2.gz UQQFZWKCFCEPEP-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN COc1cc(OC)c([C@H](C)N[C@@H]2CCC(F)(F)C2)cc1Cl ZINC000679071141 1046768953 /nfs/dbraw/zinc/76/89/53/1046768953.db2.gz DNPLFIXEQUYMLQ-VHSXEESVSA-N 0 3 319.779 4.196 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@H](C)c2ccc3ccccc3n2)cc1 ZINC000797014764 1046771199 /nfs/dbraw/zinc/77/11/99/1046771199.db2.gz DWZPNFMNVYRYPF-VFNWGFHPSA-N 0 3 320.436 4.181 20 0 DIADHN Fc1cc(Cl)cc(CN2CCC[C@H]2Cc2ccccn2)c1 ZINC001140538952 1046771708 /nfs/dbraw/zinc/77/17/08/1046771708.db2.gz PIHKOBGINXJDME-KRWDZBQOSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@@H](C)c2cc(F)ccc2F)cc1 ZINC000797059644 1046781492 /nfs/dbraw/zinc/78/14/92/1046781492.db2.gz KFLNKHNIKXBVNT-VEVIJQCQSA-N 0 3 305.368 4.046 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccc(O)c(C)c3)CC2)cc1 ZINC001140575609 1046791096 /nfs/dbraw/zinc/79/10/96/1046791096.db2.gz RDRNQRNFKGGAND-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1cccc(C2CC2)c1 ZINC000645428762 1046791469 /nfs/dbraw/zinc/79/14/69/1046791469.db2.gz ZINVYHOGRVYZBZ-BTYIYWSLSA-N 0 3 306.453 4.401 20 0 DIADHN Cc1cc(CN(C)Cc2cccc(C(F)(F)F)c2)ccc1O ZINC001140579178 1046791599 /nfs/dbraw/zinc/79/15/99/1046791599.db2.gz NWMYWXIZHZUOHU-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN CCC1(CC)CCC([NH2+][C@H](c2cccs2)c2nnc[n-]2)CC1 ZINC000645454820 1046792290 /nfs/dbraw/zinc/79/22/90/1046792290.db2.gz UFYJUGFVCCEOTR-OAHLLOKOSA-N 0 3 318.490 4.294 20 0 DIADHN CC[C@H](NCc1cnccc1OC)c1cccc2ccccc21 ZINC000645467322 1046793540 /nfs/dbraw/zinc/79/35/40/1046793540.db2.gz RPUTVSZOTRYGMT-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)cc(Cl)c21)c1cc[nH]c(=O)c1 ZINC000645485599 1046794389 /nfs/dbraw/zinc/79/43/89/1046794389.db2.gz YMBODSPSGCCZAP-LKFCYVNXSA-N 0 3 323.223 4.432 20 0 DIADHN Cc1c([C@@H](C)NC2(C(C)C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000645493643 1046795174 /nfs/dbraw/zinc/79/51/74/1046795174.db2.gz NOBZEHBRYYRQHX-GFCCVEGCSA-N 0 3 318.852 4.068 20 0 DIADHN COCCCCN1CCc2sccc2[C@H]1c1cccs1 ZINC000074329843 1046805636 /nfs/dbraw/zinc/80/56/36/1046805636.db2.gz WYSIIQRBSSZBQE-INIZCTEOSA-N 0 3 307.484 4.184 20 0 DIADHN C[C@H](c1ncc(-c2ccc(Cl)cc2)o1)N(C)C[C@H]1CCOC1 ZINC000246588097 1046811439 /nfs/dbraw/zinc/81/14/39/1046811439.db2.gz GLZLXKHVGLSKML-CHWSQXEVSA-N 0 3 320.820 4.024 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(OC(F)F)c(O)c1 ZINC001140628803 1046817813 /nfs/dbraw/zinc/81/78/13/1046817813.db2.gz YCGLLPCGIVSTPK-UHFFFAOYSA-N 0 3 321.367 4.406 20 0 DIADHN c1coc(CN(CCC[C@H]2CCOC2)Cc2cccs2)c1 ZINC000645768373 1046818068 /nfs/dbraw/zinc/81/80/68/1046818068.db2.gz PXWRXOANFNCSJA-HNNXBMFYSA-N 0 3 305.443 4.160 20 0 DIADHN C[C@@H](CN[C@H]1CCCc2c(Cl)sc(Cl)c21)C[C@H](C)O ZINC000248174326 1046836741 /nfs/dbraw/zinc/83/67/41/1046836741.db2.gz VHWNGFCWGOTFJM-YWVKMMECSA-N 0 3 322.301 4.429 20 0 DIADHN CC[C@@](C)(NCCc1c(F)cccc1F)c1nc(C)cs1 ZINC000645832793 1046822021 /nfs/dbraw/zinc/82/20/21/1046822021.db2.gz QDPDSYPXAQCTNZ-MRXNPFEDSA-N 0 3 310.413 4.187 20 0 DIADHN CC(C)(CO)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000679357048 1046824640 /nfs/dbraw/zinc/82/46/40/1046824640.db2.gz UDVDEHOVRFKTDO-KRWDZBQOSA-N 0 3 321.823 4.177 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@H](C)c2nc(C)sc2C)CCO1 ZINC000679360840 1046827646 /nfs/dbraw/zinc/82/76/46/1046827646.db2.gz LSCSOBDRYBVKPZ-LYRGGWFBSA-N 0 3 310.507 4.394 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1noc2c1CCCC2 ZINC000679368040 1046828079 /nfs/dbraw/zinc/82/80/79/1046828079.db2.gz GGSWJXYRJKESFP-KRWDZBQOSA-N 0 3 316.420 4.049 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CCC(C)(C)C[C@H]1C ZINC000247261159 1046827991 /nfs/dbraw/zinc/82/79/91/1046827991.db2.gz ZFQLLLJPUAJBAF-KFWWJZLASA-N 0 3 304.482 4.041 20 0 DIADHN COc1cc(CN2CC=CCC2)c(-c2ccccc2)cc1OC ZINC000679358484 1046828546 /nfs/dbraw/zinc/82/85/46/1046828546.db2.gz GXKRTVDKBJLVTM-UHFFFAOYSA-N 0 3 309.409 4.133 20 0 DIADHN c1c2ccccc2oc1[C@H](NCC[C@H]1CCCO1)c1cccnc1 ZINC000679375297 1046829728 /nfs/dbraw/zinc/82/97/28/1046829728.db2.gz XOUAZBPDFJKCIL-YLJYHZDGSA-N 0 3 322.408 4.076 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1cccc2ccncc21 ZINC001140644458 1046831283 /nfs/dbraw/zinc/83/12/83/1046831283.db2.gz ORHIFOANXWYCLH-UHFFFAOYSA-N 0 3 310.422 4.001 20 0 DIADHN Oc1ccc(CNCc2ccc(COc3ccccc3)cc2)cc1 ZINC000679395242 1046835560 /nfs/dbraw/zinc/83/55/60/1046835560.db2.gz RTZBYMJDXVWYRT-UHFFFAOYSA-N 0 3 319.404 4.261 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)Cc1ccsc1 ZINC000646048958 1046847660 /nfs/dbraw/zinc/84/76/60/1046847660.db2.gz ZGEIAHRFQUZLNV-GDNBJRDFSA-N 0 3 301.455 4.430 20 0 DIADHN Cn1c(Cl)cnc1CN(CCc1ccccc1)C1CCCC1 ZINC000303021361 1046848511 /nfs/dbraw/zinc/84/85/11/1046848511.db2.gz ULVPGVNNXDXPHQ-UHFFFAOYSA-N 0 3 317.864 4.061 20 0 DIADHN CN(Cc1nc2c(s1)CCCC2)C[C@H]1CCc2ccccc21 ZINC000679536248 1046860664 /nfs/dbraw/zinc/86/06/64/1046860664.db2.gz GWGZVSBFKGYNDU-OAHLLOKOSA-N 0 3 312.482 4.184 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(O)cc2)ccc1OC(C)C ZINC000237276299 1046862098 /nfs/dbraw/zinc/86/20/98/1046862098.db2.gz VIBLQYUQXMQRFS-AWEZNQCLSA-N 0 3 315.413 4.039 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc(-n2ccnc2)cc1 ZINC000679541441 1046862774 /nfs/dbraw/zinc/86/27/74/1046862774.db2.gz ZFMMMRAJFGEYAJ-ZFWWWQNUSA-N 0 3 323.362 4.035 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@H]1C1CCC1 ZINC000646138799 1046864629 /nfs/dbraw/zinc/86/46/29/1046864629.db2.gz WIMLVSNEPJHFBJ-SFHVURJKSA-N 0 3 314.473 4.151 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cc(C)nc(Cl)c1 ZINC000797600849 1046868078 /nfs/dbraw/zinc/86/80/78/1046868078.db2.gz GYDWQTBGFYBUNM-PXAZEXFGSA-N 0 3 322.811 4.048 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3cc(C)nc(Cl)c3)C2)c1 ZINC000797602879 1046871126 /nfs/dbraw/zinc/87/11/26/1046871126.db2.gz YDXARWYVGPQOIA-KRWDZBQOSA-N 0 3 300.833 4.341 20 0 DIADHN COc1ccc(CN2CC[C@H]3CCC[C@H]3C2)c(Br)c1 ZINC001140757083 1046887007 /nfs/dbraw/zinc/88/70/07/1046887007.db2.gz DNXMAGKMOAERAZ-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3c(Cl)ncc4ccccc43)C[C@H]2C1 ZINC001140720877 1046874007 /nfs/dbraw/zinc/87/40/07/1046874007.db2.gz AIDXMCSUFDMWDT-BETUJISGSA-N 0 3 322.786 4.365 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1n[nH]c2ccccc21)\c1ccccc1 ZINC000679582585 1046875884 /nfs/dbraw/zinc/87/58/84/1046875884.db2.gz DELOELCAGGIOJD-QOHRORDFSA-N 0 3 303.409 4.241 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1[nH]nc2ccccc21)\c1ccccc1 ZINC000679582585 1046875901 /nfs/dbraw/zinc/87/59/01/1046875901.db2.gz DELOELCAGGIOJD-QOHRORDFSA-N 0 3 303.409 4.241 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@H]1/C=C\c1ccccc1 ZINC000679582671 1046877931 /nfs/dbraw/zinc/87/79/31/1046877931.db2.gz LGZPJYMMDFYLTN-ODLZTCLXSA-N 0 3 320.436 4.323 20 0 DIADHN COc1ccc(CN2C[C@@H](C(F)(F)F)CC[C@@H]2C)cc1C ZINC000679582806 1046878781 /nfs/dbraw/zinc/87/87/81/1046878781.db2.gz ACPHKGZGNOFDBK-JSGCOSHPSA-N 0 3 301.352 4.166 20 0 DIADHN CN(Cc1ccc(C(C)(C)C)[nH]1)Cc1ccc(-n2ccnc2)cc1 ZINC001137378681 1046879766 /nfs/dbraw/zinc/87/97/66/1046879766.db2.gz ASRZOTMLOOEHMQ-UHFFFAOYSA-N 0 3 322.456 4.130 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc2ncccc2c1 ZINC000679584162 1046880021 /nfs/dbraw/zinc/88/00/21/1046880021.db2.gz LVJJAHDIPGLAAE-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN CCOc1cccc(CN2C[C@@H](C(F)(F)F)CC[C@@H]2C)c1 ZINC000679584390 1046880665 /nfs/dbraw/zinc/88/06/65/1046880665.db2.gz NPUDUGMMWSEOTA-JSGCOSHPSA-N 0 3 301.352 4.248 20 0 DIADHN Cn1cnc2ccc(CN3CCC[C@@H]3c3ccccc3F)cc21 ZINC001140746829 1046884786 /nfs/dbraw/zinc/88/47/86/1046884786.db2.gz NWVSQZYGJVYDPY-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1cc(Br)ccn1 ZINC001140761161 1046887154 /nfs/dbraw/zinc/88/71/54/1046887154.db2.gz UYWHAVYLVJTTKO-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NC1CSC1 ZINC000679626040 1046887475 /nfs/dbraw/zinc/88/74/75/1046887475.db2.gz OBCJCMBLXCQAPY-VIFPVBQESA-N 0 3 308.447 4.024 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cc(Br)ccn1 ZINC001140766226 1046887346 /nfs/dbraw/zinc/88/73/46/1046887346.db2.gz DEKMUWZWQYYOGQ-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN CCCN(Cc1ccc(OC)cc1Br)CC1CC1 ZINC001140755645 1046889418 /nfs/dbraw/zinc/88/94/18/1046889418.db2.gz NYNKJNUTJTXSAG-UHFFFAOYSA-N 0 3 312.251 4.080 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc(C)nc2N2CCCC2)c1 ZINC000679722569 1046895663 /nfs/dbraw/zinc/89/56/63/1046895663.db2.gz FRHGTBQWOPFUFU-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc(C)cc1OC ZINC000319972637 1046897074 /nfs/dbraw/zinc/89/70/74/1046897074.db2.gz WQCARSXMHIWKOG-MRXNPFEDSA-N 0 3 313.441 4.391 20 0 DIADHN Oc1cc(Cl)cc(CN2CC[C@@H](Cc3ccccc3)C2)c1 ZINC001140794514 1046900484 /nfs/dbraw/zinc/90/04/84/1046900484.db2.gz NSSAALNNOMUXIP-HNNXBMFYSA-N 0 3 301.817 4.110 20 0 DIADHN Cc1cccc(C)c1CNC[C@@H](OC1CCCC1)C(F)(F)F ZINC001203067323 1046906185 /nfs/dbraw/zinc/90/61/85/1046906185.db2.gz DMJXDLOTDKRIAP-MRXNPFEDSA-N 0 3 315.379 4.283 20 0 DIADHN COCC1CN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139571854 1046910523 /nfs/dbraw/zinc/91/05/23/1046910523.db2.gz HYTJAFSAPUZQLI-UHFFFAOYSA-N 0 3 301.817 4.085 20 0 DIADHN C[C@H](c1ccco1)N(CCCN(C)C(=O)OC(C)(C)C)C1CC1 ZINC000797975132 1046913983 /nfs/dbraw/zinc/91/39/83/1046913983.db2.gz YCXQQLYVGKJGQH-CQSZACIVSA-N 0 3 322.449 4.062 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(F)cc2N(C)C)ccc1C ZINC000161806336 1046915104 /nfs/dbraw/zinc/91/51/04/1046915104.db2.gz DZKNDFAGNONCHX-AWEZNQCLSA-N 0 3 316.420 4.060 20 0 DIADHN Cc1c[nH]c(CN2CCC[C@]3(C[C@H](c4ccccc4)CO3)C2)c1C ZINC001140860642 1046933366 /nfs/dbraw/zinc/93/33/66/1046933366.db2.gz RMLKOFNZHYBHTO-FPOVZHCZSA-N 0 3 324.468 4.170 20 0 DIADHN Cc1c[nH]c(CN2CCC(Oc3cccc(Cl)c3)CC2)c1C ZINC001140860735 1046935208 /nfs/dbraw/zinc/93/52/08/1046935208.db2.gz ZCDJUPVEWRIAFY-UHFFFAOYSA-N 0 3 318.848 4.328 20 0 DIADHN Nc1cccc(F)c1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC001140872451 1046937316 /nfs/dbraw/zinc/93/73/16/1046937316.db2.gz DYFZHYMVKKSBHJ-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN Nc1cccc(F)c1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001140875286 1046939617 /nfs/dbraw/zinc/93/96/17/1046939617.db2.gz FSHVTEFHSQABRK-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN COc1ccc(CNCCCOc2ccccc2F)c(Cl)c1 ZINC000798238413 1046940989 /nfs/dbraw/zinc/94/09/89/1046940989.db2.gz VTPIIHSGGNKVMS-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](C)c1cnccc1C)CCC2 ZINC000678425664 1046941029 /nfs/dbraw/zinc/94/10/29/1046941029.db2.gz BNHMOOXSOYEHMD-OCCSQVGLSA-N 0 3 301.459 4.137 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(C(F)(F)F)cc3)CC2)n[nH]1 ZINC001203075311 1046942798 /nfs/dbraw/zinc/94/27/98/1046942798.db2.gz DAGQQHUFQNHYGA-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CN(Cc1ccc([O-])c(F)c1F)Cc1cccc2ccccc21 ZINC001140890891 1046942999 /nfs/dbraw/zinc/94/29/99/1046942999.db2.gz PFNXMAKMDPKUAM-UHFFFAOYSA-N 0 3 313.347 4.456 20 0 DIADHN CN(Cc1ccc(O)c(F)c1F)Cc1cccc2ccccc21 ZINC001140890891 1046943011 /nfs/dbraw/zinc/94/30/11/1046943011.db2.gz PFNXMAKMDPKUAM-UHFFFAOYSA-N 0 3 313.347 4.456 20 0 DIADHN C[N@H+](Cc1ccc([O-])c(F)c1F)Cc1cccc2ccccc21 ZINC001140890891 1046943021 /nfs/dbraw/zinc/94/30/21/1046943021.db2.gz PFNXMAKMDPKUAM-UHFFFAOYSA-N 0 3 313.347 4.456 20 0 DIADHN C[N@@H+](Cc1ccc([O-])c(F)c1F)Cc1cccc2ccccc21 ZINC001140890891 1046943029 /nfs/dbraw/zinc/94/30/29/1046943029.db2.gz PFNXMAKMDPKUAM-UHFFFAOYSA-N 0 3 313.347 4.456 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccc([O-])c(F)c1F ZINC001140887948 1046944295 /nfs/dbraw/zinc/94/42/95/1046944295.db2.gz JVQJKNXIQFZHNE-OAHLLOKOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1ccc([O-])c(F)c1F ZINC001140887948 1046944300 /nfs/dbraw/zinc/94/43/00/1046944300.db2.gz JVQJKNXIQFZHNE-OAHLLOKOSA-N 0 3 303.352 4.316 20 0 DIADHN Cc1ccccc1[C@H]1CCC[N@H+]1Cc1ccc([O-])c(F)c1F ZINC001140887948 1046944306 /nfs/dbraw/zinc/94/43/06/1046944306.db2.gz JVQJKNXIQFZHNE-OAHLLOKOSA-N 0 3 303.352 4.316 20 0 DIADHN FC(F)Oc1ccc(CN2CCC3(CC3)C2)cc1OCC1CC1 ZINC001140903150 1046946097 /nfs/dbraw/zinc/94/60/97/1046946097.db2.gz KJYSHANWCMRWSB-UHFFFAOYSA-N 0 3 323.383 4.063 20 0 DIADHN FC(F)(F)c1ccncc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001140914940 1046948328 /nfs/dbraw/zinc/94/83/28/1046948328.db2.gz BCXYLQFNEKKASZ-UHFFFAOYSA-N 0 3 320.305 4.112 20 0 DIADHN CC1(C)CN(Cc2cccc3c2NCC3)CC[C@@H]1C(F)(F)F ZINC000261749068 1046948682 /nfs/dbraw/zinc/94/86/82/1046948682.db2.gz LQRAMZGUOTWYSB-AWEZNQCLSA-N 0 3 312.379 4.065 20 0 DIADHN CC[C@H](NCc1ccnn1CC(C)C)c1ccc(Cl)cc1 ZINC000647169975 1046950254 /nfs/dbraw/zinc/95/02/54/1046950254.db2.gz ISLACQAEQAWIOI-KRWDZBQOSA-N 0 3 305.853 4.433 20 0 DIADHN CC(C)c1ccc([C@H](NCc2cnc(N)s2)C(C)C)cc1 ZINC000261750893 1046950302 /nfs/dbraw/zinc/95/03/02/1046950302.db2.gz MRBSWCGYPZURML-MRXNPFEDSA-N 0 3 303.475 4.336 20 0 DIADHN Fc1cccc(OC(F)F)c1CNC1CCC(F)(F)CC1 ZINC000647189038 1046951940 /nfs/dbraw/zinc/95/19/40/1046951940.db2.gz ZWQAGQIFKOMHDH-UHFFFAOYSA-N 0 3 309.278 4.095 20 0 DIADHN Cc1nccnc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001140937607 1046953207 /nfs/dbraw/zinc/95/32/07/1046953207.db2.gz PYKMZKFUFPUQKI-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)s1 ZINC000827377470 1046953589 /nfs/dbraw/zinc/95/35/89/1046953589.db2.gz YUZSQEJPRQQLTC-ZBFHGGJFSA-N 0 3 308.491 4.048 20 0 DIADHN COc1ccc(CNC2(c3cccc(C)c3)CCC2)cc1OC ZINC000647236597 1046959716 /nfs/dbraw/zinc/95/97/16/1046959716.db2.gz UKIJGRXVVQNEGM-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3CCS[C@H]3C)cs2)cc1 ZINC000647258219 1046961968 /nfs/dbraw/zinc/96/19/68/1046961968.db2.gz XCVXUMQMPJRVAV-WFASDCNBSA-N 0 3 304.484 4.102 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1sccc1Br ZINC000162354046 1046962017 /nfs/dbraw/zinc/96/20/17/1046962017.db2.gz OJMUTMZNJBESGP-IUCAKERBSA-N 0 3 308.310 4.303 20 0 DIADHN CCOCc1ccc(CN[C@H](CC(C)C)c2ccncc2)cc1 ZINC000647282363 1046965460 /nfs/dbraw/zinc/96/54/60/1046965460.db2.gz QWWGWFCWKDYDPD-HXUWFJFHSA-N 0 3 312.457 4.495 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000680339288 1046966604 /nfs/dbraw/zinc/96/66/04/1046966604.db2.gz XYEDCQWLEUVLPC-UWJYYQICSA-N 0 3 318.395 4.240 20 0 DIADHN C[C@@H](CN[C@H](c1ccc(F)cc1)c1ccccn1)c1ccncc1 ZINC000680341658 1046967555 /nfs/dbraw/zinc/96/75/55/1046967555.db2.gz ATDVLDDLYPWRGA-MGPUTAFESA-N 0 3 321.399 4.098 20 0 DIADHN Cc1ccc(CCN[C@@H](c2cccc(F)c2)c2ccccn2)cc1 ZINC000680343463 1046968113 /nfs/dbraw/zinc/96/81/13/1046968113.db2.gz BQXYEFSWIJJYMR-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN CSc1cc(CN[C@H](CC(C)C)c2ccncc2)ccn1 ZINC000647292193 1046969894 /nfs/dbraw/zinc/96/98/94/1046969894.db2.gz WLFKFLZQVMHWMA-MRXNPFEDSA-N 0 3 301.459 4.076 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2ccc(-c3ccccn3)s2)C1 ZINC001141001991 1046975542 /nfs/dbraw/zinc/97/55/42/1046975542.db2.gz JICGKLALORWGDW-GFCCVEGCSA-N 0 3 308.397 4.287 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCCOc1ccc(Cl)cc1 ZINC000680381236 1046977623 /nfs/dbraw/zinc/97/76/23/1046977623.db2.gz PAKPHKGAZHPOOX-LLVKDONJSA-N 0 3 308.809 4.065 20 0 DIADHN Oc1cccc2ccc(CN3CCC[C@H]3c3ccccc3)nc12 ZINC001141023663 1046981431 /nfs/dbraw/zinc/98/14/31/1046981431.db2.gz SEABAQFVNVFFAE-SFHVURJKSA-N 0 3 304.393 4.278 20 0 DIADHN CC[C@@H](NCc1ccc(Br)cc1C)c1ccncc1 ZINC000152148986 1046984125 /nfs/dbraw/zinc/98/41/25/1046984125.db2.gz WBFJUOOAPUXZIA-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1nnc(-c2ccc(Nc3ccnc(C(C)(C)C)c3)cc2)o1 ZINC001203088680 1046988122 /nfs/dbraw/zinc/98/81/22/1046988122.db2.gz SUQUCVCOVTZIQA-UHFFFAOYSA-N 0 3 308.385 4.481 20 0 DIADHN c1cn2ccc(CN(Cc3ccccn3)C3CCCCC3)cc2n1 ZINC001141046602 1046990595 /nfs/dbraw/zinc/99/05/95/1046990595.db2.gz HLVZMHMNTIFJEB-UHFFFAOYSA-N 0 3 320.440 4.064 20 0 DIADHN CCN(Cc1cccc(Br)c1)Cc1ccnc(C)c1 ZINC001137417034 1046993934 /nfs/dbraw/zinc/99/39/34/1046993934.db2.gz VKBSEMLZILFYRS-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CCCCc1nc(CN2CCC3(C=Cc4ccccc43)CC2)c[nH]1 ZINC001141067672 1046995676 /nfs/dbraw/zinc/99/56/76/1046995676.db2.gz LHNJQNQFLIIQDI-UHFFFAOYSA-N 0 3 321.468 4.313 20 0 DIADHN COc1ccc2nc(Cl)c(CN(C)C3CCCC3)cc2c1 ZINC001141064701 1046995818 /nfs/dbraw/zinc/99/58/18/1046995818.db2.gz MKTPTCQGPLGGRG-UHFFFAOYSA-N 0 3 304.821 4.271 20 0 DIADHN Cc1ccc2nccc(N3CCC(c4cccnc4)CC3)c2c1 ZINC000680621939 1046996014 /nfs/dbraw/zinc/99/60/14/1046996014.db2.gz BWHWALFWHJFRHU-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN CCCCc1ncc(CN2CCC[C@@H]2c2ccccc2OC)[nH]1 ZINC001141070105 1046996404 /nfs/dbraw/zinc/99/64/04/1046996404.db2.gz OYMNYJGWLFGZQU-QGZVFWFLSA-N 0 3 313.445 4.098 20 0 DIADHN Cc1cccc(CN2CCC[C@@H]2Cc2ccccc2Cl)n1 ZINC000347392080 1046998832 /nfs/dbraw/zinc/99/88/32/1046998832.db2.gz YRVNXPGSJLSFCF-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN CCCCCN(C)Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141082464 1047001494 /nfs/dbraw/zinc/00/14/94/1047001494.db2.gz KOLZIDOGBGSVDJ-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141082470 1047001604 /nfs/dbraw/zinc/00/16/04/1047001604.db2.gz KYJURXICQJSTMP-KBPBESRZSA-N 0 3 319.449 4.192 20 0 DIADHN CC[C@H]1CCCCN1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141086551 1047003066 /nfs/dbraw/zinc/00/30/66/1047003066.db2.gz IIIVAMORSGVHEJ-HNNXBMFYSA-N 0 3 319.449 4.193 20 0 DIADHN CCCCC[C@@H](NCc1cnc(OC)nc1C)c1ccccc1 ZINC000799154854 1047006350 /nfs/dbraw/zinc/00/63/50/1047006350.db2.gz FRKWNUXEQFQILN-GOSISDBHSA-N 0 3 313.445 4.205 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H]2CSc3ccccc32)c(C)s1 ZINC000648611367 1047008156 /nfs/dbraw/zinc/00/81/56/1047008156.db2.gz UMRYSKKMOZSGLR-GXFFZTMASA-N 0 3 304.484 4.300 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@H](c2ccccn2)C1 ZINC000799196320 1047010878 /nfs/dbraw/zinc/01/08/78/1047010878.db2.gz MNJPONKRONARRV-OCCSQVGLSA-N 0 3 304.796 4.425 20 0 DIADHN Cc1nc([C@H]2CCCN(Cc3cccc(C(C)(C)C)c3)C2)no1 ZINC001141103016 1047012988 /nfs/dbraw/zinc/01/29/88/1047012988.db2.gz ZAIARYRIWXXKEB-INIZCTEOSA-N 0 3 313.445 4.055 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CNCc2ncc(Cl)n2C)c2ccccc21 ZINC000799342617 1047019926 /nfs/dbraw/zinc/01/99/26/1047019926.db2.gz VOADDNARYQNYJG-BBRMVZONSA-N 0 3 317.864 4.090 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC[C@H](C(F)F)C3)ccn2)cc1 ZINC001141118252 1047020429 /nfs/dbraw/zinc/02/04/29/1047020429.db2.gz QOUGXFUAQOJJJC-HNNXBMFYSA-N 0 3 320.358 4.365 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@@H](O)c1c(F)cccc1F ZINC000799341226 1047020886 /nfs/dbraw/zinc/02/08/86/1047020886.db2.gz XUHGDYZGMKQVDY-XHDPSFHLSA-N 0 3 323.408 4.071 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](c2ccccn2)C1 ZINC000647950321 1047022555 /nfs/dbraw/zinc/02/25/55/1047022555.db2.gz STDVGYYNORFUBH-CABCVRRESA-N 0 3 307.397 4.163 20 0 DIADHN COc1ccc2nccc(CN3Cc4ccccc4C[C@@H]3C)c2c1 ZINC001141151412 1047027073 /nfs/dbraw/zinc/02/70/73/1047027073.db2.gz KJZLRRBBVOTEOX-HNNXBMFYSA-N 0 3 318.420 4.190 20 0 DIADHN COc1ccc2nccc(CN3CCC[C@@H]4CCCC[C@@H]43)c2c1 ZINC001141151104 1047028028 /nfs/dbraw/zinc/02/80/28/1047028028.db2.gz WJXIRBWCAMPIFZ-YWZLYKJASA-N 0 3 310.441 4.398 20 0 DIADHN CCCCCC[C@@H](C)CC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001141153860 1047029881 /nfs/dbraw/zinc/02/98/81/1047029881.db2.gz QUBKKFAEYSIECE-GOSISDBHSA-N 0 3 322.537 4.460 20 0 DIADHN COc1ccc2nccc(CN(C)C/C=C/c3ccccc3)c2c1 ZINC001141151480 1047030351 /nfs/dbraw/zinc/03/03/51/1047030351.db2.gz NKQJSPQXMXNBCQ-RMKNXTFCSA-N 0 3 318.420 4.389 20 0 DIADHN Cc1ccc(CN(CC2=Cc3ccccc3OC2)CC2CC2)cn1 ZINC000648057599 1047031218 /nfs/dbraw/zinc/03/12/18/1047031218.db2.gz BQFOESVEDSKNSS-UHFFFAOYSA-N 0 3 320.436 4.078 20 0 DIADHN CCc1ccc(CN(C)Cc2ccc(-c3ncccn3)cc2)cc1 ZINC001141187731 1047040881 /nfs/dbraw/zinc/04/08/81/1047040881.db2.gz OGPOTCTUQQHFPH-UHFFFAOYSA-N 0 3 317.436 4.338 20 0 DIADHN c1ccc([C@@H]2CCN2Cc2ccc(-c3ncccn3)cc2)cc1 ZINC001141188208 1047042106 /nfs/dbraw/zinc/04/21/06/1047042106.db2.gz YXGZKZACNQFPMF-IBGZPJMESA-N 0 3 301.393 4.091 20 0 DIADHN Brc1cccc([C@@H]2CCCN2[C@H]2CCSC2)c1 ZINC001255290515 1047043315 /nfs/dbraw/zinc/04/33/15/1047043315.db2.gz GSMXKSFNUXUMRX-KBPBESRZSA-N 0 3 312.276 4.092 20 0 DIADHN Cc1csc(CNC[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000191156483 1047043917 /nfs/dbraw/zinc/04/39/17/1047043917.db2.gz FWXVXMOUBRUMQK-SNVBAGLBSA-N 0 3 314.376 4.364 20 0 DIADHN Cc1cnccc1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC000648368700 1047048090 /nfs/dbraw/zinc/04/80/90/1047048090.db2.gz MKVMZYQFFDCHPU-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN CC(C)N(CCCCCO)Cc1csc(-c2ccccc2)n1 ZINC001141214677 1047048334 /nfs/dbraw/zinc/04/83/34/1047048334.db2.gz ISHYOURGFXXLET-UHFFFAOYSA-N 0 3 318.486 4.183 20 0 DIADHN c1sc(-c2ccccc2)nc1CN1CCc2ccccc2CC1 ZINC001141213601 1047049179 /nfs/dbraw/zinc/04/91/79/1047049179.db2.gz PBINDAZYBCLZMW-UHFFFAOYSA-N 0 3 320.461 4.411 20 0 DIADHN CO[C@@H](C)CN(Cc1cnc(C2CCCCC2)s1)C(C)C ZINC000536661225 1047053226 /nfs/dbraw/zinc/05/32/26/1047053226.db2.gz NDQRJIXTBTVBBI-AWEZNQCLSA-N 0 3 310.507 4.436 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CC[C@@H](NCc3ccon3)C2)cc1 ZINC000799719325 1047056206 /nfs/dbraw/zinc/05/62/06/1047056206.db2.gz ZQRNKTKDBIAQBP-GXTWGEPZSA-N 0 3 310.319 4.119 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3ccc(C(F)F)cc3)C2)c1 ZINC000680865657 1047057003 /nfs/dbraw/zinc/05/70/03/1047057003.db2.gz QZIFXRQHEWVXFC-KRWDZBQOSA-N 0 3 321.342 4.417 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C(F)F)cc2)cc1OC ZINC000680863903 1047057504 /nfs/dbraw/zinc/05/75/04/1047057504.db2.gz IQIKYSNTPWUBEM-UHFFFAOYSA-N 0 3 321.367 4.273 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001141234706 1047057649 /nfs/dbraw/zinc/05/76/49/1047057649.db2.gz SVMIKAZODFQLIT-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN CCOC(=O)C[C@@H]1CCN(Cc2ccc(Cl)c(C)c2)C[C@H]1C ZINC001141236399 1047058745 /nfs/dbraw/zinc/05/87/45/1047058745.db2.gz BOKQLQOVDNFCQC-ZBFHGGJFSA-N 0 3 323.864 4.060 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccccc3F)CC2)cs1 ZINC000648507585 1047058941 /nfs/dbraw/zinc/05/89/41/1047058941.db2.gz FSSWNWHFOBLLRB-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCCCC[C@@H]1c1ccco1 ZINC000536672489 1047062546 /nfs/dbraw/zinc/06/25/46/1047062546.db2.gz OBMORKSNYZIVBP-GOSISDBHSA-N 0 3 315.461 4.255 20 0 DIADHN Cc1cc(C)n2c(CN(C)[C@@H](c3ccccc3)C(C)C)cnc2n1 ZINC000536673619 1047062624 /nfs/dbraw/zinc/06/26/24/1047062624.db2.gz RCQWNUCTQGYPPD-LJQANCHMSA-N 0 3 322.456 4.175 20 0 DIADHN Cc1ccccc1CN1CCC(Nc2ncc(Cl)s2)CC1 ZINC000680892667 1047063352 /nfs/dbraw/zinc/06/33/52/1047063352.db2.gz ORFQQKGKNWDDTD-UHFFFAOYSA-N 0 3 321.877 4.181 20 0 DIADHN CCCN(CC(C)C)C(=O)c1cccc(CN2CCCCC2)c1 ZINC001141251002 1047063868 /nfs/dbraw/zinc/06/38/68/1047063868.db2.gz RVUIJBLNCKRJGG-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@H]1CCCN(c2ccccc2)C1 ZINC000536669815 1047064335 /nfs/dbraw/zinc/06/43/35/1047064335.db2.gz AHNFEGOGBNTFAX-HNNXBMFYSA-N 0 3 304.821 4.034 20 0 DIADHN CSCCN(C)CC1=Cc2cc(Cl)cc(Cl)c2OC1 ZINC000536670430 1047065573 /nfs/dbraw/zinc/06/55/73/1047065573.db2.gz PDMWIGBVTYDYPJ-UHFFFAOYSA-N 0 3 318.269 4.064 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CC[C@H]1Nc1ncc(Cl)s1 ZINC000680895329 1047065382 /nfs/dbraw/zinc/06/53/82/1047065382.db2.gz KYMHZYOTBLLPAV-TZMCWYRMSA-N 0 3 321.877 4.119 20 0 DIADHN COc1ccsc1CN1CCC[C@@H]1c1cccc(N(C)C)c1 ZINC000536680229 1047069639 /nfs/dbraw/zinc/06/96/39/1047069639.db2.gz ISABXEDBHYNQTK-MRXNPFEDSA-N 0 3 316.470 4.160 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@]1(C)CC=C(C)CC1 ZINC000611981636 1047072115 /nfs/dbraw/zinc/07/21/15/1047072115.db2.gz KCFAQKYTICQVDF-LJQANCHMSA-N 0 3 300.446 4.132 20 0 DIADHN c1ccc2c(c1)SC[C@@H]2CNCc1nc2c(s1)CCCC2 ZINC000648611373 1047073551 /nfs/dbraw/zinc/07/35/51/1047073551.db2.gz VGAQDTZNAXVNDE-LBPRGKRZSA-N 0 3 316.495 4.001 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1nc2c(s1)CCC2 ZINC000680973975 1047075123 /nfs/dbraw/zinc/07/51/23/1047075123.db2.gz YUXLPWMSFVHMRD-UHFFFAOYSA-N 0 3 312.438 4.019 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1ccc2cnccc2c1 ZINC000648719317 1047086913 /nfs/dbraw/zinc/08/69/13/1047086913.db2.gz MHKNUXOXUSTZTI-WBMJQRKESA-N 0 3 308.347 4.398 20 0 DIADHN c1ccc(C[C@@H]2c3ccccc3CCN2Cc2ccccn2)cc1 ZINC000536732301 1047087353 /nfs/dbraw/zinc/08/73/53/1047087353.db2.gz BDLYAYFSUOOQKP-JOCHJYFZSA-N 0 3 314.432 4.424 20 0 DIADHN Cc1cncc([C@@H](C)N[C@@H](CN2CCCCC2)c2ccccc2)c1 ZINC000681052426 1047088415 /nfs/dbraw/zinc/08/84/15/1047088415.db2.gz PLEAZFXTMQZYED-NQIIRXRSSA-N 0 3 323.484 4.268 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000536743577 1047089286 /nfs/dbraw/zinc/08/92/86/1047089286.db2.gz JEDRZTWHFQSZMX-FZMZJTMJSA-N 0 3 305.809 4.153 20 0 DIADHN c1n[nH]cc1CN1CCC[C@H](Cc2csc3ccccc23)C1 ZINC000681084599 1047091456 /nfs/dbraw/zinc/09/14/56/1047091456.db2.gz PPJRDHXLBBOWFT-CQSZACIVSA-N 0 3 311.454 4.079 20 0 DIADHN CC[C@@H](NC1CC(C(F)F)C1)c1nc(C(F)(F)F)cs1 ZINC000681091180 1047094450 /nfs/dbraw/zinc/09/44/50/1047094450.db2.gz ZDSWOQMQLCZQKE-KAVNDROISA-N 0 3 314.323 4.246 20 0 DIADHN Fc1ccc(-c2cnc(SCCN3CCCCC3)o2)cc1 ZINC000648910886 1047097984 /nfs/dbraw/zinc/09/79/84/1047097984.db2.gz XGOZPMGVHZLGSB-UHFFFAOYSA-N 0 3 306.406 4.059 20 0 DIADHN C[C@@H](CC1CCC1)NCc1csc(COc2ccccc2)n1 ZINC000681108986 1047098848 /nfs/dbraw/zinc/09/88/48/1047098848.db2.gz PEDUGOLKPPPMJT-AWEZNQCLSA-N 0 3 316.470 4.390 20 0 DIADHN COc1cc(Cl)ncc1CN1CCC[C@H]1C1CCCCC1 ZINC001141344640 1047100112 /nfs/dbraw/zinc/10/01/12/1047100112.db2.gz IAOWNTKKOQOGNV-HNNXBMFYSA-N 0 3 308.853 4.288 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@H]4CCCC[C@H]4C3)n2)c1 ZINC001116021462 1047101843 /nfs/dbraw/zinc/10/18/43/1047101843.db2.gz NVBUGABKAZRUSO-CABCVRRESA-N 0 3 301.459 4.081 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)s1 ZINC000536844195 1047110291 /nfs/dbraw/zinc/11/02/91/1047110291.db2.gz HILBQOGQLFQPOB-HZPDHXFCSA-N 0 3 315.486 4.163 20 0 DIADHN CCOC[C@@H](C)N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000536851062 1047111151 /nfs/dbraw/zinc/11/11/51/1047111151.db2.gz IIPXOSFTNOLLDD-KDOFPFPSSA-N 0 3 303.833 4.444 20 0 DIADHN C[C@@H](NCc1cc2c(s1)CCCC2)c1ccc2c(c1)OCO2 ZINC000536852038 1047111584 /nfs/dbraw/zinc/11/15/84/1047111584.db2.gz OZDFLZFFHPJWIK-GFCCVEGCSA-N 0 3 315.438 4.206 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2cc(Cl)nc(Cl)c2)C1 ZINC001116161598 1047115680 /nfs/dbraw/zinc/11/56/80/1047115680.db2.gz QPVQQVLLGNPMLJ-GHMZBOCLSA-N 0 3 305.274 4.104 20 0 DIADHN Fc1cc(CN2CC[C@@H](C3CCCCC3)C2)c2c(c1)COCO2 ZINC001141398239 1047118274 /nfs/dbraw/zinc/11/82/74/1047118274.db2.gz YMXYWKOQNYPMHE-OAHLLOKOSA-N 0 3 319.420 4.094 20 0 DIADHN Oc1ccc(C2=CCN([C@H]3CCc4c3cccc4F)CC2)cc1 ZINC001116196487 1047118532 /nfs/dbraw/zinc/11/85/32/1047118532.db2.gz YIRJOOBYVORJLU-FQEVSTJZSA-N 0 3 309.384 4.308 20 0 DIADHN CC[C@H](N[C@H](C(=O)Nc1ccccc1)c1ccccc1)C1CC1 ZINC000536883195 1047119807 /nfs/dbraw/zinc/11/98/07/1047119807.db2.gz PYABRNJKHDPEEN-OALUTQOASA-N 0 3 308.425 4.145 20 0 DIADHN CC(C)c1cccc(CN[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000681398462 1047121094 /nfs/dbraw/zinc/12/10/94/1047121094.db2.gz FUSMNIJEJYFPCJ-CYBMUJFWSA-N 0 3 309.413 4.243 20 0 DIADHN CO[C@H]1CCCN(c2ccnc3ccc(OC(C)C)cc32)CC1 ZINC000649323421 1047121655 /nfs/dbraw/zinc/12/16/55/1047121655.db2.gz KDCVTGVKPAPYBY-HNNXBMFYSA-N 0 3 314.429 4.027 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CC[C@H](Cc2ccncc2)C1 ZINC000681410717 1047122344 /nfs/dbraw/zinc/12/23/44/1047122344.db2.gz WPBRRKMJVAMSSW-DZGCQCFKSA-N 0 3 318.823 4.500 20 0 DIADHN COCCCOCCN(C)[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000536933515 1047124174 /nfs/dbraw/zinc/12/41/74/1047124174.db2.gz BWCQOWZHSJKVAP-LBPRGKRZSA-N 0 3 320.260 4.039 20 0 DIADHN C[C@H](c1ccccc1Br)N1CC[C@H]2OCCC[C@@H]2C1 ZINC001116302787 1047127512 /nfs/dbraw/zinc/12/75/12/1047127512.db2.gz HGIGMYYFYLIIGV-XJKCOSOUSA-N 0 3 324.262 4.011 20 0 DIADHN CO[C@@H]1CCCN(CCc2c(Cl)cccc2Cl)CC1 ZINC000649421964 1047127992 /nfs/dbraw/zinc/12/79/92/1047127992.db2.gz WRTQWHISVWQYCH-GFCCVEGCSA-N 0 3 302.245 4.037 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001141437267 1047132447 /nfs/dbraw/zinc/13/24/47/1047132447.db2.gz AAKGZVOQPQICMG-UKRRQHHQSA-N 0 3 312.379 4.421 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2cccc3ccccc32)c(C)o1 ZINC000537042061 1047135464 /nfs/dbraw/zinc/13/54/64/1047135464.db2.gz SPKSQVPVWDUJDA-ZDUSSCGKSA-N 0 3 323.392 4.379 20 0 DIADHN CC(C)[C@@H](NCCC(=O)N1CCC[C@H]1C)c1ccccc1Cl ZINC000537086537 1047136907 /nfs/dbraw/zinc/13/69/07/1047136907.db2.gz WTEQOSHULVYVLM-RDTXWAMCSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc(NC(=O)CNC2(c3ccccc3)CCCC2)c(C)c1 ZINC000537093560 1047138497 /nfs/dbraw/zinc/13/84/97/1047138497.db2.gz RSIUHUFFWFCASW-UHFFFAOYSA-N 0 3 322.452 4.301 20 0 DIADHN FC(F)(F)CCN[C@H](COc1ccccc1)c1ccccc1 ZINC000537116058 1047139550 /nfs/dbraw/zinc/13/95/50/1047139550.db2.gz GDVIWCZKWOLEMR-MRXNPFEDSA-N 0 3 309.331 4.349 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)N(C)[C@@H](C)c2ccccc2)c1 ZINC000537106900 1047139874 /nfs/dbraw/zinc/13/98/74/1047139874.db2.gz YZJDPSHIDMXMQJ-MSOLQXFVSA-N 0 3 324.468 4.174 20 0 DIADHN COc1ccc(CN[C@H](c2cccc(F)c2)C2CCCC2)cn1 ZINC000537125993 1047140339 /nfs/dbraw/zinc/14/03/39/1047140339.db2.gz RKGGWDNBPUCSQM-IBGZPJMESA-N 0 3 314.404 4.250 20 0 DIADHN COCCN(Cc1ccc(F)c(OC)c1)[C@@H](C)c1ccccc1 ZINC001116441511 1047141727 /nfs/dbraw/zinc/14/17/27/1047141727.db2.gz INTGMDPVFSXTFH-HNNXBMFYSA-N 0 3 317.404 4.044 20 0 DIADHN OCC[C@@H]1CCC[C@H]1NCc1c(Cl)ccc(Cl)c1Cl ZINC000681705329 1047142858 /nfs/dbraw/zinc/14/28/58/1047142858.db2.gz ZWWJSJVJFSTDRP-TVQRCGJNSA-N 0 3 322.663 4.288 20 0 DIADHN CCC[C@H](NCc1cnc(C(F)(F)F)nc1)c1ccccc1 ZINC000649573403 1047144704 /nfs/dbraw/zinc/14/47/04/1047144704.db2.gz BZKFTLPFALRLOM-AWEZNQCLSA-N 0 3 309.335 4.126 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@H]2CC2(Cl)Cl)cc1 ZINC000838504797 1047145395 /nfs/dbraw/zinc/14/53/95/1047145395.db2.gz XVGVGKBBNLPPQV-OCCSQVGLSA-N 0 3 300.229 4.026 20 0 DIADHN CCC[C@@H](C)CN(C)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000537195123 1047146990 /nfs/dbraw/zinc/14/69/90/1047146990.db2.gz CTYYPBHGIHOVMB-KDOFPFPSSA-N 0 3 303.425 4.017 20 0 DIADHN Cc1ccc2cc(CN3CCOC[C@H]3C3CCCCC3)ccc2n1 ZINC001141484843 1047147858 /nfs/dbraw/zinc/14/78/58/1047147858.db2.gz CFNLLIHHSIGZGV-NRFANRHFSA-N 0 3 324.468 4.324 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@H]3C2)c(-c2cccs2)n1 ZINC001116484483 1047147927 /nfs/dbraw/zinc/14/79/27/1047147927.db2.gz WJVTWBQDOWCZKQ-CABCVRRESA-N 0 3 315.486 4.161 20 0 DIADHN CCCCCC[C@@H](C)CC(=O)N1CCN(C2CCCC2)CC1 ZINC001141497459 1047148729 /nfs/dbraw/zinc/14/87/29/1047148729.db2.gz QCNNXGYUSXCOFR-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1cncc(CCN2CCCC[C@@H]2c2cccc(Cl)c2)n1 ZINC000649593791 1047149840 /nfs/dbraw/zinc/14/98/40/1047149840.db2.gz BALXZRVJHULWGD-GOSISDBHSA-N 0 3 315.848 4.208 20 0 DIADHN C[C@]1(CN2CCCO[C@@H](c3ccccc3)C2)CC1(Cl)Cl ZINC000827695884 1047150551 /nfs/dbraw/zinc/15/05/51/1047150551.db2.gz DZOJDNUDGUMIQD-HUUCEWRRSA-N 0 3 314.256 4.034 20 0 DIADHN Cc1ccccc1CN(C)Cc1cn(C)nc1-c1ccccc1 ZINC000537205936 1047152092 /nfs/dbraw/zinc/15/20/92/1047152092.db2.gz GHVNYRIRGSJHOS-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN CN(Cc1cn(C)nc1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC000537205748 1047152217 /nfs/dbraw/zinc/15/22/17/1047152217.db2.gz QRVPWZCKIQLZSB-GOSISDBHSA-N 0 3 311.473 4.227 20 0 DIADHN CCOC(=O)c1ncc(CN2CCCC(CC)(CC)CC2)s1 ZINC001459948232 1047153280 /nfs/dbraw/zinc/15/32/80/1047153280.db2.gz BNCXQRDGDRRYOZ-UHFFFAOYSA-N 0 3 324.490 4.112 20 0 DIADHN COc1ccc(CN2CCC3(CC3)C2)cc1OC1CCCC1 ZINC001141515706 1047153766 /nfs/dbraw/zinc/15/37/66/1047153766.db2.gz MREOOSDKGHGTGI-UHFFFAOYSA-N 0 3 301.430 4.003 20 0 DIADHN COc1ccc(CN2CC[C@@](C)(CF)C2)cc1OC1CCCC1 ZINC001141513566 1047153940 /nfs/dbraw/zinc/15/39/40/1047153940.db2.gz GVGOLAWNHMSQSX-IBGZPJMESA-N 0 3 321.436 4.198 20 0 DIADHN COc1ccc(CN2CC[C@](C)(CF)C2)cc1OC1CCCC1 ZINC001141513567 1047154055 /nfs/dbraw/zinc/15/40/55/1047154055.db2.gz GVGOLAWNHMSQSX-LJQANCHMSA-N 0 3 321.436 4.198 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@]2(C)CC2(Cl)Cl)s1 ZINC000827772426 1047154183 /nfs/dbraw/zinc/15/41/83/1047154183.db2.gz PPLCBZJLNACFNF-CABZTGNLSA-N 0 3 307.290 4.337 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cccc(F)c1)c1ccc(F)cc1 ZINC001116539480 1047155282 /nfs/dbraw/zinc/15/52/82/1047155282.db2.gz WQSXRDFGVQJDQO-PXAZEXFGSA-N 0 3 318.367 4.033 20 0 DIADHN CCOc1ccc(CNC(C)(C)CC(F)(F)F)cc1OCC ZINC000681989859 1047158689 /nfs/dbraw/zinc/15/86/89/1047158689.db2.gz WJIZIRGURSJEKZ-UHFFFAOYSA-N 0 3 319.367 4.305 20 0 DIADHN CC[C@@H](C)c1ccc(-c2nc([C@@H]3CN4CCC3CC4)no2)cc1 ZINC001116612418 1047161662 /nfs/dbraw/zinc/16/16/62/1047161662.db2.gz OFXUIJYAYMPEJQ-CXAGYDPISA-N 0 3 311.429 4.059 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](N[C@@H](C)CSC(C)(C)C)CCC2 ZINC001116629142 1047163101 /nfs/dbraw/zinc/16/31/01/1047163101.db2.gz JMFSWEXBZGDOGH-ZFWWWQNUSA-N 0 3 309.523 4.351 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN([C@H](C)c2ccccc2C)C1 ZINC000682038915 1047163905 /nfs/dbraw/zinc/16/39/05/1047163905.db2.gz GHDDHRMHOLYAER-HIFRSBDPSA-N 0 3 301.352 4.099 20 0 DIADHN Cc1cccc2nc(C3CCN([C@@H](C)c4ccncc4)CC3)oc21 ZINC000682043243 1047164187 /nfs/dbraw/zinc/16/41/87/1047164187.db2.gz KLIHVTJQKKHFDH-HNNXBMFYSA-N 0 3 321.424 4.472 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCC1=CCCOC1 ZINC000537319237 1047168275 /nfs/dbraw/zinc/16/82/75/1047168275.db2.gz WRXVXIDSUWYMIH-KRWDZBQOSA-N 0 3 323.864 4.372 20 0 DIADHN C[C@@H](c1ccc2c(c1)CCC2)N1CC(c2nc3ccccc3[nH]2)C1 ZINC000682075654 1047168461 /nfs/dbraw/zinc/16/84/61/1047168461.db2.gz YXYXJQRCSDKCRU-AWEZNQCLSA-N 0 3 317.436 4.212 20 0 DIADHN C[C@H](c1ccc2c(c1)CCC2)N1CC(c2nc3ccccc3[nH]2)C1 ZINC000682075665 1047168957 /nfs/dbraw/zinc/16/89/57/1047168957.db2.gz YXYXJQRCSDKCRU-CQSZACIVSA-N 0 3 317.436 4.212 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CCC[C@H](C(C)C)C3)o2)c1 ZINC001116714219 1047169987 /nfs/dbraw/zinc/16/99/87/1047169987.db2.gz ZEHBFHXVJXVZJG-WBVHZDCISA-N 0 3 313.445 4.474 20 0 DIADHN CC[C@H](NCCC(=O)Nc1ccccc1C)c1ccccc1F ZINC000649740818 1047171004 /nfs/dbraw/zinc/17/10/04/1047171004.db2.gz RHYGLPFJJSHMFB-KRWDZBQOSA-N 0 3 314.404 4.204 20 0 DIADHN C[C@@H](CCO)C1(CNCc2c(Cl)cccc2Cl)CCC1 ZINC000537344652 1047173185 /nfs/dbraw/zinc/17/31/85/1047173185.db2.gz RLKYIGXGHSWBAB-LBPRGKRZSA-N 0 3 316.272 4.272 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC2(CC2(F)F)CC1 ZINC000649773536 1047173989 /nfs/dbraw/zinc/17/39/89/1047173989.db2.gz WQHCXAQHFZDETI-UHFFFAOYSA-N 0 3 308.416 4.389 20 0 DIADHN CC[C@H](NCc1cccnc1OC)c1cccc2ccccc21 ZINC000682131289 1047174031 /nfs/dbraw/zinc/17/40/31/1047174031.db2.gz XYNFZPNYWGZDAI-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN c1[nH]c(CNCCCCOc2ccccc2)nc1-c1ccccc1 ZINC001116826139 1047180341 /nfs/dbraw/zinc/18/03/41/1047180341.db2.gz XSDPWPMMGGPPNT-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN Cc1ccccc1[C@@H](CO)NCc1ccc(C(F)(F)F)cc1C ZINC000682374465 1047186952 /nfs/dbraw/zinc/18/69/52/1047186952.db2.gz YEYDXAVYYGQQRM-QGZVFWFLSA-N 0 3 323.358 4.145 20 0 DIADHN c1ccc([C@@H]2C[C@H]3[C@H](CCCN3CCCc3ccncc3)O2)cc1 ZINC000649916711 1047190810 /nfs/dbraw/zinc/19/08/10/1047190810.db2.gz LKCJAUAUIFBUKO-ACRUOGEOSA-N 0 3 322.452 4.009 20 0 DIADHN Oc1ccc(CN[C@H](COc2ccccc2)c2ccccc2)cc1 ZINC000682406632 1047192339 /nfs/dbraw/zinc/19/23/39/1047192339.db2.gz NBSHPRDWYNLAST-OAQYLSRUSA-N 0 3 319.404 4.302 20 0 DIADHN CC(C)(NCc1ccc(O)cc1)c1cccc(C(F)(F)F)c1 ZINC000682407000 1047192698 /nfs/dbraw/zinc/19/26/98/1047192698.db2.gz QFQLEVHULPMGQR-UHFFFAOYSA-N 0 3 309.331 4.436 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC[C@H](OC(F)F)C1 ZINC000682407069 1047192759 /nfs/dbraw/zinc/19/27/59/1047192759.db2.gz NFZDYLXNNMKQLX-ZDUSSCGKSA-N 0 3 323.305 4.217 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2cccc(F)c2)C(C)(C)C)n(C)n1 ZINC001117076691 1047193535 /nfs/dbraw/zinc/19/35/35/1047193535.db2.gz UXAMARQPKUOBFI-SUMWQHHRSA-N 0 3 303.425 4.306 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2cccc(F)c2)C(C)(C)C)n(C)n1 ZINC001117076688 1047194071 /nfs/dbraw/zinc/19/40/71/1047194071.db2.gz UXAMARQPKUOBFI-DYVFJYSZSA-N 0 3 303.425 4.306 20 0 DIADHN CCOC(CN1CC2(CCCC2)[C@@H]1c1ccccc1)OCC ZINC000839127217 1047196341 /nfs/dbraw/zinc/19/63/41/1047196341.db2.gz QKGLGSKOBVGCRA-SFHVURJKSA-N 0 3 303.446 4.003 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1nc(Cl)ccc1Cl ZINC000839144234 1047199059 /nfs/dbraw/zinc/19/90/59/1047199059.db2.gz VHGBENGXWYJUQM-LBPRGKRZSA-N 0 3 324.255 4.284 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1NCc1ccc(Cl)nc1 ZINC000839162986 1047200658 /nfs/dbraw/zinc/20/06/58/1047200658.db2.gz KTBUIJFVFPOQLJ-ZWNOBZJWSA-N 0 3 311.187 4.173 20 0 DIADHN Clc1ccc2c(CN3CCC4(CCOCC4)CC3)c[nH]c2c1 ZINC001141585870 1047204251 /nfs/dbraw/zinc/20/42/51/1047204251.db2.gz HZKCZGSLNQHABF-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2ccccc2Br)n1 ZINC000399677149 1047227531 /nfs/dbraw/zinc/22/75/31/1047227531.db2.gz OMMSHXPJEMOEMU-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCC(=O)C(C)C)CC1 ZINC001117507939 1047228790 /nfs/dbraw/zinc/22/87/90/1047228790.db2.gz ZDFMQYVZMJFXLE-UHFFFAOYSA-N 0 3 310.441 4.181 20 0 DIADHN c1ccc(N2CCCC2)c(CN2CCC[C@@H]2c2ccncc2)c1 ZINC001117639526 1047233569 /nfs/dbraw/zinc/23/35/69/1047233569.db2.gz JNGZGQDPMHGJRX-LJQANCHMSA-N 0 3 307.441 4.019 20 0 DIADHN CCCCOc1ccc(CN[C@H](C)c2ccc[nH]2)cc1OCC ZINC000760444043 1047236404 /nfs/dbraw/zinc/23/64/04/1047236404.db2.gz DESNERHRVFZQCT-OAHLLOKOSA-N 0 3 316.445 4.443 20 0 DIADHN CC(C)=CCN[C@@H](c1ccc(Br)cc1)[C@@H]1CCCO1 ZINC000682909785 1047237975 /nfs/dbraw/zinc/23/79/75/1047237975.db2.gz JCGREIOTZMWZPZ-HOTGVXAUSA-N 0 3 324.262 4.225 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cc2cccnc2cc1F ZINC001141630781 1047238211 /nfs/dbraw/zinc/23/82/11/1047238211.db2.gz BKQVMTNWPNDWBY-UHFFFAOYSA-N 0 3 323.415 4.224 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)NCCN1CCC(C)CC1 ZINC001141643279 1047242244 /nfs/dbraw/zinc/24/22/44/1047242244.db2.gz MSHGHPSAYQWRQN-LJQANCHMSA-N 0 3 322.537 4.221 20 0 DIADHN CC1(C)C[C@H](N(Cc2ccccc2)Cc2cccnc2)C(C)(C)O1 ZINC000537466454 1047242728 /nfs/dbraw/zinc/24/27/28/1047242728.db2.gz AROHHAQYQPYPNF-IBGZPJMESA-N 0 3 324.468 4.430 20 0 DIADHN C[C@H](NCC[C@@H](O)c1ccccc1)c1ccc(F)cc1Cl ZINC000839544621 1047244545 /nfs/dbraw/zinc/24/45/45/1047244545.db2.gz MYPVEWBRXVRYSG-YVEFUNNKSA-N 0 3 307.796 4.253 20 0 DIADHN CCCCC[C@@H](NCc1nc2ccccc2c(=O)[nH]1)C(C)(C)C ZINC000839543934 1047246075 /nfs/dbraw/zinc/24/60/75/1047246075.db2.gz FAGBPGTXIDFUSK-MRXNPFEDSA-N 0 3 315.461 4.420 20 0 DIADHN Clc1ccc(CN2CCSC3(CCC3)CC2)c(Cl)n1 ZINC000839624942 1047251328 /nfs/dbraw/zinc/25/13/28/1047251328.db2.gz KOETWGUTRKNPTL-UHFFFAOYSA-N 0 3 317.285 4.250 20 0 DIADHN C[C@@H](N[C@H]1CCCCC1(C)C)c1nc(-c2cccc(F)c2)no1 ZINC000682999464 1047251317 /nfs/dbraw/zinc/25/13/17/1047251317.db2.gz QJQBAZNLJVXIPJ-DOMZBBRYSA-N 0 3 317.408 4.495 20 0 DIADHN CC[C@H](C)Oc1cc(CN2CCSCC[C@@H]2C)ccc1OC ZINC000683000973 1047252759 /nfs/dbraw/zinc/25/27/59/1047252759.db2.gz KLWLLMMWFOCXKU-GJZGRUSLSA-N 0 3 323.502 4.200 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3c(c2)COC3)cc1Cl ZINC000839660980 1047254098 /nfs/dbraw/zinc/25/40/98/1047254098.db2.gz IYPCFOQAKMLNJX-UHFFFAOYSA-N 0 3 317.816 4.011 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H](c3nc4ccccc4s3)C2)c1 ZINC000683013554 1047255805 /nfs/dbraw/zinc/25/58/05/1047255805.db2.gz IGTAPAHOOAONRH-OAHLLOKOSA-N 0 3 323.465 4.379 20 0 DIADHN CCc1ccc([C@H](CC)N[C@H](CO)c2cccc(F)c2)cc1 ZINC000536870574 1047262296 /nfs/dbraw/zinc/26/22/96/1047262296.db2.gz PSABKXGTNZTGNI-RBUKOAKNSA-N 0 3 301.405 4.162 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000476258041 1047268474 /nfs/dbraw/zinc/26/84/74/1047268474.db2.gz XAVFYMZPXWFGHQ-SJORKVTESA-N 0 3 302.462 4.212 20 0 DIADHN c1coc([C@@H](N[C@H]2CCc3cccnc3CC2)c2ccccc2)c1 ZINC000421819893 1047268940 /nfs/dbraw/zinc/26/89/40/1047268940.db2.gz JMUCNFDDHDSVNW-RXVVDRJESA-N 0 3 318.420 4.301 20 0 DIADHN CCCCN(Cc1ccc(COC(C)=O)o1)Cc1ccccc1 ZINC001141786968 1047290212 /nfs/dbraw/zinc/29/02/12/1047290212.db2.gz CRRQAKJTNLUNEX-UHFFFAOYSA-N 0 3 315.413 4.145 20 0 DIADHN CN(Cc1cc(Br)cc(F)c1F)C1CCCC1 ZINC001141802148 1047290776 /nfs/dbraw/zinc/29/07/76/1047290776.db2.gz SQFYVKHHGDTHEN-UHFFFAOYSA-N 0 3 304.178 4.102 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3C[C@@H]4[C@H](C3)C4(F)F)cs2)cc1 ZINC001118419701 1047291527 /nfs/dbraw/zinc/29/15/27/1047291527.db2.gz DLSFRORXHHJWOX-YOWGUQMCSA-N 0 3 320.408 4.252 20 0 DIADHN CC(C)(C)SCCNCc1cscc1Br ZINC000389903699 1047293624 /nfs/dbraw/zinc/29/36/24/1047293624.db2.gz FSBBYBUVOVULCG-UHFFFAOYSA-N 0 3 308.310 4.132 20 0 DIADHN Cc1nc(-c2ccc(C)cc2)sc1[C@H](C)NCc1cnc[nH]1 ZINC000796448651 1047293643 /nfs/dbraw/zinc/29/36/43/1047293643.db2.gz GEPJBNATUXBMGI-LBPRGKRZSA-N 0 3 312.442 4.001 20 0 DIADHN Cc1ccc(N(C)C(=O)c2cccc(CN3CCCCC3)c2)cc1 ZINC000840309010 1047298816 /nfs/dbraw/zinc/29/88/16/1047298816.db2.gz DPINZVCQLOOJLJ-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN C[C@@H](NC1CC(CF)(CF)C1)c1nc(C(C)(C)C)cs1 ZINC001118487509 1047298968 /nfs/dbraw/zinc/29/89/68/1047298968.db2.gz SEPFWDXDFZJECT-SNVBAGLBSA-N 0 3 302.434 4.179 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1cccc(Br)n1 ZINC000390798344 1047299408 /nfs/dbraw/zinc/29/94/08/1047299408.db2.gz LWCWYGGKWPKURX-UHFFFAOYSA-N 0 3 319.246 4.255 20 0 DIADHN CSC1(CN(Cc2cccs2)Cc2ccncc2)CC1 ZINC000897925722 1047301151 /nfs/dbraw/zinc/30/11/51/1047301151.db2.gz PWZWVLPYJUVSSZ-UHFFFAOYSA-N 0 3 304.484 4.041 20 0 DIADHN C[C@H]1CCc2nc(CNCC(C)(C)CC(F)(F)F)sc2C1 ZINC001118568017 1047302845 /nfs/dbraw/zinc/30/28/45/1047302845.db2.gz IGQPZBAYLSSLKJ-JTQLQIEISA-N 0 3 320.424 4.336 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@@H]1CC[C@@H](C)c2ccsc21 ZINC000651241576 1047305245 /nfs/dbraw/zinc/30/52/45/1047305245.db2.gz OFQTXRGRQYTWKX-XYPHTWIQSA-N 0 3 301.455 4.318 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2[nH]cnc2c1)C(C)(C)C ZINC000897998158 1047309510 /nfs/dbraw/zinc/30/95/10/1047309510.db2.gz POLOSUDNLQJBMR-GOSISDBHSA-N 0 3 308.429 4.143 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2nc[nH]c2c1)C(C)(C)C ZINC000897998158 1047309524 /nfs/dbraw/zinc/30/95/24/1047309524.db2.gz POLOSUDNLQJBMR-GOSISDBHSA-N 0 3 308.429 4.143 20 0 DIADHN COc1ccc(CNCc2cccc3cccnc32)cc1Cl ZINC000393379477 1047310235 /nfs/dbraw/zinc/31/02/35/1047310235.db2.gz FIDWPABAXJNITN-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN COc1cc(CNCc2csc(C)c2)ccc1OC(C)C ZINC000394493779 1047311812 /nfs/dbraw/zinc/31/18/12/1047311812.db2.gz CYMBPPIGJRIYKU-UHFFFAOYSA-N 0 3 305.443 4.142 20 0 DIADHN C[C@H](NC[C@H]1C[C@H](O)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000720995693 1047311888 /nfs/dbraw/zinc/31/18/88/1047311888.db2.gz WKTZSZQWRAPOCU-CIUDSAMLSA-N 0 3 308.636 4.068 20 0 DIADHN CC[C@H](NCc1n[nH]c(C2CC2)n1)c1cccc2ccccc21 ZINC000651390333 1047312171 /nfs/dbraw/zinc/31/21/71/1047312171.db2.gz HYOYMLIBUYVKEC-KRWDZBQOSA-N 0 3 306.413 4.076 20 0 DIADHN COc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)ccc1F ZINC001118860131 1047314554 /nfs/dbraw/zinc/31/45/54/1047314554.db2.gz ISZCRGJAPHOMHS-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN Clc1ccc(CN[C@@H]2COc3ccccc3C2)c(Cl)c1 ZINC000328073076 1047315562 /nfs/dbraw/zinc/31/55/62/1047315562.db2.gz BYSGRJDDSPBILL-AWEZNQCLSA-N 0 3 308.208 4.087 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(C)s2)c(OC)c1 ZINC000036922102 1047317166 /nfs/dbraw/zinc/31/71/66/1047317166.db2.gz PKEYBKRNGBKLOF-QWHCGFSZSA-N 0 3 305.443 4.486 20 0 DIADHN CN(Cc1cccc2c1OCO2)Cc1cccc2ccccc21 ZINC001141956480 1047317799 /nfs/dbraw/zinc/31/77/99/1047317799.db2.gz HXPNDMFYVAYDJD-UHFFFAOYSA-N 0 3 305.377 4.201 20 0 DIADHN CCOc1ccc(C[C@H](C)CN[C@H](C)c2nccs2)cc1 ZINC000651489840 1047317933 /nfs/dbraw/zinc/31/79/33/1047317933.db2.gz MGMTUAWWMPISKQ-UONOGXRCSA-N 0 3 304.459 4.071 20 0 DIADHN C[C@@H](NC1CCC(C)(O)CC1)c1csc(-c2ccccc2)n1 ZINC000840723788 1047321271 /nfs/dbraw/zinc/32/12/71/1047321271.db2.gz GAOOWTJAXRHMOL-MJJDPTRDSA-N 0 3 316.470 4.154 20 0 DIADHN Cc1csc(CCN[C@@H]2CCc3ccc(F)c(Cl)c32)n1 ZINC000651675943 1047322872 /nfs/dbraw/zinc/32/28/72/1047322872.db2.gz JBKFTXRHVJOUCC-GFCCVEGCSA-N 0 3 310.825 4.064 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000840746027 1047323950 /nfs/dbraw/zinc/32/39/50/1047323950.db2.gz XJERZDNVWCATRZ-BLLLJJGKSA-N 0 3 316.470 4.497 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCCC[C@@](C)(F)C1 ZINC001141979052 1047328475 /nfs/dbraw/zinc/32/84/75/1047328475.db2.gz NTFAWBUZKXTMIH-GOSISDBHSA-N 0 3 302.393 4.364 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC(F)(F)[C@H](C)C1 ZINC001141979362 1047329152 /nfs/dbraw/zinc/32/91/52/1047329152.db2.gz RLSFCLLHWNNLMC-GFCCVEGCSA-N 0 3 306.356 4.127 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651794184 1047330350 /nfs/dbraw/zinc/33/03/50/1047330350.db2.gz IBZMPCNZFOGUIS-YSSFQJQWSA-N 0 3 310.441 4.357 20 0 DIADHN COc1cc(C)c(CNCc2cccc3ccsc32)c(C)n1 ZINC000651863754 1047331135 /nfs/dbraw/zinc/33/11/35/1047331135.db2.gz WPAIKBOUKWDFHC-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN CC[C@H](OCCNCc1cc2cccnc2o1)c1ccccc1 ZINC000651908532 1047333537 /nfs/dbraw/zinc/33/35/37/1047333537.db2.gz HIXICDQVKLVEJL-SFHVURJKSA-N 0 3 310.397 4.085 20 0 DIADHN COC[C@H]1CCCN1Cc1sccc1Oc1ccccc1 ZINC001141994366 1047336195 /nfs/dbraw/zinc/33/61/95/1047336195.db2.gz JGTRNVSKKRYKOL-CQSZACIVSA-N 0 3 303.427 4.151 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CC[C@@H]3OCC[C@H]3C2)s1 ZINC001142001595 1047339153 /nfs/dbraw/zinc/33/91/53/1047339153.db2.gz WMMFVCXRQZZIAL-HOCLYGCPSA-N 0 3 315.438 4.151 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1sccc1Oc1ccccc1 ZINC001141999831 1047339576 /nfs/dbraw/zinc/33/95/76/1047339576.db2.gz XSYMGIZHJJYEHL-KCQAQPDRSA-N 0 3 303.402 4.473 20 0 DIADHN Fc1cccc2cc(CN[C@@H](c3ccncc3)C3CC3)cnc12 ZINC000898318571 1047340033 /nfs/dbraw/zinc/34/00/33/1047340033.db2.gz SCOZYPULZYMRDN-GOSISDBHSA-N 0 3 307.372 4.010 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(C(C)C)cc2)c1 ZINC000796491293 1047342458 /nfs/dbraw/zinc/34/24/58/1047342458.db2.gz RNOFKAWTMRGELX-UHFFFAOYSA-N 0 3 312.413 4.278 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCC(C)(C)C2)c2ccccn2)cc1 ZINC000652172779 1047344124 /nfs/dbraw/zinc/34/41/24/1047344124.db2.gz LAQCURDUQKJBDP-QFBILLFUSA-N 0 3 310.441 4.348 20 0 DIADHN CCN(Cc1nccs1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000533079557 1047347776 /nfs/dbraw/zinc/34/77/76/1047347776.db2.gz LXUDZEZVHYICOD-MRXNPFEDSA-N 0 3 306.450 4.371 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H]1N[C@H](C)c1nc2ccccc2n1C ZINC000652240130 1047348597 /nfs/dbraw/zinc/34/85/97/1047348597.db2.gz QBKFLLUDKCFPIA-UWWQBHOKSA-N 0 3 305.425 4.088 20 0 DIADHN CSc1ccc(CN2CCC[C@H](c3ccccn3)C2)s1 ZINC001142027922 1047350466 /nfs/dbraw/zinc/35/04/66/1047350466.db2.gz VJDPQLRNNSNHAG-ZDUSSCGKSA-N 0 3 304.484 4.245 20 0 DIADHN Cn1ccnc1[C@H](Cc1ccccc1)N[C@@H]1CCC12CCCC2 ZINC000652275488 1047352331 /nfs/dbraw/zinc/35/23/31/1047352331.db2.gz ORHOHYACRSDMJU-ZWKOTPCHSA-N 0 3 309.457 4.016 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2CC(=O)c2ccc(F)cc2)cs1 ZINC001168295634 1047353064 /nfs/dbraw/zinc/35/30/64/1047353064.db2.gz PUCISDKKISSAMV-QGZVFWFLSA-N 0 3 317.429 4.433 20 0 DIADHN CCc1cccc(CN[C@@H]2CCc3cc(OC)c(OC)cc32)c1 ZINC000652307300 1047354918 /nfs/dbraw/zinc/35/49/18/1047354918.db2.gz UBWPARJVHAQJDI-GOSISDBHSA-N 0 3 311.425 4.043 20 0 DIADHN Cc1occc1CN[C@H](C)[C@H](O)c1c(Cl)cccc1Cl ZINC000840901719 1047357471 /nfs/dbraw/zinc/35/74/71/1047357471.db2.gz OCSTXPLAIFRVFI-PSLIRLAXSA-N 0 3 314.212 4.107 20 0 DIADHN Cc1occc1CN1CCC([C@@H](O)c2ccc(Cl)cc2)CC1 ZINC000840918066 1047360166 /nfs/dbraw/zinc/36/01/66/1047360166.db2.gz PTTYPZXWKBEPFV-SFHVURJKSA-N 0 3 319.832 4.187 20 0 DIADHN CCCCNC(=S)Nc1ccc2c(c1)CN([C@@H](C)CCC)C2 ZINC000726494001 1047361519 /nfs/dbraw/zinc/36/15/19/1047361519.db2.gz HMEGIUONRIYJHP-AWEZNQCLSA-N 0 3 319.518 4.277 20 0 DIADHN COC[C@H](C)N1CC=C(c2cc(Cl)cc(Cl)c2)CC1 ZINC001255568411 1047383112 /nfs/dbraw/zinc/38/31/12/1047383112.db2.gz UFEHOEUPOUQICI-NSHDSACASA-N 0 3 300.229 4.117 20 0 DIADHN CCC[C@H](C)N1Cc2ccc(NC(=S)N[C@H](C)CC)cc2C1 ZINC000726494842 1047363975 /nfs/dbraw/zinc/36/39/75/1047363975.db2.gz NSSLRLYWYMSNIU-KGLIPLIRSA-N 0 3 319.518 4.276 20 0 DIADHN Clc1cccc(-c2nnc(CN3CC[C@@H]4CCCC[C@@H]43)o2)c1 ZINC000106860313 1047364525 /nfs/dbraw/zinc/36/45/25/1047364525.db2.gz BJDYJMZYAQUGMU-WFASDCNBSA-N 0 3 317.820 4.155 20 0 DIADHN O[C@H](CN1CCC2(CCC2)CC1)c1ccccc1C(F)(F)F ZINC000796514847 1047365864 /nfs/dbraw/zinc/36/58/64/1047365864.db2.gz IJHYYFOWLFNXGQ-OAHLLOKOSA-N 0 3 313.363 4.005 20 0 DIADHN Cc1ccc(-c2ncc(CNC3CC(F)(F)C3)s2)cc1C ZINC000655766150 1047376549 /nfs/dbraw/zinc/37/65/49/1047376549.db2.gz MZNWAXUEZYGSJE-UHFFFAOYSA-N 0 3 308.397 4.314 20 0 DIADHN COC[C@@H]1CCN1Cc1ccc(-c2ccccc2Cl)cc1 ZINC001142102057 1047381407 /nfs/dbraw/zinc/38/14/07/1047381407.db2.gz MQEQOCSPJVNICK-INIZCTEOSA-N 0 3 301.817 4.228 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2Cc2ccc(F)cc2)c(Cl)n1 ZINC001142147378 1047389026 /nfs/dbraw/zinc/38/90/26/1047389026.db2.gz REHOFLLAXIIDNJ-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN FC(F)(F)c1cccc(C2(NC[C@H]3CC3(Cl)Cl)CC2)c1 ZINC000749224216 1047401558 /nfs/dbraw/zinc/40/15/58/1047401558.db2.gz YQKZASGSWOWPEP-LLVKDONJSA-N 0 3 324.173 4.478 20 0 DIADHN CCOc1cccc(Cl)c1CN(C(C)C)C1CCOCC1 ZINC000653581459 1047403923 /nfs/dbraw/zinc/40/39/23/1047403923.db2.gz QUJOBSRNHULHDE-UHFFFAOYSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1cc(C2CCN(Cc3ccc(C)cc3Cl)CC2)n[nH]1 ZINC000653596746 1047404707 /nfs/dbraw/zinc/40/47/07/1047404707.db2.gz JZJGBEMKRFLQAU-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN Cc1cc(C2CCN(Cc3ccc(C)cc3Cl)CC2)[nH]n1 ZINC000653596746 1047404710 /nfs/dbraw/zinc/40/47/10/1047404710.db2.gz JZJGBEMKRFLQAU-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN CC[C@H](NCCCc1c(F)cccc1F)c1nc(C)cs1 ZINC000653613291 1047407278 /nfs/dbraw/zinc/40/72/78/1047407278.db2.gz BKPIMJRDJAPNQQ-HNNXBMFYSA-N 0 3 310.413 4.403 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cc2ccccc2n(C)c1=O ZINC000653617975 1047409566 /nfs/dbraw/zinc/40/95/66/1047409566.db2.gz PFKNEQFIRMSHHE-INIZCTEOSA-N 0 3 320.436 4.006 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(Cl)nc2)C(C)C)cc1 ZINC000749322528 1047410208 /nfs/dbraw/zinc/41/02/08/1047410208.db2.gz CNQDATGTLDDIFB-KRWDZBQOSA-N 0 3 304.821 4.231 20 0 DIADHN C[C@@H]1C[C@H](C(F)(F)F)CCN1CCc1noc2ccccc21 ZINC000653732177 1047417409 /nfs/dbraw/zinc/41/74/09/1047417409.db2.gz QXBBDENRHHSNDS-VXGBXAGGSA-N 0 3 312.335 4.033 20 0 DIADHN c1ccc(CN(Cc2ccc3c(c2)OCCCO3)C2CC2)cc1 ZINC001142271962 1047419776 /nfs/dbraw/zinc/41/97/76/1047419776.db2.gz YYSQLFVKXGNKMD-UHFFFAOYSA-N 0 3 309.409 4.013 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@H]1C(C)C ZINC000117350002 1047422184 /nfs/dbraw/zinc/42/21/84/1047422184.db2.gz AUVXKPYMPXOKFH-KRWDZBQOSA-N 0 3 302.462 4.006 20 0 DIADHN CCc1csc(CC2CCN([C@@H](C)c3cccnc3)CC2)n1 ZINC000653802663 1047423298 /nfs/dbraw/zinc/42/32/98/1047423298.db2.gz OOEMWNKPNOPUIY-AWEZNQCLSA-N 0 3 315.486 4.116 20 0 DIADHN Cc1cnc(CN2CCC[C@H](CCCc3ccccc3)C2)cn1 ZINC000749544359 1047426003 /nfs/dbraw/zinc/42/60/03/1047426003.db2.gz SIWUALADRXNVEA-IBGZPJMESA-N 0 3 309.457 4.020 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)o1)C1CCC(F)(F)CC1 ZINC000653868010 1047428642 /nfs/dbraw/zinc/42/86/42/1047428642.db2.gz MHEPQXSQVCBAPH-LBPRGKRZSA-N 0 3 321.371 4.040 20 0 DIADHN FC1(F)CCC(CN[C@@H](Cc2ccccc2)c2ncco2)CC1 ZINC000653913186 1047433637 /nfs/dbraw/zinc/43/36/37/1047433637.db2.gz QDDMNCVTDGVONE-INIZCTEOSA-N 0 3 320.383 4.374 20 0 DIADHN CCOC(=O)c1sc([C@@H](C)NCCC(C)(C)CC)nc1C ZINC000612525882 1047435597 /nfs/dbraw/zinc/43/55/97/1047435597.db2.gz UYEUCRCIWWTRNS-GFCCVEGCSA-N 0 3 312.479 4.105 20 0 DIADHN C[C@@H](c1ccco1)N(Cc1ccc(-n2ccnc2)cc1)C1CC1 ZINC000086232976 1047439364 /nfs/dbraw/zinc/43/93/64/1047439364.db2.gz ORGVIRBFUWAZTD-HNNXBMFYSA-N 0 3 307.397 4.191 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N(C)Cc1c(C)nn(C)c1C ZINC000749905785 1047446784 /nfs/dbraw/zinc/44/67/84/1047446784.db2.gz RMFWSDNXPLVAMT-QGZVFWFLSA-N 0 3 305.853 4.273 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N(C)Cc1c(C)nn(C)c1C ZINC000749905781 1047447303 /nfs/dbraw/zinc/44/73/03/1047447303.db2.gz RMFWSDNXPLVAMT-KRWDZBQOSA-N 0 3 305.853 4.273 20 0 DIADHN Cc1ccccc1[C@@H]1CN(Cc2cccc3[nH]ccc32)[C@@H](C)CO1 ZINC000537616388 1047451954 /nfs/dbraw/zinc/45/19/54/1047451954.db2.gz MTEMIVFIYOHFJC-KKSFZXQISA-N 0 3 320.436 4.438 20 0 DIADHN Cc1ccccc1[C@H]1CN(Cc2cccc3[nH]ccc32)[C@@H](C)CO1 ZINC000537616384 1047452561 /nfs/dbraw/zinc/45/25/61/1047452561.db2.gz MTEMIVFIYOHFJC-HRAATJIYSA-N 0 3 320.436 4.438 20 0 DIADHN c1cn(-c2ccc(CN3CCC(C4CCCCC4)CC3)cn2)cn1 ZINC000750035663 1047454962 /nfs/dbraw/zinc/45/49/62/1047454962.db2.gz HGELWUJQJRRFLN-UHFFFAOYSA-N 0 3 324.472 4.060 20 0 DIADHN Cc1cnc(CN(Cc2ccccc2)[C@H]2CCCC2(C)C)cn1 ZINC000750060499 1047455522 /nfs/dbraw/zinc/45/55/22/1047455522.db2.gz CJINWEUEGREXPM-IBGZPJMESA-N 0 3 309.457 4.366 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cncc(F)c3)ccc2c1 ZINC000119436809 1047457740 /nfs/dbraw/zinc/45/77/40/1047457740.db2.gz GJHCTZWMLQDEKD-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](c1ccco1)N(Cc1ccc(-n2cccn2)cc1)C1CC1 ZINC000088549419 1047463431 /nfs/dbraw/zinc/46/34/31/1047463431.db2.gz MXHLIHAGOQRJLE-HNNXBMFYSA-N 0 3 307.397 4.191 20 0 DIADHN C[C@H](NCc1cccc(N(C)C)c1)c1ccccc1OC(F)F ZINC000119868133 1047476365 /nfs/dbraw/zinc/47/63/65/1047476365.db2.gz RKSYYGQXYOEPKH-ZDUSSCGKSA-N 0 3 320.383 4.205 20 0 DIADHN C[C@H](N)c1nccn1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168334541 1047481619 /nfs/dbraw/zinc/48/16/19/1047481619.db2.gz VWPYRQFXTRKSEG-YGONEPDPSA-N 0 3 303.837 4.455 20 0 DIADHN NCc1ccc(NC2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC001168334749 1047482637 /nfs/dbraw/zinc/48/26/37/1047482637.db2.gz CQNSMGQBNRSSOE-UHFFFAOYSA-N 0 3 315.848 4.332 20 0 DIADHN CCOC[C@H]1CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000537625159 1047483361 /nfs/dbraw/zinc/48/33/61/1047483361.db2.gz OVQMZYQPBZDMRT-ZDUSSCGKSA-N 0 3 307.821 4.335 20 0 DIADHN Cn1ccc(CNCc2cccc(OCc3ccc(F)cc3)c2)c1 ZINC000120363857 1047487724 /nfs/dbraw/zinc/48/77/24/1047487724.db2.gz TVYAJJLYURQZJO-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN C[C@@H](NC[C@H](c1ccco1)N1CCCC1)c1ccc(F)cc1F ZINC000120305199 1047490059 /nfs/dbraw/zinc/49/00/59/1047490059.db2.gz ZNKWDKIHQFBOGF-CXAGYDPISA-N 0 3 320.383 4.046 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089913010 1047493629 /nfs/dbraw/zinc/49/36/29/1047493629.db2.gz BEWBYRXNLVOWTK-WBMJQRKESA-N 0 3 317.433 4.347 20 0 DIADHN Cc1cc(-c2nnc(CN3CCCC[C@H]3CC(C)C)o2)c(C)o1 ZINC000089920725 1047493870 /nfs/dbraw/zinc/49/38/70/1047493870.db2.gz CFOFICMTLVRSJY-HNNXBMFYSA-N 0 3 317.433 4.347 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000120674970 1047498580 /nfs/dbraw/zinc/49/85/80/1047498580.db2.gz NEZKZDTUSHQRPU-DOMZBBRYSA-N 0 3 301.434 4.392 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1cc(F)cc(F)c1 ZINC000120781866 1047500157 /nfs/dbraw/zinc/50/01/57/1047500157.db2.gz NRKKWXUTABJQBR-GUYCJALGSA-N 0 3 320.383 4.046 20 0 DIADHN CCn1c2ccccc2c2cc(CN3CC4(CSC4)C3)ccc21 ZINC001142862148 1047505270 /nfs/dbraw/zinc/50/52/70/1047505270.db2.gz DWZIEXJWZHVZKA-UHFFFAOYSA-N 0 3 322.477 4.363 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2ccc(CO)cc2)cc1Cl ZINC000842894039 1047512791 /nfs/dbraw/zinc/51/27/91/1047512791.db2.gz FGBJOKCTBPJSFO-CYBMUJFWSA-N 0 3 319.832 4.000 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCc2c1cccc2OC ZINC000121448034 1047519358 /nfs/dbraw/zinc/51/93/58/1047519358.db2.gz AGUNAIDUICUYES-KBXCAEBGSA-N 0 3 311.425 4.432 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC001142954660 1047520017 /nfs/dbraw/zinc/52/00/17/1047520017.db2.gz QQFUTXSMOUGMRR-QZTJIDSGSA-N 0 3 322.537 4.173 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@H]([C@H]2CCCC2(C)C)C1 ZINC001142954663 1047520309 /nfs/dbraw/zinc/52/03/09/1047520309.db2.gz QQFUTXSMOUGMRR-ZWKOTPCHSA-N 0 3 322.537 4.173 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1nc2c(s1)CCCC2)C(C)C ZINC000091731911 1047522281 /nfs/dbraw/zinc/52/22/81/1047522281.db2.gz MESYJBCSECKHAC-DZGCQCFKSA-N 0 3 310.507 4.124 20 0 DIADHN CSc1ccccc1[C@H]1CCCN1Cc1ccc2nccn2c1 ZINC001143034015 1047527839 /nfs/dbraw/zinc/52/78/39/1047527839.db2.gz RVWXGDFOOAJKMV-QGZVFWFLSA-N 0 3 323.465 4.393 20 0 DIADHN CC(C)COC1CCN(Cc2cc3cc(F)ccc3o2)CC1 ZINC000092222997 1047530747 /nfs/dbraw/zinc/53/07/47/1047530747.db2.gz MXEIOKHPUOKUTG-UHFFFAOYSA-N 0 3 305.393 4.209 20 0 DIADHN CN(Cc1occc1Br)C12CC3CC(CC(C3)C1)C2 ZINC001143126483 1047533153 /nfs/dbraw/zinc/53/31/53/1047533153.db2.gz MLSBIPOFHIKBHN-UHFFFAOYSA-N 0 3 324.262 4.443 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1ncc(-c2ccccc2)s1 ZINC000092396932 1047533224 /nfs/dbraw/zinc/53/32/24/1047533224.db2.gz CUKWCKGWMUHRLK-CYBMUJFWSA-N 0 3 304.459 4.103 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC[C@H](c3nccs3)C2)o1 ZINC000092788762 1047539083 /nfs/dbraw/zinc/53/90/83/1047539083.db2.gz AGUZEEZPDJANLS-VNHYZAJKSA-N 0 3 302.443 4.239 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN2CCC[C@H](c3nccs3)C2)o1 ZINC000092788761 1047539234 /nfs/dbraw/zinc/53/92/34/1047539234.db2.gz AGUZEEZPDJANLS-YDHLFZDLSA-N 0 3 302.443 4.239 20 0 DIADHN c1c2cccnc2[nH]c1CN1CCC(Cc2ccccc2)CC1 ZINC001143212244 1047541117 /nfs/dbraw/zinc/54/11/17/1047541117.db2.gz PSEBJFQWGPFDLI-UHFFFAOYSA-N 0 3 305.425 4.018 20 0 DIADHN Cn1cc(CN2CCC3(CCCCC3)CC2)c(-c2ccncc2)n1 ZINC000092969052 1047541689 /nfs/dbraw/zinc/54/16/89/1047541689.db2.gz PZSUGVVJPDIYRO-UHFFFAOYSA-N 0 3 324.472 4.028 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc3cccnc3[nH]2)cc1 ZINC001143223250 1047542134 /nfs/dbraw/zinc/54/21/34/1047542134.db2.gz GAZUZKUOZDJNDZ-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2ccc(C(F)F)cc2)C1 ZINC001143253433 1047544294 /nfs/dbraw/zinc/54/42/94/1047544294.db2.gz SXPMFQHCRHBKCT-QGZVFWFLSA-N 0 3 315.363 4.329 20 0 DIADHN Fc1cc(CN2CCC23CCCC3)cc(OC(F)(F)F)c1 ZINC001143256808 1047544827 /nfs/dbraw/zinc/54/48/27/1047544827.db2.gz VTZPSLJLDSZMKY-UHFFFAOYSA-N 0 3 303.299 4.243 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1cnc(C2CCC2)s1 ZINC000093324901 1047545495 /nfs/dbraw/zinc/54/54/95/1047545495.db2.gz IODMIVWWCRUUOS-ZDUSSCGKSA-N 0 3 316.470 4.179 20 0 DIADHN Fc1cc(CN2CCC3(CCC3)C2)cc(OC(F)(F)F)c1 ZINC001143262597 1047546196 /nfs/dbraw/zinc/54/61/96/1047546196.db2.gz NXKOHOHNISAISG-UHFFFAOYSA-N 0 3 303.299 4.100 20 0 DIADHN CC[C@@H](NCc1cccc(COC)c1)c1cc(C)ccc1OC ZINC000093398437 1047546380 /nfs/dbraw/zinc/54/63/80/1047546380.db2.gz CDXCBSZILQLBRK-LJQANCHMSA-N 0 3 313.441 4.391 20 0 DIADHN COc1ccc(F)c(C(F)(F)F)c1CN1CCC2(CC2)CC1 ZINC001143297874 1047549372 /nfs/dbraw/zinc/54/93/72/1047549372.db2.gz CTWHUMUYJMLISF-UHFFFAOYSA-N 0 3 317.326 4.229 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CC=CC2)c(C)o1 ZINC000843433113 1047550967 /nfs/dbraw/zinc/55/09/67/1047550967.db2.gz SCAOMBBLHBLPES-LJQANCHMSA-N 0 3 311.425 4.056 20 0 DIADHN COc1ccc(F)c(C(F)(F)F)c1CN(C)C1CCCC1 ZINC001143311029 1047551514 /nfs/dbraw/zinc/55/15/14/1047551514.db2.gz XTRLHKBDNMFNPV-UHFFFAOYSA-N 0 3 305.315 4.228 20 0 DIADHN CC(C)P(CCNC(=O)c1cnc2ccccc2c1)C(C)C ZINC001149141262 1047555516 /nfs/dbraw/zinc/55/55/16/1047555516.db2.gz MWNXPLNBNIQGOV-UHFFFAOYSA-N 0 3 316.385 4.263 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc2cc[nH]c2c1)C(C)C ZINC001149218907 1047557749 /nfs/dbraw/zinc/55/77/49/1047557749.db2.gz HSRFOMYOTGZVKJ-UHFFFAOYSA-N 0 3 304.374 4.196 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(NC2CCCC2)c1)N(CC)CC ZINC001149257263 1047558668 /nfs/dbraw/zinc/55/86/68/1047558668.db2.gz OYYXLKWEIXVLHC-SFHVURJKSA-N 0 3 317.477 4.100 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1ncc(-c2cccc(F)c2)o1 ZINC000476666081 1047562965 /nfs/dbraw/zinc/56/29/65/1047562965.db2.gz IQSPZJGXTYXLBD-CZUORRHYSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1n[nH]cc1CN1CC[C@@H](C)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000537654480 1047568879 /nfs/dbraw/zinc/56/88/79/1047568879.db2.gz AOINWNHZMSMLEK-BZNIZROVSA-N 0 3 323.362 4.320 20 0 DIADHN c1csc(CCNCc2nc(-c3ccccc3)cs2)c1 ZINC000061680744 1047576879 /nfs/dbraw/zinc/57/68/79/1047576879.db2.gz CIKLCRDMWVMVDI-UHFFFAOYSA-N 0 3 300.452 4.204 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C(C)C)c2)cc1C ZINC000926904162 1047577490 /nfs/dbraw/zinc/57/74/90/1047577490.db2.gz VXFVRLUWLXAOBD-GJZGRUSLSA-N 0 3 301.434 4.193 20 0 DIADHN CCC(CC)(CC)CNC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001150607744 1047579468 /nfs/dbraw/zinc/57/94/68/1047579468.db2.gz CFVPSYQMIXEBFP-UHFFFAOYSA-N 0 3 315.461 4.237 20 0 DIADHN Clc1cc(Cl)cc(CN2CCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000537696052 1047583461 /nfs/dbraw/zinc/58/34/61/1047583461.db2.gz DXZDFYXLUDRLQT-KBPBESRZSA-N 0 3 314.256 4.242 20 0 DIADHN OCCCCN1CCC(=Cc2cc(Cl)ccc2Cl)CC1 ZINC000476994890 1047587243 /nfs/dbraw/zinc/58/72/43/1047587243.db2.gz MFEJDMVGGPOJMM-UHFFFAOYSA-N 0 3 314.256 4.245 20 0 DIADHN Cc1cc([C@@H](C)NC/C=C/c2ccccc2[N+](=O)[O-])c(C)o1 ZINC000237389417 1047591295 /nfs/dbraw/zinc/59/12/95/1047591295.db2.gz HCNVNUMLUGMAEL-STMXVASLSA-N 0 3 300.358 4.169 20 0 DIADHN Cc1csc(C(C)(C)NCCc2c(F)cccc2Cl)n1 ZINC000477078172 1047592960 /nfs/dbraw/zinc/59/29/60/1047592960.db2.gz UQLRVAXZBNAAHQ-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN C[C@H]([NH2+]C1CCC(C(F)(F)F)CC1)c1cc([O-])cc(F)c1 ZINC000924628795 1047599575 /nfs/dbraw/zinc/59/95/75/1047599575.db2.gz LXYHROCZFZCERX-FJJSSXBZSA-N 0 3 305.315 4.303 20 0 DIADHN CCCc1noc(CN2CCC[C@H]2[C@H](CC)c2ccccc2)n1 ZINC000477220038 1047604772 /nfs/dbraw/zinc/60/47/72/1047604772.db2.gz KPXOBLXNUGAELY-SJORKVTESA-N 0 3 313.445 4.180 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@H](C)N2CC[C@@H](c3ccccc3)C2)c1 ZINC000477219891 1047604815 /nfs/dbraw/zinc/60/48/15/1047604815.db2.gz HVCWEBMYNXMYRJ-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1ccn(C2CCCC2)n1 ZINC000477260962 1047609146 /nfs/dbraw/zinc/60/91/46/1047609146.db2.gz XXRMKYKCKAHDRQ-LJQANCHMSA-N 0 3 311.473 4.451 20 0 DIADHN C[C@H](C1CC1)N(Cc1ccccc1)Cc1ccc(C#N)cc1F ZINC000385905710 1047610399 /nfs/dbraw/zinc/61/03/99/1047610399.db2.gz DKLLWMFJQZEAST-OAHLLOKOSA-N 0 3 308.400 4.498 20 0 DIADHN Brc1ccsc1CN1CCC[C@H]1C1CCC1 ZINC000477639992 1047628561 /nfs/dbraw/zinc/62/85/61/1047628561.db2.gz GDDJMTXNDLTRBT-LBPRGKRZSA-N 0 3 300.265 4.275 20 0 DIADHN CCC[C@@H](NC[C@@H]1C[C@H]1C(F)(F)F)c1ncccc1Cl ZINC000926946317 1047630624 /nfs/dbraw/zinc/63/06/24/1047630624.db2.gz BVTHCIOLARKKIQ-HOSYDEDBSA-N 0 3 306.759 4.364 20 0 DIADHN Cc1ccc(C)c(NC(=O)c2cccc(CN3CCCC3)c2)c1 ZINC000060549135 1047631029 /nfs/dbraw/zinc/63/10/29/1047631029.db2.gz RHDSPTFNKMSWNY-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN C[C@H](C(=O)N1CCC[C@H](C)c2ccccc21)N1CCCCCC1 ZINC001153856956 1047633291 /nfs/dbraw/zinc/63/32/91/1047633291.db2.gz ADFQFOBXZCXIDA-DLBZAZTESA-N 0 3 314.473 4.181 20 0 DIADHN Cc1cc(CNC[C@@H](C)Cc2ccc(C(C)(C)C)cc2)no1 ZINC000533937306 1047634882 /nfs/dbraw/zinc/63/48/82/1047634882.db2.gz RTFGBNYNXADSQA-AWEZNQCLSA-N 0 3 300.446 4.249 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)[C@H](OC)c1ccc(F)cc1 ZINC000537774259 1047638518 /nfs/dbraw/zinc/63/85/18/1047638518.db2.gz MZUVACDUVUKJBT-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CC[C@@H](C)C3(CCC3)C2)c1C ZINC000537841655 1047639798 /nfs/dbraw/zinc/63/97/98/1047639798.db2.gz RQWDSQOQRFZPSZ-WBVHZDCISA-N 0 3 314.473 4.143 20 0 DIADHN CC(C)P(CCNC(=O)c1c[nH]c2ccc(F)cc12)C(C)C ZINC001154141452 1047640854 /nfs/dbraw/zinc/64/08/54/1047640854.db2.gz DJTYEHYBAPDRLR-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1coc(-c2c(F)cccc2F)n1 ZINC000537856709 1047641630 /nfs/dbraw/zinc/64/16/30/1047641630.db2.gz IFDAGVJOXISZOX-AAEUAGOBSA-N 0 3 306.356 4.383 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1coc(-c2c(F)cccc2F)n1 ZINC000537856714 1047641639 /nfs/dbraw/zinc/64/16/39/1047641639.db2.gz IFDAGVJOXISZOX-YPMHNXCESA-N 0 3 306.356 4.383 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)NC(C)(C)CC1CCCCCC1 ZINC001154436960 1047647862 /nfs/dbraw/zinc/64/78/62/1047647862.db2.gz UAYJBUKJDSSUJS-HZPDHXFCSA-N 0 3 308.510 4.115 20 0 DIADHN c1ccc([C@H](CC2CC2)NCc2cccc3c2OCCCO3)cc1 ZINC000537960587 1047647983 /nfs/dbraw/zinc/64/79/83/1047647983.db2.gz AQSXFOJQPYWFSZ-IBGZPJMESA-N 0 3 323.436 4.479 20 0 DIADHN CCC[C@H](N[C@H]1CN(C)Cc2ccccc21)c1ccc(OC)cc1 ZINC000537946760 1047648610 /nfs/dbraw/zinc/64/86/10/1047648610.db2.gz SFDHXCGOUXHXPS-SFTDATJTSA-N 0 3 324.468 4.313 20 0 DIADHN CN(Cc1ccc(N[C@@H](c2ccccc2)C2CC2)nc1)C1CC1 ZINC001154644004 1047652564 /nfs/dbraw/zinc/65/25/64/1047652564.db2.gz AJEVHSGSVODDSC-FQEVSTJZSA-N 0 3 307.441 4.239 20 0 DIADHN C[C@@H](NCCn1cccn1)c1ccccc1Oc1ccccc1 ZINC000538152523 1047660178 /nfs/dbraw/zinc/66/01/78/1047660178.db2.gz CVADAEPDAWXWRC-MRXNPFEDSA-N 0 3 307.397 4.026 20 0 DIADHN CCN(Cc1ccccc1)C(=O)[C@@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000538130076 1047660259 /nfs/dbraw/zinc/66/02/59/1047660259.db2.gz UJJHMENXNJCXBO-QZTJIDSGSA-N 0 3 324.468 4.083 20 0 DIADHN C[C@H]1CCN(C(=O)C(C)(C)N2CCCCC2)CC12CCCCC2 ZINC001154934201 1047661379 /nfs/dbraw/zinc/66/13/79/1047661379.db2.gz BDHMNFROMQMNQX-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN CC(NCCOCC(F)(F)F)(c1ccccc1)c1ccccc1 ZINC000538204371 1047661922 /nfs/dbraw/zinc/66/19/22/1047661922.db2.gz DWGWFTGVARGGMG-UHFFFAOYSA-N 0 3 323.358 4.119 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@H](C)c2cccc(C)c2C)c1 ZINC000538189609 1047662499 /nfs/dbraw/zinc/66/24/99/1047662499.db2.gz HPUZOYPUWRQGTK-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2ccccc2C)cc1 ZINC000538260914 1047665475 /nfs/dbraw/zinc/66/54/75/1047665475.db2.gz LIXMOMRYXKCXDW-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccc2ccc(Cl)cc2n1 ZINC001155254456 1047673337 /nfs/dbraw/zinc/67/33/37/1047673337.db2.gz LHPGLJVSAPCYGG-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2c(CC)noc2CC)C12CCCCC2 ZINC000538393214 1047674477 /nfs/dbraw/zinc/67/44/77/1047674477.db2.gz JROOGPXVQSXLLE-QZTJIDSGSA-N 0 3 320.477 4.017 20 0 DIADHN Cc1ccc(CNc2nc(N3CCCC3)cc3ccccc32)cn1 ZINC001155322097 1047676648 /nfs/dbraw/zinc/67/66/48/1047676648.db2.gz UBPYKQQBBIJPPK-UHFFFAOYSA-N 0 3 318.424 4.151 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)N[C@@H](C)c1cccc(CO)c1 ZINC000538451910 1047678220 /nfs/dbraw/zinc/67/82/20/1047678220.db2.gz DTHZNKUZIJMXGK-JKSUJKDBSA-N 0 3 313.441 4.378 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)c1ccc(-n2cccn2)cc1 ZINC000538555165 1047687546 /nfs/dbraw/zinc/68/75/46/1047687546.db2.gz OUFUOBHELLGYNH-OAHLLOKOSA-N 0 3 321.399 4.351 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(F)c(F)c(F)c2)C1 ZINC000538724956 1047706604 /nfs/dbraw/zinc/70/66/04/1047706604.db2.gz KSSHDQUDEYFTIX-VXGBXAGGSA-N 0 3 303.393 4.210 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@]1(C)CCCc2ccccc21 ZINC000538707938 1047698419 /nfs/dbraw/zinc/69/84/19/1047698419.db2.gz BKJKVVWCNBTQBT-NRFANRHFSA-N 0 3 322.452 4.083 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@]1(C)CCCc2ccccc21 ZINC000538707940 1047698484 /nfs/dbraw/zinc/69/84/84/1047698484.db2.gz BKJKVVWCNBTQBT-OAQYLSRUSA-N 0 3 322.452 4.083 20 0 DIADHN Cc1ccc([C@@H]2[C@H](C)CCCN2Cc2cnc3cnccn23)cc1 ZINC000538720484 1047699774 /nfs/dbraw/zinc/69/97/74/1047699774.db2.gz BUMLZRCNHPWPCE-UZLBHIALSA-N 0 3 320.440 4.011 20 0 DIADHN CC(C)Cc1ncc(CN(C[C@H]2CCCO2)C2CCCC2)s1 ZINC000538734323 1047703202 /nfs/dbraw/zinc/70/32/02/1047703202.db2.gz RDXFQTSYTPJASZ-MRXNPFEDSA-N 0 3 322.518 4.265 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(F)c(F)c(F)c2)C1 ZINC000538724955 1047706168 /nfs/dbraw/zinc/70/61/68/1047706168.db2.gz KSSHDQUDEYFTIX-RYUDHWBXSA-N 0 3 303.393 4.210 20 0 DIADHN COc1ccsc1CN1CC[C@H](Oc2cccc(Cl)c2)C1 ZINC000538750041 1047707813 /nfs/dbraw/zinc/70/78/13/1047707813.db2.gz VYNJCSCISQWEIM-AWEZNQCLSA-N 0 3 323.845 4.063 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1CCCCC1 ZINC000538823874 1047715166 /nfs/dbraw/zinc/71/51/66/1047715166.db2.gz KWNWHPBRCYQDNH-UHFFFAOYSA-N 0 3 317.864 4.188 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)N[C@H]1CCCN(CC)C1 ZINC001156567258 1047718125 /nfs/dbraw/zinc/71/81/25/1047718125.db2.gz WRCPCAIFVZWSBJ-PKOBYXMFSA-N 0 3 310.526 4.364 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000538954845 1047720470 /nfs/dbraw/zinc/72/04/70/1047720470.db2.gz DTUNIQWJHQJTSE-HIFRSBDPSA-N 0 3 300.402 4.113 20 0 DIADHN CCc1ccc(C2=CCN(CCc3csc(C)n3)CC2)cc1 ZINC000538956143 1047720747 /nfs/dbraw/zinc/72/07/47/1047720747.db2.gz SEQDOUJHQJBPBR-UHFFFAOYSA-N 0 3 312.482 4.346 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccnc(SC)c2)cc1 ZINC000539038677 1047725508 /nfs/dbraw/zinc/72/55/08/1047725508.db2.gz JIFYUILREZTZHW-AWEZNQCLSA-N 0 3 316.470 4.443 20 0 DIADHN Cc1ccc(C)c([C@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)c1 ZINC000539056646 1047729051 /nfs/dbraw/zinc/72/90/51/1047729051.db2.gz UBSSAOPZXFQRQF-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)c1 ZINC000539056646 1047729059 /nfs/dbraw/zinc/72/90/59/1047729059.db2.gz UBSSAOPZXFQRQF-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000539068199 1047733752 /nfs/dbraw/zinc/73/37/52/1047733752.db2.gz MIAPIZWCXOTOQZ-PMUMKWKESA-N 0 3 303.833 4.442 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2N(C)C)cc1Cl ZINC000539072288 1047734240 /nfs/dbraw/zinc/73/42/40/1047734240.db2.gz GNXZROYHWNDENS-ZDUSSCGKSA-N 0 3 318.848 4.265 20 0 DIADHN C[C@H](NCCOc1ccccc1F)c1ccc2ccccc2n1 ZINC000539075056 1047734814 /nfs/dbraw/zinc/73/48/14/1047734814.db2.gz RWMUUDUMAGLVDO-AWEZNQCLSA-N 0 3 310.372 4.104 20 0 DIADHN CCCC[C@@H](CC)COCCCNc1cc(CN(C)C)ccn1 ZINC001157341325 1047740133 /nfs/dbraw/zinc/74/01/33/1047740133.db2.gz SFQJIDZBBDVCFU-QGZVFWFLSA-N 0 3 321.509 4.178 20 0 DIADHN Cc1cc([C@H](NCc2ccc(CO)c(F)c2)C2CC2)ccc1F ZINC000539214477 1047741737 /nfs/dbraw/zinc/74/17/37/1047741737.db2.gz MLFFAIUWLWMPDU-LJQANCHMSA-N 0 3 317.379 4.006 20 0 DIADHN Clc1cccc2nc(N3CCN[C@H](c4ccccc4)C3)ccc12 ZINC001157759247 1047753029 /nfs/dbraw/zinc/75/30/29/1047753029.db2.gz KGSIZXDDNQPKFT-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)CCOCCC(C)C)CCC2 ZINC000478048779 1047765883 /nfs/dbraw/zinc/76/58/83/1047765883.db2.gz SNMOBXWOGIEHED-OAHLLOKOSA-N 0 3 310.507 4.077 20 0 DIADHN C[C@H]1C[C@H](Nc2ncccc2CN2CCCC2)c2ccccc21 ZINC001158477543 1047784733 /nfs/dbraw/zinc/78/47/33/1047784733.db2.gz SHNFJDTWFBTHMA-KXBFYZLASA-N 0 3 307.441 4.338 20 0 DIADHN Cc1cc(Cl)c(C)c(N(C2CCCC2)C2CCN(C)CC2)n1 ZINC001158487674 1047786312 /nfs/dbraw/zinc/78/63/12/1047786312.db2.gz MIRJHIFRAGHNQY-UHFFFAOYSA-N 0 3 321.896 4.195 20 0 DIADHN CN1CCC(N(c2nccc3ccsc32)C2CCCC2)CC1 ZINC001158488425 1047786411 /nfs/dbraw/zinc/78/64/11/1047786411.db2.gz YTBNWXCFZCKUMD-UHFFFAOYSA-N 0 3 315.486 4.140 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000552696024 1047787629 /nfs/dbraw/zinc/78/76/29/1047787629.db2.gz JATPKLXFWNJZMP-AZINGDEXSA-N 0 3 317.404 4.408 20 0 DIADHN CCCN(C(=O)[C@H](CC)N(CC)CC)c1ccc(CC)cc1 ZINC001158544538 1047788906 /nfs/dbraw/zinc/78/89/06/1047788906.db2.gz ACFQWMCSIYVTLT-SFHVURJKSA-N 0 3 304.478 4.112 20 0 DIADHN CC(C)[C@@H](N[C@@H]1C[C@H](C)Sc2sccc21)c1cnn(C)c1 ZINC000927068626 1047793872 /nfs/dbraw/zinc/79/38/72/1047793872.db2.gz KELGSGGYHCPCDN-NILFDRSVSA-N 0 3 321.515 4.394 20 0 DIADHN Nc1cccc(N[C@H]2C[C@@H]2c2ccc(-c3cccc(O)c3)cc2)n1 ZINC001159214034 1047821671 /nfs/dbraw/zinc/82/16/71/1047821671.db2.gz VGCMCPJCVXFGBB-MSOLQXFVSA-N 0 3 317.392 4.004 20 0 DIADHN NCc1cc(Nc2c(Cl)cc(Cl)cc2Cl)ccn1 ZINC001159296208 1047826405 /nfs/dbraw/zinc/82/64/05/1047826405.db2.gz GRFBXVHWVHTWAW-UHFFFAOYSA-N 0 3 302.592 4.244 20 0 DIADHN NCc1cc(Nc2cc(Cl)cc(Cl)c2Cl)ccn1 ZINC001159296084 1047826490 /nfs/dbraw/zinc/82/64/90/1047826490.db2.gz FJQOWJIBUWSYLD-UHFFFAOYSA-N 0 3 302.592 4.244 20 0 DIADHN NCc1cc(Nc2cc(F)cc(C(F)(F)F)c2Cl)ccn1 ZINC001159296217 1047827022 /nfs/dbraw/zinc/82/70/22/1047827022.db2.gz HEHRERBQBLXNCV-UHFFFAOYSA-N 0 3 319.689 4.095 20 0 DIADHN c1c(CN2CC[C@@H]2Cc2ccccc2)onc1-c1ccccc1 ZINC000479095946 1047835317 /nfs/dbraw/zinc/83/53/17/1047835317.db2.gz FPPSASAYNKWSBU-GOSISDBHSA-N 0 3 304.393 4.159 20 0 DIADHN COc1ccc(CN2CC[C@@H](C3CCCCC3)C2)c(F)c1OC ZINC001182774314 1047842579 /nfs/dbraw/zinc/84/25/79/1047842579.db2.gz YYPKKUDRGDCBNX-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN COc1ccc(CN2CC[C@H](C3CCCCC3)C2)c(F)c1OC ZINC001182774313 1047843408 /nfs/dbraw/zinc/84/34/08/1047843408.db2.gz YYPKKUDRGDCBNX-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN CCOc1cc(CN[C@H]2C[C@@H](C)c3ccccc32)ccc1OC ZINC000553421964 1047847221 /nfs/dbraw/zinc/84/72/21/1047847221.db2.gz NQHADGSBWKMRLX-KDOFPFPSSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](CN(C)Cc1nc2cc(Cl)ccc2n1C)C(C)(C)C ZINC001197601312 1047851512 /nfs/dbraw/zinc/85/15/12/1047851512.db2.gz GYTALZFDXCEBLE-GFCCVEGCSA-N 0 3 307.869 4.341 20 0 DIADHN C[C@@H](CN(C)[C@H](C)C(=O)Nc1ccccc1Cl)C(C)(C)C ZINC001197600899 1047851641 /nfs/dbraw/zinc/85/16/41/1047851641.db2.gz FSDUQVVCXSAEFH-QWHCGFSZSA-N 0 3 310.869 4.281 20 0 DIADHN C[C@@H](CN(C)[C@H](C)c1nc(-c2cccs2)no1)C(C)(C)C ZINC001197601942 1047852535 /nfs/dbraw/zinc/85/25/35/1047852535.db2.gz MJENIYIHBDYYBI-NWDGAFQWSA-N 0 3 307.463 4.473 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C ZINC001159495040 1047856022 /nfs/dbraw/zinc/85/60/22/1047856022.db2.gz RSGHGNNCKDBPPZ-MOPGFXCFSA-N 0 3 322.452 4.127 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C)[C@@H]2c2ccccc2)cs1 ZINC001197657229 1047860609 /nfs/dbraw/zinc/86/06/09/1047860609.db2.gz ACQWSBDTXWAVMG-CXAGYDPISA-N 0 3 315.438 4.118 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1cc(C)c3ncccc3c1)C2 ZINC001182911307 1047861084 /nfs/dbraw/zinc/86/10/84/1047861084.db2.gz ZXSAKFZSUUSXFW-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CCC[C@H]3CCOC3)nc2c1 ZINC000645772245 1047866236 /nfs/dbraw/zinc/86/62/36/1047866236.db2.gz LMTRTSFVUYEGQF-HOCLYGCPSA-N 0 3 316.470 4.250 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC001182950535 1047866121 /nfs/dbraw/zinc/86/61/21/1047866121.db2.gz VLDZMOGSQFRKGU-SJORKVTESA-N 0 3 305.487 4.335 20 0 DIADHN Cc1cc(C)nc(Sc2ccc(Nc3ccncc3C)cc2)n1 ZINC001174537960 1047866895 /nfs/dbraw/zinc/86/68/95/1047866895.db2.gz XSNHNWFFOGJIMX-UHFFFAOYSA-N 0 3 322.437 4.114 20 0 DIADHN Cc1cccc(NC(=O)OC(C)(C)C)c1Nc1ccncc1C ZINC001174538499 1047867047 /nfs/dbraw/zinc/86/70/47/1047867047.db2.gz HUGPXTXXMPVDJM-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@@H](C3CCC3)C2)cc(Cl)c1[O-] ZINC001182959151 1047868864 /nfs/dbraw/zinc/86/88/64/1047868864.db2.gz HPJFYXBWFNWPQM-OAHLLOKOSA-N 0 3 323.864 4.456 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@@H](C3CCC3)C2)cc(Cl)c1[O-] ZINC001182959151 1047868874 /nfs/dbraw/zinc/86/88/74/1047868874.db2.gz HPJFYXBWFNWPQM-OAHLLOKOSA-N 0 3 323.864 4.456 20 0 DIADHN OC1(C[C@H]2CCCCN2Cc2ccnc(Cl)c2)CCCCC1 ZINC001197693208 1047870619 /nfs/dbraw/zinc/87/06/19/1047870619.db2.gz SQKTVETWJNTPEZ-MRXNPFEDSA-N 0 3 322.880 4.175 20 0 DIADHN CCOc1ccc(CN2CCC3(CC[C@@H](F)C3)CC2)cc1OC ZINC001204361223 1047872771 /nfs/dbraw/zinc/87/27/71/1047872771.db2.gz DCSIEDKBDUDBHH-MRXNPFEDSA-N 0 3 321.436 4.198 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1nccc2ccsc21 ZINC001159592023 1047875527 /nfs/dbraw/zinc/87/55/27/1047875527.db2.gz IAELWWVPYMTGGF-LBPRGKRZSA-N 0 3 320.421 4.169 20 0 DIADHN CCOc1ccc(CN2CC(Cc3ccsc3)C2)c(C)c1 ZINC001183054300 1047884365 /nfs/dbraw/zinc/88/43/65/1047884365.db2.gz VSLHAGKTAMPRER-UHFFFAOYSA-N 0 3 301.455 4.130 20 0 DIADHN Cc1ccnc(N)c1CN(Cc1cccc(Cl)c1)CC(C)C ZINC001183057847 1047885187 /nfs/dbraw/zinc/88/51/87/1047885187.db2.gz AYIOBLNLQXAKTN-UHFFFAOYSA-N 0 3 317.864 4.284 20 0 DIADHN C=Cn1cc(CN(Cc2cccc(Cl)c2)CC(C)C)cn1 ZINC001183057861 1047886361 /nfs/dbraw/zinc/88/63/61/1047886361.db2.gz BIHFMVZYPGQXDC-UHFFFAOYSA-N 0 3 303.837 4.295 20 0 DIADHN Cc1ccc([C@H](C)Nc2ccc(CN(C)C3CC3)cn2)c(C)c1 ZINC001159633089 1047888212 /nfs/dbraw/zinc/88/82/12/1047888212.db2.gz PYMQGXYPDJQNFJ-INIZCTEOSA-N 0 3 309.457 4.466 20 0 DIADHN CCCc1cccc(CNCc2ccnc(OC3CCC3)c2)c1 ZINC000479441546 1047892351 /nfs/dbraw/zinc/89/23/51/1047892351.db2.gz WDXNUMVHIQDSHS-UHFFFAOYSA-N 0 3 310.441 4.255 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001197901076 1047895870 /nfs/dbraw/zinc/89/58/70/1047895870.db2.gz HMDAUHRGOLLQNT-ZFWWWQNUSA-N 0 3 303.837 4.014 20 0 DIADHN COCc1csc(CN(C)[C@H](C)c2ccc(OC)cc2)c1 ZINC000667495043 1047896083 /nfs/dbraw/zinc/89/60/83/1047896083.db2.gz WIMOFQCMKFRBOU-CYBMUJFWSA-N 0 3 305.443 4.096 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000479456795 1047898456 /nfs/dbraw/zinc/89/84/56/1047898456.db2.gz RECHRHNQMZVAHO-XSDIEEQYSA-N 0 3 307.437 4.119 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2ccnn2-c2ccccc2C)C1 ZINC001183170396 1047898830 /nfs/dbraw/zinc/89/88/30/1047898830.db2.gz JXVJSPOGTXZBFU-WHLIDYPQSA-N 0 3 309.457 4.359 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2ccnn2-c2ccccc2C)C1 ZINC001183170389 1047899898 /nfs/dbraw/zinc/89/98/98/1047899898.db2.gz JXVJSPOGTXZBFU-JXCVUKRWSA-N 0 3 309.457 4.359 20 0 DIADHN CSCc1ccc(CN2CC(Cc3cccs3)C2)cc1 ZINC001183197421 1047903222 /nfs/dbraw/zinc/90/32/22/1047903222.db2.gz USXKYIZWIRYAGE-UHFFFAOYSA-N 0 3 303.496 4.286 20 0 DIADHN Clc1ccnc(N2CCN(CCC3CCCCCC3)CC2)c1 ZINC001197937513 1047905225 /nfs/dbraw/zinc/90/52/25/1047905225.db2.gz QAAHCWLUMXFBIG-UHFFFAOYSA-N 0 3 321.896 4.218 20 0 DIADHN O[C@@H](CNCc1cc2ccccc2o1)c1ccc(F)cc1Cl ZINC000774614647 1047915246 /nfs/dbraw/zinc/91/52/46/1047915246.db2.gz KIPKZJWRXFIOKR-INIZCTEOSA-N 0 3 319.763 4.049 20 0 DIADHN Cc1cccc(CN2CCC3(CCc4ccccc4O3)CC2)c1 ZINC001203892349 1047916027 /nfs/dbraw/zinc/91/60/27/1047916027.db2.gz IPMZNWYUTIGJHG-UHFFFAOYSA-N 0 3 307.437 4.355 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000479538436 1047921942 /nfs/dbraw/zinc/92/19/42/1047921942.db2.gz BUXKFQSBXNZZEH-OUAUKWLOSA-N 0 3 315.230 4.070 20 0 DIADHN COc1ccccc1[C@H](C)NCc1cc2c(cccc2O)s1 ZINC001183429164 1047927272 /nfs/dbraw/zinc/92/72/72/1047927272.db2.gz QBBQZJBRKFVWGM-LBPRGKRZSA-N 0 3 313.422 4.466 20 0 DIADHN C[C@@H]1CCC[C@@H](C2CN(Cc3cc(F)ccc3N(C)C)C2)C1 ZINC001183441844 1047928121 /nfs/dbraw/zinc/92/81/21/1047928121.db2.gz AMKGMJOJPSHXDK-HUUCEWRRSA-N 0 3 304.453 4.150 20 0 DIADHN CCCOc1ccc(CNCc2cc3c(cc(C)cc3C)[nH]2)cn1 ZINC000479557331 1047931161 /nfs/dbraw/zinc/93/11/61/1047931161.db2.gz UHJGGSQSZPUGBF-UHFFFAOYSA-N 0 3 323.440 4.258 20 0 DIADHN CCN(Cc1cc(COC)cs1)[C@@H](C)c1cccc(OC)c1 ZINC000667515826 1047931996 /nfs/dbraw/zinc/93/19/96/1047931996.db2.gz OLJJCYAWPJTMMZ-AWEZNQCLSA-N 0 3 319.470 4.486 20 0 DIADHN COCc1ccc(CNCc2cc3c(cc(C)cc3C)[nH]2)cc1 ZINC000479561200 1047932444 /nfs/dbraw/zinc/93/24/44/1047932444.db2.gz XQZDXIZSJVALGU-UHFFFAOYSA-N 0 3 308.425 4.221 20 0 DIADHN C=CCOc1ccc(CN[C@H](C)c2ccc(F)cc2)cc1OC ZINC000072670110 1047935682 /nfs/dbraw/zinc/93/56/82/1047935682.db2.gz PGJPHAOYTOVQAE-CQSZACIVSA-N 0 3 315.388 4.250 20 0 DIADHN C[C@H](CNCc1ccc(-c2ccncc2)cc1F)C(F)(F)F ZINC000479602075 1047938259 /nfs/dbraw/zinc/93/82/59/1047938259.db2.gz PFUHBXYWMXREAK-LLVKDONJSA-N 0 3 312.310 4.176 20 0 DIADHN Nc1c(F)cccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001159870929 1047938890 /nfs/dbraw/zinc/93/88/90/1047938890.db2.gz VPUUBQZLIAQYFR-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN C[C@@H](CNCc1cnc(-c2cccs2)s1)C(F)(F)F ZINC000479595812 1047939967 /nfs/dbraw/zinc/93/99/67/1047939967.db2.gz XBFWQFBIWSSNHK-QMMMGPOBSA-N 0 3 306.378 4.160 20 0 DIADHN Oc1ccc(Cl)c(CNC2CC(c3cc(F)cc(F)c3)C2)c1 ZINC001183535884 1047944357 /nfs/dbraw/zinc/94/43/57/1047944357.db2.gz ZIHFXYKHVSFYCH-UHFFFAOYSA-N 0 3 323.770 4.360 20 0 DIADHN CCCn1nccc1CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC000667530912 1047946046 /nfs/dbraw/zinc/94/60/46/1047946046.db2.gz PFZLEHIXSHVTDL-QGZVFWFLSA-N 0 3 322.456 4.154 20 0 DIADHN CC(=O)c1ccc([C@H](C)Nc2ncccc2CN2CCCC2)cc1 ZINC001159912531 1047946414 /nfs/dbraw/zinc/94/64/14/1047946414.db2.gz WEGRUAJNDBINNO-HNNXBMFYSA-N 0 3 323.440 4.053 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CNCc1noc2c1CC(C)(C)CC2 ZINC001183642754 1047950831 /nfs/dbraw/zinc/95/08/31/1047950831.db2.gz LKLJXLKRDHVCBV-LSDHHAIUSA-N 0 3 304.478 4.496 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000924725532 1047953113 /nfs/dbraw/zinc/95/31/13/1047953113.db2.gz XJJTZRXXRZLQOU-LSDHHAIUSA-N 0 3 313.441 4.334 20 0 DIADHN C[C@H]1CN(Cc2cc3ccc(F)cc3[nH]c2=O)Cc2ccccc21 ZINC001183673351 1047955169 /nfs/dbraw/zinc/95/51/69/1047955169.db2.gz QIKAWOUFVLJITJ-ZDUSSCGKSA-N 0 3 322.383 4.199 20 0 DIADHN CC1(C)CC(CN[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001174736823 1047958475 /nfs/dbraw/zinc/95/84/75/1047958475.db2.gz WJKCKMFECJQIRI-ZDUSSCGKSA-N 0 3 302.245 4.053 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC(c3ccn(C)n3)CC2)cc1C ZINC001183769971 1047963259 /nfs/dbraw/zinc/96/32/59/1047963259.db2.gz ZTVPLCDRSCXRFN-WAYWQWQTSA-N 0 3 323.484 4.238 20 0 DIADHN CCCCCCN(CCn1nc(C)cc1C)Cc1ccco1 ZINC001198518394 1047971022 /nfs/dbraw/zinc/97/10/22/1047971022.db2.gz ZJZUICBUZRVMIR-UHFFFAOYSA-N 0 3 303.450 4.175 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](Cc2nccs2)C1 ZINC001198575539 1047976287 /nfs/dbraw/zinc/97/62/87/1047976287.db2.gz XRGJWXZBSKZFLS-BLLLJJGKSA-N 0 3 322.424 4.437 20 0 DIADHN CC[C@@H](Nc1cc(Cl)nc2c1CCNC2)c1ccccc1C ZINC001160038058 1047976484 /nfs/dbraw/zinc/97/64/84/1047976484.db2.gz GFWAHXLKZCALRG-OAHLLOKOSA-N 0 3 315.848 4.252 20 0 DIADHN Fc1cc(Br)cc([C@@H](NC/C=C/Cl)C2CC2)c1 ZINC000775871761 1047976891 /nfs/dbraw/zinc/97/68/91/1047976891.db2.gz MKLAVLZQGQPQSE-HJFNVNOESA-N 0 3 318.617 4.381 20 0 DIADHN CC(C)C[C@H]1COCCN1CCCSCc1ccccc1 ZINC001174826614 1047983564 /nfs/dbraw/zinc/98/35/64/1047983564.db2.gz BFXGNRVTBWWOER-SFHVURJKSA-N 0 3 307.503 4.057 20 0 DIADHN Cc1c([C@H](C)NC2CCCCCC2)nnn1-c1ccc(F)cc1 ZINC000479858568 1047985067 /nfs/dbraw/zinc/98/50/67/1047985067.db2.gz JEZWTXYHKKETOG-ZDUSSCGKSA-N 0 3 316.424 4.088 20 0 DIADHN Cc1ncc(CN2CCC(c3cccc4ccccc43)CC2)n1C ZINC000667565031 1047985278 /nfs/dbraw/zinc/98/52/78/1047985278.db2.gz HFQDJKPMPHBYJV-UHFFFAOYSA-N 0 3 319.452 4.261 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCO[C@@H](C)CC1 ZINC001183920095 1047985258 /nfs/dbraw/zinc/98/52/58/1047985258.db2.gz VCUWTPXKFSBQPI-NSHDSACASA-N 0 3 309.862 4.474 20 0 DIADHN CCCc1ccc(OC(=O)c2ccc(CN(CC)CC)o2)cc1 ZINC001126867739 1047997186 /nfs/dbraw/zinc/99/71/86/1047997186.db2.gz CXRBARAZTCATBI-UHFFFAOYSA-N 0 3 315.413 4.293 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC(c2cncn2C)CC1 ZINC001198769127 1048004745 /nfs/dbraw/zinc/00/47/45/1048004745.db2.gz KCYHJEICAVOHED-KRWDZBQOSA-N 0 3 319.399 4.029 20 0 DIADHN COc1cc(OC)c([C@@H](C)NCCSC(C)C)cc1Cl ZINC000554096254 1048008310 /nfs/dbraw/zinc/00/83/10/1048008310.db2.gz KKAZMKVCOINXGN-LLVKDONJSA-N 0 3 317.882 4.149 20 0 DIADHN FC(F)(F)Sc1ccccc1CN[C@@H]1COC2(CCC2)C1 ZINC001184238470 1048015201 /nfs/dbraw/zinc/01/52/01/1048015201.db2.gz CUNQPBZFERRJKR-LBPRGKRZSA-N 0 3 317.376 4.100 20 0 DIADHN C[C@H](CN(C)Cc1cc(-c2ccccc2)n[nH]1)c1ccc(F)cc1 ZINC001184239404 1048015283 /nfs/dbraw/zinc/01/52/83/1048015283.db2.gz MTYAOYYIJYWJDN-OAHLLOKOSA-N 0 3 323.415 4.451 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)C[C@H]1C[C@H]1c1ccccc1 ZINC001184238475 1048016688 /nfs/dbraw/zinc/01/66/88/1048016688.db2.gz CWADOOIMPQCMKP-QUCCMNQESA-N 0 3 317.436 4.312 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC(O)(C(C)C)CC2)cc1C ZINC001184314369 1048022192 /nfs/dbraw/zinc/02/21/92/1048022192.db2.gz NENWQISXQUZNOL-SREVYHEPSA-N 0 3 301.474 4.108 20 0 DIADHN COCc1csc(CN2CCC[C@H]2c2ccccc2OC)c1 ZINC000667590108 1048025959 /nfs/dbraw/zinc/02/59/59/1048025959.db2.gz LWAPDXYKBGZQSY-KRWDZBQOSA-N 0 3 317.454 4.240 20 0 DIADHN Cc1ccc2cc(CN3CCCO[C@@H](c4ccccc4)C3)[nH]c2c1 ZINC000667591566 1048030043 /nfs/dbraw/zinc/03/00/43/1048030043.db2.gz WHKMLBOYGYLIDB-OAQYLSRUSA-N 0 3 320.436 4.440 20 0 DIADHN O=c1[nH]c2cc(F)ccc2cc1CN[C@H](c1ccccc1)C1CC1 ZINC001199100586 1048036907 /nfs/dbraw/zinc/03/69/07/1048036907.db2.gz KKIIFVFZWSSHAX-LJQANCHMSA-N 0 3 322.383 4.320 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCOC3CCC3)oc21 ZINC000554219828 1048037113 /nfs/dbraw/zinc/03/71/13/1048037113.db2.gz OHROOFAZLIFADT-CYBMUJFWSA-N 0 3 303.402 4.051 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H](c1ccsc1)N(C)C ZINC001199101484 1048037682 /nfs/dbraw/zinc/03/76/82/1048037682.db2.gz QULKXNAIZJRXHA-INIZCTEOSA-N 0 3 320.502 4.340 20 0 DIADHN CC[C@@](C)(O)CNCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001199118839 1048039859 /nfs/dbraw/zinc/03/98/59/1048039859.db2.gz RSKNGVYDGDMKHG-OAHLLOKOSA-N 0 3 320.260 4.031 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1nc2ccccc2n1C(F)F ZINC001184450884 1048041025 /nfs/dbraw/zinc/04/10/25/1048041025.db2.gz LFINHYUWTXTUNZ-HUBLWGQQSA-N 0 3 323.387 4.040 20 0 DIADHN Fc1ccc(OCCNCc2csc(C(F)(F)F)c2)cc1 ZINC001199238454 1048047739 /nfs/dbraw/zinc/04/77/39/1048047739.db2.gz OHMNCPDJXVTRQL-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN CCCc1ccc(CNCc2ccc(NC(=O)OC)cc2)cc1 ZINC001199309520 1048053016 /nfs/dbraw/zinc/05/30/16/1048053016.db2.gz JTIRFUFICBKTRQ-UHFFFAOYSA-N 0 3 312.413 4.107 20 0 DIADHN Cc1cc(F)c(CNCC2(c3ccc(F)cc3)CC2)c(F)c1 ZINC001199354561 1048055541 /nfs/dbraw/zinc/05/55/41/1048055541.db2.gz VTEMZSKOCYRSFW-UHFFFAOYSA-N 0 3 305.343 4.234 20 0 DIADHN CCN(CCNCc1cc(Cl)ccc1F)c1ccccc1C ZINC001199344066 1048055583 /nfs/dbraw/zinc/05/55/83/1048055583.db2.gz DCWMQWYYKLBKFQ-UHFFFAOYSA-N 0 3 320.839 4.404 20 0 DIADHN CCc1ccccc1CNCc1cccc(C(=O)N[C@@H](C)CC)c1 ZINC001199365524 1048061142 /nfs/dbraw/zinc/06/11/42/1048061142.db2.gz KLLCSYCGOWGWOP-INIZCTEOSA-N 0 3 324.468 4.067 20 0 DIADHN CC(C)c1cccc(NC(=O)CN(C)C2CCC(F)(F)CC2)c1 ZINC000554309592 1048064534 /nfs/dbraw/zinc/06/45/34/1048064534.db2.gz FSODVPRSVMNRGK-UHFFFAOYSA-N 0 3 324.415 4.258 20 0 DIADHN Cc1ccc(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)c(F)c1 ZINC001203591127 1048065223 /nfs/dbraw/zinc/06/52/23/1048065223.db2.gz JYOWRIFIWWLKNY-NVXWUHKLSA-N 0 3 305.343 4.273 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1CCO[C@H](C(C)(C)C)C1 ZINC000480128679 1048065402 /nfs/dbraw/zinc/06/54/02/1048065402.db2.gz VSCDKUWULZYRIN-LRDDRELGSA-N 0 3 311.853 4.032 20 0 DIADHN COc1cc(CN[C@H](C)c2cccc(C)c2)ccc1OC(F)F ZINC000480168468 1048069335 /nfs/dbraw/zinc/06/93/35/1048069335.db2.gz UAIXDKPRLLZHPD-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN COc1ccc(CN(C2CC2)[C@H]2CCCc3ccccc32)nc1 ZINC000775981113 1048070340 /nfs/dbraw/zinc/07/03/40/1048070340.db2.gz YIHBVPZYYYJNGW-FQEVSTJZSA-N 0 3 308.425 4.132 20 0 DIADHN Cc1cccc(C)c1OCCN[C@@H](C)c1ccc(Cl)cn1 ZINC000480165169 1048075426 /nfs/dbraw/zinc/07/54/26/1048075426.db2.gz NKOKERBRPVXQFB-AWEZNQCLSA-N 0 3 304.821 4.081 20 0 DIADHN CC(C)c1ccc(OCCNCc2ccc(F)cc2F)cc1 ZINC001199571039 1048076226 /nfs/dbraw/zinc/07/62/26/1048076226.db2.gz GBVQYYLXXCABSN-UHFFFAOYSA-N 0 3 305.368 4.257 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)[C@H]1Oc2ccccc2[C@H]1C ZINC000480184301 1048082263 /nfs/dbraw/zinc/08/22/63/1048082263.db2.gz RLBVIMNGEFQVCN-WLHTULFXSA-N 0 3 316.832 4.339 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000776003760 1048085260 /nfs/dbraw/zinc/08/52/60/1048085260.db2.gz YUCDKZUKSUYIDR-DOMZBBRYSA-N 0 3 320.868 4.286 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CC[C@@H](F)C2)c2cccnc2)ccc1F ZINC000554375599 1048087255 /nfs/dbraw/zinc/08/72/55/1048087255.db2.gz SNDIALUKCOFCBD-NUJGCVRESA-N 0 3 302.368 4.099 20 0 DIADHN Fc1cccc(C2(CNCc3nccs3)CCCCC2)c1 ZINC001199678952 1048087345 /nfs/dbraw/zinc/08/73/45/1048087345.db2.gz SRFQKHSODIROLJ-UHFFFAOYSA-N 0 3 304.434 4.274 20 0 DIADHN Cc1cccc(CN[C@@H](C)COc2c(C)cccc2C)c1F ZINC001199689327 1048088641 /nfs/dbraw/zinc/08/86/41/1048088641.db2.gz RWJUXSXJMRYYCX-INIZCTEOSA-N 0 3 301.405 4.308 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc3ccncc3s2)n1 ZINC000667643674 1048098364 /nfs/dbraw/zinc/09/83/64/1048098364.db2.gz MTNGTGFYHVQGHN-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN CSc1ccc(CNCc2ccc(OCC3CC3)cc2)cc1 ZINC000480274707 1048108292 /nfs/dbraw/zinc/10/82/92/1048108292.db2.gz ADWWJYWMMNOARX-UHFFFAOYSA-N 0 3 313.466 4.487 20 0 DIADHN COc1ccccc1C(C)(C)CNCc1c(F)cc(C)cc1F ZINC001199906739 1048113058 /nfs/dbraw/zinc/11/30/58/1048113058.db2.gz OJJLKTNTWHEGBM-UHFFFAOYSA-N 0 3 319.395 4.349 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1c(C2CC2)cnn1C ZINC000667655484 1048119110 /nfs/dbraw/zinc/11/91/10/1048119110.db2.gz HJJLYAVYFJIADU-CYBMUJFWSA-N 0 3 317.864 4.014 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1ncc(C(C)(C)C)s1 ZINC001199925464 1048120401 /nfs/dbraw/zinc/12/04/01/1048120401.db2.gz NXVWXBIKVIVEGL-CQSZACIVSA-N 0 3 302.487 4.470 20 0 DIADHN CCn1ccc(CNC[C@H](C)c2c(Cl)cccc2Cl)n1 ZINC001199934175 1048123219 /nfs/dbraw/zinc/12/32/19/1048123219.db2.gz QPDUSUAZMSWDGA-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN Cc1cc(F)c(CNCC2(c3ccccc3C)CC2)c(F)c1 ZINC001199979766 1048131536 /nfs/dbraw/zinc/13/15/36/1048131536.db2.gz BRCNHDUHPRXAGH-UHFFFAOYSA-N 0 3 301.380 4.403 20 0 DIADHN CCN(C)c1ccc(CNCc2ccc(C(C)(C)C)cc2)cn1 ZINC000480364199 1048131689 /nfs/dbraw/zinc/13/16/89/1048131689.db2.gz MALWJCJTJMVWMU-UHFFFAOYSA-N 0 3 311.473 4.125 20 0 DIADHN Cc1ccsc1CNCc1ccc(OC2CCCCC2)nc1 ZINC001199990804 1048132564 /nfs/dbraw/zinc/13/25/64/1048132564.db2.gz OUPWSDQYNXBUMN-UHFFFAOYSA-N 0 3 316.470 4.453 20 0 DIADHN CC1(C)Cc2cc(CNCc3cc4ccccc4[nH]3)ccc2O1 ZINC000105425223 1048134441 /nfs/dbraw/zinc/13/44/41/1048134441.db2.gz BEGYDUOYNHRTIS-UHFFFAOYSA-N 0 3 306.409 4.171 20 0 DIADHN CC(C)P(CCNC(=O)Nc1ccc(F)c(F)c1)C(C)C ZINC001185122533 1048137273 /nfs/dbraw/zinc/13/72/73/1048137273.db2.gz RCMZBLHOUGQGSR-UHFFFAOYSA-N 0 3 316.332 4.385 20 0 DIADHN Clc1nc2ccccn2c1CNC1CC(c2ccccc2)C1 ZINC000105492505 1048140245 /nfs/dbraw/zinc/14/02/45/1048140245.db2.gz MBPYHHJIKAAAQO-UHFFFAOYSA-N 0 3 311.816 4.024 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(Cc2nccs2)CC1 ZINC001175155669 1048147523 /nfs/dbraw/zinc/14/75/23/1048147523.db2.gz CDORFSBYKNKJKQ-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN Cc1cc(CN[C@H]2c3cccc(F)c3CC[C@@H]2C)cnc1F ZINC001200864525 1048149610 /nfs/dbraw/zinc/14/96/10/1048149610.db2.gz XVZGPTHYYLCBGL-APPDUMDISA-N 0 3 302.368 4.081 20 0 DIADHN C[C@H]1Cc2cc(CNC(C)(C)c3ccc(F)c(F)c3)ccc2O1 ZINC001200873010 1048151703 /nfs/dbraw/zinc/15/17/03/1048151703.db2.gz YEFWFULSKZMXCZ-LBPRGKRZSA-N 0 3 317.379 4.313 20 0 DIADHN COc1ccc2cc(CN(C)Cc3ccc(C)nc3C)ccc2c1 ZINC000480492897 1048154790 /nfs/dbraw/zinc/15/47/90/1048154790.db2.gz IUSARTVPZRCNND-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1cc2ccncc2s1 ZINC000667688103 1048163553 /nfs/dbraw/zinc/16/35/53/1048163553.db2.gz FSSBZLRUIIBFII-KRWDZBQOSA-N 0 3 311.454 4.362 20 0 DIADHN COc1cncc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000554737117 1048168437 /nfs/dbraw/zinc/16/84/37/1048168437.db2.gz LQBKJUJVXTVNCY-SFHVURJKSA-N 0 3 302.393 4.058 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3c(F)cc(F)cc3F)cccc21 ZINC001175146336 1048168458 /nfs/dbraw/zinc/16/84/58/1048168458.db2.gz ORJISXLXLWYECG-HNNXBMFYSA-N 0 3 322.330 4.233 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3c(F)cc(F)cc3F)cccc21 ZINC001175146337 1048168529 /nfs/dbraw/zinc/16/85/29/1048168529.db2.gz ORJISXLXLWYECG-OAHLLOKOSA-N 0 3 322.330 4.233 20 0 DIADHN Cc1ccc(C(=O)CCN(Cc2cccc(O)c2)CC2CC2)cc1 ZINC000480536976 1048170316 /nfs/dbraw/zinc/17/03/16/1048170316.db2.gz PACINRSUKBWOEZ-UHFFFAOYSA-N 0 3 323.436 4.186 20 0 DIADHN C[C@H](N[C@H]1C[C@H](CO)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000927153003 1048175155 /nfs/dbraw/zinc/17/51/55/1048175155.db2.gz SFIZJKKXCTUSQX-CIUDSAMLSA-N 0 3 308.636 4.068 20 0 DIADHN COc1ccsc1CN[C@@H](C)c1cccc(N2CCCC2)c1 ZINC001201008030 1048180518 /nfs/dbraw/zinc/18/05/18/1048180518.db2.gz IVICDRKPTKBXHF-AWEZNQCLSA-N 0 3 316.470 4.208 20 0 DIADHN CN(C)Cc1cccc(NC(=S)Nc2cccc(Cl)c2)c1 ZINC001201104488 1048190790 /nfs/dbraw/zinc/19/07/90/1048190790.db2.gz ZAMWHRQADWIHKZ-UHFFFAOYSA-N 0 3 319.861 4.211 20 0 DIADHN Cc1cnc(C2CCN(CC[C@H]3CC3(Cl)Cl)CC2)s1 ZINC000822972518 1048192487 /nfs/dbraw/zinc/19/24/87/1048192487.db2.gz LXGYEADTFADCQY-LBPRGKRZSA-N 0 3 319.301 4.215 20 0 DIADHN Fc1ccc(C2(CNCc3ccc(Br)o3)CC2)cc1 ZINC000480633223 1048202033 /nfs/dbraw/zinc/20/20/33/1048202033.db2.gz DNSXAHPCGPAYLP-UHFFFAOYSA-N 0 3 324.193 4.003 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@H](c2cccs2)C2CC2)cn1 ZINC000480636752 1048203443 /nfs/dbraw/zinc/20/34/43/1048203443.db2.gz FBIMCXCNRIOVDC-SFHVURJKSA-N 0 3 315.486 4.229 20 0 DIADHN Cc1nc2ccccc2nc1CN1CCC(C)=C(c2ccco2)C1 ZINC000554837119 1048205352 /nfs/dbraw/zinc/20/53/52/1048205352.db2.gz HRMBWRKHYYVTIJ-UHFFFAOYSA-N 0 3 319.408 4.211 20 0 DIADHN Cc1c2[nH]cnc2ccc1NCc1cccc(OC(F)F)c1 ZINC001161986819 1048207161 /nfs/dbraw/zinc/20/71/61/1048207161.db2.gz HOSKKZPLWOWIMC-UHFFFAOYSA-N 0 3 303.312 4.085 20 0 DIADHN Brc1ccccc1[C@H]1CCCN1C[C@H]1CCC=CO1 ZINC000774910612 1048208005 /nfs/dbraw/zinc/20/80/05/1048208005.db2.gz SUOFFHAUFSYQAQ-CZUORRHYSA-N 0 3 322.246 4.279 20 0 DIADHN Brc1ccccc1[C@@H]1CCCN1C[C@@H]1CCC=CO1 ZINC000774910609 1048208697 /nfs/dbraw/zinc/20/86/97/1048208697.db2.gz SUOFFHAUFSYQAQ-BBRMVZONSA-N 0 3 322.246 4.279 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NC[C@@H](C)C(F)(F)F)c1 ZINC000480674113 1048214502 /nfs/dbraw/zinc/21/45/02/1048214502.db2.gz UBYQUPWRRUEISO-NXEZZACHSA-N 0 3 311.294 4.445 20 0 DIADHN C[C@H](NC1(Cc2ccccc2)CC1)c1nc2c(s1)CCCC2 ZINC000480690613 1048216533 /nfs/dbraw/zinc/21/65/33/1048216533.db2.gz SSIJVBNXIFTFBA-AWEZNQCLSA-N 0 3 312.482 4.448 20 0 DIADHN CC1(CNc2ncccc2CN2CCCC2)CCC(F)(F)CC1 ZINC001162030676 1048217354 /nfs/dbraw/zinc/21/73/54/1048217354.db2.gz NPUZKBJKAJVQJA-UHFFFAOYSA-N 0 3 323.431 4.305 20 0 DIADHN c1ccc([C@H]2CCCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC000554889937 1048220459 /nfs/dbraw/zinc/22/04/59/1048220459.db2.gz QLQNMFKUEGKTEH-SJLPKXTDSA-N 0 3 310.441 4.369 20 0 DIADHN CC(C)(C)OC(=O)[C@@H](Nc1c[nH]cc2ccnc1-2)c1ccccc1 ZINC001162056915 1048221524 /nfs/dbraw/zinc/22/15/24/1048221524.db2.gz MDPFWCREKZRUTC-KRWDZBQOSA-N 0 3 323.396 4.058 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc(F)c(C)c3)cc2)CC1 ZINC001175251397 1048221718 /nfs/dbraw/zinc/22/17/18/1048221718.db2.gz OYCSLEYWOGUFOH-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN CC(=O)c1ccc(F)c(Nc2ccccc2CN2CCCC2)c1 ZINC001175275338 1048223599 /nfs/dbraw/zinc/22/35/99/1048223599.db2.gz YZWKNBCFVZSELR-UHFFFAOYSA-N 0 3 312.388 4.368 20 0 DIADHN COc1cccc(CNCc2cc(C)cc(Br)c2)c1 ZINC000774941016 1048232581 /nfs/dbraw/zinc/23/25/81/1048232581.db2.gz GVCUOHLBQWXNSG-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN Cc1ccccc1CN[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC000554936951 1048232983 /nfs/dbraw/zinc/23/29/83/1048232983.db2.gz BSDSCRDOQPUZPZ-GOSISDBHSA-N 0 3 302.849 4.041 20 0 DIADHN C[C@@H](NC[C@H](c1cccc(Cl)c1)N1CCCC1)c1ccco1 ZINC000480767969 1048235899 /nfs/dbraw/zinc/23/58/99/1048235899.db2.gz IVALGIYHAFVNCS-RHSMWYFYSA-N 0 3 318.848 4.421 20 0 DIADHN CC[S@@](=O)CCN[C@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000776154595 1048237079 /nfs/dbraw/zinc/23/70/79/1048237079.db2.gz BEFQTGKIIPAKMO-MCMMXHMISA-N 0 3 315.438 4.005 20 0 DIADHN CCc1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)n[nH]1 ZINC000776155789 1048237138 /nfs/dbraw/zinc/23/71/38/1048237138.db2.gz IDONACBGRUGEDG-QGZVFWFLSA-N 0 3 303.425 4.378 20 0 DIADHN CCc1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)[nH]n1 ZINC000776155789 1048237144 /nfs/dbraw/zinc/23/71/44/1048237144.db2.gz IDONACBGRUGEDG-QGZVFWFLSA-N 0 3 303.425 4.378 20 0 DIADHN CC[C@H](NCC(=O)N(CC)c1ccccc1)c1ccc(C)cc1 ZINC000776156428 1048239233 /nfs/dbraw/zinc/23/92/33/1048239233.db2.gz MOKZOANXHGJLMQ-IBGZPJMESA-N 0 3 310.441 4.089 20 0 DIADHN Cc1cccc([C@H](O)CNCc2ccc(Cl)cc2Cl)c1 ZINC001201364953 1048239783 /nfs/dbraw/zinc/23/97/83/1048239783.db2.gz LOBRRPCCFFUYCW-MRXNPFEDSA-N 0 3 310.224 4.125 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC=CO2)c2cccs2)cc1 ZINC000774955240 1048243272 /nfs/dbraw/zinc/24/32/72/1048243272.db2.gz NGLXYXIYPWAUJR-RDJZCZTQSA-N 0 3 303.402 4.259 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2cccs2)C2CC2)cc1O ZINC000554987953 1048244467 /nfs/dbraw/zinc/24/44/67/1048244467.db2.gz OEPGCLGXUZKJCC-GTNSWQLSSA-N 0 3 303.427 4.264 20 0 DIADHN [O-]c1ccc(F)c(Nc2ccccc2C[NH+]2CCCC2)c1F ZINC001175268176 1048245781 /nfs/dbraw/zinc/24/57/81/1048245781.db2.gz JJEUIRJIYXRKDL-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN [O-]c1ccc(F)c(Nc2ccc(C[NH+]3CCCCC3)cc2)c1F ZINC001175265314 1048247138 /nfs/dbraw/zinc/24/71/38/1048247138.db2.gz LFRVPWNLLRDDGL-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@]2(C)OCCc3sccc32)o1 ZINC000554982763 1048247972 /nfs/dbraw/zinc/24/79/72/1048247972.db2.gz ZRFYMPBFATYNJA-IAISJRAMSA-N 0 3 317.454 4.042 20 0 DIADHN CC(=O)c1ccc(Cl)c(Nc2cccc(CN(C)C)c2)c1 ZINC001201399616 1048249739 /nfs/dbraw/zinc/24/97/39/1048249739.db2.gz GOINIVBYSNNIAJ-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](Oc3ccccc3C)C2)cc1 ZINC001203967717 1048253583 /nfs/dbraw/zinc/25/35/83/1048253583.db2.gz QOKJWMLTQIDNES-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN NCc1cn(-c2ccc(-c3ccccc3)cc2C(F)(F)F)cn1 ZINC001162304822 1048258938 /nfs/dbraw/zinc/25/89/38/1048258938.db2.gz UFZBKSUJVSSVFW-UHFFFAOYSA-N 0 3 317.314 4.017 20 0 DIADHN NCc1cn(-c2ccc(-c3ccc(C(F)(F)F)cc3)cc2)cn1 ZINC001162304223 1048259299 /nfs/dbraw/zinc/25/92/99/1048259299.db2.gz NLMVYSDCACUOLU-UHFFFAOYSA-N 0 3 317.314 4.017 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(-n3cnc(CN)c3)cc2)cc1 ZINC001162301761 1048259725 /nfs/dbraw/zinc/25/97/25/1048259725.db2.gz DVUDQBFBNALWHF-UHFFFAOYSA-N 0 3 305.425 4.296 20 0 DIADHN C[C@H](NC/C=C\Cl)c1ccc(OCc2cccnc2)cc1 ZINC000774970392 1048259912 /nfs/dbraw/zinc/25/99/12/1048259912.db2.gz DAZMPVZQFRVPLX-SILGHJDOSA-N 0 3 302.805 4.064 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CC[C@H](C2CCOCC2)C1 ZINC000480875165 1048262214 /nfs/dbraw/zinc/26/22/14/1048262214.db2.gz LZWGZJRCNMVBQN-JSGCOSHPSA-N 0 3 311.828 4.289 20 0 DIADHN Cc1cc(Br)cc(CNCc2cccc(F)c2)c1 ZINC000774974074 1048263336 /nfs/dbraw/zinc/26/33/36/1048263336.db2.gz YLOPIQFTMJNORE-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2cc(C)ccc2C)cn1 ZINC000480888647 1048263621 /nfs/dbraw/zinc/26/36/21/1048263621.db2.gz IOTSTPBRMARXDS-KRWDZBQOSA-N 0 3 311.473 4.395 20 0 DIADHN CCCC[C@@H](COC)N[C@H](CC(F)(F)F)c1ccccc1 ZINC000480902668 1048267303 /nfs/dbraw/zinc/26/73/03/1048267303.db2.gz SQKNILAEHHKYCR-LSDHHAIUSA-N 0 3 303.368 4.475 20 0 DIADHN CC(C)P(CCNc1nc(Cl)cnc1Cl)C(C)C ZINC001162358309 1048267554 /nfs/dbraw/zinc/26/75/54/1048267554.db2.gz MIZCYHDDWIWQDL-UHFFFAOYSA-N 0 3 308.193 4.494 20 0 DIADHN C[C@@H](NC[C@@H]1COC2(CCCCC2)O1)c1cc2ccccc2o1 ZINC000776169949 1048267812 /nfs/dbraw/zinc/26/78/12/1048267812.db2.gz GDRVXGBAUGZUEW-GDBMZVCRSA-N 0 3 315.413 4.159 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CO[C@@H](C4CC4)C3)oc21 ZINC000667770138 1048268177 /nfs/dbraw/zinc/26/81/77/1048268177.db2.gz PJYISGPDWNIRHE-WBHUJUFNSA-N 0 3 315.413 4.050 20 0 DIADHN Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)c(F)c2)c(C)c1 ZINC001175332544 1048268214 /nfs/dbraw/zinc/26/82/14/1048268214.db2.gz FVLZZZOZIPETHN-SFHVURJKSA-N 0 3 321.823 4.309 20 0 DIADHN [O-]c1cc(Nc2ccc(C[NH+]3CCCCC3)cc2)c(F)cc1F ZINC001175366465 1048280001 /nfs/dbraw/zinc/28/00/01/1048280001.db2.gz KGPRKKJYIVAVCO-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN CCS[C@H]1CCCCN(Cc2nc3ccccc3s2)C1 ZINC000480996826 1048284581 /nfs/dbraw/zinc/28/45/81/1048284581.db2.gz GCZJPUCJSPSUGD-ZDUSSCGKSA-N 0 3 306.500 4.404 20 0 DIADHN CC[C@@H](NCc1cc(C)cc(Br)c1)c1ccncc1 ZINC000775008946 1048288690 /nfs/dbraw/zinc/28/86/90/1048288690.db2.gz XTQLPNXCGQAJSY-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN Cn1ncc2ccc(CN[C@@H]3CCc4ccc(Cl)cc43)cc21 ZINC000555201122 1048291306 /nfs/dbraw/zinc/29/13/06/1048291306.db2.gz RLRMSXLZPGIBHN-QGZVFWFLSA-N 0 3 311.816 4.004 20 0 DIADHN COCCOc1ccccc1CN[C@H](C)c1ccc(C)cc1C ZINC000481025339 1048293312 /nfs/dbraw/zinc/29/33/12/1048293312.db2.gz PMHGENVBHYGMPE-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN CC(C)n1cnc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201474849 1048293855 /nfs/dbraw/zinc/29/38/55/1048293855.db2.gz MOSOKCDISJSGSH-MOPGFXCFSA-N 0 3 322.456 4.197 20 0 DIADHN Cc1cc(Br)cc(CN[C@@H](C)CC(F)(F)F)c1 ZINC000775013542 1048296205 /nfs/dbraw/zinc/29/62/05/1048296205.db2.gz PBMITEBYYDZPQX-VIFPVBQESA-N 0 3 310.157 4.188 20 0 DIADHN CC(C)CSCCCNCc1c(Cl)n(C)nc1C(C)C ZINC001201480784 1048296549 /nfs/dbraw/zinc/29/65/49/1048296549.db2.gz MBXRIBAYAOCNOF-UHFFFAOYSA-N 0 3 317.930 4.066 20 0 DIADHN Cc1nocc1CN1CCC[C@]1(C)Cc1ccc(F)c(Cl)c1 ZINC001175419486 1048300791 /nfs/dbraw/zinc/30/07/91/1048300791.db2.gz VICMKLFXXMBVFS-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000555234294 1048302852 /nfs/dbraw/zinc/30/28/52/1048302852.db2.gz MOLKBNQTWGQSDH-ACJLOTCBSA-N 0 3 320.839 4.433 20 0 DIADHN CC(C)CC[C@H](N[C@@H]1CCCn2nc(C(C)C)nc21)C1CC1 ZINC000555232958 1048303137 /nfs/dbraw/zinc/30/31/37/1048303137.db2.gz RAJBQQGSBABLCB-JKSUJKDBSA-N 0 3 304.482 4.041 20 0 DIADHN Fc1ccc(CNCC2(c3ccc(F)cc3F)CC2)c(F)c1 ZINC001201522866 1048308600 /nfs/dbraw/zinc/30/86/00/1048308600.db2.gz XGMCBJSYIDLWET-UHFFFAOYSA-N 0 3 309.306 4.064 20 0 DIADHN Cc1ccc(C[C@H](NCc2cnn(C)c2)c2ccc(C)cc2)cc1 ZINC000481103723 1048311644 /nfs/dbraw/zinc/31/16/44/1048311644.db2.gz MINATEWHUOMXAX-NRFANRHFSA-N 0 3 319.452 4.111 20 0 DIADHN c1cc2n(c1)CCN(CCc1ccccc1)[C@@H]2c1ccccc1 ZINC001201596373 1048312658 /nfs/dbraw/zinc/31/26/58/1048312658.db2.gz XVDLRKZYCFQEHJ-OAQYLSRUSA-N 0 3 302.421 4.136 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000481186839 1048320260 /nfs/dbraw/zinc/32/02/60/1048320260.db2.gz YLBQBIKXNLXNEF-PCCBWWKXSA-N 0 3 309.453 4.330 20 0 DIADHN C[C@H](NC(C)(C)c1ccnc(Cl)c1)c1cccc(N(C)C)c1 ZINC001162634951 1048321174 /nfs/dbraw/zinc/32/11/74/1048321174.db2.gz IXWCXYPKQQJOGB-ZDUSSCGKSA-N 0 3 317.864 4.387 20 0 DIADHN CSc1ccc(CN[C@H]2CCN(c3ccc(Cl)cc3)C2)o1 ZINC001201634879 1048328793 /nfs/dbraw/zinc/32/87/93/1048328793.db2.gz VRCZLNFUIKTBOJ-ZDUSSCGKSA-N 0 3 322.861 4.023 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCC[C@@H](C)[C@H]3C)o2)cc1 ZINC000481189119 1048328906 /nfs/dbraw/zinc/32/89/06/1048328906.db2.gz JSRQOVOVMBNXPJ-CKEIUWERSA-N 0 3 314.429 4.265 20 0 DIADHN CCCCOc1ccc(CN2CCC(OC3CCC3)CC2)cc1 ZINC001203973126 1048330247 /nfs/dbraw/zinc/33/02/47/1048330247.db2.gz QOQFFUVRXAUGIZ-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN Fc1cc(NC2=CCN(Cc3ccccc3)CC2)cnc1Cl ZINC001175504760 1048336706 /nfs/dbraw/zinc/33/67/06/1048336706.db2.gz IKEJLTYXAOJMCA-UHFFFAOYSA-N 0 3 317.795 4.076 20 0 DIADHN Cc1ncc(Cl)cc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175504434 1048338536 /nfs/dbraw/zinc/33/85/36/1048338536.db2.gz BAXDYOAVAKKRES-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN c1ccc(CN2CC=C(Nc3cnc4ccccc4c3)CC2)cc1 ZINC001175504891 1048339457 /nfs/dbraw/zinc/33/94/57/1048339457.db2.gz FVCOLJZCCFAFQC-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN CC(C)(O)c1ccccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505792 1048340043 /nfs/dbraw/zinc/34/00/43/1048340043.db2.gz HTLBHBRHPYEFLY-UHFFFAOYSA-N 0 3 322.452 4.116 20 0 DIADHN Oc1c(Cl)cccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506375 1048340587 /nfs/dbraw/zinc/34/05/87/1048340587.db2.gz WSVORQHYADCYTO-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN Cc1ccc([C@]2(C)CN(CCCc3ccccc3)CCO2)cc1 ZINC001201673339 1048341685 /nfs/dbraw/zinc/34/16/85/1048341685.db2.gz GDKXZMDCAKTVHU-NRFANRHFSA-N 0 3 309.453 4.175 20 0 DIADHN Nc1cccc(Cl)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506101 1048341895 /nfs/dbraw/zinc/34/18/95/1048341895.db2.gz OMYYNPAEEYLPCQ-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN c1ccc(CN2CC=C(Nc3cncc4ccccc43)CC2)cc1 ZINC001175505965 1048342068 /nfs/dbraw/zinc/34/20/68/1048342068.db2.gz XHPZJXJMBNTQOV-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN Oc1cccc(Cl)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506301 1048342305 /nfs/dbraw/zinc/34/23/05/1048342305.db2.gz TWYPXNBOLKPVQF-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN CN1CCN(Cc2ccc(Nc3ccc(C4CC4)cc3)cc2)CC1 ZINC001201681300 1048343056 /nfs/dbraw/zinc/34/30/56/1048343056.db2.gz OOMOOPDWTGXNLM-UHFFFAOYSA-N 0 3 321.468 4.055 20 0 DIADHN Cc1cc(O)cc(C)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175507518 1048344032 /nfs/dbraw/zinc/34/40/32/1048344032.db2.gz YWMTYJVZWCIVSV-UHFFFAOYSA-N 0 3 308.425 4.211 20 0 DIADHN COCc1cccc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506834 1048344368 /nfs/dbraw/zinc/34/43/68/1048344368.db2.gz OTFQLSOWRJGSCB-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN Oc1cc(Cl)cc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175507071 1048345723 /nfs/dbraw/zinc/34/57/23/1048345723.db2.gz UMUHAXLENJGQKW-UHFFFAOYSA-N 0 3 314.816 4.247 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1nc(Cl)cc2ccccc21 ZINC001162759172 1048349415 /nfs/dbraw/zinc/34/94/15/1048349415.db2.gz ABNNHUVQECLYPS-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1nc(Cl)cc2ccccc21 ZINC001162759175 1048350095 /nfs/dbraw/zinc/35/00/95/1048350095.db2.gz ABNNHUVQECLYPS-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CCC[C@@H]3CCOC3)nc2c1 ZINC000645835756 1048352240 /nfs/dbraw/zinc/35/22/40/1048352240.db2.gz YGDZUNUDZBAPFV-NVXWUHKLSA-N 0 3 314.429 4.172 20 0 DIADHN CC(C)c1cnc(C(C)C)c(-n2c3ccccc3nc2[C@@H](C)N)n1 ZINC001162762459 1048352411 /nfs/dbraw/zinc/35/24/11/1048352411.db2.gz HQCMHGYEEKDIHN-CYBMUJFWSA-N 0 3 323.444 4.082 20 0 DIADHN C[C@@H](N[C@@H]1C=CCCCCC1)c1ccc(Br)cn1 ZINC000555462482 1048355468 /nfs/dbraw/zinc/35/54/68/1048355468.db2.gz KZPWAJIXDBEMNU-TZMCWYRMSA-N 0 3 309.251 4.384 20 0 DIADHN Cc1ccc2n[nH]cc2c1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001175526981 1048356036 /nfs/dbraw/zinc/35/60/36/1048356036.db2.gz FGJIYPHSACHVHU-KRWDZBQOSA-N 0 3 322.412 4.000 20 0 DIADHN Cc1cc(-n2c3ccccc3nc2[C@@H](C)N)ccc1OC(C)C ZINC001162776862 1048356931 /nfs/dbraw/zinc/35/69/31/1048356931.db2.gz FETSKIJBHQCSFY-CQSZACIVSA-N 0 3 309.413 4.141 20 0 DIADHN COc1cc2ccccc2cc1-n1c2ccccc2nc1[C@H](C)N ZINC001162777226 1048358078 /nfs/dbraw/zinc/35/80/78/1048358078.db2.gz OATGPHFYKZDNEY-ZDUSSCGKSA-N 0 3 317.392 4.207 20 0 DIADHN CC(C)(NCc1ccc(Cl)cc1Cl)[C@@H]1CCCCO1 ZINC001175533579 1048358704 /nfs/dbraw/zinc/35/87/04/1048358704.db2.gz ZWCNISLAEGNDMZ-AWEZNQCLSA-N 0 3 302.245 4.431 20 0 DIADHN COc1cccc(CN[C@H](C)c2cc(C)c(C)cc2C)c1OC ZINC000481304541 1048360391 /nfs/dbraw/zinc/36/03/91/1048360391.db2.gz IAYWJTOFDXQPST-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNC/C=C/c1ccccc1 ZINC001201693124 1048360785 /nfs/dbraw/zinc/36/07/85/1048360785.db2.gz JVIPTCHFIWATLX-VMPITWQZSA-N 0 3 323.465 4.385 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1ccc(OC2CCCC2)nc1 ZINC000481302340 1048361712 /nfs/dbraw/zinc/36/17/12/1048361712.db2.gz CQRCUEXVYYPRNX-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CNC/C=C/c1ccccc1 ZINC001201694731 1048361654 /nfs/dbraw/zinc/36/16/54/1048361654.db2.gz VDASSOIJJQTQPC-JXMROGBWSA-N 0 3 321.399 4.123 20 0 DIADHN O=c1[nH]c2ccccc2cc1Nc1cnccc1N1CCCCC1 ZINC001201753654 1048367245 /nfs/dbraw/zinc/36/72/45/1048367245.db2.gz ZJYPMXBXMLNKJP-UHFFFAOYSA-N 0 3 320.396 4.069 20 0 DIADHN FC1(F)C[C@]12CCCN(Cc1cc3cc(Cl)cnc3o1)C2 ZINC000846104539 1048367289 /nfs/dbraw/zinc/36/72/89/1048367289.db2.gz IRQDLYZKXABKKN-AWEZNQCLSA-N 0 3 312.747 4.102 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cccc(CC)c3)c2C1 ZINC001175554550 1048372086 /nfs/dbraw/zinc/37/20/86/1048372086.db2.gz YXHHCJKCIWLCMY-UHFFFAOYSA-N 0 3 315.486 4.404 20 0 DIADHN CCCCCN1CC(c2ncn3cc(-c4ccoc4)ccc23)C1 ZINC001201735444 1048373220 /nfs/dbraw/zinc/37/32/20/1048373220.db2.gz RJKADAYWZNSBAS-UHFFFAOYSA-N 0 3 309.413 4.184 20 0 DIADHN CC(=O)OCc1cccc(C)c1Nc1ccnc(C2CCC2)c1 ZINC001212770122 1048373538 /nfs/dbraw/zinc/37/35/38/1048373538.db2.gz OODWCRYKAKRBIG-UHFFFAOYSA-N 0 3 310.397 4.464 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000481349934 1048377878 /nfs/dbraw/zinc/37/78/78/1048377878.db2.gz PEHYKDCRJSSZGP-ZWNOBZJWSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@@H]([NH3+])c1ccc2c(ccn2-c2[n-]c(=O)c(Cl)cc2Cl)c1 ZINC001162898477 1048378982 /nfs/dbraw/zinc/37/89/82/1048378982.db2.gz DFJWJGODRLJOBA-MRVPVSSYSA-N 0 3 322.195 4.058 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000481349932 1048379216 /nfs/dbraw/zinc/37/92/16/1048379216.db2.gz PEHYKDCRJSSZGP-GXFFZTMASA-N 0 3 312.335 4.467 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000481375077 1048381945 /nfs/dbraw/zinc/38/19/45/1048381945.db2.gz QDIWJWJKJFYLFA-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2CCC3(CCC3)CO2)c1 ZINC000716535387 1048385306 /nfs/dbraw/zinc/38/53/06/1048385306.db2.gz XLYPBDVTQDOABN-AWEZNQCLSA-N 0 3 314.256 4.432 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@@]2(C)C2CCCCC2)c1C ZINC001175642924 1048387238 /nfs/dbraw/zinc/38/72/38/1048387238.db2.gz FOUIIAXSEBPNHQ-FQEVSTJZSA-N 0 3 314.473 4.287 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1csc(-c2ccccc2OC)n1 ZINC000481392708 1048389161 /nfs/dbraw/zinc/38/91/61/1048389161.db2.gz VCIISZVDABTZAZ-CJNGLKHVSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1csc(-c2ccccc2OC)n1 ZINC000481392710 1048389743 /nfs/dbraw/zinc/38/97/43/1048389743.db2.gz VCIISZVDABTZAZ-CZUORRHYSA-N 0 3 316.470 4.439 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000481398240 1048391739 /nfs/dbraw/zinc/39/17/39/1048391739.db2.gz DMBNQDHKMIMZIS-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(C(=O)NC3CC3)cc2)ccn1 ZINC001175604042 1048391952 /nfs/dbraw/zinc/39/19/52/1048391952.db2.gz GYDNNBSJVIDORG-UHFFFAOYSA-N 0 3 309.413 4.015 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000481413643 1048392329 /nfs/dbraw/zinc/39/23/29/1048392329.db2.gz NOIJNSQLTJCIAR-BDJLRTHQSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccsc2)n1)c1nccs1 ZINC001201929586 1048396049 /nfs/dbraw/zinc/39/60/49/1048396049.db2.gz OITSNEXEJNMKJI-JTQLQIEISA-N 0 3 321.496 4.221 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1NCc1ccnn1CC1CC1 ZINC001201911020 1048399305 /nfs/dbraw/zinc/39/93/05/1048399305.db2.gz LEZJKIWDXVBJSL-FPOVZHCZSA-N 0 3 323.484 4.342 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1ccc3[nH]ccc3c1)CCO2 ZINC001201920928 1048401726 /nfs/dbraw/zinc/40/17/26/1048401726.db2.gz YJCDRWMFODKRTG-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000555597529 1048402128 /nfs/dbraw/zinc/40/21/28/1048402128.db2.gz JULMSUOOJKWMBA-OAHLLOKOSA-N 0 3 323.415 4.425 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCc2nc(Cl)ncc2C1 ZINC001201982104 1048405288 /nfs/dbraw/zinc/40/52/88/1048405288.db2.gz SPYBCMPZFHKPGC-CQSZACIVSA-N 0 3 307.869 4.261 20 0 DIADHN CCCCN(Cc1csc(COC)n1)[C@@H]1CCC[C@@H](C)C1 ZINC000555679777 1048420301 /nfs/dbraw/zinc/42/03/01/1048420301.db2.gz ZTLUZMNEEBBSEE-GDBMZVCRSA-N 0 3 310.507 4.470 20 0 DIADHN CC(C)(CC1CCCCCC1)NCc1cn(C2CCC2)nn1 ZINC001202025277 1048421013 /nfs/dbraw/zinc/42/10/13/1048421013.db2.gz SATNGLVQGRQXOQ-UHFFFAOYSA-N 0 3 304.482 4.232 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1csc2ccccc12 ZINC000924762787 1048428405 /nfs/dbraw/zinc/42/84/05/1048428405.db2.gz BFLBQJKWYIAQNK-OYYNGEPBSA-N 0 3 309.434 4.210 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@@H](C)c1ccco1 ZINC000481545501 1048429603 /nfs/dbraw/zinc/42/96/03/1048429603.db2.gz NQYXEIFWLDCMEB-NEPJUHHUSA-N 0 3 313.319 4.417 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2CC(C)(C)[C@@H]3CCC[C@H]32)s1 ZINC000555721408 1048430525 /nfs/dbraw/zinc/43/05/25/1048430525.db2.gz OKEZTTADHFFZFF-GHMZBOCLSA-N 0 3 318.408 4.481 20 0 DIADHN CCCN(Cc1ccccc1OC(C)C)C[C@H]1CCCCO1 ZINC000555746695 1048432257 /nfs/dbraw/zinc/43/22/57/1048432257.db2.gz TYVWDYDFKORUQP-GOSISDBHSA-N 0 3 305.462 4.255 20 0 DIADHN Cc1cccc([C@@H](C)N2CCN([C@H](C)c3ccccc3)CC2)c1 ZINC001175748384 1048433403 /nfs/dbraw/zinc/43/34/03/1048433403.db2.gz DLDNEHMECCOIKH-RTBURBONSA-N 0 3 308.469 4.435 20 0 DIADHN Fc1cccc(/C=C/CN[C@@H]2CCOc3c(F)cccc32)c1 ZINC001202059244 1048434546 /nfs/dbraw/zinc/43/45/46/1048434546.db2.gz UXUCXIDNMRKEEB-NJELEJDNSA-N 0 3 301.336 4.091 20 0 DIADHN C[C@H]1CCCCN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000481595196 1048437629 /nfs/dbraw/zinc/43/76/29/1048437629.db2.gz GTKXRKYRLQNJEN-KRWDZBQOSA-N 0 3 322.452 4.414 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ncccc1-c1ccccc1)CNC2 ZINC001163221730 1048439195 /nfs/dbraw/zinc/43/91/95/1048439195.db2.gz XQVPCIWKVRTYNV-MRXNPFEDSA-N 0 3 307.422 4.067 20 0 DIADHN FC(F)(F)Cc1ccc(N[C@@H]2CNCc3ccsc32)cc1 ZINC001163226063 1048439284 /nfs/dbraw/zinc/43/92/84/1048439284.db2.gz BKVPWZHCZHECOE-CYBMUJFWSA-N 0 3 312.360 4.109 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1N[C@H]1CNCc2ccsc21 ZINC001163230009 1048440512 /nfs/dbraw/zinc/44/05/12/1048440512.db2.gz SVTHIKVZEUEWJI-ZDUSSCGKSA-N 0 3 312.360 4.332 20 0 DIADHN CCc1cc(C)cc(CC)c1N[C@@H]1CNCc2ccsc21 ZINC001163229032 1048440627 /nfs/dbraw/zinc/44/06/27/1048440627.db2.gz OLDLPIFCJBIRCJ-MRXNPFEDSA-N 0 3 300.471 4.438 20 0 DIADHN Cc1cc(N[C@H]2CNCc3ccsc32)ccc1OC(C)C ZINC001163229413 1048440898 /nfs/dbraw/zinc/44/08/98/1048440898.db2.gz ISZZKRVSWJELDC-HNNXBMFYSA-N 0 3 302.443 4.100 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccccc1OC1CCCC1)CNC2 ZINC001163229037 1048440940 /nfs/dbraw/zinc/44/09/40/1048440940.db2.gz OOMJPIPWPCLXEA-INIZCTEOSA-N 0 3 314.454 4.326 20 0 DIADHN CCN(CC)c1ccc(C)c(N[C@@H]2CNCc3ccsc32)c1 ZINC001163234980 1048443478 /nfs/dbraw/zinc/44/34/78/1048443478.db2.gz ADPVWRIKQXPEOM-QGZVFWFLSA-N 0 3 315.486 4.159 20 0 DIADHN CSc1ccc(F)c(C)c1N[C@H]1CNCc2ccsc21 ZINC001163239922 1048443491 /nfs/dbraw/zinc/44/34/91/1048443491.db2.gz SVQCWAKCYDUAQH-LBPRGKRZSA-N 0 3 308.447 4.174 20 0 DIADHN C[C@@](O)(CCNCc1cccc(Cl)c1Cl)c1ccccc1 ZINC001202101887 1048450737 /nfs/dbraw/zinc/45/07/37/1048450737.db2.gz LMKMAQHPZJOTTB-QGZVFWFLSA-N 0 3 324.251 4.381 20 0 DIADHN CCN1CCN(c2ccc(Nc3c(C)cccc3F)cc2)CC1 ZINC001175780815 1048450850 /nfs/dbraw/zinc/45/08/50/1048450850.db2.gz NCTNMHNBFOTCPS-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN C/C=C/C[C@H](CO)NCc1c(Cl)cccc1SC(C)C ZINC001202102530 1048451017 /nfs/dbraw/zinc/45/10/17/1048451017.db2.gz QZCMTUFRQDQEMJ-MUBLQREKSA-N 0 3 313.894 4.257 20 0 DIADHN CN(C)Cc1ccnc(N[C@@H]2CCC[C@@H](c3ccccc3)C2)c1 ZINC001163330719 1048452005 /nfs/dbraw/zinc/45/20/05/1048452005.db2.gz DANAJXGCBXXTSR-RTBURBONSA-N 0 3 309.457 4.282 20 0 DIADHN CCN1CCN(c2ccc(Nc3csc(Cl)c3)cc2)CC1 ZINC001175783463 1048452537 /nfs/dbraw/zinc/45/25/37/1048452537.db2.gz LIKIKHCCRHEMQQ-UHFFFAOYSA-N 0 3 321.877 4.287 20 0 DIADHN Fc1cccc(CNCc2ccc(OCc3ccncc3)cc2)c1 ZINC000075734860 1048454637 /nfs/dbraw/zinc/45/46/37/1048454637.db2.gz JNUMBKVQFDTTOZ-UHFFFAOYSA-N 0 3 322.383 4.090 20 0 DIADHN CCOc1ccccc1CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481685764 1048457929 /nfs/dbraw/zinc/45/79/29/1048457929.db2.gz JWEPPEWBHLLFQM-NRFANRHFSA-N 0 3 323.436 4.107 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481691146 1048458986 /nfs/dbraw/zinc/45/89/86/1048458986.db2.gz FYEBREMILQNABT-QRWLVFNGSA-N 0 3 311.400 4.408 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481711398 1048466652 /nfs/dbraw/zinc/46/66/52/1048466652.db2.gz DSSKELQFOUJBTN-ISPXXFMDSA-N 0 3 305.421 4.221 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1cc(-c2ccccc2)no1 ZINC000481730630 1048469973 /nfs/dbraw/zinc/46/99/73/1048469973.db2.gz TWLWYPALJAQOLO-MJGOQNOKSA-N 0 3 314.429 4.025 20 0 DIADHN CO[C@H](CN1CCc2cc(F)ccc2[C@H]1C)c1ccc(F)cc1 ZINC001175882147 1048470271 /nfs/dbraw/zinc/47/02/71/1048470271.db2.gz DLGKVIOBFARUFN-BFUOFWGJSA-N 0 3 317.379 4.272 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1cccc(-n2cccn2)c1 ZINC000481729608 1048470372 /nfs/dbraw/zinc/47/03/72/1048470372.db2.gz NGXITBOAVATRDI-CZUORRHYSA-N 0 3 323.362 4.035 20 0 DIADHN CCc1cccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC001202151171 1048475139 /nfs/dbraw/zinc/47/51/39/1048475139.db2.gz QYFNORMLYSLXTQ-IBGZPJMESA-N 0 3 316.876 4.295 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)cc1 ZINC000924768540 1048480778 /nfs/dbraw/zinc/48/07/78/1048480778.db2.gz GPMQNSKULUVOEW-AWEZNQCLSA-N 0 3 315.482 4.487 20 0 DIADHN CCc1c2ccccc2oc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000448685579 1048484996 /nfs/dbraw/zinc/48/49/96/1048484996.db2.gz ABFUCEGRWJEMRG-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN CCn1cnc(Cl)c1CN[C@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC001202259898 1048494302 /nfs/dbraw/zinc/49/43/02/1048494302.db2.gz ZRLILPPSPJQNIS-HIFRSBDPSA-N 0 3 321.827 4.121 20 0 DIADHN Cc1csc([C@H]2CCN([C@@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001175934405 1048504541 /nfs/dbraw/zinc/50/45/41/1048504541.db2.gz BCRMZDLNNUCGBR-AAEUAGOBSA-N 0 3 308.397 4.280 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Nc1cccc(Cl)c1F ZINC001188410282 1048513314 /nfs/dbraw/zinc/51/33/14/1048513314.db2.gz ABQPKBMGMVQLNT-UHFFFAOYSA-N 0 3 321.783 4.185 20 0 DIADHN C[C@@H](c1ccncc1)N1CCC(N2c3ccccc3C[C@@H]2C)CC1 ZINC000600630420 1048517154 /nfs/dbraw/zinc/51/71/54/1048517154.db2.gz QZFPQZNFDYJJMG-IRXDYDNUSA-N 0 3 321.468 4.058 20 0 DIADHN Cc1cc(C)cc(CNc2ccc([C@H]3CCCCN3C)cn2)c1 ZINC001163751385 1048517383 /nfs/dbraw/zinc/51/73/83/1048517383.db2.gz UMZVMCUCRFEOGT-LJQANCHMSA-N 0 3 309.457 4.467 20 0 DIADHN COc1cccc(-c2csc(CNC3(C4(C)CC4)CC3)n2)c1 ZINC001202389030 1048526650 /nfs/dbraw/zinc/52/66/50/1048526650.db2.gz ZSILXEALSQTZDE-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN CC(C)(C)OC[C@H]1CCCN1Cc1cccc(OC(C)(C)C)n1 ZINC001176008915 1048531283 /nfs/dbraw/zinc/53/12/83/1048531283.db2.gz JERKBEBSFQPNFV-MRXNPFEDSA-N 0 3 320.477 4.038 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cc(F)cc(F)c1)c1ccco1 ZINC000481958346 1048535613 /nfs/dbraw/zinc/53/56/13/1048535613.db2.gz IYUHLCOJASGSOW-SUMWQHHRSA-N 0 3 322.399 4.292 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](c2ccco2)N(CC)CC)o1 ZINC000481975822 1048537018 /nfs/dbraw/zinc/53/70/18/1048537018.db2.gz UAIFXLWJLNCTRW-HOCLYGCPSA-N 0 3 304.434 4.169 20 0 DIADHN N=C(Nc1cc2nc[nH]c2cc1F)C12CC3CC(CC(C3)C1)C2 ZINC001163848662 1048538570 /nfs/dbraw/zinc/53/85/70/1048538570.db2.gz VDOAKLLRYCLOQQ-UHFFFAOYSA-N 0 3 312.392 4.308 20 0 DIADHN Cc1cc2[nH]c(CN(C)[C@@H](CCO)c3ccccc3)cc2c(C)c1 ZINC000482004118 1048542920 /nfs/dbraw/zinc/54/29/20/1048542920.db2.gz BOJHANXUKNHEAE-NRFANRHFSA-N 0 3 322.452 4.340 20 0 DIADHN CC[C@@H](N[C@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000482030003 1048545170 /nfs/dbraw/zinc/54/51/70/1048545170.db2.gz FELNDHQCXRIMHF-XLIONFOSSA-N 0 3 319.452 4.441 20 0 DIADHN Fc1cc(Br)c(Nc2ncc[nH]2)cc1C(F)(F)F ZINC001176084129 1048547139 /nfs/dbraw/zinc/54/71/39/1048547139.db2.gz KUDPFPMDEOSLSY-UHFFFAOYSA-N 0 3 324.075 4.074 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NCc1cc(-c2ccccc2)on1 ZINC000482031440 1048547685 /nfs/dbraw/zinc/54/76/85/1048547685.db2.gz FXYNBYKQQLOPQE-AWEZNQCLSA-N 0 3 310.372 4.202 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2ccccc2F)N2CCCC2)o1 ZINC000482051068 1048549707 /nfs/dbraw/zinc/54/97/07/1048549707.db2.gz UGPUMPSYMIQZGC-CRAIPNDOSA-N 0 3 316.420 4.215 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)Nc1ccccc1CN(C)C ZINC001188734164 1048550219 /nfs/dbraw/zinc/55/02/19/1048550219.db2.gz YSCSPUGFJSWQFX-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCn1cc([C@@H](C)NC2CC(F)(c3cccc(Cl)c3)C2)cn1 ZINC000482065894 1048552207 /nfs/dbraw/zinc/55/22/07/1048552207.db2.gz FPBBCKJDJBQFRI-AXOLYNHLSA-N 0 3 321.827 4.234 20 0 DIADHN C[C@H](NC1CC(F)(c2cccc(Cl)c2)C1)c1ccccn1 ZINC000482075528 1048556402 /nfs/dbraw/zinc/55/64/02/1048556402.db2.gz BPRFJZHQYOGFCR-VWDMACCTSA-N 0 3 304.796 4.413 20 0 DIADHN COc1cccc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1OC ZINC000066236795 1048557497 /nfs/dbraw/zinc/55/74/97/1048557497.db2.gz UFRVXNPQVWLONY-GOSISDBHSA-N 0 3 317.404 4.330 20 0 DIADHN c1csc(-c2nc(CNCC[C@@H]3CC[C@@H]4C[C@@H]43)cs2)c1 ZINC001203692581 1048561109 /nfs/dbraw/zinc/56/11/09/1048561109.db2.gz XXVRQYPGPHKUPR-OUCADQQQSA-N 0 3 304.484 4.397 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(OCC3CC3)nc2)c2ccccc21 ZINC000482127348 1048563502 /nfs/dbraw/zinc/56/35/02/1048563502.db2.gz ONBQINCFOXRXHL-LJQANCHMSA-N 0 3 322.452 4.383 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](C)c1ccc(C)nc1C)CCC2 ZINC000780745825 1048575413 /nfs/dbraw/zinc/57/54/13/1048575413.db2.gz KGEVVGGXUSRVMA-SWLSCSKDSA-N 0 3 301.459 4.192 20 0 DIADHN Cc1ccc2cc(CN3CC[C@]4(C3)OCc3ccccc34)[nH]c2c1 ZINC000667996909 1048583921 /nfs/dbraw/zinc/58/39/21/1048583921.db2.gz AFSNMOMNNNXFJE-OAQYLSRUSA-N 0 3 318.420 4.108 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1cc2ccncc2s1 ZINC000668004902 1048587005 /nfs/dbraw/zinc/58/70/05/1048587005.db2.gz GXHVOQRZRNFJAN-MFKMUULPSA-N 0 3 314.376 4.459 20 0 DIADHN C[C@H](NCc1ccn(C)c1)c1cccc(OCc2ccccc2)c1 ZINC000158442875 1048596817 /nfs/dbraw/zinc/59/68/17/1048596817.db2.gz TUNFUBCVIBNXJH-KRWDZBQOSA-N 0 3 320.436 4.455 20 0 DIADHN Cc1csc(CCC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001176201810 1048600968 /nfs/dbraw/zinc/60/09/68/1048600968.db2.gz XSHLUHNDIANPJL-UHFFFAOYSA-N 0 3 313.447 4.404 20 0 DIADHN CCOc1cccc(CN2CCC[C@]3(C2)OCc2ccccc23)c1 ZINC000482444987 1048605625 /nfs/dbraw/zinc/60/56/25/1048605625.db2.gz ONSRYMMRNLIWKL-OAQYLSRUSA-N 0 3 323.436 4.107 20 0 DIADHN c1ccc(-c2cnccc2NCc2cnc3ccccc3c2)cc1 ZINC001164204029 1048606984 /nfs/dbraw/zinc/60/69/84/1048606984.db2.gz NTSMFPLBOHBUAY-UHFFFAOYSA-N 0 3 311.388 4.331 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc(Cl)c3)cccc21 ZINC001176223717 1048609260 /nfs/dbraw/zinc/60/92/60/1048609260.db2.gz WUAXFFOGPSNENG-MRXNPFEDSA-N 0 3 302.805 4.469 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CCC(C)=C(C)C1 ZINC000482462441 1048610693 /nfs/dbraw/zinc/61/06/93/1048610693.db2.gz WJDNATGANKDYEG-UHFFFAOYSA-N 0 3 309.457 4.090 20 0 DIADHN c1nc2n(c1CN[C@@H](c1ccccc1)C1CCCCC1)CCC2 ZINC000850029991 1048612280 /nfs/dbraw/zinc/61/22/80/1048612280.db2.gz HANPEYQKLABONU-FQEVSTJZSA-N 0 3 309.457 4.241 20 0 DIADHN CCCOc1ccc(CNCc2ccc([C@@H](C)O)cc2)c(C)c1 ZINC000850072952 1048614765 /nfs/dbraw/zinc/61/47/65/1048614765.db2.gz RKQMBZHEEFIZOR-MRXNPFEDSA-N 0 3 313.441 4.127 20 0 DIADHN [O-]c1c(F)cc(Nc2cccc(C[NH+]3CCCC3)c2)cc1F ZINC001176198451 1048615941 /nfs/dbraw/zinc/61/59/41/1048615941.db2.gz GZCPXXWHNSUSGU-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN CCCOc1ccc(CN[C@@H](CCO)c2cccs2)c(C)c1 ZINC000850154736 1048620653 /nfs/dbraw/zinc/62/06/53/1048620653.db2.gz BDVKJQOFGXBVCL-KRWDZBQOSA-N 0 3 319.470 4.059 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C(F)(F)F)[C@@H]2C)cc1C ZINC000482505730 1048620591 /nfs/dbraw/zinc/62/05/91/1048620591.db2.gz ICKZZWVIUJZBOR-GXTWGEPZSA-N 0 3 301.352 4.166 20 0 DIADHN CCOC(C)(C)CNCc1ccc(Br)cc1Cl ZINC000159149972 1048623358 /nfs/dbraw/zinc/62/33/58/1048623358.db2.gz SEEOSZIUKAPQGQ-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1cc3c(cccc3C)[nH]1)CCC2 ZINC000850238710 1048626204 /nfs/dbraw/zinc/62/62/04/1048626204.db2.gz AAMXMDLRQNGEPP-MRXNPFEDSA-N 0 3 311.454 4.408 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1cc3c(cccc3C)[nH]1)CCC2 ZINC000850238709 1048627020 /nfs/dbraw/zinc/62/70/20/1048627020.db2.gz AAMXMDLRQNGEPP-INIZCTEOSA-N 0 3 311.454 4.408 20 0 DIADHN C[C@@]1(CNCc2cccc(OC3CC3)c2F)CC1(Cl)Cl ZINC000850315493 1048632535 /nfs/dbraw/zinc/63/25/35/1048632535.db2.gz DIHBOENQDIEZDB-AWEZNQCLSA-N 0 3 318.219 4.040 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2COC3(CCC3)C2)o1 ZINC000850367306 1048640006 /nfs/dbraw/zinc/64/00/06/1048640006.db2.gz DAUBZBOSNHJAIK-ZDUSSCGKSA-N 0 3 317.816 4.401 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2COC3(CCC3)C2)c1 ZINC000850369226 1048642306 /nfs/dbraw/zinc/64/23/06/1048642306.db2.gz GUJMMQZCGICYKA-LBPRGKRZSA-N 0 3 319.754 4.160 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](C)c2ccc(Br)nc2)c1 ZINC000850522088 1048677414 /nfs/dbraw/zinc/67/74/14/1048677414.db2.gz BISDUCFGQRAEMD-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNCCC(C)(C)F ZINC000850374750 1048643143 /nfs/dbraw/zinc/64/31/43/1048643143.db2.gz PUFQESLGZNNRES-UHFFFAOYSA-N 0 3 309.816 4.062 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cc(Cl)cs1)c1ccccc1 ZINC000850396518 1048645567 /nfs/dbraw/zinc/64/55/67/1048645567.db2.gz XKFFWDHVTWFGSZ-CVEARBPZSA-N 0 3 309.862 4.249 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cnc2ccccc2c1)c1ccccc1 ZINC000850394799 1048645756 /nfs/dbraw/zinc/64/57/56/1048645756.db2.gz DRDYCEIQPXPIMM-RTWAWAEBSA-N 0 3 320.436 4.083 20 0 DIADHN FC1(F)CC12CCC(NCc1occc1Br)CC2 ZINC000850391488 1048646104 /nfs/dbraw/zinc/64/61/04/1048646104.db2.gz RYNDRUVPBBXAQG-UHFFFAOYSA-N 0 3 320.177 4.100 20 0 DIADHN CCCOc1ccc(CN[C@H]2c3cc(F)ccc3C[C@@H]2C)cn1 ZINC000482621442 1048646605 /nfs/dbraw/zinc/64/66/05/1048646605.db2.gz SMPCJMWZCZZKIE-ORAYPTAESA-N 0 3 314.404 4.033 20 0 DIADHN CC1(C)[C@H](CNCc2ccc(CSC(F)F)o2)C1(F)F ZINC000850394450 1048646643 /nfs/dbraw/zinc/64/66/43/1048646643.db2.gz ROJFHJVILHAKJA-JTQLQIEISA-N 0 3 311.344 4.116 20 0 DIADHN CCc1nc(SCCN(C)Cc2ccccc2)sc1C ZINC001189676860 1048647922 /nfs/dbraw/zinc/64/79/22/1048647922.db2.gz SALCVKDYIRYHFY-UHFFFAOYSA-N 0 3 306.500 4.238 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H]2CCCOC2)c(OCCC)c1 ZINC000482645333 1048650282 /nfs/dbraw/zinc/65/02/82/1048650282.db2.gz WHKGTAPQWAZBMX-HOTGVXAUSA-N 0 3 321.461 4.094 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000850424357 1048651310 /nfs/dbraw/zinc/65/13/10/1048651310.db2.gz DVSZTOKJZCYYQT-VXGBXAGGSA-N 0 3 316.470 4.497 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2F)c1ccc2c(c1)CCCO2 ZINC000850430722 1048654202 /nfs/dbraw/zinc/65/42/02/1048654202.db2.gz OUIYXMPRZYZOHW-YJYMSZOUSA-N 0 3 311.400 4.489 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc3c(c2)CCCO3)ccc1C ZINC000850428016 1048654224 /nfs/dbraw/zinc/65/42/24/1048654224.db2.gz AVQQSDCAPJFKCQ-HNNXBMFYSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2cnccc2o1)c1ccc2c(c1)CCO2 ZINC000850430769 1048654668 /nfs/dbraw/zinc/65/46/68/1048654668.db2.gz PRMKLEFSYHMCKV-OLZOCXBDSA-N 0 3 308.381 4.175 20 0 DIADHN COC[C@H](N[C@H](C)c1ccc2c(c1)CCCO2)c1ccccc1 ZINC000850441889 1048657946 /nfs/dbraw/zinc/65/79/46/1048657946.db2.gz CSCLLQRACQGYHC-BEFAXECRSA-N 0 3 311.425 4.050 20 0 DIADHN COC[C@@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccccc1 ZINC000850441891 1048658704 /nfs/dbraw/zinc/65/87/04/1048658704.db2.gz CSCLLQRACQGYHC-HNAYVOBHSA-N 0 3 311.425 4.050 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1cncc(Br)c1 ZINC000850446379 1048660579 /nfs/dbraw/zinc/66/05/79/1048660579.db2.gz UQKJDHVFWVGKHH-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN c1cc(N2CC[C@H](C3CCCCC3)C2)ncc1CN1CCCC1 ZINC001165145506 1048661328 /nfs/dbraw/zinc/66/13/28/1048661328.db2.gz ZVZNLTPTPGBQRI-IBGZPJMESA-N 0 3 313.489 4.084 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3ccc4c(c3)CCCO4)CC2)c1 ZINC000850452065 1048661780 /nfs/dbraw/zinc/66/17/80/1048661780.db2.gz LLTXRWWPIYGGTO-HNNXBMFYSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H]1CN(c2cc(C3CC3)ccn2)[C@@H](C)CN1Cc1ccccc1 ZINC001165202882 1048664879 /nfs/dbraw/zinc/66/48/79/1048664879.db2.gz QDUMNLFWVWUMAP-IRXDYDNUSA-N 0 3 321.468 4.058 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccnc(Cl)c1 ZINC000850465912 1048667144 /nfs/dbraw/zinc/66/71/44/1048667144.db2.gz GWGXYWZCVGCQGJ-STQMWFEESA-N 0 3 316.832 4.472 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCCc3sccc32)c1 ZINC000237526310 1048667396 /nfs/dbraw/zinc/66/73/96/1048667396.db2.gz GANGHTYALDULBF-YVEFUNNKSA-N 0 3 314.454 4.435 20 0 DIADHN COc1c(C)cccc1CN[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850476140 1048669216 /nfs/dbraw/zinc/66/92/16/1048669216.db2.gz IBNPLBVDBFDHDC-HNNXBMFYSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1csc(C[C@@H]2CCN([C@H](C)c3ccccc3F)C2)n1 ZINC001176431662 1048669831 /nfs/dbraw/zinc/66/98/31/1048669831.db2.gz IEJMQUUYLPICSE-KGLIPLIRSA-N 0 3 304.434 4.216 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](O)c1cccc2ccccc21 ZINC000850517421 1048674877 /nfs/dbraw/zinc/67/48/77/1048674877.db2.gz TXZKWJOKQJKCEC-ORAYPTAESA-N 0 3 324.424 4.083 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](O)c1cccc2ccccc21 ZINC000850517419 1048675027 /nfs/dbraw/zinc/67/50/27/1048675027.db2.gz TXZKWJOKQJKCEC-BFUOFWGJSA-N 0 3 324.424 4.083 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1cccc2ccccc21)c1ccc(F)cn1 ZINC000850516923 1048675354 /nfs/dbraw/zinc/67/53/54/1048675354.db2.gz PIGOHDRKHDNFPM-UYAOXDASSA-N 0 3 324.399 4.148 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Oc2ccccc2)cn1 ZINC000850524095 1048677534 /nfs/dbraw/zinc/67/75/34/1048677534.db2.gz UQUOYHCUIGQDOU-HOTGVXAUSA-N 0 3 324.424 4.236 20 0 DIADHN O[C@@H]1C[C@H](N[C@H](c2cccnc2)C2CCCCC2)c2ccccc21 ZINC000850524210 1048679213 /nfs/dbraw/zinc/67/92/13/1048679213.db2.gz ARZKBPNTLCLOTR-HBMCJLEFSA-N 0 3 322.452 4.471 20 0 DIADHN O[C@@H]1C[C@H](N[C@@H](c2cccnc2)C2CCCCC2)c2ccccc21 ZINC000850524216 1048679505 /nfs/dbraw/zinc/67/95/05/1048679505.db2.gz ARZKBPNTLCLOTR-PWRODBHTSA-N 0 3 322.452 4.471 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N(C)C[C@@H](O)CCC(C)C ZINC000482791074 1048680519 /nfs/dbraw/zinc/68/05/19/1048680519.db2.gz RIBLTBYLTBLEIN-GJZGRUSLSA-N 0 3 312.523 4.365 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](O)c2ccccc21)c1ccc(OC(F)F)cc1 ZINC000850529767 1048682910 /nfs/dbraw/zinc/68/29/10/1048682910.db2.gz SUFAIBZCRCZLDB-YMRXKLBXSA-N 0 3 319.351 4.117 20 0 DIADHN C[C@H](NCCCC1CCC1)c1nc(Br)cs1 ZINC000850535808 1048684612 /nfs/dbraw/zinc/68/46/12/1048684612.db2.gz BVXDODBPKJOLGF-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN CC[C@H](NC[C@]12OCCC[C@H]1C2(F)F)c1ccc(Cl)s1 ZINC000850535099 1048684697 /nfs/dbraw/zinc/68/46/97/1048684697.db2.gz UMKTYPKKPMKFAK-NDMJEZRESA-N 0 3 321.820 4.257 20 0 DIADHN C[C@@H]1CCN([C@@H](C(=O)Nc2ccccc2)c2ccccc2)[C@H]1C ZINC000482847945 1048687166 /nfs/dbraw/zinc/68/71/66/1048687166.db2.gz ZFZQSKFYZWWIDC-JTDSTZFVSA-N 0 3 308.425 4.097 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000850542128 1048687540 /nfs/dbraw/zinc/68/75/40/1048687540.db2.gz IDKFJSRSRKFWOD-YJYMSZOUSA-N 0 3 309.409 4.055 20 0 DIADHN COc1ccc(NCC2CN(Cc3ccccc3)C2)cc1C(C)C ZINC001165468234 1048690224 /nfs/dbraw/zinc/69/02/24/1048690224.db2.gz CXLDUQZZHRMSGJ-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@@H](C)[C@@H]1C ZINC000482862177 1048692102 /nfs/dbraw/zinc/69/21/02/1048692102.db2.gz CVIPZNSWBYWDNU-IKGGRYGDSA-N 0 3 322.452 4.411 20 0 DIADHN C[C@@H](NCCc1nsc2ccccc12)c1cccnc1Cl ZINC000850562557 1048692712 /nfs/dbraw/zinc/69/27/12/1048692712.db2.gz WOHMUCLYOBPFKM-LLVKDONJSA-N 0 3 317.845 4.238 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccnc(Cl)c3)CCC2)c1 ZINC000850566316 1048694195 /nfs/dbraw/zinc/69/41/95/1048694195.db2.gz LMTCVVSPAYTJLM-CYBMUJFWSA-N 0 3 316.832 4.474 20 0 DIADHN C[C@@H](c1ccccc1)[C@H](O)CNCc1cc(Cl)ccc1Cl ZINC001203235453 1048700581 /nfs/dbraw/zinc/70/05/81/1048700581.db2.gz QFDDNBIMGVAAMW-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](c2ccccc2)[C@@H](C)O)cc1C ZINC000850583208 1048701048 /nfs/dbraw/zinc/70/10/48/1048701048.db2.gz JLLDITXEJXTJPO-RZQQEMMASA-N 0 3 313.441 4.085 20 0 DIADHN Cc1ccc2cc(CN3CCC(c4cccnc4)CC3)[nH]c2c1 ZINC000668160779 1048704716 /nfs/dbraw/zinc/70/47/16/1048704716.db2.gz GLQZNZCZNPZVBA-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCCC(=O)Nc1ccccc1 ZINC000482939102 1048707586 /nfs/dbraw/zinc/70/75/86/1048707586.db2.gz ANBGZDNPIFVQOM-OAHLLOKOSA-N 0 3 322.408 4.421 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)CC2CC2)nnn1-c1ccc(Cl)cc1 ZINC000482962519 1048713702 /nfs/dbraw/zinc/71/37/02/1048713702.db2.gz QIQVJRUSRIXGAE-NWDGAFQWSA-N 0 3 318.852 4.068 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cnc2ccccc2c1 ZINC000776496602 1048718441 /nfs/dbraw/zinc/71/84/41/1048718441.db2.gz AWPFDESVHUSGSE-JLTOFOAXSA-N 0 3 324.399 4.240 20 0 DIADHN CO[C@]1(C)CCCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC000483005953 1048719799 /nfs/dbraw/zinc/71/97/99/1048719799.db2.gz KZTHDXPRKJQLHS-MRXNPFEDSA-N 0 3 316.272 4.427 20 0 DIADHN CO[C@@]1(C)CCCN(CCc2cccc(Cl)c2Cl)CC1 ZINC000483032821 1048724165 /nfs/dbraw/zinc/72/41/65/1048724165.db2.gz XKEWVKUHEQVEFZ-INIZCTEOSA-N 0 3 316.272 4.427 20 0 DIADHN CC[C@@](C)(NCC(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000775386482 1048736131 /nfs/dbraw/zinc/73/61/31/1048736131.db2.gz DVVSROAKIXCATF-GOSISDBHSA-N 0 3 316.832 4.194 20 0 DIADHN Cc1nnc(C2CCN(Cc3ccc(C(C)(C)C)cc3)CC2)o1 ZINC001204399048 1048736229 /nfs/dbraw/zinc/73/62/29/1048736229.db2.gz QLOQDFVUXQFRBR-UHFFFAOYSA-N 0 3 313.445 4.055 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1ccccc1Cl ZINC000483120407 1048736852 /nfs/dbraw/zinc/73/68/52/1048736852.db2.gz OKRXWCFZJWNTNF-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN CN(Cc1ncc(-c2ccccc2F)o1)[C@H]1CCC(C)(C)C1 ZINC000483112171 1048736965 /nfs/dbraw/zinc/73/69/65/1048736965.db2.gz RLYFXXDLVCKKQH-ZDUSSCGKSA-N 0 3 302.393 4.491 20 0 DIADHN CCN(Cc1coc(-c2c(F)cccc2F)n1)CC1CCC1 ZINC000483118810 1048737363 /nfs/dbraw/zinc/73/73/63/1048737363.db2.gz LDQXOEBYIUFWPE-UHFFFAOYSA-N 0 3 306.356 4.242 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000775387298 1048738433 /nfs/dbraw/zinc/73/84/33/1048738433.db2.gz KVBHBNHHLDVOOP-FQEVSTJZSA-N 0 3 310.441 4.157 20 0 DIADHN CN(Cc1ncc(-c2cccc(F)c2)o1)[C@@H]1CCC(C)(C)C1 ZINC000483105988 1048738613 /nfs/dbraw/zinc/73/86/13/1048738613.db2.gz SLODPYJGWQRDFW-OAHLLOKOSA-N 0 3 302.393 4.491 20 0 DIADHN CCN(CC1CCC1)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483130678 1048741869 /nfs/dbraw/zinc/74/18/69/1048741869.db2.gz PBUZUAYNPGYUEZ-ZDUSSCGKSA-N 0 3 317.408 4.367 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668212627 1048742743 /nfs/dbraw/zinc/74/27/43/1048742743.db2.gz IOJINVCMKLNQGT-JQWIXIFHSA-N 0 3 314.376 4.459 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1ccc(Cl)s1 ZINC000483135035 1048745071 /nfs/dbraw/zinc/74/50/71/1048745071.db2.gz DWLYJMYJDMSYLN-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN C[C@H](NCc1ccc(F)c(F)c1)c1ccc(C(C)(C)O)cc1 ZINC000924783938 1048745862 /nfs/dbraw/zinc/74/58/62/1048745862.db2.gz PAIRQZOGEVFKBM-LBPRGKRZSA-N 0 3 305.368 4.043 20 0 DIADHN Cc1cccc2nc(CN(Cc3ccccc3)CC3CCC3)cn21 ZINC001190503624 1048746029 /nfs/dbraw/zinc/74/60/29/1048746029.db2.gz VLBXLBGJNTTXPM-UHFFFAOYSA-N 0 3 319.452 4.445 20 0 DIADHN CN(C)c1c(CN2CCC[C@H](C3CCC3)C2)ccc(F)c1F ZINC001206714601 1048748099 /nfs/dbraw/zinc/74/80/99/1048748099.db2.gz IULSWSGLLPGQDB-AWEZNQCLSA-N 0 3 308.416 4.043 20 0 DIADHN CCN(C)c1ccc(CN(Cc2cccc(F)c2)C(C)C)cn1 ZINC000483182276 1048749259 /nfs/dbraw/zinc/74/92/59/1048749259.db2.gz YOLYNQDQGKOEPP-UHFFFAOYSA-N 0 3 315.436 4.087 20 0 DIADHN C[C@](CO)(Cc1ccc(Cl)cc1)NCc1ccccc1Cl ZINC001176599359 1048750928 /nfs/dbraw/zinc/75/09/28/1048750928.db2.gz PCAGUOGXWDWTLN-QGZVFWFLSA-N 0 3 324.251 4.077 20 0 DIADHN CC1(C)CCC[C@@H](CN(Cc2ccccc2)C[C@@H]2CCCO2)O1 ZINC001190530046 1048752080 /nfs/dbraw/zinc/75/20/80/1048752080.db2.gz ZBCBWFHZYAGLDI-OALUTQOASA-N 0 3 317.473 4.015 20 0 DIADHN Cc1ccccc1[C@@H](C)N(C)Cc1cc(Br)cn1C ZINC000483200146 1048755338 /nfs/dbraw/zinc/75/53/38/1048755338.db2.gz NJLFUCHESNBESS-CYBMUJFWSA-N 0 3 321.262 4.289 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483217116 1048758485 /nfs/dbraw/zinc/75/84/85/1048758485.db2.gz MNZYDZYPBNTJFB-ZYHUDNBSSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@@H]1CN(Cc2nc3cc(C(F)(F)F)ccc3o2)C(C)(C)C1 ZINC000483214889 1048759461 /nfs/dbraw/zinc/75/94/61/1048759461.db2.gz OYPYFWHVPRVDFR-JTQLQIEISA-N 0 3 312.335 4.467 20 0 DIADHN CCCCN(CCn1cc(Cl)cn1)[C@H](C)c1ccc(C)o1 ZINC001190588946 1048760980 /nfs/dbraw/zinc/76/09/80/1048760980.db2.gz GYUUBPAZJXMXHS-CQSZACIVSA-N 0 3 309.841 4.301 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@H](C(C)(C)C)C1 ZINC000483248424 1048761022 /nfs/dbraw/zinc/76/10/22/1048761022.db2.gz QNJOCEMXFCHDTD-IRXDYDNUSA-N 0 3 316.489 4.389 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@H](C(C)(C)C)C3)o2)cc1 ZINC000483240348 1048762190 /nfs/dbraw/zinc/76/21/90/1048762190.db2.gz TYLMWDGDQFFZKY-INIZCTEOSA-N 0 3 313.445 4.303 20 0 DIADHN CCCN(CC)[C@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001190604913 1048763776 /nfs/dbraw/zinc/76/37/76/1048763776.db2.gz IIMLNXHNGPXTEI-SNVBAGLBSA-N 0 3 303.233 4.052 20 0 DIADHN CN1CCN(Cc2cccc(Nc3cccc(C4CC4)c3)c2)CC1 ZINC001176723910 1048770368 /nfs/dbraw/zinc/77/03/68/1048770368.db2.gz KMJINKMDJQKOBD-UHFFFAOYSA-N 0 3 321.468 4.055 20 0 DIADHN CCCN(Cc1ccsc1Cl)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000483283347 1048773806 /nfs/dbraw/zinc/77/38/06/1048773806.db2.gz XOHBSHOUFFTWKN-MJBXVCDLSA-N 0 3 313.894 4.427 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(Cl)cc3)cccc21 ZINC001176729708 1048774664 /nfs/dbraw/zinc/77/46/64/1048774664.db2.gz MHRQVWKDZUNXTE-INIZCTEOSA-N 0 3 302.805 4.469 20 0 DIADHN Cc1ccc(-c2nc(CN(CC3CC3)C3CCC3)cs2)o1 ZINC000483304399 1048774841 /nfs/dbraw/zinc/77/48/41/1048774841.db2.gz DJBGGMRMJHZXBM-UHFFFAOYSA-N 0 3 302.443 4.476 20 0 DIADHN CCc1ccc(-c2noc(CN(CC3CC3)C3CCC3)n2)cc1 ZINC000483305742 1048775408 /nfs/dbraw/zinc/77/54/08/1048775408.db2.gz MBAIPPXFZVXPSX-UHFFFAOYSA-N 0 3 311.429 4.064 20 0 DIADHN O=C(CN(CC1CC1)C1CCC1)Nc1cccc2ccccc21 ZINC000483306287 1048775744 /nfs/dbraw/zinc/77/57/44/1048775744.db2.gz HGXCONJUGLKUAT-UHFFFAOYSA-N 0 3 308.425 4.043 20 0 DIADHN CCN(CCC(F)(F)F)C[C@H]1CC[C@H](c2ccccc2)O1 ZINC001190692348 1048776782 /nfs/dbraw/zinc/77/67/82/1048776782.db2.gz WBLBPGLPHWEHIG-HUUCEWRRSA-N 0 3 301.352 4.181 20 0 DIADHN c1ccc(-c2nnc(CNCCC3CCCCC3)s2)cc1 ZINC000483308777 1048777560 /nfs/dbraw/zinc/77/75/60/1048777560.db2.gz NHGJBRLVLXPCQH-UHFFFAOYSA-N 0 3 301.459 4.265 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483334903 1048777595 /nfs/dbraw/zinc/77/75/95/1048777595.db2.gz BOICMPDMMUOSOU-IUODEOHRSA-N 0 3 317.408 4.495 20 0 DIADHN CN(CCSc1cccc(Cl)c1)[C@@H](CO)c1ccccc1 ZINC001190801248 1048788568 /nfs/dbraw/zinc/78/85/68/1048788568.db2.gz FVGMSWVRBQYSET-KRWDZBQOSA-N 0 3 321.873 4.098 20 0 DIADHN CCC(CC)N(CCOC)Cc1nc(-c2ccccc2)cs1 ZINC001190802281 1048788657 /nfs/dbraw/zinc/78/86/57/1048788657.db2.gz RGSPIIOCPKOMSE-UHFFFAOYSA-N 0 3 318.486 4.447 20 0 DIADHN COCCN(Cc1cnc(C(C)(C)C)s1)[C@@H]1CC[C@@H](C)C1 ZINC000483406792 1048788979 /nfs/dbraw/zinc/78/89/79/1048788979.db2.gz MJPVIXKYAKVMCM-ZIAGYGMSSA-N 0 3 310.507 4.078 20 0 DIADHN COCc1csc(CN2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC000668243766 1048790895 /nfs/dbraw/zinc/79/08/95/1048790895.db2.gz IHIAGDZZMQOWBX-NSHDSACASA-N 0 3 307.381 4.059 20 0 DIADHN C[C@H](N[C@@H]1CCOC12CCCC2)c1ccccc1Br ZINC001176823604 1048791953 /nfs/dbraw/zinc/79/19/53/1048791953.db2.gz KCPZCUCNVFTLMK-SWLSCSKDSA-N 0 3 324.262 4.201 20 0 DIADHN CC(C)c1ccc(N(C)C(=O)C[C@H]2NCc3ccccc32)cc1 ZINC000851628000 1048792481 /nfs/dbraw/zinc/79/24/81/1048792481.db2.gz YDYGTXRTMJTDIZ-LJQANCHMSA-N 0 3 308.425 4.007 20 0 DIADHN Cc1c(Cl)cccc1N1CCN(CCc2cccs2)CC1 ZINC001176842378 1048793769 /nfs/dbraw/zinc/79/37/69/1048793769.db2.gz SHZODBZVYZYPOA-UHFFFAOYSA-N 0 3 320.889 4.075 20 0 DIADHN Clc1ccc(C2(NCc3cccc4nsnc43)CC2)cc1 ZINC000775415257 1048794491 /nfs/dbraw/zinc/79/44/91/1048794491.db2.gz MAGLHTIWJKGMNE-UHFFFAOYSA-N 0 3 315.829 4.124 20 0 DIADHN Brc1cccc2nc(NCCc3ccsc3)[nH]c21 ZINC001166803054 1048796275 /nfs/dbraw/zinc/79/62/75/1048796275.db2.gz LIVHBCFSCTWCFU-UHFFFAOYSA-N 0 3 322.231 4.042 20 0 DIADHN CN(CCc1nsc2ccccc12)Cc1ccc(Cl)nc1 ZINC000851799065 1048800515 /nfs/dbraw/zinc/80/05/15/1048800515.db2.gz LHGKGMQHKPVBPG-UHFFFAOYSA-N 0 3 317.845 4.019 20 0 DIADHN Clc1cccc(CNC2([C@@H]3CCCO3)CCC2)c1Cl ZINC001176939089 1048802375 /nfs/dbraw/zinc/80/23/75/1048802375.db2.gz BECHAIVRQIJDJO-ZDUSSCGKSA-N 0 3 300.229 4.185 20 0 DIADHN COc1ccc(Cl)c(CN(C)[C@H]2CCc3ccccc3C2)c1 ZINC001176908777 1048807271 /nfs/dbraw/zinc/80/72/71/1048807271.db2.gz HXBQVSZHPADMBM-KRWDZBQOSA-N 0 3 315.844 4.338 20 0 DIADHN FC(F)C1CCN(CCOc2ccc(C(F)(F)F)cc2)CC1 ZINC000483529242 1048813078 /nfs/dbraw/zinc/81/30/78/1048813078.db2.gz IIYWRUWOUVBMLF-UHFFFAOYSA-N 0 3 323.305 4.061 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@H]2C[C@H]2C1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000851931049 1048814238 /nfs/dbraw/zinc/81/42/38/1048814238.db2.gz JQDMUEFMUGPYAI-MHDGFBEUSA-N 0 3 317.820 4.087 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](c3ccccc3)C[C@@H]2C)cc1C ZINC001191003104 1048817614 /nfs/dbraw/zinc/81/76/14/1048817614.db2.gz ATLUPWRWKOSRQM-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN c1cc(CNCc2ccc3c(c2)OCO3)cc(C2CCCC2)c1 ZINC001177081498 1048818161 /nfs/dbraw/zinc/81/81/61/1048818161.db2.gz JXGWGGNOURLMFP-UHFFFAOYSA-N 0 3 309.409 4.363 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C(F)(F)F)cn1)[C@@H]1CCCCO1 ZINC000903045061 1048819370 /nfs/dbraw/zinc/81/93/70/1048819370.db2.gz PNAUXJZTIZRTAI-ZLDLUXBVSA-N 0 3 316.367 4.099 20 0 DIADHN CC1(C)OC[C@H](CN2CCCCC[C@H]2c2ccc(Cl)cc2)O1 ZINC001191021513 1048823212 /nfs/dbraw/zinc/82/32/12/1048823212.db2.gz GQXLPLHDDFUYIM-IRXDYDNUSA-N 0 3 323.864 4.409 20 0 DIADHN CC1(C)OC[C@H](CN2CCCCC[C@@H]2c2ccc(Cl)cc2)O1 ZINC001191021511 1048824718 /nfs/dbraw/zinc/82/47/18/1048824718.db2.gz GQXLPLHDDFUYIM-DLBZAZTESA-N 0 3 323.864 4.409 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CC1CC(F)(F)C1 ZINC000852126430 1048826984 /nfs/dbraw/zinc/82/69/84/1048826984.db2.gz BMRGCARHJDNRAQ-SECBINFHSA-N 0 3 313.779 4.254 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC000483627658 1048827320 /nfs/dbraw/zinc/82/73/20/1048827320.db2.gz UYCQTOHFTHNCNA-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN COc1cccc(O[C@H](C)CN[C@@H](C)c2ccc(F)cc2F)c1 ZINC000483627931 1048828089 /nfs/dbraw/zinc/82/80/89/1048828089.db2.gz XIJRQIVNBJEFLP-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@@H](C)c1cc(F)ccc1F ZINC000483627656 1048828737 /nfs/dbraw/zinc/82/87/37/1048828737.db2.gz UYCQTOHFTHNCNA-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1csc(COc2ccccc2)n1 ZINC001177093394 1048828742 /nfs/dbraw/zinc/82/87/42/1048828742.db2.gz PZCKLXHCSPTFIC-YOEHRIQHSA-N 0 3 316.470 4.390 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nccc(C)c1Br ZINC001177186645 1048849047 /nfs/dbraw/zinc/84/90/47/1048849047.db2.gz UKLOYKXOWDQDMJ-OLZOCXBDSA-N 0 3 311.267 4.211 20 0 DIADHN CCC(CC)CN[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000483635133 1048835114 /nfs/dbraw/zinc/83/51/14/1048835114.db2.gz JVWUKBUTZWWDMT-GFCCVEGCSA-N 0 3 305.397 4.271 20 0 DIADHN Fc1cccc(/C=C\CNCc2ccccc2OC(F)F)c1 ZINC001177165974 1048835855 /nfs/dbraw/zinc/83/58/55/1048835855.db2.gz CHWYTSQOBLQLPQ-XQRVVYSFSA-N 0 3 307.315 4.230 20 0 DIADHN Cc1ccc(OCCNCc2cccc(Cl)c2Cl)cc1 ZINC001177171042 1048836064 /nfs/dbraw/zinc/83/60/64/1048836064.db2.gz SIUBALWXZPSODT-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN Cc1cc(CN(CCc2cccc(F)c2)C2CC2)cc(Cl)n1 ZINC001191070429 1048838028 /nfs/dbraw/zinc/83/80/28/1048838028.db2.gz LHYFRIVPAFQAEB-UHFFFAOYSA-N 0 3 318.823 4.390 20 0 DIADHN CC[C@@H]1Oc2cc([C@@H](C)N[C@@H](C)c3ccccc3)ccc2NC1=O ZINC000173223045 1048840037 /nfs/dbraw/zinc/84/00/37/1048840037.db2.gz ZHIPIECPJBIILX-IYOUNJFTSA-N 0 3 324.424 4.208 20 0 DIADHN CCc1ncc(CN[C@H](Cc2ccccc2)c2ccccc2)cn1 ZINC001177176044 1048845075 /nfs/dbraw/zinc/84/50/75/1048845075.db2.gz UXJZZSNZQRAWOS-HXUWFJFHSA-N 0 3 317.436 4.113 20 0 DIADHN Cc1nn(-c2ccccn2)c(C)c1CN1CCC2(CCCC2)CC1 ZINC000338945684 1048845718 /nfs/dbraw/zinc/84/57/18/1048845718.db2.gz QRZPUYKPUFMCFR-UHFFFAOYSA-N 0 3 324.472 4.040 20 0 DIADHN CCc1ncc(CN[C@@H](Cc2ccccc2)c2ccccc2)cn1 ZINC001177176042 1048846598 /nfs/dbraw/zinc/84/65/98/1048846598.db2.gz UXJZZSNZQRAWOS-FQEVSTJZSA-N 0 3 317.436 4.113 20 0 DIADHN CN(C)C(=O)Sc1cccc(CN2CCC[C@H](CCF)C2)c1 ZINC000852533000 1048849119 /nfs/dbraw/zinc/84/91/19/1048849119.db2.gz OJTMTTZABXEFRF-CQSZACIVSA-N 0 3 324.465 4.032 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H](OC)C1CCCC1 ZINC001203243706 1048849670 /nfs/dbraw/zinc/84/96/70/1048849670.db2.gz RAXVRYOJDFRYHO-INIZCTEOSA-N 0 3 311.853 4.034 20 0 DIADHN CSCc1cnc(CNCC(C2CCC2)C2CCC2)s1 ZINC001203236064 1048850127 /nfs/dbraw/zinc/85/01/27/1048850127.db2.gz XEBJBCUPVRWJPX-UHFFFAOYSA-N 0 3 310.532 4.312 20 0 DIADHN CCc1ccc(CNCc2cnc3c(F)cccc3c2)s1 ZINC001177257529 1048857008 /nfs/dbraw/zinc/85/70/08/1048857008.db2.gz UJELBSGHZQUTHJ-UHFFFAOYSA-N 0 3 300.402 4.288 20 0 DIADHN Cc1ccc(CN2CCC[C@]3(C[C@H](c4ccccc4)CO3)C2)o1 ZINC001203292733 1048856999 /nfs/dbraw/zinc/85/69/99/1048856999.db2.gz WIFWBJRKKGQPNE-ICSRJNTNSA-N 0 3 311.425 4.127 20 0 DIADHN CCCN(Cc1ccco1)Cc1cccc(Br)c1 ZINC001203285341 1048857166 /nfs/dbraw/zinc/85/71/66/1048857166.db2.gz HSYXAPVOMSTLPD-UHFFFAOYSA-N 0 3 308.219 4.454 20 0 DIADHN Cc1ccc(CN2CCC[C@]3(C[C@@H](c4ccccc4)CO3)C2)o1 ZINC001203292734 1048858659 /nfs/dbraw/zinc/85/86/59/1048858659.db2.gz WIFWBJRKKGQPNE-QUCCMNQESA-N 0 3 311.425 4.127 20 0 DIADHN Fc1ccc(CN[C@H]2C[C@H](Sc3ccccc3)C2)c(F)c1 ZINC001203290166 1048858713 /nfs/dbraw/zinc/85/87/13/1048858713.db2.gz WNNHLJRCZZVLPX-KOMQPUFPSA-N 0 3 305.393 4.378 20 0 DIADHN CC(C)(C)Sc1ccc(NC(=O)C2(NC3CC3)CCC2)cc1 ZINC000852831480 1048861272 /nfs/dbraw/zinc/86/12/72/1048861272.db2.gz TWSOCTSYKCCMGT-UHFFFAOYSA-N 0 3 318.486 4.190 20 0 DIADHN CCCCN(CC[S@](=O)C(F)(F)F)[C@H](C)c1ccccc1 ZINC001191164834 1048873053 /nfs/dbraw/zinc/87/30/53/1048873053.db2.gz NDEHWPMTEORZKZ-ASSNKEHSSA-N 0 3 321.408 4.118 20 0 DIADHN CCn1nnc(C)c1CN1CCCCC[C@@H]1c1cccc(C)c1 ZINC001191131780 1048873111 /nfs/dbraw/zinc/87/31/11/1048873111.db2.gz HIODJDIYUGEZRW-GOSISDBHSA-N 0 3 312.461 4.032 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1ccncc1Br ZINC001191132775 1048875090 /nfs/dbraw/zinc/87/50/90/1048875090.db2.gz MGKFTWUFQJSLGD-SWLSCSKDSA-N 0 3 311.267 4.245 20 0 DIADHN CCOCCNCc1ccccc1Oc1ccc(F)c(Cl)c1 ZINC001177308320 1048876500 /nfs/dbraw/zinc/87/65/00/1048876500.db2.gz QFHCVNSESIYXOA-UHFFFAOYSA-N 0 3 323.795 4.398 20 0 DIADHN CCN1CCN(c2ccc(NC[C@@H]3CCCC[C@H]3C)cc2)CC1 ZINC001177379018 1048878033 /nfs/dbraw/zinc/87/80/33/1048878033.db2.gz IXFUFPBZUKGTAP-MSOLQXFVSA-N 0 3 315.505 4.067 20 0 DIADHN CC(C)c1cccc(CNCc2ccc(C3OCCO3)cc2)c1 ZINC000853085614 1048879141 /nfs/dbraw/zinc/87/91/41/1048879141.db2.gz RYOXOQIZTCYCML-UHFFFAOYSA-N 0 3 311.425 4.145 20 0 DIADHN C[C@H](NCc1cc2cnccc2o1)c1ccc2c(c1)CCCO2 ZINC000853094541 1048879265 /nfs/dbraw/zinc/87/92/65/1048879265.db2.gz FLTYXVPFKZYJKS-ZDUSSCGKSA-N 0 3 308.381 4.004 20 0 DIADHN Fc1ccc(OCC2CCN(Cc3ccccc3F)CC2)cc1 ZINC001203357899 1048881224 /nfs/dbraw/zinc/88/12/24/1048881224.db2.gz ZXOUWZXQBAYQSP-UHFFFAOYSA-N 0 3 317.379 4.256 20 0 DIADHN Fc1ccccc1CN1CCC(n2cnc3ccccc32)CC1 ZINC001203359856 1048881766 /nfs/dbraw/zinc/88/17/66/1048881766.db2.gz LVWYVDNOFSPANU-UHFFFAOYSA-N 0 3 309.388 4.013 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1CCC2(C1)CCCCC2 ZINC001191203463 1048883048 /nfs/dbraw/zinc/88/30/48/1048883048.db2.gz NOOWPTTVTHRIPN-AWEZNQCLSA-N 0 3 320.864 4.323 20 0 DIADHN Fc1cc(OCCN2CCC[C@@H]2c2ccc[nH]2)ccc1Cl ZINC000483973490 1048885380 /nfs/dbraw/zinc/88/53/80/1048885380.db2.gz GFXXKJCIGHOEOZ-MRXNPFEDSA-N 0 3 308.784 4.023 20 0 DIADHN COc1ccc(OCCCNCc2cccc(Cl)c2F)cc1 ZINC001177453888 1048885574 /nfs/dbraw/zinc/88/55/74/1048885574.db2.gz GBHWGMLBWNCWAL-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2cc(Cl)n(C)n2)cc1 ZINC001191216008 1048887456 /nfs/dbraw/zinc/88/74/56/1048887456.db2.gz XLIDHWQEJQARFA-DLBZAZTESA-N 0 3 317.864 4.150 20 0 DIADHN CC(C)(C)OC(=O)CC1CCN(Cc2ccccc2Cl)CC1 ZINC001203385148 1048887559 /nfs/dbraw/zinc/88/75/59/1048887559.db2.gz LGIYCAIXAKUITH-UHFFFAOYSA-N 0 3 323.864 4.284 20 0 DIADHN COc1ccc(Cl)c(CN(C)[C@H](C)Cc2ccc(O)cc2)c1 ZINC000483967995 1048887797 /nfs/dbraw/zinc/88/77/97/1048887797.db2.gz ZMOOQWVNQOKDDH-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c(O)cccc21)c1ccc2c(c1)CCCO2 ZINC000853239787 1048890184 /nfs/dbraw/zinc/89/01/84/1048890184.db2.gz DNHYZMMIATWXTE-AUUYWEPGSA-N 0 3 323.436 4.445 20 0 DIADHN COc1ncccc1CN1CCCC[C@H]1c1cccc(C)c1C ZINC001191215458 1048890464 /nfs/dbraw/zinc/89/04/64/1048890464.db2.gz UKISLYKYAUGIMB-IBGZPJMESA-N 0 3 310.441 4.434 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCCO2)c1ccccc1N(C)C ZINC000853240135 1048890549 /nfs/dbraw/zinc/89/05/49/1048890549.db2.gz GJBXWJDBYBHLDG-JKSUJKDBSA-N 0 3 324.468 4.489 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cnccc1C(F)(F)F ZINC000853243022 1048892866 /nfs/dbraw/zinc/89/28/66/1048892866.db2.gz VYYOKKHSPKSXLD-INIZCTEOSA-N 0 3 306.331 4.186 20 0 DIADHN CCOc1ccccc1CNC/C=C/c1ccc(F)cc1F ZINC001177442582 1048894623 /nfs/dbraw/zinc/89/46/23/1048894623.db2.gz WAGXXUVNUUBKSV-FNORWQNLSA-N 0 3 303.352 4.167 20 0 DIADHN COc1cccc2c(CNCc3ccc(F)c(F)c3)cccc12 ZINC001177487486 1048896929 /nfs/dbraw/zinc/89/69/29/1048896929.db2.gz AIFKUBDQADQDTB-UHFFFAOYSA-N 0 3 313.347 4.416 20 0 DIADHN CC[C@@H](COC)N[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC000484127551 1048901345 /nfs/dbraw/zinc/90/13/45/1048901345.db2.gz HKVVLNIGJMPKOQ-KOLCDFICSA-N 0 3 307.768 4.017 20 0 DIADHN COc1ccccc1CN1CC[C@H](Sc2ccc(F)cc2)C1 ZINC001203438782 1048901791 /nfs/dbraw/zinc/90/17/91/1048901791.db2.gz PJOGQMIAZOFRPP-KRWDZBQOSA-N 0 3 317.429 4.201 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2c2ccc(C)cc2)c1OC ZINC001203449408 1048904386 /nfs/dbraw/zinc/90/43/86/1048904386.db2.gz INOSCZMAHKTMCG-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000111398646 1048908018 /nfs/dbraw/zinc/90/80/18/1048908018.db2.gz OFJBKBRQWSESGR-GJZGRUSLSA-N 0 3 317.864 4.090 20 0 DIADHN CC[C@H](NC[C@H]1CCC[C@@H](CC)C1)c1nnc2n1CCCCC2 ZINC001177589997 1048919464 /nfs/dbraw/zinc/91/94/64/1048919464.db2.gz BOLNFEIFTJHDEZ-IKGGRYGDSA-N 0 3 318.509 4.262 20 0 DIADHN COc1ccc(OC)c(CN2CCC[C@H]2c2cccc(C)c2)c1 ZINC001203519010 1048919733 /nfs/dbraw/zinc/91/97/33/1048919733.db2.gz WYTCLRIJIIGGSQ-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2cc(O)cc(C(F)(F)F)c2)C1 ZINC001191344059 1048921877 /nfs/dbraw/zinc/92/18/77/1048921877.db2.gz VETJZDFYFKDCDP-GFCCVEGCSA-N 0 3 301.352 4.279 20 0 DIADHN COc1ccc2c(c1)nccc2-n1c(C)cc2c1cccc2N ZINC001203528329 1048922276 /nfs/dbraw/zinc/92/22/76/1048922276.db2.gz LSSIEBBNTDNJFC-UHFFFAOYSA-N 0 3 303.365 4.078 20 0 DIADHN CCC/C=C\C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001177616564 1048923449 /nfs/dbraw/zinc/92/34/49/1048923449.db2.gz VIKVIMOHUOVCJT-SREVYHEPSA-N 0 3 314.351 4.062 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cncc(Cl)c2)C12CCCCC2 ZINC001191319998 1048924875 /nfs/dbraw/zinc/92/48/75/1048924875.db2.gz UFSCLJRDEJHKSX-IRXDYDNUSA-N 0 3 322.880 4.295 20 0 DIADHN CC1(C)CN(Cc2cccc(OCC(F)(F)F)c2)C1(C)C ZINC001191382054 1048930575 /nfs/dbraw/zinc/93/05/75/1048930575.db2.gz QDAPFNVIBVHDAI-UHFFFAOYSA-N 0 3 301.352 4.248 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@H]1CCc2cc(C)ccc21 ZINC001177646885 1048931375 /nfs/dbraw/zinc/93/13/75/1048931375.db2.gz SWUDDHGGTNLZDG-NRFANRHFSA-N 0 3 317.436 4.266 20 0 DIADHN Fc1cc(CNCc2cc3c(s2)CCCC3)cc(F)c1F ZINC001177729775 1048931930 /nfs/dbraw/zinc/93/19/30/1048931930.db2.gz VYBJBNJMRTXREC-UHFFFAOYSA-N 0 3 311.372 4.334 20 0 DIADHN CC(C)(C)c1csc(CNCCCc2cccc(F)c2)n1 ZINC001177687824 1048932502 /nfs/dbraw/zinc/93/25/02/1048932502.db2.gz JLFXUKDSDVFZTK-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN CCn1cnc(Cl)c1CNCCc1ccc2ccccc2c1 ZINC001177702009 1048933863 /nfs/dbraw/zinc/93/38/63/1048933863.db2.gz CPRGXCBXRAIHRL-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN C[C@@H](NCc1ccc2[nH]ccc2c1)c1ccc(C(F)(F)F)nc1 ZINC001203566651 1048933948 /nfs/dbraw/zinc/93/39/48/1048933948.db2.gz XSFNOTFKDXQHSO-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN Fc1ccc2oc(CNCCc3ccc4ccccc4c3)nc2c1 ZINC001177702030 1048934365 /nfs/dbraw/zinc/93/43/65/1048934365.db2.gz DKGRZHWTTCWLOH-UHFFFAOYSA-N 0 3 320.367 4.452 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1cc2ccc(F)cc2[nH]c1=O ZINC001177774463 1048937255 /nfs/dbraw/zinc/93/72/55/1048937255.db2.gz KICLFSFSGIUROR-SFHVURJKSA-N 0 3 322.383 4.165 20 0 DIADHN C[C@@H](CNCc1csc(C(C)(C)C)n1)c1ccc(F)cc1 ZINC001177743171 1048938420 /nfs/dbraw/zinc/93/84/20/1048938420.db2.gz MJLASGFVHMZHGB-LBPRGKRZSA-N 0 3 306.450 4.473 20 0 DIADHN Cc1c(Cl)cccc1CNCc1ccc2c(c1)C[C@H](C)O2 ZINC001203598256 1048939526 /nfs/dbraw/zinc/93/95/26/1048939526.db2.gz QEJWSJWYMJKGQG-LBPRGKRZSA-N 0 3 301.817 4.262 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)NCc1c(F)ccc(F)c1Cl ZINC001177737241 1048939563 /nfs/dbraw/zinc/93/95/63/1048939563.db2.gz LEVJSSGIRVQXLF-SNVBAGLBSA-N 0 3 311.759 4.045 20 0 DIADHN CC[C@@H]1CN([C@H](CC(C)C)C(=O)OC)CC[C@@H]1c1ccccc1 ZINC001191453993 1048943065 /nfs/dbraw/zinc/94/30/65/1048943065.db2.gz KHXYSPLAXXDOPS-NZSAHSFTSA-N 0 3 317.473 4.090 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1cccc2ccccc21 ZINC001177805091 1048948384 /nfs/dbraw/zinc/94/83/84/1048948384.db2.gz YWZITZZWTGWYAZ-LJQANCHMSA-N 0 3 303.409 4.105 20 0 DIADHN CCOc1cc(F)cc(CNCCOC2CCCCCC2)c1 ZINC001177875575 1048948960 /nfs/dbraw/zinc/94/89/60/1048948960.db2.gz MKEKMHKWZFVNHO-UHFFFAOYSA-N 0 3 309.425 4.053 20 0 DIADHN CSc1ccccc1CN1CCCC[C@H]1c1cccn1C ZINC001191485190 1048952132 /nfs/dbraw/zinc/95/21/32/1048952132.db2.gz CXCAMKZMYHHLBG-KRWDZBQOSA-N 0 3 300.471 4.474 20 0 DIADHN CC[C@H]1CN(Cc2cccnc2OC)CC[C@@H]1c1ccccc1 ZINC001191455082 1048953790 /nfs/dbraw/zinc/95/37/90/1048953790.db2.gz UEBOBJDJDUKOBQ-LPHOPBHVSA-N 0 3 310.441 4.106 20 0 DIADHN Cc1c(CN[C@H](C)CC(=O)Nc2ccccc2)oc2ccccc12 ZINC001177850539 1048954422 /nfs/dbraw/zinc/95/44/22/1048954422.db2.gz RYOCLSYZTYCONB-CQSZACIVSA-N 0 3 322.408 4.248 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cccc(N)c2)cc1Cl ZINC000854216094 1048954727 /nfs/dbraw/zinc/95/47/27/1048954727.db2.gz UJZRIVXGGVIOHR-GFCCVEGCSA-N 0 3 304.821 4.090 20 0 DIADHN Clc1c(CNC2(C3CC3)CCC2)cnn1Cc1ccccc1 ZINC001203666023 1048955408 /nfs/dbraw/zinc/95/54/08/1048955408.db2.gz WCJYIIXCZFHVDZ-UHFFFAOYSA-N 0 3 315.848 4.007 20 0 DIADHN C[C@H](N[C@@H]1CN(C2CC2)C[C@@H]1C)c1cc(Cl)sc1Cl ZINC000484503152 1048956785 /nfs/dbraw/zinc/95/67/85/1048956785.db2.gz LKDAWQLUMLQVHB-HOTUBEGUSA-N 0 3 319.301 4.188 20 0 DIADHN CCC1(CC)CCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001191541174 1048960388 /nfs/dbraw/zinc/96/03/88/1048960388.db2.gz OALFGEXHHUGNFA-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN C(CN1CCOC2(C1)CCCCCC2)SC1CCCCC1 ZINC001191552375 1048962063 /nfs/dbraw/zinc/96/20/63/1048962063.db2.gz WACRWWYCHNFIJC-UHFFFAOYSA-N 0 3 311.535 4.478 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2ccc(Oc3ccccc3)o2)C1(C)C ZINC001177928147 1048964904 /nfs/dbraw/zinc/96/49/04/1048964904.db2.gz ARHINZHWMBHHIV-QFBILLFUSA-N 0 3 315.413 4.365 20 0 DIADHN CO[C@H](CC(C)C)CN1CCCC[C@H]1c1nc2ccccc2o1 ZINC001191610827 1048972700 /nfs/dbraw/zinc/97/27/00/1048972700.db2.gz RVZHOKSVJFZBJL-WBVHZDCISA-N 0 3 316.445 4.416 20 0 DIADHN Cc1ccccc1CN(CCO)Cc1ccc(Cl)c(Cl)c1 ZINC001203709881 1048972968 /nfs/dbraw/zinc/97/29/68/1048972968.db2.gz SURVSACGIJFEIK-UHFFFAOYSA-N 0 3 324.251 4.296 20 0 DIADHN CN1CCN(c2ccc(NCC3CCC(C)(C)CC3)cc2)CC1 ZINC001178016380 1048974360 /nfs/dbraw/zinc/97/43/60/1048974360.db2.gz VTKAWARJKUQPKU-UHFFFAOYSA-N 0 3 315.505 4.067 20 0 DIADHN Cc1nc(CCNCc2cccc(Cl)c2Cl)sc1C ZINC001177991052 1048978178 /nfs/dbraw/zinc/97/81/78/1048978178.db2.gz RKMAGIYXNQNJOW-UHFFFAOYSA-N 0 3 315.269 4.399 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(C)nc2)c(C)c1C ZINC001203723704 1048978756 /nfs/dbraw/zinc/97/87/56/1048978756.db2.gz NTEGMAPVEDEGRJ-LJQANCHMSA-N 0 3 310.441 4.353 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cccc(Cl)c1Br ZINC001203727019 1048982096 /nfs/dbraw/zinc/98/20/96/1048982096.db2.gz DRKCAAZXBGDWMN-GMTLJSCSSA-N 0 3 314.654 4.237 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCO1)c1cc(Cl)ccc1OC(F)F ZINC000484598665 1048984211 /nfs/dbraw/zinc/98/42/11/1048984211.db2.gz AYRGAXYJMGZYAV-ZUZCIYMTSA-N 0 3 319.779 4.161 20 0 DIADHN CC[C@H](NC(=O)C1(N(C)C)CCCC1)c1cccc2ccccc21 ZINC001178074251 1048986671 /nfs/dbraw/zinc/98/66/71/1048986671.db2.gz JAOGDQOMYOIFGA-IBGZPJMESA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cccc4cccc2c43)cc1O ZINC001203750071 1048989454 /nfs/dbraw/zinc/98/94/54/1048989454.db2.gz GLTUUMJHGNKWBM-GOSISDBHSA-N 0 3 319.404 4.331 20 0 DIADHN COc1cc(C)c(CN2CC[C@](CF)(C(F)(F)F)C2)cc1C ZINC001203756047 1048991120 /nfs/dbraw/zinc/99/11/20/1048991120.db2.gz RGDVDXYWMSOMCZ-OAHLLOKOSA-N 0 3 319.342 4.036 20 0 DIADHN COc1cc(C)c(CN2CCC(C(F)(F)F)CC2)cc1C ZINC001203756281 1048991473 /nfs/dbraw/zinc/99/14/73/1048991473.db2.gz SPWKVIOPBAWVJZ-UHFFFAOYSA-N 0 3 301.352 4.086 20 0 DIADHN COc1ccc(Br)cc1CN1CC[C@H]2CCC[C@H]2C1 ZINC001203777043 1048994969 /nfs/dbraw/zinc/99/49/69/1048994969.db2.gz MQEGCAJGOFXNAX-OLZOCXBDSA-N 0 3 324.262 4.080 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H](Nc3ccccc3)C2)cc1F ZINC001191741594 1048995819 /nfs/dbraw/zinc/99/58/19/1048995819.db2.gz ITLQVISKKAZUDY-QGZVFWFLSA-N 0 3 316.395 4.084 20 0 DIADHN COc1ccc(Br)cc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001203777040 1048996048 /nfs/dbraw/zinc/99/60/48/1048996048.db2.gz MQEGCAJGOFXNAX-CHWSQXEVSA-N 0 3 324.262 4.080 20 0 DIADHN Cc1cc(Oc2ccc(CNC[C@H](C)O)c(Cl)c2)ccc1F ZINC001178199822 1048997283 /nfs/dbraw/zinc/99/72/83/1048997283.db2.gz XMCJAPKKIPUVQH-LBPRGKRZSA-N 0 3 323.795 4.050 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2cccc(Cl)c2)CC1 ZINC001203801465 1048999539 /nfs/dbraw/zinc/99/95/39/1048999539.db2.gz SNMOKBMLZNRDFZ-UHFFFAOYSA-N 0 3 315.844 4.478 20 0 DIADHN c1coc(-c2nnc(CN[C@H]3CCCC[C@H]3c3ccccc3)o2)c1 ZINC000484646215 1049000116 /nfs/dbraw/zinc/00/01/16/1049000116.db2.gz ICEXWDCRVHVIJG-HOTGVXAUSA-N 0 3 323.396 4.146 20 0 DIADHN Cc1cnc(CN[C@H]2CCCC[C@H]2Oc2cccc(C)c2)s1 ZINC000484652819 1049002649 /nfs/dbraw/zinc/00/26/49/1049002649.db2.gz VWQBHKRKEPNDIP-DLBZAZTESA-N 0 3 316.470 4.240 20 0 DIADHN CC1(C)CC[C@@H](CN2CC=C(c3ccc(Cl)cc3)CC2)O1 ZINC001191822643 1049006035 /nfs/dbraw/zinc/00/60/35/1049006035.db2.gz XELJYRHWHGERTF-KRWDZBQOSA-N 0 3 305.849 4.387 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(C)cc(C)n2)C1(CC)CC ZINC001191821879 1049006592 /nfs/dbraw/zinc/00/65/92/1049006592.db2.gz RGJOPUXGVPIRPL-QZTJIDSGSA-N 0 3 304.478 4.114 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(F)cc(F)c2)C1(CC)CC ZINC001191820509 1049007592 /nfs/dbraw/zinc/00/75/92/1049007592.db2.gz DPPUXZDFYSDORB-IAGOWNOFSA-N 0 3 311.416 4.380 20 0 DIADHN CC(C)c1ccnc(CC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001178232660 1049008155 /nfs/dbraw/zinc/00/81/55/1049008155.db2.gz WSFRKACNXZTMRD-UHFFFAOYSA-N 0 3 322.433 4.162 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2ccc(OC(C)C)cc2)cn1 ZINC000194981602 1049008189 /nfs/dbraw/zinc/00/81/89/1049008189.db2.gz GVVXABSDHMDJIJ-HUUCEWRRSA-N 0 3 301.434 4.102 20 0 DIADHN COc1cccc(CN2CCC[C@@H](Oc3ccccc3C)C2)c1 ZINC001203822466 1049009468 /nfs/dbraw/zinc/00/94/68/1049009468.db2.gz RBMFQJMMIHTMTE-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CC1(C)CC[C@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)O1 ZINC001191846018 1049010315 /nfs/dbraw/zinc/01/03/15/1049010315.db2.gz RRAHFNCCPHCEEZ-MOPGFXCFSA-N 0 3 313.416 4.462 20 0 DIADHN CCn1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000194984399 1049012018 /nfs/dbraw/zinc/01/20/18/1049012018.db2.gz TZZLDIWMBYAIIT-GUYCJALGSA-N 0 3 303.425 4.480 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(C)cc1F ZINC001178305190 1049014052 /nfs/dbraw/zinc/01/40/52/1049014052.db2.gz UZSLQCLHTLMUIX-FZKQIMNGSA-N 0 3 305.368 4.139 20 0 DIADHN COc1cc(CN2CCC[C@H]2c2ccc(C)cc2)cc(OC)c1 ZINC001203859326 1049016442 /nfs/dbraw/zinc/01/64/42/1049016442.db2.gz LSVLPYOQPVHXCE-FQEVSTJZSA-N 0 3 311.425 4.349 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@H]3CC[C@H](C4CC4)O3)o2)cc1 ZINC001203870634 1049018321 /nfs/dbraw/zinc/01/83/21/1049018321.db2.gz BFMNDRNPTAIVLR-SJLPKXTDSA-N 0 3 315.388 4.133 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CNC/C=C\c1ccccc1 ZINC001178322016 1049021360 /nfs/dbraw/zinc/02/13/60/1049021360.db2.gz QHSDICBUIYTNNO-XFXZXTDPSA-N 0 3 317.436 4.292 20 0 DIADHN FC(F)(F)c1cccc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c1 ZINC001203885395 1049023575 /nfs/dbraw/zinc/02/35/75/1049023575.db2.gz KXAWVTWOJZXVHN-TXEJJXNPSA-N 0 3 305.290 4.183 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3ccsc3)cc2)CC1 ZINC000776803993 1049024623 /nfs/dbraw/zinc/02/46/23/1049024623.db2.gz CYSIDRFXFONRAT-HNNXBMFYSA-N 0 3 315.486 4.063 20 0 DIADHN CC[C@](C)(NC[C@@H]1CCCC2(CCC2)O1)c1nc(C)cs1 ZINC001191969335 1049024855 /nfs/dbraw/zinc/02/48/55/1049024855.db2.gz NCWFBJNVEKPVHD-HOCLYGCPSA-N 0 3 308.491 4.158 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3cccs3)cc2)CC1 ZINC000776803902 1049027072 /nfs/dbraw/zinc/02/70/72/1049027072.db2.gz APOILRHHWLZASW-HNNXBMFYSA-N 0 3 315.486 4.063 20 0 DIADHN CCCCOc1ccc(CN[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC001203906207 1049027723 /nfs/dbraw/zinc/02/77/23/1049027723.db2.gz DHVWXPFLCSRGBY-IBGZPJMESA-N 0 3 315.461 4.086 20 0 DIADHN Fc1ccc(CN2CCC(n3ncc4ccccc43)CC2)cc1 ZINC001203917442 1049030128 /nfs/dbraw/zinc/03/01/28/1049030128.db2.gz KGIJXLUVYPJCLM-UHFFFAOYSA-N 0 3 309.388 4.013 20 0 DIADHN CC1(C)CC[C@@H]1NCc1c(F)cc(Br)cc1F ZINC001178694626 1049077525 /nfs/dbraw/zinc/07/75/25/1049077525.db2.gz QEPHXCWCPYDGLS-LBPRGKRZSA-N 0 3 304.178 4.006 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@H]2c2ccccc2F)cc1 ZINC001203927751 1049035550 /nfs/dbraw/zinc/03/55/50/1049035550.db2.gz CFTIBIGFZPYOIS-IBGZPJMESA-N 0 3 312.388 4.121 20 0 DIADHN CCCN(Cc1ccc(CC)cc1)[C@@H](C)C(=O)Nc1ccccc1 ZINC001192116876 1049039807 /nfs/dbraw/zinc/03/98/07/1049039807.db2.gz UOCKJYVWYRHDBG-KRWDZBQOSA-N 0 3 324.468 4.488 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@@H](C)C2CCCCCC2)nn1 ZINC001178454382 1049040274 /nfs/dbraw/zinc/04/02/74/1049040274.db2.gz NWARSTNQKVGZFX-INIZCTEOSA-N 0 3 312.461 4.024 20 0 DIADHN COc1ccc(CN2CCC(Oc3cccc(C)c3)CC2)cc1 ZINC001203958226 1049043431 /nfs/dbraw/zinc/04/34/31/1049043431.db2.gz UQRPPZJJGYHPEO-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001192157225 1049043728 /nfs/dbraw/zinc/04/37/28/1049043728.db2.gz GHPUGHXVHMHSBO-KRWDZBQOSA-N 0 3 315.436 4.412 20 0 DIADHN COc1ccc(CN2CCC[C@@H](Oc3ccccc3C)C2)cc1 ZINC001203955311 1049044363 /nfs/dbraw/zinc/04/43/63/1049044363.db2.gz BZALWCKBUSETDL-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN COc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)cc1 ZINC001203958651 1049044562 /nfs/dbraw/zinc/04/45/62/1049044562.db2.gz HMLITVBBIIAQCJ-SFHVURJKSA-N 0 3 317.429 4.201 20 0 DIADHN c1ccc(COc2ccc(CN3CCC[C@@]4(CCO4)C3)cc2)cc1 ZINC001203963125 1049046128 /nfs/dbraw/zinc/04/61/28/1049046128.db2.gz ZXIOYWGIPBKJKI-OAQYLSRUSA-N 0 3 323.436 4.021 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2cccc(OC)c2)cc1 ZINC001203968337 1049047357 /nfs/dbraw/zinc/04/73/57/1049047357.db2.gz FMBVSAMCDLPMOY-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN C[C@H](NCc1cnc2c(cnn2C)c1)c1csc2ccccc12 ZINC001203975219 1049049847 /nfs/dbraw/zinc/04/98/47/1049049847.db2.gz ADTQBKDQTZKLAT-LBPRGKRZSA-N 0 3 322.437 4.034 20 0 DIADHN COc1ccc2ccccc2c1CN1CCc2cc(O)ccc2C1 ZINC001203989070 1049052983 /nfs/dbraw/zinc/05/29/83/1049052983.db2.gz OOWPMUPQAUKFBM-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN Cc1n[nH]c(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)c1C ZINC001178536793 1049053493 /nfs/dbraw/zinc/05/34/93/1049053493.db2.gz CNPKQLNUCUEQJI-FQEVSTJZSA-N 0 3 317.436 4.471 20 0 DIADHN COc1cccc(CN(C)Cc2c(OC)ccc3ccccc32)c1 ZINC001203989999 1049053824 /nfs/dbraw/zinc/05/38/24/1049053824.db2.gz PIIDGMACWMDUOY-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN COc1ccc2ccccc2c1CN1CCc2ccsc2C1 ZINC001203990320 1049054402 /nfs/dbraw/zinc/05/44/02/1049054402.db2.gz YOJQRKMGPKZSFQ-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CC1(C)CC(CNCc2c(F)cccc2OC(F)(F)F)C1 ZINC001178504641 1049055381 /nfs/dbraw/zinc/05/53/81/1049055381.db2.gz BWGZQJKOYQKAES-UHFFFAOYSA-N 0 3 305.315 4.250 20 0 DIADHN CCN(Cc1cccnc1)Cc1ccc(OC)c2ccccc12 ZINC001203993984 1049056362 /nfs/dbraw/zinc/05/63/62/1049056362.db2.gz PZQYXMKMDRFZQC-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C)nc2)c2ccccc12 ZINC001203996994 1049056668 /nfs/dbraw/zinc/05/66/68/1049056668.db2.gz LECUIUDLAUCIBV-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1cccc(Cl)c1F ZINC001192182802 1049057484 /nfs/dbraw/zinc/05/74/84/1049057484.db2.gz AJXJHOYOMRSBCU-GFCCVEGCSA-N 0 3 307.796 4.248 20 0 DIADHN O[C@@]1(C(F)(F)F)CCCCN(Cc2ccc3ccccc3c2)C1 ZINC001203997609 1049058126 /nfs/dbraw/zinc/05/81/26/1049058126.db2.gz BFIKPJSHXDMNEO-KRWDZBQOSA-N 0 3 323.358 4.119 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2csc(C(F)(F)F)n2)[C@@H]1C ZINC001178594733 1049060193 /nfs/dbraw/zinc/06/01/93/1049060193.db2.gz JTWZDKUEUGIUIT-GMTAPVOTSA-N 0 3 306.397 4.324 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccco2)o1 ZINC000173309073 1049060283 /nfs/dbraw/zinc/06/02/83/1049060283.db2.gz XCMWDMWDJAFWQZ-STQMWFEESA-N 0 3 303.406 4.024 20 0 DIADHN OCC[C@H](Cc1ccccc1)NCc1cc(Cl)ccc1Cl ZINC001178556587 1049062113 /nfs/dbraw/zinc/06/21/13/1049062113.db2.gz CUTMVJYEUHBGNL-MRXNPFEDSA-N 0 3 324.251 4.077 20 0 DIADHN c1c[nH]c(CN2CCC(Cc3cnc4ccccc4c3)CC2)c1 ZINC001204013193 1049064079 /nfs/dbraw/zinc/06/40/79/1049064079.db2.gz KJAHBMAUYCYEJT-UHFFFAOYSA-N 0 3 305.425 4.018 20 0 DIADHN C[C@@H](CC1CCCCC1)NCc1cccnc1Br ZINC001178630133 1049068465 /nfs/dbraw/zinc/06/84/65/1049068465.db2.gz ZKFVQJORKIWFSI-LBPRGKRZSA-N 0 3 311.267 4.293 20 0 DIADHN CCC[C@@H](NCc1ccc(F)cc1Cl)[C@H](O)c1ccccc1 ZINC001178634917 1049069104 /nfs/dbraw/zinc/06/91/04/1049069104.db2.gz YOWPSIXGNQSECW-QZTJIDSGSA-N 0 3 321.823 4.471 20 0 DIADHN COc1ccc(CNCCCOc2cccc(C)c2C)c(F)c1 ZINC001178624238 1049069521 /nfs/dbraw/zinc/06/95/21/1049069521.db2.gz KRHFDQLCMYEEIC-UHFFFAOYSA-N 0 3 317.404 4.010 20 0 DIADHN CCN1CCN([C@@H](C)c2ccc(Cl)c(Cl)c2)C[C@H]1C ZINC001192271330 1049071681 /nfs/dbraw/zinc/07/16/81/1049071681.db2.gz GKSBSZTWHCFRIS-NEPJUHHUSA-N 0 3 301.261 4.080 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CC[C@H]2C)cc1Cl ZINC001178668319 1049073054 /nfs/dbraw/zinc/07/30/54/1049073054.db2.gz UOSGRSYEBCRUHY-OQPBUACISA-N 0 3 302.643 4.299 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2ccc3c(c2)OCO3)C1 ZINC001204052937 1049073254 /nfs/dbraw/zinc/07/32/54/1049073254.db2.gz CAFPRKMWQSNXTF-AWEZNQCLSA-N 0 3 315.800 4.058 20 0 DIADHN CO[C@H]([C@@H](C)NCc1ccc(Cl)c(C)c1Cl)C1CC1 ZINC001178723951 1049073859 /nfs/dbraw/zinc/07/38/59/1049073859.db2.gz PWYGSVKTGIKICI-MEBBXXQBSA-N 0 3 302.245 4.205 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3ccc4c(c3)OCO4)C2)c1 ZINC001204054915 1049073944 /nfs/dbraw/zinc/07/39/44/1049073944.db2.gz PYNWXVLVCNXADQ-OAHLLOKOSA-N 0 3 315.800 4.058 20 0 DIADHN Fc1ccc(CC2(NCc3cccc(Cl)c3F)CC2)cc1 ZINC001178724148 1049074399 /nfs/dbraw/zinc/07/43/99/1049074399.db2.gz WZYYCTLNQYALPF-UHFFFAOYSA-N 0 3 307.771 4.483 20 0 DIADHN Cc1cccc(CN2CCC(Cc3ccc(F)cc3F)CC2)n1 ZINC001204069882 1049075490 /nfs/dbraw/zinc/07/54/90/1049075490.db2.gz DDFWVBRWGGHNOX-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCN2Cc2ccccn2)cc1 ZINC001204064881 1049075633 /nfs/dbraw/zinc/07/56/33/1049075633.db2.gz HHQYJKNBLPEVDJ-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2C[C@@H](O)c2ccco2)c(Cl)c1 ZINC000773765135 1049076176 /nfs/dbraw/zinc/07/61/76/1049076176.db2.gz GSCFZEMZIQVEJB-NVXWUHKLSA-N 0 3 319.832 4.330 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@H]1CCC1(C)C ZINC001178694000 1049077710 /nfs/dbraw/zinc/07/77/10/1049077710.db2.gz FCIAZEXWIFXYCR-HNNXBMFYSA-N 0 3 303.837 4.112 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)c(Cl)c1 ZINC000773765137 1049077754 /nfs/dbraw/zinc/07/77/54/1049077754.db2.gz GSCFZEMZIQVEJB-WBVHZDCISA-N 0 3 319.832 4.330 20 0 DIADHN Fc1ccc2[nH]cc(CCCNCc3nc4ccccc4o3)c2c1 ZINC001178710430 1049078867 /nfs/dbraw/zinc/07/88/67/1049078867.db2.gz ZBEFHFNPSBFJDS-UHFFFAOYSA-N 0 3 323.371 4.171 20 0 DIADHN CC[C@H](C)[C@H](CNCc1c(Cl)ccc2cccnc21)OC ZINC001202495607 1049083053 /nfs/dbraw/zinc/08/30/53/1049083053.db2.gz PCYMLUSDGHUHCG-LRDDRELGSA-N 0 3 306.837 4.039 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@H]1CC[C@H](C2CC2)O1 ZINC001192305666 1049085506 /nfs/dbraw/zinc/08/55/06/1049085506.db2.gz ZKORHKOPRJHIKT-OESLAJAZSA-N 0 3 303.421 4.091 20 0 DIADHN CCOc1ccc(CNC2(Cc3cccc(Cl)c3)CC2)o1 ZINC001178727974 1049087520 /nfs/dbraw/zinc/08/75/20/1049087520.db2.gz TVEVTSVDNFJCRS-UHFFFAOYSA-N 0 3 305.805 4.197 20 0 DIADHN Fc1cc(CNCc2ccc3cc[nH]c3c2)ccc1OC(F)F ZINC001178790846 1049089718 /nfs/dbraw/zinc/08/97/18/1049089718.db2.gz FLVZYBIOWZTENM-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN C[C@H](c1ccc(CN2CC[C@@H]3[C@H](C2)C3(F)F)cc1)C(F)(F)F ZINC001192390477 1049089879 /nfs/dbraw/zinc/08/98/79/1049089879.db2.gz LUDNAJQPGOHXOH-HONMWMINSA-N 0 3 319.317 4.439 20 0 DIADHN CCCCCCN(C)Cc1cc(Br)ccc1OC ZINC001192380135 1049090716 /nfs/dbraw/zinc/09/07/16/1049090716.db2.gz KMZFGUPBFGHVQL-UHFFFAOYSA-N 0 3 314.267 4.470 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@H]1CCCSC1 ZINC001178801205 1049091291 /nfs/dbraw/zinc/09/12/91/1049091291.db2.gz XIJOOYBXFLIORK-NSHDSACASA-N 0 3 319.301 4.045 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc(C)cc2OC)cc1 ZINC001204144758 1049092751 /nfs/dbraw/zinc/09/27/51/1049092751.db2.gz JDUBBSLCMCNPGH-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN c1cn(-c2ccccc2)nc1CNCC[C@H]1CCc2ccccc21 ZINC001178828301 1049096445 /nfs/dbraw/zinc/09/64/45/1049096445.db2.gz YPPJYRDSBHSNFJ-GOSISDBHSA-N 0 3 317.436 4.082 20 0 DIADHN CCCN(Cc1nc(-c2cccc(OC)c2)cs1)CC1CC1 ZINC001204155468 1049098807 /nfs/dbraw/zinc/09/88/07/1049098807.db2.gz WOPNJKFXHXNNPG-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@H]2CCC2CCCCC2)[nH]1 ZINC001204163921 1049099915 /nfs/dbraw/zinc/09/99/15/1049099915.db2.gz VUGHRNGOMMEJJC-KRWDZBQOSA-N 0 3 318.461 4.126 20 0 DIADHN CCc1nnc(C2CCN(Cc3ccc(C(C)C)cc3)CC2)o1 ZINC001204168932 1049101699 /nfs/dbraw/zinc/10/16/99/1049101699.db2.gz XVDRJIZIWRNQRY-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN CC(C)c1ccc(CN2CCC(Oc3cccnc3)CC2)cc1 ZINC001204166572 1049101898 /nfs/dbraw/zinc/10/18/98/1049101898.db2.gz XZSHIIQRDUQPIM-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CCC(C)(C)OCCNCc1cc(C)c(C)cc1OC(F)F ZINC001178881545 1049104670 /nfs/dbraw/zinc/10/46/70/1049104670.db2.gz IBOSEJMWRKDCTD-UHFFFAOYSA-N 0 3 315.404 4.200 20 0 DIADHN Cc1ccc(CCN2CC[C@H](Oc3ccccc3Cl)C2)cc1 ZINC001192443122 1049105692 /nfs/dbraw/zinc/10/56/92/1049105692.db2.gz IOEOMXVZDISBSG-KRWDZBQOSA-N 0 3 315.844 4.344 20 0 DIADHN COC(C)(C)CCN[C@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856777590 1049106196 /nfs/dbraw/zinc/10/61/96/1049106196.db2.gz CTSFDUJOHNXFSR-SECBINFHSA-N 0 3 324.774 4.220 20 0 DIADHN CN(C)Cc1ccc(-c2noc(CCCC(C)(C)C)n2)cc1 ZINC000904621137 1049106857 /nfs/dbraw/zinc/10/68/57/1049106857.db2.gz PLWXGHHZAPJNBG-UHFFFAOYSA-N 0 3 301.434 4.167 20 0 DIADHN CCOc1cc(CN(C)Cc2ccc(CC)cc2)ccc1OC ZINC001204189780 1049107021 /nfs/dbraw/zinc/10/70/21/1049107021.db2.gz BIYLPUSDJCLLGY-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN C[C@@H]1CC[C@@H](c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@@H]1C ZINC000904622568 1049108372 /nfs/dbraw/zinc/10/83/72/1049108372.db2.gz JDRKTCDDHSUFGC-JKIFEVAISA-N 0 3 313.445 4.338 20 0 DIADHN CCC[C@@](C)(CC)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904622226 1049108613 /nfs/dbraw/zinc/10/86/13/1049108613.db2.gz DTOJSXOEEWWWAK-GOSISDBHSA-N 0 3 301.434 4.266 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2cn(C3CC3)cn2)cc1 ZINC001204203758 1049108682 /nfs/dbraw/zinc/10/86/82/1049108682.db2.gz ASKXQOWMNGYANT-WOJBJXKFSA-N 0 3 309.457 4.295 20 0 DIADHN CCSc1ccc([C@@H](C)NC[C@](O)(CC)C(F)(F)F)cc1 ZINC001192556859 1049114781 /nfs/dbraw/zinc/11/47/81/1049114781.db2.gz HKMQICIXGLJYNI-BXUZGUMPSA-N 0 3 321.408 4.153 20 0 DIADHN COC1([C@@H](C)NCc2cc(C(F)(F)F)ccc2Cl)CCC1 ZINC001178962640 1049116250 /nfs/dbraw/zinc/11/62/50/1049116250.db2.gz NPHNETBNRDNZBO-SNVBAGLBSA-N 0 3 321.770 4.406 20 0 DIADHN Cc1cc(C)cc(Cc2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC000904627243 1049116362 /nfs/dbraw/zinc/11/63/62/1049116362.db2.gz SXWUHVJARPVGOD-UHFFFAOYSA-N 0 3 321.424 4.006 20 0 DIADHN COc1cccc([C@H]2CCCN(Cc3ccc(F)c(F)c3)C2)c1 ZINC001204227128 1049116756 /nfs/dbraw/zinc/11/67/56/1049116756.db2.gz YRVNBDDLZFUJID-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN CCCC[C@@](C)(CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001179025501 1049118614 /nfs/dbraw/zinc/11/86/14/1049118614.db2.gz YFYOYHYZWATSQA-AWEZNQCLSA-N 0 3 323.786 4.390 20 0 DIADHN CCCC[C@](C)(CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001179025502 1049119509 /nfs/dbraw/zinc/11/95/09/1049119509.db2.gz YFYOYHYZWATSQA-CQSZACIVSA-N 0 3 323.786 4.390 20 0 DIADHN COc1cccc(OC)c1CN1CCC[C@@H]1c1ccccc1C ZINC001204249072 1049121471 /nfs/dbraw/zinc/12/14/71/1049121471.db2.gz KNGPATCJUFFMML-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CC[C@@H](NCc1cc(-n2ccnc2)cs1)c1ccccc1F ZINC001179044354 1049122761 /nfs/dbraw/zinc/12/27/61/1049122761.db2.gz BRYYZLQTNQMQDK-QGZVFWFLSA-N 0 3 315.417 4.314 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccccc2)c(OC)c1C ZINC001204262795 1049122875 /nfs/dbraw/zinc/12/28/75/1049122875.db2.gz ZTMXSQUGODZTTK-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CCCn1nccc1CN1CCC[C@]2(CCCc3ccccc32)C1 ZINC001204278314 1049125152 /nfs/dbraw/zinc/12/51/52/1049125152.db2.gz ALHUVGWUEKHBON-OAQYLSRUSA-N 0 3 323.484 4.163 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1cc(F)c(OC)cc1OC ZINC001204288029 1049128077 /nfs/dbraw/zinc/12/80/77/1049128077.db2.gz QDODYWABCBPLEB-OAHLLOKOSA-N 0 3 309.425 4.388 20 0 DIADHN O[C@@H](CNC1(c2ccc(F)cc2F)CCCC1)c1ccccc1 ZINC000775540466 1049128548 /nfs/dbraw/zinc/12/85/48/1049128548.db2.gz VLNAXAGIAKVEEO-SFHVURJKSA-N 0 3 317.379 4.057 20 0 DIADHN O[C@H](CNC1(c2ccc(F)cc2F)CCCC1)c1ccccc1 ZINC000775540465 1049129008 /nfs/dbraw/zinc/12/90/08/1049129008.db2.gz VLNAXAGIAKVEEO-GOSISDBHSA-N 0 3 317.379 4.057 20 0 DIADHN CCOc1cc(CN[C@@H]2C[C@H]2CC(C)(C)C)c(F)cc1OC ZINC001179091959 1049129884 /nfs/dbraw/zinc/12/98/84/1049129884.db2.gz YUADGYHMOXAPMF-SWLSCSKDSA-N 0 3 309.425 4.147 20 0 DIADHN COC(=O)/C(C)=C\CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC001192689990 1049129840 /nfs/dbraw/zinc/12/98/40/1049129840.db2.gz NUAQFYQSWPGVMO-OVHOFWQVSA-N 0 3 309.837 4.136 20 0 DIADHN COC[C@@H](NC/C(C)=C\c1ccccc1)c1cccc(OC)c1 ZINC001179096495 1049130418 /nfs/dbraw/zinc/13/04/18/1049130418.db2.gz ZCXPEHQBLMENCK-VMTBQTDASA-N 0 3 311.425 4.076 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1csc(CCc2ccccc2)n1 ZINC001192689787 1049130816 /nfs/dbraw/zinc/13/08/16/1049130816.db2.gz LGNZGPDNCYKCIZ-CABCVRRESA-N 0 3 302.487 4.453 20 0 DIADHN COC(=O)/C(C)=C/CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC001192689992 1049130836 /nfs/dbraw/zinc/13/08/36/1049130836.db2.gz NUAQFYQSWPGVMO-WQMJKPAKSA-N 0 3 309.837 4.136 20 0 DIADHN COC(=O)/C(C)=C/CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC001192689989 1049130938 /nfs/dbraw/zinc/13/09/38/1049130938.db2.gz NUAQFYQSWPGVMO-LRFDDAOPSA-N 0 3 309.837 4.136 20 0 DIADHN CCC[C@@H](NC[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775542878 1049131136 /nfs/dbraw/zinc/13/11/36/1049131136.db2.gz PKEBGYNLXLUUSX-QZTJIDSGSA-N 0 3 323.358 4.268 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc3occc3c2)o1 ZINC001179105556 1049131583 /nfs/dbraw/zinc/13/15/83/1049131583.db2.gz JCETYWKBKJMXDX-UHFFFAOYSA-N 0 3 307.353 4.014 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@H]2COC[C@@H]2C1 ZINC001204294083 1049131705 /nfs/dbraw/zinc/13/17/05/1049131705.db2.gz LSIZZNFZAUNGMQ-OALUTQOASA-N 0 3 317.473 4.114 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC[C@H]1CC2(CO1)CCCCC2 ZINC001192663047 1049131933 /nfs/dbraw/zinc/13/19/33/1049131933.db2.gz SRDOSTZVOSCBBE-GOEBONIOSA-N 0 3 321.436 4.224 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@H]2OCC[C@@H]2C1 ZINC001204294525 1049132472 /nfs/dbraw/zinc/13/24/72/1049132472.db2.gz RVFONTIVJUISHR-UYAOXDASSA-N 0 3 317.473 4.257 20 0 DIADHN CCCCCCOc1ccccc1CN1CCOC[C@@H](C)C1 ZINC001204298545 1049132785 /nfs/dbraw/zinc/13/27/85/1049132785.db2.gz SZAFKBDCAVHDBB-KRWDZBQOSA-N 0 3 305.462 4.114 20 0 DIADHN Cc1c(Cl)ccc(CNCC2SCCS2)c1Cl ZINC001179254394 1049149335 /nfs/dbraw/zinc/14/93/35/1049149335.db2.gz ZUMLCNFCXKRNSE-UHFFFAOYSA-N 0 3 308.299 4.198 20 0 DIADHN CSCC1(CCNCc2nc(-c3cccs3)oc2C)CC1 ZINC001179116706 1049133614 /nfs/dbraw/zinc/13/36/14/1049133614.db2.gz OBWMNFXTNCBKAF-UHFFFAOYSA-N 0 3 322.499 4.334 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(SC)c(OC)c2)c1 ZINC001192747674 1049135000 /nfs/dbraw/zinc/13/50/00/1049135000.db2.gz WQONVQQDLMAJPS-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN COc1cc(Cl)c(CNC[C@H]2CCC[C@@H](C)O2)cc1Cl ZINC001179178422 1049135439 /nfs/dbraw/zinc/13/54/39/1049135439.db2.gz WBYLZOXJBXSMLZ-ZYHUDNBSSA-N 0 3 318.244 4.049 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc(N(C)C)n1)C(C)(C)C ZINC001192719311 1049136078 /nfs/dbraw/zinc/13/60/78/1049136078.db2.gz LDHVEMLQMZYVBX-LJQANCHMSA-N 0 3 311.473 4.333 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(Cc2cccc3c2OCC3)C1 ZINC001204305273 1049136647 /nfs/dbraw/zinc/13/66/47/1049136647.db2.gz AQFBZSMHSUZUCJ-LIRRHRJNSA-N 0 3 311.400 4.344 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(Cc2cccc3c2OCC3)C1 ZINC001204305269 1049136986 /nfs/dbraw/zinc/13/69/86/1049136986.db2.gz AQFBZSMHSUZUCJ-KUHUBIRLSA-N 0 3 311.400 4.344 20 0 DIADHN CCCOc1ccc(CN2CC[C@H](C(=O)c3ccccc3)C2)cc1 ZINC001204310285 1049138052 /nfs/dbraw/zinc/13/80/52/1049138052.db2.gz KXXWWHJNOKUVNX-IBGZPJMESA-N 0 3 323.436 4.180 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC[C@@H](C(F)(F)F)[C@H]1C ZINC000775548696 1049139860 /nfs/dbraw/zinc/13/98/60/1049139860.db2.gz VNGWMKGAHCPHMO-BXUZGUMPSA-N 0 3 324.346 4.411 20 0 DIADHN C[C@@H](NCc1ccc2scnc2c1)c1ccc2c(c1)CCCO2 ZINC001179205722 1049140916 /nfs/dbraw/zinc/14/09/16/1049140916.db2.gz WJSANVGESSYBHV-CYBMUJFWSA-N 0 3 324.449 4.472 20 0 DIADHN COc1cccc(CNCc2cccc(OC(C)(C)C)c2)c1C ZINC001179210944 1049141616 /nfs/dbraw/zinc/14/16/16/1049141616.db2.gz PWMWHQNIEPEXBZ-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN CCCCN(CC)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000484992168 1049143950 /nfs/dbraw/zinc/14/39/50/1049143950.db2.gz KPENVDRYNKDCJL-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN c1ccc(COc2ccccc2CN2CCC[C@@]23CCOC3)cc1 ZINC001204336311 1049145001 /nfs/dbraw/zinc/14/50/01/1049145001.db2.gz RHKWVNKEMOGJEO-NRFANRHFSA-N 0 3 323.436 4.021 20 0 DIADHN Cc1ccc(CN2CCC3(CC(=O)c4ccccc43)CC2)cc1C ZINC001204357598 1049148583 /nfs/dbraw/zinc/14/85/83/1049148583.db2.gz YVQTUENKSLBQSC-UHFFFAOYSA-N 0 3 319.448 4.424 20 0 DIADHN Clc1cc(CN[C@@H](C2CCC2)[C@@H]2CCCO2)c(Cl)s1 ZINC001179266090 1049149279 /nfs/dbraw/zinc/14/92/79/1049149279.db2.gz ISOMAHWYRCNRNW-AAEUAGOBSA-N 0 3 320.285 4.492 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(C)cc2OC)C1(C)C ZINC001204359749 1049149824 /nfs/dbraw/zinc/14/98/24/1049149824.db2.gz QOCPXMYLKVWSON-MOPGFXCFSA-N 0 3 319.489 4.419 20 0 DIADHN Fc1cc(CN[C@H]2C[C@H](Sc3ccccc3)C2)cnc1Cl ZINC001179284928 1049150708 /nfs/dbraw/zinc/15/07/08/1049150708.db2.gz DEDWDLHRDRBJLH-MQMHXKEQSA-N 0 3 322.836 4.287 20 0 DIADHN CC(C)(C)[C@@H]1CCN([C@@H]2CCc3cc(Cl)ccc32)C[C@@H]1O ZINC001192945551 1049150926 /nfs/dbraw/zinc/15/09/26/1049150926.db2.gz CPKYMALKDJZCEN-ZACQAIPSSA-N 0 3 307.865 4.056 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@@H]3c3cn(C)nc3C)oc21 ZINC001204401379 1049155964 /nfs/dbraw/zinc/15/59/64/1049155964.db2.gz JNBFYBMJJLVFBW-LJQANCHMSA-N 0 3 323.440 4.374 20 0 DIADHN Cc1cccc([C@H](NCc2cnn(CC3CCC3)c2)C(C)C)c1 ZINC001179325226 1049156190 /nfs/dbraw/zinc/15/61/90/1049156190.db2.gz PMWSCCBICOVBMD-HXUWFJFHSA-N 0 3 311.473 4.479 20 0 DIADHN Fc1cc(CNCc2ccc3cccnc3c2)ccc1Cl ZINC001179343450 1049159131 /nfs/dbraw/zinc/15/91/31/1049159131.db2.gz IEGINFKTKUXOHT-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CCC(CC)(CNCc1ccc(-c2cc[nH]n2)o1)C(F)(F)F ZINC001179397316 1049159246 /nfs/dbraw/zinc/15/92/46/1049159246.db2.gz UFFUKYKVIJXSBV-UHFFFAOYSA-N 0 3 315.339 4.128 20 0 DIADHN FC(F)Oc1ccc(CN2CCC3(CC(F)(F)C3)CC2)cc1 ZINC001204418576 1049159842 /nfs/dbraw/zinc/15/98/42/1049159842.db2.gz VPZDDWDATVHUGH-UHFFFAOYSA-N 0 3 317.326 4.299 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001193003202 1049160613 /nfs/dbraw/zinc/16/06/13/1049160613.db2.gz PNCMPEUMUYBIBK-UGSOOPFHSA-N 0 3 321.823 4.076 20 0 DIADHN C[C@@H]1CN(CCCCc2cccc(C(F)(F)F)c2)[C@H](C)CO1 ZINC001204430352 1049161819 /nfs/dbraw/zinc/16/18/19/1049161819.db2.gz HWSLMAGPLHENHJ-ZIAGYGMSSA-N 0 3 315.379 4.137 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCCC(F)(F)CC2)c1 ZINC001204429482 1049162476 /nfs/dbraw/zinc/16/24/76/1049162476.db2.gz VBTBJPFMRRZXJL-UHFFFAOYSA-N 0 3 309.278 4.206 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@@H]2CCC3(CCC3)O2)o1 ZINC001179437629 1049164453 /nfs/dbraw/zinc/16/44/53/1049164453.db2.gz LIYAWBVGVUAPKW-HNNXBMFYSA-N 0 3 315.388 4.277 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](C(=O)c3ccccc3)C2)cc1 ZINC001204457144 1049165883 /nfs/dbraw/zinc/16/58/83/1049165883.db2.gz BPYHEONZPQMLNK-IBGZPJMESA-N 0 3 323.436 4.179 20 0 DIADHN Cc1ccc(N2CC[C@H](N[C@H](C)c3cc(C)ccc3C)C2=O)cc1 ZINC001193012394 1049166492 /nfs/dbraw/zinc/16/64/92/1049166492.db2.gz QLCRBWMDENTCKG-XLIONFOSSA-N 0 3 322.452 4.068 20 0 DIADHN COc1ccc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c(Cl)c1 ZINC001179426222 1049167617 /nfs/dbraw/zinc/16/76/17/1049167617.db2.gz UVVSDZMAZRDBRT-TZMCWYRMSA-N 0 3 319.754 4.335 20 0 DIADHN CC(C)c1ccccc1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC001193021022 1049167915 /nfs/dbraw/zinc/16/79/15/1049167915.db2.gz GENJKFLCXTXQAC-OAQYLSRUSA-N 0 3 308.469 4.299 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001204465012 1049167907 /nfs/dbraw/zinc/16/79/07/1049167907.db2.gz AORNSIYGQBYXKS-PHIMTYICSA-N 0 3 323.280 4.322 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1CN1CC[C@@H](c2ccncc2)C1 ZINC001204472946 1049170185 /nfs/dbraw/zinc/17/01/85/1049170185.db2.gz SABFOQQDHDUFNU-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN Cc1cccc(Cl)c1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000857537049 1049171711 /nfs/dbraw/zinc/17/17/11/1049171711.db2.gz HDJJBXSQIVZMTB-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1ccc3ccccc3n1)CC2 ZINC001204500134 1049176884 /nfs/dbraw/zinc/17/68/84/1049176884.db2.gz PSZJMPBMHSFRPC-UHFFFAOYSA-N 0 3 302.368 4.246 20 0 DIADHN Cc1c(F)cccc1CN1CCC(CC(=O)OC(C)(C)C)CC1 ZINC001204510274 1049178238 /nfs/dbraw/zinc/17/82/38/1049178238.db2.gz KQCWUMPHOTXZHZ-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN Cc1c(F)cccc1CN1CCC(Sc2ccncc2)CC1 ZINC001204511605 1049178871 /nfs/dbraw/zinc/17/88/71/1049178871.db2.gz VJWZNMPVJRQNHH-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN CC(C)n1cncc1CN1CCC[C@H]1Cc1ccccc1Cl ZINC001204516777 1049180014 /nfs/dbraw/zinc/18/00/14/1049180014.db2.gz XKLMMHJNPNVLOU-INIZCTEOSA-N 0 3 317.864 4.325 20 0 DIADHN CC(C)CCCCN1CCN(C/C=C\c2ccccc2)CC1 ZINC001204526041 1049182444 /nfs/dbraw/zinc/18/24/44/1049182444.db2.gz XRNSYPADXKVSRC-WQLSENKSSA-N 0 3 300.490 4.144 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2oc3ccccc3c2Br)C1 ZINC001179598493 1049182860 /nfs/dbraw/zinc/18/28/60/1049182860.db2.gz PGUUDNBHTLHVIN-GHMZBOCLSA-N 0 3 308.219 4.474 20 0 DIADHN Cc1cc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)oc1C ZINC001204542066 1049184905 /nfs/dbraw/zinc/18/49/05/1049184905.db2.gz RLFCDRVQZROGNS-INIZCTEOSA-N 0 3 311.425 4.479 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2cc(C)c(C)o2)C1 ZINC001204540377 1049185037 /nfs/dbraw/zinc/18/50/37/1049185037.db2.gz WSFRWQOVXFIMBK-MRXNPFEDSA-N 0 3 317.454 4.272 20 0 DIADHN COCCN(Cc1nc2ccccc2s1)C[C@@H]1CC=CCC1 ZINC000485133294 1049189011 /nfs/dbraw/zinc/18/90/11/1049189011.db2.gz RGRQTHLKPOOFHQ-OAHLLOKOSA-N 0 3 316.470 4.101 20 0 DIADHN C[C@H]1Cc2cc(CN3CCC[C@H]3c3ccc(O)cc3)ccc2O1 ZINC001204565912 1049190298 /nfs/dbraw/zinc/19/02/98/1049190298.db2.gz RBIHGGODFIQGCV-LIRRHRJNSA-N 0 3 309.409 4.053 20 0 DIADHN CCOc1cncc(CN[C@H]2CCc3cccc4cccc2c43)c1 ZINC001179636764 1049190293 /nfs/dbraw/zinc/19/02/93/1049190293.db2.gz LLJQLQSSALEIKH-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN CC(C)(/C=C\Cl)NCc1cccc(OCc2ccccn2)c1 ZINC001179627341 1049190473 /nfs/dbraw/zinc/19/04/73/1049190473.db2.gz XHSWWABQIFNSFG-KTKRTIGZSA-N 0 3 316.832 4.281 20 0 DIADHN COc1ccc(CN2[C@@H](C)Cc3cc(OC)ccc3[C@@H]2C)cc1 ZINC001193272445 1049192583 /nfs/dbraw/zinc/19/25/83/1049192583.db2.gz MTXANPCNOKTZJF-GJZGRUSLSA-N 0 3 311.425 4.212 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC1C[C@H]2CC[C@@H](C1)S2 ZINC001179695663 1049194010 /nfs/dbraw/zinc/19/40/10/1049194010.db2.gz KJAAUSIXBJUVGD-YHWZYXNKSA-N 0 3 320.483 4.228 20 0 DIADHN Cc1nc[nH]c1CN1CCC(c2cccc(C(F)(F)F)c2)CC1 ZINC001204588346 1049194591 /nfs/dbraw/zinc/19/45/91/1049194591.db2.gz HLSSOGJBSRIITQ-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CCC[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC(C)C ZINC001193281737 1049194656 /nfs/dbraw/zinc/19/46/56/1049194656.db2.gz GRKSUBXMCFKKJD-INIZCTEOSA-N 0 3 312.498 4.144 20 0 DIADHN COc1ccc(SCCN2CCC[C@@H]2c2ccccn2)cc1 ZINC001204584770 1049194900 /nfs/dbraw/zinc/19/49/00/1049194900.db2.gz HQBADONPXBCSIZ-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCCc3ccccc3C2)cs1 ZINC001179705689 1049197336 /nfs/dbraw/zinc/19/73/36/1049197336.db2.gz BRONDFTXYCYBLE-INIZCTEOSA-N 0 3 314.498 4.478 20 0 DIADHN C[C@H](CN1C[C@@H](C)OC[C@@H]1c1ccccc1)c1ccc(F)cc1 ZINC001204615747 1049201110 /nfs/dbraw/zinc/20/11/10/1049201110.db2.gz KWZZVWOODSCMJE-JXXFODFXSA-N 0 3 313.416 4.391 20 0 DIADHN Cc1cc(C)cc(CCN2C[C@@H](C)OC[C@H]2c2ccccc2)c1 ZINC001204615261 1049201986 /nfs/dbraw/zinc/20/19/86/1049201986.db2.gz GXGBBNZURTVGLQ-NQIIRXRSSA-N 0 3 309.453 4.308 20 0 DIADHN COc1ccc(Oc2ccc(CNC/C=C/Cl)cc2)cc1 ZINC001179747347 1049202953 /nfs/dbraw/zinc/20/29/53/1049202953.db2.gz KZRMPNREVSOGPJ-BIIKFXOESA-N 0 3 303.789 4.330 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cccc3c2OCCO3)cc1C ZINC001179752540 1049203238 /nfs/dbraw/zinc/20/32/38/1049203238.db2.gz VGBWFAKQTGTARV-ALCCZGGFSA-N 0 3 323.436 4.186 20 0 DIADHN Cc1ccc(CN(C)C[C@@H](O)c2ccc(F)cc2Cl)s1 ZINC000775592503 1049203956 /nfs/dbraw/zinc/20/39/56/1049203956.db2.gz UYIMLYHVTWCYPP-OAHLLOKOSA-N 0 3 313.825 4.014 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1N[C@@H]1CCCc2c[nH]nc21 ZINC000857864664 1049204349 /nfs/dbraw/zinc/20/43/49/1049204349.db2.gz INQVUJZBJIXPQM-HQRMLTQVSA-N 0 3 309.457 4.336 20 0 DIADHN FC1(c2cccc(Cl)c2)CC(N[C@H]2CCCc3c[nH]nc32)C1 ZINC000857865548 1049205568 /nfs/dbraw/zinc/20/55/68/1049205568.db2.gz NCYCULFOBIYGMD-CKDBGZEDSA-N 0 3 319.811 4.058 20 0 DIADHN Oc1ccc(Br)c(CNC2(C3CCCCC3)CC2)c1 ZINC001179758394 1049206134 /nfs/dbraw/zinc/20/61/34/1049206134.db2.gz GWHTWBUSGOYSKX-UHFFFAOYSA-N 0 3 324.262 4.357 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2ncccc2Br)C1 ZINC001179793050 1049207349 /nfs/dbraw/zinc/20/73/49/1049207349.db2.gz FGTKPGQJISQQJY-OLZOCXBDSA-N 0 3 311.267 4.149 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000485210784 1049207931 /nfs/dbraw/zinc/20/79/31/1049207931.db2.gz ZIZDDDMHPJXYAE-LJQANCHMSA-N 0 3 310.441 4.373 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1ccn(-c2ccc(Cl)cc2)n1 ZINC001193413521 1049208653 /nfs/dbraw/zinc/20/86/53/1049208653.db2.gz OEASVMSQSVBHDV-INIZCTEOSA-N 0 3 303.837 4.194 20 0 DIADHN CC1(C)CCC[C@H]1NCc1ccn(-c2ccc(Cl)cc2)n1 ZINC001193413529 1049209969 /nfs/dbraw/zinc/20/99/69/1049209969.db2.gz OEASVMSQSVBHDV-MRXNPFEDSA-N 0 3 303.837 4.194 20 0 DIADHN Cc1ccccc1[C@@H]1CN(C[C@H]2CCc3ccccc3C2)CCO1 ZINC001204648263 1049210644 /nfs/dbraw/zinc/21/06/44/1049210644.db2.gz PQJDCTSFNSMWLB-AVRDEDQJSA-N 0 3 321.464 4.173 20 0 DIADHN Cc1ccccc1[C@H]1CN(C[C@@H]2CCc3ccccc3C2)CCO1 ZINC001204648268 1049211301 /nfs/dbraw/zinc/21/13/01/1049211301.db2.gz PQJDCTSFNSMWLB-XMSQKQJNSA-N 0 3 321.464 4.173 20 0 DIADHN Cc1ccccc1CO[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001204660416 1049213149 /nfs/dbraw/zinc/21/31/49/1049213149.db2.gz HYROROUMVIVODP-MJGOQNOKSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1cc(CN2CCc3ccccc3[C@@H]2C)c2c(n1)CCCC2 ZINC001179855241 1049215127 /nfs/dbraw/zinc/21/51/27/1049215127.db2.gz PJESUFSKCQLUDF-INIZCTEOSA-N 0 3 306.453 4.388 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccccc2OCC(C)C)C1(C)C ZINC001179897871 1049217959 /nfs/dbraw/zinc/21/79/59/1049217959.db2.gz AKKPDMJABBPFSI-MOPGFXCFSA-N 0 3 319.489 4.357 20 0 DIADHN CN(Cc1ccc(F)c2ccccc12)[C@H]1CCCc2c1cnn2C ZINC001204674682 1049220134 /nfs/dbraw/zinc/22/01/34/1049220134.db2.gz WFPKAPUSGDBUGA-IBGZPJMESA-N 0 3 323.415 4.222 20 0 DIADHN CN(Cc1ccc(F)c2ccccc12)[C@@H]1CCCc2c1cnn2C ZINC001204674683 1049220715 /nfs/dbraw/zinc/22/07/15/1049220715.db2.gz WFPKAPUSGDBUGA-LJQANCHMSA-N 0 3 323.415 4.222 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](NCc1ccnc(F)c1)c1ccc(Cl)cc1 ZINC000858146302 1049221538 /nfs/dbraw/zinc/22/15/38/1049221538.db2.gz CCEOBFKFOFVGJC-XQAQDONZSA-N 0 3 304.796 4.361 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CCCC2(CCCC2)C1 ZINC001193516525 1049221704 /nfs/dbraw/zinc/22/17/04/1049221704.db2.gz COOZWFVJGSYZER-SFHVURJKSA-N 0 3 314.473 4.368 20 0 DIADHN COc1cc2ccccc2cc1CN1CCS[C@H](C(C)C)C1 ZINC001204681386 1049222799 /nfs/dbraw/zinc/22/27/99/1049222799.db2.gz KADFXLXAWLUMBJ-IBGZPJMESA-N 0 3 315.482 4.422 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCc1ccc(C)cc1 ZINC001179863640 1049223289 /nfs/dbraw/zinc/22/32/89/1049223289.db2.gz QJRWBWACNUTMDK-UHFFFAOYSA-N 0 3 303.833 4.332 20 0 DIADHN c1coc(C2CCN(Cc3cnc(-c4ccccc4)[nH]3)CC2)c1 ZINC000668462591 1049223453 /nfs/dbraw/zinc/22/34/53/1049223453.db2.gz PUGWWBZJGNNLKX-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cc(OC)c(OC)cc1F ZINC001204689829 1049225712 /nfs/dbraw/zinc/22/57/12/1049225712.db2.gz IGYQZFWPZOUSIB-CJNGLKHVSA-N 0 3 309.425 4.244 20 0 DIADHN CO[C@@H](CN[C@@H](CC1CCC1)c1ccccc1)C(F)(F)F ZINC000777006859 1049226725 /nfs/dbraw/zinc/22/67/25/1049226725.db2.gz KAMFYURVVDTSNL-GJZGRUSLSA-N 0 3 301.352 4.085 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3ccccc3)s2)[C@H]1C ZINC001193593173 1049229640 /nfs/dbraw/zinc/22/96/40/1049229640.db2.gz JTQMVBOSDWCDLU-VNHYZAJKSA-N 0 3 301.459 4.119 20 0 DIADHN c1ccc([C@@H](NCc2ccc3cccnc3c2)C2CCC2)nc1 ZINC000858239148 1049230305 /nfs/dbraw/zinc/23/03/05/1049230305.db2.gz OXMFMUATLWSIIB-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2ccccc2C(C)C)cc1 ZINC000858251970 1049230876 /nfs/dbraw/zinc/23/08/76/1049230876.db2.gz YHXBEECEFLJUTM-UHFFFAOYSA-N 0 3 310.441 4.083 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cc(Cl)ccc1Cl)C1CC1 ZINC001193607425 1049231286 /nfs/dbraw/zinc/23/12/86/1049231286.db2.gz UCIQFCLZZMWKAP-MEBBXXQBSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(CNCc2ccc(F)c(CN(C)C)c2)cc1Cl ZINC000195443434 1049231515 /nfs/dbraw/zinc/23/15/15/1049231515.db2.gz UJLQUYIYOBJMNQ-UHFFFAOYSA-N 0 3 320.839 4.139 20 0 DIADHN CC(C)(C)[NH2+]Cc1ccc(Nc2cc(F)c([O-])c(F)c2)cc1 ZINC001204700585 1049232727 /nfs/dbraw/zinc/23/27/27/1049232727.db2.gz VOIWJYJKKUIGLM-UHFFFAOYSA-N 0 3 306.356 4.302 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1c(Cl)nc2ccccn21 ZINC000858270742 1049233043 /nfs/dbraw/zinc/23/30/43/1049233043.db2.gz LIGCXHBHEAXHNA-UHFFFAOYSA-N 0 3 315.795 4.149 20 0 DIADHN Cc1ccccc1OCCCN(C)Cc1cccn1C(F)F ZINC001204714518 1049237091 /nfs/dbraw/zinc/23/70/91/1049237091.db2.gz SQHCREUFHOTLOJ-UHFFFAOYSA-N 0 3 308.372 4.093 20 0 DIADHN Cn1cc(CN2CCCC3(CCCC3)CC2)c(-c2cccnc2)n1 ZINC000668478755 1049238604 /nfs/dbraw/zinc/23/86/04/1049238604.db2.gz QKJWFFKUHIPLNL-UHFFFAOYSA-N 0 3 324.472 4.028 20 0 DIADHN CC(C)CC[C@H](O)CN[C@H](C)c1cc(Cl)ccc1Cl ZINC001193604199 1049239751 /nfs/dbraw/zinc/23/97/51/1049239751.db2.gz DJCPMKPHOGMXNH-YPMHNXCESA-N 0 3 304.261 4.441 20 0 DIADHN CN(Cc1ccc(-c2cccc(Cl)c2)o1)[C@H]1CCCOC1 ZINC001204717179 1049240196 /nfs/dbraw/zinc/24/01/96/1049240196.db2.gz AXRBAGAJRMCDLK-HNNXBMFYSA-N 0 3 305.805 4.211 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CN[C@H](C)c1ccns1 ZINC000858318216 1049241118 /nfs/dbraw/zinc/24/11/18/1049241118.db2.gz MMFHMLJUTLTUQT-LMMOQWNQSA-N 0 3 324.396 4.499 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CC[C@@H]3CCO[C@H]3C2)o1 ZINC001204724348 1049242053 /nfs/dbraw/zinc/24/20/53/1049242053.db2.gz IFUKKEHIVHMIGG-ACJLOTCBSA-N 0 3 317.816 4.211 20 0 DIADHN CN(c1ccccc1)C1CCN(C/C=C\c2cccc(F)c2)CC1 ZINC001179975636 1049243676 /nfs/dbraw/zinc/24/36/76/1049243676.db2.gz ZJMZPXUDYLYMDD-VURMDHGXSA-N 0 3 324.443 4.440 20 0 DIADHN CC[C@H]1CN(C)c2ccccc2CN1Cc1cc2cnccc2o1 ZINC001179975356 1049244018 /nfs/dbraw/zinc/24/40/18/1049244018.db2.gz WPAQQBFVVZTJIA-KRWDZBQOSA-N 0 3 321.424 4.058 20 0 DIADHN Cc1ccc2sc(CN[C@H]3CCCO[C@@H]3CC(C)C)nc2c1 ZINC001193693670 1049245605 /nfs/dbraw/zinc/24/56/05/1049245605.db2.gz GUNDXBHKNQWNKQ-GOEBONIOSA-N 0 3 318.486 4.288 20 0 DIADHN Fc1ccc(-c2ccc(CN[C@@H]3COc4ccccc4C3)o2)cc1 ZINC000195719259 1049246373 /nfs/dbraw/zinc/24/63/73/1049246373.db2.gz IJPOSUVPFRGGIX-KRWDZBQOSA-N 0 3 323.367 4.179 20 0 DIADHN Clc1cccc(CCN2CCC(Nc3ccccc3)CC2)c1 ZINC001204743333 1049248099 /nfs/dbraw/zinc/24/80/99/1049248099.db2.gz CQXMUIRHWGPSIB-UHFFFAOYSA-N 0 3 314.860 4.459 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCCN(c3ccc(C)cc3)C2)n1 ZINC000858366521 1049248362 /nfs/dbraw/zinc/24/83/62/1049248362.db2.gz GGLLSHUDXMALDH-HOTGVXAUSA-N 0 3 315.486 4.080 20 0 DIADHN C[C@H](c1ccccc1)N(CCO)Cc1cc(F)cc(Cl)c1 ZINC001204756765 1049254353 /nfs/dbraw/zinc/25/43/53/1049254353.db2.gz OOQZQLKVVIYRPC-CYBMUJFWSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1ccc(Br)c(F)c1CN(C)CC(C)(C)C ZINC001179966970 1049256131 /nfs/dbraw/zinc/25/61/31/1049256131.db2.gz VYAIEBAUZCXSSZ-UHFFFAOYSA-N 0 3 302.231 4.375 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCC[C@H](OCC(F)(F)F)C2)cc1 ZINC001180011904 1049256699 /nfs/dbraw/zinc/25/66/99/1049256699.db2.gz UAIYPSFTNKEGHO-HOCLYGCPSA-N 0 3 315.379 4.142 20 0 DIADHN Cc1cc(CN2CCC(c3cccc(Cl)c3)CC2)sn1 ZINC001193760915 1049259824 /nfs/dbraw/zinc/25/98/24/1049259824.db2.gz CTKAUBKPVYJDSL-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@@H]1CCc1ccccc1 ZINC001180043724 1049264311 /nfs/dbraw/zinc/26/43/11/1049264311.db2.gz XJQZNCMUTQGTBY-OAHLLOKOSA-N 0 3 317.864 4.223 20 0 DIADHN c1nc2cc(CN3CCS[C@H]4CCCC[C@H]43)ccc2s1 ZINC001180051413 1049267190 /nfs/dbraw/zinc/26/71/90/1049267190.db2.gz GPEMTERDDMXGLL-ZBFHGGJFSA-N 0 3 304.484 4.156 20 0 DIADHN CCCN(Cc1c2c(nn1C)CCCC2)[C@@H]1CCc2ccccc21 ZINC001204802975 1049269089 /nfs/dbraw/zinc/26/90/89/1049269089.db2.gz HWYRCNZEQCBVJS-HXUWFJFHSA-N 0 3 323.484 4.198 20 0 DIADHN COCCN(CCc1ccc(C(C)C)cc1)Cc1ccc(C)o1 ZINC001180064794 1049271324 /nfs/dbraw/zinc/27/13/24/1049271324.db2.gz VWQNWMGYZIDEDK-UHFFFAOYSA-N 0 3 315.457 4.403 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1[C@@H]1CCCc2ccc(F)cc21 ZINC000470940937 1049275244 /nfs/dbraw/zinc/27/52/44/1049275244.db2.gz RKGFTGHVHBVBGD-VCTAVGKDSA-N 0 3 301.327 4.476 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc(-c3ccccn3)n[nH]2)c1 ZINC001180129484 1049281297 /nfs/dbraw/zinc/28/12/97/1049281297.db2.gz UFEUIUQNTSUYLO-FQEVSTJZSA-N 0 3 318.424 4.117 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1CCCc3n[nH]cc31)CCC2 ZINC000858601548 1049282729 /nfs/dbraw/zinc/28/27/29/1049282729.db2.gz WRAKQLVROLPOMX-CVEARBPZSA-N 0 3 301.821 4.108 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1cccc(OC(F)F)c1 ZINC001204842642 1049282793 /nfs/dbraw/zinc/28/27/93/1049282793.db2.gz DXVABSJVGYBJKC-UHFFFAOYSA-N 0 3 309.331 4.449 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2ccc(F)cc2C)C2CC2)cn1 ZINC000858604681 1049284402 /nfs/dbraw/zinc/28/44/02/1049284402.db2.gz OCRGKRUPBRZGKO-DJJJIMSYSA-N 0 3 314.404 4.340 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1cccc(OC(F)F)c1)CC2 ZINC001204850157 1049285298 /nfs/dbraw/zinc/28/52/98/1049285298.db2.gz GIOFJNPECPYPGM-UHFFFAOYSA-N 0 3 323.383 4.013 20 0 DIADHN CCC[C@@]1(C(=O)OCC)CCCN1Cc1ccc(C)cc1C ZINC001193965390 1049285458 /nfs/dbraw/zinc/28/54/58/1049285458.db2.gz YHWKWUNTIGUAFC-IBGZPJMESA-N 0 3 303.446 4.001 20 0 DIADHN Clc1cccc(C2=CCN(C[C@@H]3C[C@H]4CCC[C@H]4O3)CC2)c1 ZINC001193990643 1049285752 /nfs/dbraw/zinc/28/57/52/1049285752.db2.gz PBAQAKQCKOBYGG-NZSAHSFTSA-N 0 3 317.860 4.387 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc2c(s1)CCCC2 ZINC001180097688 1049287095 /nfs/dbraw/zinc/28/70/95/1049287095.db2.gz FWXVYNLFALAXPW-MRXNPFEDSA-N 0 3 314.498 4.475 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(O[C@@H](C)COC)cc1 ZINC001180107536 1049287306 /nfs/dbraw/zinc/28/73/06/1049287306.db2.gz FGALTCUCEAPSAR-HNNXBMFYSA-N 0 3 319.470 4.184 20 0 DIADHN Fc1cc(CN2C[C@H]3[C@H](CCC3(F)F)C2)ccc1C(F)(F)F ZINC001204862852 1049288933 /nfs/dbraw/zinc/28/89/33/1049288933.db2.gz RFSHSPBXRJEEQM-PWSUYJOCSA-N 0 3 323.280 4.322 20 0 DIADHN CN(CCN(C)c1ccccc1)Cc1cc(F)cc(Cl)c1 ZINC001204867194 1049290430 /nfs/dbraw/zinc/29/04/30/1049290430.db2.gz ULAMCTRVIPPQRT-UHFFFAOYSA-N 0 3 306.812 4.047 20 0 DIADHN CC[C@@H](NC1(c2cccc(F)c2)CC1)c1ccc2c(c1)CCO2 ZINC000777058553 1049292593 /nfs/dbraw/zinc/29/25/93/1049292593.db2.gz GLWFGGFULYMARY-GOSISDBHSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1ccc(OC2CCCC2)c(-c2cnc([C@H]3CCCN3)nc2)c1 ZINC000906159692 1049293257 /nfs/dbraw/zinc/29/32/57/1049293257.db2.gz SFJDYXAVVOFXJG-GOSISDBHSA-N 0 3 323.440 4.198 20 0 DIADHN CC[C@H](NC1(c2cccc(F)c2)CC1)c1ccc2c(c1)CCO2 ZINC000777058582 1049293564 /nfs/dbraw/zinc/29/35/64/1049293564.db2.gz GLWFGGFULYMARY-SFHVURJKSA-N 0 3 311.400 4.491 20 0 DIADHN CCCSCc1cccc(-c2cnc([C@@H]3CCCN3)nc2)c1 ZINC000906159050 1049293617 /nfs/dbraw/zinc/29/36/17/1049293617.db2.gz PXOBGPQLDZPDQE-KRWDZBQOSA-N 0 3 313.470 4.211 20 0 DIADHN CCNc1ccccc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC001180177774 1049293925 /nfs/dbraw/zinc/29/39/25/1049293925.db2.gz FUOQXFRAADZFHK-HXUWFJFHSA-N 0 3 324.468 4.300 20 0 DIADHN COc1cc(F)c(CN(CC2CCCCC2)C2CC2)cc1OC ZINC001180161636 1049301927 /nfs/dbraw/zinc/30/19/27/1049301927.db2.gz JZSBUXQVLVJYHL-UHFFFAOYSA-N 0 3 321.436 4.388 20 0 DIADHN CCc1nn(C)c(CC)c1CN(C)[C@H](C)c1ccc(C)cc1C ZINC001180169867 1049304498 /nfs/dbraw/zinc/30/44/98/1049304498.db2.gz LIWZJMMCAZLQOR-MRXNPFEDSA-N 0 3 313.489 4.355 20 0 DIADHN CCC[C@@]1(C)CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001180203497 1049306996 /nfs/dbraw/zinc/30/69/96/1049306996.db2.gz SYONJFBPBPLPHR-IBGZPJMESA-N 0 3 315.436 4.414 20 0 DIADHN C[C@@H]1NCCO[C@H]1c1ccc(-c2cscc2C(F)F)cc1 ZINC000906195461 1049309896 /nfs/dbraw/zinc/30/98/96/1049309896.db2.gz LXHLXZWBIIJQLS-ZUZCIYMTSA-N 0 3 309.381 4.402 20 0 DIADHN CCCN(Cc1nc(C(F)(F)F)n2c1CCCC2)CC(C)C ZINC001180225645 1049311397 /nfs/dbraw/zinc/31/13/97/1049311397.db2.gz VFDBUUKMSAYQEM-UHFFFAOYSA-N 0 3 317.399 4.106 20 0 DIADHN COc1ccc(CN(C)CCc2cccc(Cl)c2)c(F)c1 ZINC001204929023 1049312529 /nfs/dbraw/zinc/31/25/29/1049312529.db2.gz WNRYVQIUUSZUKK-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Cc1cccc2c1CCN(Cc1cc(C)c3ncccc3c1)C2 ZINC001180189697 1049313083 /nfs/dbraw/zinc/31/30/83/1049313083.db2.gz UOIVYLVLBBIFEN-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2c3c(nn2C)CCCC3)cc1 ZINC001204930295 1049313805 /nfs/dbraw/zinc/31/38/05/1049313805.db2.gz KIHUSVSROHMTQP-HXUWFJFHSA-N 0 3 323.484 4.335 20 0 DIADHN Fc1cc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)ccn1 ZINC000859172580 1049317585 /nfs/dbraw/zinc/31/75/85/1049317585.db2.gz YHRNAMOPRJEBGP-MRXNPFEDSA-N 0 3 309.388 4.082 20 0 DIADHN CCc1ccc([C@@H]2CCCN(CCOCC(F)(F)F)C2)cc1 ZINC001194115989 1049319863 /nfs/dbraw/zinc/31/98/63/1049319863.db2.gz JMPZJVLBECLRRI-MRXNPFEDSA-N 0 3 315.379 4.007 20 0 DIADHN CCCOC(=O)Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001194123572 1049322097 /nfs/dbraw/zinc/32/20/97/1049322097.db2.gz ZODABMRGLHHADK-KRWDZBQOSA-N 0 3 324.424 4.222 20 0 DIADHN CCCOC(=O)Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001194123573 1049322383 /nfs/dbraw/zinc/32/23/83/1049322383.db2.gz ZODABMRGLHHADK-QGZVFWFLSA-N 0 3 324.424 4.222 20 0 DIADHN COc1ccc(CN2CCC(c3cc4ccccc4[nH]3)CC2)o1 ZINC001180253425 1049322293 /nfs/dbraw/zinc/32/22/93/1049322293.db2.gz LUQCXRKNKYOXNY-UHFFFAOYSA-N 0 3 310.397 4.149 20 0 DIADHN CO[C@@](C)(CN(C)[C@@H](C)c1nc2ccccc2s1)C1CC1 ZINC001180249575 1049322424 /nfs/dbraw/zinc/32/24/24/1049322424.db2.gz RWMDUXCNEUBJPR-SJCJKPOMSA-N 0 3 304.459 4.104 20 0 DIADHN C[C@@]1(CN2CCn3cccc3[C@@H]2c2ccccc2)CCCS1 ZINC001180245420 1049322462 /nfs/dbraw/zinc/32/24/62/1049322462.db2.gz XAZRGURRRZBGOF-OALUTQOASA-N 0 3 312.482 4.179 20 0 DIADHN COc1ccc(SCCN2CCC(C(F)(F)F)CC2)cc1 ZINC001180249677 1049322555 /nfs/dbraw/zinc/32/25/55/1049322555.db2.gz VYPZKCRKFBMMJM-UHFFFAOYSA-N 0 3 319.392 4.062 20 0 DIADHN Fc1ccc(CCNCc2ccc(Cl)cc2F)c(F)c1F ZINC001204952903 1049322915 /nfs/dbraw/zinc/32/29/15/1049322915.db2.gz NYPMVQFPYPILNL-UHFFFAOYSA-N 0 3 317.713 4.229 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(Br)c2)[C@@H]2CCCC[C@@H]12 ZINC001180271578 1049323385 /nfs/dbraw/zinc/32/33/85/1049323385.db2.gz OBJJVYDXFAVGTB-UHOFOFEASA-N 0 3 323.278 4.245 20 0 DIADHN Cc1csc(CNCC2(c3ccc(F)cc3F)CCCC2)n1 ZINC000485711264 1049324862 /nfs/dbraw/zinc/32/48/62/1049324862.db2.gz JBEMYFPBCLNZIS-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN CCC(CC)n1ccc(CN[C@@H]2[C@H](C)[C@H](OC)C2(CC)CC)n1 ZINC001194091004 1049325373 /nfs/dbraw/zinc/32/53/73/1049325373.db2.gz LFZBHKRSBKJGCW-QGTPRVQTSA-N 0 3 321.509 4.174 20 0 DIADHN COc1ccc([C@@H](C)NC2CC3(CCC3)C2)c(Br)c1 ZINC001204968948 1049325838 /nfs/dbraw/zinc/32/58/38/1049325838.db2.gz ODBMKBBVGRJWBO-LLVKDONJSA-N 0 3 324.262 4.441 20 0 DIADHN CN(Cc1cc(F)cc(Cl)c1)[C@H](CCO)c1ccccc1 ZINC000485725334 1049326430 /nfs/dbraw/zinc/32/64/30/1049326430.db2.gz CSICZEGWKKAKNU-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)ccn1 ZINC000859253707 1049326472 /nfs/dbraw/zinc/32/64/72/1049326472.db2.gz CJWXLOFLMNAJER-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN COc1nc(CN(Cc2ccc(F)cc2)C(C)(C)C)ccc1C ZINC001180284415 1049334290 /nfs/dbraw/zinc/33/42/90/1049334290.db2.gz HFJVJOFXGQMIPJ-UHFFFAOYSA-N 0 3 316.420 4.338 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(Cl)cc2F)C12CCCC2 ZINC001194177180 1049334840 /nfs/dbraw/zinc/33/48/40/1049334840.db2.gz ULYQLKCJCCRVDC-HZPDHXFCSA-N 0 3 311.828 4.307 20 0 DIADHN Cc1ccc2c(c1)CN(CCc1ccc(Cl)cc1)CCO2 ZINC001194230627 1049337900 /nfs/dbraw/zinc/33/79/00/1049337900.db2.gz FNEGAGLCNOTWQM-UHFFFAOYSA-N 0 3 301.817 4.086 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCC23CCC3)c(Br)c1 ZINC001205007504 1049340411 /nfs/dbraw/zinc/34/04/11/1049340411.db2.gz KMWYWNMJLSFCPR-XHDPSFHLSA-N 0 3 324.262 4.441 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccc(F)cc3)CC2)cnc1F ZINC001180322618 1049342133 /nfs/dbraw/zinc/34/21/33/1049342133.db2.gz KUSQKIQOMNCNQD-INIZCTEOSA-N 0 3 316.395 4.438 20 0 DIADHN CCN(CCOC)Cc1ccc(Oc2ccccc2F)c(F)c1 ZINC001194212428 1049342615 /nfs/dbraw/zinc/34/26/15/1049342615.db2.gz BAQQECONJFIVQT-UHFFFAOYSA-N 0 3 321.367 4.225 20 0 DIADHN CC(C)[C@H]1CCN1Cc1cnc(CCc2ccccc2)s1 ZINC001180327632 1049344727 /nfs/dbraw/zinc/34/47/27/1049344727.db2.gz ZIACXKMJGBXPAR-QGZVFWFLSA-N 0 3 300.471 4.159 20 0 DIADHN CCC1CCC(N(C)Cc2ccc(OC)c(OC)c2F)CC1 ZINC001180385793 1049349051 /nfs/dbraw/zinc/34/90/51/1049349051.db2.gz BASUXVKPJPIDIN-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN2CCC[C@H]2c2ccccc2)o1 ZINC001205040169 1049350277 /nfs/dbraw/zinc/35/02/77/1049350277.db2.gz UOJLEWPTYGWROY-SFHVURJKSA-N 0 3 321.424 4.326 20 0 DIADHN COc1cc(CN2CCC[C@](C)(c3ccccc3)CC2)sn1 ZINC001194263238 1049351704 /nfs/dbraw/zinc/35/17/04/1049351704.db2.gz VMDXVKGHOFNRQV-SFHVURJKSA-N 0 3 316.470 4.096 20 0 DIADHN COc1nscc1CN1CCC[C@@](C)(c2ccccc2)CC1 ZINC001194263339 1049351752 /nfs/dbraw/zinc/35/17/52/1049351752.db2.gz XZBRUXGQHBCVOQ-GOSISDBHSA-N 0 3 316.470 4.096 20 0 DIADHN CCN(Cc1cccc(C(F)(F)F)c1Cl)C1CCOCC1 ZINC001205050746 1049353564 /nfs/dbraw/zinc/35/35/64/1049353564.db2.gz YPHQESNCNXGYKF-UHFFFAOYSA-N 0 3 321.770 4.360 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc(C4CC4)no3)[C@@H]3CCCC[C@@H]23)cc1 ZINC000485855769 1049354917 /nfs/dbraw/zinc/35/49/17/1049354917.db2.gz BTDAONLWKVHNQJ-OKZBNKHCSA-N 0 3 323.440 4.105 20 0 DIADHN COc1cccc(CN(C)Cc2cc3c(cccc3O)s2)c1 ZINC001180430404 1049355906 /nfs/dbraw/zinc/35/59/06/1049355906.db2.gz MKQDTNPOMLVWOQ-UHFFFAOYSA-N 0 3 313.422 4.248 20 0 DIADHN Cc1ccnc(CN(CC(C)C)CC(C)C)c1Br ZINC001180372194 1049356116 /nfs/dbraw/zinc/35/61/16/1049356116.db2.gz MKEYHSHGSKJRIZ-UHFFFAOYSA-N 0 3 313.283 4.267 20 0 DIADHN Cc1ccc(OCCN(C)C/C=C/c2ccc(F)c(F)c2)cc1 ZINC001180438024 1049358098 /nfs/dbraw/zinc/35/80/98/1049358098.db2.gz UJBZXIYIQAAOSV-ONEGZZNKSA-N 0 3 317.379 4.297 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2ncc(-c3ccc(OC)cc3)o2)C1 ZINC001194325757 1049358923 /nfs/dbraw/zinc/35/89/23/1049358923.db2.gz TXKILYABOLLHEZ-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1cccc(CN2CCN(Cc3ccccc3C(C)C)CC2)c1 ZINC001180412658 1049360762 /nfs/dbraw/zinc/36/07/62/1049360762.db2.gz CNPJYHJBOZVBNP-UHFFFAOYSA-N 0 3 322.496 4.436 20 0 DIADHN Cc1noc2ncc(CN(C)[C@@H]3CCCc4ccccc43)cc12 ZINC001180422829 1049362411 /nfs/dbraw/zinc/36/24/11/1049362411.db2.gz OOUFQYRQKBTDGA-GOSISDBHSA-N 0 3 307.397 4.041 20 0 DIADHN Fc1ccc2nc(CN3CC[C@H]4CCCC[C@@H]4C3)sc2c1 ZINC001205080915 1049365631 /nfs/dbraw/zinc/36/56/31/1049365631.db2.gz BFPJUGCJMLGOEP-CHWSQXEVSA-N 0 3 304.434 4.448 20 0 DIADHN C[C@@H]1Cc2cc(CN3CC=C(c4ccc(F)cc4)CC3)ccc2O1 ZINC001180462973 1049368448 /nfs/dbraw/zinc/36/84/48/1049368448.db2.gz XBBGXHDBJDNAGV-OAHLLOKOSA-N 0 3 323.411 4.438 20 0 DIADHN CN(Cc1ccc(-c2cc[nH]n2)o1)Cc1ccc2ccccc2c1 ZINC001205089889 1049371856 /nfs/dbraw/zinc/37/18/56/1049371856.db2.gz XNYBTGSHGXILFA-UHFFFAOYSA-N 0 3 317.392 4.455 20 0 DIADHN COc1ccccc1-c1ccc(CN(C)CC2=CCCOC2)cc1 ZINC000668569097 1049372457 /nfs/dbraw/zinc/37/24/57/1049372457.db2.gz RHFPEIWBMYFVFB-UHFFFAOYSA-N 0 3 323.436 4.141 20 0 DIADHN CO[C@@H]1C[C@H](NCc2nc(-c3ccc(C)cc3)cs2)C1(C)C ZINC001194447776 1049378303 /nfs/dbraw/zinc/37/83/03/1049378303.db2.gz YFMAZFCVBRRPJA-JKSUJKDBSA-N 0 3 316.470 4.022 20 0 DIADHN CO[C@H]1C[C@@H](NCc2nc(-c3ccc(C)cc3)cs2)C1(C)C ZINC001194447773 1049378551 /nfs/dbraw/zinc/37/85/51/1049378551.db2.gz YFMAZFCVBRRPJA-CVEARBPZSA-N 0 3 316.470 4.022 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2c(Cl)oc3ccccc32)C1(C)C ZINC001194444530 1049378995 /nfs/dbraw/zinc/37/89/95/1049378995.db2.gz BWMPREIASKEREY-RHSMWYFYSA-N 0 3 307.821 4.379 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cccc3c2OCC3)cc1 ZINC001180573677 1049379050 /nfs/dbraw/zinc/37/90/50/1049379050.db2.gz AMCXZHPDPYFXBS-FQEVSTJZSA-N 0 3 323.436 4.357 20 0 DIADHN CN(Cc1ccnn1-c1ccc(F)cc1)CC1CCCCC1 ZINC001180540254 1049379727 /nfs/dbraw/zinc/37/97/27/1049379727.db2.gz JVBNNJPKTBCTJQ-UHFFFAOYSA-N 0 3 301.409 4.024 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCOc2ccc(F)cc2)c1 ZINC001205106665 1049380283 /nfs/dbraw/zinc/38/02/83/1049380283.db2.gz AVNQHEGCFDAIPC-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN CC(C)[C@@H]1C[C@H](CN[C@H](c2ccco2)c2ccccc2)CCO1 ZINC000486050709 1049380451 /nfs/dbraw/zinc/38/04/51/1049380451.db2.gz HYXBNXYEDPTMIM-UXPWSPDFSA-N 0 3 313.441 4.410 20 0 DIADHN CC(C)[C@@H]1C[C@H](CN[C@@H](c2ccco2)c2ccccc2)CCO1 ZINC000486050707 1049380935 /nfs/dbraw/zinc/38/09/35/1049380935.db2.gz HYXBNXYEDPTMIM-LSTHTHJFSA-N 0 3 313.441 4.410 20 0 DIADHN CN(CCSC1CCCCC1)C[C@@H]1OCCc2ccccc21 ZINC001194488598 1049382878 /nfs/dbraw/zinc/38/28/78/1049382878.db2.gz RBLWKZDIINRMST-IBGZPJMESA-N 0 3 319.514 4.298 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(O)cc1C(F)(F)F ZINC001205110830 1049383220 /nfs/dbraw/zinc/38/32/20/1049383220.db2.gz DZGWIWHFORMZLC-SOFGYWHQSA-N 0 3 308.303 4.005 20 0 DIADHN CC[C@@H]1CCC[C@H](CN2CCN(CC(F)(F)F)CC2(C)C)C1 ZINC001180612681 1049383716 /nfs/dbraw/zinc/38/37/16/1049383716.db2.gz KQBFLLWMLVPRAB-CABCVRRESA-N 0 3 320.443 4.161 20 0 DIADHN C[C@H]1C[C@H]1CN(C)Cc1cc(Br)ccc1Cl ZINC001205667770 1049387787 /nfs/dbraw/zinc/38/77/87/1049387787.db2.gz PFSQUIYGRYEGME-UWVGGRQHSA-N 0 3 302.643 4.190 20 0 DIADHN CC1=C(C)C[C@@H]([C@H](C)NCc2nc(-c3ccccc3)no2)CC1 ZINC001180672100 1049391677 /nfs/dbraw/zinc/39/16/77/1049391677.db2.gz FANNIHJVFMIPNE-RDJZCZTQSA-N 0 3 311.429 4.351 20 0 DIADHN C[C@H](c1cccc2ccccc21)N(C)C(=O)CN(C)C1CCCC1 ZINC001180629035 1049391988 /nfs/dbraw/zinc/39/19/88/1049391988.db2.gz LIWSEQQVUMQGGP-MRXNPFEDSA-N 0 3 324.468 4.234 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3c(c2)C[C@@H](C)O3)cc1 ZINC001205149535 1049394830 /nfs/dbraw/zinc/39/48/30/1049394830.db2.gz UYJIYFBDAZBRJN-OAHLLOKOSA-N 0 3 311.425 4.041 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@@H](c2ccccc2F)C1 ZINC001194599111 1049397413 /nfs/dbraw/zinc/39/74/13/1049397413.db2.gz JTZVKVDLOJCKCS-MDZRGWNJSA-N 0 3 305.437 4.210 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001180697601 1049397928 /nfs/dbraw/zinc/39/79/28/1049397928.db2.gz JEECGKGTKMOWAF-ZQIUZPCESA-N 0 3 324.896 4.307 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001180697600 1049397956 /nfs/dbraw/zinc/39/79/56/1049397956.db2.gz JEECGKGTKMOWAF-VBQJREDUSA-N 0 3 324.896 4.307 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cnn(C3CCC3)c2)CC1 ZINC001180688330 1049398586 /nfs/dbraw/zinc/39/85/86/1049398586.db2.gz VWBGHXTXBRICBY-UHFFFAOYSA-N 0 3 309.457 4.296 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)Cc2ccc3c(c2)OCO3)cc1C ZINC001205161864 1049399188 /nfs/dbraw/zinc/39/91/88/1049399188.db2.gz JCKZTYVQJCJTBT-AATRIKPKSA-N 0 3 323.436 4.486 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCC2)CO1)c1ccc(F)cc1Cl ZINC001194640389 1049400802 /nfs/dbraw/zinc/40/08/02/1049400802.db2.gz AJQAXUQWPNVONY-OCCSQVGLSA-N 0 3 311.828 4.479 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN[C@@H](C)c2ccccc2Cl)c1 ZINC001194632935 1049400843 /nfs/dbraw/zinc/40/08/43/1049400843.db2.gz IOSWQSRHAAORKH-KSSFIOAISA-N 0 3 303.833 4.341 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccccc1Cl)Cc1ccccc1 ZINC001194632738 1049400928 /nfs/dbraw/zinc/40/09/28/1049400928.db2.gz FWOIJYMJGKCOIW-GOEBONIOSA-N 0 3 303.833 4.248 20 0 DIADHN C[C@@H](NCCCC(=O)OC(C)(C)C)c1ccc(F)cc1Cl ZINC001194641373 1049402682 /nfs/dbraw/zinc/40/26/82/1049402682.db2.gz XCJFTEACWKQORX-LLVKDONJSA-N 0 3 315.816 4.252 20 0 DIADHN Cc1cc(CN2CCC(CC(=O)OC(C)(C)C)CC2)ccc1F ZINC001205197924 1049409427 /nfs/dbraw/zinc/40/94/27/1049409427.db2.gz DGSYUCQEKGVCRB-UHFFFAOYSA-N 0 3 321.436 4.078 20 0 DIADHN CC(C)C1CCC(CN2CCC[C@@H]2C(=O)OC(C)(C)C)CC1 ZINC001180885166 1049409931 /nfs/dbraw/zinc/40/99/31/1049409931.db2.gz OHQQWBZNKFSELZ-OFLPRAFFSA-N 0 3 309.494 4.255 20 0 DIADHN CCCN(C[C@H](CC)c1ccccc1)[C@@H](CC)C(=O)OCC ZINC001180859461 1049411754 /nfs/dbraw/zinc/41/17/54/1049411754.db2.gz XRJINBGJJXWZSV-WMZOPIPTSA-N 0 3 305.462 4.234 20 0 DIADHN Clc1cccc(CN2CCC3(COc4ccccc43)CC2)c1 ZINC001203800864 1049414038 /nfs/dbraw/zinc/41/40/38/1049414038.db2.gz HENZJXIINOZANZ-UHFFFAOYSA-N 0 3 313.828 4.266 20 0 DIADHN CCC[C@H](C)CCCN1C[C@H](c2ccccc2)[C@](F)(CO)C1 ZINC001194731520 1049414183 /nfs/dbraw/zinc/41/41/83/1049414183.db2.gz DRZCGQWRXQAZMK-QXAKKESOSA-N 0 3 307.453 4.003 20 0 DIADHN Cc1ccc(CN2CCC3(Cc4ccccc4O3)CC2)cc1F ZINC001205243360 1049415323 /nfs/dbraw/zinc/41/53/23/1049415323.db2.gz CWAUWXLOLPESCV-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN CCCCN(Cc1cn(C(C)(C)C)nn1)[C@H]1CCC[C@@H](C)C1 ZINC001194713666 1049420612 /nfs/dbraw/zinc/42/06/12/1049420612.db2.gz AJRCYIKDARZENF-WBVHZDCISA-N 0 3 306.498 4.214 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CC[C@H](C(C)(C)C)C[C@H]1C ZINC001194791271 1049421874 /nfs/dbraw/zinc/42/18/74/1049421874.db2.gz IIFURCOJOONPSN-HIFRSBDPSA-N 0 3 321.461 4.275 20 0 DIADHN c1nc(CCN2CCc3ccc(-c4ccccc4)cc3C2)cs1 ZINC001194755657 1049424117 /nfs/dbraw/zinc/42/41/17/1049424117.db2.gz WFRPNABLQJPMQC-UHFFFAOYSA-N 0 3 320.461 4.411 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cncc3ccccc32)C1 ZINC001205291407 1049429416 /nfs/dbraw/zinc/42/94/16/1049429416.db2.gz KFZKQQAZKHGQJD-FOIQADDNSA-N 0 3 303.409 4.213 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cncc3ccccc32)C1 ZINC001205291410 1049429509 /nfs/dbraw/zinc/42/95/09/1049429509.db2.gz KFZKQQAZKHGQJD-YWZLYKJASA-N 0 3 303.409 4.213 20 0 DIADHN Cc1ccc([C@]2(C)CN(Cc3cccc4[nH]ccc43)CCO2)cc1 ZINC001205298239 1049431257 /nfs/dbraw/zinc/43/12/57/1049431257.db2.gz YCKJNTDGIQKZMA-NRFANRHFSA-N 0 3 320.436 4.224 20 0 DIADHN COc1ccc(CN2CCC[C@H](C3CC3)C2)c(Br)c1 ZINC001194808556 1049432114 /nfs/dbraw/zinc/43/21/14/1049432114.db2.gz YYTNGQVYUWYSBY-ZDUSSCGKSA-N 0 3 324.262 4.080 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(F)c(F)c1 ZINC000173419454 1049433545 /nfs/dbraw/zinc/43/35/45/1049433545.db2.gz PMUSCISHWLILJC-LBPRGKRZSA-N 0 3 322.374 4.016 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)N(C)[C@@H](C)c1ccc(Cl)cc1 ZINC001194873522 1049440331 /nfs/dbraw/zinc/44/03/31/1049440331.db2.gz IKIKGBRESLRNHT-CABCVRRESA-N 0 3 324.896 4.370 20 0 DIADHN C[C@@H]1CN(c2ccc(Cl)c(F)c2)CCN1[C@@H]1C=CCCC1 ZINC001194874773 1049440456 /nfs/dbraw/zinc/44/04/56/1049440456.db2.gz UVWRKOZZKWBHFW-ZIAGYGMSSA-N 0 3 308.828 4.098 20 0 DIADHN CC(C)CCOC(=O)Nc1ccccc1CN1CCCCC1 ZINC001194871814 1049441104 /nfs/dbraw/zinc/44/11/04/1049441104.db2.gz OIYGCZSMWDFUON-UHFFFAOYSA-N 0 3 304.434 4.267 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)N(C)[C@@H](C)c1ccc(Cl)cc1 ZINC001194873523 1049441319 /nfs/dbraw/zinc/44/13/19/1049441319.db2.gz IKIKGBRESLRNHT-GJZGRUSLSA-N 0 3 324.896 4.370 20 0 DIADHN COc1cc(CN(C)Cc2c(C)[nH]c3ccccc32)cc(OC)c1 ZINC001204289730 1049441351 /nfs/dbraw/zinc/44/13/51/1049441351.db2.gz UFYZYVSJDBPNPX-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN COc1c(C)cnc(CN[C@@H](c2ccc(C)cc2)C2CC2)c1C ZINC000775618853 1049444165 /nfs/dbraw/zinc/44/41/65/1049444165.db2.gz YXYSANIJHLJVLX-IBGZPJMESA-N 0 3 310.441 4.256 20 0 DIADHN c1coc(-c2noc(CNC(C3CCCC3)C3CCCC3)n2)c1 ZINC000775618449 1049444336 /nfs/dbraw/zinc/44/43/36/1049444336.db2.gz ZRRNEORZDBBBNC-UHFFFAOYSA-N 0 3 315.417 4.168 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@](C)(C(=O)OC(C)(C)C)C1 ZINC001194906208 1049446119 /nfs/dbraw/zinc/44/61/19/1049446119.db2.gz OAJYDLDSRSQZBU-SFHVURJKSA-N 0 3 323.864 4.202 20 0 DIADHN C[C@@H](C[C@@H]1CCOC1)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000777190936 1049450418 /nfs/dbraw/zinc/45/04/18/1049450418.db2.gz ATFMXVUECZMGKH-RYUDHWBXSA-N 0 3 314.256 4.387 20 0 DIADHN Cc1cnc(CCN2CC(C)(C)[C@@H]2c2cccs2)c(C)c1 ZINC001194890498 1049450626 /nfs/dbraw/zinc/45/06/26/1049450626.db2.gz JTFLRNNIXIPENG-KRWDZBQOSA-N 0 3 300.471 4.386 20 0 DIADHN CCOC(=O)CCCN1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC001194974149 1049452270 /nfs/dbraw/zinc/45/22/70/1049452270.db2.gz HDYLPFZKYMIANK-IBGZPJMESA-N 0 3 315.457 4.337 20 0 DIADHN Fc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c2c[nH]nc21 ZINC001205371075 1049452920 /nfs/dbraw/zinc/45/29/20/1049452920.db2.gz HIXMSSIVSDOBKZ-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1cc2ccccc2[nH]1 ZINC000775627833 1049461251 /nfs/dbraw/zinc/46/12/51/1049461251.db2.gz OPKYTSRQAXOIOK-UONOGXRCSA-N 0 3 322.408 4.351 20 0 DIADHN CCCCC[C@@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000486729988 1049463077 /nfs/dbraw/zinc/46/30/77/1049463077.db2.gz MKZCMHHPAYSJCI-GOSISDBHSA-N 0 3 317.477 4.325 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1ccc2scnc2c1 ZINC001205418693 1049465798 /nfs/dbraw/zinc/46/57/98/1049465798.db2.gz YIIJLFRNTLZUMB-CYBMUJFWSA-N 0 3 314.376 4.459 20 0 DIADHN CCOc1cc(CN[C@H](C)c2cc3ccccc3[nH]2)ccc1OC ZINC000775630978 1049465930 /nfs/dbraw/zinc/46/59/30/1049465930.db2.gz DJNQSEZYUUADPB-CQSZACIVSA-N 0 3 324.424 4.426 20 0 DIADHN CC1(C)CCN(Cc2ccc(C(F)F)c(F)c2)CCS1 ZINC001181323537 1049467061 /nfs/dbraw/zinc/46/70/61/1049467061.db2.gz LWEUAHNZBFBXOL-UHFFFAOYSA-N 0 3 303.393 4.481 20 0 DIADHN Cc1cc([C@@H]2CCN(Cc3cccnc3C)C2)c(O)cc1Cl ZINC000668678589 1049467247 /nfs/dbraw/zinc/46/72/47/1049467247.db2.gz MJAXOSQIIXTURK-OAHLLOKOSA-N 0 3 316.832 4.047 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](c2ccc(C)o2)C2CCCC2)o1 ZINC000775629813 1049468998 /nfs/dbraw/zinc/46/89/98/1049468998.db2.gz ADQSCLSBPNXPMV-CRAIPNDOSA-N 0 3 303.402 4.044 20 0 DIADHN Cc1ccc(CCNCc2c(F)cccc2OC(F)F)cc1 ZINC001181383028 1049470303 /nfs/dbraw/zinc/47/03/03/1049470303.db2.gz OPZXBKIOFRJRIJ-UHFFFAOYSA-N 0 3 309.331 4.068 20 0 DIADHN CCCC1CCC(N(C)Cc2c3c(nn2C)CCSC3)CC1 ZINC001181411045 1049470813 /nfs/dbraw/zinc/47/08/13/1049470813.db2.gz WXVQBQXORVNWKB-UHFFFAOYSA-N 0 3 321.534 4.000 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@@H]3CCC(C)C)o2)cc1 ZINC000486798445 1049474084 /nfs/dbraw/zinc/47/40/84/1049474084.db2.gz XYKHBBRYLWQZAU-QGZVFWFLSA-N 0 3 313.445 4.446 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)nc1)[C@H]1CCc2ccccc21 ZINC001205455882 1049474790 /nfs/dbraw/zinc/47/47/90/1049474790.db2.gz YYFROLVPEJQBRU-HNNXBMFYSA-N 0 3 306.331 4.220 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1C[C@H]1C[C@@H]1c1ccccc1 ZINC001181390624 1049475444 /nfs/dbraw/zinc/47/54/44/1049475444.db2.gz GMATZNGMPLGJBJ-BHIYHBOVSA-N 0 3 324.443 4.140 20 0 DIADHN COCCSCCCN(C)[C@@H](C)c1ccccc1Cl ZINC000486800237 1049476345 /nfs/dbraw/zinc/47/63/45/1049476345.db2.gz IVFSFBVOIRBBCR-ZDUSSCGKSA-N 0 3 301.883 4.103 20 0 DIADHN COc1cccc2c(CN(C)Cc3ccc(C)cn3)cccc12 ZINC001181400121 1049477863 /nfs/dbraw/zinc/47/78/63/1049477863.db2.gz QODCEXBDCBUTDD-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCc1ccc(NC(=O)c2ccccc2CN(C)C)cc1CC ZINC000486849454 1049480582 /nfs/dbraw/zinc/48/05/82/1049480582.db2.gz GUXILBRPPZUDHI-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN Fc1cccc([C@@H]2CC[C@H](NCc3nc(Cl)cs3)C2)c1 ZINC001181436256 1049482642 /nfs/dbraw/zinc/48/26/42/1049482642.db2.gz NUPDXPBPZHXCMX-YPMHNXCESA-N 0 3 310.825 4.362 20 0 DIADHN C[C@@H](CN1CCC[C@@H](OCC2CC2)C1)c1cccc(Cl)c1 ZINC001205486813 1049482813 /nfs/dbraw/zinc/48/28/13/1049482813.db2.gz VGVNMTBDJNHGTJ-KBXCAEBGSA-N 0 3 307.865 4.335 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NCc1ccnc(F)c1C ZINC000862280677 1049483906 /nfs/dbraw/zinc/48/39/06/1049483906.db2.gz PRMHYDDWKPTNCH-WBMJQRKESA-N 0 3 322.811 4.048 20 0 DIADHN CC(C)C[C@@H](C)NCc1cc(F)c(F)cc1Br ZINC001181602120 1049493364 /nfs/dbraw/zinc/49/33/64/1049493364.db2.gz TWQKJTUCFHDAEG-SECBINFHSA-N 0 3 306.194 4.252 20 0 DIADHN Cc1ncc(CNCCCCCCOc2ccc(Cl)cc2)o1 ZINC000862327328 1049486954 /nfs/dbraw/zinc/48/69/54/1049486954.db2.gz BSYKQJNOMMAKCI-UHFFFAOYSA-N 0 3 322.836 4.365 20 0 DIADHN C[C@H]1CC[C@@H](NC(=O)c2ccccc2CN(C)C)c2ccccc21 ZINC000486929846 1049492854 /nfs/dbraw/zinc/49/28/54/1049492854.db2.gz VYEVQJAQOVZZEH-MGPUTAFESA-N 0 3 322.452 4.117 20 0 DIADHN CC(C)COc1ccc(CN2CCC(c3ccon3)CC2)cc1 ZINC000668714321 1049494940 /nfs/dbraw/zinc/49/49/40/1049494940.db2.gz RRKATBDSMCLCRT-UHFFFAOYSA-N 0 3 314.429 4.089 20 0 DIADHN CCOc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)c(C)c1 ZINC001205540910 1049495368 /nfs/dbraw/zinc/49/53/68/1049495368.db2.gz MBZKJZPEMCCQLR-UHFFFAOYSA-N 0 3 315.461 4.046 20 0 DIADHN C(=C/c1ccncc1)\CNC(c1ccccc1)c1ccccc1 ZINC000486938133 1049497359 /nfs/dbraw/zinc/49/73/59/1049497359.db2.gz OYHRSARLYMQBKY-BQYQJAHWSA-N 0 3 300.405 4.474 20 0 DIADHN Cc1cc(F)cc(CC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001181649505 1049498779 /nfs/dbraw/zinc/49/87/79/1049498779.db2.gz GJCVVORRKJAFOX-UHFFFAOYSA-N 0 3 311.381 4.092 20 0 DIADHN Fc1ccc(F)c(C[C@@H]2CCCN2C[C@H]2CCC3(CCC3)O2)c1 ZINC001195258106 1049501779 /nfs/dbraw/zinc/50/17/79/1049501779.db2.gz MHEIVTVJKXHPTO-DLBZAZTESA-N 0 3 321.411 4.073 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2cc(F)c(C(F)(F)F)cc2F)C[C@H]1C ZINC001195290672 1049513336 /nfs/dbraw/zinc/51/33/36/1049513336.db2.gz XQQQUOOKBPHELD-OPRDCNLKSA-N 0 3 307.306 4.460 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1ccc(-c2ccccc2)cn1)C(C)C ZINC001205628901 1049514292 /nfs/dbraw/zinc/51/42/92/1049514292.db2.gz OOVUAHZTUKJRRK-JXFKEZNVSA-N 0 3 312.457 4.460 20 0 DIADHN CO[C@H]1C[C@@H](N(C)Cc2csc(C(F)(F)F)c2)C12CCC2 ZINC001181797298 1049519830 /nfs/dbraw/zinc/51/98/30/1049519830.db2.gz VZVVFBGDYHQHJX-NEPJUHHUSA-N 0 3 319.392 4.156 20 0 DIADHN CCC[C@H](NCc1ccc(Cl)cc1F)[C@H](O)c1ccccc1 ZINC001205642904 1049521266 /nfs/dbraw/zinc/52/12/66/1049521266.db2.gz QJIPMIATUFZLAM-ZWKOTPCHSA-N 0 3 321.823 4.471 20 0 DIADHN C[C@@H](NC1CC(C(=O)OC(C)(C)C)C1)c1ccccc1Cl ZINC001205642679 1049521296 /nfs/dbraw/zinc/52/12/96/1049521296.db2.gz MRJFHYAJUCCGKG-PNESKVBLSA-N 0 3 309.837 4.111 20 0 DIADHN C[C@@H]1CCC[C@@H](CN2CCc3nccc(Br)c3C2)C1 ZINC001195414313 1049522970 /nfs/dbraw/zinc/52/29/70/1049522970.db2.gz YMMUHMRRTTXQNZ-CHWSQXEVSA-N 0 3 323.278 4.029 20 0 DIADHN CC(C)(C)CCNC(=O)CN1CC(C)(C)C[C@@H]1c1ccccc1 ZINC001195376314 1049523803 /nfs/dbraw/zinc/52/38/03/1049523803.db2.gz JENFRFQXXPOTHN-QGZVFWFLSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NC(=O)c2ccccc2CN(C)C)CC1 ZINC000487170365 1049523918 /nfs/dbraw/zinc/52/39/18/1049523918.db2.gz HSUXVBXLFLZEBS-FUHWJXTLSA-N 0 3 316.489 4.083 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2CC[C@H](c3cccc(F)c3)C2)cc1F ZINC001205650413 1049524003 /nfs/dbraw/zinc/52/40/03/1049524003.db2.gz LLAUEUWJDKQWIM-HOCLYGCPSA-N 0 3 303.352 4.096 20 0 DIADHN Cc1ccc(NC(=O)c2ccsc2Cl)c(CN(C)C)c1 ZINC000668751361 1049524379 /nfs/dbraw/zinc/52/43/79/1049524379.db2.gz OFEXMXMCZHTKRM-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN Cc1ccc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)c(Cl)c1 ZINC001195377609 1049525294 /nfs/dbraw/zinc/52/52/94/1049525294.db2.gz ZFMVPTZOFOPDEX-SFHVURJKSA-N 0 3 319.876 4.430 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(C3CC3)cc2)c(CN(C)C)c1 ZINC000668752181 1049526038 /nfs/dbraw/zinc/52/60/38/1049526038.db2.gz XULWSAKFDABPEU-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN Cc1ccc(NC(=O)C2CC3(C2)CCCCC3)c(CN(C)C)c1 ZINC000668750895 1049526925 /nfs/dbraw/zinc/52/69/25/1049526925.db2.gz IWMKITPFTYJRPC-UHFFFAOYSA-N 0 3 314.473 4.356 20 0 DIADHN CCNc1ccccc1CN1CC(c2ccc(Cl)cc2)C1 ZINC001181828499 1049527180 /nfs/dbraw/zinc/52/71/80/1049527180.db2.gz UXFAYMMGSZHEAY-UHFFFAOYSA-N 0 3 300.833 4.371 20 0 DIADHN CC[C@H](CC(C)C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001181844616 1049530398 /nfs/dbraw/zinc/53/03/98/1049530398.db2.gz XHKJOCUBOLDNEG-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1ccc(O)c(F)c1F ZINC001205692909 1049534859 /nfs/dbraw/zinc/53/48/59/1049534859.db2.gz NZFHGJOHWJSZEI-HNNXBMFYSA-N 0 3 321.367 4.262 20 0 DIADHN CCN(C/C=C(\C)C(=O)OC)C(c1ccccc1)c1ccccc1 ZINC001195477843 1049536075 /nfs/dbraw/zinc/53/60/75/1049536075.db2.gz QTDKCWKOHJHMKU-BMRADRMJSA-N 0 3 323.436 4.217 20 0 DIADHN Cc1oc2ccccc2c1CN(C)C[C@@H]1OCCc2ccccc21 ZINC001181892543 1049540499 /nfs/dbraw/zinc/54/04/99/1049540499.db2.gz LPXUUYVHFGEFOV-NRFANRHFSA-N 0 3 321.420 4.487 20 0 DIADHN CC(C)[C@H](NC/C=C\c1ccncc1)c1ccc(F)cc1F ZINC000487291966 1049545200 /nfs/dbraw/zinc/54/52/00/1049545200.db2.gz FYXRYROVBBTGLY-JXCVUKRWSA-N 0 3 302.368 4.360 20 0 DIADHN Cc1cc(CN(C)CC2=CCSC2)ccc1C(F)(F)F ZINC000668775612 1049546948 /nfs/dbraw/zinc/54/69/48/1049546948.db2.gz ZVFIXEGUZMNMGB-UHFFFAOYSA-N 0 3 301.377 4.119 20 0 DIADHN CN(CC1=CCSC1)Cc1cccc(OC2CCCC2)c1 ZINC000668775035 1049547067 /nfs/dbraw/zinc/54/70/67/1049547067.db2.gz DQGPBVMWIQLEHD-UHFFFAOYSA-N 0 3 303.471 4.113 20 0 DIADHN C[C@H](NCc1nccn1CCCc1ccccc1)[C@@H]1CC1(C)C ZINC000487309730 1049549078 /nfs/dbraw/zinc/54/90/78/1049549078.db2.gz LPPVEHDFKHPDRD-WMZOPIPTSA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NCc2sccc2Cl)C1 ZINC000487330524 1049554815 /nfs/dbraw/zinc/55/48/15/1049554815.db2.gz VMZRPFQGUVDVNK-DZGCQCFKSA-N 0 3 320.889 4.327 20 0 DIADHN CCSCCN(C)[C@@H]1CCCc2c(OC)ccc(Cl)c21 ZINC001195728080 1049557230 /nfs/dbraw/zinc/55/72/30/1049557230.db2.gz ALBGQRQOSXUJIB-CQSZACIVSA-N 0 3 313.894 4.411 20 0 DIADHN CC[C@@](C)(CN(Cc1ccco1)Cc1cccc(C)c1)OC ZINC001204315280 1049559787 /nfs/dbraw/zinc/55/97/87/1049559787.db2.gz UOSJAACISFCKFE-IBGZPJMESA-N 0 3 301.430 4.405 20 0 DIADHN C[C@]1(Cc2cccc(Cl)c2)CCCN1Cc1cncs1 ZINC001195757985 1049563457 /nfs/dbraw/zinc/56/34/57/1049563457.db2.gz PEERZTDXEMNHDY-MRXNPFEDSA-N 0 3 306.862 4.394 20 0 DIADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cccc(OC2CC2)c1F ZINC001205789967 1049564765 /nfs/dbraw/zinc/56/47/65/1049564765.db2.gz WTDDIZNJVRYJJG-VQIMIIECSA-N 0 3 305.437 4.479 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1ccc(F)c2ccccc12 ZINC001182052848 1049565922 /nfs/dbraw/zinc/56/59/22/1049565922.db2.gz LPHLCWNVPKFPLL-IBGZPJMESA-N 0 3 307.368 4.462 20 0 DIADHN Brc1ccc2nc(CN[C@@H]3CCCC34CC4)oc2c1 ZINC001182063096 1049568309 /nfs/dbraw/zinc/56/83/09/1049568309.db2.gz RYWCOYMRLGDMCN-CYBMUJFWSA-N 0 3 321.218 4.013 20 0 DIADHN C[C@@H]1CN(C[C@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)[C@H]1C ZINC001182062062 1049568367 /nfs/dbraw/zinc/56/83/67/1049568367.db2.gz KDSMRJUWVLMOSP-ILXRZTDVSA-N 0 3 312.498 4.046 20 0 DIADHN Cc1cccnc1CN1CCC(Cc2ccc(F)cc2F)CC1 ZINC001205807701 1049569074 /nfs/dbraw/zinc/56/90/74/1049569074.db2.gz UWXLEJHOOACKPT-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN FC(F)(F)CCCN1CC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001182084580 1049569795 /nfs/dbraw/zinc/56/97/95/1049569795.db2.gz AFGZRBJFBYEYCK-CYBMUJFWSA-N 0 3 307.743 4.136 20 0 DIADHN CC(C)O[C@@H]1CCCN(Cc2ccc(Cl)c3cccnc23)C1 ZINC001195799849 1049572362 /nfs/dbraw/zinc/57/23/62/1049572362.db2.gz XSVVDPPRHCFIOQ-OAHLLOKOSA-N 0 3 318.848 4.278 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(C[C@@H]2CCC3(CCC3)CO2)C1 ZINC001195851655 1049574249 /nfs/dbraw/zinc/57/42/49/1049574249.db2.gz MSEOYWLCHYWKES-RBUKOAKNSA-N 0 3 317.448 4.138 20 0 DIADHN Fc1cc(OCCN2CCC(c3ccco3)CC2)ccc1Cl ZINC001195937798 1049584733 /nfs/dbraw/zinc/58/47/33/1049584733.db2.gz GHEBJRHEYBIXFY-UHFFFAOYSA-N 0 3 323.795 4.331 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CC(C)(C)[C@@H]1C1CC1 ZINC001182185166 1049584923 /nfs/dbraw/zinc/58/49/23/1049584923.db2.gz ZESNPNHOKYPDIF-IBGZPJMESA-N 0 3 309.457 4.110 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccncc2)C2(CO)CCC2)cc1C ZINC000668811519 1049587186 /nfs/dbraw/zinc/58/71/86/1049587186.db2.gz BAIQCPBOAYULGV-FQEVSTJZSA-N 0 3 324.468 4.000 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)/C(F)=C\C1CCCCC1 ZINC000774023639 1049588429 /nfs/dbraw/zinc/58/84/29/1049588429.db2.gz IVWHMXWMLPHYEO-GZTJUZNOSA-N 0 3 318.436 4.429 20 0 DIADHN COCCN(Cc1coc(-c2cccs2)n1)[C@@H]1CCC[C@H]1C ZINC000487971036 1049589168 /nfs/dbraw/zinc/58/91/68/1049589168.db2.gz JHEBBAUGDUNCAH-UKRRQHHQSA-N 0 3 320.458 4.040 20 0 DIADHN CC(C)Oc1c(F)c(F)ccc1-c1ccc2c(c1)CN(C)CC2 ZINC001205874718 1049589339 /nfs/dbraw/zinc/58/93/39/1049589339.db2.gz SJNKSEVXBMGJTL-UHFFFAOYSA-N 0 3 317.379 4.407 20 0 DIADHN Brc1cccc(CN2C[C@@H](C3CC3)[C@H]2C2CC2)c1 ZINC001205875595 1049589880 /nfs/dbraw/zinc/58/98/80/1049589880.db2.gz LTZZWOZDNFUJIN-JKSUJKDBSA-N 0 3 306.247 4.070 20 0 DIADHN CC(C)c1ccc2c(c1)CN(C[C@@H]1CCC(C)(C)CO1)CC2 ZINC001195919860 1049590939 /nfs/dbraw/zinc/59/09/39/1049590939.db2.gz RKLMLEWNQCZOAF-IBGZPJMESA-N 0 3 301.474 4.373 20 0 DIADHN Cc1cc(CN2CC(Cc3ccc(Cl)cc3)C2)cc(C)n1 ZINC001182216782 1049590948 /nfs/dbraw/zinc/59/09/48/1049590948.db2.gz TYVPLMQIZWTDNH-UHFFFAOYSA-N 0 3 300.833 4.026 20 0 DIADHN CC(C)c1ccc2c(c1)CN(C[C@H]1CCC(C)(C)CO1)CC2 ZINC001195919861 1049591265 /nfs/dbraw/zinc/59/12/65/1049591265.db2.gz RKLMLEWNQCZOAF-LJQANCHMSA-N 0 3 301.474 4.373 20 0 DIADHN CCCCN(CC)[C@H](C(=O)N[C@H](C)C(C)C)c1ccccc1 ZINC001182232096 1049591824 /nfs/dbraw/zinc/59/18/24/1049591824.db2.gz NAZUWMCFQFBSDP-AEFFLSMTSA-N 0 3 304.478 4.010 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)N[C@H]1CC=CCC1)c1ccccc1 ZINC001182238166 1049595217 /nfs/dbraw/zinc/59/52/17/1049595217.db2.gz UZIJYQSMUNUBOZ-RBUKOAKNSA-N 0 3 314.473 4.075 20 0 DIADHN COc1cccc([C@H](C)N2CC=C(c3ccc(O)cc3)CC2)c1 ZINC000488090760 1049598714 /nfs/dbraw/zinc/59/87/14/1049598714.db2.gz ATIAMUHAJUCRAG-HNNXBMFYSA-N 0 3 309.409 4.251 20 0 DIADHN CCc1nocc1CN1CCC[C@@]1(C)Cc1ccccc1Cl ZINC001196022957 1049604044 /nfs/dbraw/zinc/60/40/44/1049604044.db2.gz QEJLSHAPRBZWKM-SFHVURJKSA-N 0 3 318.848 4.488 20 0 DIADHN CC(C)C[C@@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC001196012943 1049604995 /nfs/dbraw/zinc/60/49/95/1049604995.db2.gz HUONJTZHHSSXQJ-LLVKDONJSA-N 0 3 313.367 4.448 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1C[C@@H](O)C[C@@H]1c1ccccc1 ZINC001182299150 1049609754 /nfs/dbraw/zinc/60/97/54/1049609754.db2.gz VVLWAFHHHBVBPG-ZWKOTPCHSA-N 0 3 313.441 4.193 20 0 DIADHN Cc1ccc(CN2CC[C@@H](O)[C@H](C3CCCC3)C2)cc1Cl ZINC001182299417 1049609894 /nfs/dbraw/zinc/60/98/94/1049609894.db2.gz ZTZYIZDGIVPACO-FUHWJXTLSA-N 0 3 307.865 4.021 20 0 DIADHN CC[C@@H](NC[C@@H](C)CC(C)(C)C)c1nnc2n1CCCCC2 ZINC001196095680 1049614865 /nfs/dbraw/zinc/61/48/65/1049614865.db2.gz ZZBYRFLORAVPOD-LSDHHAIUSA-N 0 3 306.498 4.118 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@@]2(CC(=O)c3ccccc3O2)C1 ZINC001196135351 1049617684 /nfs/dbraw/zinc/61/76/84/1049617684.db2.gz VQYGLUZMMRECIL-IIBYNOLFSA-N 0 3 321.420 4.248 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@H](c3ncc[nH]3)C2)c1 ZINC000488245967 1049623105 /nfs/dbraw/zinc/62/31/05/1049623105.db2.gz CQZRZOXKZMOWEL-ZDUSSCGKSA-N 0 3 324.255 4.139 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nc(Cl)cs2)c(C)c1 ZINC001205997714 1049623937 /nfs/dbraw/zinc/62/39/37/1049623937.db2.gz DALOTSUVYVUIKM-UHFFFAOYSA-N 0 3 308.878 4.481 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2cccc(OC(C)C)c2)c(C)o1 ZINC000774200642 1049624242 /nfs/dbraw/zinc/62/42/42/1049624242.db2.gz CZVMVGCOYOIKPB-IFXJQAMLSA-N 0 3 317.429 4.068 20 0 DIADHN CC(C)[C@@H]1N(Cc2ccnn2CC2CCC2)CC12CCCC2 ZINC001182332510 1049627725 /nfs/dbraw/zinc/62/77/25/1049627725.db2.gz CTQQKDQRLLQZHI-SFHVURJKSA-N 0 3 301.478 4.084 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2c(F)cccc2Cl)c(C)o1 ZINC000774251377 1049634894 /nfs/dbraw/zinc/63/48/94/1049634894.db2.gz JDJCTGVHICFXDO-BMIGLBTASA-N 0 3 311.784 4.073 20 0 DIADHN CCCN(Cc1nnc(-c2ccccc2Cl)o1)C[C@@H]1C[C@@H]1C ZINC000488359457 1049641208 /nfs/dbraw/zinc/64/12/08/1049641208.db2.gz ZYLUVDLYTMDEGN-STQMWFEESA-N 0 3 319.836 4.258 20 0 DIADHN Fc1cccc(F)c1C1(CNCc2cc(C3CC3)no2)CCC1 ZINC001182387625 1049641487 /nfs/dbraw/zinc/64/14/87/1049641487.db2.gz JDVBBZULDKGOPG-UHFFFAOYSA-N 0 3 318.367 4.042 20 0 DIADHN C[C@H]1CSCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000488363843 1049642440 /nfs/dbraw/zinc/64/24/40/1049642440.db2.gz ISATUVKWGQKQKP-LLVKDONJSA-N 0 3 310.513 4.057 20 0 DIADHN CO[C@H](CN[C@H]1C[C@@H](C(C)C)c2ccccc21)C(F)(F)F ZINC000774294387 1049643134 /nfs/dbraw/zinc/64/31/34/1049643134.db2.gz WZJHFTXMXZELJO-SOUVJXGZSA-N 0 3 301.352 4.038 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)Nc1ccc(Cl)cc1C ZINC001196328862 1049643645 /nfs/dbraw/zinc/64/36/45/1049643645.db2.gz IYUSNFAPMHJUES-DZGCQCFKSA-N 0 3 308.853 4.240 20 0 DIADHN CCN(Cc1occc1C)Cc1ccc(C)cc1-c1cnn(C)c1 ZINC001182424540 1049643760 /nfs/dbraw/zinc/64/37/60/1049643760.db2.gz UWBHRACBVCAAQU-UHFFFAOYSA-N 0 3 323.440 4.319 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OC(C)C)nc1)CCC2 ZINC000339065916 1049645209 /nfs/dbraw/zinc/64/52/09/1049645209.db2.gz DTKLNTYCEJNPNS-IBGZPJMESA-N 0 3 310.441 4.344 20 0 DIADHN CC(C)(C)CN(CC(=O)OC(C)(C)C)C[C@@H]1CCC(F)(F)C1 ZINC001196367854 1049647608 /nfs/dbraw/zinc/64/76/08/1049647608.db2.gz RBDJQSXVORAFOJ-CYBMUJFWSA-N 0 3 319.436 4.112 20 0 DIADHN CCc1ccc(NC(=O)c2ccccc2CN(C)C)cc1Cl ZINC000488450132 1049657706 /nfs/dbraw/zinc/65/77/06/1049657706.db2.gz RGMLYVNYUHESML-UHFFFAOYSA-N 0 3 316.832 4.216 20 0 DIADHN CC1(C)CN(Cc2ccc(F)c3ccccc23)[C@H]1[C@@H]1CCCO1 ZINC001206121056 1049659140 /nfs/dbraw/zinc/65/91/40/1049659140.db2.gz GVLKCLLHWAMEMI-OALUTQOASA-N 0 3 313.416 4.368 20 0 DIADHN COC[C@H](N[C@H](C)CSC(C)(C)C)c1ccc(F)c(F)c1 ZINC000774473641 1049673121 /nfs/dbraw/zinc/67/31/21/1049673121.db2.gz PWIDSHPZNRAVSF-ABAIWWIYSA-N 0 3 317.445 4.162 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCc2ccsc2)[n-]1 ZINC000488480845 1049661451 /nfs/dbraw/zinc/66/14/51/1049661451.db2.gz QCHXKQPADBBICT-XQQFMLRXSA-N 0 3 306.479 4.052 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)Cc2ccc(Cl)cc2)[n-]1 ZINC000488468913 1049661561 /nfs/dbraw/zinc/66/15/61/1049661561.db2.gz YIVDQRWEFQAZCW-XQQFMLRXSA-N 0 3 320.868 4.253 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CC[C@@H](c3ccccc3)C2)[n-]1 ZINC000488505059 1049663977 /nfs/dbraw/zinc/66/39/77/1049663977.db2.gz XESGJVHSWGKVDN-DIECFANBSA-N 0 3 312.461 4.305 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(F)cc(N2CCCC2)c1 ZINC001206134279 1049664194 /nfs/dbraw/zinc/66/41/94/1049664194.db2.gz IKNSGEQLSPJGJP-UXBLZVDNSA-N 0 3 311.404 4.020 20 0 DIADHN C[C@@H](NCc1c(Cl)cncc1Cl)C1CCC(F)CC1 ZINC001196490016 1049665426 /nfs/dbraw/zinc/66/54/26/1049665426.db2.gz WHMWJRSBWHBIKC-KPPDAEKUSA-N 0 3 305.224 4.395 20 0 DIADHN Fc1cccc2cc(CN3CCSC[C@@H]3C3CCC3)cnc12 ZINC001182463581 1049665857 /nfs/dbraw/zinc/66/58/57/1049665857.db2.gz VOVLWKYWJICGMU-QGZVFWFLSA-N 0 3 316.445 4.091 20 0 DIADHN Cc1cc([C@@H]([NH2+]Cc2ccc([O-])c(F)c2)C2CC2)ccc1F ZINC000774426575 1049669293 /nfs/dbraw/zinc/66/92/93/1049669293.db2.gz XGXDODCDDKWTAW-SFHVURJKSA-N 0 3 303.352 4.220 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@H]1COC2(CCCC2)O1 ZINC000774469676 1049672354 /nfs/dbraw/zinc/67/23/54/1049672354.db2.gz HRNIVVVCDGGNDT-CABCVRRESA-N 0 3 315.413 4.078 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@@H](Oc3ccc(C)cc3)C2)s1 ZINC001206171410 1049675086 /nfs/dbraw/zinc/67/50/86/1049675086.db2.gz MBPRMYCLUJISHH-QGZVFWFLSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@H](Oc3ccc(C)cc3)C2)s1 ZINC001206171409 1049675789 /nfs/dbraw/zinc/67/57/89/1049675789.db2.gz MBPRMYCLUJISHH-KRWDZBQOSA-N 0 3 316.470 4.112 20 0 DIADHN CCN(CC(C)C)[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000488569691 1049677518 /nfs/dbraw/zinc/67/75/18/1049677518.db2.gz OSBGPZARVJZGJK-LBPRGKRZSA-N 0 3 307.825 4.429 20 0 DIADHN OCC[C@H](N[C@@H]1C=CCCC1)c1cc(Cl)cc(Cl)c1 ZINC001196659484 1049679382 /nfs/dbraw/zinc/67/93/82/1049679382.db2.gz XVBJJXROIZONFT-CABCVRRESA-N 0 3 300.229 4.115 20 0 DIADHN OCC[C@@H](N[C@@H]1C=CCCC1)c1cc(Cl)cc(Cl)c1 ZINC001196659486 1049679582 /nfs/dbraw/zinc/67/95/82/1049679582.db2.gz XVBJJXROIZONFT-HUUCEWRRSA-N 0 3 300.229 4.115 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](N[C@@H]2CCOc3c(F)cccc32)CS1 ZINC000488596355 1049682470 /nfs/dbraw/zinc/68/24/70/1049682470.db2.gz YOLPZXHTEMBVFC-NILFDRSVSA-N 0 3 309.450 4.159 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](N[C@@H]2CCOc3c(F)cccc32)CS1 ZINC000488596347 1049682703 /nfs/dbraw/zinc/68/27/03/1049682703.db2.gz YOLPZXHTEMBVFC-KCPJHIHWSA-N 0 3 309.450 4.159 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H]([C@H]2CCCC2(C)C)C1 ZINC001182532527 1049683493 /nfs/dbraw/zinc/68/34/93/1049683493.db2.gz FAKHBBWGUQLFHF-TZMCWYRMSA-N 0 3 309.885 4.274 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC001182532526 1049683650 /nfs/dbraw/zinc/68/36/50/1049683650.db2.gz FAKHBBWGUQLFHF-OCCSQVGLSA-N 0 3 309.885 4.274 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000775739885 1049685777 /nfs/dbraw/zinc/68/57/77/1049685777.db2.gz HHQXQXICMODGHO-QGZVFWFLSA-N 0 3 310.526 4.172 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1cncc(Br)c1 ZINC000488709680 1049689113 /nfs/dbraw/zinc/68/91/13/1049689113.db2.gz RADHYXSYXCYVEH-CQSZACIVSA-N 0 3 311.267 4.245 20 0 DIADHN CCc1cccc2cc(CN3C[C@H](O)C[C@@H]3c3ccccc3)oc21 ZINC001206248250 1049689708 /nfs/dbraw/zinc/68/97/08/1049689708.db2.gz STJLCBKNXWPISL-UYAOXDASSA-N 0 3 321.420 4.303 20 0 DIADHN CCSc1cccc(CN2CCC[C@@H](OC3CCC3)C2)c1 ZINC001182634353 1049691211 /nfs/dbraw/zinc/69/12/11/1049691211.db2.gz LAZFSVRLTVIVRW-QGZVFWFLSA-N 0 3 305.487 4.332 20 0 DIADHN CCNc1ccccc1CN1C[C@@H](C)OC2(CCCCC2)C1 ZINC001182645189 1049697314 /nfs/dbraw/zinc/69/73/14/1049697314.db2.gz PGMWFPISYBMTED-MRXNPFEDSA-N 0 3 302.462 4.042 20 0 DIADHN C[C@H]1CN(Cc2cccc3ccoc32)CCN1Cc1ccccc1 ZINC001206276971 1049698263 /nfs/dbraw/zinc/69/82/63/1049698263.db2.gz WERZSNOVRAEAKY-KRWDZBQOSA-N 0 3 320.436 4.139 20 0 DIADHN COc1ccc(F)c(CN2CCc3ccc(F)cc3[C@H](C)C2)c1 ZINC001182653205 1049699191 /nfs/dbraw/zinc/69/91/91/1049699191.db2.gz GZJWCLUXJVNOSP-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN CCOc1ccc(CN2CC3(CC=CC3)[C@H]2C(C)C)cc1F ZINC001182694602 1049701825 /nfs/dbraw/zinc/70/18/25/1049701825.db2.gz UZNCWDPBEWQZDD-GOSISDBHSA-N 0 3 303.421 4.401 20 0 DIADHN C[C@@H]1CN(Cc2ccnc3ccccc23)CCc2ccccc21 ZINC000911595165 1049702278 /nfs/dbraw/zinc/70/22/78/1049702278.db2.gz AEJCQMHBLRLGDN-MRXNPFEDSA-N 0 3 302.421 4.397 20 0 DIADHN CC(C)n1nccc1CN1CCC2(CCc3ccccc3C2)CC1 ZINC001182713723 1049703519 /nfs/dbraw/zinc/70/35/19/1049703519.db2.gz HWSBJDZYYBEXST-UHFFFAOYSA-N 0 3 323.484 4.235 20 0 DIADHN COC(=O)C12CCC(CC1)N2CC1CCC(C(C)(C)C)CC1 ZINC001196906719 1049703482 /nfs/dbraw/zinc/70/34/82/1049703482.db2.gz DZVQQHUXJDZOBR-UHFFFAOYSA-N 0 3 307.478 4.009 20 0 DIADHN CN(C[C@H]1CCCO1)[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000488847550 1049704179 /nfs/dbraw/zinc/70/41/79/1049704179.db2.gz ZRSLWERDIJPGBL-ABAIWWIYSA-N 0 3 300.229 4.092 20 0 DIADHN CCN(Cc1ccc(-c2ccc(F)cc2)o1)[C@@H]1CCCOC1 ZINC001182725103 1049707227 /nfs/dbraw/zinc/70/72/27/1049707227.db2.gz GQPUCFXZPLGXQQ-MRXNPFEDSA-N 0 3 303.377 4.087 20 0 DIADHN CC[C@@H](OCCNCc1cc(C)nc(Cl)c1)c1ccccc1 ZINC001182734044 1049708374 /nfs/dbraw/zinc/70/83/74/1049708374.db2.gz LSLWUGMUXCBRTC-QGZVFWFLSA-N 0 3 318.848 4.301 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nccc4c3CCCC4)CCS2)cc1 ZINC001182765350 1049713474 /nfs/dbraw/zinc/71/34/74/1049713474.db2.gz UOMGCYBOANTRCP-FQEVSTJZSA-N 0 3 324.493 4.251 20 0 DIADHN Cc1ccc(-c2nc(CN3C[C@@H]4CC=CC[C@@H]4C3)cs2)o1 ZINC001197013927 1049714342 /nfs/dbraw/zinc/71/43/42/1049714342.db2.gz ZGGMRTWRRODULB-OKILXGFUSA-N 0 3 300.427 4.110 20 0 DIADHN Fc1ccc(F)c(C[C@H]2CCCN2Cc2cccn2C2CC2)c1 ZINC001206335557 1049714735 /nfs/dbraw/zinc/71/47/35/1049714735.db2.gz IBDVFPPGSUGZLN-QGZVFWFLSA-N 0 3 316.395 4.308 20 0 DIADHN Cc1cc(C)c(CN2CCN(c3cccc(F)c3)CC2)c(C)c1 ZINC001197032713 1049715679 /nfs/dbraw/zinc/71/56/79/1049715679.db2.gz RSXJZERCJKNDHT-UHFFFAOYSA-N 0 3 312.432 4.073 20 0 DIADHN Fc1ccc(F)c(C[C@@H]2CCCN2Cc2cccn2C2CC2)c1 ZINC001206335555 1049715616 /nfs/dbraw/zinc/71/56/16/1049715616.db2.gz IBDVFPPGSUGZLN-KRWDZBQOSA-N 0 3 316.395 4.308 20 0 DIADHN C[C@H]1CCc2nc(CN3CC[C@@H](C4CCCC4)C3)sc2C1 ZINC001206351843 1049718238 /nfs/dbraw/zinc/71/82/38/1049718238.db2.gz YKWXHZZYQGXBGN-DZGCQCFKSA-N 0 3 304.503 4.280 20 0 DIADHN CC[C@@H]1CN(CCc2ccc(C(C)(C)C)cc2)C[C@H](CC)O1 ZINC001206349958 1049718589 /nfs/dbraw/zinc/71/85/89/1049718589.db2.gz DQXHLJSIDNMEOS-KDURUIRLSA-N 0 3 303.490 4.416 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@H]2CCC[C@@H](CC(C)C)C2)s1 ZINC001206356945 1049719465 /nfs/dbraw/zinc/71/94/65/1049719465.db2.gz BXSJSTRWRFUPFL-IHRRRGAJSA-N 0 3 324.490 4.185 20 0 DIADHN CCCN(C[C@H]1CC1(Cl)Cl)[C@H]1CCCc2cccnc21 ZINC000775761059 1049725259 /nfs/dbraw/zinc/72/52/59/1049725259.db2.gz RVFFKQJPVNYPEQ-KGLIPLIRSA-N 0 3 313.272 4.365 20 0 DIADHN Cc1ccc(CN[C@H](COc2ccccc2F)C(C)(C)C)o1 ZINC000489067961 1049726115 /nfs/dbraw/zinc/72/61/15/1049726115.db2.gz NDERHLFRRPNMSZ-QGZVFWFLSA-N 0 3 305.393 4.310 20 0 DIADHN FC[C@H]1CCN(Cc2cc(Br)c3ccccc3c2)C1 ZINC001197316597 1049738066 /nfs/dbraw/zinc/73/80/66/1049738066.db2.gz PLKYGUWDTYJILS-GFCCVEGCSA-N 0 3 322.221 4.394 20 0 DIADHN FC[C@@H]1CCN(Cc2cc(Br)c3ccccc3c2)C1 ZINC001197316601 1049738303 /nfs/dbraw/zinc/73/83/03/1049738303.db2.gz PLKYGUWDTYJILS-LBPRGKRZSA-N 0 3 322.221 4.394 20 0 DIADHN COc1c(C)cccc1CNC[C@H](OC(C)C)c1ccccc1 ZINC001206471698 1049738543 /nfs/dbraw/zinc/73/85/43/1049738543.db2.gz OHHZCYFROMZYFA-IBGZPJMESA-N 0 3 313.441 4.260 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C(C)(C)CC3CCC3)n2)cc1 ZINC001206479165 1049739958 /nfs/dbraw/zinc/73/99/58/1049739958.db2.gz QJILTAAYNVJSKH-UHFFFAOYSA-N 0 3 313.445 4.266 20 0 DIADHN C[C@H](c1ccccc1)N1CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC001197348057 1049741493 /nfs/dbraw/zinc/74/14/93/1049741493.db2.gz DDLMOWUIWDLGCU-TYCQWZJGSA-N 0 3 324.443 4.060 20 0 DIADHN CCc1ccc(CN2CCN(CCCC3CCCC3)CC2)cc1 ZINC001197350919 1049741951 /nfs/dbraw/zinc/74/19/51/1049741951.db2.gz UJKMHHGMUYTILO-UHFFFAOYSA-N 0 3 314.517 4.337 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)N(CC)CC1CC1 ZINC000489321803 1049743990 /nfs/dbraw/zinc/74/39/90/1049743990.db2.gz CKYCNWJGUGJLJM-CABCVRRESA-N 0 3 302.462 4.259 20 0 DIADHN COc1cccc(CN2CCc3ccc(F)cc3[C@H](C)C2)c1F ZINC001206499304 1049745178 /nfs/dbraw/zinc/74/51/78/1049745178.db2.gz XYRNPIXCYNLFQY-CYBMUJFWSA-N 0 3 317.379 4.135 20 0 DIADHN COC(=O)c1csc(CN2CCC[C@@]2(C)c2ccccc2)c1 ZINC001197341506 1049746070 /nfs/dbraw/zinc/74/60/70/1049746070.db2.gz JSQCHXGHXALZTL-SFHVURJKSA-N 0 3 315.438 4.046 20 0 DIADHN Cc1oncc1CN1CC[C@@H](c2ccc(F)c(F)c2)C1(C)C ZINC001197420934 1049749959 /nfs/dbraw/zinc/74/99/59/1049749959.db2.gz FDCPJUJSBMCGAB-AWEZNQCLSA-N 0 3 306.356 4.029 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@H](c1ccccc1F)[C@H](C)O ZINC001206530561 1049751183 /nfs/dbraw/zinc/75/11/83/1049751183.db2.gz UKPHUPAMWPJOTG-VBHSOAQHSA-N 0 3 321.823 4.122 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc(Br)cn1 ZINC001206533932 1049751886 /nfs/dbraw/zinc/75/18/86/1049751886.db2.gz ODQCZGZSPRNDSU-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)c1ccc(C(F)(F)F)nc1 ZINC001197533635 1049756298 /nfs/dbraw/zinc/75/62/98/1049756298.db2.gz RLEHUXJREOGXNQ-LBPRGKRZSA-N 0 3 320.358 4.440 20 0 DIADHN CCCn1cc(CN2CCC(C3CCCCC3)CC2)c(C)n1 ZINC001206569978 1049758520 /nfs/dbraw/zinc/75/85/20/1049758520.db2.gz ZMTVKYNVQAQEHS-UHFFFAOYSA-N 0 3 303.494 4.394 20 0 DIADHN COc1cc(C)ccc1CN1CC[C@](C)(c2cccc(F)c2)C1 ZINC001206569410 1049759649 /nfs/dbraw/zinc/75/96/49/1049759649.db2.gz VAEIZDDQFWDCKG-FQEVSTJZSA-N 0 3 313.416 4.306 20 0 DIADHN CN(Cc1cccc(C(=O)Nc2ccccc2)c1)[C@@H]1CC1(C)C ZINC000489468204 1049760578 /nfs/dbraw/zinc/76/05/78/1049760578.db2.gz AWOXZHDTQIXMLN-GOSISDBHSA-N 0 3 308.425 4.169 20 0 DIADHN CCCCC[C@@H](OCCCN1CCN(C)CC1)[C@H](C)CCC ZINC001206596581 1049765005 /nfs/dbraw/zinc/76/50/05/1049765005.db2.gz PAFONNYUDJNMLD-RTBURBONSA-N 0 3 312.542 4.026 20 0 DIADHN CCCCCC(CCCCC)OCCCN1CCN(C)CC1 ZINC001206596146 1049765190 /nfs/dbraw/zinc/76/51/90/1049765190.db2.gz MANAYISUEWFWLZ-UHFFFAOYSA-N 0 3 312.542 4.170 20 0 DIADHN OC[C@@H](NC1CC2(C1)CCCC2)c1cccc(Cl)c1Cl ZINC001206721205 1049783691 /nfs/dbraw/zinc/78/36/91/1049783691.db2.gz SNOPRRDDSPHBCE-CQSZACIVSA-N 0 3 314.256 4.339 20 0 DIADHN C[C@H](CN1CC[C@@H](Oc2ccccc2)C1)c1cc(F)cc(F)c1 ZINC001206728325 1049784892 /nfs/dbraw/zinc/78/48/92/1049784892.db2.gz QEIYACVJVTUVHD-AUUYWEPGSA-N 0 3 317.379 4.222 20 0 DIADHN C[C@@H](CN1CC[C@@H](Oc2ccccc2)C1)c1cc(F)cc(F)c1 ZINC001206728326 1049785313 /nfs/dbraw/zinc/78/53/13/1049785313.db2.gz QEIYACVJVTUVHD-IFXJQAMLSA-N 0 3 317.379 4.222 20 0 DIADHN CN(CC(=O)Nc1cc(Cl)ccc1Cl)C1(C)CCCC1 ZINC000489860984 1049786782 /nfs/dbraw/zinc/78/67/82/1049786782.db2.gz OGNMDNOZHNPNIC-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN CCCN([C@@H](C)C(=O)Nc1ccccc1C)[C@H]1C=CCCC1 ZINC000490077643 1049798672 /nfs/dbraw/zinc/79/86/72/1049798672.db2.gz DZDGUDQOJBXPGU-IRXDYDNUSA-N 0 3 300.446 4.143 20 0 DIADHN CCCN([C@@H](C)C(=O)Nc1ccccc1C)[C@@H]1C=CCCC1 ZINC000490077641 1049799644 /nfs/dbraw/zinc/79/96/44/1049799644.db2.gz DZDGUDQOJBXPGU-DLBZAZTESA-N 0 3 300.446 4.143 20 0 DIADHN CCCN([C@H](C)C(=O)Nc1ccccc1C)[C@H]1C=CCCC1 ZINC000490077644 1049799751 /nfs/dbraw/zinc/79/97/51/1049799751.db2.gz DZDGUDQOJBXPGU-SJORKVTESA-N 0 3 300.446 4.143 20 0 DIADHN Cc1nn(C(=O)OC(C)(C)C)c(C)c1CN1[C@H](C)CCC[C@H]1C ZINC001206804404 1049803150 /nfs/dbraw/zinc/80/31/50/1049803150.db2.gz PKAPEXBKRXYSQF-CHWSQXEVSA-N 0 3 321.465 4.046 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OCC3CC3)nc1)[C@@H](C)C2 ZINC000490121246 1049805126 /nfs/dbraw/zinc/80/51/26/1049805126.db2.gz ZVRUUUZZVMAVOR-BTYIYWSLSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OCC3CC3)nc1)[C@@H](C)C2 ZINC000490121249 1049805165 /nfs/dbraw/zinc/80/51/65/1049805165.db2.gz ZVRUUUZZVMAVOR-YCRPNKLZSA-N 0 3 322.452 4.202 20 0 DIADHN CC[C@@H](NCCN(CC)c1ccccc1C)c1ccc(F)cn1 ZINC000490183890 1049806498 /nfs/dbraw/zinc/80/64/98/1049806498.db2.gz CSPHAQZWCOOIDP-QGZVFWFLSA-N 0 3 315.436 4.096 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@@H]4CCC[C@H]4C3)no2)cc1 ZINC001206830804 1049808527 /nfs/dbraw/zinc/80/85/27/1049808527.db2.gz GCBATLAFXJZPDV-ZFWWWQNUSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccc(-c2cc(CN3CC4CCC(CC4)C3)no2)cc1 ZINC001206838855 1049811027 /nfs/dbraw/zinc/81/10/27/1049811027.db2.gz RSKPFBLYXBGPKJ-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccnc(CN2CCC(Cc3cccc(Cl)c3)CC2)c1 ZINC001206847165 1049812388 /nfs/dbraw/zinc/81/23/88/1049812388.db2.gz HZODGSPAHXNNHY-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1ccnc(CN2CCC[C@]3(CCc4c3cccc4F)C2)c1 ZINC001206853061 1049815538 /nfs/dbraw/zinc/81/55/38/1049815538.db2.gz KNVTXAKCCNEFOK-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1ncsc1CN1CCC(n2ccc3ccccc32)CC1 ZINC001206991535 1049816746 /nfs/dbraw/zinc/81/67/46/1049816746.db2.gz KKUCBHBNSGKKJW-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN Fc1cncc(CN(Cc2ccccc2)C2CCSCC2)c1 ZINC001207025242 1049821166 /nfs/dbraw/zinc/82/11/66/1049821166.db2.gz WKNRHTDKVXRILL-UHFFFAOYSA-N 0 3 316.445 4.119 20 0 DIADHN CCc1ccc(C2CCN(Cc3ccnc(F)c3C)CC2)cc1 ZINC001207019024 1049821241 /nfs/dbraw/zinc/82/12/41/1049821241.db2.gz RTWDTLDGUDEPPT-UHFFFAOYSA-N 0 3 312.432 4.471 20 0 DIADHN Cn1c(CN2CCC3(CCSCC3)CC2)cc2ccccc21 ZINC001207035310 1049822605 /nfs/dbraw/zinc/82/26/05/1049822605.db2.gz NMPXJPJDIDOTNI-UHFFFAOYSA-N 0 3 314.498 4.288 20 0 DIADHN C[C@@H]1C[C@H](C)CN1Cc1ccc(Br)c2cccnc12 ZINC000490542451 1049841762 /nfs/dbraw/zinc/84/17/62/1049841762.db2.gz JPTVUKDQAPAYLR-NWDGAFQWSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1ncccc1CN1CCC2(CC1)OC(C)(C)c1ccccc12 ZINC001207155427 1049844820 /nfs/dbraw/zinc/84/48/20/1049844820.db2.gz RWTAEOGUKSCZRT-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000913206228 1049850756 /nfs/dbraw/zinc/85/07/56/1049850756.db2.gz MFVFCPYTPFVBEW-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN COc1ccc(CN2CC([C@H]3CCC[C@@H](C)C3)C2)c(C)c1OC ZINC001207234434 1049866238 /nfs/dbraw/zinc/86/62/38/1049866238.db2.gz KUJNTTAQIODEIW-ZBFHGGJFSA-N 0 3 317.473 4.270 20 0 DIADHN COc1cc(F)c(CN2CCC[C@@H](C3CCC3)CC2)cc1OC ZINC001207262559 1049872072 /nfs/dbraw/zinc/87/20/72/1049872072.db2.gz XPUBHOGNYPNLOP-OAHLLOKOSA-N 0 3 321.436 4.245 20 0 DIADHN CC1=CC[C@@H](CCN2CCC(C(=O)C(F)(F)F)CC2)C1(C)C ZINC001207278483 1049874836 /nfs/dbraw/zinc/87/48/36/1049874836.db2.gz DQMAWDPGMOQERU-AWEZNQCLSA-N 0 3 317.395 4.212 20 0 DIADHN Brc1ccc(CCCN2Cc3ccccc3C2)cc1 ZINC001207317822 1049879532 /nfs/dbraw/zinc/87/95/32/1049879532.db2.gz LWBAQAXNTYUUNQ-UHFFFAOYSA-N 0 3 316.242 4.398 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1cc(O)ccc1Cl ZINC001207333137 1049880761 /nfs/dbraw/zinc/88/07/61/1049880761.db2.gz AVCLJLWJWRCTIH-NSHDSACASA-N 0 3 310.224 4.420 20 0 DIADHN CCCN(Cc1cccc(O)c1OC)[C@@H]1CCc2ccccc21 ZINC000491073805 1049881525 /nfs/dbraw/zinc/88/15/25/1049881525.db2.gz BGWCSZOOYQCTIZ-GOSISDBHSA-N 0 3 311.425 4.300 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000491058928 1049883208 /nfs/dbraw/zinc/88/32/08/1049883208.db2.gz JAEBNXZSXPNIRU-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@H](C4CC4)C3)cs2)cc1 ZINC000491139377 1049886126 /nfs/dbraw/zinc/88/61/26/1049886126.db2.gz SZCPBEBTXSWTID-HNNXBMFYSA-N 0 3 314.454 4.051 20 0 DIADHN Clc1cccc(-c2nc(CN3CC[C@H](C4CC4)C3)co2)c1 ZINC000491131182 1049887398 /nfs/dbraw/zinc/88/73/98/1049887398.db2.gz GWKFGCOXULCLGX-AWEZNQCLSA-N 0 3 302.805 4.227 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](NCc2ncc(Cl)s2)C1 ZINC001207440217 1049893802 /nfs/dbraw/zinc/89/38/02/1049893802.db2.gz XEEZXNYQCJICSA-BBRMVZONSA-N 0 3 306.862 4.397 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)C[C@H]1CCCOC1 ZINC001207469778 1049895499 /nfs/dbraw/zinc/89/54/99/1049895499.db2.gz NLEGPFJLGFIYGD-GFCCVEGCSA-N 0 3 302.245 4.242 20 0 DIADHN Fc1ccccc1CCN1CCSC[C@@H]1c1ccccc1 ZINC001207502245 1049900858 /nfs/dbraw/zinc/90/08/58/1049900858.db2.gz QSBBZHWXHBBZQH-GOSISDBHSA-N 0 3 301.430 4.158 20 0 DIADHN C[C@H]1CCN(CC(c2ccccc2)c2ccccc2)CCC1=O ZINC001207522455 1049903758 /nfs/dbraw/zinc/90/37/58/1049903758.db2.gz GNCHHXHAHWHRIF-KRWDZBQOSA-N 0 3 307.437 4.120 20 0 DIADHN CC(=O)[C@@H]1CCCCN1CC(c1ccccc1)c1ccccc1 ZINC001207525922 1049904655 /nfs/dbraw/zinc/90/46/55/1049904655.db2.gz JCHBLXAGGJXWLA-NRFANRHFSA-N 0 3 307.437 4.262 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3c(F)cccc3F)C2)cc(C)c1O ZINC001207527622 1049905613 /nfs/dbraw/zinc/90/56/13/1049905613.db2.gz RLGYXQOHNUVFRM-OAHLLOKOSA-N 0 3 317.379 4.277 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)C[C@H]2C[C@H]3C=C[C@@H]2C3)on1 ZINC001207630982 1049917791 /nfs/dbraw/zinc/91/77/91/1049917791.db2.gz BRIVPYNPDSLXAW-IPMKNSEASA-N 0 3 308.425 4.197 20 0 DIADHN c1nnc([C@H](NCc2ccc3ccccc3c2)C2CCCCC2)[nH]1 ZINC000570996596 1049918854 /nfs/dbraw/zinc/91/88/54/1049918854.db2.gz GLZCIYSFYLTBIP-LJQANCHMSA-N 0 3 320.440 4.369 20 0 DIADHN c1nnc([C@@H](NCc2ccc3ccccc3c2)C2CCCCC2)[nH]1 ZINC000570996595 1049919300 /nfs/dbraw/zinc/91/93/00/1049919300.db2.gz GLZCIYSFYLTBIP-IBGZPJMESA-N 0 3 320.440 4.369 20 0 DIADHN CCCCCC[C@@H]1CCC[C@@H]1NCc1nc2c(s1)COCC2 ZINC001207638255 1049919662 /nfs/dbraw/zinc/91/96/62/1049919662.db2.gz CBMOPWVQKGZUSC-CABCVRRESA-N 0 3 322.518 4.445 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN[C@@H](C)c1cn[nH]c1 ZINC000571120718 1049920256 /nfs/dbraw/zinc/92/02/56/1049920256.db2.gz XCVLKNIQBVVMPZ-BCMYLCSRSA-N 0 3 309.335 4.183 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN[C@H](C)c1cn[nH]c1 ZINC000571120722 1049920559 /nfs/dbraw/zinc/92/05/59/1049920559.db2.gz XCVLKNIQBVVMPZ-IGEMTJHASA-N 0 3 309.335 4.183 20 0 DIADHN Cc1cc([C@@](C)(O)CN[C@H](C)c2cc3ccccc3o2)c(C)o1 ZINC000164172144 1049924786 /nfs/dbraw/zinc/92/47/86/1049924786.db2.gz LOYJYFBZSHUDKG-YJYMSZOUSA-N 0 3 313.397 4.201 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN1CCc2ncc(Cl)cc2C1 ZINC001207683002 1049926609 /nfs/dbraw/zinc/92/66/09/1049926609.db2.gz IMPCNMAVPLBMTF-CQSZACIVSA-N 0 3 324.896 4.061 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(O)(C2CCC2)CC1 ZINC001207708740 1049929903 /nfs/dbraw/zinc/92/99/03/1049929903.db2.gz XQZNYYVOOIBCSH-UHFFFAOYSA-N 0 3 307.865 4.084 20 0 DIADHN Cc1ccc(C)c(C2CCN(Cc3cccnc3N(C)C)CC2)c1 ZINC001207739047 1049933352 /nfs/dbraw/zinc/93/33/52/1049933352.db2.gz VVBJGAYJBWBYDW-UHFFFAOYSA-N 0 3 323.484 4.144 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)CC2CCSCC2)on1 ZINC001207755330 1049935391 /nfs/dbraw/zinc/93/53/91/1049935391.db2.gz JHFFYWBPPQHJIM-UHFFFAOYSA-N 0 3 316.470 4.128 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CNCc1ncccc1Br ZINC001207799341 1049939922 /nfs/dbraw/zinc/93/99/22/1049939922.db2.gz ZSFKVIORLGZZEE-QWHCGFSZSA-N 0 3 311.267 4.150 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(C)nc(N3CCCC3)c2)c(C)c1 ZINC000571605536 1049940156 /nfs/dbraw/zinc/94/01/56/1049940156.db2.gz PEELWGAUZBUFHA-SFHVURJKSA-N 0 3 323.484 4.458 20 0 DIADHN CN(CCCc1cccc(C(F)(F)F)c1)Cc1ccccn1 ZINC001207841342 1049943179 /nfs/dbraw/zinc/94/31/79/1049943179.db2.gz CYIWOBJHLDGZRL-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CC(C)=CCCC1=CC[C@H](CN2CC(N3CC[C@H](F)C3)C2)CC1 ZINC001207938988 1049961177 /nfs/dbraw/zinc/96/11/77/1049961177.db2.gz YJHCBNIEECLEPS-OALUTQOASA-N 0 3 320.496 4.187 20 0 DIADHN Cc1ccc(CCCN2CCSC[C@H]2c2ccccc2)o1 ZINC001207948102 1049963405 /nfs/dbraw/zinc/96/34/05/1049963405.db2.gz NPNZAYUNFCTNOC-SFHVURJKSA-N 0 3 301.455 4.311 20 0 DIADHN Clc1ccc([C@@H]2CCN(C[C@H]3CCCCO3)C2)cc1Cl ZINC001207956397 1049964648 /nfs/dbraw/zinc/96/46/48/1049964648.db2.gz KSIYWZLLQWNCJB-ZIAGYGMSSA-N 0 3 314.256 4.352 20 0 DIADHN c1cc(C2CCC2)ccc1CNCc1ccc(N2CCCC2)nc1 ZINC000571780579 1049966559 /nfs/dbraw/zinc/96/65/59/1049966559.db2.gz UWNPPIYQNMCKEL-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN COc1cccc([C@@H]2CCCN2CCc2c(F)cccc2F)c1 ZINC001208117219 1049974067 /nfs/dbraw/zinc/97/40/67/1049974067.db2.gz QMRZAWRWHZPMEJ-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCN(C)C[C@@H]2c2ccccc2)o1 ZINC001208153131 1049978143 /nfs/dbraw/zinc/97/81/43/1049978143.db2.gz XSEXZUVCBWSZBN-VQIMIIECSA-N 0 3 312.457 4.070 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CC[C@@H]2COCc2ccccc2)o1 ZINC001208156465 1049978895 /nfs/dbraw/zinc/97/88/95/1049978895.db2.gz QBNLNVOVMHEAIT-QFBILLFUSA-N 0 3 313.441 4.373 20 0 DIADHN CC1=C[C@@H](C)[C@@H](CN2CCc3ncc(C(F)(F)F)cc3C2)CC1 ZINC001208181644 1049982697 /nfs/dbraw/zinc/98/26/97/1049982697.db2.gz OTORUZFSMJUWHB-ZIAGYGMSSA-N 0 3 324.390 4.451 20 0 DIADHN C[C@H](NCc1ccc(F)c(F)c1)c1cccc(N2CCCC2)c1 ZINC000088764422 1049986747 /nfs/dbraw/zinc/98/67/47/1049986747.db2.gz CCNFPVWCAPJYHE-AWEZNQCLSA-N 0 3 316.395 4.416 20 0 DIADHN CCC(C)(C)C(=O)NC[C@@H](c1ccccc1)N1CCC(C)CC1 ZINC000588642356 1049989578 /nfs/dbraw/zinc/98/95/78/1049989578.db2.gz IAPKARJZGMNWSJ-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN Fc1cc(F)cc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)c1 ZINC000166065645 1049991432 /nfs/dbraw/zinc/99/14/32/1049991432.db2.gz ZECVUDYNTSZVMT-IFXJQAMLSA-N 0 3 317.379 4.222 20 0 DIADHN Fc1cc(F)cc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)c1 ZINC000166066020 1049991726 /nfs/dbraw/zinc/99/17/26/1049991726.db2.gz ZECVUDYNTSZVMT-KUHUBIRLSA-N 0 3 317.379 4.222 20 0 DIADHN Cc1noc([C@@H]2CCCN2C[C@H](C)c2cccc3ccccc32)n1 ZINC001208709734 1050004708 /nfs/dbraw/zinc/00/47/08/1050004708.db2.gz NDGFHCXISLVBAP-LIRRHRJNSA-N 0 3 321.424 4.472 20 0 DIADHN CN(CC(=O)N[C@H](c1ccccc1)C1CCCC1)CC(C)(C)C ZINC000588901846 1050007708 /nfs/dbraw/zinc/00/77/08/1050007708.db2.gz AEOOOEOVTAMFRD-LJQANCHMSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2csc(C(C)(C)C)n2)o1 ZINC000498353237 1050012359 /nfs/dbraw/zinc/01/23/59/1050012359.db2.gz LZUPEIFWTDTVDG-WFASDCNBSA-N 0 3 318.486 4.489 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CC2(C1)CC(F)(F)C2 ZINC001208828215 1050013657 /nfs/dbraw/zinc/01/36/57/1050013657.db2.gz ITCXIPZBAYKKSY-UHFFFAOYSA-N 0 3 319.317 4.369 20 0 DIADHN CN(CCCc1ccc(Cl)c(Cl)c1)Cc1ccccn1 ZINC001208834576 1050014935 /nfs/dbraw/zinc/01/49/35/1050014935.db2.gz JXFJMFGAZNKFRY-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN Clc1ccc(CCCN2CCc3cnccc3C2)cc1Cl ZINC001208834437 1050015004 /nfs/dbraw/zinc/01/50/04/1050015004.db2.gz IAOQWWBXPNCEPH-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN CN1CC=C(Nc2c(Cl)ccc3nc(Cl)ccc32)CC1 ZINC001208844712 1050015956 /nfs/dbraw/zinc/01/59/56/1050015956.db2.gz UTAVBXICAMJBLJ-UHFFFAOYSA-N 0 3 308.212 4.173 20 0 DIADHN COc1ccccc1Oc1ccc(NC2=CCN(C)CC2)cc1 ZINC001208844113 1050016769 /nfs/dbraw/zinc/01/67/69/1050016769.db2.gz LRDPYOBPCGFQQF-UHFFFAOYSA-N 0 3 310.397 4.119 20 0 DIADHN C[C@@H](CN1CCC2(CC1)O[C@@H](C)c1ccccc12)C(F)(F)F ZINC001208897392 1050020749 /nfs/dbraw/zinc/02/07/49/1050020749.db2.gz FNLWHCRZBZEMQS-STQMWFEESA-N 0 3 313.363 4.267 20 0 DIADHN C[C@H](CN1CCC2(CC1)O[C@@H](C)c1ccccc12)C(F)(F)F ZINC001208897390 1050020927 /nfs/dbraw/zinc/02/09/27/1050020927.db2.gz FNLWHCRZBZEMQS-OLZOCXBDSA-N 0 3 313.363 4.267 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(C[C@@H](C)C(F)(F)F)C1 ZINC001208892053 1050021215 /nfs/dbraw/zinc/02/12/15/1050021215.db2.gz NBBOYKPAJYKTIL-VXGBXAGGSA-N 0 3 319.392 4.060 20 0 DIADHN CC(C)Oc1cc(NC2=CCN(C)CC2)c(Cl)cc1Cl ZINC001208929712 1050024180 /nfs/dbraw/zinc/02/41/80/1050024180.db2.gz DZHASLRTBJMATE-UHFFFAOYSA-N 0 3 315.244 4.412 20 0 DIADHN Clc1ccc(CCN2CCC(n3ccnc3)CC2)c(Cl)c1 ZINC001209082501 1050033314 /nfs/dbraw/zinc/03/33/14/1050033314.db2.gz UWJXSVPSQLBIKB-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN Clc1ccc(CCN2CC[C@]3(C2)CCCCO3)c(Cl)c1 ZINC001209081746 1050033380 /nfs/dbraw/zinc/03/33/80/1050033380.db2.gz SZJAZMOXOZNUGR-INIZCTEOSA-N 0 3 314.256 4.181 20 0 DIADHN C[C@H]1CCN(CCc2c(Cl)cccc2Cl)CC[C@@]1(C)O ZINC001209105160 1050035329 /nfs/dbraw/zinc/03/53/29/1050035329.db2.gz KMTOQIKEHCIVGK-BLLLJJGKSA-N 0 3 316.272 4.019 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc2cnn(C)c2c1 ZINC000572540633 1050035516 /nfs/dbraw/zinc/03/55/16/1050035516.db2.gz JBTCAYNCCKOVRJ-UHFFFAOYSA-N 0 3 319.408 4.172 20 0 DIADHN FC(F)(F)c1cccc(CCN2CCOc3ccccc3C2)c1 ZINC001209118210 1050037560 /nfs/dbraw/zinc/03/75/60/1050037560.db2.gz RTUPAJKTGQSQBK-UHFFFAOYSA-N 0 3 321.342 4.143 20 0 DIADHN Fc1cccc([C@H]2CCN(CCc3cc(F)cc(F)c3)C2)c1 ZINC001209140463 1050039532 /nfs/dbraw/zinc/03/95/32/1050039532.db2.gz JLBRPOZKEOQRPN-HNNXBMFYSA-N 0 3 305.343 4.136 20 0 DIADHN COCCCCN1[C@@H]2Cc3ccccc3[C@@]1(C)c1ccccc12 ZINC001209219437 1050044388 /nfs/dbraw/zinc/04/43/88/1050044388.db2.gz LEUBCDSQVUNGOX-RTWAWAEBSA-N 0 3 307.437 4.290 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CN(CCc1cccs1)CC2 ZINC001209225212 1050045100 /nfs/dbraw/zinc/04/51/00/1050045100.db2.gz VKXLQLWMPPCZGM-UHFFFAOYSA-N 0 3 311.372 4.368 20 0 DIADHN Fc1cc(F)c(CCN2CCCc3ccccc3C2)cc1F ZINC001209255298 1050048472 /nfs/dbraw/zinc/04/84/72/1050048472.db2.gz PVJMEHOVUQYKFP-UHFFFAOYSA-N 0 3 305.343 4.095 20 0 DIADHN c1ccc(COCCCCN2CCOC3(CCCCC3)C2)cc1 ZINC001209265049 1050049448 /nfs/dbraw/zinc/04/94/48/1050049448.db2.gz JGROSCDCMGRLNS-UHFFFAOYSA-N 0 3 317.473 4.019 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCC[C@@H]1c1ccncc1 ZINC001209284916 1050050039 /nfs/dbraw/zinc/05/00/39/1050050039.db2.gz KOEMJCARACQESR-QGZVFWFLSA-N 0 3 320.358 4.480 20 0 DIADHN Cc1cc(C(C)(C)C)cc(C)c1CCNCc1nccs1 ZINC000589635427 1050050923 /nfs/dbraw/zinc/05/09/23/1050050923.db2.gz OARWRALETRKNRI-UHFFFAOYSA-N 0 3 302.487 4.390 20 0 DIADHN Clc1ccc([C@H](NCc2n[nH]c3ccccc32)C2CC2)cc1 ZINC000589635908 1050051065 /nfs/dbraw/zinc/05/10/65/1050051065.db2.gz UTRZWEIGYMMIJM-GOSISDBHSA-N 0 3 311.816 4.457 20 0 DIADHN Clc1ccc([C@H](NCc2[nH]nc3ccccc32)C2CC2)cc1 ZINC000589635908 1050051070 /nfs/dbraw/zinc/05/10/70/1050051070.db2.gz UTRZWEIGYMMIJM-GOSISDBHSA-N 0 3 311.816 4.457 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3cccnc3c2)cc1F ZINC000589638293 1050051515 /nfs/dbraw/zinc/05/15/15/1050051515.db2.gz RXLMSOGMLGQUHF-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc12)c1cccc(C(F)(F)F)c1 ZINC000589633822 1050051805 /nfs/dbraw/zinc/05/18/05/1050051805.db2.gz SFLSAJKLLZUDSI-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1cccc(C(F)(F)F)c1 ZINC000589633822 1050051810 /nfs/dbraw/zinc/05/18/10/1050051810.db2.gz SFLSAJKLLZUDSI-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN Cc1cc(CN[C@H](CCc2ccccc2)c2ccccc2)[nH]n1 ZINC000589646547 1050053946 /nfs/dbraw/zinc/05/39/46/1050053946.db2.gz WYCLMPJPDQEXDH-HXUWFJFHSA-N 0 3 305.425 4.182 20 0 DIADHN C[C@@H]1CC(CN2CCn3cccc3[C@@H]2c2ccccc2)C[C@@H](C)O1 ZINC001209380990 1050054167 /nfs/dbraw/zinc/05/41/67/1050054167.db2.gz ZBWVIIYKDKSQIC-LZJOCLMNSA-N 0 3 324.468 4.097 20 0 DIADHN CN(C)c1ccccc1CNC(C)(C)c1ccc(Cl)cc1 ZINC000589651313 1050054366 /nfs/dbraw/zinc/05/43/66/1050054366.db2.gz APLNVCVNKWAAHO-UHFFFAOYSA-N 0 3 302.849 4.431 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)CC1CCC(OC)CC1 ZINC001209401801 1050056515 /nfs/dbraw/zinc/05/65/15/1050056515.db2.gz ZWIJUBOQRSYNLQ-NKELODKYSA-N 0 3 317.473 4.175 20 0 DIADHN CCn1ccnc1CN[C@@H](C)Cc1ccc(-c2ccccc2)cc1 ZINC000589841501 1050060909 /nfs/dbraw/zinc/06/09/09/1050060909.db2.gz OOANYVOIFAJQQS-KRWDZBQOSA-N 0 3 319.452 4.291 20 0 DIADHN Cc1ccc2[nH]cc(CN3CC[C@](CF)(C(F)(F)F)C3)c2c1 ZINC001209491152 1050062190 /nfs/dbraw/zinc/06/21/90/1050062190.db2.gz PWWKQCMPKZSUTQ-OAHLLOKOSA-N 0 3 314.326 4.200 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000589860529 1050063991 /nfs/dbraw/zinc/06/39/91/1050063991.db2.gz MSTUVSXTJAJQHI-LNLFQRSKSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)c1 ZINC001209507032 1050064757 /nfs/dbraw/zinc/06/47/57/1050064757.db2.gz DKPVASXHPUQNBT-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1cc2c(cn1)OCCC2 ZINC001209503070 1050064858 /nfs/dbraw/zinc/06/48/58/1050064858.db2.gz RSJMCWQLVMKIBK-UHFFFAOYSA-N 0 3 310.441 4.209 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1cc(F)c(O)c(OC)c1 ZINC001209529364 1050067280 /nfs/dbraw/zinc/06/72/80/1050067280.db2.gz XUNGNEMLZOYPCQ-UHFFFAOYSA-N 0 3 317.404 4.179 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1c2ccccc2nn1C ZINC001209539677 1050067783 /nfs/dbraw/zinc/06/77/83/1050067783.db2.gz JEHKRAAJFZRPTE-IBGZPJMESA-N 0 3 305.425 4.083 20 0 DIADHN CN(Cc1c2ccccc2nn1C)Cc1cccc2ccccc21 ZINC001209536313 1050067814 /nfs/dbraw/zinc/06/78/14/1050067814.db2.gz LVJGDWXNLGKBKC-UHFFFAOYSA-N 0 3 315.420 4.359 20 0 DIADHN Cc1nc2ccccn2c1CN1CCCC[C@H]1c1ccccc1 ZINC001209647782 1050073919 /nfs/dbraw/zinc/07/39/19/1050073919.db2.gz PGEOPXBTBCYVHJ-SFHVURJKSA-N 0 3 305.425 4.370 20 0 DIADHN O[C@H](CNCc1cccc(Cl)c1Cl)C1CCCCC1 ZINC000590074275 1050074037 /nfs/dbraw/zinc/07/40/37/1050074037.db2.gz VISIOXOSYROSPD-CQSZACIVSA-N 0 3 302.245 4.024 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1cccc2[nH]cc(Cl)c21 ZINC001209656832 1050075418 /nfs/dbraw/zinc/07/54/18/1050075418.db2.gz INOAYKWJIAIAOP-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN CCOCC1CCN(Cc2cc3cc(Cl)ccc3[nH]2)CC1 ZINC001209722182 1050079008 /nfs/dbraw/zinc/07/90/08/1050079008.db2.gz XLVWFYCEOCIKST-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN Nc1ccncc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001209734481 1050080078 /nfs/dbraw/zinc/08/00/78/1050080078.db2.gz QVEXTNZSOYGTRN-UHFFFAOYSA-N 0 3 317.436 4.027 20 0 DIADHN Clc1ccc2[nH]nc(CN3CCC4(C3)CCCCC4)c2c1 ZINC001209758022 1050082121 /nfs/dbraw/zinc/08/21/21/1050082121.db2.gz CAPQAFFVIWHFBX-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Clc1ccc2n[nH]c(CN3CCC4(C3)CCCCC4)c2c1 ZINC001209758022 1050082124 /nfs/dbraw/zinc/08/21/24/1050082124.db2.gz CAPQAFFVIWHFBX-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Cc1ccc(CNCc2cccc(OC(F)(F)F)c2)c(C)c1 ZINC000590301455 1050085579 /nfs/dbraw/zinc/08/55/79/1050085579.db2.gz GODXVJQSSGPGLT-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN CC[NH+](CC)Cc1ccc(Nc2ccc([O-])c(F)c2F)cc1 ZINC001209824758 1050090242 /nfs/dbraw/zinc/09/02/42/1050090242.db2.gz ZEFUAWWGJFTONJ-UHFFFAOYSA-N 0 3 306.356 4.256 20 0 DIADHN CSC(C)(C)CN[C@@H](C)c1ccc(F)cc1Br ZINC000224403186 1050094057 /nfs/dbraw/zinc/09/40/57/1050094057.db2.gz YMRITLDAJYETQA-VIFPVBQESA-N 0 3 320.271 4.380 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(C)cc1OC)c1ccccc1 ZINC000572946139 1050095831 /nfs/dbraw/zinc/09/58/31/1050095831.db2.gz GLBPWUKUHROVEX-OXJNMPFZSA-N 0 3 313.441 4.432 20 0 DIADHN Cn1ccc(CNC2(c3ccc(F)cc3F)CCCCC2)c1 ZINC000498380958 1050099974 /nfs/dbraw/zinc/09/99/74/1050099974.db2.gz RMQNIOFFXHUHOC-UHFFFAOYSA-N 0 3 304.384 4.253 20 0 DIADHN C[C@@H](NC[C@H]1CSCCS1)c1cccc(Cl)c1Cl ZINC000224540350 1050102162 /nfs/dbraw/zinc/10/21/62/1050102162.db2.gz CEYXVNGAZOEYFB-ZJUUUORDSA-N 0 3 322.326 4.493 20 0 DIADHN CCCC[C@@H](CNC(=O)OC(C)(C)C)N[C@@H](C)c1ccco1 ZINC000224564007 1050103516 /nfs/dbraw/zinc/10/35/16/1050103516.db2.gz HWZCOJQRBPJCCP-KBPBESRZSA-N 0 3 310.438 4.014 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CCC[C@H]3C3CC3)n2)cc1 ZINC000590789369 1050107596 /nfs/dbraw/zinc/10/75/96/1050107596.db2.gz UBHYGDVPVZYWCZ-KRWDZBQOSA-N 0 3 311.429 4.234 20 0 DIADHN Clc1cccc(-c2nc(CN3CCC[C@H]3C3CC3)co2)c1 ZINC000590820165 1050109808 /nfs/dbraw/zinc/10/98/08/1050109808.db2.gz KKFKPNDDSPFIGW-INIZCTEOSA-N 0 3 302.805 4.369 20 0 DIADHN Clc1ccc(-c2nc(CN3CCC[C@H]3C3CC3)co2)cc1 ZINC000590820413 1050110163 /nfs/dbraw/zinc/11/01/63/1050110163.db2.gz ZANUCEWVBFKUDG-INIZCTEOSA-N 0 3 302.805 4.369 20 0 DIADHN CC[C@@H](CC(=O)NC[C@H](c1cccs1)N1CCCC1)C(C)C ZINC000591108785 1050124714 /nfs/dbraw/zinc/12/47/14/1050124714.db2.gz DOOGYCDBWGNKLM-JKSUJKDBSA-N 0 3 322.518 4.074 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC3=CCC(F)(F)CC3)cccc21 ZINC001210035303 1050126380 /nfs/dbraw/zinc/12/63/80/1050126380.db2.gz DKFBIYMMRYEJPF-OAHLLOKOSA-N 0 3 308.372 4.187 20 0 DIADHN CC[C@H](CC(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1)C(C)C ZINC000591154043 1050126831 /nfs/dbraw/zinc/12/68/31/1050126831.db2.gz GOMYLIZQXDZESE-YQVWRLOYSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@H](CC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1)C(C)C ZINC000591154045 1050126919 /nfs/dbraw/zinc/12/69/19/1050126919.db2.gz GOMYLIZQXDZESE-ZHALLVOQSA-N 0 3 316.489 4.010 20 0 DIADHN OCCCCCCNCc1c(Cl)ccc(Cl)c1Cl ZINC000224949680 1050128445 /nfs/dbraw/zinc/12/84/45/1050128445.db2.gz WZOHDFDIANCXPQ-UHFFFAOYSA-N 0 3 310.652 4.289 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N1CC[C@@H](C(C)(C)C)C1 ZINC001168400409 1050133268 /nfs/dbraw/zinc/13/32/68/1050133268.db2.gz AKLJXVKCONXMIK-ZIAGYGMSSA-N 0 3 322.880 4.343 20 0 DIADHN Cc1c(F)cc(Nc2cccc3c2OCC[C@H]3N(C)C)cc1F ZINC001210109845 1050138272 /nfs/dbraw/zinc/13/82/72/1050138272.db2.gz GQKQBYSNKAJFND-QGZVFWFLSA-N 0 3 318.367 4.402 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1scnc1C ZINC000573170281 1050141242 /nfs/dbraw/zinc/14/12/42/1050141242.db2.gz QTMJVENEFGRLFQ-XFFZJAGNSA-N 0 3 324.396 4.246 20 0 DIADHN Cc1c(F)c(F)ccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001210134860 1050143377 /nfs/dbraw/zinc/14/33/77/1050143377.db2.gz NTAWDUPQBHRDLD-INIZCTEOSA-N 0 3 318.367 4.402 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC000591378307 1050143556 /nfs/dbraw/zinc/14/35/56/1050143556.db2.gz JHTPLNLSJKIYDH-QGZVFWFLSA-N 0 3 301.409 4.022 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000591378877 1050144108 /nfs/dbraw/zinc/14/41/08/1050144108.db2.gz CIRFPTHQVKKCTB-ZDUSSCGKSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1ccc(NC(=S)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000733750809 1050153286 /nfs/dbraw/zinc/15/32/86/1050153286.db2.gz BEENXCQSQBHZQZ-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN([C@H](C)[C@@H](C)CC)C1CC1 ZINC000591475678 1050157459 /nfs/dbraw/zinc/15/74/59/1050157459.db2.gz VQYVUBVUGCBPEX-GOEBONIOSA-N 0 3 316.489 4.395 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2cccs2)no1)C1CC1 ZINC000591476092 1050158497 /nfs/dbraw/zinc/15/84/97/1050158497.db2.gz FBVVHWUUEGHLBU-RYUDHWBXSA-N 0 3 305.447 4.197 20 0 DIADHN CC[C@H](C(=O)N1CCCCC[C@@H]1c1ccc(Cl)cc1)N(C)C ZINC001136785880 1050159539 /nfs/dbraw/zinc/15/95/39/1050159539.db2.gz LYYPKAHATYZNHM-IAGOWNOFSA-N 0 3 322.880 4.124 20 0 DIADHN C[NH+](C)[C@H]1CCOc2c(Nc3cccc(Cl)c3[O-])cccc21 ZINC001210222754 1050165128 /nfs/dbraw/zinc/16/51/28/1050165128.db2.gz CWQIMPWLQYTQDN-HNNXBMFYSA-N 0 3 318.804 4.174 20 0 DIADHN CCN(c1ccc(NCc2[nH]nc3ccccc32)cc1)C(C)C ZINC000770480754 1050165685 /nfs/dbraw/zinc/16/56/85/1050165685.db2.gz PNGFAMVGJYULCX-UHFFFAOYSA-N 0 3 308.429 4.410 20 0 DIADHN C[C@H](CNCc1ccnc(Cl)c1F)Sc1ccccc1 ZINC000823949561 1050165682 /nfs/dbraw/zinc/16/56/82/1050165682.db2.gz LRKPVPKHKIUXOC-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000824006884 1050171455 /nfs/dbraw/zinc/17/14/55/1050171455.db2.gz MJBRJJCGOBPTTB-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN Cc1cc2cc(N[C@@H]3CCc4ccc(O)cc4C3)ccc2cn1 ZINC001171039765 1050174373 /nfs/dbraw/zinc/17/43/73/1050174373.db2.gz KVPNCWGPKVKGJW-GOSISDBHSA-N 0 3 304.393 4.218 20 0 DIADHN Fc1c(CNC2(Cc3ccccc3)CCC2)ccnc1Cl ZINC000823984844 1050175810 /nfs/dbraw/zinc/17/58/10/1050175810.db2.gz QCAUNJYTUOLDEC-UHFFFAOYSA-N 0 3 304.796 4.129 20 0 DIADHN Cc1cc(C)c(/C=C/C(=O)Nc2cccc(CN(C)C)c2)cc1C ZINC000058119433 1050180908 /nfs/dbraw/zinc/18/09/08/1050180908.db2.gz CTTVTIDMVILLPE-MDZDMXLPSA-N 0 3 322.452 4.325 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCC1=Cc2ccccc2OC1 ZINC000591718285 1050192355 /nfs/dbraw/zinc/19/23/55/1050192355.db2.gz VPFLCSHWYYNXHH-IBGZPJMESA-N 0 3 321.420 4.138 20 0 DIADHN CC[C@@H](NCc1cncc2ccccc21)c1ccccc1OC ZINC000378882594 1050192432 /nfs/dbraw/zinc/19/24/32/1050192432.db2.gz ITVQFURWYFUDJI-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN CCCC(=CC(=O)N(CCN(C)C)Cc1cccs1)CCC ZINC000770752350 1050192685 /nfs/dbraw/zinc/19/26/85/1050192685.db2.gz MZLJIEZAMJKETG-UHFFFAOYSA-N 0 3 322.518 4.165 20 0 DIADHN Fc1ccc([C@@H](NCc2n[nH]c3ccccc32)C2CCC2)cc1 ZINC000591698914 1050196136 /nfs/dbraw/zinc/19/61/36/1050196136.db2.gz OCZOVQSNNQRBSB-IBGZPJMESA-N 0 3 309.388 4.333 20 0 DIADHN Fc1ccc([C@H](NCc2n[nH]c3ccccc32)C2CCC2)cc1 ZINC000591698915 1050197144 /nfs/dbraw/zinc/19/71/44/1050197144.db2.gz OCZOVQSNNQRBSB-LJQANCHMSA-N 0 3 309.388 4.333 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136861073 1050201285 /nfs/dbraw/zinc/20/12/85/1050201285.db2.gz NRDXJZXELDNZEQ-AWEZNQCLSA-N 0 3 306.837 4.212 20 0 DIADHN CN1CCc2ccc(Nc3ccc(OC(F)F)cc3)cc2C1 ZINC001210330621 1050205940 /nfs/dbraw/zinc/20/59/40/1050205940.db2.gz DFYYNYSAVPYYPE-UHFFFAOYSA-N 0 3 304.340 4.020 20 0 DIADHN c1cc(-c2ccn(CN3CCC[C@]4(CC=CCC4)C3)n2)cs1 ZINC000733992855 1050211118 /nfs/dbraw/zinc/21/11/18/1050211118.db2.gz WYFDHNCQAVPVLY-GOSISDBHSA-N 0 3 313.470 4.391 20 0 DIADHN CC[C@H]1c2ccccc2CCN1C[C@H](O)c1ccc(F)cc1F ZINC000734002487 1050212962 /nfs/dbraw/zinc/21/29/62/1050212962.db2.gz NZMNFQWYNOMLPH-OALUTQOASA-N 0 3 317.379 4.008 20 0 DIADHN CC(C)(C)[C@@H]1CC[C@@H]1NCc1nccn1CCc1ccccc1 ZINC000573588485 1050218745 /nfs/dbraw/zinc/21/87/45/1050218745.db2.gz TYSISYGVZLCVFO-MSOLQXFVSA-N 0 3 311.473 4.040 20 0 DIADHN Fc1cnc(Cl)c(CNC2CC(c3ccccc3F)C2)c1 ZINC000824537469 1050220656 /nfs/dbraw/zinc/22/06/56/1050220656.db2.gz DIILAWFNNGXDOM-UHFFFAOYSA-N 0 3 308.759 4.049 20 0 DIADHN C[C@H](CCc1ccc(F)cc1)NCc1cc(F)cnc1Cl ZINC000824543571 1050226219 /nfs/dbraw/zinc/22/62/19/1050226219.db2.gz LKDHTESPQYHEOQ-LLVKDONJSA-N 0 3 310.775 4.124 20 0 DIADHN CSc1ccc(CN2CCCO[C@H](c3ccccc3)C2)cc1 ZINC000591811860 1050228107 /nfs/dbraw/zinc/22/81/07/1050228107.db2.gz WMSRJRUCCGSUSD-IBGZPJMESA-N 0 3 313.466 4.372 20 0 DIADHN CCC1(NCc2nc3ccc(Br)cc3s2)CC1 ZINC000824620612 1050232318 /nfs/dbraw/zinc/23/23/18/1050232318.db2.gz WFUAKLGKGNYNDI-UHFFFAOYSA-N 0 3 311.248 4.091 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(-c2ccccc2)c1 ZINC000760903257 1050237640 /nfs/dbraw/zinc/23/76/40/1050237640.db2.gz PVNPKDWLDUEXRF-GXTWGEPZSA-N 0 3 311.351 4.254 20 0 DIADHN C[C@]1(CNCc2cc3cc(Cl)cnc3o2)CC1(Cl)Cl ZINC000824779699 1050242246 /nfs/dbraw/zinc/24/22/46/1050242246.db2.gz ANFWVXDAZBUZIZ-GFCCVEGCSA-N 0 3 319.619 4.155 20 0 DIADHN CCN(Cc1ncc(-c2cccs2)o1)[C@H]1CCOC(C)(C)C1 ZINC000573733557 1050247698 /nfs/dbraw/zinc/24/76/98/1050247698.db2.gz XAMOFMFLJJQLNR-ZDUSSCGKSA-N 0 3 320.458 4.183 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H](CC4CC4)C3)co2)cc1 ZINC000573692814 1050252118 /nfs/dbraw/zinc/25/21/18/1050252118.db2.gz RHQQGTMQNXNHCN-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN COC(=O)c1ccoc1CN1CC[C@@H](CC(C)(C)C)C1(C)C ZINC001171070582 1050252610 /nfs/dbraw/zinc/25/26/10/1050252610.db2.gz YYDSYXFCQQMORI-ZDUSSCGKSA-N 0 3 307.434 4.103 20 0 DIADHN CC1(C)OCCC12CCN(Cc1nc3ccccc3s1)CC2 ZINC001136958084 1050255120 /nfs/dbraw/zinc/25/51/20/1050255120.db2.gz XDZPWXCKGAKTGL-UHFFFAOYSA-N 0 3 316.470 4.077 20 0 DIADHN CC(C)c1cnc(CNCC[C@]2(C)CC2(Cl)Cl)s1 ZINC000824968157 1050263328 /nfs/dbraw/zinc/26/33/28/1050263328.db2.gz ATWFPBAYZDTGNK-GFCCVEGCSA-N 0 3 307.290 4.330 20 0 DIADHN FC1CCN([C@H]2CCc3cc(Cl)cc(Cl)c3C2)CC1 ZINC001171073137 1050268870 /nfs/dbraw/zinc/26/88/70/1050268870.db2.gz BDLNLEAADFCRIY-ZDUSSCGKSA-N 0 3 302.220 4.285 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCC4(CC[C@H](F)C4)CC3)c21 ZINC001136985587 1050272387 /nfs/dbraw/zinc/27/23/87/1050272387.db2.gz MYPDPNDJGTYQCR-AWEZNQCLSA-N 0 3 304.384 4.411 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCC4(CC[C@@H](F)C4)CC3)c21 ZINC001136985588 1050273506 /nfs/dbraw/zinc/27/35/06/1050273506.db2.gz MYPDPNDJGTYQCR-CQSZACIVSA-N 0 3 304.384 4.411 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2ccc3ccccc3c2)o1 ZINC000825255446 1050288516 /nfs/dbraw/zinc/28/85/16/1050288516.db2.gz LGKATTWOOYTYQF-UHFFFAOYSA-N 0 3 323.392 4.494 20 0 DIADHN COC[C@@H]1CCCN(Cc2cc3ccccc3nc2Cl)CC1 ZINC000825321968 1050293872 /nfs/dbraw/zinc/29/38/72/1050293872.db2.gz TUMQVHCWQXENEF-CQSZACIVSA-N 0 3 318.848 4.137 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc([S@](C)=O)cc2)CC1 ZINC000592391716 1050297047 /nfs/dbraw/zinc/29/70/47/1050297047.db2.gz CQQCYZBEGQAYLW-QRQCRPRQSA-N 0 3 313.466 4.072 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(Cc3ccc4c(c3)COC4)C2)cc1 ZINC001137027186 1050299492 /nfs/dbraw/zinc/29/94/92/1050299492.db2.gz ISTKRTJFMBQQTC-NRFANRHFSA-N 0 3 323.436 4.069 20 0 DIADHN CC(C)(C)[C@H]1CCCN(C[C@@H](O)c2cc(F)ccc2F)CC1 ZINC000734836934 1050323803 /nfs/dbraw/zinc/32/38/03/1050323803.db2.gz MAASZDCGSPBPJM-SUMWQHHRSA-N 0 3 311.416 4.146 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@H](c1ccccc1)[C@H]1CCCO1)CC2 ZINC000178122578 1050332054 /nfs/dbraw/zinc/33/20/54/1050332054.db2.gz DTFRTMHDYPXQMF-VAMGGRTRSA-N 0 3 311.400 4.323 20 0 DIADHN CSC1CCN(Cc2cc(C)ccc2C(F)(F)F)CC1 ZINC001143677172 1050332376 /nfs/dbraw/zinc/33/23/76/1050332376.db2.gz UYUXTDLTQVHHQA-UHFFFAOYSA-N 0 3 303.393 4.341 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@]3(C2)CCCCO3)c1 ZINC001143672275 1050333728 /nfs/dbraw/zinc/33/37/28/1050333728.db2.gz AQBAZBHVNHXTGC-INIZCTEOSA-N 0 3 313.363 4.159 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@@]3(CC3(F)F)C2)c1 ZINC001143681352 1050335765 /nfs/dbraw/zinc/33/57/65/1050335765.db2.gz ZGYLRGYHAIKETA-CYBMUJFWSA-N 0 3 305.290 4.245 20 0 DIADHN CCN(Cc1ccc(C(F)(F)F)cc1Cl)C1CCOCC1 ZINC001143712782 1050343232 /nfs/dbraw/zinc/34/32/32/1050343232.db2.gz ZUOQMJRFDHKZGM-UHFFFAOYSA-N 0 3 321.770 4.360 20 0 DIADHN Cc1ccc(F)c(CNC[C@H](O)c2ccc(C(C)(C)C)cc2)c1 ZINC000735084923 1050344214 /nfs/dbraw/zinc/34/42/14/1050344214.db2.gz XSLHRNWVPWPHOQ-IBGZPJMESA-N 0 3 315.432 4.255 20 0 DIADHN CC(=O)[C@@H](N[C@@H]1CCc2cc(Cl)ccc2C1)c1ccccc1 ZINC001171099680 1050350887 /nfs/dbraw/zinc/35/08/87/1050350887.db2.gz JZKUQAOYEYKHRW-RTBURBONSA-N 0 3 313.828 4.117 20 0 DIADHN Cc1ccc(OC2CCN(C[C@@H]3CC3(Cl)Cl)CC2)cc1 ZINC000735167974 1050351632 /nfs/dbraw/zinc/35/16/32/1050351632.db2.gz DBVDQPIBSXRVJC-ZDUSSCGKSA-N 0 3 314.256 4.032 20 0 DIADHN CC(=O)[C@H](N[C@@H]1CCc2cc(Cl)ccc2C1)c1ccccc1 ZINC001171099670 1050351396 /nfs/dbraw/zinc/35/13/96/1050351396.db2.gz JZKUQAOYEYKHRW-MOPGFXCFSA-N 0 3 313.828 4.117 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccnc(Cl)c1 ZINC000772458216 1050361742 /nfs/dbraw/zinc/36/17/42/1050361742.db2.gz WSRSOGQJXQAQMG-QANKJYHBSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@]1(O)CCCN(Cc2ccc(C(F)(F)F)c(Cl)c2)CC1 ZINC001143804094 1050365062 /nfs/dbraw/zinc/36/50/62/1050365062.db2.gz LXVQMAHTPJOODX-AWEZNQCLSA-N 0 3 321.770 4.096 20 0 DIADHN CC[C@H]1CCCN(C[C@@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000735311283 1050373745 /nfs/dbraw/zinc/37/37/45/1050373745.db2.gz MWMLKILBGZXNPF-XJKSGUPXSA-N 0 3 315.379 4.251 20 0 DIADHN CC(C)c1ccccc1CN[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000783317516 1050375278 /nfs/dbraw/zinc/37/52/78/1050375278.db2.gz VVERTQFPHYSNFS-QRQCRPRQSA-N 0 3 315.482 4.398 20 0 DIADHN Cc1cscc1CNCc1ccccc1N1CCCCC1 ZINC000785521078 1050384932 /nfs/dbraw/zinc/38/49/32/1050384932.db2.gz GIBVLYIPWUKATJ-UHFFFAOYSA-N 0 3 300.471 4.337 20 0 DIADHN C[C@](O)(CNCc1sccc1Cl)c1ccccc1Cl ZINC000785514007 1050385042 /nfs/dbraw/zinc/38/50/42/1050385042.db2.gz RBDBRGZWWCLKKD-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN CCC1CCN(Cc2ccc(OC)c(OC(F)(F)F)c2)CC1 ZINC001143870706 1050387517 /nfs/dbraw/zinc/38/75/17/1050387517.db2.gz IIMNVPQOKUCZOW-UHFFFAOYSA-N 0 3 317.351 4.216 20 0 DIADHN C[NH+](C)[C@@H]1CCOc2c(Nc3cccc([O-])c3Cl)cccc21 ZINC001210860000 1050394239 /nfs/dbraw/zinc/39/42/39/1050394239.db2.gz PWHPQTJHCHICIA-CQSZACIVSA-N 0 3 318.804 4.174 20 0 DIADHN C[NH+](C)[C@H]1CCOc2c(Nc3cccc([O-])c3Cl)cccc21 ZINC001210859999 1050394298 /nfs/dbraw/zinc/39/42/98/1050394298.db2.gz PWHPQTJHCHICIA-AWEZNQCLSA-N 0 3 318.804 4.174 20 0 DIADHN CC[C@@H](OCCN1CC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC000844314698 1050396600 /nfs/dbraw/zinc/39/66/00/1050396600.db2.gz UFANBDUFTPXNDH-HUUCEWRRSA-N 0 3 301.352 4.039 20 0 DIADHN CCCNc1ccc(CNCc2cnc3ccccc3c2)cc1 ZINC000766213134 1050397855 /nfs/dbraw/zinc/39/78/55/1050397855.db2.gz LJLGGFMSMKWLHL-UHFFFAOYSA-N 0 3 305.425 4.347 20 0 DIADHN Cc1c(CN2CCC[C@]3(CCOC3)C2)cccc1C(F)(F)F ZINC001143922934 1050408976 /nfs/dbraw/zinc/40/89/76/1050408976.db2.gz QQSSOAODURAIIZ-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(=O)oc2cc(C)ccc12 ZINC000058748297 1050408951 /nfs/dbraw/zinc/40/89/51/1050408951.db2.gz QWGMOLCWYDSKFY-UHFFFAOYSA-N 0 3 307.393 4.124 20 0 DIADHN Cc1c(CN2CC[C@H](c3ccccn3)C2)cccc1C(F)(F)F ZINC001143924598 1050409213 /nfs/dbraw/zinc/40/92/13/1050409213.db2.gz JYCOHXFXFUEZHT-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN Fc1cc(CNC2(Cc3ccc(Cl)cc3)CCC2)c(F)cn1 ZINC001206032613 1050414557 /nfs/dbraw/zinc/41/45/57/1050414557.db2.gz WJPIWWSOVHTDQR-UHFFFAOYSA-N 0 3 322.786 4.268 20 0 DIADHN FCc1ccc(CN2CCC3(CC2)C(F)(F)C3(F)F)cc1 ZINC000844382630 1050415391 /nfs/dbraw/zinc/41/53/91/1050415391.db2.gz HELJUOMCXVPXLR-UHFFFAOYSA-N 0 3 305.290 4.023 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2)[nH]1)c1cccc(C)c1 ZINC000796895263 1050420870 /nfs/dbraw/zinc/42/08/70/1050420870.db2.gz ANIAXLCEBMHHIS-QGZVFWFLSA-N 0 3 306.413 4.021 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@@]2(CC2(F)F)C1 ZINC000844438240 1050423641 /nfs/dbraw/zinc/42/36/41/1050423641.db2.gz MWBQEEDOCATODL-CQSZACIVSA-N 0 3 301.377 4.039 20 0 DIADHN CO[C@@H](CN1CCC[C@]2(CC2(F)F)C1)c1ccc(Cl)cc1 ZINC000844441624 1050427205 /nfs/dbraw/zinc/42/72/05/1050427205.db2.gz PQRVIQFQYJMDMV-GJZGRUSLSA-N 0 3 315.791 4.149 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@H]1CCc3ccccc31)CCO2 ZINC000178464174 1050427720 /nfs/dbraw/zinc/42/77/20/1050427720.db2.gz DLLBZYWFUDLMRS-RBUKOAKNSA-N 0 3 309.409 4.186 20 0 DIADHN CCOc1c(F)cc(CN(C)CCc2ccc(F)cc2)cc1F ZINC001144030568 1050437879 /nfs/dbraw/zinc/43/78/79/1050437879.db2.gz FBEYGGMGNRFINT-UHFFFAOYSA-N 0 3 323.358 4.177 20 0 DIADHN CC1CCC(CNCc2csc(-c3ccccn3)n2)CC1 ZINC000058872402 1050439155 /nfs/dbraw/zinc/43/91/55/1050439155.db2.gz FUNKRKDKSNDLRA-UHFFFAOYSA-N 0 3 301.459 4.121 20 0 DIADHN CN1Cc2c(Nc3nccs3)cccc2[C@@H](c2ccccc2)C1 ZINC001210993549 1050441741 /nfs/dbraw/zinc/44/17/41/1050441741.db2.gz CTAYCRAJSDBMDB-MRXNPFEDSA-N 0 3 321.449 4.464 20 0 DIADHN CCOc1c(F)cc(CN2CC[C@H](c3ccccc3)C2)cc1F ZINC001144023087 1050443274 /nfs/dbraw/zinc/44/32/74/1050443274.db2.gz PABCIBUTCOVXKB-INIZCTEOSA-N 0 3 317.379 4.353 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H](N1CCC[C@@H]1c1nccs1)C2 ZINC001171132591 1050451234 /nfs/dbraw/zinc/45/12/34/1050451234.db2.gz BXFMZERHFUYHGF-HZPDHXFCSA-N 0 3 318.873 4.491 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H](N1CCC[C@H]1c1nccs1)C2 ZINC001171132577 1050451611 /nfs/dbraw/zinc/45/16/11/1050451611.db2.gz BXFMZERHFUYHGF-CVEARBPZSA-N 0 3 318.873 4.491 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1ccc(F)cc1F)C1CCCC1 ZINC001171133403 1050452963 /nfs/dbraw/zinc/45/29/63/1050452963.db2.gz KLQGIPMFIUVZAT-MSOLQXFVSA-N 0 3 309.400 4.307 20 0 DIADHN Fc1ccc([C@@H]2CCCN2C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c(F)c1 ZINC001171133490 1050453271 /nfs/dbraw/zinc/45/32/71/1050453271.db2.gz NEEZVLFVZRDUNL-TXKWRHDYSA-N 0 3 313.338 4.393 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3ccnc(Cl)c3)C2)cc1 ZINC000786123841 1050456322 /nfs/dbraw/zinc/45/63/22/1050456322.db2.gz ATSCNOUHHAFWBI-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3ccnc(Cl)c3)C2)cc1 ZINC000786123835 1050456683 /nfs/dbraw/zinc/45/66/83/1050456683.db2.gz ATSCNOUHHAFWBI-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3cccnc3Cl)C2)cc1 ZINC000786126775 1050456838 /nfs/dbraw/zinc/45/68/38/1050456838.db2.gz SLUPNJRRSWACLZ-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3cccc(Cl)n3)C2)cc1 ZINC000786124205 1050457186 /nfs/dbraw/zinc/45/71/86/1050457186.db2.gz DGDCGZNDCHHXLR-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(Oc2cccc(CN3CC[C@H](O)C[C@H]3C)c2)cc1 ZINC001144090687 1050458994 /nfs/dbraw/zinc/45/89/94/1050458994.db2.gz OKBLVCSDVMAXHW-AEFFLSMTSA-N 0 3 311.425 4.133 20 0 DIADHN Clc1cc2c(cc1Cl)C[C@@H](NCc1cscn1)CC2 ZINC001171137991 1050462592 /nfs/dbraw/zinc/46/25/92/1050462592.db2.gz AGPWBLAHKKGAIU-NSHDSACASA-N 0 3 313.253 4.097 20 0 DIADHN C[C@H](NCc1cc(=O)oc2cc3c(cc12)CCC3)c1ccccc1 ZINC000058980177 1050473649 /nfs/dbraw/zinc/47/36/49/1050473649.db2.gz HQJLHCMFWOVJNH-AWEZNQCLSA-N 0 3 319.404 4.133 20 0 DIADHN Fc1cc(F)c(CN(C2CCC2)C2CCOCC2)cc1Cl ZINC001144137517 1050474309 /nfs/dbraw/zinc/47/43/09/1050474309.db2.gz JFZYKPCVYUPLCD-UHFFFAOYSA-N 0 3 315.791 4.152 20 0 DIADHN CCc1cc(CN2CCC[C@H]2c2cccnc2)cc(CC)c1O ZINC001144138206 1050477184 /nfs/dbraw/zinc/47/71/84/1050477184.db2.gz SJCLVDKDLDOLQK-IBGZPJMESA-N 0 3 310.441 4.249 20 0 DIADHN CCc1cc(CN2CC[C@@H](F)C(F)(F)CC2)cc(CC)c1O ZINC001144137660 1050477380 /nfs/dbraw/zinc/47/73/80/1050477380.db2.gz KSKVPROFURMDCY-OAHLLOKOSA-N 0 3 315.379 4.086 20 0 DIADHN CC1(C)C[C@@H](N[C@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000669524269 1050488616 /nfs/dbraw/zinc/48/86/16/1050488616.db2.gz KVJVZRYHSVIOTI-ZBFHGGJFSA-N 0 3 305.418 4.134 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2n[nH]c3ccc(F)cc32)c1 ZINC001144191330 1050489777 /nfs/dbraw/zinc/48/97/77/1050489777.db2.gz JECPJNXWPYWHFF-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN CC1(C)OCc2cc(CNCc3cccc4cc[nH]c43)ccc2O1 ZINC000786580004 1050498631 /nfs/dbraw/zinc/49/86/31/1050498631.db2.gz WQMQSCUYHUDOJB-UHFFFAOYSA-N 0 3 322.408 4.103 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2cccnc2Cl)C1 ZINC000738313028 1050531236 /nfs/dbraw/zinc/53/12/36/1050531236.db2.gz UGIJTXWFPXWISU-GDBMZVCRSA-N 0 3 300.833 4.421 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(SC(F)F)cc2)C1 ZINC000738320839 1050533267 /nfs/dbraw/zinc/53/32/67/1050533267.db2.gz SQJMPTJBISWVSA-ZDUSSCGKSA-N 0 3 301.402 4.002 20 0 DIADHN CCCCCC[C@H](C)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000787015870 1050545103 /nfs/dbraw/zinc/54/51/03/1050545103.db2.gz AYMRMMLUIIUYJL-LSDHHAIUSA-N 0 3 315.465 4.185 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1ccc(F)nc1C ZINC000787088254 1050549031 /nfs/dbraw/zinc/54/90/31/1050549031.db2.gz LTNPFIHQBIJTKZ-SFHVURJKSA-N 0 3 314.404 4.047 20 0 DIADHN CC(C)(NCc1csc2ccccc12)C(=O)Nc1ccccc1 ZINC000594788214 1050552916 /nfs/dbraw/zinc/55/29/16/1050552916.db2.gz KWYSPJGLBRDCCQ-UHFFFAOYSA-N 0 3 324.449 4.408 20 0 DIADHN CCCCCCC(=O)N1CCN(Cc2ccccc2)CC[C@@H]1C ZINC000746641224 1050555319 /nfs/dbraw/zinc/55/53/19/1050555319.db2.gz ONKAVPMCLBGJDH-SFHVURJKSA-N 0 3 316.489 4.080 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(O)cc1C(F)(F)F ZINC001144392264 1050555402 /nfs/dbraw/zinc/55/54/02/1050555402.db2.gz UGJLVPRLQXRPOQ-UHFFFAOYSA-N 0 3 315.360 4.495 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@@H]1CC=CCC1 ZINC001168405691 1050555442 /nfs/dbraw/zinc/55/54/42/1050555442.db2.gz ZSBVWLXCFNZUAT-IAGOWNOFSA-N 0 3 322.452 4.455 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)C[C@@H]1CC1(Cl)Cl ZINC000746653221 1050558686 /nfs/dbraw/zinc/55/86/86/1050558686.db2.gz RXOUSFAZTLATAE-ZDUSSCGKSA-N 0 3 302.245 4.101 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)C[C@H]1CC1(Cl)Cl ZINC000746650579 1050568595 /nfs/dbraw/zinc/56/85/95/1050568595.db2.gz BERHMSFZGUSRJL-DOMZBBRYSA-N 0 3 314.256 4.198 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)C[C@@H]1CC1(Cl)Cl ZINC000746650585 1050569026 /nfs/dbraw/zinc/56/90/26/1050569026.db2.gz BERHMSFZGUSRJL-SWLSCSKDSA-N 0 3 314.256 4.198 20 0 DIADHN CCOc1ccc(CN(C[C@@H]2CC2(Cl)Cl)C(C)C)cc1 ZINC000746660527 1050570737 /nfs/dbraw/zinc/57/07/37/1050570737.db2.gz XHFDDSDXTOLFNN-AWEZNQCLSA-N 0 3 316.272 4.490 20 0 DIADHN COc1cccc2ncc(Nc3ccc4nc(C)[nH]c4c3)cc21 ZINC001213072282 1050577626 /nfs/dbraw/zinc/57/76/26/1050577626.db2.gz LLJCTWQUZKQVFV-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN CC(=O)Nc1ccc(Cl)c(Nc2ccc3nc(C)[nH]c3c2)c1 ZINC001213074217 1050578130 /nfs/dbraw/zinc/57/81/30/1050578130.db2.gz JSDNAUTVVAGNNS-UHFFFAOYSA-N 0 3 314.776 4.227 20 0 DIADHN CC(C)CCC[C@H](C)NCc1cn(Cc2cccc(F)c2)cn1 ZINC001170071053 1050580654 /nfs/dbraw/zinc/58/06/54/1050580654.db2.gz IXJPURFYOHXSCV-INIZCTEOSA-N 0 3 317.452 4.375 20 0 DIADHN CSCc1cnc(CN[C@@H](C)Cc2ccc(F)cc2C)s1 ZINC000594958006 1050581176 /nfs/dbraw/zinc/58/11/76/1050581176.db2.gz XPJIDTRAUFMOFA-LBPRGKRZSA-N 0 3 324.490 4.174 20 0 DIADHN CCSC1(CNCc2ncc(-c3ccccc3)s2)CC1 ZINC000594986527 1050588088 /nfs/dbraw/zinc/58/80/88/1050588088.db2.gz FERGNVWBZYWRHW-UHFFFAOYSA-N 0 3 304.484 4.185 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1C[C@H](O)c1ccc(Cl)cc1 ZINC000787322078 1050591678 /nfs/dbraw/zinc/59/16/78/1050591678.db2.gz MXPFEGPLDAHNFS-STQMWFEESA-N 0 3 321.770 4.036 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1ccc(Cl)nc1 ZINC000746678498 1050592686 /nfs/dbraw/zinc/59/26/86/1050592686.db2.gz YORXTFXRRKXHIN-PBHICJAKSA-N 0 3 300.833 4.188 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN(C)Cc1cc2ccccc2o1 ZINC000746678484 1050592973 /nfs/dbraw/zinc/59/29/73/1050592973.db2.gz YEPONLZXCQBATG-GOSISDBHSA-N 0 3 313.372 4.046 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1ccnc(Cl)c1 ZINC000746677645 1050593966 /nfs/dbraw/zinc/59/39/66/1050593966.db2.gz RWJMCHLIUDPIQZ-RHSMWYFYSA-N 0 3 300.833 4.188 20 0 DIADHN CN1c2ccc(Nc3ccnc(C4CCC4)c3)cc2CCC1=O ZINC001213130531 1050597568 /nfs/dbraw/zinc/59/75/68/1050597568.db2.gz CCTSNNLVTCXRBF-UHFFFAOYSA-N 0 3 307.397 4.002 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1cc(Cl)cc(OC)c1 ZINC001144489578 1050598515 /nfs/dbraw/zinc/59/85/15/1050598515.db2.gz JMHPNGWWZFQQJB-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN COc1ccc(CN2CCC[C@H]3C[C@H]32)cc1OCc1ccccc1 ZINC001144499617 1050601406 /nfs/dbraw/zinc/60/14/06/1050601406.db2.gz PGJVKWWKQGWERM-RBUKOAKNSA-N 0 3 323.436 4.259 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3nnc(C4CCC4)n3c2)ccn1 ZINC001213164222 1050604636 /nfs/dbraw/zinc/60/46/36/1050604636.db2.gz LRPJCZAEHPYFQA-UHFFFAOYSA-N 0 3 321.428 4.433 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(OC3COC3)c(F)c2)ccn1 ZINC001213168268 1050605479 /nfs/dbraw/zinc/60/54/79/1050605479.db2.gz BWNCVTUKTXLHJE-UHFFFAOYSA-N 0 3 316.376 4.039 20 0 DIADHN COC(=O)c1cc(F)c(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001213168507 1050606912 /nfs/dbraw/zinc/60/69/12/1050606912.db2.gz JWHUIKXJKNZGSW-UHFFFAOYSA-N 0 3 320.339 4.188 20 0 DIADHN COCCOc1c(F)cccc1Nc1ccnc(C(C)(C)C)c1 ZINC001213168573 1050608093 /nfs/dbraw/zinc/60/80/93/1050608093.db2.gz LZLMUGGNQDRSHE-UHFFFAOYSA-N 0 3 318.392 4.287 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(-c3cc(CO)on3)cc2)ccn1 ZINC001213171063 1050608111 /nfs/dbraw/zinc/60/81/11/1050608111.db2.gz YLYAVNXGZFFBOG-UHFFFAOYSA-N 0 3 323.396 4.270 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1ccccc1F)NC(=O)OC(C)(C)C ZINC000227119218 1050616128 /nfs/dbraw/zinc/61/61/28/1050616128.db2.gz MGXYJEOCDONXCS-CJNGLKHVSA-N 0 3 324.440 4.026 20 0 DIADHN CC1=C[C@H](C)C[C@H](CNCc2coc(-c3cccs3)n2)C1 ZINC000574964440 1050624574 /nfs/dbraw/zinc/62/45/74/1050624574.db2.gz IRAWLOKNTHMFGC-JSGCOSHPSA-N 0 3 302.443 4.485 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(OCC(F)F)cc1 ZINC001213309931 1050625766 /nfs/dbraw/zinc/62/57/66/1050625766.db2.gz VSEILDSLIDKHBW-UHFFFAOYSA-N 0 3 306.356 4.136 20 0 DIADHN Cc1oc2ccccc2c1C(=O)Nc1ccccc1CN(C)C ZINC000574950823 1050626156 /nfs/dbraw/zinc/62/61/56/1050626156.db2.gz IBDNMBIWFMFCJJ-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000575031085 1050626892 /nfs/dbraw/zinc/62/68/92/1050626892.db2.gz AXFRDSWMIRUZPM-LZQZEXGQSA-N 0 3 310.441 4.215 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)N[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000845949702 1050632649 /nfs/dbraw/zinc/63/26/49/1050632649.db2.gz WCBMPJLQYFHVPY-DDTOSNHZSA-N 0 3 323.795 4.161 20 0 DIADHN Cc1cc(C)cc(CCNCc2c(Cl)n(C)nc2C(C)C)c1 ZINC000740900273 1050639582 /nfs/dbraw/zinc/63/95/82/1050639582.db2.gz OIECKORVRVCCDH-UHFFFAOYSA-N 0 3 319.880 4.146 20 0 DIADHN CS[C@@H]1CCCC[C@H]1NCc1ccnc(Cl)c1Cl ZINC000846011299 1050645747 /nfs/dbraw/zinc/64/57/47/1050645747.db2.gz XILZDJFUMGAWAI-GHMZBOCLSA-N 0 3 305.274 4.152 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000575202627 1050647258 /nfs/dbraw/zinc/64/72/58/1050647258.db2.gz SDDMWONVGFFXLH-DNVCBOLYSA-N 0 3 300.421 4.088 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC[C@]2(CC2(F)F)C1 ZINC000846107725 1050662679 /nfs/dbraw/zinc/66/26/79/1050662679.db2.gz JKZFNZJNZTWAMM-SFHVURJKSA-N 0 3 317.379 4.470 20 0 DIADHN Cc1nc([C@H](C)NCCC[C@H]2CC2(Cl)Cl)c(C)s1 ZINC000846111883 1050664050 /nfs/dbraw/zinc/66/40/50/1050664050.db2.gz WQBKMZYYHJSQJO-KWQFWETISA-N 0 3 307.290 4.385 20 0 DIADHN COc1ccccc1-c1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC000846108545 1050665024 /nfs/dbraw/zinc/66/50/24/1050665024.db2.gz ASGAJGBWJWAXBC-CALCHBBNSA-N 0 3 315.363 4.059 20 0 DIADHN COc1ccc2oc(CN3CCC[C@@]4(CC4(F)F)C3)cc2c1 ZINC000846106360 1050672528 /nfs/dbraw/zinc/67/25/28/1050672528.db2.gz AEFVWIGILVMDNY-MRXNPFEDSA-N 0 3 307.340 4.063 20 0 DIADHN CC(C)N(Cc1cc(F)c(F)cc1Cl)C1CCOCC1 ZINC001144731849 1050685699 /nfs/dbraw/zinc/68/56/99/1050685699.db2.gz BSFBJGSYGRWZNV-UHFFFAOYSA-N 0 3 303.780 4.008 20 0 DIADHN CC1(C)C[C@@H](NCc2cc3ccccc3c(Cl)n2)C(C)(C)O1 ZINC000788488998 1050689985 /nfs/dbraw/zinc/68/99/85/1050689985.db2.gz NJGVQAFJFJZZLI-OAHLLOKOSA-N 0 3 318.848 4.324 20 0 DIADHN Clc1cccc2c1[C@@H](NCCCc1ccccc1)COC2 ZINC000788498649 1050691872 /nfs/dbraw/zinc/69/18/72/1050691872.db2.gz OOUQESIHVOQNRJ-KRWDZBQOSA-N 0 3 301.817 4.134 20 0 DIADHN c1nc2cc(CN3CCC[C@H](Oc4ccccc4)C3)ccc2s1 ZINC001144736781 1050693008 /nfs/dbraw/zinc/69/30/08/1050693008.db2.gz BHZVVQBWFYQNMV-KRWDZBQOSA-N 0 3 324.449 4.340 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1ccc(C)c(F)c1)c1ccccc1 ZINC001171218247 1050693176 /nfs/dbraw/zinc/69/31/76/1050693176.db2.gz WMNQPZACOUPNKJ-RDTXWAMCSA-N 0 3 314.404 4.202 20 0 DIADHN C[C@H](NC(c1ccccc1)c1ccccc1)c1cc[nH]c(=O)c1 ZINC000788498734 1050693270 /nfs/dbraw/zinc/69/32/70/1050693270.db2.gz PMMYADINBGCNFL-HNNXBMFYSA-N 0 3 304.393 4.227 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccc(C)c(F)c1)c1ccccc1 ZINC001171218246 1050693300 /nfs/dbraw/zinc/69/33/00/1050693300.db2.gz WMNQPZACOUPNKJ-KBXCAEBGSA-N 0 3 314.404 4.202 20 0 DIADHN CCCCn1nc(C)c(CNCC2(SC)CCC2)c1Cl ZINC000788523859 1050699129 /nfs/dbraw/zinc/69/91/29/1050699129.db2.gz IBAXZUMBDZCUNQ-UHFFFAOYSA-N 0 3 315.914 4.020 20 0 DIADHN c1nc2cc(CN3CCC(c4cccnc4)CC3)ccc2s1 ZINC001144743440 1050703491 /nfs/dbraw/zinc/70/34/91/1050703491.db2.gz MZWVTCQHCCBBIL-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CCN(Cc1ccc2scnc2c1)Cc1cccc(OC)c1 ZINC001144743609 1050703577 /nfs/dbraw/zinc/70/35/77/1050703577.db2.gz SADLJLIKRNHVTD-UHFFFAOYSA-N 0 3 312.438 4.327 20 0 DIADHN COC(=O)c1ccc(CN2C[C@@H](C)C[C@H]2c2ccccc2)s1 ZINC001170085684 1050705099 /nfs/dbraw/zinc/70/50/99/1050705099.db2.gz LQZFILBOKVRZPF-BBRMVZONSA-N 0 3 315.438 4.118 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](Cc1ccccc1)c1ccccc1 ZINC000180002002 1050711936 /nfs/dbraw/zinc/71/19/36/1050711936.db2.gz UMBIJTGCPPLEGY-MGPUTAFESA-N 0 3 305.425 4.353 20 0 DIADHN CCCCC[C@@H](NCc1cccn(CC)c1=O)c1ccccc1 ZINC000788607236 1050714010 /nfs/dbraw/zinc/71/40/10/1050714010.db2.gz JSNAOQGEPXGEEH-LJQANCHMSA-N 0 3 312.457 4.279 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@H]1CCc2ccc(Cl)nc21 ZINC000788616185 1050715679 /nfs/dbraw/zinc/71/56/79/1050715679.db2.gz XZUUNGXCOFZIEM-HIFRSBDPSA-N 0 3 316.832 4.088 20 0 DIADHN COc1ccc(Nc2ccncc2C)cc1OCc1ccccc1 ZINC001213684003 1050716245 /nfs/dbraw/zinc/71/62/45/1050716245.db2.gz PDYAHEAKZCGSDF-UHFFFAOYSA-N 0 3 320.392 4.143 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@H]1CCc2ccc(Cl)nc21 ZINC000788616188 1050716670 /nfs/dbraw/zinc/71/66/70/1050716670.db2.gz XZUUNGXCOFZIEM-ZFWWWQNUSA-N 0 3 316.832 4.088 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cccnc1Cl)[C@H](O)c1ccccc1 ZINC000788622661 1050719846 /nfs/dbraw/zinc/71/98/46/1050719846.db2.gz TZYLKZKGUSYOQA-RRQGHBQHSA-N 0 3 318.848 4.144 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cccnc1Cl)[C@H](O)c1ccccc1 ZINC000788622657 1050721367 /nfs/dbraw/zinc/72/13/67/1050721367.db2.gz TZYLKZKGUSYOQA-IAOVAPTHSA-N 0 3 318.848 4.144 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cc[nH]c(=O)c2)c(OC(C)(C)C)c1 ZINC000788630292 1050721780 /nfs/dbraw/zinc/72/17/80/1050721780.db2.gz ZPHNESRASYUOSW-CQSZACIVSA-N 0 3 314.429 4.124 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](CC(C)(C)C)C2)cc1C ZINC001171228307 1050722314 /nfs/dbraw/zinc/72/23/14/1050722314.db2.gz DRPXNOMONUMBQN-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)C(=O)NCCN[C@H](C)c1ccccc1SC(C)(C)C ZINC000788642039 1050722763 /nfs/dbraw/zinc/72/27/63/1050722763.db2.gz CCNWXFJSWBUPSL-CQSZACIVSA-N 0 3 322.518 4.000 20 0 DIADHN C[C@H](NC(c1cccs1)c1cccs1)c1cc[nH]c(=O)c1 ZINC000788644426 1050723340 /nfs/dbraw/zinc/72/33/40/1050723340.db2.gz NIEMBBMRYYNXTG-NSHDSACASA-N 0 3 316.451 4.350 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788688524 1050724577 /nfs/dbraw/zinc/72/45/77/1050724577.db2.gz SZRDKZNLCFTMRP-BPUTZDHNSA-N 0 3 323.864 4.095 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788688527 1050725653 /nfs/dbraw/zinc/72/56/53/1050725653.db2.gz SZRDKZNLCFTMRP-IMJJTQAJSA-N 0 3 323.864 4.095 20 0 DIADHN CC(C)CCOc1ccccc1CN[C@H](C)c1cc[nH]c(=O)c1 ZINC000788707019 1050732116 /nfs/dbraw/zinc/73/21/16/1050732116.db2.gz XFCPJOXQHIJNHW-OAHLLOKOSA-N 0 3 314.429 4.063 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H]2COCc3cccc(Cl)c32)n1 ZINC000788741835 1050744180 /nfs/dbraw/zinc/74/41/80/1050744180.db2.gz VYRZQSXVJVISLP-QMTHXVAHSA-N 0 3 322.861 4.070 20 0 DIADHN COC(C)(C)[C@@H](C)N[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000788780312 1050753805 /nfs/dbraw/zinc/75/38/05/1050753805.db2.gz CSLPQFWDNPATKR-SKDRFNHKSA-N 0 3 318.244 4.220 20 0 DIADHN COc1cc(CNCc2cc(C)cc(C)c2)cc(Cl)c1OC ZINC000596664182 1050758435 /nfs/dbraw/zinc/75/84/35/1050758435.db2.gz RJTMWQZAGHFUBZ-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN c1cncc([C@H](NCc2ccc3nonc3c2)C2CCCCC2)c1 ZINC000846803042 1050759624 /nfs/dbraw/zinc/75/96/24/1050759624.db2.gz AHACLSWIASNVFM-LJQANCHMSA-N 0 3 322.412 4.029 20 0 DIADHN CN(Cc1cnc(Cl)c(F)c1)CC1(c2ccccc2)CC1 ZINC000846866182 1050765660 /nfs/dbraw/zinc/76/56/60/1050765660.db2.gz URLMONPDLVLNFU-UHFFFAOYSA-N 0 3 304.796 4.038 20 0 DIADHN CCCCCC[C@@H](C)C(=O)Nc1cc(CN(C)C)ccc1OC ZINC000741904249 1050770279 /nfs/dbraw/zinc/77/02/79/1050770279.db2.gz DAORHHWXKPWDNJ-OAHLLOKOSA-N 0 3 320.477 4.302 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc3nonc3c2)cc1 ZINC000846845610 1050770441 /nfs/dbraw/zinc/77/04/41/1050770441.db2.gz ZIZPYDHQDZCWQB-GFCCVEGCSA-N 0 3 313.426 4.186 20 0 DIADHN CCCCCC[C@H](C)C(=O)Nc1cc(CN(C)C)ccc1OC ZINC000741904247 1050770342 /nfs/dbraw/zinc/77/03/42/1050770342.db2.gz DAORHHWXKPWDNJ-HNNXBMFYSA-N 0 3 320.477 4.302 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@H](c2ccncc2)C1 ZINC000846907021 1050784077 /nfs/dbraw/zinc/78/40/77/1050784077.db2.gz SZTSXCAFPKFFGE-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN CC(=O)C1CCN(CCCOc2ccc(C(C)(C)C)cc2)CC1 ZINC000846952447 1050788790 /nfs/dbraw/zinc/78/87/90/1050788790.db2.gz NCHJREKBSMDXEI-UHFFFAOYSA-N 0 3 317.473 4.054 20 0 DIADHN Clc1cccc(C2(NCc3ccc4nonc4c3)CCC2)c1 ZINC000847010855 1050797493 /nfs/dbraw/zinc/79/74/93/1050797493.db2.gz NDDYDVYTCSMEEA-UHFFFAOYSA-N 0 3 313.788 4.045 20 0 DIADHN CCC[C@@H](C)N1Cc2ccc(NC(=S)NC3(C)CC3)cc2C1 ZINC000789216745 1050800875 /nfs/dbraw/zinc/80/08/75/1050800875.db2.gz XTKKMURDSXAWNI-CYBMUJFWSA-N 0 3 317.502 4.030 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C)cc2C)cc1Cl ZINC000597045611 1050803961 /nfs/dbraw/zinc/80/39/61/1050803961.db2.gz ATQPVWRJIFFIGP-UHFFFAOYSA-N 0 3 317.816 4.033 20 0 DIADHN c1cc(-c2ccn(CN3CCC4(C3)CCCCC4)n2)cs1 ZINC000742163506 1050806715 /nfs/dbraw/zinc/80/67/15/1050806715.db2.gz CIZWCGQUPJWJIJ-UHFFFAOYSA-N 0 3 301.459 4.225 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc2c(c1)CCO2 ZINC000772961678 1050808040 /nfs/dbraw/zinc/80/80/40/1050808040.db2.gz RTLQPCKMKQEGDT-LIRRHRJNSA-N 0 3 323.436 4.358 20 0 DIADHN c1nn(CN2CC[C@@H](Cc3ccccc3)C2)cc1-c1ccccc1 ZINC000742158136 1050808808 /nfs/dbraw/zinc/80/88/08/1050808808.db2.gz ZQQXJWGUHGMZCK-IBGZPJMESA-N 0 3 317.436 4.072 20 0 DIADHN FC1(c2ccccc2)CCN(C[C@@H]2CC2(Cl)Cl)CC1 ZINC000789309536 1050810950 /nfs/dbraw/zinc/81/09/50/1050810950.db2.gz FLFKUGFPTILLLW-ZDUSSCGKSA-N 0 3 302.220 4.141 20 0 DIADHN FC1(c2ccccc2)CCN(C[C@H]2CC2(Cl)Cl)CC1 ZINC000789309535 1050812320 /nfs/dbraw/zinc/81/23/20/1050812320.db2.gz FLFKUGFPTILLLW-CYBMUJFWSA-N 0 3 302.220 4.141 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC[C@@H]2c2ccccc2C(F)(F)F)O1 ZINC000789318727 1050813967 /nfs/dbraw/zinc/81/39/67/1050813967.db2.gz KPAMYQIWMRZGNL-DVOMOZLQSA-N 0 3 313.363 4.410 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H](C)Oc1ccccc1F ZINC000742380643 1050825911 /nfs/dbraw/zinc/82/59/11/1050825911.db2.gz HTVCBWCXNIUDKN-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N[C@@H](CC(C)C)c1ccccc1C ZINC001146489149 1050826215 /nfs/dbraw/zinc/82/62/15/1050826215.db2.gz RDODSPYDQAKHEU-OALUTQOASA-N 0 3 316.489 4.073 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNCCC1CC1 ZINC000742382797 1050827246 /nfs/dbraw/zinc/82/72/46/1050827246.db2.gz KAKUKUKAVGDBQV-UHFFFAOYSA-N 0 3 317.864 4.091 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)c1cccc(-n2ccnc2)c1 ZINC000742391471 1050831012 /nfs/dbraw/zinc/83/10/12/1050831012.db2.gz JNKFNWDWMKKGNI-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3cc(F)ccc32)cc1Cl ZINC000742369261 1050831696 /nfs/dbraw/zinc/83/16/96/1050831696.db2.gz QSIBAQWBSDECSN-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)CCC(C)(C)O2)c1ccncc1 ZINC000742372443 1050834201 /nfs/dbraw/zinc/83/42/01/1050834201.db2.gz MCKNGCFESHFBTE-SFHVURJKSA-N 0 3 310.441 4.426 20 0 DIADHN CCOc1ccccc1CN[C@@H]1CCCc2c(OC)cccc21 ZINC000669613689 1050856807 /nfs/dbraw/zinc/85/68/07/1050856807.db2.gz XYDKFJZTKATHLI-GOSISDBHSA-N 0 3 311.425 4.261 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cl)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC001171298218 1050863797 /nfs/dbraw/zinc/86/37/97/1050863797.db2.gz RIHPPMDTTFHDMF-XJKSGUPXSA-N 0 3 322.880 4.425 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cccc(O)c1)c1ccccc1Cl ZINC000669616340 1050864692 /nfs/dbraw/zinc/86/46/92/1050864692.db2.gz WGGLJNBBDJINCW-YVEFUNNKSA-N 0 3 305.805 4.084 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(Cc2cc3ccccc3[nH]2)CC1 ZINC000789720785 1050877681 /nfs/dbraw/zinc/87/76/81/1050877681.db2.gz MBEFWIVMZLDECK-NRFANRHFSA-N 0 3 320.436 4.114 20 0 DIADHN Oc1cc(OC(F)(F)F)ccc1Nc1ccnc(C2CC2)c1 ZINC001214389714 1050889292 /nfs/dbraw/zinc/88/92/92/1050889292.db2.gz CCQXBIHAKAGYFO-UHFFFAOYSA-N 0 3 310.275 4.307 20 0 DIADHN CCc1ccc([C@H](CC)NCc2cccc3c2OCCO3)cc1 ZINC000772983137 1050896464 /nfs/dbraw/zinc/89/64/64/1050896464.db2.gz KBKFCDXYCUWPAT-SFHVURJKSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc(C(C)(C)C)nc1)C(C)C ZINC001147810521 1050902497 /nfs/dbraw/zinc/90/24/97/1050902497.db2.gz CQUHPDIYJYCVNC-UHFFFAOYSA-N 0 3 322.433 4.408 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@H](CC(F)(F)F)C2)cc1 ZINC001171318653 1050912390 /nfs/dbraw/zinc/91/23/90/1050912390.db2.gz CWYSLKNWVAZLTP-GFCCVEGCSA-N 0 3 323.305 4.452 20 0 DIADHN COc1cccc2cc(CN[C@H]3CCc4cc(F)ccc43)oc21 ZINC000742717909 1050931819 /nfs/dbraw/zinc/93/18/19/1050931819.db2.gz PMYBWJJBMPIOEI-KRWDZBQOSA-N 0 3 311.356 4.358 20 0 DIADHN CC(C)Cc1ccccc1C(=O)Nc1cccc(CN(C)C)c1 ZINC000790290823 1050938983 /nfs/dbraw/zinc/93/89/83/1050938983.db2.gz VMAMHMBZRLDZKD-UHFFFAOYSA-N 0 3 310.441 4.199 20 0 DIADHN Cc1cc(C(=O)NCCP(C(C)C)C(C)C)cc(C)c1O ZINC001148564952 1050952240 /nfs/dbraw/zinc/95/22/40/1050952240.db2.gz XNBGOSFPVLZVJV-UHFFFAOYSA-N 0 3 309.390 4.038 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1CC[C@@H](C2CCCC2)C1 ZINC001171332948 1050953182 /nfs/dbraw/zinc/95/31/82/1050953182.db2.gz VDYCOAREXOBQDO-UKRRQHHQSA-N 0 3 320.864 4.179 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000180700719 1050959309 /nfs/dbraw/zinc/95/93/09/1050959309.db2.gz TZDVJLPXJISCOY-ZIAGYGMSSA-N 0 3 306.413 4.192 20 0 DIADHN C[C@H](C(=O)N(CC1CCC1)c1ccccc1)N1[C@H](C)CC[C@H]1C ZINC001148856537 1050978398 /nfs/dbraw/zinc/97/83/98/1050978398.db2.gz CKCOUGBQXUTFNO-BRWVUGGUSA-N 0 3 314.473 4.081 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccco2)c(-c2cnn(C)c2)c1 ZINC000282716785 1050988951 /nfs/dbraw/zinc/98/89/51/1050988951.db2.gz BWSMHQWGJUUUIX-HNNXBMFYSA-N 0 3 309.413 4.182 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@H]2OCCC[C@@H]2C1 ZINC000180435877 1051001199 /nfs/dbraw/zinc/00/11/99/1051001199.db2.gz DXTRLKHTTFFHSL-CRAIPNDOSA-N 0 3 313.397 4.073 20 0 DIADHN O[C@@H](CN1CCC(c2ccccc2)CC1)c1cccc(Cl)c1 ZINC000743256830 1051001997 /nfs/dbraw/zinc/00/19/97/1051001997.db2.gz GCSRZTVKGVYWEA-IBGZPJMESA-N 0 3 315.844 4.253 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348221828 1051004214 /nfs/dbraw/zinc/00/42/14/1051004214.db2.gz WADQXRAMPVUCPY-KSSFIOAISA-N 0 3 303.833 4.423 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CN(C)Cc2ccc(Cl)s2)c1 ZINC000743251539 1051005191 /nfs/dbraw/zinc/00/51/91/1051005191.db2.gz SURXPVRFOOCRSM-HNNXBMFYSA-N 0 3 309.862 4.184 20 0 DIADHN COc1cc(OC)c(Nc2ccc3nc(C)[nH]c3c2)cc1Cl ZINC001213073638 1051007735 /nfs/dbraw/zinc/00/77/35/1051007735.db2.gz UYCYYVQFXZKMJN-UHFFFAOYSA-N 0 3 317.776 4.286 20 0 DIADHN CCN(CCOc1ccccc1C)C[C@]1(C)CC1(Cl)Cl ZINC001171352387 1051009610 /nfs/dbraw/zinc/00/96/10/1051009610.db2.gz DZPBWEPVUNCMCN-HNNXBMFYSA-N 0 3 316.272 4.280 20 0 DIADHN Cc1cc(C)cc([C@@H](O)CNCc2ccc(-c3ccccc3)o2)c1 ZINC000743262194 1051011545 /nfs/dbraw/zinc/01/15/45/1051011545.db2.gz NBAGMLYZBOYYFH-FQEVSTJZSA-N 0 3 321.420 4.387 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@H](N1CCC[C@H]1c1cccnc1)CC2 ZINC001171361102 1051013312 /nfs/dbraw/zinc/01/33/12/1051013312.db2.gz SXHDVVHNRUQEJB-APWZRJJASA-N 0 3 314.379 4.054 20 0 DIADHN CCCCN(CCCC)CN1C(=O)C[C@H]1c1ccc(Cl)cc1 ZINC000743353523 1051014269 /nfs/dbraw/zinc/01/42/69/1051014269.db2.gz BZYKFJYIUHGEMB-KRWDZBQOSA-N 0 3 322.880 4.473 20 0 DIADHN CN(Cn1ncc2cc(OCc3ccccc3)ccc21)C1CCC1 ZINC000743370768 1051016723 /nfs/dbraw/zinc/01/67/23/1051016723.db2.gz LIJVZYFFKFSPFQ-UHFFFAOYSA-N 0 3 321.424 4.057 20 0 DIADHN CC[C@@H](NCc1ccccc1N(C)C)c1ccc2c(c1)CCCO2 ZINC000773003267 1051017435 /nfs/dbraw/zinc/01/74/35/1051017435.db2.gz CIWZABLSDHBWQZ-LJQANCHMSA-N 0 3 324.468 4.319 20 0 DIADHN C[C@@H](C[C@H](O)c1ccc(F)cc1)NCc1ccsc1Cl ZINC000790981177 1051017954 /nfs/dbraw/zinc/01/79/54/1051017954.db2.gz SRQKRGUQGOOPIR-HZMBPMFUSA-N 0 3 313.825 4.142 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)C1 ZINC000743371581 1051018136 /nfs/dbraw/zinc/01/81/36/1051018136.db2.gz WKXZIIQHCQLUDQ-CPUCHLNUSA-N 0 3 320.864 4.081 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1Cl)c1ccc(F)cc1 ZINC000773010853 1051021324 /nfs/dbraw/zinc/02/13/24/1051021324.db2.gz GRODEJAUWCXPDH-BONVTDFDSA-N 0 3 311.759 4.002 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cn2ccc(-c3cccs3)n2)C1 ZINC000743398064 1051023219 /nfs/dbraw/zinc/02/32/19/1051023219.db2.gz FXOZLLCYNVJJOJ-ZIAGYGMSSA-N 0 3 321.515 4.175 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cn2ccc(-c3ccsc3)n2)C1 ZINC000743400013 1051023784 /nfs/dbraw/zinc/02/37/84/1051023784.db2.gz PQJDEOBSSYRMFQ-HUUCEWRRSA-N 0 3 321.515 4.175 20 0 DIADHN CCC[C@H](NC[C@H](O)c1c(F)cccc1Cl)c1ccccn1 ZINC000773015086 1051024294 /nfs/dbraw/zinc/02/42/94/1051024294.db2.gz SAZLFQAPCVXTQE-HOCLYGCPSA-N 0 3 322.811 4.039 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](O)c1ccccc1Cl ZINC000773015698 1051024687 /nfs/dbraw/zinc/02/46/87/1051024687.db2.gz WHMLDQCNVAVZAI-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CC)[C@@H](O)c2c(F)cccc2F)o1 ZINC000773025745 1051028661 /nfs/dbraw/zinc/02/86/61/1051028661.db2.gz CESASMDWGDPMAB-BKGUAONASA-N 0 3 323.383 4.283 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CC)[C@H](O)c2c(F)cccc2F)o1 ZINC000773025749 1051028813 /nfs/dbraw/zinc/02/88/13/1051028813.db2.gz CESASMDWGDPMAB-GYOIFBIXSA-N 0 3 323.383 4.283 20 0 DIADHN CC(C)(C)c1nnc(CN[C@@H]2CC[C@H]3CCCC[C@H]3C2)s1 ZINC000773041375 1051037914 /nfs/dbraw/zinc/03/79/14/1051037914.db2.gz PPWOJXDZZAJHEJ-HZSPNIEDSA-N 0 3 307.507 4.284 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](CC)Oc1cccc(C)c1 ZINC000773049608 1051039836 /nfs/dbraw/zinc/03/98/36/1051039836.db2.gz SWLNQLDGQJTKAU-GDBMZVCRSA-N 0 3 316.445 4.362 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@H](O)c1cccc(C)c1 ZINC000773066078 1051045008 /nfs/dbraw/zinc/04/50/08/1051045008.db2.gz USFHRYSTUDUUFL-SUMWQHHRSA-N 0 3 319.832 4.041 20 0 DIADHN Cn1cc(CN[C@H]2CCc3ccc(F)cc32)c(-c2ccccc2)n1 ZINC000181035524 1051046106 /nfs/dbraw/zinc/04/61/06/1051046106.db2.gz QNCUBOSUCQAXCI-IBGZPJMESA-N 0 3 321.399 4.003 20 0 DIADHN Fc1cc(F)c2c(c1)C[C@H](N1CCC[C@H]1c1ccccn1)CC2 ZINC001171378975 1051053484 /nfs/dbraw/zinc/05/34/84/1051053484.db2.gz AJKKRGGHKUPMEX-BEFAXECRSA-N 0 3 314.379 4.054 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2ccc[nH]2)ccc1OC(F)F ZINC000773115557 1051064425 /nfs/dbraw/zinc/06/44/25/1051064425.db2.gz GFNSSDBGTJVLPB-GHMZBOCLSA-N 0 3 310.344 4.037 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc[nH]2)cc1Br ZINC000773115552 1051064618 /nfs/dbraw/zinc/06/46/18/1051064618.db2.gz GAYZHMUBCZNZKE-WDEREUQCSA-N 0 3 323.234 4.198 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@H](C)C[C@H]1c1ccco1 ZINC000743803096 1051064678 /nfs/dbraw/zinc/06/46/78/1051064678.db2.gz IGDRPOFXDQTFPY-IRXDYDNUSA-N 0 3 320.477 4.091 20 0 DIADHN CC1(C)CCN(Cc2c(-c3ccccc3)nc3sccn23)C1 ZINC000743814214 1051067406 /nfs/dbraw/zinc/06/74/06/1051067406.db2.gz YBNCTEVVGWOSBM-UHFFFAOYSA-N 0 3 311.454 4.295 20 0 DIADHN Cc1ccc([C@@H](NCCc2cccs2)c2ccccn2)cc1 ZINC000791641583 1051067745 /nfs/dbraw/zinc/06/77/45/1051067745.db2.gz VSIDUDSPSDFGPQ-LJQANCHMSA-N 0 3 308.450 4.373 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cccc(Cl)n3)C2)c(C)c1 ZINC000791605994 1051069069 /nfs/dbraw/zinc/06/90/69/1051069069.db2.gz OPVBXUGFKAMKBE-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000773119653 1051070023 /nfs/dbraw/zinc/07/00/23/1051070023.db2.gz LPVIJRNYLMJHOA-NSHDSACASA-N 0 3 320.383 4.206 20 0 DIADHN COc1ccc(CN2C3CCC2CC3)cc1SC(F)(F)F ZINC000743840673 1051070589 /nfs/dbraw/zinc/07/05/89/1051070589.db2.gz QBYYHTFJTRMCMD-UHFFFAOYSA-N 0 3 317.376 4.434 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1C[C@H](O)c1cccc(Cl)c1 ZINC000743850432 1051071311 /nfs/dbraw/zinc/07/13/11/1051071311.db2.gz WUSKSYPFFUGHEP-MOPGFXCFSA-N 0 3 315.844 4.383 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2cccc(Cl)n2)C1 ZINC000743851080 1051075349 /nfs/dbraw/zinc/07/53/49/1051075349.db2.gz IYWDBMMWDFSVLF-GJZGRUSLSA-N 0 3 300.833 4.421 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(C[C@H](O)c2ccccc2F)C1 ZINC000743854782 1051076543 /nfs/dbraw/zinc/07/65/43/1051076543.db2.gz MGQWABWTABHORL-ZNOIYHFQSA-N 0 3 317.379 4.081 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2C[C@@H](O)c2cccc(F)c2)o1 ZINC000743871299 1051086374 /nfs/dbraw/zinc/08/63/74/1051086374.db2.gz ASVXIDDLAMMKNW-JEBQAFNWSA-N 0 3 317.404 4.234 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N2CCC3(CCCC3)C2)cc1 ZINC000743863136 1051088439 /nfs/dbraw/zinc/08/84/39/1051088439.db2.gz WGUKRDDEDSGJJO-CQSZACIVSA-N 0 3 318.486 4.002 20 0 DIADHN COCOc1cc(C)c(Nc2ccccc2CN(C)C)c(C)c1 ZINC001215168454 1051098824 /nfs/dbraw/zinc/09/88/24/1051098824.db2.gz MOHOYOUWZSCBJP-UHFFFAOYSA-N 0 3 314.429 4.091 20 0 DIADHN CC[C@@H](C)C[C@@H](N)C(=O)Nc1ccccc1-c1cccs1 ZINC000401043606 1051101596 /nfs/dbraw/zinc/10/15/96/1051101596.db2.gz ZTKPFAGSACXRHN-TZMCWYRMSA-N 0 3 302.443 4.117 20 0 DIADHN COC(=O)c1c(F)ccc(Nc2ccnc(C3CCC3)c2)c1F ZINC001215189752 1051108021 /nfs/dbraw/zinc/10/80/21/1051108021.db2.gz QBCBESKYFIBGRT-UHFFFAOYSA-N 0 3 318.323 4.158 20 0 DIADHN Cc1[nH]nc(CN[C@H]2CCSc3ccc(Cl)cc32)c1C ZINC000716449375 1051130188 /nfs/dbraw/zinc/13/01/88/1051130188.db2.gz AKZLXEDSNVZONN-ZDUSSCGKSA-N 0 3 307.850 4.007 20 0 DIADHN CC/C=C\CCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@@H]1C ZINC001171455551 1051137497 /nfs/dbraw/zinc/13/74/97/1051137497.db2.gz BWACYCJZJKAWST-PEWJCRCRSA-N 0 3 310.482 4.061 20 0 DIADHN Fc1ccc2c(c1)CCN([C@H]1CCc3cc(F)c(F)cc3C1)C2 ZINC001171494945 1051146178 /nfs/dbraw/zinc/14/61/78/1051146178.db2.gz DZWBKWZGZVWVSQ-KRWDZBQOSA-N 0 3 317.354 4.020 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@@H](c2cccnc2)C1 ZINC001171491710 1051146360 /nfs/dbraw/zinc/14/63/60/1051146360.db2.gz XYGFPEDRRNQWIG-CQSZACIVSA-N 0 3 321.251 4.420 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CCOc3cc(O)ccc3C2)c(C)c1 ZINC001170172625 1051150047 /nfs/dbraw/zinc/15/00/47/1051150047.db2.gz JEABWCDWJFMMRV-MRXNPFEDSA-N 0 3 311.425 4.273 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CCOc3cc(O)ccc3C2)c(C)c1 ZINC001170172624 1051150305 /nfs/dbraw/zinc/15/03/05/1051150305.db2.gz JEABWCDWJFMMRV-INIZCTEOSA-N 0 3 311.425 4.273 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@H](N1CC[C@H]1c1ccccc1)CC2 ZINC001171543504 1051153536 /nfs/dbraw/zinc/15/35/36/1051153536.db2.gz KXWJJBUFYFTNIG-PBHICJAKSA-N 0 3 317.354 4.408 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@@H](N1CC[C@H]1c1ccccc1)CC2 ZINC001171543507 1051153886 /nfs/dbraw/zinc/15/38/86/1051153886.db2.gz KXWJJBUFYFTNIG-YOEHRIQHSA-N 0 3 317.354 4.408 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1cn(CC2CCC2)cn1 ZINC001170262694 1051167552 /nfs/dbraw/zinc/16/75/52/1051167552.db2.gz GAIHHADKRLEQBW-AWEZNQCLSA-N 0 3 317.864 4.057 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N[C@H]1CCc2ccccc2C1=O ZINC001170262758 1051167744 /nfs/dbraw/zinc/16/77/44/1051167744.db2.gz HARDZZQTKUSAND-ACJLOTCBSA-N 0 3 313.828 4.058 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1ccc(F)c(F)c1F ZINC001170249997 1051171255 /nfs/dbraw/zinc/17/12/55/1051171255.db2.gz FZGLQXCEDMNHRZ-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN CCOc1ccccc1OCCN1Cc2ccccc2[C@@H](C)C1 ZINC000744384757 1051172427 /nfs/dbraw/zinc/17/24/27/1051172427.db2.gz HLBLFMZAOICYSC-INIZCTEOSA-N 0 3 311.425 4.083 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@@H](C)C3)cs2)cc1 ZINC000744447493 1051178723 /nfs/dbraw/zinc/17/87/23/1051178723.db2.gz KMUMRUXFYBCJCD-TZMCWYRMSA-N 0 3 302.443 4.097 20 0 DIADHN CC[C@@H](C)N1CCN(Cc2c(Cl)oc3ccccc32)CC1 ZINC001170316290 1051183629 /nfs/dbraw/zinc/18/36/29/1051183629.db2.gz WBMIGNFKWPTENX-CYBMUJFWSA-N 0 3 306.837 4.002 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@H]2CCc3ccccc3C2=O)cc1 ZINC001171664797 1051187412 /nfs/dbraw/zinc/18/74/12/1051187412.db2.gz FMMGOCBFGHBOIR-JXFKEZNVSA-N 0 3 307.437 4.103 20 0 DIADHN c1ccc2sc(CN3CCCc4ccccc4CC3)nc2c1 ZINC001170343277 1051193050 /nfs/dbraw/zinc/19/30/50/1051193050.db2.gz YYWDLRNPDLGHAX-UHFFFAOYSA-N 0 3 308.450 4.287 20 0 DIADHN CCOC(=O)[C@H](CCc1ccccc1)N1CCCCCCCC1 ZINC001170343257 1051193253 /nfs/dbraw/zinc/19/32/53/1051193253.db2.gz YGOHBPFRTBYUFQ-IBGZPJMESA-N 0 3 317.473 4.207 20 0 DIADHN CCOC(=O)[C@@H](CCc1ccccc1)N1CCCCCCCC1 ZINC001170343258 1051194026 /nfs/dbraw/zinc/19/40/26/1051194026.db2.gz YGOHBPFRTBYUFQ-LJQANCHMSA-N 0 3 317.473 4.207 20 0 DIADHN Fc1cccc2c1C[C@@H](NC1(c3ccccc3Cl)CC1)C2 ZINC001171777152 1051212680 /nfs/dbraw/zinc/21/26/80/1051212680.db2.gz JKQOCGKMCFUCBS-ZDUSSCGKSA-N 0 3 301.792 4.225 20 0 DIADHN CC[C@@H]1c2ccc(OC)c(OC)c2CCN1[C@@H]1C=CCCC1 ZINC001171734415 1051213835 /nfs/dbraw/zinc/21/38/35/1051213835.db2.gz HCERVAYEWVNXEF-RHSMWYFYSA-N 0 3 301.430 4.122 20 0 DIADHN CN(CC1CCCC1)[C@H](CO)c1cccc(Cl)c1Cl ZINC001171805115 1051222601 /nfs/dbraw/zinc/22/26/01/1051222601.db2.gz NYPBOZMNMFFLGS-CQSZACIVSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@@]12CCN(Cc3ccc4ncccc4c3)C[C@@H]1C2(Cl)Cl ZINC000792902605 1051225580 /nfs/dbraw/zinc/22/55/80/1051225580.db2.gz LSSHHXDSFPHOOJ-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@@H](C)[C@H](C)C1 ZINC001248778059 1051227960 /nfs/dbraw/zinc/22/79/60/1051227960.db2.gz KMGHKHMZKGAHHR-VXGBXAGGSA-N 0 3 311.267 4.021 20 0 DIADHN Cc1ccccc1OCCN1CCC(CC(F)(F)F)CC1 ZINC000792934565 1051230149 /nfs/dbraw/zinc/23/01/49/1051230149.db2.gz XXDIFVQHQLUYOL-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN CC(C)[C@H]1N(C[C@@H](O)c2ccc(Cl)c(F)c2)CC12CCC2 ZINC000793005563 1051237657 /nfs/dbraw/zinc/23/76/57/1051237657.db2.gz WXSFDMJMJIEWEN-HZPDHXFCSA-N 0 3 311.828 4.023 20 0 DIADHN COC(=O)[C@H](c1ccccc1C)N1CCCC2(CCCC2)CC1 ZINC001171915372 1051238344 /nfs/dbraw/zinc/23/83/44/1051238344.db2.gz DILNNSDYLGCTDE-SFHVURJKSA-N 0 3 315.457 4.255 20 0 DIADHN Cc1ccc(CN2CCN(C)C3(CCCCC3)C2)c(Cl)c1 ZINC001170424710 1051239112 /nfs/dbraw/zinc/23/91/12/1051239112.db2.gz PLVCALDMLXAJSS-UHFFFAOYSA-N 0 3 306.881 4.099 20 0 DIADHN C[C@H](NCc1occc1Br)[C@@H](C)c1ccccc1 ZINC000747100734 1051241205 /nfs/dbraw/zinc/24/12/05/1051241205.db2.gz QCRPCHHOIXAROO-NEPJUHHUSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@@H](NCc1occc1Br)[C@H](C)c1ccccc1 ZINC000747100735 1051240869 /nfs/dbraw/zinc/24/08/69/1051240869.db2.gz QCRPCHHOIXAROO-NWDGAFQWSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@H](N[C@@H]1CSc2ccccc21)c1cccc(-n2ccnc2)c1 ZINC000766327239 1051246776 /nfs/dbraw/zinc/24/67/76/1051246776.db2.gz VPSSDJDSEGDDMH-KBXCAEBGSA-N 0 3 321.449 4.370 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N(C)Cc1cncs1 ZINC001171968655 1051250095 /nfs/dbraw/zinc/25/00/95/1051250095.db2.gz WHQYSOUWWPYFRJ-LLVKDONJSA-N 0 3 314.376 4.225 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1)C1CCC1 ZINC000793167273 1051255883 /nfs/dbraw/zinc/25/58/83/1051255883.db2.gz GMUXWUBWRBDFGI-MNOVXSKESA-N 0 3 305.809 4.229 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2ccncc2C1 ZINC001171972896 1051261946 /nfs/dbraw/zinc/26/19/46/1051261946.db2.gz DRAXGUMTFFVTOT-CYBMUJFWSA-N 0 3 320.358 4.090 20 0 DIADHN CCC[C@H](NCc1ccsc1Cl)[C@@H](O)c1ccccc1 ZINC000793236313 1051268728 /nfs/dbraw/zinc/26/87/28/1051268728.db2.gz CQSCGZRNXBPEDQ-GJZGRUSLSA-N 0 3 309.862 4.393 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3ccc(F)cc32)cnc1Cl ZINC000793304659 1051277913 /nfs/dbraw/zinc/27/79/13/1051277913.db2.gz MJGDTTGCJMPONJ-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN CO[C@]1(C)CCCN(Cc2cc3ccccc3nc2Cl)CC1 ZINC000793342301 1051280810 /nfs/dbraw/zinc/28/08/10/1051280810.db2.gz XMABZHCIIFKXPM-GOSISDBHSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@@H](NCc1cccnc1F)[C@@H](C)c1nc2ccccc2s1 ZINC000793283030 1051280960 /nfs/dbraw/zinc/28/09/60/1051280960.db2.gz YWRVOXRFXCRFJO-VXGBXAGGSA-N 0 3 315.417 4.112 20 0 DIADHN Nc1ccc2c(ccn2-c2cccc(CN3CCCCC3)c2F)c1 ZINC001215676554 1051292941 /nfs/dbraw/zinc/29/29/41/1051292941.db2.gz DJJVQUMFKHQFOL-UHFFFAOYSA-N 0 3 323.415 4.338 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3CC[C@@H](CC(C)C)C3)o2)c1 ZINC000745946907 1051296234 /nfs/dbraw/zinc/29/62/34/1051296234.db2.gz MVIFORAUPLDALI-CVEARBPZSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cc(CN[C@H](CCCO)c2ccccc2)ccc1Cl ZINC000305888239 1051304411 /nfs/dbraw/zinc/30/44/11/1051304411.db2.gz ZLHGEJDLPADRON-GOSISDBHSA-N 0 3 303.833 4.252 20 0 DIADHN c1ccc2nc(CN[C@@H]3CSc4ccccc4C3)ccc2c1 ZINC001172183344 1051307258 /nfs/dbraw/zinc/30/72/58/1051307258.db2.gz COJNYOUATIUJQR-KRWDZBQOSA-N 0 3 306.434 4.041 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(Cl)cc2)C(C)C)n(C)n1 ZINC000793519955 1051307883 /nfs/dbraw/zinc/30/78/83/1051307883.db2.gz RQKDRRJATROFBJ-GUYCJALGSA-N 0 3 305.853 4.430 20 0 DIADHN Cc1nc2ccc(Nc3cc(O)ccc3Br)cc2[nH]1 ZINC001213073223 1051315133 /nfs/dbraw/zinc/31/51/33/1051315133.db2.gz JZRCFCMHOKTHEF-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN CCN(CCc1cccs1)Cn1cc(-c2ccccc2)cn1 ZINC000746070697 1051316231 /nfs/dbraw/zinc/31/62/31/1051316231.db2.gz WXCDLSINVXHIAE-UHFFFAOYSA-N 0 3 311.454 4.134 20 0 DIADHN CCCc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)on1 ZINC001215710273 1051317740 /nfs/dbraw/zinc/31/77/40/1051317740.db2.gz PUCYBJQXMADQFB-UHFFFAOYSA-N 0 3 317.408 4.496 20 0 DIADHN Fc1cnc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001215710566 1051317806 /nfs/dbraw/zinc/31/78/06/1051317806.db2.gz XYXHKLMZLXQVRT-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Fc1cnc(Nc2ccc(CN3CCCCC3)c(F)c2)s1 ZINC001215709869 1051317827 /nfs/dbraw/zinc/31/78/27/1051317827.db2.gz GVFSKNINLRPRBT-UHFFFAOYSA-N 0 3 309.385 4.151 20 0 DIADHN Fc1cc(Nc2cnn3ccccc23)ccc1CN1CCCCC1 ZINC001215711210 1051317966 /nfs/dbraw/zinc/31/79/66/1051317966.db2.gz HVFBZDJUIJCSRR-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)n1 ZINC001215711740 1051319895 /nfs/dbraw/zinc/31/98/95/1051319895.db2.gz WMAPKGZQFBMEQO-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Cc1cc([C@H](C)NC2CC(F)(c3cccc(Cl)c3)C2)n(C)n1 ZINC000793559039 1051319833 /nfs/dbraw/zinc/31/98/33/1051319833.db2.gz ABSCSCWALRCGHK-VWDMACCTSA-N 0 3 321.827 4.060 20 0 DIADHN COc1ccc(CN[C@H]2CSc3ccccc3C2)c(Cl)c1 ZINC001172197212 1051323935 /nfs/dbraw/zinc/32/39/35/1051323935.db2.gz NTTBUTDQIWMJAY-CQSZACIVSA-N 0 3 319.857 4.155 20 0 DIADHN C[C@@H](N[C@@H]1CSc2ccccc2C1)c1cccc(F)c1F ZINC001172196473 1051324179 /nfs/dbraw/zinc/32/41/79/1051324179.db2.gz FWFZMZJMZZUQTM-YPMHNXCESA-N 0 3 305.393 4.332 20 0 DIADHN Cc1c(F)ccc(CN[C@@H]2CSc3ccccc3C2)c1F ZINC001172198239 1051325715 /nfs/dbraw/zinc/32/57/15/1051325715.db2.gz SGOSAFPRABTVCP-AWEZNQCLSA-N 0 3 305.393 4.080 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CSc3ccccc3C2)c(F)c1 ZINC001172198821 1051325840 /nfs/dbraw/zinc/32/58/40/1051325840.db2.gz WVPDQRJQBYYDAH-GXTWGEPZSA-N 0 3 317.429 4.202 20 0 DIADHN CC[NH+](CC)Cc1ccc(F)c(Nc2cc([O-])c(F)cc2F)c1 ZINC001215726291 1051326374 /nfs/dbraw/zinc/32/63/74/1051326374.db2.gz BIWVKAIUJISARW-UHFFFAOYSA-N 0 3 324.346 4.395 20 0 DIADHN C[C@@]12CCN(Cc3cccc4cnccc43)C[C@@H]1C2(Cl)Cl ZINC000793609785 1051326494 /nfs/dbraw/zinc/32/64/94/1051326494.db2.gz BXOHFXMCPSJGMC-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN C[C@@]12CCN(Cc3ccc4cnccc4c3)C[C@@H]1C2(Cl)Cl ZINC000793617941 1051329506 /nfs/dbraw/zinc/32/95/06/1051329506.db2.gz YSOITVRNCVABBT-JKSUJKDBSA-N 0 3 321.251 4.251 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1ccc(F)c(Cl)c1F ZINC001172327320 1051331372 /nfs/dbraw/zinc/33/13/72/1051331372.db2.gz NAPAHAGGAAJEJA-QWRGUYRKSA-N 0 3 305.796 4.302 20 0 DIADHN CC[C@@H](C)OCC[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC001172325312 1051343884 /nfs/dbraw/zinc/34/38/84/1051343884.db2.gz UFFNLAWJEAVKMT-LSDHHAIUSA-N 0 3 318.486 4.493 20 0 DIADHN CC1(C)C[C@H](N2CCC[C@H]2c2ccccc2Br)CO1 ZINC001172284593 1051344979 /nfs/dbraw/zinc/34/49/79/1051344979.db2.gz VQRLUZHAZRNNGP-WFASDCNBSA-N 0 3 324.262 4.154 20 0 DIADHN Cc1nccn1Cc1ccc(Nc2ccc(C)c3[nH]ncc32)cc1 ZINC001215758029 1051349017 /nfs/dbraw/zinc/34/90/17/1051349017.db2.gz FRLJISOUEXXCRY-UHFFFAOYSA-N 0 3 317.396 4.168 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)c2c[nH]nc21 ZINC001215760567 1051350855 /nfs/dbraw/zinc/35/08/55/1051350855.db2.gz QKZVFHDICTVQQD-QGZVFWFLSA-N 0 3 322.412 4.000 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@H]1CCCCO1 ZINC000379150663 1051352000 /nfs/dbraw/zinc/35/20/00/1051352000.db2.gz BZZWAHIRDYRTCB-CXAGYDPISA-N 0 3 303.377 4.133 20 0 DIADHN CCOc1ccc(C)c(F)c1Nc1ccccc1CN(C)C ZINC001215763574 1051353222 /nfs/dbraw/zinc/35/32/22/1051353222.db2.gz BBHKGRBOFBUCGY-UHFFFAOYSA-N 0 3 302.393 4.338 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC001172328715 1051357299 /nfs/dbraw/zinc/35/72/99/1051357299.db2.gz DDOIPGXKFCSSHR-STQMWFEESA-N 0 3 318.383 4.126 20 0 DIADHN CC[C@H](C)OCC[C@H](C)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001172342253 1051363084 /nfs/dbraw/zinc/36/30/84/1051363084.db2.gz XOBNFSUZCLRUHM-HOTGVXAUSA-N 0 3 324.896 4.056 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2coc(-c3ccc(F)cc3)n2)C[C@@H]1C ZINC001172367733 1051378408 /nfs/dbraw/zinc/37/84/08/1051378408.db2.gz MBWKCSXYICNNEH-DVOMOZLQSA-N 0 3 302.393 4.395 20 0 DIADHN CC[C@H](CC(C)C)N1CCc2ncc(Br)cc2C1 ZINC001172404594 1051381216 /nfs/dbraw/zinc/38/12/16/1051381216.db2.gz AVHGKJRZDCXGMW-CQSZACIVSA-N 0 3 311.267 4.027 20 0 DIADHN FC1(F)CC[C@@H](NCc2ccc(Cl)cc2Br)C1 ZINC000383959572 1051383485 /nfs/dbraw/zinc/38/34/85/1051383485.db2.gz HNKFEYWULINNJV-SNVBAGLBSA-N 0 3 324.596 4.380 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccc(Br)cc1F ZINC000385700168 1051387353 /nfs/dbraw/zinc/38/73/53/1051387353.db2.gz JELRKMOZHCZHIM-PSASIEDQSA-N 0 3 322.168 4.427 20 0 DIADHN CC[C@H](CC(C)C)NCc1coc(-c2ccc(OC)cc2)n1 ZINC001172392966 1051395113 /nfs/dbraw/zinc/39/51/13/1051395113.db2.gz HJRIQFLTCDKWHN-OAHLLOKOSA-N 0 3 302.418 4.265 20 0 DIADHN CC(C)COCC[C@H](C)N[C@H](c1ncccc1Cl)C1CC1 ZINC001172470958 1051400129 /nfs/dbraw/zinc/40/01/29/1051400129.db2.gz HOPVCFBACTZXMO-BBRMVZONSA-N 0 3 310.869 4.227 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H](C)COCc2ccccc2)c(C)s1 ZINC000389901499 1051401129 /nfs/dbraw/zinc/40/11/29/1051401129.db2.gz CGCZTUVYQLSZNC-ZIAGYGMSSA-N 0 3 318.486 4.263 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOCc2ccccc2)c(C)s1 ZINC000390590024 1051403550 /nfs/dbraw/zinc/40/35/50/1051403550.db2.gz OTCYXNKJRPWLBT-CQSZACIVSA-N 0 3 318.486 4.408 20 0 DIADHN CC(C)OCC[C@H](C)N[C@@H](Cc1ccccc1)c1nccs1 ZINC001172443877 1051407844 /nfs/dbraw/zinc/40/78/44/1051407844.db2.gz SFLIXSHHNHCSEP-RDJZCZTQSA-N 0 3 318.486 4.220 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccccc1)c1ccc(C)c(F)c1 ZINC001168792291 1051423782 /nfs/dbraw/zinc/42/37/82/1051423782.db2.gz AJVSRBGFDVKRKG-KSSFIOAISA-N 0 3 314.404 4.202 20 0 DIADHN C[C@@H](CCc1c(F)cc(Cl)cc1F)NCc1nccs1 ZINC001172565713 1051444626 /nfs/dbraw/zinc/44/46/26/1051444626.db2.gz LXPOBUSRLUHLBW-VIFPVBQESA-N 0 3 316.804 4.186 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)N1CCCO[C@H](C)C1 ZINC001172569132 1051446909 /nfs/dbraw/zinc/44/69/09/1051446909.db2.gz DRKATEBQAJTHGK-VXGBXAGGSA-N 0 3 317.807 4.050 20 0 DIADHN COC1CCN([C@H](C)CCc2c(F)cc(Cl)cc2F)CC1 ZINC001172568634 1051447211 /nfs/dbraw/zinc/44/72/11/1051447211.db2.gz AHIWASDSIAGVPR-LLVKDONJSA-N 0 3 317.807 4.050 20 0 DIADHN Cc1ccc([C@@H](C)Nc2ccc([C@@H]3CCCCN3C)cn2)nc1 ZINC001168852296 1051449791 /nfs/dbraw/zinc/44/97/91/1051449791.db2.gz SKGKTLBXRRDVML-QAPCUYQASA-N 0 3 310.445 4.115 20 0 DIADHN Cc1ccc([C@H](C)Nc2cccc(N3CCCC[C@H]3C)n2)nc1 ZINC001168852736 1051451148 /nfs/dbraw/zinc/45/11/48/1051451148.db2.gz UWMTZJLBAKNJHB-CVEARBPZSA-N 0 3 310.445 4.337 20 0 DIADHN Cc1cccc2c1[C@H](N[C@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538487 1051452606 /nfs/dbraw/zinc/45/26/06/1051452606.db2.gz OSEUIXBFTODOIF-UWVAXJGDSA-N 0 3 320.436 4.304 20 0 DIADHN COc1ccccc1C(=O)CN[C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172540512 1051453309 /nfs/dbraw/zinc/45/33/09/1051453309.db2.gz ODVWDHYQAHIZEI-MSOLQXFVSA-N 0 3 323.436 4.194 20 0 DIADHN NCc1ccc2c(n1)N([C@H]1CCC[C@@H](c3ccccc3)C1)CCC2 ZINC001172539516 1051454087 /nfs/dbraw/zinc/45/40/87/1051454087.db2.gz HUSKRYBMMDZXFJ-QUCCMNQESA-N 0 3 321.468 4.019 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCNc1ccccc1 ZINC000766516336 1051455130 /nfs/dbraw/zinc/45/51/30/1051455130.db2.gz WKMHAXCAGFEVCX-CYBMUJFWSA-N 0 3 304.821 4.111 20 0 DIADHN O=C(CN[C@@H]1CC[C@@H](c2ccccc2)C1)c1ccc(Cl)cc1 ZINC001172546138 1051457094 /nfs/dbraw/zinc/45/70/94/1051457094.db2.gz JZIKODIRWPKXSK-SJLPKXTDSA-N 0 3 313.828 4.449 20 0 DIADHN COC(=O)[C@@H]1CCC[C@H](NC2(c3ccccc3Cl)CCC2)C1 ZINC001172604099 1051461569 /nfs/dbraw/zinc/46/15/69/1051461569.db2.gz LVBVGRNEWNNFDC-KGLIPLIRSA-N 0 3 321.848 4.041 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cccnc1Cl)Oc1cccc(F)c1 ZINC000766528327 1051466262 /nfs/dbraw/zinc/46/62/62/1051466262.db2.gz LFDWSGXRPHWKPC-OCCSQVGLSA-N 0 3 322.811 4.382 20 0 DIADHN C[C@H](CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C)C1CCCCC1 ZINC001168919416 1051468256 /nfs/dbraw/zinc/46/82/56/1051468256.db2.gz ZMKJYHXKPRMVQV-CVEARBPZSA-N 0 3 324.509 4.144 20 0 DIADHN CC1(C)CC(NCc2c(F)ccc(Cl)c2F)CC(C)(C)O1 ZINC001172644500 1051468979 /nfs/dbraw/zinc/46/89/79/1051468979.db2.gz QUECTIVCIRXVDL-UHFFFAOYSA-N 0 3 317.807 4.444 20 0 DIADHN Oc1ccc(CN2CCC(Oc3cccc(Cl)c3)CC2)cc1 ZINC001204093421 1051474200 /nfs/dbraw/zinc/47/42/00/1051474200.db2.gz HUSNQOBTLZMMMP-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN COC(=O)[C@@H]1CCC[C@@H](N(C)[C@H](C)c2ccccc2Cl)C1 ZINC001172625380 1051476013 /nfs/dbraw/zinc/47/60/13/1051476013.db2.gz WAEYBPATUVTHIG-MGPQQGTHSA-N 0 3 309.837 4.065 20 0 DIADHN CN1CCN(Cc2ccc3ccccc3c2)[C@@H](c2ccccc2)C1 ZINC001168931105 1051476103 /nfs/dbraw/zinc/47/61/03/1051476103.db2.gz FBTYAGZCZCCJNS-JOCHJYFZSA-N 0 3 316.448 4.329 20 0 DIADHN Cc1cc2cc(NCc3ccccc3-n3cccn3)ccc2cn1 ZINC001168972934 1051483148 /nfs/dbraw/zinc/48/31/48/1051483148.db2.gz RPNKTXMQRWTRMP-UHFFFAOYSA-N 0 3 314.392 4.341 20 0 DIADHN CC(C)(N[C@@H]1Cc2ccc(Cl)cc2C1)C(=O)c1ccccc1 ZINC001172707247 1051491472 /nfs/dbraw/zinc/49/14/72/1051491472.db2.gz OSXLTDVZYLPGMV-QGZVFWFLSA-N 0 3 313.828 4.058 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)NC1(c2ccccc2Cl)CCC1 ZINC001172750184 1051491959 /nfs/dbraw/zinc/49/19/59/1051491959.db2.gz CNRTXSWGNQQKLW-CQSZACIVSA-N 0 3 323.864 4.429 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172714319 1051496964 /nfs/dbraw/zinc/49/69/64/1051496964.db2.gz WUIPSDKJQOLCJG-NHYWBVRUSA-N 0 3 319.807 4.306 20 0 DIADHN Fc1ccc(C2(N[C@H]3Cc4ccc(Cl)cc4C3)CC2)c(F)c1 ZINC001172714028 1051497538 /nfs/dbraw/zinc/49/75/38/1051497538.db2.gz SNIVIQDEZYILNB-HNNXBMFYSA-N 0 3 319.782 4.364 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)NC(C)(C)c1ccccc1Cl ZINC001172759141 1051498718 /nfs/dbraw/zinc/49/87/18/1051498718.db2.gz JHMXAXHZZUEIQP-ZDUSSCGKSA-N 0 3 311.853 4.285 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N(C)[C@@H](C)c1ccccc1Cl ZINC001172762569 1051500708 /nfs/dbraw/zinc/50/07/08/1051500708.db2.gz MPSCNHYXDWWAAX-KBPBESRZSA-N 0 3 311.853 4.453 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2ccc(Cl)s2)ccc1C ZINC001169065199 1051504438 /nfs/dbraw/zinc/50/44/38/1051504438.db2.gz JFZWJRDOQHATFF-LLVKDONJSA-N 0 3 323.845 4.347 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](NCc1c(F)cc(F)cc1F)CC2 ZINC001172834645 1051519713 /nfs/dbraw/zinc/51/97/13/1051519713.db2.gz HIJKQTKKPGWHBT-HNNXBMFYSA-N 0 3 305.343 4.059 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CC[C@]2(C1)CCCCO2 ZINC001172865062 1051524010 /nfs/dbraw/zinc/52/40/10/1051524010.db2.gz ZCZNOYMJSDEVOF-DYVFJYSZSA-N 0 3 311.828 4.055 20 0 DIADHN Cc1ccc2c(c1)C[C@H](NCc1c(Cl)cncc1Cl)CC2 ZINC001172841580 1051530176 /nfs/dbraw/zinc/53/01/76/1051530176.db2.gz HSFYZUCZVGNYAH-CQSZACIVSA-N 0 3 321.251 4.344 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cccc(F)c1F ZINC001172842934 1051529966 /nfs/dbraw/zinc/52/99/66/1051529966.db2.gz SPZYDDZEZISZAZ-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1cn(-c2ccccc2)nn1)C1CCC1 ZINC001169111839 1051531470 /nfs/dbraw/zinc/53/14/70/1051531470.db2.gz LKWYGOMZTFPIJK-YJBOKZPZSA-N 0 3 312.461 4.277 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N[C@@H]1COc2ccccc21 ZINC001172843786 1051532823 /nfs/dbraw/zinc/53/28/23/1051532823.db2.gz ZGAMMMMRMTYDGH-BDJLRTHQSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N[C@@H](C)c1ccc(F)cn1 ZINC001172846275 1051534933 /nfs/dbraw/zinc/53/49/33/1051534933.db2.gz TYGGCOHOACBDCA-QWRGUYRKSA-N 0 3 310.775 4.295 20 0 DIADHN CCCOC1CCN([C@@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172851580 1051537529 /nfs/dbraw/zinc/53/75/29/1051537529.db2.gz AYSTWKRAZPXKQH-ZDUSSCGKSA-N 0 3 313.844 4.301 20 0 DIADHN CSC1CCN([C@@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172857994 1051544044 /nfs/dbraw/zinc/54/40/44/1051544044.db2.gz SFWVGVOOESYKCF-NSHDSACASA-N 0 3 301.858 4.238 20 0 DIADHN CC(C)Cn1cnc(CN[C@H](C)Cc2ccc(F)cc2Cl)c1 ZINC001172858511 1051545211 /nfs/dbraw/zinc/54/52/11/1051545211.db2.gz MVLDLJQPSYDKEI-CYBMUJFWSA-N 0 3 323.843 4.052 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CCOC1CCCCCC1 ZINC001169178404 1051545942 /nfs/dbraw/zinc/54/59/42/1051545942.db2.gz PYKDLZGQGXFAOE-KGLIPLIRSA-N 0 3 307.400 4.389 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1noc2ccccc21 ZINC001172862407 1051547675 /nfs/dbraw/zinc/54/76/75/1051547675.db2.gz YVXBSKLBWJJJHW-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(N[C@@H]2CCCC(F)(F)C2)n1 ZINC001169213898 1051550523 /nfs/dbraw/zinc/55/05/23/1051550523.db2.gz REHVDCMMSGUNLU-ZIAGYGMSSA-N 0 3 309.404 4.450 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NCc1cncc(F)c1 ZINC001172875033 1051559096 /nfs/dbraw/zinc/55/90/96/1051559096.db2.gz KXBWTDIHIQRNPQ-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN CC[C@H](OCCN(C)Cc1ccn(CC)c1)c1ccccc1 ZINC001169253099 1051564195 /nfs/dbraw/zinc/56/41/95/1051564195.db2.gz PARGHWZNTJTJIB-IBGZPJMESA-N 0 3 300.446 4.108 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NC1(c2cccnc2)CC1 ZINC001172880788 1051564249 /nfs/dbraw/zinc/56/42/49/1051564249.db2.gz VIRJYAWDULCYKT-ZDUSSCGKSA-N 0 3 318.873 4.495 20 0 DIADHN CO[C@@H]1CCC[C@H](NC2c3ccccc3Oc3ccccc32)C1 ZINC001172922111 1051572889 /nfs/dbraw/zinc/57/28/89/1051572889.db2.gz FUZAJJVJTYYMMW-LSDHHAIUSA-N 0 3 309.409 4.429 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC001169270206 1051576390 /nfs/dbraw/zinc/57/63/90/1051576390.db2.gz FVNCYLHMPMSPJJ-CYZMBNFOSA-N 0 3 318.490 4.120 20 0 DIADHN CO[C@@H]1CCC[C@H](N2CCc3cccc(C(F)(F)F)c3C2)C1 ZINC001172942235 1051578864 /nfs/dbraw/zinc/57/88/64/1051578864.db2.gz IRQMTIOKMFLSQV-UONOGXRCSA-N 0 3 313.363 4.021 20 0 DIADHN CO[C@@H]1CCC[C@H](N2CCc3c(cccc3C(F)(F)F)C2)C1 ZINC001172947197 1051579693 /nfs/dbraw/zinc/57/96/93/1051579693.db2.gz WWKIXFSUXJNAGJ-UONOGXRCSA-N 0 3 313.363 4.021 20 0 DIADHN CC(C)(NCc1ccc(OC(F)F)cc1)c1ccc(F)cc1 ZINC000103173377 1051586532 /nfs/dbraw/zinc/58/65/32/1051586532.db2.gz XGFIXHRPJODZIA-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN c1csc([C@H]2CCCN2C2CCC3(CCOCC3)CC2)n1 ZINC001173048078 1051593398 /nfs/dbraw/zinc/59/33/98/1051593398.db2.gz JZPYEAHRVQBUIX-OAHLLOKOSA-N 0 3 306.475 4.019 20 0 DIADHN c1csc([C@@H]2CCCN2C2CCC3(CCOCC3)CC2)n1 ZINC001173048077 1051593519 /nfs/dbraw/zinc/59/35/19/1051593519.db2.gz JZPYEAHRVQBUIX-HNNXBMFYSA-N 0 3 306.475 4.019 20 0 DIADHN Fc1cc2c(cc1F)CN(C1CCC3(CCOCC3)CC1)CC2 ZINC001173051246 1051596688 /nfs/dbraw/zinc/59/66/88/1051596688.db2.gz QKWWAYLAKDZKRW-UHFFFAOYSA-N 0 3 321.411 4.062 20 0 DIADHN CCCOC1CCC(NCc2c(F)ccc(Cl)c2F)CC1 ZINC001173091420 1051597342 /nfs/dbraw/zinc/59/73/42/1051597342.db2.gz SIHAJTAPXCNULO-UHFFFAOYSA-N 0 3 317.807 4.446 20 0 DIADHN CCCOC1CCC(N2CCc3ccc(Cl)cc3C2)CC1 ZINC001173095720 1051599628 /nfs/dbraw/zinc/59/96/28/1051599628.db2.gz PFIIFDHQCCYEKP-UHFFFAOYSA-N 0 3 307.865 4.436 20 0 DIADHN C[C@H](C1CC1)N(Cc1nn(-c2ccccc2)c2c1CCC2)C1CC1 ZINC000493305126 1051607272 /nfs/dbraw/zinc/60/72/72/1051607272.db2.gz PDSSSHFDBWJYQQ-OAHLLOKOSA-N 0 3 321.468 4.124 20 0 DIADHN OCC[C@H](Nc1c[nH]cc2ccnc1-2)c1cccc2ccccc21 ZINC001169423168 1051608807 /nfs/dbraw/zinc/60/88/07/1051608807.db2.gz BAVSTZXVXQTJJF-SFHVURJKSA-N 0 3 317.392 4.252 20 0 DIADHN OCC[C@H](Nc1cncc2cc[nH]c21)c1cccc2ccccc21 ZINC001169423168 1051608816 /nfs/dbraw/zinc/60/88/16/1051608816.db2.gz BAVSTZXVXQTJJF-SFHVURJKSA-N 0 3 317.392 4.252 20 0 DIADHN C[C@H](CCO)CN[C@H](c1ccc(F)cc1)c1ccccc1Cl ZINC000493278685 1051609163 /nfs/dbraw/zinc/60/91/63/1051609163.db2.gz VCMZWKREIVURRG-FZKQIMNGSA-N 0 3 321.823 4.177 20 0 DIADHN NCc1ccc2c(n1)N(C1CCC3(CCCCC3)CC1)CCC2 ZINC001173115484 1051611466 /nfs/dbraw/zinc/61/14/66/1051611466.db2.gz BQXKKWQZPHXUSD-UHFFFAOYSA-N 0 3 313.489 4.186 20 0 DIADHN CC(C)Oc1ccc(CN2CCC[C@@H]3COCC[C@@H]32)cc1Cl ZINC000493332429 1051611883 /nfs/dbraw/zinc/61/18/83/1051611883.db2.gz BTUCCEUURKUJQT-WBVHZDCISA-N 0 3 323.864 4.128 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC1CCC3(CCCCC3)CC1)C(=O)N2 ZINC001173117810 1051613167 /nfs/dbraw/zinc/61/31/67/1051613167.db2.gz YYOOAKFQXSTHON-SFHVURJKSA-N 0 3 312.457 4.471 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2[C@@H]2CCc3ncsc3C2)c1 ZINC001173135321 1051619341 /nfs/dbraw/zinc/61/93/41/1051619341.db2.gz BHACEOSVXYVNTB-MLGOLLRUSA-N 0 3 320.408 4.116 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCCN1[C@H]1CCc2ncsc2C1 ZINC001173139959 1051620763 /nfs/dbraw/zinc/62/07/63/1051620763.db2.gz DFAYZNLNIDONFB-NHYWBVRUSA-N 0 3 320.408 4.116 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1[C@@H]1CCc2ncsc2C1 ZINC001173139957 1051621082 /nfs/dbraw/zinc/62/10/82/1051621082.db2.gz DFAYZNLNIDONFB-IAQYHMDHSA-N 0 3 320.408 4.116 20 0 DIADHN CC[C@@H](C)[C@@H](C(=O)OC)N(CC)C[C@@H](CC)c1ccccc1 ZINC001169482249 1051622094 /nfs/dbraw/zinc/62/20/94/1051622094.db2.gz HQQQDDKLQYQGCX-NUJGCVRESA-N 0 3 305.462 4.090 20 0 DIADHN [O-]c1cc(Nc2c[nH+]ccc2N2CCCCC2)ccc1Cl ZINC001212590633 1051631289 /nfs/dbraw/zinc/63/12/89/1051631289.db2.gz WKWRBWRALDIPOZ-UHFFFAOYSA-N 0 3 303.793 4.175 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCC[C@H]3Cc3ccccc3)oc2c1 ZINC000493570967 1051633953 /nfs/dbraw/zinc/63/39/53/1051633953.db2.gz DDSPGMAHQQNCHX-RDJZCZTQSA-N 0 3 324.399 4.468 20 0 DIADHN C[C@@H](CC(c1ccccc1)c1ccccc1)n1cnc(CN)c1 ZINC001173208880 1051647313 /nfs/dbraw/zinc/64/73/13/1051647313.db2.gz ULXWNWUJCAHKLI-INIZCTEOSA-N 0 3 305.425 4.125 20 0 DIADHN CCCC[C@H](CC(C)C)NCc1nnc(-c2ccccc2)o1 ZINC001173245400 1051651820 /nfs/dbraw/zinc/65/18/20/1051651820.db2.gz FQLRZUDVYFESPI-MRXNPFEDSA-N 0 3 301.434 4.431 20 0 DIADHN CCc1ccc(C[C@@H](C)N[C@H](c2ncccc2F)C2CC2)cc1 ZINC001173249795 1051652983 /nfs/dbraw/zinc/65/29/83/1051652983.db2.gz LJJONVLWHUIYMM-KUHUBIRLSA-N 0 3 312.432 4.455 20 0 DIADHN CCCCCC(=O)N(C(C)C)[C@H]1CCN(Cc2ccccc2)C1 ZINC001130256687 1051653634 /nfs/dbraw/zinc/65/36/34/1051653634.db2.gz AODMKMOODOTIHY-IBGZPJMESA-N 0 3 316.489 4.078 20 0 DIADHN CCCC[C@H](CC(C)C)N1CCc2cnc(SC)nc2C1 ZINC001173260702 1051661255 /nfs/dbraw/zinc/66/12/55/1051661255.db2.gz SZGCJNNJHSZLQN-OAHLLOKOSA-N 0 3 307.507 4.162 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1cc(F)ccc1SC ZINC000493882127 1051661466 /nfs/dbraw/zinc/66/14/66/1051661466.db2.gz HJAHAMCPTRYUIA-SCLBCKFNSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1sc(CN[C@H]2[C@H]3CCO[C@H]3C23CCCC3)nc1C(C)C ZINC000493875619 1051661807 /nfs/dbraw/zinc/66/18/07/1051661807.db2.gz QZKSWWSKZACOFF-XOKHGSTOSA-N 0 3 320.502 4.012 20 0 DIADHN CC[C@@H]1CC[C@@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001173266610 1051664866 /nfs/dbraw/zinc/66/48/66/1051664866.db2.gz FVSQVHCDSDLBGJ-ZYHUDNBSSA-N 0 3 300.229 4.344 20 0 DIADHN CC(C)Cc1ccccc1CN[C@]1(CO)CCCc2ccccc21 ZINC001169655518 1051667431 /nfs/dbraw/zinc/66/74/31/1051667431.db2.gz HHJFXBQOMZJHKD-QFIPXVFZSA-N 0 3 323.480 4.199 20 0 DIADHN CC[C@H]1CC[C@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001173269548 1051667414 /nfs/dbraw/zinc/66/74/14/1051667414.db2.gz XCFPXMHWLBETDY-JQWIXIFHSA-N 0 3 317.326 4.196 20 0 DIADHN [O-]c1cc(Nc2ccccc2C[NH+]2CCCC2)ccc1Cl ZINC001212592590 1051667517 /nfs/dbraw/zinc/66/75/17/1051667517.db2.gz XKTJQMJTWLVGGD-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN CCc1ccc(C[C@@H](C)n2c3ccccc3nc2[C@H](C)N)cc1 ZINC001173236658 1051671424 /nfs/dbraw/zinc/67/14/24/1051671424.db2.gz BLKDBAMVHGUJHN-CABCVRRESA-N 0 3 307.441 4.422 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)C(F)(F)F)c1ccc(C(C)(C)C)cc1 ZINC001169675426 1051677853 /nfs/dbraw/zinc/67/78/53/1051677853.db2.gz HXMAVNFMDQLXKY-HUUCEWRRSA-N 0 3 317.395 4.194 20 0 DIADHN CCSC[C@H](C)N(Cc1ccccc1)Cc1ccncc1 ZINC001173301744 1051679645 /nfs/dbraw/zinc/67/96/45/1051679645.db2.gz ZLTLNXRSCZCCSU-INIZCTEOSA-N 0 3 300.471 4.225 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCN1CCOC1CCC1 ZINC000805740451 1051682545 /nfs/dbraw/zinc/68/25/45/1051682545.db2.gz LGDCWYGJACUVNC-INIZCTEOSA-N 0 3 313.363 4.411 20 0 DIADHN CCCc1csc(CNC(C)(C)Cc2ccccc2OC)n1 ZINC000494008908 1051683533 /nfs/dbraw/zinc/68/35/33/1051683533.db2.gz YKHJSKTUAYKBJI-UHFFFAOYSA-N 0 3 318.486 4.215 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@@H](C(C)(C)C)C2)c(C)c1 ZINC001169724701 1051684696 /nfs/dbraw/zinc/68/46/96/1051684696.db2.gz UBSLPCGXUNIWMT-IAGOWNOFSA-N 0 3 316.489 4.389 20 0 DIADHN CCSC[C@H](C)N[C@H](c1ccncc1)c1ccccc1C ZINC001173285208 1051688288 /nfs/dbraw/zinc/68/82/88/1051688288.db2.gz QRBDYCXXXMQECA-MAUKXSAKSA-N 0 3 300.471 4.211 20 0 DIADHN C[C@@H]1CCN(Cc2cc(-c3ccc(Cl)cc3)no2)CCS1 ZINC000494104792 1051690010 /nfs/dbraw/zinc/69/00/10/1051690010.db2.gz FLWJATCJCGIFLP-GFCCVEGCSA-N 0 3 322.861 4.322 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)cc1OC ZINC000152534969 1051692833 /nfs/dbraw/zinc/69/28/33/1051692833.db2.gz NLHWIWVHCQVHNY-RHSMWYFYSA-N 0 3 311.425 4.350 20 0 DIADHN CCCc1csc(CNCC[C@H](C)c2ccc(OC)cc2)n1 ZINC000494109137 1051693387 /nfs/dbraw/zinc/69/33/87/1051693387.db2.gz QPMSMXFPXSODTR-AWEZNQCLSA-N 0 3 318.486 4.388 20 0 DIADHN CCCc1csc(CNCC2(Cc3ccccc3)CC2)n1 ZINC000494165724 1051700794 /nfs/dbraw/zinc/70/07/94/1051700794.db2.gz VSVIAKVEZMUXAN-UHFFFAOYSA-N 0 3 300.471 4.208 20 0 DIADHN FC(F)(F)CN1CCN([C@@H]2CCCC3(CCCCC3)C2)CC1 ZINC001173344356 1051703414 /nfs/dbraw/zinc/70/34/14/1051703414.db2.gz VKWQCGJENOEVCV-OAHLLOKOSA-N 0 3 318.427 4.059 20 0 DIADHN c1cc([C@@H]2CN([C@H]3CCCC4(CCCCC4)C3)CCO2)ccn1 ZINC001173348941 1051706848 /nfs/dbraw/zinc/70/68/48/1051706848.db2.gz MCYZLYSXCVTZFO-OALUTQOASA-N 0 3 314.473 4.348 20 0 DIADHN C[C@@H](NC1Cc2cc(F)c(F)cc2C1)c1cccc(F)c1F ZINC001173475419 1051723459 /nfs/dbraw/zinc/72/34/59/1051723459.db2.gz DNBFUFLUCKHEPF-SECBINFHSA-N 0 3 309.306 4.061 20 0 DIADHN CN(Cc1ccccc1Cl)C1Cc2cc(F)c(F)cc2C1 ZINC001173480977 1051725344 /nfs/dbraw/zinc/72/53/44/1051725344.db2.gz BXJMRDYMFYNJFY-UHFFFAOYSA-N 0 3 307.771 4.217 20 0 DIADHN CSc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806132787 1051732485 /nfs/dbraw/zinc/73/24/85/1051732485.db2.gz ADKASMUDBBMXPH-AWEZNQCLSA-N 0 3 304.846 4.234 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCc3nc(Cl)ccc32)c1 ZINC000806132775 1051733532 /nfs/dbraw/zinc/73/35/32/1051733532.db2.gz ZYGDCDGFNVBOCP-ABAIWWIYSA-N 0 3 302.805 4.082 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806128983 1051742073 /nfs/dbraw/zinc/74/20/73/1051742073.db2.gz ADQLZAFDWCKHEF-BLLLJJGKSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000184639824 1051743317 /nfs/dbraw/zinc/74/33/17/1051743317.db2.gz VITULZFGPDBHRR-HUBLWGQQSA-N 0 3 303.381 4.105 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCc2nc(Cl)ccc21 ZINC000806130195 1051743352 /nfs/dbraw/zinc/74/33/52/1051743352.db2.gz RVWJMVIUMKVHNS-MRXNPFEDSA-N 0 3 316.832 4.049 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000184639803 1051744588 /nfs/dbraw/zinc/74/45/88/1051744588.db2.gz VITULZFGPDBHRR-XUJVJEKNSA-N 0 3 303.381 4.105 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccnc(OC(F)F)c1 ZINC000671302686 1051747021 /nfs/dbraw/zinc/74/70/21/1051747021.db2.gz OVRQMWAWOSSURK-SJCJKPOMSA-N 0 3 318.367 4.096 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1ccno1 ZINC001173552145 1051750831 /nfs/dbraw/zinc/75/08/31/1051750831.db2.gz XTKSWHVRWVONGY-NSHDSACASA-N 0 3 313.228 4.435 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806150049 1051755376 /nfs/dbraw/zinc/75/53/76/1051755376.db2.gz KHJBPFNETVZEAI-IAQYHMDHSA-N 0 3 301.821 4.031 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](c3c(F)cccc3F)C2)s1 ZINC000185314886 1051762243 /nfs/dbraw/zinc/76/22/43/1051762243.db2.gz SCSBYACPXUPGCI-GFCCVEGCSA-N 0 3 308.397 4.028 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@@H](c2ccco2)C1 ZINC000185346206 1051762675 /nfs/dbraw/zinc/76/26/75/1051762675.db2.gz KGNWRZHIRWNMRE-QGZVFWFLSA-N 0 3 308.381 4.023 20 0 DIADHN Clc1ccc2c(n1)CC[C@H]2N[C@@H]1CCCc2sccc21 ZINC000806160487 1051763579 /nfs/dbraw/zinc/76/35/79/1051763579.db2.gz SFDFYYSHOVGGHL-CHWSQXEVSA-N 0 3 304.846 4.451 20 0 DIADHN CCSc1cccc(CNCc2cccc(COC)c2)c1 ZINC000185468482 1051769682 /nfs/dbraw/zinc/76/96/82/1051769682.db2.gz CCRPNLPGODJDKQ-UHFFFAOYSA-N 0 3 301.455 4.235 20 0 DIADHN [O-]c1cc(F)cc(CNc2cccc(C[NH+]3CCCCC3)c2)c1 ZINC000185481291 1051769938 /nfs/dbraw/zinc/76/99/38/1051769938.db2.gz CSFBEZSERZWHKC-UHFFFAOYSA-N 0 3 314.404 4.129 20 0 DIADHN CCOCOc1ccc(CN[C@@H]2CCCc3sccc32)cc1 ZINC000767189554 1051771301 /nfs/dbraw/zinc/77/13/01/1051771301.db2.gz IWGQRBGLBNYXQM-QGZVFWFLSA-N 0 3 317.454 4.288 20 0 DIADHN CCOCOc1ccc(CN[C@H]2CCCc3sccc32)cc1 ZINC000767189553 1051771866 /nfs/dbraw/zinc/77/18/66/1051771866.db2.gz IWGQRBGLBNYXQM-KRWDZBQOSA-N 0 3 317.454 4.288 20 0 DIADHN c1ccc2c(c1)CCC21CCC(N2CCc3cnccc3C2)CC1 ZINC001173686832 1051779410 /nfs/dbraw/zinc/77/94/10/1051779410.db2.gz ZAKUQHWZYBSQQL-UHFFFAOYSA-N 0 3 318.464 4.267 20 0 DIADHN O=C1C[C@H]2CCC[C@@H](C1)N2C1CCC2(CCc3ccccc32)CC1 ZINC001173690377 1051781371 /nfs/dbraw/zinc/78/13/71/1051781371.db2.gz ROCQNJBDXBWOMV-QLIQKORZSA-N 0 3 323.480 4.399 20 0 DIADHN Cc1cc(CNC[C@H](O)c2cc(Cl)cc(Cl)c2)c(C)o1 ZINC000767371341 1051798903 /nfs/dbraw/zinc/79/89/03/1051798903.db2.gz URJVEEQYNMNOEC-HNNXBMFYSA-N 0 3 314.212 4.026 20 0 DIADHN CC(C)Oc1cc(CN(CCc2cccs2)C2CC2)ccn1 ZINC000767461283 1051809181 /nfs/dbraw/zinc/80/91/81/1051809181.db2.gz DCUYIDKADDWVME-UHFFFAOYSA-N 0 3 316.470 4.137 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H](CCO)C2CCCCC2)cs1 ZINC000186391095 1051813471 /nfs/dbraw/zinc/81/34/71/1051813471.db2.gz SIJYQJQDGHUTBC-UKRRQHHQSA-N 0 3 310.507 4.248 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCCO[C@@H]2C(C)C)cs1 ZINC000186417278 1051814948 /nfs/dbraw/zinc/81/49/48/1051814948.db2.gz KOSYDJMUAKOASB-OFQRWUPVSA-N 0 3 310.507 4.368 20 0 DIADHN CC1=CCC[C@H](C)[C@@H]1CNCc1cc(COC(C)(C)C)on1 ZINC000186420688 1051815412 /nfs/dbraw/zinc/81/54/12/1051815412.db2.gz FOJRYTCZTJLRFD-WMLDXEAASA-N 0 3 306.450 4.072 20 0 DIADHN Cc1cnc([C@@H](NCCSCc2ccccc2)C2CC2)s1 ZINC000186422733 1051815879 /nfs/dbraw/zinc/81/58/79/1051815879.db2.gz YVMMWLALRLZMLO-INIZCTEOSA-N 0 3 318.511 4.426 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@]3(C2)OCc2ccccc23)c1 ZINC000186438386 1051816489 /nfs/dbraw/zinc/81/64/89/1051816489.db2.gz GOUYLHMCBAOSKB-NRFANRHFSA-N 0 3 323.436 4.105 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)N[C@@H](CCO)c1ccco1 ZINC000186522484 1051820479 /nfs/dbraw/zinc/82/04/79/1051820479.db2.gz KJNMIKGADSOPDE-DLBZAZTESA-N 0 3 319.470 4.110 20 0 DIADHN CC(C)CC[C@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000186648649 1051826418 /nfs/dbraw/zinc/82/64/18/1051826418.db2.gz YARHOJSAUGOFOR-KBXCAEBGSA-N 0 3 303.425 4.063 20 0 DIADHN COc1c(F)cc(SC)cc1Nc1ccccc1CN(C)C ZINC001216583568 1051826811 /nfs/dbraw/zinc/82/68/11/1051826811.db2.gz YQCNJPBGDABCKT-UHFFFAOYSA-N 0 3 320.433 4.361 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCc1ccccc1C ZINC000187097627 1051836273 /nfs/dbraw/zinc/83/62/73/1051836273.db2.gz NUYIHEPUDGSIEB-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCc1cccc(C)c1 ZINC000187107684 1051836909 /nfs/dbraw/zinc/83/69/09/1051836909.db2.gz JGOUEIFITQVNAH-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCOC(CN(C)[C@H](C)c1ccc(C(F)(F)F)cc1)OCC ZINC000767770788 1051848971 /nfs/dbraw/zinc/84/89/71/1051848971.db2.gz BBEFSHKKLOSGQC-GFCCVEGCSA-N 0 3 319.367 4.097 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3cc(C)cc(C)c3)c2C1 ZINC001173831041 1051849114 /nfs/dbraw/zinc/84/91/14/1051849114.db2.gz PGPVLFZLGMELLF-UHFFFAOYSA-N 0 3 315.486 4.459 20 0 DIADHN C[C@H](NCc1nc2cc(F)ccc2o1)C1CCC(F)(F)CC1 ZINC000653870110 1051849030 /nfs/dbraw/zinc/84/90/30/1051849030.db2.gz KPZWOVOHRVLWNG-JTQLQIEISA-N 0 3 312.335 4.271 20 0 DIADHN CCOC(CNC(C)(C)c1ccc(Cl)c(Cl)c1)OCC ZINC000767793440 1051853172 /nfs/dbraw/zinc/85/31/72/1051853172.db2.gz DXFHIHMQPKGKQU-UHFFFAOYSA-N 0 3 320.260 4.217 20 0 DIADHN CCCOc1ccc(CNCc2cccc(COC)c2)c(C)c1 ZINC000188792084 1051853754 /nfs/dbraw/zinc/85/37/54/1051853754.db2.gz XTUZZICCWBFORY-UHFFFAOYSA-N 0 3 313.441 4.220 20 0 DIADHN CCOC(CN[C@H](CC(C)(C)C)c1ccc(F)cc1)OCC ZINC000767818689 1051856316 /nfs/dbraw/zinc/85/63/16/1051856316.db2.gz ZVAIJDMXVKVCKQ-MRXNPFEDSA-N 0 3 311.441 4.292 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCCCC2)C1)c1c(F)cccc1F ZINC000767820510 1051856369 /nfs/dbraw/zinc/85/63/69/1051856369.db2.gz SPDZZSUTKJUUQN-ZIAGYGMSSA-N 0 3 309.400 4.497 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1ccnn1-c1ccccc1 ZINC000467351043 1051859979 /nfs/dbraw/zinc/85/99/79/1051859979.db2.gz XDBATSCQUZTWGX-VGMNTSGFSA-N 0 3 303.409 4.236 20 0 DIADHN Cc1cnccc1Nc1ccc(-c2nc3ccccc3o2)cc1 ZINC001216686329 1051864841 /nfs/dbraw/zinc/86/48/41/1051864841.db2.gz RAZCYMPHOFWZJT-UHFFFAOYSA-N 0 3 301.349 4.364 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)/C=C(/C)C(C)(C)C)c1ccsc1 ZINC000742538890 1051868780 /nfs/dbraw/zinc/86/87/80/1051868780.db2.gz JPRSHJIFLQSQFT-QBOMHBFWSA-N 0 3 322.518 4.240 20 0 DIADHN CCCc1csc(CNCCc2c[nH]c3cc(C)ccc23)n1 ZINC000494324602 1051875940 /nfs/dbraw/zinc/87/59/40/1051875940.db2.gz BFYWSYSNSBAJQU-UHFFFAOYSA-N 0 3 313.470 4.218 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1F)c1ccc(Cl)c(F)c1 ZINC000768098113 1051880581 /nfs/dbraw/zinc/88/05/81/1051880581.db2.gz RXWFPMWCOPKVGR-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN COC(=O)c1cccc(CN2CC[C@H](CC(C)(C)C)C2)c1Cl ZINC000307811379 1051884192 /nfs/dbraw/zinc/88/41/92/1051884192.db2.gz IMVYDEGFIRDFLU-CYBMUJFWSA-N 0 3 323.864 4.385 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1CC(C)(C)OC[C@@H]1C ZINC001169985983 1051885534 /nfs/dbraw/zinc/88/55/34/1051885534.db2.gz MQZMZSKIRBKYGJ-ZBFHGGJFSA-N 0 3 307.503 4.223 20 0 DIADHN CC(C)n1ncc2cc(CN3C[C@H](C)C[C@H](C)C3)c(Cl)nc21 ZINC000768137321 1051887123 /nfs/dbraw/zinc/88/71/23/1051887123.db2.gz MAAXCJMFFHKCPL-BETUJISGSA-N 0 3 320.868 4.144 20 0 DIADHN Cc1c(CN(C)Cc2cccs2)sc2nc(C)nc(C)c12 ZINC000768159382 1051889091 /nfs/dbraw/zinc/88/90/91/1051889091.db2.gz CVMKNZNKNGQLAM-UHFFFAOYSA-N 0 3 317.483 4.310 20 0 DIADHN CCCC[C@@H](C)N(CCCC)Cc1cn(-c2ccccc2)nn1 ZINC001170520765 1051890109 /nfs/dbraw/zinc/89/01/09/1051890109.db2.gz ZYUYTVXJHVICSS-QGZVFWFLSA-N 0 3 314.477 4.448 20 0 DIADHN Cc1ncc(Nc2ccc(OCc3ccccc3)cc2F)n1C ZINC001173965481 1051896286 /nfs/dbraw/zinc/89/62/86/1051896286.db2.gz KKOWWOCNCFWUHU-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCOC(F)(F)F)oc21 ZINC000189225905 1051897384 /nfs/dbraw/zinc/89/73/84/1051897384.db2.gz DMIZJCMNJLAKNU-JTQLQIEISA-N 0 3 317.307 4.019 20 0 DIADHN Cc1nc2c(F)cccc2cc1Nc1ccccc1CN(C)C ZINC001173928754 1051899800 /nfs/dbraw/zinc/89/98/00/1051899800.db2.gz KODGCDRRCONTPJ-UHFFFAOYSA-N 0 3 309.388 4.488 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccnc2ccc(Cl)cc21 ZINC001173928710 1051899819 /nfs/dbraw/zinc/89/98/19/1051899819.db2.gz AXSQOEGLCIJFIA-UHFFFAOYSA-N 0 3 311.816 4.115 20 0 DIADHN CC(C)Oc1ccc(Nc2ccccc2CN(C)C)cc1F ZINC001173931109 1051901481 /nfs/dbraw/zinc/90/14/81/1051901481.db2.gz VVUKNUBSRJETRT-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(C2=NC(C)(C)CO2)cc1 ZINC001173930488 1051901856 /nfs/dbraw/zinc/90/18/56/1051901856.db2.gz GZMWMZWUFRCXMG-UHFFFAOYSA-N 0 3 323.440 4.047 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)cc2cccnc21 ZINC001173931299 1051901995 /nfs/dbraw/zinc/90/19/95/1051901995.db2.gz YKIKHWKIVGTLMS-UHFFFAOYSA-N 0 3 307.397 4.049 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Br)cc1F ZINC001173930180 1051902685 /nfs/dbraw/zinc/90/26/85/1051902685.db2.gz VARSHNJDZYZLCQ-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CC(C)OC(=O)c1ccc(Nc2ccccc2CN(C)C)cc1 ZINC001173930444 1051902752 /nfs/dbraw/zinc/90/27/52/1051902752.db2.gz XWUDBFTYZHWNBP-UHFFFAOYSA-N 0 3 312.413 4.057 20 0 DIADHN Cc1cc(Nc2ccccc2CN(C)C)n(-c2cccc(C)c2)n1 ZINC001173930205 1051902977 /nfs/dbraw/zinc/90/29/77/1051902977.db2.gz QMIHQIFWHICSLU-UHFFFAOYSA-N 0 3 320.440 4.294 20 0 DIADHN CC(C)c1c(Cl)ncnc1Nc1ccccc1CN(C)C ZINC001173929141 1051903049 /nfs/dbraw/zinc/90/30/49/1051903049.db2.gz XAJUTSPUNVHLDN-UHFFFAOYSA-N 0 3 304.825 4.059 20 0 DIADHN CC(C)Oc1ccc(F)cc1Nc1ccccc1CN(C)C ZINC001173931246 1051903655 /nfs/dbraw/zinc/90/36/55/1051903655.db2.gz XTBDOTFVEDSTPH-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN CCOc1ccc(Nc2ccccc2CN(C)C)cc1OCC ZINC001173931560 1051904316 /nfs/dbraw/zinc/90/43/16/1051904316.db2.gz HJPJVKCONBSDCV-UHFFFAOYSA-N 0 3 314.429 4.289 20 0 DIADHN COc1cc(Nc2ccccc2CN(C)C)c(Cl)cc1C ZINC001173932474 1051904457 /nfs/dbraw/zinc/90/44/57/1051904457.db2.gz UXMUDNOIJYDEDK-UHFFFAOYSA-N 0 3 304.821 4.462 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(O)cc1C(F)(F)F ZINC001173931734 1051904488 /nfs/dbraw/zinc/90/44/88/1051904488.db2.gz KMRMDMIRYJEOPG-UHFFFAOYSA-N 0 3 310.319 4.216 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(C(F)(F)F)ccc1O ZINC001173931921 1051905566 /nfs/dbraw/zinc/90/55/66/1051905566.db2.gz MKHBSUATVXAJHC-UHFFFAOYSA-N 0 3 310.319 4.216 20 0 DIADHN CC[C@@H](NCc1cscc1C)c1ccc2c(c1)OCCO2 ZINC000494516530 1051909891 /nfs/dbraw/zinc/90/98/91/1051909891.db2.gz VAWJIYVBSWAYIR-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1cc(F)c(Cl)cc1Cl ZINC000222628736 1051926099 /nfs/dbraw/zinc/92/60/99/1051926099.db2.gz AEGWUZDEIOGFID-UFTIBIJLSA-N 0 3 318.219 4.351 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cnc4ccccc4c3)cccc21 ZINC001174003478 1051929365 /nfs/dbraw/zinc/92/93/65/1051929365.db2.gz RQGGCKCFWLNLML-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(C)cc3C)c2C1 ZINC001174012680 1051933703 /nfs/dbraw/zinc/93/37/03/1051933703.db2.gz HGHXCNKHFVFMHZ-UHFFFAOYSA-N 0 3 315.486 4.459 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@H](c2ccnc(C)n2)C1 ZINC000768506207 1051935220 /nfs/dbraw/zinc/93/52/20/1051935220.db2.gz XBIOTPIEOBILOQ-INIZCTEOSA-N 0 3 315.848 4.126 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC(c2cccnc2)CC1 ZINC000768519250 1051943104 /nfs/dbraw/zinc/94/31/04/1051943104.db2.gz SAHSCBAZIAIBIU-UHFFFAOYSA-N 0 3 319.408 4.022 20 0 DIADHN COCCN(Cc1cncc2ccccc21)[C@H](C)c1ccccc1 ZINC000768597316 1051947193 /nfs/dbraw/zinc/94/71/93/1051947193.db2.gz RPBAMGXITHBKTN-QGZVFWFLSA-N 0 3 320.436 4.444 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccnn1-c1ccccc1 ZINC000467366795 1051948749 /nfs/dbraw/zinc/94/87/49/1051948749.db2.gz BBWLMOOQGFYSLN-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN CC(C)N(Cc1cc2cnn(C(C)C)c2nc1Cl)CC1CC1 ZINC000768675126 1051954262 /nfs/dbraw/zinc/95/42/62/1051954262.db2.gz RHYMTONMHFEQCS-UHFFFAOYSA-N 0 3 320.868 4.286 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@@H]2CCC3(CCCCC3)O2)C1 ZINC000190929528 1051954710 /nfs/dbraw/zinc/95/47/10/1051954710.db2.gz ZSTOFOYYPQIGRE-KBPBESRZSA-N 0 3 305.384 4.143 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H](O)c2ccsc2)c2ccsc2S1 ZINC000223915946 1051956565 /nfs/dbraw/zinc/95/65/65/1051956565.db2.gz UDOHUNXDHYNQLK-BIMULSAOSA-N 0 3 311.497 4.058 20 0 DIADHN C[C@H]1C[C@H](NC[C@@H](O)c2ccsc2)c2ccsc2S1 ZINC000223915882 1051957242 /nfs/dbraw/zinc/95/72/42/1051957242.db2.gz UDOHUNXDHYNQLK-TVYUQYBPSA-N 0 3 311.497 4.058 20 0 DIADHN Cc1cnc([C@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)s1 ZINC000191018657 1051958728 /nfs/dbraw/zinc/95/87/28/1051958728.db2.gz RWVAEQPOVMYHMF-HZPDHXFCSA-N 0 3 320.502 4.374 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cnn(C(C)(C)C)c2C)s1 ZINC000191176024 1051963071 /nfs/dbraw/zinc/96/30/71/1051963071.db2.gz FYMWNFNFWZRYNO-LBPRGKRZSA-N 0 3 305.491 4.421 20 0 DIADHN FC(F)(F)CCN(CCc1ccccn1)Cc1ccccc1 ZINC000191234830 1051965476 /nfs/dbraw/zinc/96/54/76/1051965476.db2.gz QZOCEFKKTRXVPT-UHFFFAOYSA-N 0 3 308.347 4.079 20 0 DIADHN Cc1c([C@@H](C)NCCOc2ccc(Cl)cc2)cnn1C(C)C ZINC000191220645 1051966916 /nfs/dbraw/zinc/96/69/16/1051966916.db2.gz YJOLQBINLBMGEB-CYBMUJFWSA-N 0 3 321.852 4.155 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2COc2ccc(Cl)cc2)nc1 ZINC000768793109 1051967188 /nfs/dbraw/zinc/96/71/88/1051967188.db2.gz OFFFRTREEKPNGA-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1ncsc1CN1CCC[C@H]1COc1ccc(Cl)cc1 ZINC000768793149 1051967222 /nfs/dbraw/zinc/96/72/22/1051967222.db2.gz PDPLNDJCBFGVPK-AWEZNQCLSA-N 0 3 322.861 4.148 20 0 DIADHN COc1cc(C)cc(CNCc2ccc(OCC3CC3)cc2)c1 ZINC000191465970 1051974972 /nfs/dbraw/zinc/97/49/72/1051974972.db2.gz QZMCGRAGPWVVAS-UHFFFAOYSA-N 0 3 311.425 4.082 20 0 DIADHN CC[C@@]1(C)CCCN(C[C@@H](O)c2ccccc2C(F)(F)F)C1 ZINC000743860475 1051975453 /nfs/dbraw/zinc/97/54/53/1051975453.db2.gz IERMBHFPOLUOLZ-CVEARBPZSA-N 0 3 315.379 4.251 20 0 DIADHN CC[C@@]1(C)CC(=O)N(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)C1 ZINC000808142531 1051979598 /nfs/dbraw/zinc/97/95/98/1051979598.db2.gz WEYSJXMNIJJRHZ-HKUYNNGSSA-N 0 3 320.452 4.109 20 0 DIADHN CC1(C)CC(=O)N(CN2CCC[C@H]2c2cccc3ccccc32)C1 ZINC000808143150 1051981113 /nfs/dbraw/zinc/98/11/13/1051981113.db2.gz ODPZQXFOHPTHPX-IBGZPJMESA-N 0 3 322.452 4.193 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](OC)c1ccccc1)c1c(C)noc1C ZINC000494598507 1051984166 /nfs/dbraw/zinc/98/41/66/1051984166.db2.gz XWOZPOFFFUDUSG-OWQGQXMQSA-N 0 3 302.418 4.108 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@H](C)c1ccc(F)cc1F ZINC000494599541 1051985131 /nfs/dbraw/zinc/98/51/31/1051985131.db2.gz GPFNHZUPWFPILW-FHSNZYRGSA-N 0 3 305.368 4.392 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(C(=O)N3CCCC3)cc2)ccn1 ZINC001174068606 1051991890 /nfs/dbraw/zinc/99/18/90/1051991890.db2.gz WKBKKRFXBBXDRW-UHFFFAOYSA-N 0 3 323.440 4.359 20 0 DIADHN Cc1ccc2c(ccc(C)c2CN[C@@H](CO)c2ccc(F)cc2)c1 ZINC001170547593 1051992659 /nfs/dbraw/zinc/99/26/59/1051992659.db2.gz ALJPNARAIJEMOF-NRFANRHFSA-N 0 3 323.411 4.419 20 0 DIADHN COc1cccc2cc(CN3CCC[C@H]3c3ccc(O)cc3)oc21 ZINC000769104003 1052000572 /nfs/dbraw/zinc/00/05/72/1052000572.db2.gz FZJFCEFYXJJFIA-SFHVURJKSA-N 0 3 323.392 4.484 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@@H](C)CC3)c(Cl)nc21 ZINC000769147950 1052000754 /nfs/dbraw/zinc/00/07/54/1052000754.db2.gz JPOKFVMRIBIVQM-CYBMUJFWSA-N 0 3 320.868 4.288 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc(OC)c(OC)c2C)cc1 ZINC000808376837 1052009375 /nfs/dbraw/zinc/00/93/75/1052009375.db2.gz ZWOUIQHWWFFFBG-OAHLLOKOSA-N 0 3 313.441 4.425 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)cc2)c(C)c1OC ZINC000808376611 1052010500 /nfs/dbraw/zinc/01/05/00/1052010500.db2.gz XIMVKUQFKYFKNV-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2cnc(Cl)c(C)c2)C1 ZINC000769232763 1052011522 /nfs/dbraw/zinc/01/15/22/1052011522.db2.gz KWENVEZOTZJHGR-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)n1ncc2cc(CNCCC3(F)CCC3)c(Cl)nc21 ZINC000808426307 1052014472 /nfs/dbraw/zinc/01/44/72/1052014472.db2.gz BHQWFYOQQYQTEG-UHFFFAOYSA-N 0 3 324.831 4.038 20 0 DIADHN c1ccc(-c2ccccc2CN2CCCC[C@@H]2C2OCCO2)cc1 ZINC000769298410 1052020274 /nfs/dbraw/zinc/02/02/74/1052020274.db2.gz OOTLTOCSXFHUOQ-HXUWFJFHSA-N 0 3 323.436 4.081 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1Cl)[C@H](O)c1ccccc1 ZINC000808446277 1052022666 /nfs/dbraw/zinc/02/26/66/1052022666.db2.gz STEQXNCVGYVCCE-ZBEGNZNMSA-N 0 3 310.224 4.205 20 0 DIADHN C[C@H](NCc1c(Cl)oc2ccccc21)[C@@H](O)c1ccccc1 ZINC000808445744 1052023469 /nfs/dbraw/zinc/02/34/69/1052023469.db2.gz RHAMSOZXNPGIAB-YVEFUNNKSA-N 0 3 315.800 4.298 20 0 DIADHN Cc1ccn(-c2ccccc2CNCc2cc(Cl)cs2)n1 ZINC000193154750 1052031657 /nfs/dbraw/zinc/03/16/57/1052031657.db2.gz JDBZOMZZZFWGTN-UHFFFAOYSA-N 0 3 317.845 4.185 20 0 DIADHN CC(C)NC(=O)c1cccc(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001174184366 1052035098 /nfs/dbraw/zinc/03/50/98/1052035098.db2.gz ONDPZBYASCRQDP-UHFFFAOYSA-N 0 3 311.429 4.261 20 0 DIADHN Fc1cccc(C2CCN(Cc3n[nH]c4ccccc43)CC2)c1 ZINC000769389682 1052037520 /nfs/dbraw/zinc/03/75/20/1052037520.db2.gz MPEFWFIQDDHRIT-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Fc1cccc(C2CCN(Cc3[nH]nc4ccccc43)CC2)c1 ZINC000769389682 1052037531 /nfs/dbraw/zinc/03/75/31/1052037531.db2.gz MPEFWFIQDDHRIT-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CCCCn1cc(CN[C@H]2c3cc(C)ccc3C[C@@H]2C)c(C)n1 ZINC000494748761 1052038357 /nfs/dbraw/zinc/03/83/57/1052038357.db2.gz WODFYSLKNGXXSL-MGPUTAFESA-N 0 3 311.473 4.323 20 0 DIADHN Fc1ccc(C2CCN(Cc3n[nH]c4ccccc43)CC2)cc1 ZINC000769389674 1052039369 /nfs/dbraw/zinc/03/93/69/1052039369.db2.gz LXKIZFHSINARJS-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN COc1ccc(CN2CCC3(CCCC3)C2)c(Cl)c1OC ZINC000769403902 1052041899 /nfs/dbraw/zinc/04/18/99/1052041899.db2.gz ZVLVYWYDMCKQFZ-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN Clc1ccccc1C[C@H](NCc1c[nH]cn1)c1ccccc1 ZINC000193386354 1052042590 /nfs/dbraw/zinc/04/25/90/1052042590.db2.gz LXRVZHXGVOPMJS-SFHVURJKSA-N 0 3 311.816 4.137 20 0 DIADHN Clc1ccccc1C[C@H](NCc1cnc[nH]1)c1ccccc1 ZINC000193386354 1052042599 /nfs/dbraw/zinc/04/25/99/1052042599.db2.gz LXRVZHXGVOPMJS-SFHVURJKSA-N 0 3 311.816 4.137 20 0 DIADHN COc1ccc(CN2CCC3(CCCC3)C2)c(OC(F)F)c1 ZINC000769395204 1052049391 /nfs/dbraw/zinc/04/93/91/1052049391.db2.gz NNDGQZQLQZQZLF-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN FC(F)C1CCN(Cc2ccccc2N2CCCCC2)CC1 ZINC000769447198 1052056889 /nfs/dbraw/zinc/05/68/89/1052056889.db2.gz UVHNCRCCDOYOGV-UHFFFAOYSA-N 0 3 308.416 4.154 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1ccccc1CC)c1ccccc1 ZINC000193632030 1052056870 /nfs/dbraw/zinc/05/68/70/1052056870.db2.gz GKZICNBKOWONIA-GOSISDBHSA-N 0 3 310.441 4.319 20 0 DIADHN COCC[C@H](N[C@@H](C)[C@@H](OC)c1ccccc1)c1ccc(C)o1 ZINC000494765575 1052058083 /nfs/dbraw/zinc/05/80/83/1052058083.db2.gz RLRYCFASQLPITL-VDZJLULYSA-N 0 3 317.429 4.031 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H](C)Cc1cccc(F)c1 ZINC001168468774 1052063378 /nfs/dbraw/zinc/06/33/78/1052063378.db2.gz OGZVEUUQJOQIDF-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN Cc1cc(CN2CC[C@@](C)(c3ccccc3)C2)cnc1Cl ZINC000769503831 1052068918 /nfs/dbraw/zinc/06/89/18/1052068918.db2.gz NQDKVMTWYGVBBO-GOSISDBHSA-N 0 3 300.833 4.207 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1CC[C@H](c2ccccc2)[C@@H]1C ZINC000769499948 1052069617 /nfs/dbraw/zinc/06/96/17/1052069617.db2.gz XWFHZNGRSVHHTE-ZFWWWQNUSA-N 0 3 317.864 4.014 20 0 DIADHN FC(F)(F)c1ccc(CN(CCc2ccccc2)C2CC2)nc1 ZINC000769706176 1052095490 /nfs/dbraw/zinc/09/54/90/1052095490.db2.gz TZUIVOCTHOQVJW-UHFFFAOYSA-N 0 3 320.358 4.308 20 0 DIADHN CCCN(Cc1cnc(-c2ccco2)s1)C[C@@H]1CCCCO1 ZINC000194381365 1052109822 /nfs/dbraw/zinc/10/98/22/1052109822.db2.gz MKNHYBJIEWICIF-AWEZNQCLSA-N 0 3 320.458 4.184 20 0 DIADHN Cc1csc(C2(NCCCCOc3ccccc3)CCC2)n1 ZINC000494804551 1052114732 /nfs/dbraw/zinc/11/47/32/1052114732.db2.gz MJEDQKBRZULFKQ-UHFFFAOYSA-N 0 3 316.470 4.280 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCSCc1ccccc1F ZINC000769868106 1052114897 /nfs/dbraw/zinc/11/48/97/1052114897.db2.gz OBYSJWPNMJLSJW-GFCCVEGCSA-N 0 3 322.449 4.269 20 0 DIADHN COCc1cccc(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174394793 1052118882 /nfs/dbraw/zinc/11/88/82/1052118882.db2.gz NNKNUKYKMONRRF-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN CCC[C@@]1(CO)CCCN1Cc1cc2ccccc2nc1Cl ZINC000769912138 1052120165 /nfs/dbraw/zinc/12/01/65/1052120165.db2.gz CBTJNTGQONTOKS-SFHVURJKSA-N 0 3 318.848 4.015 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](C)Sc1ccccc1 ZINC000769870080 1052123190 /nfs/dbraw/zinc/12/31/90/1052123190.db2.gz BGKYKVLMOWFFHS-OLZOCXBDSA-N 0 3 304.459 4.377 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](Nc1ccccc1)C(C)C ZINC000769874031 1052123925 /nfs/dbraw/zinc/12/39/25/1052123925.db2.gz LSUWYBZBGJFKNF-KDOFPFPSSA-N 0 3 315.461 4.333 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000769874792 1052126266 /nfs/dbraw/zinc/12/62/66/1052126266.db2.gz MBFWMOOWTXQRGA-BZNIZROVSA-N 0 3 322.836 4.237 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cccnc2Cl)cc1 ZINC000769934384 1052132940 /nfs/dbraw/zinc/13/29/40/1052132940.db2.gz CDMVMHDJIOQVMZ-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN Cn1cc(-c2ccc(Nc3ccnc(C4CCC4)c3)cc2)cn1 ZINC001174378635 1052137043 /nfs/dbraw/zinc/13/70/43/1052137043.db2.gz HLEDMMOANZSOTO-UHFFFAOYSA-N 0 3 304.397 4.493 20 0 DIADHN CC(C)(Cl)c1ccc(C(C)(C)O[C@@H]2CCNC[C@H]2F)cc1 ZINC001217793810 1052143229 /nfs/dbraw/zinc/14/32/29/1052143229.db2.gz GIYHJBMUOVFJTG-HUUCEWRRSA-N 0 3 313.844 4.112 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)C/C=C/c1ccncc1)CCC2 ZINC000494935273 1052171787 /nfs/dbraw/zinc/17/17/87/1052171787.db2.gz YNGHJAGVZUUVFV-JFDDCEBESA-N 0 3 313.470 4.123 20 0 DIADHN C[C@H](NCc1ccc(C2CC2)cc1)c1ccc(C(=O)N(C)C)cc1 ZINC000494930353 1052172388 /nfs/dbraw/zinc/17/23/88/1052172388.db2.gz LSBBCYIQNYWXGX-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN OCCCN(Cc1cc(Cl)cs1)[C@@H]1CCc2ccccc21 ZINC000494921322 1052173794 /nfs/dbraw/zinc/17/37/94/1052173794.db2.gz GVGBTXRTYZPBEG-QGZVFWFLSA-N 0 3 321.873 4.273 20 0 DIADHN ClC(Cl)(O[C@H]1CNCc2ccccc21)c1ccccc1 ZINC001217942256 1052174827 /nfs/dbraw/zinc/17/48/27/1052174827.db2.gz WVWLNCJOLGWBGT-HNNXBMFYSA-N 0 3 308.208 4.136 20 0 DIADHN c1ccc(C(Oc2cccc3c2CNC3)c2ccccc2)cc1 ZINC001217943629 1052176719 /nfs/dbraw/zinc/17/67/19/1052176719.db2.gz ZLLKVWPZLLBMHF-UHFFFAOYSA-N 0 3 301.389 4.458 20 0 DIADHN Cc1nc([C@@H]2CCCN(C/C=C/c3ccncc3)C2)sc1C ZINC000494957879 1052181817 /nfs/dbraw/zinc/18/18/17/1052181817.db2.gz VLCKFNVMAJAXPX-NJELEJDNSA-N 0 3 313.470 4.048 20 0 DIADHN CC[C@H](NCCc1csc(C(C)(C)C)n1)c1ccc(F)cn1 ZINC000494975264 1052185580 /nfs/dbraw/zinc/18/55/80/1052185580.db2.gz WULSOKMWVOOWNV-AWEZNQCLSA-N 0 3 321.465 4.258 20 0 DIADHN FC(F)(F)CCNCc1cc(Br)c(Cl)s1 ZINC000227829991 1052185751 /nfs/dbraw/zinc/18/57/51/1052185751.db2.gz NXQXLJMSNXVQTH-UHFFFAOYSA-N 0 3 322.577 4.206 20 0 DIADHN COc1ccc([C@H](NC[C@@H](C)SC)c2ccc(F)cc2)cc1 ZINC000494989246 1052190075 /nfs/dbraw/zinc/19/00/75/1052190075.db2.gz SLSVGRHHBRGOAV-FZKQIMNGSA-N 0 3 319.445 4.265 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1ccc(Cl)cc1-c1ccccc1 ZINC001218073083 1052192811 /nfs/dbraw/zinc/19/28/11/1052192811.db2.gz YUNYSUDUXQPKOC-RDJZCZTQSA-N 0 3 305.780 4.086 20 0 DIADHN C[C@@H](c1ccccc1Br)N(C)C[C@@H]1CCSC1 ZINC000494991168 1052193497 /nfs/dbraw/zinc/19/34/97/1052193497.db2.gz BOXMRNDDWUXKFK-RYUDHWBXSA-N 0 3 314.292 4.195 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2cccc(Cl)c2)c2ccccn2)[C@H]1C ZINC000495060015 1052204118 /nfs/dbraw/zinc/20/41/18/1052204118.db2.gz PKLMRYGUQLCVDT-XLQHLMKVSA-N 0 3 300.833 4.459 20 0 DIADHN CN(C/C=C/c1ccncc1)Cc1ccc(OC(F)(F)F)cc1 ZINC000495075259 1052209540 /nfs/dbraw/zinc/20/95/40/1052209540.db2.gz PPZCQKWGIPJOQW-NSCUHMNNSA-N 0 3 322.330 4.125 20 0 DIADHN CCOc1ccc([C@H]2CCCN2C/C=C\c2ccncc2)cc1 ZINC000495088074 1052214675 /nfs/dbraw/zinc/21/46/75/1052214675.db2.gz DHTPDGJPSDJXFV-MNKBLEIRSA-N 0 3 308.425 4.331 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1cc(F)c(Cl)cc1Cl ZINC000250128614 1052218539 /nfs/dbraw/zinc/21/85/39/1052218539.db2.gz WPVPDRYWIRHQCN-MRLZPSRLSA-N 0 3 318.219 4.351 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@H]12)c1nc(-c2ccccc2)cs1 ZINC000250104636 1052218787 /nfs/dbraw/zinc/21/87/87/1052218787.db2.gz RVYVQTRNEDRGTL-CRNXPOROSA-N 0 3 314.454 4.028 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2)no1)N1CC[C@@H](c2ccccc2)C1 ZINC000250204412 1052222467 /nfs/dbraw/zinc/22/24/67/1052222467.db2.gz GTEIULWZVSPUMR-MAUKXSAKSA-N 0 3 319.408 4.287 20 0 DIADHN CNc1ccc(O[C@H]2[C@@H]3CC[C@H]2CN(Cc2ccccc2)C3)cc1 ZINC001218341454 1052226929 /nfs/dbraw/zinc/22/69/29/1052226929.db2.gz LPXJEDOEYZRZLB-WGMWDUQUSA-N 0 3 322.452 4.018 20 0 DIADHN FC(F)(F)c1ccc2c(c1)CC[C@@H]2Oc1cccc2c1CNC2 ZINC001218458541 1052241272 /nfs/dbraw/zinc/24/12/72/1052241272.db2.gz ICKPMLQSHLRKFT-KRWDZBQOSA-N 0 3 319.326 4.375 20 0 DIADHN CC1(C)C[C@H](Oc2ccccc2Oc2ccccc2[O-])CC[NH2+]1 ZINC001218474093 1052243612 /nfs/dbraw/zinc/24/36/12/1052243612.db2.gz BGFBRFHWBZFPTR-CQSZACIVSA-N 0 3 313.397 4.094 20 0 DIADHN Fc1ccc(O[C@H]2CNCc3ccccc32)cc1C(F)(F)F ZINC001218484875 1052246122 /nfs/dbraw/zinc/24/61/22/1052246122.db2.gz ZETBZEJMRMEALL-HNNXBMFYSA-N 0 3 311.278 4.068 20 0 DIADHN Clc1ccc2cccc(O[C@@H]3CNCc4ccccc43)c2n1 ZINC001218485740 1052246343 /nfs/dbraw/zinc/24/63/43/1052246343.db2.gz MTCXEOAYWMFSTF-MRXNPFEDSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc(O[C@H]2CNCc3ccccc32)cc1C(C)(C)C ZINC001218487003 1052247593 /nfs/dbraw/zinc/24/75/93/1052247593.db2.gz GVGUNDZWDMKPOF-IBGZPJMESA-N 0 3 311.425 4.216 20 0 DIADHN Oc1ccc(O[C@@H]2CNCc3ccccc32)c(-c2ccccc2)c1 ZINC001218487072 1052247848 /nfs/dbraw/zinc/24/78/48/1052247848.db2.gz HDUWNWFDZYGVTE-OAQYLSRUSA-N 0 3 317.388 4.283 20 0 DIADHN CC(=O)c1c(O[C@H]2CNCc3ccccc32)ccc2ccccc21 ZINC001218487331 1052248127 /nfs/dbraw/zinc/24/81/27/1052248127.db2.gz NZPHCZVYQKFREN-FQEVSTJZSA-N 0 3 317.388 4.266 20 0 DIADHN O=Nc1ccc2ccccc2c1O[C@@H]1CNCc2ccccc21 ZINC001218487197 1052248372 /nfs/dbraw/zinc/24/83/72/1052248372.db2.gz NFBDQZNGYLFCAQ-GOSISDBHSA-N 0 3 304.349 4.461 20 0 DIADHN CC(=O)c1cc(Cl)c(C)cc1O[C@@H]1CNCc2ccccc21 ZINC001218486782 1052248494 /nfs/dbraw/zinc/24/84/94/1052248494.db2.gz FWRMEUYHLUPCTN-GOSISDBHSA-N 0 3 315.800 4.074 20 0 DIADHN Cc1cccc(C(F)(F)F)c1O[C@@H]1CNCc2ccccc21 ZINC001218492513 1052248819 /nfs/dbraw/zinc/24/88/19/1052248819.db2.gz CJRIXBNGJIBARV-OAHLLOKOSA-N 0 3 307.315 4.237 20 0 DIADHN CSc1c(Cl)cccc1O[C@H]1CNCc2ccccc21 ZINC001218493810 1052251278 /nfs/dbraw/zinc/25/12/78/1052251278.db2.gz YTHIBYGIONRARI-HNNXBMFYSA-N 0 3 305.830 4.285 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)C[C@@H]2Cc3ccccc3CN2)cc1 ZINC001218808551 1052255853 /nfs/dbraw/zinc/25/58/53/1052255853.db2.gz FUUYYMDPFXHJIC-QRWLVFNGSA-N 0 3 322.452 4.243 20 0 DIADHN CCN(Cc1cn2c(cccc2C)n1)[C@H](C)Cc1ccsc1 ZINC000495205927 1052258831 /nfs/dbraw/zinc/25/88/31/1052258831.db2.gz XZOKXZIEFSBLDL-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN CCN(Cc1ccc(C(=O)OC)s1)[C@H](C)Cc1ccsc1 ZINC000495228167 1052259219 /nfs/dbraw/zinc/25/92/19/1052259219.db2.gz JRPBOFRJTSXRCM-GFCCVEGCSA-N 0 3 323.483 4.049 20 0 DIADHN Cc1ccccc1Oc1ccccc1NC(=O)[C@H](N)C(C)(C)C ZINC001218851226 1052259745 /nfs/dbraw/zinc/25/97/45/1052259745.db2.gz IXVODKJHXCHDJA-KRWDZBQOSA-N 0 3 312.413 4.099 20 0 DIADHN CCc1cnc(CNC2CC(c3ccccc3Cl)C2)s1 ZINC000230143569 1052263673 /nfs/dbraw/zinc/26/36/73/1052263673.db2.gz BYHCMCUFOKXNQJ-UHFFFAOYSA-N 0 3 306.862 4.395 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)C(=O)Nc2cccc3c2CNC3)cc1 ZINC001218994209 1052266065 /nfs/dbraw/zinc/26/60/65/1052266065.db2.gz FFIZBJQTZMZVIS-OAHLLOKOSA-N 0 3 322.452 4.231 20 0 DIADHN CCN(Cc1nc2cccnc2s1)[C@H](C)Cc1ccsc1 ZINC000495241482 1052267476 /nfs/dbraw/zinc/26/74/76/1052267476.db2.gz GDXAIWQEXJTWDR-GFCCVEGCSA-N 0 3 317.483 4.206 20 0 DIADHN Cc1nc(CCNCc2c(Cl)oc3ccccc32)cs1 ZINC000230346467 1052268265 /nfs/dbraw/zinc/26/82/65/1052268265.db2.gz WQQCOLMMSQZGJW-UHFFFAOYSA-N 0 3 306.818 4.183 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1cccc(OC(F)(F)F)c1 ZINC000231750632 1052287633 /nfs/dbraw/zinc/28/76/33/1052287633.db2.gz LDJYBWFGJKTMIB-MRVPVSSYSA-N 0 3 301.230 4.016 20 0 DIADHN CC1(C)CCC(O)(CNCc2cc(Cl)sc2Cl)CC1 ZINC000312641453 1052291949 /nfs/dbraw/zinc/29/19/49/1052291949.db2.gz JISRZNMQUAWZSP-UHFFFAOYSA-N 0 3 322.301 4.476 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000302957091 1052308676 /nfs/dbraw/zinc/30/86/76/1052308676.db2.gz OBMXURKIIQWOAD-CVEARBPZSA-N 0 3 312.457 4.185 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(OCC2CC2)nc1 ZINC000303173281 1052317769 /nfs/dbraw/zinc/31/77/69/1052317769.db2.gz ROOWOIMVUNLHAW-WJDWOHSUSA-N 0 3 308.425 4.064 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N[C@H](C)c1cccs1 ZINC000192587091 1052318456 /nfs/dbraw/zinc/31/84/56/1052318456.db2.gz BWQBXKRAXGLVAD-NEPJUHHUSA-N 0 3 322.861 4.388 20 0 DIADHN CC(C)(C)CC(C)(C)NC(=O)[C@H]1CCC[C@@H](c2ccccc2)N1 ZINC001220288918 1052327821 /nfs/dbraw/zinc/32/78/21/1052327821.db2.gz NDBYIKZHSBJAAG-DLBZAZTESA-N 0 3 316.489 4.201 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000670160925 1052328007 /nfs/dbraw/zinc/32/80/07/1052328007.db2.gz VHIRGSFXVWHZDB-NHYWBVRUSA-N 0 3 323.893 4.058 20 0 DIADHN CC[C@H](NCc1ccc(F)c(F)c1)c1ccc2c(c1)CCO2 ZINC000315062161 1052329732 /nfs/dbraw/zinc/32/97/32/1052329732.db2.gz ZSQFZVPNRLXMFV-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccc(OC(C)(C)C)nc1 ZINC000495400347 1052336089 /nfs/dbraw/zinc/33/60/89/1052336089.db2.gz AALNMCKLNYGQJG-AUUYWEPGSA-N 0 3 310.441 4.282 20 0 DIADHN CCCCN(CC(=O)NC(C)(C)C)[C@H](CC)c1ccccc1 ZINC000303659607 1052336830 /nfs/dbraw/zinc/33/68/30/1052336830.db2.gz WDUIKUINPXSZPJ-QGZVFWFLSA-N 0 3 304.478 4.155 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1c(C)cc(C)cc1C ZINC000303665350 1052337582 /nfs/dbraw/zinc/33/75/82/1052337582.db2.gz XMCWSNUORRYTDU-UHFFFAOYSA-N 0 3 304.478 4.308 20 0 DIADHN C[C@@H](CNCc1cc(O)ccc1Br)c1ccccc1 ZINC000315415642 1052337823 /nfs/dbraw/zinc/33/78/23/1052337823.db2.gz LQMHXIJEKVZLHP-LBPRGKRZSA-N 0 3 320.230 4.048 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)N[C@H](C)CCCC(C)C)s1 ZINC000754677462 1052369697 /nfs/dbraw/zinc/36/96/97/1052369697.db2.gz VXKKKLWDVPXSJK-UKRRQHHQSA-N 0 3 310.507 4.038 20 0 DIADHN Cc1cc(CNCc2ccc(F)c(Cl)c2)c2c(n1)CCCC2 ZINC001649014835 1052382164 /nfs/dbraw/zinc/38/21/64/1052382164.db2.gz BYOTYVJJFJFVJG-UHFFFAOYSA-N 0 3 318.823 4.351 20 0 DIADHN Cc1ncc(CCNCc2csc(Cl)c2Cl)s1 ZINC000762452985 1052385697 /nfs/dbraw/zinc/38/56/97/1052385697.db2.gz BHZLOYNDULFICA-UHFFFAOYSA-N 0 3 307.271 4.152 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1ccccc1)c1c(F)cccc1F ZINC000762635928 1052411486 /nfs/dbraw/zinc/41/14/86/1052411486.db2.gz WRHVZEPXAXSFNO-QZTJIDSGSA-N 0 3 317.379 4.225 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccc(Cl)cc2)no1)C(C)C ZINC000762634689 1052411550 /nfs/dbraw/zinc/41/15/50/1052411550.db2.gz OXZUEJAGKDHCOH-BXUZGUMPSA-N 0 3 307.825 4.475 20 0 DIADHN COc1ccc2cc(CN3CC4CCC3CC4)c(Cl)nc2c1 ZINC000319360227 1052422712 /nfs/dbraw/zinc/42/27/12/1052422712.db2.gz BBYHLDLPPBDGTA-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN CC[C@@H](C)[C@@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000236868058 1052431884 /nfs/dbraw/zinc/43/18/84/1052431884.db2.gz OJLUFBUEIBNUKQ-WBMJQRKESA-N 0 3 307.821 4.097 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)c(Cl)c2)cc1OC ZINC000237250269 1052437111 /nfs/dbraw/zinc/43/71/11/1052437111.db2.gz IXPLQHIFHVURIZ-NSHDSACASA-N 0 3 323.795 4.347 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1cccnc1 ZINC000237351025 1052438595 /nfs/dbraw/zinc/43/85/95/1052438595.db2.gz JNYJRMMOVMBXAI-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1ccc([C@H](CNCc2ccccc2Cl)N2CCCC2)o1 ZINC000237634470 1052443147 /nfs/dbraw/zinc/44/31/47/1052443147.db2.gz FJRTVIXJTMYUMO-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN FC(F)(F)c1cccc(CNCc2cccc3cc[nH]c32)c1 ZINC000237674733 1052444255 /nfs/dbraw/zinc/44/42/55/1052444255.db2.gz MQLTZYYFALMWEO-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN Cc1[nH]c2ccccc2c1CCNCc1c(F)cccc1F ZINC000237714961 1052444721 /nfs/dbraw/zinc/44/47/21/1052444721.db2.gz DUWUQQRNIFRBPK-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN Fc1ccc(-c2nc(CNCCc3ccccc3)cs2)cc1 ZINC000237698090 1052444756 /nfs/dbraw/zinc/44/47/56/1052444756.db2.gz VKVOVQLRGBBGPY-UHFFFAOYSA-N 0 3 312.413 4.282 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@H]1CCCc2sccc21 ZINC000238168698 1052449878 /nfs/dbraw/zinc/44/98/78/1052449878.db2.gz YRGLLCIJCHYJBY-INIZCTEOSA-N 0 3 310.422 4.169 20 0 DIADHN COC[C@@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000316218614 1052457772 /nfs/dbraw/zinc/45/77/72/1052457772.db2.gz GQHXFVQAAOVLJL-MOPGFXCFSA-N 0 3 301.405 4.176 20 0 DIADHN COCc1ccc(Cl)c(-c2ccc3c(c2)CN(C)CC3)c1 ZINC001222285545 1052473789 /nfs/dbraw/zinc/47/37/89/1052473789.db2.gz AKNBOVKOIIHTNY-UHFFFAOYSA-N 0 3 301.817 4.141 20 0 DIADHN FC(F)(F)c1ccccc1OCCN1CCC[C@@H]1c1ccc[nH]1 ZINC000496074156 1052481761 /nfs/dbraw/zinc/48/17/61/1052481761.db2.gz TXAQFOQAWWXSJM-OAHLLOKOSA-N 0 3 324.346 4.249 20 0 DIADHN CC(C)[C@H](NCc1cnn2ccccc12)c1ccc(Cl)cc1 ZINC000393500336 1052484989 /nfs/dbraw/zinc/48/49/89/1052484989.db2.gz KGSRJUGGWKFPOZ-SFHVURJKSA-N 0 3 313.832 4.475 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)NC(C)(C)C)c1ccc(-c2ccccc2)cc1 ZINC000326010218 1052508764 /nfs/dbraw/zinc/50/87/64/1052508764.db2.gz LOTDIPIUCVPYRO-CVEARBPZSA-N 0 3 324.468 4.307 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)CCCOCC(F)(F)F ZINC000054337352 1052515637 /nfs/dbraw/zinc/51/56/37/1052515637.db2.gz WZAPBWRTMFQHQK-LLVKDONJSA-N 0 3 309.759 4.302 20 0 DIADHN CN(Cc1cc(Cl)ccc1OCc1cccnc1)CC1CC1 ZINC000194257415 1052529592 /nfs/dbraw/zinc/52/95/92/1052529592.db2.gz WLQZWMQEGUVVQY-UHFFFAOYSA-N 0 3 316.832 4.156 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@H](COCC(C)C)c2ccco2)C1 ZINC001649025567 1052533909 /nfs/dbraw/zinc/53/39/09/1052533909.db2.gz PWRMDPUTSIJEHI-BZUAXINKSA-N 0 3 311.491 4.257 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@@H](COCC(C)C)c2ccco2)C1 ZINC001649025569 1052534711 /nfs/dbraw/zinc/53/47/11/1052534711.db2.gz PWRMDPUTSIJEHI-XHSDSOJGSA-N 0 3 311.491 4.257 20 0 DIADHN Cc1cccc(C)c1CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001222828917 1052538060 /nfs/dbraw/zinc/53/80/60/1052538060.db2.gz SXDSPBHKTBZBDU-ZWKOTPCHSA-N 0 3 310.441 4.051 20 0 DIADHN CC(C)N(Cc1nc2ccccc2c(=O)[nH]1)C[C@H](C)C(C)(C)C ZINC000670262403 1052539184 /nfs/dbraw/zinc/53/91/84/1052539184.db2.gz QMHKZQKBBGJEKL-AWEZNQCLSA-N 0 3 315.461 4.228 20 0 DIADHN C[C@H](NCCC1(O)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000397677112 1052540985 /nfs/dbraw/zinc/54/09/85/1052540985.db2.gz QSDAHNPBLBJDPT-VIFPVBQESA-N 0 3 306.208 4.088 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C)c1 ZINC000107072397 1052544027 /nfs/dbraw/zinc/54/40/27/1052544027.db2.gz BZNUQAPMQMEQGJ-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN COc1ccccc1[C@@H](NC[C@H](C)C(C)(C)C)c1ccccn1 ZINC000670268161 1052544049 /nfs/dbraw/zinc/54/40/49/1052544049.db2.gz LXXMBAFDSZIFLV-HNAYVOBHSA-N 0 3 312.457 4.451 20 0 DIADHN c1ccc(CN2CCC[C@H](OCc3nc4ccccc4o3)C2)cc1 ZINC001222911252 1052544900 /nfs/dbraw/zinc/54/49/00/1052544900.db2.gz ATZGQHDYUSCNTC-KRWDZBQOSA-N 0 3 322.408 4.009 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(F)c(Br)c1)c1ccncc1 ZINC000037217880 1052613319 /nfs/dbraw/zinc/61/33/19/1052613319.db2.gz QFLJTKFJVAQFTI-GHMZBOCLSA-N 0 3 323.209 4.395 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)[C@@H]1CCCO1 ZINC000037242627 1052616416 /nfs/dbraw/zinc/61/64/16/1052616416.db2.gz YSWVLBDZCLJADU-QIBSRJKCSA-N 0 3 306.208 4.351 20 0 DIADHN COc1ncccc1CN[C@@H](c1cccs1)C1CCCC1 ZINC000037650188 1052628019 /nfs/dbraw/zinc/62/80/19/1052628019.db2.gz ONNMLRZIJLNDCC-MRXNPFEDSA-N 0 3 302.443 4.173 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000062257514 1052631844 /nfs/dbraw/zinc/63/18/44/1052631844.db2.gz NUIUGXINSYNFLF-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN Cc1nc(CN2CC[C@H](Cc3ccccc3)C2)nc2ccccc12 ZINC000124291985 1052638569 /nfs/dbraw/zinc/63/85/69/1052638569.db2.gz BIHQPGAAZGXBEQ-GOSISDBHSA-N 0 3 317.436 4.003 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000125124556 1052645554 /nfs/dbraw/zinc/64/55/54/1052645554.db2.gz GIRLFGMVLSTBHV-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@H](N[C@@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000126520625 1052671966 /nfs/dbraw/zinc/67/19/66/1052671966.db2.gz KAKUQPGOHQFMHU-IUCAKERBSA-N 0 3 305.285 4.381 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(CC(C)C)CCC1 ZINC000126789913 1052677702 /nfs/dbraw/zinc/67/77/02/1052677702.db2.gz HKVFIABACOJMPD-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN O[C@@H](c1ccccc1)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000917024452 1052681376 /nfs/dbraw/zinc/68/13/76/1052681376.db2.gz VOESVEBPEYXROC-UKSYNSBOSA-N 0 3 307.437 4.145 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000126871083 1052683818 /nfs/dbraw/zinc/68/38/18/1052683818.db2.gz AKNCBRBSMJQUNP-GARJFASQSA-N 0 3 306.397 4.477 20 0 DIADHN CN(CCCOc1ccc(Cl)cc1)C[C@@H]1CC1(Cl)Cl ZINC000917084594 1052694347 /nfs/dbraw/zinc/69/43/47/1052694347.db2.gz VBNKDEQQTFOCHF-NSHDSACASA-N 0 3 322.663 4.235 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H]2CCC[C@H](C(F)(F)F)C2)o1 ZINC000127393530 1052694435 /nfs/dbraw/zinc/69/44/35/1052694435.db2.gz MGJBQOREUKEFAH-SCRDCRAPSA-N 0 3 319.367 4.240 20 0 DIADHN Cc1ccc(N2CCCN([C@H](C)c3ccccc3F)CC2)cc1 ZINC000917089613 1052696574 /nfs/dbraw/zinc/69/65/74/1052696574.db2.gz LQDUKBRRGOJXAP-QGZVFWFLSA-N 0 3 312.432 4.407 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1ccns1 ZINC000925434015 1052702597 /nfs/dbraw/zinc/70/25/97/1052702597.db2.gz DMVSRBBJRBSJBN-ZETOZRRWSA-N 0 3 310.850 4.223 20 0 DIADHN CC(C)=CCC/C(C)=C/CO[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001224615928 1052709513 /nfs/dbraw/zinc/70/95/13/1052709513.db2.gz QHUODFVNURMTPB-PGFUGQFCSA-N 0 3 321.505 4.339 20 0 DIADHN C[C@H](CCO[C@@H]1CCN([C@H](C)c2ccccn2)C1)c1ccccc1 ZINC001224994646 1052740882 /nfs/dbraw/zinc/74/08/82/1052740882.db2.gz LNPOFIXLUCAXRY-QWFCFKBJSA-N 0 3 324.468 4.427 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OCC[C@H](C)c2ccccc2)C1 ZINC001224994643 1052741045 /nfs/dbraw/zinc/74/10/45/1052741045.db2.gz LNPOFIXLUCAXRY-NLWGTHIKSA-N 0 3 324.468 4.427 20 0 DIADHN Cc1ccc2oc(=O)n(CN3CCC[C@H]3c3ccccc3C)c2c1 ZINC000917616065 1052746061 /nfs/dbraw/zinc/74/60/61/1052746061.db2.gz DPVAYNVMJFJTIT-KRWDZBQOSA-N 0 3 322.408 4.006 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cccc(Cl)c2)C1 ZINC001225232940 1052760325 /nfs/dbraw/zinc/76/03/25/1052760325.db2.gz ZMVAGLKFTSZVSK-WMLDXEAASA-N 0 3 316.832 4.339 20 0 DIADHN C[C@H](NCCCn1ccc2ccccc21)c1cccnc1Cl ZINC000154585610 1052765461 /nfs/dbraw/zinc/76/54/61/1052765461.db2.gz MYWKYFKHCRQCHF-AWEZNQCLSA-N 0 3 313.832 4.431 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937593 1052765779 /nfs/dbraw/zinc/76/57/79/1052765779.db2.gz HHVDVIDUBQXXCG-GJZGRUSLSA-N 0 3 318.505 4.328 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2ccc3[nH]ccc3c2)C1 ZINC001225272594 1052766557 /nfs/dbraw/zinc/76/65/57/1052766557.db2.gz BURQKZWAXSRVMR-QAPCUYQASA-N 0 3 321.424 4.167 20 0 DIADHN CC(F)(F)CCCN1CCC[C@@H]1CC(=O)c1cccs1 ZINC000930016304 1052790249 /nfs/dbraw/zinc/79/02/49/1052790249.db2.gz AZNKQOTXXPRWQO-GFCCVEGCSA-N 0 3 301.402 4.221 20 0 DIADHN C[C@@H](NCc1cccnc1N(C)C)c1ccc(F)c2ccccc21 ZINC000556058829 1052794473 /nfs/dbraw/zinc/79/44/73/1052794473.db2.gz PKCCAXBRJHSRKY-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN COCCOc1cccc(CN[C@@H](C)c2ccc(Cl)cc2)c1 ZINC000069645312 1052797469 /nfs/dbraw/zinc/79/74/69/1052797469.db2.gz MRBFAYNOHSJVDC-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CCCN(Cc1ccccc1)[C@@H](C)C(=O)N1CCCC[C@@H]1CC ZINC000556136747 1052808868 /nfs/dbraw/zinc/80/88/68/1052808868.db2.gz RJZZFVAUTOGHJU-HKUYNNGSSA-N 0 3 316.489 4.078 20 0 DIADHN C[C@@H](NCc1c2c(nn1C)CCCC2)c1cccc(C2CC2)c1 ZINC000556194052 1052817803 /nfs/dbraw/zinc/81/78/03/1052817803.db2.gz YZGVTGZPFWWXBN-CQSZACIVSA-N 0 3 309.457 4.027 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1cc(Cl)nc(Cl)c1 ZINC000064249373 1052825596 /nfs/dbraw/zinc/82/55/96/1052825596.db2.gz RWDBNAMSWMJXKP-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1F ZINC001225774396 1052828712 /nfs/dbraw/zinc/82/87/12/1052828712.db2.gz KIKLPILQZVNFGF-NVXWUHKLSA-N 0 3 314.404 4.134 20 0 DIADHN CC(=O)c1cccc(O[C@H]2CN(Cc3ccccc3)CC[C@@H]2C)c1 ZINC001225812133 1052834638 /nfs/dbraw/zinc/83/46/38/1052834638.db2.gz OHBNLROATBBCNI-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN CC(=O)c1cc(F)ccc1O[C@@H](CCN(C)C)c1cccs1 ZINC001225832586 1052835950 /nfs/dbraw/zinc/83/59/50/1052835950.db2.gz LKJNFYFJSZLOHC-INIZCTEOSA-N 0 3 321.417 4.162 20 0 DIADHN CC(C)N1CC[C@@H](Oc2cccc(C(F)(F)F)c2Cl)C1 ZINC001225887521 1052842663 /nfs/dbraw/zinc/84/26/63/1052842663.db2.gz ADBDJWQMLKFVEW-SNVBAGLBSA-N 0 3 307.743 4.220 20 0 DIADHN Cc1cccc2ncc(CNC(C)(C)c3ccc(Cl)cc3)n21 ZINC000556408311 1052849362 /nfs/dbraw/zinc/84/93/62/1052849362.db2.gz VSNXXRZHLJHEHF-UHFFFAOYSA-N 0 3 313.832 4.321 20 0 DIADHN CC(=O)c1ccc(O[C@H](CCN(C)C)c2ccccc2)cc1F ZINC001225969068 1052854763 /nfs/dbraw/zinc/85/47/63/1052854763.db2.gz NVEBKGIRTMCIRZ-LJQANCHMSA-N 0 3 315.388 4.100 20 0 DIADHN CC(C)N1CCC(Oc2cccc(C(=O)c3ccccc3)c2)CC1 ZINC001225999029 1052857841 /nfs/dbraw/zinc/85/78/41/1052857841.db2.gz MVTDDHRYKWOGPX-UHFFFAOYSA-N 0 3 323.436 4.169 20 0 DIADHN Fc1ccc(OCCCN2CCC(CC(F)(F)F)CC2)cc1 ZINC000556507070 1052858541 /nfs/dbraw/zinc/85/85/41/1052858541.db2.gz OYJMKLWPTYIXFZ-UHFFFAOYSA-N 0 3 319.342 4.259 20 0 DIADHN CCc1nc(CN[C@H](Cc2ccccc2C)C2CC2)cs1 ZINC000556581642 1052867640 /nfs/dbraw/zinc/86/76/40/1052867640.db2.gz ZRKJUSNAPNERAR-QGZVFWFLSA-N 0 3 300.471 4.125 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(C(C)(C)C)nc2)[C@@H]2CCCC[C@H]12 ZINC000556599358 1052869320 /nfs/dbraw/zinc/86/93/20/1052869320.db2.gz MHNFWQRPLBGOAH-DJIMGWMZSA-N 0 3 301.478 4.175 20 0 DIADHN C[C@@H](Oc1ccnc(N)c1)c1c(Cl)ccc(F)c1Cl ZINC001226652975 1052919085 /nfs/dbraw/zinc/91/90/85/1052919085.db2.gz SWHMQUCXKKUOMV-SSDOTTSWSA-N 0 3 301.148 4.250 20 0 DIADHN CC(C)(C)c1ccc([C@@H]2CCCN2Cc2cnc(N)s2)cc1 ZINC000556795844 1052884079 /nfs/dbraw/zinc/88/40/79/1052884079.db2.gz OBVKOMJZRCXXGS-INIZCTEOSA-N 0 3 315.486 4.360 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@H](C)c1cc(C)ccn1 ZINC000556886485 1052892710 /nfs/dbraw/zinc/89/27/10/1052892710.db2.gz VILRJMWVQSWKMS-GLJUWKHASA-N 0 3 318.848 4.470 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C=C1CCCCC1)c1ccsc1 ZINC000919842094 1052893333 /nfs/dbraw/zinc/89/33/33/1052893333.db2.gz YECBRNHUAXZTFM-KRWDZBQOSA-N 0 3 320.502 4.138 20 0 DIADHN CC[C@H](NC1(c2cccc(OC)c2)CC1)c1ccc(OC)cc1 ZINC000556915721 1052899327 /nfs/dbraw/zinc/89/93/27/1052899327.db2.gz TVOJXEWNAJCLMA-IBGZPJMESA-N 0 3 311.425 4.434 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]2COc2ccc(Cl)cc2)s1 ZINC000920085720 1052917712 /nfs/dbraw/zinc/91/77/12/1052917712.db2.gz AEQYYFPNHHLECU-CQSZACIVSA-N 0 3 322.861 4.148 20 0 DIADHN COc1ccc2cc(CC[C@@H](C)Oc3ccnc(N)c3)ccc2c1 ZINC001226651741 1052919110 /nfs/dbraw/zinc/91/91/10/1052919110.db2.gz AZUZYTMJCGGJDN-CQSZACIVSA-N 0 3 322.408 4.226 20 0 DIADHN Nc1cc(O[C@H]2CCN(c3ccccc3)c3ccccc32)ccn1 ZINC001226653616 1052919170 /nfs/dbraw/zinc/91/91/70/1052919170.db2.gz NAGIORBTQWMRCO-IBGZPJMESA-N 0 3 317.392 4.326 20 0 DIADHN Nc1cc(O[C@@H](c2ccccc2)c2ccc(Cl)cc2)ccn1 ZINC001226655758 1052919415 /nfs/dbraw/zinc/91/94/15/1052919415.db2.gz HRWYABVVXAQSKW-SFHVURJKSA-N 0 3 310.784 4.486 20 0 DIADHN C[C@H](c1ccccn1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000930118849 1052920321 /nfs/dbraw/zinc/92/03/21/1052920321.db2.gz IRNNGZSDDAGUSD-FRFSOERESA-N 0 3 302.368 4.123 20 0 DIADHN Cl/C=C/CN1CCC[C@@H]1Cc1ccc(Br)cc1 ZINC000920780795 1052949770 /nfs/dbraw/zinc/94/97/70/1052949770.db2.gz YRBOUNXIJZVHCB-JPFFYJABSA-N 0 3 314.654 4.209 20 0 DIADHN CC1CCN([C@@H](CNc2nccs2)c2cccs2)CC1 ZINC000920960359 1052956779 /nfs/dbraw/zinc/95/67/79/1052956779.db2.gz HLFDENWMTHABAK-ZDUSSCGKSA-N 0 3 307.488 4.090 20 0 DIADHN CC(=O)c1cc(C)ccc1O[C@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001227086885 1052960202 /nfs/dbraw/zinc/96/02/02/1052960202.db2.gz MSZHMIIKSBFATP-KKSFZXQISA-N 0 3 323.436 4.097 20 0 DIADHN CC(=O)c1ccc(C)cc1O[C@H](CCN(C)C)c1ccccc1 ZINC001227114568 1052962012 /nfs/dbraw/zinc/96/20/12/1052962012.db2.gz KQKFSPXJTKSWHH-LJQANCHMSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1cc(Cl)cnc1OC1CCN(Cc2ccccc2)CC1 ZINC001227210124 1052973152 /nfs/dbraw/zinc/97/31/52/1052973152.db2.gz VBIQXYTUGCJALG-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN c1ccc(CCC[C@@H]2CCCCN2Cc2n[nH]c(C3CC3)n2)cc1 ZINC000347481784 1052978596 /nfs/dbraw/zinc/97/85/96/1052978596.db2.gz KKOLGQVWMXTDSE-SFHVURJKSA-N 0 3 324.472 4.060 20 0 DIADHN CC(C)[C@@H](CNCc1cccc(F)c1F)Nc1ccccc1 ZINC000195585775 1052985066 /nfs/dbraw/zinc/98/50/66/1052985066.db2.gz BDKMHERFMGZPEI-QGZVFWFLSA-N 0 3 304.384 4.191 20 0 DIADHN CCC[C@H](C)N(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000609798277 1052993114 /nfs/dbraw/zinc/99/31/14/1052993114.db2.gz OIBOWEPGKBWAHZ-WLRWDXFRSA-N 0 3 322.301 4.221 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCCCCCCO ZINC000175500235 1052999683 /nfs/dbraw/zinc/99/96/83/1052999683.db2.gz RMQSRPKKKQWYKS-CQSZACIVSA-N 0 3 306.475 4.232 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCCCCCCO ZINC000175500217 1052999943 /nfs/dbraw/zinc/99/99/43/1052999943.db2.gz RMQSRPKKKQWYKS-AWEZNQCLSA-N 0 3 306.475 4.232 20 0 DIADHN CCN1CC[C@H](Oc2nc3c(cc(Cl)cc3Cl)s2)C1 ZINC001227581376 1053001855 /nfs/dbraw/zinc/00/18/55/1053001855.db2.gz UHMVWEBLRFQMCF-VIFPVBQESA-N 0 3 317.241 4.076 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1nc(-c2ccc(F)cc2)no1 ZINC000195674278 1053005794 /nfs/dbraw/zinc/00/57/94/1053005794.db2.gz IJEBHAZLYLQNKP-UHFFFAOYSA-N 0 3 305.397 4.180 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(C)c2)cc1 ZINC000615328926 1053012863 /nfs/dbraw/zinc/01/28/63/1053012863.db2.gz GDRAQOYYJPYRQJ-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN O=[N+]([O-])c1ccc(Cl)c(CNC[C@@H]2C[C@@H]2c2ccccc2)c1 ZINC000237827804 1053031959 /nfs/dbraw/zinc/03/19/59/1053031959.db2.gz HLUFDJRIELYJFF-XJKSGUPXSA-N 0 3 316.788 4.142 20 0 DIADHN CCCCC[C@H](NCc1nnc(-c2ccco2)o1)C(C)(C)C ZINC000179915949 1053035299 /nfs/dbraw/zinc/03/52/99/1053035299.db2.gz OHWAJSIGFWFSBK-AWEZNQCLSA-N 0 3 305.422 4.414 20 0 DIADHN CCCOc1ccccc1O[C@@H]1CCN(Cc2ccccc2)C1 ZINC001228183755 1053051564 /nfs/dbraw/zinc/05/15/64/1053051564.db2.gz XQBSFABNOYOPNQ-GOSISDBHSA-N 0 3 311.425 4.129 20 0 DIADHN CC(=O)c1c(O[C@@H]2C[C@H]3CC[C@@H](C2)N3C)ccc2ccccc21 ZINC001228248324 1053057016 /nfs/dbraw/zinc/05/70/16/1053057016.db2.gz VNUREIGXGXRVCS-BJWYYQGGSA-N 0 3 309.409 4.046 20 0 DIADHN C[C@@H](Oc1ccc2ccccc2c1CN(C)C)c1nccs1 ZINC001228442912 1053104317 /nfs/dbraw/zinc/10/43/17/1053104317.db2.gz YFMSPGPQKINJPJ-CYBMUJFWSA-N 0 3 312.438 4.498 20 0 DIADHN COC1=CC[C@H](Oc2ccc3ccccc3c2CN(C)C)CC1 ZINC001228442008 1053104450 /nfs/dbraw/zinc/10/44/50/1053104450.db2.gz QBMYLGKYVFSTBM-KRWDZBQOSA-N 0 3 311.425 4.363 20 0 DIADHN C[C@H](Oc1ccc2ccccc2c1CN(C)C)c1nccs1 ZINC001228442915 1053104550 /nfs/dbraw/zinc/10/45/50/1053104550.db2.gz YFMSPGPQKINJPJ-ZDUSSCGKSA-N 0 3 312.438 4.498 20 0 DIADHN CCCOC[C@H](C)Oc1ccc2ccccc2c1CN(C)C ZINC001228440880 1053104758 /nfs/dbraw/zinc/10/47/58/1053104758.db2.gz HGDBZWJDTREZRT-HNNXBMFYSA-N 0 3 301.430 4.095 20 0 DIADHN CN(C)Cc1c(O[C@H]2CCC(F)(F)C2)ccc2ccccc21 ZINC001228440932 1053104911 /nfs/dbraw/zinc/10/49/11/1053104911.db2.gz IWUSWYRVAMIGKD-AWEZNQCLSA-N 0 3 305.368 4.468 20 0 DIADHN FC(F)c1cc(O[C@H]2CCCN(Cc3ccccc3)C2)ccn1 ZINC001228530909 1053126574 /nfs/dbraw/zinc/12/65/74/1053126574.db2.gz KMWJWBPBGZVUSH-INIZCTEOSA-N 0 3 318.367 4.063 20 0 DIADHN COCc1cccc(CNCc2c(C)cc(Cl)cc2C)c1 ZINC001560269490 1053141313 /nfs/dbraw/zinc/14/13/13/1053141313.db2.gz FNGXTBMFWOEKHZ-UHFFFAOYSA-N 0 3 303.833 4.393 20 0 DIADHN Cc1nc(CNCCc2ccccc2C(F)(F)F)sc1C ZINC001560293139 1053141618 /nfs/dbraw/zinc/14/16/18/1053141618.db2.gz QIABADJJUXJWSD-UHFFFAOYSA-N 0 3 314.376 4.111 20 0 DIADHN COc1ccc(Cl)cc1CN[C@@H](C)COc1ccccc1F ZINC001318630086 1053179192 /nfs/dbraw/zinc/17/91/92/1053179192.db2.gz NNHOKVBORKLECC-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN C[C@@H](Oc1ccc2c(c1)CCN(C)C2)c1cccc(F)c1F ZINC001228943306 1053210130 /nfs/dbraw/zinc/21/01/30/1053210130.db2.gz FKKDESOFEKTGLY-GFCCVEGCSA-N 0 3 303.352 4.093 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N(Cc1ccccc1)c1ccccc1 ZINC001351704967 1053213845 /nfs/dbraw/zinc/21/38/45/1053213845.db2.gz AJRGTUVPCJLXLV-FQEVSTJZSA-N 0 3 322.452 4.093 20 0 DIADHN CC(=O)c1c(C)cccc1O[C@H](CCN(C)C)c1ccccc1 ZINC001228990850 1053218826 /nfs/dbraw/zinc/21/88/26/1053218826.db2.gz AOIWVJGYQPRNHW-GOSISDBHSA-N 0 3 311.425 4.269 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)COc2ccccc2F)c(F)c1 ZINC001319284361 1053233790 /nfs/dbraw/zinc/23/37/90/1053233790.db2.gz UVXIZMNFTJKARC-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](CC(=O)NC[C@H](C)N(C)Cc1ccccc1)CC(C)(C)C ZINC001360511938 1053237636 /nfs/dbraw/zinc/23/76/36/1053237636.db2.gz XUGMENNUJDTBPT-SJORKVTESA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccc(N(C)C(=O)Nc2cccc(CN(C)C)c2)c(C)c1 ZINC000847111354 1053246395 /nfs/dbraw/zinc/24/63/95/1053246395.db2.gz OOQIVZFQKKQOHO-UHFFFAOYSA-N 0 3 311.429 4.033 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)[C@H]1CCCO1 ZINC000090858300 1053246522 /nfs/dbraw/zinc/24/65/22/1053246522.db2.gz SMSFONQJYSTYCU-JLJPHGGASA-N 0 3 316.470 4.418 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@H](C)c1ncc(Br)s1 ZINC000252726210 1053275701 /nfs/dbraw/zinc/27/57/01/1053275701.db2.gz QAYSBIDKGMOQKI-BBBLOLIVSA-N 0 3 303.269 4.135 20 0 DIADHN Oc1cccc(Cl)c1OC1CCN(Cc2ccccc2)CC1 ZINC001229116518 1053251966 /nfs/dbraw/zinc/25/19/66/1053251966.db2.gz DSMXRBRDJHVQFP-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN c1ccc([C@@H](NCc2ccc(OCC3CC3)nc2)C2CC2)cc1 ZINC000091314463 1053261495 /nfs/dbraw/zinc/26/14/95/1053261495.db2.gz ZYKCNHSUKUKVMV-HXUWFJFHSA-N 0 3 308.425 4.111 20 0 DIADHN CC(C)CSCCCN[C@H](C)c1nc2ccccc2n1C ZINC000091406430 1053263352 /nfs/dbraw/zinc/26/33/52/1053263352.db2.gz UYSARNUCCDBDON-CQSZACIVSA-N 0 3 305.491 4.003 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1scnc1C1CC1 ZINC000449351065 1053270754 /nfs/dbraw/zinc/27/07/54/1053270754.db2.gz OCWVKCWAWGFNNH-HNNXBMFYSA-N 0 3 302.418 4.327 20 0 DIADHN COc1cccc2cc(CN[C@@H](C)c3ccc4c(c3)COC4)oc21 ZINC001319763618 1053271962 /nfs/dbraw/zinc/27/19/62/1053271962.db2.gz PLPBALKKWBJBJS-ZDUSSCGKSA-N 0 3 323.392 4.322 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc3c(c1)CCC3)CCCO2 ZINC001319767662 1053272579 /nfs/dbraw/zinc/27/25/79/1053272579.db2.gz QWEQPUGBQFRDMW-FQEVSTJZSA-N 0 3 323.436 4.187 20 0 DIADHN C[C@H](NCc1cccc2nsnc21)c1ccc(Cl)c(F)c1 ZINC001319804849 1053275117 /nfs/dbraw/zinc/27/51/17/1053275117.db2.gz OIQHUIUJRJBMDD-VIFPVBQESA-N 0 3 321.808 4.335 20 0 DIADHN COc1ccccc1/C=C/CN(C)Cc1cc2ccccc2[nH]1 ZINC001319827929 1053277153 /nfs/dbraw/zinc/27/71/53/1053277153.db2.gz BADMUWMVXPVYPJ-JXMROGBWSA-N 0 3 306.409 4.322 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCN(C)[C@H]2c2ccc(F)cc2)o1 ZINC001319894776 1053285523 /nfs/dbraw/zinc/28/55/23/1053285523.db2.gz SQWASAZUFCHRDN-IRWQIABSSA-N 0 3 316.420 4.077 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCN(C)[C@H]2c2ccc(F)cc2)o1 ZINC001319894777 1053285545 /nfs/dbraw/zinc/28/55/45/1053285545.db2.gz SQWASAZUFCHRDN-IXDGSTSKSA-N 0 3 316.420 4.077 20 0 DIADHN Cc1nc2c(s1)[C@H](Nc1c(C)cnc3ccccc31)CCC2 ZINC001319927976 1053286986 /nfs/dbraw/zinc/28/69/86/1053286986.db2.gz MKLXDWICKWTKHO-MRXNPFEDSA-N 0 3 309.438 4.220 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1ccsc1 ZINC000382193837 1053290316 /nfs/dbraw/zinc/29/03/16/1053290316.db2.gz ZMAWJFFWELXBNF-MFKMUULPSA-N 0 3 301.415 4.132 20 0 DIADHN Cc1cccc2c1[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)CC2 ZINC001229307126 1053296420 /nfs/dbraw/zinc/29/64/20/1053296420.db2.gz SRXZVQNKDNJDAW-FQEVSTJZSA-N 0 3 323.436 4.196 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1Cl)c1cccnc1Cl ZINC001320024358 1053296907 /nfs/dbraw/zinc/29/69/07/1053296907.db2.gz ARNNFDHPZBZXMQ-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1[C@H](C)CCC[C@@H]1C)c1ccc(F)cc1 ZINC001320030246 1053297505 /nfs/dbraw/zinc/29/75/05/1053297505.db2.gz HATPWVAQQXAMET-KRXQYRFLSA-N 0 3 320.452 4.044 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2coc(-c3ccccc3)n2)o1 ZINC001320069904 1053300755 /nfs/dbraw/zinc/30/07/55/1053300755.db2.gz CSYQNTRHPZFDNL-RDTXWAMCSA-N 0 3 322.408 4.390 20 0 DIADHN C[C@@H](NCc1ccnc(Cl)c1)c1cccc(N2CCCC2)c1 ZINC000181871372 1053302357 /nfs/dbraw/zinc/30/23/57/1053302357.db2.gz FRVDFEWFNNOHRF-CQSZACIVSA-N 0 3 315.848 4.186 20 0 DIADHN CN(C)c1cc(CN2C[C@@H]3CCCC[C@@]32c2ccccc2)ccn1 ZINC001320221673 1053316646 /nfs/dbraw/zinc/31/66/46/1053316646.db2.gz XLFDZHNTFVAHAA-PZJWPPBQSA-N 0 3 321.468 4.049 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@H]1CCCN1Cc1c(Cl)cccc1Cl ZINC000253086378 1053317599 /nfs/dbraw/zinc/31/75/99/1053317599.db2.gz BIDCNISFRWZRQL-HFBAOOFYSA-N 0 3 314.256 4.119 20 0 DIADHN COC[C@H](CC(C)C)N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC001320417223 1053332529 /nfs/dbraw/zinc/33/25/29/1053332529.db2.gz MRYLWQPCSNDDOH-AAEUAGOBSA-N 0 3 319.367 4.139 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)COc1ccccc1F ZINC001320462348 1053334919 /nfs/dbraw/zinc/33/49/19/1053334919.db2.gz TUSSPKPHIBQMRL-NEPJUHHUSA-N 0 3 309.406 4.014 20 0 DIADHN COc1ccc2nc(CN(C)[C@H]3CC[C@H](SC)C3)sc2c1 ZINC001320685983 1053353672 /nfs/dbraw/zinc/35/36/72/1053353672.db2.gz UPKVITCRNJBHNG-AAEUAGOBSA-N 0 3 322.499 4.021 20 0 DIADHN COc1ccc2nc(CN(C)[C@@H]3CC[C@@H](SC)C3)sc2c1 ZINC001320685985 1053353841 /nfs/dbraw/zinc/35/38/41/1053353841.db2.gz UPKVITCRNJBHNG-DGCLKSJQSA-N 0 3 322.499 4.021 20 0 DIADHN Cc1c(Cl)cccc1CN(C)CCOCc1ccccc1 ZINC001560670727 1053353908 /nfs/dbraw/zinc/35/39/08/1053353908.db2.gz LMEOZQOMCNDVPQ-UHFFFAOYSA-N 0 3 303.833 4.297 20 0 DIADHN COc1ccc2nc(CN(C)[C@H]3CC[C@@H](SC)C3)sc2c1 ZINC001320685988 1053354875 /nfs/dbraw/zinc/35/48/75/1053354875.db2.gz UPKVITCRNJBHNG-WCQYABFASA-N 0 3 322.499 4.021 20 0 DIADHN CCN1CCC(Oc2c(C(C)=O)cccc2-c2ccccc2)CC1 ZINC001229567454 1053356079 /nfs/dbraw/zinc/35/60/79/1053356079.db2.gz XSQCESJTFPXQEZ-UHFFFAOYSA-N 0 3 323.436 4.419 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2ccc(Br)o2)CS1 ZINC001320721822 1053358256 /nfs/dbraw/zinc/35/82/56/1053358256.db2.gz CEPXIIJFOUGUKT-GXSJLCMTSA-N 0 3 318.280 4.052 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1CCC1CCCC1 ZINC001545762462 1053363475 /nfs/dbraw/zinc/36/34/75/1053363475.db2.gz NDAJOEXPPXVDCL-LJQANCHMSA-N 0 3 322.537 4.460 20 0 DIADHN COc1cccc2c1CCN(c1c(C)cnc3ccccc31)C2 ZINC001320855167 1053368208 /nfs/dbraw/zinc/36/82/08/1053368208.db2.gz SRFICTRJUMWFQT-UHFFFAOYSA-N 0 3 304.393 4.115 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1ccccc1Cl)c1cccs1 ZINC000750839364 1053373434 /nfs/dbraw/zinc/37/34/34/1053373434.db2.gz VWVLIKQDUOXVNY-ZBFHGGJFSA-N 0 3 321.873 4.490 20 0 DIADHN Clc1ccsc1CNCCc1coc(-c2ccccc2)n1 ZINC001321043141 1053383919 /nfs/dbraw/zinc/38/39/19/1053383919.db2.gz NHEGCUYLFVHEJV-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN Cc1ccc(OC(C)C)c(COc2ccccc2CN(C)C)c1 ZINC001229699227 1053387089 /nfs/dbraw/zinc/38/70/89/1053387089.db2.gz KYHLHIUYJNZBFI-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN CN(C)Cc1ccccc1O[C@H](c1ccccc1)c1ccccn1 ZINC001229701930 1053387435 /nfs/dbraw/zinc/38/74/35/1053387435.db2.gz MRFVGJQRYZEDTE-OAQYLSRUSA-N 0 3 318.420 4.312 20 0 DIADHN CCCC(=CC(=O)N1C[C@H](N(C)C)Cc2ccccc21)CCC ZINC001352720349 1053390005 /nfs/dbraw/zinc/39/00/05/1053390005.db2.gz AQKCARSBELFINP-GOSISDBHSA-N 0 3 314.473 4.033 20 0 DIADHN Clc1cccc(CCN2CC[C@H]3OCCC[C@@H]3C2)c1Cl ZINC001321116907 1053392255 /nfs/dbraw/zinc/39/22/55/1053392255.db2.gz HHQVNZBJNHEJJD-UKRRQHHQSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnc(F)c(C)c2)c1C ZINC001352751600 1053397273 /nfs/dbraw/zinc/39/72/73/1053397273.db2.gz WIJUCWHYMUUVQC-UHFFFAOYSA-N 0 3 311.404 4.225 20 0 DIADHN C[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1nccs1 ZINC000093401713 1053400420 /nfs/dbraw/zinc/40/04/20/1053400420.db2.gz VHVGIMAMTSVCNO-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000093419298 1053402082 /nfs/dbraw/zinc/40/20/82/1053402082.db2.gz SAXUMLPXPKOOGY-ZDUSSCGKSA-N 0 3 322.499 4.120 20 0 DIADHN CCc1ccc(CNCc2ccc(-c3cnn(C)c3)cc2)s1 ZINC001321496982 1053416754 /nfs/dbraw/zinc/41/67/54/1053416754.db2.gz UVHWQDIZOOJENN-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cnc(-c2cccs2)s1 ZINC000093558834 1053422491 /nfs/dbraw/zinc/42/24/91/1053422491.db2.gz WCQYTVMATYGJJE-LLVKDONJSA-N 0 3 312.529 4.445 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN(C)[C@H]2c2ccc(F)cc2)oc1C ZINC001321700809 1053428270 /nfs/dbraw/zinc/42/82/70/1053428270.db2.gz JNLHOZBNVYAWJF-IRWQIABSSA-N 0 3 316.420 4.132 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN2CCC(C3CCOCC3)CC2)o1 ZINC000093705078 1053438829 /nfs/dbraw/zinc/43/88/29/1053438829.db2.gz VQPKOKYCKNZVAS-KSSFIOAISA-N 0 3 303.446 4.042 20 0 DIADHN c1ccc2c(c1)CN(Cc1ccc3c(c1)COC3)CC21CCC1 ZINC001322061381 1053452273 /nfs/dbraw/zinc/45/22/73/1053452273.db2.gz QGPOXFAHTLGXMH-UHFFFAOYSA-N 0 3 305.421 4.154 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)NC[C@@H](C)N(C)Cc1ccccc1 ZINC001435167031 1053460361 /nfs/dbraw/zinc/46/03/61/1053460361.db2.gz YJQDAFKOUHYVMH-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccccc1)c1cccc(Cl)c1F ZINC000763539431 1053467505 /nfs/dbraw/zinc/46/75/05/1053467505.db2.gz QWUJLDGUAPOKAK-JKSUJKDBSA-N 0 3 307.796 4.253 20 0 DIADHN CCOc1ccc(CNCCc2csc3ccccc23)o1 ZINC001200223779 1053483343 /nfs/dbraw/zinc/48/33/43/1053483343.db2.gz ONTREXFPFIPHEP-UHFFFAOYSA-N 0 3 301.411 4.225 20 0 DIADHN CC(C)(C)N(Cc1sc(=O)[nH]c1Cl)Cc1ccccc1 ZINC001237160961 1053489754 /nfs/dbraw/zinc/48/97/54/1053489754.db2.gz LDHQYKYBTWLZSJ-UHFFFAOYSA-N 0 3 310.850 4.303 20 0 DIADHN Fc1ccc(-c2cc(NCCc3ccccn3)ccn2)cc1F ZINC000619423638 1053491200 /nfs/dbraw/zinc/49/12/00/1053491200.db2.gz JTWUAOLIXMASOL-UHFFFAOYSA-N 0 3 311.335 4.076 20 0 DIADHN Cc1cc(C(C)C)ccc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001230210724 1053494390 /nfs/dbraw/zinc/49/43/90/1053494390.db2.gz JORAAGWNBDYDJL-WGSAOQKQSA-N 0 3 317.473 4.141 20 0 DIADHN COc1ccccc1/C=C\CN1CCC(OC2CCCC2)CC1 ZINC000254967207 1053499124 /nfs/dbraw/zinc/49/91/24/1053499124.db2.gz AEDBPFQPYXJYOH-VURMDHGXSA-N 0 3 315.457 4.132 20 0 DIADHN Cc1cnc2ccccc2c1N1CCO[C@@H](c2ccccc2F)C1 ZINC001322556936 1053521701 /nfs/dbraw/zinc/52/17/01/1053521701.db2.gz IZVCWBFCVMNLEL-LJQANCHMSA-N 0 3 322.383 4.260 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000152883164 1053543816 /nfs/dbraw/zinc/54/38/16/1053543816.db2.gz JILGIKFWNKCEHW-SJLPKXTDSA-N 0 3 317.477 4.181 20 0 DIADHN Cc1occc1CN[C@H](C)CC(=O)Nc1cc(Cl)ccc1C ZINC000619766428 1053552411 /nfs/dbraw/zinc/55/24/11/1053552411.db2.gz FEECLTJBXHMUGJ-GFCCVEGCSA-N 0 3 320.820 4.057 20 0 DIADHN CC(=O)c1ccc(F)c(O[C@H](CCN(C)C)c2ccccc2)c1 ZINC001230564227 1053558687 /nfs/dbraw/zinc/55/86/87/1053558687.db2.gz LWRDYNXGOHUTRI-GOSISDBHSA-N 0 3 315.388 4.100 20 0 DIADHN COC(=O)c1nc([C@@H]2CCCN2C[C@@H](C)C(C)(C)C)sc1C ZINC000619845995 1053567811 /nfs/dbraw/zinc/56/78/11/1053567811.db2.gz KBDKXWKIRYPAHJ-YPMHNXCESA-N 0 3 324.490 4.057 20 0 DIADHN CCC(CC)[C@H](NC(=O)[C@@H]1CCCN1C(C)C)c1ccccc1 ZINC001353440455 1053574244 /nfs/dbraw/zinc/57/42/44/1053574244.db2.gz ZVKDQXCKNIIIRE-OALUTQOASA-N 0 3 316.489 4.153 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1)c1nc(C(C)(C)C)cs1 ZINC001323566973 1053588226 /nfs/dbraw/zinc/58/82/26/1053588226.db2.gz JYVRBZTYOLIPTL-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN CC[C@@](C)(NCCc1ccc(F)cc1F)c1nc(C)cs1 ZINC001323659998 1053592392 /nfs/dbraw/zinc/59/23/92/1053592392.db2.gz NXQSVIARCXGDPY-MRXNPFEDSA-N 0 3 310.413 4.187 20 0 DIADHN CC[C@](C)(NCCc1ccc(F)cc1F)c1nc(C)cs1 ZINC001323659997 1053593340 /nfs/dbraw/zinc/59/33/40/1053593340.db2.gz NXQSVIARCXGDPY-INIZCTEOSA-N 0 3 310.413 4.187 20 0 DIADHN CCCC1CCN(Cc2cccc(SC(=O)N(C)C)c2)CC1 ZINC001323669653 1053595165 /nfs/dbraw/zinc/59/51/65/1053595165.db2.gz YRZMLJXKBSECRJ-UHFFFAOYSA-N 0 3 320.502 4.472 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@H](O)c1ccccc1C ZINC001200366329 1053596144 /nfs/dbraw/zinc/59/61/44/1053596144.db2.gz VBIBQNIYPVKCRG-KRWDZBQOSA-N 0 3 301.430 4.017 20 0 DIADHN O=C(CN1C[C@H]2CCC[C@@H]2C1)Nc1ccccc1-c1ccccc1 ZINC000153313048 1053602804 /nfs/dbraw/zinc/60/28/04/1053602804.db2.gz SAQHWVCALXJBIV-QZTJIDSGSA-N 0 3 320.436 4.024 20 0 DIADHN CC(=O)c1cc2c(cc1O[C@H]1CCCN(C(C)C)C1)CCCC2 ZINC001230790745 1053604512 /nfs/dbraw/zinc/60/45/12/1053604512.db2.gz TVNRBCYHXUMHAE-SFHVURJKSA-N 0 3 315.457 4.020 20 0 DIADHN CCC(CC)N(CC(=O)Nc1cccc(SC)c1)C1CC1 ZINC000620119525 1053606287 /nfs/dbraw/zinc/60/62/87/1053606287.db2.gz JHPXZXMFWSWKOR-UHFFFAOYSA-N 0 3 306.475 4.000 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)[C@H]1CCOC(C)(C)C1 ZINC001323936813 1053611618 /nfs/dbraw/zinc/61/16/18/1053611618.db2.gz NVZUVWPPSFWHAE-KBPBESRZSA-N 0 3 322.518 4.047 20 0 DIADHN C[C@H](CNC(=O)C(C)(C)CC(C)(C)C)N(C)Cc1ccccc1 ZINC001353580202 1053615845 /nfs/dbraw/zinc/61/58/45/1053615845.db2.gz MZIVZQBPAARBCS-MRXNPFEDSA-N 0 3 318.505 4.086 20 0 DIADHN CCCC(=CC(=O)NC[C@@H](C)N(C)Cc1ccccc1)CCC ZINC001353580152 1053616589 /nfs/dbraw/zinc/61/65/89/1053616589.db2.gz LKVPSUUFTJLAHG-QGZVFWFLSA-N 0 3 316.489 4.150 20 0 DIADHN CCCCC[C@@H]1CCCCN1C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001230895606 1053621568 /nfs/dbraw/zinc/62/15/68/1053621568.db2.gz JMVGKNIVBHWSAL-IAGOWNOFSA-N 0 3 323.525 4.004 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@H]2c2cccc(F)c2)cc1 ZINC000153504691 1053623307 /nfs/dbraw/zinc/62/33/07/1053623307.db2.gz ZGARMCLPDVLECT-IBGZPJMESA-N 0 3 312.388 4.121 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@@H](C)c1cccc(F)c1F ZINC000183284982 1053626903 /nfs/dbraw/zinc/62/69/03/1053626903.db2.gz JLMBSXLRNYDYQY-SUNKGSAMSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1cccc(F)c1F ZINC000183284799 1053627809 /nfs/dbraw/zinc/62/78/09/1053627809.db2.gz OWKHPWKZXZNNKX-BWACUDIHSA-N 0 3 323.358 4.267 20 0 DIADHN CC1(C)Cc2cc(CNCc3ccc(Cl)cc3)ccc2O1 ZINC000071170170 1053631591 /nfs/dbraw/zinc/63/15/91/1053631591.db2.gz PBWICSALTZUJSV-UHFFFAOYSA-N 0 3 301.817 4.343 20 0 DIADHN CSc1ccc(CN2CCC(Nc3ccccc3)CC2)cc1 ZINC001324270755 1053640379 /nfs/dbraw/zinc/64/03/79/1053640379.db2.gz SJAZTHBMUBVRDZ-UHFFFAOYSA-N 0 3 312.482 4.485 20 0 DIADHN c1cc(CN2CCC(OCc3ccccc3)CC2)n(C2CC2)c1 ZINC001324365540 1053648516 /nfs/dbraw/zinc/64/85/16/1053648516.db2.gz TVFNWSNCNCTMFV-UHFFFAOYSA-N 0 3 310.441 4.004 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Nc2cccc3c2CCCC3)c1 ZINC000620417063 1053658159 /nfs/dbraw/zinc/65/81/59/1053658159.db2.gz CZLCMCAEXVXFJV-UHFFFAOYSA-N 0 3 323.440 4.271 20 0 DIADHN C[C@H](NCCc1c(Cl)cccc1Cl)c1cc2n(n1)CCC2 ZINC000414062779 1053661897 /nfs/dbraw/zinc/66/18/97/1053661897.db2.gz DDJAUJTXFRPPAW-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN OCC1([C@H](NCc2cccn2C2CC2)c2ccccc2)CCCC1 ZINC001324610986 1053666950 /nfs/dbraw/zinc/66/69/50/1053666950.db2.gz USGWBOIUASPCCQ-HXUWFJFHSA-N 0 3 324.468 4.207 20 0 DIADHN Cc1cccc(CCN[C@H](C)c2csc(-c3ccccc3)n2)n1 ZINC000414160184 1053670219 /nfs/dbraw/zinc/67/02/19/1053670219.db2.gz ZGTKEQMSJWVMGP-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CN(CCC1CC1)Cc1ccc(OC(F)F)cc1OC(F)F ZINC001324775248 1053678912 /nfs/dbraw/zinc/67/89/12/1053678912.db2.gz GGJBEHAZDJSOEN-UHFFFAOYSA-N 0 3 321.314 4.121 20 0 DIADHN COc1cccc2c1CC[C@H]2NC1(c2ccccc2OC)CCC1 ZINC001324967693 1053690632 /nfs/dbraw/zinc/69/06/32/1053690632.db2.gz ZYGGFPGZIBCUFT-GOSISDBHSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@H](C[NH2+][C@@H](C)c1ccccc1[O-])Oc1ccccc1Cl ZINC000183613279 1053692945 /nfs/dbraw/zinc/69/29/45/1053692945.db2.gz GEGHDLBLNBLAAY-OLZOCXBDSA-N 0 3 305.805 4.164 20 0 DIADHN CCCN(CCN(C)C)C(=O)[C@@H](CC1CCCCC1)C(C)C ZINC001353878262 1053693508 /nfs/dbraw/zinc/69/35/08/1053693508.db2.gz ZFOXEIGMXOLWII-SFHVURJKSA-N 0 3 310.526 4.029 20 0 DIADHN Fc1ccc(CCN2CCC(Nc3ccccc3)CC2)c(F)c1 ZINC001325186776 1053704158 /nfs/dbraw/zinc/70/41/58/1053704158.db2.gz BZPZHHUTBSCEGG-UHFFFAOYSA-N 0 3 316.395 4.084 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@H](O)CC(C)(C)C)c1Cl ZINC001200500377 1053709649 /nfs/dbraw/zinc/70/96/49/1053709649.db2.gz NAIKRRSEYOCITF-GFCCVEGCSA-N 0 3 304.261 4.189 20 0 DIADHN CCCCCC(=O)c1ccc(OC2CCN(CC)CC2)cc1 ZINC001231256541 1053711864 /nfs/dbraw/zinc/71/18/64/1053711864.db2.gz FMCQWUDCGLRFOD-UHFFFAOYSA-N 0 3 303.446 4.313 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H]1CCc3c1cccc3F)CCC2 ZINC001325539062 1053722550 /nfs/dbraw/zinc/72/25/50/1053722550.db2.gz JKAYTYWXLPMKNG-ROUUACIJSA-N 0 3 313.420 4.258 20 0 DIADHN COC[C@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccco1 ZINC000154241702 1053730882 /nfs/dbraw/zinc/73/08/82/1053730882.db2.gz UDJNXFNMESQEDU-BCLQGDPASA-N 0 3 305.805 4.156 20 0 DIADHN CC(C)(C)OCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000308695133 1053732924 /nfs/dbraw/zinc/73/29/24/1053732924.db2.gz PINMAPBLYXPZSQ-GOSISDBHSA-N 0 3 301.405 4.320 20 0 DIADHN C[C@@H](NC(=O)C[C@H]1CCCC[C@H]1C)[C@@H](c1ccccc1)N(C)C ZINC001435971409 1053740259 /nfs/dbraw/zinc/74/02/59/1053740259.db2.gz WCELFBDSWCGRAV-CIWUYKSXSA-N 0 3 316.489 4.010 20 0 DIADHN CCC[C@H](C)CC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001435994489 1053746715 /nfs/dbraw/zinc/74/67/15/1053746715.db2.gz ICXZAKUTCYDFFX-HKUYNNGSSA-N 0 3 318.505 4.086 20 0 DIADHN CCC[C@@H](C)CC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001435994491 1053746911 /nfs/dbraw/zinc/74/69/11/1053746911.db2.gz ICXZAKUTCYDFFX-MJGOQNOKSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1ccc(CN(C)CCCC(=O)c2cccnc2)cc1Cl ZINC001231421985 1053747010 /nfs/dbraw/zinc/74/70/10/1053747010.db2.gz IVCYWXMJDAEAQP-UHFFFAOYSA-N 0 3 316.832 4.138 20 0 DIADHN CC(C)N(Cc1c(C(F)(F)F)nc2sccn12)C(C)C ZINC001231432063 1053752671 /nfs/dbraw/zinc/75/26/71/1053752671.db2.gz LZHCWJIZLJEOHA-UHFFFAOYSA-N 0 3 305.369 4.033 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](OC(=O)OC(C)(C)C)C2)cc1C ZINC001231482923 1053762909 /nfs/dbraw/zinc/76/29/09/1053762909.db2.gz SFVQAYUUKCMXJJ-QGZVFWFLSA-N 0 3 319.445 4.138 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nnc(-c2ccccc2)s1 ZINC000155143723 1053765354 /nfs/dbraw/zinc/76/53/54/1053765354.db2.gz LFYFAHKULZTDDG-OAHLLOKOSA-N 0 3 301.459 4.216 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(/C=C/c3ccccc3)cc2)C1 ZINC001231488497 1053765999 /nfs/dbraw/zinc/76/59/99/1053765999.db2.gz MGKJZQOAEXGESC-IJDCCNJMSA-N 0 3 307.437 4.324 20 0 DIADHN Cc1cc(C)c(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)cc1C ZINC001231486534 1053766859 /nfs/dbraw/zinc/76/68/59/1053766859.db2.gz KJGJLRFVUDFBKB-VQTJNVASSA-N 0 3 318.480 4.010 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1c[nH]c2cccnc12 ZINC001231489562 1053769346 /nfs/dbraw/zinc/76/93/46/1053769346.db2.gz HJVJUDOQRDZZNW-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2ccsc2)cc(OC)c1OC ZINC000268859403 1053772192 /nfs/dbraw/zinc/77/21/92/1053772192.db2.gz YMDBEDGMFZTRJR-NWDGAFQWSA-N 0 3 321.442 4.186 20 0 DIADHN CCc1ccc(CN2CCC(Oc3cccc(F)c3)CC2)s1 ZINC001231501411 1053772620 /nfs/dbraw/zinc/77/26/20/1053772620.db2.gz ILQDQMWPWPNMAD-UHFFFAOYSA-N 0 3 319.445 4.493 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H]3CCCc4ccccc43)c(C)n2n1 ZINC000269348566 1053780291 /nfs/dbraw/zinc/78/02/91/1053780291.db2.gz RANBLZYSXLQSDT-IFXJQAMLSA-N 0 3 320.440 4.074 20 0 DIADHN CN(Cc1ccc(F)c(Oc2ccccc2)c1)[C@@H]1CCCOC1 ZINC001231539598 1053782986 /nfs/dbraw/zinc/78/29/86/1053782986.db2.gz NDMLOPBXGICHPS-MRXNPFEDSA-N 0 3 315.388 4.229 20 0 DIADHN CC(C)N(Cc1cc(Br)c[nH]1)Cc1ccccc1 ZINC001231571764 1053785634 /nfs/dbraw/zinc/78/56/34/1053785634.db2.gz LCXLRAQHUPRBBF-UHFFFAOYSA-N 0 3 307.235 4.188 20 0 DIADHN CCC[C@H](NCc1nnc(-c2ccccc2OC)o1)C(C)(C)C ZINC001326517717 1053789278 /nfs/dbraw/zinc/78/92/78/1053789278.db2.gz QGWUNDUIBBCWHY-HNNXBMFYSA-N 0 3 317.433 4.050 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cc(Cl)c2c(c1)OCCO2 ZINC000155981414 1053794652 /nfs/dbraw/zinc/79/46/52/1053794652.db2.gz JFTPEFODLGLTLF-NSHDSACASA-N 0 3 323.845 4.332 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC(c4cccnc4)C3)cc2)o1 ZINC001231597264 1053795745 /nfs/dbraw/zinc/79/57/45/1053795745.db2.gz JALSRDUADHFQMG-UHFFFAOYSA-N 0 3 304.393 4.249 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2ccc(OC(F)F)cc2)cc1 ZINC000620934106 1053796236 /nfs/dbraw/zinc/79/62/36/1053796236.db2.gz JSCWRKXWEFBDIT-KRWDZBQOSA-N 0 3 319.351 4.331 20 0 DIADHN COc1cc(F)ccc1CN(C)CCc1cccc(Cl)c1 ZINC001231602603 1053799364 /nfs/dbraw/zinc/79/93/64/1053799364.db2.gz CRRKCEWDJUYWGA-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COC[C@@H](NCc1cc(C(F)(F)F)ccc1Cl)C(C)C ZINC001200601015 1053803361 /nfs/dbraw/zinc/80/33/61/1053803361.db2.gz JGJJNPKWSXQHMA-CYBMUJFWSA-N 0 3 309.759 4.119 20 0 DIADHN CCc1ccc(CN[C@H]2CCCc3nc(CC)sc32)s1 ZINC000156290070 1053805200 /nfs/dbraw/zinc/80/52/00/1053805200.db2.gz YQCVLFOKUYGISX-ZDUSSCGKSA-N 0 3 306.500 4.497 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](C)c2ccc(C(C)C)cc2)cc1 ZINC000156459718 1053809481 /nfs/dbraw/zinc/80/94/81/1053809481.db2.gz IUSYDDBKSZBDTI-INIZCTEOSA-N 0 3 324.468 4.411 20 0 DIADHN Cc1cc2ncc([C@@H](C)NCc3ccc(C(C)C)cc3)c(C)n2n1 ZINC000271455845 1053813003 /nfs/dbraw/zinc/81/30/03/1053813003.db2.gz OWGSIIHMDFPSFK-OAHLLOKOSA-N 0 3 322.456 4.320 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001231632484 1053814623 /nfs/dbraw/zinc/81/46/23/1053814623.db2.gz WFFYUDHDDYGVRH-CALCHBBNSA-N 0 3 316.404 4.428 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000156531855 1053814922 /nfs/dbraw/zinc/81/49/22/1053814922.db2.gz MEEUOEOTCJMOOT-KEYYUXOJSA-N 0 3 303.837 4.181 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc2cc(C)nn2c1C)c1ccccc1 ZINC000271596618 1053819875 /nfs/dbraw/zinc/81/98/75/1053819875.db2.gz UTWREJGQNWATEP-KDOFPFPSSA-N 0 3 308.429 4.148 20 0 DIADHN Cc1cccc2cc(CN3C[C@@H]4[C@H](C3)C4(C)C)c(Cl)nc12 ZINC001231652373 1053822036 /nfs/dbraw/zinc/82/20/36/1053822036.db2.gz OCQOULWDZCDIIY-GASCZTMLSA-N 0 3 300.833 4.284 20 0 DIADHN C[C@H](NCc1ccccc1CO)c1ccc(OC(C)(C)C)cc1 ZINC000156829261 1053824969 /nfs/dbraw/zinc/82/49/69/1053824969.db2.gz ZXVIWLWSYZRNPB-HNNXBMFYSA-N 0 3 313.441 4.207 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2cccc(Cl)c2)ccc1O ZINC000156888603 1053828221 /nfs/dbraw/zinc/82/82/21/1053828221.db2.gz UXEKDUFRPAURPK-GFCCVEGCSA-N 0 3 305.805 4.247 20 0 DIADHN c1cc2cc(CN(Cc3ccccn3)C3CCCCC3)ncc2[nH]1 ZINC001231669128 1053829276 /nfs/dbraw/zinc/82/92/76/1053829276.db2.gz DJWVBLMOCVLTTL-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cc4cc[nH]c4cn3)C2)c1 ZINC001231670243 1053829579 /nfs/dbraw/zinc/82/95/79/1053829579.db2.gz XOGRCRXPCAIGBU-HNNXBMFYSA-N 0 3 311.816 4.206 20 0 DIADHN Cc1cccc(CN(Cc2cc3cc[nH]c3cn2)C2CC2)c1C ZINC001231670881 1053829658 /nfs/dbraw/zinc/82/96/58/1053829658.db2.gz HDCYHHIBNUEMOK-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](C)c2ccnc(OC)c2)cc1 ZINC000671106201 1053833394 /nfs/dbraw/zinc/83/33/94/1053833394.db2.gz TUJVIBNGQFVRMK-HUUCEWRRSA-N 0 3 314.429 4.291 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1CC(=O)Nc1c(C)cccc1C ZINC000156931734 1053833764 /nfs/dbraw/zinc/83/37/64/1053833764.db2.gz WBWQPTPYHZHUCS-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@H](O)CCNCc1ccc(-c2cc(Cl)ccc2Cl)o1 ZINC001327096128 1053834620 /nfs/dbraw/zinc/83/46/20/1053834620.db2.gz SOHASDJLESBESL-JTQLQIEISA-N 0 3 314.212 4.114 20 0 DIADHN CO[C@H](C)C1CN(Cc2c(OC(C)C)ccc3ccccc32)C1 ZINC001231739020 1053846641 /nfs/dbraw/zinc/84/66/41/1053846641.db2.gz KEFRFYYYUZAXPX-OAHLLOKOSA-N 0 3 313.441 4.094 20 0 DIADHN C[C@H](NCc1ccc2ccccc2c1)c1ccc([S@@](C)=O)cc1 ZINC000157314011 1053850551 /nfs/dbraw/zinc/85/05/51/1053850551.db2.gz DNVPIXMUIQBSDT-WNSKOXEYSA-N 0 3 323.461 4.428 20 0 DIADHN CCOc1ncccc1CN1CCC[C@H](c2ccccc2)CC1 ZINC001231767867 1053851799 /nfs/dbraw/zinc/85/17/99/1053851799.db2.gz GWFAYPVJRDDRBU-SFHVURJKSA-N 0 3 310.441 4.250 20 0 DIADHN CC(C)Cc1ccc(CN2CCC3(CC(F)(F)CO3)CC2)cc1 ZINC001231787228 1053856201 /nfs/dbraw/zinc/85/62/01/1053856201.db2.gz VCFVHONROSQUTE-UHFFFAOYSA-N 0 3 323.427 4.275 20 0 DIADHN CC(C)Cc1ccc(CN2CCC(Oc3cccnc3)CC2)cc1 ZINC001231788380 1053860518 /nfs/dbraw/zinc/86/05/18/1053860518.db2.gz QLENSFPAEYWGHZ-UHFFFAOYSA-N 0 3 324.468 4.324 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN(C)CCc1cccs1 ZINC001231796361 1053862577 /nfs/dbraw/zinc/86/25/77/1053862577.db2.gz AJUMCOOISDNBSK-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN CC[C@H](CC(F)F)CN1CCC(C(=O)c2cccs2)CC1 ZINC001327547739 1053863182 /nfs/dbraw/zinc/86/31/82/1053863182.db2.gz YQTGGEQYNCWPJO-GFCCVEGCSA-N 0 3 315.429 4.324 20 0 DIADHN Fc1ccc([C@H](NC2CC3(CC3(F)F)C2)c2cccnc2)cc1 ZINC001327610294 1053869067 /nfs/dbraw/zinc/86/90/67/1053869067.db2.gz ZCMNENYPOZSRBN-CGZBRXJRSA-N 0 3 318.342 4.088 20 0 DIADHN F[C@H]1COC2(C1)CCN(Cc1ccc(-c3ccccc3)o1)CC2 ZINC001231813501 1053870763 /nfs/dbraw/zinc/87/07/63/1053870763.db2.gz IPEBFPCTKUAAQF-MRXNPFEDSA-N 0 3 315.388 4.040 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1c[nH]nc1-c1ccccc1F ZINC000157829788 1053872364 /nfs/dbraw/zinc/87/23/64/1053872364.db2.gz UXGCURNHLDNDNQ-LBPRGKRZSA-N 0 3 315.417 4.470 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)[C@@H](C)c2cccs2)o1 ZINC000157804825 1053873015 /nfs/dbraw/zinc/87/30/15/1053873015.db2.gz GPGXKVAVLPSOPU-LBPRGKRZSA-N 0 3 301.415 4.233 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)cs1 ZINC001200672510 1053874676 /nfs/dbraw/zinc/87/46/76/1053874676.db2.gz RKWALBLRWTVHCR-WBVHZDCISA-N 0 3 300.471 4.207 20 0 DIADHN Cc1csc2sc(CN3CCN(C4CCC4)CC3)c(C)c12 ZINC001231829305 1053882544 /nfs/dbraw/zinc/88/25/44/1053882544.db2.gz VQJHAGRVHJCUJP-UHFFFAOYSA-N 0 3 320.527 4.250 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2sc3scc(C)c3c2C)C1 ZINC001231830404 1053884300 /nfs/dbraw/zinc/88/43/00/1053884300.db2.gz BMOBDPBCAFVTEO-CYBMUJFWSA-N 0 3 307.484 4.381 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1cccc3ccoc31)CCC2 ZINC001327945705 1053895725 /nfs/dbraw/zinc/89/57/25/1053895725.db2.gz LCZPQZIXLNXPNI-KRWDZBQOSA-N 0 3 309.413 4.377 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cccc3ccoc31)CCC2 ZINC001327945706 1053897807 /nfs/dbraw/zinc/89/78/07/1053897807.db2.gz LCZPQZIXLNXPNI-QGZVFWFLSA-N 0 3 309.413 4.377 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC3CCCCC3)nc2)C2(CC2)C1 ZINC001231872881 1053899578 /nfs/dbraw/zinc/89/95/78/1053899578.db2.gz QYSNMUNKKLOJQM-HNNXBMFYSA-N 0 3 300.446 4.168 20 0 DIADHN FC(F)c1cc(CN2CCC[C@@H](c3ccccc3)C2)ccn1 ZINC001231891899 1053905761 /nfs/dbraw/zinc/90/57/61/1053905761.db2.gz YJMSFXIUTGQXII-MRXNPFEDSA-N 0 3 302.368 4.399 20 0 DIADHN COc1cccc2c(CN3CCSc4ccccc4C3)c[nH]c21 ZINC001231897896 1053908702 /nfs/dbraw/zinc/90/87/02/1053908702.db2.gz VQHVPSXJGNTIJO-UHFFFAOYSA-N 0 3 324.449 4.284 20 0 DIADHN COc1cccc2c(CN(C)[C@@H](C)c3ccccc3OC)c[nH]c21 ZINC001231899643 1053908743 /nfs/dbraw/zinc/90/87/43/1053908743.db2.gz RMVFIQKMKGPKKV-AWEZNQCLSA-N 0 3 324.424 4.378 20 0 DIADHN c1cc2cc(CNCc3ccccc3N3CCCC3)ccc2o1 ZINC001328146032 1053911295 /nfs/dbraw/zinc/91/12/95/1053911295.db2.gz OOJARVYZFDGSMW-UHFFFAOYSA-N 0 3 306.409 4.323 20 0 DIADHN Clc1nccc2ccc(CN3CC[C@]4(C3)CCCCO4)cc21 ZINC001231976046 1053924563 /nfs/dbraw/zinc/92/45/63/1053924563.db2.gz HZFPPCPEGJTNBO-SFHVURJKSA-N 0 3 316.832 4.033 20 0 DIADHN OCc1ccc(CN(CCc2ccccc2)Cc2ccccc2)o1 ZINC000158910408 1053925792 /nfs/dbraw/zinc/92/57/92/1053925792.db2.gz ZLTYMDGSITXZHE-UHFFFAOYSA-N 0 3 321.420 4.017 20 0 DIADHN Clc1nccc2ccc(CN3CC[C@H](c4ccccn4)C3)cc21 ZINC001231977269 1053925758 /nfs/dbraw/zinc/92/57/58/1053925758.db2.gz IYJHVWHUAJBHAS-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1cc(F)ncc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001231984297 1053928356 /nfs/dbraw/zinc/92/83/56/1053928356.db2.gz USOKBYUZNOYBFO-ZDUSSCGKSA-N 0 3 318.823 4.344 20 0 DIADHN CCN(Cc1ncc(Br)cc1F)C1CCCCC1 ZINC001231985983 1053929455 /nfs/dbraw/zinc/92/94/55/1053929455.db2.gz AVQIFEFROVODDY-UHFFFAOYSA-N 0 3 315.230 4.138 20 0 DIADHN Cc1cc(F)ncc1CN1CCC2(CCc3ccccc32)CC1 ZINC001231980570 1053929797 /nfs/dbraw/zinc/92/97/97/1053929797.db2.gz YEUZCSJTJJUQOF-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cc(C)nc(C)c2)c1 ZINC001232000655 1053931882 /nfs/dbraw/zinc/93/18/82/1053931882.db2.gz RGEOJVVPCUYMRA-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc43)CC2)cc(C)n1 ZINC001231997833 1053932857 /nfs/dbraw/zinc/93/28/57/1053932857.db2.gz RIDWHWSTEKVORS-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cc(C)nc(C)c2)c1 ZINC001232000654 1053934493 /nfs/dbraw/zinc/93/44/93/1053934493.db2.gz RGEOJVVPCUYMRA-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN FC(F)(F)c1cnc(Cl)c(CN2CC[C@@H]3CCC[C@@H]3C2)c1 ZINC001232008344 1053939236 /nfs/dbraw/zinc/93/92/36/1053939236.db2.gz SYYHIPHPAFEUAQ-WDEREUQCSA-N 0 3 318.770 4.376 20 0 DIADHN CO[C@H](CNCc1cccc(Cl)c1F)c1ccc(F)cc1 ZINC001200749731 1053940138 /nfs/dbraw/zinc/94/01/38/1053940138.db2.gz CBKYLXOYZLFSSI-OAHLLOKOSA-N 0 3 311.759 4.096 20 0 DIADHN COc1cccc(CN(Cc2cnc(C)s2)CC(C)C)c1 ZINC000621311082 1053940064 /nfs/dbraw/zinc/94/00/64/1053940064.db2.gz UBHNTPLOWLJQAJ-UHFFFAOYSA-N 0 3 304.459 4.118 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2Cc2cc3c[nH]ccc-3n2)c1 ZINC001232014611 1053942414 /nfs/dbraw/zinc/94/24/14/1053942414.db2.gz SLBUKXXHVBRVTE-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2Cc2cc3cnccc3[nH]2)c1 ZINC001232014611 1053942425 /nfs/dbraw/zinc/94/24/25/1053942425.db2.gz SLBUKXXHVBRVTE-GOSISDBHSA-N 0 3 313.351 4.178 20 0 DIADHN CC[C@@H]1CCN(Cc2c[nH]c3c2cccc3Br)C1 ZINC001232021096 1053943717 /nfs/dbraw/zinc/94/37/17/1053943717.db2.gz ALQLGAOXNGOTDO-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3c[nH]c4c3cccc4Br)C[C@@H]21 ZINC001232019807 1053944168 /nfs/dbraw/zinc/94/41/68/1053944168.db2.gz PGHMUBJEWGIJDF-BETUJISGSA-N 0 3 319.246 4.018 20 0 DIADHN CCCCN(CC(=O)NCc1ccccc1)[C@H](C)c1ccccc1 ZINC000621301546 1053946145 /nfs/dbraw/zinc/94/61/45/1053946145.db2.gz QXZAIOSJSOIEHZ-GOSISDBHSA-N 0 3 324.468 4.166 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3cncc(Cl)c3)C2)c1 ZINC000621300732 1053946834 /nfs/dbraw/zinc/94/68/34/1053946834.db2.gz XEZJVBRBNRDXRV-JCNLHEQBSA-N 0 3 316.832 4.122 20 0 DIADHN COc1cccc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c21 ZINC001232038013 1053949060 /nfs/dbraw/zinc/94/90/60/1053949060.db2.gz HJKQEVQZCRNBMP-GASCZTMLSA-N 0 3 318.420 4.263 20 0 DIADHN c1c2ccncc2sc1CN1CCC(Nc2ccccc2)CC1 ZINC001328746417 1053951241 /nfs/dbraw/zinc/95/12/41/1053951241.db2.gz PGZLOKBDQXPGRK-UHFFFAOYSA-N 0 3 323.465 4.373 20 0 DIADHN CCOc1cncc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)c1 ZINC000621342967 1053952399 /nfs/dbraw/zinc/95/23/99/1053952399.db2.gz QBJOTGOSHLSCEN-LJQANCHMSA-N 0 3 314.404 4.169 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC001328717318 1053952727 /nfs/dbraw/zinc/95/27/27/1053952727.db2.gz QULXTSJBNVFHJR-CWRNSKLLSA-N 0 3 301.409 4.018 20 0 DIADHN CCCCN(Cc1ccc(C(N)=O)cc1)[C@H](C)c1ccc(C)o1 ZINC000621318484 1053956518 /nfs/dbraw/zinc/95/65/18/1053956518.db2.gz JVMJCPIBSPQEQD-OAHLLOKOSA-N 0 3 314.429 4.050 20 0 DIADHN COC1([C@H](C)N[C@@H]2CCCc3cn(C(C)C)nc32)CCCCC1 ZINC001328808529 1053956549 /nfs/dbraw/zinc/95/65/49/1053956549.db2.gz CHAMLOAYBFALTE-DOTOQJQBSA-N 0 3 319.493 4.169 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1C[C@H](C)C[C@@H](C)C1 ZINC000621315829 1053957848 /nfs/dbraw/zinc/95/78/48/1053957848.db2.gz LNQPCNZHBPNXCU-OAGGEKHMSA-N 0 3 316.489 4.116 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](Oc3ccccc3C)C2)c(C)n1 ZINC001232083765 1053957939 /nfs/dbraw/zinc/95/79/39/1053957939.db2.gz LLJQJLYFAWGGOE-LJQANCHMSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1ccc(CN2CCC3(C=Cc4ccccc43)CC2)c(C)n1 ZINC001232081416 1053958242 /nfs/dbraw/zinc/95/82/42/1053958242.db2.gz XQJJRIBEUBAVHO-UHFFFAOYSA-N 0 3 304.437 4.259 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc(C)nc2C)c1 ZINC001232083530 1053959165 /nfs/dbraw/zinc/95/91/65/1053959165.db2.gz BEFZBRXIVYUKNX-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Fc1ccc(C2CCN(Cc3cc4ccncc4[nH]3)CC2)cc1 ZINC001232092333 1053960414 /nfs/dbraw/zinc/96/04/14/1053960414.db2.gz LNUNHHUEFPQXHC-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN C[C@@H]1C[C@@H](F)CN1Cc1ccc(-c2cnc(Cl)c(F)c2)cc1 ZINC001232135236 1053967627 /nfs/dbraw/zinc/96/76/27/1053967627.db2.gz QPRPEYSQFGRYFY-IAQYHMDHSA-N 0 3 322.786 4.473 20 0 DIADHN Fc1cc(-c2ccc(CN3CCSCC3)cc2)cnc1Cl ZINC001232135802 1053968370 /nfs/dbraw/zinc/96/83/70/1053968370.db2.gz AKBJWIXAIBPNKH-UHFFFAOYSA-N 0 3 322.836 4.090 20 0 DIADHN Fc1cc(CNC2(c3cccc(Cl)c3)CCCC2)ccn1 ZINC001329141572 1053969829 /nfs/dbraw/zinc/96/98/29/1053969829.db2.gz QLXMEVISUSZNNR-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN C[C@@H]1CCCCN1Cc1c(Br)[nH]c2ccccc21 ZINC001232139885 1053971189 /nfs/dbraw/zinc/97/11/89/1053971189.db2.gz MWMHNFPFYJIAPC-LLVKDONJSA-N 0 3 307.235 4.305 20 0 DIADHN CC(C)c1cccc(CN[C@H]2C[C@@H](C)N(c3ccccc3)C2=O)c1 ZINC001200784735 1053972930 /nfs/dbraw/zinc/97/29/30/1053972930.db2.gz RUHLFSYXMMGDTB-UZLBHIALSA-N 0 3 322.452 4.094 20 0 DIADHN Cc1nc(C)c(CNC/C=C/c2cc(C)c(C)cc2C)s1 ZINC001200791510 1053977250 /nfs/dbraw/zinc/97/72/50/1053977250.db2.gz LCSYTEZYANJPQZ-VOTSOKGWSA-N 0 3 300.471 4.488 20 0 DIADHN CN(Cc1cn(C)nc1C1CC1)Cc1cccc2ccccc21 ZINC001232171372 1053980739 /nfs/dbraw/zinc/98/07/39/1053980739.db2.gz QYAPQRGLPGKLPL-UHFFFAOYSA-N 0 3 305.425 4.083 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCN(Cc3ccns3)C2)cc1 ZINC001232192190 1053982227 /nfs/dbraw/zinc/98/22/27/1053982227.db2.gz WJJOATILDFSXFQ-GFCCVEGCSA-N 0 3 312.360 4.151 20 0 DIADHN Cc1cccc(CNCc2ccc(Br)c(F)c2)c1C ZINC000621565016 1053983399 /nfs/dbraw/zinc/98/33/99/1053983399.db2.gz XHJNUQFDABCFET-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN CC(C)(C)Oc1ccc([C@H]2CCN(Cc3ccns3)C2)cc1 ZINC001232195939 1053984781 /nfs/dbraw/zinc/98/47/81/1053984781.db2.gz SVUQWAPGXGURCN-HNNXBMFYSA-N 0 3 316.470 4.310 20 0 DIADHN C[C@H]1CN(Cc2ccnc3c(F)cccc23)Cc2ccccc21 ZINC001232205444 1053987190 /nfs/dbraw/zinc/98/71/90/1053987190.db2.gz ARNYACRTQTXPNZ-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN Fc1cccc2c(CN3CCCc4ccccc4C3)ccnc12 ZINC001232209767 1053989655 /nfs/dbraw/zinc/98/96/55/1053989655.db2.gz QTLBUKLFPFLWDX-UHFFFAOYSA-N 0 3 306.384 4.322 20 0 DIADHN CC(C)(C)c1cc(CN2CCC[C@H](c3ccccn3)C2)ccn1 ZINC001232216176 1053991416 /nfs/dbraw/zinc/99/14/16/1053991416.db2.gz QWGTUSWQZCMAJR-KRWDZBQOSA-N 0 3 309.457 4.154 20 0 DIADHN Fc1cccc2c(CN3C[C@@H]4C[C@H](C3)c3ccccc34)ccnc12 ZINC001232214000 1053992291 /nfs/dbraw/zinc/99/22/91/1053992291.db2.gz MIRFCBOEOVWVAS-IYBDPMFKSA-N 0 3 318.395 4.461 20 0 DIADHN Cc1cc(CNCc2ccc(O[C@@H](C)C#N)cc2)ccc1Cl ZINC000184335328 1053993142 /nfs/dbraw/zinc/99/31/42/1053993142.db2.gz OHCNLUDLAMNUNN-AWEZNQCLSA-N 0 3 314.816 4.229 20 0 DIADHN CC(C)(C)c1cc(CN2CCC3(CC[C@@H](F)C3)CC2)ccn1 ZINC001232218082 1053993579 /nfs/dbraw/zinc/99/35/79/1053993579.db2.gz UCFPVPWYYYDXFA-MRXNPFEDSA-N 0 3 304.453 4.483 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CCCc4cnn(C(C)C)c43)cc21 ZINC001329549765 1053995615 /nfs/dbraw/zinc/99/56/15/1053995615.db2.gz YPUQWPJCBKCLEV-LJQANCHMSA-N 0 3 322.456 4.421 20 0 DIADHN Cc1nccnc1CN1CCC[C@]1(C)Cc1ccccc1Cl ZINC000621732481 1054004009 /nfs/dbraw/zinc/00/40/09/1054004009.db2.gz BVLCRGCPSOLEAP-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CN(CCCc1ccccc1)Cc1ccnc(C(F)(F)F)c1 ZINC001232239735 1054004125 /nfs/dbraw/zinc/00/41/25/1054004125.db2.gz OOFQBCVHRIFCFU-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN C[C@H]1CCc2nc(CNCC(C)(C)C(C)(F)F)sc2C1 ZINC001329733542 1054006822 /nfs/dbraw/zinc/00/68/22/1054006822.db2.gz VMCQROUWPHSCIW-JTQLQIEISA-N 0 3 302.434 4.039 20 0 DIADHN [O-]c1ccc(C[NH+]2CCC(c3ccccc3)CC2)c2cccnc12 ZINC001232274919 1054009345 /nfs/dbraw/zinc/00/93/45/1054009345.db2.gz ULVQGMVRIVGKOU-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN CC(=O)N1CC[C@H](NC2(c3ccccc3)CCC2)c2ccccc21 ZINC000621757829 1054009607 /nfs/dbraw/zinc/00/96/07/1054009607.db2.gz PIRWVDFQIZYJEH-IBGZPJMESA-N 0 3 320.436 4.153 20 0 DIADHN CC(=O)N1CC[C@@H](NC2(c3ccccc3C)CC2)c2ccccc21 ZINC000621764412 1054011838 /nfs/dbraw/zinc/01/18/38/1054011838.db2.gz FYOUEDWYGPLETK-LJQANCHMSA-N 0 3 320.436 4.072 20 0 DIADHN Oc1ccc(CN2CCSc3ccccc3C2)c2cccnc12 ZINC001232282056 1054014904 /nfs/dbraw/zinc/01/49/04/1054014904.db2.gz ODZCAVPOJMNVDU-UHFFFAOYSA-N 0 3 322.433 4.048 20 0 DIADHN CCOc1ccc(CN2CCC(c3cccs3)CC2)c(C)n1 ZINC001232312853 1054019461 /nfs/dbraw/zinc/01/94/61/1054019461.db2.gz GIRJRQKUBLZXFL-UHFFFAOYSA-N 0 3 316.470 4.230 20 0 DIADHN Cc1cc(Br)ncc1CN1CCC[C@H]2CCCC[C@H]21 ZINC001232320444 1054019498 /nfs/dbraw/zinc/01/94/98/1054019498.db2.gz VUTUPVSHNANDBQ-UKRRQHHQSA-N 0 3 323.278 4.307 20 0 DIADHN Nc1ccc(CN2CCC(c3csc4ccccc43)CC2)cn1 ZINC001232369386 1054029793 /nfs/dbraw/zinc/02/97/93/1054029793.db2.gz CJVIHXGRYBVEFZ-UHFFFAOYSA-N 0 3 323.465 4.258 20 0 DIADHN C[C@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)[C@@H](C)C1 ZINC001232357666 1054029939 /nfs/dbraw/zinc/02/99/39/1054029939.db2.gz RFAUWKUAUMTWDG-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN CCN(CCOC)Cc1cc(C)ccc1OCc1ccccc1 ZINC001232377743 1054032605 /nfs/dbraw/zinc/03/26/05/1054032605.db2.gz XRSVTEHUGIUZCB-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(F)cc1CN[C@@H](C)CO ZINC001200844994 1054033494 /nfs/dbraw/zinc/03/34/94/1054033494.db2.gz OKELQLRPSZITLU-LBPRGKRZSA-N 0 3 323.795 4.050 20 0 DIADHN COc1ccc(OC(C)C)c(CN(C)CCc2ccccc2)c1 ZINC001232388128 1054033986 /nfs/dbraw/zinc/03/39/86/1054033986.db2.gz ZYNUNCWBVLZFFN-UHFFFAOYSA-N 0 3 313.441 4.157 20 0 DIADHN Cc1nc(CCN(C)Cc2ccccc2C(F)(F)F)cs1 ZINC000163505655 1054043065 /nfs/dbraw/zinc/04/30/65/1054043065.db2.gz COQAFRZYWAKSNV-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN c1ccc(CCCC2CCN(Cc3[nH]nc4c3CCC4)CC2)cc1 ZINC001232428741 1054043300 /nfs/dbraw/zinc/04/33/00/1054043300.db2.gz QZWSZBOZOBMQTG-UHFFFAOYSA-N 0 3 323.484 4.133 20 0 DIADHN CCCN(Cc1cc(OCc2ccccc2)no1)CC(C)C ZINC001232450282 1054045085 /nfs/dbraw/zinc/04/50/85/1054045085.db2.gz UQBOITQXFHQOKS-UHFFFAOYSA-N 0 3 302.418 4.122 20 0 DIADHN c1c(CN2CCC[C@H]3CCC[C@H]32)onc1OCc1ccccc1 ZINC001232451146 1054046212 /nfs/dbraw/zinc/04/62/12/1054046212.db2.gz DOXRUUBAIICOAR-SJLPKXTDSA-N 0 3 312.413 4.018 20 0 DIADHN CCCCN(CCC)Cc1cc(OCc2ccccc2)no1 ZINC001232448295 1054046251 /nfs/dbraw/zinc/04/62/51/1054046251.db2.gz VGFASXCTKMZRJR-UHFFFAOYSA-N 0 3 302.418 4.266 20 0 DIADHN Cc1cccc(F)c1CN1CCC[C@@H](c2nc(C(C)C)no2)C1 ZINC001232483481 1054057336 /nfs/dbraw/zinc/05/73/36/1054057336.db2.gz JSYIQTMOMKWHAT-CQSZACIVSA-N 0 3 317.408 4.020 20 0 DIADHN Cc1cccc(F)c1CN1CCC[C@H](Sc2ccncc2)C1 ZINC001232485557 1054058215 /nfs/dbraw/zinc/05/82/15/1054058215.db2.gz ZHIYUEGWKMCALI-INIZCTEOSA-N 0 3 316.445 4.286 20 0 DIADHN C[C@H](NCc1ccc(NC(=O)OC(C)(C)C)cc1)c1ccc[nH]1 ZINC001331059497 1054059327 /nfs/dbraw/zinc/05/93/27/1054059327.db2.gz SSXGKDWOMSLNGX-ZDUSSCGKSA-N 0 3 315.417 4.213 20 0 DIADHN Nc1ccc(F)cc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001232511399 1054060051 /nfs/dbraw/zinc/06/00/51/1054060051.db2.gz FGDCBHWPVBNSRZ-ZDUSSCGKSA-N 0 3 304.796 4.051 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1cc(F)ccc1N ZINC001232511660 1054060290 /nfs/dbraw/zinc/06/02/90/1054060290.db2.gz PYULIYJUOXXZSS-KRWDZBQOSA-N 0 3 316.445 4.467 20 0 DIADHN CC(C)(C)[C@@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000293125776 1054067654 /nfs/dbraw/zinc/06/76/54/1054067654.db2.gz YMPBDZAKUDQWQK-INIZCTEOSA-N 0 3 307.388 4.025 20 0 DIADHN CCC[C@H](NCc1nc2cc(Cl)ccc2c(=O)[nH]1)C1CCC1 ZINC000324927976 1054068686 /nfs/dbraw/zinc/06/86/86/1054068686.db2.gz UDVIGCYEPGAUIU-AWEZNQCLSA-N 0 3 319.836 4.047 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1cc2ccccc2o1 ZINC000165026957 1054068966 /nfs/dbraw/zinc/06/89/66/1054068966.db2.gz OHQDYVLPFLHWIJ-AWEZNQCLSA-N 0 3 308.381 4.112 20 0 DIADHN CC[C@H]1CCCCN1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232543487 1054070902 /nfs/dbraw/zinc/07/09/02/1054070902.db2.gz NFWJDXNVIAPYCD-HNNXBMFYSA-N 0 3 319.449 4.193 20 0 DIADHN COc1cccc([C@@H]2CCCN(Cc3cc4ccoc4cn3)C2)c1 ZINC001232557170 1054074447 /nfs/dbraw/zinc/07/44/47/1054074447.db2.gz QGCVOPCWIZSBTC-QGZVFWFLSA-N 0 3 322.408 4.216 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2cc(OCc3ccccc3)ccn2)C1 ZINC001232588464 1054075245 /nfs/dbraw/zinc/07/52/45/1054075245.db2.gz TUDGRCPKAFMGSM-CALCHBBNSA-N 0 3 310.441 4.139 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H](C)c2cnn(CC)c2)cc1 ZINC000165396304 1054075673 /nfs/dbraw/zinc/07/56/73/1054075673.db2.gz PRLIHRDNGYUSHM-UONOGXRCSA-N 0 3 303.475 4.427 20 0 DIADHN c1ccc(COc2ccnc(CN3CCCC4(CCC4)C3)c2)cc1 ZINC001232590597 1054077663 /nfs/dbraw/zinc/07/76/63/1054077663.db2.gz ZXZPDFVKVGGCDJ-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN C[C@@H](NC[C@H]1CCCCC1(F)F)c1nc2ccccc2n1C ZINC000295706239 1054082873 /nfs/dbraw/zinc/08/28/73/1054082873.db2.gz JTLMKNJQNIADQS-CHWSQXEVSA-N 0 3 307.388 4.049 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cc3c(s2)CCC3)c1OC ZINC000165962836 1054083789 /nfs/dbraw/zinc/08/37/89/1054083789.db2.gz OVWNXHFOCDIBPA-LBPRGKRZSA-N 0 3 317.454 4.105 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(F)c2ccccc12 ZINC000326174347 1054085323 /nfs/dbraw/zinc/08/53/23/1054085323.db2.gz HYKLVXCUTFPBDT-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN CC(C)c1nc(CNC[C@]2(C)CCOC3(CCC3)C2)cs1 ZINC001331703276 1054087247 /nfs/dbraw/zinc/08/72/47/1054087247.db2.gz PZMNLQJRTCCTGO-MRXNPFEDSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000296620746 1054089696 /nfs/dbraw/zinc/08/96/96/1054089696.db2.gz BBRMEFYMQJJELD-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1nc(-c2ccccc2)c[nH]1 ZINC001331795335 1054090870 /nfs/dbraw/zinc/09/08/70/1054090870.db2.gz QMIGDAHHXJOJBH-UHFFFAOYSA-N 0 3 307.388 4.238 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1cccc2ccccc21 ZINC000166557455 1054093195 /nfs/dbraw/zinc/09/31/95/1054093195.db2.gz FLFDHLBCCACOCU-PUCZYUMASA-N 0 3 303.405 4.149 20 0 DIADHN Brc1ccc(CN2CCC[C@@H]2C2CCCCC2)[nH]1 ZINC001232694423 1054102443 /nfs/dbraw/zinc/10/24/43/1054102443.db2.gz OLNQULYZTRJPAS-CQSZACIVSA-N 0 3 311.267 4.322 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1ccc(C(=O)OC)c(F)c1 ZINC001232705917 1054104884 /nfs/dbraw/zinc/10/48/84/1054104884.db2.gz WJGOWOICMCALKZ-GOSISDBHSA-N 0 3 315.388 4.195 20 0 DIADHN COCCC1CCN(Cc2cc3ccc(Cl)cc3[nH]2)CC1 ZINC001232730244 1054107186 /nfs/dbraw/zinc/10/71/86/1054107186.db2.gz SAFXVANVMUWFQL-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN Clc1ccc2cc(CN3CCC4(CCCOC4)CC3)[nH]c2c1 ZINC001232733035 1054110433 /nfs/dbraw/zinc/11/04/33/1054110433.db2.gz DOKQNRSNYMQRMC-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN c1nc2cccc(CN3CCOC[C@@H]3C3CCCCC3)c2s1 ZINC001232738149 1054113780 /nfs/dbraw/zinc/11/37/80/1054113780.db2.gz UNZCBHNCICZWLN-QGZVFWFLSA-N 0 3 316.470 4.077 20 0 DIADHN Cc1c(CN2CCC[C@@H]2Cc2ccc(F)cc2)ccnc1Cl ZINC001232754326 1054115813 /nfs/dbraw/zinc/11/58/13/1054115813.db2.gz GKNNRQTWTJAKGS-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN OCCc1ccc(CN2CCCC[C@@H]2c2ccccc2F)cc1 ZINC001232778614 1054118462 /nfs/dbraw/zinc/11/84/62/1054118462.db2.gz VNTLYYHDSSIAKZ-HXUWFJFHSA-N 0 3 313.416 4.088 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@@H]3CCC[C@H]3C2)c(Cl)n1 ZINC001232790897 1054122931 /nfs/dbraw/zinc/12/29/31/1054122931.db2.gz HTPXICLFWVHPNQ-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN Clc1cc2[nH]cc(CN3CCC[C@H]4CCCC[C@@H]43)c2cn1 ZINC001232822334 1054125078 /nfs/dbraw/zinc/12/50/78/1054125078.db2.gz OIIKRKVTBLABIP-WBMJQRKESA-N 0 3 303.837 4.371 20 0 DIADHN COc1cc(O)cc(CN2CC[C@@H](c3ccccc3Cl)C2)c1 ZINC001232805191 1054125949 /nfs/dbraw/zinc/12/59/49/1054125949.db2.gz XNTHJBOMPMHALU-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](N[C@H]1CCCc3nc(C)ncc31)CC2 ZINC000848528081 1054126741 /nfs/dbraw/zinc/12/67/41/1054126741.db2.gz JXZPAPIQAOXUHT-RBUKOAKNSA-N 0 3 307.441 4.056 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1c[nH]c2cc(Cl)ncc12 ZINC001232823857 1054127435 /nfs/dbraw/zinc/12/74/35/1054127435.db2.gz JWXXJRYSLMXPPI-LBPRGKRZSA-N 0 3 311.816 4.163 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]c3cc(Cl)ncc13)CC2 ZINC001232824206 1054128005 /nfs/dbraw/zinc/12/80/05/1054128005.db2.gz RFISWCVOOBRMOX-CYBMUJFWSA-N 0 3 321.827 4.321 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]c3cc(Cl)ncc13)CC2 ZINC001232824208 1054128583 /nfs/dbraw/zinc/12/85/83/1054128583.db2.gz RFISWCVOOBRMOX-ZDUSSCGKSA-N 0 3 321.827 4.321 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000848533202 1054128832 /nfs/dbraw/zinc/12/88/32/1054128832.db2.gz OYYAEGRVCGJQSF-CQSZACIVSA-N 0 3 309.816 4.090 20 0 DIADHN Cc1ccc([C@@H](NC2CC3(CC3(F)F)C2)c2ccccn2)cc1 ZINC001332899684 1054132021 /nfs/dbraw/zinc/13/20/21/1054132021.db2.gz WDEFYPBXQNHZRG-IIIMJFFVSA-N 0 3 314.379 4.257 20 0 DIADHN CN(Cc1c[nH]c2ccc(O)cc12)Cc1cccc(Cl)c1 ZINC001232866129 1054133173 /nfs/dbraw/zinc/13/31/73/1054133173.db2.gz JKMSGJUSNZROGC-UHFFFAOYSA-N 0 3 300.789 4.159 20 0 DIADHN Oc1ccc2[nH]cc(CN3CCC[C@@H]3c3ccc(F)cc3)c2c1 ZINC001232861702 1054133348 /nfs/dbraw/zinc/13/33/48/1054133348.db2.gz BKRHSJNHCNVCGU-LJQANCHMSA-N 0 3 310.372 4.350 20 0 DIADHN Cn1c(Cl)ncc1CNC1(c2cccc(Cl)c2)CCCC1 ZINC000848540324 1054134298 /nfs/dbraw/zinc/13/42/98/1054134298.db2.gz SEWXUKUVRFGYMK-UHFFFAOYSA-N 0 3 324.255 4.286 20 0 DIADHN c1ccc(-c2ncc(CN3CC[C@@H]4CCCC[C@@H]4C3)cn2)cc1 ZINC001333038359 1054135924 /nfs/dbraw/zinc/13/59/24/1054135924.db2.gz PQIOCRHZOBTOLK-PKOBYXMFSA-N 0 3 307.441 4.156 20 0 DIADHN c1ccc(-c2ncc(CN3CC[C@@H]4CCCC[C@H]4C3)cn2)cc1 ZINC001333038349 1054136172 /nfs/dbraw/zinc/13/61/72/1054136172.db2.gz PQIOCRHZOBTOLK-HKUYNNGSSA-N 0 3 307.441 4.156 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1c[nH]c2ccc(O)cc12 ZINC001232872375 1054136077 /nfs/dbraw/zinc/13/60/77/1054136077.db2.gz WXSCIVROWKGDRF-ZDUSSCGKSA-N 0 3 310.397 4.075 20 0 DIADHN Cc1ccc(-c2ncc(CNCCOC3CCC3)s2)cc1C ZINC000848541905 1054136859 /nfs/dbraw/zinc/13/68/59/1054136859.db2.gz ZHACXCNCHBQZLH-UHFFFAOYSA-N 0 3 316.470 4.086 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1NCc1cnc(Cl)n1C ZINC000848547593 1054142372 /nfs/dbraw/zinc/14/23/72/1054142372.db2.gz SAPAKAPZUAYHND-RDJZCZTQSA-N 0 3 317.864 4.123 20 0 DIADHN c1ccc(-c2cncc(CN3CCC(OC4CCC4)CC3)c2)cc1 ZINC001232899717 1054145143 /nfs/dbraw/zinc/14/51/43/1054145143.db2.gz SSYNVVVKNDDBBK-UHFFFAOYSA-N 0 3 322.452 4.282 20 0 DIADHN CCCOC1CCN(Cc2cncc(-c3ccccc3)c2)CC1 ZINC001232901881 1054147617 /nfs/dbraw/zinc/14/76/17/1054147617.db2.gz UHHAHSLHFRWTIF-UHFFFAOYSA-N 0 3 310.441 4.140 20 0 DIADHN C[C@@H]1C(=O)CCN(Cc2ccccc2-n2cccc2)C12CCCC2 ZINC001232913934 1054150651 /nfs/dbraw/zinc/15/06/51/1054150651.db2.gz ZKEHIGYRFRYJKB-QGZVFWFLSA-N 0 3 322.452 4.201 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(Cc2ccc3ncoc3c2)C1 ZINC001232941875 1054152994 /nfs/dbraw/zinc/15/29/94/1054152994.db2.gz PSENLFVKMIMJBQ-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc2ncoc2c1 ZINC001232942992 1054154285 /nfs/dbraw/zinc/15/42/85/1054154285.db2.gz RDNBYUWMSHLTLD-KRWDZBQOSA-N 0 3 308.381 4.174 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1cnc(OC)c2ccccc12 ZINC001232951369 1054155910 /nfs/dbraw/zinc/15/59/10/1054155910.db2.gz INENJIJUVHBEES-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1cccc(SC(F)(F)F)c1 ZINC001232946656 1054156402 /nfs/dbraw/zinc/15/64/02/1054156402.db2.gz OKRRCFQMTFRKHF-GVXVVHGQSA-N 0 3 305.340 4.231 20 0 DIADHN Fc1cc(CNCc2cccn2C2CC2)ccc1Br ZINC001333558662 1054160384 /nfs/dbraw/zinc/16/03/84/1054160384.db2.gz ZFMNWJIUKTWVFE-UHFFFAOYSA-N 0 3 323.209 4.014 20 0 DIADHN C[C@H](NCCSc1cccc(Cl)c1)c1cncc(F)c1 ZINC000660240498 1054161427 /nfs/dbraw/zinc/16/14/27/1054161427.db2.gz ACZOSFGWKDQGEF-NSHDSACASA-N 0 3 310.825 4.317 20 0 DIADHN COCn1ncc(C)c1CN[C@H](CC(C)(C)C)c1ccccc1 ZINC001333611123 1054162857 /nfs/dbraw/zinc/16/28/57/1054162857.db2.gz FRVKCGGJJFARTQ-QGZVFWFLSA-N 0 3 315.461 4.063 20 0 DIADHN Cc1ccnc(OC2CCN(Cc3ccc(C4CC4)cc3)CC2)c1 ZINC001232985913 1054164968 /nfs/dbraw/zinc/16/49/68/1054164968.db2.gz BYYNSRJUEUYUHK-UHFFFAOYSA-N 0 3 322.452 4.311 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C3CC3)cc2)cc1OC ZINC001232982523 1054165696 /nfs/dbraw/zinc/16/56/96/1054165696.db2.gz DIPDHMZKSVEXOX-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN Cc1ncc(F)cc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001232990563 1054166090 /nfs/dbraw/zinc/16/60/90/1054166090.db2.gz GJFORRILQKQWKN-LBPRGKRZSA-N 0 3 318.823 4.344 20 0 DIADHN Cc1cc(F)ncc1CN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC001333694198 1054166283 /nfs/dbraw/zinc/16/62/83/1054166283.db2.gz UZZYTGAQHYGXEP-ADLMAVQZSA-N 0 3 302.368 4.081 20 0 DIADHN COc1cc(Cl)cc(F)c1CN1CCC2(CC(F)C2)CC1 ZINC001233000498 1054168474 /nfs/dbraw/zinc/16/84/74/1054168474.db2.gz RNGVRQPDLAATPK-UHFFFAOYSA-N 0 3 315.791 4.202 20 0 DIADHN CCn1cc(CN[C@H](c2cccc(F)c2)C(C)(C)C)c(C)n1 ZINC001333707329 1054169049 /nfs/dbraw/zinc/16/90/49/1054169049.db2.gz OLZKLFICOQJMHL-QGZVFWFLSA-N 0 3 303.425 4.228 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cnc(C)cc2C)c1 ZINC001233007569 1054170215 /nfs/dbraw/zinc/17/02/15/1054170215.db2.gz PIVQSWKWKBTOEG-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CCc4ccccc43)CC2)cn1 ZINC001233005384 1054170597 /nfs/dbraw/zinc/17/05/97/1054170597.db2.gz NKHAEOXZYKJNMU-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@H](Oc3ccccc3C)C2)cn1 ZINC001233007641 1054171272 /nfs/dbraw/zinc/17/12/72/1054171272.db2.gz QOHOODFWEKJMRD-LJQANCHMSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1cc(C)c(CN2CCC(Cc3ccccc3F)CC2)cn1 ZINC001233008137 1054171555 /nfs/dbraw/zinc/17/15/55/1054171555.db2.gz BURCGUZHEFVREN-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN COc1ccccc1C1CCN(Cc2cnc(C)cc2C)CC1 ZINC001233009893 1054171990 /nfs/dbraw/zinc/17/19/90/1054171990.db2.gz NNJXYBDHYKKKPF-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cc(Cl)ncc1[O-] ZINC001233037656 1054174513 /nfs/dbraw/zinc/17/45/13/1054174513.db2.gz GCJRBELVYOSVHR-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN C[N@H+](Cc1ccc2ccccc2c1)Cc1cc(Cl)ncc1[O-] ZINC001233037656 1054174519 /nfs/dbraw/zinc/17/45/19/1054174519.db2.gz GCJRBELVYOSVHR-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN C[N@@H+](Cc1ccc2ccccc2c1)Cc1cc(Cl)ncc1[O-] ZINC001233037656 1054174524 /nfs/dbraw/zinc/17/45/24/1054174524.db2.gz GCJRBELVYOSVHR-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN Clc1sccc1CN1CCCN(Cc2ccccc2)CC1 ZINC001233055809 1054178427 /nfs/dbraw/zinc/17/84/27/1054178427.db2.gz AROXGGCZTVHRMC-UHFFFAOYSA-N 0 3 320.889 4.109 20 0 DIADHN Oc1cccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1F ZINC001233058498 1054179275 /nfs/dbraw/zinc/17/92/75/1054179275.db2.gz YYAWCQNJIREABF-CYBMUJFWSA-N 0 3 305.780 4.174 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H](C)C1)c1ncc(-c2ccccc2)o1 ZINC001334064633 1054179814 /nfs/dbraw/zinc/17/98/14/1054179814.db2.gz VUDNPGBHFZRJIE-QXSJWSMHSA-N 0 3 314.429 4.196 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC001334222946 1054183892 /nfs/dbraw/zinc/18/38/92/1054183892.db2.gz BFMDCVNKOOBBHF-ZRUFSTJUSA-N 0 3 322.396 4.016 20 0 DIADHN Fc1ccc2c(c1)n[nH]c2CN(Cc1ccccc1)C1CCC1 ZINC001233129053 1054187780 /nfs/dbraw/zinc/18/77/80/1054187780.db2.gz UIIIOZXDVGWDMB-UHFFFAOYSA-N 0 3 309.388 4.257 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2[nH]nc3cc(F)ccc32)c1 ZINC001233119033 1054188397 /nfs/dbraw/zinc/18/83/97/1054188397.db2.gz HFYBJGOZDCIYFA-IBGZPJMESA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2c(c1)n[nH]c2CN1CCC(c2ccccc2)CC1 ZINC001233121025 1054189396 /nfs/dbraw/zinc/18/93/96/1054189396.db2.gz DGPQOAGXVYMXPF-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Fc1ccc2c(c1)n[nH]c2CN1CCC(Cc2ccccc2)CC1 ZINC001233122377 1054189444 /nfs/dbraw/zinc/18/94/44/1054189444.db2.gz WNTZYQUQVAHUPV-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN Cc1cc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)cnc1C ZINC001233162309 1054192600 /nfs/dbraw/zinc/19/26/00/1054192600.db2.gz ADCALYXEIILWCF-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1c[nH]c(=O)c(CN2CCC[C@H]2c2ccccc2Cl)c1 ZINC001233192927 1054199046 /nfs/dbraw/zinc/19/90/46/1054199046.db2.gz OZVLVZPQCDRWPW-INIZCTEOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1c[nH]c(=O)c(CN2CCC[C@H]2c2ccc(Cl)cc2)c1 ZINC001233192938 1054199442 /nfs/dbraw/zinc/19/94/42/1054199442.db2.gz PJBGLUSWIFDERU-INIZCTEOSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1cc([C@H](NCCCC(F)F)c2cccnc2)ccc1F ZINC001334814410 1054201069 /nfs/dbraw/zinc/20/10/69/1054201069.db2.gz VDOJXJLFTJUIRK-KRWDZBQOSA-N 0 3 308.347 4.253 20 0 DIADHN C[C@@H]1COCCN(Cc2cccc(Oc3ccc(F)cc3)c2)C1 ZINC001233207068 1054201314 /nfs/dbraw/zinc/20/13/14/1054201314.db2.gz GIESGFPLLDYTRW-HNNXBMFYSA-N 0 3 315.388 4.086 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1c(C)cc(CO)cc1C ZINC001334814576 1054201433 /nfs/dbraw/zinc/20/14/33/1054201433.db2.gz WNPKYYPIEQRCPG-HNNXBMFYSA-N 0 3 315.482 4.368 20 0 DIADHN COC[C@H]1CCN1Cc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001233220764 1054205794 /nfs/dbraw/zinc/20/57/94/1054205794.db2.gz XUJCCUDEHBCXCP-GOSISDBHSA-N 0 3 301.817 4.228 20 0 DIADHN FC(F)(F)Cc1ncc(CNC/C=C\c2ccccc2)s1 ZINC001334955558 1054206894 /nfs/dbraw/zinc/20/68/94/1054206894.db2.gz DLMDPFJPITXEHB-DAXSKMNVSA-N 0 3 312.360 4.051 20 0 DIADHN C[C@@H](NC1CC2(C1)CO[C@@H](C)C2)c1nc(C(C)(C)C)cs1 ZINC001335209780 1054210301 /nfs/dbraw/zinc/21/03/01/1054210301.db2.gz DPZRAGNXFFOONQ-IWZJZLAVSA-N 0 3 308.491 4.049 20 0 DIADHN CCCC1CCN(Cc2ccc(O)c(OCC3CC3)c2)CC1 ZINC001233227151 1054210639 /nfs/dbraw/zinc/21/06/39/1054210639.db2.gz LGVRRJCVUQNUFB-UHFFFAOYSA-N 0 3 303.446 4.193 20 0 DIADHN Cc1ccc(CN2CCC(Sc3ccccc3)CC2)cc1O ZINC001233234411 1054214421 /nfs/dbraw/zinc/21/44/21/1054214421.db2.gz AYIRDBFAJAKCAF-UHFFFAOYSA-N 0 3 313.466 4.457 20 0 DIADHN COCOc1cccc(CN2CCC[C@H]2c2ccc(F)cc2)c1 ZINC001233279275 1054222083 /nfs/dbraw/zinc/22/20/83/1054222083.db2.gz XVDWYTZSWVRUND-IBGZPJMESA-N 0 3 315.388 4.146 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cc3cccnc3s1)CC2 ZINC001233346135 1054241155 /nfs/dbraw/zinc/24/11/55/1054241155.db2.gz SBJWXGMYIKFUGW-UHFFFAOYSA-N 0 3 308.397 4.308 20 0 DIADHN CC(C)c1ccc([C@@H]2CCCCN2C(=O)CN(C)C(C)C)cc1 ZINC000622471775 1054242006 /nfs/dbraw/zinc/24/20/06/1054242006.db2.gz JJPRGRFPCLFLRD-IBGZPJMESA-N 0 3 316.489 4.204 20 0 DIADHN CC(C)c1ccc([C@H]2CCCCN2C(=O)CN(C)C(C)C)cc1 ZINC000622471776 1054242075 /nfs/dbraw/zinc/24/20/75/1054242075.db2.gz JJPRGRFPCLFLRD-LJQANCHMSA-N 0 3 316.489 4.204 20 0 DIADHN CCN(Cc1cc2cccnc2s1)Cc1ccc(F)cc1 ZINC001233349006 1054243369 /nfs/dbraw/zinc/24/33/69/1054243369.db2.gz ZNPWFTOMKZDYTJ-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN c1ccc(-c2nnc(CNC[C@@H]3CCc4ccccc43)s2)cc1 ZINC001336748636 1054245232 /nfs/dbraw/zinc/24/52/32/1054245232.db2.gz VPJKBNSXUFJJLJ-INIZCTEOSA-N 0 3 321.449 4.025 20 0 DIADHN CC[C@H](NC[C@H](O)c1cccc2ccccc21)c1ccccn1 ZINC001336839509 1054249260 /nfs/dbraw/zinc/24/92/60/1054249260.db2.gz MGYKNOQFVUDVCO-ICSRJNTNSA-N 0 3 306.409 4.009 20 0 DIADHN Nc1ccc(CN(Cc2ccccc2)C2CCC2)c(Cl)c1 ZINC001233377899 1054251183 /nfs/dbraw/zinc/25/11/83/1054251183.db2.gz XFLSRKJOORSBGZ-UHFFFAOYSA-N 0 3 300.833 4.477 20 0 DIADHN COc1ccc(C[C@@H](C)N(C)Cc2cnc(C(C)C)s2)cc1 ZINC001337026966 1054254599 /nfs/dbraw/zinc/25/45/99/1054254599.db2.gz ZMQRTXHFCQIGPS-CQSZACIVSA-N 0 3 318.486 4.338 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3)C2)c(Cl)c1O ZINC001233397660 1054259575 /nfs/dbraw/zinc/25/95/75/1054259575.db2.gz IEGCSKZBMAKNIT-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN Fc1cc(F)cc(-c2n[nH]cc2CN2CCC[C@@H]3CCC[C@@H]32)c1 ZINC001233405982 1054262699 /nfs/dbraw/zinc/26/26/99/1054262699.db2.gz VPHOJDHSCNAJKO-SJCJKPOMSA-N 0 3 317.383 4.119 20 0 DIADHN CC[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001439323211 1054263093 /nfs/dbraw/zinc/26/30/93/1054263093.db2.gz NZTSQSIRGSXUJC-UXHICEINSA-N 0 3 324.468 4.280 20 0 DIADHN Fc1cc(F)cc(-c2n[nH]cc2CN2CCC[C@H]3CCC[C@H]32)c1 ZINC001233405983 1054263968 /nfs/dbraw/zinc/26/39/68/1054263968.db2.gz VPHOJDHSCNAJKO-SJKOYZFVSA-N 0 3 317.383 4.119 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC3(C2)CCCCC3)c1 ZINC001233433744 1054269245 /nfs/dbraw/zinc/26/92/45/1054269245.db2.gz NYICBVRQZYOHRC-UHFFFAOYSA-N 0 3 318.461 4.428 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2ccc3nc(Cl)ccc3c2)C1 ZINC001233445051 1054277448 /nfs/dbraw/zinc/27/74/48/1054277448.db2.gz NZDYRPXEHMIBKO-LBPRGKRZSA-N 0 3 314.738 4.272 20 0 DIADHN CCC(CC)N(Cc1ccn(-c2cccc(F)c2)n1)C1CC1 ZINC000622586239 1054294219 /nfs/dbraw/zinc/29/42/19/1054294219.db2.gz MQNZPFYZYGTORL-UHFFFAOYSA-N 0 3 301.409 4.164 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC000622586258 1054294467 /nfs/dbraw/zinc/29/44/67/1054294467.db2.gz OOMMLYBRHATAIX-WMLDXEAASA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@H](N[C@H](CCOC)COC)c1ccc(Cl)cc1Cl ZINC000336834711 1054318465 /nfs/dbraw/zinc/31/84/65/1054318465.db2.gz RWPKITNHTUTNED-DOMZBBRYSA-N 0 3 320.260 4.086 20 0 DIADHN CC[C@@H](N[C@H](CCOC)COC)c1ccc(Cl)cc1Cl ZINC000336834712 1054318537 /nfs/dbraw/zinc/31/85/37/1054318537.db2.gz RWPKITNHTUTNED-IUODEOHRSA-N 0 3 320.260 4.086 20 0 DIADHN CC(C)CCCCC(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001356331227 1054319610 /nfs/dbraw/zinc/31/96/10/1054319610.db2.gz RLCDQLWMMWVHEF-MJGOQNOKSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)CCCCC(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001356331224 1054320522 /nfs/dbraw/zinc/32/05/22/1054320522.db2.gz RLCDQLWMMWVHEF-IEBWSBKVSA-N 0 3 316.489 4.155 20 0 DIADHN Clc1cc(O[C@@H]2CN3CCC2CC3)cc(Cl)c1Cl ZINC001233671602 1054327446 /nfs/dbraw/zinc/32/74/46/1054327446.db2.gz VEHVPGZQAOYKMZ-GFCCVEGCSA-N 0 3 306.620 4.120 20 0 DIADHN Cc1cc(CN2CC[C@H](Oc3cc(F)ccc3F)C2)sc1C ZINC001233684343 1054333076 /nfs/dbraw/zinc/33/30/76/1054333076.db2.gz IHQYKNADTGKKSH-AWEZNQCLSA-N 0 3 323.408 4.296 20 0 DIADHN Cc1cc(CN2CCC(Oc3cc(C)ccn3)CC2)sc1C ZINC001233699804 1054337386 /nfs/dbraw/zinc/33/73/86/1054337386.db2.gz FBHFBOPBETZARF-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CC2CCCCCC2)c(F)c1 ZINC000622704068 1054338938 /nfs/dbraw/zinc/33/89/38/1054338938.db2.gz MZDPDGCGWSHXMU-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN CCCCCc1ccc(NC(=O)CN2[C@H](C)CC[C@H]2C)cc1 ZINC001440431076 1054351934 /nfs/dbraw/zinc/35/19/34/1054351934.db2.gz AWWQQMGPVKVERV-HZPDHXFCSA-N 0 3 302.462 4.231 20 0 DIADHN CC(C)[C@@H](C(=O)Nc1ccc(C(C)(C)C)cc1)N1CCCCC1 ZINC001440482470 1054353467 /nfs/dbraw/zinc/35/34/67/1054353467.db2.gz WTNJAQVZSSCSAA-SFHVURJKSA-N 0 3 316.489 4.433 20 0 DIADHN C[C@@H](C(=O)Nc1cc(Cl)cc(Cl)c1)N1[C@H](C)CC[C@@H]1C ZINC001440753628 1054367110 /nfs/dbraw/zinc/36/71/10/1054367110.db2.gz IAFXPILVNOCNNQ-AXFHLTTASA-N 0 3 315.244 4.193 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@H]1CNCc1ncc(CN(C)C)s1 ZINC001340977205 1054371381 /nfs/dbraw/zinc/37/13/81/1054371381.db2.gz QFWLJMLFPMYGTN-HLLBOEOZSA-N 0 3 323.550 4.003 20 0 DIADHN Cc1c(F)ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1C ZINC001233867521 1054376379 /nfs/dbraw/zinc/37/63/79/1054376379.db2.gz FVEZUTMVPMYHOE-JKSUJKDBSA-N 0 3 314.404 4.052 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N[C@H](C)c2ccccc2)c(Cl)c1 ZINC000171673025 1054379826 /nfs/dbraw/zinc/37/98/26/1054379826.db2.gz GNXBLURPQYOWOM-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN CCC(CC)[C@@H](NC(=O)CN(C)CC(C)(C)C)c1cccs1 ZINC001442075407 1054407832 /nfs/dbraw/zinc/40/78/32/1054407832.db2.gz AUYCNHIRAKHQGL-QGZVFWFLSA-N 0 3 324.534 4.320 20 0 DIADHN CCc1ccc(CN(C)Cc2c[nH]c(=O)c3ccccc23)cc1 ZINC001234008441 1054412833 /nfs/dbraw/zinc/41/28/33/1054412833.db2.gz WYDMAYCIGQILJW-UHFFFAOYSA-N 0 3 306.409 4.135 20 0 DIADHN COc1cc(-c2nc(CN[C@H](C)C(C)(C)C)cs2)ccc1O ZINC000172262983 1054421347 /nfs/dbraw/zinc/42/13/47/1054421347.db2.gz YBWVYMGEIGWNDV-LLVKDONJSA-N 0 3 320.458 4.049 20 0 DIADHN CC1(C)CN(Cc2ccc(OCC(F)(F)F)cc2)C1(C)C ZINC001473206142 1054421697 /nfs/dbraw/zinc/42/16/97/1054421697.db2.gz PMEYRFYFTTUAKW-UHFFFAOYSA-N 0 3 301.352 4.248 20 0 DIADHN CCCCCCN(C)CC(=O)NC[C@@H]1C[C@H](C)CC[C@H]1C(C)C ZINC001442621383 1054428075 /nfs/dbraw/zinc/42/80/75/1054428075.db2.gz RKPMDXUBRWJTTO-QYZOEREBSA-N 0 3 324.553 4.323 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N1CCCCC[C@@H]1c1ccccc1 ZINC001357261570 1054445575 /nfs/dbraw/zinc/44/55/75/1054445575.db2.gz LUUNMVFLRRDCRS-MOPGFXCFSA-N 0 3 314.473 4.003 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1CCCCC[C@H]1c1ccccc1 ZINC001357261578 1054445879 /nfs/dbraw/zinc/44/58/79/1054445879.db2.gz LUUNMVFLRRDCRS-RBUKOAKNSA-N 0 3 314.473 4.003 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC2(CCOCC2)CC1 ZINC000671252576 1054463359 /nfs/dbraw/zinc/46/33/59/1054463359.db2.gz WMLSQEDVIUYTFM-QGZVFWFLSA-N 0 3 309.400 4.309 20 0 DIADHN Clc1ccc(Cl)c(CCN2CC[C@]3(C2)CCCOC3)c1 ZINC000671254670 1054464128 /nfs/dbraw/zinc/46/41/28/1054464128.db2.gz LYDIVJZUQWGAKX-INIZCTEOSA-N 0 3 314.256 4.038 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CC[C@]2(C1)CCCOC2 ZINC000671253991 1054464156 /nfs/dbraw/zinc/46/41/56/1054464156.db2.gz PQWVMYISZPUUQJ-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN Cc1ccncc1CN1CCC(Nc2ccccc2Cl)CC1 ZINC001344360136 1054465099 /nfs/dbraw/zinc/46/50/99/1054465099.db2.gz MUOXURURHWPXTM-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)c1ccccc1F ZINC001234238542 1054467378 /nfs/dbraw/zinc/46/73/78/1054467378.db2.gz MBYKGPAEDOAMHP-GFCCVEGCSA-N 0 3 321.367 4.175 20 0 DIADHN CC(C)c1noc(CCN[C@H](c2cc(F)ccc2F)C(C)C)n1 ZINC001344419806 1054468787 /nfs/dbraw/zinc/46/87/87/1054468787.db2.gz XOPKJHQQLMCUKU-INIZCTEOSA-N 0 3 323.387 4.001 20 0 DIADHN CC/C=C(\C)[C@H](CC)Oc1c(F)cc(CN(C)C)cc1OC ZINC001234240464 1054469638 /nfs/dbraw/zinc/46/96/38/1054469638.db2.gz HBGVXOPCASQLKI-WQMJKPAKSA-N 0 3 309.425 4.410 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CCC[C@H](C)[C@H]1C ZINC001234240054 1054469678 /nfs/dbraw/zinc/46/96/78/1054469678.db2.gz AEMSVCAZVUVSRU-WOSRLPQWSA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](CC(C)C)C(C)C ZINC001234242432 1054471235 /nfs/dbraw/zinc/47/12/35/1054471235.db2.gz BJYXWAPGNOAPJG-INIZCTEOSA-N 0 3 311.441 4.345 20 0 DIADHN CCC[C@H](Oc1c(F)cc(CN(C)C)cc1OC)C(C)(C)C ZINC001234243798 1054471441 /nfs/dbraw/zinc/47/14/41/1054471441.db2.gz KPFYHPPFZDUTOS-INIZCTEOSA-N 0 3 311.441 4.490 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)NCCN(C)Cc1ccccc1 ZINC001357459194 1054481718 /nfs/dbraw/zinc/48/17/18/1054481718.db2.gz BXBBTSYWGQJXTO-GOSISDBHSA-N 0 3 316.489 4.007 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H]2CCC2CCCC2)cs1 ZINC001473279776 1054491393 /nfs/dbraw/zinc/49/13/93/1054491393.db2.gz FHVNLZRSDICOAN-INIZCTEOSA-N 0 3 321.486 4.470 20 0 DIADHN Cc1cnc([C@H](N[C@H]2C[C@@H]2c2cccc(F)c2)C2CC2)s1 ZINC001345270160 1054491925 /nfs/dbraw/zinc/49/19/25/1054491925.db2.gz USPWHSDTPJAIQN-OWCLPIDISA-N 0 3 302.418 4.187 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3ccccc3)C2)c(F)c1Cl ZINC001234310648 1054494901 /nfs/dbraw/zinc/49/49/01/1054494901.db2.gz JTZOEGIIBCPMRV-CYBMUJFWSA-N 0 3 323.770 4.272 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1nccn1Cc1ccccc1 ZINC000173888485 1054496350 /nfs/dbraw/zinc/49/63/50/1054496350.db2.gz CKCLIZKHSJDZAF-QRQLOZEOSA-N 0 3 309.457 4.084 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@@H](C)c2cccc(C)c2)cc1 ZINC000173908471 1054497831 /nfs/dbraw/zinc/49/78/31/1054497831.db2.gz WQWYTKMUMUMIGX-KRWDZBQOSA-N 0 3 324.468 4.328 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2C[C@H](C)C(F)(F)F)cc1 ZINC000441169601 1054504752 /nfs/dbraw/zinc/50/47/52/1054504752.db2.gz KHLFFCRUDGWALU-WFASDCNBSA-N 0 3 301.352 4.421 20 0 DIADHN CCc1nocc1CN1CCC[C@@H](CCCc2ccccc2)C1 ZINC001473291507 1054504993 /nfs/dbraw/zinc/50/49/93/1054504993.db2.gz NGYMXIOVXNRLJH-GOSISDBHSA-N 0 3 312.457 4.472 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc([N+](=O)[O-])c(Cl)c2)cc1 ZINC000185276593 1054508357 /nfs/dbraw/zinc/50/83/57/1054508357.db2.gz KJIISNIWSMAHQU-GFCCVEGCSA-N 0 3 304.777 4.407 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(F)c1)c1ccc(-n2cccn2)cc1 ZINC000174370861 1054509127 /nfs/dbraw/zinc/50/91/27/1054509127.db2.gz MEGVJELEBBUVLE-HUUCEWRRSA-N 0 3 309.388 4.423 20 0 DIADHN COC(C)(C)C[C@@H](C)NCc1csc(-c2cccs2)n1 ZINC000174553445 1054511570 /nfs/dbraw/zinc/51/15/70/1054511570.db2.gz UFWVDMSIQLXVSH-LLVKDONJSA-N 0 3 310.488 4.165 20 0 DIADHN CN1CCc2c(cccc2O[C@@H]2CCc3ccc(Cl)cc32)C1 ZINC001234421284 1054517658 /nfs/dbraw/zinc/51/76/58/1054517658.db2.gz CNWOBOGFIUUIFE-LJQANCHMSA-N 0 3 313.828 4.394 20 0 DIADHN C[C@H](Oc1cccc2c1CCN(C)C2)c1cccc(F)c1F ZINC001234424072 1054519825 /nfs/dbraw/zinc/51/98/25/1054519825.db2.gz ONUCHQGEOAHDHG-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN Fc1cccc(-c2cnc(CN(C3CC3)C3CCCC3)o2)c1 ZINC000175216075 1054523374 /nfs/dbraw/zinc/52/33/74/1054523374.db2.gz RUIXKTUXUBUXNH-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN C[NH+](C)CC[C@H](Oc1c([O-])cc(Cl)cc1F)c1ccccc1 ZINC001234446536 1054523504 /nfs/dbraw/zinc/52/35/04/1054523504.db2.gz GIDDYBMYYIOTBE-INIZCTEOSA-N 0 3 323.795 4.257 20 0 DIADHN C[NH+]1C(C)(C)CC(Oc2c([O-])cc(Cl)cc2F)CC1(C)C ZINC001234448521 1054525924 /nfs/dbraw/zinc/52/59/24/1054525924.db2.gz TWMPPPNQRQHPBC-UHFFFAOYSA-N 0 3 315.816 4.215 20 0 DIADHN Oc1ccc(CN(Cc2ccccc2Cl)CC2CC2)cc1 ZINC000623193450 1054545752 /nfs/dbraw/zinc/54/57/52/1054545752.db2.gz CDRWPVHVSHNOEH-UHFFFAOYSA-N 0 3 301.817 4.458 20 0 DIADHN Cc1cccc(C(C)(C)NCc2c[nH]c(-c3ccccc3)n2)c1 ZINC000175997101 1054546390 /nfs/dbraw/zinc/54/63/90/1054546390.db2.gz CZBRTFNIDYPGFI-UHFFFAOYSA-N 0 3 305.425 4.410 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cnc(-c3ccccc3)[nH]2)c1 ZINC000175997101 1054546401 /nfs/dbraw/zinc/54/64/01/1054546401.db2.gz CZBRTFNIDYPGFI-UHFFFAOYSA-N 0 3 305.425 4.410 20 0 DIADHN CC(C)CC[C@@H](NCc1ncnn1-c1ccccc1)C(C)(C)C ZINC001168528185 1054549269 /nfs/dbraw/zinc/54/92/69/1054549269.db2.gz BWQYYXBPTSJWQS-QGZVFWFLSA-N 0 3 314.477 4.208 20 0 DIADHN CCCCOc1ccccc1CN1CCC2(CCOCC2)CC1 ZINC000671311665 1054555971 /nfs/dbraw/zinc/55/59/71/1054555971.db2.gz BEVHBXWICABCSO-UHFFFAOYSA-N 0 3 317.473 4.258 20 0 DIADHN CN(C)C1(C(=O)NCC2(C3CCCCC3)CCCCC2)CCC1 ZINC001447142172 1054556386 /nfs/dbraw/zinc/55/63/86/1054556386.db2.gz PTLWBCSEDGCVMG-UHFFFAOYSA-N 0 3 320.521 4.118 20 0 DIADHN c1[nH]nc2cccc(CNCc3ccccc3OC3CCCC3)c12 ZINC000623362802 1054571721 /nfs/dbraw/zinc/57/17/21/1054571721.db2.gz LIVVURNDCLXTBG-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CC(C)c1cc(O[C@@H]2CN3CCC2CC3)ccc1Br ZINC001234668878 1054572969 /nfs/dbraw/zinc/57/29/69/1054572969.db2.gz QMSNAUBYZAJTCS-MRXNPFEDSA-N 0 3 324.262 4.046 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cccn3C3CC3)CC2)c1 ZINC001347781984 1054581954 /nfs/dbraw/zinc/58/19/54/1054581954.db2.gz KEAIJLLEACJKIV-UHFFFAOYSA-N 0 3 309.457 4.208 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ccn(-c2cccc(F)c2)n1 ZINC000623436010 1054595799 /nfs/dbraw/zinc/59/57/99/1054595799.db2.gz GAULGVLJJBKFMU-QGZVFWFLSA-N 0 3 301.409 4.022 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@@H](COC)c1ccc(F)c(F)c1 ZINC000623482822 1054603859 /nfs/dbraw/zinc/60/38/59/1054603859.db2.gz IHUSLWOEMZTKNT-AWEZNQCLSA-N 0 3 321.358 4.313 20 0 DIADHN C[C@H]1C[C@H](CCNC(=O)C(C)(C)N2CCCCC2)CC(C)(C)C1 ZINC001448729238 1054607214 /nfs/dbraw/zinc/60/72/14/1054607214.db2.gz TUCYRZHCTVNTIE-IRXDYDNUSA-N 0 3 322.537 4.220 20 0 DIADHN FC(F)(F)c1sccc1CNCC1(C(F)(F)F)CC1 ZINC000623523404 1054612581 /nfs/dbraw/zinc/61/25/81/1054612581.db2.gz WCSHWNVTEDEQAQ-UHFFFAOYSA-N 0 3 303.271 4.199 20 0 DIADHN CCCc1ccc(O[C@H]2CCCN(C(C)C)C2)c(C(C)=O)c1 ZINC001234908321 1054617447 /nfs/dbraw/zinc/61/74/47/1054617447.db2.gz CWJVIXZBDDDONT-KRWDZBQOSA-N 0 3 303.446 4.093 20 0 DIADHN COc1ccc(CNCc2cc(C)cc(C)c2)cc1OC1CC1 ZINC000623564646 1054623164 /nfs/dbraw/zinc/62/31/64/1054623164.db2.gz MJOYSMWXLDLDJE-UHFFFAOYSA-N 0 3 311.425 4.143 20 0 DIADHN CCC[C@@H](CNCc1ccc(-c2ccncc2)cc1F)OCC ZINC000623598885 1054630088 /nfs/dbraw/zinc/63/00/88/1054630088.db2.gz QFQKKOYGQIQHGP-SFHVURJKSA-N 0 3 316.420 4.183 20 0 DIADHN C[C@@H](NCCc1cccnc1)c1nc(-c2ccccc2)cs1 ZINC000177861544 1054635413 /nfs/dbraw/zinc/63/54/13/1054635413.db2.gz DFRFCJIEPPCGIS-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](C)c2ccc(C(C)C)cc2)c1 ZINC000177880276 1054635589 /nfs/dbraw/zinc/63/55/89/1054635589.db2.gz XPNZRSOQIBJETB-INIZCTEOSA-N 0 3 324.468 4.411 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@H](C)c2ccc(C)cc2)cc1 ZINC000177879411 1054636754 /nfs/dbraw/zinc/63/67/54/1054636754.db2.gz VQKJHDGGNZCDIZ-QGZVFWFLSA-N 0 3 324.468 4.376 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2ncc(C(C)(C)C)s2)nc1 ZINC000623649168 1054639024 /nfs/dbraw/zinc/63/90/24/1054639024.db2.gz OFFFPCUEQYTFAU-UHFFFAOYSA-N 0 3 317.502 4.211 20 0 DIADHN Fc1ccccc1-c1cc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)c[nH]1 ZINC001235028995 1054640266 /nfs/dbraw/zinc/64/02/66/1054640266.db2.gz AATQNBVMUQQCGQ-OKILXGFUSA-N 0 3 320.358 4.298 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(F)c(F)c1 ZINC000178051841 1054640784 /nfs/dbraw/zinc/64/07/84/1054640784.db2.gz QMRVQOYEVVNCCY-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1ncc(F)cn1 ZINC001235023023 1054641518 /nfs/dbraw/zinc/64/15/18/1054641518.db2.gz MFMQIPOUYCPAJH-SFHVURJKSA-N 0 3 315.436 4.239 20 0 DIADHN Fc1ccccc1-c1cc(CN2CCC[C@@H](C(F)F)C2)c[nH]1 ZINC001235039426 1054643530 /nfs/dbraw/zinc/64/35/30/1054643530.db2.gz QADUGKQYGNWFLS-CYBMUJFWSA-N 0 3 308.347 4.298 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@H](OCCC(C)C)CC2)s1 ZINC000623678249 1054646456 /nfs/dbraw/zinc/64/64/56/1054646456.db2.gz CTNZPCLMYWCBAV-SHTZXODSSA-N 0 3 310.507 4.169 20 0 DIADHN Fc1ccccc1-c1cc(CN2CCC(C(F)F)CC2)c[nH]1 ZINC001235043911 1054646998 /nfs/dbraw/zinc/64/69/98/1054646998.db2.gz RIFWMANMGCWLGV-UHFFFAOYSA-N 0 3 308.347 4.298 20 0 DIADHN Cc1nnc(-c2ccc(CN(C)C/C=C/c3ccccc3)cc2)o1 ZINC001235062667 1054649436 /nfs/dbraw/zinc/64/94/36/1054649436.db2.gz VDWYTZBPMRJZGO-RMKNXTFCSA-N 0 3 319.408 4.190 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](C)c2cccc(C)c2C)c1C ZINC000178194931 1054650069 /nfs/dbraw/zinc/65/00/69/1054650069.db2.gz WRCBUOJJLITFTL-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000178563133 1054654207 /nfs/dbraw/zinc/65/42/07/1054654207.db2.gz RKSNPAHYTADFBO-AWEZNQCLSA-N 0 3 301.405 4.121 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CCCC(C)(C)C1 ZINC000178991402 1054661570 /nfs/dbraw/zinc/66/15/70/1054661570.db2.gz BSIARDFYCXNVMN-INIZCTEOSA-N 0 3 302.462 4.212 20 0 DIADHN Clc1ccc(CN2CCC3(CNc4ccccc43)CC2)s1 ZINC001235140636 1054664239 /nfs/dbraw/zinc/66/42/39/1054664239.db2.gz SUAPDSCZUZILSE-UHFFFAOYSA-N 0 3 318.873 4.361 20 0 DIADHN CCCCC[C@@H](C)CC(=O)Nc1ccc(CN(C)C)cc1OC ZINC001451094015 1054667980 /nfs/dbraw/zinc/66/79/80/1054667980.db2.gz OGZLTZKVYFIIDY-OAHLLOKOSA-N 0 3 320.477 4.302 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1cc(Cl)cc2cccnc21 ZINC000179137237 1054668301 /nfs/dbraw/zinc/66/83/01/1054668301.db2.gz BOTHDUPUGFYUPD-ZDUSSCGKSA-N 0 3 311.816 4.476 20 0 DIADHN c1cc(-c2csc(CN3CC[C@H]4CCCO[C@H]4C3)c2)cs1 ZINC001235174847 1054673168 /nfs/dbraw/zinc/67/31/68/1054673168.db2.gz CJLFXCJRKDIGBU-DYVFJYSZSA-N 0 3 319.495 4.478 20 0 DIADHN c1cc(-c2csc(CN3CC4(C3)CCCOC4)c2)cs1 ZINC001235177153 1054675169 /nfs/dbraw/zinc/67/51/69/1054675169.db2.gz QGUWJNUALMISKA-UHFFFAOYSA-N 0 3 305.468 4.089 20 0 DIADHN c1cc(-c2csc(CN3CCC[C@@]4(CCO4)C3)c2)cs1 ZINC001235186540 1054678102 /nfs/dbraw/zinc/67/81/02/1054678102.db2.gz PQLOMRAQGJXLDN-MRXNPFEDSA-N 0 3 305.468 4.232 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)c1ccccc1N(C)C ZINC000179406069 1054679879 /nfs/dbraw/zinc/67/98/79/1054679879.db2.gz ZLGRIIBXOPXBPH-ORYQWCPZSA-N 0 3 324.468 4.324 20 0 DIADHN CCN1CCN(Cc2cc(-c3ccsc3)cs2)C[C@H]1C ZINC001235191515 1054682082 /nfs/dbraw/zinc/68/20/82/1054682082.db2.gz APHADPBSWGYFPT-CYBMUJFWSA-N 0 3 306.500 4.003 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccoc1 ZINC000179524770 1054685906 /nfs/dbraw/zinc/68/59/06/1054685906.db2.gz YZBUMRUYNOGYBD-DGCLKSJQSA-N 0 3 308.784 4.002 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccoc1 ZINC000179524786 1054686058 /nfs/dbraw/zinc/68/60/58/1054686058.db2.gz YZBUMRUYNOGYBD-AAEUAGOBSA-N 0 3 308.784 4.002 20 0 DIADHN CCCCCCC(=O)N(CC)[C@@H]1CCN(Cc2ccccc2)C1 ZINC001358471019 1054688925 /nfs/dbraw/zinc/68/89/25/1054688925.db2.gz UODGPJIHKLQMAZ-LJQANCHMSA-N 0 3 316.489 4.080 20 0 DIADHN FC(F)(F)c1ccc(Cl)nc1CN(CC1CC1)C1CC1 ZINC001235240826 1054689454 /nfs/dbraw/zinc/68/94/54/1054689454.db2.gz CUIHISPWWRAZFA-UHFFFAOYSA-N 0 3 304.743 4.128 20 0 DIADHN CCc1cccc(C)c1NC(=O)CNC(C)(C)c1cccc(C)c1 ZINC000179596777 1054690666 /nfs/dbraw/zinc/69/06/66/1054690666.db2.gz PZSWIFQMXFRVRE-UHFFFAOYSA-N 0 3 324.468 4.329 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc4cccc(F)c4[nH]3)C2)c1 ZINC001235253346 1054691864 /nfs/dbraw/zinc/69/18/64/1054691864.db2.gz REXRDSULOWZYMN-INIZCTEOSA-N 0 3 324.399 4.305 20 0 DIADHN CCc1cccc(CN2CCC(c3ccccc3OC)CC2)n1 ZINC001235261261 1054694351 /nfs/dbraw/zinc/69/43/51/1054694351.db2.gz QTEYZTUNCGOWOY-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C2CC2)c(C)s1 ZINC000179688618 1054697079 /nfs/dbraw/zinc/69/70/79/1054697079.db2.gz RFRATJLKGXUHAH-QGZVFWFLSA-N 0 3 315.438 4.084 20 0 DIADHN C[C@H](C(=O)N[C@H](C1CCC1)C1CCCCC1)N1CCCCCC1 ZINC001452185489 1054697726 /nfs/dbraw/zinc/69/77/26/1054697726.db2.gz KQDOWFNUQKDBMQ-APWZRJJASA-N 0 3 320.521 4.116 20 0 DIADHN CC[C@H](C(=O)N(CC(C)C)[C@H](C)c1ccccc1Cl)N(C)C ZINC001452415461 1054700392 /nfs/dbraw/zinc/70/03/92/1054700392.db2.gz JTXRVOFBSDEDNR-RHSMWYFYSA-N 0 3 324.896 4.226 20 0 DIADHN CCN(CC)CC(=O)N(CC(C)C)[C@@H](C)c1ccccc1Cl ZINC001452412186 1054701154 /nfs/dbraw/zinc/70/11/54/1054701154.db2.gz APDXMKKJZABUAF-HNNXBMFYSA-N 0 3 324.896 4.227 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](CC(C)(C)C)c2ccccc2)c1 ZINC000179802105 1054703523 /nfs/dbraw/zinc/70/35/23/1054703523.db2.gz MGANYBIQLHMDLF-LJQANCHMSA-N 0 3 324.468 4.313 20 0 DIADHN CCOc1cc(O)cc(CN2CCC[C@@H]2c2ccc(C)cc2)c1 ZINC001235397537 1054711615 /nfs/dbraw/zinc/71/16/15/1054711615.db2.gz GDNRMHBTKDYCGI-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN Clc1cccc(C2=CCN(CCOCC3CCC3)CC2)c1 ZINC001473436364 1054713628 /nfs/dbraw/zinc/71/36/28/1054713628.db2.gz LYROFDRFLNZJCP-UHFFFAOYSA-N 0 3 305.849 4.246 20 0 DIADHN Cc1cc(OC(C)C)ncc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001235420219 1054716442 /nfs/dbraw/zinc/71/64/42/1054716442.db2.gz GDKVMFZATDNSJE-UHFFFAOYSA-N 0 3 324.415 4.189 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000180047833 1054728305 /nfs/dbraw/zinc/72/83/05/1054728305.db2.gz LTJWYYYUTGTSJP-GBESFXJTSA-N 0 3 313.828 4.039 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c[nH]c3cnc(Cl)cc13)CC2 ZINC001235516126 1054732496 /nfs/dbraw/zinc/73/24/96/1054732496.db2.gz PZGFEFRCIFOHKQ-CYBMUJFWSA-N 0 3 321.827 4.321 20 0 DIADHN Clc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cccnc1 ZINC000623754961 1054733078 /nfs/dbraw/zinc/73/30/78/1054733078.db2.gz WHKLGZBGHGJJJW-KRWDZBQOSA-N 0 3 311.816 4.384 20 0 DIADHN Clc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1cncs1 ZINC000623755073 1054733403 /nfs/dbraw/zinc/73/34/03/1054733403.db2.gz YTDJAJBCJYIOJW-HNNXBMFYSA-N 0 3 317.845 4.445 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)cc(Cl)c2)cc1OC ZINC001235530893 1054738005 /nfs/dbraw/zinc/73/80/05/1054738005.db2.gz YDAZXOPAOVYRAK-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N1CC[C@H](C2CCC2)C1 ZINC001473550305 1054744083 /nfs/dbraw/zinc/74/40/83/1054744083.db2.gz LAELSOIJIFZKND-BEFAXECRSA-N 0 3 322.452 4.289 20 0 DIADHN COc1ccccc1[C@@H](C)NCCOc1ccc(Cl)c(F)c1 ZINC001473734460 1054755066 /nfs/dbraw/zinc/75/50/66/1054755066.db2.gz WAMVGTFBUYRHJU-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN Cc1ncccc1CN(C)Cc1ccccc1-c1ccncc1 ZINC001235620564 1054757073 /nfs/dbraw/zinc/75/70/73/1054757073.db2.gz GRKKLORHZCQSCW-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN COc1ccccc1CN(C)Cc1ccccc1-c1ccncc1 ZINC001235618618 1054757501 /nfs/dbraw/zinc/75/75/01/1054757501.db2.gz LFYPDSRXTUUCNH-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN c1ccc(-c2ccncc2)c(CN2CCOC3(CCCCC3)C2)c1 ZINC001235617320 1054758312 /nfs/dbraw/zinc/75/83/12/1054758312.db2.gz DJCPLQWLTLTSLQ-UHFFFAOYSA-N 0 3 322.452 4.284 20 0 DIADHN CCc1ncc(CNC(C)(C)c2ccc3ccccc3c2)cn1 ZINC000623803481 1054775633 /nfs/dbraw/zinc/77/56/33/1054775633.db2.gz HPHJKMPYZHKGRM-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H](C)CC(=O)OC(C)(C)C ZINC001473985172 1054775799 /nfs/dbraw/zinc/77/57/99/1054775799.db2.gz GNLZWXOKKDUSOL-LBPRGKRZSA-N 0 3 311.853 4.112 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2nc3ccc(F)cc3[nH]2)cc1 ZINC001235690855 1054776713 /nfs/dbraw/zinc/77/67/13/1054776713.db2.gz FSXVKTIYTSKWDM-SFHVURJKSA-N 0 3 309.388 4.348 20 0 DIADHN O=C(c1cccs1)C1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC001349636881 1054778559 /nfs/dbraw/zinc/77/85/59/1054778559.db2.gz PLUQDFRCMNUKPZ-GFCCVEGCSA-N 0 3 313.413 4.078 20 0 DIADHN COCc1cccc(CNCc2ccccc2CC(F)(F)F)c1 ZINC000623821519 1054781850 /nfs/dbraw/zinc/78/18/50/1054781850.db2.gz VTVJHTOYBUZJSU-UHFFFAOYSA-N 0 3 323.358 4.228 20 0 DIADHN COc1ccc([C@H](NCc2ccc(SC)cc2)C2CC2)cn1 ZINC000623844939 1054783862 /nfs/dbraw/zinc/78/38/62/1054783862.db2.gz MEDXAGKSTOWOTF-GOSISDBHSA-N 0 3 314.454 4.053 20 0 DIADHN Cc1csc([C@H](C)NC[C@H](c2cccs2)N2CCCC2)n1 ZINC000623848786 1054787051 /nfs/dbraw/zinc/78/70/51/1054787051.db2.gz KKNPDJMJKUFAJN-UONOGXRCSA-N 0 3 321.515 4.001 20 0 DIADHN CCC(F)(F)c1ccccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC001454989009 1054787522 /nfs/dbraw/zinc/78/75/22/1054787522.db2.gz BONIZKSMJBQBGW-MJBXVCDLSA-N 0 3 324.415 4.388 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1ccc(N)c(F)c1 ZINC001235727670 1054791346 /nfs/dbraw/zinc/79/13/46/1054791346.db2.gz VUNZTSDAESLTCL-UHFFFAOYSA-N 0 3 312.310 4.059 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1nc(C)cs1)c1ccsc1 ZINC000623862072 1054791609 /nfs/dbraw/zinc/79/16/09/1054791609.db2.gz LHJOBLPSUZCAKQ-UKRRQHHQSA-N 0 3 323.531 4.247 20 0 DIADHN CC[C@H](C)N[C@H](CNc1nc2cccc(F)c2o1)c1ccco1 ZINC000624210218 1054797744 /nfs/dbraw/zinc/79/77/44/1054797744.db2.gz GKJVVKIZUVMTJT-SMDDNHRTSA-N 0 3 317.364 4.101 20 0 DIADHN CCN(CC)Cc1cc(F)c(OCc2ccccc2)c(F)c1 ZINC001235753651 1054798039 /nfs/dbraw/zinc/79/80/39/1054798039.db2.gz JVGHCARSQYGGHM-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN Fc1ccc(S[C@@H]2CCCC[C@H]2NCc2nccs2)cc1 ZINC000623869024 1054802011 /nfs/dbraw/zinc/80/20/11/1054802011.db2.gz NAQSBWPDZOVOAS-HUUCEWRRSA-N 0 3 322.474 4.475 20 0 DIADHN CCC[C@@H](NCc1c(C)nn(C)c1C)c1cc(C)sc1C ZINC000623882405 1054802602 /nfs/dbraw/zinc/80/26/02/1054802602.db2.gz UWLYULXVOHFEFU-QGZVFWFLSA-N 0 3 305.491 4.346 20 0 DIADHN CC(C)(CN[C@H]1CCCc2scnc21)c1cccc(F)c1 ZINC000623894980 1054804065 /nfs/dbraw/zinc/80/40/65/1054804065.db2.gz HFRCVVSGHLSQRV-AWEZNQCLSA-N 0 3 304.434 4.227 20 0 DIADHN Cc1nc(F)ccc1CN1CCC2(CCc3ccccc32)CC1 ZINC001235804860 1054809041 /nfs/dbraw/zinc/80/90/41/1054809041.db2.gz HRDKXPOSKYNEJA-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN CC(C)[C@@H](CN[C@H]1CCCc2scnc21)Nc1ccccc1 ZINC000623889852 1054809106 /nfs/dbraw/zinc/80/91/06/1054809106.db2.gz XZGAHWJNDJIRFV-JKSUJKDBSA-N 0 3 315.486 4.247 20 0 DIADHN Cc1csc([C@H](C)NC[C@H](Nc2ccccc2)C(C)C)n1 ZINC000623889347 1054810150 /nfs/dbraw/zinc/81/01/50/1054810150.db2.gz LBDOJBJPNVNASV-HOCLYGCPSA-N 0 3 303.475 4.239 20 0 DIADHN C[C@@H](NCc1ccn(C)c1)c1ccc(F)cc1C(F)(F)F ZINC000623902800 1054812409 /nfs/dbraw/zinc/81/24/09/1054812409.db2.gz QATYIOQHOFAQON-SNVBAGLBSA-N 0 3 300.299 4.034 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2nc(C)cs2)c(Cl)c1 ZINC000623905464 1054812802 /nfs/dbraw/zinc/81/28/02/1054812802.db2.gz BBMDYCQFCJDKHD-NSHDSACASA-N 0 3 310.850 4.007 20 0 DIADHN Cc1csc([C@H](C)NC[C@H]2SCCc3ccccc32)n1 ZINC000623902945 1054812888 /nfs/dbraw/zinc/81/28/88/1054812888.db2.gz UWFVGNLIUPQTGN-SWLSCSKDSA-N 0 3 304.484 4.133 20 0 DIADHN Cc1csc([C@H](C)NC[C@@H]2SCCc3ccccc32)n1 ZINC000623902946 1054813497 /nfs/dbraw/zinc/81/34/97/1054813497.db2.gz UWFVGNLIUPQTGN-WFASDCNBSA-N 0 3 304.484 4.133 20 0 DIADHN Fc1ccc(CC2(CN[C@H]3CCCc4scnc43)CC2)cc1 ZINC000623908162 1054815852 /nfs/dbraw/zinc/81/58/52/1054815852.db2.gz QTMQQSSYOZFBHP-HNNXBMFYSA-N 0 3 316.445 4.272 20 0 DIADHN COc1nccc(CN(C)C23CC4CC(CC(C4)C2)C3)c1Cl ZINC001235841575 1054818673 /nfs/dbraw/zinc/81/86/73/1054818673.db2.gz DKJJCFPWPXTHFU-UHFFFAOYSA-N 0 3 320.864 4.144 20 0 DIADHN Cc1nc2cc(CN3CC[C@H](C)C(F)(F)CC3)ccc2s1 ZINC001235851470 1054822067 /nfs/dbraw/zinc/82/20/67/1054822067.db2.gz YQBFVPHKZJRWCK-NSHDSACASA-N 0 3 310.413 4.472 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CCN[C@H](c2ccccc2)C1 ZINC001235854541 1054823170 /nfs/dbraw/zinc/82/31/70/1054823170.db2.gz GVDACYWCWYANLI-IBGZPJMESA-N 0 3 324.468 4.010 20 0 DIADHN COc1cccc(C(C)(C)CN[C@@H](C)c2nc(C)cs2)c1 ZINC000623923674 1054826359 /nfs/dbraw/zinc/82/63/59/1054826359.db2.gz IBYINOHJEURYKY-ZDUSSCGKSA-N 0 3 304.459 4.089 20 0 DIADHN Cc1nc2cc(CN3CC[C@@H](Oc4ccccc4)C3)ccc2s1 ZINC001235864594 1054827094 /nfs/dbraw/zinc/82/70/94/1054827094.db2.gz FBPPMAXQHPWONL-QGZVFWFLSA-N 0 3 324.449 4.258 20 0 DIADHN CCSc1cccc(CN2C[C@H](O)C[C@@H]2c2ccccc2)c1 ZINC001474576182 1054829014 /nfs/dbraw/zinc/82/90/14/1054829014.db2.gz SZUBBIQOJKYHIF-IEBWSBKVSA-N 0 3 313.466 4.107 20 0 DIADHN Fc1c(CN2CCC[C@]3(CCSC3)C2)cccc1OC1CC1 ZINC001474576707 1054830529 /nfs/dbraw/zinc/83/05/29/1054830529.db2.gz SMVUIHIIUCXHCK-SFHVURJKSA-N 0 3 321.461 4.086 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@@H](c3ccccc3)C2)n1 ZINC000623961250 1054836489 /nfs/dbraw/zinc/83/64/89/1054836489.db2.gz XWDLCEDPJCPNAG-NUEKZKHPSA-N 0 3 302.443 4.022 20 0 DIADHN C[C@@H](NC[C@H]1CCCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623958519 1054837108 /nfs/dbraw/zinc/83/71/08/1054837108.db2.gz PUSZCUROWBRWCZ-GDBMZVCRSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1csc([C@H](C)N[C@H]2CCO[C@@H](c3ccccc3)C2)n1 ZINC000623961248 1054837265 /nfs/dbraw/zinc/83/72/65/1054837265.db2.gz XWDLCEDPJCPNAG-CWRNSKLLSA-N 0 3 302.443 4.022 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)n1 ZINC000623959000 1054837748 /nfs/dbraw/zinc/83/77/48/1054837748.db2.gz DAVGKMCQSDRCFZ-APHBMKBZSA-N 0 3 320.433 4.162 20 0 DIADHN Cc1csc([C@@H](C)NCCCOc2cccc(C)c2C)n1 ZINC000623971871 1054841401 /nfs/dbraw/zinc/84/14/01/1054841401.db2.gz JGLMOINQJPOFBJ-OAHLLOKOSA-N 0 3 304.459 4.188 20 0 DIADHN Cc1csc([C@H](C)NC[C@H]2CCCN(c3ccccc3)C2)n1 ZINC000623972943 1054842564 /nfs/dbraw/zinc/84/25/64/1054842564.db2.gz CMUNKRKWLBEXHQ-JKSUJKDBSA-N 0 3 315.486 4.019 20 0 DIADHN CNC(=O)C[C@@H](N[C@@H](C)c1cccc(C(C)C)c1)c1ccccc1 ZINC000623976571 1054844132 /nfs/dbraw/zinc/84/41/32/1054844132.db2.gz ORJIWWUSBYLZNX-OXJNMPFZSA-N 0 3 324.468 4.338 20 0 DIADHN COC[C@H](CN[C@H](C)c1nc(C)cs1)c1ccc(Cl)cc1 ZINC000623993987 1054848753 /nfs/dbraw/zinc/84/87/53/1054848753.db2.gz KNVFOCUHOBQECI-OCCSQVGLSA-N 0 3 324.877 4.186 20 0 DIADHN COC[C@@H](CN[C@@H](C)c1nc(C)cs1)c1ccc(Cl)cc1 ZINC000623993985 1054849236 /nfs/dbraw/zinc/84/92/36/1054849236.db2.gz KNVFOCUHOBQECI-GXTWGEPZSA-N 0 3 324.877 4.186 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc(C3CC3)[nH]c2C2CC2)c1 ZINC000624005181 1054853898 /nfs/dbraw/zinc/85/38/98/1054853898.db2.gz IQJDRFDFACONCN-UHFFFAOYSA-N 0 3 323.440 4.083 20 0 DIADHN C[C@H](O)[C@@H](N[C@H]1CCc2cc(F)c(Cl)cc21)c1ccccc1 ZINC000624016906 1054858286 /nfs/dbraw/zinc/85/82/86/1054858286.db2.gz KBOXRSJZODVREY-FJNPEDAXSA-N 0 3 319.807 4.178 20 0 DIADHN Cc1cccc([C@H](NCc2cccnc2N2CCCC2)C(C)C)c1 ZINC000624009783 1054859568 /nfs/dbraw/zinc/85/95/68/1054859568.db2.gz BMPJSYAHQMSDRX-HXUWFJFHSA-N 0 3 323.484 4.477 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC[C@H]3C[C@H]3C2)c2ccccn2)cc1 ZINC000624033583 1054861741 /nfs/dbraw/zinc/86/17/41/1054861741.db2.gz LVGVZXYSILUXOT-HVSHLEFESA-N 0 3 322.452 4.205 20 0 DIADHN Nc1ccc(F)c(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1F ZINC001235946790 1054864092 /nfs/dbraw/zinc/86/40/92/1054864092.db2.gz AYRWPIOROFSUNN-GFCCVEGCSA-N 0 3 322.786 4.190 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc(N)c(F)c1 ZINC001235949832 1054866755 /nfs/dbraw/zinc/86/67/55/1054866755.db2.gz JBPACTJTBFSEIT-UHFFFAOYSA-N 0 3 312.310 4.059 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000624061861 1054869735 /nfs/dbraw/zinc/86/97/35/1054869735.db2.gz MHONXBACRLMLGI-ZFWWWQNUSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1nc([C@@H](C)NCC(C)(C)c2ccc(C)cn2)c(C)s1 ZINC000624112810 1054882399 /nfs/dbraw/zinc/88/23/99/1054882399.db2.gz MGCSQFWBTGWBOW-GFCCVEGCSA-N 0 3 303.475 4.092 20 0 DIADHN Cc1cc2cnc(-c3cccc(CN4CCCCC4)c3F)nc2[nH]1 ZINC001236003208 1054888163 /nfs/dbraw/zinc/88/81/63/1054888163.db2.gz UCUTWYXJYNRQJW-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN CCOc1ccc(-c2cccc(CN3CCCCC3)c2F)nc1 ZINC001236004719 1054891443 /nfs/dbraw/zinc/89/14/43/1054891443.db2.gz NUPMYUSLTNEDNO-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2cnccc2n1 ZINC001236005413 1054892190 /nfs/dbraw/zinc/89/21/90/1054892190.db2.gz QJFRWHOIQLJPOO-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cnc(-c2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001236012691 1054896754 /nfs/dbraw/zinc/89/67/54/1054896754.db2.gz RZYDLSSMQSNORM-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)cn2ccnc12 ZINC001236015245 1054896794 /nfs/dbraw/zinc/89/67/94/1054896794.db2.gz NOFOSDYTFQIPDZ-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)OC1(C)C)c1nc2c(s1)CCCC2 ZINC000180977959 1054897072 /nfs/dbraw/zinc/89/70/72/1054897072.db2.gz FWLGOSBDXVIXON-FZMZJTMJSA-N 0 3 308.491 4.019 20 0 DIADHN Cc1ncc(F)cc1-c1cccc(CN2CCCCC2)c1F ZINC001236014894 1054897240 /nfs/dbraw/zinc/89/72/40/1054897240.db2.gz JTUPSVFYPUXNHC-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cccc2[nH]cnc21 ZINC001236012701 1054897469 /nfs/dbraw/zinc/89/74/69/1054897469.db2.gz SMRHFULNAFYZCU-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1cc(F)nc(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236012627 1054897849 /nfs/dbraw/zinc/89/78/49/1054897849.db2.gz QKIHMQRZXUKWPA-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cncnc1C1CC1 ZINC001236016828 1054899328 /nfs/dbraw/zinc/89/93/28/1054899328.db2.gz WHZWWGYUUIDOJY-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN COc1nc(C)ccc1-c1cccc(CN2CCCCC2)c1F ZINC001236016105 1054900033 /nfs/dbraw/zinc/90/00/33/1054900033.db2.gz TYZBUPUMYMOXMD-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCCC3)c2F)nc1C ZINC001236016294 1054900106 /nfs/dbraw/zinc/90/01/06/1054900106.db2.gz FNAMCOBYBVFVGQ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)CCCn1ccnc1 ZINC000181069399 1054900351 /nfs/dbraw/zinc/90/03/51/1054900351.db2.gz DFRVUEHKRFEGCD-GFCCVEGCSA-N 0 3 312.244 4.273 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccnc2ncccc12 ZINC001236019940 1054901473 /nfs/dbraw/zinc/90/14/73/1054901473.db2.gz XWXUOLFSNFNJJG-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001350307631 1054908107 /nfs/dbraw/zinc/90/81/07/1054908107.db2.gz XODSGIUTEOOTHG-LJQANCHMSA-N 0 3 308.425 4.165 20 0 DIADHN CCN1CCN(c2ccc(N[C@@H](C)c3ccccc3)c(C)c2)CC1 ZINC000125597988 1054920202 /nfs/dbraw/zinc/92/02/02/1054920202.db2.gz MFMPVWAQFZCLFQ-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@H](C)c1cc2cc(Cl)ccc2o1 ZINC000181587835 1054921989 /nfs/dbraw/zinc/92/19/89/1054921989.db2.gz RKLVIPADSNLESR-VXGBXAGGSA-N 0 3 322.836 4.042 20 0 DIADHN CCCNC(=O)CCN[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000181548306 1054922508 /nfs/dbraw/zinc/92/25/08/1054922508.db2.gz XVVALIHATSRDRL-AWEZNQCLSA-N 0 3 324.424 4.153 20 0 DIADHN CNc1ccc(CN2CCc3cc(C(F)(F)F)ccc3C2)cc1 ZINC001236086622 1054933897 /nfs/dbraw/zinc/93/38/97/1054933897.db2.gz NAWQHXUDKZNMEZ-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN C[C@]1(CN[C@@H](c2ccccc2)c2ccc(F)cn2)C[C@H]2C[C@H]2C1 ZINC000624200304 1054934335 /nfs/dbraw/zinc/93/43/35/1054934335.db2.gz JBKUXQNFOPYDTK-ACZWYYKOSA-N 0 3 310.416 4.336 20 0 DIADHN Cc1cncc(CN[C@H](C)c2cccc(OC(F)(F)F)c2)c1 ZINC000181840394 1054941347 /nfs/dbraw/zinc/94/13/47/1054941347.db2.gz GVQHQNVIYJPDAR-GFCCVEGCSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1ccccc1F ZINC000182164799 1054965643 /nfs/dbraw/zinc/96/56/43/1054965643.db2.gz VHPHKNAGTUXCNI-UZCIPKQKSA-N 0 3 321.342 4.285 20 0 DIADHN Fc1ccccc1C1(NC2CCN(c3ccccc3)CC2)CC1 ZINC000182235395 1054971874 /nfs/dbraw/zinc/97/18/74/1054971874.db2.gz DZZRWNPEZPMBFY-UHFFFAOYSA-N 0 3 310.416 4.073 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2c[nH]c3ccccc32)cc1O ZINC001236190986 1054988700 /nfs/dbraw/zinc/98/87/00/1054988700.db2.gz ITKFDNJBGGFOEC-SFHVURJKSA-N 0 3 322.408 4.219 20 0 DIADHN c1nc2ccccn2c1CN1CCC[C@@H]1c1c[nH]c2ccccc12 ZINC001236192727 1054989862 /nfs/dbraw/zinc/98/98/62/1054989862.db2.gz PZVPZYTUORKCPT-LJQANCHMSA-N 0 3 316.408 4.153 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236191372 1054990791 /nfs/dbraw/zinc/99/07/91/1054990791.db2.gz LYEBNJSOZPCILF-SFHVURJKSA-N 0 3 322.408 4.219 20 0 DIADHN c1[nH]c2ccccc2c1[C@@H]1CCCN1Cc1cccc2c1OCO2 ZINC001236191585 1054990946 /nfs/dbraw/zinc/99/09/46/1054990946.db2.gz MTSGSYUAKMGZHV-SFHVURJKSA-N 0 3 320.392 4.234 20 0 DIADHN CCOc1cncc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c1 ZINC001236191914 1054992094 /nfs/dbraw/zinc/99/20/94/1054992094.db2.gz DJXDFMOQZGGKAW-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2c[nH]c3ccccc32)nc1C ZINC001236196081 1054995385 /nfs/dbraw/zinc/99/53/85/1054995385.db2.gz XHEJEFWHUMZLQM-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN CC[C@](C)(NCCCOCc1ccccc1)c1nc(C)cs1 ZINC000182534296 1054996624 /nfs/dbraw/zinc/99/66/24/1054996624.db2.gz KKSIOOXBCPUQPU-SFHVURJKSA-N 0 3 318.486 4.273 20 0 DIADHN O=c1[nH]cccc1CN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000624258212 1055006664 /nfs/dbraw/zinc/00/66/64/1055006664.db2.gz CTXRZMYWSJOKCY-OAHLLOKOSA-N 0 3 322.330 4.047 20 0 DIADHN C[C@H](CN[C@@H](C)c1nccn1C)c1c(Cl)cccc1Cl ZINC000182758709 1055007550 /nfs/dbraw/zinc/00/75/50/1055007550.db2.gz FSZSCLOIRNOPRY-MNOVXSKESA-N 0 3 312.244 4.181 20 0 DIADHN C[C@@H](CN[C@H](C)c1nccn1C)c1c(Cl)cccc1Cl ZINC000182758732 1055008811 /nfs/dbraw/zinc/00/88/11/1055008811.db2.gz FSZSCLOIRNOPRY-WDEREUQCSA-N 0 3 312.244 4.181 20 0 DIADHN C[C@@H](Nc1cccc(CN2CCSCC2)c1)c1ccoc1 ZINC000182803609 1055010491 /nfs/dbraw/zinc/01/04/91/1055010491.db2.gz XPYMADGXNSNEGE-CQSZACIVSA-N 0 3 302.443 4.002 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624257872 1055012728 /nfs/dbraw/zinc/01/27/28/1055012728.db2.gz SQYIFCJOPNYTHQ-OAHLLOKOSA-N 0 3 314.429 4.207 20 0 DIADHN CC(C)(C)C[C@H](NCc1ccc[nH]c1=O)c1ccc(F)cc1 ZINC000624258489 1055015867 /nfs/dbraw/zinc/01/58/67/1055015867.db2.gz LDKLENYVIUQGNW-INIZCTEOSA-N 0 3 302.393 4.193 20 0 DIADHN CC(C)(C)C[C@@H](NCc1ccc[nH]c1=O)c1ccc(F)cc1 ZINC000624258490 1055017029 /nfs/dbraw/zinc/01/70/29/1055017029.db2.gz LDKLENYVIUQGNW-MRXNPFEDSA-N 0 3 302.393 4.193 20 0 DIADHN C[C@H]1C[C@H](NCCOCc2ccccc2)c2ccsc2S1 ZINC000361973976 1055019142 /nfs/dbraw/zinc/01/91/42/1055019142.db2.gz ZUADLOPEOXPAQC-BBRMVZONSA-N 0 3 319.495 4.480 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)c1cc(C)cc(C)c1 ZINC000182942496 1055020011 /nfs/dbraw/zinc/02/00/11/1055020011.db2.gz OIQCNBQEDFALGS-KRWDZBQOSA-N 0 3 324.468 4.464 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCc4ccc(N)cc4C3)cc2)o1 ZINC001236230766 1055020341 /nfs/dbraw/zinc/02/03/41/1055020341.db2.gz GCATWHYCYKJIKM-UHFFFAOYSA-N 0 3 318.420 4.396 20 0 DIADHN c1ccc2c(c1)sc1c2cccc1-c1cc2c(nn1)CCNC2 ZINC001236229329 1055020406 /nfs/dbraw/zinc/02/04/06/1055020406.db2.gz ZGDJLKGAMLXEKG-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN Fc1cc(-c2nccc3[nH]ccc32)ccc1CN1CCCCC1 ZINC001236231517 1055021532 /nfs/dbraw/zinc/02/15/32/1055021532.db2.gz BXIZEDQDPHZMGD-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN COCc1cc(CN[C@@H]2CCCc3cc(F)ccc32)ccc1F ZINC000182996137 1055021995 /nfs/dbraw/zinc/02/19/95/1055021995.db2.gz OCLUCSXAUAHNIC-LJQANCHMSA-N 0 3 317.379 4.278 20 0 DIADHN COCc1ccnc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001236233234 1055023187 /nfs/dbraw/zinc/02/31/87/1055023187.db2.gz QLJPUXPOISJZHM-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN Fc1cc(-c2ncnc3ccoc32)ccc1CN1CCCCC1 ZINC001236235035 1055023437 /nfs/dbraw/zinc/02/34/37/1055023437.db2.gz SMKOGJMGDNVGIP-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Fc1cc(-c2ncc(C3CC3)cn2)ccc1CN1CCCCC1 ZINC001236234305 1055023825 /nfs/dbraw/zinc/02/38/25/1055023825.db2.gz ZGMOYEIRFCAHRZ-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN CCCc1cncnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236233759 1055023896 /nfs/dbraw/zinc/02/38/96/1055023896.db2.gz UASSWCGJCOHWCV-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ncc1F ZINC001236234900 1055025481 /nfs/dbraw/zinc/02/54/81/1055025481.db2.gz PJTVAHBEWTWJBJ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1nc(C)c(-c2ccc(CN3CCCCC3)c(F)c2)nc1C ZINC001236245026 1055030164 /nfs/dbraw/zinc/03/01/64/1055030164.db2.gz PLIGJCDQYHTLLN-UHFFFAOYSA-N 0 3 313.420 4.194 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)c(N)c1 ZINC001236249912 1055033818 /nfs/dbraw/zinc/03/38/18/1055033818.db2.gz DRKYYCCJWRPVQZ-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN Fc1cc(-c2ccnc(F)c2F)ccc1CN1CCCCC1 ZINC001236251176 1055035125 /nfs/dbraw/zinc/03/51/25/1055035125.db2.gz OIWLKMSNMFEGOR-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cn1ccnc1[C@H](NCCc1c(Cl)cccc1Cl)C1CC1 ZINC000183254020 1055038237 /nfs/dbraw/zinc/03/82/37/1055038237.db2.gz SRDVGTYBJSZTFQ-OAHLLOKOSA-N 0 3 324.255 4.010 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(C2CCCC2)s1)c1nccs1 ZINC000183259810 1055038749 /nfs/dbraw/zinc/03/87/49/1055038749.db2.gz UACHNSBXXQOCHR-LBPRGKRZSA-N 0 3 321.515 4.493 20 0 DIADHN Cc1nc(C)c(CCN[C@H](c2ccco2)c2ccccc2)s1 ZINC000183566458 1055049473 /nfs/dbraw/zinc/04/94/73/1055049473.db2.gz RYMGNRVQUYBZNS-SFHVURJKSA-N 0 3 312.438 4.275 20 0 DIADHN Nc1cc(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)ccn1 ZINC001236304394 1055051643 /nfs/dbraw/zinc/05/16/43/1055051643.db2.gz YOISWLNKPBYHSJ-HNNXBMFYSA-N 0 3 321.346 4.020 20 0 DIADHN CC(C)C[C@@H](CCO)CN[C@H](c1ccco1)c1ccccc1 ZINC000183692341 1055054866 /nfs/dbraw/zinc/05/48/66/1055054866.db2.gz KZHQUMCHTCDZOS-APWZRJJASA-N 0 3 301.430 4.003 20 0 DIADHN Cc1[nH]c2ccccc2c1CCNCc1ncc(C(C)C)s1 ZINC000183695448 1055055023 /nfs/dbraw/zinc/05/50/23/1055055023.db2.gz UNRIVZYVIPQDNJ-UHFFFAOYSA-N 0 3 313.470 4.389 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(N)cc3)CC2)cc(F)c1F ZINC001236334820 1055061785 /nfs/dbraw/zinc/06/17/85/1055061785.db2.gz HOSOJWHFAVAAGN-UHFFFAOYSA-N 0 3 316.395 4.235 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CCC(c3ccc(N)cc3)CC2)c1 ZINC001236340099 1055067856 /nfs/dbraw/zinc/06/78/56/1055067856.db2.gz HFFUEJQDVRQSOL-UHFFFAOYSA-N 0 3 311.473 4.274 20 0 DIADHN Nc1cccc2c1CCN(Cc1ccc(F)c3ccccc13)C2 ZINC001236364123 1055075640 /nfs/dbraw/zinc/07/56/40/1055075640.db2.gz SIBPKDQDNHZASE-UHFFFAOYSA-N 0 3 306.384 4.119 20 0 DIADHN Cc1cnc([C@H](NCCSc2ccc(F)cc2)C2CC2)s1 ZINC000185316716 1055090771 /nfs/dbraw/zinc/09/07/71/1055090771.db2.gz RUQBEKWLRPTYOP-OAHLLOKOSA-N 0 3 322.474 4.424 20 0 DIADHN COc1cncc(-c2ccc(F)c(CN3CCCCC3)c2)c1C ZINC001236391252 1055090895 /nfs/dbraw/zinc/09/08/95/1055090895.db2.gz GOXHHLXZWLZNJY-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cnc([C@H](NCCc2ccccc2Cl)C2CC2)s1 ZINC000185333319 1055091974 /nfs/dbraw/zinc/09/19/74/1055091974.db2.gz YDMTYKQPDPYDKR-OAHLLOKOSA-N 0 3 306.862 4.388 20 0 DIADHN C[C@@H]([C@H](C)NCc1nnc(-c2ccccc2)o1)C1CCCCC1 ZINC000185518826 1055093710 /nfs/dbraw/zinc/09/37/10/1055093710.db2.gz AJCCIMYOTBYISP-GJZGRUSLSA-N 0 3 313.445 4.431 20 0 DIADHN CC(C)c1ccc(C(=O)Nc2cccc(CN(C)C)c2)s1 ZINC000185604704 1055095319 /nfs/dbraw/zinc/09/53/19/1055095319.db2.gz JABXLLCIJMCULP-UHFFFAOYSA-N 0 3 302.443 4.185 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2cc(C)sc2C)c1 ZINC000193132581 1055099817 /nfs/dbraw/zinc/09/98/17/1055099817.db2.gz ARLDYGDAMMWDHI-GFCCVEGCSA-N 0 3 303.427 4.002 20 0 DIADHN CC(C)Oc1cc(-c2cncc(CN(C)C)c2)ccc1Cl ZINC001236411772 1055102222 /nfs/dbraw/zinc/10/22/22/1055102222.db2.gz LGYVGSDBEUCPMR-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN C[C@H](CC(=O)N1CCN(C2CCCCC2)CC1)C1CCCCC1 ZINC001536411866 1055102248 /nfs/dbraw/zinc/10/22/48/1055102248.db2.gz HOLGNJHLKBWOEV-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CSc1cc(C)cc(CN2CC[C@H](F)C(F)(F)CC2)c1 ZINC001238676211 1055108664 /nfs/dbraw/zinc/10/86/64/1055108664.db2.gz SYPWBAHXWIHILQ-AWEZNQCLSA-N 0 3 303.393 4.286 20 0 DIADHN C=Cn1cc(CNC2(c3ccc(Cl)cc3)CCCC2)cn1 ZINC000194315682 1055120335 /nfs/dbraw/zinc/12/03/35/1055120335.db2.gz UDJHDCAMWWTCJO-UHFFFAOYSA-N 0 3 301.821 4.196 20 0 DIADHN C[C@@H](CCNCc1nnc(-c2ccccc2)s1)c1ccccc1 ZINC000194511454 1055127488 /nfs/dbraw/zinc/12/74/88/1055127488.db2.gz ULEPKUSQBNBGKH-HNNXBMFYSA-N 0 3 323.465 4.489 20 0 DIADHN COCc1ccnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236469457 1055129070 /nfs/dbraw/zinc/12/90/70/1055129070.db2.gz JJKDMIRYLDINAI-UXHICEINSA-N 0 3 320.436 4.048 20 0 DIADHN COCc1ccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)nc1 ZINC001236470312 1055129580 /nfs/dbraw/zinc/12/95/80/1055129580.db2.gz BIQNSHIYWBLUII-UXHICEINSA-N 0 3 320.436 4.048 20 0 DIADHN CC(C)c1cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ncn1 ZINC001236469975 1055129964 /nfs/dbraw/zinc/12/99/64/1055129964.db2.gz PBMTXGIISVEPBH-MOPGFXCFSA-N 0 3 319.452 4.420 20 0 DIADHN CCOc1cccnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472096 1055131794 /nfs/dbraw/zinc/13/17/94/1055131794.db2.gz MKDJAFUKWJNUQH-MOPGFXCFSA-N 0 3 320.436 4.301 20 0 DIADHN Cc1cnc(F)cc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472075 1055132231 /nfs/dbraw/zinc/13/22/31/1055132231.db2.gz LMHFGIHVXLOJBN-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(OC)cccc32)cc1F ZINC000194839993 1055136339 /nfs/dbraw/zinc/13/63/39/1055136339.db2.gz WAXQMOLQZXIWGJ-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCCc3c(OC)cccc32)c1 ZINC000194941958 1055138989 /nfs/dbraw/zinc/13/89/89/1055138989.db2.gz WVXNTXRTYPURLT-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nnc(C)n1C1CC1 ZINC000195120292 1055147981 /nfs/dbraw/zinc/14/79/81/1055147981.db2.gz DIXNGALIRHLFAA-RTBURBONSA-N 0 3 324.472 4.080 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c2c[nH]nc21 ZINC001236505279 1055150418 /nfs/dbraw/zinc/15/04/18/1055150418.db2.gz FNVUPGICFBQGPR-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN NCc1cc(-c2ccc(OCc3ccccc3)cc2Cl)ccn1 ZINC001236516445 1055155921 /nfs/dbraw/zinc/15/59/21/1055155921.db2.gz LBGRSAUCLHNBSV-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N[C@@H]2CCCC[C@@H]2C)c(C)s1 ZINC000195585727 1055158419 /nfs/dbraw/zinc/15/84/19/1055158419.db2.gz YDUWYGAEWCLOSO-HVOFVXBASA-N 0 3 322.518 4.099 20 0 DIADHN COc1cccc(CN[C@@H](c2cccs2)C2CC2)c1OC ZINC000125857228 1055164392 /nfs/dbraw/zinc/16/43/92/1055164392.db2.gz XOEWZYUOZYSJLM-MRXNPFEDSA-N 0 3 303.427 4.006 20 0 DIADHN CS[C@@H]1CC[C@H]1NCc1ccc(Br)cc1Cl ZINC000381415730 1055166976 /nfs/dbraw/zinc/16/69/76/1055166976.db2.gz VYVQBWQZEXWKOE-VXGBXAGGSA-N 0 3 320.683 4.086 20 0 DIADHN Fc1ccc(Br)c(CNC[C@@H]2CCCC2(F)F)c1 ZINC000381588086 1055172760 /nfs/dbraw/zinc/17/27/60/1055172760.db2.gz CZCAKSQMMKEWKQ-JTQLQIEISA-N 0 3 322.168 4.113 20 0 DIADHN CC[C@@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc(F)c(C)c1 ZINC001127759342 1055175048 /nfs/dbraw/zinc/17/50/48/1055175048.db2.gz TXLFSTIQLHZSBK-GOSISDBHSA-N 0 3 319.420 4.003 20 0 DIADHN CCC1(CC)CCN(Cc2cccc(C(=O)OC)c2Cl)C1 ZINC000381737533 1055178703 /nfs/dbraw/zinc/17/87/03/1055178703.db2.gz UDNXMUMFZRCNSP-UHFFFAOYSA-N 0 3 309.837 4.139 20 0 DIADHN COc1cccc(Cl)c1CNC[C@@H]1CCCCC1(F)F ZINC000381755220 1055179264 /nfs/dbraw/zinc/17/92/64/1055179264.db2.gz WMEVPKWBOGZPBQ-NSHDSACASA-N 0 3 303.780 4.264 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](C)c2csc(C)c2)c1 ZINC000381780609 1055180987 /nfs/dbraw/zinc/18/09/87/1055180987.db2.gz RBBAINXHMDABSS-QWHCGFSZSA-N 0 3 302.443 4.427 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1oc(C)nc1C ZINC001236597755 1055202198 /nfs/dbraw/zinc/20/21/98/1055202198.db2.gz SLLSIVPRLXFYKE-HNNXBMFYSA-N 0 3 302.443 4.350 20 0 DIADHN CCCN(Cc1c(C)cc(Cl)nc1Cl)C1CCOCC1 ZINC001236610999 1055203912 /nfs/dbraw/zinc/20/39/12/1055203912.db2.gz KIFCUWHRZXLRPJ-UHFFFAOYSA-N 0 3 317.260 4.088 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NC[C@H](O)c1ccccc1 ZINC000764559525 1055208136 /nfs/dbraw/zinc/20/81/36/1055208136.db2.gz TXOGPBLRYAONBE-GUYCJALGSA-N 0 3 319.832 4.123 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)n1 ZINC001236638003 1055208771 /nfs/dbraw/zinc/20/87/71/1055208771.db2.gz ZQQGLSBBQPKEBT-GOSISDBHSA-N 0 3 318.823 4.390 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1cccc3sccc31)C2 ZINC001236682051 1055227119 /nfs/dbraw/zinc/22/71/19/1055227119.db2.gz KMPOOVHTPHPEKA-UHFFFAOYSA-N 0 3 309.434 4.468 20 0 DIADHN CCOc1ncc(CN(Cc2ccccc2)C(C)(C)C)cc1F ZINC001236689222 1055228779 /nfs/dbraw/zinc/22/87/79/1055228779.db2.gz DYPWAFPWXLGLJB-UHFFFAOYSA-N 0 3 316.420 4.420 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccccc1OC(F)F ZINC000764745165 1055241918 /nfs/dbraw/zinc/24/19/18/1055241918.db2.gz UYORLOGDGIPBIT-INIZCTEOSA-N 0 3 303.352 4.374 20 0 DIADHN CCC[C@H](CNCc1cc(Br)ccc1Cl)OC ZINC000383138224 1055248092 /nfs/dbraw/zinc/24/80/92/1055248092.db2.gz WVOCLUMHJOKOTD-GFCCVEGCSA-N 0 3 320.658 4.007 20 0 DIADHN Cc1ccnc(OC2CCN(Cc3cccc4occc43)CC2)c1 ZINC001236747490 1055252060 /nfs/dbraw/zinc/25/20/60/1055252060.db2.gz XPJPZKUAFJKGPD-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CCOc1cncc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001236841008 1055287368 /nfs/dbraw/zinc/28/73/68/1055287368.db2.gz SISCYBODZXSAQE-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN CC(C)N(Cc1ccc(Cl)nc1N)[C@@H](C)c1ccccc1 ZINC001236859848 1055294217 /nfs/dbraw/zinc/29/42/17/1055294217.db2.gz NSNHNPUMYKVTNI-ZDUSSCGKSA-N 0 3 303.837 4.289 20 0 DIADHN Cc1cccc(CN2CCC(c3cccnc3)CC2)c1Cl ZINC001236859371 1055296684 /nfs/dbraw/zinc/29/66/84/1055296684.db2.gz SZHYTEANRSOJAH-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CCC(CC)N(Cc1csc(N)n1)[C@H](C)c1ccccc1 ZINC001236861216 1055296926 /nfs/dbraw/zinc/29/69/26/1055296926.db2.gz WHFMNUPNGOQMQN-CYBMUJFWSA-N 0 3 303.475 4.477 20 0 DIADHN Clc1nc2ccsc2cc1CN1CCC2(CCCO2)CC1 ZINC001236865948 1055297262 /nfs/dbraw/zinc/29/72/62/1055297262.db2.gz BLASPTCLXORHAX-UHFFFAOYSA-N 0 3 322.861 4.095 20 0 DIADHN CCN(CCN[C@@H](C)c1cccnc1Cl)c1ccccc1C ZINC000764964505 1055305736 /nfs/dbraw/zinc/30/57/36/1055305736.db2.gz QWOSKNYTOOJCJV-HNNXBMFYSA-N 0 3 317.864 4.221 20 0 DIADHN C[C@](O)(CNCc1cc2ccccc2o1)c1ccccc1Cl ZINC000764980693 1055307076 /nfs/dbraw/zinc/30/70/76/1055307076.db2.gz QAGKEDHWSHIRMV-SFHVURJKSA-N 0 3 315.800 4.084 20 0 DIADHN Clc1cc(-n2ccnc2)ccc1CN1CCCCC12CC2 ZINC001236917747 1055317242 /nfs/dbraw/zinc/31/72/42/1055317242.db2.gz VNMQAICVBCJXSO-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN COC[C@H]1CCCCN1Cc1cncc(-c2ccc(C)cc2)c1 ZINC001236992764 1055327754 /nfs/dbraw/zinc/32/77/54/1055327754.db2.gz XFSCAFKMTNQNLF-HXUWFJFHSA-N 0 3 310.441 4.058 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CC[C@]3(CC3(F)F)C2)cn1 ZINC001236990943 1055328605 /nfs/dbraw/zinc/32/86/05/1055328605.db2.gz RPEUJVGHJMOANT-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN CCO[C@@H]1CCCN(Cc2cncc(-c3ccc(C)cc3)c2)C1 ZINC001236993115 1055330575 /nfs/dbraw/zinc/33/05/75/1055330575.db2.gz JFNSSJUWIHPGML-HXUWFJFHSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@]4(CC4(F)F)C3)c2)cc1 ZINC001236994212 1055331447 /nfs/dbraw/zinc/33/14/47/1055331447.db2.gz SOLHZTCFHLAFMP-SFHVURJKSA-N 0 3 314.379 4.288 20 0 DIADHN CCOCC1CCN(Cc2cncc(-c3ccc(C)cc3)c2)CC1 ZINC001237001922 1055334232 /nfs/dbraw/zinc/33/42/32/1055334232.db2.gz HPCABCPRCIJITN-UHFFFAOYSA-N 0 3 324.468 4.306 20 0 DIADHN CCCN(CCOC)Cc1ccc(-c2ccc(Cl)cc2)nc1 ZINC001237007311 1055336168 /nfs/dbraw/zinc/33/61/68/1055336168.db2.gz XDUKDPIEMVZPPK-UHFFFAOYSA-N 0 3 318.848 4.260 20 0 DIADHN COCCC1CCN(Cc2ccccc2OC(C)(C)C)CC1 ZINC001237024542 1055341972 /nfs/dbraw/zinc/34/19/72/1055341972.db2.gz DDGNDVKEEPUDFX-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN F[C@@H]1C[C@@H]2C[C@H]1CN2Cc1ccc(-c2ccccc2Cl)nc1 ZINC001237019355 1055343296 /nfs/dbraw/zinc/34/32/96/1055343296.db2.gz DOZHPRXEUFZNNN-GRDNDAEWSA-N 0 3 316.807 4.334 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(Cl)cc2)s1 ZINC000765246051 1055345077 /nfs/dbraw/zinc/34/50/77/1055345077.db2.gz ROSHRRLECVGOFK-VXGBXAGGSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(Cl)cc2)s1 ZINC000765246048 1055345151 /nfs/dbraw/zinc/34/51/51/1055345151.db2.gz ROSHRRLECVGOFK-RYUDHWBXSA-N 0 3 322.861 4.388 20 0 DIADHN CC(C)(C)Oc1ccccc1CN1CCC2(CCCO2)CC1 ZINC001237030760 1055346816 /nfs/dbraw/zinc/34/68/16/1055346816.db2.gz GQBLCUICXQPFJU-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NC[C@@H](O)c1ccc(C)cc1 ZINC000765271725 1055351587 /nfs/dbraw/zinc/35/15/87/1055351587.db2.gz PGPCDBSZKXHWCI-CXAGYDPISA-N 0 3 319.832 4.041 20 0 DIADHN COc1ccc(CN(C)Cc2cc(O)cc3ccccc32)cc1 ZINC001237067700 1055359896 /nfs/dbraw/zinc/35/98/96/1055359896.db2.gz LJAUVBAVDQGMCJ-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1cc(O)cc2ccccc21 ZINC001237073697 1055359936 /nfs/dbraw/zinc/35/99/36/1055359936.db2.gz LBGNYFTXOSVRLA-UHFFFAOYSA-N 0 3 319.404 4.250 20 0 DIADHN CC[C@H](NCc1ccc2ccccc2n1)c1ccc2c(c1)CCO2 ZINC000765348449 1055368706 /nfs/dbraw/zinc/36/87/06/1055368706.db2.gz KPQBXFHIEJGQJJ-IBGZPJMESA-N 0 3 318.420 4.411 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc(F)c(N2CCCC2)c1 ZINC001237093959 1055368688 /nfs/dbraw/zinc/36/86/88/1055368688.db2.gz YXYIPRLXUYXJBC-UHFFFAOYSA-N 0 3 316.395 4.197 20 0 DIADHN CC(C)(C)Oc1cccc(CN2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001237099707 1055371726 /nfs/dbraw/zinc/37/17/26/1055371726.db2.gz YKQHBPJLQYFYGD-OAHLLOKOSA-N 0 3 315.379 4.433 20 0 DIADHN COc1cccc2c(CN3Cc4ccccc4[C@@H](C)C3)ccnc12 ZINC001237107593 1055371799 /nfs/dbraw/zinc/37/17/99/1055371799.db2.gz XUGCBIVMDAKRIS-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN Cc1nc([C@@H]2CCCN(Cc3ccc(C4CCC4)cc3)C2)no1 ZINC001237104118 1055372531 /nfs/dbraw/zinc/37/25/31/1055372531.db2.gz ATNGULJHVNNHCR-GOSISDBHSA-N 0 3 311.429 4.025 20 0 DIADHN [S-]c1ccoc1C[N@H+](Cc1ccccc1)C1CCSCC1 ZINC001237186555 1055394165 /nfs/dbraw/zinc/39/41/65/1055394165.db2.gz MBUVYKDUBKPJHB-UHFFFAOYSA-N 0 3 319.495 4.466 20 0 DIADHN [S-]c1ccoc1C[N@@H+](Cc1ccccc1)C1CCSCC1 ZINC001237186555 1055394179 /nfs/dbraw/zinc/39/41/79/1055394179.db2.gz MBUVYKDUBKPJHB-UHFFFAOYSA-N 0 3 319.495 4.466 20 0 DIADHN CN(Cc1ccc2cccccc1-2)Cc1cnc2ccccn12 ZINC001237192717 1055398768 /nfs/dbraw/zinc/39/87/68/1055398768.db2.gz HNWVHGPAEXJRBN-UHFFFAOYSA-N 0 3 301.393 4.071 20 0 DIADHN COc1ccc2cc(CNCc3cnn(C4CCC4)c3)ccc2c1 ZINC000921513262 1055401733 /nfs/dbraw/zinc/40/17/33/1055401733.db2.gz NRRCBXVILQQRLJ-UHFFFAOYSA-N 0 3 321.424 4.060 20 0 DIADHN c1ccc2c(c1)sc1ccc(CN3CC4(C3)CCOC4)cc12 ZINC001237194116 1055402643 /nfs/dbraw/zinc/40/26/43/1055402643.db2.gz ALIXYPFYPJCJFX-UHFFFAOYSA-N 0 3 309.434 4.277 20 0 DIADHN Cc1ccc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@@H]3C2)cc1 ZINC001237234629 1055407903 /nfs/dbraw/zinc/40/79/03/1055407903.db2.gz MVUJZJQQQDBWLZ-PKOBYXMFSA-N 0 3 312.844 4.432 20 0 DIADHN Clc1ccnc2ccc(CN3CC[C@H](c4ccccn4)C3)cc12 ZINC001237268042 1055409723 /nfs/dbraw/zinc/40/97/23/1055409723.db2.gz FULJAFPSKFFEKF-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H]1CCOc2ccc(F)cc21 ZINC000765502372 1055410155 /nfs/dbraw/zinc/41/01/55/1055410155.db2.gz KBTWLPIZPWDWNQ-KRWDZBQOSA-N 0 3 324.355 4.318 20 0 DIADHN COc1cc(CN(C)CCOc2ccccc2)ccc1C(F)F ZINC001237274014 1055411987 /nfs/dbraw/zinc/41/19/87/1055411987.db2.gz MXCCLHLIOBKLTG-UHFFFAOYSA-N 0 3 321.367 4.144 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@@H](C)c1cnn(C(C)C)c1 ZINC000765504754 1055412951 /nfs/dbraw/zinc/41/29/51/1055412951.db2.gz RDGWCRQGTMGEOL-ZDUSSCGKSA-N 0 3 310.401 4.194 20 0 DIADHN FC(F)(F)c1cnccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001237284678 1055415177 /nfs/dbraw/zinc/41/51/77/1055415177.db2.gz DOEXFKJJIGFRRI-UHFFFAOYSA-N 0 3 320.305 4.112 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1ccncc1C(F)(F)F)CC2 ZINC001237295185 1055418173 /nfs/dbraw/zinc/41/81/73/1055418173.db2.gz OEEJIKWMUGMNAU-CYBMUJFWSA-N 0 3 316.342 4.205 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000011047293 1055421737 /nfs/dbraw/zinc/42/17/37/1055421737.db2.gz CRPWQTUNYCQFOY-SUMWQHHRSA-N 0 3 316.832 4.408 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)Nc1ccccc1)c1ccc(F)cc1 ZINC000011101493 1055422190 /nfs/dbraw/zinc/42/21/90/1055422190.db2.gz JDTYBGQBWKDMJW-KDOFPFPSSA-N 0 3 314.404 4.140 20 0 DIADHN Cc1cc(F)cc(C)c1CN1CCC(Oc2ccccc2)CC1 ZINC001237319411 1055425768 /nfs/dbraw/zinc/42/57/68/1055425768.db2.gz XGODOVPTIRCNHL-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN CCc1cccc(C)c1CN1CCC[C@H]1C(=O)Nc1ccccc1 ZINC001237329726 1055429341 /nfs/dbraw/zinc/42/93/41/1055429341.db2.gz MSTDQJXNUZAXMA-FQEVSTJZSA-N 0 3 322.452 4.161 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CC[C@](C)(CF)C1 ZINC001237338327 1055431454 /nfs/dbraw/zinc/43/14/54/1055431454.db2.gz NJUGWBYXVPPZLT-GOSISDBHSA-N 0 3 322.424 4.215 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N[C@H](c2ccccc2)C2CC2)c1 ZINC000012673755 1055433160 /nfs/dbraw/zinc/43/31/60/1055433160.db2.gz FNSHQPCFBFEVDQ-DNVCBOLYSA-N 0 3 308.425 4.063 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000012673746 1055434071 /nfs/dbraw/zinc/43/40/71/1055434071.db2.gz FNSHQPCFBFEVDQ-KXBFYZLASA-N 0 3 308.425 4.063 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(OC(F)F)c(F)c1 ZINC001237357491 1055439431 /nfs/dbraw/zinc/43/94/31/1055439431.db2.gz SYTJMLKFRKJADC-UHFFFAOYSA-N 0 3 309.331 4.368 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(OC(F)F)c(F)c2)cc1 ZINC001237357182 1055439651 /nfs/dbraw/zinc/43/96/51/1055439651.db2.gz OAIBAZRLUJPUCN-UHFFFAOYSA-N 0 3 309.331 4.368 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccccc1 ZINC000015056975 1055441041 /nfs/dbraw/zinc/44/10/41/1055441041.db2.gz GCBQILNKKWIIFM-KBXCAEBGSA-N 0 3 314.404 4.140 20 0 DIADHN COc1ccccc1C1(CN[C@H](C)c2cccnc2Cl)CC1 ZINC000765543113 1055445726 /nfs/dbraw/zinc/44/57/26/1055445726.db2.gz ZLVKEJCZOQDZBD-CYBMUJFWSA-N 0 3 316.832 4.126 20 0 DIADHN C[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)[C@@H](c1ccccc1)N(C)C ZINC001537814881 1055448578 /nfs/dbraw/zinc/44/85/78/1055448578.db2.gz LDNCDPXOGFOFMY-MDZRGWNJSA-N 0 3 318.505 4.256 20 0 DIADHN Fc1ccc(CN2CCC3(CC(F)(F)C3)CC2)c2ncccc12 ZINC001237426452 1055451631 /nfs/dbraw/zinc/45/16/31/1055451631.db2.gz ZKBDALSXDBZPCV-UHFFFAOYSA-N 0 3 320.358 4.385 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CCC2(CCCC2)CC1 ZINC001237448793 1055452470 /nfs/dbraw/zinc/45/24/70/1055452470.db2.gz JIWBVHLVBRFMFW-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN CC(C)(C)C1CCN(Cc2c(Cl)[nH]c3cnccc32)CC1 ZINC001237450126 1055454500 /nfs/dbraw/zinc/45/45/00/1055454500.db2.gz RJZLARLCELXPHS-UHFFFAOYSA-N 0 3 305.853 4.474 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c(Cl)[nH]c3cnccc31)CC2 ZINC001237458934 1055456400 /nfs/dbraw/zinc/45/64/00/1055456400.db2.gz NUABDMRLGAFTOW-GFCCVEGCSA-N 0 3 321.827 4.321 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(F)c2)ccc1OC(C)C ZINC000075755859 1055458000 /nfs/dbraw/zinc/45/80/00/1055458000.db2.gz UMPRVORQQPRELG-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN FC(F)(F)c1cc(CN2CC3CCC(CC3)C2)cc(Cl)n1 ZINC001237501329 1055461479 /nfs/dbraw/zinc/46/14/79/1055461479.db2.gz NWWGSHBBQUSAEI-UHFFFAOYSA-N 0 3 318.770 4.376 20 0 DIADHN Cc1cc(CN2C[C@H]3CCC[C@@H]3C2)c(Br)cc1F ZINC001237505578 1055464433 /nfs/dbraw/zinc/46/44/33/1055464433.db2.gz HZKHCJAZJRLYKJ-VXGBXAGGSA-N 0 3 312.226 4.129 20 0 DIADHN FC(F)C1CCN(Cc2cccc(-c3ccccc3)n2)CC1 ZINC001237545858 1055471414 /nfs/dbraw/zinc/47/14/14/1055471414.db2.gz GFRMSARHTKTFCR-UHFFFAOYSA-N 0 3 302.368 4.226 20 0 DIADHN Clc1ncnc2ccc(CN3CCC4(CCC4)CC3)cc12 ZINC001237575116 1055474378 /nfs/dbraw/zinc/47/43/78/1055474378.db2.gz ARJCJJHZZCAZDG-UHFFFAOYSA-N 0 3 301.821 4.049 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]3CCCC[C@@H]32)cc1Br ZINC001237554157 1055474662 /nfs/dbraw/zinc/47/46/62/1055474662.db2.gz CVSDQLQNRAZMCJ-HOCLYGCPSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1ncc(CN2CCC3(CCCC3)CC2)cc1Br ZINC001237556345 1055476392 /nfs/dbraw/zinc/47/63/92/1055476392.db2.gz RCZYVRTUSFSPAN-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN Cc1cccc(CNCCOCc2ccc(Cl)cc2)c1F ZINC001620861766 1055490072 /nfs/dbraw/zinc/49/00/72/1055490072.db2.gz PCBAJNMJKDDMSL-UHFFFAOYSA-N 0 3 307.796 4.094 20 0 DIADHN Cc1ccnc2cccc(CN(C)CCC(=O)c3cccs3)c12 ZINC001237635820 1055490649 /nfs/dbraw/zinc/49/06/49/1055490649.db2.gz JXFLQBJDAOHCGG-UHFFFAOYSA-N 0 3 324.449 4.310 20 0 DIADHN CCc1n[nH]cc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC001237652282 1055495024 /nfs/dbraw/zinc/49/50/24/1055495024.db2.gz QHKVORYUDFBXGT-UHFFFAOYSA-N 0 3 303.837 4.005 20 0 DIADHN Brc1c2ccccc2oc1CNCC1(C2CC2)CC1 ZINC001620870711 1055497729 /nfs/dbraw/zinc/49/77/29/1055497729.db2.gz TXXYCLFROXMMQY-UHFFFAOYSA-N 0 3 320.230 4.475 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1cccc(OC2CC2)c1F ZINC001620872666 1055499699 /nfs/dbraw/zinc/49/96/99/1055499699.db2.gz BBBLNKOLBZQCLJ-DLBZAZTESA-N 0 3 321.436 4.050 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1nccc2c1CCCC2 ZINC001620876861 1055502855 /nfs/dbraw/zinc/50/28/55/1055502855.db2.gz LKDRGKZMWMYFRD-QVKFZJNVSA-N 0 3 306.453 4.374 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cccc2cccnc21 ZINC000023626043 1055502954 /nfs/dbraw/zinc/50/29/54/1055502954.db2.gz WJJNPIZBAIOEOP-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1nc(CNCC2(Cc3ccc(F)cc3)CC2)sc1C ZINC001620879659 1055506708 /nfs/dbraw/zinc/50/67/08/1055506708.db2.gz GLWUXCBDBLKWCV-UHFFFAOYSA-N 0 3 304.434 4.012 20 0 DIADHN COc1cccc(CN(C)Cc2ccc(OC(C)C)c(C)c2)c1 ZINC001237713139 1055512424 /nfs/dbraw/zinc/51/24/24/1055512424.db2.gz TVUBWZYVKIAMMK-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(OC(C)C)c(C)c2)cc1 ZINC001237720202 1055517095 /nfs/dbraw/zinc/51/70/95/1055517095.db2.gz CEIDXYPHLRNSBJ-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN CCc1cc(CN2CC[C@H](c3ccccn3)C2)ccc1Cl ZINC001237739737 1055518661 /nfs/dbraw/zinc/51/86/61/1055518661.db2.gz HVJWTOINKYJTSK-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC[C@@H](Oc2ccncc2)C1 ZINC001237733958 1055520278 /nfs/dbraw/zinc/52/02/78/1055520278.db2.gz XUDUJCHLGUNPPB-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cccc4c3OCC4)C2)c1 ZINC001237745047 1055520877 /nfs/dbraw/zinc/52/08/77/1055520877.db2.gz DQIZAZMKCFSLQI-INIZCTEOSA-N 0 3 313.828 4.264 20 0 DIADHN c1ccc(CN(Cc2cccc3c2OCC3)C2CCCCC2)nc1 ZINC001237749606 1055520914 /nfs/dbraw/zinc/52/09/14/1055520914.db2.gz PKCZKQAWDNBXEG-UHFFFAOYSA-N 0 3 322.452 4.351 20 0 DIADHN CC(C)[C@H](NCc1ccc(=O)[nH]c1)c1ccc(Cl)cc1F ZINC001620896852 1055522898 /nfs/dbraw/zinc/52/28/98/1055522898.db2.gz DXHQXCAIUVAZSW-INIZCTEOSA-N 0 3 308.784 4.067 20 0 DIADHN Fc1ccccc1C1CCN(Cc2cccc3c2OCC3)CC1 ZINC001237751388 1055523479 /nfs/dbraw/zinc/52/34/79/1055523479.db2.gz RICUZIUFSUSAKN-UHFFFAOYSA-N 0 3 311.400 4.140 20 0 DIADHN CC(C)C1CCC(CN[C@@H]2COc3cc(F)cc(F)c32)CC1 ZINC001620898834 1055525589 /nfs/dbraw/zinc/52/55/89/1055525589.db2.gz GQLKZLHIEDXEGC-SEEARECTSA-N 0 3 309.400 4.450 20 0 DIADHN Cc1ncoc1CNCC1(c2cccc(Cl)c2F)CCCC1 ZINC001620902141 1055526832 /nfs/dbraw/zinc/52/68/32/1055526832.db2.gz XUOFUCJFYILQHB-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN C[C@](O)(CNCc1c(Cl)cccc1Cl)C1CCCCC1 ZINC001620901305 1055527990 /nfs/dbraw/zinc/52/79/90/1055527990.db2.gz ULMKGRQWHKUPPT-INIZCTEOSA-N 0 3 316.272 4.414 20 0 DIADHN FCC1CCN(Cc2cc(-c3cccc(Cl)c3)no2)CC1 ZINC001237772224 1055528392 /nfs/dbraw/zinc/52/83/92/1055528392.db2.gz FPMXWNHAUPQCSU-UHFFFAOYSA-N 0 3 308.784 4.177 20 0 DIADHN CC(C)c1cccc(CNCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001620905717 1055528857 /nfs/dbraw/zinc/52/88/57/1055528857.db2.gz DYCHDQHEPOJBHY-UHFFFAOYSA-N 0 3 319.351 4.421 20 0 DIADHN COC(=O)c1ccc(CNCc2cccc(C(C)C)c2)cc1C ZINC001620906274 1055528890 /nfs/dbraw/zinc/52/88/90/1055528890.db2.gz ZTALWMUTYLQHTN-UHFFFAOYSA-N 0 3 311.425 4.195 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CC[C@@H](Cc4ccccc4)C3)nc2c1 ZINC001620906591 1055530870 /nfs/dbraw/zinc/53/08/70/1055530870.db2.gz JZECQALJPOSAES-RDJZCZTQSA-N 0 3 324.399 4.468 20 0 DIADHN Cc1cccc([C@H](C)NC[C@](O)(c2ccccc2)C(F)(F)F)c1 ZINC000765678863 1055537359 /nfs/dbraw/zinc/53/73/59/1055537359.db2.gz BQJCGGXEZNYGAX-YOEHRIQHSA-N 0 3 323.358 4.096 20 0 DIADHN Cc1nc(CN2CC[C@H](c3ccc(Cl)cc3)C2)ccc1F ZINC001237820781 1055538150 /nfs/dbraw/zinc/53/81/50/1055538150.db2.gz PHRAAKRYBIAGIM-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1cccc([C@@H](C)NC[C@@](O)(c2ccccc2)C(F)(F)F)c1 ZINC000765678861 1055537929 /nfs/dbraw/zinc/53/79/29/1055537929.db2.gz BQJCGGXEZNYGAX-RHSMWYFYSA-N 0 3 323.358 4.096 20 0 DIADHN Cc1ccccc1CN(C)Cc1cccc(-c2ccccn2)n1 ZINC001237832987 1055540385 /nfs/dbraw/zinc/54/03/85/1055540385.db2.gz VDPKLEHVJKQRIW-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN Cc1ccc(C2(CNCc3nccn3C3CC3)CCC2)cc1C ZINC001620919775 1055541070 /nfs/dbraw/zinc/54/10/70/1055541070.db2.gz PJXCABLIEVUQEZ-UHFFFAOYSA-N 0 3 309.457 4.046 20 0 DIADHN Cc1ccccc1[C@@H](C)NC[C@](O)(c1ccccc1)C(F)(F)F ZINC000765685582 1055540872 /nfs/dbraw/zinc/54/08/72/1055540872.db2.gz SGXWXGCBWQNAOX-PBHICJAKSA-N 0 3 323.358 4.096 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cccc(-c2ccccn2)n1 ZINC001237837578 1055541046 /nfs/dbraw/zinc/54/10/46/1055541046.db2.gz SSAVKPKPODLUIQ-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@](O)(c2ccccc2)C(F)(F)F)cc1 ZINC000765685661 1055541304 /nfs/dbraw/zinc/54/13/04/1055541304.db2.gz SYCLTZGJEBXHOK-RHSMWYFYSA-N 0 3 323.358 4.096 20 0 DIADHN CCOC[C@@H](NCc1cc(Cl)c(OC)cc1Cl)C(C)C ZINC001620920484 1055542888 /nfs/dbraw/zinc/54/28/88/1055542888.db2.gz QYNHOBVGXJPIAT-CQSZACIVSA-N 0 3 320.260 4.153 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(C(F)(F)F)CCCC1 ZINC000624272388 1055544442 /nfs/dbraw/zinc/54/44/42/1055544442.db2.gz DUMDGXCHGMZKAT-LBPRGKRZSA-N 0 3 300.368 4.417 20 0 DIADHN CCC(CC)N(C)Cc1cccc(OC)c1Br ZINC001237865328 1055545496 /nfs/dbraw/zinc/54/54/96/1055545496.db2.gz NPPSTDKUTPDRTJ-UHFFFAOYSA-N 0 3 300.240 4.078 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CCC2(CCCC2)CC1 ZINC001237879919 1055546824 /nfs/dbraw/zinc/54/68/24/1055546824.db2.gz VWVXUYTZWDTIIV-UHFFFAOYSA-N 0 3 313.445 4.175 20 0 DIADHN CCc1ccc([C@H](O)CNCc2ccc(C)cc2Cl)cc1 ZINC001620932214 1055547002 /nfs/dbraw/zinc/54/70/02/1055547002.db2.gz CAOLCSCZLDOJSB-GOSISDBHSA-N 0 3 303.833 4.034 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCc4sccc4C3)cc21 ZINC001237879134 1055548612 /nfs/dbraw/zinc/54/86/12/1055548612.db2.gz ULZUVJPEUIQFGB-UHFFFAOYSA-N 0 3 324.493 4.308 20 0 DIADHN Cc1occc1CNC[C@@H](OCC1CC1)c1ccc(Cl)cc1 ZINC001620933321 1055549928 /nfs/dbraw/zinc/54/99/28/1055549928.db2.gz NIIALTQOUVUWNW-GOSISDBHSA-N 0 3 319.832 4.499 20 0 DIADHN CO[C@H]([C@@H](C)NCc1cc(C(F)(F)F)ccc1Cl)C1CC1 ZINC001620937441 1055550978 /nfs/dbraw/zinc/55/09/78/1055550978.db2.gz PGSHKCRYZDPSQR-YMTOWFKASA-N 0 3 321.770 4.262 20 0 DIADHN Cc1cccc(CN(CC[C@H]2CCCCO2)Cc2ccccn2)c1 ZINC000347494006 1055553682 /nfs/dbraw/zinc/55/36/82/1055553682.db2.gz XUVWURMHXRNYGT-OAQYLSRUSA-N 0 3 324.468 4.351 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCC2(CCCOC2)CC1 ZINC001237909783 1055557073 /nfs/dbraw/zinc/55/70/73/1055557073.db2.gz KZZYJJKOJRVFOF-UHFFFAOYSA-N 0 3 321.436 4.007 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C(C)(C)C)c(F)c1)N(CC)CC ZINC001593662246 1055557161 /nfs/dbraw/zinc/55/71/61/1055557161.db2.gz JKDJJHXICPAYND-INIZCTEOSA-N 0 3 308.441 4.182 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(COc3ccccc3)cc2)C1 ZINC001237920868 1055558907 /nfs/dbraw/zinc/55/89/07/1055558907.db2.gz NTZYMZGBXUDOFU-HXUWFJFHSA-N 0 3 323.436 4.067 20 0 DIADHN Fc1cc(OC(F)F)ccc1CNC[C@H]1CCCCC1(F)F ZINC001620949084 1055563179 /nfs/dbraw/zinc/56/31/79/1055563179.db2.gz BGEGOZGSBAPHKG-LLVKDONJSA-N 0 3 323.305 4.342 20 0 DIADHN Fc1cc(OC(F)F)ccc1CNC[C@@H]1CCCCC1(F)F ZINC001620949085 1055563842 /nfs/dbraw/zinc/56/38/42/1055563842.db2.gz BGEGOZGSBAPHKG-NSHDSACASA-N 0 3 323.305 4.342 20 0 DIADHN Cc1sccc1CN1CCC[C@H](OCc2ccccc2)C1 ZINC001237966005 1055567620 /nfs/dbraw/zinc/56/76/20/1055567620.db2.gz FLYHEKFZXVANRK-SFHVURJKSA-N 0 3 301.455 4.238 20 0 DIADHN C[C@@H](NCc1c2c(nn1C)CCC2)c1ccc(C(C)(C)C)cc1 ZINC000921558681 1055568423 /nfs/dbraw/zinc/56/84/23/1055568423.db2.gz XBYXKIRKZAECKC-CQSZACIVSA-N 0 3 311.473 4.057 20 0 DIADHN CN(Cc1csc(-c2ccc(O)cc2)n1)C1CCCCC1 ZINC001237977503 1055570729 /nfs/dbraw/zinc/57/07/29/1055570729.db2.gz JEQXMPUKIZTZNB-UHFFFAOYSA-N 0 3 302.443 4.280 20 0 DIADHN Oc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@@H]43)cs2)cc1 ZINC001237982773 1055574158 /nfs/dbraw/zinc/57/41/58/1055574158.db2.gz IWYRZDRYYLQOGE-GUYCJALGSA-N 0 3 314.454 4.280 20 0 DIADHN C[C@@H]1CCCCN(Cc2csc(-c3ccc(O)cc3)n2)C1 ZINC001237978733 1055574285 /nfs/dbraw/zinc/57/42/85/1055574285.db2.gz ZCTKBRDRAOTQHZ-CYBMUJFWSA-N 0 3 302.443 4.138 20 0 DIADHN CCCc1ccc(CN[C@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC001620956818 1055579651 /nfs/dbraw/zinc/57/96/51/1055579651.db2.gz LYWZHVJYJFWEQQ-HXUWFJFHSA-N 0 3 314.448 4.171 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cncc(Cl)c1Cl)CC2 ZINC001238011374 1055585430 /nfs/dbraw/zinc/58/54/30/1055585430.db2.gz LFAPVUAJUWCICR-UHFFFAOYSA-N 0 3 317.235 4.493 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001238029587 1055587410 /nfs/dbraw/zinc/58/74/10/1055587410.db2.gz XAWWQMJLKOMHHL-UHFFFAOYSA-N 0 3 318.436 4.072 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCC(C(C)(C)C)CC1 ZINC001238033758 1055590310 /nfs/dbraw/zinc/59/03/10/1055590310.db2.gz SPLDUDCPULKOMU-UHFFFAOYSA-N 0 3 302.462 4.226 20 0 DIADHN Cc1ccc(O)cc1CN1CCC[C@@H](Oc2ccccc2C)C1 ZINC001238093493 1055606048 /nfs/dbraw/zinc/60/60/48/1055606048.db2.gz FSVYPZRGTVZHFF-LJQANCHMSA-N 0 3 311.425 4.052 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](c1ccccc1)C(C)C ZINC000029197405 1055614271 /nfs/dbraw/zinc/61/42/71/1055614271.db2.gz WBSJKMPTXURJCG-HXUWFJFHSA-N 0 3 310.441 4.174 20 0 DIADHN COc1c(C)cnc(CN(C)CCc2ccccc2Cl)c1C ZINC001238175759 1055614930 /nfs/dbraw/zinc/61/49/30/1055614930.db2.gz ITBZLJBZFPSURG-UHFFFAOYSA-N 0 3 318.848 4.035 20 0 DIADHN CC(C)c1cc(CN2CCC[C@](O)(CF)CC2)ccc1Cl ZINC001238219567 1055622210 /nfs/dbraw/zinc/62/22/10/1055622210.db2.gz QGJIRCNWVJURTD-QGZVFWFLSA-N 0 3 313.844 4.150 20 0 DIADHN CC(C)c1cc(CN2CCC3(C[C@H]3CCO)CC2)ccc1Cl ZINC001238216728 1055623613 /nfs/dbraw/zinc/62/36/13/1055623613.db2.gz DTWDLQGMXQCRQR-MRXNPFEDSA-N 0 3 321.892 4.448 20 0 DIADHN CCC[C@@H](C(=O)NC[C@@H](c1ccsc1)N(CC)CC)C(C)C ZINC001595731094 1055625594 /nfs/dbraw/zinc/62/55/94/1055625594.db2.gz ULZHIDCLFBDXEX-SJORKVTESA-N 0 3 324.534 4.320 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@]1(C)CCC[C@H]1C)c1ccsc1 ZINC001595729061 1055626294 /nfs/dbraw/zinc/62/62/94/1055626294.db2.gz ANBWCQQNZUBHHW-KYJSFNMBSA-N 0 3 322.518 4.074 20 0 DIADHN O=C(c1c[nH]c2ccccc12)[C@@H](NCC1CCC1)c1ccccc1 ZINC000030449854 1055629143 /nfs/dbraw/zinc/62/91/43/1055629143.db2.gz ZTQNNHRZOQNGMY-FQEVSTJZSA-N 0 3 318.420 4.482 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CC[C@H](C)[C@](C)(O)CC1 ZINC001238261557 1055631263 /nfs/dbraw/zinc/63/12/63/1055631263.db2.gz DNWMABHMVQUYIN-BLLLJJGKSA-N 0 3 316.272 4.285 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN(CCO)Cc1ccccc1 ZINC001238263253 1055631487 /nfs/dbraw/zinc/63/14/87/1055631487.db2.gz NGTKEJGOKQPMAF-UHFFFAOYSA-N 0 3 324.251 4.296 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@](C)(CO)CC1 ZINC001238263107 1055631567 /nfs/dbraw/zinc/63/15/67/1055631567.db2.gz MGNZGWFGJZZKOP-INIZCTEOSA-N 0 3 316.272 4.286 20 0 DIADHN CC(C)Oc1c(F)cccc1CN1CCC2(CCOCC2)CC1 ZINC001238329323 1055640350 /nfs/dbraw/zinc/64/03/50/1055640350.db2.gz QVDAKYLOZRCBLD-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN Fc1cccc(CN2CCC[C@@H]3C[C@@H]32)c1OCc1ccccc1 ZINC001238339891 1055640828 /nfs/dbraw/zinc/64/08/28/1055640828.db2.gz XISGVVYFBWONTD-APWZRJJASA-N 0 3 311.400 4.389 20 0 DIADHN C[C@H]1CCN(Cc2cncc(OCc3ccccc3)c2)[C@@H](C)C1 ZINC001238370222 1055646164 /nfs/dbraw/zinc/64/61/64/1055646164.db2.gz STJBUIIKTDPMDF-IRXDYDNUSA-N 0 3 310.441 4.281 20 0 DIADHN Cc1cc(CN(C)[C@@H]2CCc3ccccc32)cnc1OC(C)C ZINC001238384645 1055648294 /nfs/dbraw/zinc/64/82/94/1055648294.db2.gz XUSYXERURBURNR-LJQANCHMSA-N 0 3 310.441 4.297 20 0 DIADHN c1ccc(COc2cncc(CN3CCCC4(CCC4)C3)c2)cc1 ZINC001238373126 1055648645 /nfs/dbraw/zinc/64/86/45/1055648645.db2.gz VUHQQUJIXXTZST-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN Cc1cc(CN2CCC3(CC[C@H](F)C3)CC2)cnc1OC(C)C ZINC001238386255 1055648791 /nfs/dbraw/zinc/64/87/91/1055648791.db2.gz NLPKRDRNOKJVDZ-KRWDZBQOSA-N 0 3 320.452 4.281 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001168570270 1055656027 /nfs/dbraw/zinc/65/60/27/1055656027.db2.gz LJNAZJKTOFDVHB-WMLDXEAASA-N 0 3 302.462 4.186 20 0 DIADHN C[C@H](CN1CCN(CC(F)(F)F)CC1(C)C)CC(C)(C)C ZINC001168570773 1055656583 /nfs/dbraw/zinc/65/65/83/1055656583.db2.gz RLZQTJNKVSSNKV-ZDUSSCGKSA-N 0 3 308.432 4.017 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cc(C)c(Cl)cn1 ZINC001238430481 1055657875 /nfs/dbraw/zinc/65/78/75/1055657875.db2.gz OWEHMVXZBRAURP-AWEZNQCLSA-N 0 3 318.848 4.115 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2cccs2)c(F)c1 ZINC001238451382 1055659913 /nfs/dbraw/zinc/65/99/13/1055659913.db2.gz KBPFCZJBSAYVDG-UHFFFAOYSA-N 0 3 307.434 4.349 20 0 DIADHN CCCC(C)(C)N(Cc1cccs1)Cc1cnc(C)nc1 ZINC000338588823 1055663084 /nfs/dbraw/zinc/66/30/84/1055663084.db2.gz NXANKOFEVVXVQN-UHFFFAOYSA-N 0 3 303.475 4.427 20 0 DIADHN CC(C)Oc1cncc(CN(C)CCc2cccc(Cl)c2)c1 ZINC001238468823 1055665323 /nfs/dbraw/zinc/66/53/23/1055665323.db2.gz NUCRWWXZMAPAEE-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN COc1c(CN2CCCC3(CC(F)C3)CC2)ccc(F)c1F ZINC001238471253 1055665845 /nfs/dbraw/zinc/66/58/45/1055665845.db2.gz FGZDROZZTMRPFR-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1nnc(-c2ccccc2)o1 ZINC000172307582 1055672732 /nfs/dbraw/zinc/67/27/32/1055672732.db2.gz XIQNOKXCLPMYOK-MAUKXSAKSA-N 0 3 319.408 4.115 20 0 DIADHN Cc1ncc([C@H](C)NCc2ccccc2CC(F)(F)F)s1 ZINC000624307606 1055673612 /nfs/dbraw/zinc/67/36/12/1055673612.db2.gz PAQSEHGKKJDBGK-JTQLQIEISA-N 0 3 314.376 4.407 20 0 DIADHN Cc1cncc([C@H](C)NCc2ccccc2CC(F)(F)F)c1 ZINC000624307449 1055676601 /nfs/dbraw/zinc/67/66/01/1055676601.db2.gz KTJOZFWHUHFYHN-ZDUSSCGKSA-N 0 3 308.347 4.346 20 0 DIADHN Cc1cc(CN2C[C@@H]3CCO[C@@H]3C2)ccc1Oc1ccccc1 ZINC001238524676 1055678938 /nfs/dbraw/zinc/67/89/38/1055678938.db2.gz XKRYYBLVAGYXQC-FXAWDEMLSA-N 0 3 309.409 4.008 20 0 DIADHN Cc1cc(CN(C)[C@@H]2CCOC2)ccc1Oc1ccc(F)cc1 ZINC001238524782 1055679154 /nfs/dbraw/zinc/67/91/54/1055679154.db2.gz AOYGCKRYKIXSTH-QGZVFWFLSA-N 0 3 315.388 4.147 20 0 DIADHN CCN(CCOC)Cc1cnc(-c2cccc(Cl)c2)cc1C ZINC001238530853 1055680373 /nfs/dbraw/zinc/68/03/73/1055680373.db2.gz DNUFVVFWPXGILQ-UHFFFAOYSA-N 0 3 318.848 4.179 20 0 DIADHN Cc1cc(CN2CC[C@@H]3CCO[C@H]3C2)ccc1Oc1ccccc1 ZINC001238526230 1055680940 /nfs/dbraw/zinc/68/09/40/1055680940.db2.gz OANQUMXOQXUAPE-NQIIRXRSSA-N 0 3 323.436 4.398 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(F)c(-c3ccccc3)c2)C1 ZINC001238552572 1055684514 /nfs/dbraw/zinc/68/45/14/1055684514.db2.gz PZSIFEFFDBJAKM-GOSISDBHSA-N 0 3 311.400 4.294 20 0 DIADHN Fc1ccc(CN2CC[C@]3(CC3(F)F)C2)cc1-c1ccncc1 ZINC001238555291 1055685067 /nfs/dbraw/zinc/68/50/67/1055685067.db2.gz MDTHYFRUDOWABG-KRWDZBQOSA-N 0 3 318.342 4.119 20 0 DIADHN CCCc1ccc(C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC001596232697 1055685672 /nfs/dbraw/zinc/68/56/72/1055685672.db2.gz HHGAUVGNSLLBOR-UHFFFAOYSA-N 0 3 310.441 4.261 20 0 DIADHN CC(C)Oc1cc(CN(C)CCCc2ccccc2)cnc1F ZINC001238592124 1055690931 /nfs/dbraw/zinc/69/09/31/1055690931.db2.gz IOPSEOFWQIZKHG-UHFFFAOYSA-N 0 3 316.420 4.073 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001238604273 1055693303 /nfs/dbraw/zinc/69/33/03/1055693303.db2.gz QBGFEZLWUJZLFU-QFBILLFUSA-N 0 3 322.452 4.379 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@@H]3CCCC[C@@H]32)cnc1F ZINC001238601335 1055694683 /nfs/dbraw/zinc/69/46/83/1055694683.db2.gz RJQJIMVXMDVANG-HOTGVXAUSA-N 0 3 306.425 4.163 20 0 DIADHN Cc1cc(CN2CC[C@H]3OCCC[C@H]3C2)cnc1-c1ccccc1 ZINC001238606320 1055696636 /nfs/dbraw/zinc/69/66/36/1055696636.db2.gz KEFSPAWLTLWDHN-VQTJNVASSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC(C)(C)OC(C)(C)C2)c1 ZINC001238621153 1055701429 /nfs/dbraw/zinc/70/14/29/1055701429.db2.gz KDRBQQQJYWXOLN-UHFFFAOYSA-N 0 3 324.468 4.446 20 0 DIADHN Cc1cc(CN2CCC(c3cccc(O)c3)CC2)cnc1C1CC1 ZINC001238626049 1055704856 /nfs/dbraw/zinc/70/48/56/1055704856.db2.gz VBQDXUKBWKDREQ-UHFFFAOYSA-N 0 3 322.452 4.353 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1ccc(Oc2ccccc2)o1 ZINC001620967492 1055710364 /nfs/dbraw/zinc/71/03/64/1055710364.db2.gz JYWLRWQFJMVTGS-IAGOWNOFSA-N 0 3 301.386 4.119 20 0 DIADHN Cc1cc(OC(C)C)cc(C)c1CN1CC[C@@H](C(F)(F)F)C1 ZINC001238664524 1055712000 /nfs/dbraw/zinc/71/20/00/1055712000.db2.gz MIWWJAWQEYDWPO-CQSZACIVSA-N 0 3 315.379 4.475 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H](c2ccccn2)C1 ZINC001238678709 1055716661 /nfs/dbraw/zinc/71/66/61/1055716661.db2.gz XFWSFSVJMZNIKL-CYBMUJFWSA-N 0 3 318.873 4.446 20 0 DIADHN CSc1ccc(Cl)cc1CN(C)CCc1ccncc1 ZINC001238676949 1055718729 /nfs/dbraw/zinc/71/87/29/1055718729.db2.gz NFJIRJXPOUZTOM-UHFFFAOYSA-N 0 3 306.862 4.131 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1cc(Cl)ccc1SC ZINC001238680600 1055720598 /nfs/dbraw/zinc/72/05/98/1055720598.db2.gz LUHMGDRRPLIDSS-YIONKMFJSA-N 0 3 311.878 4.204 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC12CC2)c1ncc(Br)s1 ZINC000624314517 1055722055 /nfs/dbraw/zinc/72/20/55/1055722055.db2.gz JXOPYCJNYSZLIB-ZJUUUORDSA-N 0 3 315.280 4.137 20 0 DIADHN O=C(Nc1cccc(Cl)c1)[C@@H]1CCCCN1C1CCCC1 ZINC001538812764 1055725204 /nfs/dbraw/zinc/72/52/04/1055725204.db2.gz GZLPPOBZNQNVOR-INIZCTEOSA-N 0 3 306.837 4.076 20 0 DIADHN Cc1ccccc1CN(C)Cc1cc(F)cc(N2CCCC2)c1 ZINC001238702891 1055726799 /nfs/dbraw/zinc/72/67/99/1055726799.db2.gz XAMLFCNANCYUNP-UHFFFAOYSA-N 0 3 312.432 4.366 20 0 DIADHN Fc1cc(CN2CCCC(F)(F)CC2)cc(N2CCCC2)c1 ZINC001238697452 1055727030 /nfs/dbraw/zinc/72/70/30/1055727030.db2.gz AFACWWHXGYEXFW-UHFFFAOYSA-N 0 3 312.379 4.047 20 0 DIADHN CCOc1ccc(C)c(CN2CC[C@@](CF)(C(F)(F)F)C2)c1 ZINC001238704687 1055728179 /nfs/dbraw/zinc/72/81/79/1055728179.db2.gz LALHBLSGACVMHT-HNNXBMFYSA-N 0 3 319.342 4.118 20 0 DIADHN Cc1n[nH]cc1CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000105493930 1055731297 /nfs/dbraw/zinc/73/12/97/1055731297.db2.gz CZZHYRLXEMZXFW-KRWDZBQOSA-N 0 3 303.837 4.393 20 0 DIADHN CSc1ncc(C)cc1CN(C)CCc1cccc(C)c1 ZINC001238716438 1055731833 /nfs/dbraw/zinc/73/18/33/1055731833.db2.gz JOXPWKGCTQOMHX-UHFFFAOYSA-N 0 3 300.471 4.095 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3ccc(C(C)C)nc3Cl)C[C@@H]21 ZINC001238727070 1055735113 /nfs/dbraw/zinc/73/51/13/1055735113.db2.gz MDVDHHXFNLJXQN-KMFMINBZSA-N 0 3 322.880 4.105 20 0 DIADHN CCN(Cc1cccc(OCc2ccccc2)c1OC)C1CC1 ZINC001238742963 1055737074 /nfs/dbraw/zinc/73/70/74/1055737074.db2.gz UMLJCKGHUFANDP-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN C[C@H]1CCCN(Cc2cccnc2OCc2ccccc2)CC1 ZINC001238770039 1055744307 /nfs/dbraw/zinc/74/43/07/1055744307.db2.gz KUXBACYBQFTNNW-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ncccc2CN2CC[C@@H]3CCC[C@H]3C2)cc1 ZINC001238769243 1055744564 /nfs/dbraw/zinc/74/45/64/1055744564.db2.gz DQQAECCYAGVIRY-OALUTQOASA-N 0 3 322.452 4.283 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC(c2ccccn2)CC1 ZINC001238764534 1055745222 /nfs/dbraw/zinc/74/52/22/1055745222.db2.gz UAUASGUPAMOHGI-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC2(CCOC2(C)C)CC1 ZINC001238770581 1055746346 /nfs/dbraw/zinc/74/63/46/1055746346.db2.gz NHJVELNTKRNPPD-UHFFFAOYSA-N 0 3 317.473 4.093 20 0 DIADHN C[C@@H]1CCCN(Cc2cccnc2OCc2ccccc2)[C@@H]1C ZINC001238772059 1055746647 /nfs/dbraw/zinc/74/66/47/1055746647.db2.gz AQRZLXLISMBJJR-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1C[C@@H]2CC(F)(F)C[C@@H]2C1 ZINC001238781298 1055750147 /nfs/dbraw/zinc/75/01/47/1055750147.db2.gz QCDDASMWNGDFKQ-PHIMTYICSA-N 0 3 303.755 4.265 20 0 DIADHN C[C@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)CS1 ZINC001620970592 1055751071 /nfs/dbraw/zinc/75/10/71/1055751071.db2.gz OYYYUPQBANUQCW-GZMMTYOYSA-N 0 3 309.784 4.342 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CCC[C@H]1Cc1ccccn1 ZINC001238783798 1055751446 /nfs/dbraw/zinc/75/14/46/1055751446.db2.gz BQOQIBQPFMAMNG-INIZCTEOSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2CCC=CCCC2)c1 ZINC001566424622 1055772839 /nfs/dbraw/zinc/77/28/39/1055772839.db2.gz XZEMHYWPUMLRER-KRWDZBQOSA-N 0 3 300.446 4.132 20 0 DIADHN c1sc2ccccc2c1-c1cncc([C@@H]2CN3CCC2CC3)n1 ZINC001238888131 1055781577 /nfs/dbraw/zinc/78/15/77/1055781577.db2.gz UUYGYPUWLZHXEB-OAHLLOKOSA-N 0 3 321.449 4.168 20 0 DIADHN CCOCc1cncc(-c2cccc(CN(CC)CC)c2F)c1 ZINC001238938534 1055798910 /nfs/dbraw/zinc/79/89/10/1055798910.db2.gz CFKRRVOZQWTKKY-UHFFFAOYSA-N 0 3 316.420 4.266 20 0 DIADHN CN1CCC(Oc2ccnc(-c3cccc(Cl)c3F)c2)CC1 ZINC001238957058 1055802935 /nfs/dbraw/zinc/80/29/35/1055802935.db2.gz JZUYUIOGAKXFES-UHFFFAOYSA-N 0 3 320.795 4.014 20 0 DIADHN CCCC(CCC)C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C ZINC001539262817 1055812773 /nfs/dbraw/zinc/81/27/73/1055812773.db2.gz PVMNGGQJBSIIMB-IBGZPJMESA-N 0 3 318.505 4.184 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000058773621 1055815866 /nfs/dbraw/zinc/81/58/66/1055815866.db2.gz CYRHVXZINPWVDI-CVEARBPZSA-N 0 3 312.457 4.137 20 0 DIADHN CN(CCOc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC000058828917 1055819026 /nfs/dbraw/zinc/81/90/26/1055819026.db2.gz YLCUEJOTEPEZMR-UHFFFAOYSA-N 0 3 309.331 4.216 20 0 DIADHN O=C(CN1CCC[C@@H]1c1cccc2ccccc21)NC1CCCC1 ZINC000060040170 1055827446 /nfs/dbraw/zinc/82/74/46/1055827446.db2.gz CMBWFFQNQMXEDZ-HXUWFJFHSA-N 0 3 322.452 4.036 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H]2COCc3ccccc32)c(C)c1 ZINC001620976314 1055839796 /nfs/dbraw/zinc/83/97/96/1055839796.db2.gz WPQDGEQTFDQUHF-AVKJRPAMSA-N 0 3 307.437 4.486 20 0 DIADHN CCc1cccc(NC(=O)c2cccc(CN3CCCC3)c2)c1 ZINC000060550301 1055842055 /nfs/dbraw/zinc/84/20/55/1055842055.db2.gz JBLLXILBJPENJE-UHFFFAOYSA-N 0 3 308.425 4.097 20 0 DIADHN Fc1ccc(SCCCNCc2c(F)cccc2F)cc1 ZINC000060818029 1055851635 /nfs/dbraw/zinc/85/16/35/1055851635.db2.gz VFTDKMXOQSFNHY-UHFFFAOYSA-N 0 3 311.372 4.376 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@H]1CC(C)(C)C ZINC000060889913 1055854124 /nfs/dbraw/zinc/85/41/24/1055854124.db2.gz AWPBIVJYWBBFHG-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(-c2nnc(CN(C)C3CCC(F)(F)CC3)o2)cc1 ZINC000347582426 1055855943 /nfs/dbraw/zinc/85/59/43/1055855943.db2.gz PRSNMUPNDLFJIO-UHFFFAOYSA-N 0 3 321.371 4.055 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N1[C@H](C)CNC[C@@H]1C ZINC001239269068 1055856326 /nfs/dbraw/zinc/85/63/26/1055856326.db2.gz NLFGCBGRKZZNBN-GASCZTMLSA-N 0 3 317.477 4.148 20 0 DIADHN CCN(Cc1nnc(-c2ccccc2Cl)o1)C1CCCC1 ZINC000061256329 1055863387 /nfs/dbraw/zinc/86/33/87/1055863387.db2.gz HXSLMJATYPBAPD-UHFFFAOYSA-N 0 3 305.809 4.155 20 0 DIADHN CC[C@@H](C(=O)N(Cc1ccccc1)[C@H](C)c1ccccc1)N(C)C ZINC001569612079 1055865954 /nfs/dbraw/zinc/86/59/54/1055865954.db2.gz RSRIECDMGLDLOL-XLIONFOSSA-N 0 3 324.468 4.117 20 0 DIADHN CC[C@@H](CC(=O)Nc1cc(CN(C)C)ccc1OC)C(C)(C)C ZINC001539590797 1055866171 /nfs/dbraw/zinc/86/61/71/1055866171.db2.gz LCNSVSBDRCLXGW-HNNXBMFYSA-N 0 3 320.477 4.158 20 0 DIADHN Cc1ccc(SCCNCc2cnn(-c3ccccc3)c2)cc1 ZINC000061555141 1055868156 /nfs/dbraw/zinc/86/81/56/1055868156.db2.gz ZIIKXRUFMAOGKN-UHFFFAOYSA-N 0 3 323.465 4.063 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H]1CCCC[C@H]1CC ZINC001569753186 1055871219 /nfs/dbraw/zinc/87/12/19/1055871219.db2.gz LEXOASKPXITXTN-ZBFHGGJFSA-N 0 3 317.477 4.259 20 0 DIADHN CCC[C@H]1CCC[C@H]1C(=O)Nc1cnccc1NC(CC)CC ZINC001569753218 1055871816 /nfs/dbraw/zinc/87/18/16/1055871816.db2.gz MBSDINUTKZUOCU-GOEBONIOSA-N 0 3 317.477 4.259 20 0 DIADHN CCC[C@@H]1CCC[C@H]1C(=O)Nc1cnccc1NC(CC)CC ZINC001569753217 1055871872 /nfs/dbraw/zinc/87/18/72/1055871872.db2.gz MBSDINUTKZUOCU-GDBMZVCRSA-N 0 3 317.477 4.259 20 0 DIADHN CCOC(=O)c1nc(CN[C@@H]2CCCC[C@@H]2C(C)(C)C)cs1 ZINC000108367690 1055875004 /nfs/dbraw/zinc/87/50/04/1055875004.db2.gz KJDPWPYTGKBHAH-UONOGXRCSA-N 0 3 324.490 4.014 20 0 DIADHN Clc1cccc2c1CC[C@H]2NCc1cccc2nsnc21 ZINC001649071201 1055883740 /nfs/dbraw/zinc/88/37/40/1055883740.db2.gz ZCEMLZXBLOHRFR-CQSZACIVSA-N 0 3 315.829 4.122 20 0 DIADHN C[C@H]1COCC[C@H]1CNCc1c(Cl)ccc(Cl)c1Cl ZINC000671727106 1055885332 /nfs/dbraw/zinc/88/53/32/1055885332.db2.gz GCAUTOXWUZIBLA-UWVGGRQHSA-N 0 3 322.663 4.409 20 0 DIADHN CC[C@H](C)c1cccc(NC(=O)[C@]2(F)CCN(C(C)(C)C)C2)c1 ZINC001539703924 1055887346 /nfs/dbraw/zinc/88/73/46/1055887346.db2.gz HEDAHJQNHXQUSL-LIRRHRJNSA-N 0 3 320.452 4.351 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(C)nc3C)c(C)c2)CC1 ZINC001239449205 1055892103 /nfs/dbraw/zinc/89/21/03/1055892103.db2.gz HUGQRVRRQKTFFJ-UHFFFAOYSA-N 0 3 307.441 4.178 20 0 DIADHN COc1cc(CN(C)Cc2ccc3occc3c2)cc(OC)c1 ZINC000347620095 1055894420 /nfs/dbraw/zinc/89/44/20/1055894420.db2.gz XZIZZLQOPGHTEV-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN CCCc1ccc(C(=O)Nc2ccc(CN(C)C)cc2F)s1 ZINC001570312085 1055895452 /nfs/dbraw/zinc/89/54/52/1055895452.db2.gz HZOIUTZLBFSNEE-UHFFFAOYSA-N 0 3 320.433 4.154 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C23CCC(CC2)C3(C)C)c(F)c1 ZINC001570312266 1055895531 /nfs/dbraw/zinc/89/55/31/1055895531.db2.gz OVLKJPIXOPAIBS-UHFFFAOYSA-N 0 3 318.436 4.042 20 0 DIADHN CCC[C@@H](O)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001649245415 1055903725 /nfs/dbraw/zinc/90/37/25/1055903725.db2.gz VPYJHCQRULPDIW-QGZVFWFLSA-N 0 3 315.457 4.495 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)Nc1cccc(CN(C)C)c1 ZINC001539802576 1055907513 /nfs/dbraw/zinc/90/75/13/1055907513.db2.gz BNWVUDRNXHXWNR-MRXNPFEDSA-N 0 3 302.462 4.459 20 0 DIADHN c1ccc(Oc2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001239492553 1055907670 /nfs/dbraw/zinc/90/76/70/1055907670.db2.gz BXAJXAYZKMSSJO-UHFFFAOYSA-N 0 3 302.377 4.187 20 0 DIADHN CC(C)N(C(=O)CNC1(c2ccccc2)CCC1)c1ccccc1 ZINC000064343733 1055914632 /nfs/dbraw/zinc/91/46/32/1055914632.db2.gz GKSTZTHYEKYRFV-UHFFFAOYSA-N 0 3 322.452 4.097 20 0 DIADHN CN(CC(=O)N1CCC(CC2CCCCC2)CC1)CC(C)(C)C ZINC001598171425 1055922334 /nfs/dbraw/zinc/92/23/34/1055922334.db2.gz INXHDPJRUIYEIP-UHFFFAOYSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671739273 1055923569 /nfs/dbraw/zinc/92/35/69/1055923569.db2.gz FQJZFMQWQJNMEY-MNOVXSKESA-N 0 3 300.333 4.084 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671739274 1055923948 /nfs/dbraw/zinc/92/39/48/1055923948.db2.gz FQJZFMQWQJNMEY-QWRGUYRKSA-N 0 3 300.333 4.084 20 0 DIADHN Cc1ccc(C)c(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1 ZINC001620750888 1055924701 /nfs/dbraw/zinc/92/47/01/1055924701.db2.gz RNYSKZPDUNVBRE-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671738916 1055926091 /nfs/dbraw/zinc/92/60/91/1055926091.db2.gz SQKHZTJJOTXIKT-GHMZBOCLSA-N 0 3 300.333 4.084 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1C[C@@H](O)c1ccc(Cl)c(Cl)c1 ZINC001615640190 1055926341 /nfs/dbraw/zinc/92/63/41/1055926341.db2.gz STKLJOAEOWMZRA-FIXISWKDSA-N 0 3 302.245 4.147 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000671738859 1055926636 /nfs/dbraw/zinc/92/66/36/1055926636.db2.gz AEGQQWRKEIPYON-SNVBAGLBSA-N 0 3 302.761 4.038 20 0 DIADHN CN(C)c1ncc(CN[C@@H](c2ccc(F)cc2)C(C)(C)C)s1 ZINC001620758856 1055929586 /nfs/dbraw/zinc/92/95/86/1055929586.db2.gz ZRBBYVSVXGLFKS-HNNXBMFYSA-N 0 3 321.465 4.225 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671744671 1055935595 /nfs/dbraw/zinc/93/55/95/1055935595.db2.gz OIXOITPLRSHQSP-NHYWBVRUSA-N 0 3 314.360 4.474 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc(F)cc1 ZINC000671744672 1055935739 /nfs/dbraw/zinc/93/57/39/1055935739.db2.gz OIXOITPLRSHQSP-XHDPSFHLSA-N 0 3 314.360 4.474 20 0 DIADHN COC(=O)CC[C@@H](NCc1ccc(C)c(C)c1)c1ccccc1 ZINC001620769890 1055938480 /nfs/dbraw/zinc/93/84/80/1055938480.db2.gz QUHWXAMQPQLGTP-LJQANCHMSA-N 0 3 311.425 4.088 20 0 DIADHN CCCC[C@@H](NCc1cncn1CCC)c1ccc(OC)cc1 ZINC001620771373 1055940233 /nfs/dbraw/zinc/94/02/33/1055940233.db2.gz BFKULTFZNCQVOK-LJQANCHMSA-N 0 3 315.461 4.323 20 0 DIADHN CCN(Cc1nnc(-c2ccccc2Cl)o1)[C@@H](C)C(C)C ZINC000065299531 1055942944 /nfs/dbraw/zinc/94/29/44/1055942944.db2.gz OWDCAAVBISBSPU-LBPRGKRZSA-N 0 3 307.825 4.256 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@H]2CCCC[C@H]2C)co1 ZINC001617217629 1055944893 /nfs/dbraw/zinc/94/48/93/1055944893.db2.gz UAFBTILCMOJTHJ-PBHICJAKSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1cc(C)c(-c2cccc3cc([C@H]4CNCCO4)cn32)c(C)c1 ZINC001239549802 1055947670 /nfs/dbraw/zinc/94/76/70/1055947670.db2.gz IHCREZYXUSMCEQ-HXUWFJFHSA-N 0 3 320.436 4.192 20 0 DIADHN COc1ccc(Cl)cc1CN(C)CCc1ccc(F)cc1 ZINC000065595613 1055952800 /nfs/dbraw/zinc/95/28/00/1055952800.db2.gz OJRCTVYTEWBBRG-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Cc1cccc(C[C@]2(C)CCCN2Cc2coc(C3CC3)n2)c1 ZINC001620468181 1055955559 /nfs/dbraw/zinc/95/55/59/1055955559.db2.gz XPMJWSVGNGBWTK-FQEVSTJZSA-N 0 3 310.441 4.458 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@H]1CCC[C@H](F)C1 ZINC001620984612 1055956403 /nfs/dbraw/zinc/95/64/03/1055956403.db2.gz YJCAIIKQHZTBMU-KBPBESRZSA-N 0 3 321.827 4.204 20 0 DIADHN Cc1cccc(C[C@@]2(C)CCCN2Cc2coc(C3CC3)n2)c1 ZINC001620468182 1055956474 /nfs/dbraw/zinc/95/64/74/1055956474.db2.gz XPMJWSVGNGBWTK-HXUWFJFHSA-N 0 3 310.441 4.458 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CN[C@H]1CCC[C@@H](F)C1 ZINC001620984613 1055957140 /nfs/dbraw/zinc/95/71/40/1055957140.db2.gz YJCAIIKQHZTBMU-KGLIPLIRSA-N 0 3 321.827 4.204 20 0 DIADHN CC(C)c1ccc(CN2CCNC(=O)CC2(C)C)c(C(C)C)c1 ZINC001620500128 1055958191 /nfs/dbraw/zinc/95/81/91/1055958191.db2.gz UJALOPZMIVSKRS-UHFFFAOYSA-N 0 3 316.489 4.034 20 0 DIADHN COc1ccc(CN[C@@H](COc2ccccc2F)C(C)(C)C)o1 ZINC001620785731 1055959738 /nfs/dbraw/zinc/95/97/38/1055959738.db2.gz KVLDAIIALUAWTG-INIZCTEOSA-N 0 3 321.392 4.011 20 0 DIADHN Clc1cc(CN[C@@H](C2CC2)[C@H]2CCCCO2)c(Cl)s1 ZINC001620987674 1055960506 /nfs/dbraw/zinc/96/05/06/1055960506.db2.gz CQRMHDFMBTTXJL-YPMHNXCESA-N 0 3 320.285 4.492 20 0 DIADHN Fc1cccc(N2CCN(CCCC3CCCCC3)CC2)c1 ZINC001620507540 1055962109 /nfs/dbraw/zinc/96/21/09/1055962109.db2.gz OUMSZLBXAGBFDI-UHFFFAOYSA-N 0 3 304.453 4.308 20 0 DIADHN c1ccc(OCC2CC2)c(CNC[C@H]2CCC3(CCCC3)O2)c1 ZINC001620989467 1055962802 /nfs/dbraw/zinc/96/28/02/1055962802.db2.gz CCWHCFOINQFBAY-GOSISDBHSA-N 0 3 315.457 4.057 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCC3)c2F)cc1F ZINC001239581459 1055964404 /nfs/dbraw/zinc/96/44/04/1055964404.db2.gz RJLSGQFBVWKROU-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C)[C@@H]2c2ccccc2)s1 ZINC001620569730 1055966317 /nfs/dbraw/zinc/96/63/17/1055966317.db2.gz NZKOZUBGJPBYNJ-CXAGYDPISA-N 0 3 315.438 4.118 20 0 DIADHN Cc1ccc(CN[C@H]2CC[C@@H](C(=O)OC(C)(C)C)C2)c(Cl)c1 ZINC001620996768 1055966365 /nfs/dbraw/zinc/96/63/65/1055966365.db2.gz JLAHJRXCMJBBCY-HIFRSBDPSA-N 0 3 323.864 4.248 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@@H](C)[C@H]2c2ccccc2)s1 ZINC001620569731 1055967089 /nfs/dbraw/zinc/96/70/89/1055967089.db2.gz NZKOZUBGJPBYNJ-DYVFJYSZSA-N 0 3 315.438 4.118 20 0 DIADHN COC(=O)c1ccc(CN2CC[C@H](C)[C@@H]2c2ccccc2)s1 ZINC001620569733 1055967616 /nfs/dbraw/zinc/96/76/16/1055967616.db2.gz NZKOZUBGJPBYNJ-SUMWQHHRSA-N 0 3 315.438 4.118 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C[C@H](C)C(C)(C)C)cc1Cl ZINC001620563979 1055967654 /nfs/dbraw/zinc/96/76/54/1055967654.db2.gz YCOJSFDREBMECB-ZDUSSCGKSA-N 0 3 310.869 4.201 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2ccn(-c3ccc(Cl)cc3)n2)C1 ZINC001620591605 1055972031 /nfs/dbraw/zinc/97/20/31/1055972031.db2.gz KVAIULPJCUMZFN-HUUCEWRRSA-N 0 3 317.864 4.394 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1cc2cccc(C)c2nc1Cl ZINC001620793087 1055972234 /nfs/dbraw/zinc/97/22/34/1055972234.db2.gz MBCWDQLCNNNSLG-UGSOOPFHSA-N 0 3 320.864 4.083 20 0 DIADHN Cc1cc(F)c(CNCCc2cn(C)c3ccccc23)c(F)c1 ZINC001621008427 1055976353 /nfs/dbraw/zinc/97/63/53/1055976353.db2.gz QUYIHSNKNDJZSO-UHFFFAOYSA-N 0 3 314.379 4.097 20 0 DIADHN Cc1cccnc1[C@H](C)NC[C@@H]1CCC[C@H](c2ccccc2)O1 ZINC001620664508 1055978042 /nfs/dbraw/zinc/97/80/42/1055978042.db2.gz HINUBDHDEUXXGB-YTQUADARSA-N 0 3 310.441 4.351 20 0 DIADHN Cc1ccoc1CNC[C@H](CC(=O)OC(C)(C)C)CC(C)C ZINC001621013769 1055979545 /nfs/dbraw/zinc/97/95/45/1055979545.db2.gz RJUKRISPHROLSL-HNNXBMFYSA-N 0 3 309.450 4.072 20 0 DIADHN Clc1ccccc1CCCN1CCCC[C@]12CCCOC2 ZINC001620684735 1055979797 /nfs/dbraw/zinc/97/97/97/1055979797.db2.gz SGATYQPIJGJBMT-GOSISDBHSA-N 0 3 307.865 4.308 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNC1CC(C)(C)C1 ZINC001621013114 1055980332 /nfs/dbraw/zinc/98/03/32/1055980332.db2.gz RETSGEIKLRPTRJ-UHFFFAOYSA-N 0 3 301.261 4.338 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1OC ZINC001239617841 1055980249 /nfs/dbraw/zinc/98/02/49/1055980249.db2.gz BCYXLVMELRWSLE-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)ccc1F ZINC000671752985 1055980434 /nfs/dbraw/zinc/98/04/34/1055980434.db2.gz OZFIWSMJZGFOSY-RYUDHWBXSA-N 0 3 314.360 4.393 20 0 DIADHN CC[C@H](NCc1cc(O)cc(Cl)c1)c1ccc(OC)cc1 ZINC001620697732 1055981697 /nfs/dbraw/zinc/98/16/97/1055981697.db2.gz ROYRWCRYXHLBTE-KRWDZBQOSA-N 0 3 305.805 4.295 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cccc2c1CCC2)c1ccccc1 ZINC001621017709 1055982902 /nfs/dbraw/zinc/98/29/02/1055982902.db2.gz ZPTBZGPEZOATHT-RTWAWAEBSA-N 0 3 309.453 4.023 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](O)CNCc1cccc(Cl)c1Cl ZINC001621017040 1055983302 /nfs/dbraw/zinc/98/33/02/1055983302.db2.gz GWENTWVCSYZUQQ-LRDDRELGSA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cc2ccccc2n1C)c1ccccc1 ZINC001621017411 1055984074 /nfs/dbraw/zinc/98/40/74/1055984074.db2.gz QINTYZZISVNULW-NHCUHLMSSA-N 0 3 322.452 4.026 20 0 DIADHN CCc1ccc(CNCc2cc(C)nc3c2CCCC3)s1 ZINC001620719541 1055984918 /nfs/dbraw/zinc/98/49/18/1055984918.db2.gz BPJCSELMUQRUAA-UHFFFAOYSA-N 0 3 300.471 4.183 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC001621018189 1055985527 /nfs/dbraw/zinc/98/55/27/1055985527.db2.gz OIZTYJQJIAJYDI-RTWAWAEBSA-N 0 3 309.453 4.412 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(C(C)=O)c3)c(C)c2)CC1 ZINC001239634788 1055990741 /nfs/dbraw/zinc/99/07/41/1055990741.db2.gz JUGNPGCRUKNNPZ-UHFFFAOYSA-N 0 3 320.436 4.369 20 0 DIADHN CCN1CCCC[C@H]1c1ccc(-c2cccc(C(C)=O)c2)nc1 ZINC001239633949 1055990813 /nfs/dbraw/zinc/99/08/13/1055990813.db2.gz DORHGXUZRGKUQH-FQEVSTJZSA-N 0 3 308.425 4.498 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H](C)[C@H](O)C(F)(F)F)c1 ZINC001621029881 1055993612 /nfs/dbraw/zinc/99/36/12/1055993612.db2.gz NDPPHXRLTJFTLO-DYVFJYSZSA-N 0 3 323.358 4.063 20 0 DIADHN COc1cc(F)cc(OC)c1CNC[C@H](C)c1ccc(C)cc1 ZINC001620804192 1055996990 /nfs/dbraw/zinc/99/69/90/1055996990.db2.gz WQDHBOJAHQICQO-AWEZNQCLSA-N 0 3 317.404 4.045 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccc(F)c2)s1)c1ccnn1C ZINC001621039016 1056000085 /nfs/dbraw/zinc/00/00/85/1056000085.db2.gz RKEODEKQGVFPDT-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(Oc2ccccc2)o1 ZINC001620805405 1056000304 /nfs/dbraw/zinc/00/03/04/1056000304.db2.gz SEDVELJELMQJIC-HNNXBMFYSA-N 0 3 303.402 4.367 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccccc1OC ZINC001621040968 1056002133 /nfs/dbraw/zinc/00/21/33/1056002133.db2.gz QPLZIIDCVLCJKU-KDOFPFPSSA-N 0 3 311.425 4.260 20 0 DIADHN Nc1c(Cl)cc(Cl)cc1CNCc1cccc2[nH]ccc21 ZINC001621043072 1056003615 /nfs/dbraw/zinc/00/36/15/1056003615.db2.gz UBWZIKMQFDBZHU-UHFFFAOYSA-N 0 3 320.223 4.347 20 0 DIADHN CC[C@@H](C)C[C@H](C)NCc1ncc(Br)cc1Cl ZINC001620812859 1056007563 /nfs/dbraw/zinc/00/75/63/1056007563.db2.gz UGKUSFORWAYYMP-ZJUUUORDSA-N 0 3 319.674 4.412 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC1(C)CC(F)(F)C1 ZINC001621049024 1056008227 /nfs/dbraw/zinc/00/82/27/1056008227.db2.gz JJENQJLOAKYPOE-UHFFFAOYSA-N 0 3 312.385 4.237 20 0 DIADHN Cc1nc(CNC[C@H]2CCCO[C@@H]2c2ccc(C)cc2)cs1 ZINC001620816108 1056009083 /nfs/dbraw/zinc/00/90/83/1056009083.db2.gz RVBVMAJLDPGZMH-SJLPKXTDSA-N 0 3 316.470 4.017 20 0 DIADHN COc1ccc(OC)c(-c2ccc3c(c2)C(C(C)C)=NCC3)c1 ZINC001239667416 1056015504 /nfs/dbraw/zinc/01/55/04/1056015504.db2.gz XTEWGTVVEZMNRP-UHFFFAOYSA-N 0 3 309.409 4.372 20 0 DIADHN Fc1cccc(C2(CNCc3csc(C4CC4)n3)CCC2)c1 ZINC001621060918 1056016020 /nfs/dbraw/zinc/01/60/20/1056016020.db2.gz RVHYZHTXDRWMOX-UHFFFAOYSA-N 0 3 316.445 4.371 20 0 DIADHN CCCOc1cc(CNC2(c3ccccc3)CC2)ccc1OC ZINC000068979982 1056017885 /nfs/dbraw/zinc/01/78/85/1056017885.db2.gz KLWGNXCVSXWPNQ-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN Cc1cc(C)n(CCN2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000068830161 1056018328 /nfs/dbraw/zinc/01/83/28/1056018328.db2.gz FJSTYKVMLTXWAS-FQEVSTJZSA-N 0 3 322.456 4.208 20 0 DIADHN CCCCc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC001540356302 1056019183 /nfs/dbraw/zinc/01/91/83/1056019183.db2.gz LSGSNUPFAAQEDZ-XHSDSOJGSA-N 0 3 302.462 4.229 20 0 DIADHN COc1cccc(OC)c1-c1cccc(CN2CCCC2)c1F ZINC001239674297 1056019450 /nfs/dbraw/zinc/01/94/50/1056019450.db2.gz GFPJNJYJTCGOFC-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN C[C@@H]1[C@H](C)N(CCCC(C)(C)C)CCN1C(=O)OC(C)(C)C ZINC001168603449 1056021598 /nfs/dbraw/zinc/02/15/98/1056021598.db2.gz FUQQAEFFYBDTHQ-LSDHHAIUSA-N 0 3 312.498 4.142 20 0 DIADHN CCC[C@H](O)CN(Cc1ccc(F)cc1)Cc1ccc(F)cc1 ZINC000035718518 1056029447 /nfs/dbraw/zinc/02/94/47/1056029447.db2.gz PSAWZFOTXVUOOI-IBGZPJMESA-N 0 3 319.395 4.128 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN1CCC2(CCC2)CC1 ZINC001621321838 1056029822 /nfs/dbraw/zinc/02/98/22/1056029822.db2.gz MCMJYAFROQLLSI-UHFFFAOYSA-N 0 3 313.420 4.086 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC001621325167 1056031526 /nfs/dbraw/zinc/03/15/26/1056031526.db2.gz IOJZFPHDTCAMPC-ZWKOTPCHSA-N 0 3 313.489 4.482 20 0 DIADHN Cc1ccc(-c2cnc(CN(C(C)C)C3CCOCC3)o2)cc1 ZINC000072685567 1056032029 /nfs/dbraw/zinc/03/20/29/1056032029.db2.gz PSEYQQAFOHLRDM-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN CN(C)C1(C(=O)Nc2ccc3oc4ccccc4c3c2)CCCC1 ZINC001540458315 1056032727 /nfs/dbraw/zinc/03/27/27/1056032727.db2.gz ISEIPCXJDFLSMO-UHFFFAOYSA-N 0 3 322.408 4.399 20 0 DIADHN CCc1cc(C(=O)Nc2ccc(C)cc2CN(C)C)sc1C ZINC000072841100 1056034856 /nfs/dbraw/zinc/03/48/56/1056034856.db2.gz IIIIYICGXIVBFF-UHFFFAOYSA-N 0 3 316.470 4.241 20 0 DIADHN Clc1ccc(CCCCNCc2cc(C3CC3)no2)s1 ZINC001621331382 1056036139 /nfs/dbraw/zinc/03/61/39/1056036139.db2.gz JQDBFEHYWLBSCP-UHFFFAOYSA-N 0 3 310.850 4.379 20 0 DIADHN CO[C@@H]1C[C@H](N(C)Cc2cscc2C(F)(F)F)C12CCC2 ZINC001621338650 1056042792 /nfs/dbraw/zinc/04/27/92/1056042792.db2.gz NEBFZPFSRDDQLO-QWHCGFSZSA-N 0 3 319.392 4.156 20 0 DIADHN c1c2cccnc2[nH]c1CN1CCC(CCc2ccccc2)CC1 ZINC000027652945 1056054401 /nfs/dbraw/zinc/05/44/01/1056054401.db2.gz DZHAENXWCJQFRP-UHFFFAOYSA-N 0 3 319.452 4.408 20 0 DIADHN CC(C)[C@@H](NC(=O)CN(C)CC(C)(C)C)c1ccc(Cl)cc1 ZINC001540635460 1056054457 /nfs/dbraw/zinc/05/44/57/1056054457.db2.gz SMFFADBAEVSVQQ-QGZVFWFLSA-N 0 3 324.896 4.131 20 0 DIADHN CC[C@@H](CNCc1ccccn1)Oc1ccccc1C(F)(F)F ZINC000084675086 1056058375 /nfs/dbraw/zinc/05/83/75/1056058375.db2.gz KYOZUOSMRRUSHX-AWEZNQCLSA-N 0 3 324.346 4.048 20 0 DIADHN CC(=O)Nc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1 ZINC001239758425 1056061691 /nfs/dbraw/zinc/06/16/91/1056061691.db2.gz TXDGWTNOSNFJTC-UHFFFAOYSA-N 0 3 312.388 4.047 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(OC)c(Cl)c2)cc1 ZINC000112515582 1056062069 /nfs/dbraw/zinc/06/20/69/1056062069.db2.gz XTFZRFRYXHPOEW-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540702680 1056065115 /nfs/dbraw/zinc/06/51/15/1056065115.db2.gz UUHRUZWCFMGAPK-UZLBHIALSA-N 0 3 318.505 4.354 20 0 DIADHN CCC(C)(C)C1CCC(NC(=O)[C@@H](C)N2CCCCCC2)CC1 ZINC001540731266 1056069310 /nfs/dbraw/zinc/06/93/10/1056069310.db2.gz DYFSNOHTIPIVCY-WWDZGPRUSA-N 0 3 322.537 4.362 20 0 DIADHN Clc1ccc2nc(CNC3CC(c4ccccc4)C3)cn2c1 ZINC000113337521 1056076285 /nfs/dbraw/zinc/07/62/85/1056076285.db2.gz XGNXGHOQVSJSQG-UHFFFAOYSA-N 0 3 311.816 4.024 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C[C@H]1CCC[C@@H]1C)c1ccsc1 ZINC001540779610 1056076237 /nfs/dbraw/zinc/07/62/37/1056076237.db2.gz VKVQVPUASNUKSE-ZMSDIMECSA-N 0 3 322.518 4.074 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](CC)c1cccc(Cl)c1 ZINC001540796898 1056077387 /nfs/dbraw/zinc/07/73/87/1056077387.db2.gz CTRKTJMYRMNEPP-KRWDZBQOSA-N 0 3 324.896 4.419 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C(C)(C)C)cc1)N(CC)CC ZINC001542239325 1056112519 /nfs/dbraw/zinc/11/25/19/1056112519.db2.gz YTXAMEBRJKSUQZ-KRWDZBQOSA-N 0 3 304.478 4.067 20 0 DIADHN CC(C)c1ccccc1-c1cc(OC2CCN(C)CC2)ccn1 ZINC001239865838 1056116821 /nfs/dbraw/zinc/11/68/21/1056116821.db2.gz SJBHEAPNTPQTIE-UHFFFAOYSA-N 0 3 310.441 4.345 20 0 DIADHN CC(C)c1ccccc1-c1cncc([C@@H]2CN3CCC2CC3)n1 ZINC001239866147 1056117076 /nfs/dbraw/zinc/11/70/76/1056117076.db2.gz WFSKSYPBLXTXQD-GOSISDBHSA-N 0 3 307.441 4.076 20 0 DIADHN CCN(CC)C(=O)c1ccc(-c2cc3[nH]ccc3c(C)n2)cc1 ZINC001239886924 1056131288 /nfs/dbraw/zinc/13/12/88/1056131288.db2.gz KJNXJFNJDRWFGF-UHFFFAOYSA-N 0 3 307.397 4.020 20 0 DIADHN CCc1ccc([C@H](C)NC[C@](C)(O)c2cccc(Cl)c2)o1 ZINC000765812415 1056157396 /nfs/dbraw/zinc/15/73/96/1056157396.db2.gz BMHSJBWNRJLWTC-SJCJKPOMSA-N 0 3 307.821 4.054 20 0 DIADHN CCCCCCC(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001542816747 1056158895 /nfs/dbraw/zinc/15/88/95/1056158895.db2.gz IXWZOLKPMYCRFY-LJQANCHMSA-N 0 3 316.489 4.300 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@](C)(O)c2ccccc2Cl)o1 ZINC000765813244 1056159552 /nfs/dbraw/zinc/15/95/52/1056159552.db2.gz ZVMVKRKDZNCLMB-PXAZEXFGSA-N 0 3 307.821 4.054 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccccc1Cl)c1ccccc1F ZINC000765812776 1056159859 /nfs/dbraw/zinc/15/98/59/1056159859.db2.gz ZFQOOGKDABGWCT-SJKOYZFVSA-N 0 3 307.796 4.037 20 0 DIADHN C[C@H](NCc1cnn(CC(F)(F)F)c1)c1ccc(C2CC2)cc1 ZINC000418111032 1056167027 /nfs/dbraw/zinc/16/70/27/1056167027.db2.gz DEMMFIRWXLXCMR-LBPRGKRZSA-N 0 3 323.362 4.174 20 0 DIADHN O=C(Nc1ccc(Cl)c(F)c1)[C@@H]1CCCCN1C1CCCC1 ZINC001543038273 1056169938 /nfs/dbraw/zinc/16/99/38/1056169938.db2.gz AXNRUYSQNAMOLX-INIZCTEOSA-N 0 3 324.827 4.215 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(COC)c2)c1F ZINC001239937699 1056171790 /nfs/dbraw/zinc/17/17/90/1056171790.db2.gz MROPXWHAFNHHNF-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccccc3COC)c(C)c2)CC1 ZINC001239949293 1056183415 /nfs/dbraw/zinc/18/34/15/1056183415.db2.gz CTMYAJKGGUMNMF-UHFFFAOYSA-N 0 3 322.452 4.312 20 0 DIADHN C[C@H](CNc1nc(C2CCCCC2)ns1)N1CCC[C@H](C)C1 ZINC001611793927 1056191044 /nfs/dbraw/zinc/19/10/44/1056191044.db2.gz JWDBUHJILFTLGK-UONOGXRCSA-N 0 3 322.522 4.118 20 0 DIADHN C[C@H]1CCCN(Cc2cccc(C(=O)Nc3ccccc3)c2)C1 ZINC000054152527 1056207762 /nfs/dbraw/zinc/20/77/62/1056207762.db2.gz VLIQIBCONLFJJU-INIZCTEOSA-N 0 3 308.425 4.171 20 0 DIADHN COc1ncc(CNC(C)(C)c2cccc(F)c2)c2ccccc21 ZINC001168623393 1056218373 /nfs/dbraw/zinc/21/83/73/1056218373.db2.gz UXGPCMDTHDQUEH-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN CC(=O)n1ncc2ccc(Nc3ccnc(C(C)(C)C)c3)cc21 ZINC001212413938 1056221661 /nfs/dbraw/zinc/22/16/61/1056221661.db2.gz HZXUJKCFBIGVQM-UHFFFAOYSA-N 0 3 308.385 4.133 20 0 DIADHN CCCCNC(=O)c1ccc(-c2nc(C)cc3[nH]ccc32)cc1 ZINC001239984217 1056221961 /nfs/dbraw/zinc/22/19/61/1056221961.db2.gz GZYUTJJZMSCVBK-UHFFFAOYSA-N 0 3 307.397 4.068 20 0 DIADHN CC[C@@H](NC[C@H]1CCCC2(CCC2)O1)c1cc(F)ccc1F ZINC001168632927 1056258646 /nfs/dbraw/zinc/25/86/46/1056258646.db2.gz FFZIPVGPJCIYQL-RHSMWYFYSA-N 0 3 309.400 4.497 20 0 DIADHN O=c1[nH]c2cccc(CN[C@H](c3ccccc3)C3CCC3)c2o1 ZINC000921682660 1056260419 /nfs/dbraw/zinc/26/04/19/1056260419.db2.gz IDHHZKTUFFEPDY-QGZVFWFLSA-N 0 3 308.381 4.164 20 0 DIADHN Cc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)cc1C ZINC000347651085 1056265997 /nfs/dbraw/zinc/26/59/97/1056265997.db2.gz QXRCGSGMZXPFPJ-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN COc1ccc(-c2ccc(OC)c(CN(C)C)c2)cc1Cl ZINC001240032581 1056270085 /nfs/dbraw/zinc/27/00/85/1056270085.db2.gz UHIXOQBRBFYTSE-UHFFFAOYSA-N 0 3 305.805 4.086 20 0 DIADHN Cc1ccc2oc(SCCN(C)Cc3ccccc3)nc2c1 ZINC001612318170 1056271528 /nfs/dbraw/zinc/27/15/28/1056271528.db2.gz IHGNIYDOPDGAKU-UHFFFAOYSA-N 0 3 312.438 4.360 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccccc2Cl)cc1 ZINC000056201581 1056300765 /nfs/dbraw/zinc/30/07/65/1056300765.db2.gz AMANWMMTHGPGLP-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN CC(C)NC(=O)c1cccc(-c2ccc(C3=NCCC3)cc2)c1 ZINC001240080622 1056306543 /nfs/dbraw/zinc/30/65/43/1056306543.db2.gz SFXYCIRRDWBOCQ-UHFFFAOYSA-N 0 3 306.409 4.075 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCSc2ccccc21 ZINC000765847908 1056315214 /nfs/dbraw/zinc/31/52/14/1056315214.db2.gz CQRRLIIYLPLNNQ-QGZVFWFLSA-N 0 3 312.482 4.469 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H](C)c1ccc(OC)c(F)c1 ZINC000765849775 1056326052 /nfs/dbraw/zinc/32/60/52/1056326052.db2.gz QEVBPNNHMCCYDT-AWEZNQCLSA-N 0 3 316.420 4.141 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2ccc(OC)cc21 ZINC000765850762 1056326374 /nfs/dbraw/zinc/32/63/74/1056326374.db2.gz WMAPJKQHSUBAKC-FQEVSTJZSA-N 0 3 324.468 4.319 20 0 DIADHN COc1ccc(-c2ccc(-c3cccc(CN(C)C)n3)cc2)cc1 ZINC001240101430 1056326936 /nfs/dbraw/zinc/32/69/36/1056326936.db2.gz IWMQOUYULNCWIP-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN CCN(C)c1ccccc1CN[C@H](C)c1ccc(OC)c(F)c1 ZINC000765849776 1056327258 /nfs/dbraw/zinc/32/72/58/1056327258.db2.gz QEVBPNNHMCCYDT-CQSZACIVSA-N 0 3 316.420 4.141 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2c3c(nn2C)CCC3)cc1 ZINC000921696191 1056328196 /nfs/dbraw/zinc/32/81/96/1056328196.db2.gz HONQXMAMSAPGLF-UHFFFAOYSA-N 0 3 311.473 4.057 20 0 DIADHN CC[C@@H](NCc1cncn1C)c1ccc(Oc2ccccc2)cc1 ZINC000353572356 1056333663 /nfs/dbraw/zinc/33/36/63/1056333663.db2.gz RWGIGDIGAFKEAQ-HXUWFJFHSA-N 0 3 321.424 4.453 20 0 DIADHN CC[C@H](NCc1cncn1C)c1ccc(Oc2ccccc2)cc1 ZINC000353572355 1056334672 /nfs/dbraw/zinc/33/46/72/1056334672.db2.gz RWGIGDIGAFKEAQ-FQEVSTJZSA-N 0 3 321.424 4.453 20 0 DIADHN Cc1nc2ccccc2c(SCCN(C)Cc2ccccc2)n1 ZINC001613166161 1056354749 /nfs/dbraw/zinc/35/47/49/1056354749.db2.gz BPRALFOJPWPDAK-UHFFFAOYSA-N 0 3 323.465 4.162 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1C[C@H](C)C[C@H](C)C1 ZINC000302800330 1056360079 /nfs/dbraw/zinc/36/00/79/1056360079.db2.gz SYOHFQYVAKADHN-OWCLPIDISA-N 0 3 302.462 4.115 20 0 DIADHN Fc1ccc([C@H](NCc2cnn(CC3CCC3)c2)C2CC2)cc1 ZINC000921717432 1056374117 /nfs/dbraw/zinc/37/41/17/1056374117.db2.gz QOZIVHMTLKZVDB-LJQANCHMSA-N 0 3 313.420 4.063 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(N2CCCCC2)c1 ZINC001240253295 1056391524 /nfs/dbraw/zinc/39/15/24/1056391524.db2.gz LXKJFHVDRQRYCZ-GXDHUFHOSA-N 0 3 307.441 4.271 20 0 DIADHN Cc1cc(C)nc(SCCN(C)Cc2ccc(F)cc2)c1 ZINC001613715851 1056399818 /nfs/dbraw/zinc/39/98/18/1056399818.db2.gz NPOOIEBWJZBXMI-UHFFFAOYSA-N 0 3 304.434 4.062 20 0 DIADHN CC1CCN(CCSc2nc(-c3ccccc3)co2)CC1 ZINC001613745146 1056405277 /nfs/dbraw/zinc/40/52/77/1056405277.db2.gz AVRQQIIXPWOAFH-UHFFFAOYSA-N 0 3 302.443 4.166 20 0 DIADHN CN1CCN(Cc2cccc(-c3ccc(C(F)F)cc3)c2)CC1 ZINC001240292992 1056417223 /nfs/dbraw/zinc/41/72/23/1056417223.db2.gz URGZZCROWIKYSX-UHFFFAOYSA-N 0 3 316.395 4.039 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3cnn(C)c3c2)ccc1F ZINC001240310882 1056423731 /nfs/dbraw/zinc/42/37/31/1056423731.db2.gz QZORXSSLFJVJPB-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCCN(CC1CCCCC1)C(=O)c1cccc(CN(C)C)c1 ZINC000303912532 1056436525 /nfs/dbraw/zinc/43/65/25/1056436525.db2.gz RXJTVTRKCJUIRB-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2cccc(C)c2)cc1 ZINC001168656968 1056446956 /nfs/dbraw/zinc/44/69/56/1056446956.db2.gz JHHYHGMNESVYOS-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN Cn1cc(-c2ccc(-c3cc(N4CCCCC4)ccn3)cc2)cn1 ZINC001240362402 1056447590 /nfs/dbraw/zinc/44/75/90/1056447590.db2.gz TWGDELVJDBPDEQ-UHFFFAOYSA-N 0 3 318.424 4.139 20 0 DIADHN Cc1ncc(CN[C@@H](c2cccc(C(F)(F)F)c2)C(C)C)cn1 ZINC000338709097 1056461284 /nfs/dbraw/zinc/46/12/84/1056461284.db2.gz PWQADEBSMCVVSJ-MRXNPFEDSA-N 0 3 323.362 4.291 20 0 DIADHN CC(C)CC[C@@H](NC(=O)CN(C)C(C)C)c1ccc(Cl)cc1 ZINC001544581318 1056461395 /nfs/dbraw/zinc/46/13/95/1056461395.db2.gz ZWGIRJMOGZOYKN-QGZVFWFLSA-N 0 3 324.896 4.274 20 0 DIADHN CN(Cc1nc2c(s1)CCCC2)C1CCC(F)(F)CC1 ZINC000685473705 1056462421 /nfs/dbraw/zinc/46/24/21/1056462421.db2.gz OSLNUOURESWOFT-UHFFFAOYSA-N 0 3 300.418 4.032 20 0 DIADHN c1ccc(-c2cnccc2N[C@H]2CCCc3cccnc32)cc1 ZINC001168662709 1056463778 /nfs/dbraw/zinc/46/37/78/1056463778.db2.gz ZIACSWOTXLNZRT-IBGZPJMESA-N 0 3 301.393 4.055 20 0 DIADHN FC(F)(F)c1ccnc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240385022 1056466648 /nfs/dbraw/zinc/46/66/48/1056466648.db2.gz KKWTXWMUKBINAS-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Cc1csc2ncnc(C3=CCCN(Cc4ccccc4)C3)c12 ZINC001240384643 1056466830 /nfs/dbraw/zinc/46/68/30/1056466830.db2.gz DPYSBAURRRLMAG-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN c1ccc(CN2CCC=C(c3ccc(C4CCC4)nn3)C2)cc1 ZINC001240385604 1056466999 /nfs/dbraw/zinc/46/69/99/1056466999.db2.gz AUGSSKVKRALJFL-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN CC(=O)Nc1ccc(C2=CCCN(Cc3ccccc3)C2)c(F)c1 ZINC001240388691 1056470489 /nfs/dbraw/zinc/47/04/89/1056470489.db2.gz RLFWHNNZTVCSKR-UHFFFAOYSA-N 0 3 324.399 4.073 20 0 DIADHN FC(F)(F)c1cc(C2=CCCN(Cc3ccccc3)C2)ccn1 ZINC001240389006 1056473793 /nfs/dbraw/zinc/47/37/93/1056473793.db2.gz ZMJUONWJXAVLPA-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CCc2ccccc2)cc1 ZINC001615195655 1056474451 /nfs/dbraw/zinc/47/44/51/1056474451.db2.gz RKTIBXRIJQLAHP-HXUWFJFHSA-N 0 3 322.452 4.031 20 0 DIADHN Clc1ccc(CCCNCc2nccn2C2CC2)c(Cl)c1 ZINC001620827255 1056475920 /nfs/dbraw/zinc/47/59/20/1056475920.db2.gz IOSCAAZWKYRNIV-UHFFFAOYSA-N 0 3 324.255 4.247 20 0 DIADHN CCN(CC1(Br)CC1)[C@@H](C)c1cccc(OC)c1 ZINC001615230543 1056477875 /nfs/dbraw/zinc/47/78/75/1056477875.db2.gz YXRZQKYQDSWKOP-LBPRGKRZSA-N 0 3 312.251 4.006 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N1CCN(C(C)(C)C)CC1 ZINC001615229438 1056478004 /nfs/dbraw/zinc/47/80/04/1056478004.db2.gz JIZPGMLHWLRCEF-LBPRGKRZSA-N 0 3 315.288 4.471 20 0 DIADHN CC[C@@H](CN(Cc1ccc(Cl)c(Cl)c1)C1CC1)OC ZINC001615219242 1056478216 /nfs/dbraw/zinc/47/82/16/1056478216.db2.gz GHZAGOGPMFREEC-ZDUSSCGKSA-N 0 3 302.245 4.383 20 0 DIADHN COc1ncc(CN(Cc2ccccn2)C(C)C)c2ccccc21 ZINC001615219343 1056478511 /nfs/dbraw/zinc/47/85/11/1056478511.db2.gz IDHZZQIWFGPYLE-UHFFFAOYSA-N 0 3 321.424 4.049 20 0 DIADHN c1ccc(OC2CCN(CCSc3ccccc3)CC2)cc1 ZINC001615251918 1056485200 /nfs/dbraw/zinc/48/52/00/1056485200.db2.gz JDHIKTANDYEXKF-UHFFFAOYSA-N 0 3 313.466 4.322 20 0 DIADHN CC(C)(O)c1cccc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001240410052 1056489614 /nfs/dbraw/zinc/48/96/14/1056489614.db2.gz IFLLBYYMFIFUKH-UHFFFAOYSA-N 0 3 313.416 4.316 20 0 DIADHN CCN(Cc1ccn(-c2ccc(Cl)cc2)n1)[C@@H](C)C(C)C ZINC001615318729 1056491327 /nfs/dbraw/zinc/49/13/27/1056491327.db2.gz MNHXIAIEGJMJBJ-AWEZNQCLSA-N 0 3 305.853 4.392 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H](O)[C@@H](C)c1ccccc1 ZINC001615327242 1056494753 /nfs/dbraw/zinc/49/47/53/1056494753.db2.gz WNYDMUGWXDIFQA-OXJNMPFZSA-N 0 3 315.432 4.201 20 0 DIADHN CC(C)CCn1cc(-c2ccc(F)c(CN3CCCC3)c2)cn1 ZINC001240421125 1056496691 /nfs/dbraw/zinc/49/66/91/1056496691.db2.gz TWRMPXVFVPCSJF-UHFFFAOYSA-N 0 3 315.436 4.331 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(CO)ccc1F ZINC001615338718 1056496709 /nfs/dbraw/zinc/49/67/09/1056496709.db2.gz ZISROMYCTCEBNI-LJQANCHMSA-N 0 3 301.405 4.291 20 0 DIADHN Clc1ccccc1-c1cc(CN2CCC[C@@H]2C2CC2)no1 ZINC001615422416 1056507259 /nfs/dbraw/zinc/50/72/59/1056507259.db2.gz GETPHQOUXKBQPG-MRXNPFEDSA-N 0 3 302.805 4.369 20 0 DIADHN CCC(CC)N(CCOC)Cc1ccc(-c2ncco2)cc1F ZINC001615409187 1056508066 /nfs/dbraw/zinc/50/80/66/1056508066.db2.gz VUHTVQAKHCNTAN-UHFFFAOYSA-N 0 3 320.408 4.118 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(-c2ccc3c(N)ccnc3c2)cc1 ZINC001240440937 1056512264 /nfs/dbraw/zinc/51/22/64/1056512264.db2.gz XGKVBCIEVWJORP-UHFFFAOYSA-N 0 3 320.392 4.439 20 0 DIADHN CC[C@H](C)N(Cc1cn2cccc(C)c2n1)Cc1ccccc1 ZINC000061759916 1056520502 /nfs/dbraw/zinc/52/05/02/1056520502.db2.gz MJVDACMRGJVDPO-KRWDZBQOSA-N 0 3 307.441 4.443 20 0 DIADHN CCc1onc(C)c1CNC[C@@H](CC)Oc1ccccc1Cl ZINC001620828297 1056524595 /nfs/dbraw/zinc/52/45/95/1056524595.db2.gz NYIRRGNHQDCRIX-CYBMUJFWSA-N 0 3 322.836 4.146 20 0 DIADHN Clc1cccc2c1C[C@@H](NCc1nc3ccccc3s1)C2 ZINC001168677130 1056527113 /nfs/dbraw/zinc/52/71/13/1056527113.db2.gz MSCNAANELYMXFV-LBPRGKRZSA-N 0 3 314.841 4.207 20 0 DIADHN C[C@H](NCc1cccc2c1OCC2)c1cccc(N2CCCC2)c1 ZINC000921832997 1056528449 /nfs/dbraw/zinc/52/84/49/1056528449.db2.gz RUKYFULTQDRZSF-INIZCTEOSA-N 0 3 322.452 4.073 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@H](C(C)C)CC2)c(C)c1 ZINC001615539711 1056529553 /nfs/dbraw/zinc/52/95/53/1056529553.db2.gz KQAQNJNZNUJGGW-MSOLQXFVSA-N 0 3 316.489 4.389 20 0 DIADHN COc1cccc(CN2CCSC[C@H]2c2ccccc2)c1C ZINC001615536317 1056530082 /nfs/dbraw/zinc/53/00/82/1056530082.db2.gz SCLUACBXXPSDKO-SFHVURJKSA-N 0 3 313.466 4.294 20 0 DIADHN Cc1ccc(CN(CCc2cccc(F)c2)C2CC2)nc1Cl ZINC001615559200 1056532993 /nfs/dbraw/zinc/53/29/93/1056532993.db2.gz MLEQSKXEUNADCL-UHFFFAOYSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1cc2cc(-c3cccc(CN4CCCC4)c3F)cnc2[nH]1 ZINC001240489141 1056533665 /nfs/dbraw/zinc/53/36/65/1056533665.db2.gz HJNAOYFYBOXKFJ-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CCOc1ncccc1-c1ccc(CN(CC)CC)c(F)c1 ZINC001240496655 1056537360 /nfs/dbraw/zinc/53/73/60/1056537360.db2.gz FPAPZHSMXCEASM-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1[C@H]1Cc2cccc(Cl)c2C1 ZINC001168680375 1056537594 /nfs/dbraw/zinc/53/75/94/1056537594.db2.gz SWJBVNMLJQFMHC-YPMHNXCESA-N 0 3 311.816 4.049 20 0 DIADHN CCC[C@@H](NCc1cnn(CCF)c1)c1ccc(Cl)cc1 ZINC000921840172 1056538514 /nfs/dbraw/zinc/53/85/14/1056538514.db2.gz BLJGMFFUBRVOHF-MRXNPFEDSA-N 0 3 309.816 4.137 20 0 DIADHN COc1cc(CN(C)CCC=C(C)C)c(SC)cc1OC ZINC001615600630 1056542745 /nfs/dbraw/zinc/54/27/45/1056542745.db2.gz LWNBWZVYRCHSTL-UHFFFAOYSA-N 0 3 309.475 4.214 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2nc3c(o2)CCCC3)C1 ZINC001615622268 1056546767 /nfs/dbraw/zinc/54/67/67/1056546767.db2.gz UCYNDRHXMHMXIX-JKSUJKDBSA-N 0 3 310.441 4.240 20 0 DIADHN C[C@H]1C[C@H](C)N(CC(=O)Nc2ccc(-c3ccccc3)cc2)C1 ZINC001615636260 1056549109 /nfs/dbraw/zinc/54/91/09/1056549109.db2.gz VWWAMJQFQYGUMQ-HOTGVXAUSA-N 0 3 308.425 4.022 20 0 DIADHN C[C@@H]1C[C@H](C)N(CC(=O)Nc2ccc(-c3ccccc3)cc2)C1 ZINC001615636258 1056549792 /nfs/dbraw/zinc/54/97/92/1056549792.db2.gz VWWAMJQFQYGUMQ-CVEARBPZSA-N 0 3 308.425 4.022 20 0 DIADHN CCC[C@]1(C)CCCN([C@@H]2CCN(c3ccc(C)cc3)C2=O)C1 ZINC001615668820 1056558685 /nfs/dbraw/zinc/55/86/85/1056558685.db2.gz MQNMEUAIQPMPOI-UYAOXDASSA-N 0 3 314.473 4.003 20 0 DIADHN C[C@@]1(CN[C@@H]2CCc3cc(Cl)cc(Cl)c32)CCCO1 ZINC000677655047 1056561804 /nfs/dbraw/zinc/56/18/04/1056561804.db2.gz OQPMBEXXLFROAG-HIFRSBDPSA-N 0 3 300.229 4.139 20 0 DIADHN CCc1ccc([C@H]2C[C@H](C)CCN2Cc2ccc(CO)cc2)o1 ZINC001615669800 1056562504 /nfs/dbraw/zinc/56/25/04/1056562504.db2.gz XIAMIANHTWSXLE-DNVCBOLYSA-N 0 3 313.441 4.308 20 0 DIADHN Cc1c(Cl)ccc(CN[C@H]2CCCc3cn[nH]c32)c1Cl ZINC000921862465 1056570476 /nfs/dbraw/zinc/57/04/76/1056570476.db2.gz RLUNAFZJQJEVJG-ZDUSSCGKSA-N 0 3 310.228 4.192 20 0 DIADHN CCCC1CCN(Cc2cc(-c3ccc(F)cc3)no2)CC1 ZINC001615740931 1056573413 /nfs/dbraw/zinc/57/34/13/1056573413.db2.gz XRNRKQVAOKMNCL-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN Cc1ccccc1OCCCN1CCc2c(F)ccc(F)c2C1 ZINC001615789774 1056577070 /nfs/dbraw/zinc/57/70/70/1056577070.db2.gz PPYOCTWFPZZTTJ-UHFFFAOYSA-N 0 3 317.379 4.100 20 0 DIADHN Fc1ccc(F)c(CN(C[C@@H]2CCCCO2)C2CCCC2)c1 ZINC001615806034 1056578159 /nfs/dbraw/zinc/57/81/59/1056578159.db2.gz OPPCDCRTADNZLP-KRWDZBQOSA-N 0 3 309.400 4.279 20 0 DIADHN CC[C@H](C)CCCCC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001585552933 1056578830 /nfs/dbraw/zinc/57/88/30/1056578830.db2.gz VHESPDYHDVEWRK-QKLQHJQFSA-N 0 3 318.505 4.401 20 0 DIADHN CC(C)CC1CCN(C[C@@H]2CCC[C@H](C(F)(F)F)O2)CC1 ZINC001615834061 1056584640 /nfs/dbraw/zinc/58/46/40/1056584640.db2.gz KLJUTXURNKBORT-LSDHHAIUSA-N 0 3 307.400 4.245 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccccc2OC(C)C)C12CCC2 ZINC001615870490 1056586420 /nfs/dbraw/zinc/58/64/20/1056586420.db2.gz BEQVAZAVZOFVIK-MOPGFXCFSA-N 0 3 317.473 4.253 20 0 DIADHN CC(C)CCC1CCN([C@@H]2CCc3ccccc3NC2=O)CC1 ZINC001615899655 1056592839 /nfs/dbraw/zinc/59/28/39/1056592839.db2.gz FRZHWEMLMBAFQL-LJQANCHMSA-N 0 3 314.473 4.088 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CCC[C@H](C2CCCCC2)C1 ZINC001585616380 1056594444 /nfs/dbraw/zinc/59/44/44/1056594444.db2.gz IGKVTBFNVRRTPT-RBUKOAKNSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccccc1C)c1ccc(F)cc1F ZINC000765952630 1056600039 /nfs/dbraw/zinc/60/00/39/1056600039.db2.gz NBPVZFGCKVRRSY-ROUUACIJSA-N 0 3 305.368 4.048 20 0 DIADHN C[C@H](CN1CCCCC[C@@H]1c1ccco1)NC(=O)OC(C)(C)C ZINC000785457435 1056603995 /nfs/dbraw/zinc/60/39/95/1056603995.db2.gz NCUWSUKDSYOOHE-HUUCEWRRSA-N 0 3 322.449 4.110 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCc3ccccc3[C@@H]2CO)cc1 ZINC001616059400 1056609327 /nfs/dbraw/zinc/60/93/27/1056609327.db2.gz INQBPDQTWDZRIQ-NRFANRHFSA-N 0 3 323.480 4.466 20 0 DIADHN CCc1ccc([C@H](NCc2cc(CO)ccc2F)C(C)C)cc1 ZINC001616135803 1056612855 /nfs/dbraw/zinc/61/28/55/1056612855.db2.gz COVXEGIHHOVLIO-HXUWFJFHSA-N 0 3 315.432 4.367 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(F)ccc1OC(F)F ZINC001616155525 1056614291 /nfs/dbraw/zinc/61/42/91/1056614291.db2.gz VRMYJTLRPPDQKB-LLVKDONJSA-N 0 3 321.408 4.391 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cc(F)cc(F)c2)C1(C)C ZINC001616160534 1056616160 /nfs/dbraw/zinc/61/61/60/1056616160.db2.gz ZULAYEHRDWYZEY-SJORKVTESA-N 0 3 311.416 4.380 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2nc(-c3ccoc3)no2)C1 ZINC000076385763 1056621823 /nfs/dbraw/zinc/62/18/23/1056621823.db2.gz POFBODAYHBUSLU-GDBMZVCRSA-N 0 3 323.396 4.016 20 0 DIADHN Fc1ccccc1CN(C[C@@H]1C[C@H]2CCC[C@H]2O1)C1CCC1 ZINC001616338513 1056637225 /nfs/dbraw/zinc/63/72/25/1056637225.db2.gz LFDZFZHHNDXFNF-DKSSEZFCSA-N 0 3 303.421 4.138 20 0 DIADHN Fc1ccccc1CN(C[C@@H]1C[C@@H]2CCC[C@@H]2O1)C1CCC1 ZINC001616338514 1056637388 /nfs/dbraw/zinc/63/73/88/1056637388.db2.gz LFDZFZHHNDXFNF-FNHZYXHNSA-N 0 3 303.421 4.138 20 0 DIADHN Fc1ccc(-c2cnc(C3CC3)nc2)cc1CN1CCCCC1 ZINC001240686104 1056640826 /nfs/dbraw/zinc/64/08/26/1056640826.db2.gz HOZYOEICRROAEU-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Cc1cccc(CCCN2CCO[C@]3(CCc4ccccc43)C2)c1 ZINC001616375763 1056645480 /nfs/dbraw/zinc/64/54/80/1056645480.db2.gz RETNHFXEDJQLKU-JOCHJYFZSA-N 0 3 321.464 4.102 20 0 DIADHN CCN1CCN([C@@H](C)c2cc(Cl)ccc2Cl)C[C@@H]1C ZINC001616381930 1056646671 /nfs/dbraw/zinc/64/66/71/1056646671.db2.gz AMGOTIMGTCRLGV-RYUDHWBXSA-N 0 3 301.261 4.080 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@H](OCC)C1CCCC1 ZINC001620831023 1056649679 /nfs/dbraw/zinc/64/96/79/1056649679.db2.gz GUJYGNZDHSRURD-SFHVURJKSA-N 0 3 323.452 4.299 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@@H](OCC)C1CCCC1 ZINC001620831022 1056649860 /nfs/dbraw/zinc/64/98/60/1056649860.db2.gz GUJYGNZDHSRURD-GOSISDBHSA-N 0 3 323.452 4.299 20 0 DIADHN Clc1ccccc1OC[C@H]1CCCN(Cc2ccccn2)C1 ZINC000157003684 1056650425 /nfs/dbraw/zinc/65/04/25/1056650425.db2.gz ZSHXWZUSFQFXCS-HNNXBMFYSA-N 0 3 316.832 4.026 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@@H]1C[C@H]1c1ccccc1 ZINC000338773899 1056650580 /nfs/dbraw/zinc/65/05/80/1056650580.db2.gz DYKFGYDAIBGADO-UBDQQSCGSA-N 0 3 324.472 4.018 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@H]1C[C@@H]1c1ccccc1 ZINC000338773895 1056651806 /nfs/dbraw/zinc/65/18/06/1056651806.db2.gz DYKFGYDAIBGADO-DDBAPUKQSA-N 0 3 324.472 4.018 20 0 DIADHN C[C@@H](NC(=O)[C@@H](C)N(C)CCC1CC1)c1cccc2ccccc21 ZINC001616425807 1056653408 /nfs/dbraw/zinc/65/34/08/1056653408.db2.gz FAGILXJOXSBPGD-HZPDHXFCSA-N 0 3 324.468 4.137 20 0 DIADHN CC(C)COCCN1Cc2ccccc2C[C@@H]1c1ccccc1 ZINC001616433004 1056654973 /nfs/dbraw/zinc/65/49/73/1056654973.db2.gz ABXBDUBQSZSBFC-OAQYLSRUSA-N 0 3 309.453 4.459 20 0 DIADHN Fc1cc(CN2CCC[C@H](CCCc3ccccc3)C2)ccn1 ZINC001616441030 1056656664 /nfs/dbraw/zinc/65/66/64/1056656664.db2.gz GTSHZINUQXPMLD-SFHVURJKSA-N 0 3 312.432 4.456 20 0 DIADHN CC(C)CCOCCN1CCC(=Cc2cccc(F)c2)CC1 ZINC001616455982 1056660314 /nfs/dbraw/zinc/66/03/14/1056660314.db2.gz MPXZHCXFVBKBPF-UHFFFAOYSA-N 0 3 305.437 4.368 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001586154256 1056683785 /nfs/dbraw/zinc/68/37/85/1056683785.db2.gz SGDWBBQTXWHAQG-SFHVURJKSA-N 0 3 316.489 4.034 20 0 DIADHN CCO[C@H](C)n1cc(-c2ccc3c(c2)C(C(C)C)=NCC3)cn1 ZINC001240760805 1056685958 /nfs/dbraw/zinc/68/59/58/1056685958.db2.gz SSHGRHKUAXLRMU-CQSZACIVSA-N 0 3 311.429 4.106 20 0 DIADHN Fc1ccc([C@H](NCCSc2ccncc2)C2CC2)c(F)c1 ZINC001616653389 1056695068 /nfs/dbraw/zinc/69/50/68/1056695068.db2.gz HDLDXKSDJFUZDP-QGZVFWFLSA-N 0 3 320.408 4.193 20 0 DIADHN CC(C)OC1CC(N[C@H](C)c2ccc(F)cc2OC(F)F)C1 ZINC001616670040 1056698275 /nfs/dbraw/zinc/69/82/75/1056698275.db2.gz JRRPRYNSVNAVIV-QFWMXSHPSA-N 0 3 317.351 4.034 20 0 DIADHN COc1ccc(-c2ccc([O-])c(F)c2)c(C[NH+]2CCCCC2)c1 ZINC001240790929 1056700933 /nfs/dbraw/zinc/70/09/33/1056700933.db2.gz QXSPBVXPSXXZSV-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(CC(C)C)C1CC1)c1ccccc1 ZINC001616691405 1056703457 /nfs/dbraw/zinc/70/34/57/1056703457.db2.gz NUICZXJUJCQWDL-IBGZPJMESA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)NCCC(C)(C)C)C(C)(C)C ZINC001616738862 1056708645 /nfs/dbraw/zinc/70/86/45/1056708645.db2.gz SJHHUJREOHAWDW-SFHVURJKSA-N 0 3 318.505 4.224 20 0 DIADHN CC[C@H](C(=O)N[C@@H](c1ccc(Cl)cc1)C1CCCC1)N(C)C ZINC001616760646 1056711278 /nfs/dbraw/zinc/71/12/78/1056711278.db2.gz KCEPRZOMEILIMZ-IAGOWNOFSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](C(=O)N[C@H](c1ccc(Cl)cc1)C1CCCC1)N(C)C ZINC001616760647 1056711381 /nfs/dbraw/zinc/71/13/81/1056711381.db2.gz KCEPRZOMEILIMZ-SJORKVTESA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H](NC[C@@](O)(CC)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616753764 1056712144 /nfs/dbraw/zinc/71/21/44/1056712144.db2.gz LSEJSKVDHSGMKE-OLZOCXBDSA-N 0 3 309.759 4.084 20 0 DIADHN COc1ccc(C(F)(F)F)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001240826367 1056721753 /nfs/dbraw/zinc/72/17/53/1056721753.db2.gz FIOFEFKYSWFFTC-UHFFFAOYSA-N 0 3 321.342 4.369 20 0 DIADHN CCc1nc2cc(CN[C@H](C)c3ccncc3)ccc2n1C1CC1 ZINC000338786722 1056723398 /nfs/dbraw/zinc/72/33/98/1056723398.db2.gz CMXVBVNRPYEHBV-CQSZACIVSA-N 0 3 320.440 4.179 20 0 DIADHN C[C@@H](NCC1(CO)CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000157302523 1056725098 /nfs/dbraw/zinc/72/50/98/1056725098.db2.gz OKEISTDGOYUVIW-MRVPVSSYSA-N 0 3 308.636 4.070 20 0 DIADHN CCCCC[C@H](N[C@H]1CCCN(C(C)C)C1=O)c1ccccc1 ZINC001616864448 1056729365 /nfs/dbraw/zinc/72/93/65/1056729365.db2.gz BBRYBNWOXBQGPL-OALUTQOASA-N 0 3 316.489 4.297 20 0 DIADHN Fc1cc(Br)c(CN[C@@H]2CC[C@H]2C2CC2)cc1F ZINC000672614114 1056733563 /nfs/dbraw/zinc/73/35/63/1056733563.db2.gz VLLGJJVPUIQCRA-IINYFYTJSA-N 0 3 316.189 4.006 20 0 DIADHN Fc1cc(Br)c(CN[C@@H]2CC[C@@H]2C2CC2)cc1F ZINC000672614115 1056733685 /nfs/dbraw/zinc/73/36/85/1056733685.db2.gz VLLGJJVPUIQCRA-QMTHXVAHSA-N 0 3 316.189 4.006 20 0 DIADHN FC(F)(F)[C@H](CNCc1ccnn1C1CCC1)c1ccccc1 ZINC000922018843 1056746391 /nfs/dbraw/zinc/74/63/91/1056746391.db2.gz VRLYMIZHDRUTAH-MRXNPFEDSA-N 0 3 323.362 4.044 20 0 DIADHN FC(F)(F)[C@@H](CNCc1cnn(C2CCC2)c1)c1ccccc1 ZINC000922018900 1056746589 /nfs/dbraw/zinc/74/65/89/1056746589.db2.gz XVMXFFHNQQJYKI-INIZCTEOSA-N 0 3 323.362 4.044 20 0 DIADHN CCN(Cc1nc(-c2cccc(Cl)c2)no1)[C@H](C)C(C)C ZINC000065298921 1056747154 /nfs/dbraw/zinc/74/71/54/1056747154.db2.gz MBTMAURVYUFOTQ-GFCCVEGCSA-N 0 3 307.825 4.256 20 0 DIADHN c1ccc2cc(-c3cncc([C@H]4CN5CCC4CC5)n3)ccc2c1 ZINC001240909612 1056753177 /nfs/dbraw/zinc/75/31/77/1056753177.db2.gz HWAQPYNNVIXPKC-IBGZPJMESA-N 0 3 315.420 4.106 20 0 DIADHN CC1(C)CN(Cc2cnn(Cc3ccccc3)c2)CC(C)(C)C1 ZINC001617005960 1056760058 /nfs/dbraw/zinc/76/00/58/1056760058.db2.gz MEOWQHYPFRPUPW-UHFFFAOYSA-N 0 3 311.473 4.190 20 0 DIADHN COc1cccc(CN[C@H](C)c2sc3ccccc3c2C)n1 ZINC001617031188 1056763350 /nfs/dbraw/zinc/76/33/50/1056763350.db2.gz QKGZNYHAVFQLCP-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN C[C@@H](NC[C@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC001617039797 1056765241 /nfs/dbraw/zinc/76/52/41/1056765241.db2.gz KROXVXXYMRGGSJ-MCIONIFRSA-N 0 3 317.408 4.353 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC001617039796 1056766025 /nfs/dbraw/zinc/76/60/25/1056766025.db2.gz KROXVXXYMRGGSJ-HZSPNIEDSA-N 0 3 317.408 4.353 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NC(=O)c2cccc(CN(C)C)c2)CC1 ZINC001617057899 1056768347 /nfs/dbraw/zinc/76/83/47/1056768347.db2.gz NHOFBYAUXQVYOV-MJGOQNOKSA-N 0 3 316.489 4.083 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NC(=O)c2cccc(CN(C)C)c2)CC1 ZINC001617057900 1056769374 /nfs/dbraw/zinc/76/93/74/1056769374.db2.gz NHOFBYAUXQVYOV-PKOBYXMFSA-N 0 3 316.489 4.083 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3c(OC)c2)c(C)c1 ZINC001620833699 1056769820 /nfs/dbraw/zinc/76/98/20/1056769820.db2.gz GPBCKLKLXBIUMW-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCCOC1CCCCC1 ZINC001168700220 1056774147 /nfs/dbraw/zinc/77/41/47/1056774147.db2.gz MYMPZCAICSLBGS-SFHVURJKSA-N 0 3 305.462 4.475 20 0 DIADHN CN(C)C[C@H](N[C@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000338794299 1056775248 /nfs/dbraw/zinc/77/52/48/1056775248.db2.gz WSZOOOCXKQVSOT-IRXDYDNUSA-N 0 3 318.848 4.203 20 0 DIADHN CC(C)OC(=O)c1cccc(-c2ccc3c(N)ccnc3c2)c1 ZINC001240947232 1056775209 /nfs/dbraw/zinc/77/52/09/1056775209.db2.gz JCKZTWAWDNOVEH-UHFFFAOYSA-N 0 3 306.365 4.049 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@@H](O)c1ccc2ccccc2c1 ZINC000132109759 1056775789 /nfs/dbraw/zinc/77/57/89/1056775789.db2.gz JDNPYYAVEGTZDY-MGPUTAFESA-N 0 3 321.420 4.233 20 0 DIADHN C[C@H](NCc1cscn1)[C@@H](C)c1ccccc1C(F)(F)F ZINC001617104155 1056776882 /nfs/dbraw/zinc/77/68/82/1056776882.db2.gz QKWBOTVFBJQGDF-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN COc1cc(F)c(-c2cc(CN3CCCCC3)ccn2)cc1F ZINC001240955324 1056781081 /nfs/dbraw/zinc/78/10/81/1056781081.db2.gz VVFPUYUMVCEIHB-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC(C)=CCN[C@@H](Cn1cnc2ccccc21)c1ccc(F)cc1 ZINC001617139931 1056782292 /nfs/dbraw/zinc/78/22/92/1056782292.db2.gz LCJPLYWIODVMRF-IBGZPJMESA-N 0 3 323.415 4.473 20 0 DIADHN CCCCN(C(=O)CN1CCC(C)CC1)[C@@H](C)c1ccccc1 ZINC001586703565 1056786905 /nfs/dbraw/zinc/78/69/05/1056786905.db2.gz OOUTZRUSEPODPA-SFHVURJKSA-N 0 3 316.489 4.108 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@H]1COC2(CCCCC2)O1 ZINC001617183217 1056789322 /nfs/dbraw/zinc/78/93/22/1056789322.db2.gz UGTQHPSUFWUKSD-LYDJUCFBSA-N 0 3 315.457 4.098 20 0 DIADHN Cc1c[nH]c2ncc(-c3cccc(CN4CCCC4)c3F)cc12 ZINC001240968647 1056790699 /nfs/dbraw/zinc/79/06/99/1056790699.db2.gz JIANOCGJIQXJJG-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CCc1nc2cc(CN[C@H](C)c3cccnc3)ccc2n1C1CC1 ZINC000338795761 1056794065 /nfs/dbraw/zinc/79/40/65/1056794065.db2.gz TYRDGESGFUZQTL-CQSZACIVSA-N 0 3 320.440 4.179 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@@H]3CCC[C@@H](C)C3)CCO2)cc1 ZINC001617218485 1056796667 /nfs/dbraw/zinc/79/66/67/1056796667.db2.gz YXJPKNKDLXUTKP-POAQFYNOSA-N 0 3 301.474 4.449 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CCC(C(C)(C)C)CC1 ZINC001617237102 1056799044 /nfs/dbraw/zinc/79/90/44/1056799044.db2.gz LBPDFIWYRWBDJG-SFHVURJKSA-N 0 3 316.489 4.470 20 0 DIADHN CC[C@]1(C)CN(C[C@H](C)CSc2ccccc2C)CCO1 ZINC001617258504 1056803517 /nfs/dbraw/zinc/80/35/17/1056803517.db2.gz BOAQOZNKEJOIHM-MAUKXSAKSA-N 0 3 307.503 4.224 20 0 DIADHN CN1CCCC(C)(C)[C@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001586782685 1056806002 /nfs/dbraw/zinc/80/60/02/1056806002.db2.gz DSYNOFLDDLHDPK-MRXNPFEDSA-N 0 3 322.537 4.076 20 0 DIADHN Cc1cccc([C@H](NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)C(C)C)c1 ZINC001586872281 1056822317 /nfs/dbraw/zinc/82/23/17/1056822317.db2.gz FKKFIDMKIVUEJS-VXIBKDFQSA-N 0 3 316.489 4.070 20 0 DIADHN CCOc1cc(F)cc(-c2cncc(CN3CCCCC3)c2)c1 ZINC001241025446 1056827517 /nfs/dbraw/zinc/82/75/17/1056827517.db2.gz CJSXLTCANLOQMY-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H]1CCCO[C@@H]1CC(C)C ZINC001617428676 1056830912 /nfs/dbraw/zinc/83/09/12/1056830912.db2.gz HYVLLXALUHMYQP-DOTOQJQBSA-N 0 3 311.853 4.032 20 0 DIADHN CC(C)[C@H](NC(=O)CN(C)C(C)C)c1ccc(C(C)(C)C)cc1 ZINC001617551495 1056848303 /nfs/dbraw/zinc/84/83/03/1056848303.db2.gz CFCZUDMKSQVOOU-IBGZPJMESA-N 0 3 318.505 4.138 20 0 DIADHN C[C@H]1CCSCCN1Cc1ccccc1Oc1cccnc1 ZINC001617720651 1056872872 /nfs/dbraw/zinc/87/28/72/1056872872.db2.gz XFDZDIWSXMGJSS-HNNXBMFYSA-N 0 3 314.454 4.201 20 0 DIADHN C[C@@H]1CCSCCN1Cc1ccccc1Oc1cccnc1 ZINC001617720653 1056873890 /nfs/dbraw/zinc/87/38/90/1056873890.db2.gz XFDZDIWSXMGJSS-OAHLLOKOSA-N 0 3 314.454 4.201 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2cc(F)cc3c2OCOC3)CC1 ZINC000078759377 1056874667 /nfs/dbraw/zinc/87/46/67/1056874667.db2.gz NJPQTONRBKOFLQ-MRXNPFEDSA-N 0 3 321.436 4.340 20 0 DIADHN CCC1(CC)[C@H](NCc2c(F)ccc(C)c2F)[C@H](C)[C@@H]1OC ZINC001617736213 1056876418 /nfs/dbraw/zinc/87/64/18/1056876418.db2.gz ZPMDRUHAXINWKO-VUCTXSBTSA-N 0 3 311.416 4.203 20 0 DIADHN Fc1ccccc1OCCN1CCCCC[C@H]1c1ccco1 ZINC000078831044 1056884695 /nfs/dbraw/zinc/88/46/95/1056884695.db2.gz RXRATBHBYNESBQ-INIZCTEOSA-N 0 3 303.377 4.415 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(Cl)ccc2F)C12CCCC2 ZINC001617799399 1056884636 /nfs/dbraw/zinc/88/46/36/1056884636.db2.gz KTSYGXSWYIUBMX-JKSUJKDBSA-N 0 3 311.828 4.307 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(F)ccc2Cl)C12CCCC2 ZINC001617799374 1056884793 /nfs/dbraw/zinc/88/47/93/1056884793.db2.gz KHQUNXNGMJVPIK-JKSUJKDBSA-N 0 3 311.828 4.307 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(F)ccc2Cl)C12CCCC2 ZINC001617799373 1056885550 /nfs/dbraw/zinc/88/55/50/1056885550.db2.gz KHQUNXNGMJVPIK-HZPDHXFCSA-N 0 3 311.828 4.307 20 0 DIADHN Fc1cc(F)c(F)c(-c2cncc(CN3CCCCC3)c2)c1 ZINC001241094264 1056886833 /nfs/dbraw/zinc/88/68/33/1056886833.db2.gz DDAXWAULAZOQMW-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@H](NCc2nc(C3CCCCC3)cs2)C1(C)C ZINC001618013366 1056913351 /nfs/dbraw/zinc/91/33/51/1056913351.db2.gz WRGUNWCMMANLLV-AFAVFJNCSA-N 0 3 322.518 4.340 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCc1ccccc1 ZINC001618011209 1056913426 /nfs/dbraw/zinc/91/34/26/1056913426.db2.gz JERKKNXDJUOZHP-ZDUSSCGKSA-N 0 3 313.832 4.452 20 0 DIADHN CC(C)CC[C@@H](O)CN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC001618013560 1056914440 /nfs/dbraw/zinc/91/44/40/1056914440.db2.gz XJNKEEWARBNNQU-GXTWGEPZSA-N 0 3 323.868 4.006 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCc1ccccc1 ZINC001618011208 1056914539 /nfs/dbraw/zinc/91/45/39/1056914539.db2.gz JERKKNXDJUOZHP-CYBMUJFWSA-N 0 3 313.832 4.452 20 0 DIADHN COc1cc(OC)cc(-c2ccc3c(c2)C(C(C)C)=NCC3)c1 ZINC001241131799 1056914436 /nfs/dbraw/zinc/91/44/36/1056914436.db2.gz IKONHGLZTKHUDO-UHFFFAOYSA-N 0 3 309.409 4.372 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000657294164 1056920122 /nfs/dbraw/zinc/92/01/22/1056920122.db2.gz HDGOHYSZLWVUJD-UXLLHSPISA-N 0 3 305.418 4.134 20 0 DIADHN CCCn1nccc1CN[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000389789803 1056920928 /nfs/dbraw/zinc/92/09/28/1056920928.db2.gz NIGSQSFDNZHILH-KRWDZBQOSA-N 0 3 303.425 4.309 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC=C(c2ccccn2)C1 ZINC001618099096 1056923435 /nfs/dbraw/zinc/92/34/35/1056923435.db2.gz AIJMYUPVYIBVMH-UHFFFAOYSA-N 0 3 322.452 4.257 20 0 DIADHN CCOc1ccc(C)cc1-c1cncc(CN2CCCCC2)c1 ZINC001241147453 1056926260 /nfs/dbraw/zinc/92/62/60/1056926260.db2.gz NUKLUSOFBONXLL-UHFFFAOYSA-N 0 3 310.441 4.442 20 0 DIADHN CCCCCC[C@H](O)CN[C@@H](C)c1ccc(F)cc1Cl ZINC001618153050 1056926439 /nfs/dbraw/zinc/92/64/39/1056926439.db2.gz AUXQGAQPSLQOER-JSGCOSHPSA-N 0 3 301.833 4.461 20 0 DIADHN Cc1c[nH]c(CN[C@@H](C)C(c2ccccc2)c2ccccc2)n1 ZINC000657300401 1056926838 /nfs/dbraw/zinc/92/68/38/1056926838.db2.gz DZBNTEKUWPZOCX-INIZCTEOSA-N 0 3 305.425 4.028 20 0 DIADHN Cc1ccc(CN(C)CCCOc2ccc3ccccc3c2)nc1 ZINC001618170896 1056928522 /nfs/dbraw/zinc/92/85/22/1056928522.db2.gz CPYSPKJLWMPFGD-UHFFFAOYSA-N 0 3 320.436 4.444 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@H](C)c1ccc(C)cc1 ZINC000079324350 1056928967 /nfs/dbraw/zinc/92/89/67/1056928967.db2.gz QACJKJRFHMUPSS-CVEARBPZSA-N 0 3 310.441 4.235 20 0 DIADHN Cc1ccc(NC(=O)C[C@H]2CCc3ccccc32)c(CN(C)C)c1 ZINC000115386687 1056933195 /nfs/dbraw/zinc/93/31/95/1056933195.db2.gz KAIWWPIWCQSIHQ-QGZVFWFLSA-N 0 3 322.452 4.115 20 0 DIADHN CC(C)(C)[C@H](NCc1ccnn1CC1CC1)c1ccc(F)cc1 ZINC000657336662 1056934654 /nfs/dbraw/zinc/93/46/54/1056934654.db2.gz WYARDABQZNZKNT-GOSISDBHSA-N 0 3 315.436 4.309 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCCOc4ccccc43)[nH]c2c1 ZINC000657339026 1056936827 /nfs/dbraw/zinc/93/68/27/1056936827.db2.gz JMGFKVOHFWFOCZ-GOSISDBHSA-N 0 3 306.409 4.480 20 0 DIADHN Clc1ccccc1CCCN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC001618257853 1056941498 /nfs/dbraw/zinc/94/14/98/1056941498.db2.gz PZXUFDRXGYKQCX-MSOLQXFVSA-N 0 3 319.876 4.306 20 0 DIADHN CC1(C)CCC[C@@H](CN2Cc3ccccc3OC(C)(C)C2)O1 ZINC001618286752 1056944611 /nfs/dbraw/zinc/94/46/11/1056944611.db2.gz FIMKSBKRYJMIAW-INIZCTEOSA-N 0 3 303.446 4.007 20 0 DIADHN CC1(C)CN([C@H]2C[C@@H]2c2cccc(F)c2)Cc2ccccc2O1 ZINC001618289148 1056946175 /nfs/dbraw/zinc/94/61/75/1056946175.db2.gz XLXBFNLIAXDFGX-MSOLQXFVSA-N 0 3 311.400 4.355 20 0 DIADHN CCOc1cc(C)c(-c2ccc(OC)c(CN(C)C)c2)c(C)c1 ZINC001241201819 1056955640 /nfs/dbraw/zinc/95/56/40/1056955640.db2.gz WFNSIAOOIPRMJQ-UHFFFAOYSA-N 0 3 313.441 4.439 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc4c(c3)OCC4)c(C)c2)CC1 ZINC001241211101 1056960966 /nfs/dbraw/zinc/96/09/66/1056960966.db2.gz IEVOFUJAHYHHPN-UHFFFAOYSA-N 0 3 320.436 4.101 20 0 DIADHN CCCCCCOc1ccc(-c2ccc3c(n2)CNCC3)cc1 ZINC001241217537 1056966108 /nfs/dbraw/zinc/96/61/08/1056966108.db2.gz DEKAUZBCZYTDLG-UHFFFAOYSA-N 0 3 310.441 4.353 20 0 DIADHN CCc1ccccc1CN[C@@H]1CCc2cc(OC)c(OC)cc21 ZINC000479074696 1056968323 /nfs/dbraw/zinc/96/83/23/1056968323.db2.gz XBTPTIPYUPHHAY-GOSISDBHSA-N 0 3 311.425 4.043 20 0 DIADHN Fc1cccnc1[C@H](N[C@@H]1Cc2cccc(Cl)c2C1)C1CC1 ZINC001168715996 1056985379 /nfs/dbraw/zinc/98/53/79/1056985379.db2.gz SREPSXIYJFZOHO-CXAGYDPISA-N 0 3 316.807 4.082 20 0 DIADHN Fc1cccnc1[C@@H](N[C@H]1Cc2cccc(Cl)c2C1)C1CC1 ZINC001168715998 1056985515 /nfs/dbraw/zinc/98/55/15/1056985515.db2.gz SREPSXIYJFZOHO-GUYCJALGSA-N 0 3 316.807 4.082 20 0 DIADHN Clc1ccc2c(c1)OC[C@@H]2N[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168715971 1056985591 /nfs/dbraw/zinc/98/55/91/1056985591.db2.gz RJEUKQWIHBJEBK-WBMJQRKESA-N 0 3 320.219 4.184 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC=C(c3cccnc3)C2)cc1 ZINC000347703816 1056990297 /nfs/dbraw/zinc/99/02/97/1056990297.db2.gz HJYXIOHGIMDJCA-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Brc1csc(CNC2(c3ccccc3)CC2)c1 ZINC000068980196 1056990989 /nfs/dbraw/zinc/99/09/89/1056990989.db2.gz PPEDJCHCCNLPJC-UHFFFAOYSA-N 0 3 308.244 4.290 20 0 DIADHN [O-]c1cc(F)cc(-c2ccc(-c3c[nH]c([C@@H]4CCC[NH2+]4)n3)cc2)c1 ZINC001241277919 1056993491 /nfs/dbraw/zinc/99/34/91/1056993491.db2.gz ROMFPZSHIRWMQI-KRWDZBQOSA-N 0 3 323.371 4.013 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1cc([O-])cc(F)c1 ZINC001241280056 1056994326 /nfs/dbraw/zinc/99/43/26/1056994326.db2.gz ANSUVTZQHVMOBT-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Oc1cc(F)cc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001241280543 1056994962 /nfs/dbraw/zinc/99/49/62/1056994962.db2.gz QAFYFVHCVRJXSA-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000117349447 1056997979 /nfs/dbraw/zinc/99/79/79/1056997979.db2.gz KAPYBBFCOOQODM-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@H]1Cc2cc(CN[C@@H](c3ccccn3)C3CCC3)ccc2O1 ZINC000922286429 1056998757 /nfs/dbraw/zinc/99/87/57/1056998757.db2.gz XSVINQKHUYAEHU-VBKZILBWSA-N 0 3 308.425 4.036 20 0 DIADHN [O-]c1ccc(F)c(-c2ccc(C[NH+]3CCCCC3)cc2)c1F ZINC001241292382 1057000422 /nfs/dbraw/zinc/00/04/22/1057000422.db2.gz CAJYFNQRSFUSHB-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CC[C@](C)(NCc1cccc2[nH]c(=O)oc21)c1ccc(F)cc1 ZINC000922299767 1057001315 /nfs/dbraw/zinc/00/13/15/1057001315.db2.gz RSOQYBBKWHSIJI-SFHVURJKSA-N 0 3 314.360 4.088 20 0 DIADHN CC[C@](C)(NCc1cnn(C2CCC2)c1)c1ccc(F)cc1 ZINC000922300006 1057001546 /nfs/dbraw/zinc/00/15/46/1057001546.db2.gz UEQQDOFAHZEUOL-SFHVURJKSA-N 0 3 301.409 4.162 20 0 DIADHN C[NH+]1CCC(c2cccc(-c3c(F)ccc([O-])c3F)c2)CC1 ZINC001241295000 1057002180 /nfs/dbraw/zinc/00/21/80/1057002180.db2.gz DRIVGJCFUMVILB-UHFFFAOYSA-N 0 3 303.352 4.147 20 0 DIADHN CC(C)(C)c1cccc(-c2cncc([C@H]3CN4CCC3CC4)n2)c1 ZINC001241300532 1057003460 /nfs/dbraw/zinc/00/34/60/1057003460.db2.gz ARHXKQWUDQUOHA-SFHVURJKSA-N 0 3 321.468 4.250 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1cc2cnccc2o1 ZINC000922320984 1057006257 /nfs/dbraw/zinc/00/62/57/1057006257.db2.gz RHOLWMUVJPNPNN-OLZOCXBDSA-N 0 3 308.381 4.002 20 0 DIADHN CN1CC=C(c2ncnc3scc(-c4cccs4)c32)CC1 ZINC001241308061 1057006751 /nfs/dbraw/zinc/00/67/51/1057006751.db2.gz FSGBVVFUGWBDLU-UHFFFAOYSA-N 0 3 313.451 4.139 20 0 DIADHN CN1CC=C(c2nc(-c3ccsc3)nc3ccccc32)CC1 ZINC001241312930 1057009864 /nfs/dbraw/zinc/00/98/64/1057009864.db2.gz PLPXDPYIFWZBGP-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN CCOc1cc(F)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001241320973 1057013407 /nfs/dbraw/zinc/01/34/07/1057013407.db2.gz TZFIGUPWQDTPGW-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)[C@@H](C)O)ccc1C(F)(F)F ZINC000657814268 1057016751 /nfs/dbraw/zinc/01/67/51/1057016751.db2.gz BLYOSMYGDGIKSL-CXAGYDPISA-N 0 3 323.358 4.226 20 0 DIADHN CN1CC=C(c2ccc(NC(=O)Oc3ccccc3)cc2)CC1 ZINC001241332784 1057017628 /nfs/dbraw/zinc/01/76/28/1057017628.db2.gz OSCITLTYPFPICK-UHFFFAOYSA-N 0 3 308.381 4.016 20 0 DIADHN CN1CC=C(c2ccc(C(F)(F)F)c(C(F)(F)F)c2)CC1 ZINC001241333830 1057018251 /nfs/dbraw/zinc/01/82/51/1057018251.db2.gz YWRCASRYTAKQKW-UHFFFAOYSA-N 0 3 309.253 4.443 20 0 DIADHN C[C@H](N[C@@H]1CCCn2nccc21)c1ccc(C(F)(F)F)cc1 ZINC000657825818 1057022086 /nfs/dbraw/zinc/02/20/86/1057022086.db2.gz DESUUEYWQYFSMA-SMDDNHRTSA-N 0 3 309.335 4.088 20 0 DIADHN CCCOc1ccc(CNCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000922499198 1057028130 /nfs/dbraw/zinc/02/81/30/1057028130.db2.gz ZVPIBPNVMBAYIT-UHFFFAOYSA-N 0 3 322.408 4.019 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccc(C(F)F)nc3)cc2c1C ZINC000631052420 1057029984 /nfs/dbraw/zinc/02/99/84/1057029984.db2.gz QCBLYNOUERENRS-UHFFFAOYSA-N 0 3 315.367 4.407 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccn2)C2CC2)cc2cccnc12 ZINC000922584319 1057034815 /nfs/dbraw/zinc/03/48/15/1057034815.db2.gz HRYMEQQTTYSIPA-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN Fc1ccc(/C=C/CN[C@H](c2ccccn2)C2CC2)c(F)c1 ZINC000922584594 1057037237 /nfs/dbraw/zinc/03/72/37/1057037237.db2.gz LPJZIYBCIZDILZ-WHLIDYPQSA-N 0 3 300.352 4.114 20 0 DIADHN CCc1cc(CN[C@H](CCC(C)C)c2ccc(OC)cc2)n[nH]1 ZINC000765995336 1057047124 /nfs/dbraw/zinc/04/71/24/1057047124.db2.gz UOCIUOQYXPQXTD-LJQANCHMSA-N 0 3 315.461 4.248 20 0 DIADHN CCc1cc(CN[C@H](CCC(C)C)c2ccc(OC)cc2)[nH]n1 ZINC000765995336 1057047128 /nfs/dbraw/zinc/04/71/28/1057047128.db2.gz UOCIUOQYXPQXTD-LJQANCHMSA-N 0 3 315.461 4.248 20 0 DIADHN CSc1ccc(CNCc2ccc3c(c2)C[C@@H](C)O3)s1 ZINC000922702346 1057049993 /nfs/dbraw/zinc/04/99/93/1057049993.db2.gz YZQUXXKTTZTRPH-LLVKDONJSA-N 0 3 305.468 4.083 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3c(cnn3C)c2)ccc1F ZINC001241440656 1057050221 /nfs/dbraw/zinc/05/02/21/1057050221.db2.gz XJSYDENODBMVJB-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cccc4cnn(C)c43)cc21 ZINC001241458513 1057054215 /nfs/dbraw/zinc/05/42/15/1057054215.db2.gz WXGOMUWBLZXYKA-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN c1nc(CN[C@@H](Cc2ccccc2)C2CCCCC2)cs1 ZINC000482129816 1057055798 /nfs/dbraw/zinc/05/57/98/1057055798.db2.gz UCGCEJBVZNXWDE-SFHVURJKSA-N 0 3 300.471 4.424 20 0 DIADHN CSc1ccc(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)o1 ZINC000922771820 1057058230 /nfs/dbraw/zinc/05/82/30/1057058230.db2.gz IURCILNCQMVPAH-UKRRQHHQSA-N 0 3 309.381 4.140 20 0 DIADHN CC(C)c1ccccc1OCCNCc1ccc(C(F)F)nc1 ZINC000631068320 1057058740 /nfs/dbraw/zinc/05/87/40/1057058740.db2.gz AAHVCTVMEDEDDH-UHFFFAOYSA-N 0 3 320.383 4.311 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc3cn(C)nc32)ccc1F ZINC001241471265 1057059407 /nfs/dbraw/zinc/05/94/07/1057059407.db2.gz MGWHMGZURNYQDS-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CSCCCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000347734368 1057061533 /nfs/dbraw/zinc/06/15/33/1057061533.db2.gz PJCZQTJGUSZLDN-CQSZACIVSA-N 0 3 309.866 4.106 20 0 DIADHN CCN(CC)CC(=O)N[C@H](CCC(C)C)c1ccc(Cl)cc1 ZINC001626085390 1057061755 /nfs/dbraw/zinc/06/17/55/1057061755.db2.gz JAGJZPGDDPVADP-QGZVFWFLSA-N 0 3 324.896 4.275 20 0 DIADHN CSCCCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000347734359 1057062132 /nfs/dbraw/zinc/06/21/32/1057062132.db2.gz PJCZQTJGUSZLDN-AWEZNQCLSA-N 0 3 309.866 4.106 20 0 DIADHN FC(F)(F)c1cnc(CN[C@@H]2CCSC3(CCC3)C2)s1 ZINC000922838896 1057068303 /nfs/dbraw/zinc/06/83/03/1057068303.db2.gz BHJCZISZRNIEGP-SECBINFHSA-N 0 3 322.421 4.070 20 0 DIADHN Cc1cc2c(ncnc2C2=CCN(Cc3ccccc3)CC2)s1 ZINC001241501082 1057070588 /nfs/dbraw/zinc/07/05/88/1057070588.db2.gz YWCOKKQCYSECHK-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1nc2ccccc2nc1C1=CCN(Cc2ccccc2)CC1 ZINC001241501650 1057071483 /nfs/dbraw/zinc/07/14/83/1057071483.db2.gz QTIYEFDXXWBDJC-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Cc1ccc2nc(C3=CCN(Cc4ccccc4)CC3)ccc2n1 ZINC001241502000 1057071803 /nfs/dbraw/zinc/07/18/03/1057071803.db2.gz LEBUIPJNAPHYBU-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CC(C)(C)c1cc(C2=CCN(Cc3ccccc3)CC2)ncn1 ZINC001241502338 1057072530 /nfs/dbraw/zinc/07/25/30/1057072530.db2.gz GBNRVSXDYBPDFX-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN COc1cc(C2=CCN(Cc3ccccc3)CC2)c(OC)cc1C ZINC001241503656 1057073100 /nfs/dbraw/zinc/07/31/00/1057073100.db2.gz ZYMNHONTXFSRKJ-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN FC(F)(F)c1cnccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241503289 1057073264 /nfs/dbraw/zinc/07/32/64/1057073264.db2.gz MFNMCKFCULVJNM-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN COc1cc(C2=CCN(Cc3ccccc3)CC2)cc(F)c1F ZINC001241504080 1057073328 /nfs/dbraw/zinc/07/33/28/1057073328.db2.gz LWQKJYWNWGRCGZ-UHFFFAOYSA-N 0 3 315.363 4.263 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3cccnc32)c2ccccc12 ZINC000922882198 1057073911 /nfs/dbraw/zinc/07/39/11/1057073911.db2.gz LNTSLYWUTXUHGQ-LJQANCHMSA-N 0 3 318.420 4.411 20 0 DIADHN Fc1cc(C2=CCN(Cc3ccccc3)CC2)cc2nccnc12 ZINC001241504342 1057075723 /nfs/dbraw/zinc/07/57/23/1057075723.db2.gz FIZJDMZDIFTENJ-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CCc1cc(CN[C@@H](C)c2ccc(-c3ccccc3)cc2)n[nH]1 ZINC000766004912 1057076212 /nfs/dbraw/zinc/07/62/12/1057076212.db2.gz UAQNEZSPVJTASA-HNNXBMFYSA-N 0 3 305.425 4.490 20 0 DIADHN COc1c(F)ccc(-c2ccc(CN(C)C3CC3)cn2)c1Cl ZINC001241505910 1057076531 /nfs/dbraw/zinc/07/65/31/1057076531.db2.gz ZSECQIINKNGHNL-UHFFFAOYSA-N 0 3 320.795 4.144 20 0 DIADHN CSc1cc(C)c(C2=CCN(Cc3ccccc3)CC2)cn1 ZINC001241507073 1057077269 /nfs/dbraw/zinc/07/72/69/1057077269.db2.gz KDYPVQGOBURICK-UHFFFAOYSA-N 0 3 310.466 4.401 20 0 DIADHN c1ccc(CN2CC=C(c3ccccc3C3OCCO3)CC2)cc1 ZINC001241505668 1057077402 /nfs/dbraw/zinc/07/74/02/1057077402.db2.gz XVJBQUWTHASTCW-UHFFFAOYSA-N 0 3 321.420 4.021 20 0 DIADHN FC(F)c1ccc(C2=CCN(Cc3ccccc3)CC2)nc1 ZINC001241505626 1057077547 /nfs/dbraw/zinc/07/75/47/1057077547.db2.gz WQKMKPMNEJFWHO-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN Cc1ccc(O)c([C@H](C)NCc2cc(Cl)cc3c2OCC3)c1 ZINC000186613817 1057079751 /nfs/dbraw/zinc/07/97/51/1057079751.db2.gz JVGCDJKTKLYQFH-LBPRGKRZSA-N 0 3 317.816 4.140 20 0 DIADHN C[C@@H](C1CC1)N(Cc1coc(-c2ccccc2F)n1)C1CC1 ZINC000625083248 1057086257 /nfs/dbraw/zinc/08/62/57/1057086257.db2.gz GOKZMIVNMSJEQR-LBPRGKRZSA-N 0 3 300.377 4.244 20 0 DIADHN CN1CCC=C(c2ccc(C(F)(F)F)c(C(F)(F)F)c2)C1 ZINC001241571878 1057093829 /nfs/dbraw/zinc/09/38/29/1057093829.db2.gz JRBCDKGQDMXYMC-UHFFFAOYSA-N 0 3 309.253 4.443 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)c2cccc3ccccc32)c1 ZINC000673647091 1057094643 /nfs/dbraw/zinc/09/46/43/1057094643.db2.gz SJBVWNWHINRSDF-UHFFFAOYSA-N 0 3 318.420 4.178 20 0 DIADHN CCO[C@@H](CN1CCCC[C@H]1c1nc2ccccc2o1)C1CC1 ZINC000625260433 1057109127 /nfs/dbraw/zinc/10/91/27/1057109127.db2.gz RUGOHQIYQXHGPL-WMZOPIPTSA-N 0 3 314.429 4.170 20 0 DIADHN CCO[C@@H](CN(C)[C@@H](C)c1sc(C(C)C)nc1C)C1CC1 ZINC000625263099 1057109191 /nfs/dbraw/zinc/10/91/91/1057109191.db2.gz BMQIIRXLXUYFSP-ZFWWWQNUSA-N 0 3 310.507 4.383 20 0 DIADHN CCNC(=O)c1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc1 ZINC001241618952 1057111501 /nfs/dbraw/zinc/11/15/01/1057111501.db2.gz OSMGFOQEQUCXRX-UHFFFAOYSA-N 0 3 320.436 4.105 20 0 DIADHN c1cnn(C[C@@H](N[C@H]2CCCc3ccccc32)c2ccccc2)c1 ZINC000673713147 1057120128 /nfs/dbraw/zinc/12/01/28/1057120128.db2.gz JHXAYOBLHGEHDP-LEWJYISDSA-N 0 3 317.436 4.292 20 0 DIADHN c1cnn(C[C@H](N[C@H]2CCCc3ccccc32)c2ccccc2)c1 ZINC000673713150 1057120230 /nfs/dbraw/zinc/12/02/30/1057120230.db2.gz JHXAYOBLHGEHDP-SFTDATJTSA-N 0 3 317.436 4.292 20 0 DIADHN CC(C)Oc1ccc(-c2cnccc2/C=C/N(C)C)c(F)c1 ZINC001241659678 1057126170 /nfs/dbraw/zinc/12/61/70/1057126170.db2.gz BNWRIABQEFBLSB-CSKARUKUSA-N 0 3 300.377 4.207 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1noc2c1CCCC2 ZINC000673755858 1057126057 /nfs/dbraw/zinc/12/60/57/1057126057.db2.gz YAIDKULGNKUVTN-WBVHZDCISA-N 0 3 310.441 4.007 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1noc2c1CCCC2 ZINC000673755855 1057126551 /nfs/dbraw/zinc/12/65/51/1057126551.db2.gz YAIDKULGNKUVTN-DOTOQJQBSA-N 0 3 310.441 4.007 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(OC)ccc3C)c(C)c2)CC1 ZINC001241666717 1057127788 /nfs/dbraw/zinc/12/77/88/1057127788.db2.gz LLYQSMVHNTWZIX-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN Fc1ccccc1-c1nc(CN(CC2CC2)C2CCC2)co1 ZINC000625387255 1057132793 /nfs/dbraw/zinc/13/27/93/1057132793.db2.gz MOPRNUGTMAPOIV-UHFFFAOYSA-N 0 3 300.377 4.245 20 0 DIADHN Clc1cccc([C@H]2CCCCN2CCOC2CCOCC2)c1 ZINC000625378678 1057133915 /nfs/dbraw/zinc/13/39/15/1057133915.db2.gz OLKRMQAWOCCVIX-GOSISDBHSA-N 0 3 323.864 4.063 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2ccc(CN)nc2)c1 ZINC001241685202 1057136487 /nfs/dbraw/zinc/13/64/87/1057136487.db2.gz JQBLYZAMVCEZEM-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H](C)c1cccc(CO)c1 ZINC000282453485 1057139795 /nfs/dbraw/zinc/13/97/95/1057139795.db2.gz VERFKMGUJXCVOY-KBPBESRZSA-N 0 3 301.455 4.313 20 0 DIADHN C/C=C(C)/C=C/C(=O)N1CC2(CCN2C[C@@H](CC)CCCC)C1 ZINC001273111384 1057147396 /nfs/dbraw/zinc/14/73/96/1057147396.db2.gz KCRKWASDNJUWLA-HPNLTLQCSA-N 0 3 318.505 4.012 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(N)ccc21)c1ccccc1OC(F)F ZINC000391368431 1057149815 /nfs/dbraw/zinc/14/98/15/1057149815.db2.gz RTAVKOBVONSLEP-ZBEGNZNMSA-N 0 3 318.367 4.208 20 0 DIADHN CCN1CCN(c2ccc(-c3nccc4ccccc43)cc2)CC1 ZINC001241738397 1057152441 /nfs/dbraw/zinc/15/24/41/1057152441.db2.gz MOSLIXODGZGTKH-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CCC1(NCc2csc(-c3ccc(OC)cc3)n2)CCC1 ZINC000673930464 1057152593 /nfs/dbraw/zinc/15/25/93/1057152593.db2.gz WSBUNDPHRVHAMK-UHFFFAOYSA-N 0 3 302.443 4.241 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4[nH]ccc4cc3C)cc2)CC1 ZINC001241742364 1057153942 /nfs/dbraw/zinc/15/39/42/1057153942.db2.gz BJFQWBRFHRQLTD-UHFFFAOYSA-N 0 3 319.452 4.285 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc(F)c4[nH]ccc43)cc2)CC1 ZINC001241743943 1057153985 /nfs/dbraw/zinc/15/39/85/1057153985.db2.gz VZXATKUOUADTHM-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCN1CCN(c2ccc(-c3cccc4cnccc43)cc2)CC1 ZINC001241743079 1057154255 /nfs/dbraw/zinc/15/42/55/1057154255.db2.gz FMBYTBSFMSQOPI-UHFFFAOYSA-N 0 3 317.436 4.044 20 0 DIADHN CC[C@@H](NCc1nc2cc(C)ccc2s1)[C@@H]1CCCCO1 ZINC000625518242 1057155779 /nfs/dbraw/zinc/15/57/79/1057155779.db2.gz HMDXKMALEVJOGR-HIFRSBDPSA-N 0 3 304.459 4.042 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(-c2ccccc2)nc1 ZINC001241792613 1057166135 /nfs/dbraw/zinc/16/61/35/1057166135.db2.gz CZFGXZYHBQIDCS-ACCUITESSA-N 0 3 301.393 4.343 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc3[nH]c4ccccc4c3c2)c1 ZINC001241812389 1057172716 /nfs/dbraw/zinc/17/27/16/1057172716.db2.gz SHUPLWBBZFPBBI-UHFFFAOYSA-N 0 3 301.393 4.445 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N1C2CCC1CC2)c1cccc2ccccc21 ZINC000674006103 1057175387 /nfs/dbraw/zinc/17/53/87/1057175387.db2.gz LVUDCXDKSWRGPS-QEFXCSQDSA-N 0 3 322.452 4.032 20 0 DIADHN Cc1ncccc1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000625655532 1057182728 /nfs/dbraw/zinc/18/27/28/1057182728.db2.gz ODDGVPQVKXHKCN-INIZCTEOSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1ncsc1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000625654099 1057183923 /nfs/dbraw/zinc/18/39/23/1057183923.db2.gz BKLKXRSZQZXLDB-CYBMUJFWSA-N 0 3 308.397 4.109 20 0 DIADHN C[C@@H]1CN(CCc2nsc3ccccc32)C[C@H](C)C1(F)F ZINC000625654469 1057184003 /nfs/dbraw/zinc/18/40/03/1057184003.db2.gz UBVMXYPQPWJQAO-TXEJJXNPSA-N 0 3 310.413 4.062 20 0 DIADHN Cc1ccc2ncc(CN3C[C@H](C)C(F)(F)[C@@H](C)C3)cc2c1 ZINC000625651652 1057184170 /nfs/dbraw/zinc/18/41/70/1057184170.db2.gz CPXQIFWBVZEMIA-KBPBESRZSA-N 0 3 304.384 4.266 20 0 DIADHN FC(F)(F)Oc1ccc(CN2[C@@H]3CC[C@H]2CC(F)(F)C3)cc1 ZINC000625686983 1057188274 /nfs/dbraw/zinc/18/82/74/1057188274.db2.gz PLUFRPBKMUOMQE-TXEJJXNPSA-N 0 3 321.289 4.347 20 0 DIADHN Cc1cccc2ncc(CNCc3ccc(OC(C)(C)C)cc3)n21 ZINC000674046595 1057190383 /nfs/dbraw/zinc/19/03/83/1057190383.db2.gz WKPPOBMOGCAUJQ-UHFFFAOYSA-N 0 3 323.440 4.110 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1CCOc1ccccc1OC ZINC000059384338 1057192377 /nfs/dbraw/zinc/19/23/77/1057192377.db2.gz RTBNULOINFCJCS-OAHLLOKOSA-N 0 3 317.454 4.145 20 0 DIADHN C[C@H](CNCc1csc(-c2cccs2)n1)c1ccncc1 ZINC000674070072 1057198556 /nfs/dbraw/zinc/19/85/56/1057198556.db2.gz MGLNSACBTBDSIX-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H](C)C1CCCC1)c1ccsc1 ZINC000674080961 1057200140 /nfs/dbraw/zinc/20/01/40/1057200140.db2.gz RCPUUHOARVMCJD-RHSMWYFYSA-N 0 3 322.518 4.074 20 0 DIADHN COc1ccc(-c2ccccc2OC(F)F)cc1CN(C)C ZINC001241952632 1057221963 /nfs/dbraw/zinc/22/19/63/1057221963.db2.gz JVGNCQNFERWMSE-UHFFFAOYSA-N 0 3 307.340 4.025 20 0 DIADHN FC(F)Oc1ccccc1-c1cncc(CN2CCCCC2)c1 ZINC001241952497 1057221978 /nfs/dbraw/zinc/22/19/78/1057221978.db2.gz BCSYJNNKWNOLSP-UHFFFAOYSA-N 0 3 318.367 4.336 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1ccc(F)c2ccccc21 ZINC000658014491 1057223329 /nfs/dbraw/zinc/22/33/29/1057223329.db2.gz VQUFHDMQFRFRIQ-UGSOOPFHSA-N 0 3 309.388 4.361 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1ccc(Cl)s1 ZINC000631729914 1057225145 /nfs/dbraw/zinc/22/51/45/1057225145.db2.gz KWKDGRQJYIMEBM-LLVKDONJSA-N 0 3 307.846 4.325 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1n[nH]c2ccccc12 ZINC000674336035 1057232005 /nfs/dbraw/zinc/23/20/05/1057232005.db2.gz WSGXBYRIHBTPBR-CWTRNNRKSA-N 0 3 309.388 4.115 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1[nH]nc2ccccc21 ZINC000674336035 1057232012 /nfs/dbraw/zinc/23/20/12/1057232012.db2.gz WSGXBYRIHBTPBR-CWTRNNRKSA-N 0 3 309.388 4.115 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN(Cc2ccccc2C2CC2)C1 ZINC000674334398 1057232305 /nfs/dbraw/zinc/23/23/05/1057232305.db2.gz HKQMXVPVDLSXGQ-OAHLLOKOSA-N 0 3 313.363 4.107 20 0 DIADHN FC(F)(F)c1ccc(-c2ncc[nH]2)c(CN2CCCCC2)c1 ZINC001242019153 1057240548 /nfs/dbraw/zinc/24/05/48/1057240548.db2.gz IWOUNVAXCKKMJN-UHFFFAOYSA-N 0 3 309.335 4.081 20 0 DIADHN Cc1ccc(-c2cnccc2CN2CCCCC2)c(F)c1F ZINC001242031490 1057243341 /nfs/dbraw/zinc/24/33/41/1057243341.db2.gz HXNDERZLHMPZCQ-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COc1cc(-c2cncc(CN3CCCCC3)c2)c(F)cc1F ZINC001242048225 1057250156 /nfs/dbraw/zinc/25/01/56/1057250156.db2.gz GGIKFOJYMBNUSA-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(COC(C)(C)C)cc1)N(CC)CC ZINC000674525334 1057251852 /nfs/dbraw/zinc/25/18/52/1057251852.db2.gz ZIJKAWIHNWZFGG-KRWDZBQOSA-N 0 3 320.477 4.061 20 0 DIADHN CCCCC[C@@H](C(=O)NC[C@@H]1N(C)CCCC1(C)C)C(C)C ZINC001128745954 1057254220 /nfs/dbraw/zinc/25/42/20/1057254220.db2.gz RLZFZDCWCHIJAM-SJORKVTESA-N 0 3 310.526 4.076 20 0 DIADHN c1csc(Cn2cc(-c3cccc(C4=NCCC4)c3)cn2)c1 ZINC001242093232 1057262030 /nfs/dbraw/zinc/26/20/30/1057262030.db2.gz HLPQGTCGDOOVKG-UHFFFAOYSA-N 0 3 307.422 4.243 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1scc2c1OCCO2 ZINC001143191262 1057262587 /nfs/dbraw/zinc/26/25/87/1057262587.db2.gz YSVRAFNSIRSUET-AWEZNQCLSA-N 0 3 303.427 4.102 20 0 DIADHN CCCN(C)[C@H](CNC(=O)CC1CCC1)c1ccc(Cl)cc1 ZINC001128771492 1057265026 /nfs/dbraw/zinc/26/50/26/1057265026.db2.gz LUMDSTYCMNTPJE-QGZVFWFLSA-N 0 3 322.880 4.029 20 0 DIADHN CCCOc1c(Cl)cc(-c2ccnc(CN)c2)cc1Cl ZINC001242109974 1057265595 /nfs/dbraw/zinc/26/55/95/1057265595.db2.gz JTOQIARVIQPKGO-UHFFFAOYSA-N 0 3 311.212 4.303 20 0 DIADHN CCCCN(CCCC)Cc1nc(-c2ccccc2C)no1 ZINC000674714210 1057275504 /nfs/dbraw/zinc/27/55/04/1057275504.db2.gz RHPLCIOEIJRPQW-UHFFFAOYSA-N 0 3 301.434 4.447 20 0 DIADHN Clc1ccc(CCNCc2cc(-c3ccccc3)on2)cc1 ZINC000674756678 1057278860 /nfs/dbraw/zinc/27/88/60/1057278860.db2.gz KWYHKNHNGLTHQL-UHFFFAOYSA-N 0 3 312.800 4.327 20 0 DIADHN C[C@H](NC/C=C\CO)c1ccc(O[C@@H](C)c2ccccc2)cc1 ZINC000658136289 1057279121 /nfs/dbraw/zinc/27/91/21/1057279121.db2.gz HNWSSTVKBSJMBG-YHLLGKTKSA-N 0 3 311.425 4.026 20 0 DIADHN [O-]c1ccc(-c2cncc(C[NH+]3CCCC3)c2)cc1C(F)(F)F ZINC001242165444 1057285727 /nfs/dbraw/zinc/28/57/27/1057285727.db2.gz CEMQKHBPQRFAID-UHFFFAOYSA-N 0 3 322.330 4.069 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)nc1 ZINC000674865976 1057293656 /nfs/dbraw/zinc/29/36/56/1057293656.db2.gz WOMZOUOJGWRCOX-OAHLLOKOSA-N 0 3 316.832 4.123 20 0 DIADHN CCOCCCN1CCC[C@@H]1c1ccccc1Br ZINC000674868505 1057294128 /nfs/dbraw/zinc/29/41/28/1057294128.db2.gz KFQPIADXSKONIM-OAHLLOKOSA-N 0 3 312.251 4.013 20 0 DIADHN OC1CCN(Cc2cccc(Oc3ccc(Cl)cc3)c2)CC1 ZINC000674902763 1057297258 /nfs/dbraw/zinc/29/72/58/1057297258.db2.gz NNCBVDIELZIKIH-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN CN(CCCOC(C)(C)C)Cc1csc(Br)c1 ZINC000674905736 1057297342 /nfs/dbraw/zinc/29/73/42/1057297342.db2.gz ZCGAOQHEUFWKDR-UHFFFAOYSA-N 0 3 320.296 4.148 20 0 DIADHN CCOC(=O)Cc1cccc(Nc2ccnc(C3CCC3)c2)c1 ZINC001212525039 1057300739 /nfs/dbraw/zinc/30/07/39/1057300739.db2.gz ODXSEFZCWOJIFB-UHFFFAOYSA-N 0 3 310.397 4.198 20 0 DIADHN CCN(C[C@@H]1COc2ccccc2O1)[C@H](C)c1ccc(F)cc1 ZINC000675042542 1057312568 /nfs/dbraw/zinc/31/25/68/1057312568.db2.gz YEBIMIGJIPJVIC-RHSMWYFYSA-N 0 3 315.388 4.049 20 0 DIADHN COCc1csc(CN[C@@H]2CCCOc3ccc(C)cc32)c1 ZINC000658208554 1057320679 /nfs/dbraw/zinc/32/06/79/1057320679.db2.gz JEMDPWHJZKMBIA-QGZVFWFLSA-N 0 3 317.454 4.206 20 0 DIADHN COCc1csc(CN[C@H]2CSCCc3ccccc32)c1 ZINC000658210429 1057320755 /nfs/dbraw/zinc/32/07/55/1057320755.db2.gz YHTQGBXVFLCEFB-KRWDZBQOSA-N 0 3 319.495 4.015 20 0 DIADHN Cc1cc2n[nH]cc2cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001242284594 1057320924 /nfs/dbraw/zinc/32/09/24/1057320924.db2.gz SOVGIJOLYKJEBT-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CN(Cc1ccc(-c2cc(Cl)c(F)cc2F)nc1)C1CC1 ZINC001242291356 1057323518 /nfs/dbraw/zinc/32/35/18/1057323518.db2.gz YVWKFLURWVMIMC-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN CC[C@@H](NCc1c(C2CC2)cnn1C)c1cccc(Cl)c1 ZINC000658216037 1057324674 /nfs/dbraw/zinc/32/46/74/1057324674.db2.gz OBXWZWYBLPZNQX-MRXNPFEDSA-N 0 3 303.837 4.192 20 0 DIADHN CCCCC[C@@H]1CCCN1Cn1ccc(-c2nccs2)n1 ZINC000766097763 1057325598 /nfs/dbraw/zinc/32/55/98/1057325598.db2.gz XLLDMVHOEPESTM-CQSZACIVSA-N 0 3 304.463 4.009 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1c(F)cccc1C(F)(F)F ZINC001242304944 1057329487 /nfs/dbraw/zinc/32/94/87/1057329487.db2.gz ZZMLDQHWCBTCFP-VQHVLOKHSA-N 0 3 310.294 4.439 20 0 DIADHN CCOc1cc(Nc2ccccc2CN(C)C)c(F)cc1F ZINC001212532696 1057331520 /nfs/dbraw/zinc/33/15/20/1057331520.db2.gz QCGKVGREAOJAHZ-UHFFFAOYSA-N 0 3 306.356 4.169 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(O)cc21)c1ccc(OC(F)F)cc1 ZINC000658237799 1057334288 /nfs/dbraw/zinc/33/42/88/1057334288.db2.gz DYIJWFFUZHHNOF-DIFFPNOSSA-N 0 3 319.351 4.332 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCc3ccc(O)cc31)CCC2 ZINC000658237931 1057335558 /nfs/dbraw/zinc/33/55/58/1057335558.db2.gz GZETZOHEMJCUHR-WOJBJXKFSA-N 0 3 309.409 4.055 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccccc1 ZINC000658287492 1057354021 /nfs/dbraw/zinc/35/40/21/1057354021.db2.gz HAKMINUCCOUUCT-ZCNNSNEGSA-N 0 3 313.416 4.161 20 0 DIADHN Cc1ncsc1CNCCOc1ccccc1-c1ccccc1 ZINC000174309864 1057357187 /nfs/dbraw/zinc/35/71/87/1057357187.db2.gz PLVXYZJKINMYAR-UHFFFAOYSA-N 0 3 324.449 4.287 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC(F)F)nc2)cc1F ZINC001242421613 1057362845 /nfs/dbraw/zinc/36/28/45/1057362845.db2.gz OZLIJROBDWYQCX-UHFFFAOYSA-N 0 3 324.346 4.331 20 0 DIADHN CC(C)n1cc(-c2ccc(F)c(CN3CCCCC3)c2)cn1 ZINC001242437311 1057366749 /nfs/dbraw/zinc/36/67/49/1057366749.db2.gz ODPIYTIESCRMKD-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN C[C@H](N[C@@H](CCO)c1cccs1)c1ccc2c(c1)CCC2 ZINC000766136464 1057373369 /nfs/dbraw/zinc/37/33/69/1057373369.db2.gz OZODIUBHOTXMCZ-GUYCJALGSA-N 0 3 301.455 4.011 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@@H](C)c2cc3cc(C)c(C)cc3o2)o1 ZINC000766136251 1057373461 /nfs/dbraw/zinc/37/34/61/1057373461.db2.gz CIJCFFXRMJINLW-HOCLYGCPSA-N 0 3 313.397 4.335 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccc(-c3ccccc3)o2)o1 ZINC000766143113 1057380472 /nfs/dbraw/zinc/38/04/72/1057380472.db2.gz RAYJESBCSZBOLB-GDBMZVCRSA-N 0 3 311.381 4.232 20 0 DIADHN COc1ncc(Cl)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001242509323 1057388432 /nfs/dbraw/zinc/38/84/32/1057388432.db2.gz DJMFZAQSFGWUSG-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN Fc1ccc(-c2ccc3ncncc3c2)cc1CN1CCCC1 ZINC001242512848 1057389549 /nfs/dbraw/zinc/38/95/49/1057389549.db2.gz PJDNVCGUPVDDOX-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccccc2-n2cccn2)cc1F ZINC000675736173 1057403535 /nfs/dbraw/zinc/40/35/35/1057403535.db2.gz OYFACVXDDUQAHI-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1ccc(SCCN[C@H](C)c2cncc(F)c2)cc1C ZINC000675726096 1057405067 /nfs/dbraw/zinc/40/50/67/1057405067.db2.gz GONXDCPYNQWAJL-CQSZACIVSA-N 0 3 304.434 4.280 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1CCCc2ccccc21 ZINC000675724595 1057405082 /nfs/dbraw/zinc/40/50/82/1057405082.db2.gz DSVCXWKPCZRVJG-MSOLQXFVSA-N 0 3 309.409 4.186 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc(C)c2C)cc1C ZINC000675727387 1057405363 /nfs/dbraw/zinc/40/53/63/1057405363.db2.gz WFVNFFACAHCOIC-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NCc1ccc(C)cc1C)CCO2 ZINC000675753234 1057407483 /nfs/dbraw/zinc/40/74/83/1057407483.db2.gz DTULBNBFEQYHNR-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](Cn2cccn2)c2ccccc2)c2ccccc21 ZINC000675757027 1057408167 /nfs/dbraw/zinc/40/81/67/1057408167.db2.gz YGGBZJSMYVGIPB-CZAAIQMYSA-N 0 3 317.436 4.463 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C)nc1)c1cccc(Cl)c1 ZINC000675744045 1057408467 /nfs/dbraw/zinc/40/84/67/1057408467.db2.gz YPRGSTFPQWGNHJ-MRXNPFEDSA-N 0 3 303.837 4.042 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2cc3ccccc3[nH]2)cc1OC ZINC000675790256 1057415501 /nfs/dbraw/zinc/41/55/01/1057415501.db2.gz ZJYUGFASMDQZFO-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H](CC1CC1)c1ccccc1 ZINC000675808905 1057417450 /nfs/dbraw/zinc/41/74/50/1057417450.db2.gz VCDSUXVWAJKLDA-LJQANCHMSA-N 0 3 310.441 4.338 20 0 DIADHN COc1ccccc1OCCCN[C@H](C)c1cc(F)ccc1F ZINC000675814018 1057418664 /nfs/dbraw/zinc/41/86/64/1057418664.db2.gz OIILPJCDZQZQDU-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NC/C=C/c1ccccc1)CCO2 ZINC000675872372 1057425766 /nfs/dbraw/zinc/42/57/66/1057425766.db2.gz FEZFRYQOTGPKNU-MBNRZODZSA-N 0 3 309.409 4.212 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2cc(C)[nH]n2)cc1 ZINC000675893087 1057426680 /nfs/dbraw/zinc/42/66/80/1057426680.db2.gz AGSSHHLZPDFUSN-OAHLLOKOSA-N 0 3 301.434 4.138 20 0 DIADHN Cc1ccc2ccccc2c1CN[C@@](C)(CO)c1ccccc1 ZINC000675889513 1057426691 /nfs/dbraw/zinc/42/66/91/1057426691.db2.gz CTHWJNGUEROEND-NRFANRHFSA-N 0 3 305.421 4.146 20 0 DIADHN CC(C)(O)c1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1 ZINC001242625872 1057426746 /nfs/dbraw/zinc/42/67/46/1057426746.db2.gz CZMYRUQOGUEIEC-UHFFFAOYSA-N 0 3 313.416 4.316 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2cc(C)[nH]n2)cc1 ZINC000675893086 1057426722 /nfs/dbraw/zinc/42/67/22/1057426722.db2.gz AGSSHHLZPDFUSN-HNNXBMFYSA-N 0 3 301.434 4.138 20 0 DIADHN Cc1cc(CN[C@@H](C)c2csc(-c3csc(C)n3)c2)n[nH]1 ZINC000675893496 1057426873 /nfs/dbraw/zinc/42/68/73/1057426873.db2.gz MSHZMYYVTUNFLL-JTQLQIEISA-N 0 3 318.471 4.062 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](Cn2cccn2)c2ccccc2)s1 ZINC000675899949 1057428475 /nfs/dbraw/zinc/42/84/75/1057428475.db2.gz ONJZVAKVARPZBZ-WBVHZDCISA-N 0 3 311.454 4.345 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1cccc(C)c1C ZINC000675886466 1057430183 /nfs/dbraw/zinc/43/01/83/1057430183.db2.gz GYOIFJVFNRMJJI-QAPCUYQASA-N 0 3 311.425 4.486 20 0 DIADHN CN(C)Cc1cncc(-c2ccccc2Cc2ccccc2)c1 ZINC001242633729 1057430259 /nfs/dbraw/zinc/43/02/59/1057430259.db2.gz PRDJVARVTKEBID-UHFFFAOYSA-N 0 3 302.421 4.401 20 0 DIADHN C[C@@H](N[C@H](Cc1nccn1C)c1ccccc1)c1ccc(F)cc1 ZINC000675921639 1057430970 /nfs/dbraw/zinc/43/09/70/1057430970.db2.gz ZDUHOZCTYKNEEC-DNVCBOLYSA-N 0 3 323.415 4.194 20 0 DIADHN C[C@@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1cccc(F)c1 ZINC000675918294 1057431065 /nfs/dbraw/zinc/43/10/65/1057431065.db2.gz GCRYKJPCGQAOLN-BEFAXECRSA-N 0 3 323.415 4.194 20 0 DIADHN C[C@@H](N[C@H](Cc1nccn1C)c1ccccc1)c1ccsc1 ZINC000675917701 1057431078 /nfs/dbraw/zinc/43/10/78/1057431078.db2.gz BFGGWOOXTUBJKI-RHSMWYFYSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1ccsc1 ZINC000675917705 1057431323 /nfs/dbraw/zinc/43/13/23/1057431323.db2.gz BFGGWOOXTUBJKI-YOEHRIQHSA-N 0 3 311.454 4.116 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H](C)c1sccc1C ZINC000675904670 1057433462 /nfs/dbraw/zinc/43/34/62/1057433462.db2.gz XYEZAYGWAAWHQA-IUODEOHRSA-N 0 3 303.427 4.240 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCOc3cc(OC)ccc32)cc1 ZINC000675903054 1057433526 /nfs/dbraw/zinc/43/35/26/1057433526.db2.gz UIQXQTWVZHLXOV-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1sccc1C ZINC000675904667 1057433683 /nfs/dbraw/zinc/43/36/83/1057433683.db2.gz XYEZAYGWAAWHQA-DOMZBBRYSA-N 0 3 303.427 4.240 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1sccc1C ZINC000675904675 1057433693 /nfs/dbraw/zinc/43/36/93/1057433693.db2.gz XYEZAYGWAAWHQA-WFASDCNBSA-N 0 3 303.427 4.240 20 0 DIADHN CCOc1cncc(-c2cccc(CN(CC)CC)c2F)c1 ZINC001242660078 1057438468 /nfs/dbraw/zinc/43/84/68/1057438468.db2.gz GCYKHOWOMPSCCF-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCCC[C@H](C)[C@@H](C)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000675976799 1057440142 /nfs/dbraw/zinc/44/01/42/1057440142.db2.gz MHDUGPDSXWAMIQ-RRFJBIMHSA-N 0 3 315.465 4.041 20 0 DIADHN Cc1ccc2ncc(CNC3(c4ccc(F)cc4)CCCC3)n2c1 ZINC000676416593 1057471929 /nfs/dbraw/zinc/47/19/29/1057471929.db2.gz PXGDVRVXFPFYHA-UHFFFAOYSA-N 0 3 323.415 4.341 20 0 DIADHN CCO[C@@H]1CCCN(Cc2cccc(OC3CCCC3)c2)C1 ZINC000676414499 1057472112 /nfs/dbraw/zinc/47/21/12/1057472112.db2.gz WPUVMDNGESJUAV-LJQANCHMSA-N 0 3 303.446 4.009 20 0 DIADHN CN(Cc1ccc(-c2cc(O)cc(C(F)(F)F)c2)nc1)C1CC1 ZINC001242781743 1057474160 /nfs/dbraw/zinc/47/41/60/1057474160.db2.gz PSNRMWJHLILNJT-UHFFFAOYSA-N 0 3 322.330 4.067 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3ccccc3C)CC2)c(C)s1 ZINC000676491357 1057475020 /nfs/dbraw/zinc/47/50/20/1057475020.db2.gz ZXVPFAJDEBXIGG-ZDUSSCGKSA-N 0 3 300.471 4.451 20 0 DIADHN COc1ccc(CCCN[C@@H](C)c2nc(C)sc2C)cc1 ZINC000676491019 1057475907 /nfs/dbraw/zinc/47/59/07/1057475907.db2.gz VPWKLSSNOKWBOE-LBPRGKRZSA-N 0 3 304.459 4.052 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2nc(C)sc2C)c(Cl)c1 ZINC000676493384 1057476172 /nfs/dbraw/zinc/47/61/72/1057476172.db2.gz XHPVOHMWNODSRK-JTQLQIEISA-N 0 3 324.877 4.315 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(CC(C)C)s1)CC2 ZINC000676492399 1057476303 /nfs/dbraw/zinc/47/63/03/1057476303.db2.gz HHNVRBZVTXBUPT-KRWDZBQOSA-N 0 3 300.471 4.427 20 0 DIADHN Cc1nc([C@@H](C)NCCCN(C)c2ccccc2F)c(C)s1 ZINC000676490682 1057476414 /nfs/dbraw/zinc/47/64/14/1057476414.db2.gz RFRHPJRSGQZYJK-GFCCVEGCSA-N 0 3 321.465 4.076 20 0 DIADHN COc1ccc(-c2nc(CNC3CC4(CCC4)C3)cs2)cc1 ZINC000676491595 1057476426 /nfs/dbraw/zinc/47/64/26/1057476426.db2.gz GSKKXPYNPRILFX-UHFFFAOYSA-N 0 3 314.454 4.241 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3ccc(F)cc23)c(C)s1 ZINC000676489039 1057478103 /nfs/dbraw/zinc/47/81/03/1057478103.db2.gz GWXPJHNBCGKQBZ-SNVBAGLBSA-N 0 3 317.433 4.274 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@@H](C)c1csc(C)n1 ZINC000676488993 1057479497 /nfs/dbraw/zinc/47/94/97/1057479497.db2.gz HKYRDQMPIPFXTB-LBPRGKRZSA-N 0 3 304.459 4.089 20 0 DIADHN C[C@H](COc1ccccc1)NCc1ccccc1Br ZINC000710969730 1057503476 /nfs/dbraw/zinc/50/34/76/1057503476.db2.gz IOGIXDBJFLBUMX-CYBMUJFWSA-N 0 3 320.230 4.006 20 0 DIADHN COc1ccc(-c2ccccc2N2CCCCC2)cc1CN(C)C ZINC001242875819 1057509555 /nfs/dbraw/zinc/50/95/55/1057509555.db2.gz JIUDLNPTAOHLJP-UHFFFAOYSA-N 0 3 324.468 4.414 20 0 DIADHN Cc1nc2ccc(CN[C@]3(C)CCOc4ccccc43)cc2s1 ZINC000923024302 1057521550 /nfs/dbraw/zinc/52/15/50/1057521550.db2.gz HQJVDZSMLNGTMS-LJQANCHMSA-N 0 3 324.449 4.392 20 0 DIADHN C[C@]1(NCc2cccc3ncccc23)CCOc2ccccc21 ZINC000923024369 1057522085 /nfs/dbraw/zinc/52/20/85/1057522085.db2.gz IAOXRYDJXIVXPL-FQEVSTJZSA-N 0 3 304.393 4.022 20 0 DIADHN C[C@]1(NCc2cccc3cccnc32)CCOc2ccccc21 ZINC000923023806 1057522191 /nfs/dbraw/zinc/52/21/91/1057522191.db2.gz BOMXSIPQGPSAGN-FQEVSTJZSA-N 0 3 304.393 4.022 20 0 DIADHN C[C@]1(NCc2ccc3cnccc3c2)CCOc2ccccc21 ZINC000923025007 1057522226 /nfs/dbraw/zinc/52/22/26/1057522226.db2.gz MKGLUXJWVHKIKT-FQEVSTJZSA-N 0 3 304.393 4.022 20 0 DIADHN NCc1cccc(-c2ccc3ccc(-c4ccccc4)nc3c2)n1 ZINC001242966279 1057529839 /nfs/dbraw/zinc/52/98/39/1057529839.db2.gz CYHXELRQNJASQJ-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN Fc1ccc([C@@H](NCc2cccc3c2OCC3)C2CCC2)cc1 ZINC001649133518 1057535784 /nfs/dbraw/zinc/53/57/84/1057535784.db2.gz GKIBGRABJSWCBN-IBGZPJMESA-N 0 3 311.400 4.392 20 0 DIADHN COc1ccc(-c2cccc(-c3cccnc3)c2)cc1CN(C)C ZINC001243003702 1057543573 /nfs/dbraw/zinc/54/35/73/1057543573.db2.gz XSLUSLRSQSQJAO-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN Fc1ccc(-c2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001243031847 1057551196 /nfs/dbraw/zinc/55/11/96/1057551196.db2.gz XRPJNXBYWXAIHP-UHFFFAOYSA-N 0 3 304.368 4.200 20 0 DIADHN CCCC[C@H](NCc1nnc(-c2cc(C)oc2C)o1)C1CCC1 ZINC000347924676 1057553501 /nfs/dbraw/zinc/55/35/01/1057553501.db2.gz QXPATYRNYTUQOO-INIZCTEOSA-N 0 3 317.433 4.395 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CC[C@@H](CC(C)C)C1 ZINC000466744238 1057556311 /nfs/dbraw/zinc/55/63/11/1057556311.db2.gz SVHZMAFCWXSGQV-GJZGRUSLSA-N 0 3 322.880 4.343 20 0 DIADHN [O-]c1cc(-c2ccc(C[NH+]3CCCCC3)c(F)c2)ccc1F ZINC001243046388 1057557138 /nfs/dbraw/zinc/55/71/38/1057557138.db2.gz FYXNHNDBCGQDJT-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CC[C@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cccc(C)c1 ZINC000671757826 1057558123 /nfs/dbraw/zinc/55/81/23/1057558123.db2.gz CSMLFMYRCWDOTO-KRWDZBQOSA-N 0 3 309.409 4.454 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671760971 1057558232 /nfs/dbraw/zinc/55/82/32/1057558232.db2.gz QGGXUDHUURZSFS-ZWNOBZJWSA-N 0 3 314.410 4.323 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671760968 1057558428 /nfs/dbraw/zinc/55/84/28/1057558428.db2.gz QGGXUDHUURZSFS-GWCFXTLKSA-N 0 3 314.410 4.323 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2ccc(F)c([O-])c2)nc1 ZINC001243049719 1057558633 /nfs/dbraw/zinc/55/86/33/1057558633.db2.gz PGCAPKAFAWIOCS-KRWDZBQOSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2ccc(F)c([O-])c2)nc1 ZINC001243049719 1057558638 /nfs/dbraw/zinc/55/86/38/1057558638.db2.gz PGCAPKAFAWIOCS-KRWDZBQOSA-N 0 3 300.377 4.140 20 0 DIADHN CC[C@@H](NCc1scnc1C1CC1)c1ccc(OC)cc1 ZINC000486965126 1057570696 /nfs/dbraw/zinc/57/06/96/1057570696.db2.gz JOLHKSPHZBVGFS-OAHLLOKOSA-N 0 3 302.443 4.270 20 0 DIADHN CC(C)c1ccc(OCCNCc2scnc2C2CC2)cc1 ZINC000486964262 1057571108 /nfs/dbraw/zinc/57/11/08/1057571108.db2.gz HVVKINCURKMZAI-UHFFFAOYSA-N 0 3 316.470 4.313 20 0 DIADHN C[C@@H](NCc1scnc1C1CC1)c1ccccc1-n1cccn1 ZINC000487313101 1057572777 /nfs/dbraw/zinc/57/27/77/1057572777.db2.gz AFYDPMUFBSOCKQ-CYBMUJFWSA-N 0 3 324.453 4.057 20 0 DIADHN c1nc(C2CC2)c(CNCc2cccc(-c3cccnc3)c2)s1 ZINC000487558691 1057575342 /nfs/dbraw/zinc/57/53/42/1057575342.db2.gz ZERYLWLMMLKMHL-UHFFFAOYSA-N 0 3 321.449 4.372 20 0 DIADHN CCCCN(Cc1c[nH]c(C(=O)OC)c1)[C@@H](C)c1ccc(C)o1 ZINC000611258106 1057587462 /nfs/dbraw/zinc/58/74/62/1057587462.db2.gz RGIXKBBMUUTJAR-AWEZNQCLSA-N 0 3 318.417 4.066 20 0 DIADHN COc1ccc(-c2cc(C)cnc2F)cc1CN1CCCCC1 ZINC001243167086 1057591654 /nfs/dbraw/zinc/59/16/54/1057591654.db2.gz ZDPIISUNRYSXLW-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cnc(F)c(-c2ccc(F)c(CN3CCCCC3)c2)c1 ZINC001243167003 1057591969 /nfs/dbraw/zinc/59/19/69/1057591969.db2.gz XUJHFXZSITVQFY-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccccc2OCOC)cc1F ZINC001243187153 1057597007 /nfs/dbraw/zinc/59/70/07/1057597007.db2.gz GABPGSQAGROREU-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN COCOc1ccccc1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001243185269 1057597121 /nfs/dbraw/zinc/59/71/21/1057597121.db2.gz UDOYFHVBFBJQJA-UHFFFAOYSA-N 0 3 309.409 4.338 20 0 DIADHN COC(=O)c1cc(CN[C@H](CC2CC2)c2ccccc2)c(C)o1 ZINC000611395159 1057597238 /nfs/dbraw/zinc/59/72/38/1057597238.db2.gz DSGWAPYPZCZQGT-QGZVFWFLSA-N 0 3 313.397 4.006 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(CO)c(C)c2)cc1F ZINC001243191776 1057598876 /nfs/dbraw/zinc/59/88/76/1057598876.db2.gz SWUVIQHZXMQHKP-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CN[C@H]2CCc3ccccc32)c1 ZINC000611439310 1057600443 /nfs/dbraw/zinc/60/04/43/1057600443.db2.gz UYQABPKBHBYAPL-IBGZPJMESA-N 0 3 323.436 4.419 20 0 DIADHN CSc1ccc(F)c(-c2ccc3c(N)ccnc3c2)c1F ZINC001243215869 1057607426 /nfs/dbraw/zinc/60/74/26/1057607426.db2.gz AUGPMLPGDIEDOT-UHFFFAOYSA-N 0 3 302.349 4.484 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(C)cc(OC)c3)c(C)c2)CC1 ZINC001243241678 1057617922 /nfs/dbraw/zinc/61/79/22/1057617922.db2.gz JEECBYPLJOIJEQ-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN c1nc(C2CC2)c(CN2CCC[C@@H](Nc3ccccc3)C2)s1 ZINC000494923925 1057617954 /nfs/dbraw/zinc/61/79/54/1057617954.db2.gz MTUNXOMIEPDIRW-MRXNPFEDSA-N 0 3 313.470 4.097 20 0 DIADHN Cn1cc([C@@H](NC2CC(c3ccccc3)C2)c2ccccc2)cn1 ZINC000273232014 1057618405 /nfs/dbraw/zinc/61/84/05/1057618405.db2.gz XEFYZCUZINXUPO-MNLRITNHSA-N 0 3 317.436 4.045 20 0 DIADHN CN(C)C(=O)c1cccc(CN2CCCC[C@H]2c2ccccc2)c1 ZINC000273878049 1057624958 /nfs/dbraw/zinc/62/49/58/1057624958.db2.gz RWIPBQGCAWREFJ-FQEVSTJZSA-N 0 3 322.452 4.116 20 0 DIADHN CC(C)Oc1cccc(F)c1-c1ncccc1CN1CCCC1 ZINC001243263946 1057625721 /nfs/dbraw/zinc/62/57/21/1057625721.db2.gz ZKRIKGDKRZHMQT-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCOc1cc(F)c(F)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001243276676 1057632579 /nfs/dbraw/zinc/63/25/79/1057632579.db2.gz PYBXOQHCDKHIBA-UHFFFAOYSA-N 0 3 318.367 4.020 20 0 DIADHN Clc1cc(CN2CCCC[C@@H]2Cc2ccccc2)ccn1 ZINC000727131209 1057634758 /nfs/dbraw/zinc/63/47/58/1057634758.db2.gz AQQHTXKVTHYPES-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN COc1c(F)c(F)ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001243303443 1057640136 /nfs/dbraw/zinc/64/01/36/1057640136.db2.gz OMXTTXQRLBNSJB-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1sc([C@H](C)N[C@@H](C)[C@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000521511830 1057643896 /nfs/dbraw/zinc/64/38/96/1057643896.db2.gz DEMHMMNHIDKGJX-DCAQKATOSA-N 0 3 324.877 4.192 20 0 DIADHN C[C@H](OC(=O)C1CCN(Cc2ccccc2)CC1)c1ccccc1 ZINC000727296691 1057645315 /nfs/dbraw/zinc/64/53/15/1057645315.db2.gz DVOIMPQUVSNTHH-KRWDZBQOSA-N 0 3 323.436 4.203 20 0 DIADHN Cc1cccc(C)c1OC(=O)C1CCN(Cc2ccccc2)CC1 ZINC000727290728 1057647462 /nfs/dbraw/zinc/64/74/62/1057647462.db2.gz JOAAOZXKEMRQRH-UHFFFAOYSA-N 0 3 323.436 4.121 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NCCOC1CCCCC1 ZINC000111635535 1057649100 /nfs/dbraw/zinc/64/91/00/1057649100.db2.gz KIOCEKSHATYMCD-MRXNPFEDSA-N 0 3 309.450 4.302 20 0 DIADHN CSc1cccc(-c2ccc(CN(C)C3CC3)cn2)c1F ZINC001243358942 1057658446 /nfs/dbraw/zinc/65/84/46/1057658446.db2.gz WUUKUCITZIGDHW-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN COc1ccc(-c2ccc(C)cc2SC)cc1CN(C)C ZINC001243385162 1057665960 /nfs/dbraw/zinc/66/59/60/1057665960.db2.gz QOGHIZZJRMPEAP-UHFFFAOYSA-N 0 3 301.455 4.454 20 0 DIADHN CCCOc1ccc(F)cc1-c1cncc(CN2CCCC2)c1 ZINC001243402727 1057672037 /nfs/dbraw/zinc/67/20/37/1057672037.db2.gz FAZCJPZIPYHQNB-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Clc1nccc(-c2cncc(CN3CCCC3)c2)c1Cl ZINC001243417677 1057677125 /nfs/dbraw/zinc/67/71/25/1057677125.db2.gz QTBWGIINRMEUOI-UHFFFAOYSA-N 0 3 308.212 4.046 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(CNc3ccccc3)cc2)c1 ZINC001243441036 1057685270 /nfs/dbraw/zinc/68/52/70/1057685270.db2.gz PYGWWFNQFCHNJA-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN CC(C)OCCN1CCC[C@H]1c1ccccc1C(F)(F)F ZINC000728058293 1057685515 /nfs/dbraw/zinc/68/55/15/1057685515.db2.gz CGOVYXHRZNABSF-HNNXBMFYSA-N 0 3 301.352 4.267 20 0 DIADHN c1ccc(NCc2ccc(-c3ccc4c(n3)CNCC4)cc2)cc1 ZINC001243441355 1057685594 /nfs/dbraw/zinc/68/55/94/1057685594.db2.gz SHIZEQDCXZGXMG-UHFFFAOYSA-N 0 3 315.420 4.006 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc[nH]1)C(c1ccccc1)c1ccccc1 ZINC000286263299 1057687300 /nfs/dbraw/zinc/68/73/00/1057687300.db2.gz VBVOIAAADOXEGR-CVEARBPZSA-N 0 3 305.425 4.281 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1ncc[nH]1 ZINC000287267296 1057688133 /nfs/dbraw/zinc/68/81/33/1057688133.db2.gz CHHXODUCJGQLFS-JKOKRWQUSA-N 0 3 309.335 4.025 20 0 DIADHN C[C@H](NCC(C)(C)c1ccc(C(F)(F)F)cc1)c1ncc[nH]1 ZINC000287764343 1057689238 /nfs/dbraw/zinc/68/92/38/1057689238.db2.gz ZYBSFEOONBXFKS-NSHDSACASA-N 0 3 311.351 4.057 20 0 DIADHN Nc1cc(-c2ccc(F)c(CN3CCCCC3)c2)ccc1F ZINC001243456268 1057689901 /nfs/dbraw/zinc/68/99/01/1057689901.db2.gz FZTNYRMAYCXZKO-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN FC(F)Sc1ccc(CN2CCC(C(F)F)CC2)cc1 ZINC000766188234 1057693991 /nfs/dbraw/zinc/69/39/91/1057693991.db2.gz CPTXWDBACYEKGI-UHFFFAOYSA-N 0 3 307.356 4.478 20 0 DIADHN COc1c(F)ccc(-c2cnccc2CN2CCCCC2)c1F ZINC001243508493 1057699142 /nfs/dbraw/zinc/69/91/42/1057699142.db2.gz FTYFNFQKXKUBME-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1c(F)ccc(-c2cncc(CN3CCCCC3)c2)c1F ZINC001243510217 1057699169 /nfs/dbraw/zinc/69/91/69/1057699169.db2.gz IMRKGKFNEORNDS-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](C)c1csc2ccccc21 ZINC000730020629 1057700680 /nfs/dbraw/zinc/70/06/80/1057700680.db2.gz CUEZIEUBEGBETF-STQMWFEESA-N 0 3 318.486 4.245 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1C[C@H]1CC1(Cl)Cl ZINC000730062914 1057701685 /nfs/dbraw/zinc/70/16/85/1057701685.db2.gz VWXXSTOVEGXFOM-TZMCWYRMSA-N 0 3 314.256 4.416 20 0 DIADHN CCOc1c(F)cccc1-c1cnccc1CN1CCCCC1 ZINC001243536209 1057706945 /nfs/dbraw/zinc/70/69/45/1057706945.db2.gz BAGZYFQIQUDCFJ-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Cc1ccccc1C1CCN(C[C@@H](O)c2ccccc2F)CC1 ZINC000730261268 1057710062 /nfs/dbraw/zinc/71/00/62/1057710062.db2.gz WXDKYLHCSWYKAX-HXUWFJFHSA-N 0 3 313.416 4.047 20 0 DIADHN COc1ccc(-c2cccc([O-])c2F)cc1C[NH+]1CCCCC1 ZINC001243546955 1057710577 /nfs/dbraw/zinc/71/05/77/1057710577.db2.gz VLYWVSOFZMYVGC-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCOc1ccc(Cl)c(-c2cnccc2/C=C/N(C)C)c1 ZINC001243569910 1057717798 /nfs/dbraw/zinc/71/77/98/1057717798.db2.gz FTNQLCKDJHVSLP-CSKARUKUSA-N 0 3 302.805 4.333 20 0 DIADHN Nc1ccnc2cc(-c3ccc(C(F)(F)F)cc3O)ccc12 ZINC001243611830 1057733754 /nfs/dbraw/zinc/73/37/54/1057733754.db2.gz PPIHUMCAAUMGPJ-UHFFFAOYSA-N 0 3 304.271 4.208 20 0 DIADHN COc1ccc(-c2cc(Cl)cnc2SC)cc1CN(C)C ZINC001243636843 1057740608 /nfs/dbraw/zinc/74/06/08/1057740608.db2.gz KTFHVUSSFWBGHK-UHFFFAOYSA-N 0 3 322.861 4.194 20 0 DIADHN CSc1ncc(Cl)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001243639471 1057741319 /nfs/dbraw/zinc/74/13/19/1057741319.db2.gz CZVVLZAQEPAHTJ-UHFFFAOYSA-N 0 3 304.846 4.112 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@H](O)c2ccc3ccccc3c2)o1 ZINC000731452797 1057743506 /nfs/dbraw/zinc/74/35/06/1057743506.db2.gz NRUNHYSJACDYPI-XMCHAPAWSA-N 0 3 321.420 4.379 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1csc2ccccc12 ZINC000731452399 1057744791 /nfs/dbraw/zinc/74/47/91/1057744791.db2.gz WXAURZKQHVZQDD-ZDUSSCGKSA-N 0 3 307.422 4.400 20 0 DIADHN CSc1cc(-c2cccc(N3CCN(C)CC3)c2)ccc1C ZINC001243673624 1057750007 /nfs/dbraw/zinc/75/00/07/1057750007.db2.gz PABDNWMXTZKZLE-UHFFFAOYSA-N 0 3 312.482 4.136 20 0 DIADHN C[C@H](CN(C)Cn1ccc(-c2cccs2)n1)c1ccccc1 ZINC000731660086 1057750075 /nfs/dbraw/zinc/75/00/75/1057750075.db2.gz AETNKUFOSOKVRE-OAHLLOKOSA-N 0 3 311.454 4.305 20 0 DIADHN C[C@@H](CN(C)Cn1ccc(-c2cccs2)n1)c1ccccc1 ZINC000731660085 1057750370 /nfs/dbraw/zinc/75/03/70/1057750370.db2.gz AETNKUFOSOKVRE-HNNXBMFYSA-N 0 3 311.454 4.305 20 0 DIADHN COc1ccc(-c2cccc(N3CCN(C)CC3)c2)c(C(C)C)c1 ZINC001243674227 1057750503 /nfs/dbraw/zinc/75/05/03/1057750503.db2.gz XJEHXYVBDKBTSR-UHFFFAOYSA-N 0 3 324.468 4.237 20 0 DIADHN COc1cccc(CN(Cc2ccc(Cl)nc2)CC(C)C)c1 ZINC000731751004 1057753005 /nfs/dbraw/zinc/75/30/05/1057753005.db2.gz BVVDSWLIXMZNEJ-UHFFFAOYSA-N 0 3 318.848 4.402 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1CCc1cccs1 ZINC000731890040 1057757405 /nfs/dbraw/zinc/75/74/05/1057757405.db2.gz ODVBAFVHFZXJJP-RKVPGOIHSA-N 0 3 301.455 4.141 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3ccnc(Cl)c3)C2)cc1 ZINC000732212101 1057770195 /nfs/dbraw/zinc/77/01/95/1057770195.db2.gz KOQNLOUEXIHXFZ-AWEZNQCLSA-N 0 3 307.224 4.378 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@H](c2ccco2)C1 ZINC000732205495 1057770364 /nfs/dbraw/zinc/77/03/64/1057770364.db2.gz ILGWCQUKTPVWHM-AWEZNQCLSA-N 0 3 312.800 4.471 20 0 DIADHN COc1ccc(-c2cnccc2/C=C/N(C)C)cc1SC ZINC001243752167 1057770887 /nfs/dbraw/zinc/77/08/87/1057770887.db2.gz BKARPHWBPFAZCT-CSKARUKUSA-N 0 3 300.427 4.011 20 0 DIADHN Cc1ccc(CNCC(=O)Nc2cccc3ccccc32)c(C)c1 ZINC000732230889 1057771680 /nfs/dbraw/zinc/77/16/80/1057771680.db2.gz DXUABYJPMBWTLI-UHFFFAOYSA-N 0 3 318.420 4.185 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1c1cccc(C)c1 ZINC000732276170 1057772024 /nfs/dbraw/zinc/77/20/24/1057772024.db2.gz VHEXGWOFPIIHAJ-LJQANCHMSA-N 0 3 316.489 4.171 20 0 DIADHN CN(C)Cc1cncc(-c2c(F)c(Cl)cc(Cl)c2F)c1 ZINC001243765439 1057774152 /nfs/dbraw/zinc/77/41/52/1057774152.db2.gz LALCNPOHZKBWBO-UHFFFAOYSA-N 0 3 317.166 4.395 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000785484381 1057781408 /nfs/dbraw/zinc/78/14/08/1057781408.db2.gz XEOYDEICSHRMQO-AWEZNQCLSA-N 0 3 321.399 4.442 20 0 DIADHN COc1ccc(C2=CC[C@@H](OC)CC2)cc1CN1CCCCC1 ZINC001243818231 1057786853 /nfs/dbraw/zinc/78/68/53/1057786853.db2.gz VGHXTRYTHQMOMR-LJQANCHMSA-N 0 3 315.457 4.263 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(CO)c(Cl)c2)ccc1F ZINC001243821997 1057787537 /nfs/dbraw/zinc/78/75/37/1057787537.db2.gz OFUOPVRYZGMXEN-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(CO)c(Cl)c2)c1F ZINC001243822561 1057787659 /nfs/dbraw/zinc/78/76/59/1057787659.db2.gz RUJFABXHFBTUFR-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCCN(C(=O)OC(C)(C)C)CC1 ZINC000733199167 1057805532 /nfs/dbraw/zinc/80/55/32/1057805532.db2.gz NJVZFMAJCRQEPN-KRWDZBQOSA-N 0 3 318.461 4.081 20 0 DIADHN FC(F)(F)Oc1cccc(-c2ccc(C3=CNCCC3)cn2)c1 ZINC001243953730 1057818069 /nfs/dbraw/zinc/81/80/69/1057818069.db2.gz MJNFRRAFYNLXQG-UHFFFAOYSA-N 0 3 320.314 4.372 20 0 DIADHN CSc1cc(F)c(-c2cnccc2/C=C/N(C)C)cc1F ZINC001243955510 1057818712 /nfs/dbraw/zinc/81/87/12/1057818712.db2.gz GYZXHTIMBQKHMK-FNORWQNLSA-N 0 3 306.381 4.281 20 0 DIADHN Cc1nc2cc(-c3ccc(F)c(CN4CCCC4)c3)ccc2[nH]1 ZINC001243966441 1057822612 /nfs/dbraw/zinc/82/26/12/1057822612.db2.gz IORJRLOVPDKPRX-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CCOc1ccc(-c2cc(CN(C)C)ccn2)c(C(F)(F)F)c1 ZINC001244044700 1057847574 /nfs/dbraw/zinc/84/75/74/1057847574.db2.gz KTQJIJUNKGPEQL-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN Fc1ccc(-c2ccc3c(n2)CNCC3)cc1-c1ccccc1 ZINC001244092739 1057866816 /nfs/dbraw/zinc/86/68/16/1057866816.db2.gz UVHTULUPWYSBMH-UHFFFAOYSA-N 0 3 304.368 4.200 20 0 DIADHN CC(C)Oc1c(F)cc(-c2ccc3c(c2)CN(C)CC3)cc1F ZINC001244110073 1057871788 /nfs/dbraw/zinc/87/17/88/1057871788.db2.gz YGOGURGGYAVDGC-UHFFFAOYSA-N 0 3 317.379 4.407 20 0 DIADHN COc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1C(C)C ZINC001244128801 1057880094 /nfs/dbraw/zinc/88/00/94/1057880094.db2.gz CLLBVVVLLLHPEH-UHFFFAOYSA-N 0 3 310.441 4.475 20 0 DIADHN Cc1c(-c2cc(OC3CCN(C)CC3)ccn2)cccc1C1CC1 ZINC001244132889 1057881249 /nfs/dbraw/zinc/88/12/49/1057881249.db2.gz QYNKIODHZWQGKZ-UHFFFAOYSA-N 0 3 322.452 4.407 20 0 DIADHN CN(C)Cc1ccnc(-c2ccc(COc3ccccc3)cc2)c1 ZINC001244188236 1057895395 /nfs/dbraw/zinc/89/53/95/1057895395.db2.gz VOHNSBMXQXXYGZ-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CC(C)COc1cccc(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001244192860 1057897194 /nfs/dbraw/zinc/89/71/94/1057897194.db2.gz UFNLKPUXRQQAFE-UHFFFAOYSA-N 0 3 310.441 4.378 20 0 DIADHN COC(=O)Cc1cccc(-c2ccc3c(c2)C(C(C)C)=NCC3)c1 ZINC001244219535 1057902777 /nfs/dbraw/zinc/90/27/77/1057902777.db2.gz FLLUPWWDOMIYDJ-UHFFFAOYSA-N 0 3 321.420 4.070 20 0 DIADHN CSc1ncc(-c2cnccc2/C=C/N(C)C)cc1Cl ZINC001244246097 1057909186 /nfs/dbraw/zinc/90/91/86/1057909186.db2.gz GIVSETOHCHEZDS-FNORWQNLSA-N 0 3 305.834 4.051 20 0 DIADHN COc1ccc(-c2cccc(OC)c2C2CC2)cc1CN(C)C ZINC001244252165 1057911331 /nfs/dbraw/zinc/91/13/31/1057911331.db2.gz KLZVHZRRNIYMST-UHFFFAOYSA-N 0 3 311.425 4.310 20 0 DIADHN c1cc2cc(-c3cncc([C@@H]4CN5CCC4CC5)n3)ccc2s1 ZINC001244296672 1057925512 /nfs/dbraw/zinc/92/55/12/1057925512.db2.gz KEKMNVFGYMOMAF-MRXNPFEDSA-N 0 3 321.449 4.168 20 0 DIADHN O=c1[nH]ccc2ccc(-c3cccc(CN4CCCC4)c3F)cc21 ZINC001244315843 1057931862 /nfs/dbraw/zinc/93/18/62/1057931862.db2.gz TWBNWBOIORFVGK-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN CCCOc1cc(F)ccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001244358514 1057943265 /nfs/dbraw/zinc/94/32/65/1057943265.db2.gz WHVHNIWVBMIUCG-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCN(CC)Cc1cc(-c2c(F)cc(CO)cc2F)ccc1F ZINC001244370719 1057945769 /nfs/dbraw/zinc/94/57/69/1057945769.db2.gz LFCIOFYVBDTQOI-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN Cc1cccc(NC(=O)c2ccc(-c3cc(N)ccn3)cc2)c1C ZINC001244380835 1057947516 /nfs/dbraw/zinc/94/75/16/1057947516.db2.gz RSNWCDSYPVKMTA-UHFFFAOYSA-N 0 3 317.392 4.200 20 0 DIADHN COCOc1ccc(Cl)cc1-c1ccc2c(N)ccnc2c1 ZINC001244474855 1057969792 /nfs/dbraw/zinc/96/97/92/1057969792.db2.gz HMKFMNFASFCTFP-UHFFFAOYSA-N 0 3 314.772 4.120 20 0 DIADHN Fc1cc(Cl)c(-c2ccc3c(c2)COC32CNC2)c(Cl)c1 ZINC001244500440 1057976627 /nfs/dbraw/zinc/97/66/27/1057976627.db2.gz PUASWUHJGMTDGN-UHFFFAOYSA-N 0 3 324.182 4.128 20 0 DIADHN Cc1cc(-c2ccc(SC3CC[NH2+]CC3)cc2)cc([O-])c1F ZINC001244525355 1057985715 /nfs/dbraw/zinc/98/57/15/1057985715.db2.gz LTGQQWUBNJLUNX-UHFFFAOYSA-N 0 3 317.429 4.351 20 0 DIADHN Cc1cc(-c2ccc(C3CC[NH2+]CC3)cc2)cc(Cl)c1[O-] ZINC001244537647 1057988919 /nfs/dbraw/zinc/98/89/19/1057988919.db2.gz ODONBXAZOYKEKX-UHFFFAOYSA-N 0 3 301.817 4.488 20 0 DIADHN Cc1cc(-c2ccc(OC3CC[NH2+]CC3)cc2)cc(Cl)c1[O-] ZINC001244546051 1057993163 /nfs/dbraw/zinc/99/31/63/1057993163.db2.gz DCAHZZAQPUFHSC-UHFFFAOYSA-N 0 3 317.816 4.152 20 0 DIADHN Cc1cc(-c2ccc([C@H]3CCC[NH2+]C3)cc2)cc(Cl)c1[O-] ZINC001244550314 1057993330 /nfs/dbraw/zinc/99/33/30/1057993330.db2.gz AESVKFJNMKAPEB-HNNXBMFYSA-N 0 3 301.817 4.488 20 0 DIADHN Cc1cc(-c2cncc(C[NH+]3CCCCC3)c2)cc(Cl)c1[O-] ZINC001244550305 1057993751 /nfs/dbraw/zinc/99/37/51/1057993751.db2.gz AAXAFFCOYRUKFK-UHFFFAOYSA-N 0 3 316.832 4.402 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(Cl)c2CO)ccc1F ZINC001244578675 1058000740 /nfs/dbraw/zinc/00/07/40/1058000740.db2.gz LFAVDFWJCLSJIE-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN COC(=O)c1ccc(-c2cncc3cc[nH]c32)cc1C(F)(F)F ZINC001244597653 1058005588 /nfs/dbraw/zinc/00/55/88/1058005588.db2.gz KIHAZOBKHQECBS-UHFFFAOYSA-N 0 3 320.270 4.035 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(C)cc(CO)cc2C)cc1F ZINC001244697516 1058029414 /nfs/dbraw/zinc/02/94/14/1058029414.db2.gz QMMRYGVMXAATRT-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN COc1c(F)cc(-c2cnccc2/C=C/N(C)C)cc1Cl ZINC001244700085 1058029983 /nfs/dbraw/zinc/02/99/83/1058029983.db2.gz IHKOTPVAUKGWEI-FNORWQNLSA-N 0 3 306.768 4.082 20 0 DIADHN CSc1ccc(-c2ccc3c(c2)COC32CNC2)cc1Cl ZINC001244740514 1058039138 /nfs/dbraw/zinc/03/91/38/1058039138.db2.gz PGHKZAOAAJBJLV-UHFFFAOYSA-N 0 3 317.841 4.058 20 0 DIADHN CC(C)Oc1ccc(F)c(F)c1-c1ccc2c(c1)CN(C)CC2 ZINC001244743151 1058040158 /nfs/dbraw/zinc/04/01/58/1058040158.db2.gz UBCPHOQBJOOCAN-UHFFFAOYSA-N 0 3 317.379 4.407 20 0 DIADHN Nc1nc2cc(-c3ccc(Cl)cc3C(F)(F)F)ccc2[nH]1 ZINC001244772804 1058045315 /nfs/dbraw/zinc/04/53/15/1058045315.db2.gz GVAKWCUDDWIOEP-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Nc1nc2ccc(-c3ccc(Cl)cc3C(F)(F)F)cc2[nH]1 ZINC001244772804 1058045319 /nfs/dbraw/zinc/04/53/19/1058045319.db2.gz GVAKWCUDDWIOEP-UHFFFAOYSA-N 0 3 311.694 4.484 20 0 DIADHN Cc1ccc(-c2cccnc2OC2CNC2)cc1-c1ccccc1 ZINC001244786450 1058048511 /nfs/dbraw/zinc/04/85/11/1058048511.db2.gz KOJYLZKZMJGPOG-UHFFFAOYSA-N 0 3 316.404 4.075 20 0 DIADHN O=C(O[C@H]1CC=C(c2cncc3cc[nH]c32)CC1)c1ccccc1 ZINC001244806785 1058050613 /nfs/dbraw/zinc/05/06/13/1058050613.db2.gz TYMNOVPIHJHTPU-KRWDZBQOSA-N 0 3 318.376 4.356 20 0 DIADHN CSc1cc(-c2ccc3c(n2)CNCC3)ccc1C(F)(F)F ZINC001244848812 1058057881 /nfs/dbraw/zinc/05/78/81/1058057881.db2.gz CXIWBDUSEKMJCN-UHFFFAOYSA-N 0 3 324.371 4.135 20 0 DIADHN COc1cc(-c2cc(N3CCCC3)ccn2)ccc1OC(C)C ZINC001244885057 1058064825 /nfs/dbraw/zinc/06/48/25/1058064825.db2.gz ZEEHIYQSVGBKLD-UHFFFAOYSA-N 0 3 312.413 4.145 20 0 DIADHN CSc1ncc(C)cc1-c1cnccc1CN1CCCCC1 ZINC001244886009 1058065753 /nfs/dbraw/zinc/06/57/53/1058065753.db2.gz YWIGNHUFMWROQL-UHFFFAOYSA-N 0 3 313.470 4.160 20 0 DIADHN COc1cc(-c2ccc(CN(C)C3CC3)cn2)ccc1C1CC1 ZINC001244889132 1058066771 /nfs/dbraw/zinc/06/67/71/1058066771.db2.gz IAVWXRQEICHQAW-UHFFFAOYSA-N 0 3 308.425 4.229 20 0 DIADHN CN1CCC(Oc2ccnc(-c3ccc(C4CCC4)cc3)c2)CC1 ZINC001244943222 1058077345 /nfs/dbraw/zinc/07/73/45/1058077345.db2.gz CTKUOKXAIQUWEA-UHFFFAOYSA-N 0 3 322.452 4.489 20 0 DIADHN COCCN(C)Cc1ccnc(-c2ccc(C3CCC3)cc2)c1 ZINC001244944628 1058077604 /nfs/dbraw/zinc/07/76/04/1058077604.db2.gz XEKIMARWRKKROL-UHFFFAOYSA-N 0 3 310.441 4.094 20 0 DIADHN CC(C)=CCC[C@@H](C)CN1C[C@H]2CC[C@@H](C1)N2Cc1ccc[nH]1 ZINC001278392679 1058079415 /nfs/dbraw/zinc/07/94/15/1058079415.db2.gz IGOGKYGOWPBCHL-RLLQIKCJSA-N 0 3 315.505 4.046 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cccc(C3CCCC3)c2)c1 ZINC001244986793 1058086786 /nfs/dbraw/zinc/08/67/86/1058086786.db2.gz XTYJIZZATNUMJR-UHFFFAOYSA-N 0 3 324.468 4.484 20 0 DIADHN CCOc1cc(C)cc(F)c1-c1cncc(CN2CCCC2)c1 ZINC001245013690 1058092989 /nfs/dbraw/zinc/09/29/89/1058092989.db2.gz QDRFEEIGOWUFFE-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CN(Cc1ccc(-c2cc(F)c(F)cc2Cl)nc1)C1CC1 ZINC001245016914 1058094117 /nfs/dbraw/zinc/09/41/17/1058094117.db2.gz JURLCAPKSVHASZ-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(OC)ncc2Cl)cc1F ZINC001245039668 1058100594 /nfs/dbraw/zinc/10/05/94/1058100594.db2.gz NKMJRLIDQXXJQK-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN Cc1ccc(-c2cnccc2N2CCOCC2)c(Cl)c1Cl ZINC001245092576 1058111083 /nfs/dbraw/zinc/11/10/83/1058111083.db2.gz AJZKLXCKMVRBRN-UHFFFAOYSA-N 0 3 323.223 4.200 20 0 DIADHN CCOc1ccc(Cl)cc1-c1ccc2c(c1)CN(C)CC2 ZINC001245107918 1058115683 /nfs/dbraw/zinc/11/56/83/1058115683.db2.gz GJEKPCSEQKCNNU-UHFFFAOYSA-N 0 3 301.817 4.394 20 0 DIADHN CCCC[C@H](CC)CN1C[C@@H]2COC[C@H](C1)N2CC(CC)CC ZINC001278401044 1058123467 /nfs/dbraw/zinc/12/34/67/1058123467.db2.gz GQNZTGAPCQVAHI-SLFFLAALSA-N 0 3 324.553 4.024 20 0 DIADHN NCc1cc(-c2cccc(OCc3cccc(Cl)c3)c2)ccn1 ZINC001245217381 1058128525 /nfs/dbraw/zinc/12/85/25/1058128525.db2.gz HCUKVZOODYQVJZ-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN Nc1ccnc2cc(-c3ccnc(OCC4CCC4)c3)ccc12 ZINC001245241584 1058134239 /nfs/dbraw/zinc/13/42/39/1058134239.db2.gz HFSGBMSYZSNLTK-UHFFFAOYSA-N 0 3 305.381 4.058 20 0 DIADHN CN(C)Cc1cccc(-c2ccc3c(c2)Cc2ccccc2-3)n1 ZINC001245284264 1058141196 /nfs/dbraw/zinc/14/11/96/1058141196.db2.gz NSRPSXXJYDXOJQ-UHFFFAOYSA-N 0 3 300.405 4.381 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc(C)c3OC)c(C)c2)CC1 ZINC001245361874 1058152670 /nfs/dbraw/zinc/15/26/70/1058152670.db2.gz MNQCIOKOCMOAMD-UHFFFAOYSA-N 0 3 322.452 4.483 20 0 DIADHN Fc1cc(-c2c[nH]c3cnccc23)ccc1CN1CCCCC1 ZINC001245397529 1058159817 /nfs/dbraw/zinc/15/98/17/1058159817.db2.gz IFKWQLPMOUYEAC-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN c1cc(-c2ccc(C3=CC[C@@H](N4CCOCC4)CC3)cc2)ccn1 ZINC001245423060 1058164145 /nfs/dbraw/zinc/16/41/45/1058164145.db2.gz GTNVDIKEKQZUDX-OAQYLSRUSA-N 0 3 320.436 4.017 20 0 DIADHN Cc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245427984 1058164664 /nfs/dbraw/zinc/16/46/64/1058164664.db2.gz ZZLMVIYDBCJZSV-GOSISDBHSA-N 0 3 307.437 4.416 20 0 DIADHN Cc1cc(C2=CC[C@@H](N3CCOCC3)CC2)ccc1OC(C)C ZINC001245427798 1058164821 /nfs/dbraw/zinc/16/48/21/1058164821.db2.gz NUQPSPIZOSQPIW-LJQANCHMSA-N 0 3 315.457 4.050 20 0 DIADHN COc1cc(C2=CC[C@H](N3CCOCC3)CC2)cc(C(C)C)c1 ZINC001245425863 1058164921 /nfs/dbraw/zinc/16/49/21/1058164921.db2.gz GLZWRYTZDRQCTA-IBGZPJMESA-N 0 3 315.457 4.087 20 0 DIADHN c1cc2c3c(ccc(C4=CC[C@H](N5CCOCC5)CC4)c3c1)CC2 ZINC001245424740 1058164928 /nfs/dbraw/zinc/16/49/28/1058164928.db2.gz MJPDIUCHZKTIID-IBGZPJMESA-N 0 3 319.448 4.207 20 0 DIADHN COc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ccc1N ZINC001245505250 1058187422 /nfs/dbraw/zinc/18/74/22/1058187422.db2.gz VUPQSLZAGBWUGQ-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN Cc1ccc(N(CC(C)C)C(=O)[C@H]2CCCN2C(C)C)c(C)c1 ZINC001527676602 1058203348 /nfs/dbraw/zinc/20/33/48/1058203348.db2.gz XWJNGYLDGVLGJR-LJQANCHMSA-N 0 3 316.489 4.165 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CCC[C@H](C)[C@H]2C)o1 ZINC001527769286 1058235856 /nfs/dbraw/zinc/23/58/56/1058235856.db2.gz POZWHIAWWUORJQ-SQWLQELKSA-N 0 3 307.434 4.103 20 0 DIADHN Fc1ccc2[nH]c(-c3cncc(CN4CCCCC4)c3)cc2c1 ZINC001245575712 1058244515 /nfs/dbraw/zinc/24/45/15/1058244515.db2.gz HUDIHHGEMHJWRA-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC(C)c1nc(CN2CC3(CCOCC3)[C@@H]3CCC[C@H]32)cs1 ZINC001548848688 1058264236 /nfs/dbraw/zinc/26/42/36/1058264236.db2.gz BPPPQEUDZZCLMM-HZPDHXFCSA-N 0 3 320.502 4.048 20 0 DIADHN COc1ccc2[nH]c(-c3cncc(CN4CCCCC4)c3)cc2c1 ZINC001245595822 1058269666 /nfs/dbraw/zinc/26/96/66/1058269666.db2.gz HDFOCLKFXMYOGT-UHFFFAOYSA-N 0 3 321.424 4.224 20 0 DIADHN Cc1nc([C@@H]2CCCCN2C[C@@H](O)c2ccccc2)sc1C ZINC001548900130 1058275429 /nfs/dbraw/zinc/27/54/29/1058275429.db2.gz AQQITIAYBRMTCL-DLBZAZTESA-N 0 3 316.470 4.021 20 0 DIADHN Nc1ccnc2cc(-c3ccc4c(c3)C(=O)CCCC4)ccc12 ZINC001245599885 1058275667 /nfs/dbraw/zinc/27/56/67/1058275667.db2.gz PVGYXHXHCXUWFI-UHFFFAOYSA-N 0 3 302.377 4.393 20 0 DIADHN Cc1nc([C@@H]2CCCCN2C[C@H](O)c2ccccc2)sc1C ZINC001548900137 1058276396 /nfs/dbraw/zinc/27/63/96/1058276396.db2.gz AQQITIAYBRMTCL-IRXDYDNUSA-N 0 3 316.470 4.021 20 0 DIADHN C[C@H](N[C@H]1CCCO[C@@H]1c1ccc(Cl)cc1)c1cncs1 ZINC001549774591 1058304558 /nfs/dbraw/zinc/30/45/58/1058304558.db2.gz DUWIPXFROCIFAG-HZUKXOBISA-N 0 3 322.861 4.367 20 0 DIADHN C[C@H](N[C@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1cncs1 ZINC001549774593 1058304837 /nfs/dbraw/zinc/30/48/37/1058304837.db2.gz DUWIPXFROCIFAG-PJODQICGSA-N 0 3 322.861 4.367 20 0 DIADHN Oc1cccc(F)c1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001245654138 1058312974 /nfs/dbraw/zinc/31/29/74/1058312974.db2.gz JVJJIZKJAQAVTH-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243244 1058326957 /nfs/dbraw/zinc/32/69/57/1058326957.db2.gz GEMSIGNSBJLNLU-IRXDYDNUSA-N 0 3 313.470 4.355 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](C)c1cnc(Cl)n1C)CCC2 ZINC001550502982 1058342123 /nfs/dbraw/zinc/34/21/23/1058342123.db2.gz GONQANNZSZQZHJ-DOMZBBRYSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1cnc(Cl)n1C)CCC2 ZINC001550502984 1058342464 /nfs/dbraw/zinc/34/24/64/1058342464.db2.gz GONQANNZSZQZHJ-SWLSCSKDSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1nocc1[C@H](C)N[C@@H]1CCCO[C@@H]1c1ccc(Cl)cc1 ZINC001550520676 1058346462 /nfs/dbraw/zinc/34/64/62/1058346462.db2.gz CSKZVMIHWAGEOT-YMRXKLBXSA-N 0 3 320.820 4.207 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(C)c(CO)cc2C)c1F ZINC001245701229 1058369000 /nfs/dbraw/zinc/36/90/00/1058369000.db2.gz BWXSWOGEPWLZTL-UHFFFAOYSA-N 0 3 315.432 4.444 20 0 DIADHN CCc1ncc(CN[C@H]2C[C@H](C)Cc3cc(OC)ccc32)s1 ZINC001551241227 1058381821 /nfs/dbraw/zinc/38/18/21/1058381821.db2.gz BDYZXLAKMVXBAM-PXAZEXFGSA-N 0 3 316.470 4.127 20 0 DIADHN C[C@@H]1CN(Cc2ccc3c(c2)COC3)CC[C@H](c2ccccc2)O1 ZINC001551261476 1058386785 /nfs/dbraw/zinc/38/67/85/1058386785.db2.gz WQOSRPLCPDISME-IIBYNOLFSA-N 0 3 323.436 4.069 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccsc21)c1cnn(CC2CCC2)c1 ZINC001551390283 1058391797 /nfs/dbraw/zinc/39/17/97/1058391797.db2.gz PQGBOAXJDUWZBX-WBMJQRKESA-N 0 3 301.459 4.083 20 0 DIADHN CC(C)CCCCCC(=O)N1C[C@@H](N(C)C)Cc2ccccc21 ZINC001528334131 1058395729 /nfs/dbraw/zinc/39/57/29/1058395729.db2.gz ATKODMOQIFMNBS-SFHVURJKSA-N 0 3 316.489 4.112 20 0 DIADHN COc1cc(OC)c(-c2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001245729116 1058407178 /nfs/dbraw/zinc/40/71/78/1058407178.db2.gz LADAFEYBBLEMBR-UHFFFAOYSA-N 0 3 317.816 4.012 20 0 DIADHN CCC[C@H](CC)C(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001528553911 1058454273 /nfs/dbraw/zinc/45/42/73/1058454273.db2.gz XSOICBQKKJIUPW-INIZCTEOSA-N 0 3 324.896 4.057 20 0 DIADHN F[C@@H]1Cc2ccccc2[C@@H]1N[C@H]1CCOC2(CCCCC2)C1 ZINC001552928971 1058454681 /nfs/dbraw/zinc/45/46/81/1058454681.db2.gz DUUPRJBNNOURNM-JQHSSLGASA-N 0 3 303.421 4.093 20 0 DIADHN F[C@@H]1Cc2ccccc2[C@@H]1N[C@@H]1CCOC2(CCCCC2)C1 ZINC001552928973 1058455123 /nfs/dbraw/zinc/45/51/23/1058455123.db2.gz DUUPRJBNNOURNM-NXHRZFHOSA-N 0 3 303.421 4.093 20 0 DIADHN Cc1nc(Cl)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001245772508 1058457656 /nfs/dbraw/zinc/45/76/56/1058457656.db2.gz APPYFDLUNVRWCM-UHFFFAOYSA-N 0 3 301.821 4.091 20 0 DIADHN Cc1nc(Cl)ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001245775880 1058462528 /nfs/dbraw/zinc/46/25/28/1058462528.db2.gz KAVZPSOVYHOUSQ-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN CN(C)Cc1cncc(-c2cnc(Cl)c(Cl)c2Cl)c1 ZINC001245807175 1058490394 /nfs/dbraw/zinc/49/03/94/1058490394.db2.gz ONVYRTKSSNWFNH-UHFFFAOYSA-N 0 3 316.619 4.165 20 0 DIADHN c1cc2c(cccc2C2CCN(Cc3cc(C4CC4)no3)CC2)[nH]1 ZINC001553875981 1058506302 /nfs/dbraw/zinc/50/63/02/1058506302.db2.gz XNUVUPPQHJRQGN-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN COc1ccc(-c2cccc(CN3CCCC3)c2F)c(Cl)n1 ZINC001245878703 1058584899 /nfs/dbraw/zinc/58/48/99/1058584899.db2.gz LEDAQYSLKAWWRU-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN COc1ccc(F)c(-c2cncc(CN3CCCC3)c2)c1Cl ZINC001245893589 1058603889 /nfs/dbraw/zinc/60/38/89/1058603889.db2.gz KMUMLRLGSPPRCM-UHFFFAOYSA-N 0 3 320.795 4.146 20 0 DIADHN CN1CCN(C(=O)C[C@@H]2CCCCC2(C)C)CC12CCCCC2 ZINC001556685274 1058628122 /nfs/dbraw/zinc/62/81/22/1058628122.db2.gz XTEYNWLOGUUHCE-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001556684905 1058628680 /nfs/dbraw/zinc/62/86/80/1058628680.db2.gz IQOJQYIWTJNSRY-GOSISDBHSA-N 0 3 322.537 4.460 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001556685007 1058628846 /nfs/dbraw/zinc/62/88/46/1058628846.db2.gz LHPKLCFTDJJJBH-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H](CC)CC(C)C ZINC001556804452 1058644849 /nfs/dbraw/zinc/64/48/49/1058644849.db2.gz BSHIOXIOXVPPEX-AWEZNQCLSA-N 0 3 305.466 4.115 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC(C)(CC(C)C)CC1 ZINC001556888925 1058664798 /nfs/dbraw/zinc/66/47/98/1058664798.db2.gz PJTQYIFDIMMYDF-UHFFFAOYSA-N 0 3 310.526 4.173 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001520724510 1058671008 /nfs/dbraw/zinc/67/10/08/1058671008.db2.gz IZQVJNDWGBNTEI-SJLPKXTDSA-N 0 3 316.489 4.112 20 0 DIADHN CCC[C@@H](C)C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979261 1058726128 /nfs/dbraw/zinc/72/61/28/1058726128.db2.gz JUUAFKCRFPESCN-RHSMWYFYSA-N 0 3 324.896 4.275 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)N2CCN(C(C)(C)CC)CC2)cc1 ZINC001557292757 1058728888 /nfs/dbraw/zinc/72/88/88/1058728888.db2.gz HOMZLTHIYBUHLV-MRXNPFEDSA-N 0 3 316.489 4.147 20 0 DIADHN CC(C)[C@H](CC1CCCCC1)C(=O)N(C)CCN1CCCCC1 ZINC001557301469 1058731445 /nfs/dbraw/zinc/73/14/45/1058731445.db2.gz VPRYIZHQUHZUOT-IBGZPJMESA-N 0 3 322.537 4.173 20 0 DIADHN CC[C@H](C(=O)Nc1ccc2c(c1)C=CCCC2)N(CC)CC ZINC001557517581 1058761963 /nfs/dbraw/zinc/76/19/63/1058761963.db2.gz DGELDJBXXCQOPS-GOSISDBHSA-N 0 3 300.446 4.095 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc2c(c1)C=CCCC2)N(CC)CC ZINC001557517582 1058762858 /nfs/dbraw/zinc/76/28/58/1058762858.db2.gz DGELDJBXXCQOPS-SFHVURJKSA-N 0 3 300.446 4.095 20 0 DIADHN C[C@H](NC(=O)C1(C)CCC(C)CC1)[C@@H](c1ccccc1)N(C)C ZINC001529634089 1058777636 /nfs/dbraw/zinc/77/76/36/1058777636.db2.gz YOPYGMYGOMQOLM-OUBFZGACSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@@H]1CN(C(=O)c2csc(C3CCCCC3)c2)C[C@H](C)N1 ZINC001557661638 1058784061 /nfs/dbraw/zinc/78/40/61/1058784061.db2.gz JVHBHASSQTWUIP-XJKSGUPXSA-N 0 3 320.502 4.008 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1C(F)(F)CC)N(CC)CC ZINC001558012938 1058826729 /nfs/dbraw/zinc/82/67/29/1058826729.db2.gz LJDZYILIRSPGNV-OAHLLOKOSA-N 0 3 312.404 4.247 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001558321535 1058861875 /nfs/dbraw/zinc/86/18/75/1058861875.db2.gz CGSOFFYLFFVATM-QZTJIDSGSA-N 0 3 320.521 4.234 20 0 DIADHN CCC[C@](C)(NC(=O)[C@@H](C)N1CCCCCC1)c1ccccc1 ZINC001558780710 1058912199 /nfs/dbraw/zinc/91/21/99/1058912199.db2.gz VLERIVMVZRMEEH-XLIONFOSSA-N 0 3 316.489 4.083 20 0 DIADHN C[C@H](CNC(=O)CCCCC(C)(C)C)N(C)Cc1ccccc1 ZINC001521764894 1058971302 /nfs/dbraw/zinc/97/13/02/1058971302.db2.gz OXABGVLSQWZAIL-QGZVFWFLSA-N 0 3 318.505 4.230 20 0 DIADHN Cc1cccc(OC(=O)[C@H]2CCCN(Cc3ccccc3)C2)c1C ZINC001530847812 1059164403 /nfs/dbraw/zinc/16/44/03/1059164403.db2.gz RLQFBQOWBAXFDW-IBGZPJMESA-N 0 3 323.436 4.121 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCc2c(N)cccc2C1 ZINC001246934717 1059184686 /nfs/dbraw/zinc/18/46/86/1059184686.db2.gz PCUJSCGZECLZGG-LBPRGKRZSA-N 0 3 318.823 4.051 20 0 DIADHN CC(C)c1csc(SCCN(C)Cc2ccccc2)n1 ZINC001559434718 1059229883 /nfs/dbraw/zinc/22/98/83/1059229883.db2.gz XDPAQDZNKFPJPB-UHFFFAOYSA-N 0 3 306.500 4.491 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523467607 1059248597 /nfs/dbraw/zinc/24/85/97/1059248597.db2.gz BZZOGTCFVOTDQL-LBKNJMOBSA-N 0 3 316.489 4.010 20 0 DIADHN CCCc1ccccc1C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523468044 1059250630 /nfs/dbraw/zinc/25/06/30/1059250630.db2.gz HHMZYTFXHHRAMH-UZLBHIALSA-N 0 3 324.468 4.060 20 0 DIADHN CC(C)n1c2ccc(C(F)(F)F)cc2nc1[C@H]1CCCCN1 ZINC001247035861 1059255851 /nfs/dbraw/zinc/25/58/51/1059255851.db2.gz BQZPTNMFMGQIMJ-GFCCVEGCSA-N 0 3 311.351 4.451 20 0 DIADHN CC(C)CCN(Cc1cccc(F)c1OC(F)F)C1CC1 ZINC001559481955 1059258195 /nfs/dbraw/zinc/25/81/95/1059258195.db2.gz LEYXDOLLVQJTAK-UHFFFAOYSA-N 0 3 301.352 4.438 20 0 DIADHN CCCCn1c2cc(F)ccc2nc1[C@H](N)Cc1ccccc1 ZINC001247041648 1059260290 /nfs/dbraw/zinc/26/02/90/1059260290.db2.gz VTPNZMUHISVXBC-MRXNPFEDSA-N 0 3 311.404 4.218 20 0 DIADHN Fc1ccc2nc([C@@H]3CCCCN3)n(C3CCCCC3)c2c1 ZINC001247041067 1059260377 /nfs/dbraw/zinc/26/03/77/1059260377.db2.gz FLWBCIVRLQPAAA-INIZCTEOSA-N 0 3 301.409 4.495 20 0 DIADHN CCOc1ccc2nc([C@H](N)Cc3ccccc3)n(C3CC3)c2c1 ZINC001247042564 1059264468 /nfs/dbraw/zinc/26/44/68/1059264468.db2.gz KJCMTNZGQIFWFF-QGZVFWFLSA-N 0 3 321.424 4.012 20 0 DIADHN COC(=O)c1sccc1CN1CCC[C@H]1C1CCCCC1 ZINC001559488662 1059267847 /nfs/dbraw/zinc/26/78/47/1059267847.db2.gz PGJFWYQSUAXDPC-HNNXBMFYSA-N 0 3 307.459 4.079 20 0 DIADHN CC[C@H](C)n1c2ccc(Cl)cc2nc1[C@@H]1CNC(C)(C)O1 ZINC001247046756 1059268561 /nfs/dbraw/zinc/26/85/61/1059268561.db2.gz OZCUCHJRTXPLPH-HZMBPMFUSA-N 0 3 307.825 4.058 20 0 DIADHN CC[C@H](CC(=O)N(CCN(C)C)Cc1ccccc1)C(C)(C)C ZINC001531292120 1059272405 /nfs/dbraw/zinc/27/24/05/1059272405.db2.gz LFBAQTIPVGVJFM-GOSISDBHSA-N 0 3 318.505 4.039 20 0 DIADHN CC1(C)CCc2onc(CN3CCC[C@@H]3C3CCCC3)c2C1 ZINC001559493729 1059274162 /nfs/dbraw/zinc/27/41/62/1059274162.db2.gz LAYQAKPXQALRFY-QGZVFWFLSA-N 0 3 302.462 4.344 20 0 DIADHN COCCN(C)Cc1cccc(Oc2ccc(Cl)cc2)c1 ZINC001559503431 1059285646 /nfs/dbraw/zinc/28/56/46/1059285646.db2.gz BPHYBVGSCHSZII-UHFFFAOYSA-N 0 3 305.805 4.211 20 0 DIADHN COCCN(Cc1ccc(C)cc1C)C[C@H]1CCCC(C)(C)O1 ZINC001559503583 1059289531 /nfs/dbraw/zinc/28/95/31/1059289531.db2.gz FBSQYSPZJHHJBY-LJQANCHMSA-N 0 3 319.489 4.100 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@H]1CCC2(CCC2)CO1 ZINC001559506836 1059296230 /nfs/dbraw/zinc/29/62/30/1059296230.db2.gz FTZVAMDLZFRQNG-QGZVFWFLSA-N 0 3 305.437 4.385 20 0 DIADHN CC(C)N(Cc1ccccc1F)C[C@@H]1CCC2(CCC2)CO1 ZINC001559506835 1059298276 /nfs/dbraw/zinc/29/82/76/1059298276.db2.gz FTZVAMDLZFRQNG-KRWDZBQOSA-N 0 3 305.437 4.385 20 0 DIADHN CC[C@@H](c1ccccc1)[N@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001559508235 1059301063 /nfs/dbraw/zinc/30/10/63/1059301063.db2.gz BQQKISSPODJOKM-KRWDZBQOSA-N 0 3 311.429 4.033 20 0 DIADHN CC[C@@H](c1ccccc1)[N@@H+](CC)Cc1cc(=O)[n-]c(C2CC2)n1 ZINC001559508235 1059301081 /nfs/dbraw/zinc/30/10/81/1059301081.db2.gz BQQKISSPODJOKM-KRWDZBQOSA-N 0 3 311.429 4.033 20 0 DIADHN CCN(Cc1ccc(Cl)c(C(F)(F)F)c1)C[C@H]1CCCO1 ZINC001559516224 1059309247 /nfs/dbraw/zinc/30/92/47/1059309247.db2.gz INVUWRGTZHAAOM-GFCCVEGCSA-N 0 3 321.770 4.360 20 0 DIADHN CC(C)N(C(=O)CN(C)CCC1CCCCC1)C1CCCC1 ZINC001559514180 1059309889 /nfs/dbraw/zinc/30/98/89/1059309889.db2.gz UJXZTQDSINCRGP-UHFFFAOYSA-N 0 3 308.510 4.068 20 0 DIADHN CCN(CCC1CC1)Cc1cc(Br)ccc1F ZINC001559518903 1059314482 /nfs/dbraw/zinc/31/44/82/1059314482.db2.gz MDIGTDBISYSSNN-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN CCCN(CCc1cccc(F)c1)[C@@H](C)c1snnc1C ZINC001559534913 1059336144 /nfs/dbraw/zinc/33/61/44/1059336144.db2.gz ZXLUZVLNCBUPQX-ZDUSSCGKSA-N 0 3 307.438 4.001 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](c2ccccc2)C(C)C)s1 ZINC001559534983 1059336474 /nfs/dbraw/zinc/33/64/74/1059336474.db2.gz BORMHUIDMINUDE-KRWDZBQOSA-N 0 3 317.454 4.364 20 0 DIADHN CCS[C@H]1CCCCN(Cc2cccc(OC(C)(C)C)n2)C1 ZINC001559533844 1059336645 /nfs/dbraw/zinc/33/66/45/1059336645.db2.gz WCXMDBBAUZCOLR-INIZCTEOSA-N 0 3 322.518 4.367 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(OC)ccc2Cl)C1 ZINC001559564323 1059388239 /nfs/dbraw/zinc/38/82/39/1059388239.db2.gz NDNBYSRKNRUIAX-HIFRSBDPSA-N 0 3 313.894 4.455 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC001531531876 1059348294 /nfs/dbraw/zinc/34/82/94/1059348294.db2.gz YKPMDBFRDKYFAB-FQEVSTJZSA-N 0 3 322.452 4.189 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001559547489 1059353896 /nfs/dbraw/zinc/35/38/96/1059353896.db2.gz XCCPXKGODVGKDV-HZPDHXFCSA-N 0 3 316.489 4.433 20 0 DIADHN CC(C)c1ccc(-c2noc(C[C@@H](N)c3cccs3)n2)cc1 ZINC001247259372 1059362547 /nfs/dbraw/zinc/36/25/47/1059362547.db2.gz VIRJUDAQVWNNOS-CQSZACIVSA-N 0 3 313.426 4.164 20 0 DIADHN Cc1ccc(-c2noc(C[C@@H](N)c3cccs3)n2)cc1Cl ZINC001247259113 1059362749 /nfs/dbraw/zinc/36/27/49/1059362749.db2.gz PNNPCMHFVBCTIM-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C(C)C)nc2CC)C1(C)C ZINC001559557004 1059372432 /nfs/dbraw/zinc/37/24/32/1059372432.db2.gz ODICYMWUQSPLQM-MOPGFXCFSA-N 0 3 318.505 4.403 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cc(OC)ccc2Cl)C1 ZINC001559564322 1059384439 /nfs/dbraw/zinc/38/44/39/1059384439.db2.gz NDNBYSRKNRUIAX-DZGCQCFKSA-N 0 3 313.894 4.455 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cccc(OC(C)(C)C)n2)C1 ZINC001559564298 1059384910 /nfs/dbraw/zinc/38/49/10/1059384910.db2.gz MRXASECOGBXXSC-HZPDHXFCSA-N 0 3 322.518 4.365 20 0 DIADHN COc1ccc(C2CCN(Cc3c(C)nsc3C)CC2)cc1 ZINC001559564598 1059386474 /nfs/dbraw/zinc/38/64/74/1059386474.db2.gz STQGNBBSLCKUIL-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN CC[C@@H](C(=O)N(C)c1ccc(C)cc1)N1CCC[C@@](C)(CC)C1 ZINC001559562628 1059386582 /nfs/dbraw/zinc/38/65/82/1059386582.db2.gz GKXZJKPWGAFJKP-AZUAARDMSA-N 0 3 316.489 4.249 20 0 DIADHN CCc1ccc(CCN2C[C@H](C)OC[C@H]2c2ccccc2)cc1 ZINC001559565561 1059389373 /nfs/dbraw/zinc/38/93/73/1059389373.db2.gz NDXGVYQIJOBSEG-UWJYYQICSA-N 0 3 309.453 4.254 20 0 DIADHN CCC[C@@]1(C)CCCN(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC001559567862 1059399418 /nfs/dbraw/zinc/39/94/18/1059399418.db2.gz LOFZRBCJVLXOFL-IRXDYDNUSA-N 0 3 313.844 4.415 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC001559572159 1059404112 /nfs/dbraw/zinc/40/41/12/1059404112.db2.gz ONBCPZGEXNSINZ-GOEBONIOSA-N 0 3 323.864 4.455 20 0 DIADHN CCc1nocc1CN(C1CC1)C1CC(c2ccccc2C)C1 ZINC001559574748 1059404325 /nfs/dbraw/zinc/40/43/25/1059404325.db2.gz JURWTSQSSYTRAG-UHFFFAOYSA-N 0 3 310.441 4.456 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001559575415 1059407481 /nfs/dbraw/zinc/40/74/81/1059407481.db2.gz CZGCPUHXXWBCOQ-FQEVSTJZSA-N 0 3 323.484 4.372 20 0 DIADHN CC(C)C[C@H]1CCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559575664 1059407720 /nfs/dbraw/zinc/40/77/20/1059407720.db2.gz JGSXMGWLMULPRY-ZIAGYGMSSA-N 0 3 308.853 4.035 20 0 DIADHN CC(C)C[C@H]1CCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001559575554 1059409087 /nfs/dbraw/zinc/40/90/87/1059409087.db2.gz HCPAYWASYBPESJ-MRXNPFEDSA-N 0 3 310.441 4.146 20 0 DIADHN CC(C)C[C@@H]1CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559575661 1059409218 /nfs/dbraw/zinc/40/92/18/1059409218.db2.gz JGSXMGWLMULPRY-KBPBESRZSA-N 0 3 308.853 4.035 20 0 DIADHN Fc1cccc([C@@H]2CCN2CC2([C@@H]3CCCCO3)CCC2)c1 ZINC001559581879 1059415028 /nfs/dbraw/zinc/41/50/28/1059415028.db2.gz SKYVWBHHQFPNEV-ROUUACIJSA-N 0 3 303.421 4.312 20 0 DIADHN CN(Cc1cccc(O)c1)C[C@@H]1CCC[C@@H](c2ccccc2)O1 ZINC001559584830 1059420109 /nfs/dbraw/zinc/42/01/09/1059420109.db2.gz YKPQZKUCCWULMB-PMACEKPBSA-N 0 3 311.425 4.134 20 0 DIADHN COc1ncccc1CN(C)[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC001559590758 1059425276 /nfs/dbraw/zinc/42/52/76/1059425276.db2.gz PSEFZXLCKXBLRF-UONOGXRCSA-N 0 3 318.848 4.368 20 0 DIADHN COc1ccc(C[C@H]2CCN2CCCCC(F)(F)F)cc1 ZINC001559591939 1059425565 /nfs/dbraw/zinc/42/55/65/1059425565.db2.gz FOKABXQKZOGCAF-CQSZACIVSA-N 0 3 301.352 4.045 20 0 DIADHN CCC1(CC)CCN([C@@H](C)C(=O)Nc2cccc(Cl)c2C)C1 ZINC001559596614 1059432153 /nfs/dbraw/zinc/43/21/53/1059432153.db2.gz PJJMAGHZTPWRPM-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1ccc(/C=C/c2nc(-c3ccc(CN(C)C)cc3)no2)cc1 ZINC001247389569 1059436554 /nfs/dbraw/zinc/43/65/54/1059436554.db2.gz ZHKZSRMFCDWUQA-JLHYYAGUSA-N 0 3 319.408 4.277 20 0 DIADHN CCC(CC)CC(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001524120159 1059442976 /nfs/dbraw/zinc/44/29/76/1059442976.db2.gz YNRJDKWFXHKUBU-IBGZPJMESA-N 0 3 318.505 4.086 20 0 DIADHN CO[C@H](CN1CCCC[C@@H]1c1ccc(F)cc1F)C1CCC1 ZINC001559612633 1059446066 /nfs/dbraw/zinc/44/60/66/1059446066.db2.gz MAQIDLXJTWBHLA-QZTJIDSGSA-N 0 3 309.400 4.307 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001559616168 1059448179 /nfs/dbraw/zinc/44/81/79/1059448179.db2.gz UEKLKBOUOHCCQB-RHSMWYFYSA-N 0 3 322.880 4.488 20 0 DIADHN CO[C@@H](CN([C@H](C)c1ccco1)C1CC1)c1ccc(F)cc1 ZINC001559624360 1059452708 /nfs/dbraw/zinc/45/27/08/1059452708.db2.gz HIBWTBBHLMBOHP-ACJLOTCBSA-N 0 3 303.377 4.332 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC[C@@H]1CCC[C@H](C)C1 ZINC001559625223 1059453069 /nfs/dbraw/zinc/45/30/69/1059453069.db2.gz LKCQBVNLSFCJDZ-ZFWWWQNUSA-N 0 3 305.491 4.352 20 0 DIADHN CC[C@H](CC(F)F)CN1CCN(c2ccc(Cl)cc2)CC1 ZINC001559630507 1059458765 /nfs/dbraw/zinc/45/87/65/1059458765.db2.gz UFSGVVZHHKVBEU-CYBMUJFWSA-N 0 3 316.823 4.143 20 0 DIADHN c1c2c(oc1-c1ccccc1)CN(CCC[C@H]1CCOC1)CC2 ZINC001559633144 1059461576 /nfs/dbraw/zinc/46/15/76/1059461576.db2.gz WLFVLODNSYPBII-INIZCTEOSA-N 0 3 311.425 4.121 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(F)cc2C)no1 ZINC001247417092 1059467351 /nfs/dbraw/zinc/46/73/51/1059467351.db2.gz IXXDJRRXZIEOQR-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc3cnccc32)no1 ZINC001247417152 1059467410 /nfs/dbraw/zinc/46/74/10/1059467410.db2.gz KAJQQDMEORPQAM-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN O[C@@H](c1ccccc1)[C@@H]1CCCCN1Cc1sccc1Cl ZINC001559644303 1059468623 /nfs/dbraw/zinc/46/86/23/1059468623.db2.gz XLJSTHQYLRFNSB-RDJZCZTQSA-N 0 3 321.873 4.490 20 0 DIADHN O[C@H](c1ccccc1)[C@H]1CCCCN1Cc1sccc1Cl ZINC001559644302 1059469187 /nfs/dbraw/zinc/46/91/87/1059469187.db2.gz XLJSTHQYLRFNSB-NVXWUHKLSA-N 0 3 321.873 4.490 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc(C)cc2F)no1 ZINC001247419065 1059470403 /nfs/dbraw/zinc/47/04/03/1059470403.db2.gz VGBZTYGUUUDJKZ-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@H]2CCC(C)C)c1 ZINC001559646331 1059472638 /nfs/dbraw/zinc/47/26/38/1059472638.db2.gz IBERQVIXOJCPIT-KRWDZBQOSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1nn(C)cc1[C@@H]1CCCN1Cc1ccc(CC(C)C)cc1 ZINC001559648629 1059475921 /nfs/dbraw/zinc/47/59/21/1059475921.db2.gz MEBIMWPLNNCSLK-FQEVSTJZSA-N 0 3 311.473 4.264 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)C[C@H]1C ZINC001559654064 1059481892 /nfs/dbraw/zinc/48/18/92/1059481892.db2.gz CJTYZENDLQGECA-IAGOWNOFSA-N 0 3 310.441 4.139 20 0 DIADHN Clc1ccccc1C1=CCN(CCC[C@H]2CCOC2)CC1 ZINC001559659578 1059488503 /nfs/dbraw/zinc/48/85/03/1059488503.db2.gz RWUQNNLBYFYEHF-HNNXBMFYSA-N 0 3 305.849 4.246 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001559661878 1059493179 /nfs/dbraw/zinc/49/31/79/1059493179.db2.gz ITCVLGVZRZYYGY-INIZCTEOSA-N 0 3 321.823 4.252 20 0 DIADHN CC[C@@H]1C[C@H]1CN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC001559666941 1059495253 /nfs/dbraw/zinc/49/52/53/1059495253.db2.gz FDFCWVMRITYITD-QIIPPGSGSA-N 0 3 300.833 4.460 20 0 DIADHN CC(F)(F)CCCN1CC[C@H](Oc2ccccc2Cl)C1 ZINC001559670903 1059498475 /nfs/dbraw/zinc/49/84/75/1059498475.db2.gz WPMDAXPGUJQGJK-LBPRGKRZSA-N 0 3 303.780 4.229 20 0 DIADHN Cc1cccc(C2=CCN(C[C@H](O)c3cccc(C)c3)CC2)c1 ZINC001559678807 1059505753 /nfs/dbraw/zinc/50/57/53/1059505753.db2.gz DDZIDRQEEWOAOK-NRFANRHFSA-N 0 3 307.437 4.126 20 0 DIADHN CC[C@H](NCC(=O)c1ccccc1F)C(C)(C)c1ccccc1 ZINC001559685357 1059514141 /nfs/dbraw/zinc/51/41/41/1059514141.db2.gz YCOJPSBMHLVUGF-IBGZPJMESA-N 0 3 313.416 4.354 20 0 DIADHN COc1cccc(SCCN[C@@H](C)c2cccc(F)c2F)c1 ZINC001559702946 1059528836 /nfs/dbraw/zinc/52/88/36/1059528836.db2.gz HUPQIZCCGODLEO-LBPRGKRZSA-N 0 3 323.408 4.416 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCN(Cc3cccc(F)c3)CC2)C1 ZINC001559714947 1059542760 /nfs/dbraw/zinc/54/27/60/1059542760.db2.gz LOXSRFCITJEXIZ-MSOLQXFVSA-N 0 3 318.480 4.160 20 0 DIADHN Fc1cccc(CN2CCN([C@H]3CCCc4ccccc43)CC2)c1 ZINC001559715113 1059543556 /nfs/dbraw/zinc/54/35/56/1059543556.db2.gz REAXWAFXQZCBMG-NRFANRHFSA-N 0 3 324.443 4.021 20 0 DIADHN CC(C)Oc1cccc(CN(C)C[C@@H]2CCC3(CCCC3)O2)c1 ZINC001559715620 1059544056 /nfs/dbraw/zinc/54/40/56/1059544056.db2.gz IEWJRILWHDWAHF-IBGZPJMESA-N 0 3 317.473 4.397 20 0 DIADHN CCN(Cc1ccncc1)C[C@H]1CCC[C@H](c2ccccc2)O1 ZINC001559721511 1059550461 /nfs/dbraw/zinc/55/04/61/1059550461.db2.gz QWRUUEXRCMLBPW-WOJBJXKFSA-N 0 3 310.441 4.214 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N1CC(C)(C)CC(C)(C)C1)c1ccccc1 ZINC001559727017 1059559372 /nfs/dbraw/zinc/55/93/72/1059559372.db2.gz HQSRTIBNJMWNHS-CVEARBPZSA-N 0 3 316.489 4.010 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1ncccc1Br ZINC001559725169 1059560205 /nfs/dbraw/zinc/56/02/05/1059560205.db2.gz PKFHCXSMLIXERI-TZMCWYRMSA-N 0 3 311.267 4.293 20 0 DIADHN CCCCCN(CCCOC)Cc1nsc2ccccc12 ZINC001559741876 1059572693 /nfs/dbraw/zinc/57/26/93/1059572693.db2.gz WXYRCDWLAFQVSA-UHFFFAOYSA-N 0 3 306.475 4.325 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1c[nH]c(=O)c2ccccc12 ZINC001559740575 1059574970 /nfs/dbraw/zinc/57/49/70/1059574970.db2.gz BDWJWEHQKDQAFA-DGCLKSJQSA-N 0 3 324.346 4.103 20 0 DIADHN CO[C@H](CN(C)Cc1ccc(F)c(Cl)c1)c1ccccc1 ZINC001559749641 1059580664 /nfs/dbraw/zinc/58/06/64/1059580664.db2.gz VPDZHAMCCAVSLD-QGZVFWFLSA-N 0 3 307.796 4.299 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001559751585 1059581453 /nfs/dbraw/zinc/58/14/53/1059581453.db2.gz HNFZWXBMTQLOGS-JXFKEZNVSA-N 0 3 323.484 4.372 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCCN([C@H](C)c2cccc(C)c2)C1 ZINC001559754530 1059587980 /nfs/dbraw/zinc/58/79/80/1059587980.db2.gz AJYGLPRSUJFTLR-HIFRSBDPSA-N 0 3 301.352 4.099 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)CC(=O)Nc1cccc2ccccc21 ZINC001559752341 1059588031 /nfs/dbraw/zinc/58/80/31/1059588031.db2.gz WIDFRKNVTVYLFJ-DNVCBOLYSA-N 0 3 310.441 4.289 20 0 DIADHN C[C@H](O)[C@@H]1C[C@H](C)CCN1Cc1c(Cl)oc2ccccc21 ZINC001559757598 1059590358 /nfs/dbraw/zinc/59/03/58/1059590358.db2.gz HBANQHVXBMEWPB-XUJVJEKNSA-N 0 3 307.821 4.068 20 0 DIADHN Cc1conc1CN[C@H]1CCC[C@H]1c1ccccc1C(F)(F)F ZINC001559758782 1059593640 /nfs/dbraw/zinc/59/36/40/1059593640.db2.gz IXWBAKOKCWPKIJ-ZFWWWQNUSA-N 0 3 324.346 4.428 20 0 DIADHN CC[C@@H](Cc1ccccc1Br)N(C)C/C=C\Cl ZINC001559766274 1059601852 /nfs/dbraw/zinc/60/18/52/1059601852.db2.gz DIXXSMMVEYMLRI-XURPKSDJSA-N 0 3 316.670 4.455 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN(CC2CC3(CCC3)C2)CC1 ZINC001559771860 1059606009 /nfs/dbraw/zinc/60/60/09/1059606009.db2.gz IKGZQXNMJYVYMM-HNNXBMFYSA-N 0 3 304.503 4.007 20 0 DIADHN CO[C@@H](CN1CCc2cc(F)cc(C)c2C1)c1ccc(F)cc1 ZINC001559784760 1059618786 /nfs/dbraw/zinc/61/87/86/1059618786.db2.gz GGSNCLDJLBDCLT-IBGZPJMESA-N 0 3 317.379 4.019 20 0 DIADHN C[C@H](c1ccccc1)N(C)C(=O)CN(C)CCCC1CCCC1 ZINC001559781943 1059618847 /nfs/dbraw/zinc/61/88/47/1059618847.db2.gz YRSINOMCLNXJKU-QGZVFWFLSA-N 0 3 316.489 4.108 20 0 DIADHN CC[C@@H](C)N(CC(=O)OC)CC1CCC(c2ccccc2)CC1 ZINC001559795641 1059629169 /nfs/dbraw/zinc/62/91/69/1059629169.db2.gz KRRGOFRUFSOLJN-LRYGQEGESA-N 0 3 317.473 4.234 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](c3ccc(C)cc3)C[C@H]2C)cc1 ZINC001559798225 1059635413 /nfs/dbraw/zinc/63/54/13/1059635413.db2.gz LMRRLLAELKKXNU-IEBWSBKVSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3nc4ccccc4n3C)C2)cc1 ZINC001559797968 1059636063 /nfs/dbraw/zinc/63/60/63/1059636063.db2.gz FEEUSWNIUXGNJV-WMZOPIPTSA-N 0 3 319.452 4.260 20 0 DIADHN CCC[C@@]1(CO)CCCN1CCc1cccc(Cl)c1Cl ZINC001559801063 1059636453 /nfs/dbraw/zinc/63/64/53/1059636453.db2.gz PLKQGGQXSGFPJO-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1CCO[C@H](C(C)(C)C)C1 ZINC001559805673 1059643895 /nfs/dbraw/zinc/64/38/95/1059643895.db2.gz WATFTWLFPWDISV-QAPCUYQASA-N 0 3 321.530 4.470 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2c(F)cccc2F)C12CCCCC2 ZINC001559810919 1059645519 /nfs/dbraw/zinc/64/55/19/1059645519.db2.gz AOBDQMYSHRCHKS-DLBZAZTESA-N 0 3 309.400 4.182 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCC1(Br)CC1 ZINC001559812649 1059648159 /nfs/dbraw/zinc/64/81/59/1059648159.db2.gz HBODMDIKDRAWAR-NSHDSACASA-N 0 3 308.219 4.319 20 0 DIADHN CN(Cc1cccc(Oc2ccc(Cl)cc2)c1)C1(CO)CC1 ZINC001559824686 1059657391 /nfs/dbraw/zinc/65/73/91/1059657391.db2.gz TYJACOLUPGHWJY-UHFFFAOYSA-N 0 3 317.816 4.089 20 0 DIADHN Cc1ccc2sc(CN3CCCCC[C@@H]3C[C@@H](C)O)nc2c1 ZINC001559827342 1059662256 /nfs/dbraw/zinc/66/22/56/1059662256.db2.gz KZJJARGOVCVRJT-HUUCEWRRSA-N 0 3 318.486 4.120 20 0 DIADHN CO[C@@H]1C[C@H](NCc2cc(Cl)ccc2OCC2CC2)C1(C)C ZINC001559844871 1059670831 /nfs/dbraw/zinc/67/08/31/1059670831.db2.gz ADOMGQYVWVSMFO-DLBZAZTESA-N 0 3 323.864 4.032 20 0 DIADHN CCO[C@H]1C[C@H](NCc2cc(Cl)ccc2Cl)C12CCC2 ZINC001559846223 1059674272 /nfs/dbraw/zinc/67/42/72/1059674272.db2.gz SZODVYPLBVHBPY-GJZGRUSLSA-N 0 3 314.256 4.431 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(Cl)ccc2Cl)C12CCC2 ZINC001559846222 1059674350 /nfs/dbraw/zinc/67/43/50/1059674350.db2.gz SZODVYPLBVHBPY-CABCVRRESA-N 0 3 314.256 4.431 20 0 DIADHN Cc1ccc(CN(C)[C@H](CO)c2ccc(Cl)cc2)c(C)c1 ZINC001559856644 1059679529 /nfs/dbraw/zinc/67/95/29/1059679529.db2.gz FJNXCHKMQPQRON-GOSISDBHSA-N 0 3 303.833 4.122 20 0 DIADHN Cc1ccc(CN2CCC[C@H](C3CC3)C2)c(Br)c1 ZINC001559880962 1059703143 /nfs/dbraw/zinc/70/31/43/1059703143.db2.gz XBEDNXYPSWIXGM-AWEZNQCLSA-N 0 3 308.263 4.380 20 0 DIADHN Cc1cccnc1CN1CCC(Cc2cc(F)ccc2F)CC1 ZINC001559904228 1059719060 /nfs/dbraw/zinc/71/90/60/1059719060.db2.gz ACHUOBSEHKZFAV-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Clc1ccc(-n2ccc(CN3CC[C@H](C4CCC4)C3)n2)cc1 ZINC001559909395 1059723230 /nfs/dbraw/zinc/72/32/30/1059723230.db2.gz HDHDFGMIRPITQD-HNNXBMFYSA-N 0 3 315.848 4.148 20 0 DIADHN C[C@@H](c1ccccc1F)N1C[C@H](C)C[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559918127 1059732787 /nfs/dbraw/zinc/73/27/87/1059732787.db2.gz BOLHJPCVHFSRPA-QLFBSQMISA-N 0 3 321.436 4.186 20 0 DIADHN CC[C@H]1CN(CCCSCc2ccccc2)C[C@H](CC)O1 ZINC001559923437 1059737678 /nfs/dbraw/zinc/73/76/78/1059737678.db2.gz ALMHUPJULVTVEV-ROUUACIJSA-N 0 3 307.503 4.199 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCSC[C@@H]1C1CCC1 ZINC001559925802 1059742167 /nfs/dbraw/zinc/74/21/67/1059742167.db2.gz CCUPMOIJKYFAGT-QGZVFWFLSA-N 0 3 314.454 4.059 20 0 DIADHN Cn1c2ccccc2nc1CN1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC001559935778 1059746881 /nfs/dbraw/zinc/74/68/81/1059746881.db2.gz TUBPNRQXXYIVJP-HOTGVXAUSA-N 0 3 311.473 4.222 20 0 DIADHN C[C@]1(Cc2cccc(F)c2)CCCN1CCSC(F)(F)F ZINC001559934543 1059749470 /nfs/dbraw/zinc/74/94/70/1059749470.db2.gz NQXQVOISIDMYNY-CQSZACIVSA-N 0 3 321.383 4.476 20 0 DIADHN COc1ccccc1C1(NCCc2c(F)cccc2Cl)CC1 ZINC001559934562 1059750263 /nfs/dbraw/zinc/75/02/63/1059750263.db2.gz OFCGDHQOIJUGJH-UHFFFAOYSA-N 0 3 319.807 4.309 20 0 DIADHN CO[C@@H](CNC1(c2ccccc2F)CCC1)c1ccc(F)cc1 ZINC001559941043 1059756993 /nfs/dbraw/zinc/75/69/93/1059756993.db2.gz JGLQOOSFZMFYNW-SFHVURJKSA-N 0 3 317.379 4.321 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N(C)CCC(C)(C)C ZINC001559942325 1059757636 /nfs/dbraw/zinc/75/76/36/1059757636.db2.gz SUTWBBLNESBVQW-CYBMUJFWSA-N 0 3 310.869 4.343 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1C[C@H](C)OCC12CCC2 ZINC001559967890 1059775732 /nfs/dbraw/zinc/77/57/32/1059775732.db2.gz NWQYXHYGYCWMNH-WBVHZDCISA-N 0 3 319.514 4.367 20 0 DIADHN C[C@H]1CN(Cc2ccccc2OC(F)F)CCc2ccccc21 ZINC001559968970 1059776410 /nfs/dbraw/zinc/77/64/10/1059776410.db2.gz QLYXPBGBSBNTRI-AWEZNQCLSA-N 0 3 317.379 4.450 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCS[C@@H](c2ccccc2)C1 ZINC001559974167 1059781486 /nfs/dbraw/zinc/78/14/86/1059781486.db2.gz RJFDGIZUBMXPOI-NZSAHSFTSA-N 0 3 319.514 4.372 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN1CCC(C2CCCCC2)CC1 ZINC001559974574 1059781765 /nfs/dbraw/zinc/78/17/65/1059781765.db2.gz SXEOTWOPHDYFRW-QGZVFWFLSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1ccc(-c2noc([C@H]3N[C@H]4CC[C@@H]3C4)n2)c2ccccc12 ZINC001248360119 1059793830 /nfs/dbraw/zinc/79/38/30/1059793830.db2.gz OENCQQNMQPJOTA-IGCXYCKISA-N 0 3 305.381 4.011 20 0 DIADHN Cc1nc(CN2CCc3ccccc3C(C)(C)C2)sc1C ZINC001559989903 1059797548 /nfs/dbraw/zinc/79/75/48/1059797548.db2.gz BGDDJYIFRHKMLP-UHFFFAOYSA-N 0 3 300.471 4.096 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001560013442 1059817746 /nfs/dbraw/zinc/81/77/46/1059817746.db2.gz UAIXCJSNOMBGSF-TYNCELHUSA-N 0 3 316.272 4.443 20 0 DIADHN COC(=O)c1cc(CN2CCCC[C@@H]2CC2CCC2)cs1 ZINC001560017343 1059823130 /nfs/dbraw/zinc/82/31/30/1059823130.db2.gz HCUBRFQOHGMFQG-OAHLLOKOSA-N 0 3 307.459 4.079 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1cc(C(=O)OC)ccc1Cl ZINC001560018033 1059828992 /nfs/dbraw/zinc/82/89/92/1059828992.db2.gz CVATVGMPUPCJAD-SWLSCSKDSA-N 0 3 309.837 4.280 20 0 DIADHN Cc1cccc(NC(=O)CN2CCCC[C@H]2CC2CCC2)c1C ZINC001560017552 1059829617 /nfs/dbraw/zinc/82/96/17/1059829617.db2.gz MWKIBLSGSQSXLO-SFHVURJKSA-N 0 3 314.473 4.287 20 0 DIADHN Fc1ccc([C@H]2CCCN(C[C@@H]3CCC4(CCC4)O3)C2)cc1F ZINC001560022983 1059837290 /nfs/dbraw/zinc/83/72/90/1059837290.db2.gz KGLSNKHNRGLZHY-HOTGVXAUSA-N 0 3 321.411 4.246 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)C[C@@H]1CC1(C)C ZINC001560028989 1059838743 /nfs/dbraw/zinc/83/87/43/1059838743.db2.gz IVPUSTYREHRQOM-ZDUSSCGKSA-N 0 3 315.413 4.087 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001560030194 1059844951 /nfs/dbraw/zinc/84/49/51/1059844951.db2.gz PRGGDBPSFMUBHZ-GBESFXJTSA-N 0 3 321.411 4.309 20 0 DIADHN CC1(C)CC[C@H](CN2CCc3cc(C(F)(F)F)ccc3C2)O1 ZINC001560049159 1059862173 /nfs/dbraw/zinc/86/21/73/1059862173.db2.gz PBNURHNOPYKHNM-OAHLLOKOSA-N 0 3 313.363 4.021 20 0 DIADHN Fc1ccc2occc2c1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001248539353 1059871468 /nfs/dbraw/zinc/87/14/68/1059871468.db2.gz MQKHFPHFSQHUOY-OAHLLOKOSA-N 0 3 311.356 4.225 20 0 DIADHN COc1ccccc1CCN(C)Cc1c2ccoc2ccc1F ZINC001248539668 1059873267 /nfs/dbraw/zinc/87/32/67/1059873267.db2.gz SGACREXIKVBGHM-UHFFFAOYSA-N 0 3 313.372 4.255 20 0 DIADHN Fc1ccc2occc2c1CN1CC[C@H](Oc2ccccc2)C1 ZINC001248539352 1059873347 /nfs/dbraw/zinc/87/33/47/1059873347.db2.gz MQKHFPHFSQHUOY-HNNXBMFYSA-N 0 3 311.356 4.225 20 0 DIADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001560065347 1059880047 /nfs/dbraw/zinc/88/00/47/1059880047.db2.gz KMFGNWGRPWLBHD-SJBDTSRBSA-N 0 3 323.864 4.030 20 0 DIADHN Cc1ccc2c(CN3CCC[C@@H](C(F)(F)F)C3)cccc2n1 ZINC001248565130 1059888924 /nfs/dbraw/zinc/88/89/24/1059888924.db2.gz LUUBXQHRTFCQHF-CQSZACIVSA-N 0 3 308.347 4.318 20 0 DIADHN Cc1ccc(CN2CCC(Cc3ccccc3Cl)CC2)cn1 ZINC001560076510 1059889505 /nfs/dbraw/zinc/88/95/05/1059889505.db2.gz IVCDBFRPBDLUHN-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN C[C@]1(Cc2ccc(F)c(Cl)c2)CCCN1Cc1ccccn1 ZINC001560073668 1059890270 /nfs/dbraw/zinc/89/02/70/1059890270.db2.gz ASMVEHSKRDQTMG-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN CCC[C@H](C)CCCN1Cc2cccnc2N2CCC[C@@H]2C1 ZINC001560083466 1059892997 /nfs/dbraw/zinc/89/29/97/1059892997.db2.gz QVFQBLWVCMLJAD-FUHWJXTLSA-N 0 3 301.478 4.082 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3nc(C)cs3)C2(C)C)c1 ZINC001560111946 1059917088 /nfs/dbraw/zinc/91/70/88/1059917088.db2.gz MGAFXNFEEJMCPG-INIZCTEOSA-N 0 3 316.470 4.228 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@](C)(CF)C2)cc(OC(C)C)c1 ZINC001248651274 1059926662 /nfs/dbraw/zinc/92/66/62/1059926662.db2.gz WGUIIDJPMGUAGN-LJQANCHMSA-N 0 3 323.452 4.443 20 0 DIADHN CC(C)Oc1cc(CN2C3CCC2CC3)cc(OC(C)C)c1 ZINC001248658516 1059929288 /nfs/dbraw/zinc/92/92/88/1059929288.db2.gz NXNSAXTVLKCWIH-UHFFFAOYSA-N 0 3 303.446 4.388 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)C[C@H]1COC2(CCCCC2)O1 ZINC001560126795 1059930130 /nfs/dbraw/zinc/93/01/30/1059930130.db2.gz QPTBMJHWIISOCM-PBHICJAKSA-N 0 3 323.864 4.409 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2ccc(F)cc2C)ccc1C ZINC001560128986 1059932045 /nfs/dbraw/zinc/93/20/45/1059932045.db2.gz UTIVLXXCEZOXKX-AWEZNQCLSA-N 0 3 315.388 4.080 20 0 DIADHN CC(C)(C)OC[C@H]1CCCN1Cc1cccc(C(F)(F)F)c1 ZINC001560130566 1059933376 /nfs/dbraw/zinc/93/33/76/1059933376.db2.gz JWZSCKPNKWZGPN-OAHLLOKOSA-N 0 3 315.379 4.485 20 0 DIADHN Cc1cc(C)c(CN2Cc3ccccc3OC3(CCC3)C2)c(C)n1 ZINC001560135322 1059941290 /nfs/dbraw/zinc/94/12/90/1059941290.db2.gz LDDBSHJPRHBWRF-UHFFFAOYSA-N 0 3 322.452 4.324 20 0 DIADHN COc1cc(CN2CC[C@H](c3ccc(Cl)cc3)C2(C)C)on1 ZINC001560164009 1059956086 /nfs/dbraw/zinc/95/60/86/1059956086.db2.gz IPWJWMNHABVWPU-OAHLLOKOSA-N 0 3 320.820 4.105 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2[C@@H](C)c2ccccc2)cc1 ZINC001560163226 1059957636 /nfs/dbraw/zinc/95/76/36/1059957636.db2.gz LIDHVKUNKRCJHH-FXAWDEMLSA-N 0 3 322.452 4.202 20 0 DIADHN CC(C)C[C@@H]1CN(C)CCN1Cc1cc(Cl)ccc1Cl ZINC001560168690 1059960246 /nfs/dbraw/zinc/96/02/46/1059960246.db2.gz QUFSDQVKMZHMJG-OAHLLOKOSA-N 0 3 315.288 4.156 20 0 DIADHN Cc1csc(C[C@H]2CCN([C@@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001560170970 1059962876 /nfs/dbraw/zinc/96/28/76/1059962876.db2.gz NTCJGQDELJLUHZ-QWHCGFSZSA-N 0 3 322.424 4.355 20 0 DIADHN Cc1ccc(CCN2[C@H](C)CO[C@H](c3ccccc3)[C@H]2C)cc1 ZINC001560178634 1059966536 /nfs/dbraw/zinc/96/65/36/1059966536.db2.gz ARKAYNBEPVREBA-OPYAIIAOSA-N 0 3 309.453 4.388 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC2(CCCC2)CC1 ZINC001248778481 1059973561 /nfs/dbraw/zinc/97/35/61/1059973561.db2.gz AGGRFVSMZYAXSW-UHFFFAOYSA-N 0 3 323.278 4.309 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001248780872 1059974555 /nfs/dbraw/zinc/97/45/55/1059974555.db2.gz MCNLAXUTORFYKA-CJNGLKHVSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC001560203490 1059981470 /nfs/dbraw/zinc/98/14/70/1059981470.db2.gz CDQUJGIVKQKZIF-GOEBONIOSA-N 0 3 320.864 4.097 20 0 DIADHN COC(=O)c1ccc([C@@H]2CCCN2Cc2csc(C)c2)cc1 ZINC001248804704 1059985673 /nfs/dbraw/zinc/98/56/73/1059985673.db2.gz RKHWVNOVVZAPKY-KRWDZBQOSA-N 0 3 315.438 4.180 20 0 DIADHN Clc1c(CN2CC[C@H](c3cccnc3)C2)cnc2ccccc12 ZINC001248813260 1059990009 /nfs/dbraw/zinc/99/00/09/1059990009.db2.gz PDFCJEAECRMEEE-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN Fc1ccc(CN2CCCC[C@H]2c2cccnc2)c2[nH]ccc21 ZINC001248817488 1059991264 /nfs/dbraw/zinc/99/12/64/1059991264.db2.gz XLTOWKODNYFPNR-SFHVURJKSA-N 0 3 309.388 4.429 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2ccc(Cl)cn2)cc1 ZINC001560216763 1059994090 /nfs/dbraw/zinc/99/40/90/1059994090.db2.gz JNSJQKNAAYJWLG-KRWDZBQOSA-N 0 3 316.832 4.038 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCSCC(C)C)nc1 ZINC001560216273 1059994549 /nfs/dbraw/zinc/99/45/49/1059994549.db2.gz ZQMFLMZOGDQDAM-QGZVFWFLSA-N 0 3 308.491 4.006 20 0 DIADHN Cc1nc(CN2CCCC[C@H]2Cc2ccc(O)cc2)c(C)s1 ZINC001560217402 1059994847 /nfs/dbraw/zinc/99/48/47/1059994847.db2.gz WLSFSJBDKHRSFZ-INIZCTEOSA-N 0 3 316.470 4.063 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3)cc2Cl)CC[C@@H]1O ZINC001248819370 1059998322 /nfs/dbraw/zinc/99/83/22/1059998322.db2.gz XKABCSMWBHSIGU-LIRRHRJNSA-N 0 3 315.844 4.210 20 0 DIADHN c1cc2n(c1CN1CCC(Sc3ccccc3)CC1)CCC2 ZINC001248824194 1060005684 /nfs/dbraw/zinc/00/56/84/1060005684.db2.gz CPBRANFQXVLYMZ-UHFFFAOYSA-N 0 3 312.482 4.191 20 0 DIADHN Fc1cc(Br)cc(F)c1CNCC1CCCCC1 ZINC001560247146 1060008507 /nfs/dbraw/zinc/00/85/07/1060008507.db2.gz HOOZOSLGFPPBTN-UHFFFAOYSA-N 0 3 318.205 4.397 20 0 DIADHN CC(C)Sc1nccc(CN2CCC3(C2)CCCCC3)n1 ZINC001248834186 1060008883 /nfs/dbraw/zinc/00/88/83/1060008883.db2.gz LRASARZEVYZLSQ-UHFFFAOYSA-N 0 3 305.491 4.133 20 0 DIADHN Cn1cc(CN2CCC[C@@H]3CCCC[C@H]32)c2c1ccnc2Cl ZINC001248837914 1060010850 /nfs/dbraw/zinc/01/08/50/1060010850.db2.gz XKRSMSIMUONQPA-DZGCQCFKSA-N 0 3 317.864 4.381 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CC[C@H]2CCC[C@@H]2C1 ZINC001248845072 1060012120 /nfs/dbraw/zinc/01/21/20/1060012120.db2.gz YCOFZDQWQSDHMO-VXGBXAGGSA-N 0 3 323.362 4.204 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@@H]3Cc3ccc(F)cc3)nc2c1 ZINC001248844199 1060012826 /nfs/dbraw/zinc/01/28/26/1060012826.db2.gz RNLMOGITIYGGLO-QGZVFWFLSA-N 0 3 323.415 4.218 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@@H]3Cc3ccc(F)cc3)[nH]c2c1 ZINC001248844199 1060012850 /nfs/dbraw/zinc/01/28/50/1060012850.db2.gz RNLMOGITIYGGLO-QGZVFWFLSA-N 0 3 323.415 4.218 20 0 DIADHN Cc1ccc(CNC[C@@H](c2cccc(F)c2)N(C)C)c(Cl)c1 ZINC001560249193 1060015049 /nfs/dbraw/zinc/01/50/49/1060015049.db2.gz VMTZYHVPLYBMOB-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CCCC2(CCC2)C1 ZINC001248848214 1060019846 /nfs/dbraw/zinc/01/98/46/1060019846.db2.gz RZKCKNWSLCTQBH-UHFFFAOYSA-N 0 3 323.362 4.348 20 0 DIADHN CCCn1cncc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC001560250524 1060020268 /nfs/dbraw/zinc/02/02/68/1060020268.db2.gz XLIFLVXJLSPGGW-KRWDZBQOSA-N 0 3 303.837 4.187 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@@H]4C3)co2)cc1 ZINC001248853537 1060023855 /nfs/dbraw/zinc/02/38/55/1060023855.db2.gz JQHVEEUGPVPIHL-DZGCQCFKSA-N 0 3 300.377 4.103 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCc3ccccc3)cn2)[C@H](C)C1 ZINC001248863598 1060026653 /nfs/dbraw/zinc/02/66/53/1060026653.db2.gz UIGZZKJCCYVKSG-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1ccc(Cl)c(C)n1 ZINC001248875398 1060034167 /nfs/dbraw/zinc/03/41/67/1060034167.db2.gz BEBNLNIWLQPKFR-ZDUSSCGKSA-N 0 3 318.848 4.115 20 0 DIADHN CCc1ccc(CNCc2ccc(C(=O)N[C@@H](C)CC)cc2)cc1 ZINC001560269150 1060040413 /nfs/dbraw/zinc/04/04/13/1060040413.db2.gz QHGOSLWQBRMZNN-INIZCTEOSA-N 0 3 324.468 4.067 20 0 DIADHN CCN(CCNCc1cc(F)c(F)c(F)c1)c1ccccc1C ZINC001560271415 1060047011 /nfs/dbraw/zinc/04/70/11/1060047011.db2.gz IRLKCMGPASCZOQ-UHFFFAOYSA-N 0 3 322.374 4.028 20 0 DIADHN COc1ccc(C)cc1CNCCOc1cccc2ccccc21 ZINC001560274492 1060050237 /nfs/dbraw/zinc/05/02/37/1060050237.db2.gz ILCUXSFMIVFMPR-UHFFFAOYSA-N 0 3 321.420 4.325 20 0 DIADHN CCc1ccc(CNCCOc2ccc(C(F)(F)F)cc2)o1 ZINC001560277465 1060053842 /nfs/dbraw/zinc/05/38/42/1060053842.db2.gz UISNSILMTHHGGS-UHFFFAOYSA-N 0 3 313.319 4.029 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(-c3ccc(F)cc3)c2)s1 ZINC001560293239 1060074663 /nfs/dbraw/zinc/07/46/63/1060074663.db2.gz WEOKHZWBQVETDA-ZDUSSCGKSA-N 0 3 315.417 4.232 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC001560294193 1060076216 /nfs/dbraw/zinc/07/62/16/1060076216.db2.gz PZKMEACGXUDAEH-RBUKOAKNSA-N 0 3 313.466 4.418 20 0 DIADHN COc1ccc(CNC/C=C\c2cc(C)c(C)cc2C)cc1O ZINC001560304786 1060091333 /nfs/dbraw/zinc/09/13/33/1060091333.db2.gz BIRDPZYHHJKDTP-WAYWQWQTSA-N 0 3 311.425 4.129 20 0 DIADHN C[C@@H]1CCc2nc(CNCCCc3cccc(F)c3)sc2C1 ZINC001560313916 1060102410 /nfs/dbraw/zinc/10/24/10/1060102410.db2.gz AQLPEOBHUYXUDL-CYBMUJFWSA-N 0 3 318.461 4.129 20 0 DIADHN Cc1cc(CN2CCC3(CCOC3(C)C)CC2)c(Cl)nc1C ZINC001249036316 1060126483 /nfs/dbraw/zinc/12/64/83/1060126483.db2.gz KKSPFOIKAATRKG-UHFFFAOYSA-N 0 3 322.880 4.133 20 0 DIADHN Cc1cccc(O[C@@H](C)CNCc2cccc(Cl)c2F)c1 ZINC001560341527 1060127679 /nfs/dbraw/zinc/12/76/79/1060127679.db2.gz KIKGWKOEWJFWDM-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc3c(s2)C[C@@H](C)CC3)s1 ZINC001560342209 1060128898 /nfs/dbraw/zinc/12/88/98/1060128898.db2.gz KQMHSTXSKQCEIU-NWDGAFQWSA-N 0 3 320.527 4.359 20 0 DIADHN Fc1c(Cl)ccc(Br)c1CN[C@H]1CC=CCC1 ZINC001560344723 1060130009 /nfs/dbraw/zinc/13/00/09/1060130009.db2.gz IRVXAIMAYIWCOU-VIFPVBQESA-N 0 3 318.617 4.440 20 0 DIADHN Cc1ccc(CNC[C@@H](C)Oc2ccc(Cl)cc2)c(F)c1 ZINC001560351732 1060138156 /nfs/dbraw/zinc/13/81/56/1060138156.db2.gz MMUZSCCFMRNNGW-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NCc1c(C)cc(C)cc1C ZINC001560355232 1060143251 /nfs/dbraw/zinc/14/32/51/1060143251.db2.gz SMKWQBLJDFMEFP-IBGZPJMESA-N 0 3 311.425 4.234 20 0 DIADHN Cc1ccc(Cl)c(-c2noc([C@@H]3CCCN3CC3CC3)n2)c1 ZINC001249072254 1060145260 /nfs/dbraw/zinc/14/52/60/1060145260.db2.gz AJYYZDPLCRIIAN-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN COc1cc2ccccc2cc1CNCCOCc1ccccc1 ZINC001560362731 1060149227 /nfs/dbraw/zinc/14/92/27/1060149227.db2.gz XRBUITVYEUCSIY-UHFFFAOYSA-N 0 3 321.420 4.155 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)sc2Cl)CCCC[C@@H]1O ZINC001560368965 1060155162 /nfs/dbraw/zinc/15/51/62/1060155162.db2.gz JHLLPBVMIZUWFK-GWCFXTLKSA-N 0 3 308.274 4.086 20 0 DIADHN COCC1(NCc2ccc(-c3ccccc3)c(Cl)c2)CC1 ZINC001560372280 1060157203 /nfs/dbraw/zinc/15/72/03/1060157203.db2.gz FXWWIWGNAQEJSS-UHFFFAOYSA-N 0 3 301.817 4.276 20 0 DIADHN CCCC[C@@H](CCC)CNCc1csc(-c2ccn(C)n2)n1 ZINC001560375982 1060162278 /nfs/dbraw/zinc/16/22/78/1060162278.db2.gz IYVVUFVQYGMALS-CQSZACIVSA-N 0 3 320.506 4.240 20 0 DIADHN C[C@H](CCc1ccccc1)CNCc1ccn(C2CCCC2)n1 ZINC001560379574 1060165449 /nfs/dbraw/zinc/16/54/49/1060165449.db2.gz NHPYHRLWAIJNSU-QGZVFWFLSA-N 0 3 311.473 4.357 20 0 DIADHN C[C@@H](CCc1ccccc1)CNCc1ccn(C2CCCC2)n1 ZINC001560379573 1060165745 /nfs/dbraw/zinc/16/57/45/1060165745.db2.gz NHPYHRLWAIJNSU-KRWDZBQOSA-N 0 3 311.473 4.357 20 0 DIADHN COc1cccc(CN[C@H]2CC(C)(C)c3ccccc32)c1OC ZINC001560385074 1060172508 /nfs/dbraw/zinc/17/25/08/1060172508.db2.gz KJYVOJHWAFPDBM-KRWDZBQOSA-N 0 3 311.425 4.216 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@@H]1[C@@H]2Cc3ccccc3[C@H]12 ZINC001560392913 1060178925 /nfs/dbraw/zinc/17/89/25/1060178925.db2.gz FLMFNOIESLWENZ-IYOUNJFTSA-N 0 3 301.792 4.155 20 0 DIADHN Cc1cc(C)nc(NCc2c(F)cccc2Br)c1 ZINC001560405995 1060189272 /nfs/dbraw/zinc/18/92/72/1060189272.db2.gz XIXCCWRQYZVFSP-UHFFFAOYSA-N 0 3 309.182 4.212 20 0 DIADHN Fc1ccc(CCNCc2cccc(Cl)c2F)c(F)c1F ZINC001560412777 1060197506 /nfs/dbraw/zinc/19/75/06/1060197506.db2.gz IWWKOWREQMXSCP-UHFFFAOYSA-N 0 3 317.713 4.229 20 0 DIADHN COc1ccc(CN[C@H](C)Cc2ccccc2C(F)(F)F)o1 ZINC001560413494 1060198274 /nfs/dbraw/zinc/19/82/74/1060198274.db2.gz LXICYPGAVRJYCH-LLVKDONJSA-N 0 3 313.319 4.028 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccccc1Cl ZINC001560415049 1060199863 /nfs/dbraw/zinc/19/98/63/1060199863.db2.gz VOXLXYLYYNTRIX-PXAZEXFGSA-N 0 3 307.796 4.345 20 0 DIADHN Cn1c(Cl)nc(Cl)c1CNCC1(C)CCCCCC1 ZINC001560417013 1060202005 /nfs/dbraw/zinc/20/20/05/1060202005.db2.gz OAOMHJHMAHEWDB-UHFFFAOYSA-N 0 3 304.265 4.177 20 0 DIADHN CCOc1cncc(CN[C@H](CC)c2ccc(C)c(F)c2)c1 ZINC001560418023 1060203217 /nfs/dbraw/zinc/20/32/17/1060203217.db2.gz FXDOMMYIILZCRS-GOSISDBHSA-N 0 3 302.393 4.169 20 0 DIADHN Fc1cccc(/C=C\CN[C@@H]2CCCOc3c(F)cccc32)c1 ZINC001560420336 1060205560 /nfs/dbraw/zinc/20/55/60/1060205560.db2.gz BCDYCDMIMMCTRY-VDNIUXDYSA-N 0 3 315.363 4.482 20 0 DIADHN Cc1scc(CNCc2ccc(OC(C)(C)C)nc2)c1C ZINC001560420803 1060207621 /nfs/dbraw/zinc/20/76/21/1060207621.db2.gz PAKOTPAKYMBOTK-UHFFFAOYSA-N 0 3 304.459 4.227 20 0 DIADHN C[C@](CO)(NCc1ccc(C2CC2)c(C2CC2)c1)c1ccccc1 ZINC001560442875 1060231267 /nfs/dbraw/zinc/23/12/67/1060231267.db2.gz BUFIYWVAJKMUJK-JOCHJYFZSA-N 0 3 321.464 4.439 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1nc2ccc(OC)cc2[nH]1 ZINC001249414519 1060239494 /nfs/dbraw/zinc/23/94/94/1060239494.db2.gz KXOWRTQVWXZTFA-SFHVURJKSA-N 0 3 309.413 4.155 20 0 DIADHN Cc1ccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)cc1C ZINC001560452854 1060243019 /nfs/dbraw/zinc/24/30/19/1060243019.db2.gz RBCDCJVCBSHXJV-LJQANCHMSA-N 0 3 316.876 4.349 20 0 DIADHN Cc1ccc(CN(CC(C)C)CC(C)C)c(Br)n1 ZINC001249416386 1060244027 /nfs/dbraw/zinc/24/40/27/1060244027.db2.gz KLXASDIRSQTILN-UHFFFAOYSA-N 0 3 313.283 4.267 20 0 DIADHN Cc1ccc(CN2CC[C@H]3CCCC[C@@H]3C2)c(Br)n1 ZINC001249420380 1060248302 /nfs/dbraw/zinc/24/83/02/1060248302.db2.gz NBOHGDJEGKLZRX-ZIAGYGMSSA-N 0 3 323.278 4.165 20 0 DIADHN Cc1cccc2cc(CN[C@@H]3CSC[C@H]3C)c(Cl)nc12 ZINC001560468611 1060257465 /nfs/dbraw/zinc/25/74/65/1060257465.db2.gz FUXUEONRAONULP-BXUZGUMPSA-N 0 3 306.862 4.038 20 0 DIADHN COc1cc(OC)c(CN2CC3(C2)CCCC3)c2ccccc12 ZINC001249443514 1060257686 /nfs/dbraw/zinc/25/76/86/1060257686.db2.gz GGOOJDUCQMRUJQ-UHFFFAOYSA-N 0 3 311.425 4.233 20 0 DIADHN Cn1c2ccccc2c(Br)c1CN[C@@H]1CCC1(C)C ZINC001560468622 1060258874 /nfs/dbraw/zinc/25/88/74/1060258874.db2.gz FZFRBYGHYYUDOQ-CQSZACIVSA-N 0 3 321.262 4.219 20 0 DIADHN CCc1ccc([C@H](O)CNCc2cc(Cl)ccc2Cl)cc1 ZINC001560470584 1060261298 /nfs/dbraw/zinc/26/12/98/1060261298.db2.gz ZZQFQFKSSMIHMK-QGZVFWFLSA-N 0 3 324.251 4.379 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CCC3(CCOC3(C)C)CC2)c1 ZINC001249480585 1060262260 /nfs/dbraw/zinc/26/22/60/1060262260.db2.gz YZCIOSYGHBTUDD-UHFFFAOYSA-N 0 3 304.478 4.093 20 0 DIADHN CCSc1ncc2cccc(CN3CCCC4(CCC4)C3)n21 ZINC001249501467 1060268392 /nfs/dbraw/zinc/26/83/92/1060268392.db2.gz WOBDBKJJCKSTBG-UHFFFAOYSA-N 0 3 315.486 4.212 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1cc2cccc(C)c2nc1Cl)OC ZINC001560479492 1060268979 /nfs/dbraw/zinc/26/89/79/1060268979.db2.gz OUKOVPXXNYKGPB-BLLLJJGKSA-N 0 3 320.864 4.347 20 0 DIADHN Cc1cccc(CCN(C)Cc2cnc(C)cc2C(F)(F)F)c1 ZINC001249515269 1060270304 /nfs/dbraw/zinc/27/03/04/1060270304.db2.gz TYHJPIOHVZYHCH-UHFFFAOYSA-N 0 3 322.374 4.392 20 0 DIADHN Cc1ccccc1CNCc1c(Cl)cccc1-n1ccnc1 ZINC001560483881 1060275838 /nfs/dbraw/zinc/27/58/38/1060275838.db2.gz PKLDYDILPMSNAP-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cc(F)cc2cc[nH]c21 ZINC001249525683 1060278329 /nfs/dbraw/zinc/27/83/29/1060278329.db2.gz YJBAYPUMEWOJCE-UHFFFAOYSA-N 0 3 300.352 4.468 20 0 DIADHN Cc1cc(CNC[C@@H](O)c2c(Cl)cccc2Cl)cs1 ZINC001560488241 1060282287 /nfs/dbraw/zinc/28/22/87/1060282287.db2.gz XKXKWCRLDPPKIT-CYBMUJFWSA-N 0 3 316.253 4.187 20 0 DIADHN Clc1ccc2cccnc2c1CNCCOCC1CCCC1 ZINC001560495341 1060289732 /nfs/dbraw/zinc/28/97/32/1060289732.db2.gz RDMFPXWKKWBQRQ-UHFFFAOYSA-N 0 3 318.848 4.185 20 0 DIADHN c1ccc2c(c1)cccc2NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249560030 1060291981 /nfs/dbraw/zinc/29/19/81/1060291981.db2.gz QGQDTLUGNLAECA-SFHVURJKSA-N 0 3 308.425 4.020 20 0 DIADHN Cc1cc2ccccc2cc1NC1=CC[C@H](N2CCOCC2)CC1 ZINC001249565802 1060293892 /nfs/dbraw/zinc/29/38/92/1060293892.db2.gz BWSZHIMZEDAJFD-FQEVSTJZSA-N 0 3 322.452 4.329 20 0 DIADHN CC(C)(CNCc1ccc(F)c(Cl)c1Cl)OCC1CC1 ZINC001560507533 1060304148 /nfs/dbraw/zinc/30/41/48/1060304148.db2.gz QYKOQVHTXXTMHX-UHFFFAOYSA-N 0 3 320.235 4.427 20 0 DIADHN COC[C@H](CC(C)(C)C)NCc1ncc(-c2ccccc2)s1 ZINC001560517227 1060315625 /nfs/dbraw/zinc/31/56/25/1060315625.db2.gz GBYHDJULGIBOCH-HNNXBMFYSA-N 0 3 318.486 4.351 20 0 DIADHN CC[C@@H](OCCNCc1ccc(C)cc1OC)c1ccccc1 ZINC001560524225 1060322806 /nfs/dbraw/zinc/32/28/06/1060322806.db2.gz DDUVTAFMASATDT-LJQANCHMSA-N 0 3 313.441 4.261 20 0 DIADHN C[C@@H](COc1c(F)cccc1F)NCc1cc2ccccc2o1 ZINC001560529203 1060329082 /nfs/dbraw/zinc/32/90/82/1060329082.db2.gz VQOUCOIYTRKNJC-LBPRGKRZSA-N 0 3 317.335 4.268 20 0 DIADHN Cc1ccc(CCNCc2nc3c(s2)C[C@H](C)CC3)cc1C ZINC001560527838 1060329687 /nfs/dbraw/zinc/32/96/87/1060329687.db2.gz YQPOHSZIXQDCLF-CYBMUJFWSA-N 0 3 314.498 4.217 20 0 DIADHN CC(C)CC[C@H](CO)NCc1ccc(-c2cccc(F)c2F)o1 ZINC001560531945 1060336135 /nfs/dbraw/zinc/33/61/35/1060336135.db2.gz CCESSYGNZJWWEG-CYBMUJFWSA-N 0 3 323.383 4.112 20 0 DIADHN CCN1CCc2ccc(CN(C)[C@@H](C)c3ccccc3OC)cc21 ZINC001249665501 1060340651 /nfs/dbraw/zinc/34/06/51/1060340651.db2.gz WXDYRRSXFVTXOJ-INIZCTEOSA-N 0 3 324.468 4.271 20 0 DIADHN CC(C)Cc1ccccc1CNCc1cccc2[nH]c(=O)oc21 ZINC001560541407 1060346439 /nfs/dbraw/zinc/34/64/39/1060346439.db2.gz VQFMNIDGSQHQQJ-UHFFFAOYSA-N 0 3 310.397 4.022 20 0 DIADHN Cc1nc(CNC[C@@]2(c3ccccc3)CC2(C)C)sc1C ZINC001560541296 1060347369 /nfs/dbraw/zinc/34/73/69/1060347369.db2.gz RHWYSDLETJHMQB-GOSISDBHSA-N 0 3 300.471 4.217 20 0 DIADHN CCC[C@@H](CNCc1cc(Cl)cc(Cl)c1OC)OCC ZINC001560544598 1060351148 /nfs/dbraw/zinc/35/11/48/1060351148.db2.gz BPRNXIQSHYXSSN-ZDUSSCGKSA-N 0 3 320.260 4.297 20 0 DIADHN F[C@H]1CCCC[C@H]1NCc1ccc(Cl)c(Br)c1 ZINC001560551371 1060360293 /nfs/dbraw/zinc/36/02/93/1060360293.db2.gz LLNGHVDTYAYMPU-QWHCGFSZSA-N 0 3 320.633 4.473 20 0 DIADHN COCc1csc(CNCc2ccnc(-c3ccccc3)c2)c1 ZINC001560551501 1060360902 /nfs/dbraw/zinc/36/09/02/1060360902.db2.gz QVUYVVNLPZESJR-UHFFFAOYSA-N 0 3 324.449 4.246 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCOc3c(OC)cccc32)s1 ZINC001560573110 1060383830 /nfs/dbraw/zinc/38/38/30/1060383830.db2.gz MLAKPAFFLHIUDP-MRXNPFEDSA-N 0 3 317.454 4.323 20 0 DIADHN CCC[C@@H](C)CCNCc1c(C)nn(-c2ccccn2)c1Cl ZINC001560572840 1060385162 /nfs/dbraw/zinc/38/51/62/1060385162.db2.gz FFVFGLJYAZELGV-CYBMUJFWSA-N 0 3 320.868 4.145 20 0 DIADHN CC(C)(/C=C\Cl)NCc1ccc(F)c(Br)c1 ZINC001560595090 1060393678 /nfs/dbraw/zinc/39/36/78/1060393678.db2.gz LZBXLXCJSYZNTH-WAYWQWQTSA-N 0 3 306.606 4.209 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNCc1c(F)cccc1OC(F)(F)F ZINC001560590386 1060403088 /nfs/dbraw/zinc/40/30/88/1060403088.db2.gz UISIJIVEQUIOCD-WISYIIOYSA-N 0 3 317.326 4.106 20 0 DIADHN Fc1ccc2oc(CNCCC3(c4ccccc4)CC3)nc2c1 ZINC001560594538 1060407168 /nfs/dbraw/zinc/40/71/68/1060407168.db2.gz QKHUANFZVHJEAJ-UHFFFAOYSA-N 0 3 310.372 4.178 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@H]1CCCC2(CCC2)O1 ZINC001560606699 1060420246 /nfs/dbraw/zinc/42/02/46/1060420246.db2.gz ZNFHWMNJKYYOND-GFCCVEGCSA-N 0 3 319.392 4.348 20 0 DIADHN COc1cc([C@H](C)NCc2cccc(O)c2)cc2ccccc21 ZINC001560609945 1060425084 /nfs/dbraw/zinc/42/50/84/1060425084.db2.gz FPLKBACVQYVMPI-AWEZNQCLSA-N 0 3 307.393 4.405 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@H]2CCC(F)(F)C2)cs1 ZINC001560614488 1060426611 /nfs/dbraw/zinc/42/66/11/1060426611.db2.gz MWCAXQZGBJVIDN-LLVKDONJSA-N 0 3 302.434 4.356 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2ccc(C3CC3)cc2)c2ccccc21 ZINC001560619154 1060431833 /nfs/dbraw/zinc/43/18/33/1060431833.db2.gz KKRFQAXWABDTGG-OPAMFIHVSA-N 0 3 321.464 4.439 20 0 DIADHN COC(=O)c1ccc2[nH]c(Nc3ccnc(C4CCC4)c3)cc2c1 ZINC001249866570 1060432808 /nfs/dbraw/zinc/43/28/08/1060432808.db2.gz NHRCIQXYTRFVJE-UHFFFAOYSA-N 0 3 321.380 4.361 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccc(-c3cccc(F)c3F)o2)C1 ZINC001560627190 1060436574 /nfs/dbraw/zinc/43/65/74/1060436574.db2.gz RRMTVNCSJWTHIT-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN CCc1cnc(CN(C)[C@@H](CC)Cc2ccc(C)cc2)s1 ZINC001560634519 1060446781 /nfs/dbraw/zinc/44/67/81/1060446781.db2.gz ZMUZKBGQLWKOIN-INIZCTEOSA-N 0 3 302.487 4.467 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)C2CC2)c2c(n1)CCCC2 ZINC001560637211 1060450435 /nfs/dbraw/zinc/45/04/35/1060450435.db2.gz WWPVMBVJMOONCJ-UHFFFAOYSA-N 0 3 306.453 4.433 20 0 DIADHN Cc1ccc2ncc(CN3CCc4ccccc4[C@@H]3C(C)C)n2c1 ZINC001560637527 1060452165 /nfs/dbraw/zinc/45/21/65/1060452165.db2.gz GGLLLUBRGRHGLA-NRFANRHFSA-N 0 3 319.452 4.398 20 0 DIADHN CCN(Cc1cccc(C2CC2)c1)C[C@H]1COc2ccccc2O1 ZINC001560639092 1060455656 /nfs/dbraw/zinc/45/56/56/1060455656.db2.gz QUAAGVDODKNPCO-IBGZPJMESA-N 0 3 323.436 4.226 20 0 DIADHN Cc1cc(CN2CCC[C@]3(CCCc4ccccc43)C2)cnc1F ZINC001560638599 1060456785 /nfs/dbraw/zinc/45/67/85/1060456785.db2.gz LFJKFXXJNHLTFE-OAQYLSRUSA-N 0 3 324.443 4.399 20 0 DIADHN COCCN(Cc1ccco1)C[C@H](C)c1cccc(Cl)c1 ZINC001560641765 1060459277 /nfs/dbraw/zinc/45/92/77/1060459277.db2.gz MSINWASJHVEUNT-AWEZNQCLSA-N 0 3 307.821 4.185 20 0 DIADHN COCCN(CCc1ccccc1)Cc1cc(Cl)cs1 ZINC001560641559 1060460003 /nfs/dbraw/zinc/46/00/03/1060460003.db2.gz HVNIHOTYYSIYKL-UHFFFAOYSA-N 0 3 309.862 4.093 20 0 DIADHN O=c1ccc(CN2Cc3ccccc3C[C@@H]2c2ccccc2)c[nH]1 ZINC001560641054 1060460856 /nfs/dbraw/zinc/46/08/56/1060460856.db2.gz RJFZEMLSHAXGEE-HXUWFJFHSA-N 0 3 316.404 4.087 20 0 DIADHN CCC(CC)N(CCOC)Cc1cccc(OC2CC2)c1F ZINC001560642617 1060462966 /nfs/dbraw/zinc/46/29/66/1060462966.db2.gz ZFRYKGQSGXKCFI-UHFFFAOYSA-N 0 3 309.425 4.004 20 0 DIADHN COc1cccc(C(=O)Cc2ccc(CN3CCCCC3)cc2)c1 ZINC001249898714 1060463594 /nfs/dbraw/zinc/46/35/94/1060463594.db2.gz IVPXCODJIHWJHP-UHFFFAOYSA-N 0 3 323.436 4.107 20 0 DIADHN CCOc1ccc(CN(C)[C@@H]2C[C@H](OCC)C2(CC)CC)o1 ZINC001560645131 1060467186 /nfs/dbraw/zinc/46/71/86/1060467186.db2.gz XJOFFUQIXGIFJD-CVEARBPZSA-N 0 3 309.450 4.094 20 0 DIADHN CCOc1ccc(CN(C)[C@@H]2C[C@@H](OCC)C2(CC)CC)o1 ZINC001560645135 1060467448 /nfs/dbraw/zinc/46/74/48/1060467448.db2.gz XJOFFUQIXGIFJD-HZPDHXFCSA-N 0 3 309.450 4.094 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cccc(OC2CC2)c1F ZINC001560647110 1060469561 /nfs/dbraw/zinc/46/95/61/1060469561.db2.gz PWKBORYXOZKUTA-ZDUSSCGKSA-N 0 3 311.466 4.330 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cnc1F ZINC001560655012 1060476317 /nfs/dbraw/zinc/47/63/17/1060476317.db2.gz KAEFEERNJVRTBK-QGZVFWFLSA-N 0 3 323.415 4.390 20 0 DIADHN CC1(C)CN(Cc2ccc(-n3cccn3)cc2)[C@H]1c1ccccc1 ZINC001560659122 1060484121 /nfs/dbraw/zinc/48/41/21/1060484121.db2.gz YOCTWOJEIFRAOA-FQEVSTJZSA-N 0 3 317.436 4.455 20 0 DIADHN COc1ccc2nc(CN3CCC[C@H]3C(C)(C)C)sc2c1 ZINC001560667296 1060493057 /nfs/dbraw/zinc/49/30/57/1060493057.db2.gz DNACVCICOZDEQP-HNNXBMFYSA-N 0 3 304.459 4.315 20 0 DIADHN COc1cc(Cl)c(CN2CCC[C@@H]2C(C)(C)C)cc1OC ZINC001560667453 1060494101 /nfs/dbraw/zinc/49/41/01/1060494101.db2.gz JIAADCZBZASQFY-MRXNPFEDSA-N 0 3 311.853 4.368 20 0 DIADHN COc1cc(Cl)c(CN2CCC[C@H]2C(C)(C)C)cc1OC ZINC001560667452 1060494112 /nfs/dbraw/zinc/49/41/12/1060494112.db2.gz JIAADCZBZASQFY-INIZCTEOSA-N 0 3 311.853 4.368 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@@H]1CCC[C@H](CC)C1 ZINC001560669627 1060495919 /nfs/dbraw/zinc/49/59/19/1060495919.db2.gz VLAXYQVOXWIYIG-DLBZAZTESA-N 0 3 316.489 4.336 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1cc(F)cc(N(C)C)c1 ZINC001249957540 1060501333 /nfs/dbraw/zinc/50/13/33/1060501333.db2.gz CKSKOQMCKTZDST-UHFFFAOYSA-N 0 3 304.384 4.053 20 0 DIADHN CCC1CCN(Cc2ccnc(OCc3ccccc3)c2)CC1 ZINC001249961509 1060502735 /nfs/dbraw/zinc/50/27/35/1060502735.db2.gz ABBANDWXJIJNTQ-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cnn(C)c1CN1CCC[C@H]1c1ccc(C(C)(C)C)cc1 ZINC001560674361 1060506558 /nfs/dbraw/zinc/50/65/58/1060506558.db2.gz XTPPHJXPXHJMDF-SFHVURJKSA-N 0 3 311.473 4.363 20 0 DIADHN CCOC(=O)CCCCc1ccc(CN2CCCCC2)c(F)c1 ZINC001249980998 1060518352 /nfs/dbraw/zinc/51/83/52/1060518352.db2.gz DJJZPNSWSVWYOD-UHFFFAOYSA-N 0 3 321.436 4.088 20 0 DIADHN CCOc1cc(CN(Cc2cccc(F)c2)C(C)C)ccc1O ZINC001560678464 1060519196 /nfs/dbraw/zinc/51/91/96/1060519196.db2.gz DRVKZMRRDRSMJO-UHFFFAOYSA-N 0 3 317.404 4.341 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1cccc(Br)c1OC ZINC001560687625 1060537501 /nfs/dbraw/zinc/53/75/01/1060537501.db2.gz NBJCJXOZNPSZRN-DGCLKSJQSA-N 0 3 312.251 4.078 20 0 DIADHN Cc1nc(CN2CCCC[C@H]2Cc2ccc(F)cc2)sc1C ZINC001560683815 1060528895 /nfs/dbraw/zinc/52/88/95/1060528895.db2.gz NNYJPBIFICUZHR-KRWDZBQOSA-N 0 3 318.461 4.496 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CC[C@H](C)[C@@H](C)C1 ZINC001560685891 1060531004 /nfs/dbraw/zinc/53/10/04/1060531004.db2.gz YXPIDPBERRXASG-RYUDHWBXSA-N 0 3 303.475 4.060 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CC[C@@H](C)[C@@H](C)C1 ZINC001560685888 1060532514 /nfs/dbraw/zinc/53/25/14/1060532514.db2.gz YXPIDPBERRXASG-NEPJUHHUSA-N 0 3 303.475 4.060 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1cccc(Br)c1OC ZINC001560687622 1060538178 /nfs/dbraw/zinc/53/81/78/1060538178.db2.gz NBJCJXOZNPSZRN-AAEUAGOBSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@]1(CN2CCO[C@@](C)(c3ccccc3)C2)C[C@@H]1c1ccccc1 ZINC001560691247 1060540449 /nfs/dbraw/zinc/54/04/49/1060540449.db2.gz WLECTHKTIMJAFA-YPAWHYETSA-N 0 3 321.464 4.428 20 0 DIADHN C[C@@H]1CN(Cc2cccc3ccoc32)C[C@@H](c2ccccc2)O1 ZINC001560699050 1060551173 /nfs/dbraw/zinc/55/11/73/1060551173.db2.gz HNAZCFUGXLBIRB-BEFAXECRSA-N 0 3 307.393 4.395 20 0 DIADHN COc1ccc(Br)cc1[C@@H]1CCCN1C[C@H]1C[C@@H]1C ZINC001560701053 1060557428 /nfs/dbraw/zinc/55/74/28/1060557428.db2.gz ZJKLQSLKPYGJNO-ZOWXZIJZSA-N 0 3 324.262 4.251 20 0 DIADHN Cc1ccc(CC2CCN(Cc3ccc(F)nc3C)CC2)cc1 ZINC001560704623 1060560239 /nfs/dbraw/zinc/56/02/39/1060560239.db2.gz OFQCWXONUUVFMQ-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN Cc1oc2ccccc2c1CN(C)Cc1ccc2c(c1)OCCO2 ZINC001560713541 1060570163 /nfs/dbraw/zinc/57/01/63/1060570163.db2.gz YIWXLUCNWGJWTF-UHFFFAOYSA-N 0 3 323.392 4.144 20 0 DIADHN COc1cc(CN2C[C@H](C)C[C@H](C)C2)cc(C(F)(F)F)c1 ZINC001560715375 1060572797 /nfs/dbraw/zinc/57/27/97/1060572797.db2.gz FWPDKRTVEVYGQS-TXEJJXNPSA-N 0 3 301.352 4.192 20 0 DIADHN c1ccc(N2CCN(Cc3ccc(C4CCC4)cc3)CC2)cc1 ZINC001560715478 1060573421 /nfs/dbraw/zinc/57/34/21/1060573421.db2.gz KGXQKFVYNZYRNS-UHFFFAOYSA-N 0 3 306.453 4.276 20 0 DIADHN CCOc1cc(OC)ccc1CN1CCC[C@H]1c1ccccc1 ZINC001250061165 1060575161 /nfs/dbraw/zinc/57/51/61/1060575161.db2.gz WOMDOKMJKAGKMX-IBGZPJMESA-N 0 3 311.425 4.431 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)c2nccc3ccccc32)c1 ZINC001560720365 1060582459 /nfs/dbraw/zinc/58/24/59/1060582459.db2.gz SVFVUNYYUDFZBP-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN CN(C)Cc1ccc(CC(=O)c2ccc3ccccc3c2)cc1 ZINC001250073418 1060588164 /nfs/dbraw/zinc/58/81/64/1060588164.db2.gz XOEJRXBFNNYRJX-UHFFFAOYSA-N 0 3 303.405 4.327 20 0 DIADHN CCCOc1ccc(CN2CCC[C@@H]2c2cccn2C)cc1C ZINC001560725886 1060588398 /nfs/dbraw/zinc/58/83/98/1060588398.db2.gz VBHKQAWYHQLDRE-LJQANCHMSA-N 0 3 312.457 4.459 20 0 DIADHN Cc1oc2ccccc2c1CN(C)Cc1ccc2c(c1)OCO2 ZINC001560726542 1060591024 /nfs/dbraw/zinc/59/10/24/1060591024.db2.gz VGHJMNIZJLUTFO-UHFFFAOYSA-N 0 3 309.365 4.102 20 0 DIADHN COc1ncc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)s1 ZINC001560734526 1060604259 /nfs/dbraw/zinc/60/42/59/1060604259.db2.gz JVXBDYVBHYMZMR-NVXWUHKLSA-N 0 3 316.470 4.201 20 0 DIADHN Cc1cccc(C2=CCN(Cc3cc4cccnc4o3)CC2)c1 ZINC001560746886 1060613899 /nfs/dbraw/zinc/61/38/99/1060613899.db2.gz SZBBEJBQEDVNRF-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN COc1cc(F)c(C)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001250113472 1060618676 /nfs/dbraw/zinc/61/86/76/1060618676.db2.gz OISILCSOHLONAG-UHFFFAOYSA-N 0 3 309.400 4.247 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2ccc3c(n2)CCC3)c1 ZINC001560753220 1060620283 /nfs/dbraw/zinc/62/02/83/1060620283.db2.gz ZEQXWABCBVWQAQ-VFNWGFHPSA-N 0 3 322.452 4.162 20 0 DIADHN C[C@H]1CCN(CCCc2c(F)cccc2Cl)CCS1 ZINC001560755393 1060621211 /nfs/dbraw/zinc/62/12/11/1060621211.db2.gz HQLZJNCTWPWKMJ-LBPRGKRZSA-N 0 3 301.858 4.239 20 0 DIADHN COc1ccc(CN2CCC(c3ncc(C)s3)CC2)c(C)c1 ZINC001560758321 1060625823 /nfs/dbraw/zinc/62/58/23/1060625823.db2.gz URDRPSODWAEKEW-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN C[C@@H]1CCCCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001560763054 1060627439 /nfs/dbraw/zinc/62/74/39/1060627439.db2.gz BSOXOPYQDOMEDO-CYBMUJFWSA-N 0 3 309.475 4.325 20 0 DIADHN CCN1CCN(c2cccc(NC[C@H]3CCCC[C@@H]3C)c2)CC1 ZINC001560774679 1060638781 /nfs/dbraw/zinc/63/87/81/1060638781.db2.gz PAHGOOLSOJPSTQ-ZWKOTPCHSA-N 0 3 315.505 4.067 20 0 DIADHN CC[C@H](Nc1cccc(N2CCN(CC)CC2)c1)C1CCCC1 ZINC001560774875 1060639119 /nfs/dbraw/zinc/63/91/19/1060639119.db2.gz UMBZXAYPCGBZOP-FQEVSTJZSA-N 0 3 315.505 4.209 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001560776587 1060640596 /nfs/dbraw/zinc/64/05/96/1060640596.db2.gz NFYWRSRRZMJLFA-XHDPSFHLSA-N 0 3 301.352 4.334 20 0 DIADHN COC(=O)[C@@H]1CC[C@@H](c2ccccc2)N1C[C@@H](C)c1ccccc1 ZINC001560793703 1060654147 /nfs/dbraw/zinc/65/41/47/1060654147.db2.gz XJXGKGSIRFZGBY-UXPWSPDFSA-N 0 3 323.436 4.169 20 0 DIADHN C[C@H](CN1CC[C@@H](C(F)(F)F)[C@@H]1C)c1cc(F)cc(F)c1 ZINC001560797590 1060657818 /nfs/dbraw/zinc/65/78/18/1060657818.db2.gz IPIDPEALGBGZJG-ISTVAULSSA-N 0 3 307.306 4.341 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1cc2c(cccc2O)s1 ZINC001560798096 1060659237 /nfs/dbraw/zinc/65/92/37/1060659237.db2.gz XPEZDTIYINQGFK-BXKDBHETSA-N 0 3 315.360 4.380 20 0 DIADHN C[C@@H](CC1CCCCC1)NCc1nc(Br)cs1 ZINC001560800287 1060660967 /nfs/dbraw/zinc/66/09/67/1060660967.db2.gz IHBFQXKHVADNJQ-JTQLQIEISA-N 0 3 317.296 4.354 20 0 DIADHN Cc1ccc(CN2CCC(c3ncccn3)CC2)c(C)c1Cl ZINC001250197463 1060674850 /nfs/dbraw/zinc/67/48/50/1060674850.db2.gz SHFMHUZUZOKHKW-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN CC[C@H]1CC[C@@H]1NCc1cc(F)c(F)cc1Br ZINC001560817867 1060677218 /nfs/dbraw/zinc/67/72/18/1060677218.db2.gz IIOATRVCUUBFQG-SDBXPKJASA-N 0 3 304.178 4.006 20 0 DIADHN Cc1noc([C@H]2CCCCN2CC2CCC(C(C)C)CC2)n1 ZINC001560823210 1060681415 /nfs/dbraw/zinc/68/14/15/1060681415.db2.gz ZJFMYHWFFITIQC-OFLPRAFFSA-N 0 3 305.466 4.367 20 0 DIADHN CO[C@H](CN(Cc1ccc(F)cc1Cl)CC1CC1)C1CC1 ZINC001560826703 1060687024 /nfs/dbraw/zinc/68/70/24/1060687024.db2.gz VAPRBKZSADJNCJ-QGZVFWFLSA-N 0 3 311.828 4.116 20 0 DIADHN COC[C@@]1(C)CCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001560844423 1060699423 /nfs/dbraw/zinc/69/94/23/1060699423.db2.gz DKPMTXKBICPQFS-INIZCTEOSA-N 0 3 316.272 4.284 20 0 DIADHN C[C@@H](CNCc1cc(F)cnc1Cl)c1cccc(Cl)c1 ZINC001560849341 1060708332 /nfs/dbraw/zinc/70/83/32/1060708332.db2.gz TUGSUDQRBIXGGT-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN COc1nc2ccccc2cc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC001560858284 1060718175 /nfs/dbraw/zinc/71/81/75/1060718175.db2.gz MSDHFTPDIBKMBD-SFHVURJKSA-N 0 3 310.441 4.254 20 0 DIADHN C[C@H](CN1CC2(CCC2)[C@@H]1[C@@H]1CCCO1)c1cccc(Cl)c1 ZINC001560861008 1060720482 /nfs/dbraw/zinc/72/04/82/1060720482.db2.gz BMLFJHVLZJBYTC-JLSDUUJJSA-N 0 3 319.876 4.477 20 0 DIADHN Cc1ccc(CCCNCc2nc(-c3ccccc3)cs2)cn1 ZINC001560864361 1060721684 /nfs/dbraw/zinc/72/16/84/1060721684.db2.gz DAUYEPHPENEYNK-UHFFFAOYSA-N 0 3 323.465 4.236 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CCC[C@@H](C2CC2)C1 ZINC001560867840 1060728645 /nfs/dbraw/zinc/72/86/45/1060728645.db2.gz PEEOWMYVNAEDTM-OAHLLOKOSA-N 0 3 314.454 4.448 20 0 DIADHN Cc1cc(CN2CCCC[C@@H]2CCC(=O)OC(C)(C)C)c(C)o1 ZINC001560899266 1060762951 /nfs/dbraw/zinc/76/29/51/1060762951.db2.gz NVDQKWVPMBEQDC-QGZVFWFLSA-N 0 3 321.461 4.373 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@H]1CSc2ccccc2O1 ZINC001560905124 1060768396 /nfs/dbraw/zinc/76/83/96/1060768396.db2.gz RHVMQYYYKIAHAS-ZDUSSCGKSA-N 0 3 323.820 4.122 20 0 DIADHN CCc1csc(CC2CCN(Cc3ccoc3C)CC2)n1 ZINC001560909096 1060771537 /nfs/dbraw/zinc/77/15/37/1060771537.db2.gz KDVRHSHJXYNYFO-UHFFFAOYSA-N 0 3 304.459 4.062 20 0 DIADHN C[C@H]1CN(Cc2ccc(C(F)F)nc2)CCc2ccc(F)cc21 ZINC001560911179 1060771844 /nfs/dbraw/zinc/77/18/44/1060771844.db2.gz UPKRAQNWFMBZCD-LBPRGKRZSA-N 0 3 320.358 4.320 20 0 DIADHN C[C@@H]1CN(Cc2ccc(C(F)F)nc2)CCc2ccc(F)cc21 ZINC001560911178 1060772595 /nfs/dbraw/zinc/77/25/95/1060772595.db2.gz UPKRAQNWFMBZCD-GFCCVEGCSA-N 0 3 320.358 4.320 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@H]1CC(C)(C)Oc2ccc(F)cc21)OC ZINC001560918267 1060779739 /nfs/dbraw/zinc/77/97/39/1060779739.db2.gz OENRQBQGYYAOCV-YLQAJVPDSA-N 0 3 309.425 4.079 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@@H]1CC(C)(C)Oc2ccc(F)cc21)OC ZINC001560918266 1060780352 /nfs/dbraw/zinc/78/03/52/1060780352.db2.gz OENRQBQGYYAOCV-XGWLTEMNSA-N 0 3 309.425 4.079 20 0 DIADHN Cn1ncc(C2CC2)c1CN1CCC(C2CCCCC2)CC1 ZINC001560919875 1060782841 /nfs/dbraw/zinc/78/28/41/1060782841.db2.gz PQGPJDVKEWYKRO-UHFFFAOYSA-N 0 3 301.478 4.090 20 0 DIADHN CCOc1nccc(CN2CCC[C@H]3CCCC[C@@H]32)c1Cl ZINC001250383476 1060791051 /nfs/dbraw/zinc/79/10/51/1060791051.db2.gz ZCSIZDCRMTWOBL-HIFRSBDPSA-N 0 3 308.853 4.288 20 0 DIADHN CCOc1nccc(CN2CC[C@H]3CCCC[C@H]3C2)c1Cl ZINC001250383307 1060791447 /nfs/dbraw/zinc/79/14/47/1060791447.db2.gz UVLHRIJMNPMSRN-KGLIPLIRSA-N 0 3 308.853 4.146 20 0 DIADHN CCC1(CC)CCCN(Cc2[nH]cc(C(=O)OC)c2C2CC2)C1 ZINC001560930609 1060793078 /nfs/dbraw/zinc/79/30/78/1060793078.db2.gz IBAJLVQJADJHED-UHFFFAOYSA-N 0 3 318.461 4.081 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@](O)(c3ccccc3)C2)c1 ZINC001560933203 1060796104 /nfs/dbraw/zinc/79/61/04/1060796104.db2.gz HIJBZSHXCAJYQD-LJQANCHMSA-N 0 3 315.844 4.132 20 0 DIADHN Cc1ccc(CC2CN(Cc3cc4ccc(C)cc4[nH]3)C2)cc1 ZINC001560935091 1060796986 /nfs/dbraw/zinc/79/69/86/1060796986.db2.gz KECYRPRWGTYFJZ-UHFFFAOYSA-N 0 3 304.437 4.459 20 0 DIADHN CCC(C)(C)OC1CN([C@@H]2CC[C@](C)(c3ccccc3)C2)C1 ZINC001560934427 1060797213 /nfs/dbraw/zinc/79/72/13/1060797213.db2.gz QQHPRKPMJLRUSP-XLIONFOSSA-N 0 3 301.474 4.386 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCCC3(CC3)CC2)s1 ZINC001560954924 1060820246 /nfs/dbraw/zinc/82/02/46/1060820246.db2.gz GUNHDMLLMJQEBW-UHFFFAOYSA-N 0 3 321.486 4.470 20 0 DIADHN C[C@@H](CN1CCc2c(O)cccc2C1)c1cccc(Cl)c1 ZINC001560960682 1060825256 /nfs/dbraw/zinc/82/52/56/1060825256.db2.gz WBAFOHJIQCSUAL-ZDUSSCGKSA-N 0 3 301.817 4.207 20 0 DIADHN CO[C@H](CNCc1cc(Cl)cc(Cl)c1F)CC(C)C ZINC001560971976 1060834926 /nfs/dbraw/zinc/83/49/26/1060834926.db2.gz YSZWDAUQPCCLDR-LBPRGKRZSA-N 0 3 308.224 4.283 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@@H]2CSC[C@H]2C1 ZINC001560972791 1060837175 /nfs/dbraw/zinc/83/71/75/1060837175.db2.gz YKEMUBHKUJYJPR-IAGOWNOFSA-N 0 3 305.487 4.050 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@@H](OC3CCC3)CC2)c(F)c1 ZINC001560974563 1060837852 /nfs/dbraw/zinc/83/78/52/1060837852.db2.gz MJPGVBFCYQDBKS-ROVVAWJSSA-N 0 3 321.411 4.402 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2noc3ccc(F)cc23)C1(C)C ZINC001560974399 1060837984 /nfs/dbraw/zinc/83/79/84/1060837984.db2.gz KYAWBGSGTXMTAO-HOTGVXAUSA-N 0 3 320.408 4.039 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC([C@@H]2CCC[C@H](C)C2)C1 ZINC001560977888 1060842994 /nfs/dbraw/zinc/84/29/94/1060842994.db2.gz LEUUGMNGGCVQRE-WMLDXEAASA-N 0 3 303.494 4.339 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@@H](OC(C)(C)C)C2(C)C)c(Cl)n1 ZINC001560986362 1060849609 /nfs/dbraw/zinc/84/96/09/1060849609.db2.gz CEOHTDLGGQQEHO-ZIAGYGMSSA-N 0 3 310.869 4.115 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1cc(O)ccc1Cl ZINC001560986358 1060850185 /nfs/dbraw/zinc/85/01/85/1060850185.db2.gz AVJISJMAXRIILT-NSHDSACASA-N 0 3 310.224 4.420 20 0 DIADHN C[C@H]1CCC(C)(C)C[C@@H]1NCc1noc2c1CC(C)(C)CC2 ZINC001560993997 1060855293 /nfs/dbraw/zinc/85/52/93/1060855293.db2.gz TYXOJBLKGKHCDR-ZFWWWQNUSA-N 0 3 304.478 4.494 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@H](c1ncccn1)C1CC1 ZINC001561016978 1060872373 /nfs/dbraw/zinc/87/23/73/1060872373.db2.gz MEZIWGAONBNZHR-ABAIWWIYSA-N 0 3 321.877 4.254 20 0 DIADHN COc1cccc(CN2CCC[C@@H]3CCC[C@H]32)c1OCC1CC1 ZINC001250529689 1060874140 /nfs/dbraw/zinc/87/41/40/1060874140.db2.gz DZPDOWPOMFBRTH-FUHWJXTLSA-N 0 3 315.457 4.249 20 0 DIADHN CC(C)CN(Cc1ccc2c(c1)C[C@@H](C)O2)Cc1ccccn1 ZINC001561017241 1060874906 /nfs/dbraw/zinc/87/49/06/1060874906.db2.gz SOZMENSIYDMISK-MRXNPFEDSA-N 0 3 310.441 4.063 20 0 DIADHN C[C@H]1C[C@H](NC2([C@@H]3CCCCO3)CC2)c2ccsc2S1 ZINC001561020667 1060877146 /nfs/dbraw/zinc/87/71/46/1060877146.db2.gz NJBQLNVKINMXJS-UBHSHLNASA-N 0 3 309.500 4.365 20 0 DIADHN C[C@H]1C[C@@H](NC2([C@@H]3CCCCO3)CC2)c2ccsc2S1 ZINC001561020668 1060877851 /nfs/dbraw/zinc/87/78/51/1060877851.db2.gz NJBQLNVKINMXJS-YUTCNCBUSA-N 0 3 309.500 4.365 20 0 DIADHN COCc1c(C)cc(C)c(CN2CCc3ccsc3C2)c1C ZINC001561034530 1060885923 /nfs/dbraw/zinc/88/59/23/1060885923.db2.gz UJZBRUHQIUKCPH-UHFFFAOYSA-N 0 3 315.482 4.378 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(F)(C2CC2)CC1 ZINC001561033130 1060887233 /nfs/dbraw/zinc/88/72/33/1060887233.db2.gz DVKGYYPCMVXFIG-UHFFFAOYSA-N 0 3 305.437 4.436 20 0 DIADHN CCCOc1ccc(CN2CCc3ccsc3C2)c(C)c1 ZINC001561034133 1060887406 /nfs/dbraw/zinc/88/74/06/1060887406.db2.gz IDTJPRVDHPCMNV-UHFFFAOYSA-N 0 3 301.455 4.404 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@H](C3CCCC3)C2)cc1F ZINC001561049378 1060898952 /nfs/dbraw/zinc/89/89/52/1060898952.db2.gz TWDFSTJAXWKFFC-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2C[C@@H]2C2CCCC2)cc(Cl)c1[O-] ZINC001561052017 1060901997 /nfs/dbraw/zinc/90/19/97/1060901997.db2.gz PVNMPTLNEVLTEA-HUUCEWRRSA-N 0 3 323.864 4.360 20 0 DIADHN Cc1c2[nH]c(C3CCN(c4ccccc4)CC3)nc2ccc1F ZINC001250584691 1060919622 /nfs/dbraw/zinc/91/96/22/1060919622.db2.gz NVGIENMVIFFUAD-UHFFFAOYSA-N 0 3 309.388 4.394 20 0 DIADHN CN(C)c1ccc2nc(CCCCOCc3ccccc3)[nH]c2c1 ZINC001250584039 1060920392 /nfs/dbraw/zinc/92/03/92/1060920392.db2.gz VKBWTIOHJIDIHK-UHFFFAOYSA-N 0 3 323.440 4.168 20 0 DIADHN c1ccc2c(c1)CC(c1nc3ccc(N4CCCC4)cc3[nH]1)C2 ZINC001250609940 1060938061 /nfs/dbraw/zinc/93/80/61/1060938061.db2.gz MJTOPTDJRNSBIO-UHFFFAOYSA-N 0 3 303.409 4.046 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CCC[C@]3(C)CC)c2)CC1 ZINC001462124071 1060946501 /nfs/dbraw/zinc/94/65/01/1060946501.db2.gz FZLFLIPNZVGFMP-UXHICEINSA-N 0 3 315.505 4.209 20 0 DIADHN CCN1CCN(c2cccc(N[C@H]3CCC[C@@]3(C)CC)c2)CC1 ZINC001462124079 1060946807 /nfs/dbraw/zinc/94/68/07/1060946807.db2.gz FZLFLIPNZVGFMP-VQTJNVASSA-N 0 3 315.505 4.209 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CC[C@H](C)[C@@H](C)C3)c2)CC1 ZINC001462131306 1060952716 /nfs/dbraw/zinc/95/27/16/1060952716.db2.gz WPUZFSPOBIVHEZ-JENIJYKNSA-N 0 3 315.505 4.065 20 0 DIADHN CC1(C)CCCN(Cc2coc(-c3ccccc3F)n2)CC1 ZINC001473197233 1060957881 /nfs/dbraw/zinc/95/78/81/1060957881.db2.gz SKUHMYZEGXLMPG-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CC(C)n1c2ccc(C(F)(F)F)cc2nc1-c1cnccc1N ZINC001250628440 1060958174 /nfs/dbraw/zinc/95/81/74/1060958174.db2.gz KAULJUYGGKQDOX-UHFFFAOYSA-N 0 3 320.318 4.111 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(CCOCc2ccccc2)C1 ZINC001473198593 1060958960 /nfs/dbraw/zinc/95/89/60/1060958960.db2.gz OWDAPLNKDDOJND-OXJNMPFZSA-N 0 3 313.416 4.425 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2F)N(CCOCc2ccccc2)C1 ZINC001473198594 1060960160 /nfs/dbraw/zinc/96/01/60/1060960160.db2.gz OWDAPLNKDDOJND-OXQOHEQNSA-N 0 3 313.416 4.425 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@@H](C(C)(C)C)C2)cc1F ZINC001462148154 1060968853 /nfs/dbraw/zinc/96/88/53/1060968853.db2.gz GOODMROWMBQUGL-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1ccncc1F ZINC001473216117 1060983834 /nfs/dbraw/zinc/98/38/34/1060983834.db2.gz SZDHUSNCTMUABR-OLZOCXBDSA-N 0 3 306.812 4.498 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1CC[C@@H](C)[C@@H]1C ZINC001473217678 1060990098 /nfs/dbraw/zinc/99/00/98/1060990098.db2.gz QUJMAOSTIXOFGK-OUAUKWLOSA-N 0 3 315.244 4.051 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC[C@@H](CC(=O)OC(C)(C)C)C1 ZINC001473218599 1060992064 /nfs/dbraw/zinc/99/20/64/1060992064.db2.gz UBSFQDFRHUKFOW-GJZGRUSLSA-N 0 3 321.436 4.331 20 0 DIADHN CSc1ccccc1CN(C)Cc1cc(C)c(O)c(C)c1 ZINC001462174802 1060993201 /nfs/dbraw/zinc/99/32/01/1060993201.db2.gz IDJPOSZLJJURCM-UHFFFAOYSA-N 0 3 301.455 4.363 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3ccc(C)c(C)c3)CC2)c1 ZINC001473219297 1060993678 /nfs/dbraw/zinc/99/36/78/1060993678.db2.gz FBTRIFYVXSWICI-UHFFFAOYSA-N 0 3 308.469 4.242 20 0 DIADHN FC1(F)CCCC[C@@H]1CN1CCOC2(C1)CCCCCC2 ZINC001473223351 1061000027 /nfs/dbraw/zinc/00/00/27/1061000027.db2.gz YSRXXKYFOREPHZ-OAHLLOKOSA-N 0 3 301.421 4.237 20 0 DIADHN Cc1cc(-c2noc([C@H](C)NCC3CCCCC3)n2)ccc1F ZINC001462186016 1061004789 /nfs/dbraw/zinc/00/47/89/1061004789.db2.gz YGYSOFSWLJQCFJ-ZDUSSCGKSA-N 0 3 317.408 4.415 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CCc2ccc(O)cc2CC1 ZINC001473225727 1061005028 /nfs/dbraw/zinc/00/50/28/1061005028.db2.gz YRZWLCHAQWOMKN-GFCCVEGCSA-N 0 3 319.807 4.347 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)c(Cl)c2)C1 ZINC001473224852 1061005736 /nfs/dbraw/zinc/00/57/36/1061005736.db2.gz YLRQONJRAJLPAT-GHMZBOCLSA-N 0 3 315.244 4.052 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccc(Cl)c(Cl)c2)C1 ZINC001473224855 1061006142 /nfs/dbraw/zinc/00/61/42/1061006142.db2.gz YLRQONJRAJLPAT-WDEREUQCSA-N 0 3 315.244 4.052 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C1CC1 ZINC001462183270 1061006634 /nfs/dbraw/zinc/00/66/34/1061006634.db2.gz HMKABQJZVPLPFS-XHDPSFHLSA-N 0 3 303.381 4.023 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001473243516 1061020270 /nfs/dbraw/zinc/02/02/70/1061020270.db2.gz CXIHZFJKIGFQHG-MAUKXSAKSA-N 0 3 316.489 4.451 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@@H]1CCCC3(CCC3)O1)CCC2 ZINC001473249335 1061024687 /nfs/dbraw/zinc/02/46/87/1061024687.db2.gz ZTXLBQDAHMRRQP-GOEBONIOSA-N 0 3 320.502 4.253 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@@H]1CCC3(CCC3)CO1)CCC2 ZINC001473249113 1061024832 /nfs/dbraw/zinc/02/48/32/1061024832.db2.gz QUUPZZVBSPPENA-HOCLYGCPSA-N 0 3 320.502 4.110 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)C1CCC1 ZINC001473248449 1061025489 /nfs/dbraw/zinc/02/54/89/1061025489.db2.gz SUMCLULSIDJTIL-PMACEKPBSA-N 0 3 313.416 4.320 20 0 DIADHN CSc1cccc(F)c1CN[C@H](C)Cc1ccccc1F ZINC001462217986 1061036425 /nfs/dbraw/zinc/03/64/25/1061036425.db2.gz ARAIYBCIPVKNAY-GFCCVEGCSA-N 0 3 307.409 4.408 20 0 DIADHN Cc1cc(CN[C@@H](Cc2ccccc2)c2ccccc2)c(C)nn1 ZINC001473267093 1061039904 /nfs/dbraw/zinc/03/99/04/1061039904.db2.gz JVOLOKWRGBRSKA-NRFANRHFSA-N 0 3 317.436 4.167 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCOc2cc(O)ccc2C1 ZINC001462224644 1061040479 /nfs/dbraw/zinc/04/04/79/1061040479.db2.gz XDTROGOAHVHFRB-HNNXBMFYSA-N 0 3 303.446 4.193 20 0 DIADHN Cn1ncc(CN2CCC[C@@](C)(c3ccccc3)CC2)c1Cl ZINC001462225985 1061042188 /nfs/dbraw/zinc/04/21/88/1061042188.db2.gz PMRXZAAUIMUUHR-GOSISDBHSA-N 0 3 317.864 4.017 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1cc2n(n1)CCCC2 ZINC001473269486 1061043756 /nfs/dbraw/zinc/04/37/56/1061043756.db2.gz DFFORJYXAHJLRA-LJQANCHMSA-N 0 3 311.473 4.063 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1cncc(Br)c1 ZINC001473273027 1061046365 /nfs/dbraw/zinc/04/63/65/1061046365.db2.gz MJWBVSDHWOEPDP-WFASDCNBSA-N 0 3 311.267 4.245 20 0 DIADHN Cc1cc(Br)cc(CN2C[C@H](C3CC3)[C@H]2C2CC2)c1 ZINC001462251745 1061055901 /nfs/dbraw/zinc/05/59/01/1061055901.db2.gz RXOBGQBYWHPRGB-IAGOWNOFSA-N 0 3 320.274 4.378 20 0 DIADHN O=c1cc(C[N@@H+]2CCCC23CCCCC3)nc(-c2ccccc2)[n-]1 ZINC001473285253 1061055876 /nfs/dbraw/zinc/05/58/76/1061055876.db2.gz DAOHBKOFENQDDU-UHFFFAOYSA-N 0 3 323.440 4.148 20 0 DIADHN O=c1cc(C[N@H+]2CCCC23CCCCC3)nc(-c2ccccc2)[n-]1 ZINC001473285253 1061055885 /nfs/dbraw/zinc/05/58/85/1061055885.db2.gz DAOHBKOFENQDDU-UHFFFAOYSA-N 0 3 323.440 4.148 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCCCC1(C)OCCO1 ZINC001473287399 1061057403 /nfs/dbraw/zinc/05/74/03/1061057403.db2.gz MEJPTNAQQNIUIT-CABCVRRESA-N 0 3 321.436 4.067 20 0 DIADHN c1ccc([C@H]2Cc3ccccc3CN2CCOC2CCC2)cc1 ZINC001473288662 1061057445 /nfs/dbraw/zinc/05/74/45/1061057445.db2.gz DETQKRVKTLODEB-OAQYLSRUSA-N 0 3 307.437 4.355 20 0 DIADHN Cc1cccc2c1CC(C)(C)N(Cc1cccc3[nH]c(=O)oc31)C2 ZINC001462267361 1061062564 /nfs/dbraw/zinc/06/25/64/1061062564.db2.gz RISNPYUIRLFBMR-UHFFFAOYSA-N 0 3 322.408 4.179 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1C[C@H](C)[C@@H]2CCCC[C@H]21 ZINC001473303725 1061068533 /nfs/dbraw/zinc/06/85/33/1061068533.db2.gz VIRCPDXRIFJYRY-OFQRWUPVSA-N 0 3 315.436 4.206 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1C[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC001473303723 1061069141 /nfs/dbraw/zinc/06/91/41/1061069141.db2.gz VIRCPDXRIFJYRY-DZKIICNBSA-N 0 3 315.436 4.206 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(F)cc2Cl)[C@@H]1SC ZINC001462277615 1061070360 /nfs/dbraw/zinc/07/03/60/1061070360.db2.gz YBKLHKOKSUCLQS-QUAZDUCUSA-N 0 3 317.857 4.039 20 0 DIADHN FC1(F)Oc2cccc(CN[C@H](c3ccccc3)C3CC3)c2O1 ZINC001473313169 1061078078 /nfs/dbraw/zinc/07/80/78/1061078078.db2.gz YRPFQMLHRDTVPQ-MRXNPFEDSA-N 0 3 317.335 4.249 20 0 DIADHN CC(C)N(Cc1cc(-c2ccccn2)n[nH]1)[C@H](C)c1ccccc1 ZINC001462296902 1061086021 /nfs/dbraw/zinc/08/60/21/1061086021.db2.gz HPNLKTJJPXWWOL-MRXNPFEDSA-N 0 3 320.440 4.443 20 0 DIADHN COc1cc2c(cc1CN1CC[C@H](C(C)(C)C)C[C@H]1C)OCO2 ZINC001462307702 1061093461 /nfs/dbraw/zinc/09/34/61/1061093461.db2.gz PEMYCGGMCCIBSM-HIFRSBDPSA-N 0 3 319.445 4.071 20 0 DIADHN COC1CC(N[C@H](c2c(Cl)cccc2Cl)C2CC2)C1 ZINC001462306314 1061093572 /nfs/dbraw/zinc/09/35/72/1061093572.db2.gz SNLKVRWHTZYHRQ-NWHVRFAMSA-N 0 3 300.229 4.212 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H]2CCCN(c3ccccc3)C2=O)c1C ZINC001473334777 1061094311 /nfs/dbraw/zinc/09/43/11/1061094311.db2.gz MAZCYPJAKCLBCB-XLIONFOSSA-N 0 3 322.452 4.150 20 0 DIADHN COc1cc2c(cc1CN1CC[C@@H](C(C)(C)C)C[C@H]1C)OCO2 ZINC001462307713 1061095830 /nfs/dbraw/zinc/09/58/30/1061095830.db2.gz PEMYCGGMCCIBSM-UKRRQHHQSA-N 0 3 319.445 4.071 20 0 DIADHN CO[C@@H](CNCc1ccc(C2CC2)cc1F)C1CCCCC1 ZINC001462317039 1061102769 /nfs/dbraw/zinc/10/27/69/1061102769.db2.gz KCSGJHKVHPPOCL-IBGZPJMESA-N 0 3 305.437 4.388 20 0 DIADHN Cc1cc(C)c(CN2CCN(C)C[C@@H]2c2ccccc2)c(C)c1 ZINC001473350327 1061106546 /nfs/dbraw/zinc/10/65/46/1061106546.db2.gz FPVIEQAFUCHDQE-OAQYLSRUSA-N 0 3 308.469 4.101 20 0 DIADHN CCc1[nH]nc(Cl)c1CN(C)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001462329816 1061109048 /nfs/dbraw/zinc/10/90/48/1061109048.db2.gz AFJATXBTOXRXPZ-RHSMWYFYSA-N 0 3 317.864 4.394 20 0 DIADHN C[C@H]1C[C@H](N2CC[C@H]3[C@@H](C2)C3(F)F)c2ccsc2S1 ZINC001462330637 1061109179 /nfs/dbraw/zinc/10/91/79/1061109179.db2.gz IHCXUBLCJRJQAQ-IXLVHKGHSA-N 0 3 301.427 4.261 20 0 DIADHN C[C@H]1[C@H](CO)CCCN1CCCc1c(Cl)cccc1Cl ZINC001462337351 1061113073 /nfs/dbraw/zinc/11/30/73/1061113073.db2.gz UEJHCQDELOJSTF-STQMWFEESA-N 0 3 316.272 4.019 20 0 DIADHN CC(C)COC[C@@H](NCC[C@@H]1CCCC1(F)F)c1ccco1 ZINC001473367478 1061115993 /nfs/dbraw/zinc/11/59/93/1061115993.db2.gz VNOGUTKHTCSQAA-LSDHHAIUSA-N 0 3 315.404 4.408 20 0 DIADHN CC(C)COC[C@H](NCC[C@@H]1CCCC1(F)F)c1ccco1 ZINC001473367476 1061116660 /nfs/dbraw/zinc/11/66/60/1061116660.db2.gz VNOGUTKHTCSQAA-GJZGRUSLSA-N 0 3 315.404 4.408 20 0 DIADHN CO[C@H](CN1C[C@H](C(F)(F)F)CC[C@H]1C)c1ccc(F)cc1 ZINC001473373604 1061118496 /nfs/dbraw/zinc/11/84/96/1061118496.db2.gz FBSNYNSAWHXYRN-UXIGCNINSA-N 0 3 319.342 4.176 20 0 DIADHN CC(C)COCCN[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC001473371537 1061118978 /nfs/dbraw/zinc/11/89/78/1061118978.db2.gz UFQIESPMGNEHJO-MRXNPFEDSA-N 0 3 301.833 4.438 20 0 DIADHN Fc1ccc(CN[C@H](CN2CCCCC2)c2ccccc2)cc1 ZINC001473372942 1061119539 /nfs/dbraw/zinc/11/95/39/1061119539.db2.gz KKFBZCAFQNFSJW-HXUWFJFHSA-N 0 3 312.432 4.143 20 0 DIADHN Cc1ccncc1CN1CCC(Cc2cccc(F)c2F)CC1 ZINC001462344948 1061119766 /nfs/dbraw/zinc/11/97/66/1061119766.db2.gz WDYUMKGAGPFNSM-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cn(C3CCC3)nn2)C1(C)C ZINC001462344931 1061120255 /nfs/dbraw/zinc/12/02/55/1061120255.db2.gz VUYNCZRENNCDPS-AWEZNQCLSA-N 0 3 304.482 4.040 20 0 DIADHN OCC[C@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC001462354057 1061123798 /nfs/dbraw/zinc/12/37/98/1061123798.db2.gz CYQQYMXECWXEFM-INIZCTEOSA-N 0 3 315.413 4.056 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@H]3CCC[C@@H]3C)co2)cc1 ZINC001473380819 1061124726 /nfs/dbraw/zinc/12/47/26/1061124726.db2.gz KFYQPSFNWJFEEC-GUYCJALGSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1cnc(CN2CCC[C@]2(C)Cc2ccc(F)cc2)s1 ZINC001462358485 1061126101 /nfs/dbraw/zinc/12/61/01/1061126101.db2.gz WLFPCFIZQSFROE-GOSISDBHSA-N 0 3 318.461 4.442 20 0 DIADHN Fc1ccc(CCCN[C@@H]2C[C@]2(F)c2ccccc2)cc1F ZINC001462362677 1061128284 /nfs/dbraw/zinc/12/82/84/1061128284.db2.gz GLEDWXSANARYJD-MSOLQXFVSA-N 0 3 305.343 4.124 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCCC[C@@H](C)C1 ZINC001473394683 1061133655 /nfs/dbraw/zinc/13/36/55/1061133655.db2.gz CLMBKYDMHXXUCC-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(F)cc1CN1CCC[C@@]2(C1)OCc1ccccc12 ZINC001473402055 1061136518 /nfs/dbraw/zinc/13/65/18/1061136518.db2.gz JYRLXMJVJJASQL-FQEVSTJZSA-N 0 3 311.400 4.156 20 0 DIADHN CC[C@H]1CCN(Cc2ccc(OC)cc2Br)[C@H]1C ZINC001473402671 1061139762 /nfs/dbraw/zinc/13/97/62/1061139762.db2.gz LWSFZVUBIROFIG-RYUDHWBXSA-N 0 3 312.251 4.078 20 0 DIADHN Cc1ccccc1-n1cc(CN2CCC[C@@H]2CC2CCCC2)nn1 ZINC001462388434 1061144596 /nfs/dbraw/zinc/14/45/96/1061144596.db2.gz CRLJKRTVSRIJDW-LJQANCHMSA-N 0 3 324.472 4.120 20 0 DIADHN CSCc1ccc(CN2C[C@H](C)OC3(CCCCC3)C2)cc1 ZINC001462393127 1061145819 /nfs/dbraw/zinc/14/58/19/1061145819.db2.gz TWIKALUDOVEXMR-INIZCTEOSA-N 0 3 319.514 4.473 20 0 DIADHN C[C@H]1CC[C@@](CO)(N(C)Cc2c(Cl)oc3ccccc32)C1 ZINC001473413897 1061148259 /nfs/dbraw/zinc/14/82/59/1061148259.db2.gz LKAHLYMAJOMSIW-YVEFUNNKSA-N 0 3 307.821 4.069 20 0 DIADHN CCN(Cc1cn2cc(Cl)cc(Cl)c2n1)CC1CCC1 ZINC001473417538 1061151559 /nfs/dbraw/zinc/15/15/59/1061151559.db2.gz HUZROHMEAABMHK-UHFFFAOYSA-N 0 3 312.244 4.263 20 0 DIADHN C[C@H]1CCc2nc(CN3CCCC[C@H]3C3CCC3)sc2C1 ZINC001462407023 1061154041 /nfs/dbraw/zinc/15/40/41/1061154041.db2.gz AEGWGMDLMFUWCT-BBRMVZONSA-N 0 3 304.503 4.423 20 0 DIADHN CC[C@H]1CCCC[C@H]1CN(CC(=O)OC(C)(C)C)CC1CC1 ZINC001462406143 1061154648 /nfs/dbraw/zinc/15/46/48/1061154648.db2.gz BIHRPKMLYORDCW-IRXDYDNUSA-N 0 3 309.494 4.257 20 0 DIADHN C[C@@H]1CCc2nc(CN3CCCC[C@@H]3C3CCC3)sc2C1 ZINC001462407025 1061154675 /nfs/dbraw/zinc/15/46/75/1061154675.db2.gz AEGWGMDLMFUWCT-CZUORRHYSA-N 0 3 304.503 4.423 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@H]2CCC(C)(C)C2)co1 ZINC001473443561 1061161029 /nfs/dbraw/zinc/16/10/29/1061161029.db2.gz NIOLWLGGBGCVRN-HNNXBMFYSA-N 0 3 314.429 4.361 20 0 DIADHN CCC1(CC)CCCN(Cc2ccc(F)c(F)c2N(C)C)C1 ZINC001462422822 1061164022 /nfs/dbraw/zinc/16/40/22/1061164022.db2.gz BPIZLYFRWKDEMD-UHFFFAOYSA-N 0 3 310.432 4.433 20 0 DIADHN CO[C@H](CNCc1c(Cl)oc2ccccc21)C1CCCC1 ZINC001462423199 1061164179 /nfs/dbraw/zinc/16/41/79/1061164179.db2.gz CMXNUYWKLPWLDE-MRXNPFEDSA-N 0 3 307.821 4.381 20 0 DIADHN Fc1cncc(F)c1CN1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 ZINC001473452659 1061168568 /nfs/dbraw/zinc/16/85/68/1061168568.db2.gz SCOBPIRBBYJBIA-SPYBWZPUSA-N 0 3 314.379 4.128 20 0 DIADHN C[C@H](N[C@H]1[C@H](C)CCC[C@@H]1C)c1nc(Cc2ccccc2)no1 ZINC001473456893 1061170314 /nfs/dbraw/zinc/17/03/14/1061170314.db2.gz LKAWZSBCQJQJNC-JTOWHCCKSA-N 0 3 313.445 4.136 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2nc3ccccc3s2)C1(C)C ZINC001473458021 1061172396 /nfs/dbraw/zinc/17/23/96/1061172396.db2.gz GEGBLEQBQQTQOR-CVEARBPZSA-N 0 3 318.486 4.370 20 0 DIADHN CCCN(CCN1CCCCC1)[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC001473461600 1061173089 /nfs/dbraw/zinc/17/30/89/1061173089.db2.gz BBVSBPFYADHDIM-INIZCTEOSA-N 0 3 321.509 4.231 20 0 DIADHN COc1ccc2c(c1)CCN([C@@H](C)c1nccc3ccccc31)C2 ZINC001462448608 1061179390 /nfs/dbraw/zinc/17/93/90/1061179390.db2.gz XQLLXFHFBWTLFU-HNNXBMFYSA-N 0 3 318.420 4.363 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC(c3ccon3)CC2)cc1C ZINC001462446641 1061179875 /nfs/dbraw/zinc/17/98/75/1061179875.db2.gz ILEUSGHOURGZJZ-PLNGDYQASA-N 0 3 310.441 4.493 20 0 DIADHN COc1cccc2c1CN([C@H](C)c1ccc3c(c1)CCC3)CC2 ZINC001473478998 1061182950 /nfs/dbraw/zinc/18/29/50/1061182950.db2.gz MTNWBULWFGPVCE-OAHLLOKOSA-N 0 3 307.437 4.303 20 0 DIADHN CCCC[C@H](C(=O)Nc1cc(C)on1)N1CCCCCCCC1 ZINC001473478944 1061183057 /nfs/dbraw/zinc/18/30/57/1061183057.db2.gz LBOVPCPPZQHGFC-MRXNPFEDSA-N 0 3 321.465 4.137 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CCC1 ZINC001473483000 1061184127 /nfs/dbraw/zinc/18/41/27/1061184127.db2.gz DUVSETSTVDRKEI-BZNIZROVSA-N 0 3 321.852 4.024 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CCC1 ZINC001473483003 1061184961 /nfs/dbraw/zinc/18/49/61/1061184961.db2.gz DUVSETSTVDRKEI-ZBEGNZNMSA-N 0 3 321.852 4.024 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc3cc(C)ccc3s2)C12CCC2 ZINC001473482086 1061185117 /nfs/dbraw/zinc/18/51/17/1061185117.db2.gz CTKVTKHEPVNRJM-CVEARBPZSA-N 0 3 316.470 4.042 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)[C@@H](CO)c1ccc(Cl)cc1 ZINC001473494196 1061190591 /nfs/dbraw/zinc/19/05/91/1061190591.db2.gz MYEIQUGKGGGJLM-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN Cc1cc(C)c(CN(C)[C@H](CO)c2ccc(Cl)cc2)c(C)c1 ZINC001473494872 1061190772 /nfs/dbraw/zinc/19/07/72/1061190772.db2.gz XIFFERUFGVRPJZ-LJQANCHMSA-N 0 3 317.860 4.431 20 0 DIADHN Fc1ccccc1CCN1CC[C@H](Oc2ccc(Cl)cc2)C1 ZINC001473501323 1061196671 /nfs/dbraw/zinc/19/66/71/1061196671.db2.gz GDOXLRFLTWMMSW-KRWDZBQOSA-N 0 3 319.807 4.175 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccc1Cl ZINC001473501399 1061197631 /nfs/dbraw/zinc/19/76/31/1061197631.db2.gz IVAIAXXYTXMTBR-WDMOLILDSA-N 0 3 321.770 4.491 20 0 DIADHN CC1(C)CN(CC2CCC3(CC3)CC2)C[C@@](C)(C(F)(F)F)O1 ZINC001473501537 1061198816 /nfs/dbraw/zinc/19/88/16/1061198816.db2.gz BUHDYBFKIWHGAH-HNNXBMFYSA-N 0 3 319.411 4.389 20 0 DIADHN Cc1ccc(CN(C)CCCSCc2ccccc2)nc1 ZINC001473503708 1061199736 /nfs/dbraw/zinc/19/97/36/1061199736.db2.gz PQCRPMUUKALULN-UHFFFAOYSA-N 0 3 300.471 4.145 20 0 DIADHN CCN(Cc1cc(Br)cc(F)c1F)C(C)(C)C ZINC001473503186 1061201894 /nfs/dbraw/zinc/20/18/94/1061201894.db2.gz CTWINRMMZGGHNW-UHFFFAOYSA-N 0 3 306.194 4.348 20 0 DIADHN Cc1ccc(CN(C)CCn2ccc3cc(Cl)ccc32)nc1 ZINC001473503328 1061202031 /nfs/dbraw/zinc/20/20/31/1061202031.db2.gz JEEPQVLJFTXHJD-UHFFFAOYSA-N 0 3 313.832 4.130 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](Oc2ccccc2)C1(C)C)c1ccns1 ZINC001462483535 1061202623 /nfs/dbraw/zinc/20/26/23/1061202623.db2.gz HKHHYTGDQXWSLI-APHBMKBZSA-N 0 3 302.443 4.040 20 0 DIADHN CC1(C)CN(C[C@@H]2CCCC3(CCC3)O2)Cc2ccccc2O1 ZINC001473520444 1061217948 /nfs/dbraw/zinc/21/79/48/1061217948.db2.gz ZMLKOJDWDNQQNI-KRWDZBQOSA-N 0 3 315.457 4.151 20 0 DIADHN CCN(Cc1ccc(-c2ncco2)cc1F)C1CC(C)(C)C1 ZINC001473545583 1061237669 /nfs/dbraw/zinc/23/76/69/1061237669.db2.gz OBMVPWHBYSXXOG-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@H](NC(=O)CN1CC(C)(C)C[C@@H]1C)c1ccc(Cl)cc1 ZINC001473554930 1061240135 /nfs/dbraw/zinc/24/01/35/1061240135.db2.gz RGWXSLCFEGVGCI-BBRMVZONSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@H]1CC(C)(C)CN1Cc1nc2ccc(Br)cc2o1 ZINC001473554949 1061242990 /nfs/dbraw/zinc/24/29/90/1061242990.db2.gz RGYOYCUQGLGSIH-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN OCC[C@@H]1CCCN(CCc2c(Cl)cccc2Cl)CC1 ZINC001473561588 1061249913 /nfs/dbraw/zinc/24/99/13/1061249913.db2.gz PQQMQKVRFKRCAY-CYBMUJFWSA-N 0 3 316.272 4.020 20 0 DIADHN CC[C@H](NCc1nc(C)c(Br)s1)[C@H]1CC1(C)C ZINC001473562392 1061250579 /nfs/dbraw/zinc/25/05/79/1061250579.db2.gz IUUGPMHNWWONDR-ZJUUUORDSA-N 0 3 317.296 4.128 20 0 DIADHN CCc1cccc(Cl)c1CN1CC(CC2CCOCC2)C1 ZINC001462546600 1061251111 /nfs/dbraw/zinc/25/11/11/1061251111.db2.gz IWFBDIJZQRRVQI-UHFFFAOYSA-N 0 3 307.865 4.151 20 0 DIADHN C[C@@H](NCc1nc2c(s1)CCCC2)[C@@H]1C[C@H]1c1cccs1 ZINC001462565511 1061265657 /nfs/dbraw/zinc/26/56/57/1061265657.db2.gz MPIPFVUTRIAWIO-FRRDWIJNSA-N 0 3 318.511 4.365 20 0 DIADHN COc1ccc(CN(Cc2ccc(C)nc2)CC2CC2)cc1C ZINC001473581688 1061269954 /nfs/dbraw/zinc/26/99/54/1061269954.db2.gz GQZZVDOIFBGHKC-UHFFFAOYSA-N 0 3 310.441 4.119 20 0 DIADHN Clc1cccc(CN2CCC[C@H]2[C@@H]2CCCOC2)c1Cl ZINC001473582947 1061270933 /nfs/dbraw/zinc/27/09/33/1061270933.db2.gz WAPOHBRAQKEWQO-HIFRSBDPSA-N 0 3 314.256 4.384 20 0 DIADHN Clc1cccc(CN2CCC[C@@H]2[C@H]2CCCOC2)c1Cl ZINC001473582946 1061271592 /nfs/dbraw/zinc/27/15/92/1061271592.db2.gz WAPOHBRAQKEWQO-DZGCQCFKSA-N 0 3 314.256 4.384 20 0 DIADHN Clc1ccccc1C1(NC[C@@H]2CCC3(CCCC3)O2)CC1 ZINC001473580650 1061273112 /nfs/dbraw/zinc/27/31/12/1061273112.db2.gz XVZIQBWSWXIRNU-AWEZNQCLSA-N 0 3 305.849 4.411 20 0 DIADHN FC(F)(F)c1ccc(CNCCC2CC=CC2)c(Cl)n1 ZINC001462578277 1061273601 /nfs/dbraw/zinc/27/36/01/1061273601.db2.gz MMQRDFRNAHBSAZ-UHFFFAOYSA-N 0 3 304.743 4.200 20 0 DIADHN Cc1nc([C@@H]2CCN(Cc3cccc(C4CCCC4)c3)C2)no1 ZINC001462587988 1061281841 /nfs/dbraw/zinc/28/18/41/1061281841.db2.gz SBTRQBAZODVVHL-GOSISDBHSA-N 0 3 311.429 4.025 20 0 DIADHN COC(=O)c1csc(CNC2(c3ccc(C)cc3)CCC2)c1 ZINC001473609522 1061282065 /nfs/dbraw/zinc/28/20/65/1061282065.db2.gz DGDRIKLHSCHYAW-UHFFFAOYSA-N 0 3 315.438 4.012 20 0 DIADHN C[C@H]1CN(CCOCC2CCC2)CCc2ccc(Cl)cc21 ZINC001473608576 1061284080 /nfs/dbraw/zinc/28/40/80/1061284080.db2.gz YBILXFVKTHGDBE-AWEZNQCLSA-N 0 3 307.865 4.118 20 0 DIADHN C[C@]1(Cc2cccc(Cl)c2)CCCN1Cc1cccc(F)n1 ZINC001473606930 1061284581 /nfs/dbraw/zinc/28/45/81/1061284581.db2.gz AFPOZNXDKQUYQX-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN CC[C@@H]1CO[C@@H](CC)CN1CCn1ccc2cc(Cl)ccc21 ZINC001473616905 1061289499 /nfs/dbraw/zinc/28/94/99/1061289499.db2.gz CHHCFJDPZWDDSA-SJORKVTESA-N 0 3 320.864 4.184 20 0 DIADHN CC[C@@H]1CN(C[C@H](OC(C)C)c2ccccc2)[C@H](CC)CO1 ZINC001473616745 1061292945 /nfs/dbraw/zinc/29/29/45/1061292945.db2.gz ARKQEVRRTQDFSP-QRVBRYPASA-N 0 3 305.462 4.042 20 0 DIADHN CC(C)(C)c1cnc(CN2C[C@H]3[C@H]4C=C[C@H](C4)[C@@]3(C)C2)s1 ZINC001462615862 1061298804 /nfs/dbraw/zinc/29/88/04/1061298804.db2.gz CWLREKQPQLUXIO-MOROJQBDSA-N 0 3 302.487 4.085 20 0 DIADHN COc1ccc([C@H]2CCCN2CCOCCC(C)C)cc1F ZINC001473626412 1061299219 /nfs/dbraw/zinc/29/92/19/1061299219.db2.gz WLRCCMGAHVHNMD-QGZVFWFLSA-N 0 3 309.425 4.034 20 0 DIADHN O[C@H]1CCCC[C@H]1CCNCc1c(Cl)oc2ccccc21 ZINC001462621229 1061301942 /nfs/dbraw/zinc/30/19/42/1061301942.db2.gz VYIPXZYMBZKGJO-WFASDCNBSA-N 0 3 307.821 4.117 20 0 DIADHN Cc1cc(CN2CCc3ccccc3C(C)(C)C2)cc(Cl)n1 ZINC001473635435 1061308366 /nfs/dbraw/zinc/30/83/66/1061308366.db2.gz NHVOSYJKELWPQE-UHFFFAOYSA-N 0 3 314.860 4.379 20 0 DIADHN BrC1(CNCc2ccc(-c3cccs3)cc2)CC1 ZINC001462628170 1061308454 /nfs/dbraw/zinc/30/84/54/1061308454.db2.gz DBUQEDDELFDZNT-UHFFFAOYSA-N 0 3 322.271 4.432 20 0 DIADHN C[Si](C)(C)c1ccc(CN[C@@H](c2ccccn2)C2CCC2)cc1 ZINC001473655868 1061319828 /nfs/dbraw/zinc/31/98/28/1061319828.db2.gz PHMULNAXVUCMLL-HXUWFJFHSA-N 0 3 324.544 4.258 20 0 DIADHN CC(C)C[C@@H](C)CN[C@@H](CO)c1cccc(Cl)c1Cl ZINC001473660735 1061320601 /nfs/dbraw/zinc/32/06/01/1061320601.db2.gz ZYXAZQGDKJHLTG-RISCZKNCSA-N 0 3 304.261 4.299 20 0 DIADHN CC1(C)C[C@]1(NCc1c[nH]c(=O)c2ccccc12)c1ccccc1 ZINC001473675343 1061338842 /nfs/dbraw/zinc/33/88/42/1061338842.db2.gz NNTWDUIEVOPSFI-NRFANRHFSA-N 0 3 318.420 4.355 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(C[C@H]2[C@H](c3ccccc3)C2(F)F)C1 ZINC001473685774 1061340625 /nfs/dbraw/zinc/34/06/25/1061340625.db2.gz MKDYWDQDDDLBHM-RDBSUJKOSA-N 0 3 319.317 4.310 20 0 DIADHN C[C@H](CN1CCc2nc[nH]c2[C@H]1c1ccc(F)cc1)C(C)(C)C ZINC001473699311 1061356584 /nfs/dbraw/zinc/35/65/84/1061356584.db2.gz GQIWINAYQXNYDB-FZKQIMNGSA-N 0 3 315.436 4.179 20 0 DIADHN C[C@@]1(Cc2ccc(Cl)c(F)c2)CCCN1Cc1ccno1 ZINC001473721831 1061372811 /nfs/dbraw/zinc/37/28/11/1061372811.db2.gz ANYQZVVQNDSZDS-INIZCTEOSA-N 0 3 308.784 4.064 20 0 DIADHN CO[C@H](CN1CCC[C@](F)(c2ccccc2)C1)c1ccccc1 ZINC001473730228 1061376937 /nfs/dbraw/zinc/37/69/37/1061376937.db2.gz OJAMGIBNGIYSTM-WOJBJXKFSA-N 0 3 313.416 4.335 20 0 DIADHN C[C@]1(Cc2ccc(F)c(F)c2)CCCN1Cc1cncs1 ZINC001473729458 1061377756 /nfs/dbraw/zinc/37/77/56/1061377756.db2.gz CYHOJNUNAJHXRU-MRXNPFEDSA-N 0 3 308.397 4.019 20 0 DIADHN CCCc1ccc(CN[C@@H](Cn2ccnc2)c2ccccc2)cc1 ZINC001473748399 1061392551 /nfs/dbraw/zinc/39/25/51/1061392551.db2.gz YGJLMPCDKOBSTO-NRFANRHFSA-N 0 3 319.452 4.367 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(CC/C=C\c2ccccc2)CC1 ZINC001473756406 1061394093 /nfs/dbraw/zinc/39/40/93/1061394093.db2.gz XGRFCHIGMQBYPD-CRLZUZNUSA-N 0 3 320.480 4.469 20 0 DIADHN CCCCC1(NC(=O)c2cccc(CN3CCCCC3)c2)CC1 ZINC001456986857 1061396527 /nfs/dbraw/zinc/39/65/27/1061396527.db2.gz MYFMGRHGCGUBJB-UHFFFAOYSA-N 0 3 314.473 4.125 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3ccc(C)cc3Cl)C2)n1 ZINC001473775722 1061402553 /nfs/dbraw/zinc/40/25/53/1061402553.db2.gz VGCJCQJZCGQNCK-AWEZNQCLSA-N 0 3 306.862 4.403 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2Cc3ccccc3[C@@H](C)C2)cc1 ZINC001473774635 1061403356 /nfs/dbraw/zinc/40/33/56/1061403356.db2.gz JELOPMCRMCYHLC-INIZCTEOSA-N 0 3 323.436 4.371 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OC(F)(F)O2)c1ccc(F)cc1 ZINC001473799909 1061414679 /nfs/dbraw/zinc/41/46/79/1061414679.db2.gz DQQVNHZJENBQDD-UHFFFAOYSA-N 0 3 323.314 4.172 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC[C@@H](Cc2nccs2)C1 ZINC001473809668 1061416276 /nfs/dbraw/zinc/41/62/76/1061416276.db2.gz RDOSLAAQDHGRDV-KGLIPLIRSA-N 0 3 304.434 4.298 20 0 DIADHN CCC[C@H]1CCCN1Cc1ccc(OC)cc1Br ZINC001473859897 1061436834 /nfs/dbraw/zinc/43/68/34/1061436834.db2.gz ZKQNOSJFYRLSQR-ZDUSSCGKSA-N 0 3 312.251 4.222 20 0 DIADHN Clc1oc2ccccc2c1CN[C@H]1CCOC12CCCC2 ZINC001473867079 1061440064 /nfs/dbraw/zinc/44/00/64/1061440064.db2.gz QPCZQZNAAAKTOU-HNNXBMFYSA-N 0 3 305.805 4.278 20 0 DIADHN COc1ccc([C@H]2CCCN2CCSC2CCCCC2)nc1 ZINC001473874344 1061445969 /nfs/dbraw/zinc/44/59/69/1061445969.db2.gz DVNMCMICEKMIFY-GOSISDBHSA-N 0 3 320.502 4.293 20 0 DIADHN CCn1cc(CNCc2ccc(C)cc2)c(-c2ccccc2)n1 ZINC001473910612 1061458485 /nfs/dbraw/zinc/45/84/85/1061458485.db2.gz VCJXHOSPOXXQRY-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN CCc1ccccc1Oc1ccc(CNC[C@@H](C)O)c(Cl)c1 ZINC001473912359 1061460212 /nfs/dbraw/zinc/46/02/12/1061460212.db2.gz HHRCWEITYIAYDI-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN Nc1c(Cl)cccc1CNCc1ccc(C(F)(F)F)cc1 ZINC001473919230 1061467994 /nfs/dbraw/zinc/46/79/94/1061467994.db2.gz XBMMCTXFKITTHC-UHFFFAOYSA-N 0 3 314.738 4.231 20 0 DIADHN COc1ccc(CNCc2ccc(C3CC3)c(C3CC3)c2)cn1 ZINC001473923841 1061472394 /nfs/dbraw/zinc/47/23/94/1061472394.db2.gz DTEPSMDUWOQYLP-UHFFFAOYSA-N 0 3 308.425 4.135 20 0 DIADHN CC(C)c1cnc(CNCC2(c3ccccc3)CCC2)s1 ZINC001473923822 1061472812 /nfs/dbraw/zinc/47/28/12/1061472812.db2.gz DDQBUJRTJAYRFG-UHFFFAOYSA-N 0 3 300.471 4.478 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc3scnc3c2)cc1 ZINC001473926044 1061475345 /nfs/dbraw/zinc/47/53/45/1061475345.db2.gz MQJZAJFZWUCLAZ-UHFFFAOYSA-N 0 3 312.438 4.373 20 0 DIADHN Cc1ccc(CNCCOc2ccc3c(c2)CCC3)c(Cl)c1 ZINC001473949890 1061492898 /nfs/dbraw/zinc/49/28/98/1061492898.db2.gz HHAUSBMRIIQCBV-UHFFFAOYSA-N 0 3 315.844 4.306 20 0 DIADHN Cc1ccccc1OCCNCc1cnc(C2CCCC2)s1 ZINC001473951037 1061494436 /nfs/dbraw/zinc/49/44/36/1061494436.db2.gz LTSQELRKLJMVRA-UHFFFAOYSA-N 0 3 316.470 4.278 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cccc3c2OCO3)cc1C ZINC001473952315 1061495012 /nfs/dbraw/zinc/49/50/12/1061495012.db2.gz XYIPRNXSUWHKMO-ALCCZGGFSA-N 0 3 309.409 4.144 20 0 DIADHN CCc1ccccc1Oc1ccc(Cl)cc1CN[C@H](C)CO ZINC001473957632 1061499617 /nfs/dbraw/zinc/49/96/17/1061499617.db2.gz KHDAUKVVOCLHFS-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN Fc1ccc(/C=C/CN[C@H](c2ccccc2)[C@H]2CCCO2)cc1 ZINC001473961060 1061500824 /nfs/dbraw/zinc/50/08/24/1061500824.db2.gz RLAPYDHPHFXUAK-UIMSKZMLSA-N 0 3 311.400 4.349 20 0 DIADHN c1nc2cc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)ccc2s1 ZINC001473961113 1061501811 /nfs/dbraw/zinc/50/18/11/1061501811.db2.gz UTUUBHRNEOPFCV-MJGOQNOKSA-N 0 3 324.449 4.306 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)c1 ZINC001473962900 1061504296 /nfs/dbraw/zinc/50/42/96/1061504296.db2.gz ZQEPZRFTUDUHBC-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN CCCCOCCNCc1cc(Cl)cc(Br)c1 ZINC001473964035 1061506513 /nfs/dbraw/zinc/50/65/13/1061506513.db2.gz DTKOYCLLEZSVHO-UHFFFAOYSA-N 0 3 320.658 4.009 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C(C)(C)CC(C)(C)C)c1ccco1 ZINC001457819313 1061506741 /nfs/dbraw/zinc/50/67/41/1061506741.db2.gz ZTRVWWOZRYSNIX-GJZGRUSLSA-N 0 3 322.493 4.287 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C(C)(C)CC(C)(C)C)c1ccco1 ZINC001457819320 1061508150 /nfs/dbraw/zinc/50/81/50/1061508150.db2.gz ZTRVWWOZRYSNIX-LSDHHAIUSA-N 0 3 322.493 4.287 20 0 DIADHN CC[C@@H](C)c1ccc(C(=O)NC[C@H](c2ccccc2)N(C)C)cc1 ZINC001463696513 1061513770 /nfs/dbraw/zinc/51/37/70/1061513770.db2.gz YXJARSDJSXPDMX-OXQOHEQNSA-N 0 3 324.468 4.233 20 0 DIADHN CCc1ccc([C@H](CNC(=O)[C@@H](CC)CC(C)C)N(C)C)cc1 ZINC001463758421 1061517557 /nfs/dbraw/zinc/51/75/57/1061517557.db2.gz CILBBGGNEGDFQL-HKUYNNGSSA-N 0 3 318.505 4.040 20 0 DIADHN CCC[C@H](C(=O)NC[C@@H](c1ccccc1)N1CCCC1)C(C)C ZINC001463768019 1061518889 /nfs/dbraw/zinc/51/88/89/1061518889.db2.gz ZJZCCWHMSZTTJU-OALUTQOASA-N 0 3 316.489 4.012 20 0 DIADHN COc1cccc2cc(CNCc3ccc4ncccc4c3)oc21 ZINC001473975778 1061519253 /nfs/dbraw/zinc/51/92/53/1061519253.db2.gz NQKNKMSHFDOXMV-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN COc1cccc2cc(CNCc3cccc4ccoc43)oc21 ZINC001473975688 1061519340 /nfs/dbraw/zinc/51/93/40/1061519340.db2.gz KKYIPRRMAXKBNW-UHFFFAOYSA-N 0 3 307.349 4.477 20 0 DIADHN Cc1nn(C)c(C)c1CNCc1ccc(C2CC2)c(C2CC2)c1 ZINC001473973685 1061519518 /nfs/dbraw/zinc/51/95/18/1061519518.db2.gz ALWVPJDXIOKDPT-UHFFFAOYSA-N 0 3 309.457 4.082 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](O)c1ccc2ccccc2c1 ZINC001473979332 1061522589 /nfs/dbraw/zinc/52/25/89/1061522589.db2.gz PBCMNAPSPRILEA-OAQYLSRUSA-N 0 3 323.411 4.365 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Cc2ccc(C3CCC3)cc2)c1 ZINC001463868746 1061528271 /nfs/dbraw/zinc/52/82/71/1061528271.db2.gz HCPVQQHEBNQHLG-UHFFFAOYSA-N 0 3 322.452 4.197 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cccc(COC)c1 ZINC001473989811 1061530452 /nfs/dbraw/zinc/53/04/52/1061530452.db2.gz GLZDXJDPIZVBJB-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN Cc1ccnc(CNC2[C@@H](C)CCC[C@@H]2C)c1Br ZINC001473989915 1061531871 /nfs/dbraw/zinc/53/18/71/1061531871.db2.gz KTNXURGOTUQGJL-RYUDHWBXSA-N 0 3 311.267 4.067 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cccc2cccnc21 ZINC001473990174 1061535715 /nfs/dbraw/zinc/53/57/15/1061535715.db2.gz PCIFGKUAYXKSQP-IBGZPJMESA-N 0 3 318.420 4.411 20 0 DIADHN Cc1ccccc1[C@H](O)CNCc1ccc(Cl)c(C)c1Cl ZINC001473996531 1061539401 /nfs/dbraw/zinc/53/94/01/1061539401.db2.gz UMKCEYGILAPSAC-MRXNPFEDSA-N 0 3 324.251 4.433 20 0 DIADHN CCC(CC)(NCc1[nH]nc(C)c1C)c1ccc(Cl)cc1 ZINC001473999863 1061540816 /nfs/dbraw/zinc/54/08/16/1061540816.db2.gz VLIOFRBUFYYKMU-UHFFFAOYSA-N 0 3 305.853 4.485 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H](Cc2ccccc2)C2CC2)c1 ZINC001474003439 1061545643 /nfs/dbraw/zinc/54/56/43/1061545643.db2.gz NOYOGQCAWGPHGW-SFHVURJKSA-N 0 3 301.817 4.157 20 0 DIADHN CC(C)(CNCc1nc2cc(F)ccc2o1)c1cccc(F)c1 ZINC001474005646 1061546731 /nfs/dbraw/zinc/54/67/31/1061546731.db2.gz DXXIGVGDSHEPST-UHFFFAOYSA-N 0 3 316.351 4.173 20 0 DIADHN O[C@H](CNCc1cc2cc(F)ccc2o1)c1ccccc1Cl ZINC001474012469 1061552468 /nfs/dbraw/zinc/55/24/68/1061552468.db2.gz NMZRXCYVTZSZCF-MRXNPFEDSA-N 0 3 319.763 4.049 20 0 DIADHN CSCCCNCc1oc2ccccc2c1Br ZINC001474011720 1061553362 /nfs/dbraw/zinc/55/33/62/1061553362.db2.gz JLBWHGISYUWCGD-UHFFFAOYSA-N 0 3 314.248 4.038 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(F)c(F)c2)c2c(n1)CCCC2 ZINC001474010933 1061553439 /nfs/dbraw/zinc/55/34/39/1061553439.db2.gz DKHAALRAIIFMGO-ZDUSSCGKSA-N 0 3 316.395 4.398 20 0 DIADHN CC[C@H](C)[C@@H](C)NCc1nc(Cl)ccc1Br ZINC001474014048 1061554156 /nfs/dbraw/zinc/55/41/56/1061554156.db2.gz XXRMRJKRKLPUHW-DTWKUNHWSA-N 0 3 305.647 4.022 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1nc(Cl)ccc1Br ZINC001474014049 1061555081 /nfs/dbraw/zinc/55/50/81/1061555081.db2.gz XXRMRJKRKLPUHW-IUCAKERBSA-N 0 3 305.647 4.022 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cscc2Cl)C1(CC)CC ZINC001474027847 1061566421 /nfs/dbraw/zinc/56/64/21/1061566421.db2.gz IYWWCFJWIGORFL-ZIAGYGMSSA-N 0 3 301.883 4.475 20 0 DIADHN C[C@H](CNCc1c(Cl)n[nH]c1C1CC1)Sc1ccccc1 ZINC001474031073 1061574463 /nfs/dbraw/zinc/57/44/63/1061574463.db2.gz RXYIQBIKBXENRQ-LLVKDONJSA-N 0 3 321.877 4.211 20 0 DIADHN Fc1ccc(C2(NC/C=C\c3ccc(F)cc3F)CC2)cc1 ZINC001474038275 1061583873 /nfs/dbraw/zinc/58/38/73/1061583873.db2.gz UILFZJUAEMVAQG-UPHRSURJSA-N 0 3 303.327 4.396 20 0 DIADHN CC[C@@H](CNCc1nc(C)c(C)s1)Oc1cccc(Cl)c1 ZINC001474042009 1061588300 /nfs/dbraw/zinc/58/83/00/1061588300.db2.gz HVKKOPAURHGGLV-AWEZNQCLSA-N 0 3 324.877 4.361 20 0 DIADHN CO[C@@H](CNCc1cc(F)cc(F)c1)c1ccccc1Cl ZINC001474044947 1061590773 /nfs/dbraw/zinc/59/07/73/1061590773.db2.gz IQEQLUBMSAZFOS-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN c1ccc(-c2cccc(CNCc3ccc4c(c3)COC4)c2)nc1 ZINC001474048880 1061593692 /nfs/dbraw/zinc/59/36/92/1061593692.db2.gz IBPUSGCCCAAFRK-UHFFFAOYSA-N 0 3 316.404 4.069 20 0 DIADHN COc1cc(C)ccc1CNC/C=C\c1ccc(F)c(F)c1 ZINC001474047418 1061595364 /nfs/dbraw/zinc/59/53/64/1061595364.db2.gz BOZMJSCFJNKXPY-ARJAWSKDSA-N 0 3 303.352 4.085 20 0 DIADHN Cc1c(CN2CCSCC2)cccc1NC[C@@H]1CC=CCC1 ZINC001474049739 1061598543 /nfs/dbraw/zinc/59/85/43/1061598543.db2.gz OCGYBULTOVBXDR-QGZVFWFLSA-N 0 3 316.514 4.312 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)CCCC1CCCC1 ZINC001464474827 1061599580 /nfs/dbraw/zinc/59/95/80/1061599580.db2.gz HCJWAMCOSXWAMZ-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CCCCCC[C@@H](C)C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001464539918 1061605946 /nfs/dbraw/zinc/60/59/46/1061605946.db2.gz JRQQETLQOYZJBF-MJGOQNOKSA-N 0 3 318.505 4.320 20 0 DIADHN CCCCCC[C@@H](C)C(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001464539905 1061605982 /nfs/dbraw/zinc/60/59/82/1061605982.db2.gz JRQQETLQOYZJBF-IEBWSBKVSA-N 0 3 318.505 4.320 20 0 DIADHN C[C@H]1CCc2nc(CNCCCc3cccs3)sc2C1 ZINC001474070717 1061615766 /nfs/dbraw/zinc/61/57/66/1061615766.db2.gz CSULRLINKQAOOX-LBPRGKRZSA-N 0 3 306.500 4.052 20 0 DIADHN CC(=O)N1CC[C@H](NCc2cc(C)c(C)cc2C)c2ccccc21 ZINC001474073009 1061619464 /nfs/dbraw/zinc/61/94/64/1061619464.db2.gz JQKKSTXNUASINU-FQEVSTJZSA-N 0 3 322.452 4.199 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CNc1cc(C)cc(C)n1 ZINC001474077759 1061624776 /nfs/dbraw/zinc/62/47/76/1061624776.db2.gz OLBVSOHLVVQLAC-UHFFFAOYSA-N 0 3 306.413 4.113 20 0 DIADHN CCOc1cccc(F)c1CNCCc1c(C)cc(C)cc1C ZINC001474082390 1061630061 /nfs/dbraw/zinc/63/00/61/1061630061.db2.gz SRWKEDOSFGOHNL-UHFFFAOYSA-N 0 3 315.432 4.482 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(Cl)s2)cc1C ZINC001474092725 1061639127 /nfs/dbraw/zinc/63/91/27/1061639127.db2.gz QOAQOIJKCRUYIJ-NSHDSACASA-N 0 3 323.845 4.347 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2c(c1)CCC2 ZINC001474093346 1061639673 /nfs/dbraw/zinc/63/96/73/1061639673.db2.gz RFVONLQSPIUYLX-IBGZPJMESA-N 0 3 311.400 4.318 20 0 DIADHN CC[C@H](NCc1ccc(NC(C)=O)cc1)c1ccc(C)c(F)c1 ZINC001474094106 1061639753 /nfs/dbraw/zinc/63/97/53/1061639753.db2.gz GMFXWUQIZVUARA-IBGZPJMESA-N 0 3 314.404 4.333 20 0 DIADHN c1cc(-c2nc(CNCCC[C@@H]3CCCCO3)cs2)cs1 ZINC001474104762 1061653423 /nfs/dbraw/zinc/65/34/23/1061653423.db2.gz BPKJTZLPELSAIQ-HNNXBMFYSA-N 0 3 322.499 4.311 20 0 DIADHN CC(C)c1cnc(CNCCC2Cc3ccccc3C2)s1 ZINC001474113532 1061661498 /nfs/dbraw/zinc/66/14/98/1061661498.db2.gz QGYRYEMKIHOYKT-UHFFFAOYSA-N 0 3 300.471 4.161 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)c1ccc(C(C)(C)C)s1 ZINC001465015131 1061663098 /nfs/dbraw/zinc/66/30/98/1061663098.db2.gz VKKVFXNOMIXGKO-AWEZNQCLSA-N 0 3 322.518 4.040 20 0 DIADHN COC1(CNCc2nc3ccccc3n2C(C)C)CCCCC1 ZINC001474117055 1061666873 /nfs/dbraw/zinc/66/68/73/1061666873.db2.gz NEKUAAZOKUNMNV-UHFFFAOYSA-N 0 3 315.461 4.056 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@H](O)c1ccc(C)cc1 ZINC001474127072 1061674675 /nfs/dbraw/zinc/67/46/75/1061674675.db2.gz IIJRHKXEIPJSBO-KRWDZBQOSA-N 0 3 301.430 4.017 20 0 DIADHN O=C(c1cccs1)C1CCN(CCCc2ccccc2)CC1 ZINC001252678745 1061691458 /nfs/dbraw/zinc/69/14/58/1061691458.db2.gz MRCHQZPMMZXQPK-UHFFFAOYSA-N 0 3 313.466 4.276 20 0 DIADHN Fc1cccc(CNCCn2ccc3cc(Cl)ccc32)c1F ZINC001474144038 1061691333 /nfs/dbraw/zinc/69/13/33/1061691333.db2.gz XNWMRPHTGLNXCJ-UHFFFAOYSA-N 0 3 320.770 4.363 20 0 DIADHN CO[C@H](CNCc1c(Cl)cccc1SC(C)C)C1CC1 ZINC001474161483 1061702654 /nfs/dbraw/zinc/70/26/54/1061702654.db2.gz UPBXXTSMKUCDTA-OAHLLOKOSA-N 0 3 313.894 4.355 20 0 DIADHN Brc1ccc(-c2ccc(CN[C@H]3CC34CC4)o2)cc1 ZINC001474187009 1061737127 /nfs/dbraw/zinc/73/71/27/1061737127.db2.gz XOFAQAYLGAZLCC-HNNXBMFYSA-N 0 3 318.214 4.351 20 0 DIADHN Cn1c(CNC2(c3cccc(F)c3)CCC2)cc2ccccc21 ZINC001474189226 1061738375 /nfs/dbraw/zinc/73/83/75/1061738375.db2.gz UVEMOVKSHNMEPP-UHFFFAOYSA-N 0 3 308.400 4.486 20 0 DIADHN CCCC[C@](C)(CO)NCc1ccc(Cl)c(C)c1Cl ZINC001474190994 1061738982 /nfs/dbraw/zinc/73/89/82/1061738982.db2.gz HPJJOVUBTRGVPB-OAHLLOKOSA-N 0 3 304.261 4.333 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@@H]1CCC[C@H](F)C1 ZINC001474191285 1061742055 /nfs/dbraw/zinc/74/20/55/1061742055.db2.gz OYKWJLDAHDCYSE-VHSXEESVSA-N 0 3 322.168 4.098 20 0 DIADHN Fc1cc(Br)cc(F)c1CN[C@H]1CCC[C@@H](F)C1 ZINC001474191287 1061742741 /nfs/dbraw/zinc/74/27/41/1061742741.db2.gz OYKWJLDAHDCYSE-ZJUUUORDSA-N 0 3 322.168 4.098 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@@H](COC)CC(C)(C)C ZINC001474194621 1061744790 /nfs/dbraw/zinc/74/47/90/1061744790.db2.gz WAUJDRAAPXVSNN-OAHLLOKOSA-N 0 3 313.869 4.280 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1)c1cccc(Cl)c1F ZINC001252857983 1061747472 /nfs/dbraw/zinc/74/74/72/1061747472.db2.gz DMHZFMWIVLZRSH-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN C[C@]1(CCNCc2c(F)ccc(F)c2Cl)C[C@]1(F)Cl ZINC001474200110 1061750785 /nfs/dbraw/zinc/75/07/85/1061750785.db2.gz IOCTYZITQDAQMS-QWHCGFSZSA-N 0 3 312.162 4.413 20 0 DIADHN C[C@@]1(CCNCc2c(F)ccc(F)c2Cl)C[C@@]1(F)Cl ZINC001474200109 1061751837 /nfs/dbraw/zinc/75/18/37/1061751837.db2.gz IOCTYZITQDAQMS-OLZOCXBDSA-N 0 3 312.162 4.413 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H](C)COCC2CC2)o1 ZINC001474200915 1061754495 /nfs/dbraw/zinc/75/44/95/1061754495.db2.gz VKSPTCRFFYOGOQ-AWEZNQCLSA-N 0 3 317.404 4.299 20 0 DIADHN CC[C@@H](OCCNCc1cc(C)ccc1OC)c1ccccc1 ZINC001474201371 1061754547 /nfs/dbraw/zinc/75/45/47/1061754547.db2.gz SVIUCIZAZJZLPB-LJQANCHMSA-N 0 3 313.441 4.261 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@@H]2CCCC23CCCCC3)nn1 ZINC001474208508 1061762356 /nfs/dbraw/zinc/76/23/56/1061762356.db2.gz IMEDWBJGELLRGK-LJQANCHMSA-N 0 3 324.472 4.168 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1C[C@@H](OC(C)(C)C)C12CCC2 ZINC001474210320 1061766356 /nfs/dbraw/zinc/76/63/56/1061766356.db2.gz PVMKYSIGXCYLFW-DLBZAZTESA-N 0 3 321.436 4.050 20 0 DIADHN Clc1cc(CNC[C@@H]2CCN2C2CCCC2)c(Cl)s1 ZINC001474230732 1061792451 /nfs/dbraw/zinc/79/24/51/1061792451.db2.gz OKKFAJVTKREKAU-LBPRGKRZSA-N 0 3 319.301 4.161 20 0 DIADHN Cc1scc(CN[C@@H](c2ccccc2)[C@@H](O)C(C)C)c1C ZINC001474220710 1061781672 /nfs/dbraw/zinc/78/16/72/1061781672.db2.gz NTWVHJVXZKAOPO-ROUUACIJSA-N 0 3 303.471 4.213 20 0 DIADHN CCCC[C@H](CC)COC[C@H](O)CN1CC[C@H]1c1ccccc1 ZINC001253003928 1061783005 /nfs/dbraw/zinc/78/30/05/1061783005.db2.gz AEAHRONGXJDSCK-SXLOBPIMSA-N 0 3 319.489 4.027 20 0 DIADHN COc1cc(C)c(CN[C@H]2CCc3ccc(Cl)cc32)c(C)n1 ZINC001474225374 1061786146 /nfs/dbraw/zinc/78/61/46/1061786146.db2.gz FPSFASYZIIPSFH-KRWDZBQOSA-N 0 3 316.832 4.138 20 0 DIADHN CCC/C(C)=C\C(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001465647709 1061788387 /nfs/dbraw/zinc/78/83/87/1061788387.db2.gz SBZNYODNIDLIOD-ICFOKQHNSA-N 0 3 320.521 4.092 20 0 DIADHN CC(C)CCn1cccc1CNCc1ccc2cccnc2c1 ZINC001474233044 1061795400 /nfs/dbraw/zinc/79/54/00/1061795400.db2.gz JITAPXNJNMZIGJ-UHFFFAOYSA-N 0 3 307.441 4.372 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2NCc1ccc2nccnc2c1 ZINC001474233342 1061795652 /nfs/dbraw/zinc/79/56/52/1061795652.db2.gz YORYMOJCZFYEKT-MRXNPFEDSA-N 0 3 309.800 4.060 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](c1ccc(F)cc1)C1CCCCC1 ZINC001474236838 1061799080 /nfs/dbraw/zinc/79/90/80/1061799080.db2.gz NMNHAZUUXIXJPI-LJQANCHMSA-N 0 3 315.436 4.279 20 0 DIADHN C[C@@](O)(CN1CCC[C@H]1c1cc(F)ccc1F)c1ccccc1 ZINC001253102845 1061803142 /nfs/dbraw/zinc/80/31/42/1061803142.db2.gz VGORLHWDZUMGKI-RBUKOAKNSA-N 0 3 317.379 4.009 20 0 DIADHN C[C@@](O)(CN1CCC[C@H]1c1c(F)cccc1F)c1ccccc1 ZINC001253105320 1061806617 /nfs/dbraw/zinc/80/66/17/1061806617.db2.gz HTJICXZKUHBFBI-PKOBYXMFSA-N 0 3 317.379 4.009 20 0 DIADHN FC(F)(F)c1cc(CNC2([C@H]3CCCCO3)CCC2)cs1 ZINC001474244160 1061806975 /nfs/dbraw/zinc/80/69/75/1061806975.db2.gz MLUMSHWGQCXOSA-GFCCVEGCSA-N 0 3 319.392 4.348 20 0 DIADHN C[C@@](O)(CN1CCC[C@@H]1c1c(F)cccc1F)c1ccccc1 ZINC001253105318 1061807072 /nfs/dbraw/zinc/80/70/72/1061807072.db2.gz HTJICXZKUHBFBI-IEBWSBKVSA-N 0 3 317.379 4.009 20 0 DIADHN OC1(CN[C@H]2CCCc3c(Cl)sc(Cl)c32)CCCC1 ZINC001253110413 1061809918 /nfs/dbraw/zinc/80/99/18/1061809918.db2.gz SXPKHGXRSNSLNT-JTQLQIEISA-N 0 3 320.285 4.327 20 0 DIADHN C[C@@H](NC[C@](C)(O)c1ccccc1)c1cccc(Cl)c1F ZINC001253115011 1061814222 /nfs/dbraw/zinc/81/42/22/1061814222.db2.gz YZEAFXIDELDSKX-PXAZEXFGSA-N 0 3 307.796 4.037 20 0 DIADHN COc1cccc2c1OCCC[C@@H]2NCc1cc(C)cc(C)c1 ZINC001474252231 1061818146 /nfs/dbraw/zinc/81/81/46/1061818146.db2.gz OULQYYKIMRTVFR-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1cc(C)cc(C)c1 ZINC001474252230 1061818253 /nfs/dbraw/zinc/81/82/53/1061818253.db2.gz OULQYYKIMRTVFR-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN CNc1ccccc1CNCc1ccc(C)c(Br)c1 ZINC001474256373 1061822406 /nfs/dbraw/zinc/82/24/06/1061822406.db2.gz MQBOAAHGSLHCGU-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN CCCCc1ccc(N(C)C([O-])=[NH+]c2cc(C)nc(C)c2)cc1 ZINC001465885642 1061829799 /nfs/dbraw/zinc/82/97/99/1061829799.db2.gz KJCAOUFRJXQMLQ-UHFFFAOYSA-N 0 3 311.429 4.131 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NCc1cc(Cl)ccc1Br ZINC001474272209 1061838577 /nfs/dbraw/zinc/83/85/77/1061838577.db2.gz UMIIKHMEEBYFIC-PKINLEFWSA-N 0 3 314.654 4.237 20 0 DIADHN Cc1cc(Cl)cc(CNCc2c[nH]c(=O)c3ccccc23)c1 ZINC001474273302 1061838978 /nfs/dbraw/zinc/83/89/78/1061838978.db2.gz PUUSLIPHUQOIRC-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@@H]2CCCC3(CCC3)O2)s1 ZINC001474282385 1061851438 /nfs/dbraw/zinc/85/14/38/1061851438.db2.gz CHNIXYTWONXVLL-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H]1CCCC(C)(C)O1 ZINC001474290460 1061857997 /nfs/dbraw/zinc/85/79/97/1061857997.db2.gz ZEUUGYZCKWMPIK-AWEZNQCLSA-N 0 3 311.853 4.176 20 0 DIADHN CC1(C)C[C@@H](NCc2cc(Cl)ccc2OC2CCCC2)CO1 ZINC001474290626 1061858694 /nfs/dbraw/zinc/85/86/94/1061858694.db2.gz QMTCFFPAKNPXMD-OAHLLOKOSA-N 0 3 323.864 4.319 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCC[C@@H]1NCc1cscc1Cl ZINC001474290729 1061860027 /nfs/dbraw/zinc/86/00/27/1061860027.db2.gz BEKRXRAGFWRILD-YPMHNXCESA-N 0 3 315.866 4.002 20 0 DIADHN FC(F)CCNCc1cc(Cl)ccc1OC1CCCC1 ZINC001474298449 1061866199 /nfs/dbraw/zinc/86/61/99/1061866199.db2.gz TZUMQHDYQWQNBZ-UHFFFAOYSA-N 0 3 303.780 4.406 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@@H]1OCc2ccccc21 ZINC001474300134 1061871416 /nfs/dbraw/zinc/87/14/16/1061871416.db2.gz CZOVXBNKHKVYLH-ZDUSSCGKSA-N 0 3 313.344 4.128 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1sc(N(C)C)nc1Cl ZINC001474308913 1061876137 /nfs/dbraw/zinc/87/61/37/1061876137.db2.gz JNVDBTYVYPGYIK-NEPJUHHUSA-N 0 3 315.914 4.263 20 0 DIADHN CN1CCN(Cc2ccc(C3CCC3)cc2)[C@@H](c2ccccc2)C1 ZINC001474308010 1061876942 /nfs/dbraw/zinc/87/69/42/1061876942.db2.gz YMNIRRFZDCPXQL-JOCHJYFZSA-N 0 3 320.480 4.443 20 0 DIADHN COc1ncc(CN2CCC[C@H]2CCC2CCCCC2)s1 ZINC001474311085 1061882800 /nfs/dbraw/zinc/88/28/00/1061882800.db2.gz SBXZXMBLBJQVSE-HNNXBMFYSA-N 0 3 308.491 4.477 20 0 DIADHN O=c1cc(CN2Cc3ccccc3C[C@H]2c2ccccc2)cc[nH]1 ZINC001474323670 1061907046 /nfs/dbraw/zinc/90/70/46/1061907046.db2.gz RHSOIUZAUZZCBW-FQEVSTJZSA-N 0 3 316.404 4.087 20 0 DIADHN CCCCC[C@H](O)CNCc1cc(F)c(Cl)cc1Cl ZINC001253518057 1061908686 /nfs/dbraw/zinc/90/86/86/1061908686.db2.gz JHVKMPDXUDRYRX-NSHDSACASA-N 0 3 308.224 4.163 20 0 DIADHN OC[C@@H]1c2ccccc2CCN1Cc1cccc(CC2CCC2)c1 ZINC001474327127 1061912986 /nfs/dbraw/zinc/91/29/86/1061912986.db2.gz BNNFVOIQAUWDRP-JOCHJYFZSA-N 0 3 321.464 4.121 20 0 DIADHN CN(Cc1cnn(C)c1C1CC1)Cc1cccc2ccccc21 ZINC001474330459 1061921014 /nfs/dbraw/zinc/92/10/14/1061921014.db2.gz WQLIXGAJWORITB-UHFFFAOYSA-N 0 3 305.425 4.083 20 0 DIADHN CCCCC[C@@H](O)CNCc1c(Cl)cccc1C(F)(F)F ZINC001253553894 1061925366 /nfs/dbraw/zinc/92/53/66/1061925366.db2.gz MNAHMICWALZKJG-LLVKDONJSA-N 0 3 323.786 4.390 20 0 DIADHN CCCCC[C@@H](O)CNC(c1cccs1)c1cccs1 ZINC001253564483 1061930166 /nfs/dbraw/zinc/93/01/66/1061930166.db2.gz WHGXWWPDBUTSRH-CYBMUJFWSA-N 0 3 309.500 4.430 20 0 DIADHN CCn1cc(CN2CCCCC[C@@H]2c2ccc(C)o2)c(C)n1 ZINC001474338348 1061933267 /nfs/dbraw/zinc/93/32/67/1061933267.db2.gz PKJOJZGXSJPDNT-QGZVFWFLSA-N 0 3 301.434 4.230 20 0 DIADHN c1cc(CN2CCC[C@@H](c3ccccc3)CC2)n(CC2CC2)n1 ZINC001474343070 1061939095 /nfs/dbraw/zinc/93/90/95/1061939095.db2.gz JMLRKIRSLIQKHV-LJQANCHMSA-N 0 3 309.457 4.063 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@@H]1Cc1ccc(C)cc1 ZINC001474346650 1061950389 /nfs/dbraw/zinc/95/03/89/1061950389.db2.gz FDLPTCYSGHAQGL-OAHLLOKOSA-N 0 3 317.864 4.141 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CCC[C@H]1Cc1ccc(C)cc1 ZINC001474346648 1061952137 /nfs/dbraw/zinc/95/21/37/1061952137.db2.gz FDLPTCYSGHAQGL-HNNXBMFYSA-N 0 3 317.864 4.141 20 0 DIADHN C[C@]1(CCc2ccccc2)CCN(Cc2ccnn2CC2CC2)C1 ZINC001474349467 1061956337 /nfs/dbraw/zinc/95/63/37/1061956337.db2.gz TYGGCJFWBJMAHX-NRFANRHFSA-N 0 3 323.484 4.138 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC001474353246 1061963847 /nfs/dbraw/zinc/96/38/47/1061963847.db2.gz LZMWAAYUJYXEKF-WMLDXEAASA-N 0 3 315.461 4.165 20 0 DIADHN COC1(CN2CCc3c(F)cc(F)cc3[C@H]2C)CCCCC1 ZINC001474353808 1061969555 /nfs/dbraw/zinc/96/95/55/1061969555.db2.gz WHFYRRUTTUETSH-CYBMUJFWSA-N 0 3 309.400 4.233 20 0 DIADHN COc1nsc(CN2CCC[C@H]2C2CCCCC2)c1Cl ZINC001474357406 1061975868 /nfs/dbraw/zinc/97/58/68/1061975868.db2.gz IJYUKMCSAUOKTN-LBPRGKRZSA-N 0 3 314.882 4.350 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)[C@H]2CCCc3c2cnn3C)cc1C ZINC001474359604 1061982274 /nfs/dbraw/zinc/98/22/74/1061982274.db2.gz YGQICPHQVSXHQR-AQWUKCDYSA-N 0 3 323.484 4.368 20 0 DIADHN CC(C)N(CCc1ccccc1)Cc1nc2c(s1)CCCC2 ZINC001474362086 1061983193 /nfs/dbraw/zinc/98/31/93/1061983193.db2.gz GJHLOKXBEAZEKK-UHFFFAOYSA-N 0 3 314.498 4.475 20 0 DIADHN CN(C)Cc1ccc(-c2nnc(-c3cccc(Cl)c3)o2)cc1 ZINC001253801848 1061987792 /nfs/dbraw/zinc/98/77/92/1061987792.db2.gz XHDNXMHWHGJCBH-UHFFFAOYSA-N 0 3 313.788 4.119 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001474369101 1062002427 /nfs/dbraw/zinc/00/24/27/1062002427.db2.gz FHJUBPUCYIZYEN-WMLDXEAASA-N 0 3 317.864 4.205 20 0 DIADHN c1nc2cc(CN3CCCO[C@H](c4ccccc4)C3)ccc2s1 ZINC001474371356 1062008658 /nfs/dbraw/zinc/00/86/58/1062008658.db2.gz RGVSZZFFTDYOJZ-SFHVURJKSA-N 0 3 324.449 4.260 20 0 DIADHN C[C@@H]1CCN(Cc2cccc(F)c2OCC(F)(F)F)C[C@H]1C ZINC001474370422 1062009545 /nfs/dbraw/zinc/00/95/45/1062009545.db2.gz RSEVHYPGEWCUCS-VXGBXAGGSA-N 0 3 319.342 4.245 20 0 DIADHN C[C@H]1CN(C[C@H]2CCCC(C)(C)C2)CCN1C(=O)OC(C)(C)C ZINC001474380968 1062024132 /nfs/dbraw/zinc/02/41/32/1062024132.db2.gz JAMQMNWOOKZKKE-HOTGVXAUSA-N 0 3 324.509 4.144 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc3c(c1)CCC3)CCC2 ZINC001254105399 1062026351 /nfs/dbraw/zinc/02/63/51/1062026351.db2.gz WXUUARDFHIUCIV-INIZCTEOSA-N 0 3 312.482 4.361 20 0 DIADHN C[C@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@H](c2ccccc2)O1 ZINC001474382341 1062028630 /nfs/dbraw/zinc/02/86/30/1062028630.db2.gz IJWRKIJFGDSTNT-LRGNLBRXSA-N 0 3 307.437 4.252 20 0 DIADHN O=C(CNC1CCC(F)(F)CC1)c1ccc(C(F)(F)F)cc1 ZINC001254212413 1062051745 /nfs/dbraw/zinc/05/17/45/1062051745.db2.gz KIZOZIMLIYJMOK-UHFFFAOYSA-N 0 3 321.289 4.056 20 0 DIADHN FC1(F)CCC(N2CCN(c3cccc(Cl)c3)CC2)CC1 ZINC001254219387 1062053130 /nfs/dbraw/zinc/05/31/30/1062053130.db2.gz JXAXJZAOODONRR-UHFFFAOYSA-N 0 3 314.807 4.040 20 0 DIADHN CCOc1ccccc1N1CCN(C[C@H](C)c2ccccc2)CC1 ZINC001474400153 1062058389 /nfs/dbraw/zinc/05/83/89/1062058389.db2.gz GXYMRNZGHMEYEF-SFHVURJKSA-N 0 3 324.468 4.011 20 0 DIADHN CCOc1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)c(F)cc1OC ZINC001474404867 1062061738 /nfs/dbraw/zinc/06/17/38/1062061738.db2.gz OSPIFSPIQTUJOH-LSDHHAIUSA-N 0 3 321.436 4.245 20 0 DIADHN Cc1cc(CN2CC=C(c3ccc(F)cc3)CC2)cc(C)c1O ZINC001474406931 1062067026 /nfs/dbraw/zinc/06/70/26/1062067026.db2.gz QFHSBXLPKKLSFA-UHFFFAOYSA-N 0 3 311.400 4.437 20 0 DIADHN COc1cc(CN(CC2=CCCC2)C2CC2)ccc1OC(F)F ZINC001459307338 1062074514 /nfs/dbraw/zinc/07/45/14/1062074514.db2.gz CNZBZVRREYGIDG-UHFFFAOYSA-N 0 3 323.383 4.371 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(Cl)c(OC)c2)cc1 ZINC001474409803 1062074581 /nfs/dbraw/zinc/07/45/81/1062074581.db2.gz HLNFJFITBQINOJ-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CC/C=C\CCN(Cc1ccccc1OC)C[C@H]1CCCO1 ZINC001459306717 1062076823 /nfs/dbraw/zinc/07/68/23/1062076823.db2.gz LBRLEVYUDRIQQS-PYTPGESOSA-N 0 3 303.446 4.033 20 0 DIADHN CCOc1cc(CN(C2CC2)C2CCCC2)c(F)cc1OC ZINC001474415325 1062084526 /nfs/dbraw/zinc/08/45/26/1062084526.db2.gz XXRNEEGYJADATD-UHFFFAOYSA-N 0 3 307.409 4.140 20 0 DIADHN c1cc(-c2ccc(CN3CCCC[C@H]3c3ccccc3)o2)n[nH]1 ZINC001474416579 1062089538 /nfs/dbraw/zinc/08/95/38/1062089538.db2.gz HYRVVLKWDCIRGP-SFHVURJKSA-N 0 3 307.397 4.397 20 0 DIADHN CCCN(C[C@H](C)OC)[C@H](C)c1ccccc1Br ZINC001459330057 1062092056 /nfs/dbraw/zinc/09/20/56/1062092056.db2.gz FJDCDCUWRBAIGC-QWHCGFSZSA-N 0 3 314.267 4.257 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(O)(c2ccccc2)CC1 ZINC001474420462 1062092380 /nfs/dbraw/zinc/09/23/80/1062092380.db2.gz VFEXITPYBYLJCZ-UHFFFAOYSA-N 0 3 315.844 4.132 20 0 DIADHN CCN(Cc1ccnc(OC)c1)Cc1ccc2ccccc2c1 ZINC001459349790 1062103795 /nfs/dbraw/zinc/10/37/95/1062103795.db2.gz NOFPAZVZTZPBCQ-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN C=C/C=C/CCN(C)Cc1c(C)nn(-c2ccccc2C)c1C ZINC001459352593 1062107994 /nfs/dbraw/zinc/10/79/94/1062107994.db2.gz CRHAFZVMGPLANH-BQYQJAHWSA-N 0 3 309.457 4.362 20 0 DIADHN COc1ccc(F)c(F)c1CNC1CCc2ccccc2CC1 ZINC001254451192 1062109531 /nfs/dbraw/zinc/10/95/31/1062109531.db2.gz KMMHORUZXCBMLT-UHFFFAOYSA-N 0 3 317.379 4.011 20 0 DIADHN CCCN(CC[C@H](O)c1ccccc1)Cc1ccc(F)cc1F ZINC001459374855 1062116476 /nfs/dbraw/zinc/11/64/76/1062116476.db2.gz OJDWSVQXHMSRJV-IBGZPJMESA-N 0 3 319.395 4.301 20 0 DIADHN CN(Cc1cc(Br)cc(F)c1F)CC1CCCC1 ZINC001459368695 1062117253 /nfs/dbraw/zinc/11/72/53/1062117253.db2.gz ZHVNTHWPSCCCMO-UHFFFAOYSA-N 0 3 318.205 4.349 20 0 DIADHN CCCN(Cc1c(F)cccc1Cl)C[C@H]1C[C@@]12CCOC2 ZINC001459379901 1062121668 /nfs/dbraw/zinc/12/16/68/1062121668.db2.gz QXIOLLNWVQGJSQ-CXAGYDPISA-N 0 3 311.828 4.118 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1ncc(F)cc1F ZINC001254486826 1062130724 /nfs/dbraw/zinc/13/07/24/1062130724.db2.gz GLQFTXHRBRDVCW-INIZCTEOSA-N 0 3 304.384 4.251 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001459416788 1062135925 /nfs/dbraw/zinc/13/59/25/1062135925.db2.gz LFXZDZLVEROZMI-OAHLLOKOSA-N 0 3 319.399 4.161 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001467481822 1062138077 /nfs/dbraw/zinc/13/80/77/1062138077.db2.gz GLNBDVAZTPMXTL-WMLDXEAASA-N 0 3 324.896 4.131 20 0 DIADHN CC[C@H]1CCN(Cc2sc(N3CCCC3)nc2Cl)[C@H]1C ZINC001474459457 1062138428 /nfs/dbraw/zinc/13/84/28/1062138428.db2.gz CGUWBODGGAAYLN-RYUDHWBXSA-N 0 3 313.898 4.017 20 0 DIADHN Cc1nnsc1[C@H](C)N1CCC[C@H](c2ccccc2)CC1 ZINC001459423000 1062138692 /nfs/dbraw/zinc/13/86/92/1062138692.db2.gz CCSJKCDBNYZXBH-HOCLYGCPSA-N 0 3 301.459 4.177 20 0 DIADHN COC(=O)c1sccc1CN[C@H]1CCC[C@H](C(C)C)CC1 ZINC001474461791 1062139678 /nfs/dbraw/zinc/13/96/78/1062139678.db2.gz VIWFHWDYGLTCAP-ZFWWWQNUSA-N 0 3 309.475 4.229 20 0 DIADHN CCN(Cc1ccnn1C1CCC1)[C@H](C)Cc1ccsc1 ZINC001474464346 1062142569 /nfs/dbraw/zinc/14/25/69/1062142569.db2.gz NKZUULZRAQHTFO-CQSZACIVSA-N 0 3 303.475 4.123 20 0 DIADHN C[C@H](c1ccccc1Cl)N(CC[C@H]1COC(C)(C)O1)C1CC1 ZINC001459459007 1062152236 /nfs/dbraw/zinc/15/22/36/1062152236.db2.gz VRFOEOJDZWZXMT-HIFRSBDPSA-N 0 3 323.864 4.407 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CCC[C@@H](C(C)(C)C)C2)s1 ZINC001474475042 1062153555 /nfs/dbraw/zinc/15/35/55/1062153555.db2.gz WAIMXGQITXATPK-LLVKDONJSA-N 0 3 315.914 4.121 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CCC[C@H](C(C)(C)C)C2)s1 ZINC001474475043 1062153800 /nfs/dbraw/zinc/15/38/00/1062153800.db2.gz WAIMXGQITXATPK-NSHDSACASA-N 0 3 315.914 4.121 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2CC(C)C)ccc1O ZINC001459468008 1062155450 /nfs/dbraw/zinc/15/54/50/1062155450.db2.gz SOSIAQVHCDBOAU-UHFFFAOYSA-N 0 3 313.441 4.231 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H]2CCC[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC001474478365 1062156878 /nfs/dbraw/zinc/15/68/78/1062156878.db2.gz GPVGEUYWNNZSDC-NAKRPEOUSA-N 0 3 304.381 4.391 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2nc3ccccc3n2C)cc1 ZINC001459481701 1062161226 /nfs/dbraw/zinc/16/12/26/1062161226.db2.gz MZQQJLONQPCCMA-SFHVURJKSA-N 0 3 319.452 4.089 20 0 DIADHN Cc1ccc(CN2C[C@@H](c3ccccc3)C[C@@H]2C)c(Cl)n1 ZINC001459498935 1062168087 /nfs/dbraw/zinc/16/80/87/1062168087.db2.gz WULCKFCYTFNOCX-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@H]2CCC23CCC3)n1 ZINC001474492197 1062171194 /nfs/dbraw/zinc/17/11/94/1062171194.db2.gz PLTWFLWPIZUTEK-HNNXBMFYSA-N 0 3 302.418 4.372 20 0 DIADHN Fc1ccc(C[C@@H]2CCN(Cc3cc(F)ccc3F)C2)cc1 ZINC001459505123 1062173586 /nfs/dbraw/zinc/17/35/86/1062173586.db2.gz FGZKJTFZHBDOMX-AWEZNQCLSA-N 0 3 305.343 4.169 20 0 DIADHN CC(C)C[C@H]1CCCCCN1CC(=O)OCc1ccccc1 ZINC001459512840 1062176481 /nfs/dbraw/zinc/17/64/81/1062176481.db2.gz IFFJPGQLMIIISN-GOSISDBHSA-N 0 3 303.446 4.021 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CCC[C@@H]3CCC[C@@H]32)cc1 ZINC001459520159 1062179886 /nfs/dbraw/zinc/17/98/86/1062179886.db2.gz HIMZCVCGAKXRJK-YJBOKZPZSA-N 0 3 314.473 4.187 20 0 DIADHN CCCN(Cc1cc(F)ccc1F)[C@@H]1C[C@H](OCC)C1(C)C ZINC001459535969 1062192845 /nfs/dbraw/zinc/19/28/45/1062192845.db2.gz PVBPIECQZCBYDA-SJORKVTESA-N 0 3 311.416 4.380 20 0 DIADHN C[C@@H]1CC[C@H](c2ccccc2)N(Cc2ccc3oc(=O)oc3c2)C1 ZINC001474514724 1062196552 /nfs/dbraw/zinc/19/65/52/1062196552.db2.gz FUUVMEKZRZIHSE-RHSMWYFYSA-N 0 3 323.392 4.359 20 0 DIADHN CC/C=C\CCN1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC001459558212 1062210193 /nfs/dbraw/zinc/21/01/93/1062210193.db2.gz HPZVTJAVOQJZBR-YIEVGGLXSA-N 0 3 306.881 4.375 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2c(C)nsc2C)C12CCCCC2 ZINC001459572990 1062217488 /nfs/dbraw/zinc/21/74/88/1062217488.db2.gz CFPKBRQZGNUEBV-SJORKVTESA-N 0 3 322.518 4.320 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CCC[C@@H]1C(C)(C)C ZINC001459588995 1062230022 /nfs/dbraw/zinc/23/00/22/1062230022.db2.gz FBBRJXOIADDVCL-OAHLLOKOSA-N 0 3 305.853 4.237 20 0 DIADHN CN(C)Cc1ccc(-c2nnc([C@H]3C[C@H]3c3ccccc3)o2)cc1 ZINC001254683177 1062230112 /nfs/dbraw/zinc/23/01/12/1062230112.db2.gz OSMWZZPTJCOBQE-ROUUACIJSA-N 0 3 319.408 4.069 20 0 DIADHN CN1CCN([C@@H]2CC[C@@](C)(c3ccccc3)C2)c2ccccc2C1 ZINC001474543529 1062237384 /nfs/dbraw/zinc/23/73/84/1062237384.db2.gz XYYDHYSLUMCDCZ-IFMALSPDSA-N 0 3 320.480 4.449 20 0 DIADHN CCOc1ccccc1OCCN1C[C@H](C)[C@H]1c1ccccc1 ZINC001459611310 1062242537 /nfs/dbraw/zinc/24/25/37/1062242537.db2.gz CFSKCXSRKOWZMJ-JXFKEZNVSA-N 0 3 311.425 4.157 20 0 DIADHN CC1(C)CC[C@H](NCc2nc3ccc(Br)cc3o2)C1 ZINC001474547677 1062242699 /nfs/dbraw/zinc/24/26/99/1062242699.db2.gz HKIDQTSXROBPCG-NSHDSACASA-N 0 3 323.234 4.259 20 0 DIADHN Cc1ccc(N2CCN(CCSC(C)(C)C)[C@@H](C)C2)cc1C ZINC001459610929 1062243212 /nfs/dbraw/zinc/24/32/12/1062243212.db2.gz QWJNOSXAQWVSPC-KRWDZBQOSA-N 0 3 320.546 4.346 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN(CCC(F)(F)F)CC1CC1 ZINC001459613051 1062244514 /nfs/dbraw/zinc/24/45/14/1062244514.db2.gz JRBAJWPFMCSPSC-DZGCQCFKSA-N 0 3 307.400 4.246 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2ccc(C)cc2Cl)CC1 ZINC001459619974 1062253215 /nfs/dbraw/zinc/25/32/15/1062253215.db2.gz GXWGHDYXLIELHS-UHFFFAOYSA-N 0 3 322.880 4.488 20 0 DIADHN COC1CCC(CN2CCCn3c(cc4ccccc43)C2)CC1 ZINC001474553623 1062253393 /nfs/dbraw/zinc/25/33/93/1062253393.db2.gz RMCGFCZOYCAZHI-UHFFFAOYSA-N 0 3 312.457 4.052 20 0 DIADHN CCOc1cccc(F)c1CN(C)C1CCC(F)(F)CC1 ZINC001474554008 1062253702 /nfs/dbraw/zinc/25/37/02/1062253702.db2.gz AYWNKXPWOJXFKX-UHFFFAOYSA-N 0 3 301.352 4.234 20 0 DIADHN CC(C)N(Cc1c2c(nn1C)CCCC2)[C@H](C)c1ccccc1 ZINC001474557983 1062259688 /nfs/dbraw/zinc/25/96/88/1062259688.db2.gz MMRCHWYHUJAMIB-MRXNPFEDSA-N 0 3 311.473 4.271 20 0 DIADHN CC[C@H](NC(=O)CN(C)[C@@H](C)C1(C)CC1)c1ccc(Cl)cc1 ZINC001459626118 1062261884 /nfs/dbraw/zinc/26/18/84/1062261884.db2.gz GAVFZENLTAHENE-BBRMVZONSA-N 0 3 322.880 4.028 20 0 DIADHN COc1ccc(C[C@H]2CCN2CCOC2CCCCCC2)cc1 ZINC001459656095 1062279330 /nfs/dbraw/zinc/27/93/30/1062279330.db2.gz PNSCOGYIVABBLJ-GOSISDBHSA-N 0 3 317.473 4.051 20 0 DIADHN CCCCCC[C@H](C)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001468226190 1062279879 /nfs/dbraw/zinc/27/98/79/1062279879.db2.gz ZWLXZIYFVCPBAD-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN COc1cc(C)nc(CN(C2CC2)[C@H]2CCCc3ccccc32)c1 ZINC001459678833 1062300244 /nfs/dbraw/zinc/30/02/44/1062300244.db2.gz ZQAHQCVMSGZHOH-NRFANRHFSA-N 0 3 322.452 4.441 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)C2Cc3ccccc3C2)on1 ZINC001255027017 1062300610 /nfs/dbraw/zinc/30/06/10/1062300610.db2.gz GQAQZLXSLVVFJK-UHFFFAOYSA-N 0 3 318.420 4.153 20 0 DIADHN C[C@@]1(Cc2cccc(F)c2)CCC[N@@H+]1Cc1ccc([O-])c(F)c1 ZINC001474594602 1062307545 /nfs/dbraw/zinc/30/75/45/1062307545.db2.gz YAYXJFPFJROYBP-IBGZPJMESA-N 0 3 317.379 4.268 20 0 DIADHN C[C@@]1(Cc2cccc(F)c2)CCC[N@H+]1Cc1ccc([O-])c(F)c1 ZINC001474594602 1062307563 /nfs/dbraw/zinc/30/75/63/1062307563.db2.gz YAYXJFPFJROYBP-IBGZPJMESA-N 0 3 317.379 4.268 20 0 DIADHN CN(CCCC1CCCCC1)[C@@H]1CCN(c2ccccc2)C1=O ZINC001459706714 1062322114 /nfs/dbraw/zinc/32/21/14/1062322114.db2.gz KYCWBLLKQCJDRY-LJQANCHMSA-N 0 3 314.473 4.084 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001459707719 1062324797 /nfs/dbraw/zinc/32/47/97/1062324797.db2.gz FEIFXRMUGFDUKM-TZMCWYRMSA-N 0 3 311.372 4.409 20 0 DIADHN Cc1coc(CN2C[C@H](C)N(Cc3ccccc3)[C@@H](C)[C@H]2C)c1 ZINC001474610253 1062326085 /nfs/dbraw/zinc/32/60/85/1062326085.db2.gz VKVFFWPGSQUKTF-KSZLIROESA-N 0 3 312.457 4.071 20 0 DIADHN CC[C@H]1CCC[C@@H](CN(CC(=O)OC(C)(C)C)CC2CC2)C1 ZINC001474613252 1062330609 /nfs/dbraw/zinc/33/06/09/1062330609.db2.gz IDKXRIIFOAUBHI-DOTOQJQBSA-N 0 3 309.494 4.257 20 0 DIADHN CC(C)(C)c1cccc(CN2CCc3ccccc3[C@H]2CO)c1 ZINC001459721691 1062332048 /nfs/dbraw/zinc/33/20/48/1062332048.db2.gz VVLZUWRNMQCSEX-HXUWFJFHSA-N 0 3 309.453 4.076 20 0 DIADHN CCCn1nccc1CN1CCCC[C@H]1Cc1ccccc1F ZINC001474615949 1062333130 /nfs/dbraw/zinc/33/31/30/1062333130.db2.gz GDIIANISNQQEHU-KRWDZBQOSA-N 0 3 315.436 4.029 20 0 DIADHN c1nn(CC2CCC2)cc1CN1CCC(C2CCCCC2)CC1 ZINC001474616091 1062335366 /nfs/dbraw/zinc/33/53/66/1062335366.db2.gz MEMQMJBIUKNCOI-UHFFFAOYSA-N 0 3 315.505 4.476 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCCC[C@H]1CC(C)C ZINC001459722598 1062336056 /nfs/dbraw/zinc/33/60/56/1062336056.db2.gz QWGBZGGBBLVBDZ-INIZCTEOSA-N 0 3 322.880 4.488 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2cccc(OC3CC3)c2F)CS1 ZINC001474619139 1062340037 /nfs/dbraw/zinc/34/00/37/1062340037.db2.gz OEMLHRJDXGJYNR-XJKSGUPXSA-N 0 3 323.477 4.377 20 0 DIADHN COc1cncc(CN2CCC(C3CCCCC3)CC2)c1C ZINC001474617745 1062340086 /nfs/dbraw/zinc/34/00/86/1062340086.db2.gz ZEDUHFAUNOYIGX-UHFFFAOYSA-N 0 3 302.462 4.191 20 0 DIADHN CCc1ccc([C@H](NCC(=O)NCCC(C)(C)C)C(C)C)cc1 ZINC001459730961 1062343499 /nfs/dbraw/zinc/34/34/99/1062343499.db2.gz OBXOULXMPHSSLB-LJQANCHMSA-N 0 3 318.505 4.088 20 0 DIADHN CCCN(Cc1ccccc1CC)[C@H](C)C(=O)Nc1ccccc1 ZINC001459747189 1062359457 /nfs/dbraw/zinc/35/94/57/1062359457.db2.gz HLSTWZCCMPTWSA-QGZVFWFLSA-N 0 3 324.468 4.488 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H](CO)c2cccc(Cl)c2Cl)C[C@@H]1C ZINC001474636328 1062360199 /nfs/dbraw/zinc/36/01/99/1062360199.db2.gz MGHNQDLTOHWMQQ-YXMPFFBPSA-N 0 3 316.272 4.441 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(Cl)nc2)C1(CC)CC ZINC001459756379 1062365577 /nfs/dbraw/zinc/36/55/77/1062365577.db2.gz VYLWYTYFWGQTFL-CABCVRRESA-N 0 3 310.869 4.151 20 0 DIADHN FC(F)(F)c1cnc(CNCC(C2CCC2)C2CCC2)s1 ZINC001474641656 1062367399 /nfs/dbraw/zinc/36/73/99/1062367399.db2.gz DZHMXYJBJQOCLX-UHFFFAOYSA-N 0 3 318.408 4.468 20 0 DIADHN C[C@@H]1CN(C[C@H]2CC(C)(C)OC2(C)C)C[C@@H](c2ccsc2)O1 ZINC001459757442 1062368883 /nfs/dbraw/zinc/36/88/83/1062368883.db2.gz UFZVNYQQNUEGEA-BMFZPTHFSA-N 0 3 323.502 4.104 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)C[C@@H](C)c1ccc(C)cc1 ZINC001474646164 1062372511 /nfs/dbraw/zinc/37/25/11/1062372511.db2.gz BZHPZAZVLLYAAX-MRXNPFEDSA-N 0 3 312.457 4.251 20 0 DIADHN CCCOc1ccc(CN2CC[C@@H](OCCC(C)C)C2)cc1C ZINC001474648956 1062376360 /nfs/dbraw/zinc/37/63/60/1062376360.db2.gz VNRSLZFAUGLUCD-LJQANCHMSA-N 0 3 319.489 4.421 20 0 DIADHN Cc1cccc([C@H](C)N2CCO[C@]3(CCc4ccccc43)C2)c1 ZINC001459769049 1062379968 /nfs/dbraw/zinc/37/99/68/1062379968.db2.gz HTPHCBOPNOPDTR-LAUBAEHRSA-N 0 3 307.437 4.230 20 0 DIADHN Cc1cccc([C@H](C)N2CCO[C@@]3(CCc4ccccc43)C2)c1 ZINC001459769055 1062381269 /nfs/dbraw/zinc/38/12/69/1062381269.db2.gz HTPHCBOPNOPDTR-UWJYYQICSA-N 0 3 307.437 4.230 20 0 DIADHN O[C@@H]1CCN(C/C=C/c2ccc(F)cc2)[C@H](c2ccccc2)C1 ZINC001474656278 1062386228 /nfs/dbraw/zinc/38/62/28/1062386228.db2.gz FKJYHYZWVNEKTO-UHDDOPSISA-N 0 3 311.400 4.037 20 0 DIADHN Brc1ccccc1CC1CN(C[C@H]2CC=CCC2)C1 ZINC001474655537 1062386464 /nfs/dbraw/zinc/38/64/64/1062386464.db2.gz PXJWYCNMAZHNPV-AWEZNQCLSA-N 0 3 320.274 4.280 20 0 DIADHN CC1(C)CCC(N2Cc3cnc(-c4ccccc4)nc3C2)CC1 ZINC001255308688 1062388093 /nfs/dbraw/zinc/38/80/93/1062388093.db2.gz ZSHOYWSNSDQOPA-UHFFFAOYSA-N 0 3 307.441 4.428 20 0 DIADHN CC1(C)CCC(N(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001255306643 1062390347 /nfs/dbraw/zinc/39/03/47/1062390347.db2.gz NMZYLYNKTJEKQG-UHFFFAOYSA-N 0 3 309.457 4.448 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](CCCc3ccccc3)C2)nc1 ZINC001459783180 1062395430 /nfs/dbraw/zinc/39/54/30/1062395430.db2.gz BXPSGDKPFSDKRI-GOSISDBHSA-N 0 3 312.432 4.456 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCc2cc(F)ccc2C1 ZINC001459784720 1062396794 /nfs/dbraw/zinc/39/67/94/1062396794.db2.gz GNVBTVDCYNZRIH-UHFFFAOYSA-N 0 3 313.416 4.270 20 0 DIADHN COc1ccc([C@H]2CN(C[C@@H]3CCCC(C)(C)C3)CCO2)cc1 ZINC001474669375 1062398872 /nfs/dbraw/zinc/39/88/72/1062398872.db2.gz AWWCNRLVFUUUPE-VQIMIIECSA-N 0 3 317.473 4.285 20 0 DIADHN CC(C)O[C@@H](CN1CCc2cc(F)ccc2C1)c1ccccc1 ZINC001459787013 1062400273 /nfs/dbraw/zinc/40/02/73/1062400273.db2.gz STXMQDUBZWGYDV-FQEVSTJZSA-N 0 3 313.416 4.350 20 0 DIADHN CCOC(=O)[C@@H]1CCC[C@H](NC2(c3ccccc3Cl)CC2)C1 ZINC001255336618 1062409384 /nfs/dbraw/zinc/40/93/84/1062409384.db2.gz DEWGZCDSQRIPNK-KGLIPLIRSA-N 0 3 321.848 4.041 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(-c3ccccn3)nc2)c1 ZINC001459807384 1062414095 /nfs/dbraw/zinc/41/40/95/1062414095.db2.gz IHCMOWLUESLYPM-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC001459825814 1062428143 /nfs/dbraw/zinc/42/81/43/1062428143.db2.gz HIHKTBNSJOAJRO-LPHOPBHVSA-N 0 3 310.526 4.220 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001459825818 1062428270 /nfs/dbraw/zinc/42/82/70/1062428270.db2.gz HIHKTBNSJOAJRO-VQIMIIECSA-N 0 3 310.526 4.220 20 0 DIADHN c1ccc(SC2CC(NCc3nccc4c3CCCC4)C2)cc1 ZINC001474706771 1062431552 /nfs/dbraw/zinc/43/15/52/1062431552.db2.gz RTGZUXKVWFZHNM-UHFFFAOYSA-N 0 3 324.493 4.373 20 0 DIADHN CSc1ccc(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)s1 ZINC001474704911 1062431670 /nfs/dbraw/zinc/43/16/70/1062431670.db2.gz IEYSTTWMEOVZBL-PBHICJAKSA-N 0 3 301.480 4.244 20 0 DIADHN CC[C@H](CC1CCCCC1)NCc1nc(CN(C)C)cs1 ZINC001474711589 1062436331 /nfs/dbraw/zinc/43/63/31/1062436331.db2.gz VDRZJIMJKIXNOX-OAHLLOKOSA-N 0 3 309.523 4.043 20 0 DIADHN COC(=O)c1csc(CN[C@@H](c2ccccc2C)C2CC2)c1 ZINC001459836567 1062436406 /nfs/dbraw/zinc/43/64/06/1062436406.db2.gz OHHSVPPOWBFREV-QGZVFWFLSA-N 0 3 315.438 4.084 20 0 DIADHN COc1ccc([C@H](CCO)NCc2ccccc2C(C)C)cc1 ZINC001459838353 1062437931 /nfs/dbraw/zinc/43/79/31/1062437931.db2.gz GPWYSDCHLKEPBK-FQEVSTJZSA-N 0 3 313.441 4.032 20 0 DIADHN CCOC(=O)/C=C/CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC001459845566 1062443622 /nfs/dbraw/zinc/44/36/22/1062443622.db2.gz REIQVLZOCJHXFG-JFDDCEBESA-N 0 3 309.837 4.136 20 0 DIADHN CC[C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1cccc(Cl)c1 ZINC001459845910 1062444439 /nfs/dbraw/zinc/44/44/39/1062444439.db2.gz CZVCSJGLNQIQDT-WMLDXEAASA-N 0 3 324.896 4.274 20 0 DIADHN CC[C@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1cccc(Cl)c1 ZINC001459845905 1062444773 /nfs/dbraw/zinc/44/47/73/1062444773.db2.gz CZVCSJGLNQIQDT-PBHICJAKSA-N 0 3 324.896 4.274 20 0 DIADHN Cc1ccccc1[C@H](NCC(=O)N(C)[C@H](C)CC(C)C)C(C)C ZINC001459848928 1062446504 /nfs/dbraw/zinc/44/65/04/1062446504.db2.gz KBVHNSVJLHJMDT-YLJYHZDGSA-N 0 3 318.505 4.175 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)N(C)[C@@H](C)CC(C)C)C(C)C ZINC001459848920 1062446983 /nfs/dbraw/zinc/44/69/83/1062446983.db2.gz KBVHNSVJLHJMDT-PXNSSMCTSA-N 0 3 318.505 4.175 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)Nc2ccc(C)c(C)c2)c1 ZINC001459851639 1062449573 /nfs/dbraw/zinc/44/95/73/1062449573.db2.gz RGOQZOLCRNKKTD-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1ccc(F)cc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC001459853700 1062452290 /nfs/dbraw/zinc/45/22/90/1062452290.db2.gz VPCQHXPIBQXFRU-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN COC(=O)c1csc(CN[C@H](C)c2cccc(C)c2C)c1 ZINC001459861240 1062454335 /nfs/dbraw/zinc/45/43/35/1062454335.db2.gz RGRDUCDWNIBLSB-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN COCc1c(C)cc(C)c(CN2CC(Cc3ccoc3)C2)c1C ZINC001474741859 1062456951 /nfs/dbraw/zinc/45/69/51/1062456951.db2.gz YAWSOMYHEXQZIZ-UHFFFAOYSA-N 0 3 313.441 4.026 20 0 DIADHN CCC[C@H](NCC(=O)N(C)[C@H]1CCCC[C@H]1C)c1ccccc1 ZINC001459863207 1062457005 /nfs/dbraw/zinc/45/70/05/1062457005.db2.gz HVKGEZDLLQVMHM-NEWSRXKRSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)(C)C1CCC(n2nc(-c3ccncc3)cc2CN)CC1 ZINC001255500133 1062461557 /nfs/dbraw/zinc/46/15/57/1062461557.db2.gz ABTFRRHNNUKZJL-UHFFFAOYSA-N 0 3 312.461 4.181 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1sccc1Cl)CC2 ZINC001459885002 1062472813 /nfs/dbraw/zinc/47/28/13/1062472813.db2.gz XXVXFBUREQVYNR-UHFFFAOYSA-N 0 3 307.846 4.011 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cc(C)ccc2C)c(C)c1 ZINC001459890361 1062476202 /nfs/dbraw/zinc/47/62/02/1062476202.db2.gz SVUIZHIOUAKWGG-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN COC[C@@H](C)N1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC001255578095 1062484948 /nfs/dbraw/zinc/48/49/48/1062484948.db2.gz MTTZZTRJXDRQEV-IUODEOHRSA-N 0 3 301.352 4.267 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(C)s1)C(=O)Nc1ccc(C)cc1 ZINC001459905082 1062487027 /nfs/dbraw/zinc/48/70/27/1062487027.db2.gz IQNGZBSBBNSXML-GDBMZVCRSA-N 0 3 316.470 4.433 20 0 DIADHN CCC1(CC)CN(Cc2cnc(-c3ccccc3)o2)CCS1 ZINC001459904178 1062487200 /nfs/dbraw/zinc/48/72/00/1062487200.db2.gz YVGRRTQRLJJYNR-UHFFFAOYSA-N 0 3 316.470 4.449 20 0 DIADHN Cc1ccc([C@H](CC(F)(F)F)NCCOCC2CCC2)cc1 ZINC001459909828 1062489991 /nfs/dbraw/zinc/48/99/91/1062489991.db2.gz YZAQSZKIAGNZNH-INIZCTEOSA-N 0 3 315.379 4.395 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(Oc2ccccn2)cc1 ZINC001255640335 1062494458 /nfs/dbraw/zinc/49/44/58/1062494458.db2.gz BLHBUOAVZJHZOU-GFCCVEGCSA-N 0 3 310.319 4.304 20 0 DIADHN CCCC[C@H](CCC)NC(=O)N1CCN(C2CCCCC2)CC1 ZINC001469182860 1062496296 /nfs/dbraw/zinc/49/62/96/1062496296.db2.gz NAIMPXUVFNPKHS-KRWDZBQOSA-N 0 3 323.525 4.005 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC(OCc2ccccc2)CC1 ZINC001255658492 1062502705 /nfs/dbraw/zinc/50/27/05/1062502705.db2.gz QOFUWCYRYYDOQK-CYBMUJFWSA-N 0 3 301.352 4.009 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC2(CC1)O[C@@H](C)c1ccccc12 ZINC001255662371 1062504799 /nfs/dbraw/zinc/50/47/99/1062504799.db2.gz JTOHXFGPPCTKCS-STQMWFEESA-N 0 3 313.363 4.410 20 0 DIADHN CCC[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCC=C(C)C ZINC001459934956 1062507611 /nfs/dbraw/zinc/50/76/11/1062507611.db2.gz QOWFFFCWCQAYKR-INIZCTEOSA-N 0 3 310.482 4.064 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255686202 1062515879 /nfs/dbraw/zinc/51/58/79/1062515879.db2.gz DSUYAWUMPGCITP-TUAOUCFPSA-N 0 3 311.351 4.350 20 0 DIADHN Cc1cc(C)c2c(c1)CN(Cc1ccc3ncccc3c1)CC2 ZINC001459958437 1062525670 /nfs/dbraw/zinc/52/56/70/1062525670.db2.gz BZITZNCVNISCPM-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN CO[C@H]1CN(Cc2cccc(SC(F)(F)F)c2)CC[C@H]1C ZINC001459959514 1062527686 /nfs/dbraw/zinc/52/76/86/1062527686.db2.gz DEXBMRVDKBJKLE-RISCZKNCSA-N 0 3 319.392 4.155 20 0 DIADHN Fc1ccc2c(c1)CN([C@H]1CCC[C@H](C(F)(F)F)C1)CC2 ZINC001255717923 1062536398 /nfs/dbraw/zinc/53/63/98/1062536398.db2.gz PVFOLHUUPFXBNP-ZFWWWQNUSA-N 0 3 301.327 4.305 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cc(Cl)ccn1 ZINC001255722742 1062543551 /nfs/dbraw/zinc/54/35/51/1062543551.db2.gz BSOAPXCLDPIDTQ-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1ncccc1Br ZINC001459982940 1062543988 /nfs/dbraw/zinc/54/39/88/1062543988.db2.gz KRNGTQUONLDFIF-GXTWGEPZSA-N 0 3 311.267 4.293 20 0 DIADHN CC[C@H]1CCN(Cc2cc(Br)ccc2OC)[C@@H]1C ZINC001459981618 1062544448 /nfs/dbraw/zinc/54/44/48/1062544448.db2.gz ATELBWBERPKLSJ-NEPJUHHUSA-N 0 3 312.251 4.078 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)CSc2ccc(F)cc2)n1 ZINC001255728033 1062549460 /nfs/dbraw/zinc/54/94/60/1062549460.db2.gz LJTMOUXCQNUZAB-ZIAGYGMSSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)Nc1cccc(F)c1CN ZINC001255729696 1062553324 /nfs/dbraw/zinc/55/33/24/1062553324.db2.gz JIBXFFBOMIETME-LLVKDONJSA-N 0 3 308.397 4.016 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NC(C)(C)c1ccccn1 ZINC001255735500 1062557959 /nfs/dbraw/zinc/55/79/59/1062557959.db2.gz HANQASNYMRRWHJ-CYBMUJFWSA-N 0 3 304.434 4.226 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CCC(F)(F)[C@@H](C)C1 ZINC001255739439 1062563947 /nfs/dbraw/zinc/56/39/47/1062563947.db2.gz XKMLLLKMRDRASO-NWDGAFQWSA-N 0 3 303.393 4.283 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CCc2cc(F)cc(C)c2C1 ZINC001460012998 1062568648 /nfs/dbraw/zinc/56/86/48/1062568648.db2.gz UYDYHWRSGOYXGE-FQEVSTJZSA-N 0 3 313.416 4.270 20 0 DIADHN CC1(C)CN(CCc2cccc(F)c2)C[C@]2(C[C@@H]3CC[C@H]2C3)O1 ZINC001460015397 1062570163 /nfs/dbraw/zinc/57/01/63/1062570163.db2.gz JHZWOFBZVUDJSM-UWVAXJGDSA-N 0 3 317.448 4.038 20 0 DIADHN CSc1ccc(NC(=O)CN2CCC[C@@H](C(C)(C)C)C2)cc1 ZINC001460023843 1062577627 /nfs/dbraw/zinc/57/76/27/1062577627.db2.gz RCNCTBAEFYCPIQ-CQSZACIVSA-N 0 3 320.502 4.105 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@H]2COc3ccc(F)cc32)cc1 ZINC001255759403 1062583866 /nfs/dbraw/zinc/58/38/66/1062583866.db2.gz TYFVIICOHOSPND-DYVFJYSZSA-N 0 3 317.429 4.338 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CCc3c(O)cccc3C2)cc1 ZINC001255761943 1062586450 /nfs/dbraw/zinc/58/64/50/1062586450.db2.gz RVGXESBJNYSTSM-OAHLLOKOSA-N 0 3 313.466 4.240 20 0 DIADHN Cc1cc(NC(=O)[C@H]2CCCCN2C2CCCC2)ccc1Cl ZINC001469616026 1062588450 /nfs/dbraw/zinc/58/84/50/1062588450.db2.gz XKUPMCZOOJIJJI-QGZVFWFLSA-N 0 3 320.864 4.384 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CCC[C@H]2c2cnccn2)cc1 ZINC001255767789 1062588860 /nfs/dbraw/zinc/58/88/60/1062588860.db2.gz QRHXFKBKILODIK-QAPCUYQASA-N 0 3 313.470 4.103 20 0 DIADHN COCCN(Cc1nc2cc(C)ccc2s1)[C@@H]1CC[C@H](C)C1 ZINC001460041068 1062591837 /nfs/dbraw/zinc/59/18/37/1062591837.db2.gz SJKYPLSWUGIUIL-DZGCQCFKSA-N 0 3 318.486 4.242 20 0 DIADHN CSc1ccccc1CN(C)C[C@@H]1CCC2(CCC2)CO1 ZINC001460045976 1062597039 /nfs/dbraw/zinc/59/70/39/1062597039.db2.gz JODTVRSLOKSGRS-INIZCTEOSA-N 0 3 305.487 4.190 20 0 DIADHN COc1cc(F)c(CN[C@@H](C)Cc2ccc(C)cc2)c(F)c1 ZINC001255776047 1062599636 /nfs/dbraw/zinc/59/96/36/1062599636.db2.gz DMQVOCWLSVDJJS-ZDUSSCGKSA-N 0 3 305.368 4.003 20 0 DIADHN COc1ccc(F)c(CN[C@H](C)Cc2ccc(C)cc2)c1F ZINC001255778007 1062600021 /nfs/dbraw/zinc/60/00/21/1062600021.db2.gz PNYHTZCKJSVVGX-CYBMUJFWSA-N 0 3 305.368 4.003 20 0 DIADHN CCOc1cccc(Cl)c1CN1CCC[C@@](C)(OC)CC1 ZINC001460052713 1062602698 /nfs/dbraw/zinc/60/26/98/1062602698.db2.gz NVUWUJGXJUSOJY-QGZVFWFLSA-N 0 3 311.853 4.130 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2C[C@@H](C)C[C@H]2c2ccccc2)c1 ZINC001460065838 1062613140 /nfs/dbraw/zinc/61/31/40/1062613140.db2.gz ACPQRBHDCSBRLP-PXNSSMCTSA-N 0 3 322.452 4.325 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2cc(C(F)(F)F)co2)C1(C)C ZINC001460076490 1062616988 /nfs/dbraw/zinc/61/69/88/1062616988.db2.gz NUWLUFALZWFPEW-ZIAGYGMSSA-N 0 3 319.367 4.372 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2c(F)ccc(C)c2F)C12CCCCC2 ZINC001460072800 1062617290 /nfs/dbraw/zinc/61/72/90/1062617290.db2.gz ZQYIMAJTGSAYSB-DLBZAZTESA-N 0 3 323.427 4.491 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001255840571 1062627765 /nfs/dbraw/zinc/62/77/65/1062627765.db2.gz FZVRQTLSQCBRDL-BZNIZROVSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@H](c1ncccc1F)C1CC1 ZINC001255839804 1062628008 /nfs/dbraw/zinc/62/80/08/1062628008.db2.gz XNFWZWOLNMIERL-PXAZEXFGSA-N 0 3 302.368 4.032 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H](c1ncccc1F)C1CC1 ZINC001255839812 1062628299 /nfs/dbraw/zinc/62/82/99/1062628299.db2.gz XNFWZWOLNMIERL-YVEFUNNKSA-N 0 3 302.368 4.032 20 0 DIADHN C[C@H](Cc1ccccc1F)N1CC[C@@H]1COCc1ccccc1 ZINC001255851393 1062632482 /nfs/dbraw/zinc/63/24/82/1062632482.db2.gz NOKDJKXBCMNVJI-VQIMIIECSA-N 0 3 313.416 4.048 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NC1(c2ccc(F)cc2F)CC1 ZINC001255863894 1062641649 /nfs/dbraw/zinc/64/16/49/1062641649.db2.gz SENXSNBWGUIJJM-GFCCVEGCSA-N 0 3 305.343 4.314 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCCCCCC2)cc1Cl ZINC001460111131 1062643491 /nfs/dbraw/zinc/64/34/91/1062643491.db2.gz QOVUABNZDRNSRA-UHFFFAOYSA-N 0 3 308.853 4.243 20 0 DIADHN Clc1ccc(CN2CCSC[C@@H]2C2CC2)c2ncccc12 ZINC001460133926 1062654066 /nfs/dbraw/zinc/65/40/66/1062654066.db2.gz FMFXDNIDFVSPHE-MRXNPFEDSA-N 0 3 318.873 4.216 20 0 DIADHN C[C@H]1CN(CCSCc2ccccc2)Cc2ccccc2O1 ZINC001460171596 1062678938 /nfs/dbraw/zinc/67/89/38/1062678938.db2.gz KGOHKGKDPHGMNG-INIZCTEOSA-N 0 3 313.466 4.203 20 0 DIADHN CCc1ccccc1CN1CCN(c2cccc(F)c2)C[C@@H]1C ZINC001460181991 1062685143 /nfs/dbraw/zinc/68/51/43/1062685143.db2.gz XUVKARWCYIPTFR-INIZCTEOSA-N 0 3 312.432 4.099 20 0 DIADHN CCC(CC)N(CC)Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001460182780 1062685654 /nfs/dbraw/zinc/68/56/54/1062685654.db2.gz XIQAPSGYRWEHMD-UHFFFAOYSA-N 0 3 311.473 4.372 20 0 DIADHN CCc1ccccc1CN1CCN(c2cccc(F)c2)C[C@H]1C ZINC001460181993 1062685727 /nfs/dbraw/zinc/68/57/27/1062685727.db2.gz XUVKARWCYIPTFR-MRXNPFEDSA-N 0 3 312.432 4.099 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2cc(Br)cs2)C1 ZINC000723823570 1062688745 /nfs/dbraw/zinc/68/87/45/1062688745.db2.gz WOWBJLFCCBVVOD-GHMZBOCLSA-N 0 3 302.281 4.379 20 0 DIADHN CCCC[C@H](C)N(C)CC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC001460199957 1062696932 /nfs/dbraw/zinc/69/69/32/1062696932.db2.gz ZRRQDXIWDHHVHY-PKOBYXMFSA-N 0 3 318.505 4.053 20 0 DIADHN O[C@@H](CN1CCC(C2CC2)CC1)c1ccc(Cl)c(Cl)c1 ZINC001460205783 1062699294 /nfs/dbraw/zinc/69/92/94/1062699294.db2.gz RLFYGBVZWXWYFL-INIZCTEOSA-N 0 3 314.256 4.149 20 0 DIADHN CN(Cc1ccccc1N(C)C)C[C@H]1CC[C@@H](c2ccccc2)O1 ZINC001460203174 1062699533 /nfs/dbraw/zinc/69/95/33/1062699533.db2.gz JRRYQYAVWCCWEB-CTNGQTDRSA-N 0 3 324.468 4.105 20 0 DIADHN C[C@H](NCc1ncc(Br)cc1Cl)C1CCCC1 ZINC001460223760 1062707128 /nfs/dbraw/zinc/70/71/28/1062707128.db2.gz DSUQRKFRUKHATG-VIFPVBQESA-N 0 3 317.658 4.166 20 0 DIADHN O=C(CN[C@H]1CCC[C@H](c2ccccc2)C1)c1ccc(F)cc1 ZINC001256107758 1062707364 /nfs/dbraw/zinc/70/73/64/1062707364.db2.gz UMNYLWGBLMQADS-HKUYNNGSSA-N 0 3 311.400 4.324 20 0 DIADHN Fc1cnc(CN[C@@H]2CCC[C@H](c3ccccc3)C2)c(F)c1 ZINC001256108803 1062709353 /nfs/dbraw/zinc/70/93/53/1062709353.db2.gz OUJDDJLBGOSFOO-GOEBONIOSA-N 0 3 302.368 4.176 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1CCC(=O)OC(C)(C)C ZINC001460240751 1062720457 /nfs/dbraw/zinc/72/04/57/1062720457.db2.gz UTJCXUGFTNRWID-INIZCTEOSA-N 0 3 307.409 4.003 20 0 DIADHN Cc1cc(F)ccc1[C@@H]1CCCN1CC1(Br)CC1 ZINC001460241543 1062722974 /nfs/dbraw/zinc/72/29/74/1062722974.db2.gz XYMIXAGFJWPZNR-AWEZNQCLSA-N 0 3 312.226 4.199 20 0 DIADHN COc1cccc(C[C@H](C)NCc2cc(-c3ccccc3)on2)c1 ZINC001256149143 1062728957 /nfs/dbraw/zinc/72/89/57/1062728957.db2.gz LVUGRIYRXSGXKI-HNNXBMFYSA-N 0 3 322.408 4.071 20 0 DIADHN COc1cccc(C[C@@H](C)NC2(c3ccc(F)cc3F)CC2)c1 ZINC001256154189 1062732235 /nfs/dbraw/zinc/73/22/35/1062732235.db2.gz TZCZDDRQOOMITM-CYBMUJFWSA-N 0 3 317.379 4.183 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@@H](CC(C)(C)C)C1 ZINC001460257610 1062736053 /nfs/dbraw/zinc/73/60/53/1062736053.db2.gz VLDMOQBVQVLFHO-IRXDYDNUSA-N 0 3 316.489 4.389 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CC[C@H](CC(C)(C)C)C1 ZINC001460257608 1062736331 /nfs/dbraw/zinc/73/63/31/1062736331.db2.gz VLDMOQBVQVLFHO-IAGOWNOFSA-N 0 3 316.489 4.389 20 0 DIADHN COc1cccc(C[C@@H](C)N2CCc3cc(Cl)ccc3C2)c1 ZINC001256168406 1062738850 /nfs/dbraw/zinc/73/88/50/1062738850.db2.gz SGCNXHHHTLBEEJ-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN COc1cccc(C[C@@H](C)N(C)Cc2ccc(F)cc2F)c1 ZINC001256169921 1062739111 /nfs/dbraw/zinc/73/91/11/1062739111.db2.gz OUGKWKGIZMKABI-CYBMUJFWSA-N 0 3 305.368 4.036 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001460262841 1062739417 /nfs/dbraw/zinc/73/94/17/1062739417.db2.gz FZCNVXRVDLUKAG-HZSPNIEDSA-N 0 3 303.837 4.002 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC[C@H](CF)C1 ZINC001256185896 1062743255 /nfs/dbraw/zinc/74/32/55/1062743255.db2.gz CSGRHGGUOZVPOM-GXTWGEPZSA-N 0 3 314.242 4.062 20 0 DIADHN COc1ccc(CN2CCC[C@@H](CC(F)(F)F)C2)cc1C ZINC001460267068 1062743292 /nfs/dbraw/zinc/74/32/92/1062743292.db2.gz OWMWNFNQFASHLA-AWEZNQCLSA-N 0 3 301.352 4.168 20 0 DIADHN C[C@H](CCc1ccc(Br)cc1)N1CCC[C@H](F)C1 ZINC001256227466 1062751880 /nfs/dbraw/zinc/75/18/80/1062751880.db2.gz VRTHYWPRNWQXAC-DOMZBBRYSA-N 0 3 314.242 4.204 20 0 DIADHN Cc1ccc(-c2nc(CN3CC[C@@H]4CCCC[C@@H]43)cs2)o1 ZINC001460300555 1062769583 /nfs/dbraw/zinc/76/95/83/1062769583.db2.gz UXZQCVDXSFRKIF-ZFWWWQNUSA-N 0 3 302.443 4.476 20 0 DIADHN CCN1[C@H](C)CN([C@H](C)c2ccc(Cl)c(Cl)c2)C[C@@H]1C ZINC001460329297 1062780972 /nfs/dbraw/zinc/78/09/72/1062780972.db2.gz OUUQUXQVIZFAGZ-FRRDWIJNSA-N 0 3 315.288 4.469 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)C[C@H]1CC(C)(C)CO1 ZINC001460333048 1062784473 /nfs/dbraw/zinc/78/44/73/1062784473.db2.gz FNFDEUZYFDCARZ-OAHLLOKOSA-N 0 3 301.430 4.297 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H](C)CCC(C)C)co2)c1 ZINC001256360432 1062784649 /nfs/dbraw/zinc/78/46/49/1062784649.db2.gz GLRXWNANFIANDI-AWEZNQCLSA-N 0 3 302.418 4.265 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CCC2(CCCCC2)CC1 ZINC001460360313 1062806322 /nfs/dbraw/zinc/80/63/22/1062806322.db2.gz FPGSLGXKTXWONG-MSOLQXFVSA-N 0 3 320.521 4.070 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@H]2CCC(C)(C)CO2)cc1F ZINC001460361430 1062808320 /nfs/dbraw/zinc/80/83/20/1062808320.db2.gz HVYAQNRUHGYAGG-WBVHZDCISA-N 0 3 321.436 4.176 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@H]1c1ccc(F)cc1F ZINC001256423351 1062813445 /nfs/dbraw/zinc/81/34/45/1062813445.db2.gz CDGWATUBJWYRFU-ACJLOTCBSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](Cc1ccccn1)N1CCC[C@H]1c1c(F)cccc1F ZINC001256427004 1062818562 /nfs/dbraw/zinc/81/85/62/1062818562.db2.gz UFURMQQXIGFPGW-GUYCJALGSA-N 0 3 302.368 4.128 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCCC3(CCCC3)CC2)c1 ZINC001460409005 1062839253 /nfs/dbraw/zinc/83/92/53/1062839253.db2.gz OAXKVNIVOWVTDK-UHFFFAOYSA-N 0 3 314.473 4.288 20 0 DIADHN CC[C@@H](C)N(CC)Cc1cc(Br)cc(F)c1F ZINC001460410227 1062839728 /nfs/dbraw/zinc/83/97/28/1062839728.db2.gz UMIYLBCMTULQER-SECBINFHSA-N 0 3 306.194 4.348 20 0 DIADHN CC[C@]1(Cc2ccccc2)CCCN1Cc1cnc(Cl)cn1 ZINC001460412722 1062844806 /nfs/dbraw/zinc/84/48/06/1062844806.db2.gz WHBVEJFNAJRLHU-GOSISDBHSA-N 0 3 315.848 4.117 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cc(O)ccc2Cl)C12CCC2 ZINC001460426060 1062848406 /nfs/dbraw/zinc/84/84/06/1062848406.db2.gz DNDDRONKGAGGLY-CVEARBPZSA-N 0 3 323.864 4.262 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2ncc(-c3ccc(OC)cc3)o2)C1 ZINC001460445550 1062860659 /nfs/dbraw/zinc/86/06/59/1062860659.db2.gz TXKILYABOLLHEZ-HUUCEWRRSA-N 0 3 314.429 4.361 20 0 DIADHN CCCOc1cccc(CN2Cc3ccccc3N(C)C[C@@H]2C)c1 ZINC001460447021 1062861036 /nfs/dbraw/zinc/86/10/36/1062861036.db2.gz KTFPJNYMQJMCFZ-KRWDZBQOSA-N 0 3 324.468 4.316 20 0 DIADHN FC(F)(F)c1ccc(OCCN2CCCC(F)(F)CC2)cc1 ZINC001460447590 1062862395 /nfs/dbraw/zinc/86/23/95/1062862395.db2.gz ZYYLNUURJSBQQY-UHFFFAOYSA-N 0 3 323.305 4.205 20 0 DIADHN CCCN(Cc1cccc2nsnc21)Cc1ccccc1F ZINC001460443831 1062862853 /nfs/dbraw/zinc/86/28/53/1062862853.db2.gz PNRIHTUXJPCQSP-UHFFFAOYSA-N 0 3 315.417 4.243 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC[C@H](C3CCC3)C2)c(C)c1 ZINC001460461922 1062863858 /nfs/dbraw/zinc/86/38/58/1062863858.db2.gz NYWUZTRDFGVSBT-AEFFLSMTSA-N 0 3 314.473 4.143 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccn(-c2cccc(F)c2)n1 ZINC001460481866 1062873759 /nfs/dbraw/zinc/87/37/59/1062873759.db2.gz KTWBRDMYICWAAJ-WMLDXEAASA-N 0 3 301.409 4.164 20 0 DIADHN CCCCC[C@H]1CCCCN1CC(=O)NCc1sccc1C ZINC001460489702 1062880841 /nfs/dbraw/zinc/88/08/41/1062880841.db2.gz WDRQVBBVIRGJJU-INIZCTEOSA-N 0 3 322.518 4.108 20 0 DIADHN OCC[C@@H](NCC1=CCCC1)c1cc(Cl)cc(Cl)c1 ZINC001460574052 1062917399 /nfs/dbraw/zinc/91/73/99/1062917399.db2.gz ZBDWHHLAYVQQNN-OAHLLOKOSA-N 0 3 300.229 4.117 20 0 DIADHN Cc1cccc([C@@H](NC(=O)c2cccc(CN(C)C)c2)C(C)C)c1 ZINC001470963320 1062898975 /nfs/dbraw/zinc/89/89/75/1062898975.db2.gz UIGZFAUFMCFGNU-FQEVSTJZSA-N 0 3 324.468 4.184 20 0 DIADHN Cc1cccc([C@H](NC(=O)c2cccc(CN(C)C)c2)C(C)C)c1 ZINC001470963323 1062901353 /nfs/dbraw/zinc/90/13/53/1062901353.db2.gz UIGZFAUFMCFGNU-HXUWFJFHSA-N 0 3 324.468 4.184 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@](C)(CC)c1ccc(F)cc1 ZINC001471017121 1062907032 /nfs/dbraw/zinc/90/70/32/1062907032.db2.gz FWZWDBTXLPXDRE-IBGZPJMESA-N 0 3 322.468 4.079 20 0 DIADHN C[C@H](CN(C)CC(=O)Nc1cccc(Cl)c1)C1CCCCC1 ZINC001460594737 1062925698 /nfs/dbraw/zinc/92/56/98/1062925698.db2.gz BBVIBYYRYPLGMX-CQSZACIVSA-N 0 3 322.880 4.427 20 0 DIADHN CC[C@](C)(NCc1ccc(C(=O)OC)s1)c1ccc(F)cc1 ZINC001460600393 1062927243 /nfs/dbraw/zinc/92/72/43/1062927243.db2.gz RPKVPKVMXFJPGR-KRWDZBQOSA-N 0 3 321.417 4.089 20 0 DIADHN COc1ccc(C(N[C@H]2C=CCCC2)c2ccc(OC)cc2)cc1 ZINC001460613989 1062932450 /nfs/dbraw/zinc/93/24/50/1062932450.db2.gz ZYFKWDXKFJYJMV-SFHVURJKSA-N 0 3 323.436 4.492 20 0 DIADHN COc1ccc(CN(C)C[C@@H]2CC[C@@H](c3ccccc3)O2)cc1 ZINC001460620694 1062940404 /nfs/dbraw/zinc/94/04/04/1062940404.db2.gz TZTUECOQDNZEPP-PMACEKPBSA-N 0 3 311.425 4.047 20 0 DIADHN Fc1cccc(N2CCN(CC/C=C/c3ccccc3)CC2)c1 ZINC001460638684 1062952750 /nfs/dbraw/zinc/95/27/50/1062952750.db2.gz OXOMRGLHEHCELD-RUDMXATFSA-N 0 3 310.416 4.051 20 0 DIADHN C[C@@H](Cc1ccncc1)N(Cc1ccccc1)Cc1cccnc1 ZINC001256740185 1062958713 /nfs/dbraw/zinc/95/87/13/1062958713.db2.gz FADDLWKSUPNGMK-SFHVURJKSA-N 0 3 317.436 4.110 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@H]2CSC[C@@H]2C1 ZINC001460653197 1062959928 /nfs/dbraw/zinc/95/99/28/1062959928.db2.gz LVJMWYGUNPCMHU-RYUDHWBXSA-N 0 3 307.846 4.271 20 0 DIADHN COc1ccccc1CCCN[C@H](CF)c1ccc(F)cc1 ZINC001460660432 1062969000 /nfs/dbraw/zinc/96/90/00/1062969000.db2.gz WHYJTFGTAPGXGJ-QGZVFWFLSA-N 0 3 305.368 4.067 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c1F ZINC001256787771 1062977182 /nfs/dbraw/zinc/97/71/82/1062977182.db2.gz XLATUCSYFQPADJ-DYVFJYSZSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ccc(CN2CCN([C@@H](C)c3ccccc3)CC2)cc1C ZINC001460709740 1063004217 /nfs/dbraw/zinc/00/42/17/1063004217.db2.gz XLSMGGLAUHLKHC-IBGZPJMESA-N 0 3 308.469 4.182 20 0 DIADHN c1csc([C@@H]2CCCN2[C@H]2CCO[C@H](c3ccccc3)C2)n1 ZINC001256825321 1063007290 /nfs/dbraw/zinc/00/72/90/1063007290.db2.gz QSOLNAKFJZZMKZ-ULQDDVLXSA-N 0 3 314.454 4.200 20 0 DIADHN C[C@H](Cc1ccccc1Br)NCOc1ccccc1 ZINC001256833245 1063007600 /nfs/dbraw/zinc/00/76/00/1063007600.db2.gz KDBPZJLLNOVUEZ-CYBMUJFWSA-N 0 3 320.230 4.006 20 0 DIADHN CCC1CCC(NCC(=O)c2cccc(Br)c2)CC1 ZINC001256865580 1063022630 /nfs/dbraw/zinc/02/26/30/1063022630.db2.gz LFYCKULYCZOMDT-UHFFFAOYSA-N 0 3 324.262 4.190 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1CCN1CCCc2ccccc21 ZINC001460721437 1063012353 /nfs/dbraw/zinc/01/23/53/1063012353.db2.gz AYQMBKYLSRAJTA-INIZCTEOSA-N 0 3 324.443 4.198 20 0 DIADHN CC1(C)[C@@H](c2cccc(Cl)c2)CCN1CCOCC(F)F ZINC001460729145 1063020780 /nfs/dbraw/zinc/02/07/80/1063020780.db2.gz MIPXDKIFTMXVDZ-CQSZACIVSA-N 0 3 317.807 4.190 20 0 DIADHN C[C@@H]1CN(C(=O)CCCCC(C)(C)C)CCN1C1CCCC1 ZINC001471826242 1063024308 /nfs/dbraw/zinc/02/43/08/1063024308.db2.gz ODTMAZOFKOHHEO-MRXNPFEDSA-N 0 3 308.510 4.068 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC001460734918 1063026431 /nfs/dbraw/zinc/02/64/31/1063026431.db2.gz XCKIFIMRJBXFDL-CJNGLKHVSA-N 0 3 315.379 4.352 20 0 DIADHN C[Si](C)(C)c1ccc(CN[C@@H]2CCc3c2cccc3F)cc1 ZINC001460795353 1063064002 /nfs/dbraw/zinc/06/40/02/1063064002.db2.gz PKQNULUZYDMLAD-LJQANCHMSA-N 0 3 313.492 4.148 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CC[C@@H](C)C[C@@H](C)C1 ZINC001460800177 1063065546 /nfs/dbraw/zinc/06/55/46/1063065546.db2.gz GPFLVHWLIQVUMN-NFAWXSAZSA-N 0 3 322.880 4.343 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001460848717 1063101540 /nfs/dbraw/zinc/10/15/40/1063101540.db2.gz JPMSXHYWCSZXRW-ROUUACIJSA-N 0 3 317.473 4.234 20 0 DIADHN Fc1ccc(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)cc1 ZINC001460863800 1063106507 /nfs/dbraw/zinc/10/65/07/1063106507.db2.gz XBVNZQATPCWASG-FQEVSTJZSA-N 0 3 309.384 4.266 20 0 DIADHN CCC[C@@](C)(NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccccc1 ZINC001460929393 1063140435 /nfs/dbraw/zinc/14/04/35/1063140435.db2.gz KTKUOKQYHKOTMK-FXAWDEMLSA-N 0 3 318.505 4.185 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2ccnc(Cl)c2)cc1 ZINC001460935177 1063142420 /nfs/dbraw/zinc/14/24/20/1063142420.db2.gz KVOAIESGGXBBRP-INIZCTEOSA-N 0 3 316.832 4.038 20 0 DIADHN Cc1cc(C)cc(CN[C@]2(CO)CC[C@@H](C)c3ccccc32)c1 ZINC001460948431 1063153279 /nfs/dbraw/zinc/15/32/79/1063153279.db2.gz ODSOSGGPXJHIBL-UTKZUKDTSA-N 0 3 309.453 4.178 20 0 DIADHN CCC[C@H]1CC[C@H](C2CCC(n3nncc3CN)CC2)CC1 ZINC001257241982 1063153930 /nfs/dbraw/zinc/15/39/30/1063153930.db2.gz BWHSXSLIDYOSKX-OJHJNGDYSA-N 0 3 304.482 4.075 20 0 DIADHN CCN(CC)C(=O)C1(NCc2csc(C)c2C)CCCCC1 ZINC001461011560 1063185603 /nfs/dbraw/zinc/18/56/03/1063185603.db2.gz GVPZBOOQOBIWGO-UHFFFAOYSA-N 0 3 322.518 4.026 20 0 DIADHN CCC(CC)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001257294679 1063185887 /nfs/dbraw/zinc/18/58/87/1063185887.db2.gz WZNZGLIQSAZBBP-LJQANCHMSA-N 0 3 307.441 4.441 20 0 DIADHN Brc1ccc(CN[C@H](c2ccccc2)C2CC2)cn1 ZINC001461019852 1063194936 /nfs/dbraw/zinc/19/49/36/1063194936.db2.gz BFSSCEOZGYIEQZ-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN CC(C)Oc1ccc(CNCc2cnc3ccccc3c2)cc1 ZINC001461027344 1063200592 /nfs/dbraw/zinc/20/05/92/1063200592.db2.gz JALYVJMGHYZEAO-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN CCOc1cccc(CNCc2ccc(OCC(F)F)cc2)c1 ZINC001461046699 1063210552 /nfs/dbraw/zinc/21/05/52/1063210552.db2.gz JDWGWVYVMFAYDH-UHFFFAOYSA-N 0 3 321.367 4.019 20 0 DIADHN c1cc(CNCc2ccc(OCC3CC3)cc2)cc2c1CCC2 ZINC001461048861 1063215505 /nfs/dbraw/zinc/21/55/05/1063215505.db2.gz BGFUPXSGHOSVGL-UHFFFAOYSA-N 0 3 307.437 4.254 20 0 DIADHN CCCOCc1ccccc1CNCc1cccc(COC)c1 ZINC001461056806 1063222631 /nfs/dbraw/zinc/22/26/31/1063222631.db2.gz NDGGQPSCHWFTNK-UHFFFAOYSA-N 0 3 313.441 4.049 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1sccc1Cl ZINC001257381846 1063244366 /nfs/dbraw/zinc/24/43/66/1063244366.db2.gz WDANIFWNMGQRIQ-NSHDSACASA-N 0 3 303.855 4.003 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC001257380091 1063245320 /nfs/dbraw/zinc/24/53/20/1063245320.db2.gz VQFGYVHHKGCADO-STQMWFEESA-N 0 3 313.388 4.127 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1sccc1Cl ZINC001257381845 1063246679 /nfs/dbraw/zinc/24/66/79/1063246679.db2.gz WDANIFWNMGQRIQ-LLVKDONJSA-N 0 3 303.855 4.003 20 0 DIADHN Cc1ccc(CN[C@@H](C)COc2cccc(F)c2)c(Cl)c1 ZINC001461092345 1063249093 /nfs/dbraw/zinc/24/90/93/1063249093.db2.gz FAOTXVKRRBNVCP-ZDUSSCGKSA-N 0 3 307.796 4.345 20 0 DIADHN COCc1cccc(CNCc2cccc(OCC(C)C)c2)c1 ZINC001461098551 1063250779 /nfs/dbraw/zinc/25/07/79/1063250779.db2.gz UOZBUZOQEVKONV-UHFFFAOYSA-N 0 3 313.441 4.158 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccc(F)cc1 ZINC001257393514 1063251735 /nfs/dbraw/zinc/25/17/35/1063251735.db2.gz RRBKHPPCFFTUHC-QAPCUYQASA-N 0 3 321.436 4.475 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccc(C)nc1 ZINC001257403636 1063252947 /nfs/dbraw/zinc/25/29/47/1063252947.db2.gz UVNYHZNVFOCIRO-FUHWJXTLSA-N 0 3 318.461 4.039 20 0 DIADHN Cc1occc1CN[C@@H](C)COc1ccccc1C(F)(F)F ZINC001461104915 1063256396 /nfs/dbraw/zinc/25/63/96/1063256396.db2.gz HFENRVQVAIOMHN-NSHDSACASA-N 0 3 313.319 4.164 20 0 DIADHN CCCC[C@H](CC)N1CCn2c(CN(C)C(C)C)cnc2[C@@H]1C ZINC001257421456 1063268987 /nfs/dbraw/zinc/26/89/87/1063268987.db2.gz OICWHGZCTOFXJO-IRXDYDNUSA-N 0 3 320.525 4.069 20 0 DIADHN CCCC[C@H](CC)N1CCn2c(CN(C)C(C)C)cnc2[C@H]1C ZINC001257421458 1063270426 /nfs/dbraw/zinc/27/04/26/1063270426.db2.gz OICWHGZCTOFXJO-SJORKVTESA-N 0 3 320.525 4.069 20 0 DIADHN CCn1cnc(CNC[C@H](C)c2c(Cl)cccc2Cl)c1 ZINC001461128069 1063277859 /nfs/dbraw/zinc/27/78/59/1063277859.db2.gz HYZQUIGTCXYBHD-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN CC[C@@H](NC[C@@H]1CCCC(C)(C)C1)c1nnc2n1CCCCC2 ZINC001461129318 1063278561 /nfs/dbraw/zinc/27/85/61/1063278561.db2.gz QHHGFZQMHUZYFX-HZPDHXFCSA-N 0 3 318.509 4.262 20 0 DIADHN C(Nc1nc(C2CCCCC2)ns1)[C@H]1CCN1C1CCCC1 ZINC001472847638 1063280154 /nfs/dbraw/zinc/28/01/54/1063280154.db2.gz ODFUWEDFGBNKCE-OAHLLOKOSA-N 0 3 320.506 4.015 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1snc(OC)c1Cl ZINC001461141411 1063286984 /nfs/dbraw/zinc/28/69/84/1063286984.db2.gz LJWLKTQRHXPQKM-QWRGUYRKSA-N 0 3 302.871 4.254 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1snc(OC)c1Cl ZINC001461141407 1063288549 /nfs/dbraw/zinc/28/85/49/1063288549.db2.gz LJWLKTQRHXPQKM-MNOVXSKESA-N 0 3 302.871 4.254 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1cc(Br)no1 ZINC001257465508 1063289056 /nfs/dbraw/zinc/28/90/56/1063289056.db2.gz BDDRDJHCOVFSTP-GHMZBOCLSA-N 0 3 303.244 4.132 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1cn(Cc2ccncc2)cn1 ZINC001257473696 1063293445 /nfs/dbraw/zinc/29/34/45/1063293445.db2.gz CAFNJSQSZOGNFO-DLBZAZTESA-N 0 3 314.477 4.021 20 0 DIADHN CCN(C)c1ccccc1CNCc1ccc(C)c(Cl)c1 ZINC001461154399 1063295717 /nfs/dbraw/zinc/29/57/17/1063295717.db2.gz MCJUTGZZDROEHW-UHFFFAOYSA-N 0 3 302.849 4.394 20 0 DIADHN COc1cccc(OC)c1CNCCc1ccc2ccccc2c1 ZINC001461160651 1063300041 /nfs/dbraw/zinc/30/00/41/1063300041.db2.gz MFXJETBJYGREEK-UHFFFAOYSA-N 0 3 321.420 4.189 20 0 DIADHN CCC[C@H](C)CC[C@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257485342 1063306963 /nfs/dbraw/zinc/30/69/63/1063306963.db2.gz KUXIHWKPAVDRTI-RYUDHWBXSA-N 0 3 317.399 4.325 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001257486686 1063308237 /nfs/dbraw/zinc/30/82/37/1063308237.db2.gz ZSXSTNMMTQQXOB-HUUCEWRRSA-N 0 3 301.478 4.317 20 0 DIADHN CCCCCC(CCCCC)N1CCN(CC(F)F)CC1 ZINC001257554385 1063334729 /nfs/dbraw/zinc/33/47/29/1063334729.db2.gz VZJHHXLYIMAEEU-UHFFFAOYSA-N 0 3 304.469 4.398 20 0 DIADHN C[C@H](Cc1ccc(Br)cc1)N1CC[C@@H](C)[C@H](F)C1 ZINC001257559176 1063335513 /nfs/dbraw/zinc/33/55/13/1063335513.db2.gz DSEJXFQCTXGINV-LALPHHSUSA-N 0 3 314.242 4.060 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@@H]1C[C@H]1Cc1ccccc1 ZINC001461219498 1063343716 /nfs/dbraw/zinc/34/37/16/1063343716.db2.gz AQJPZMYKPDARPY-CRAIPNDOSA-N 0 3 314.860 4.127 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@H]1CCCSC1 ZINC001257663967 1063360202 /nfs/dbraw/zinc/36/02/02/1063360202.db2.gz JVOLXVYSRWOMSB-UONOGXRCSA-N 0 3 303.475 4.150 20 0 DIADHN CCCCOc1ccc(CNCCC(F)(F)F)cc1OCC ZINC001461245229 1063361592 /nfs/dbraw/zinc/36/15/92/1063361592.db2.gz QUXPBQYGLLCVCY-UHFFFAOYSA-N 0 3 319.367 4.306 20 0 DIADHN Cc1cc(CNCC2(Sc3ccc(C)cc3)CCCC2)on1 ZINC001461254525 1063369275 /nfs/dbraw/zinc/36/92/75/1063369275.db2.gz FJHQCVKLVCVCEO-UHFFFAOYSA-N 0 3 316.470 4.486 20 0 DIADHN CC(C)(NCc1ccc2[nH]ccc2c1)c1ccc(F)c(F)c1 ZINC001461259828 1063372523 /nfs/dbraw/zinc/37/25/23/1063372523.db2.gz AFNBCIZOSNVTPJ-UHFFFAOYSA-N 0 3 300.352 4.471 20 0 DIADHN Cc1ccc(-c2ccc(CNCCNc3ccccc3)o2)cc1 ZINC001461263435 1063374871 /nfs/dbraw/zinc/37/48/71/1063374871.db2.gz NEKKIMSUSWZTTO-UHFFFAOYSA-N 0 3 306.409 4.457 20 0 DIADHN C[C@H](CNCc1nc(C(C)(C)C)cs1)Cc1cccs1 ZINC001461262282 1063376124 /nfs/dbraw/zinc/37/61/24/1063376124.db2.gz GCLFEJFCTOWOJH-LBPRGKRZSA-N 0 3 308.516 4.471 20 0 DIADHN CC[C@H](CNCc1ccsc1Cl)Oc1cccc(F)c1 ZINC001461266563 1063377646 /nfs/dbraw/zinc/37/76/46/1063377646.db2.gz ZCESUPQYKXCQNS-CYBMUJFWSA-N 0 3 313.825 4.488 20 0 DIADHN CCOc1ccccc1CNC[C@@H](OC)c1ccccc1Cl ZINC001461280487 1063385838 /nfs/dbraw/zinc/38/58/38/1063385838.db2.gz IDYAIVYELZFLRV-GOSISDBHSA-N 0 3 319.832 4.216 20 0 DIADHN C[C@@]1(F)CCN(C2CCC(OCc3ccccc3)CC2)C[C@@H]1F ZINC001257830473 1063399169 /nfs/dbraw/zinc/39/91/69/1063399169.db2.gz ZQGSDFQCSJWNCB-WZOJCFFYSA-N 0 3 323.427 4.286 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(F)c(Cl)c1 ZINC001461301828 1063402867 /nfs/dbraw/zinc/40/28/67/1063402867.db2.gz AQQUPHGCUDWMOY-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN Cc1cc2c(cn1)CN(C1CCC(OCc3ccccc3)CC1)C2 ZINC001257835039 1063403036 /nfs/dbraw/zinc/40/30/36/1063403036.db2.gz ZYJKETJPYPJYRD-UHFFFAOYSA-N 0 3 322.452 4.234 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@@H]1CCC(C)(C)O1 ZINC001473107536 1063406843 /nfs/dbraw/zinc/40/68/43/1063406843.db2.gz ALHYNPPESIPESF-AWEZNQCLSA-N 0 3 302.245 4.383 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN(C2CC2)C2CCC(C)CC2)c1 ZINC001473110587 1063409005 /nfs/dbraw/zinc/40/90/05/1063409005.db2.gz DIWLTIQUVCRHNX-UHFFFAOYSA-N 0 3 314.473 4.285 20 0 DIADHN CC(C)COCCN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC001473109669 1063409843 /nfs/dbraw/zinc/40/98/43/1063409843.db2.gz GDQNWFBRCKTSAK-UHFFFAOYSA-N 0 3 315.379 4.342 20 0 DIADHN Clc1ccccc1[C@H]1CCCN1C[C@@H]1CC2(CCC2)CO1 ZINC001473111477 1063413712 /nfs/dbraw/zinc/41/37/12/1063413712.db2.gz DWBPWDYPFYENNJ-WMLDXEAASA-N 0 3 305.849 4.436 20 0 DIADHN COc1cc(CN[C@@H]2CC(C)(C)c3ccccc32)cc(OC)c1 ZINC001461316776 1063414444 /nfs/dbraw/zinc/41/44/44/1063414444.db2.gz JOPQOIBFJDLFCN-LJQANCHMSA-N 0 3 311.425 4.216 20 0 DIADHN CCCNC(=O)CN(CCC)[C@H](C)c1ccc(C(C)C)cc1 ZINC001473114153 1063415719 /nfs/dbraw/zinc/41/57/19/1063415719.db2.gz VPWUYULHIIITEZ-MRXNPFEDSA-N 0 3 304.478 4.109 20 0 DIADHN COC(=O)c1ccc(CN(CC2CCCCC2)C2CC2)c(F)c1 ZINC001473113962 1063417175 /nfs/dbraw/zinc/41/71/75/1063417175.db2.gz PAPAMRITIWFBRN-UHFFFAOYSA-N 0 3 319.420 4.157 20 0 DIADHN CC(C)(C)CNC(=O)CN1CCC[C@H]1c1cccc2ccccc21 ZINC001473119532 1063422660 /nfs/dbraw/zinc/42/26/60/1063422660.db2.gz JLWMOYHCQCDHBF-IBGZPJMESA-N 0 3 324.468 4.139 20 0 DIADHN CSc1cc(C)ccc1CNCc1ccccc1N(C)C ZINC001461338714 1063427084 /nfs/dbraw/zinc/42/70/84/1063427084.db2.gz BKBNMHIXMLJQIN-UHFFFAOYSA-N 0 3 300.471 4.073 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCCc3ccccc32)c(OC)c1 ZINC001473122410 1063427691 /nfs/dbraw/zinc/42/76/91/1063427691.db2.gz AQBWKERALFYVDH-LJQANCHMSA-N 0 3 311.425 4.213 20 0 DIADHN COc1cncc(CNCc2cccc(-c3ccc(C)cc3)c2)c1 ZINC001461347561 1063432523 /nfs/dbraw/zinc/43/25/23/1063432523.db2.gz ZMMSWLAPRREUGW-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNC[C@@H]1CCCS1 ZINC001461349863 1063435751 /nfs/dbraw/zinc/43/57/51/1063435751.db2.gz DYBYNOHLOBXECW-NSHDSACASA-N 0 3 319.301 4.045 20 0 DIADHN Cc1nc(CN(CCc2ccccc2F)C2CCCC2)[nH]c1C ZINC001473130979 1063438512 /nfs/dbraw/zinc/43/85/12/1063438512.db2.gz KYGXKIJSXDVGCR-UHFFFAOYSA-N 0 3 315.436 4.153 20 0 DIADHN Cc1cc(CN2CCC(c3cc4ccccc4[nH]3)CC2)sn1 ZINC001473134750 1063440645 /nfs/dbraw/zinc/44/06/45/1063440645.db2.gz GIPVZFXQVIUICE-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN C[C@H](N[C@H]1COc2ccc(Cl)cc2C1)c1ccc(F)cc1F ZINC001257946104 1063443310 /nfs/dbraw/zinc/44/33/10/1063443310.db2.gz BIFMPFORBTZNFW-IINYFYTJSA-N 0 3 323.770 4.273 20 0 DIADHN C[C@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1ccccc1F ZINC001257946110 1063443758 /nfs/dbraw/zinc/44/37/58/1063443758.db2.gz BLMUXXGACUPGPH-FZMZJTMJSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1cc(C)c(CN2CCC(OCCC(C)C)CC2)c(C)n1 ZINC001473137646 1063444217 /nfs/dbraw/zinc/44/42/17/1063444217.db2.gz ZKMMKZGHDDTMGN-UHFFFAOYSA-N 0 3 304.478 4.034 20 0 DIADHN O[C@@H]1CCCC[C@H]1CN1Cc2ccccc2-c2ccccc2C1 ZINC001473138175 1063445043 /nfs/dbraw/zinc/44/50/43/1063445043.db2.gz LIDBOEIPBXPGSS-GHTZIAJQSA-N 0 3 307.437 4.220 20 0 DIADHN O[C@H]1CCCC[C@@H]1CN1Cc2ccccc2-c2ccccc2C1 ZINC001473138176 1063446071 /nfs/dbraw/zinc/44/60/71/1063446071.db2.gz LIDBOEIPBXPGSS-NQIIRXRSSA-N 0 3 307.437 4.220 20 0 DIADHN CCC(CC)N(CC(=O)Nc1cccc2ccccc21)C1CC1 ZINC001473139317 1063447181 /nfs/dbraw/zinc/44/71/81/1063447181.db2.gz SFCZBVKCMWJZIW-UHFFFAOYSA-N 0 3 310.441 4.431 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1cncc(Cl)c1 ZINC001257959555 1063448665 /nfs/dbraw/zinc/44/86/65/1063448665.db2.gz MJOAEYDVQILYDI-BMIGLBTASA-N 0 3 323.223 4.043 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCCC3(CCCCC3)C2)o1 ZINC001473151623 1063455536 /nfs/dbraw/zinc/45/55/36/1063455536.db2.gz GWIVXVAFHAVBOL-AWEZNQCLSA-N 0 3 305.418 4.174 20 0 DIADHN CC(C)N(C(=O)CN1CCCC2(CCCCC2)C1)C1CCCC1 ZINC001473153093 1063456757 /nfs/dbraw/zinc/45/67/57/1063456757.db2.gz UNOVWCSXBOEUMT-UHFFFAOYSA-N 0 3 320.521 4.212 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@H](Cc3ccccc3)C2)c1 ZINC001473150907 1063457037 /nfs/dbraw/zinc/45/70/37/1063457037.db2.gz YRBRZERDKDFRLZ-LJQANCHMSA-N 0 3 324.468 4.324 20 0 DIADHN Fc1cnccc1CN(CCc1ccc(Cl)cc1)CC1CC1 ZINC001473160048 1063461865 /nfs/dbraw/zinc/46/18/65/1063461865.db2.gz DMWQACOHFQAKLM-UHFFFAOYSA-N 0 3 318.823 4.329 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@@H]2CCC3(CCC3)O2)cc1 ZINC001473159556 1063462124 /nfs/dbraw/zinc/46/21/24/1063462124.db2.gz NCPWMMIWLNEWJK-ZWKOTPCHSA-N 0 3 317.498 4.187 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@H]2CCC3(CCC3)O2)cc1 ZINC001473159554 1063462365 /nfs/dbraw/zinc/46/23/65/1063462365.db2.gz NCPWMMIWLNEWJK-QZTJIDSGSA-N 0 3 317.498 4.187 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC001461381501 1063462427 /nfs/dbraw/zinc/46/24/27/1063462427.db2.gz LWSMYYCTFZKQAB-VXGBXAGGSA-N 0 3 317.807 4.302 20 0 DIADHN Cc1ccc([C@H]2CSCCN2CCCOC(C)(C)C)cc1 ZINC001473159740 1063462456 /nfs/dbraw/zinc/46/24/56/1063462456.db2.gz RVUYCIZUFHHDPA-QGZVFWFLSA-N 0 3 307.503 4.290 20 0 DIADHN Fc1ccc(CCNCc2cc(Cl)ccc2F)c(F)c1F ZINC001461381236 1063462576 /nfs/dbraw/zinc/46/25/76/1063462576.db2.gz QQTLXVYRDSAIMS-UHFFFAOYSA-N 0 3 317.713 4.229 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@H]2CCC3(CCC3)O2)cc1 ZINC001473159553 1063463455 /nfs/dbraw/zinc/46/34/55/1063463455.db2.gz NCPWMMIWLNEWJK-MSOLQXFVSA-N 0 3 317.498 4.187 20 0 DIADHN CC[C@H](NCc1ccc(Br)nc1)c1ccc(F)cc1 ZINC001461384563 1063463956 /nfs/dbraw/zinc/46/39/56/1063463956.db2.gz UWFMLZCHXQTFPR-AWEZNQCLSA-N 0 3 323.209 4.224 20 0 DIADHN CC(C)C[C@H](C)CN(C)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC001473162184 1063464815 /nfs/dbraw/zinc/46/48/15/1063464815.db2.gz ILKCKOKWAHNBMD-YOEHRIQHSA-N 0 3 322.880 4.059 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2nc3c(s2)CCCC3)CCO1 ZINC001461393007 1063469902 /nfs/dbraw/zinc/46/99/02/1063469902.db2.gz PHHIZOYMOKIOGE-GOEBONIOSA-N 0 3 322.518 4.095 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@H](C(C)(C)C)CC1 ZINC001473166388 1063470417 /nfs/dbraw/zinc/47/04/17/1063470417.db2.gz VCBCFPUHVVFFIM-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cccc(C)c1F ZINC001461394899 1063472728 /nfs/dbraw/zinc/47/27/28/1063472728.db2.gz XTYTXOCNXWPZOH-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(c3ccccc3F)CC2)OC1 ZINC001473171693 1063475080 /nfs/dbraw/zinc/47/50/80/1063475080.db2.gz GABQBHOBHVEGQD-INIZCTEOSA-N 0 3 305.437 4.210 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2cc(O)cc(C(F)(F)F)c2)C1 ZINC001473171984 1063477106 /nfs/dbraw/zinc/47/71/06/1063477106.db2.gz BEWJKNOOGHFMHI-NEPJUHHUSA-N 0 3 301.352 4.422 20 0 DIADHN C[C@@H](NCc1cnc(C2CC2)s1)c1ccc(-n2ccnc2)cc1 ZINC001461406113 1063477733 /nfs/dbraw/zinc/47/77/33/1063477733.db2.gz OODCMWSKLZMHQM-CYBMUJFWSA-N 0 3 324.453 4.057 20 0 DIADHN Fc1ccc(C[C@@H]2CCN(Cc3cc(F)cc(F)c3)C2)cc1 ZINC001473174887 1063479923 /nfs/dbraw/zinc/47/99/23/1063479923.db2.gz YGOMKYYIPNQFDT-AWEZNQCLSA-N 0 3 305.343 4.169 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001473179167 1063484283 /nfs/dbraw/zinc/48/42/83/1063484283.db2.gz PIOWPGYOXVQOHS-JSGCOSHPSA-N 0 3 311.372 4.409 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001473179170 1063486072 /nfs/dbraw/zinc/48/60/72/1063486072.db2.gz PIOWPGYOXVQOHS-OCCSQVGLSA-N 0 3 311.372 4.409 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001473178635 1063486184 /nfs/dbraw/zinc/48/61/84/1063486184.db2.gz JTQUCEYUVXTNDL-CJNGLKHVSA-N 0 3 308.853 4.179 20 0 DIADHN C[C@@H](NCc1ccnn1C1CCC1)c1ccc2c(c1)CCCC2 ZINC001461420544 1063488605 /nfs/dbraw/zinc/48/86/05/1063488605.db2.gz QBYKYTBMQTWZEI-OAHLLOKOSA-N 0 3 309.457 4.338 20 0 DIADHN CN(CCCC1CCC1)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC001473182033 1063489602 /nfs/dbraw/zinc/48/96/02/1063489602.db2.gz SOCFUNWGLZOQNH-IBGZPJMESA-N 0 3 315.436 4.161 20 0 DIADHN CC[C@@H](O)[C@@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC001473182043 1063490033 /nfs/dbraw/zinc/49/00/33/1063490033.db2.gz STCQSVKILJYBHQ-LSDHHAIUSA-N 0 3 307.821 4.212 20 0 DIADHN CC[C@](O)(CN1CCCCC[C@@H]1c1ccc(C)o1)C(F)(F)F ZINC001473183083 1063490143 /nfs/dbraw/zinc/49/01/43/1063490143.db2.gz RHHDHPLNPMADEM-HIFRSBDPSA-N 0 3 319.367 4.209 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1ncc(Br)s1 ZINC001258062376 1063490243 /nfs/dbraw/zinc/49/02/43/1063490243.db2.gz PNNLJWOGBABJMC-MNOVXSKESA-N 0 3 319.312 4.456 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2CCNC(=O)OC(C)(C)C)cc1 ZINC001473184125 1063494038 /nfs/dbraw/zinc/49/40/38/1063494038.db2.gz HXEUGQKEGZYHHK-KRWDZBQOSA-N 0 3 318.461 4.047 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC001473186482 1063494714 /nfs/dbraw/zinc/49/47/14/1063494714.db2.gz VCRIZHNCHVGGIO-WMLDXEAASA-N 0 3 322.518 4.072 20 0 DIADHN C[C@H](CCc1ccccc1)CN[C@H]1COc2cc(F)cc(F)c21 ZINC001461429413 1063495618 /nfs/dbraw/zinc/49/56/18/1063495618.db2.gz GUXSGRNBWZPIRX-DYVFJYSZSA-N 0 3 317.379 4.257 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)n1nc(-c2ccncc2)cc1CN ZINC001258069120 1063496594 /nfs/dbraw/zinc/49/65/94/1063496594.db2.gz XDPLDYBNBSZDJH-HUUCEWRRSA-N 0 3 300.450 4.037 20 0 DIADHN Cc1cc(CN2CCCC[C@H]2c2cccc(C)c2C)nc(C)n1 ZINC001473187729 1063497783 /nfs/dbraw/zinc/49/77/83/1063497783.db2.gz SLBPEWSHEFPNQB-FQEVSTJZSA-N 0 3 309.457 4.437 20 0 DIADHN CC(C)C(CNCc1ncc(Br)cc1F)C(C)C ZINC001461432146 1063498762 /nfs/dbraw/zinc/49/87/62/1063498762.db2.gz FFVABRUZXSDKGM-UHFFFAOYSA-N 0 3 317.246 4.001 20 0 DIADHN CCc1nc(CNC[C@@H](Cc2ccccc2)C(C)C)cs1 ZINC001461435024 1063501392 /nfs/dbraw/zinc/50/13/92/1063501392.db2.gz NWSRRLMZHUZXDO-MRXNPFEDSA-N 0 3 302.487 4.310 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001258078290 1063506347 /nfs/dbraw/zinc/50/63/47/1063506347.db2.gz VUTFPRBYTZQCGQ-CABCVRRESA-N 0 3 301.478 4.173 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H](NCc2cn(C3CCC3)nn2)CC1 ZINC001461441173 1063508617 /nfs/dbraw/zinc/50/86/17/1063508617.db2.gz HPAYFGAVNGTYQA-CABCVRRESA-N 0 3 304.482 4.088 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CCCC(C)(C)CC2)no1 ZINC001461443936 1063511180 /nfs/dbraw/zinc/51/11/80/1063511180.db2.gz ZGKUFECXXVITGY-CQSZACIVSA-N 0 3 308.466 4.438 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1cn(Cc2ccccc2F)cn1 ZINC001258088639 1063512910 /nfs/dbraw/zinc/51/29/10/1063512910.db2.gz UKTDMNHUACDNAM-MRXNPFEDSA-N 0 3 315.436 4.295 20 0 DIADHN CCSc1cc(CNCc2cccc3ncccc23)ccn1 ZINC001461455427 1063517024 /nfs/dbraw/zinc/51/70/24/1063517024.db2.gz BJPFIOUFQSPNHH-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN CCC1(CC)CCC(NCc2cnn(-c3ccccc3)n2)CC1 ZINC001461458336 1063521022 /nfs/dbraw/zinc/52/10/22/1063521022.db2.gz ATBRYODBOJIQJI-UHFFFAOYSA-N 0 3 312.461 4.106 20 0 DIADHN CC(C)=CCC[C@H](C)N1CCc2c(cnn2-c2ccccc2)C1 ZINC001258099853 1063521268 /nfs/dbraw/zinc/52/12/68/1063521268.db2.gz RZLYTQFPABGHJT-KRWDZBQOSA-N 0 3 309.457 4.365 20 0 DIADHN CCc1ccccc1CN[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001461461629 1063522159 /nfs/dbraw/zinc/52/21/59/1063522159.db2.gz LSAGQXCGEAWRHG-LJQANCHMSA-N 0 3 316.876 4.295 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2C[C@H](c3cccc(F)c3)C2)c1 ZINC001461462972 1063522772 /nfs/dbraw/zinc/52/27/72/1063522772.db2.gz MTDZVFFKOKLDMN-SAZUREKKSA-N 0 3 305.780 4.221 20 0 DIADHN CCOC[C@H](NCc1cc2cccc(C)c2nc1Cl)C(C)C ZINC001461464431 1063524123 /nfs/dbraw/zinc/52/41/23/1063524123.db2.gz UINVHLMODOULLY-INIZCTEOSA-N 0 3 320.864 4.347 20 0 DIADHN CC[C@@]1(NCc2ccc(-c3ccc(Cl)cc3)o2)CCOC1 ZINC001461487812 1063534537 /nfs/dbraw/zinc/53/45/37/1063534537.db2.gz JQTROZFKADXIFX-QGZVFWFLSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CN[C@@H]1CC[C@@H]1C ZINC001461491503 1063536644 /nfs/dbraw/zinc/53/66/44/1063536644.db2.gz AZALIEZQYHIKII-SUMWQHHRSA-N 0 3 317.864 4.090 20 0 DIADHN COc1cccc(C2(NCc3ccc4c(c3)CCCC4)CC2)c1 ZINC001461495879 1063538162 /nfs/dbraw/zinc/53/81/62/1063538162.db2.gz QGSDTHFWNHWVPN-UHFFFAOYSA-N 0 3 307.437 4.353 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC1(C2(C)CC2)CC1 ZINC001461498779 1063539589 /nfs/dbraw/zinc/53/95/89/1063539589.db2.gz BZRMSFOLVJXKPM-UHFFFAOYSA-N 0 3 300.229 4.424 20 0 DIADHN C[C@H]1C[C@H](N(Cc2cccnc2)Cc2cccnc2)C[C@@H](C)C1 ZINC001258174651 1063542750 /nfs/dbraw/zinc/54/27/50/1063542750.db2.gz NYNVPTNJOBAXRT-YRWFTTLQSA-N 0 3 309.457 4.304 20 0 DIADHN Cc1cccc2cc(CNCC(C)(C)C(C)(C)O)c(Cl)nc12 ZINC001461504029 1063543193 /nfs/dbraw/zinc/54/31/93/1063543193.db2.gz ZFJHVMDPDPBOTJ-UHFFFAOYSA-N 0 3 320.864 4.083 20 0 DIADHN C[C@H](Cc1ccco1)NCc1cc(F)ccc1OC(F)(F)F ZINC001258170445 1063543385 /nfs/dbraw/zinc/54/33/85/1063543385.db2.gz FPTKRLVJVYCGJB-SNVBAGLBSA-N 0 3 317.282 4.038 20 0 DIADHN CCn1cnc(Cl)c1CNC1(C(C)(C)c2ccccc2)CC1 ZINC001461508947 1063545027 /nfs/dbraw/zinc/54/50/27/1063545027.db2.gz WHZAQBHJWRHDPB-UHFFFAOYSA-N 0 3 317.864 4.156 20 0 DIADHN C[C@]1(CNCc2cccc3c2OC(C)(C)C3)CC1(Cl)Cl ZINC001461512355 1063545659 /nfs/dbraw/zinc/54/56/59/1063545659.db2.gz DVRKAGSGJVCMJV-OAHLLOKOSA-N 0 3 314.256 4.074 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1nc(C)c(Br)s1 ZINC001461513302 1063548363 /nfs/dbraw/zinc/54/83/63/1063548363.db2.gz KSVUWQCIICHCML-SNVBAGLBSA-N 0 3 317.296 4.439 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)Cc2ccco2)ncc1Br ZINC001258185175 1063551643 /nfs/dbraw/zinc/55/16/43/1063551643.db2.gz LYVHAWPCPPICPW-RYUDHWBXSA-N 0 3 323.234 4.027 20 0 DIADHN Clc1cc(Br)cc(CNC/C=C/C2CC2)c1 ZINC001461520526 1063552680 /nfs/dbraw/zinc/55/26/80/1063552680.db2.gz JQCFQJFOGZDZBV-OWOJBTEDSA-N 0 3 300.627 4.158 20 0 DIADHN COc1ccc(CNC[C@@](C)(OC)c2ccccc2)c(Cl)c1 ZINC001461521298 1063556034 /nfs/dbraw/zinc/55/60/34/1063556034.db2.gz NWKDBSJNRPRLQA-GOSISDBHSA-N 0 3 319.832 4.000 20 0 DIADHN CSCC[C@H](C)NC1(c2ccccc2Br)CC1 ZINC001258209344 1063560446 /nfs/dbraw/zinc/56/04/46/1063560446.db2.gz VEUGFPHSTVFDJF-NSHDSACASA-N 0 3 314.292 4.169 20 0 DIADHN Cc1scc(CNCc2ccc(OC(F)F)c(F)c2)c1C ZINC001461529010 1063562819 /nfs/dbraw/zinc/56/28/19/1063562819.db2.gz MBMQMMJBDXRCHV-UHFFFAOYSA-N 0 3 315.360 4.395 20 0 DIADHN CC(C)[C@H](CC1CCCCC1)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001461531972 1063564221 /nfs/dbraw/zinc/56/42/21/1063564221.db2.gz WVMLPBHHBPPFBB-MSOLQXFVSA-N 0 3 322.537 4.218 20 0 DIADHN CC(C)[C@H](CC1CCCCC1)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001461531986 1063564507 /nfs/dbraw/zinc/56/45/07/1063564507.db2.gz WVMLPBHHBPPFBB-ROUUACIJSA-N 0 3 322.537 4.218 20 0 DIADHN COc1c(Br)cccc1CNCC1=CCCCC1 ZINC001461536711 1063565497 /nfs/dbraw/zinc/56/54/97/1063565497.db2.gz AWXUBDGKRIEQMM-UHFFFAOYSA-N 0 3 310.235 4.048 20 0 DIADHN CSCC[C@@H](C)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001258223516 1063566049 /nfs/dbraw/zinc/56/60/49/1063566049.db2.gz DPUPLWSBOBZPBU-HIFRSBDPSA-N 0 3 303.475 4.165 20 0 DIADHN CC(C)c1cnc(CNCC[C@H]2CCc3ccccc32)s1 ZINC001461537479 1063566876 /nfs/dbraw/zinc/56/68/76/1063566876.db2.gz GXSBZLPYIHASQU-OAHLLOKOSA-N 0 3 300.471 4.476 20 0 DIADHN COC1([C@H](C)NCc2ccc(Cl)c(C)c2Cl)CCC1 ZINC001461574849 1063588841 /nfs/dbraw/zinc/58/88/41/1063588841.db2.gz AJGZFCYMKAWEMD-NSHDSACASA-N 0 3 302.245 4.349 20 0 DIADHN Clc1ccc([C@@H]2CC[C@H](NCc3nccn3C3CC3)C2)cc1 ZINC001461575075 1063589072 /nfs/dbraw/zinc/58/90/72/1063589072.db2.gz IHOWGGBASMTWHO-ZBFHGGJFSA-N 0 3 315.848 4.297 20 0 DIADHN CC[C@H](NCc1cnn(-c2ccccc2C)c1)c1ccccc1 ZINC001461586422 1063595087 /nfs/dbraw/zinc/59/50/87/1063595087.db2.gz XHYRLTCRMJLLLP-IBGZPJMESA-N 0 3 305.425 4.422 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H]2COCc3ccccc32)cc1C ZINC001461590422 1063599221 /nfs/dbraw/zinc/59/92/21/1063599221.db2.gz VRSCSHYWYWYFBT-RFFSMVSESA-N 0 3 307.437 4.486 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)n1ccc([C@H](C)N)n1 ZINC001258304022 1063600019 /nfs/dbraw/zinc/60/00/19/1063600019.db2.gz YOLATXJVPWVOEO-OLZOCXBDSA-N 0 3 307.463 4.159 20 0 DIADHN CCn1cnc(CN[C@@H](C)CC(C)(C)SCc2ccco2)c1 ZINC001258306626 1063601085 /nfs/dbraw/zinc/60/10/85/1063601085.db2.gz MINXSLORUCAQDH-AWEZNQCLSA-N 0 3 321.490 4.076 20 0 DIADHN CCCc1ccc(CNCc2ccc3c(c2)OC(F)(F)O3)cc1 ZINC001461593883 1063602350 /nfs/dbraw/zinc/60/23/50/1063602350.db2.gz XXLBXOWUBBKCHP-UHFFFAOYSA-N 0 3 319.351 4.250 20 0 DIADHN COC[C@@H](CC(C)(C)C)NCc1cccc(OC)c1OC(C)C ZINC001461600640 1063604009 /nfs/dbraw/zinc/60/40/09/1063604009.db2.gz BDKJOFWQAZPERS-MRXNPFEDSA-N 0 3 323.477 4.023 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCCCC12COC2 ZINC001258313701 1063604322 /nfs/dbraw/zinc/60/43/22/1063604322.db2.gz HSQCVJMZUZZUEI-HNNXBMFYSA-N 0 3 323.502 4.325 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCOCC12CCC2 ZINC001258313907 1063606139 /nfs/dbraw/zinc/60/61/39/1063606139.db2.gz MCCQIQPQJGBVKN-HNNXBMFYSA-N 0 3 323.502 4.325 20 0 DIADHN Cn1ccc(CNCc2ccc(COc3cccc(F)c3)cc2)c1 ZINC001461603622 1063607520 /nfs/dbraw/zinc/60/75/20/1063607520.db2.gz CCUKZJYXYQZRFT-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N(C)Cc1ccno1 ZINC001258319421 1063608343 /nfs/dbraw/zinc/60/83/43/1063608343.db2.gz YZBYRSAAKVOENJ-CYBMUJFWSA-N 0 3 308.447 4.190 20 0 DIADHN CSC(C)(C)C[C@H](C)n1nc(-c2ccccc2)cc1CN ZINC001258322053 1063610847 /nfs/dbraw/zinc/61/08/47/1063610847.db2.gz XABNZMSBYXVHJP-ZDUSSCGKSA-N 0 3 303.475 4.102 20 0 DIADHN CSC(C)(C)C[C@@H](C)NCc1nccc(Cl)c1Cl ZINC001258325488 1063610929 /nfs/dbraw/zinc/61/09/29/1063610929.db2.gz CBMASIRQJSEWNP-SECBINFHSA-N 0 3 307.290 4.398 20 0 DIADHN Cc1cc2cc(CNC[C@H](C)C(=O)OC(C)(C)C)oc2cc1C ZINC001461613681 1063612672 /nfs/dbraw/zinc/61/26/72/1063612672.db2.gz WUOGTBONSKFMOR-AWEZNQCLSA-N 0 3 317.429 4.117 20 0 DIADHN Cn1ccc(CNCc2cccc(Oc3ccccc3F)c2)c1 ZINC001461615939 1063614836 /nfs/dbraw/zinc/61/48/36/1063614836.db2.gz FDUPDTRDWUYOLF-UHFFFAOYSA-N 0 3 310.372 4.246 20 0 DIADHN CSC(C)(C)C[C@@H](C)N[C@@H](c1ccccc1)c1cccnn1 ZINC001258332415 1063615729 /nfs/dbraw/zinc/61/57/29/1063615729.db2.gz WIIYTMWERJKMJI-PBHICJAKSA-N 0 3 315.486 4.076 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](CF)c2ccccc2)cc1 ZINC001258390523 1063633306 /nfs/dbraw/zinc/63/33/06/1063633306.db2.gz NQCZAWNGCVONKJ-DNVCBOLYSA-N 0 3 301.405 4.317 20 0 DIADHN COc1ccc(CC[C@@H](C)N2CCC[C@@H]2c2cc(C)on2)cc1 ZINC001258398440 1063637919 /nfs/dbraw/zinc/63/79/19/1063637919.db2.gz UWRRNUPHJBGDIH-AUUYWEPGSA-N 0 3 314.429 4.150 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1ccccc1CC(C)C ZINC001461658100 1063640852 /nfs/dbraw/zinc/64/08/52/1063640852.db2.gz DRVVJNVCQCDORD-UHFFFAOYSA-N 0 3 313.489 4.033 20 0 DIADHN Cc1cc(C)cc(CNCc2cc3cc(Cl)cnc3o2)c1 ZINC001461655706 1063641034 /nfs/dbraw/zinc/64/10/34/1063641034.db2.gz ZNFBEMBJDKLUKV-UHFFFAOYSA-N 0 3 300.789 4.388 20 0 DIADHN CCC[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)OCC ZINC001461663383 1063644806 /nfs/dbraw/zinc/64/48/06/1063644806.db2.gz XFMSDZODHKSOQE-INIZCTEOSA-N 0 3 305.393 4.381 20 0 DIADHN CCc1ccc(CNC2(c3cccc(OC)c3)CCC2)s1 ZINC001461662774 1063645012 /nfs/dbraw/zinc/64/50/12/1063645012.db2.gz ZKPONKGPADNYKA-UHFFFAOYSA-N 0 3 301.455 4.488 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H]3CCc4ccc(Cl)cc43)ccc2O1 ZINC001461668741 1063647421 /nfs/dbraw/zinc/64/74/21/1063647421.db2.gz FGISDLWHBIURPJ-XIKOKIGWSA-N 0 3 313.828 4.441 20 0 DIADHN CCc1[nH]nc(Cl)c1CN[C@H]1C[C@H](Sc2ccccc2)C1 ZINC001461668475 1063647906 /nfs/dbraw/zinc/64/79/06/1063647906.db2.gz MDRBIKKPEBBJHL-AULYBMBSSA-N 0 3 321.877 4.038 20 0 DIADHN Cc1ncccc1CNCc1cn(C(F)F)c2ccccc12 ZINC001461674429 1063650481 /nfs/dbraw/zinc/65/04/81/1063650481.db2.gz FDXJSPRHVXCCFM-UHFFFAOYSA-N 0 3 301.340 4.030 20 0 DIADHN Fc1ccc(-c2ccc(CNCc3ccnc(F)c3)s2)cc1 ZINC001461673948 1063650668 /nfs/dbraw/zinc/65/06/68/1063650668.db2.gz YUHSLNCJKITSLF-UHFFFAOYSA-N 0 3 316.376 4.378 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2)[C@H](C)O)cc2ccccc21 ZINC001461673503 1063651201 /nfs/dbraw/zinc/65/12/01/1063651201.db2.gz ZMQDLIMMSGNGEL-BTYIYWSLSA-N 0 3 321.420 4.060 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2)[C@@H](C)O)cc2ccccc21 ZINC001461673507 1063651458 /nfs/dbraw/zinc/65/14/58/1063651458.db2.gz ZMQDLIMMSGNGEL-VFNWGFHPSA-N 0 3 321.420 4.060 20 0 DIADHN CCN(C)c1ccc(CN[C@@](C)(CC)c2ccc(F)cc2)cn1 ZINC001461680017 1063654858 /nfs/dbraw/zinc/65/48/58/1063654858.db2.gz ASVXUAKSLHICMF-IBGZPJMESA-N 0 3 315.436 4.092 20 0 DIADHN Fc1cc2cccnc2c(CNCc2ccc3cccnc3c2)c1 ZINC001461685011 1063656783 /nfs/dbraw/zinc/65/67/83/1063656783.db2.gz UQCKYQCKRLGJBU-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC001515236141 1063659821 /nfs/dbraw/zinc/65/98/21/1063659821.db2.gz UIVDTURVMPPJEQ-QZTJIDSGSA-N 0 3 316.489 4.153 20 0 DIADHN CCOC(=O)CC[C@@H](C)N[C@H](C)c1ccc(Cl)cc1Cl ZINC001258473424 1063669515 /nfs/dbraw/zinc/66/95/15/1063669515.db2.gz UCTSVZUKOBJKQE-GHMZBOCLSA-N 0 3 318.244 4.376 20 0 DIADHN CCOC(=O)CC[C@H](C)NC1(c2ccccc2Cl)CCC1 ZINC001258483649 1063671577 /nfs/dbraw/zinc/67/15/77/1063671577.db2.gz RFPYCAIENPZVSK-ZDUSSCGKSA-N 0 3 309.837 4.041 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccccc1Cl ZINC001258494800 1063673902 /nfs/dbraw/zinc/67/39/02/1063673902.db2.gz BGDOXKSRXHBCGO-CJNGLKHVSA-N 0 3 309.837 4.209 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccc(Cl)cc1 ZINC001258496183 1063675443 /nfs/dbraw/zinc/67/54/43/1063675443.db2.gz OVAHZJNMCJFTDJ-XJKSGUPXSA-N 0 3 309.837 4.209 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCCC[C@@H]1c1ccccc1F ZINC001258495524 1063675833 /nfs/dbraw/zinc/67/58/33/1063675833.db2.gz JFYIFKBKKLMAQP-RHSMWYFYSA-N 0 3 307.409 4.085 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1cccc(Cl)c1 ZINC001258502094 1063676617 /nfs/dbraw/zinc/67/66/17/1063676617.db2.gz DALGIBGNQLXNHD-CJNGLKHVSA-N 0 3 309.837 4.209 20 0 DIADHN CC1(C)CC[C@@H](CNCc2ccc(-c3ccc(F)cc3)o2)OC1 ZINC001461714631 1063676556 /nfs/dbraw/zinc/67/65/56/1063676556.db2.gz LHTCBDCIQIDTCC-INIZCTEOSA-N 0 3 317.404 4.381 20 0 DIADHN C[C@@H](NCc1cccc2[nH]ccc21)c1ccc(C(F)(F)F)nc1 ZINC001461732685 1063686004 /nfs/dbraw/zinc/68/60/04/1063686004.db2.gz WTRXTSFRKAOTLJ-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN CSC1CCC(CNCc2c(Cl)cncc2Cl)CC1 ZINC001461759069 1063699653 /nfs/dbraw/zinc/69/96/53/1063699653.db2.gz AXGFEODEBGWMAD-UHFFFAOYSA-N 0 3 319.301 4.400 20 0 DIADHN CC1(C)CC[C@H](N[C@@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001258595790 1063699700 /nfs/dbraw/zinc/69/97/00/1063699700.db2.gz LDHAVTZSAISQPN-FZMZJTMJSA-N 0 3 302.245 4.195 20 0 DIADHN CSCc1ccc(CN[C@@]2(C)CCOc3ccccc32)cc1 ZINC001461778185 1063710745 /nfs/dbraw/zinc/71/07/45/1063710745.db2.gz AKMQIDBYZNCCHL-IBGZPJMESA-N 0 3 313.466 4.337 20 0 DIADHN Cc1cc(CN(C)CCOC(C)(C)C)ccc1C(F)(F)F ZINC001461854014 1063752204 /nfs/dbraw/zinc/75/22/04/1063752204.db2.gz VTXOSSGXTSNYHV-UHFFFAOYSA-N 0 3 303.368 4.261 20 0 DIADHN C[C@H](Cc1cccnc1)N(Cc1ccccc1)Cc1cccnc1 ZINC001258628973 1063715512 /nfs/dbraw/zinc/71/55/12/1063715512.db2.gz WWKXCINWQGZNAE-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@H](Cc1cccnc1)N1CCC[C@H]1c1c(F)cccc1F ZINC001258632800 1063719126 /nfs/dbraw/zinc/71/91/26/1063719126.db2.gz CYKMVESMYXCPOK-DYVFJYSZSA-N 0 3 302.368 4.128 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H]1CCCc2cccnc21 ZINC001461790461 1063720466 /nfs/dbraw/zinc/72/04/66/1063720466.db2.gz XOKKWFKZNJERNJ-MQMQVCBVSA-N 0 3 322.452 4.299 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@@H]1C[C@H]2CCC[C@@H]21 ZINC001461814728 1063733060 /nfs/dbraw/zinc/73/30/60/1063733060.db2.gz ZQROKUBPDOYQLA-VYUIOLGVSA-N 0 3 316.189 4.006 20 0 DIADHN Fc1ccc(Br)c(F)c1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC001461814705 1063733303 /nfs/dbraw/zinc/73/33/03/1063733303.db2.gz ZQROKUBPDOYQLA-IGJMFERPSA-N 0 3 316.189 4.006 20 0 DIADHN Cc1cc(Br)c(CNCCC(F)F)cc1Cl ZINC001461820381 1063734661 /nfs/dbraw/zinc/73/46/61/1063734661.db2.gz MYTHMCGIBKUILB-UHFFFAOYSA-N 0 3 312.585 4.156 20 0 DIADHN C[C@@H]1CCc2nc(CNC[C@H]3C[C@H]3C3CCCC3)sc2C1 ZINC001461829083 1063739394 /nfs/dbraw/zinc/73/93/94/1063739394.db2.gz KMKHHOXVAHWBIN-YUELXQCFSA-N 0 3 304.503 4.184 20 0 DIADHN CCCCC[C@H](CCC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258672864 1063739496 /nfs/dbraw/zinc/73/94/96/1063739496.db2.gz GWHFTKCBNDAXAE-LBPRGKRZSA-N 0 3 317.399 4.469 20 0 DIADHN CCCCC[C@@H](CCC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258672863 1063739601 /nfs/dbraw/zinc/73/96/01/1063739601.db2.gz GWHFTKCBNDAXAE-GFCCVEGCSA-N 0 3 317.399 4.469 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1cc(F)ccn1 ZINC001258678417 1063743585 /nfs/dbraw/zinc/74/35/85/1063743585.db2.gz GUBXEKMITMQLTO-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CC[C@]2(CCCO2)C1 ZINC001258677015 1063744650 /nfs/dbraw/zinc/74/46/50/1063744650.db2.gz BTJMOCQBEQLFCG-BLLLJJGKSA-N 0 3 314.256 4.179 20 0 DIADHN CN(Cc1cc2cccnc2o1)Cc1ccc(C(F)(F)F)cc1 ZINC001461843286 1063746425 /nfs/dbraw/zinc/74/64/25/1063746425.db2.gz JIHATFYRMVEHHL-UHFFFAOYSA-N 0 3 320.314 4.479 20 0 DIADHN CO[C@@H]1CCCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258678408 1063747096 /nfs/dbraw/zinc/74/70/96/1063747096.db2.gz NGXLPHNYLMYBSB-SMDDNHRTSA-N 0 3 302.245 4.035 20 0 DIADHN Cn1cc(CN2CCC(C3CCCC3)CC2)c(C2CCCC2)n1 ZINC001461848063 1063749672 /nfs/dbraw/zinc/74/96/72/1063749672.db2.gz ZECVKISNBBUZMW-UHFFFAOYSA-N 0 3 315.505 4.480 20 0 DIADHN Cn1ncc(CN2CCC[C@H]2CCC2CCCCC2)c1Cl ZINC001461846311 1063750155 /nfs/dbraw/zinc/75/01/55/1063750155.db2.gz OOZQXFLLRVWQQJ-INIZCTEOSA-N 0 3 309.885 4.398 20 0 DIADHN CC(=O)[C@@H]1CCCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684506 1063751157 /nfs/dbraw/zinc/75/11/57/1063751157.db2.gz UORJFZHRORHOOZ-SMDDNHRTSA-N 0 3 314.256 4.225 20 0 DIADHN CC(=O)[C@@H]1CCCN([C@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258684427 1063752656 /nfs/dbraw/zinc/75/26/56/1063752656.db2.gz UORJFZHRORHOOZ-BXUZGUMPSA-N 0 3 314.256 4.225 20 0 DIADHN COc1cc(C[NH2+][C@H]2C[C@@H](C)CC(C)(C)C2)cc(Cl)c1[O-] ZINC001258690107 1063754319 /nfs/dbraw/zinc/75/43/19/1063754319.db2.gz OCGRJCIFRHHQEA-YPMHNXCESA-N 0 3 311.853 4.359 20 0 DIADHN CCCC[C@H](CCC)NCc1nc(Br)cs1 ZINC001258755886 1063803337 /nfs/dbraw/zinc/80/33/37/1063803337.db2.gz CGMIFIFXJLZXHO-JTQLQIEISA-N 0 3 305.285 4.354 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2ccnn2CC2CCC2)cc1 ZINC001461871475 1063764177 /nfs/dbraw/zinc/76/41/77/1063764177.db2.gz NHTGEQAFDWHXJK-QGZVFWFLSA-N 0 3 311.473 4.055 20 0 DIADHN CC[C@H]1CN(Cc2cnc(C3CC3)nc2)CC[C@@H]1c1ccccc1 ZINC001461886715 1063774905 /nfs/dbraw/zinc/77/49/05/1063774905.db2.gz XRKLMJUETWXYKU-PXNSSMCTSA-N 0 3 321.468 4.370 20 0 DIADHN CC[C@@H]1CN(Cc2cnc(C3CC3)nc2)CC[C@H]1c1ccccc1 ZINC001461886717 1063775041 /nfs/dbraw/zinc/77/50/41/1063775041.db2.gz XRKLMJUETWXYKU-YLJYHZDGSA-N 0 3 321.468 4.370 20 0 DIADHN CCn1cncc1CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001461888963 1063777899 /nfs/dbraw/zinc/77/78/99/1063777899.db2.gz ZCSPHOLBXOEIIK-JXOMPUQVSA-N 0 3 309.457 4.361 20 0 DIADHN C[C@@H](Cc1cccc(Cl)c1)NCc1cc(F)cc(F)c1F ZINC001258739429 1063788088 /nfs/dbraw/zinc/78/80/88/1063788088.db2.gz YYIMYRIXIINTLF-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN COc1cccc(OC)c1CN[C@@H](C)Cc1cccc(Cl)c1 ZINC001258738713 1063788685 /nfs/dbraw/zinc/78/86/85/1063788685.db2.gz YLTYFGMNZVDROT-ZDUSSCGKSA-N 0 3 319.832 4.078 20 0 DIADHN CCc1cccc2cc(CN3CCC(OCC4CC4)CC3)oc21 ZINC001461908892 1063791280 /nfs/dbraw/zinc/79/12/80/1063791280.db2.gz ASADDCIBJOZDMB-UHFFFAOYSA-N 0 3 313.441 4.386 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N1CC[C@H](F)C(F)(F)CC1 ZINC001258745816 1063795460 /nfs/dbraw/zinc/79/54/60/1063795460.db2.gz WKLVUKDPGNCNEG-RISCZKNCSA-N 0 3 305.771 4.340 20 0 DIADHN COc1ncc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)s1 ZINC001461913952 1063795679 /nfs/dbraw/zinc/79/56/79/1063795679.db2.gz QIZDOEQYFGJKLY-NEPJUHHUSA-N 0 3 324.877 4.429 20 0 DIADHN C[C@H]([C@@H](C)c1ccc(Cl)cc1)N(C)Cc1cn(C2CC2)cn1 ZINC001461912151 1063795835 /nfs/dbraw/zinc/79/58/35/1063795835.db2.gz KNTQPPLFHSVKIO-ZIAGYGMSSA-N 0 3 317.864 4.495 20 0 DIADHN CC(C)n1cncc1CN1CC[C@@](C)(CCc2ccccc2)C1 ZINC001461920937 1063804189 /nfs/dbraw/zinc/80/41/89/1063804189.db2.gz TUNJLLFIJFRVHW-HXUWFJFHSA-N 0 3 311.473 4.309 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN(C)CC(C)(C)OC ZINC001461923302 1063805491 /nfs/dbraw/zinc/80/54/91/1063805491.db2.gz AKDITJRVEBHMMW-UHFFFAOYSA-N 0 3 313.441 4.219 20 0 DIADHN COc1cc(CN2CCC(CCC(C)C)CC2)cc(OC)c1O ZINC001461923379 1063805536 /nfs/dbraw/zinc/80/55/36/1063805536.db2.gz BZDKVAYQZNTOLI-UHFFFAOYSA-N 0 3 321.461 4.058 20 0 DIADHN CCOCOc1ccc(CN2CC=C(C(C)(C)C)CC2)cc1 ZINC001461927829 1063810093 /nfs/dbraw/zinc/81/00/93/1063810093.db2.gz SNXYTBQSVANVDS-UHFFFAOYSA-N 0 3 303.446 4.238 20 0 DIADHN CCOc1cncc(CN(Cc2cccc(C)c2)CC(C)C)c1 ZINC001461935884 1063821173 /nfs/dbraw/zinc/82/11/73/1063821173.db2.gz PLQQLHLBSCNBBB-UHFFFAOYSA-N 0 3 312.457 4.447 20 0 DIADHN CC[C@@H](C)C[C@H](CC)NCc1nc(SC)ncc1Cl ZINC001258783773 1063821176 /nfs/dbraw/zinc/82/11/76/1063821176.db2.gz IVYDCFFSZBSCED-MNOVXSKESA-N 0 3 301.887 4.156 20 0 DIADHN CC[C@@H](C)C[C@@H](CC)NCc1nc(SC)ncc1Cl ZINC001258783772 1063822216 /nfs/dbraw/zinc/82/22/16/1063822216.db2.gz IVYDCFFSZBSCED-GHMZBOCLSA-N 0 3 301.887 4.156 20 0 DIADHN CC[C@@H](C)C[C@@H](CC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258795462 1063831375 /nfs/dbraw/zinc/83/13/75/1063831375.db2.gz RKNCRJKWBIXPDD-SJLPKXTDSA-N 0 3 317.477 4.051 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cc(C)nc3c2CCCC3)c1 ZINC001461947604 1063834029 /nfs/dbraw/zinc/83/40/29/1063834029.db2.gz ZNEWKURMVGXHRF-UHFFFAOYSA-N 0 3 324.468 4.088 20 0 DIADHN COC1(CN(Cc2c(F)cccc2F)C2CCCC2)CCC1 ZINC001461951150 1063834652 /nfs/dbraw/zinc/83/46/52/1063834652.db2.gz YXMJSXKYBZJOCU-UHFFFAOYSA-N 0 3 309.400 4.279 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001258807953 1063837786 /nfs/dbraw/zinc/83/77/86/1063837786.db2.gz VJCRODHIQWEEES-KOLCDFICSA-N 0 3 306.759 4.422 20 0 DIADHN C[C@H]1CCC[C@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001258807955 1063837899 /nfs/dbraw/zinc/83/78/99/1063837899.db2.gz VJCRODHIQWEEES-ONGXEEELSA-N 0 3 306.759 4.422 20 0 DIADHN COc1cc(Cl)c(CN([C@@H](C)C2CC2)C2CC2)cc1OC ZINC001461956158 1063838507 /nfs/dbraw/zinc/83/85/07/1063838507.db2.gz OTNYOOAYRKKIMN-NSHDSACASA-N 0 3 309.837 4.120 20 0 DIADHN CSc1ccc(CN2CCN(C3CCC(C)CC3)CC2)s1 ZINC001461961383 1063840629 /nfs/dbraw/zinc/84/06/29/1063840629.db2.gz IPEGLNBDHSFPKQ-UHFFFAOYSA-N 0 3 324.559 4.166 20 0 DIADHN CCN(Cc1ccc(SC)o1)[C@@H](COC)Cc1ccccc1 ZINC001461959343 1063842049 /nfs/dbraw/zinc/84/20/49/1063842049.db2.gz NEBUIBZKQVWYRB-MRXNPFEDSA-N 0 3 319.470 4.081 20 0 DIADHN COc1cccc(-c2nc(CN[C@H]3CCC[C@H](C)C3)co2)c1 ZINC001258814843 1063844504 /nfs/dbraw/zinc/84/45/04/1063844504.db2.gz XNGIKKZJEWBSRO-ZFWWWQNUSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001461984848 1063860066 /nfs/dbraw/zinc/86/00/66/1063860066.db2.gz COQSJKIKYNOZBA-YJBOKZPZSA-N 0 3 313.420 4.084 20 0 DIADHN CC(C)[C@@H]1CN(C[C@H](C)c2ccc(C(F)(F)F)cc2)CCO1 ZINC001461995552 1063867859 /nfs/dbraw/zinc/86/78/59/1063867859.db2.gz KPEUSPOHKADIOL-BBRMVZONSA-N 0 3 315.379 4.166 20 0 DIADHN Cc1ccnc(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)c1Br ZINC001461998112 1063869291 /nfs/dbraw/zinc/86/92/91/1063869291.db2.gz UUGDPDLLBQDWSV-YDHLFZDLSA-N 0 3 323.278 4.163 20 0 DIADHN COc1ccccc1C[C@H](C)NCc1ccc(C(F)(F)F)o1 ZINC001258886198 1063872575 /nfs/dbraw/zinc/87/25/75/1063872575.db2.gz GBACUQDUFJCNFW-NSHDSACASA-N 0 3 313.319 4.028 20 0 DIADHN COc1ccccc1C[C@@H](C)N1CCc2c(Cl)cccc2C1 ZINC001258894319 1063875473 /nfs/dbraw/zinc/87/54/73/1063875473.db2.gz VDWZDIXRCYVLSX-CQSZACIVSA-N 0 3 315.844 4.338 20 0 DIADHN CN(Cc1ccc2[nH]c(=O)[nH]c2c1)Cc1ccc2ccccc2c1 ZINC001462019367 1063879718 /nfs/dbraw/zinc/87/97/18/1063879718.db2.gz SPVLZBQEHACWQV-UHFFFAOYSA-N 0 3 317.392 4.054 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN(C)[C@H]1CCSC1 ZINC001462021505 1063882703 /nfs/dbraw/zinc/88/27/03/1063882703.db2.gz WOEDJAVMEPCBSL-SFHVURJKSA-N 0 3 313.466 4.300 20 0 DIADHN CCNc1ccccc1CN(C)CCOc1ccccc1Cl ZINC001462026125 1063886559 /nfs/dbraw/zinc/88/65/59/1063886559.db2.gz MOSVFMCZWWVTQP-UHFFFAOYSA-N 0 3 318.848 4.283 20 0 DIADHN COc1cc(CN(C)CCOc2ccc(C)cc2)ccc1Cl ZINC001462025088 1063886662 /nfs/dbraw/zinc/88/66/62/1063886662.db2.gz HHLDBDHCFSTSJD-UHFFFAOYSA-N 0 3 319.832 4.168 20 0 DIADHN CCOC(=O)c1[nH]c(C)c(CN(C)[C@@H](C)c2ccccc2)c1C ZINC001462031269 1063891490 /nfs/dbraw/zinc/89/14/90/1063891490.db2.gz NOMZBFPUZAMAJO-HNNXBMFYSA-N 0 3 314.429 4.001 20 0 DIADHN CCOC(=O)c1[nH]c(C)c(CN(C)[C@H](C)c2ccccc2)c1C ZINC001462031275 1063891887 /nfs/dbraw/zinc/89/18/87/1063891887.db2.gz NOMZBFPUZAMAJO-OAHLLOKOSA-N 0 3 314.429 4.001 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001462030646 1063892009 /nfs/dbraw/zinc/89/20/09/1063892009.db2.gz LVSTXSCXWMCSTK-QRWLVFNGSA-N 0 3 323.436 4.356 20 0 DIADHN Clc1csc(CN2CCN(CC3CCCCC3)CC2)c1 ZINC001462043320 1063898417 /nfs/dbraw/zinc/89/84/17/1063898417.db2.gz KIFVGCGZWDPLNV-UHFFFAOYSA-N 0 3 312.910 4.099 20 0 DIADHN COC(=O)C(C)(C)[C@@H]1CCCN(Cc2ccc(Cl)c(C)c2)C1 ZINC001462070897 1063914449 /nfs/dbraw/zinc/91/44/49/1063914449.db2.gz XZABMXFVXYUAEJ-OAHLLOKOSA-N 0 3 323.864 4.060 20 0 DIADHN C[C@]1(NCc2ccnn2CC2CCC2)CCCc2ccccc21 ZINC001462077280 1063916785 /nfs/dbraw/zinc/91/67/85/1063916785.db2.gz ZPTLUYBDFZZLPJ-FQEVSTJZSA-N 0 3 309.457 4.025 20 0 DIADHN C[C@H](CCc1ccc(F)cc1)N[C@H]1COc2cc(F)cc(F)c21 ZINC001462085902 1063922770 /nfs/dbraw/zinc/92/27/70/1063922770.db2.gz JLHDOIJTHYHZLB-BZNIZROVSA-N 0 3 321.342 4.148 20 0 DIADHN COC(=O)c1ccc(CN(C)C/C(C)=C\c2ccccc2)cc1 ZINC001462094454 1063926695 /nfs/dbraw/zinc/92/66/95/1063926695.db2.gz GBHCVNWUVMCKTO-SSZFMOIBSA-N 0 3 309.409 4.009 20 0 DIADHN CCC1(CC)CCN(Cc2cc(C(=O)OC)c(C(C)C)[nH]2)CC1 ZINC001462105416 1063931646 /nfs/dbraw/zinc/93/16/46/1063931646.db2.gz OWYNSNGIODKOCA-UHFFFAOYSA-N 0 3 320.477 4.327 20 0 DIADHN CC1(C)CCC[C@@H](NCc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC001462108219 1063933156 /nfs/dbraw/zinc/93/31/56/1063933156.db2.gz XWBCEAQDRZUQOZ-MRXNPFEDSA-N 0 3 324.472 4.203 20 0 DIADHN Fc1ccc(CCCCCNCc2cc(F)ncc2F)cc1 ZINC001462119521 1063938446 /nfs/dbraw/zinc/93/84/46/1063938446.db2.gz JRTZCFCKFZGONO-UHFFFAOYSA-N 0 3 308.347 4.002 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000570203828 1063950918 /nfs/dbraw/zinc/95/09/18/1063950918.db2.gz MOESYFGGOFNVPK-HUUCEWRRSA-N 0 3 302.245 4.195 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc3ncsc3c2)c1C ZINC001262263400 1063962894 /nfs/dbraw/zinc/96/28/94/1063962894.db2.gz OVGRLIHYHRXNKB-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1ccc(C2CC(NCc3ccc(Br)o3)C2)cc1 ZINC000378936558 1063980975 /nfs/dbraw/zinc/98/09/75/1063980975.db2.gz DNLSCGJUPUWXKA-UHFFFAOYSA-N 0 3 320.230 4.386 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc3cccnc32)cc1[N+](=O)[O-] ZINC000378959511 1063983315 /nfs/dbraw/zinc/98/33/15/1063983315.db2.gz OZBZRQCXQXHCOB-AWEZNQCLSA-N 0 3 321.380 4.302 20 0 DIADHN CCCC[C@@H](CCC)NCc1ncc(Br)s1 ZINC000381368886 1063991742 /nfs/dbraw/zinc/99/17/42/1063991742.db2.gz HRLTXRLIDRQSJB-SNVBAGLBSA-N 0 3 305.285 4.354 20 0 DIADHN Cc1ccc(OC(=O)[C@@H]2CCCN(Cc3ccccc3)C2)c(C)c1 ZINC001264124662 1064020931 /nfs/dbraw/zinc/02/09/31/1064020931.db2.gz KYXLDDXRRBNWOR-LJQANCHMSA-N 0 3 323.436 4.121 20 0 DIADHN Brc1sccc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC000388994151 1064120272 /nfs/dbraw/zinc/12/02/72/1064120272.db2.gz HTPZOXBAKYGIKW-QWRGUYRKSA-N 0 3 300.265 4.133 20 0 DIADHN CC[C@H](CC(=O)N(CCN(C)C)c1ccccc1)C(C)(C)C ZINC001264838028 1064146381 /nfs/dbraw/zinc/14/63/81/1064146381.db2.gz ZGMVMDFEYNZVKW-MRXNPFEDSA-N 0 3 304.478 4.044 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H]2CC[C@@H](C)C[C@@H]2C)o1 ZINC001265341543 1064219970 /nfs/dbraw/zinc/21/99/70/1064219970.db2.gz IEHMVYBIJUOWRK-IJEWVQPXSA-N 0 3 307.434 4.103 20 0 DIADHN CN(Cc1ccc(Br)cc1F)CC1CC(C)(C)C1 ZINC000701431935 1064250943 /nfs/dbraw/zinc/25/09/43/1064250943.db2.gz RERWKMBRLUJKQB-UHFFFAOYSA-N 0 3 314.242 4.456 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)Cc1cccs1)CC(C)(C)C ZINC001265756142 1064269687 /nfs/dbraw/zinc/26/96/87/1064269687.db2.gz YXJXXHIGKWGEAF-OAHLLOKOSA-N 0 3 324.534 4.101 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ncc(Cl)n2C)C[C@@H](c2ccccc2)C1 ZINC000393310687 1064270657 /nfs/dbraw/zinc/27/06/57/1064270657.db2.gz QYQSWYPJCNNSIZ-CWRNSKLLSA-N 0 3 317.864 4.136 20 0 DIADHN COCc1cccc(CN[C@H]2CCSc3ccc(F)cc32)c1 ZINC000393424377 1064279688 /nfs/dbraw/zinc/27/96/88/1064279688.db2.gz NXZLRDAMEWZVOL-KRWDZBQOSA-N 0 3 317.429 4.299 20 0 DIADHN Fc1ccc(-c2nc(CNC3Cc4ccccc4C3)cs2)cc1 ZINC000393515731 1064283095 /nfs/dbraw/zinc/28/30/95/1064283095.db2.gz XLORYJNWQSYTCU-UHFFFAOYSA-N 0 3 324.424 4.206 20 0 DIADHN CCCC[C@@H](CC)CN1CCC12CN(c1ccc(F)c(C)n1)C2 ZINC001280103545 1064380717 /nfs/dbraw/zinc/38/07/17/1064380717.db2.gz IRBKJQFJHOZGKL-MRXNPFEDSA-N 0 3 319.468 4.010 20 0 DIADHN CCCC[C@@H](CC)CN1C[C@H]2C[C@@H](C1)N2C(=O)OC1CCCC1 ZINC001280326885 1064393534 /nfs/dbraw/zinc/39/35/34/1064393534.db2.gz WXWJJLLQDXELEX-ZACQAIPSSA-N 0 3 322.493 4.041 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C)Cc1ccc2nonc2c1 ZINC000821343460 1064416488 /nfs/dbraw/zinc/41/64/88/1064416488.db2.gz XJXSGMFVURSAER-LLVKDONJSA-N 0 3 301.777 4.069 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC001290116213 1064682716 /nfs/dbraw/zinc/68/27/16/1064682716.db2.gz SWUVVNABEDXLCP-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN CN(C)[C@H](CNC(=O)C[C@H]1CCCCC1(C)C)c1ccccc1 ZINC001290199521 1064684682 /nfs/dbraw/zinc/68/46/82/1064684682.db2.gz PVOHTUAMTXMVNI-QZTJIDSGSA-N 0 3 316.489 4.012 20 0 DIADHN CCCCCC[C@@H](C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001290512194 1064697927 /nfs/dbraw/zinc/69/79/27/1064697927.db2.gz KYAUMLQXPWDWSV-OAHLLOKOSA-N 0 3 315.461 4.380 20 0 DIADHN CC[C@H](C(=O)N[C@H](CCC(C)C)c1ccc(Cl)cc1)N(C)C ZINC001291711646 1064740328 /nfs/dbraw/zinc/74/03/28/1064740328.db2.gz RPHVXNNXDZMJSW-IAGOWNOFSA-N 0 3 324.896 4.274 20 0 DIADHN CCC[C@@H](C(=O)N(CCN(C)C)CC1CCCCC1)C(C)C ZINC001292113077 1064759792 /nfs/dbraw/zinc/75/97/92/1064759792.db2.gz UZCBSQBFSKLFHI-GOSISDBHSA-N 0 3 310.526 4.029 20 0 DIADHN CC[C@@H](C)CCC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001292378740 1064771619 /nfs/dbraw/zinc/77/16/19/1064771619.db2.gz SDOMJHVJONZMJP-APWZRJJASA-N 0 3 316.489 4.074 20 0 DIADHN CC[C@@H](C)CCC(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001292378743 1064771944 /nfs/dbraw/zinc/77/19/44/1064771944.db2.gz SDOMJHVJONZMJP-VQIMIIECSA-N 0 3 316.489 4.074 20 0 DIADHN CC(C)N(C)CC(=O)N[C@@H](CC1CCCCC1)c1ccccc1 ZINC001292572321 1064780858 /nfs/dbraw/zinc/78/08/58/1064780858.db2.gz PXQJYHGVILYDHB-IBGZPJMESA-N 0 3 316.489 4.155 20 0 DIADHN CCCN(C)CC(=O)N[C@@H](CC1CCCCC1)c1ccccc1 ZINC001292572556 1064781133 /nfs/dbraw/zinc/78/11/33/1064781133.db2.gz YFVXXURJAFGXKZ-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN CCCCN(C(=O)CN(C)CC(C)(C)C)[C@@H](C)c1ccccc1 ZINC001293864047 1064834689 /nfs/dbraw/zinc/83/46/89/1064834689.db2.gz GQWRGQHAZSJJJG-KRWDZBQOSA-N 0 3 318.505 4.354 20 0 DIADHN CC[C@H](C(=O)Nc1cc(Cl)c(F)c(Cl)c1)N(CC)CC ZINC001297497653 1064980396 /nfs/dbraw/zinc/98/03/96/1064980396.db2.gz VLWZBVLLPCMLHQ-GFCCVEGCSA-N 0 3 321.223 4.191 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001297504017 1064980913 /nfs/dbraw/zinc/98/09/13/1064980913.db2.gz XEDBOXQEYRPXHK-MJGOQNOKSA-N 0 3 320.521 4.116 20 0 DIADHN CCC[C@@H](NC(=O)c1cccc(CN2CCCC2)c1)C(C)(C)C ZINC001298073325 1064997361 /nfs/dbraw/zinc/99/73/61/1064997361.db2.gz UNEKHRXNQXEFOF-GOSISDBHSA-N 0 3 316.489 4.227 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H]1CCC=CCCC1 ZINC001298607908 1065012718 /nfs/dbraw/zinc/01/27/18/1065012718.db2.gz BYGIVSMWTOMBCX-HNNXBMFYSA-N 0 3 315.461 4.179 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1CCCN(CC)C[C@@H]1C ZINC001299019241 1065026977 /nfs/dbraw/zinc/02/69/77/1065026977.db2.gz YUGUANCCTHWOIH-PKOBYXMFSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@]1(C)C[C@H](C)CC(C)(C)C1 ZINC001303328404 1065113760 /nfs/dbraw/zinc/11/37/60/1065113760.db2.gz WANXCFJSHXZJPF-VQIMIIECSA-N 0 3 310.526 4.220 20 0 DIADHN C/C(=C\Cl)CSCCCN1CCN(c2ccccc2)CC1 ZINC001307334943 1065346240 /nfs/dbraw/zinc/34/62/40/1065346240.db2.gz YFFKTUBGWPCVIY-JQIJEIRASA-N 0 3 324.921 4.075 20 0 DIADHN CC(C)(C)N1CCN(Cc2cc(Cl)cc(Cl)c2F)CC1 ZINC001307425645 1065386640 /nfs/dbraw/zinc/38/66/40/1065386640.db2.gz LKVGUVAEMVWALS-UHFFFAOYSA-N 0 3 319.251 4.049 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC001307434044 1065392529 /nfs/dbraw/zinc/39/25/29/1065392529.db2.gz PZPBMMDLIGAPIV-CJNGLKHVSA-N 0 3 319.399 4.161 20 0 DIADHN Cc1nc(CN(CCc2ccccc2)CC(C)C)c(C)s1 ZINC001307431877 1065394017 /nfs/dbraw/zinc/39/40/17/1065394017.db2.gz RTFSSZJXEVAFEL-UHFFFAOYSA-N 0 3 302.487 4.461 20 0 DIADHN CCOc1ccc2c(c1)CN(Cc1cccc3ncccc13)CC2 ZINC001307433155 1065394096 /nfs/dbraw/zinc/39/40/96/1065394096.db2.gz FLKSVJWDWGYADO-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN CCOc1ccc2c(c1)CN(CCCSC(C)(C)C)CC2 ZINC001307434025 1065394778 /nfs/dbraw/zinc/39/47/78/1065394778.db2.gz QNIHAZNINOPDHW-UHFFFAOYSA-N 0 3 307.503 4.365 20 0 DIADHN CCCN(CCn1cc(Cl)cn1)[C@H](C)c1ccccc1OC ZINC001307434828 1065395135 /nfs/dbraw/zinc/39/51/35/1065395135.db2.gz DPUTULJVAIGNKF-CQSZACIVSA-N 0 3 321.852 4.018 20 0 DIADHN COc1ccc2c(c1)CN([C@@H](C)c1ccc3c(c1)CCC3)CC2 ZINC001307444592 1065404771 /nfs/dbraw/zinc/40/47/71/1065404771.db2.gz FYCVYYXSNWAFDT-HNNXBMFYSA-N 0 3 307.437 4.303 20 0 DIADHN O=C(CN1CCC[C@@H]1C1CCC1)Nc1ccc2ccccc2c1 ZINC001307453597 1065408560 /nfs/dbraw/zinc/40/85/60/1065408560.db2.gz SRWRZBCKSOZDIE-LJQANCHMSA-N 0 3 308.425 4.043 20 0 DIADHN O=C(CN1CCC[C@H]1C1CCC1)Nc1ccc2ccccc2c1 ZINC001307453596 1065408748 /nfs/dbraw/zinc/40/87/48/1065408748.db2.gz SRWRZBCKSOZDIE-IBGZPJMESA-N 0 3 308.425 4.043 20 0 DIADHN COc1ccc(CCN(C/C=C/Cl)Cc2ccccc2)cc1 ZINC001307454213 1065411108 /nfs/dbraw/zinc/41/11/08/1065411108.db2.gz MZXYHDBRPXEXAS-WLRTZDKTSA-N 0 3 315.844 4.492 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2CCc2cccc(F)c2)cc1 ZINC001307466573 1065417019 /nfs/dbraw/zinc/41/70/19/1065417019.db2.gz RAOOSDZNEXJSKG-IBGZPJMESA-N 0 3 315.457 4.467 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCN(CCC(C)C)CC1 ZINC001307469246 1065419837 /nfs/dbraw/zinc/41/98/37/1065419837.db2.gz JBMMFJPNAWRSFY-SFHVURJKSA-N 0 3 310.432 4.080 20 0 DIADHN CCC[C@@H](C)N1CCN([C@H](CC)c2ccc(F)cc2F)CC1 ZINC001307473661 1065421931 /nfs/dbraw/zinc/42/19/31/1065421931.db2.gz ZAACNGXUXMYXSE-RDTXWAMCSA-N 0 3 310.432 4.222 20 0 DIADHN CC(C)(C)OC(=O)[C@@H](C1CC1)N1CCC[C@@H](C(C)(C)C)CC1 ZINC001307473136 1065422070 /nfs/dbraw/zinc/42/20/70/1065422070.db2.gz NBOOVCODBBIBJE-HZPDHXFCSA-N 0 3 309.494 4.255 20 0 DIADHN Fc1cccc(CCN(Cc2cnc(Cl)c(F)c2)C2CC2)c1 ZINC001307478234 1065426230 /nfs/dbraw/zinc/42/62/30/1065426230.db2.gz ISTGHKREUUBZIB-UHFFFAOYSA-N 0 3 322.786 4.220 20 0 DIADHN Fc1ccccc1C1CCN(CCOC2CCCCC2)CC1 ZINC001307483262 1065428228 /nfs/dbraw/zinc/42/82/28/1065428228.db2.gz WJOFQNAULYWKDB-UHFFFAOYSA-N 0 3 305.437 4.354 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2CCCOC(F)(F)F)o1 ZINC001307488817 1065432149 /nfs/dbraw/zinc/43/21/49/1065432149.db2.gz HKPIGSJCQMHFAJ-CYBMUJFWSA-N 0 3 305.340 4.432 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1ccc(C)c(C)c1 ZINC001307486185 1065432549 /nfs/dbraw/zinc/43/25/49/1065432549.db2.gz RVMBKCKJABUTEE-RDTXWAMCSA-N 0 3 302.462 4.143 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cccc(OC)c2Cl)C1 ZINC001307495659 1065440556 /nfs/dbraw/zinc/44/05/56/1065440556.db2.gz PNVGJNHFVGDLBG-KGLIPLIRSA-N 0 3 313.894 4.455 20 0 DIADHN CCCN(Cc1n[nH]cc1C)[C@H]1C[C@H](OCC)C12CCCCC2 ZINC001307502826 1065446739 /nfs/dbraw/zinc/44/67/39/1065446739.db2.gz FRCLWGMMMGVWEM-ROUUACIJSA-N 0 3 319.493 4.058 20 0 DIADHN COc1ncc(CN2Cc3ccccc3C[C@H]2C)c2ccccc21 ZINC001307505072 1065448042 /nfs/dbraw/zinc/44/80/42/1065448042.db2.gz FTTSVSDMYIEHED-OAHLLOKOSA-N 0 3 318.420 4.190 20 0 DIADHN CCCCN(CCCC)Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC001307505588 1065449197 /nfs/dbraw/zinc/44/91/97/1065449197.db2.gz MSSNUMUHJKKVMY-UHFFFAOYSA-N 0 3 323.387 4.417 20 0 DIADHN CCCC1CCN(C[C@@H]2CC(c3ccc(Cl)cc3)=NO2)CC1 ZINC001307509026 1065450851 /nfs/dbraw/zinc/45/08/51/1065450851.db2.gz WPUFGHRQNQJWNY-KRWDZBQOSA-N 0 3 320.864 4.345 20 0 DIADHN CN(c1ccccc1)C1CCN([C@@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC001307516276 1065454647 /nfs/dbraw/zinc/45/46/47/1065454647.db2.gz GAZBKXKXYZDPNS-LEWJYISDSA-N 0 3 324.443 4.282 20 0 DIADHN CN(c1ccccc1)C1CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC001307516279 1065454667 /nfs/dbraw/zinc/45/46/67/1065454667.db2.gz GAZBKXKXYZDPNS-SFTDATJTSA-N 0 3 324.443 4.282 20 0 DIADHN C[C@@]1(CCc2ccccc2)CCN(Cc2cc(C3CC3)no2)C1 ZINC001307518767 1065457055 /nfs/dbraw/zinc/45/70/55/1065457055.db2.gz WSNYDYNUDQCHMS-HXUWFJFHSA-N 0 3 310.441 4.397 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3ccccc3)[C@@H]2C)nc1Cl ZINC001307518733 1065458031 /nfs/dbraw/zinc/45/80/31/1065458031.db2.gz VXDFESIZXPGGNP-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(NC(=O)CN(C)C2CCC(C)(C)CC2)c(C)c1 ZINC001307530185 1065466968 /nfs/dbraw/zinc/46/69/68/1065466968.db2.gz PZVMANJJSUPBGM-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN c1ccc(C2(NCc3ccc(-c4ccccn4)nc3)CCC2)cc1 ZINC001307534972 1065468645 /nfs/dbraw/zinc/46/86/45/1065468645.db2.gz PLACLCOYAODVPE-UHFFFAOYSA-N 0 3 315.420 4.313 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)CC1CC2(CCC2)C1 ZINC001307536060 1065470211 /nfs/dbraw/zinc/47/02/11/1065470211.db2.gz RNKCBDNRFMQZRR-UHFFFAOYSA-N 0 3 314.473 4.144 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCOc1ccc(F)c(Cl)c1 ZINC001307551079 1065477205 /nfs/dbraw/zinc/47/72/05/1065477205.db2.gz DFIQANTXUDSEGW-GFCCVEGCSA-N 0 3 323.795 4.257 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2c(C)nsc2C)C1(CC)CC ZINC001307551667 1065478121 /nfs/dbraw/zinc/47/81/21/1065478121.db2.gz OBAHHGYDWOFZRF-HOTGVXAUSA-N 0 3 310.507 4.176 20 0 DIADHN CC(C)c1ccccc1CN(C)[C@@H](C)CNC(=O)OC(C)(C)C ZINC001307553446 1065478443 /nfs/dbraw/zinc/47/84/43/1065478443.db2.gz PDTOLWBSIJPJMR-HNNXBMFYSA-N 0 3 320.477 4.155 20 0 DIADHN CCO[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)C1CC1 ZINC001307552102 1065478531 /nfs/dbraw/zinc/47/85/31/1065478531.db2.gz PCHVYPWDAXVEHL-UXHICEINSA-N 0 3 313.416 4.320 20 0 DIADHN CC1(C)CO[C@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC001307551396 1065478692 /nfs/dbraw/zinc/47/86/92/1065478692.db2.gz IDGQUKJHWVENLX-RBUKOAKNSA-N 0 3 313.416 4.320 20 0 DIADHN COCCN(CCCc1ccc(Cl)cc1)Cc1ccc(C)o1 ZINC001307554958 1065480039 /nfs/dbraw/zinc/48/00/39/1065480039.db2.gz BENMBQMJCKZLQE-UHFFFAOYSA-N 0 3 321.848 4.323 20 0 DIADHN CCCOC(=O)[C@@H](C)N(CC(C)C)[C@H](CC)c1ccccc1 ZINC001307554136 1065480637 /nfs/dbraw/zinc/48/06/37/1065480637.db2.gz CZTNTUVOWQBQEO-SJLPKXTDSA-N 0 3 305.462 4.437 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC[C@H]1CC(C)C ZINC001307558279 1065482466 /nfs/dbraw/zinc/48/24/66/1065482466.db2.gz PDHLJFJZLYHEJF-HNNXBMFYSA-N 0 3 322.880 4.488 20 0 DIADHN CCC[C@H]1CCCN([C@@H](CC)C(=O)Nc2ccc(C)cc2)C1 ZINC001307562886 1065484162 /nfs/dbraw/zinc/48/41/62/1065484162.db2.gz HYEVHQCVSXHFLB-WMZOPIPTSA-N 0 3 302.462 4.224 20 0 DIADHN C[C@H]1Cc2ccc(Br)cc2CN1CC1CCCC1 ZINC001307591377 1065500617 /nfs/dbraw/zinc/50/06/17/1065500617.db2.gz MKGHLKORKHYSBG-LBPRGKRZSA-N 0 3 308.263 4.386 20 0 DIADHN C[C@H](COCc1ccccc1)CN1CCc2c(F)cccc2C1 ZINC001307589246 1065500646 /nfs/dbraw/zinc/50/06/46/1065500646.db2.gz ULISLUGTJGYYCA-INIZCTEOSA-N 0 3 313.416 4.037 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N(C)CC1(O)CCCC1 ZINC001307604365 1065508310 /nfs/dbraw/zinc/50/83/10/1065508310.db2.gz ORIIFTGIIPHNFX-NSHDSACASA-N 0 3 302.245 4.291 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2cc(F)ccc2OC(F)F)C1 ZINC001307601931 1065510189 /nfs/dbraw/zinc/51/01/89/1065510189.db2.gz GTYHJDDZHNOVFF-GFCCVEGCSA-N 0 3 301.352 4.295 20 0 DIADHN COc1ccccc1OCCN[C@H](C)c1cc(Cl)ccc1F ZINC001307611153 1065515338 /nfs/dbraw/zinc/51/53/38/1065515338.db2.gz LERJVENACAKIPO-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccc(F)cc1F)C1CC1)C1CCCC1 ZINC001307616957 1065520893 /nfs/dbraw/zinc/52/08/93/1065520893.db2.gz PNECDNGLJRGBIY-ZWKOTPCHSA-N 0 3 309.400 4.211 20 0 DIADHN CCC(CC)[C@H](NCC(=O)NCCC(C)(C)C)c1ccccc1 ZINC001307622443 1065522089 /nfs/dbraw/zinc/52/20/89/1065522089.db2.gz XYOUEXJRDAZYFY-IBGZPJMESA-N 0 3 318.505 4.306 20 0 DIADHN CC[C@H](NC[C@H]1CCCC2(CCC2)O1)c1ccc(F)cc1F ZINC001307625508 1065525467 /nfs/dbraw/zinc/52/54/67/1065525467.db2.gz QDJIQKKDGKQGGH-PBHICJAKSA-N 0 3 309.400 4.497 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2cccc(C)c2C)cc1 ZINC001307626408 1065525486 /nfs/dbraw/zinc/52/54/86/1065525486.db2.gz KUTQGTPWIJJGEW-UHFFFAOYSA-N 0 3 324.468 4.329 20 0 DIADHN CC[C@H](NCC(=O)N(C)[C@@H](C)CC(C)C)c1ccc(Cl)cc1 ZINC001307630408 1065528709 /nfs/dbraw/zinc/52/87/09/1065528709.db2.gz QNPFXOJMPKCHBP-YOEHRIQHSA-N 0 3 324.896 4.274 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cccc(N(C)C)n1 ZINC001307634905 1065530016 /nfs/dbraw/zinc/53/00/16/1065530016.db2.gz MLEHOHIXHOFRPW-LJQANCHMSA-N 0 3 311.473 4.333 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2C)C(C)C)cc1 ZINC001307635132 1065533417 /nfs/dbraw/zinc/53/34/17/1065533417.db2.gz OOCQZXYRJORTQQ-HXUWFJFHSA-N 0 3 310.441 4.229 20 0 DIADHN Fc1cccc(CN2CCN(CC/C=C/c3ccccc3)CC2)c1 ZINC001307646534 1065538309 /nfs/dbraw/zinc/53/83/09/1065538309.db2.gz ISCQKINHBWIHQW-RUDMXATFSA-N 0 3 324.443 4.047 20 0 DIADHN CC[C@]1(C)COCCN1CCCOc1cccc2ccccc21 ZINC001307646518 1065539921 /nfs/dbraw/zinc/53/99/21/1065539921.db2.gz YSUVZKZHQARFSG-HXUWFJFHSA-N 0 3 313.441 4.110 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC001307659873 1065549329 /nfs/dbraw/zinc/54/93/29/1065549329.db2.gz TTWDHKAJWHJTSJ-ZDUSSCGKSA-N 0 3 324.346 4.245 20 0 DIADHN c1ccc(CC2(NCc3nc4c(o3)CCCC4)CCCC2)cc1 ZINC001307669643 1065553455 /nfs/dbraw/zinc/55/34/55/1065553455.db2.gz JADNTOWGURLEJO-UHFFFAOYSA-N 0 3 310.441 4.199 20 0 DIADHN CN(CCc1c(F)cccc1F)Cc1ccc(F)c(Cl)c1 ZINC001307680077 1065558198 /nfs/dbraw/zinc/55/81/98/1065558198.db2.gz FDXRLGUKLYZARS-UHFFFAOYSA-N 0 3 313.750 4.432 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1ncc(Br)cc1Cl ZINC001307682399 1065559942 /nfs/dbraw/zinc/55/99/42/1065559942.db2.gz JABXFMIVFPQKLZ-JOYOIKCWSA-N 0 3 317.658 4.166 20 0 DIADHN CC(C)(C)c1nc(CN2CCCC3(CCCCC3)CC2)n[nH]1 ZINC001307685174 1065562239 /nfs/dbraw/zinc/56/22/39/1065562239.db2.gz CYHAMIHJVGNHHQ-UHFFFAOYSA-N 0 3 304.482 4.039 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CCC[C@@H](C(C)C)C2)n1 ZINC001307693270 1065568732 /nfs/dbraw/zinc/56/87/32/1065568732.db2.gz UUKMTIHTCHJMLC-JKSUJKDBSA-N 0 3 313.445 4.474 20 0 DIADHN CC[C@H](CN(CC)Cc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC001307701647 1065573621 /nfs/dbraw/zinc/57/36/21/1065573621.db2.gz FAALMKUDPRMRDA-OAHLLOKOSA-N 0 3 314.477 4.118 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(=Cc3ccc(F)c(F)c3)CC2)O1 ZINC001307707204 1065576406 /nfs/dbraw/zinc/57/64/06/1065576406.db2.gz FDKUWGMUVFEIDO-INIZCTEOSA-N 0 3 321.411 4.402 20 0 DIADHN CC(C)(NC[C@H]1CC[C@@H](C2CC2)O1)c1cccc(Cl)c1F ZINC001307707938 1065577452 /nfs/dbraw/zinc/57/74/52/1065577452.db2.gz CUXQTUBGFAJWOF-DOMZBBRYSA-N 0 3 311.828 4.261 20 0 DIADHN COc1cccc(CCCN(C)Cc2cc(C)ccc2F)c1 ZINC001307727731 1065589340 /nfs/dbraw/zinc/58/93/40/1065589340.db2.gz JJUIKOVUSFILDV-UHFFFAOYSA-N 0 3 301.405 4.207 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)CCOCCC(C)C ZINC001307736988 1065592841 /nfs/dbraw/zinc/59/28/41/1065592841.db2.gz RNBWRMYJXZMPNF-QGZVFWFLSA-N 0 3 313.869 4.022 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1[C@H]1CCCc2ccccc21 ZINC001307737031 1065593185 /nfs/dbraw/zinc/59/31/85/1065593185.db2.gz MSGDPWDZWQAANI-UZLBHIALSA-N 0 3 324.443 4.414 20 0 DIADHN CCO[C@H]1C[C@H](NCc2scnc2Cl)C12CCCCC2 ZINC001307743192 1065598700 /nfs/dbraw/zinc/59/87/00/1065598700.db2.gz AXNCGFRPGQLYOS-STQMWFEESA-N 0 3 314.882 4.014 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2ccc(C)nc2Cl)C12CCCCC2 ZINC001307743687 1065600977 /nfs/dbraw/zinc/60/09/77/1065600977.db2.gz KMNRKQDHWNMHHW-HZPDHXFCSA-N 0 3 322.880 4.261 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(F)cc2Cl)C12CCCC2 ZINC001307751252 1065604744 /nfs/dbraw/zinc/60/47/44/1065604744.db2.gz FRSOYGFMHKXDIH-CVEARBPZSA-N 0 3 311.828 4.307 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2sccc2Cl)C1(C)C ZINC001307756926 1065607637 /nfs/dbraw/zinc/60/76/37/1065607637.db2.gz KRLLZWSTWDKGQU-UONOGXRCSA-N 0 3 301.883 4.475 20 0 DIADHN CCN1CCN(Cc2ccc(Cl)c3ccccc23)[C@@H](C)C1 ZINC001307763260 1065611343 /nfs/dbraw/zinc/61/13/43/1065611343.db2.gz LMZVLZRGNVXMRX-AWEZNQCLSA-N 0 3 302.849 4.019 20 0 DIADHN Brc1ccc2c(c1)CN(CC13CCC(CC1)C3)CC2 ZINC001307768474 1065613735 /nfs/dbraw/zinc/61/37/35/1065613735.db2.gz TUALHRNYDYPWPM-UHFFFAOYSA-N 0 3 320.274 4.388 20 0 DIADHN CC[C@H](C)N1CCN([C@@H](C)c2ccc(Cl)c(Cl)c2)CC1 ZINC001307771543 1065614353 /nfs/dbraw/zinc/61/43/53/1065614353.db2.gz FHCBTOHQGSDQCO-STQMWFEESA-N 0 3 315.288 4.471 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001307787680 1065619270 /nfs/dbraw/zinc/61/92/70/1065619270.db2.gz YJGPURGNYRSBQI-SFHVURJKSA-N 0 3 317.408 4.276 20 0 DIADHN Cc1cc(CN2CCC[C@@H](c3ccccc3)C2)cc(Cl)n1 ZINC001307782291 1065619989 /nfs/dbraw/zinc/61/99/89/1065619989.db2.gz AHLYBSVNEAEWGU-QGZVFWFLSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)COc1cccc(CN2CCC=C(c3ccccn3)C2)c1 ZINC001307787956 1065620897 /nfs/dbraw/zinc/62/08/97/1065620897.db2.gz FRHJPZSQDIOTAB-UHFFFAOYSA-N 0 3 322.452 4.406 20 0 DIADHN Fc1ccccc1[C@H]1CCN(CC[C@H]2CC2(Cl)Cl)C1 ZINC001307792781 1065624219 /nfs/dbraw/zinc/62/42/19/1065624219.db2.gz QPGRKONKECNXQC-RYUDHWBXSA-N 0 3 302.220 4.199 20 0 DIADHN Clc1ccc(O[C@@H]2CCN(CCOC3CCCCC3)C2)cc1 ZINC001307796337 1065626226 /nfs/dbraw/zinc/62/62/26/1065626226.db2.gz HESBLCPAEKHACN-GOSISDBHSA-N 0 3 323.864 4.142 20 0 DIADHN C[C@@H](NC(=O)CN1CC[C@H](C(C)(C)C)C[C@@H]1C)c1ccccc1 ZINC001307810020 1065631305 /nfs/dbraw/zinc/63/13/05/1065631305.db2.gz HKVKRZIRFZKEJN-JZXOWHBKSA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccc(Br)c(CN2CC[C@H](C3CCC3)C2)c1 ZINC001307841697 1065648069 /nfs/dbraw/zinc/64/80/69/1065648069.db2.gz CUKPIIOZUHPOBO-LBPRGKRZSA-N 0 3 312.226 4.210 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)C[C@H]1CCCC(C)(C)O1 ZINC001307812494 1065633709 /nfs/dbraw/zinc/63/37/09/1065633709.db2.gz UCEWLIUBSQQHTB-WBVHZDCISA-N 0 3 305.462 4.426 20 0 DIADHN CC1(C)CN(CCc2ccc(F)c(F)c2)Cc2ccccc2O1 ZINC001307813635 1065633861 /nfs/dbraw/zinc/63/38/61/1065633861.db2.gz NUIABQRAJUMJBZ-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@H]1CCCC(C)(C)O1 ZINC001307812489 1065633944 /nfs/dbraw/zinc/63/39/44/1065633944.db2.gz UCEWLIUBSQQHTB-NVXWUHKLSA-N 0 3 305.462 4.426 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCC3(C2)Oc2ccccc2O3)C1 ZINC001307812234 1065634364 /nfs/dbraw/zinc/63/43/64/1065634364.db2.gz JHFYDDXQTRHNFK-CVEARBPZSA-N 0 3 301.430 4.076 20 0 DIADHN CC1(C)CN(Cc2ccc3c(c2)CCC3)Cc2ccccc2O1 ZINC001307814693 1065636593 /nfs/dbraw/zinc/63/65/93/1065636593.db2.gz WDBYNDAKNBHVHW-UHFFFAOYSA-N 0 3 307.437 4.349 20 0 DIADHN CC(C)Cc1ccc(CN(Cc2cnn(C)c2)CC(C)C)cc1 ZINC001307823691 1065639580 /nfs/dbraw/zinc/63/95/80/1065639580.db2.gz KQNVQUCAMCJDMM-UHFFFAOYSA-N 0 3 313.489 4.277 20 0 DIADHN CN(Cc1nc2c(o1)CCCC2)[C@H]1CCC[C@H]1c1ccccc1 ZINC001307831254 1065641290 /nfs/dbraw/zinc/64/12/90/1065641290.db2.gz AIHKZSJTGBWQOL-WMZOPIPTSA-N 0 3 310.441 4.322 20 0 DIADHN CC(C)OC(=O)CCN1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC001307826811 1065641318 /nfs/dbraw/zinc/64/13/18/1065641318.db2.gz KFOQZIDFAPSQLY-IBGZPJMESA-N 0 3 315.457 4.336 20 0 DIADHN C[C@@](Cc1ccccc1)(NCc1cccnc1)c1ccccc1 ZINC001307842455 1065648215 /nfs/dbraw/zinc/64/82/15/1065648215.db2.gz HQZAWOCMOOIMCO-NRFANRHFSA-N 0 3 302.421 4.329 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H](C)[C@](C)(OC)C1CC1 ZINC001307843704 1065648596 /nfs/dbraw/zinc/64/85/96/1065648596.db2.gz JKJVUULEJSVKMW-SJCJKPOMSA-N 0 3 311.853 4.032 20 0 DIADHN COc1ccc2c(c1)OC(C)(C)CN([C@H]1CCc3ccccc31)C2 ZINC001307849868 1065651862 /nfs/dbraw/zinc/65/18/62/1065651862.db2.gz FRJAYKGDDNALSW-IBGZPJMESA-N 0 3 323.436 4.356 20 0 DIADHN COc1ncccc1CN(Cc1ccc(C)cc1C)CC(C)C ZINC001307871128 1065662145 /nfs/dbraw/zinc/66/21/45/1065662145.db2.gz VMCSUZQUFCNSGB-UHFFFAOYSA-N 0 3 312.457 4.365 20 0 DIADHN CN(CC(=O)N[C@H](CC(C)(C)C)c1ccc(F)cc1)C(C)(C)C ZINC001307869718 1065662849 /nfs/dbraw/zinc/66/28/49/1065662849.db2.gz URAPWGDMGRDWBV-MRXNPFEDSA-N 0 3 322.468 4.150 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H](c2cccnc2)C1 ZINC001307876925 1065665735 /nfs/dbraw/zinc/66/57/35/1065665735.db2.gz GVXSESSHWSEJGA-CJNGLKHVSA-N 0 3 302.368 4.300 20 0 DIADHN Clc1ccc(-c2cc(CNC3(C4CCC4)CC3)on2)cc1 ZINC001307891849 1065669421 /nfs/dbraw/zinc/66/94/21/1065669421.db2.gz DNINUKSKMCNLIF-UHFFFAOYSA-N 0 3 302.805 4.417 20 0 DIADHN CN1CCN(Cc2c(Cl)oc3ccccc32)C[C@@H]1C(C)(C)C ZINC001307887384 1065669627 /nfs/dbraw/zinc/66/96/27/1065669627.db2.gz LNORQWYPYZIITM-MRXNPFEDSA-N 0 3 320.864 4.248 20 0 DIADHN COC(=O)c1cccc(CN2CCCC[C@H]2C2CCC2)c1Cl ZINC001307903100 1065673125 /nfs/dbraw/zinc/67/31/25/1065673125.db2.gz OPWILUNTPNESMK-INIZCTEOSA-N 0 3 321.848 4.281 20 0 DIADHN CC(C)(C)c1ccc(C[C@@]2(C)CCCN2Cc2ncccn2)cc1 ZINC001307912045 1065677842 /nfs/dbraw/zinc/67/78/42/1065677842.db2.gz NQSBHURFQPIGGN-OAQYLSRUSA-N 0 3 323.484 4.371 20 0 DIADHN CC(C)(C)c1ccc(C[C@]2(C)CCCN2Cc2ncccn2)cc1 ZINC001307912044 1065678565 /nfs/dbraw/zinc/67/85/65/1065678565.db2.gz NQSBHURFQPIGGN-NRFANRHFSA-N 0 3 323.484 4.371 20 0 DIADHN CN(CCN1CC2(CCCC2)[C@@H]1c1ccco1)c1ccccc1 ZINC001307920975 1065682700 /nfs/dbraw/zinc/68/27/00/1065682700.db2.gz QUHYBZQCWRKIMS-IBGZPJMESA-N 0 3 310.441 4.333 20 0 DIADHN Cc1cccc([C@@H](O)CN2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC001307919639 1065683241 /nfs/dbraw/zinc/68/32/41/1065683241.db2.gz DCFRKLOGJZTRQA-PKOBYXMFSA-N 0 3 311.425 4.239 20 0 DIADHN CC(C)N1CCCC[C@@H]1CN[C@H](C(F)F)C1CCCCC1 ZINC001307945502 1065692947 /nfs/dbraw/zinc/69/29/47/1065692947.db2.gz PZVBFPWWRXQQDR-CVEARBPZSA-N 0 3 302.453 4.053 20 0 DIADHN CC(C)N1CCCC[C@H]1CN[C@@H](C(F)F)C1CCCCC1 ZINC001307945505 1065693235 /nfs/dbraw/zinc/69/32/35/1065693235.db2.gz PZVBFPWWRXQQDR-JKSUJKDBSA-N 0 3 302.453 4.053 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(CCC1CC1)CC1CC1 ZINC001307953443 1065697325 /nfs/dbraw/zinc/69/73/25/1065697325.db2.gz KIDDQCRKAXMFMQ-UHFFFAOYSA-N 0 3 315.436 4.207 20 0 DIADHN CCc1onc(C)c1CN1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC001307956484 1065699987 /nfs/dbraw/zinc/69/99/87/1065699987.db2.gz VBZRMVMKYNLHKL-AWEZNQCLSA-N 0 3 320.383 4.203 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N1CCCC2(CC2)CC1 ZINC001307972026 1065705379 /nfs/dbraw/zinc/70/53/79/1065705379.db2.gz IBERRZZZKCABBT-AWEZNQCLSA-N 0 3 320.864 4.242 20 0 DIADHN CCC(CC)CCCN(C)[C@H]1CCN(C2CCCCC2)C1=O ZINC001307968872 1065705896 /nfs/dbraw/zinc/70/58/96/1065705896.db2.gz LRGGKGJRFJLVSF-SFHVURJKSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CCSCC3)CC2)c(C)n1 ZINC001307979646 1065708706 /nfs/dbraw/zinc/70/87/06/1065708706.db2.gz IWCPWXWMWKXUQG-UHFFFAOYSA-N 0 3 304.503 4.116 20 0 DIADHN C[C@@H](NCCCOc1cccc2ccccc21)c1ccns1 ZINC001307979766 1065709639 /nfs/dbraw/zinc/70/96/39/1065709639.db2.gz MVUQILOFRDXDQJ-CQSZACIVSA-N 0 3 312.438 4.416 20 0 DIADHN FC(F)(F)c1cccc2c1CCN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)C2 ZINC001307983950 1065712110 /nfs/dbraw/zinc/71/21/10/1065712110.db2.gz VCYZDCXOYNSGRG-NFAWXSAZSA-N 0 3 309.375 4.500 20 0 DIADHN CC1(C)[C@@H](c2cccc(F)c2)CCN1Cc1cncc(F)c1 ZINC001307989925 1065715546 /nfs/dbraw/zinc/71/55/46/1065715546.db2.gz TXMIIODEFZYRGQ-QGZVFWFLSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(c2cccc3[nH]ccc32)CC1 ZINC001308000545 1065718740 /nfs/dbraw/zinc/71/87/40/1065718740.db2.gz UIWYNDGCNALCFT-INIZCTEOSA-N 0 3 305.425 4.051 20 0 DIADHN Fc1cccc(O[C@H]2CCN([C@H]3CCc4c3cccc4F)C2)c1 ZINC001308009670 1065724016 /nfs/dbraw/zinc/72/40/16/1065724016.db2.gz CCFZRWQSTYSZRG-KXBFYZLASA-N 0 3 315.363 4.105 20 0 DIADHN Cc1ccc2sc(CNC3([C@@H]4CCCCO4)CCC3)nc2c1 ZINC001308028069 1065730140 /nfs/dbraw/zinc/73/01/40/1065730140.db2.gz JZKPZLIKOIGRJG-INIZCTEOSA-N 0 3 316.470 4.186 20 0 DIADHN Cc1ccc2sc(CNC3([C@H]4CCCCO4)CCC3)nc2c1 ZINC001308028071 1065730684 /nfs/dbraw/zinc/73/06/84/1065730684.db2.gz JZKPZLIKOIGRJG-MRXNPFEDSA-N 0 3 316.470 4.186 20 0 DIADHN CCSc1ccccc1CN(CC)[C@H](CO)c1ccccc1 ZINC001308028943 1065731027 /nfs/dbraw/zinc/73/10/27/1065731027.db2.gz IGHVMUBFRGWYRM-GOSISDBHSA-N 0 3 315.482 4.354 20 0 DIADHN Cc1ncc(CN2CC[C@@H](c3ccccc3Cl)C2(C)C)cn1 ZINC001308033298 1065734109 /nfs/dbraw/zinc/73/41/09/1065734109.db2.gz AYKQSBTVTPZIJC-INIZCTEOSA-N 0 3 315.848 4.207 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC001308039250 1065735161 /nfs/dbraw/zinc/73/51/61/1065735161.db2.gz JZCKNSPSWPQPII-LAUBAEHRSA-N 0 3 306.453 4.053 20 0 DIADHN Fc1cccc2c1CC[C@@H]2NCc1ccc(F)c2cccnc12 ZINC001308085115 1065754968 /nfs/dbraw/zinc/75/49/68/1065754968.db2.gz KCZDWPUGGQLXGA-SFHVURJKSA-N 0 3 310.347 4.290 20 0 DIADHN COCCN(Cc1ccc(C)c(C)c1)Cc1ccc(F)cc1C ZINC001308083978 1065755035 /nfs/dbraw/zinc/75/50/35/1065755035.db2.gz YOTIAAMYSZNQLJ-UHFFFAOYSA-N 0 3 315.432 4.400 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CCC[C@H](C2CCOCC2)C1 ZINC001308103894 1065765744 /nfs/dbraw/zinc/76/57/44/1065765744.db2.gz USHJVEWOFPBVIU-ZFWWWQNUSA-N 0 3 309.400 4.164 20 0 DIADHN CC[C@@]1(C(=O)OC(C)(C)C)CCCN(Cc2ccccc2F)C1 ZINC001308119867 1065770552 /nfs/dbraw/zinc/77/05/52/1065770552.db2.gz BDQVGTIEVZROFE-LJQANCHMSA-N 0 3 321.436 4.160 20 0 DIADHN Cc1cccc(C2=CCN(Cc3ccc4c[nH]nc4c3)CC2)c1C ZINC001308146564 1065779756 /nfs/dbraw/zinc/77/97/56/1065779756.db2.gz CWXKXENYQXLGFT-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CCCCCCN(Cc1ccco1)Cc1ccc2nonc2c1 ZINC001308145383 1065781071 /nfs/dbraw/zinc/78/10/71/1065781071.db2.gz MKEZIAOBJANHOS-UHFFFAOYSA-N 0 3 313.401 4.398 20 0 DIADHN C[C@@H]1CC[C@@](CO)(NCc2ccccc2C2CC2)c2ccccc21 ZINC001308157992 1065784661 /nfs/dbraw/zinc/78/46/61/1065784661.db2.gz KTPFKJSJHUHEIY-ZHRRBRCNSA-N 0 3 321.464 4.439 20 0 DIADHN COc1cccc(CNCc2ccc(OC)c(C(C)(C)C)c2)c1 ZINC001308181598 1065791273 /nfs/dbraw/zinc/79/12/73/1065791273.db2.gz YJHARVBRRLQLCT-UHFFFAOYSA-N 0 3 313.441 4.291 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCOc1cccc(C)c1 ZINC001308183066 1065793885 /nfs/dbraw/zinc/79/38/85/1065793885.db2.gz CHJRLLSCQCYZRR-UHFFFAOYSA-N 0 3 319.832 4.216 20 0 DIADHN CCC[C@@H](NCc1ccc(Br)nc1)c1ccccc1 ZINC001308184149 1065795055 /nfs/dbraw/zinc/79/50/55/1065795055.db2.gz XUIUWCHSXWDHAS-OAHLLOKOSA-N 0 3 319.246 4.475 20 0 DIADHN CN(C)c1ccccc1CNCc1ccc(C(F)(F)F)cc1 ZINC001308187867 1065800123 /nfs/dbraw/zinc/80/01/23/1065800123.db2.gz DFGPJVVVRBRHEK-UHFFFAOYSA-N 0 3 308.347 4.061 20 0 DIADHN COc1cc(C)ccc1CNCCOc1ccc2ccccc2c1 ZINC001308214545 1065822079 /nfs/dbraw/zinc/82/20/79/1065822079.db2.gz UTQJTURZQIHGFF-UHFFFAOYSA-N 0 3 321.420 4.325 20 0 DIADHN CC(C)Cc1ncc(CNCCOc2cccc(Cl)c2)s1 ZINC001308215662 1065824496 /nfs/dbraw/zinc/82/44/96/1065824496.db2.gz AZHPUWWEABIYIV-UHFFFAOYSA-N 0 3 324.877 4.164 20 0 DIADHN Cc1nc(CNCC(C)(C)c2ccc(Cl)cc2)sc1C ZINC001308217511 1065824580 /nfs/dbraw/zinc/82/45/80/1065824580.db2.gz MCQIKUZRZFLYHS-UHFFFAOYSA-N 0 3 308.878 4.481 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1c(Cl)cccc1N(C)C ZINC001308219731 1065826077 /nfs/dbraw/zinc/82/60/77/1065826077.db2.gz MWGYEYMWJMHHEA-CYBMUJFWSA-N 0 3 320.839 4.266 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc([C@H](C)O)cc1 ZINC001308224622 1065830726 /nfs/dbraw/zinc/83/07/26/1065830726.db2.gz MGBIILFLTYIMJZ-AWEZNQCLSA-N 0 3 309.409 4.338 20 0 DIADHN Fc1c(Cl)cccc1CNCCN1CCCc2ccccc21 ZINC001308229217 1065836670 /nfs/dbraw/zinc/83/66/70/1065836670.db2.gz WUGFQIZECFPAPT-UHFFFAOYSA-N 0 3 318.823 4.022 20 0 DIADHN Fc1ccc(C2(NCc3cccc4c3OCC4)CCCC2)cc1 ZINC001308238150 1065845614 /nfs/dbraw/zinc/84/56/14/1065845614.db2.gz RLJDUXZSINHBTP-UHFFFAOYSA-N 0 3 311.400 4.320 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cc2ccc(F)cc2[nH]c1=O ZINC001308244089 1065850241 /nfs/dbraw/zinc/85/02/41/1065850241.db2.gz XUCIVBFLBALSQC-SFHVURJKSA-N 0 3 322.383 4.165 20 0 DIADHN COC(=O)CC[C@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001308245913 1065850366 /nfs/dbraw/zinc/85/03/66/1065850366.db2.gz QLHBCEKBLQYHMS-FQEVSTJZSA-N 0 3 323.436 4.348 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(OC(C)C)cc2)ccc1F ZINC001308248593 1065851727 /nfs/dbraw/zinc/85/17/27/1065851727.db2.gz IKMBOFOSROCRPE-CQSZACIVSA-N 0 3 317.404 4.472 20 0 DIADHN CC1(CNCc2c(F)cccc2OC(F)(F)F)CCCC1 ZINC001308259870 1065860917 /nfs/dbraw/zinc/86/09/17/1065860917.db2.gz MCOZYIPKSUSGBG-UHFFFAOYSA-N 0 3 305.315 4.394 20 0 DIADHN Oc1ccc(CCCNCc2c(F)ccc(F)c2Cl)cc1 ZINC001308261141 1065863792 /nfs/dbraw/zinc/86/37/92/1065863792.db2.gz NOGQFBQTJLBAHF-UHFFFAOYSA-N 0 3 311.759 4.046 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001308266743 1065866214 /nfs/dbraw/zinc/86/62/14/1065866214.db2.gz WPTIIYRMSJRXKH-HZMBPMFUSA-N 0 3 323.786 4.246 20 0 DIADHN COc1cc(Cl)ccc1CNC/C=C\c1ccc(F)cc1F ZINC001308272582 1065873186 /nfs/dbraw/zinc/87/31/86/1065873186.db2.gz LOFPTDNDFCXYSQ-IHWYPQMZSA-N 0 3 323.770 4.430 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCN(c3ccccc3Cl)C2)o1 ZINC001308284328 1065882340 /nfs/dbraw/zinc/88/23/40/1065882340.db2.gz AGDQUFDPRRTWEQ-CQSZACIVSA-N 0 3 318.848 4.254 20 0 DIADHN CCc1ccc(CN[C@H]2CCCN(c3ccccc3Cl)C2)o1 ZINC001308284315 1065882800 /nfs/dbraw/zinc/88/28/00/1065882800.db2.gz AGDQUFDPRRTWEQ-AWEZNQCLSA-N 0 3 318.848 4.254 20 0 DIADHN CC(C)C1(CNCc2ncc(Br)cc2Cl)CC1 ZINC001308297474 1065892517 /nfs/dbraw/zinc/89/25/17/1065892517.db2.gz JDFRIIPKEVPENQ-UHFFFAOYSA-N 0 3 317.658 4.023 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cc(F)cc(OC)c2)cc1 ZINC001308300779 1065897094 /nfs/dbraw/zinc/89/70/94/1065897094.db2.gz QFTZDHGKMQSDAS-UHFFFAOYSA-N 0 3 301.405 4.422 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001308303461 1065899192 /nfs/dbraw/zinc/89/91/92/1065899192.db2.gz OJTPUPDDFFXNIV-KCQAQPDRSA-N 0 3 324.559 4.422 20 0 DIADHN CO[C@H](CNCc1ccc(F)c(F)c1)c1ccccc1Cl ZINC001308306213 1065900527 /nfs/dbraw/zinc/90/05/27/1065900527.db2.gz LEVKUYHLXNSDPO-MRXNPFEDSA-N 0 3 311.759 4.096 20 0 DIADHN COc1nsc(CNC2CCC(C(C)C)CC2)c1Cl ZINC001308310597 1065904797 /nfs/dbraw/zinc/90/47/97/1065904797.db2.gz YBXZCXZCXZKVDV-UHFFFAOYSA-N 0 3 302.871 4.110 20 0 DIADHN c1ccc(-c2nnc(CNCC3CCCCCC3)s2)cc1 ZINC001308326675 1065915100 /nfs/dbraw/zinc/91/51/00/1065915100.db2.gz OWZCTCOTDWCAPL-UHFFFAOYSA-N 0 3 301.459 4.265 20 0 DIADHN Cc1ncoc1CN[C@H](COc1c(C)cccc1C)C(C)(C)C ZINC001308328851 1065917051 /nfs/dbraw/zinc/91/70/51/1065917051.db2.gz HXNREBQDSITFJU-QGZVFWFLSA-N 0 3 316.445 4.183 20 0 DIADHN Cc1ccc(CNCCOc2ccccc2Cl)c(Cl)c1 ZINC001308342479 1065926162 /nfs/dbraw/zinc/92/61/62/1065926162.db2.gz OAEUADQFHPPXSN-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN CCC(C)(C)c1ccc(CNCc2cnn(C)c2C2CC2)cc1 ZINC001308342457 1065926784 /nfs/dbraw/zinc/92/67/84/1065926784.db2.gz NHLMQRPODBKIHB-UHFFFAOYSA-N 0 3 311.473 4.275 20 0 DIADHN Cc1ccc([C@H](Cn2ccnc2)NCc2cccs2)c(C)c1 ZINC001308344011 1065927854 /nfs/dbraw/zinc/92/78/54/1065927854.db2.gz ZOVRNXYHGCOXTK-SFHVURJKSA-N 0 3 311.454 4.093 20 0 DIADHN COc1ccc(CN[C@@H]2c3ccccc3CC[C@@H]2C)c(OC)c1 ZINC001308348302 1065931378 /nfs/dbraw/zinc/93/13/78/1065931378.db2.gz APSSWVUZESGUNY-XOBRGWDASA-N 0 3 311.425 4.117 20 0 DIADHN COc1ccc([C@@H](OC)[C@@H](C)NCc2cccc(C)c2F)cc1 ZINC001308348437 1065931566 /nfs/dbraw/zinc/93/15/66/1065931566.db2.gz CXOIVLGDEUJIRD-KUHUBIRLSA-N 0 3 317.404 4.009 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CC2(Cc3ccc(F)cc3)CC2)c1 ZINC001308351799 1065932615 /nfs/dbraw/zinc/93/26/15/1065932615.db2.gz XLLHQJDPGQRLMC-UHFFFAOYSA-N 0 3 319.807 4.297 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2csc(C(C)C)n2)CCO1 ZINC001308351972 1065933380 /nfs/dbraw/zinc/93/33/80/1065933380.db2.gz BMQXSAHEFGPDNG-GDBMZVCRSA-N 0 3 310.507 4.340 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)NCc1cn(C2CC2)cn1 ZINC001308354504 1065934717 /nfs/dbraw/zinc/93/47/17/1065934717.db2.gz JQDNZNPIXWBZQY-NSHDSACASA-N 0 3 324.255 4.246 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCOc3c(F)cccc32)s1 ZINC001308352854 1065935028 /nfs/dbraw/zinc/93/50/28/1065935028.db2.gz POEFBJQWDRAPLB-MRXNPFEDSA-N 0 3 305.418 4.453 20 0 DIADHN COc1nsc(CNCC2CCC(C)(C)CC2)c1Cl ZINC001308361401 1065938913 /nfs/dbraw/zinc/93/89/13/1065938913.db2.gz STMWZBSRBJRWGI-UHFFFAOYSA-N 0 3 302.871 4.111 20 0 DIADHN C[C@@H](NCc1scnc1C1CC1)c1ccc(-n2ccnc2)cc1 ZINC001308360969 1065938990 /nfs/dbraw/zinc/93/89/90/1065938990.db2.gz UJKMUFMSZUMCLY-CYBMUJFWSA-N 0 3 324.453 4.057 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1N)c1ccc2ncsc2c1 ZINC001308377645 1065950816 /nfs/dbraw/zinc/95/08/16/1065950816.db2.gz JPTVWTZRJILQGD-JTQLQIEISA-N 0 3 317.845 4.383 20 0 DIADHN COc1cncc(CN[C@H]2CCc3ccc(C(C)C)cc32)c1C ZINC001308379485 1065952233 /nfs/dbraw/zinc/95/22/33/1065952233.db2.gz ATRFJEPHJZHEEA-IBGZPJMESA-N 0 3 310.441 4.299 20 0 DIADHN CCc1nc(CNCCCCCc2ccc(F)cc2)cs1 ZINC001308379002 1065952550 /nfs/dbraw/zinc/95/25/50/1065952550.db2.gz RSQMQNPBGSOJFT-UHFFFAOYSA-N 0 3 306.450 4.347 20 0 DIADHN Cc1cc2cc(CNCc3ccc4scnc4c3)ccc2[nH]1 ZINC001308395908 1065962325 /nfs/dbraw/zinc/96/23/25/1065962325.db2.gz VGDKBOUIZODOIQ-UHFFFAOYSA-N 0 3 307.422 4.376 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H]3CCC3(C)C)n2)c1 ZINC001308401031 1065963098 /nfs/dbraw/zinc/96/30/98/1065963098.db2.gz HJMSEGVSNOUINZ-OAHLLOKOSA-N 0 3 302.443 4.097 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cnn3ccccc23)c(C)c1 ZINC001308401861 1065965372 /nfs/dbraw/zinc/96/53/72/1065965372.db2.gz VWKCXIFEJFVNAO-VOTSOKGWSA-N 0 3 305.425 4.063 20 0 DIADHN COc1ccc(CNC2(Cc3ccc(F)cc3)CC2)c(Cl)c1 ZINC001308403909 1065965828 /nfs/dbraw/zinc/96/58/28/1065965828.db2.gz JQUZCCAXQRQBDT-UHFFFAOYSA-N 0 3 319.807 4.353 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H](Cc1ccccc1)OC ZINC001308410522 1065969937 /nfs/dbraw/zinc/96/99/37/1065969937.db2.gz JVJSKURFLOBTSH-KRWDZBQOSA-N 0 3 317.860 4.250 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@](C)(OC)c1ccccc1 ZINC001308411491 1065970527 /nfs/dbraw/zinc/97/05/27/1065970527.db2.gz QWETWRLOVKHPSK-SFHVURJKSA-N 0 3 319.832 4.000 20 0 DIADHN CC(C)(C)C1CC(NCc2c(F)cccc2N2CCCC2)C1 ZINC001308416708 1065972742 /nfs/dbraw/zinc/97/27/42/1065972742.db2.gz DVPRXVSTCQWHMI-UHFFFAOYSA-N 0 3 304.453 4.340 20 0 DIADHN CC[C@@H]1OCC[C@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001308433863 1065985166 /nfs/dbraw/zinc/98/51/66/1065985166.db2.gz MJLUWIMDUCCOIA-CVEARBPZSA-N 0 3 305.805 4.257 20 0 DIADHN CC1(C)CC2(CO1)CCC(N[C@H]1C[C@@]1(F)c1ccccc1)CC2 ZINC001308444782 1065991890 /nfs/dbraw/zinc/99/18/90/1065991890.db2.gz MNEWKEWNYZGQEA-CAOKTKFFSA-N 0 3 317.448 4.341 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001308450572 1065996316 /nfs/dbraw/zinc/99/63/16/1065996316.db2.gz IWEKWJCGLVCBBM-INIZCTEOSA-N 0 3 305.462 4.467 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(F)c(F)c1N(C)C)CC2 ZINC001308453620 1065998309 /nfs/dbraw/zinc/99/83/09/1065998309.db2.gz SUZZJYSJBPUDRF-QGZVFWFLSA-N 0 3 316.395 4.116 20 0 DIADHN O[C@H](CNCc1ccccc1Cl)c1cccc2ccccc21 ZINC001308461565 1066002832 /nfs/dbraw/zinc/00/28/32/1066002832.db2.gz YBRSBCDFGPKQSH-LJQANCHMSA-N 0 3 311.812 4.316 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc(O)cc(Cl)c1)[C@H](C)C2 ZINC001308463547 1066005433 /nfs/dbraw/zinc/00/54/33/1066005433.db2.gz FLDCVNSAMCVRKL-XIKOKIGWSA-N 0 3 301.817 4.377 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2CCC[C@@H](C)O2)c1Cl ZINC001308467518 1066007673 /nfs/dbraw/zinc/00/76/73/1066007673.db2.gz AMQGOEJFKHQGCY-MFKMUULPSA-N 0 3 302.245 4.349 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001308470961 1066011082 /nfs/dbraw/zinc/01/10/82/1066011082.db2.gz MBBTUGCALAVGST-MCIONIFRSA-N 0 3 302.443 4.237 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cccc2c1OC(F)(F)O2 ZINC001308477291 1066017021 /nfs/dbraw/zinc/01/70/21/1066017021.db2.gz HQBIXIXLFGOBIH-JLHYYAGUSA-N 0 3 317.335 4.201 20 0 DIADHN CN(c1ccccc1)c1ccc(CNCc2ccnc(F)c2)cc1 ZINC001308480928 1066018551 /nfs/dbraw/zinc/01/85/51/1066018551.db2.gz GYNLOKWBVJCYFS-UHFFFAOYSA-N 0 3 321.399 4.278 20 0 DIADHN COc1cccc(CN[C@H](c2cccc(C)c2)C(C)C)c1OC ZINC001308486727 1066021874 /nfs/dbraw/zinc/02/18/74/1066021874.db2.gz NAZGRVNHPLZPBG-IBGZPJMESA-N 0 3 313.441 4.499 20 0 DIADHN CCC[C@H](CCO)NCc1c(Cl)cccc1SC(C)C ZINC001308491408 1066024374 /nfs/dbraw/zinc/02/43/74/1066024374.db2.gz XHIQSJWFNGGGRW-CYBMUJFWSA-N 0 3 315.910 4.481 20 0 DIADHN c1ccc(NC2CC(NCc3ccccc3OCC3CC3)C2)cc1 ZINC001308511169 1066037982 /nfs/dbraw/zinc/03/79/82/1066037982.db2.gz LOLXJDBIHYMAQW-UHFFFAOYSA-N 0 3 322.452 4.208 20 0 DIADHN FC(F)Oc1ccccc1CNC1CC(Nc2ccccc2)C1 ZINC001308510941 1066038262 /nfs/dbraw/zinc/03/82/62/1066038262.db2.gz BMBXNPQIEYIFGW-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCCn1nccc1CNCc1c(CC)ccc2ccccc21 ZINC001308514250 1066039455 /nfs/dbraw/zinc/03/94/55/1066039455.db2.gz PZTLYDGSDYNEGT-UHFFFAOYSA-N 0 3 307.441 4.299 20 0 DIADHN CCSc1ccccc1CCNCc1nc2c(s1)CCC2 ZINC001308513937 1066039746 /nfs/dbraw/zinc/03/97/46/1066039746.db2.gz UDKDFWHIQUNROL-UHFFFAOYSA-N 0 3 318.511 4.076 20 0 DIADHN Cc1ccc(CNCc2c[nH]c(=O)c3ccccc23)cc1Cl ZINC001308525530 1066047242 /nfs/dbraw/zinc/04/72/42/1066047242.db2.gz KWCRNXJUXLPKCS-UHFFFAOYSA-N 0 3 312.800 4.192 20 0 DIADHN COc1nsc(CNCCC2(c3ccccc3)CC2)c1Cl ZINC001308526879 1066047530 /nfs/dbraw/zinc/04/75/30/1066047530.db2.gz XGWBLRSZHZCATB-UHFFFAOYSA-N 0 3 322.861 4.017 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2cc(C3CC3)nn2C)cc1C ZINC001308533640 1066052510 /nfs/dbraw/zinc/05/25/10/1066052510.db2.gz YAAZKHRPLMSUSI-WAYWQWQTSA-N 0 3 309.457 4.026 20 0 DIADHN CC1(C)CC[C@@H](CCNCc2ccnc(Cl)c2Cl)OC1 ZINC001308535794 1066053627 /nfs/dbraw/zinc/05/36/27/1066053627.db2.gz KAAXMZQCKLKPNR-LBPRGKRZSA-N 0 3 317.260 4.073 20 0 DIADHN Fc1ccc(COc2cccc(CNC/C=C\Cl)c2)cc1 ZINC001308542909 1066059553 /nfs/dbraw/zinc/05/95/53/1066059553.db2.gz FVHDPIVRMSPWRJ-MBXJOHMKSA-N 0 3 305.780 4.247 20 0 DIADHN C[C@@H](NCc1cccc2c1CCC2)c1cc(F)ccc1N(C)C ZINC001308556247 1066067519 /nfs/dbraw/zinc/06/75/19/1066067519.db2.gz YFSIPZDYBMZLMN-CQSZACIVSA-N 0 3 312.432 4.231 20 0 DIADHN CCOC(OCC)[C@@H](C)NCc1ccc(-c2ccccc2)cc1 ZINC001308558489 1066067687 /nfs/dbraw/zinc/06/76/87/1066067687.db2.gz KZSKAPNXVXRNJI-MRXNPFEDSA-N 0 3 313.441 4.231 20 0 DIADHN FC(F)(F)Cc1ccc(CN2CCc3ccccc3C2)cc1 ZINC001308560552 1066068534 /nfs/dbraw/zinc/06/85/34/1066068534.db2.gz SRFWKNBWUPVBCP-UHFFFAOYSA-N 0 3 305.343 4.350 20 0 DIADHN COc1ccc(CN2CCc3ccccc3[C@@H]2C)c(C)c1OC ZINC001308561272 1066070369 /nfs/dbraw/zinc/07/03/69/1066070369.db2.gz OYSONEWEUWXXIA-HNNXBMFYSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2)CCN1Cc1cccc(C2CC2)c1 ZINC001308566336 1066075307 /nfs/dbraw/zinc/07/53/07/1066075307.db2.gz ANPYJDAWUOUPFE-GOSISDBHSA-N 0 3 320.480 4.270 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)C[C@H](C)c1ccccc1 ZINC001308572159 1066083911 /nfs/dbraw/zinc/08/39/11/1066083911.db2.gz ZEICUFRJRSIKKJ-SFHVURJKSA-N 0 3 324.468 4.449 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2ccc(F)c(F)c2F)cc1 ZINC001308573139 1066084426 /nfs/dbraw/zinc/08/44/26/1066084426.db2.gz HBRHTFQCVNTXNZ-ZDUSSCGKSA-N 0 3 307.359 4.475 20 0 DIADHN COC(=O)c1ccc(CN2Cc3ccccc3C(C)(C)C2)cc1C ZINC001308574879 1066089674 /nfs/dbraw/zinc/08/96/74/1066089674.db2.gz FXYXSKYYXRXXTB-UHFFFAOYSA-N 0 3 323.436 4.075 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(F)ccc2OC)C1(CC)CC ZINC001308578478 1066093079 /nfs/dbraw/zinc/09/30/79/1066093079.db2.gz UNJHHSYOVZDUQF-MSOLQXFVSA-N 0 3 323.452 4.250 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3)[C@@H](CC)C2)cn1 ZINC001308579081 1066093176 /nfs/dbraw/zinc/09/31/76/1066093176.db2.gz SYNWWUBYRJEGIF-PKOBYXMFSA-N 0 3 309.457 4.055 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H]2CCCC[C@@H]2C)c(C)c1 ZINC001308579443 1066097029 /nfs/dbraw/zinc/09/70/29/1066097029.db2.gz ARIQHGAITAHZMT-YJBOKZPZSA-N 0 3 316.489 4.308 20 0 DIADHN Cc1c(Cl)cccc1CN1CCCN(c2ccccc2)CC1 ZINC001308579405 1066097513 /nfs/dbraw/zinc/09/75/13/1066097513.db2.gz ADIFWFWTVDITFI-UHFFFAOYSA-N 0 3 314.860 4.361 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)[C@H]2CCCC2(C)C)n(C)n1 ZINC001308581519 1066097534 /nfs/dbraw/zinc/09/75/34/1066097534.db2.gz HTIFZEIRNIKYFN-IBGZPJMESA-N 0 3 311.473 4.309 20 0 DIADHN CCOc1ccc2c(c1)CN(Cc1cccc3c1CCC3)CC2 ZINC001308584626 1066098347 /nfs/dbraw/zinc/09/83/47/1066098347.db2.gz SCKJGWDDRBAHIB-UHFFFAOYSA-N 0 3 307.437 4.132 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC001308587447 1066101538 /nfs/dbraw/zinc/10/15/38/1066101538.db2.gz ZBKRQRHILIVBIH-INIZCTEOSA-N 0 3 323.415 4.390 20 0 DIADHN C[C@@H]1CCCN(Cc2cccc(F)c2OCC(F)(F)F)CC1 ZINC001308589185 1066102882 /nfs/dbraw/zinc/10/28/82/1066102882.db2.gz AMZADOBIYRSCDP-GFCCVEGCSA-N 0 3 319.342 4.389 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CN1CCC[C@@H]1C1CCC1 ZINC001308598139 1066108830 /nfs/dbraw/zinc/10/88/30/1066108830.db2.gz BXDDIYCHAZVCCL-CYBMUJFWSA-N 0 3 324.255 4.406 20 0 DIADHN CCc1ccccc1CN1CCN(c2cccc(C)c2C)CC1 ZINC001308602247 1066111505 /nfs/dbraw/zinc/11/15/05/1066111505.db2.gz XKEOWQXFRMVHDB-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN CCCCOc1ccccc1CN1CC[C@H](OCC(C)C)C1 ZINC001308603917 1066111549 /nfs/dbraw/zinc/11/15/49/1066111549.db2.gz PXATYYJLPLRDND-SFHVURJKSA-N 0 3 305.462 4.112 20 0 DIADHN COC1CCC(CN2CCc3c(F)cc(F)cc3[C@H]2C)CC1 ZINC001308603008 1066112063 /nfs/dbraw/zinc/11/20/63/1066112063.db2.gz JFZWTYCTSOMRSB-DNOWBOINSA-N 0 3 309.400 4.089 20 0 DIADHN Cc1ccccc1[C@@H]1CN(C[C@@H]2CCc3ccccc32)CCO1 ZINC001308606060 1066113111 /nfs/dbraw/zinc/11/31/11/1066113111.db2.gz XBHHUMGTHOTJDW-RXVVDRJESA-N 0 3 307.437 4.098 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001308610319 1066115905 /nfs/dbraw/zinc/11/59/05/1066115905.db2.gz VQRKVPLAJGKWRW-QZTJIDSGSA-N 0 3 323.484 4.447 20 0 DIADHN Cc1cccc(OCCN(C)Cc2ccc(C)c(Cl)c2)c1 ZINC001308613014 1066117971 /nfs/dbraw/zinc/11/79/71/1066117971.db2.gz YYPYADZDNWLEGI-UHFFFAOYSA-N 0 3 303.833 4.468 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(CCc3ccccc3)s2)C[C@@H]1C ZINC001308617437 1066121095 /nfs/dbraw/zinc/12/10/95/1066121095.db2.gz AEVQAKXBCBUGRE-CVEARBPZSA-N 0 3 314.498 4.406 20 0 DIADHN C[C@@H]1Cc2cc(CN3CCC(Nc4ccccc4)CC3)ccc2O1 ZINC001308615698 1066121142 /nfs/dbraw/zinc/12/11/42/1066121142.db2.gz LCGJTJKQYMNJJR-MRXNPFEDSA-N 0 3 322.452 4.087 20 0 DIADHN CCn1cncc1CN1CCC[C@@H](CCCc2ccccc2)C1 ZINC001308620765 1066122021 /nfs/dbraw/zinc/12/20/21/1066122021.db2.gz BJFQMNREJKRBFX-LJQANCHMSA-N 0 3 311.473 4.138 20 0 DIADHN CC(C)N(C)c1ccc(CN2CC[C@@H](C)[C@H]3CCCC[C@@H]32)cn1 ZINC001308630739 1066128172 /nfs/dbraw/zinc/12/81/72/1066128172.db2.gz LZBYDGAFQRQSRX-QRQLOZEOSA-N 0 3 315.505 4.327 20 0 DIADHN Fc1cc(OC(F)F)ccc1CN1CC[C@@H]2CCCC[C@@H]2C1 ZINC001308649207 1066136512 /nfs/dbraw/zinc/13/65/12/1066136512.db2.gz FHVQCEYUZIZPNR-QWHCGFSZSA-N 0 3 313.363 4.439 20 0 DIADHN CCCOc1ccc(CN(C)Cc2cccc(OC)c2)cc1C ZINC001308651740 1066137957 /nfs/dbraw/zinc/13/79/57/1066137957.db2.gz DECHKMZIQIVLTM-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN CC[C@H]1Cc2ccccc2CN1Cc1ccc(-c2cc[nH]n2)o1 ZINC001308666393 1066144581 /nfs/dbraw/zinc/14/45/81/1066144581.db2.gz OBMTZKSHZCUZPM-INIZCTEOSA-N 0 3 307.397 4.007 20 0 DIADHN Cc1ccc(F)cc1CN(C)CCOc1ccc(Cl)cc1 ZINC001308663857 1066145026 /nfs/dbraw/zinc/14/50/26/1066145026.db2.gz VDFROKKSYZJNMK-UHFFFAOYSA-N 0 3 307.796 4.298 20 0 DIADHN COc1cccc(Cl)c1CN[C@H](C)Cc1ccc(C)cc1 ZINC001308690586 1066182290 /nfs/dbraw/zinc/18/22/90/1066182290.db2.gz WTWKBWQHSJCTII-CQSZACIVSA-N 0 3 303.833 4.378 20 0 DIADHN CCOc1cc(C[NH2+]CC(C(C)C)C(C)C)cc(Cl)c1[O-] ZINC001308700207 1066187420 /nfs/dbraw/zinc/18/74/20/1066187420.db2.gz MUGUNIOVKHGIII-UHFFFAOYSA-N 0 3 313.869 4.462 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC[C@@H](C(C)(C)C)C1 ZINC001308719281 1066197673 /nfs/dbraw/zinc/19/76/73/1066197673.db2.gz ZMHIIUMHTVGTIM-CYBMUJFWSA-N 0 3 309.425 4.101 20 0 DIADHN CC1=CCCN(C[C@@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)C1 ZINC001308722810 1066199002 /nfs/dbraw/zinc/19/90/02/1066199002.db2.gz NNJZVDXZEGALRF-MRXNPFEDSA-N 0 3 324.509 4.358 20 0 DIADHN COc1ccc(SCCN2CC[C@@H](C(F)(F)F)[C@@H]2C)cc1 ZINC001308729795 1066205059 /nfs/dbraw/zinc/20/50/59/1066205059.db2.gz LNBPOMNMFBFASX-SMDDNHRTSA-N 0 3 319.392 4.060 20 0 DIADHN Clc1nccc2oc(CNCc3ccc4ncccc4c3)cc21 ZINC001308737628 1066210548 /nfs/dbraw/zinc/21/05/48/1066210548.db2.gz KAKRHFFMNWIKDZ-UHFFFAOYSA-N 0 3 323.783 4.319 20 0 DIADHN CC1CCC(C)(CNCc2ncc(C(F)(F)F)s2)CC1 ZINC001308736317 1066212130 /nfs/dbraw/zinc/21/21/30/1066212130.db2.gz LKGWTYUZBNWFBL-UHFFFAOYSA-N 0 3 306.397 4.468 20 0 DIADHN CSC1CC(N[C@H]2CCOc3c(Cl)c(Cl)ccc32)C1 ZINC001308740615 1066216059 /nfs/dbraw/zinc/21/60/59/1066216059.db2.gz DYTNNTLFAUNXTQ-KWPJZBAWSA-N 0 3 318.269 4.301 20 0 DIADHN C(C1CCC2(CCCCC2)CC1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC001308755381 1066228935 /nfs/dbraw/zinc/22/89/35/1066228935.db2.gz GKTPUDNTRXUVBL-MOPGFXCFSA-N 0 3 321.505 4.007 20 0 DIADHN CC(C)c1cnc(CN2CCCc3ccccc3CC2)s1 ZINC001308766432 1066240537 /nfs/dbraw/zinc/24/05/37/1066240537.db2.gz FRCSBCUHIGRUBY-UHFFFAOYSA-N 0 3 300.471 4.257 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c(F)cc(F)cc32)cc(C)c1O ZINC001308792802 1066269058 /nfs/dbraw/zinc/26/90/58/1066269058.db2.gz LPKLAHAGQGXTCQ-GOSISDBHSA-N 0 3 317.379 4.454 20 0 DIADHN COc1nc2ccccc2cc1CN1CCC(C)(C2CC2)CC1 ZINC001308795609 1066272155 /nfs/dbraw/zinc/27/21/55/1066272155.db2.gz NSOPUHYAQYPGNX-UHFFFAOYSA-N 0 3 310.441 4.256 20 0 DIADHN Cc1n[nH]c(CN2CCC(Cc3ccc(C)c(C)c3)CC2)c1C ZINC001308799225 1066275629 /nfs/dbraw/zinc/27/56/29/1066275629.db2.gz ROJFUBPJSAOXOB-UHFFFAOYSA-N 0 3 311.473 4.098 20 0 DIADHN CCC1CN(C[C@@H](CCC(C)(C)C)NC(=O)OC(C)(C)C)C1 ZINC001308802473 1066277283 /nfs/dbraw/zinc/27/72/83/1066277283.db2.gz IQGLNNLIYJYGQT-OAHLLOKOSA-N 0 3 312.498 4.048 20 0 DIADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1Cc1cc(-c2ccccn2)n[nH]1 ZINC001308805300 1066281279 /nfs/dbraw/zinc/28/12/79/1066281279.db2.gz VNRGEJSKNKKTDT-GJZGRUSLSA-N 0 3 312.461 4.118 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc4[nH]ccc4c3)C2)cc1F ZINC001308810601 1066286596 /nfs/dbraw/zinc/28/65/96/1066286596.db2.gz WSFKJSJNICKMEW-UHFFFAOYSA-N 0 3 312.363 4.121 20 0 DIADHN Cc1occc1CN1CCC(Cc2c(F)cccc2F)CC1 ZINC001308815345 1066290659 /nfs/dbraw/zinc/29/06/59/1066290659.db2.gz UYHVRONWMKEVIX-UHFFFAOYSA-N 0 3 305.368 4.321 20 0 DIADHN Fc1cc(CN[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)c(F)cn1 ZINC001308819438 1066294270 /nfs/dbraw/zinc/29/42/70/1066294270.db2.gz GPPTXOBNCHMJJG-WFASDCNBSA-N 0 3 322.786 4.439 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCC[C@@H]2C2CC2)cc(Cl)c1[O-] ZINC001308822174 1066296229 /nfs/dbraw/zinc/29/62/29/1066296229.db2.gz ZJVKCJWKVCBYRM-LSDHHAIUSA-N 0 3 323.864 4.360 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@@H](C)c1ncc(C(=O)OC)s1 ZINC001308827422 1066304741 /nfs/dbraw/zinc/30/47/41/1066304741.db2.gz MXHYJRUQZXSHIP-GXTWGEPZSA-N 0 3 324.490 4.329 20 0 DIADHN CC(C)[C@H]1N(Cc2ccnn2-c2ccccc2)CC12CC=CC2 ZINC001308845501 1066320482 /nfs/dbraw/zinc/32/04/82/1066320482.db2.gz JICIHCHVIYRCQK-LJQANCHMSA-N 0 3 307.441 4.049 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@H](OC(C)C)C3)oc21 ZINC001308849227 1066325725 /nfs/dbraw/zinc/32/57/25/1066325725.db2.gz GEDMLIGUTMCMHG-KRWDZBQOSA-N 0 3 301.430 4.385 20 0 DIADHN Cc1cc(F)ncc1CN1CCCC[C@@H]1Cc1ccccc1F ZINC001308851972 1066329416 /nfs/dbraw/zinc/32/94/16/1066329416.db2.gz OSSBDGYLFIIUJK-QGZVFWFLSA-N 0 3 316.395 4.265 20 0 DIADHN COc1cc(CN2CCCC[C@@H]2C2CCC2)c(Cl)cc1O ZINC001308852515 1066333901 /nfs/dbraw/zinc/33/39/01/1066333901.db2.gz WOEGPSJSCWMIJJ-OAHLLOKOSA-N 0 3 309.837 4.209 20 0 DIADHN Fc1ccc(/C=C\CN2CCC([C@H]3CCCCO3)CC2)cc1 ZINC001308864158 1066344604 /nfs/dbraw/zinc/34/46/04/1066344604.db2.gz LCULMSBQXVOIEE-ZRHASKPBSA-N 0 3 303.421 4.120 20 0 DIADHN COc1ccc2oc(CN3CC(Cc4ccccc4C)C3)cc2c1 ZINC001308870946 1066350549 /nfs/dbraw/zinc/35/05/49/1066350549.db2.gz BXGSJIBLLZXINR-UHFFFAOYSA-N 0 3 321.420 4.424 20 0 DIADHN CC1(C)CC[C@@H](N[C@@H](CO)c2cccc(Cl)c2Cl)C1 ZINC001308870464 1066351002 /nfs/dbraw/zinc/35/10/02/1066351002.db2.gz CQCBVMQWFBNNNY-MFKMUULPSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](N[C@@H](CO)c1cccc(Cl)c1Cl)[C@@H]1C[C@H]1C1CC1 ZINC001308871342 1066351418 /nfs/dbraw/zinc/35/14/18/1066351418.db2.gz BYFMLUAFDSLOAZ-STAGSXQKSA-N 0 3 314.256 4.051 20 0 DIADHN Cc1ccc(CN[C@H](C)CC(=O)Nc2ccc(C)c(Cl)c2)o1 ZINC001308883183 1066362800 /nfs/dbraw/zinc/36/28/00/1066362800.db2.gz FAELNLJWCJMNMH-GFCCVEGCSA-N 0 3 320.820 4.057 20 0 DIADHN CCn1cncc1CN1CCC[C@]1(C)Cc1ccccc1Cl ZINC001308884859 1066366967 /nfs/dbraw/zinc/36/69/67/1066366967.db2.gz VDZXNPKNIGTTQE-GOSISDBHSA-N 0 3 317.864 4.154 20 0 DIADHN COc1ccc2nc(CN3CCCC4(CC4)CC3)sc2c1 ZINC001308887737 1066368395 /nfs/dbraw/zinc/36/83/95/1066368395.db2.gz IXPDWHWFTXGESQ-UHFFFAOYSA-N 0 3 302.443 4.071 20 0 DIADHN C[C@@H](CCN1CCC[C@@]1(C)C(=O)OC(C)(C)C)c1ccccc1 ZINC001308889844 1066370886 /nfs/dbraw/zinc/37/08/86/1066370886.db2.gz QMQDAGXYOBTSGM-JXFKEZNVSA-N 0 3 317.473 4.376 20 0 DIADHN CCC(O)(CC)CN(C)Cc1ccc(Oc2ccccc2)o1 ZINC001308890317 1066371786 /nfs/dbraw/zinc/37/17/86/1066371786.db2.gz DHMAFURBICSPON-UHFFFAOYSA-N 0 3 303.402 4.055 20 0 DIADHN c1csc(CC2CCN(Cc3ccc4occc4c3)CC2)n1 ZINC001308894183 1066375696 /nfs/dbraw/zinc/37/56/96/1066375696.db2.gz LGLQXKLATFFWAN-UHFFFAOYSA-N 0 3 312.438 4.344 20 0 DIADHN Fc1cccnc1CNC[C@]1(Cc2ccccc2)C=CCCC1 ZINC001308897936 1066377389 /nfs/dbraw/zinc/37/73/89/1066377389.db2.gz QUSYSMPYMABPMO-HXUWFJFHSA-N 0 3 310.416 4.280 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1ccc(C(C)(C)C)o1 ZINC001308901488 1066380957 /nfs/dbraw/zinc/38/09/57/1066380957.db2.gz ILUZCWBTFOGFER-KBXCAEBGSA-N 0 3 301.430 4.443 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H](C)CSCCF ZINC001308921556 1066398888 /nfs/dbraw/zinc/39/88/88/1066398888.db2.gz KRICJGRKGJYFJL-LBPRGKRZSA-N 0 3 319.873 4.308 20 0 DIADHN C[C@@H](NCc1cc(Cl)cc(Cl)c1F)[C@@H]1CCCCO1 ZINC001308903257 1066383400 /nfs/dbraw/zinc/38/34/00/1066383400.db2.gz YMMIHWMEIYBQTD-RNCFNFMXSA-N 0 3 306.208 4.180 20 0 DIADHN Fc1nccc(Cl)c1CNC[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC001308906710 1066386440 /nfs/dbraw/zinc/38/64/40/1066386440.db2.gz VIDKLRSBDMOSSM-GXSJLCMTSA-N 0 3 322.733 4.108 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC([C@H]2CCC[C@H](C)C2)C1 ZINC001308911667 1066390519 /nfs/dbraw/zinc/39/05/19/1066390519.db2.gz KDFHGPDGSQIFFX-HOCLYGCPSA-N 0 3 309.457 4.196 20 0 DIADHN FC[C@H]1CCCN(Cc2cnc(-c3ccccc3F)s2)C1 ZINC001308918571 1066396565 /nfs/dbraw/zinc/39/65/65/1066396565.db2.gz RWTNIHBOWSVCPP-GFCCVEGCSA-N 0 3 308.397 4.131 20 0 DIADHN CC(C)Oc1cc(Cl)ccc1CN[C@@H](C)[C@H]1CC1(F)F ZINC001308921273 1066399607 /nfs/dbraw/zinc/39/96/07/1066399607.db2.gz JBELDEYEIMQEBG-GXFFZTMASA-N 0 3 303.780 4.261 20 0 DIADHN C[C@@H](CCc1ccccc1F)NCc1nc2c(s1)CCCC2 ZINC001308932357 1066407246 /nfs/dbraw/zinc/40/72/46/1066407246.db2.gz PXDUJYUMMNRERO-ZDUSSCGKSA-N 0 3 318.461 4.272 20 0 DIADHN CC(C)Cc1ncc(CN2C[C@@H]3C[C@]3(c3ccccc3)C2)s1 ZINC001308938600 1066408038 /nfs/dbraw/zinc/40/80/38/1066408038.db2.gz NOXJCGMKIBOBMW-QFBILLFUSA-N 0 3 312.482 4.115 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC[C@@H](c3ccn(C)n3)C2)cc1C ZINC001308945840 1066413484 /nfs/dbraw/zinc/41/34/84/1066413484.db2.gz RMTFUKUUTHVDKH-MWGFUINXSA-N 0 3 323.484 4.238 20 0 DIADHN Cc1cc(F)c(CNCCCc2ccc(F)c(F)c2)c(F)c1 ZINC001308953923 1066416667 /nfs/dbraw/zinc/41/66/67/1066416667.db2.gz ZLANMHJMLOGHIB-UHFFFAOYSA-N 0 3 311.322 4.274 20 0 DIADHN CC(C)O[C@H](CN[C@@H]1CCCc2cccnc21)c1ccccc1 ZINC001308964172 1066423678 /nfs/dbraw/zinc/42/36/78/1066423678.db2.gz WUHZMGGJWGQOQE-RTBURBONSA-N 0 3 310.441 4.215 20 0 DIADHN CC(C)(C)c1cnc(CN2CCCC[C@@H]([C@H]3CCOC3)C2)s1 ZINC001308974812 1066427656 /nfs/dbraw/zinc/42/76/56/1066427656.db2.gz LVMYVPTVCRHQNQ-CABCVRRESA-N 0 3 322.518 4.079 20 0 DIADHN CC(C)(C)C1CCC(N[C@H](C(N)=O)c2ccc(Cl)cc2)CC1 ZINC001308979684 1066429656 /nfs/dbraw/zinc/42/96/56/1066429656.db2.gz RARGCUQORHZZKL-BCLQGDPASA-N 0 3 322.880 4.061 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)N[C@@H](c1nc(C)no1)C(C)C ZINC001308989548 1066434966 /nfs/dbraw/zinc/43/49/66/1066434966.db2.gz IRYJJPFYDCJXLF-JKSUJKDBSA-N 0 3 321.852 4.339 20 0 DIADHN COc1cccc(CNCc2cc(Br)ccc2C)c1 ZINC000190295564 334927713 /nfs/dbraw/zinc/92/77/13/334927713.db2.gz KSFYSUIVUAPWJN-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN Fc1cccc(OCCN2CCCCC[C@H]2c2ccco2)c1 ZINC000078831605 185117839 /nfs/dbraw/zinc/11/78/39/185117839.db2.gz SESWVPUQCAAVNG-KRWDZBQOSA-N 0 3 303.377 4.415 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(OC)c1)c1ccc(F)cc1 ZINC000079121530 185159718 /nfs/dbraw/zinc/15/97/18/185159718.db2.gz REUQOUQXHKAQBP-MRXNPFEDSA-N 0 3 303.377 4.084 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCC[C@H]2C2CCCC2)n1 ZINC000079214932 185161506 /nfs/dbraw/zinc/16/15/06/185161506.db2.gz DASHRWRZYGCBNH-IBGZPJMESA-N 0 3 309.457 4.086 20 0 DIADHN COCCOc1ccccc1CN[C@H]1C[C@H](C)c2ccccc21 ZINC000289242294 536733676 /nfs/dbraw/zinc/73/36/76/536733676.db2.gz MXDAAMSHJIDNSD-KXBFYZLASA-N 0 3 311.425 4.050 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C)c1cnn(C)c1 ZINC000090114941 185282799 /nfs/dbraw/zinc/28/27/99/185282799.db2.gz LHZGTIJMPHDCPN-MWDDYTRKSA-N 0 3 303.837 4.121 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnn(C(C)C)c1)c1cccc(Cl)c1 ZINC000090173329 185285008 /nfs/dbraw/zinc/28/50/08/185285008.db2.gz SCEICUGVBKTMFD-GUYCJALGSA-N 0 3 321.852 4.156 20 0 DIADHN Cc1sc(CCN[C@@H](C)c2cccnc2)nc1-c1ccccc1 ZINC000090399968 185294450 /nfs/dbraw/zinc/29/44/50/185294450.db2.gz TUTXYZOGAQDOCQ-AWEZNQCLSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1cc(C[C@H](C)N[C@@H](C)c2ccco2)n(-c2ccccc2)n1 ZINC000090602160 185306013 /nfs/dbraw/zinc/30/60/13/185306013.db2.gz HAXMUFVYBBOFDX-HOCLYGCPSA-N 0 3 309.413 4.056 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H](C)c1ccccc1OC(F)F ZINC000090728082 185311324 /nfs/dbraw/zinc/31/13/24/185311324.db2.gz RCWJEBHIANQQOM-WDEREUQCSA-N 0 3 324.371 4.347 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H](C)c1ccc2ccccc2n1 ZINC000090728945 185311555 /nfs/dbraw/zinc/31/15/55/185311555.db2.gz NPCHYMSSQXCYPH-STQMWFEESA-N 0 3 309.413 4.294 20 0 DIADHN CC[C@@H](NC[C@@H](C)c1c(C)noc1C)c1cc(F)ccc1F ZINC000090728617 185311561 /nfs/dbraw/zinc/31/15/61/185311561.db2.gz QPYYIBKQFYSHLA-QLJPJBMISA-N 0 3 308.372 4.414 20 0 DIADHN C[C@@H]1CC[C@H](NCc2cn(C)nc2C(F)(F)F)c2ccccc21 ZINC000090666529 185308567 /nfs/dbraw/zinc/30/85/67/185308567.db2.gz FWJPOUXZQOWSAY-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@H](C)c2ccccc2C)s1 ZINC000092719944 185336142 /nfs/dbraw/zinc/33/61/42/185336142.db2.gz LTPHJALDSRMMEK-KGLIPLIRSA-N 0 3 318.486 4.361 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NCc2ccc(Cl)cc2)s1 ZINC000092719914 185336188 /nfs/dbraw/zinc/33/61/88/185336188.db2.gz KOMKFEQHVLWJDY-LLVKDONJSA-N 0 3 324.877 4.145 20 0 DIADHN COC(C)(C)C[C@H](C)N[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000343832228 335200502 /nfs/dbraw/zinc/20/05/02/335200502.db2.gz DXURWGDTUOCAFV-IUCAKERBSA-N 0 3 310.385 4.016 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@H](C)c2ccc(C)cc2)s1 ZINC000092739920 185337740 /nfs/dbraw/zinc/33/77/40/185337740.db2.gz YPQJPIABJMGTBU-ZIAGYGMSSA-N 0 3 318.486 4.361 20 0 DIADHN CC[C@H](NCc1cccc(COC)c1)c1ccc2c(c1)CCO2 ZINC000121429578 185798899 /nfs/dbraw/zinc/79/88/99/185798899.db2.gz GWWKTWFCYZZKRW-IBGZPJMESA-N 0 3 311.425 4.009 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000299207561 535183229 /nfs/dbraw/zinc/18/32/29/535183229.db2.gz ACHSGRBLKILRSS-CQSZACIVSA-N 0 3 305.809 4.010 20 0 DIADHN CCC[C@@H](N)C(=O)Nc1ccc(Sc2ccccc2)cc1C ZINC000236806314 202019020 /nfs/dbraw/zinc/01/90/20/202019020.db2.gz BFJFWQAORHYSMA-MRXNPFEDSA-N 0 3 314.454 4.212 20 0 DIADHN CCCCCCC(=O)Nc1sc(C)c(C)c1C(=O)OCC ZINC000005461239 181246128 /nfs/dbraw/zinc/24/61/28/181246128.db2.gz MPFMPKHXNQHRHU-UHFFFAOYSA-N 0 3 311.447 4.451 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3ccc(F)cc32)cc1OC ZINC000081181390 192234064 /nfs/dbraw/zinc/23/40/64/192234064.db2.gz LEBTUJDPXLXORE-YVEFUNNKSA-N 0 3 315.388 4.181 20 0 DIADHN CCCOc1ccccc1Oc1ncccc1CNC(C)C ZINC000236970670 202101513 /nfs/dbraw/zinc/10/15/13/202101513.db2.gz CUGLPBJIDLRWMM-UHFFFAOYSA-N 0 3 300.402 4.161 20 0 DIADHN C[C@@H](N[C@H](C)C1CCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000187505988 200121746 /nfs/dbraw/zinc/12/17/46/200121746.db2.gz HLSLRXVWHGFWCT-VXGBXAGGSA-N 0 3 303.381 4.105 20 0 DIADHN Cc1noc(C)c1[C@H](C)CN[C@H](CC(C)C)c1ccccn1 ZINC000090763457 192929083 /nfs/dbraw/zinc/92/90/83/192929083.db2.gz UFFAVZNYPUOIAV-CXAGYDPISA-N 0 3 301.434 4.167 20 0 DIADHN Cc1ccccc1C(C)(C)CN[C@H](C)c1cn2ccccc2n1 ZINC000521281757 370698557 /nfs/dbraw/zinc/69/85/57/370698557.db2.gz WWSSZKXFEDLRDO-MRXNPFEDSA-N 0 3 307.441 4.271 20 0 DIADHN CC(C)Oc1ccc(CCN[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000566473828 370703961 /nfs/dbraw/zinc/70/39/61/370703961.db2.gz DPICZEDBNUSHFP-INIZCTEOSA-N 0 3 323.440 4.015 20 0 DIADHN CC[C@@H](N[C@@H](C)Cc1c(C)noc1C)c1cc(C)ccc1OC ZINC000091726830 193098178 /nfs/dbraw/zinc/09/81/78/193098178.db2.gz WIFWVKQBKIKHMC-SCLBCKFNSA-N 0 3 316.445 4.280 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)CCCCc1ccccc1 ZINC000091955794 193133261 /nfs/dbraw/zinc/13/32/61/193133261.db2.gz UBFSCNAHWAGFMN-UHFFFAOYSA-N 0 3 310.441 4.100 20 0 DIADHN CSc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)s1 ZINC000563791254 335014723 /nfs/dbraw/zinc/01/47/23/335014723.db2.gz RZKQLSGPGNBRJH-KRWDZBQOSA-N 0 3 317.479 4.101 20 0 DIADHN CSc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)s1 ZINC000563791256 335014789 /nfs/dbraw/zinc/01/47/89/335014789.db2.gz RZKQLSGPGNBRJH-QGZVFWFLSA-N 0 3 317.479 4.101 20 0 DIADHN CN1CCC(OC(c2ccc(F)cc2)c2ccc(F)cc2)CC1 ZINC000013986458 181916114 /nfs/dbraw/zinc/91/61/14/181916114.db2.gz OMHQPTBUHAMDQH-UHFFFAOYSA-N 0 3 317.379 4.165 20 0 DIADHN CCCN[C@H](C(=O)Nc1ccc(C)c(Cl)c1)c1ccccc1 ZINC000018937990 182102994 /nfs/dbraw/zinc/10/29/94/182102994.db2.gz MOHBEMHAQWUCBM-KRWDZBQOSA-N 0 3 316.832 4.328 20 0 DIADHN CSc1ccccc1CN[C@@H](c1ccccc1)C(C)(C)CO ZINC000563802050 335020104 /nfs/dbraw/zinc/02/01/04/335020104.db2.gz KKKSPKJBTROFHJ-SFHVURJKSA-N 0 3 315.482 4.258 20 0 DIADHN CSc1ccccc1CN[C@H](c1ccccc1)C(C)(C)CO ZINC000563802049 335020292 /nfs/dbraw/zinc/02/02/92/335020292.db2.gz KKKSPKJBTROFHJ-GOSISDBHSA-N 0 3 315.482 4.258 20 0 DIADHN Brc1ccc(CN[C@H]2CCc3ccccc32)s1 ZINC000020130011 182189819 /nfs/dbraw/zinc/18/98/19/182189819.db2.gz VYNACOHBPSCLCP-ZDUSSCGKSA-N 0 3 308.244 4.288 20 0 DIADHN C[C@H](NCc1ccc(Br)cc1)c1cccc(F)c1 ZINC000020147753 182192435 /nfs/dbraw/zinc/19/24/35/182192435.db2.gz UJMYRLBLNLBIAA-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000518826212 335021044 /nfs/dbraw/zinc/02/10/44/335021044.db2.gz LQTSJVRSHOLWNS-UZLBHIALSA-N 0 3 324.468 4.137 20 0 DIADHN CCC1(CNCc2ccc(CSC(F)F)o2)CCOCC1 ZINC000266606549 335023337 /nfs/dbraw/zinc/02/33/37/335023337.db2.gz GITYHVZYVBEVAC-UHFFFAOYSA-N 0 3 319.417 4.032 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCCO2)c1cccs1 ZINC000020556316 182231872 /nfs/dbraw/zinc/23/18/72/182231872.db2.gz XNBQMPTUHJBSHO-STQMWFEESA-N 0 3 303.427 4.321 20 0 DIADHN COc1ccc(CNCc2ccc(C)cc2)cc1Br ZINC000020927715 182254209 /nfs/dbraw/zinc/25/42/09/182254209.db2.gz ZXDWUNHVMJPHKJ-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](C)c2ccc(C)s2)c1 ZINC000021014623 182261057 /nfs/dbraw/zinc/26/10/57/182261057.db2.gz JPISXBFRKWPFCY-STQMWFEESA-N 0 3 302.443 4.427 20 0 DIADHN CCOc1cc(CNCc2cccs2)ccc1OC(F)F ZINC000021518794 182277669 /nfs/dbraw/zinc/27/76/69/182277669.db2.gz KFVIZZKDRCXGRO-UHFFFAOYSA-N 0 3 313.369 4.038 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(Cl)cc2)cc1OC ZINC000021792210 182289783 /nfs/dbraw/zinc/28/97/83/182289783.db2.gz UUJYPMYCPSDPKD-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN CN1CCC[C@@H](N[C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000022794936 182335163 /nfs/dbraw/zinc/33/51/63/182335163.db2.gz ATBWRPNTOXXOBL-RTBURBONSA-N 0 3 314.860 4.113 20 0 DIADHN COCCC[C@@H](NCc1cc(F)cc(F)c1)c1ccc(F)cc1 ZINC000442705830 335030261 /nfs/dbraw/zinc/03/02/61/335030261.db2.gz BWISTCVIQMUIMV-GOSISDBHSA-N 0 3 323.358 4.361 20 0 DIADHN COCC[C@H](NC[C@@H](C)c1cccc(Cl)c1)c1ccco1 ZINC000525863532 335030270 /nfs/dbraw/zinc/03/02/70/335030270.db2.gz SAOSXZZKKQCBOR-CJNGLKHVSA-N 0 3 307.821 4.404 20 0 DIADHN C[C@@H](Cc1ccccn1)NC1c2ccccc2Oc2ccccc21 ZINC000028234775 182514771 /nfs/dbraw/zinc/51/47/71/182514771.db2.gz FALUPBJTAHZVJS-HNNXBMFYSA-N 0 3 316.404 4.498 20 0 DIADHN CC(C)N(Cc1ccc(Br)cc1F)CC1CC1 ZINC000042253435 183247512 /nfs/dbraw/zinc/24/75/12/183247512.db2.gz HEVDHYRTZUXFPV-UHFFFAOYSA-N 0 3 300.215 4.209 20 0 DIADHN F[C@@H]1CC[C@@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000563835641 335038462 /nfs/dbraw/zinc/03/84/62/335038462.db2.gz LWNADDPVDDLLIQ-CHWSQXEVSA-N 0 3 310.825 4.444 20 0 DIADHN CCOc1ccc(CNCc2cc3ccccc3[nH]2)cc1OCC ZINC000058473602 184119744 /nfs/dbraw/zinc/11/97/44/184119744.db2.gz DPVCTKJWPLUNBD-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN CCN(Cc1cccc(C(N)=O)c1)[C@@H](C)c1cc2ccccc2o1 ZINC000064348721 184295430 /nfs/dbraw/zinc/29/54/30/184295430.db2.gz RDFFCLACEFYEDZ-AWEZNQCLSA-N 0 3 322.408 4.115 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](CO)c3ccccc3)oc2c1 ZINC000426039136 335045897 /nfs/dbraw/zinc/04/58/97/335045897.db2.gz JCVLBEDWHOGCEU-CRAIPNDOSA-N 0 3 309.409 4.168 20 0 DIADHN CSc1ccc(CNC2(c3ccccc3)CCOCC2)s1 ZINC000563841781 335047122 /nfs/dbraw/zinc/04/71/22/335047122.db2.gz MFMCZJPPAUVLKY-UHFFFAOYSA-N 0 3 319.495 4.266 20 0 DIADHN CO[C@H](C)CN(Cc1cnc(-c2ccsc2)s1)C(C)C ZINC000353802542 335051761 /nfs/dbraw/zinc/05/17/61/335051761.db2.gz BDTJMODZPOQRQB-GFCCVEGCSA-N 0 3 310.488 4.117 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)CCSC)c1OC(C)C ZINC000353802759 335052642 /nfs/dbraw/zinc/05/26/42/335052642.db2.gz IRBYMCGMOBCPTQ-AWEZNQCLSA-N 0 3 311.491 4.056 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccccc2C(=O)N(C)C)cc1 ZINC000417694299 335053136 /nfs/dbraw/zinc/05/31/36/335053136.db2.gz CVPWJGNVROTGQJ-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000193999315 335058491 /nfs/dbraw/zinc/05/84/91/335058491.db2.gz JXOXHGRSHMRHRB-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN CCc1ccc(CNC2(c3ccc(F)cc3)CCOCC2)cc1 ZINC000074372389 184979275 /nfs/dbraw/zinc/97/92/75/184979275.db2.gz BNRVNJLGXMWANA-UHFFFAOYSA-N 0 3 313.416 4.184 20 0 DIADHN Clc1ccc(C[C@H](N[C@H]2CCSC2)c2ccccn2)cc1 ZINC000271428357 129911605 /nfs/dbraw/zinc/91/16/05/129911605.db2.gz CSIPCWUNZUOTDE-RDJZCZTQSA-N 0 3 318.873 4.114 20 0 DIADHN C[C@@H](NCC1CCSCC1)c1nc2ccc(Cl)cc2n1C ZINC000271692920 129948436 /nfs/dbraw/zinc/94/84/36/129948436.db2.gz KPWUVOIIAKNVSJ-LLVKDONJSA-N 0 3 323.893 4.021 20 0 DIADHN Cc1noc(C2CCC(N[C@@H](C)c3cccc(F)c3F)CC2)n1 ZINC000272002169 129989634 /nfs/dbraw/zinc/98/96/34/129989634.db2.gz RXLMGTIZTMVHQK-PKSQDBQZSA-N 0 3 321.371 4.033 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CN[C@@H](C)c2cccc(CO)c2)c1C ZINC000272024970 129992571 /nfs/dbraw/zinc/99/25/71/129992571.db2.gz WFFJWBSRJCEACL-INIZCTEOSA-N 0 3 322.452 4.436 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NCc1ccc(CO)cc1 ZINC000272569963 130042378 /nfs/dbraw/zinc/04/23/78/130042378.db2.gz VFDKHRYKYWETEG-LJQANCHMSA-N 0 3 313.441 4.065 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@H](c2ccccc2)c2ccccn2)CCO1 ZINC000272683570 130052523 /nfs/dbraw/zinc/05/25/23/130052523.db2.gz VWWAIIKYEPRVME-QKNQBKEWSA-N 0 3 324.468 4.354 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CCO[C@H](C)C1 ZINC000272698641 130053980 /nfs/dbraw/zinc/05/39/80/130053980.db2.gz RHZCHFGNGOYMOH-DNVCBOLYSA-N 0 3 311.425 4.019 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1CCO[C@@H](C)C1 ZINC000272698645 130053996 /nfs/dbraw/zinc/05/39/96/130053996.db2.gz RHZCHFGNGOYMOH-HNAYVOBHSA-N 0 3 311.425 4.019 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(NC(C)=O)cc2)c1 ZINC000272757710 130061651 /nfs/dbraw/zinc/06/16/51/130061651.db2.gz PGTMFHBKUFCQKU-ZIAGYGMSSA-N 0 3 312.413 4.065 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cn(C)nc1-c1ccccc1 ZINC000272949942 130075972 /nfs/dbraw/zinc/07/59/72/130075972.db2.gz QEIBBFNHSDMXNQ-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cn(C)nc1-c1ccccc1 ZINC000272949939 130076120 /nfs/dbraw/zinc/07/61/20/130076120.db2.gz QEIBBFNHSDMXNQ-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2-n2ccnc2)cc1C ZINC000273093534 130089433 /nfs/dbraw/zinc/08/94/33/130089433.db2.gz SDKLFIWIPFZQLZ-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000273393670 130116392 /nfs/dbraw/zinc/11/63/92/130116392.db2.gz FBIMQLGVWFKIAS-QANKJYHBSA-N 0 3 303.377 4.298 20 0 DIADHN CCC[C@@H](NCc1ccc(N2CCCCC2)nc1)c1cccnc1 ZINC000273401796 130117524 /nfs/dbraw/zinc/11/75/24/130117524.db2.gz NGNWFERFEARQJP-LJQANCHMSA-N 0 3 324.472 4.098 20 0 DIADHN Cc1ccc(F)c(CN[C@H]2CCO[C@H](c3ccc(F)cc3)C2)c1 ZINC000273489210 130128063 /nfs/dbraw/zinc/12/80/63/130128063.db2.gz MXUUNZYEPCEIQG-HKUYNNGSSA-N 0 3 317.379 4.283 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cn(C)nc1C(F)F ZINC000274458476 130237948 /nfs/dbraw/zinc/23/79/48/130237948.db2.gz GVYLOZWYFFKRNY-OAHLLOKOSA-N 0 3 307.388 4.331 20 0 DIADHN CC(C)C[C@@H](NCc1cn(C)nc1C(F)F)c1ccccc1 ZINC000274498635 130241865 /nfs/dbraw/zinc/24/18/65/130241865.db2.gz RAACPXJCNMMZPB-OAHLLOKOSA-N 0 3 307.388 4.235 20 0 DIADHN CO[C@H](CN[C@H](C)c1cncc(C)c1)c1ccc(Cl)cc1 ZINC000274616106 130248825 /nfs/dbraw/zinc/24/88/25/130248825.db2.gz OLSYCQLRFDYZRV-CXAGYDPISA-N 0 3 304.821 4.082 20 0 DIADHN Cc1csc(CCCCN[C@@H](C)c2ccc(Cl)cn2)n1 ZINC000274931611 130274686 /nfs/dbraw/zinc/27/46/86/130274686.db2.gz QWSZECFLFDFHIN-LBPRGKRZSA-N 0 3 309.866 4.173 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1cncs1 ZINC000275028127 130285413 /nfs/dbraw/zinc/28/54/13/130285413.db2.gz VMKKLOIRESBDLN-JQWIXIFHSA-N 0 3 300.349 4.140 20 0 DIADHN CCc1cc(NCc2ccc([C@@H](C)O)c(C)n2)c2ccccc2n1 ZINC000275402932 130316921 /nfs/dbraw/zinc/31/69/21/130316921.db2.gz FAXRUZLVZXAOLI-CQSZACIVSA-N 0 3 321.424 4.166 20 0 DIADHN CCc1cc(NCc2ccc([C@H](C)O)c(C)n2)c2ccccc2n1 ZINC000275402927 130317280 /nfs/dbraw/zinc/31/72/80/130317280.db2.gz FAXRUZLVZXAOLI-AWEZNQCLSA-N 0 3 321.424 4.166 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1cccc(C(F)F)c1)c1ccco1 ZINC000279587186 130753157 /nfs/dbraw/zinc/75/31/57/130753157.db2.gz CYNQDUDKOYXIHY-HOTGVXAUSA-N 0 3 321.367 4.305 20 0 DIADHN CSCc1cccc(CN[C@H](c2cncc(F)c2)C2CC2)c1 ZINC000281795459 130886134 /nfs/dbraw/zinc/88/61/34/130886134.db2.gz YAFSWWVFIWVTJL-SFHVURJKSA-N 0 3 316.445 4.325 20 0 DIADHN Cc1cc([C@@H](C)NCCc2nc(C(F)(F)F)cs2)oc1C ZINC000282111656 130901498 /nfs/dbraw/zinc/90/14/98/130901498.db2.gz JATCSUGTZMWNDY-SECBINFHSA-N 0 3 318.364 4.265 20 0 DIADHN COc1ccc([C@@H](CN(C)C)N[C@@H](C)c2sccc2C)cc1 ZINC000284353957 131073046 /nfs/dbraw/zinc/07/30/46/131073046.db2.gz MOVHIZSXAOEBGY-WMLDXEAASA-N 0 3 318.486 4.019 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@@H](C)c2sccc2C)cc1 ZINC000284353959 131073143 /nfs/dbraw/zinc/07/31/43/131073143.db2.gz MOVHIZSXAOEBGY-YOEHRIQHSA-N 0 3 318.486 4.019 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(C(C)(C)C)nc1)[C@H](C)C2 ZINC000284417683 131079211 /nfs/dbraw/zinc/07/92/11/131079211.db2.gz QYFHPZUXDRVZPV-RDTXWAMCSA-N 0 3 309.457 4.106 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1sc(C)nc1C)c1ccccc1 ZINC000284446996 131081685 /nfs/dbraw/zinc/08/16/85/131081685.db2.gz QRCKGBLMTQCSBF-CJNGLKHVSA-N 0 3 304.459 4.140 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2CCc3ccccc32)cc1Cl ZINC000284504007 131086098 /nfs/dbraw/zinc/08/60/98/131086098.db2.gz VVHQSXCVSUBYQU-MEDUHNTESA-N 0 3 302.805 4.082 20 0 DIADHN CCC[C@H](NCc1ccnc(OC(F)F)c1)c1ccsc1 ZINC000284518923 131088390 /nfs/dbraw/zinc/08/83/90/131088390.db2.gz YXQMVWJPORESCT-ZDUSSCGKSA-N 0 3 312.385 4.376 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(-c3ccccc3)c2)n(C)n1 ZINC000284597202 131096275 /nfs/dbraw/zinc/09/62/75/131096275.db2.gz ZZLKKHJPAOZOIL-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cn[nH]c1)c1ccc(C(F)(F)F)cc1 ZINC000285034319 131132584 /nfs/dbraw/zinc/13/25/84/131132584.db2.gz HVPACUTWTVTWEO-ABAIWWIYSA-N 0 3 311.351 4.476 20 0 DIADHN c1cc2cc(CNCc3ccnc(N4CCCCC4)c3)ccc2o1 ZINC000285523471 131176512 /nfs/dbraw/zinc/17/65/12/131176512.db2.gz RVGGJGIJYCLVTE-UHFFFAOYSA-N 0 3 321.424 4.108 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3ccc4c(c3)OCCO4)ccc2[nH]1 ZINC000285656056 131190480 /nfs/dbraw/zinc/19/04/80/131190480.db2.gz LXYINHOKJSDRMC-CQSZACIVSA-N 0 3 322.408 4.098 20 0 DIADHN C[C@@H](N[C@H](C)[C@@H]1C[C@H]1c1ccccc1)c1c(F)cncc1F ZINC000285660195 131190999 /nfs/dbraw/zinc/19/09/99/131190999.db2.gz MEPQYLDHUQTBRT-UXOAXIEHSA-N 0 3 302.368 4.203 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc2[nH]c(C)cc2c1 ZINC000285696608 131194402 /nfs/dbraw/zinc/19/44/02/131194402.db2.gz OQMMJKFHMHBRSA-LJQANCHMSA-N 0 3 306.409 4.262 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H](C)c2ccccc2C)c1 ZINC000285730681 131197149 /nfs/dbraw/zinc/19/71/49/131197149.db2.gz QRJWBEFLXKBIPP-CHWSQXEVSA-N 0 3 303.427 4.255 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3occc32)c(C)c1OC ZINC000285730660 131197296 /nfs/dbraw/zinc/19/72/96/131197296.db2.gz QRBXMSNGZUMAHH-CZUORRHYSA-N 0 3 315.413 4.333 20 0 DIADHN CSCc1ccc(CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000285728980 131197350 /nfs/dbraw/zinc/19/73/50/131197350.db2.gz QREBEVSOMDYYEO-SFHVURJKSA-N 0 3 316.445 4.325 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@@H](C)c2c(F)cncc2F)cc1 ZINC000285791236 131202595 /nfs/dbraw/zinc/20/25/95/131202595.db2.gz VFJHQTLILAYKEO-AGIUHOORSA-N 0 3 320.383 4.211 20 0 DIADHN Cc1cc2cc(CN[C@@H](c3cncc(F)c3)C3CC3)ccc2[nH]1 ZINC000285801965 131203705 /nfs/dbraw/zinc/20/37/05/131203705.db2.gz VXQHGOUCYJTERU-LJQANCHMSA-N 0 3 309.388 4.251 20 0 DIADHN Cc1cnc([C@H](C)CN[C@@H](c2ncc[nH]2)C2CCCCC2)s1 ZINC000286012856 131214876 /nfs/dbraw/zinc/21/48/76/131214876.db2.gz IDZZLPHJCXNAHN-IUODEOHRSA-N 0 3 318.490 4.189 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H](c2ncc[nH]2)C2CCCCC2)s1 ZINC000286012866 131215615 /nfs/dbraw/zinc/21/56/15/131215615.db2.gz IDZZLPHJCXNAHN-WFASDCNBSA-N 0 3 318.490 4.189 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(C)ccn1)c1cccc(Cl)c1 ZINC000286197790 131224278 /nfs/dbraw/zinc/22/42/78/131224278.db2.gz RDPAENPUARQGBR-CXAGYDPISA-N 0 3 304.821 4.082 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccc3c(c2)OCC(=O)N3)c1 ZINC000286253523 131226760 /nfs/dbraw/zinc/22/67/60/131226760.db2.gz UMUIRAGFOCDPNV-CABCVRRESA-N 0 3 324.424 4.046 20 0 DIADHN OC1CCC(N[C@H](c2cc3ccccc3o2)c2ccccc2)CC1 ZINC000286336993 131231462 /nfs/dbraw/zinc/23/14/62/131231462.db2.gz YEDSTBJYHYFTAA-NGICGMGXSA-N 0 3 321.420 4.415 20 0 DIADHN FC(F)(F)Oc1cccc([C@H](NCc2cccnc2)C2CC2)c1 ZINC000286515248 131239285 /nfs/dbraw/zinc/23/92/85/131239285.db2.gz MZHDVSLGJURSID-MRXNPFEDSA-N 0 3 322.330 4.221 20 0 DIADHN C[C@@H](NCC[S@](=O)c1ccccc1)c1cccc(C2CC2)c1 ZINC000340239430 131246709 /nfs/dbraw/zinc/24/67/09/131246709.db2.gz NXKQSDYXMRBHSN-QRQCRPRQSA-N 0 3 313.466 4.022 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1cccc(C2CC2)c1 ZINC000340240252 131249143 /nfs/dbraw/zinc/24/91/43/131249143.db2.gz HMVFVZMJWLFIJC-HNNXBMFYSA-N 0 3 308.425 4.243 20 0 DIADHN Fc1ccccc1C[C@H](NCC1=CCCOC1)c1ccccc1 ZINC000286876963 131253323 /nfs/dbraw/zinc/25/33/23/131253323.db2.gz CEUMKHRCYQKFID-FQEVSTJZSA-N 0 3 311.400 4.046 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@H]1C(F)(F)F)c1ccc(F)cn1 ZINC000286885278 131253949 /nfs/dbraw/zinc/25/39/49/131253949.db2.gz CROZSCCNBNTXFU-DMDPSCGWSA-N 0 3 304.331 4.240 20 0 DIADHN C[C@@H](NC[C@H]1CCCC[C@H]1C(F)(F)F)c1ccc(F)cn1 ZINC000286885279 131254041 /nfs/dbraw/zinc/25/40/41/131254041.db2.gz CROZSCCNBNTXFU-NQBHXWOUSA-N 0 3 304.331 4.240 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CCO)c2ccc(Cl)cc2)s1 ZINC000286892091 131254068 /nfs/dbraw/zinc/25/40/68/131254068.db2.gz DCLHVKJJDAKESG-SWLSCSKDSA-N 0 3 309.862 4.484 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCSC2)c2ccccc2)nc1 ZINC000286908711 131255291 /nfs/dbraw/zinc/25/52/91/131255291.db2.gz DYVUVFONXXCNFD-KDOFPFPSSA-N 0 3 316.445 4.043 20 0 DIADHN CN(Cc1cc(-c2ccccc2)on1)C1CCC(F)(F)CC1 ZINC000286955121 131257677 /nfs/dbraw/zinc/25/76/77/131257677.db2.gz MURHZAYDGGXBMO-UHFFFAOYSA-N 0 3 306.356 4.351 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCC1=CCCOC1)CCCS2 ZINC000286973842 131258963 /nfs/dbraw/zinc/25/89/63/131258963.db2.gz IQQHCKPJKGLYQH-OAHLLOKOSA-N 0 3 309.862 4.203 20 0 DIADHN C[C@@H](NCC1=CCCOC1)c1cccc(OCc2ccccc2)c1 ZINC000287134554 131265423 /nfs/dbraw/zinc/26/54/23/131265423.db2.gz RVFLISXYKNRZOY-QGZVFWFLSA-N 0 3 323.436 4.263 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](C)c1ccc(F)c2ccccc21 ZINC000287171082 131267357 /nfs/dbraw/zinc/26/73/57/131267357.db2.gz CDTRVCNYTVEACF-OLZOCXBDSA-N 0 3 311.404 4.433 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cncc(C)c2)c(C)c1OC ZINC000287169038 131267371 /nfs/dbraw/zinc/26/73/71/131267371.db2.gz UNEFZROENMGDCT-CABCVRRESA-N 0 3 314.429 4.127 20 0 DIADHN COC(=O)Nc1cccc(CN[C@@H](c2ccccc2)C(C)C)c1 ZINC000287545843 131285778 /nfs/dbraw/zinc/28/57/78/131285778.db2.gz POMAUBHVFQQHNM-GOSISDBHSA-N 0 3 312.413 4.352 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@H](N[C@H](C)c2ncc(C)s2)C1 ZINC000340262060 131300970 /nfs/dbraw/zinc/30/09/70/131300970.db2.gz VNZIRGUAJPIERM-ILXRZTDVSA-N 0 3 324.490 4.004 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCC[C@@H](C(F)(F)F)C2)c(F)cn1 ZINC000287832379 131301757 /nfs/dbraw/zinc/30/17/57/131301757.db2.gz VSNNDSURQKACCS-HBNTYKKESA-N 0 3 320.330 4.001 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCC[C@@H](C(F)(F)F)C2)c(F)cn1 ZINC000287832374 131302174 /nfs/dbraw/zinc/30/21/74/131302174.db2.gz VSNNDSURQKACCS-AXFHLTTASA-N 0 3 320.330 4.001 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(N3CCCC3)nc1)CCC2 ZINC000288357150 131321643 /nfs/dbraw/zinc/32/16/43/131321643.db2.gz CPNBMBCSHJXDEB-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN OC[C@@H](N[C@H]1CCCc2c3ccccc3[nH]c21)c1ccc(F)cc1 ZINC000289100279 131358163 /nfs/dbraw/zinc/35/81/63/131358163.db2.gz CCWZMAWNQQZROX-RBUKOAKNSA-N 0 3 324.399 4.008 20 0 DIADHN COCCOCc1ccc(CN(C)[C@H](C)c2cccs2)cc1 ZINC000289161311 131363236 /nfs/dbraw/zinc/36/32/36/131363236.db2.gz QZYLNOUIHKSYON-OAHLLOKOSA-N 0 3 319.470 4.104 20 0 DIADHN Cc1cccc([C@@H](NCc2cnc([C@@H](C)O)s2)C(C)(C)C)c1 ZINC000289271907 131370002 /nfs/dbraw/zinc/37/00/02/131370002.db2.gz NQGYWGLTYOAYGJ-CZUORRHYSA-N 0 3 318.486 4.382 20 0 DIADHN Cc1ncc(CN[C@H]2CCCc3c4cc(C)ccc4[nH]c32)s1 ZINC000289262744 131370161 /nfs/dbraw/zinc/37/01/61/131370161.db2.gz OMJHGGAMKBQOPT-KRWDZBQOSA-N 0 3 311.454 4.408 20 0 DIADHN C[C@H]1C[C@H](NCc2ccccc2Cn2ccnc2)c2ccccc21 ZINC000289291139 131371461 /nfs/dbraw/zinc/37/14/61/131371461.db2.gz RBWJKIFSSXYAAX-KKSFZXQISA-N 0 3 317.436 4.270 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000289338969 131376116 /nfs/dbraw/zinc/37/61/16/131376116.db2.gz WQAMXTNVLOMMSO-ORAYPTAESA-N 0 3 322.456 4.246 20 0 DIADHN FC(F)c1ccc(CN2CC3(CCC3)[C@@H]2[C@@H]2CCCO2)cc1 ZINC000289447595 131386872 /nfs/dbraw/zinc/38/68/72/131386872.db2.gz FIBCPHOYRXXJBV-HOTGVXAUSA-N 0 3 307.384 4.158 20 0 DIADHN C[C@@H](NC[C@H]1CCCCO1)c1ccc(Oc2cccnc2)cc1 ZINC000289460037 131388227 /nfs/dbraw/zinc/38/82/27/131388227.db2.gz PZONLWAQFDMQAA-CRAIPNDOSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1ccccc1CN(Cc1cccnc1)C[C@H]1CCSC1 ZINC000289492875 131391043 /nfs/dbraw/zinc/39/10/43/131391043.db2.gz RXRDMAJADJKVMZ-GOSISDBHSA-N 0 3 312.482 4.145 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cc(C)nc(N2CCCC2)c1 ZINC000289560014 131396284 /nfs/dbraw/zinc/39/62/84/131396284.db2.gz AIPJZOBUHSRLRK-OAHLLOKOSA-N 0 3 315.486 4.211 20 0 DIADHN Cc1nnc(CCNCc2cccc(-c3ccccc3C)c2)s1 ZINC000289792367 131417345 /nfs/dbraw/zinc/41/73/45/131417345.db2.gz KHRURNACCWZRKV-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](C)[C@H]1OCCc2sccc21 ZINC000291997101 131615267 /nfs/dbraw/zinc/61/52/67/131615267.db2.gz XHLOAPYADCSBFQ-VEVIJQCQSA-N 0 3 317.454 4.110 20 0 DIADHN C[C@H](N[C@@H]1CCCc2c(Cl)cccc21)c1cc[nH]c(=O)c1 ZINC000340448916 131795455 /nfs/dbraw/zinc/79/54/55/131795455.db2.gz KVTOSJZZQQCKGI-MEDUHNTESA-N 0 3 302.805 4.169 20 0 DIADHN Cc1nccnc1CCN[C@@H](C)c1cc2cc(Cl)ccc2o1 ZINC000294875639 131867558 /nfs/dbraw/zinc/86/75/58/131867558.db2.gz DAWFBDMOJCOAFU-LBPRGKRZSA-N 0 3 315.804 4.078 20 0 DIADHN C[C@@H](CC(C)(C)O)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000294991256 131875954 /nfs/dbraw/zinc/87/59/54/131875954.db2.gz HPNPTBFWYBISEA-NSHDSACASA-N 0 3 324.877 4.103 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCCc2ccc(O)cc21)c1ccccc1 ZINC000340520465 131930483 /nfs/dbraw/zinc/93/04/83/131930483.db2.gz FNMAMRNIQCXUHG-UXHICEINSA-N 0 3 311.425 4.137 20 0 DIADHN CN(Cc1cn(C)nc1C(F)F)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000297501630 132019288 /nfs/dbraw/zinc/01/92/88/132019288.db2.gz LLLHHCIVMHRXDF-CVEARBPZSA-N 0 3 319.399 4.126 20 0 DIADHN c1cn2c(n1)[C@@H](NCC1(Sc3ccccc3)CCCC1)CC2 ZINC000297674390 132028353 /nfs/dbraw/zinc/02/83/53/132028353.db2.gz LELGTHRECWZTFB-INIZCTEOSA-N 0 3 313.470 4.023 20 0 DIADHN CC[C@H](NCc1ccc(OCC2CC2)nc1)c1ccsc1 ZINC000297753950 132032153 /nfs/dbraw/zinc/03/21/53/132032153.db2.gz OMKDYPCDVQTKGU-INIZCTEOSA-N 0 3 302.443 4.173 20 0 DIADHN CCOC1(C)CCN(Cc2cc(Cl)cc3cccnc32)CC1 ZINC000298284710 132054320 /nfs/dbraw/zinc/05/43/20/132054320.db2.gz ORRMPKGVIOFRKM-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cccc(C(=O)N(C)C)c2)c1 ZINC000299005160 132086402 /nfs/dbraw/zinc/08/64/02/132086402.db2.gz BUGQOFGBJLFFEN-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN CC(C)[C@@H](NCc1ccnc(N)c1)c1ccc(C(F)(F)F)cc1 ZINC000299176392 132092810 /nfs/dbraw/zinc/09/28/10/132092810.db2.gz YOHBJESHWVVERP-MRXNPFEDSA-N 0 3 323.362 4.170 20 0 DIADHN CC[C@@H](C)[C@H](O)CN[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000163952208 132127642 /nfs/dbraw/zinc/12/76/42/132127642.db2.gz MIZUVEKQEZSZCG-JRPNMDOOSA-N 0 3 324.515 4.238 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](C)c3ccc(C)cc3)c(C)n2n1 ZINC000119636742 132134617 /nfs/dbraw/zinc/13/46/17/132134617.db2.gz BPUINAMKGFVWSJ-LSDHHAIUSA-N 0 3 308.429 4.066 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H]3CCCCC3(C)C)o2)c(C)o1 ZINC000119843791 132138845 /nfs/dbraw/zinc/13/88/45/132138845.db2.gz RJNJIQRKIGERHG-CQSZACIVSA-N 0 3 303.406 4.005 20 0 DIADHN CCCNCc1csc(-c2cccc(Br)c2)n1 ZINC000040418831 132140742 /nfs/dbraw/zinc/14/07/42/132140742.db2.gz KXTWLGUHTYBAKE-UHFFFAOYSA-N 0 3 311.248 4.072 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c1cnn2C)c1cccc2ccccc21 ZINC000121056527 132151759 /nfs/dbraw/zinc/15/17/59/132151759.db2.gz PKNLEACGAAKFAZ-KUHUBIRLSA-N 0 3 305.425 4.302 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCc3cc(OC)ccc32)c1 ZINC000121416033 132155553 /nfs/dbraw/zinc/15/55/53/132155553.db2.gz OTESACZFCUSGPH-HXUWFJFHSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)c(F)c1 ZINC000121504334 132155572 /nfs/dbraw/zinc/15/55/72/132155572.db2.gz PAVZYJARLIVPGD-RYUDHWBXSA-N 0 3 319.351 4.148 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(OC)c(F)c2)cc1 ZINC000122186342 132162273 /nfs/dbraw/zinc/16/22/73/132162273.db2.gz KVRUQEUKOWPOJA-CHWSQXEVSA-N 0 3 303.377 4.255 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccccc2C(=O)N(C)C)c1 ZINC000417715561 335070731 /nfs/dbraw/zinc/07/07/31/335070731.db2.gz SYHOKJYQVVKJKW-HXUWFJFHSA-N 0 3 322.452 4.034 20 0 DIADHN CC[C@H](C)[C@@H](C(=O)Nc1ccc2c(c1)CNCC2)c1ccccc1 ZINC000391678121 335073101 /nfs/dbraw/zinc/07/31/01/335073101.db2.gz ASOIRTKMQXGKJG-MGPUTAFESA-N 0 3 322.452 4.101 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)[C@@H](C)c1cnccn1 ZINC000425554096 335086760 /nfs/dbraw/zinc/08/67/60/335086760.db2.gz VHHLBSLFGWNMED-ZDUSSCGKSA-N 0 3 323.362 4.121 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000425558710 335089750 /nfs/dbraw/zinc/08/97/50/335089750.db2.gz YCAJBYAVPDAHBK-FZKQIMNGSA-N 0 3 321.411 4.307 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccc(OC)cc21)c1ccc(OC)cc1 ZINC000173230386 332825714 /nfs/dbraw/zinc/82/57/14/332825714.db2.gz YNBZMXQQMGMFAQ-UXHICEINSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000174067256 332844227 /nfs/dbraw/zinc/84/42/27/332844227.db2.gz UMPIZJPVXSOPBD-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN CCCC[C@@H](C)[C@H](C)NCc1ccc(C(=O)OC)c(C2CC2)n1 ZINC000574944590 332856541 /nfs/dbraw/zinc/85/65/41/332856541.db2.gz WGNGQMRYXACDAD-KGLIPLIRSA-N 0 3 318.461 4.050 20 0 DIADHN Cc1cccc(CNCc2cc(Br)ccc2C)c1 ZINC000313690748 332864541 /nfs/dbraw/zinc/86/45/41/332864541.db2.gz LNKVPKOQINZUDB-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C[C@@H](NCc1ccc(-n2cccn2)cc1)c1ccc(Cl)s1 ZINC000035256156 331491077 /nfs/dbraw/zinc/49/10/77/331491077.db2.gz SZOQAJRWYJSIMC-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CCC(O)(CC)C(C)(C)CN[C@H](C)c1nccc2ccccc21 ZINC000517177388 331509650 /nfs/dbraw/zinc/50/96/50/331509650.db2.gz QCWNFKFQNUKVBA-OAHLLOKOSA-N 0 3 314.473 4.463 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H](OC)C(C)(C)C)oc21 ZINC000538395154 331514627 /nfs/dbraw/zinc/51/46/27/331514627.db2.gz FAHILALPMFQXFB-WBMJQRKESA-N 0 3 305.418 4.153 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1cc2c(s1)CCC2 ZINC000537946926 331520567 /nfs/dbraw/zinc/52/05/67/331520567.db2.gz ARQOJWPVFMNKFD-SUMWQHHRSA-N 0 3 312.482 4.074 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(F)c(OC)c2)ccc1C ZINC000179411633 331523507 /nfs/dbraw/zinc/52/35/07/331523507.db2.gz JYDYTJUTJVEODN-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1csc(CN[C@H]2CCCC[C@H]2Oc2ccccc2C)n1 ZINC000533445050 331531658 /nfs/dbraw/zinc/53/16/58/331531658.db2.gz WVSMTVZLUBRDQA-DOTOQJQBSA-N 0 3 316.470 4.240 20 0 DIADHN CCC[C@H](NCCc1cnccn1)c1ccc(Cl)cc1Cl ZINC000530518857 331552922 /nfs/dbraw/zinc/55/29/22/331552922.db2.gz JJCZWLDWFBKBMC-INIZCTEOSA-N 0 3 324.255 4.457 20 0 DIADHN C[C@H](Cn1ccnc1)N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000037320309 331555003 /nfs/dbraw/zinc/55/50/03/331555003.db2.gz LNJUVBUALIYZMF-ZJUUUORDSA-N 0 3 316.207 4.068 20 0 DIADHN c1ccc([C@@H](NCC2(c3ccccc3)CC2)c2ccccn2)cc1 ZINC000180053954 331557297 /nfs/dbraw/zinc/55/72/97/331557297.db2.gz JCKXMOVWPVJQMF-OAQYLSRUSA-N 0 3 314.432 4.492 20 0 DIADHN c1ccc([C@@H](NC[C@H]2CCc3ccccc32)c2ccccn2)cc1 ZINC000180517279 331571214 /nfs/dbraw/zinc/57/12/14/331571214.db2.gz ATCRVKPEBDWOLZ-DENIHFKCSA-N 0 3 314.432 4.491 20 0 DIADHN CCC[C@@H](C(=O)Nc1cccc(CN(C)C)c1)c1ccccc1 ZINC000047453025 331574064 /nfs/dbraw/zinc/57/40/64/331574064.db2.gz AEJSMMZVSHFIOW-LJQANCHMSA-N 0 3 310.441 4.271 20 0 DIADHN C[C@@H](NCc1cccc2c1OCO2)c1cccc2ccccc21 ZINC000047495952 331581459 /nfs/dbraw/zinc/58/14/59/331581459.db2.gz PWLLFQGJKBMUAA-CQSZACIVSA-N 0 3 305.377 4.419 20 0 DIADHN CCc1ccc(CNC[C@@H](Cc2ccccc2)C(F)(F)F)o1 ZINC000584023242 331584796 /nfs/dbraw/zinc/58/47/96/331584796.db2.gz CGVFNPVKSLVNQN-CQSZACIVSA-N 0 3 311.347 4.353 20 0 DIADHN Cc1cc(OCC(=O)Nc2ccccc2C(C)C)c(C)c(C)n1 ZINC000517245873 331603250 /nfs/dbraw/zinc/60/32/50/331603250.db2.gz DYGJNAAKKDOZEK-UHFFFAOYSA-N 0 3 312.413 4.148 20 0 DIADHN COCCC1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)CC1 ZINC000528574282 331605434 /nfs/dbraw/zinc/60/54/34/331605434.db2.gz PVJQKEHBOOUQIW-UHFFFAOYSA-N 0 3 317.473 4.063 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2ccc(F)cc2F)cc1 ZINC000530567704 331606783 /nfs/dbraw/zinc/60/67/83/331606783.db2.gz VLQSYHZPMOVADN-MOPGFXCFSA-N 0 3 317.379 4.283 20 0 DIADHN Fc1cc(CNC[C@@H]2SCCc3ccccc32)cc(F)c1F ZINC000530674231 331617693 /nfs/dbraw/zinc/61/76/93/331617693.db2.gz XLANBGFRUMWDBR-INIZCTEOSA-N 0 3 323.383 4.224 20 0 DIADHN CCCCOc1ccc(CNCc2ccccc2N(C)C)cc1 ZINC000530773707 331625233 /nfs/dbraw/zinc/62/52/33/331625233.db2.gz QNADKVCZUXSNOM-UHFFFAOYSA-N 0 3 312.457 4.221 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2NCc2cccc(F)c2F)cc1 ZINC000530806574 331627751 /nfs/dbraw/zinc/62/77/51/331627751.db2.gz WLGZCVSEOIUSBC-MJGOQNOKSA-N 0 3 317.379 4.283 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C(C)(C)c3ccccc3)n2)cc1 ZINC000530879629 331632256 /nfs/dbraw/zinc/63/22/56/331632256.db2.gz QZYOOJPZLROTTC-UHFFFAOYSA-N 0 3 321.424 4.124 20 0 DIADHN c1csc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)cs2)c1 ZINC000530940048 331636967 /nfs/dbraw/zinc/63/69/67/331636967.db2.gz YJKRMKYWAIRCFH-STQMWFEESA-N 0 3 304.484 4.494 20 0 DIADHN Cc1cc(CCNCc2ncc(C(C)(C)C)s2)cc(C)c1O ZINC000447899077 331663057 /nfs/dbraw/zinc/66/30/57/331663057.db2.gz QFSFENDSZYKHPE-UHFFFAOYSA-N 0 3 318.486 4.095 20 0 DIADHN CC[C@H](NCc1cc(OC)c(OC)c(OC)c1)c1cccs1 ZINC000074336499 331671044 /nfs/dbraw/zinc/67/10/44/331671044.db2.gz PNFRXEBUODMAML-ZDUSSCGKSA-N 0 3 321.442 4.015 20 0 DIADHN CCCCOc1ccc(CNCc2cccc(COC)c2)cc1 ZINC000077289201 331673897 /nfs/dbraw/zinc/67/38/97/331673897.db2.gz PWHGUWRBUHHMAZ-UHFFFAOYSA-N 0 3 313.441 4.302 20 0 DIADHN FC1(F)CCC[C@@H](NCc2sccc2Br)C1 ZINC000309519074 331678946 /nfs/dbraw/zinc/67/89/46/331678946.db2.gz SQFRCJHYSNYOAU-MRVPVSSYSA-N 0 3 310.207 4.178 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(OC(C)C)c2)cc1F ZINC000079720869 331682789 /nfs/dbraw/zinc/68/27/89/331682789.db2.gz JYHXWQRKGMZYRE-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1ccc(F)cc1N(C)C ZINC000539062117 331688035 /nfs/dbraw/zinc/68/80/35/331688035.db2.gz SNHXEFXSKCEMJK-AAEUAGOBSA-N 0 3 300.368 4.120 20 0 DIADHN C[C@@H](NCCOc1ncccc1Cl)c1cccc(Cl)c1 ZINC000537955786 331688779 /nfs/dbraw/zinc/68/87/79/331688779.db2.gz LOCYLKHUMGIABA-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN Cc1cccc(CNCc2ccc(Br)cc2)c1C ZINC000043304771 331694632 /nfs/dbraw/zinc/69/46/32/331694632.db2.gz GWXFDFSAFPNCOR-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN Cc1cc(C)cc(OCCNCc2ccccc2OC(F)F)c1 ZINC000517533865 331701413 /nfs/dbraw/zinc/70/14/13/331701413.db2.gz BYYCBUZJQRADNS-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN c1[nH]nc2c1cccc2CNCc1ccc(OC2CCCC2)cc1 ZINC000556431197 331703598 /nfs/dbraw/zinc/70/35/98/331703598.db2.gz RFBRVKSVMGWDHR-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2cc3c(ccc(C)c3C)[nH]2)CC1 ZINC000556611174 331720254 /nfs/dbraw/zinc/72/02/54/331720254.db2.gz ZYOYENXYWXTRCA-UHFFFAOYSA-N 0 3 322.456 4.196 20 0 DIADHN COc1ccc([C@H](C)CN2CCC(CC(F)(F)F)CC2)cc1 ZINC000556620288 331721431 /nfs/dbraw/zinc/72/14/31/331721431.db2.gz YJPUFZPHCMDYKY-CYBMUJFWSA-N 0 3 315.379 4.463 20 0 DIADHN CCSCCNC(C)(C)c1ccccc1Br ZINC000309893305 331728550 /nfs/dbraw/zinc/72/85/50/331728550.db2.gz DQTNIEPUKOXYAV-UHFFFAOYSA-N 0 3 302.281 4.027 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1)c1ncc[nH]1 ZINC000556896484 331750691 /nfs/dbraw/zinc/75/06/91/331750691.db2.gz ZBKLXASNSDGJCP-GLQYFDAESA-N 0 3 323.362 4.415 20 0 DIADHN C[C@H](NC[C@H]1CCOC[C@@H]1C)c1cc(Cl)sc1Cl ZINC000556922167 331754153 /nfs/dbraw/zinc/75/41/53/331754153.db2.gz UGWIMALPVGOYSF-LPEHRKFASA-N 0 3 308.274 4.378 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H]1CCc2sc(Cl)cc21 ZINC000557261160 331778893 /nfs/dbraw/zinc/77/88/93/331778893.db2.gz CSZWWECECGJLIR-KOLCDFICSA-N 0 3 315.866 4.099 20 0 DIADHN CCOc1cc(CN[C@H]2CC(C)(C)c3ccccc32)ccc1O ZINC000557260618 331779013 /nfs/dbraw/zinc/77/90/13/331779013.db2.gz FRDZAPNKOUAKNR-KRWDZBQOSA-N 0 3 311.425 4.303 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H](OC)c2ccc(OC)cc2)o1 ZINC000557281777 331780837 /nfs/dbraw/zinc/78/08/37/331780837.db2.gz LDJCKMYUXJBTPO-IQUTYRLHSA-N 0 3 317.429 4.277 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NC/C=C\c2ccccc2)s1 ZINC000255648433 331783902 /nfs/dbraw/zinc/78/39/02/331783902.db2.gz URMFCRBOMQHEAT-JKEYDSJLSA-N 0 3 316.470 4.004 20 0 DIADHN Fc1cc(-c2ccncc2)ccc1CN[C@@H]1CCC[C@@H](F)C1 ZINC000565774294 331794027 /nfs/dbraw/zinc/79/40/27/331794027.db2.gz PQNCQVJABXJQEX-IAGOWNOFSA-N 0 3 302.368 4.258 20 0 DIADHN CC[C@@H](N[C@H](C)CCC(C)(C)C)c1nnc2n1CCCCC2 ZINC000565780538 331797316 /nfs/dbraw/zinc/79/73/16/331797316.db2.gz AAVLOMCYCBOTMG-HUUCEWRRSA-N 0 3 306.498 4.260 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2NCc2cccc(Cl)c2)cc1F ZINC000557881542 331823902 /nfs/dbraw/zinc/82/39/02/331823902.db2.gz FZFDTUYHUCGJLG-SJORKVTESA-N 0 3 323.770 4.238 20 0 DIADHN COc1ccc([C@@H](C)NCc2cscc2C)c(C)c1OC ZINC000584119596 331838599 /nfs/dbraw/zinc/83/85/99/331838599.db2.gz VTACRJKYFLHUAU-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccnc3ccccc23)c2ccccc2O1 ZINC000584153403 331845110 /nfs/dbraw/zinc/84/51/10/331845110.db2.gz DKJLYOLUHZUSTJ-AUUYWEPGSA-N 0 3 304.393 4.237 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2NCc2cncc(F)c2)c1 ZINC000558117302 331877608 /nfs/dbraw/zinc/87/76/08/331877608.db2.gz PGYZVSNTBDFXOX-RTBURBONSA-N 0 3 314.404 4.009 20 0 DIADHN Clc1ccc(C2(NC[C@H]3CCCCO3)CC2)cc1Cl ZINC000558223030 331885552 /nfs/dbraw/zinc/88/55/52/331885552.db2.gz VVKAQDCWCHWIAP-GFCCVEGCSA-N 0 3 300.229 4.141 20 0 DIADHN COC[C@H](NC[C@H](C)Sc1ccccc1)c1ccccc1 ZINC000558241669 331886836 /nfs/dbraw/zinc/88/68/36/331886836.db2.gz RHVWMGACISYULT-YJBOKZPZSA-N 0 3 301.455 4.145 20 0 DIADHN Fc1cc(C(F)(F)F)c(F)cc1CN[C@@H]1CCC12CCC2 ZINC000558255430 331888191 /nfs/dbraw/zinc/88/81/91/331888191.db2.gz FCYXTMMJMHZXOK-CYBMUJFWSA-N 0 3 305.290 4.406 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@H](F)C2)cnn1-c1cc(C)ccc1C ZINC000558254677 331888948 /nfs/dbraw/zinc/88/89/48/331888948.db2.gz FDYMIQYPCAFDJI-BHYGNILZSA-N 0 3 315.436 4.339 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CN[C@@H](C)c2cncs2)cc1 ZINC000558256246 331889609 /nfs/dbraw/zinc/88/96/09/331889609.db2.gz JAAXUIPYBPJVPX-KGLIPLIRSA-N 0 3 304.459 4.385 20 0 DIADHN Fc1ccc([C@H](NCCC2(F)CCC2)c2cccnc2)cc1 ZINC000558260109 331890548 /nfs/dbraw/zinc/89/05/48/331890548.db2.gz XOZWBRBFHBZRIG-KRWDZBQOSA-N 0 3 302.368 4.182 20 0 DIADHN Clc1cccc(Cl)c1[C@@H](NC1CCOCC1)C1CC1 ZINC000558469933 331910322 /nfs/dbraw/zinc/91/03/22/331910322.db2.gz KKQRDGNAKBYNCG-HNNXBMFYSA-N 0 3 300.229 4.213 20 0 DIADHN CCOc1ccc(CNCc2ccc(SC)s2)cc1OC ZINC000558490815 331912637 /nfs/dbraw/zinc/91/26/37/331912637.db2.gz IITVSVXXGMXLQL-UHFFFAOYSA-N 0 3 323.483 4.167 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1cccc(Cl)c1Cl ZINC000558533114 331918645 /nfs/dbraw/zinc/91/86/45/331918645.db2.gz DOAXLYCPCWBRCL-HNNXBMFYSA-N 0 3 304.261 4.270 20 0 DIADHN Clc1cc(CNCc2cccs2)ccc1Br ZINC000088125905 331930236 /nfs/dbraw/zinc/93/02/36/331930236.db2.gz PEZUQPHVGNVGLA-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN COC[C@H](NC[C@H]1CCC2(CCCC2)O1)c1ccc(Cl)cc1 ZINC000558743270 331940912 /nfs/dbraw/zinc/94/09/12/331940912.db2.gz SUZCZVBVGMUDGZ-SJORKVTESA-N 0 3 323.864 4.109 20 0 DIADHN COC[C@H](NC[C@H](OC)c1ccccc1)c1ccc(Cl)cc1 ZINC000558828699 331947782 /nfs/dbraw/zinc/94/77/82/331947782.db2.gz IYXWITPZOBJANN-ROUUACIJSA-N 0 3 319.832 4.005 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccc3c(c2)OCCO3)C2CC2)s1 ZINC000079924178 331972167 /nfs/dbraw/zinc/97/21/67/331972167.db2.gz COESCCXOWMZGDW-GOSISDBHSA-N 0 3 315.438 4.069 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@H]3CCC[C@@H]3C2)cs1 ZINC000152676222 331973988 /nfs/dbraw/zinc/97/39/88/331973988.db2.gz KZEDVNHMJDSSMI-ZIAGYGMSSA-N 0 3 314.454 4.051 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(C2CCOCC2)CC1 ZINC000559145584 331981621 /nfs/dbraw/zinc/98/16/21/331981621.db2.gz LFFRTPHTCKMHJB-UHFFFAOYSA-N 0 3 316.489 4.152 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H]1CC(C)(C)OC1(C)C ZINC000559154546 331983145 /nfs/dbraw/zinc/98/31/45/331983145.db2.gz AWKKNOVREKQSKI-QGZVFWFLSA-N 0 3 304.478 4.203 20 0 DIADHN CC(C)[C@@H](Nc1cccc(CN2CCSCC2)c1)C1CC1 ZINC000539687487 331990862 /nfs/dbraw/zinc/99/08/62/331990862.db2.gz FDNSZIQTACWOCW-GOSISDBHSA-N 0 3 304.503 4.082 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1CSC[C@@H]1C ZINC000449285378 331999212 /nfs/dbraw/zinc/99/92/12/331999212.db2.gz FAKHXKXSEQTTBT-UBHSHLNASA-N 0 3 303.393 4.330 20 0 DIADHN c1cnn(-c2ccc(CN[C@H](c3cccs3)C3CC3)cc2)c1 ZINC000518271904 332003992 /nfs/dbraw/zinc/00/39/92/332003992.db2.gz PHRQEMBWAWPKPH-SFHVURJKSA-N 0 3 309.438 4.175 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2ccc3c[nH]nc3c2)c1C ZINC000518275679 332004633 /nfs/dbraw/zinc/00/46/33/332004633.db2.gz ZRBFPWMERGGXPU-UHFFFAOYSA-N 0 3 318.424 4.259 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)NCc1nc2ccccc2n1C ZINC000518276720 332005017 /nfs/dbraw/zinc/00/50/17/332005017.db2.gz LMAVDTCWAVOXEY-INIZCTEOSA-N 0 3 321.468 4.419 20 0 DIADHN COc1ccc(C(C)(C)CNCc2ccc(F)cc2F)cc1 ZINC000518275142 332005178 /nfs/dbraw/zinc/00/51/78/332005178.db2.gz FLHZNPNHQQCAHQ-UHFFFAOYSA-N 0 3 305.368 4.041 20 0 DIADHN Fc1cccc(CNCCOc2ccccc2C(F)(F)F)c1 ZINC000518285535 332007128 /nfs/dbraw/zinc/00/71/28/332007128.db2.gz OKNLKSNYVXRWMP-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H]2CCO[C@@H]2c2ccccc2)c1 ZINC000559273392 332012061 /nfs/dbraw/zinc/01/20/61/332012061.db2.gz MOVVVINLLCVCSB-QZTJIDSGSA-N 0 3 301.817 4.268 20 0 DIADHN COc1cccc([C@@H](C)N(C)C[C@@H]2OCCc3ccccc32)c1 ZINC000584252437 332013464 /nfs/dbraw/zinc/01/34/64/332013464.db2.gz HNCJTFQDNOZGES-QRWLVFNGSA-N 0 3 311.425 4.002 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1ccc(F)cc1 ZINC000128911319 332015677 /nfs/dbraw/zinc/01/56/77/332015677.db2.gz RLRSJZKALPOAEG-SFHVURJKSA-N 0 3 309.388 4.252 20 0 DIADHN CCC1CCN(Cc2ccc(OCC(F)(F)F)cc2)CC1 ZINC000518339562 332016003 /nfs/dbraw/zinc/01/60/03/332016003.db2.gz NYLLOSFNOYTWEU-UHFFFAOYSA-N 0 3 301.352 4.250 20 0 DIADHN CC(C)CCc1nc(-c2cccc(CN3CCCCC3)c2)no1 ZINC000518343017 332016255 /nfs/dbraw/zinc/01/62/55/332016255.db2.gz UPEODCVVKVPLDX-UHFFFAOYSA-N 0 3 313.445 4.311 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@H](c3cccc(F)c3)C2)c1 ZINC000559302193 332020925 /nfs/dbraw/zinc/02/09/25/332020925.db2.gz UHXBNSLHDIHGNI-SAZUREKKSA-N 0 3 303.352 4.009 20 0 DIADHN CCOCC(C)(C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000559320836 332024971 /nfs/dbraw/zinc/02/49/71/332024971.db2.gz RWKMZNUHOGOGSA-UHFFFAOYSA-N 0 3 324.877 4.368 20 0 DIADHN Cc1ccccc1C(C)(C)NCC(=O)Nc1c(C)cccc1C ZINC000559326135 332025808 /nfs/dbraw/zinc/02/58/08/332025808.db2.gz CFEKEGHSDWERSR-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1ccc(-n2cccn2)c(F)c1 ZINC000559333753 332028243 /nfs/dbraw/zinc/02/82/43/332028243.db2.gz VTLCRPVFCUIAKA-GEZBDVBVSA-N 0 3 321.399 4.375 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccc(OC(F)F)c(F)c1 ZINC000559334188 332028494 /nfs/dbraw/zinc/02/84/94/332028494.db2.gz OSYCTRVHAAZWKF-UHFFFAOYSA-N 0 3 313.294 4.164 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2ccc(-n3cccn3)c(F)c2)c1C ZINC000559334654 332028872 /nfs/dbraw/zinc/02/88/72/332028872.db2.gz BGQWKOQMSRIIMK-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccccc2Cl)o1)C1CC1 ZINC000559336209 332029118 /nfs/dbraw/zinc/02/91/18/332029118.db2.gz KBHDDNVYENIHSZ-KRWDZBQOSA-N 0 3 305.805 4.115 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N[C@H]3CC4CCC3CC4)o2)cc1 ZINC000559341274 332029955 /nfs/dbraw/zinc/02/99/55/332029955.db2.gz KLTBEHQXBMRERS-GIOUXUJVSA-N 0 3 311.429 4.274 20 0 DIADHN CCCCOC1CCN(C[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000409447266 332031441 /nfs/dbraw/zinc/03/14/41/332031441.db2.gz NQGQQENCXUQASK-SFHVURJKSA-N 0 3 309.494 4.149 20 0 DIADHN CC(C)N(CCc1nccs1)C[C@@H]1CCC2(CCCCC2)O1 ZINC000409447277 332031531 /nfs/dbraw/zinc/03/15/31/332031531.db2.gz RTNVPGLYQLAFOR-INIZCTEOSA-N 0 3 322.518 4.278 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)on1 ZINC000409447258 332031585 /nfs/dbraw/zinc/03/15/85/332031585.db2.gz HYYISQZAGCSQNK-JKSUJKDBSA-N 0 3 304.434 4.002 20 0 DIADHN c1ccc(N[C@H]2CCN(C[C@H]3CCC4(CCCCC4)O3)C2)cc1 ZINC000409447936 332032955 /nfs/dbraw/zinc/03/29/55/332032955.db2.gz TVDOCQZWQGRKCP-RBUKOAKNSA-N 0 3 314.473 4.055 20 0 DIADHN Cc1ccc([C@@H](NCCc2nnc(C(C)C)s2)C2CCC2)o1 ZINC000426294381 332033676 /nfs/dbraw/zinc/03/36/76/332033676.db2.gz UGGLFYUAFKVIII-INIZCTEOSA-N 0 3 319.474 4.236 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)o1 ZINC000426307414 332039722 /nfs/dbraw/zinc/03/97/22/332039722.db2.gz QSUTTXZAHKKXGA-XWCIJXRUSA-N 0 3 305.443 4.257 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1ccc(Cl)s1 ZINC000426307336 332041229 /nfs/dbraw/zinc/04/12/29/332041229.db2.gz QDEUMNLZHVFXLV-VXGBXAGGSA-N 0 3 324.852 4.470 20 0 DIADHN Cc1cc(CNC[C@@]2(C)OCCc3sccc32)c(C)s1 ZINC000518445656 332044164 /nfs/dbraw/zinc/04/41/64/332044164.db2.gz ULFGPVKGPHUIBW-MRXNPFEDSA-N 0 3 307.484 4.004 20 0 DIADHN Cc1cc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)cc(C)c1O ZINC000518451551 332048537 /nfs/dbraw/zinc/04/85/37/332048537.db2.gz VUZLBDMXGGVLBV-MOPGFXCFSA-N 0 3 311.425 4.019 20 0 DIADHN COCC1(CCNCc2cc(Cl)sc2Cl)CCC1 ZINC000449341535 332054038 /nfs/dbraw/zinc/05/40/38/332054038.db2.gz UWUKTTIDJXSXEL-UHFFFAOYSA-N 0 3 308.274 4.351 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2ccc(SC)cc2)c1 ZINC000090972228 332058059 /nfs/dbraw/zinc/05/80/59/332058059.db2.gz OPTWOSDFMHKZTO-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)oc1C ZINC000426347298 332066641 /nfs/dbraw/zinc/06/66/41/332066641.db2.gz SLBXXDFJWZBUED-NVGCLXPQSA-N 0 3 305.443 4.311 20 0 DIADHN Cc1ccccc1CNCc1ccc(Br)c(C)c1 ZINC000091469573 332070053 /nfs/dbraw/zinc/07/00/53/332070053.db2.gz VSUHYACFHBKJCL-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CNC1CC(F)(F)C1 ZINC000569383139 332071434 /nfs/dbraw/zinc/07/14/34/332071434.db2.gz QDECURITGRWYBP-BJMVGYQFSA-N 0 3 305.290 4.496 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@H]1CCc2c1cccc2Br ZINC000089551400 332072224 /nfs/dbraw/zinc/07/22/24/332072224.db2.gz LPBHNUJVWGSULZ-UFBFGSQYSA-N 0 3 322.168 4.367 20 0 DIADHN OC[C@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1cccc(F)c1 ZINC000569384217 332072980 /nfs/dbraw/zinc/07/29/80/332072980.db2.gz QCRCBYKWQZPKBH-MOPGFXCFSA-N 0 3 324.399 4.008 20 0 DIADHN Cc1ncc(CN2CCC(CCc3ccc(O)cc3)CC2)s1 ZINC000518575201 332082868 /nfs/dbraw/zinc/08/28/68/332082868.db2.gz XJLAACQROVXPBS-UHFFFAOYSA-N 0 3 316.470 4.002 20 0 DIADHN C[C@@H](O)[C@@H]1CCCCN1Cc1sc2ccccc2c1Cl ZINC000518582229 332085037 /nfs/dbraw/zinc/08/50/37/332085037.db2.gz JWZDUGZXWBWZBQ-YPMHNXCESA-N 0 3 309.862 4.290 20 0 DIADHN CN(Cc1c[nH]nc1-c1cccs1)Cc1cccc2[nH]ccc21 ZINC000426369123 332089250 /nfs/dbraw/zinc/08/92/50/332089250.db2.gz DGGAKFHSLRIRPL-UHFFFAOYSA-N 0 3 322.437 4.252 20 0 DIADHN COc1ccc(CNC2CC(c3cc(F)cc(F)c3)C2)c(F)c1 ZINC000569466881 332096325 /nfs/dbraw/zinc/09/63/25/332096325.db2.gz MWDAPFDYOFEUOP-UHFFFAOYSA-N 0 3 321.342 4.148 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@H](CC(F)(F)F)C1 ZINC000569476644 332099139 /nfs/dbraw/zinc/09/91/39/332099139.db2.gz NPHKTTBBRYTPQQ-ZDUSSCGKSA-N 0 3 300.368 4.288 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C)CN2CCC(C)CC2)cs1 ZINC000569472930 332099342 /nfs/dbraw/zinc/09/93/42/332099342.db2.gz UQGPANOOUMNJCX-GJZGRUSLSA-N 0 3 309.523 4.038 20 0 DIADHN C(=C\c1ccccc1)\CN[C@@H](c1ccc2c(c1)OCCO2)C1CC1 ZINC000520945755 332101072 /nfs/dbraw/zinc/10/10/72/332101072.db2.gz IYVZIOBHWLIAQO-ANIGNSTFSA-N 0 3 321.420 4.212 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)C/C(C)=C\c1ccccc1 ZINC000426391555 332107846 /nfs/dbraw/zinc/10/78/46/332107846.db2.gz RCQOUFBOLJUNFH-SSZFMOIBSA-N 0 3 308.425 4.180 20 0 DIADHN Cc1cccc2oc(CCNCc3cc4c(cccc4F)[nH]3)nc21 ZINC000410318204 332111650 /nfs/dbraw/zinc/11/16/50/332111650.db2.gz ORPMZDKLLFHFND-UHFFFAOYSA-N 0 3 323.371 4.089 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3cc(F)ccc3F)nc21 ZINC000410321279 332112008 /nfs/dbraw/zinc/11/20/08/332112008.db2.gz ZJLJIQUFNBAFBO-LBPRGKRZSA-N 0 3 316.351 4.308 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@H](C)CC(C)C)c(C)c1 ZINC000410342386 332113120 /nfs/dbraw/zinc/11/31/20/332113120.db2.gz IILOYCNTTMDKAN-OAHLLOKOSA-N 0 3 304.478 4.164 20 0 DIADHN Cc1cccc2oc(CCNCc3cc4ccc(F)cc4[nH]3)nc21 ZINC000410343940 332113471 /nfs/dbraw/zinc/11/34/71/332113471.db2.gz UDEVEHXXWKXLAQ-UHFFFAOYSA-N 0 3 323.371 4.089 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(OC(C)C)cc3)nc21 ZINC000410354622 332114293 /nfs/dbraw/zinc/11/42/93/332114293.db2.gz PQUREAVTRIMOBC-UHFFFAOYSA-N 0 3 324.424 4.256 20 0 DIADHN CCCOc1cccc(CNCCc2nc3c(cccc3C)o2)c1 ZINC000410353771 332115754 /nfs/dbraw/zinc/11/57/54/332115754.db2.gz NCMXYFPGVPWRDS-UHFFFAOYSA-N 0 3 324.424 4.257 20 0 DIADHN Cc1cccc2oc(CCN[C@H](C)c3cc(F)cc(F)c3)nc21 ZINC000410361748 332116111 /nfs/dbraw/zinc/11/61/11/332116111.db2.gz TZYDPESZYNXLBY-GFCCVEGCSA-N 0 3 316.351 4.308 20 0 DIADHN Cc1cccc2oc(CCNCc3cnc(C(C)C)s3)nc21 ZINC000410356865 332117020 /nfs/dbraw/zinc/11/70/20/332117020.db2.gz XZJVKKZQPAGNRB-UHFFFAOYSA-N 0 3 315.442 4.048 20 0 DIADHN CC[C@H](NCCc1nc2c(cccc2C)o1)c1ccccc1OC ZINC000410357722 332117027 /nfs/dbraw/zinc/11/70/27/332117027.db2.gz FTEPCLSQDNOTDJ-INIZCTEOSA-N 0 3 324.424 4.428 20 0 DIADHN CCN(Cc1ccc(OC)c(O)c1)[C@H](C)c1ccc(F)cc1 ZINC000092123671 332119830 /nfs/dbraw/zinc/11/98/30/332119830.db2.gz MKKPWQOXJRAGPQ-CYBMUJFWSA-N 0 3 303.377 4.123 20 0 DIADHN CC(C)n1nccc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000410377070 332120362 /nfs/dbraw/zinc/12/03/62/332120362.db2.gz ZDJYPYUUJQAZJO-HNNXBMFYSA-N 0 3 303.837 4.107 20 0 DIADHN CC(C)n1nccc1CN1CC[C@H](CSc2ccccc2)C1 ZINC000410390940 332121703 /nfs/dbraw/zinc/12/17/03/332121703.db2.gz RLYWBEIQVZBFJI-INIZCTEOSA-N 0 3 315.486 4.078 20 0 DIADHN CCCCN(Cc1cc(C(N)=O)cs1)[C@H](C)c1ccccc1 ZINC000092263499 332122179 /nfs/dbraw/zinc/12/21/79/332122179.db2.gz QYVDSLVDMZNOEX-CQSZACIVSA-N 0 3 316.470 4.210 20 0 DIADHN CC(C)Oc1cccc(CNCCO[C@@H]2CCCC[C@H]2C)c1 ZINC000520949450 332122316 /nfs/dbraw/zinc/12/23/16/332122316.db2.gz CKXWHUHDZAYORW-VQIMIIECSA-N 0 3 305.462 4.159 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000132907238 332134421 /nfs/dbraw/zinc/13/44/21/332134421.db2.gz TZALKEIVWQGRHU-CHWSQXEVSA-N 0 3 317.454 4.494 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccccc2-n2cccn2)s1 ZINC000132909387 332134504 /nfs/dbraw/zinc/13/45/04/332134504.db2.gz AOMCRZXFUURJES-CQSZACIVSA-N 0 3 311.454 4.347 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1C[C@@H]2CCCC[C@H]2C1 ZINC000426777001 332135839 /nfs/dbraw/zinc/13/58/39/332135839.db2.gz JOYUJYXBFILBGG-ULQDDVLXSA-N 0 3 314.473 4.259 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1C[C@H]2CCCC[C@@H]2C1 ZINC000426777000 332135848 /nfs/dbraw/zinc/13/58/48/332135848.db2.gz JOYUJYXBFILBGG-GVDBMIGSSA-N 0 3 314.473 4.259 20 0 DIADHN C[C@@H](NCCc1cccnc1)c1cc(Cl)sc1Cl ZINC000133492537 332140448 /nfs/dbraw/zinc/14/04/48/332140448.db2.gz UDIYYEXYVUJGDM-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CCOC[C@H]1CCN(Cc2ccc(-c3c(F)cccc3F)o2)C1 ZINC000093838905 332142717 /nfs/dbraw/zinc/14/27/17/332142717.db2.gz GNJJICARUWLJEX-ZDUSSCGKSA-N 0 3 321.367 4.083 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccccc2N(C)C)cc1F ZINC000093941610 332144219 /nfs/dbraw/zinc/14/42/19/332144219.db2.gz UHBOYPXXKNMQNE-UONOGXRCSA-N 0 3 316.420 4.312 20 0 DIADHN CCCc1csc(CN(CCC)[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)n1 ZINC000426416729 332146183 /nfs/dbraw/zinc/14/61/83/332146183.db2.gz LIEFWTPOXXGINJ-UAGQMJEPSA-N 0 3 322.518 4.121 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@H]2CCc3ccccc3C2)cs1 ZINC000426802470 332155467 /nfs/dbraw/zinc/15/54/67/332155467.db2.gz YPPIUNOAOCLLBW-HNAYVOBHSA-N 0 3 312.482 4.394 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H]2C[C@@H]2C(F)F)c2cccc(Br)c21 ZINC000554094984 332163340 /nfs/dbraw/zinc/16/33/40/332163340.db2.gz AFVWJLMHUNQLTA-KOMHVXODSA-N 0 3 316.189 4.241 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)c1 ZINC000426981824 332163884 /nfs/dbraw/zinc/16/38/84/332163884.db2.gz GXNQJUIWQLSRBI-NHYWBVRUSA-N 0 3 316.367 4.273 20 0 DIADHN CCCc1nc(C)c(CN2C[C@H](c3ccc(F)cc3)C[C@@H]2C)o1 ZINC000428250285 332168140 /nfs/dbraw/zinc/16/81/40/332168140.db2.gz ZJMIJRFQFCLLDS-XJKSGUPXSA-N 0 3 316.420 4.453 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2oc(C(C)C)nc2C)C1(CC)CC ZINC000428422356 332169746 /nfs/dbraw/zinc/16/97/46/332169746.db2.gz LENYMBQGQVAWBE-JKSUJKDBSA-N 0 3 308.466 4.180 20 0 DIADHN Cc1cc(NC(=O)C(C)C(F)(F)F)cc(C)c1Br ZINC000427183905 332182445 /nfs/dbraw/zinc/18/24/45/332182445.db2.gz FJHLKZCBVBLWBI-QMMMGPOBSA-N 0 3 324.140 4.203 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@@H]2CCc3cc(F)ccc32)cn1 ZINC000181350104 332191532 /nfs/dbraw/zinc/19/15/32/332191532.db2.gz CCKWXPPBAWCCEU-QGZVFWFLSA-N 0 3 314.404 4.175 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@@H](c2ccccc2)C2CC2)cn1 ZINC000181351102 332191567 /nfs/dbraw/zinc/19/15/67/332191567.db2.gz LNSOWPWPPRJCAJ-IBGZPJMESA-N 0 3 310.441 4.500 20 0 DIADHN C[C@@H](NC[C@@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000448025908 332193415 /nfs/dbraw/zinc/19/34/15/332193415.db2.gz GHYVEOPJTTYYCY-ZJUUUORDSA-N 0 3 321.820 4.345 20 0 DIADHN CC(C)(NC[C@@H]1CCC(C)(C)O1)c1nc2ccccc2s1 ZINC000528602320 332196125 /nfs/dbraw/zinc/19/61/25/332196125.db2.gz LEJRASHELXFFRT-LBPRGKRZSA-N 0 3 304.459 4.079 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NC[C@H]1CCSC1)CC2 ZINC000448029767 332202364 /nfs/dbraw/zinc/20/23/64/332202364.db2.gz QVTMVIORVCWOKO-RNCFNFMXSA-N 0 3 302.270 4.323 20 0 DIADHN C[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccccc1C(F)(F)F ZINC000367518893 332233863 /nfs/dbraw/zinc/23/38/63/332233863.db2.gz KUCANGCIKXDNEW-IINYFYTJSA-N 0 3 309.335 4.157 20 0 DIADHN Cc1ccccc1[C@H]([C@H](C)Nc1ncnc2ccccc21)N(C)C ZINC000584309307 332236160 /nfs/dbraw/zinc/23/61/60/332236160.db2.gz ZPTHTYGVKTVQSL-KXBFYZLASA-N 0 3 320.440 4.042 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@H](C)[C@@H](O)Cc3ccccc3)oc2c1 ZINC000426072681 335098962 /nfs/dbraw/zinc/09/89/62/335098962.db2.gz MQXHOIXGEDQFQM-GJYPPUQNSA-N 0 3 323.436 4.384 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2NC/C=C/c2ccccc2)cc1F ZINC000584309004 332236629 /nfs/dbraw/zinc/23/66/29/332236629.db2.gz LJJLHBWUBUSUJS-AXHCFYFUSA-N 0 3 315.363 4.098 20 0 DIADHN c1cc(CN2CCc3ccccc3[C@@H]2Cc2ccccc2)on1 ZINC000536394531 332237747 /nfs/dbraw/zinc/23/77/47/332237747.db2.gz JCKKNBBHYMZUJN-FQEVSTJZSA-N 0 3 304.393 4.017 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1ccc(C)c(F)c1 ZINC000536392343 332238622 /nfs/dbraw/zinc/23/86/22/332238622.db2.gz HHLBEOGHZQAITL-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN COc1cc([C@@H](C)NCCOC2CCCCC2)ccc1SC ZINC000537969567 332246030 /nfs/dbraw/zinc/24/60/30/332246030.db2.gz FTIMWPCPGQCRQR-CQSZACIVSA-N 0 3 323.502 4.417 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2cccc(O)c2)cc1 ZINC000442771429 335096973 /nfs/dbraw/zinc/09/69/73/335096973.db2.gz TUTWORCMGZYNNK-BEVDRBHNSA-N 0 3 311.425 4.272 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1cc(Cl)ccc1Cl ZINC000224371425 332253636 /nfs/dbraw/zinc/25/36/36/332253636.db2.gz XTYLVIUISSMTCS-NEPJUHHUSA-N 0 3 304.261 4.443 20 0 DIADHN Cc1ncsc1CCNCc1cc2cc(C)c(C)cc2o1 ZINC000449468748 332254355 /nfs/dbraw/zinc/25/43/55/332254355.db2.gz QEISVXNVFMWHOZ-UHFFFAOYSA-N 0 3 300.427 4.147 20 0 DIADHN OC[C@H]1CCCN(Cc2cccc(Oc3ccccc3)c2)CC1 ZINC000559458473 332261239 /nfs/dbraw/zinc/26/12/39/332261239.db2.gz FWQLMPWLOPBDAC-KRWDZBQOSA-N 0 3 311.425 4.073 20 0 DIADHN Clc1ccc(CN2CC[C@H](c3ccncc3)C2)c2ncccc12 ZINC000555877829 332266034 /nfs/dbraw/zinc/26/60/34/332266034.db2.gz FQZWBPFGVFXAGZ-HNNXBMFYSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1nsc(C)c1CN(CCc1cccc(F)c1)C1CC1 ZINC000559637500 332274747 /nfs/dbraw/zinc/27/47/47/332274747.db2.gz KWKOPJGJPAHLHA-UHFFFAOYSA-N 0 3 304.434 4.106 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](C)C(=O)N1c2ccccc2C[C@@H]1C ZINC000559721490 332277650 /nfs/dbraw/zinc/27/76/50/332277650.db2.gz ANVFYIQACQERHO-ULQDDVLXSA-N 0 3 322.452 4.012 20 0 DIADHN C[C@@H](CN(C)Cc1cnn(-c2ccccc2)c1)c1ccccc1 ZINC000559740891 332278316 /nfs/dbraw/zinc/27/83/16/332278316.db2.gz UIQULSJQRNXAJM-KRWDZBQOSA-N 0 3 305.425 4.108 20 0 DIADHN C[C@H](NCc1ccc(N(C)C)nc1)c1ccc(F)c2ccccc21 ZINC000559737774 332278618 /nfs/dbraw/zinc/27/86/18/332278618.db2.gz XIAWJDNTEPQTAD-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1cccc(C2CC2)c1 ZINC000559788122 332281455 /nfs/dbraw/zinc/28/14/55/332281455.db2.gz TXSRIVUQHMKAQS-CXAGYDPISA-N 0 3 301.455 4.318 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2CCc2cscn2)o1 ZINC000559793106 332281689 /nfs/dbraw/zinc/28/16/89/332281689.db2.gz KBBXGKVNPHFYDH-INIZCTEOSA-N 0 3 304.459 4.458 20 0 DIADHN c1nc(CCN2CCC(CCc3ccccc3)CC2)cs1 ZINC000559793081 332281712 /nfs/dbraw/zinc/28/17/12/332281712.db2.gz JUFSOTOJFDJXMF-UHFFFAOYSA-N 0 3 300.471 4.030 20 0 DIADHN CN(Cc1ccccc1OC(F)(F)F)C[C@@H]1CCC(F)(F)C1 ZINC000559792916 332281850 /nfs/dbraw/zinc/28/18/50/332281850.db2.gz QBXAHUQWPCJIEM-LLVKDONJSA-N 0 3 323.305 4.452 20 0 DIADHN CC[C@H](NC1(c2ccccc2)CC1)c1ccc(C(=O)OC)cc1 ZINC000559807116 332282672 /nfs/dbraw/zinc/28/26/72/332282672.db2.gz BVNYGXDAFASOPL-SFHVURJKSA-N 0 3 309.409 4.203 20 0 DIADHN CC[C@@H](NC1(c2ccccc2)CC1)c1ccc(C(=O)OC)cc1 ZINC000559807114 332282801 /nfs/dbraw/zinc/28/28/01/332282801.db2.gz BVNYGXDAFASOPL-GOSISDBHSA-N 0 3 309.409 4.203 20 0 DIADHN Cc1cc([C@@H](C)NCC[C@@H](c2ccccc2)C(F)(F)F)no1 ZINC000559879308 332289096 /nfs/dbraw/zinc/28/90/96/332289096.db2.gz VTFDZCITAUKRCJ-OCCSQVGLSA-N 0 3 312.335 4.370 20 0 DIADHN Cc1c([C@@H](C)NCC[C@H](C)F)cnn1-c1cc(C)ccc1C ZINC000559891383 332289787 /nfs/dbraw/zinc/28/97/87/332289787.db2.gz QOEAOXAXGFQFPR-LSDHHAIUSA-N 0 3 303.425 4.196 20 0 DIADHN CO[C@H](CN[C@@H](C)c1sc(-c2ccccc2)nc1C)C1CC1 ZINC000559897737 332291098 /nfs/dbraw/zinc/29/10/98/332291098.db2.gz PIZJGWQJGSKXKH-BLLLJJGKSA-N 0 3 316.470 4.194 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000559898225 332291316 /nfs/dbraw/zinc/29/13/16/332291316.db2.gz ZECUZVLHTZIFEC-MOPGFXCFSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1cccc2c(CCN[C@@H](C)c3cn4ccccc4n3)c[nH]c21 ZINC000559906907 332291322 /nfs/dbraw/zinc/29/13/22/332291322.db2.gz HJGNADNRHSWTNR-HNNXBMFYSA-N 0 3 318.424 4.017 20 0 DIADHN CC1=CC[C@H](N[C@H](C(=O)Nc2ccccc2)c2ccccc2)CC1 ZINC000559914049 332292395 /nfs/dbraw/zinc/29/23/95/332292395.db2.gz GAMDRHMRUJHCCM-PMACEKPBSA-N 0 3 320.436 4.455 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+]CC[C@@H](C)c2ccccc2)[n-]1 ZINC000559958480 332296968 /nfs/dbraw/zinc/29/69/68/332296968.db2.gz OMDOYFULOVTAHN-RRFJBIMHSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)NCC[C@@H](C)c2ccccc2)[nH]1 ZINC000559958480 332296969 /nfs/dbraw/zinc/29/69/69/332296969.db2.gz OMDOYFULOVTAHN-RRFJBIMHSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]CC[C@@H](C)c2ccccc2)[n-]1 ZINC000559958482 332297036 /nfs/dbraw/zinc/29/70/36/332297036.db2.gz OMDOYFULOVTAHN-ZNMIVQPWSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)NCC[C@@H](C)c2ccccc2)[nH]1 ZINC000559958482 332297038 /nfs/dbraw/zinc/29/70/38/332297038.db2.gz OMDOYFULOVTAHN-ZNMIVQPWSA-N 0 3 300.450 4.163 20 0 DIADHN CC[C@@H](NCc1cc(Cl)c2c(c1)OCCO2)c1cccs1 ZINC000048417008 332303747 /nfs/dbraw/zinc/30/37/47/332303747.db2.gz LLXIRKNSIAJJRS-CYBMUJFWSA-N 0 3 323.845 4.414 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@@H]2NCc2ncc[nH]2)cc1 ZINC000560092535 332310497 /nfs/dbraw/zinc/31/04/97/332310497.db2.gz SGGSIFMZJICUFC-HOTGVXAUSA-N 0 3 303.837 4.269 20 0 DIADHN Cc1nnsc1CN(C)[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000577955722 332319488 /nfs/dbraw/zinc/31/94/88/332319488.db2.gz FKQCAZWLDFJAAX-JQWIXIFHSA-N 0 3 309.866 4.124 20 0 DIADHN COc1ncc([C@@H](C)NC2(Cc3ccccc3)CC2)cc1Cl ZINC000560238890 332321782 /nfs/dbraw/zinc/32/17/82/332321782.db2.gz VPQWWPJZILBRJF-CYBMUJFWSA-N 0 3 316.832 4.170 20 0 DIADHN Cc1ccc([C@H](NCc2ccnc(OC(F)F)c2)C2CC2)cc1 ZINC000560269286 332325824 /nfs/dbraw/zinc/32/58/24/332325824.db2.gz UFBWDBHBUHZISG-KRWDZBQOSA-N 0 3 318.367 4.232 20 0 DIADHN CCc1ccc([C@H](NCc2cnc3n2CCCC3)C(C)C)cc1 ZINC000560270688 332326130 /nfs/dbraw/zinc/32/61/30/332326130.db2.gz QBBNOAPILZKSPQ-HXUWFJFHSA-N 0 3 311.473 4.269 20 0 DIADHN c1csc(CN(Cc2cc[nH]c2)C2Cc3ccccc3C2)c1 ZINC000560264826 332326273 /nfs/dbraw/zinc/32/62/73/332326273.db2.gz JOXDEFPZZZCKMS-UHFFFAOYSA-N 0 3 308.450 4.246 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1cccc(C)n1 ZINC000564407782 332328565 /nfs/dbraw/zinc/32/85/65/332328565.db2.gz LZVHEFBPRJEEJT-JLHYYAGUSA-N 0 3 318.367 4.185 20 0 DIADHN CCc1cc(CCCN[C@H](C)c2c(F)cccc2Cl)on1 ZINC000583348276 332344166 /nfs/dbraw/zinc/34/41/66/332344166.db2.gz HOIZMTQKQDAZCG-LLVKDONJSA-N 0 3 310.800 4.313 20 0 DIADHN CC(C)SCCNCc1ccc(Sc2ccccn2)o1 ZINC000560524249 332350450 /nfs/dbraw/zinc/35/04/50/332350450.db2.gz UJVPGIZNOXPCTQ-UHFFFAOYSA-N 0 3 308.472 4.057 20 0 DIADHN C[C@@H](NC[C@H](Cc1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000560530132 332352469 /nfs/dbraw/zinc/35/24/69/332352469.db2.gz ZWPVDWPTXGWGHE-APWZRJJASA-N 0 3 305.425 4.087 20 0 DIADHN C[C@@H]1C[C@@H](CCN[C@H](c2ncc[nH]2)C2CCCCC2)C[C@H](C)O1 ZINC000560537592 332354438 /nfs/dbraw/zinc/35/44/38/332354438.db2.gz PIYJXDIJKCWDMC-DFGXFYAUSA-N 0 3 319.493 4.214 20 0 DIADHN C[C@@H]1C[C@@H](CCN[C@@H](c2ncc[nH]2)C2CCCCC2)C[C@H](C)O1 ZINC000560537605 332354488 /nfs/dbraw/zinc/35/44/88/332354488.db2.gz PIYJXDIJKCWDMC-KYHPRHEASA-N 0 3 319.493 4.214 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCc1ccc(Cl)cc1 ZINC000560540681 332355364 /nfs/dbraw/zinc/35/53/64/332355364.db2.gz WDJCPLCVEASIJZ-UHFFFAOYSA-N 0 3 306.837 4.402 20 0 DIADHN COc1ccncc1CNCC1CCC(c2ccccc2)CC1 ZINC000560542578 332355725 /nfs/dbraw/zinc/35/57/25/332355725.db2.gz QZHBQWDGIZSEHV-UHFFFAOYSA-N 0 3 310.441 4.154 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](Cc2ccccc2)C1)c1c(F)cncc1F ZINC000560577115 332361568 /nfs/dbraw/zinc/36/15/68/332361568.db2.gz HIFYQDGCYQRXOY-NUEKZKHPSA-N 0 3 316.395 4.422 20 0 DIADHN OCC1([C@H](NCc2cc(Cl)cs2)c2ccccc2)CCC1 ZINC000560571537 332362364 /nfs/dbraw/zinc/36/23/64/332362364.db2.gz WWLGWYDCSLOUCJ-MRXNPFEDSA-N 0 3 321.873 4.395 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1c(F)cccc1N1CCCC1 ZINC000560572705 332362754 /nfs/dbraw/zinc/36/27/54/332362754.db2.gz UKIAHNASNBXSND-GFCCVEGCSA-N 0 3 318.358 4.247 20 0 DIADHN Cc1cc(CCN[C@H](C)c2cc(F)c(Cl)cc2Cl)on1 ZINC000560582397 332364875 /nfs/dbraw/zinc/36/48/75/332364875.db2.gz BSQYNURQZCCEDQ-SECBINFHSA-N 0 3 317.191 4.322 20 0 DIADHN CCCc1cc(N2CC[C@@H](n3cc(C)cn3)C2)c2ccccc2n1 ZINC000583374544 332370232 /nfs/dbraw/zinc/37/02/32/332370232.db2.gz UZHYZWRKRKZCOI-QGZVFWFLSA-N 0 3 320.440 4.144 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C(C2CCC2)C2CCC2)c1 ZINC000560617365 332370317 /nfs/dbraw/zinc/37/03/17/332370317.db2.gz RXCARMUCCPSRRJ-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN CSc1ccc(NC(=O)CN(C)C2CCCCCC2)cc1 ZINC000014705275 332381937 /nfs/dbraw/zinc/38/19/37/332381937.db2.gz YCHPTKIDECNNGR-UHFFFAOYSA-N 0 3 306.475 4.002 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)NCc1ccc2sccc2c1 ZINC000564499726 332384338 /nfs/dbraw/zinc/38/43/38/332384338.db2.gz WYRYVPCLLYKZTR-CQSZACIVSA-N 0 3 324.449 4.408 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCCC[C@H]2C)cc(Cl)c1[O-] ZINC000560853542 332390809 /nfs/dbraw/zinc/39/08/09/332390809.db2.gz WTFOZTYSHGYAEC-OCCSQVGLSA-N 0 3 311.853 4.360 20 0 DIADHN CCc1ccc([C@H](C)CC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000583408000 332391483 /nfs/dbraw/zinc/39/14/83/332391483.db2.gz DECDIJMDOBHDPN-MRXNPFEDSA-N 0 3 324.468 4.443 20 0 DIADHN CCC[C@H](CCO)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000323210695 332400103 /nfs/dbraw/zinc/40/01/03/332400103.db2.gz NJKZEOYSPFPGBB-OXQOHEQNSA-N 0 3 315.432 4.303 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C(C)(C)C(C)(C)C)c1ccsc1 ZINC000561144915 332416152 /nfs/dbraw/zinc/41/61/52/332416152.db2.gz RHDQZOZHDYJDOV-HNNXBMFYSA-N 0 3 324.534 4.320 20 0 DIADHN Cn1ccc(CNCc2cccc(OCc3ccccc3F)c2)c1 ZINC000531117872 332430193 /nfs/dbraw/zinc/43/01/93/332430193.db2.gz ROPOWGOYGFDUMR-UHFFFAOYSA-N 0 3 324.399 4.033 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC000561307481 332435977 /nfs/dbraw/zinc/43/59/77/332435977.db2.gz JFZQPVDKPFGKOT-BPQIPLTHSA-N 0 3 314.473 4.293 20 0 DIADHN C[C@H](NC[C@H]1Cc2ccccc21)c1ncc(-c2ccccc2)o1 ZINC000561347960 332439856 /nfs/dbraw/zinc/43/98/56/332439856.db2.gz LKIDIAMRFCXXEC-WMLDXEAASA-N 0 3 304.393 4.332 20 0 DIADHN C[C@@H](NC[C@@H]1Cc2ccccc21)c1ncc(-c2ccccc2)o1 ZINC000561347948 332440018 /nfs/dbraw/zinc/44/00/18/332440018.db2.gz LKIDIAMRFCXXEC-PBHICJAKSA-N 0 3 304.393 4.332 20 0 DIADHN CSCc1ccc(CNCc2cc(C)c(O)c(C)c2)cc1 ZINC000569625140 332442106 /nfs/dbraw/zinc/44/21/06/332442106.db2.gz CWMCFNQXBQFZMS-UHFFFAOYSA-N 0 3 301.455 4.162 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3c(c2)OCO3)C2CCC2)cc1 ZINC000427520945 332442521 /nfs/dbraw/zinc/44/25/21/332442521.db2.gz FPMVPKBOOAMPHY-FQEVSTJZSA-N 0 3 309.409 4.355 20 0 DIADHN C[C@H](NCCCC(=O)OC(C)(C)C)c1nccc2ccccc21 ZINC000561401674 332446856 /nfs/dbraw/zinc/44/68/56/332446856.db2.gz WXPFUQUGJCXFQM-AWEZNQCLSA-N 0 3 314.429 4.007 20 0 DIADHN CCC1(CC)[C@H](NCc2oc(C(C)C)nc2C)[C@@H](C)[C@@H]1OC ZINC000428960214 332472771 /nfs/dbraw/zinc/47/27/71/332472771.db2.gz AWUXRMPWMFPDJH-WQVCFCJDSA-N 0 3 308.466 4.036 20 0 DIADHN CC(C)[C@@H](Cc1ccccc1)NCc1nc(C2CC2)cs1 ZINC000427702505 332492866 /nfs/dbraw/zinc/49/28/66/332492866.db2.gz UAZOLFNTALEKGZ-MRXNPFEDSA-N 0 3 300.471 4.377 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccc(N3CCCC3)nc2)cc1C ZINC000151055152 332498918 /nfs/dbraw/zinc/49/89/18/332498918.db2.gz GRMGGIOANZHXGF-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN c1ccc2cc(-c3noc(C4CCN(C5CC5)CC4)n3)ccc2c1 ZINC000561940961 332520145 /nfs/dbraw/zinc/52/01/45/332520145.db2.gz DWMZYNYVECMDNH-UHFFFAOYSA-N 0 3 319.408 4.232 20 0 DIADHN CCc1ccccc1CN[C@H](C)[C@H]1OCCc2sccc21 ZINC000429121033 332529887 /nfs/dbraw/zinc/52/98/87/332529887.db2.gz DLGPOQPJRBGOHM-FZKQIMNGSA-N 0 3 301.455 4.103 20 0 DIADHN C[C@@H](CCC1CC1)N[C@@H](C)c1ncc(Br)cc1F ZINC000427844754 332538612 /nfs/dbraw/zinc/53/86/12/332538612.db2.gz CZWAHPGJDVIDIN-UWVGGRQHSA-N 0 3 315.230 4.213 20 0 DIADHN CC[C@H](N[C@@H]1CCn2ccnc2C1)c1ccc(Cl)cc1Cl ZINC000427848964 332539032 /nfs/dbraw/zinc/53/90/32/332539032.db2.gz YKFXZKVCYMXQEY-DOMZBBRYSA-N 0 3 324.255 4.246 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@H](C)c1cccc(-n2ccnn2)c1 ZINC000562066927 332541282 /nfs/dbraw/zinc/54/12/82/332541282.db2.gz YSXSGWICBUTFLO-IAGOWNOFSA-N 0 3 320.440 4.296 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccc(SC)s1 ZINC000562071825 332542021 /nfs/dbraw/zinc/54/20/21/332542021.db2.gz UOVVIUCGJJBKON-UHFFFAOYSA-N 0 3 322.499 4.152 20 0 DIADHN CCSc1cc(CN[C@H]2CCCc3ccc(F)cc32)ccn1 ZINC000427877470 332553024 /nfs/dbraw/zinc/55/30/24/332553024.db2.gz OPGHVOGSNQKMOR-KRWDZBQOSA-N 0 3 316.445 4.500 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(Cn3ccnc3)c2)cc1 ZINC000151359725 332573832 /nfs/dbraw/zinc/57/38/32/332573832.db2.gz FYBLYSOSNONLBQ-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1cccc(OC(F)(F)F)c1 ZINC000427962113 332573998 /nfs/dbraw/zinc/57/39/98/332573998.db2.gz ZSJKYPXSVADKOA-BXUZGUMPSA-N 0 3 317.351 4.193 20 0 DIADHN COc1ccc2oc([C@H](C)NC[C@H]3CCC(C)(C)O3)c(C)c2c1 ZINC000427981655 332580632 /nfs/dbraw/zinc/58/06/32/332580632.db2.gz WPJCSCJUFXWAMC-DZGCQCFKSA-N 0 3 317.429 4.358 20 0 DIADHN COC[C@H](NC1CC(C)(C)C1)c1cccc(C(F)(F)F)c1 ZINC000562415894 332591255 /nfs/dbraw/zinc/59/12/55/332591255.db2.gz UCIVAIHWONGVHQ-AWEZNQCLSA-N 0 3 301.352 4.171 20 0 DIADHN C[C@@H](NCCCOCC(F)(F)F)c1cc2ccccc2o1 ZINC000151839914 332623253 /nfs/dbraw/zinc/62/32/53/332623253.db2.gz HMQMAAYZXIPNGD-LLVKDONJSA-N 0 3 301.308 4.052 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2)CC1)c1ccncc1F ZINC000562837358 332630901 /nfs/dbraw/zinc/63/09/01/332630901.db2.gz GQZZAQQJVNDZDS-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cc(C)ccn2)C12CCCCC2 ZINC000562854270 332632690 /nfs/dbraw/zinc/63/26/90/332632690.db2.gz DJKSBJKMFQJYCR-RYQLBKOJSA-N 0 3 302.462 4.169 20 0 DIADHN Cc1nc([C@H](C)NC[C@@]2(C)CCCc3ccccc32)cs1 ZINC000562866732 332634160 /nfs/dbraw/zinc/63/41/60/332634160.db2.gz OQZMNTDHXQPJOG-SCLBCKFNSA-N 0 3 300.471 4.396 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC000562902257 332638038 /nfs/dbraw/zinc/63/80/38/332638038.db2.gz DBPQMDPMORLFDV-AEFFLSMTSA-N 0 3 310.441 4.288 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC(OCC2CC2)CC1 ZINC000443618284 332640895 /nfs/dbraw/zinc/64/08/95/332640895.db2.gz MVFHYQOMHYHWOY-SFHVURJKSA-N 0 3 305.849 4.218 20 0 DIADHN Cc1cccc(C[C@@H](CO)N[C@@H](C)c2ccc(Cl)c(F)c2)c1 ZINC000525973749 332651560 /nfs/dbraw/zinc/65/15/60/332651560.db2.gz GTTHBFQTUWKTJN-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NC1CC(OCc3ccccc3)C1)CCC2 ZINC000569657698 332655036 /nfs/dbraw/zinc/65/50/36/332655036.db2.gz NSJQQAONGUDUHJ-XTXLOEGASA-N 0 3 323.436 4.107 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H]1CCCc2cc(N)ccc21 ZINC000569801834 332680746 /nfs/dbraw/zinc/68/07/46/332680746.db2.gz FSIYGMOHJHKYOH-VQTJNVASSA-N 0 3 322.452 4.322 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)C[C@@H](N)c1ccccc1 ZINC000448239091 332685988 /nfs/dbraw/zinc/68/59/88/332685988.db2.gz IHZTTWPCBVUWGJ-RTBURBONSA-N 0 3 310.441 4.076 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1csc2ccccc12 ZINC000570036385 332704840 /nfs/dbraw/zinc/70/48/40/332704840.db2.gz LTIIRTTZHSZDBQ-UHFFFAOYSA-N 0 3 305.443 4.113 20 0 DIADHN Cc1ccc2ncc(CNCc3cc4ccccc4s3)n2c1 ZINC000570062626 332707636 /nfs/dbraw/zinc/70/76/36/332707636.db2.gz RHTGBMZXZSVTAM-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCCCOc1ccccc1 ZINC000164060796 332717587 /nfs/dbraw/zinc/71/75/87/332717587.db2.gz JDTNLEMORZLULY-OAHLLOKOSA-N 0 3 315.461 4.066 20 0 DIADHN CC(C)(C)[C@H](CCO)NCc1cnc(C2CCCCC2)s1 ZINC000570370758 332746662 /nfs/dbraw/zinc/74/66/62/332746662.db2.gz CMMQAFPOMIPSOM-HNNXBMFYSA-N 0 3 310.507 4.078 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000570391552 332749048 /nfs/dbraw/zinc/74/90/48/332749048.db2.gz AJQZWGHYWYSUOI-IIAWOOMASA-N 0 3 307.459 4.460 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1)c1ccc2c(c1)OCO2 ZINC000053149858 332962384 /nfs/dbraw/zinc/96/23/84/332962384.db2.gz RGRVSVWLUUVTLG-JTQLQIEISA-N 0 3 307.752 4.059 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H]2c3ccccc3CC[C@@H]2C(C)C)n1 ZINC000462545506 332980851 /nfs/dbraw/zinc/98/08/51/332980851.db2.gz CZHXAALPELIAGS-OXQOHEQNSA-N 0 3 310.441 4.145 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2C(C)C)n1 ZINC000462545507 332980888 /nfs/dbraw/zinc/98/08/88/332980888.db2.gz CZHXAALPELIAGS-UZLBHIALSA-N 0 3 310.441 4.145 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2c3ccccc3CC[C@H]2C(C)C)n1 ZINC000462545503 332980959 /nfs/dbraw/zinc/98/09/59/332980959.db2.gz CZHXAALPELIAGS-JXFKEZNVSA-N 0 3 310.441 4.145 20 0 DIADHN Clc1cccc(N2CCCC2)c1CNC[C@@H]1CCCSC1 ZINC000580178825 332986208 /nfs/dbraw/zinc/98/62/08/332986208.db2.gz SLRTTWBLXSHEJA-AWEZNQCLSA-N 0 3 324.921 4.173 20 0 DIADHN Cc1n[nH]cc1CCCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000177852803 332998100 /nfs/dbraw/zinc/99/81/00/332998100.db2.gz KPHXSZIBPRYWEM-NSHDSACASA-N 0 3 312.244 4.308 20 0 DIADHN Cc1ccccc1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000178221514 333004660 /nfs/dbraw/zinc/00/46/60/333004660.db2.gz OFXHZQBLAZCLQO-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H]2CCc3cc(F)ccc32)c1 ZINC000178518797 333014378 /nfs/dbraw/zinc/01/43/78/333014378.db2.gz ZVUWWVFTECFBCB-BUXKBTBVSA-N 0 3 312.388 4.122 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NC[C@]3(C)CCCO3)cs2)cs1 ZINC000167055815 333014898 /nfs/dbraw/zinc/01/48/98/333014898.db2.gz JOROFGODTCBEED-ZBEGNZNMSA-N 0 3 322.499 4.400 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1C[C@H]1CCC2(CCCC2)O1 ZINC000580219286 333019812 /nfs/dbraw/zinc/01/98/12/333019812.db2.gz ZPXYCIPDEYAGKZ-OLZOCXBDSA-N 0 3 305.384 4.141 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1C[C@@H]1CCC2(CCCC2)O1 ZINC000580219288 333019863 /nfs/dbraw/zinc/01/98/63/333019863.db2.gz ZPXYCIPDEYAGKZ-STQMWFEESA-N 0 3 305.384 4.141 20 0 DIADHN FC(F)(F)c1csc([C@H]2CCCN([C@H]3C=CCCC3)C2)n1 ZINC000179095035 333023783 /nfs/dbraw/zinc/02/37/83/333023783.db2.gz DUOWZTZXAXIBHS-RYUDHWBXSA-N 0 3 316.392 4.450 20 0 DIADHN COc1ccccc1CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000179982505 333026435 /nfs/dbraw/zinc/02/64/35/333026435.db2.gz UIMJUSKVMUEROJ-NRFANRHFSA-N 0 3 318.420 4.012 20 0 DIADHN CC[C@@H](Nc1cccc(OCCN(C)C)c1)c1cccc(F)c1 ZINC000323356303 333026622 /nfs/dbraw/zinc/02/66/22/333026622.db2.gz AXMRXYKJPWVXTQ-LJQANCHMSA-N 0 3 316.420 4.329 20 0 DIADHN COc1cccc(CCN[C@@H](c2ccccc2)c2ccccn2)c1 ZINC000180137645 333027394 /nfs/dbraw/zinc/02/73/94/333027394.db2.gz QCKLFQSZMMDTNG-NRFANRHFSA-N 0 3 318.420 4.012 20 0 DIADHN Cc1cc(C)cc(CN2CC=C(c3c[nH]c4ncccc34)CC2)c1 ZINC000580245224 333039944 /nfs/dbraw/zinc/03/99/44/333039944.db2.gz FSIIEYSZQPDLFB-UHFFFAOYSA-N 0 3 317.436 4.469 20 0 DIADHN CCC[C@@H](CNCc1ccc(Br)cc1Cl)OC ZINC000378703034 333045996 /nfs/dbraw/zinc/04/59/96/333045996.db2.gz OIDJXSOHIXDFPE-LBPRGKRZSA-N 0 3 320.658 4.007 20 0 DIADHN Clc1ccc([C@H](NCc2ccc3[nH]cnc3c2)C2CC2)cc1 ZINC000518768255 333051682 /nfs/dbraw/zinc/05/16/82/333051682.db2.gz KYNXCAGCQUJQBB-GOSISDBHSA-N 0 3 311.816 4.457 20 0 DIADHN Clc1ccc([C@H](NCc2ccc3nc[nH]c3c2)C2CC2)cc1 ZINC000518768255 333051683 /nfs/dbraw/zinc/05/16/83/333051683.db2.gz KYNXCAGCQUJQBB-GOSISDBHSA-N 0 3 311.816 4.457 20 0 DIADHN OCc1ccc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)cc1 ZINC000183114839 333052370 /nfs/dbraw/zinc/05/23/70/333052370.db2.gz MRPMZVRJUXQWPW-GOSISDBHSA-N 0 3 301.817 4.073 20 0 DIADHN COc1cc2c(cc1CNC/C(C)=C/c1ccccc1)O[C@@H](C)C2 ZINC000518768511 333052807 /nfs/dbraw/zinc/05/28/07/333052807.db2.gz XCZVOOOZFCCYNQ-LRQZKABGSA-N 0 3 323.436 4.212 20 0 DIADHN CCC[C@@H](CN[C@H](C)c1ccc(OC(F)(F)F)cc1)OC ZINC000378829517 333055649 /nfs/dbraw/zinc/05/56/49/333055649.db2.gz JFVDHMCFGXOKEL-RISCZKNCSA-N 0 3 305.340 4.051 20 0 DIADHN CCC[C@@H](CN[C@@H](C)c1ccc(F)c(Br)c1)OC ZINC000378820095 333055657 /nfs/dbraw/zinc/05/56/57/333055657.db2.gz MILAVUDJMXLRLW-JQWIXIFHSA-N 0 3 318.230 4.054 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccccc1 ZINC000171978211 333056490 /nfs/dbraw/zinc/05/64/90/333056490.db2.gz QHIZRBTXWMGYJF-KSSFIOAISA-N 0 3 308.425 4.373 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)n2)ccc1F ZINC000580285705 333060536 /nfs/dbraw/zinc/06/05/36/333060536.db2.gz KDKWFTMWBREBIU-LMWOUKEDSA-N 0 3 315.392 4.023 20 0 DIADHN COc1ccc([C@H](C)NCc2ccnc3ccccc23)c(OC)c1 ZINC000378893355 333060914 /nfs/dbraw/zinc/06/09/14/333060914.db2.gz USVFGWZFJLIHHL-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1ccccc1C(F)(F)F ZINC000378899371 333062401 /nfs/dbraw/zinc/06/24/01/333062401.db2.gz BQLSYZXMYFKDEY-OAHLLOKOSA-N 0 3 307.315 4.188 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1ccc(Br)o1 ZINC000378928254 333065185 /nfs/dbraw/zinc/06/51/85/333065185.db2.gz UTSCYNBTEGRDJQ-MRVPVSSYSA-N 0 3 314.145 4.253 20 0 DIADHN COc1cccc(CCCNC2(c3nc(C)cs3)CCC2)c1 ZINC000460348190 333068036 /nfs/dbraw/zinc/06/80/36/333068036.db2.gz OOKBEFXWSMQTOR-UHFFFAOYSA-N 0 3 316.470 4.062 20 0 DIADHN COc1cccc(-c2nc(CN3[C@@H](C)CC[C@@H]3C)cs2)c1 ZINC000466686657 333069837 /nfs/dbraw/zinc/06/98/37/333069837.db2.gz OXPYAXOCIKDBFL-STQMWFEESA-N 0 3 302.443 4.192 20 0 DIADHN COCC1(CN[C@@H](CC(F)(F)F)c2ccccc2)CCC1 ZINC000313871547 333074043 /nfs/dbraw/zinc/07/40/43/333074043.db2.gz LREXRMKDTNOTKN-AWEZNQCLSA-N 0 3 301.352 4.086 20 0 DIADHN Cc1ccc(CNC2CC(c3ccc(Cl)cc3)C2)nc1C ZINC000313951993 333078888 /nfs/dbraw/zinc/07/88/88/333078888.db2.gz PYFDMFCWNVTKCO-UHFFFAOYSA-N 0 3 300.833 4.388 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000189365483 333079683 /nfs/dbraw/zinc/07/96/83/333079683.db2.gz VLYIGBIDQIVUGE-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN FC(F)c1ccc(CN2CCC(Cc3cccnc3)CC2)cc1 ZINC000461358500 333087083 /nfs/dbraw/zinc/08/70/83/333087083.db2.gz GTQFFEIABOSXOJ-UHFFFAOYSA-N 0 3 316.395 4.474 20 0 DIADHN FC(F)(F)c1cccc([C@H](NCCOC2CCC2)C2CC2)c1 ZINC000570946853 333087122 /nfs/dbraw/zinc/08/71/22/333087122.db2.gz NKQDKFLNUJNXAT-MRXNPFEDSA-N 0 3 313.363 4.315 20 0 DIADHN Cc1cc(Br)ccc1CN[C@H](C)c1cccnc1 ZINC000112546486 333093878 /nfs/dbraw/zinc/09/38/78/333093878.db2.gz ILRAJDCJDRDXKV-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1cn2ccccc2n1 ZINC000462730398 333097258 /nfs/dbraw/zinc/09/72/58/333097258.db2.gz VVTMRLOEVRLURC-GOSISDBHSA-N 0 3 307.441 4.225 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1csc(-c2cccc(OC)c2)n1 ZINC000466915341 333098265 /nfs/dbraw/zinc/09/82/65/333098265.db2.gz JFUAGVHGOSDPRE-CJNGLKHVSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nc(-c2ccc(Cl)cc2)no1 ZINC000215931549 333100105 /nfs/dbraw/zinc/10/01/05/333100105.db2.gz ZKCWPKFPZOSNTJ-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN O[C@H](CN[C@@H](c1cccc(Cl)c1)C1CCCC1)C(F)(F)F ZINC000461385263 333100950 /nfs/dbraw/zinc/10/09/50/333100950.db2.gz YFCPYCLSMXDPJP-ZIAGYGMSSA-N 0 3 321.770 4.084 20 0 DIADHN CCOc1ccccc1CNC[C@@H](C)Sc1ccccc1 ZINC000462740858 333104278 /nfs/dbraw/zinc/10/42/78/333104278.db2.gz JQKIXFVGYPTTQP-OAHLLOKOSA-N 0 3 301.455 4.356 20 0 DIADHN CC(C)n1cc(CN2CCC[C@H](c3ccc(F)cc3)CC2)cn1 ZINC000497152062 333105794 /nfs/dbraw/zinc/10/57/94/333105794.db2.gz WBQLRJKRXLDYOY-KRWDZBQOSA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNCCCCO)o2)cc1 ZINC000487171229 333115554 /nfs/dbraw/zinc/11/55/54/333115554.db2.gz ZRAILMKJVXSTBT-UHFFFAOYSA-N 0 3 301.430 4.106 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@](C)(OC)C3CC3)c(C)c2c1 ZINC000462804618 333116182 /nfs/dbraw/zinc/11/61/82/333116182.db2.gz DWLNPRHOBDVHLQ-YJYMSZOUSA-N 0 3 317.429 4.216 20 0 DIADHN COc1cccc(COc2cccc(Cl)c2CNC(C)C)c1 ZINC000314453637 333122758 /nfs/dbraw/zinc/12/27/58/333122758.db2.gz IVNSXNGYWQIOIG-UHFFFAOYSA-N 0 3 319.832 4.426 20 0 DIADHN C[C@H](NCC[S@@](=O)C(C)(C)C)c1cccc2ccccc21 ZINC000177346661 333127737 /nfs/dbraw/zinc/12/77/37/333127737.db2.gz PYGQIPNSCALLSM-LHSJRXKWSA-N 0 3 303.471 4.038 20 0 DIADHN C[C@H](c1ccccc1Cl)N(CCCc1cncn1C)C1CC1 ZINC000582130298 333132375 /nfs/dbraw/zinc/13/23/75/333132375.db2.gz YWPBBSSQMKZMJR-CQSZACIVSA-N 0 3 317.864 4.232 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000177982054 333133936 /nfs/dbraw/zinc/13/39/36/333133936.db2.gz ZTOIALUIYMCNDF-VVBPWWLESA-N 0 3 315.482 4.453 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCc2csc(C(C)C)n2)s1 ZINC000178458313 333139232 /nfs/dbraw/zinc/13/92/32/333139232.db2.gz ZZYNXVJNUKEMHZ-JTQLQIEISA-N 0 3 309.504 4.233 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cn(C)nc2C)c2ccccc12 ZINC000178716734 333142542 /nfs/dbraw/zinc/14/25/42/333142542.db2.gz LXAZINVHVMUSKM-KBPBESRZSA-N 0 3 323.440 4.302 20 0 DIADHN CC[C@H](N[C@@H](C)c1cn(C)nc1C)c1ccc(OC(F)F)cc1 ZINC000178722022 333142901 /nfs/dbraw/zinc/14/29/01/333142901.db2.gz QGQKWYLKSWZAKH-ZBEGNZNMSA-N 0 3 323.387 4.132 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1ccc(OCC(C)C)cc1 ZINC000178719529 333143042 /nfs/dbraw/zinc/14/30/42/333143042.db2.gz XZXJLGSXVZMGOO-GJZGRUSLSA-N 0 3 315.461 4.175 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1sccc1Cl ZINC000487562471 333147523 /nfs/dbraw/zinc/14/75/23/333147523.db2.gz SGISCRUJUVJTSZ-BMIGLBTASA-N 0 3 313.825 4.406 20 0 DIADHN CN[C@H](CC(C)(C)C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000387649421 333152886 /nfs/dbraw/zinc/15/28/86/333152886.db2.gz KCGZXULOAVXURO-LJQANCHMSA-N 0 3 324.468 4.240 20 0 DIADHN CCCC[C@H](CC)CC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000522672828 333161282 /nfs/dbraw/zinc/16/12/82/333161282.db2.gz CPSUGCSYQWDXSO-WMZOPIPTSA-N 0 3 304.478 4.012 20 0 DIADHN FC(F)(F)c1ccc(CNc2ccnc3ccccc32)cc1 ZINC000387738290 333167444 /nfs/dbraw/zinc/16/74/44/333167444.db2.gz QWWMBFQFGRFFHF-UHFFFAOYSA-N 0 3 302.299 4.288 20 0 DIADHN CCc1ccc(CN2CCC(OCc3ccccc3)CC2)cc1 ZINC000522711047 333172267 /nfs/dbraw/zinc/17/22/67/333172267.db2.gz IMKWUBAFHXADAF-UHFFFAOYSA-N 0 3 309.453 4.430 20 0 DIADHN CC(C)[C@@H]1CCCC[C@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000461095286 333179900 /nfs/dbraw/zinc/17/99/00/333179900.db2.gz PKWKHJYUPIVFPQ-RBUKOAKNSA-N 0 3 309.457 4.448 20 0 DIADHN C[C@@H](CCC1CC1)N[C@@H](C)c1ncc(Br)s1 ZINC000309723139 333192169 /nfs/dbraw/zinc/19/21/69/333192169.db2.gz CIIBZRWPJHRPEB-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN CCCOc1ccc(CNCc2ccc3[nH]ccc3c2)cc1OC ZINC000580329230 333199959 /nfs/dbraw/zinc/19/99/59/333199959.db2.gz PWHNOZHOVWDHSB-UHFFFAOYSA-N 0 3 324.424 4.255 20 0 DIADHN FC(F)(F)C1CC(NCc2cnc(-c3ccccc3)s2)C1 ZINC000582085238 333205943 /nfs/dbraw/zinc/20/59/43/333205943.db2.gz YNJWBZDODPQBMJ-UHFFFAOYSA-N 0 3 312.360 4.241 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C(F)F)cc1)CC2 ZINC000185355961 333207399 /nfs/dbraw/zinc/20/73/99/333207399.db2.gz DXBCUDZUXCCAAM-KRWDZBQOSA-N 0 3 303.352 4.410 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CNCC1=CCCOC1 ZINC000185493331 333209301 /nfs/dbraw/zinc/20/93/01/333209301.db2.gz CYRNOFTYFUJBME-UHFFFAOYSA-N 0 3 311.425 4.063 20 0 DIADHN CCC[C@H](NCc1ccc(OC)c(Cl)c1)c1cccnc1 ZINC000186120238 333217240 /nfs/dbraw/zinc/21/72/40/333217240.db2.gz XQIGTYVRIZOOSJ-INIZCTEOSA-N 0 3 304.821 4.375 20 0 DIADHN C[C@H](NCCOCc1ccccc1)c1ccccc1OC(F)F ZINC000390081832 333223795 /nfs/dbraw/zinc/22/37/95/333223795.db2.gz CLLWFTPEUQGKEE-AWEZNQCLSA-N 0 3 321.367 4.155 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)C1CC1)c1ccccc1-n1ccnn1 ZINC000514084905 333234432 /nfs/dbraw/zinc/23/44/32/333234432.db2.gz SLAQPXRRMXSDLK-SCLBCKFNSA-N 0 3 324.453 4.131 20 0 DIADHN Cc1ccc(NC(=O)[C@H](N[C@@H](C)CC2CC2)c2ccccc2)cc1 ZINC000497319062 333235721 /nfs/dbraw/zinc/23/57/21/333235721.db2.gz GZHIWPZJFZKBBS-OXJNMPFZSA-N 0 3 322.452 4.453 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1cc(C)ccc1OC)CC2 ZINC000390154806 333236643 /nfs/dbraw/zinc/23/66/43/333236643.db2.gz KDYGUKCCDWGTHS-AUUYWEPGSA-N 0 3 311.425 4.350 20 0 DIADHN CC(C)(NCc1ccc(Oc2cnccn2)cc1)c1ccccc1 ZINC000525758704 333237940 /nfs/dbraw/zinc/23/79/40/333237940.db2.gz LDGPZUZQIUTPRZ-UHFFFAOYSA-N 0 3 319.408 4.294 20 0 DIADHN CCCCNC(=O)[C@H]1CCCN([C@@H](C)c2ccccc2Cl)C1 ZINC000497373374 333241622 /nfs/dbraw/zinc/24/16/22/333241622.db2.gz YCQUQJHSACVKOG-GJZGRUSLSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC[S@@](=O)c1ccccc1 ZINC000497373090 333241638 /nfs/dbraw/zinc/24/16/38/333241638.db2.gz XMSHYLANYXADHY-DENIHFKCSA-N 0 3 315.482 4.123 20 0 DIADHN COC(=O)c1cc(CN(C)[C@H](c2ccccc2)C(C)C)oc1C ZINC000497420385 333248520 /nfs/dbraw/zinc/24/85/20/333248520.db2.gz SRIZNDISRLCFAG-SFHVURJKSA-N 0 3 315.413 4.204 20 0 DIADHN C[C@H](Nc1cccc(OCCN(C)C)c1)c1ccc(F)cc1F ZINC000390214700 333248555 /nfs/dbraw/zinc/24/85/55/333248555.db2.gz FXTOIGPALLIVLC-ZDUSSCGKSA-N 0 3 320.383 4.078 20 0 DIADHN CC(F)(F)CCCNCc1cc(Br)ccc1F ZINC000390231198 333251261 /nfs/dbraw/zinc/25/12/61/333251261.db2.gz HFHCQMYAZXOSBR-UHFFFAOYSA-N 0 3 310.157 4.113 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCCc3ccc(OC)cc32)c1 ZINC000390223280 333250101 /nfs/dbraw/zinc/25/01/01/333250101.db2.gz FELCFKHSXCNNIY-JLTOFOAXSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc([C@@H](Cc2ccncc2)NCc2cccs2)cc1 ZINC000514085753 333250577 /nfs/dbraw/zinc/25/05/77/333250577.db2.gz XGJDOFIRJRTIEZ-LJQANCHMSA-N 0 3 324.449 4.225 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC(=O)N(C)C1CCCCC1 ZINC000497467206 333253932 /nfs/dbraw/zinc/25/39/32/333253932.db2.gz OLWXJRIMQKJRAA-FQEVSTJZSA-N 0 3 316.489 4.107 20 0 DIADHN CC(C)NC(=O)CCN[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497530213 333260239 /nfs/dbraw/zinc/26/02/39/333260239.db2.gz QKZIOGDCMUBVHB-GOSISDBHSA-N 0 3 316.445 4.024 20 0 DIADHN CN(C)[C@H](CNCc1ccc(Cl)c(Cl)c1)c1ccccc1 ZINC000019997023 333273316 /nfs/dbraw/zinc/27/33/16/333273316.db2.gz YVVIHZDBGMKWLJ-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN COc1ccccc1NC(=O)CN(CC(C)(C)C)CC(C)(C)C ZINC000540417903 333275466 /nfs/dbraw/zinc/27/54/66/333275466.db2.gz MQXUSTJMJYWZAW-UHFFFAOYSA-N 0 3 320.477 4.028 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@H](C)c1nc2c(s1)CCC2 ZINC000497696830 333279749 /nfs/dbraw/zinc/27/97/49/333279749.db2.gz QWSLJPVJLULXQV-HIFRSBDPSA-N 0 3 300.471 4.304 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CCc2ccccc2C1 ZINC000497701134 333280174 /nfs/dbraw/zinc/28/01/74/333280174.db2.gz IHVSRDSSAWWNBR-SFHVURJKSA-N 0 3 324.443 4.073 20 0 DIADHN C[C@@H](CCc1ccccc1)NCc1ccc(Br)o1 ZINC000020138306 333281211 /nfs/dbraw/zinc/28/12/11/333281211.db2.gz XPEVUCIBIRIQDU-LBPRGKRZSA-N 0 3 308.219 4.153 20 0 DIADHN CC[C@@H](c1ccccc1)N(Cc1ccc(OC)nn1)CC(C)C ZINC000514089328 333283381 /nfs/dbraw/zinc/28/33/81/333283381.db2.gz LNAIZFPRRFHWIN-SFHVURJKSA-N 0 3 313.445 4.095 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3ccccc32)cc1OC ZINC000120515259 333289508 /nfs/dbraw/zinc/28/95/08/333289508.db2.gz RZPMITZNUSUPKK-KDOFPFPSSA-N 0 3 311.425 4.432 20 0 DIADHN Clc1ccc(CNC[C@@H]2CCCN2Cc2ccccc2)s1 ZINC000390534839 333292443 /nfs/dbraw/zinc/29/24/43/333292443.db2.gz OJJREACVEMKJJK-HNNXBMFYSA-N 0 3 320.889 4.156 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(Cl)c(Cl)c1)c1ccccc1 ZINC000019997020 333295608 /nfs/dbraw/zinc/29/56/08/333295608.db2.gz YVVIHZDBGMKWLJ-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN CS[C@H](CNCc1cscc1Br)C(C)(C)C ZINC000390547627 333296905 /nfs/dbraw/zinc/29/69/05/333296905.db2.gz NKBQZEJMVLCMJZ-LLVKDONJSA-N 0 3 322.337 4.378 20 0 DIADHN CC(C)[C@@H](NCCCOc1ccc(F)cc1)c1ccc(F)cn1 ZINC000497829354 333297392 /nfs/dbraw/zinc/29/73/92/333297392.db2.gz STYQNEAPJITDGE-GOSISDBHSA-N 0 3 320.383 4.116 20 0 DIADHN CC(C)[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1ccc(F)cn1 ZINC000497830443 333298821 /nfs/dbraw/zinc/29/88/21/333298821.db2.gz YZHVJUWBQMHPDL-QGTPRVQTSA-N 0 3 308.441 4.103 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@H]1CCCN1Cc1ccccc1 ZINC000390563863 333300596 /nfs/dbraw/zinc/30/05/96/333300596.db2.gz NRAUTFONQURQFV-IEBWSBKVSA-N 0 3 324.468 4.010 20 0 DIADHN CC(C)Oc1cccc([C@H](C)N[C@H](C)CC(=O)OC(C)(C)C)c1 ZINC000497850514 333301193 /nfs/dbraw/zinc/30/11/93/333301193.db2.gz BENCGKMLTOLYBQ-CABCVRRESA-N 0 3 321.461 4.245 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)N[C@H](C)CC(=O)OC(C)(C)C)c1 ZINC000497850516 333301236 /nfs/dbraw/zinc/30/12/36/333301236.db2.gz BENCGKMLTOLYBQ-HUUCEWRRSA-N 0 3 321.461 4.245 20 0 DIADHN CC(C)Oc1cccc([C@H](C)N[C@@H](C)CC(=O)OC(C)(C)C)c1 ZINC000497850515 333301266 /nfs/dbraw/zinc/30/12/66/333301266.db2.gz BENCGKMLTOLYBQ-GJZGRUSLSA-N 0 3 321.461 4.245 20 0 DIADHN COc1cc(CNC[C@H]2CCCC2(F)F)ccc1OC(C)C ZINC000390570227 333301824 /nfs/dbraw/zinc/30/18/24/333301824.db2.gz OHBNPYXEBJORJY-CQSZACIVSA-N 0 3 313.388 4.007 20 0 DIADHN CC[C@@H](NCCCCOCc1ccccc1)c1ccc(F)cn1 ZINC000390588265 333303984 /nfs/dbraw/zinc/30/39/84/333303984.db2.gz NZPOZAJVUFUCPO-GOSISDBHSA-N 0 3 316.420 4.258 20 0 DIADHN C[C@@H](NCCSc1ccccc1)c1cnn(C2CCCC2)c1 ZINC000502686021 333304476 /nfs/dbraw/zinc/30/44/76/333304476.db2.gz LGBAZNHMJSONSO-OAHLLOKOSA-N 0 3 315.486 4.441 20 0 DIADHN C[C@@H](NCCCCOCc1ccccc1)c1ccccc1F ZINC000390599756 333304895 /nfs/dbraw/zinc/30/48/95/333304895.db2.gz ZKBZPEKXLDGEGD-MRXNPFEDSA-N 0 3 301.405 4.473 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1cnn(C2CCCC2)c1 ZINC000502722939 333305589 /nfs/dbraw/zinc/30/55/89/333305589.db2.gz LCWVTJOYALXOSY-TXPKVOOTSA-N 0 3 319.493 4.244 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3ccc(Cl)cc3)CCC2)nn1C ZINC000502700637 333305605 /nfs/dbraw/zinc/30/56/05/333305605.db2.gz QQCLBBKWEKNBQH-AWEZNQCLSA-N 0 3 317.864 4.154 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1csc(Br)c1 ZINC000390608366 333305979 /nfs/dbraw/zinc/30/59/79/333305979.db2.gz WEUYNDKIZRNNTM-VIFPVBQESA-N 0 3 310.207 4.036 20 0 DIADHN Cc1ccc(C(C)(C)CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000497923731 333310185 /nfs/dbraw/zinc/31/01/85/333310185.db2.gz CVQFUCRAGKXLOT-UHFFFAOYSA-N 0 3 324.468 4.363 20 0 DIADHN C[C@@H](c1ccc(OC(F)(F)F)cc1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000525785337 333311018 /nfs/dbraw/zinc/31/10/18/333311018.db2.gz LCXFPMAENOWFNK-TUAOUCFPSA-N 0 3 319.392 4.472 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)CN1CCC[C@H]1C(C)(C)C ZINC000525786462 333312193 /nfs/dbraw/zinc/31/21/93/333312193.db2.gz MZVBWSAPOQRFBF-KRWDZBQOSA-N 0 3 316.489 4.433 20 0 DIADHN CC(C)Cc1ccc(CC(=O)Nc2cccc(CN(C)C)c2)cc1 ZINC000497949190 333313189 /nfs/dbraw/zinc/31/31/89/333313189.db2.gz DOTSSNWPVPPVLA-UHFFFAOYSA-N 0 3 324.468 4.128 20 0 DIADHN COc1ccc(OC)c([C@H](C)NC[C@H]2CCCCC2(F)F)c1 ZINC000390705065 333316896 /nfs/dbraw/zinc/31/68/96/333316896.db2.gz UXQXWZHADFIXGV-QWHCGFSZSA-N 0 3 313.388 4.180 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000391914298 335133317 /nfs/dbraw/zinc/13/33/17/335133317.db2.gz PNQQFBBFDBLYEJ-DLBZAZTESA-N 0 3 324.468 4.309 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1)c1cccc(O)c1 ZINC000194942947 333317981 /nfs/dbraw/zinc/31/79/81/333317981.db2.gz NJCBQNXXHWYMBU-JBBXEZCESA-N 0 3 317.816 4.226 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC[C@@H]1c1ccc(F)cc1 ZINC000110106243 333326488 /nfs/dbraw/zinc/32/64/88/333326488.db2.gz QJHJXHGIWRWYNB-LJQANCHMSA-N 0 3 322.383 4.004 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccccn2)c2ccccc2n1 ZINC000531201579 333330516 /nfs/dbraw/zinc/33/05/16/333330516.db2.gz IPIXKEQLOXBJDX-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc2nc(CN3CC[C@@H](c4ccccc4)[C@@H]3C)cn2c1 ZINC000531202541 333330828 /nfs/dbraw/zinc/33/08/28/333330828.db2.gz VWDAVQJZCSRZKZ-QFBILLFUSA-N 0 3 305.425 4.021 20 0 DIADHN CCCOc1ccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)cc1 ZINC000531206567 333331084 /nfs/dbraw/zinc/33/10/84/333331084.db2.gz WOSVVWCSMLXIJH-GOSISDBHSA-N 0 3 315.461 4.086 20 0 DIADHN CCCOc1cccc(CN[C@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000531206688 333331195 /nfs/dbraw/zinc/33/11/95/333331195.db2.gz ATBDHQNKAXEKLP-GOSISDBHSA-N 0 3 315.461 4.086 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000531212907 333334942 /nfs/dbraw/zinc/33/49/42/333334942.db2.gz CHUPPEOVVWSCTH-ZYHUDNBSSA-N 0 3 307.306 4.423 20 0 DIADHN CC[C@@H](C[C@H](C)CO)NCc1cnc(C2CCCCC2)s1 ZINC000531213071 333335054 /nfs/dbraw/zinc/33/50/54/333335054.db2.gz DFWPWLBFSCSTKD-ZFWWWQNUSA-N 0 3 310.507 4.078 20 0 DIADHN Fc1cccc(F)c1CNC[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000531212702 333335080 /nfs/dbraw/zinc/33/50/80/333335080.db2.gz ARMURHHHQHZCET-MNOVXSKESA-N 0 3 307.306 4.423 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H](C)[C@@H]3C)cs2)cc1 ZINC000531214404 333335100 /nfs/dbraw/zinc/33/51/00/333335100.db2.gz WAYXBNQGJIDSTK-OLZOCXBDSA-N 0 3 302.443 4.049 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1cnc(C2CCCCC2)s1 ZINC000531213792 333335243 /nfs/dbraw/zinc/33/52/43/333335243.db2.gz CHWHXHSVEPPTHS-GUYCJALGSA-N 0 3 310.507 4.078 20 0 DIADHN CC[C@H](C)[C@](C)(O)CNCc1cnc(C2CCCCC2)s1 ZINC000531213793 333335373 /nfs/dbraw/zinc/33/53/73/333335373.db2.gz CHWHXHSVEPPTHS-SUMWQHHRSA-N 0 3 310.507 4.078 20 0 DIADHN OCCC1(CNCc2cnc(C3CCCCC3)s2)CCCC1 ZINC000531213773 333335434 /nfs/dbraw/zinc/33/54/34/333335434.db2.gz AAMVQOWEZVKDBS-UHFFFAOYSA-N 0 3 322.518 4.223 20 0 DIADHN CC[C@@H](C)[C@@](C)(O)CNCc1cnc(C2CCCCC2)s1 ZINC000531213790 333335471 /nfs/dbraw/zinc/33/54/71/333335471.db2.gz CHWHXHSVEPPTHS-DYVFJYSZSA-N 0 3 310.507 4.078 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CN[C@H](C)c1ccc(Cl)c(F)c1 ZINC000537937796 333335835 /nfs/dbraw/zinc/33/58/35/333335835.db2.gz ARKMFNZJXDPCKJ-ABAIWWIYSA-N 0 3 301.833 4.173 20 0 DIADHN CC[C@@H](NC[C@]1(C)CCOC1)c1ccc(Cl)cc1Cl ZINC000397295846 333337688 /nfs/dbraw/zinc/33/76/88/333337688.db2.gz NAISPFTWYKMWKW-CABCVRRESA-N 0 3 302.245 4.461 20 0 DIADHN CC[C@H](NC[C@@]1(C)CCOC1)c1ccc(Cl)cc1Cl ZINC000397295852 333337691 /nfs/dbraw/zinc/33/76/91/333337691.db2.gz NAISPFTWYKMWKW-LSDHHAIUSA-N 0 3 302.245 4.461 20 0 DIADHN CC[C@@H](NC[C@@]1(C)CCOC1)c1ccc(Cl)cc1Cl ZINC000397295850 333337694 /nfs/dbraw/zinc/33/76/94/333337694.db2.gz NAISPFTWYKMWKW-HUUCEWRRSA-N 0 3 302.245 4.461 20 0 DIADHN Fc1cccc(CN[C@H]2CCc3cc(Br)ccc32)c1 ZINC000040226718 333341627 /nfs/dbraw/zinc/34/16/27/333341627.db2.gz DQWTZWBCCAMOSW-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN Fc1ccc(CN[C@@H]2CCc3cc(Br)ccc32)cc1 ZINC000040226297 333341664 /nfs/dbraw/zinc/34/16/64/333341664.db2.gz LNGUCSGEDBEQFG-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000531339915 333344690 /nfs/dbraw/zinc/34/46/90/333344690.db2.gz FSIANNCVEWPLLY-STQMWFEESA-N 0 3 319.836 4.401 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1)c1ccsc1 ZINC000537941037 333350761 /nfs/dbraw/zinc/35/07/61/333350761.db2.gz FWWXKPTZKQRDJD-WCQYABFASA-N 0 3 324.852 4.470 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCC1(C(F)(F)F)CC1 ZINC000583456487 333357590 /nfs/dbraw/zinc/35/75/90/333357590.db2.gz GNTYLOGPNGMRGL-QMTHXVAHSA-N 0 3 301.327 4.381 20 0 DIADHN Oc1ccc(CCNCc2cnc(C3CCCCC3)s2)cc1 ZINC000531451837 333358529 /nfs/dbraw/zinc/35/85/29/333358529.db2.gz ITHZURXRTXYBPK-UHFFFAOYSA-N 0 3 316.470 4.229 20 0 DIADHN C[C@@H](NCC(C)(C)CCCO)c1cccc(Cl)c1Cl ZINC000221586378 333361476 /nfs/dbraw/zinc/36/14/76/333361476.db2.gz DWVPRZDVLHASRU-LLVKDONJSA-N 0 3 304.261 4.443 20 0 DIADHN Fc1cccc(CN[C@H]2CCc3ccc(Br)cc32)c1 ZINC000388623426 333363093 /nfs/dbraw/zinc/36/30/93/333363093.db2.gz JBDZHQUDOLLISY-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN C[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccc(Cl)cc1 ZINC000184674927 333363917 /nfs/dbraw/zinc/36/39/17/333363917.db2.gz YXYWHTBNGHDPFZ-ZDUSSCGKSA-N 0 3 303.833 4.418 20 0 DIADHN CCOC(C)(C)CN[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000323528514 333365422 /nfs/dbraw/zinc/36/54/22/333365422.db2.gz JVMNZZLVPPYCSM-IBGZPJMESA-N 0 3 313.441 4.189 20 0 DIADHN CCN1CCC[C@@H]1CN[C@@H](C)c1cc(Cl)sc1Cl ZINC000221765015 333365800 /nfs/dbraw/zinc/36/58/00/333365800.db2.gz IBSXBUNSBZJQEO-VHSXEESVSA-N 0 3 307.290 4.190 20 0 DIADHN CCC[C@@](C)(O)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000388644785 333366855 /nfs/dbraw/zinc/36/68/55/333366855.db2.gz CUSJVAOTUSLXFC-RTBURBONSA-N 0 3 301.405 4.056 20 0 DIADHN CC(C)=CCN[C@@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000188447843 333367086 /nfs/dbraw/zinc/36/70/86/333367086.db2.gz HKAPBRRUGNLUEV-HNNXBMFYSA-N 0 3 321.486 4.020 20 0 DIADHN CCCn1c(CNCCCCCSC)nc2ccccc21 ZINC000185167337 333369050 /nfs/dbraw/zinc/36/90/50/333369050.db2.gz BPEJWZIIIAUNTI-UHFFFAOYSA-N 0 3 305.491 4.069 20 0 DIADHN CCc1nc(CNC[C@@H]2CCC[C@H](C(F)(F)F)C2)cs1 ZINC000531452459 333372293 /nfs/dbraw/zinc/37/22/93/333372293.db2.gz YFPHYXUKIIYVNZ-MNOVXSKESA-N 0 3 306.397 4.164 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2CC[C@@H]2CCCO2)c1 ZINC000188549648 333373821 /nfs/dbraw/zinc/37/38/21/333373821.db2.gz UHIFFUKDLHGKNH-GUYCJALGSA-N 0 3 319.495 4.326 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccc(C(C)(C)O)cc2)cc1 ZINC000186619799 333375714 /nfs/dbraw/zinc/37/57/14/333375714.db2.gz KINNSNZFAVQNOU-CQSZACIVSA-N 0 3 315.482 4.487 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCOc3c(Cl)cccc32)CS1 ZINC000488429732 333376312 /nfs/dbraw/zinc/37/63/12/333376312.db2.gz FEAQTURQZYOSHT-GLQYFDAESA-N 0 3 311.878 4.283 20 0 DIADHN COC[C@H](N[C@@H]1CS[C@H](C(C)(C)C)C1)c1ccc(F)cc1 ZINC000488442677 333378013 /nfs/dbraw/zinc/37/80/13/333378013.db2.gz FKZPPLUXNWVLGE-JYJNAYRXSA-N 0 3 311.466 4.023 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)Cc1ccccc1C(=O)N(C)C ZINC000417772633 335137141 /nfs/dbraw/zinc/13/71/41/335137141.db2.gz IBTLQCGIJYLDMM-FQEVSTJZSA-N 0 3 324.468 4.218 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1cccc(F)c1 ZINC000186842873 333379874 /nfs/dbraw/zinc/37/98/74/333379874.db2.gz CNPZCUSZGIQXAP-SFHVURJKSA-N 0 3 309.388 4.252 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2cc3sccc3s2)c1 ZINC000521423551 333380102 /nfs/dbraw/zinc/38/01/02/333380102.db2.gz MUOSCMKCKAGRNT-UHFFFAOYSA-N 0 3 316.451 4.277 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1C)c1ncc(Br)cc1F ZINC000186904262 333380651 /nfs/dbraw/zinc/38/06/51/333380651.db2.gz OKVZIRXCFZGLKO-GBIKHYSHSA-N 0 3 315.230 4.213 20 0 DIADHN COCC[C@H](N[C@@H]1CS[C@@H](C(C)(C)C)C1)c1ccc(C)o1 ZINC000488521224 333382244 /nfs/dbraw/zinc/38/22/44/333382244.db2.gz JEOBPFSNVKPWKM-OFQRWUPVSA-N 0 3 311.491 4.175 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1ccccc1C(=O)N(C)C ZINC000417772636 335137316 /nfs/dbraw/zinc/13/73/16/335137316.db2.gz IBTLQCGIJYLDMM-HXUWFJFHSA-N 0 3 324.468 4.218 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2oc3ccccc3c2C)cs1 ZINC000221951235 333384290 /nfs/dbraw/zinc/38/42/90/333384290.db2.gz STEOVCPAAPAYAF-LBPRGKRZSA-N 0 3 300.427 4.399 20 0 DIADHN F[C@@H]1CC[C@H](NCc2cc(Cl)ccc2Br)C1 ZINC000390791312 333393757 /nfs/dbraw/zinc/39/37/57/333393757.db2.gz CCMKVSUDRULQIR-MNOVXSKESA-N 0 3 306.606 4.083 20 0 DIADHN CCOc1cc(CNCc2ccc(Cl)cc2)ccc1OC ZINC000021519494 333388827 /nfs/dbraw/zinc/38/88/27/333388827.db2.gz IFKFRWJZEGBJHL-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN CC[C@@H](NC[C@H](c1ccco1)N(C)C)c1cccc(Cl)c1 ZINC000021518954 333388889 /nfs/dbraw/zinc/38/88/89/333388889.db2.gz FNJWFKXFONYAKZ-HZPDHXFCSA-N 0 3 306.837 4.277 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2ccco2)N2CCCC2)s1 ZINC000021816453 333390559 /nfs/dbraw/zinc/39/05/59/333390559.db2.gz CQJWRAVTBGXAKU-GJZGRUSLSA-N 0 3 304.459 4.137 20 0 DIADHN F[C@H]1CC[C@@H](NCc2cc(Cl)ccc2Br)C1 ZINC000390791314 333393650 /nfs/dbraw/zinc/39/36/50/333393650.db2.gz CCMKVSUDRULQIR-WDEREUQCSA-N 0 3 306.606 4.083 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@@H]3CCC[C@H](O)C3)o2)cc1 ZINC000525802769 333405088 /nfs/dbraw/zinc/40/50/88/333405088.db2.gz OQJRWRATDKAYBP-AEFFLSMTSA-N 0 3 313.441 4.150 20 0 DIADHN CC1(C)C[C@@H](N[C@@H]2CCSc3ccc(F)cc32)C(C)(C)O1 ZINC000094423191 333409759 /nfs/dbraw/zinc/40/97/59/333409759.db2.gz QZUKLZGUOWFBDG-UKRRQHHQSA-N 0 3 309.450 4.298 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000564789146 333410401 /nfs/dbraw/zinc/41/04/01/333410401.db2.gz QAUHIPJEZRDJMC-YWZLYKJASA-N 0 3 308.425 4.342 20 0 DIADHN CO[C@@H]1C[C@H](NCc2cnc(C3CCCCC3)s2)C1(C)C ZINC000531479483 333414712 /nfs/dbraw/zinc/41/47/12/333414712.db2.gz LVMBZFIDCJNFJK-LSDHHAIUSA-N 0 3 308.491 4.094 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c(C)c1 ZINC000319983723 333420295 /nfs/dbraw/zinc/42/02/95/333420295.db2.gz FSFFUMUUPFNBRV-CVEARBPZSA-N 0 3 311.425 4.486 20 0 DIADHN COc1cccc(NC2CCN(Cc3cc(C)cc(C)c3)CC2)c1 ZINC000525820773 333420851 /nfs/dbraw/zinc/42/08/51/333420851.db2.gz WRCKSHUJYRGZGF-UHFFFAOYSA-N 0 3 324.468 4.389 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)cc1C ZINC000320068024 333423267 /nfs/dbraw/zinc/42/32/67/333423267.db2.gz NBDIOIMKGLCCDP-HZPDHXFCSA-N 0 3 311.425 4.486 20 0 DIADHN Fc1ccc(-c2ccc(CNCCc3cccs3)o2)cc1 ZINC000021516649 333423639 /nfs/dbraw/zinc/42/36/39/333423639.db2.gz ZESREAQETXTBBT-UHFFFAOYSA-N 0 3 301.386 4.480 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](c2ccco2)N2CCCC2)c(C)c1 ZINC000320079988 333423821 /nfs/dbraw/zinc/42/38/21/333423821.db2.gz VXVNLCMVWJJLIS-IEBWSBKVSA-N 0 3 312.457 4.384 20 0 DIADHN CCn1c2ccccc2c2cc(CN[C@]3(C)CCOC3)ccc21 ZINC000391243969 333426868 /nfs/dbraw/zinc/42/68/68/333426868.db2.gz JYXQGMLBPHWJDR-HXUWFJFHSA-N 0 3 308.425 4.083 20 0 DIADHN CCC[C@H](NCc1ccnc(OC)c1)c1ccc(Cl)cc1 ZINC000062057934 333434914 /nfs/dbraw/zinc/43/49/14/333434914.db2.gz LAPNDGBFKBKBNR-INIZCTEOSA-N 0 3 304.821 4.375 20 0 DIADHN OC[C@@H](CC1CCCC1)NCc1csc(Cl)c1Cl ZINC000509444014 333437224 /nfs/dbraw/zinc/43/72/24/333437224.db2.gz KBHTUKRFJZBBHX-LLVKDONJSA-N 0 3 308.274 4.086 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc3c(c2)OCCO3)cc1 ZINC000320182410 333446246 /nfs/dbraw/zinc/44/62/46/333446246.db2.gz OLLOCQQXIOIBGC-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN c1ccc2c(c1)cccc2NC1CCN(c2ccncc2)CC1 ZINC000320186717 333449021 /nfs/dbraw/zinc/44/90/21/333449021.db2.gz PHJFDMHKXJBVFW-UHFFFAOYSA-N 0 3 303.409 4.316 20 0 DIADHN FC(F)Oc1ccc(CNCc2cc(Cl)cs2)cc1 ZINC000093093360 333498723 /nfs/dbraw/zinc/49/87/23/333498723.db2.gz DCBUCKWTERJCBQ-UHFFFAOYSA-N 0 3 303.761 4.293 20 0 DIADHN CCN(CC)c1ncc(CN[C@H](c2ccccc2)C2CC2)s1 ZINC000124839802 333500977 /nfs/dbraw/zinc/50/09/77/333500977.db2.gz GUTMLWGQTXROOY-QGZVFWFLSA-N 0 3 315.486 4.230 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2ccc(F)cc2C)c1 ZINC000391446157 333512262 /nfs/dbraw/zinc/51/22/62/333512262.db2.gz MSAFFKPCJTVBCY-UHFFFAOYSA-N 0 3 314.404 4.228 20 0 DIADHN C[C@H](NC[C@@H](c1ccsc1)N(C)C)c1ccc(Cl)cc1 ZINC000531687231 333543403 /nfs/dbraw/zinc/54/34/03/333543403.db2.gz AUUSDVQCXIXRAV-LRDDRELGSA-N 0 3 308.878 4.355 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2cnn(C(C)C)c2)C12CCCCC2 ZINC000531724472 333544197 /nfs/dbraw/zinc/54/41/97/333544197.db2.gz AXEATNAOLODSBH-KBAYOESNSA-N 0 3 319.493 4.243 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1Cl)N1CCCC1)c1ccco1 ZINC000531692824 333545915 /nfs/dbraw/zinc/54/59/15/333545915.db2.gz KABXYIYOLJOUAH-RHSMWYFYSA-N 0 3 318.848 4.421 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1ccc(-c2ccncc2)cc1 ZINC000531693299 333546155 /nfs/dbraw/zinc/54/61/55/333546155.db2.gz FYACSCWDBNFYEQ-INIZCTEOSA-N 0 3 310.441 4.358 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccccc1OC(F)(F)F ZINC000531693252 333546325 /nfs/dbraw/zinc/54/63/25/333546325.db2.gz ANERYSOHUKJLAO-GFCCVEGCSA-N 0 3 317.351 4.195 20 0 DIADHN C[C@@H](NCc1ccccc1CO)c1ccc(-c2ccncc2)cc1 ZINC000531696931 333547016 /nfs/dbraw/zinc/54/70/16/333547016.db2.gz MLAWPQSLYSGXEW-MRXNPFEDSA-N 0 3 318.420 4.092 20 0 DIADHN Cc1nc(CN[C@H](C)C(C)(C)c2ccccc2)nc2ccccc12 ZINC000531706563 333548252 /nfs/dbraw/zinc/54/82/52/333548252.db2.gz GTGOIIYLOOJSJX-MRXNPFEDSA-N 0 3 319.452 4.394 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000531707932 333548525 /nfs/dbraw/zinc/54/85/25/333548525.db2.gz PSQQSZLCCCPRCV-XUVXKRRUSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2)C1)c1ccc(F)c(F)c1 ZINC000531712634 333549515 /nfs/dbraw/zinc/54/95/15/333549515.db2.gz UWEZCUHVZQRWJF-GOEBONIOSA-N 0 3 316.395 4.284 20 0 DIADHN CC(C)OC1CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC000534343124 333578369 /nfs/dbraw/zinc/57/83/69/333578369.db2.gz ZNQQYFUMTYPCRO-UHFFFAOYSA-N 0 3 316.470 4.200 20 0 DIADHN c1cnn(-c2cccc(CN[C@@H](c3cccs3)C3CC3)c2)c1 ZINC000531856783 333581081 /nfs/dbraw/zinc/58/10/81/333581081.db2.gz YMLNQRZGEZBQMM-GOSISDBHSA-N 0 3 309.438 4.175 20 0 DIADHN Cc1ccccc1CNCc1ccc(Br)c(F)c1 ZINC000110386697 333581900 /nfs/dbraw/zinc/58/19/00/333581900.db2.gz XXLZOHHEFFREPY-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cccc(-n3cccn3)c2)cc1 ZINC000531866334 333585607 /nfs/dbraw/zinc/58/56/07/333585607.db2.gz NLYVUWSJHANYLO-UHFFFAOYSA-N 0 3 319.452 4.460 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@H](c3cccc(Cl)c3)C2)s1 ZINC000563343173 333591716 /nfs/dbraw/zinc/59/17/16/333591716.db2.gz DFBRZCYXOYVQDT-ZFWWWQNUSA-N 0 3 321.877 4.316 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000410871785 333603562 /nfs/dbraw/zinc/60/35/62/333603562.db2.gz LXWHUTRHDJPGDX-KRWDZBQOSA-N 0 3 310.526 4.028 20 0 DIADHN Clc1ccc(-c2ncc(CN[C@@H]3[C@@H]4CCC[C@@H]43)s2)cc1 ZINC000563442262 333607453 /nfs/dbraw/zinc/60/74/53/333607453.db2.gz HKNPGUYQKHXZQN-QDMKHBRRSA-N 0 3 304.846 4.352 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(SC)s1 ZINC000563574573 333628338 /nfs/dbraw/zinc/62/83/38/333628338.db2.gz QBMHLSWXYXDANM-CQSZACIVSA-N 0 3 305.468 4.256 20 0 DIADHN COC1(CN[C@@H](C)c2nccc3ccccc32)CCC(C)CC1 ZINC000563575037 333628468 /nfs/dbraw/zinc/62/84/68/333628468.db2.gz XRWOJAOMOHYNKG-NGEICVOHSA-N 0 3 312.457 4.481 20 0 DIADHN CCOC1CC(CCNCc2nc(-c3ccccc3)cs2)C1 ZINC000353235900 335151403 /nfs/dbraw/zinc/15/14/03/335151403.db2.gz PPFCUYYJGOTESX-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN CC[C@H](C)[C@H](N[C@H](C)c1ccc(=O)[nH]n1)c1ccc(Cl)cc1 ZINC000571069749 333655539 /nfs/dbraw/zinc/65/55/39/333655539.db2.gz ZWNRGSGZHQBMQH-JKDFXYPNSA-N 0 3 319.836 4.274 20 0 DIADHN OC1(CN[C@H]2CCSc3ccc(F)cc32)CCCCCC1 ZINC000343354098 335152737 /nfs/dbraw/zinc/15/27/37/335152737.db2.gz URAYBTQCVOGYJD-HNNXBMFYSA-N 0 3 309.450 4.038 20 0 DIADHN C[C@@H](CN[C@@H](C)c1nccc2ccccc21)Oc1ccc(F)cc1 ZINC000571092438 333659235 /nfs/dbraw/zinc/65/92/35/333659235.db2.gz PAPVQOPURFKEBJ-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN CSc1ccc(C)c(NC(=O)c2cccc(CN(C)C)c2)c1 ZINC000343350643 335152997 /nfs/dbraw/zinc/15/29/97/335152997.db2.gz UGMWNKRDWFLHEL-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CC[C@H](NCc1csc(C(=O)OC)c1)c1ccc(C)cc1 ZINC000411436120 333671584 /nfs/dbraw/zinc/67/15/84/333671584.db2.gz GNYBSBTZFJBDQA-HNNXBMFYSA-N 0 3 303.427 4.084 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)C(=O)N(C)c2ccccc2)c(C)s1 ZINC000411443351 333673196 /nfs/dbraw/zinc/67/31/96/333673196.db2.gz IDENXWHOKFWIRX-ZIAGYGMSSA-N 0 3 316.470 4.067 20 0 DIADHN CC(C)(C)[C@@H](NCCc1cncs1)c1ccc(F)cc1F ZINC000411475138 333678720 /nfs/dbraw/zinc/67/87/20/333678720.db2.gz NADXTVFLUIFAFS-HNNXBMFYSA-N 0 3 310.413 4.341 20 0 DIADHN CC[C@H](NCCC(=O)Nc1cc(F)cc(F)c1)c1cccs1 ZINC000411511847 333686274 /nfs/dbraw/zinc/68/62/74/333686274.db2.gz KATPSSVTEPMWCH-AWEZNQCLSA-N 0 3 324.396 4.096 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1cc(-c2ccco2)on1 ZINC000411552419 333693084 /nfs/dbraw/zinc/69/30/84/333693084.db2.gz BAUGPSGFJGRUHK-CZUORRHYSA-N 0 3 306.431 4.089 20 0 DIADHN Cc1ccc2ncc(CN[C@@H]3CCCC[C@@H]3n3cccn3)cc2c1 ZINC000411556961 333693409 /nfs/dbraw/zinc/69/34/09/333693409.db2.gz JUDXQZQNQZTCOE-UXHICEINSA-N 0 3 320.440 4.013 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCCC2)c1)C1CCCC1 ZINC000412183403 333737382 /nfs/dbraw/zinc/73/73/82/333737382.db2.gz LXPFGFHUNIYFCH-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN C[C@@H]1CCC[C@H](CC(=O)Nc2ccc(CN(C)C)cc2F)C1 ZINC000412559139 333763900 /nfs/dbraw/zinc/76/39/00/333763900.db2.gz MOQIDOJIDMFRQJ-KGLIPLIRSA-N 0 3 306.425 4.042 20 0 DIADHN CC[C@H](NCc1cc(C)nc(N2CCCC2)c1)c1cccs1 ZINC000571453856 333791106 /nfs/dbraw/zinc/79/11/06/333791106.db2.gz IKJGVHVHCNMRQT-INIZCTEOSA-N 0 3 315.486 4.293 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N(C)C)c1ccc(Cl)cc1 ZINC000022149667 333808588 /nfs/dbraw/zinc/80/85/88/333808588.db2.gz MAIUTIICHJRAIX-WFASDCNBSA-N 0 3 308.878 4.355 20 0 DIADHN C[C@H](NCc1noc2c1CCCC2)[C@@H](C)c1ccc(Cl)cc1 ZINC000571568751 333818030 /nfs/dbraw/zinc/81/80/30/333818030.db2.gz RCNSHUAMAREXDU-OLZOCXBDSA-N 0 3 318.848 4.489 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCO[C@H]3c3cccnc3)oc2cc1C ZINC000343380524 335160650 /nfs/dbraw/zinc/16/06/50/335160650.db2.gz BGKOYZCCUKZWCE-QUCCMNQESA-N 0 3 322.408 4.064 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@@H](C)c3nccs3)c2c1 ZINC000413490761 333852543 /nfs/dbraw/zinc/85/25/43/333852543.db2.gz XETUULKIFAOVAE-LBPRGKRZSA-N 0 3 313.426 4.074 20 0 DIADHN C[C@@H](NCCC(c1ccccc1)c1ccccc1)c1ccn(C)n1 ZINC000414048976 333887654 /nfs/dbraw/zinc/88/76/54/333887654.db2.gz FFTWRUNHPXBUQV-QGZVFWFLSA-N 0 3 319.452 4.293 20 0 DIADHN C[C@H](NCCCc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000414096157 333895514 /nfs/dbraw/zinc/89/55/14/333895514.db2.gz HJHHNURGBGCIRV-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@@H](C)c1ccc(Cl)cn1 ZINC000414136631 333901136 /nfs/dbraw/zinc/90/11/36/333901136.db2.gz DFWSRFAXOSPODO-KGLIPLIRSA-N 0 3 303.837 4.192 20 0 DIADHN CC[C@H](N[C@H](C)c1csc(-c2ccccc2)n1)C(C)(C)O ZINC000414147411 333902866 /nfs/dbraw/zinc/90/28/66/333902866.db2.gz RPQFIZPMIJCMPO-DOMZBBRYSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@@H](CCCCO)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414156051 333904703 /nfs/dbraw/zinc/90/47/03/333904703.db2.gz VXSMCKDZWIYHAJ-KBPBESRZSA-N 0 3 304.459 4.012 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CCCO)c2ccccc2)c(C)c1 ZINC000414115286 333905962 /nfs/dbraw/zinc/90/59/62/333905962.db2.gz MSHCLPCRCSKWIE-OXJNMPFZSA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)N[C@@H](C)c1ccn(C)n1 ZINC000414178054 333909048 /nfs/dbraw/zinc/90/90/48/333909048.db2.gz KBQRQVGGHSDRNW-JSGCOSHPSA-N 0 3 323.893 4.295 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)o1 ZINC000414195531 333912134 /nfs/dbraw/zinc/91/21/34/333912134.db2.gz XHLLHMUDKLYBKT-ZBFHGGJFSA-N 0 3 304.821 4.452 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@@H](c3cccc(Cl)c3)C2)o1 ZINC000414194698 333912410 /nfs/dbraw/zinc/91/24/10/333912410.db2.gz WRFYJRAGDAIVHT-ZBFHGGJFSA-N 0 3 304.821 4.452 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccc3cncn3c2)cc1 ZINC000414162648 333915400 /nfs/dbraw/zinc/91/54/00/333915400.db2.gz DOGHEFIGKDXHIH-INIZCTEOSA-N 0 3 323.440 4.364 20 0 DIADHN C[C@H](NCC1(Cc2cccc(Cl)c2)CC1)c1nccs1 ZINC000414164552 333915870 /nfs/dbraw/zinc/91/58/70/333915870.db2.gz KIGJIWZSDYQTQH-LBPRGKRZSA-N 0 3 306.862 4.470 20 0 DIADHN C[C@@H](NCC1(Cc2cccc(Cl)c2)CC1)c1nccs1 ZINC000414164550 333915938 /nfs/dbraw/zinc/91/59/38/333915938.db2.gz KIGJIWZSDYQTQH-GFCCVEGCSA-N 0 3 306.862 4.470 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCC(F)(F)C1)CCS2 ZINC000343464631 335166915 /nfs/dbraw/zinc/16/69/15/335166915.db2.gz HDLRWPJKQLYNLT-BXUZGUMPSA-N 0 3 313.413 4.400 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1ccccc1 ZINC000414218130 333923291 /nfs/dbraw/zinc/92/32/91/333923291.db2.gz DBQFCKYLDHKUEM-FIKMYACPSA-N 0 3 301.455 4.226 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1cnccc1C(C)(C)C ZINC000414225753 333924846 /nfs/dbraw/zinc/92/48/46/333924846.db2.gz JIAGPSKZXWYNAU-BEFAXECRSA-N 0 3 312.457 4.245 20 0 DIADHN C[C@@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1cccc(Cl)c1Cl ZINC000414253950 333928063 /nfs/dbraw/zinc/92/80/63/333928063.db2.gz BJMUCTMYAQQHOY-DDHJBXDOSA-N 0 3 302.245 4.458 20 0 DIADHN CC[C@@H](CN[C@@H](c1ccccc1)c1cncnc1)CC(F)(F)F ZINC000414261180 333929433 /nfs/dbraw/zinc/92/94/33/333929433.db2.gz NLIARWUSGJRNSI-CJNGLKHVSA-N 0 3 323.362 4.134 20 0 DIADHN C[C@@H](NC[C@@H](C)CC(F)(F)F)c1nc2c(s1)CCCC2 ZINC000414284413 333933524 /nfs/dbraw/zinc/93/35/24/333933524.db2.gz QQMIZSBAHVZBEZ-VHSXEESVSA-N 0 3 306.397 4.261 20 0 DIADHN COC1([C@@H](C)N[C@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000414292063 333934658 /nfs/dbraw/zinc/93/46/58/333934658.db2.gz AGXCQMBPIHSXEA-ZIAGYGMSSA-N 0 3 316.470 4.418 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H]1N[C@H](c1ccccc1)c1cncnc1 ZINC000414294292 333934864 /nfs/dbraw/zinc/93/48/64/333934864.db2.gz DRNVVXGOUSXYQH-CEXWTWQISA-N 0 3 309.457 4.370 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](CO)C1)c1nc(-c2ccccc2)cs1 ZINC000414350486 333945900 /nfs/dbraw/zinc/94/59/00/333945900.db2.gz ZGQBHPYWXVASPK-OFQRWUPVSA-N 0 3 316.470 4.012 20 0 DIADHN Cc1cc(CN2CCC(n3ccnc3)CC2)ccc1C(F)(F)F ZINC000414385203 333957024 /nfs/dbraw/zinc/95/70/24/333957024.db2.gz VGIHWQYQTHXQKF-UHFFFAOYSA-N 0 3 323.362 4.047 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1C[C@](C)(OC)C1(C)C)CCS2 ZINC000343470319 335167714 /nfs/dbraw/zinc/16/77/14/335167714.db2.gz RONMYQBTBVKKDB-KYJSFNMBSA-N 0 3 321.486 4.025 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)CC(F)(F)F)CCS2 ZINC000343472577 335167884 /nfs/dbraw/zinc/16/78/84/335167884.db2.gz UYLZJGNSZDLNLX-CABZTGNLSA-N 0 3 305.365 4.163 20 0 DIADHN C[C@H]1C[C@@H](NCc2cccc(-n3ccnc3)c2)c2ccccc21 ZINC000343474246 335168089 /nfs/dbraw/zinc/16/80/89/335168089.db2.gz IRRISIIRTCJVQX-MGPUTAFESA-N 0 3 303.409 4.210 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CC(C)(C)OC1(C)C)CCS2 ZINC000343475210 335168181 /nfs/dbraw/zinc/16/81/81/335168181.db2.gz YBVKKCUGPIIEKM-GDBMZVCRSA-N 0 3 321.486 4.168 20 0 DIADHN COc1ccc2c(c1)[C@H](NCC1(OC)CCCCC1)CCS2 ZINC000343492851 335169088 /nfs/dbraw/zinc/16/90/88/335169088.db2.gz CLPBWWVJCMZBOR-MRXNPFEDSA-N 0 3 321.486 4.171 20 0 DIADHN CCc1nn(C)c(CC)c1CNCc1ccc(C)c2ccccc12 ZINC000414486285 333994632 /nfs/dbraw/zinc/99/46/32/333994632.db2.gz SYCHFWVLBXZUOU-UHFFFAOYSA-N 0 3 321.468 4.296 20 0 DIADHN CN(C)Cc1ccc(NCc2csc(C(C)(C)C)n2)c(F)c1 ZINC000414488542 333995644 /nfs/dbraw/zinc/99/56/44/333995644.db2.gz OOFDQURCESIWMB-UHFFFAOYSA-N 0 3 321.465 4.253 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1ccc(C(F)(F)F)s1)C1CC1 ZINC000414497789 333998552 /nfs/dbraw/zinc/99/85/52/333998552.db2.gz GQHAWHMKZSAWKH-NOZJJQNGSA-N 0 3 307.381 4.060 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc(OC(F)F)cc1 ZINC000414504931 334000610 /nfs/dbraw/zinc/00/06/10/334000610.db2.gz YXQASVAXOALXCT-UHFFFAOYSA-N 0 3 320.383 4.270 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cnc(C2CCCC2)s1)C1CC1 ZINC000414505879 334000806 /nfs/dbraw/zinc/00/08/06/334000806.db2.gz VOZPISKGUOTEMY-YVEFUNNKSA-N 0 3 308.491 4.094 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cscc1C(F)(F)F)C1CC1 ZINC000414505792 334000831 /nfs/dbraw/zinc/00/08/31/334000831.db2.gz VITGOXLRLKSVIO-TVQRCGJNSA-N 0 3 307.381 4.060 20 0 DIADHN C[C@@H]1C[C@@H](CNCc2ccc(-c3c(F)cccc3F)o2)[C@@H](C)O1 ZINC000414508428 334002007 /nfs/dbraw/zinc/00/20/07/334002007.db2.gz BERPTKOJRLDKSG-UPJWGTAASA-N 0 3 321.367 4.128 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccn(C(F)F)n2)C[C@H](c2ccccc2)C1 ZINC000414509894 334002223 /nfs/dbraw/zinc/00/22/23/334002223.db2.gz GQBZTWWDFMGOBR-YSVLISHTSA-N 0 3 319.399 4.340 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2(CO)CCCCC2)cn1 ZINC000414527331 334008265 /nfs/dbraw/zinc/00/82/65/334008265.db2.gz MRBYNJDSWXRWDV-HXUWFJFHSA-N 0 3 324.468 4.164 20 0 DIADHN CCN(Cc1cnc(-c2ccncc2)s1)C1CC(C)(C)C1 ZINC000414530696 334009328 /nfs/dbraw/zinc/00/93/28/334009328.db2.gz FBIXOBFVBBLIBF-UHFFFAOYSA-N 0 3 301.459 4.216 20 0 DIADHN CC(C)[C@H]1N(C/C=C\c2ccc(F)cc2F)CC12CCOCC2 ZINC000414538715 334012719 /nfs/dbraw/zinc/01/27/19/334012719.db2.gz MLSXHLSTYCOJGM-DDKKJVIOSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)(CNCc1ncc(-c2ccccc2)s1)c1cccnc1 ZINC000414558192 334016799 /nfs/dbraw/zinc/01/67/99/334016799.db2.gz MQZLRDURLCTMJI-UHFFFAOYSA-N 0 3 323.465 4.273 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@H]2c2ccccc2)s1 ZINC000414557917 334016802 /nfs/dbraw/zinc/01/68/02/334016802.db2.gz OCPMRNWSYHQYFG-GOSISDBHSA-N 0 3 313.466 4.410 20 0 DIADHN OC[C@@H]1CCC[C@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000414577683 334025814 /nfs/dbraw/zinc/02/58/14/334025814.db2.gz OZFYKPPOAQFFNG-KGLIPLIRSA-N 0 3 319.832 4.241 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](C)OC)c1cc(F)cc(Br)c1 ZINC000343465156 335167020 /nfs/dbraw/zinc/16/70/20/335167020.db2.gz CIWKDQAWHLFXDR-BHDSKKPTSA-N 0 3 318.230 4.052 20 0 DIADHN CN(c1ccccc1)c1ccc(CN[C@@H]2C[C@H]2c2ccco2)cn1 ZINC000414596016 334033212 /nfs/dbraw/zinc/03/32/12/334033212.db2.gz UDNZLFPQGKEBAM-QZTJIDSGSA-N 0 3 319.408 4.088 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@@H](C)C(F)(F)F)CCS2 ZINC000343516282 335173662 /nfs/dbraw/zinc/17/36/62/335173662.db2.gz XTCFYANDQDVFBH-SKDRFNHKSA-N 0 3 305.365 4.020 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CCCO)c2ccccc2)cc1C ZINC000272806216 334039875 /nfs/dbraw/zinc/03/98/75/334039875.db2.gz BELNCNBJGJCKFP-QFBILLFUSA-N 0 3 313.441 4.168 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CCCO)c2ccccc2)cc1C ZINC000272806215 334039928 /nfs/dbraw/zinc/03/99/28/334039928.db2.gz BELNCNBJGJCKFP-LPHOPBHVSA-N 0 3 313.441 4.168 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3cc(F)ccc3F)CCO2)cc1 ZINC000273463560 334047305 /nfs/dbraw/zinc/04/73/05/334047305.db2.gz IBRRRCIRERIVER-SJLPKXTDSA-N 0 3 321.342 4.114 20 0 DIADHN C[C@@H](c1cccs1)N(CCC1OCCO1)Cc1ccccc1 ZINC000274305820 334059362 /nfs/dbraw/zinc/05/93/62/334059362.db2.gz CFDFTUMXDPPJRR-HNNXBMFYSA-N 0 3 317.454 4.074 20 0 DIADHN Cc1nnc(CN2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)s1 ZINC000274948892 334067876 /nfs/dbraw/zinc/06/78/76/334067876.db2.gz CZSBREWPZAVIDK-BBWFWOEESA-N 0 3 313.470 4.005 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274951822 334068276 /nfs/dbraw/zinc/06/82/76/334068276.db2.gz VEMMTSHFAVOFAE-STQMWFEESA-N 0 3 304.821 4.113 20 0 DIADHN CO[C@@H](CN[C@@H]1CCCC[C@@H]1C(F)(F)F)c1ccccc1 ZINC000575800840 334074817 /nfs/dbraw/zinc/07/48/17/334074817.db2.gz ZSRNYFVULOCNLO-ZNMIVQPWSA-N 0 3 301.352 4.085 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2cnn(C(C)(C)C)c2C)c1 ZINC000276369516 334076276 /nfs/dbraw/zinc/07/62/76/334076276.db2.gz OECDMWTZUPLSHV-ZIAGYGMSSA-N 0 3 315.461 4.367 20 0 DIADHN CC(C)O[C@H](CN1CCC(OC(C)C)CC1)c1ccccc1 ZINC000572817410 334084857 /nfs/dbraw/zinc/08/48/57/334084857.db2.gz AOCGGMHPTLFFSZ-LJQANCHMSA-N 0 3 305.462 4.042 20 0 DIADHN Cc1noc(C[C@H](N[C@@H](C)c2cccc(C)c2)c2ccccc2)n1 ZINC000277072501 334086413 /nfs/dbraw/zinc/08/64/13/334086413.db2.gz IXMDBKLREOHGGO-KXBFYZLASA-N 0 3 321.424 4.321 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@@H]1Cc1ccc(F)cc1 ZINC000343505962 335172660 /nfs/dbraw/zinc/17/26/60/335172660.db2.gz FLQKHZBFQMMTQJ-LJQANCHMSA-N 0 3 315.436 4.119 20 0 DIADHN C[C@@H](O)CCCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000279103806 334105669 /nfs/dbraw/zinc/10/56/69/334105669.db2.gz OPEUNFJKOALSBL-RDTXWAMCSA-N 0 3 303.833 4.180 20 0 DIADHN CC(C)c1ccc(NC(=O)N2C[C@@H](C)NC[C@@H]2C)c(C(C)C)c1 ZINC000414826629 334109045 /nfs/dbraw/zinc/10/90/45/334109045.db2.gz JHQLCMURIIXSKE-CABCVRRESA-N 0 3 317.477 4.148 20 0 DIADHN CO[C@@]1(C)CCCN([C@H](C)c2ncc(-c3ccccc3)o2)CC1 ZINC000280566506 334118282 /nfs/dbraw/zinc/11/82/82/334118282.db2.gz RPEDLZCEAKSFOK-BEFAXECRSA-N 0 3 314.429 4.294 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c4cc(C)ccc4[nH]c32)ccn1 ZINC000281026318 334122488 /nfs/dbraw/zinc/12/24/88/334122488.db2.gz OTZYTWUBUMLROX-SFHVURJKSA-N 0 3 321.424 4.047 20 0 DIADHN CC[C@](C)(CCO)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000531884963 334134154 /nfs/dbraw/zinc/13/41/54/334134154.db2.gz OUHOXVDDCDDJQF-MRXNPFEDSA-N 0 3 324.877 4.104 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2ccc3occc3c2)cc1 ZINC000285328856 334146823 /nfs/dbraw/zinc/14/68/23/334146823.db2.gz BPEFNNUCVVYGDD-UHFFFAOYSA-N 0 3 322.408 4.461 20 0 DIADHN C[C@@H](NCC[C@](C)(O)c1ccccc1)c1nccc2ccccc21 ZINC000290680561 334173944 /nfs/dbraw/zinc/17/39/44/334173944.db2.gz LVSYZBLJQZOOBD-IERDGZPVSA-N 0 3 320.436 4.183 20 0 DIADHN CCCOc1cccc(CN[C@H]2CCCc3cc(N)ccc32)c1 ZINC000414916154 334177199 /nfs/dbraw/zinc/17/71/99/334177199.db2.gz OCSHVWFCMQAOQY-FQEVSTJZSA-N 0 3 310.441 4.225 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1ccc(Cl)c(F)c1 ZINC000414918747 334178481 /nfs/dbraw/zinc/17/84/81/334178481.db2.gz STVMWSYAJWMNKH-QGZVFWFLSA-N 0 3 304.796 4.229 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cccc(C(F)(F)F)c1 ZINC000414919228 334179632 /nfs/dbraw/zinc/17/96/32/334179632.db2.gz UKLMFAJGCIDBCK-QGZVFWFLSA-N 0 3 320.358 4.455 20 0 DIADHN C[C@@H](NCc1cccc2cc[nH]c21)[C@@H]1OCCc2sccc21 ZINC000291707664 334181064 /nfs/dbraw/zinc/18/10/64/334181064.db2.gz KZBJHJKROUYDTH-XIKOKIGWSA-N 0 3 312.438 4.022 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)c1 ZINC000291865947 334182615 /nfs/dbraw/zinc/18/26/15/334182615.db2.gz IAVSWGBOXWBIPR-ZJNRKIDTSA-N 0 3 317.454 4.110 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2NCc1cc(F)cc(Cl)c1 ZINC000414922661 334182849 /nfs/dbraw/zinc/18/28/49/334182849.db2.gz GHFPPBJJFXECSD-KRWDZBQOSA-N 0 3 304.796 4.229 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCCc4cc(N)ccc43)ccc2n1 ZINC000414924657 334185197 /nfs/dbraw/zinc/18/51/97/334185197.db2.gz IXGUWHQURQJDHK-NRFANRHFSA-N 0 3 317.436 4.293 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1ccc[nH]1)c1ccc(Cl)cc1 ZINC000514093459 334271769 /nfs/dbraw/zinc/27/17/69/334271769.db2.gz GBBYCELJNRQHMH-SJORKVTESA-N 0 3 304.821 4.193 20 0 DIADHN CC(C)COc1cccc(CN[C@@H]2CCCc3cc(N)ccc32)c1 ZINC000414932501 334195483 /nfs/dbraw/zinc/19/54/83/334195483.db2.gz RFFLAYOCNJMRKB-OAQYLSRUSA-N 0 3 324.468 4.471 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000340450608 334204948 /nfs/dbraw/zinc/20/49/48/334204948.db2.gz VIOYNNMKYYDANR-WDEREUQCSA-N 0 3 305.447 4.087 20 0 DIADHN Cc1cc(CN[C@@H]2c3ccccc3CC[C@@H]2n2ccnc2)cs1 ZINC000294657165 334211872 /nfs/dbraw/zinc/21/18/72/334211872.db2.gz ADXYBARBLOZLCZ-RBUKOAKNSA-N 0 3 323.465 4.271 20 0 DIADHN COC1(C)CCN(Cc2ccc([C@H](C)C(F)(F)F)cc2)CC1 ZINC000294955354 334214756 /nfs/dbraw/zinc/21/47/56/334214756.db2.gz JJKVJQDBIBKRFV-ZDUSSCGKSA-N 0 3 315.379 4.353 20 0 DIADHN CCSc1cc(CN[C@H]2CCc3cc(F)ccc32)ccn1 ZINC000187796724 334273345 /nfs/dbraw/zinc/27/33/45/334273345.db2.gz MFADSNOYBWOCIV-INIZCTEOSA-N 0 3 302.418 4.110 20 0 DIADHN FC(F)(F)c1ccccc1CNCc1ccc2c(c1)CCCN2 ZINC000414976472 334222586 /nfs/dbraw/zinc/22/25/86/334222586.db2.gz NYBSCMCZWKKSBW-UHFFFAOYSA-N 0 3 320.358 4.353 20 0 DIADHN CC[C@H](NCc1cccc(Cn2cccn2)c1)c1cccc(F)c1 ZINC000187808760 334273875 /nfs/dbraw/zinc/27/38/75/334273875.db2.gz DBCYPQUIGXQEMF-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN Cc1cc(CNCc2ccc3c(c2)CCCN3)cc2cccnc12 ZINC000414986256 334228684 /nfs/dbraw/zinc/22/86/84/334228684.db2.gz XQQROLYNPZAMEK-UHFFFAOYSA-N 0 3 317.436 4.191 20 0 DIADHN CCc1nc(CNCCCCCOc2ccccc2)cs1 ZINC000187833260 334274402 /nfs/dbraw/zinc/27/44/02/334274402.db2.gz PKNQVPYSOWZDTA-UHFFFAOYSA-N 0 3 304.459 4.044 20 0 DIADHN CC[C@@H](COCC(F)(F)F)N[C@@H](C)c1ccc(Cl)s1 ZINC000453266680 334246004 /nfs/dbraw/zinc/24/60/04/334246004.db2.gz ZQAIAXPVRIWQCX-IUCAKERBSA-N 0 3 315.788 4.410 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@@H](C)c1cccs1 ZINC000298535245 334249717 /nfs/dbraw/zinc/24/97/17/334249717.db2.gz SMAHHNWKASGNLF-NSHDSACASA-N 0 3 317.483 4.494 20 0 DIADHN CC1(C)C[C@@H](NC/C=C\c2ccccc2)c2cc(N)ccc2O1 ZINC000415020542 334259372 /nfs/dbraw/zinc/25/93/72/334259372.db2.gz GRMJSQCGTSLFEQ-OTIVFRFGSA-N 0 3 308.425 4.174 20 0 DIADHN Cc1cc(C)cc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)c1 ZINC000415040337 334260718 /nfs/dbraw/zinc/26/07/18/334260718.db2.gz RQERTZJXAJMCEM-SFHVURJKSA-N 0 3 310.441 4.278 20 0 DIADHN c1ccc(OCC2CC2)c(CNCc2cccc3c2CCCN3)c1 ZINC000415039634 334260755 /nfs/dbraw/zinc/26/07/55/334260755.db2.gz QVONLOMSYKWYJX-UHFFFAOYSA-N 0 3 322.452 4.123 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cnn(C)c1C)c1ccc(Cl)cc1 ZINC000534468038 334263246 /nfs/dbraw/zinc/26/32/46/334263246.db2.gz RBPUYZVKOGLERP-SJKOYZFVSA-N 0 3 305.853 4.259 20 0 DIADHN CC[C@H](NCCCCCc1ccc(F)cc1)c1nccn1C ZINC000187760624 334271268 /nfs/dbraw/zinc/27/12/68/334271268.db2.gz HXDNBCPPKLUENH-KRWDZBQOSA-N 0 3 303.425 4.013 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1ncc(Br)s1 ZINC000534498212 334277876 /nfs/dbraw/zinc/27/78/76/334277876.db2.gz WGCCFOCVBXFYAD-ZNSHCXBVSA-N 0 3 317.296 4.381 20 0 DIADHN COc1ccc(CNC[C@@H]2CCCC[C@H]2C)c(Cl)c1OC ZINC000110822611 334291279 /nfs/dbraw/zinc/29/12/79/334291279.db2.gz CUQWENSUFSBDJB-OLZOCXBDSA-N 0 3 311.853 4.273 20 0 DIADHN CC(C)CN(CC(=O)Nc1ccc(F)cc1Cl)CC(C)C ZINC000302805498 334295491 /nfs/dbraw/zinc/29/54/91/334295491.db2.gz BMQFOWVOIJNEJR-UHFFFAOYSA-N 0 3 314.832 4.032 20 0 DIADHN Cc1cc(CN[C@H]2CSCCc3ccccc32)ccc1F ZINC000531943475 334299941 /nfs/dbraw/zinc/29/99/41/334299941.db2.gz OYRILMPUFBKUFO-SFHVURJKSA-N 0 3 301.430 4.254 20 0 DIADHN COc1ccc(C)cc1CCN[C@H](CC(C)C)c1ccccn1 ZINC000531945100 334300450 /nfs/dbraw/zinc/30/04/50/334300450.db2.gz RCUBGAGOGFIQSW-LJQANCHMSA-N 0 3 312.457 4.318 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2cc3ccccc3o2)cn1 ZINC000534613435 334301493 /nfs/dbraw/zinc/30/14/93/334301493.db2.gz VQFRLDBBURMYDX-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN Cc1ccc(CN[C@@H](CO)c2ccc(C(F)(F)F)cc2)c(C)c1 ZINC000534612277 334301601 /nfs/dbraw/zinc/30/16/01/334301601.db2.gz GKWRTQMCLOGQQP-KRWDZBQOSA-N 0 3 323.358 4.145 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccc(OC(F)F)cc1 ZINC000531947436 334305412 /nfs/dbraw/zinc/30/54/12/334305412.db2.gz ISIFABOACBLLBA-APPDUMDISA-N 0 3 321.342 4.450 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](OC)c2ccccc2)cc1Cl ZINC000531957528 334313431 /nfs/dbraw/zinc/31/34/31/334313431.db2.gz SCJBVSZSSPPROI-ACJLOTCBSA-N 0 3 319.832 4.387 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N(C)C[C@@H](C)CC ZINC000111254549 334314310 /nfs/dbraw/zinc/31/43/10/334314310.db2.gz ZUHVBMMJAWHCDC-LSDHHAIUSA-N 0 3 304.478 4.116 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1c(C)cccc1C)C1CC1 ZINC000111227094 334316705 /nfs/dbraw/zinc/31/67/05/334316705.db2.gz DMPWKGLPBXDQHK-BBRMVZONSA-N 0 3 302.462 4.141 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccc(Br)cc1 ZINC000303410350 334318786 /nfs/dbraw/zinc/31/87/86/334318786.db2.gz UURGPALYKGQXDU-LBPRGKRZSA-N 0 3 320.230 4.348 20 0 DIADHN Fc1ccc(-c2noc(CN3CCCC34CCCCC4)n2)cc1 ZINC000534666330 334318937 /nfs/dbraw/zinc/31/89/37/334318937.db2.gz BJZDCMHEYNLZGS-UHFFFAOYSA-N 0 3 315.392 4.174 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000531962561 334320242 /nfs/dbraw/zinc/32/02/42/334320242.db2.gz YGXDHYXVKDBNTH-HKBOAZHASA-N 0 3 307.437 4.492 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(Cl)c3c(c2)OCCO3)s1 ZINC000049159488 334323016 /nfs/dbraw/zinc/32/30/16/334323016.db2.gz RLWWHAKVSBSDTG-NSHDSACASA-N 0 3 323.845 4.332 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)c1C ZINC000531966459 334325078 /nfs/dbraw/zinc/32/50/78/334325078.db2.gz GHUPDBBEILZOLN-CVEARBPZSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1cc(F)ccc1N1CCC[C@H](N[C@@H](C)c2ccoc2)C1 ZINC000531967838 334328155 /nfs/dbraw/zinc/32/81/55/334328155.db2.gz VOQJXDZXEHEMMC-YOEHRIQHSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(C(C)C)cc2)c1 ZINC000534703508 334328554 /nfs/dbraw/zinc/32/85/54/334328554.db2.gz AGOHHWAYGYXOPN-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN Cc1c2ccccc2oc1C(=O)Nc1cc(C)cc(CN(C)C)c1 ZINC000534706203 334328906 /nfs/dbraw/zinc/32/89/06/334328906.db2.gz UQFJJZPEQULGML-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)N(C(=O)CN1[C@H](C)C[C@H]2CCCC[C@H]21)c1ccccc1 ZINC000303682007 334329237 /nfs/dbraw/zinc/32/92/37/334329237.db2.gz JIQXUNMGSIBKEK-ZHALLVOQSA-N 0 3 314.473 4.081 20 0 DIADHN Cc1cccnc1[C@H](NCCCOc1ccc(F)cc1)C(C)C ZINC000303686488 334329345 /nfs/dbraw/zinc/32/93/45/334329345.db2.gz KPEKMLXZBYTMGM-GOSISDBHSA-N 0 3 316.420 4.285 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cc(F)cc(Cl)c2)c1 ZINC000534709419 334331507 /nfs/dbraw/zinc/33/15/07/334331507.db2.gz POMQGCYTXCFSQS-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(F)c(Cl)c2)c1 ZINC000534708436 334331632 /nfs/dbraw/zinc/33/16/32/334331632.db2.gz FTYKRDCFCOOBOT-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN C[C@H](O)[C@H]1CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000534844318 334339390 /nfs/dbraw/zinc/33/93/90/334339390.db2.gz GSMAUYDRJPGQHK-IRXDYDNUSA-N 0 3 311.425 4.072 20 0 DIADHN C[C@@H](O)[C@@H]1CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC000534844317 334339394 /nfs/dbraw/zinc/33/93/94/334339394.db2.gz GSMAUYDRJPGQHK-IAGOWNOFSA-N 0 3 311.425 4.072 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000534874902 334343153 /nfs/dbraw/zinc/34/31/53/334343153.db2.gz RZTZBXLIADRSSU-FCEWJHQRSA-N 0 3 316.489 4.010 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cc(Cl)ccc1C)c1cccs1 ZINC000049530562 334345458 /nfs/dbraw/zinc/34/54/58/334345458.db2.gz JEYUDHZKGVPXNK-CYBMUJFWSA-N 0 3 322.861 4.389 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCCO2)c1cccs1 ZINC000049529623 334345587 /nfs/dbraw/zinc/34/55/87/334345587.db2.gz ZWFLLZWWUSBHIB-CQSZACIVSA-N 0 3 303.427 4.150 20 0 DIADHN CCC1(NCc2cc(Cl)cc(Cl)c2OC)CCOCC1 ZINC000534901560 334347829 /nfs/dbraw/zinc/34/78/29/334347829.db2.gz QZGUHGMCTJZTPP-UHFFFAOYSA-N 0 3 318.244 4.051 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2)no1)N1CCC2(C1)CCCCC2 ZINC000303956813 334348299 /nfs/dbraw/zinc/34/82/99/334348299.db2.gz XSAVPRIHGCLXPR-HNNXBMFYSA-N 0 3 311.429 4.454 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)NCCOC1CCCC1 ZINC000111634753 334348473 /nfs/dbraw/zinc/34/84/73/334348473.db2.gz QXHUCICRNPZGOQ-GFCCVEGCSA-N 0 3 310.507 4.359 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCc3ccc(Cl)cc32)cn1 ZINC000534996924 334353165 /nfs/dbraw/zinc/35/31/65/334353165.db2.gz IEMIVHDJTRJWCJ-SJCJKPOMSA-N 0 3 303.837 4.456 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CCC[C@@H]1C1CCCC1 ZINC000111684831 334353590 /nfs/dbraw/zinc/35/35/90/334353590.db2.gz SMIZKQYPOARJAE-QGZVFWFLSA-N 0 3 313.470 4.360 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CCC[C@H]1C1CCCC1 ZINC000111684834 334353614 /nfs/dbraw/zinc/35/36/14/334353614.db2.gz SMIZKQYPOARJAE-KRWDZBQOSA-N 0 3 313.470 4.360 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccccn2)c1 ZINC000535067246 334362992 /nfs/dbraw/zinc/36/29/92/334362992.db2.gz CQBXXQPHXQWFEG-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN FC(F)COc1ccc(CN2CCC[C@@H]2c2ccccn2)cc1 ZINC000535067575 334363256 /nfs/dbraw/zinc/36/32/56/334363256.db2.gz NMWLHMFEOTWRRJ-QGZVFWFLSA-N 0 3 318.367 4.063 20 0 DIADHN Cc1cccc2ncc(CN(C)[C@H]3CCCc4ccccc43)n21 ZINC000535068417 334363393 /nfs/dbraw/zinc/36/33/93/334363393.db2.gz DGNZVSPNGBOXBJ-IBGZPJMESA-N 0 3 305.425 4.152 20 0 DIADHN COCc1ccc(CN2CCSC[C@@H]2c2ccccc2)cc1 ZINC000535068855 334363794 /nfs/dbraw/zinc/36/37/94/334363794.db2.gz PVIRDIQFNLERIR-LJQANCHMSA-N 0 3 313.466 4.123 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)[C@H]2CCCc3ccccc32)o1 ZINC000535068641 334363802 /nfs/dbraw/zinc/36/38/02/334363802.db2.gz PSCXKMMYMXDPRK-SFHVURJKSA-N 0 3 321.424 4.488 20 0 DIADHN CN(CCCCc1ccccc1)Cc1cnc(C2CC2)s1 ZINC000535072944 334364524 /nfs/dbraw/zinc/36/45/24/334364524.db2.gz YGRVNIUSWBTXOX-UHFFFAOYSA-N 0 3 300.471 4.475 20 0 DIADHN C[C@@H]1CCN(Cc2cnc([C@@H]3CCCO3)s2)[C@@H]2CCCC[C@H]12 ZINC000535073471 334364743 /nfs/dbraw/zinc/36/47/43/334364743.db2.gz ZHCUPEKPXKLRJJ-DZUCGIPZSA-N 0 3 320.502 4.395 20 0 DIADHN C[C@@H]1CCN(Cc2cnc([C@@H]3CCCO3)s2)[C@@H]2CCCC[C@@H]12 ZINC000535073474 334364790 /nfs/dbraw/zinc/36/47/90/334364790.db2.gz ZHCUPEKPXKLRJJ-XBVQOTNRSA-N 0 3 320.502 4.395 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1cccc(C(F)(F)F)c1 ZINC000535081357 334366064 /nfs/dbraw/zinc/36/60/64/334366064.db2.gz SYVXBZATWNXCNI-CQSZACIVSA-N 0 3 323.358 4.088 20 0 DIADHN COCc1ccc(CN2CC=C(c3cccc(OC)c3)CC2)cc1 ZINC000535083637 334366483 /nfs/dbraw/zinc/36/64/83/334366483.db2.gz IVTMPXOZSKWFCL-UHFFFAOYSA-N 0 3 323.436 4.131 20 0 DIADHN FC1(F)CCC[C@@H](NCc2cnc(-c3ccccc3)s2)C1 ZINC000532015551 334375122 /nfs/dbraw/zinc/37/51/22/334375122.db2.gz ABWPZYCGZXHNDJ-CYBMUJFWSA-N 0 3 308.397 4.478 20 0 DIADHN CC1=CCN(Cc2ccc(C(=O)Nc3ccccc3)cc2)CC1 ZINC000535212831 334378693 /nfs/dbraw/zinc/37/86/93/334378693.db2.gz QUIFGSSUUBSODT-UHFFFAOYSA-N 0 3 306.409 4.091 20 0 DIADHN CCC(CC)[C@@H](CCNCc1c(C)nn(C(C)(C)C)c1C)OC ZINC000535200872 334379700 /nfs/dbraw/zinc/37/97/00/334379700.db2.gz KQJPKMGARPGBKQ-GOSISDBHSA-N 0 3 323.525 4.186 20 0 DIADHN Cc1cnc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)n1C ZINC000341005749 334399263 /nfs/dbraw/zinc/39/92/63/334399263.db2.gz CEJHPWGTCQADMR-KRWDZBQOSA-N 0 3 319.452 4.039 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](CC)c1cccc(Cl)c1 ZINC000341282173 334428680 /nfs/dbraw/zinc/42/86/80/334428680.db2.gz HXSCWXYSHJWIQB-WBMJQRKESA-N 0 3 310.869 4.074 20 0 DIADHN Fc1ccc(C2CC(N[C@H]3CCOc4c(F)cccc43)C2)cc1 ZINC000443224253 338448095 /nfs/dbraw/zinc/44/80/95/338448095.db2.gz QGJNXQDNTJRVRB-RWKZGTHUSA-N 0 3 315.363 4.324 20 0 DIADHN COCC[C@@H](NCCSC)c1ccc(Cl)c(Cl)c1 ZINC000342050612 334505050 /nfs/dbraw/zinc/50/50/50/334505050.db2.gz RJYYSFPTTOZJCS-CYBMUJFWSA-N 0 3 308.274 4.024 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCc2cccc3cc[nH]c32)c1 ZINC000342312259 334531410 /nfs/dbraw/zinc/53/14/10/334531410.db2.gz OXTKPRCFSPCRBY-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H](C)C1)c1ccccc1Br ZINC000342694380 334562059 /nfs/dbraw/zinc/56/20/59/334562059.db2.gz FDZLKWSTOJIWHV-XUJVJEKNSA-N 0 3 312.251 4.057 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000342810521 334579148 /nfs/dbraw/zinc/57/91/48/334579148.db2.gz ABGJHROOGZOOKQ-MLGOLLRUSA-N 0 3 318.367 4.411 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1Cl)NCc1cnc(C)nc1 ZINC000342965981 334595162 /nfs/dbraw/zinc/59/51/62/334595162.db2.gz ONKAFCPAAURVRZ-OAHLLOKOSA-N 0 3 324.255 4.203 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2ccc(Cl)cc21)c1c(C)nn(C)c1C ZINC000343097306 334599362 /nfs/dbraw/zinc/59/93/62/334599362.db2.gz OPFLBAUGJBCLPH-IAGOWNOFSA-N 0 3 317.864 4.419 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@@H](C)c2ccc(F)c(F)c2)c1 ZINC000467862755 334607627 /nfs/dbraw/zinc/60/76/27/334607627.db2.gz IPAIGCQXLFIMIE-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCC1(CCO)CC1 ZINC000188043663 334611944 /nfs/dbraw/zinc/61/19/44/334611944.db2.gz SERXMKQMWGUJNH-AWEZNQCLSA-N 0 3 301.430 4.117 20 0 DIADHN CCCCN(C(=O)Nc1ccccc1CNC)C1CCCCC1 ZINC000415898600 334625741 /nfs/dbraw/zinc/62/57/41/334625741.db2.gz HVSOOMFFIONBLR-UHFFFAOYSA-N 0 3 317.477 4.373 20 0 DIADHN CC(C)CCN(CCC(C)C)C(=O)Nc1ccc2c(c1)CNC2 ZINC000416022910 334642912 /nfs/dbraw/zinc/64/29/12/334642912.db2.gz ZMHHVZZVMIKPGL-UHFFFAOYSA-N 0 3 317.477 4.216 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)[C@@H]2CCC[C@H]2C(C)(C)C)c1 ZINC000416287379 334660271 /nfs/dbraw/zinc/66/02/71/334660271.db2.gz JTSUQUYSHFOFSS-QZTJIDSGSA-N 0 3 316.489 4.035 20 0 DIADHN CN(C)Cc1ccc(C(=O)N(C)[C@@H]2CCC[C@H]2C(C)(C)C)cc1 ZINC000416296343 334661853 /nfs/dbraw/zinc/66/18/53/334661853.db2.gz VLQFRTPGEOIBIU-QZTJIDSGSA-N 0 3 316.489 4.035 20 0 DIADHN COCC[C@H](N[C@@H](C)[C@@H]1C[C@H]1c1cccs1)c1ccco1 ZINC000417348761 334706307 /nfs/dbraw/zinc/70/63/07/334706307.db2.gz YZAHAWRTMJPJRW-XQLPTFJDSA-N 0 3 305.443 4.201 20 0 DIADHN Cc1ccc(CCCC(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000535417186 334729954 /nfs/dbraw/zinc/72/99/54/334729954.db2.gz RQZNKRFQMQULQD-UHFFFAOYSA-N 0 3 324.468 4.326 20 0 DIADHN C[C@H](CNCc1cnc(C(F)(F)F)s1)c1ccc(F)cc1 ZINC000443974585 338464844 /nfs/dbraw/zinc/46/48/44/338464844.db2.gz VFEOUDQDKRYWJN-SECBINFHSA-N 0 3 318.339 4.194 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@H]1N[C@H]1COCc2ccccc21 ZINC000417370857 334764578 /nfs/dbraw/zinc/76/45/78/334764578.db2.gz UROLGEURGGBEGL-UXHICEINSA-N 0 3 318.420 4.406 20 0 DIADHN CCOc1ccc(CNCc2ccc(C)c(Cl)c2)cc1OC ZINC000443972698 338465009 /nfs/dbraw/zinc/46/50/09/338465009.db2.gz XKLYJTCAYPCSSX-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN CS[C@H]1CC[C@H]1N[C@@H](C)c1ccc(Br)s1 ZINC000425338553 334801381 /nfs/dbraw/zinc/80/13/81/334801381.db2.gz PORHDRKHGYQRCY-XKSSXDPKSA-N 0 3 306.294 4.055 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](Cc1ccc(C)cc1)c1ccccn1 ZINC000425343078 334803698 /nfs/dbraw/zinc/80/36/98/334803698.db2.gz IBWKNAZCBATGQX-IPMKNSEASA-N 0 3 312.482 4.157 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000425343990 334803708 /nfs/dbraw/zinc/80/37/08/334803708.db2.gz IMILHZXGGNKUPK-XNJJOIOASA-N 0 3 309.450 4.159 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(C)c(Cl)c1 ZINC000443996444 338466061 /nfs/dbraw/zinc/46/60/61/338466061.db2.gz JGPGTXXHKFARSP-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN COc1cc(CN[C@H]2CC[C@H]2SC)ccc1OC1CCCC1 ZINC000425364576 334840025 /nfs/dbraw/zinc/84/00/25/334840025.db2.gz ZENMXLWFCMGZFB-MAUKXSAKSA-N 0 3 321.486 4.000 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCC3=CCCOC3)cc2)cs1 ZINC000343254711 334862996 /nfs/dbraw/zinc/86/29/96/334862996.db2.gz MUWWBYPWHMFDMI-ZDUSSCGKSA-N 0 3 314.454 4.116 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](CO)c1ccsc1 ZINC000474939956 334875288 /nfs/dbraw/zinc/87/52/88/334875288.db2.gz FJCDINXPCKDWQX-MKBNYLNASA-N 0 3 309.862 4.217 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC([C@@H]3CCCO3)CC2)cc1 ZINC000189157766 334887822 /nfs/dbraw/zinc/88/78/22/334887822.db2.gz GCOZNHULSKADIM-INIZCTEOSA-N 0 3 313.363 4.096 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000162407267 334907521 /nfs/dbraw/zinc/90/75/21/334907521.db2.gz FHRKGILYJALWCU-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN Cc1cc(-c2nnc(CN[C@H]3CCCC[C@@H]3C(C)C)o2)c(C)o1 ZINC000162529996 334910480 /nfs/dbraw/zinc/91/04/80/334910480.db2.gz ACQPHOXFXHXYIQ-ZBFHGGJFSA-N 0 3 317.433 4.251 20 0 DIADHN C[C@@H](CC1CC1)N[C@@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000475634544 334914394 /nfs/dbraw/zinc/91/43/94/334914394.db2.gz MTUSEROALXQQNY-XPTSAGLGSA-N 0 3 321.770 4.170 20 0 DIADHN COc1cncc(CN(C2CC2)C2CC(c3ccccc3C)C2)c1 ZINC000343781553 335196264 /nfs/dbraw/zinc/19/62/64/335196264.db2.gz BBDATUIBYXUFLS-UHFFFAOYSA-N 0 3 322.452 4.309 20 0 DIADHN COC1CCC(CN[C@@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000343840230 335196372 /nfs/dbraw/zinc/19/63/72/335196372.db2.gz NVCXCXMLXDBYKW-WHXUTIOJSA-N 0 3 322.396 4.018 20 0 DIADHN CCCn1nc(C)c(CNCc2ccc3[nH]c(C)c(C)c3c2)c1C ZINC000343847362 335197245 /nfs/dbraw/zinc/19/72/45/335197245.db2.gz DXJDCQWLPVADGC-UHFFFAOYSA-N 0 3 324.472 4.298 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1cccc(Cl)c1F ZINC000343838176 335201027 /nfs/dbraw/zinc/20/10/27/335201027.db2.gz JMBAEUPBZVMETN-GUDXXQAFSA-N 0 3 323.839 4.477 20 0 DIADHN CC[C@H](NCCC(=O)N1CCCCCC1)c1cccc(Cl)c1 ZINC000343917077 335201718 /nfs/dbraw/zinc/20/17/18/335201718.db2.gz SBZZBJUFMGZSTA-KRWDZBQOSA-N 0 3 322.880 4.173 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN[C@@H]3CCCOc4ccccc43)O2)cc1 ZINC000343986030 335205793 /nfs/dbraw/zinc/20/57/93/335205793.db2.gz NGQBDVKVAONDFN-RLLQIKCJSA-N 0 3 323.436 4.410 20 0 DIADHN CC[C@@H](NC[C@@H]1COc2ccccc21)c1cc(F)ccc1F ZINC000344001915 335206761 /nfs/dbraw/zinc/20/67/61/335206761.db2.gz BWUPZFQQWZMRFL-SJKOYZFVSA-N 0 3 303.352 4.182 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1CC[C@@H](C2CC2)C1 ZINC000343961256 335208257 /nfs/dbraw/zinc/20/82/57/335208257.db2.gz TVRZHNRHHAHNCG-NVXWUHKLSA-N 0 3 314.473 4.177 20 0 DIADHN NC(=O)Nc1ccc(CN[C@@H](CC2CCC2)c2ccccc2)cc1 ZINC000344034254 335210859 /nfs/dbraw/zinc/21/08/59/335210859.db2.gz NPTVHBYKIMUHKS-IBGZPJMESA-N 0 3 323.440 4.198 20 0 DIADHN CC[C@H](NC[C@]1(C)CCO[C@@H]1C1CC1)c1cc(F)ccc1F ZINC000344121033 335219061 /nfs/dbraw/zinc/21/90/61/335219061.db2.gz WLXOHMUOFZLYCO-KSZLIROESA-N 0 3 309.400 4.211 20 0 DIADHN CCC[C@H](NC(=O)C[C@@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000344120458 335219198 /nfs/dbraw/zinc/21/91/98/335219198.db2.gz HEGQSVGYYMAWPB-PMACEKPBSA-N 0 3 324.468 4.337 20 0 DIADHN CC(C)n1ccc(CN(C)C2CCC(C(F)(F)F)CC2)n1 ZINC000344143867 335221729 /nfs/dbraw/zinc/22/17/29/335221729.db2.gz NWTNPUPRVHJNQB-UHFFFAOYSA-N 0 3 303.372 4.017 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc3c(c1)CCC3)CCO2 ZINC000344101716 335223166 /nfs/dbraw/zinc/22/31/66/335223166.db2.gz NAZTVEXPZPAYEZ-XOBRGWDASA-N 0 3 323.436 4.358 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@H](C)c1cnn(C(C)C)c1 ZINC000344102742 335223388 /nfs/dbraw/zinc/22/33/88/335223388.db2.gz JJYTUYYSTZTKFK-CQSZACIVSA-N 0 3 311.429 4.412 20 0 DIADHN COc1cccc([C@H]2C[C@H](C)CN2Cc2sc(C)nc2C)c1 ZINC000344107748 335223986 /nfs/dbraw/zinc/22/39/86/335223986.db2.gz ZAHOXMRATZVTMM-YVEFUNNKSA-N 0 3 316.470 4.352 20 0 DIADHN C[C@H]1C[C@@H](NCc2ccc(-n3cccn3)cc2)c2ccccc21 ZINC000344111461 335224304 /nfs/dbraw/zinc/22/43/04/335224304.db2.gz HILCCURUGZVBNO-MGPUTAFESA-N 0 3 303.409 4.210 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1cnc(C2CCCC2)s1 ZINC000344214486 335225978 /nfs/dbraw/zinc/22/59/78/335225978.db2.gz GZWKYLQZFCARQL-LBPRGKRZSA-N 0 3 324.490 4.011 20 0 DIADHN Cc1sc(CNC2CCC3(CCOCC3)CC2)nc1C(C)C ZINC000344169231 335227027 /nfs/dbraw/zinc/22/70/27/335227027.db2.gz GNTSYLBXVPXLAY-UHFFFAOYSA-N 0 3 322.518 4.404 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCCc3ccc(F)cc32)c1 ZINC000344295916 335237635 /nfs/dbraw/zinc/23/76/35/335237635.db2.gz KNXAOZPKLUSCER-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H](CC(C)(C)C)c2ccc(Cl)cc2)C1 ZINC000344313631 335240000 /nfs/dbraw/zinc/24/00/00/335240000.db2.gz ZDBYJIMRUFNSBA-DLBZAZTESA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H](NCc1cccc(OCC(F)(F)F)c1)c1ccncc1 ZINC000344548426 335260798 /nfs/dbraw/zinc/26/07/98/335260798.db2.gz JETKUERQWPXXTJ-MRXNPFEDSA-N 0 3 324.346 4.264 20 0 DIADHN FC(F)(F)C1CCC(CNCc2csc(C3CC3)n2)CC1 ZINC000344735219 335272191 /nfs/dbraw/zinc/27/21/91/335272191.db2.gz AKFKGOWZPKYMAO-UHFFFAOYSA-N 0 3 318.408 4.479 20 0 DIADHN COC[C@@H](N[C@@H](C)c1nc(-c2ccccc2)cs1)C(C)C ZINC000165961453 335294798 /nfs/dbraw/zinc/29/47/98/335294798.db2.gz VPIYJIPRJHUOET-DZGCQCFKSA-N 0 3 304.459 4.132 20 0 DIADHN CCC[C@H](N[C@@H]1C=C[C@@H](CO)C1)c1cccc(C(F)(F)F)c1 ZINC000345049226 335295578 /nfs/dbraw/zinc/29/55/78/335295578.db2.gz HGIAHSRBUKKKPY-WQVCFCJDSA-N 0 3 313.363 4.073 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1csc(Cl)c1 ZINC000345318479 335316865 /nfs/dbraw/zinc/31/68/65/335316865.db2.gz WEEQBPZMMCEKBU-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN COc1ccc(CN[C@@H](CC2CC2)c2ccc(Cl)cc2)cn1 ZINC000345684660 335341600 /nfs/dbraw/zinc/34/16/00/335341600.db2.gz SEERTIUFGMFKSS-KRWDZBQOSA-N 0 3 316.832 4.375 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccc2ncccc2c1 ZINC000345714508 335345096 /nfs/dbraw/zinc/34/50/96/335345096.db2.gz YCZJAOGTKKULFL-JLTOFOAXSA-N 0 3 324.399 4.240 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CC[C@@H]2CCCO2)cc1 ZINC000345832726 335354110 /nfs/dbraw/zinc/35/41/10/335354110.db2.gz AATQBZOOCRFIDJ-HOTGVXAUSA-N 0 3 324.262 4.155 20 0 DIADHN Cc1ccc(C(C)C)c2c1[C@@H](NC[C@@H]1CCCS1)CCO2 ZINC000346083936 335377117 /nfs/dbraw/zinc/37/71/17/335377117.db2.gz QRFZRBXIWKBHIO-HOCLYGCPSA-N 0 3 305.487 4.427 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@H]1CCSc2ccc(Cl)cc21 ZINC000346083846 335377150 /nfs/dbraw/zinc/37/71/50/335377150.db2.gz QONHOTGWZAXAIU-HZUKXOBISA-N 0 3 313.894 4.264 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N(C)Cc1cc(-n2ccnc2)cs1 ZINC000346139051 335380172 /nfs/dbraw/zinc/38/01/72/335380172.db2.gz KEOVDYVPYITMCK-ZDUSSCGKSA-N 0 3 315.417 4.266 20 0 DIADHN C[C@H](c1ccc(F)cc1)N(C)Cc1cc(-n2ccnc2)cs1 ZINC000346139049 335380351 /nfs/dbraw/zinc/38/03/51/335380351.db2.gz KEOVDYVPYITMCK-CYBMUJFWSA-N 0 3 315.417 4.266 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346219017 335385412 /nfs/dbraw/zinc/38/54/12/335385412.db2.gz VKIJPMZKBOUKSC-AAEUAGOBSA-N 0 3 317.820 4.151 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346222132 335385727 /nfs/dbraw/zinc/38/57/27/335385727.db2.gz XHKTYLJXLJAHGS-SMDDNHRTSA-N 0 3 317.820 4.151 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCOc1ccccc1)CCS2 ZINC000346213765 335390965 /nfs/dbraw/zinc/39/09/65/335390965.db2.gz ZFGVHGNXBOCBQZ-MRXNPFEDSA-N 0 3 303.402 4.031 20 0 DIADHN C[C@@H](CN(C)Cc1cc2cc(F)ccc2o1)c1nccs1 ZINC000346265547 335396188 /nfs/dbraw/zinc/39/61/88/335396188.db2.gz HSVKQCRNQYGNEQ-NSHDSACASA-N 0 3 304.390 4.264 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCCN1CCn1ccnc1 ZINC000346300871 335396364 /nfs/dbraw/zinc/39/63/64/335396364.db2.gz DDRQGESTTIYBLB-INIZCTEOSA-N 0 3 323.362 4.129 20 0 DIADHN Cc1cc([C@H](NC2CCSCC2)c2cccnc2)ccc1F ZINC000346629855 335425337 /nfs/dbraw/zinc/42/53/37/335425337.db2.gz KOEZETDPFVAMPB-SFHVURJKSA-N 0 3 316.445 4.104 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)NCCc1csc(C)n1 ZINC000347118345 335467432 /nfs/dbraw/zinc/46/74/32/335467432.db2.gz CVEXXMAQUAWSIB-SNVBAGLBSA-N 0 3 310.850 4.007 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCc1ccccc1F)CCCO2 ZINC000347105998 335471484 /nfs/dbraw/zinc/47/14/84/335471484.db2.gz PHGDFQINEVONTN-QGZVFWFLSA-N 0 3 303.352 4.011 20 0 DIADHN Cc1ncc(CN2CCC(Cc3ccccc3F)CC2)s1 ZINC000347270814 335485061 /nfs/dbraw/zinc/48/50/61/335485061.db2.gz WADZPRWDPHCHRS-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN CC[C@@H](NCc1cc(F)cc(Br)c1)c1ccncc1 ZINC000347362205 335490642 /nfs/dbraw/zinc/49/06/42/335490642.db2.gz OGYYVBRSGKGOPX-OAHLLOKOSA-N 0 3 323.209 4.224 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCc3c(C)ccc(C)c32)ccn1 ZINC000347636341 335512246 /nfs/dbraw/zinc/51/22/46/335512246.db2.gz ZKTDMGIUYSJJDD-MAUKXSAKSA-N 0 3 310.441 4.435 20 0 DIADHN Cc1sc(CNCCCc2cnccc2C)nc1C(C)C ZINC000348049169 335548196 /nfs/dbraw/zinc/54/81/96/335548196.db2.gz VLIARJFMNAKWCQ-UHFFFAOYSA-N 0 3 303.475 4.001 20 0 DIADHN CC[C@H](NCCCCOc1ccccc1)c1c(C)noc1C ZINC000348132124 335555459 /nfs/dbraw/zinc/55/54/59/335555459.db2.gz VLFQIAMOANEUCO-KRWDZBQOSA-N 0 3 302.418 4.191 20 0 DIADHN Cc1cc(CN[C@H]2CCCOc3cc(F)ccc32)cc(C)c1O ZINC000348141960 335557115 /nfs/dbraw/zinc/55/71/15/335557115.db2.gz MWGDOVIMQFLKME-KRWDZBQOSA-N 0 3 315.388 4.152 20 0 DIADHN Cc1nnc([C@H](C)NCC2CCC(c3ccccc3)CC2)s1 ZINC000348260327 335568777 /nfs/dbraw/zinc/56/87/77/335568777.db2.gz JBHCFWSAICSUQU-KTAKPFMOSA-N 0 3 315.486 4.471 20 0 DIADHN CC(C)[C@H](NCc1cnc(C(C)(C)C)nc1)c1ccc(F)cc1 ZINC000323801252 335597113 /nfs/dbraw/zinc/59/71/13/335597113.db2.gz LELPSSDNXZPBHQ-KRWDZBQOSA-N 0 3 315.436 4.400 20 0 DIADHN Cc1cnccc1CN1CCC(c2cccc(F)c2F)CC1 ZINC000582162188 335625496 /nfs/dbraw/zinc/62/54/96/335625496.db2.gz QOAJDJZMAPKYLJ-UHFFFAOYSA-N 0 3 302.368 4.048 20 0 DIADHN CN(C)CCN(Cc1cccs1)Cc1ccc2occc2c1 ZINC000349318258 335662903 /nfs/dbraw/zinc/66/29/03/335662903.db2.gz RFECLMCXBWDNPF-UHFFFAOYSA-N 0 3 314.454 4.058 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H](CO)c1ccc(F)cc1 ZINC000349380184 335667729 /nfs/dbraw/zinc/66/77/29/335667729.db2.gz OSIQUKZRXWHPHX-OALUTQOASA-N 0 3 313.416 4.143 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H](CO)c1ccc(F)cc1 ZINC000349380181 335667757 /nfs/dbraw/zinc/66/77/57/335667757.db2.gz OSIQUKZRXWHPHX-MOPGFXCFSA-N 0 3 313.416 4.143 20 0 DIADHN CC[C@@H](NCC1(C(F)(F)F)CCC1)c1cccc(OC)c1 ZINC000353947841 335672081 /nfs/dbraw/zinc/67/20/81/335672081.db2.gz PPAFOIABEYYFEV-CQSZACIVSA-N 0 3 301.352 4.469 20 0 DIADHN CC(=O)Nc1cccc(CNCc2cc3c(ccc(C)c3C)[nH]2)c1 ZINC000349563301 335680898 /nfs/dbraw/zinc/68/08/98/335680898.db2.gz GFCPLJUKOFEKMQ-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H]2CCc3c2c(F)ccc3F)n1 ZINC000349667798 335690628 /nfs/dbraw/zinc/69/06/28/335690628.db2.gz QAIGQGNVOXUZAN-LKFCYVNXSA-N 0 3 308.397 4.110 20 0 DIADHN Cc1csc([C@H](C)CN[C@H]2CCc3c2c(F)ccc3F)n1 ZINC000349667799 335690727 /nfs/dbraw/zinc/69/07/27/335690727.db2.gz QAIGQGNVOXUZAN-OTYXRUKQSA-N 0 3 308.397 4.110 20 0 DIADHN CCC1(NCc2cc(C(F)(F)F)ccc2Cl)CCOCC1 ZINC000535614510 335690892 /nfs/dbraw/zinc/69/08/92/335690892.db2.gz XOPCFCYAXRFIJI-UHFFFAOYSA-N 0 3 321.770 4.408 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@@H]2CCc3c2c(F)ccc3F)s1 ZINC000349844100 335705674 /nfs/dbraw/zinc/70/56/74/335705674.db2.gz YJOBWZUQQYXAGL-LKFCYVNXSA-N 0 3 308.397 4.110 20 0 DIADHN C[C@H](CCCCO)N[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000398659760 335728659 /nfs/dbraw/zinc/72/86/59/335728659.db2.gz FDBHDNWZTVOQAP-ZJUUUORDSA-N 0 3 308.224 4.334 20 0 DIADHN C[C@@H](CCCO)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000094941908 335761297 /nfs/dbraw/zinc/76/12/97/335761297.db2.gz COTWTFRAKSDRKW-IUCAKERBSA-N 0 3 310.652 4.458 20 0 DIADHN CCn1cncc1CNC[C@H](C)c1c(Cl)cccc1Cl ZINC000417893893 335789840 /nfs/dbraw/zinc/78/98/40/335789840.db2.gz SIKNTLRJRONASK-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1CCCCC1 ZINC000535838947 335792533 /nfs/dbraw/zinc/79/25/33/335792533.db2.gz MLZSUJDSFQDJDV-UHFFFAOYSA-N 0 3 317.864 4.188 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)[nH]c1C ZINC000519183252 335813160 /nfs/dbraw/zinc/81/31/60/335813160.db2.gz MVBNVIDBVRAVGD-GDBMZVCRSA-N 0 3 303.837 4.106 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1ccc(F)cn1 ZINC000536175754 335827059 /nfs/dbraw/zinc/82/70/59/335827059.db2.gz URZYXOZSVMJIPK-QJPTWQEYSA-N 0 3 304.331 4.240 20 0 DIADHN CC(C)(C)[C@H](NCC(=O)Nc1ccccc1F)c1ccccc1 ZINC000097079276 335839404 /nfs/dbraw/zinc/83/94/04/335839404.db2.gz PEXIHJBKZZTPIV-GOSISDBHSA-N 0 3 314.404 4.141 20 0 DIADHN c1nc(C2CC2)sc1CN1CCC(Cc2ccccc2)CC1 ZINC000536626480 335845893 /nfs/dbraw/zinc/84/58/93/335845893.db2.gz UVDIZHBLCCFUEU-UHFFFAOYSA-N 0 3 312.482 4.475 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCCCOc1ccccc1 ZINC000527365487 335849040 /nfs/dbraw/zinc/84/90/40/335849040.db2.gz GNHVXHIWTCENCM-OAHLLOKOSA-N 0 3 317.404 4.344 20 0 DIADHN CCCCOc1ccc(CN2CCC(OC(C)C)CC2)cc1 ZINC000536647415 335852080 /nfs/dbraw/zinc/85/20/80/335852080.db2.gz XKGAPEIOOOTDAF-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN CC[C@H](NCc1ccc(N(C)CC)nc1)c1ccc(Cl)s1 ZINC000480394185 335879584 /nfs/dbraw/zinc/87/95/84/335879584.db2.gz HRMBJZZBICTDIW-ZDUSSCGKSA-N 0 3 323.893 4.494 20 0 DIADHN COc1ncc([C@H](C)NC[C@H](SC)C(C)(C)C)cc1Cl ZINC000519721915 335891487 /nfs/dbraw/zinc/89/14/87/335891487.db2.gz NNDJQTDHRWUXLL-GWCFXTLKSA-N 0 3 316.898 4.172 20 0 DIADHN CN(C)[C@H](CN[C@H]1CCc2c1cccc2Cl)c1ccsc1 ZINC000532200915 335915146 /nfs/dbraw/zinc/91/51/46/335915146.db2.gz MZNKZEWUQIKUMB-DLBZAZTESA-N 0 3 320.889 4.281 20 0 DIADHN CN(C)CCN(Cc1ccco1)Cc1cc2ccccc2s1 ZINC000520290152 335954996 /nfs/dbraw/zinc/95/49/96/335954996.db2.gz VJMKAAHRHOOBIK-UHFFFAOYSA-N 0 3 314.454 4.058 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H](c2cccnc2)C1 ZINC000583628589 336000590 /nfs/dbraw/zinc/00/05/90/336000590.db2.gz KRBSWIWKHHGUOZ-MAUKXSAKSA-N 0 3 319.408 4.287 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1cnc([C@@H]2CCCO2)s1 ZINC000354010041 336006007 /nfs/dbraw/zinc/00/60/07/336006007.db2.gz LYQWQQZRQDQVJW-GJZGRUSLSA-N 0 3 308.491 4.395 20 0 DIADHN c1ccc2c(c1)OC[C@@H]2CNCc1ccccc1OC1CCCC1 ZINC000583656828 336027305 /nfs/dbraw/zinc/02/73/05/336027305.db2.gz NJLCIDSSPSECAH-KRWDZBQOSA-N 0 3 323.436 4.274 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@H]2CCO[C@H]2c2ccccc2)o1 ZINC000192377670 336030338 /nfs/dbraw/zinc/03/03/38/336030338.db2.gz YHAJHNYHMJNLFD-CAUGKJMWSA-N 0 3 311.425 4.270 20 0 DIADHN CCN(Cc1c(C)nn(CC)c1C)[C@@H](C)c1cccc(OC)c1 ZINC000351624056 336052393 /nfs/dbraw/zinc/05/23/93/336052393.db2.gz WFGDAIGLPMTEAA-HNNXBMFYSA-N 0 3 315.461 4.112 20 0 DIADHN OC[C@@H]1CCC[C@H](N[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC000324061703 336053930 /nfs/dbraw/zinc/05/39/30/336053930.db2.gz WLHXUHMYDULXQC-UIAACRFSSA-N 0 3 313.416 4.056 20 0 DIADHN CC1(C)CC(N[C@@H]2CCOc3ccc(Br)cc32)C1 ZINC000395243799 336063964 /nfs/dbraw/zinc/06/39/64/336063964.db2.gz ZPFTVHWOKSHVLY-CYBMUJFWSA-N 0 3 310.235 4.051 20 0 DIADHN COc1cc([C@H](C)NCCCSc2ccccc2)c(F)cn1 ZINC000520735098 336072738 /nfs/dbraw/zinc/07/27/38/336072738.db2.gz YVYQIBSZXZZSPO-ZDUSSCGKSA-N 0 3 320.433 4.062 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H](C)c1cc2ccccc2o1 ZINC000324161117 336099971 /nfs/dbraw/zinc/09/99/71/336099971.db2.gz NEQYHWPRBYNAHH-AWEZNQCLSA-N 0 3 319.408 4.442 20 0 DIADHN CC(C)COC1CCN(CCSCc2ccccc2)CC1 ZINC000192549921 336113376 /nfs/dbraw/zinc/11/33/76/336113376.db2.gz YPVLJLPHGIYYFE-UHFFFAOYSA-N 0 3 307.503 4.057 20 0 DIADHN CC[C@@H](NCc1cccc(OCCOC)c1)c1cccc(F)c1 ZINC000526107084 336121263 /nfs/dbraw/zinc/12/12/63/336121263.db2.gz PDPIRRGLJAJHSV-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc(-c2ccccc2)no1 ZINC000445888286 338514532 /nfs/dbraw/zinc/51/45/32/338514532.db2.gz WDNUWGLDAGSXNM-HNNXBMFYSA-N 0 3 312.335 4.408 20 0 DIADHN c1ccc([C@@H]2CCC[C@H]2NCc2nc3cccnc3s2)cc1 ZINC000446003872 338517508 /nfs/dbraw/zinc/51/75/08/338517508.db2.gz UBUBUSTZBMGGDE-LSDHHAIUSA-N 0 3 309.438 4.117 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@H](CCC(N)=O)C3)cc2c1C ZINC000332249135 336165029 /nfs/dbraw/zinc/16/50/29/336165029.db2.gz QYZIQODWENACBS-OAHLLOKOSA-N 0 3 313.445 4.312 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC[C@H](C(C)(C)C)CC2)c1 ZINC000332379032 336170354 /nfs/dbraw/zinc/17/03/54/336170354.db2.gz XJAFPLIYURWZEE-INIZCTEOSA-N 0 3 317.477 4.134 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000332597264 336175618 /nfs/dbraw/zinc/17/56/18/336175618.db2.gz LIWHANKQEVVRDR-SMDDNHRTSA-N 0 3 319.836 4.047 20 0 DIADHN CC1(C)CCCC[C@H]1NCc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000332651997 336177826 /nfs/dbraw/zinc/17/78/26/336177826.db2.gz ZNWLPXMKVVYOFM-CQSZACIVSA-N 0 3 319.836 4.047 20 0 DIADHN CCSc1cccc(CN2CCC[C@]3(CCCOC3)C2)c1 ZINC000352010630 336188680 /nfs/dbraw/zinc/18/86/80/336188680.db2.gz HGLLUAMFHZHQPM-SFHVURJKSA-N 0 3 305.487 4.191 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC(C)(C4CC4)CC3)cnc21 ZINC000352193503 336200762 /nfs/dbraw/zinc/20/07/62/336200762.db2.gz ZUSLODJCMKQEBQ-UHFFFAOYSA-N 0 3 312.461 4.024 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@H](C)c1sccc1Br ZINC000532495369 336250913 /nfs/dbraw/zinc/25/09/13/336250913.db2.gz OSMFGCLCAXUIHU-GMTAPVOTSA-N 0 3 318.280 4.119 20 0 DIADHN CCC1(Cc2ccccc2)CCN(Cc2cnc(C)nc2)CC1 ZINC000352408683 336216625 /nfs/dbraw/zinc/21/66/25/336216625.db2.gz WEKCPSZYUGIMRP-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN CC(C)(C)OC1CC(N[C@H]2CCSc3c(F)cccc32)C1 ZINC000352565863 336224849 /nfs/dbraw/zinc/22/48/49/336224849.db2.gz AOLKXBNSXUKVKI-QOZQQMKHSA-N 0 3 309.450 4.298 20 0 DIADHN CC(C)(C)OC1CC(N[C@H]2CCOc3c(Cl)cccc32)C1 ZINC000352568171 336225038 /nfs/dbraw/zinc/22/50/38/336225038.db2.gz VZPQWBWLSPADRW-QOZQQMKHSA-N 0 3 309.837 4.099 20 0 DIADHN Cc1nc(CNC2CC(c3ccccc3Cl)C2)sc1C ZINC000352642938 336228274 /nfs/dbraw/zinc/22/82/74/336228274.db2.gz ROTNHLFJUGZMKO-UHFFFAOYSA-N 0 3 306.862 4.449 20 0 DIADHN CC(C)N(CC[S@](=O)c1cc(Cl)ccc1Cl)C(C)C ZINC000352770012 336234121 /nfs/dbraw/zinc/23/41/21/336234121.db2.gz PHPCJKADBNJAEE-IBGZPJMESA-N 0 3 322.301 4.220 20 0 DIADHN CCn1nc(C)c(CN(Cc2ccccc2)CC2CCC2)c1C ZINC000352757358 336235173 /nfs/dbraw/zinc/23/51/73/336235173.db2.gz UEYKPBSIJLQEHN-UHFFFAOYSA-N 0 3 311.473 4.322 20 0 DIADHN Cc1[nH]nc2ncc(CN(Cc3ccccc3)CC3CCC3)cc12 ZINC000352757689 336235205 /nfs/dbraw/zinc/23/52/05/336235205.db2.gz VNDIRYLQHCAZKB-UHFFFAOYSA-N 0 3 320.440 4.069 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)cc2C)cn1 ZINC000352758162 336235211 /nfs/dbraw/zinc/23/52/11/336235211.db2.gz GQGSIRVGVRKFEI-UHFFFAOYSA-N 0 3 319.246 4.005 20 0 DIADHN Cc1cnn(C)c1CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000352778861 336235997 /nfs/dbraw/zinc/23/59/97/336235997.db2.gz IXSMMZHYDTZWPJ-MRXNPFEDSA-N 0 3 303.425 4.135 20 0 DIADHN CC[C@@H](NC[C@H](c1cccnc1)C(C)C)c1ccc(F)cn1 ZINC000352782602 336236246 /nfs/dbraw/zinc/23/62/46/336236246.db2.gz IMFFTOLQTNJYDS-DLBZAZTESA-N 0 3 301.409 4.096 20 0 DIADHN CC[C@@H](N[C@@H](C)CCCCO)c1ccccc1Br ZINC000352784448 336236445 /nfs/dbraw/zinc/23/64/45/336236445.db2.gz UNOJNNCTNZHUJL-SWLSCSKDSA-N 0 3 314.267 4.041 20 0 DIADHN Cc1ccc([C@H](CNCc2ccc3occc3c2)N2CCCC2)o1 ZINC000352783307 336236345 /nfs/dbraw/zinc/23/63/45/336236345.db2.gz XQCUYUDXWCVPOK-SFHVURJKSA-N 0 3 324.424 4.261 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1ccccc1F ZINC000352784400 336236354 /nfs/dbraw/zinc/23/63/54/336236354.db2.gz TZYKCVMGOABRTA-RCCFBDPRSA-N 0 3 301.409 4.247 20 0 DIADHN OCC[C@H](N[C@H]1C=CCCC1)c1ccc(Cl)c(Cl)c1 ZINC000352797159 336237654 /nfs/dbraw/zinc/23/76/54/336237654.db2.gz HXQNHSPGUYMEGD-WFASDCNBSA-N 0 3 300.229 4.115 20 0 DIADHN COc1cc(CN2CCC[C@@H](C)CC2)c(SC)cc1OC ZINC000352797537 336237736 /nfs/dbraw/zinc/23/77/36/336237736.db2.gz BIGNSCVWNWSGGQ-CYBMUJFWSA-N 0 3 309.475 4.048 20 0 DIADHN CCC[C@@H](C)N(C)Cc1cc(Br)ccc1OC ZINC000042250884 336237972 /nfs/dbraw/zinc/23/79/72/336237972.db2.gz LBGPHNWZVQXCNE-LLVKDONJSA-N 0 3 300.240 4.078 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(Br)cc2)cc1 ZINC000042268013 336238195 /nfs/dbraw/zinc/23/81/95/336238195.db2.gz NTFWZLNRCGGRQO-UHFFFAOYSA-N 0 3 320.230 4.090 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)cc2)cc1 ZINC000042238794 336239462 /nfs/dbraw/zinc/23/94/62/336239462.db2.gz MTZKWKPJRJTRFR-UHFFFAOYSA-N 0 3 304.231 4.301 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCCCO2)cc1-c1cccs1 ZINC000042817597 336242922 /nfs/dbraw/zinc/24/29/22/336242922.db2.gz PGURVRBLIAIMFT-AWEZNQCLSA-N 0 3 305.418 4.213 20 0 DIADHN Cn1c(CNC2(C)CCCC2)nc2cc(C(F)(F)F)ccc21 ZINC000532376147 336243980 /nfs/dbraw/zinc/24/39/80/336243980.db2.gz FDUHBIUCISNUBU-UHFFFAOYSA-N 0 3 311.351 4.014 20 0 DIADHN CCc1ccc(CNCCc2csc(-c3ccccc3)n2)o1 ZINC000532366449 336244392 /nfs/dbraw/zinc/24/43/92/336244392.db2.gz HWAUUVKXSDSFLB-UHFFFAOYSA-N 0 3 312.438 4.298 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNCc1cscc1C(F)(F)F ZINC000352812447 336246036 /nfs/dbraw/zinc/24/60/36/336246036.db2.gz ZFXZJDOQFYKWKR-MRVPVSSYSA-N 0 3 318.364 4.265 20 0 DIADHN COc1ccccc1OCCN[C@@H]1CCc2c1cccc2Cl ZINC000532460363 336248648 /nfs/dbraw/zinc/24/86/48/336248648.db2.gz JDUAUQRGFZBYIL-MRXNPFEDSA-N 0 3 317.816 4.005 20 0 DIADHN COc1ccccc1OCCN[C@@H]1CCc2cc(Cl)ccc21 ZINC000532460912 336248696 /nfs/dbraw/zinc/24/86/96/336248696.db2.gz DNDSROLJFWNJMM-MRXNPFEDSA-N 0 3 317.816 4.005 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000532460856 336248840 /nfs/dbraw/zinc/24/88/40/336248840.db2.gz MYEHFYKETIWJRA-LBPRGKRZSA-N 0 3 323.795 4.217 20 0 DIADHN CC[C@H](NCc1nc2cc(Cl)ccc2s1)C1CCOCC1 ZINC000532466310 336249336 /nfs/dbraw/zinc/24/93/36/336249336.db2.gz MEJUPPUVUIYNLK-ZDUSSCGKSA-N 0 3 324.877 4.245 20 0 DIADHN c1ccc([C@@H](CC2CC2)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000532452084 336249972 /nfs/dbraw/zinc/24/99/72/336249972.db2.gz OEVSCXCXXXUMQT-HXUWFJFHSA-N 0 3 321.468 4.313 20 0 DIADHN CC(C)CCCN[C@H](C)c1ccc(-n2cncn2)cc1Cl ZINC000532452115 336250178 /nfs/dbraw/zinc/25/01/78/336250178.db2.gz SJTVKZYFMIEOHB-CYBMUJFWSA-N 0 3 306.841 4.008 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@@H](C)C(C)(C)C)co2)cc1 ZINC000532490720 336250335 /nfs/dbraw/zinc/25/03/35/336250335.db2.gz QWGLPYKZGONBGR-ZDUSSCGKSA-N 0 3 302.418 4.217 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCc3ccccc32)[C@H]2CCCO2)cc1 ZINC000532492573 336250751 /nfs/dbraw/zinc/25/07/51/336250751.db2.gz NFNXFBUQOUNWMD-AQNXPRMDSA-N 0 3 311.400 4.323 20 0 DIADHN Cc1c(C)c(C)c(CNCc2ccc3[nH]cnc3c2)c(C)c1C ZINC000532496337 336250925 /nfs/dbraw/zinc/25/09/25/336250925.db2.gz YZZYJXUWXLPCJB-UHFFFAOYSA-N 0 3 307.441 4.395 20 0 DIADHN Cc1c(C)c(C)c(CNCc2ccc3nc[nH]c3c2)c(C)c1C ZINC000532496337 336250926 /nfs/dbraw/zinc/25/09/26/336250926.db2.gz YZZYJXUWXLPCJB-UHFFFAOYSA-N 0 3 307.441 4.395 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccccc1OC(F)F)c1ccccc1 ZINC000532479067 336251315 /nfs/dbraw/zinc/25/13/15/336251315.db2.gz RLNZJDDRXSLBAW-DYVFJYSZSA-N 0 3 321.367 4.326 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccccc1OC(F)F)c1ccccc1 ZINC000532479069 336251349 /nfs/dbraw/zinc/25/13/49/336251349.db2.gz RLNZJDDRXSLBAW-SUMWQHHRSA-N 0 3 321.367 4.326 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1ccc(F)c(Cl)c1 ZINC000532485581 336251662 /nfs/dbraw/zinc/25/16/62/336251662.db2.gz XADVVHNDUAWDQP-GFCCVEGCSA-N 0 3 306.812 4.396 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCCc2ccccc2O)c1 ZINC000532488093 336252107 /nfs/dbraw/zinc/25/21/07/336252107.db2.gz QCEQWDSRTWGRNY-CYBMUJFWSA-N 0 3 321.367 4.195 20 0 DIADHN COCc1cc(CN[C@@H]2CCc3c2cccc3Cl)ccc1F ZINC000532504464 336252498 /nfs/dbraw/zinc/25/24/98/336252498.db2.gz BADXEDDIUIWNIL-GOSISDBHSA-N 0 3 319.807 4.403 20 0 DIADHN COCc1cc(CN[C@H]2CCc3c2cccc3Cl)ccc1F ZINC000532504465 336252568 /nfs/dbraw/zinc/25/25/68/336252568.db2.gz BADXEDDIUIWNIL-SFHVURJKSA-N 0 3 319.807 4.403 20 0 DIADHN FC(F)(F)c1ccc([C@@H]2CCCC[C@@H]2NCc2cc[nH]n2)cc1 ZINC000532513084 336252979 /nfs/dbraw/zinc/25/29/79/336252979.db2.gz AUDXGGMPMUWLEG-HOTGVXAUSA-N 0 3 323.362 4.245 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N(C)C[C@H](C)c2ccccc2)c1 ZINC000532518819 336253484 /nfs/dbraw/zinc/25/34/84/336253484.db2.gz ZCERPJOLIHXUCX-ROUUACIJSA-N 0 3 324.468 4.366 20 0 DIADHN CCC(CC)N(CC(=O)Nc1cc(Cl)ccc1F)C1CC1 ZINC000532528638 336253723 /nfs/dbraw/zinc/25/37/23/336253723.db2.gz NZWPXOCRZCNTJV-UHFFFAOYSA-N 0 3 312.816 4.071 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1cccc(Br)c1)c1ccoc1 ZINC000532530881 336253803 /nfs/dbraw/zinc/25/38/03/336253803.db2.gz UCMMJRBZIKOKOD-NWDGAFQWSA-N 0 3 324.218 4.160 20 0 DIADHN CO[C@H](CN[C@H](C)c1sccc1Br)C(C)(C)C ZINC000532531253 336253876 /nfs/dbraw/zinc/25/38/76/336253876.db2.gz ADGOZSRKUYPJRF-MWLCHTKSSA-N 0 3 320.296 4.222 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccc(C)cc1)c1ccc(C)o1 ZINC000532548015 336254893 /nfs/dbraw/zinc/25/48/93/336254893.db2.gz QQKPDHNGUQEEAE-CRAIPNDOSA-N 0 3 301.430 4.195 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN[C@@H]2CCc3ccccc32)cc1 ZINC000532536548 336255138 /nfs/dbraw/zinc/25/51/38/336255138.db2.gz WLYZNSCNVVBNSG-LJQANCHMSA-N 0 3 323.436 4.419 20 0 DIADHN CC[C@H]1CCCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000532557304 336255490 /nfs/dbraw/zinc/25/54/90/336255490.db2.gz FYHABFGNYXQDJM-KRWDZBQOSA-N 0 3 308.425 4.167 20 0 DIADHN CO[C@H](CNCc1cscc1C(F)(F)F)c1ccccc1 ZINC000352822286 336257619 /nfs/dbraw/zinc/25/76/19/336257619.db2.gz JMRZYTWZOHNBBD-CQSZACIVSA-N 0 3 315.360 4.244 20 0 DIADHN FC(F)(F)Cn1ccnc1CNC1CCC2(CCCC2)CC1 ZINC000352822738 336257925 /nfs/dbraw/zinc/25/79/25/336257925.db2.gz LJFKLLATJDVTRM-UHFFFAOYSA-N 0 3 315.383 4.038 20 0 DIADHN COc1cc(CN[C@H]2CCCC(F)(F)C2)ccc1SC ZINC000532627658 336258103 /nfs/dbraw/zinc/25/81/03/336258103.db2.gz ITSQZRCQKKZIDF-LBPRGKRZSA-N 0 3 301.402 4.085 20 0 DIADHN FC(F)(F)COc1cccc(CN[C@@H]2CCCC(F)(F)C2)c1 ZINC000532627206 336258148 /nfs/dbraw/zinc/25/81/48/336258148.db2.gz DDFRAYUGHDBFND-GFCCVEGCSA-N 0 3 323.305 4.295 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000480889407 336258335 /nfs/dbraw/zinc/25/83/35/336258335.db2.gz NMOBXLADHGAPKX-MCIONIFRSA-N 0 3 311.828 4.289 20 0 DIADHN CCC[C@H](NCc1csc(-c2ccccn2)n1)C1CCC1 ZINC000324813194 336258793 /nfs/dbraw/zinc/25/87/93/336258793.db2.gz PYIPGDMOBIHXKZ-HNNXBMFYSA-N 0 3 301.459 4.264 20 0 DIADHN COC[C@@H](CC(C)C)N[C@H](CC(F)(F)F)c1ccccc1 ZINC000480888425 336258802 /nfs/dbraw/zinc/25/88/02/336258802.db2.gz XLLNIEDHWVTBEJ-HUUCEWRRSA-N 0 3 303.368 4.331 20 0 DIADHN CSCCCCNCc1cc(Cl)ccc1OC(F)F ZINC000134865584 336260090 /nfs/dbraw/zinc/26/00/90/336260090.db2.gz GDKVIIQCFLSFOM-UHFFFAOYSA-N 0 3 309.809 4.174 20 0 DIADHN CCN(C(=O)[C@H](C)NC(C)(C)c1cccs1)C1CCCCC1 ZINC000580378347 336262239 /nfs/dbraw/zinc/26/22/39/336262239.db2.gz GYMHWVZESHGSFW-AWEZNQCLSA-N 0 3 322.518 4.143 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@@H]2CCCC(F)(F)C2)c1 ZINC000532628943 336263006 /nfs/dbraw/zinc/26/30/06/336263006.db2.gz WQSVUAWYXSTNHQ-GFCCVEGCSA-N 0 3 305.315 4.264 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@H](C)c2ccnn2C)C2CC2)cc1 ZINC000192798792 336264615 /nfs/dbraw/zinc/26/46/15/336264615.db2.gz AHELQXJCQASNPW-FOIQADDNSA-N 0 3 311.473 4.421 20 0 DIADHN Fc1ccc(CN(CCc2ccncc2)C2CCCC2)c(F)c1 ZINC000532653043 336265870 /nfs/dbraw/zinc/26/58/70/336265870.db2.gz HDEGIVVKUURORG-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC000532701646 336267057 /nfs/dbraw/zinc/26/70/57/336267057.db2.gz MVSMLUHZIDCNNL-NRFANRHFSA-N 0 3 322.452 4.373 20 0 DIADHN CC(C)N(CC(=O)N(C)[C@@H](C)c1ccc(Cl)cc1)C1CCC1 ZINC000532731648 336268057 /nfs/dbraw/zinc/26/80/57/336268057.db2.gz NQDHINCCFDRJFU-AWEZNQCLSA-N 0 3 322.880 4.122 20 0 DIADHN CC(C)N(CC(=O)N(C)[C@H](C)c1ccc(Cl)cc1)C1CCC1 ZINC000532731649 336268111 /nfs/dbraw/zinc/26/81/11/336268111.db2.gz NQDHINCCFDRJFU-CQSZACIVSA-N 0 3 322.880 4.122 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCC2)c1ccc(NC(N)=O)cc1 ZINC000192847142 336268693 /nfs/dbraw/zinc/26/86/93/336268693.db2.gz WTRZZDWHLWXRGE-ZIAGYGMSSA-N 0 3 323.440 4.078 20 0 DIADHN Cc1cccc(NC(=O)[C@@H](C)N(C)CC2CCCCC2)c1C ZINC000532755473 336269688 /nfs/dbraw/zinc/26/96/88/336269688.db2.gz ANOXKRUXWFKNLB-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CCSCC[C@H](C)[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1 ZINC000352833842 336270966 /nfs/dbraw/zinc/27/09/66/336270966.db2.gz IDTPFMNKMGPJQH-JTQLQIEISA-N 0 3 307.381 4.032 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C2CCC(C)CC2)c(Cl)c1 ZINC000104881320 336271313 /nfs/dbraw/zinc/27/13/13/336271313.db2.gz JFSOFYLUHPPZSJ-UHFFFAOYSA-N 0 3 322.880 4.406 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccc2c(c1)CCC2 ZINC000324904038 336272772 /nfs/dbraw/zinc/27/27/72/336272772.db2.gz WDCUOGXRCNNHPC-HUUCEWRRSA-N 0 3 323.436 4.358 20 0 DIADHN Clc1cccc([C@H](NC[C@@H]2CCCOC2)c2ccccc2)c1 ZINC000532880185 336274301 /nfs/dbraw/zinc/27/43/01/336274301.db2.gz FKKKBHZKQANRBF-HNAYVOBHSA-N 0 3 315.844 4.446 20 0 DIADHN Clc1cccc([C@@H](NC[C@@H]2CCCOC2)c2ccccc2)c1 ZINC000532880186 336274349 /nfs/dbraw/zinc/27/43/49/336274349.db2.gz FKKKBHZKQANRBF-KXBFYZLASA-N 0 3 315.844 4.446 20 0 DIADHN Cc1cnc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)cn1 ZINC000324948882 336275035 /nfs/dbraw/zinc/27/50/35/336275035.db2.gz ARZJIRHHPGQGSU-KRWDZBQOSA-N 0 3 317.436 4.095 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000526944687 336275974 /nfs/dbraw/zinc/27/59/74/336275974.db2.gz UCWHHSYFKWHCKY-STQMWFEESA-N 0 3 318.486 4.245 20 0 DIADHN C[C@H](NCC(=O)NC1CCCCC1)c1cc2ccccc2s1 ZINC000526944769 336275977 /nfs/dbraw/zinc/27/59/77/336275977.db2.gz QMGSENOHGCKDFB-ZDUSSCGKSA-N 0 3 316.470 4.001 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccc(C(F)F)cc2)cn1 ZINC000526974129 336276940 /nfs/dbraw/zinc/27/69/40/336276940.db2.gz GGXRVLXLEMSGJA-UHFFFAOYSA-N 0 3 319.399 4.155 20 0 DIADHN CCOCc1ccc(CNCc2ccc(C(F)F)cc2)cc1 ZINC000526973835 336276960 /nfs/dbraw/zinc/27/69/60/336276960.db2.gz LEIAUHRQNDNVBU-UHFFFAOYSA-N 0 3 305.368 4.451 20 0 DIADHN Cc1ccc(C[C@H](CO)N[C@@H](C)c2ccc(Cl)c(F)c2)cc1 ZINC000526966340 336277206 /nfs/dbraw/zinc/27/72/06/336277206.db2.gz CDVPPBNJKMCIKI-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@@H](N[C@@H](C(=O)Nc1ccccc1)c1ccccc1)C1CCC1 ZINC000526983163 336277934 /nfs/dbraw/zinc/27/79/34/336277934.db2.gz YDPWVUPRXQXDIJ-DNVCBOLYSA-N 0 3 308.425 4.145 20 0 DIADHN CO[C@H]1CC[C@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000527065358 336282082 /nfs/dbraw/zinc/28/20/82/336282082.db2.gz FGOHVPXLVJIZHL-ZFWWWQNUSA-N 0 3 322.861 4.121 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3ccnc3)cc2)cc1 ZINC000325296739 336282838 /nfs/dbraw/zinc/28/28/38/336282838.db2.gz OIMGNMLMMDNALL-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN CCCOc1ccc([C@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000192914567 336284202 /nfs/dbraw/zinc/28/42/02/336284202.db2.gz NNRHLABXSLGJTG-YJBOKZPZSA-N 0 3 316.445 4.022 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3ccccc32)c(OC)c1 ZINC000192932384 336285128 /nfs/dbraw/zinc/28/51/28/336285128.db2.gz QDJQOQWFUMFLAG-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3ccccc32)c(OC)c1 ZINC000192932359 336285193 /nfs/dbraw/zinc/28/51/93/336285193.db2.gz QDJQOQWFUMFLAG-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN Fc1ccc(NC2CCN(CCc3ccccc3F)CC2)cc1 ZINC000468925599 336285476 /nfs/dbraw/zinc/28/54/76/336285476.db2.gz IOGZCCCHZDXAND-UHFFFAOYSA-N 0 3 316.395 4.084 20 0 DIADHN CC[C@@H](NC[C@H](c1cccs1)N(C)C)c1ccccc1OC ZINC000192948427 336285721 /nfs/dbraw/zinc/28/57/21/336285721.db2.gz PLHNMSPSZNNORP-HZPDHXFCSA-N 0 3 318.486 4.100 20 0 DIADHN CC[C@H](NC[C@H](c1cccs1)N(C)C)c1ccccc1OC ZINC000192948418 336285834 /nfs/dbraw/zinc/28/58/34/336285834.db2.gz PLHNMSPSZNNORP-JKSUJKDBSA-N 0 3 318.486 4.100 20 0 DIADHN OCCC1CCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000583668865 336286326 /nfs/dbraw/zinc/28/63/26/336286326.db2.gz UXPTZHZZAPRSAE-UHFFFAOYSA-N 0 3 316.272 4.020 20 0 DIADHN c1coc([C@@H](CNc2ccc3ccccc3n2)N2CCCC2)c1 ZINC000301057684 336287696 /nfs/dbraw/zinc/28/76/96/336287696.db2.gz MNCKBBQNKKHQKG-QGZVFWFLSA-N 0 3 307.397 4.077 20 0 DIADHN Clc1ccccc1CN1CCC(CNc2ccccn2)CC1 ZINC000301167843 336292995 /nfs/dbraw/zinc/29/29/95/336292995.db2.gz CQYPVYZCBFAAHZ-UHFFFAOYSA-N 0 3 315.848 4.059 20 0 DIADHN CN1CCC[C@H](CNc2ccncc2Cl)[C@H]1c1cccs1 ZINC000301554279 336314610 /nfs/dbraw/zinc/31/46/10/336314610.db2.gz MYJIZTRPDBDOFM-WBMJQRKESA-N 0 3 321.877 4.292 20 0 DIADHN CN1CCC[C@@H](CNc2ccncc2Cl)[C@@H]1c1cccs1 ZINC000301554276 336314616 /nfs/dbraw/zinc/31/46/16/336314616.db2.gz MYJIZTRPDBDOFM-BLLLJJGKSA-N 0 3 321.877 4.292 20 0 DIADHN CC(C)N1CCC(Nc2nc(-c3ccc(F)cc3)cs2)CC1 ZINC000301612371 336318231 /nfs/dbraw/zinc/31/82/31/336318231.db2.gz SFDDSMCJVPOPFV-UHFFFAOYSA-N 0 3 319.449 4.234 20 0 DIADHN C[C@@H]1C[C@@H](Nc2ccnc(Cl)c2)CCN1Cc1ccccc1 ZINC000301630752 336319382 /nfs/dbraw/zinc/31/93/82/336319382.db2.gz IVFBHDSWIIQXPQ-PBHICJAKSA-N 0 3 315.848 4.200 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2ccnc(Cl)c2)CC1 ZINC000301637493 336319878 /nfs/dbraw/zinc/31/98/78/336319878.db2.gz LYUCMWYUWNAXRX-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN Clc1cc(NC[C@@H](c2cccs2)N2CCCC2)ccn1 ZINC000301637580 336319942 /nfs/dbraw/zinc/31/99/42/336319942.db2.gz XHJVDLMWLSELHH-ZDUSSCGKSA-N 0 3 307.850 4.046 20 0 DIADHN CCCc1cc(N2CC[C@@H]3OCCC[C@@H]3C2)c2ccccc2n1 ZINC000301763940 336328398 /nfs/dbraw/zinc/32/83/98/336328398.db2.gz AMZBTGBIQWIJER-QRWLVFNGSA-N 0 3 310.441 4.193 20 0 DIADHN CCc1cc(NC[C@@](C)(O)c2ccsc2)c2ccccc2n1 ZINC000301806236 336331364 /nfs/dbraw/zinc/33/13/64/336331364.db2.gz VQQCDTGZJGBCJJ-GOSISDBHSA-N 0 3 312.438 4.178 20 0 DIADHN CCCc1cc(N(C)Cc2c(C)noc2C)c2ccccc2n1 ZINC000301806975 336331490 /nfs/dbraw/zinc/33/14/90/336331490.db2.gz WAPQZQWUXUMHNR-UHFFFAOYSA-N 0 3 309.413 4.429 20 0 DIADHN Cc1cc(NC[C@@](C)(O)c2ccc(F)cc2)c2ccccc2n1 ZINC000301847911 336333892 /nfs/dbraw/zinc/33/38/92/336333892.db2.gz VFRTZXVUIYHSRX-LJQANCHMSA-N 0 3 310.372 4.002 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)CCSC)c1ccc(Cl)cc1 ZINC000514101894 336341962 /nfs/dbraw/zinc/34/19/62/336341962.db2.gz IDIMAKDJXGQOHK-WFASDCNBSA-N 0 3 301.883 4.101 20 0 DIADHN COc1ccc(CN(Cc2cccc(F)c2)C2CCCC2)nc1 ZINC000514103015 336345592 /nfs/dbraw/zinc/34/55/92/336345592.db2.gz YUHJUCLETWXMSX-UHFFFAOYSA-N 0 3 314.404 4.174 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@H](c2ncc[nH]2)C1 ZINC000302056402 336345902 /nfs/dbraw/zinc/34/59/02/336345902.db2.gz QRZXBLPBGIVMSP-HNNXBMFYSA-N 0 3 320.440 4.213 20 0 DIADHN Cc1ccc2nccc(N[C@@H]3CCO[C@@H]3c3ccccc3)c2c1 ZINC000302142499 336350606 /nfs/dbraw/zinc/35/06/06/336350606.db2.gz FSMOFAJPVXWCDX-WOJBJXKFSA-N 0 3 304.393 4.485 20 0 DIADHN Cc1ccc2nccc(NCCCc3nc(C(C)C)no3)c2c1 ZINC000302144866 336350716 /nfs/dbraw/zinc/35/07/16/336350716.db2.gz HBXNENSTPQBSPL-UHFFFAOYSA-N 0 3 310.401 4.094 20 0 DIADHN CC(=O)Nc1cccc(CNc2ccnc3ccc(C)cc32)c1 ZINC000302154538 336351194 /nfs/dbraw/zinc/35/11/94/336351194.db2.gz MBHGNWWWXKDYSB-UHFFFAOYSA-N 0 3 305.381 4.114 20 0 DIADHN CCc1c(C)nc2ccccc2c1N[C@H]1CCO[C@@H](C2CC2)C1 ZINC000302159814 336351489 /nfs/dbraw/zinc/35/14/89/336351489.db2.gz GRJZMNGDEFZWPS-HNAYVOBHSA-N 0 3 310.441 4.475 20 0 DIADHN Cc1cc([C@](C)(O)CNc2ccnc3ccc(C)cc32)c(C)o1 ZINC000302178954 336352638 /nfs/dbraw/zinc/35/26/38/336352638.db2.gz YKXGJNSUHAJAJM-LJQANCHMSA-N 0 3 310.397 4.073 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@H](c4ccsc4)C3)c2c1 ZINC000302208572 336353994 /nfs/dbraw/zinc/35/39/94/336353994.db2.gz FPJDEPQRSKHAQT-SFHVURJKSA-N 0 3 310.422 4.183 20 0 DIADHN Cc1ccc2nccc(NCc3cn4cccc(C)c4n3)c2c1 ZINC000302215771 336354408 /nfs/dbraw/zinc/35/44/08/336354408.db2.gz JBAAMXCAHGGSSM-UHFFFAOYSA-N 0 3 302.381 4.111 20 0 DIADHN COc1cccc2c1CCN(c1ccnc3ccc(C)cc31)C2 ZINC000302229137 336355157 /nfs/dbraw/zinc/35/51/57/336355157.db2.gz REBZRQKHKGAUTH-UHFFFAOYSA-N 0 3 304.393 4.115 20 0 DIADHN c1nc2ccccn2c1CN1CCC[C@@H](c2ccccc2)CC1 ZINC000514105509 336357604 /nfs/dbraw/zinc/35/76/04/336357604.db2.gz RMBAIBBMAPMRFV-GOSISDBHSA-N 0 3 305.425 4.104 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC([C@H]2CCCO2)CC1 ZINC000302575265 336361969 /nfs/dbraw/zinc/36/19/69/336361969.db2.gz RLMNEEQSGXIHDD-GOSISDBHSA-N 0 3 310.441 4.247 20 0 DIADHN CO[C@@H](CN1CCc2ccsc2C1)c1ccc(Cl)cc1 ZINC000514111018 336362194 /nfs/dbraw/zinc/36/21/94/336362194.db2.gz LOGVAOYTYJCIMY-HNNXBMFYSA-N 0 3 307.846 4.147 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1CCCO[C@@H]1CCCCO1 ZINC000514134129 336374583 /nfs/dbraw/zinc/37/45/83/336374583.db2.gz IICBDGHTFXCXHC-ZRGWGRIASA-N 0 3 321.436 4.067 20 0 DIADHN CN(C)CCOc1cccc(N[C@H](c2ccccc2)C2CC2)c1 ZINC000320872410 336376119 /nfs/dbraw/zinc/37/61/19/336376119.db2.gz WKZCKOMHRBTMPP-HXUWFJFHSA-N 0 3 310.441 4.190 20 0 DIADHN CCc1ccc(CNCCn2ccc3cc(Cl)ccc32)cn1 ZINC000352921180 336377239 /nfs/dbraw/zinc/37/72/39/336377239.db2.gz FMMRJBGCOANQFO-UHFFFAOYSA-N 0 3 313.832 4.042 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2ccccc2N(C)C)CC1 ZINC000352921963 336377318 /nfs/dbraw/zinc/37/73/18/336377318.db2.gz MRCWUQNBNPAOTI-UHFFFAOYSA-N 0 3 311.473 4.008 20 0 DIADHN Cc1oc(C(C)C)cc1C(=O)N(CCN(C)C)C1CCCCC1 ZINC000352959152 336379381 /nfs/dbraw/zinc/37/93/81/336379381.db2.gz VAXMROWUVBWJAP-UHFFFAOYSA-N 0 3 320.477 4.048 20 0 DIADHN CC(C)Oc1ccc(CCN[C@@H](C)c2ccncc2Cl)cc1 ZINC000514595834 336385437 /nfs/dbraw/zinc/38/54/37/336385437.db2.gz ASUOTJHVULUMSX-AWEZNQCLSA-N 0 3 318.848 4.416 20 0 DIADHN CC[C@H](CNCc1nc2ccccc2n1CC)CC(F)(F)F ZINC000402655253 336387489 /nfs/dbraw/zinc/38/74/89/336387489.db2.gz ILOMNZGCMPCXTN-LBPRGKRZSA-N 0 3 313.367 4.124 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cccc2c1OCCCO2 ZINC000532886491 336393300 /nfs/dbraw/zinc/39/33/00/336393300.db2.gz FBDVUFJFMCMMSK-SSZFMOIBSA-N 0 3 309.409 4.041 20 0 DIADHN CO[C@H](CNCc1cnc(-c2ccsc2)s1)CC(C)C ZINC000418091582 336398992 /nfs/dbraw/zinc/39/89/92/336398992.db2.gz DTEOJRVDAFAEPV-ZDUSSCGKSA-N 0 3 310.488 4.022 20 0 DIADHN CCn1cncc1CN[C@@](C)(Cc1ccccc1)c1ccccc1 ZINC000418101630 336400899 /nfs/dbraw/zinc/40/08/99/336400899.db2.gz QKKVSIQFMSVLHF-NRFANRHFSA-N 0 3 319.452 4.151 20 0 DIADHN CCOc1ccc(CNCc2ccc3[nH]c(C)cc3c2)cc1OC ZINC000353133042 336404056 /nfs/dbraw/zinc/40/40/56/336404056.db2.gz FFHOHLDYMBSPSY-UHFFFAOYSA-N 0 3 324.424 4.173 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NCc1cccc2cccnc21 ZINC000353237195 336407625 /nfs/dbraw/zinc/40/76/25/336407625.db2.gz MSKMBBBZZXFWQH-SFHVURJKSA-N 0 3 304.393 4.157 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc2c(c1)COC2 ZINC000353340812 336412548 /nfs/dbraw/zinc/41/25/48/336412548.db2.gz DDHUNYDQOJBPLF-LIRRHRJNSA-N 0 3 309.409 4.200 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2CCCc3ccccc32)c1 ZINC000353454481 336418753 /nfs/dbraw/zinc/41/87/53/336418753.db2.gz KRRIDHVPXRIIER-BLLLJJGKSA-N 0 3 315.438 4.263 20 0 DIADHN CC[C@@H](NCc1ccccc1OCCOC)c1cccc(C)c1 ZINC000353458608 336418796 /nfs/dbraw/zinc/41/87/96/336418796.db2.gz CXXHIXBRLGUQLS-LJQANCHMSA-N 0 3 313.441 4.261 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCCO2)c1cccc(C)c1 ZINC000353500648 336421079 /nfs/dbraw/zinc/42/10/79/336421079.db2.gz GRTZHVORNOBHEE-SFHVURJKSA-N 0 3 311.425 4.397 20 0 DIADHN Cc1ncc(CNCCCO[C@H]2CCCc3ccccc32)s1 ZINC000113955727 336422961 /nfs/dbraw/zinc/42/29/61/336422961.db2.gz SGQQCWFEHCZBQK-SFHVURJKSA-N 0 3 316.470 4.025 20 0 DIADHN CC[C@]1(CN[C@@H]2CCCc3occc32)OCCc2ccccc21 ZINC000353568976 336425670 /nfs/dbraw/zinc/42/56/70/336425670.db2.gz QGWMLYRZFXAOFY-UYAOXDASSA-N 0 3 311.425 4.125 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@H](CCC(C)C)c2ccoc2)C1 ZINC000353595793 336427540 /nfs/dbraw/zinc/42/75/40/336427540.db2.gz OYHDPZCYVIBPNW-HYVNUMGLSA-N 0 3 307.434 4.078 20 0 DIADHN COC[C@@H](NC[C@@H](C)c1nc(C)cs1)c1cccc(Cl)c1 ZINC000353671733 336433705 /nfs/dbraw/zinc/43/37/05/336433705.db2.gz BUQKSHXIZUQBSO-IAQYHMDHSA-N 0 3 324.877 4.186 20 0 DIADHN CCOC[C@@H](C)N[C@@H](CC)c1ccc(OC(F)(F)F)cc1 ZINC000353684489 336434796 /nfs/dbraw/zinc/43/47/96/336434796.db2.gz SNDKUMBDKJYLFC-RISCZKNCSA-N 0 3 305.340 4.051 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C[S@](C)=O)cc2)cc1C ZINC000353803344 336441148 /nfs/dbraw/zinc/44/11/48/336441148.db2.gz KFRCTZLLIZUXKB-ZHRRBRCNSA-N 0 3 315.482 4.033 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(C[S@@](C)=O)cc2)c(C)c1 ZINC000353814357 336442075 /nfs/dbraw/zinc/44/20/75/336442075.db2.gz ZSUZPCHNLMPPHM-KSFYIVLOSA-N 0 3 315.482 4.033 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCC1(C(F)(F)F)CCC1)CCCO2 ZINC000353948877 336450860 /nfs/dbraw/zinc/45/08/60/336450860.db2.gz XXUSZCRQZQBROH-ZDUSSCGKSA-N 0 3 317.326 4.362 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccc2n[nH]cc2c1 ZINC000353970649 336451799 /nfs/dbraw/zinc/45/17/99/336451799.db2.gz FXQBUBJBBFISEB-CWTRNNRKSA-N 0 3 309.388 4.430 20 0 DIADHN CC[C@@H](NCC1(C(F)(F)F)CC1)c1cc(C)ccc1OC ZINC000353989195 336453043 /nfs/dbraw/zinc/45/30/43/336453043.db2.gz ZZFQMIHVFPDVLV-CYBMUJFWSA-N 0 3 301.352 4.387 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353998002 336453313 /nfs/dbraw/zinc/45/33/13/336453313.db2.gz UFIZAQMLXUVOCO-NXEZZACHSA-N 0 3 300.431 4.048 20 0 DIADHN CSCc1ccc(CN[C@@H](c2cccnc2)C(C)C)cc1 ZINC000353996944 336453380 /nfs/dbraw/zinc/45/33/80/336453380.db2.gz PTTPNJWFSLEUOT-GOSISDBHSA-N 0 3 300.471 4.432 20 0 DIADHN CCCNc1ccc(CNCc2ccc(OC)c(Cl)c2)cc1 ZINC000193150825 336461607 /nfs/dbraw/zinc/46/16/07/336461607.db2.gz LWQXUHSLGPHVOV-UHFFFAOYSA-N 0 3 318.848 4.460 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1cccnc1 ZINC000354974713 336489683 /nfs/dbraw/zinc/48/96/83/336489683.db2.gz XZIUCXSIPWQUDZ-IGCXYCKISA-N 0 3 304.821 4.162 20 0 DIADHN Clc1ccc([C@@H](Cc2cccnc2)NCc2cccnc2)cc1 ZINC000354975462 336489687 /nfs/dbraw/zinc/48/96/87/336489687.db2.gz YVMBJXROYILOCZ-LJQANCHMSA-N 0 3 323.827 4.204 20 0 DIADHN C[C@H](NC1CCC2(CCOCC2)CC1)c1nc2c(s1)CCC2 ZINC000355110302 336494997 /nfs/dbraw/zinc/49/49/97/336494997.db2.gz QPBVAHJYTIHMML-ZDUSSCGKSA-N 0 3 320.502 4.022 20 0 DIADHN C[C@H](N[C@@H](C)C1CCSCC1)c1nc(C(F)(F)F)cs1 ZINC000355122499 336495427 /nfs/dbraw/zinc/49/54/27/336495427.db2.gz MHIYAMKNJYSJHR-IUCAKERBSA-N 0 3 324.437 4.344 20 0 DIADHN CC[C@H](NCC[C@H](C)OCc1ccccc1)c1c(C)noc1C ZINC000355260177 336501242 /nfs/dbraw/zinc/50/12/42/336501242.db2.gz AMPWUABDEDJRPH-KSSFIOAISA-N 0 3 316.445 4.328 20 0 DIADHN Cc1cnc(C(C)(C)NCC[C@@H](C)OCc2ccccc2)s1 ZINC000355297641 336503232 /nfs/dbraw/zinc/50/32/32/336503232.db2.gz VBPRECKXTYDMTB-CQSZACIVSA-N 0 3 318.486 4.272 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1nc2ccccc2n1C1CC1 ZINC000355513004 336512517 /nfs/dbraw/zinc/51/25/17/336512517.db2.gz MMYGUPFAZDCPCM-NSHDSACASA-N 0 3 311.351 4.192 20 0 DIADHN Fc1ccc(CC2(CNCc3csc(C4CC4)n3)CC2)cc1 ZINC000356301780 336545247 /nfs/dbraw/zinc/54/52/47/336545247.db2.gz GVMICHXUMXSNFD-UHFFFAOYSA-N 0 3 316.445 4.272 20 0 DIADHN CCn1nccc1C1CCN(Cc2ccc(SC)s2)CC1 ZINC000356419091 336549089 /nfs/dbraw/zinc/54/90/89/336549089.db2.gz GXJXUJJFPOLBOW-UHFFFAOYSA-N 0 3 321.515 4.066 20 0 DIADHN Cc1cc(CN2CCC(n3cc(Cl)cn3)CC2)c(C)s1 ZINC000356433593 336549622 /nfs/dbraw/zinc/54/96/22/336549622.db2.gz LQIJKOZWFQYSCY-UHFFFAOYSA-N 0 3 309.866 4.052 20 0 DIADHN c1ccc2c(c1)CCCC[C@H]2NCc1ccc2c(c1)OCCCO2 ZINC000356634995 336556801 /nfs/dbraw/zinc/55/68/01/336556801.db2.gz NMTPIDJRRZMUNF-LJQANCHMSA-N 0 3 323.436 4.405 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCc3nc(C)sc32)c1 ZINC000356765613 336560297 /nfs/dbraw/zinc/56/02/97/336560297.db2.gz DTCCDRVNSLDDFD-ABAIWWIYSA-N 0 3 302.443 4.188 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCCc3nc(C)sc32)c1 ZINC000356765615 336560334 /nfs/dbraw/zinc/56/03/34/336560334.db2.gz DTCCDRVNSLDDFD-NHYWBVRUSA-N 0 3 302.443 4.188 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCCc1nc(C)cs1 ZINC000356864297 336563833 /nfs/dbraw/zinc/56/38/33/336563833.db2.gz KOGMKVSLEFUOMT-AWEZNQCLSA-N 0 3 303.475 4.082 20 0 DIADHN CC[C@H](NCCOCc1ccc(OC)cc1)c1ccc(F)cc1 ZINC000357079356 336572931 /nfs/dbraw/zinc/57/29/31/336572931.db2.gz WJYSCVAIQHIVQY-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](NCc1ccnc(SC)c1)c1cccc(OC)c1 ZINC000357841016 336601015 /nfs/dbraw/zinc/60/10/15/336601015.db2.gz OMDSLWDZOQFTLP-INIZCTEOSA-N 0 3 302.443 4.053 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(-c2csc(C)n2)o1 ZINC000358018894 336606533 /nfs/dbraw/zinc/60/65/33/336606533.db2.gz JWQSTFHEVYFXNC-CYBMUJFWSA-N 0 3 308.447 4.006 20 0 DIADHN C[C@@H]1C[C@@H](NCCOc2cccc(F)c2)c2ccsc2S1 ZINC000358018932 336606585 /nfs/dbraw/zinc/60/65/85/336606585.db2.gz JKPXKPDWDGKHIT-IAQYHMDHSA-N 0 3 323.458 4.481 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1cnc3ccccc3c1)CCC2 ZINC000358043086 336608144 /nfs/dbraw/zinc/60/81/44/336608144.db2.gz GWHKVDBRHPAZOZ-MRXNPFEDSA-N 0 3 323.465 4.421 20 0 DIADHN CC[C@H](N[C@@H](C)COCCOC)c1ccc(Cl)cc1Cl ZINC000358195153 336616311 /nfs/dbraw/zinc/61/63/11/336616311.db2.gz USWKZDFCCWLGSY-NHYWBVRUSA-N 0 3 320.260 4.086 20 0 DIADHN CO[C@@H](CN[C@H]1CCSc2ccc(F)cc21)c1ccccc1 ZINC000358216128 336617313 /nfs/dbraw/zinc/61/73/13/336617313.db2.gz HGALGMMSQGEQEG-IRXDYDNUSA-N 0 3 317.429 4.340 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C)c(OC)c1)CCC2 ZINC000358219701 336617575 /nfs/dbraw/zinc/61/75/75/336617575.db2.gz JFYWGWJRZRUZLB-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)Cc2occc21)[C@@H]1COc2ccccc21 ZINC000358224087 336617861 /nfs/dbraw/zinc/61/78/61/336617861.db2.gz KDNFNKKZRQACJL-RRQGHBQHSA-N 0 3 311.425 4.447 20 0 DIADHN CO[C@H](CN[C@@H]1CCCOc2ccc(C)cc21)c1ccccc1 ZINC000358227554 336618052 /nfs/dbraw/zinc/61/80/52/336618052.db2.gz UNRGGYWAJYPNEJ-UYAOXDASSA-N 0 3 311.425 4.186 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCCSCC(F)(F)F)C1 ZINC000358297864 336622371 /nfs/dbraw/zinc/62/23/71/336622371.db2.gz KLDXXUJKLSLADY-NSHDSACASA-N 0 3 307.381 4.178 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2c(c1)COC2 ZINC000358319208 336623856 /nfs/dbraw/zinc/62/38/56/336623856.db2.gz NUEHEAFVACDALF-IBGZPJMESA-N 0 3 309.409 4.029 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(-n2ccnc2)cc1 ZINC000358353265 336625703 /nfs/dbraw/zinc/62/57/03/336625703.db2.gz JUSPIEMPBFCIAV-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](c1cccnc1)C1CC1)CCC2 ZINC000358365274 336626468 /nfs/dbraw/zinc/62/64/68/336626468.db2.gz INUMPHXIHBSALK-NVXWUHKLSA-N 0 3 313.470 4.219 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](c1cccnc1)C1CC1)CCC2 ZINC000358365290 336626501 /nfs/dbraw/zinc/62/65/01/336626501.db2.gz INUMPHXIHBSALK-WBVHZDCISA-N 0 3 313.470 4.219 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000358760564 336642288 /nfs/dbraw/zinc/64/22/88/336642288.db2.gz VJTQUFZDLKWQQR-WMZOPIPTSA-N 0 3 315.436 4.314 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000358914604 336647304 /nfs/dbraw/zinc/64/73/04/336647304.db2.gz LXEASQRYVXLPPN-FUHWJXTLSA-N 0 3 315.848 4.192 20 0 DIADHN COCCCC[C@@H](N[C@H](C)c1cncs1)c1ccccc1 ZINC000359015311 336653281 /nfs/dbraw/zinc/65/32/81/336653281.db2.gz CLWYSCXFQYAELX-GDBMZVCRSA-N 0 3 304.459 4.352 20 0 DIADHN CC(C)(C)CC[C@H](CO)N[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000359078529 336656713 /nfs/dbraw/zinc/65/67/13/336656713.db2.gz DCISSWUAXUMYDQ-NVXWUHKLSA-N 0 3 317.473 4.210 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(NC(C)=O)cc2)cc1 ZINC000359205742 336662867 /nfs/dbraw/zinc/66/28/67/336662867.db2.gz FNGDXFOKABCCDI-KBPBESRZSA-N 0 3 312.413 4.065 20 0 DIADHN CC(C)[C@@H](NCc1cccs1)c1ccc2[nH]c(=O)oc2c1 ZINC000359270026 336665183 /nfs/dbraw/zinc/66/51/83/336665183.db2.gz ZLKVBCMPSWTLHJ-OAHLLOKOSA-N 0 3 302.399 4.082 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@@H]1CCCc2nc(C)sc21 ZINC000359297473 336666384 /nfs/dbraw/zinc/66/63/84/336666384.db2.gz DKMIXHKFKFKUMA-XHDPSFHLSA-N 0 3 301.459 4.137 20 0 DIADHN CC[C@@H](NCCOCC(F)(F)F)c1cccc(Cl)c1F ZINC000359409388 336671527 /nfs/dbraw/zinc/67/15/27/336671527.db2.gz CGGPDFHHSPTBFR-LLVKDONJSA-N 0 3 313.722 4.099 20 0 DIADHN CC[C@@H](NC[C@H](c1ccco1)N(C)C)c1cccc(Cl)c1F ZINC000359437687 336673112 /nfs/dbraw/zinc/67/31/12/336673112.db2.gz YTFQOFUTPCTQJF-HUUCEWRRSA-N 0 3 324.827 4.416 20 0 DIADHN CC[C@H](NC[C@@H](c1cccs1)N(C)C)c1ccc(F)cc1F ZINC000359598611 336679587 /nfs/dbraw/zinc/67/95/87/336679587.db2.gz SZAHMNBCFMQNEW-HOTGVXAUSA-N 0 3 324.440 4.370 20 0 DIADHN CCC[C@@H](NCc1cccnc1N(C)C)c1ccc(Cl)cc1 ZINC000360045374 336699146 /nfs/dbraw/zinc/69/91/46/336699146.db2.gz KMTYKMLKPNNQRL-QGZVFWFLSA-N 0 3 317.864 4.432 20 0 DIADHN CC1CCC(OC2CCN(C[C@H]3CCC(C)(C)O3)CC2)CC1 ZINC000360055027 336699554 /nfs/dbraw/zinc/69/95/54/336699554.db2.gz NZLICSVBJBBUJY-LEOMRAHMSA-N 0 3 309.494 4.004 20 0 DIADHN CCC[C@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1ccccc1 ZINC000360578358 336715046 /nfs/dbraw/zinc/71/50/46/336715046.db2.gz GJICVXUCJBRQDN-PMACEKPBSA-N 0 3 324.468 4.337 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c1C ZINC000360589194 336715504 /nfs/dbraw/zinc/71/55/04/336715504.db2.gz PCDOPCRTLIIYAX-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN CC[C@@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1cccs1 ZINC000360596080 336715857 /nfs/dbraw/zinc/71/58/57/336715857.db2.gz GXXYHOCHAFZIQD-HZPDHXFCSA-N 0 3 316.470 4.008 20 0 DIADHN CC[C@@H](NCc1ccccc1N1CCCCC1)c1ccncc1 ZINC000360894606 336726262 /nfs/dbraw/zinc/72/62/62/336726262.db2.gz VEVWFWCLMJRITN-LJQANCHMSA-N 0 3 309.457 4.313 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@@H](CC(=O)NC)c2ccccc2)cc1 ZINC000360920315 336727484 /nfs/dbraw/zinc/72/74/84/336727484.db2.gz PQGFMEOXMLVUGN-UXHICEINSA-N 0 3 324.468 4.167 20 0 DIADHN COc1ccc(CCN[C@H](C)c2csc(C)n2)c(Cl)c1 ZINC000361000067 336731893 /nfs/dbraw/zinc/73/18/93/336731893.db2.gz XNNBCOLYBWUYFM-SNVBAGLBSA-N 0 3 310.850 4.007 20 0 DIADHN Cc1nc([C@H](C)NCC2(c3ccc(F)cc3)CCC2)cs1 ZINC000361112661 336739157 /nfs/dbraw/zinc/73/91/57/336739157.db2.gz VHTZSKZWAQZQLN-LBPRGKRZSA-N 0 3 304.434 4.363 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC)c2ccc(F)cc2)cn1 ZINC000361231627 336745468 /nfs/dbraw/zinc/74/54/68/336745468.db2.gz JKTSJMDRRIBDKO-KRWDZBQOSA-N 0 3 302.393 4.250 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@@H](CC(F)(F)F)c1ccccc1 ZINC000361305870 336749900 /nfs/dbraw/zinc/74/99/00/336749900.db2.gz PTPVEAZAQYMQOE-CABCVRRESA-N 0 3 303.368 4.067 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]1c1ccccc1)c1cccc(N2CCCC2=O)c1 ZINC000361345041 336752595 /nfs/dbraw/zinc/75/25/95/336752595.db2.gz CKGZFAYRSXJWPY-CWFSZBLJSA-N 0 3 320.436 4.020 20 0 DIADHN C[C@](O)(CN[C@@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000361350095 336752806 /nfs/dbraw/zinc/75/28/06/336752806.db2.gz BXXPUFOVIMUEOE-YGRLFVJLSA-N 0 3 320.285 4.183 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cccc(-n3ccnc3)c2)cc1 ZINC000361482245 336758546 /nfs/dbraw/zinc/75/85/46/336758546.db2.gz WDYGERCMBBPOLF-INIZCTEOSA-N 0 3 321.424 4.122 20 0 DIADHN CCc1cnc(CNCCc2c(C)oc3ccccc32)s1 ZINC000361745257 336771009 /nfs/dbraw/zinc/77/10/09/336771009.db2.gz PYNVRFNGPFFYPQ-UHFFFAOYSA-N 0 3 300.427 4.092 20 0 DIADHN CC(C)[C@H](O)CCN[C@H]1CCCSc2ccc(Cl)cc21 ZINC000361765436 336772120 /nfs/dbraw/zinc/77/21/20/336772120.db2.gz PEKTUCDHPIRYRH-LSDHHAIUSA-N 0 3 313.894 4.264 20 0 DIADHN CC(C)[C@@H](O)CCN[C@@H]1CCCSc2ccc(Cl)cc21 ZINC000361765431 336772123 /nfs/dbraw/zinc/77/21/23/336772123.db2.gz PEKTUCDHPIRYRH-CABCVRRESA-N 0 3 313.894 4.264 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1cnc2ccsc2c1 ZINC000361875797 336776222 /nfs/dbraw/zinc/77/62/22/336776222.db2.gz BANULQPEHZVYRO-CJNGLKHVSA-N 0 3 312.438 4.071 20 0 DIADHN C[C@@H]1C[C@H](NC[C@]2(C)CCCC[C@@H]2O)c2ccsc2S1 ZINC000361966780 336780350 /nfs/dbraw/zinc/78/03/50/336780350.db2.gz OIWJPKKTUYCADN-DSRCVFDASA-N 0 3 311.516 4.204 20 0 DIADHN CC[C@H](NC[C@H]1CCCS1)c1ccccc1OC(F)F ZINC000362018766 336782199 /nfs/dbraw/zinc/78/21/99/336782199.db2.gz TXBSEAHRZKWEBZ-YPMHNXCESA-N 0 3 301.402 4.224 20 0 DIADHN c1csc([C@H](CNc2nccc3occc32)N2CCCC2)c1 ZINC000362820601 336812625 /nfs/dbraw/zinc/81/26/25/336812625.db2.gz PLKPXEHUKSXLNT-AWEZNQCLSA-N 0 3 313.426 4.138 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(n3cc(Cl)cn3)CC1)CC2 ZINC000368006850 336834245 /nfs/dbraw/zinc/83/42/45/336834245.db2.gz OAJBWWCGLOLFOU-KRWDZBQOSA-N 0 3 319.811 4.000 20 0 DIADHN Cc1cccc2nc(C3CCN(Cc4cnccc4C)CC3)oc21 ZINC000368814217 336853232 /nfs/dbraw/zinc/85/32/32/336853232.db2.gz PQRLFDDOBKGNET-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1nccn1C ZINC000369704092 336869862 /nfs/dbraw/zinc/86/98/62/336869862.db2.gz CPXKHUQVSXXIFT-BPUTZDHNSA-N 0 3 303.837 4.060 20 0 DIADHN c1cnn(Cc2ccccc2CN[C@@H]2CCCc3sccc32)c1 ZINC000119276011 336883872 /nfs/dbraw/zinc/88/38/72/336883872.db2.gz DBEKNDAOSJAOGZ-GOSISDBHSA-N 0 3 323.465 4.160 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](c2ccccc2)C2CCOCC2)c1 ZINC000370421648 336884702 /nfs/dbraw/zinc/88/47/02/336884702.db2.gz PEKSPTZMVLVOFS-JXFKEZNVSA-N 0 3 310.441 4.209 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](CC)c2c(C)nn(C)c2C)cc1 ZINC000119391492 336886748 /nfs/dbraw/zinc/88/67/48/336886748.db2.gz WQMKGJQCGDSHJH-FZKQIMNGSA-N 0 3 315.461 4.238 20 0 DIADHN C[C@@H](NCc1ccc(Cl)nc1Cl)[C@H]1COc2ccccc21 ZINC000370599253 336888327 /nfs/dbraw/zinc/88/83/27/336888327.db2.gz WRFREDINSPDFPO-ZWNOBZJWSA-N 0 3 323.223 4.043 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CCOCC1)c1cncc(F)c1 ZINC000370619608 336888498 /nfs/dbraw/zinc/88/84/98/336888498.db2.gz CLZHJGDLHCHSSS-LIRRHRJNSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCCc3nc(C)sc31)CCC2 ZINC000371610017 336909076 /nfs/dbraw/zinc/90/90/76/336909076.db2.gz YOTJSCGZMXJZBP-OKILXGFUSA-N 0 3 319.499 4.261 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1ccc2ncccc2c1 ZINC000389939702 336926967 /nfs/dbraw/zinc/92/69/67/336926967.db2.gz BSVHCINZJGSXJD-UHFFFAOYSA-N 0 3 320.436 4.320 20 0 DIADHN CC[C@H](NCc1coc2ccccc12)c1ccc2c(c1)OCCO2 ZINC000121089287 336928733 /nfs/dbraw/zinc/92/87/33/336928733.db2.gz BTQXLEUCUZGFTC-KRWDZBQOSA-N 0 3 323.392 4.445 20 0 DIADHN c1ccc([C@H](NC[C@@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000193444553 336930073 /nfs/dbraw/zinc/93/00/73/336930073.db2.gz SZCKOMZNABSHTO-RXVVDRJESA-N 0 3 300.405 4.101 20 0 DIADHN C[C@H](NCCCOCc1ccccc1)c1c(F)cccc1F ZINC000390057807 336934270 /nfs/dbraw/zinc/93/42/70/336934270.db2.gz PPUZNAWIVDOQMS-AWEZNQCLSA-N 0 3 305.368 4.222 20 0 DIADHN C[C@H]1C[C@@H](NCc2cnn(Cc3ccccc3)c2)c2ccccc21 ZINC000390407360 336939946 /nfs/dbraw/zinc/93/99/46/336939946.db2.gz CANBLKCWBFAEPJ-HRAATJIYSA-N 0 3 317.436 4.270 20 0 DIADHN C[C@@H]1C[C@H](NCc2cnn(Cc3ccccc3)c2)c2ccccc21 ZINC000390407361 336939969 /nfs/dbraw/zinc/93/99/69/336939969.db2.gz CANBLKCWBFAEPJ-IERDGZPVSA-N 0 3 317.436 4.270 20 0 DIADHN CC1(c2ccccc2)CC(N[C@@H]2CCOc3ccc(F)cc32)C1 ZINC000582377964 336949765 /nfs/dbraw/zinc/94/97/65/336949765.db2.gz ITYUOUYUMSHDAM-KGXSXCIVSA-N 0 3 311.400 4.359 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@H](c3ncccc3C)C2)cs1 ZINC000374258165 336985094 /nfs/dbraw/zinc/98/50/94/336985094.db2.gz OBJRGFAMHFERRA-GJZGRUSLSA-N 0 3 315.486 4.225 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCCc2nc(C)sc21 ZINC000121945846 336987113 /nfs/dbraw/zinc/98/71/13/336987113.db2.gz CBXYOKZNXWJACU-ZBFHGGJFSA-N 0 3 314.454 4.115 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)CC2 ZINC000374490321 336990469 /nfs/dbraw/zinc/99/04/69/336990469.db2.gz XAJMFLDSIIAROV-DBVUQKKJSA-N 0 3 311.400 4.180 20 0 DIADHN CC(C)n1ncc2c1[C@H](N[C@H](c1cccnc1)C1CCC1)CCC2 ZINC000375637533 337015414 /nfs/dbraw/zinc/01/54/14/337015414.db2.gz XNPOJJLEJPGUBW-MOPGFXCFSA-N 0 3 324.472 4.367 20 0 DIADHN C[C@H](NCCCC(C)(C)CO)c1nc(-c2ccccc2)cs1 ZINC000305150098 337015738 /nfs/dbraw/zinc/01/57/38/337015738.db2.gz OHYHSGCNUFQHLU-AWEZNQCLSA-N 0 3 318.486 4.259 20 0 DIADHN CC(C)(C)OC(=O)Nc1ncc(CN2CCCC23CCC3)s1 ZINC000375708639 337017304 /nfs/dbraw/zinc/01/73/04/337017304.db2.gz WMNQGFBKNHFJQH-UHFFFAOYSA-N 0 3 323.462 4.009 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375808474 337020262 /nfs/dbraw/zinc/02/02/62/337020262.db2.gz RXOHCFQOVGAWEO-YESZJQIVSA-N 0 3 319.493 4.214 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@H](c2ncc[nH]2)C2CCCCC2)CCO1 ZINC000375890336 337022204 /nfs/dbraw/zinc/02/22/04/337022204.db2.gz RYCOSZRWFXQHLG-KSZLIROESA-N 0 3 319.493 4.214 20 0 DIADHN CC(C)C[C@H](NCC1(c2ccccn2)CCC1)c1ccccn1 ZINC000376135541 337028095 /nfs/dbraw/zinc/02/80/95/337028095.db2.gz YSGNWWVWCTXAKR-SFHVURJKSA-N 0 3 309.457 4.275 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(OC(F)F)ccc21)c1cncs1 ZINC000122990322 337031509 /nfs/dbraw/zinc/03/15/09/337031509.db2.gz RMMURQHWWUUMPH-IINYFYTJSA-N 0 3 324.396 4.473 20 0 DIADHN CC(C)COC[C@@H](NC1CCC2(CCCO2)CC1)c1ccco1 ZINC000376407296 337032810 /nfs/dbraw/zinc/03/28/10/337032810.db2.gz HWPGTPCNTNXFSR-TVRKMHQQSA-N 0 3 321.461 4.075 20 0 DIADHN Cc1cn2c(n1)[C@H](CN[C@@H](C)c1csc(Cl)c1)CCC2 ZINC000376995236 337044830 /nfs/dbraw/zinc/04/48/30/337044830.db2.gz OHTXPHYRIVEOJW-RYUDHWBXSA-N 0 3 309.866 4.135 20 0 DIADHN Cc1cc(C)cc([C@H](NC[C@@H]2CCSC2)c2cccnc2)c1 ZINC000377081860 337046497 /nfs/dbraw/zinc/04/64/97/337046497.db2.gz JKYZNKCPVAUONG-QFBILLFUSA-N 0 3 312.482 4.131 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(C/C=C/c2ccc(F)c(F)c2)C1 ZINC000582453571 337053563 /nfs/dbraw/zinc/05/35/63/337053563.db2.gz QGGOWXUJEJPICZ-KHEXIYRESA-N 0 3 314.379 4.456 20 0 DIADHN CCc1noc(CC)c1CNC1CC(c2cc(F)cc(F)c2)C1 ZINC000582453373 337054227 /nfs/dbraw/zinc/05/42/27/337054227.db2.gz HWIZRKBMCPMZPM-UHFFFAOYSA-N 0 3 320.383 4.113 20 0 DIADHN Cc1ccncc1CCCN[C@@H](c1ccccc1)c1ccccn1 ZINC000377550180 337054838 /nfs/dbraw/zinc/05/48/38/337054838.db2.gz ODKVGIUHJRGBDZ-NRFANRHFSA-N 0 3 317.436 4.097 20 0 DIADHN Cc1ccncc1CCCN[C@H](c1ccccc1)c1ccccn1 ZINC000377550181 337054936 /nfs/dbraw/zinc/05/49/36/337054936.db2.gz ODKVGIUHJRGBDZ-OAQYLSRUSA-N 0 3 317.436 4.097 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1cccc(-c2cccnc2)c1 ZINC000377689938 337058642 /nfs/dbraw/zinc/05/86/42/337058642.db2.gz FLAULCXTIUCLDX-UHFFFAOYSA-N 0 3 304.393 4.086 20 0 DIADHN CCC(CC)CN1CCCC[C@@H]1c1nnc(CC(F)(F)F)o1 ZINC000377710974 337059435 /nfs/dbraw/zinc/05/94/35/337059435.db2.gz YMLBLGPGFQUGAA-GFCCVEGCSA-N 0 3 319.371 4.138 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN[C@@H](c3cccnc3)C3CCC3)O2)cc1 ZINC000377796515 337061374 /nfs/dbraw/zinc/06/13/74/337061374.db2.gz PJAOTCRQDVLTHU-QHAWAJNXSA-N 0 3 322.452 4.433 20 0 DIADHN Cc1cn2c(n1)[C@H](CNCc1c(Cl)cccc1Cl)CCC2 ZINC000377838306 337062375 /nfs/dbraw/zinc/06/23/75/337062375.db2.gz XNHOQBNYRAQDDH-LBPRGKRZSA-N 0 3 324.255 4.166 20 0 DIADHN Cc1ccccc1CN[C@H]1c2ccccc2CC[C@H]1n1ccnc1 ZINC000377865834 337062822 /nfs/dbraw/zinc/06/28/22/337062822.db2.gz VAHCIEQGRIECSW-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@@H](C3CC3)C2)cs1 ZINC000378320861 337069891 /nfs/dbraw/zinc/06/98/91/337069891.db2.gz RBUMWUHUCLWLOD-CQSZACIVSA-N 0 3 314.454 4.051 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC000378348653 337070520 /nfs/dbraw/zinc/07/05/20/337070520.db2.gz HEIQJANQFASDSS-LJQANCHMSA-N 0 3 322.452 4.100 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccccc1-c1cnn(C)c1)CC2 ZINC000378396697 337071822 /nfs/dbraw/zinc/07/18/22/337071822.db2.gz BOCYETNAXQWJES-NRFANRHFSA-N 0 3 317.436 4.173 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCN(C(C)=O)c3ccccc32)cc1 ZINC000378400277 337071860 /nfs/dbraw/zinc/07/18/60/337071860.db2.gz HTMVWVVOTBQGRR-MGPUTAFESA-N 0 3 322.452 4.398 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000378480455 337073955 /nfs/dbraw/zinc/07/39/55/337073955.db2.gz BAFJMEGTBJVFQQ-BZUAXINKSA-N 0 3 314.429 4.027 20 0 DIADHN Fc1ccccc1COC1CCN(Cc2cccs2)CC1 ZINC000378542071 337075474 /nfs/dbraw/zinc/07/54/74/337075474.db2.gz TVDVYSJRDNHBCO-UHFFFAOYSA-N 0 3 305.418 4.068 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccccc2COC)cc1 ZINC000193893660 337079892 /nfs/dbraw/zinc/07/98/92/337079892.db2.gz NXZHSJXDGQFWEN-INIZCTEOSA-N 0 3 313.441 4.473 20 0 DIADHN CSC1(CN[C@H](C)c2cc(Br)ccc2F)CC1 ZINC000193823115 337080435 /nfs/dbraw/zinc/08/04/35/337080435.db2.gz ISYUJKKVJIWSNA-SECBINFHSA-N 0 3 318.255 4.134 20 0 DIADHN Cc1cc(CN(C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)nn1C ZINC000486805400 337080532 /nfs/dbraw/zinc/08/05/32/337080532.db2.gz UTUWGPQBQIMPDP-ZIAGYGMSSA-N 0 3 305.853 4.006 20 0 DIADHN C[C@H](NCc1ccsc1)c1cc(Br)cs1 ZINC000193972453 337080885 /nfs/dbraw/zinc/08/08/85/337080885.db2.gz YGPSNKJGEKWGEV-QMMMGPOBSA-N 0 3 302.262 4.423 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1cc(Br)cs1 ZINC000194007720 337081031 /nfs/dbraw/zinc/08/10/31/337081031.db2.gz HMLUBVKWFIZWLB-JTQLQIEISA-N 0 3 318.280 4.120 20 0 DIADHN CC(C)C[C@@H](NC[C@H]1OCCc2ccccc21)c1ccccn1 ZINC000360060034 337084779 /nfs/dbraw/zinc/08/47/79/337084779.db2.gz PGTYLNNXNGZEOZ-WOJBJXKFSA-N 0 3 310.441 4.072 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CC2CCOCC2)c1 ZINC000172007480 337090911 /nfs/dbraw/zinc/09/09/11/337090911.db2.gz JPHBCMGIGYEAHX-QGZVFWFLSA-N 0 3 319.495 4.184 20 0 DIADHN CCN(CC)[C@@H](CNCc1sccc1Cl)c1ccco1 ZINC000486937005 337091445 /nfs/dbraw/zinc/09/14/45/337091445.db2.gz KUQIYAJPMSMMQI-ZDUSSCGKSA-N 0 3 312.866 4.167 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](N[C@@H](C)c2cscn2)C1 ZINC000583705041 337092498 /nfs/dbraw/zinc/09/24/98/337092498.db2.gz ZEPAODDXGNOIGL-RRFJBIMHSA-N 0 3 301.459 4.224 20 0 DIADHN Cc1ccc2c(CN3CC[C@H](C(F)(F)F)[C@H]3C)ccc(O)c2n1 ZINC000583705679 337092678 /nfs/dbraw/zinc/09/26/78/337092678.db2.gz NWJAULKKCMZFDM-RISCZKNCSA-N 0 3 324.346 4.022 20 0 DIADHN CCC[C@H](CN[C@H](c1ccccc1)c1ccc(OC)cc1)OC ZINC000393486787 337092715 /nfs/dbraw/zinc/09/27/15/337092715.db2.gz LKSPOWFTCYKJJN-WOJBJXKFSA-N 0 3 313.441 4.189 20 0 DIADHN COc1ccc(C[C@@H](C)N[C@H](C)c2ccc(F)cc2F)cc1 ZINC000393457876 337094534 /nfs/dbraw/zinc/09/45/34/337094534.db2.gz QWWRMFJKRNEIPJ-CHWSQXEVSA-N 0 3 305.368 4.255 20 0 DIADHN Cc1cnn([C@H]2CCN([C@H](C)c3ccc(C(C)(C)C)cc3)C2)c1 ZINC000582515559 337099893 /nfs/dbraw/zinc/09/98/93/337099893.db2.gz BVTBWCNJSZPNMC-APWZRJJASA-N 0 3 311.473 4.497 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2)cc1 ZINC000582716130 337124550 /nfs/dbraw/zinc/12/45/50/337124550.db2.gz BQBIZDGLLHCQJA-UZLBHIALSA-N 0 3 313.441 4.250 20 0 DIADHN O=C(Nc1ccc(C2CCC2)cc1)Nc1ccc2c(c1)CNC2 ZINC000582773974 337146768 /nfs/dbraw/zinc/14/67/68/337146768.db2.gz YMXNFPMIXRHBKY-UHFFFAOYSA-N 0 3 307.397 4.201 20 0 DIADHN COc1cccc([C@@H](C)N2CCC[C@H](c3nccs3)C2)c1 ZINC000175616002 337148464 /nfs/dbraw/zinc/14/84/64/337148464.db2.gz GIXPOHLFDOMMNO-HIFRSBDPSA-N 0 3 302.443 4.092 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3C[C@@H]4[C@H](C3)C4(C)C)o2)cc1C ZINC000176904410 337153732 /nfs/dbraw/zinc/15/37/32/337153732.db2.gz GMWLRJYTBYPUAF-BMFZPTHFSA-N 0 3 311.429 4.002 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1-n1cccn1 ZINC000177184244 337154572 /nfs/dbraw/zinc/15/45/72/337154572.db2.gz JFQLJNVNLPEQRS-LSDHHAIUSA-N 0 3 309.388 4.423 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2ccccc2-n2cccn2)cn1 ZINC000177329342 337156921 /nfs/dbraw/zinc/15/69/21/337156921.db2.gz LIAMVWAZWCRACX-HZPDHXFCSA-N 0 3 323.444 4.062 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2ccccc2-n2cccn2)cn1 ZINC000177329324 337156944 /nfs/dbraw/zinc/15/69/44/337156944.db2.gz LIAMVWAZWCRACX-HOTGVXAUSA-N 0 3 323.444 4.062 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1coc2ccccc12 ZINC000177349270 337157133 /nfs/dbraw/zinc/15/71/33/337157133.db2.gz BYYHOAJBZKJVLK-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(OC3CCCC3)CC1)CC2 ZINC000177697213 337158510 /nfs/dbraw/zinc/15/85/10/337158510.db2.gz RHIVEOLZLOTUQY-LJQANCHMSA-N 0 3 303.421 4.237 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(Cn3ccnc3)cc2)s1 ZINC000177932659 337159127 /nfs/dbraw/zinc/15/91/27/337159127.db2.gz QMUVGRKHNGIRPC-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(Cn3ccnc3)cc2)s1 ZINC000177932647 337159182 /nfs/dbraw/zinc/15/91/82/337159182.db2.gz QMUVGRKHNGIRPC-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2ccnc2)cc1)c1ccc(F)cc1 ZINC000177936103 337159314 /nfs/dbraw/zinc/15/93/14/337159314.db2.gz LIKYPIQKUKENPI-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(F)cc1)c1ccc2c(c1)OCCO2 ZINC000178251550 337161764 /nfs/dbraw/zinc/16/17/64/337161764.db2.gz AIBJUPFWXGFXAW-CXAGYDPISA-N 0 3 315.388 4.399 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2ccc3ccccc3n2)sc1C ZINC000178515926 337163408 /nfs/dbraw/zinc/16/34/08/337163408.db2.gz BAEMWOJOYJJCSC-ZDUSSCGKSA-N 0 3 311.454 4.201 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc([C@H](C)O)cc1)CCCO2 ZINC000178550552 337163611 /nfs/dbraw/zinc/16/36/11/337163611.db2.gz FTUPNQWEQFRJNU-KXBFYZLASA-N 0 3 311.425 4.052 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)c(O)c1)c1ccc(OC)cc1 ZINC000178408116 337164524 /nfs/dbraw/zinc/16/45/24/337164524.db2.gz KAJMCHIRUYTUAQ-QGZVFWFLSA-N 0 3 315.413 4.040 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2ccsc2)cc1F ZINC000178625753 337165571 /nfs/dbraw/zinc/16/55/71/337165571.db2.gz TWQKICFOPYMZPW-LBPRGKRZSA-N 0 3 315.417 4.232 20 0 DIADHN c1nc(CN[C@H](c2ccccc2)[C@H]2CCCc3ccccc32)c[nH]1 ZINC000178957266 337166609 /nfs/dbraw/zinc/16/66/09/337166609.db2.gz HUVTYOVBNPCROP-LEWJYISDSA-N 0 3 317.436 4.361 20 0 DIADHN c1ncc(CN[C@H](c2ccccc2)[C@H]2CCCc3ccccc32)[nH]1 ZINC000178957266 337166610 /nfs/dbraw/zinc/16/66/10/337166610.db2.gz HUVTYOVBNPCROP-LEWJYISDSA-N 0 3 317.436 4.361 20 0 DIADHN CCCn1ccc2cc(NC(=O)C[C@H](N)c3ccccc3)ccc21 ZINC000178817877 337167283 /nfs/dbraw/zinc/16/72/83/337167283.db2.gz HURBAJOXFARAHP-SFHVURJKSA-N 0 3 321.424 4.080 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(c2ccccc2)CC1 ZINC000179924894 337172618 /nfs/dbraw/zinc/17/26/18/337172618.db2.gz SUWPHGSNHVLWBP-UHFFFAOYSA-N 0 3 308.425 4.025 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2ccnc2)cc1)c1ccccc1F ZINC000180092372 337173641 /nfs/dbraw/zinc/17/36/41/337173641.db2.gz NTMYURAJQMAQTN-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@H](C)[C@H](C)C(=O)NC1CCN([C@@H](C)c2ccccc2)CC1 ZINC000180195084 337175302 /nfs/dbraw/zinc/17/53/02/337175302.db2.gz JENGESJYENVMRC-ULQDDVLXSA-N 0 3 316.489 4.010 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000180669241 337177043 /nfs/dbraw/zinc/17/70/43/337177043.db2.gz WIDLDZIYINWXHC-VQIMIIECSA-N 0 3 311.425 4.340 20 0 DIADHN CC[C@@H](N[C@@H](CCC(=O)OC)c1ccccc1)c1cccs1 ZINC000180665878 337177102 /nfs/dbraw/zinc/17/71/02/337177102.db2.gz CFSLKTBIGLVHQE-CVEARBPZSA-N 0 3 317.454 4.483 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1ccc(C)s1)c1ccccc1 ZINC000180671008 337177141 /nfs/dbraw/zinc/17/71/41/337177141.db2.gz DOYGWKOKGMFPBA-GDBMZVCRSA-N 0 3 317.454 4.402 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1ccccc1C)c1ccccc1 ZINC000180673182 337177188 /nfs/dbraw/zinc/17/71/88/337177188.db2.gz JUOMBQSQHLQYGT-LPHOPBHVSA-N 0 3 311.425 4.340 20 0 DIADHN COC(=O)CC[C@@H](N[C@H](C)c1sccc1C)c1ccccc1 ZINC000180673625 337177217 /nfs/dbraw/zinc/17/72/17/337177217.db2.gz JBLPTTZYWNWVGO-GDBMZVCRSA-N 0 3 317.454 4.402 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1sccc1C)c1ccccc1 ZINC000180673603 337177241 /nfs/dbraw/zinc/17/72/41/337177241.db2.gz JBLPTTZYWNWVGO-HOCLYGCPSA-N 0 3 317.454 4.402 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCOCc2ccc(Cl)cc2)s1 ZINC000181711107 337183870 /nfs/dbraw/zinc/18/38/70/337183870.db2.gz JSZWWPJLNKBZCT-LLVKDONJSA-N 0 3 324.877 4.281 20 0 DIADHN CCC(CC)N(Cc1nnc(C2CC2)n1C1CC1)CC(C)C ZINC000181411632 337183949 /nfs/dbraw/zinc/18/39/49/337183949.db2.gz OEOVZDMQIFYBST-UHFFFAOYSA-N 0 3 304.482 4.137 20 0 DIADHN C[S@](=O)C[C@H](NCCCC1CCCCC1)c1ccccc1 ZINC000582812554 337184760 /nfs/dbraw/zinc/18/47/60/337184760.db2.gz LFAOJGIWYSRPMB-RXVVDRJESA-N 0 3 307.503 4.056 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1cncc(C)c1 ZINC000181841058 337185011 /nfs/dbraw/zinc/18/50/11/337185011.db2.gz HSIZNSFOKZOBQO-ZDUSSCGKSA-N 0 3 324.453 4.068 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc(Cl)cc2)cc1 ZINC000181913146 337185339 /nfs/dbraw/zinc/18/53/39/337185339.db2.gz PCCVRRYOILKWBL-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@@H](CCc1ccc(Cl)s1)N[C@@H](CCO)c1ccco1 ZINC000469780814 337186238 /nfs/dbraw/zinc/18/62/38/337186238.db2.gz QTGIGYJCAQSYOX-AAEUAGOBSA-N 0 3 313.850 4.029 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2CCN(c3ccc(Cl)cc3)C2)s1 ZINC000183336891 337191335 /nfs/dbraw/zinc/19/13/35/337191335.db2.gz WGAVWYARXDKYHR-SMDDNHRTSA-N 0 3 321.877 4.034 20 0 DIADHN COc1ccccc1C1(CN[C@@H](C)c2cncc(F)c2)CCC1 ZINC000183960078 337195748 /nfs/dbraw/zinc/19/57/48/337195748.db2.gz YLUAOULECGIMGN-AWEZNQCLSA-N 0 3 314.404 4.002 20 0 DIADHN CC(C)C[C@@H](C)C(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000185372993 337204507 /nfs/dbraw/zinc/20/45/07/337204507.db2.gz DIQWLRPHVNWTNB-IAGOWNOFSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](NCCC(=O)NC(C)(C)C)c1ccc(-c2ccccc2)cc1 ZINC000392356523 337205210 /nfs/dbraw/zinc/20/52/10/337205210.db2.gz RDPCSERIAUQXQD-INIZCTEOSA-N 0 3 324.468 4.309 20 0 DIADHN Cc1ccc(C)c(CN[C@H]2c3ccccc3CC23CCOCC3)c1 ZINC000582874489 337209595 /nfs/dbraw/zinc/20/95/95/337209595.db2.gz LIKIUNSMCDVPNN-NRFANRHFSA-N 0 3 321.464 4.487 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccc(F)cc2)o1)c1cc[nH]c(=O)c1 ZINC000583157034 337247766 /nfs/dbraw/zinc/24/77/66/337247766.db2.gz NCECUXZQBMOWRT-GFCCVEGCSA-N 0 3 312.344 4.037 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000583170691 337251041 /nfs/dbraw/zinc/25/10/41/337251041.db2.gz IUAMJDLBXNPLIJ-KBMXLJTQSA-N 0 3 308.491 4.395 20 0 DIADHN CCn1nc(CNCC(C)(C)c2ccccc2)c2ccccc21 ZINC000321243976 337251440 /nfs/dbraw/zinc/25/14/40/337251440.db2.gz NHOPRARXNHGPFP-UHFFFAOYSA-N 0 3 307.441 4.124 20 0 DIADHN CCSc1cccc(CN2CC[C@@H](C)[C@H](n3ccnc3)C2)c1 ZINC000186267772 337255473 /nfs/dbraw/zinc/25/54/73/337255473.db2.gz HATRBAMPRWNJTE-CRAIPNDOSA-N 0 3 315.486 4.078 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@H]2CCOC3(CCCC3)C2)cs1 ZINC000186423425 337256067 /nfs/dbraw/zinc/25/60/67/337256067.db2.gz IMDXKISHRVVTEW-KGLIPLIRSA-N 0 3 308.491 4.409 20 0 DIADHN CN(C)CCSCc1nc(-c2ccccc2Cl)cs1 ZINC000186630003 337258099 /nfs/dbraw/zinc/25/80/99/337258099.db2.gz YSSWQIVFHSQDFI-UHFFFAOYSA-N 0 3 312.891 4.258 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CCC[C@H]1NCc1cccc2c1OCO2 ZINC000392541296 337260149 /nfs/dbraw/zinc/26/01/49/337260149.db2.gz YXECSALLAFSAHA-QGZVFWFLSA-N 0 3 320.392 4.064 20 0 DIADHN CC(C)CSCCN[C@@H](C)c1cccc(OC(F)F)c1 ZINC000397461200 337263575 /nfs/dbraw/zinc/26/35/75/337263575.db2.gz QZFRWJJHPMRANG-LBPRGKRZSA-N 0 3 303.418 4.328 20 0 DIADHN C[C@@H](NCc1csc(-c2ccco2)n1)C1CCSCC1 ZINC000187309110 337264135 /nfs/dbraw/zinc/26/41/35/337264135.db2.gz MLWWUHMEBADQHW-LLVKDONJSA-N 0 3 308.472 4.024 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cccc3c2OC(C)(C)C3)C1 ZINC000530359023 337265041 /nfs/dbraw/zinc/26/50/41/337265041.db2.gz HPASARZRKCEYON-KXBFYZLASA-N 0 3 322.452 4.378 20 0 DIADHN C[C@@H]1CCCCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000187967748 337269177 /nfs/dbraw/zinc/26/91/77/337269177.db2.gz NOMIVVPFUBAZBX-GFCCVEGCSA-N 0 3 305.809 4.012 20 0 DIADHN C[C@H](NCc1ccc(C2CC2)cc1)c1ccc([S@](C)=O)cc1 ZINC000188723377 337274612 /nfs/dbraw/zinc/27/46/12/337274612.db2.gz XXPBTZYEUZNPTL-FPTDNZKUSA-N 0 3 313.466 4.152 20 0 DIADHN CCOC1CC(CCNCc2ncc(-c3ccccc3)s2)C1 ZINC000188773342 337275512 /nfs/dbraw/zinc/27/55/12/337275512.db2.gz RBFUENLVODXIBH-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN COC[C@H](Nc1ccnc2ccccc21)c1cccc(Cl)c1 ZINC000583230289 337280900 /nfs/dbraw/zinc/28/09/00/337280900.db2.gz RMGHVDHWDWLXHL-SFHVURJKSA-N 0 3 312.800 4.110 20 0 DIADHN C[C@@H]1CN(CCOc2cccc3ccccc32)CCC1(F)F ZINC000583230833 337280996 /nfs/dbraw/zinc/28/09/96/337280996.db2.gz CEODBCZZSJSKCF-CQSZACIVSA-N 0 3 305.368 4.196 20 0 DIADHN Cc1ccccc1C[C@@H](C)N[C@@H](C)c1nc2ccccc2n1C ZINC000321664346 337282219 /nfs/dbraw/zinc/28/22/19/337282219.db2.gz SQXUAHRICRVQDY-CVEARBPZSA-N 0 3 307.441 4.164 20 0 DIADHN Cc1cncc(CN(C)CCCc2cc(-c3ccccc3)no2)c1 ZINC000189833920 337283333 /nfs/dbraw/zinc/28/33/33/337283333.db2.gz MQLCDVHOHUHSJM-UHFFFAOYSA-N 0 3 321.424 4.110 20 0 DIADHN CC1(C)Cc2cccc(CNCCCOC3CCCCC3)c2O1 ZINC000321773639 337289928 /nfs/dbraw/zinc/28/99/28/337289928.db2.gz ORRXRBRAWUHNGO-UHFFFAOYSA-N 0 3 317.473 4.229 20 0 DIADHN Fc1cccc(F)c1CNC[C@H]1CCCC[C@H]1C(F)(F)F ZINC000583254729 337290987 /nfs/dbraw/zinc/29/09/87/337290987.db2.gz DMVNRSNWAYIRLK-ZYHUDNBSSA-N 0 3 307.306 4.423 20 0 DIADHN CC[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1c(C)noc1C ZINC000191019497 337293088 /nfs/dbraw/zinc/29/30/88/337293088.db2.gz AEAWZNJOXWNFPC-JKSUJKDBSA-N 0 3 306.450 4.214 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000514149650 337296570 /nfs/dbraw/zinc/29/65/70/337296570.db2.gz QDEOQPMLEBLNQG-ZDUSSCGKSA-N 0 3 311.466 4.407 20 0 DIADHN CCN(Cc1ccc(C(N)=O)cc1)[C@@H](C)c1cc2ccccc2o1 ZINC000064348885 337302211 /nfs/dbraw/zinc/30/22/11/337302211.db2.gz YFDGTVOTZLDGKX-AWEZNQCLSA-N 0 3 322.408 4.115 20 0 DIADHN Cc1nocc1CN[C@@H](C)C(c1ccccc1)c1ccccc1 ZINC000398090358 337305506 /nfs/dbraw/zinc/30/55/06/337305506.db2.gz XFENZQMMZLQWSS-INIZCTEOSA-N 0 3 306.409 4.293 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2NC[C@H](c1ccccc1)N1CCCC1 ZINC000321938763 337305928 /nfs/dbraw/zinc/30/59/28/337305928.db2.gz MCFUUSDBCOWGSG-RBUKOAKNSA-N 0 3 310.441 4.084 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000525951740 337308214 /nfs/dbraw/zinc/30/82/14/337308214.db2.gz DAVPTCBQSUQXMU-BFHYXJOUSA-N 0 3 318.486 4.245 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)C(=O)N(C(C)C)C(C)C)c(C)s1 ZINC000193134864 337309750 /nfs/dbraw/zinc/30/97/50/337309750.db2.gz ZWPQEWALCLSXDE-KGLIPLIRSA-N 0 3 310.507 4.049 20 0 DIADHN Fc1ccc2nc(CNCCc3ccc(F)c(F)c3)sc2c1 ZINC000583299411 337311055 /nfs/dbraw/zinc/31/10/55/337311055.db2.gz WYLYTTYRWLUVMY-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN CC[C@H](O)CCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000398460647 337311479 /nfs/dbraw/zinc/31/14/79/337311479.db2.gz IXROGKCIBXXHRS-OALUTQOASA-N 0 3 301.405 4.056 20 0 DIADHN CC[C@H](O)CCCN[C@@H](C)c1cc(F)c(Cl)cc1Cl ZINC000398599886 337311834 /nfs/dbraw/zinc/31/18/34/337311834.db2.gz KMEKXIGKMVCZPR-UWVGGRQHSA-N 0 3 308.224 4.334 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1cc(Br)ccc1F ZINC000159184238 338520525 /nfs/dbraw/zinc/52/05/25/338520525.db2.gz BGECICYDKIANMZ-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CC[C@H](CC2CC2)C1 ZINC000583766266 337331781 /nfs/dbraw/zinc/33/17/81/337331781.db2.gz AFJRGQCSIXJNEG-DOTOQJQBSA-N 0 3 314.473 4.259 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](CC)c1ccc(Cl)cc1 ZINC000218863589 337340226 /nfs/dbraw/zinc/34/02/26/337340226.db2.gz UCYWZGSNKWBJPB-WBMJQRKESA-N 0 3 310.869 4.074 20 0 DIADHN C[C@@H](CCN1CCC[C@H](OCC(F)(F)F)C1)c1ccccc1 ZINC000583851875 337342747 /nfs/dbraw/zinc/34/27/47/337342747.db2.gz MAPZROSVHSUBLT-HOCLYGCPSA-N 0 3 315.379 4.224 20 0 DIADHN Cc1cc(NCc2ccsc2)c2cc(OC(F)F)ccc2n1 ZINC000584499880 337350546 /nfs/dbraw/zinc/35/05/46/337350546.db2.gz HSKYWYADLIMZBZ-UHFFFAOYSA-N 0 3 320.364 4.240 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)Cc1coc(-c2ccc(F)cc2)n1 ZINC000219703989 337351219 /nfs/dbraw/zinc/35/12/19/337351219.db2.gz SUJLFWFACJZSHN-CXAGYDPISA-N 0 3 302.393 4.491 20 0 DIADHN CCC[C@H](NCc1ccccc1CO)c1ccc(Cl)cc1 ZINC000583864734 337357531 /nfs/dbraw/zinc/35/75/31/337357531.db2.gz COFRTUAQLYIDEY-SFHVURJKSA-N 0 3 303.833 4.463 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1ccc(Cl)cc1 ZINC000036972000 337361490 /nfs/dbraw/zinc/36/14/90/337361490.db2.gz GWIIWSXMBXFUNZ-UHFFFAOYSA-N 0 3 304.821 4.022 20 0 DIADHN COc1ccc(CN(C)C)cc1NCc1cccc(Cl)c1 ZINC000036972137 337361580 /nfs/dbraw/zinc/36/15/80/337361580.db2.gz KOSRBBKTDODNCT-UHFFFAOYSA-N 0 3 304.821 4.022 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3c(c(Cl)c2)OCO3)cc1 ZINC000037770775 337366638 /nfs/dbraw/zinc/36/66/38/337366638.db2.gz KRDUBIUQEJVCAT-LBPRGKRZSA-N 0 3 303.789 4.228 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@@H]2NCc2ccc(Cl)cc2)cc1F ZINC000583889868 337384277 /nfs/dbraw/zinc/38/42/77/337384277.db2.gz FLMZCOMWAQYLFZ-IRXDYDNUSA-N 0 3 323.770 4.238 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CCC(n2ccnc2)CC1 ZINC000111243611 337389560 /nfs/dbraw/zinc/38/95/60/337389560.db2.gz IOLYETRJGIVZFM-CYBMUJFWSA-N 0 3 323.362 4.300 20 0 DIADHN O=C(CN1CCCCC[C@H]1c1ccccc1)Nc1ccccc1 ZINC000520787447 337391175 /nfs/dbraw/zinc/39/11/75/337391175.db2.gz ISEALARZJREBLG-IBGZPJMESA-N 0 3 308.425 4.242 20 0 DIADHN CC(C)CCOC1CCN(CCO[C@H]2CCCC[C@H]2C)CC1 ZINC000520787485 337391225 /nfs/dbraw/zinc/39/12/25/337391225.db2.gz LLISBLGHKJZYEJ-MJGOQNOKSA-N 0 3 311.510 4.109 20 0 DIADHN Cc1ncc(CNCCc2ccc(Cl)cc2Cl)s1 ZINC000037505223 337395641 /nfs/dbraw/zinc/39/56/41/337395641.db2.gz GGOALJATWJNLNW-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CC[C@@H](NCc1ccc(CN(C)C)cc1)c1cc(F)ccc1F ZINC000123932963 337402378 /nfs/dbraw/zinc/40/23/78/337402378.db2.gz MBQVTWXZUKVOSX-LJQANCHMSA-N 0 3 318.411 4.267 20 0 DIADHN COCc1ccc(CN[C@H](C)c2cnc3ccsc3c2)cc1 ZINC000124437068 337405373 /nfs/dbraw/zinc/40/53/73/337405373.db2.gz WMBOQPHIRFXZQP-CYBMUJFWSA-N 0 3 312.438 4.294 20 0 DIADHN CC[C@H](NCCc1nc(C)c(C)s1)c1ccccc1OC ZINC000127796990 337422352 /nfs/dbraw/zinc/42/23/52/337422352.db2.gz PQXMSLUGYCNUMP-HNNXBMFYSA-N 0 3 304.459 4.052 20 0 DIADHN CCc1cnc(CCN[C@@H]2CCCOc3ccc(C)cc32)s1 ZINC000130288268 337432678 /nfs/dbraw/zinc/43/26/78/337432678.db2.gz UIHKIAHHCZEPAF-MRXNPFEDSA-N 0 3 316.470 4.060 20 0 DIADHN c1ccc2c(c1)CCCC[C@@H]2NCc1ccnc(N2CCCC2)c1 ZINC000131480071 337437838 /nfs/dbraw/zinc/43/78/38/337437838.db2.gz LEEWUMNGKANZHE-FQEVSTJZSA-N 0 3 321.468 4.239 20 0 DIADHN CC(C)c1ccc(O[C@H](C)CNCc2ccnn2C(C)C)cc1 ZINC000564103959 337454999 /nfs/dbraw/zinc/45/49/99/337454999.db2.gz WFSIRLRYKHWGIE-MRXNPFEDSA-N 0 3 315.461 4.145 20 0 DIADHN C[C@@H](NC[C@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000152511828 337455889 /nfs/dbraw/zinc/45/58/89/337455889.db2.gz HEEHDPIHPZOMAD-HUUCEWRRSA-N 0 3 316.395 4.142 20 0 DIADHN Cc1cccc2nc(C3CCN(C[C@@H]4CCC=CO4)CC3)oc21 ZINC000194819427 337463852 /nfs/dbraw/zinc/46/38/52/337463852.db2.gz NGSFKHHRQPSQSF-INIZCTEOSA-N 0 3 312.413 4.008 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc3ncccc3c2)cc1 ZINC000195148828 337465606 /nfs/dbraw/zinc/46/56/06/337465606.db2.gz ZMBUYIMTCTUTJZ-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN CCCCOCCN[C@H]1CCCSc2ccc(OC)cc21 ZINC000527102311 337470038 /nfs/dbraw/zinc/47/00/38/337470038.db2.gz BUZSNQNSTDMLDL-INIZCTEOSA-N 0 3 309.475 4.029 20 0 DIADHN CCc1ccc2nccc(N3CCC(OC4CCC4)CC3)c2c1 ZINC000418968910 337473367 /nfs/dbraw/zinc/47/33/67/337473367.db2.gz DAUBZSRHFIPMTG-UHFFFAOYSA-N 0 3 310.441 4.335 20 0 DIADHN CC[C@@H](NCc1nnc(-c2cccc(Cl)c2)o1)[C@H]1CC1(C)C ZINC000419325969 337479463 /nfs/dbraw/zinc/47/94/63/337479463.db2.gz XTGSUVREVCDRAS-ZIAGYGMSSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](c1ccc(Oc2ccccc2)cc1)N1CCC(C)(O)CC1 ZINC000445343672 337486101 /nfs/dbraw/zinc/48/61/01/337486101.db2.gz OAWDKKFVISKUTM-MRXNPFEDSA-N 0 3 311.425 4.387 20 0 DIADHN CCCn1c(CNC2CCC(C(F)F)CC2)nc2ccccc21 ZINC000419783968 337492174 /nfs/dbraw/zinc/49/21/74/337492174.db2.gz PGCQAJXTQGDYOP-UHFFFAOYSA-N 0 3 321.415 4.360 20 0 DIADHN C[C@H](O)C1CCN(Cc2ccc(Cl)c3ccccc23)CC1 ZINC000420915491 337513524 /nfs/dbraw/zinc/51/35/24/337513524.db2.gz MCXKZUHFYUCFTI-ZDUSSCGKSA-N 0 3 303.833 4.086 20 0 DIADHN COCc1ccc(CN[C@H](CC(F)F)c2ccccc2)cc1 ZINC000420992981 337518064 /nfs/dbraw/zinc/51/80/64/337518064.db2.gz LMTMTTJOMHDHDQ-QGZVFWFLSA-N 0 3 305.368 4.319 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OC(F)F)cc2)cc(C)n1 ZINC000421203935 337523837 /nfs/dbraw/zinc/52/38/37/337523837.db2.gz QSLSKULVXAMBNQ-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN Fc1cc(CNCc2cccc(C3CC3)c2)ccc1-n1ccnc1 ZINC000421325868 337526511 /nfs/dbraw/zinc/52/65/11/337526511.db2.gz MJFSMTYGHLDDNP-UHFFFAOYSA-N 0 3 321.399 4.179 20 0 DIADHN FC(F)C[C@H](NCC1=Cc2ccccc2OC1)c1ccccc1 ZINC000421380293 337529278 /nfs/dbraw/zinc/52/92/78/337529278.db2.gz YITCSXDRHAFBTQ-KRWDZBQOSA-N 0 3 315.363 4.449 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2ccc(-c3c(F)cccc3F)o2)CCO1 ZINC000421371860 337533154 /nfs/dbraw/zinc/53/31/54/337533154.db2.gz DAZYPHUGGKWHOP-QWHCGFSZSA-N 0 3 321.367 4.272 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CCCCCC1 ZINC000066696588 337542563 /nfs/dbraw/zinc/54/25/63/337542563.db2.gz PLSPBWFMQWSGFA-GFCCVEGCSA-N 0 3 305.809 4.327 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1ccc2ccccc2n1 ZINC000247121242 337557905 /nfs/dbraw/zinc/55/79/05/337557905.db2.gz PSZGAEMWNRTNFF-RYGJVYDSSA-N 0 3 320.440 4.266 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)N[C@@H](C)CN1CCCCC1 ZINC000075983930 337561759 /nfs/dbraw/zinc/56/17/59/337561759.db2.gz UMPSSXFZUSOHOW-INIZCTEOSA-N 0 3 317.477 4.114 20 0 DIADHN C[C@H](O)C[C@H](C)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000248174324 337562891 /nfs/dbraw/zinc/56/28/91/337562891.db2.gz VHWNGFCWGOTFJM-QXEWZRGKSA-N 0 3 322.301 4.429 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)cs1 ZINC000248992902 337569201 /nfs/dbraw/zinc/56/92/01/337569201.db2.gz LLWBEYMEBYNVRQ-SJXGUFTOSA-N 0 3 320.502 4.265 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3C[C@H]4OCCC[C@@H]34)oc21 ZINC000249768331 337571406 /nfs/dbraw/zinc/57/14/06/337571406.db2.gz BHMMHGZAYDRKTQ-CTNYNGJSSA-N 0 3 315.413 4.050 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H]1CCOc3c(F)cccc31)[C@@H](C)C2 ZINC000525961730 337574663 /nfs/dbraw/zinc/57/46/63/337574663.db2.gz GXSGJMDEXDCADT-AGRHKRQWSA-N 0 3 311.400 4.481 20 0 DIADHN CSC[C@@H](NCC[C@H](C)F)c1ccc(C(F)(F)F)cc1 ZINC000571944413 337583708 /nfs/dbraw/zinc/58/37/08/337583708.db2.gz AQICEJVHTGFDKM-GXFFZTMASA-N 0 3 309.372 4.447 20 0 DIADHN Cc1cc(CNCc2cc(Br)cs2)cs1 ZINC000285801702 337598541 /nfs/dbraw/zinc/59/85/41/337598541.db2.gz GWAZEYJUTKMGJW-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN CCc1ccc([C@H](CO)N[C@H]2CCCc3ccc(C)cc32)cc1 ZINC000536827316 337603904 /nfs/dbraw/zinc/60/39/04/337603904.db2.gz NWUMZHWTHZTNOR-SFTDATJTSA-N 0 3 309.453 4.258 20 0 DIADHN Cn1ccc(CN2CCC(c3nc4ccccc4s3)CC2)c1 ZINC000162228403 337611155 /nfs/dbraw/zinc/61/11/55/337611155.db2.gz JWBBDFYCOQOWTJ-UHFFFAOYSA-N 0 3 311.454 4.014 20 0 DIADHN CCC(CC)(NCc1cnc(N)s1)c1ccc(Cl)cc1 ZINC000261936339 337612831 /nfs/dbraw/zinc/61/28/31/337612831.db2.gz DGFOPTFPDBCMEX-UHFFFAOYSA-N 0 3 309.866 4.184 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2ccncc2Cl)cs1 ZINC000421827416 337646675 /nfs/dbraw/zinc/64/66/75/337646675.db2.gz XOPDZKZYZKMOIM-LLVKDONJSA-N 0 3 309.866 4.208 20 0 DIADHN C[C@H](NCc1ccc(OC2CCCC2)nc1)c1cccs1 ZINC000162630007 337647817 /nfs/dbraw/zinc/64/78/17/337647817.db2.gz QWKSTKDEUBEDPC-ZDUSSCGKSA-N 0 3 302.443 4.315 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000421854773 337650337 /nfs/dbraw/zinc/65/03/37/337650337.db2.gz LAFAFXXKGDHNEF-SNVBAGLBSA-N 0 3 320.235 4.478 20 0 DIADHN COc1ccc([C@H](NC[C@@H](C)c2nccs2)C(C)C)c(F)c1 ZINC000421833339 337651678 /nfs/dbraw/zinc/65/16/78/337651678.db2.gz ADGGVSSHBDSNJX-MLGOLLRUSA-N 0 3 322.449 4.381 20 0 DIADHN FC(F)C[C@@H](N[C@H]1CCc2ccccc2OC1)c1ccccc1 ZINC000421878838 337658324 /nfs/dbraw/zinc/65/83/24/337658324.db2.gz OBKWACRRYNEGHN-DLBZAZTESA-N 0 3 317.379 4.366 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCC[C@H]2c2ccccc2)c(F)cn1 ZINC000580479746 337681908 /nfs/dbraw/zinc/68/19/08/337681908.db2.gz GWSZFVFIMFNLGI-YEWWUXTCSA-N 0 3 314.404 4.216 20 0 DIADHN CC[C@H](CNC(=O)C(C)(C)[C@H](N)c1ccccc1)c1ccccc1 ZINC000422936220 337692411 /nfs/dbraw/zinc/69/24/11/337692411.db2.gz FFGNAXSQULARKE-VQIMIIECSA-N 0 3 324.468 4.023 20 0 DIADHN Cc1cccc([C@H](C)NC(=O)C(C)(C)[C@H](N)c2ccccc2)c1C ZINC000423209751 337704166 /nfs/dbraw/zinc/70/41/66/337704166.db2.gz VLWWWKMXFDJIAO-QFBILLFUSA-N 0 3 324.468 4.207 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@@H](c1cccnc1)C1CCC1 ZINC000423338002 337712649 /nfs/dbraw/zinc/71/26/49/337712649.db2.gz AQGYAFSDAOMTGJ-WOJBJXKFSA-N 0 3 307.441 4.172 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCCc3cc(N)ccc31)CCC2 ZINC000423340714 337712855 /nfs/dbraw/zinc/71/28/55/337712855.db2.gz CBWJDCVHRYSAQR-RTWAWAEBSA-N 0 3 322.452 4.322 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1cc(F)cc(F)c1 ZINC000423339952 337712869 /nfs/dbraw/zinc/71/28/69/337712869.db2.gz BRQVOMIAHWNRLV-ZMZPIMSZSA-N 0 3 302.368 4.275 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccccc1OC ZINC000423342778 337713097 /nfs/dbraw/zinc/71/30/97/337713097.db2.gz DEXOZARDUJXXAI-MOPGFXCFSA-N 0 3 310.441 4.396 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)s1 ZINC000423356994 337714165 /nfs/dbraw/zinc/71/41/65/337714165.db2.gz RNZXDDBZPAYMFA-BDJLRTHQSA-N 0 3 315.486 4.324 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2N[C@H]1CCc2c1c(F)ccc2F ZINC000423358749 337714168 /nfs/dbraw/zinc/71/41/68/337714168.db2.gz UGQGCIKCVNMBEW-MSOLQXFVSA-N 0 3 314.379 4.202 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)c(C)c1 ZINC000423359502 337714293 /nfs/dbraw/zinc/71/42/93/337714293.db2.gz KSHDLKCUVCCCSG-JLTOFOAXSA-N 0 3 310.441 4.314 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCCc3cc(N)ccc32)ccc1F ZINC000423364954 337714689 /nfs/dbraw/zinc/71/46/89/337714689.db2.gz ZKBXVGOFGXBXSA-SGTLLEGYSA-N 0 3 314.404 4.145 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CN)c2ccc3ccccc3c2)oc1C ZINC000423403399 337715727 /nfs/dbraw/zinc/71/57/27/337715727.db2.gz SDWPUGRHHNFUPS-AUUYWEPGSA-N 0 3 308.425 4.400 20 0 DIADHN C[C@@H](NCc1cccc2c1CCCN2)c1ccc(F)c(F)c1 ZINC000423408671 337716145 /nfs/dbraw/zinc/71/61/45/337716145.db2.gz ATHUEXJOQBPYRD-GFCCVEGCSA-N 0 3 302.368 4.174 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2ccc3c(c2)CCCN3)C1 ZINC000423435969 337718965 /nfs/dbraw/zinc/71/89/65/337718965.db2.gz FCMYDRGBHFCWKN-SFHVURJKSA-N 0 3 310.441 4.441 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCOc3c(Cl)cccc32)c1 ZINC000423471819 337721341 /nfs/dbraw/zinc/72/13/41/337721341.db2.gz FHEQSELAKHGYGO-QGZVFWFLSA-N 0 3 316.832 4.234 20 0 DIADHN OCC1(CNCc2csc(Cl)c2Cl)CC2(CCC2)C1 ZINC000433211221 337726526 /nfs/dbraw/zinc/72/65/26/337726526.db2.gz XELNZCGPRNIQPC-UHFFFAOYSA-N 0 3 320.285 4.087 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H](C)[C@@H]1COc2ccccc21 ZINC000536829508 337733650 /nfs/dbraw/zinc/73/36/50/337733650.db2.gz YOVOYLPBWRCBSB-DAYGRLMNSA-N 0 3 311.425 4.219 20 0 DIADHN C[C@@H](NCCc1ccsc1)c1ccc(F)cc1OC(F)F ZINC000536829597 337733761 /nfs/dbraw/zinc/73/37/61/337733761.db2.gz ZVSVMFWVAMTJSD-SNVBAGLBSA-N 0 3 315.360 4.382 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](C)c2cc(C)c(F)c(C)c2)cc1 ZINC000536831768 337734739 /nfs/dbraw/zinc/73/47/39/337734739.db2.gz HZMWANCGJFDNHB-KXBFYZLASA-N 0 3 315.432 4.389 20 0 DIADHN C[C@@H](CNCc1ccccc1Br)CC(F)(F)F ZINC000396947151 337735796 /nfs/dbraw/zinc/73/57/96/337735796.db2.gz MULYTKONRGYMKV-SECBINFHSA-N 0 3 310.157 4.127 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000397098477 337739058 /nfs/dbraw/zinc/73/90/58/337739058.db2.gz ODCBTZSBVOEPMP-CYBMUJFWSA-N 0 3 324.346 4.448 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2ccccc2Br)nc1 ZINC000399746686 337743169 /nfs/dbraw/zinc/74/31/69/337743169.db2.gz KXBNKOOOWODWSS-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN CC[C@H](CN[C@H](C)c1cnn(C(C)C)c1)Oc1cccc(C)c1 ZINC000536844129 337743179 /nfs/dbraw/zinc/74/31/79/337743179.db2.gz GSLWKROUVIVCBR-SJLPKXTDSA-N 0 3 315.461 4.281 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1cc(Cl)cc(Cl)c1 ZINC000536844867 337743246 /nfs/dbraw/zinc/74/32/46/337743246.db2.gz KHEHWDAIQHEYJA-LNHVQRHZSA-N 0 3 300.229 4.212 20 0 DIADHN OC1(CNCc2c(Cl)cccc2Cl)CCCCCC1 ZINC000079619296 337744898 /nfs/dbraw/zinc/74/48/98/337744898.db2.gz DNIXUWPNZZGCEB-UHFFFAOYSA-N 0 3 302.245 4.168 20 0 DIADHN CCOC[C@H](C)N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000536851063 337745366 /nfs/dbraw/zinc/74/53/66/337745366.db2.gz IIPXOSFTNOLLDD-KSSFIOAISA-N 0 3 303.833 4.444 20 0 DIADHN COc1cc(F)c([C@@H](C)N[C@@H]2CCCC(F)(F)C2)cc1OC ZINC000536851540 337745514 /nfs/dbraw/zinc/74/55/14/337745514.db2.gz KTNDDSVRNVJBGY-GHMZBOCLSA-N 0 3 317.351 4.071 20 0 DIADHN C[C@@H](N[C@@H](C)COCC(F)(F)F)c1ccc(F)cc1Cl ZINC000536861827 337746863 /nfs/dbraw/zinc/74/68/63/337746863.db2.gz DUSVYUDBEHZQDO-DTWKUNHWSA-N 0 3 313.722 4.097 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1ccc(C(F)F)cc1 ZINC000527520315 337748531 /nfs/dbraw/zinc/74/85/31/337748531.db2.gz ZNUYCMRUMWZJPQ-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)c(Cl)c2)C2CC2)ccn1 ZINC000527539682 337750434 /nfs/dbraw/zinc/75/04/34/337750434.db2.gz MCRFVWAINULLPK-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1ccc2cc[nH]c2c1 ZINC000527704551 337757823 /nfs/dbraw/zinc/75/78/23/337757823.db2.gz GKYVWVVYACAQFG-QGZVFWFLSA-N 0 3 310.372 4.093 20 0 DIADHN COc1c(C)cc(CN[C@@H](c2ccccn2)C2CCC2)cc1C ZINC000527773102 337762812 /nfs/dbraw/zinc/76/28/12/337762812.db2.gz OYKGWERIEVCQBY-LJQANCHMSA-N 0 3 310.441 4.338 20 0 DIADHN CCCn1nc(C)c(CN[C@@H]2CCc3cc(Cl)ccc32)c1C ZINC000527795794 337764543 /nfs/dbraw/zinc/76/45/43/337764543.db2.gz RQVCXEJSPCXAJR-GOSISDBHSA-N 0 3 317.864 4.340 20 0 DIADHN COCc1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000527795198 337764552 /nfs/dbraw/zinc/76/45/52/337764552.db2.gz NYIUHYKIZHFYGM-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)N[C@H]1CCc2cc(F)c(Cl)cc21 ZINC000527868589 337766557 /nfs/dbraw/zinc/76/65/57/337766557.db2.gz UOCZJXLXYKNOFI-HPEXNQPKSA-N 0 3 323.795 4.161 20 0 DIADHN CC[C@@H](CCc1ccccc1)NCc1csc([C@@H](C)OC)n1 ZINC000527869883 337766734 /nfs/dbraw/zinc/76/67/34/337766734.db2.gz NAEGOFBHPNYPEF-ZBFHGGJFSA-N 0 3 318.486 4.352 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C)c(Cl)c2)C2CC2)cn1 ZINC000527842328 337768155 /nfs/dbraw/zinc/76/81/55/337768155.db2.gz XCBKZMOESJXZFF-SFHVURJKSA-N 0 3 316.832 4.293 20 0 DIADHN COc1ccc([C@H](NCc2cccc(C3CC3)c2)C2CC2)cn1 ZINC000527842943 337768232 /nfs/dbraw/zinc/76/82/32/337768232.db2.gz QFXIIUMXEKTQSL-HXUWFJFHSA-N 0 3 308.425 4.209 20 0 DIADHN CCNC(=O)c1ccc(CN[C@@H](C)c2cccc(C(C)C)c2)cc1 ZINC000527847538 337768447 /nfs/dbraw/zinc/76/84/47/337768447.db2.gz HHVGFVDSXSMSSC-INIZCTEOSA-N 0 3 324.468 4.411 20 0 DIADHN COc1cccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)c1 ZINC000527847785 337768606 /nfs/dbraw/zinc/76/86/06/337768606.db2.gz PKZTXYHZVXTPRB-KRWDZBQOSA-N 0 3 305.780 4.265 20 0 DIADHN C[C@H](O)c1ccc(CN[C@H]2CCc3cc(F)c(Cl)cc32)cc1 ZINC000527849084 337768819 /nfs/dbraw/zinc/76/88/19/337768819.db2.gz ISJYQSABSWGJDE-VOJFVSQTSA-N 0 3 319.807 4.310 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](C)c2cccc(C(C)C)c2)c1 ZINC000527850554 337768936 /nfs/dbraw/zinc/76/89/36/337768936.db2.gz IWGHOMKUQGJIHH-INIZCTEOSA-N 0 3 324.468 4.411 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2ccc(NC(N)=O)cc2)c1 ZINC000527852337 337769146 /nfs/dbraw/zinc/76/91/46/337769146.db2.gz SAUYGZZVZCEUIE-CQSZACIVSA-N 0 3 311.429 4.151 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2cc(F)c(Cl)cc21)c1ccccc1 ZINC000527861232 337769735 /nfs/dbraw/zinc/76/97/35/337769735.db2.gz COWIIFDPCHITQK-QZTJIDSGSA-N 0 3 319.807 4.180 20 0 DIADHN C[C@@H](F)CCN[C@@H](C)c1cc(F)c(Br)cc1F ZINC000527900374 337772753 /nfs/dbraw/zinc/77/27/53/337772753.db2.gz GUCMSVVGRZLWGY-SFYZADRCSA-N 0 3 310.157 4.126 20 0 DIADHN CC(C)c1cccc([C@H](C)NCc2c3c(nn2C)CCCC3)c1 ZINC000527902305 337773026 /nfs/dbraw/zinc/77/30/26/337773026.db2.gz NZWXZZUDXZXPIU-HNNXBMFYSA-N 0 3 311.473 4.273 20 0 DIADHN C[C@H](NCC[C@@H]1CCCOC1)c1csc(-c2ccccc2)n1 ZINC000527927099 337775860 /nfs/dbraw/zinc/77/58/60/337775860.db2.gz RQXKHDOKNOCQDI-GJZGRUSLSA-N 0 3 316.470 4.277 20 0 DIADHN CC(C)n1cncc1CNCC(C)(C)c1ccc(F)cc1Cl ZINC000424150083 337776154 /nfs/dbraw/zinc/77/61/54/337776154.db2.gz XYFZHDZCQSKCPJ-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H](n2cccn2)C(F)(F)F)C2CC2)cc1 ZINC000527930837 337776379 /nfs/dbraw/zinc/77/63/79/337776379.db2.gz GMIKQQMZASANRL-HZPDHXFCSA-N 0 3 323.362 4.036 20 0 DIADHN COCCC1CCN([C@@H](C)c2ccc(OC(F)F)cc2)CC1 ZINC000527933971 337776745 /nfs/dbraw/zinc/77/67/45/337776745.db2.gz JQOKAOIVAVANST-ZDUSSCGKSA-N 0 3 313.388 4.098 20 0 DIADHN COCCC1CCN([C@@H](C)c2ccccc2OC(F)F)CC1 ZINC000527933990 337776750 /nfs/dbraw/zinc/77/67/50/337776750.db2.gz JUPQEMVACUYFDB-ZDUSSCGKSA-N 0 3 313.388 4.098 20 0 DIADHN C[C@H](NCC[C@H]1CC=CCC1)c1ncc(Br)s1 ZINC000527985043 337777571 /nfs/dbraw/zinc/77/75/71/337777571.db2.gz MFVFDPZASPKINQ-QWRGUYRKSA-N 0 3 315.280 4.303 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1cc(Br)cs1 ZINC000527988673 337778093 /nfs/dbraw/zinc/77/80/93/337778093.db2.gz VDAYYHQGYQJVHN-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000527991140 337778303 /nfs/dbraw/zinc/77/83/03/337778303.db2.gz HKBBSBVZHSMDEP-ZBEGNZNMSA-N 0 3 302.805 4.082 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Cl)ccc21)c1cnn2c1CCCC2 ZINC000527992857 337778436 /nfs/dbraw/zinc/77/84/36/337778436.db2.gz QSDPQDYFEPIELB-SJCJKPOMSA-N 0 3 315.848 4.211 20 0 DIADHN CCC[C@@H](NC[C@H]1c2ccccc2C(=O)N1C)c1ccsc1 ZINC000528003092 337779735 /nfs/dbraw/zinc/77/97/35/337779735.db2.gz NSYHLNXHHYNZMO-SJORKVTESA-N 0 3 314.454 4.006 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc3cn(C)nc3c2)cc1 ZINC000527963777 337780650 /nfs/dbraw/zinc/78/06/50/337780650.db2.gz PAPLNESWUIWYOA-OAHLLOKOSA-N 0 3 323.440 4.211 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc3cn(C)nc3c2)cc(C)c1F ZINC000527966967 337780924 /nfs/dbraw/zinc/78/09/24/337780924.db2.gz WLYNEOVMBNDFIF-AWEZNQCLSA-N 0 3 311.404 4.180 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3cn(C)nc3c1)CCC2 ZINC000527966184 337780939 /nfs/dbraw/zinc/78/09/39/337780939.db2.gz UUXUZYVMOGNLSU-IBGZPJMESA-N 0 3 305.425 4.049 20 0 DIADHN C[C@@H](N[C@H](c1ccccn1)C1CCC1)c1ccc2c(c1)COC2 ZINC000527966446 337780949 /nfs/dbraw/zinc/78/09/49/337780949.db2.gz LCQNKLMCMURJLF-VLIAUNLRSA-N 0 3 308.425 4.304 20 0 DIADHN Cn1cc2ccc(CN[C@H](CC3CC3)c3ccccc3)cc2n1 ZINC000527968163 337781162 /nfs/dbraw/zinc/78/11/62/337781162.db2.gz YFTCXXSACKSVEA-LJQANCHMSA-N 0 3 305.425 4.204 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@@H](N[C@@H](C)c2ncccc2F)C1 ZINC000528036056 337782328 /nfs/dbraw/zinc/78/23/28/337782328.db2.gz OFZVYGQJDOPFRJ-HRCADAONSA-N 0 3 313.420 4.301 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2ccc(OCCO)cc2)cc1 ZINC000536874850 337788785 /nfs/dbraw/zinc/78/87/85/337788785.db2.gz FZTGOHSVYZKNDM-MRXNPFEDSA-N 0 3 313.441 4.032 20 0 DIADHN COCCOC[C@@H](C)N[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000536879673 337799140 /nfs/dbraw/zinc/79/91/40/337799140.db2.gz ZCZLJKBMBLZSIT-SJORKVTESA-N 0 3 313.441 4.056 20 0 DIADHN Cc1cc(F)c(CN[C@H]2CCO[C@H](c3ccccc3)C2)c(F)c1 ZINC000424178346 337799325 /nfs/dbraw/zinc/79/93/25/337799325.db2.gz RBNAGRSDFRLXPX-KXBFYZLASA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc(CN[C@H]2CCCC[C@@H]2Oc2ccccc2C)nc1 ZINC000532985660 337804124 /nfs/dbraw/zinc/80/41/24/337804124.db2.gz WPXMQZWZCKESCK-ICSRJNTNSA-N 0 3 310.441 4.178 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000044276793 337808955 /nfs/dbraw/zinc/80/89/55/337808955.db2.gz HQUZYPNFCORXSL-IBGZPJMESA-N 0 3 323.440 4.021 20 0 DIADHN CSC[C@@H](N[C@H]1CC12CC2)c1ccc(C(F)(F)F)cc1 ZINC000424321278 337820284 /nfs/dbraw/zinc/82/02/84/337820284.db2.gz KDEPTPIVRQXPEH-OLZOCXBDSA-N 0 3 301.377 4.252 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@@H](C)N[C@H]1CC12CC2 ZINC000424325572 337821266 /nfs/dbraw/zinc/82/12/66/337821266.db2.gz NWVAQYTVDMODAP-YGRLFVJLSA-N 0 3 302.418 4.461 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@@H]1CC12CC2 ZINC000424326267 337821312 /nfs/dbraw/zinc/82/13/12/337821312.db2.gz OSMMTJZOEWTAQK-IINYFYTJSA-N 0 3 302.418 4.461 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](C)[C@H]1C)c1nc(-c2cccs2)no1 ZINC000108419074 337836875 /nfs/dbraw/zinc/83/68/75/337836875.db2.gz OEWZAYZVCQTTKP-QNWHQSFQSA-N 0 3 305.447 4.273 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(C)ccc2C)cc1 ZINC000056201587 337850543 /nfs/dbraw/zinc/85/05/43/337850543.db2.gz ADUZWWWJNGKBHW-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccccc2C(C)(C)C)c1 ZINC000119310027 337857392 /nfs/dbraw/zinc/85/73/92/337857392.db2.gz DYOCALKVZBEMIB-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN COC[C@H](N[C@@H](C)Cc1ccc(Cl)cc1)c1ccc(C)o1 ZINC000537013810 337865203 /nfs/dbraw/zinc/86/52/03/337865203.db2.gz RQRZSMAZKAIPIM-LRDDRELGSA-N 0 3 307.821 4.150 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1OC(F)F)CC2 ZINC000119787663 337865768 /nfs/dbraw/zinc/86/57/68/337865768.db2.gz QHQLVNPACXTQTR-MRXNPFEDSA-N 0 3 303.352 4.374 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN[C@H]1CCCCC1(C)C ZINC000119932313 337866509 /nfs/dbraw/zinc/86/65/09/337866509.db2.gz CYERWQAPMAWKLQ-AWEZNQCLSA-N 0 3 308.853 4.145 20 0 DIADHN Fc1ccc(CCNCc2ccccc2OC(F)(F)F)cc1 ZINC000058441873 337873898 /nfs/dbraw/zinc/87/38/98/337873898.db2.gz CTUVTDVFELQBCU-UHFFFAOYSA-N 0 3 313.294 4.057 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000120313063 337873903 /nfs/dbraw/zinc/87/39/03/337873903.db2.gz LBAGESMEUHCFKJ-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN Cc1nn(C)c(C)c1C[C@@H](C)N[C@H](C)c1ccc(F)cc1Cl ZINC000120555685 337876481 /nfs/dbraw/zinc/87/64/81/337876481.db2.gz BXOPIQMZNPPBDZ-GHMZBOCLSA-N 0 3 323.843 4.111 20 0 DIADHN CN(C)c1ccccc1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000090037325 337877391 /nfs/dbraw/zinc/87/73/91/337877391.db2.gz FKFSKMMEACRGMH-YLJYHZDGSA-N 0 3 324.468 4.010 20 0 DIADHN CCc1nc(C)c([C@@H](C)NC[C@@H](OC)c2ccc(F)cc2)s1 ZINC000090176503 337878603 /nfs/dbraw/zinc/87/86/03/337878603.db2.gz QJXOUJIRLROBPY-IAQYHMDHSA-N 0 3 322.449 4.191 20 0 DIADHN C[C@H](NCc1ccc(F)c(CN(C)C)c1)c1cc(F)cc(F)c1 ZINC000120924648 337881730 /nfs/dbraw/zinc/88/17/30/337881730.db2.gz TVQMCDBHOVYCRL-LBPRGKRZSA-N 0 3 322.374 4.016 20 0 DIADHN CCc1ccc([C@@H](N[C@H]2CCCc3c2cnn3C)C(C)C)cc1 ZINC000121058477 337883444 /nfs/dbraw/zinc/88/34/44/337883444.db2.gz MAVJSJASYDXIMA-ICSRJNTNSA-N 0 3 311.473 4.347 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N[C@H](C)C(C)(C)c2ccccc2)o1 ZINC000537053186 337884586 /nfs/dbraw/zinc/88/45/86/337884586.db2.gz GLEMYSQTEFYLRQ-ZIAGYGMSSA-N 0 3 315.413 4.083 20 0 DIADHN CCC(CC)[C@H](NCC(=O)N1CCCCCC1)c1cccs1 ZINC000537075862 337885101 /nfs/dbraw/zinc/88/51/01/337885101.db2.gz IKWSWCMOVFDXFM-SFHVURJKSA-N 0 3 322.518 4.218 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1cc(F)cc(OC)c1 ZINC000090972149 337887622 /nfs/dbraw/zinc/88/76/22/337887622.db2.gz ICEOBAMMYXGECK-LJQANCHMSA-N 0 3 315.388 4.010 20 0 DIADHN CC[C@H](NC[C@@H]1CCC(C)(C)c2ccccc21)c1nccn1C ZINC000537093835 337889406 /nfs/dbraw/zinc/88/94/06/337889406.db2.gz DKEMWMGOCCBYMQ-YJBOKZPZSA-N 0 3 311.473 4.316 20 0 DIADHN CSCCCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000091343637 337891882 /nfs/dbraw/zinc/89/18/82/337891882.db2.gz FFVCFXXNMIUMSP-LLVKDONJSA-N 0 3 311.882 4.021 20 0 DIADHN Cc1ccc([C@H](C)NCCc2coc(-c3ccc(F)cc3)n2)o1 ZINC000091739805 337895011 /nfs/dbraw/zinc/89/50/11/337895011.db2.gz ZCMHLPUHQMUGOL-ZDUSSCGKSA-N 0 3 314.360 4.275 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1cc(F)cc(F)c1 ZINC000121929325 337902530 /nfs/dbraw/zinc/90/25/30/337902530.db2.gz JDMZCESGXCMKAJ-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2cccs2)C2CC2)cc1C ZINC000537162689 337903534 /nfs/dbraw/zinc/90/35/34/337903534.db2.gz RPHLUJIOODFLQX-SFHVURJKSA-N 0 3 314.454 4.044 20 0 DIADHN CCCOc1cccc(CN2CCC(OC(C)(C)C)CC2)c1 ZINC000092454290 337904381 /nfs/dbraw/zinc/90/43/81/337904381.db2.gz KFJHKQSZPQDWEN-UHFFFAOYSA-N 0 3 305.462 4.255 20 0 DIADHN Cn1cc([C@H](NCCSc2ccccc2F)C(C)(C)C)cn1 ZINC000537175147 337907108 /nfs/dbraw/zinc/90/71/08/337907108.db2.gz UOQRWQZWNDLZCB-INIZCTEOSA-N 0 3 321.465 4.028 20 0 DIADHN CC[C@@H](NCc1ccc(COC)cc1)c1cc(C)ccc1OC ZINC000093371767 337915816 /nfs/dbraw/zinc/91/58/16/337915816.db2.gz BZWYGWXVLUBPDY-LJQANCHMSA-N 0 3 313.441 4.391 20 0 DIADHN Cc1ccccc1-c1nn(-c2ccccc2)cc1CNC1CC1 ZINC000061003423 337924163 /nfs/dbraw/zinc/92/41/63/337924163.db2.gz IOEBSOFPQAEQJR-UHFFFAOYSA-N 0 3 303.409 4.100 20 0 DIADHN Cc1ccccc1[C@@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)C1CC1 ZINC000537292229 337928481 /nfs/dbraw/zinc/92/84/81/337928481.db2.gz XDFBBKYZRVEPPK-LPHOPBHVSA-N 0 3 316.489 4.070 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H](CO)CC(C)(C)C)oc21 ZINC000537305970 337930114 /nfs/dbraw/zinc/93/01/14/337930114.db2.gz DDZDKSTYDRINPO-ZFWWWQNUSA-N 0 3 319.445 4.279 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@H]2CCCS2)o1 ZINC000537309825 337930450 /nfs/dbraw/zinc/93/04/50/337930450.db2.gz ADWIRXKXYHOKIF-CQSZACIVSA-N 0 3 305.418 4.379 20 0 DIADHN C[C@@H](NCCOCc1ccc(Cl)cc1Cl)c1ccoc1 ZINC000537351925 337932129 /nfs/dbraw/zinc/93/21/29/337932129.db2.gz AVBJBTPPPBCISQ-LLVKDONJSA-N 0 3 314.212 4.454 20 0 DIADHN CCc1cccc(N[C@H](C)c2ccc(OCCN(C)C)cc2)c1 ZINC000537408760 337933867 /nfs/dbraw/zinc/93/38/67/337933867.db2.gz NXKVUZTYYFSOCA-MRXNPFEDSA-N 0 3 312.457 4.363 20 0 DIADHN COc1ccc(C2(N[C@@H](C)c3ccc4c(c3)COC4)CC2)cc1 ZINC000537422904 337935689 /nfs/dbraw/zinc/93/56/89/337935689.db2.gz JXCQEOMUQGCOBA-AWEZNQCLSA-N 0 3 309.409 4.065 20 0 DIADHN CC[C@@H](NC1(c2ccc(OC)cc2)CC1)c1ccc(OC)cc1 ZINC000537423942 337935691 /nfs/dbraw/zinc/93/56/91/337935691.db2.gz WUGIPGSUPACUHW-LJQANCHMSA-N 0 3 311.425 4.434 20 0 DIADHN COCC1(N[C@H](C)c2sc(-c3ccccc3F)nc2C)CC1 ZINC000537449892 337936725 /nfs/dbraw/zinc/93/67/25/337936725.db2.gz PIVXPQNQTYACIH-GFCCVEGCSA-N 0 3 320.433 4.087 20 0 DIADHN CCC1(O)CCN(Cc2ccc(-c3ccc(C)cc3)s2)CC1 ZINC000537618418 337952278 /nfs/dbraw/zinc/95/22/78/337952278.db2.gz ZQLLSPZHDNAZSW-UHFFFAOYSA-N 0 3 315.482 4.460 20 0 DIADHN FC1(F)CCC[C@H](NCc2ncc(-c3ccccc3)s2)C1 ZINC000537775628 337962017 /nfs/dbraw/zinc/96/20/17/337962017.db2.gz KGEWAUHRTSREGR-ZDUSSCGKSA-N 0 3 308.397 4.478 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@@H]1CCCC(F)(F)C1 ZINC000514180914 337966346 /nfs/dbraw/zinc/96/63/46/337966346.db2.gz XUWVYXNTFKKNEO-CYBMUJFWSA-N 0 3 321.415 4.224 20 0 DIADHN CC1(C)CC[C@@H]1NCc1cccc(C(=O)Nc2ccccc2)c1 ZINC000514741278 337969844 /nfs/dbraw/zinc/96/98/44/337969844.db2.gz JYIZYAIILJZBNB-SFHVURJKSA-N 0 3 308.425 4.217 20 0 DIADHN C[C@@H](N[C@@H](C)c1ncc(-c2ccc(Cl)cc2)o1)[C@@H]1CCOC1 ZINC000162818432 337978437 /nfs/dbraw/zinc/97/84/37/337978437.db2.gz SAZOZKDOHUEJPB-MBNYWOFBSA-N 0 3 320.820 4.071 20 0 DIADHN Cc1cccc(OCCN[C@H](C)c2cnc3ccsc3c2)c1 ZINC000315189071 337981921 /nfs/dbraw/zinc/98/19/21/337981921.db2.gz ADIUDKOUAFYQJX-CQSZACIVSA-N 0 3 312.438 4.334 20 0 DIADHN C(=C/c1ccccc1)\CN1CCN([C@H]2C=CCCCCC2)CC1 ZINC000515374618 337987511 /nfs/dbraw/zinc/98/75/11/337987511.db2.gz RUNXYLBMGCYFNQ-DIDDQKORSA-N 0 3 310.485 4.206 20 0 DIADHN CCc1ccccc1CNCc1cc(Br)cs1 ZINC000083684347 337998052 /nfs/dbraw/zinc/99/80/52/337998052.db2.gz WVQKYHAJYJWUOA-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN C[C@@H]1CC(NCc2csc(-c3ccccn3)n2)C[C@@H](C)C1 ZINC000084461668 338002508 /nfs/dbraw/zinc/00/25/08/338002508.db2.gz PUDJATIRPOSHPP-STQMWFEESA-N 0 3 301.459 4.119 20 0 DIADHN Cc1cccc(CCN(C)Cc2ccccc2C(F)(F)F)n1 ZINC000516055390 338003248 /nfs/dbraw/zinc/00/32/48/338003248.db2.gz AKCHPICQGDCKBB-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN C[C@H](NCCn1ccc2cc(Cl)ccc21)c1cccc(O)c1 ZINC000516119813 338005805 /nfs/dbraw/zinc/00/58/05/338005805.db2.gz WGRXMPFQOWTNTD-ZDUSSCGKSA-N 0 3 314.816 4.351 20 0 DIADHN Clc1cccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC000516120387 338005849 /nfs/dbraw/zinc/00/58/49/338005849.db2.gz HCLVTWQFTVOJQW-MSOLQXFVSA-N 0 3 301.817 4.350 20 0 DIADHN CC(C)(C)CCN1CCN(C(=O)c2cccc3ccccc32)CC1 ZINC000516395654 338011734 /nfs/dbraw/zinc/01/17/34/338011734.db2.gz JDGYWKQZZYBSOC-UHFFFAOYSA-N 0 3 324.468 4.034 20 0 DIADHN C[C@H](NC[C@@H]1CCCC[C@@H]1O)c1cc(Cl)ccc1Cl ZINC000085964450 338012508 /nfs/dbraw/zinc/01/25/08/338012508.db2.gz FPAMWTPXTLTGQO-PGUXBMHVSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1ccnc([C@@H]2CCN(Cc3cc(-c4ccccc4)no3)C2)c1 ZINC000516488416 338012690 /nfs/dbraw/zinc/01/26/90/338012690.db2.gz CRCLHBMRASMSOA-QGZVFWFLSA-N 0 3 319.408 4.035 20 0 DIADHN CCCCOC1CCN(CCc2ccc(F)cc2Cl)CC1 ZINC000516519414 338014462 /nfs/dbraw/zinc/01/44/62/338014462.db2.gz NBDCGUTULACIFT-UHFFFAOYSA-N 0 3 313.844 4.303 20 0 DIADHN CCN(CCN[C@H](C)c1ccccc1Cl)CCC(F)(F)F ZINC000426057492 338020154 /nfs/dbraw/zinc/02/01/54/338020154.db2.gz ALMSFJJJWOCTGU-GFCCVEGCSA-N 0 3 322.802 4.265 20 0 DIADHN CCCN(C/C=C\c1ccncc1)[C@@H]1CCCc2cccnc21 ZINC000426167254 338021926 /nfs/dbraw/zinc/02/19/26/338021926.db2.gz JSCLBPWGAGVZMK-SFFCAUCYSA-N 0 3 307.441 4.279 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccccc2F)C1)c1ccc(F)cc1F ZINC000426289995 338024838 /nfs/dbraw/zinc/02/48/38/338024838.db2.gz NZRGAXKVWDLSGU-TZMCWYRMSA-N 0 3 320.358 4.033 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2CCC[C@H]2Cc2ccccc2)o1 ZINC000426295084 338025117 /nfs/dbraw/zinc/02/51/17/338025117.db2.gz MCLWRKQMRPOVPA-ROUUACIJSA-N 0 3 312.457 4.437 20 0 DIADHN CC(C)[C@H](NCc1cc2ccccc2n(C)c1=O)c1ccccc1 ZINC000426295136 338025128 /nfs/dbraw/zinc/02/51/28/338025128.db2.gz XEVHHNPJYGPSRR-FQEVSTJZSA-N 0 3 320.436 4.025 20 0 DIADHN CCCc1nc(C)c(CN[C@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000426299214 338025394 /nfs/dbraw/zinc/02/53/94/338025394.db2.gz TXKFBASFMCGYFP-QGZVFWFLSA-N 0 3 318.436 4.432 20 0 DIADHN CCC[C@H](NCc1cc2ccccc2n(C)c1=O)c1ccccc1 ZINC000426301290 338025652 /nfs/dbraw/zinc/02/56/52/338025652.db2.gz MBCDADJKUNKVJZ-IBGZPJMESA-N 0 3 320.436 4.170 20 0 DIADHN Cc1nnsc1CN[C@@H](Cc1cccc(Cl)c1)CC(C)C ZINC000426308913 338026096 /nfs/dbraw/zinc/02/60/96/338026096.db2.gz XTRRPQISMWZSIL-OAHLLOKOSA-N 0 3 323.893 4.247 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)[C@@H]1OCCc2sccc21 ZINC000426310355 338026143 /nfs/dbraw/zinc/02/61/43/338026143.db2.gz NRPIXTSCHOAJKV-PBQZTSCYSA-N 0 3 317.429 4.167 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1OCCc2sccc21)c1cc(F)cc(F)c1 ZINC000426310644 338026241 /nfs/dbraw/zinc/02/62/41/338026241.db2.gz OQGQYYVUXDAMPR-DINDLPBHSA-N 0 3 323.408 4.379 20 0 DIADHN COCC(C)(C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000426315616 338026571 /nfs/dbraw/zinc/02/65/71/338026571.db2.gz WPFQBWPDUQCDQF-UHFFFAOYSA-N 0 3 319.395 4.316 20 0 DIADHN CCc1nc(C)c(CN[C@@H](C)[C@H](C)c2ccc(Cl)cc2)o1 ZINC000426321392 338026906 /nfs/dbraw/zinc/02/69/06/338026906.db2.gz CONMJXXSTWPVID-RYUDHWBXSA-N 0 3 306.837 4.481 20 0 DIADHN CCc1nc(C)c(CN[C@H](C)[C@H](C)c2ccc(Cl)cc2)o1 ZINC000426321391 338026922 /nfs/dbraw/zinc/02/69/22/338026922.db2.gz CONMJXXSTWPVID-NWDGAFQWSA-N 0 3 306.837 4.481 20 0 DIADHN CCc1nc(C)c(CN[C@@H]2CCC[C@H]2CCc2ccccc2)o1 ZINC000426332220 338027366 /nfs/dbraw/zinc/02/73/66/338027366.db2.gz CBXRJCSQIWURAH-ZWKOTPCHSA-N 0 3 312.457 4.437 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)[C@H]1OCCc2sccc21 ZINC000426343948 338028063 /nfs/dbraw/zinc/02/80/63/338028063.db2.gz GZPSWYNLYHCVDQ-SDDDUWNISA-N 0 3 317.429 4.167 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)s1 ZINC000426343670 338028083 /nfs/dbraw/zinc/02/80/83/338028083.db2.gz QTURZCYXXFHIIV-MQIPJXDCSA-N 0 3 307.484 4.471 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2ccccc2F)o1 ZINC000426346945 338028098 /nfs/dbraw/zinc/02/80/98/338028098.db2.gz JHPHSJDKDNITFY-CYBMUJFWSA-N 0 3 304.409 4.186 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)CCc2ccc(F)cc2F)o1 ZINC000426349061 338028281 /nfs/dbraw/zinc/02/82/81/338028281.db2.gz CPEFZUBOQBVQFL-GFCCVEGCSA-N 0 3 322.399 4.325 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](CC)Cc2ccc(C)cc2)o1 ZINC000426348878 338028322 /nfs/dbraw/zinc/02/83/22/338028322.db2.gz SSEGJTXOLIWJDJ-KRWDZBQOSA-N 0 3 300.446 4.355 20 0 DIADHN CCCc1nc(C)c(CN[C@H](Cc2cccc(C)c2)C2CC2)o1 ZINC000426352930 338028626 /nfs/dbraw/zinc/02/86/26/338028626.db2.gz RYWKLWKMFBURAC-GOSISDBHSA-N 0 3 312.457 4.355 20 0 DIADHN COc1ccccc1/C=C/CN(C)Cc1cccc2[nH]ccc21 ZINC000426373253 338029349 /nfs/dbraw/zinc/02/93/49/338029349.db2.gz RRHQCZIMEJWJGI-RMKNXTFCSA-N 0 3 306.409 4.322 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCS[C@H](C)CC3)s2)cc1 ZINC000426389019 338030122 /nfs/dbraw/zinc/03/01/22/338030122.db2.gz JTYWJIAGLKRLGT-CQSZACIVSA-N 0 3 318.511 4.446 20 0 DIADHN COCC(C)(C)CN(Cc1cccc(C)c1)Cc1ccccn1 ZINC000426398626 338030572 /nfs/dbraw/zinc/03/05/72/338030572.db2.gz ZQHXKZAUOZNKIF-UHFFFAOYSA-N 0 3 312.457 4.065 20 0 DIADHN Cc1nc2ccc(CN3CCS[C@@H]4CCCC[C@H]43)cc2s1 ZINC000426403070 338030813 /nfs/dbraw/zinc/03/08/13/338030813.db2.gz VDMNYUJBPSLCRA-HZPDHXFCSA-N 0 3 318.511 4.465 20 0 DIADHN Cc1nc2ccc(CN3CCS[C@@H]4CCCC[C@@H]43)cc2s1 ZINC000426403071 338030860 /nfs/dbraw/zinc/03/08/60/338030860.db2.gz VDMNYUJBPSLCRA-JKSUJKDBSA-N 0 3 318.511 4.465 20 0 DIADHN Cc1nc(COc2ccc(CN(C)[C@H]3CC3(C)C)cc2)cs1 ZINC000426541897 338034358 /nfs/dbraw/zinc/03/43/58/338034358.db2.gz CQHCIQMHZSXPSJ-KRWDZBQOSA-N 0 3 316.470 4.261 20 0 DIADHN CCc1ccc(CCN2CCC[C@@H]2c2csc(C)n2)cc1 ZINC000426731649 338039664 /nfs/dbraw/zinc/03/96/64/338039664.db2.gz QFBZIYQIACAJED-GOSISDBHSA-N 0 3 300.471 4.394 20 0 DIADHN CCCc1nc(C)c(CN2CCSC3(CCCCC3)C2)o1 ZINC000428218029 338042707 /nfs/dbraw/zinc/04/27/07/338042707.db2.gz IVKIPQCQSUVJJF-UHFFFAOYSA-N 0 3 308.491 4.187 20 0 DIADHN CCc1nc(C)c(CN2CCC(Cc3ccccc3F)CC2)o1 ZINC000428222515 338042931 /nfs/dbraw/zinc/04/29/31/338042931.db2.gz XASWDJNAVNQVBX-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C(C)C)[nH]1 ZINC000428227945 338043126 /nfs/dbraw/zinc/04/31/26/338043126.db2.gz YLTHBTZEDHWNTQ-SJORKVTESA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCC[N@@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227945 338043127 /nfs/dbraw/zinc/04/31/27/338043127.db2.gz YLTHBTZEDHWNTQ-SJORKVTESA-N 0 3 312.461 4.086 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCC[N@H+]1Cc1nnc(C(C)C)[n-]1 ZINC000428227945 338043128 /nfs/dbraw/zinc/04/31/28/338043128.db2.gz YLTHBTZEDHWNTQ-SJORKVTESA-N 0 3 312.461 4.086 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)[C@@H]2CCCC2(C)C)ncn1 ZINC000428226234 338043217 /nfs/dbraw/zinc/04/32/17/338043217.db2.gz UDKPYWDPVXDWPR-LJQANCHMSA-N 0 3 309.457 4.366 20 0 DIADHN CCCc1nc(C)c(CNC(C)(C)CCc2ccccc2)o1 ZINC000428228571 338043263 /nfs/dbraw/zinc/04/32/63/338043263.db2.gz CPTRZSCNYTTYBE-UHFFFAOYSA-N 0 3 300.446 4.437 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)ncn1 ZINC000428231747 338043381 /nfs/dbraw/zinc/04/33/81/338043381.db2.gz WSDCRSKXWWLJQI-KGLIPLIRSA-N 0 3 303.837 4.062 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)ncn1 ZINC000428231746 338043419 /nfs/dbraw/zinc/04/34/19/338043419.db2.gz WSDCRSKXWWLJQI-KBPBESRZSA-N 0 3 303.837 4.062 20 0 DIADHN CCc1nc(C)c(CN(C)[C@H](C)Cc2ccc(Cl)cc2)o1 ZINC000428231519 338043481 /nfs/dbraw/zinc/04/34/81/338043481.db2.gz KOHZCAJBFWUEPB-GFCCVEGCSA-N 0 3 306.837 4.262 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@@]3(CCCc4ccccc43)C2)cn1 ZINC000428248774 338044361 /nfs/dbraw/zinc/04/43/61/338044361.db2.gz WFVXKDKVEJORCX-UWJYYQICSA-N 0 3 321.468 4.216 20 0 DIADHN Cc1cc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)ncn1 ZINC000428252560 338044511 /nfs/dbraw/zinc/04/45/11/338044511.db2.gz JEGSJTQZVADGCL-ZCPJJFRWSA-N 0 3 307.441 4.243 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@H](c3ccc(F)cc3)CC2)cn1 ZINC000428319240 338047073 /nfs/dbraw/zinc/04/70/73/338047073.db2.gz ONXCVWMIZXVBSG-HOTGVXAUSA-N 0 3 313.420 4.255 20 0 DIADHN Cc1cccc([C@H](NCc2n[nH]c(C(C)C)n2)C(C)(C)C)c1 ZINC000428321958 338047244 /nfs/dbraw/zinc/04/72/44/338047244.db2.gz GANLLBSBFTVBSG-INIZCTEOSA-N 0 3 300.450 4.114 20 0 DIADHN CC(C)O[C@H](CN[C@@H](c1cccnc1)C1CC1)c1ccccc1 ZINC000428340821 338047850 /nfs/dbraw/zinc/04/78/50/338047850.db2.gz LNCVOLXJULDGGY-WOJBJXKFSA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)O[C@H](CN[C@H](c1cccnc1)C1CC1)c1ccccc1 ZINC000428340819 338047902 /nfs/dbraw/zinc/04/79/02/338047902.db2.gz LNCVOLXJULDGGY-UXHICEINSA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)(CO)[C@@H](NC/C=C\c1ccc(F)cc1)c1ccccc1 ZINC000428436798 338051424 /nfs/dbraw/zinc/05/14/24/338051424.db2.gz GSNAOOBCQLAIOC-RURPWVQCSA-N 0 3 313.416 4.188 20 0 DIADHN OC1(C(F)F)CCN(Cc2cc(-c3ccccc3)cs2)CC1 ZINC000428448387 338052054 /nfs/dbraw/zinc/05/20/54/338052054.db2.gz QFZPOUDMSHQCAZ-UHFFFAOYSA-N 0 3 323.408 4.007 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@@H](OC(C)C)c2ccccc2)on1 ZINC000428451402 338052253 /nfs/dbraw/zinc/05/22/53/338052253.db2.gz ATLDHQBLSAIPNG-IEBWSBKVSA-N 0 3 314.429 4.286 20 0 DIADHN CCc1nc(C)c(CN2CCC(Cc3cccc(F)c3)CC2)o1 ZINC000428451683 338052290 /nfs/dbraw/zinc/05/22/90/338052290.db2.gz DOFQRAZFAQUTMY-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@H]2Cc2cccc(F)c2)o1 ZINC000428453246 338052475 /nfs/dbraw/zinc/05/24/75/338052475.db2.gz FOOYJBXFTQTZOO-KRWDZBQOSA-N 0 3 316.420 4.282 20 0 DIADHN CC(C)CC[C@@H](N[C@@H]1CCN(c2ccccn2)C1)c1ccoc1 ZINC000428456003 338052723 /nfs/dbraw/zinc/05/27/23/338052723.db2.gz KBORMHSKZABMJR-QZTJIDSGSA-N 0 3 313.445 4.020 20 0 DIADHN CC[C@@]1(CO)CCCN(Cc2cc3cc(C)c(C)cc3o2)C1 ZINC000428459380 338053091 /nfs/dbraw/zinc/05/30/91/338053091.db2.gz ZKVHTORMQMKEDZ-LJQANCHMSA-N 0 3 301.430 4.034 20 0 DIADHN CC[C@]1(CO)CCCN(Cc2ccc(-c3ccc(F)cc3)o2)C1 ZINC000428467961 338053801 /nfs/dbraw/zinc/05/38/01/338053801.db2.gz LSUCYURDBSBWRL-IBGZPJMESA-N 0 3 317.404 4.070 20 0 DIADHN CCN(CC1=Cc2ccccc2OC1)[C@@H](C)Cc1ccsc1 ZINC000428469755 338054111 /nfs/dbraw/zinc/05/41/11/338054111.db2.gz WYGGMDGREUAUDP-HNNXBMFYSA-N 0 3 313.466 4.477 20 0 DIADHN CC(C)O[C@H](CN1CCC[C@@H](C(F)(F)F)C1)c1ccccc1 ZINC000428471756 338054195 /nfs/dbraw/zinc/05/41/95/338054195.db2.gz YVGXUWATNYCGEU-HZPDHXFCSA-N 0 3 315.379 4.427 20 0 DIADHN Cc1ccc(CCC2CCN([C@H](C)c3cnc(C)cn3)CC2)cc1 ZINC000428489934 338054859 /nfs/dbraw/zinc/05/48/59/338054859.db2.gz XJDFRYZGFQDFBR-GOSISDBHSA-N 0 3 323.484 4.499 20 0 DIADHN Oc1cccc2c1C[C@@H](NCc1c(Cl)oc3ccccc31)C2 ZINC000428555249 338056171 /nfs/dbraw/zinc/05/61/71/338056171.db2.gz AFDVMQSJCNRZOS-LBPRGKRZSA-N 0 3 313.784 4.049 20 0 DIADHN CCCCCOc1cc(CNC2CC(F)(F)C2)ccc1OC ZINC000428636948 338057456 /nfs/dbraw/zinc/05/74/56/338057456.db2.gz BXUABCPBYJAMGG-UHFFFAOYSA-N 0 3 313.388 4.152 20 0 DIADHN Cc1ccc(OCCCN2CC[C@]3(C)[C@H](C2)C3(F)F)cc1C ZINC000428705589 338058817 /nfs/dbraw/zinc/05/88/17/338058817.db2.gz CUBCNVJLSXWPRH-DLBZAZTESA-N 0 3 309.400 4.049 20 0 DIADHN Clc1ccc(C2CCN(C[C@H]3CCCO3)CC2)c(Cl)c1 ZINC000428711332 338059114 /nfs/dbraw/zinc/05/91/14/338059114.db2.gz OJBGBHIBHLJVKA-CQSZACIVSA-N 0 3 314.256 4.352 20 0 DIADHN Cc1ccc(SCCN2CC[C@@]3(C)[C@@H](C2)C3(F)F)cc1C ZINC000428741071 338060219 /nfs/dbraw/zinc/06/02/19/338060219.db2.gz XQQCNGISMIJUGC-CVEARBPZSA-N 0 3 311.441 4.373 20 0 DIADHN Fc1ccc2nc(CN[C@H]3C[C@H]3Cc3ccccc3)sc2c1 ZINC000428850226 338062172 /nfs/dbraw/zinc/06/21/72/338062172.db2.gz NEMFHTPQGHLJRI-CJNGLKHVSA-N 0 3 312.413 4.156 20 0 DIADHN Fc1cc(F)cc(CCNCc2ccc(C(F)(F)F)s2)c1 ZINC000428858679 338062694 /nfs/dbraw/zinc/06/26/94/338062694.db2.gz DYUZZQIMJISWIX-UHFFFAOYSA-N 0 3 321.314 4.377 20 0 DIADHN Fc1ccccc1OCCNCc1ccc(C(F)(F)F)s1 ZINC000428865447 338063147 /nfs/dbraw/zinc/06/31/47/338063147.db2.gz FBTQFSMTMLNPDD-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2nc3ccc(F)cc3s2)o1 ZINC000428879061 338064349 /nfs/dbraw/zinc/06/43/49/338064349.db2.gz FBCPFSQAOUOYQX-NSHDSACASA-N 0 3 318.417 4.448 20 0 DIADHN CN(C)c1cccc(CNCc2ccc(C(F)(F)F)s2)c1 ZINC000428884901 338064463 /nfs/dbraw/zinc/06/44/63/338064463.db2.gz VZGPCCITSGPWIS-UHFFFAOYSA-N 0 3 314.376 4.123 20 0 DIADHN Fc1ccc2nc(CNC3(Cc4ccccc4)CC3)sc2c1 ZINC000428884673 338064490 /nfs/dbraw/zinc/06/44/90/338064490.db2.gz VJEPKWMDACVMIW-UHFFFAOYSA-N 0 3 312.413 4.300 20 0 DIADHN C[C@@H](CCc1ccco1)NCc1nc2ccc(F)cc2s1 ZINC000428885563 338064695 /nfs/dbraw/zinc/06/46/95/338064695.db2.gz XKUGAUQURIBTFT-NSHDSACASA-N 0 3 304.390 4.139 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@H](C)c3cnc(C)cn3)C2)cc1 ZINC000428973292 338067273 /nfs/dbraw/zinc/06/72/73/338067273.db2.gz CQDIPRIIXJTPNC-VQIMIIECSA-N 0 3 309.457 4.288 20 0 DIADHN CC(C)O[C@@H](CN1CC[C@@H](CC(F)(F)F)C1)c1ccccc1 ZINC000428985598 338067846 /nfs/dbraw/zinc/06/78/46/338067846.db2.gz KTPIOXIZLMQILW-HOCLYGCPSA-N 0 3 315.379 4.427 20 0 DIADHN Clc1cccc(S[C@H]2CCN(C[C@@H]3CCCCO3)C2)c1 ZINC000429111992 338070895 /nfs/dbraw/zinc/07/08/95/338070895.db2.gz ASDRNOHXXZOSPF-HOCLYGCPSA-N 0 3 311.878 4.076 20 0 DIADHN COCC[C@H](c1ccccc1)N1Cc2ccccc2O[C@@H](C)C1 ZINC000429127514 338071337 /nfs/dbraw/zinc/07/13/37/338071337.db2.gz CHVBUNKBVCTGCT-QFBILLFUSA-N 0 3 311.425 4.047 20 0 DIADHN FC(F)(F)CCN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429156711 338072271 /nfs/dbraw/zinc/07/22/71/338072271.db2.gz LYNWWOJWXQYAIR-GFCCVEGCSA-N 0 3 309.784 4.459 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(Cc3ccncc3)C2)c1 ZINC000429159879 338072282 /nfs/dbraw/zinc/07/22/82/338072282.db2.gz FXSKRVFMUQLHQK-MRXNPFEDSA-N 0 3 304.846 4.102 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)[C@@H]1OCCc2sccc21 ZINC000429161705 338072299 /nfs/dbraw/zinc/07/22/99/338072299.db2.gz QSSCBBDTSVWGBR-KDOFPFPSSA-N 0 3 301.455 4.157 20 0 DIADHN C[C@@H](NCCSc1ccccc1)[C@H]1OCCc2sccc21 ZINC000429165207 338072391 /nfs/dbraw/zinc/07/23/91/338072391.db2.gz ZAMSTAPEWGBLQK-CXAGYDPISA-N 0 3 319.495 4.132 20 0 DIADHN Cc1cccc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)n1 ZINC000429171759 338072496 /nfs/dbraw/zinc/07/24/96/338072496.db2.gz OXQQBOKQZSUPFB-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCOC[C@@H](C2CCC2)C1 ZINC000429252875 338075004 /nfs/dbraw/zinc/07/50/04/338075004.db2.gz IQDWWLYLNDGMBM-KBXCAEBGSA-N 0 3 305.849 4.076 20 0 DIADHN CC[C@@H]1CCN1Cc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000429281065 338076089 /nfs/dbraw/zinc/07/60/89/338076089.db2.gz TXZOOJDOPKJCGC-QGZVFWFLSA-N 0 3 317.864 4.186 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cccc(C(F)(F)F)c2)C1 ZINC000429301133 338077242 /nfs/dbraw/zinc/07/72/42/338077242.db2.gz KOEASIDQAYMSDU-CYBMUJFWSA-N 0 3 303.393 4.280 20 0 DIADHN C[C@@H]1C[C@@H](O)C[C@H](C)N1Cc1ccccc1Oc1ccccc1 ZINC000429312236 338077457 /nfs/dbraw/zinc/07/74/57/338077457.db2.gz DNNRRZPCZWMIAC-ALXJQNPVSA-N 0 3 311.425 4.213 20 0 DIADHN C[C@H](N[C@H]1CC12CCCC2)c1nc2ccc(Cl)cc2n1C ZINC000429423718 338080515 /nfs/dbraw/zinc/08/05/15/338080515.db2.gz YWNLCHQZLHCPMO-NHYWBVRUSA-N 0 3 303.837 4.210 20 0 DIADHN CCC(C)(C)CN[C@H](C)c1ccc(-n2cncn2)cc1Cl ZINC000429426206 338080601 /nfs/dbraw/zinc/08/06/01/338080601.db2.gz NGVMQNBYJJFDLU-GFCCVEGCSA-N 0 3 306.841 4.008 20 0 DIADHN CC(C)OCCN[C@@H](Cc1ccccc1F)c1ccccc1 ZINC000429437633 338081087 /nfs/dbraw/zinc/08/10/87/338081087.db2.gz ZAPLDPIJGYDDPK-IBGZPJMESA-N 0 3 301.405 4.124 20 0 DIADHN Cn1ccc(CN[C@H](Cc2ccccc2F)c2ccccc2)c1 ZINC000429527295 338083013 /nfs/dbraw/zinc/08/30/13/338083013.db2.gz LUWWYYYBTQCNND-HXUWFJFHSA-N 0 3 308.400 4.238 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)CC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000429568067 338084512 /nfs/dbraw/zinc/08/45/12/338084512.db2.gz NNEJRXXSYUOZCE-ZYHUDNBSSA-N 0 3 315.244 4.052 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000429597182 338085782 /nfs/dbraw/zinc/08/57/82/338085782.db2.gz JQCGKCWISHYRTM-DGCLKSJQSA-N 0 3 306.356 4.240 20 0 DIADHN CC[C@H](c1ccncc1)[N@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000429688953 338087558 /nfs/dbraw/zinc/08/75/58/338087558.db2.gz YIGMJZBCSSUFTA-GOSISDBHSA-N 0 3 321.424 4.227 20 0 DIADHN CC[C@H](c1ccncc1)[N@@H+](C)Cc1ccc([O-])c2nc(C)ccc12 ZINC000429688953 338087559 /nfs/dbraw/zinc/08/75/59/338087559.db2.gz YIGMJZBCSSUFTA-GOSISDBHSA-N 0 3 321.424 4.227 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)[C@H](C)[C@@H](N)c1ccccc1 ZINC000429717667 338088160 /nfs/dbraw/zinc/08/81/60/338088160.db2.gz HZICLLQGAKXJAL-NSISKUIASA-N 0 3 324.468 4.322 20 0 DIADHN CC(C)(CO)[C@@H](NCCSc1ccccc1)c1ccccc1 ZINC000429731880 338088663 /nfs/dbraw/zinc/08/86/63/338088663.db2.gz UCDWTXJUYPWLAE-SFHVURJKSA-N 0 3 315.482 4.128 20 0 DIADHN COc1cc(CN(Cc2cccc(C)c2C)C2CC2)ccc1O ZINC000429982469 338092970 /nfs/dbraw/zinc/09/29/70/338092970.db2.gz PRWVGORXSSMFEK-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN CC1(C)C[C@H](NCc2cc(Cl)ccc2OCC2CC2)CCO1 ZINC000430032616 338094063 /nfs/dbraw/zinc/09/40/63/338094063.db2.gz OOCJUJVKGGDUFE-MRXNPFEDSA-N 0 3 323.864 4.176 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCC(F)(F)C2)c(Br)c1 ZINC000430044781 338094433 /nfs/dbraw/zinc/09/44/33/338094433.db2.gz WIVDEFQFDNLUFD-SECBINFHSA-N 0 3 322.168 4.113 20 0 DIADHN CCCC[C@H](C)N(C)Cc1cnc(-c2ccccc2OC)nc1 ZINC000430082546 338095186 /nfs/dbraw/zinc/09/51/86/338095186.db2.gz FCNGNTGANQTLSN-HNNXBMFYSA-N 0 3 313.445 4.163 20 0 DIADHN CC(C)[C@H]1N(Cc2c[nH]nc2-c2ccc(F)cc2)CC12CCC2 ZINC000430086677 338095497 /nfs/dbraw/zinc/09/54/97/338095497.db2.gz DZYKJGCYPWEQNS-GOSISDBHSA-N 0 3 313.420 4.226 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(-n3ccnc3)cs2)c1C ZINC000430105542 338096875 /nfs/dbraw/zinc/09/68/75/338096875.db2.gz WSFFNXKCEQYCBG-UHFFFAOYSA-N 0 3 311.454 4.183 20 0 DIADHN COCC(C)(C)N(C)Cc1ccc(Oc2cccnc2)c(C)c1 ZINC000430120190 338097907 /nfs/dbraw/zinc/09/79/07/338097907.db2.gz HOYHIAYDMFRQPK-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN CCCc1nc(C)c(CNC2CC(c3cc(F)cc(F)c3)C2)o1 ZINC000430126649 338098169 /nfs/dbraw/zinc/09/81/69/338098169.db2.gz DOKNSIZJMYSNMM-UHFFFAOYSA-N 0 3 320.383 4.250 20 0 DIADHN COc1ccccc1-c1ncc(CN(C2CCC2)C2CCC2)cn1 ZINC000430138822 338098627 /nfs/dbraw/zinc/09/86/27/338098627.db2.gz OIDFJXTYNNLFCR-UHFFFAOYSA-N 0 3 323.440 4.059 20 0 DIADHN Cc1ccc(CN2CC[C@H](Sc3cccc(Cl)c3)C2)cn1 ZINC000430185220 338100032 /nfs/dbraw/zinc/10/00/32/338100032.db2.gz GQMIFKCJFDUEEA-KRWDZBQOSA-N 0 3 318.873 4.410 20 0 DIADHN COc1cccc(CN2CCC[C@@H](CSC)C2)c1OC(C)C ZINC000430194819 338100714 /nfs/dbraw/zinc/10/07/14/338100714.db2.gz XGEQOEJKPIUNAK-OAHLLOKOSA-N 0 3 323.502 4.057 20 0 DIADHN CC[C@@H]1CCN1Cc1cc(C)c(OCc2ccccn2)c(C)c1 ZINC000430199432 338100888 /nfs/dbraw/zinc/10/08/88/338100888.db2.gz RJXQAWYBCGWEAQ-LJQANCHMSA-N 0 3 310.441 4.262 20 0 DIADHN CC[C@@H]1CCN1Cc1ccc(OCc2cccs2)c(OC)c1 ZINC000430200038 338101009 /nfs/dbraw/zinc/10/10/09/338101009.db2.gz VVRRUTXWXKLMLX-OAHLLOKOSA-N 0 3 317.454 4.320 20 0 DIADHN CC(C(=O)N1CCCSc2ccc(Cl)cc21)C(F)(F)F ZINC000430458493 338107451 /nfs/dbraw/zinc/10/74/51/338107451.db2.gz HSQBUACVEWZKRK-QMMMGPOBSA-N 0 3 323.767 4.367 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000430738245 338112813 /nfs/dbraw/zinc/11/28/13/338112813.db2.gz AIRZHAQEAYNTCO-DYVFJYSZSA-N 0 3 320.358 4.335 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCC(F)(F)C2)c2cccnc2)cc1 ZINC000430738244 338112833 /nfs/dbraw/zinc/11/28/33/338112833.db2.gz AIRZHAQEAYNTCO-CXAGYDPISA-N 0 3 320.358 4.335 20 0 DIADHN CC[C@@H]1CC[C@H](C)C[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000430750518 338113546 /nfs/dbraw/zinc/11/35/46/338113546.db2.gz OMSYVEPFWSRHRW-JJRVBVJISA-N 0 3 308.466 4.294 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1C[C@H](C)Cc1ccccc1 ZINC000430862968 338117258 /nfs/dbraw/zinc/11/72/58/338117258.db2.gz GAYXWUPEPNVSAH-MJGOQNOKSA-N 0 3 311.473 4.309 20 0 DIADHN Clc1ccc(CNC[C@H]2CCCS2)c(Br)c1 ZINC000149804455 338121283 /nfs/dbraw/zinc/12/12/83/338121283.db2.gz ZPFQBSXFTIHLIY-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@@H](C)C(C)(C)C ZINC000431114142 338123040 /nfs/dbraw/zinc/12/30/40/338123040.db2.gz XBAQRCNDXBKSEF-OAHLLOKOSA-N 0 3 302.462 4.212 20 0 DIADHN COC(=O)c1cc([C@H](C)N[C@@H](C)[C@H](C)c2ccccc2)oc1C ZINC000431120906 338123259 /nfs/dbraw/zinc/12/32/59/338123259.db2.gz PFRPXRBIZCBGIJ-IHRRRGAJSA-N 0 3 315.413 4.217 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NC[C@]1(C)CCCO1 ZINC000431346749 338128678 /nfs/dbraw/zinc/12/86/78/338128678.db2.gz DXQKZXOYJMKYGG-HKUYNNGSSA-N 0 3 303.446 4.182 20 0 DIADHN CCC(O)(CC)CN[C@@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000431347454 338128783 /nfs/dbraw/zinc/12/87/83/338128783.db2.gz GSLXJUCTABZORO-OAHLLOKOSA-N 0 3 311.853 4.003 20 0 DIADHN Fc1ccc(CN[C@H]2CCCOc3cc4c(cc32)CCC4)cc1 ZINC000431353827 338129061 /nfs/dbraw/zinc/12/90/61/338129061.db2.gz WZAVNWSNJUJGMB-IBGZPJMESA-N 0 3 311.400 4.318 20 0 DIADHN CCc1ccccc1C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000431511262 338132900 /nfs/dbraw/zinc/13/29/00/338132900.db2.gz KGARSGVQNFOAEY-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN Cc1cc2cc(NC(=O)C[C@@H](c3ccccc3)N(C)C)ccc2[nH]1 ZINC000431897621 338141233 /nfs/dbraw/zinc/14/12/33/338141233.db2.gz DIMNPKNVCFVYON-IBGZPJMESA-N 0 3 321.424 4.108 20 0 DIADHN Cc1noc(C)c1C[C@H](C)NCc1csc(Cl)c1Cl ZINC000432235927 338149630 /nfs/dbraw/zinc/14/96/30/338149630.db2.gz NUWORHQJYYWHJE-ZETCQYMHSA-N 0 3 319.257 4.381 20 0 DIADHN C[C@H](N[C@@H]1CCOC[C@H]1C)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000432248018 338150615 /nfs/dbraw/zinc/15/06/15/338150615.db2.gz VBJMIPMOENQSBE-TYNCELHUSA-N 0 3 320.820 4.071 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1ccc(F)c(Cl)c1Cl ZINC000432250560 338150876 /nfs/dbraw/zinc/15/08/76/338150876.db2.gz KSHXZSKVAXZHBP-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1ccc(F)c(Cl)c1Cl ZINC000432250278 338150934 /nfs/dbraw/zinc/15/09/34/338150934.db2.gz JUQOMYMWJXLNNA-VIFPVBQESA-N 0 3 308.224 4.426 20 0 DIADHN CO[C@H](CCNCc1csc(Cl)c1Cl)C(F)(F)F ZINC000432258884 338151689 /nfs/dbraw/zinc/15/16/89/338151689.db2.gz MQMQAFZODCRQIL-SSDOTTSWSA-N 0 3 322.179 4.112 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H](Oc2ccncc2)C1 ZINC000448523457 338152458 /nfs/dbraw/zinc/15/24/58/338152458.db2.gz ZIYIVLUSPSCYHX-QZTJIDSGSA-N 0 3 316.832 4.339 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)c2ccccc2CCN1Cc1cocn1 ZINC000432721802 338164244 /nfs/dbraw/zinc/16/42/44/338164244.db2.gz FHWCMZGGSYDKDR-IIBYNOLFSA-N 0 3 318.420 4.253 20 0 DIADHN Fc1ccc2nc(CN3CC[C@@H](c4ccccc4)C3)sc2c1 ZINC000432742701 338165694 /nfs/dbraw/zinc/16/56/94/338165694.db2.gz PPMJDLJLSKQKQK-CQSZACIVSA-N 0 3 312.413 4.425 20 0 DIADHN C[C@H]1CC2(CCC2)CN1Cc1cn(C)nc1C1CCCCC1 ZINC000432801060 338168537 /nfs/dbraw/zinc/16/85/37/338168537.db2.gz SPFZPNANFRJGMO-HNNXBMFYSA-N 0 3 301.478 4.232 20 0 DIADHN COc1cc(CN(C)CC2(C)CCC2)c(SC)cc1OC ZINC000432813471 338168602 /nfs/dbraw/zinc/16/86/02/338168602.db2.gz NBWZONRBMBMBMW-UHFFFAOYSA-N 0 3 309.475 4.048 20 0 DIADHN CCOC(=O)c1csc(CN[C@H]2CCCC[C@@H]2C(C)(C)C)n1 ZINC000432831243 338169754 /nfs/dbraw/zinc/16/97/54/338169754.db2.gz IXILFAAQRXBXRT-STQMWFEESA-N 0 3 324.490 4.014 20 0 DIADHN Cc1ccn(C2CCN(c3c(C)cnc4c(C)cccc43)CC2)n1 ZINC000432832919 338170012 /nfs/dbraw/zinc/17/00/12/338170012.db2.gz TUTNDXJACNMCMS-UHFFFAOYSA-N 0 3 320.440 4.198 20 0 DIADHN C[C@@H]1N(Cc2ccc(C(F)(F)F)s2)CCC12CCOCC2 ZINC000432923172 338173235 /nfs/dbraw/zinc/17/32/35/338173235.db2.gz DKKQZSXFSOPDEZ-NSHDSACASA-N 0 3 319.392 4.158 20 0 DIADHN CCc1ccccc1C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000432937957 338174231 /nfs/dbraw/zinc/17/42/31/338174231.db2.gz MRHOZABEMDLXHH-INIZCTEOSA-N 0 3 324.468 4.108 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN(CCC2CCOCC2)C2CC2)o1 ZINC000432939441 338174480 /nfs/dbraw/zinc/17/44/80/338174480.db2.gz OFPDJQDYDNNXER-KDOFPFPSSA-N 0 3 303.446 4.184 20 0 DIADHN CC(C)[C@H](O)CN(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000432940762 338174622 /nfs/dbraw/zinc/17/46/22/338174622.db2.gz SQGHRZWFJJVDMW-NVXWUHKLSA-N 0 3 303.471 4.328 20 0 DIADHN Cc1cnc(C2CCN(Cc3cc(F)ccc3C)CC2)s1 ZINC000432955114 338174882 /nfs/dbraw/zinc/17/48/82/338174882.db2.gz QXTMSUKTDKWMTR-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN FC(F)n1c2ccccc2nc1CN[C@@H]1CC2CCC1CC2 ZINC000432997073 338175732 /nfs/dbraw/zinc/17/57/32/338175732.db2.gz JZCGHFVMNAAHDO-ORHYLEIMSA-N 0 3 305.372 4.100 20 0 DIADHN COC(=O)[C@H]1CC[C@@H](N[C@@H](c2ccccc2)c2ccccc2C)C1 ZINC000433008294 338176002 /nfs/dbraw/zinc/17/60/02/338176002.db2.gz CTYLHQSNVFDPJI-NSHGMRRFSA-N 0 3 323.436 4.016 20 0 DIADHN Cc1cnc(C2CCN(Cc3cc(C)cc(C)c3)CC2)s1 ZINC000433031957 338177422 /nfs/dbraw/zinc/17/74/22/338177422.db2.gz KHFUYTUZACUEDA-UHFFFAOYSA-N 0 3 300.471 4.448 20 0 DIADHN Cc1ccsc1CN1CCC(c2ncccc2Cl)CC1 ZINC000433033387 338177576 /nfs/dbraw/zinc/17/75/76/338177576.db2.gz PKLKKDUGOPIPSZ-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@H](O)CCCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000433073873 338178721 /nfs/dbraw/zinc/17/87/21/338178721.db2.gz UHJFCNJHWDZGGP-WPRPVWTQSA-N 0 3 308.274 4.183 20 0 DIADHN OCC1(CNC2c3ccccc3-c3ccccc32)CC2(CCC2)C1 ZINC000433055732 338178895 /nfs/dbraw/zinc/17/88/95/338178895.db2.gz BNGHQJDGTZKVNN-UHFFFAOYSA-N 0 3 319.448 4.289 20 0 DIADHN CSC1(CN[C@H](C)c2cc(Cl)ccc2OC(F)F)CC1 ZINC000433062017 338179541 /nfs/dbraw/zinc/17/95/41/338179541.db2.gz RNCSRJZYZLPDFH-SECBINFHSA-N 0 3 321.820 4.488 20 0 DIADHN CSC1(CN[C@@H]2CCCOc3ccc(Cl)cc32)CCC1 ZINC000433063558 338179659 /nfs/dbraw/zinc/17/96/59/338179659.db2.gz SYXWTJVOFCSBFX-CQSZACIVSA-N 0 3 311.878 4.429 20 0 DIADHN C[C@@H](O)CCCN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000433067293 338179838 /nfs/dbraw/zinc/17/98/38/338179838.db2.gz MTNUETLGRDESFY-CHWSQXEVSA-N 0 3 323.383 4.253 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CC4CCC3CC4)o2)cc1 ZINC000433067192 338179872 /nfs/dbraw/zinc/17/98/72/338179872.db2.gz MHDPQRBTXISMCK-MQBCKMQZSA-N 0 3 312.413 4.019 20 0 DIADHN CC1(C)CC(N[C@@H](Cc2ccccc2)C(=O)Nc2ccccc2)C1 ZINC000433079187 338180624 /nfs/dbraw/zinc/18/06/24/338180624.db2.gz VKCNXURCAOPJPT-IBGZPJMESA-N 0 3 322.452 4.015 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H]1CCCN(c2ccccc2)C1 ZINC000433089960 338181166 /nfs/dbraw/zinc/18/11/66/338181166.db2.gz GWEBRSYXMRVABF-ZWKOTPCHSA-N 0 3 323.484 4.211 20 0 DIADHN CCC[C@H](NCC1(CO)CC(c2ccccc2)C1)c1ccccn1 ZINC000433097961 338181696 /nfs/dbraw/zinc/18/16/96/338181696.db2.gz QPIXNTWTUDVMFX-SCCNAQOGSA-N 0 3 324.468 4.069 20 0 DIADHN CCn1cccc(CN[C@H](c2cc3ccccc3o2)C(C)C)c1=O ZINC000433102450 338181908 /nfs/dbraw/zinc/18/19/08/338181908.db2.gz RBGLYFPZLFGASN-IBGZPJMESA-N 0 3 324.424 4.101 20 0 DIADHN Cc1cccc(NC(=O)[C@H]2CCCCN2C2CCCC2)c1C ZINC000433110539 338182149 /nfs/dbraw/zinc/18/21/49/338182149.db2.gz BBAROQVRPVKBAQ-GOSISDBHSA-N 0 3 300.446 4.039 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000433115447 338182261 /nfs/dbraw/zinc/18/22/61/338182261.db2.gz JVGGOGAFJSQMKG-KRWDZBQOSA-N 0 3 316.832 4.280 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H]1CCCCN1C1CCCC1 ZINC000433126592 338182548 /nfs/dbraw/zinc/18/25/48/338182548.db2.gz OYOVSCIUUALERR-KRWDZBQOSA-N 0 3 320.864 4.384 20 0 DIADHN CCc1cccc(CN2CCC(c3ncc(C)s3)CC2)c1 ZINC000433137987 338182735 /nfs/dbraw/zinc/18/27/35/338182735.db2.gz QNFOJSAYKFBHCC-UHFFFAOYSA-N 0 3 300.471 4.394 20 0 DIADHN Cc1cnc(C2CCN(CCc3ccc(F)c(F)c3)CC2)s1 ZINC000433145075 338182903 /nfs/dbraw/zinc/18/29/03/338182903.db2.gz FRHYCWXOKLMSOL-UHFFFAOYSA-N 0 3 322.424 4.152 20 0 DIADHN CC(C)C1CCC(N(C)Cc2nc3cccnc3s2)CC1 ZINC000433166253 338183780 /nfs/dbraw/zinc/18/37/80/338183780.db2.gz JRMMBGSQNMDZGV-UHFFFAOYSA-N 0 3 303.475 4.338 20 0 DIADHN Cc1c([C@H](C)NC2CC(C)(C)C2)cnn1-c1ccc(F)cc1 ZINC000433168809 338183849 /nfs/dbraw/zinc/18/38/49/338183849.db2.gz OQTQPYSURXABHQ-LBPRGKRZSA-N 0 3 301.409 4.159 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@@H]3N(C)C)cc1F ZINC000433178361 338184189 /nfs/dbraw/zinc/18/41/89/338184189.db2.gz BUHVULFFHSOVBG-SFHVURJKSA-N 0 3 314.404 4.131 20 0 DIADHN CC1(C)CC(NCc2ccc(Oc3ccc(F)cc3)nc2)C1 ZINC000433176729 338184251 /nfs/dbraw/zinc/18/42/51/338184251.db2.gz PEDNJUZTZSPSAO-UHFFFAOYSA-N 0 3 300.377 4.291 20 0 DIADHN Clc1sccc1CNC[C@H]1CCCN(c2ccccc2)C1 ZINC000433181655 338184620 /nfs/dbraw/zinc/18/46/20/338184620.db2.gz LUPDGDVKJIEPDM-CQSZACIVSA-N 0 3 320.889 4.408 20 0 DIADHN C[C@@H](CNCc1cc(F)c(Cl)cc1F)CC1CCOCC1 ZINC000433196064 338185208 /nfs/dbraw/zinc/18/52/08/338185208.db2.gz FWBGMYDKAOGARO-LLVKDONJSA-N 0 3 317.807 4.161 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCN(c3ccccc3)C2)o1 ZINC000433202108 338185633 /nfs/dbraw/zinc/18/56/33/338185633.db2.gz OOFXRCPFSKFKQA-HLIPFELVSA-N 0 3 324.468 4.409 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC/C=C/c3ccccc3)cccc21 ZINC000433206675 338185919 /nfs/dbraw/zinc/18/59/19/338185919.db2.gz IHXXOPXPCJCLQW-VQFGERMISA-N 0 3 308.425 4.197 20 0 DIADHN CN(C)[C@H]1CCOc2c(NC/C=C\c3ccccc3)cccc21 ZINC000433206673 338185962 /nfs/dbraw/zinc/18/59/62/338185962.db2.gz IHXXOPXPCJCLQW-KWEPMOPPSA-N 0 3 308.425 4.197 20 0 DIADHN CN(C)[C@H](CC(=O)Nc1cccc2ccccc21)c1ccccc1 ZINC000433219582 338186409 /nfs/dbraw/zinc/18/64/09/338186409.db2.gz JXXZJOTYIIPEHB-HXUWFJFHSA-N 0 3 318.420 4.471 20 0 DIADHN Cc1cnc(C2CCN(CCCSCC(C)C)CC2)s1 ZINC000433283514 338189309 /nfs/dbraw/zinc/18/93/09/338189309.db2.gz CNBJEIKQKSUZLX-UHFFFAOYSA-N 0 3 312.548 4.410 20 0 DIADHN Cc1ncsc1CCN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000433294141 338189683 /nfs/dbraw/zinc/18/96/83/338189683.db2.gz JZBUUDOXNNLJRB-CQSZACIVSA-N 0 3 306.862 4.137 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000433324901 338192439 /nfs/dbraw/zinc/19/24/39/338192439.db2.gz GJZSVYFQKKZQIR-UHFFFAOYSA-N 0 3 309.413 4.046 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000433341554 338193420 /nfs/dbraw/zinc/19/34/20/338193420.db2.gz IJSLXBSYQIDQKT-UHFFFAOYSA-N 0 3 309.413 4.257 20 0 DIADHN CC(C)n1ncnc1CN[C@H](CC1CCCCC1)C(C)(C)C ZINC000434471685 338216671 /nfs/dbraw/zinc/21/66/71/338216671.db2.gz UWDYBWFROFSNNQ-MRXNPFEDSA-N 0 3 306.498 4.334 20 0 DIADHN Cc1ccn(C2CCN([C@@H]3CCc4ccc(Cl)cc43)CC2)n1 ZINC000434496156 338218535 /nfs/dbraw/zinc/21/85/35/338218535.db2.gz GBSKZYYOSWIADP-GOSISDBHSA-N 0 3 315.848 4.169 20 0 DIADHN CC(C)(CC1CCCCCC1)NCc1nnc2n1CCCCC2 ZINC000434500079 338218689 /nfs/dbraw/zinc/21/86/89/338218689.db2.gz PWQFAZVLZYKPFW-UHFFFAOYSA-N 0 3 318.509 4.233 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1ncnn1C(C)C ZINC000434534781 338219568 /nfs/dbraw/zinc/21/95/68/338219568.db2.gz JVIGAANAFIWWOQ-MSOLQXFVSA-N 0 3 312.461 4.017 20 0 DIADHN COc1cc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)ccn1 ZINC000434613028 338221004 /nfs/dbraw/zinc/22/10/04/338221004.db2.gz ZDPWKIAKRWNSIS-GOSISDBHSA-N 0 3 302.393 4.058 20 0 DIADHN COc1cccc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000434611960 338221032 /nfs/dbraw/zinc/22/10/32/338221032.db2.gz MOSLIXMYNDSQEC-SFHVURJKSA-N 0 3 302.393 4.058 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(F)c(OC)c2)cc1 ZINC000153380528 338223513 /nfs/dbraw/zinc/22/35/13/338223513.db2.gz GOOPDKLTSFISEA-STQMWFEESA-N 0 3 303.377 4.255 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN(CCO)C(C)C)s2)cc1 ZINC000434705336 338223816 /nfs/dbraw/zinc/22/38/16/338223816.db2.gz ISYCYRZGDREYGZ-UHFFFAOYSA-N 0 3 318.486 4.136 20 0 DIADHN CCOc1c(CNC2CCC3(CC3)CC2)c(C)nn1CC(C)C ZINC000434778545 338225319 /nfs/dbraw/zinc/22/53/19/338225319.db2.gz RTCNPMLRUSDUHF-UHFFFAOYSA-N 0 3 319.493 4.059 20 0 DIADHN Clc1scc(CNC[C@H]2CCCCN2C2CC2)c1Cl ZINC000434795914 338225753 /nfs/dbraw/zinc/22/57/53/338225753.db2.gz SJKFFOIIZXBFCG-GFCCVEGCSA-N 0 3 319.301 4.161 20 0 DIADHN C[C@@H](NCc1cccn1C)c1cccc(OCc2ccccc2)c1 ZINC000434790970 338225849 /nfs/dbraw/zinc/22/58/49/338225849.db2.gz AJDDYCKPROPMHO-QGZVFWFLSA-N 0 3 320.436 4.455 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1C)c1cccc(OCc2ccccc2)c1 ZINC000434793285 338225860 /nfs/dbraw/zinc/22/58/60/338225860.db2.gz KYRNKIJZXJLAMY-GQIGUUNPSA-N 0 3 311.425 4.094 20 0 DIADHN c1cc(-c2ccc(CN3CCCCC[C@@H]3c3ccncc3)o2)n[nH]1 ZINC000434835095 338226296 /nfs/dbraw/zinc/22/62/96/338226296.db2.gz YNWCJHZRHFHGEV-GOSISDBHSA-N 0 3 322.412 4.182 20 0 DIADHN Cc1cccc(OCCN2CCC(OCC3CCCC3)CC2)c1 ZINC000434895850 338227049 /nfs/dbraw/zinc/22/70/49/338227049.db2.gz MAQWMQIHICWPAX-UHFFFAOYSA-N 0 3 317.473 4.045 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCSC(C)(C)C2)c1C ZINC000434903118 338227474 /nfs/dbraw/zinc/22/74/74/338227474.db2.gz KVVBLNGABGIPOT-UHFFFAOYSA-N 0 3 305.487 4.142 20 0 DIADHN c1ccc(OCCCN2CCC(OCC3CCCC3)CC2)cc1 ZINC000434904758 338227773 /nfs/dbraw/zinc/22/77/73/338227773.db2.gz OPJXQTTWPQVTAG-UHFFFAOYSA-N 0 3 317.473 4.127 20 0 DIADHN CN(CCOc1ccccc1)Cc1cccc(-c2ccncc2)c1 ZINC000434980969 338228578 /nfs/dbraw/zinc/22/85/78/338228578.db2.gz CEPOYRPLWUOEIB-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN CC(C)[C@@H]1CCC[C@H]1Nc1cccc2c1OCC[C@H]2N(C)C ZINC000435028488 338230065 /nfs/dbraw/zinc/23/00/65/338230065.db2.gz QNJUZJQOIKLBGI-YXJHDRRASA-N 0 3 302.462 4.308 20 0 DIADHN C[C@@H](CNc1cccc2c1OCC[C@@H]2N(C)C)Cc1ccccc1 ZINC000435042945 338230677 /nfs/dbraw/zinc/23/06/77/338230677.db2.gz OKAGMVYTTWTTPB-UZLBHIALSA-N 0 3 324.468 4.363 20 0 DIADHN O[C@H]1CCCN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000435057389 338231356 /nfs/dbraw/zinc/23/13/56/338231356.db2.gz PLRMZNWXKWESRZ-LBPRGKRZSA-N 0 3 307.846 4.025 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000435128990 338232605 /nfs/dbraw/zinc/23/26/05/338232605.db2.gz KJMPVCFYTTVCAT-GOSISDBHSA-N 0 3 307.397 4.315 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)cc1 ZINC000435128991 338232626 /nfs/dbraw/zinc/23/26/26/338232626.db2.gz KJMPVCFYTTVCAT-SFHVURJKSA-N 0 3 307.397 4.315 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)c1 ZINC000435132478 338232964 /nfs/dbraw/zinc/23/29/64/338232964.db2.gz JHXQJNTWOIEIII-SFHVURJKSA-N 0 3 307.397 4.315 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3nccn3-c3ccccc3)C2)c1 ZINC000435736905 338246897 /nfs/dbraw/zinc/24/68/97/338246897.db2.gz CITNCRLPTMFCTL-SAABIXHNSA-N 0 3 321.399 4.047 20 0 DIADHN CSc1ccc2c(c1)[C@H](N[C@@H](CO)c1ccccc1)CCC2 ZINC000572482964 338248025 /nfs/dbraw/zinc/24/80/25/338248025.db2.gz IMPISJIRXZXDGG-MOPGFXCFSA-N 0 3 313.466 4.109 20 0 DIADHN CCc1cnc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)s1 ZINC000435782628 338248908 /nfs/dbraw/zinc/24/89/08/338248908.db2.gz QFTVJVZGSGDCMF-MQMHXKEQSA-N 0 3 306.862 4.395 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2ccc(Br)o2)C1 ZINC000435782924 338248979 /nfs/dbraw/zinc/24/89/79/338248979.db2.gz UFZSJNSERCCSBK-XYPYZODXSA-N 0 3 324.193 4.217 20 0 DIADHN c1c(CN[C@H]2C[C@H](c3ccccc3)C2)[nH]nc1-c1ccccc1 ZINC000435787738 338249154 /nfs/dbraw/zinc/24/91/54/338249154.db2.gz QNVRQDLFDXSFNX-IYARVYRRSA-N 0 3 303.409 4.113 20 0 DIADHN CC[C@@H](CSC)NCc1ccc(N(C)c2ccccc2)nc1 ZINC000435791786 338249417 /nfs/dbraw/zinc/24/94/17/338249417.db2.gz RZLOMGCOGWBYST-INIZCTEOSA-N 0 3 315.486 4.081 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H]2[C@H](c3ccccc3)[C@@H]2C)c1 ZINC000435800157 338249789 /nfs/dbraw/zinc/24/97/89/338249789.db2.gz PEXOYFIFWLRFBC-RYGJVYDSSA-N 0 3 322.452 4.045 20 0 DIADHN CC(C)c1ccc(NC(=O)N(CCN(C)C)C2CCCC2)cc1 ZINC000435998950 338255349 /nfs/dbraw/zinc/25/53/49/338255349.db2.gz WUFRBLVJFCYEGV-UHFFFAOYSA-N 0 3 317.477 4.148 20 0 DIADHN Cc1cccc2nc(CN[C@H]3CC[C@H](c4ccccc4)C3)cn21 ZINC000436233127 338262742 /nfs/dbraw/zinc/26/27/42/338262742.db2.gz QURYKQLVLUNGEY-ROUUACIJSA-N 0 3 305.425 4.069 20 0 DIADHN Fc1cccc2[nH]c(CNCc3ccc(F)c(Cl)c3)cc21 ZINC000436242071 338263075 /nfs/dbraw/zinc/26/30/75/338263075.db2.gz MQGBIYWBAZYBLX-UHFFFAOYSA-N 0 3 306.743 4.389 20 0 DIADHN Cc1ccc2[nH]c(CN[C@H]3CC[C@H](c4ccccc4)C3)nc2c1 ZINC000436253649 338263724 /nfs/dbraw/zinc/26/37/24/338263724.db2.gz PVSZCNKZXQBUDD-IRXDYDNUSA-N 0 3 305.425 4.297 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CC[C@H](c4ccccc4)C3)[nH]c2c1 ZINC000436253649 338263725 /nfs/dbraw/zinc/26/37/25/338263725.db2.gz PVSZCNKZXQBUDD-IRXDYDNUSA-N 0 3 305.425 4.297 20 0 DIADHN CCc1nc(CN[C@H]2CC[C@@H](Cc3ccccc3)C2)cs1 ZINC000436250845 338263767 /nfs/dbraw/zinc/26/37/67/338263767.db2.gz ZEVMYPHJPMFHSZ-HOTGVXAUSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1cccn2cc(CN[C@@H]3CC[C@H](c4ccccc4)C3)nc12 ZINC000436253924 338263790 /nfs/dbraw/zinc/26/37/90/338263790.db2.gz RIUQPCFXIDPXHG-ZWKOTPCHSA-N 0 3 305.425 4.069 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCC[C@H]2OCCC(C)C)c(C)n1 ZINC000436259919 338264043 /nfs/dbraw/zinc/26/40/43/338264043.db2.gz GEGGGLAMOSIFHZ-RTBURBONSA-N 0 3 304.478 4.162 20 0 DIADHN c1ccc([C@@H](N[C@@H]2C[C@@H](c3ccccc3)C2)c2cncnc2)cc1 ZINC000436289461 338266426 /nfs/dbraw/zinc/26/64/26/338266426.db2.gz WYMPWSPJILNBMQ-HMXCVIKNSA-N 0 3 315.420 4.102 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](NC2c3ccccc3-c3ccccc32)C1 ZINC000436310562 338268471 /nfs/dbraw/zinc/26/84/71/338268471.db2.gz QIHLEMIMRIXEOG-CABCVRRESA-N 0 3 321.420 4.078 20 0 DIADHN COCc1cnc(CN[C@@H]2CCC[C@H](C(C)(C)C)CC2)s1 ZINC000436401573 338270794 /nfs/dbraw/zinc/27/07/94/338270794.db2.gz WEMBVCNKZSFVKY-UONOGXRCSA-N 0 3 310.507 4.374 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@H]3CC[C@H](C)C3)cs2)cc1 ZINC000436404110 338271078 /nfs/dbraw/zinc/27/10/78/338271078.db2.gz CLOHRRFUYBCOJH-GJZGRUSLSA-N 0 3 316.470 4.309 20 0 DIADHN CCOC(C)(C)CNCc1ccc(-c2ccc(F)cc2C)o1 ZINC000436407664 338271300 /nfs/dbraw/zinc/27/13/00/338271300.db2.gz DUUCDVXTLQMOCG-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN Fc1cccc2[nH]c(CN[C@@H](c3ccccc3)[C@H]3CCOC3)cc21 ZINC000436419334 338272080 /nfs/dbraw/zinc/27/20/80/338272080.db2.gz RBHKIRCHWYMRSL-YWZLYKJASA-N 0 3 324.399 4.174 20 0 DIADHN CC(C(=O)N(C)c1nc2ccc(Cl)cc2s1)C(F)(F)F ZINC000436453963 338272718 /nfs/dbraw/zinc/27/27/18/338272718.db2.gz XZTLTYQNIGPZEV-LURJTMIESA-N 0 3 322.739 4.111 20 0 DIADHN COc1cc(-c2nc(CN(C)[C@H](C)C(C)C)cs2)ccc1O ZINC000436934886 338294466 /nfs/dbraw/zinc/29/44/66/338294466.db2.gz NITLRXVWPCTUSD-GFCCVEGCSA-N 0 3 320.458 4.001 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CC[C@H](C)C2)nnn1-c1ccc(Cl)cc1 ZINC000437047832 338298803 /nfs/dbraw/zinc/29/88/03/338298803.db2.gz XPRPLIAPHUSOAG-SLEUVZQESA-N 0 3 318.852 4.068 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@H](C)CCc1ccc(Cl)s1 ZINC000437070049 338299311 /nfs/dbraw/zinc/29/93/11/338299311.db2.gz ADSANXQYSVNMAB-VXGBXAGGSA-N 0 3 324.877 4.477 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H]1CC[C@@H](Cc2ccccc2)C1 ZINC000437083180 338299888 /nfs/dbraw/zinc/29/98/88/338299888.db2.gz GGARHPNYAPTCJB-RYQLBKOJSA-N 0 3 310.441 4.152 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@H](C)c1ccc2c(c1)COC2 ZINC000437088803 338300182 /nfs/dbraw/zinc/30/01/82/338300182.db2.gz ZAIGAFWXLWKPRV-MRXNPFEDSA-N 0 3 324.468 4.412 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@@H]1CCc2cc(F)ccc21 ZINC000437100740 338300858 /nfs/dbraw/zinc/30/08/58/338300858.db2.gz WZWAHSRIVMOWMF-LJQANCHMSA-N 0 3 312.432 4.447 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@H]1CCc2cc(F)ccc21 ZINC000437100737 338300887 /nfs/dbraw/zinc/30/08/87/338300887.db2.gz WZWAHSRIVMOWMF-IBGZPJMESA-N 0 3 312.432 4.447 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)c(OC)c1 ZINC000437100649 338300972 /nfs/dbraw/zinc/30/09/72/338300972.db2.gz BOBPTYRJYUDVHA-FZMZJTMJSA-N 0 3 319.367 4.331 20 0 DIADHN C[C@H](N[C@H](CO)Cc1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000437101479 338301029 /nfs/dbraw/zinc/30/10/29/338301029.db2.gz WACLUJWUXXZVFG-LPHOPBHVSA-N 0 3 321.420 4.201 20 0 DIADHN CSCc1cccc(CN[C@H](c2cccnc2)C(C)C)c1 ZINC000437105999 338301223 /nfs/dbraw/zinc/30/12/23/338301223.db2.gz LBAYNTZQQYIBGM-SFHVURJKSA-N 0 3 300.471 4.432 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)OCO2 ZINC000437107702 338301378 /nfs/dbraw/zinc/30/13/78/338301378.db2.gz ZSMOCDCEDUSBGJ-PWSUYJOCSA-N 0 3 303.324 4.043 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)OCCO2 ZINC000437110750 338301665 /nfs/dbraw/zinc/30/16/65/338301665.db2.gz NCCHRKFQAXCGJG-WCQYABFASA-N 0 3 317.351 4.085 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CC[C@H](C3CC3)C2)cn1 ZINC000437137566 338302445 /nfs/dbraw/zinc/30/24/45/338302445.db2.gz UCPPMZHUZXCRIG-SFHVURJKSA-N 0 3 307.441 4.081 20 0 DIADHN COc1c(O)cccc1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000437249393 338304671 /nfs/dbraw/zinc/30/46/71/338304671.db2.gz UXNCAWHISYVUNA-KOMQPUFPSA-N 0 3 317.816 4.090 20 0 DIADHN Brc1cccc([C@H]2CCCN2CC[C@H]2CCOC2)c1 ZINC000437576230 338314207 /nfs/dbraw/zinc/31/42/07/338314207.db2.gz BTIABKPZJWSDOU-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN Brc1ccc([C@H]2CCCN2CC[C@H]2CCOC2)cc1 ZINC000437586943 338315130 /nfs/dbraw/zinc/31/51/30/338315130.db2.gz ZKIKMURHWHLORT-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccsc1)Cc1ccccc1C ZINC000437590591 338315272 /nfs/dbraw/zinc/31/52/72/338315272.db2.gz NQTNQJXNTMUWBH-UHFFFAOYSA-N 0 3 311.454 4.469 20 0 DIADHN Cc1nc2sccn2c1CN(C)C[C@H](C)c1ccc(F)cc1 ZINC000437621140 338316363 /nfs/dbraw/zinc/31/63/63/338316363.db2.gz OGNHFDROFUECFZ-LBPRGKRZSA-N 0 3 317.433 4.079 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1F)[C@H]1COc2ccccc21 ZINC000437784656 338321312 /nfs/dbraw/zinc/32/13/12/338321312.db2.gz KCQJKVRMYMWDLH-IAQYHMDHSA-N 0 3 305.780 4.133 20 0 DIADHN COCC[C@H](N[C@@H](C)Cc1ccccc1F)c1ccc(C)o1 ZINC000437784968 338321319 /nfs/dbraw/zinc/32/13/19/338321319.db2.gz DRVPFHUXYWVKHN-GUYCJALGSA-N 0 3 305.393 4.026 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@@H](NCc2ccc(Cl)cn2)C1 ZINC000437790902 338321726 /nfs/dbraw/zinc/32/17/26/338321726.db2.gz ZIUFGGULOVWKLE-SJLPKXTDSA-N 0 3 300.833 4.335 20 0 DIADHN COCC[C@H](N[C@H]1CC[C@@H](Cc2ccccc2)C1)c1ccco1 ZINC000437807636 338322251 /nfs/dbraw/zinc/32/22/51/338322251.db2.gz YEIOTYLHWROVPM-FHWLQOOXSA-N 0 3 313.441 4.358 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1nc2ccccc2n1C1CC1 ZINC000437982983 338327246 /nfs/dbraw/zinc/32/72/46/338327246.db2.gz DLQAVCPRPYCDCA-CQSZACIVSA-N 0 3 323.415 4.231 20 0 DIADHN C[C@H](CNCc1nc2ccccc2n1C1CC1)c1ccc(F)cc1 ZINC000437988995 338327530 /nfs/dbraw/zinc/32/75/30/338327530.db2.gz RUKWBXLYRYGWBZ-CQSZACIVSA-N 0 3 323.415 4.404 20 0 DIADHN COC[C@@H]1CCN(Cc2ccc(Oc3ccccc3)c(F)c2)C1 ZINC000438064067 338329530 /nfs/dbraw/zinc/32/95/30/338329530.db2.gz JCNKQNADSFLQEM-MRXNPFEDSA-N 0 3 315.388 4.086 20 0 DIADHN Cc1ccc2cc(CNCCOc3ccccc3C)ccc2n1 ZINC000438081277 338330304 /nfs/dbraw/zinc/33/03/04/338330304.db2.gz RWXAYRKJCOOCKB-UHFFFAOYSA-N 0 3 306.409 4.020 20 0 DIADHN CN(C)c1cccc(CN(C)Cc2cc3c(cccc3F)[nH]2)c1 ZINC000438092222 338330989 /nfs/dbraw/zinc/33/09/89/338330989.db2.gz FSKWBJOTXMYCMW-UHFFFAOYSA-N 0 3 311.404 4.005 20 0 DIADHN CC[C@H](NCc1ccc2nc(C)ccc2c1)c1c(C)nn(C)c1C ZINC000438174407 338334774 /nfs/dbraw/zinc/33/47/74/338334774.db2.gz FLOFLQYGJZSYIB-SFHVURJKSA-N 0 3 322.456 4.134 20 0 DIADHN COC[C@H](NC[C@H](C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000438176317 338334873 /nfs/dbraw/zinc/33/48/73/338334873.db2.gz KCALWCUXYSNQAY-UGSOOPFHSA-N 0 3 305.368 4.046 20 0 DIADHN Cc1csc(C(C)(C)NCCCCOc2ccccc2)n1 ZINC000438182504 338335308 /nfs/dbraw/zinc/33/53/08/338335308.db2.gz HVDPVGQMVNZYNU-UHFFFAOYSA-N 0 3 304.459 4.135 20 0 DIADHN Fc1cc(Cl)ccc1CNCCCc1nc2ccccc2[nH]1 ZINC000438199306 338336352 /nfs/dbraw/zinc/33/63/52/338336352.db2.gz HKIVXEPMLALNOS-UHFFFAOYSA-N 0 3 317.795 4.078 20 0 DIADHN Cc1nc(CN[C@@H]2CC[C@@](C)(c3ccccc3)C2)sc1C ZINC000438201989 338336366 /nfs/dbraw/zinc/33/63/66/338336366.db2.gz ROJVNOYRUPHFRL-SJLPKXTDSA-N 0 3 300.471 4.360 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@@](C)(c3ccccc3)C2)sc1C ZINC000438201988 338336511 /nfs/dbraw/zinc/33/65/11/338336511.db2.gz ROJVNOYRUPHFRL-FUHWJXTLSA-N 0 3 300.471 4.360 20 0 DIADHN Clc1cccc([C@@H](NCC[C@@H]2CCOC2)c2ccccc2)c1 ZINC000438205114 338336583 /nfs/dbraw/zinc/33/65/83/338336583.db2.gz VOWSDGWCKUUXPC-BEFAXECRSA-N 0 3 315.844 4.446 20 0 DIADHN CC(C)c1ncc(CN(C)CC2(c3ccccc3)CC2)s1 ZINC000438279314 338338653 /nfs/dbraw/zinc/33/86/53/338338653.db2.gz IJGNJMAAMFXTKO-UHFFFAOYSA-N 0 3 300.471 4.430 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1cccc2cccnc21 ZINC000438323980 338339575 /nfs/dbraw/zinc/33/95/75/338339575.db2.gz GNOREHZZQAMUQX-UHFFFAOYSA-N 0 3 319.452 4.369 20 0 DIADHN CC(C)N(C)c1ccccc1CNCc1cccc(Cl)c1 ZINC000438324530 338339629 /nfs/dbraw/zinc/33/96/29/338339629.db2.gz HCIHEMLWFFCURE-UHFFFAOYSA-N 0 3 302.849 4.474 20 0 DIADHN CCOc1cccc(CNCc2ccccc2N(C)C(C)C)c1 ZINC000438324583 338339703 /nfs/dbraw/zinc/33/97/03/338339703.db2.gz HMVGQOZLKZRREQ-UHFFFAOYSA-N 0 3 312.457 4.220 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2cnc(-c3ccncc3)s2)C1 ZINC000438343391 338340282 /nfs/dbraw/zinc/34/02/82/338340282.db2.gz BWQGQJQAOCLJDW-HNNXBMFYSA-N 0 3 301.459 4.073 20 0 DIADHN CSCc1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC000438355669 338341102 /nfs/dbraw/zinc/34/11/02/338341102.db2.gz IGRXBSSPRJXMIV-UHFFFAOYSA-N 0 3 311.450 4.115 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cc(Cl)cc2c1OCC2 ZINC000438466625 338344693 /nfs/dbraw/zinc/34/46/93/338344693.db2.gz NQGZCOXXCSHHIO-CYBMUJFWSA-N 0 3 321.770 4.342 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000438476104 338345188 /nfs/dbraw/zinc/34/51/88/338345188.db2.gz FBXFELMAKBWFHR-DLBZAZTESA-N 0 3 322.452 4.413 20 0 DIADHN CC[C@H]1CCN([C@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000438482292 338345652 /nfs/dbraw/zinc/34/56/52/338345652.db2.gz XVQBHUVVWVXCTN-LPHOPBHVSA-N 0 3 308.425 4.098 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1c[nH]c(-c2ccccc2)n1 ZINC000438482591 338345663 /nfs/dbraw/zinc/34/56/63/338345663.db2.gz BCEYSFKGYZATHG-CQSZACIVSA-N 0 3 311.351 4.143 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc(-c2ccccc2)[nH]1 ZINC000438482591 338345664 /nfs/dbraw/zinc/34/56/64/338345664.db2.gz BCEYSFKGYZATHG-CQSZACIVSA-N 0 3 311.351 4.143 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cc2ccccc2[nH]c1=O ZINC000438487314 338345758 /nfs/dbraw/zinc/34/57/58/338345758.db2.gz SRRLRUHLCNEVPE-CQSZACIVSA-N 0 3 312.335 4.007 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc2ccccc2[nH]c1=O ZINC000438487311 338345819 /nfs/dbraw/zinc/34/58/19/338345819.db2.gz SRRLRUHLCNEVPE-AWEZNQCLSA-N 0 3 312.335 4.007 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cc(-c2ccccc2)n[nH]1 ZINC000438498125 338346100 /nfs/dbraw/zinc/34/61/00/338346100.db2.gz XQIBHJAHTHBKFE-OAHLLOKOSA-N 0 3 311.351 4.143 20 0 DIADHN c1ccc(-c2nc3ccccn3c2CN2CCC23CCC3)cc1 ZINC000438577268 338348923 /nfs/dbraw/zinc/34/89/23/338348923.db2.gz NSJJNNXAAQINEE-UHFFFAOYSA-N 0 3 303.409 4.130 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCCc2ccc(O)cc21 ZINC000438823995 338352613 /nfs/dbraw/zinc/35/26/13/338352613.db2.gz AKJNJLDEPVKFLW-OALUTQOASA-N 0 3 309.409 4.055 20 0 DIADHN CN(Cc1ccccn1)CC(c1ccccc1)c1ccccc1 ZINC000438830926 338352984 /nfs/dbraw/zinc/35/29/84/338352984.db2.gz XFJUGLCYDCKHTL-UHFFFAOYSA-N 0 3 302.421 4.346 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@H]3CCCCS3)oc21 ZINC000156369374 338355525 /nfs/dbraw/zinc/35/55/25/338355525.db2.gz SITZKJKJCPMZIZ-TZMCWYRMSA-N 0 3 305.443 4.378 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@H](C)NCCC1=CCCCC1 ZINC000439072031 338360272 /nfs/dbraw/zinc/36/02/72/338360272.db2.gz RXTTWXNDXCIHKO-AWEZNQCLSA-N 0 3 316.445 4.195 20 0 DIADHN Clc1ccccc1CCCN1CCC([C@@H]2CCCO2)CC1 ZINC000439274779 338365748 /nfs/dbraw/zinc/36/57/48/338365748.db2.gz MJZUGLVJAWGOIG-SFHVURJKSA-N 0 3 307.865 4.164 20 0 DIADHN Cc1nc(CCN2CC[C@H](c3ccccc3)C[C@H]2C)cs1 ZINC000439313662 338366756 /nfs/dbraw/zinc/36/67/56/338366756.db2.gz ICVREGRJHZWRAP-PBHICJAKSA-N 0 3 300.471 4.262 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N(C)CCCOCc1ccccc1 ZINC000439465402 338370377 /nfs/dbraw/zinc/37/03/77/338370377.db2.gz FFXFUOJKALMVMF-MRXNPFEDSA-N 0 3 324.424 4.428 20 0 DIADHN CC1(C)C[C@@H]1CNCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000439470863 338370635 /nfs/dbraw/zinc/37/06/35/338370635.db2.gz POEAIVRLBFYGEW-GDBMZVCRSA-N 0 3 323.864 4.034 20 0 DIADHN CSCCCN(C)Cc1ccccc1Oc1cccnc1 ZINC000439479548 338371095 /nfs/dbraw/zinc/37/10/95/338371095.db2.gz VCGWNRHQSWVDMJ-UHFFFAOYSA-N 0 3 302.443 4.059 20 0 DIADHN Cc1cccc([C@@H](C)NCC(=O)Nc2ccc(C)cc2Cl)c1 ZINC000439568147 338373342 /nfs/dbraw/zinc/37/33/42/338373342.db2.gz IIPJKXPWNUSRDE-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN CCN(CC)C(=O)[C@@H](N[C@H](C)C1CCCCC1)c1ccccc1 ZINC000439602956 338374316 /nfs/dbraw/zinc/37/43/16/338374316.db2.gz TYCULWGIDLNXPS-APWZRJJASA-N 0 3 316.489 4.155 20 0 DIADHN Cc1cc2[nH]c(CN3CCC([C@H]4CCCO4)CC3)cc2c(C)c1 ZINC000439787668 338378084 /nfs/dbraw/zinc/37/80/84/338378084.db2.gz UQXSRUVAYWXBHT-HXUWFJFHSA-N 0 3 312.457 4.176 20 0 DIADHN CC1(C)CCc2ccccc2[C@@H](NCc2ccc(CO)cc2)C1 ZINC000440640235 338393846 /nfs/dbraw/zinc/39/38/46/338393846.db2.gz RZHRXRNDAXVMSY-FQEVSTJZSA-N 0 3 309.453 4.372 20 0 DIADHN CCN(CC)CCSc1ncnc2sc3ccccc3c21 ZINC000440644898 338394105 /nfs/dbraw/zinc/39/41/05/338394105.db2.gz PMNPOBJKPNCKHI-UHFFFAOYSA-N 0 3 317.483 4.278 20 0 DIADHN Cc1cccc2c1ncc(C)c2N(C)Cc1cnc(C(C)C)nc1 ZINC000440668160 338394888 /nfs/dbraw/zinc/39/48/88/338394888.db2.gz CYFNFHOKQCLRGD-UHFFFAOYSA-N 0 3 320.440 4.401 20 0 DIADHN COC(=O)CC1(CN[C@H](c2ccccc2)c2ccc(C)cc2)CC1 ZINC000440777106 338397079 /nfs/dbraw/zinc/39/70/79/338397079.db2.gz UFEJMYYSTTYGAQ-HXUWFJFHSA-N 0 3 323.436 4.017 20 0 DIADHN CC(C)(C)[C@@H](NCc1cc(C(F)(F)F)n[nH]1)c1ccccc1 ZINC000440822203 338398137 /nfs/dbraw/zinc/39/81/37/338398137.db2.gz KGFXKFVKNYRTOB-AWEZNQCLSA-N 0 3 311.351 4.306 20 0 DIADHN Cc1cccc([C@H](C)N2CCC(C(=O)OC(C)(C)C)CC2)c1 ZINC000441475112 338414981 /nfs/dbraw/zinc/41/49/81/338414981.db2.gz PFNXGDDKSKPDLW-HNNXBMFYSA-N 0 3 303.446 4.110 20 0 DIADHN Fc1ccc(SCCN2CCC(C(F)(F)F)CC2)cc1 ZINC000441615654 338417716 /nfs/dbraw/zinc/41/77/16/338417716.db2.gz ABFQZCXVJRIINQ-UHFFFAOYSA-N 0 3 307.356 4.192 20 0 DIADHN COCCC[C@H](NCc1ccccc1C)c1ccc(F)cc1 ZINC000442632650 338426256 /nfs/dbraw/zinc/42/62/56/338426256.db2.gz FKSROKFFLDNWTB-IBGZPJMESA-N 0 3 301.405 4.392 20 0 DIADHN C[C@@H](N[C@H]1Cc2[nH]c3ccccc3c2C1)c1ccc(F)cc1F ZINC000442637160 338426590 /nfs/dbraw/zinc/42/65/90/338426590.db2.gz WDMTWZQVWDOUMR-DGCLKSJQSA-N 0 3 312.363 4.264 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442715288 338428907 /nfs/dbraw/zinc/42/89/07/338428907.db2.gz ZXXJCLRWXGHCEI-GXTWGEPZSA-N 0 3 324.399 4.134 20 0 DIADHN c1ccc2c(c1)[nH]c1c2C[C@@H](N[C@@H]2CSc3ccccc32)C1 ZINC000442799590 338432339 /nfs/dbraw/zinc/43/23/39/338432339.db2.gz YXQBVLQGDFYKSP-KZULUSFZSA-N 0 3 306.434 4.072 20 0 DIADHN COc1ccc([C@H](C)NCCc2ccc3[nH]ccc3c2)c(OC)c1 ZINC000442821480 338433430 /nfs/dbraw/zinc/43/34/30/338433430.db2.gz UYZIRHFKDPNLRW-AWEZNQCLSA-N 0 3 324.424 4.078 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1nc(COC)sc1-c1ccccc1 ZINC000442861064 338435601 /nfs/dbraw/zinc/43/56/01/338435601.db2.gz CXNTYDWKSMHMQQ-KGLIPLIRSA-N 0 3 318.486 4.481 20 0 DIADHN Cc1csc(C2(NC3CCC(C(F)F)CC3)CCC2)n1 ZINC000442874372 338437184 /nfs/dbraw/zinc/43/71/84/338437184.db2.gz MXKNZKWSZLKFPK-UHFFFAOYSA-N 0 3 300.418 4.244 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2NCc2cncc(C)c2)c1 ZINC000442889124 338438615 /nfs/dbraw/zinc/43/86/15/338438615.db2.gz CPKJSWULALJWOR-VQTJNVASSA-N 0 3 310.441 4.178 20 0 DIADHN COC[C@H](N[C@@H]1CCSc2c(F)cccc21)C1CCCC1 ZINC000446748084 338534505 /nfs/dbraw/zinc/53/45/05/338534505.db2.gz KWNICRGXHDCFLJ-CVEARBPZSA-N 0 3 309.450 4.157 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2c3ccccc3C[C@@H]2O)C2CCCC2)cc1 ZINC000446748047 338534632 /nfs/dbraw/zinc/53/46/32/338534632.db2.gz KNYRQOWKBFQOPY-BHDDXSALSA-N 0 3 321.464 4.474 20 0 DIADHN CC[C@@H](N[C@H](CC)c1cccc(F)c1)c1c(C)nn(C)c1C ZINC000446837697 338537739 /nfs/dbraw/zinc/53/77/39/338537739.db2.gz ZMPRLERPSGOGFV-IAGOWNOFSA-N 0 3 303.425 4.368 20 0 DIADHN CCO[C@H](CNCc1cnc(C2CCC2)s1)c1ccccc1 ZINC000446844406 338538094 /nfs/dbraw/zinc/53/80/94/338538094.db2.gz GVWUPTJIELIRJS-QGZVFWFLSA-N 0 3 316.470 4.278 20 0 DIADHN CCO[C@@H](CNCc1ccc(OC(F)F)cc1)c1ccccc1 ZINC000446842434 338538125 /nfs/dbraw/zinc/53/81/25/338538125.db2.gz WTVGPDWZGXKGLE-KRWDZBQOSA-N 0 3 321.367 4.155 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCC[C@H]1CCOC1 ZINC000446854037 338538767 /nfs/dbraw/zinc/53/87/67/338538767.db2.gz ULKGTNNEOBCYIP-DZGCQCFKSA-N 0 3 301.352 4.005 20 0 DIADHN Cc1nccnc1[C@H](C)NC[C@@H]1CCC(C)(C)c2ccccc21 ZINC000446929734 338540976 /nfs/dbraw/zinc/54/09/76/338540976.db2.gz RWCWJRIHQBCFCN-HOTGVXAUSA-N 0 3 309.457 4.291 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cc(F)ccc1F)c1ccccc1 ZINC000447034819 338543486 /nfs/dbraw/zinc/54/34/86/338543486.db2.gz ALKHZBOCZMECBL-UGSOOPFHSA-N 0 3 305.368 4.393 20 0 DIADHN Cc1ccc(CN2CCC(c3ccncc3)CC2)c(Cl)c1 ZINC000447322000 338549581 /nfs/dbraw/zinc/54/95/81/338549581.db2.gz VLRVUUKIOHIKHF-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cc(C)oc1C)c1ccco1 ZINC000447538367 338555231 /nfs/dbraw/zinc/55/52/31/338555231.db2.gz BJJYXGWWSXIPRI-WMLDXEAASA-N 0 3 304.434 4.223 20 0 DIADHN Cc1ccc([C@@H](CN[C@H](C)c2cc(C)oc2C)N2CCCC2)o1 ZINC000447563778 338557172 /nfs/dbraw/zinc/55/71/72/338557172.db2.gz SJXASPKLLWKPAC-CRAIPNDOSA-N 0 3 316.445 4.286 20 0 DIADHN CCO[C@H](CN[C@@H](CC)c1ccc(F)cn1)c1ccccc1 ZINC000447567266 338557449 /nfs/dbraw/zinc/55/74/49/338557449.db2.gz AFGWCASOSPNOHO-FUHWJXTLSA-N 0 3 302.393 4.039 20 0 DIADHN Fc1ccccc1N1CCC[C@@H](N[C@H]2CCCc3occc32)C1 ZINC000447584375 338559228 /nfs/dbraw/zinc/55/92/28/338559228.db2.gz FLBOKHRLVHOPKX-PBHICJAKSA-N 0 3 314.404 4.055 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@H]1CCC[C@H]1OCc1ccccc1 ZINC000447588347 338559887 /nfs/dbraw/zinc/55/98/87/338559887.db2.gz UVSIQCLKQXFZHK-WSTZPKSXSA-N 0 3 311.425 4.385 20 0 DIADHN Clc1ccc(N2CC[C@H](N[C@@H]3CCCc4occc43)C2)cc1 ZINC000447588058 338559894 /nfs/dbraw/zinc/55/98/94/338559894.db2.gz SWJODFORXBSKPW-WMLDXEAASA-N 0 3 316.832 4.179 20 0 DIADHN Cc1cc([C@H](C)NC[C@@]2(O)CCC[C@H](C(F)(F)F)C2)c(C)o1 ZINC000447600259 338561423 /nfs/dbraw/zinc/56/14/23/338561423.db2.gz LLYPYSXWMRMOEF-CORIIIEPSA-N 0 3 319.367 4.031 20 0 DIADHN Cc1cc(CN[C@H](C)C(c2ccccc2)c2ccccc2)on1 ZINC000194528697 338562065 /nfs/dbraw/zinc/56/20/65/338562065.db2.gz RZWYUAPXRUYMGW-MRXNPFEDSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1cc(C)c(NC(=O)CNCC[C@H](C)c2ccccc2)c(C)c1 ZINC000194549264 338563665 /nfs/dbraw/zinc/56/36/65/338563665.db2.gz LGCXHUTTWPJCDI-INIZCTEOSA-N 0 3 324.468 4.334 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000447896107 338570801 /nfs/dbraw/zinc/57/08/01/338570801.db2.gz GBGMEQVBBZRFMA-STQMWFEESA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CNCc2ccn(C(F)F)n2)c2ccccc21 ZINC000447900837 338571131 /nfs/dbraw/zinc/57/11/31/338571131.db2.gz FAOYQDHYNIJZRL-GUYCJALGSA-N 0 3 319.399 4.295 20 0 DIADHN CC(C)[C@@H](CNCc1ncc(C(C)(C)C)s1)c1cccnc1 ZINC000447944713 338572399 /nfs/dbraw/zinc/57/23/99/338572399.db2.gz NRWMQDQDZDNHQA-OAHLLOKOSA-N 0 3 317.502 4.365 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)N[C@@H]3C[C@@H](C)O[C@@H]3C)cc2)cs1 ZINC000449074731 338590928 /nfs/dbraw/zinc/59/09/28/338590928.db2.gz UXJWNKHGTXTOKW-IPJQOSJUSA-N 0 3 316.470 4.335 20 0 DIADHN CCOC(=O)c1cc(CN[C@H](C)c2cc(C)sc2C)[nH]c1C ZINC000449077790 338591169 /nfs/dbraw/zinc/59/11/69/338591169.db2.gz YTWCNNUMRGYVKA-LLVKDONJSA-N 0 3 320.458 4.029 20 0 DIADHN CCOc1ccc(CNCc2cccc3ccoc32)cc1OC ZINC000449079250 338591465 /nfs/dbraw/zinc/59/14/65/338591465.db2.gz OACYVVGXNAHBOY-UHFFFAOYSA-N 0 3 311.381 4.130 20 0 DIADHN CCCOCc1ccccc1CNCc1cc(F)cc(OC)c1 ZINC000449094025 338592775 /nfs/dbraw/zinc/59/27/75/338592775.db2.gz YZFZQTYDKQGIBR-UHFFFAOYSA-N 0 3 317.404 4.051 20 0 DIADHN Clc1ccc(C[C@@H]2CCC[C@H]2NCc2cscn2)cc1 ZINC000449105680 338593890 /nfs/dbraw/zinc/59/38/90/338593890.db2.gz DYQLVMZOIAMCDI-XJKSGUPXSA-N 0 3 306.862 4.298 20 0 DIADHN CCCN[C@H](C)C(=O)Nc1ccc(S[C@H](C)CC)cc1C ZINC000449111033 338594400 /nfs/dbraw/zinc/59/44/00/338594400.db2.gz IHOXVTHXRBYYJT-ZIAGYGMSSA-N 0 3 308.491 4.212 20 0 DIADHN CSCC1CCC(N[C@@H](c2ncc(C)s2)C2CC2)CC1 ZINC000449174173 338599241 /nfs/dbraw/zinc/59/92/41/338599241.db2.gz YMOVMUNOGCJHFI-PESDSKBTSA-N 0 3 310.532 4.414 20 0 DIADHN COC1CCC(CNCc2cc(Cl)sc2Cl)CC1 ZINC000449179308 338599674 /nfs/dbraw/zinc/59/96/74/338599674.db2.gz VLUCRKDFOVYFMU-UHFFFAOYSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@@H](CNCc1ccn(C2CCCC2)n1)c1cc(F)cc(F)c1 ZINC000449197820 338601809 /nfs/dbraw/zinc/60/18/09/338601809.db2.gz XZOYPNWBXPQAOB-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H]1CCCC12CCCCC2 ZINC000449218131 338603394 /nfs/dbraw/zinc/60/33/94/338603394.db2.gz FPJYJWLLFOFOMX-QGZVFWFLSA-N 0 3 314.473 4.335 20 0 DIADHN CC(C)[C@H](N[C@@H](C)CC(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000449224237 338604009 /nfs/dbraw/zinc/60/40/09/338604009.db2.gz KZEBDLKSNLJNBY-ZFWWWQNUSA-N 0 3 316.449 4.243 20 0 DIADHN COCC[C@@H](NC[C@H](C)c1cc(F)cc(F)c1)c1ccco1 ZINC000449232171 338604927 /nfs/dbraw/zinc/60/49/27/338604927.db2.gz SJYRCJZOMAFWQK-BLLLJJGKSA-N 0 3 309.356 4.029 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC[C@H](c3ccc(Cl)cc3)C2)s1 ZINC000449240163 338605688 /nfs/dbraw/zinc/60/56/88/338605688.db2.gz XXCKFJNQWYPFII-XEGUGMAKSA-N 0 3 321.877 4.487 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)s1 ZINC000449240161 338605759 /nfs/dbraw/zinc/60/57/59/338605759.db2.gz XXCKFJNQWYPFII-PSOPSSQASA-N 0 3 321.877 4.487 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2cc(Cl)sc2Cl)CCO1 ZINC000449241145 338605846 /nfs/dbraw/zinc/60/58/46/338605846.db2.gz RMCKNQIMYWLMTD-UWVGGRQHSA-N 0 3 308.274 4.350 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2cccc(F)c2)no1)C1CCC1 ZINC000449257752 338607403 /nfs/dbraw/zinc/60/74/03/338607403.db2.gz JXGJXYWVPBRXFX-XHDPSFHLSA-N 0 3 303.381 4.105 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2cccc(F)c2)no1)C1CCC1 ZINC000449257749 338607485 /nfs/dbraw/zinc/60/74/85/338607485.db2.gz JXGJXYWVPBRXFX-ABAIWWIYSA-N 0 3 303.381 4.105 20 0 DIADHN CN(C)[C@H]1CCOc2c(N[C@@H]3CCCC34CCC4)cccc21 ZINC000449293594 338610141 /nfs/dbraw/zinc/61/01/41/338610141.db2.gz VYUXZJQBYIGAPD-DLBZAZTESA-N 0 3 300.446 4.207 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN[C@@H]2CC[C@@H](F)C2)s1 ZINC000449332864 338614104 /nfs/dbraw/zinc/61/41/04/338614104.db2.gz SYHOLRYGPIIRNY-NXEZZACHSA-N 0 3 312.360 4.069 20 0 DIADHN Cc1cc(CNCc2cccc3ccoc32)cc(N2CCCC2)n1 ZINC000449333794 338614121 /nfs/dbraw/zinc/61/41/21/338614121.db2.gz HKXQVIMOLJTANH-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN CC(C)(C)c1nc(CNC[C@H]2CSc3ccccc32)cs1 ZINC000449359687 338617524 /nfs/dbraw/zinc/61/75/24/338617524.db2.gz HIWLQKVKWLIVBA-LBPRGKRZSA-N 0 3 318.511 4.420 20 0 DIADHN CC(C)n1ccc(C[C@H](C)NCc2cc(C3CC3)ccc2F)n1 ZINC000449374131 338619061 /nfs/dbraw/zinc/61/90/61/338619061.db2.gz XDGVMCAKYPGOBP-AWEZNQCLSA-N 0 3 315.436 4.201 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC[C@@H]1CCCCC1(F)F ZINC000449376060 338619175 /nfs/dbraw/zinc/61/91/75/338619175.db2.gz KGAIQQKQSQRHHJ-AWEZNQCLSA-N 0 3 313.436 4.170 20 0 DIADHN FC(F)(F)c1cccc(CNCCCCOc2ccccc2)n1 ZINC000449399918 338620788 /nfs/dbraw/zinc/62/07/88/338620788.db2.gz FOBOARRXHLVUKF-UHFFFAOYSA-N 0 3 324.346 4.049 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1ccc(-c2ccncc2)cc1F)OC ZINC000449400757 338620874 /nfs/dbraw/zinc/62/08/74/338620874.db2.gz CWPVRXFHLHAJFG-KUHUBIRLSA-N 0 3 316.420 4.038 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000449439520 338624255 /nfs/dbraw/zinc/62/42/55/338624255.db2.gz VYQKIUFJKHWGPI-DYVFJYSZSA-N 0 3 323.358 4.480 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1ncc(Br)s1 ZINC000449443977 338624574 /nfs/dbraw/zinc/62/45/74/338624574.db2.gz XKEKPRNEIPTZGH-SNVBAGLBSA-N 0 3 303.269 4.130 20 0 DIADHN C[C@H](CC(C)(C)O)NCc1c(OC(F)F)ccc2ccccc21 ZINC000449448149 338625056 /nfs/dbraw/zinc/62/50/56/338625056.db2.gz FKDZFPLBWVAHAG-GFCCVEGCSA-N 0 3 323.383 4.080 20 0 DIADHN CCCC[C@H](CC)CCNC(C)(C)c1nnc2n1CCCC2 ZINC000449463604 338627153 /nfs/dbraw/zinc/62/71/53/338627153.db2.gz WMPBVERYGGMCEC-HNNXBMFYSA-N 0 3 306.498 4.046 20 0 DIADHN Cc1csc(CNCc2cccc(OCC(F)(F)F)c2)c1 ZINC000449478721 338629013 /nfs/dbraw/zinc/62/90/13/338629013.db2.gz KTXBRQGKFGUVGI-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1cnc(-c2ccccc2)s1 ZINC000449491030 338630442 /nfs/dbraw/zinc/63/04/42/338630442.db2.gz DVRDQEAAXWGQKY-CYBMUJFWSA-N 0 3 308.397 4.335 20 0 DIADHN FC1(F)CCC[C@H]1CNCc1cnc(-c2ccsc2)s1 ZINC000449491286 338630622 /nfs/dbraw/zinc/63/06/22/338630622.db2.gz GFNAETICXFQQCN-NSHDSACASA-N 0 3 314.426 4.397 20 0 DIADHN Cc1ccc(-c2ncc(CNC[C@@H]3CCCC3(F)F)s2)o1 ZINC000449497370 338631716 /nfs/dbraw/zinc/63/17/16/338631716.db2.gz RDFBACYYMWCMRT-NSHDSACASA-N 0 3 312.385 4.237 20 0 DIADHN C[C@@H]1C[C@@H](NC2CCC(C)(c3ccccc3)CC2)c2nccn21 ZINC000449568569 338638387 /nfs/dbraw/zinc/63/83/87/338638387.db2.gz BOHHDBJOOPHTHB-FTSJTYPLSA-N 0 3 309.457 4.379 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@H]2c2ccc(Cl)cc2)c2nccn21 ZINC000449573257 338639005 /nfs/dbraw/zinc/63/90/05/338639005.db2.gz QBUUTPRBICTRIG-ZFVVBOAOSA-N 0 3 315.848 4.468 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)C1CCC(C(F)(F)F)CC1 ZINC000449574657 338639274 /nfs/dbraw/zinc/63/92/74/338639274.db2.gz VLBTYAYGHHNGGS-XPXVYLNCSA-N 0 3 315.383 4.236 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H]2C[C@H](c3ccccc3)C[C@H](C)C2)c2nccn21 ZINC000449574422 338639313 /nfs/dbraw/zinc/63/93/13/338639313.db2.gz UORRHGKFVASJOJ-CSQLCUNESA-N 0 3 309.457 4.451 20 0 DIADHN C[C@H](CN[C@H]1C[C@H](C)n2ccnc21)c1ccc(C(F)(F)F)cc1 ZINC000449574481 338639372 /nfs/dbraw/zinc/63/93/72/338639372.db2.gz UUZIQFAGJRTWDD-XUJVJEKNSA-N 0 3 323.362 4.301 20 0 DIADHN CCc1ncc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000449611429 338641002 /nfs/dbraw/zinc/64/10/02/338641002.db2.gz VSJZUOFZQDWDJS-MRXNPFEDSA-N 0 3 300.377 4.102 20 0 DIADHN CC[C@@H](O)[C@H](CC)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000449648453 338644199 /nfs/dbraw/zinc/64/41/99/338644199.db2.gz QRZLAUPGVJLPRB-LSDHHAIUSA-N 0 3 324.877 4.103 20 0 DIADHN COc1cc(CN[C@@H]2CCCN(C)c3ccccc32)ccc1C ZINC000449652361 338644423 /nfs/dbraw/zinc/64/44/23/338644423.db2.gz PVLHXMRWNSUKNK-GOSISDBHSA-N 0 3 310.441 4.065 20 0 DIADHN CCn1cc([C@H](C)NCc2ccc(-c3ccccc3)s2)cn1 ZINC000449671857 338645397 /nfs/dbraw/zinc/64/53/97/338645397.db2.gz UKLVUATYKWODOU-AWEZNQCLSA-N 0 3 311.454 4.482 20 0 DIADHN Fc1ccc(CNCCC[C@H]2CCOC2)c(Cl)c1Cl ZINC000449689977 338646399 /nfs/dbraw/zinc/64/63/99/338646399.db2.gz MNJDKWJQRHRYLR-JTQLQIEISA-N 0 3 306.208 4.039 20 0 DIADHN Cc1cnc(CN[C@@H]2CCC[C@@H](c3cccc(Cl)c3)C2)n1C ZINC000449790764 338653442 /nfs/dbraw/zinc/65/34/42/338653442.db2.gz MGLSGPXLJSTTFR-NVXWUHKLSA-N 0 3 317.864 4.198 20 0 DIADHN CCC(=O)CCCN1CCC(c2c[nH]c3cc(F)ccc32)CC1 ZINC000450384804 338681203 /nfs/dbraw/zinc/68/12/03/338681203.db2.gz BVVUUVZSXGFHPI-UHFFFAOYSA-N 0 3 316.420 4.246 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1ccn(CC(F)(F)F)n1 ZINC000450567146 338691253 /nfs/dbraw/zinc/69/12/53/338691253.db2.gz VVMRQGFOJOXHIN-ZIAGYGMSSA-N 0 3 317.399 4.140 20 0 DIADHN CO[C@@H](CN[C@H](CC(C)C)c1ccccn1)Cc1ccccc1 ZINC000450720437 338701169 /nfs/dbraw/zinc/70/11/69/338701169.db2.gz SZEBYWOQEJYKLV-UYAOXDASSA-N 0 3 312.457 4.016 20 0 DIADHN CCc1cc(CCCN[C@H](C)c2nc(C(C)(C)C)cs2)on1 ZINC000450729221 338701912 /nfs/dbraw/zinc/70/19/12/338701912.db2.gz ORYRUOINMIQPOJ-GFCCVEGCSA-N 0 3 321.490 4.274 20 0 DIADHN C[C@H](NC[C@@H]1CCCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450763009 338704335 /nfs/dbraw/zinc/70/43/35/338704335.db2.gz OUMVQVSKVDMZBP-RYUDHWBXSA-N 0 3 314.445 4.498 20 0 DIADHN CCC(=O)CCCN1CCC(c2c[nH]c3ccc(F)cc32)CC1 ZINC000451026411 338718412 /nfs/dbraw/zinc/71/84/12/338718412.db2.gz DZPNMJKZAZZNGO-UHFFFAOYSA-N 0 3 316.420 4.246 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2c1cccc2O)c1ccc(Cl)cc1 ZINC000451187923 338725140 /nfs/dbraw/zinc/72/51/40/338725140.db2.gz PTUNPXHBBDDCGA-WMZOPIPTSA-N 0 3 317.816 4.010 20 0 DIADHN CCc1sc(-c2nnc(CNC3(CC)CCCC3)o2)cc1C ZINC000451270193 338727751 /nfs/dbraw/zinc/72/77/51/338727751.db2.gz BMVXSZRWQSFYPF-UHFFFAOYSA-N 0 3 319.474 4.481 20 0 DIADHN FC(F)(F)c1csc(C2CCN([C@@H]3C=CCCC3)CC2)n1 ZINC000451292619 338728521 /nfs/dbraw/zinc/72/85/21/338728521.db2.gz DVRRBASKYCKKKA-GFCCVEGCSA-N 0 3 316.392 4.450 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)ccn1 ZINC000451359965 338731356 /nfs/dbraw/zinc/73/13/56/338731356.db2.gz XMKUYXRLRWCIBE-QGZVFWFLSA-N 0 3 320.795 4.124 20 0 DIADHN C[C@H](NCCc1nc(C(C)(C)C)cs1)c1cccc(O)c1 ZINC000194885283 338773238 /nfs/dbraw/zinc/77/32/38/338773238.db2.gz XCGDWJKPXGPQTR-LBPRGKRZSA-N 0 3 304.459 4.040 20 0 DIADHN COc1cccc(CN[C@@H]2CC[C@H](C)c3ccsc32)c1OC ZINC000453054609 338788137 /nfs/dbraw/zinc/78/81/37/338788137.db2.gz BAQHSZVNNIAXGY-SWLSCSKDSA-N 0 3 317.454 4.494 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CC[C@@H](C)c3ccsc32)c1 ZINC000453058689 338788619 /nfs/dbraw/zinc/78/86/19/338788619.db2.gz LFJQRQSZKJVAQK-PXAZEXFGSA-N 0 3 314.454 4.435 20 0 DIADHN C[C@H](NCCc1ccsc1)c1ncc(-c2ccccc2)n1C ZINC000453071906 338790279 /nfs/dbraw/zinc/79/02/79/338790279.db2.gz MTPQVKKOCABPOO-AWEZNQCLSA-N 0 3 311.454 4.042 20 0 DIADHN C[C@H]1C[C@@H](NCC2(c3ccc(F)cc3)CCCC2)c2nccn21 ZINC000453072537 338790442 /nfs/dbraw/zinc/79/04/42/338790442.db2.gz YTGUNFBNXZKKBQ-WMLDXEAASA-N 0 3 313.420 4.130 20 0 DIADHN CCn1ccnc1[C@H](C)NCC(C)(C)c1cccc(Cl)c1 ZINC000453080112 338791382 /nfs/dbraw/zinc/79/13/82/338791382.db2.gz DCDHRJCLAMHVTG-ZDUSSCGKSA-N 0 3 305.853 4.185 20 0 DIADHN CCC(CC)(CN[C@@H]1CCn2ccnc21)c1ccc(Cl)cc1 ZINC000453081522 338791456 /nfs/dbraw/zinc/79/14/56/338791456.db2.gz BZMCHPJPHSGPQO-MRXNPFEDSA-N 0 3 317.864 4.329 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1csc(C(C)(C)C)n1 ZINC000453081532 338791473 /nfs/dbraw/zinc/79/14/73/338791473.db2.gz CABCIVBCTINWTH-AWEZNQCLSA-N 0 3 317.502 4.337 20 0 DIADHN CC[C@H](N[C@@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccncc1 ZINC000453090059 338792516 /nfs/dbraw/zinc/79/25/16/338792516.db2.gz ORAPZPTVTCVSOU-FXAWDEMLSA-N 0 3 324.424 4.045 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ccncn1 ZINC000453102977 338794122 /nfs/dbraw/zinc/79/41/22/338794122.db2.gz ADEXHVBTGUMRJK-RISCZKNCSA-N 0 3 324.255 4.455 20 0 DIADHN CCSCC[C@@H](C)N[C@H](C)c1ncc(-c2ccccc2)n1C ZINC000453116895 338795855 /nfs/dbraw/zinc/79/58/55/338795855.db2.gz JUDTUNJJJRIZEQ-HUUCEWRRSA-N 0 3 317.502 4.269 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(-n2ccnc2)c1)c1ccncc1 ZINC000453119120 338796009 /nfs/dbraw/zinc/79/60/09/338796009.db2.gz LQVGXPGFBXSHEZ-BEFAXECRSA-N 0 3 306.413 4.069 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2ccc(F)cc2Cl)c2nccn21 ZINC000453120726 338796262 /nfs/dbraw/zinc/79/62/62/338796262.db2.gz NBJURWLGMUAUMF-IAQYHMDHSA-N 0 3 321.827 4.249 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CC[C@@H](C)c2ccsc21 ZINC000453138938 338798527 /nfs/dbraw/zinc/79/85/27/338798527.db2.gz AAWXUQVMDQSACY-HONMWMINSA-N 0 3 307.484 4.380 20 0 DIADHN CC[C@H](NCc1ccccc1-c1cnn(C)c1)c1ccsc1 ZINC000453172635 338802525 /nfs/dbraw/zinc/80/25/25/338802525.db2.gz ICXLTKXHKKWESQ-SFHVURJKSA-N 0 3 311.454 4.390 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)cs1 ZINC000453182850 338803812 /nfs/dbraw/zinc/80/38/12/338803812.db2.gz RZRQGLLEXOXMOR-UONOGXRCSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)cs1 ZINC000453182852 338803874 /nfs/dbraw/zinc/80/38/74/338803874.db2.gz RZRQGLLEXOXMOR-ZIAGYGMSSA-N 0 3 312.442 4.049 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2ccnn2)cc1)c1ccsc1 ZINC000453187348 338804646 /nfs/dbraw/zinc/80/46/46/338804646.db2.gz YBZKSJFASYCJSG-DYVFJYSZSA-N 0 3 312.442 4.131 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1ncc(-c2ccccc2)n1C ZINC000453200533 338806858 /nfs/dbraw/zinc/80/68/58/338806858.db2.gz ZDWGLEFRQRLXAX-HOCLYGCPSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccncn1 ZINC000453208849 338808192 /nfs/dbraw/zinc/80/81/92/338808192.db2.gz HJBCLMICLACOFD-DRZSPHRISA-N 0 3 322.239 4.380 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H](C)CCCc1cccnc1 ZINC000453215206 338809000 /nfs/dbraw/zinc/80/90/00/338809000.db2.gz QABGXXLXVWHTDT-HNNXBMFYSA-N 0 3 324.424 4.337 20 0 DIADHN Cc1cc(CCN[C@H](C)c2ccc(Oc3ccccc3)cn2)on1 ZINC000453217888 338809257 /nfs/dbraw/zinc/80/92/57/338809257.db2.gz VASBSTATRLRELD-OAHLLOKOSA-N 0 3 323.396 4.064 20 0 DIADHN CCn1ccnc1[C@H](C)NCCCCc1ccc(Cl)s1 ZINC000453219085 338809580 /nfs/dbraw/zinc/80/95/80/338809580.db2.gz QHLNXXKQOWPJOR-LBPRGKRZSA-N 0 3 311.882 4.291 20 0 DIADHN Cc1c([C@H](C)NCCC(C)(F)F)cnn1-c1cc(C)ccc1C ZINC000453220779 338809752 /nfs/dbraw/zinc/80/97/52/338809752.db2.gz OKOTULDMKSXWBJ-AWEZNQCLSA-N 0 3 321.415 4.493 20 0 DIADHN C[C@@H](NC1CC(C)(F)C1)c1ccc(Oc2ccccc2)cn1 ZINC000453239564 338812656 /nfs/dbraw/zinc/81/26/56/338812656.db2.gz PZTMAGJRZSUONQ-WRSVWVKZSA-N 0 3 300.377 4.415 20 0 DIADHN COC(=O)c1csc([C@H](C)NC[C@@H]2CCCCC2(F)F)c1 ZINC000453248673 338813998 /nfs/dbraw/zinc/81/39/98/338813998.db2.gz LKFZFVRMDUJETQ-JQWIXIFHSA-N 0 3 317.401 4.011 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)ccc1F ZINC000453252534 338814555 /nfs/dbraw/zinc/81/45/55/338814555.db2.gz HBHPQHPOPHQQMG-KGLIPLIRSA-N 0 3 315.388 4.317 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-c2ccccc2)o1)[C@H](O)C(F)F ZINC000453268026 338816350 /nfs/dbraw/zinc/81/63/50/338816350.db2.gz JMDXDFWTJXRGPB-FFSVYQOJSA-N 0 3 309.356 4.002 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccnc(C)c2)cc1OCC ZINC000453287377 338819074 /nfs/dbraw/zinc/81/90/74/338819074.db2.gz LBOAFOBKSAAKIZ-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN COc1ncc(CN[C@H]2CCCc3cc(F)ccc32)cc1Cl ZINC000453294286 338819867 /nfs/dbraw/zinc/81/98/67/338819867.db2.gz OVFZTTIOAVIKOT-INIZCTEOSA-N 0 3 320.795 4.050 20 0 DIADHN Cc1ncsc1CCN[C@H]1CCCOc2ccc(Cl)cc21 ZINC000453301032 338820983 /nfs/dbraw/zinc/82/09/83/338820983.db2.gz AXUNPXGVHGBNDG-AWEZNQCLSA-N 0 3 322.861 4.151 20 0 DIADHN CC[C@@H](NCc1cnc(OC)c(Cl)c1)c1cccc(C)c1 ZINC000453301608 338821074 /nfs/dbraw/zinc/82/10/74/338821074.db2.gz XPNLIDKTRXWZNF-MRXNPFEDSA-N 0 3 304.821 4.293 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000453307551 338821935 /nfs/dbraw/zinc/82/19/35/338821935.db2.gz CYXMIAFCQFHWSK-OLZOCXBDSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1ncc(-c2ccccc2)n1C ZINC000453313415 338822945 /nfs/dbraw/zinc/82/29/45/338822945.db2.gz DCKAXIGVSTUKDR-UKRRQHHQSA-N 0 3 319.399 4.173 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCCC2(F)F)c2cccnc2)cc1 ZINC000453313370 338822958 /nfs/dbraw/zinc/82/29/58/338822958.db2.gz CUARQHGQUYYXQZ-DOTOQJQBSA-N 0 3 320.358 4.335 20 0 DIADHN CO[C@](C)(CN[C@H](c1ccc(F)cn1)C(C)C)c1ccccc1 ZINC000453314067 338823038 /nfs/dbraw/zinc/82/30/38/338823038.db2.gz FMXRLBKCDSCSIK-RBUKOAKNSA-N 0 3 316.420 4.069 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc(C)cs1)CCCO2 ZINC000453320197 338824222 /nfs/dbraw/zinc/82/42/22/338824222.db2.gz OPGBQMXRGUFSJQ-MRXNPFEDSA-N 0 3 303.427 4.069 20 0 DIADHN CO[C@@](C)(CN[C@H](C)c1nccc2ccccc21)c1ccccc1 ZINC000453331210 338825967 /nfs/dbraw/zinc/82/59/67/338825967.db2.gz XUAQYKQJMFLTMB-IERDGZPVSA-N 0 3 320.436 4.447 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCOC3(CCC3)C2)cs1 ZINC000453330980 338826009 /nfs/dbraw/zinc/82/60/09/338826009.db2.gz ZBGKEEMAYLHZBW-UONOGXRCSA-N 0 3 308.491 4.266 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)cs2)c(C)c1OC ZINC000453331172 338826020 /nfs/dbraw/zinc/82/60/20/338826020.db2.gz ZOEPPAGZGZKLRS-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCN(C)c3ccccc31)CC2 ZINC000453352447 338829914 /nfs/dbraw/zinc/82/99/14/338829914.db2.gz HTCYBOZWDDICKC-PMACEKPBSA-N 0 3 322.452 4.243 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1cnc(C)cc1C ZINC000453374706 338834035 /nfs/dbraw/zinc/83/40/35/338834035.db2.gz TXJJZZKYOGETGM-LJQANCHMSA-N 0 3 310.441 4.219 20 0 DIADHN Clc1ccccc1C[C@@H](NCc1cnc(C2CC2)o1)C1CC1 ZINC000453380409 338835032 /nfs/dbraw/zinc/83/50/32/338835032.db2.gz ZKGYMYSQURZDIL-QGZVFWFLSA-N 0 3 316.832 4.316 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000453385468 338835969 /nfs/dbraw/zinc/83/59/69/338835969.db2.gz AYUIJKKHFFWWMW-NJZAAPMLSA-N 0 3 323.387 4.040 20 0 DIADHN Clc1cccc([C@@H]2CCC[C@H](N[C@@H]3CCn4ccnc43)C2)c1 ZINC000453387401 338836191 /nfs/dbraw/zinc/83/61/91/338836191.db2.gz DZTATGJMVFWGIF-HYVNUMGLSA-N 0 3 315.848 4.297 20 0 DIADHN CCC1(CNC(c2ccc(F)cc2)c2ccc(F)cc2)COC1 ZINC000453392140 338836893 /nfs/dbraw/zinc/83/68/93/338836893.db2.gz ZGHZRAQBVPLUQP-UHFFFAOYSA-N 0 3 317.379 4.070 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000453403360 338838963 /nfs/dbraw/zinc/83/89/63/338838963.db2.gz QMCMYUDYWDFFAN-YSVLISHTSA-N 0 3 315.848 4.425 20 0 DIADHN C[C@@H](N[C@H](C1CC1)C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000453410736 338840033 /nfs/dbraw/zinc/84/00/33/338840033.db2.gz GUDFPCJUPWRZRT-PELKAZGASA-N 0 3 304.381 4.391 20 0 DIADHN C[C@H](NCCC1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000453414948 338840538 /nfs/dbraw/zinc/84/05/38/338840538.db2.gz JLSLNDLMZXNFNI-ZETCQYMHSA-N 0 3 314.323 4.248 20 0 DIADHN CC[C@H](NCCc1ccc(C)cn1)c1ccccc1OC(F)F ZINC000453420433 338840873 /nfs/dbraw/zinc/84/08/73/338840873.db2.gz KJNGLZCJIGCHJN-INIZCTEOSA-N 0 3 320.383 4.275 20 0 DIADHN COc1ccc2oc([C@H](C)NCCc3ccc(C)cn3)c(C)c2c1 ZINC000453427932 338841429 /nfs/dbraw/zinc/84/14/29/338841429.db2.gz OSGMHXZOEVZSRA-HNNXBMFYSA-N 0 3 324.424 4.347 20 0 DIADHN C(CSc1nnc(CCC2CCCCC2)o1)CN1CCCC1 ZINC000195036266 338844309 /nfs/dbraw/zinc/84/43/09/338844309.db2.gz BJZZFLCKJMQAAF-UHFFFAOYSA-N 0 3 323.506 4.161 20 0 DIADHN c1cc(-c2ncc(CNCCOCC3CCCC3)s2)cs1 ZINC000453579977 338849138 /nfs/dbraw/zinc/84/91/38/338849138.db2.gz FXZUYEJHMAWGHL-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CC[C@@H](CC(C)(C)C)C2)c1 ZINC000454358427 338861501 /nfs/dbraw/zinc/86/15/01/338861501.db2.gz IWTOIVXFCOKQDH-INIZCTEOSA-N 0 3 317.477 4.038 20 0 DIADHN CC[C@@H](CC(F)F)C(=O)Nc1cccc(CN(CC)CC)c1 ZINC000457432204 338963415 /nfs/dbraw/zinc/96/34/15/338963415.db2.gz CWHUJRPJRYVUJU-AWEZNQCLSA-N 0 3 312.404 4.148 20 0 DIADHN C[C@@H]1CCCN(Cc2cn(C3CCCC3)nc2-c2ccccn2)C1 ZINC000459283635 339019218 /nfs/dbraw/zinc/01/92/18/339019218.db2.gz AFOINWIFPLMSNL-MRXNPFEDSA-N 0 3 324.472 4.292 20 0 DIADHN CCOc1cc(CN(CC)[C@@H](C)c2cccc(O)c2)ccc1O ZINC000459329877 339022168 /nfs/dbraw/zinc/02/21/68/339022168.db2.gz RBHCTGJEDNJNAZ-AWEZNQCLSA-N 0 3 315.413 4.080 20 0 DIADHN c1cc(C2CC2)ccc1CNCc1ccc(N2CCCCC2)nc1 ZINC000459373268 339025328 /nfs/dbraw/zinc/02/53/28/339025328.db2.gz KHFKGSQPBRVCNU-UHFFFAOYSA-N 0 3 321.468 4.239 20 0 DIADHN CCCCc1ccc([C@H](NCc2cnnn2CC)C(C)C)cc1 ZINC000459376123 339025472 /nfs/dbraw/zinc/02/54/72/339025472.db2.gz ZXXVWEJRLJULKV-LJQANCHMSA-N 0 3 314.477 4.128 20 0 DIADHN COc1cccc(C=C2CCN(C3CC(OC(C)C)C3)CC2)c1 ZINC000459374422 339025522 /nfs/dbraw/zinc/02/55/22/339025522.db2.gz UNIUVDHXSZEDPE-UHFFFAOYSA-N 0 3 315.457 4.130 20 0 DIADHN CC(C)(C)c1nc2cc(NC3CCN(C4CC4)CC3)ccc2o1 ZINC000459377756 339025743 /nfs/dbraw/zinc/02/57/43/339025743.db2.gz UYJILACCKPUARR-UHFFFAOYSA-N 0 3 313.445 4.164 20 0 DIADHN Fc1ccc([C@H](NCc2cnc(C3CC3)nc2)C2CCC2)cc1 ZINC000459401767 339027138 /nfs/dbraw/zinc/02/71/38/339027138.db2.gz MYKCQWFKLUUYFA-GOSISDBHSA-N 0 3 311.404 4.124 20 0 DIADHN Fc1ccc([C@@H](NCc2cnc(C3CC3)nc2)C2CCC2)cc1 ZINC000459402068 339027252 /nfs/dbraw/zinc/02/72/52/339027252.db2.gz MYKCQWFKLUUYFA-SFHVURJKSA-N 0 3 311.404 4.124 20 0 DIADHN CCOc1cc(C[NH2+][C@H](C)CCSCC)cc(Cl)c1[O-] ZINC000459422908 339028361 /nfs/dbraw/zinc/02/83/61/339028361.db2.gz ONKBUJGAXUSLAU-LLVKDONJSA-N 0 3 317.882 4.066 20 0 DIADHN CC(C)c1ccc(C[C@@H](C)NCc2cnc(C3CC3)nc2)cc1 ZINC000459429959 339028698 /nfs/dbraw/zinc/02/86/98/339028698.db2.gz DDXIDXGWKIBNJM-OAHLLOKOSA-N 0 3 309.457 4.198 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CCCC(F)(F)C2)cc(Cl)c1[O-] ZINC000459451052 339029936 /nfs/dbraw/zinc/02/99/36/339029936.db2.gz VGEUWQBOCPSKEP-LLVKDONJSA-N 0 3 319.779 4.112 20 0 DIADHN FC1(F)CCC(CN2CCC(F)(c3ccccn3)CC2)CC1 ZINC000459450678 339030031 /nfs/dbraw/zinc/03/00/31/339030031.db2.gz KAWIGFUXKFEJLO-UHFFFAOYSA-N 0 3 312.379 4.168 20 0 DIADHN c1ccc([C@@H](CC2CCC2)NCc2cnc(C3CC3)nc2)cc1 ZINC000459473745 339031340 /nfs/dbraw/zinc/03/13/40/339031340.db2.gz YLDZRDWUYFIYBK-LJQANCHMSA-N 0 3 307.441 4.375 20 0 DIADHN c1ccc([C@H](CC2CCC2)NCc2cnc(C3CC3)nc2)cc1 ZINC000459473740 339031355 /nfs/dbraw/zinc/03/13/55/339031355.db2.gz YLDZRDWUYFIYBK-IBGZPJMESA-N 0 3 307.441 4.375 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@@H](C)CC(F)(F)F ZINC000459478269 339031713 /nfs/dbraw/zinc/03/17/13/339031713.db2.gz KMBFFHWUTRZZKV-QMMMGPOBSA-N 0 3 317.380 4.012 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(CC1CCC(F)(F)CC1)C2 ZINC000459499952 339032750 /nfs/dbraw/zinc/03/27/50/339032750.db2.gz MPXYDOQZRVTPSG-UHFFFAOYSA-N 0 3 307.384 4.065 20 0 DIADHN Fc1cc(-c2ccco2)ccc1NC1CCN(C2CC2)CC1 ZINC000459529281 339034268 /nfs/dbraw/zinc/03/42/68/339034268.db2.gz YWIJKBXWDXSPJM-UHFFFAOYSA-N 0 3 300.377 4.124 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H](c3ccc(Cl)cc3)C2)no1 ZINC000459558835 339035712 /nfs/dbraw/zinc/03/57/12/339035712.db2.gz GXYCVENRXKGTPA-ZBFHGGJFSA-N 0 3 304.821 4.452 20 0 DIADHN Cc1cc(CNCc2ccc(C3CC3)cc2)cc(N2CCCC2)n1 ZINC000459558637 339035749 /nfs/dbraw/zinc/03/57/49/339035749.db2.gz GSVHOBRDILKSAE-UHFFFAOYSA-N 0 3 321.468 4.157 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1NC1CCN(C2CC2)CC1 ZINC000459560703 339035797 /nfs/dbraw/zinc/03/57/97/339035797.db2.gz KLYVXFYOACYXCE-UHFFFAOYSA-N 0 3 308.853 4.166 20 0 DIADHN Cc1noc(C)c1CCN1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000459581065 339037173 /nfs/dbraw/zinc/03/71/73/339037173.db2.gz PSBAAYQMSAFXQZ-IBGZPJMESA-N 0 3 310.441 4.451 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CC(C2CCCCC2)C1 ZINC000459593920 339037825 /nfs/dbraw/zinc/03/78/25/339037825.db2.gz PCRXRBKWRZZBSP-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN COCCCCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459592718 339037871 /nfs/dbraw/zinc/03/78/71/339037871.db2.gz NSGYOORTOUZQJC-MRXNPFEDSA-N 0 3 321.852 4.170 20 0 DIADHN CC(C)(O)C1CN(Cc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC000459608151 339038292 /nfs/dbraw/zinc/03/82/92/339038292.db2.gz HGGFYVLMJNRYTM-UHFFFAOYSA-N 0 3 321.873 4.271 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1nnc(-c2ccccc2Cl)o1 ZINC000459607318 339038351 /nfs/dbraw/zinc/03/83/51/339038351.db2.gz GGVHOTVMUATBOE-PXAZEXFGSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@H](CCSc1ccccc1)N[C@@H](C)c1cncc(F)c1 ZINC000459616969 339038702 /nfs/dbraw/zinc/03/87/02/339038702.db2.gz AKYLZXFXDGVFIP-KGLIPLIRSA-N 0 3 304.434 4.442 20 0 DIADHN C[C@H](N[C@@H]1CS[C@H](C(C)(C)C)C1)c1nc2c(s1)CCC2 ZINC000459645679 339040118 /nfs/dbraw/zinc/04/01/18/339040118.db2.gz HADFHKVNJLEVEU-MJVIPROJSA-N 0 3 310.532 4.203 20 0 DIADHN COc1ccc([C@H](C)CN[C@H](C)c2nc3c(s2)CCC3)cc1 ZINC000459649808 339040310 /nfs/dbraw/zinc/04/03/10/339040310.db2.gz MNBCWAWYLIEYNW-CHWSQXEVSA-N 0 3 316.470 4.095 20 0 DIADHN CSc1ccccc1C[C@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000459651644 339040581 /nfs/dbraw/zinc/04/05/81/339040581.db2.gz PQMNTVUPWPBWGI-STQMWFEESA-N 0 3 304.434 4.224 20 0 DIADHN CC(C)(C)n1cc(CN[C@@H]2C[C@H]2c2c(F)cccc2Cl)cn1 ZINC000459665737 339041696 /nfs/dbraw/zinc/04/16/96/339041696.db2.gz KNCBEAQVZQCYJP-IUODEOHRSA-N 0 3 321.827 4.076 20 0 DIADHN C/C=C/COc1ccc(CC[C@@H](C)NCc2cnc(C)o2)cc1 ZINC000459673686 339042335 /nfs/dbraw/zinc/04/23/35/339042335.db2.gz JLCKBVHINLSJKS-MBVDDHJVSA-N 0 3 314.429 4.049 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(C)c(C)n1 ZINC000459678983 339042699 /nfs/dbraw/zinc/04/26/99/339042699.db2.gz WFNMECYIROJWMQ-PTNGSMBKSA-N 0 3 310.441 4.038 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@H]2Cc2ccc(Cl)cc2)o1 ZINC000459679341 339042739 /nfs/dbraw/zinc/04/27/39/339042739.db2.gz CBDLSLKDQWXXFM-WMLDXEAASA-N 0 3 304.821 4.137 20 0 DIADHN FC(F)C1CCC(NCc2csc(-c3ccccn3)n2)CC1 ZINC000459713227 339044013 /nfs/dbraw/zinc/04/40/13/339044013.db2.gz OERKPVRVRUFLMX-UHFFFAOYSA-N 0 3 323.412 4.119 20 0 DIADHN Cn1c(CN[C@@]2(C)CC=CCC2)nc2cc(C(F)(F)F)ccc21 ZINC000459752661 339046256 /nfs/dbraw/zinc/04/62/56/339046256.db2.gz LDNSUWMLBUTYRE-INIZCTEOSA-N 0 3 323.362 4.181 20 0 DIADHN CCn1c(CNC2(C3CCC3)CCC2)nc2c1cccc2F ZINC000459767816 339047309 /nfs/dbraw/zinc/04/73/09/339047309.db2.gz LGUCDZFYIFQSMB-UHFFFAOYSA-N 0 3 301.409 4.008 20 0 DIADHN FC(F)(F)c1ccc2oc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)nc2c1 ZINC000459775037 339047723 /nfs/dbraw/zinc/04/77/23/339047723.db2.gz JRWDMTHOJNGRQP-ADEWGFFLSA-N 0 3 310.319 4.125 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccccc2Cl)o1)C1CCC1 ZINC000459790236 339048754 /nfs/dbraw/zinc/04/87/54/339048754.db2.gz GLXARPJXASNOMC-OAHLLOKOSA-N 0 3 319.836 4.448 20 0 DIADHN Fc1ccc(CNC[C@@H]2CCCCS2)c(C(F)(F)F)c1 ZINC000167126338 339053347 /nfs/dbraw/zinc/05/33/47/339053347.db2.gz HZDSJEIUMWPIHG-LBPRGKRZSA-N 0 3 307.356 4.220 20 0 DIADHN CC[C@@H](NC[C@@H](CO)c1ccccc1)c1cccc(Cl)c1F ZINC000461092460 339059072 /nfs/dbraw/zinc/05/90/72/339059072.db2.gz GLTODMGQQILTIL-WMLDXEAASA-N 0 3 321.823 4.296 20 0 DIADHN CC[C@@H](NC[C@@H](O)Cc1ccccc1)c1cccc(Cl)c1F ZINC000461095852 339059221 /nfs/dbraw/zinc/05/92/21/339059221.db2.gz DXDNIKCOFDGJIE-WMLDXEAASA-N 0 3 321.823 4.123 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000461369479 339062547 /nfs/dbraw/zinc/06/25/47/339062547.db2.gz IGVURZJCZXLODE-UHFFFAOYSA-N 0 3 319.395 4.397 20 0 DIADHN CN(CCOc1ccccc1F)Cc1ccc(C(F)F)cc1 ZINC000461395815 339063042 /nfs/dbraw/zinc/06/30/42/339063042.db2.gz IPGOEZIXFHJMHZ-UHFFFAOYSA-N 0 3 309.331 4.274 20 0 DIADHN CC[C@H](C(=O)N1CC[C@@H](C)C[C@@H]1c1ccc(C)o1)N(CC)CC ZINC000461751285 339066557 /nfs/dbraw/zinc/06/65/57/339066557.db2.gz QCCLULFSUUFGEB-DJIMGWMZSA-N 0 3 320.477 4.008 20 0 DIADHN CC(C)COC[C@H](N[C@@H]1CCc2ccccc2C1)c1ccco1 ZINC000462042331 339071255 /nfs/dbraw/zinc/07/12/55/339071255.db2.gz XSHGBICSIGPENT-MOPGFXCFSA-N 0 3 313.441 4.140 20 0 DIADHN Cc1sc(CN[C@H]2Cc3ccc(Cl)cc3C2)nc1C(C)C ZINC000462051888 339071641 /nfs/dbraw/zinc/07/16/41/339071641.db2.gz VYUGJJZCJCMRAS-HNNXBMFYSA-N 0 3 320.889 4.485 20 0 DIADHN Cc1ccc2nccc(N3Cc4ccc(O)cc4C4(CC4)C3)c2c1 ZINC000462089135 339072100 /nfs/dbraw/zinc/07/21/00/339072100.db2.gz QDFQPSUNKURWLY-UHFFFAOYSA-N 0 3 316.404 4.301 20 0 DIADHN COCc1cccc(CNCCOc2ccc(C(C)C)cc2)c1 ZINC000462354369 339076392 /nfs/dbraw/zinc/07/63/92/339076392.db2.gz UTBWYRAYBKSLDW-UHFFFAOYSA-N 0 3 313.441 4.125 20 0 DIADHN C[C@@H](NCCCc1ccncc1)c1ncc(-c2ccccc2)o1 ZINC000462444862 339079081 /nfs/dbraw/zinc/07/90/81/339079081.db2.gz AIFHDXPSMQKZHZ-OAHLLOKOSA-N 0 3 307.397 4.020 20 0 DIADHN C[C@H](NC[C@@H](O)C(F)(F)F)c1ccc(Cl)c2ccccc21 ZINC000462471092 339079528 /nfs/dbraw/zinc/07/95/28/339079528.db2.gz HOPSETQVEBXQPC-LKFCYVNXSA-N 0 3 317.738 4.067 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000462505048 339080312 /nfs/dbraw/zinc/08/03/12/339080312.db2.gz BKVROFBUWMVJRN-KSSFIOAISA-N 0 3 320.440 4.136 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@H](c2ccsc2)C1 ZINC000462506797 339080347 /nfs/dbraw/zinc/08/03/47/339080347.db2.gz XWRATFFMDDDDCQ-KRWDZBQOSA-N 0 3 324.449 4.491 20 0 DIADHN Cc1cccn2c(CN[C@@H]3c4ccccc4CCC[C@H]3C)cnc12 ZINC000462550428 339081266 /nfs/dbraw/zinc/08/12/66/339081266.db2.gz XMWYNCCAMBAPBY-QRWLVFNGSA-N 0 3 319.452 4.446 20 0 DIADHN CCN(CC)CC(=O)N(Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000462575243 339081785 /nfs/dbraw/zinc/08/17/85/339081785.db2.gz DPRYPHXZNPJETF-SFHVURJKSA-N 0 3 324.468 4.118 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2ccccc2Br)o1 ZINC000462738991 339086059 /nfs/dbraw/zinc/08/60/59/339086059.db2.gz MWILGFXRIWTLKP-GFCCVEGCSA-N 0 3 322.246 4.461 20 0 DIADHN C[C@H](c1ccsc1)N1CCN(c2ccnc3ccccc32)CC1 ZINC000462694454 339084263 /nfs/dbraw/zinc/08/42/63/339084263.db2.gz CRVNDXMFLWWDPN-OAHLLOKOSA-N 0 3 323.465 4.180 20 0 DIADHN Fc1cccc(CN[C@@H]2CCCN(c3ccccc3Cl)C2)c1 ZINC000462717166 339084723 /nfs/dbraw/zinc/08/47/23/339084723.db2.gz HQGOKKBLSOSEJY-MRXNPFEDSA-N 0 3 318.823 4.238 20 0 DIADHN C[C@H](CNCc1cc(F)ccc1F)Oc1cccc(Cl)c1 ZINC000462734651 339085552 /nfs/dbraw/zinc/08/55/52/339085552.db2.gz NSEVHCIZYQJOEJ-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN Cc1cc(F)ccc1CNC[C@@H](C)Oc1ccccc1Cl ZINC000462739392 339086243 /nfs/dbraw/zinc/08/62/43/339086243.db2.gz OMABJJYLNPDAHU-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN CO[C@H](CNCc1cc(C)ccc1F)c1ccc(Cl)cc1 ZINC000462744272 339086523 /nfs/dbraw/zinc/08/65/23/339086523.db2.gz VPJNLCQPMTZCNB-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN Brc1ccccc1CN[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000462752423 339086961 /nfs/dbraw/zinc/08/69/61/339086961.db2.gz ASMCKOOESNYRTJ-DOTOQJQBSA-N 0 3 316.242 4.170 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccc(Cl)cc2)cc1F ZINC000462752837 339086980 /nfs/dbraw/zinc/08/69/80/339086980.db2.gz JGTBXBUVIDRMOT-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Brc1ccc(CN[C@H]2C[C@@H]2Cc2ccccc2)s1 ZINC000462754534 339086987 /nfs/dbraw/zinc/08/69/87/339086987.db2.gz MHKBHIWTZVKTTI-JSGCOSHPSA-N 0 3 322.271 4.231 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1C[C@@H]1Cc1ccccc1 ZINC000462753931 339087057 /nfs/dbraw/zinc/08/70/57/339087057.db2.gz KAPGNESCUKUARS-PXNSSMCTSA-N 0 3 324.443 4.147 20 0 DIADHN C[C@@H](CNCc1ccccc1Br)Cc1cccs1 ZINC000462763668 339087468 /nfs/dbraw/zinc/08/74/68/339087468.db2.gz DNRGGIKVLINGMF-GFCCVEGCSA-N 0 3 324.287 4.479 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1ccsc1)c1ccc(F)cc1 ZINC000462799167 339089540 /nfs/dbraw/zinc/08/95/40/339089540.db2.gz WHGGHBKVULZYDU-GUYCJALGSA-N 0 3 307.434 4.186 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccsc1)c1ccc(F)cc1 ZINC000462799165 339089570 /nfs/dbraw/zinc/08/95/70/339089570.db2.gz WHGGHBKVULZYDU-CXAGYDPISA-N 0 3 307.434 4.186 20 0 DIADHN CC[C@@H](NCCc1ccc(Cl)c(C)c1)c1ccc(F)cn1 ZINC000462803274 339089973 /nfs/dbraw/zinc/08/99/73/339089973.db2.gz AGHXGDZOESTFOI-MRXNPFEDSA-N 0 3 306.812 4.466 20 0 DIADHN Cc1ccc([C@@H](NCc2c3c(nn2C)CCCC3)C2CCC2)cc1 ZINC000462811808 339090949 /nfs/dbraw/zinc/09/09/49/339090949.db2.gz XVYCKDYCUWSKAE-NRFANRHFSA-N 0 3 323.484 4.238 20 0 DIADHN Cc1ccc([C@H](NCc2c3c(nn2C)CCCC3)C2CCC2)cc1 ZINC000462811810 339090965 /nfs/dbraw/zinc/09/09/65/339090965.db2.gz XVYCKDYCUWSKAE-OAQYLSRUSA-N 0 3 323.484 4.238 20 0 DIADHN C=Cc1ccc(CCN[C@H](c2ccccc2)c2cncnc2)cc1 ZINC000462814630 339091347 /nfs/dbraw/zinc/09/13/47/339091347.db2.gz AFKJPKDLLIYIFG-OAQYLSRUSA-N 0 3 315.420 4.041 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2c3c(nn2C)CCCC3)cc1 ZINC000462815595 339091445 /nfs/dbraw/zinc/09/14/45/339091445.db2.gz XWWUZPMZTQYXCN-OAHLLOKOSA-N 0 3 311.473 4.102 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2CCN(c3ccccc3F)C2)oc1C ZINC000462821835 339092180 /nfs/dbraw/zinc/09/21/80/339092180.db2.gz RHVHXSXOENCVAU-GDBMZVCRSA-N 0 3 316.420 4.213 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(C[C@@H]1C[C@H]1c1ccccc1)C2 ZINC000462829332 339092836 /nfs/dbraw/zinc/09/28/36/339092836.db2.gz BFXOFEUZUPARSO-HKUYNNGSSA-N 0 3 305.421 4.043 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2nccc3occc32)CC1 ZINC000462836662 339093427 /nfs/dbraw/zinc/09/34/27/339093427.db2.gz FSOMYKGGMGDLHC-OAHLLOKOSA-N 0 3 321.424 4.465 20 0 DIADHN CC[C@@H](C(=O)N[C@H](C)c1ccc(Cl)c2ccccc21)N(C)C ZINC000462838732 339093544 /nfs/dbraw/zinc/09/35/44/339093544.db2.gz ZMPOULZDYUOEBE-PXAZEXFGSA-N 0 3 318.848 4.011 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@H](c3ccc(Br)cc3)C2)o1 ZINC000462875653 339095755 /nfs/dbraw/zinc/09/57/55/339095755.db2.gz SLKBMDXMBDIRSK-CTYIDZIISA-N 0 3 320.230 4.386 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C(C)C)[C@H]2CCOC2)o1 ZINC000462877717 339095858 /nfs/dbraw/zinc/09/58/58/339095858.db2.gz NZMQLCKBRBJNTN-INIZCTEOSA-N 0 3 317.404 4.393 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1Nc1cccc(CN2CC[C@H](O)C2)c1 ZINC000462878346 339096082 /nfs/dbraw/zinc/09/60/82/339096082.db2.gz RFIHBVTYFFAMHS-HOJAQTOUSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@H](CC(C)(C)c1c[nH]c2ccccc21)NCc1cscn1 ZINC000462896051 339096560 /nfs/dbraw/zinc/09/65/60/339096560.db2.gz MQOXNIIVJMPJDS-CYBMUJFWSA-N 0 3 313.470 4.470 20 0 DIADHN CO[C@](C)(CNCc1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000462905639 339097255 /nfs/dbraw/zinc/09/72/55/339097255.db2.gz ISKUFGFJBMQARF-CQSZACIVSA-N 0 3 321.770 4.264 20 0 DIADHN CCC1CCC(N(Cc2cn(C)nc2C(F)F)C2CC2)CC1 ZINC000462948897 339098794 /nfs/dbraw/zinc/09/87/94/339098794.db2.gz WZLVDWBWFAXNPE-UHFFFAOYSA-N 0 3 311.420 4.291 20 0 DIADHN CCN(CC(C)(C)C)C(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000463000486 339100059 /nfs/dbraw/zinc/10/00/59/339100059.db2.gz FNIHTNKPTOEXPG-UHFFFAOYSA-N 0 3 316.489 4.179 20 0 DIADHN Cc1cccnc1[C@H](N[C@H]1C[C@H](OC(C)C)C1(C)C)C(C)C ZINC000463027811 339101367 /nfs/dbraw/zinc/10/13/67/339101367.db2.gz IOIVBYBRYHZGHN-YESZJQIVSA-N 0 3 304.478 4.269 20 0 DIADHN c1cnn([C@@H]2CCN(Cc3cccc(Oc4ccccc4)c3)C2)c1 ZINC000463037063 339102438 /nfs/dbraw/zinc/10/24/38/339102438.db2.gz VUSKFDMIDVGUFF-GOSISDBHSA-N 0 3 319.408 4.122 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2F)s1)[C@H]1CCOC1 ZINC000463038449 339102459 /nfs/dbraw/zinc/10/24/59/339102459.db2.gz PQNFOEGMOIIYJY-ZDUSSCGKSA-N 0 3 305.418 4.165 20 0 DIADHN CCN(Cc1ccc(-c2cccc(F)c2)s1)[C@H]1CCOC1 ZINC000463042243 339102731 /nfs/dbraw/zinc/10/27/31/339102731.db2.gz IZXHHFBNJLAETI-HNNXBMFYSA-N 0 3 305.418 4.165 20 0 DIADHN CCc1ccc([C@@H](CNc2ccc(Cl)cn2)N(C)C)cc1 ZINC000463052378 339103118 /nfs/dbraw/zinc/10/31/18/339103118.db2.gz CFRDZQUKUFMGKA-MRXNPFEDSA-N 0 3 303.837 4.012 20 0 DIADHN Cc1ccc([C@@H](CNc2ccc(Cl)cn2)N2CCCC2)cc1 ZINC000463057286 339103587 /nfs/dbraw/zinc/10/35/87/339103587.db2.gz UMEPEUZGKXKASZ-QGZVFWFLSA-N 0 3 315.848 4.292 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463077735 339104762 /nfs/dbraw/zinc/10/47/62/339104762.db2.gz LEQPYCAFSYNSML-PHIMTYICSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@H](NCc1nc2ccc(Br)cc2o1)C1CCCC1 ZINC000463128278 339106591 /nfs/dbraw/zinc/10/65/91/339106591.db2.gz QTIHBVGIHHHLPG-JTQLQIEISA-N 0 3 323.234 4.259 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463132687 339106776 /nfs/dbraw/zinc/10/67/76/339106776.db2.gz BNPHZCMUPXFWCJ-PWSUYJOCSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nc2ccc(Br)cc2o1 ZINC000463132686 339106835 /nfs/dbraw/zinc/10/68/35/339106835.db2.gz BNPHZCMUPXFWCJ-JQWIXIFHSA-N 0 3 323.234 4.259 20 0 DIADHN CC(C(=O)Nc1ccc(Oc2ccccc2)nc1)C(F)(F)F ZINC000463139962 339107053 /nfs/dbraw/zinc/10/70/53/339107053.db2.gz JKQKALJVHVQVEW-SNVBAGLBSA-N 0 3 310.275 4.011 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2Cc2ccc(F)c(F)c2)C1 ZINC000463194281 339108815 /nfs/dbraw/zinc/10/88/15/339108815.db2.gz QSUMUZNGFSWWLH-UVDFWXMISA-N 0 3 323.427 4.116 20 0 DIADHN Cc1ccccc1C[C@H](C)N(C)Cc1cncc(C(F)(F)F)c1 ZINC000463191551 339108826 /nfs/dbraw/zinc/10/88/26/339108826.db2.gz ICTZZQDIBVKDKN-AWEZNQCLSA-N 0 3 322.374 4.472 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2Cc2cc(F)cc(F)c2)C1 ZINC000463208374 339109550 /nfs/dbraw/zinc/10/95/50/339109550.db2.gz XEJRPGFQZARBDB-MAAHGYRXSA-N 0 3 323.427 4.116 20 0 DIADHN CC(C)Oc1ccc(CN(C(C)C)[C@@H]2CCOC2)cc1Cl ZINC000463219401 339110119 /nfs/dbraw/zinc/11/01/19/339110119.db2.gz BSQJQAWEIJGUID-OAHLLOKOSA-N 0 3 311.853 4.127 20 0 DIADHN CC(C(=O)Nc1ccc(OC(F)F)c(Cl)c1)C(F)(F)F ZINC000463222435 339110307 /nfs/dbraw/zinc/11/03/07/339110307.db2.gz LKCWFVYIIGZTHP-YFKPBYRVSA-N 0 3 317.641 4.078 20 0 DIADHN CC(C(=O)Nc1ccc(OCc2ccccc2)cc1)C(F)(F)F ZINC000463235095 339110862 /nfs/dbraw/zinc/11/08/62/339110862.db2.gz GAJAVVHBFKPCCV-LBPRGKRZSA-N 0 3 323.314 4.403 20 0 DIADHN Clc1cccc(C2CCN(CCn3cccn3)CC2)c1Cl ZINC000463372449 339115930 /nfs/dbraw/zinc/11/59/30/339115930.db2.gz YLGIWKWSSQZTAE-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000463380739 339116220 /nfs/dbraw/zinc/11/62/20/339116220.db2.gz XLILZJKYGGNKAF-DNVCBOLYSA-N 0 3 315.844 4.037 20 0 DIADHN CC(C)Oc1ccc(NC(=O)C(C)C(F)(F)F)cc1Cl ZINC000463419918 339117169 /nfs/dbraw/zinc/11/71/69/339117169.db2.gz NXNNUIJRWQYBOZ-QMMMGPOBSA-N 0 3 309.715 4.264 20 0 DIADHN c1csc(-c2noc(CN[C@@H]3CCCCC34CCCC4)n2)c1 ZINC000463436278 339117662 /nfs/dbraw/zinc/11/76/62/339117662.db2.gz XSRFYSOOSJYWKA-CQSZACIVSA-N 0 3 317.458 4.391 20 0 DIADHN c1cc(-c2noc(CN[C@H]3CCCCC34CCCC4)n2)cs1 ZINC000463442727 339118055 /nfs/dbraw/zinc/11/80/55/339118055.db2.gz VSLPWUUBUBOOBF-AWEZNQCLSA-N 0 3 317.458 4.391 20 0 DIADHN Cn1c(CN[C@H]2CCCCC23CCCC3)nnc1-c1ccccc1 ZINC000463443894 339118083 /nfs/dbraw/zinc/11/80/83/339118083.db2.gz YNBDEKRWDYHUKU-KRWDZBQOSA-N 0 3 324.472 4.075 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)CCCC2CCCC2)N(C)C)cc1 ZINC000463511097 339119988 /nfs/dbraw/zinc/11/99/88/339119988.db2.gz FEBHGJHMZWBIMQ-LJQANCHMSA-N 0 3 316.489 4.074 20 0 DIADHN CC(C(=O)Nc1cc(C(C)(C)C)nn1C(C)(C)C)C(F)(F)F ZINC000463541096 339120879 /nfs/dbraw/zinc/12/08/79/339120879.db2.gz BBFPUZOSEOMGAX-SECBINFHSA-N 0 3 319.371 4.073 20 0 DIADHN CC1(C)[C@H](NCc2cn3ccccc3n2)C[C@@H]1c1ccccc1 ZINC000463557612 339121246 /nfs/dbraw/zinc/12/12/46/339121246.db2.gz MTLGFKXSBYIMIS-QZTJIDSGSA-N 0 3 305.425 4.006 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@@H](C)c2ccc3ccccc3n2)C1(C)C ZINC000463570113 339121736 /nfs/dbraw/zinc/12/17/36/339121736.db2.gz MPXHIODMWWJWFB-ZOCIIQOWSA-N 0 3 312.457 4.478 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](c2ccc(F)cn2)C(C)C)C1(C)C ZINC000463580419 339122079 /nfs/dbraw/zinc/12/20/79/339122079.db2.gz WSMPMFVSYPZOFS-BRWVUGGUSA-N 0 3 308.441 4.100 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)CC(F)(F)F)cc1OCC ZINC000463703374 339124217 /nfs/dbraw/zinc/12/42/17/339124217.db2.gz ANOQPLINWSFUCP-LBPRGKRZSA-N 0 3 319.367 4.305 20 0 DIADHN COc1ccc(CNCCC2=CCCCCC2)c(OC)c1OC ZINC000463712276 339124454 /nfs/dbraw/zinc/12/44/54/339124454.db2.gz UXXWNBRQYHUJNN-UHFFFAOYSA-N 0 3 319.445 4.083 20 0 DIADHN CCCCOc1ccccc1CN[C@H]1CCO[C@@H](C(C)C)C1 ZINC000463748886 339125581 /nfs/dbraw/zinc/12/55/81/339125581.db2.gz TZSUQBJZUXGYID-PKOBYXMFSA-N 0 3 305.462 4.159 20 0 DIADHN CCCCNC(=O)[C@H](C)N[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909063 339128650 /nfs/dbraw/zinc/12/86/50/339128650.db2.gz FPHXFUQJLARGEA-WMLDXEAASA-N 0 3 322.468 4.197 20 0 DIADHN CO[C@@H](C)CCNCc1cc(Cl)ccc1OC1CCCC1 ZINC000464040167 339131614 /nfs/dbraw/zinc/13/16/14/339131614.db2.gz NIEKHAHABRLUMK-ZDUSSCGKSA-N 0 3 311.853 4.176 20 0 DIADHN CC1(C)[C@H](NCc2cn3ccsc3n2)C[C@@H]1c1ccccc1 ZINC000464073619 339133096 /nfs/dbraw/zinc/13/30/96/339133096.db2.gz KYFAYDUYINAVMA-HZPDHXFCSA-N 0 3 311.454 4.068 20 0 DIADHN CC1(C)[C@H](NCc2cn3ccsc3n2)C[C@H]1c1ccccc1 ZINC000464073620 339133106 /nfs/dbraw/zinc/13/31/06/339133106.db2.gz KYFAYDUYINAVMA-JKSUJKDBSA-N 0 3 311.454 4.068 20 0 DIADHN COCC[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@@H]1C)c1ccc(C)o1 ZINC000464079642 339133475 /nfs/dbraw/zinc/13/34/75/339133475.db2.gz ZZCUIPVJRZXOHS-WZGPROSRSA-N 0 3 313.441 4.447 20 0 DIADHN CC1(C)[C@H](NCc2ccc(Cl)cn2)C[C@H]1c1ccccc1 ZINC000464079392 339133487 /nfs/dbraw/zinc/13/34/87/339133487.db2.gz UENQZRFRWJWMOH-DLBZAZTESA-N 0 3 300.833 4.407 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CNCc1ccc(Cl)o1 ZINC000464094822 339134253 /nfs/dbraw/zinc/13/42/53/339134253.db2.gz TXISVFUYATZXKS-ZDUSSCGKSA-N 0 3 305.805 4.151 20 0 DIADHN CCCN(C)CC(=O)N(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464386544 339140988 /nfs/dbraw/zinc/14/09/88/339140988.db2.gz OHFPCZJZXBRMFB-KRWDZBQOSA-N 0 3 324.468 4.215 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1CC(=O)Nc1c(C)cccc1C ZINC000464739946 339150814 /nfs/dbraw/zinc/15/08/14/339150814.db2.gz QSKNLBWWJOSDOU-PBHICJAKSA-N 0 3 302.462 4.143 20 0 DIADHN FC(F)(F)C1CCN(CCCOc2cccc(Cl)c2)CC1 ZINC000464768734 339151881 /nfs/dbraw/zinc/15/18/81/339151881.db2.gz GAVXNOQBLOQDKV-UHFFFAOYSA-N 0 3 321.770 4.383 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(C(F)(F)F)cc2)CCS1 ZINC000464772807 339152425 /nfs/dbraw/zinc/15/24/25/339152425.db2.gz MVILXXUGLHLSAG-CQSZACIVSA-N 0 3 303.393 4.279 20 0 DIADHN C[C@@H](NC(=O)CN1C[C@H](C)CC[C@H]1C)c1ccc2ccccc2c1 ZINC000464831753 339155983 /nfs/dbraw/zinc/15/59/83/339155983.db2.gz POFYDLLKWVPTGS-BRWVUGGUSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1C[C@H](C)[C@H](C)C1 ZINC000464837486 339156540 /nfs/dbraw/zinc/15/65/40/339156540.db2.gz LUPJTKOKMXHJSR-BBWFWOEESA-N 0 3 322.452 4.268 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1nc2cc(F)ccc2o1 ZINC000464881749 339160021 /nfs/dbraw/zinc/16/00/21/339160021.db2.gz VPNOSBRJGMQABC-XJKSGUPXSA-N 0 3 310.372 4.345 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C1(CC)CCCC1)c1ccsc1 ZINC000464919706 339161752 /nfs/dbraw/zinc/16/17/52/339161752.db2.gz TXZBIRKAFZCKKE-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN CCc1ccc(-c2ccc(CNCCCC(C)(C)CO)o2)cc1 ZINC000465001630 339165070 /nfs/dbraw/zinc/16/50/70/339165070.db2.gz IHNZURAEVFZRDK-UHFFFAOYSA-N 0 3 315.457 4.397 20 0 DIADHN CCc1ccc(-c2ccc(CNCCCOC(C)C)o2)cc1 ZINC000464999606 339165147 /nfs/dbraw/zinc/16/51/47/339165147.db2.gz DYXOLYYHGRVMCN-UHFFFAOYSA-N 0 3 301.430 4.414 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(F)cc2)o1)C(C)(C)C ZINC000465007064 339165271 /nfs/dbraw/zinc/16/52/71/339165271.db2.gz TYURDLIZPGIRRT-KRWDZBQOSA-N 0 3 305.393 4.236 20 0 DIADHN CCC(C)(C)CC(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000465135276 339169888 /nfs/dbraw/zinc/16/98/88/339169888.db2.gz QDUDSAFPJYGCQN-OAHLLOKOSA-N 0 3 304.478 4.058 20 0 DIADHN CC(C)CCOc1ccc(NC(=O)C(C)C(F)(F)F)cc1 ZINC000465340250 339174657 /nfs/dbraw/zinc/17/46/57/339174657.db2.gz DFCCTWQWMWJOBP-NSHDSACASA-N 0 3 303.324 4.248 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)on1 ZINC000466341907 339199835 /nfs/dbraw/zinc/19/98/35/339199835.db2.gz AZEIAGNDCGKZOM-YESZJQIVSA-N 0 3 320.477 4.263 20 0 DIADHN CC[C@H](CNCc1cn(C(C)C)nc1C)Oc1cccc(C)c1 ZINC000466344974 339200008 /nfs/dbraw/zinc/20/00/08/339200008.db2.gz CUTVHANEGDMALD-GOSISDBHSA-N 0 3 315.461 4.028 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cn(C(C)C)nc1C ZINC000466345523 339200236 /nfs/dbraw/zinc/20/02/36/339200236.db2.gz GCCJVZNBCBDBHE-KRWDZBQOSA-N 0 3 317.502 4.433 20 0 DIADHN Cc1ccccc1C[C@@H](C)N[C@@H](CO)c1cccc(Cl)c1F ZINC000466380374 339201621 /nfs/dbraw/zinc/20/16/21/339201621.db2.gz NHZAEUAGJSEXMZ-DYVFJYSZSA-N 0 3 321.823 4.042 20 0 DIADHN CC1(c2ccccc2)CC(NCc2nccn2-c2ccccc2)C1 ZINC000466387732 339201966 /nfs/dbraw/zinc/20/19/66/339201966.db2.gz KNDATYVZXJSLEK-UHFFFAOYSA-N 0 3 317.436 4.082 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2cc(-c3ccccc3)n[nH]2)C1 ZINC000466401379 339202633 /nfs/dbraw/zinc/20/26/33/339202633.db2.gz IQAZXUUWKFBNEX-IYARVYRRSA-N 0 3 317.436 4.421 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2ccnn2-c2ccccc2)C1 ZINC000466402822 339202724 /nfs/dbraw/zinc/20/27/24/339202724.db2.gz ACVFLULSINTFQU-IYARVYRRSA-N 0 3 317.436 4.217 20 0 DIADHN FC(F)(F)COc1cnccc1CNCC1CCCCCC1 ZINC000466415449 339203444 /nfs/dbraw/zinc/20/34/44/339203444.db2.gz GAJNJHPIVYQSOH-UHFFFAOYSA-N 0 3 316.367 4.083 20 0 DIADHN CC[C@H]1CCC[C@@H](NCc2ccncc2OCC(F)(F)F)C1 ZINC000466418119 339203758 /nfs/dbraw/zinc/20/37/58/339203758.db2.gz VASFRWYQESEBMZ-GXTWGEPZSA-N 0 3 316.367 4.081 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC/C(C)=C/c1ccccc1)CCO2 ZINC000466450635 339205467 /nfs/dbraw/zinc/20/54/67/339205467.db2.gz XVHGXNYPOKOGRO-QZEGLACASA-N 0 3 309.409 4.212 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(C)c(C)cc1C)CCO2 ZINC000466467313 339206287 /nfs/dbraw/zinc/20/62/87/339206287.db2.gz UYQACBGFKYMYMM-IBGZPJMESA-N 0 3 311.425 4.234 20 0 DIADHN CCC[C@@H]1CCCN([C@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000466486228 339207063 /nfs/dbraw/zinc/20/70/63/339207063.db2.gz JBTZUADXCLMOIX-QZTJIDSGSA-N 0 3 316.489 4.451 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000466732940 339214708 /nfs/dbraw/zinc/21/47/08/339214708.db2.gz MPXBYNIUNWATRI-AWEZNQCLSA-N 0 3 302.393 4.349 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@@H](CC(C)C)C2)c(C)c1 ZINC000466742672 339215266 /nfs/dbraw/zinc/21/52/66/339215266.db2.gz ZKSXPOCGAOUCRV-ROUUACIJSA-N 0 3 316.489 4.307 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nc3ccc(F)cc3o2)C1 ZINC000466825625 339218250 /nfs/dbraw/zinc/21/82/50/339218250.db2.gz MFCHLUZGYUNWFM-CQSZACIVSA-N 0 3 310.372 4.265 20 0 DIADHN CCc1noc(C)c1CN1CCC[C@H]1Cc1ccccc1Cl ZINC000466828066 339218467 /nfs/dbraw/zinc/21/84/67/339218467.db2.gz HBSVCSYJASKOBZ-HNNXBMFYSA-N 0 3 318.848 4.406 20 0 DIADHN CCc1noc(C)c1CN1CCC(Cc2cccc(F)c2)CC1 ZINC000466827969 339218475 /nfs/dbraw/zinc/21/84/75/339218475.db2.gz WGNHSKCAATVIBA-UHFFFAOYSA-N 0 3 316.420 4.139 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000466912710 339221128 /nfs/dbraw/zinc/22/11/28/339221128.db2.gz MTTFACGDWRESQM-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)N[C@H](C)c1cccc2ccccc21 ZINC000466924063 339221821 /nfs/dbraw/zinc/22/18/21/339221821.db2.gz NXDNZDXLNLLDPS-JFIYKMOQSA-N 0 3 324.468 4.137 20 0 DIADHN Cc1ccccc1C1(CN[C@H](C)c2cnccn2)CCCCC1 ZINC000466994633 339223868 /nfs/dbraw/zinc/22/38/68/339223868.db2.gz JDIZXODHAZLGKF-QGZVFWFLSA-N 0 3 309.457 4.338 20 0 DIADHN COC[C@H]1CCCCN(Cc2c(Cl)cccc2Cl)C1 ZINC000467117474 339226737 /nfs/dbraw/zinc/22/67/37/339226737.db2.gz LSNIGZNTPPCXCA-LBPRGKRZSA-N 0 3 302.245 4.242 20 0 DIADHN COC[C@@H]1CCCCN(Cc2csc(-c3ccccc3)n2)C1 ZINC000467122757 339226832 /nfs/dbraw/zinc/22/68/32/339226832.db2.gz QQTLMYKHMRTBOO-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1cc2ccccc2o1 ZINC000467279854 339229644 /nfs/dbraw/zinc/22/96/44/339229644.db2.gz UINFFUMWMPMYOB-AWEZNQCLSA-N 0 3 311.381 4.171 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCc2cc(F)c(OC)cc21 ZINC000467331497 339231966 /nfs/dbraw/zinc/23/19/66/339231966.db2.gz MFXNQIFNXSBTHI-SGTLLEGYSA-N 0 3 314.404 4.130 20 0 DIADHN Fc1cncc([C@@H](NCCc2ccc3ccccc3n2)C2CC2)c1 ZINC000467335010 339232082 /nfs/dbraw/zinc/23/20/82/339232082.db2.gz PHDIFRLWDIERFT-FQEVSTJZSA-N 0 3 321.399 4.052 20 0 DIADHN Fc1cncc([C@H](NCc2cccc(OC(F)F)c2)C2CC2)c1 ZINC000467344365 339232703 /nfs/dbraw/zinc/23/27/03/339232703.db2.gz BBVZBZROOQXFTP-MRXNPFEDSA-N 0 3 322.330 4.063 20 0 DIADHN CS[C@@H](CN[C@H](C)c1ccnn1-c1ccccc1)C(C)(C)C ZINC000467351154 339233279 /nfs/dbraw/zinc/23/32/79/339233279.db2.gz YQXKLDBBXBJSHH-PBHICJAKSA-N 0 3 317.502 4.301 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467371143 339234921 /nfs/dbraw/zinc/23/49/21/339234921.db2.gz TVFOGXJCIBVOTI-IFXJQAMLSA-N 0 3 314.404 4.037 20 0 DIADHN C[C@H](CN[C@@H](c1cncc(F)c1)C1CC1)Sc1ccccc1 ZINC000467375602 339235230 /nfs/dbraw/zinc/23/52/30/339235230.db2.gz FOEVJKRBUWVLCN-FZKQIMNGSA-N 0 3 316.445 4.442 20 0 DIADHN CCCC(O)(CCC)CN[C@@H]1CCSc2ccc(OC)cc21 ZINC000467400080 339236632 /nfs/dbraw/zinc/23/66/32/339236632.db2.gz ITNLGFPDXIVJOK-MRXNPFEDSA-N 0 3 323.502 4.153 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)C(=O)N1CCCC1 ZINC000467404901 339236759 /nfs/dbraw/zinc/23/67/59/339236759.db2.gz OBBGYMDWDVACGL-IRXDYDNUSA-N 0 3 322.452 4.015 20 0 DIADHN OC[C@@H](NC1CC(c2ccccc2)C1)c1cccc(Cl)c1F ZINC000467407051 339236770 /nfs/dbraw/zinc/23/67/70/339236770.db2.gz VSLFIGAGKBOITP-MQBCKMQZSA-N 0 3 319.807 4.048 20 0 DIADHN CC[C@H](N[C@H](CO)Cc1ccccc1C)c1ccc(Cl)s1 ZINC000467408911 339236913 /nfs/dbraw/zinc/23/69/13/339236913.db2.gz ROGSQUSSPGGCKH-GJZGRUSLSA-N 0 3 323.889 4.354 20 0 DIADHN OC[C@H](N[C@H]1CCC[C@H](C2CC2)C1)c1cccc(Cl)c1F ZINC000467409313 339236974 /nfs/dbraw/zinc/23/69/74/339236974.db2.gz WBEMOYXNWLHHRF-XEZPLFJOSA-N 0 3 311.828 4.071 20 0 DIADHN C[C@H](NC[C@@H](CO)CC1CCCC1)c1csc(Cl)c1 ZINC000467441638 339238802 /nfs/dbraw/zinc/23/88/02/339238802.db2.gz AHTVGIQKHSQHBW-AAEUAGOBSA-N 0 3 301.883 4.241 20 0 DIADHN C[C@@H]1CCC[C@H]1CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000467445151 339239008 /nfs/dbraw/zinc/23/90/08/339239008.db2.gz JTHSRILETDMQLB-TYILLQQXSA-N 0 3 316.395 4.475 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H]1C)c1ncc(Br)cc1F ZINC000467452077 339239491 /nfs/dbraw/zinc/23/94/91/339239491.db2.gz BODKSOFDQNURON-VWYCJHECSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000467453525 339239627 /nfs/dbraw/zinc/23/96/27/339239627.db2.gz GFLJRYMVRUQRSF-MRXNPFEDSA-N 0 3 317.864 4.415 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000467453524 339239644 /nfs/dbraw/zinc/23/96/44/339239644.db2.gz GFLJRYMVRUQRSF-INIZCTEOSA-N 0 3 317.864 4.415 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N1CCCC[C@H]1c1ccnn1C ZINC000467540718 339241458 /nfs/dbraw/zinc/24/14/58/339241458.db2.gz CATXTZADDPQDFP-BEFAXECRSA-N 0 3 315.436 4.108 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cccc2nccn21 ZINC000467550616 339242058 /nfs/dbraw/zinc/24/20/58/339242058.db2.gz LXARIXHXCHMAQJ-FUHWJXTLSA-N 0 3 305.425 4.102 20 0 DIADHN CC(C)=CCN(Cc1ccc(Cl)cc1)CC1=CCCOC1 ZINC000467552388 339242127 /nfs/dbraw/zinc/24/21/27/339242127.db2.gz FUSRURGPLMOFEK-UHFFFAOYSA-N 0 3 305.849 4.455 20 0 DIADHN CC[C@H]1CN(Cc2ccc(-c3cccc(F)c3F)o2)CCCO1 ZINC000467553849 339242215 /nfs/dbraw/zinc/24/22/15/339242215.db2.gz MDOUUILBCJRGHK-ZDUSSCGKSA-N 0 3 321.367 4.226 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)CCN1Cc1cn2ccccc2n1 ZINC000467554859 339242242 /nfs/dbraw/zinc/24/22/42/339242242.db2.gz RIMYVGKUNYLEIG-FUHWJXTLSA-N 0 3 305.425 4.102 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(-c3c(F)cccc3F)o2)CCCO1 ZINC000467562602 339242971 /nfs/dbraw/zinc/24/29/71/339242971.db2.gz BWXDULBRTODPRS-CYBMUJFWSA-N 0 3 321.367 4.226 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1cncc(C)c1 ZINC000467645701 339243782 /nfs/dbraw/zinc/24/37/82/339243782.db2.gz GLGHFWMYFMZNLV-GLJUWKHASA-N 0 3 318.848 4.470 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nc(C(F)(F)F)cs2)cc1 ZINC000467730346 339244914 /nfs/dbraw/zinc/24/49/14/339244914.db2.gz AXGOKONLPDXRNN-NSHDSACASA-N 0 3 314.376 4.364 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@@H](C)c2cncc(C)c2)cc1 ZINC000467746854 339245690 /nfs/dbraw/zinc/24/56/90/339245690.db2.gz PMMPXMNNVZTMOC-DBVUQKKJSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1ccc(CSCCN[C@@H](C)c2cncc(C)c2)cc1 ZINC000467747782 339245694 /nfs/dbraw/zinc/24/56/94/339245694.db2.gz RZMDWESMWCPTPK-INIZCTEOSA-N 0 3 300.471 4.282 20 0 DIADHN Clc1cccc(CN[C@@H]2CCCC[C@@H]2n2cccn2)c1Cl ZINC000467761518 339245900 /nfs/dbraw/zinc/24/59/00/339245900.db2.gz AGSJPPHIKONWOF-CABCVRRESA-N 0 3 324.255 4.463 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCC[C@@H]2n2cccn2)c(Cl)c1 ZINC000467764341 339246039 /nfs/dbraw/zinc/24/60/39/339246039.db2.gz OQDMPPNOGSMUOZ-CVEARBPZSA-N 0 3 324.255 4.463 20 0 DIADHN CCO[C@H](CCNCc1ccccc1OC(F)(F)F)C(C)C ZINC000467778024 339246624 /nfs/dbraw/zinc/24/66/24/339246624.db2.gz OHEVDRDVCWAKQX-CQSZACIVSA-N 0 3 319.367 4.126 20 0 DIADHN CC[C@@H](NCCC(=O)NC1CCCCC1)c1cccc(Cl)c1 ZINC000467836073 339247313 /nfs/dbraw/zinc/24/73/13/339247313.db2.gz FAWOOUOTSIJJJF-QGZVFWFLSA-N 0 3 322.880 4.220 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@@H](C)c1ccc(F)c(F)c1 ZINC000467855051 339247815 /nfs/dbraw/zinc/24/78/15/339247815.db2.gz MARKOSREKMJXCM-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1ccc(F)c(F)c1 ZINC000467854997 339247866 /nfs/dbraw/zinc/24/78/66/339247866.db2.gz XSDZRNSHVSGPFY-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)CC(F)(F)F)ccc1SC ZINC000468045526 339252212 /nfs/dbraw/zinc/25/22/12/339252212.db2.gz VHNHDUGOBGCFTI-VHSXEESVSA-N 0 3 307.381 4.409 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@]1(C)CCO[C@H]1C1CC1 ZINC000468043397 339252400 /nfs/dbraw/zinc/25/24/00/339252400.db2.gz VFMDUZYOBFVPNO-IGNZVWTISA-N 0 3 323.864 4.204 20 0 DIADHN Clc1ccc(-c2cc(CN3CCC4(CCC4)C3)on2)cc1 ZINC000468135513 339255147 /nfs/dbraw/zinc/25/51/47/339255147.db2.gz CIDOJXVBTQBQMR-UHFFFAOYSA-N 0 3 302.805 4.371 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](C(C)C)C3)o2)cc1C ZINC000468289119 339259036 /nfs/dbraw/zinc/25/90/36/339259036.db2.gz XLVFNPWXITWLFO-DOTOQJQBSA-N 0 3 313.445 4.392 20 0 DIADHN C[C@H](CCC1CCCCC1)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000468363453 339260586 /nfs/dbraw/zinc/26/05/86/339260586.db2.gz URIPBYLYUXKZNA-CVEARBPZSA-N 0 3 318.509 4.404 20 0 DIADHN C/C=C\COc1ccc(CC[C@H](C)NCc2cscn2)cc1 ZINC000468383387 339261184 /nfs/dbraw/zinc/26/11/84/339261184.db2.gz OODFBJDLPGLSKI-JZIMQPMSSA-N 0 3 316.470 4.209 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NCc1nc2ccccc2n1C1CC1 ZINC000468471359 339263507 /nfs/dbraw/zinc/26/35/07/339263507.db2.gz UWYXGBCIHLGYTD-CQSZACIVSA-N 0 3 323.415 4.231 20 0 DIADHN CCN(C(=O)c1cccc(CN(C)C)c1)c1cccc(Cl)c1 ZINC000468506278 339264742 /nfs/dbraw/zinc/26/47/42/339264742.db2.gz OBWVJGMMXLYLCZ-UHFFFAOYSA-N 0 3 316.832 4.068 20 0 DIADHN COCC[C@H]1CCCCN(Cc2cnc(C(C)(C)C)s2)C1 ZINC000468698072 339268970 /nfs/dbraw/zinc/26/89/70/339268970.db2.gz DLYISPGHAXAEBO-CQSZACIVSA-N 0 3 310.507 4.079 20 0 DIADHN C[C@H](Cn1cccn1)N[C@@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000468848002 339272551 /nfs/dbraw/zinc/27/25/51/339272551.db2.gz FDAIMDYYJVPPNW-CVEARBPZSA-N 0 3 323.415 4.429 20 0 DIADHN C[C@H](Cn1cccn1)N[C@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000468848100 339272592 /nfs/dbraw/zinc/27/25/92/339272592.db2.gz FDAIMDYYJVPPNW-HZPDHXFCSA-N 0 3 323.415 4.429 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@H](CC(C)C)C1 ZINC000468857642 339272871 /nfs/dbraw/zinc/27/28/71/339272871.db2.gz FYUAHSQVKBVBKR-QZTJIDSGSA-N 0 3 316.489 4.389 20 0 DIADHN COc1ccc2c(c1)OC[C@H](N[C@@H](C)c1csc(Cl)c1)C2 ZINC000468870114 339273171 /nfs/dbraw/zinc/27/31/71/339273171.db2.gz HKCWJDDBLXPIJE-GXFFZTMASA-N 0 3 323.845 4.064 20 0 DIADHN C[C@H](NC[C@H](Cc1ccccc1)N(C)C)c1csc(Cl)c1 ZINC000468874988 339273273 /nfs/dbraw/zinc/27/32/73/339273273.db2.gz HMLLIZQEAPYIJK-BBRMVZONSA-N 0 3 322.905 4.225 20 0 DIADHN Cc1c([C@H](C)N[C@H]2C[C@H]2Cc2ccccc2)cnn1C(C)(C)C ZINC000469038279 339278387 /nfs/dbraw/zinc/27/83/87/339278387.db2.gz SQWBLPQTJAOREH-YJLNNSPDSA-N 0 3 311.473 4.228 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCc2ccccc2F)s1 ZINC000469169067 339282002 /nfs/dbraw/zinc/28/20/02/339282002.db2.gz XNGSXHMGJYVSDF-CYBMUJFWSA-N 0 3 306.450 4.389 20 0 DIADHN Cc1c([C@H](C)NCCOc2ccccc2Cl)cnn1C(C)C ZINC000469233603 339283759 /nfs/dbraw/zinc/28/37/59/339283759.db2.gz USRCXDPJTKHYPU-ZDUSSCGKSA-N 0 3 321.852 4.155 20 0 DIADHN COCc1ccc(CNC[C@H](Cc2ccccc2)C(C)C)o1 ZINC000469246037 339284124 /nfs/dbraw/zinc/28/41/24/339284124.db2.gz WKTVEGGKMAXELP-KRWDZBQOSA-N 0 3 301.430 4.031 20 0 DIADHN Fc1ccc(C=C2CCN(CCc3cccnc3)CC2)cc1F ZINC000469440573 339289416 /nfs/dbraw/zinc/28/94/16/339289416.db2.gz KBAOCIKWBXJIBR-UHFFFAOYSA-N 0 3 314.379 4.082 20 0 DIADHN COCc1cccc(CN2CC[C@@H](c3c(F)cccc3F)C2)c1 ZINC000469450747 339289809 /nfs/dbraw/zinc/28/98/09/339289809.db2.gz TWRPRVDAMIZTFA-MRXNPFEDSA-N 0 3 317.379 4.101 20 0 DIADHN Cc1cccnc1CN1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469460495 339290312 /nfs/dbraw/zinc/29/03/12/339290312.db2.gz HRYKTPMGSWFQOL-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(Cc2ccc(F)c(F)c2)CC1 ZINC000469473971 339290778 /nfs/dbraw/zinc/29/07/78/339290778.db2.gz GTSAHAMVCPICDA-AWEZNQCLSA-N 0 3 316.395 4.376 20 0 DIADHN CC(C)n1cc(CNCC(C)(C)c2cccc(Cl)c2F)cn1 ZINC000469559015 339293317 /nfs/dbraw/zinc/29/33/17/339293317.db2.gz SDWXDDGIWIOEHZ-UHFFFAOYSA-N 0 3 323.843 4.324 20 0 DIADHN Cc1ccc([C@@H]2[C@@H](C)CCCN2C[C@H](O)CC(F)(F)F)cc1 ZINC000469599712 339294111 /nfs/dbraw/zinc/29/41/11/339294111.db2.gz YVDXQZBKEUTEOW-IMJJTQAJSA-N 0 3 315.379 4.081 20 0 DIADHN Cc1cccc([C@@H]2CCCCN2C[C@H](O)CC(F)(F)F)c1C ZINC000469608732 339294450 /nfs/dbraw/zinc/29/44/50/339294450.db2.gz HUUDOXYNPZVGOF-ZBFHGGJFSA-N 0 3 315.379 4.144 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1C(=O)c1cccc(CN(C)C)c1 ZINC000469689043 339295558 /nfs/dbraw/zinc/29/55/58/339295558.db2.gz FHPCHPCOKIHQOO-IBGZPJMESA-N 0 3 316.489 4.179 20 0 DIADHN COC(C)(C)CCN[C@H](c1cccc(Cl)c1)c1ccccn1 ZINC000469717979 339296303 /nfs/dbraw/zinc/29/63/03/339296303.db2.gz OPPPIVJNBCTLOW-QGZVFWFLSA-N 0 3 318.848 4.229 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2NCc2ccc(F)cn2)c1 ZINC000469774419 339298731 /nfs/dbraw/zinc/29/87/31/339298731.db2.gz FVOOHTFXGRQPLP-RBUKOAKNSA-N 0 3 314.404 4.009 20 0 DIADHN CC[C@H]1CCCCN1CCN[C@H](C)c1csc(C(C)C)n1 ZINC000469776893 339299150 /nfs/dbraw/zinc/29/91/50/339299150.db2.gz TXCUDZPGRCEZDH-CABCVRRESA-N 0 3 309.523 4.182 20 0 DIADHN OCC[C@H](N[C@@H]1CCCC[C@@H](c2ccccc2)C1)c1ccco1 ZINC000469778724 339299179 /nfs/dbraw/zinc/29/91/79/339299179.db2.gz FFKQPXBOGCLISZ-QRVBRYPASA-N 0 3 313.441 4.409 20 0 DIADHN OCC[C@H](N[C@H]1CCC[C@H]1c1ccccc1Cl)c1ccco1 ZINC000469790961 339300361 /nfs/dbraw/zinc/30/03/61/339300361.db2.gz MRCTWRGFPLQAFJ-XIRDDKMYSA-N 0 3 319.832 4.282 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc2ccc(F)cc2o1 ZINC000469791937 339300388 /nfs/dbraw/zinc/30/03/88/339300388.db2.gz RVWWCZVBNMQLAE-UKRRQHHQSA-N 0 3 308.422 4.121 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CCO)c2ccco2)C[C@@H](c2ccccc2)C1 ZINC000469802457 339301138 /nfs/dbraw/zinc/30/11/38/339301138.db2.gz UJMPMFUYOHKFIE-WNHJNPCNSA-N 0 3 313.441 4.265 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)CCC2CC2)nnn1-c1ccc(C)cc1 ZINC000469807430 339301503 /nfs/dbraw/zinc/30/15/03/339301503.db2.gz HXIRHTUTOXKUPC-LSDHHAIUSA-N 0 3 312.461 4.113 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@H]1CCC[C@H]1C ZINC000469981024 339306676 /nfs/dbraw/zinc/30/66/76/339306676.db2.gz HYVJDBIOURLGBP-CRAIPNDOSA-N 0 3 314.473 4.356 20 0 DIADHN Clc1ccc(/C=C/CN2CCC([C@@H]3CCCO3)CC2)cc1 ZINC000469994815 339307559 /nfs/dbraw/zinc/30/75/59/339307559.db2.gz YEFBLODCDDQHKR-FVIAUOKHSA-N 0 3 305.849 4.244 20 0 DIADHN COc1ccc(CN2CC[C@H](C(F)(F)F)C2(C)C)cc1C ZINC000470131280 339311417 /nfs/dbraw/zinc/31/14/17/339311417.db2.gz GKJDIPUBHFCIRR-AWEZNQCLSA-N 0 3 301.352 4.166 20 0 DIADHN COc1c(O)cccc1CNC[C@H](Cc1ccccc1)C(C)C ZINC000470142405 339311561 /nfs/dbraw/zinc/31/15/61/339311561.db2.gz NZEZLQIPQSBHKK-SFHVURJKSA-N 0 3 313.441 4.005 20 0 DIADHN C[C@@H]1CN(Cc2cc(Br)cc3cccnc32)C[C@H]1C ZINC000470202665 339314171 /nfs/dbraw/zinc/31/41/71/339314171.db2.gz KJDWKODZUJSUKO-VXGBXAGGSA-N 0 3 319.246 4.085 20 0 DIADHN C[C@@H]1CCN(Cc2cc(Br)cc3cccnc32)[C@H]1C ZINC000470207108 339314370 /nfs/dbraw/zinc/31/43/70/339314370.db2.gz BDHWBCCHNATMDZ-NEPJUHHUSA-N 0 3 319.246 4.228 20 0 DIADHN COCC[C@H](NCCc1ccc(C(C)C)cc1)c1ccco1 ZINC000470302014 339316711 /nfs/dbraw/zinc/31/67/11/339316711.db2.gz CNNNHTKZFKKBAZ-SFHVURJKSA-N 0 3 301.430 4.313 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H]1CCC[C@H]1c1ccccc1)n2C ZINC000470538173 339321682 /nfs/dbraw/zinc/32/16/82/339321682.db2.gz OJMGKLZXVFVJMD-ROUUACIJSA-N 0 3 319.452 4.308 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H](C)CCc1ccsc1)n2C ZINC000470543681 339321778 /nfs/dbraw/zinc/32/17/78/339321778.db2.gz XUSYTRIPCVNCBZ-AWEZNQCLSA-N 0 3 313.470 4.054 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1C[C@H](c3ccccc3)[C@H]1C)n2C ZINC000470546778 339321890 /nfs/dbraw/zinc/32/18/90/339321890.db2.gz ODGHCKWVIMUGGB-BPQIPLTHSA-N 0 3 319.452 4.164 20 0 DIADHN CN(CCOc1ccc(F)cc1)Cc1cccc(C(F)F)c1 ZINC000470721538 339326145 /nfs/dbraw/zinc/32/61/45/339326145.db2.gz DOQKWPFMBNSHTG-UHFFFAOYSA-N 0 3 309.331 4.274 20 0 DIADHN CCC1(CC)Oc2ccc(NC(=O)C(C)C(F)(F)F)cc2O1 ZINC000470734288 339326400 /nfs/dbraw/zinc/32/64/00/339326400.db2.gz WJQZISDGDJEUDS-VIFPVBQESA-N 0 3 317.307 4.111 20 0 DIADHN FC(F)c1cccc(CN2CC[C@H]3[C@@H](CCCN3C3CC3)C2)c1 ZINC000470764492 339326956 /nfs/dbraw/zinc/32/69/56/339326956.db2.gz JKQHUYYYAMZHMM-WMZOPIPTSA-N 0 3 320.427 4.073 20 0 DIADHN C[C@@H](NCCOC(F)(F)F)c1cc2cc(Cl)ccc2o1 ZINC000470762375 339327131 /nfs/dbraw/zinc/32/71/31/339327131.db2.gz SCUZKZQLQXTAEL-MRVPVSSYSA-N 0 3 307.699 4.273 20 0 DIADHN CC[C@@H](CO)N(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470761011 339327134 /nfs/dbraw/zinc/32/71/34/339327134.db2.gz UHFSXZRRAGNSHW-SFHVURJKSA-N 0 3 319.395 4.397 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)Cc2ccc3ccccc3c2)c(C)o1 ZINC000470773939 339327451 /nfs/dbraw/zinc/32/74/51/339327451.db2.gz QLXPPNWVHPZXCB-MGPUTAFESA-N 0 3 323.436 4.304 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCSCc1ccccc1 ZINC000470940209 339331698 /nfs/dbraw/zinc/33/16/98/339331698.db2.gz LUYKYPHDQVGQJM-GXTWGEPZSA-N 0 3 303.393 4.193 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1F)[C@H](c1ccccc1)N(C)C ZINC000471581724 339348046 /nfs/dbraw/zinc/34/80/46/339348046.db2.gz JYWQLQNFOVBLHV-IQUTYRLHSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccsc1[C@@H](C)NCCOc1ccccc1Cl ZINC000471596754 339348874 /nfs/dbraw/zinc/34/88/74/339348874.db2.gz YEQWVCKQNMUYLH-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN C/C=C\C[C@H](CO)N[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000471606419 339349176 /nfs/dbraw/zinc/34/91/76/339349176.db2.gz NNXNANXDTRFUCY-WAXTVWTQSA-N 0 3 316.470 4.096 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC3(CO)CCCC3)oc21 ZINC000471605988 339349237 /nfs/dbraw/zinc/34/92/37/339349237.db2.gz PJNPPQRJBWXCLD-CQSZACIVSA-N 0 3 317.429 4.035 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000471611407 339349535 /nfs/dbraw/zinc/34/95/35/339349535.db2.gz QFEAICSHOKUJTO-TWOQFEAHSA-N 0 3 312.457 4.037 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1ccccc1OC(F)(F)F ZINC000471760427 339353322 /nfs/dbraw/zinc/35/33/22/339353322.db2.gz QXASEDZGCVCOKI-GFCCVEGCSA-N 0 3 319.367 4.177 20 0 DIADHN C[C@@H](NCCOCC(F)F)c1ccc(-c2ccccc2)s1 ZINC000471770134 339353619 /nfs/dbraw/zinc/35/36/19/339353619.db2.gz MTOBIDCQVKKQOU-GFCCVEGCSA-N 0 3 311.397 4.347 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCC(O)(CC)CC)oc21 ZINC000471785187 339353948 /nfs/dbraw/zinc/35/39/48/339353948.db2.gz DKIQARZXWAAROH-AWEZNQCLSA-N 0 3 319.445 4.423 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@@H]2CCc3cc(Cl)cc(Cl)c32)C1 ZINC000471786363 339354049 /nfs/dbraw/zinc/35/40/49/339354049.db2.gz IBHVTRZDRZVLNN-YRGRVCCFSA-N 0 3 300.229 4.138 20 0 DIADHN COc1ccccc1[C@@H](C)NCCc1ccccc1OC(F)F ZINC000471788552 339354055 /nfs/dbraw/zinc/35/40/55/339354055.db2.gz BVNFPVRTTDRMRP-CYBMUJFWSA-N 0 3 321.367 4.190 20 0 DIADHN CC[C@H](N[C@H]1CC[C@H](OC)C1)c1ccccc1Br ZINC000471790425 339354114 /nfs/dbraw/zinc/35/41/14/339354114.db2.gz SDGHZODJDHXYDP-HUBLWGQQSA-N 0 3 312.251 4.057 20 0 DIADHN CC[C@H](N[C@@H]1CC[C@@H](OC)C1)c1ccccc1Br ZINC000471790426 339354118 /nfs/dbraw/zinc/35/41/18/339354118.db2.gz SDGHZODJDHXYDP-JMSVASOKSA-N 0 3 312.251 4.057 20 0 DIADHN CCC(O)(CC)CCN[C@H]1CCCOc2c(C)cc(C)cc21 ZINC000471796211 339354359 /nfs/dbraw/zinc/35/43/59/339354359.db2.gz CMQFVBZIWPZZRK-KRWDZBQOSA-N 0 3 305.462 4.048 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1ccc(F)cc1OC(F)F ZINC000471797043 339354364 /nfs/dbraw/zinc/35/43/64/339354364.db2.gz FTJNUEPCSGIARG-LLVKDONJSA-N 0 3 319.367 4.019 20 0 DIADHN CCC(O)(CC)CCN[C@H]1CCCOc2ccc(Cl)cc21 ZINC000471799268 339354569 /nfs/dbraw/zinc/35/45/69/339354569.db2.gz OBDLZSGMBYRAEU-HNNXBMFYSA-N 0 3 311.853 4.085 20 0 DIADHN CO[C@H]1CC[C@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000471803050 339354642 /nfs/dbraw/zinc/35/46/42/339354642.db2.gz FJFKHXPXDKLROU-STQMWFEESA-N 0 3 305.805 4.257 20 0 DIADHN COc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)cc1OC ZINC000471979089 339358676 /nfs/dbraw/zinc/35/86/76/339358676.db2.gz PZQVPYPQOGITNO-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN FC(F)(F)C1CCC(CNCc2ncc(Cl)s2)CC1 ZINC000472044911 339360520 /nfs/dbraw/zinc/36/05/20/339360520.db2.gz POWJVMIQXGKETE-UHFFFAOYSA-N 0 3 312.788 4.255 20 0 DIADHN Cc1ccc2[nH]c(CNCc3ccc(F)c(F)c3)cc2c1C ZINC000472042804 339360410 /nfs/dbraw/zinc/36/04/10/339360410.db2.gz RQGFYYAJVIJJAM-UHFFFAOYSA-N 0 3 300.352 4.353 20 0 DIADHN CCCOc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)cn1 ZINC000472043086 339360428 /nfs/dbraw/zinc/36/04/28/339360428.db2.gz NWUNPZSHBITNJS-UHFFFAOYSA-N 0 3 323.440 4.258 20 0 DIADHN Cc1ccc2[nH]c(CNCCOCc3ccccc3)cc2c1C ZINC000472053422 339361146 /nfs/dbraw/zinc/36/11/46/339361146.db2.gz PYARRPZYDAINKR-UHFFFAOYSA-N 0 3 308.425 4.091 20 0 DIADHN Cc1cc([C@@H](NCc2cc(O)cc(F)c2)C2CC2)ccc1F ZINC000472075835 339362676 /nfs/dbraw/zinc/36/26/76/339362676.db2.gz ADOJBSIDTOQUIU-SFHVURJKSA-N 0 3 303.352 4.220 20 0 DIADHN CCn1nc(CN[C@@H](C)CCc2ccc(C)o2)c2ccccc21 ZINC000472076181 339362719 /nfs/dbraw/zinc/36/27/19/339362719.db2.gz UJCRDHXVGLIVOQ-AWEZNQCLSA-N 0 3 311.429 4.069 20 0 DIADHN CCS[C@H]1CCC[C@@H](NCc2nn(CC)c3ccccc23)C1 ZINC000472077057 339362793 /nfs/dbraw/zinc/36/27/93/339362793.db2.gz VDUXRWQDJVILSZ-CABCVRRESA-N 0 3 317.502 4.210 20 0 DIADHN COc1ccsc1[C@H](C)NCCCOc1ccc(F)cc1 ZINC000472125858 339363533 /nfs/dbraw/zinc/36/35/33/339363533.db2.gz JAUUVKXFNSBTDZ-LBPRGKRZSA-N 0 3 309.406 4.016 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H](C)Oc1cccc(C)c1 ZINC000472126872 339363599 /nfs/dbraw/zinc/36/35/99/339363599.db2.gz VZWCKLHLCRXIRS-KGLIPLIRSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H](C)Oc1cccc(C)c1 ZINC000472126871 339363625 /nfs/dbraw/zinc/36/36/25/339363625.db2.gz VZWCKLHLCRXIRS-KBPBESRZSA-N 0 3 305.443 4.183 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@@H](C)Oc1ccc(C)cc1 ZINC000472129897 339363766 /nfs/dbraw/zinc/36/37/66/339363766.db2.gz HODIIFIHFTYXKJ-KGLIPLIRSA-N 0 3 305.443 4.183 20 0 DIADHN C[C@H]1CCN(C)CCN1C(=O)C1(C2CCCCC2)CCCCC1 ZINC000472159789 339364756 /nfs/dbraw/zinc/36/47/56/339364756.db2.gz SEHQFLYVQSCQFS-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](c1ccc(C)cc1)N(C)C ZINC000472158149 339364767 /nfs/dbraw/zinc/36/47/67/339364767.db2.gz NWEAGMOYUKTLSD-GDBMZVCRSA-N 0 3 318.486 4.019 20 0 DIADHN CCc1ncc(CN[C@@H](C)CSc2ccc(C)cc2)s1 ZINC000472161331 339364945 /nfs/dbraw/zinc/36/49/45/339364945.db2.gz VMASMTQKOUVXEG-ZDUSSCGKSA-N 0 3 306.500 4.284 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3ccc(F)c(F)c3)n2)C(C)(C)C1 ZINC000472289283 339368993 /nfs/dbraw/zinc/36/89/93/339368993.db2.gz AOXUVRWRMFBAHH-LLVKDONJSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2ccccc2o1 ZINC000472314649 339369600 /nfs/dbraw/zinc/36/96/00/339369600.db2.gz MBTWOANYBFZJFJ-DOTOQJQBSA-N 0 3 306.409 4.281 20 0 DIADHN Clc1cnccc1CN1CCC[C@@H]1CCc1ccccc1 ZINC000472439048 339371828 /nfs/dbraw/zinc/37/18/28/339371828.db2.gz SKBFESRKVRCAQM-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1ccc(SC)c(Cl)c1 ZINC000473209639 339383772 /nfs/dbraw/zinc/38/37/72/339383772.db2.gz VSYZWNKKFQCLPH-ZDUSSCGKSA-N 0 3 314.882 4.167 20 0 DIADHN CCn1nccc1CCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000472586948 339374313 /nfs/dbraw/zinc/37/43/13/339374313.db2.gz UICTVEUJWZDCMQ-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN c1ccc(C[C@H]2CCC[C@@H]2NCc2nc3ccccc3o2)cc1 ZINC000472611712 339375144 /nfs/dbraw/zinc/37/51/44/339375144.db2.gz VZGURTHMYNKPKN-SJORKVTESA-N 0 3 306.409 4.329 20 0 DIADHN c1ccc(C[C@@H]2CCC[C@@H]2NCc2nc3ccccc3o2)cc1 ZINC000472611711 339375267 /nfs/dbraw/zinc/37/52/67/339375267.db2.gz VZGURTHMYNKPKN-IRXDYDNUSA-N 0 3 306.409 4.329 20 0 DIADHN Cc1csc(NC2CCN(Cc3ccc(C)cc3C)CC2)n1 ZINC000473067674 339380821 /nfs/dbraw/zinc/38/08/21/339380821.db2.gz ZZFRJDWBCFGBPZ-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN CO[C@@H](c1ccccc1Cl)[C@H](C)NCc1cc(O)cc(F)c1 ZINC000473115770 339381279 /nfs/dbraw/zinc/38/12/79/339381279.db2.gz CHAWONRMPFDVGJ-APPDUMDISA-N 0 3 323.795 4.051 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@]2(C1)OCc1ccccc12 ZINC000473121314 339381652 /nfs/dbraw/zinc/38/16/52/339381652.db2.gz WOYSJSCUPVZJKN-LJQANCHMSA-N 0 3 313.828 4.280 20 0 DIADHN Cc1cnc(CCN(C)Cc2cc3c(ccc(C)c3C)[nH]2)s1 ZINC000473152567 339383194 /nfs/dbraw/zinc/38/31/94/339383194.db2.gz ZYRARJZITYRJTK-UHFFFAOYSA-N 0 3 313.470 4.224 20 0 DIADHN CC(C)CSCCCNC(C)(C)c1nc2ccccc2n1C ZINC000473163552 339383303 /nfs/dbraw/zinc/38/33/03/339383303.db2.gz RYTOOFWJJKVRJY-UHFFFAOYSA-N 0 3 319.518 4.177 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1ccc(Br)cn1 ZINC000473926652 339394989 /nfs/dbraw/zinc/39/49/89/339394989.db2.gz ZIBNCICONWKNJS-STQMWFEESA-N 0 3 319.246 4.126 20 0 DIADHN COc1c2ccccc2oc1CNC[C@@H]1C[C@H]1c1ccccc1 ZINC000473987030 339396236 /nfs/dbraw/zinc/39/62/36/339396236.db2.gz FFHJSGURJUEXGB-RDJZCZTQSA-N 0 3 307.393 4.335 20 0 DIADHN CCOC1CC(CCNCc2cc(C(F)(F)F)ccc2F)C1 ZINC000473993061 339396558 /nfs/dbraw/zinc/39/65/58/339396558.db2.gz UMCZLZVCSSMMHO-UHFFFAOYSA-N 0 3 319.342 4.139 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCOC(F)(F)F)o1 ZINC000473992316 339396570 /nfs/dbraw/zinc/39/65/70/339396570.db2.gz TUUSWEFRTGRFRX-UHFFFAOYSA-N 0 3 317.282 4.020 20 0 DIADHN CCOC[C@@H](NCc1cnc(-c2ccc(C)cc2)s1)C(C)C ZINC000473992171 339396573 /nfs/dbraw/zinc/39/65/73/339396573.db2.gz GWHSOYYCQHCWGU-QGZVFWFLSA-N 0 3 318.486 4.269 20 0 DIADHN COc1ccc2nc(CNC3(Cc4ccccc4)CC3)sc2c1 ZINC000474008425 339396894 /nfs/dbraw/zinc/39/68/94/339396894.db2.gz SRAOJOXEFSJBNQ-UHFFFAOYSA-N 0 3 324.449 4.170 20 0 DIADHN CCc1noc(CC)c1CN[C@@H](C)C1CCC(F)(F)CC1 ZINC000474041840 339398503 /nfs/dbraw/zinc/39/85/03/339398503.db2.gz WXHPVEOYLDEOEQ-NSHDSACASA-N 0 3 300.393 4.103 20 0 DIADHN c1cc(CN2CCSCC2)cc(N[C@H]2CCC23CCCC3)c1 ZINC000474047498 339399081 /nfs/dbraw/zinc/39/90/81/339399081.db2.gz PWJLZUCMFMIVMN-SFHVURJKSA-N 0 3 316.514 4.370 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCCC[C@@H]1[C@H]1CCOC1 ZINC000474050768 339399290 /nfs/dbraw/zinc/39/92/90/339399290.db2.gz IQLITQCCJPBMEM-OGHNNQOOSA-N 0 3 311.828 4.164 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1ccc(F)c(F)c1 ZINC000474051874 339399312 /nfs/dbraw/zinc/39/93/12/339399312.db2.gz CSVQGQGMARDNHK-GOSISDBHSA-N 0 3 317.379 4.486 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1ccc2c(c1)COC2 ZINC000474053045 339399359 /nfs/dbraw/zinc/39/93/59/339399359.db2.gz HBOQCIPWHDRITE-HXUWFJFHSA-N 0 3 323.436 4.238 20 0 DIADHN C[C@@H](NCc1ccn(C2CCCC2)n1)C1CCC(F)(F)CC1 ZINC000474053185 339399555 /nfs/dbraw/zinc/39/95/55/339399555.db2.gz BGMCEUWJHWUOAX-CYBMUJFWSA-N 0 3 311.420 4.302 20 0 DIADHN CCOC[C@H](N[C@H](C)c1cc(C)ccc1OC(F)F)C(C)C ZINC000474078567 339401123 /nfs/dbraw/zinc/40/11/23/339401123.db2.gz ZLKLWWKGROSMCB-HIFRSBDPSA-N 0 3 315.404 4.308 20 0 DIADHN CCOC[C@@H]1CCC[C@@H]1NCc1nc2cc(Cl)ccc2s1 ZINC000474629989 339420915 /nfs/dbraw/zinc/42/09/15/339420915.db2.gz ZIXGITNYAKJANU-AAEUAGOBSA-N 0 3 324.877 4.245 20 0 DIADHN Cc1ccncc1CNCCCOc1ccc2ccccc2c1 ZINC000474809019 339427304 /nfs/dbraw/zinc/42/73/04/339427304.db2.gz LHAGGFDGESVYOG-UHFFFAOYSA-N 0 3 306.409 4.102 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H](C)[C@H](C)C1CCCCC1 ZINC000474810258 339427429 /nfs/dbraw/zinc/42/74/29/339427429.db2.gz RANFOTQVKFYPDO-ZOBUZTSGSA-N 0 3 318.509 4.431 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCC[C@@H](C(C)C)C1)c1nnc2ccccn21 ZINC000474865681 339429021 /nfs/dbraw/zinc/42/90/21/339429021.db2.gz AQSMNHLBDRBCEY-JFIYKMOQSA-N 0 3 314.477 4.231 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC(C)(C)O ZINC000474944505 339432930 /nfs/dbraw/zinc/43/29/30/339432930.db2.gz PNBDTXJTIJTBRH-UHFFFAOYSA-N 0 3 301.883 4.091 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H](C)C1CCC(F)(F)CC1)n2C ZINC000474948470 339433040 /nfs/dbraw/zinc/43/30/40/339433040.db2.gz YEHKMCCSPFGLDA-ZDUSSCGKSA-N 0 3 321.415 4.185 20 0 DIADHN Clc1sccc1CNCC1CCN(c2ccccc2)CC1 ZINC000475282254 339443960 /nfs/dbraw/zinc/44/39/60/339443960.db2.gz FMJGYNMKCVGKIG-UHFFFAOYSA-N 0 3 320.889 4.408 20 0 DIADHN COCCC[C@H](Cc1ccccc1)NCc1cc(F)ccc1F ZINC000475332210 339447075 /nfs/dbraw/zinc/44/70/75/339447075.db2.gz JQDLHVHZFXYZJS-GOSISDBHSA-N 0 3 319.395 4.092 20 0 DIADHN COCCC1(NCc2cc(Cl)c(OC)cc2Cl)CCC1 ZINC000475486134 339452251 /nfs/dbraw/zinc/45/22/51/339452251.db2.gz KUJBGMSCIMKVPQ-UHFFFAOYSA-N 0 3 318.244 4.051 20 0 DIADHN C[C@H](CC1CCCC1)N[C@H](C)c1ccc(Br)cn1 ZINC000475510513 339453545 /nfs/dbraw/zinc/45/35/45/339453545.db2.gz QXLPJYCUOMPDKY-VXGBXAGGSA-N 0 3 311.267 4.464 20 0 DIADHN Cc1nc2ccccc2n1C1CCN(Cc2ccccc2F)CC1 ZINC000475513045 339453565 /nfs/dbraw/zinc/45/35/65/339453565.db2.gz QEEQBBSGYFXARC-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CC[C@@H](c3cccc(F)c3)C2)c1 ZINC000475555641 339454996 /nfs/dbraw/zinc/45/49/96/339454996.db2.gz RCAJROTYMJYDLA-PBHICJAKSA-N 0 3 303.352 4.096 20 0 DIADHN CCOc1cccc(CN[C@H]2CC[C@@H](c3cccc(F)c3)C2)n1 ZINC000475562350 339455468 /nfs/dbraw/zinc/45/54/68/339455468.db2.gz FSUBHMRZVOCNEC-WBVHZDCISA-N 0 3 314.404 4.045 20 0 DIADHN CCOc1cccc(CN[C@@H]2CC[C@H](c3cccc(F)c3)C2)n1 ZINC000475562347 339455551 /nfs/dbraw/zinc/45/55/51/339455551.db2.gz FSUBHMRZVOCNEC-DOTOQJQBSA-N 0 3 314.404 4.045 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1cnc(-c2cccs2)s1 ZINC000475678860 339459348 /nfs/dbraw/zinc/45/93/48/339459348.db2.gz KILYTTRPVMEOIF-LBPRGKRZSA-N 0 3 324.515 4.148 20 0 DIADHN CC(C)(C)CC[C@H](CO)NCc1ccc(C(F)(F)F)s1 ZINC000475681577 339459478 /nfs/dbraw/zinc/45/94/78/339459478.db2.gz XAAAHKWRLQVLRG-SNVBAGLBSA-N 0 3 309.397 4.044 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc2c(c1)COC2 ZINC000477841718 339502309 /nfs/dbraw/zinc/50/23/09/339502309.db2.gz CNYQPNFONPWLRL-DNVCBOLYSA-N 0 3 315.457 4.249 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@](C)(Cc2ccccc2)[C@H](C)O)o1 ZINC000477849142 339502565 /nfs/dbraw/zinc/50/25/65/339502565.db2.gz OKPQOSBRHYIYMX-TWOQFEAHSA-N 0 3 315.457 4.122 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc(Cl)cn1 ZINC000477854717 339502801 /nfs/dbraw/zinc/50/28/01/339502801.db2.gz WDKMJNHTQJHGRA-DZGCQCFKSA-N 0 3 308.853 4.268 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3cn4ccccc4n3)CC2)cc1 ZINC000478212096 339510426 /nfs/dbraw/zinc/51/04/26/339510426.db2.gz UCJLMNHWMWKOQF-SFHVURJKSA-N 0 3 321.399 4.199 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@H](c2cnn(C)c2)c2ccc(F)cc2)C1 ZINC000478318878 339513370 /nfs/dbraw/zinc/51/33/70/339513370.db2.gz YWJADBCWHMLTTP-VCBZYWHSSA-N 0 3 315.436 4.065 20 0 DIADHN C[C@H](C(=O)Nc1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC000478843936 339523545 /nfs/dbraw/zinc/52/35/45/339523545.db2.gz VNQNJLVAWRQYDD-KRWDZBQOSA-N 0 3 322.452 4.415 20 0 DIADHN CN(C)Cc1cccc(C(=O)NCC(C)(C)C2=CCCCC2)c1 ZINC000478921316 339524841 /nfs/dbraw/zinc/52/48/41/339524841.db2.gz JKNANSUOYAOVNX-UHFFFAOYSA-N 0 3 314.473 4.005 20 0 DIADHN Cc1cccc(CCN[C@@H](C)c2ccc(Br)cn2)c1 ZINC000479070528 339526876 /nfs/dbraw/zinc/52/68/76/339526876.db2.gz RGLZJCGFKRBDLU-ZDUSSCGKSA-N 0 3 319.246 4.046 20 0 DIADHN C[C@@H](NC1CC1)c1ccc(Br)cc1C(F)(F)F ZINC000479255897 339529992 /nfs/dbraw/zinc/52/99/92/339529992.db2.gz OJSZFAPFCMTPLR-SSDOTTSWSA-N 0 3 308.141 4.281 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccc(F)cc2)C1)c1ccc(F)cn1 ZINC000479492351 339537892 /nfs/dbraw/zinc/53/78/92/339537892.db2.gz RWRQSIIFDVJZIB-QEORTHHSSA-N 0 3 302.368 4.347 20 0 DIADHN CCCNC(=O)c1cccc(CNCc2cccc(CCC)c2)c1 ZINC000479529954 339539541 /nfs/dbraw/zinc/53/95/41/339539541.db2.gz SBMAZVXLMWHZTB-UHFFFAOYSA-N 0 3 324.468 4.069 20 0 DIADHN C[C@H]1C[C@H](N[C@H](CO)CCC(C)(C)C)c2ccsc2S1 ZINC000479532554 339539673 /nfs/dbraw/zinc/53/96/73/339539673.db2.gz QCGGOFKXEXZOMP-OBJOEFQTSA-N 0 3 313.532 4.450 20 0 DIADHN CCOc1cccc(CNCc2cc3c(cc(C)cc3C)[nH]2)c1 ZINC000479565008 339541357 /nfs/dbraw/zinc/54/13/57/339541357.db2.gz INKCCDKXFDAJLV-UHFFFAOYSA-N 0 3 308.425 4.473 20 0 DIADHN C[C@@H](NCc1ccn(C(F)F)n1)C1(c2ccccc2)CCCC1 ZINC000479584700 339542308 /nfs/dbraw/zinc/54/23/08/339542308.db2.gz BTJFJPIFCHTISE-CQSZACIVSA-N 0 3 319.399 4.268 20 0 DIADHN C[C@H](CNCc1cc(Cl)ccc1OC(F)F)C(F)(F)F ZINC000479586295 339542460 /nfs/dbraw/zinc/54/24/60/339542460.db2.gz SEMIWXAFCYPDJV-SSDOTTSWSA-N 0 3 317.685 4.229 20 0 DIADHN COc1ccc2oc(CN[C@H]3CCCO[C@@H]3CC(C)C)cc2c1 ZINC000479604381 339543402 /nfs/dbraw/zinc/54/34/02/339543402.db2.gz UKTYXZVIYOHIJN-PKOBYXMFSA-N 0 3 317.429 4.125 20 0 DIADHN C[C@H](CNCc1cccc(OC2CCCC2)c1)C(F)(F)F ZINC000479603964 339543425 /nfs/dbraw/zinc/54/34/25/339543425.db2.gz SFBMHDWLRWGTDZ-GFCCVEGCSA-N 0 3 301.352 4.296 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N1CCCC1)c1cccc(F)c1 ZINC000479853361 339550679 /nfs/dbraw/zinc/55/06/79/339550679.db2.gz SIROFZMHMPOLTN-RHSMWYFYSA-N 0 3 318.461 4.375 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1ccccc1OCc1ccccc1 ZINC000479865028 339551337 /nfs/dbraw/zinc/55/13/37/339551337.db2.gz PKOJSUODQMYPSC-WMZOPIPTSA-N 0 3 311.425 4.095 20 0 DIADHN COc1ccc([C@@H]2CCCC[C@@H]2NCc2ccco2)cc1F ZINC000479863456 339551354 /nfs/dbraw/zinc/55/13/54/339551354.db2.gz GIYPUULBURKSCE-RDJZCZTQSA-N 0 3 303.377 4.243 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NC[C@H](c1ccco1)N1CCCC1 ZINC000479867512 339551515 /nfs/dbraw/zinc/55/15/15/339551515.db2.gz VKNUBITWGPWSGO-RBUKOAKNSA-N 0 3 310.441 4.002 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCO[C@H](C(C)(C)C)C2)cs1 ZINC000479927301 339553512 /nfs/dbraw/zinc/55/35/12/339553512.db2.gz KBEQUQCZDWHZSY-OCCSQVGLSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@@H](NCCCN(C)c1ccccc1)c1ccc2ccccc2n1 ZINC000480089357 339558663 /nfs/dbraw/zinc/55/86/63/339558663.db2.gz HOPVKOSFDKRZPZ-QGZVFWFLSA-N 0 3 319.452 4.412 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1)c1ccc(Cl)cn1 ZINC000480168419 339561614 /nfs/dbraw/zinc/56/16/14/339561614.db2.gz SZYUYFZLKVRTCM-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@H](C)c1ccc(Cl)cn1 ZINC000480183644 339562436 /nfs/dbraw/zinc/56/24/36/339562436.db2.gz RYZPTIOSUWUMSV-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN CCCOc1cccc(CCN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480189903 339562735 /nfs/dbraw/zinc/56/27/35/339562735.db2.gz DWFIFQGLTUVQFR-AWEZNQCLSA-N 0 3 318.848 4.417 20 0 DIADHN CCOc1cccc(CCN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480193059 339562874 /nfs/dbraw/zinc/56/28/74/339562874.db2.gz CSDHAZVHEZZSEK-ZDUSSCGKSA-N 0 3 304.821 4.027 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc(Cl)cn1)COCc1ccccc1 ZINC000480194261 339562966 /nfs/dbraw/zinc/56/29/66/339562966.db2.gz GAUHZZYUVMALPD-GJZGRUSLSA-N 0 3 318.848 4.239 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CN2CCCC2)c2ccccc2)o1 ZINC000480220486 339564002 /nfs/dbraw/zinc/56/40/02/339564002.db2.gz CUFPHDPLQYPSSG-VQIMIIECSA-N 0 3 312.457 4.330 20 0 DIADHN Cc1cccc2c1CC[C@@H]2NCc1ccc(Cn2cccn2)cc1 ZINC000480247073 339565040 /nfs/dbraw/zinc/56/50/40/339565040.db2.gz APCUINIFSKJCBA-NRFANRHFSA-N 0 3 317.436 4.017 20 0 DIADHN C[C@@H](NC[C@H](c1ccsc1)N(C)C)c1ccccc1Cl ZINC000480249830 339565229 /nfs/dbraw/zinc/56/52/29/339565229.db2.gz QKQNYPRIQACYJC-MLGOLLRUSA-N 0 3 308.878 4.355 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(F)cc2N(C)C)ccc1F ZINC000480270954 339566439 /nfs/dbraw/zinc/56/64/39/339566439.db2.gz ZWNOPYILFIZTSG-CYBMUJFWSA-N 0 3 304.384 4.190 20 0 DIADHN CCN(C)c1ccc(CN[C@@H]2c3ccccc3CCC[C@H]2C)cn1 ZINC000480310810 339568535 /nfs/dbraw/zinc/56/85/35/339568535.db2.gz UEYQJXJVZASWDQ-IERDGZPVSA-N 0 3 323.484 4.341 20 0 DIADHN Fc1cccc(-c2ccc(CN[C@@H]3CCCSC3)o2)c1F ZINC000480388784 339571741 /nfs/dbraw/zinc/57/17/41/339571741.db2.gz QQKBQPQSSPBHGN-LLVKDONJSA-N 0 3 309.381 4.210 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1nccn1-c1ccccc1 ZINC000480391361 339571871 /nfs/dbraw/zinc/57/18/71/339571871.db2.gz XUFZCLPARNEWHY-IRXDYDNUSA-N 0 3 305.425 4.154 20 0 DIADHN C[C@@H](NCc1ccc(Br)o1)[C@H](C)c1ccccc1 ZINC000480390284 339571974 /nfs/dbraw/zinc/57/19/74/339571974.db2.gz UHLUMZOJCOQPFW-NWDGAFQWSA-N 0 3 308.219 4.324 20 0 DIADHN Fc1cccc2c1CC[C@@H]2NCc1ccc(OCC2CC2)cc1 ZINC000480395832 339572043 /nfs/dbraw/zinc/57/20/43/339572043.db2.gz MCLNJJSBULQILR-FQEVSTJZSA-N 0 3 311.400 4.392 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc2ccccc2n1)N(C)c1ccccc1 ZINC000480631267 339580575 /nfs/dbraw/zinc/58/05/75/339580575.db2.gz QESDWDYPXJKYBG-SJORKVTESA-N 0 3 319.452 4.410 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H](c2cccs2)C2CC2)cn1 ZINC000480636751 339580864 /nfs/dbraw/zinc/58/08/64/339580864.db2.gz FBIMCXCNRIOVDC-GOSISDBHSA-N 0 3 315.486 4.229 20 0 DIADHN Clc1sccc1CNC[C@@H]1CCN(c2ccccc2)C1 ZINC000480638200 339580891 /nfs/dbraw/zinc/58/08/91/339580891.db2.gz CSAQPAFJUBDSBI-ZDUSSCGKSA-N 0 3 306.862 4.018 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc(F)cc1OC(F)F)C(F)(F)F ZINC000480660254 339581787 /nfs/dbraw/zinc/58/17/87/339581787.db2.gz FVEVUIPPXRZZIP-SFYZADRCSA-N 0 3 315.257 4.276 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NC[C@H](C)C(F)(F)F)CCCO2 ZINC000480665657 339582057 /nfs/dbraw/zinc/58/20/57/339582057.db2.gz PAHPNGHAEHQTOU-JSGCOSHPSA-N 0 3 301.352 4.305 20 0 DIADHN CC(C)OCCCNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480664161 339582041 /nfs/dbraw/zinc/58/20/41/339582041.db2.gz YQCSZWLBLNVOSE-UHFFFAOYSA-N 0 3 309.356 4.130 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](C)C(F)(F)F)ccc1SC ZINC000480671101 339582515 /nfs/dbraw/zinc/58/25/15/339582515.db2.gz FDZFPKZZNDRWQR-VHSXEESVSA-N 0 3 307.381 4.266 20 0 DIADHN CCOc1cccc(CNCc2ccc(OCC3CC3)cc2)c1 ZINC000480670795 339582532 /nfs/dbraw/zinc/58/25/32/339582532.db2.gz WVKQIIRERRFBAQ-UHFFFAOYSA-N 0 3 311.425 4.164 20 0 DIADHN C[C@H](CN[C@H]1CCc2cc(Br)ccc21)C(F)(F)F ZINC000480673966 339582893 /nfs/dbraw/zinc/58/28/93/339582893.db2.gz WHIXWJIZCVKEGG-PELKAZGASA-N 0 3 322.168 4.224 20 0 DIADHN C[C@@H](NCCCNc1ccccc1F)c1ccc(Cl)cn1 ZINC000480698792 339583866 /nfs/dbraw/zinc/58/38/66/339583866.db2.gz OCENNDPWJOAPFW-GFCCVEGCSA-N 0 3 307.800 4.027 20 0 DIADHN CCCC[C@H](CC)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480705569 339584184 /nfs/dbraw/zinc/58/41/84/339584184.db2.gz FCFSBTZXDWWJCA-ROUUACIJSA-N 0 3 303.425 4.207 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccco1 ZINC000480742219 339585435 /nfs/dbraw/zinc/58/54/35/339585435.db2.gz CDAQWDBAEPXRDV-OLZOCXBDSA-N 0 3 324.346 4.228 20 0 DIADHN CCCC[C@H](COC)N[C@H](CC(F)(F)F)c1ccccc1 ZINC000480902665 339590116 /nfs/dbraw/zinc/59/01/16/339590116.db2.gz SQKNILAEHHKYCR-HUUCEWRRSA-N 0 3 303.368 4.475 20 0 DIADHN CCC[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000481184620 339597661 /nfs/dbraw/zinc/59/76/61/339597661.db2.gz VBWKWZGZDXALMO-CEXWTWQISA-N 0 3 315.844 4.429 20 0 DIADHN CC1(C)CCCC[C@@H]1NCc1ccc(Oc2ccccc2)nn1 ZINC000481193424 339598164 /nfs/dbraw/zinc/59/81/64/339598164.db2.gz SUZUCDRJYBXGRR-KRWDZBQOSA-N 0 3 311.429 4.327 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(F)cc2N(C)C)cc1 ZINC000481544275 339606323 /nfs/dbraw/zinc/60/63/23/339606323.db2.gz GNJMWCGVIGAFFD-AWEZNQCLSA-N 0 3 316.420 4.141 20 0 DIADHN C[C@@H](NCCCOC1CCCC1)c1ccccc1OC(F)F ZINC000481718427 339610865 /nfs/dbraw/zinc/61/08/65/339610865.db2.gz AIIHPZWYBGWSIZ-CYBMUJFWSA-N 0 3 313.388 4.288 20 0 DIADHN Cn1c(CN[C@H]2CC[C@@H](c3ccccc3F)C2)nc2ccccc21 ZINC000481826469 339614981 /nfs/dbraw/zinc/61/49/81/339614981.db2.gz WTOWGTWTYFQMNZ-CABCVRRESA-N 0 3 323.415 4.138 20 0 DIADHN CC[C@H](N[C@H]1CCC(C)(C)C[C@@H]1C)c1nnc2n1CCCCC2 ZINC000481936880 339617544 /nfs/dbraw/zinc/61/75/44/339617544.db2.gz VOLNAOSQFXDYRT-JYJNAYRXSA-N 0 3 318.509 4.260 20 0 DIADHN CO[C@@H](C)[C@H](C)NCc1ccc(OC(C)C)cc1C(F)(F)F ZINC000481942295 339617573 /nfs/dbraw/zinc/61/75/73/339617573.db2.gz NXZDGLPMTVTKIE-RYUDHWBXSA-N 0 3 319.367 4.006 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccccc1F)c1ccco1 ZINC000481955971 339617829 /nfs/dbraw/zinc/61/78/29/339617829.db2.gz YLIRHEXFNTVRDE-PBHICJAKSA-N 0 3 304.409 4.152 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@@H](c2ccccc2)c2cncnc2)[C@@H]1C ZINC000481991061 339618822 /nfs/dbraw/zinc/61/88/22/339618822.db2.gz GZLHBAJBKXUIPO-CIWUYKSXSA-N 0 3 309.457 4.228 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@H](c2ccccc2)c2cncnc2)[C@@H]1C ZINC000481991066 339618836 /nfs/dbraw/zinc/61/88/36/339618836.db2.gz GZLHBAJBKXUIPO-QQTQMKHKSA-N 0 3 309.457 4.228 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H](c2ccc(C)o2)N2CCCC2)o1 ZINC000482025144 339620286 /nfs/dbraw/zinc/62/02/86/339620286.db2.gz IBEDVMOFPAHDLK-NVXWUHKLSA-N 0 3 316.445 4.231 20 0 DIADHN CO[C@@H](C)CCN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000482107641 339623431 /nfs/dbraw/zinc/62/34/31/339623431.db2.gz WXQIJWCFWIALBV-DLBZAZTESA-N 0 3 313.441 4.341 20 0 DIADHN COC[C@@H](CC(C)C)NCc1ccc(Oc2ccccc2)nc1 ZINC000482126075 339623956 /nfs/dbraw/zinc/62/39/56/339623956.db2.gz KZRFFLVCEJFWAC-QGZVFWFLSA-N 0 3 314.429 4.025 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2nc(C(C)(C)C)cs2)o1 ZINC000482561064 339636184 /nfs/dbraw/zinc/63/61/84/339636184.db2.gz UWCYZWZFQGDASL-GFCCVEGCSA-N 0 3 306.475 4.489 20 0 DIADHN CCC[C@H](N[C@H](C)C1CCCCC1)c1nnc2ccccn21 ZINC000482566603 339636276 /nfs/dbraw/zinc/63/62/76/339636276.db2.gz QUGOHMYUDGIXRT-ZBFHGGJFSA-N 0 3 300.450 4.129 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H]2CCCOC2)c(OCCC)c1 ZINC000482645335 339638814 /nfs/dbraw/zinc/63/88/14/339638814.db2.gz WHKGTAPQWAZBMX-JKSUJKDBSA-N 0 3 321.461 4.094 20 0 DIADHN CC(C)[C@H](NCCc1ccc2ccccc2n1)c1ccc(F)cn1 ZINC000498446477 339643422 /nfs/dbraw/zinc/64/34/22/339643422.db2.gz UBHGPEYNXGBHQB-FQEVSTJZSA-N 0 3 323.415 4.298 20 0 DIADHN Cc1ccc([C@H](C)NCC(C)(C)N[C@H](C)c2ccccc2)o1 ZINC000482953040 339648226 /nfs/dbraw/zinc/64/82/26/339648226.db2.gz RTIDXRPDHIVXLH-CVEARBPZSA-N 0 3 300.446 4.368 20 0 DIADHN CC[C@H](NCCC(=O)Nc1ccccc1)c1ccc(Cl)s1 ZINC000482966126 339648649 /nfs/dbraw/zinc/64/86/49/339648649.db2.gz VPJKWABVADZBGF-ZDUSSCGKSA-N 0 3 322.861 4.471 20 0 DIADHN C[C@@H](NC[C@H](c1c(F)cccc1F)N(C)C)c1cccc(F)c1 ZINC000483145442 339654101 /nfs/dbraw/zinc/65/41/01/339654101.db2.gz FRBJTZJTVGXNLE-SJKOYZFVSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)NC(=O)[C@@H]1CCC[C@@H](N[C@H](C)c2ccccc2Cl)C1 ZINC000483149301 339654278 /nfs/dbraw/zinc/65/42/78/339654278.db2.gz CXJRYVCHIPJTDR-RBSFLKMASA-N 0 3 322.880 4.074 20 0 DIADHN OC[C@@H](N[C@H]1C=CCCC1)c1ccc(Cl)cc1C(F)(F)F ZINC000483262617 339658555 /nfs/dbraw/zinc/65/85/55/339658555.db2.gz WONPGQBAEFOHES-SMDDNHRTSA-N 0 3 319.754 4.091 20 0 DIADHN C[C@@H](N[C@@H]1CCC(=O)N(C)C1)c1ccc(C2CCCCC2)cc1 ZINC000483310699 339660969 /nfs/dbraw/zinc/66/09/69/339660969.db2.gz NYUKIMXYEQQKHW-DNVCBOLYSA-N 0 3 314.473 4.006 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](C)Oc2cccc(F)c2)cc1F ZINC000483536716 339668391 /nfs/dbraw/zinc/66/83/91/339668391.db2.gz CVVRHKLWJJRGSE-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1cc(F)ccc1F ZINC000483606675 339669271 /nfs/dbraw/zinc/66/92/71/339669271.db2.gz NWJSJDFDSVOSBO-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN COc1cccc(O[C@@H](C)CN[C@@H](C)c2cc(F)cc(F)c2)c1 ZINC000483636399 339670187 /nfs/dbraw/zinc/67/01/87/339670187.db2.gz GBUHORJSBRKESB-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc2ccccc2n1)Oc1ccc(F)cc1 ZINC000483641734 339670436 /nfs/dbraw/zinc/67/04/36/339670436.db2.gz UWGYKQWARDMDFB-CABCVRRESA-N 0 3 324.399 4.492 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@@H](C)C3)n2)cc1F ZINC000483644283 339670549 /nfs/dbraw/zinc/67/05/49/339670549.db2.gz AEVOGELAMWXJOT-ZLDLUXBVSA-N 0 3 317.408 4.413 20 0 DIADHN CCC(CC)CN[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483643166 339670553 /nfs/dbraw/zinc/67/05/53/339670553.db2.gz CABBSIUEVMXZOH-LBPRGKRZSA-N 0 3 305.397 4.271 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@H](C)c1sc(C(C)(C)C)nc1C ZINC000483709640 339672202 /nfs/dbraw/zinc/67/22/02/339672202.db2.gz FYGWACSHBYHLMI-KWCYVHTRSA-N 0 3 310.507 4.357 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@@H](C)Oc1cccc(C)c1)CCC2 ZINC000483711010 339672305 /nfs/dbraw/zinc/67/23/05/339672305.db2.gz ILEYONBQQKGMAH-CZUORRHYSA-N 0 3 316.470 4.194 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H](Cc1ccc(F)cc1)C(C)C ZINC000483732098 339673213 /nfs/dbraw/zinc/67/32/13/339673213.db2.gz TUICWEMGRLBHGJ-GOSISDBHSA-N 0 3 317.452 4.035 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H](CC)C[C@H](C)CO ZINC000483861327 339677038 /nfs/dbraw/zinc/67/70/38/339677038.db2.gz PUXJCRCNWIBTEH-KCQAQPDRSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@H](C[C@@H](C)CO)N[C@H](C)c1ccc(Cl)cc1Cl ZINC000483875372 339677733 /nfs/dbraw/zinc/67/77/33/339677733.db2.gz YSZJJQHEQOXGQE-NQBHXWOUSA-N 0 3 304.261 4.441 20 0 DIADHN CC(C)CC[C@@](C)(O)CN[C@H]1C[C@H](C)Sc2sccc21 ZINC000484130860 339681506 /nfs/dbraw/zinc/68/15/06/339681506.db2.gz GBEGIUHROUJTRZ-DUVNUKRYSA-N 0 3 313.532 4.450 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000484133214 339681559 /nfs/dbraw/zinc/68/15/59/339681559.db2.gz SGTNBEFULWWZKA-INIZCTEOSA-N 0 3 305.425 4.286 20 0 DIADHN C[C@@H](NCCNc1ccccn1)c1ccc(Cl)c(Cl)c1 ZINC000484135532 339681625 /nfs/dbraw/zinc/68/16/25/339681625.db2.gz QRBPJFHBXZTODF-LLVKDONJSA-N 0 3 310.228 4.151 20 0 DIADHN Cc1ccc([C@H](C)NCCNc2ncc(Cl)cc2Cl)o1 ZINC000484139858 339681690 /nfs/dbraw/zinc/68/16/90/339681690.db2.gz XHFIWBDHBITCBE-JTQLQIEISA-N 0 3 314.216 4.053 20 0 DIADHN CS[C@H](CO)[C@H](C)N[C@H](C)c1ccc(-c2cccs2)cc1 ZINC000484140831 339681702 /nfs/dbraw/zinc/68/17/02/339681702.db2.gz ZSOQQBOFIOMLKM-IIYDPXPESA-N 0 3 321.511 4.178 20 0 DIADHN CC[C@@H](NCc1csc(CCc2ccccc2)n1)C1CC1 ZINC000484294324 339684009 /nfs/dbraw/zinc/68/40/09/339684009.db2.gz LXSKESDSACIIIC-QGZVFWFLSA-N 0 3 300.471 4.207 20 0 DIADHN C[C@@H](NCc1nccn1CCc1ccccc1)C1CCCCC1 ZINC000484295385 339684158 /nfs/dbraw/zinc/68/41/58/339684158.db2.gz OGNIQXIWUMWLOK-QGZVFWFLSA-N 0 3 311.473 4.184 20 0 DIADHN COc1cccc(CCN[C@H](C)c2oc3ccccc3c2C)n1 ZINC000484298682 339684264 /nfs/dbraw/zinc/68/42/64/339684264.db2.gz MROLKVHVCSMRDB-CQSZACIVSA-N 0 3 310.397 4.038 20 0 DIADHN CCO[C@H](CCN[C@@H](C)c1ccccc1OC)C1CCCC1 ZINC000484475803 339686846 /nfs/dbraw/zinc/68/68/46/339686846.db2.gz OHHGIUHRQXYUJT-MAUKXSAKSA-N 0 3 305.462 4.331 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccccc1)c1ccc(-c2ccncc2)cc1 ZINC000484520425 339689117 /nfs/dbraw/zinc/68/91/17/339689117.db2.gz SODGPOVUYVBDDV-IERDGZPVSA-N 0 3 318.420 4.133 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1ccccc1OC(F)(F)F ZINC000484603833 339690312 /nfs/dbraw/zinc/69/03/12/339690312.db2.gz JDGJTRBWDKDWCM-GHMZBOCLSA-N 0 3 305.365 4.131 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000485230257 339700269 /nfs/dbraw/zinc/70/02/69/339700269.db2.gz NEZLPXZCJJDTST-MRXNPFEDSA-N 0 3 318.367 4.034 20 0 DIADHN Clc1cccc(-c2n[nH]cc2CN2CC3(C2)CCCC3)c1 ZINC000485334694 339701542 /nfs/dbraw/zinc/70/15/42/339701542.db2.gz YRCGRIFEEJUHMZ-UHFFFAOYSA-N 0 3 301.821 4.106 20 0 DIADHN CN1CC[C@@H](NCc2cc(F)cc(Cl)c2)[C@H]1c1ccccc1 ZINC000485690604 339709441 /nfs/dbraw/zinc/70/94/41/339709441.db2.gz CJTLXEOLGMRQKF-QZTJIDSGSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@H](C(=O)N(CC)Cc1ccc(CN(C)C)cc1)C(C)C ZINC000485782265 339712673 /nfs/dbraw/zinc/71/26/73/339712673.db2.gz CXGCPCJSEITQAD-IBGZPJMESA-N 0 3 318.505 4.169 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](C)c1ccc(Cl)s1 ZINC000498483480 339720871 /nfs/dbraw/zinc/72/08/71/339720871.db2.gz FHHPXYURIIGJGM-NXEZZACHSA-N 0 3 303.855 4.172 20 0 DIADHN CCOCc1ccccc1CNCc1cc2ccc(F)cc2[nH]1 ZINC000486673922 339730825 /nfs/dbraw/zinc/73/08/25/339730825.db2.gz GOKSWQAJLXMTAB-UHFFFAOYSA-N 0 3 312.388 4.133 20 0 DIADHN Cc1ccc(CSCCNCc2scnc2C2CC2)cc1 ZINC000486949589 339739603 /nfs/dbraw/zinc/73/96/03/339739603.db2.gz XONXFNYQGCGXOJ-UHFFFAOYSA-N 0 3 318.511 4.352 20 0 DIADHN Clc1ccsc1CNC[C@@H](c1ccco1)N1CCCCC1 ZINC000486985298 339741470 /nfs/dbraw/zinc/74/14/70/339741470.db2.gz GZNDZGWFVSKPJU-AWEZNQCLSA-N 0 3 324.877 4.311 20 0 DIADHN Clc1csc(CNC[C@@H](c2ccco2)N2CCCCC2)c1 ZINC000486987255 339741713 /nfs/dbraw/zinc/74/17/13/339741713.db2.gz LPUBXRFPMWLGNQ-HNNXBMFYSA-N 0 3 324.877 4.311 20 0 DIADHN Cc1oc2ccc(NC(=O)c3ccccc3CN(C)C)cc2c1C ZINC000487083244 339743594 /nfs/dbraw/zinc/74/35/94/339743594.db2.gz JYNNOQZHWVKNTJ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2sccc2Cl)C1 ZINC000487167080 339745048 /nfs/dbraw/zinc/74/50/48/339745048.db2.gz QESAHMQOHLGLKP-UHFFFAOYSA-N 0 3 301.883 4.475 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@H](C)Cc1cccc(O)c1 ZINC000487276558 339748662 /nfs/dbraw/zinc/74/86/62/339748662.db2.gz LEZQVNHXRDLRQX-CYBMUJFWSA-N 0 3 319.832 4.165 20 0 DIADHN CC1(C)C[C@@H](NC/C=C\c2ccncc2)c2ccc(F)cc2O1 ZINC000487296328 339749735 /nfs/dbraw/zinc/74/97/35/339749735.db2.gz IQMHLVILKOEIEU-CWIOURORSA-N 0 3 312.388 4.126 20 0 DIADHN C[C@@H](NC/C=C/c1ccncc1)c1ccc(Br)s1 ZINC000487532655 339755875 /nfs/dbraw/zinc/75/58/75/339755875.db2.gz CNBFSROCQQDFFG-KXMPLOMGSA-N 0 3 323.259 4.270 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CS[C@@H](C(C)C)C2)cc1OC ZINC000488419674 339780878 /nfs/dbraw/zinc/78/08/78/339780878.db2.gz HLBMWCFJKKYAMN-MAUKXSAKSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](N[C@@H]2CCCOc3ccccc32)CS1 ZINC000488424156 339781244 /nfs/dbraw/zinc/78/12/44/339781244.db2.gz RLWLZGKUIYDBOU-UNEWFSDZSA-N 0 3 305.487 4.410 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCSc3c(F)cccc32)CS1 ZINC000488426876 339781506 /nfs/dbraw/zinc/78/15/06/339781506.db2.gz TXMJEZBCSCUVAC-CQDKDKBSSA-N 0 3 311.491 4.482 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](c2ccccc2)[C@H]2CCCO2)CS1 ZINC000488434572 339782049 /nfs/dbraw/zinc/78/20/49/339782049.db2.gz PZJNNSCUGSWFES-MHORFTMASA-N 0 3 305.487 4.026 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000488436316 339782169 /nfs/dbraw/zinc/78/21/69/339782169.db2.gz UILXSAYIDSGJBP-OGHNNQOOSA-N 0 3 323.502 4.275 20 0 DIADHN Cc1csc([C@H](N[C@H]2CS[C@H](C(C)(C)C)C2)C2CC2)n1 ZINC000488451877 339783187 /nfs/dbraw/zinc/78/31/87/339783187.db2.gz FVJIYTRTAXBVQE-HZSPNIEDSA-N 0 3 310.532 4.412 20 0 DIADHN Cc1csc([C@H](N[C@@H]2CS[C@@H](C(C)(C)C)C2)C2CC2)n1 ZINC000488451875 339783263 /nfs/dbraw/zinc/78/32/63/339783263.db2.gz FVJIYTRTAXBVQE-BFHYXJOUSA-N 0 3 310.532 4.412 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@@H]1CS[C@@H](C(C)C)C1 ZINC000488516111 339786323 /nfs/dbraw/zinc/78/63/23/339786323.db2.gz AYFRXSSLXZTVEW-DUVNUKRYSA-N 0 3 309.523 4.127 20 0 DIADHN CC(C)(C)CCNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000488607706 339789135 /nfs/dbraw/zinc/78/91/35/339789135.db2.gz BVTDMLCTIJQTKJ-UHFFFAOYSA-N 0 3 318.442 4.065 20 0 DIADHN CCC[C@@H](O)CNCc1ccc(Oc2cc(F)cc(F)c2)cc1 ZINC000489053594 339800865 /nfs/dbraw/zinc/80/08/65/339800865.db2.gz NIIPZWVPLCHQAO-MRXNPFEDSA-N 0 3 321.367 4.008 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000489108314 339801904 /nfs/dbraw/zinc/80/19/04/339801904.db2.gz VQXXERYMORWVIP-UGSOOPFHSA-N 0 3 321.848 4.487 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cnn(C)c1)c1cccc(Cl)c1Cl ZINC000507643965 339819448 /nfs/dbraw/zinc/81/94/48/339819448.db2.gz JPPRRGCPJHTVQJ-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@@H](NCCCCOC(C)(C)C)c1nc(C(F)(F)F)cs1 ZINC000490073219 339825295 /nfs/dbraw/zinc/82/52/95/339825295.db2.gz DREUHFDFFMJCNV-SNVBAGLBSA-N 0 3 324.412 4.408 20 0 DIADHN CC(C)(C)OC1CC(NCc2ccccc2C(F)(F)F)C1 ZINC000490112789 339826192 /nfs/dbraw/zinc/82/61/92/339826192.db2.gz LJCVMORISKRVOY-UHFFFAOYSA-N 0 3 301.352 4.141 20 0 DIADHN C[C@@H]1CCCC[C@H]1CN[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000490113541 339826199 /nfs/dbraw/zinc/82/61/99/339826199.db2.gz NUIZEHNVJPUMRF-SIXWZSSISA-N 0 3 315.436 4.065 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1ccc(Br)c2cccnc12 ZINC000490405680 339831964 /nfs/dbraw/zinc/83/19/64/339831964.db2.gz WMHPTKUCUJOWBE-VXGBXAGGSA-N 0 3 319.246 4.370 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCC2)c1ccc2c(c1)OCCO2 ZINC000490533294 339833755 /nfs/dbraw/zinc/83/37/55/339833755.db2.gz CYHDZJLMAWIOKZ-OAHLLOKOSA-N 0 3 323.436 4.187 20 0 DIADHN CC(C)(C)OC1CC(N[C@@H](c2ccccc2)c2cccnc2)C1 ZINC000491040144 339846791 /nfs/dbraw/zinc/84/67/91/339846791.db2.gz RWKSDCPWDRFVNQ-ACBHZAAOSA-N 0 3 310.441 4.107 20 0 DIADHN CCCCn1cc(CNCc2ccc3c(c2)CCCC3)c(C)n1 ZINC000491222620 339854138 /nfs/dbraw/zinc/85/41/38/339854138.db2.gz INLLNPDAUGLSQQ-UHFFFAOYSA-N 0 3 311.473 4.160 20 0 DIADHN FC1(F)CCCC[C@H](CNCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000491582988 339867825 /nfs/dbraw/zinc/86/78/25/339867825.db2.gz DWNDWEXKUHKGGN-AWEZNQCLSA-N 0 3 319.399 4.382 20 0 DIADHN CC(C)c1nnc(CCNCc2csc3ccccc23)s1 ZINC000492002135 339888950 /nfs/dbraw/zinc/88/89/50/339888950.db2.gz RWDIIYNHUNAQBS-UHFFFAOYSA-N 0 3 317.483 4.209 20 0 DIADHN Cc1ccc(C)c(CN[C@H](C)[C@H]2OCCc3sccc32)c1 ZINC000492191677 339893809 /nfs/dbraw/zinc/89/38/09/339893809.db2.gz BETAWLAVMRIDOI-RDTXWAMCSA-N 0 3 301.455 4.157 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@@H]2OCCc3sccc32)cc1 ZINC000492192859 339893951 /nfs/dbraw/zinc/89/39/51/339893951.db2.gz KKDCLRWIELBLGX-UGSOOPFHSA-N 0 3 301.455 4.103 20 0 DIADHN CCC[C@H](O)CN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000492236535 339895057 /nfs/dbraw/zinc/89/50/57/339895057.db2.gz IGXWPTSRTBUFKH-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN OC1(CN[C@@H](c2ccc(F)cc2)c2ccc(Cl)cc2)CCC1 ZINC000492269283 339896015 /nfs/dbraw/zinc/89/60/15/339896015.db2.gz LHFOIABDFYMURH-QGZVFWFLSA-N 0 3 319.807 4.073 20 0 DIADHN CCC(CC)(CNCc1ncc(-c2ccccc2)s1)OC ZINC000492487534 339902033 /nfs/dbraw/zinc/90/20/33/339902033.db2.gz RRPVUKWCNGSYCR-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN C[C@H](CCO)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493033832 339915579 /nfs/dbraw/zinc/91/55/79/339915579.db2.gz HFVIAOFILXPDCW-KDOFPFPSSA-N 0 3 303.833 4.038 20 0 DIADHN COCc1cc(CN[C@@H]2c3cc(C)ccc3C[C@@H]2C)ccc1F ZINC000493276355 339921693 /nfs/dbraw/zinc/92/16/93/339921693.db2.gz IMKJBVWNVIQXOR-XOBRGWDASA-N 0 3 313.416 4.304 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1ccc(OC(C)C)cc1 ZINC000493951235 339937386 /nfs/dbraw/zinc/93/73/86/339937386.db2.gz AREMZZOPITZJME-OXJNMPFZSA-N 0 3 313.441 4.340 20 0 DIADHN CCSCC[C@@H](C)N[C@H](COC)c1ccc(Cl)cc1 ZINC000493971533 339937709 /nfs/dbraw/zinc/93/77/09/339937709.db2.gz XQMYWPUPJWLDKL-IUODEOHRSA-N 0 3 301.883 4.149 20 0 DIADHN CSc1cc(CNCc2ccc3nc(C)sc3c2)ccn1 ZINC000494191975 339943271 /nfs/dbraw/zinc/94/32/71/339943271.db2.gz NGQNDWZSKRIRQH-UHFFFAOYSA-N 0 3 315.467 4.011 20 0 DIADHN C[C@@H]1OCC[C@H]1N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000494195501 339943532 /nfs/dbraw/zinc/94/35/32/339943532.db2.gz PIHVZOMPYZAGHR-JBBXEZCESA-N 0 3 319.807 4.336 20 0 DIADHN Cc1nc2ccc(CNCc3ccc(C)c(F)c3)cc2s1 ZINC000494323090 339946634 /nfs/dbraw/zinc/94/66/34/339946634.db2.gz OPHWATIKKHILAT-UHFFFAOYSA-N 0 3 300.402 4.342 20 0 DIADHN CCCc1csc(CNCC(C)(C)c2ccccc2F)n1 ZINC000494355806 339947358 /nfs/dbraw/zinc/94/73/58/339947358.db2.gz ICGCMSICYCYBCG-UHFFFAOYSA-N 0 3 306.450 4.302 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1cc(Br)cs1 ZINC000494783199 339960023 /nfs/dbraw/zinc/96/00/23/339960023.db2.gz FULFTAWDKGSDOS-MWLCHTKSSA-N 0 3 318.280 4.119 20 0 DIADHN CS[C@@H](C)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000494988101 339968851 /nfs/dbraw/zinc/96/88/51/339968851.db2.gz MPPVWYZTCCWLLI-LBPRGKRZSA-N 0 3 307.409 4.395 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ccc(C)n(C)c1=O)C1CCCC1 ZINC000494987135 339968945 /nfs/dbraw/zinc/96/89/45/339968945.db2.gz SFXKWGXCQIXKSV-FQEVSTJZSA-N 0 3 324.468 4.023 20 0 DIADHN CCc1nn(C)cc1CN[C@@H](c1ccccc1C)C1CCCC1 ZINC000495044914 339971210 /nfs/dbraw/zinc/97/12/10/339971210.db2.gz LFTMDYLKQSXJPF-HXUWFJFHSA-N 0 3 311.473 4.312 20 0 DIADHN Cc1ccccc1[C@H](NC[C@](C)(O)C(F)(F)F)C1CCCC1 ZINC000495069425 339972436 /nfs/dbraw/zinc/97/24/36/339972436.db2.gz SKRYQXWNBMAJQU-CVEARBPZSA-N 0 3 315.379 4.129 20 0 DIADHN Cc1ncc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)cn1 ZINC000495147787 339976250 /nfs/dbraw/zinc/97/62/50/339976250.db2.gz APELKXWNEKYRRN-NRFANRHFSA-N 0 3 315.420 4.229 20 0 DIADHN Fc1cccc(CC2CCN(C/C=C\c3ccncc3)CC2)c1 ZINC000495149947 339976512 /nfs/dbraw/zinc/97/65/12/339976512.db2.gz ZOOKDACGMNMNRT-RQOWECAXSA-N 0 3 310.416 4.189 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@H](C)[C@H](C)c2ccccc2)c1 ZINC000495401494 339982927 /nfs/dbraw/zinc/98/29/27/339982927.db2.gz MVSOWBIFDSBCLA-IRXDYDNUSA-N 0 3 310.441 4.024 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](N[C@@H](CC)c2ccc(F)cn2)CCO1 ZINC000495431345 339984199 /nfs/dbraw/zinc/98/41/99/339984199.db2.gz CGTJAKWUJUVIKA-BQFCYCMXSA-N 0 3 308.441 4.245 20 0 DIADHN CC1(C)C[C@H](NCc2ccccc2N2CCCCC2)C(C)(C)O1 ZINC000495435685 339984447 /nfs/dbraw/zinc/98/44/47/339984447.db2.gz ZESDAGWXCFTCSR-SFHVURJKSA-N 0 3 316.489 4.113 20 0 DIADHN COc1ccccc1CN[C@H](C)[C@H](OC)c1ccccc1Cl ZINC000497094530 340020098 /nfs/dbraw/zinc/02/00/98/340020098.db2.gz DYKRPNWCHGRTSS-ACJLOTCBSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)[C@H](O)C1(CNC2c3ccccc3-c3ccccc32)CC1 ZINC000498766380 340022880 /nfs/dbraw/zinc/02/28/80/340022880.db2.gz QQNPEMVXNXIICY-FQEVSTJZSA-N 0 3 307.437 4.143 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN(Cc1cccc(O)c1)CC1CC1 ZINC000498901052 340025341 /nfs/dbraw/zinc/02/53/41/340025341.db2.gz LLNIZIYLRKQZOT-OXJNMPFZSA-N 0 3 317.473 4.200 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1ccc(Oc2ccccc2)nn1 ZINC000499059221 340028839 /nfs/dbraw/zinc/02/88/39/340028839.db2.gz PNZRYLCOUOJHDP-GPMSIDNRSA-N 0 3 323.440 4.422 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ccc(Oc2ccccc2)nn1 ZINC000499059215 340028934 /nfs/dbraw/zinc/02/89/34/340028934.db2.gz PNZRYLCOUOJHDP-GJYPPUQNSA-N 0 3 323.440 4.422 20 0 DIADHN CCN(CCCC(C)(C)C)CC(=O)Nc1c(C)cccc1C ZINC000499549638 340039148 /nfs/dbraw/zinc/03/91/48/340039148.db2.gz PNURKDKCIVUOOR-UHFFFAOYSA-N 0 3 304.478 4.390 20 0 DIADHN COc1cc([C@@H](C)NCc2cnc3ccccc3c2)ccc1F ZINC000499604920 340040567 /nfs/dbraw/zinc/04/05/67/340040567.db2.gz HDQKYPIEWQKXAX-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN COc1ccccc1-c1noc([C@H](C)NC[C@@H](C)CC(C)C)n1 ZINC000499622931 340041377 /nfs/dbraw/zinc/04/13/77/340041377.db2.gz AICYODJJZKKUAG-KBPBESRZSA-N 0 3 317.433 4.078 20 0 DIADHN COc1ccc(C)cc1CN1CCC[C@H](Oc2ccccc2)C1 ZINC000500185382 340052518 /nfs/dbraw/zinc/05/25/18/340052518.db2.gz XBNLLAHZAVAJEI-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN Cc1cc(C)n(CCN(C)[C@@H](c2ccccc2Cl)C(C)C)n1 ZINC000500213211 340053181 /nfs/dbraw/zinc/05/31/81/340053181.db2.gz JWRXQEAHKSAWAO-GOSISDBHSA-N 0 3 319.880 4.482 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc(-n3cccn3)c2)cc1 ZINC000500227534 340053395 /nfs/dbraw/zinc/05/33/95/340053395.db2.gz URBQHBKATUKQPN-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CCCC(F)(F)C2)s1 ZINC000500430643 340058324 /nfs/dbraw/zinc/05/83/24/340058324.db2.gz RMJGIRYSFKTMCK-NSHDSACASA-N 0 3 302.434 4.356 20 0 DIADHN Fc1ccc2nc(CNC[C@H]3CCCC(F)(F)C3)sc2c1 ZINC000500351374 340055483 /nfs/dbraw/zinc/05/54/83/340055483.db2.gz BVWXTSMCXHWSTD-JTQLQIEISA-N 0 3 314.376 4.351 20 0 DIADHN FC1(F)CCC[C@H](CNCc2csc(-c3ccccn3)n2)C1 ZINC000500380899 340056077 /nfs/dbraw/zinc/05/60/77/340056077.db2.gz ZUPWWZWYFLVNOE-LBPRGKRZSA-N 0 3 323.412 4.120 20 0 DIADHN Cn1nccc1[C@H]1CCCCN1CCCc1ccc(Cl)cc1 ZINC000500396029 340056684 /nfs/dbraw/zinc/05/66/84/340056684.db2.gz IXEHTGCNEIDWLA-GOSISDBHSA-N 0 3 317.864 4.233 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CNC1CC(F)(F)C1 ZINC000500398885 340056811 /nfs/dbraw/zinc/05/68/11/340056811.db2.gz CYQQTDMDOQNOSB-POHAHGRESA-N 0 3 305.290 4.496 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(C(F)F)cc1 ZINC000500407852 340057214 /nfs/dbraw/zinc/05/72/14/340057214.db2.gz WJDHHUMJWPDEDK-UHFFFAOYSA-N 0 3 305.368 4.485 20 0 DIADHN C[C@H]1CCc2nc(CNC[C@H]3CCCC(F)(F)C3)sc2C1 ZINC000500417393 340057696 /nfs/dbraw/zinc/05/76/96/340057696.db2.gz SRASJSVNSPMCLE-RYUDHWBXSA-N 0 3 314.445 4.183 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CCCC(F)(F)C2)s1 ZINC000500430641 340058298 /nfs/dbraw/zinc/05/82/98/340058298.db2.gz RMJGIRYSFKTMCK-LLVKDONJSA-N 0 3 302.434 4.356 20 0 DIADHN CCC(=O)N[C@@H]1CCCN([C@@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC000500456178 340059494 /nfs/dbraw/zinc/05/94/94/340059494.db2.gz JOFJGGFDKPKSMM-MAUKXSAKSA-N 0 3 316.489 4.036 20 0 DIADHN CC(C)[C@@H](NCc1ccc2c(c1)ncn2C)c1ccc(F)cc1 ZINC000500485207 340060385 /nfs/dbraw/zinc/06/03/85/340060385.db2.gz IHQAGHSDCNIHHU-LJQANCHMSA-N 0 3 311.404 4.199 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cnc2ccccn12)c1cccc(C)c1 ZINC000500495404 340060675 /nfs/dbraw/zinc/06/06/75/340060675.db2.gz GFBNLQZSFSDJSR-UZLBHIALSA-N 0 3 305.425 4.058 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cnc2cccc(C)n12)c1cccc(C)c1 ZINC000500531915 340061518 /nfs/dbraw/zinc/06/15/18/340061518.db2.gz DAPPXWAFIQWKRM-UWJYYQICSA-N 0 3 319.452 4.366 20 0 DIADHN Fc1cccc(Cl)c1CNCCc1cccc2[nH]ccc21 ZINC000500532198 340061598 /nfs/dbraw/zinc/06/15/98/340061598.db2.gz LDRYMPZEZBKERB-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1cnc2cccc(C)n12)c1cccc(C)c1 ZINC000500531547 340061600 /nfs/dbraw/zinc/06/16/00/340061600.db2.gz DAPPXWAFIQWKRM-DYESRHJHSA-N 0 3 319.452 4.366 20 0 DIADHN CCc1ccc(CN[C@H]2C[C@H](c3ccc(OC)c(F)c3)C2)o1 ZINC000500532356 340061625 /nfs/dbraw/zinc/06/16/25/340061625.db2.gz SGGLMLIZEJKNKL-HDJSIYSDSA-N 0 3 303.377 4.026 20 0 DIADHN COc1ccc(CNCCc2cccc3[nH]ccc32)c(Cl)c1 ZINC000500570303 340062536 /nfs/dbraw/zinc/06/25/36/340062536.db2.gz LGPXQEFSUAHXFI-UHFFFAOYSA-N 0 3 314.816 4.162 20 0 DIADHN C[C@H](NCCCc1cccc(C(F)(F)F)c1)c1ccccn1 ZINC000500606338 340063549 /nfs/dbraw/zinc/06/35/49/340063549.db2.gz ANFAYLICNMWNHN-ZDUSSCGKSA-N 0 3 308.347 4.384 20 0 DIADHN CCC[C@H](NC[C@@H]1CCCN1Cc1ccccc1)c1ccccn1 ZINC000500618234 340063840 /nfs/dbraw/zinc/06/38/40/340063840.db2.gz NXHVCGCQASUNTH-PMACEKPBSA-N 0 3 323.484 4.177 20 0 DIADHN CCC[C@@H](NCCOc1cccc(Cl)c1)c1ccccn1 ZINC000500619768 340063971 /nfs/dbraw/zinc/06/39/71/340063971.db2.gz VBYGAQKPQGHBPF-MRXNPFEDSA-N 0 3 304.821 4.245 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)NCCOCC(C)C ZINC000501011532 340072271 /nfs/dbraw/zinc/07/22/71/340072271.db2.gz RDSJVZHPRXUGNF-AWEZNQCLSA-N 0 3 318.486 4.442 20 0 DIADHN c1ccc2c(c1)CO[C@@]21CCN(CCSC2CCCCC2)C1 ZINC000501051290 340073108 /nfs/dbraw/zinc/07/31/08/340073108.db2.gz IZFUDLKLDQJYMK-LJQANCHMSA-N 0 3 317.498 4.184 20 0 DIADHN FC(F)(F)c1ccc(OCCN2CCC[C@@H]2c2ccc[nH]2)cc1 ZINC000501324154 340078578 /nfs/dbraw/zinc/07/85/78/340078578.db2.gz OYFJGXZXESDQJQ-MRXNPFEDSA-N 0 3 324.346 4.249 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000501434376 340080913 /nfs/dbraw/zinc/08/09/13/340080913.db2.gz IVHVRGWJSFMIQV-QMTHXVAHSA-N 0 3 302.245 4.384 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000501417296 340080466 /nfs/dbraw/zinc/08/04/66/340080466.db2.gz APFLOYVZQBBGNE-NWDGAFQWSA-N 0 3 305.340 4.049 20 0 DIADHN COc1ccc(-c2ccc(CN[C@@H](C)COC(C)C)cc2)cc1 ZINC000501469658 340081823 /nfs/dbraw/zinc/08/18/23/340081823.db2.gz WBQICYQTRAJDEZ-INIZCTEOSA-N 0 3 313.441 4.265 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccccc2SC)cc1 ZINC000501501774 340081861 /nfs/dbraw/zinc/08/18/61/340081861.db2.gz LFSYPFYPWMULCY-CYBMUJFWSA-N 0 3 315.438 4.046 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H](C)COC(C)C)c(C)c2c1 ZINC000501473361 340081880 /nfs/dbraw/zinc/08/18/80/340081880.db2.gz BZYJDFXZLPBFSI-OCCSQVGLSA-N 0 3 305.418 4.214 20 0 DIADHN CC(C)c1ccc(NC(=O)NC[C@@H](C)N2CCCC[C@@H]2C)cc1 ZINC000501535701 340083049 /nfs/dbraw/zinc/08/30/49/340083049.db2.gz XKPXZDDJTPZPBR-JKSUJKDBSA-N 0 3 317.477 4.194 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@@H](C)N2CCCC[C@H]2C)c1 ZINC000501544491 340083252 /nfs/dbraw/zinc/08/32/52/340083252.db2.gz DQTCBYUUNNUGIQ-HZPDHXFCSA-N 0 3 317.477 4.194 20 0 DIADHN COc1ccccc1-c1nc(CN[C@H]2CCC[C@@H]2C)cs1 ZINC000501912215 340090707 /nfs/dbraw/zinc/09/07/07/340090707.db2.gz AVDMWMPDQGITIU-WFASDCNBSA-N 0 3 302.443 4.097 20 0 DIADHN CCC[C@H](C)C(=O)N(CC)[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000501957200 340091499 /nfs/dbraw/zinc/09/14/99/340091499.db2.gz KOQVXNKAUGYACD-JENIJYKNSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1Cl)c1nc2c(s1)CCC2 ZINC000502043324 340093840 /nfs/dbraw/zinc/09/38/40/340093840.db2.gz AZHIGMLFTJXZLJ-JTQLQIEISA-N 0 3 324.852 4.318 20 0 DIADHN CC(C)CO[C@@H]1C[C@@H](N[C@@H](C)c2nc3c(s2)CCC3)C1(C)C ZINC000502050998 340094145 /nfs/dbraw/zinc/09/41/45/340094145.db2.gz LIBWXZSFRDAKEI-APHBMKBZSA-N 0 3 322.518 4.122 20 0 DIADHN C[C@]12C[C@H]1CCC[C@@H]2Nc1cccc(CN2CCSCC2)c1 ZINC000502287889 340098909 /nfs/dbraw/zinc/09/89/09/340098909.db2.gz SHUXUWQSTFLDFI-NEWSRXKRSA-N 0 3 316.514 4.226 20 0 DIADHN COCC[C@H]1CCC[C@@H]1NCc1cc(Cl)sc1Cl ZINC000502365066 340100801 /nfs/dbraw/zinc/10/08/01/340100801.db2.gz JHZZPTDCZUMJFK-KOLCDFICSA-N 0 3 308.274 4.350 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@@H]1CCC12CCC2)c1ccccc1 ZINC000502368591 340100954 /nfs/dbraw/zinc/10/09/54/340100954.db2.gz VOCRQCCOKXJNPU-RTBURBONSA-N 0 3 320.436 4.289 20 0 DIADHN COc1ccccc1OC[C@@H](C)CN[C@@H](C)c1cc(C)c(C)o1 ZINC000502376200 340101014 /nfs/dbraw/zinc/10/10/14/340101014.db2.gz QMWAGPGPELCTRB-ZFWWWQNUSA-N 0 3 317.429 4.271 20 0 DIADHN COc1cccc(OCCCN[C@H](C)c2cc(C)c(C)o2)c1 ZINC000502389281 340101439 /nfs/dbraw/zinc/10/14/39/340101439.db2.gz GYYVACQBAKFYSO-CQSZACIVSA-N 0 3 303.402 4.025 20 0 DIADHN Cc1cc([C@@H](C)NCC2(CO)CC(c3ccccc3)C2)oc1C ZINC000502390786 340101442 /nfs/dbraw/zinc/10/14/42/340101442.db2.gz OVOHVAJEFZSVGQ-MYWSLZCHSA-N 0 3 313.441 4.103 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@H](C)c2cc(C)c(C)o2)cc1 ZINC000502391727 340101599 /nfs/dbraw/zinc/10/15/99/340101599.db2.gz LWBVYNLIELNEOK-PJIJBLCYSA-N 0 3 317.429 4.332 20 0 DIADHN C[C@]12C[C@H]1CCC[C@@H]2NCc1nccn1CCCc1ccccc1 ZINC000502397497 340101673 /nfs/dbraw/zinc/10/16/73/340101673.db2.gz ATGQEBDLAHUVRL-DYXWJJEUSA-N 0 3 323.484 4.184 20 0 DIADHN Cc1cccc(OCCN[C@@H](C)c2cnn(C3CCCC3)c2)c1 ZINC000502419341 340102717 /nfs/dbraw/zinc/10/27/17/340102717.db2.gz VNFPCRVYPYCURM-INIZCTEOSA-N 0 3 313.445 4.036 20 0 DIADHN C[C@@H](NCCc1cccc(F)c1F)c1cnn(C2CCCC2)c1 ZINC000502445339 340103810 /nfs/dbraw/zinc/10/38/10/340103810.db2.gz NCTGBBBXMYTESZ-CYBMUJFWSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1ccccc1OCCN[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502453968 340104315 /nfs/dbraw/zinc/10/43/15/340104315.db2.gz LWXWQGNEHMSGLP-MRXNPFEDSA-N 0 3 313.445 4.036 20 0 DIADHN COc1ccc(C[C@@H](C)N[C@H](C)c2cc(C)c(C)o2)cc1OC ZINC000502456699 340104338 /nfs/dbraw/zinc/10/43/38/340104338.db2.gz ZUNJVCLNNSHZCK-ZIAGYGMSSA-N 0 3 317.429 4.195 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)s1 ZINC000502520617 340106794 /nfs/dbraw/zinc/10/67/94/340106794.db2.gz ITEWITIUGMDFRG-INIZCTEOSA-N 0 3 321.417 4.161 20 0 DIADHN CCSCCCN[C@@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000502551054 340107428 /nfs/dbraw/zinc/10/74/28/340107428.db2.gz LSKFGZODKIPCGP-GOSISDBHSA-N 0 3 318.461 4.351 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1ccc(Cl)cc1C ZINC000502556532 340107681 /nfs/dbraw/zinc/10/76/81/340107681.db2.gz WXTSJAKFZKESQK-OAHLLOKOSA-N 0 3 323.868 4.034 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1ccc(Cl)cc1C ZINC000502556531 340107761 /nfs/dbraw/zinc/10/77/61/340107761.db2.gz WXTSJAKFZKESQK-HNNXBMFYSA-N 0 3 323.868 4.034 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1CCN(C2CCC2)CC1 ZINC000502566432 340107993 /nfs/dbraw/zinc/10/79/93/340107993.db2.gz BDDIXSQUJKKVNU-LBPRGKRZSA-N 0 3 313.272 4.225 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1cccc(Cl)c1C ZINC000502585058 340108454 /nfs/dbraw/zinc/10/84/54/340108454.db2.gz AVOZCBTWSXITPB-CQSZACIVSA-N 0 3 323.868 4.034 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1cccc(Cl)c1C ZINC000502585057 340108568 /nfs/dbraw/zinc/10/85/68/340108568.db2.gz AVOZCBTWSXITPB-AWEZNQCLSA-N 0 3 323.868 4.034 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1cccc(C(C)C)c1 ZINC000502681852 340110208 /nfs/dbraw/zinc/11/02/08/340110208.db2.gz UIBLKCUGANKFCO-SFHVURJKSA-N 0 3 317.477 4.196 20 0 DIADHN Cc1nc(CCN2CCC[C@@H]2c2ccccc2Cl)cs1 ZINC000502695927 340110895 /nfs/dbraw/zinc/11/08/95/340110895.db2.gz ZRUBHWQRZMXCCO-MRXNPFEDSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN([C@@H](C)c3ccccc3)C2)oc1C ZINC000502697539 340111157 /nfs/dbraw/zinc/11/11/57/340111157.db2.gz ARSFRRQXTZCSFW-TXPKVOOTSA-N 0 3 312.457 4.383 20 0 DIADHN COc1ccc(OCCCN[C@H](C)c2cc(C)c(C)o2)cc1 ZINC000502707360 340111626 /nfs/dbraw/zinc/11/16/26/340111626.db2.gz DDKPXGZGXBZKKF-CQSZACIVSA-N 0 3 303.402 4.025 20 0 DIADHN COc1cccc(CN[C@H]2CCC23CCC3)c1OC1CCCC1 ZINC000502707042 340111702 /nfs/dbraw/zinc/11/17/02/340111702.db2.gz CKAKFXMKOZIXPO-SFHVURJKSA-N 0 3 315.457 4.439 20 0 DIADHN Cc1nc(CCN(C)Cc2cc(Cl)cc(Cl)c2)cs1 ZINC000502715899 340112086 /nfs/dbraw/zinc/11/20/86/340112086.db2.gz LZQVOECKNIPMRI-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cc(C)c(C)o1)c1ccco1 ZINC000502722740 340112277 /nfs/dbraw/zinc/11/22/77/340112277.db2.gz PKTKSOWWZOIXSC-HOCLYGCPSA-N 0 3 304.434 4.223 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cc(C)c(C)o1)c1ccco1 ZINC000502722733 340112283 /nfs/dbraw/zinc/11/22/83/340112283.db2.gz PKTKSOWWZOIXSC-GDBMZVCRSA-N 0 3 304.434 4.223 20 0 DIADHN Cc1nc(CCN2CCC[C@@H]2c2cccc(Cl)c2)cs1 ZINC000502725178 340112356 /nfs/dbraw/zinc/11/23/56/340112356.db2.gz UGLOMTYZNHPRKL-MRXNPFEDSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502723110 340112358 /nfs/dbraw/zinc/11/23/58/340112358.db2.gz BAIRQQXJHDTHQE-CABCVRRESA-N 0 3 315.436 4.419 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cc(C)c(C)o1)c1ccco1 ZINC000502722738 340112371 /nfs/dbraw/zinc/11/23/71/340112371.db2.gz PKTKSOWWZOIXSC-GOEBONIOSA-N 0 3 304.434 4.223 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cnn(C3CCCC3)c2)cc1 ZINC000502729634 340112522 /nfs/dbraw/zinc/11/25/22/340112522.db2.gz YVQQYZGTBWVFRJ-GJZGRUSLSA-N 0 3 313.445 4.419 20 0 DIADHN CCN(CC)CC(=O)N[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000502791037 340113625 /nfs/dbraw/zinc/11/36/25/340113625.db2.gz OEJZKULAKDABPB-CYBMUJFWSA-N 0 3 318.848 4.012 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000502867632 340115082 /nfs/dbraw/zinc/11/50/82/340115082.db2.gz YMDOILJQPPUZOX-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN O=C(Nc1cccc2ccsc21)[C@H]1CCCN1C1CCCC1 ZINC000502971752 340117887 /nfs/dbraw/zinc/11/78/87/340117887.db2.gz TYYOXEDDSXJDDQ-MRXNPFEDSA-N 0 3 314.454 4.247 20 0 DIADHN CC(C)(C)n1ncnc1CN[C@H]1CCCC[C@H]1C1CCCCC1 ZINC000503028108 340119102 /nfs/dbraw/zinc/11/91/02/340119102.db2.gz BBJRCUYYUGWSEU-IRXDYDNUSA-N 0 3 318.509 4.262 20 0 DIADHN Cc1sc(CNC[C@H]2C[C@@H]2c2ccccc2)nc1C(C)C ZINC000503043101 340119467 /nfs/dbraw/zinc/11/94/67/340119467.db2.gz JPNLKALTSYNTKP-HZPDHXFCSA-N 0 3 300.471 4.468 20 0 DIADHN Cc1sc(CNC[C@@H]2C[C@H]2c2ccccc2)nc1C(C)C ZINC000503043099 340119493 /nfs/dbraw/zinc/11/94/93/340119493.db2.gz JPNLKALTSYNTKP-HOTGVXAUSA-N 0 3 300.471 4.468 20 0 DIADHN CN(CCCOc1ccc2ccccc2c1)CCc1ccccn1 ZINC000503151658 340122078 /nfs/dbraw/zinc/12/20/78/340122078.db2.gz YVEWVJHSTJUNOT-UHFFFAOYSA-N 0 3 320.436 4.178 20 0 DIADHN Cc1nc(CCN[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)cs1 ZINC000503409123 340127499 /nfs/dbraw/zinc/12/74/99/340127499.db2.gz VWHJTZYJYJQTRC-ZBEGNZNMSA-N 0 3 306.862 4.172 20 0 DIADHN CCS[C@H]1CCC[C@@H]1NCC(=O)Nc1ccccc1C(C)C ZINC000503523897 340129740 /nfs/dbraw/zinc/12/97/40/340129740.db2.gz SJQIFRMEDLGVNJ-IRXDYDNUSA-N 0 3 320.502 4.012 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCC(OC2CCCC2)CC1 ZINC000503563980 340130675 /nfs/dbraw/zinc/13/06/75/340130675.db2.gz XQROUPOWOINIRE-APWZRJJASA-N 0 3 309.494 4.005 20 0 DIADHN Cc1ccccc1OCCCN1CCC(OC2CCCC2)CC1 ZINC000503569343 340130887 /nfs/dbraw/zinc/13/08/87/340130887.db2.gz QNJHXJTZTNAQGT-UHFFFAOYSA-N 0 3 317.473 4.188 20 0 DIADHN COc1ccc(C2(NCc3ccc(Cl)c(C)c3)CC2)cc1 ZINC000503576431 340131345 /nfs/dbraw/zinc/13/13/45/340131345.db2.gz PVOJZGJXHREPQA-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1NCc1ccc(Oc2ccccc2)o1 ZINC000503577874 340131489 /nfs/dbraw/zinc/13/14/89/340131489.db2.gz ZWKFNRRGPFWLOH-DLBZAZTESA-N 0 3 301.386 4.119 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2cc(-c3ccccc3)no2)C1 ZINC000503589633 340131834 /nfs/dbraw/zinc/13/18/34/340131834.db2.gz VVCJPNKNGDWXTO-MRXNPFEDSA-N 0 3 322.383 4.470 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCOC2(CCC2)C1 ZINC000503596678 340132092 /nfs/dbraw/zinc/13/20/92/340132092.db2.gz CAURSAYWLQTMGJ-OAHLLOKOSA-N 0 3 313.397 4.263 20 0 DIADHN CC(C)C[C@@H](CCO)CNCc1ccc(Oc2ccccc2)o1 ZINC000503597234 340132105 /nfs/dbraw/zinc/13/21/05/340132105.db2.gz GIFFZDIQULKJPW-MRXNPFEDSA-N 0 3 317.429 4.206 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@H]1CCOC2(CCC2)C1 ZINC000503596677 340132161 /nfs/dbraw/zinc/13/21/61/340132161.db2.gz CAURSAYWLQTMGJ-HNNXBMFYSA-N 0 3 313.397 4.263 20 0 DIADHN CCC(CC)(CCO)CNCc1ccc(Oc2ccccc2)o1 ZINC000503602037 340132359 /nfs/dbraw/zinc/13/23/59/340132359.db2.gz JYRBMWICAXCCLW-UHFFFAOYSA-N 0 3 317.429 4.350 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@H](Cc4ccccc4)C3)cn21 ZINC000503653715 340133014 /nfs/dbraw/zinc/13/30/14/340133014.db2.gz FVXQLGALKWBEHZ-LJQANCHMSA-N 0 3 319.452 4.097 20 0 DIADHN C[C@H](NCC1CCC(F)(F)CC1)c1nc2c(s1)CCC2 ZINC000503855055 340135190 /nfs/dbraw/zinc/13/51/90/340135190.db2.gz JNOVNFYHVFVDGZ-JTQLQIEISA-N 0 3 300.418 4.108 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)no1 ZINC000503888784 340135918 /nfs/dbraw/zinc/13/59/18/340135918.db2.gz BUKGQTVDKPADIQ-CXAGYDPISA-N 0 3 300.377 4.409 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CC=C(c3ccc(F)cc3)CC2)no1 ZINC000503888787 340135969 /nfs/dbraw/zinc/13/59/69/340135969.db2.gz BUKGQTVDKPADIQ-GUYCJALGSA-N 0 3 300.377 4.409 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)CCS2 ZINC000503894613 340136011 /nfs/dbraw/zinc/13/60/11/340136011.db2.gz SHNUTZKUDONSRY-YNEHKIRRSA-N 0 3 317.376 4.113 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H]1CCCC[C@H]1OC(F)F ZINC000503896038 340136015 /nfs/dbraw/zinc/13/60/15/340136015.db2.gz XXQUSYLXJTYQDB-XNRPHZJLSA-N 0 3 313.388 4.205 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCC[C@H]2OC(F)F)cc1F ZINC000503911988 340136610 /nfs/dbraw/zinc/13/66/10/340136610.db2.gz QEUSOCQVBRFGKQ-PSOPSSQASA-N 0 3 317.351 4.035 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)[C@H]3CCCOC3)cs2)cc1 ZINC000503920077 340136856 /nfs/dbraw/zinc/13/68/56/340136856.db2.gz JHBUZDRKEASURS-HOCLYGCPSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)[C@H]3CCCOC3)cs2)cc1 ZINC000503920078 340136868 /nfs/dbraw/zinc/13/68/68/340136868.db2.gz JHBUZDRKEASURS-ZBFHGGJFSA-N 0 3 316.470 4.023 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CN1CCCO[C@H](C)C1 ZINC000503937262 340137596 /nfs/dbraw/zinc/13/75/96/340137596.db2.gz LXDXBNSYYRRWFW-QLKUMGTLSA-N 0 3 313.363 4.220 20 0 DIADHN CCCCN(Cc1cccc(C(=O)NC)c1)[C@H](C)c1ccccc1 ZINC000504126045 340141285 /nfs/dbraw/zinc/14/12/85/340141285.db2.gz UQKDDNTYIAZTKB-QGZVFWFLSA-N 0 3 324.468 4.410 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(Cl)cc3)C2)cc1 ZINC000504477418 340148220 /nfs/dbraw/zinc/14/82/20/340148220.db2.gz NMLMBPUKMNGIHA-MRXNPFEDSA-N 0 3 301.817 4.338 20 0 DIADHN CCOc1ccccc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000516597786 340152907 /nfs/dbraw/zinc/15/29/07/340152907.db2.gz FYJLJXOCWYBFTC-UYAOXDASSA-N 0 3 311.425 4.095 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)c(OC)c1 ZINC000504912109 340155750 /nfs/dbraw/zinc/15/57/50/340155750.db2.gz QIDCNOMEWLSMNZ-RDTXWAMCSA-N 0 3 311.425 4.350 20 0 DIADHN COc1cc([C@H](C)NCCCOc2ccc(F)cc2)ccc1F ZINC000504912658 340155959 /nfs/dbraw/zinc/15/59/59/340155959.db2.gz ZAMOIOJNEGEFRP-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN CN(CCOCC(F)(F)F)Cc1cc(Cl)cc(Cl)c1 ZINC000505474994 340169280 /nfs/dbraw/zinc/16/92/80/340169280.db2.gz WQPVPLMAKIXTHO-UHFFFAOYSA-N 0 3 316.150 4.004 20 0 DIADHN c1cc([C@H]2CCCCCN2Cc2ccc3c(c2)CCO3)ccn1 ZINC000505254574 340165078 /nfs/dbraw/zinc/16/50/78/340165078.db2.gz NQHKIULAUNLRMD-LJQANCHMSA-N 0 3 308.425 4.134 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CCC[C@H]3CCCC[C@@H]32)c1 ZINC000505331265 340166311 /nfs/dbraw/zinc/16/63/11/340166311.db2.gz PLMOBGGSPGXEJP-NZSAHSFTSA-N 0 3 314.473 4.293 20 0 DIADHN COc1cc(CN2CCCCCC2)ccc1OCC(F)(F)F ZINC000505439112 340168406 /nfs/dbraw/zinc/16/84/06/340168406.db2.gz VCIMZZILHFZVIT-UHFFFAOYSA-N 0 3 317.351 4.012 20 0 DIADHN COc1ccccc1CCCN1CC=C(c2ccc(O)cc2)CC1 ZINC000505532039 340170388 /nfs/dbraw/zinc/17/03/88/340170388.db2.gz BAPYHCXAADITHH-UHFFFAOYSA-N 0 3 323.436 4.123 20 0 DIADHN Cc1ccccc1CN(C)Cc1c[nH]nc1-c1ccccc1F ZINC000505606313 340172758 /nfs/dbraw/zinc/17/27/58/340172758.db2.gz HHPXRVHAMGKOHU-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN Cc1ccccc1CN(C)Cc1c[nH]nc1-c1ccc(F)cc1 ZINC000505615239 340173211 /nfs/dbraw/zinc/17/32/11/340173211.db2.gz ZANLDZMUOPBBTL-UHFFFAOYSA-N 0 3 309.388 4.156 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(Cl)cc2F)c(CN(C)C)c1 ZINC000505654074 340174277 /nfs/dbraw/zinc/17/42/77/340174277.db2.gz AYOXMULRBDQGIA-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN COc1cccc(CN(C)Cc2cc(C)nc3ccccc23)c1 ZINC000505656189 340174364 /nfs/dbraw/zinc/17/43/64/340174364.db2.gz BESWLVVRMZEMMK-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCOc1ccc(CN(C)Cc2sccc2C)cc1OC ZINC000505655562 340174391 /nfs/dbraw/zinc/17/43/91/340174391.db2.gz CXDBHSAACWNXHA-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(C(C)C)cc2)c(CN(C)C)c1 ZINC000505659629 340174544 /nfs/dbraw/zinc/17/45/44/340174544.db2.gz QHTMGDUDGWUVJA-UHFFFAOYSA-N 0 3 310.441 4.432 20 0 DIADHN Cc1ccc(CCCC(=O)Nc2ccc(C)cc2CN(C)C)cc1 ZINC000505664877 340174646 /nfs/dbraw/zinc/17/46/46/340174646.db2.gz ZUGBMHZEJFDEFX-UHFFFAOYSA-N 0 3 324.468 4.326 20 0 DIADHN COc1cccc(CN(C)Cc2cccc(-c3ccccn3)c2)c1 ZINC000505682329 340174828 /nfs/dbraw/zinc/17/48/28/340174828.db2.gz FAMSHJCGQGTTBL-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1csc(-c2ccccn2)n1 ZINC000308720531 340174890 /nfs/dbraw/zinc/17/48/90/340174890.db2.gz CWNJFRACKBNCKK-DYVFJYSZSA-N 0 3 301.459 4.264 20 0 DIADHN CCSc1cccc(NC(=O)[C@H](C(C)C)N2CCCCC2)c1 ZINC000505737355 340176148 /nfs/dbraw/zinc/17/61/48/340176148.db2.gz XCVZJRPUIGOAQL-KRWDZBQOSA-N 0 3 320.502 4.248 20 0 DIADHN Cc1[nH]nc2ncc(CN(Cc3ccccc3)[C@H](C)C3CC3)cc12 ZINC000505775521 340177467 /nfs/dbraw/zinc/17/74/67/340177467.db2.gz CDWAPKXMZUFYGV-OAHLLOKOSA-N 0 3 320.440 4.067 20 0 DIADHN Cc1[nH]nc2ncc(CN(Cc3ccccc3)[C@@H](C)C3CC3)cc12 ZINC000505775520 340177525 /nfs/dbraw/zinc/17/75/25/340177525.db2.gz CDWAPKXMZUFYGV-HNNXBMFYSA-N 0 3 320.440 4.067 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)nc3ccccc23)cc1 ZINC000505777617 340177699 /nfs/dbraw/zinc/17/76/99/340177699.db2.gz QRPIYHZYPDKFIS-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(Cl)c(OC)c(OC)c1 ZINC000505852798 340179665 /nfs/dbraw/zinc/17/96/65/340179665.db2.gz APVLKNVVBUWXHW-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN FC(F)(F)CCCCN1CC[C@@H](COc2ccccc2)C1 ZINC000505874321 340180380 /nfs/dbraw/zinc/18/03/80/340180380.db2.gz HQIPNPUHAYZULP-CQSZACIVSA-N 0 3 301.352 4.120 20 0 DIADHN Cc1n[nH]c(C)c1CN(CCc1ccccc1)Cc1ccccc1 ZINC000505877703 340180412 /nfs/dbraw/zinc/18/04/12/340180412.db2.gz WCRKPFDMSDFAAA-UHFFFAOYSA-N 0 3 319.452 4.271 20 0 DIADHN CCN(Cc1ccccc1)Cc1cccc(OCCCOC)c1 ZINC000505881801 340180622 /nfs/dbraw/zinc/18/06/22/340180622.db2.gz SMPYVTRIWAQNEJ-UHFFFAOYSA-N 0 3 313.441 4.124 20 0 DIADHN CCOCc1cc(CN(C)[C@@H](C)c2ccccc2)ccc1OC ZINC000506005538 340183061 /nfs/dbraw/zinc/18/30/61/340183061.db2.gz YQRFPGHLFQVREM-INIZCTEOSA-N 0 3 313.441 4.425 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(OCC(F)F)cc1 ZINC000506012128 340183339 /nfs/dbraw/zinc/18/33/39/340183339.db2.gz KIMURRQIONHOJR-UHFFFAOYSA-N 0 3 311.397 4.414 20 0 DIADHN COc1ccc2cc(CN(C)Cc3cccc(O)c3)ccc2c1 ZINC000506021647 340183536 /nfs/dbraw/zinc/18/35/36/340183536.db2.gz KZMYLJKZGRCVCV-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN Cc1ccsc1CN(C)Cc1c[nH]nc1-c1ccc(C)cc1 ZINC000506045805 340184204 /nfs/dbraw/zinc/18/42/04/340184204.db2.gz LCOYCXMNEDSZTC-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN CCOCc1cc(CN(C)Cc2sccc2C)ccc1OC ZINC000506051433 340184284 /nfs/dbraw/zinc/18/42/84/340184284.db2.gz NBQOFLLSIBQAAK-UHFFFAOYSA-N 0 3 319.470 4.234 20 0 DIADHN Clc1cccc(OCCN2CCC(OC3CCCC3)CC2)c1 ZINC000506056336 340184471 /nfs/dbraw/zinc/18/44/71/340184471.db2.gz LPAIHXIGKINFEG-UHFFFAOYSA-N 0 3 323.864 4.142 20 0 DIADHN CCN(Cc1cccs1)Cc1cccc(OCCCOC)c1 ZINC000506052334 340184490 /nfs/dbraw/zinc/18/44/90/340184490.db2.gz XDYURSKQTHPTHA-UHFFFAOYSA-N 0 3 319.470 4.186 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccc(OCC(F)F)cc1 ZINC000506059969 340184638 /nfs/dbraw/zinc/18/46/38/340184638.db2.gz OBYPPSDNUGSPCR-UHFFFAOYSA-N 0 3 311.397 4.332 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2cccs2)cc1OC ZINC000506089020 340185378 /nfs/dbraw/zinc/18/53/78/340185378.db2.gz ZHNXLWWSNNOOFB-OAHLLOKOSA-N 0 3 317.454 4.493 20 0 DIADHN CN(Cc1ccccc1OC(F)F)[C@@H]1CCc2ccccc21 ZINC000506104489 340185728 /nfs/dbraw/zinc/18/57/28/340185728.db2.gz DQKUPHJQRVJZCP-MRXNPFEDSA-N 0 3 303.352 4.407 20 0 DIADHN COc1cc(CN[C@H]2CCc3cc(Cl)ccc32)ccc1C ZINC000506186192 340188024 /nfs/dbraw/zinc/18/80/24/340188024.db2.gz DSQFPBIJSPELRR-KRWDZBQOSA-N 0 3 301.817 4.434 20 0 DIADHN CN(Cc1ccc(Br)o1)C[C@H]1C[C@@H]1c1ccccc1 ZINC000506189203 340188093 /nfs/dbraw/zinc/18/80/93/340188093.db2.gz JLEFGWMWAZWXKV-UKRRQHHQSA-N 0 3 320.230 4.278 20 0 DIADHN CCOCc1cc(CN(C)Cc2cccc(C)c2)ccc1OC ZINC000506194702 340188190 /nfs/dbraw/zinc/18/81/90/340188190.db2.gz SKJHRESTPPXRNY-UHFFFAOYSA-N 0 3 313.441 4.172 20 0 DIADHN C[C@H](NCCOC(C)(C)C)c1ccc(OC(F)(F)F)cc1 ZINC000308727982 340188826 /nfs/dbraw/zinc/18/88/26/340188826.db2.gz HPLQOFYJPZEGGZ-NSHDSACASA-N 0 3 305.340 4.051 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H]1CCc2cc(Cl)ccc21 ZINC000506235248 340189483 /nfs/dbraw/zinc/18/94/83/340189483.db2.gz UPHUZXACARGDSR-ABAIWWIYSA-N 0 3 309.837 4.037 20 0 DIADHN CC1CCC(Oc2cc(CNCc3ccccc3)ccn2)CC1 ZINC000506279386 340190894 /nfs/dbraw/zinc/19/08/94/340190894.db2.gz BBJGWRDSFIIXDE-UHFFFAOYSA-N 0 3 310.441 4.329 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CN1CCC[C@@H]1c1ccccc1 ZINC000506278957 340190943 /nfs/dbraw/zinc/19/09/43/340190943.db2.gz DHHHJJGDLFELOH-LJQANCHMSA-N 0 3 303.409 4.414 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1CCC[C@@H]1c1ccccc1 ZINC000506278957 340190944 /nfs/dbraw/zinc/19/09/44/340190944.db2.gz DHHHJJGDLFELOH-LJQANCHMSA-N 0 3 303.409 4.414 20 0 DIADHN Fc1ccc(-c2n[nH]cc2CN(Cc2ccccc2)C2CC2)cc1 ZINC000506352274 340193024 /nfs/dbraw/zinc/19/30/24/340193024.db2.gz GBTSPJFRVPYSPY-UHFFFAOYSA-N 0 3 321.399 4.380 20 0 DIADHN c1[nH]nc(-c2ccccc2)c1CN1CCC(c2ccccc2)CC1 ZINC000506382344 340193663 /nfs/dbraw/zinc/19/36/63/340193663.db2.gz PMMVJXYFCZWLSR-UHFFFAOYSA-N 0 3 317.436 4.456 20 0 DIADHN CN(Cc1ccc2c[nH]nc2c1)Cc1ccccc1C(F)(F)F ZINC000506385531 340193841 /nfs/dbraw/zinc/19/38/41/340193841.db2.gz ZCMDANCEDXKBTH-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCCN(C)Cc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000506466677 340195200 /nfs/dbraw/zinc/19/52/00/340195200.db2.gz ODJFNYMRMBOJLX-UHFFFAOYSA-N 0 3 305.853 4.043 20 0 DIADHN Cc1nc2ccccn2c1CN([C@@H](C)c1ccccc1)C1CC1 ZINC000506546928 340196398 /nfs/dbraw/zinc/19/63/98/340196398.db2.gz OHWRTQMCORSLED-INIZCTEOSA-N 0 3 305.425 4.368 20 0 DIADHN Cc1ccc2ncc(CN([C@H](C)c3ccccc3)C3CC3)n2c1 ZINC000506553428 340196649 /nfs/dbraw/zinc/19/66/49/340196649.db2.gz ZXAQOYWFFWRECF-MRXNPFEDSA-N 0 3 305.425 4.368 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccccc1F)[C@@H]1CCc2ccccc21 ZINC000506629841 340197967 /nfs/dbraw/zinc/19/79/67/340197967.db2.gz GTTGXHHMIVWYDY-LJQANCHMSA-N 0 3 321.399 4.335 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccccc2N(C)C)c1 ZINC000506645291 340198376 /nfs/dbraw/zinc/19/83/76/340198376.db2.gz HOGVVHVAAGRABA-HXUWFJFHSA-N 0 3 310.441 4.098 20 0 DIADHN COc1ccccc1/C=C\CN1CCC(c2ccc(O)cc2)CC1 ZINC000506660995 340198904 /nfs/dbraw/zinc/19/89/04/340198904.db2.gz DFRLDRHAURMNOH-XQRVVYSFSA-N 0 3 323.436 4.294 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@@H]2Cc2ccccc2)s1 ZINC000506669342 340199037 /nfs/dbraw/zinc/19/90/37/340199037.db2.gz OXVUDRVQRFCTOC-MRXNPFEDSA-N 0 3 300.471 4.474 20 0 DIADHN CCOc1cc(CN(C)[C@H]2CCc3ccccc32)ccc1OC ZINC000506689463 340199589 /nfs/dbraw/zinc/19/95/89/340199589.db2.gz LRJRXNZBEDFRTJ-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@@H]1c1ccc[nH]1 ZINC000506814092 340202338 /nfs/dbraw/zinc/20/23/38/340202338.db2.gz IFCDUQHWQYHIOJ-QTXHJTIISA-N 0 3 310.441 4.402 20 0 DIADHN CCc1ccc(CN(Cc2cccc(NC(C)=O)c2)C2CC2)cc1 ZINC000506952456 340204144 /nfs/dbraw/zinc/20/41/44/340204144.db2.gz GDVRTPWPEJIDFY-UHFFFAOYSA-N 0 3 322.452 4.372 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccc2ccccc2n1 ZINC000506995440 340204969 /nfs/dbraw/zinc/20/49/69/340204969.db2.gz SQWLHIYINBVGSL-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Cn1nccc1-c1ccccc1CN1CCC[C@H]1c1cccs1 ZINC000507003701 340205110 /nfs/dbraw/zinc/20/51/10/340205110.db2.gz XVYBVYZYCIMOSJ-SFHVURJKSA-N 0 3 323.465 4.486 20 0 DIADHN CCC[C@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000507025006 340205799 /nfs/dbraw/zinc/20/57/99/340205799.db2.gz DUNDCCBZTLMONJ-NSHDSACASA-N 0 3 313.367 4.213 20 0 DIADHN CCc1nocc1CN1CCCCC[C@H]1c1ccc(OC)cc1 ZINC000507034876 340205970 /nfs/dbraw/zinc/20/59/70/340205970.db2.gz IUMBCGRYALDQCS-IBGZPJMESA-N 0 3 314.429 4.363 20 0 DIADHN Cc1cc(N(C)C[C@@H]2OCCc3ccccc32)c2ccccc2n1 ZINC000507035851 340206021 /nfs/dbraw/zinc/20/60/21/340206021.db2.gz KQBLPJSWXSWNPR-NRFANRHFSA-N 0 3 318.420 4.293 20 0 DIADHN CCN(Cc1c(C)nn(C)c1C)Cc1ccc2ccccc2c1 ZINC000507063964 340206339 /nfs/dbraw/zinc/20/63/39/340206339.db2.gz IBTVQOWNHZIYKM-UHFFFAOYSA-N 0 3 307.441 4.212 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CN1CC[C@@H](c2ccccc2)C1 ZINC000507101388 340207286 /nfs/dbraw/zinc/20/72/86/340207286.db2.gz DNSILKPTUHUDMA-MRXNPFEDSA-N 0 3 321.399 4.205 20 0 DIADHN COc1cc(CN(C)C2CC2)ccc1OCc1ccc(F)cc1 ZINC000507111625 340207838 /nfs/dbraw/zinc/20/78/38/340207838.db2.gz QEFZYUXJPIDBSX-UHFFFAOYSA-N 0 3 315.388 4.008 20 0 DIADHN CCN(CCc1ccccc1)Cc1cnc(C2CCC2)s1 ZINC000507115092 340207955 /nfs/dbraw/zinc/20/79/55/340207955.db2.gz DEMXAMAEZHEPOK-UHFFFAOYSA-N 0 3 300.471 4.475 20 0 DIADHN CN(Cc1cn(-c2ccccc2)nc1-c1cccs1)C1CC1 ZINC000507144335 340209453 /nfs/dbraw/zinc/20/94/53/340209453.db2.gz QNACNFSUTLYKDY-UHFFFAOYSA-N 0 3 309.438 4.195 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@H]2c2ccccc2C)o1 ZINC000507154431 340209806 /nfs/dbraw/zinc/20/98/06/340209806.db2.gz JSHGRFJGPQDTGF-KRWDZBQOSA-N 0 3 313.397 4.102 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@H]1c1ccccc1C ZINC000507165772 340210469 /nfs/dbraw/zinc/21/04/69/340210469.db2.gz AIBLWZXZBJPPSW-FQEVSTJZSA-N 0 3 311.473 4.190 20 0 DIADHN CN(CCCc1ccccc1)Cc1ncccc1C(F)(F)F ZINC000507166628 340210491 /nfs/dbraw/zinc/21/04/91/340210491.db2.gz FEGVSYQQMJDFCA-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN CC(=O)Nc1ccc(CN(Cc2cccc(F)c2)C(C)C)cc1 ZINC000507169353 340210762 /nfs/dbraw/zinc/21/07/62/340210762.db2.gz IZPHYDRNVRNLPM-UHFFFAOYSA-N 0 3 314.404 4.195 20 0 DIADHN COc1ccsc1CN(C)[C@@H]1CCCc2cc(OC)ccc21 ZINC000507172915 340211214 /nfs/dbraw/zinc/21/12/14/340211214.db2.gz JELIOJOXSSMGJK-MRXNPFEDSA-N 0 3 317.454 4.275 20 0 DIADHN CC[C@@H](C)N(C)Cc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000507188300 340211901 /nfs/dbraw/zinc/21/19/01/340211901.db2.gz PKVTXBVPMQRIAC-CZUORRHYSA-N 0 3 311.853 4.128 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)[C@@H](C)c3ccc(Cl)cc3)cc12 ZINC000507195400 340212577 /nfs/dbraw/zinc/21/25/77/340212577.db2.gz UUYLQTCOQUFNLU-LBPRGKRZSA-N 0 3 314.820 4.113 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)Cc2ccc([S@@](C)=O)cc2)c1 ZINC000507210924 340213629 /nfs/dbraw/zinc/21/36/29/340213629.db2.gz LDNQLEKPPCDGJQ-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)Cc2ccc(-n3cncn3)cc2)c1 ZINC000507212618 340213838 /nfs/dbraw/zinc/21/38/38/340213838.db2.gz ONNDKLGXIJYRHR-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN CSCc1ccc(CN[C@H]2CCc3c2cccc3F)cc1 ZINC000507217806 340214193 /nfs/dbraw/zinc/21/41/93/340214193.db2.gz YDPYEIXOQJDYBR-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN Cc1nccc(CN2CCC[C@H]2c2ccc(C(C)(C)C)cc2)n1 ZINC000507221686 340214344 /nfs/dbraw/zinc/21/43/44/340214344.db2.gz MWLDZXSPXJGDNV-IBGZPJMESA-N 0 3 309.457 4.420 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc(N3CCCC3)nc2)c(C)c1 ZINC000507236144 340215010 /nfs/dbraw/zinc/21/50/10/340215010.db2.gz WWGUNHZYIKZZEQ-SFHVURJKSA-N 0 3 323.484 4.492 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc([S@@](C)=O)cc2)c(C)c1 ZINC000507250785 340215676 /nfs/dbraw/zinc/21/56/76/340215676.db2.gz CUJXIEZYARHMFX-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)cc(Cl)c21)[C@@H]1CCCOC1 ZINC000507421717 340218976 /nfs/dbraw/zinc/21/89/76/340218976.db2.gz AFNBYMAOSOWQNI-JVLSTEMRSA-N 0 3 314.256 4.385 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1cccc(F)c1)c1ccco1 ZINC000507419717 340219023 /nfs/dbraw/zinc/21/90/23/340219023.db2.gz INIGOIPVLJXIHE-RHSMWYFYSA-N 0 3 305.393 4.107 20 0 DIADHN CCc1nocc1CN(CCc1ccc(F)cc1)C1CCCC1 ZINC000507432646 340219231 /nfs/dbraw/zinc/21/92/31/340219231.db2.gz JDPCOQIFJPCVRO-UHFFFAOYSA-N 0 3 316.420 4.363 20 0 DIADHN C[C@@H](NCCc1cc(F)c(F)c(F)c1)c1cc(F)cc(F)c1 ZINC000507429176 340219286 /nfs/dbraw/zinc/21/92/86/340219286.db2.gz NMFZUAPUJMRERG-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1ccc(F)cc1)c1ccco1 ZINC000507444798 340219969 /nfs/dbraw/zinc/21/99/69/340219969.db2.gz VBTBQCLCBYNNGJ-WMLDXEAASA-N 0 3 305.393 4.107 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H]1CCO[C@H](C(CC)CC)C1 ZINC000507447749 340220023 /nfs/dbraw/zinc/22/00/23/340220023.db2.gz XTPJYDNVAICJAL-WBAXXEDZSA-N 0 3 304.478 4.278 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccccc1F ZINC000507459392 340220527 /nfs/dbraw/zinc/22/05/27/340220527.db2.gz FVZSRUYLKLPZNQ-IQUTYRLHSA-N 0 3 316.420 4.210 20 0 DIADHN CCCCNC(=O)[C@@H](C)N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000507464617 340220825 /nfs/dbraw/zinc/22/08/25/340220825.db2.gz NYRPSFYQZQBTEF-LSDHHAIUSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000507469800 340221204 /nfs/dbraw/zinc/22/12/04/340221204.db2.gz LFLGZSMWZKSQHI-KBPBESRZSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C)[C@H]1Oc2ccccc2[C@@H]1C ZINC000507471112 340221300 /nfs/dbraw/zinc/22/13/00/340221300.db2.gz OGICHVAQSRRVNS-CJXDPKRBSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCOc1cccc(Cl)c1 ZINC000507473621 340221499 /nfs/dbraw/zinc/22/14/99/340221499.db2.gz VRICSPBDSBXUNZ-CYBMUJFWSA-N 0 3 304.821 4.027 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCCN(C)c1ccccc1 ZINC000507474314 340221629 /nfs/dbraw/zinc/22/16/29/340221629.db2.gz XJYJZGCYLWFRNR-KRWDZBQOSA-N 0 3 311.473 4.211 20 0 DIADHN CC(C)C[C@H](NCCc1cc(F)c(F)c(F)c1)c1ccccn1 ZINC000507480779 340221933 /nfs/dbraw/zinc/22/19/33/340221933.db2.gz PVOSXXITCAGWJE-KRWDZBQOSA-N 0 3 322.374 4.418 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC(C)(C)c1ccc(F)cc1 ZINC000507487940 340222352 /nfs/dbraw/zinc/22/23/52/340222352.db2.gz SNFAGVGHNVTXFX-CQSZACIVSA-N 0 3 300.421 4.412 20 0 DIADHN CCc1cccnc1[C@H](C)NCC(C)(C)c1cccc(F)c1 ZINC000507499537 340222861 /nfs/dbraw/zinc/22/28/61/340222861.db2.gz ZAJFEHYEHHHUTC-AWEZNQCLSA-N 0 3 300.421 4.412 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000507498145 340222905 /nfs/dbraw/zinc/22/29/05/340222905.db2.gz ULGGYYPYCDSEKW-ZAAXVRCTSA-N 0 3 310.441 4.072 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000507499792 340222950 /nfs/dbraw/zinc/22/29/50/340222950.db2.gz ZUIQZRHEKNQNGN-XLIONFOSSA-N 0 3 323.484 4.132 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](Nc1ccccc1)C(C)C ZINC000507511377 340223421 /nfs/dbraw/zinc/22/34/21/340223421.db2.gz NYDBORVUHIPZOH-QFBILLFUSA-N 0 3 311.473 4.431 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](C)C(=O)NC(C)(C)CC)cc1 ZINC000507512112 340223465 /nfs/dbraw/zinc/22/34/65/340223465.db2.gz SKFREDCHFJPPNN-KBPBESRZSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@H](Nc1ccccc1)C(C)C ZINC000507511376 340223512 /nfs/dbraw/zinc/22/35/12/340223512.db2.gz NYDBORVUHIPZOH-LPHOPBHVSA-N 0 3 311.473 4.431 20 0 DIADHN C[C@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@H]1CCCOC1 ZINC000507512184 340223524 /nfs/dbraw/zinc/22/35/24/340223524.db2.gz OXJANFPCQNANJN-RYUDHWBXSA-N 0 3 314.256 4.387 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)C(=O)NCCC(C)C)cc1 ZINC000507513298 340223673 /nfs/dbraw/zinc/22/36/73/340223673.db2.gz WQRJNRFVWYVLKD-CABCVRRESA-N 0 3 322.518 4.000 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(SCC(F)F)cc1)OC ZINC000516605715 340223708 /nfs/dbraw/zinc/22/37/08/340223708.db2.gz QWWAWLGCGFXBAP-AAEUAGOBSA-N 0 3 303.418 4.119 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1ncccc1CC)C1CCCC1 ZINC000507515959 340223749 /nfs/dbraw/zinc/22/37/49/340223749.db2.gz WOCJNFVZASTLQR-CRAIPNDOSA-N 0 3 304.478 4.280 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1cccc(F)c1)[C@@H]1CCCOC1 ZINC000507516472 340223787 /nfs/dbraw/zinc/22/37/87/340223787.db2.gz WHHORGUIUWYTAM-QKYXUNIQSA-N 0 3 313.416 4.320 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ncccc2CC)C12CCCC2 ZINC000507520295 340224061 /nfs/dbraw/zinc/22/40/61/340224061.db2.gz OXCKQKREXTWWOY-HYVNUMGLSA-N 0 3 302.462 4.032 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](C)Sc1ccccc1 ZINC000507533850 340224630 /nfs/dbraw/zinc/22/46/30/340224630.db2.gz UIPUNYAVRLSLHW-LSDHHAIUSA-N 0 3 300.471 4.475 20 0 DIADHN CCc1cccnc1[C@H](C)NCCOc1ccccc1C(C)C ZINC000507541232 340224805 /nfs/dbraw/zinc/22/48/05/340224805.db2.gz YUBNXBMARRFIFB-INIZCTEOSA-N 0 3 312.457 4.497 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1C1CC1)c1cc(Cl)ccc1Cl ZINC000300415286 340225434 /nfs/dbraw/zinc/22/54/34/340225434.db2.gz VCOMLDRWNBLLAT-AMFBXLIHSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1ccc(Cl)cc1Cl ZINC000300413432 340225458 /nfs/dbraw/zinc/22/54/58/340225458.db2.gz CGMLLBYFKVAMNV-LNHVQRHZSA-N 0 3 300.229 4.212 20 0 DIADHN C[C@@H](Cc1cnn(C)c1)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000507601670 340225879 /nfs/dbraw/zinc/22/58/79/340225879.db2.gz PDGGNJIESJWBTM-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](NCC[C@@H]1CCCCO1)c1ccccc1OC(F)(F)F ZINC000507625812 340226950 /nfs/dbraw/zinc/22/69/50/340226950.db2.gz QEHYLCVAZBWUIO-STQMWFEESA-N 0 3 317.351 4.195 20 0 DIADHN c1ccc(C(CN2CCO[C@@H]3CCC[C@@H]32)c2ccccc2)cc1 ZINC000507660940 340227472 /nfs/dbraw/zinc/22/74/72/340227472.db2.gz QNUKKZDHFXTTRR-LEWJYISDSA-N 0 3 307.437 4.072 20 0 DIADHN CC[C@@H](C)N(Cc1cc(C(=O)OC)c(C)o1)Cc1ccccc1 ZINC000507664516 340227612 /nfs/dbraw/zinc/22/76/12/340227612.db2.gz VXXZBDWOJQJPAE-CQSZACIVSA-N 0 3 315.413 4.175 20 0 DIADHN Fc1ccc(-n2cc(CN3CC[C@H](c4ccccc4)C3)cn2)cc1 ZINC000507666622 340227618 /nfs/dbraw/zinc/22/76/18/340227618.db2.gz PZLLFXRJXJZLQW-SFHVURJKSA-N 0 3 321.399 4.001 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC000507669817 340227814 /nfs/dbraw/zinc/22/78/14/340227814.db2.gz RHTWQXXYSUBPBL-SFHVURJKSA-N 0 3 318.420 4.406 20 0 DIADHN CCc1nocc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC000507716688 340229387 /nfs/dbraw/zinc/22/93/87/340229387.db2.gz IHCXTYYZFFDTHQ-OAHLLOKOSA-N 0 3 304.821 4.098 20 0 DIADHN COc1cccc([C@H](C)N2CCN([C@@H](C)c3ccccc3)CC2)c1 ZINC000507721065 340229406 /nfs/dbraw/zinc/22/94/06/340229406.db2.gz YOTLFNPZEUPQDO-ROUUACIJSA-N 0 3 324.468 4.135 20 0 DIADHN C[C@@H](c1ccc(OC(F)(F)F)cc1)N1CCS[C@H](C)C1 ZINC000507718520 340229489 /nfs/dbraw/zinc/22/94/89/340229489.db2.gz NSCWRLDTKPFGEN-MNOVXSKESA-N 0 3 305.365 4.084 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CN1CC[C@]2(CCOC2)C1 ZINC000507776376 340230984 /nfs/dbraw/zinc/23/09/84/340230984.db2.gz NWEJGXVAGJDOKZ-FQEVSTJZSA-N 0 3 311.400 4.105 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2N[C@H]1CCOC2(CCCCC2)C1 ZINC000507790535 340231499 /nfs/dbraw/zinc/23/14/99/340231499.db2.gz AUMDQNZKYVUDKP-SUMWQHHRSA-N 0 3 321.411 4.424 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCCc1ccc2ccccc2n1 ZINC000507796702 340231880 /nfs/dbraw/zinc/23/18/80/340231880.db2.gz XIZHQHHMFIPSDS-IBGZPJMESA-N 0 3 324.374 4.333 20 0 DIADHN Cc1cc(CN(C)[C@@H](CCO)c2ccccc2)ccc1Cl ZINC000507804155 340232201 /nfs/dbraw/zinc/23/22/01/340232201.db2.gz MMIXWPZVPIOUQW-SFHVURJKSA-N 0 3 303.833 4.204 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NC[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000507806587 340232231 /nfs/dbraw/zinc/23/22/31/340232231.db2.gz CLIPQTTYEJSICG-VVWQDTPRSA-N 0 3 311.375 4.128 20 0 DIADHN C[C@@H](O)C1CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000507811937 340232725 /nfs/dbraw/zinc/23/27/25/340232725.db2.gz FQSQBTSOBUHROQ-OAHLLOKOSA-N 0 3 313.416 4.086 20 0 DIADHN C[C@H](CN[C@@H]1CCc2c1c(F)ccc2F)Oc1ccccc1 ZINC000507831882 340233560 /nfs/dbraw/zinc/23/35/60/340233560.db2.gz UQMUDECJGNMHMG-SJKOYZFVSA-N 0 3 303.352 4.009 20 0 DIADHN Fc1ccc(F)c2c1CC[C@H]2NC[C@H]1CCOc2ccccc21 ZINC000507849549 340233969 /nfs/dbraw/zinc/23/39/69/340233969.db2.gz CPTLFSBZJDICSW-SJKOYZFVSA-N 0 3 315.363 4.108 20 0 DIADHN CC(C)(NC[C@@H]1CCCCO1)c1cccc(C(F)(F)F)c1 ZINC000507903902 340235652 /nfs/dbraw/zinc/23/56/52/340235652.db2.gz RNBBAMPELLDTHB-AWEZNQCLSA-N 0 3 301.352 4.099 20 0 DIADHN C[C@@H]1CCC[C@@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000507949396 340236342 /nfs/dbraw/zinc/23/63/42/340236342.db2.gz HASVLVLSQJZXEA-ZIAGYGMSSA-N 0 3 301.459 4.121 20 0 DIADHN C[C@H]1CCC[C@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000507949392 340236351 /nfs/dbraw/zinc/23/63/51/340236351.db2.gz HASVLVLSQJZXEA-KBPBESRZSA-N 0 3 301.459 4.121 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)c1cccc2ccccc21 ZINC000507956392 340236515 /nfs/dbraw/zinc/23/65/15/340236515.db2.gz NJVOEPSKBOOMLV-YVSFHVDLSA-N 0 3 324.468 4.278 20 0 DIADHN C[C@H](NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)c1cccc2ccccc21 ZINC000507956389 340236530 /nfs/dbraw/zinc/23/65/30/340236530.db2.gz NJVOEPSKBOOMLV-QAETUUGQSA-N 0 3 324.468 4.278 20 0 DIADHN CCCc1ccc([C@@H](NCc2c(C)nn(C)c2C)C(C)C)cc1 ZINC000508299083 340242173 /nfs/dbraw/zinc/24/21/73/340242173.db2.gz XGJIHBWSWMWBHU-FQEVSTJZSA-N 0 3 313.489 4.476 20 0 DIADHN COCCN(Cc1cccc2cccnc21)[C@H](C)c1ccccc1 ZINC000508516494 340245442 /nfs/dbraw/zinc/24/54/42/340245442.db2.gz OGVHHJLSRLFVHZ-QGZVFWFLSA-N 0 3 320.436 4.444 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1ccccc1OC(F)F ZINC000508527037 340245766 /nfs/dbraw/zinc/24/57/66/340245766.db2.gz FYYLJIPOKZSTHV-UHFFFAOYSA-N 0 3 309.331 4.102 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)Cc1cnn(CC(F)F)c1 ZINC000508535657 340245958 /nfs/dbraw/zinc/24/59/58/340245958.db2.gz VLKYWDPNMWMSFY-SFHVURJKSA-N 0 3 321.415 4.286 20 0 DIADHN COCCN(Cc1ccc2ncccc2c1)[C@@H](C)c1ccccc1 ZINC000508567424 340246660 /nfs/dbraw/zinc/24/66/60/340246660.db2.gz YGETXPQLMBCMPT-KRWDZBQOSA-N 0 3 320.436 4.444 20 0 DIADHN COCCN(Cc1ccc2ncccc2c1)[C@H](C)c1ccccc1 ZINC000508567427 340246696 /nfs/dbraw/zinc/24/66/96/340246696.db2.gz YGETXPQLMBCMPT-QGZVFWFLSA-N 0 3 320.436 4.444 20 0 DIADHN CCCN(Cc1ccc(OC)c(O)c1)[C@@H]1CCc2ccccc21 ZINC000508601510 340247538 /nfs/dbraw/zinc/24/75/38/340247538.db2.gz WSKILKYCLQLUDQ-GOSISDBHSA-N 0 3 311.425 4.300 20 0 DIADHN CCCN(Cc1ccc(OC)c(O)c1)[C@H]1CCc2ccccc21 ZINC000508601512 340247558 /nfs/dbraw/zinc/24/75/58/340247558.db2.gz WSKILKYCLQLUDQ-SFHVURJKSA-N 0 3 311.425 4.300 20 0 DIADHN CCCN(Cc1cc(C(N)=O)cs1)[C@@H](CC)c1ccccc1 ZINC000508601892 340247611 /nfs/dbraw/zinc/24/76/11/340247611.db2.gz BGGUJPZPPKTUIC-KRWDZBQOSA-N 0 3 316.470 4.210 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CCC[C@H]2CCCC[C@H]21 ZINC000508624773 340248617 /nfs/dbraw/zinc/24/86/17/340248617.db2.gz GDJPPUSGZIURHC-YLJYHZDGSA-N 0 3 310.441 4.420 20 0 DIADHN COc1ccc(CN2CCCSCC2)cc1OCCC(C)C ZINC000508632198 340248887 /nfs/dbraw/zinc/24/88/87/340248887.db2.gz UENKDLXRFVKKDY-UHFFFAOYSA-N 0 3 323.502 4.059 20 0 DIADHN Cc1ccc([C@@H](C)NC(=O)CNC(C)(C)c2ccccc2)cc1C ZINC000508640116 340249237 /nfs/dbraw/zinc/24/92/37/340249237.db2.gz OUKGJYSCPAKJAC-QGZVFWFLSA-N 0 3 324.468 4.006 20 0 DIADHN CC(C)OCCCN(C)Cc1ccc(Sc2ccccn2)o1 ZINC000508667862 340250108 /nfs/dbraw/zinc/25/01/08/340250108.db2.gz VLNUVYRUJIHTHQ-UHFFFAOYSA-N 0 3 320.458 4.073 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnc2n[nH]c(C)c2c1 ZINC000508673455 340250286 /nfs/dbraw/zinc/25/02/86/340250286.db2.gz WPDFTEMQVZFUII-SFHVURJKSA-N 0 3 308.429 4.240 20 0 DIADHN CO[C@H](C)CN(Cc1ccc(Sc2ccccn2)o1)C(C)C ZINC000508709039 340251221 /nfs/dbraw/zinc/25/12/21/340251221.db2.gz HBANDLXJTCZYDD-CQSZACIVSA-N 0 3 320.458 4.071 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1)NCc1cn2ccccc2n1 ZINC000508724321 340251723 /nfs/dbraw/zinc/25/17/23/340251723.db2.gz QHZWEOJDJPUOGJ-INIZCTEOSA-N 0 3 313.832 4.099 20 0 DIADHN CC[C@@H](CN[C@H](C)c1nc2ccccc2n1C)CC(F)(F)F ZINC000402670786 340253833 /nfs/dbraw/zinc/25/38/33/340253833.db2.gz OAAKBYSQAKTHFM-VXGBXAGGSA-N 0 3 313.367 4.203 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1CC[C@H](C2CC2)C1 ZINC000508860645 340254535 /nfs/dbraw/zinc/25/45/35/340254535.db2.gz QMXODKRASWSSIC-AWEZNQCLSA-N 0 3 300.427 4.058 20 0 DIADHN CCc1nocc1CN1CCC[C@]2(CCCc3ccccc32)C1 ZINC000508875469 340254969 /nfs/dbraw/zinc/25/49/69/340254969.db2.gz AARSCFPCIKIDST-HXUWFJFHSA-N 0 3 310.441 4.107 20 0 DIADHN Cc1nccc(CN2CCC[C@@H](CCCc3ccccc3)C2)n1 ZINC000508931363 340255875 /nfs/dbraw/zinc/25/58/75/340255875.db2.gz BZUBFUUDEHUDKM-LJQANCHMSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1nccc(CN2CCC[C@H](CCCc3ccccc3)C2)n1 ZINC000508931362 340255945 /nfs/dbraw/zinc/25/59/45/340255945.db2.gz BZUBFUUDEHUDKM-IBGZPJMESA-N 0 3 309.457 4.020 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCc1scnc1C)[C@H]2C ZINC000508962476 340256356 /nfs/dbraw/zinc/25/63/56/340256356.db2.gz JWSVIKIPFLTPMS-JSGCOSHPSA-N 0 3 316.470 4.010 20 0 DIADHN C[C@@H](F)CCN[C@@H](COCc1ccccc1)c1ccccc1 ZINC000508966759 340256484 /nfs/dbraw/zinc/25/64/84/340256484.db2.gz XNNBUBUYWOKOAI-APWZRJJASA-N 0 3 301.405 4.282 20 0 DIADHN C[C@H](F)CCN[C@H](COCc1ccccc1)c1ccccc1 ZINC000508966762 340256486 /nfs/dbraw/zinc/25/64/86/340256486.db2.gz XNNBUBUYWOKOAI-QFBILLFUSA-N 0 3 301.405 4.282 20 0 DIADHN C[C@@H](NCC[C@H](C)F)c1cccc(NC(=O)c2ccccc2)c1 ZINC000508964243 340256554 /nfs/dbraw/zinc/25/65/54/340256554.db2.gz JWKLDHIENHKJQF-LSDHHAIUSA-N 0 3 314.404 4.338 20 0 DIADHN C[C@@H]1CCCC[C@@H]1NCc1nc2cc(Br)ccc2o1 ZINC000508990934 340256835 /nfs/dbraw/zinc/25/68/35/340256835.db2.gz JKWKUDXBCROMPE-PWSUYJOCSA-N 0 3 323.234 4.259 20 0 DIADHN Cc1ncsc1CCN1CCCC[C@H]1c1ccc(F)cc1F ZINC000508991232 340257336 /nfs/dbraw/zinc/25/73/36/340257336.db2.gz LKTPBBFEGYYVQR-INIZCTEOSA-N 0 3 322.424 4.499 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1conc1Cc1ccccc1 ZINC000508993598 340257443 /nfs/dbraw/zinc/25/74/43/340257443.db2.gz ZDTYEOWOPNPGNW-OAHLLOKOSA-N 0 3 318.486 4.229 20 0 DIADHN CC[C@H](NCc1nc(-c2ccc(C)cc2)cs1)[C@@H]1CCCO1 ZINC000508993064 340257585 /nfs/dbraw/zinc/25/75/85/340257585.db2.gz WSAGURSUKJMQHY-RDJZCZTQSA-N 0 3 316.470 4.166 20 0 DIADHN CCOC1CC(CN[C@@H](C)c2cccc(Cl)c2Cl)C1 ZINC000508992921 340257597 /nfs/dbraw/zinc/25/75/97/340257597.db2.gz XCTCLAXTENUTIB-UNXYVOJBSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ncsc1CCN1CCc2cc(-c3ccccc3)oc2C1 ZINC000509006525 340257917 /nfs/dbraw/zinc/25/79/17/340257917.db2.gz KXTWCTCEQDBFBL-UHFFFAOYSA-N 0 3 324.449 4.312 20 0 DIADHN COc1ccc([C@H]2CCCN2CCOc2cccc(F)c2)cc1 ZINC000509055487 340259873 /nfs/dbraw/zinc/25/98/73/340259873.db2.gz VATRLQVSMREEOI-LJQANCHMSA-N 0 3 315.388 4.050 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1NCc1nc(-c2ccc(C)cc2)cs1 ZINC000509134415 340262123 /nfs/dbraw/zinc/26/21/23/340262123.db2.gz DOZZLDRFBYSFFQ-DOTOQJQBSA-N 0 3 316.470 4.166 20 0 DIADHN C[C@H]1CCCCN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509149483 340262408 /nfs/dbraw/zinc/26/24/08/340262408.db2.gz DGHVHGFPPPBSPN-NSHDSACASA-N 0 3 323.234 4.212 20 0 DIADHN CCCN(CCC)Cc1nc2cc(Br)ccc2o1 ZINC000509311785 340265923 /nfs/dbraw/zinc/26/59/23/340265923.db2.gz XSECKQDLZFJOQM-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN CC[C@@H]1CCCN(Cc2nc3cc(Br)ccc3o2)C1 ZINC000509295187 340265695 /nfs/dbraw/zinc/26/56/95/340265695.db2.gz FTUCTHBJTRALPD-LLVKDONJSA-N 0 3 323.234 4.212 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CCC1CCCC1)c1ccccc1 ZINC000301994516 340265921 /nfs/dbraw/zinc/26/59/21/340265921.db2.gz GFVXMNHCCLRZSP-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCS[C@@H]3COCC[C@H]32)cc1 ZINC000509354891 340267010 /nfs/dbraw/zinc/26/70/10/340267010.db2.gz BFMSVZYXBPJEQN-QZTJIDSGSA-N 0 3 319.514 4.081 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCS[C@H]3COCC[C@H]32)cc1 ZINC000509354890 340267055 /nfs/dbraw/zinc/26/70/55/340267055.db2.gz BFMSVZYXBPJEQN-MSOLQXFVSA-N 0 3 319.514 4.081 20 0 DIADHN CCC(C)(C)N(C)Cc1nc2cc(Br)ccc2o1 ZINC000509390155 340268170 /nfs/dbraw/zinc/26/81/70/340268170.db2.gz UCYHJTJRELTCOS-UHFFFAOYSA-N 0 3 311.223 4.211 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cc(Cl)cs1 ZINC000509417412 340268799 /nfs/dbraw/zinc/26/87/99/340268799.db2.gz QXXYWFKPCLUZCK-AWEZNQCLSA-N 0 3 311.809 4.326 20 0 DIADHN CCc1c(C)nc2ccccc2c1N[C@H](C)c1ccncc1F ZINC000509446363 340269872 /nfs/dbraw/zinc/26/98/72/340269872.db2.gz HWMHQAAAUUQMRJ-CYBMUJFWSA-N 0 3 309.388 4.235 20 0 DIADHN CCc1ccccc1CNCc1ccc(-c2cnn(C)c2)s1 ZINC000509459782 340270254 /nfs/dbraw/zinc/27/02/54/340270254.db2.gz QCQGSGCLAXRADA-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CC[C@H](NCc1ccc(-c2cnn(C)c2)s1)c1cccs1 ZINC000509477699 340270916 /nfs/dbraw/zinc/27/09/16/340270916.db2.gz SJNFTXJRGYRIKM-AWEZNQCLSA-N 0 3 317.483 4.451 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2OC1)c1cc(F)ccc1F ZINC000509526019 340272289 /nfs/dbraw/zinc/27/22/89/340272289.db2.gz POJNKJZOGITFPI-WFASDCNBSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc2OC1)c1cc(F)ccc1F ZINC000509526017 340272300 /nfs/dbraw/zinc/27/23/00/340272300.db2.gz POJNKJZOGITFPI-IUODEOHRSA-N 0 3 303.352 4.009 20 0 DIADHN CN(Cc1ccccc1C(F)(F)F)[C@@H](CCO)c1ccccc1 ZINC000509638986 340275219 /nfs/dbraw/zinc/27/52/19/340275219.db2.gz CXRAUBSIBCTISV-KRWDZBQOSA-N 0 3 323.358 4.261 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000509691766 340277126 /nfs/dbraw/zinc/27/71/26/340277126.db2.gz DQPIDOHQZFUZQS-QAQDUYKDSA-N 0 3 302.462 4.149 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC12CC3CC(CC(C3)C1)C2 ZINC000509773086 340278652 /nfs/dbraw/zinc/27/86/52/340278652.db2.gz NCDBMBSQOUAEGS-UHFFFAOYSA-N 0 3 308.425 4.400 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1cc(F)cc(F)c1 ZINC000509781612 340278964 /nfs/dbraw/zinc/27/89/64/340278964.db2.gz ITLRSEXKGKSTAS-AUWJEWJLSA-N 0 3 317.379 4.304 20 0 DIADHN Cc1ccc(NC(=O)c2oc3ccccc3c2CN(C)C)cc1C ZINC000509794771 340279216 /nfs/dbraw/zinc/27/92/16/340279216.db2.gz RJIPMCRHYNZBSG-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1ccccc1CN(Cc1cccs1)C[C@H]1CCCO1 ZINC000302736678 340280421 /nfs/dbraw/zinc/28/04/21/340280421.db2.gz IKJCTDRSWVKFOW-QGZVFWFLSA-N 0 3 301.455 4.238 20 0 DIADHN COc1ccc(C(=O)CCN2CCC[C@H]2c2cccs2)cc1 ZINC000509838688 340281304 /nfs/dbraw/zinc/28/13/04/340281304.db2.gz KANYMXVDNRUXGA-INIZCTEOSA-N 0 3 315.438 4.167 20 0 DIADHN CCOC1CC(CN(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)C1 ZINC000509850300 340281469 /nfs/dbraw/zinc/28/14/69/340281469.db2.gz FUUNIDZWRONGOM-YHDCKOJYSA-N 0 3 303.446 4.183 20 0 DIADHN CCOC1CC(CN2Cc3ccccc3-c3ccccc3C2)C1 ZINC000509909560 340282565 /nfs/dbraw/zinc/28/25/65/340282565.db2.gz KRHXBDUDIQPLBN-UHFFFAOYSA-N 0 3 307.437 4.484 20 0 DIADHN COCC[C@H](NCc1csc(-c2ccsc2)n1)C(C)(C)C ZINC000509964727 340284045 /nfs/dbraw/zinc/28/40/45/340284045.db2.gz XKZNSDOHYHSLSA-AWEZNQCLSA-N 0 3 324.515 4.412 20 0 DIADHN C[C@@H](N[C@@H]1CSC1(C)C)c1cc(Br)cs1 ZINC000308997129 340285354 /nfs/dbraw/zinc/28/53/54/340285354.db2.gz MVVMXCLAPIYEDK-GMSGAONNSA-N 0 3 306.294 4.055 20 0 DIADHN Clc1ccc(CCCN2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000510070546 340287320 /nfs/dbraw/zinc/28/73/20/340287320.db2.gz HPYPRBMOUDYJJZ-QGZVFWFLSA-N 0 3 303.837 4.223 20 0 DIADHN COc1ccc2cc(CNCCOCCC(C)C)ccc2c1 ZINC000302941813 340288841 /nfs/dbraw/zinc/28/88/41/340288841.db2.gz AWAWHYCTIOBLIH-UHFFFAOYSA-N 0 3 301.430 4.001 20 0 DIADHN CC(C)n1ccnc1CN1CCC[C@](C)(c2ccccc2)CC1 ZINC000510112055 340289147 /nfs/dbraw/zinc/28/91/47/340289147.db2.gz IEHIGBAWNSRDFZ-FQEVSTJZSA-N 0 3 311.473 4.408 20 0 DIADHN COc1cccc(C2(NCCSc3ccccc3F)CC2)c1 ZINC000510143339 340290426 /nfs/dbraw/zinc/29/04/26/340290426.db2.gz KANXZUZWXPJYBR-UHFFFAOYSA-N 0 3 317.429 4.205 20 0 DIADHN Cc1ccsc1CN1CCC(Nc2ccc(F)cc2)CC1 ZINC000510154705 340290955 /nfs/dbraw/zinc/29/09/55/340290955.db2.gz OHALADGIOJTIKR-UHFFFAOYSA-N 0 3 304.434 4.272 20 0 DIADHN CCC(C)(C)C1CCC(NCc2ncc(CN(C)C)s2)CC1 ZINC000510177407 340291729 /nfs/dbraw/zinc/29/17/29/340291729.db2.gz UKVPYPIDUPEZPS-UHFFFAOYSA-N 0 3 323.550 4.289 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1ccc(C)cn1 ZINC000510197281 340292298 /nfs/dbraw/zinc/29/22/98/340292298.db2.gz YMVOPUGTEXNPLS-APWZRJJASA-N 0 3 310.441 4.372 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000510224472 340293158 /nfs/dbraw/zinc/29/31/58/340293158.db2.gz UFSSUZYDHOJKMU-HNNXBMFYSA-N 0 3 312.457 4.137 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1cnccc1C ZINC000510237083 340293613 /nfs/dbraw/zinc/29/36/13/340293613.db2.gz FNDDQNYNUBSPTD-BEFAXECRSA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1ncccc1C ZINC000510247067 340293986 /nfs/dbraw/zinc/29/39/86/340293986.db2.gz ZJFJNCMTAIWQNW-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC(OCCc2ccccc2)CC1 ZINC000510352848 340296754 /nfs/dbraw/zinc/29/67/54/340296754.db2.gz FYHCCORHIQNNEG-GHXNOFRVSA-N 0 3 321.464 4.424 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC(OCCc2ccccc2)CC1 ZINC000510352849 340296769 /nfs/dbraw/zinc/29/67/69/340296769.db2.gz FYHCCORHIQNNEG-KPKJPENVSA-N 0 3 321.464 4.424 20 0 DIADHN Cc1ncsc1CN1CCC(Cc2cccc(F)c2)CC1 ZINC000510394847 340297939 /nfs/dbraw/zinc/29/79/39/340297939.db2.gz LQIZMVYBODEMAF-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510403227 340298162 /nfs/dbraw/zinc/29/81/62/340298162.db2.gz USWCQHFJMVMHNP-KBXCAEBGSA-N 0 3 309.388 4.183 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC[C@@H](n3ncc4ccccc43)C1)CC2 ZINC000510423960 340299027 /nfs/dbraw/zinc/29/90/27/340299027.db2.gz IGJQQUSLTSOCJC-YLJYHZDGSA-N 0 3 321.399 4.110 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@H](n3ncc4ccccc43)C2)c1 ZINC000510447869 340299754 /nfs/dbraw/zinc/29/97/54/340299754.db2.gz XTQOFWDZPQNLMV-YJBOKZPZSA-N 0 3 321.424 4.053 20 0 DIADHN CC(C)c1cccc(NC(=O)N[C@@H](C)CN2CCC[C@@H](C)C2)c1 ZINC000510485845 340300836 /nfs/dbraw/zinc/30/08/36/340300836.db2.gz RAKPLJZJRYGHIU-CVEARBPZSA-N 0 3 317.477 4.052 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CC1(C)CCCCC1 ZINC000510490751 340300916 /nfs/dbraw/zinc/30/09/16/340300916.db2.gz JKCMUZCJCCHCKF-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN CC(C)COc1ccc(CN2CCC(OCC(C)C)CC2)cc1 ZINC000510625264 340304246 /nfs/dbraw/zinc/30/42/46/340304246.db2.gz RZWSYRLGTVENDL-UHFFFAOYSA-N 0 3 319.489 4.358 20 0 DIADHN OCCCN(Cc1cccc(Cl)c1)[C@H]1CCc2ccccc21 ZINC000510646009 340304804 /nfs/dbraw/zinc/30/48/04/340304804.db2.gz LMUFJVMQCNJRHF-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN Cn1nccc1[C@H]1CCCN(Cc2ccc(C(C)(C)C)s2)C1 ZINC000510646021 340304835 /nfs/dbraw/zinc/30/48/35/340304835.db2.gz OHZBJJISLGGTSO-AWEZNQCLSA-N 0 3 317.502 4.159 20 0 DIADHN Cc1cccc([C@H](NC[C@@H](C)C[C@@H](C)O)c2cccc(F)c2)c1 ZINC000510669889 340305818 /nfs/dbraw/zinc/30/58/18/340305818.db2.gz LVAGILCMGSDNSP-YRNRMSPPSA-N 0 3 315.432 4.220 20 0 DIADHN CO[C@@H](C)CCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510688450 340306734 /nfs/dbraw/zinc/30/67/34/340306734.db2.gz ZIVWBWCUVCDZAN-KXBFYZLASA-N 0 3 301.405 4.238 20 0 DIADHN CO[C@H](C)CCN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510688447 340306801 /nfs/dbraw/zinc/30/68/01/340306801.db2.gz ZIVWBWCUVCDZAN-BEFAXECRSA-N 0 3 301.405 4.238 20 0 DIADHN COC[C@@H](C)CN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510702213 340307398 /nfs/dbraw/zinc/30/73/98/340307398.db2.gz JIQDIFAPUTVJAA-HNAYVOBHSA-N 0 3 301.405 4.096 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2CCN(C3CC3)C2)c2cccc(F)c2)c1 ZINC000510733951 340308641 /nfs/dbraw/zinc/30/86/41/340308641.db2.gz KZXYANLIECZZIY-TZIWHRDSSA-N 0 3 324.443 4.050 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)CCc2c(F)cccc2F)n1 ZINC000510739666 340308890 /nfs/dbraw/zinc/30/88/90/340308890.db2.gz XLOVYVIQVBMDSQ-PWSUYJOCSA-N 0 3 310.413 4.402 20 0 DIADHN CC[C@H](CCO)CN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510739652 340308894 /nfs/dbraw/zinc/30/88/94/340308894.db2.gz TYZBBGUJVQNQLU-OXQOHEQNSA-N 0 3 315.432 4.222 20 0 DIADHN CC[C@@H](CCO)CN[C@@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510739650 340308932 /nfs/dbraw/zinc/30/89/32/340308932.db2.gz TYZBBGUJVQNQLU-JXFKEZNVSA-N 0 3 315.432 4.222 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H](C)CCc2c(F)cccc2F)n1 ZINC000510739664 340308965 /nfs/dbraw/zinc/30/89/65/340308965.db2.gz XLOVYVIQVBMDSQ-CMPLNLGQSA-N 0 3 310.413 4.402 20 0 DIADHN CC1(C)CCC[C@H]1NCc1nc2cc(Br)ccc2o1 ZINC000510761406 340310144 /nfs/dbraw/zinc/31/01/44/340310144.db2.gz FHOGJFDKWGJMDY-CYBMUJFWSA-N 0 3 323.234 4.259 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000510772254 340310472 /nfs/dbraw/zinc/31/04/72/340310472.db2.gz FKHANVIKOSEDFX-PKOBYXMFSA-N 0 3 316.489 4.107 20 0 DIADHN CCCN1CCC[C@@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000510774484 340310535 /nfs/dbraw/zinc/31/05/35/340310535.db2.gz SUHCPENXZSRXLK-HNNXBMFYSA-N 0 3 305.466 4.172 20 0 DIADHN CCCNC(=O)CN[C@H](c1ccc([C@@H](C)CC)cc1)C(C)C ZINC000510789896 340311035 /nfs/dbraw/zinc/31/10/35/340311035.db2.gz CTICVNFBDYGSPL-KXBFYZLASA-N 0 3 304.478 4.013 20 0 DIADHN COCC[C@H](NCc1cscc1Br)C(C)(C)C ZINC000512389962 340360067 /nfs/dbraw/zinc/36/00/67/340360067.db2.gz WJHZWNODBLOUFS-LBPRGKRZSA-N 0 3 320.296 4.051 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NCC(=O)N2CCCC2)C(C)C)cc1 ZINC000510815872 340311847 /nfs/dbraw/zinc/31/18/47/340311847.db2.gz RVLXRWNHYAWAEF-OXJNMPFZSA-N 0 3 316.489 4.109 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](NCC(=O)N2CCCC2)C(C)C)cc1 ZINC000510815874 340311902 /nfs/dbraw/zinc/31/19/02/340311902.db2.gz RVLXRWNHYAWAEF-UZLBHIALSA-N 0 3 316.489 4.109 20 0 DIADHN COCCOCCN(C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000510859789 340313194 /nfs/dbraw/zinc/31/31/94/340313194.db2.gz NEPVCHXBEVFASR-KRWDZBQOSA-N 0 3 313.441 4.009 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)c1cccc(O)c1 ZINC000510886699 340313966 /nfs/dbraw/zinc/31/39/66/340313966.db2.gz HWXOLVNGBREPGT-UVQBRAAOSA-N 0 3 311.425 4.293 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000303227710 340314169 /nfs/dbraw/zinc/31/41/69/340314169.db2.gz XLIAJTFZQLMVJF-PYJQOMOHSA-N 0 3 307.393 4.266 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)N[C@@H](C)c1ccncc1F ZINC000510916939 340314698 /nfs/dbraw/zinc/31/46/98/340314698.db2.gz PLTFQRCOAYEXMY-NEPJUHHUSA-N 0 3 308.347 4.171 20 0 DIADHN C[C@@H](C(=O)N(C)Cc1ccc2ccccc2c1)N1CCCCCC1 ZINC000510925175 340315040 /nfs/dbraw/zinc/31/50/40/340315040.db2.gz OOVSHRZNVSMQGW-KRWDZBQOSA-N 0 3 324.468 4.063 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H]1CCc2c1cccc2O ZINC000510929385 340315138 /nfs/dbraw/zinc/31/51/38/340315138.db2.gz FVDWZJZQMHNDNW-LFDGNKEFSA-N 0 3 323.436 4.219 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@@H](C)N[C@H](CO)CC1CCCC1 ZINC000510927970 340315157 /nfs/dbraw/zinc/31/51/57/340315157.db2.gz KLZTXIQTZXNVAT-DOMZBBRYSA-N 0 3 324.534 4.341 20 0 DIADHN C[C@H]1C[C@@H](N[C@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000510929971 340315309 /nfs/dbraw/zinc/31/53/09/340315309.db2.gz PVDGHIBGZVIQPH-CORIIIEPSA-N 0 3 311.516 4.204 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)[C@H](C)N2CCCCCC2)c1 ZINC000510942507 340315738 /nfs/dbraw/zinc/31/57/38/340315738.db2.gz YWOOZWZCBXEFKC-ROUUACIJSA-N 0 3 316.489 4.087 20 0 DIADHN CCC[C@@H](NCC(=O)N(C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000510947729 340315951 /nfs/dbraw/zinc/31/59/51/340315951.db2.gz NSOMAMWPWPSBRM-YLJYHZDGSA-N 0 3 324.468 4.337 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](CO)CC1CCCC1 ZINC000510949661 340316064 /nfs/dbraw/zinc/31/60/64/340316064.db2.gz LGBIFXMUIQGPKC-WFASDCNBSA-N 0 3 310.507 4.167 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000510961427 340316268 /nfs/dbraw/zinc/31/62/68/340316268.db2.gz LRUPNHHKENYJAR-RBUKOAKNSA-N 0 3 311.400 4.407 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H]1CCC[C@H]1CCCF ZINC000510961544 340316363 /nfs/dbraw/zinc/31/63/63/340316363.db2.gz MIQGGBIWTGGYON-DZGCQCFKSA-N 0 3 305.393 4.449 20 0 DIADHN Cn1c(CN[C@@H]2CCC[C@@H]2CCCF)nc2cccc(Cl)c21 ZINC000510974394 340316752 /nfs/dbraw/zinc/31/67/52/340316752.db2.gz FOBPRHJHGDLPGJ-TZMCWYRMSA-N 0 3 323.843 4.235 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H](C)c1ccc(F)cc1 ZINC000510975288 340316796 /nfs/dbraw/zinc/31/67/96/340316796.db2.gz IYVCGYFPQZIZRL-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H](C)c1cccc(F)c1 ZINC000510977781 340316868 /nfs/dbraw/zinc/31/68/68/340316868.db2.gz JNJYPMNRCSFVCP-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H](CO)CC2CCCC2)cc1F ZINC000510996922 340317542 /nfs/dbraw/zinc/31/75/42/340317542.db2.gz HLOMVZZNVOCLGY-DOMZBBRYSA-N 0 3 311.466 4.139 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](CO)CC2CCCC2)cc1F ZINC000510996924 340317549 /nfs/dbraw/zinc/31/75/49/340317549.db2.gz HLOMVZZNVOCLGY-SWLSCSKDSA-N 0 3 311.466 4.139 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H]2CCc3cc(F)c(OC)cc32)c1 ZINC000511016719 340318248 /nfs/dbraw/zinc/31/82/48/340318248.db2.gz XKMBELXIKSSLRB-KZULUSFZSA-N 0 3 315.388 4.181 20 0 DIADHN COCC[C@H](N[C@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000511017810 340318251 /nfs/dbraw/zinc/31/82/51/340318251.db2.gz SCXOSHIRXLGUNW-DOMZBBRYSA-N 0 3 310.507 4.124 20 0 DIADHN CCCCc1ccc([C@H](C)N[C@H]2CCCc3nn(C)cc32)cc1 ZINC000511019400 340318407 /nfs/dbraw/zinc/31/84/07/340318407.db2.gz VTIGBKKJLOYKRD-KXBFYZLASA-N 0 3 311.473 4.491 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H]2CCOC2)c2cccc(F)c2)c1 ZINC000511018817 340318432 /nfs/dbraw/zinc/31/84/32/340318432.db2.gz UMLQXWUVVWTKSR-UZLBHIALSA-N 0 3 313.416 4.240 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCc2c1cccc2F ZINC000511053909 340319689 /nfs/dbraw/zinc/31/96/89/340319689.db2.gz HNLLLNUNIYMRGU-ROUUACIJSA-N 0 3 315.363 4.238 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2CC=C(c3ccnn3C)CC2)cc1 ZINC000511092540 340320394 /nfs/dbraw/zinc/32/03/94/340320394.db2.gz ARIRNLYNDCGVSS-MRXNPFEDSA-N 0 3 309.457 4.394 20 0 DIADHN Fc1ccccc1[C@H](F)C1CCN(C[C@@H]2CCCCO2)CC1 ZINC000511094160 340320669 /nfs/dbraw/zinc/32/06/69/340320669.db2.gz XNKZHMWNVNUIIV-MAUKXSAKSA-N 0 3 309.400 4.117 20 0 DIADHN CC(C)SCCN(C)Cc1ccc(OCC(F)(F)F)cc1 ZINC000511106319 340320834 /nfs/dbraw/zinc/32/08/34/340320834.db2.gz GXBLSBIZFRXXMP-UHFFFAOYSA-N 0 3 321.408 4.201 20 0 DIADHN Fc1ccccc1[C@@H](F)C1CCN(CCc2ccccn2)CC1 ZINC000511108934 340321030 /nfs/dbraw/zinc/32/10/30/340321030.db2.gz RCUZZXZIIWNEEW-IBGZPJMESA-N 0 3 316.395 4.186 20 0 DIADHN Cc1cncc(CN2CCC([C@H](F)c3ccccc3F)CC2)c1 ZINC000511109018 340321214 /nfs/dbraw/zinc/32/12/14/340321214.db2.gz SVMXRYFKJDDNBW-IBGZPJMESA-N 0 3 316.395 4.452 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@H](c2ccnn2C)C1 ZINC000511132264 340321942 /nfs/dbraw/zinc/32/19/42/340321942.db2.gz RBYXTVZDEAENEM-KGLIPLIRSA-N 0 3 303.837 4.014 20 0 DIADHN CCOC[C@H]1CCCN(Cc2ccc(SC(C)C)cc2)C1 ZINC000511133772 340322125 /nfs/dbraw/zinc/32/21/25/340322125.db2.gz DFIONVOFKIIRRP-KRWDZBQOSA-N 0 3 307.503 4.436 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000511160912 340322904 /nfs/dbraw/zinc/32/29/04/340322904.db2.gz LAULODUGJOYYFM-OALUTQOASA-N 0 3 320.521 4.070 20 0 DIADHN CSc1ccccc1CN[C@@H](C)c1ccccc1-n1cccn1 ZINC000511181779 340323364 /nfs/dbraw/zinc/32/33/64/340323364.db2.gz IKARFMGWHSXKMJ-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN COCC1(C)CCN(Cc2ccc(SC(C)C)cc2)CC1 ZINC000511190053 340323531 /nfs/dbraw/zinc/32/35/31/340323531.db2.gz WFUKUTPQSHKQDS-UHFFFAOYSA-N 0 3 307.503 4.436 20 0 DIADHN CSc1ccccc1CN[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000511191099 340323541 /nfs/dbraw/zinc/32/35/41/340323541.db2.gz YYLMBOKWRQOWHY-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@H](CC(=O)Nc1ccc(CN(C)C)cc1)C1CCCCC1 ZINC000511323998 340325288 /nfs/dbraw/zinc/32/52/88/340325288.db2.gz PMZUGFZPMSTXEZ-OAHLLOKOSA-N 0 3 302.462 4.293 20 0 DIADHN CCSCC[C@@H](C)NCc1ccc(Sc2ccccn2)o1 ZINC000511362648 340326080 /nfs/dbraw/zinc/32/60/80/340326080.db2.gz UXYPNMGHBYAEJL-CYBMUJFWSA-N 0 3 322.499 4.447 20 0 DIADHN CCc1ncc(CN2CCC[C@H]2Cc2ccc(F)cc2)s1 ZINC000511527939 340329454 /nfs/dbraw/zinc/32/94/54/340329454.db2.gz YADXKGSWEMXABW-HNNXBMFYSA-N 0 3 304.434 4.052 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)C3Cc4ccccc4C3)s2)o1 ZINC000511666342 340334722 /nfs/dbraw/zinc/33/47/22/340334722.db2.gz MCNJOSDHZMRMTB-UHFFFAOYSA-N 0 3 324.449 4.311 20 0 DIADHN CC(C)OCCN[C@@H](C)c1ccc(OCc2ccccc2)cc1 ZINC000303589464 340335939 /nfs/dbraw/zinc/33/59/39/340335939.db2.gz KIUXPFODJYZYOZ-KRWDZBQOSA-N 0 3 313.441 4.341 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N[C@@H](CN(C)C)c1ccccc1 ZINC000303601186 340337014 /nfs/dbraw/zinc/33/70/14/340337014.db2.gz XHNFPTIFMFGALB-LPHOPBHVSA-N 0 3 316.489 4.012 20 0 DIADHN CCCN(Cc1cn(C)nc1C)[C@H](C)c1cc2ccccc2o1 ZINC000511748547 340337047 /nfs/dbraw/zinc/33/70/47/340337047.db2.gz PQLKHAYJMZQVEG-OAHLLOKOSA-N 0 3 311.429 4.448 20 0 DIADHN CCO[C@@H](CNC/C=C\c1ccc(F)c(F)c1)c1ccccc1 ZINC000511759707 340337493 /nfs/dbraw/zinc/33/74/93/340337493.db2.gz FSCNIXCIUAHZSI-RURPWVQCSA-N 0 3 317.379 4.345 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ncc(CN(C)C)s2)CC(C)(C)C1 ZINC000511772610 340337743 /nfs/dbraw/zinc/33/77/43/340337743.db2.gz MTPMPWKDFUZQMV-LSDHHAIUSA-N 0 3 323.550 4.147 20 0 DIADHN CC(C)n1ccc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)n1 ZINC000511838491 340339848 /nfs/dbraw/zinc/33/98/48/340339848.db2.gz OSBADHUIMGFTMH-XUVXKRRUSA-N 0 3 309.457 4.232 20 0 DIADHN c1ccc([C@@H]2CN(Cc3nc4ccccc4[nH]3)[C@H]3CCC[C@@H]23)cc1 ZINC000511844782 340340098 /nfs/dbraw/zinc/34/00/98/340340098.db2.gz STVUNMISLKCQEP-ZWOKBUDYSA-N 0 3 317.436 4.331 20 0 DIADHN CC(C)n1ccnc1CN1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 ZINC000511871974 340341182 /nfs/dbraw/zinc/34/11/82/340341182.db2.gz KNYIXORZYYKXNU-IPMKNSEASA-N 0 3 309.457 4.232 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC[C@H]4CCC[C@@H]43)s2)o1 ZINC000511886987 340341729 /nfs/dbraw/zinc/34/17/29/340341729.db2.gz FSECOVQZDWECEI-HIFRSBDPSA-N 0 3 302.443 4.476 20 0 DIADHN CCCCn1cc(CNC/C=C\c2ccc(F)c(F)c2)c(C)n1 ZINC000511923580 340342843 /nfs/dbraw/zinc/34/28/43/340342843.db2.gz QFDBGZBFMIJYKH-WAYWQWQTSA-N 0 3 319.399 4.073 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccc2occc2c1 ZINC000511962429 340343916 /nfs/dbraw/zinc/34/39/16/340343916.db2.gz XLAKPLABVMJLCK-BFUOFWGJSA-N 0 3 313.372 4.438 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCOc3c(F)cccc32)cc1F ZINC000511973874 340344276 /nfs/dbraw/zinc/34/42/76/340344276.db2.gz RUBYZAVLKJFWLQ-RCAFFNEUSA-N 0 3 319.326 4.231 20 0 DIADHN COC[C@@H](NC/C=C\c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512021875 340345695 /nfs/dbraw/zinc/34/56/95/340345695.db2.gz DZMILYZVRSJQKD-LHRDXKRPSA-N 0 3 321.342 4.094 20 0 DIADHN COc1cccc2c1CC[C@H]2NC/C=C/c1ccc(F)c(F)c1 ZINC000512023620 340345725 /nfs/dbraw/zinc/34/57/25/340345725.db2.gz LEDUQYGOFTUFQI-OAJJDEHYSA-N 0 3 315.363 4.264 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CSc3ccccc32)cc1F ZINC000512036145 340346102 /nfs/dbraw/zinc/34/61/02/340346102.db2.gz DXIHHJZOJHXHOZ-QDLOVBKTSA-N 0 3 303.377 4.415 20 0 DIADHN Cc1cnn(C)c1CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC000512067861 340347458 /nfs/dbraw/zinc/34/74/58/340347458.db2.gz OCDMAQKAGAPPBH-MRXNPFEDSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1cnn(C)c1CN[C@@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC000512085361 340348015 /nfs/dbraw/zinc/34/80/15/340348015.db2.gz VEBKPIPGDDZGIM-FQEVSTJZSA-N 0 3 311.473 4.168 20 0 DIADHN CN(C)Cc1cccc(NC/C=C/c2ccc(F)c(F)c2)c1 ZINC000512130054 340349401 /nfs/dbraw/zinc/34/94/01/340349401.db2.gz MXQGYAUCSNIQSN-GQCTYLIASA-N 0 3 302.368 4.152 20 0 DIADHN Cc1c(Cl)c(CN[C@@H]2CSC[C@@H]2C)nc2ccccc12 ZINC000512165846 340350517 /nfs/dbraw/zinc/35/05/17/340350517.db2.gz IAKFQIQCORXPCW-ZUZCIYMTSA-N 0 3 306.862 4.038 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000512182873 340351284 /nfs/dbraw/zinc/35/12/84/340351284.db2.gz TVSGLGLKQSLLBS-QMTHXVAHSA-N 0 3 324.902 4.305 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N2CCC[C@]3(CC=CCC3)C2)c1 ZINC000512190729 340351665 /nfs/dbraw/zinc/35/16/65/340351665.db2.gz AMJXRLLMXISHPM-FXAWDEMLSA-N 0 3 312.457 4.144 20 0 DIADHN C[C@H]1CC[C@@H](c2ccccc2)N(CCOCC(F)(F)F)C1 ZINC000512199535 340352001 /nfs/dbraw/zinc/35/20/01/340352001.db2.gz XMPUWMCHUGBSHD-ZFWWWQNUSA-N 0 3 301.352 4.039 20 0 DIADHN CSc1cc(CNC2CC(c3ccccc3Cl)C2)ccn1 ZINC000512205126 340352303 /nfs/dbraw/zinc/35/23/03/340352303.db2.gz XTIYAQFUTGGFNG-UHFFFAOYSA-N 0 3 318.873 4.493 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CCNCc1ccc(Br)cn1 ZINC000512223723 340353022 /nfs/dbraw/zinc/35/30/22/340353022.db2.gz FIJHFTSVZWKKOQ-CHWSQXEVSA-N 0 3 311.267 4.150 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@@H]1CCCC[C@H]1C ZINC000512228937 340353114 /nfs/dbraw/zinc/35/31/14/340353114.db2.gz MZFNNXFNWVSTHQ-CABCVRRESA-N 0 3 321.461 4.018 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@H]1CCCC[C@H]1C ZINC000512228939 340353229 /nfs/dbraw/zinc/35/32/29/340353229.db2.gz MZFNNXFNWVSTHQ-HUUCEWRRSA-N 0 3 321.461 4.018 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC000512271242 340354846 /nfs/dbraw/zinc/35/48/46/340354846.db2.gz PDUWCLYKJSPJIB-OAHLLOKOSA-N 0 3 310.507 4.072 20 0 DIADHN COC[C@H](CN[C@H](C)c1ccc(SC2CCCC2)cc1)OC ZINC000512293918 340355925 /nfs/dbraw/zinc/35/59/25/340355925.db2.gz GWRSPXJPXFBPFM-ZBFHGGJFSA-N 0 3 323.502 4.033 20 0 DIADHN COc1ccc([C@H](NCC2CCC2)c2ccccc2OC)cc1 ZINC000512333430 340357639 /nfs/dbraw/zinc/35/76/39/340357639.db2.gz ZQLXQVKVZWDFBO-FQEVSTJZSA-N 0 3 311.425 4.183 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(Cl)s3)C2)cc1 ZINC000512338988 340357897 /nfs/dbraw/zinc/35/78/97/340357897.db2.gz PFGAXGWXMSNPCA-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN COc1cccc(OC)c1CN[C@H]1C[C@H](c2ccccc2C)C1 ZINC000512341507 340357948 /nfs/dbraw/zinc/35/79/48/340357948.db2.gz FEHJPPONABPPCY-WKILWMFISA-N 0 3 311.425 4.048 20 0 DIADHN CCc1nocc1CN1CC[C@@H](c2cccc(C(F)(F)F)c2)C1 ZINC000512403570 340360750 /nfs/dbraw/zinc/36/07/50/340360750.db2.gz MHMCMSWKHBWXFJ-CYBMUJFWSA-N 0 3 324.346 4.245 20 0 DIADHN CCN(C(=O)C(C)C(F)(F)F)c1ccc(C(F)(F)F)cc1 ZINC000512526722 340365579 /nfs/dbraw/zinc/36/55/79/340365579.db2.gz ZRUFCJBCHRHTLF-MRVPVSSYSA-N 0 3 313.241 4.257 20 0 DIADHN C[C@@H](Cc1cccs1)NCc1cscc1Br ZINC000304536234 340366119 /nfs/dbraw/zinc/36/61/19/340366119.db2.gz HWAWNGCOCCBPJP-VIFPVBQESA-N 0 3 316.289 4.293 20 0 DIADHN COCCCOc1ccc(CNCc2ccc(C)c(C)c2)cc1 ZINC000512548168 340366422 /nfs/dbraw/zinc/36/64/22/340366422.db2.gz YIAMBXFYBCJVTP-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN CCCCOc1ccccc1CNCCCc1cccc(C)n1 ZINC000512552417 340366634 /nfs/dbraw/zinc/36/66/34/340366634.db2.gz NVSCMXDVIOAWIR-UHFFFAOYSA-N 0 3 312.457 4.291 20 0 DIADHN C[C@@H](NCCn1ccc2cc(Cl)ccc21)c1cncc(F)c1 ZINC000512583930 340367884 /nfs/dbraw/zinc/36/78/84/340367884.db2.gz VROVVRGUOUZMRB-GFCCVEGCSA-N 0 3 317.795 4.180 20 0 DIADHN COc1sc(CN(C)CC2CCCC2)cc1Br ZINC000512679718 340372818 /nfs/dbraw/zinc/37/28/18/340372818.db2.gz ZNZPEIQPTMNXIL-UHFFFAOYSA-N 0 3 318.280 4.141 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](CO)c2ccccc2)c2ccccc12 ZINC000305152183 340377086 /nfs/dbraw/zinc/37/70/86/340377086.db2.gz ULULTVWZRGZTPK-FOIQADDNSA-N 0 3 321.420 4.233 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CO)c2ccccc2)c2ccccc12 ZINC000305152185 340377138 /nfs/dbraw/zinc/37/71/38/340377138.db2.gz ULULTVWZRGZTPK-MGPUTAFESA-N 0 3 321.420 4.233 20 0 DIADHN FC(F)OC[C@H]1CCN(Cc2ccc(-c3ccccc3)cc2)C1 ZINC000512812542 340378627 /nfs/dbraw/zinc/37/86/27/340378627.db2.gz VTKXRGYSVMSMLJ-INIZCTEOSA-N 0 3 317.379 4.415 20 0 DIADHN CCOC1CC2(C1)CCN([C@@H](CC)c1ccc(F)cc1F)C2 ZINC000512817582 340379060 /nfs/dbraw/zinc/37/90/60/340379060.db2.gz MAPJLOYQWVYJLF-GMXGEUMGSA-N 0 3 309.400 4.307 20 0 DIADHN COC[C@H](C)N[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000174069107 340381293 /nfs/dbraw/zinc/38/12/93/340381293.db2.gz PFLQVKUWUPYQSP-QWHCGFSZSA-N 0 3 304.459 4.112 20 0 DIADHN COC[C@@H](C)N[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000174069137 340381317 /nfs/dbraw/zinc/38/13/17/340381317.db2.gz PFLQVKUWUPYQSP-CHWSQXEVSA-N 0 3 304.459 4.112 20 0 DIADHN C[C@@H]1CSC[C@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC000512866324 340381572 /nfs/dbraw/zinc/38/15/72/340381572.db2.gz ZBHVOHSOVLDROD-QMTHXVAHSA-N 0 3 309.381 4.066 20 0 DIADHN Cc1cc(Br)ccc1CNCC1(C(F)(F)F)CC1 ZINC000512868776 340381675 /nfs/dbraw/zinc/38/16/75/340381675.db2.gz IHMVTWVBZXTJNI-UHFFFAOYSA-N 0 3 322.168 4.190 20 0 DIADHN Cn1cccc1CN[C@@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000512890777 340382673 /nfs/dbraw/zinc/38/26/73/340382673.db2.gz MLSXFGBXWWEAGC-MRXNPFEDSA-N 0 3 324.346 4.165 20 0 DIADHN C[C@H]1COCC[C@@H]1CNCc1cc(C(F)(F)F)ccc1Cl ZINC000512888647 340382773 /nfs/dbraw/zinc/38/27/73/340382773.db2.gz BJFVZTPMVMINHO-WDEREUQCSA-N 0 3 321.770 4.121 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCC1(C(F)(F)F)CC1 ZINC000512913778 340383756 /nfs/dbraw/zinc/38/37/56/340383756.db2.gz TXTBOONPIZTOGI-UHFFFAOYSA-N 0 3 311.269 4.446 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CNCC2(C(F)(F)F)CCC2)c1 ZINC000512972601 340386303 /nfs/dbraw/zinc/38/63/03/340386303.db2.gz UZVXDYCISLNBDO-UHFFFAOYSA-N 0 3 323.362 4.207 20 0 DIADHN CSCc1ccc(CNCc2cc(-c3ccccc3)n[nH]2)cc1 ZINC000512971703 340386363 /nfs/dbraw/zinc/38/63/63/340386363.db2.gz KJQOJDLLAYIUBZ-UHFFFAOYSA-N 0 3 323.465 4.230 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2nc3ccccc3nc2C)C1 ZINC000174351835 340386511 /nfs/dbraw/zinc/38/65/11/340386511.db2.gz NHSBIUAYMAQKAV-LSDHHAIUSA-N 0 3 315.486 4.044 20 0 DIADHN C[C@@H](NCc1cc2c(cccc2F)[nH]1)c1ccc2n[nH]cc2c1 ZINC000512983899 340386868 /nfs/dbraw/zinc/38/68/68/340386868.db2.gz YMIIGHOQHXSBII-LLVKDONJSA-N 0 3 308.360 4.034 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CNCCc2ccco2)c1 ZINC000512984656 340386887 /nfs/dbraw/zinc/38/68/87/340386887.db2.gz ILUNPFLYNGKBCQ-UHFFFAOYSA-N 0 3 303.711 4.284 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNC[C@@H](c1ccco1)N(C)C ZINC000512997712 340387539 /nfs/dbraw/zinc/38/75/39/340387539.db2.gz JOIGBTLKJNJNLC-WKOYGUFESA-N 0 3 318.848 4.229 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC[C@@H](O)C(C)(C)C1 ZINC000513008005 340388114 /nfs/dbraw/zinc/38/81/14/340388114.db2.gz ZYDBZNFGHZQJJL-OAHLLOKOSA-N 0 3 323.889 4.456 20 0 DIADHN Fc1ccc(/C=C/CNCC2(C(F)(F)F)CCC2)c(F)c1 ZINC000513017833 340388849 /nfs/dbraw/zinc/38/88/49/340388849.db2.gz TZRXYQIWKVVZRT-HNQUOIGGSA-N 0 3 305.290 4.300 20 0 DIADHN C[C@@H](NCc1ccc(OC(F)F)cc1)c1ccc2n[nH]cc2c1 ZINC000513021605 340388949 /nfs/dbraw/zinc/38/89/49/340388949.db2.gz GVYNQTWSGJNAGX-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccc3n[nH]cc3c2)cc1 ZINC000513022061 340388994 /nfs/dbraw/zinc/38/89/94/340388994.db2.gz OFBNZNSBDWAYNJ-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN C[C@@H](NCc1cccc(C(F)(F)F)c1)c1ccc2n[nH]cc2c1 ZINC000513027683 340389266 /nfs/dbraw/zinc/38/92/66/340389266.db2.gz NTFJMYKRWNFILB-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN COc1cc(CNCC2(C(F)(F)F)CCC2)ccc1SC ZINC000513028115 340389312 /nfs/dbraw/zinc/38/93/12/340389312.db2.gz VFAWNHKNDAUZAM-UHFFFAOYSA-N 0 3 319.392 4.239 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)c1 ZINC000513032242 340389636 /nfs/dbraw/zinc/38/96/36/340389636.db2.gz JXTZVCRRFGTPNW-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN FC(F)(F)C1(CNCc2cc(Cl)cc3c2OCC3)CCC1 ZINC000513035363 340389952 /nfs/dbraw/zinc/38/99/52/340389952.db2.gz HDKQZEBYVBDSBA-UHFFFAOYSA-N 0 3 319.754 4.097 20 0 DIADHN COc1ccc(CNCC2(C(F)(F)F)CCC2)cc1Cl ZINC000513036935 340390084 /nfs/dbraw/zinc/39/00/84/340390084.db2.gz PBEUMTCGHIZCAW-UHFFFAOYSA-N 0 3 307.743 4.171 20 0 DIADHN C[C@H]1CC[C@H]1NCc1cc(Cl)ccc1OCc1cccnc1 ZINC000513046996 340390693 /nfs/dbraw/zinc/39/06/93/340390693.db2.gz OPFKGFAERWTLHE-SUMWQHHRSA-N 0 3 316.832 4.202 20 0 DIADHN CCc1ccc(CN[C@@H]2CC[C@H](c3ccccc3)C[C@@H]2OC)cn1 ZINC000513089184 340392910 /nfs/dbraw/zinc/39/29/10/340392910.db2.gz MINWGVCVOZYBTO-TYPHKJRUSA-N 0 3 324.468 4.085 20 0 DIADHN C[C@H](NC[C@H]1CCCSC1)c1ccccc1Br ZINC000306090380 340393074 /nfs/dbraw/zinc/39/30/74/340393074.db2.gz JEQFZWPHBBPMPU-NWDGAFQWSA-N 0 3 314.292 4.243 20 0 DIADHN CCC1(C)CCN(Cc2cnc(-c3ccccn3)s2)CC1 ZINC000513100744 340393426 /nfs/dbraw/zinc/39/34/26/340393426.db2.gz MGCMAGLOCRJNDO-UHFFFAOYSA-N 0 3 301.459 4.217 20 0 DIADHN CCOc1ccc(CNCc2ccc3[nH]c(C)cc3c2)cc1F ZINC000513106898 340393555 /nfs/dbraw/zinc/39/35/55/340393555.db2.gz RPGXVURYBFTDLX-UHFFFAOYSA-N 0 3 312.388 4.304 20 0 DIADHN CC[C@@H](N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1)C(C)C ZINC000513126996 340394340 /nfs/dbraw/zinc/39/43/40/340394340.db2.gz BCKLFIOJQRWHAP-SWLSCSKDSA-N 0 3 305.397 4.269 20 0 DIADHN CC[C@@H](N[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1)C(C)C ZINC000513127247 340394396 /nfs/dbraw/zinc/39/43/96/340394396.db2.gz FOXCUGLEDJICQT-IUODEOHRSA-N 0 3 305.397 4.269 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2cccc3c2OCCO3)s1 ZINC000513128498 340394477 /nfs/dbraw/zinc/39/44/77/340394477.db2.gz RHLGKWHDRFMHMU-INIZCTEOSA-N 0 3 315.438 4.165 20 0 DIADHN c1cnn([C@H]2CCCN(Cc3cc(-c4ccccc4)cs3)C2)c1 ZINC000513150849 340395186 /nfs/dbraw/zinc/39/51/86/340395186.db2.gz SJXILZKSEVNGHU-SFHVURJKSA-N 0 3 323.465 4.449 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCC(C)(C)C)c2ccccc2)cc1 ZINC000513209648 340397401 /nfs/dbraw/zinc/39/74/01/340397401.db2.gz KOMMZUUZBHQJPM-GOSISDBHSA-N 0 3 310.441 4.311 20 0 DIADHN CC(C)(C)CN[C@@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000513209778 340397425 /nfs/dbraw/zinc/39/74/25/340397425.db2.gz KXUGDNSRKFYULV-QGZVFWFLSA-N 0 3 314.404 4.141 20 0 DIADHN CC(C)(C)CN[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000513209777 340397427 /nfs/dbraw/zinc/39/74/27/340397427.db2.gz KXUGDNSRKFYULV-KRWDZBQOSA-N 0 3 314.404 4.141 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCS[C@@H](C)CC1 ZINC000513236600 340398742 /nfs/dbraw/zinc/39/87/42/340398742.db2.gz MUEFMUMVJSJWHE-STTHAQSSSA-N 0 3 305.487 4.064 20 0 DIADHN Cc1cccc2nc(CN[C@@H]3CCC[C@H]3c3ccccc3)cn21 ZINC000513237113 340398812 /nfs/dbraw/zinc/39/88/12/340398812.db2.gz UJQQRZZGCRSQGF-RBUKOAKNSA-N 0 3 305.425 4.069 20 0 DIADHN C[C@H](NCCCc1ccc(Cl)cc1)c1c(F)cncc1F ZINC000513268738 340400175 /nfs/dbraw/zinc/40/01/75/340400175.db2.gz YHSFNBLFBRMPLN-NSHDSACASA-N 0 3 310.775 4.297 20 0 DIADHN Cc1sc2nc(C)nc(SCCN3CCCCC3)c2c1C ZINC000513368627 340403907 /nfs/dbraw/zinc/40/39/07/340403907.db2.gz XYGCKUMXDBHJSC-UHFFFAOYSA-N 0 3 321.515 4.195 20 0 DIADHN Cc1cccc(C)c1OCCNCc1ccc(OC(F)F)cc1 ZINC000513589003 340414274 /nfs/dbraw/zinc/41/42/74/340414274.db2.gz RLMZOQQJXVKAPX-UHFFFAOYSA-N 0 3 321.367 4.073 20 0 DIADHN Cc1ccccc1OCCN[C@@H](c1ncc[nH]1)C1CCCCC1 ZINC000513656821 340417474 /nfs/dbraw/zinc/41/74/74/340417474.db2.gz XTIMTGNMEPOETO-GOSISDBHSA-N 0 3 313.445 4.008 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@@H]1CCC(C)(C)C1)c1ccsc1 ZINC000513730894 340422169 /nfs/dbraw/zinc/42/21/69/340422169.db2.gz PIZLWENFHRBIBU-ZBFHGGJFSA-N 0 3 322.518 4.074 20 0 DIADHN COc1cccc(COCCN[C@H](C)c2cccc(Cl)c2)c1 ZINC000513860461 340429030 /nfs/dbraw/zinc/42/90/30/340429030.db2.gz YEYUBSUVIRIACY-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccccc1-n1cccn1 ZINC000513867175 340429568 /nfs/dbraw/zinc/42/95/68/340429568.db2.gz BWAOPPUKGYBJFU-LIRRHRJNSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCCc1cccs1 ZINC000177318848 340439271 /nfs/dbraw/zinc/43/92/71/340439271.db2.gz FYNLUSQXSZNTMA-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN COc1cccc([C@H](C)NCc2cc(OC)nc3ccccc23)c1 ZINC000177381314 340439851 /nfs/dbraw/zinc/43/98/51/340439851.db2.gz UJPLYKWHJXQKES-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(F)c(Br)c1 ZINC000310415900 340440062 /nfs/dbraw/zinc/44/00/62/340440062.db2.gz MYNNQOSTPUHCNE-JTQLQIEISA-N 0 3 320.271 4.380 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H]1CCc2ccc(Br)cc21 ZINC000310677418 340441831 /nfs/dbraw/zinc/44/18/31/340441831.db2.gz QFNROBAHKJCGIF-QPUJVOFHSA-N 0 3 322.168 4.367 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N1CCCC1)c1ccsc1 ZINC000178066178 340446650 /nfs/dbraw/zinc/44/66/50/340446650.db2.gz ZBJYZJQIWSJXHW-ZFWWWQNUSA-N 0 3 306.500 4.297 20 0 DIADHN FC(F)(F)c1ccnc(CCNCc2ccsc2Cl)c1 ZINC000516983474 340460608 /nfs/dbraw/zinc/46/06/08/340460608.db2.gz BUKGILXOWCBOSV-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN CC[C@H](NC[C@]1(C)OCCc2sccc21)c1ccccc1F ZINC000517205759 340465532 /nfs/dbraw/zinc/46/55/32/340465532.db2.gz JPGPUHSFMUZFOO-WMZOPIPTSA-N 0 3 319.445 4.416 20 0 DIADHN COc1ccccc1/C=C/CN[C@@H]1COc2c1ccc(C)c2C ZINC000520959361 340477871 /nfs/dbraw/zinc/47/78/71/340477871.db2.gz DNOHKOOLJQLGMF-JHTBKMLMSA-N 0 3 309.409 4.049 20 0 DIADHN CCc1nc(CCNCc2c3ccccc3oc2CC)cs1 ZINC000520964123 340478224 /nfs/dbraw/zinc/47/82/24/340478224.db2.gz GRVBYSJXQQYNCV-UHFFFAOYSA-N 0 3 314.454 4.346 20 0 DIADHN COc1ccc(COCCN[C@@H]2C[C@@H](C)c3ccccc32)cc1 ZINC000564147920 340481741 /nfs/dbraw/zinc/48/17/41/340481741.db2.gz LRCPUHQEWXZGCU-FOIQADDNSA-N 0 3 311.425 4.050 20 0 DIADHN Cc1ccccc1C(C)(C)CN[C@@H](C)c1cn2ccccc2n1 ZINC000521281756 340484992 /nfs/dbraw/zinc/48/49/92/340484992.db2.gz WWSSZKXFEDLRDO-INIZCTEOSA-N 0 3 307.441 4.271 20 0 DIADHN CC(C)[C@@H](NCc1ccc(CO)cc1)c1ccccc1Cl ZINC000183107151 340486484 /nfs/dbraw/zinc/48/64/84/340486484.db2.gz RSVQCRSZUHWVHL-GOSISDBHSA-N 0 3 303.833 4.319 20 0 DIADHN CCc1noc(CC)c1CNCC1CCC(C(F)(F)F)CC1 ZINC000183730178 340488973 /nfs/dbraw/zinc/48/89/73/340488973.db2.gz BBMVWANEAIIXNB-UHFFFAOYSA-N 0 3 318.383 4.258 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000184639861 340494724 /nfs/dbraw/zinc/49/47/24/340494724.db2.gz VITULZFGPDBHRR-SLEUVZQESA-N 0 3 303.381 4.105 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1N[C@@H]1CCCc2c1cnn2C ZINC000185283415 340499628 /nfs/dbraw/zinc/49/96/28/340499628.db2.gz SGAHJKXIINOOHW-LDQXTDLNSA-N 0 3 323.484 4.347 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(C)ccc(C)c32)cc1O ZINC000186146169 340508762 /nfs/dbraw/zinc/50/87/62/340508762.db2.gz VRMVKGJUBXOHLL-QGZVFWFLSA-N 0 3 311.425 4.185 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNCc1ccc(C)cc1 ZINC000187094822 340517723 /nfs/dbraw/zinc/51/77/23/340517723.db2.gz KXBNPIFRYHAGOF-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CC(C)(C)CCCCNC(=O)c1cccc(CN2CCCC2)c1 ZINC000521488028 340522038 /nfs/dbraw/zinc/52/20/38/340522038.db2.gz AVPCTVCVWHLECP-UHFFFAOYSA-N 0 3 316.489 4.229 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(Cl)cc1)c1c(C)nn(C)c1C ZINC000152566010 340527629 /nfs/dbraw/zinc/52/76/29/340527629.db2.gz BCATTXHRIQUWQW-BDJLRTHQSA-N 0 3 305.853 4.492 20 0 DIADHN COc1ccc(CNCc2ccc3[nH]c(C)c(C)c3c2)cc1F ZINC000521831768 340535360 /nfs/dbraw/zinc/53/53/60/340535360.db2.gz LYCDRENZGPUMBL-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccccc1C(F)(F)F ZINC000223691664 340547169 /nfs/dbraw/zinc/54/71/69/340547169.db2.gz OSYVXQMIEPUTCC-NWDGAFQWSA-N 0 3 303.368 4.153 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2ccc(-c3ccc(F)cc3C)o2)C1 ZINC000190912340 340548103 /nfs/dbraw/zinc/54/81/03/340548103.db2.gz BOYFGSIWRIYPIH-LSDHHAIUSA-N 0 3 303.377 4.051 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(N(C)C(C)C)nc2)c1C ZINC000191080675 340549742 /nfs/dbraw/zinc/54/97/42/340549742.db2.gz OCNUAGMDFWSIIF-KRWDZBQOSA-N 0 3 311.473 4.394 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)COCc2ccccc2)cnn1C(C)C ZINC000191316742 340552805 /nfs/dbraw/zinc/55/28/05/340552805.db2.gz WSBDUHDXOAMAPD-HOTGVXAUSA-N 0 3 315.461 4.028 20 0 DIADHN Cc1c([C@@H](C)NC[C@@H](C)Oc2ccccc2C)cnn1C(C)C ZINC000191315026 340552866 /nfs/dbraw/zinc/55/28/66/340552866.db2.gz NKQDFIYQDFGVNI-HZPDHXFCSA-N 0 3 315.461 4.199 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000191654651 340556939 /nfs/dbraw/zinc/55/69/39/340556939.db2.gz HCWHHPVFVYNVED-LOWVWBTDSA-N 0 3 322.449 4.332 20 0 DIADHN FC(F)Oc1cccc(CN[C@H]2CCCNc3ccccc32)c1 ZINC000192979236 340571302 /nfs/dbraw/zinc/57/13/02/340571302.db2.gz OIXMVRSVKYGFKF-KRWDZBQOSA-N 0 3 318.367 4.325 20 0 DIADHN CC(C)(CO)[C@@H](CNCc1sccc1Cl)c1ccccc1 ZINC000193175309 340573517 /nfs/dbraw/zinc/57/35/17/340573517.db2.gz IFNDXFPDENGZQS-AWEZNQCLSA-N 0 3 323.889 4.293 20 0 DIADHN Cc1noc(C)c1CNCCCc1c(Cl)cccc1Cl ZINC000193277705 340575439 /nfs/dbraw/zinc/57/54/39/340575439.db2.gz JGQZAOPUEHTTPT-UHFFFAOYSA-N 0 3 313.228 4.321 20 0 DIADHN Cc1ccc(C)c(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)c1 ZINC000195562026 340581715 /nfs/dbraw/zinc/58/17/15/340581715.db2.gz PSAXNEJIHISYFC-NRFANRHFSA-N 0 3 323.436 4.316 20 0 DIADHN C[C@@H](NCCCOC(F)F)c1cc(Cl)sc1Cl ZINC000194043926 340588427 /nfs/dbraw/zinc/58/84/27/340588427.db2.gz KOMHNUBDJHMFRR-ZCFIWIBFSA-N 0 3 304.189 4.335 20 0 DIADHN CC(C)CC[C@H](NC(=O)c1ccc(CN(C)C)cc1)C(C)(C)C ZINC000522397974 340600656 /nfs/dbraw/zinc/60/06/56/340600656.db2.gz BAFXNKLREKFPFF-SFHVURJKSA-N 0 3 318.505 4.329 20 0 DIADHN CC(C)CC[C@@H](NC(=O)c1ccc(CN(C)C)cc1)C(C)(C)C ZINC000522397973 340600659 /nfs/dbraw/zinc/60/06/59/340600659.db2.gz BAFXNKLREKFPFF-GOSISDBHSA-N 0 3 318.505 4.329 20 0 DIADHN C[C@H](CCC(C)(C)C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC000522915008 340610270 /nfs/dbraw/zinc/61/02/70/340610270.db2.gz MEYSXAWEIRJNIP-MRXNPFEDSA-N 0 3 316.489 4.227 20 0 DIADHN C[C@H](NCCCn1cnc2ccccc21)c1csc(Cl)c1 ZINC000230407440 340619900 /nfs/dbraw/zinc/61/99/00/340619900.db2.gz YJFOHWUXTQYCKW-LBPRGKRZSA-N 0 3 319.861 4.492 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cc3ccccn3c2)c1 ZINC000523687595 340633319 /nfs/dbraw/zinc/63/33/19/340633319.db2.gz SYGWWBJUFVHMLI-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CNCc1ccc(-c2cccc(F)c2C)o1 ZINC000523740803 340636998 /nfs/dbraw/zinc/63/69/98/340636998.db2.gz FYGSPHJFFZGOGB-DJJJIMSYSA-N 0 3 319.420 4.281 20 0 DIADHN CCOc1cc(CNC/C(C)=C\c2ccccc2)ccc1OC ZINC000524403267 340653880 /nfs/dbraw/zinc/65/38/80/340653880.db2.gz ZVHQCTRSIFOQOQ-VBKFSLOCSA-N 0 3 311.425 4.287 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc3c(c2)OCO3)cc1Cl ZINC000235226041 340657744 /nfs/dbraw/zinc/65/77/44/340657744.db2.gz ZHTJJTCDYXMSNS-GFCCVEGCSA-N 0 3 303.789 4.228 20 0 DIADHN Clc1ccc(CNC[C@@H]2CCCO[C@H]2c2ccccc2)o1 ZINC000524680605 340660886 /nfs/dbraw/zinc/66/08/86/340660886.db2.gz IUIISMYGHUULBE-YOEHRIQHSA-N 0 3 305.805 4.191 20 0 DIADHN CCCn1c(CNCCCOc2ccccc2)nc2ccccc21 ZINC000237022575 340667361 /nfs/dbraw/zinc/66/73/61/340667361.db2.gz OHYHTIYNVYMLEF-UHFFFAOYSA-N 0 3 323.440 4.005 20 0 DIADHN CN(C)Cc1cccc(NCc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000237076659 340667877 /nfs/dbraw/zinc/66/78/77/340667877.db2.gz SZMUSNYTLKOWIP-UHFFFAOYSA-N 0 3 310.441 4.074 20 0 DIADHN CNCc1ccccc1NC(=O)CCCCCc1cccs1 ZINC000237112775 340668038 /nfs/dbraw/zinc/66/80/38/340668038.db2.gz BDGCDNCNJNSDED-UHFFFAOYSA-N 0 3 316.470 4.209 20 0 DIADHN COc1ccccc1C[C@@H](C)N[C@@H](C)c1ccc(F)cc1F ZINC000237204224 340668896 /nfs/dbraw/zinc/66/88/96/340668896.db2.gz VVTKNHKUPZLJCS-OLZOCXBDSA-N 0 3 305.368 4.255 20 0 DIADHN Fc1ccccc1CCNCc1nc(-c2ccccc2)cs1 ZINC000237820636 340674189 /nfs/dbraw/zinc/67/41/89/340674189.db2.gz JRKJSIHFVJEWMB-UHFFFAOYSA-N 0 3 312.413 4.282 20 0 DIADHN FC(F)(F)COCCCNCc1ccc(-c2ccccc2)o1 ZINC000237887026 340674800 /nfs/dbraw/zinc/67/48/00/340674800.db2.gz NYISINJFYUGEQO-UHFFFAOYSA-N 0 3 313.319 4.005 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2c(F)cccc2F)C12CCCC2 ZINC000315763860 340676659 /nfs/dbraw/zinc/67/66/59/340676659.db2.gz CFWGDZBJBOOKLR-VBNZEHGJSA-N 0 3 309.400 4.353 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(OCC2CCCCC2)CC1 ZINC000524755508 340679151 /nfs/dbraw/zinc/67/91/51/340679151.db2.gz CYOWDBIOPLYHPO-MRXNPFEDSA-N 0 3 302.462 4.204 20 0 DIADHN Clc1ccc2nc(CNC34CC5CC(CC(C5)C3)C4)cn2c1 ZINC000316606288 340693307 /nfs/dbraw/zinc/69/33/07/340693307.db2.gz ILPYETKBVLAKBR-UHFFFAOYSA-N 0 3 315.848 4.046 20 0 DIADHN C[C@H](CNCc1ccc(Cl)o1)Oc1ccccc1Cl ZINC000525182480 340694048 /nfs/dbraw/zinc/69/40/48/340694048.db2.gz DTACFWJPLASUTO-SNVBAGLBSA-N 0 3 300.185 4.144 20 0 DIADHN C[C@H](NCc1cnn2ccccc12)c1ccc(C(F)(F)F)cc1 ZINC000393492246 340704202 /nfs/dbraw/zinc/70/42/02/340704202.db2.gz VPSQYHDNRFROKX-LBPRGKRZSA-N 0 3 319.330 4.204 20 0 DIADHN CC(C)[C@@H](NCCc1ccc(F)c(F)c1)c1ccc(F)cn1 ZINC000527291886 340711755 /nfs/dbraw/zinc/71/17/55/340711755.db2.gz BSMZSWNMGAOIIF-QGZVFWFLSA-N 0 3 308.347 4.028 20 0 DIADHN Cc1cnccc1CCNC(c1ccccc1)c1ccccc1 ZINC000397515500 340712732 /nfs/dbraw/zinc/71/27/32/340712732.db2.gz ABNJGHTVRZCOCH-UHFFFAOYSA-N 0 3 302.421 4.312 20 0 DIADHN CC(C)(C)[C@@H](NCc1cc(CO)ccc1F)c1ccccc1 ZINC000528410604 340717243 /nfs/dbraw/zinc/71/72/43/340717243.db2.gz NMRFAPNJNWPTGA-SFHVURJKSA-N 0 3 301.405 4.195 20 0 DIADHN CC[C@H](Nc1cccc(OCCN(C)C)c1)c1cccs1 ZINC000037068792 340744452 /nfs/dbraw/zinc/74/44/52/340744452.db2.gz NLVWZNHCNDDYLC-INIZCTEOSA-N 0 3 304.459 4.252 20 0 DIADHN OCC1([C@H](NCc2ccc3cc[nH]c3c2)c2ccccc2)CCC1 ZINC000529589261 340764338 /nfs/dbraw/zinc/76/43/38/340764338.db2.gz PQZZJELJEXXQGJ-HXUWFJFHSA-N 0 3 320.436 4.161 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(F)c(Br)c1)c1ccncc1 ZINC000037217877 340774854 /nfs/dbraw/zinc/77/48/54/340774854.db2.gz QFLJTKFJVAQFTI-QWRGUYRKSA-N 0 3 323.209 4.395 20 0 DIADHN Clc1ccc(Cl)c(CNc2ccc3c(c2)OCCNC3)c1 ZINC000530182665 340782080 /nfs/dbraw/zinc/78/20/80/340782080.db2.gz YMFOYDZJEZRKKZ-UHFFFAOYSA-N 0 3 323.223 4.088 20 0 DIADHN CO[C@@H]1CC[C@H](NCc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000530352222 340788896 /nfs/dbraw/zinc/78/88/96/340788896.db2.gz SHRYBOOOGFLXLC-LSDHHAIUSA-N 0 3 305.805 4.257 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1ccc(Cl)s1 ZINC000537949803 340792764 /nfs/dbraw/zinc/79/27/64/340792764.db2.gz WKXMKFXOQYGIKV-QEORTHHSSA-N 0 3 320.889 4.498 20 0 DIADHN C[C@@H](NCCSCC(F)(F)F)c1cnc2ccsc2c1 ZINC000537963597 340793772 /nfs/dbraw/zinc/79/37/72/340793772.db2.gz PSZHQOHASRYARA-SECBINFHSA-N 0 3 320.405 4.242 20 0 DIADHN CC(C)C[C@H](NCCOc1ccc(F)c(F)c1)c1ccccn1 ZINC000537967631 340794086 /nfs/dbraw/zinc/79/40/86/340794086.db2.gz SZIJZGXYDGLRJH-SFHVURJKSA-N 0 3 320.383 4.116 20 0 DIADHN CO[C@H](CN[C@H]1CCc2ccccc21)c1cccc(Cl)c1 ZINC000537984478 340795669 /nfs/dbraw/zinc/79/56/69/340795669.db2.gz WEVIVRNVTBSYAL-ZWKOTPCHSA-N 0 3 301.817 4.305 20 0 DIADHN CCn1cc([C@@H](C)NCCCOc2ccc3ccccc3c2)cn1 ZINC000125422679 340804013 /nfs/dbraw/zinc/80/40/13/340804013.db2.gz JUMUNCKQXOFAOH-MRXNPFEDSA-N 0 3 323.440 4.176 20 0 DIADHN Cc1cccc(CN[C@H](C)CCc2ccc(OC(F)F)cc2)n1 ZINC000125510637 340804674 /nfs/dbraw/zinc/80/46/74/340804674.db2.gz SXKFTOJZKKULMS-CYBMUJFWSA-N 0 3 320.383 4.102 20 0 DIADHN CC[C@H](N[C@H](C)COCC(F)(F)F)c1cccc(Cl)c1 ZINC000537991367 340806158 /nfs/dbraw/zinc/80/61/58/340806158.db2.gz RKWFSOSCSQPHPU-MFKMUULPSA-N 0 3 309.759 4.348 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H](C)c1ccc(F)c(OC)c1 ZINC000538113401 340809678 /nfs/dbraw/zinc/80/96/78/340809678.db2.gz FXAWMGVMECUJCD-QWHCGFSZSA-N 0 3 302.393 4.204 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)C(F)(F)F)ccc1OC(C)C ZINC000538152696 340811623 /nfs/dbraw/zinc/81/16/23/340811623.db2.gz FZILNRMFPHOMJH-RYUDHWBXSA-N 0 3 319.367 4.331 20 0 DIADHN C[C@@H](NCC1(c2ccc(Cl)cc2)CCCC1)c1nccn1C ZINC000538207205 340813401 /nfs/dbraw/zinc/81/34/01/340813401.db2.gz DMZQYKVKYJDWNM-CQSZACIVSA-N 0 3 317.864 4.236 20 0 DIADHN C[C@@H](NCC1(c2ccccc2)CCCCCC1)c1nccn1C ZINC000538216414 340813743 /nfs/dbraw/zinc/81/37/43/340813743.db2.gz YTZFWANPACHNJK-QGZVFWFLSA-N 0 3 311.473 4.363 20 0 DIADHN Cc1cnc(CCN[C@@H](c2cc3ccccc3o2)C(C)C)nc1 ZINC000538457070 340824624 /nfs/dbraw/zinc/82/46/24/340824624.db2.gz MMQJWLHUYDBCQN-LJQANCHMSA-N 0 3 309.413 4.061 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(-c3ccncc3)cc2)cn1 ZINC000126398183 340826727 /nfs/dbraw/zinc/82/67/27/340826727.db2.gz IXLBXNZUEKFNMV-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCS1)c1ccccc1Br ZINC000126467936 340827364 /nfs/dbraw/zinc/82/73/64/340827364.db2.gz SQJXDJGPDYEKON-RISCZKNCSA-N 0 3 314.292 4.385 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccnc2ccccc12 ZINC000538488165 340827898 /nfs/dbraw/zinc/82/78/98/340827898.db2.gz RZCKUNLFGGYGAI-JLTOFOAXSA-N 0 3 324.399 4.240 20 0 DIADHN C[C@H](N[C@@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000126646597 340829765 /nfs/dbraw/zinc/82/97/65/340829765.db2.gz QQFPOVHWUOUGLS-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)c(Cl)cc1Cl)[C@@H]1CCOC1 ZINC000128086874 340860569 /nfs/dbraw/zinc/86/05/69/340860569.db2.gz ACQCMPWXOGMVFH-KXUCPTDWSA-N 0 3 306.208 4.208 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2cc(C)cc(C)c2)cc1 ZINC000126985006 340840295 /nfs/dbraw/zinc/84/02/95/340840295.db2.gz VTFMXVPJACWMCC-QGZVFWFLSA-N 0 3 312.457 4.213 20 0 DIADHN C[C@@H](NCCCn1cccn1)c1cc(F)c(Cl)cc1Cl ZINC000127096890 340844027 /nfs/dbraw/zinc/84/40/27/340844027.db2.gz FGJDCJNKYMPFGS-SNVBAGLBSA-N 0 3 316.207 4.070 20 0 DIADHN C[C@@H](NCCSc1ccccc1)c1nc2ccccc2n1C ZINC000127310020 340848931 /nfs/dbraw/zinc/84/89/31/340848931.db2.gz DZCHGNZEQDSKAO-CQSZACIVSA-N 0 3 311.454 4.016 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H]2CCC[C@H](C(F)(F)F)C2)o1 ZINC000127393972 340850334 /nfs/dbraw/zinc/85/03/34/340850334.db2.gz MGJBQOREUKEFAH-OBJOEFQTSA-N 0 3 319.367 4.240 20 0 DIADHN Cc1nc2c(s1)[C@H](NC[C@@H](C)Oc1ccccc1F)CCC2 ZINC000127556089 340853052 /nfs/dbraw/zinc/85/30/52/340853052.db2.gz PSCNZCHBMRWBEO-BXUZGUMPSA-N 0 3 320.433 4.025 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1ccc(F)c(C)c1 ZINC000538877432 340860325 /nfs/dbraw/zinc/86/03/25/340860325.db2.gz ZLESZTINPAAEKT-ACJLOTCBSA-N 0 3 305.368 4.139 20 0 DIADHN C[C@H](NCCc1cc(F)cc(F)c1)c1nc2c(s1)CCCC2 ZINC000538984988 340864276 /nfs/dbraw/zinc/86/42/76/340864276.db2.gz JPOSQGLZTDEWOF-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2ccc(Cl)c(Cl)c2)c(C)o1 ZINC000538985861 340864424 /nfs/dbraw/zinc/86/44/24/340864424.db2.gz LWBMDKRJEDJDIH-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2cnccc2C)cc1 ZINC000538986243 340864514 /nfs/dbraw/zinc/86/45/14/340864514.db2.gz PXQZTLBKTXZVDW-VDGAXYAQSA-N 0 3 310.441 4.269 20 0 DIADHN Cc1nc(CCN[C@@H](C)c2ccc(Cl)cc2Cl)c(C)o1 ZINC000538987168 340864665 /nfs/dbraw/zinc/86/46/65/340864665.db2.gz PYRDXAXTQUQNRL-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN CC[C@H](N[C@H](C)c1ccccc1OC(F)(F)F)[C@@H]1CCCO1 ZINC000539042119 340866417 /nfs/dbraw/zinc/86/64/17/340866417.db2.gz UMIQLFZMVVKDRD-ZLDLUXBVSA-N 0 3 317.351 4.193 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCCN(c3ccccc3F)C2)o1 ZINC000539047276 340867180 /nfs/dbraw/zinc/86/71/80/340867180.db2.gz MONDYXQOAPRRBC-HUUCEWRRSA-N 0 3 302.393 4.047 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCCc1cccs1)CCCO2 ZINC000539050977 340867740 /nfs/dbraw/zinc/86/77/40/340867740.db2.gz UEFNXGPHLNKWFH-INIZCTEOSA-N 0 3 305.418 4.323 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@H]1CCN(C)[C@H]1c1ccccc1 ZINC000539051472 340867793 /nfs/dbraw/zinc/86/77/93/340867793.db2.gz JNZALSVMQJRXHN-LRQRDZAKSA-N 0 3 324.468 4.181 20 0 DIADHN CCOc1ccccc1[C@H](C)N[C@@H]1CCN(C)[C@@H]1c1ccccc1 ZINC000539051471 340867845 /nfs/dbraw/zinc/86/78/45/340867845.db2.gz JNZALSVMQJRXHN-LDQXTDLNSA-N 0 3 324.468 4.181 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H]1CCc2c1cccc2F ZINC000539065701 340869816 /nfs/dbraw/zinc/86/98/16/340869816.db2.gz KHWFFGUJWJGCKB-DPMMWBKBSA-N 0 3 317.379 4.318 20 0 DIADHN CCC[C@@H](NCc1ccccc1N(C)C)c1ccc(OC)cc1 ZINC000539074629 340870778 /nfs/dbraw/zinc/87/07/78/340870778.db2.gz WDVGKWONXZIOMD-LJQANCHMSA-N 0 3 312.457 4.392 20 0 DIADHN COc1ccc([C@H](C)NCCc2cc3ccccc3o2)cc1F ZINC000539075776 340870986 /nfs/dbraw/zinc/87/09/86/340870986.db2.gz KQFLODHQBXYPNX-ZDUSSCGKSA-N 0 3 313.372 4.474 20 0 DIADHN C[C@H](NCCOc1ccccc1C(C)(C)C)c1ccc(F)cn1 ZINC000539079180 340871125 /nfs/dbraw/zinc/87/11/25/340871125.db2.gz JQROWRXHUINAML-AWEZNQCLSA-N 0 3 316.420 4.248 20 0 DIADHN CCc1nc(C)c([C@H](C)NCCOc2ccccc2C)s1 ZINC000539091282 340872647 /nfs/dbraw/zinc/87/26/47/340872647.db2.gz UOHBOARYAZLXDW-ZDUSSCGKSA-N 0 3 304.459 4.052 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCc2ccc(Cl)cc21 ZINC000539121620 340874383 /nfs/dbraw/zinc/87/43/83/340874383.db2.gz JNQCVWIITBCGTF-GJZGRUSLSA-N 0 3 307.846 4.020 20 0 DIADHN COc1ccc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000539161414 340875219 /nfs/dbraw/zinc/87/52/19/340875219.db2.gz NJGGCUYOPJAWTC-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4cnoc4C)CC3)c[nH]c21 ZINC000128342348 340875561 /nfs/dbraw/zinc/87/55/61/340875561.db2.gz HPFJTIZPSAKGES-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1nc2ccccc2n1C)c1ccccc1 ZINC000539203794 340876209 /nfs/dbraw/zinc/87/62/09/340876209.db2.gz YOCHNYUANMZVOU-HOTGVXAUSA-N 0 3 307.441 4.418 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)N(c2ccccc2)C(C)C)cc1 ZINC000539233663 340877726 /nfs/dbraw/zinc/87/77/26/340877726.db2.gz QQVKVHKWGBXOFO-KRWDZBQOSA-N 0 3 310.441 4.087 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2cc(C)ccc2C)c1 ZINC000539290667 340879524 /nfs/dbraw/zinc/87/95/24/340879524.db2.gz CTCKTJZXLSQKOJ-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN CC[C@@H](CSC)N[C@H](COC)c1cccc(C(F)(F)F)c1 ZINC000539297259 340879915 /nfs/dbraw/zinc/87/99/15/340879915.db2.gz QCKITSGILKMMRQ-UONOGXRCSA-N 0 3 321.408 4.124 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCCc2cc(F)ccc21 ZINC000539311952 340880513 /nfs/dbraw/zinc/88/05/13/340880513.db2.gz GOOHWPAYXYFNAL-RBUKOAKNSA-N 0 3 311.400 4.489 20 0 DIADHN CC(C)OCCCNC(C)(C)c1ccccc1Br ZINC000539326354 340881149 /nfs/dbraw/zinc/88/11/49/340881149.db2.gz LRTQDMKSZBJERX-UHFFFAOYSA-N 0 3 314.267 4.089 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC000539494708 340887157 /nfs/dbraw/zinc/88/71/57/340887157.db2.gz NAKLWEIMSCGALH-KMUNFCNLSA-N 0 3 312.240 4.041 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC(O)(CC)CC)oc21 ZINC000539497177 340887597 /nfs/dbraw/zinc/88/75/97/340887597.db2.gz XZOPMQYGJNNXCS-CYBMUJFWSA-N 0 3 305.418 4.033 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)N[C@H](C)Cn1ccnc1 ZINC000539500063 340887965 /nfs/dbraw/zinc/88/79/65/340887965.db2.gz PKJBEVXLOUULEZ-CABCVRRESA-N 0 3 311.429 4.239 20 0 DIADHN C[C@H](CCO)C1(CNCc2cccc(Cl)c2Cl)CCC1 ZINC000539546976 340890130 /nfs/dbraw/zinc/89/01/30/340890130.db2.gz NUVFXYQDJPRCGZ-GFCCVEGCSA-N 0 3 316.272 4.272 20 0 DIADHN COCC1(N[C@H](C)c2ccc(-c3ccccc3F)s2)CC1 ZINC000539650633 340894248 /nfs/dbraw/zinc/89/42/48/340894248.db2.gz BSWYVKUKRFNVRQ-GFCCVEGCSA-N 0 3 305.418 4.384 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NC/C=C/c1ccc(F)cc1 ZINC000539979544 340911667 /nfs/dbraw/zinc/91/16/67/340911667.db2.gz FLBJRWJDMLAREU-LNEMZMSFSA-N 0 3 317.379 4.344 20 0 DIADHN C[C@@]1(NCc2cc(C(F)(F)F)ccc2Cl)CCCOC1 ZINC000540068266 340913781 /nfs/dbraw/zinc/91/37/81/340913781.db2.gz GHBKYYSLWAUEKY-CYBMUJFWSA-N 0 3 307.743 4.018 20 0 DIADHN CC(C)(CCCO)CNCc1cc(C(F)(F)F)ccc1Cl ZINC000540068854 340914243 /nfs/dbraw/zinc/91/42/43/340914243.db2.gz UYBMPFXBQYCINS-UHFFFAOYSA-N 0 3 323.786 4.247 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)c1 ZINC000540139708 340916209 /nfs/dbraw/zinc/91/62/09/340916209.db2.gz XBRBSRNVBDOJAB-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2N[C@H](C)c2ccccn2)c1 ZINC000540147472 340917177 /nfs/dbraw/zinc/91/71/77/340917177.db2.gz TVCZYRAVBJKENP-NSISKUIASA-N 0 3 310.441 4.431 20 0 DIADHN C[C@H](NCc1ccn(C2CCCC2)n1)C(C)(C)c1ccccc1 ZINC000540163229 340919471 /nfs/dbraw/zinc/91/94/71/340919471.db2.gz NDDYKMTYFYBDGQ-INIZCTEOSA-N 0 3 311.473 4.454 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCCOC1CCCCC1 ZINC000540168655 340919945 /nfs/dbraw/zinc/91/99/45/340919945.db2.gz FTUIEIXVIIVJIH-GOSISDBHSA-N 0 3 303.446 4.148 20 0 DIADHN C[C@@H](COCC(F)(F)F)N[C@@H](C)c1ccc(Cl)c(F)c1 ZINC000540169044 340919986 /nfs/dbraw/zinc/91/99/86/340919986.db2.gz BTLMZLMDCSOUAT-IUCAKERBSA-N 0 3 313.722 4.097 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)C(=O)NC(C)(C)C ZINC000540171785 340920263 /nfs/dbraw/zinc/92/02/63/340920263.db2.gz ZYJUKAUURLJLIZ-HOTGVXAUSA-N 0 3 324.468 4.307 20 0 DIADHN CCc1nc2c(s1)[C@H](NCCOc1cccc(C)c1)CCC2 ZINC000540175108 340920705 /nfs/dbraw/zinc/92/07/05/340920705.db2.gz BTKXSPBCQAYYAC-OAHLLOKOSA-N 0 3 316.470 4.060 20 0 DIADHN CC[C@@H](NCCC(F)(F)F)c1ccccc1Br ZINC000540186047 340921840 /nfs/dbraw/zinc/92/18/40/340921840.db2.gz JPDLIFSSVDUWSK-LLVKDONJSA-N 0 3 310.157 4.442 20 0 DIADHN CC[C@H](NCCC(F)(F)F)c1ccccc1Br ZINC000540186048 340921896 /nfs/dbraw/zinc/92/18/96/340921896.db2.gz JPDLIFSSVDUWSK-NSHDSACASA-N 0 3 310.157 4.442 20 0 DIADHN Cc1nc(C)c([C@H](C)NCCOc2c(C)cccc2C)s1 ZINC000540187782 340922063 /nfs/dbraw/zinc/92/20/63/340922063.db2.gz DQSYTNRVSNSXAX-ZDUSSCGKSA-N 0 3 304.459 4.106 20 0 DIADHN Cc1c([C@H](C)NC2CC(C)C2)cnn1-c1cccc(Cl)c1 ZINC000540191072 340922517 /nfs/dbraw/zinc/92/25/17/340922517.db2.gz YKUWWVBUISXBHY-AVERBVTBSA-N 0 3 303.837 4.283 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccncc1)Oc1cccc(Cl)c1 ZINC000540223243 340924217 /nfs/dbraw/zinc/92/42/17/340924217.db2.gz ZDFXXBZSWYBMFT-BBRMVZONSA-N 0 3 304.821 4.243 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](CO)c2sccc2C)cc1 ZINC000540222559 340924307 /nfs/dbraw/zinc/92/43/07/340924307.db2.gz QXFFFARYLWAHAN-DOMZBBRYSA-N 0 3 307.484 4.163 20 0 DIADHN C[C@@H](NCCOC1CCCCC1)c1cnc2ccsc2c1 ZINC000068692081 340924798 /nfs/dbraw/zinc/92/47/98/340924798.db2.gz PKERRPHMWHKURE-CYBMUJFWSA-N 0 3 304.459 4.296 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2ccc(C)cc2)C2CC2)cn1 ZINC000540300902 340925784 /nfs/dbraw/zinc/92/57/84/340925784.db2.gz UVGARHUNOZUIDD-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN C[C@@H](N[C@H]1C=CCCC1)c1ccc(OCc2cccnc2)cc1 ZINC000540326910 340927078 /nfs/dbraw/zinc/92/70/78/340927078.db2.gz TYKPDHSKTILPIV-APWZRJJASA-N 0 3 308.425 4.420 20 0 DIADHN c1cnn(-c2ccccc2CNCc2cccc3ccccc32)c1 ZINC000128903493 340927482 /nfs/dbraw/zinc/92/74/82/340927482.db2.gz DFSDFHKXUXWSIT-UHFFFAOYSA-N 0 3 313.404 4.315 20 0 DIADHN Cc1ccccc1[C@@H](NCC[S@](=O)c1ccccc1)C(C)C ZINC000540360883 340928420 /nfs/dbraw/zinc/92/84/20/340928420.db2.gz GSCJVGXDTVCXSA-UGKGYDQZSA-N 0 3 315.482 4.090 20 0 DIADHN Cc1ccccc1[C@@H](NCC[S@@](=O)c1ccccc1)C(C)C ZINC000540360882 340928429 /nfs/dbraw/zinc/92/84/29/340928429.db2.gz GSCJVGXDTVCXSA-SIKLNZKXSA-N 0 3 315.482 4.090 20 0 DIADHN c1ccc([C@@H](NCc2ccc3c(c2)CCC3)[C@@H]2CCOC2)cc1 ZINC000540395232 340929385 /nfs/dbraw/zinc/92/93/85/340929385.db2.gz MVZBSKRFKNWLFS-NHCUHLMSSA-N 0 3 307.437 4.043 20 0 DIADHN Fc1ccccc1[C@@H](NCCOCC(F)(F)F)C1CCCC1 ZINC000540424974 340930977 /nfs/dbraw/zinc/93/09/77/340930977.db2.gz QRQCNILORXWOCB-HNNXBMFYSA-N 0 3 319.342 4.226 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2cccs2)C2CC2)c1C ZINC000540468600 340932540 /nfs/dbraw/zinc/93/25/40/340932540.db2.gz QKBBGKANYPYHED-GOSISDBHSA-N 0 3 314.454 4.044 20 0 DIADHN CC(C)CC[C@H](NCc1nc(-c2ccco2)no1)C(C)(C)C ZINC000540590163 340936699 /nfs/dbraw/zinc/93/66/99/340936699.db2.gz GDXTUBPLZWHPBM-AWEZNQCLSA-N 0 3 305.422 4.270 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1cc2cc(Cl)ccc2o1 ZINC000540604906 340938140 /nfs/dbraw/zinc/93/81/40/340938140.db2.gz CSXBGFDXKDMTIY-NCHVAJSOSA-N 0 3 305.805 4.304 20 0 DIADHN CCOc1ccc(CN[C@H](C)[C@H](OC)c2ccccc2F)cc1 ZINC000540688314 340941580 /nfs/dbraw/zinc/94/15/80/340941580.db2.gz RONIHLLSQNEDEE-KUHUBIRLSA-N 0 3 317.404 4.090 20 0 DIADHN CCC(O)(CC)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000540908247 340951122 /nfs/dbraw/zinc/95/11/22/340951122.db2.gz UGULQCZQDMKQAC-UHFFFAOYSA-N 0 3 319.395 4.195 20 0 DIADHN C[C@@]1(NCc2cc(-c3ccccc3)n[nH]2)CCCc2ccccc21 ZINC000540962758 340953540 /nfs/dbraw/zinc/95/35/40/340953540.db2.gz KNXWGZXKLWOIBD-OAQYLSRUSA-N 0 3 317.436 4.418 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)[C@H]1CCC(C)(C)C1)c1ccccc1 ZINC000541023822 340956753 /nfs/dbraw/zinc/95/67/53/340956753.db2.gz JHFUYBXJNLRQSB-RDJZCZTQSA-N 0 3 316.489 4.058 20 0 DIADHN C[C@@H](NCC1(C)CCCC1)c1ncc(Br)s1 ZINC000129497937 340966436 /nfs/dbraw/zinc/96/64/36/340966436.db2.gz QEZIKQUOJOHMLZ-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN C[C@H]1OCC[C@]1(C)NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000541385592 340969128 /nfs/dbraw/zinc/96/91/28/340969128.db2.gz HDDPQFVGQZWLJR-RNCFNFMXSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1cc([C@H](NCC2(C3CC3)CC2)c2cccnc2)ccc1F ZINC000541565483 340974912 /nfs/dbraw/zinc/97/49/12/340974912.db2.gz BSZCHIWNNWRABL-IBGZPJMESA-N 0 3 310.416 4.398 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccccc1OC1CCCC1 ZINC000541573663 340975280 /nfs/dbraw/zinc/97/52/80/340975280.db2.gz NWVOJZBKGXJFBQ-AWEZNQCLSA-N 0 3 318.461 4.113 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@H](c2cccnc2)C2CC2)c1C ZINC000541590399 340976570 /nfs/dbraw/zinc/97/65/70/340976570.db2.gz FZCUTTZOBHXDTK-NRFANRHFSA-N 0 3 322.452 4.450 20 0 DIADHN CC(C)COC[C@H](NC[C@H](C)c1ccccc1)c1ccco1 ZINC000541626715 340978482 /nfs/dbraw/zinc/97/84/82/340978482.db2.gz DEERAJZILPPOML-WMZOPIPTSA-N 0 3 301.430 4.387 20 0 DIADHN C[C@@H](NC(C)(C)c1cccs1)C(=O)Nc1ccccc1Cl ZINC000541645165 340979307 /nfs/dbraw/zinc/97/93/07/340979307.db2.gz DJSIJOLQNSRPTM-LLVKDONJSA-N 0 3 322.861 4.253 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2c3cc(C)ccc3C[C@H]2C)c1 ZINC000541845138 340985365 /nfs/dbraw/zinc/98/53/65/340985365.db2.gz WFSFLUNSEMNJLT-QVKFZJNVSA-N 0 3 322.452 4.367 20 0 DIADHN COc1ccc(CN[C@@H](c2cccs2)C2CC2)c(OC)c1 ZINC000070139613 340988890 /nfs/dbraw/zinc/98/88/90/340988890.db2.gz MOPMARCSBHVYBJ-QGZVFWFLSA-N 0 3 303.427 4.006 20 0 DIADHN CC(C)[C@@H](NCCc1cscn1)c1ccc(Cl)cc1F ZINC000541916158 340989328 /nfs/dbraw/zinc/98/93/28/340989328.db2.gz CTGITSPTZHGGNT-OAHLLOKOSA-N 0 3 312.841 4.465 20 0 DIADHN CCC1CCC(CNCc2ccc(Br)cn2)CC1 ZINC000070396475 340994802 /nfs/dbraw/zinc/99/48/02/340994802.db2.gz RHDNKTWZKLQJML-UHFFFAOYSA-N 0 3 311.267 4.150 20 0 DIADHN C[C@H]1CCN(CCCc2ccccc2Cl)C[C@H]1n1ccnc1 ZINC000542208778 341000180 /nfs/dbraw/zinc/00/01/80/341000180.db2.gz BLFRFDMFYFJEGO-MAUKXSAKSA-N 0 3 317.864 4.052 20 0 DIADHN C[C@H](C(=O)Nc1ccc(F)cc1Cl)N(C)C[C@@H]1CC=CCC1 ZINC000542246640 341001721 /nfs/dbraw/zinc/00/17/21/341001721.db2.gz BWBCORRWUURWLP-CHWSQXEVSA-N 0 3 324.827 4.094 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)C[C@@H]2CC=CCC2)c(Cl)c1 ZINC000542254026 341001985 /nfs/dbraw/zinc/00/19/85/341001985.db2.gz JHFSYMPVQVDLEI-HUUCEWRRSA-N 0 3 320.864 4.264 20 0 DIADHN C[C@@]1(NCc2cnc(C3CCCCC3)s2)CCO[C@H]1C1CC1 ZINC000542310191 341003893 /nfs/dbraw/zinc/00/38/93/341003893.db2.gz DZCZUKIDWJOCNZ-FUHWJXTLSA-N 0 3 320.502 4.238 20 0 DIADHN c1cc(-c2nc(CNC[C@H]3CCCCS3)cs2)cs1 ZINC000542310967 341003919 /nfs/dbraw/zinc/00/39/19/341003919.db2.gz JLCCAEJJPZIHNG-CYBMUJFWSA-N 0 3 310.513 4.247 20 0 DIADHN C[C@]1(NCc2ccc(Cl)c(Cl)c2)CCO[C@@H]1C1CC1 ZINC000542311248 341004105 /nfs/dbraw/zinc/00/41/05/341004105.db2.gz PPVJQIUJQQFMQQ-CABCVRRESA-N 0 3 300.229 4.041 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](C(C)(C)C)C3)o2)cc1 ZINC000542322469 341004984 /nfs/dbraw/zinc/00/49/84/341004984.db2.gz KNIJRMWTVDGTBZ-ZBFHGGJFSA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CC[C@@H](C(C)(C)C)C1 ZINC000542321881 341004993 /nfs/dbraw/zinc/00/49/93/341004993.db2.gz PXJNCJMEOAARHS-MRXNPFEDSA-N 0 3 316.489 4.425 20 0 DIADHN COCCOCCCCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000130226906 341007386 /nfs/dbraw/zinc/00/73/86/341007386.db2.gz IBMRUCYTDCMONS-LBPRGKRZSA-N 0 3 320.260 4.087 20 0 DIADHN CC(C)COc1cccc(CN(C)C[C@H](C)c2nccs2)c1 ZINC000542464291 341008307 /nfs/dbraw/zinc/00/83/07/341008307.db2.gz PMDJJEFDVLPQDB-HNNXBMFYSA-N 0 3 318.486 4.413 20 0 DIADHN Cn1cc(CN2CCC[C@@H]3CCC[C@H]32)c(C2CCCCC2)n1 ZINC000542465244 341008405 /nfs/dbraw/zinc/00/84/05/341008405.db2.gz BPNAOTLDYMMZSP-MAUKXSAKSA-N 0 3 301.478 4.232 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@@H]1CCCC[C@H]1C ZINC000542467906 341008602 /nfs/dbraw/zinc/00/86/02/341008602.db2.gz XSMOGXFESBNIJL-KGLIPLIRSA-N 0 3 324.415 4.052 20 0 DIADHN CCN(CC(=O)Nc1c(F)cccc1F)C[C@@H]1CCCC[C@@H]1C ZINC000542467904 341008631 /nfs/dbraw/zinc/00/86/31/341008631.db2.gz XSMOGXFESBNIJL-KBPBESRZSA-N 0 3 324.415 4.052 20 0 DIADHN C[C@@H](NCc1ccc(OC2CCCCC2)cc1)[C@H]1CCCO1 ZINC000542472065 341008803 /nfs/dbraw/zinc/00/88/03/341008803.db2.gz YLLMJAMAMSTINT-DNVCBOLYSA-N 0 3 303.446 4.055 20 0 DIADHN C[C@H](NC[C@@H](O)CCc1ccccc1)c1ccc(Cl)c(F)c1 ZINC000542488176 341009900 /nfs/dbraw/zinc/00/99/00/341009900.db2.gz BGVGZIFDOVUQTP-BBRMVZONSA-N 0 3 321.823 4.123 20 0 DIADHN C[C@@H](NCCCNc1ccccc1F)c1ccc(F)c(F)c1 ZINC000542488182 341009978 /nfs/dbraw/zinc/00/99/78/341009978.db2.gz BHVGDIXFGLVEAE-GFCCVEGCSA-N 0 3 308.347 4.257 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1ccc(Cl)c2Cl)[C@H]1CCCO1 ZINC000542494525 341010342 /nfs/dbraw/zinc/01/03/42/341010342.db2.gz OYUMMLZAVCXSAI-CUOATXAZSA-N 0 3 300.229 4.138 20 0 DIADHN CC(C)N(CCO[C@H]1CCCCO1)Cc1cccc(Cl)c1 ZINC000542514500 341011326 /nfs/dbraw/zinc/01/13/26/341011326.db2.gz DVOWPOHSSJFUHY-KRWDZBQOSA-N 0 3 311.853 4.094 20 0 DIADHN CN(CCCc1ccncc1)Cc1ccc(Cl)c(Cl)c1 ZINC000542518962 341011717 /nfs/dbraw/zinc/01/17/17/341011717.db2.gz NVHNYCWVPDOZFR-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@H]1CC(C)C ZINC000130282466 341013025 /nfs/dbraw/zinc/01/30/25/341013025.db2.gz UJUBRPPWMVPCFX-KRWDZBQOSA-N 0 3 302.462 4.006 20 0 DIADHN CC(C)C[C@@H](N[C@H](C)C(=O)NC1CCCCC1)c1cccs1 ZINC000542557486 341013472 /nfs/dbraw/zinc/01/34/72/341013472.db2.gz QDMZKLFRYXIIQK-GDBMZVCRSA-N 0 3 322.518 4.262 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](CC(C)C)c2cccs2)s1 ZINC000542555764 341013520 /nfs/dbraw/zinc/01/35/20/341013520.db2.gz HEYYWJXTLJRCLY-ZDUSSCGKSA-N 0 3 323.483 4.473 20 0 DIADHN COC[C@H](NCCCc1cccc2ccccc21)c1ccco1 ZINC000542559482 341013592 /nfs/dbraw/zinc/01/35/92/341013592.db2.gz ROCAWLUEBSBHLC-IBGZPJMESA-N 0 3 309.409 4.343 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCSc2ccccc2F)cn1 ZINC000542574525 341014222 /nfs/dbraw/zinc/01/42/22/341014222.db2.gz RYUSETWQPLOJLF-CYBMUJFWSA-N 0 3 307.438 4.046 20 0 DIADHN CC(C)n1cc([C@@H](C)NCCCOc2cccc(Cl)c2)cn1 ZINC000542573979 341014288 /nfs/dbraw/zinc/01/42/88/341014288.db2.gz WVHPJZKNJCXMOX-CQSZACIVSA-N 0 3 321.852 4.237 20 0 DIADHN Cc1cnc(C(C)(C)NC[C@H]2CCc3ccccc3C2)s1 ZINC000542580491 341014483 /nfs/dbraw/zinc/01/44/83/341014483.db2.gz HLXMCKNRATYKQU-AWEZNQCLSA-N 0 3 300.471 4.081 20 0 DIADHN Cc1cnc(C(C)(C)NCCCOc2ccc(C)c(C)c2)s1 ZINC000542581121 341014497 /nfs/dbraw/zinc/01/44/97/341014497.db2.gz PQXMICDPJCROHK-UHFFFAOYSA-N 0 3 318.486 4.362 20 0 DIADHN CC[C@H](NCCCOc1ccc2ccccc2c1)c1ccn(C)n1 ZINC000542662853 341015894 /nfs/dbraw/zinc/01/58/94/341015894.db2.gz URONSGXOUGTCGC-IBGZPJMESA-N 0 3 323.440 4.083 20 0 DIADHN C[C@@H](NCc1ccc(CN(C)C)cc1)c1ccc(F)cc1Cl ZINC000130322031 341016145 /nfs/dbraw/zinc/01/61/45/341016145.db2.gz QKPZBSBORBQAOG-CYBMUJFWSA-N 0 3 320.839 4.392 20 0 DIADHN COc1ccc([C@H](C)NCCC2CCC(OC)CC2)c(F)c1 ZINC000542683605 341017118 /nfs/dbraw/zinc/01/71/18/341017118.db2.gz PSYJFYVQZDWOSH-NFOMZHRRSA-N 0 3 309.425 4.080 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](NCc3ccc(Cl)o3)CCO2)cc1 ZINC000542688523 341017296 /nfs/dbraw/zinc/01/72/96/341017296.db2.gz AKUHDIKHEZOVCQ-ZFWWWQNUSA-N 0 3 309.768 4.082 20 0 DIADHN CN(C/C=C\c1ccc(F)cc1F)[C@H](CCO)c1ccccc1 ZINC000542702870 341018123 /nfs/dbraw/zinc/01/81/23/341018123.db2.gz XNJQUJSEAWLPTM-NVAPOSRTSA-N 0 3 317.379 4.034 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000130344462 341018954 /nfs/dbraw/zinc/01/89/54/341018954.db2.gz FRAMQWJCJVBWDW-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN c1nc(CNCCC(c2ccccc2)c2ccccc2)cs1 ZINC000070945861 341020136 /nfs/dbraw/zinc/02/01/36/341020136.db2.gz MIJHSTQHHXNCOK-UHFFFAOYSA-N 0 3 308.450 4.455 20 0 DIADHN Cc1oncc1CN[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F ZINC000130428686 341021492 /nfs/dbraw/zinc/02/14/92/341021492.db2.gz MFXNCRNMTYONAK-GHMZBOCLSA-N 0 3 312.335 4.284 20 0 DIADHN CC(C)[C@H](N[C@H]1CCN(C2CC2)C1)c1ccc(Cl)cc1F ZINC000542844204 341023109 /nfs/dbraw/zinc/02/31/09/341023109.db2.gz OIGQWAJFKMEYID-GUYCJALGSA-N 0 3 310.844 4.003 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCc2c[nH]nc2C1)c1ccc(Cl)cc1F ZINC000542845944 341023183 /nfs/dbraw/zinc/02/31/83/341023183.db2.gz GBVVZAOYXBTYFR-SUMWQHHRSA-N 0 3 321.827 4.046 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2C)cc1 ZINC000542846595 341023275 /nfs/dbraw/zinc/02/32/75/341023275.db2.gz MUEWZOJEQPYABB-YRVVQQKDSA-N 0 3 308.425 4.229 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C2CCC(c3ccc(F)cc3)CC2)n1 ZINC000542853856 341023796 /nfs/dbraw/zinc/02/37/96/341023796.db2.gz LNBAJEIFZYCJLX-UHFFFAOYSA-N 0 3 314.404 4.051 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2cccs2)c(C)n1 ZINC000542857488 341023858 /nfs/dbraw/zinc/02/38/58/341023858.db2.gz YAPDQCXBUPKOAD-HNNXBMFYSA-N 0 3 302.443 4.106 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2cccs2)c(C)n1 ZINC000542857489 341023863 /nfs/dbraw/zinc/02/38/63/341023863.db2.gz YAPDQCXBUPKOAD-OAHLLOKOSA-N 0 3 302.443 4.106 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3ncc(-c4ccc(F)cc4)o3)C2)C1 ZINC000542881621 341025120 /nfs/dbraw/zinc/02/51/20/341025120.db2.gz SMWOBWQEJVSMGZ-AUUYWEPGSA-N 0 3 314.404 4.493 20 0 DIADHN CO[C@@H](C)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000542930385 341026526 /nfs/dbraw/zinc/02/65/26/341026526.db2.gz ORKFRTUZKTXUBH-SWLSCSKDSA-N 0 3 301.352 4.267 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2c2ccnc3ccccc32)C1 ZINC000542937053 341026816 /nfs/dbraw/zinc/02/68/16/341026816.db2.gz ZYHXFLOXCMGMNE-BIJSTVTOSA-N 0 3 310.441 4.001 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccoc3)n2)[C@H]2CCCC[C@@H]12 ZINC000542972141 341027493 /nfs/dbraw/zinc/02/74/93/341027493.db2.gz KBJUKLWVDWQAEE-KCXAZCMYSA-N 0 3 302.443 4.414 20 0 DIADHN CO[C@H](C)CN[C@H](c1ccc(Cl)c(C(F)(F)F)c1)C1CC1 ZINC000542978096 341027731 /nfs/dbraw/zinc/02/77/31/341027731.db2.gz VJDYXQXNGFSMIQ-OTYXRUKQSA-N 0 3 321.770 4.434 20 0 DIADHN Cc1cccc(CN2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)c1 ZINC000542986050 341027855 /nfs/dbraw/zinc/02/78/55/341027855.db2.gz IPFZGMDXXCYPHL-HNNXBMFYSA-N 0 3 323.362 4.047 20 0 DIADHN C[C@@H](CCc1n[nH]c2ccccc12)NC1(c2ccccc2F)CC1 ZINC000543013378 341028327 /nfs/dbraw/zinc/02/83/27/341028327.db2.gz QVVOULNRDYJMSV-AWEZNQCLSA-N 0 3 323.415 4.302 20 0 DIADHN CCc1ccc(CN2CCC(c3ccc(C)nc3)CC2)s1 ZINC000543103081 341032200 /nfs/dbraw/zinc/03/22/00/341032200.db2.gz AEVBSSHESXDJMD-UHFFFAOYSA-N 0 3 300.471 4.394 20 0 DIADHN CCc1ccc([C@@H](COC)NC2CC(c3cccc(F)c3)C2)o1 ZINC000543107998 341032289 /nfs/dbraw/zinc/03/22/89/341032289.db2.gz SPZJYSLUPAJSIV-JFUPDXTOSA-N 0 3 317.404 4.204 20 0 DIADHN CC(C)(C)OC(=O)CCCN1CCC[C@@H]1c1ccc(F)cc1 ZINC000543140757 341033884 /nfs/dbraw/zinc/03/38/84/341033884.db2.gz RFWIBAGRDGKXKJ-MRXNPFEDSA-N 0 3 307.409 4.085 20 0 DIADHN CN(Cc1coc(-c2c(F)cccc2F)n1)C[C@H]1CC=CCC1 ZINC000543176863 341035241 /nfs/dbraw/zinc/03/52/41/341035241.db2.gz AFYCFASHGZUSDQ-ZDUSSCGKSA-N 0 3 318.367 4.408 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000543181019 341035542 /nfs/dbraw/zinc/03/55/42/341035542.db2.gz HNUHNJBPHHMKAT-HUUCEWRRSA-N 0 3 320.864 4.264 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N(C)C[C@H]2CC=CCC2)n1 ZINC000543182522 341035664 /nfs/dbraw/zinc/03/56/64/341035664.db2.gz WDKXPDXGYWCKLK-HOTGVXAUSA-N 0 3 311.429 4.394 20 0 DIADHN C[C@]1(NCc2cc(Cl)ccc2Cl)CCO[C@@H]1C1CC1 ZINC000543237207 341037500 /nfs/dbraw/zinc/03/75/00/341037500.db2.gz ULTNLVXGJAAHAD-CABCVRRESA-N 0 3 300.229 4.041 20 0 DIADHN CS[C@@H](CNCc1cnc(-c2ccccc2)nc1)C(C)(C)C ZINC000543240670 341037624 /nfs/dbraw/zinc/03/76/24/341037624.db2.gz YBEWPBNTKKCJPI-INIZCTEOSA-N 0 3 315.486 4.011 20 0 DIADHN CCc1cnc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)s1 ZINC000543395668 341042147 /nfs/dbraw/zinc/04/21/47/341042147.db2.gz PLIQXWVEUHOBPE-GDBMZVCRSA-N 0 3 300.471 4.159 20 0 DIADHN CN(Cc1cn(C)nc1C1CCCCC1)C1Cc2ccccc2C1 ZINC000543397720 341042215 /nfs/dbraw/zinc/04/22/15/341042215.db2.gz CKSWOHXKBDCJKC-UHFFFAOYSA-N 0 3 323.484 4.067 20 0 DIADHN CCc1ncc(CN2C[C@H](C)C[C@@H]2c2cccc(OC)c2)s1 ZINC000543412949 341042924 /nfs/dbraw/zinc/04/29/24/341042924.db2.gz VBDHTYBNBLAMDT-CXAGYDPISA-N 0 3 316.470 4.297 20 0 DIADHN CCC[C@H](NCCCNc1ccccc1F)c1ccccn1 ZINC000543416592 341043290 /nfs/dbraw/zinc/04/32/90/341043290.db2.gz PLFRIESFWPGRRU-KRWDZBQOSA-N 0 3 301.409 4.154 20 0 DIADHN Cc1ccccc1CCN[C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000543423807 341043669 /nfs/dbraw/zinc/04/36/69/341043669.db2.gz BKGBNNXQEWJMBL-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN COC[C@H](NC[C@@H](SC)C(C)(C)C)c1ccc(F)c(F)c1 ZINC000543427707 341043968 /nfs/dbraw/zinc/04/39/68/341043968.db2.gz DVMKAYHLCFDEJL-LSDHHAIUSA-N 0 3 317.445 4.020 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1ccc(F)c(F)c1 ZINC000543430061 341044323 /nfs/dbraw/zinc/04/43/23/341044323.db2.gz ZNWSRHORAVDLHO-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2CCO[C@H]2CCCCO2)o1 ZINC000543445026 341044954 /nfs/dbraw/zinc/04/49/54/341044954.db2.gz SJJJRCKNKAAWLD-AEFFLSMTSA-N 0 3 307.434 4.048 20 0 DIADHN CN(CCO[C@H]1CCCCO1)C1c2ccccc2-c2ccccc21 ZINC000543444737 341045011 /nfs/dbraw/zinc/04/50/11/341045011.db2.gz WSAIIOBNDVIWHK-FQEVSTJZSA-N 0 3 323.436 4.231 20 0 DIADHN COCC[C@H](NCCSc1ccc(C)c(C)c1)c1ccco1 ZINC000543458860 341045817 /nfs/dbraw/zinc/04/58/17/341045817.db2.gz NFPDBHZVSSGSHF-KRWDZBQOSA-N 0 3 319.470 4.356 20 0 DIADHN Cc1cnc([C@@H](NCCCc2ccc(C)cc2)C2CC2)s1 ZINC000543461508 341045906 /nfs/dbraw/zinc/04/59/06/341045906.db2.gz IMCNVWHEMQZGCO-KRWDZBQOSA-N 0 3 300.471 4.433 20 0 DIADHN C[C@@H](NCc1nc(-c2ccco2)no1)[C@@H](C)C1CCCCC1 ZINC000543460991 341045987 /nfs/dbraw/zinc/04/59/87/341045987.db2.gz TWHCIOIPNDCUNM-CHWSQXEVSA-N 0 3 303.406 4.024 20 0 DIADHN CCc1sc(-c2nnc(CN[C@@H](C)[C@@H](C)CC)o2)cc1C ZINC000543471470 341046408 /nfs/dbraw/zinc/04/64/08/341046408.db2.gz GKYLFXMGPDWJEZ-JQWIXIFHSA-N 0 3 307.463 4.193 20 0 DIADHN c1ccc(CC[C@@H]2CN(c3ccnc4ccccc43)CCO2)cc1 ZINC000543483636 341046816 /nfs/dbraw/zinc/04/68/16/341046816.db2.gz UYIXBWCGJVHEAS-GOSISDBHSA-N 0 3 318.420 4.073 20 0 DIADHN CCC(C)(C)NC(=O)[C@H](C)N[C@@H](CC(C)C)c1cccs1 ZINC000543488004 341047111 /nfs/dbraw/zinc/04/71/11/341047111.db2.gz XJVICICGEKYRSW-KBPBESRZSA-N 0 3 310.507 4.118 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cnn(C(C)C)c2)c2ccccc2n1 ZINC000543503376 341047766 /nfs/dbraw/zinc/04/77/66/341047766.db2.gz OCGVRZDMAYFISA-HNNXBMFYSA-N 0 3 308.429 4.171 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)cc1F ZINC000543506384 341047948 /nfs/dbraw/zinc/04/79/48/341047948.db2.gz QQVXSGUCGJTNTO-GFCCVEGCSA-N 0 3 320.433 4.048 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000130829280 341048431 /nfs/dbraw/zinc/04/84/31/341048431.db2.gz JQEUBYVFFWGRGT-ZDUSSCGKSA-N 0 3 316.832 4.491 20 0 DIADHN CCCN(CCC)Cc1csc(-c2ccccc2OC)n1 ZINC000071809196 341048710 /nfs/dbraw/zinc/04/87/10/341048710.db2.gz XNAIDIHRRJYZCD-UHFFFAOYSA-N 0 3 304.459 4.441 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](C)c1nc(C)cs1 ZINC000543599392 341050468 /nfs/dbraw/zinc/05/04/68/341050468.db2.gz WCMMSZINKKLGLS-TZMCWYRMSA-N 0 3 304.459 4.223 20 0 DIADHN CN(CCc1ccncc1)Cc1ccc(-c2ccccc2F)o1 ZINC000543619922 341051318 /nfs/dbraw/zinc/05/13/18/341051318.db2.gz QEELLOJLIPMFIA-UHFFFAOYSA-N 0 3 310.372 4.155 20 0 DIADHN CC1(C)CCC(CN[C@@H](c2ccccc2)c2cncnc2)CC1 ZINC000543625123 341051600 /nfs/dbraw/zinc/05/16/00/341051600.db2.gz GJLCRLFYMQEALY-IBGZPJMESA-N 0 3 309.457 4.372 20 0 DIADHN C[C@H](CCc1cccc(C(F)(F)F)c1)N[C@@H](C)c1ccon1 ZINC000543628685 341051904 /nfs/dbraw/zinc/05/19/04/341051904.db2.gz WBGRYJZQHKMKKC-NEPJUHHUSA-N 0 3 312.335 4.365 20 0 DIADHN Cc1ccc(OCCN[C@H](c2ccc(F)cn2)C(C)C)c(C)c1 ZINC000543707657 341054687 /nfs/dbraw/zinc/05/46/87/341054687.db2.gz SLVBZRCCHWRMRN-IBGZPJMESA-N 0 3 316.420 4.203 20 0 DIADHN CN(C(=O)CN[C@H](c1ccccc1)C(C)(C)C)c1ccccc1 ZINC000071966368 341055867 /nfs/dbraw/zinc/05/58/67/341055867.db2.gz PHOVEPVCTOLZIS-LJQANCHMSA-N 0 3 310.441 4.026 20 0 DIADHN C[C@H](O)[C@@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000543748334 341056623 /nfs/dbraw/zinc/05/66/23/341056623.db2.gz VLZRBIAJZGDCDL-DZGCQCFKSA-N 0 3 319.832 4.193 20 0 DIADHN C[C@@]1(NCc2cc(F)c(F)c(F)c2)CCCc2ccccc21 ZINC000543749057 341056777 /nfs/dbraw/zinc/05/67/77/341056777.db2.gz VCJMFNWCRHLAES-GOSISDBHSA-N 0 3 305.343 4.445 20 0 DIADHN Fc1ccc(CNCc2c[nH]nc2-c2ccccc2)cc1Cl ZINC000072063825 341059411 /nfs/dbraw/zinc/05/94/11/341059411.db2.gz CAGVRFGRUCHZCV-UHFFFAOYSA-N 0 3 315.779 4.159 20 0 DIADHN OC[C@@H](NCCCc1cccc2ccccc21)c1cccc(F)c1 ZINC000543837816 341061093 /nfs/dbraw/zinc/06/10/93/341061093.db2.gz JBUQASARNUVLBW-OAQYLSRUSA-N 0 3 323.411 4.235 20 0 DIADHN Cc1cc(C2CCN([C@@H](C)c3ccccc3Cl)CC2)n[nH]1 ZINC000543905027 341063000 /nfs/dbraw/zinc/06/30/00/341063000.db2.gz AMTJKWHWSTYOOF-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN Cc1cc(C2CCN([C@@H](C)c3ccccc3Cl)CC2)[nH]n1 ZINC000543905027 341063001 /nfs/dbraw/zinc/06/30/01/341063001.db2.gz AMTJKWHWSTYOOF-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC(c2cc(C)[nH]n2)CC1 ZINC000543910282 341063153 /nfs/dbraw/zinc/06/31/53/341063153.db2.gz VCCADGXKZPXZSM-SFHVURJKSA-N 0 3 319.399 4.327 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC(c2cc(C)n[nH]2)CC1 ZINC000543910282 341063154 /nfs/dbraw/zinc/06/31/54/341063154.db2.gz VCCADGXKZPXZSM-SFHVURJKSA-N 0 3 319.399 4.327 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)cc1 ZINC000543915519 341063372 /nfs/dbraw/zinc/06/33/72/341063372.db2.gz AWUAGWXZYFBAAM-OAHLLOKOSA-N 0 3 323.362 4.047 20 0 DIADHN CCC[C@H](NCc1nnc(C2CC2)n1C1CC1)C1CCCCC1 ZINC000543978920 341064872 /nfs/dbraw/zinc/06/48/72/341064872.db2.gz FPIRJTUWQPNPCN-KRWDZBQOSA-N 0 3 316.493 4.329 20 0 DIADHN COCC[C@@H](N[C@H](C)Cc1ccccc1SC)c1ccco1 ZINC000544039803 341067542 /nfs/dbraw/zinc/06/75/42/341067542.db2.gz QJHRMBDIMNSCNQ-GDBMZVCRSA-N 0 3 319.470 4.300 20 0 DIADHN CN(CCCc1ccccc1Br)Cc1ccco1 ZINC000544070395 341069081 /nfs/dbraw/zinc/06/90/81/341069081.db2.gz WVPYLCCKFXKVCQ-UHFFFAOYSA-N 0 3 308.219 4.107 20 0 DIADHN CCOC(=O)C1CCN([C@H](C)c2ccc(C(C)C)cc2)CC1 ZINC000544070904 341069174 /nfs/dbraw/zinc/06/91/74/341069174.db2.gz ODQUHLHGSQDFEW-OAHLLOKOSA-N 0 3 303.446 4.146 20 0 DIADHN CC(C)[C@@H](O)CN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131262173 341070962 /nfs/dbraw/zinc/07/09/62/341070962.db2.gz NHAITSGKSXMWDV-KWQFWETISA-N 0 3 310.652 4.314 20 0 DIADHN CS[C@@H](CNCc1cn(-c2ccccc2)nc1C)C(C)(C)C ZINC000544175479 341072988 /nfs/dbraw/zinc/07/29/88/341072988.db2.gz WLTQBLLPIWAWKX-KRWDZBQOSA-N 0 3 317.502 4.048 20 0 DIADHN C[C@H](N[C@H](Cc1ccccc1)c1ccccc1F)[C@H]1CCCO1 ZINC000544358769 341077971 /nfs/dbraw/zinc/07/79/71/341077971.db2.gz LRSJDOSPVYDPNP-CWFSZBLJSA-N 0 3 313.416 4.267 20 0 DIADHN CS[C@H](CNCc1cnc(CC(C)C)s1)C(C)(C)C ZINC000544369037 341078399 /nfs/dbraw/zinc/07/83/99/341078399.db2.gz WQDQWSJWTLCDRK-CYBMUJFWSA-N 0 3 300.537 4.209 20 0 DIADHN Fc1ccc([C@@H](NCC2CC2)c2cnc3ccccc3c2)cc1 ZINC000544368251 341078483 /nfs/dbraw/zinc/07/84/83/341078483.db2.gz OATPPAGERPVKGW-HXUWFJFHSA-N 0 3 306.384 4.463 20 0 DIADHN CC(C)[C@H](NCCCNc1cccc(F)c1)c1ccc(F)cn1 ZINC000544375353 341079050 /nfs/dbraw/zinc/07/90/50/341079050.db2.gz TZRIJOMJFKSEHL-SFHVURJKSA-N 0 3 319.399 4.149 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCC(F)(F)C2)c2cccnc2)cc1 ZINC000544380620 341079341 /nfs/dbraw/zinc/07/93/41/341079341.db2.gz QJYOLXUIFYJSBT-IAGOWNOFSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H](NC1(c2cccc(C(F)(F)F)c2)CCC1)[C@@H]1CCCO1 ZINC000544384527 341079508 /nfs/dbraw/zinc/07/95/08/341079508.db2.gz UTMDTQRRLZYUCT-DOMZBBRYSA-N 0 3 313.363 4.242 20 0 DIADHN COCC[C@@H](Cc1ccco1)N[C@@H](C)c1ccccc1Cl ZINC000544385947 341079711 /nfs/dbraw/zinc/07/97/11/341079711.db2.gz JXGQNWTUJUCHGE-KBPBESRZSA-N 0 3 307.821 4.231 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@H](c2ccnn2C)C1 ZINC000544409005 341080915 /nfs/dbraw/zinc/08/09/15/341080915.db2.gz XXBKHSJNRZSCIP-GUYCJALGSA-N 0 3 319.399 4.029 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN(CCCc2ccncc2)C2CC2)o1 ZINC000544410294 341080986 /nfs/dbraw/zinc/08/09/86/341080986.db2.gz DTMZEAMVIOTWMV-KXBFYZLASA-N 0 3 310.441 4.395 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](Nc3ccnc4ccccc43)CCO2)cc1 ZINC000544415485 341081288 /nfs/dbraw/zinc/08/12/88/341081288.db2.gz RLYAQVQHXYSIBP-JXFKEZNVSA-N 0 3 322.383 4.128 20 0 DIADHN CCCC[C@@H](NCc1nc(-c2ccsc2)no1)C1CCC1 ZINC000544415025 341081353 /nfs/dbraw/zinc/08/13/53/341081353.db2.gz GCIHFYYUVWGERX-CQSZACIVSA-N 0 3 305.447 4.247 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@H](CC(C)C)c1cccs1 ZINC000544448432 341082557 /nfs/dbraw/zinc/08/25/57/341082557.db2.gz UFUZBHSRNBLGCB-HUUCEWRRSA-N 0 3 310.507 4.120 20 0 DIADHN CCN(C(=O)[C@H](C)NC(C)(C)c1cccs1)c1ccccc1 ZINC000544464827 341083335 /nfs/dbraw/zinc/08/33/35/341083335.db2.gz LZOJXKWLFJDCPV-AWEZNQCLSA-N 0 3 316.470 4.014 20 0 DIADHN Cc1c(Cl)c(CN[C@@H](C)[C@H]2CCCOC2)nc2ccccc12 ZINC000544472599 341083664 /nfs/dbraw/zinc/08/36/64/341083664.db2.gz UTPXPBIRDDQHGY-KBPBESRZSA-N 0 3 318.848 4.101 20 0 DIADHN COCC[C@@H](NCCCc1cccc(F)c1)c1ccc(C)o1 ZINC000544471136 341083732 /nfs/dbraw/zinc/08/37/32/341083732.db2.gz SVHSLUHJKONVPB-QGZVFWFLSA-N 0 3 305.393 4.027 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000131425998 341085984 /nfs/dbraw/zinc/08/59/84/341085984.db2.gz HYAMVXSZUJIEME-HXUWFJFHSA-N 0 3 323.484 4.485 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccc(F)cc1Cl)c1ccn(C)n1 ZINC000544567179 341086015 /nfs/dbraw/zinc/08/60/15/341086015.db2.gz QDVAOWYNXKNVFR-HNNXBMFYSA-N 0 3 323.843 4.231 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc(-n3ccnc3)c2)C2CCC2)o1 ZINC000544569322 341086217 /nfs/dbraw/zinc/08/62/17/341086217.db2.gz NSMPPHPKCIQVCK-FQEVSTJZSA-N 0 3 321.424 4.405 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CCCc3c(Cl)cccc32)c1C ZINC000544577736 341086911 /nfs/dbraw/zinc/08/69/11/341086911.db2.gz IWUDCSZNWKKOAO-SFHVURJKSA-N 0 3 317.864 4.340 20 0 DIADHN C[C@H]1N(Cc2c(Cl)oc3ccccc32)CCC12CCOCC2 ZINC000544616452 341089372 /nfs/dbraw/zinc/08/93/72/341089372.db2.gz IUPCUZABUUKSDN-CYBMUJFWSA-N 0 3 319.832 4.477 20 0 DIADHN c1ccc(-c2noc([C@@H]3C[C@@H]3C3CC3)n2)c(CN2CCCCC2)c1 ZINC000544805816 341094329 /nfs/dbraw/zinc/09/43/29/341094329.db2.gz XTDDGFINPXZCOV-QZTJIDSGSA-N 0 3 323.440 4.236 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cc(OC)ccc1OC ZINC000544831063 341095294 /nfs/dbraw/zinc/09/52/94/341095294.db2.gz TWLWZIOZMQLOGF-GHMZBOCLSA-N 0 3 305.340 4.085 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cc(OC)ccc1OC ZINC000544831064 341095377 /nfs/dbraw/zinc/09/53/77/341095377.db2.gz TWLWZIOZMQLOGF-MNOVXSKESA-N 0 3 305.340 4.085 20 0 DIADHN CCN(Cc1ccccc1)C(=O)CN[C@@H](C)c1c(C)cccc1C ZINC000544894690 341097700 /nfs/dbraw/zinc/09/77/00/341097700.db2.gz VXKWWACZPCMQFR-SFHVURJKSA-N 0 3 324.468 4.003 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCC[C@@H]4CCC[C@@H]43)o2)cc1 ZINC000072693464 341099179 /nfs/dbraw/zinc/09/91/79/341099179.db2.gz QLAKZAMCXZPCKI-WFASDCNBSA-N 0 3 317.820 4.155 20 0 DIADHN COc1ccc(C[C@H](C)CN(C)Cc2ccoc2C)cc1F ZINC000545013371 341104157 /nfs/dbraw/zinc/10/41/57/341104157.db2.gz YHXDMQAEDAONHI-ZDUSSCGKSA-N 0 3 305.393 4.046 20 0 DIADHN CCN(Cc1ccc(-c2nc([C@H](C)C3CC3)no2)cc1)C1CC1 ZINC000545093021 341108097 /nfs/dbraw/zinc/10/80/97/341108097.db2.gz VZGCMKLPVFDTLS-CYBMUJFWSA-N 0 3 311.429 4.234 20 0 DIADHN CCC1(CNCc2cnc([C@@H]3CCCO3)s2)CCCCC1 ZINC000545150716 341110451 /nfs/dbraw/zinc/11/04/51/341110451.db2.gz QLAPGXDMNKOJGH-HNNXBMFYSA-N 0 3 308.491 4.445 20 0 DIADHN CC[C@@H](NCCc1ccc(C)nc1)c1cccc(Cl)c1F ZINC000545184507 341112143 /nfs/dbraw/zinc/11/21/43/341112143.db2.gz GWJINWDAKBHBFQ-MRXNPFEDSA-N 0 3 306.812 4.466 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000545187187 341112300 /nfs/dbraw/zinc/11/23/00/341112300.db2.gz LRFCHUXAMOHZMA-CQSZACIVSA-N 0 3 305.368 4.007 20 0 DIADHN CCCCN(CC)[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000131885126 341113033 /nfs/dbraw/zinc/11/30/33/341113033.db2.gz JQGDCZXKLHHSBJ-LBPRGKRZSA-N 0 3 316.367 4.154 20 0 DIADHN CCCCN(CC)[C@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000131885361 341113169 /nfs/dbraw/zinc/11/31/69/341113169.db2.gz JQGDCZXKLHHSBJ-GFCCVEGCSA-N 0 3 316.367 4.154 20 0 DIADHN c1cn(C2CCCC2)nc1CN[C@H]1CC[C@H](c2ccccc2)C1 ZINC000132218338 341118605 /nfs/dbraw/zinc/11/86/05/341118605.db2.gz GISJQOZCZIHWDF-ROUUACIJSA-N 0 3 309.457 4.424 20 0 DIADHN C[C@H](NCc1cc(Cl)ccc1OCc1cccnc1)C1CC1 ZINC000073649769 341119616 /nfs/dbraw/zinc/11/96/16/341119616.db2.gz NGKJCZOGEMNBJT-ZDUSSCGKSA-N 0 3 316.832 4.202 20 0 DIADHN C[C@H](N(Cc1ccc(CO)o1)Cc1ccccc1)C(C)(C)C ZINC000545304913 341120232 /nfs/dbraw/zinc/12/02/32/341120232.db2.gz ZPACEYXNNWPBOA-HNNXBMFYSA-N 0 3 301.430 4.209 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H]1CCCc2c(C)ccc(C)c21 ZINC000545310463 341120542 /nfs/dbraw/zinc/12/05/42/341120542.db2.gz PTRMNFXMVJWVNR-WOJBJXKFSA-N 0 3 309.453 4.312 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000564288925 341122350 /nfs/dbraw/zinc/12/23/50/341122350.db2.gz QCWQMUPNDMJISI-SRVKXCTJSA-N 0 3 307.825 4.475 20 0 DIADHN Cc1ncsc1CN[C@@H](C)[C@@H](C)c1nc2ccccc2s1 ZINC000132428207 341122823 /nfs/dbraw/zinc/12/28/23/341122823.db2.gz PFPDCOZUFCTIPZ-MNOVXSKESA-N 0 3 317.483 4.343 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(OC)cc1OC ZINC000545457551 341125830 /nfs/dbraw/zinc/12/58/30/341125830.db2.gz GGYNGSNYOGFOHP-MNOVXSKESA-N 0 3 305.340 4.085 20 0 DIADHN CCO[C@@H]1COCC[C@@H]1N[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000545457545 341125880 /nfs/dbraw/zinc/12/58/80/341125880.db2.gz GETAPZYKOJELRM-JCGIZDLHSA-N 0 3 323.502 4.032 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H]1CCCc2ccc(OC)cc21 ZINC000545459118 341126033 /nfs/dbraw/zinc/12/60/33/341126033.db2.gz GZBYDHDFMPAQDA-IUODEOHRSA-N 0 3 301.352 4.393 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(SC)c(OC)c1 ZINC000545468308 341126404 /nfs/dbraw/zinc/12/64/04/341126404.db2.gz PTEDACDIUJSCQV-LLVKDONJSA-N 0 3 307.381 4.238 20 0 DIADHN C/C(=C\c1nc(-c2ccccc2CN2CCCC2)no1)C1CC1 ZINC000545529440 341129116 /nfs/dbraw/zinc/12/91/16/341129116.db2.gz FXMYLWVUQQBCSK-WYMLVPIESA-N 0 3 309.413 4.146 20 0 DIADHN CCN(Cc1ccc(-c2nc(CC3=CCCC3)no2)cc1)C1CC1 ZINC000545550801 341130078 /nfs/dbraw/zinc/13/00/78/341130078.db2.gz FFUBOFRFHAIRRK-UHFFFAOYSA-N 0 3 323.440 4.374 20 0 DIADHN CCOc1ccc(CN[C@H](CC)CC(F)(F)F)cc1OCC ZINC000545554803 341130285 /nfs/dbraw/zinc/13/02/85/341130285.db2.gz MDWMZISTYFOFCG-CYBMUJFWSA-N 0 3 319.367 4.305 20 0 DIADHN c1ccc2c(c1)nc(CN[C@@H]1CCC[C@H](C3CC3)C1)n2C1CC1 ZINC000545559833 341130332 /nfs/dbraw/zinc/13/03/32/341130332.db2.gz ZEERUAMQJCVKTK-JKSUJKDBSA-N 0 3 309.457 4.430 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc2ccccc2nc1OC ZINC000545559480 341130405 /nfs/dbraw/zinc/13/04/05/341130405.db2.gz IXWRPUQXUBGDQN-ZDUSSCGKSA-N 0 3 312.335 4.064 20 0 DIADHN C[C@H](N[C@@H](CCO)C(C)(C)C)c1ccccc1OC(F)(F)F ZINC000545599216 341132528 /nfs/dbraw/zinc/13/25/28/341132528.db2.gz DNXTZOUULSLBEY-FZMZJTMJSA-N 0 3 319.367 4.033 20 0 DIADHN C[C@H](N[C@H](CCO)C(C)(C)C)c1ccccc1OC(F)(F)F ZINC000545599218 341132532 /nfs/dbraw/zinc/13/25/32/341132532.db2.gz DNXTZOUULSLBEY-SMDDNHRTSA-N 0 3 319.367 4.033 20 0 DIADHN C[C@@]1(NCc2cccc3c2OCCCO3)CCCc2ccccc21 ZINC000545874495 341143650 /nfs/dbraw/zinc/14/36/50/341143650.db2.gz HLWDYYVQFFNAQL-OAQYLSRUSA-N 0 3 323.436 4.189 20 0 DIADHN FC(F)(F)COc1ccc(CN2CCC[C@H]2c2ccc[nH]2)cc1 ZINC000132754353 341144746 /nfs/dbraw/zinc/14/47/46/341144746.db2.gz JWFKHCHFWASGOH-INIZCTEOSA-N 0 3 324.346 4.293 20 0 DIADHN CC(C)(C)C[C@H](NCc1ncccc1[O-])c1ccc(F)cc1 ZINC000564296737 341147122 /nfs/dbraw/zinc/14/71/22/341147122.db2.gz BDBYDGCQCSMTKM-HNNXBMFYSA-N 0 3 302.393 4.193 20 0 DIADHN CC(C)(C)C[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(F)cc1 ZINC000564296737 341147123 /nfs/dbraw/zinc/14/71/23/341147123.db2.gz BDBYDGCQCSMTKM-HNNXBMFYSA-N 0 3 302.393 4.193 20 0 DIADHN CC1(c2ccccc2)CC(NCc2cnn(-c3ccccc3)c2)C1 ZINC000545961124 341148556 /nfs/dbraw/zinc/14/85/56/341148556.db2.gz ZTZWPYZYBLWVQD-UHFFFAOYSA-N 0 3 317.436 4.082 20 0 DIADHN C[C@H](CCN[C@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000545978403 341149190 /nfs/dbraw/zinc/14/91/90/341149190.db2.gz KGWAXMBNYVCIEB-DYESRHJHSA-N 0 3 317.436 4.349 20 0 DIADHN CC[C@@H](O)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000545974750 341149228 /nfs/dbraw/zinc/14/92/28/341149228.db2.gz VBMRUSRAMSRXDX-CABCVRRESA-N 0 3 301.352 4.003 20 0 DIADHN CCc1ccc(CN2CCC(Nc3nc(C)cs3)CC2)cc1 ZINC000546028784 341151930 /nfs/dbraw/zinc/15/19/30/341151930.db2.gz JISFHOCVBDRHIF-UHFFFAOYSA-N 0 3 315.486 4.090 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(C(F)(F)F)c2)cn1 ZINC000546061532 341153059 /nfs/dbraw/zinc/15/30/59/341153059.db2.gz PYALVSYVGCVZHY-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cnc2c(cnn2C(C)C)c1 ZINC000546173298 341155829 /nfs/dbraw/zinc/15/58/29/341155829.db2.gz QDLSTCDUYMCVKD-MRXNPFEDSA-N 0 3 322.456 4.480 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000546173348 341155955 /nfs/dbraw/zinc/15/59/55/341155955.db2.gz RGFNIUGXCSCDEU-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1ccc(-n2cncn2)c(C)c1 ZINC000546176821 341156003 /nfs/dbraw/zinc/15/60/03/341156003.db2.gz HZWKZXCMSOGDFY-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000546173362 341156036 /nfs/dbraw/zinc/15/60/36/341156036.db2.gz RGFNIUGXCSCDEU-QGZVFWFLSA-N 0 3 305.425 4.340 20 0 DIADHN COCC[C@@H](Nc1ccnc2ccc(C)cc21)c1ccc(C)o1 ZINC000546182029 341156431 /nfs/dbraw/zinc/15/64/31/341156431.db2.gz LULWRZDTZIJMEJ-GOSISDBHSA-N 0 3 310.397 4.056 20 0 DIADHN C[C@H](NCCc1ccn(C)n1)c1cc2c(ccc3ccccc23)o1 ZINC000546227040 341157947 /nfs/dbraw/zinc/15/79/47/341157947.db2.gz ORGGKVXMDAXQJY-AWEZNQCLSA-N 0 3 319.408 4.213 20 0 DIADHN COc1cc([C@@H](C)NC[C@H]2CCC3(CCCCC3)O2)ccc1F ZINC000564302074 341164167 /nfs/dbraw/zinc/16/41/67/341164167.db2.gz KOMDCRLSVZCIII-GDBMZVCRSA-N 0 3 321.436 4.367 20 0 DIADHN CC[C@H](C)C[C@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000075162421 341168918 /nfs/dbraw/zinc/16/89/18/341168918.db2.gz UMJOQTRCFTXTSB-KBPBESRZSA-N 0 3 316.445 4.049 20 0 DIADHN CC1(C)CCC(C)(C[NH2+][C@H](c2cccs2)c2nnc[n-]2)CC1 ZINC000564305685 341175593 /nfs/dbraw/zinc/17/55/93/341175593.db2.gz RNRFDDPQBXILEK-CQSZACIVSA-N 0 3 318.490 4.152 20 0 DIADHN CC1(C)CCC(C)(CN[C@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000564305685 341175594 /nfs/dbraw/zinc/17/55/94/341175594.db2.gz RNRFDDPQBXILEK-CQSZACIVSA-N 0 3 318.490 4.152 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1ccc(F)c(OC)c1)c1ccccc1 ZINC000564306306 341176787 /nfs/dbraw/zinc/17/67/87/341176787.db2.gz BESXCWHYKYBPHB-IFXJQAMLSA-N 0 3 317.404 4.263 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCOCC2(CCC2)C1 ZINC000546810495 341182966 /nfs/dbraw/zinc/18/29/66/341182966.db2.gz RGDVVHLYVXIFLI-ZDUSSCGKSA-N 0 3 313.363 4.269 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1cc(OC)c(OC)cc1C ZINC000546870106 341184423 /nfs/dbraw/zinc/18/44/23/341184423.db2.gz IHKRBNPGZRISME-NEPJUHHUSA-N 0 3 319.367 4.394 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@H](CC)CC(F)(F)F)CCC2 ZINC000546871482 341184454 /nfs/dbraw/zinc/18/44/54/341184454.db2.gz LANCVBZHWQVQFO-NXEZZACHSA-N 0 3 306.397 4.404 20 0 DIADHN Cc1ccc2nccc(NCc3ncoc3-c3ccccc3)c2c1 ZINC000546886924 341185408 /nfs/dbraw/zinc/18/54/08/341185408.db2.gz FAKUGAAMVWNUIC-UHFFFAOYSA-N 0 3 315.376 4.232 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000546933809 341187422 /nfs/dbraw/zinc/18/74/22/341187422.db2.gz BKAPVUJZXMIPKW-WCJKSRRJSA-N 0 3 315.482 4.453 20 0 DIADHN CC(C)COc1ccc(CNCc2cccc3cc[nH]c32)cc1 ZINC000236716021 341196326 /nfs/dbraw/zinc/19/63/26/341196326.db2.gz MSNHKAMBTSRWGT-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN CC(C)n1cc([C@H](C)NCC(C)(C)c2ccccc2F)cn1 ZINC000133879479 341196848 /nfs/dbraw/zinc/19/68/48/341196848.db2.gz BZXNPEDPEJHKPL-AWEZNQCLSA-N 0 3 303.425 4.232 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@H]2CCC[C@H]2c2ccccc2)c1 ZINC000133972526 341203478 /nfs/dbraw/zinc/20/34/78/341203478.db2.gz RPLRUQRRTPTUDC-OALUTQOASA-N 0 3 322.452 4.168 20 0 DIADHN Cc1ccc(NCc2cccc3[nH]ccc32)c(OCCN(C)C)c1 ZINC000133973108 341203532 /nfs/dbraw/zinc/20/35/32/341203532.db2.gz TUZOACFTEIEESP-UHFFFAOYSA-N 0 3 323.440 4.029 20 0 DIADHN C[C@]1(NCc2ccc(N3CCCC3)nc2)CCCc2ccccc21 ZINC000547288350 341203782 /nfs/dbraw/zinc/20/37/82/341203782.db2.gz SMHAOWYIVLTEKZ-NRFANRHFSA-N 0 3 321.468 4.023 20 0 DIADHN Brc1ccc(C2(NCc3ccccc3)CC2)cc1 ZINC000076399667 341206846 /nfs/dbraw/zinc/20/68/46/341206846.db2.gz ACZWHTBZFQMHEA-UHFFFAOYSA-N 0 3 302.215 4.228 20 0 DIADHN C[C@H](NCc1nc2c(s1)C[C@@H](c1ccccc1)CC2)C1CC1 ZINC000547356426 341208653 /nfs/dbraw/zinc/20/86/53/341208653.db2.gz YGLBNAIBUZEBKD-BBRMVZONSA-N 0 3 312.482 4.304 20 0 DIADHN CCOc1ccc([C@@H](N[C@H](C)CCOC)c2ccccc2)cc1 ZINC000547367061 341209248 /nfs/dbraw/zinc/20/92/48/341209248.db2.gz MKVBOMMMTZWPQI-UZLBHIALSA-N 0 3 313.441 4.189 20 0 DIADHN CO[C@H](CNCc1cccc2cc[nH]c21)c1cccc(Cl)c1 ZINC000237212196 341209291 /nfs/dbraw/zinc/20/92/91/341209291.db2.gz SWQBQKROTIHWGG-QGZVFWFLSA-N 0 3 314.816 4.299 20 0 DIADHN Cc1ccc(CNCCOc2ccccc2-c2ccccc2)nc1 ZINC000134242617 341210667 /nfs/dbraw/zinc/21/06/67/341210667.db2.gz BLCFUIZGEQHMAP-UHFFFAOYSA-N 0 3 318.420 4.226 20 0 DIADHN CCCCCOc1ccc(CNC(C)(C)c2cnn(C)c2)cc1 ZINC000547410623 341211801 /nfs/dbraw/zinc/21/18/01/341211801.db2.gz WCXKXCOEPCLUMC-UHFFFAOYSA-N 0 3 315.461 4.014 20 0 DIADHN CCc1ccc(CN2CCC(Nc3nc(C)cs3)CC2)s1 ZINC000547442228 341213875 /nfs/dbraw/zinc/21/38/75/341213875.db2.gz GUNQNXUCDXNJDO-UHFFFAOYSA-N 0 3 321.515 4.152 20 0 DIADHN CS[C@H]1CCCCN([C@H](C)c2nnc(-c3ccccc3)o2)C1 ZINC000134567075 341218537 /nfs/dbraw/zinc/21/85/37/341218537.db2.gz XCYSOYJXOLALHZ-HIFRSBDPSA-N 0 3 317.458 4.015 20 0 DIADHN CS[C@@H]1CCCCN(Cc2nc(-c3ccsc3)oc2C)C1 ZINC000134590966 341219151 /nfs/dbraw/zinc/21/91/51/341219151.db2.gz RTJIRIVECAOPQI-CQSZACIVSA-N 0 3 322.499 4.429 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccc(F)c3cccnc23)C1 ZINC000134615744 341219757 /nfs/dbraw/zinc/21/97/57/341219757.db2.gz MTNGKOABUOYQOR-AWEZNQCLSA-N 0 3 304.434 4.091 20 0 DIADHN Fc1ccc(CN2CC[C@@H](Nc3ccccc3)C2)c2ncccc12 ZINC000237764285 341220132 /nfs/dbraw/zinc/22/01/32/341220132.db2.gz JHYKGZYLXOBKGC-QGZVFWFLSA-N 0 3 321.399 4.060 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)C1=CCCCCC1)c1ccccc1 ZINC000547520853 341220137 /nfs/dbraw/zinc/22/01/37/341220137.db2.gz QWEJOUSCHCXJBE-INIZCTEOSA-N 0 3 314.473 4.123 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCN(c3ccccc3Cl)C2)o1 ZINC000237799919 341220919 /nfs/dbraw/zinc/22/09/19/341220919.db2.gz TWPBIRZIEZJRBA-CQSZACIVSA-N 0 3 304.821 4.000 20 0 DIADHN C[C@H](NCCc1ccc(Cl)cc1)c1nc2c(s1)CCC2 ZINC000134658677 341221195 /nfs/dbraw/zinc/22/11/95/341221195.db2.gz JFLSVGYSCYBITK-NSHDSACASA-N 0 3 306.862 4.179 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C(C)C)C2CCC2)c(Cl)c1 ZINC000077357762 341223523 /nfs/dbraw/zinc/22/35/23/341223523.db2.gz IDRASXSTZVHBQO-UHFFFAOYSA-N 0 3 308.853 4.158 20 0 DIADHN CC(C)COC1CCN(CCSc2ccccc2F)CC1 ZINC000077394721 341224226 /nfs/dbraw/zinc/22/42/26/341224226.db2.gz XMISIRRSWKCQRF-UHFFFAOYSA-N 0 3 311.466 4.055 20 0 DIADHN C[C@@H](c1ccc(C(C)(C)C)cc1)N1CCC(O)(C(F)F)CC1 ZINC000547648819 341225060 /nfs/dbraw/zinc/22/50/60/341225060.db2.gz XDEAXGIVWBHGJV-ZDUSSCGKSA-N 0 3 311.416 4.137 20 0 DIADHN CC[C@@H](NCCCn1cccn1)c1ccc(Cl)cc1Cl ZINC000135100886 341230934 /nfs/dbraw/zinc/23/09/34/341230934.db2.gz YLWLVOSCFNXNEY-OAHLLOKOSA-N 0 3 312.244 4.321 20 0 DIADHN CCC[C@@H](N[C@@H](C)C(=O)NC(C)(C)C)c1ccc(Cl)cc1 ZINC000135139109 341232099 /nfs/dbraw/zinc/23/20/99/341232099.db2.gz VMIMQRDKANCHTJ-SWLSCSKDSA-N 0 3 310.869 4.074 20 0 DIADHN Cc1csc(CN[C@@H](C)C2(c3ccccc3)CCCC2)n1 ZINC000547828892 341235852 /nfs/dbraw/zinc/23/58/52/341235852.db2.gz MHSQRQAEXKDPJK-HNNXBMFYSA-N 0 3 300.471 4.442 20 0 DIADHN Cc1csc(CN[C@H](C)C2(c3ccccc3)CCCC2)n1 ZINC000547828893 341235861 /nfs/dbraw/zinc/23/58/61/341235861.db2.gz MHSQRQAEXKDPJK-OAHLLOKOSA-N 0 3 300.471 4.442 20 0 DIADHN CC[C@H](NCc1ccc(CN(C)C)c(F)c1)c1ccc(F)cc1 ZINC000135294496 341235877 /nfs/dbraw/zinc/23/58/77/341235877.db2.gz UOESEGQBFJJNCZ-IBGZPJMESA-N 0 3 318.411 4.267 20 0 DIADHN C[C@H](CN[C@@H](C)c1cccc(OC(F)(F)F)c1)C(F)(F)F ZINC000547829835 341235960 /nfs/dbraw/zinc/23/59/60/341235960.db2.gz NCHPMRWNBGTXBZ-BDAKNGLRSA-N 0 3 315.257 4.434 20 0 DIADHN C[C@H](CN[C@@H](C)c1cccc(Br)c1)C(F)(F)F ZINC000547830562 341236153 /nfs/dbraw/zinc/23/61/53/341236153.db2.gz PMQMJJPZHPZEAX-BDAKNGLRSA-N 0 3 310.157 4.298 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N(C)CCC2CC2)c(Cl)c1 ZINC000135308677 341236305 /nfs/dbraw/zinc/23/63/05/341236305.db2.gz AUXOAFDZKHAQOW-CYBMUJFWSA-N 0 3 308.853 4.016 20 0 DIADHN COCCOCCCCN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000135364518 341238128 /nfs/dbraw/zinc/23/81/28/341238128.db2.gz IKNKFCXNYABUPX-LBPRGKRZSA-N 0 3 320.260 4.087 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@H]2NCc2ncccc2C)c1 ZINC000547883201 341238806 /nfs/dbraw/zinc/23/88/06/341238806.db2.gz COUCURHTFLUXQH-QUCCMNQESA-N 0 3 310.441 4.178 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)o1 ZINC000547947502 341240122 /nfs/dbraw/zinc/24/01/22/341240122.db2.gz DUTPAKVUXNWRFB-GUDVDZBRSA-N 0 3 310.441 4.395 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000078539147 341243589 /nfs/dbraw/zinc/24/35/89/341243589.db2.gz FWTSJCZAMCTKFJ-OLZOCXBDSA-N 0 3 319.836 4.401 20 0 DIADHN CCSc1cccc(C(=O)Nc2cccc(CN(C)C)c2)c1 ZINC000135755168 341245386 /nfs/dbraw/zinc/24/53/86/341245386.db2.gz YMVWFFPYVFFRDV-UHFFFAOYSA-N 0 3 314.454 4.113 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCCC[C@H]2C)c(Cl)c1 ZINC000548074513 341245737 /nfs/dbraw/zinc/24/57/37/341245737.db2.gz LOKAALBZWTXUBN-CJNGLKHVSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCCC[C@H]2C)c(Cl)c1 ZINC000548074514 341245784 /nfs/dbraw/zinc/24/57/84/341245784.db2.gz LOKAALBZWTXUBN-CZUORRHYSA-N 0 3 308.853 4.097 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000548075238 341245793 /nfs/dbraw/zinc/24/57/93/341245793.db2.gz GGVCPNJNHOMPLN-UHFFFAOYSA-N 0 3 314.473 4.187 20 0 DIADHN C[C@H](c1cccc(NC(=O)c2ccccc2)c1)N1[C@H](C)C[C@@H]1C ZINC000548098659 341247119 /nfs/dbraw/zinc/24/71/19/341247119.db2.gz SZXKMLYPQAOAIE-OWCLPIDISA-N 0 3 308.425 4.483 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCC[C@@H](C(F)(F)F)C2)cc1F ZINC000135857083 341247474 /nfs/dbraw/zinc/24/74/74/341247474.db2.gz GBCHEFRIMJVSIS-NXEZZACHSA-N 0 3 311.269 4.315 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@@H](C)C(F)(F)F)oc21 ZINC000548157595 341250220 /nfs/dbraw/zinc/25/02/20/341250220.db2.gz VHJKPUFUJQOXTF-NXEZZACHSA-N 0 3 301.308 4.290 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H](C)C(F)(F)F)oc21 ZINC000548157596 341250228 /nfs/dbraw/zinc/25/02/28/341250228.db2.gz VHJKPUFUJQOXTF-UWVGGRQHSA-N 0 3 301.308 4.290 20 0 DIADHN CC(C)Oc1ccc(CCN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000548162498 341250556 /nfs/dbraw/zinc/25/05/56/341250556.db2.gz RHLFNMMBNQSUPM-AWEZNQCLSA-N 0 3 318.848 4.416 20 0 DIADHN CC(C)(C)OCc1cc(CNC[C@H]2CCCC(C)(C)C2)no1 ZINC000548269828 341253550 /nfs/dbraw/zinc/25/35/50/341253550.db2.gz DSWPYCRILAIWSD-AWEZNQCLSA-N 0 3 308.466 4.296 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1ccc(Br)cc1F ZINC000136345153 341257098 /nfs/dbraw/zinc/25/70/98/341257098.db2.gz QRZOMZPPYPOKKC-KOLCDFICSA-N 0 3 318.255 4.134 20 0 DIADHN C[C@H](Cc1ccsc1)NCc1cc(Cl)cc2c1OCC2 ZINC000136392614 341258515 /nfs/dbraw/zinc/25/85/15/341258515.db2.gz ACADPLFIWDLVAF-LLVKDONJSA-N 0 3 307.846 4.057 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@H]1CCCC[C@H]1C ZINC000548402774 341258887 /nfs/dbraw/zinc/25/88/87/341258887.db2.gz ZLCPGGUBHXSHGI-QAPCUYQASA-N 0 3 316.489 4.260 20 0 DIADHN Cc1nc(CN[C@H](C)CCCC(F)(F)F)nc2ccccc12 ZINC000548483969 341263138 /nfs/dbraw/zinc/26/31/38/341263138.db2.gz PQYZTUJJAMSZNT-LLVKDONJSA-N 0 3 311.351 4.149 20 0 DIADHN Cc1nc(CN[C@@H](C)CCCC(F)(F)F)nc2ccccc12 ZINC000548483970 341263158 /nfs/dbraw/zinc/26/31/58/341263158.db2.gz PQYZTUJJAMSZNT-NSHDSACASA-N 0 3 311.351 4.149 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(Cc3ccccc3)c2)cc1 ZINC000079728498 341264774 /nfs/dbraw/zinc/26/47/74/341264774.db2.gz KVANPIKNYVAAHG-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@@H]1NCc1ncccc1C ZINC000548539686 341265890 /nfs/dbraw/zinc/26/58/90/341265890.db2.gz HXBIIUWFBLLKJI-FXAWDEMLSA-N 0 3 310.441 4.178 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)Nc1ccc(CN(C)C)cc1 ZINC000548627709 341267785 /nfs/dbraw/zinc/26/77/85/341267785.db2.gz DMCLILNUAJLSHQ-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C1(C)CCCCC1)c1ccsc1 ZINC000548685939 341269242 /nfs/dbraw/zinc/26/92/42/341269242.db2.gz FHSHZJOGDVLVGL-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)Cc2ccc(C)s2)c(F)c1 ZINC000080227592 341271009 /nfs/dbraw/zinc/27/10/09/341271009.db2.gz VVUCCNAZJIBUJQ-WCQYABFASA-N 0 3 307.434 4.486 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)Cc2ccc(C)s2)c(F)c1 ZINC000080227585 341271058 /nfs/dbraw/zinc/27/10/58/341271058.db2.gz VVUCCNAZJIBUJQ-AAEUAGOBSA-N 0 3 307.434 4.486 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](c1cccs1)c1ccc(F)cc1 ZINC000548785052 341275491 /nfs/dbraw/zinc/27/54/91/341275491.db2.gz YWRUSEVDJDPBHB-CXAGYDPISA-N 0 3 307.434 4.380 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3cccc(F)c3F)C2)cc1F ZINC000548847637 341277847 /nfs/dbraw/zinc/27/78/47/341277847.db2.gz RWUHYZRIGWSSPH-HDJSIYSDSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](N[C@H]1CCC12CCOCC2)c1nc(C(C)(C)C)cs1 ZINC000548860699 341278598 /nfs/dbraw/zinc/27/85/98/341278598.db2.gz GFHFULJYHHKDOW-STQMWFEESA-N 0 3 308.491 4.050 20 0 DIADHN FC(F)(F)C[C@H](N[C@@H]1CCC12CCOCC2)c1ccccc1 ZINC000548861211 341278645 /nfs/dbraw/zinc/27/86/45/341278645.db2.gz PNEYJMYWIQHTTA-LSDHHAIUSA-N 0 3 313.363 4.229 20 0 DIADHN CCOc1cccc([C@@H](C)NC[C@@H]2CCC3(CCCC3)O2)c1 ZINC000548916880 341280871 /nfs/dbraw/zinc/28/08/71/341280871.db2.gz FZPURAIBYPVGOA-QAPCUYQASA-N 0 3 303.446 4.228 20 0 DIADHN COc1ccc(CN(C2CC2)C2CC(c3ccccc3C)C2)nc1 ZINC000548919505 341280904 /nfs/dbraw/zinc/28/09/04/341280904.db2.gz VBOVMXZLSGCBEZ-UHFFFAOYSA-N 0 3 322.452 4.309 20 0 DIADHN CCC(CC)(CCO)NCc1coc(-c2ccc(Cl)cc2)n1 ZINC000548944608 341282049 /nfs/dbraw/zinc/28/20/49/341282049.db2.gz COMFFNZJBJXZRK-UHFFFAOYSA-N 0 3 322.836 4.026 20 0 DIADHN Cc1ccsc1CNCc1ccc(C)cc1-c1ccnn1C ZINC000548976877 341282676 /nfs/dbraw/zinc/28/26/76/341282676.db2.gz JLHVJHXQLXJREA-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN C[C@H]1CC(CCNCc2csc(C(C)(C)C)n2)C[C@H](C)O1 ZINC000548993264 341283167 /nfs/dbraw/zinc/28/31/67/341283167.db2.gz IRKXWIPWCBJPII-STQMWFEESA-N 0 3 310.507 4.124 20 0 DIADHN CCC(CC)N(C(=O)c1ccc(CN2CCCC2)cc1)C1CC1 ZINC000549034123 341284010 /nfs/dbraw/zinc/28/40/10/341284010.db2.gz LWLRLOHGOHGXGQ-UHFFFAOYSA-N 0 3 314.473 4.076 20 0 DIADHN CO[C@@H](CN1CC[C@H](C(F)(F)F)C(C)(C)C1)c1ccccc1 ZINC000549036554 341284113 /nfs/dbraw/zinc/28/41/13/341284113.db2.gz UNGGHCAZJBICPY-GJZGRUSLSA-N 0 3 315.379 4.285 20 0 DIADHN CO[C@H](CN1CC[C@H](C(F)(F)F)C(C)(C)C1)c1ccccc1 ZINC000549036553 341284199 /nfs/dbraw/zinc/28/41/99/341284199.db2.gz UNGGHCAZJBICPY-CABCVRRESA-N 0 3 315.379 4.285 20 0 DIADHN CCn1nccc1CCN[C@H](C)c1cc(Cl)ccc1Cl ZINC000549066120 341285203 /nfs/dbraw/zinc/28/52/03/341285203.db2.gz JCQJTZRHZCJTJC-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN CCN(Cc1ccc(OC)cc1)C[C@H]1CCC2(CCCC2)O1 ZINC000549123255 341286459 /nfs/dbraw/zinc/28/64/59/341286459.db2.gz DWSHDZOBCPBFJC-GOSISDBHSA-N 0 3 303.446 4.009 20 0 DIADHN Fc1ccc([C@@H]2CCCCN2CCCO[C@H]2CCCCO2)cc1 ZINC000549138716 341286904 /nfs/dbraw/zinc/28/69/04/341286904.db2.gz KMKXAYYOKJTETB-OALUTQOASA-N 0 3 321.436 4.286 20 0 DIADHN Fc1ccc([C@H]2CCCCN2CCCO[C@H]2CCCCO2)cc1 ZINC000549138715 341286989 /nfs/dbraw/zinc/28/69/89/341286989.db2.gz KMKXAYYOKJTETB-MOPGFXCFSA-N 0 3 321.436 4.286 20 0 DIADHN O=C(CN1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2)Nc1ccccc1 ZINC000549159862 341287418 /nfs/dbraw/zinc/28/74/18/341287418.db2.gz SDCPJLXZADKAQD-FNLKRUPLSA-N 0 3 320.436 4.036 20 0 DIADHN C[C@H](C1CCCCC1)N(C)Cc1nc(-c2ccsc2)no1 ZINC000549159802 341287505 /nfs/dbraw/zinc/28/75/05/341287505.db2.gz UVRFKFCQOADLSP-GFCCVEGCSA-N 0 3 305.447 4.199 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCCc2ccncc2)CC12CCC2 ZINC000549173572 341287922 /nfs/dbraw/zinc/28/79/22/341287922.db2.gz YGIGCNYJAZEGPV-OAHLLOKOSA-N 0 3 312.379 4.069 20 0 DIADHN Cc1cc(CN[C@H]2CSC2(C)C)ccc1Oc1cccnc1 ZINC000549265418 341289999 /nfs/dbraw/zinc/28/99/99/341289999.db2.gz OOCIOEPHBXXIEO-KRWDZBQOSA-N 0 3 314.454 4.166 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@@H](OC)c2ccccc2)s1 ZINC000549349705 341292073 /nfs/dbraw/zinc/29/20/73/341292073.db2.gz DOUKBFPQCWKDRT-GDBMZVCRSA-N 0 3 318.486 4.394 20 0 DIADHN CCc1ccc(CN(Cc2ccc(OC)cc2)[C@@H](C)C2CC2)nc1 ZINC000549366417 341292550 /nfs/dbraw/zinc/29/25/50/341292550.db2.gz OZGIMPLIQTWBHC-INIZCTEOSA-N 0 3 324.468 4.453 20 0 DIADHN Cc1ccc(CN2CC[C@H]2c2ccccc2)c(-c2ccnn2C)c1 ZINC000549382132 341292889 /nfs/dbraw/zinc/29/28/89/341292889.db2.gz IYOXBPNXJORZKK-FQEVSTJZSA-N 0 3 317.436 4.343 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(-c3cnn(C)c3)s2)c1 ZINC000549383640 341292904 /nfs/dbraw/zinc/29/29/04/341292904.db2.gz VMBHUPUBMBNDDA-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN CO[C@@H](CN1CC(c2nc3ccccc3s2)C1)c1ccccc1 ZINC000549386936 341293026 /nfs/dbraw/zinc/29/30/26/341293026.db2.gz GDLZBGZUGIOESM-KRWDZBQOSA-N 0 3 324.449 4.083 20 0 DIADHN CCCC(C)(C)N(CC(=O)N[C@H](C)CC)Cc1cccs1 ZINC000549408890 341293620 /nfs/dbraw/zinc/29/36/20/341293620.db2.gz OQNCKYACXDMCRC-CQSZACIVSA-N 0 3 310.507 4.044 20 0 DIADHN CCc1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)nc1 ZINC000549445790 341294687 /nfs/dbraw/zinc/29/46/87/341294687.db2.gz BTPQBBAOZTUCKX-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1Cl)[C@H]1COc2ccccc21 ZINC000549532667 341296497 /nfs/dbraw/zinc/29/64/97/341296497.db2.gz XMDNLBWFUDOPLC-IAQYHMDHSA-N 0 3 305.780 4.133 20 0 DIADHN CSCc1cnc(CNC[C@H]2CCCCC(F)(F)C2)s1 ZINC000549821359 341304084 /nfs/dbraw/zinc/30/40/84/341304084.db2.gz XTASYCBVEPXXTG-NSHDSACASA-N 0 3 320.474 4.311 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1 ZINC000245518835 341299612 /nfs/dbraw/zinc/29/96/12/341299612.db2.gz ICMPDECFJDKTTL-VMDGZTHMSA-N 0 3 315.844 4.319 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1 ZINC000245518839 341299639 /nfs/dbraw/zinc/29/96/39/341299639.db2.gz ICMPDECFJDKTTL-ZNOIYHFQSA-N 0 3 315.844 4.319 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)Cc1ccc(COC)cc1 ZINC000549717897 341301293 /nfs/dbraw/zinc/30/12/93/341301293.db2.gz JRPRFMMCXVSLPK-LJQANCHMSA-N 0 3 313.441 4.425 20 0 DIADHN CCS[C@@H]1CCCCN([C@H](C)c2nc3ccccc3o2)C1 ZINC000245551543 341301542 /nfs/dbraw/zinc/30/15/42/341301542.db2.gz PQYBINPPPNFBTQ-ZIAGYGMSSA-N 0 3 304.459 4.496 20 0 DIADHN CC1(C)CCC[C@]1(O)CNCc1cnc(C2CCCCC2)s1 ZINC000549855569 341305146 /nfs/dbraw/zinc/30/51/46/341305146.db2.gz VKPMBDOXCDCZAX-SFHVURJKSA-N 0 3 322.518 4.222 20 0 DIADHN CC(C)N(C(=O)CN1CCCCC[C@@H]1c1ccccc1)C(C)C ZINC000549862152 341305257 /nfs/dbraw/zinc/30/52/57/341305257.db2.gz MSQBIIJVXWSDIE-LJQANCHMSA-N 0 3 316.489 4.249 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1ccc(-n2cccn2)cc1 ZINC000549869603 341305660 /nfs/dbraw/zinc/30/56/60/341305660.db2.gz UGNKMFJJXXYYBS-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN Cc1cc(CNC2(C(C)C)CC2)nc(-c2ccc(Cl)cc2)n1 ZINC000549886410 341305935 /nfs/dbraw/zinc/30/59/35/341305935.db2.gz OSTQDMATMWSOTQ-UHFFFAOYSA-N 0 3 315.848 4.384 20 0 DIADHN COc1cc(CCNCc2cnc(C3CC3)s2)ccc1Cl ZINC000549922914 341306787 /nfs/dbraw/zinc/30/67/87/341306787.db2.gz GFPWBHMFDLUQQV-UHFFFAOYSA-N 0 3 322.861 4.015 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)C1CCCCC1)c1nnc2ccccn21 ZINC000549929618 341307067 /nfs/dbraw/zinc/30/70/67/341307067.db2.gz JEPMZUCKHGEARW-QAPCUYQASA-N 0 3 314.477 4.232 20 0 DIADHN F[C@@H]1CCC[C@@H]1NCc1ccc(-n2cnc3ccccc32)cc1 ZINC000549938107 341307482 /nfs/dbraw/zinc/30/74/82/341307482.db2.gz YZMRQGXJXNYFLK-SJORKVTESA-N 0 3 309.388 4.006 20 0 DIADHN Fc1cccc(-c2nc(CN3CC[C@H](CC4CC4)C3)co2)c1 ZINC000550028152 341308903 /nfs/dbraw/zinc/30/89/03/341308903.db2.gz CCIZBXYXJXNAMM-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CC[C@H](CC2CC2)C1 ZINC000550048000 341309106 /nfs/dbraw/zinc/30/91/06/341309106.db2.gz AIIVRNZGHZYFMR-CQSZACIVSA-N 0 3 302.443 4.334 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccc(F)cc3)o2)[C@@H]2CCC[C@H]21 ZINC000550063897 341309414 /nfs/dbraw/zinc/30/94/14/341309414.db2.gz VORJKLKWDBKJJZ-HZPDHXFCSA-N 0 3 314.404 4.491 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CC(C)(C)[C@H]3CCC[C@H]32)c(C)c1 ZINC000550073277 341309560 /nfs/dbraw/zinc/30/95/60/341309560.db2.gz VHDKIXJTZHKZQF-DLBZAZTESA-N 0 3 314.473 4.061 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccccc3F)o2)[C@H]2CCC[C@@H]21 ZINC000550079834 341309623 /nfs/dbraw/zinc/30/96/23/341309623.db2.gz QUZUCZGYAMRATI-HOCLYGCPSA-N 0 3 314.404 4.491 20 0 DIADHN CCCCN(CC(=O)Nc1ccccc1OC)C1CCCCC1 ZINC000550157564 341311318 /nfs/dbraw/zinc/31/13/18/341311318.db2.gz ABWWNAHPJLTPFD-UHFFFAOYSA-N 0 3 318.461 4.069 20 0 DIADHN CC(C)[C@H]1CN(Cc2c(Cl)ccc3cccnc32)CCCO1 ZINC000550186232 341312094 /nfs/dbraw/zinc/31/20/94/341312094.db2.gz OQXPIPBIKVWJCY-QGZVFWFLSA-N 0 3 318.848 4.135 20 0 DIADHN CC[C@@H](C)CN(CC)[C@H](C)c1nnc(-c2ccc(C)cc2)o1 ZINC000245730358 341312108 /nfs/dbraw/zinc/31/21/08/341312108.db2.gz CDWNNWVFZBZVMK-UKRRQHHQSA-N 0 3 301.434 4.474 20 0 DIADHN CCc1nocc1CNCC[C@H](c1ccccc1)C(F)(F)F ZINC000550225274 341312840 /nfs/dbraw/zinc/31/28/40/341312840.db2.gz BKGSTFWWRKPMHX-CQSZACIVSA-N 0 3 312.335 4.063 20 0 DIADHN C[C@@]1(CNCc2ccn(-c3ccccc3)n2)C[C@H]1c1ccccc1 ZINC000550254640 341313511 /nfs/dbraw/zinc/31/35/11/341313511.db2.gz DSHRRKVFYFGNOU-SFTDATJTSA-N 0 3 317.436 4.156 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)CC1CC(C)(C)C1)c1ccccc1 ZINC000550260618 341313723 /nfs/dbraw/zinc/31/37/23/341313723.db2.gz IFAIMPWHXVWTEN-HNNXBMFYSA-N 0 3 316.489 4.058 20 0 DIADHN OC[C@H](CNCc1cnc(C2CCCC2)s1)C1CCCCC1 ZINC000550274871 341314237 /nfs/dbraw/zinc/31/42/37/341314237.db2.gz CSPJLORZUXRABM-INIZCTEOSA-N 0 3 322.518 4.079 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccccc1 ZINC000550288838 341314455 /nfs/dbraw/zinc/31/44/55/341314455.db2.gz WCKMSLQJJQGWEH-GJZGRUSLSA-N 0 3 309.388 4.423 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000550491662 341317683 /nfs/dbraw/zinc/31/76/83/341317683.db2.gz RXQQQHDINOGXGQ-QGZVFWFLSA-N 0 3 311.425 4.073 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H](C)[C@H]3CCCO3)oc21 ZINC000245948185 341319359 /nfs/dbraw/zinc/31/93/59/341319359.db2.gz VZEMHLSLGIHCRH-KCQAQPDRSA-N 0 3 303.402 4.050 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](C)c1cccc(C2CC2)c1 ZINC000550678718 341321121 /nfs/dbraw/zinc/32/11/21/341321121.db2.gz PZTRQTSOULVGLP-OAHLLOKOSA-N 0 3 322.452 4.416 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccncc1F)Oc1cccc(C)c1 ZINC000550700370 341321598 /nfs/dbraw/zinc/32/15/98/341321598.db2.gz GIRCWRAMXPBUBM-CABCVRRESA-N 0 3 302.393 4.037 20 0 DIADHN Cc1csc(C2(NC[C@@H]3CCC(F)(F)C3)CCCC2)n1 ZINC000550712475 341321858 /nfs/dbraw/zinc/32/18/58/341321858.db2.gz NUCLONIIOAEPDM-GFCCVEGCSA-N 0 3 300.418 4.246 20 0 DIADHN CN1CC[C@H](NCc2ccc(F)cc2Cl)[C@H]1c1ccccc1 ZINC000550713161 341321942 /nfs/dbraw/zinc/32/19/42/341321942.db2.gz WHQNLTVUKPDUAK-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN CN1CC[C@H](Nc2ccc(F)c(F)c2)C[C@@H]1c1ccccc1 ZINC000550758406 341322596 /nfs/dbraw/zinc/32/25/96/341322596.db2.gz AGODWKKOGQWZNA-MAUKXSAKSA-N 0 3 302.368 4.212 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@H]2CCCC23CCCC3)no1 ZINC000550796994 341323295 /nfs/dbraw/zinc/32/32/95/341323295.db2.gz INPMRBWQAVHUES-INIZCTEOSA-N 0 3 306.450 4.192 20 0 DIADHN Cc1cc([C@@H](C)NC2(Cc3ccc(Cl)cc3)CCC2)nn1C ZINC000550822548 341323670 /nfs/dbraw/zinc/32/36/70/341323670.db2.gz RBKFDJLGPCJSTP-CQSZACIVSA-N 0 3 317.864 4.198 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1ccc(F)cc1F ZINC000246084386 341324363 /nfs/dbraw/zinc/32/43/63/341324363.db2.gz CDBYWWVAGDWJLY-MQIPJXDCSA-N 0 3 303.352 4.180 20 0 DIADHN C[C@H](N[C@H]1CC2(CCCC2)Oc2ccccc21)c1cc[nH]c(=O)c1 ZINC000550860970 341324938 /nfs/dbraw/zinc/32/49/38/341324938.db2.gz SPYWATQTEVBZAK-YOEHRIQHSA-N 0 3 324.424 4.274 20 0 DIADHN C[C@@H](N[C@@H]1CC2(CCCC2)Oc2ccccc21)c1cc[nH]c(=O)c1 ZINC000550860968 341324979 /nfs/dbraw/zinc/32/49/79/341324979.db2.gz SPYWATQTEVBZAK-RHSMWYFYSA-N 0 3 324.424 4.274 20 0 DIADHN C[C@H](NC[C@H](CO)C1CCCCC1)c1csc(Cl)c1 ZINC000550895690 341325212 /nfs/dbraw/zinc/32/52/12/341325212.db2.gz ROBJEXYMIDGLGC-SMDDNHRTSA-N 0 3 301.883 4.241 20 0 DIADHN COc1ccc([C@@H](NCC[C@H](C)F)c2ccc(F)cc2)cc1 ZINC000550886842 341325626 /nfs/dbraw/zinc/32/56/26/341325626.db2.gz QETHHPVEKQMKLB-UGSOOPFHSA-N 0 3 305.368 4.261 20 0 DIADHN C[C@@H](NCC(C)(C)N[C@H](C)c1ccccc1)c1ccncc1F ZINC000550886618 341325717 /nfs/dbraw/zinc/32/57/17/341325717.db2.gz JRCHHQSVMWRRQM-HUUCEWRRSA-N 0 3 315.436 4.001 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OC(F)F)c(F)c1)c1cccnc1 ZINC000550895413 341326060 /nfs/dbraw/zinc/32/60/60/341326060.db2.gz PHBYEMFOROUWCO-MRXNPFEDSA-N 0 3 324.346 4.309 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2cccc(F)c2)C1)c1ccncc1F ZINC000550899475 341326321 /nfs/dbraw/zinc/32/63/21/341326321.db2.gz WDIBGCKWMZBWFL-NOLJZWGESA-N 0 3 302.368 4.347 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2c3cccc(F)c3CC[C@@H]2C)ccn1 ZINC000550938186 341327481 /nfs/dbraw/zinc/32/74/81/341327481.db2.gz RIATUIUGPCGODL-JMERFSKESA-N 0 3 314.404 4.204 20 0 DIADHN CC[C@@H](NCc1cccc(Cl)c1)c1ccc(C(=O)OC)cc1 ZINC000550978717 341328226 /nfs/dbraw/zinc/32/82/26/341328226.db2.gz UUQCVUBHQRNYMN-QGZVFWFLSA-N 0 3 317.816 4.368 20 0 DIADHN C[C@H](NCCC(=O)NC1CCCCC1)c1cccc(C2CC2)c1 ZINC000551005372 341328902 /nfs/dbraw/zinc/32/89/02/341328902.db2.gz KFDVNGCQGBZWLH-HNNXBMFYSA-N 0 3 314.473 4.054 20 0 DIADHN C[C@@H](COCC(F)(F)F)N[C@@H](C)c1cccc(C2CC2)c1 ZINC000551015263 341329255 /nfs/dbraw/zinc/32/92/55/341329255.db2.gz VBNJZMTVPLJFQS-RYUDHWBXSA-N 0 3 301.352 4.182 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@H](C)c1ccncc1F ZINC000551087073 341331407 /nfs/dbraw/zinc/33/14/07/341331407.db2.gz MACOTDDJFUJUOG-GFCCVEGCSA-N 0 3 322.811 4.166 20 0 DIADHN FC1(F)CC[C@H](CN2CCC[C@@H]2c2cccc3c2OCCO3)C1 ZINC000551094310 341331455 /nfs/dbraw/zinc/33/14/55/341331455.db2.gz XVWLLTFEYDEUCK-DZGCQCFKSA-N 0 3 323.383 4.030 20 0 DIADHN COc1cc(F)ccc1N[C@H]1CCN(C)[C@@H](c2ccccc2)C1 ZINC000551125108 341332140 /nfs/dbraw/zinc/33/21/40/341332140.db2.gz KCRVPDTUOCDUTI-FUHWJXTLSA-N 0 3 314.404 4.082 20 0 DIADHN Clc1ccc(-c2ncc(CNC[C@@H]3CCCCO3)s2)cc1 ZINC000551184377 341333412 /nfs/dbraw/zinc/33/34/12/341333412.db2.gz ASEPMWIKUDWCGL-AWEZNQCLSA-N 0 3 322.861 4.122 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000246213573 341334183 /nfs/dbraw/zinc/33/41/83/341334183.db2.gz YYEDIBXZBWKBBH-JQAFLVLXSA-N 0 3 309.768 4.253 20 0 DIADHN C[C@@H](NC[C@H](c1ccccc1)C1CC1)c1nc2ccccc2n1C ZINC000551227348 341334459 /nfs/dbraw/zinc/33/44/59/341334459.db2.gz OHXZIFQRRREOAY-CRAIPNDOSA-N 0 3 319.452 4.418 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CC[C@H](c4ccc(F)cc4)C3)cn2c1 ZINC000551231004 341334691 /nfs/dbraw/zinc/33/46/91/341334691.db2.gz HKIQANPWAHISLY-FUHWJXTLSA-N 0 3 323.415 4.208 20 0 DIADHN CCc1noc(C)c1CN[C@@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000551246691 341335496 /nfs/dbraw/zinc/33/54/96/341335496.db2.gz WEDICUVFADNNQE-NEPJUHHUSA-N 0 3 306.837 4.481 20 0 DIADHN CCc1noc(C)c1CN[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000551246693 341335513 /nfs/dbraw/zinc/33/55/13/341335513.db2.gz WEDICUVFADNNQE-RYUDHWBXSA-N 0 3 306.837 4.481 20 0 DIADHN COC[C@H](NC1(C(C)C)CC1)c1cccc(C(F)(F)F)c1 ZINC000551260441 341335734 /nfs/dbraw/zinc/33/57/34/341335734.db2.gz WXJTYMMWQRJBFU-AWEZNQCLSA-N 0 3 301.352 4.171 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000246229342 341335787 /nfs/dbraw/zinc/33/57/87/341335787.db2.gz FVWTUIFSDWYKGI-QGTPRVQTSA-N 0 3 301.409 4.056 20 0 DIADHN Cc1ccc([C@H](NCCc2nccnc2C)c2ccccc2)cc1 ZINC000551274825 341336718 /nfs/dbraw/zinc/33/67/18/341336718.db2.gz NFUMDIXPXZKZKD-OAQYLSRUSA-N 0 3 317.436 4.015 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2ccc(F)cc2)C1)c1cn2ccccc2n1 ZINC000551278326 341336854 /nfs/dbraw/zinc/33/68/54/341336854.db2.gz PSXNZAGAOHBBIH-ZVZYQTTQSA-N 0 3 323.415 4.460 20 0 DIADHN CCCNc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1 ZINC000551278099 341336871 /nfs/dbraw/zinc/33/68/71/341336871.db2.gz LIRDSEXPSCDSAO-LJQANCHMSA-N 0 3 310.441 4.381 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1)c1cn2ccccc2n1 ZINC000551278325 341336926 /nfs/dbraw/zinc/33/69/26/341336926.db2.gz PSXNZAGAOHBBIH-YXJHDRRASA-N 0 3 323.415 4.460 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1ncc[nH]1 ZINC000551296442 341337436 /nfs/dbraw/zinc/33/74/36/341337436.db2.gz KARNTOGWOYUKIF-GUYCJALGSA-N 0 3 305.853 4.371 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1N[C@@H](C)c1cn2ccccc2n1 ZINC000551305405 341337772 /nfs/dbraw/zinc/33/77/72/341337772.db2.gz XMMCPLDHFYVNNK-OFQRWUPVSA-N 0 3 303.475 4.049 20 0 DIADHN CSc1ccc([C@H](CN[C@H](C)c2ccc(C)o2)N(C)C)cc1 ZINC000551310825 341338099 /nfs/dbraw/zinc/33/80/99/341338099.db2.gz MTVDQUIQMUHYAG-PBHICJAKSA-N 0 3 318.486 4.263 20 0 DIADHN CC1(C)CCC[C@H]1CN[C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000551310245 341338203 /nfs/dbraw/zinc/33/82/03/341338203.db2.gz YIDDAUTURXLYBT-YJBOKZPZSA-N 0 3 313.420 4.121 20 0 DIADHN CCOc1cc(CN(C)Cc2ccccc2)cc(Cl)c1O ZINC000551330158 341338846 /nfs/dbraw/zinc/33/88/46/341338846.db2.gz ZENDWUQEYMWJRS-UHFFFAOYSA-N 0 3 305.805 4.076 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2CN[C@H](C)c2nccs2)cc1 ZINC000246279800 341339852 /nfs/dbraw/zinc/33/98/52/341339852.db2.gz BISGUOQQGULURU-OIISXLGYSA-N 0 3 316.470 4.270 20 0 DIADHN O=C(c1ccc(Cl)cc1)C1CCN(CCCCCF)CC1 ZINC000551379324 341339915 /nfs/dbraw/zinc/33/99/15/341339915.db2.gz RDYSWLOUDQOUOC-UHFFFAOYSA-N 0 3 311.828 4.375 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@@H](c3ccccc3)C2)nc1 ZINC000551384935 341340131 /nfs/dbraw/zinc/34/01/31/341340131.db2.gz AWDHPNUORLAWFK-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN CC(C)Sc1ccc(CN2CCC[C@H]2[C@@H]2CCCO2)cc1 ZINC000551424343 341341371 /nfs/dbraw/zinc/34/13/71/341341371.db2.gz ZIEYVSJKZWVRIC-ROUUACIJSA-N 0 3 305.487 4.331 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H]2CCO[C@@H]2C2CC2)cc1Cl ZINC000551532638 341344211 /nfs/dbraw/zinc/34/42/11/341344211.db2.gz GDOJBYWTCGSVPP-BJOOFOGMSA-N 0 3 323.864 4.345 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H]2CCc2ccccc2)cn1 ZINC000551558450 341345522 /nfs/dbraw/zinc/34/55/22/341345522.db2.gz QRNUSBPAHKTTQH-LJQANCHMSA-N 0 3 323.484 4.371 20 0 DIADHN CCCCOc1ccc(CN2CCC[C@@H]2[C@@H]2CCCO2)cc1 ZINC000551571203 341345835 /nfs/dbraw/zinc/34/58/35/341345835.db2.gz KWLSDDLQKVPOBG-MOPGFXCFSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)n(C)n1 ZINC000551574434 341346039 /nfs/dbraw/zinc/34/60/39/341346039.db2.gz IBYQEUTZQRDQMU-OAQYLSRUSA-N 0 3 317.436 4.173 20 0 DIADHN CC1(C)C[C@H](NCc2ccnc(OC(F)F)c2)c2ccccc21 ZINC000551573129 341346052 /nfs/dbraw/zinc/34/60/52/341346052.db2.gz LQODUESLGNPXBB-HNNXBMFYSA-N 0 3 318.367 4.195 20 0 DIADHN c1nc2n(c1CN[C@@H](CC1CCC1)c1ccccc1)CCCC2 ZINC000551572837 341346108 /nfs/dbraw/zinc/34/61/08/341346108.db2.gz WYVUXTVOFPYQQP-IBGZPJMESA-N 0 3 309.457 4.241 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccnc(OC(F)F)c1 ZINC000551573451 341346126 /nfs/dbraw/zinc/34/61/26/341346126.db2.gz RQURCSWCRVPHFK-DOMZBBRYSA-N 0 3 318.367 4.330 20 0 DIADHN CCOc1ccc(CNCc2ccccc2N(C)C)cc1Cl ZINC000551584478 341346441 /nfs/dbraw/zinc/34/64/41/341346441.db2.gz FRLWPBIJOKSMKS-UHFFFAOYSA-N 0 3 318.848 4.095 20 0 DIADHN Cc1n[nH]cc1C1CCN(C[C@H](C)c2cccc(Cl)c2)CC1 ZINC000551586123 341346517 /nfs/dbraw/zinc/34/65/17/341346517.db2.gz JTLPTMKILQIPEW-ZDUSSCGKSA-N 0 3 317.864 4.355 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCC(CC(F)(F)F)CC1 ZINC000551709579 341349700 /nfs/dbraw/zinc/34/97/00/341349700.db2.gz DFUZZIWPDFFNCV-UKRRQHHQSA-N 0 3 307.400 4.246 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC000551716361 341349983 /nfs/dbraw/zinc/34/99/83/341349983.db2.gz IFJKZKMACYVCJZ-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN CC[C@H](N[C@H](C)c1nc(-c2ccccc2)cs1)[C@H]1CCCO1 ZINC000246499864 341350862 /nfs/dbraw/zinc/35/08/62/341350862.db2.gz SMSFONQJYSTYCU-UKPHBRMFSA-N 0 3 316.470 4.418 20 0 DIADHN CCCCOc1ccc([C@H](C)NCCOC(F)(F)F)cc1 ZINC000551781294 341351240 /nfs/dbraw/zinc/35/12/40/341351240.db2.gz ZEGGDUUBIIWQQZ-LBPRGKRZSA-N 0 3 305.340 4.053 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2scnc2C)cc1 ZINC000551788874 341351591 /nfs/dbraw/zinc/35/15/91/341351591.db2.gz IPGVZGVZKDZQJO-ZDUSSCGKSA-N 0 3 304.459 4.481 20 0 DIADHN C[C@@H](NCc1ccnc(OC(F)F)c1)c1ccc2c(c1)CCC2 ZINC000551812080 341352728 /nfs/dbraw/zinc/35/27/28/341352728.db2.gz RAXYZSFHJNKVNN-GFCCVEGCSA-N 0 3 318.367 4.023 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@H]1COc2ccccc21 ZINC000246572661 341353777 /nfs/dbraw/zinc/35/37/77/341353777.db2.gz YDAALPRPNSTFPH-XWCIJXRUSA-N 0 3 303.352 4.180 20 0 DIADHN CC[C@@H]1Oc2cc([C@H](C)N[C@H](C)c3ccccc3)ccc2NC1=O ZINC000246568572 341353782 /nfs/dbraw/zinc/35/37/82/341353782.db2.gz ZHIPIECPJBIILX-GLJUWKHASA-N 0 3 324.424 4.208 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)o1)[C@@H]1CCCOC1 ZINC000551905700 341354682 /nfs/dbraw/zinc/35/46/82/341354682.db2.gz HEESDTCZIIHKNX-OAHLLOKOSA-N 0 3 305.805 4.211 20 0 DIADHN C[C@@H](NCCn1cnc2ccccc21)c1ccc(Cl)c(F)c1 ZINC000551917667 341354864 /nfs/dbraw/zinc/35/48/64/341354864.db2.gz RORSIKAMNIERAO-GFCCVEGCSA-N 0 3 317.795 4.180 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1cc(F)ccc1F ZINC000246935841 341358742 /nfs/dbraw/zinc/35/87/42/341358742.db2.gz SCVAMYZPFCQEGZ-MQIPJXDCSA-N 0 3 303.352 4.180 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000247075282 341360720 /nfs/dbraw/zinc/36/07/20/341360720.db2.gz QKJRHDHSIAIPLK-SLFFLAALSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@H]1n1cccn1)c1ccc(Cl)s1 ZINC000247100095 341360906 /nfs/dbraw/zinc/36/09/06/341360906.db2.gz ONSBORWBNDRELZ-RWMBFGLXSA-N 0 3 309.866 4.433 20 0 DIADHN C[C@H](N[C@@H]1CCCC[C@H]1n1cccn1)c1cc(F)c(F)c(F)c1 ZINC000247205326 341362261 /nfs/dbraw/zinc/36/22/61/341362261.db2.gz VGJSOUISPKXPSG-IUIKQTSFSA-N 0 3 323.362 4.135 20 0 DIADHN CC1=CC[C@H](NCc2ccncc2OCc2ccccc2)CC1 ZINC000552033344 341363350 /nfs/dbraw/zinc/36/33/50/341363350.db2.gz ZLBYHNVJISUBON-IBGZPJMESA-N 0 3 308.425 4.249 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(CC(C)C)c2)cc1O ZINC000552045924 341364681 /nfs/dbraw/zinc/36/46/81/341364681.db2.gz SYQDBXZVVMOOHT-OAHLLOKOSA-N 0 3 313.441 4.450 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccc(F)cc1F)c1ccc(C)o1 ZINC000552045360 341364798 /nfs/dbraw/zinc/36/47/98/341364798.db2.gz FDTLASHIPKVEFK-SJKOYZFVSA-N 0 3 323.383 4.165 20 0 DIADHN c1csc(CCCN[C@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000552062188 341366258 /nfs/dbraw/zinc/36/62/58/341366258.db2.gz PCPWBSOUKXFJCC-INIZCTEOSA-N 0 3 303.475 4.315 20 0 DIADHN COc1ccc([C@@H](C)NCc2c(C)cccc2C)c(C)c1OC ZINC000552063179 341366314 /nfs/dbraw/zinc/36/63/14/341366314.db2.gz RKQKUCBPGREONG-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1ccnc([C@H](C)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)c1 ZINC000552066527 341367026 /nfs/dbraw/zinc/36/70/26/341367026.db2.gz MIAADUQRSYRCSJ-ZWOKBUDYSA-N 0 3 311.473 4.040 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1ccc(OC)c(OC)c1C ZINC000552066925 341367040 /nfs/dbraw/zinc/36/70/40/341367040.db2.gz VDKZGIFFYWUHFI-NEPJUHHUSA-N 0 3 319.367 4.394 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N(C)Cc1cn2ccccc2n1 ZINC000552071516 341367397 /nfs/dbraw/zinc/36/73/97/341367397.db2.gz LVGQITFYVYXBJI-CQSZACIVSA-N 0 3 313.832 4.051 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)cc1O ZINC000552085289 341368322 /nfs/dbraw/zinc/36/83/22/341368322.db2.gz YIUGFWQMKIRRBH-OAHLLOKOSA-N 0 3 317.816 4.044 20 0 DIADHN OCC1([C@H](NCc2ccc3c(c2)CCC3)c2ccccc2)CCC1 ZINC000552085864 341368347 /nfs/dbraw/zinc/36/83/47/341368347.db2.gz OXZGIZXZOIEIMU-OAQYLSRUSA-N 0 3 321.464 4.169 20 0 DIADHN Cn1ccnc1[C@H](N[C@H]1CCC[C@@H](c2ccccc2)C1)C1CC1 ZINC000552087673 341368733 /nfs/dbraw/zinc/36/87/33/341368733.db2.gz NMGBVIUPQIMYBU-CEXWTWQISA-N 0 3 309.457 4.187 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1N[C@@H](C)c1cnccc1C ZINC000552087945 341368737 /nfs/dbraw/zinc/36/87/37/341368737.db2.gz XSTOZBSNISZZLL-AGKXRFJRSA-N 0 3 324.468 4.392 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000552097487 341369561 /nfs/dbraw/zinc/36/95/61/341369561.db2.gz DBRHIMKNALXABB-UONOGXRCSA-N 0 3 309.413 4.292 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2ccnn2-c2ccccc2)C1 ZINC000552102676 341370179 /nfs/dbraw/zinc/37/01/79/341370179.db2.gz LYFFJHHCXXGHPP-INIZCTEOSA-N 0 3 321.399 4.001 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000552147303 341373466 /nfs/dbraw/zinc/37/34/66/341373466.db2.gz WZAGIBFKDAZPCR-AWEZNQCLSA-N 0 3 319.445 4.149 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCC[C@H](CO)CC1 ZINC000552148156 341373616 /nfs/dbraw/zinc/37/36/16/341373616.db2.gz RFQVFXQZQYIMPT-ZDUSSCGKSA-N 0 3 323.889 4.458 20 0 DIADHN c1cc2cc(CNC3(c4ccccc4)CCOCC3)ccc2o1 ZINC000552161947 341374833 /nfs/dbraw/zinc/37/48/33/341374833.db2.gz RBCBORDXSADUBU-UHFFFAOYSA-N 0 3 307.393 4.228 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@H](C)[C@H]2CCCC[C@H]21 ZINC000248422304 341377472 /nfs/dbraw/zinc/37/74/72/341377472.db2.gz MPAGUDRDYQPRIZ-CGTJXYLNSA-N 0 3 314.473 4.006 20 0 DIADHN Cc1ccnc([C@@H](C)NCCc2ccccc2Br)c1 ZINC000552247753 341381106 /nfs/dbraw/zinc/38/11/06/341381106.db2.gz YYQYBJSTMOIKTI-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cc(C)ccn1)Oc1ccccc1F ZINC000552249622 341381265 /nfs/dbraw/zinc/38/12/65/341381265.db2.gz FYWXFCULMWPGEI-GJZGRUSLSA-N 0 3 302.393 4.037 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000248619880 341381826 /nfs/dbraw/zinc/38/18/26/341381826.db2.gz XSSYVPRBSWUVNT-RCCFBDPRSA-N 0 3 313.397 4.119 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1cccnc1 ZINC000552258614 341382001 /nfs/dbraw/zinc/38/20/01/341382001.db2.gz RHBMIGOFXTWJLZ-OCBCSQNSSA-N 0 3 318.367 4.011 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1cccnc1 ZINC000552258615 341382031 /nfs/dbraw/zinc/38/20/31/341382031.db2.gz RHBMIGOFXTWJLZ-UYHISHBKSA-N 0 3 318.367 4.011 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@H](C)c1ncc[nH]1 ZINC000552275165 341383170 /nfs/dbraw/zinc/38/31/70/341383170.db2.gz NAQXHWOHWKOWOU-CABCVRRESA-N 0 3 303.475 4.248 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)s1 ZINC000552277682 341383428 /nfs/dbraw/zinc/38/34/28/341383428.db2.gz DRWZQFKSHNDSOG-PBHICJAKSA-N 0 3 300.471 4.331 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@H](C)CCc1ccc(F)cc1F ZINC000552282576 341383897 /nfs/dbraw/zinc/38/38/97/341383897.db2.gz GIYMCJAMKKRKRC-CHWSQXEVSA-N 0 3 320.383 4.040 20 0 DIADHN Cc1cc2cc(CN[C@H](c3ccc(F)cn3)C(C)C)ccc2[nH]1 ZINC000552298173 341385116 /nfs/dbraw/zinc/38/51/16/341385116.db2.gz UMUQDAQSGWYXGC-IBGZPJMESA-N 0 3 311.404 4.497 20 0 DIADHN CCCCOC1CCN(Cc2ccccc2OCC2CC2)CC1 ZINC000552302133 341385367 /nfs/dbraw/zinc/38/53/67/341385367.db2.gz WIEVAHOLPLBVJB-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN Cc1ccc(CC[C@H](C)N[C@H](C)c2c(F)cncc2F)cc1 ZINC000552329603 341386995 /nfs/dbraw/zinc/38/69/95/341386995.db2.gz WALSRZPPSKRYSN-UONOGXRCSA-N 0 3 304.384 4.340 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@]2(C1)OCc1ccccc12 ZINC000248793231 341387072 /nfs/dbraw/zinc/38/70/72/341387072.db2.gz YHGCRIWHUMNPQC-PMACEKPBSA-N 0 3 311.400 4.408 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCc3cc(F)ccc32)cc1 ZINC000552333382 341387181 /nfs/dbraw/zinc/38/71/81/341387181.db2.gz CYLXWSHCLPUWNO-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000552335399 341387359 /nfs/dbraw/zinc/38/73/59/341387359.db2.gz QOMLDZYNSBSWGB-GHMZBOCLSA-N 0 3 301.415 4.045 20 0 DIADHN CC(C)c1ccccc1NC(=O)NC[C@@H](C)N1CCC[C@@H](C)C1 ZINC000248859765 341388717 /nfs/dbraw/zinc/38/87/17/341388717.db2.gz SLZPOTFGRPATNX-HZPDHXFCSA-N 0 3 317.477 4.052 20 0 DIADHN CCCN[C@@H](C)C(=O)Nc1ccccc1Sc1ccccc1 ZINC000552390729 341390745 /nfs/dbraw/zinc/39/07/45/341390745.db2.gz JZKBEMBLEIAHNK-AWEZNQCLSA-N 0 3 314.454 4.164 20 0 DIADHN c1cc(-c2ncc(CN3CC[C@@H](CC4CC4)C3)s2)cs1 ZINC000552407762 341391794 /nfs/dbraw/zinc/39/17/94/341391794.db2.gz CHGQESGMCUQUOD-ZDUSSCGKSA-N 0 3 304.484 4.494 20 0 DIADHN Cc1cnc(CN2CCC[C@@H]2CCCc2ccccc2)s1 ZINC000552467340 341395005 /nfs/dbraw/zinc/39/50/05/341395005.db2.gz RZJMJBLNHWDFAB-KRWDZBQOSA-N 0 3 300.471 4.439 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1CC(=O)Nc1c(C)cccc1C(C)C ZINC000552492987 341396271 /nfs/dbraw/zinc/39/62/71/341396271.db2.gz PQSCGWVADILRET-HZPDHXFCSA-N 0 3 302.462 4.320 20 0 DIADHN CCCN(CCC)[C@H](C)C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC000552537182 341397866 /nfs/dbraw/zinc/39/78/66/341397866.db2.gz MYTMKOYKTXYLNT-GFCCVEGCSA-N 0 3 316.367 4.154 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C(C)(C)C)C1)c1cc(F)c(F)c(F)c1 ZINC000552578967 341399594 /nfs/dbraw/zinc/39/95/94/341399594.db2.gz MTIGYEAQTBBYKK-WBIUFABUSA-N 0 3 315.379 4.348 20 0 DIADHN Cc1cccc(COc2ccc([C@@H](C)N[C@H]3CCOC3)cc2)c1 ZINC000089551491 341405439 /nfs/dbraw/zinc/40/54/39/341405439.db2.gz GZFNZUANNNJWCQ-APWZRJJASA-N 0 3 311.425 4.014 20 0 DIADHN Cc1cc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)ncn1 ZINC000552923319 341408837 /nfs/dbraw/zinc/40/88/37/341408837.db2.gz MRWDQCCMUDQYMN-KRWDZBQOSA-N 0 3 317.436 4.095 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@@H]1CC2(CCCC2)Oc2ccccc21 ZINC000090085576 341413302 /nfs/dbraw/zinc/41/33/02/341413302.db2.gz ZPUQADDWKJUIDW-DOTOQJQBSA-N 0 3 317.473 4.210 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](c2ccccc2)C(C)(C)CO)o1 ZINC000090657457 341418261 /nfs/dbraw/zinc/41/82/61/341418261.db2.gz YWBDYSLAXKSRJN-MAUKXSAKSA-N 0 3 315.457 4.295 20 0 DIADHN CC[C@H](N[C@@H]1CCN(c2ccccn2)C1)c1ccc(Cl)s1 ZINC000090801704 341419386 /nfs/dbraw/zinc/41/93/86/341419386.db2.gz BEXYESCRHYBGBM-OLZOCXBDSA-N 0 3 321.877 4.116 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)N[C@H]1CCN(c2ccccn2)C1 ZINC000090802002 341419437 /nfs/dbraw/zinc/41/94/37/341419437.db2.gz XTEXSWSJWVTPBU-CVEARBPZSA-N 0 3 321.424 4.066 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H]1C[C@H](C)c2c1cccc2C ZINC000090846369 341419844 /nfs/dbraw/zinc/41/98/44/341419844.db2.gz FMDMXLXOLXNAGV-KSSFIOAISA-N 0 3 311.473 4.191 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)cc1C ZINC000090879631 341419870 /nfs/dbraw/zinc/41/98/70/341419870.db2.gz YWCIGLPYEZZNOM-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@H](NCC1(c2ccccc2Cl)CC1)c1cncc(F)c1 ZINC000090940928 341420532 /nfs/dbraw/zinc/42/05/32/341420532.db2.gz NXAPRVHTCSKOQR-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN Cc1ccccc1[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1ccccc1 ZINC000251883134 341421662 /nfs/dbraw/zinc/42/16/62/341421662.db2.gz PWEQVEPDXALJKV-JSXRDJHFSA-N 0 3 307.437 4.242 20 0 DIADHN c1cn(Cc2ccc(CN[C@@H](c3ccccc3)C3CC3)cc2)cn1 ZINC000091284355 341424085 /nfs/dbraw/zinc/42/40/85/341424085.db2.gz IKQTVRITRHYHKY-NRFANRHFSA-N 0 3 317.436 4.172 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](c2ccccc2C)C(C)C)c1 ZINC000091285446 341424208 /nfs/dbraw/zinc/42/42/08/341424208.db2.gz JLXOWABQCYYBSV-FQEVSTJZSA-N 0 3 310.441 4.440 20 0 DIADHN CCCC(O)(CCC)CN[C@@H](C)c1nc2c(s1)CCCC2 ZINC000091726817 341427782 /nfs/dbraw/zinc/42/77/82/341427782.db2.gz DEMQQQPIKLVKOE-ZDUSSCGKSA-N 0 3 310.507 4.004 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@@H](C)c3cccc(C)c3C)c(C)n2n1 ZINC000091725379 341427793 /nfs/dbraw/zinc/42/77/93/341427793.db2.gz YLSQMLCQUYFZEG-HOTGVXAUSA-N 0 3 322.456 4.375 20 0 DIADHN CCc1ccc(CNCCCc2cn(-c3ccccc3)nc2C)o1 ZINC000553622027 341432583 /nfs/dbraw/zinc/43/25/83/341432583.db2.gz GXWQPIZRSOGNCZ-UHFFFAOYSA-N 0 3 323.440 4.059 20 0 DIADHN CC(C)(C)OCCNC1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000553696325 341434251 /nfs/dbraw/zinc/43/42/51/341434251.db2.gz ZNFINDZHDICFEO-UHFFFAOYSA-N 0 3 302.245 4.387 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1cnc(-c2ccncc2)s1 ZINC000553781988 341435839 /nfs/dbraw/zinc/43/58/39/341435839.db2.gz PQJAMOCTPGTGFN-FZKQIMNGSA-N 0 3 321.449 4.228 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)[C@@H](C)c2ccccc2)c(F)cn1 ZINC000554071217 341442542 /nfs/dbraw/zinc/44/25/42/341442542.db2.gz IDCZJAQXPUGOFB-MCIONIFRSA-N 0 3 302.393 4.072 20 0 DIADHN FC(F)[C@H]1C[C@@H]1N[C@@H]1CCCc2cc(Br)ccc21 ZINC000554095161 341443917 /nfs/dbraw/zinc/44/39/17/341443917.db2.gz BUECGUXIMVSOCV-XQQFMLRXSA-N 0 3 316.189 4.070 20 0 DIADHN COC[C@H](NC[C@@H](OC)c1cccc(Cl)c1)c1ccccc1 ZINC000554369641 341451592 /nfs/dbraw/zinc/45/15/92/341451592.db2.gz NIEIRVLTWVMYGR-ZWKOTPCHSA-N 0 3 319.832 4.005 20 0 DIADHN COC[C@@H](NC[C@H](OC)c1cccc(Cl)c1)c1ccccc1 ZINC000554369638 341451611 /nfs/dbraw/zinc/45/16/11/341451611.db2.gz NIEIRVLTWVMYGR-MSOLQXFVSA-N 0 3 319.832 4.005 20 0 DIADHN C[C@H](Cc1cccs1)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000093371731 341470069 /nfs/dbraw/zinc/47/00/69/341470069.db2.gz TYUKLMBHISFURI-NEPJUHHUSA-N 0 3 306.500 4.365 20 0 DIADHN CC(C)CCc1ccc(NC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000555219407 341477439 /nfs/dbraw/zinc/47/74/39/341477439.db2.gz XAUPLLPPYXAQOE-UHFFFAOYSA-N 0 3 314.473 4.088 20 0 DIADHN Cc1cc(C)cc(CCNCc2conc2Cc2ccccc2)c1 ZINC000555553793 341485176 /nfs/dbraw/zinc/48/51/76/341485176.db2.gz VZGAIAABOZTCTK-UHFFFAOYSA-N 0 3 320.436 4.215 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccccc21)c1ccc(-n2cccn2)c(F)c1 ZINC000555592349 341486314 /nfs/dbraw/zinc/48/63/14/341486314.db2.gz OELWZWOVIJRNGL-AUUYWEPGSA-N 0 3 321.399 4.350 20 0 DIADHN Cn1c(CNCCc2cc3ccccc3s2)nc2ccccc21 ZINC000555615888 341487177 /nfs/dbraw/zinc/48/71/77/341487177.db2.gz HKQUXUNMFZOORI-UHFFFAOYSA-N 0 3 321.449 4.120 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccccc2)C(C)(C)C)s1 ZINC000163086929 341488787 /nfs/dbraw/zinc/48/87/87/341488787.db2.gz IPGBLTIIIMQOMR-INIZCTEOSA-N 0 3 317.454 4.412 20 0 DIADHN CC[C@H](NCc1ccc(Cl)cc1)c1ccc(C(=O)OC)cc1 ZINC000556051150 341492968 /nfs/dbraw/zinc/49/29/68/341492968.db2.gz BOSQZTWURXWPAN-KRWDZBQOSA-N 0 3 317.816 4.368 20 0 DIADHN COc1cccc2c(CN3CCC(OC(C)C)CC3)cccc12 ZINC000556140008 341495389 /nfs/dbraw/zinc/49/53/89/341495389.db2.gz JBKQRVMGTNXVEQ-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN Cc1cc([C@H](C)NCCc2cccc(C(F)(F)F)n2)oc1C ZINC000556218636 341497673 /nfs/dbraw/zinc/49/76/73/341497673.db2.gz XJDOPWAUBUCATD-NSHDSACASA-N 0 3 312.335 4.204 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@H](C)c2cc[nH]c(=O)c2)c1 ZINC000556223875 341498006 /nfs/dbraw/zinc/49/80/06/341498006.db2.gz LMDIYMKOFLHSPO-NEPJUHHUSA-N 0 3 322.355 4.109 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(-c3cccnc3)cc2)ccn1 ZINC000556245191 341499171 /nfs/dbraw/zinc/49/91/71/341499171.db2.gz GDPZZNXXRVQAME-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN CC[C@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccn(C)n1 ZINC000556260598 341499761 /nfs/dbraw/zinc/49/97/61/341499761.db2.gz MAOXRRBJQKFMTG-XEZPLFJOSA-N 0 3 303.837 4.060 20 0 DIADHN CSc1ccc([C@H](CN[C@@H](C)c2ccsc2)N(C)C)cc1 ZINC000556262219 341499895 /nfs/dbraw/zinc/49/98/95/341499895.db2.gz XXOMWVYIISWVBG-GUYCJALGSA-N 0 3 320.527 4.424 20 0 DIADHN CSc1ccc([C@@H](CN[C@H](C)c2ccsc2)N(C)C)cc1 ZINC000556262206 341500018 /nfs/dbraw/zinc/50/00/18/341500018.db2.gz XXOMWVYIISWVBG-CXAGYDPISA-N 0 3 320.527 4.424 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3cc(F)ccc32)cc1F ZINC000152746279 341503967 /nfs/dbraw/zinc/50/39/67/341503967.db2.gz VKMHMWIVECHJGK-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN CC1(C)CC[C@@H]1N[C@@H](Cc1ccccc1)C(=O)Nc1ccccc1 ZINC000564646413 341506018 /nfs/dbraw/zinc/50/60/18/341506018.db2.gz WEYNYVNBWWXTNW-OALUTQOASA-N 0 3 322.452 4.015 20 0 DIADHN CC[C@@H](COC)N[C@@H](C)c1sc(-c2ccccc2F)nc1C ZINC000152877365 341509636 /nfs/dbraw/zinc/50/96/36/341509636.db2.gz DZWDFNPVUIFFNX-AAEUAGOBSA-N 0 3 322.449 4.333 20 0 DIADHN CC1(C)Cc2cc(CN3CCC(c4ccncc4)CC3)ccc2O1 ZINC000572564130 341514122 /nfs/dbraw/zinc/51/41/22/341514122.db2.gz ZQUNZSSQDQDXIG-UHFFFAOYSA-N 0 3 322.452 4.175 20 0 DIADHN CCCn1ncnc1CN[C@H](CC1CCCCC1)C(C)(C)C ZINC000565153394 341518454 /nfs/dbraw/zinc/51/84/54/341518454.db2.gz SDSDGRRAVAJAGI-MRXNPFEDSA-N 0 3 306.498 4.163 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N[C@@H]2CO[C@H](C)C2)cc1 ZINC000565302900 341523282 /nfs/dbraw/zinc/52/32/82/341523282.db2.gz IPUUFFUYKZEWLQ-VKJFTORMSA-N 0 3 311.425 4.190 20 0 DIADHN C[C@H](Cc1ccsc1)N1CCC(OC2CCCCC2)CC1 ZINC000565503570 341529287 /nfs/dbraw/zinc/52/92/87/341529287.db2.gz XTLSCIIJLJNMFG-OAHLLOKOSA-N 0 3 307.503 4.493 20 0 DIADHN Cc1nc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)[nH]c1C ZINC000565608847 341532671 /nfs/dbraw/zinc/53/26/71/341532671.db2.gz GCKCDFREVZQWOC-JOCQHMNTSA-N 0 3 324.255 4.369 20 0 DIADHN COc1cccc(C2(N[C@@H](C)[C@@H](OC)c3ccccc3)CC2)c1 ZINC000565790644 341538374 /nfs/dbraw/zinc/53/83/74/341538374.db2.gz FKVJTESOWKMIOT-HNAYVOBHSA-N 0 3 311.425 4.050 20 0 DIADHN COC(=O)CC[C@@H](CC1CCCCC1)N[C@H](C)c1ccccn1 ZINC000566242968 341551769 /nfs/dbraw/zinc/55/17/69/341551769.db2.gz ODFLNYAHCAGKKB-WBVHZDCISA-N 0 3 318.461 4.024 20 0 DIADHN Cc1sccc1CNCc1cc(Br)ccc1C ZINC000566347144 341554743 /nfs/dbraw/zinc/55/47/43/341554743.db2.gz UJVYJWFZXKBAEO-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CCOc1cc(C[NH2+]C[C@@H]2CCC[C@@H](C)C2)cc(Cl)c1[O-] ZINC000566577431 341562186 /nfs/dbraw/zinc/56/21/86/341562186.db2.gz KFWLTEPYGNHHNL-CHWSQXEVSA-N 0 3 311.853 4.360 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1ccccc1 ZINC000566573579 341562222 /nfs/dbraw/zinc/56/22/22/341562222.db2.gz MZGRJVJEAWCNLO-NBYUQASBSA-N 0 3 320.436 4.145 20 0 DIADHN CCC[C@@H](NC[C@]1(CC)OCCc2ccccc21)c1ccccn1 ZINC000566650086 341564178 /nfs/dbraw/zinc/56/41/78/341564178.db2.gz RESUABWUBFBTLW-CTNGQTDRSA-N 0 3 324.468 4.391 20 0 DIADHN C[C@H](NC[C@@]1(CO)C[C@H]1c1ccccc1)c1cc2ccccc2o1 ZINC000566649071 341564249 /nfs/dbraw/zinc/56/42/49/341564249.db2.gz HDSNBODGMOXPLW-UQASEVPWSA-N 0 3 321.420 4.250 20 0 DIADHN CCC(CC)[C@@H](NCc1c[nH]c2nccnc12)c1cccs1 ZINC000566709724 341565838 /nfs/dbraw/zinc/56/58/38/341565838.db2.gz LSOMWMFGNBMKDT-OAHLLOKOSA-N 0 3 314.458 4.238 20 0 DIADHN CC[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(Cl)s1 ZINC000154138044 341585331 /nfs/dbraw/zinc/58/53/31/341585331.db2.gz XYTJYWHTDIGPDM-RYUDHWBXSA-N 0 3 312.866 4.338 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cccc3C)c(OC)c1 ZINC000154168316 341585809 /nfs/dbraw/zinc/58/58/09/341585809.db2.gz GOMPOYYBXWSKKG-LIRRHRJNSA-N 0 3 311.425 4.350 20 0 DIADHN CC(C)(C)OCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000308695134 341587314 /nfs/dbraw/zinc/58/73/14/341587314.db2.gz PINMAPBLYXPZSQ-SFHVURJKSA-N 0 3 301.405 4.320 20 0 DIADHN C[C@@H](NCC1(C)CCCCC1)c1ccc(Br)cn1 ZINC000567225456 341589757 /nfs/dbraw/zinc/58/97/57/341589757.db2.gz LMMPHUUPXOVLND-GFCCVEGCSA-N 0 3 311.267 4.465 20 0 DIADHN COc1ccccc1C[C@H](C)NCc1cc(-c2ccccc2)on1 ZINC000154754104 341595683 /nfs/dbraw/zinc/59/56/83/341595683.db2.gz DOABCAJATSDNAW-HNNXBMFYSA-N 0 3 322.408 4.071 20 0 DIADHN F[C@@H]1CCC[C@@H]1NC1CC(c2ccc(C(F)(F)F)cc2)C1 ZINC000567373531 341599675 /nfs/dbraw/zinc/59/96/75/341599675.db2.gz PMDNBPVITRXTFS-AXVKAWJUSA-N 0 3 301.327 4.432 20 0 DIADHN Cc1ncc(CN[C@@H](c2cccc(Cl)c2)C2CCCC2)n1C ZINC000567407996 341601909 /nfs/dbraw/zinc/60/19/09/341601909.db2.gz RZHQMIKXHIOFJF-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN COCc1cc([C@@H](C)N[C@@H]2CCc3ccccc32)ccc1OC ZINC000268749663 341603521 /nfs/dbraw/zinc/60/35/21/341603521.db2.gz IWHIZVFJVYHXJQ-AUUYWEPGSA-N 0 3 311.425 4.180 20 0 DIADHN COCc1cc([C@H](C)N[C@@H]2CCc3ccccc32)ccc1OC ZINC000268749664 341603544 /nfs/dbraw/zinc/60/35/44/341603544.db2.gz IWHIZVFJVYHXJQ-IFXJQAMLSA-N 0 3 311.425 4.180 20 0 DIADHN COc1ccc2ccccc2c1-c1cc(CN2CCCCC2)on1 ZINC000155188856 341603547 /nfs/dbraw/zinc/60/35/47/341603547.db2.gz IJBBMQKNXJBYKJ-UHFFFAOYSA-N 0 3 322.408 4.489 20 0 DIADHN COc1cncc(CN[C@@H](Cc2ccccc2)c2ccccc2)c1 ZINC000567424736 341603551 /nfs/dbraw/zinc/60/35/51/341603551.db2.gz NDZSKXRPNTWKBJ-NRFANRHFSA-N 0 3 318.420 4.164 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000268766246 341603577 /nfs/dbraw/zinc/60/35/77/341603577.db2.gz QDNVOXCDJOEJQB-FUHWJXTLSA-N 0 3 317.864 4.438 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1ccnc(OCC(F)(F)F)c1 ZINC000155230229 341604443 /nfs/dbraw/zinc/60/44/43/341604443.db2.gz RYUCLOPHMFDPGX-ZDUSSCGKSA-N 0 3 324.346 4.182 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc(NC(N)=O)cc1 ZINC000271507599 341622970 /nfs/dbraw/zinc/62/29/70/341622970.db2.gz RUDJUMOKIKFXBU-FHSNZYRGSA-N 0 3 309.413 4.076 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)CC(F)(F)F)cc1OCC ZINC000156931427 341629122 /nfs/dbraw/zinc/62/91/22/341629122.db2.gz NGDSKGVUGWMQME-RYUDHWBXSA-N 0 3 319.367 4.476 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(N2CCOC2=O)c1)c1ccccc1 ZINC000156961138 341629438 /nfs/dbraw/zinc/62/94/38/341629438.db2.gz LFSIKQZLIGPAKE-HNAYVOBHSA-N 0 3 324.424 4.445 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccccc1CC)CCC2 ZINC000157969573 341648485 /nfs/dbraw/zinc/64/84/85/341648485.db2.gz WSPSBOVRKDETIY-OAHLLOKOSA-N 0 3 300.471 4.435 20 0 DIADHN Nc1ccc2c(c1)CC[C@@H]2NCc1cc(Cl)cc(Cl)c1 ZINC000568664079 341677911 /nfs/dbraw/zinc/67/79/11/341677911.db2.gz KYRJIERZJPSTDS-INIZCTEOSA-N 0 3 307.224 4.353 20 0 DIADHN C[C@H](NCCN(C)Cc1ccccc1)c1nccc2ccccc21 ZINC000568875241 341684002 /nfs/dbraw/zinc/68/40/02/341684002.db2.gz IMSOUVNPYSBJDT-KRWDZBQOSA-N 0 3 319.452 4.017 20 0 DIADHN CO[C@H](CNCc1cc(C)c(O)c(C)c1)c1ccc(Cl)cc1 ZINC000569171827 341692880 /nfs/dbraw/zinc/69/28/80/341692880.db2.gz LNAWASHLNOCJDN-QGZVFWFLSA-N 0 3 319.832 4.140 20 0 DIADHN COC[C@@H](N[C@@H]1CCC1(C)C)c1cccc(C(F)(F)F)c1 ZINC000572624954 341698152 /nfs/dbraw/zinc/69/81/52/341698152.db2.gz WJGKPEPPLNFUKB-ZIAGYGMSSA-N 0 3 301.352 4.171 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](c2cccnc2)C(C)C)c(F)c1 ZINC000164129441 341703700 /nfs/dbraw/zinc/70/37/00/341703700.db2.gz VRRQJXYPGNMHEM-RDTXWAMCSA-N 0 3 316.420 4.320 20 0 DIADHN C[C@@H](NC[C@@H](O)C(C)(C)C)c1cc(F)c(Cl)cc1Cl ZINC000324292752 341706464 /nfs/dbraw/zinc/70/64/64/341706464.db2.gz SPKRJRBVJRVGOM-AMIZOPFISA-N 0 3 308.224 4.190 20 0 DIADHN COc1ccc([C@H](NCc2ccc3[nH]ccc3c2)C2CC2)cc1 ZINC000572899754 341708512 /nfs/dbraw/zinc/70/85/12/341708512.db2.gz KAWRSQBSJVFSIH-HXUWFJFHSA-N 0 3 306.409 4.417 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cn(C)nc2-c2ccccc2)c2ccccc21 ZINC000573011930 341713283 /nfs/dbraw/zinc/71/32/83/341713283.db2.gz SPSSZJBDPDKLKD-FOIQADDNSA-N 0 3 317.436 4.425 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC(C)C)c2ccccn2)C12CCC2 ZINC000166157993 341716514 /nfs/dbraw/zinc/71/65/14/341716514.db2.gz XPOOHPUNPACKCX-RCCFBDPRSA-N 0 3 302.462 4.106 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1cn2ccccc2n1 ZINC000574219232 341752299 /nfs/dbraw/zinc/75/22/99/341752299.db2.gz KJEBBQLUHOVHMM-QRTARXTBSA-N 0 3 309.388 4.070 20 0 DIADHN Cc1nc(CN[C@@H](C)C(c2ccccc2)c2ccccc2)[nH]c1C ZINC000574533053 341761484 /nfs/dbraw/zinc/76/14/84/341761484.db2.gz YNXPDKHFDRBNGL-KRWDZBQOSA-N 0 3 319.452 4.337 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnn3ccccc23)c2ccccc21 ZINC000575079172 341775690 /nfs/dbraw/zinc/77/56/90/341775690.db2.gz QFXULSYLABANOB-OALUTQOASA-N 0 3 305.425 4.309 20 0 DIADHN COc1cc(CNCc2cc3ccccc3s2)cc(OC)c1 ZINC000575765663 341803411 /nfs/dbraw/zinc/80/34/11/341803411.db2.gz BOUTZRZOIHUWHZ-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1cc2c(s1)CCCC2 ZINC000336852948 341808170 /nfs/dbraw/zinc/80/81/70/341808170.db2.gz BDYODQQZBHJWBV-IUODEOHRSA-N 0 3 315.486 4.089 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000575836268 341808281 /nfs/dbraw/zinc/80/82/81/341808281.db2.gz TVHGZKNVKVZMJG-SJCJKPOMSA-N 0 3 303.837 4.285 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3ccccc3)CCC2)cc1OC ZINC000173366018 341815380 /nfs/dbraw/zinc/81/53/80/341815380.db2.gz KYJPNVONQZXTBB-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H]1CCc2c1cccc2O ZINC000575979177 341818110 /nfs/dbraw/zinc/81/81/10/341818110.db2.gz BAKOKJACEPOTCF-MSOLQXFVSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1nn(C)c(C)c1C[C@@H](C)NCc1ccc(-c2ccccc2)o1 ZINC000174543116 341828617 /nfs/dbraw/zinc/82/86/17/341828617.db2.gz MMPZODKAMGHNRK-CQSZACIVSA-N 0 3 323.440 4.018 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCCOc1ccc(Cl)cc1 ZINC000576179617 341831555 /nfs/dbraw/zinc/83/15/55/341831555.db2.gz VAGWGTIOTGCFLR-UHFFFAOYSA-N 0 3 322.836 4.239 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@@H](C)c1ccc(Cl)cn1 ZINC000576389536 341843749 /nfs/dbraw/zinc/84/37/49/341843749.db2.gz AGAJLFNNWXCKSN-ZDUSSCGKSA-N 0 3 303.837 4.067 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@H](C)c1ccc(Cl)cn1 ZINC000576389533 341843787 /nfs/dbraw/zinc/84/37/87/341843787.db2.gz AGAJLFNNWXCKSN-CYBMUJFWSA-N 0 3 303.837 4.067 20 0 DIADHN CC(C)n1ccc(C[C@H](C)NC2(c3cccc(Cl)c3)CC2)n1 ZINC000576425136 341845685 /nfs/dbraw/zinc/84/56/85/341845685.db2.gz BBAYHXTUIDCWPG-AWEZNQCLSA-N 0 3 317.864 4.327 20 0 DIADHN Cc1cccc(CN[C@@H](C)Cc2ccccc2C(F)(F)F)n1 ZINC000576445742 341846638 /nfs/dbraw/zinc/84/66/38/341846638.db2.gz HBVWOBYILOYKKQ-ZDUSSCGKSA-N 0 3 308.347 4.130 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc(-c2ccc(Cl)cc2)no1 ZINC000576718384 341860078 /nfs/dbraw/zinc/86/00/78/341860078.db2.gz RBOKFWRQQLIQMF-FDEJFUCISA-N 0 3 317.820 4.229 20 0 DIADHN CC[C@@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1nc(C(F)(F)F)cs1 ZINC000576726013 341860313 /nfs/dbraw/zinc/86/03/13/341860313.db2.gz YTOSPGHZUBFOGL-LNFKQOIKSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@@H](NC[C@]1(C)OCCc2sccc21)c1ccc(F)c(F)c1 ZINC000576812886 341864211 /nfs/dbraw/zinc/86/42/11/341864211.db2.gz ZTXCVGDWIDSDLQ-DIFFPNOSSA-N 0 3 323.408 4.165 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1ccc(F)c(F)c1 ZINC000576812888 341864332 /nfs/dbraw/zinc/86/43/32/341864332.db2.gz ZTXCVGDWIDSDLQ-PIGZYNQJSA-N 0 3 323.408 4.165 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2ccccc2OCc2ccncc2)C1 ZINC000576823373 341864903 /nfs/dbraw/zinc/86/49/03/341864903.db2.gz ZOHRQHJEZJNYDS-QZTJIDSGSA-N 0 3 314.404 4.031 20 0 DIADHN CC[C@@H](NCc1cc(C)cs1)c1ccc(OC)c(OC)c1 ZINC000344610386 341867687 /nfs/dbraw/zinc/86/76/87/341867687.db2.gz KHQQWZGKTWOAOD-OAHLLOKOSA-N 0 3 305.443 4.315 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)CCC1CCCCC1)c1ccco1 ZINC000577017456 341877503 /nfs/dbraw/zinc/87/75/03/341877503.db2.gz JZZTWPYKQROTGV-NVXWUHKLSA-N 0 3 320.477 4.186 20 0 DIADHN CC(C)OC1CC(NCc2cc(F)c(C(F)(F)F)cc2F)C1 ZINC000577104411 341886179 /nfs/dbraw/zinc/88/61/79/341886179.db2.gz LWDNHETZRNZZNS-UHFFFAOYSA-N 0 3 323.305 4.029 20 0 DIADHN C[C@H](N[C@H](CO)c1ccc(F)cc1)c1cc2ccccc2s1 ZINC000580617042 341887100 /nfs/dbraw/zinc/88/71/00/341887100.db2.gz ONDCNEQVTYSQEJ-BLLLJJGKSA-N 0 3 315.413 4.425 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)o1 ZINC000577140357 341889805 /nfs/dbraw/zinc/88/98/05/341889805.db2.gz BZFPKRGWHNUVOX-QNDJGXFYSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1cccc2c1OC[C@H](N[C@H](CC(C)C)c1ccccn1)C2 ZINC000577327910 341904438 /nfs/dbraw/zinc/90/44/38/341904438.db2.gz MDOCBULANJULPI-IEBWSBKVSA-N 0 3 310.441 4.071 20 0 DIADHN CCc1ccc(CNCc2ccnc(C(F)(F)F)c2)s1 ZINC000577458653 341910710 /nfs/dbraw/zinc/91/07/10/341910710.db2.gz IITPNQGOADSISI-UHFFFAOYSA-N 0 3 300.349 4.014 20 0 DIADHN COc1ccc([C@H](C)NCCc2cc3ccccc3o2)cc1O ZINC000577619922 341924190 /nfs/dbraw/zinc/92/41/90/341924190.db2.gz NBFGQSAWKQWCEL-ZDUSSCGKSA-N 0 3 311.381 4.040 20 0 DIADHN COc1cccc([C@H](C)N[C@H]2CCc3c2ccc(OC)c3F)c1 ZINC000577676532 341928507 /nfs/dbraw/zinc/92/85/07/341928507.db2.gz INYSRLVKTVUVTK-SJCJKPOMSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@@H](N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccccc1 ZINC000177937484 341940076 /nfs/dbraw/zinc/94/00/76/341940076.db2.gz CHLUGBZHLKZFBD-VLIAUNLRSA-N 0 3 309.409 4.260 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c(C)c1 ZINC000178170333 341948498 /nfs/dbraw/zinc/94/84/98/341948498.db2.gz WSRFGRXLPKYGDB-INIZCTEOSA-N 0 3 322.452 4.347 20 0 DIADHN C[C@@H](NCCOc1cccc(F)c1)c1cnc2ccsc2c1 ZINC000178473813 341955768 /nfs/dbraw/zinc/95/57/68/341955768.db2.gz ZKHHQKJWRRJTRT-GFCCVEGCSA-N 0 3 316.401 4.165 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3OC)cc1 ZINC000178471599 341955771 /nfs/dbraw/zinc/95/57/71/341955771.db2.gz WMEUSYNFWVGYOP-AUUYWEPGSA-N 0 3 311.425 4.432 20 0 DIADHN FC(F)(F)c1cscc1CNCCCNc1ccccc1 ZINC000577989435 341964163 /nfs/dbraw/zinc/96/41/63/341964163.db2.gz CFBHPRWXMIAAON-UHFFFAOYSA-N 0 3 314.376 4.359 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CCCC[C@@H]1Cc1ccccc1 ZINC000481195580 517497200 /nfs/dbraw/zinc/49/72/00/517497200.db2.gz WCFFCBQHVVFJSN-MOPGFXCFSA-N 0 3 311.473 4.355 20 0 DIADHN COCCC1(NCc2ccc(-c3ccc(F)cc3)o2)CCC1 ZINC000475488595 518047958 /nfs/dbraw/zinc/04/79/58/518047958.db2.gz SLCWILKTYIOVPM-UHFFFAOYSA-N 0 3 303.377 4.135 20 0 DIADHN COC[C@@H](NCCCC1CC1)c1cccc(C(F)(F)F)c1 ZINC000539071679 518083927 /nfs/dbraw/zinc/08/39/27/518083927.db2.gz CLYLFFXUXLBFCA-OAHLLOKOSA-N 0 3 301.352 4.173 20 0 DIADHN COC[C@H](N[C@H](C)CCCC(F)(F)F)c1ccc(F)cc1 ZINC000480173015 518092179 /nfs/dbraw/zinc/09/21/79/518092179.db2.gz XMRRKFKSLUNUJQ-RISCZKNCSA-N 0 3 307.331 4.224 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCCC2(C)C)cs1 ZINC000191860409 518168362 /nfs/dbraw/zinc/16/83/62/518168362.db2.gz BVZYZTDJUWWHEJ-MRXNPFEDSA-N 0 3 316.470 4.487 20 0 DIADHN Cc1cnc(CCN[C@@H](c2ccc(Cl)cc2F)C(C)C)nc1 ZINC000541917271 518191572 /nfs/dbraw/zinc/19/15/72/518191572.db2.gz IPSMPNBLDVHZRX-QGZVFWFLSA-N 0 3 321.827 4.107 20 0 DIADHN CC(C)(C)c1csc(CN[C@@H]2CCCC[C@@H]2[C@H]2CCOC2)n1 ZINC000365060165 519083426 /nfs/dbraw/zinc/08/34/26/519083426.db2.gz DUIOJOXMSLTRBV-RRFJBIMHSA-N 0 3 322.518 4.126 20 0 DIADHN CC(C)N(CC(=O)Nc1c(Cl)cccc1Cl)C(C)C ZINC000180452624 519636598 /nfs/dbraw/zinc/63/65/98/519636598.db2.gz NPULQBWAWGYDTI-UHFFFAOYSA-N 0 3 303.233 4.051 20 0 DIADHN CC(C)NC(=O)Nc1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000127992059 519712923 /nfs/dbraw/zinc/71/29/23/519712923.db2.gz MSGWETIVABPEMY-ZDUSSCGKSA-N 0 3 317.458 4.129 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@H]2CCCc3ccccc32)cc1 ZINC000181909675 519733606 /nfs/dbraw/zinc/73/36/06/519733606.db2.gz FRHJRXFTCSOTCN-VLIAUNLRSA-N 0 3 308.425 4.373 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCCOC2CCCCCC2)c1 ZINC000131543058 519748627 /nfs/dbraw/zinc/74/86/27/519748627.db2.gz BXFKPEJWZGSYBA-HNNXBMFYSA-N 0 3 318.461 4.035 20 0 DIADHN CC(C)OCCCCN[C@H](C)c1nc2ccc(Cl)cc2n1C ZINC000180456635 519761175 /nfs/dbraw/zinc/76/11/75/519761175.db2.gz LTQBTESLUAFPQP-CYBMUJFWSA-N 0 3 323.868 4.083 20 0 DIADHN CC(C)OCCCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000158343064 519762461 /nfs/dbraw/zinc/76/24/61/519762461.db2.gz FNWCSRIAQCCTSC-HNNXBMFYSA-N 0 3 304.459 4.248 20 0 DIADHN CC(C)[C@H](NCc1ccc(CO)c(F)c1)c1ccc(F)c(F)c1 ZINC000181990891 519821005 /nfs/dbraw/zinc/82/10/05/519821005.db2.gz LYTZRJLWXNDVFO-SFHVURJKSA-N 0 3 323.358 4.083 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)cn1 ZINC000164131794 519974203 /nfs/dbraw/zinc/97/42/03/519974203.db2.gz FHZKDLSYIQGCEY-JKSUJKDBSA-N 0 3 323.444 4.062 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000191163751 520192686 /nfs/dbraw/zinc/19/26/86/520192686.db2.gz RVHFHGYLTQJQRH-OAHLLOKOSA-N 0 3 315.461 4.160 20 0 DIADHN CCCCCOc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000163928573 520257701 /nfs/dbraw/zinc/25/77/01/520257701.db2.gz WSHAZEVBVDWNQS-HOTGVXAUSA-N 0 3 315.461 4.401 20 0 DIADHN CCO[C@@H](CCN[C@@H](c1ccccc1)c1ccccn1)C(C)C ZINC000180694257 520302885 /nfs/dbraw/zinc/30/28/85/520302885.db2.gz XGVPZGCOKYYUKI-PMACEKPBSA-N 0 3 312.457 4.212 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2sc(C)nc2C)cc1 ZINC000265619388 520415289 /nfs/dbraw/zinc/41/52/89/520415289.db2.gz POJYZCHVLXIVGV-GFCCVEGCSA-N 0 3 304.459 4.400 20 0 DIADHN CC1(C)Cc2occc2[C@@H](NCc2ccc(-n3cccn3)cc2)C1 ZINC000157578199 520589457 /nfs/dbraw/zinc/58/94/57/520589457.db2.gz HKLDJKMIFOFFAP-SFHVURJKSA-N 0 3 321.424 4.269 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccn(C)c2)cc1OC ZINC000158569084 520896271 /nfs/dbraw/zinc/89/62/71/520896271.db2.gz RMJSNHCHBCHQLC-HNNXBMFYSA-N 0 3 316.445 4.063 20 0 DIADHN CCOc1ccc(CN2CCC(OC3CCCC3)CC2)cc1 ZINC000157614199 520970071 /nfs/dbraw/zinc/97/00/71/520970071.db2.gz ZBXJMQJKSQGGCH-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc3c(c2)COC3)cc1F ZINC000268899724 521009002 /nfs/dbraw/zinc/00/90/02/521009002.db2.gz QWLFWMIYWQMRKE-CYBMUJFWSA-N 0 3 315.388 4.105 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCc1c(C)noc1C ZINC000155975398 521016938 /nfs/dbraw/zinc/01/69/38/521016938.db2.gz MGMOGQUZYUCQGL-LLVKDONJSA-N 0 3 322.836 4.237 20 0 DIADHN CCC[C@H](NCc1ccc(OCCOC)cc1)c1ccsc1 ZINC000191202186 521163224 /nfs/dbraw/zinc/16/32/24/521163224.db2.gz CZHTXOUCTOMHKG-SFHVURJKSA-N 0 3 319.470 4.404 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000157836794 521176079 /nfs/dbraw/zinc/17/60/79/521176079.db2.gz DHDPHIRVFJQVJA-UZLBHIALSA-N 0 3 320.440 4.459 20 0 DIADHN CCN(Cc1nc2ccc(Cl)cc2c(=O)[nH]1)C1CCCCC1 ZINC000268021032 521452860 /nfs/dbraw/zinc/45/28/60/521452860.db2.gz YVJBDGBBIYUXJK-UHFFFAOYSA-N 0 3 319.836 4.143 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCCc1sc(C)nc1C ZINC000157998253 521572128 /nfs/dbraw/zinc/57/21/28/521572128.db2.gz YRWLEYHNRWKTAU-GFCCVEGCSA-N 0 3 304.459 4.052 20 0 DIADHN CCCn1nc(C)c(CNCc2cccc(CSC)c2)c1C ZINC000189490066 521668527 /nfs/dbraw/zinc/66/85/27/521668527.db2.gz WNUCWYAAIMTXDB-UHFFFAOYSA-N 0 3 317.502 4.063 20 0 DIADHN CCS[C@@H]1CCC[C@@H](NCc2ncc(CSC)s2)C1 ZINC000339292794 521725029 /nfs/dbraw/zinc/72/50/29/521725029.db2.gz FCOYYALPNUBKFL-VXGBXAGGSA-N 0 3 316.561 4.160 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cnc3ccccc3c2)C1 ZINC000337200117 521727889 /nfs/dbraw/zinc/72/78/89/521727889.db2.gz ZKJHIGFIGYDSDS-DLBZAZTESA-N 0 3 300.471 4.341 20 0 DIADHN CCSc1ccc([C@H](C)N[C@H]2CCCc3nn(C)cc32)cc1 ZINC000338018964 521751160 /nfs/dbraw/zinc/75/11/60/521751160.db2.gz OKUDTAMCAFIJHT-GUYCJALGSA-N 0 3 315.486 4.260 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cccc(COC)c2)s1 ZINC000158515863 522222884 /nfs/dbraw/zinc/22/28/84/522222884.db2.gz LSRRSQOLSQDIFQ-GFCCVEGCSA-N 0 3 304.459 4.011 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cccc(COC)c2)s1 ZINC000158516002 522235298 /nfs/dbraw/zinc/23/52/98/522235298.db2.gz LSRRSQOLSQDIFQ-LBPRGKRZSA-N 0 3 304.459 4.011 20 0 DIADHN CCc1nc(CCN[C@@H]2CCSc3ccccc32)cs1 ZINC000157067861 522282409 /nfs/dbraw/zinc/28/24/09/522282409.db2.gz NNLSCTMJQCWJQQ-CQSZACIVSA-N 0 3 304.484 4.075 20 0 DIADHN CCc1nc(CCN[C@H](C)c2cnc3ccsc3c2)cs1 ZINC000157136441 522284220 /nfs/dbraw/zinc/28/42/20/522284220.db2.gz XJWVBYMNHKFIOK-LLVKDONJSA-N 0 3 317.483 4.209 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](CC)c1ccncc1)CCC2 ZINC000163713527 522480286 /nfs/dbraw/zinc/48/02/86/522480286.db2.gz QDCXKEGTXNBBIE-UONOGXRCSA-N 0 3 301.459 4.219 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(COC)cc1)CCC2 ZINC000124420558 522480562 /nfs/dbraw/zinc/48/05/62/522480562.db2.gz YYTOXFHWYWOVQN-OAHLLOKOSA-N 0 3 316.470 4.019 20 0 DIADHN CC[C@H](NCCSC1CCCCC1)c1c(C)nn(C)c1C ZINC000337313356 522597420 /nfs/dbraw/zinc/59/74/20/522597420.db2.gz GSRBEWBOKFULLA-INIZCTEOSA-N 0 3 309.523 4.144 20 0 DIADHN COC1CCC(N(C)Cc2nc(-c3cccs3)oc2C)CC1 ZINC000265173732 522686004 /nfs/dbraw/zinc/68/60/04/522686004.db2.gz BYUNIDMOVQELBY-UHFFFAOYSA-N 0 3 320.458 4.101 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CCC2)c1c(C)nn(C)c1C ZINC000156616356 522738901 /nfs/dbraw/zinc/73/89/01/522738901.db2.gz IZEJGQWGISPUKL-YJYMSZOUSA-N 0 3 311.473 4.328 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c1cnn2CC)c1cccc(F)c1 ZINC000364159374 522753858 /nfs/dbraw/zinc/75/38/58/522753858.db2.gz GYVVLAQPMBMZPP-IRXDYDNUSA-N 0 3 301.409 4.160 20 0 DIADHN CC[C@H](N[C@H]1CCSc2ccc(F)cc21)c1ccncc1 ZINC000163614132 522758792 /nfs/dbraw/zinc/75/87/92/522758792.db2.gz PCXMLGRWPAFKHI-HOTGVXAUSA-N 0 3 302.418 4.499 20 0 DIADHN CC[C@@H](NCC[S@@](=O)c1ccccc1)c1ccc(Cl)cc1 ZINC000104665878 522841582 /nfs/dbraw/zinc/84/15/82/522841582.db2.gz VOFPBTHTOFNFIX-UTKZUKDTSA-N 0 3 321.873 4.189 20 0 DIADHN CC[C@@H](N[C@H](C)COC(C)C)c1ccccc1OC(F)F ZINC000337341904 522932771 /nfs/dbraw/zinc/93/27/71/522932771.db2.gz QTKXJEAEBPUXIH-TZMCWYRMSA-N 0 3 301.377 4.142 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(N2CCCC2=O)cc1)c1ccncc1 ZINC000163777689 522935396 /nfs/dbraw/zinc/93/53/96/522935396.db2.gz HPKQNNFQZHCHSD-DNVCBOLYSA-N 0 3 323.440 4.010 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCCOc2cc(C)ccc21 ZINC000122038488 523106729 /nfs/dbraw/zinc/10/67/29/523106729.db2.gz CPRCDAKPVZOVHF-LJQANCHMSA-N 0 3 311.425 4.145 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000191657681 523444471 /nfs/dbraw/zinc/44/44/71/523444471.db2.gz MCLWJTQRWBIXAN-SCRDCRAPSA-N 0 3 304.459 4.193 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2c3cccc(F)c3CC[C@@H]2C)cn1 ZINC000163298108 523459580 /nfs/dbraw/zinc/45/95/80/523459580.db2.gz ICVLFKAGIKYVBH-JCGVRSQUSA-N 0 3 301.409 4.016 20 0 DIADHN COCCC[C@@H](C)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000180686843 523465801 /nfs/dbraw/zinc/46/58/01/523465801.db2.gz QAYUGZBHOLIMDO-KGLIPLIRSA-N 0 3 304.459 4.276 20 0 DIADHN CCn1cc([C@H](C)N[C@H]2c3cccc(F)c3CC[C@@H]2C)cn1 ZINC000163298445 523477383 /nfs/dbraw/zinc/47/73/83/523477383.db2.gz ICVLFKAGIKYVBH-ZJNRKIDTSA-N 0 3 301.409 4.016 20 0 DIADHN CC[C@](C)(O)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361228685 523514044 /nfs/dbraw/zinc/51/40/44/523514044.db2.gz KNOAGXZIHPUNIJ-ZANVPECISA-N 0 3 308.274 4.183 20 0 DIADHN CC[S@@](=O)[C@@H]1CCC[C@H](N[C@@H](C)c2csc(Cl)c2)C1 ZINC000191329694 523634644 /nfs/dbraw/zinc/63/46/44/523634644.db2.gz YANAOWGVJCGQOA-JVYXKOHPSA-N 0 3 319.923 4.132 20 0 DIADHN CO[C@H](C)[C@H](C)N[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000191657651 523900239 /nfs/dbraw/zinc/90/02/39/523900239.db2.gz MCLWJTQRWBIXAN-OUCADQQQSA-N 0 3 304.459 4.193 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(OCCOC)cc2)s1 ZINC000156284966 523945850 /nfs/dbraw/zinc/94/58/50/523945850.db2.gz QVHWJXIJWZEWFY-CQSZACIVSA-N 0 3 319.470 4.187 20 0 DIADHN Cc1ccc2oc(C(=O)Nc3cc(CN(C)C)ccc3C)cc2c1 ZINC000267161382 524091747 /nfs/dbraw/zinc/09/17/47/524091747.db2.gz QQLGLPOQQCFECI-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1cc(C(=O)Nc2cc(CN3CCCC3)ccc2C)c(C)o1 ZINC000128728516 524161199 /nfs/dbraw/zinc/16/11/99/524161199.db2.gz FJZZNKOYRGXWPW-UHFFFAOYSA-N 0 3 312.413 4.053 20 0 DIADHN C[C@H](CCN[C@@H](C)c1cnccn1)c1cccc(C(F)(F)F)c1 ZINC000155867812 524195620 /nfs/dbraw/zinc/19/56/20/524195620.db2.gz HTARKEGGWMWWMZ-OLZOCXBDSA-N 0 3 323.362 4.340 20 0 DIADHN Cc1ccc(CNC2(c3ccc(F)cc3)CCOCC2)cc1F ZINC000266187978 524569550 /nfs/dbraw/zinc/56/95/50/524569550.db2.gz HVAPTSZPZVOQPI-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccccc3Cl)o2)[C@H](C)C1 ZINC000119303179 524618288 /nfs/dbraw/zinc/61/82/88/524618288.db2.gz KWUFDKLJIUDMKM-LALPHHSUSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cccc(NCc2ccccc2CN(C)Cc2ccco2)n1 ZINC000157702625 524687169 /nfs/dbraw/zinc/68/71/69/524687169.db2.gz CLHXUBMOFPTNCY-UHFFFAOYSA-N 0 3 321.424 4.227 20 0 DIADHN C[C@@H]1CC[C@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@H](C)C1 ZINC000119440208 524703998 /nfs/dbraw/zinc/70/39/98/524703998.db2.gz LVHROFVLXNWHEU-JMSVASOKSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@@H](C)c1nccs1 ZINC000180226114 524728939 /nfs/dbraw/zinc/72/89/39/524728939.db2.gz XUIZOVHXTIFCJQ-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H](CNCc1nc(C(F)(F)F)cs1)c1ccc(F)cc1 ZINC000127966456 524743240 /nfs/dbraw/zinc/74/32/40/524743240.db2.gz UTVQSWZPUXVGBL-VIFPVBQESA-N 0 3 318.339 4.194 20 0 DIADHN C[C@H](Cc1ccncc1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000337316505 524756678 /nfs/dbraw/zinc/75/66/78/524756678.db2.gz KQFFQZJKQSEYQS-CABCVRRESA-N 0 3 323.465 4.487 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)c1C ZINC000178338297 524831495 /nfs/dbraw/zinc/83/14/95/524831495.db2.gz MIQSVVFIKMFGAV-MCFFVMPBSA-N 0 3 315.482 4.453 20 0 DIADHN Cc1ccc(F)cc1CNC1(c2cccc(F)c2)CCOCC1 ZINC000180267803 524853843 /nfs/dbraw/zinc/85/38/43/524853843.db2.gz ZOSJXFPWZFWIBH-UHFFFAOYSA-N 0 3 317.379 4.069 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NCCCOC(F)F ZINC000338008278 524878962 /nfs/dbraw/zinc/87/89/62/524878962.db2.gz PSCCROCFSPTTQJ-CYBMUJFWSA-N 0 3 319.779 4.081 20 0 DIADHN COc1ncc(CN[C@@H](CC(C)(C)C)c2ccccc2)c(C)n1 ZINC000337196056 525015843 /nfs/dbraw/zinc/01/58/43/525015843.db2.gz XBTIYDHJSOLYQU-KRWDZBQOSA-N 0 3 313.445 4.061 20 0 DIADHN COc1ccc([C@@H](C)CNC(C)(C)c2nc(C)cs2)cc1 ZINC000182213840 525123785 /nfs/dbraw/zinc/12/37/85/525123785.db2.gz CKLNTOKFECGMDB-LBPRGKRZSA-N 0 3 304.459 4.089 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC(C)(C)C)nc2)cc1 ZINC000181349714 525155252 /nfs/dbraw/zinc/15/52/52/525155252.db2.gz RNPBAJARYQPJOM-CQSZACIVSA-N 0 3 314.429 4.118 20 0 DIADHN COc1ccc([C@@H](NCc2ccc([C@H](C)O)cc2)C(C)C)cc1 ZINC000180045929 525203028 /nfs/dbraw/zinc/20/30/28/525203028.db2.gz AMKJWKUNXUUGCU-YWZLYKJASA-N 0 3 313.441 4.235 20 0 DIADHN COc1ccc([C@@H](NCc2ccc([C@@H](C)O)cc2)C(C)C)cc1 ZINC000180045897 525203448 /nfs/dbraw/zinc/20/34/48/525203448.db2.gz AMKJWKUNXUUGCU-QRWLVFNGSA-N 0 3 313.441 4.235 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cnc(C)nc2C)C(C)C)cc1 ZINC000163040012 525205248 /nfs/dbraw/zinc/20/52/48/525205248.db2.gz GAKFTCCOJSAHCE-KUHUBIRLSA-N 0 3 313.445 4.150 20 0 DIADHN Cc1ccccc1NC(=O)CCN1CCc2sccc2[C@@H]1C ZINC000266444483 525233777 /nfs/dbraw/zinc/23/37/77/525233777.db2.gz NGIHKVCSWXDBFO-AWEZNQCLSA-N 0 3 314.454 4.004 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(O)CCCCCC2)c2ccsc2S1 ZINC000336826690 525264480 /nfs/dbraw/zinc/26/44/80/525264480.db2.gz SZMGRNMJHURLCN-TZMCWYRMSA-N 0 3 311.516 4.348 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cc(F)cc3cccnc32)C1 ZINC000364104094 525294281 /nfs/dbraw/zinc/29/42/81/525294281.db2.gz SGIGEVIGWNQPHU-KUHUBIRLSA-N 0 3 321.399 4.352 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC(C)(C)C)nc2)cc1 ZINC000181349701 525324106 /nfs/dbraw/zinc/32/41/06/525324106.db2.gz RNPBAJARYQPJOM-AWEZNQCLSA-N 0 3 314.429 4.118 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2ncc(C)s2)cc1 ZINC000162582471 525340405 /nfs/dbraw/zinc/34/04/05/525340405.db2.gz RFISUEIKKFSUDX-MCIONIFRSA-N 0 3 304.459 4.303 20 0 DIADHN C[C@H](NCC[S@@](=O)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000127579945 525366473 /nfs/dbraw/zinc/36/64/73/525366473.db2.gz POPCUOSSTORYAP-FPTDNZKUSA-N 0 3 319.470 4.144 20 0 DIADHN Cc1ccccc1[C@H](C)NCc1cn(C)nc1-c1ccccc1 ZINC000181033733 525417822 /nfs/dbraw/zinc/41/78/22/525417822.db2.gz ZRVCLSUQMSCKOK-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN C[C@H](NC[C@](C)(O)c1ccsc1)c1csc(Cl)c1 ZINC000191303927 525426290 /nfs/dbraw/zinc/42/62/90/525426290.db2.gz BKCJMVREHHWZAO-ZANVPECISA-N 0 3 301.864 4.021 20 0 DIADHN Cc1ccccc1[C@H](NCc1cncn1C)C1CCC(C)CC1 ZINC000112711514 525429043 /nfs/dbraw/zinc/42/90/43/525429043.db2.gz FSBNHKCLKHZETG-MKJPBZQASA-N 0 3 311.473 4.386 20 0 DIADHN C[C@@H](NCCCc1ccccn1)c1ccc(F)cc1OC(F)F ZINC000181498300 525444612 /nfs/dbraw/zinc/44/46/12/525444612.db2.gz YTUKCKCZOHHFSM-GFCCVEGCSA-N 0 3 324.346 4.106 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000336897121 525447603 /nfs/dbraw/zinc/44/76/03/525447603.db2.gz OTHXUHICKGLIPQ-ZLDLUXBVSA-N 0 3 317.479 4.267 20 0 DIADHN C[C@@H](NC[C@@H](CCO)c1ccccc1)c1csc(Cl)c1 ZINC000191303216 525472186 /nfs/dbraw/zinc/47/21/86/525472186.db2.gz QFVRONCVIOBFEI-TZMCWYRMSA-N 0 3 309.862 4.218 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1ccc(C(C)(C)C)cc1 ZINC000368498644 525476547 /nfs/dbraw/zinc/47/65/47/525476547.db2.gz JMBABXJHGVXOCS-HNNXBMFYSA-N 0 3 311.473 4.368 20 0 DIADHN C[C@@H](NC[C@H](CCO)c1ccccc1)c1csc(Cl)c1 ZINC000191303247 525493316 /nfs/dbraw/zinc/49/33/16/525493316.db2.gz QFVRONCVIOBFEI-OCCSQVGLSA-N 0 3 309.862 4.218 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccccc1 ZINC000265476895 525530609 /nfs/dbraw/zinc/53/06/09/525530609.db2.gz NMXWIRRRJCNTJT-GJZGRUSLSA-N 0 3 308.425 4.447 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)n1)c1cc2ccccc2s1 ZINC000188275176 525535904 /nfs/dbraw/zinc/53/59/04/525535904.db2.gz FTVNRMCYBLKBRM-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCCC2)c1)c1ccc(F)cc1 ZINC000162465059 525546835 /nfs/dbraw/zinc/54/68/35/525546835.db2.gz ZIWGRTSAOSDOOO-OAHLLOKOSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1ccc(C(C)(C)C)cc1 ZINC000368498645 525550715 /nfs/dbraw/zinc/55/07/15/525550715.db2.gz JMBABXJHGVXOCS-OAHLLOKOSA-N 0 3 311.473 4.368 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1cc2c(s1)CCCC2 ZINC000338009611 525564476 /nfs/dbraw/zinc/56/44/76/525564476.db2.gz DGEDFCCVLOGINP-SWLSCSKDSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H]1C1CCC1)c1nc(-c2ccncc2)cs1 ZINC000337986024 525579524 /nfs/dbraw/zinc/57/95/24/525579524.db2.gz FXHYMTKQRLJLQS-VBNZEHGJSA-N 0 3 313.470 4.434 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(OC(F)(F)F)cc21)c1cccnc1 ZINC000158575580 525582543 /nfs/dbraw/zinc/58/25/43/525582543.db2.gz GJLQOTYTJOIOBE-MEDUHNTESA-N 0 3 322.330 4.318 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(F)cc1)CCS2 ZINC000191484069 525589390 /nfs/dbraw/zinc/58/93/90/525589390.db2.gz XCHRFIBUUWCAPP-MRXNPFEDSA-N 0 3 303.402 4.161 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc(-n2ccnc2)cc1 ZINC000268698118 525631136 /nfs/dbraw/zinc/63/11/36/525631136.db2.gz DDXSYGNRKNXNOG-XOBRGWDASA-N 0 3 321.399 4.350 20 0 DIADHN C[C@@H](N[C@H](CCO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000266754025 525666477 /nfs/dbraw/zinc/66/64/77/525666477.db2.gz DMRDERIEMMGCGI-CXAGYDPISA-N 0 3 323.358 4.480 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc(O)c3nc(C)ccc23)o1 ZINC000338323420 525821881 /nfs/dbraw/zinc/82/18/81/525821881.db2.gz ZEJZLTCGLAPGQE-KRWDZBQOSA-N 0 3 322.408 4.487 20 0 DIADHN Cc1c([C@@H](C)NCCCNc2ccccc2)cnn1C(C)(C)C ZINC000191296699 525894760 /nfs/dbraw/zinc/89/47/60/525894760.db2.gz FHSYGLLDFZUACL-OAHLLOKOSA-N 0 3 314.477 4.099 20 0 DIADHN Cc1c([C@@H](C)NCCSc2ccccc2)cnn1C(C)C ZINC000191129418 525895262 /nfs/dbraw/zinc/89/52/62/525895262.db2.gz WEGGJFNTDBPGLK-CQSZACIVSA-N 0 3 303.475 4.215 20 0 DIADHN Cc1c([C@@H](C)NCCc2csc(C(C)C)n2)cnn1C(C)C ZINC000191255208 525895429 /nfs/dbraw/zinc/89/54/29/525895429.db2.gz NSTSGFVRPHNLED-CYBMUJFWSA-N 0 3 320.506 4.246 20 0 DIADHN Cc1c([C@@H](C)NC[C@@H](C)Oc2ccccc2F)cnn1C(C)C ZINC000191315324 525898138 /nfs/dbraw/zinc/89/81/38/525898138.db2.gz XENDDLTUVHFYHF-ZIAGYGMSSA-N 0 3 319.424 4.030 20 0 DIADHN Cc1c([C@H](C)NCCCNc2ccccc2)cnn1C(C)(C)C ZINC000191296715 525915077 /nfs/dbraw/zinc/91/50/77/525915077.db2.gz FHSYGLLDFZUACL-HNNXBMFYSA-N 0 3 314.477 4.099 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)c2ccc(OCC(C)C)cc2)cnn1C ZINC000192852104 525923255 /nfs/dbraw/zinc/92/32/55/525923255.db2.gz WPZCALXUCLWSOA-GJZGRUSLSA-N 0 3 315.461 4.175 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)cc1C ZINC000268811112 525942194 /nfs/dbraw/zinc/94/21/94/525942194.db2.gz AXODWOSQSMUDQQ-ZMPRRUGASA-N 0 3 315.482 4.453 20 0 DIADHN COc1cccc(CN[C@H](C)c2sc(C(C)C)nc2C)c1 ZINC000158635435 526036830 /nfs/dbraw/zinc/03/68/30/526036830.db2.gz LPXQLMXOYKHGGS-GFCCVEGCSA-N 0 3 304.459 4.434 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)CCC1OCCCO1 ZINC000267911246 526046123 /nfs/dbraw/zinc/04/61/23/526046123.db2.gz GGWGELWLYTUNEX-LLVKDONJSA-N 0 3 318.244 4.139 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](CCO)c2ccccc2)cc1 ZINC000266786831 526075785 /nfs/dbraw/zinc/07/57/85/526075785.db2.gz OIPGEZUZQCQTOV-KBXCAEBGSA-N 0 3 301.455 4.183 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@H](C)c2cc(F)c(F)c(F)c2)s1 ZINC000186770240 526113450 /nfs/dbraw/zinc/11/34/50/526113450.db2.gz GHSJRVMUWCJYQB-WCBMZHEXSA-N 0 3 314.376 4.323 20 0 DIADHN Cc1ccc2c(C[N@@H+](Cc3cccnc3)C(C)C)ccc([O-])c2n1 ZINC000338282478 526125127 /nfs/dbraw/zinc/12/51/27/526125127.db2.gz YLCUTNIDKQAHLH-UHFFFAOYSA-N 0 3 321.424 4.054 20 0 DIADHN Cc1ccc2c(C[N@H+](Cc3cccnc3)C(C)C)ccc([O-])c2n1 ZINC000338282478 526125133 /nfs/dbraw/zinc/12/51/33/526125133.db2.gz YLCUTNIDKQAHLH-UHFFFAOYSA-N 0 3 321.424 4.054 20 0 DIADHN Cc1ccc2c(CN(Cc3cccnc3)C(C)C)ccc(O)c2n1 ZINC000338282478 526125142 /nfs/dbraw/zinc/12/51/42/526125142.db2.gz YLCUTNIDKQAHLH-UHFFFAOYSA-N 0 3 321.424 4.054 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)[C@@H](C)C2 ZINC000180537730 526194827 /nfs/dbraw/zinc/19/48/27/526194827.db2.gz DVGKYLMNDIAZFE-NJSLBKSFSA-N 0 3 323.440 4.070 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(N3CCCCC3)c1)CC2 ZINC000162447437 526210791 /nfs/dbraw/zinc/21/07/91/526210791.db2.gz UEGQRLMWOALINR-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1cncc([C@H](C)NCc2cc(Br)ccc2C)c1 ZINC000190697857 526217279 /nfs/dbraw/zinc/21/72/79/526217279.db2.gz YRNIDQHBQHUTHH-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCOc4c(C)cccc43)ccc2n1 ZINC000365053993 526232343 /nfs/dbraw/zinc/23/23/43/526232343.db2.gz LVEIODLCKOMEDQ-HXUWFJFHSA-N 0 3 318.420 4.465 20 0 DIADHN C[C@H]1CCCCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000187941480 526241472 /nfs/dbraw/zinc/24/14/72/526241472.db2.gz SVSHWHKRTTWPJT-LBPRGKRZSA-N 0 3 305.809 4.012 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CNCC[C@@H](C)F ZINC000339498909 526297420 /nfs/dbraw/zinc/29/74/20/526297420.db2.gz UJMBXWKJSYDCPD-GFCCVEGCSA-N 0 3 323.843 4.039 20 0 DIADHN Fc1cc2cccnc2c(CN[C@H]2CCCC[C@H]2OC(F)F)c1 ZINC000337381809 526311626 /nfs/dbraw/zinc/31/16/26/526311626.db2.gz DERURRQZABGOMY-LSDHHAIUSA-N 0 3 324.346 4.014 20 0 DIADHN Fc1ccc2[nH]cc(CCCNCc3ccc(Cl)o3)c2c1 ZINC000337302799 526369348 /nfs/dbraw/zinc/36/93/48/526369348.db2.gz QEJJZRXAMVQYIX-UHFFFAOYSA-N 0 3 306.768 4.276 20 0 DIADHN Fc1ccc2oc(CN[C@H]3CCCC[C@H]3OC(F)F)cc2c1 ZINC000337295963 526379078 /nfs/dbraw/zinc/37/90/78/526379078.db2.gz NVPGAMAYUJZWNE-DZGCQCFKSA-N 0 3 313.319 4.212 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCO[C@H](C2CC2)C1 ZINC000157065101 526436985 /nfs/dbraw/zinc/43/69/85/526436985.db2.gz RVCRGGCNJPODRY-QAPCUYQASA-N 0 3 313.397 4.119 20 0 DIADHN Cc1cscc1CNCc1ccc(C)cc1-c1ccnn1C ZINC000338435757 526466975 /nfs/dbraw/zinc/46/69/75/526466975.db2.gz GBPGJNUCFIIRPP-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN c1ccc([C@@H](N[C@@H]2CCOC3(CCCC3)C2)c2ccccn2)cc1 ZINC000180961886 526491216 /nfs/dbraw/zinc/49/12/16/526491216.db2.gz FZZYOPGOSFTYDO-UYAOXDASSA-N 0 3 322.452 4.252 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000155942427 526496718 /nfs/dbraw/zinc/49/67/18/526496718.db2.gz RQUOCEXMGUYPEZ-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN c1ccc([C@H](N[C@@H]2CCOC3(CCCC3)C2)c2ccccn2)cc1 ZINC000180961866 526497479 /nfs/dbraw/zinc/49/74/79/526497479.db2.gz FZZYOPGOSFTYDO-QUCCMNQESA-N 0 3 322.452 4.252 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000156033274 526498626 /nfs/dbraw/zinc/49/86/26/526498626.db2.gz HBTOHOPPAKLFKZ-SNVBAGLBSA-N 0 3 312.335 4.204 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CC[C@@H]3CCCO3)nc2c1 ZINC000188661820 526522144 /nfs/dbraw/zinc/52/21/44/526522144.db2.gz DPYBVSMUDVQDIQ-DZGCQCFKSA-N 0 3 302.443 4.002 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@@H]1CCCOc2cc(C)ccc21 ZINC000182224472 526532276 /nfs/dbraw/zinc/53/22/76/526532276.db2.gz CRQWEGYDGJJIBY-FZKQIMNGSA-N 0 3 314.429 4.034 20 0 DIADHN c1cnn(Cc2cccc(CN[C@H]3CCCc4sccc43)c2)c1 ZINC000129462546 526557665 /nfs/dbraw/zinc/55/76/65/526557665.db2.gz MUOFMBXPGWCIFM-SFHVURJKSA-N 0 3 323.465 4.160 20 0 DIADHN Cc1nc(-c2cc([C@H](C)NC[C@@H]3CCCCO3)cs2)cs1 ZINC000156413114 526563328 /nfs/dbraw/zinc/56/33/28/526563328.db2.gz SULNBDPIOWCGAX-FZMZJTMJSA-N 0 3 322.499 4.400 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H]1CCCOc2cc(F)ccc21 ZINC000182164190 526565674 /nfs/dbraw/zinc/56/56/74/526565674.db2.gz VPNPXDYZJGITDI-MEDUHNTESA-N 0 3 318.392 4.038 20 0 DIADHN Cc1noc(C2CCC(N[C@@H](C)c3c(F)cccc3F)CC2)n1 ZINC000267827161 526585955 /nfs/dbraw/zinc/58/59/55/526585955.db2.gz ZGHRHZXCOZCEMU-PKSQDBQZSA-N 0 3 321.371 4.033 20 0 DIADHN c1csc([C@@H]2CCCN2Cc2ccc(N3CCCC3)nc2)c1 ZINC000162694995 526586544 /nfs/dbraw/zinc/58/65/44/526586544.db2.gz ZRAPUOBBBGKJCH-INIZCTEOSA-N 0 3 313.470 4.080 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CCC([C@H]2CCOC2)CC1 ZINC000363842477 526595497 /nfs/dbraw/zinc/59/54/97/526595497.db2.gz CLKWLLPIFXKJMO-INIZCTEOSA-N 0 3 320.502 4.049 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@@H]1CCCOC1 ZINC000177928502 526608241 /nfs/dbraw/zinc/60/82/41/526608241.db2.gz MICMEHJKQQXLEV-WCQYABFASA-N 0 3 320.433 4.087 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NC[C@H](O)c1ccsc1 ZINC000154544403 526660792 /nfs/dbraw/zinc/66/07/92/526660792.db2.gz ZAHDXCBIYBELFY-GWCFXTLKSA-N 0 3 324.515 4.195 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NC[C@@H](O)c1ccsc1 ZINC000154544621 526661591 /nfs/dbraw/zinc/66/15/91/526661591.db2.gz ZAHDXCBIYBELFY-GXFFZTMASA-N 0 3 324.515 4.195 20 0 DIADHN Cc1nocc1CNC1CC(c2cccc(C(F)(F)F)c2)C1 ZINC000339385159 526690446 /nfs/dbraw/zinc/69/04/46/526690446.db2.gz MBPTZUNITOZEFS-UHFFFAOYSA-N 0 3 310.319 4.038 20 0 DIADHN Cc1nc(C)c(CN2CCC(C)(c3ccccc3)CC2)s1 ZINC000337993098 526716687 /nfs/dbraw/zinc/71/66/87/526716687.db2.gz HZAWJIFGSIWXEX-UHFFFAOYSA-N 0 3 300.471 4.314 20 0 DIADHN Clc1ccsc1CN[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000364858232 526993956 /nfs/dbraw/zinc/99/39/56/526993956.db2.gz LGXQADZGFZRNOF-CJNGLKHVSA-N 0 3 307.846 4.269 20 0 DIADHN Cc1nc([C@@H]2CCCCN2CCc2ccc3ccccc3c2)n[nH]1 ZINC000338323430 527041821 /nfs/dbraw/zinc/04/18/21/527041821.db2.gz XFUJGAXXVVOIHI-IBGZPJMESA-N 0 3 320.440 4.036 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H]1CCc3c1cccc3F)CCC2 ZINC000163587270 527109710 /nfs/dbraw/zinc/10/97/10/527109710.db2.gz LLSITWJMJJBLFK-HOCLYGCPSA-N 0 3 302.418 4.245 20 0 DIADHN Cn1cc(CN[C@H]2CCc3cc(F)ccc32)c(-c2ccccc2)n1 ZINC000180412194 527223187 /nfs/dbraw/zinc/22/31/87/527223187.db2.gz OUZUUSQUYQNWII-IBGZPJMESA-N 0 3 321.399 4.003 20 0 DIADHN Cc1nccn1CCCN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000338016714 527422902 /nfs/dbraw/zinc/42/29/02/527422902.db2.gz WXIAKBDFZSQSTQ-HXUWFJFHSA-N 0 3 323.415 4.100 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H](C)Cc1ccccc1C(F)(F)F ZINC000188442440 527455675 /nfs/dbraw/zinc/45/56/75/527455675.db2.gz FDMPBRVUVRMKFW-DGCLKSJQSA-N 0 3 323.362 4.086 20 0 DIADHN OC1(CN[C@H]2CCSc3ccc(Cl)cc32)CCCCC1 ZINC000109121862 527510403 /nfs/dbraw/zinc/51/04/03/527510403.db2.gz VFSLQTMWRSVCPL-AWEZNQCLSA-N 0 3 311.878 4.162 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H](CC1CCC1)c1ccccc1 ZINC000181263801 527580545 /nfs/dbraw/zinc/58/05/45/527580545.db2.gz RVZUPZWQSHSPRD-MOPGFXCFSA-N 0 3 309.457 4.319 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@@H]2C[C@@H]2c2ccccc2)s1 ZINC000339506875 527690471 /nfs/dbraw/zinc/69/04/71/527690471.db2.gz FGFATFVLWBYRRO-WCQYABFASA-N 0 3 312.360 4.055 20 0 DIADHN CC1(C)OCc2cc(CNC3(c4ccccc4)CC3)ccc2O1 ZINC000274395894 535002651 /nfs/dbraw/zinc/00/26/51/535002651.db2.gz QXDIAVVLDAMIAC-UHFFFAOYSA-N 0 3 309.409 4.111 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2cccc3cc[nH]c32)cn1 ZINC000272207949 535007857 /nfs/dbraw/zinc/00/78/57/535007857.db2.gz PQMKJBMNMAGWFK-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(Cl)s1)c1cccs1 ZINC000289974873 535171552 /nfs/dbraw/zinc/17/15/52/535171552.db2.gz XKTULVMRUKBEJH-ZDUSSCGKSA-N 0 3 315.891 4.312 20 0 DIADHN CC(C)(NCc1ccc(CO)cc1)c1cccc(C(F)(F)F)c1 ZINC000272375605 535250110 /nfs/dbraw/zinc/25/01/10/535250110.db2.gz VHUXWDNSNQUZME-UHFFFAOYSA-N 0 3 323.358 4.223 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCc3c2cccc3Cl)cc1 ZINC000274749740 535326031 /nfs/dbraw/zinc/32/60/31/535326031.db2.gz GJMSABDLADHHTJ-SFHVURJKSA-N 0 3 315.844 4.345 20 0 DIADHN CCOc1ccc([C@@H](COC)NCCCCC(F)(F)F)cc1 ZINC000284902382 535415672 /nfs/dbraw/zinc/41/56/72/535415672.db2.gz WZJZXVNTMWAFQW-OAHLLOKOSA-N 0 3 319.367 4.095 20 0 DIADHN CCN1CCC(NC(=O)N(c2ccccc2)c2ccccc2)CC1 ZINC000272865019 535465737 /nfs/dbraw/zinc/46/57/37/535465737.db2.gz YFGYPSJXOLIAFM-UHFFFAOYSA-N 0 3 323.440 4.019 20 0 DIADHN CCCc1nc(C)c(CNC2CC(c3ccc(F)cc3)C2)o1 ZINC000293810418 535510019 /nfs/dbraw/zinc/51/00/19/535510019.db2.gz VPFAHNRSQRMIHS-UHFFFAOYSA-N 0 3 302.393 4.110 20 0 DIADHN CCOC1CC(CN(C)[C@@H](C)c2nc3ccccc3s2)C1 ZINC000286361053 535752956 /nfs/dbraw/zinc/75/29/56/535752956.db2.gz JMNPWFUKIKDONY-HSBZDZAISA-N 0 3 304.459 4.104 20 0 DIADHN CCOC1CC(CN[C@@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000285809921 535756683 /nfs/dbraw/zinc/75/66/83/535756683.db2.gz ZDHPPENKZKMBSI-HLIUYOAVSA-N 0 3 316.470 4.276 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)OC)c1)c1ccsc1 ZINC000297277857 535806235 /nfs/dbraw/zinc/80/62/35/535806235.db2.gz LCIMBPXTWSNBCS-OAHLLOKOSA-N 0 3 304.415 4.167 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccccc1-n1ccnn1)c1ccccc1 ZINC000285515993 535808710 /nfs/dbraw/zinc/80/87/10/535808710.db2.gz AXMLTNQZNDDMBE-CRAIPNDOSA-N 0 3 306.413 4.069 20 0 DIADHN CCc1ccc2nccc(NCc3ccc([C@@H](C)O)c(C)n3)c2c1 ZINC000297364681 535833932 /nfs/dbraw/zinc/83/39/32/535833932.db2.gz CIHQTXQABCOEPK-CQSZACIVSA-N 0 3 321.424 4.166 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)OC)c1)c1cccc(F)c1 ZINC000287748047 535919201 /nfs/dbraw/zinc/91/92/01/535919201.db2.gz ZEGDOHZUPCICSR-KRWDZBQOSA-N 0 3 316.376 4.245 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)CCCC1CCCC1 ZINC000275609399 535930393 /nfs/dbraw/zinc/93/03/93/535930393.db2.gz IENJHLOLYHMRCI-UHFFFAOYSA-N 0 3 302.462 4.090 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2cn[nH]c21)c1cccc(Cl)c1F ZINC000274068259 535936804 /nfs/dbraw/zinc/93/68/04/535936804.db2.gz OUUHIXFVVOMGKY-UONOGXRCSA-N 0 3 307.800 4.321 20 0 DIADHN COCCCOc1ccc(CN[C@H](C)c2ccc(F)cc2)cc1 ZINC000285217995 536619536 /nfs/dbraw/zinc/61/95/36/536619536.db2.gz UNNYEJOFLFDMNK-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(OC)c(F)c2)cc1 ZINC000122185599 536901928 /nfs/dbraw/zinc/90/19/28/536901928.db2.gz KVRUQEUKOWPOJA-STQMWFEESA-N 0 3 303.377 4.255 20 0 DIADHN CC(C)Oc1ccccc1CNCCc1ccc(Cl)cc1 ZINC000032198057 563769107 /nfs/dbraw/zinc/76/91/07/563769107.db2.gz HHPIFTWVHTXSSW-UHFFFAOYSA-N 0 3 303.833 4.460 20 0 DIADHN C[C@H](C1CC1)N(Cc1cnc(CC(F)(F)F)s1)C1CC1 ZINC000891668330 1038118698 /nfs/dbraw/zinc/11/86/98/1038118698.db2.gz OMAPMYXRGUYICA-SECBINFHSA-N 0 3 304.381 4.011 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N(CC)CC ZINC000605367608 1038512614 /nfs/dbraw/zinc/51/26/14/1038512614.db2.gz GNEZRIHAVNVOHP-CYBMUJFWSA-N 0 3 303.233 4.052 20 0 DIADHN CCCC[C@@H](CC)CNCc1nccc(C)c1Br ZINC001177082089 1048819207 /nfs/dbraw/zinc/81/92/07/1048819207.db2.gz WKESEYCXRXBIOJ-CYBMUJFWSA-N 0 3 313.283 4.459 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1sncc1Br ZINC001193648538 1049240289 /nfs/dbraw/zinc/24/02/89/1049240289.db2.gz GXTKPGGPYOBHDI-CMPLNLGQSA-N 0 3 317.296 4.354 20 0 DIADHN CC[C@@H](C)OCC[C@@H](C)NCc1c(Cl)ccc(C)c1F ZINC001172322142 1051341055 /nfs/dbraw/zinc/34/10/55/1051341055.db2.gz SJFMHOJDAZMBQS-CHWSQXEVSA-N 0 3 301.833 4.471 20 0 DIADHN Cc1ccc(Br)cc1CN1CCS[C@H](C)CC1 ZINC000313748486 1052303383 /nfs/dbraw/zinc/30/33/83/1052303383.db2.gz JKORKEWLBFAVNJ-GFCCVEGCSA-N 0 3 314.292 4.085 20 0 DIADHN CC[C@@H]1CCC[C@@H](NCc2nccc(C)c2Br)C1 ZINC001560344530 1060129816 /nfs/dbraw/zinc/12/98/16/1060129816.db2.gz BLQQRMFYCHOUKR-CHWSQXEVSA-N 0 3 311.267 4.211 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC[C@@H](CF)C1 ZINC001256185897 1062747277 /nfs/dbraw/zinc/74/72/77/1062747277.db2.gz CSGRHGGUOZVPOM-JSGCOSHPSA-N 0 3 314.242 4.062 20 0 DIADHN CC(C)C1(CNCc2c(F)cc(Br)cc2F)CC1 ZINC001461264548 1063376662 /nfs/dbraw/zinc/37/66/62/1063376662.db2.gz NBZYALPCHBZVIU-UHFFFAOYSA-N 0 3 318.205 4.253 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N3CCC[C@H](C)CC3)n2)cc1F ZINC000063309323 1035530420 /nfs/dbraw/zinc/53/04/20/1035530420.db2.gz WAWLKTUJWHDPPN-GXTWGEPZSA-N 0 3 317.408 4.367 20 0 DIADHN COc1ccc(CN[C@@H]2CCSc3ccc(F)cc32)cc1 ZINC000035043465 1035533413 /nfs/dbraw/zinc/53/34/13/1035533413.db2.gz HQRNEAPXWHPYPQ-MRXNPFEDSA-N 0 3 303.402 4.161 20 0 DIADHN CC[C@@H](C)c1nnc([C@H](C)[NH2+][C@H](CC)Cc2cccs2)[n-]1 ZINC000683109360 1035542935 /nfs/dbraw/zinc/54/29/35/1035542935.db2.gz IQOBNNLPZLLDOE-FRRDWIJNSA-N 0 3 306.479 4.052 20 0 DIADHN Fc1ccc(CC2CN(Cc3cc4ccncc4s3)C2)cc1 ZINC000668231758 1035585333 /nfs/dbraw/zinc/58/53/33/1035585333.db2.gz LYPXMSHNOGQZTG-UHFFFAOYSA-N 0 3 312.413 4.110 20 0 DIADHN Cc1ccc2nccc(N[C@@H]3CCO[C@@H](c4cccs4)C3)c2c1 ZINC000413358161 1035601547 /nfs/dbraw/zinc/60/15/47/1035601547.db2.gz WEUGXPJHLITNJP-RDTXWAMCSA-N 0 3 324.449 4.359 20 0 DIADHN COc1ccc(C)cc1CN1CCC(Oc2ccccc2)CC1 ZINC000064793559 1035607819 /nfs/dbraw/zinc/60/78/19/1035607819.db2.gz NGSVFCGYJGMYPC-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN CCN(Cc1ccc(OC)cc1)C[C@@]1(C)CC1(Cl)Cl ZINC001167054826 1035618891 /nfs/dbraw/zinc/61/88/91/1035618891.db2.gz MLXBQPDPLSROFR-CQSZACIVSA-N 0 3 302.245 4.101 20 0 DIADHN C[C@@H](NCC[C@@H]1CC=CCC1)c1nc(Br)cs1 ZINC000858515548 1035609409 /nfs/dbraw/zinc/60/94/09/1035609409.db2.gz OCDDTDRQBZYVQS-GHMZBOCLSA-N 0 3 315.280 4.303 20 0 DIADHN CC[C@@H](C)Oc1cc(CN2CCS[C@@H](C)CC2)ccc1OC ZINC000683164563 1035643081 /nfs/dbraw/zinc/64/30/81/1035643081.db2.gz JXSGLMGNRFNOPH-CABCVRRESA-N 0 3 323.502 4.200 20 0 DIADHN CC[C@H](C)Oc1cc(CN2CCS[C@@H](C)CC2)ccc1OC ZINC000683164564 1035643092 /nfs/dbraw/zinc/64/30/92/1035643092.db2.gz JXSGLMGNRFNOPH-GJZGRUSLSA-N 0 3 323.502 4.200 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)C1CCCCCC1 ZINC000014705083 1035715281 /nfs/dbraw/zinc/71/52/81/1035715281.db2.gz LUQHJRUVKGMLDB-UHFFFAOYSA-N 0 3 302.462 4.403 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccccc3Cl)o2)CCCO1 ZINC000538740357 1035721427 /nfs/dbraw/zinc/72/14/27/1035721427.db2.gz HQDMKAACDOHRPL-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CC[C@@](C)(F)[C@H](F)C1 ZINC001208203748 1035728460 /nfs/dbraw/zinc/72/84/60/1035728460.db2.gz SUXPLJFSLAJNOM-ZMSDIMECSA-N 0 3 305.453 4.380 20 0 DIADHN CCn1nccc1CN[C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC000119334855 1035764502 /nfs/dbraw/zinc/76/45/02/1035764502.db2.gz ROAXNOQIZLWTHB-KRWDZBQOSA-N 0 3 305.853 4.433 20 0 DIADHN Cc1nc(COc2ccc(CN[C@@H]3CCC[C@H]3F)cc2)cs1 ZINC000339584954 1035767518 /nfs/dbraw/zinc/76/75/18/1035767518.db2.gz QQZWWQDTRJMQCK-IAGOWNOFSA-N 0 3 320.433 4.011 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@@H]2[C@H]2CCCOC2)cs1 ZINC000579828800 1035776916 /nfs/dbraw/zinc/77/69/16/1035776916.db2.gz RBHCRJBIDJUVLF-DOTOQJQBSA-N 0 3 322.518 4.123 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)cc2)C2CCCCC2)n(C)n1 ZINC001203385923 1035797334 /nfs/dbraw/zinc/79/73/34/1035797334.db2.gz YRICLJDIVSNSEZ-IBGZPJMESA-N 0 3 315.436 4.279 20 0 DIADHN CN(CCC(=O)c1cccs1)CCc1ccc(Cl)cc1 ZINC001208742177 1035851554 /nfs/dbraw/zinc/85/15/54/1035851554.db2.gz MSQXBLSGTJZTDX-UHFFFAOYSA-N 0 3 307.846 4.149 20 0 DIADHN CN1CC=C(Nc2ccc3cc(Br)ccc3c2)CC1 ZINC001208837322 1035876457 /nfs/dbraw/zinc/87/64/57/1035876457.db2.gz GJCDISFUXQGNRT-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN CCC[C@H](NC[C@](C)(O)C(F)(F)F)c1ccc(Cl)cc1 ZINC000537947309 1035878829 /nfs/dbraw/zinc/87/88/29/1035878829.db2.gz QFVZYZBRYZUEAC-STQMWFEESA-N 0 3 309.759 4.084 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(O)c1)c1cccc(Cl)c1 ZINC000036921303 1035882252 /nfs/dbraw/zinc/88/22/52/1035882252.db2.gz RQBIVUKIOUJVJC-OAHLLOKOSA-N 0 3 305.805 4.295 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1cccc(N2CCOC2=O)c1 ZINC000154475155 1035905261 /nfs/dbraw/zinc/90/52/61/1035905261.db2.gz DLAVPFJVMZVWSX-OLZOCXBDSA-N 0 3 316.426 4.117 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000036979421 1035914157 /nfs/dbraw/zinc/91/41/57/1035914157.db2.gz SEZCTTKGBPRSQX-JKSUJKDBSA-N 0 3 303.837 4.192 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(C[C@@H](C)C(F)(F)F)C2)cc1 ZINC001208895134 1035919591 /nfs/dbraw/zinc/91/95/91/1035919591.db2.gz YJVDQUPUBKWPTP-UKRRQHHQSA-N 0 3 301.352 4.037 20 0 DIADHN C[C@@H](CN(C)CCc1ccc(C(F)(F)F)cc1)C(F)(F)F ZINC001208898503 1035923871 /nfs/dbraw/zinc/92/38/71/1035923871.db2.gz UYZKKSPZLLKYDD-JTQLQIEISA-N 0 3 313.285 4.378 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc(Cl)s2)c(C)s1 ZINC000228321128 1035937864 /nfs/dbraw/zinc/93/78/64/1035937864.db2.gz MLIWMPATDQTZAG-QMMMGPOBSA-N 0 3 300.880 4.368 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccc(Cl)s1 ZINC000037158985 1035956928 /nfs/dbraw/zinc/95/69/28/1035956928.db2.gz ROGQVFRCVGWUDH-NXEZZACHSA-N 0 3 323.849 4.304 20 0 DIADHN CC[C@@H](N[C@H](C)Cn1ccnc1)c1ccc(Cl)cc1Cl ZINC000037158367 1035957875 /nfs/dbraw/zinc/95/78/75/1035957875.db2.gz BXMJOYYECRCERD-IAQYHMDHSA-N 0 3 312.244 4.319 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2cc(C)ccc2C)c2ccccc21 ZINC000339756163 1035959516 /nfs/dbraw/zinc/95/95/16/1035959516.db2.gz LUHFRHMNRBBSEM-OXJNMPFZSA-N 0 3 322.452 4.452 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc3cnccc3c2)c1 ZINC000326901320 1035963358 /nfs/dbraw/zinc/96/33/58/1035963358.db2.gz KCJBVUXKKIUAMN-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN Fc1cccc(CN[C@@H]2CCc3c2cccc3Br)c1 ZINC000222106689 1035992015 /nfs/dbraw/zinc/99/20/15/1035992015.db2.gz IMYBCXSXZZBHLT-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN CCOC1CCN(CCc2ccc(Cl)cc2Cl)CC1 ZINC001209082462 1036006103 /nfs/dbraw/zinc/00/61/03/1036006103.db2.gz SVAFANRRNYZHIO-UHFFFAOYSA-N 0 3 302.245 4.037 20 0 DIADHN CCCCCN(Cc1nnc(-c2cccc(F)c2)o1)C(C)C ZINC000075623910 1036014857 /nfs/dbraw/zinc/01/48/57/1036014857.db2.gz VUSCSVBTDXUJLF-UHFFFAOYSA-N 0 3 305.397 4.276 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2nnc(-c3cccc(F)c3)o2)CC1 ZINC000075744932 1036017556 /nfs/dbraw/zinc/01/75/56/1036017556.db2.gz HQFKEEVOROBQQB-AWEZNQCLSA-N 0 3 317.408 4.134 20 0 DIADHN Cc1cnn(CCCCCN2CCc3ccc(Cl)cc3C2)c1 ZINC000535628477 1036017886 /nfs/dbraw/zinc/01/78/86/1036017886.db2.gz WEYKSLGUWRVIES-UHFFFAOYSA-N 0 3 317.864 4.074 20 0 DIADHN C[C@H](Cn1ccnc1)N[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000037320311 1036022490 /nfs/dbraw/zinc/02/24/90/1036022490.db2.gz LNJUVBUALIYZMF-NXEZZACHSA-N 0 3 316.207 4.068 20 0 DIADHN COc1ccc(C[C@H](C)CN(C)Cc2nc3ccccc3o2)cc1 ZINC000535628285 1036024814 /nfs/dbraw/zinc/02/48/14/1036024814.db2.gz KYKWBLCSZXQDCL-HNNXBMFYSA-N 0 3 324.424 4.147 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1C[C@@H](C)C[C@H](C)C1 ZINC000180168398 1036046390 /nfs/dbraw/zinc/04/63/90/1036046390.db2.gz YGTFOAFEKAFQEA-KBPBESRZSA-N 0 3 302.462 4.067 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)cn1 ZINC000154880400 1036050802 /nfs/dbraw/zinc/05/08/02/1036050802.db2.gz BOGAFCLRBUEECH-JKSUJKDBSA-N 0 3 323.444 4.062 20 0 DIADHN CCCCNC(=O)[C@H]1CCCN([C@H](C)c2ccc(Cl)cc2)C1 ZINC000065253044 1036075390 /nfs/dbraw/zinc/07/53/90/1036075390.db2.gz QPZWKTGAPOZUMY-ZBFHGGJFSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@@H](c1ncc(-c2ccc(Cl)cc2)o1)N(C)C[C@@H]1CCOC1 ZINC000155062202 1036108306 /nfs/dbraw/zinc/10/83/06/1036108306.db2.gz GLZLXKHVGLSKML-STQMWFEESA-N 0 3 320.820 4.024 20 0 DIADHN CC(C)OCCN1CC=C(c2ccccc2C(F)(F)F)CC1 ZINC000533537341 1036109467 /nfs/dbraw/zinc/10/94/67/1036109467.db2.gz YLEHJHLMJWJIQY-UHFFFAOYSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](N[C@@H]1CCCOC1)c1ccc(Cl)c(Cl)c1Cl ZINC000109545316 1036122493 /nfs/dbraw/zinc/12/24/93/1036122493.db2.gz FKDQAGPDXIJISI-RKDXNWHRSA-N 0 3 308.636 4.476 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCC[C@@H]1C1CCCC1 ZINC001167122245 1036131617 /nfs/dbraw/zinc/13/16/17/1036131617.db2.gz DKXQXHUAOBKFGN-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN Brc1cccc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000340107749 1036203453 /nfs/dbraw/zinc/20/34/53/1036203453.db2.gz YBRPKVHKOCVKQX-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN C[C@@H](NCC[C@H]1CCCO1)c1cc(F)c(Cl)cc1Cl ZINC000038096342 1036227370 /nfs/dbraw/zinc/22/73/70/1036227370.db2.gz ORUBQZNHEYCZKD-NXEZZACHSA-N 0 3 306.208 4.352 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cc(=O)oc2cc(C)ccc12 ZINC001167205387 1036271914 /nfs/dbraw/zinc/27/19/14/1036271914.db2.gz DGQDUVXIQFJTGC-UHFFFAOYSA-N 0 3 321.420 4.432 20 0 DIADHN CCOc1ccc2c(c1)CN(C[C@@H]1CCCCC1(F)F)CC2 ZINC001167206963 1036273678 /nfs/dbraw/zinc/27/36/78/1036273678.db2.gz XGIORDLPQACNMK-INIZCTEOSA-N 0 3 309.400 4.269 20 0 DIADHN CC(C)(C)C[C@H](NCC1(C)OCCCO1)c1ccc(F)cc1 ZINC000754123933 1036281299 /nfs/dbraw/zinc/28/12/99/1036281299.db2.gz RLFPQMITQDATMR-INIZCTEOSA-N 0 3 309.425 4.046 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2cc3ccccc3[nH]2)cc1 ZINC000119727518 1036281698 /nfs/dbraw/zinc/28/16/98/1036281698.db2.gz HKKJSFJQEDXSCO-UHFFFAOYSA-N 0 3 316.408 4.156 20 0 DIADHN CC[C@@H](NCc1ccc(C)c(Br)c1)c1ccncc1 ZINC000120936915 1036287549 /nfs/dbraw/zinc/28/75/49/1036287549.db2.gz XXHQUPZRHZVEIR-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001167226743 1036290663 /nfs/dbraw/zinc/29/06/63/1036290663.db2.gz BAZJSOKWSRLDPN-HIFRSBDPSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001167226739 1036291374 /nfs/dbraw/zinc/29/13/74/1036291374.db2.gz BAZJSOKWSRLDPN-DZGCQCFKSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001167227726 1036293793 /nfs/dbraw/zinc/29/37/93/1036293793.db2.gz XRSSDJUFRNQEPW-CRAIPNDOSA-N 0 3 316.489 4.433 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H]2CC2CCCCC2)c1 ZINC001167252152 1036325573 /nfs/dbraw/zinc/32/55/73/1036325573.db2.gz VFFHARARAFJZGY-IBGZPJMESA-N 0 3 314.473 4.368 20 0 DIADHN CCCN(CC(C)C)[C@H](C)c1nc(Cc2ccc(F)cc2)no1 ZINC001167255885 1036325778 /nfs/dbraw/zinc/32/57/78/1036325778.db2.gz PNABIILTPHXYOF-CQSZACIVSA-N 0 3 319.424 4.229 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H]2CC2CCCCC2)c1 ZINC001167252153 1036326017 /nfs/dbraw/zinc/32/60/17/1036326017.db2.gz VFFHARARAFJZGY-LJQANCHMSA-N 0 3 314.473 4.368 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)N(CC(C)C)C1CC1 ZINC001167256147 1036326589 /nfs/dbraw/zinc/32/65/89/1036326589.db2.gz UELZJAQUKTWVCL-IBGZPJMESA-N 0 3 316.489 4.107 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1ccc(C(F)(F)F)s1 ZINC001648800134 1036329562 /nfs/dbraw/zinc/32/95/62/1036329562.db2.gz VMIJNLNUNVOPIV-AAEUAGOBSA-N 0 3 321.408 4.452 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2Cc2cn3ccnc3s2)o1 ZINC001204480007 1036335049 /nfs/dbraw/zinc/33/50/49/1036335049.db2.gz NQRFREMMNLJOJV-OAHLLOKOSA-N 0 3 315.442 4.415 20 0 DIADHN CCC1(C)CCN([C@H]2CCCN(c3ccc(C)cc3)C2=O)CC1 ZINC001167267986 1036349770 /nfs/dbraw/zinc/34/97/70/1036349770.db2.gz PRIJUDYNOUGAHU-SFHVURJKSA-N 0 3 314.473 4.003 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(C2CCCCC2)CC1 ZINC001266470229 1036351058 /nfs/dbraw/zinc/35/10/58/1036351058.db2.gz DSDQAYULWSZUNY-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2NCc1cccc2cccnc21 ZINC000121195700 1036355642 /nfs/dbraw/zinc/35/56/42/1036355642.db2.gz ZGQKJZQFVMFWNO-GOSISDBHSA-N 0 3 310.347 4.290 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2coc3ccccc23)c1 ZINC000340334300 1036362043 /nfs/dbraw/zinc/36/20/43/1036362043.db2.gz JPODWMGCLQRUDU-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CCC(CC)N(Cc1nc2c(cccc2F)n1CC)C1CC1 ZINC001167279584 1036374781 /nfs/dbraw/zinc/37/47/81/1036374781.db2.gz NSENOYLBSURTML-UHFFFAOYSA-N 0 3 303.425 4.348 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3s2)c(OC)c1 ZINC000237460008 1036380498 /nfs/dbraw/zinc/38/04/98/1036380498.db2.gz JYABVBUJYMKGOG-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN CNC(=O)C[C@@H](N[C@H](C)c1cccc(C2CC2)c1)c1ccccc1 ZINC000340378485 1036387554 /nfs/dbraw/zinc/38/75/54/1036387554.db2.gz ZGVLREFGFUHJQZ-FOIQADDNSA-N 0 3 322.452 4.092 20 0 DIADHN C[C@](CO)(NCc1ccc(-c2cccs2)cc1)c1ccccc1 ZINC000683520153 1036438788 /nfs/dbraw/zinc/43/87/88/1036438788.db2.gz LNPBRDPLJRJBIT-HXUWFJFHSA-N 0 3 323.461 4.412 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(C)c(Cl)n3)C2)cc1 ZINC001167288094 1036442766 /nfs/dbraw/zinc/44/27/66/1036442766.db2.gz XSODJTNSHYOQKR-HNNXBMFYSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2)C(C)C)c(C)c1 ZINC000008002644 1036453868 /nfs/dbraw/zinc/45/38/68/1036453868.db2.gz UDKIZZZNYFTBKA-HXUWFJFHSA-N 0 3 310.441 4.229 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1ccnc(N3CCCC3)c1)CC2 ZINC000655686576 1036480143 /nfs/dbraw/zinc/48/01/43/1036480143.db2.gz LJYYQSDRDUSUFG-IBGZPJMESA-N 0 3 321.468 4.076 20 0 DIADHN Cn1cc(CN[C@H](CCCc2ccccc2)c2ccccc2)cn1 ZINC000071011390 1036480224 /nfs/dbraw/zinc/48/02/24/1036480224.db2.gz DLLZQIULXQGZEP-OAQYLSRUSA-N 0 3 319.452 4.274 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCc3cc(C)cc(C)c32)c1 ZINC000655694231 1036483272 /nfs/dbraw/zinc/48/32/72/1036483272.db2.gz DTXFTDNKJUOAGE-LJQANCHMSA-N 0 3 322.452 4.429 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCC(=O)Nc1c(C)cccc1C)CC2 ZINC000655694086 1036488956 /nfs/dbraw/zinc/48/89/56/1036488956.db2.gz ZIVJPWXDASROET-GOSISDBHSA-N 0 3 322.452 4.136 20 0 DIADHN COc1cccc(CN[C@H]2CCc3cc(C)cc(C)c32)c1OC ZINC000655696613 1036489159 /nfs/dbraw/zinc/48/91/59/1036489159.db2.gz HTQWFVIUPSUUOM-KRWDZBQOSA-N 0 3 311.425 4.098 20 0 DIADHN CC(C)[C@H](CN[C@H](C)c1cn2ccccc2n1)Nc1ccccc1 ZINC000655712747 1036496290 /nfs/dbraw/zinc/49/62/90/1036496290.db2.gz RLJGWEQULRYBAP-AEFFLSMTSA-N 0 3 322.456 4.122 20 0 DIADHN Cc1ccsc1CNCc1ccccc1OCc1ccncc1 ZINC000340407181 1036500122 /nfs/dbraw/zinc/50/01/22/1036500122.db2.gz RHRRVIDFISBSHD-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN Clc1ccc(CCCCN[C@H]2CCCn3ccnc32)s1 ZINC000655766976 1036524417 /nfs/dbraw/zinc/52/44/17/1036524417.db2.gz VPGOFIBVKYLYNG-ZDUSSCGKSA-N 0 3 309.866 4.045 20 0 DIADHN CCC[C@@H](N[C@H]1CCc2ccccc2NC1=O)c1ccsc1 ZINC000655792253 1036545585 /nfs/dbraw/zinc/54/55/85/1036545585.db2.gz YGAKHORYQYGEBB-WBVHZDCISA-N 0 3 314.454 4.132 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)C1CCCCCCC1 ZINC001167302589 1036556295 /nfs/dbraw/zinc/55/62/95/1036556295.db2.gz QYAKFHKWQDSWHR-UHFFFAOYSA-N 0 3 308.853 4.323 20 0 DIADHN COCC1(CN[C@H](C)c2csc(-c3ccccc3F)n2)CC1 ZINC000655816268 1036565981 /nfs/dbraw/zinc/56/59/81/1036565981.db2.gz SLBROPZXBPHATH-GFCCVEGCSA-N 0 3 320.433 4.026 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000655831466 1036574354 /nfs/dbraw/zinc/57/43/54/1036574354.db2.gz DMIJQEHWXYWDEC-CABCVRRESA-N 0 3 323.465 4.487 20 0 DIADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1ccccc1Br ZINC000655838259 1036590078 /nfs/dbraw/zinc/59/00/78/1036590078.db2.gz LNEUBTMSKVJMHC-STQMWFEESA-N 0 3 319.246 4.126 20 0 DIADHN CC(C)Cc1ccc([C@@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000537954425 1036597950 /nfs/dbraw/zinc/59/79/50/1036597950.db2.gz GLHOFTWFAPUPEB-OAHLLOKOSA-N 0 3 315.432 4.367 20 0 DIADHN COCC1(CCN[C@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000340443772 1036633229 /nfs/dbraw/zinc/63/32/29/1036633229.db2.gz IIWPDWXBXBWONK-SNVBAGLBSA-N 0 3 322.396 4.019 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccccc1OC(F)F ZINC000340449671 1036642294 /nfs/dbraw/zinc/64/22/94/1036642294.db2.gz OMVZMSZQQRYSRY-FZMZJTMJSA-N 0 3 322.355 4.191 20 0 DIADHN Brc1cscc1CN[C@H]1CCC12CCCC2 ZINC000309381441 1036664554 /nfs/dbraw/zinc/66/45/54/1036664554.db2.gz ROKMTGZWQQVWRH-LBPRGKRZSA-N 0 3 300.265 4.323 20 0 DIADHN CO[C@@H]1CCCN(CCc2cc(Cl)cc(Cl)c2)CC1 ZINC000683668655 1036669371 /nfs/dbraw/zinc/66/93/71/1036669371.db2.gz BFMFCUJGPURKAN-OAHLLOKOSA-N 0 3 302.245 4.037 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(C(F)F)nc1)CCC2 ZINC000631138005 1036670518 /nfs/dbraw/zinc/67/05/18/1036670518.db2.gz RFBVAJYKVZTIHT-INIZCTEOSA-N 0 3 302.368 4.495 20 0 DIADHN Cc1cccc(CNCCOc2ccc(C(C)C)cc2)c1F ZINC001648879088 1036671089 /nfs/dbraw/zinc/67/10/89/1036671089.db2.gz RROIYLRNDPHCEN-UHFFFAOYSA-N 0 3 301.405 4.426 20 0 DIADHN C/C(=C/c1ccc(Cl)cc1)CNCc1cccc2c1OCO2 ZINC001648898752 1036680070 /nfs/dbraw/zinc/68/00/70/1036680070.db2.gz XPKUJFCWDIKDBF-LCYFTJDESA-N 0 3 315.800 4.262 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1)c1ccc2c(c1)OCCO2 ZINC000072879253 1036695421 /nfs/dbraw/zinc/69/54/21/1036695421.db2.gz BBCPOTXUNDXSCK-QWHCGFSZSA-N 0 3 301.361 4.009 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)c(Cl)c2)cc1OC ZINC000074335090 1036698089 /nfs/dbraw/zinc/69/80/89/1036698089.db2.gz PQDMRYYJLGXMQL-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN CC1(CN2C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C2)CCC1 ZINC000683696696 1036726381 /nfs/dbraw/zinc/72/63/81/1036726381.db2.gz FYCASRPDMSYHTH-NXEZZACHSA-N 0 3 303.290 4.239 20 0 DIADHN COc1ccc2c(c1)CCCN(CC[C@H]1CC1(Cl)Cl)C2 ZINC001167325713 1036735722 /nfs/dbraw/zinc/73/57/22/1036735722.db2.gz DMJMXQMSWSPFLS-AWEZNQCLSA-N 0 3 314.256 4.027 20 0 DIADHN COc1cccc(CN[C@H](C)c2cccc(OC(F)F)c2)c1 ZINC000078970168 1036737279 /nfs/dbraw/zinc/73/72/79/1036737279.db2.gz ULADKYRFTBNLRV-GFCCVEGCSA-N 0 3 307.340 4.147 20 0 DIADHN CCOc1ccccc1OCCN[C@@H](C)c1ccccc1Cl ZINC000317313127 1036758783 /nfs/dbraw/zinc/75/87/83/1036758783.db2.gz NZVUNKRXDFLDAK-AWEZNQCLSA-N 0 3 319.832 4.468 20 0 DIADHN CCOc1ccc([C@H](CC)N[C@H]2CCC[C@H]2F)cc1OCC ZINC000340521379 1036778289 /nfs/dbraw/zinc/77/82/89/1036778289.db2.gz CURHARVOYKDWJT-PMPSAXMXSA-N 0 3 309.425 4.415 20 0 DIADHN Cc1nccnc1[C@@H](C)NC1CC(c2ccccc2Cl)C1 ZINC000340528582 1036787170 /nfs/dbraw/zinc/78/71/70/1036787170.db2.gz AQOTWRYDQDLAOF-IYXRBSQSSA-N 0 3 301.821 4.035 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@H]1CCC[C@@H]1F ZINC000340533586 1036802655 /nfs/dbraw/zinc/80/26/55/1036802655.db2.gz VXTOTRNSJDDITJ-BPNCWPANSA-N 0 3 305.422 4.055 20 0 DIADHN Fc1ccc2nc(NCc3ncc(-c4ccccc4)s3)[nH]c2c1 ZINC000683750169 1036819999 /nfs/dbraw/zinc/81/99/99/1036819999.db2.gz BIBAPGOQOJXJDE-UHFFFAOYSA-N 0 3 324.384 4.438 20 0 DIADHN COc1ccc2oc(CNc3nc4ccc(F)cc4[nH]3)cc2c1 ZINC000683750206 1036820856 /nfs/dbraw/zinc/82/08/56/1036820856.db2.gz CIIPHMRXDDDDDO-UHFFFAOYSA-N 0 3 311.316 4.069 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1ccc2cnccc2c1 ZINC000683775056 1036890019 /nfs/dbraw/zinc/89/00/19/1036890019.db2.gz UFCRZTMHQJHBKI-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN C[C@@H](NCc1cccc(OC(F)(F)F)c1)c1ccsc1 ZINC000220722306 1036913306 /nfs/dbraw/zinc/91/33/06/1036913306.db2.gz ITFWOKHAUHIJRU-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN Cc1ccc(CN[C@@H](C)COc2ccccc2C(F)(F)F)o1 ZINC000517534329 1036926309 /nfs/dbraw/zinc/92/63/09/1036926309.db2.gz LFSOFQVMRAKZEF-NSHDSACASA-N 0 3 313.319 4.164 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)o1 ZINC000580098927 1036947510 /nfs/dbraw/zinc/94/75/10/1036947510.db2.gz IMCHKQLHXRMZBH-QZHFEQFPSA-N 0 3 313.441 4.311 20 0 DIADHN CCc1sc(-c2nnc(CN(C)C3(C)CCCC3)o2)cc1C ZINC000683805936 1036948651 /nfs/dbraw/zinc/94/86/51/1036948651.db2.gz WLUIHITYBSAXPT-UHFFFAOYSA-N 0 3 319.474 4.433 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1COc2c1cccc2Cl ZINC000683816292 1036964328 /nfs/dbraw/zinc/96/43/28/1036964328.db2.gz RNSOMAKGZCRVFX-VUCTXSBTSA-N 0 3 317.816 4.139 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cc3c(s1)CCCC3)CCC2 ZINC000580142132 1036969852 /nfs/dbraw/zinc/96/98/52/1036969852.db2.gz LGULXINYMPEVCZ-SFHVURJKSA-N 0 3 313.466 4.500 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(C3CCCCC3)s2)C[C@H]1C ZINC000580155292 1036978516 /nfs/dbraw/zinc/97/85/16/1036978516.db2.gz INVFSVHDWMSOFN-CZUORRHYSA-N 0 3 308.491 4.048 20 0 DIADHN CCOc1ccc(CN2CCC[C@@](C)(c3ccccc3)C2)nc1 ZINC001167368104 1037000054 /nfs/dbraw/zinc/00/00/54/1037000054.db2.gz VLVIEOBATQQNIR-HXUWFJFHSA-N 0 3 310.441 4.034 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc2cnccc2c1 ZINC000683840860 1037006148 /nfs/dbraw/zinc/00/61/48/1037006148.db2.gz VTSUITNWALTMJC-WBMJQRKESA-N 0 3 308.347 4.398 20 0 DIADHN CN1CCc2ccc(Nc3cc(F)c(Cl)c(F)c3)cc2C1 ZINC001210843532 1037010531 /nfs/dbraw/zinc/01/05/31/1037010531.db2.gz KEBKKPMQOQEBEA-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN Cc1cc(-c2nnc(CN(C)C3CCCCCC3)o2)c(C)o1 ZINC000122589209 1037041823 /nfs/dbraw/zinc/04/18/23/1037041823.db2.gz PBCYEKOYMVESQA-UHFFFAOYSA-N 0 3 303.406 4.101 20 0 DIADHN Fc1cccc(F)c1C1(N[C@@H]2CCOC3(CCC3)C2)CCC1 ZINC000623844329 1037070449 /nfs/dbraw/zinc/07/04/49/1037070449.db2.gz QYNUJDOPQSSBCE-CYBMUJFWSA-N 0 3 307.384 4.035 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3c[nH]c4ccccc43)CC2)o1 ZINC000683896956 1037076385 /nfs/dbraw/zinc/07/63/85/1037076385.db2.gz FRRDJQULDYEQCQ-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccccc2)[C@H](C)O)cc(C)c1F ZINC000658362448 1037090954 /nfs/dbraw/zinc/09/09/54/1037090954.db2.gz RKDSYWOKNFEVRF-DOXZYTNZSA-N 0 3 301.405 4.215 20 0 DIADHN FC(F)COc1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000683926594 1037111191 /nfs/dbraw/zinc/11/11/91/1037111191.db2.gz CEDHKAZIVJVHHI-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCN(C[C@@H](C)CC)CC1 ZINC001167398701 1037128910 /nfs/dbraw/zinc/12/89/10/1037128910.db2.gz VSHMFYQHGUHRJL-KBXCAEBGSA-N 0 3 310.432 4.080 20 0 DIADHN CC(C)C1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)CC1 ZINC001167401963 1037136126 /nfs/dbraw/zinc/13/61/26/1037136126.db2.gz HGYSCVZKVJLJGA-INIZCTEOSA-N 0 3 316.272 4.395 20 0 DIADHN CC[C@@H](C)CN(C)[C@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001167405445 1037150852 /nfs/dbraw/zinc/15/08/52/1037150852.db2.gz FLVFJOZOTKCEGP-GHMZBOCLSA-N 0 3 317.260 4.298 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1cn(C(C)C)nn1 ZINC000580498191 1037169232 /nfs/dbraw/zinc/16/92/32/1037169232.db2.gz CLWCQUUPJDYKGR-OALUTQOASA-N 0 3 312.461 4.017 20 0 DIADHN C[C@@H]1CN(CCC2=CCCCC2)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001167412510 1037170538 /nfs/dbraw/zinc/17/05/38/1037170538.db2.gz MCRYJHOXQARCLK-HZPDHXFCSA-N 0 3 322.493 4.207 20 0 DIADHN CC[C@H](NCCCCCOC)c1nc(C(F)(F)F)cs1 ZINC000185931462 1037201954 /nfs/dbraw/zinc/20/19/54/1037201954.db2.gz LMDYDMWOKDWCIL-JTQLQIEISA-N 0 3 310.385 4.019 20 0 DIADHN COCCCCN(C)Cc1cnc(-c2ccc(C)c(C)c2)s1 ZINC000656263507 1037230706 /nfs/dbraw/zinc/23/07/06/1037230706.db2.gz KUIRFJGWFPDJDN-UHFFFAOYSA-N 0 3 318.486 4.285 20 0 DIADHN COCCN(Cc1cc2ccccc2[nH]1)[C@@H](C)c1ccccc1 ZINC000684021439 1037243852 /nfs/dbraw/zinc/24/38/52/1037243852.db2.gz XKPDEZIKHJPRRJ-INIZCTEOSA-N 0 3 308.425 4.378 20 0 DIADHN Cc1nc2ccc(CN3CCC[C@@H](OC4CCC4)C3)cc2s1 ZINC000656270860 1037245970 /nfs/dbraw/zinc/24/59/70/1037245970.db2.gz CFKYAXCQBCVEGU-MRXNPFEDSA-N 0 3 316.470 4.138 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2coc(-c3ccccc3F)n2)C1 ZINC001167430176 1037254984 /nfs/dbraw/zinc/25/49/84/1037254984.db2.gz VABNAWBOXGZYAL-ZIAGYGMSSA-N 0 3 302.393 4.491 20 0 DIADHN CC[C@H](N[C@@H](C)CCC(C)(C)C)c1nnc2n1CCCCC2 ZINC000565780537 1037288709 /nfs/dbraw/zinc/28/87/09/1037288709.db2.gz AAVLOMCYCBOTMG-GJZGRUSLSA-N 0 3 306.498 4.260 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccc(F)cc1F ZINC001167433912 1037292332 /nfs/dbraw/zinc/29/23/32/1037292332.db2.gz OXYMRBHESKLMIW-SWLSCSKDSA-N 0 3 305.343 4.482 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC/C=C\c2ccccc2)c1 ZINC000255907820 1037369408 /nfs/dbraw/zinc/36/94/08/1037369408.db2.gz RNEPMQOFXJIFAY-WDZFZDKYSA-N 0 3 308.425 4.180 20 0 DIADHN C[C@H](N(C)C(=O)c1cccc(CN2CCCCC2)c1)C(C)(C)C ZINC000580938365 1037376102 /nfs/dbraw/zinc/37/61/02/1037376102.db2.gz NENMTTIIWMMFEN-INIZCTEOSA-N 0 3 316.489 4.179 20 0 DIADHN C[C@@H](N(C)C(=O)c1cccc(CN2CCCCC2)c1)C(C)(C)C ZINC000580938366 1037376315 /nfs/dbraw/zinc/37/63/15/1037376315.db2.gz NENMTTIIWMMFEN-MRXNPFEDSA-N 0 3 316.489 4.179 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C(C)(C)CO)cc1Cl ZINC000581098665 1037434380 /nfs/dbraw/zinc/43/43/80/1037434380.db2.gz JOAGJNYTWYPNEJ-SFHVURJKSA-N 0 3 317.860 4.498 20 0 DIADHN Cc1cc(C)c(NC(=O)CN([C@H](C)C2CC2)C2CC2)c(Cl)c1 ZINC000031654352 1037448371 /nfs/dbraw/zinc/44/83/71/1037448371.db2.gz MQEDLQJNHPITMI-CYBMUJFWSA-N 0 3 320.864 4.158 20 0 DIADHN CCOc1cc(CN2CC[C@H](c3ccco3)C2)cc(Cl)c1O ZINC000656438470 1037493218 /nfs/dbraw/zinc/49/32/18/1037493218.db2.gz QMQOJWGLFVWDTH-ZDUSSCGKSA-N 0 3 321.804 4.027 20 0 DIADHN CC(=O)Nc1ccc(CNC(C)(C)c2ccc(Cl)cc2)cc1 ZINC000067011185 1037501515 /nfs/dbraw/zinc/50/15/15/1037501515.db2.gz WMKXSBNLNJHHEJ-UHFFFAOYSA-N 0 3 316.832 4.323 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000276085192 1037502815 /nfs/dbraw/zinc/50/28/15/1037502815.db2.gz AFPMYJGTYZBZHJ-ZIAGYGMSSA-N 0 3 301.434 4.193 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@@H](c2ccncc2)C1 ZINC000581276863 1037510979 /nfs/dbraw/zinc/51/09/79/1037510979.db2.gz DJEXSGIDOZRJIS-MRXNPFEDSA-N 0 3 316.445 4.192 20 0 DIADHN C[C@H](c1ccccc1)N(CC(=O)NCC(C)(C)C)CC1CCC1 ZINC001167452937 1037516562 /nfs/dbraw/zinc/51/65/62/1037516562.db2.gz GEWKKOWLLKSCRJ-MRXNPFEDSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H](c1ccccc1)N(CC(=O)NCC(C)(C)C)CC1CCC1 ZINC001167452936 1037517077 /nfs/dbraw/zinc/51/70/77/1037517077.db2.gz GEWKKOWLLKSCRJ-INIZCTEOSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@H](CNCc1nccs1)c1ccc(C(F)(F)F)cc1 ZINC000276129062 1037527498 /nfs/dbraw/zinc/52/74/98/1037527498.db2.gz AHCMGDCANQZZHG-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN C[C@@H](NCCOCC(F)(F)C(F)F)c1cc2ccccc2o1 ZINC000276141741 1037537877 /nfs/dbraw/zinc/53/78/77/1037537877.db2.gz ATVKSHGCTKGWDP-SNVBAGLBSA-N 0 3 319.298 4.000 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCCN1Cc1cscc1C(F)(F)F ZINC000581362816 1037555537 /nfs/dbraw/zinc/55/55/37/1037555537.db2.gz INTJGSSIKWKDRV-DGCLKSJQSA-N 0 3 321.408 4.282 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCc2ccc(OC(C)C)cc2)s1 ZINC000040445686 1037559678 /nfs/dbraw/zinc/55/96/78/1037559678.db2.gz KVELKUHDYWQXEH-GFCCVEGCSA-N 0 3 304.459 4.398 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2sc(C)nc2C)cc1 ZINC000040445869 1037562839 /nfs/dbraw/zinc/56/28/39/1037562839.db2.gz XNRJNRZGRNBKDK-GFCCVEGCSA-N 0 3 304.459 4.400 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000684223587 1037592477 /nfs/dbraw/zinc/59/24/77/1037592477.db2.gz TZRHZJMXBTVJEB-DOTOQJQBSA-N 0 3 304.478 4.010 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN[C@@H](C)c1ccc(C)s1 ZINC000754671342 1037604381 /nfs/dbraw/zinc/60/43/81/1037604381.db2.gz QFCSFVMZXPJOSS-AWEZNQCLSA-N 0 3 316.470 4.217 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(F)cc2F)s1 ZINC000754676498 1037616527 /nfs/dbraw/zinc/61/65/27/1037616527.db2.gz SBCBXKQGRCQBMU-WDEREUQCSA-N 0 3 324.396 4.013 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000341065513 1037663581 /nfs/dbraw/zinc/66/35/81/1037663581.db2.gz HTCCUELJMWWCLN-SCLBCKFNSA-N 0 3 305.368 4.393 20 0 DIADHN CCOc1ccc(CN2CCC[C@H]2c2cccc(C)c2C)nc1 ZINC001167464818 1037668643 /nfs/dbraw/zinc/66/86/43/1037668643.db2.gz RNIGWSIOZWSEGJ-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN C[C@@H](N[C@@H]1CO[C@H](C)C1)c1cc2cc(Br)ccc2o1 ZINC000402375698 1037669095 /nfs/dbraw/zinc/66/90/95/1037669095.db2.gz ISEDCGWKNJEHNK-BREBYQMCSA-N 0 3 324.218 4.023 20 0 DIADHN COc1ccc([C@@H](Cc2ccccc2)NCc2cccnc2)cc1 ZINC000581481578 1037689624 /nfs/dbraw/zinc/68/96/24/1037689624.db2.gz RGALQDDSAGFGEQ-OAQYLSRUSA-N 0 3 318.420 4.164 20 0 DIADHN C[C@]1(CN[C@@H]2CCc3c2c(F)ccc3F)CC1(Cl)Cl ZINC000834885454 1037706623 /nfs/dbraw/zinc/70/66/23/1037706623.db2.gz WHZALDQCHXOISI-DGCLKSJQSA-N 0 3 306.183 4.126 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccc(F)cc1F)c1ccccc1 ZINC000341204956 1037739585 /nfs/dbraw/zinc/73/95/85/1037739585.db2.gz GHZPADBYBCYJGT-LRDDRELGSA-N 0 3 318.367 4.033 20 0 DIADHN CC(C)(C)SCCN1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC001167473243 1037775647 /nfs/dbraw/zinc/77/56/47/1037775647.db2.gz HAQSPIRQFLPGLB-OAHLLOKOSA-N 0 3 323.477 4.252 20 0 DIADHN CC(C)Cn1nccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000647168106 1037781247 /nfs/dbraw/zinc/78/12/47/1037781247.db2.gz HJWYOURWRYEVAM-GOSISDBHSA-N 0 3 317.864 4.433 20 0 DIADHN FC(F)(F)c1ncc(CN[C@H]2CCCCc3ccccc32)cn1 ZINC000656677073 1037783277 /nfs/dbraw/zinc/78/32/77/1037783277.db2.gz LKOVQXBODBOFSI-HNNXBMFYSA-N 0 3 321.346 4.053 20 0 DIADHN CN(CCC1CCOCC1)Cc1cnc(-c2cccs2)s1 ZINC000129669226 1037806339 /nfs/dbraw/zinc/80/63/39/1037806339.db2.gz ABKSGHUIRLIOKO-UHFFFAOYSA-N 0 3 322.499 4.120 20 0 DIADHN CCOc1cc(C[NH2+][C@H](C)c2ccccc2)cc(Cl)c1[O-] ZINC000076275300 1037822271 /nfs/dbraw/zinc/82/22/71/1037822271.db2.gz IOBKDZFYYVHSDS-GFCCVEGCSA-N 0 3 305.805 4.295 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccccc2)cc(Cl)c1O ZINC000076275300 1037822296 /nfs/dbraw/zinc/82/22/96/1037822296.db2.gz IOBKDZFYYVHSDS-GFCCVEGCSA-N 0 3 305.805 4.295 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3cnc(C)s3)ccc2c1 ZINC000090401027 1037835392 /nfs/dbraw/zinc/83/53/92/1037835392.db2.gz BVKKGDONVXTKNV-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc4cnccc4c3)C2)cc1F ZINC000656772954 1037850995 /nfs/dbraw/zinc/85/09/95/1037850995.db2.gz BSSMIFTYGGZXKT-UHFFFAOYSA-N 0 3 324.374 4.188 20 0 DIADHN CCCCOc1ccccc1CN[C@@H]1CCc2cc(N)ccc21 ZINC000656791526 1037870587 /nfs/dbraw/zinc/87/05/87/1037870587.db2.gz IEFPOAKJYRICBX-LJQANCHMSA-N 0 3 310.441 4.225 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnn(CC(C)C)c2)s1 ZINC000341308319 1037884694 /nfs/dbraw/zinc/88/46/94/1037884694.db2.gz KIXAGLBKWJPEDF-INIZCTEOSA-N 0 3 303.475 4.246 20 0 DIADHN CC[C@H](NC(=O)CN1CCC[C@H](C)[C@H]1C)c1ccc(Cl)cc1 ZINC001167477724 1037896362 /nfs/dbraw/zinc/89/63/62/1037896362.db2.gz HBHIDZFYUHTBIU-VBQJREDUSA-N 0 3 322.880 4.028 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2cn[nH]c2)CC1 ZINC000684411849 1037959346 /nfs/dbraw/zinc/95/93/46/1037959346.db2.gz OYVVAYHTIFAOES-UHFFFAOYSA-N 0 3 303.837 4.007 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC([C@H]4CCOC4)C3)o2)cc1 ZINC000684415013 1037964350 /nfs/dbraw/zinc/96/43/50/1037964350.db2.gz YURQAEZLZFWWGV-AWEZNQCLSA-N 0 3 317.816 4.068 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2ccccn2)[C@H]1C1CC1 ZINC000581757974 1037974202 /nfs/dbraw/zinc/97/42/02/1037974202.db2.gz KPACBYUOFXXYGV-FQEVSTJZSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2ccnc(N(C)C)c2)cc1 ZINC001167482135 1037975610 /nfs/dbraw/zinc/97/56/10/1037975610.db2.gz FUYGZGDGWYZNTM-IBGZPJMESA-N 0 3 309.457 4.183 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H](C)c1ccnn1CC1CCC1 ZINC000926174347 1037990992 /nfs/dbraw/zinc/99/09/92/1037990992.db2.gz JREBFHSJTIJSEP-AAEUAGOBSA-N 0 3 303.372 4.065 20 0 DIADHN COc1ccc(C2(NCc3ccc(OC(F)F)cc3)CC2)cc1 ZINC000598037969 1038003680 /nfs/dbraw/zinc/00/36/80/1038003680.db2.gz JQFISEANFHQKJK-UHFFFAOYSA-N 0 3 319.351 4.076 20 0 DIADHN CC[C@@H](F)CN[C@@H](C)c1ccc(OCc2cccnc2)cc1 ZINC000581862959 1038040477 /nfs/dbraw/zinc/04/04/77/1038040477.db2.gz KGDKUJFFZZPPLF-WMLDXEAASA-N 0 3 302.393 4.059 20 0 DIADHN COc1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)ccn1 ZINC000341455240 1038040669 /nfs/dbraw/zinc/04/06/69/1038040669.db2.gz MVRVSWKINOEHKP-QGZVFWFLSA-N 0 3 316.420 4.496 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(N2CCOC2=O)cc1)c1cccs1 ZINC000178173778 1038042775 /nfs/dbraw/zinc/04/27/75/1038042775.db2.gz NMNCVNHVBDYOSI-STQMWFEESA-N 0 3 316.426 4.117 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCCC(C)C)c2ccccc2)cc1 ZINC000021819384 1038043738 /nfs/dbraw/zinc/04/37/38/1038043738.db2.gz VNYDLDZIPSLVJF-LJQANCHMSA-N 0 3 310.441 4.311 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1csnc1OC ZINC001167486797 1038049547 /nfs/dbraw/zinc/04/95/47/1038049547.db2.gz CCZNESJGTIVYKF-QGZVFWFLSA-N 0 3 318.486 4.303 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC)c(Cl)c2)cc1 ZINC000090339925 1038078490 /nfs/dbraw/zinc/07/84/90/1038078490.db2.gz SBBDJQQXIBXWLY-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN Cc1cccc([C@@H](NC2Cc3ccccc3C2)c2ccccn2)c1 ZINC000090945905 1038107694 /nfs/dbraw/zinc/10/76/94/1038107694.db2.gz YSACFQHWFUMZOD-JOCHJYFZSA-N 0 3 314.432 4.236 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(Cl)c1CNCCC(C)C ZINC000061003095 1038160789 /nfs/dbraw/zinc/16/07/89/1038160789.db2.gz YZYGXXJKCBRGTM-UHFFFAOYSA-N 0 3 309.816 4.109 20 0 DIADHN CC(C)c1ccc([C@@H](NCCC(C)(C)O)c2cccnc2)cc1 ZINC000926214211 1038162573 /nfs/dbraw/zinc/16/25/73/1038162573.db2.gz VVKVLDHQGBFXQE-LJQANCHMSA-N 0 3 312.457 4.045 20 0 DIADHN S=c1ncccn1CNC1(c2ccc(Cl)cc2)CCCC1 ZINC000170727945 1038184888 /nfs/dbraw/zinc/18/48/88/1038184888.db2.gz RGEMKQTWKLCJPP-UHFFFAOYSA-N 0 3 319.861 4.283 20 0 DIADHN N#Cc1ccc(CNCc2ccc(SC(F)(F)F)cc2)cc1 ZINC000061079286 1038197570 /nfs/dbraw/zinc/19/75/70/1038197570.db2.gz STRFGRLZTHDBMV-UHFFFAOYSA-N 0 3 322.355 4.460 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H]2CCCc3ccc(F)cc32)cn1 ZINC000089213755 1038210509 /nfs/dbraw/zinc/21/05/09/1038210509.db2.gz RLPVQYVUFYSBBE-UGSOOPFHSA-N 0 3 301.409 4.331 20 0 DIADHN C[C@@](O)(CNCc1c(Cl)oc2ccccc21)c1ccccc1 ZINC000761313380 1038210917 /nfs/dbraw/zinc/21/09/17/1038210917.db2.gz MUGRUPLXUWCDHE-GOSISDBHSA-N 0 3 315.800 4.084 20 0 DIADHN COc1cccc2c1OCC[C@H]2NC/C(C)=C/c1ccccc1 ZINC000669682119 1038216380 /nfs/dbraw/zinc/21/63/80/1038216380.db2.gz NOWVNKYPDXMOBK-FDPSAONLSA-N 0 3 309.409 4.212 20 0 DIADHN c1sc(-c2ccccc2)nc1CNCC1CCSCC1 ZINC000603515104 1038235350 /nfs/dbraw/zinc/23/53/50/1038235350.db2.gz JPIYJIFMJQHIEG-UHFFFAOYSA-N 0 3 304.484 4.043 20 0 DIADHN CN(C)[C@H](CNCc1ccccc1Cl)c1ccccc1Cl ZINC000060830112 1038246705 /nfs/dbraw/zinc/24/67/05/1038246705.db2.gz CENBBWCAPDZQSP-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(F)c(Br)c1 ZINC000130263592 1038264391 /nfs/dbraw/zinc/26/43/91/1038264391.db2.gz LWIQJCDIJAKKPR-JTQLQIEISA-N 0 3 324.193 4.145 20 0 DIADHN CCN(Cc1ccc(C(=O)OC)cc1)Cc1cccc(Cl)c1 ZINC000603682887 1038267176 /nfs/dbraw/zinc/26/71/76/1038267176.db2.gz OAYDNQYTGAVHNH-UHFFFAOYSA-N 0 3 317.816 4.149 20 0 DIADHN FC(F)(F)Oc1ccc(CNc2ccnc3ccccc32)cc1 ZINC000599452287 1038283244 /nfs/dbraw/zinc/28/32/44/1038283244.db2.gz IRBUHCMIMRUXFX-UHFFFAOYSA-N 0 3 318.298 4.167 20 0 DIADHN C[C@@H](Nc1ccnc2ccccc21)c1ccccc1OC(F)F ZINC000599456367 1038285298 /nfs/dbraw/zinc/28/52/98/1038285298.db2.gz TXKQBSYXOHRKJI-GFCCVEGCSA-N 0 3 314.335 4.431 20 0 DIADHN CCN(CC)[C@H](CNc1nccc2sccc21)c1ccco1 ZINC000599577400 1038317222 /nfs/dbraw/zinc/31/72/22/1038317222.db2.gz FARSDFFHMVUXTG-CQSZACIVSA-N 0 3 315.442 4.384 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1ncc(C)c(OC)c1C ZINC000603944913 1038328740 /nfs/dbraw/zinc/32/87/40/1038328740.db2.gz WGVAAOIMKNUJSJ-LJQANCHMSA-N 0 3 310.441 4.216 20 0 DIADHN Cc1nn(C)c2ncc(CN(C)[C@H](C)c3ccc(C)cc3C)cc12 ZINC000535785844 1038335157 /nfs/dbraw/zinc/33/51/57/1038335157.db2.gz UUIKSFCMWBLXKA-MRXNPFEDSA-N 0 3 322.456 4.087 20 0 DIADHN C[C@@H](c1nc(-c2cncc3ccccc32)no1)N1[C@H](C)CC[C@H]1C ZINC000276988332 1038345722 /nfs/dbraw/zinc/34/57/22/1038345722.db2.gz WYGBWMSKORKHEP-MCIONIFRSA-N 0 3 322.412 4.219 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc(N3CCCC3)nc2)cc1 ZINC000131770141 1038347090 /nfs/dbraw/zinc/34/70/90/1038347090.db2.gz YRVPTLDFGJHMRV-QGZVFWFLSA-N 0 3 323.484 4.485 20 0 DIADHN COc1cccc(NC2CCN(C/C=C/c3ccccc3)CC2)c1 ZINC000604048333 1038348658 /nfs/dbraw/zinc/34/86/58/1038348658.db2.gz GRCICVURDQRECU-RMKNXTFCSA-N 0 3 322.452 4.285 20 0 DIADHN CCOc1ccccc1-c1noc([C@H](C)N2[C@H](C)CC[C@H]2C)n1 ZINC000277000230 1038348899 /nfs/dbraw/zinc/34/88/99/1038348899.db2.gz ZASMFHUTJAXRPX-MCIONIFRSA-N 0 3 315.417 4.069 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccc(C2CCC2)cc1 ZINC000277015841 1038354117 /nfs/dbraw/zinc/35/41/17/1038354117.db2.gz YWNGMDPCAMIQQK-UHFFFAOYSA-N 0 3 308.425 4.202 20 0 DIADHN CCCOc1ccc(CN2CCC(OCC(C)C)CC2)cc1 ZINC000535820961 1038374104 /nfs/dbraw/zinc/37/41/04/1038374104.db2.gz XTLGTLWLEUKUMF-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN COC(=O)C(C)(C)[C@H](NCc1ccc(C)cc1)c1ccccc1 ZINC000610953198 1038407570 /nfs/dbraw/zinc/40/75/70/1038407570.db2.gz LXBCUTJWHLADPH-GOSISDBHSA-N 0 3 311.425 4.025 20 0 DIADHN Clc1cccc(-c2noc(CN(CC3CC3)CC3CC3)n2)c1 ZINC000604395496 1038412679 /nfs/dbraw/zinc/41/26/79/1038412679.db2.gz ICQJZYHUIIMACT-UHFFFAOYSA-N 0 3 317.820 4.012 20 0 DIADHN CN(C)[C@H](CNCc1ccccc1Cl)c1c(F)cccc1F ZINC000604417204 1038413125 /nfs/dbraw/zinc/41/31/25/1038413125.db2.gz MRUXCYJJBSYKMH-MRXNPFEDSA-N 0 3 324.802 4.011 20 0 DIADHN CCOC(=O)[C@@H]1CCCN([C@@H](CC)c2ccc(Cl)cc2)C1 ZINC000604458117 1038417935 /nfs/dbraw/zinc/41/79/35/1038417935.db2.gz RDCNPHZTYDNWOW-ZBFHGGJFSA-N 0 3 309.837 4.066 20 0 DIADHN CC[C@H](C)N(Cc1cc(C(=O)OC)oc1C)Cc1ccccc1 ZINC000604513640 1038424399 /nfs/dbraw/zinc/42/43/99/1038424399.db2.gz GUJUQXMTSORUOT-AWEZNQCLSA-N 0 3 315.413 4.175 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3cc(C)c(C)cc32)cc1 ZINC000151004639 1038427935 /nfs/dbraw/zinc/42/79/35/1038427935.db2.gz DLTCNVSZBDOKTJ-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(COC)c1)c1cccc(OC)c1 ZINC000151183333 1038434909 /nfs/dbraw/zinc/43/49/09/1038434909.db2.gz QTPPHCCDFVOXJM-LJQANCHMSA-N 0 3 317.404 4.222 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cccc(-c2cccnc2)c1 ZINC000132257170 1038455858 /nfs/dbraw/zinc/45/58/58/1038455858.db2.gz OMOCYOVSKZZQFD-HXUWFJFHSA-N 0 3 316.404 4.231 20 0 DIADHN CC[C@@H]1CCCN(Cc2ncc(-c3ccc(OC)cc3)o2)CC1 ZINC000604998823 1038475617 /nfs/dbraw/zinc/47/56/17/1038475617.db2.gz FDKWMSFQNBFCDV-OAHLLOKOSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC[C@H]1C(C)C ZINC000605000676 1038476424 /nfs/dbraw/zinc/47/64/24/1038476424.db2.gz QMFAIOWXXSJICG-INIZCTEOSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@@H](O)CC(C)(C)C)o1 ZINC000605009043 1038477671 /nfs/dbraw/zinc/47/76/71/1038477671.db2.gz KWDQHMASVUCMQC-HNNXBMFYSA-N 0 3 319.420 4.281 20 0 DIADHN COc1ccc(CNC2(c3cccc(F)c3)CCC2)cc1OC ZINC000647233492 1038482931 /nfs/dbraw/zinc/48/29/31/1038482931.db2.gz YNCDTEFCIJOXNO-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC000071807720 1038483037 /nfs/dbraw/zinc/48/30/37/1038483037.db2.gz JCIYGPPFPXDOIK-UHFFFAOYSA-N 0 3 323.358 4.354 20 0 DIADHN C[C@H]1C[C@H](c2cccc(F)c2)N(Cc2ccc3c(c2)COC3)C1 ZINC001167506111 1038494000 /nfs/dbraw/zinc/49/40/00/1038494000.db2.gz YPNLTRXBYYJEBX-VBKZILBWSA-N 0 3 311.400 4.439 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](C)c3ccc4c(c3)OCCO4)cc21 ZINC000657289374 1038506628 /nfs/dbraw/zinc/50/66/28/1038506628.db2.gz JOJAQSCCBHPNCI-CQSZACIVSA-N 0 3 322.408 4.098 20 0 DIADHN COc1cccc(CN2CCS[C@@H](C)[C@@H]2c2ccccc2)c1 ZINC000605335788 1038508301 /nfs/dbraw/zinc/50/83/01/1038508301.db2.gz UZBVGOCTWCZKMP-HNAYVOBHSA-N 0 3 313.466 4.374 20 0 DIADHN CC(C)c1ccc([C@@H](NCc2cnn3c2CCC3)C(C)C)cc1 ZINC000657293732 1038510082 /nfs/dbraw/zinc/51/00/82/1038510082.db2.gz UUXFQQCYCXJZKA-FQEVSTJZSA-N 0 3 311.473 4.440 20 0 DIADHN CCCc1ccc([C@H](NCc2cnc3n2CCC3)C(C)C)cc1 ZINC000657297002 1038511832 /nfs/dbraw/zinc/51/18/32/1038511832.db2.gz YYFRFNIKVZPCOU-HXUWFJFHSA-N 0 3 311.473 4.269 20 0 DIADHN CC(C)[C@H](NCc1ccnn1CC1CC1)c1ccc(Cl)cc1 ZINC000657295186 1038513083 /nfs/dbraw/zinc/51/30/83/1038513083.db2.gz KFQYITDVUMNGTL-SFHVURJKSA-N 0 3 317.864 4.433 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cc2ccncc2s1 ZINC000657295664 1038513165 /nfs/dbraw/zinc/51/31/65/1038513165.db2.gz HCUFKMXPTXFWAI-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN CCCc1ccc([C@@H](NCc2cnn3c2CCC3)C(C)C)cc1 ZINC000657296073 1038513243 /nfs/dbraw/zinc/51/32/43/1038513243.db2.gz QOHITETVKOVUDD-FQEVSTJZSA-N 0 3 311.473 4.269 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC000605421667 1038513479 /nfs/dbraw/zinc/51/34/79/1038513479.db2.gz NPGYMVAYSDRINU-SUYBPPKGSA-N 0 3 320.864 4.240 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc2oc(C(C)C)nc2c1)N(CC)CC ZINC000605424561 1038514782 /nfs/dbraw/zinc/51/47/82/1038514782.db2.gz HHJRUPKNIPGODH-HNNXBMFYSA-N 0 3 317.433 4.010 20 0 DIADHN COCc1csc(CN[C@@H]2CCSc3ccc(F)cc32)c1 ZINC000657297679 1038515709 /nfs/dbraw/zinc/51/57/09/1038515709.db2.gz QDIJBLYKQPNTJV-OAHLLOKOSA-N 0 3 323.458 4.360 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccc(F)cc1 ZINC000171978099 1038519527 /nfs/dbraw/zinc/51/95/27/1038519527.db2.gz DDEKYBXSVMIZTH-OLZOCXBDSA-N 0 3 312.388 4.122 20 0 DIADHN OCC[C@H](NCc1cccc2cc[nH]c21)c1ccc(Cl)cc1 ZINC000342290156 1038520149 /nfs/dbraw/zinc/52/01/49/1038520149.db2.gz WRRWWPRHUSDSIG-KRWDZBQOSA-N 0 3 314.816 4.035 20 0 DIADHN CC[C@H](NCc1ccnn1CC1CC1)c1ccc(Cl)cc1 ZINC000657302949 1038526197 /nfs/dbraw/zinc/52/61/97/1038526197.db2.gz YEFGTOJDPACGGV-KRWDZBQOSA-N 0 3 303.837 4.187 20 0 DIADHN CCCN(CCCOC)[C@@H](C)c1ccccc1Br ZINC000071954136 1038539198 /nfs/dbraw/zinc/53/91/98/1038539198.db2.gz GMONRVQTXYZKGC-ZDUSSCGKSA-N 0 3 314.267 4.259 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2o1 ZINC000605768470 1038550766 /nfs/dbraw/zinc/55/07/66/1038550766.db2.gz OKVXQKYZZNLGBA-DZGCQCFKSA-N 0 3 310.372 4.345 20 0 DIADHN Cc1cccc2nc(CN3C[C@@H](c4ccc(F)cc4)C[C@@H]3C)cn21 ZINC000605759958 1038551160 /nfs/dbraw/zinc/55/11/60/1038551160.db2.gz TXZAQILWIMYSQR-RDJZCZTQSA-N 0 3 323.415 4.160 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2F)N(CCOC2CCSCC2)C1 ZINC001167533684 1038552727 /nfs/dbraw/zinc/55/27/27/1038552727.db2.gz JPJMPMDXPFJUTI-KSSFIOAISA-N 0 3 323.477 4.121 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(Cc2ccc3c(c2)COC3)C1 ZINC001167533918 1038553796 /nfs/dbraw/zinc/55/37/96/1038553796.db2.gz OCDOGMZIXUEVCR-VLIAUNLRSA-N 0 3 311.400 4.439 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2c(C)noc2CC)C12CCCCC2 ZINC001167540305 1038557669 /nfs/dbraw/zinc/55/76/69/1038557669.db2.gz ZAMPBZHYCHOMPU-MSOLQXFVSA-N 0 3 320.477 4.105 20 0 DIADHN O[C@H](CN(Cc1ccccc1)Cc1ccccc1)c1ccco1 ZINC000062355942 1038557756 /nfs/dbraw/zinc/55/77/56/1038557756.db2.gz FTLIONNEEXDVPZ-LJQANCHMSA-N 0 3 307.393 4.015 20 0 DIADHN Cc1ccccc1C1CC(N(Cc2cccc(F)n2)C2CC2)C1 ZINC001167543357 1038560448 /nfs/dbraw/zinc/56/04/48/1038560448.db2.gz ADCZKCPBNDKZRG-UHFFFAOYSA-N 0 3 310.416 4.440 20 0 DIADHN CN(C)CCN(C(=O)CC/C=C/c1ccccc1)c1ccccc1 ZINC000606185406 1038568343 /nfs/dbraw/zinc/56/83/43/1038568343.db2.gz GIZKZZDJUDDDKA-UKTHLTGXSA-N 0 3 322.452 4.075 20 0 DIADHN CCCn1nccc1CN[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000657334918 1038578981 /nfs/dbraw/zinc/57/89/81/1038578981.db2.gz DYMYCSGMKISOLX-LJQANCHMSA-N 0 3 315.436 4.453 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cccc2c1CCOC2)C(C)(C)C ZINC000934288100 1038579382 /nfs/dbraw/zinc/57/93/82/1038579382.db2.gz RMDHUSKORPMZAL-HXUWFJFHSA-N 0 3 324.468 4.340 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2c[nH]nc2c1)C(C)(C)C ZINC000934285966 1038580153 /nfs/dbraw/zinc/58/01/53/1038580153.db2.gz BNTGIRICPXAACD-GOSISDBHSA-N 0 3 308.429 4.143 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cccc(N(C)C)c1)C(C)(C)C ZINC000934290112 1038581582 /nfs/dbraw/zinc/58/15/82/1038581582.db2.gz WHAQKVPPPFXAJA-LJQANCHMSA-N 0 3 311.473 4.333 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccc(F)c(OC(F)(F)F)c2)CC1 ZINC001143436139 1038582566 /nfs/dbraw/zinc/58/25/66/1038582566.db2.gz MAWXANGQZFCCTN-AWEZNQCLSA-N 0 3 323.305 4.438 20 0 DIADHN COCc1cccc(CN[C@H](c2ncccc2C)C(C)(C)C)c1 ZINC000934292464 1038582711 /nfs/dbraw/zinc/58/27/11/1038582711.db2.gz QDWQYDYYWHXHNL-LJQANCHMSA-N 0 3 312.457 4.413 20 0 DIADHN Clc1ccc([C@@H](NCc2cnc3n2CCC3)C2CCC2)cc1 ZINC000657349829 1038592865 /nfs/dbraw/zinc/59/28/65/1038592865.db2.gz NUGFQDNEJFTMLP-SFHVURJKSA-N 0 3 315.848 4.114 20 0 DIADHN COCc1cc(CNCc2cc3ccc(C)cc3[nH]2)ccc1F ZINC000657354439 1038597710 /nfs/dbraw/zinc/59/77/10/1038597710.db2.gz UMCSITKKEQPPIA-UHFFFAOYSA-N 0 3 312.388 4.052 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1ccccc1-n1ccnc1 ZINC000152260513 1038599212 /nfs/dbraw/zinc/59/92/12/1038599212.db2.gz CDRYVICODDFZHJ-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1ccnn1CC1CC1 ZINC000657360068 1038599245 /nfs/dbraw/zinc/59/92/45/1038599245.db2.gz VUUBIPUSWNSPMK-FQEVSTJZSA-N 0 3 311.473 4.479 20 0 DIADHN CCc1ccccc1NC(=O)CN1CCCC[C@H]1[C@H](C)CC ZINC000606434395 1038601820 /nfs/dbraw/zinc/60/18/20/1038601820.db2.gz XUSVHDNTYJNUCY-QAPCUYQASA-N 0 3 302.462 4.088 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1cccc(SC)c1 ZINC000606434159 1038601962 /nfs/dbraw/zinc/60/19/62/1038601962.db2.gz NGHRYGYXVYCCBQ-WMLDXEAASA-N 0 3 320.502 4.248 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCC[C@@H](C)[C@H]1c1ccc(C)cc1 ZINC000606452245 1038604993 /nfs/dbraw/zinc/60/49/93/1038604993.db2.gz PXFONGXOPVBTRX-APWZRJJASA-N 0 3 316.489 4.073 20 0 DIADHN CCCC1CCN(Cc2cccc(C(=O)NCC(C)C)c2)CC1 ZINC001167554855 1038611187 /nfs/dbraw/zinc/61/11/87/1038611187.db2.gz VVBGGMUVRDFFEL-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN COc1ccnc(CN2CCC[C@H](C)[C@H]2c2ccc(C)cc2)c1 ZINC000606540660 1038614651 /nfs/dbraw/zinc/61/46/51/1038614651.db2.gz AJOUNGBUMLVKFM-JXFKEZNVSA-N 0 3 310.441 4.372 20 0 DIADHN C[C@@H]1CSCCN1Cc1ccc(OCc2ccccc2)cc1 ZINC000606540740 1038614970 /nfs/dbraw/zinc/61/49/70/1038614970.db2.gz FFBJFKWXXZKVJF-MRXNPFEDSA-N 0 3 313.466 4.203 20 0 DIADHN C[C@H]1CCN(Cc2ccc(C(F)F)c(F)c2)C[C@@H]1n1ccnc1 ZINC000892224597 1038616145 /nfs/dbraw/zinc/61/61/45/1038616145.db2.gz YBBLZVCKBQZBOR-LRDDRELGSA-N 0 3 323.362 4.043 20 0 DIADHN Cc1c2ccccc2oc1[C@H](NCc1cnn2c1CCC2)C(C)C ZINC000657369321 1038616306 /nfs/dbraw/zinc/61/63/06/1038616306.db2.gz DFFBEJFFDQOMRN-LJQANCHMSA-N 0 3 323.440 4.371 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000051531224 1038617409 /nfs/dbraw/zinc/61/74/09/1038617409.db2.gz JHGXYPNAZLUOSN-QRWLVFNGSA-N 0 3 313.416 4.332 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000657378079 1038620570 /nfs/dbraw/zinc/62/05/70/1038620570.db2.gz XPFYIXWDGYNGKT-DOPJRALCSA-N 0 3 317.864 4.433 20 0 DIADHN CCOc1cc(CNCc2cc3c(s2)CCCC3)ccc1O ZINC000582286830 1038627776 /nfs/dbraw/zinc/62/77/76/1038627776.db2.gz RTNNQDJLVCPFNE-UHFFFAOYSA-N 0 3 317.454 4.021 20 0 DIADHN Cc1ccc(-c2noc(CN(CC3CC3)CC3CCC3)n2)cc1 ZINC000582294309 1038628653 /nfs/dbraw/zinc/62/86/53/1038628653.db2.gz JDXPBNVAUVYKTG-UHFFFAOYSA-N 0 3 311.429 4.057 20 0 DIADHN COc1ccc(CN2CCN(c3ccc(C)c(C)c3)C[C@@H]2C)cc1 ZINC001167562079 1038630834 /nfs/dbraw/zinc/63/08/34/1038630834.db2.gz GGFUSWFRTKZLAE-SFHVURJKSA-N 0 3 324.468 4.023 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1ccc(C(F)(F)F)cc1 ZINC001167562870 1038631606 /nfs/dbraw/zinc/63/16/06/1038631606.db2.gz RGDTZKUODLPSEM-GFCCVEGCSA-N 0 3 316.367 4.012 20 0 DIADHN CC[C@@H]1CN(C(C)=O)[C@H](CC)CN1Cc1ccc2ccccc2c1 ZINC001167567965 1038644734 /nfs/dbraw/zinc/64/47/34/1038644734.db2.gz MMGYVIHISHTNNU-NHCUHLMSSA-N 0 3 324.468 4.061 20 0 DIADHN c1nn2c(c1CN[C@H](CC1CCCC1)c1ccccc1)CCC2 ZINC000657415702 1038654506 /nfs/dbraw/zinc/65/45/06/1038654506.db2.gz MAJBTSXAOSZKDI-LJQANCHMSA-N 0 3 309.457 4.241 20 0 DIADHN c1nc2n(c1CN[C@@H](CC1CCCC1)c1ccccc1)CCC2 ZINC000657416077 1038654840 /nfs/dbraw/zinc/65/48/40/1038654840.db2.gz PQSBIZCJUUSXRC-IBGZPJMESA-N 0 3 309.457 4.241 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3ccccc3N(C)C)ccc2[nH]1 ZINC000582411701 1038659347 /nfs/dbraw/zinc/65/93/47/1038659347.db2.gz QCTWQJRNMZJATD-OAHLLOKOSA-N 0 3 307.441 4.393 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnn3c1CCC3)CCC2 ZINC000657421617 1038660160 /nfs/dbraw/zinc/66/01/60/1038660160.db2.gz OUZHPJDAYMURKT-IBGZPJMESA-N 0 3 309.457 4.120 20 0 DIADHN CC1(C)CC[C@H]2C[C@@H](NCc3cnc4n3CCC4)c3cccc1c32 ZINC000657427337 1038663504 /nfs/dbraw/zinc/66/35/04/1038663504.db2.gz CPYKWACKBVMGEO-KBXCAEBGSA-N 0 3 321.468 4.219 20 0 DIADHN CC(C)CC[C@H]1CCC[C@H]1NC(=O)c1cccc(CN(C)C)c1 ZINC000607385295 1038673885 /nfs/dbraw/zinc/67/38/85/1038673885.db2.gz ALSJSSUQOPAVPL-IEBWSBKVSA-N 0 3 316.489 4.083 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(C)s2)ccc1OC ZINC000049158953 1038674064 /nfs/dbraw/zinc/67/40/64/1038674064.db2.gz KQVFURYZXYQHOM-CYBMUJFWSA-N 0 3 305.443 4.315 20 0 DIADHN C[C@H](NC[C@H](O)c1c(F)cccc1F)c1ccc(Cl)s1 ZINC000049129224 1038674282 /nfs/dbraw/zinc/67/42/82/1038674282.db2.gz ARJHWBGDUGVJSF-KWQFWETISA-N 0 3 317.788 4.064 20 0 DIADHN CCCn1nccc1CNC1CC(F)(c2cccc(Cl)c2)C1 ZINC000657455957 1038698057 /nfs/dbraw/zinc/69/80/57/1038698057.db2.gz VMTFNGBJUKXJFW-UHFFFAOYSA-N 0 3 321.827 4.064 20 0 DIADHN CC(C)n1ccnc1CN[C@@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000657457794 1038699364 /nfs/dbraw/zinc/69/93/64/1038699364.db2.gz QDRIXXYQIOXMLQ-DOTOQJQBSA-N 0 3 301.409 4.029 20 0 DIADHN COc1ccc(Cl)cc1CN(C)[C@H]1CCc2ccccc21 ZINC000247875686 1038699574 /nfs/dbraw/zinc/69/95/74/1038699574.db2.gz GQVRGFXVCBEMDR-KRWDZBQOSA-N 0 3 301.817 4.468 20 0 DIADHN CC[C@@H]1C[C@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CO1 ZINC000657460221 1038700567 /nfs/dbraw/zinc/70/05/67/1038700567.db2.gz VCLAZNZXLAFLFQ-UONOGXRCSA-N 0 3 322.861 4.121 20 0 DIADHN CC[C@H](NCc1ccc(N(C)CC)nc1)c1csc(C)c1 ZINC000647254277 1038700733 /nfs/dbraw/zinc/70/07/33/1038700733.db2.gz AZJGKQDIJMQVKF-INIZCTEOSA-N 0 3 303.475 4.149 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cc2c(cccc2C)[nH]1 ZINC000657461900 1038702087 /nfs/dbraw/zinc/70/20/87/1038702087.db2.gz MNXNPHIQNKJOCT-SFHVURJKSA-N 0 3 324.399 4.401 20 0 DIADHN CC(C)n1ccnc1CNCCCCc1ccc(Cl)s1 ZINC000657462098 1038702542 /nfs/dbraw/zinc/70/25/42/1038702542.db2.gz CKHQSHQCYJJSBY-UHFFFAOYSA-N 0 3 311.882 4.291 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000657476578 1038710768 /nfs/dbraw/zinc/71/07/68/1038710768.db2.gz QGGMQVYSINKMEX-HDJSIYSDSA-N 0 3 303.837 4.153 20 0 DIADHN Fc1ccc(C2(NCc3ccc(Cl)nc3)CCCC2)cc1 ZINC000173321517 1038715395 /nfs/dbraw/zinc/71/53/95/1038715395.db2.gz STFGNAUPEKRDCO-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccc(F)c(F)c3)CC2)s1 ZINC000607729501 1038717622 /nfs/dbraw/zinc/71/76/22/1038717622.db2.gz KYBLUMCLXFZDNC-UHFFFAOYSA-N 0 3 322.424 4.418 20 0 DIADHN Cc1nc(C)c(CN2CCS[C@H](c3ccccc3)C2)s1 ZINC000607735025 1038718258 /nfs/dbraw/zinc/71/82/58/1038718258.db2.gz RQWZJSHLSPFISG-INIZCTEOSA-N 0 3 304.484 4.050 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H]2c2cccc(C)c2C)c1 ZINC000607735944 1038718456 /nfs/dbraw/zinc/71/84/56/1038718456.db2.gz OPKGWWSMBGLNIF-FQEVSTJZSA-N 0 3 322.452 4.387 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2ncccc2C(F)(F)F)C1 ZINC000684931272 1038719469 /nfs/dbraw/zinc/71/94/69/1038719469.db2.gz XAZMXIHDRLDFIJ-HDJSIYSDSA-N 0 3 320.358 4.445 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@H](C)CCC[C@@H]1C ZINC000607742884 1038720654 /nfs/dbraw/zinc/72/06/54/1038720654.db2.gz WQHRTHPNJWWAQQ-ZBFHGGJFSA-N 0 3 302.462 4.006 20 0 DIADHN Cc1cccc2[nH]c(CNCc3cnccc3C(C)(C)C)cc21 ZINC000657491314 1038724073 /nfs/dbraw/zinc/72/40/73/1038724073.db2.gz URZNHMJXTDOTCZ-UHFFFAOYSA-N 0 3 307.441 4.459 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000607754780 1038728109 /nfs/dbraw/zinc/72/81/09/1038728109.db2.gz XHCYNOITFMXHJJ-KBPBESRZSA-N 0 3 301.459 4.216 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1cccc2ccsc21 ZINC000657500942 1038730160 /nfs/dbraw/zinc/73/01/60/1038730160.db2.gz QMLPIVAYMVUQRY-UHFFFAOYSA-N 0 3 311.454 4.192 20 0 DIADHN C[C@H](NCc1cccc2ncccc12)c1cnn(CC2CCC2)c1 ZINC000934561516 1038732922 /nfs/dbraw/zinc/73/29/22/1038732922.db2.gz RUMPCHZBZMRJSS-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)c1C ZINC000115602486 1038735878 /nfs/dbraw/zinc/73/58/78/1038735878.db2.gz BMLVTPLMAZSWOS-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H]1CCCc2cccnc21 ZINC000684954961 1038738233 /nfs/dbraw/zinc/73/82/33/1038738233.db2.gz HWIWIIDUJYGIGT-OALUTQOASA-N 0 3 308.425 4.135 20 0 DIADHN Clc1ccc(SCCN[C@@H]2CCCc3cccnc32)cc1 ZINC000684954100 1038738482 /nfs/dbraw/zinc/73/84/82/1038738482.db2.gz UJWQTUYLULLRBP-MRXNPFEDSA-N 0 3 318.873 4.494 20 0 DIADHN COc1ccc([C@@H](N[C@@H]2CCCc3cccnc32)C2CC2)cc1 ZINC000684953289 1038738804 /nfs/dbraw/zinc/73/88/04/1038738804.db2.gz BKNXPWDPOOSDJC-MOPGFXCFSA-N 0 3 308.425 4.209 20 0 DIADHN CC(C)c1ccc(OCCN[C@@H]2CCCc3cccnc32)cc1 ZINC000684956490 1038740332 /nfs/dbraw/zinc/74/03/32/1038740332.db2.gz RYPGDVJSBGJJQB-LJQANCHMSA-N 0 3 310.441 4.251 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)N[C@H]1CCCc2cccnc21 ZINC000684956747 1038740477 /nfs/dbraw/zinc/74/04/77/1038740477.db2.gz YCINPJFVHZGZMP-WMZOPIPTSA-N 0 3 310.441 4.133 20 0 DIADHN CCc1ccc(C2CCN([C@H](C)c3cnc(C)cn3)CC2)cc1 ZINC000626086971 1038754205 /nfs/dbraw/zinc/75/42/05/1038754205.db2.gz YBRXDIOYTRSMRT-MRXNPFEDSA-N 0 3 309.457 4.288 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)C[C@H]1C[C@@H]1C ZINC000128063055 1038754468 /nfs/dbraw/zinc/75/44/68/1038754468.db2.gz AXWKTVDHZGMJQG-QWHCGFSZSA-N 0 3 319.836 4.256 20 0 DIADHN CCN(Cc1ccc(F)cc1F)[C@@H](COC)Cc1ccccc1 ZINC001167601298 1038765736 /nfs/dbraw/zinc/76/57/36/1038765736.db2.gz ASVYIJHOYAJKNX-GOSISDBHSA-N 0 3 319.395 4.044 20 0 DIADHN Cc1ncsc1CN[C@H](c1cccnc1)C1CCCCC1 ZINC000608055525 1038768081 /nfs/dbraw/zinc/76/80/81/1038768081.db2.gz UYWONLNRORXXEQ-KRWDZBQOSA-N 0 3 301.459 4.258 20 0 DIADHN Cc1ccoc1CNCCc1nc(C2CCCCC2)cs1 ZINC000608057562 1038768576 /nfs/dbraw/zinc/76/85/76/1038768576.db2.gz IPASUEKSHSXCGH-UHFFFAOYSA-N 0 3 304.459 4.425 20 0 DIADHN C[C@@H](CNCc1csc(Cl)c1Cl)c1ccccn1 ZINC000657535806 1038773727 /nfs/dbraw/zinc/77/37/27/1038773727.db2.gz RBEOCHWPWKCUIO-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CN(Cc1nc(C(F)(F)F)cs1)c1ccnc2ccccc21 ZINC000074775781 1038784794 /nfs/dbraw/zinc/78/47/94/1038784794.db2.gz BEXCXRHFBNSUTQ-UHFFFAOYSA-N 0 3 323.343 4.347 20 0 DIADHN Clc1ccc(-c2nc(CN[C@]34C[C@H]3CCC4)cs2)cc1 ZINC000657549941 1038801602 /nfs/dbraw/zinc/80/16/02/1038801602.db2.gz GLLRHSRCAHOSAZ-MLGOLLRUSA-N 0 3 304.846 4.496 20 0 DIADHN CC[C@@H](NCc1ccccc1NC(C)=O)c1ccc(F)cc1 ZINC000608375893 1038837652 /nfs/dbraw/zinc/83/76/52/1038837652.db2.gz GOWYEGJOMKPUIP-QGZVFWFLSA-N 0 3 300.377 4.025 20 0 DIADHN Fc1ccc(-n2ccc(CN(C3CC3)C3CCCCC3)n2)cc1 ZINC000608492549 1038860252 /nfs/dbraw/zinc/86/02/52/1038860252.db2.gz ROHZZMVHPHNRAD-UHFFFAOYSA-N 0 3 313.420 4.309 20 0 DIADHN CN(C)c1ncc(CN[C@H](CC(C)(C)C)c2ccccc2)s1 ZINC000077328288 1038866900 /nfs/dbraw/zinc/86/69/00/1038866900.db2.gz TUTXJSFBEUNOME-MRXNPFEDSA-N 0 3 317.502 4.476 20 0 DIADHN CCN(CC)C(=O)[C@@H](NCCC(C)(C)CC)c1ccccc1 ZINC000608560961 1038883820 /nfs/dbraw/zinc/88/38/20/1038883820.db2.gz MQUZFEKVIOEUHT-KRWDZBQOSA-N 0 3 304.478 4.012 20 0 DIADHN c1cc2cc(CNCc3c(C4CC4)nc4sccn34)ccc2o1 ZINC000657586249 1038886586 /nfs/dbraw/zinc/88/65/86/1038886586.db2.gz XOIKOMQDAJXUFP-UHFFFAOYSA-N 0 3 323.421 4.309 20 0 DIADHN Fc1cccc(F)c1CNCC1CCC(C(F)(F)F)CC1 ZINC000608579241 1038888813 /nfs/dbraw/zinc/88/88/13/1038888813.db2.gz NNOFXEJFHKCLLC-UHFFFAOYSA-N 0 3 307.306 4.423 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)N1CCCC1)c1ccc(-c2ccccc2)cc1 ZINC000078268438 1038904937 /nfs/dbraw/zinc/90/49/37/1038904937.db2.gz MQFAXRMGFUVZQX-SJORKVTESA-N 0 3 322.452 4.015 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)C[C@@H](N)c1ccccc1 ZINC000119238989 1038909890 /nfs/dbraw/zinc/90/98/90/1038909890.db2.gz ROBVXXGZEYYOCO-OAHLLOKOSA-N 0 3 314.454 4.216 20 0 DIADHN CC(C)[C@H](NCc1ccc2c(c1)ncn2C)c1ccccc1F ZINC000657614734 1038911614 /nfs/dbraw/zinc/91/16/14/1038911614.db2.gz HULLJWUROGZSPQ-IBGZPJMESA-N 0 3 311.404 4.199 20 0 DIADHN Cc1ccc(CCN(C)Cc2cc(Br)co2)cc1 ZINC001232857979 1038917862 /nfs/dbraw/zinc/91/78/62/1038917862.db2.gz YNZACOAOZNUKPA-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN Cc1cc(C)cc(CNCc2cn(C)nc2-c2cccs2)c1 ZINC000657625795 1038925228 /nfs/dbraw/zinc/92/52/28/1038925228.db2.gz HWDMOAFYMWOOCE-UHFFFAOYSA-N 0 3 311.454 4.055 20 0 DIADHN CCCN(Cc1cc(C(=O)OC)co1)[C@@H](CC)c1ccccc1 ZINC000608832838 1038928570 /nfs/dbraw/zinc/92/85/70/1038928570.db2.gz SYOVTFAEXZQCHX-SFHVURJKSA-N 0 3 315.413 4.430 20 0 DIADHN CCCN(Cc1cc(C(=O)OC)co1)[C@H](CC)c1ccccc1 ZINC000608832837 1038929577 /nfs/dbraw/zinc/92/95/77/1038929577.db2.gz SYOVTFAEXZQCHX-GOSISDBHSA-N 0 3 315.413 4.430 20 0 DIADHN CC(C)(C)OCCCNCc1ccc(Sc2ccccn2)o1 ZINC000657639529 1038933935 /nfs/dbraw/zinc/93/39/35/1038933935.db2.gz ZQHFSBJRZQJNTF-UHFFFAOYSA-N 0 3 320.458 4.121 20 0 DIADHN c1nc(C2CCC2)sc1CN[C@@H]1C[C@@H](Oc2ccccc2)C1 ZINC000657646206 1038944610 /nfs/dbraw/zinc/94/46/10/1038944610.db2.gz XXUXDBSUYQJUHP-KOMQPUFPSA-N 0 3 314.454 4.110 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1cccc(N(C)C)c1 ZINC000119851724 1038950720 /nfs/dbraw/zinc/95/07/20/1038950720.db2.gz BZEURCJXOOXYBY-ZDUSSCGKSA-N 0 3 317.502 4.492 20 0 DIADHN COc1cc(CNCc2cccs2)ccc1OC1CCCC1 ZINC000078454021 1038956929 /nfs/dbraw/zinc/95/69/29/1038956929.db2.gz WHGPNBDMWLMXGX-UHFFFAOYSA-N 0 3 317.454 4.368 20 0 DIADHN COc1cc(CNCc2csc(C)c2C)ccc1OC(C)C ZINC000399862968 1038962565 /nfs/dbraw/zinc/96/25/65/1038962565.db2.gz DUEQHOFWLFWCPQ-UHFFFAOYSA-N 0 3 319.470 4.451 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2CC(C)(C)Cc3occc32)cc1 ZINC000120185275 1038973144 /nfs/dbraw/zinc/97/31/44/1038973144.db2.gz DWDQNQOAAYZCQW-KRWDZBQOSA-N 0 3 312.413 4.041 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CCO[C@@H]2C2CC2)o1 ZINC000534094936 1038984138 /nfs/dbraw/zinc/98/41/38/1038984138.db2.gz OEPVVTPBLGHQEP-IEBWSBKVSA-N 0 3 315.388 4.051 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2cc(C)sc2C)cc1 ZINC000120369348 1038984463 /nfs/dbraw/zinc/98/44/63/1038984463.db2.gz SHJMXOBLIYTBCO-ACJLOTCBSA-N 0 3 319.470 4.148 20 0 DIADHN Cc1csc(CN2CCCC[C@@H]2CCc2ccccc2)n1 ZINC000534125874 1038985527 /nfs/dbraw/zinc/98/55/27/1038985527.db2.gz DFTOJKGWYXBABR-QGZVFWFLSA-N 0 3 300.471 4.439 20 0 DIADHN CC(C)c1nnc2ccc(Nc3ccnc(C(C)(C)C)c3)cn21 ZINC001213164683 1039000685 /nfs/dbraw/zinc/00/06/85/1039000685.db2.gz XPOPXJJQLBBXST-UHFFFAOYSA-N 0 3 309.417 4.289 20 0 DIADHN CCOc1cccc(CN2CCC(Nc3ccccc3)CC2)c1 ZINC000092163966 1039028386 /nfs/dbraw/zinc/02/83/86/1039028386.db2.gz SIHBUJHDCOLXBD-UHFFFAOYSA-N 0 3 310.441 4.162 20 0 DIADHN COc1ccc(CN(C2CC2)C2CCCC2)c(Cl)c1OC ZINC000534334001 1039031435 /nfs/dbraw/zinc/03/14/35/1039031435.db2.gz ORNDUSZOGGSLAA-UHFFFAOYSA-N 0 3 309.837 4.264 20 0 DIADHN O[C@H]1CCC[C@H](CNCc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000230670336 1039038389 /nfs/dbraw/zinc/03/83/89/1039038389.db2.gz TVDNWAJMZOGYKP-UWVGGRQHSA-N 0 3 322.663 4.288 20 0 DIADHN COc1ccc(OC2CCN(Cc3ccc(C)s3)CC2)cc1 ZINC000534336047 1039038502 /nfs/dbraw/zinc/03/85/02/1039038502.db2.gz FFMLCIJMDIDBAT-UHFFFAOYSA-N 0 3 317.454 4.109 20 0 DIADHN c1cn2c(cccc2CN(Cc2ccoc2)C2CCCCC2)n1 ZINC000342945857 1039039717 /nfs/dbraw/zinc/03/97/17/1039039717.db2.gz ZVGITOJMIJYKTC-UHFFFAOYSA-N 0 3 309.413 4.262 20 0 DIADHN COc1cc(CN[C@H](C)c2cc(C)sc2C)cc(OC)c1 ZINC000035252903 1039077842 /nfs/dbraw/zinc/07/78/42/1039077842.db2.gz GQTHMELYKAHOMN-GFCCVEGCSA-N 0 3 305.443 4.233 20 0 DIADHN COc1cc(CN[C@@H](C)c2cc(C)sc2C)cc(OC)c1 ZINC000035252905 1039079205 /nfs/dbraw/zinc/07/92/05/1039079205.db2.gz GQTHMELYKAHOMN-LBPRGKRZSA-N 0 3 305.443 4.233 20 0 DIADHN CC(C)(C)c1nc(CNC2CCC3(CC3(F)F)CC2)cs1 ZINC000657717413 1039084580 /nfs/dbraw/zinc/08/45/80/1039084580.db2.gz XUXFTUJQWFNEIR-UHFFFAOYSA-N 0 3 314.445 4.498 20 0 DIADHN CC(C)c1cnc(CNC2CCC3(CC3(F)F)CC2)s1 ZINC000657717952 1039089469 /nfs/dbraw/zinc/08/94/69/1039089469.db2.gz UMOYZIYSVDWELH-UHFFFAOYSA-N 0 3 300.418 4.324 20 0 DIADHN CC(C)c1ccc(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)cn1 ZINC000278065693 1039121601 /nfs/dbraw/zinc/12/16/01/1039121601.db2.gz WDNYLVDRTGOFSY-IHRRRGAJSA-N 0 3 314.433 4.189 20 0 DIADHN C[C@H](NC1CCC2(CCCC2)CC1)c1nnc2n1CCCCC2 ZINC000323235564 1039129652 /nfs/dbraw/zinc/12/96/52/1039129652.db2.gz BLXBYXFDKYPGIG-HNNXBMFYSA-N 0 3 316.493 4.158 20 0 DIADHN Fc1cccc(CN2CCC(OCc3ccccc3F)CC2)c1 ZINC000091868836 1039148784 /nfs/dbraw/zinc/14/87/84/1039148784.db2.gz YDJUCPHUSBYLAH-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN Cc1ccc(C)c(N2CCN(CCCc3ccsc3)CC2)c1 ZINC001167653023 1039149272 /nfs/dbraw/zinc/14/92/72/1039149272.db2.gz DCTSDZCVFSICRX-UHFFFAOYSA-N 0 3 314.498 4.120 20 0 DIADHN Cc1ccccc1-c1cnc(CN(C(C)C)C2CCOCC2)o1 ZINC000535263596 1039165042 /nfs/dbraw/zinc/16/50/42/1039165042.db2.gz AHAFJJNHSCMSFB-UHFFFAOYSA-N 0 3 314.429 4.039 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccc(Cl)cc21)c1c(C)nn(C)c1C ZINC000343097308 1039192991 /nfs/dbraw/zinc/19/29/91/1039192991.db2.gz OPFLBAUGJBCLPH-SJORKVTESA-N 0 3 317.864 4.419 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cccc2cccnc21)c1ccccc1 ZINC000657741218 1039201620 /nfs/dbraw/zinc/20/16/20/1039201620.db2.gz FOGGSKWPQLXNJJ-SFTDATJTSA-N 0 3 320.436 4.083 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000343106681 1039202162 /nfs/dbraw/zinc/20/21/62/1039202162.db2.gz LDWYKPHTUHEBCM-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C(C)(C)Cc2ccccc2)c1 ZINC000535419080 1039205526 /nfs/dbraw/zinc/20/55/26/1039205526.db2.gz MSOHXBJPJHBSOA-UHFFFAOYSA-N 0 3 324.468 4.264 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(CC(C)C)CCC2)c1 ZINC000535415215 1039207696 /nfs/dbraw/zinc/20/76/96/1039207696.db2.gz UBHVAJKOWCNYKR-UHFFFAOYSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000657743595 1039209930 /nfs/dbraw/zinc/20/99/30/1039209930.db2.gz BQOBCPYCKNWKEE-VQTJNVASSA-N 0 3 309.409 4.281 20 0 DIADHN COC(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001213166875 1039219834 /nfs/dbraw/zinc/21/98/34/1039219834.db2.gz WUYVDUGSNNNVFR-UHFFFAOYSA-N 0 3 302.349 4.048 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1ccc2[nH]ccc2c1)c1ccccc1 ZINC000657745260 1039230451 /nfs/dbraw/zinc/23/04/51/1039230451.db2.gz ILVWJHTZIFJLLV-VQTJNVASSA-N 0 3 308.425 4.016 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccc(C)cc2Cl)C12CCC2 ZINC001167662667 1039235428 /nfs/dbraw/zinc/23/54/28/1039235428.db2.gz ALTGZTXYXWZNPQ-IAGOWNOFSA-N 0 3 307.865 4.428 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCSCc2ccccc2)on1 ZINC000535583649 1039247505 /nfs/dbraw/zinc/24/75/05/1039247505.db2.gz UPWWVZSHNZCFFR-KRWDZBQOSA-N 0 3 316.470 4.443 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc2cnccc2c1)c1ccccc1 ZINC000657747758 1039253622 /nfs/dbraw/zinc/25/36/22/1039253622.db2.gz RWNMYLCBDSYVMG-RTWAWAEBSA-N 0 3 320.436 4.083 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccnc2ccccc12)c1ccccc1 ZINC000657748535 1039257399 /nfs/dbraw/zinc/25/73/99/1039257399.db2.gz UQNGBXRNBOXRNS-RTWAWAEBSA-N 0 3 320.436 4.083 20 0 DIADHN CCOc1c(Cl)cc(CN(C)[C@H]2CCCOC2)cc1Cl ZINC001233106566 1039268460 /nfs/dbraw/zinc/26/84/60/1039268460.db2.gz FOPBASNTTXVVFB-LBPRGKRZSA-N 0 3 318.244 4.003 20 0 DIADHN Cc1scc(CNCc2cccc(Br)c2)c1C ZINC000399993572 1039275237 /nfs/dbraw/zinc/27/52/37/1039275237.db2.gz NJDVAYVVYUJBQL-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1cc2cc(Cl)ccc2o1 ZINC000539495792 1039277160 /nfs/dbraw/zinc/27/71/60/1039277160.db2.gz DYGOJMGBCWBKKM-GFCCVEGCSA-N 0 3 315.800 4.429 20 0 DIADHN CC(C)[C@@H](NCc1cccc(CO)c1)c1cc2ccccc2o1 ZINC000539547445 1039287192 /nfs/dbraw/zinc/28/71/92/1039287192.db2.gz PRMNVTGVQCAQHU-HXUWFJFHSA-N 0 3 309.409 4.412 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccccc1)c1cccc(F)c1F ZINC000539558019 1039288352 /nfs/dbraw/zinc/28/83/52/1039288352.db2.gz SNBFFCJKRQKQNN-IXDGSTSKSA-N 0 3 316.395 4.061 20 0 DIADHN CCCOc1cc(CN[C@H](C)c2ccsc2)ccc1OC ZINC000669831268 1039291349 /nfs/dbraw/zinc/29/13/49/1039291349.db2.gz OIOFUGXDQXWMQI-CYBMUJFWSA-N 0 3 305.443 4.396 20 0 DIADHN C[C@H](NC[C@@H](c1cccc(F)c1)N(C)C)c1cccc(F)c1F ZINC000539551954 1039291620 /nfs/dbraw/zinc/29/16/20/1039291620.db2.gz BGMUTRTVOTWEFQ-SJCJKPOMSA-N 0 3 322.374 4.057 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](CC(C)C)c2ccccc2C)c1 ZINC000155936885 1039294593 /nfs/dbraw/zinc/29/45/93/1039294593.db2.gz CCCNWPFOLWLELB-FQEVSTJZSA-N 0 3 324.468 4.232 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2csc(-c3ccsc3)n2)C1(C)C ZINC000539589127 1039295916 /nfs/dbraw/zinc/29/59/16/1039295916.db2.gz LPTPRORNKYOMTC-ZLKJLUDKSA-N 0 3 322.499 4.021 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(O)c(OCC)c2)cc1 ZINC000319754916 1039305016 /nfs/dbraw/zinc/30/50/16/1039305016.db2.gz JPNBWOVVPRYKGB-CQSZACIVSA-N 0 3 315.413 4.040 20 0 DIADHN C[C@@H](NCCOC(C)(C)C)c1cc(Cl)ccc1OC(F)F ZINC000539624862 1039305628 /nfs/dbraw/zinc/30/56/28/1039305628.db2.gz BPOBCYDQQHXATG-SNVBAGLBSA-N 0 3 321.795 4.407 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCCc3c2cnn3C)c2ccccc21 ZINC000278268891 1039337001 /nfs/dbraw/zinc/33/70/01/1039337001.db2.gz OTYGWCMPSVMKBZ-UHOSZYNNSA-N 0 3 309.457 4.272 20 0 DIADHN C[C@@H](NCCSCc1ccccc1F)c1cccnc1Cl ZINC000158776663 1039344924 /nfs/dbraw/zinc/34/49/24/1039344924.db2.gz UVZADPQKYCTAAL-GFCCVEGCSA-N 0 3 324.852 4.458 20 0 DIADHN CSc1ccc([C@@H](C)N2CCC[C@]3(CCOC3)C2)cc1F ZINC000449684102 1039346861 /nfs/dbraw/zinc/34/68/61/1039346861.db2.gz QQKAKLCNMOJWMB-DYVFJYSZSA-N 0 3 309.450 4.111 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000539828791 1039356672 /nfs/dbraw/zinc/35/66/72/1039356672.db2.gz QIXBWMGKIZDUBT-QGZVFWFLSA-N 0 3 315.486 4.184 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000539829078 1039358925 /nfs/dbraw/zinc/35/89/25/1039358925.db2.gz VKYBXUGMCNWWPD-CQSZACIVSA-N 0 3 303.475 4.040 20 0 DIADHN CCn1nccc1CN(C)[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000539850180 1039368729 /nfs/dbraw/zinc/36/87/29/1039368729.db2.gz CUSWDTRFRYYLBV-UONOGXRCSA-N 0 3 305.853 4.180 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2Cc2cnc(N(C)C)s2)c1 ZINC000539852254 1039374241 /nfs/dbraw/zinc/37/42/41/1039374241.db2.gz RZOQUQRPWICIOH-QGZVFWFLSA-N 0 3 315.486 4.163 20 0 DIADHN CCOC[C@H]1CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000539862707 1039375625 /nfs/dbraw/zinc/37/56/25/1039375625.db2.gz UPYRJVVBPRLFAS-AWEZNQCLSA-N 0 3 308.491 4.049 20 0 DIADHN C[C@H](c1ccncc1)N(C)CCOc1cccc2ccccc21 ZINC000539880958 1039380485 /nfs/dbraw/zinc/38/04/85/1039380485.db2.gz GZSXXKAPUBTAFR-MRXNPFEDSA-N 0 3 306.409 4.307 20 0 DIADHN CC(C)CCC1CCN(Cc2cnn(CC(F)(F)F)c2)CC1 ZINC000539927929 1039391706 /nfs/dbraw/zinc/39/17/06/1039391706.db2.gz XVVKVHODQHAYTR-UHFFFAOYSA-N 0 3 317.399 4.094 20 0 DIADHN CC(C)n1ccc(CN[C@H](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000583599320 1039397210 /nfs/dbraw/zinc/39/72/10/1039397210.db2.gz HLOIDQZOEZDLNP-ZIAGYGMSSA-N 0 3 305.853 4.399 20 0 DIADHN CC[C@@H](C)c1ccc(NC(=O)CN2CCC[C@H]2C2CC2)cc1 ZINC000728572193 1039405181 /nfs/dbraw/zinc/40/51/81/1039405181.db2.gz XWBUDQQOOZNLBX-KDOFPFPSSA-N 0 3 300.446 4.013 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000540056329 1039409506 /nfs/dbraw/zinc/40/95/06/1039409506.db2.gz NYVZHRQUQSNZQC-RISCZKNCSA-N 0 3 306.356 4.383 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@]3(CCCc4ccccc43)C2)o1 ZINC000540052637 1039410620 /nfs/dbraw/zinc/41/06/20/1039410620.db2.gz DHUYFGAQCVESPP-OXJNMPFZSA-N 0 3 310.441 4.414 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnc3)cc2)s1 ZINC000126938134 1039413979 /nfs/dbraw/zinc/41/39/79/1039413979.db2.gz URTOLIQORVGANH-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN CCSC[C@H](C)NCc1cc(Br)ccc1Cl ZINC000300351075 1039419766 /nfs/dbraw/zinc/41/97/66/1039419766.db2.gz BUAPSDGTCIXKLR-VIFPVBQESA-N 0 3 322.699 4.334 20 0 DIADHN COc1cccc(C2(NCc3cc(F)cc(OC)c3)CCC2)c1 ZINC000657780078 1039419871 /nfs/dbraw/zinc/41/98/71/1039419871.db2.gz ZIFANOFCDVTHQO-UHFFFAOYSA-N 0 3 315.388 4.012 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H]2CC(C)(C)c3ccccc32)cc1 ZINC000540144061 1039424357 /nfs/dbraw/zinc/42/43/57/1039424357.db2.gz LMVZHFBVEXRGCS-WOJBJXKFSA-N 0 3 309.453 4.295 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1nc(-c2ccccc2)cs1 ZINC000540163044 1039429278 /nfs/dbraw/zinc/42/92/78/1039429278.db2.gz JDVYSRPCICTBKB-DOMZBBRYSA-N 0 3 324.453 4.261 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1cnc2ccccc2c1 ZINC000540172055 1039436507 /nfs/dbraw/zinc/43/65/07/1039436507.db2.gz NCNCFNRYFAZYJF-RNODOKPDSA-N 0 3 306.384 4.397 20 0 DIADHN COC[C@@H](N[C@H](c1cccs1)c1ccc(F)cc1)C(C)C ZINC000540169954 1039436915 /nfs/dbraw/zinc/43/69/15/1039436915.db2.gz ATGUABMLLWOWKV-WBVHZDCISA-N 0 3 307.434 4.237 20 0 DIADHN C[C@@H](NCCc1ccc(O)cc1)c1nc(-c2ccccc2)cs1 ZINC000540168341 1039436980 /nfs/dbraw/zinc/43/69/80/1039436980.db2.gz GQNVZZBDEXLWFR-CQSZACIVSA-N 0 3 324.449 4.409 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CCc3c2cccc3Cl)c1 ZINC000540191959 1039444295 /nfs/dbraw/zinc/44/42/95/1039444295.db2.gz VHUAVLQKPMFLES-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1ccccc1OC(F)(F)F ZINC000540185740 1039447818 /nfs/dbraw/zinc/44/78/18/1039447818.db2.gz ZGAMFIMCRQLBPU-QMMMGPOBSA-N 0 3 301.230 4.188 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1C[C@H](O)c1ccc(Cl)cc1 ZINC000780381106 1039451497 /nfs/dbraw/zinc/45/14/97/1039451497.db2.gz ZKOPHOXASJJUIL-QKCSRTOESA-N 0 3 321.770 4.036 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(Cl)cn1)c1ccccc1 ZINC000278422388 1039456299 /nfs/dbraw/zinc/45/62/99/1039456299.db2.gz IRUCKEXLOHAGNA-CXAGYDPISA-N 0 3 304.821 4.163 20 0 DIADHN Cc1ccc([C@@H](C)NCc2nnc(-c3ccc(F)cc3)[nH]2)cc1C ZINC000540219653 1039459752 /nfs/dbraw/zinc/45/97/52/1039459752.db2.gz HENYBSDCSWWYCZ-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccc(F)cc3)[n-]2)cc1C ZINC000540219653 1039459761 /nfs/dbraw/zinc/45/97/61/1039459761.db2.gz HENYBSDCSWWYCZ-CQSZACIVSA-N 0 3 324.403 4.078 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CC(C)(C)c2ccccc21 ZINC000540222239 1039461171 /nfs/dbraw/zinc/46/11/71/1039461171.db2.gz NRIDUXWPCFBNFF-HOTGVXAUSA-N 0 3 301.455 4.102 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nc3ccc(Cl)cc3n2C)o1 ZINC000540261561 1039464109 /nfs/dbraw/zinc/46/41/09/1039464109.db2.gz IESRBOYLLLURLU-GFCCVEGCSA-N 0 3 317.820 4.021 20 0 DIADHN CC(C)[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000540299982 1039469980 /nfs/dbraw/zinc/46/99/80/1039469980.db2.gz FSOABQZNDBLIEI-IPMKNSEASA-N 0 3 315.844 4.285 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@@H]4CCC[C@H]43)nc2c1 ZINC000426525846 1039470227 /nfs/dbraw/zinc/47/02/27/1039470227.db2.gz SGMIISDNNVPSER-GXFFZTMASA-N 0 3 310.319 4.221 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](C)c1cccc(OC(C)C)c1 ZINC000278437300 1039472758 /nfs/dbraw/zinc/47/27/58/1039472758.db2.gz SZSMXRZORSWZLC-ZDUSSCGKSA-N 0 3 302.393 4.167 20 0 DIADHN CC(C)[C@@H](NCc1cccc(N(C)C)n1)c1ccc(Cl)cc1 ZINC000540312514 1039476796 /nfs/dbraw/zinc/47/67/96/1039476796.db2.gz IOLFDDLZRORERT-GOSISDBHSA-N 0 3 317.864 4.288 20 0 DIADHN CC[C@@H](NCC(C)(C)c1c(F)cccc1Cl)c1nccn1C ZINC000540358921 1039484087 /nfs/dbraw/zinc/48/40/87/1039484087.db2.gz ARWDEUBTABGMQB-CQSZACIVSA-N 0 3 323.843 4.231 20 0 DIADHN CCOc1ccccc1OCCN[C@H](C)c1cccc(F)c1F ZINC000540431929 1039493264 /nfs/dbraw/zinc/49/32/64/1039493264.db2.gz JDUBPDSMRAYCEM-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN COc1cccc(CCCN[C@H](C)c2c(F)cccc2OC)c1 ZINC000540460045 1039496363 /nfs/dbraw/zinc/49/63/63/1039496363.db2.gz BGQLKSQHYHCIFR-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN COCc1cc(CN[C@H](C)c2cc3c(s2)CCC3)ccc1F ZINC000151206647 1039513037 /nfs/dbraw/zinc/51/30/37/1039513037.db2.gz IRLDSNBUYZHQSF-GFCCVEGCSA-N 0 3 319.445 4.373 20 0 DIADHN Fc1ccc(CN[C@H](c2cccnc2)C2CC2)cc1C(F)(F)F ZINC000536165244 1039513659 /nfs/dbraw/zinc/51/36/59/1039513659.db2.gz JEBMMRQURYZOED-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN CC(C)(C)C[C@H](NC[C@@](C)(O)C(F)(F)F)c1ccc(F)cc1 ZINC000540605786 1039524826 /nfs/dbraw/zinc/52/48/26/1039524826.db2.gz FBCNJJWJMKIYCN-DZGCQCFKSA-N 0 3 321.358 4.206 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NC1(c2ccccc2C)CC1 ZINC000647316364 1039525927 /nfs/dbraw/zinc/52/59/27/1039525927.db2.gz AUWZNEVCRPVZQA-KRWDZBQOSA-N 0 3 311.400 4.409 20 0 DIADHN Cc1cc(CN[C@H](CN(C)C)c2ccc(F)cc2)ccc1Cl ZINC000583986708 1039536584 /nfs/dbraw/zinc/53/65/84/1039536584.db2.gz FOPZLFLPHGLDBS-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCN(c3ccc(Cl)cc3)C2)o1 ZINC000540662235 1039540069 /nfs/dbraw/zinc/54/00/69/1039540069.db2.gz ONIJOFWNHFMYBV-ZFWWWQNUSA-N 0 3 304.821 4.171 20 0 DIADHN CN(Cc1cc[nH]n1)[C@H]1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000540694392 1039547525 /nfs/dbraw/zinc/54/75/25/1039547525.db2.gz YGDGJHZLIBNENJ-CVEARBPZSA-N 0 3 323.362 4.197 20 0 DIADHN COc1cc(CNC(C)(C)c2ccc3ccccc3c2)ccn1 ZINC000626116045 1039570200 /nfs/dbraw/zinc/57/02/00/1039570200.db2.gz OIGXYLUBRDGBKR-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN Cc1nc(F)ccc1CN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000278587898 1039570663 /nfs/dbraw/zinc/57/06/63/1039570663.db2.gz ZDFHWQZYWBBXDQ-BBATYDOGSA-N 0 3 302.368 4.081 20 0 DIADHN CC[C@@H](NCc1cncc(Br)c1)c1cccs1 ZINC000168673988 1039584340 /nfs/dbraw/zinc/58/43/40/1039584340.db2.gz QQARBXLMMLMRAF-GFCCVEGCSA-N 0 3 311.248 4.147 20 0 DIADHN CC[C@H](NCc1cncc(Br)c1)c1cccs1 ZINC000168673895 1039584483 /nfs/dbraw/zinc/58/44/83/1039584483.db2.gz QQARBXLMMLMRAF-LBPRGKRZSA-N 0 3 311.248 4.147 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccnc3ccccc23)cn1 ZINC000540917798 1039593960 /nfs/dbraw/zinc/59/39/60/1039593960.db2.gz QBHUXWIBNPHROY-UHFFFAOYSA-N 0 3 321.424 4.097 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000584077217 1039594837 /nfs/dbraw/zinc/59/48/37/1039594837.db2.gz FKPUKAZQODQNJW-GFCCVEGCSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@H](C)c1cscn1)CC3 ZINC000540917180 1039595612 /nfs/dbraw/zinc/59/56/12/1039595612.db2.gz VRUVZIVMUDYNCZ-OLZOCXBDSA-N 0 3 311.454 4.141 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(C(F)F)nc1 ZINC000657826037 1039597432 /nfs/dbraw/zinc/59/74/32/1039597432.db2.gz CWSOYFPQCAGPND-ZDUSSCGKSA-N 0 3 320.383 4.407 20 0 DIADHN CC[C@H](C[C@@H](C)CC)NCc1ncc(Br)s1 ZINC000714922136 1039612824 /nfs/dbraw/zinc/61/28/24/1039612824.db2.gz NLAAZFJYFJDTDZ-VHSXEESVSA-N 0 3 305.285 4.210 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@@H](C)N[C@@H]2CCC[C@@H]2F)cc1 ZINC000584127858 1039615598 /nfs/dbraw/zinc/61/55/98/1039615598.db2.gz SGLOSKMHOIPRAQ-XOKHGSTOSA-N 0 3 321.440 4.102 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc(C(=O)OC)cs1)c1ccccc1 ZINC000353501481 1039621983 /nfs/dbraw/zinc/62/19/83/1039621983.db2.gz ITBCGHLOOWVCJA-IUODEOHRSA-N 0 3 303.427 4.337 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2cc3cnccc3o2)cc1 ZINC000657833194 1039630605 /nfs/dbraw/zinc/63/06/05/1039630605.db2.gz PRAKABXQKKQJBS-AWEZNQCLSA-N 0 3 310.397 4.215 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2occc2c1)c1ccccc1 ZINC000584199549 1039638092 /nfs/dbraw/zinc/63/80/92/1039638092.db2.gz LZRDTRJJGFKFBQ-LJQANCHMSA-N 0 3 309.409 4.282 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@H]1C ZINC000248556070 1039638334 /nfs/dbraw/zinc/63/83/34/1039638334.db2.gz KRLOHWMPNOLDSJ-XUJVJEKNSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](NCc1cc(F)ccc1Br)C(C)(C)C(F)F ZINC000657849796 1039699750 /nfs/dbraw/zinc/69/97/50/1039699750.db2.gz MJRFYWSNCOOSBO-QMMMGPOBSA-N 0 3 324.184 4.358 20 0 DIADHN Fc1ccccc1-c1ccc(CN2CCOCC3(CC3)C2)s1 ZINC000541243077 1039645494 /nfs/dbraw/zinc/64/54/94/1039645494.db2.gz DSSMWZVRWVEMHY-UHFFFAOYSA-N 0 3 317.429 4.167 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NCc1ccc2ccccc2n1 ZINC000584225436 1039646214 /nfs/dbraw/zinc/64/62/14/1039646214.db2.gz IZWIMPWZYDFNDS-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN CCc1ccc([C@H](COC)NC2CC(c3ccccc3C)C2)o1 ZINC000541249671 1039650575 /nfs/dbraw/zinc/65/05/75/1039650575.db2.gz RLGIEYNZZBDOGN-RJYAGPCLSA-N 0 3 313.441 4.374 20 0 DIADHN Cc1nn(C)cc1CN1CCCCC[C@@H]1/C=C\c1cccs1 ZINC000541248477 1039650654 /nfs/dbraw/zinc/65/06/54/1039650654.db2.gz XWXWIGSYYLIIJK-DOOKAGJSSA-N 0 3 315.486 4.248 20 0 DIADHN Cc1c(CN2CCCCC[C@H]2/C=C/c2cccs2)cnn1C ZINC000541247548 1039651194 /nfs/dbraw/zinc/65/11/94/1039651194.db2.gz UCNNASIINDJDKP-FVNWOWOISA-N 0 3 315.486 4.248 20 0 DIADHN C[C@@H]1c2c(F)cccc2CCN1CCCCC(=O)OC(C)(C)C ZINC000541348258 1039670739 /nfs/dbraw/zinc/67/07/39/1039670739.db2.gz BQTVVJZPDKYOFI-CQSZACIVSA-N 0 3 321.436 4.257 20 0 DIADHN Cc1ccc([C@H]2CCN([C@H](C)C(=O)Nc3ccccc3C)C2)cc1 ZINC000541393351 1039678632 /nfs/dbraw/zinc/67/86/32/1039678632.db2.gz OMWSQUCYJGLSRV-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@]2(C)CCO[C@H]2C)o1 ZINC000541385467 1039679169 /nfs/dbraw/zinc/67/91/69/1039679169.db2.gz DRBVIROXSZVGNR-SCLBCKFNSA-N 0 3 303.377 4.051 20 0 DIADHN Cc1ccc([C@@H]2CCN([C@@H](C)C(=O)Nc3ccccc3C)C2)cc1 ZINC000541393353 1039679649 /nfs/dbraw/zinc/67/96/49/1039679649.db2.gz OMWSQUCYJGLSRV-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657843694 1039687749 /nfs/dbraw/zinc/68/77/49/1039687749.db2.gz NXPGBXPLOCSVOM-RYUDHWBXSA-N 0 3 306.356 4.440 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1Br)C(C)(C)C(F)F ZINC000657845766 1039693277 /nfs/dbraw/zinc/69/32/77/1039693277.db2.gz OSSYXCGJJATQAM-MRVPVSSYSA-N 0 3 324.184 4.358 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000080973113 1039693338 /nfs/dbraw/zinc/69/33/38/1039693338.db2.gz YDMKYCVTSCZJSI-AAEUAGOBSA-N 0 3 307.800 4.143 20 0 DIADHN Cc1ncc(CN2CCc3ccccc3[C@@H]2Cc2ccccc2)o1 ZINC000628191525 1039697564 /nfs/dbraw/zinc/69/75/64/1039697564.db2.gz NKLZTRUVJUCJNU-NRFANRHFSA-N 0 3 318.420 4.325 20 0 DIADHN CCc1cnc(CNCCc2c[nH]c3ccc(Cl)cc23)s1 ZINC000541547113 1039697805 /nfs/dbraw/zinc/69/78/05/1039697805.db2.gz AGPJUKGTWYZQAK-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccc(F)cc2)c2ccccn2)cc1 ZINC000541565046 1039699923 /nfs/dbraw/zinc/69/99/23/1039699923.db2.gz MSPLHACLVLUFOT-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1ccccc1CCN[C@H](c1ccccc1)c1ccc(F)cn1 ZINC000541564791 1039700665 /nfs/dbraw/zinc/70/06/65/1039700665.db2.gz BQUYJXNRSDDFPW-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3F)cc1OC ZINC000081181379 1039703438 /nfs/dbraw/zinc/70/34/38/1039703438.db2.gz VFZNMEBNWWMOSC-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)Nc2cccc(C)c2)c(C)s1 ZINC000541582554 1039706841 /nfs/dbraw/zinc/70/68/41/1039706841.db2.gz SNKIVSYHBDXEIU-UONOGXRCSA-N 0 3 316.470 4.351 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1cccc(F)c1F ZINC000541567832 1039707716 /nfs/dbraw/zinc/70/77/16/1039707716.db2.gz ROMUVZFTOZFVSR-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)C(=O)c1cccc(CN(C)C)c1 ZINC000081392743 1039714322 /nfs/dbraw/zinc/71/43/22/1039714322.db2.gz NIPRDOREENNZRV-FQEVSTJZSA-N 0 3 324.468 4.218 20 0 DIADHN C[C@@H]([C@H](C)NCc1nc(-c2ccoc2)no1)C1CCCCC1 ZINC000541601961 1039716515 /nfs/dbraw/zinc/71/65/15/1039716515.db2.gz YBCBPGBWXBKZSZ-STQMWFEESA-N 0 3 303.406 4.024 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(N(C)C)cc2)cc1Cl ZINC000160535571 1039731661 /nfs/dbraw/zinc/73/16/61/1039731661.db2.gz COAQRECWZMMXDJ-ZDUSSCGKSA-N 0 3 318.848 4.265 20 0 DIADHN CC(=O)Nc1cccc(CNCc2cc3ccccc3s2)c1 ZINC000131099320 1039733475 /nfs/dbraw/zinc/73/34/75/1039733475.db2.gz PTPXSLQQUWGBIY-UHFFFAOYSA-N 0 3 310.422 4.150 20 0 DIADHN Cc1ccc([C@H](C)CN[C@@H](c2ccccc2)c2cncnc2)cc1 ZINC000541734093 1039735149 /nfs/dbraw/zinc/73/51/49/1039735149.db2.gz HXIWIAXJHDEAIM-UTKZUKDTSA-N 0 3 317.436 4.268 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nnc(-c2ccccc2)s1 ZINC000464682793 1039746295 /nfs/dbraw/zinc/74/62/95/1039746295.db2.gz SXHIZAVGIOHLRL-UONOGXRCSA-N 0 3 301.459 4.216 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1nc(-c2ccsc2)no1 ZINC000464739997 1039750145 /nfs/dbraw/zinc/75/01/45/1039750145.db2.gz QYGARWVJGJYSPJ-GXTWGEPZSA-N 0 3 305.447 4.199 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1nc(-c2ccsc2)no1 ZINC000464740000 1039751595 /nfs/dbraw/zinc/75/15/95/1039751595.db2.gz QYGARWVJGJYSPJ-TZMCWYRMSA-N 0 3 305.447 4.199 20 0 DIADHN c1cc(CNCc2ccc(-c3ccc4c(c3)CCCO4)cc2)c[nH]1 ZINC000086252371 1039759104 /nfs/dbraw/zinc/75/91/04/1039759104.db2.gz FQUNEKRPRFRKMP-UHFFFAOYSA-N 0 3 318.420 4.297 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccc(C(F)F)nc1 ZINC000657856978 1039761167 /nfs/dbraw/zinc/76/11/67/1039761167.db2.gz AJMRONFJPNHUSB-MEBBXXQBSA-N 0 3 306.331 4.496 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C[C@@H](C)C(F)(F)F)[C@@H]2C ZINC000541951534 1039768166 /nfs/dbraw/zinc/76/81/66/1039768166.db2.gz HHZUMONIGAKJRW-GRYCIOLGSA-N 0 3 301.352 4.201 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC001168439669 1039775374 /nfs/dbraw/zinc/77/53/74/1039775374.db2.gz WYQFEJALBPUMHI-CRAIPNDOSA-N 0 3 321.436 4.127 20 0 DIADHN CC(C)c1ccccc1OCCN[C@@H](C)c1ccc(F)cn1 ZINC000089597375 1039775709 /nfs/dbraw/zinc/77/57/09/1039775709.db2.gz LYNHKDSRQSINBU-AWEZNQCLSA-N 0 3 302.393 4.074 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H]2c3ccccc3C[C@H]2C)c1 ZINC000089613936 1039776353 /nfs/dbraw/zinc/77/63/53/1039776353.db2.gz LSDIUJQTYIPYIJ-LAPQFRIASA-N 0 3 311.425 4.288 20 0 DIADHN CCN(Cc1ccc(S(=O)(=O)C(C)C)cc1)C1CCCCC1 ZINC000541982816 1039776517 /nfs/dbraw/zinc/77/65/17/1039776517.db2.gz BOEZSDPISQHRHJ-UHFFFAOYSA-N 0 3 323.502 4.023 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1c3ccccc3C[C@@H]1C)CCO2 ZINC000089614204 1039777099 /nfs/dbraw/zinc/77/70/99/1039777099.db2.gz XOEZSDDBIJZCFI-VIZZQPHQSA-N 0 3 309.409 4.042 20 0 DIADHN C[C@@H]1CN(Cc2nc3ccccc3n2C(F)F)[C@@H]2CCCC[C@@H]21 ZINC000542041860 1039783185 /nfs/dbraw/zinc/78/31/85/1039783185.db2.gz UOTZBHCAUYPODM-UMVBOHGHSA-N 0 3 319.399 4.442 20 0 DIADHN C[C@H](NC1Cc2ccccc2C1)c1nc(-c2ccncc2)cs1 ZINC000090045575 1039788418 /nfs/dbraw/zinc/78/84/18/1039788418.db2.gz ZOIOKMNGXRTGQS-ZDUSSCGKSA-N 0 3 321.449 4.023 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCCC1(C)C)c1ccsc1 ZINC000542104283 1039792957 /nfs/dbraw/zinc/79/29/57/1039792957.db2.gz FUCWICZOILVHAD-HZPDHXFCSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1cccc2c1OCCCO2 ZINC000090546877 1039811264 /nfs/dbraw/zinc/81/12/64/1039811264.db2.gz XWFKKRZVZXFYJJ-ZDUSSCGKSA-N 0 3 303.427 4.069 20 0 DIADHN C[C@H](NCCC[C@@H]1CCCC[C@@H]1O)c1nc2c(s1)CCCC2 ZINC000090544262 1039813296 /nfs/dbraw/zinc/81/32/96/1039813296.db2.gz HQGNUVWXUAIFJK-DZKIICNBSA-N 0 3 322.518 4.004 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](CC)c2ccc(OC)cc2)cc1 ZINC000090843894 1039820074 /nfs/dbraw/zinc/82/00/74/1039820074.db2.gz ONVHQEOBSUESSH-VQTJNVASSA-N 0 3 313.441 4.032 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@@H](CC)c2ccc(F)cc2)cc1 ZINC000090844428 1039821039 /nfs/dbraw/zinc/82/10/39/1039821039.db2.gz WBMCRKUHDIQKGP-RBUKOAKNSA-N 0 3 301.405 4.162 20 0 DIADHN CCCOc1ccc(CN2CCC[C@@H]2c2c(C)n[nH]c2C)cc1 ZINC000091081512 1039822227 /nfs/dbraw/zinc/82/22/27/1039822227.db2.gz OBYCIMCXIHDZJC-GOSISDBHSA-N 0 3 313.445 4.152 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@H](C)c1ccc(F)cn1 ZINC000090881647 1039824675 /nfs/dbraw/zinc/82/46/75/1039824675.db2.gz UKFILDBQFUHYEZ-GFCCVEGCSA-N 0 3 322.811 4.166 20 0 DIADHN CC[C@H](CN[C@@H](c1ccccc1)c1ccccn1)CC(F)F ZINC000660020525 1039826945 /nfs/dbraw/zinc/82/69/45/1039826945.db2.gz FIBXJWWUKHXPKM-KSSFIOAISA-N 0 3 304.384 4.442 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@H]1CCC2(CCCC2)O1 ZINC000669932954 1039832162 /nfs/dbraw/zinc/83/21/62/1039832162.db2.gz WHYFZMIVBRTJQB-HZSPNIEDSA-N 0 3 305.384 4.141 20 0 DIADHN CC(C)COC[C@@H](N[C@H](C)C1CC(F)(F)C1)c1ccco1 ZINC000628210717 1039838587 /nfs/dbraw/zinc/83/85/87/1039838587.db2.gz HDLCALCDOQRWCU-TZMCWYRMSA-N 0 3 301.377 4.017 20 0 DIADHN O=C(Nc1csc2ccccc12)[C@@H]1CCCN1C1CCCC1 ZINC000491446273 1039846932 /nfs/dbraw/zinc/84/69/32/1039846932.db2.gz WKXRATXZJVMAIH-INIZCTEOSA-N 0 3 314.454 4.247 20 0 DIADHN CCCC[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(OC)cc1 ZINC000657867395 1039877088 /nfs/dbraw/zinc/87/70/88/1039877088.db2.gz LVAKTUKEUCTBCG-QZTJIDSGSA-N 0 3 313.445 4.248 20 0 DIADHN Clc1cc(CN[C@@H]2[C@H]3CCO[C@H]3C23CCC3)c(Cl)s1 ZINC000491855601 1039885729 /nfs/dbraw/zinc/88/57/29/1039885729.db2.gz XBGDYENKDDFTNY-YUSALJHKSA-N 0 3 318.269 4.102 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3cnc(C4CC4)s3)C2)cc1 ZINC000093335731 1039892188 /nfs/dbraw/zinc/89/21/88/1039892188.db2.gz JJVYVAYXFOFCAJ-CQSZACIVSA-N 0 3 302.418 4.149 20 0 DIADHN Cc1sc2nc(CN(C(C)C)C3CCCC3)nc(N)c2c1C ZINC000093192836 1039894979 /nfs/dbraw/zinc/89/49/79/1039894979.db2.gz ONSPSTWKVSYTHM-UHFFFAOYSA-N 0 3 318.490 4.043 20 0 DIADHN Cc1ccc(CNC2(Cc3ccccc3Br)CC2)o1 ZINC000492061626 1039912147 /nfs/dbraw/zinc/91/21/47/1039912147.db2.gz LDHHTGWUVCTSSS-UHFFFAOYSA-N 0 3 320.230 4.215 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccsc1)c1nc2c(s1)CCCC2 ZINC000093400052 1039912601 /nfs/dbraw/zinc/91/26/01/1039912601.db2.gz OTESZQDBYLOVGU-NWDGAFQWSA-N 0 3 306.500 4.365 20 0 DIADHN COC1CCC(N(C)Cc2cccc(Cl)c2Cl)CC1 ZINC000093415177 1039913065 /nfs/dbraw/zinc/91/30/65/1039913065.db2.gz CJEHWEJHJUFFOL-UHFFFAOYSA-N 0 3 302.245 4.383 20 0 DIADHN Fc1ccccc1CCN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000117318196 1039914073 /nfs/dbraw/zinc/91/40/73/1039914073.db2.gz RWSUKJQXOOESGW-UHFFFAOYSA-N 0 3 321.399 4.034 20 0 DIADHN CC(C)c1ncc(CN[C@@H](C)[C@@H]2OCCc3sccc32)s1 ZINC000492155523 1039926271 /nfs/dbraw/zinc/92/62/71/1039926271.db2.gz WHRBQXACNBSNEI-NHYWBVRUSA-N 0 3 322.499 4.120 20 0 DIADHN C[C@@H](NCc1cc2ccccc2o1)[C@H]1OCCc2sccc21 ZINC000492261762 1039942286 /nfs/dbraw/zinc/94/22/86/1039942286.db2.gz WEBFAFALUITDKI-KZULUSFZSA-N 0 3 313.422 4.286 20 0 DIADHN COCC[C@H](C)CN[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926577775 1039988546 /nfs/dbraw/zinc/98/85/46/1039988546.db2.gz KIPCJHIZTQGSIY-VHSXEESVSA-N 0 3 324.774 4.077 20 0 DIADHN COc1cc(CN[C@@H](Cc2ccccc2)c2ccccc2)ccn1 ZINC000171140666 1039950628 /nfs/dbraw/zinc/95/06/28/1039950628.db2.gz VLIUQBXFFABMCX-FQEVSTJZSA-N 0 3 318.420 4.164 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C/c1cc2ccccc2o1 ZINC000492823980 1040023263 /nfs/dbraw/zinc/02/32/63/1040023263.db2.gz OXTKXNGIHPZOSC-VAWYXSNFSA-N 0 3 320.392 4.146 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@@H](c2ccncc2)C1 ZINC000685416148 1040038576 /nfs/dbraw/zinc/03/85/76/1040038576.db2.gz CMWZTYLJXFZIQT-OAHLLOKOSA-N 0 3 320.358 4.480 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN1CCCOC[C@H]1C ZINC000685418868 1040038779 /nfs/dbraw/zinc/03/87/79/1040038779.db2.gz QJYHPFFNCCGCMZ-KADHNRKRSA-N 0 3 313.363 4.220 20 0 DIADHN Clc1c2ccccc2sc1CN1CC[C@]2(CCOC2)C1 ZINC000153416866 1040042622 /nfs/dbraw/zinc/04/26/22/1040042622.db2.gz OCNTWZRPHBJSTQ-INIZCTEOSA-N 0 3 307.846 4.167 20 0 DIADHN CCCCCCNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000728595199 1040054134 /nfs/dbraw/zinc/05/41/34/1040054134.db2.gz RFNSHYREIBHBTN-UHFFFAOYSA-N 0 3 318.442 4.209 20 0 DIADHN CC[C@@H](O)CCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493009384 1040057120 /nfs/dbraw/zinc/05/71/20/1040057120.db2.gz NQDCBDWDWPTNCX-QZTJIDSGSA-N 0 3 303.833 4.180 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(C)Cc1ccc(O)cc1 ZINC000685462435 1040058674 /nfs/dbraw/zinc/05/86/74/1040058674.db2.gz LDWJYHPGKZUSMT-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN CCc1cnc(CNCCc2cccc(C(F)(F)F)c2)s1 ZINC000628223401 1040069820 /nfs/dbraw/zinc/06/98/20/1040069820.db2.gz CSJALUMKYQXGGX-UHFFFAOYSA-N 0 3 314.376 4.057 20 0 DIADHN CC[C@H]1C[C@@H](CN2CCCn3c(cc4ccccc43)C2)CCO1 ZINC000685473763 1040070319 /nfs/dbraw/zinc/07/03/19/1040070319.db2.gz CPRZYRXOVBYTIC-LPHOPBHVSA-N 0 3 312.457 4.052 20 0 DIADHN CCCn1c2ccccc2nc1CN(C)C1CCC(F)(F)CC1 ZINC000685473496 1040070585 /nfs/dbraw/zinc/07/05/85/1040070585.db2.gz PXVQRBGUGFPKMT-UHFFFAOYSA-N 0 3 321.415 4.456 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1n[nH]c2ccccc21 ZINC000685474252 1040073941 /nfs/dbraw/zinc/07/39/41/1040073941.db2.gz ABCMGWOMYNVAKE-LJQANCHMSA-N 0 3 309.413 4.155 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1[nH]nc2ccccc21 ZINC000685474252 1040073964 /nfs/dbraw/zinc/07/39/64/1040073964.db2.gz ABCMGWOMYNVAKE-LJQANCHMSA-N 0 3 309.413 4.155 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1ccc(Br)cc1 ZINC000171944543 1040103301 /nfs/dbraw/zinc/10/33/01/1040103301.db2.gz IFZAARYYPKNIQZ-LBPRGKRZSA-N 0 3 305.219 4.037 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1ccccc1CN(C)C ZINC000493108658 1040092902 /nfs/dbraw/zinc/09/29/02/1040092902.db2.gz WZBOATAWJNYKTB-WBVHZDCISA-N 0 3 316.489 4.035 20 0 DIADHN CC[C@@H](N[C@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657901477 1040095069 /nfs/dbraw/zinc/09/50/69/1040095069.db2.gz YJIMUBJSQVMNBS-VHSXEESVSA-N 0 3 324.437 4.488 20 0 DIADHN Fc1cccc([C@@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)c1 ZINC000657904223 1040103889 /nfs/dbraw/zinc/10/38/89/1040103889.db2.gz ITSCPHFBKSOLCY-MOPGFXCFSA-N 0 3 311.400 4.216 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1cc2ccncc2s1 ZINC000657903187 1040104243 /nfs/dbraw/zinc/10/42/43/1040104243.db2.gz FHBPQINCYBHGRQ-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN Fc1cccc([C@H](N[C@H]2COC3(CCC3)C2)c2ccccc2)c1 ZINC000657904233 1040104595 /nfs/dbraw/zinc/10/45/95/1040104595.db2.gz ITSCPHFBKSOLCY-RTBURBONSA-N 0 3 311.400 4.216 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(C(F)F)nc1 ZINC000657905425 1040110367 /nfs/dbraw/zinc/11/03/67/1040110367.db2.gz KKZRFKFWSMNEGK-ABAIWWIYSA-N 0 3 318.367 4.366 20 0 DIADHN Cc1ccc(C(C)(C)C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000177335664 1040119048 /nfs/dbraw/zinc/11/90/48/1040119048.db2.gz QHMCARLUTRBFQE-UHFFFAOYSA-N 0 3 324.468 4.281 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3c4cc(C)ccc4C[C@H]3C)[nH]c2c1 ZINC000493172538 1040121229 /nfs/dbraw/zinc/12/12/29/1040121229.db2.gz AESGMAGQZQWKJO-VLIAUNLRSA-N 0 3 305.425 4.203 20 0 DIADHN COc1cccc2cc(CNCC(C)(C)C(F)(F)F)oc21 ZINC000800889167 1040126971 /nfs/dbraw/zinc/12/69/71/1040126971.db2.gz IJABLVGUZGXUOA-UHFFFAOYSA-N 0 3 301.308 4.120 20 0 DIADHN CC(C)C[C@H]1CCCN(C(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000177698301 1040136862 /nfs/dbraw/zinc/13/68/62/1040136862.db2.gz OKSJEARTSVKSNF-MRXNPFEDSA-N 0 3 317.477 4.038 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCn1ccc2cc(Cl)ccc21 ZINC000685569978 1040136783 /nfs/dbraw/zinc/13/67/83/1040136783.db2.gz LFPTXEYBEBFYGY-CQSZACIVSA-N 0 3 313.832 4.383 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000177860753 1040145657 /nfs/dbraw/zinc/14/56/57/1040145657.db2.gz ULBMJDFEBKYNBK-LLVKDONJSA-N 0 3 317.260 4.300 20 0 DIADHN CCN(Cc1nc(C(C)(C)C)cs1)[C@H]1CCOC(C)(C)C1 ZINC000685590380 1040150081 /nfs/dbraw/zinc/15/00/81/1040150081.db2.gz QCXBPQLTPHIWEL-ZDUSSCGKSA-N 0 3 310.507 4.220 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1ccoc1C ZINC000685613890 1040156561 /nfs/dbraw/zinc/15/65/61/1040156561.db2.gz QOUNTINDHUCHKE-FMIVXFBMSA-N 0 3 307.340 4.383 20 0 DIADHN Cc1cn[nH]c1CN[C@@H](C)c1ccccc1Oc1ccccc1 ZINC000685614700 1040158125 /nfs/dbraw/zinc/15/81/25/1040158125.db2.gz WIOXRKSVIJSZLY-HNNXBMFYSA-N 0 3 307.397 4.361 20 0 DIADHN Cc1c[nH]nc1CNCC1(c2ccc(Cl)cc2Cl)CCC1 ZINC000685619826 1040164694 /nfs/dbraw/zinc/16/46/94/1040164694.db2.gz NIIOFMBYTOTFBU-UHFFFAOYSA-N 0 3 324.255 4.236 20 0 DIADHN c1csc(C(N[C@H]2COC3(CCC3)C2)c2cccs2)c1 ZINC000657920275 1040173263 /nfs/dbraw/zinc/17/32/63/1040173263.db2.gz AMCAYTXBLGUPHV-GFCCVEGCSA-N 0 3 305.468 4.200 20 0 DIADHN c1csc([C@@H](Cc2ccccc2)N[C@H]2CCCn3nccc32)c1 ZINC000657919421 1040173505 /nfs/dbraw/zinc/17/35/05/1040173505.db2.gz RWSQFLAVLXWFAU-DLBZAZTESA-N 0 3 323.465 4.353 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1nccc2ccccc21 ZINC000685645017 1040181655 /nfs/dbraw/zinc/18/16/55/1040181655.db2.gz PAJXXPVXRMQRCB-TXPKVOOTSA-N 0 3 312.457 4.481 20 0 DIADHN CC[C@H](N[C@H]1CCCn2nccc21)c1ccc(OC(F)F)cc1 ZINC000657929571 1040183551 /nfs/dbraw/zinc/18/35/51/1040183551.db2.gz RXNLFTIJGGPQGL-GJZGRUSLSA-N 0 3 321.371 4.060 20 0 DIADHN CCc1ccc([C@@H](NCc2cc(OC)ns2)C(C)C)cc1 ZINC000399235903 1040187619 /nfs/dbraw/zinc/18/76/19/1040187619.db2.gz BHQWDKYTYVVHED-KRWDZBQOSA-N 0 3 304.459 4.201 20 0 DIADHN CCOC1CCC(N[C@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000657934431 1040192599 /nfs/dbraw/zinc/19/25/99/1040192599.db2.gz ANUCRDODOBJGNM-GZNCHQMQSA-N 0 3 324.468 4.417 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(C(F)F)nc2)c1 ZINC000657933372 1040193436 /nfs/dbraw/zinc/19/34/36/1040193436.db2.gz UGHHMUQKMJWVBP-GFCCVEGCSA-N 0 3 306.356 4.187 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000657938078 1040198951 /nfs/dbraw/zinc/19/89/51/1040198951.db2.gz WXHMOMDUIQBQQD-MEDUHNTESA-N 0 3 303.356 4.216 20 0 DIADHN Cc1cnc([C@H](NCCCOc2ccccc2C)C2CC2)s1 ZINC000185275295 1040220634 /nfs/dbraw/zinc/22/06/34/1040220634.db2.gz PFOXWDZTGHWUET-QGZVFWFLSA-N 0 3 316.470 4.270 20 0 DIADHN CC(=O)Nc1cccc(CN(C)Cc2csc3ccccc23)c1 ZINC000101544812 1040232483 /nfs/dbraw/zinc/23/24/83/1040232483.db2.gz DXJXLKJTLMRYHG-UHFFFAOYSA-N 0 3 324.449 4.492 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](C)c1nnc(C)s1 ZINC000657974616 1040239655 /nfs/dbraw/zinc/23/96/55/1040239655.db2.gz QDXJFVMQIOSKTA-IINYFYTJSA-N 0 3 309.866 4.172 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000294387662 1040239773 /nfs/dbraw/zinc/23/97/73/1040239773.db2.gz JJQJKSYMCBCGPI-NHYWBVRUSA-N 0 3 303.431 4.041 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccsc1 ZINC000294387653 1040239975 /nfs/dbraw/zinc/23/99/75/1040239975.db2.gz JJQJKSYMCBCGPI-ABAIWWIYSA-N 0 3 303.431 4.041 20 0 DIADHN COc1cc(F)cc(CNCc2cc3ccccc3s2)c1 ZINC000093970422 1040251233 /nfs/dbraw/zinc/25/12/33/1040251233.db2.gz ZXIXEXFUPHDANA-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1ccccc1N(C)C ZINC000093947113 1040252075 /nfs/dbraw/zinc/25/20/75/1040252075.db2.gz YIJLJDKBRCMLIH-KDOFPFPSSA-N 0 3 310.441 4.099 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2CCCSCC2)c2ccccn2)cc1 ZINC000657998490 1040257158 /nfs/dbraw/zinc/25/71/58/1040257158.db2.gz LBYGJSRDZSPTEO-MJGOQNOKSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@@H](N[C@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657996612 1040259383 /nfs/dbraw/zinc/25/93/83/1040259383.db2.gz WLKFZTVTPCDLSZ-BDAKNGLRSA-N 0 3 310.410 4.098 20 0 DIADHN CC[C@H](NCc1cnc(C(F)(F)F)nc1)c1cccc(C)c1 ZINC000658083687 1040294614 /nfs/dbraw/zinc/29/46/14/1040294614.db2.gz ZOMOVAABKCTKAR-AWEZNQCLSA-N 0 3 309.335 4.045 20 0 DIADHN c1ccc(COc2ccccc2CNC[C@@H]2CCC=CO2)cc1 ZINC000309840965 1040299488 /nfs/dbraw/zinc/29/94/88/1040299488.db2.gz WCAXLXFTCSBESN-IBGZPJMESA-N 0 3 309.409 4.048 20 0 DIADHN Cc1nc(C)c(CN[C@H](C)Cc2ccccc2C(F)(F)F)o1 ZINC000628236313 1040323679 /nfs/dbraw/zinc/32/36/79/1040323679.db2.gz XKVJLWGPUQRCMZ-SNVBAGLBSA-N 0 3 312.335 4.031 20 0 DIADHN COC[C@@H](N[C@H](C)c1ccc(C)cc1C)c1cccc(OC)c1 ZINC000658165973 1040340396 /nfs/dbraw/zinc/34/03/96/1040340396.db2.gz UAILDEAIIMZIRA-OXQOHEQNSA-N 0 3 313.441 4.350 20 0 DIADHN Cc1cc(CN(Cc2ccccn2)C(C)C)c2ccccc2n1 ZINC000605575881 1040340535 /nfs/dbraw/zinc/34/05/35/1040340535.db2.gz ORCZIIJMKFDRSD-UHFFFAOYSA-N 0 3 305.425 4.349 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1cc(COC)cs1)CCC2 ZINC000658208063 1040355092 /nfs/dbraw/zinc/35/50/92/1040355092.db2.gz FTTOOAMOMHZTMH-ZDUSSCGKSA-N 0 3 322.499 4.081 20 0 DIADHN COCc1csc(CN[C@@H](C)c2cnc3ccsc3c2)c1 ZINC000658210465 1040358360 /nfs/dbraw/zinc/35/83/60/1040358360.db2.gz ZMXHHCDOSPHMCA-NSHDSACASA-N 0 3 318.467 4.355 20 0 DIADHN COCc1csc(CN[C@H](C)c2nccc3ccccc32)c1 ZINC000658208955 1040358588 /nfs/dbraw/zinc/35/85/88/1040358588.db2.gz AIRCPGZZMQVKFN-CYBMUJFWSA-N 0 3 312.438 4.294 20 0 DIADHN COCc1csc(CN[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000658209587 1040358757 /nfs/dbraw/zinc/35/87/57/1040358757.db2.gz HNJMDUYRBCOCQP-QGZVFWFLSA-N 0 3 317.454 4.070 20 0 DIADHN COc1ccc([C@H](NCCCC2CCC2)c2ccccn2)cc1 ZINC000658224259 1040367053 /nfs/dbraw/zinc/36/70/53/1040367053.db2.gz RTOXUDLURIVGSG-FQEVSTJZSA-N 0 3 310.441 4.350 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccc(Br)cc1F ZINC000658216233 1040375449 /nfs/dbraw/zinc/37/54/49/1040375449.db2.gz AOLBVOUXBGLUPS-UFBFGSQYSA-N 0 3 322.168 4.284 20 0 DIADHN COC[C@@H](NC[C@]1(C)CC1(F)F)c1cccc(C(F)(F)F)c1 ZINC000658217515 1040375787 /nfs/dbraw/zinc/37/57/87/1040375787.db2.gz FZXPBVCHWLEBSA-OLZOCXBDSA-N 0 3 323.305 4.028 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@@H]1CCc2ccc(O)cc21 ZINC000658235409 1040379592 /nfs/dbraw/zinc/37/95/92/1040379592.db2.gz DDPKHKBETURQIW-RTBURBONSA-N 0 3 309.409 4.055 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@H](c1ccc(F)cc1)C1CC1 ZINC000658267942 1040392629 /nfs/dbraw/zinc/39/26/29/1040392629.db2.gz IUZQSOLXJJIAQH-IBGZPJMESA-N 0 3 313.420 4.068 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccccc2)[C@@H](O)C(C)C)cs1 ZINC000658286521 1040406454 /nfs/dbraw/zinc/40/64/54/1040406454.db2.gz ALNWUZSHHNTURY-WBAXXEDZSA-N 0 3 303.471 4.465 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2ccccc2)[C@H](O)C(C)C)cs1 ZINC000658286510 1040406861 /nfs/dbraw/zinc/40/68/61/1040406861.db2.gz ALNWUZSHHNTURY-JCGIZDLHSA-N 0 3 303.471 4.465 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@@H]1CCCc2sccc21)c1ccccc1 ZINC000658289017 1040406930 /nfs/dbraw/zinc/40/69/30/1040406930.db2.gz VFQDQAXGUHLRQK-NEWSRXKRSA-N 0 3 315.482 4.473 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1ccccc1Br ZINC000162121984 1040408689 /nfs/dbraw/zinc/40/86/89/1040408689.db2.gz YUMJEQJXYQSRBH-QWRGUYRKSA-N 0 3 302.281 4.241 20 0 DIADHN Cc1cc(F)ncc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000892430629 1040410146 /nfs/dbraw/zinc/41/01/46/1040410146.db2.gz HKXRXWKMEGTOFT-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN C[C@H](NC[C@@H]1CCOC1(C)C)c1csc(-c2ccccc2)n1 ZINC000658329892 1040413563 /nfs/dbraw/zinc/41/35/63/1040413563.db2.gz ICBTZRKIBDSYAN-ZFWWWQNUSA-N 0 3 316.470 4.276 20 0 DIADHN COc1cccc(C2(N[C@@H](C)c3sc(C)nc3C)CCC2)c1 ZINC000658323481 1040421191 /nfs/dbraw/zinc/42/11/91/1040421191.db2.gz MAASAKSMELUUNO-ZDUSSCGKSA-N 0 3 316.470 4.499 20 0 DIADHN COc1ccc([C@@H](C)NC2(c3cccc(OC)c3)CCC2)cc1 ZINC000658324029 1040422698 /nfs/dbraw/zinc/42/26/98/1040422698.db2.gz WTXHFVORKBYWFB-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)N[C@H](C)c1nccn1C ZINC000658335894 1040428432 /nfs/dbraw/zinc/42/84/32/1040428432.db2.gz IYEWGWOPZNNVSQ-TZMCWYRMSA-N 0 3 323.893 4.295 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000658364108 1040435164 /nfs/dbraw/zinc/43/51/64/1040435164.db2.gz ZRERGDJTINVUCV-PMUMKWKESA-N 0 3 301.455 4.181 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cccc(OC(F)F)c2)c1C ZINC000658353450 1040437371 /nfs/dbraw/zinc/43/73/71/1040437371.db2.gz MXRCSDFOQXLKFL-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(F)cc2N(C)C)c1C ZINC000658353434 1040438044 /nfs/dbraw/zinc/43/80/44/1040438044.db2.gz MOSKBERDUNSSHN-AWEZNQCLSA-N 0 3 316.420 4.060 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1ccccc1 ZINC000658362832 1040439771 /nfs/dbraw/zinc/43/97/71/1040439771.db2.gz SXJVRVHAAYZHLA-DCGLDWPTSA-N 0 3 321.367 4.061 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1cc2ccncc2s1)c1ccccc1 ZINC000658358807 1040441118 /nfs/dbraw/zinc/44/11/18/1040441118.db2.gz ZRLHOLHMNIIQFA-JCGVRSQUSA-N 0 3 312.438 4.069 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccnn2-c2ccccc2)c1C ZINC000658347017 1040443075 /nfs/dbraw/zinc/44/30/75/1040443075.db2.gz VNNPBRYJJJODSN-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H]1CCCc2c(Cl)cccc21)c1ccccc1 ZINC000658363531 1040443769 /nfs/dbraw/zinc/44/37/69/1040443769.db2.gz WHDNFAVFTYDDQI-MJXNMMHHSA-N 0 3 315.844 4.429 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccccc2)[C@@H](C)O)cc(C)c1F ZINC000658362450 1040443795 /nfs/dbraw/zinc/44/37/95/1040443795.db2.gz RKDSYWOKNFEVRF-KHYOSLBOSA-N 0 3 301.405 4.215 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](C)CC(F)F)c2ccccn2)cc1 ZINC000926625738 1040484045 /nfs/dbraw/zinc/48/40/45/1040484045.db2.gz DTBUOLAMSZQQDN-RDTXWAMCSA-N 0 3 304.384 4.360 20 0 DIADHN CC(C)[C@@H](NCc1cn2ccnc2s1)c1ccc(F)cc1 ZINC000892469830 1040492367 /nfs/dbraw/zinc/49/23/67/1040492367.db2.gz XMWMETBTVCPHEF-OAHLLOKOSA-N 0 3 303.406 4.022 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000658707919 1040516942 /nfs/dbraw/zinc/51/69/42/1040516942.db2.gz NEFCWVRMUGSOAJ-QGZVFWFLSA-N 0 3 316.489 4.186 20 0 DIADHN Cc1nc(C)c(CNCCn2c3ccccc3c3ccccc32)o1 ZINC000628237592 1040523100 /nfs/dbraw/zinc/52/31/00/1040523100.db2.gz SBULGYNIUVDATQ-UHFFFAOYSA-N 0 3 319.408 4.189 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cnc2ccccc2n1 ZINC000628239046 1040530240 /nfs/dbraw/zinc/53/02/40/1040530240.db2.gz AJFFKTABIDUYTD-QVKFZJNVSA-N 0 3 317.436 4.433 20 0 DIADHN Cc1cc(CN2CCC(F)(F)[C@@H](C)C2)cc(OC(F)(F)F)c1 ZINC001143462241 1040532382 /nfs/dbraw/zinc/53/23/82/1040532382.db2.gz FDBYMAHFHSHJIE-NSHDSACASA-N 0 3 323.305 4.371 20 0 DIADHN CCCCN(C)[C@@H](C)C(=O)NC(c1ccccc1)c1ccccc1 ZINC000023991735 1040541732 /nfs/dbraw/zinc/54/17/32/1040541732.db2.gz KYRUQILTVZLYRP-KRWDZBQOSA-N 0 3 324.468 4.013 20 0 DIADHN CC[C@H](C[C@@](C)(O)CC)N[C@@H](c1ccccc1)c1ccccn1 ZINC000924547850 1040628259 /nfs/dbraw/zinc/62/82/59/1040628259.db2.gz AAJKVMUDUAIWMN-HOJAQTOUSA-N 0 3 312.457 4.090 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2N[C@H](C)Cc1ccc(F)cc1C ZINC000926683245 1040650332 /nfs/dbraw/zinc/65/03/32/1040650332.db2.gz KWRDZXINLCGISQ-MLGOLLRUSA-N 0 3 302.393 4.029 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCO2)c1coc2ccccc21 ZINC000924550574 1040652446 /nfs/dbraw/zinc/65/24/46/1040652446.db2.gz AHNBFZHWOOJADT-ZDUSSCGKSA-N 0 3 309.365 4.055 20 0 DIADHN C[C@@H](N[C@@H]1CCOc2ccccc21)c1ccc(C(C)(C)O)cc1 ZINC000924563314 1040759790 /nfs/dbraw/zinc/75/97/90/1040759790.db2.gz JUAQAMDEDAGDGE-RDTXWAMCSA-N 0 3 311.425 4.088 20 0 DIADHN C[C@H](N[C@@H]1CCOc2ccccc21)c1ccc(C(C)(C)O)cc1 ZINC000924563308 1040761267 /nfs/dbraw/zinc/76/12/67/1040761267.db2.gz JUAQAMDEDAGDGE-KBXCAEBGSA-N 0 3 311.425 4.088 20 0 DIADHN CCN(Cc1[nH]ncc1C)[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000685836164 1040773737 /nfs/dbraw/zinc/77/37/37/1040773737.db2.gz SDUFPOOJYBAFGR-HXUWFJFHSA-N 0 3 323.415 4.469 20 0 DIADHN C[C@H](NCc1ccc(-n2ccnc2)cc1)c1cc(F)cc(F)c1 ZINC000177806549 1040787377 /nfs/dbraw/zinc/78/73/77/1040787377.db2.gz OJAPZMKLTNZWJZ-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN CCOCCCCN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414073229 1040819449 /nfs/dbraw/zinc/81/94/49/1040819449.db2.gz NBXIGNWMJUQZJJ-AWEZNQCLSA-N 0 3 304.459 4.277 20 0 DIADHN C[C@@H](NCC1(C)CCOCC1)c1csc(-c2ccccc2)n1 ZINC000414081891 1040834056 /nfs/dbraw/zinc/83/40/56/1040834056.db2.gz BSUHKYSMTHWVPC-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1csc(-c2ccccc2)n1 ZINC000414085720 1040837790 /nfs/dbraw/zinc/83/77/90/1040837790.db2.gz GXAOFBPDTKPUSA-UONOGXRCSA-N 0 3 318.486 4.258 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)c1C ZINC000926733332 1040838195 /nfs/dbraw/zinc/83/81/95/1040838195.db2.gz MARNQMJRACAPGD-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN COc1ccc(C2(N[C@H](C)c3ccc(OC)cc3C)CC2)cc1 ZINC000414090343 1040844923 /nfs/dbraw/zinc/84/49/23/1040844923.db2.gz BZOONKTUALOLBX-OAHLLOKOSA-N 0 3 311.425 4.352 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN(Cc2cccc3ccccc32)C1 ZINC000613267474 1040852283 /nfs/dbraw/zinc/85/22/83/1040852283.db2.gz PWQJWVDFRVTMEI-MRXNPFEDSA-N 0 3 323.358 4.383 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H]2CC2(F)F)c2ccccn2)cc1 ZINC000926735487 1040854824 /nfs/dbraw/zinc/85/48/24/1040854824.db2.gz FUEPREMUAKDWRA-NVXWUHKLSA-N 0 3 302.368 4.114 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000414099763 1040856940 /nfs/dbraw/zinc/85/69/40/1040856940.db2.gz KXNRXTMRPQZVMW-IPYPFGDCSA-N 0 3 302.443 4.028 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(F)cc(OC)c2)c(C)c1 ZINC000414102009 1040863333 /nfs/dbraw/zinc/86/33/33/1040863333.db2.gz MLUQZOAZZHQANM-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1csc(-c2ccccn2)n1 ZINC000173189614 1040875338 /nfs/dbraw/zinc/87/53/38/1040875338.db2.gz ISJGAYXLFHRGQQ-CJNGLKHVSA-N 0 3 301.459 4.216 20 0 DIADHN C[C@H](NC[C@@H]1CCCS1)c1csc(-c2ccccc2)n1 ZINC000414112101 1040879809 /nfs/dbraw/zinc/87/98/09/1040879809.db2.gz MUURNWNDQRRKMN-JSGCOSHPSA-N 0 3 304.484 4.356 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414113576 1040883605 /nfs/dbraw/zinc/88/36/05/1040883605.db2.gz KUOSIWZFPVZFES-SUNYJGFJSA-N 0 3 318.486 4.258 20 0 DIADHN COc1cc2c(cc1OC)CN([C@H]1CCCc3ccccc31)CC2 ZINC000613351152 1040892957 /nfs/dbraw/zinc/89/29/57/1040892957.db2.gz HFINVPOBODQHEV-IBGZPJMESA-N 0 3 323.436 4.140 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@H](C)CC2CCCC2)c1 ZINC000536220720 1040922222 /nfs/dbraw/zinc/92/22/22/1040922222.db2.gz MXSVPHXLRBEEQU-OAHLLOKOSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1cc2n(n1)CCC2 ZINC000414149277 1040926571 /nfs/dbraw/zinc/92/65/71/1040926571.db2.gz OGEMNAJFZVGSHS-XNRPHZJLSA-N 0 3 315.848 4.080 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccsc3)no2)[C@H]2CCCC[C@H]12 ZINC000613415659 1040930897 /nfs/dbraw/zinc/93/08/97/1040930897.db2.gz JCCYIHHOBQDFCI-YUELXQCFSA-N 0 3 317.458 4.199 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000414153649 1040931180 /nfs/dbraw/zinc/93/11/80/1040931180.db2.gz ZWKTZCWWSSNBFH-YUELXQCFSA-N 0 3 302.443 4.028 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2C[C@H]2c2ccccc2F)cc1Cl ZINC000414160085 1040935093 /nfs/dbraw/zinc/93/50/93/1040935093.db2.gz CGZALPOVUUXGEJ-YNZJMPHRSA-N 0 3 320.795 4.089 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC1(Cc2cccc(Cl)c2)CC1 ZINC000414181497 1040960585 /nfs/dbraw/zinc/96/05/85/1040960585.db2.gz MHMICNFUMHTIAJ-CQSZACIVSA-N 0 3 317.864 4.230 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCO3)c1 ZINC000178155662 1040963813 /nfs/dbraw/zinc/96/38/13/1040963813.db2.gz IXIGVHAKXIDCLA-HUUCEWRRSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc(OC2CCN(C/C=C\c3ccccc3)CC2)cc1 ZINC000613456708 1040964439 /nfs/dbraw/zinc/96/44/39/1040964439.db2.gz NRUKHWWWCLTLFY-YVMONPNESA-N 0 3 323.436 4.252 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN(C2CC2)C2CCC(C)CC2)c1 ZINC000613474584 1040986764 /nfs/dbraw/zinc/98/67/64/1040986764.db2.gz JLRCKIWTRAUBEZ-UHFFFAOYSA-N 0 3 314.473 4.285 20 0 DIADHN COC(C)(C)CNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000174594145 1040989040 /nfs/dbraw/zinc/98/90/40/1040989040.db2.gz VGSHFSZNTOJXDU-UHFFFAOYSA-N 0 3 316.426 4.071 20 0 DIADHN Cc1cc([C@@H](C)NCC2(Cc3cccc(Cl)c3)CC2)nn1C ZINC000414200194 1040989558 /nfs/dbraw/zinc/98/95/58/1040989558.db2.gz ZLNJILYWYUQLCY-CQSZACIVSA-N 0 3 317.864 4.055 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@H](C)c1ccccc1Cl ZINC000174659841 1040992714 /nfs/dbraw/zinc/99/27/14/1040992714.db2.gz WJIDALDPMHONQQ-CQSZACIVSA-N 0 3 316.832 4.328 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)C[C@H]1COc2ccccc2O1 ZINC000613487053 1040998678 /nfs/dbraw/zinc/99/86/78/1040998678.db2.gz WBVQNHPDPKSKET-BBRMVZONSA-N 0 3 317.816 4.173 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3nn(C)cc32)c2ccccc12 ZINC000414208799 1041002339 /nfs/dbraw/zinc/00/23/39/1041002339.db2.gz JSCIHIUEUCLLPK-IBGZPJMESA-N 0 3 305.425 4.049 20 0 DIADHN FC1(F)CC[C@H](NCc2ccc(Br)cc2Cl)C1 ZINC000310575593 1041007335 /nfs/dbraw/zinc/00/73/35/1041007335.db2.gz PPDJAUKKKFRUFR-JTQLQIEISA-N 0 3 324.596 4.380 20 0 DIADHN CC(C)N(CCOc1cccc(Cl)c1)Cc1ccccn1 ZINC000613495006 1041009319 /nfs/dbraw/zinc/00/93/19/1041009319.db2.gz QOKHTBFZVZDCOU-UHFFFAOYSA-N 0 3 304.821 4.024 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)C[C@H]1CC1(Cl)Cl ZINC000808760964 1041014123 /nfs/dbraw/zinc/01/41/23/1041014123.db2.gz FXGPPUIZPAQYCG-OCCSQVGLSA-N 0 3 302.245 4.272 20 0 DIADHN CCC[C@]1(C)CCCN(C(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000174869014 1041012664 /nfs/dbraw/zinc/01/26/64/1041012664.db2.gz QUVFLRSZWCGUSK-LJQANCHMSA-N 0 3 317.477 4.182 20 0 DIADHN CC[C@H](c1cccc(OC)c1)N(C)Cc1ccnc(Cl)c1 ZINC000808761453 1041014411 /nfs/dbraw/zinc/01/44/11/1041014411.db2.gz MUCZRPJHWCNFNQ-MRXNPFEDSA-N 0 3 304.821 4.327 20 0 DIADHN CN(CC[C@H](O)c1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC000808754334 1041014829 /nfs/dbraw/zinc/01/48/29/1041014829.db2.gz JHYURIGITGHUGY-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN c1coc(-c2cc(CN(CC3CCCCC3)C3CC3)no2)c1 ZINC000613501885 1041019045 /nfs/dbraw/zinc/01/90/45/1041019045.db2.gz BAIABOBJXAVMEJ-UHFFFAOYSA-N 0 3 300.402 4.479 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CCC[C@@H](C4CC4)C3)o2)c1 ZINC000808777726 1041025098 /nfs/dbraw/zinc/02/50/98/1041025098.db2.gz DNANNSYVMWAMSY-WMLDXEAASA-N 0 3 311.429 4.228 20 0 DIADHN CCOC(CN[C@H](C)c1ccc(F)c2ccccc21)OCC ZINC000808768625 1041030258 /nfs/dbraw/zinc/03/02/58/1041030258.db2.gz NVTBZCNTIDWPNS-CYBMUJFWSA-N 0 3 305.393 4.029 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)N[C@@H](C)c1nccs1 ZINC000414228162 1041033254 /nfs/dbraw/zinc/03/32/54/1041033254.db2.gz XIQHAHHEJDEPIM-QWRGUYRKSA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cc([C@H](N[C@@H]2CS[C@@H](C)C2)c2cccnc2)ccc1F ZINC000414236471 1041036521 /nfs/dbraw/zinc/03/65/21/1041036521.db2.gz VSDXMDQWVHMJHI-OWQGQXMQSA-N 0 3 316.445 4.102 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1ccc(Br)cc1 ZINC001218217283 1041057150 /nfs/dbraw/zinc/05/71/50/1041057150.db2.gz VTAVLKIJPDBXMG-LLVKDONJSA-N 0 3 318.214 4.192 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCS[C@@H](C(C)C)C2)c1C ZINC000464773823 1041065352 /nfs/dbraw/zinc/06/53/52/1041065352.db2.gz OLXOHAOPTCURFX-GOSISDBHSA-N 0 3 319.514 4.388 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2cn3ccnc3s2)c1 ZINC000892590832 1041067873 /nfs/dbraw/zinc/06/78/73/1041067873.db2.gz XUIXVIPGGCKWKE-CYBMUJFWSA-N 0 3 315.442 4.034 20 0 DIADHN Cc1cc(CN2CCC(C(F)F)CC2)cc(OC(F)(F)F)c1 ZINC001143469177 1041081456 /nfs/dbraw/zinc/08/14/56/1041081456.db2.gz QGZVDCMBFWRDLG-UHFFFAOYSA-N 0 3 323.305 4.371 20 0 DIADHN Cc1cc(CN2CCC[C@@H](C(F)F)C2)cc(OC(F)(F)F)c1 ZINC001143469314 1041085588 /nfs/dbraw/zinc/08/55/88/1041085588.db2.gz SCFAHSDGNNSGNG-GFCCVEGCSA-N 0 3 323.305 4.371 20 0 DIADHN CCCC[C@@H](NCc1cnc(CC)nc1)c1ccc(OC)cc1 ZINC000414320582 1041125487 /nfs/dbraw/zinc/12/54/87/1041125487.db2.gz CIOKTYFWGMSJQZ-GOSISDBHSA-N 0 3 313.445 4.069 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccc(C(C)C)s1 ZINC000186274937 1041129565 /nfs/dbraw/zinc/12/95/65/1041129565.db2.gz SKRYUUAMWQYPTM-UHFFFAOYSA-N 0 3 316.470 4.494 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H](C)CCc2ccc(OC)cc2)cs1 ZINC000162311647 1041155566 /nfs/dbraw/zinc/15/55/66/1041155566.db2.gz ASTXDEVCHPFUIU-UONOGXRCSA-N 0 3 318.486 4.386 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2)c(C(F)(F)F)c1 ZINC001143471954 1041157129 /nfs/dbraw/zinc/15/71/29/1041157129.db2.gz NMCCAGSMNMGVJY-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN Cc1occc1CNC1(c2ccc(Cl)cc2)CCOCC1 ZINC000414356582 1041167351 /nfs/dbraw/zinc/16/73/51/1041167351.db2.gz FJSYDFRTWCFCIQ-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN COc1ccc(CN2CCC[C@@](C)(F)CC2)c(C(F)(F)F)c1 ZINC001143473426 1041173251 /nfs/dbraw/zinc/17/32/51/1041173251.db2.gz OKXXCHOULBJVEK-OAHLLOKOSA-N 0 3 319.342 4.428 20 0 DIADHN Cc1occc1CN[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000414368754 1041183255 /nfs/dbraw/zinc/18/32/55/1041183255.db2.gz SMQUKAAAMGHYSO-HZPDHXFCSA-N 0 3 309.768 4.000 20 0 DIADHN C[C@@H](N[C@H]1CCC(F)(F)C1)c1cc(Br)ccc1F ZINC000310927894 1041184116 /nfs/dbraw/zinc/18/41/16/1041184116.db2.gz HATRBDKQZOPIHE-SCZZXKLOSA-N 0 3 322.168 4.427 20 0 DIADHN CC(C)CCN(CCC(C)C)Cc1ncc(CN(C)C)s1 ZINC000809537468 1041184745 /nfs/dbraw/zinc/18/47/45/1041184745.db2.gz KLHQPNJAOKPMSV-UHFFFAOYSA-N 0 3 311.539 4.099 20 0 DIADHN CCN(C(=O)CN1CCC[C@@H]1c1ccccc1)C1CCCCC1 ZINC000659070362 1041187096 /nfs/dbraw/zinc/18/70/96/1041187096.db2.gz CFYKPRGMGLROKD-LJQANCHMSA-N 0 3 314.473 4.005 20 0 DIADHN Clc1nc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)cs1 ZINC000809578514 1041189146 /nfs/dbraw/zinc/18/91/46/1041189146.db2.gz MBUQTXMJUWINAZ-UKRRQHHQSA-N 0 3 306.862 4.298 20 0 DIADHN Clc1nc(CN[C@H]2CCC[C@H]2Cc2ccccc2)cs1 ZINC000809578515 1041189430 /nfs/dbraw/zinc/18/94/30/1041189430.db2.gz MBUQTXMJUWINAZ-ZFWWWQNUSA-N 0 3 306.862 4.298 20 0 DIADHN CCCCN(Cc1ncc(C2OCCO2)s1)C1CCCCC1 ZINC000809547510 1041190298 /nfs/dbraw/zinc/19/02/98/1041190298.db2.gz RFJVCDWWJDAHAR-UHFFFAOYSA-N 0 3 324.490 4.123 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl)C(C)(C)O ZINC000393974611 1041196965 /nfs/dbraw/zinc/19/69/65/1041196965.db2.gz SPLYGKGOQQDDQJ-JGVFFNPUSA-N 0 3 310.652 4.457 20 0 DIADHN C[C@@H](N[C@@H](C)C(C)(C)O)c1ccc(Cl)c(Cl)c1Cl ZINC000393974614 1041198202 /nfs/dbraw/zinc/19/82/02/1041198202.db2.gz SPLYGKGOQQDDQJ-SFYZADRCSA-N 0 3 310.652 4.457 20 0 DIADHN CCc1ccc([C@H](NCc2cnc([C@H](C)O)s2)C(C)C)cc1 ZINC000809601568 1041201375 /nfs/dbraw/zinc/20/13/75/1041201375.db2.gz GGLFJLMOZXEBDC-SUMWQHHRSA-N 0 3 318.486 4.246 20 0 DIADHN Cc1occc1CN(C)CCCc1cc(-c2ccccc2)no1 ZINC000414383118 1041207800 /nfs/dbraw/zinc/20/78/00/1041207800.db2.gz QZKFGAYJYNUGOZ-UHFFFAOYSA-N 0 3 310.397 4.308 20 0 DIADHN O=C(C1CCN(CCC2CCCCCC2)CC1)C(F)(F)F ZINC000809642779 1041213366 /nfs/dbraw/zinc/21/33/66/1041213366.db2.gz NIXKJUXQVMTXKJ-UHFFFAOYSA-N 0 3 305.384 4.190 20 0 DIADHN COc1cccc2cc(CN3CCC(c4cccnc4)CC3)oc21 ZINC000809737330 1041238206 /nfs/dbraw/zinc/23/82/06/1041238206.db2.gz GEDOWSGTTLFFDF-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN Cc1cc(CN(Cc2ccc(O)cc2)CC2CC2)cnc1Cl ZINC000809738502 1041241756 /nfs/dbraw/zinc/24/17/56/1041241756.db2.gz XPRITFKZXZWNJL-UHFFFAOYSA-N 0 3 316.832 4.161 20 0 DIADHN CCOCOc1ccc(CN(C)[C@H](CC)c2ccncc2)cc1 ZINC000809764728 1041250069 /nfs/dbraw/zinc/25/00/69/1041250069.db2.gz HFBUFQWNCZHLOW-LJQANCHMSA-N 0 3 314.429 4.038 20 0 DIADHN COc1cccc2cc(CN(C)Cc3ccccc3N(C)C)oc21 ZINC000809788293 1041255700 /nfs/dbraw/zinc/25/57/00/1041255700.db2.gz PQYUUBZPBGWSOD-UHFFFAOYSA-N 0 3 324.424 4.139 20 0 DIADHN Cc1cc(Cl)c(C)nc1N(C1CCCC1)C1CCN(C)CC1 ZINC001158487485 1041261510 /nfs/dbraw/zinc/26/15/10/1041261510.db2.gz COIGXGNKDPJKMF-UHFFFAOYSA-N 0 3 321.896 4.195 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(-c2ccc(F)cc2)o1 ZINC000605592894 1041264205 /nfs/dbraw/zinc/26/42/05/1041264205.db2.gz PUVBLIUICMVLEW-MRXNPFEDSA-N 0 3 305.393 4.381 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NC[C@H]1CCN1C1CCCC1 ZINC001131916466 1041269780 /nfs/dbraw/zinc/26/97/80/1041269780.db2.gz QBDHRUFJQJBKCE-MJGOQNOKSA-N 0 3 308.510 4.116 20 0 DIADHN CCOc1ccc(CNCc2ccc(C(F)F)c(F)c2)cc1 ZINC000892673247 1041275756 /nfs/dbraw/zinc/27/57/56/1041275756.db2.gz HKTCAZRYUNLYRY-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN CCCCOc1ccccc1NC(=O)C[C@H]1NCc2ccccc21 ZINC000659250054 1041277154 /nfs/dbraw/zinc/27/71/54/1041277154.db2.gz UUWLDMXOGGYLKL-GOSISDBHSA-N 0 3 324.424 4.039 20 0 DIADHN Clc1cccc(-c2nnc(CN3C[C@@H]4CCCC[C@@H]4C3)o2)c1 ZINC000177563932 1041279338 /nfs/dbraw/zinc/27/93/38/1041279338.db2.gz BKTRLKVZIAXOQJ-OKILXGFUSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)C[C@H]1NCc2ccccc21 ZINC000659253984 1041279641 /nfs/dbraw/zinc/27/96/41/1041279641.db2.gz CEFWBDUHJARFAA-GOSISDBHSA-N 0 3 308.425 4.292 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)C[C@@H]1NCc2ccccc21)C(C)C ZINC000659263069 1041282888 /nfs/dbraw/zinc/28/28/88/1041282888.db2.gz JOOIGBNIWKGSQA-FPOVZHCZSA-N 0 3 322.452 4.043 20 0 DIADHN CC(C)(C)[C@@H](NC(=O)C[C@@H]1NCc2ccccc21)c1ccccc1 ZINC000659275335 1041288196 /nfs/dbraw/zinc/28/81/96/1041288196.db2.gz LKCITEXRTQUDPR-ICSRJNTNSA-N 0 3 322.452 4.125 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cccc2ccccc21 ZINC000031232756 1041302161 /nfs/dbraw/zinc/30/21/61/1041302161.db2.gz TWAJYUKJNYWNHO-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN O=C(Nc1ccc2c(c1)CNC2)c1cccc(C2CCCC2)c1 ZINC000659312956 1041306810 /nfs/dbraw/zinc/30/68/10/1041306810.db2.gz KKTCJFLFZNJEHP-UHFFFAOYSA-N 0 3 306.409 4.200 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(N2CCCCC2=O)cc1)c1cccnc1 ZINC000810129370 1041314980 /nfs/dbraw/zinc/31/49/80/1041314980.db2.gz MMKYYIOIQVSMFV-JKSUJKDBSA-N 0 3 323.440 4.010 20 0 DIADHN C[C@@H](NCc1ccc(C(F)F)cc1)c1ccc2c(c1)OCO2 ZINC000178664719 1041337271 /nfs/dbraw/zinc/33/72/71/1041337271.db2.gz HCTHKKBGKLUJFF-LLVKDONJSA-N 0 3 305.324 4.204 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1ccc(Br)nc1 ZINC000810227921 1041341543 /nfs/dbraw/zinc/34/15/43/1041341543.db2.gz NDQYUAMOHQBEEP-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2n[nH]c3ccccc23)cc1 ZINC000589641777 1041345043 /nfs/dbraw/zinc/34/50/43/1041345043.db2.gz PLHZTUYTHLIWMT-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2[nH]nc3ccccc32)cc1 ZINC000589641777 1041345054 /nfs/dbraw/zinc/34/50/54/1041345054.db2.gz PLHZTUYTHLIWMT-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN CCCCCC[C@H](CC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001170601647 1041351676 /nfs/dbraw/zinc/35/16/76/1041351676.db2.gz OWJXZXBLSFQASM-LBPRGKRZSA-N 0 3 317.399 4.469 20 0 DIADHN CCOC(=O)c1sc([C@H](C)NC[C@H]2CCCC[C@H]2C)nc1C ZINC000589820448 1041353252 /nfs/dbraw/zinc/35/32/52/1041353252.db2.gz POSPXKSEDPXSAC-KWCYVHTRSA-N 0 3 324.490 4.105 20 0 DIADHN C[C@@]1(NCc2ccc(-c3ccc(Cl)cc3)o2)CCCOC1 ZINC000540068563 1041369778 /nfs/dbraw/zinc/36/97/78/1041369778.db2.gz NTSCGGRDBWPJKV-QGZVFWFLSA-N 0 3 305.805 4.259 20 0 DIADHN c1ccc([C@@H](NCc2cccc3c2OCCO3)C2CCC2)cc1 ZINC000236622726 1041378333 /nfs/dbraw/zinc/37/83/33/1041378333.db2.gz JBISPGKYAWWMJE-LJQANCHMSA-N 0 3 309.409 4.089 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1nc2ccccc2s1)C1CCC1 ZINC000659827271 1041383383 /nfs/dbraw/zinc/38/33/83/1041383383.db2.gz OYLVFYAKXKHKCZ-HZPDHXFCSA-N 0 3 316.470 4.248 20 0 DIADHN O=C(CN(C1CC1)C1CCCCC1)Nc1cc(Cl)ccc1F ZINC000613629780 1041393537 /nfs/dbraw/zinc/39/35/37/1041393537.db2.gz XIWMJHMQSHKLFC-UHFFFAOYSA-N 0 3 324.827 4.215 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N(Cc1cccc(F)c1)C(C)(C)C ZINC000613639496 1041396142 /nfs/dbraw/zinc/39/61/42/1041396142.db2.gz FAHJPNKFQQKSHH-HNNXBMFYSA-N 0 3 322.468 4.073 20 0 DIADHN COCCC1(CNCc2ncc(-c3ccccc3)s2)CCC1 ZINC000092411805 1041404445 /nfs/dbraw/zinc/40/44/45/1041404445.db2.gz LXPHTZBYCNIMFZ-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCCOC1CCCC1)CCS2 ZINC000111634351 1041413818 /nfs/dbraw/zinc/41/38/18/1041413818.db2.gz QAZBTRPEZXVAQM-HNNXBMFYSA-N 0 3 311.878 4.426 20 0 DIADHN c1[nH]c2ccccc2c1CCNCc1coc(-c2ccccc2)n1 ZINC000236742945 1041417496 /nfs/dbraw/zinc/41/74/96/1041417496.db2.gz SQVBACHNDRBWIJ-UHFFFAOYSA-N 0 3 317.392 4.155 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(OC)c(COC)c1)c1ccncc1 ZINC000163747644 1041437015 /nfs/dbraw/zinc/43/70/15/1041437015.db2.gz IASHTHPFLVCFDQ-KSSFIOAISA-N 0 3 314.429 4.039 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(OC)c(COC)c1)c1ccncc1 ZINC000163748006 1041437057 /nfs/dbraw/zinc/43/70/57/1041437057.db2.gz IASHTHPFLVCFDQ-RDTXWAMCSA-N 0 3 314.429 4.039 20 0 DIADHN Cc1cc(CNCc2ccccc2-c2ccc(C)cc2C)[nH]n1 ZINC000893028534 1041464854 /nfs/dbraw/zinc/46/48/54/1041464854.db2.gz LCDAHTXDRMUACS-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN Cc1nc(CN(CCc2ccc(F)cc2)CC(C)C)c(C)o1 ZINC000659860137 1041464902 /nfs/dbraw/zinc/46/49/02/1041464902.db2.gz UYYLJVBDSFHOBV-UHFFFAOYSA-N 0 3 304.409 4.131 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(C)c(F)c3F)C2)cc1 ZINC001137971023 1041465686 /nfs/dbraw/zinc/46/56/86/1041465686.db2.gz ARRCLULEWVETKH-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN COc1cccc(-c2csc(CNCC(C)(C)SC)n2)c1 ZINC000893073149 1041476616 /nfs/dbraw/zinc/47/66/16/1041476616.db2.gz DGYUAQZLKCRJMP-UHFFFAOYSA-N 0 3 322.499 4.050 20 0 DIADHN CN(Cc1ccccc1F)C[C@@H]1CCCO[C@@H]1c1ccccc1 ZINC000051132019 1041489876 /nfs/dbraw/zinc/48/98/76/1041489876.db2.gz RRFJKKHODSQLKS-AZUAARDMSA-N 0 3 313.416 4.425 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(CC(C)C)nc1 ZINC000893131657 1041495923 /nfs/dbraw/zinc/49/59/23/1041495923.db2.gz GLHKICBDZVSJLU-IBGZPJMESA-N 0 3 310.441 4.066 20 0 DIADHN CCCN(CC1CCCCC1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000415993630 1041513190 /nfs/dbraw/zinc/51/31/90/1041513190.db2.gz FLTUZAKNRZLYEH-UHFFFAOYSA-N 0 3 315.461 4.114 20 0 DIADHN CCC1(CC)CCCN(C(=O)Nc2ccc3c(c2)CNC3)CC1 ZINC000416009623 1041514569 /nfs/dbraw/zinc/51/45/69/1041514569.db2.gz NOMIAZIOKSFSEY-UHFFFAOYSA-N 0 3 315.461 4.114 20 0 DIADHN CCCCN(C(=O)Nc1ccc2c(c1)CNC2)C1CCCCC1 ZINC000416006359 1041515463 /nfs/dbraw/zinc/51/54/63/1041515463.db2.gz JUHCSQOHDFAWLL-UHFFFAOYSA-N 0 3 315.461 4.257 20 0 DIADHN CC1(NCc2ccnc(Cl)c2Cl)Cc2ccccc2C1 ZINC000811485456 1041521948 /nfs/dbraw/zinc/52/19/48/1041521948.db2.gz LYDSEHDOLNRMJI-UHFFFAOYSA-N 0 3 307.224 4.036 20 0 DIADHN Clc1nccc(CNCCc2ccc3[nH]ccc3c2)c1Cl ZINC000811500363 1041526023 /nfs/dbraw/zinc/52/60/23/1041526023.db2.gz AVQMSQMETBIWOM-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN Fc1cccc(CCCNCc2ccnc(Cl)c2Cl)c1 ZINC000811478031 1041526979 /nfs/dbraw/zinc/52/69/79/1041526979.db2.gz LFCDYMFGKIWCBS-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2N2CCCCC2)CCC1(F)F ZINC000811512253 1041535133 /nfs/dbraw/zinc/53/51/33/1041535133.db2.gz JBYMAXQZNKIKRQ-OAHLLOKOSA-N 0 3 308.416 4.154 20 0 DIADHN Cc1nc(CN(CCc2ccc(Cl)cc2)CC2CC2)c(C)o1 ZINC000659936279 1041548711 /nfs/dbraw/zinc/54/87/11/1041548711.db2.gz QLNMGQRWLCVGBJ-UHFFFAOYSA-N 0 3 318.848 4.400 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cc2ccccc2nc1Cl ZINC001137977406 1041552389 /nfs/dbraw/zinc/55/23/89/1041552389.db2.gz NXFVBXQFHNZQPV-MRXNPFEDSA-N 0 3 318.848 4.278 20 0 DIADHN CCCC[C@H](C)C(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001125871538 1041552587 /nfs/dbraw/zinc/55/25/87/1041552587.db2.gz FUDGJXPJXSLOCJ-HNNXBMFYSA-N 0 3 324.896 4.057 20 0 DIADHN C[C@H](NC(=O)c1ccccc1CN(C)C)c1ccc(C2CC2)cc1 ZINC000416292078 1041557371 /nfs/dbraw/zinc/55/73/71/1041557371.db2.gz QLOAZBIVOZDZJU-HNNXBMFYSA-N 0 3 322.452 4.117 20 0 DIADHN CCN(Cc1coc(C)n1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000659970591 1041563823 /nfs/dbraw/zinc/56/38/23/1041563823.db2.gz WVSGOUHNPKYEHW-SFHVURJKSA-N 0 3 304.409 4.211 20 0 DIADHN CCN(Cc1coc(C)n1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000659970569 1041563993 /nfs/dbraw/zinc/56/39/93/1041563993.db2.gz WVSGOUHNPKYEHW-GOSISDBHSA-N 0 3 304.409 4.211 20 0 DIADHN CC[C@H](CC(F)F)CN(C)Cc1nc2c(s1)CCCC2 ZINC000659982474 1041570497 /nfs/dbraw/zinc/57/04/97/1041570497.db2.gz NSCWPVKUZCRHCF-LLVKDONJSA-N 0 3 302.434 4.135 20 0 DIADHN F[C@H]1CSC2(CN(Cc3ccccc3-c3cccs3)C2)C1 ZINC001141096539 1041587228 /nfs/dbraw/zinc/58/72/28/1041587228.db2.gz ICJBJRVVTIWSCL-CQSZACIVSA-N 0 3 319.470 4.445 20 0 DIADHN CC[C@H](C)N(CCC1CCC1)Cc1nc2ccccc2c(=O)[nH]1 ZINC000660077454 1041603548 /nfs/dbraw/zinc/60/35/48/1041603548.db2.gz KEAWNTCJZVDRFH-AWEZNQCLSA-N 0 3 313.445 4.126 20 0 DIADHN CO[C@@H](CNC1(c2nccs2)CCCC1)C1CCCCC1 ZINC000660094346 1041611165 /nfs/dbraw/zinc/61/11/65/1041611165.db2.gz XSALGDJEOMCAKU-HNNXBMFYSA-N 0 3 308.491 4.097 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC(O)(c2ccccc2)CC1 ZINC000185502371 1041615451 /nfs/dbraw/zinc/61/54/51/1041615451.db2.gz QPJNNESGXJOVNE-HNNXBMFYSA-N 0 3 315.844 4.385 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c2ccccn2)cc1 ZINC000660106304 1041617198 /nfs/dbraw/zinc/61/71/98/1041617198.db2.gz BFUBPGDZBUOVDM-PYQAKABTSA-N 0 3 310.416 4.336 20 0 DIADHN COc1cccc(SCCN2CCC[C@@H]2c2cccnc2)c1 ZINC000660137952 1041628739 /nfs/dbraw/zinc/62/87/39/1041628739.db2.gz OWKXGFMVVYRMGS-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN COc1cccc(SCCN2CCC[C@H]2c2cccnc2)c1 ZINC000660137953 1041628921 /nfs/dbraw/zinc/62/89/21/1041628921.db2.gz OWKXGFMVVYRMGS-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cc(Cl)ccc1F ZINC001170680675 1041631332 /nfs/dbraw/zinc/63/13/32/1041631332.db2.gz FTELZRVSASCSHE-NSHDSACASA-N 0 3 315.779 4.352 20 0 DIADHN CC(C)Oc1ccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)cc1 ZINC001170681025 1041632274 /nfs/dbraw/zinc/63/22/74/1041632274.db2.gz BZJOJUCECVUJCH-HNNXBMFYSA-N 0 3 321.424 4.346 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1cc(F)cc2[nH]ccc21 ZINC001170681034 1041632344 /nfs/dbraw/zinc/63/23/44/1041632344.db2.gz CIKVDGWETVCDSX-LBPRGKRZSA-N 0 3 320.371 4.179 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CCC[C@H](c2ccncn2)C1 ZINC000364008804 1041632308 /nfs/dbraw/zinc/63/23/08/1041632308.db2.gz BKCNAVASKWHXPB-ZFWWWQNUSA-N 0 3 301.821 4.071 20 0 DIADHN Oc1ccc(C2CCN(CCc3c(F)cccc3F)CC2)cc1 ZINC001167741245 1041632334 /nfs/dbraw/zinc/63/23/34/1041632334.db2.gz RVNBBTVGSWBQKW-UHFFFAOYSA-N 0 3 317.379 4.093 20 0 DIADHN Cc1c[nH]c2cccc(-n3c(-c4ccccc4)cnc3[C@H](C)N)c12 ZINC001170682830 1041637541 /nfs/dbraw/zinc/63/75/41/1041637541.db2.gz VEZJWVJZJHRREA-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN Cc1csc([C@@H](NCCCN(C)c2ccccc2)C2CC2)n1 ZINC000828193537 1041637633 /nfs/dbraw/zinc/63/76/33/1041637633.db2.gz TXIQCOJNYSSPOD-KRWDZBQOSA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H]1CN(Cc2cccc(OC(F)F)c2)Cc2ccccc21 ZINC000747812120 1041642771 /nfs/dbraw/zinc/64/27/71/1041642771.db2.gz UDFXFTYYVBLQRS-CYBMUJFWSA-N 0 3 303.352 4.407 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1cccc(F)c1 ZINC000584687698 1041642796 /nfs/dbraw/zinc/64/27/96/1041642796.db2.gz JDSVISDLBBHZHT-QGZVFWFLSA-N 0 3 301.409 4.283 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNCCCCF ZINC000812773872 1041645691 /nfs/dbraw/zinc/64/56/91/1041645691.db2.gz XEIIASTXBRMANS-UHFFFAOYSA-N 0 3 323.843 4.290 20 0 DIADHN FC(F)(F)c1cncc(CN(CCc2ccccc2)C2CC2)c1 ZINC000812824575 1041649750 /nfs/dbraw/zinc/64/97/50/1041649750.db2.gz WCLWQRKFCKFELE-UHFFFAOYSA-N 0 3 320.358 4.308 20 0 DIADHN CSc1cccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000660179750 1041650041 /nfs/dbraw/zinc/65/00/41/1041650041.db2.gz QWNARWUKEUSMQR-ZDUSSCGKSA-N 0 3 314.454 4.218 20 0 DIADHN C[C@H]1c2ccccc2CN1C[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000812828308 1041653145 /nfs/dbraw/zinc/65/31/45/1041653145.db2.gz QKKHWWNCAAOJCI-YVEFUNNKSA-N 0 3 321.342 4.316 20 0 DIADHN C[C@@H](N[C@H](C)[C@@H]1C[C@H]1c1cccs1)c1c(F)cncc1F ZINC000417326961 1041656860 /nfs/dbraw/zinc/65/68/60/1041656860.db2.gz GQSIHBCBPMRINN-WISYIIOYSA-N 0 3 308.397 4.264 20 0 DIADHN COc1cc2c(cc1Cl)CN(CCc1ccc(C)cc1)CC2 ZINC001170689947 1041658612 /nfs/dbraw/zinc/65/86/12/1041658612.db2.gz CYFZZTBATPDUJM-UHFFFAOYSA-N 0 3 315.844 4.258 20 0 DIADHN C[C@@H](NC[C@@H]1CC12CC2)c1csc(-c2ccccc2F)n1 ZINC000417331174 1041658974 /nfs/dbraw/zinc/65/89/74/1041658974.db2.gz BNSMJVIJBJSHDB-NEPJUHHUSA-N 0 3 302.418 4.400 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc2ccccc2n1)C(C)C ZINC000660196934 1041661878 /nfs/dbraw/zinc/66/18/78/1041661878.db2.gz IAZSXSCUVKKEKY-FQEVSTJZSA-N 0 3 305.425 4.425 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@@H](N1CCC[C@@H](C(F)(F)F)C1)C2 ZINC001170693972 1041662908 /nfs/dbraw/zinc/66/29/08/1041662908.db2.gz GCNKHFDIJBMNSP-DGCLKSJQSA-N 0 3 319.317 4.096 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cc(F)cc3cccnc32)C1 ZINC000364104091 1041669574 /nfs/dbraw/zinc/66/95/74/1041669574.db2.gz SGIGEVIGWNQPHU-AUUYWEPGSA-N 0 3 321.399 4.352 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc2ccccc2n1)C1CC1 ZINC000660210844 1041670318 /nfs/dbraw/zinc/67/03/18/1041670318.db2.gz MJOLFMAVEGTWOE-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN CCc1ccc([C@@H](NC[C@@H](C)SC)c2cccnc2)cc1 ZINC000925602831 1041670825 /nfs/dbraw/zinc/67/08/25/1041670825.db2.gz XCMUXQSXFJUKGR-RDTXWAMCSA-N 0 3 300.471 4.075 20 0 DIADHN CO[C@H](CN[C@H](c1ncc(C)s1)C1CC1)C1CCCCC1 ZINC000660253543 1041691886 /nfs/dbraw/zinc/69/18/86/1041691886.db2.gz IRZFFJRWLRNAIQ-CVEARBPZSA-N 0 3 308.491 4.088 20 0 DIADHN CCn1cncc1CN[C@H](c1cccc(F)c1)C1CCCC1 ZINC000417935247 1041711885 /nfs/dbraw/zinc/71/18/85/1041711885.db2.gz GLDMIRKCNBCQPJ-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCCN(C)c3ccccc32)c(C)n1 ZINC000651465721 1041720530 /nfs/dbraw/zinc/72/05/30/1041720530.db2.gz UFGKINROGWYOMK-LPHOPBHVSA-N 0 3 309.457 4.320 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(Cl)c(OC)c1 ZINC000651467946 1041721389 /nfs/dbraw/zinc/72/13/89/1041721389.db2.gz OECDSJZMZLSBRL-MRXNPFEDSA-N 0 3 317.816 4.134 20 0 DIADHN CC(C)c1nc([C@@H](C)NC2CCC(OC(F)F)CC2)cs1 ZINC000651469523 1041724035 /nfs/dbraw/zinc/72/40/35/1041724035.db2.gz QCIUKWWUSHQUCF-VOMCLLRMSA-N 0 3 318.433 4.468 20 0 DIADHN CN(Cc1nccn1CC(F)(F)F)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000418113965 1041726834 /nfs/dbraw/zinc/72/68/34/1041726834.db2.gz MXSYRKMUNNWCSI-STQMWFEESA-N 0 3 317.399 4.092 20 0 DIADHN Cc1nn(C)c(Cl)c1CNCC(C)(C)c1ccc(C)cc1C ZINC000813790742 1041731192 /nfs/dbraw/zinc/73/11/92/1041731192.db2.gz WCLWBVVZPBVRRS-UHFFFAOYSA-N 0 3 319.880 4.066 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3ncc(C(F)(F)F)cc3Cl)C[C@@H]21 ZINC001170716160 1041746228 /nfs/dbraw/zinc/74/62/28/1041746228.db2.gz PPVNCJZFIDDYRE-JGPRNRPPSA-N 0 3 318.770 4.278 20 0 DIADHN CCOc1ccc(C[C@H](C)CN[C@H](C)c2csc(C)n2)cc1 ZINC000651489918 1041747840 /nfs/dbraw/zinc/74/78/40/1041747840.db2.gz PPERADKJFJZJFD-UONOGXRCSA-N 0 3 318.486 4.380 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)co1 ZINC000660374653 1041751391 /nfs/dbraw/zinc/75/13/91/1041751391.db2.gz YCZFTWGJLJVMEN-GDBMZVCRSA-N 0 3 324.346 4.428 20 0 DIADHN O[C@H](CN[C@@H]1CCCc2occc21)c1ccc2ccccc2c1 ZINC000192848470 1041755290 /nfs/dbraw/zinc/75/52/90/1041755290.db2.gz FELWMYYOLWGGQC-RTBURBONSA-N 0 3 307.393 4.133 20 0 DIADHN Cn1c(CNC2CC3(CCC3)C2)nc2cc(C(F)(F)F)ccc21 ZINC000652042133 1041764682 /nfs/dbraw/zinc/76/46/82/1041764682.db2.gz IXTGLDXIQMEFPF-UHFFFAOYSA-N 0 3 323.362 4.014 20 0 DIADHN C[C@@H]1CN(Cc2sc3ccccc3c2Cl)C[C@@H](C)C1O ZINC000661626854 1041767109 /nfs/dbraw/zinc/76/71/09/1041767109.db2.gz WYOGKDKLVWFTRQ-GHMZBOCLSA-N 0 3 309.862 4.003 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@@H](O)c1ccc(Cl)c(F)c1)C1CC1 ZINC000759847211 1041774011 /nfs/dbraw/zinc/77/40/11/1041774011.db2.gz FYDUWPPDJQNKFY-MEDUHNTESA-N 0 3 323.795 4.331 20 0 DIADHN C[C@H](NC[C@@H]1CC[C@@H]2C[C@@H]2C1)c1nc(C(F)(F)F)cs1 ZINC000660433096 1041792391 /nfs/dbraw/zinc/79/23/91/1041792391.db2.gz TYJSMVYBINCXSA-ZDCRXTMVSA-N 0 3 304.381 4.249 20 0 DIADHN Brc1ccc([C@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)nc1 ZINC000660491189 1041817084 /nfs/dbraw/zinc/81/70/84/1041817084.db2.gz FWOLQSDQUCAXNC-OQMKEHIESA-N 0 3 321.262 4.027 20 0 DIADHN Cc1nc(CN[C@@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)co1 ZINC000660535430 1041827764 /nfs/dbraw/zinc/82/77/64/1041827764.db2.gz JPYCJBYTEWPAPD-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN C([C@@H]1CC[C@@H]2C[C@@H]2C1)N1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000660553996 1041831112 /nfs/dbraw/zinc/83/11/12/1041831112.db2.gz XTWHRVQPRMARCX-SIXLDLHFSA-N 0 3 315.461 4.300 20 0 DIADHN O[C@@H](CN1CC[C@H](c2cccc(Cl)c2)C1)c1ccccc1F ZINC000814666309 1041851298 /nfs/dbraw/zinc/85/12/98/1041851298.db2.gz GAKKIXISGVSFTL-KSSFIOAISA-N 0 3 319.807 4.002 20 0 DIADHN C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)C[C@H]1CCO[C@H](C)C1 ZINC000660708420 1041855184 /nfs/dbraw/zinc/85/51/84/1041855184.db2.gz OSCOGKSZLOQARR-AGIUHOORSA-N 0 3 321.852 4.024 20 0 DIADHN COCCN(Cc1cc2cnccc2o1)Cc1ccc(C)cc1C ZINC000651617959 1041858000 /nfs/dbraw/zinc/85/80/00/1041858000.db2.gz XJWOGRYNMOHJLO-UHFFFAOYSA-N 0 3 324.424 4.093 20 0 DIADHN COc1ccc([C@@H](NCc2cc3cnccc3o2)C2CC2)cc1 ZINC000651670166 1041872638 /nfs/dbraw/zinc/87/26/38/1041872638.db2.gz YABZNJPQSJSHFM-IBGZPJMESA-N 0 3 308.381 4.077 20 0 DIADHN Cc1nc(CCN[C@H](C)c2ccc(-c3ccccc3)cn2)cs1 ZINC000651674556 1041876540 /nfs/dbraw/zinc/87/65/40/1041876540.db2.gz UQUHQMTVFOQPIM-CQSZACIVSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](O)c2ccco2)c2ccccc2)cc1 ZINC000027197668 1041881303 /nfs/dbraw/zinc/88/13/03/1041881303.db2.gz UYHVWFUMWHIHIR-ICSRJNTNSA-N 0 3 307.393 4.001 20 0 DIADHN CC[C@@H](C)N(CC)Cc1csc(-c2ccccc2OC)n1 ZINC000661107263 1041887320 /nfs/dbraw/zinc/88/73/20/1041887320.db2.gz PYOMWDQHVJCDDR-CYBMUJFWSA-N 0 3 304.459 4.439 20 0 DIADHN C[C@@H](NC[C@@H](O)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651714397 1041890016 /nfs/dbraw/zinc/89/00/16/1041890016.db2.gz ZXSZGGLEJPZCAN-QAPCUYQASA-N 0 3 312.457 4.196 20 0 DIADHN C[C@H](N[C@H](CO)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651741303 1041900994 /nfs/dbraw/zinc/90/09/94/1041900994.db2.gz FHWXCKUCACGLQC-YJBOKZPZSA-N 0 3 312.457 4.196 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CCCCO1)c1ccc(-c2ccccc2)cn1 ZINC000651749192 1041901812 /nfs/dbraw/zinc/90/18/12/1041901812.db2.gz OAHZYXBRCWFQRQ-FTRWYGJKSA-N 0 3 310.441 4.357 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-c2ccccc2)cn1)[C@H]1CCCCO1 ZINC000651749193 1041902076 /nfs/dbraw/zinc/90/20/76/1041902076.db2.gz OAHZYXBRCWFQRQ-RZQQEMMASA-N 0 3 310.441 4.357 20 0 DIADHN C[C@H]1c2ccsc2CCN1C[C@@H](O)c1cc2ccccc2o1 ZINC000815011626 1041902936 /nfs/dbraw/zinc/90/29/36/1041902936.db2.gz UJUWEKAOIOYCNU-SWLSCSKDSA-N 0 3 313.422 4.147 20 0 DIADHN CN(Cc1cc2ccccc2o1)C[C@@H](O)c1cc2ccccc2o1 ZINC000815055706 1041905937 /nfs/dbraw/zinc/90/59/37/1041905937.db2.gz JZRANIUKUQYAGS-QGZVFWFLSA-N 0 3 321.376 4.344 20 0 DIADHN c1ccc(-c2nnc(CN3CCCC4(CCCC4)CC3)o2)cc1 ZINC000661227754 1041908420 /nfs/dbraw/zinc/90/84/20/1041908420.db2.gz LKQPJWGBRVWXSL-UHFFFAOYSA-N 0 3 311.429 4.283 20 0 DIADHN FC1(c2ccccc2)CCN(Cc2cc3cnccc3o2)CC1 ZINC000651789748 1041912325 /nfs/dbraw/zinc/91/23/25/1041912325.db2.gz XLHLCNJRLQTXET-UHFFFAOYSA-N 0 3 310.372 4.289 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2cc3ccccc3o2)ccc1F ZINC000815085048 1041912544 /nfs/dbraw/zinc/91/25/44/1041912544.db2.gz JBDKCPMCGPXSPT-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN CO[C@@H]([C@H](C)N[C@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651823492 1041922939 /nfs/dbraw/zinc/92/29/39/1041922939.db2.gz KVLBFQPWBPLNDH-SIFCLUCFSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1cccnc1CCN[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000651822260 1041923478 /nfs/dbraw/zinc/92/34/78/1041923478.db2.gz PSPRCDFLPVMWKI-HNNXBMFYSA-N 0 3 304.796 4.002 20 0 DIADHN Cc1cccnc1CCN[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000651822261 1041923588 /nfs/dbraw/zinc/92/35/88/1041923588.db2.gz PSPRCDFLPVMWKI-OAHLLOKOSA-N 0 3 304.796 4.002 20 0 DIADHN COC(=O)Nc1cccc(CNCc2ccc(C3CCC3)cc2)c1 ZINC000815140405 1041925244 /nfs/dbraw/zinc/92/52/44/1041925244.db2.gz VOTBYEHSPSWBOH-UHFFFAOYSA-N 0 3 324.424 4.422 20 0 DIADHN Cc1ncsc1CCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435582 1041937355 /nfs/dbraw/zinc/93/73/55/1041937355.db2.gz POPCNIPUJGTWRQ-INIZCTEOSA-N 0 3 302.443 4.204 20 0 DIADHN Cc1ncsc1CCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435583 1041937959 /nfs/dbraw/zinc/93/79/59/1041937959.db2.gz POPCNIPUJGTWRQ-MRXNPFEDSA-N 0 3 302.443 4.204 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(Cc2cc3cnccc3o2)C1 ZINC000651875139 1041940081 /nfs/dbraw/zinc/94/00/81/1041940081.db2.gz VBRJYGILZGOMQC-IBGZPJMESA-N 0 3 310.372 4.131 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1coc3ccccc13)CC2 ZINC000651900905 1041946945 /nfs/dbraw/zinc/94/69/45/1041946945.db2.gz OVEKFKRBLODFRK-UHFFFAOYSA-N 0 3 313.294 4.299 20 0 DIADHN CC[C@@H](OCCNCc1nccc2ccccc21)c1ccccc1 ZINC000651908986 1041949041 /nfs/dbraw/zinc/94/90/41/1041949041.db2.gz UFXJJWKUZCPPRJ-OAQYLSRUSA-N 0 3 320.436 4.492 20 0 DIADHN CC[C@@H](OCCNCc1scnc1C1CC1)c1ccccc1 ZINC000651909069 1041949154 /nfs/dbraw/zinc/94/91/54/1041949154.db2.gz WDBXEQSDTYZLIX-MRXNPFEDSA-N 0 3 316.470 4.278 20 0 DIADHN CC[C@H](OCCNCc1scnc1C1CC1)c1ccccc1 ZINC000651909068 1041949413 /nfs/dbraw/zinc/94/94/13/1041949413.db2.gz WDBXEQSDTYZLIX-INIZCTEOSA-N 0 3 316.470 4.278 20 0 DIADHN c1ccc(-c2nnc(CN3CC[C@@H](C4CCCCC4)C3)o2)cc1 ZINC000661582856 1041957693 /nfs/dbraw/zinc/95/76/93/1041957693.db2.gz FTSRSFNYLHDBRU-QGZVFWFLSA-N 0 3 311.429 4.139 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1ccc(CC(C)C)nc1)CCC2 ZINC000893474011 1041962371 /nfs/dbraw/zinc/96/23/71/1041962371.db2.gz ZQGKQKOMVKREAT-INIZCTEOSA-N 0 3 315.486 4.212 20 0 DIADHN COCCOc1ccc(CN[C@@H](C)c2ccc(F)cc2C)cc1 ZINC000815559076 1041962576 /nfs/dbraw/zinc/96/25/76/1041962576.db2.gz WXTFUELCHJTRLN-HNNXBMFYSA-N 0 3 317.404 4.010 20 0 DIADHN CCN(Cc1cnc(-c2ccc(C)cc2)s1)[C@H]1CCCOC1 ZINC000651948141 1041964479 /nfs/dbraw/zinc/96/44/79/1041964479.db2.gz DKLFLZUBZVRTOG-INIZCTEOSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000815579710 1041966290 /nfs/dbraw/zinc/96/62/90/1041966290.db2.gz SNNFGYBRTBPCJK-VXGBXAGGSA-N 0 3 313.376 4.128 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000111398313 1041974932 /nfs/dbraw/zinc/97/49/32/1041974932.db2.gz JXJNTPPPDOLIFR-NVXWUHKLSA-N 0 3 321.449 4.098 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(F)F)c1ccccc1SC(C)(C)C ZINC000815620664 1041976406 /nfs/dbraw/zinc/97/64/06/1041976406.db2.gz XPUXMUJDMPZFST-VXGBXAGGSA-N 0 3 317.445 4.244 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C2CC2)C2CCCCC2)c(C)c1 ZINC000048008773 1041977523 /nfs/dbraw/zinc/97/75/23/1041977523.db2.gz SAQJBBPMWKLTMJ-UHFFFAOYSA-N 0 3 314.473 4.347 20 0 DIADHN CC[C@@H](OCCN[C@H](C)c1cnc(C)s1)c1ccccc1 ZINC000652236636 1041980625 /nfs/dbraw/zinc/98/06/25/1041980625.db2.gz URRXGGVEIWDWBE-CZUORRHYSA-N 0 3 304.459 4.270 20 0 DIADHN Clc1ccc(-c2nc(CN[C@H]3CCCOCC3)cs2)cc1 ZINC001170800507 1041991927 /nfs/dbraw/zinc/99/19/27/1041991927.db2.gz DDVDAGWBHKXRPT-AWEZNQCLSA-N 0 3 322.861 4.122 20 0 DIADHN CCc1cccc(CN[C@H]2CCc3cc(OC)c(OC)cc32)c1 ZINC000652307301 1041997497 /nfs/dbraw/zinc/99/74/97/1041997497.db2.gz UBWPARJVHAQJDI-SFHVURJKSA-N 0 3 311.425 4.043 20 0 DIADHN C[C@@H](NCCC1(CO)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000652310504 1042000019 /nfs/dbraw/zinc/00/00/19/1042000019.db2.gz JLWOJYDOTJULTM-SNVBAGLBSA-N 0 3 320.235 4.336 20 0 DIADHN COC(=O)c1ccc(CNCc2cccc(C(C)C)c2)cc1F ZINC000597089571 1042001293 /nfs/dbraw/zinc/00/12/93/1042001293.db2.gz VTZAPAFWRALXJE-UHFFFAOYSA-N 0 3 315.388 4.026 20 0 DIADHN c1ccc2sc(CN3CCC[C@@H]([C@@H]4CCCCO4)C3)nc2c1 ZINC000661873956 1042011151 /nfs/dbraw/zinc/01/11/51/1042011151.db2.gz IEGGMWZVAAPLEX-ZBFHGGJFSA-N 0 3 316.470 4.077 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000661880807 1042014793 /nfs/dbraw/zinc/01/47/93/1042014793.db2.gz RIEHEPGPYRQGMA-QWQRMKEZSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2)s1)C1CCC(F)CC1 ZINC000662000063 1042038305 /nfs/dbraw/zinc/03/83/05/1042038305.db2.gz ZIPMDAHIXHQENT-OPFPJEHXSA-N 0 3 319.449 4.212 20 0 DIADHN C[C@@H](N[C@@H](C)c1nnc(-c2cccs2)o1)C1CCC(F)CC1 ZINC000662001902 1042038624 /nfs/dbraw/zinc/03/86/24/1042038624.db2.gz AYVQAMXJWGTTNB-IALDZJHCSA-N 0 3 323.437 4.366 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CC[C@H](N(C)c2ccccc2)C1 ZINC000662017497 1042042378 /nfs/dbraw/zinc/04/23/78/1042042378.db2.gz PVHZIIAHYWTULZ-RDJZCZTQSA-N 0 3 321.424 4.100 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CC[C@@H](N(C)c2ccccc2)C1 ZINC000662017495 1042042444 /nfs/dbraw/zinc/04/24/44/1042042444.db2.gz PVHZIIAHYWTULZ-NVXWUHKLSA-N 0 3 321.424 4.100 20 0 DIADHN CCC(CC)CCCN(C)Cc1cc(-c2cnn(C)c2C)no1 ZINC000662036160 1042046802 /nfs/dbraw/zinc/04/68/02/1042046802.db2.gz HFZKFRUZVOHLNM-UHFFFAOYSA-N 0 3 318.465 4.032 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CCC(c2ccon2)CC1 ZINC000662048319 1042048633 /nfs/dbraw/zinc/04/86/33/1042048633.db2.gz RWLGLSZDRIJUKV-QGZVFWFLSA-N 0 3 302.805 4.195 20 0 DIADHN Cc1ccc(CN2CCC3(CCc4ccccc4O3)CC2)c(C)n1 ZINC000662050951 1042050017 /nfs/dbraw/zinc/05/00/17/1042050017.db2.gz VDJSHJGJIABFPW-UHFFFAOYSA-N 0 3 322.452 4.058 20 0 DIADHN CC[C@H](OCCN1CCC(c2ccon2)CC1)c1ccccc1 ZINC000662049364 1042050521 /nfs/dbraw/zinc/05/05/21/1042050521.db2.gz YVNCNETXYXCLDD-IBGZPJMESA-N 0 3 314.429 4.022 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001137654457 1042055042 /nfs/dbraw/zinc/05/50/42/1042055042.db2.gz RUAULNFJFVSPCQ-UHFFFAOYSA-N 0 3 321.823 4.252 20 0 DIADHN COc1cccc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)n1 ZINC001170827601 1042055402 /nfs/dbraw/zinc/05/54/02/1042055402.db2.gz TWHQUVTWVLBAQB-UHFFFAOYSA-N 0 3 324.468 4.162 20 0 DIADHN Clc1ccc([C@H](c2ccccc2)N2CCC3(COC3)C2)cc1 ZINC000662076542 1042057871 /nfs/dbraw/zinc/05/78/71/1042057871.db2.gz MGWAALISNCUQCU-SFHVURJKSA-N 0 3 313.828 4.152 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@@H]2C[C@H](O)c2ccco2)c1 ZINC000816520300 1042060238 /nfs/dbraw/zinc/06/02/38/1042060238.db2.gz LNAONBLWBHIFFG-SJORKVTESA-N 0 3 319.832 4.330 20 0 DIADHN CC[C@H](c1ccccc1)N(Cc1cn2cccnc2n1)C(C)C ZINC000662109082 1042066641 /nfs/dbraw/zinc/06/66/41/1042066641.db2.gz SJFVPNKWSCTCBV-GOSISDBHSA-N 0 3 308.429 4.091 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2ccccc2Cl)o1 ZINC000662133802 1042070873 /nfs/dbraw/zinc/07/08/73/1042070873.db2.gz QWYKKFDJXFKCIW-YHWZYXNKSA-N 0 3 317.820 4.153 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000662133173 1042071157 /nfs/dbraw/zinc/07/11/57/1042071157.db2.gz LTJWZLDIVDFVHV-PHTAMDTFSA-N 0 3 317.820 4.153 20 0 DIADHN COc1cc(CN2C[C@H](C)[C@@H]2C)c(-c2ccccc2)cc1OC ZINC000816648281 1042075751 /nfs/dbraw/zinc/07/57/51/1042075751.db2.gz ICSSRNNWQFYIDB-GJZGRUSLSA-N 0 3 311.425 4.211 20 0 DIADHN Cc1nnc(CN2CCC[C@@]2(C)Cc2cccc3ccccc32)o1 ZINC000662165030 1042076181 /nfs/dbraw/zinc/07/61/81/1042076181.db2.gz ZIIJNUBHBGEHDI-FQEVSTJZSA-N 0 3 321.424 4.128 20 0 DIADHN CCCCN(Cc1ncc(CN(C)C)s1)[C@H]1CCC[C@H](C)C1 ZINC000816658431 1042076427 /nfs/dbraw/zinc/07/64/27/1042076427.db2.gz MPOGHJRFFURYOL-HOTGVXAUSA-N 0 3 323.550 4.386 20 0 DIADHN Cc1nnc(CN2CCC[C@]2(C)Cc2cccc3ccccc32)o1 ZINC000662165031 1042076400 /nfs/dbraw/zinc/07/64/00/1042076400.db2.gz ZIIJNUBHBGEHDI-HXUWFJFHSA-N 0 3 321.424 4.128 20 0 DIADHN COc1cc(CN2C[C@@H](C)[C@@H]2C)c(-c2ccccc2)cc1OC ZINC000816648280 1042076696 /nfs/dbraw/zinc/07/66/96/1042076696.db2.gz ICSSRNNWQFYIDB-CABCVRRESA-N 0 3 311.425 4.211 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN1CCC(C)(CC(C)C)CC1 ZINC001170837828 1042077451 /nfs/dbraw/zinc/07/74/51/1042077451.db2.gz XMOBTZHLPHYISR-KRWDZBQOSA-N 0 3 310.526 4.028 20 0 DIADHN Cc1ccc(-c2nnc(CN(CCC3CC3)CC3CC3)o2)cc1 ZINC000662181649 1042078846 /nfs/dbraw/zinc/07/88/46/1042078846.db2.gz WOOVVXKHTODNQD-UHFFFAOYSA-N 0 3 311.429 4.057 20 0 DIADHN C=C/C=C/CCN1CCN(c2ccc(Cl)c(F)c2)C[C@@H]1C ZINC001170845674 1042084857 /nfs/dbraw/zinc/08/48/57/1042084857.db2.gz CLMWCWKPCHIAFT-NNTXTVRGSA-N 0 3 308.828 4.122 20 0 DIADHN C=C/C=C/CCN1CCN(c2ccc(Cl)c(F)c2)C[C@H]1C ZINC001170845673 1042085097 /nfs/dbraw/zinc/08/50/97/1042085097.db2.gz CLMWCWKPCHIAFT-ISZGNANSSA-N 0 3 308.828 4.122 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC001135718467 1042085377 /nfs/dbraw/zinc/08/53/77/1042085377.db2.gz SQCGJDUFFSFNRI-QUCCMNQESA-N 0 3 316.489 4.156 20 0 DIADHN CN(C)[C@@H](CNC(=O)C(C)(C)C1CCCCC1)c1ccccc1 ZINC001135742419 1042087917 /nfs/dbraw/zinc/08/79/17/1042087917.db2.gz LWQNWDPFTBRFQE-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662215545 1042092130 /nfs/dbraw/zinc/09/21/30/1042092130.db2.gz NEMULNXDFQFKKS-INIZCTEOSA-N 0 3 306.409 4.083 20 0 DIADHN CC1CCC(N2CCN(C(=O)CCC3CCCCC3)CC2)CC1 ZINC001126217512 1042097509 /nfs/dbraw/zinc/09/75/09/1042097509.db2.gz AICKXYBXMZWJJE-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN O[C@H](CN1CCC[C@@H](CC(F)(F)F)C1)c1ccc(Cl)cc1 ZINC000817281717 1042100119 /nfs/dbraw/zinc/10/01/19/1042100119.db2.gz OGNNUMIYWPJWDB-SMDDNHRTSA-N 0 3 321.770 4.038 20 0 DIADHN CN(CC1=CCSC1)Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000662266549 1042101200 /nfs/dbraw/zinc/10/12/00/1042101200.db2.gz IJXNVXGTJVDHEO-UHFFFAOYSA-N 0 3 321.795 4.464 20 0 DIADHN CC(C)N(Cc1ccnc(N(C)C)c1)[C@@H](C)c1ccsc1 ZINC000817288501 1042101532 /nfs/dbraw/zinc/10/15/32/1042101532.db2.gz YXVUXPUPTCONQF-AWEZNQCLSA-N 0 3 303.475 4.181 20 0 DIADHN CC1CCC(N2CCN(C(=O)CCCCC(C)(C)C)CC2)CC1 ZINC001126218414 1042101558 /nfs/dbraw/zinc/10/15/58/1042101558.db2.gz MBTJNVSLPIZXBG-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN CC(C)Oc1ccc(CN(C)CC2=CCSC2)cc1Cl ZINC000662265410 1042102845 /nfs/dbraw/zinc/10/28/45/1042102845.db2.gz ZNLRGGBMJFCHGZ-UHFFFAOYSA-N 0 3 311.878 4.232 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cccc(C(C)C)c2)c1 ZINC000663314432 1042111123 /nfs/dbraw/zinc/11/11/23/1042111123.db2.gz FMEXSNZYGXMGOE-UHFFFAOYSA-N 0 3 310.441 4.448 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)C(C)(C)c2ccccc2)cs1 ZINC000165213594 1042112491 /nfs/dbraw/zinc/11/24/91/1042112491.db2.gz DIHUFXQUNZTZPA-ZIAGYGMSSA-N 0 3 318.486 4.306 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@@H](C)c2ccccc2)cs1 ZINC000165220180 1042113155 /nfs/dbraw/zinc/11/31/55/1042113155.db2.gz ZUFMQOWAZYBQHJ-MGPQQGTHSA-N 0 3 304.459 4.132 20 0 DIADHN CO[C@H](C)c1nc(CN[C@H](C)[C@H](C)c2ccccc2)cs1 ZINC000165220293 1042113500 /nfs/dbraw/zinc/11/35/00/1042113500.db2.gz ZUFMQOWAZYBQHJ-BFHYXJOUSA-N 0 3 304.459 4.132 20 0 DIADHN CC1(CN[C@H](c2nc(Br)cs2)C2CC2)CCC1 ZINC000817580323 1042116359 /nfs/dbraw/zinc/11/63/59/1042116359.db2.gz IILJOKCBYCKFEV-NSHDSACASA-N 0 3 315.280 4.137 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccncc1Cl)[C@@H](O)c1ccccc1 ZINC000817583565 1042117149 /nfs/dbraw/zinc/11/71/49/1042117149.db2.gz DAUSIENETISUTK-KKXDTOCCSA-N 0 3 318.848 4.288 20 0 DIADHN COc1cc(Cl)ccc1[C@H](C)N[C@H](C)[C@H](O)c1ccccc1 ZINC000817584421 1042117901 /nfs/dbraw/zinc/11/79/01/1042117901.db2.gz CELNGUVGDLKVDW-JCGVRSQUSA-N 0 3 319.832 4.121 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CC[C@H]2CCc3ccccc32)c1 ZINC001135963828 1042121720 /nfs/dbraw/zinc/12/17/20/1042121720.db2.gz DYWVUDWFLJYDGD-GOSISDBHSA-N 0 3 322.452 4.197 20 0 DIADHN CC1(C)C[C@]1(NCc1ccc(N2CCCC2)nc1)c1ccccc1 ZINC000663338222 1042123628 /nfs/dbraw/zinc/12/36/28/1042123628.db2.gz PDZFWIVZHYWXIY-NRFANRHFSA-N 0 3 321.468 4.097 20 0 DIADHN c1ccc2c(c1)CCC[C@@H]2NCc1ccnc(N2CCCCC2)c1 ZINC000162465187 1042128021 /nfs/dbraw/zinc/12/80/21/1042128021.db2.gz ADVRZXHPLJLMEY-FQEVSTJZSA-N 0 3 321.468 4.239 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)Nc1cccc(N2CCCCC2)c1 ZINC000817909059 1042129307 /nfs/dbraw/zinc/12/93/07/1042129307.db2.gz WVPYALZVMJDALQ-OAHLLOKOSA-N 0 3 324.472 4.012 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)Nc1cccc(N2CCCCC2)c1 ZINC000817909053 1042129358 /nfs/dbraw/zinc/12/93/58/1042129358.db2.gz WVPYALZVMJDALQ-HNNXBMFYSA-N 0 3 324.472 4.012 20 0 DIADHN O=C(c1cccc2c1CNC2)N1CCCCC[C@H]1c1ccccc1 ZINC000662481962 1042134008 /nfs/dbraw/zinc/13/40/08/1042134008.db2.gz GCLLFTNYSLTQJT-FQEVSTJZSA-N 0 3 320.436 4.047 20 0 DIADHN Cc1nc(F)ccc1CN[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000278869083 1042138894 /nfs/dbraw/zinc/13/88/94/1042138894.db2.gz YKLDAFJVHMEZQP-SNVBAGLBSA-N 0 3 312.310 4.399 20 0 DIADHN CC(C)(C)[C@H]1CN(C(=O)c2cccc3c2CNC3)c2ccccc21 ZINC000662544456 1042141891 /nfs/dbraw/zinc/14/18/91/1042141891.db2.gz AKECHYKNUAUZNN-SFHVURJKSA-N 0 3 320.436 4.080 20 0 DIADHN CCN(C(=O)CN[C@@H](c1ccc(C)cc1)C1CC1)c1ccccc1 ZINC000818748059 1042159368 /nfs/dbraw/zinc/15/93/68/1042159368.db2.gz UTHDQBIDQJHEIJ-NRFANRHFSA-N 0 3 322.452 4.089 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccc(F)cc1Cl)c1ccccn1 ZINC000819018819 1042167510 /nfs/dbraw/zinc/16/75/10/1042167510.db2.gz YICTTXXJXYTZHW-RDJZCZTQSA-N 0 3 322.811 4.039 20 0 DIADHN CC1(C)CCC[C@H](CN2CCC[C@H]2CC(=O)c2cccs2)O1 ZINC001167810783 1042168375 /nfs/dbraw/zinc/16/83/75/1042168375.db2.gz HCSJHYNTGVUTTL-LSDHHAIUSA-N 0 3 321.486 4.133 20 0 DIADHN CC[C@@H](NCc1ccnn1CC)c1ccc2cc(OC)ccc2c1 ZINC000663468319 1042180467 /nfs/dbraw/zinc/18/04/67/1042180467.db2.gz SQIWFOKDAJCILA-HXUWFJFHSA-N 0 3 323.440 4.306 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnccc1C(F)(F)F)[C@@H](C)C2 ZINC000663470086 1042181777 /nfs/dbraw/zinc/18/17/77/1042181777.db2.gz IOAMHKUHHACIEO-YVEFUNNKSA-N 0 3 320.358 4.432 20 0 DIADHN CC1(C)CO[C@H](CN2CC=C(c3ccc(Cl)cc3)CC2)C1 ZINC001167820660 1042184664 /nfs/dbraw/zinc/18/46/64/1042184664.db2.gz VGTWLMJNSGEREG-KRWDZBQOSA-N 0 3 305.849 4.244 20 0 DIADHN C[C@H]1C[C@@H](NCc2cnccc2C(F)(F)F)c2ccccc21 ZINC000663475975 1042188779 /nfs/dbraw/zinc/18/87/79/1042188779.db2.gz WVTZQJNXSHLDQU-MEDUHNTESA-N 0 3 306.331 4.439 20 0 DIADHN COc1c(C)cnc(CN2CCC[C@H]2c2ccccc2C)c1C ZINC000303193964 1042197934 /nfs/dbraw/zinc/19/79/34/1042197934.db2.gz NBHGQVVGHHIEDM-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN CC[C@@H](NCc1ccc(OC)c(F)c1)c1ccc2c(c1)CCO2 ZINC000178530218 1042240617 /nfs/dbraw/zinc/24/06/17/1042240617.db2.gz IIAQNFLECPUUGJ-QGZVFWFLSA-N 0 3 315.388 4.010 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H]1CCc2cccc(F)c2C1 ZINC001170963209 1042249820 /nfs/dbraw/zinc/24/98/20/1042249820.db2.gz UGFVJISBBXUNPF-JSGCOSHPSA-N 0 3 317.379 4.182 20 0 DIADHN Cc1ccc([C@H](CNC(=O)CCCCCC(C)C)N(C)C)cc1 ZINC001136448908 1042251075 /nfs/dbraw/zinc/25/10/75/1042251075.db2.gz RILJJAHFFUURSL-IBGZPJMESA-N 0 3 318.505 4.320 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000647754057 1042265972 /nfs/dbraw/zinc/26/59/72/1042265972.db2.gz GCHWQBFUMZCIBK-GBESFXJTSA-N 0 3 320.521 4.068 20 0 DIADHN Fc1ccc([C@H](NCCc2cncs2)c2ccccc2)cc1 ZINC001167845516 1042266464 /nfs/dbraw/zinc/26/64/64/1042266464.db2.gz VZXRQTDECYZOJS-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)s2)cc1 ZINC000037985128 1042267186 /nfs/dbraw/zinc/26/71/86/1042267186.db2.gz DXFWIZCTOOFBMU-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN CO[C@H](C)c1nc(CNC2CCC(=C3CCC3)CC2)cs1 ZINC000638721322 1042269280 /nfs/dbraw/zinc/26/92/80/1042269280.db2.gz MXHYDSCOAUWPCT-GFCCVEGCSA-N 0 3 306.475 4.363 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@@H](CNCc2nc3ccccc3o2)C1 ZINC000638727547 1042273973 /nfs/dbraw/zinc/27/39/73/1042273973.db2.gz FKSHCDRIYBMERJ-VXGBXAGGSA-N 0 3 312.335 4.286 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1ccc(C(F)(F)F)o1)CC2 ZINC001170975544 1042274037 /nfs/dbraw/zinc/27/40/37/1042274037.db2.gz HZNNOPFSDDHEMQ-NSHDSACASA-N 0 3 313.294 4.085 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](CNCc2nc3ccccc3o2)C1 ZINC000638727541 1042274357 /nfs/dbraw/zinc/27/43/57/1042274357.db2.gz FKSHCDRIYBMERJ-NEPJUHHUSA-N 0 3 312.335 4.286 20 0 DIADHN Cc1cc(C2CCN(Cc3cccc(C(F)F)c3)CC2)n[nH]1 ZINC000279177640 1042280745 /nfs/dbraw/zinc/28/07/45/1042280745.db2.gz AYZNIBWTXSDULA-UHFFFAOYSA-N 0 3 305.372 4.035 20 0 DIADHN Cc1cc(C2CCN(Cc3cccc(C(F)F)c3)CC2)[nH]n1 ZINC000279177640 1042280749 /nfs/dbraw/zinc/28/07/49/1042280749.db2.gz AYZNIBWTXSDULA-UHFFFAOYSA-N 0 3 305.372 4.035 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCCC2)c1)c1cncc(F)c1 ZINC000168380453 1042280792 /nfs/dbraw/zinc/28/07/92/1042280792.db2.gz YLUXSKOLSXMXCN-LSDHHAIUSA-N 0 3 313.420 4.233 20 0 DIADHN CCCn1c(CNCC(CC)(CC)SC)nc2ccccc21 ZINC000318770467 1042292729 /nfs/dbraw/zinc/29/27/29/1042292729.db2.gz BWJUSNIEBVQSLD-UHFFFAOYSA-N 0 3 319.518 4.458 20 0 DIADHN CCC(CC)(NCN1CCSC1=O)c1ccc(Cl)cc1 ZINC000728900361 1042298910 /nfs/dbraw/zinc/29/89/10/1042298910.db2.gz HVDQQARBVLMADY-UHFFFAOYSA-N 0 3 312.866 4.071 20 0 DIADHN c1nc(-c2ccccn2)sc1CN1CCCc2ccccc2C1 ZINC000093085238 1042302678 /nfs/dbraw/zinc/30/26/78/1042302678.db2.gz AYCPCZNHIPVYOE-UHFFFAOYSA-N 0 3 321.449 4.154 20 0 DIADHN c1ccc(-c2ccccc2CNCc2cccc3c2OCO3)cc1 ZINC000006675675 1042306086 /nfs/dbraw/zinc/30/60/86/1042306086.db2.gz TVYSLWNWAOQPJH-UHFFFAOYSA-N 0 3 317.388 4.372 20 0 DIADHN CN(Cc1csc(Cc2ccccc2)n1)C(C1CC1)C1CC1 ZINC000050988782 1042307736 /nfs/dbraw/zinc/30/77/36/1042307736.db2.gz YSVVTKZCPLPQEC-UHFFFAOYSA-N 0 3 312.482 4.354 20 0 DIADHN Cc1cn2c(CN[C@@H]3CCCc4sccc43)c(C)nc2s1 ZINC000061360025 1042309870 /nfs/dbraw/zinc/30/98/70/1042309870.db2.gz FMJYTKFZYYETRY-CYBMUJFWSA-N 0 3 317.483 4.241 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@H]1CCc2cccc(F)c2C1 ZINC001171005613 1042312127 /nfs/dbraw/zinc/31/21/27/1042312127.db2.gz PPQPLKGJPPTXST-HNNXBMFYSA-N 0 3 305.343 4.093 20 0 DIADHN CC(C)C[C@H](C)N[C@@H](C)c1ncc(Br)cc1F ZINC000187391350 1042312516 /nfs/dbraw/zinc/31/25/16/1042312516.db2.gz SHJBUFYLEUIIBF-UWVGGRQHSA-N 0 3 303.219 4.068 20 0 DIADHN Cc1ccc([C@H](NCc2cccc(N(C)C)n2)C2CCC2)cc1 ZINC000187400181 1042313071 /nfs/dbraw/zinc/31/30/71/1042313071.db2.gz FJNHPQZQGQEBTC-HXUWFJFHSA-N 0 3 309.457 4.087 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1CCc2cccc(F)c2C1 ZINC001171006305 1042313809 /nfs/dbraw/zinc/31/38/09/1042313809.db2.gz XFILSEUSQUENRY-AWEZNQCLSA-N 0 3 305.343 4.093 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@@H]1CCc2cccc(F)c2C1 ZINC001171006306 1042314008 /nfs/dbraw/zinc/31/40/08/1042314008.db2.gz XFILSEUSQUENRY-CQSZACIVSA-N 0 3 305.343 4.093 20 0 DIADHN c1sc(C2CCCC2)nc1CN1CCC[C@@H]1C1CCOCC1 ZINC000348947557 1042324852 /nfs/dbraw/zinc/32/48/52/1042324852.db2.gz JLHRUVKZFRESHL-QGZVFWFLSA-N 0 3 320.502 4.192 20 0 DIADHN Cc1csc([C@@H](C)N[C@H]2CCc3c(Cl)cccc3C2)n1 ZINC001171017364 1042325022 /nfs/dbraw/zinc/32/50/22/1042325022.db2.gz HSXRONZOIJIANV-YPMHNXCESA-N 0 3 306.862 4.313 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H]2CCc3c(Cl)cccc3C2)cs1 ZINC001171017740 1042325428 /nfs/dbraw/zinc/32/54/28/1042325428.db2.gz KSIINMKKSAQIKA-ZWNOBZJWSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1ccc([C@H](CNC(=O)c2cccc(C(C)C)c2)N(C)C)cc1 ZINC001625918943 1042333183 /nfs/dbraw/zinc/33/31/83/1042333183.db2.gz ILDBNINIFYBBAK-FQEVSTJZSA-N 0 3 324.468 4.151 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccnc2)ccc1Oc1cccnc1 ZINC000112371003 1042340055 /nfs/dbraw/zinc/34/00/55/1042340055.db2.gz UBRNJZGUFJFULY-INIZCTEOSA-N 0 3 319.408 4.428 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)N[C@@H](C)CC)c1)c1ccccc1 ZINC000112530190 1042340998 /nfs/dbraw/zinc/34/09/98/1042340998.db2.gz GPRFYDUCLDPXFL-OXJNMPFZSA-N 0 3 324.468 4.456 20 0 DIADHN CC[C@H](NCc1cnccc1C)c1ccc(Br)cc1 ZINC000123226914 1042343275 /nfs/dbraw/zinc/34/32/75/1042343275.db2.gz VNIVVMWALCWQFI-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN COCc1cc([C@H](C)NCc2ccc(Cl)cc2)ccc1OC ZINC000123392891 1042345006 /nfs/dbraw/zinc/34/50/06/1042345006.db2.gz XQKMIKUHFHGVDP-ZDUSSCGKSA-N 0 3 319.832 4.346 20 0 DIADHN CC(C)c1ccc(CNC2(c3ccccc3)CCC2)c(O)c(=O)c1 ZINC000663825332 1042359553 /nfs/dbraw/zinc/35/95/53/1042359553.db2.gz DSFVUPUGHJOOQX-UHFFFAOYSA-N 0 3 323.436 4.045 20 0 DIADHN FC(F)(F)CCCNCc1nc(-c2ccccc2)cs1 ZINC000097988920 1042364979 /nfs/dbraw/zinc/36/49/79/1042364979.db2.gz XSGKNEJQOORHNI-UHFFFAOYSA-N 0 3 300.349 4.242 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H](c2ccccc2)[C@@H](C)O)cc1 ZINC000658360258 1042366971 /nfs/dbraw/zinc/36/69/71/1042366971.db2.gz HOOQGPTUAIKJNU-YRNRMSPPSA-N 0 3 313.441 4.247 20 0 DIADHN C[C@H](NCCn1ccnc1)c1ccc(Cl)c(Cl)c1Cl ZINC000041119621 1042392506 /nfs/dbraw/zinc/39/25/06/1042392506.db2.gz FBCQYFZHHYPLSG-VIFPVBQESA-N 0 3 318.635 4.194 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3cnccc32)cc1F ZINC000237480227 1042375849 /nfs/dbraw/zinc/37/58/49/1042375849.db2.gz XIRCYCDSUTTWOG-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1nn(C)c(C)c1CN(C)[C@H](C)c1cccc2ccccc21 ZINC000728923516 1042379778 /nfs/dbraw/zinc/37/97/78/1042379778.db2.gz RZKWXTAGCWUDDE-OAHLLOKOSA-N 0 3 307.441 4.383 20 0 DIADHN Cc1ccc([C@H](O)CNCc2cccc(Cl)c2Cl)cc1 ZINC000237791184 1042380070 /nfs/dbraw/zinc/38/00/70/1042380070.db2.gz BIGRKWCJAPBACE-OAHLLOKOSA-N 0 3 310.224 4.125 20 0 DIADHN CC[C@H](CCO)CNCc1c(Cl)ccc(Cl)c1Cl ZINC000147897851 1042388422 /nfs/dbraw/zinc/38/84/22/1042388422.db2.gz WMZDIBCADKYRJD-SECBINFHSA-N 0 3 310.652 4.145 20 0 DIADHN C[C@@H](NCCc1ccncc1)c1cc(F)c(Cl)cc1Cl ZINC000047979608 1042394635 /nfs/dbraw/zinc/39/46/35/1042394635.db2.gz XAIDOIMGLWWLFQ-SNVBAGLBSA-N 0 3 313.203 4.421 20 0 DIADHN Cc1cc(CNCc2cccc3cc[nH]c32)c2c(n1)CCCC2 ZINC000893930009 1042396380 /nfs/dbraw/zinc/39/63/80/1042396380.db2.gz OZRQXLQLWTUSBH-UHFFFAOYSA-N 0 3 305.425 4.040 20 0 DIADHN CCOC[C@H]1CCCN(Cc2cc3ccnc(Cl)c3s2)C1 ZINC000894026303 1042403617 /nfs/dbraw/zinc/40/36/17/1042403617.db2.gz KRPNQTFIXAKCFX-LBPRGKRZSA-N 0 3 324.877 4.198 20 0 DIADHN C[C@@H]1CCN(Cc2nnc(-c3ccccc3)o2)[C@H]2CCCC[C@H]12 ZINC000051765948 1042412602 /nfs/dbraw/zinc/41/26/02/1042412602.db2.gz RMZRMRJJJKRXMJ-OIISXLGYSA-N 0 3 311.429 4.137 20 0 DIADHN CC1(CO)CCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000401795299 1042413015 /nfs/dbraw/zinc/41/30/15/1042413015.db2.gz YMMBNKGKOYAXJF-UHFFFAOYSA-N 0 3 309.862 4.149 20 0 DIADHN C[C@@H](NCc1ccnn1C)c1ccc(-c2ccc(F)cc2)s1 ZINC000152196919 1042431074 /nfs/dbraw/zinc/43/10/74/1042431074.db2.gz DTIDELPRPNBDDG-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@@H]3c3ccncc3)cc2c1 ZINC000411421182 1042446122 /nfs/dbraw/zinc/44/61/22/1042446122.db2.gz RCOUMTXCBJIUDQ-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2occc2C(C)C)c1 ZINC000339943758 1042452697 /nfs/dbraw/zinc/45/26/97/1042452697.db2.gz CWOVMEDSPNIRMG-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCOc2ccc3ccccc3c2)on1 ZINC000052007887 1042460810 /nfs/dbraw/zinc/46/08/10/1042460810.db2.gz XTZATICACLXDSN-IBGZPJMESA-N 0 3 322.408 4.352 20 0 DIADHN CC(C)n1ccc(CN2C[C@H](c3ccccc3)C3(CCC3)C2)n1 ZINC000411987894 1042465183 /nfs/dbraw/zinc/46/51/83/1042465183.db2.gz QEUSXELNCWCHBS-LJQANCHMSA-N 0 3 309.457 4.234 20 0 DIADHN CCc1nc(C)c(CN2CCC(c3ccc(F)cc3C)CC2)o1 ZINC000428753530 1042470402 /nfs/dbraw/zinc/47/04/02/1042470402.db2.gz WWQFEYJZVMXGMZ-UHFFFAOYSA-N 0 3 316.420 4.373 20 0 DIADHN CN(Cc1ccn(CC(F)(F)F)n1)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000419333481 1042471062 /nfs/dbraw/zinc/47/10/62/1042471062.db2.gz MDDBCUZXYPSMRA-UONOGXRCSA-N 0 3 317.399 4.092 20 0 DIADHN Cc1noc2ncc(CN[C@H](CC(C)C)c3ccccc3)cc12 ZINC000894144011 1042471178 /nfs/dbraw/zinc/47/11/78/1042471178.db2.gz ZHISRLQPPIBGAM-GOSISDBHSA-N 0 3 309.413 4.408 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2F)s1)N1C[C@@H](C)[C@@H](C)[C@@H]1C ZINC000419351349 1042475709 /nfs/dbraw/zinc/47/57/09/1042475709.db2.gz IYPZGXQTKSWAQO-FVCCEPFGSA-N 0 3 319.449 4.382 20 0 DIADHN CC[C@@H](NCc1cnc2onc(C)c2c1)c1ccc(Cl)cc1 ZINC000894165239 1042481015 /nfs/dbraw/zinc/48/10/15/1042481015.db2.gz AMXXUDNMHVXANZ-MRXNPFEDSA-N 0 3 315.804 4.426 20 0 DIADHN CC(C)OCc1ccccc1CNCc1nccc2ccccc21 ZINC000894166633 1042482529 /nfs/dbraw/zinc/48/25/29/1042482529.db2.gz YXQKDDXXOCMQTH-UHFFFAOYSA-N 0 3 320.436 4.450 20 0 DIADHN Cc1ccc(C(=O)C2CCN(Cc3ccccc3C)CC2)cc1 ZINC000614359016 1042482805 /nfs/dbraw/zinc/48/28/05/1042482805.db2.gz KEHSMRHLYYKGOV-UHFFFAOYSA-N 0 3 307.437 4.398 20 0 DIADHN CC(C)COC[C@H](NC1C[C@H]2CC[C@@H](C1)S2)c1ccco1 ZINC000924890531 1042494414 /nfs/dbraw/zinc/49/44/14/1042494414.db2.gz REVLQJUNPMONMG-UYTSQGDYSA-N 0 3 309.475 4.010 20 0 DIADHN CN(Cc1ccccc1Br)C1Cc2ccccc2C1 ZINC000513450065 1042543300 /nfs/dbraw/zinc/54/33/00/1042543300.db2.gz GKVSYNMLSKTVGW-UHFFFAOYSA-N 0 3 316.242 4.048 20 0 DIADHN Fc1cccc([C@@H]2CCN(CC3=Cc4ccccc4OC3)C2)c1 ZINC000513472958 1042545878 /nfs/dbraw/zinc/54/58/78/1042545878.db2.gz OYZYLELJABUDQD-GOSISDBHSA-N 0 3 309.384 4.091 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cnn(C(C)(C)C)c2)CC1 ZINC000513506589 1042547980 /nfs/dbraw/zinc/54/79/80/1042547980.db2.gz AGJBQBDFPOKQEH-UHFFFAOYSA-N 0 3 311.473 4.326 20 0 DIADHN Cn1cc(CNC2(c3ccc(C(C)(C)C)cc3)CCCC2)cn1 ZINC000513514739 1042549995 /nfs/dbraw/zinc/54/99/95/1042549995.db2.gz YJXSWWVPAKPOBQ-UHFFFAOYSA-N 0 3 311.473 4.277 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCC[C@@H](C)C2)c(Cl)c1 ZINC000026467764 1042554268 /nfs/dbraw/zinc/55/42/68/1042554268.db2.gz WPNFTBFNQSTOHM-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccnc([C@@H](C)NCCOc2cccc3ccccc32)c1 ZINC000513668127 1042557843 /nfs/dbraw/zinc/55/78/43/1042557843.db2.gz WANVJSJNSDFFPK-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ccc(CSC(F)F)o2)CC1 ZINC000280144304 1042565742 /nfs/dbraw/zinc/56/57/42/1042565742.db2.gz DHNZOZMTQNROMD-HNNXBMFYSA-N 0 3 319.417 4.126 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ncc(-c3ccc(C)cc3)o2)CC1 ZINC000280482554 1042574486 /nfs/dbraw/zinc/57/44/86/1042574486.db2.gz LNFMDHAFDQLJDH-IBGZPJMESA-N 0 3 314.429 4.041 20 0 DIADHN Cc1noc2ncc(CN[C@H]3CCC(C)(C)c4ccccc43)cc12 ZINC000894242178 1042576136 /nfs/dbraw/zinc/57/61/36/1042576136.db2.gz URNSACIIZSQSBV-SFHVURJKSA-N 0 3 321.424 4.434 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1C[C@H](C)[C@@H](C)[C@@H]1C ZINC000419398734 1042579583 /nfs/dbraw/zinc/57/95/83/1042579583.db2.gz XVKJAJNUMHUIMV-XHSDSOJGSA-N 0 3 302.462 4.033 20 0 DIADHN CN(C)CC[C@@H](Oc1ccc2c(c1)C(=O)CCC2)c1ccccc1 ZINC000039340572 1042589453 /nfs/dbraw/zinc/58/94/53/1042589453.db2.gz RDSROHMTEURDGO-OAQYLSRUSA-N 0 3 323.436 4.277 20 0 DIADHN CCCC1(c2noc(C3CN(C4CCCCC4)C3)n2)CCC1 ZINC000420519665 1042606128 /nfs/dbraw/zinc/60/61/28/1042606128.db2.gz AWJGNPVZHFRFQM-UHFFFAOYSA-N 0 3 303.450 4.023 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(c2ccncn2)CC1 ZINC000414475279 1042613578 /nfs/dbraw/zinc/61/35/78/1042613578.db2.gz ZTKNZATUKUWCHD-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc(Cl)c3ccccc23)cnn1C ZINC000420933810 1042615916 /nfs/dbraw/zinc/61/59/16/1042615916.db2.gz INECJJIDOBQGIW-GFCCVEGCSA-N 0 3 313.832 4.386 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC[C@@H](C4CCCC4)C3)o2)cc1 ZINC000420966324 1042617418 /nfs/dbraw/zinc/61/74/18/1042617418.db2.gz VFAQQIDQHPVRJG-QGZVFWFLSA-N 0 3 311.429 4.057 20 0 DIADHN COc1cccc([C@@H](C)N2CCC[C@@H](CC(F)(F)F)C2)c1 ZINC000420942530 1042618925 /nfs/dbraw/zinc/61/89/25/1042618925.db2.gz HBTKRXOQLXFISW-OLZOCXBDSA-N 0 3 301.352 4.421 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(Br)c2)cc(C)n1 ZINC000421207083 1042627680 /nfs/dbraw/zinc/62/76/80/1042627680.db2.gz VLHXEDZXZMPCIP-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2cccc(C3CC3)c2)cc1 ZINC000421337554 1042631683 /nfs/dbraw/zinc/63/16/83/1042631683.db2.gz ZLYAKXFJKOSAST-UHFFFAOYSA-N 0 3 322.452 4.448 20 0 DIADHN OCC[C@@H](NCc1cccc(C2CC2)c1)c1ccc(Cl)cc1 ZINC000421354381 1042631736 /nfs/dbraw/zinc/63/17/36/1042631736.db2.gz HKJCUNLRPVFBFD-LJQANCHMSA-N 0 3 315.844 4.431 20 0 DIADHN Cc1cc(CN2CCC[C@@H](CC(F)(F)F)C2)cc(C)c1O ZINC000421394467 1042635921 /nfs/dbraw/zinc/63/59/21/1042635921.db2.gz SZGPGVDMQFFOHA-ZDUSSCGKSA-N 0 3 301.352 4.173 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000072698297 1042641601 /nfs/dbraw/zinc/64/16/01/1042641601.db2.gz FQFJQNAZPOQDEY-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000072698295 1042641981 /nfs/dbraw/zinc/64/19/81/1042641981.db2.gz FQFJQNAZPOQDEY-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@H](C)c2ccncc2Cl)cc1 ZINC000421828616 1042654455 /nfs/dbraw/zinc/65/44/55/1042654455.db2.gz DOPRYMJTJCMXDY-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2)C1)c1ccncc1Cl ZINC000421828386 1042654560 /nfs/dbraw/zinc/65/45/60/1042654560.db2.gz CMQIMHVLIBYWHK-HUUCEWRRSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1Cl)[C@@H]1Oc2ccccc2[C@H]1C ZINC000421842854 1042659031 /nfs/dbraw/zinc/65/90/31/1042659031.db2.gz LSBFCMMJNGGPKE-SJBDTSRBSA-N 0 3 316.832 4.339 20 0 DIADHN c1ccc([C@@H](NC[C@H]2CC23CCSCC3)c2ccccn2)cc1 ZINC000421877928 1042660308 /nfs/dbraw/zinc/66/03/08/1042660308.db2.gz LNPPXMWAVKOBCK-IEBWSBKVSA-N 0 3 324.493 4.294 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1cc(C)nc(C)c1 ZINC000421887140 1042660701 /nfs/dbraw/zinc/66/07/01/1042660701.db2.gz SKCWIOPYDPBBJP-ZDUSSCGKSA-N 0 3 303.475 4.438 20 0 DIADHN C[C@H](N[C@H](CC(F)F)c1ccccc1)c1cccc(CO)c1 ZINC000421871299 1042661497 /nfs/dbraw/zinc/66/14/97/1042661497.db2.gz FTUFRKLIOAIHQA-SUMWQHHRSA-N 0 3 305.368 4.226 20 0 DIADHN Cc1ccnc(N)c1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000894266456 1042665717 /nfs/dbraw/zinc/66/57/17/1042665717.db2.gz NVNMEUXTVAMTKZ-YLQAJVPDSA-N 0 3 315.848 4.113 20 0 DIADHN CSc1ccc(C)c(NC(=O)c2ccc(CN(C)C)cc2)c1 ZINC000271763916 1042668134 /nfs/dbraw/zinc/66/81/34/1042668134.db2.gz AHSYPEMKUBQGHF-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN COCCOc1ccc([C@@H](C)NC2(c3ccccc3)CC2)cc1 ZINC000271947629 1042672914 /nfs/dbraw/zinc/67/29/14/1042672914.db2.gz DFMHWWPWQSOTMF-MRXNPFEDSA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H](NC1(c2cccc(Cl)c2)CC1)c1cccc(CO)c1 ZINC000271951821 1042672929 /nfs/dbraw/zinc/67/29/29/1042672929.db2.gz BNAOMTMWIWWMDH-ZDUSSCGKSA-N 0 3 301.817 4.172 20 0 DIADHN COCc1cc([C@@H](C)NC2(c3ccccc3)CC2)ccc1OC ZINC000272032925 1042675588 /nfs/dbraw/zinc/67/55/88/1042675588.db2.gz ULQOSFPNNYXPGV-OAHLLOKOSA-N 0 3 311.425 4.182 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](C)Oc1ccc(Cl)cc1 ZINC000760018595 1042692412 /nfs/dbraw/zinc/69/24/12/1042692412.db2.gz QKBZWWFWYPOLKH-NWDGAFQWSA-N 0 3 322.836 4.317 20 0 DIADHN CC(C)C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccccn1 ZINC000423351827 1042707904 /nfs/dbraw/zinc/70/79/04/1042707904.db2.gz JAFPIPAMGVAFBI-QUCCMNQESA-N 0 3 309.457 4.418 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3cc(N)ccc32)c(F)c1 ZINC000423354908 1042708741 /nfs/dbraw/zinc/70/87/41/1042708741.db2.gz OHZHPCQLQOXLLH-HXPMCKFVSA-N 0 3 314.404 4.145 20 0 DIADHN c1ccc2c(c1)CCSC[C@@H]2NCc1cccc2c1CCCN2 ZINC000423414730 1042711017 /nfs/dbraw/zinc/71/10/17/1042711017.db2.gz NTEKMPWROOYFCF-FQEVSTJZSA-N 0 3 324.493 4.165 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1nccc2ccccc21 ZINC000423414734 1042711415 /nfs/dbraw/zinc/71/14/15/1042711415.db2.gz NTNHUEREGAWRFO-HNNXBMFYSA-N 0 3 317.436 4.444 20 0 DIADHN C[C@H](NCc1cccc2c1CCCN2)c1ccc(F)c(F)c1 ZINC000423408672 1042711634 /nfs/dbraw/zinc/71/16/34/1042711634.db2.gz ATHUEXJOQBPYRD-LBPRGKRZSA-N 0 3 302.368 4.174 20 0 DIADHN c1ccc2c(c1)CCSC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423435313 1042713370 /nfs/dbraw/zinc/71/33/70/1042713370.db2.gz DNUYMNAIDYEUJG-HXUWFJFHSA-N 0 3 324.493 4.165 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2ccc3c(c2)CCCN3)C1 ZINC000423435967 1042713595 /nfs/dbraw/zinc/71/35/95/1042713595.db2.gz FCMYDRGBHFCWKN-GOSISDBHSA-N 0 3 310.441 4.441 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccc(F)cc1 ZINC000423427935 1042713662 /nfs/dbraw/zinc/71/36/62/1042713662.db2.gz QVRYSSGZDCKKKB-SJCJKPOMSA-N 0 3 314.404 4.361 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCOc3cc(C)c(C)cc32)c1 ZINC000423470172 1042714959 /nfs/dbraw/zinc/71/49/59/1042714959.db2.gz CYYVDSUZWBLHAG-IBGZPJMESA-N 0 3 310.441 4.198 20 0 DIADHN COc1cccc2cc([C@H](C)NCc3cc(C)cc(N)c3)oc21 ZINC000423484232 1042716963 /nfs/dbraw/zinc/71/69/63/1042716963.db2.gz UCVUUYSODUXELF-ZDUSSCGKSA-N 0 3 310.397 4.183 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000423757595 1042720477 /nfs/dbraw/zinc/72/04/77/1042720477.db2.gz LPQLKCXJBZVZQM-CTNGQTDRSA-N 0 3 324.468 4.241 20 0 DIADHN CCN(C(=O)c1cccc(CN(C)C)c1)c1cc(C)cc(C)c1 ZINC000072885536 1042723945 /nfs/dbraw/zinc/72/39/45/1042723945.db2.gz XLELQRKNRQXIFR-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN CC(C)n1cncc1CN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000424149264 1042726705 /nfs/dbraw/zinc/72/67/05/1042726705.db2.gz WCWJVZUEPXLLKB-SCLBCKFNSA-N 0 3 301.409 4.016 20 0 DIADHN CC(C)n1cncc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000424155652 1042727649 /nfs/dbraw/zinc/72/76/49/1042727649.db2.gz NJIAZBZVJDCAMG-GOSISDBHSA-N 0 3 301.409 4.234 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC/C=C\c1ccncc1 ZINC000424195064 1042729123 /nfs/dbraw/zinc/72/91/23/1042729123.db2.gz CCWKPMWUWWMPBV-PLNGDYQASA-N 0 3 316.832 4.282 20 0 DIADHN CCC1(CC)CCCN(C(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000664382340 1042730526 /nfs/dbraw/zinc/73/05/26/1042730526.db2.gz HTQHWKURCDAWJU-UHFFFAOYSA-N 0 3 317.477 4.182 20 0 DIADHN COc1ccc([C@@H](C)NCCc2csc(C)n2)c(Cl)c1 ZINC000424266499 1042731374 /nfs/dbraw/zinc/73/13/74/1042731374.db2.gz LCOQXGDWHZYVRP-SNVBAGLBSA-N 0 3 310.850 4.007 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](NCc3cc(Cl)sc3Cl)C[C@H]2C1 ZINC000424279995 1042733008 /nfs/dbraw/zinc/73/30/08/1042733008.db2.gz GSWJDRLLTTWFMF-RKBOTGTGSA-N 0 3 320.285 4.348 20 0 DIADHN c1c2ccccc2oc1[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1cccnc1 ZINC000424360007 1042737081 /nfs/dbraw/zinc/73/70/81/1042737081.db2.gz VRZBSDHEZLIKCZ-AXVVYFOYSA-N 0 3 304.393 4.305 20 0 DIADHN COc1ccc([C@H](C)NCC2(C(F)(F)F)CC2)c(Cl)c1 ZINC000424342475 1042737858 /nfs/dbraw/zinc/73/78/58/1042737858.db2.gz DDFHCZCLIPEXGN-VIFPVBQESA-N 0 3 307.743 4.342 20 0 DIADHN C[C@H](NC(=O)CCC1CCCCC1)[C@H](c1ccccc1)N(C)C ZINC001269735313 1042755430 /nfs/dbraw/zinc/75/54/30/1042755430.db2.gz ZPGSDNRBYNADFV-OXJNMPFZSA-N 0 3 316.489 4.155 20 0 DIADHN COc1cccc(COCCN[C@H](C)c2ccccc2Cl)c1 ZINC000513859105 1042759312 /nfs/dbraw/zinc/75/93/12/1042759312.db2.gz HWGADJGWQNEOGD-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1cc(C)no1)c1ccc(Cl)cc1 ZINC000513894018 1042762058 /nfs/dbraw/zinc/76/20/58/1042762058.db2.gz FIEZGNHAOLNVIY-DOTOQJQBSA-N 0 3 320.820 4.161 20 0 DIADHN CCCCN(CC(=O)N[C@H](C)CC)[C@H](CC)c1ccccc1 ZINC000514186905 1042773241 /nfs/dbraw/zinc/77/32/41/1042773241.db2.gz UAGILDCUCIMNPM-SJLPKXTDSA-N 0 3 304.478 4.155 20 0 DIADHN CCOCCNCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000514233741 1042775864 /nfs/dbraw/zinc/77/58/64/1042775864.db2.gz DTTRACDDKLLPFN-UHFFFAOYSA-N 0 3 313.319 4.092 20 0 DIADHN Cc1cc(CN[C@H](c2cncc(F)c2)C2CC2)ccc1Cl ZINC000281654183 1042781731 /nfs/dbraw/zinc/78/17/31/1042781731.db2.gz PWIYXIUSBRUTME-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN OC[C@@H]1CCCN([C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000514710904 1042788795 /nfs/dbraw/zinc/78/87/95/1042788795.db2.gz NWUUFVOHMBSIQW-BEFAXECRSA-N 0 3 315.844 4.134 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1ccc(C(F)F)cc1 ZINC000514817452 1042793266 /nfs/dbraw/zinc/79/32/66/1042793266.db2.gz FPKPXNWYBOTSJV-UHFFFAOYSA-N 0 3 320.383 4.058 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1C[C@H](C)C[C@@H](C)C1 ZINC000514849513 1042793681 /nfs/dbraw/zinc/79/36/81/1042793681.db2.gz AMKMUBKSBHTDGF-INMHGKMJSA-N 0 3 316.489 4.423 20 0 DIADHN CC1(C)C[C@H](c2ccccc2)N(Cc2cnc(C3CC3)nc2)C1 ZINC000425370730 1042800105 /nfs/dbraw/zinc/80/01/05/1042800105.db2.gz JTYNQAJJPPDTPL-GOSISDBHSA-N 0 3 307.441 4.327 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@H](C)c1cccc2ccccc21 ZINC000514963125 1042802105 /nfs/dbraw/zinc/80/21/05/1042802105.db2.gz UMTUXWOZPZFSTK-HZPDHXFCSA-N 0 3 312.457 4.041 20 0 DIADHN Fc1ccc(C2=CCN(CCOc3cccc(F)c3)CC2)cc1 ZINC000515037974 1042806442 /nfs/dbraw/zinc/80/64/42/1042806442.db2.gz ACTYCJFDYZKGRR-UHFFFAOYSA-N 0 3 315.363 4.133 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2c(F)cccc2N2CCCC2)CS1 ZINC000515417183 1042818998 /nfs/dbraw/zinc/81/89/98/1042818998.db2.gz IBXDQARJXMFCHN-RDTXWAMCSA-N 0 3 322.493 4.046 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](C)[C@H]3CCCC[C@H]32)c1C ZINC000515547987 1042825250 /nfs/dbraw/zinc/82/52/50/1042825250.db2.gz BQPMMWDQVFTRJC-KVSKMBFKSA-N 0 3 314.473 4.143 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)[C@@H](C)c1ccc(C)cc1 ZINC000515544227 1042825728 /nfs/dbraw/zinc/82/57/28/1042825728.db2.gz PJVWUBDSAOJISO-INIZCTEOSA-N 0 3 311.425 4.269 20 0 DIADHN Cc1cc(CN(CCC(F)(F)F)CC2CC2)cc(C)c1O ZINC000515557459 1042826089 /nfs/dbraw/zinc/82/60/89/1042826089.db2.gz ZRGANMWYVKZFMO-UHFFFAOYSA-N 0 3 301.352 4.173 20 0 DIADHN CCCCN(Cc1nc(C(F)F)no1)[C@@H]1CCC[C@H](C)C1 ZINC000425479440 1042829047 /nfs/dbraw/zinc/82/90/47/1042829047.db2.gz OBWKYFXHROYQMK-NWDGAFQWSA-N 0 3 301.381 4.188 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1CCN(CC2CC2)CC1 ZINC000515782172 1042835673 /nfs/dbraw/zinc/83/56/73/1042835673.db2.gz XNNNTHBQBMOXSF-GFCCVEGCSA-N 0 3 313.272 4.082 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN1CCC(C2CC2)CC1 ZINC000664567157 1042841152 /nfs/dbraw/zinc/84/11/52/1042841152.db2.gz UPKJHFLPBHYBGJ-UHFFFAOYSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccc([C@H](N[C@H](c2nc[nH]n2)C2CCCCC2)C2CC2)cc1 ZINC000638347082 1042848692 /nfs/dbraw/zinc/84/86/92/1042848692.db2.gz OHWJGKVGBOSQOY-OALUTQOASA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccc([C@H]([NH2+][C@H](c2nnc[n-]2)C2CCCCC2)C2CC2)cc1 ZINC000638347082 1042848697 /nfs/dbraw/zinc/84/86/97/1042848697.db2.gz OHWJGKVGBOSQOY-OALUTQOASA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccc2nccc(N3C[C@@H](C)O[C@@H](CC(F)(F)F)C3)c2c1 ZINC000664635806 1042861378 /nfs/dbraw/zinc/86/13/78/1042861378.db2.gz RIZXTWCDWWVRNM-OLZOCXBDSA-N 0 3 324.346 4.089 20 0 DIADHN CC(C)(C)CCCCCC(=O)N1CC2(C1)CN(C1CCCC1)C2 ZINC001270238347 1042864402 /nfs/dbraw/zinc/86/44/02/1042864402.db2.gz RRNIOITYJQNZBS-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCC[C@H]2c2ccccc2Cl)[n-]1 ZINC000517071381 1042865470 /nfs/dbraw/zinc/86/54/70/1042865470.db2.gz HYUGTYVPJVPHGL-DZGCQCFKSA-N 0 3 318.852 4.007 20 0 DIADHN CCc1nnc(CN[C@H]2CCCC[C@@H](c3ccccc3)C2)s1 ZINC000517060034 1042865739 /nfs/dbraw/zinc/86/57/39/1042865739.db2.gz BUBIJTWGSMXVTM-CVEARBPZSA-N 0 3 315.486 4.307 20 0 DIADHN CC[C@@H](NC[C@]1(C)OCCc2sccc21)c1ccccc1F ZINC000517205756 1042872022 /nfs/dbraw/zinc/87/20/22/1042872022.db2.gz JPGPUHSFMUZFOO-AEFFLSMTSA-N 0 3 319.445 4.416 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](c3ccco3)N(C)C)oc2c1 ZINC000425926579 1042875978 /nfs/dbraw/zinc/87/59/78/1042875978.db2.gz XRQDABIIFIYLJY-GOEBONIOSA-N 0 3 312.413 4.288 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@H](C)c1ccccc1 ZINC001126458683 1042882517 /nfs/dbraw/zinc/88/25/17/1042882517.db2.gz DUIWZPDHBICCOH-MJGOQNOKSA-N 0 3 316.489 4.249 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NCc1ccc2[nH]cnc2c1 ZINC000517537197 1042885205 /nfs/dbraw/zinc/88/52/05/1042885205.db2.gz IZXJPTXDSJPSNB-CQSZACIVSA-N 0 3 313.401 4.029 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NCc1ccc2nc[nH]c2c1 ZINC000517537197 1042885208 /nfs/dbraw/zinc/88/52/08/1042885208.db2.gz IZXJPTXDSJPSNB-CQSZACIVSA-N 0 3 313.401 4.029 20 0 DIADHN COc1ccc(C(C)(C)CNCc2c(F)cccc2F)cc1 ZINC000517545633 1042886548 /nfs/dbraw/zinc/88/65/48/1042886548.db2.gz WKJLMTDBQKMGPN-UHFFFAOYSA-N 0 3 305.368 4.041 20 0 DIADHN CCN(Cc1ccc(C(=O)OC)cc1)[C@H](C)c1ccc(F)cc1 ZINC000517624705 1042888738 /nfs/dbraw/zinc/88/87/38/1042888738.db2.gz VLMHIPTWPPIJHP-CQSZACIVSA-N 0 3 315.388 4.195 20 0 DIADHN Clc1ccc2[nH]c([C@@H]3CCCN3C[C@@H]3CCSC3)nc2c1 ZINC000425971833 1042889584 /nfs/dbraw/zinc/88/95/84/1042889584.db2.gz SPKIODGLYDLNLJ-NHYWBVRUSA-N 0 3 321.877 4.106 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H](C)[C@@H]3CC3(C)C)n2)ccc1F ZINC000425970268 1042890320 /nfs/dbraw/zinc/89/03/20/1042890320.db2.gz ZPTJSUOHPOSORL-OBJOEFQTSA-N 0 3 317.408 4.269 20 0 DIADHN Fc1cccnc1CN[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000517679974 1042892818 /nfs/dbraw/zinc/89/28/18/1042892818.db2.gz INSDZSNYJAGJEQ-HIFRSBDPSA-N 0 3 304.796 4.300 20 0 DIADHN CCCCCNC(=O)CN1CCC[C@@H]1c1cccc2ccccc21 ZINC000517860946 1042901604 /nfs/dbraw/zinc/90/16/04/1042901604.db2.gz ZNQRPSZKMRBINA-HXUWFJFHSA-N 0 3 324.468 4.283 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2ccc(F)cc2)no1)C1CC1 ZINC000517896368 1042902060 /nfs/dbraw/zinc/90/20/60/1042902060.db2.gz DKMPPOAPIFXNEN-CHWSQXEVSA-N 0 3 317.408 4.275 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2cccc(Cl)c2)s1 ZINC000518057862 1042907096 /nfs/dbraw/zinc/90/70/96/1042907096.db2.gz PLACJOQKGXNUGY-LBPRGKRZSA-N 0 3 324.877 4.485 20 0 DIADHN Cc1ccc(C2CC(N[C@H](c3ccccc3F)[C@H](C)O)C2)cc1 ZINC000518197248 1042910910 /nfs/dbraw/zinc/91/09/10/1042910910.db2.gz GZKWRCQEEBAXQH-HPPGRZHZSA-N 0 3 313.416 4.092 20 0 DIADHN c1ccc([C@H](NCc2nccc3ccccc32)[C@@H]2CCOC2)cc1 ZINC000894371412 1042912659 /nfs/dbraw/zinc/91/26/59/1042912659.db2.gz ATTPAFPZQZLHDG-NQIIRXRSSA-N 0 3 318.420 4.102 20 0 DIADHN CCOc1ccc(CNCc2c(C)cc(C)cc2C)cc1F ZINC000518798337 1042921250 /nfs/dbraw/zinc/92/12/50/1042921250.db2.gz XCROIWWNYQDMDG-UHFFFAOYSA-N 0 3 301.405 4.439 20 0 DIADHN COc1ccc(C2(NCc3ccc(C(F)(F)F)cc3)CC2)cc1 ZINC000518818423 1042921323 /nfs/dbraw/zinc/92/13/23/1042921323.db2.gz BXNIXILKFUMZKK-UHFFFAOYSA-N 0 3 321.342 4.493 20 0 DIADHN COc1ccc(-c2nc(CN3CC[C@@H]4CCC[C@H]43)cs2)cc1 ZINC000426458585 1042922704 /nfs/dbraw/zinc/92/27/04/1042922704.db2.gz IGVAJJVZPYDLHO-SUMWQHHRSA-N 0 3 314.454 4.193 20 0 DIADHN Cc1noc(C)c1[C@H](C)N[C@@H]1C[C@H](OC(C)(C)C)C12CCC2 ZINC000925059549 1042926217 /nfs/dbraw/zinc/92/62/17/1042926217.db2.gz BIOWEESAZBUHQF-GLQYFDAESA-N 0 3 306.450 4.068 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)C/C=C\c1ccncc1 ZINC000426508796 1042928426 /nfs/dbraw/zinc/92/84/26/1042928426.db2.gz JRVFFOXNBNYHJT-JXCVUKRWSA-N 0 3 316.832 4.068 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1C[C@@H]1CCCCO1 ZINC000519000878 1042928608 /nfs/dbraw/zinc/92/86/08/1042928608.db2.gz WBSCKQJITOHOHZ-KGLIPLIRSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1ccccc1F ZINC000519037060 1042930524 /nfs/dbraw/zinc/93/05/24/1042930524.db2.gz NPNDLFRMOWRGGT-SJKOYZFVSA-N 0 3 305.418 4.026 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN(C)Cc1ccc[nH]1 ZINC000519040446 1042930907 /nfs/dbraw/zinc/93/09/07/1042930907.db2.gz JFLVUFDOOIXTPB-RAXLEYEMSA-N 0 3 306.356 4.151 20 0 DIADHN Fc1cc(OCCN2CCC[C@@H]2c2ccccn2)ccc1Cl ZINC000519264367 1042941270 /nfs/dbraw/zinc/94/12/70/1042941270.db2.gz HMDUJAPSXLCISI-QGZVFWFLSA-N 0 3 320.795 4.090 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cncc(Cl)c2)C1(C)C ZINC001167967667 1042954006 /nfs/dbraw/zinc/95/40/06/1042954006.db2.gz IXSXOPHKTMPIEE-HZPDHXFCSA-N 0 3 310.869 4.151 20 0 DIADHN FC(F)c1ccc(CNCc2cccc3c2NCCC3)cc1 ZINC000894472530 1042961265 /nfs/dbraw/zinc/96/12/65/1042961265.db2.gz XTVZKLJDTBOWHU-UHFFFAOYSA-N 0 3 302.368 4.272 20 0 DIADHN Cc1noc2ncc(CN[C@H]3CCc4ccc(C(C)C)cc43)cc12 ZINC000894503095 1042963781 /nfs/dbraw/zinc/96/37/81/1042963781.db2.gz HITPQRQIKOGBGU-IBGZPJMESA-N 0 3 321.424 4.432 20 0 DIADHN FC(F)(F)Cc1ncc(CN(C2CCC2)C2CCC2)s1 ZINC000894592881 1042969793 /nfs/dbraw/zinc/96/97/93/1042969793.db2.gz ORVNQTBGZUEUHX-UHFFFAOYSA-N 0 3 304.381 4.155 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000894750229 1042975498 /nfs/dbraw/zinc/97/54/98/1042975498.db2.gz AEJPJKGDORROMH-UKRRQHHQSA-N 0 3 323.845 4.117 20 0 DIADHN Cc1nc([C@@H](C)NCc2sc3c(cccc3Cl)c2C)n[nH]1 ZINC000894773997 1042976407 /nfs/dbraw/zinc/97/64/07/1042976407.db2.gz MPMCCEOSDRSHKW-SECBINFHSA-N 0 3 320.849 4.140 20 0 DIADHN Cc1nnc([C@H](C)[NH2+][C@H]2CCCC[C@H]2c2ccccc2Cl)[n-]1 ZINC000894778281 1042977366 /nfs/dbraw/zinc/97/73/66/1042977366.db2.gz PEAZHRBDDNNYTC-PJODQICGSA-N 0 3 318.852 4.144 20 0 DIADHN COc1cccc(CN[C@H]2CCSc3ccc(F)cc32)c1C ZINC000658354333 1042979582 /nfs/dbraw/zinc/97/95/82/1042979582.db2.gz VCBWDAMUPITJQP-INIZCTEOSA-N 0 3 317.429 4.469 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@@H](C)c1cscn1 ZINC000578884771 1042981266 /nfs/dbraw/zinc/98/12/66/1042981266.db2.gz RYPPOQPHZPLFFY-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN CC(C)(C)N(CC[S@](=O)c1ccccc1)Cc1ccccc1 ZINC000353660523 1042981372 /nfs/dbraw/zinc/98/13/72/1042981372.db2.gz UIYYBXXSEACVGE-QFIPXVFZSA-N 0 3 315.482 4.095 20 0 DIADHN Cc1cnc([C@H](C)Nc2cccc3c2CN([C@@H](C)C(C)C)C3)cn1 ZINC000427443220 1042988410 /nfs/dbraw/zinc/98/84/10/1042988410.db2.gz KTEXHKORILJQDC-HOTGVXAUSA-N 0 3 324.472 4.318 20 0 DIADHN OCCC[C@H](N[C@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000280789983 1042991244 /nfs/dbraw/zinc/99/12/44/1042991244.db2.gz HLZFFUAEBBXSLZ-PMACEKPBSA-N 0 3 310.441 4.026 20 0 DIADHN C[C@H]1C[C@H](Nc2nc(Cl)cs2)CCN1Cc1ccccc1 ZINC000307600127 1042996355 /nfs/dbraw/zinc/99/63/55/1042996355.db2.gz SEJHBCSETWTIAU-GXTWGEPZSA-N 0 3 321.877 4.262 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(COC)c1)c1cccc(F)c1 ZINC000188039130 1042998087 /nfs/dbraw/zinc/99/80/87/1042998087.db2.gz LUXZMSQTOZMJQZ-GOSISDBHSA-N 0 3 305.368 4.352 20 0 DIADHN Cc1cnc(CN[C@@H](CSc2ccccc2)C(C)C)s1 ZINC000519734100 1043001013 /nfs/dbraw/zinc/00/10/13/1043001013.db2.gz HYEVRFYQUBYZEQ-HNNXBMFYSA-N 0 3 306.500 4.358 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)Nc1ccccc1CN(C)C ZINC000519860946 1043006923 /nfs/dbraw/zinc/00/69/23/1043006923.db2.gz ZHMVVZWGNXMGKC-INIZCTEOSA-N 0 3 302.462 4.293 20 0 DIADHN O[C@H](CNC(c1ccccc1)c1ccccc1)c1ccccc1F ZINC000028909199 1043010033 /nfs/dbraw/zinc/01/00/33/1043010033.db2.gz RACCANGZVJICQC-HXUWFJFHSA-N 0 3 321.395 4.238 20 0 DIADHN Cc1csc([C@H](N[C@H](C)Cc2ccccc2F)C2CC2)n1 ZINC000188075534 1043010704 /nfs/dbraw/zinc/01/07/04/1043010704.db2.gz FLPIMONXZLGRHA-BDJLRTHQSA-N 0 3 304.434 4.263 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@H](C)Cc1c(Cl)cccc1Cl ZINC000188281769 1043019439 /nfs/dbraw/zinc/01/94/39/1043019439.db2.gz CJKWNQYZPAFYDV-ZYHUDNBSSA-N 0 3 324.255 4.374 20 0 DIADHN CC(C)CN(CC(=O)N[C@H](C)c1ccccc1)Cc1ccccc1 ZINC000520170208 1043020468 /nfs/dbraw/zinc/02/04/68/1043020468.db2.gz GYAIHTAOAWWERY-GOSISDBHSA-N 0 3 324.468 4.022 20 0 DIADHN CCCN(CC(=O)N1CCC[C@@H](C)C1)[C@H](CC)c1ccccc1 ZINC000520172757 1043020929 /nfs/dbraw/zinc/02/09/29/1043020929.db2.gz YCIWTMIDDYYXSS-IEBWSBKVSA-N 0 3 316.489 4.108 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)[C@@H]1CCc2ccccc21 ZINC000520172140 1043021164 /nfs/dbraw/zinc/02/11/64/1043021164.db2.gz UWJLBTBJMZOZSM-HXUWFJFHSA-N 0 3 322.452 4.333 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1ccccc1OCC1CC1 ZINC000520202600 1043023071 /nfs/dbraw/zinc/02/30/71/1043023071.db2.gz WZVUYZBPSIVQTO-APWZRJJASA-N 0 3 317.473 4.160 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cccc(N3CCC3=O)c2)cc1 ZINC000441196556 1043026558 /nfs/dbraw/zinc/02/65/58/1043026558.db2.gz GUTOPHASGYONPZ-UHFFFAOYSA-N 0 3 322.452 4.011 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000441184460 1043026922 /nfs/dbraw/zinc/02/69/22/1043026922.db2.gz VKMYHCHOPGDQQC-KBXCAEBGSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487385229 1043029254 /nfs/dbraw/zinc/02/92/54/1043029254.db2.gz BOMONRMWVXDSSR-CYBMUJFWSA-N 0 3 321.371 4.169 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)CC(=O)Nc1ccccc1C ZINC000441363959 1043040226 /nfs/dbraw/zinc/04/02/26/1043040226.db2.gz YNPMWRGOKVDODU-LJQANCHMSA-N 0 3 324.468 4.195 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)CC(=O)Nc2ccccc2)cc1 ZINC000441346868 1043040328 /nfs/dbraw/zinc/04/03/28/1043040328.db2.gz LDJBGLWDUGDOJS-VQTJNVASSA-N 0 3 322.452 4.202 20 0 DIADHN COc1cccc(-c2nc(CN3CCC[C@@H]3C(C)C)cs2)c1 ZINC000520381699 1043041387 /nfs/dbraw/zinc/04/13/87/1043041387.db2.gz CSNYJRVFSJITKY-QGZVFWFLSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@H](CCc1ccccc1)N1C[C@@H](C)O[C@@H](c2ccccc2)C1 ZINC000520392011 1043041890 /nfs/dbraw/zinc/04/18/90/1043041890.db2.gz DFIDKHMZECNUPJ-DBXWQHBBSA-N 0 3 309.453 4.470 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1cccc(F)c1)c1cc(F)ccc1F ZINC000794805360 1043048959 /nfs/dbraw/zinc/04/89/59/1043048959.db2.gz XBDPQDJFDUDNOP-QZTJIDSGSA-N 0 3 323.358 4.124 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1cccc(OC(F)F)c1 ZINC000112600439 1043049911 /nfs/dbraw/zinc/04/99/11/1043049911.db2.gz PJHOPVSTUJTJCH-GFCCVEGCSA-N 0 3 307.340 4.187 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2ccncc2)cc1OCC ZINC000173801875 1043053487 /nfs/dbraw/zinc/05/34/87/1043053487.db2.gz POAQKDCVSYHDCS-LSDHHAIUSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](NCCc1nc(C(F)(F)F)cs1)c1ccccc1F ZINC000174341618 1043064066 /nfs/dbraw/zinc/06/40/66/1043064066.db2.gz GGNXKHSIVTVLAJ-VIFPVBQESA-N 0 3 318.339 4.194 20 0 DIADHN Cn1cncc1CN[C@@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000174446050 1043065884 /nfs/dbraw/zinc/06/58/84/1043065884.db2.gz BKMVWUXEVLTVEH-INIZCTEOSA-N 0 3 305.853 4.341 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1csc(-c2ccsc2)n1 ZINC000174553911 1043068454 /nfs/dbraw/zinc/06/84/54/1043068454.db2.gz SHQHSFDIMBSQQX-NSHDSACASA-N 0 3 310.488 4.165 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(CC)o1)[C@H](O)c1ccccc1 ZINC000794831772 1043070793 /nfs/dbraw/zinc/07/07/93/1043070793.db2.gz QLRMHEAZYKTVGS-UCLAIMLFSA-N 0 3 301.430 4.395 20 0 DIADHN Cc1c2cccc(C)c2oc1C(=O)Nc1ccccc1CN(C)C ZINC000053029062 1043074240 /nfs/dbraw/zinc/07/42/40/1043074240.db2.gz AIYOTBKJJIBQIB-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1cccc(C)c1CN(C)[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000175370708 1043083213 /nfs/dbraw/zinc/08/32/13/1043083213.db2.gz AJBKCWPJYYCAMP-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc(C)c(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000175379840 1043083797 /nfs/dbraw/zinc/08/37/97/1043083797.db2.gz DSNIAOIFEKBHRS-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN c1nc(CNCC(c2ccccc2)c2ccccc2)cn1C1CC1 ZINC000865402098 1043092259 /nfs/dbraw/zinc/09/22/59/1043092259.db2.gz IPGSGOBUSUPLGR-UHFFFAOYSA-N 0 3 317.436 4.140 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1cccc(OC(C)(C)C)c1 ZINC000865485165 1043105347 /nfs/dbraw/zinc/10/53/47/1043105347.db2.gz NQZCMWSYDOLGPW-INIZCTEOSA-N 0 3 319.470 4.057 20 0 DIADHN CC(C)OC1CCC(NCc2c(Cl)cncc2Cl)CC1 ZINC000865508838 1043107006 /nfs/dbraw/zinc/10/70/06/1043107006.db2.gz RRMJKURZGVLTPG-UHFFFAOYSA-N 0 3 317.260 4.214 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000865511224 1043107759 /nfs/dbraw/zinc/10/77/59/1043107759.db2.gz XXMPHUZXRHVYQX-ASSNKEHSSA-N 0 3 321.873 4.237 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc[nH]2)c(C)c1Br ZINC000865512435 1043107949 /nfs/dbraw/zinc/10/79/49/1043107949.db2.gz GMBZAKBZPUSJDD-LBPRGKRZSA-N 0 3 307.235 4.245 20 0 DIADHN CC(C)OC1CCC(NCc2nc(C(C)(C)C)cs2)CC1 ZINC000865509493 1043108354 /nfs/dbraw/zinc/10/83/54/1043108354.db2.gz YZFIWDZXUWHNRF-UHFFFAOYSA-N 0 3 310.507 4.266 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCCCc3ccccc32)c1 ZINC000177425389 1043108778 /nfs/dbraw/zinc/10/87/78/1043108778.db2.gz GVUNXBLIAPXWLY-FQEVSTJZSA-N 0 3 308.425 4.202 20 0 DIADHN Clc1ccc(CCCCNCc2cn(C3CC3)cn2)s1 ZINC000865558575 1043113466 /nfs/dbraw/zinc/11/34/66/1043113466.db2.gz SPJPCQCPHIJUFH-UHFFFAOYSA-N 0 3 309.866 4.045 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2nc(C(C)(C)C)cs2)C[C@H](C)O1 ZINC000865558682 1043113961 /nfs/dbraw/zinc/11/39/61/1043113961.db2.gz PIMGFQHOEFCTJO-BTTYYORXSA-N 0 3 310.507 4.124 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCO1)c1nc(-c2ccccc2)cs1 ZINC000177845510 1043115254 /nfs/dbraw/zinc/11/52/54/1043115254.db2.gz WCOZUJKSCHTYQE-HIFRSBDPSA-N 0 3 302.443 4.030 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2cccnc2-n2cccn2)cc1C ZINC000177908090 1043117240 /nfs/dbraw/zinc/11/72/40/1043117240.db2.gz UGFYAHIYOQWCRO-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@H](N[C@@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccsc1 ZINC000177940243 1043117771 /nfs/dbraw/zinc/11/77/71/1043117771.db2.gz VLHCRYHMBNKYOL-KPZWWZAWSA-N 0 3 315.438 4.321 20 0 DIADHN CC(C)(CNCc1c(Cl)cncc1Cl)CC(F)(F)F ZINC000865635190 1043120262 /nfs/dbraw/zinc/12/02/62/1043120262.db2.gz AQTFWYBCLDAQNS-UHFFFAOYSA-N 0 3 315.166 4.457 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000178114363 1043120531 /nfs/dbraw/zinc/12/05/31/1043120531.db2.gz LHTRZWAAEWCJAM-DJJJIMSYSA-N 0 3 315.436 4.450 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000178120732 1043121217 /nfs/dbraw/zinc/12/12/17/1043121217.db2.gz ONUWWHMPJWIVBX-HKBOAZHASA-N 0 3 323.436 4.192 20 0 DIADHN FC(F)c1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cn1 ZINC000865644708 1043122520 /nfs/dbraw/zinc/12/25/20/1043122520.db2.gz HGBMCGPBLIXKTI-CQSZACIVSA-N 0 3 308.759 4.450 20 0 DIADHN CCOc1ccc([C@H](NCC2=CCCOC2)c2ccccc2)cc1 ZINC000178160713 1043122653 /nfs/dbraw/zinc/12/26/53/1043122653.db2.gz WLCOWASIEHHRBB-OAQYLSRUSA-N 0 3 323.436 4.111 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1cnn(Cc2ccccc2)c1 ZINC000178177273 1043123658 /nfs/dbraw/zinc/12/36/58/1043123658.db2.gz DBVVNGWXUURXOC-CABCVRRESA-N 0 3 311.454 4.405 20 0 DIADHN CCC[C@@H](NCc1ccc(Cl)nc1)c1ccc(OC)cc1 ZINC000178247224 1043123975 /nfs/dbraw/zinc/12/39/75/1043123975.db2.gz ZSBVUVWSPKQIIA-MRXNPFEDSA-N 0 3 304.821 4.375 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnn(Cc2ccccc2)c1)c1cccs1 ZINC000178177257 1043124008 /nfs/dbraw/zinc/12/40/08/1043124008.db2.gz DBVVNGWXUURXOC-LSDHHAIUSA-N 0 3 311.454 4.405 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178262899 1043125475 /nfs/dbraw/zinc/12/54/75/1043125475.db2.gz PABRPKRGYKHQHH-GXZWQRSESA-N 0 3 301.455 4.144 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCCOc3ccccc31)CCC2 ZINC000178316602 1043127255 /nfs/dbraw/zinc/12/72/55/1043127255.db2.gz QOQBXVHLKQNVBO-GOEBONIOSA-N 0 3 314.454 4.332 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(OC)c(F)c1)CCC2 ZINC000178530400 1043131541 /nfs/dbraw/zinc/13/15/41/1043131541.db2.gz GKHVNTLDAVWNLW-CYBMUJFWSA-N 0 3 320.433 4.020 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc([C@@H](C)O)cc2)cc1 ZINC000178556591 1043132891 /nfs/dbraw/zinc/13/28/91/1043132891.db2.gz NZOIUASXXRAGIP-HZPDHXFCSA-N 0 3 313.441 4.380 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CCSc3ccc(F)cc32)cc1 ZINC000178542536 1043133222 /nfs/dbraw/zinc/13/32/22/1043133222.db2.gz MWJUCDGXELOEIF-PXAZEXFGSA-N 0 3 317.429 4.206 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2cccc(O)c2)cc1 ZINC000178756818 1043136207 /nfs/dbraw/zinc/13/62/07/1043136207.db2.gz ILEICEPHKYFVQY-GJZGRUSLSA-N 0 3 321.424 4.298 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(Cc3ccc(F)cc3)c2)cc1 ZINC000189054484 1043136605 /nfs/dbraw/zinc/13/66/05/1043136605.db2.gz OQQFFVICAKJKQM-MRXNPFEDSA-N 0 3 323.415 4.230 20 0 DIADHN CC[C@@H](NCc1ccc([C@@H](C)O)cc1)c1cc(C)ccc1OC ZINC000179405808 1043144899 /nfs/dbraw/zinc/14/48/99/1043144899.db2.gz IANQIZBUWQNKDJ-DNVCBOLYSA-N 0 3 313.441 4.298 20 0 DIADHN CCOc1cccc(CNCc2cccc(CSC)c2)c1 ZINC000189492744 1043155354 /nfs/dbraw/zinc/15/53/54/1043155354.db2.gz QMOYPSBMZGWMBI-UHFFFAOYSA-N 0 3 301.455 4.238 20 0 DIADHN C[C@@H](N[C@H]1CCSc2ccc(F)cc21)c1cccc(CO)c1 ZINC000183239070 1043159371 /nfs/dbraw/zinc/15/93/71/1043159371.db2.gz BLTASGCMOOKJRE-PXAZEXFGSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1nnc(-c2ccccc2)o1 ZINC000183366163 1043162720 /nfs/dbraw/zinc/16/27/20/1043162720.db2.gz MISQGECPRDGNTL-GJZGRUSLSA-N 0 3 307.397 4.018 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](c1ccccn1)C(C)C)c1ccco1 ZINC000866250064 1043165349 /nfs/dbraw/zinc/16/53/49/1043165349.db2.gz SVKPKCNKKSPTNU-PKOBYXMFSA-N 0 3 315.461 4.044 20 0 DIADHN CCN(CC)[C@H](CN[C@H](c1ccccn1)C(C)C)c1ccco1 ZINC000866250063 1043165396 /nfs/dbraw/zinc/16/53/96/1043165396.db2.gz SVKPKCNKKSPTNU-MJGOQNOKSA-N 0 3 315.461 4.044 20 0 DIADHN Cc1cc(-c2nnc(CN[C@@H]3CCCC[C@H]3C(C)C)o2)c(C)o1 ZINC000162530132 1043165431 /nfs/dbraw/zinc/16/54/31/1043165431.db2.gz ACQPHOXFXHXYIQ-GOEBONIOSA-N 0 3 317.433 4.251 20 0 DIADHN Cc1csc([C@@H](NCCCOCc2ccccc2)C2CC2)n1 ZINC000183547508 1043166895 /nfs/dbraw/zinc/16/68/95/1043166895.db2.gz ODTPASGHFWCTBR-KRWDZBQOSA-N 0 3 316.470 4.099 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CCc3cccc4cccc2c43)c1C ZINC000866257237 1043167224 /nfs/dbraw/zinc/16/72/24/1043167224.db2.gz URSYKTCYQYPKDD-HXUWFJFHSA-N 0 3 319.452 4.450 20 0 DIADHN Clc1cnccc1CN1CCCC[C@H]1Cc1ccccc1 ZINC000441478846 1043168055 /nfs/dbraw/zinc/16/80/55/1043168055.db2.gz UITIBKHRGDJFDJ-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN CC(C)c1cc(CN[C@@H](C)CCc2cncc3ccccc32)on1 ZINC000866267890 1043168782 /nfs/dbraw/zinc/16/87/82/1043168782.db2.gz ZOERQHIHQUOBNQ-HNNXBMFYSA-N 0 3 323.440 4.457 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000163289596 1043173627 /nfs/dbraw/zinc/17/36/27/1043173627.db2.gz WMLFHTMSBSCCEE-WFASDCNBSA-N 0 3 319.836 4.448 20 0 DIADHN c1[nH]nc2c1CCC[C@H]2N[C@H]1CCc2cccc3cccc1c32 ZINC000866304911 1043175483 /nfs/dbraw/zinc/17/54/83/1043175483.db2.gz BDSFYJDUHMOEMD-ZWKOTPCHSA-N 0 3 303.409 4.217 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc([S@](C)=O)cc1 ZINC000183992715 1043176078 /nfs/dbraw/zinc/17/60/78/1043176078.db2.gz ZHEMYPCFYKJESR-YTEVENLXSA-N 0 3 323.408 4.212 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc([S@@](C)=O)cc1 ZINC000183992747 1043176822 /nfs/dbraw/zinc/17/68/22/1043176822.db2.gz ZHEMYPCFYKJESR-AMXDTQDGSA-N 0 3 323.408 4.212 20 0 DIADHN OCCC[C@@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000183990749 1043177242 /nfs/dbraw/zinc/17/72/42/1043177242.db2.gz OQBKIOLCEDNYCF-QGZVFWFLSA-N 0 3 305.368 4.228 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000184265424 1043180892 /nfs/dbraw/zinc/18/08/92/1043180892.db2.gz ATSWWSSGZCMGRG-STQMWFEESA-N 0 3 306.356 4.403 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3cnn(C)c3c2)C2CCC2)o1 ZINC000579421560 1043180960 /nfs/dbraw/zinc/18/09/60/1043180960.db2.gz MZYDVVGKRAXHFW-IBGZPJMESA-N 0 3 309.413 4.106 20 0 DIADHN C[C@@H](C[S@](C)=O)N[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000184287270 1043183461 /nfs/dbraw/zinc/18/34/61/1043183461.db2.gz OKCVVRDGDQLECK-MZFXBISCSA-N 0 3 315.438 4.004 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H](C)c1cc2c(ccnc2Cl)o1 ZINC000866341051 1043184993 /nfs/dbraw/zinc/18/49/93/1043184993.db2.gz NUUKPOROBGGAMB-YUMQZZPRSA-N 0 3 306.715 4.473 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2cncc(C)c2)n1 ZINC000184390715 1043184915 /nfs/dbraw/zinc/18/49/15/1043184915.db2.gz KRJGCOULYNCGCY-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN CCn1ccnc1[C@@H](C)N[C@H](C)CCc1cncc2ccccc21 ZINC000866366938 1043189227 /nfs/dbraw/zinc/18/92/27/1043189227.db2.gz DJEPTTZHVHRVDG-HZPDHXFCSA-N 0 3 322.456 4.123 20 0 DIADHN CCCc1ncc(CN[C@H](C)CCc2cncc3ccccc32)o1 ZINC000866371446 1043191316 /nfs/dbraw/zinc/19/13/16/1043191316.db2.gz MHSZYEZJQFYGGI-OAHLLOKOSA-N 0 3 323.440 4.286 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2CCc3cccc4cccc2c43)cn1 ZINC000866371433 1043191725 /nfs/dbraw/zinc/19/17/25/1043191725.db2.gz MBYJVTSMALGCAV-AUUYWEPGSA-N 0 3 305.425 4.394 20 0 DIADHN CC[C@H](O)CN[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000184948528 1043192956 /nfs/dbraw/zinc/19/29/56/1043192956.db2.gz BVDFODCKJIGHOK-HZMBPMFUSA-N 0 3 324.877 4.193 20 0 DIADHN CC(C)(C)c1nc(CN[C@@H]2CCc3cccc4cccc2c43)n[nH]1 ZINC000866372064 1043193539 /nfs/dbraw/zinc/19/35/39/1043193539.db2.gz OJFTUDBBYLOVNK-MRXNPFEDSA-N 0 3 320.440 4.033 20 0 DIADHN CCSc1cccc(CNCc2ccc(NC(C)=O)cc2)c1 ZINC000185455142 1043200336 /nfs/dbraw/zinc/20/03/36/1043200336.db2.gz JXVMROIQYCNJML-UHFFFAOYSA-N 0 3 314.454 4.047 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(C3(C)OCCO3)cc2)cs1 ZINC000866423407 1043201681 /nfs/dbraw/zinc/20/16/81/1043201681.db2.gz RSGREEHVUBMZDK-AWEZNQCLSA-N 0 3 317.454 4.127 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000185647754 1043205592 /nfs/dbraw/zinc/20/55/92/1043205592.db2.gz JAQNQQZLLVMTFK-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc(C)s1)c1ccc2c(c1)CCO2 ZINC000866454155 1043205858 /nfs/dbraw/zinc/20/58/58/1043205858.db2.gz GIPLVFWCOICUMB-IAQYHMDHSA-N 0 3 302.443 4.188 20 0 DIADHN C[C@H](NCC[C@H]1CCCS1)c1ncc(-c2ccccc2)n1C ZINC000866479880 1043207131 /nfs/dbraw/zinc/20/71/31/1043207131.db2.gz AFCGTSLGNZKCGK-GOEBONIOSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000866486071 1043209987 /nfs/dbraw/zinc/20/99/87/1043209987.db2.gz XQFFLOAWLCAXPH-NWDGAFQWSA-N 0 3 314.360 4.393 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1sccc1OC ZINC000186095791 1043214411 /nfs/dbraw/zinc/21/44/11/1043214411.db2.gz TVRODSTZLFUWDL-CYBMUJFWSA-N 0 3 305.443 4.144 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)C[C@H](O)c2ccc(F)cc2)o1 ZINC000121089549 1043214398 /nfs/dbraw/zinc/21/43/98/1043214398.db2.gz UFZQYKKUJCWLMQ-IGCXYCKISA-N 0 3 305.393 4.144 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1sccc1OC ZINC000186095774 1043215071 /nfs/dbraw/zinc/21/50/71/1043215071.db2.gz TVRODSTZLFUWDL-ZDUSSCGKSA-N 0 3 305.443 4.144 20 0 DIADHN CC(F)(F)C(C)(C)CN[C@H](c1ccccc1)c1ccccn1 ZINC000866543903 1043222826 /nfs/dbraw/zinc/22/28/26/1043222826.db2.gz ADOVGFTYSNOPEU-MRXNPFEDSA-N 0 3 304.384 4.442 20 0 DIADHN COCc1cc(CN[C@@H]2CCCNc3ccccc32)ccc1F ZINC000186407383 1043223412 /nfs/dbraw/zinc/22/34/12/1043223412.db2.gz YNAJSCQPJNKTQL-LJQANCHMSA-N 0 3 314.404 4.009 20 0 DIADHN Brc1ccoc1CNC12CC3CC(CC(C3)C1)C2 ZINC000230419183 1043224921 /nfs/dbraw/zinc/22/49/21/1043224921.db2.gz POQFCPUTTJCOFZ-UHFFFAOYSA-N 0 3 310.235 4.101 20 0 DIADHN FC(F)(F)CCN(CCC[C@H]1CCO1)Cc1ccccc1 ZINC000639099824 1043230405 /nfs/dbraw/zinc/23/04/05/1043230405.db2.gz JXAUNXBAZWZTHN-HNNXBMFYSA-N 0 3 301.352 4.010 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCc3cc(Cl)ccc32)cc1 ZINC000186619964 1043231647 /nfs/dbraw/zinc/23/16/47/1043231647.db2.gz XHXKXPMVAIKMTK-GOSISDBHSA-N 0 3 315.844 4.345 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000186619980 1043232054 /nfs/dbraw/zinc/23/20/54/1043232054.db2.gz XHXKXPMVAIKMTK-SFHVURJKSA-N 0 3 315.844 4.345 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2CCC(=O)OC(C)(C)C)o1 ZINC000441618818 1043234167 /nfs/dbraw/zinc/23/41/67/1043234167.db2.gz FKEBTAFMZQJCJM-HIFRSBDPSA-N 0 3 307.434 4.093 20 0 DIADHN C[C@@H](NCCC(C)(C)C)c1ncc(Br)cc1F ZINC000427607334 1043236977 /nfs/dbraw/zinc/23/69/77/1043236977.db2.gz SOWLSAZTPPNLAH-SECBINFHSA-N 0 3 303.219 4.070 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@H](c2ccccc2)C(F)(F)F)c1 ZINC000186847586 1043237922 /nfs/dbraw/zinc/23/79/22/1043237922.db2.gz DYNZNGNYSQGKDW-CZUORRHYSA-N 0 3 308.347 4.387 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H](C)c2cc3cnccc3o2)cc1 ZINC000866620335 1043238392 /nfs/dbraw/zinc/23/83/92/1043238392.db2.gz KQWMCWXYIUUXHP-QWHCGFSZSA-N 0 3 323.396 4.198 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000441644444 1043240905 /nfs/dbraw/zinc/24/09/05/1043240905.db2.gz HDWICBXLOCSNHK-FRRDWIJNSA-N 0 3 314.256 4.413 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@H]1O)c1ccc(F)c2ccccc21 ZINC000645415274 1043248201 /nfs/dbraw/zinc/24/82/01/1043248201.db2.gz LMBXROCEMSSNAH-JDORSLCVSA-N 0 3 321.395 4.288 20 0 DIADHN CC[C@H](NCc1ccc(NC(C)=O)cc1)c1cccc(F)c1 ZINC000187720123 1043248575 /nfs/dbraw/zinc/24/85/75/1043248575.db2.gz GYQVNWWKAVLDIG-SFHVURJKSA-N 0 3 300.377 4.025 20 0 DIADHN C[C@H](NC[C@H](O)c1ccsc1)c1csc(C(F)(F)F)c1 ZINC000925108300 1043251883 /nfs/dbraw/zinc/25/18/83/1043251883.db2.gz GYMKBEOMYITPCH-KWQFWETISA-N 0 3 321.389 4.213 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487396146 1043256120 /nfs/dbraw/zinc/25/61/20/1043256120.db2.gz PIBALVNXSXOOSE-UHFFFAOYSA-N 0 3 323.387 4.319 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)NCCC2=CCCCC2)cc1 ZINC000195538995 1043269124 /nfs/dbraw/zinc/26/91/24/1043269124.db2.gz CHNOHMQZBOIHAT-IAGOWNOFSA-N 0 3 314.473 4.041 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@@H]2CCCc3occc32)cc1 ZINC000192627594 1043273490 /nfs/dbraw/zinc/27/34/90/1043273490.db2.gz PCEHWYQMPBAIMM-QGZVFWFLSA-N 0 3 312.413 4.041 20 0 DIADHN C[C@@H](N[C@@H](c1ccc(Cl)cc1)C1CCC1)c1ccnn1C ZINC000192834012 1043274794 /nfs/dbraw/zinc/27/47/94/1043274794.db2.gz LDBIQENSNWOKDR-SJKOYZFVSA-N 0 3 303.837 4.266 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)c1cccc(-n2cccn2)c1 ZINC000192921011 1043278037 /nfs/dbraw/zinc/27/80/37/1043278037.db2.gz GAYQHBQOKBAFSH-KSSFIOAISA-N 0 3 307.397 4.194 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2cc(Cl)cs2)cc1 ZINC000193007607 1043278372 /nfs/dbraw/zinc/27/83/72/1043278372.db2.gz ZHCMYGBHYDBCSF-UHFFFAOYSA-N 0 3 322.861 4.430 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NCc1cccc(N)c1 ZINC000665234144 1043291584 /nfs/dbraw/zinc/29/15/84/1043291584.db2.gz YSSMHLHKRQFOMH-IBGZPJMESA-N 0 3 310.441 4.396 20 0 DIADHN Nc1cccc(CN[C@@H]2CCSc3ccc(Cl)cc32)c1 ZINC000665233929 1043292239 /nfs/dbraw/zinc/29/22/39/1043292239.db2.gz TXLUPWHARGNRLT-OAHLLOKOSA-N 0 3 304.846 4.249 20 0 DIADHN Cc1noc2ncc(CNC3(c4ccccc4C)CCC3)cc12 ZINC000895129705 1043293234 /nfs/dbraw/zinc/29/32/34/1043293234.db2.gz ZNUVVSOQXSHSAW-UHFFFAOYSA-N 0 3 307.397 4.009 20 0 DIADHN Nc1cccc(CN[C@H]2CCSc3c(Cl)cccc32)c1 ZINC000665233257 1043293579 /nfs/dbraw/zinc/29/35/79/1043293579.db2.gz CMPCKZRVMXERST-HNNXBMFYSA-N 0 3 304.846 4.249 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cnn(C(C)C)c1)CC2 ZINC000895131623 1043295052 /nfs/dbraw/zinc/29/50/52/1043295052.db2.gz UHLMSFQNNVMUPU-UHFFFAOYSA-N 0 3 322.456 4.104 20 0 DIADHN CCC1(CN[C@H](C)c2ncc(Br)cc2F)CCC1 ZINC000427690954 1043296761 /nfs/dbraw/zinc/29/67/61/1043296761.db2.gz RNXKOPDDOWXFQQ-SNVBAGLBSA-N 0 3 315.230 4.214 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000339088955 1043304429 /nfs/dbraw/zinc/30/44/29/1043304429.db2.gz KHYVKKMKVKWLNM-FOIQADDNSA-N 0 3 309.457 4.492 20 0 DIADHN Fc1cccc2cc(CNCc3coc4ccccc34)cnc12 ZINC001202527972 1043313052 /nfs/dbraw/zinc/31/30/52/1043313052.db2.gz KSQCJYUOQDMFRO-UHFFFAOYSA-N 0 3 306.340 4.410 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nc(-c2ccc(F)c(F)c2)no1 ZINC000487405927 1043313169 /nfs/dbraw/zinc/31/31/69/1043313169.db2.gz JPCXLIBXDAGJBL-CYBMUJFWSA-N 0 3 321.371 4.025 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(N3CCCC3)nc2)c2ccccc21 ZINC000268236783 1043315853 /nfs/dbraw/zinc/31/58/53/1043315853.db2.gz WAZCIAKONAXZDN-BEFAXECRSA-N 0 3 307.441 4.020 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1cccc(OCC)c1 ZINC000122637979 1043319055 /nfs/dbraw/zinc/31/90/55/1043319055.db2.gz HSMDYAWBSBLCFH-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN CCOCc1ccccc1CN[C@H](C)c1ccc2c(c1)COC2 ZINC000268723806 1043325826 /nfs/dbraw/zinc/32/58/26/1043325826.db2.gz ABEWKPUOQRHAHY-OAHLLOKOSA-N 0 3 311.425 4.104 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H]2CCc3cc(C)ccc32)c1 ZINC000268734551 1043327203 /nfs/dbraw/zinc/32/72/03/1043327203.db2.gz CXVNXQCIPVLSMX-VLIAUNLRSA-N 0 3 308.425 4.292 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2cccc(C(=O)N(C)C)c2)cc1 ZINC000268772387 1043328400 /nfs/dbraw/zinc/32/84/00/1043328400.db2.gz TXTGPBYKCMRJLX-HXUWFJFHSA-N 0 3 324.468 4.192 20 0 DIADHN Cc1cnc([C@H](C)N2CC[C@@](C)(CCc3ccccc3)C2)cn1 ZINC000428205512 1043329493 /nfs/dbraw/zinc/32/94/93/1043329493.db2.gz LJCFZVAHRMVVAP-FXAWDEMLSA-N 0 3 309.457 4.191 20 0 DIADHN CCOc1ccccc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000268810138 1043334143 /nfs/dbraw/zinc/33/41/43/1043334143.db2.gz DSRRKRBNYYJHJJ-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN CCOCCOCc1cccc(CN[C@H](C)c2cccs2)c1 ZINC000268845969 1043336717 /nfs/dbraw/zinc/33/67/17/1043336717.db2.gz VJJIOVCPZDYTGF-OAHLLOKOSA-N 0 3 319.470 4.152 20 0 DIADHN CC[C@@H](NCc1cc(C)cc2c(C)c(C)[nH]c21)c1cnn(C)c1 ZINC000268850505 1043338846 /nfs/dbraw/zinc/33/88/46/1043338846.db2.gz HCTYFIXPZHFODV-GOSISDBHSA-N 0 3 310.445 4.068 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2ccccc2n1)[C@@H](O)c1ccc(F)cc1 ZINC000268886665 1043340614 /nfs/dbraw/zinc/34/06/14/1043340614.db2.gz IYPKLUQTIKMGBC-LRDNONRASA-N 0 3 324.399 4.147 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](C)c1cnn(C(C)C)c1 ZINC000268883448 1043341193 /nfs/dbraw/zinc/34/11/93/1043341193.db2.gz FRTMWKLJCYDRNF-LIRRHRJNSA-N 0 3 313.445 4.201 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H](C)c1cnn(C(C)C)c1 ZINC000268883439 1043341256 /nfs/dbraw/zinc/34/12/56/1043341256.db2.gz FRTMWKLJCYDRNF-AUUYWEPGSA-N 0 3 313.445 4.201 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@@H]3CCc4cc(N)ccc43)oc21 ZINC000665269276 1043343046 /nfs/dbraw/zinc/34/30/46/1043343046.db2.gz CSCLZUVLKNCUSZ-YVEFUNNKSA-N 0 3 322.408 4.362 20 0 DIADHN CC[C@@H](N[C@H]1CCc2cc(N)ccc21)c1cc(C)ccc1OC ZINC000665270226 1043343081 /nfs/dbraw/zinc/34/30/81/1043343081.db2.gz UKTBSMDBSNDCFQ-MOPGFXCFSA-N 0 3 310.441 4.314 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1cnc2ccsc2c1 ZINC000268945002 1043343913 /nfs/dbraw/zinc/34/39/13/1043343913.db2.gz BANULQPEHZVYRO-XJKSGUPXSA-N 0 3 312.438 4.071 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1ccccc1C(F)(F)F ZINC000268940502 1043344743 /nfs/dbraw/zinc/34/47/43/1043344743.db2.gz AAPODENHCVZFPU-SUMWQHHRSA-N 0 3 323.358 4.480 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1ccc2ccccc2n1 ZINC000268957291 1043346887 /nfs/dbraw/zinc/34/68/87/1043346887.db2.gz ZEUNJRXCSRJUJM-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN OCCC[C@H](N[C@H]1CCSc2ccccc21)c1ccccc1 ZINC000268952533 1043347547 /nfs/dbraw/zinc/34/75/47/1043347547.db2.gz CPPTXUFCPFSSBM-ROUUACIJSA-N 0 3 313.466 4.327 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(N)ccc21)c1ccc2ccccc2n1 ZINC000665270405 1043348731 /nfs/dbraw/zinc/34/87/31/1043348731.db2.gz XIVPKLHOIDYBKU-ZUOKHONESA-N 0 3 303.409 4.155 20 0 DIADHN C[C@H](NC[C@](C)(O)c1ccsc1)c1cc2ccccc2o1 ZINC000269001313 1043350308 /nfs/dbraw/zinc/35/03/08/1043350308.db2.gz PGXNSKRVYQHYEZ-SJCJKPOMSA-N 0 3 301.411 4.053 20 0 DIADHN CC[C@H](N[C@@H](CO)c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000269015818 1043351401 /nfs/dbraw/zinc/35/14/01/1043351401.db2.gz OHNHALMPMFKAGE-IRXDYDNUSA-N 0 3 321.367 4.062 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)c1ccc(F)cc1 ZINC000269036660 1043353012 /nfs/dbraw/zinc/35/30/12/1043353012.db2.gz SSMUGGKNEBLUQU-CBJTVTONSA-N 0 3 319.445 4.365 20 0 DIADHN Cc1nc([C@H](C)NCCCCOc2ccc(F)cc2)cs1 ZINC000269431569 1043364983 /nfs/dbraw/zinc/36/49/83/1043364983.db2.gz PUNQAKYQWOQEBI-LBPRGKRZSA-N 0 3 308.422 4.100 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOCCc2ccccc2)cs1 ZINC000269453339 1043367031 /nfs/dbraw/zinc/36/70/31/1043367031.db2.gz WEDVZOWSOCLMSM-OAHLLOKOSA-N 0 3 318.486 4.142 20 0 DIADHN Cc1cccc(CNCc2ccnc(O[C@H](C)CC(C)C)c2)c1 ZINC000521172867 1043370280 /nfs/dbraw/zinc/37/02/80/1043370280.db2.gz ITYJMDPPAQJIRH-QGZVFWFLSA-N 0 3 312.457 4.493 20 0 DIADHN CCCN(CC(=O)N1CCC(C)CC1)[C@H](CC)c1ccccc1 ZINC000521193496 1043371065 /nfs/dbraw/zinc/37/10/65/1043371065.db2.gz GBDAEUWYFOYBFE-LJQANCHMSA-N 0 3 316.489 4.108 20 0 DIADHN COC[C@H]1CCN([C@H](C)c2ncc(-c3ccc(Cl)cc3)o2)C1 ZINC000269802623 1043373985 /nfs/dbraw/zinc/37/39/85/1043373985.db2.gz UMQVTIUFJFXPHD-OLZOCXBDSA-N 0 3 320.820 4.024 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccc(F)cc2)C(C)C)cc1 ZINC000521220940 1043376841 /nfs/dbraw/zinc/37/68/41/1043376841.db2.gz XXSKEEQJGUHFIT-UHFFFAOYSA-N 0 3 315.388 4.023 20 0 DIADHN Cc1oc2ccccc2c1CNCc1ccnc(N2CCCC2)c1 ZINC000270245906 1043383484 /nfs/dbraw/zinc/38/34/84/1043383484.db2.gz MHANQBWWDSXLBU-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1cc2ccccc2[nH]1 ZINC000270228713 1043383550 /nfs/dbraw/zinc/38/35/50/1043383550.db2.gz OCEVHGXCGDHUEC-UHFFFAOYSA-N 0 3 310.445 4.026 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@H](C3CCC3)C2)n1 ZINC000665286137 1043386881 /nfs/dbraw/zinc/38/68/81/1043386881.db2.gz FYEKLIPDAYTIKA-HOCLYGCPSA-N 0 3 311.429 4.228 20 0 DIADHN CC(C)COc1ccc(CN[C@@H](C)c2cccc(CO)c2)cc1 ZINC000270517837 1043389044 /nfs/dbraw/zinc/38/90/44/1043389044.db2.gz QUXSXAJSTLRKKQ-INIZCTEOSA-N 0 3 313.441 4.065 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CC[C@H](C2CCC2)C1 ZINC000665286803 1043390014 /nfs/dbraw/zinc/39/00/14/1043390014.db2.gz UTYQSJRMSRUNEJ-AWEZNQCLSA-N 0 3 302.443 4.334 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1ccc(F)cc1)C(C)C ZINC000271052758 1043400450 /nfs/dbraw/zinc/40/04/50/1043400450.db2.gz BFUPWZNMGYPNFL-UHFFFAOYSA-N 0 3 314.404 4.195 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCO[C@H](C(C)C)C2)c2ccccn2)c1 ZINC000925300309 1043408603 /nfs/dbraw/zinc/40/86/03/1043408603.db2.gz KKFFMXHDMVUOMH-JBACZVJFSA-N 0 3 324.468 4.273 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000871870115 1043410721 /nfs/dbraw/zinc/41/07/21/1043410721.db2.gz IGOLLWUDENLRNC-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCCc1ccc(Cl)cc1 ZINC000925358791 1043411692 /nfs/dbraw/zinc/41/16/92/1043411692.db2.gz HRJGGOYNXYWOMP-HNNXBMFYSA-N 0 3 304.821 4.236 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(OC(F)(F)F)cc1 ZINC000271360202 1043412941 /nfs/dbraw/zinc/41/29/41/1043412941.db2.gz IIPJYSYTYYMNHZ-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc(C(C)(C)O)cc1 ZINC000925373186 1043415521 /nfs/dbraw/zinc/41/55/21/1043415521.db2.gz CXXXMZYKDVXOJB-BFUOFWGJSA-N 0 3 313.416 4.391 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccc(C(F)(F)F)nc1 ZINC000871937246 1043415978 /nfs/dbraw/zinc/41/59/78/1043415978.db2.gz SZHQLNWAJXKQHO-XHDPSFHLSA-N 0 3 306.331 4.439 20 0 DIADHN CCCCCOc1ccc([C@@H](C)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000271414720 1043416399 /nfs/dbraw/zinc/41/63/99/1043416399.db2.gz BDJZAXDIZICVAS-CABCVRRESA-N 0 3 301.434 4.391 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccnc2)cc1)c1cccc(O)c1 ZINC000442781514 1043419069 /nfs/dbraw/zinc/41/90/69/1043419069.db2.gz OIFYBLCOLABOGC-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)CC2 ZINC000271445376 1043419544 /nfs/dbraw/zinc/41/95/44/1043419544.db2.gz HJVXKIPLUQVHKB-BANJIEJESA-N 0 3 313.466 4.071 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1c(C)oc2ccccc21 ZINC000925495818 1043422842 /nfs/dbraw/zinc/42/28/42/1043422842.db2.gz OGFNTKTWVRCKNZ-DOMZBBRYSA-N 0 3 315.438 4.495 20 0 DIADHN CC[C@H](N[C@@H]1CCCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000442789326 1043423480 /nfs/dbraw/zinc/42/34/80/1043423480.db2.gz YWGRFHIWEAEFPU-RBUKOAKNSA-N 0 3 314.473 4.051 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1c(C)oc2ccccc21 ZINC000925495827 1043424367 /nfs/dbraw/zinc/42/43/67/1043424367.db2.gz OGFNTKTWVRCKNZ-WFASDCNBSA-N 0 3 315.438 4.495 20 0 DIADHN CC[C@@H](N[C@H]1CCCN(C2CCCCC2)C1=O)c1ccccc1 ZINC000442789324 1043424418 /nfs/dbraw/zinc/42/44/18/1043424418.db2.gz YWGRFHIWEAEFPU-MOPGFXCFSA-N 0 3 314.473 4.051 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H](C)c2cnccc2C)n1 ZINC000271493040 1043425917 /nfs/dbraw/zinc/42/59/17/1043425917.db2.gz KMAYUACYRZDAAV-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2cc(-c3ccccc3)on2)CCO1 ZINC000872030863 1043426262 /nfs/dbraw/zinc/42/62/62/1043426262.db2.gz JXGOJMVGMCLHCA-CRAIPNDOSA-N 0 3 314.429 4.025 20 0 DIADHN CC(C)c1nc(CC[NH2+][C@H](C)c2cccc([O-])c2F)cs1 ZINC000872030562 1043426743 /nfs/dbraw/zinc/42/67/43/1043426743.db2.gz HSEJWQKLPWAVSV-LLVKDONJSA-N 0 3 308.422 4.005 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2cccc(O)c2F)cs1 ZINC000872030562 1043426744 /nfs/dbraw/zinc/42/67/44/1043426744.db2.gz HSEJWQKLPWAVSV-LLVKDONJSA-N 0 3 308.422 4.005 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H]1CC(C)(C)Sc2ccccc21 ZINC000872031277 1043428171 /nfs/dbraw/zinc/42/81/71/1043428171.db2.gz NTINBVCGZPFWOC-MRXNPFEDSA-N 0 3 315.486 4.142 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H]1CC(C)(C)Sc2ccccc21 ZINC000872031887 1043428785 /nfs/dbraw/zinc/42/87/85/1043428785.db2.gz NNTMKXUSBWZSTQ-MLGOLLRUSA-N 0 3 315.486 4.395 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H](C)c2cccc3ccccc32)n[nH]1 ZINC000271523359 1043429314 /nfs/dbraw/zinc/42/93/14/1043429314.db2.gz OTOPBWKLHKABFV-KBPBESRZSA-N 0 3 308.429 4.493 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(SCC(F)F)cc1)c1cn[nH]c1 ZINC000271517425 1043429644 /nfs/dbraw/zinc/42/96/44/1043429644.db2.gz NZMWCMITZBVYDL-QWRGUYRKSA-N 0 3 311.401 4.179 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccc(N3CCC3=O)c2)c2ccccc21 ZINC000442818234 1043431252 /nfs/dbraw/zinc/43/12/52/1043431252.db2.gz ZQFPTNNZRATWBC-YWZLYKJASA-N 0 3 320.436 4.152 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)Sc2ccccc21)c1cnn(C)c1 ZINC000872038359 1043431310 /nfs/dbraw/zinc/43/13/10/1043431310.db2.gz KRXBWAABFJDRLW-WFASDCNBSA-N 0 3 301.459 4.086 20 0 DIADHN CCC[C@H](NCc1cccc(N2CCC2=O)c1)c1ccccc1 ZINC000442819158 1043432672 /nfs/dbraw/zinc/43/26/72/1043432672.db2.gz IALSPYBKTRVXRM-IBGZPJMESA-N 0 3 308.425 4.054 20 0 DIADHN C[C@@H](N[C@H](c1cccc(Cl)c1)C1CCCC1)c1cnnn1C ZINC000925579360 1043432891 /nfs/dbraw/zinc/43/28/91/1043432891.db2.gz DNRNGRJDHLXKAS-PXAZEXFGSA-N 0 3 318.852 4.051 20 0 DIADHN COc1cc(Cl)c(CNCCSC(C)C)cc1Cl ZINC000558094563 1043437840 /nfs/dbraw/zinc/43/78/40/1043437840.db2.gz DMLBJXJLPPKHHR-UHFFFAOYSA-N 0 3 308.274 4.233 20 0 DIADHN CN(Cc1cccc(SCc2ccccc2)c1)[C@@H]1CCOC1 ZINC000558099176 1043438658 /nfs/dbraw/zinc/43/86/58/1043438658.db2.gz ZBMLEGYSAVGRDH-GOSISDBHSA-N 0 3 313.466 4.200 20 0 DIADHN Cc1csc(C2(N[C@H]3CCO[C@H](C(C)(C)C)C3)CCC2)n1 ZINC000872057672 1043439368 /nfs/dbraw/zinc/43/93/68/1043439368.db2.gz ZWLWHIKWHQXJDG-KBPBESRZSA-N 0 3 308.491 4.014 20 0 DIADHN Cc1cc([C@H](N[C@@H](C)c2cncc(F)c2)C2CC2)ccc1F ZINC000271573585 1043439595 /nfs/dbraw/zinc/43/95/95/1043439595.db2.gz VZTMOZBNDJIANB-KPZWWZAWSA-N 0 3 302.368 4.470 20 0 DIADHN Cc1csc(C2(N[C@H]3CCO[C@@H](C(C)(C)C)C3)CCC2)n1 ZINC000872057674 1043439716 /nfs/dbraw/zinc/43/97/16/1043439716.db2.gz ZWLWHIKWHQXJDG-UONOGXRCSA-N 0 3 308.491 4.014 20 0 DIADHN C[C@H]([NH2+][C@H]1CCO[C@@H](c2ccccc2)C1)c1cccc([O-])c1F ZINC000872061930 1043443417 /nfs/dbraw/zinc/44/34/17/1043443417.db2.gz HUASLTZYTNEDKL-DHSIGJKJSA-N 0 3 315.388 4.102 20 0 DIADHN C[C@H]([NH2+][C@@H]1CCO[C@H](c2ccccc2)C1)c1cccc([O-])c1F ZINC000872061933 1043444306 /nfs/dbraw/zinc/44/43/06/1043444306.db2.gz HUASLTZYTNEDKL-JOQOYGCGSA-N 0 3 315.388 4.102 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000271646224 1043445010 /nfs/dbraw/zinc/44/50/10/1043445010.db2.gz GZWIHYIEHUEZIP-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN C[C@H]([NH2+]CCC1CC(OC(C)(C)C)C1)c1cccc([O-])c1F ZINC000872065393 1043445913 /nfs/dbraw/zinc/44/59/13/1043445913.db2.gz AGJHHPWBXDPMJA-HSBZDZAISA-N 0 3 309.425 4.166 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)[C@@H]1CCCOC1 ZINC000872067127 1043448061 /nfs/dbraw/zinc/44/80/61/1043448061.db2.gz OMMXRAVUNYYSCL-AXFHLTTASA-N 0 3 322.396 4.018 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(F)ccc21)c1cnn(C2CCC2)c1 ZINC000925669623 1043450874 /nfs/dbraw/zinc/45/08/74/1043450874.db2.gz CMSLAUAXMXTGBV-YJYMSZOUSA-N 0 3 313.420 4.475 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C)CC1)c1ccc2ccccc2c1 ZINC000521710766 1043455947 /nfs/dbraw/zinc/45/59/47/1043455947.db2.gz OTLTWLFEXQGKKC-SJORKVTESA-N 0 3 324.468 4.139 20 0 DIADHN CO[C@@H](CN(C)[C@H](C)c1cccnc1)c1ccc(Cl)cc1 ZINC000283070529 1043458157 /nfs/dbraw/zinc/45/81/57/1043458157.db2.gz KBLTWDNHQZIWRS-DYVFJYSZSA-N 0 3 304.821 4.116 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC([C@@H](F)c2ccccc2F)CC1 ZINC000348632527 1043459467 /nfs/dbraw/zinc/45/94/67/1043459467.db2.gz ILDMBPRMQPWKIK-GOSISDBHSA-N 0 3 319.399 4.088 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2)[nH]1)c1ccc(C)cc1 ZINC000777574132 1043460856 /nfs/dbraw/zinc/46/08/56/1043460856.db2.gz HCYGEBIFAZZMKV-QGZVFWFLSA-N 0 3 306.413 4.021 20 0 DIADHN Cc1cc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc(C)c1F ZINC000777573569 1043461902 /nfs/dbraw/zinc/46/19/02/1043461902.db2.gz BGNQNUZZIVPVQE-AWEZNQCLSA-N 0 3 324.403 4.078 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)NCc2ncc(Cl)s2)cc1 ZINC000872113072 1043462113 /nfs/dbraw/zinc/46/21/13/1043462113.db2.gz MTUVETKYYGRJJD-ZLKJLUDKSA-N 0 3 322.861 4.087 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC=C(c3ccco3)C2)cc1 ZINC000348677914 1043462259 /nfs/dbraw/zinc/46/22/59/1043462259.db2.gz WTGHRYAKTFNUGG-UHFFFAOYSA-N 0 3 319.408 4.267 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@H]2CCCc3ncccc32)c1 ZINC000872112405 1043463111 /nfs/dbraw/zinc/46/31/11/1043463111.db2.gz IZYMBBKKOKFMKA-IBGZPJMESA-N 0 3 310.441 4.426 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@@H]2CCCc3ncccc32)c1 ZINC000872112407 1043463156 /nfs/dbraw/zinc/46/31/56/1043463156.db2.gz IZYMBBKKOKFMKA-LJQANCHMSA-N 0 3 310.441 4.426 20 0 DIADHN C[C@H](NCc1ccc2oc(=O)[nH]c2c1)c1cccc(C2CC2)c1 ZINC000872122615 1043466786 /nfs/dbraw/zinc/46/67/86/1043466786.db2.gz ODLFZSXWDBLJLJ-LBPRGKRZSA-N 0 3 308.381 4.262 20 0 DIADHN C[C@@H](CSC(C)(C)C)NCc1nc(-c2ccncc2)cs1 ZINC000872121858 1043466900 /nfs/dbraw/zinc/46/69/00/1043466900.db2.gz HIQFORZFMVHOBW-LBPRGKRZSA-N 0 3 321.515 4.215 20 0 DIADHN CC1(C)C[C@H](NCc2ccc3oc(=O)[nH]c3c2)c2ccccc21 ZINC000872122973 1043468689 /nfs/dbraw/zinc/46/86/89/1043468689.db2.gz KHGVYRYUBBBFAW-INIZCTEOSA-N 0 3 308.381 4.046 20 0 DIADHN CC(C)[C@H](NCc1cccc2ncccc12)c1ccc(F)cn1 ZINC000872124578 1043468942 /nfs/dbraw/zinc/46/89/42/1043468942.db2.gz PESDZPJMLYHETI-IBGZPJMESA-N 0 3 309.388 4.256 20 0 DIADHN CC(C)[C@H](NCc1cc(N)ccn1)c1ccc(Cl)c(Cl)c1 ZINC000925773198 1043469456 /nfs/dbraw/zinc/46/94/56/1043469456.db2.gz ZXOGFNCECFUBRH-INIZCTEOSA-N 0 3 324.255 4.458 20 0 DIADHN CC[C@H](NCc1ccc2oc(=O)[nH]c2c1)c1ccc(Cl)s1 ZINC000872123303 1043469503 /nfs/dbraw/zinc/46/95/03/1043469503.db2.gz PAFBCJRONFLTGL-JTQLQIEISA-N 0 3 322.817 4.489 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc3ncccc13)CCCO2 ZINC000872124743 1043470727 /nfs/dbraw/zinc/47/07/27/1043470727.db2.gz RVXQSKUSKSCQBN-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN Cc1c([C@H](C)NCc2cccc3ncccc23)cnn1C(C)C ZINC000872124772 1043471134 /nfs/dbraw/zinc/47/11/34/1043471134.db2.gz SEKITXNPYQNCOZ-AWEZNQCLSA-N 0 3 308.429 4.171 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC=C(c4ccncc4)C3)c2O1 ZINC000348831401 1043472384 /nfs/dbraw/zinc/47/23/84/1043472384.db2.gz FTEPNVKVQMBUCN-UHFFFAOYSA-N 0 3 320.436 4.084 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cc1C ZINC000558255341 1043474112 /nfs/dbraw/zinc/47/41/12/1043474112.db2.gz FFSMCYYSANPCHW-UZLBHIALSA-N 0 3 314.448 4.396 20 0 DIADHN Cc1nccnc1[C@H](C)NC1CC(c2ccc(Cl)cc2)C1 ZINC000872133170 1043475404 /nfs/dbraw/zinc/47/54/04/1043475404.db2.gz LBJKCHKWAPOBBK-YGONEPDPSA-N 0 3 301.821 4.035 20 0 DIADHN c1ccc(-c2cccc(CN3CCC[C@H]3c3ccncc3)c2)nc1 ZINC000348890137 1043476996 /nfs/dbraw/zinc/47/69/96/1043476996.db2.gz DFVLYWLCAYVVKF-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(-c3c(F)cccc3F)o2)[C@@H](C)C1 ZINC000443071117 1043478045 /nfs/dbraw/zinc/47/80/45/1043478045.db2.gz SFBOUSSSSXPLTP-QWHCGFSZSA-N 0 3 321.367 4.224 20 0 DIADHN COC[C@@H](NC1CCC(F)(F)CC1)c1cccc(Cl)c1F ZINC000558268274 1043478559 /nfs/dbraw/zinc/47/85/59/1043478559.db2.gz JTWCBHMBHQPPBO-CYBMUJFWSA-N 0 3 321.770 4.334 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1[C@H](C)CC[C@@H]1C ZINC000443084661 1043479302 /nfs/dbraw/zinc/47/93/02/1043479302.db2.gz HJUMTCXIUPQMSY-GRYCIOLGSA-N 0 3 305.809 4.324 20 0 DIADHN Cc1cccc(CNCc2ccc(OC(F)(F)F)cc2)c1C ZINC000521826554 1043479853 /nfs/dbraw/zinc/47/98/53/1043479853.db2.gz ILULMHCHKXKBJC-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN c1cncc([C@H]2CCCN2Cc2ccc(OCC3CC3)cc2)c1 ZINC000348903047 1043481369 /nfs/dbraw/zinc/48/13/69/1043481369.db2.gz FOAFZHIGHIGIDT-HXUWFJFHSA-N 0 3 308.425 4.208 20 0 DIADHN Fc1ccc([C@@H](NC2CC(C(F)(F)F)C2)c2cccnc2)cc1 ZINC000558295222 1043482874 /nfs/dbraw/zinc/48/28/74/1043482874.db2.gz QSXYSINHZRLZMK-AVVWSFFYSA-N 0 3 324.321 4.241 20 0 DIADHN CCCN(CC(=O)NC(C)(C)CC)[C@H](CC)c1ccccc1 ZINC000521819901 1043486689 /nfs/dbraw/zinc/48/66/89/1043486689.db2.gz MJAFHEDAGPYKKV-QGZVFWFLSA-N 0 3 304.478 4.155 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cc(C)c(C(=O)OC)o1 ZINC000521824010 1043486756 /nfs/dbraw/zinc/48/67/56/1043486756.db2.gz YWXFQNMYVGDYMJ-QGZVFWFLSA-N 0 3 315.413 4.348 20 0 DIADHN CN(CCC(=O)c1cccs1)Cc1c[nH]c2c1cccc2F ZINC001137058752 1043492501 /nfs/dbraw/zinc/49/25/01/1043492501.db2.gz CIKZCMJLFNOHDU-UHFFFAOYSA-N 0 3 316.401 4.073 20 0 DIADHN COc1ccccc1CN1CCCCC[C@H]1c1c(C)n[nH]c1C ZINC000349150735 1043493019 /nfs/dbraw/zinc/49/30/19/1043493019.db2.gz MWBXPAVAGZSRAE-KRWDZBQOSA-N 0 3 313.445 4.152 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC[C@@H](F)C4)CC3)c[nH]c21 ZINC001137058987 1043494844 /nfs/dbraw/zinc/49/48/44/1043494844.db2.gz IJDHPDJKIHYGAO-CQSZACIVSA-N 0 3 304.384 4.411 20 0 DIADHN Fc1ccc(Cl)cc1CN1CCCc2ccccc2CC1 ZINC001307774094 1043496195 /nfs/dbraw/zinc/49/61/95/1043496195.db2.gz RKZCQWNMHIIIBH-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN CCCN(C(=O)CN(CC)CC)[C@@H](C)c1cc2ccccc2o1 ZINC000521879286 1043498017 /nfs/dbraw/zinc/49/80/17/1043498017.db2.gz PDKCXAHAJPQLAG-HNNXBMFYSA-N 0 3 316.445 4.074 20 0 DIADHN CC(C)N(Cc1ccc(OCC(F)F)cc1)Cc1cccnc1 ZINC000349253339 1043499281 /nfs/dbraw/zinc/49/92/81/1043499281.db2.gz WPEBMKPVWAFRQN-UHFFFAOYSA-N 0 3 320.383 4.136 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccsc2)c(C)c1OC ZINC000558398829 1043499658 /nfs/dbraw/zinc/49/96/58/1043499658.db2.gz ZTUHTGWRHSJZOO-MRXNPFEDSA-N 0 3 317.454 4.411 20 0 DIADHN CCC[C@@]1(CO)CCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000558426176 1043508921 /nfs/dbraw/zinc/50/89/21/1043508921.db2.gz IQBYORVJRTXHAC-GOSISDBHSA-N 0 3 322.518 4.175 20 0 DIADHN Cc1cc(F)ccc1-c1noc([C@H](C)N2[C@H](C)CC[C@H]2C)n1 ZINC000443213916 1043509196 /nfs/dbraw/zinc/50/91/96/1043509196.db2.gz SBSYXWMMOYLUST-UPJWGTAASA-N 0 3 303.381 4.118 20 0 DIADHN CCOc1cc(CN(CC)Cc2cccs2)ccc1OC ZINC000349541761 1043511837 /nfs/dbraw/zinc/51/18/37/1043511837.db2.gz IOLVDLSDMHBVLV-UHFFFAOYSA-N 0 3 305.443 4.178 20 0 DIADHN CCCn1nc(C)c(CN2CCC[C@@H]2c2cccs2)c1C ZINC000349612885 1043512985 /nfs/dbraw/zinc/51/29/85/1043512985.db2.gz HNWQJQGDIXYDBU-MRXNPFEDSA-N 0 3 303.475 4.309 20 0 DIADHN CC(C)Oc1ccccc1CNCc1cccc2ncccc12 ZINC000873021871 1043513656 /nfs/dbraw/zinc/51/36/56/1043513656.db2.gz ODFLXGBJLCQXAW-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1cccc2ncccc12 ZINC000873046296 1043513767 /nfs/dbraw/zinc/51/37/67/1043513767.db2.gz OUBALIUZMGJZDI-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(F)c(Cl)c1)c1ccccc1 ZINC000558476084 1043517014 /nfs/dbraw/zinc/51/70/14/1043517014.db2.gz JWFORJWAIUBAFI-QGZVFWFLSA-N 0 3 321.823 4.329 20 0 DIADHN C[C@H]1CCC[C@H](NCc2csc(-c3ccccn3)n2)CC1 ZINC000191113020 1043521118 /nfs/dbraw/zinc/52/11/18/1043521118.db2.gz IIGYSSPNIAZVFY-KBPBESRZSA-N 0 3 301.459 4.264 20 0 DIADHN c1csc(-c2n[nH]cc2CN(Cc2ccccc2)C2CC2)c1 ZINC000349801123 1043523090 /nfs/dbraw/zinc/52/30/90/1043523090.db2.gz PAXMXLTXEVWZAE-UHFFFAOYSA-N 0 3 309.438 4.303 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(Cc2ccccc2)C2CC2)o1 ZINC000349798882 1043524426 /nfs/dbraw/zinc/52/44/26/1043524426.db2.gz IAKUGQYKVFVLAW-UHFFFAOYSA-N 0 3 307.397 4.143 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC(C)(C)C1CC1)c1ccsc1 ZINC000522016942 1043524559 /nfs/dbraw/zinc/52/45/59/1043524559.db2.gz QRVIFEHUKZLMMV-MRXNPFEDSA-N 0 3 322.518 4.074 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2ccn(C)c2)CC1 ZINC000349832027 1043526232 /nfs/dbraw/zinc/52/62/32/1043526232.db2.gz MKXGOFXWQDATNU-UHFFFAOYSA-N 0 3 305.425 4.104 20 0 DIADHN Nc1cc(F)cc(CN[C@H]2CCSc3c(Cl)cccc32)c1 ZINC000873287918 1043531763 /nfs/dbraw/zinc/53/17/63/1043531763.db2.gz OJVWXUVUJYELBZ-HNNXBMFYSA-N 0 3 322.836 4.388 20 0 DIADHN Cc1cccc([C@@H](NCC[C@@H]2CCCCO2)c2ccccn2)c1 ZINC000926120342 1043532826 /nfs/dbraw/zinc/53/28/26/1043532826.db2.gz CXTOCIHYPXRRQW-AZUAARDMSA-N 0 3 310.441 4.028 20 0 DIADHN Cc1ccc(CN[C@@H]2CCc3cccc(C)c32)c(N2CCCC2)n1 ZINC000926119977 1043532988 /nfs/dbraw/zinc/53/29/88/1043532988.db2.gz CJDZVTHJEOHOQW-LJQANCHMSA-N 0 3 321.468 4.076 20 0 DIADHN C[C@H](CC1CCOCC1)CN1CCCC[C@@H]1c1nccs1 ZINC000350004156 1043534218 /nfs/dbraw/zinc/53/42/18/1043534218.db2.gz BRAZLRIXRJTNIZ-GDBMZVCRSA-N 0 3 308.491 4.123 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cc(N)cc(F)c2)cc1 ZINC000873289693 1043534301 /nfs/dbraw/zinc/53/43/01/1043534301.db2.gz WSQQRCVIIKFJCS-LBPRGKRZSA-N 0 3 304.434 4.371 20 0 DIADHN Cc1ccc([C@H](NCC[C@H]2CCO[C@H](C)C2)c2ccccn2)cc1 ZINC000926133291 1043534492 /nfs/dbraw/zinc/53/44/92/1043534492.db2.gz BSENFTDTDOESNH-LQWHRVPQSA-N 0 3 324.468 4.274 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1ccns1 ZINC000926161024 1043536006 /nfs/dbraw/zinc/53/60/06/1043536006.db2.gz IIEOASZHPKCUJG-RWSFTLGLSA-N 0 3 310.850 4.223 20 0 DIADHN Cc1cccc([C@@H](NCCC[C@@H]2CCCCO2)c2ccccn2)c1 ZINC000926173260 1043538209 /nfs/dbraw/zinc/53/82/09/1043538209.db2.gz BMERRVYQAQDTPF-PZJWPPBQSA-N 0 3 324.468 4.418 20 0 DIADHN CCC[C@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ncccc1Cl ZINC000926183520 1043540714 /nfs/dbraw/zinc/54/07/14/1043540714.db2.gz OJOONMASBIKGLM-KBMXLJTQSA-N 0 3 308.853 4.123 20 0 DIADHN Cc1nc(CN[C@H]2CC=C(c3ccc(F)cc3)CC2)cs1 ZINC000350255774 1043541719 /nfs/dbraw/zinc/54/17/19/1043541719.db2.gz UFDIPEBZQUBUMR-INIZCTEOSA-N 0 3 302.418 4.316 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2sccc21)c1cnn(C2CCC2)c1 ZINC000926190098 1043543156 /nfs/dbraw/zinc/54/31/56/1043543156.db2.gz RSVLUSCNSAVOEV-MLGOLLRUSA-N 0 3 301.459 4.398 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccnn1C1CCC1 ZINC000926187429 1043543439 /nfs/dbraw/zinc/54/34/39/1043543439.db2.gz CMWQXAKXBHRMGV-SWLSCSKDSA-N 0 3 301.459 4.398 20 0 DIADHN CCC[C@@H](NCc1ccc(C(=O)NCC)cc1)c1ccsc1 ZINC000191204469 1043546415 /nfs/dbraw/zinc/54/64/15/1043546415.db2.gz MQCDKCLHFSWOSL-QGZVFWFLSA-N 0 3 316.470 4.129 20 0 DIADHN CCCC[C@@H](C)N(C)Cc1c(C(C)C)nc2sc(C)nn12 ZINC000558577487 1043546872 /nfs/dbraw/zinc/54/68/72/1043546872.db2.gz PQSDCNPFCCZVND-GFCCVEGCSA-N 0 3 308.495 4.233 20 0 DIADHN Cc1nocc1CN1CCC(c2c(C)[nH]c3ccccc32)CC1 ZINC000350561118 1043547466 /nfs/dbraw/zinc/54/74/66/1043547466.db2.gz RHOPALNHVAYRNR-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN Cc1cc(CN2CCC([C@H](O)c3ccccc3)CC2)ccc1F ZINC000124588181 1043554393 /nfs/dbraw/zinc/55/43/93/1043554393.db2.gz PDFQQZWGAWDMRL-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1cccc(Oc3ccccn3)c1)C2 ZINC000895190132 1043556511 /nfs/dbraw/zinc/55/65/11/1043556511.db2.gz YUSUVDKFNRNOAA-KRWDZBQOSA-N 0 3 316.351 4.105 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCC[C@@H](c3ccccc3)C2)nn1 ZINC000926279869 1043557495 /nfs/dbraw/zinc/55/74/95/1043557495.db2.gz XYWGQBRJPPXRTP-CGTJXYLNSA-N 0 3 312.461 4.236 20 0 DIADHN C[C@H](NCCOc1ccccc1)c1ccccc1OC(F)F ZINC000314644748 1043558219 /nfs/dbraw/zinc/55/82/19/1043558219.db2.gz MFYVDBCYMAYWFH-ZDUSSCGKSA-N 0 3 307.340 4.018 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2CCC[C@H](c3ccccc3)C2)nn1 ZINC000926279871 1043558232 /nfs/dbraw/zinc/55/82/32/1043558232.db2.gz XYWGQBRJPPXRTP-RYQLBKOJSA-N 0 3 312.461 4.236 20 0 DIADHN Cc1ccc([C@H](NC2CC3(C2)CO[C@@H](C)C3)c2ccccn2)cc1 ZINC000926331693 1043563675 /nfs/dbraw/zinc/56/36/75/1043563675.db2.gz OAJQOBPCHOEXNP-LEDRIZOLSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cn(C)cn1 ZINC000926340985 1043565632 /nfs/dbraw/zinc/56/56/32/1043565632.db2.gz BCEYRRKRMYCLPA-WHOFXGATSA-N 0 3 323.362 4.036 20 0 DIADHN c1ccc(-n2nc(CN[C@H]3CCC34CCCC4)c3c2CCC3)cc1 ZINC000474069540 1043566395 /nfs/dbraw/zinc/56/63/95/1043566395.db2.gz VYCIGFRARITHHV-FQEVSTJZSA-N 0 3 321.468 4.173 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1csc2ccccc12 ZINC000926377865 1043571532 /nfs/dbraw/zinc/57/15/32/1043571532.db2.gz DTXSHOWBABOLMH-ZDUSSCGKSA-N 0 3 311.454 4.285 20 0 DIADHN Clc1ccccc1C[C@H](NCC1=CCCOC1)c1ccoc1 ZINC000926426721 1043576324 /nfs/dbraw/zinc/57/63/24/1043576324.db2.gz NLQWQRMXTFPONL-SFHVURJKSA-N 0 3 317.816 4.153 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)NCc1ccc2cnn(C)c2c1 ZINC000926458377 1043580304 /nfs/dbraw/zinc/58/03/04/1043580304.db2.gz SVXWKWFEHQSRPM-CYBMUJFWSA-N 0 3 319.408 4.479 20 0 DIADHN c1cn2cc(CN3CCC[C@H]3CC3CCCCC3)nc2s1 ZINC000522221502 1043582042 /nfs/dbraw/zinc/58/20/42/1043582042.db2.gz NETJHAJPUJLNHE-INIZCTEOSA-N 0 3 303.475 4.331 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C[C@@H]1C ZINC001204768628 1043583184 /nfs/dbraw/zinc/58/31/84/1043583184.db2.gz LQCXJUMRKUUEJA-OLZOCXBDSA-N 0 3 309.475 4.181 20 0 DIADHN CSCc1ccc(CNCc2cc3ccccc3[nH]c2=O)cc1 ZINC000353088422 1043583278 /nfs/dbraw/zinc/58/32/78/1043583278.db2.gz LIMMALWVTQETPE-UHFFFAOYSA-N 0 3 324.449 4.093 20 0 DIADHN Fc1ccc(CNCC2(c3ccccn3)CCC2)c(Cl)c1 ZINC000353091204 1043583735 /nfs/dbraw/zinc/58/37/35/1043583735.db2.gz PVBXQRCRHHLJPH-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN C[C@H](CN1CC[C@H](C(F)(F)F)C2(CCC2)C1)C(F)(F)F ZINC000558739372 1043584391 /nfs/dbraw/zinc/58/43/91/1043584391.db2.gz HWFRJUILHMTEPF-ZJUUUORDSA-N 0 3 303.290 4.239 20 0 DIADHN CC(C)CCn1cccc1CN1CCC[C@H](c2nccs2)C1 ZINC000353102975 1043585533 /nfs/dbraw/zinc/58/55/33/1043585533.db2.gz QJXZVDDMNMMBRW-INIZCTEOSA-N 0 3 317.502 4.370 20 0 DIADHN FC1(F)CCCC[C@H]1CN[C@H]1CCc2c1nccc2Cl ZINC000926500529 1043588037 /nfs/dbraw/zinc/58/80/37/1043588037.db2.gz DPPCULMLLJYBQV-GWCFXTLKSA-N 0 3 300.780 4.137 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(OC(F)F)cc2)cn1 ZINC000353165106 1043588593 /nfs/dbraw/zinc/58/85/93/1043588593.db2.gz GZNGAKYGCXUUOQ-UHFFFAOYSA-N 0 3 306.356 4.016 20 0 DIADHN CCSc1ccccc1C[C@H](C)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000926531880 1043593571 /nfs/dbraw/zinc/59/35/71/1043593571.db2.gz IBUOEEQFJWTWHB-LZWOXQAQSA-N 0 3 315.486 4.222 20 0 DIADHN CC(C)c1ccc([C@H](NCCC2(CO)CC2)c2cccnc2)cc1 ZINC000926535338 1043595218 /nfs/dbraw/zinc/59/52/18/1043595218.db2.gz RMUCNYYTMJHDFG-FQEVSTJZSA-N 0 3 324.468 4.047 20 0 DIADHN C[C@H]([NH2+][C@H]1CCO[C@@H](c2cccs2)C1)c1cc([O-])cc(F)c1 ZINC000926536613 1043596392 /nfs/dbraw/zinc/59/63/92/1043596392.db2.gz FPRQFAHPGMDSAS-HZUKXOBISA-N 0 3 321.417 4.164 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H](C)C2CCC(F)(F)CC2)cs1 ZINC000353314577 1043597198 /nfs/dbraw/zinc/59/71/98/1043597198.db2.gz NAQUULLNFDYZRW-QWRGUYRKSA-N 0 3 318.433 4.154 20 0 DIADHN COc1ccc(CN2CCC(c3ccccc3C)CC2)cc1O ZINC000353329969 1043599817 /nfs/dbraw/zinc/59/98/17/1043599817.db2.gz ODCCZUCRFOVYSJ-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCc3c2cccc3Cl)c1 ZINC000537964519 1043600188 /nfs/dbraw/zinc/60/01/88/1043600188.db2.gz TWNDWHWHWSRTHU-QGZVFWFLSA-N 0 3 305.780 4.265 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCOc3c(C)cccc31)CCC2 ZINC000353346372 1043602444 /nfs/dbraw/zinc/60/24/44/1043602444.db2.gz NWWQUQJDQWSDCX-PMACEKPBSA-N 0 3 323.436 4.494 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cn(-c2ccccc2)nc1C ZINC000353958423 1043603057 /nfs/dbraw/zinc/60/30/57/1043603057.db2.gz SULWUWZPUOOCJN-HNNXBMFYSA-N 0 3 317.502 4.144 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H](C)c1cc2cccc(F)c2o1 ZINC000474099152 1043605092 /nfs/dbraw/zinc/60/50/92/1043605092.db2.gz QTJQVRVAPNSWDN-NEPJUHHUSA-N 0 3 321.392 4.343 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2C[C@H]2C1)c1ncc(C(F)(F)F)cc1Cl ZINC000926617888 1043607906 /nfs/dbraw/zinc/60/79/06/1043607906.db2.gz WKJOARYJPGSTGM-CKEKPRIKSA-N 0 3 304.743 4.203 20 0 DIADHN CC(C)CC[C@@H](NCCNC(=O)CC1CCCC1)c1ccoc1 ZINC000353525800 1043612976 /nfs/dbraw/zinc/61/29/76/1043612976.db2.gz ZMRBVGXVLFODGR-GOSISDBHSA-N 0 3 320.477 4.043 20 0 DIADHN COCc1csc(CN[C@H](C)c2coc3ccccc32)c1 ZINC000926701640 1043618833 /nfs/dbraw/zinc/61/88/33/1043618833.db2.gz CAEIHXIOCISYHF-GFCCVEGCSA-N 0 3 301.411 4.492 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2C[C@@H]3CCCC[C@H]3C2)co1 ZINC000443614672 1043620105 /nfs/dbraw/zinc/62/01/05/1043620105.db2.gz FPRYAICIEOIFIY-STQMWFEESA-N 0 3 318.367 4.242 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3ccc(C)cc32)cc1O ZINC000353608793 1043622407 /nfs/dbraw/zinc/62/24/07/1043622407.db2.gz AZVOHGFQFVZPJK-KDOFPFPSSA-N 0 3 311.425 4.437 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3ccc(C)cc32)cc1O ZINC000353608794 1043623381 /nfs/dbraw/zinc/62/33/81/1043623381.db2.gz AZVOHGFQFVZPJK-KSSFIOAISA-N 0 3 311.425 4.437 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2CCc2ccccc2)c(C)s1 ZINC000443625122 1043624802 /nfs/dbraw/zinc/62/48/02/1043624802.db2.gz HNOQBTVKPGWTDS-QGZVFWFLSA-N 0 3 300.471 4.357 20 0 DIADHN CC[C@@H](N[C@H](C)c1cn[nH]c1)c1ccc(OC(F)(F)F)cc1 ZINC000353643810 1043626684 /nfs/dbraw/zinc/62/66/84/1043626684.db2.gz KYKOVSJTHJSACR-QMTHXVAHSA-N 0 3 313.323 4.110 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CCc3c2nccc3Cl)CCCC1 ZINC000926770925 1043627901 /nfs/dbraw/zinc/62/79/01/1043627901.db2.gz ILIGNGSOSORIER-GFCCVEGCSA-N 0 3 318.770 4.435 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CCCC1)c1ccnn1C1CCC1 ZINC000926770880 1043628072 /nfs/dbraw/zinc/62/80/72/1043628072.db2.gz HSETXQMOLFVUEA-GFCCVEGCSA-N 0 3 315.383 4.381 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@@H](c2nccs2)C1 ZINC000353656580 1043629471 /nfs/dbraw/zinc/62/94/71/1043629471.db2.gz LEQZDBLLHVDMFN-CQSZACIVSA-N 0 3 304.434 4.224 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cc3ccccc3[nH]2)C2CC2)cn1 ZINC000926775440 1043629758 /nfs/dbraw/zinc/62/97/58/1043629758.db2.gz XDXBWYGHWAPHMS-RNODOKPDSA-N 0 3 321.424 4.373 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cnn(C(C)C)c2)C12CCCCC2 ZINC000353666384 1043632120 /nfs/dbraw/zinc/63/21/20/1043632120.db2.gz OUAKSWWCDCIKML-ROUUACIJSA-N 0 3 319.493 4.024 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCCS2)c2ccccn2)cc1 ZINC000926788983 1043634040 /nfs/dbraw/zinc/63/40/40/1043634040.db2.gz DLRUUVUESDVNHP-HKUYNNGSSA-N 0 3 312.482 4.355 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1coc2ccccc21 ZINC000926791404 1043634411 /nfs/dbraw/zinc/63/44/11/1043634411.db2.gz VJHCIUKLWDKTDC-AWEZNQCLSA-N 0 3 307.393 4.356 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CCC(C)C)c2ccoc2)[C@]12CCCO2 ZINC000353690051 1043634549 /nfs/dbraw/zinc/63/45/49/1043634549.db2.gz RCXFDMBDXRHFMK-INDMIFKZSA-N 0 3 321.461 4.073 20 0 DIADHN C[C@H](N[C@@H]1CCCO[C@H]1c1ccc(Cl)cc1)c1ccns1 ZINC000926807840 1043635847 /nfs/dbraw/zinc/63/58/47/1043635847.db2.gz FZSUCYUHIAYWIK-PEYYIBSZSA-N 0 3 322.861 4.367 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cnn(C2CCC2)c1 ZINC000926809062 1043636190 /nfs/dbraw/zinc/63/61/90/1043636190.db2.gz LXBPFDITLYMBED-NILFDRSVSA-N 0 3 313.367 4.156 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1N[C@H]1CCc2c1nccc2Cl ZINC000926811095 1043637153 /nfs/dbraw/zinc/63/71/53/1043637153.db2.gz WJUXXRKMRMTWQS-RTXFEEFZSA-N 0 3 316.754 4.209 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCn3nccc32)cc2ccccc21 ZINC000926815599 1043637691 /nfs/dbraw/zinc/63/76/91/1043637691.db2.gz GPZJRTMPKCJBJY-KDOFPFPSSA-N 0 3 321.424 4.231 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(C)n2)c2ccccc12 ZINC000353744439 1043639372 /nfs/dbraw/zinc/63/93/72/1043639372.db2.gz FHPUVGLQDNUKBK-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN C[C@H](NCc1cccc2ncccc12)c1ccnn1CC1CCC1 ZINC000926831562 1043640042 /nfs/dbraw/zinc/64/00/42/1043640042.db2.gz DJYQLUIRAUPUHL-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN CCc1ccc([C@@H](NCCc2ccns2)c2cccnc2)cc1 ZINC000926838466 1043640553 /nfs/dbraw/zinc/64/05/53/1043640553.db2.gz SFIHKMDHDQACOJ-LJQANCHMSA-N 0 3 323.465 4.022 20 0 DIADHN CCc1ccc([C@H](NCCc2ccns2)c2cccnc2)cc1 ZINC000926838465 1043640704 /nfs/dbraw/zinc/64/07/04/1043640704.db2.gz SFIHKMDHDQACOJ-IBGZPJMESA-N 0 3 323.465 4.022 20 0 DIADHN C[C@@H](NCC1(C)COC1)c1ccc(Cl)c(Cl)c1Cl ZINC000094721647 1043641387 /nfs/dbraw/zinc/64/13/87/1043641387.db2.gz LSMDOIATRKDZLO-MRVPVSSYSA-N 0 3 308.636 4.334 20 0 DIADHN CC(C)(CN[C@@H]1COCc2cccc(Cl)c21)CC(F)F ZINC000926842326 1043642329 /nfs/dbraw/zinc/64/23/29/1043642329.db2.gz PXTVPNBFXGPKDP-GFCCVEGCSA-N 0 3 303.780 4.182 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCC2)O1)c1cc(-c2ccccc2)no1 ZINC000926859159 1043643649 /nfs/dbraw/zinc/64/36/49/1043643649.db2.gz FVQWDDFETWIBDM-GOEBONIOSA-N 0 3 312.413 4.094 20 0 DIADHN Cc1cccc([C@H](NC2CCC3(COC3)CC2)c2ccccn2)c1 ZINC000926859750 1043644697 /nfs/dbraw/zinc/64/46/97/1043644697.db2.gz CKTZIXORQKYILD-FQEVSTJZSA-N 0 3 322.452 4.028 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CC[C@H](C)C[C@@H](C)C1 ZINC000443647759 1043644950 /nfs/dbraw/zinc/64/49/50/1043644950.db2.gz GCVDQSZYPNCUNS-JKSUJKDBSA-N 0 3 316.489 4.118 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CC[C@@H](C)C[C@H](C)C1 ZINC000443651563 1043645776 /nfs/dbraw/zinc/64/57/76/1043645776.db2.gz JLSYKYASQOYYDB-AGIUHOORSA-N 0 3 305.447 4.227 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1ccccc1-n1cccn1 ZINC001137097775 1043645974 /nfs/dbraw/zinc/64/59/74/1043645974.db2.gz APOMGSFNPOHYEJ-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1ccc(-c2ccncc2)cc1F ZINC000353813158 1043646142 /nfs/dbraw/zinc/64/61/42/1043646142.db2.gz HPFRZWNWHAKNNJ-CQSZACIVSA-N 0 3 318.461 4.461 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2Cc2cnc3n[nH]c(C)c3c2)o1 ZINC000353830736 1043647783 /nfs/dbraw/zinc/64/77/83/1043647783.db2.gz VAMQLYWIHJUPGJ-KRWDZBQOSA-N 0 3 324.428 4.285 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2Cc2cnn(C)c2C)o1 ZINC000353832877 1043647948 /nfs/dbraw/zinc/64/79/48/1043647948.db2.gz YACVBQXCBWUHHU-KRWDZBQOSA-N 0 3 301.434 4.001 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1coc2ccccc21 ZINC000926888194 1043647940 /nfs/dbraw/zinc/64/79/40/1043647940.db2.gz FROYGFJRHUGQAT-HNNXBMFYSA-N 0 3 309.409 4.393 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000926897422 1043649942 /nfs/dbraw/zinc/64/99/42/1043649942.db2.gz JAFKEONLPOCYSK-DJEJFTSGSA-N 0 3 319.445 4.283 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1cnn(C2CCC2)c1 ZINC000926898390 1043650436 /nfs/dbraw/zinc/65/04/36/1043650436.db2.gz NRIVLQJHQPKKAC-KBPBESRZSA-N 0 3 301.409 4.467 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N1CC[C@@H](C)C[C@@H](C)C1 ZINC000443662685 1043650341 /nfs/dbraw/zinc/65/03/41/1043650341.db2.gz VOKFGBIGMKOILH-JHJVBQTASA-N 0 3 305.447 4.227 20 0 DIADHN CN(C)c1ncc(CN[C@H]2CCCc3ccc(Cl)cc32)s1 ZINC000623751331 1043653175 /nfs/dbraw/zinc/65/31/75/1043653175.db2.gz SSIOENVHRAJIJW-HNNXBMFYSA-N 0 3 321.877 4.030 20 0 DIADHN Cc1nc([C@@H](C)NCCc2cnc3ccccc3c2)c(C)s1 ZINC000926919244 1043656026 /nfs/dbraw/zinc/65/60/26/1043656026.db2.gz KVXATKFQNVGEKY-GFCCVEGCSA-N 0 3 311.454 4.201 20 0 DIADHN COc1ncc(CN[C@@H](c2ccccc2)C(C)C)cc1Cl ZINC000443675030 1043656618 /nfs/dbraw/zinc/65/66/18/1043656618.db2.gz QCCDXTXRKBQKMU-MRXNPFEDSA-N 0 3 304.821 4.231 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H](c3cccnc3)C3CCC3)ccc2O1 ZINC000926921529 1043657848 /nfs/dbraw/zinc/65/78/48/1043657848.db2.gz VJGCCCYLQLFWNI-VLIAUNLRSA-N 0 3 308.425 4.036 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@H]3CCCNc4ccccc43)ccc2O1 ZINC000926922444 1043657901 /nfs/dbraw/zinc/65/79/01/1043657901.db2.gz ZMKBDDUKOUPQPL-KUHUBIRLSA-N 0 3 308.425 4.047 20 0 DIADHN CCc1cc(CN2CCC3(CCCc4ccccc43)CC2)on1 ZINC001203218682 1043660920 /nfs/dbraw/zinc/66/09/20/1043660920.db2.gz MHUGULGUZFHQJU-UHFFFAOYSA-N 0 3 310.441 4.107 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccc2)nc1 ZINC000926951672 1043661366 /nfs/dbraw/zinc/66/13/66/1043661366.db2.gz UNIUHYDCGJUWTA-BJJXKVORSA-N 0 3 324.321 4.098 20 0 DIADHN COc1ccc([C@@H](NCCC2CC=CC2)c2ccccn2)cc1 ZINC000926951421 1043661457 /nfs/dbraw/zinc/66/14/57/1043661457.db2.gz ZKFYUMPOOKMRCS-HXUWFJFHSA-N 0 3 308.425 4.126 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(CC(C)C)s1 ZINC000353933983 1043663003 /nfs/dbraw/zinc/66/30/03/1043663003.db2.gz OWENKHWLBHPCJI-ZDUSSCGKSA-N 0 3 300.537 4.305 20 0 DIADHN CC[C@@H](NCc1ncc(C)cc1C)c1ccc2c(c1)CCCO2 ZINC000926958456 1043664499 /nfs/dbraw/zinc/66/44/99/1043664499.db2.gz FXKLKODQUNGLCI-GOSISDBHSA-N 0 3 310.441 4.264 20 0 DIADHN C[C@@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cc(O)ccc1F ZINC000926975346 1043665431 /nfs/dbraw/zinc/66/54/31/1043665431.db2.gz CDECVVYYPPQWGD-RGYTYGDFSA-N 0 3 321.342 4.231 20 0 DIADHN C[S@@](=O)c1ccc(CN2CCCC3(C2)CCCCCC3)cc1 ZINC000353969735 1043667473 /nfs/dbraw/zinc/66/74/73/1043667473.db2.gz CHTBGAWPPSMPBM-JOCHJYFZSA-N 0 3 319.514 4.360 20 0 DIADHN Cc1ccc([C@@H](NC[C@]2(C)CCCCO2)c2cccnc2)cc1 ZINC000927017842 1043668290 /nfs/dbraw/zinc/66/82/90/1043668290.db2.gz ZYQGMKHYIWCHKW-UXHICEINSA-N 0 3 310.441 4.028 20 0 DIADHN CSCc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000353995032 1043669499 /nfs/dbraw/zinc/66/94/99/1043669499.db2.gz FUPHQXZLFRQZSD-OAHLLOKOSA-N 0 3 318.511 4.482 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000354025658 1043673523 /nfs/dbraw/zinc/67/35/23/1043673523.db2.gz HMOHVAKKDRZYKU-GOEBONIOSA-N 0 3 308.491 4.395 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cccnc21)c1ccc(C(C)(C)O)cc1 ZINC000927061740 1043675192 /nfs/dbraw/zinc/67/51/92/1043675192.db2.gz RLPKJNGTCQEADC-KBXCAEBGSA-N 0 3 310.441 4.037 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065279 1043679799 /nfs/dbraw/zinc/67/97/99/1043679799.db2.gz GREXSZOVOBIZLG-HNAYVOBHSA-N 0 3 315.461 4.257 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@H](c1cnn(C)c1)C(C)C ZINC000927068502 1043680421 /nfs/dbraw/zinc/68/04/21/1043680421.db2.gz JOSGMCAEBUVORV-DOMZBBRYSA-N 0 3 320.506 4.356 20 0 DIADHN CC(C)[C@@H](N[C@H]1CCc2c1cccc2Cl)c1cnn(C)c1 ZINC000927069573 1043680597 /nfs/dbraw/zinc/68/05/97/1043680597.db2.gz XLXVBXSGVPMUFL-DLBZAZTESA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927069004 1043681049 /nfs/dbraw/zinc/68/10/49/1043681049.db2.gz UPXIYVBFMZZKKM-HNAYVOBHSA-N 0 3 315.461 4.255 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000927067291 1043681495 /nfs/dbraw/zinc/68/14/95/1043681495.db2.gz FNQQWXHVMLVOQZ-SJORKVTESA-N 0 3 303.837 4.048 20 0 DIADHN CC(C)C[C@H](NCc1cc(C2CC2)nn1C)c1ccc(F)cc1 ZINC000927102409 1043687754 /nfs/dbraw/zinc/68/77/54/1043687754.db2.gz XTLOJXUTONAIMR-SFHVURJKSA-N 0 3 315.436 4.314 20 0 DIADHN FC(F)(F)C1(CN[C@@H]2CCc3ccc(Cl)nc32)CCCC1 ZINC000876500955 1043691465 /nfs/dbraw/zinc/69/14/65/1043691465.db2.gz WZOFWGAMDMJOBE-LLVKDONJSA-N 0 3 318.770 4.435 20 0 DIADHN C[C@@H](NC1([C@H]2CCCCO2)CC1)c1cc2c(ccnc2Cl)o1 ZINC000927158779 1043699093 /nfs/dbraw/zinc/69/90/93/1043699093.db2.gz JKNVIQIXTOVOTJ-IAQYHMDHSA-N 0 3 320.820 4.234 20 0 DIADHN FC(F)O[C@H]1CCN(Cc2ccc(-c3ccccc3)s2)C1 ZINC000559098536 1043699711 /nfs/dbraw/zinc/69/97/11/1043699711.db2.gz XKSASJZMPFEPJH-ZDUSSCGKSA-N 0 3 309.381 4.229 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NC1([C@@H]2CCCCO2)CC1 ZINC000927161560 1043702229 /nfs/dbraw/zinc/70/22/29/1043702229.db2.gz QFBNAYGASDRAKL-KGLIPLIRSA-N 0 3 308.491 4.327 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@@H](COc2ccccc2)C1 ZINC000559149592 1043704069 /nfs/dbraw/zinc/70/40/69/1043704069.db2.gz KXRPPRCNHFMINA-GOSISDBHSA-N 0 3 324.468 4.414 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)N[C@H]2CCCSC2)cc1 ZINC000783524641 1043706093 /nfs/dbraw/zinc/70/60/93/1043706093.db2.gz IOGNASTUXZYKAU-QWHCGFSZSA-N 0 3 307.356 4.304 20 0 DIADHN Fc1ccc([C@H](CC(F)(F)F)N[C@@H]2CCCSC2)cc1 ZINC000783524639 1043706419 /nfs/dbraw/zinc/70/64/19/1043706419.db2.gz IOGNASTUXZYKAU-OLZOCXBDSA-N 0 3 307.356 4.304 20 0 DIADHN CC[C@H](N[C@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000559150600 1043706421 /nfs/dbraw/zinc/70/64/21/1043706421.db2.gz XBISOIWFUKPCSH-ZDCRXTMVSA-N 0 3 322.396 4.159 20 0 DIADHN CC(C)[C@]1(CO)CCCN(Cc2cnc(C3CCCC3)s2)C1 ZINC000354562143 1043707110 /nfs/dbraw/zinc/70/71/10/1043707110.db2.gz ICAUQDUQLLRBNB-GOSISDBHSA-N 0 3 322.518 4.031 20 0 DIADHN O[C@H](C[C@@H]1CCCCCN1Cc1ccns1)c1cccs1 ZINC000876802070 1043709977 /nfs/dbraw/zinc/70/99/77/1043709977.db2.gz AYOINLWPSSFUFO-DZGCQCFKSA-N 0 3 322.499 4.073 20 0 DIADHN O[C@@H](C[C@@H]1CCCCCN1Cc1ccns1)c1cccs1 ZINC000876802073 1043711902 /nfs/dbraw/zinc/71/19/02/1043711902.db2.gz AYOINLWPSSFUFO-ZFWWWQNUSA-N 0 3 322.499 4.073 20 0 DIADHN Cc1ccc(O[C@H](C)CN[C@H](C)c2cccnc2Cl)cc1 ZINC000126181904 1043712851 /nfs/dbraw/zinc/71/28/51/1043712851.db2.gz CBTCXGFYVUGWPD-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN CCCC(=CC(=O)N(CCN(C)C)Cc1ccsc1)CCC ZINC001275368335 1043723585 /nfs/dbraw/zinc/72/35/85/1043723585.db2.gz ZPXNMELZVLVVMH-UHFFFAOYSA-N 0 3 322.518 4.165 20 0 DIADHN C[C@H]1CCN(Cc2c(C3CC3)nc3sccn23)CC12CCC2 ZINC000354921335 1043728785 /nfs/dbraw/zinc/72/87/85/1043728785.db2.gz QCKAMUGZILRSHT-ZDUSSCGKSA-N 0 3 315.486 4.285 20 0 DIADHN CCO[P@](C)(=O)CC[C@H](C)NCc1c(F)cccc1Cl ZINC000876987601 1043730732 /nfs/dbraw/zinc/73/07/32/1043730732.db2.gz CBSSMGYTZDYPMM-YBTHPKLGSA-N 0 3 321.760 4.292 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)N[C@@H](C)c1ccccn1 ZINC000354964564 1043731512 /nfs/dbraw/zinc/73/15/12/1043731512.db2.gz YSCBERWZHQOTIH-AHIWAGSCSA-N 0 3 304.821 4.162 20 0 DIADHN Clc1ccc2[nH]cc(CCNCc3cc(C4CC4)no3)c2c1 ZINC000877067310 1043739822 /nfs/dbraw/zinc/73/98/22/1043739822.db2.gz GULDXLQYULHUAP-UHFFFAOYSA-N 0 3 315.804 4.019 20 0 DIADHN Brc1ccc(CN[C@H](c2cccnc2)C2CC2)s1 ZINC000536098950 1043741572 /nfs/dbraw/zinc/74/15/72/1043741572.db2.gz OVZHSIGRXDUQRQ-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CNCc2nc(Cl)cs2)C1 ZINC000877081015 1043742124 /nfs/dbraw/zinc/74/21/24/1043742124.db2.gz JFJGJDFQDOIBOL-IUCAKERBSA-N 0 3 312.788 4.255 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCSCC1)c1nc(C(F)(F)F)cs1 ZINC000355122495 1043744664 /nfs/dbraw/zinc/74/46/64/1043744664.db2.gz MHIYAMKNJYSJHR-DTWKUNHWSA-N 0 3 324.437 4.344 20 0 DIADHN Cn1cc(CN2CCCC3(CCC3)C2)c(C2CCCCC2)n1 ZINC000355212833 1043751380 /nfs/dbraw/zinc/75/13/80/1043751380.db2.gz DPZSSJVTBMCAOG-UHFFFAOYSA-N 0 3 301.478 4.234 20 0 DIADHN O=C(C[C@H]1CCCN1Cc1ccsc1)c1ccc(F)cc1 ZINC000355220479 1043753479 /nfs/dbraw/zinc/75/34/79/1043753479.db2.gz LGGURHGFSDXIEA-MRXNPFEDSA-N 0 3 303.402 4.125 20 0 DIADHN C[C@@H](CN(C)Cc1cnc2c(F)cccc2c1)c1nccs1 ZINC000877316174 1043755531 /nfs/dbraw/zinc/75/55/31/1043755531.db2.gz MZMWZEDIAQJPOV-LBPRGKRZSA-N 0 3 315.417 4.066 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc2c(ccnc2Cl)o1 ZINC000877352925 1043760409 /nfs/dbraw/zinc/76/04/09/1043760409.db2.gz MAXJNOJYXAVEPY-QMMMGPOBSA-N 0 3 306.715 4.302 20 0 DIADHN CSC(C)(C)CCN[C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000877483452 1043765908 /nfs/dbraw/zinc/76/59/08/1043765908.db2.gz VYMWYEXDMNRJQD-QMMMGPOBSA-N 0 3 312.426 4.344 20 0 DIADHN Fc1cccc2cc(CN3CCC(c4cccnc4)CC3)cnc12 ZINC000877524673 1043769642 /nfs/dbraw/zinc/76/96/42/1043769642.db2.gz BNHRUEQWDPHMSH-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cnc(-c3ccccc3)[nH]1)CCC2 ZINC000355494509 1043772189 /nfs/dbraw/zinc/77/21/89/1043772189.db2.gz KQHZKDGJEVRQOB-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN C[C@H](NCC1OCCO1)c1ccccc1-c1ccc(Cl)cc1 ZINC000777723233 1043782861 /nfs/dbraw/zinc/78/28/61/1043782861.db2.gz ZUNCMIMWWFAFTM-ZDUSSCGKSA-N 0 3 317.816 4.031 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777732534 1043784800 /nfs/dbraw/zinc/78/48/00/1043784800.db2.gz YYHAWPKVJNJTGN-FZKQIMNGSA-N 0 3 319.807 4.134 20 0 DIADHN FC(F)(F)c1ncc(CN(CC2CC2)CC2CCC2)s1 ZINC000355731531 1043785219 /nfs/dbraw/zinc/78/52/19/1043785219.db2.gz OKHJPCVEPUDEMI-UHFFFAOYSA-N 0 3 304.381 4.174 20 0 DIADHN CCOC1CC(CNC(C)(C)c2nc3cc(Cl)ccc3o2)C1 ZINC000877668259 1043787593 /nfs/dbraw/zinc/78/75/93/1043787593.db2.gz INFZLSRZNOINKM-UHFFFAOYSA-N 0 3 322.836 4.121 20 0 DIADHN Cc1ccncc1CNCc1ccc(COc2ccccc2)cc1 ZINC000474463010 1043790190 /nfs/dbraw/zinc/79/01/90/1043790190.db2.gz AOLCIPSAXXFMQP-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN FC(F)(F)CCN1CCC[C@@H](c2nc3c(s2)CCCC3)C1 ZINC000355877170 1043792682 /nfs/dbraw/zinc/79/26/82/1043792682.db2.gz DFHZYKYJGAGOGW-LLVKDONJSA-N 0 3 318.408 4.154 20 0 DIADHN CCc1nocc1CN1CCC[C@H](c2ccccc2OC)CC1 ZINC000877770419 1043797459 /nfs/dbraw/zinc/79/74/59/1043797459.db2.gz VFSKJYBACPRCTM-HNNXBMFYSA-N 0 3 314.429 4.015 20 0 DIADHN C[C@@]1(C(F)(F)F)CCN(Cc2cc3ccncc3s2)C1 ZINC000877812847 1043798646 /nfs/dbraw/zinc/79/86/46/1043798646.db2.gz UBPYLMYPSYYLGQ-CYBMUJFWSA-N 0 3 300.349 4.071 20 0 DIADHN Fc1cc(CN2CC[C@H](c3nccs3)C2)ccc1C(F)F ZINC000896003717 1043807123 /nfs/dbraw/zinc/80/71/23/1043807123.db2.gz UZGATQXLIMLDNQ-NSHDSACASA-N 0 3 312.360 4.209 20 0 DIADHN Cc1ccc(C(=O)C2CCN(CCCC(C)(F)F)CC2)cc1 ZINC000929318441 1043808423 /nfs/dbraw/zinc/80/84/23/1043808423.db2.gz IUTAHSRTTPPARN-UHFFFAOYSA-N 0 3 309.400 4.325 20 0 DIADHN CCc1ccc([C@H]2C[C@H](C)CCN2Cc2c[nH]c3nccnc23)o1 ZINC000356110137 1043811710 /nfs/dbraw/zinc/81/17/10/1043811710.db2.gz AUVNJOXVRBFAGE-CZUORRHYSA-N 0 3 324.428 4.038 20 0 DIADHN Fc1ccc(COc2ccc(CN3CCC(F)CC3)cc2)cc1 ZINC001138248214 1043812097 /nfs/dbraw/zinc/81/20/97/1043812097.db2.gz XLBJAKXULUNNQI-UHFFFAOYSA-N 0 3 317.379 4.339 20 0 DIADHN FC(F)(F)c1cscc1CN1CC[C@H](C2CCOCC2)C1 ZINC000356124824 1043812952 /nfs/dbraw/zinc/81/29/52/1043812952.db2.gz QPPSHHJKWYFTKL-LBPRGKRZSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1cc2cc(CNC[C@@H](O)c3cccc(F)c3)oc2cc1C ZINC001648965228 1043816867 /nfs/dbraw/zinc/81/68/67/1043816867.db2.gz KXRHEPYANNDTJZ-GOSISDBHSA-N 0 3 313.372 4.012 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cccc4cccc2c43)cnc1F ZINC000896076885 1043823130 /nfs/dbraw/zinc/82/31/30/1043823130.db2.gz VAQATOWOEKFEIX-GOSISDBHSA-N 0 3 306.384 4.459 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](c3nccs3)C2)s1 ZINC000356233742 1043825153 /nfs/dbraw/zinc/82/51/53/1043825153.db2.gz SAUSUNBHBYRWAT-LLVKDONJSA-N 0 3 310.513 4.306 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cccc(-c2ccccn2)c1 ZINC000356312019 1043833745 /nfs/dbraw/zinc/83/37/45/1043833745.db2.gz OOCJAXBHXFSLBQ-INIZCTEOSA-N 0 3 303.409 4.303 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1cnc(C(C)C)s1 ZINC000356307464 1043834330 /nfs/dbraw/zinc/83/43/30/1043834330.db2.gz MNTPSYNWOVPAHO-BLLLJJGKSA-N 0 3 322.449 4.271 20 0 DIADHN C=C/C=C\CCN1C[C@H](C(F)(F)F)C[C@@H](C(F)(F)F)C1 ZINC000877989854 1043837908 /nfs/dbraw/zinc/83/79/08/1043837908.db2.gz GPOOGPXPVUFXIN-ANDSXOESSA-N 0 3 301.274 4.181 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@H]2[C@@H]2CCCO2)cc2cccnc12 ZINC000356523012 1043846968 /nfs/dbraw/zinc/84/69/68/1043846968.db2.gz JLORTYXRNCMACG-AZUAARDMSA-N 0 3 322.452 4.077 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCCCc4ccccc43)[nH]c2c1 ZINC000356614779 1043854139 /nfs/dbraw/zinc/85/41/39/1043854139.db2.gz FCOWMHACXALSOT-QGZVFWFLSA-N 0 3 305.425 4.429 20 0 DIADHN FC(F)C1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC000878198296 1043856084 /nfs/dbraw/zinc/85/60/84/1043856084.db2.gz PBCYZQGAKYCOJC-UHFFFAOYSA-N 0 3 310.775 4.365 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCCCc3ccccc32)cn1 ZINC000356636779 1043858478 /nfs/dbraw/zinc/85/84/78/1043858478.db2.gz VVNXWYHHJNGUPA-IBGZPJMESA-N 0 3 310.441 4.426 20 0 DIADHN Cc1ccncc1CNCc1cccc(COc2ccccc2)c1 ZINC000474632003 1043863535 /nfs/dbraw/zinc/86/35/35/1043863535.db2.gz UDEAOUZGTCWSTE-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN Fc1ccc2c(c1)onc2C1CCN(CCC(F)(F)F)CC1 ZINC000289713682 1043866906 /nfs/dbraw/zinc/86/69/06/1043866906.db2.gz IAMVAOLJBYWSFX-UHFFFAOYSA-N 0 3 316.298 4.099 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CCCc3cccnc3)nc2c1 ZINC000930037233 1043868700 /nfs/dbraw/zinc/86/87/00/1043868700.db2.gz HSKRENWJJBVMKY-GOSISDBHSA-N 0 3 321.424 4.383 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCC[C@H](c2ccccc2)O1 ZINC000878313170 1043869244 /nfs/dbraw/zinc/86/92/44/1043869244.db2.gz DTRAUOOVMGGVAA-LSTHTHJFSA-N 0 3 310.441 4.385 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCCN(c2ccccc2)CC1 ZINC000561008853 1043869114 /nfs/dbraw/zinc/86/91/14/1043869114.db2.gz VAOPSVSHUBIHTQ-UHFFFAOYSA-N 0 3 323.484 4.226 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccccc2C)cc(N2CCCC2)n1 ZINC000289870995 1043888632 /nfs/dbraw/zinc/88/86/32/1043888632.db2.gz RNFWGUNVEUGWAA-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)c(C)nn1 ZINC000930206033 1043892951 /nfs/dbraw/zinc/89/29/51/1043892951.db2.gz XXZVLUVSJWBDKI-SFHVURJKSA-N 0 3 315.436 4.500 20 0 DIADHN CCN(Cc1coc(-c2ccccc2)n1)[C@H]1CCOC(C)(C)C1 ZINC000289926015 1043896533 /nfs/dbraw/zinc/89/65/33/1043896533.db2.gz UPVCUESFTUHYJL-KRWDZBQOSA-N 0 3 314.429 4.121 20 0 DIADHN C[C@H](N[C@H](C[C@H]1CCOC1)c1ccccc1)c1ccc(F)nc1 ZINC000930232737 1043900838 /nfs/dbraw/zinc/90/08/38/1043900838.db2.gz LYBGYQHLXWEGEC-HDMKZQKVSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1cc([C@H](N[C@@H](C)c2ccc(F)nc2)C2CC2)ccc1F ZINC000930240692 1043903434 /nfs/dbraw/zinc/90/34/34/1043903434.db2.gz ZEXOQGLNGBVCCJ-KPZWWZAWSA-N 0 3 302.368 4.470 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC(C)C)cc2)cc1O ZINC000289983391 1043905261 /nfs/dbraw/zinc/90/52/61/1043905261.db2.gz XYWBWWFPOXDEFM-CQSZACIVSA-N 0 3 315.413 4.039 20 0 DIADHN C[C@H](C1CCC1)N(C)Cc1nnc(-c2ccccc2Cl)o1 ZINC000357026941 1043909156 /nfs/dbraw/zinc/90/91/56/1043909156.db2.gz ROEPLSIWGSUXIS-LLVKDONJSA-N 0 3 305.809 4.010 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1nc2c(o1)CCCC2 ZINC000878667103 1043911920 /nfs/dbraw/zinc/91/19/20/1043911920.db2.gz IOBSLZXDCNGRNJ-RYUDHWBXSA-N 0 3 316.367 4.154 20 0 DIADHN COc1ccccc1-c1nc(CN2[C@@H](C)CCC2(C)C)co1 ZINC000878689416 1043913209 /nfs/dbraw/zinc/91/32/09/1043913209.db2.gz AKPFIEDQCMPLLN-ZDUSSCGKSA-N 0 3 300.402 4.113 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1(C)C ZINC000878675034 1043913728 /nfs/dbraw/zinc/91/37/28/1043913728.db2.gz KGVRYFBDWFLGSY-QGZVFWFLSA-N 0 3 318.848 4.135 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1C[C@@H]3CCC[C@H]3O1)CC2 ZINC000878797524 1043919863 /nfs/dbraw/zinc/91/98/63/1043919863.db2.gz LBLRRIBZDYYEPK-ABSDTBQOSA-N 0 3 324.468 4.084 20 0 DIADHN COC[C@H](N[C@H](C)[C@@H](C)c1ccc(Cl)cc1)c1ccco1 ZINC000474811506 1043922896 /nfs/dbraw/zinc/92/28/96/1043922896.db2.gz RZTRMTHQLPPJJJ-IOASZLSFSA-N 0 3 307.821 4.402 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(F)nc1)c1ccc(OC)c(OC)c1 ZINC000930449605 1043928643 /nfs/dbraw/zinc/92/86/43/1043928643.db2.gz UQTVXLIKFFFWCO-WFASDCNBSA-N 0 3 318.392 4.040 20 0 DIADHN CC[C@H](NCc1ccccc1CF)c1ccc(OC)c(OC)c1 ZINC000930449729 1043928748 /nfs/dbraw/zinc/92/87/48/1043928748.db2.gz WQLLNJWCSXOOGK-KRWDZBQOSA-N 0 3 317.404 4.414 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC001137139448 1043931316 /nfs/dbraw/zinc/93/13/16/1043931316.db2.gz YFDNTWQOKWSZJN-IBGZPJMESA-N 0 3 311.425 4.127 20 0 DIADHN FC1(F)[C@@H](CN2CCCc3occc3C2)[C@@H]1c1ccccc1 ZINC000930506636 1043934691 /nfs/dbraw/zinc/93/46/91/1043934691.db2.gz QVBQACAKRMCVNO-RDJZCZTQSA-N 0 3 303.352 4.077 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccc3c(c2)CCCO3)c(C)n1 ZINC000879009077 1043936780 /nfs/dbraw/zinc/93/67/80/1043936780.db2.gz OLVSYDZKKZWDQO-OAHLLOKOSA-N 0 3 310.441 4.183 20 0 DIADHN CC(C)O[C@H](CN(Cc1cccnc1)C1CC1)c1ccccc1 ZINC000428304007 1043941327 /nfs/dbraw/zinc/94/13/27/1043941327.db2.gz SHVQEYDKBITPMM-HXUWFJFHSA-N 0 3 310.441 4.212 20 0 DIADHN OCC1([C@H](NCc2ccccc2CF)c2ccccc2)CCC1 ZINC000930568276 1043942159 /nfs/dbraw/zinc/94/21/59/1043942159.db2.gz NHHWXYCCIHHSCI-LJQANCHMSA-N 0 3 313.416 4.150 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1cnc([C@H](C)O)s1 ZINC000290395429 1043947742 /nfs/dbraw/zinc/94/77/42/1043947742.db2.gz OYMABXQXUUZSRJ-YOEHRIQHSA-N 0 3 318.486 4.382 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCc1cnc([C@@H](C)O)s1 ZINC000290395426 1043947905 /nfs/dbraw/zinc/94/79/05/1043947905.db2.gz OYMABXQXUUZSRJ-RHSMWYFYSA-N 0 3 318.486 4.382 20 0 DIADHN COCc1cccc(CN(C)Cc2ccccc2N2CCCC2)c1 ZINC000179183616 1043949750 /nfs/dbraw/zinc/94/97/50/1043949750.db2.gz UQQLWGGHPHCWRN-UHFFFAOYSA-N 0 3 324.468 4.065 20 0 DIADHN CCC(CC)CN(CC)[C@@H](C)C(=O)Nc1c(F)cccc1F ZINC000179245652 1043950028 /nfs/dbraw/zinc/95/00/28/1043950028.db2.gz RGZWYHJHKXAHRM-LBPRGKRZSA-N 0 3 312.404 4.050 20 0 DIADHN Cc1nc(CN2CCC[C@H]2[C@H]2CCCOC2)sc1C(C)(C)C ZINC000930810301 1043965360 /nfs/dbraw/zinc/96/53/60/1043965360.db2.gz GZGWLMSLHGHWCR-GJZGRUSLSA-N 0 3 322.518 4.140 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H]2CSC[C@H]2C1 ZINC000879558539 1043973444 /nfs/dbraw/zinc/97/34/44/1043973444.db2.gz KBQKZBCUQRLFNL-FVQBIDKESA-N 0 3 314.454 4.088 20 0 DIADHN C[C@@H](N[C@H](c1nc2ccccc2n1C)c1ccccc1)C1CCC1 ZINC000474891379 1043973814 /nfs/dbraw/zinc/97/38/14/1043973814.db2.gz DKKODFGLFZNMQD-QRWLVFNGSA-N 0 3 319.452 4.441 20 0 DIADHN C[C@H](N[C@H](c1nc2ccccc2n1C)c1ccccc1)C1CCC1 ZINC000474891380 1043974116 /nfs/dbraw/zinc/97/41/16/1043974116.db2.gz DKKODFGLFZNMQD-YWZLYKJASA-N 0 3 319.452 4.441 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(Cc2ccccn2)CC(C)C)o1 ZINC000896666534 1043978688 /nfs/dbraw/zinc/97/86/88/1043978688.db2.gz ZBRZIACYKOKDEV-UHFFFAOYSA-N 0 3 324.428 4.031 20 0 DIADHN CC(C)CN(Cc1sc(N2CCCC2)nc1Cl)C(C)C ZINC001308587622 1043979440 /nfs/dbraw/zinc/97/94/40/1043979440.db2.gz NSSOZJKKEDXCJL-UHFFFAOYSA-N 0 3 315.914 4.263 20 0 DIADHN C[C@H](N[C@@H](C)C1CCCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000474924019 1043985449 /nfs/dbraw/zinc/98/54/49/1043985449.db2.gz LVCNQRFNDHNKLL-STQMWFEESA-N 0 3 317.408 4.495 20 0 DIADHN Cc1cncc([C@@H]2CCCN2C[C@@H]2CCC3(CCCC3)O2)c1 ZINC000879749124 1043987005 /nfs/dbraw/zinc/98/70/05/1043987005.db2.gz DOIYVTVTMRJSQS-ROUUACIJSA-N 0 3 300.446 4.019 20 0 DIADHN C[C@H](CCN1CC=C(C(F)(F)F)CC1)OCc1ccccc1 ZINC000474941227 1043986919 /nfs/dbraw/zinc/98/69/19/1043986919.db2.gz HOHNUJRGVTXPGN-CQSZACIVSA-N 0 3 313.363 4.176 20 0 DIADHN C[C@@H](CCN1CC=C(C(F)(F)F)CC1)OCc1ccccc1 ZINC000474941191 1043988189 /nfs/dbraw/zinc/98/81/89/1043988189.db2.gz HOHNUJRGVTXPGN-AWEZNQCLSA-N 0 3 313.363 4.176 20 0 DIADHN CC(F)(F)CCCN[C@@H](CO)c1cccc(Cl)c1Cl ZINC000931059837 1043988570 /nfs/dbraw/zinc/98/85/70/1043988570.db2.gz QMEDSZCEDMPTRX-NSHDSACASA-N 0 3 312.187 4.052 20 0 DIADHN c1cc2n(n1)CCC[C@H]2NCc1ccc2oc3ccccc3c2c1 ZINC000879785677 1043992833 /nfs/dbraw/zinc/99/28/33/1043992833.db2.gz BNVNBSMOMLWTMM-QGZVFWFLSA-N 0 3 317.392 4.407 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3ccc(F)cc32)cc1O ZINC000290845319 1043994124 /nfs/dbraw/zinc/99/41/24/1043994124.db2.gz YZKDRLRGKQNBQW-SJCJKPOMSA-N 0 3 315.388 4.268 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2ccc(CSC(F)F)o2)C1(C)C ZINC000237269134 1043996383 /nfs/dbraw/zinc/99/63/83/1043996383.db2.gz OOIIPJYTOBFWCL-IUODEOHRSA-N 0 3 319.417 4.029 20 0 DIADHN CO[C@H](C)[C@H](C)NCc1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC000358023180 1044005820 /nfs/dbraw/zinc/00/58/20/1044005820.db2.gz KLDYMULGYSQVBX-WDEREUQCSA-N 0 3 324.877 4.285 20 0 DIADHN Cc1csc([C@@H]2CCN([C@H](C)c3nc4ccccc4o3)C2)n1 ZINC000880010401 1044008244 /nfs/dbraw/zinc/00/82/44/1044008244.db2.gz ZIDRAXFGJJNTNI-CHWSQXEVSA-N 0 3 313.426 4.143 20 0 DIADHN CSc1ccccc1CN1CCC[C@@H]1c1c(C)n[nH]c1C ZINC000358080500 1044014986 /nfs/dbraw/zinc/01/49/86/1044014986.db2.gz ZEASMHFCIRUNCI-OAHLLOKOSA-N 0 3 301.459 4.086 20 0 DIADHN CSC(C)(C)CNCc1cc(Cl)ccc1Br ZINC000128219039 1044016037 /nfs/dbraw/zinc/01/60/37/1044016037.db2.gz OCRMPMYKZUNFJX-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H]1CCc2c1cccc2C)c1ccccc1 ZINC000358081281 1044016514 /nfs/dbraw/zinc/01/65/14/1044016514.db2.gz HRTOLUNOCNEFAY-WOJBJXKFSA-N 0 3 323.436 4.266 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC(c2ncco2)CC1 ZINC000931446206 1044028835 /nfs/dbraw/zinc/02/88/35/1044028835.db2.gz HIERUISACGJZKM-INIZCTEOSA-N 0 3 302.805 4.195 20 0 DIADHN Cc1cccc2c(CN(Cc3ccccc3)C3CCC3)[nH]nc21 ZINC001137150704 1044030200 /nfs/dbraw/zinc/03/02/00/1044030200.db2.gz SOTDIKXYRMAPRZ-UHFFFAOYSA-N 0 3 305.425 4.426 20 0 DIADHN COCC[C@H](C)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291163254 1044032295 /nfs/dbraw/zinc/03/22/95/1044032295.db2.gz JGDSKQVHBSGFKK-NWDGAFQWSA-N 0 3 308.422 4.025 20 0 DIADHN C[C@@H](O)CCCN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC000475022341 1044033558 /nfs/dbraw/zinc/03/35/58/1044033558.db2.gz HTOUYWNQEMDOLQ-CJNGLKHVSA-N 0 3 315.379 4.393 20 0 DIADHN COc1cncc(CNC(C)(C)c2ccc(C(F)(F)F)cc2)c1 ZINC000880385037 1044034325 /nfs/dbraw/zinc/03/43/25/1044034325.db2.gz GPTOVNZIEJPNKV-UHFFFAOYSA-N 0 3 324.346 4.134 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1 ZINC000291194666 1044035885 /nfs/dbraw/zinc/03/58/85/1044035885.db2.gz LPVRAGHUODYVMY-LJQANCHMSA-N 0 3 311.425 4.096 20 0 DIADHN CC[C@H](NCc1ccccc1CF)c1cccc(OC)c1OC ZINC000931533146 1044040377 /nfs/dbraw/zinc/04/03/77/1044040377.db2.gz UKKBWULJAANXOU-KRWDZBQOSA-N 0 3 317.404 4.414 20 0 DIADHN CC[C@H](NCc1nc(-c2cccs2)oc1C)[C@@H]1CCCOC1 ZINC000880466242 1044041123 /nfs/dbraw/zinc/04/11/23/1044041123.db2.gz UAUVRUJPZQUNOQ-KGLIPLIRSA-N 0 3 320.458 4.006 20 0 DIADHN Cc1cc(CN2CCC3(CCCCO3)CC2)c2ccccc2n1 ZINC000880455187 1044041155 /nfs/dbraw/zinc/04/11/55/1044041155.db2.gz PAUARLWBDNRTKD-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN CC[C@@H](NCc1csc(CCC(C)C)n1)[C@@H]1CCCOC1 ZINC000880467374 1044041186 /nfs/dbraw/zinc/04/11/86/1044041186.db2.gz ZQFVSTPNWIUIHY-GDBMZVCRSA-N 0 3 310.507 4.027 20 0 DIADHN Fc1cccc(-c2nc(CN3CCc4ccccc4CC3)co2)c1 ZINC000522554419 1044041467 /nfs/dbraw/zinc/04/14/67/1044041467.db2.gz YIVUIXFHWGOVQI-UHFFFAOYSA-N 0 3 322.383 4.082 20 0 DIADHN CCc1nc(CN[C@H](c2ccc([C@H](C)CC)cc2)C(C)C)n[nH]1 ZINC000475025713 1044042031 /nfs/dbraw/zinc/04/20/31/1044042031.db2.gz JDVQFEDNZSNJLV-KUHUBIRLSA-N 0 3 314.477 4.368 20 0 DIADHN CC[C@@H](NCc1csc(CCC(C)C)n1)[C@H]1CCCOC1 ZINC000880467386 1044042437 /nfs/dbraw/zinc/04/24/37/1044042437.db2.gz ZQFVSTPNWIUIHY-GOEBONIOSA-N 0 3 310.507 4.027 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cnc2c(C)cccn12 ZINC000443864734 1044043019 /nfs/dbraw/zinc/04/30/19/1044043019.db2.gz UUDZGLTZANWKOD-UHFFFAOYSA-N 0 3 318.424 4.146 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](c3ccccc3OC)CC2)o1 ZINC000931565820 1044045137 /nfs/dbraw/zinc/04/51/37/1044045137.db2.gz XYLPRUUSSSBINM-OAHLLOKOSA-N 0 3 314.429 4.015 20 0 DIADHN Cn1cc(C2C[C@H]3CC[C@@H](C2)N3Cc2ccc(Cl)s2)cn1 ZINC000880519527 1044046309 /nfs/dbraw/zinc/04/63/09/1044046309.db2.gz MQKJGUWTEYBRQM-QXMXGUDHSA-N 0 3 321.877 4.046 20 0 DIADHN Cc1ccc(CN[C@H](Cn2ccnc2)c2ccccc2)c(C)c1 ZINC000880569654 1044047574 /nfs/dbraw/zinc/04/75/74/1044047574.db2.gz NNOOAHPEDMDDMG-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CC(C)(C)c1cnc(CN2CCCC[C@@H](C3CCOCC3)C2)o1 ZINC000880574086 1044047680 /nfs/dbraw/zinc/04/76/80/1044047680.db2.gz HKDKREWALUAWJM-MRXNPFEDSA-N 0 3 320.477 4.001 20 0 DIADHN CC(C)c1nnc(CN2[C@@H](C)C[C@@H](c3ccccc3)C2(C)C)o1 ZINC000880592680 1044048803 /nfs/dbraw/zinc/04/88/03/1044048803.db2.gz XYWZIEXXNAXQFC-HOCLYGCPSA-N 0 3 313.445 4.350 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)C(C)(C)N1Cc1nnc(C2CC2)o1 ZINC000880592385 1044048845 /nfs/dbraw/zinc/04/88/45/1044048845.db2.gz RVNZLLWKZWAIFE-CZUORRHYSA-N 0 3 311.429 4.104 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](Cn2ccnc2)c2ccccc2)c1 ZINC000880571433 1044049758 /nfs/dbraw/zinc/04/97/58/1044049758.db2.gz PGGROWAOANUNIM-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN COC[C@@H](NCc1cc2c(s1)CCCC2)c1ccccc1 ZINC000562023767 1044049736 /nfs/dbraw/zinc/04/97/36/1044049736.db2.gz UNOQTEDNDCKSTC-QGZVFWFLSA-N 0 3 301.455 4.104 20 0 DIADHN c1ccc2c(c1)OC1(CCC1)C[C@H]2NCc1ccc2c(c1)COC2 ZINC000358300829 1044050142 /nfs/dbraw/zinc/05/01/42/1044050142.db2.gz MLUFXPDIWUJWRI-LJQANCHMSA-N 0 3 321.420 4.253 20 0 DIADHN CC(C)c1cc([C@H]2CCCN([C@H](C)c3ccc(F)nc3)C2)[nH]n1 ZINC000931608699 1044050637 /nfs/dbraw/zinc/05/06/37/1044050637.db2.gz RVQVMMQNCYYZNO-HIFRSBDPSA-N 0 3 316.424 4.008 20 0 DIADHN CC(C)c1cc([C@H]2CCCN([C@H](C)c3ccc(F)nc3)C2)n[nH]1 ZINC000931608699 1044050650 /nfs/dbraw/zinc/05/06/50/1044050650.db2.gz RVQVMMQNCYYZNO-HIFRSBDPSA-N 0 3 316.424 4.008 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cc(C)cs2)cc1OC ZINC001137157275 1044051502 /nfs/dbraw/zinc/05/15/02/1044051502.db2.gz ROQQZIJOPRXLPR-INIZCTEOSA-N 0 3 317.454 4.411 20 0 DIADHN CC(C)[C@@H](CCO)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291281451 1044051849 /nfs/dbraw/zinc/05/18/49/1044051849.db2.gz RGRBSFRTBBFQHX-SWLSCSKDSA-N 0 3 322.449 4.007 20 0 DIADHN C[C@H](O)C[C@H]1CCCN1[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000443881445 1044051741 /nfs/dbraw/zinc/05/17/41/1044051741.db2.gz VDGMWVNFQAFVJV-IETSOEAISA-N 0 3 314.256 4.216 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)c(F)c1 ZINC000562058211 1044055926 /nfs/dbraw/zinc/05/59/26/1044055926.db2.gz UGSYJVCVMOFAKW-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000562058164 1044056361 /nfs/dbraw/zinc/05/63/61/1044056361.db2.gz SIXQPBUYUSESBU-YWZLYKJASA-N 0 3 314.448 4.342 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccccc1F ZINC000562057777 1044056991 /nfs/dbraw/zinc/05/69/91/1044056991.db2.gz RAIACEVVSVNZNJ-RTBURBONSA-N 0 3 318.411 4.308 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCCOc3ccc(C)cc32)c1 ZINC000358350067 1044057381 /nfs/dbraw/zinc/05/73/81/1044057381.db2.gz YVDPXRLOSUKAGC-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN COC[C@@H](C)N(Cc1cc(C)cs1)Cc1cccc(OC)c1 ZINC001137158432 1044058774 /nfs/dbraw/zinc/05/87/74/1044058774.db2.gz RZANRQGUWXXDBR-OAHLLOKOSA-N 0 3 319.470 4.102 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000562059376 1044059235 /nfs/dbraw/zinc/05/92/35/1044059235.db2.gz MOJFCBZHQVBXLI-RTBURBONSA-N 0 3 318.411 4.308 20 0 DIADHN Cc1nc(C)c(CNC(C)(C)c2ccc(C(F)(F)F)cc2)[nH]1 ZINC000931694707 1044060884 /nfs/dbraw/zinc/06/08/84/1044060884.db2.gz MHOSWXXRELQIPL-UHFFFAOYSA-N 0 3 311.351 4.070 20 0 DIADHN CCc1onc(C)c1CN1CCCSC[C@@H]1c1ccccc1 ZINC000931693782 1044061165 /nfs/dbraw/zinc/06/11/65/1044061165.db2.gz FAVNGDHQYBZBGG-QGZVFWFLSA-N 0 3 316.470 4.226 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCc1n[nH]c(C(C)C)n1 ZINC000428315544 1044062709 /nfs/dbraw/zinc/06/27/09/1044062709.db2.gz CYEVZONOGINEJI-INIZCTEOSA-N 0 3 300.450 4.114 20 0 DIADHN C[C@@H](CO)CCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291384916 1044068683 /nfs/dbraw/zinc/06/86/83/1044068683.db2.gz ZRPLSEJEOQKLBQ-OLZOCXBDSA-N 0 3 322.449 4.008 20 0 DIADHN CCC[C@@H](NC[C@H](COC)OC)c1ccc(Cl)cc1Cl ZINC000358439119 1044070421 /nfs/dbraw/zinc/07/04/21/1044070421.db2.gz XJKRKJYERJRWID-IUODEOHRSA-N 0 3 320.260 4.086 20 0 DIADHN C[C@@H]1CC[C@@H](CNC2(c3ccc(Cl)c(Cl)c3)CC2)O1 ZINC000475044372 1044070508 /nfs/dbraw/zinc/07/05/08/1044070508.db2.gz HJDHKIZWKQOYRD-PWSUYJOCSA-N 0 3 300.229 4.140 20 0 DIADHN FC(F)(F)c1ncc(CNCCc2cccc(Cl)c2)s1 ZINC000443967312 1044072577 /nfs/dbraw/zinc/07/25/77/1044072577.db2.gz CSHHNOKMLIHHJA-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN COC(=O)c1cccc([C@H]2CCCN2Cc2cc(C)cs2)c1 ZINC001137161728 1044081696 /nfs/dbraw/zinc/08/16/96/1044081696.db2.gz RJOPOXORCQCTDR-QGZVFWFLSA-N 0 3 315.438 4.180 20 0 DIADHN FC1(F)C[C@@]2(CCN(Cc3cnc4ccccc4c3)C2)C1(F)F ZINC000931914407 1044084950 /nfs/dbraw/zinc/08/49/50/1044084950.db2.gz SVQSPCMIGCFVFT-OAHLLOKOSA-N 0 3 324.321 4.101 20 0 DIADHN CCCCN(C(=O)C[C@H]1NCc2ccccc21)c1ccccc1 ZINC000659257242 1044098811 /nfs/dbraw/zinc/09/88/11/1044098811.db2.gz YRRFCATVCZNRNI-LJQANCHMSA-N 0 3 308.425 4.054 20 0 DIADHN CCn1c2ccccc2nc1[C@H]1CCCN1CCC(C)(C)OC ZINC000346439227 1044103317 /nfs/dbraw/zinc/10/33/17/1044103317.db2.gz RJKJFMFANVRHAW-QGZVFWFLSA-N 0 3 315.461 4.008 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H]1OCCc2sccc21 ZINC000291809108 1044105059 /nfs/dbraw/zinc/10/50/59/1044105059.db2.gz CLLIQDIIBHQHIA-ZETOZRRWSA-N 0 3 323.483 4.171 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CCC=CO2)c2ccccc2)cc1 ZINC000380200432 1044105091 /nfs/dbraw/zinc/10/50/91/1044105091.db2.gz CMZUQGRANFDNTE-WOJBJXKFSA-N 0 3 309.409 4.067 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3nn(-c4ccccc4)cc32)cc1 ZINC000358934726 1044112009 /nfs/dbraw/zinc/11/20/09/1044112009.db2.gz KWRCSMJAAJFTLZ-HXUWFJFHSA-N 0 3 317.436 4.348 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)nc1)[C@H]1OCCc2sccc21 ZINC000291920003 1044114913 /nfs/dbraw/zinc/11/49/13/1044114913.db2.gz NULDYNUKUHFDHS-LYOVBCGYSA-N 0 3 322.861 4.150 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3ccc(Cl)cn3)C2)cc1 ZINC000562424078 1044115116 /nfs/dbraw/zinc/11/51/16/1044115116.db2.gz SYGDFLHFAUARSW-INIZCTEOSA-N 0 3 300.833 4.287 20 0 DIADHN Cc1cc([C@@H](N[C@@H]2CCCSC2)c2cccnc2)ccc1F ZINC000346554382 1044117086 /nfs/dbraw/zinc/11/70/86/1044117086.db2.gz BSOGKCFYUNJNGC-SJLPKXTDSA-N 0 3 316.445 4.104 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H](CCCO)c3ccccc3)cc2c(C)c1 ZINC000359007088 1044120872 /nfs/dbraw/zinc/12/08/72/1044120872.db2.gz UCAHMYQGEJZCSV-FQEVSTJZSA-N 0 3 322.452 4.388 20 0 DIADHN COCCCC[C@H](N[C@@H](C)c1cncs1)c1ccccc1 ZINC000359015313 1044120884 /nfs/dbraw/zinc/12/08/84/1044120884.db2.gz CLWYSCXFQYAELX-HOCLYGCPSA-N 0 3 304.459 4.352 20 0 DIADHN CCOC1CC(CN(Cc2nc3ccccc3s2)C2CC2)C1 ZINC000932397112 1044125348 /nfs/dbraw/zinc/12/53/48/1044125348.db2.gz NFJCXFJVWJNPSQ-UHFFFAOYSA-N 0 3 316.470 4.076 20 0 DIADHN Cc1ccc(C[C@H]2CCCN(Cc3ccncc3Cl)C2)cc1 ZINC000562517227 1044125931 /nfs/dbraw/zinc/12/59/31/1044125931.db2.gz BDJKWGKYSGDPTD-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000359145379 1044136366 /nfs/dbraw/zinc/13/63/66/1044136366.db2.gz URWHIVFPCXXVCX-CQSZACIVSA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(C)nc(Cl)c2)cc1C ZINC000932569041 1044144869 /nfs/dbraw/zinc/14/48/69/1044144869.db2.gz PNMOLWLGEPSDGB-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN c1nc([C@H](NCc2cccc3ccccc32)C2CCCCC2)n[nH]1 ZINC000292182886 1044145290 /nfs/dbraw/zinc/14/52/90/1044145290.db2.gz OPUHRAONJHIOPB-LJQANCHMSA-N 0 3 320.440 4.369 20 0 DIADHN c1nnc([C@H]([NH2+]Cc2cccc3ccccc32)C2CCCCC2)[n-]1 ZINC000292182886 1044145298 /nfs/dbraw/zinc/14/52/98/1044145298.db2.gz OPUHRAONJHIOPB-LJQANCHMSA-N 0 3 320.440 4.369 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)cc1Cl ZINC000443989297 1044148376 /nfs/dbraw/zinc/14/83/76/1044148376.db2.gz OSMXHBDPAPFXBC-YEJXKQKISA-N 0 3 321.873 4.237 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3c(C4CC4)nc4sccn34)C2)C1 ZINC000359310245 1044154112 /nfs/dbraw/zinc/15/41/12/1044154112.db2.gz RPEDKCWCGSYQME-SCLBCKFNSA-N 0 3 315.486 4.285 20 0 DIADHN CC(C)(CNCc1ccccc1Br)C(F)(F)F ZINC000562743497 1044154924 /nfs/dbraw/zinc/15/49/24/1044154924.db2.gz UWRKIXHTCQUYNF-UHFFFAOYSA-N 0 3 310.157 4.127 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1nc2ccccc2n1C(F)F ZINC000444041216 1044156090 /nfs/dbraw/zinc/15/60/90/1044156090.db2.gz XXWYQSATIWPTAI-OCCSQVGLSA-N 0 3 307.388 4.442 20 0 DIADHN CCCCn1cc(CN[C@@H]2CCCc3ccc(C)cc32)c(C)n1 ZINC000359346025 1044159226 /nfs/dbraw/zinc/15/92/26/1044159226.db2.gz RIMXFMUUUMHRAH-HXUWFJFHSA-N 0 3 311.473 4.467 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2NC[C@@H]1CCCO1 ZINC000359384161 1044161612 /nfs/dbraw/zinc/16/16/12/1044161612.db2.gz VANIULBKROOTBY-WPRPVWTQSA-N 0 3 306.258 4.201 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)CC2 ZINC000562876605 1044173956 /nfs/dbraw/zinc/17/39/56/1044173956.db2.gz CYRRNHXFTPYQIT-UXHICEINSA-N 0 3 312.432 4.014 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@]2(CC[C@H](C)C2)C1 ZINC000359537781 1044174491 /nfs/dbraw/zinc/17/44/91/1044174491.db2.gz AKVCZQBACAYCIJ-VHFSOBRXSA-N 0 3 314.473 4.143 20 0 DIADHN CSc1ccc(OCCN2CCC[C@@H]2c2cccnc2)cc1 ZINC000346977655 1044177670 /nfs/dbraw/zinc/17/76/70/1044177670.db2.gz KKHFSWDFJXMYMR-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN c1ccc2c(c1)CN(Cc1cccc3cccnc31)CCS2 ZINC001137179698 1044178153 /nfs/dbraw/zinc/17/81/53/1044178153.db2.gz ZTGVFMQNFJHBKJ-UHFFFAOYSA-N 0 3 306.434 4.343 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc2ncccc2c1 ZINC000281690887 1044177993 /nfs/dbraw/zinc/17/79/93/1044177993.db2.gz SCCQEDNVAHFKDR-IBGZPJMESA-N 0 3 322.383 4.160 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@H](C2CCOCC2)C1 ZINC000933081246 1044182084 /nfs/dbraw/zinc/18/20/84/1044182084.db2.gz KVVPNLHFGRTFPZ-HOCLYGCPSA-N 0 3 314.429 4.027 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1C(C)(C)C)N1CCCCCC1 ZINC000025407729 1044183917 /nfs/dbraw/zinc/18/39/17/1044183917.db2.gz PLHZNHDEVYNMCC-OAHLLOKOSA-N 0 3 302.462 4.187 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2([C@H](O)c3ccccc3)CC2)o1 ZINC000129871894 1044186508 /nfs/dbraw/zinc/18/65/08/1044186508.db2.gz JXZRDQOXWGRGHE-DKSSEZFCSA-N 0 3 311.425 4.006 20 0 DIADHN C[C@@]1(NCc2cccc(C(F)F)c2)CCOc2ccccc21 ZINC000933372990 1044195390 /nfs/dbraw/zinc/19/53/90/1044195390.db2.gz ZGJSWAQIBDOFBB-GOSISDBHSA-N 0 3 303.352 4.412 20 0 DIADHN CN(CCCSc1ccccc1Cl)Cc1cccc(=O)[nH]1 ZINC000933397848 1044196642 /nfs/dbraw/zinc/19/66/42/1044196642.db2.gz HMJASCPDAOVLDZ-UHFFFAOYSA-N 0 3 322.861 4.055 20 0 DIADHN Cc1ccc(CNCc2cccc(-c3cccnc3)c2)cc1F ZINC000130356657 1044197820 /nfs/dbraw/zinc/19/78/20/1044197820.db2.gz WEUNAWGAPRRFIT-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CC(C)[C@H](NCc1ccc2oc(=O)oc2c1)c1ccc(F)cc1 ZINC000882880880 1044202086 /nfs/dbraw/zinc/20/20/86/1044202086.db2.gz NAVCQFMHQCKTPO-KRWDZBQOSA-N 0 3 315.344 4.012 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c(O)cccc32)cc2cccnc12 ZINC000359830214 1044204857 /nfs/dbraw/zinc/20/48/57/1044204857.db2.gz JVZAZZKANCCKSI-IBGZPJMESA-N 0 3 318.420 4.416 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)C[C@@H]2NCc3ccccc32)c(C)c1 ZINC000659258429 1044210023 /nfs/dbraw/zinc/21/00/23/1044210023.db2.gz SYDZQETUTGCSFA-JXFKEZNVSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1cc(Br)ccc1[C@H](C)N[C@H](C)c1ccc[nH]1 ZINC000883209219 1044234557 /nfs/dbraw/zinc/23/45/57/1044234557.db2.gz XSNKUQQGTUNEHB-NWDGAFQWSA-N 0 3 307.235 4.497 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(OC2CCCC2)c1)N(CC)CC ZINC000522847969 1044221835 /nfs/dbraw/zinc/22/18/35/1044221835.db2.gz NQJYUMBMDDGCJG-SFHVURJKSA-N 0 3 318.461 4.067 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc(Cl)c2N)cc1 ZINC000883110603 1044222047 /nfs/dbraw/zinc/22/20/47/1044222047.db2.gz FUBDCZQMKNQDJW-NSHDSACASA-N 0 3 306.862 4.495 20 0 DIADHN CC1(c2cccc(CNCc3ccccc3C3CC3)c2)OCCO1 ZINC000883128136 1044222239 /nfs/dbraw/zinc/22/22/39/1044222239.db2.gz VLUUVOATABEAPP-UHFFFAOYSA-N 0 3 323.436 4.073 20 0 DIADHN CC1(c2cccc(CNCc3ccc(C4CC4)cc3)c2)OCCO1 ZINC000883128332 1044222684 /nfs/dbraw/zinc/22/26/84/1044222684.db2.gz BTRCXEOZRGZARE-UHFFFAOYSA-N 0 3 323.436 4.073 20 0 DIADHN CC1(c2cccc(CNCc3cccc(C4CC4)c3)c2)OCCO1 ZINC000883128776 1044223883 /nfs/dbraw/zinc/22/38/83/1044223883.db2.gz VGEQTAFSARERRA-UHFFFAOYSA-N 0 3 323.436 4.073 20 0 DIADHN COC1CC(NCc2nc(-c3ccc(Cl)cc3)c(C)s2)C1 ZINC000347285394 1044225058 /nfs/dbraw/zinc/22/50/58/1044225058.db2.gz CVLNXZPMJMTASD-UHFFFAOYSA-N 0 3 322.861 4.039 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2cc(C)nc(C)c2)c1C ZINC000883147155 1044225827 /nfs/dbraw/zinc/22/58/27/1044225827.db2.gz MGPWEGZQASFADR-UHFFFAOYSA-N 0 3 312.457 4.060 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1N)c1ccc2c(c1)CCCO2 ZINC000883182875 1044230523 /nfs/dbraw/zinc/23/05/23/1044230523.db2.gz MUQJQYYMAXWXSD-GFCCVEGCSA-N 0 3 316.832 4.098 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2ccc3oc(=O)oc3c2)c2ccccc21 ZINC000883189998 1044232322 /nfs/dbraw/zinc/23/23/22/1044232322.db2.gz SMVSEFKRLGZMKM-IRXDYDNUSA-N 0 3 323.392 4.360 20 0 DIADHN C[C@H](Cc1cccnc1)NCc1nc(C2CCCCC2)cs1 ZINC000934231452 1044232931 /nfs/dbraw/zinc/23/29/31/1044232931.db2.gz SHYUZWXTZUGZIP-CQSZACIVSA-N 0 3 315.486 4.307 20 0 DIADHN Cc1cccc(C2(NCc3cnn(CC(F)F)c3)CCCC2)c1 ZINC000292985955 1044235781 /nfs/dbraw/zinc/23/57/81/1044235781.db2.gz MLBHUTQYXSYSHR-UHFFFAOYSA-N 0 3 319.399 4.016 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cccc2[nH]ccc21 ZINC000883258436 1044247315 /nfs/dbraw/zinc/24/73/15/1044247315.db2.gz BDHACEWPGCYEGA-UHFFFAOYSA-N 0 3 306.409 4.344 20 0 DIADHN CC(C)OCCCN1CCC(C(=O)c2ccccc2Cl)CC1 ZINC000934423727 1044248405 /nfs/dbraw/zinc/24/84/05/1044248405.db2.gz OYZXXRQEDCDFTF-UHFFFAOYSA-N 0 3 323.864 4.050 20 0 DIADHN COC1CCC(N(C)Cc2nc(-c3ccsc3)oc2C)CC1 ZINC000360321781 1044259656 /nfs/dbraw/zinc/25/96/56/1044259656.db2.gz ZQLOEHCUVDAXOE-UHFFFAOYSA-N 0 3 320.458 4.101 20 0 DIADHN CC(C)[C@H](NCc1ccnc(-c2ccccn2)n1)c1ccccc1 ZINC000883293180 1044259890 /nfs/dbraw/zinc/25/98/90/1044259890.db2.gz LZSXADJQLTXNQY-IBGZPJMESA-N 0 3 318.424 4.026 20 0 DIADHN Fc1ccc(-c2cnc(CN(C3CCC3)C3CCC3)o2)cc1 ZINC000563496726 1044273599 /nfs/dbraw/zinc/27/35/99/1044273599.db2.gz ANWWADGYVGYHCW-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN CCC[C@](C)(CC)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000475115921 1044279547 /nfs/dbraw/zinc/27/95/47/1044279547.db2.gz DDVDKZANMUMODR-POAQFYNOSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC(C(F)F)CC1 ZINC001141988516 1044281341 /nfs/dbraw/zinc/28/13/41/1044281341.db2.gz YMNPUCIDCWGBKB-UHFFFAOYSA-N 0 3 306.356 4.127 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CC[C@@H](C(F)(F)F)C1 ZINC001141988320 1044281505 /nfs/dbraw/zinc/28/15/05/1044281505.db2.gz PYBOEPUAISJTHU-CYBMUJFWSA-N 0 3 310.319 4.034 20 0 DIADHN Fc1ccc(CCN2CC[C@H](CC(F)(F)F)C2)c(Cl)c1 ZINC000563577173 1044284475 /nfs/dbraw/zinc/28/44/75/1044284475.db2.gz CACZGQJKPCEKMR-SNVBAGLBSA-N 0 3 309.734 4.296 20 0 DIADHN CCC[C@@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1ccccc1 ZINC000360578361 1044284854 /nfs/dbraw/zinc/28/48/54/1044284854.db2.gz GJICVXUCJBRQDN-WOJBJXKFSA-N 0 3 324.468 4.337 20 0 DIADHN c1nnc([C@H]([NH2+][C@@H]2CCCc3ccccc32)C2CCCCC2)[n-]1 ZINC000293464285 1044286342 /nfs/dbraw/zinc/28/63/42/1044286342.db2.gz QFQGXCXWOFPRHQ-QZTJIDSGSA-N 0 3 310.445 4.093 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNCC1(C(F)F)CC1 ZINC000475215920 1044328146 /nfs/dbraw/zinc/32/81/46/1044328146.db2.gz ILYIELBDTKAQGX-UHFFFAOYSA-N 0 3 310.171 4.137 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCCC[C@@H](C)C2)c(C)c1 ZINC000360628161 1044291755 /nfs/dbraw/zinc/29/17/55/1044291755.db2.gz GLBLJMPBEMSGAS-CXAGYDPISA-N 0 3 302.462 4.061 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)cc1C ZINC000360602191 1044292119 /nfs/dbraw/zinc/29/21/19/1044292119.db2.gz ZHICXSNJLNNLIE-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN C[C@@H](N[C@H]1CC2(CCOCC2)Oc2ccccc21)c1ccccc1 ZINC000883384535 1044297614 /nfs/dbraw/zinc/29/76/14/1044297614.db2.gz UHPDIQODYCDYHF-APWZRJJASA-N 0 3 323.436 4.410 20 0 DIADHN C[C@@H](N[C@@H]1CC2(CCOCC2)Oc2ccccc21)c1ccccc1 ZINC000883384538 1044297900 /nfs/dbraw/zinc/29/79/00/1044297900.db2.gz UHPDIQODYCDYHF-VQIMIIECSA-N 0 3 323.436 4.410 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387572 1044300825 /nfs/dbraw/zinc/30/08/25/1044300825.db2.gz ZUXIPIMOHFAEHV-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN CC[C@@H](N[C@@H](Cn1ccnc1)c1ccccc1)c1ccsc1 ZINC000883387896 1044304279 /nfs/dbraw/zinc/30/42/79/1044304279.db2.gz HSUUFJWEZGUPKN-MSOLQXFVSA-N 0 3 311.454 4.427 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1cccc(O)c1 ZINC000192720764 1044305314 /nfs/dbraw/zinc/30/53/14/1044305314.db2.gz RBYBUWYAUYVLPL-RYUDHWBXSA-N 0 3 307.340 4.405 20 0 DIADHN Cc1cccc(CN[C@H](Cn2ccnc2)c2ccccc2)c1C ZINC000883398875 1044305739 /nfs/dbraw/zinc/30/57/39/1044305739.db2.gz XSNIFADPZLZYMU-HXUWFJFHSA-N 0 3 305.425 4.031 20 0 DIADHN CCCc1csc(CNCCc2c[nH]c3ccc(F)cc23)n1 ZINC000360774300 1044311052 /nfs/dbraw/zinc/31/10/52/1044311052.db2.gz XWVOZGVAYZMQOD-UHFFFAOYSA-N 0 3 317.433 4.048 20 0 DIADHN CCc1nc(CCN[C@H](CC)c2cc(F)ccc2F)cs1 ZINC000360852274 1044320144 /nfs/dbraw/zinc/32/01/44/1044320144.db2.gz HHBISFPZDKLINY-OAHLLOKOSA-N 0 3 310.413 4.267 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCCC[C@H](C)O ZINC000475198640 1044322355 /nfs/dbraw/zinc/32/23/55/1044322355.db2.gz QSSQIOHWWJLWHE-LBPRGKRZSA-N 0 3 301.883 4.091 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CCC3(CCO3)CC2)s1 ZINC001142001451 1044324003 /nfs/dbraw/zinc/32/40/03/1044324003.db2.gz QNYVLMAPRYIRST-UHFFFAOYSA-N 0 3 315.438 4.295 20 0 DIADHN CC[C@@H](NCc1ccc(OCC(F)(F)F)cc1)c1ccncc1 ZINC000360896821 1044324012 /nfs/dbraw/zinc/32/40/12/1044324012.db2.gz UIORZMSOOURBAZ-MRXNPFEDSA-N 0 3 324.346 4.264 20 0 DIADHN CC(C)[C@@H](CN(C)Cc1cn2c(n1)CCCC2)c1ccccc1 ZINC000475214336 1044328320 /nfs/dbraw/zinc/32/83/20/1044328320.db2.gz YQUSRDSGMQZEJT-LJQANCHMSA-N 0 3 311.473 4.091 20 0 DIADHN CNC(=O)C[C@@H](N[C@H](C)c1cc(C)c(C)cc1C)c1ccccc1 ZINC000360919945 1044328580 /nfs/dbraw/zinc/32/85/80/1044328580.db2.gz ONTFTFMZERXBLY-YLJYHZDGSA-N 0 3 324.468 4.140 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(OCC(F)F)cc1 ZINC000475275391 1044335795 /nfs/dbraw/zinc/33/57/95/1044335795.db2.gz GBNGNCBFXIYXHA-GWCFXTLKSA-N 0 3 323.305 4.103 20 0 DIADHN CCCOc1cccc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)c1 ZINC000475274275 1044336889 /nfs/dbraw/zinc/33/68/89/1044336889.db2.gz NCRSRHSUDQQNJG-IUODEOHRSA-N 0 3 301.352 4.248 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CC[C@H](C(F)(F)F)[C@H]2C)c1 ZINC000475280076 1044337728 /nfs/dbraw/zinc/33/77/28/1044337728.db2.gz MWEFUGKGENKXDK-DOMZBBRYSA-N 0 3 323.362 4.158 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CC[C@@H](C(F)(F)F)[C@@H]2C)c1 ZINC000475280078 1044339328 /nfs/dbraw/zinc/33/93/28/1044339328.db2.gz MWEFUGKGENKXDK-SWLSCSKDSA-N 0 3 323.362 4.158 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(OC(F)(F)F)c1)c1ccncc1 ZINC000132917915 1044340795 /nfs/dbraw/zinc/34/07/95/1044340795.db2.gz LLMYOOICRNVCHE-VXGBXAGGSA-N 0 3 310.319 4.392 20 0 DIADHN c1sc(-c2ccccc2)nc1CNC[C@H]1CCCSC1 ZINC000564116030 1044341737 /nfs/dbraw/zinc/34/17/37/1044341737.db2.gz WHYAANPBPZOSEH-CYBMUJFWSA-N 0 3 304.484 4.043 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(OCC2CC2)cc1 ZINC000475282686 1044344820 /nfs/dbraw/zinc/34/48/20/1044344820.db2.gz VTGYMWNIFWEAPJ-LRDDRELGSA-N 0 3 313.363 4.248 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1ccc(OCC2CC2)cc1 ZINC000475282688 1044345320 /nfs/dbraw/zinc/34/53/20/1044345320.db2.gz VTGYMWNIFWEAPJ-WBMJQRKESA-N 0 3 313.363 4.248 20 0 DIADHN CO[C@]1(C)CCCN(Cc2cnc(-c3ccc(C)cc3)s2)C1 ZINC000475290917 1044349374 /nfs/dbraw/zinc/34/93/74/1044349374.db2.gz MCADKJHKYFBOJP-GOSISDBHSA-N 0 3 316.470 4.119 20 0 DIADHN CC/C=C\CNCc1cnn(Cc2ccc(C(F)(F)F)cc2)c1 ZINC001202561242 1044352888 /nfs/dbraw/zinc/35/28/88/1044352888.db2.gz RVBBFRUXGGZRSZ-ARJAWSKDSA-N 0 3 323.362 4.006 20 0 DIADHN Cc1nocc1CN(C)[C@H](C)Cc1ccccc1C(F)(F)F ZINC000293926340 1044354010 /nfs/dbraw/zinc/35/40/10/1044354010.db2.gz NCHHUBLCUKOJLD-LLVKDONJSA-N 0 3 312.335 4.065 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@H](C)CC2CCOCC2)cs1 ZINC000361053558 1044354049 /nfs/dbraw/zinc/35/40/49/1044354049.db2.gz NLJLGWGNSMYQOC-CXAGYDPISA-N 0 3 308.491 4.041 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@@H](C)CC2CCOCC2)cs1 ZINC000361053563 1044354763 /nfs/dbraw/zinc/35/47/63/1044354763.db2.gz NLJLGWGNSMYQOC-SUMWQHHRSA-N 0 3 308.491 4.041 20 0 DIADHN OCC1([C@H](NCc2cccc3cc[nH]c32)c2ccccc2)CCC1 ZINC000293950330 1044358171 /nfs/dbraw/zinc/35/81/71/1044358171.db2.gz OZJQFSFVKIPMCQ-HXUWFJFHSA-N 0 3 320.436 4.161 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN[C@@H](C)c1ccccc1 ZINC000003566756 1044359923 /nfs/dbraw/zinc/35/99/23/1044359923.db2.gz XATWPBBURDRXFJ-INIZCTEOSA-N 0 3 310.441 4.408 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCCc2c(O)cccc21 ZINC000361111412 1044359989 /nfs/dbraw/zinc/35/99/89/1044359989.db2.gz RHVVILLIKCTEJD-LJQANCHMSA-N 0 3 311.425 4.096 20 0 DIADHN Cc1nc([C@H](C)NCCCCN(C)c2ccccc2)cs1 ZINC000361113064 1044360492 /nfs/dbraw/zinc/36/04/92/1044360492.db2.gz XNVDNWKYDDXJKL-AWEZNQCLSA-N 0 3 303.475 4.019 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1ccc(-c2ccncc2)cc1 ZINC000361183666 1044370307 /nfs/dbraw/zinc/37/03/07/1044370307.db2.gz AFZRCKVNZKJTKI-INIZCTEOSA-N 0 3 318.420 4.092 20 0 DIADHN c1cn(-c2ccccc2)c(CNCC[C@H]2CCc3ccccc32)n1 ZINC001202611546 1044370797 /nfs/dbraw/zinc/37/07/97/1044370797.db2.gz KUJGATOUMXMBKJ-GOSISDBHSA-N 0 3 317.436 4.082 20 0 DIADHN Fc1ccc(CNCCCc2nccs2)c(Cl)c1Cl ZINC000564420025 1044376641 /nfs/dbraw/zinc/37/66/41/1044376641.db2.gz NTBHZSYLMWWAIP-UHFFFAOYSA-N 0 3 319.232 4.311 20 0 DIADHN Cn1cnc2cc(CN[C@@H]3CCc4sc(Cl)cc43)ccc21 ZINC000564407651 1044376853 /nfs/dbraw/zinc/37/68/53/1044376853.db2.gz NTVLQCUMNYLTSY-GFCCVEGCSA-N 0 3 317.845 4.065 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1ncc(-c2cccc(F)c2)o1 ZINC000475356223 1044377644 /nfs/dbraw/zinc/37/76/44/1044377644.db2.gz ZSSQGPAAFMWKHI-INIZCTEOSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1ncc(-c2cccc(F)c2)o1 ZINC000475356226 1044377771 /nfs/dbraw/zinc/37/77/71/1044377771.db2.gz ZSSQGPAAFMWKHI-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cc(NCCC(c2ccccc2)c2ccccc2)nc(N)n1 ZINC000883996045 1044387486 /nfs/dbraw/zinc/38/74/86/1044387486.db2.gz GGVRBXMHYZQFLC-UHFFFAOYSA-N 0 3 318.424 4.001 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@@H](C)c1cccc(CO)c1 ZINC000282870278 1044392423 /nfs/dbraw/zinc/39/24/23/1044392423.db2.gz VERFKMGUJXCVOY-UONOGXRCSA-N 0 3 301.455 4.313 20 0 DIADHN CC[C@H](NCc1cncc(C(F)(F)F)c1)c1cccs1 ZINC000361337891 1044395558 /nfs/dbraw/zinc/39/55/58/1044395558.db2.gz ZHAIVORQTFCRPX-LBPRGKRZSA-N 0 3 300.349 4.403 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000193008554 1044408987 /nfs/dbraw/zinc/40/89/87/1044408987.db2.gz SWNCJYBTXVDXRE-AEFFLSMTSA-N 0 3 315.848 4.192 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2cccc(O)c2)ccc1SC ZINC000109781616 1044411065 /nfs/dbraw/zinc/41/10/65/1044411065.db2.gz QCAZUZQTNOYFIR-ZDUSSCGKSA-N 0 3 317.454 4.316 20 0 DIADHN CC(C)COC1CCN(Cc2ccc(OCC3CC3)cc2)CC1 ZINC000361550341 1044417891 /nfs/dbraw/zinc/41/78/91/1044417891.db2.gz DVOBHJFIYWSAAW-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN Cc1ccnc([C@@H]2CCN([C@@H](C)c3nc4ccccc4o3)C2)c1 ZINC000565175041 1044418175 /nfs/dbraw/zinc/41/81/75/1044418175.db2.gz YSXANFZDLLNRON-LSDHHAIUSA-N 0 3 307.397 4.082 20 0 DIADHN CC[C@H](O)CCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361943081 1044461531 /nfs/dbraw/zinc/46/15/31/1044461531.db2.gz ALQQAHAXYYLBDN-WPRPVWTQSA-N 0 3 308.274 4.183 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(OCc2ccncc2)cc1 ZINC000361741009 1044438747 /nfs/dbraw/zinc/43/87/47/1044438747.db2.gz OPSYVSFEXPMZOM-ZDUSSCGKSA-N 0 3 324.346 4.091 20 0 DIADHN Cc1cnc(CN[C@H](C)Cc2ccc(C(F)(F)F)cc2)s1 ZINC000361754191 1044441929 /nfs/dbraw/zinc/44/19/29/1044441929.db2.gz MTMALRYMCOZILV-SNVBAGLBSA-N 0 3 314.376 4.191 20 0 DIADHN CCn1ccnc1CNC[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000565553003 1044442017 /nfs/dbraw/zinc/44/20/17/1044442017.db2.gz OZZMOTMCQLAYRM-MRXNPFEDSA-N 0 3 305.853 4.086 20 0 DIADHN c1coc([C@@H](NCCc2ccc3c(c2)CCO3)c2ccccc2)c1 ZINC000565567476 1044442601 /nfs/dbraw/zinc/44/26/01/1044442601.db2.gz SWCDWRKXJFLGKP-NRFANRHFSA-N 0 3 319.404 4.136 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccncc2C)cc1OCC ZINC000294643653 1044443827 /nfs/dbraw/zinc/44/38/27/1044443827.db2.gz BIYRDZWJBPUPHB-OAHLLOKOSA-N 0 3 314.429 4.038 20 0 DIADHN CCN(CC)C(=O)[C@@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361835049 1044450677 /nfs/dbraw/zinc/45/06/77/1044450677.db2.gz SOFBEKGUQGDEPS-PBHICJAKSA-N 0 3 322.468 4.150 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccncc1)c1ccc(C(=O)OC)cc1 ZINC000294721058 1044452438 /nfs/dbraw/zinc/45/24/38/1044452438.db2.gz KBRSXOXGSZDRNY-MSOLQXFVSA-N 0 3 312.413 4.060 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccc(C(=O)OC)cc1)c1ccncc1 ZINC000294721062 1044454193 /nfs/dbraw/zinc/45/41/93/1044454193.db2.gz KBRSXOXGSZDRNY-QZTJIDSGSA-N 0 3 312.413 4.060 20 0 DIADHN Cc1csc(C[C@H]2CCN(Cc3cnc(C(C)C)s3)C2)n1 ZINC000897299321 1044455533 /nfs/dbraw/zinc/45/55/33/1044455533.db2.gz YRFGOSYAPLEUID-CYBMUJFWSA-N 0 3 321.515 4.096 20 0 DIADHN CC[C@H](NCCC1CCCCCC1)c1nnc2n1CCCCC2 ZINC000565744075 1044455627 /nfs/dbraw/zinc/45/56/27/1044455627.db2.gz RMRQYZWHFMNSHL-KRWDZBQOSA-N 0 3 318.509 4.406 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1ccc(F)c(Cl)c1 ZINC000361892982 1044457959 /nfs/dbraw/zinc/45/79/59/1044457959.db2.gz OELJXRNMIOGXBZ-PXAZEXFGSA-N 0 3 307.796 4.253 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H](CCO)c1ccccc1 ZINC000361893750 1044458065 /nfs/dbraw/zinc/45/80/65/1044458065.db2.gz ZCSSLQGUWINZDL-BBRMVZONSA-N 0 3 318.486 4.349 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(NC(=O)C3CC3)cc2)cs1 ZINC000294791691 1044459934 /nfs/dbraw/zinc/45/99/34/1044459934.db2.gz OMXJRNDEHBDGOG-ZDUSSCGKSA-N 0 3 314.454 4.256 20 0 DIADHN CCOc1ccc(C[C@@H](C)CNCc2csc(CC)n2)cc1 ZINC001202684885 1044468426 /nfs/dbraw/zinc/46/84/26/1044468426.db2.gz UIEHWAUMPAPKHC-CQSZACIVSA-N 0 3 318.486 4.073 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(C)cc2)c2ccccc21 ZINC000362034451 1044471984 /nfs/dbraw/zinc/47/19/84/1044471984.db2.gz XVSMPIXTWMYABG-HNAYVOBHSA-N 0 3 308.425 4.144 20 0 DIADHN CC[C@@H](N)C(=O)Nc1ccc([C@H](C)Sc2ccccc2)cc1 ZINC000566190278 1044476328 /nfs/dbraw/zinc/47/63/28/1044476328.db2.gz JLPBLZWCSLGFIG-SUMWQHHRSA-N 0 3 314.454 4.216 20 0 DIADHN CC[C@H](NCC1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000294980262 1044479670 /nfs/dbraw/zinc/47/96/70/1044479670.db2.gz LWHUCIDZELNNMR-QMMMGPOBSA-N 0 3 314.323 4.248 20 0 DIADHN Fc1ccc2nc([C@H]3CCCN(CCC(F)(F)F)C3)oc2c1 ZINC000362138950 1044481062 /nfs/dbraw/zinc/48/10/62/1044481062.db2.gz SONFFGNXRPTGIL-JTQLQIEISA-N 0 3 316.298 4.099 20 0 DIADHN Cc1ccccc1NC(=O)CCN([C@H](C)c1ccco1)C1CC1 ZINC000119270766 1044493257 /nfs/dbraw/zinc/49/32/57/1044493257.db2.gz PUHQUPUZDVZBQI-OAHLLOKOSA-N 0 3 312.413 4.142 20 0 DIADHN N#CC(C(=O)CCc1cccc(Cl)c1)c1nc2ccccc2[nH]1 ZINC000041495210 1044493552 /nfs/dbraw/zinc/49/35/52/1044493552.db2.gz CFGVHARQXPYRCF-AWEZNQCLSA-N 0 3 323.783 4.025 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@H]1C[C@H](C)n2ccnc21 ZINC000295151785 1044494007 /nfs/dbraw/zinc/49/40/07/1044494007.db2.gz CRTMNGLDUFTLLY-XUJVJEKNSA-N 0 3 323.362 4.129 20 0 DIADHN CC1=C(C)C[C@H]([C@H](C)NCc2ncccc2Br)CC1 ZINC001308980209 1044504241 /nfs/dbraw/zinc/50/42/41/1044504241.db2.gz NUCRMCHYQPTZLC-UONOGXRCSA-N 0 3 323.278 4.459 20 0 DIADHN FC(F)(F)c1cccc(C2CCN(Cc3ccc[nH]3)CC2)c1 ZINC001204010266 1044505940 /nfs/dbraw/zinc/50/59/40/1044505940.db2.gz MGWBXLPFSSNLMP-UHFFFAOYSA-N 0 3 308.347 4.413 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cccc3cccnc32)s1 ZINC000120485882 1044507771 /nfs/dbraw/zinc/50/77/71/1044507771.db2.gz ZULTXERJZMQBCG-LBPRGKRZSA-N 0 3 311.454 4.413 20 0 DIADHN CC(C)C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1ccccn1 ZINC000120451041 1044507828 /nfs/dbraw/zinc/50/78/28/1044507828.db2.gz UBVREWMBKUXVSP-QZTJIDSGSA-N 0 3 318.848 4.145 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1ccc(F)cc1N(C)C ZINC000120529625 1044509378 /nfs/dbraw/zinc/50/93/78/1044509378.db2.gz ZFKVOOWJFJAIMM-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccs2)c(O[C@H]2CCOC2)c1 ZINC000120561829 1044509406 /nfs/dbraw/zinc/50/94/06/1044509406.db2.gz BGBMCKQWWHOFRJ-HOCLYGCPSA-N 0 3 317.454 4.075 20 0 DIADHN CCn1nc(C)c(CNCc2ccc(C3CCCC3)cc2)c1C ZINC000566712882 1044509845 /nfs/dbraw/zinc/50/98/45/1044509845.db2.gz ZSEIMJJKVYAYKA-UHFFFAOYSA-N 0 3 311.473 4.467 20 0 DIADHN Cc1cnc(CNC[C@H]2CCCO[C@@H]2c2ccc(C)cc2)s1 ZINC000295339055 1044511911 /nfs/dbraw/zinc/51/19/11/1044511911.db2.gz CTWNYKRLFLSTPZ-SJLPKXTDSA-N 0 3 316.470 4.017 20 0 DIADHN C[C@@H]1C[C@@H](NC2(c3ccc4c(c3)OCO4)CC2)c2ccccc21 ZINC000566821013 1044517947 /nfs/dbraw/zinc/51/79/47/1044517947.db2.gz VMQDPFGFHIRVMF-CXAGYDPISA-N 0 3 307.393 4.243 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(C)cc3C)C2)c(C)s1 ZINC000348324390 1044520016 /nfs/dbraw/zinc/52/00/16/1044520016.db2.gz PVVLNHBHWKJQJW-MRXNPFEDSA-N 0 3 300.471 4.366 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N(C)CCc1ccccn1 ZINC000121130987 1044520114 /nfs/dbraw/zinc/52/01/14/1044520114.db2.gz XLEFLLMHKHRXEX-CQSZACIVSA-N 0 3 303.475 4.205 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3[nH]ccc32)c(OC)c1 ZINC000237479963 1044520468 /nfs/dbraw/zinc/52/04/68/1044520468.db2.gz TXQBUZADZOXXFC-CYBMUJFWSA-N 0 3 310.397 4.036 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000475580484 1044521987 /nfs/dbraw/zinc/52/19/87/1044521987.db2.gz YSTIAQGNRZTEFH-IBGZPJMESA-N 0 3 315.413 4.463 20 0 DIADHN CC1(C)CN(Cc2ccc(C(F)(F)F)cc2)[C@@H]1[C@H]1CCCO1 ZINC000566884533 1044524612 /nfs/dbraw/zinc/52/46/12/1044524612.db2.gz OBSQMFADEMHKNP-HUUCEWRRSA-N 0 3 313.363 4.095 20 0 DIADHN CCOc1ccccc1[C@H](CC)N[C@@H](C)c1cccc(CO)c1 ZINC000121597260 1044527828 /nfs/dbraw/zinc/52/78/28/1044527828.db2.gz XVAWQQYRPREALW-KXBFYZLASA-N 0 3 313.441 4.380 20 0 DIADHN CSc1cc(C)ccc1CNCc1ccc(C(C)(C)O)cc1 ZINC000295459756 1044531885 /nfs/dbraw/zinc/53/18/85/1044531885.db2.gz QBIGXPZGTNYZBC-UHFFFAOYSA-N 0 3 315.482 4.234 20 0 DIADHN Clc1cc(CN2CCC[C@H]3CCC[C@H]32)cc2c1OCCCO2 ZINC000567012279 1044534795 /nfs/dbraw/zinc/53/47/95/1044534795.db2.gz UQMLWZSLVOACLO-GDBMZVCRSA-N 0 3 321.848 4.266 20 0 DIADHN O=c1cc(C[NH2+][C@@H](c2ccccc2)C2CCCC2)nc(C2CC2)[n-]1 ZINC000567025574 1044535871 /nfs/dbraw/zinc/53/58/71/1044535871.db2.gz KRUQJNKKVXSETG-IBGZPJMESA-N 0 3 323.440 4.081 20 0 DIADHN CN(C)C[C@@H](N[C@H]1CCCc2ccccc21)c1ccc(F)cc1 ZINC000348327544 1044537855 /nfs/dbraw/zinc/53/78/55/1044537855.db2.gz OJYWPZXEKYEATP-VQTJNVASSA-N 0 3 312.432 4.096 20 0 DIADHN CCN(CCn1ccc2cc(Cl)ccc21)Cc1ccccn1 ZINC000567032144 1044538243 /nfs/dbraw/zinc/53/82/43/1044538243.db2.gz IZEDQERTPHSHOF-UHFFFAOYSA-N 0 3 313.832 4.212 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000567065667 1044539489 /nfs/dbraw/zinc/53/94/89/1044539489.db2.gz HBGAZNLGKYUUFI-AEFFLSMTSA-N 0 3 314.473 4.259 20 0 DIADHN CC(C)(C)[C@H](NCN1CC2(CCCC2)OC1=O)c1ccccc1 ZINC000778568075 1044540363 /nfs/dbraw/zinc/54/03/63/1044540363.db2.gz WYEUCFHPNUPKHV-MRXNPFEDSA-N 0 3 316.445 4.086 20 0 DIADHN COc1c(C)cnc(CN2CCC(c3ccsc3)CC2)c1C ZINC000362643150 1044540666 /nfs/dbraw/zinc/54/06/66/1044540666.db2.gz LRUDHRHVZOTKIP-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@@H](C)c2ncc(C)s2)cc1 ZINC000135161784 1044540988 /nfs/dbraw/zinc/54/09/88/1044540988.db2.gz DARMYGXSSIQPBJ-OCCSQVGLSA-N 0 3 304.459 4.132 20 0 DIADHN COCCCC[C@@H](NCc1cnc(C)s1)c1ccccc1 ZINC000348330873 1044546444 /nfs/dbraw/zinc/54/64/44/1044546444.db2.gz YTNZNLZQLCZBKT-QGZVFWFLSA-N 0 3 304.459 4.099 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccccc1 ZINC000348337683 1044555287 /nfs/dbraw/zinc/55/52/87/1044555287.db2.gz ULBWFGHLVXNGKV-RBUKOAKNSA-N 0 3 300.421 4.169 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCCc3ccc(F)cc32)cc1 ZINC000295774702 1044556045 /nfs/dbraw/zinc/55/60/45/1044556045.db2.gz SUGOZMGLLAFEET-LJQANCHMSA-N 0 3 313.416 4.220 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(n1)CCCC3)[C@H](C)C2 ZINC000567280689 1044556451 /nfs/dbraw/zinc/55/64/51/1044556451.db2.gz OLGVYRRIRFNPQG-VFNWGFHPSA-N 0 3 306.453 4.292 20 0 DIADHN CSCc1cccc(CNCc2ccc(C(C)(C)O)cc2)c1 ZINC000295830501 1044563906 /nfs/dbraw/zinc/56/39/06/1044563906.db2.gz YRRFIXKPWZVHGD-UHFFFAOYSA-N 0 3 315.482 4.067 20 0 DIADHN CC[C@@H]1C[C@@H](CN[C@@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000362955120 1044568650 /nfs/dbraw/zinc/56/86/50/1044568650.db2.gz PFBQYRRPRIENAL-GARJFASQSA-N 0 3 322.396 4.018 20 0 DIADHN CC[C@@H]1C[C@H](CN[C@@H](C)c2nc(C(F)(F)F)cs2)CCO1 ZINC000362955122 1044569165 /nfs/dbraw/zinc/56/91/65/1044569165.db2.gz PFBQYRRPRIENAL-HBNTYKKESA-N 0 3 322.396 4.018 20 0 DIADHN Clc1ccc([C@H]2CCCN2CCO[C@H]2CCCCO2)cc1 ZINC000444595321 1044570219 /nfs/dbraw/zinc/57/02/19/1044570219.db2.gz JNSGUNYTVPULKU-SJORKVTESA-N 0 3 309.837 4.020 20 0 DIADHN Clc1ccc([C@@H]2CCCN2CCO[C@H]2CCCCO2)cc1 ZINC000444595319 1044570517 /nfs/dbraw/zinc/57/05/17/1044570517.db2.gz JNSGUNYTVPULKU-IRXDYDNUSA-N 0 3 309.837 4.020 20 0 DIADHN CSCC1CCC(NCc2coc(-c3ccccc3)n2)CC1 ZINC000295874080 1044570928 /nfs/dbraw/zinc/57/09/28/1044570928.db2.gz XOQXTQXDLXSAGF-UHFFFAOYSA-N 0 3 316.470 4.353 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1nc(-c2ccccc2F)no1 ZINC000444591649 1044571272 /nfs/dbraw/zinc/57/12/72/1044571272.db2.gz DGLZMOGZQXAVGJ-DVOMOZLQSA-N 0 3 315.392 4.029 20 0 DIADHN c1c2ccccc2oc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000567524200 1044571623 /nfs/dbraw/zinc/57/16/23/1044571623.db2.gz DAFRKROESIQBEM-XLIONFOSSA-N 0 3 307.393 4.443 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN([C@@H](C)C1CC1)C1CC1 ZINC000031653884 1044574386 /nfs/dbraw/zinc/57/43/86/1044574386.db2.gz KKYCANOXHYZSOZ-HNNXBMFYSA-N 0 3 314.473 4.320 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](C)c2cccc(-c3ccncc3)c2)cn1 ZINC000295977317 1044578137 /nfs/dbraw/zinc/57/81/37/1044578137.db2.gz OKAHWPOAQGZOEP-HZPDHXFCSA-N 0 3 320.440 4.377 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H](C)c2cccc(-c3ccncc3)c2)cn1 ZINC000295977320 1044579064 /nfs/dbraw/zinc/57/90/64/1044579064.db2.gz OKAHWPOAQGZOEP-JKSUJKDBSA-N 0 3 320.440 4.377 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2ccccc2F)no1)C1CC1 ZINC000444623190 1044579826 /nfs/dbraw/zinc/57/98/26/1044579826.db2.gz IRUBHGIUAKKGBB-CHWSQXEVSA-N 0 3 317.408 4.275 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295972296 1044580048 /nfs/dbraw/zinc/58/00/48/1044580048.db2.gz MSYUUCVSCUQKNK-MRXNPFEDSA-N 0 3 316.832 4.049 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c(C)s1 ZINC000567772153 1044583814 /nfs/dbraw/zinc/58/38/14/1044583814.db2.gz XJCNKHISFSMMPM-LBPRGKRZSA-N 0 3 314.454 4.100 20 0 DIADHN CC(F)(F)CCCNCc1csc(-c2ccsc2)n1 ZINC000296035823 1044584760 /nfs/dbraw/zinc/58/47/60/1044584760.db2.gz NQONUYHRNSSFAY-UHFFFAOYSA-N 0 3 302.415 4.397 20 0 DIADHN CCn1cc([C@H](C)N[C@@H]2CCCc3c(Cl)cccc32)cn1 ZINC000296101303 1044589235 /nfs/dbraw/zinc/58/92/35/1044589235.db2.gz YUPAWMGMGHQNCW-YVEFUNNKSA-N 0 3 303.837 4.285 20 0 DIADHN Cc1n[nH]c(CN[C@H](Cc2ccccc2)c2ccccc2)c1C ZINC000885898827 1044591386 /nfs/dbraw/zinc/59/13/86/1044591386.db2.gz YNTNRESVEZXBCX-LJQANCHMSA-N 0 3 305.425 4.100 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000925158261 1044592685 /nfs/dbraw/zinc/59/26/85/1044592685.db2.gz RJUPLDIPKRBQAE-YQVWRLOYSA-N 0 3 312.482 4.353 20 0 DIADHN COc1cc(C)cc(CN[C@@H](C)c2ccc(C)s2)c1OC ZINC000885940666 1044593946 /nfs/dbraw/zinc/59/39/46/1044593946.db2.gz OTUJKKWYQKREDP-ZDUSSCGKSA-N 0 3 305.443 4.233 20 0 DIADHN Cc1[nH]nc(CNC(C)(C)c2cccc(C(F)(F)F)c2)c1C ZINC000885967597 1044596138 /nfs/dbraw/zinc/59/61/38/1044596138.db2.gz TVOHVUSSHVVFLU-UHFFFAOYSA-N 0 3 311.351 4.070 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H]2CCOC3(CCCCC3)C2)cs1 ZINC000885980235 1044596954 /nfs/dbraw/zinc/59/69/54/1044596954.db2.gz WLXWDSIBCLRERL-ZIAGYGMSSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1[nH]nc(CN[C@@H](Cc2ccccc2)c2ccccc2F)c1C ZINC000885997886 1044597571 /nfs/dbraw/zinc/59/75/71/1044597571.db2.gz XGTIWHBZKQBOCG-IBGZPJMESA-N 0 3 323.415 4.239 20 0 DIADHN C[C@H](NCc1nc(C2CCCCC2)cs1)[C@H]1CCCOC1 ZINC000444675493 1044598720 /nfs/dbraw/zinc/59/87/20/1044598720.db2.gz NMWKVRPCQCJPAN-ZFWWWQNUSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc(F)c(Cl)c2)cc1 ZINC000568042589 1044599020 /nfs/dbraw/zinc/59/90/20/1044599020.db2.gz LHUWTTBGBKHHNN-LBPRGKRZSA-N 0 3 320.795 4.077 20 0 DIADHN C[C@H]1C[C@@H](NCC2(c3ccc(F)cc3Cl)CC2)c2nccn21 ZINC000296229597 1044599875 /nfs/dbraw/zinc/59/98/75/1044599875.db2.gz AKUCNSUYTFTGCT-XHDPSFHLSA-N 0 3 319.811 4.003 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(F)c(Cl)c2)cc1 ZINC000568042588 1044600447 /nfs/dbraw/zinc/60/04/47/1044600447.db2.gz LHUWTTBGBKHHNN-GFCCVEGCSA-N 0 3 320.795 4.077 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3c(O)cccc32)cc1F ZINC000568075586 1044601578 /nfs/dbraw/zinc/60/15/78/1044601578.db2.gz ONIFIWIPVYPEPH-SJCJKPOMSA-N 0 3 315.388 4.268 20 0 DIADHN COc1cccc(C=C2CCN(CCc3ccncc3C)CC2)c1 ZINC000568082311 1044602397 /nfs/dbraw/zinc/60/23/97/1044602397.db2.gz AGDZBQIRPHHGLD-UHFFFAOYSA-N 0 3 322.452 4.121 20 0 DIADHN C[C@@H](N[C@@H]1CCOC1(C)C)c1ccc(Oc2ccccc2)cn1 ZINC000296281739 1044605102 /nfs/dbraw/zinc/60/51/02/1044605102.db2.gz FCIFEZDUMWBDPR-RDTXWAMCSA-N 0 3 312.413 4.092 20 0 DIADHN C[C@H](N[C@@H]1CCOC1(C)C)c1ccc(Oc2ccccc2)cn1 ZINC000296281729 1044605269 /nfs/dbraw/zinc/60/52/69/1044605269.db2.gz FCIFEZDUMWBDPR-KBXCAEBGSA-N 0 3 312.413 4.092 20 0 DIADHN Cc1[nH]nc(CNCc2ccc(-c3ccccc3)c(C)c2)c1C ZINC000886072821 1044606689 /nfs/dbraw/zinc/60/66/89/1044606689.db2.gz NKEWXHKVQVDLMQ-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN CCCCOc1ccc(CNCc2n[nH]c(C)c2C)cc1Cl ZINC000886083354 1044606751 /nfs/dbraw/zinc/60/67/51/1044606751.db2.gz IKMFCRHZPIZGBM-UHFFFAOYSA-N 0 3 321.852 4.149 20 0 DIADHN COCCCC[C@@H](NCc1c(C)nsc1C)c1ccccc1 ZINC000568167890 1044607774 /nfs/dbraw/zinc/60/77/74/1044607774.db2.gz RIKAJEOHSOSVGO-GOSISDBHSA-N 0 3 318.486 4.408 20 0 DIADHN Clc1cccc2ccc(CN3CC[C@H](c4ccncc4)C3)nc12 ZINC001137224591 1044607461 /nfs/dbraw/zinc/60/74/61/1044607461.db2.gz OCPGKEYZMUIIEZ-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN FC(F)(F)c1cc(CN[C@H]2CCCc3sccc32)ccn1 ZINC000296333381 1044608119 /nfs/dbraw/zinc/60/81/19/1044608119.db2.gz HKBHQFVWFJQIIS-LBPRGKRZSA-N 0 3 312.360 4.329 20 0 DIADHN Cc1ccnc([C@H]2CCN([C@@H](C)c3ccc(F)c(F)c3)C2)c1 ZINC000568180764 1044609202 /nfs/dbraw/zinc/60/92/02/1044609202.db2.gz BHRHKRKPODLYTI-ZFWWWQNUSA-N 0 3 302.368 4.219 20 0 DIADHN CC[C@H](NCc1ccnc(C(F)(F)F)c1)c1ccc(F)cc1 ZINC000296364114 1044609608 /nfs/dbraw/zinc/60/96/08/1044609608.db2.gz IXPYZHFKIZAGOP-AWEZNQCLSA-N 0 3 312.310 4.480 20 0 DIADHN C[C@@H]1C[C@@H](NCCCCc2ccc(Cl)s2)c2nccn21 ZINC000296422880 1044616848 /nfs/dbraw/zinc/61/68/48/1044616848.db2.gz LNNQQPFZTGXQRL-DGCLKSJQSA-N 0 3 309.866 4.216 20 0 DIADHN COc1ccc(-c2cnc(CN3CCC[C@@H](C(C)C)C3)o2)cc1 ZINC000444751978 1044618862 /nfs/dbraw/zinc/61/88/62/1044618862.db2.gz RHQJTYNNNATMGJ-MRXNPFEDSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(CC1CCC1)C(C)C ZINC000444764870 1044620290 /nfs/dbraw/zinc/62/02/90/1044620290.db2.gz HUIYMOMDBPAOJI-UHFFFAOYSA-N 0 3 308.853 4.097 20 0 DIADHN COc1ccc(-c2nc(CN3CCCCCCC3)co2)cc1 ZINC000042738039 1044620978 /nfs/dbraw/zinc/62/09/78/1044620978.db2.gz VLELMELZYQMZLZ-UHFFFAOYSA-N 0 3 300.402 4.116 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@H](C(C)C)C3)co2)cc1 ZINC000444754612 1044620851 /nfs/dbraw/zinc/62/08/51/1044620851.db2.gz UPTDTIJATGDBTD-INIZCTEOSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1sc(NC(=O)CN(CC2CCC2)C(C)C)c(C)c1C ZINC000444763132 1044621205 /nfs/dbraw/zinc/62/12/05/1044621205.db2.gz BVKMSJYZSWZVMB-UHFFFAOYSA-N 0 3 308.491 4.122 20 0 DIADHN C[C@@H](CN1C[C@@H](C)O[C@H](c2ccc(F)cc2)C1)c1ccccc1 ZINC000568405840 1044621253 /nfs/dbraw/zinc/62/12/53/1044621253.db2.gz VEQXBZZIKXQQAW-YRNRMSPPSA-N 0 3 313.416 4.391 20 0 DIADHN C[C@@H]1C[C@H](N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)c2nccn21 ZINC000296508048 1044622566 /nfs/dbraw/zinc/62/25/66/1044622566.db2.gz QKOXXAAGHWLFSW-CUBALJKWSA-N 0 3 301.821 4.078 20 0 DIADHN CC(C)N(Cc1nc(-c2ccc(F)c(F)c2)no1)CC1CCC1 ZINC000444772682 1044623351 /nfs/dbraw/zinc/62/33/51/1044623351.db2.gz XKIYBOSQZMFQIA-UHFFFAOYSA-N 0 3 321.371 4.025 20 0 DIADHN COc1ccccc1[C@H]1C[C@@H](C)CCN1Cc1scnc1C ZINC000568447150 1044626149 /nfs/dbraw/zinc/62/61/49/1044626149.db2.gz FCZSMQUGDQTEET-XJKSGUPXSA-N 0 3 316.470 4.433 20 0 DIADHN Cc1ccc([C@@H](NCC[C@@H]2CCCCO2)c2ccccn2)cc1 ZINC000348371191 1044626664 /nfs/dbraw/zinc/62/66/64/1044626664.db2.gz NDCUCCRTXGZDKP-AZUAARDMSA-N 0 3 310.441 4.028 20 0 DIADHN CC(C)N(Cc1ncc(-c2ccccc2F)o1)CC1CCC1 ZINC000444777951 1044627438 /nfs/dbraw/zinc/62/74/38/1044627438.db2.gz ULKCNAVCLIQZRZ-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1cccc2c1OC(C)(C)C2 ZINC000523391429 1044627836 /nfs/dbraw/zinc/62/78/36/1044627836.db2.gz VXIKEDBIVYWIBD-IFXJQAMLSA-N 0 3 307.437 4.423 20 0 DIADHN Fc1ccccc1-c1csc(CN[C@@H]2CC[C@@H]2C2CC2)n1 ZINC000568455345 1044627966 /nfs/dbraw/zinc/62/79/66/1044627966.db2.gz GSLNVOZOZVGXOC-IUODEOHRSA-N 0 3 302.418 4.227 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1CC[C@H](C3CC3)O1)CC2 ZINC000886267766 1044629712 /nfs/dbraw/zinc/62/97/12/1044629712.db2.gz UWMJSYFXETUCEN-FXAWDEMLSA-N 0 3 324.468 4.084 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C(C)(C)C)cc1O ZINC000042800712 1044630080 /nfs/dbraw/zinc/63/00/80/1044630080.db2.gz SCBZULHYZMKAGO-GOSISDBHSA-N 0 3 317.404 4.417 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@]2(C)CCOC3(CCC3)C2)n1 ZINC000886340496 1044630896 /nfs/dbraw/zinc/63/08/96/1044630896.db2.gz HQPUOMTZLXXJOC-KRWDZBQOSA-N 0 3 322.518 4.270 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cc(C)sc2C)cc1OC ZINC000195673832 1044633135 /nfs/dbraw/zinc/63/31/35/1044633135.db2.gz FKEAAQRYTPYLCT-UHFFFAOYSA-N 0 3 319.470 4.323 20 0 DIADHN CCc1ncc(CN[C@@H](CC)c2cccc3ccccc32)cn1 ZINC000886361684 1044633909 /nfs/dbraw/zinc/63/39/09/1044633909.db2.gz RFCIWVFGOUIUAH-IBGZPJMESA-N 0 3 305.425 4.433 20 0 DIADHN c1ccc2c(c1)sc1c2CCC[C@@H]1NCc1n[nH]c(C2CC2)n1 ZINC000296625930 1044635997 /nfs/dbraw/zinc/63/59/97/1044635997.db2.gz XDKGGDWQQKQNHZ-AWEZNQCLSA-N 0 3 324.453 4.064 20 0 DIADHN CC[C@@H](NCc1cnc(Cl)n1C)c1cccc2ccccc21 ZINC000886361879 1044635939 /nfs/dbraw/zinc/63/59/39/1044635939.db2.gz ZGRULDVRQHFOEH-QGZVFWFLSA-N 0 3 313.832 4.468 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@H](Nc3ccccc3)C2)cc1F ZINC000568565062 1044636650 /nfs/dbraw/zinc/63/66/50/1044636650.db2.gz GFRLBYUGRREJTK-BDUNBXCCSA-N 0 3 314.379 4.164 20 0 DIADHN CC[C@H](NCc1cnc(Cl)n1C)c1cccc2ccccc21 ZINC000886361878 1044636762 /nfs/dbraw/zinc/63/67/62/1044636762.db2.gz ZGRULDVRQHFOEH-KRWDZBQOSA-N 0 3 313.832 4.468 20 0 DIADHN Cc1ccc([C@@H]2[C@@H](C)CCCN2CCOCC(F)(F)F)cc1 ZINC000523452724 1044636879 /nfs/dbraw/zinc/63/68/79/1044636879.db2.gz YRMLGMIUFVEGNR-HOCLYGCPSA-N 0 3 315.379 4.347 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N([C@@H](C)C(=O)Nc2ccccc2)C1 ZINC000523441587 1044638224 /nfs/dbraw/zinc/63/82/24/1044638224.db2.gz ZUQFMXXARIHQAZ-FGTMMUONSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2cn3ccsc3n2)C1 ZINC000523439959 1044638732 /nfs/dbraw/zinc/63/87/32/1044638732.db2.gz UWXOCNGROBEFEA-LSDHHAIUSA-N 0 3 311.454 4.082 20 0 DIADHN CCN(Cc1ccc(Br)cc1Cl)C1CCC1 ZINC000523442026 1044638813 /nfs/dbraw/zinc/63/88/13/1044638813.db2.gz HPIJJZDYGJSJKV-UHFFFAOYSA-N 0 3 302.643 4.477 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)Oc2ccccc21)c1ccc2c(c1)CCO2 ZINC000296652657 1044639837 /nfs/dbraw/zinc/63/98/37/1044639837.db2.gz YZOLKMTZJGPGKD-QWQRMKEZSA-N 0 3 309.409 4.184 20 0 DIADHN Brc1ccc2oc(CNC3(C4CC4)CCC3)nc2c1 ZINC000886391857 1044640325 /nfs/dbraw/zinc/64/03/25/1044640325.db2.gz BALRHVGKLUGCOX-UHFFFAOYSA-N 0 3 321.218 4.013 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)[C@@H](C)N1Cc1nnc(-c2ccco2)o1 ZINC000296656184 1044640504 /nfs/dbraw/zinc/64/05/04/1044640504.db2.gz JMWDQORNTMJMPW-FMKPAKJESA-N 0 3 323.396 4.096 20 0 DIADHN CCCCN(CC(=O)N1CCC[C@H](C)C1)[C@@H](C)c1ccccc1 ZINC000523450229 1044641384 /nfs/dbraw/zinc/64/13/84/1044641384.db2.gz MZGXSZJXEMNYEB-ROUUACIJSA-N 0 3 316.489 4.108 20 0 DIADHN CCC[C@H](C)C(=O)NCCN[C@@H](C)c1ccccc1SCC ZINC000568732993 1044645787 /nfs/dbraw/zinc/64/57/87/1044645787.db2.gz PELYJGQXEOXTQZ-GJZGRUSLSA-N 0 3 322.518 4.002 20 0 DIADHN c1sc(-c2ccccc2)nc1CCN[C@H]1CCc2cccnc21 ZINC000886493507 1044645947 /nfs/dbraw/zinc/64/59/47/1044645947.db2.gz OFFFMHWDWDZZIO-KRWDZBQOSA-N 0 3 321.449 4.025 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886491581 1044646222 /nfs/dbraw/zinc/64/62/22/1044646222.db2.gz IRPKWFKJIQICMH-KBXCAEBGSA-N 0 3 312.413 4.060 20 0 DIADHN CCc1nnc([C@H](C)[NH2+][C@H]2CC[C@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000568831579 1044655057 /nfs/dbraw/zinc/65/50/57/1044655057.db2.gz GNARHFUJGBRYCQ-WHOFXGATSA-N 0 3 318.852 4.007 20 0 DIADHN c1c(CNC2(c3ccccc3)CCCC2)[nH]nc1-c1cccnc1 ZINC000363968853 1044657800 /nfs/dbraw/zinc/65/78/00/1044657800.db2.gz XAVQSDCNZCNOFC-UHFFFAOYSA-N 0 3 318.424 4.031 20 0 DIADHN C[C@H](NC1CC(c2ccccc2)C1)c1nccn1-c1ccccc1 ZINC000569019409 1044665810 /nfs/dbraw/zinc/66/58/10/1044665810.db2.gz WYNXXLWHTVVQRL-IVMQYODDSA-N 0 3 317.436 4.469 20 0 DIADHN CCSc1cccc(CN[C@H](c2cnn(C)c2)C(C)C)c1 ZINC000886614055 1044668142 /nfs/dbraw/zinc/66/81/42/1044668142.db2.gz MJOZJBBORUIVQN-KRWDZBQOSA-N 0 3 303.475 4.019 20 0 DIADHN CO[C@@H]1CCC[C@@H](N[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000886603515 1044668177 /nfs/dbraw/zinc/66/81/77/1044668177.db2.gz PJWKDZOHEYJVOP-GMTAPVOTSA-N 0 3 322.396 4.160 20 0 DIADHN CC(C)[C@@H](NCc1ccc(C(C)(F)F)cc1)c1cnn(C)c1 ZINC000886614254 1044668522 /nfs/dbraw/zinc/66/85/22/1044668522.db2.gz QTSFWKOCYXGEQA-MRXNPFEDSA-N 0 3 307.388 4.019 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)sc32)cc1C ZINC000364688500 1044674465 /nfs/dbraw/zinc/67/44/65/1044674465.db2.gz BDJJJHARPZXERD-SWLSCSKDSA-N 0 3 316.470 4.497 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000523693069 1044676501 /nfs/dbraw/zinc/67/65/01/1044676501.db2.gz IIFORSIZGWBBDC-SNVBAGLBSA-N 0 3 300.233 4.117 20 0 DIADHN Cc1nc(C2CCN(Cc3cccc(C(F)F)c3)CC2)[nH]c1C ZINC000886662980 1044676476 /nfs/dbraw/zinc/67/64/76/1044676476.db2.gz QRJAEEMNTRZFEN-UHFFFAOYSA-N 0 3 319.399 4.344 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@H](c2ncccn2)C1 ZINC000886676679 1044677442 /nfs/dbraw/zinc/67/74/42/1044677442.db2.gz KPUBPUYARIAMBN-KBPBESRZSA-N 0 3 301.821 4.071 20 0 DIADHN CCCc1nc(CN2C[C@@H](c3ccccc3)C[C@@H]2C)cs1 ZINC000523760229 1044682619 /nfs/dbraw/zinc/68/26/19/1044682619.db2.gz JNPNRNPGFCSEMM-HOCLYGCPSA-N 0 3 300.471 4.474 20 0 DIADHN CCCc1nc(CN2C[C@H](c3ccccc3)C[C@@H]2C)cs1 ZINC000523760228 1044683209 /nfs/dbraw/zinc/68/32/09/1044683209.db2.gz JNPNRNPGFCSEMM-GOEBONIOSA-N 0 3 300.471 4.474 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1cc(O)cc(C(F)(F)F)c1 ZINC000569277582 1044691609 /nfs/dbraw/zinc/69/16/09/1044691609.db2.gz XHZCBASVQSUUET-QGZVFWFLSA-N 0 3 321.342 4.497 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2nnc(-c3ccco3)o2)C1 ZINC000076387157 1044694294 /nfs/dbraw/zinc/69/42/94/1044694294.db2.gz IAAUEAZIWQVNJH-CABCVRRESA-N 0 3 323.396 4.016 20 0 DIADHN Cc1nc([C@H](C)NC[C@]2(C)CCOC3(CCC3)C2)c(C)s1 ZINC000886774611 1044696727 /nfs/dbraw/zinc/69/67/27/1044696727.db2.gz RBDMEEBNVAFZHD-BLLLJJGKSA-N 0 3 308.491 4.150 20 0 DIADHN Cc1ccccc1NC(=O)CN1CCC[C@@H](C(C)(C)C)CC1 ZINC000523862470 1044696746 /nfs/dbraw/zinc/69/67/46/1044696746.db2.gz STQMQVNNDJIUHL-MRXNPFEDSA-N 0 3 302.462 4.082 20 0 DIADHN CCCOc1cccc(CN[C@@H](CCCO)c2ccccc2)c1 ZINC000077466784 1044698871 /nfs/dbraw/zinc/69/88/71/1044698871.db2.gz ZNZFUOTVKMUMRV-FQEVSTJZSA-N 0 3 313.441 4.079 20 0 DIADHN COc1cc(CNCc2ccc(-c3ccncc3)cc2)ccc1C ZINC000365781876 1044701872 /nfs/dbraw/zinc/70/18/72/1044701872.db2.gz WMYXAHWBCRXFBJ-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@@H]1C ZINC000365787395 1044703633 /nfs/dbraw/zinc/70/36/33/1044703633.db2.gz OBSYCDNOLPBZOS-WOPDTQHZSA-N 0 3 305.809 4.181 20 0 DIADHN FC(F)[C@H]1C[C@@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000569644760 1044704228 /nfs/dbraw/zinc/70/42/28/1044704228.db2.gz LHLPNALYUDGUPY-RYUDHWBXSA-N 0 3 314.788 4.207 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@@H](CCO)C1 ZINC000445317085 1044704803 /nfs/dbraw/zinc/70/48/03/1044704803.db2.gz GXECYHALCGWKBU-XHDPSFHLSA-N 0 3 302.245 4.149 20 0 DIADHN CC(C)(C)c1cnc(CN[C@H]2CCOC3(CCCCC3)C2)s1 ZINC000366074309 1044711823 /nfs/dbraw/zinc/71/18/23/1044711823.db2.gz RHZQOMHWMJIRTE-AWEZNQCLSA-N 0 3 322.518 4.412 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccc(F)c1 ZINC000569837864 1044713960 /nfs/dbraw/zinc/71/39/60/1044713960.db2.gz HNGQDSQDEFFLPA-RBUKOAKNSA-N 0 3 318.411 4.308 20 0 DIADHN COC1CCC(N[C@@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000366264987 1044715840 /nfs/dbraw/zinc/71/58/40/1044715840.db2.gz FMQJRBLCGREHSB-QLOJAFMTSA-N 0 3 310.441 4.027 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3ccc(Cl)cn3)C2)c1 ZINC000569918599 1044721617 /nfs/dbraw/zinc/72/16/17/1044721617.db2.gz WKIPEBGWEBKIDB-CZIWCDLHSA-N 0 3 316.832 4.122 20 0 DIADHN Fc1ccc(-c2noc([C@@H]3CCCN3C3CCCC3)n2)cc1F ZINC000445441040 1044723357 /nfs/dbraw/zinc/72/33/57/1044723357.db2.gz CARUEDBBMXTJIC-HNNXBMFYSA-N 0 3 319.355 4.094 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1cccc2ccccc21 ZINC000366942580 1044728147 /nfs/dbraw/zinc/72/81/47/1044728147.db2.gz FHJINVLSNNITSW-HNNXBMFYSA-N 0 3 305.425 4.223 20 0 DIADHN CC[C@@H](NCc1cnc2n1CCCC2)c1ccc(Cl)cc1 ZINC000366947415 1044728346 /nfs/dbraw/zinc/72/83/46/1044728346.db2.gz SUXPYGJYDJPRES-MRXNPFEDSA-N 0 3 303.837 4.114 20 0 DIADHN CCN(C/C=C/c1ccc(F)cc1F)[C@H](C)c1cccnc1 ZINC000570084333 1044730105 /nfs/dbraw/zinc/73/01/05/1044730105.db2.gz FUAXBQXIFHNLIM-HZRUHFOJSA-N 0 3 302.368 4.456 20 0 DIADHN COc1ccccc1SC[C@@H](C)CN[C@H](C)c1nccs1 ZINC000089515595 1044739681 /nfs/dbraw/zinc/73/96/81/1044739681.db2.gz XOKYRWSOGUVQEF-QWHCGFSZSA-N 0 3 322.499 4.231 20 0 DIADHN COCCc1nc(C)c([C@H](C)N[C@H](C)c2ccccc2)s1 ZINC000089553434 1044741428 /nfs/dbraw/zinc/74/14/28/1044741428.db2.gz SQFKKMAZKOYRBA-OLZOCXBDSA-N 0 3 304.459 4.052 20 0 DIADHN CC[C@H](NCc1cnc2n1CCCC2)c1cccc(Cl)c1 ZINC000367438358 1044741797 /nfs/dbraw/zinc/74/17/97/1044741797.db2.gz MNBWYPTZPRCYLY-INIZCTEOSA-N 0 3 303.837 4.114 20 0 DIADHN CC[C@@H](NCc1cnc2n1CCCC2)c1cccc(Cl)c1 ZINC000367438359 1044742210 /nfs/dbraw/zinc/74/22/10/1044742210.db2.gz MNBWYPTZPRCYLY-MRXNPFEDSA-N 0 3 303.837 4.114 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccccc2)cc1NC(C)=O ZINC000089552953 1044742384 /nfs/dbraw/zinc/74/23/84/1044742384.db2.gz SPHRORCCSXAKEP-UONOGXRCSA-N 0 3 312.413 4.065 20 0 DIADHN C/C(=C/c1ccc(C)cc1)C(=O)Nc1ccc(C)cc1CN(C)C ZINC000778746637 1044747015 /nfs/dbraw/zinc/74/70/15/1044747015.db2.gz QRBHNBOQJSAOJB-LGMDPLHJSA-N 0 3 322.452 4.407 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2cc(C)ccn2)cc1 ZINC000367601860 1044747009 /nfs/dbraw/zinc/74/70/09/1044747009.db2.gz QADRMQAZVHQKRW-HZPDHXFCSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H](N[C@@H](C[C@@H]1CCOC1)c1ccccc1)c1cncc(F)c1 ZINC000090804448 1044751359 /nfs/dbraw/zinc/75/13/59/1044751359.db2.gz ZJAAMZOCOINDEW-VCBZYWHSSA-N 0 3 314.404 4.039 20 0 DIADHN CC(C)C[C@H](NCc1cccc(N(C)C)n1)c1cccs1 ZINC000090851367 1044751668 /nfs/dbraw/zinc/75/16/68/1044751668.db2.gz VJUYZYVLERAJLI-HNNXBMFYSA-N 0 3 303.475 4.086 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)NC3(C4CC4)CCC3)o2)cc1C ZINC000887146377 1044753353 /nfs/dbraw/zinc/75/33/53/1044753353.db2.gz SROKMLXAMIAACS-CQSZACIVSA-N 0 3 311.429 4.337 20 0 DIADHN Fc1ccc(-c2nc(CNC3(C4CC4)CCC3)co2)cc1F ZINC000887146328 1044754870 /nfs/dbraw/zinc/75/48/70/1044754870.db2.gz QOVQVNASAHBRHI-UHFFFAOYSA-N 0 3 304.340 4.042 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)c1 ZINC000570600802 1044769487 /nfs/dbraw/zinc/76/94/87/1044769487.db2.gz VORUTMIEVBNRLX-JBBXEZCESA-N 0 3 316.832 4.231 20 0 DIADHN CS[C@@H]1CCC[C@@H](NCc2coc(-c3ccccc3)n2)C1 ZINC000156192462 1044775828 /nfs/dbraw/zinc/77/58/28/1044775828.db2.gz MFWWFWFOPOSOQF-GDBMZVCRSA-N 0 3 302.443 4.105 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2coc(-c3ccccc3)n2)C1 ZINC000156192351 1044776040 /nfs/dbraw/zinc/77/60/40/1044776040.db2.gz MFWWFWFOPOSOQF-HOCLYGCPSA-N 0 3 302.443 4.105 20 0 DIADHN Cc1cc(CNCc2ccc(OC(F)F)c(F)c2)c(C)s1 ZINC000570700975 1044776531 /nfs/dbraw/zinc/77/65/31/1044776531.db2.gz DZTFEFCTONZHQJ-UHFFFAOYSA-N 0 3 315.360 4.395 20 0 DIADHN CCCN(CCN[C@@H](C)c1ccsc1)C(=O)OC(C)(C)C ZINC000222410175 1044777320 /nfs/dbraw/zinc/77/73/20/1044777320.db2.gz IEITYWKBQRXKRS-ZDUSSCGKSA-N 0 3 312.479 4.046 20 0 DIADHN FC(F)(F)CO[C@@H]1CCCN([C@H]2CCCc3ccccc32)C1 ZINC000524251474 1044778235 /nfs/dbraw/zinc/77/82/35/1044778235.db2.gz DPCLAEGJJHKTTN-ZBFHGGJFSA-N 0 3 313.363 4.107 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3cccc(F)c3F)CC2)s1 ZINC000570745897 1044779565 /nfs/dbraw/zinc/77/95/65/1044779565.db2.gz LLHOEWMFKKXPJV-UHFFFAOYSA-N 0 3 322.424 4.418 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CN2C3CCC2CC3)s1 ZINC000570771273 1044780861 /nfs/dbraw/zinc/78/08/61/1044780861.db2.gz FQVOWBXWZMRTRA-UHFFFAOYSA-N 0 3 306.381 4.215 20 0 DIADHN CO[C@H]1C[C@@H](NCc2ccc(F)c(Cl)c2Cl)C12CCC2 ZINC001202749096 1044783864 /nfs/dbraw/zinc/78/38/64/1044783864.db2.gz GOSNXVGGFUAGKN-NEPJUHHUSA-N 0 3 318.219 4.180 20 0 DIADHN Cc1ccccc1[C@@H](NCc1n[nH]c(C2CC2)n1)C1CCCC1 ZINC000570811722 1044784338 /nfs/dbraw/zinc/78/43/38/1044784338.db2.gz KWEKFOUNUSJGFK-SFHVURJKSA-N 0 3 310.445 4.012 20 0 DIADHN c1c2ccccc2sc1CN1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000570859151 1044789129 /nfs/dbraw/zinc/78/91/29/1044789129.db2.gz VSTCHDPPRDPGJL-AEFFLSMTSA-N 0 3 313.466 4.435 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)CCC(=O)c1ccccc1 ZINC000570975626 1044792192 /nfs/dbraw/zinc/79/21/92/1044792192.db2.gz JCPHNBYISHAXDD-SFHVURJKSA-N 0 3 311.425 4.351 20 0 DIADHN Cc1ncc(CN2CCC(c3c[nH]c4ccccc43)CC2)s1 ZINC000098132203 1044793203 /nfs/dbraw/zinc/79/32/03/1044793203.db2.gz JQWBOBGFRYELKB-UHFFFAOYSA-N 0 3 311.454 4.312 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2s1)[C@@H]1CCOC(C)(C)C1 ZINC000571132005 1044800324 /nfs/dbraw/zinc/80/03/24/1044800324.db2.gz SGOHQSLWSQFDNU-CYBMUJFWSA-N 0 3 322.449 4.215 20 0 DIADHN Cc1csc(CN[C@@H](C)[C@@H](C)c2nc3ccccc3s2)n1 ZINC000126245463 1044811526 /nfs/dbraw/zinc/81/15/26/1044811526.db2.gz LJDVHWDPVRKIPP-NEPJUHHUSA-N 0 3 317.483 4.343 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC[C@H](COC(F)F)C1 ZINC000571483984 1044813213 /nfs/dbraw/zinc/81/32/13/1044813213.db2.gz XLHXRDNIWJQHKT-LBPRGKRZSA-N 0 3 303.780 4.018 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@@H]2C2CC2)ccc1SC ZINC000571489059 1044816540 /nfs/dbraw/zinc/81/65/40/1044816540.db2.gz WQZYFBRHIAJSGV-KRWDZBQOSA-N 0 3 303.471 4.182 20 0 DIADHN CCCC(=O)NC[C@H]1CCCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC000263691181 1044817175 /nfs/dbraw/zinc/81/71/75/1044817175.db2.gz GYIFZSVBYMIDRZ-LSDHHAIUSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc([C@H](NC2C[C@H](C)O[C@@H](C)C2)c2ccccn2)cc1 ZINC000370033414 1044822043 /nfs/dbraw/zinc/82/20/43/1044822043.db2.gz PHAVIARUXRHAQQ-FTRWYGJKSA-N 0 3 310.441 4.025 20 0 DIADHN Cc1oncc1CN[C@H](COc1c(C)cccc1C)C(C)(C)C ZINC000445816054 1044825857 /nfs/dbraw/zinc/82/58/57/1044825857.db2.gz RVIXYNBPLWUBHB-QGZVFWFLSA-N 0 3 316.445 4.183 20 0 DIADHN COC(=O)CCCc1ccc(Nc2ccc3nc(C)[nH]c3c2)cc1 ZINC001213075742 1044825977 /nfs/dbraw/zinc/82/59/77/1044825977.db2.gz ZZFKOYITRGMILB-UHFFFAOYSA-N 0 3 323.396 4.111 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2c3ccccc3C[C@H]2C)cc1Cl ZINC000571783904 1044826654 /nfs/dbraw/zinc/82/66/54/1044826654.db2.gz LXMUWUSWNKSFEV-PEBVRCNWSA-N 0 3 316.832 4.328 20 0 DIADHN Cc1nc2ccc(Nc3ccc4c(c3)NC(=O)CC4(C)C)cc2[nH]1 ZINC001213075733 1044826898 /nfs/dbraw/zinc/82/68/98/1044826898.db2.gz ZYFDPVWSZTVLDW-UHFFFAOYSA-N 0 3 320.396 4.235 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC=C(c3ccccn3)C1)CCC2 ZINC000571843359 1044829689 /nfs/dbraw/zinc/82/96/89/1044829689.db2.gz IQNNRXCCOCKEQW-FQEVSTJZSA-N 0 3 308.400 4.387 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](CC)c2ccsc2)c1 ZINC000571973110 1044836222 /nfs/dbraw/zinc/83/62/22/1044836222.db2.gz QYRMBZWMLHEMNP-INIZCTEOSA-N 0 3 302.443 4.338 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1nc(C)c(Br)s1 ZINC000571991128 1044837128 /nfs/dbraw/zinc/83/71/28/1044837128.db2.gz KNPOWERRZPSLEM-SECBINFHSA-N 0 3 305.285 4.128 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CCCC2(CCCC2)C1 ZINC000445847038 1044838224 /nfs/dbraw/zinc/83/82/24/1044838224.db2.gz AESZDYUZRRXPMX-OAHLLOKOSA-N 0 3 311.429 4.454 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCC[C@@H]2C2CCC2)o1 ZINC000264017522 1044841903 /nfs/dbraw/zinc/84/19/03/1044841903.db2.gz UZQIFPGHVGEVSX-OAHLLOKOSA-N 0 3 317.820 4.155 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H](c2ccc(Cl)cc2)N(C)C)cs1 ZINC000572180652 1044847560 /nfs/dbraw/zinc/84/75/60/1044847560.db2.gz LFWRSXYAHAIGQG-BZNIZROVSA-N 0 3 323.893 4.058 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc3c(c2)OCCO3)C(C)C)c(C)o1 ZINC000163276074 1044848768 /nfs/dbraw/zinc/84/87/68/1044848768.db2.gz CRAUETFZYLZGOD-LJQANCHMSA-N 0 3 315.413 4.155 20 0 DIADHN CC[C@@H](N[C@H]1CCOc2cc(OC)ccc21)c1cccs1 ZINC000370679252 1044851334 /nfs/dbraw/zinc/85/13/34/1044851334.db2.gz NJABLBJTTYEZIK-CABCVRRESA-N 0 3 303.427 4.321 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)c1 ZINC000572345483 1044852729 /nfs/dbraw/zinc/85/27/29/1044852729.db2.gz MWBAKAHTKDOJEE-INIZCTEOSA-N 0 3 305.853 4.259 20 0 DIADHN C[C@@H](NCc1ccccc1-c1cnn(C)c1)c1ccccc1F ZINC000371154454 1044859399 /nfs/dbraw/zinc/85/93/99/1044859399.db2.gz HKQGHNKIBXWSFS-CQSZACIVSA-N 0 3 309.388 4.077 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(F)c(C)c1 ZINC000572520036 1044862515 /nfs/dbraw/zinc/86/25/15/1044862515.db2.gz UBYGGKCFTDSDML-INIZCTEOSA-N 0 3 303.352 4.059 20 0 DIADHN c1c(CN2CCCCC[C@@H]2c2ccccc2)nc2ccccn12 ZINC000524547664 1044865791 /nfs/dbraw/zinc/86/57/91/1044865791.db2.gz BTFBGOWTIRPSRZ-LJQANCHMSA-N 0 3 305.425 4.452 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CCCC2(CCOCC2)C1 ZINC000371327895 1044868156 /nfs/dbraw/zinc/86/81/56/1044868156.db2.gz PXDNMCOYOPAXCM-UHFFFAOYSA-N 0 3 320.502 4.193 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cnn(C(C)(C)C)c2)c1C ZINC000264396561 1044875318 /nfs/dbraw/zinc/87/53/18/1044875318.db2.gz UUGJFBQCGBXACF-UHFFFAOYSA-N 0 3 324.472 4.334 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@@H](O)c2ccccc2Cl)s1 ZINC000264467347 1044882278 /nfs/dbraw/zinc/88/22/78/1044882278.db2.gz WIADJMNECQLORK-XHDPSFHLSA-N 0 3 324.877 4.140 20 0 DIADHN CC(C)c1cnc(CN[C@H]2CCOC3(CCCCC3)C2)s1 ZINC000371711611 1044885477 /nfs/dbraw/zinc/88/54/77/1044885477.db2.gz UQKRDBIXSUBNEA-AWEZNQCLSA-N 0 3 308.491 4.238 20 0 DIADHN Cc1nc2ccc(Nc3cnc(Br)cc3C)cc2[nH]1 ZINC001213071216 1044887073 /nfs/dbraw/zinc/88/70/73/1044887073.db2.gz GAUXYFNOBLISKK-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCN(Cc3cncs3)C2)c1 ZINC000371804708 1044892891 /nfs/dbraw/zinc/89/28/91/1044892891.db2.gz ZJBVQYKOQWYTCT-LBPRGKRZSA-N 0 3 312.360 4.151 20 0 DIADHN C[C@@H](CSC(C)(C)C)N[C@H](c1cnn(C)c1)c1ccccc1 ZINC000371808777 1044893169 /nfs/dbraw/zinc/89/31/69/1044893169.db2.gz KTQPZXQUVPFDDP-YOEHRIQHSA-N 0 3 317.502 4.019 20 0 DIADHN O=C(CN1CCCCC[C@H]1c1ccccc1)N1CCCCCC1 ZINC000524699907 1044904529 /nfs/dbraw/zinc/90/45/29/1044904529.db2.gz RIVKJLPQTLTIAK-IBGZPJMESA-N 0 3 314.473 4.006 20 0 DIADHN O=C(CN1CCCCC[C@@H]1c1ccccc1)N1CCCCCC1 ZINC000524699908 1044905431 /nfs/dbraw/zinc/90/54/31/1044905431.db2.gz RIVKJLPQTLTIAK-LJQANCHMSA-N 0 3 314.473 4.006 20 0 DIADHN Cc1cncc([C@@H](C)NCc2cccc(-c3cccnc3)c2)c1 ZINC000372093744 1044906598 /nfs/dbraw/zinc/90/65/98/1044906598.db2.gz HNZXHRCACBTSIN-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(Br)cc21)c1cccnc1 ZINC000193157674 1044909638 /nfs/dbraw/zinc/90/96/38/1044909638.db2.gz TYYXKUJROZWXEH-BZNIZROVSA-N 0 3 317.230 4.182 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCOC2(CCOCC2)CC1 ZINC000372206878 1044910207 /nfs/dbraw/zinc/91/02/07/1044910207.db2.gz TVFLHYOGOMRHLG-KRWDZBQOSA-N 0 3 323.864 4.063 20 0 DIADHN CCc1cnc(CN(C)[C@@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000524752309 1044911137 /nfs/dbraw/zinc/91/11/37/1044911137.db2.gz CQIHAUJFZCYNJL-KRWDZBQOSA-N 0 3 304.409 4.075 20 0 DIADHN Clc1ccccc1CN1CC[C@H](COCc2ccccc2)C1 ZINC000524755536 1044913946 /nfs/dbraw/zinc/91/39/46/1044913946.db2.gz HNBBNDWDUHBUMI-KRWDZBQOSA-N 0 3 315.844 4.379 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000524756315 1044915728 /nfs/dbraw/zinc/91/57/28/1044915728.db2.gz XQJABSOMXASSGI-GJZGRUSLSA-N 0 3 301.352 4.181 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@H]1OC(F)F)c1ccc(F)cc1F ZINC000337565553 1044923438 /nfs/dbraw/zinc/92/34/38/1044923438.db2.gz XVLYVSUJKHZRBO-MELADBBJSA-N 0 3 305.315 4.166 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1cc(C)ccc1C ZINC000524812190 1044925807 /nfs/dbraw/zinc/92/58/07/1044925807.db2.gz YFUDCTSKKSRELD-MAUKXSAKSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1csc(-c2ncccn2)n1 ZINC000524811625 1044927239 /nfs/dbraw/zinc/92/72/39/1044927239.db2.gz QBJXRNWDOCNDFT-DZGCQCFKSA-N 0 3 316.474 4.001 20 0 DIADHN Fc1cccc(CN(Cc2ccc(-n3ccnc3)cc2)C2CC2)c1 ZINC000337640119 1044930776 /nfs/dbraw/zinc/93/07/76/1044930776.db2.gz WZSZSOKZQRAWJO-UHFFFAOYSA-N 0 3 321.399 4.176 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@H](C)c2ccncc2F)cc1 ZINC000573986524 1044934865 /nfs/dbraw/zinc/93/48/65/1044934865.db2.gz JKPYFOHGKQJCHW-ZIAGYGMSSA-N 0 3 304.434 4.361 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@@H](C)c2ccncc2F)cc1 ZINC000573986521 1044935130 /nfs/dbraw/zinc/93/51/30/1044935130.db2.gz JKPYFOHGKQJCHW-KBPBESRZSA-N 0 3 304.434 4.361 20 0 DIADHN Cc1cc(CN(C)C[C@@H]2OCCc3ccccc32)c(C)s1 ZINC000337698621 1044941486 /nfs/dbraw/zinc/94/14/86/1044941486.db2.gz ZZPGEMPEZJZUOE-SFHVURJKSA-N 0 3 301.455 4.111 20 0 DIADHN CCCN(Cc1nc2ccccc2o1)[C@@H]1C[C@H](OCC)C1(C)C ZINC000524894468 1044942616 /nfs/dbraw/zinc/94/26/16/1044942616.db2.gz LDOJKPAGFKYNNS-SJORKVTESA-N 0 3 316.445 4.243 20 0 DIADHN Brc1ccc2c(c1)[C@@H](NCc1ccsc1)CC2 ZINC000193161512 1044950532 /nfs/dbraw/zinc/95/05/32/1044950532.db2.gz UKTVTAWGQKBMOU-AWEZNQCLSA-N 0 3 308.244 4.288 20 0 DIADHN C[C@@H]1CN(CCCOc2cccc(Cl)c2)CCC1(F)F ZINC000574559756 1044960321 /nfs/dbraw/zinc/96/03/21/1044960321.db2.gz LRFJONNUYLIISW-GFCCVEGCSA-N 0 3 303.780 4.086 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(CC(C)C)cc2)C2CC2)cn1 ZINC000337880268 1044962266 /nfs/dbraw/zinc/96/22/66/1044962266.db2.gz QZWCUFUHRRRWFZ-FQEVSTJZSA-N 0 3 309.457 4.224 20 0 DIADHN F[C@H]1CCC[C@H]1N[C@H](Cc1cccnc1)c1cccc(Cl)c1 ZINC000574657597 1044965154 /nfs/dbraw/zinc/96/51/54/1044965154.db2.gz TVERPVQAGCFDCC-RCCFBDPRSA-N 0 3 318.823 4.499 20 0 DIADHN Cc1cccc(-c2noc(-c3ccc(CN4CCCCC4)o3)n2)c1 ZINC001212840280 1044971561 /nfs/dbraw/zinc/97/15/61/1044971561.db2.gz GXDYTLHDUSVFRW-UHFFFAOYSA-N 0 3 323.396 4.291 20 0 DIADHN COc1ccc(CN(C)Cc2sccc2C)c(C)c1OC ZINC000574796389 1044972209 /nfs/dbraw/zinc/97/22/09/1044972209.db2.gz XUIZGPQWEFPDJP-UHFFFAOYSA-N 0 3 305.443 4.014 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2nc3ccccc3s2)C1(C)C ZINC000223843338 1044974908 /nfs/dbraw/zinc/97/49/08/1044974908.db2.gz VONNFNQKWRIVJR-TUKIKUTGSA-N 0 3 304.459 4.151 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)N(Cc2cnc(C(C)(C)C)nc2)C1 ZINC000574847250 1044976403 /nfs/dbraw/zinc/97/64/03/1044976403.db2.gz YIUIEAABGPHNMC-QAPCUYQASA-N 0 3 309.457 4.357 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1CCc1cncs1 ZINC000411171577 1044980679 /nfs/dbraw/zinc/98/06/79/1044980679.db2.gz IZWCKXFXTBJTEZ-GFCCVEGCSA-N 0 3 324.852 4.185 20 0 DIADHN CCC[N@H+](CC(C)C)[C@@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000411195674 1044983356 /nfs/dbraw/zinc/98/33/56/1044983356.db2.gz AFJJVLUJGZCHOC-LBPRGKRZSA-N 0 3 321.490 4.443 20 0 DIADHN CCC[N@@H+](CC(C)C)[C@@H](C)c1nc(=O)c2c(C)c(C)sc2[n-]1 ZINC000411195674 1044983370 /nfs/dbraw/zinc/98/33/70/1044983370.db2.gz AFJJVLUJGZCHOC-LBPRGKRZSA-N 0 3 321.490 4.443 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000575098266 1044985442 /nfs/dbraw/zinc/98/54/42/1044985442.db2.gz BALNAPXZAIVFFT-FCHSOHFDSA-N 0 3 302.245 4.458 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ncc(-c3ccccc3F)o2)C1 ZINC000525119787 1044987502 /nfs/dbraw/zinc/98/75/02/1044987502.db2.gz OJCFMENOOWDQFZ-KBPBESRZSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1occc1CN(C)CCCC(=O)Nc1c(C)cccc1C ZINC000136675493 1044987667 /nfs/dbraw/zinc/98/76/67/1044987667.db2.gz JOYSHLKFVUJHHD-UHFFFAOYSA-N 0 3 314.429 4.056 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)CCOCC1CC1 ZINC000525119606 1044988231 /nfs/dbraw/zinc/98/82/31/1044988231.db2.gz SSAPZZJRJDJBGW-NSHDSACASA-N 0 3 302.245 4.413 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(Cl)s1 ZINC000373563034 1044988846 /nfs/dbraw/zinc/98/88/46/1044988846.db2.gz SNQDFKOXSIJCOM-VXGBXAGGSA-N 0 3 309.866 4.253 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@H](c3ccccc3)C2)o1 ZINC000525119537 1044989796 /nfs/dbraw/zinc/98/97/96/1044989796.db2.gz MVPQDVPJSGDYRZ-INIZCTEOSA-N 0 3 322.383 4.470 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1cc(-c2ccco2)on1 ZINC000525126785 1044991032 /nfs/dbraw/zinc/99/10/32/1044991032.db2.gz OXXFGJYZICOICN-KRWDZBQOSA-N 0 3 310.397 4.388 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CC[C@H](c2ccccc2)[C@H](C)C1 ZINC000525125553 1044991762 /nfs/dbraw/zinc/99/17/62/1044991762.db2.gz FWCDGABIAHWISA-HLIPFELVSA-N 0 3 322.452 4.139 20 0 DIADHN Clc1ccc(-c2cnc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)o2)cc1 ZINC000575227821 1044993336 /nfs/dbraw/zinc/99/33/36/1044993336.db2.gz FVSMQONOUZXMGS-VHDGCEQUSA-N 0 3 302.805 4.273 20 0 DIADHN C[C@H](NCc1ccc2cnn(C)c2c1)c1ccc(F)c(Cl)c1 ZINC000575227128 1044993906 /nfs/dbraw/zinc/99/39/06/1044993906.db2.gz XPLQDWDZYDBYGP-NSHDSACASA-N 0 3 317.795 4.217 20 0 DIADHN COc1cc(C)nc(CN[C@H](c2ccccc2)C2CCCC2)c1 ZINC000411370336 1045001034 /nfs/dbraw/zinc/00/10/34/1045001034.db2.gz OGMHCAGTMAOFMQ-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN CC(C)[C@H](NCc1ncccc1O)c1ccc(Cl)cc1F ZINC000575590910 1045003743 /nfs/dbraw/zinc/00/37/43/1045003743.db2.gz XRHZGPAEDQYZDQ-INIZCTEOSA-N 0 3 308.784 4.067 20 0 DIADHN CCC[C@H](NCc1csc(C(=O)OC)c1)c1ccccc1 ZINC000411435755 1045005385 /nfs/dbraw/zinc/00/53/85/1045005385.db2.gz DUCHAAUNZPUXPX-HNNXBMFYSA-N 0 3 303.427 4.166 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NCCc2cncs2)cc1 ZINC000411453805 1045008216 /nfs/dbraw/zinc/00/82/16/1045008216.db2.gz JXJXHHBYZQXFCQ-CYBMUJFWSA-N 0 3 318.339 4.108 20 0 DIADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1ccccc1C(F)(F)F ZINC000525194950 1045010362 /nfs/dbraw/zinc/01/03/62/1045010362.db2.gz RZSOMWGJBFLSBN-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN C[C@H](NCCc1cncs1)c1cccc(Cl)c1Cl ZINC000411468024 1045010784 /nfs/dbraw/zinc/01/07/84/1045010784.db2.gz DUBVZMLAXAFTKQ-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)NC(C)(C)c2cccs2)c(C)c1 ZINC000575836961 1045010912 /nfs/dbraw/zinc/01/09/12/1045010912.db2.gz CSRDHDJMTYOTDA-CQSZACIVSA-N 0 3 316.470 4.217 20 0 DIADHN Clc1sccc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000575832336 1045011148 /nfs/dbraw/zinc/01/11/48/1045011148.db2.gz YOQABVXJLZDDCH-HUUCEWRRSA-N 0 3 307.846 4.411 20 0 DIADHN CC(C)C[C@@H](NCc1ccnc(N)c1)c1ccc(Cl)cc1 ZINC000411471287 1045011919 /nfs/dbraw/zinc/01/19/19/1045011919.db2.gz KRDDMNGYLDYKDB-MRXNPFEDSA-N 0 3 303.837 4.194 20 0 DIADHN CC[C@H](NCCOc1cccc(Cl)c1)c1c(C)noc1C ZINC000411518819 1045016374 /nfs/dbraw/zinc/01/63/74/1045016374.db2.gz QJGPXDIMQLMYCE-HNNXBMFYSA-N 0 3 308.809 4.065 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1C/C=C/c1ccc(F)c(F)c1 ZINC000575906815 1045017942 /nfs/dbraw/zinc/01/79/42/1045017942.db2.gz OOPRQGNXMNDSRW-SRSGJAESSA-N 0 3 302.368 4.154 20 0 DIADHN COCc1cc(CN[C@@H]2CCCc3sccc32)ccc1F ZINC000151211524 1045018236 /nfs/dbraw/zinc/01/82/36/1045018236.db2.gz MZYBKXMBHSDGBA-MRXNPFEDSA-N 0 3 305.418 4.201 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1CC[C@@H](C(C)C)C1 ZINC000446731781 1045019163 /nfs/dbraw/zinc/01/91/63/1045019163.db2.gz LAKHEUZPUIMYLA-IAGOWNOFSA-N 0 3 316.489 4.423 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001138393987 1045023616 /nfs/dbraw/zinc/02/36/16/1045023616.db2.gz BLGQCHDUBLHKIH-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CC[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC001138393987 1045023629 /nfs/dbraw/zinc/02/36/29/1045023629.db2.gz BLGQCHDUBLHKIH-LBPRGKRZSA-N 0 3 323.223 4.084 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc(Cl)s1 ZINC000575977121 1045024062 /nfs/dbraw/zinc/02/40/62/1045024062.db2.gz RPICXZUFQROMDI-LBPRGKRZSA-N 0 3 311.809 4.326 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CC[C@@H](C(C)C)C1 ZINC000446726647 1045025208 /nfs/dbraw/zinc/02/52/08/1045025208.db2.gz PTXXVLHGMQZRSH-CRAIPNDOSA-N 0 3 316.489 4.116 20 0 DIADHN CCC1(CC)CCN([C@@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000446721425 1045026040 /nfs/dbraw/zinc/02/60/40/1045026040.db2.gz UFKAMPSYVYYHQB-KRWDZBQOSA-N 0 3 316.489 4.451 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC000411753507 1045027219 /nfs/dbraw/zinc/02/72/19/1045027219.db2.gz FONGSGDEELETQB-CYBMUJFWSA-N 0 3 320.433 4.056 20 0 DIADHN CC(=O)c1cc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)on1 ZINC000576049555 1045028893 /nfs/dbraw/zinc/02/88/93/1045028893.db2.gz CRLHFGLSQWBSEG-QWFCFKBJSA-N 0 3 324.424 4.035 20 0 DIADHN CSc1ccc(F)cc1CNCc1cccc2cc[nH]c21 ZINC000525289919 1045030527 /nfs/dbraw/zinc/03/05/27/1045030527.db2.gz YMSRYZUIJCUXCV-UHFFFAOYSA-N 0 3 300.402 4.319 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2cccc(-n3ccnc3)c2)cn1 ZINC000151715147 1045030881 /nfs/dbraw/zinc/03/08/81/1045030881.db2.gz QCWYCIBZOIUOQU-HOTGVXAUSA-N 0 3 323.444 4.062 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H]3C(C)(C)C)co2)cc1 ZINC000525274566 1045031242 /nfs/dbraw/zinc/03/12/42/1045031242.db2.gz FCPKLSCTGCMEDF-QGZVFWFLSA-N 0 3 314.429 4.361 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(C)nc(C)c2)cc1Cl ZINC000576098517 1045033977 /nfs/dbraw/zinc/03/39/77/1045033977.db2.gz OBFWOZJTHQQYNV-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN CC[C@@H](NCc1cncn1Cc1ccccc1)c1ccccc1 ZINC000411892543 1045035778 /nfs/dbraw/zinc/03/57/78/1045035778.db2.gz NLNDGCCESNUOGD-HXUWFJFHSA-N 0 3 305.425 4.172 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000152103261 1045036359 /nfs/dbraw/zinc/03/63/59/1045036359.db2.gz VOWPRUUHNSUYHV-UWVGGRQHSA-N 0 3 310.800 4.366 20 0 DIADHN CC1=C[C@H](C)C[C@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000576152052 1045038206 /nfs/dbraw/zinc/03/82/06/1045038206.db2.gz ZNZKNZQFXDXTHC-ZFWWWQNUSA-N 0 3 306.450 4.072 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2CCCc3occc32)cc1OC ZINC000152239709 1045038557 /nfs/dbraw/zinc/03/85/57/1045038557.db2.gz XMSPXDBEWSWDKT-XJKSGUPXSA-N 0 3 315.413 4.333 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccncc3)c2)[C@@H]1[C@@H]1CCCO1 ZINC000576156242 1045038496 /nfs/dbraw/zinc/03/84/96/1045038496.db2.gz FPNUAKVGHJCVCS-VQTJNVASSA-N 0 3 322.452 4.138 20 0 DIADHN CO[C@](C)([C@H](C)NCc1nc(-c2ccccc2)cs1)C1CC1 ZINC000411973496 1045039600 /nfs/dbraw/zinc/03/96/00/1045039600.db2.gz RTVVAHBOZQERMV-SCLBCKFNSA-N 0 3 316.470 4.103 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H]2CCCc3occc32)cc1OC ZINC000152239618 1045039586 /nfs/dbraw/zinc/03/95/86/1045039586.db2.gz XMSPXDBEWSWDKT-CZUORRHYSA-N 0 3 315.413 4.333 20 0 DIADHN COCCOc1ccc([C@@H](C)N[C@@H]2CCCc3occc32)cc1 ZINC000152246722 1045040218 /nfs/dbraw/zinc/04/02/18/1045040218.db2.gz RQNYVOJYBCINTQ-RDTXWAMCSA-N 0 3 315.413 4.033 20 0 DIADHN C[C@H](N[C@@H]1CC2CCC1CC2)c1nc(C(F)(F)F)cs1 ZINC000576176912 1045040281 /nfs/dbraw/zinc/04/02/81/1045040281.db2.gz CZKKUYSZCRPJCK-IDWGSYCQSA-N 0 3 304.381 4.391 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1nc(-c2ccccc2)cs1)C1CC1 ZINC000411973497 1045040791 /nfs/dbraw/zinc/04/07/91/1045040791.db2.gz RTVVAHBOZQERMV-UGSOOPFHSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1ccc(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)cc1 ZINC000411995840 1045042230 /nfs/dbraw/zinc/04/22/30/1045042230.db2.gz FIUIVQDZHXHFDJ-FQEVSTJZSA-N 0 3 307.437 4.349 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cc1)c1ccc([S@@](C)=O)cc1 ZINC000152556803 1045043068 /nfs/dbraw/zinc/04/30/68/1045043068.db2.gz FWFUSKIUPZNVBM-QRQYBIJMSA-N 0 3 321.873 4.489 20 0 DIADHN C=Cn1cc(CN[C@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000446810114 1045043914 /nfs/dbraw/zinc/04/39/14/1045043914.db2.gz VUJLESGJHMHKEW-YJBOKZPZSA-N 0 3 307.441 4.373 20 0 DIADHN C=Cn1cc(CN[C@@H]2C[C@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000446810111 1045044478 /nfs/dbraw/zinc/04/44/78/1045044478.db2.gz VUJLESGJHMHKEW-CRAIPNDOSA-N 0 3 307.441 4.373 20 0 DIADHN CC(C)n1cc(CN(C)[C@H]2CCCC[C@@H]2c2ccccc2)nn1 ZINC000412004063 1045044698 /nfs/dbraw/zinc/04/46/98/1045044698.db2.gz BWRCIPQXWCYAKT-MOPGFXCFSA-N 0 3 312.461 4.017 20 0 DIADHN CC(C)C[C@@H](C)CN[C@@H](c1nc(-c2cccnc2)no1)C(C)C ZINC000576266387 1045046521 /nfs/dbraw/zinc/04/65/21/1045046521.db2.gz YKFSECDYMWIGEK-GDBMZVCRSA-N 0 3 316.449 4.101 20 0 DIADHN COc1ccc(CN2CC3(CCOCC3)[C@H]2c2ccccc2)cc1 ZINC000412014887 1045046473 /nfs/dbraw/zinc/04/64/73/1045046473.db2.gz RLAXAMCDHYOYKD-HXUWFJFHSA-N 0 3 323.436 4.049 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc(N2CCCC2)nc1 ZINC000152835728 1045047814 /nfs/dbraw/zinc/04/78/14/1045047814.db2.gz DEGCCPOILOYNGR-VQIMIIECSA-N 0 3 321.468 4.328 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000412032718 1045049570 /nfs/dbraw/zinc/04/95/70/1045049570.db2.gz BYOIQFMPIXLKIH-CABNGKKXSA-N 0 3 314.256 4.147 20 0 DIADHN C[C@@H](N[C@@H](C)c1cnn(Cc2ccccc2)c1)c1ccccc1 ZINC000152886211 1045049733 /nfs/dbraw/zinc/04/97/33/1045049733.db2.gz CKBFZBIKCKZAPO-SJORKVTESA-N 0 3 305.425 4.343 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1cnccn1 ZINC000153154975 1045052608 /nfs/dbraw/zinc/05/26/08/1045052608.db2.gz GXIGYYJVSSMEBW-GHMZBOCLSA-N 0 3 310.228 4.065 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000412051576 1045052652 /nfs/dbraw/zinc/05/26/52/1045052652.db2.gz WPMQOKSSXDBIJP-CZXHOFHRSA-N 0 3 314.256 4.147 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2nnc(-c3ccco3)o2)C1(C)C ZINC000412050041 1045054714 /nfs/dbraw/zinc/05/47/14/1045054714.db2.gz SBUVZFTVZTZDNI-CYBMUJFWSA-N 0 3 317.433 4.366 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@H]2c2ccsc2)cc1 ZINC000153542806 1045058612 /nfs/dbraw/zinc/05/86/12/1045058612.db2.gz HELLAMHEHUXLFH-KRWDZBQOSA-N 0 3 300.427 4.044 20 0 DIADHN COc1cccc(C2(NCc3c(C)cc(C)nc3C)CCC2)c1 ZINC000639864587 1045060445 /nfs/dbraw/zinc/06/04/45/1045060445.db2.gz YZSMIYCKAGACIN-UHFFFAOYSA-N 0 3 310.441 4.184 20 0 DIADHN Cc1ccccc1C1CC(N[C@@H](c2ccccc2F)[C@@H](C)O)C1 ZINC000576589347 1045066977 /nfs/dbraw/zinc/06/69/77/1045066977.db2.gz NTWGNXJVOVUEPL-ALIKPJSESA-N 0 3 313.416 4.092 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccc(SC)s1 ZINC000576625383 1045067966 /nfs/dbraw/zinc/06/79/66/1045067966.db2.gz BBWCKCSQOSNQRB-AWEZNQCLSA-N 0 3 323.458 4.395 20 0 DIADHN CC(C)[C@H]1CN(C(=O)c2cccc(CN(C)C)c2)c2ccccc21 ZINC000446924486 1045070540 /nfs/dbraw/zinc/07/05/40/1045070540.db2.gz GWCZZGZVIWEGCJ-LJQANCHMSA-N 0 3 322.452 4.148 20 0 DIADHN CCc1ccc([C@H](C)NCCc2cc(C(F)(F)F)ccn2)o1 ZINC000576653730 1045071472 /nfs/dbraw/zinc/07/14/72/1045071472.db2.gz IOYWDOUNLCIOHV-NSHDSACASA-N 0 3 312.335 4.149 20 0 DIADHN Cc1nccnc1[C@H](C)NCCCOc1ccc2ccccc2c1 ZINC000446938261 1045073454 /nfs/dbraw/zinc/07/34/54/1045073454.db2.gz HVTLLUHRXFRMEW-HNNXBMFYSA-N 0 3 321.424 4.058 20 0 DIADHN CCCN(C)CC(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000525472532 1045074483 /nfs/dbraw/zinc/07/44/83/1045074483.db2.gz VDWVXPYGLDCCSQ-MRXNPFEDSA-N 0 3 324.468 4.234 20 0 DIADHN CC(C)CCn1cccc1CN1CC[C@H](CC(F)(F)F)C1 ZINC000446976445 1045079507 /nfs/dbraw/zinc/07/95/07/1045079507.db2.gz WTYYVKYBBPGHTP-CQSZACIVSA-N 0 3 302.384 4.309 20 0 DIADHN CC1(C)Cc2cc(CN3CC[C@H](CC(F)(F)F)C3)ccc2O1 ZINC000446976572 1045079668 /nfs/dbraw/zinc/07/96/68/1045079668.db2.gz WZSOUOCXDSOWRO-CYBMUJFWSA-N 0 3 313.363 4.174 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)NCc1ccn(C(C)C)n1 ZINC000576777788 1045081348 /nfs/dbraw/zinc/08/13/48/1045081348.db2.gz VWAZJIUTPDUDDY-GOSISDBHSA-N 0 3 317.502 4.371 20 0 DIADHN CCN(CC)[C@@H](CNc1ccnc2ccsc21)c1ccco1 ZINC000360519471 1045087869 /nfs/dbraw/zinc/08/78/69/1045087869.db2.gz BFALQQIFSSVWOX-HNNXBMFYSA-N 0 3 315.442 4.384 20 0 DIADHN C[C@@H](CC1CCCCC1)NCc1nccn1Cc1ccccc1 ZINC000447059813 1045089003 /nfs/dbraw/zinc/08/90/03/1045089003.db2.gz ZFOOMUODJKJZRQ-KRWDZBQOSA-N 0 3 311.473 4.380 20 0 DIADHN CC(C)Oc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC000447060306 1045089091 /nfs/dbraw/zinc/08/90/91/1045089091.db2.gz PCHZUACSTHKLDU-UHFFFAOYSA-N 0 3 315.461 4.126 20 0 DIADHN CN(C)Cc1ccc(NC(=O)[C@H]2CCCCC2(C)C)c(F)c1 ZINC000412560549 1045090666 /nfs/dbraw/zinc/09/06/66/1045090666.db2.gz PXNPELPFZQPLLG-CQSZACIVSA-N 0 3 306.425 4.042 20 0 DIADHN CC[C@H]1CCCC[C@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412557505 1045092070 /nfs/dbraw/zinc/09/20/70/1045092070.db2.gz IUDHTQJQRFCRIA-LSDHHAIUSA-N 0 3 306.425 4.042 20 0 DIADHN CC1(C2CC2)CCN(Cc2c(C3CC3)nc3sccn23)CC1 ZINC000576991514 1045092147 /nfs/dbraw/zinc/09/21/47/1045092147.db2.gz JQRQDTRWGADAMQ-UHFFFAOYSA-N 0 3 315.486 4.285 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)[C@@H]1[C@H]1CCCO1 ZINC000577109992 1045100447 /nfs/dbraw/zinc/10/04/47/1045100447.db2.gz HTPTZZNNNNGNPC-WOJBJXKFSA-N 0 3 322.452 4.138 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2cccc(F)c2)s1 ZINC000525636327 1045102100 /nfs/dbraw/zinc/10/21/00/1045102100.db2.gz QXWYRVSAYFHOQO-CYBMUJFWSA-N 0 3 322.449 4.225 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2cccc(F)c2)s1 ZINC000525636328 1045102181 /nfs/dbraw/zinc/10/21/81/1045102181.db2.gz QXWYRVSAYFHOQO-ZDUSSCGKSA-N 0 3 322.449 4.225 20 0 DIADHN CO[C@@H](CNCc1ccc(F)c(F)c1)c1ccc(Cl)cc1 ZINC000525695145 1045112683 /nfs/dbraw/zinc/11/26/83/1045112683.db2.gz WMSFARJDKDUFQE-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cnn(CC)c1)c1ccc(Cl)cc1 ZINC000525709280 1045113337 /nfs/dbraw/zinc/11/33/37/1045113337.db2.gz MLBDICZJGGJDMB-CXAGYDPISA-N 0 3 305.853 4.433 20 0 DIADHN CC1(C2CC2)CCN(Cc2cnc(-c3ccco3)s2)CC1 ZINC000577313174 1045115052 /nfs/dbraw/zinc/11/50/52/1045115052.db2.gz NTCSASANMWDNRS-UHFFFAOYSA-N 0 3 302.443 4.415 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC3(C2)CCOCC3)c1 ZINC000447305405 1045117979 /nfs/dbraw/zinc/11/79/79/1045117979.db2.gz LLGWGIPMPBJAJG-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN C[C@@]1(CCCO)CCCN1CCc1cc(Cl)cc(Cl)c1 ZINC000447312186 1045118435 /nfs/dbraw/zinc/11/84/35/1045118435.db2.gz LCIXBVFJVAFYLX-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN Cc1cccc(NC(=O)CN(C)[C@@H](C)C2CCCCC2)c1C ZINC000447395792 1045129789 /nfs/dbraw/zinc/12/97/89/1045129789.db2.gz UGTDNXDASPDGAO-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@H](c4ccco4)C3)o2)cc1 ZINC000600685709 1045130057 /nfs/dbraw/zinc/13/00/57/1045130057.db2.gz JRSYRQAMDFGBEO-HOCLYGCPSA-N 0 3 323.396 4.189 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)Cc1nc(-c2ccsc2)no1 ZINC000447387761 1045131409 /nfs/dbraw/zinc/13/14/09/1045131409.db2.gz UVRFKFCQOADLSP-LBPRGKRZSA-N 0 3 305.447 4.199 20 0 DIADHN Cc1cc(CNCc2ccc(Br)cc2C)ccc1F ZINC000600806514 1045140581 /nfs/dbraw/zinc/14/05/81/1045140581.db2.gz OATZBEBRKBGMFQ-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc(N(C)C)nc3ccccc23)c(C)o1 ZINC000447528551 1045137190 /nfs/dbraw/zinc/13/71/90/1045137190.db2.gz JMXIOPRPDOAIPF-CQSZACIVSA-N 0 3 323.440 4.361 20 0 DIADHN CC(C)=CCN(CCc1nnc(C)o1)Cc1ccc(Cl)cc1 ZINC000930317002 1045140964 /nfs/dbraw/zinc/14/09/64/1045140964.db2.gz OOQBMTCZCBRFEG-UHFFFAOYSA-N 0 3 319.836 4.042 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2ccc(F)cc2OC(F)F)o1 ZINC000577615852 1045143625 /nfs/dbraw/zinc/14/36/25/1045143625.db2.gz MJPRNQZIIRWAMA-NSHDSACASA-N 0 3 313.319 4.222 20 0 DIADHN CCO[C@H](CN[C@H](C)c1cccc(F)c1F)c1ccccc1 ZINC000447568290 1045145006 /nfs/dbraw/zinc/14/50/06/1045145006.db2.gz ISGJCDPWMGIPDU-CXAGYDPISA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cc(F)cc(F)c1)c1ccccc1 ZINC000447550793 1045145144 /nfs/dbraw/zinc/14/51/44/1045145144.db2.gz IUKOOZODUNODPS-ACJLOTCBSA-N 0 3 305.368 4.393 20 0 DIADHN COC(=O)C(C)(C)CCN[C@H](C)c1cccc(Cl)c1Cl ZINC000525996256 1045146765 /nfs/dbraw/zinc/14/67/65/1045146765.db2.gz XXNVLRCMGHEXDR-SNVBAGLBSA-N 0 3 318.244 4.233 20 0 DIADHN COC(=O)C(C)(C)CCN[C@@H](C)c1cccc(Cl)c1Cl ZINC000525996255 1045147403 /nfs/dbraw/zinc/14/74/03/1045147403.db2.gz XXNVLRCMGHEXDR-JTQLQIEISA-N 0 3 318.244 4.233 20 0 DIADHN Cc1c([C@H](C)NC2CCC3(CC3)CC2)nnn1-c1ccc(C)cc1 ZINC000447591374 1045154426 /nfs/dbraw/zinc/15/44/26/1045154426.db2.gz HTZJQJRDURJOSO-HNNXBMFYSA-N 0 3 324.472 4.258 20 0 DIADHN COC[C@H](N[C@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000447579769 1045158267 /nfs/dbraw/zinc/15/82/67/1045158267.db2.gz OKTPKPQLPXDTPL-CABCVRRESA-N 0 3 301.352 4.003 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@H](C)c1cc(C)oc1C ZINC000447581026 1045159007 /nfs/dbraw/zinc/15/90/07/1045159007.db2.gz RVOSGGNIFWNVSC-VFVRVIDISA-N 0 3 305.393 4.462 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@H](C(F)(F)F)C2)nc2ccccc12 ZINC000526084274 1045165583 /nfs/dbraw/zinc/16/55/83/1045165583.db2.gz RCGPSBRRFMNTRU-STQMWFEESA-N 0 3 323.362 4.149 20 0 DIADHN COc1cc(CN2CCC(OCC3CCCC3)CC2)ccc1C ZINC000447730353 1045170750 /nfs/dbraw/zinc/17/07/50/1045170750.db2.gz RFYRPKUDCXVBQO-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN COc1ccc(CN[C@H](C)c2coc3ccccc32)cc1OC ZINC000924535713 1045173921 /nfs/dbraw/zinc/17/39/21/1045173921.db2.gz HZNDDPJCLDTRJA-CYBMUJFWSA-N 0 3 311.381 4.301 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NCCc1ccc(C(F)(F)F)cc1 ZINC000924558742 1045176747 /nfs/dbraw/zinc/17/67/47/1045176747.db2.gz LANJUSOVWGYGCU-AWEZNQCLSA-N 0 3 324.346 4.212 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccnn1C1CCC1)c1ccc(OC)cc1 ZINC000924568173 1045177264 /nfs/dbraw/zinc/17/72/64/1045177264.db2.gz WXNYJJKJJFCHOS-KBXCAEBGSA-N 0 3 313.445 4.419 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](N[C@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924581467 1045179454 /nfs/dbraw/zinc/17/94/54/1045179454.db2.gz LGJRIOPVJSYFJW-KHYOSLBOSA-N 0 3 314.477 4.377 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1cnnn1-c1ccccc1 ZINC000924597644 1045181558 /nfs/dbraw/zinc/18/15/58/1045181558.db2.gz QSURRJMTKYMELI-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@H](C)c2cnn(C3CCC3)c2)cc1 ZINC000924592685 1045181647 /nfs/dbraw/zinc/18/16/47/1045181647.db2.gz DFVBJDWLCUANKP-HUUCEWRRSA-N 0 3 313.445 4.419 20 0 DIADHN CCS[C@H]1CCC[C@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000447852342 1045184594 /nfs/dbraw/zinc/18/45/94/1045184594.db2.gz ZYHSFMDBVYJMQI-HOTGVXAUSA-N 0 3 315.486 4.231 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000924628691 1045185592 /nfs/dbraw/zinc/18/55/92/1045185592.db2.gz WHCSWSNWGWGZJI-TUAOUCFPSA-N 0 3 302.340 4.071 20 0 DIADHN C[C@H](NCc1ccc(N2CCCC2)nc1)c1coc2ccccc12 ZINC000924636598 1045185831 /nfs/dbraw/zinc/18/58/31/1045185831.db2.gz MWWCYOXEHHNHFI-HNNXBMFYSA-N 0 3 321.424 4.279 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1c(C)noc1C)c1ccsc1 ZINC000924659601 1045186961 /nfs/dbraw/zinc/18/69/61/1045186961.db2.gz QNQGSYCEAMZIRC-MLGOLLRUSA-N 0 3 321.490 4.087 20 0 DIADHN CCC[C@@H](NCCc1nc(C)cs1)c1ncccc1Cl ZINC000924643572 1045188268 /nfs/dbraw/zinc/18/82/68/1045188268.db2.gz KXSHHNSMQQCSNY-CYBMUJFWSA-N 0 3 309.866 4.173 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(F)c(F)c1)c1ccccc1Cl ZINC000048330969 1045192544 /nfs/dbraw/zinc/19/25/44/1045192544.db2.gz MHVFRMJIYDADLB-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(CF)cc2)cc1OC ZINC000777535948 1045198743 /nfs/dbraw/zinc/19/87/43/1045198743.db2.gz YDIJLXAMAYROLD-UHFFFAOYSA-N 0 3 317.404 4.114 20 0 DIADHN CCn1nc(C)c(CN[C@@H](C)c2c(C)oc3ccccc32)c1C ZINC000924925710 1045211754 /nfs/dbraw/zinc/21/17/54/1045211754.db2.gz VPYZCMMIIRJMHO-ZDUSSCGKSA-N 0 3 311.429 4.425 20 0 DIADHN CC[C@H](C[C@@](C)(O)CC)N[C@@H](c1ccccc1)c1cccnc1 ZINC000924939610 1045213133 /nfs/dbraw/zinc/21/31/33/1045213133.db2.gz XUPSPJUYFIVIOY-AABGKKOBSA-N 0 3 312.457 4.090 20 0 DIADHN c1ncn(Cc2ccccc2)c1CN1CCC[C@@H]1c1ccccc1 ZINC000527324787 1045215343 /nfs/dbraw/zinc/21/53/43/1045215343.db2.gz SASOLBDEBAZNAP-OAQYLSRUSA-N 0 3 317.436 4.269 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)C1CCC1)c1ccnnc1 ZINC000924969864 1045215469 /nfs/dbraw/zinc/21/54/69/1045215469.db2.gz LNHVMLAMZVLHGP-SJCJKPOMSA-N 0 3 301.821 4.322 20 0 DIADHN Cc1ccc(-c2ccc(CN(C)CCc3ccccn3)o2)cc1 ZINC000527357743 1045220312 /nfs/dbraw/zinc/22/03/12/1045220312.db2.gz NLJPYDUBANKFIH-UHFFFAOYSA-N 0 3 306.409 4.325 20 0 DIADHN CC[C@H]1CN(Cc2ncc(C)s2)CC[C@H]1c1ccccc1 ZINC000578228234 1045222517 /nfs/dbraw/zinc/22/25/17/1045222517.db2.gz VLLZZFPBWNUMTD-DOTOQJQBSA-N 0 3 300.471 4.467 20 0 DIADHN C[C@H](NCC(=O)Nc1ccccc1)c1ccc(F)c2ccccc21 ZINC000578227483 1045223476 /nfs/dbraw/zinc/22/34/76/1045223476.db2.gz MIQMXNBQBCGZRP-AWEZNQCLSA-N 0 3 322.383 4.268 20 0 DIADHN CC[C@@H](NC[C@@H]1Cc2ccccc2O1)c1ccc(F)cc1F ZINC000578263983 1045225430 /nfs/dbraw/zinc/22/54/30/1045225430.db2.gz CKYMTVRPENXASW-WMLDXEAASA-N 0 3 303.352 4.009 20 0 DIADHN Clc1ccnc2c1CCC[C@@H]2N[C@H]1CCCc2[nH]ccc21 ZINC000925228878 1045237783 /nfs/dbraw/zinc/23/77/83/1045237783.db2.gz PYSBBAYNAWAGRR-HOTGVXAUSA-N 0 3 301.821 4.108 20 0 DIADHN Cc1cc(CNC(C)(C)c2ccc(OCC(C)C)cc2)ccn1 ZINC000527538764 1045238234 /nfs/dbraw/zinc/23/82/34/1045238234.db2.gz MASIINXQUYFKPS-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000925241975 1045240108 /nfs/dbraw/zinc/24/01/08/1045240108.db2.gz XZYFGAOKNQYMOL-UPJWGTAASA-N 0 3 316.367 4.319 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc3cc[nH]c3c2)cc1OC ZINC000527640981 1045244413 /nfs/dbraw/zinc/24/44/13/1045244413.db2.gz OWLLZESCMCFFAS-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN CC[C@H](NCc1cc(OC)cc(C(F)(F)F)c1)c1ccncc1 ZINC000527664878 1045246122 /nfs/dbraw/zinc/24/61/22/1045246122.db2.gz LUIIKPRUWFJJGX-INIZCTEOSA-N 0 3 324.346 4.350 20 0 DIADHN FC1(F)CCC[C@H](NCc2ccsc2Br)C1 ZINC000527672704 1045248112 /nfs/dbraw/zinc/24/81/12/1045248112.db2.gz DATBQINZGFXLNJ-VIFPVBQESA-N 0 3 310.207 4.178 20 0 DIADHN Cc1nnc(CN[C@@H]2CCC[C@H](c3ccccc3)CC2)s1 ZINC000527691506 1045250243 /nfs/dbraw/zinc/25/02/43/1045250243.db2.gz NDOCNXHZNCCJPN-JKSUJKDBSA-N 0 3 301.459 4.053 20 0 DIADHN CCC[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccccn1 ZINC000578551498 1045253058 /nfs/dbraw/zinc/25/30/58/1045253058.db2.gz QNQBUBPLQDNUAD-WSTZPKSXSA-N 0 3 310.441 4.433 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc2cc[nH]c2c1)c1ccccc1 ZINC000527725454 1045254507 /nfs/dbraw/zinc/25/45/07/1045254507.db2.gz FBPIUERUSZHQEW-WOJBJXKFSA-N 0 3 308.425 4.016 20 0 DIADHN CC(=O)Nc1ccccc1CNCc1ccccc1CC(C)C ZINC000527734924 1045255341 /nfs/dbraw/zinc/25/53/41/1045255341.db2.gz VTZDVBGCFMLSHW-UHFFFAOYSA-N 0 3 310.441 4.133 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2CCOC3(CCC3)C2)c1Cl ZINC000527714440 1045255833 /nfs/dbraw/zinc/25/58/33/1045255833.db2.gz ZADMBIKJHVNRPM-LLVKDONJSA-N 0 3 315.791 4.057 20 0 DIADHN Cn1cc2ccc(CNCc3ccc(C4CCC4)cc3)cc2n1 ZINC000527762699 1045256173 /nfs/dbraw/zinc/25/61/73/1045256173.db2.gz LLGFIKHJPVBPDD-UHFFFAOYSA-N 0 3 305.425 4.131 20 0 DIADHN CCCCOc1ccc(CNCc2ccc3cn(C)nc3c2)cc1 ZINC000527762262 1045256970 /nfs/dbraw/zinc/25/69/70/1045256970.db2.gz LDFLQMAQAPMJTH-UHFFFAOYSA-N 0 3 323.440 4.042 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@H]3CCCOC3)cs2)cc1 ZINC000527738589 1045257516 /nfs/dbraw/zinc/25/75/16/1045257516.db2.gz KUEAZEUPBLQIGH-OAHLLOKOSA-N 0 3 316.470 4.025 20 0 DIADHN COc1ccc(C(C)(C)NCc2cc3ccccc3[nH]2)cc1F ZINC000527782617 1045259626 /nfs/dbraw/zinc/25/96/26/1045259626.db2.gz ZRQNUYLULHEILM-UHFFFAOYSA-N 0 3 312.388 4.341 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2ccc(OC)c(F)c2)cc1 ZINC000527781767 1045261268 /nfs/dbraw/zinc/26/12/68/1045261268.db2.gz PTTAXBFIRQJXHB-UHFFFAOYSA-N 0 3 317.404 4.258 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H](c1ccccn1)C1CCC1 ZINC000527771444 1045261395 /nfs/dbraw/zinc/26/13/95/1045261395.db2.gz IRPPOFBEOFGSRU-RVPHRTOXSA-N 0 3 308.425 4.234 20 0 DIADHN Cc1ccc(NC(=O)C(C2CCC2)C2CCC2)c(CN(C)C)c1 ZINC000578671781 1045263409 /nfs/dbraw/zinc/26/34/09/1045263409.db2.gz STLHOASPUCXNSX-UHFFFAOYSA-N 0 3 314.473 4.212 20 0 DIADHN CC[C@H](NCc1cn(C)nc1C(F)F)c1cc(C)ccc1C ZINC000527819688 1045264482 /nfs/dbraw/zinc/26/44/82/1045264482.db2.gz KPQMISAQUPZODZ-HNNXBMFYSA-N 0 3 307.388 4.215 20 0 DIADHN CC[C@H](NCc1cnc([C@H](C)O)s1)c1cc(C)ccc1C ZINC000527817860 1045264515 /nfs/dbraw/zinc/26/45/15/1045264515.db2.gz DAZXJBZKIHATTD-BBRMVZONSA-N 0 3 304.459 4.054 20 0 DIADHN CC[C@H](NCc1ccc2cnn(C)c2c1)c1cc(C)ccc1OC ZINC000578707024 1045267753 /nfs/dbraw/zinc/26/77/53/1045267753.db2.gz KAIFNKPNLLSSAW-SFHVURJKSA-N 0 3 323.440 4.131 20 0 DIADHN CCc1ccccc1-c1nc(CN[C@@H]2CCO[C@H](C)C2)cs1 ZINC001168100642 1045267920 /nfs/dbraw/zinc/26/79/20/1045267920.db2.gz CZMZKYRUFDEHFI-UKRRQHHQSA-N 0 3 316.470 4.030 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2cccc(C(=O)N(C)C)c2)c1 ZINC000527844614 1045268356 /nfs/dbraw/zinc/26/83/56/1045268356.db2.gz HCXXLLRUZJUPQF-MRXNPFEDSA-N 0 3 324.468 4.363 20 0 DIADHN CC[C@@H](C)c1ccc([C@@H](C)NCc2cccc(C(=O)NC)c2)cc1 ZINC000527855671 1045268516 /nfs/dbraw/zinc/26/85/16/1045268516.db2.gz VQUWSCKURJZNHS-HZPDHXFCSA-N 0 3 324.468 4.411 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCc2cccc(C(N)=O)c2)cc1 ZINC000527847370 1045271166 /nfs/dbraw/zinc/27/11/66/1045271166.db2.gz MSMFVRMKJDRDCR-GJZGRUSLSA-N 0 3 310.441 4.150 20 0 DIADHN Fc1cc2c(cc1Cl)[C@@H](NCc1ccc3c(c1)COC3)CC2 ZINC000527874858 1045275066 /nfs/dbraw/zinc/27/50/66/1045275066.db2.gz ZHPDAAWVPXHXNY-SFHVURJKSA-N 0 3 317.791 4.286 20 0 DIADHN CCc1ccc([C@@H](CC(C)C)NCc2n[nH]c(C3CC3)n2)cc1 ZINC000527901134 1045278762 /nfs/dbraw/zinc/27/87/62/1045278762.db2.gz FJKYONVJQVXNTL-QGZVFWFLSA-N 0 3 312.461 4.122 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000527901985 1045280225 /nfs/dbraw/zinc/28/02/25/1045280225.db2.gz DOVHXEJZKIHCKJ-ZFWWWQNUSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](n2cccn2)C(F)(F)F)c(C)s1 ZINC000527932195 1045285733 /nfs/dbraw/zinc/28/57/33/1045285733.db2.gz LAVGCANWANQHRC-GXFFZTMASA-N 0 3 317.380 4.016 20 0 DIADHN C[C@H](NCc1ccc2cn(C)nc2c1)c1ccc(Cl)s1 ZINC000527960253 1045288314 /nfs/dbraw/zinc/28/83/14/1045288314.db2.gz XIDPUCGGUJMXEF-JTQLQIEISA-N 0 3 305.834 4.139 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc3cn(C)nc3c2)cc1 ZINC000527963775 1045290009 /nfs/dbraw/zinc/29/00/09/1045290009.db2.gz PAPLNESWUIWYOA-HNNXBMFYSA-N 0 3 323.440 4.211 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(Cl)ccc21)c1cnn2c1CCCC2 ZINC000527992856 1045290879 /nfs/dbraw/zinc/29/08/79/1045290879.db2.gz QSDPQDYFEPIELB-PXAZEXFGSA-N 0 3 315.848 4.211 20 0 DIADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000528004116 1045292971 /nfs/dbraw/zinc/29/29/71/1045292971.db2.gz QTABKFUIQPZKHY-RVFOSREFSA-N 0 3 316.470 4.273 20 0 DIADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1nc(-c2ccccc2)cs1 ZINC000528004103 1045293618 /nfs/dbraw/zinc/29/36/18/1045293618.db2.gz QTABKFUIQPZKHY-DEEHTKSCSA-N 0 3 316.470 4.273 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1cccc(F)c1)c1ccccc1F ZINC000779124766 1045294709 /nfs/dbraw/zinc/29/47/09/1045294709.db2.gz RHOXWUDTGFLEQK-OCBCSQNSSA-N 0 3 305.368 4.128 20 0 DIADHN C[C@H](NCC[C@@H]1CC=CCC1)c1ncc(Br)s1 ZINC000527985045 1045294857 /nfs/dbraw/zinc/29/48/57/1045294857.db2.gz MFVFDPZASPKINQ-WDEREUQCSA-N 0 3 315.280 4.303 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)N[C@@H](C)c2ncccc2F)cc1 ZINC000528035848 1045295410 /nfs/dbraw/zinc/29/54/10/1045295410.db2.gz LRHQHQGOBHJNPR-WRFANHODSA-N 0 3 314.404 4.072 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@@H](N[C@@H](C)c1ncccc1F)CC3 ZINC000528036006 1045295529 /nfs/dbraw/zinc/29/55/29/1045295529.db2.gz MZYPKFHLJLSPAR-KBPBESRZSA-N 0 3 323.415 4.219 20 0 DIADHN CC[C@@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1cccc(F)c1 ZINC000528042462 1045296870 /nfs/dbraw/zinc/29/68/70/1045296870.db2.gz FJHVJENCEGDKJT-MJGOQNOKSA-N 0 3 314.404 4.421 20 0 DIADHN CC[C@H](NCc1ccc(F)cc1C)c1ccc(OC)c(OC)c1 ZINC000579149790 1045297195 /nfs/dbraw/zinc/29/71/95/1045297195.db2.gz QPVMRNBBMDQZOJ-KRWDZBQOSA-N 0 3 317.404 4.392 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2csc(C)c2)C2CC2)cn1 ZINC000528042821 1045297714 /nfs/dbraw/zinc/29/77/14/1045297714.db2.gz JMSAGNGIYMPDJR-SJKOYZFVSA-N 0 3 302.443 4.262 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(C3CCOCC3)CC2)c(F)c1 ZINC000579166833 1045298380 /nfs/dbraw/zinc/29/83/80/1045298380.db2.gz OSXKHVNDQLRTFR-UPHRSURJSA-N 0 3 321.411 4.117 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(C3CCOCC3)CC2)c(F)c1 ZINC000579166830 1045298485 /nfs/dbraw/zinc/29/84/85/1045298485.db2.gz OSXKHVNDQLRTFR-OWOJBTEDSA-N 0 3 321.411 4.117 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H]1CCC(C)(C)c2ccccc21 ZINC000579662605 1045329368 /nfs/dbraw/zinc/32/93/68/1045329368.db2.gz URFHROZHXZEYTK-VQTJNVASSA-N 0 3 309.453 4.431 20 0 DIADHN COc1cccc2c(CN(C)Cc3cccc(C)n3)cccc12 ZINC000449033207 1045335533 /nfs/dbraw/zinc/33/55/33/1045335533.db2.gz YYXNEZSNLFAMLA-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN N#CC(C(=O)CCCCCc1ccc(F)cc1)c1ccccn1 ZINC000188676992 1045338249 /nfs/dbraw/zinc/33/82/49/1045338249.db2.gz OXTQLHJNQBDICP-KRWDZBQOSA-N 0 3 310.372 4.200 20 0 DIADHN N#CC(C(=O)CCCCCc1ccc(F)cc1)c1ccccn1 ZINC000188676971 1045338615 /nfs/dbraw/zinc/33/86/15/1045338615.db2.gz OXTQLHJNQBDICP-QGZVFWFLSA-N 0 3 310.372 4.200 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2ccnn2C2CCCC2)C1 ZINC000449045235 1045340331 /nfs/dbraw/zinc/34/03/31/1045340331.db2.gz HKKAMVOGEFFASW-NVXWUHKLSA-N 0 3 307.507 4.104 20 0 DIADHN COc1ccc([C@@H](NCc2cc3cccnc3o2)C(C)C)cc1 ZINC000449067491 1045341599 /nfs/dbraw/zinc/34/15/99/1045341599.db2.gz LUBQHLQOMOFBFA-SFHVURJKSA-N 0 3 310.397 4.323 20 0 DIADHN CCCC[C@H](NCc1ccc(F)nc1)c1ccc(OC)cc1 ZINC000189043168 1045341672 /nfs/dbraw/zinc/34/16/72/1045341672.db2.gz TUEUTIBQUWSDJC-KRWDZBQOSA-N 0 3 302.393 4.250 20 0 DIADHN C[C@@H](C[C@@H](O)c1cccs1)NCc1ccc(Cl)cc1F ZINC000189413383 1045347256 /nfs/dbraw/zinc/34/72/56/1045347256.db2.gz DDXQURAPPSFBGI-IINYFYTJSA-N 0 3 313.825 4.142 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@H](C)c2nc(-c3ccccc3)cs2)CCO1 ZINC000449129687 1045350044 /nfs/dbraw/zinc/35/00/44/1045350044.db2.gz VVYJUQSLNWXPNB-VNQPRFMTSA-N 0 3 316.470 4.418 20 0 DIADHN COc1ccccc1[C@H](N[C@H]1CSC[C@H]1C)c1ccccc1 ZINC000449145770 1045351843 /nfs/dbraw/zinc/35/18/43/1045351843.db2.gz LXUMOMFDMMBJBJ-DKSSEZFCSA-N 0 3 313.466 4.126 20 0 DIADHN CCc1nc([C@H](C)NC[C@@H](C)c2cc(F)cc(F)c2)cs1 ZINC000449153901 1045351972 /nfs/dbraw/zinc/35/19/72/1045351972.db2.gz GGEBXBDVRZNCRA-MNOVXSKESA-N 0 3 310.413 4.438 20 0 DIADHN C/C(Cl)=C/CNC1(c2ccc(Cl)cc2)CCOCC1 ZINC000528413195 1045352380 /nfs/dbraw/zinc/35/23/80/1045352380.db2.gz HZDLEDZCLNTRTL-SDQBBNPISA-N 0 3 300.229 4.078 20 0 DIADHN Fc1cccc(CN[C@H]2CCCC(F)(F)C2)c1Br ZINC000449189106 1045357049 /nfs/dbraw/zinc/35/70/49/1045357049.db2.gz OUNJWXXUKZMTQS-JTQLQIEISA-N 0 3 322.168 4.256 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(-n3ccnc3C)cc2)cs1 ZINC000449193103 1045358135 /nfs/dbraw/zinc/35/81/35/1045358135.db2.gz QJCYVWSYAUFGHW-CQSZACIVSA-N 0 3 311.454 4.401 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H](C)Cc1coc2ccccc12 ZINC000449223786 1045364720 /nfs/dbraw/zinc/36/47/20/1045364720.db2.gz KEYIXQIUHBQCGG-OAHLLOKOSA-N 0 3 311.429 4.006 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@@H](C)c1nc(-c2ccncc2)cs1 ZINC000449227775 1045365613 /nfs/dbraw/zinc/36/56/13/1045365613.db2.gz WHMVZBAMSXXQFB-OLZOCXBDSA-N 0 3 313.426 4.080 20 0 DIADHN Clc1cc(CN[C@H]2CCO[C@H](C3CC3)C2)c(Cl)s1 ZINC000449251850 1045366827 /nfs/dbraw/zinc/36/68/27/1045366827.db2.gz QXAHQLNAIIWKBE-QWRGUYRKSA-N 0 3 306.258 4.102 20 0 DIADHN C/C(Cl)=C/CN1CCC(n2c(C)nc3ccccc32)CC1 ZINC000528482875 1045367108 /nfs/dbraw/zinc/36/71/08/1045367108.db2.gz AOPSEFRHPOVORE-QPEQYQDCSA-N 0 3 303.837 4.124 20 0 DIADHN Cc1cccc(CN[C@@H]2CCO[C@H]2c2ccc(F)c(F)c2)c1F ZINC000449245475 1045368353 /nfs/dbraw/zinc/36/83/53/1045368353.db2.gz BEXJCHILCCBPAP-AEFFLSMTSA-N 0 3 321.342 4.032 20 0 DIADHN COc1cccc2c(CN(C)[C@@H](C)c3cccnc3)cccc12 ZINC000449240908 1045368753 /nfs/dbraw/zinc/36/87/53/1045368753.db2.gz PSZQRHXEBXOJIW-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@@H](C)c2cc(F)cc(F)c2)cn1 ZINC000449306382 1045374045 /nfs/dbraw/zinc/37/40/45/1045374045.db2.gz WMDANONXCZIPMJ-CYBMUJFWSA-N 0 3 319.399 4.114 20 0 DIADHN Cc1ccc([C@H](N[C@@H](C)Cc2ccoc2)c2ccccn2)cc1 ZINC000449292557 1045374283 /nfs/dbraw/zinc/37/42/83/1045374283.db2.gz DJMPNLWHJGGJSG-JXFKEZNVSA-N 0 3 306.409 4.293 20 0 DIADHN CSCCCN(C)Cc1cnc(-c2ccccc2F)s1 ZINC000449305316 1045374582 /nfs/dbraw/zinc/37/45/82/1045374582.db2.gz RTHFJNBRJGGARP-UHFFFAOYSA-N 0 3 310.463 4.134 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2ccoc21)c1ccccc1 ZINC000449288981 1045374592 /nfs/dbraw/zinc/37/45/92/1045374592.db2.gz PQPQBVNEOSPLLO-LJQANCHMSA-N 0 3 309.409 4.282 20 0 DIADHN C[C@@H](CN1CCC=C(c2ccncc2)C1)c1cc(F)cc(F)c1 ZINC000449317660 1045376473 /nfs/dbraw/zinc/37/64/73/1045376473.db2.gz DAKWXXROPYRSDX-AWEZNQCLSA-N 0 3 314.379 4.253 20 0 DIADHN C[C@H](CN1CCC[C@H]1c1cccnc1)c1cc(F)cc(F)c1 ZINC000449317148 1045377012 /nfs/dbraw/zinc/37/70/12/1045377012.db2.gz BICHAVWFUQCBSI-ACJLOTCBSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(F)(F)F)cc1)c1ccccn1 ZINC000076902445 1045377166 /nfs/dbraw/zinc/37/71/66/1045377166.db2.gz OFNMZKCTULMMJT-INIZCTEOSA-N 0 3 324.346 4.467 20 0 DIADHN CC(F)(F)CCNCc1ccc(-c2c(F)cccc2F)o1 ZINC000449353616 1045377616 /nfs/dbraw/zinc/37/76/16/1045377616.db2.gz DPFADRFTKYQUNT-UHFFFAOYSA-N 0 3 301.283 4.360 20 0 DIADHN Cc1ccc2ncc(CN[C@H](C)c3cccc(C4CC4)c3)n2c1 ZINC000449348206 1045379143 /nfs/dbraw/zinc/37/91/43/1045379143.db2.gz FZUKYBWAJAEBRH-OAHLLOKOSA-N 0 3 305.425 4.371 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN[C@@H]1CCCc2c(F)cccc21 ZINC000449350153 1045379598 /nfs/dbraw/zinc/37/95/98/1045379598.db2.gz LDNTURSLFAWTGY-MRXNPFEDSA-N 0 3 301.409 4.014 20 0 DIADHN Cc1cccn2c(CN[C@@H](C)c3cccc(C4CC4)c3)cnc12 ZINC000449349446 1045379621 /nfs/dbraw/zinc/37/96/21/1045379621.db2.gz JNLUGOWBXPIORS-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN CCOc1cc(CN[C@H](C)c2ccc(F)c(C)c2)ccc1OC ZINC000449372402 1045383555 /nfs/dbraw/zinc/38/35/55/1045383555.db2.gz HJXMPWQRGHHKKV-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)c(C)c2)cc1OC ZINC000449373385 1045383986 /nfs/dbraw/zinc/38/39/86/1045383986.db2.gz QWHJGTKJJLNRPF-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1cccc3c[nH]nc31)CCC2 ZINC000449353205 1045384202 /nfs/dbraw/zinc/38/42/02/1045384202.db2.gz YXDQMKJXHSZZAV-QGZVFWFLSA-N 0 3 313.351 4.008 20 0 DIADHN CC(F)(F)CCNCc1ccccc1OCc1ccccc1 ZINC000449354992 1045385099 /nfs/dbraw/zinc/38/50/99/1045385099.db2.gz UEOLKXBXOQSEKF-UHFFFAOYSA-N 0 3 305.368 4.401 20 0 DIADHN COCc1cnc(CN2[C@H](C)C[C@H](c3ccccc3)[C@@H]2C)s1 ZINC000449388624 1045388598 /nfs/dbraw/zinc/38/85/98/1045388598.db2.gz PNVKXMXAEAUZMY-KEYYUXOJSA-N 0 3 316.470 4.056 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1ccc(-c2ccncc2)cc1F)OC ZINC000449400755 1045389326 /nfs/dbraw/zinc/38/93/26/1045389326.db2.gz CWPVRXFHLHAJFG-AUUYWEPGSA-N 0 3 316.420 4.038 20 0 DIADHN Cc1ccncc1CN[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000449449737 1045394874 /nfs/dbraw/zinc/39/48/74/1045394874.db2.gz MTKNZOHZEPCTQI-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1cccc(-n2cccn2)c1 ZINC000449457658 1045396129 /nfs/dbraw/zinc/39/61/29/1045396129.db2.gz GQQSLJTVHXITIZ-ZFWWWQNUSA-N 0 3 323.362 4.035 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(C(F)(F)F)c1)[C@@H](C)C2 ZINC000449439782 1045396755 /nfs/dbraw/zinc/39/67/55/1045396755.db2.gz WYAUDZLYXOZAEP-YVEFUNNKSA-N 0 3 320.358 4.432 20 0 DIADHN Cc1cccc(CN[C@H](CCO)c2cccc(C(F)(F)F)c2)c1 ZINC000449437074 1045396957 /nfs/dbraw/zinc/39/69/57/1045396957.db2.gz KPDVYJGURMIWEB-QGZVFWFLSA-N 0 3 323.358 4.227 20 0 DIADHN Cc1cccc([C@H](NC(=O)Nc2ccc3c(c2)CNC3)C(C)C)c1 ZINC000528698083 1045398676 /nfs/dbraw/zinc/39/86/76/1045398676.db2.gz REQWBDCUEGEUET-LJQANCHMSA-N 0 3 323.440 4.117 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(C3CCCC3)s2)C[C@H]1C ZINC000449490882 1045402395 /nfs/dbraw/zinc/40/23/95/1045402395.db2.gz DJVIFDDLWCUPQU-CJNGLKHVSA-N 0 3 308.491 4.048 20 0 DIADHN CCO[C@@H]1CCN(Cc2cc(Cl)cc3cccnc32)C[C@@H]1C ZINC000449496380 1045403520 /nfs/dbraw/zinc/40/35/20/1045403520.db2.gz PWCCHXYYTJPOFT-SUMWQHHRSA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@@H]1CCN(Cc2c(Cl)oc3ccccc32)C[C@@H]1C ZINC000449502921 1045404898 /nfs/dbraw/zinc/40/48/98/1045404898.db2.gz PERLXITUVBJZCK-SWLSCSKDSA-N 0 3 307.821 4.333 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000168518592 1045405779 /nfs/dbraw/zinc/40/57/79/1045405779.db2.gz GCENKHBNVPIGTK-FBJOKTGGSA-N 0 3 319.445 4.283 20 0 DIADHN CCc1cnc(CN2CC[C@H](c3ccc(F)cc3)C2(C)C)o1 ZINC000528742066 1045407752 /nfs/dbraw/zinc/40/77/52/1045407752.db2.gz KOVFAMZTKUTDRR-MRXNPFEDSA-N 0 3 302.393 4.144 20 0 DIADHN CCC1(NCc2ncc(Br)s2)CCCCC1 ZINC000449534566 1045409581 /nfs/dbraw/zinc/40/95/81/1045409581.db2.gz MLVCRBRHOSELCX-UHFFFAOYSA-N 0 3 303.269 4.108 20 0 DIADHN CO[C@@H](CN1CCC(F)(F)C[C@H]1C)c1ccc(Cl)cc1 ZINC000528773022 1045412923 /nfs/dbraw/zinc/41/29/23/1045412923.db2.gz NVFULHUVJBCXHR-RISCZKNCSA-N 0 3 303.780 4.147 20 0 DIADHN CCn1ccnc1[C@H](C)NC1CC(c2cccc(Cl)c2)C1 ZINC000449561953 1045413897 /nfs/dbraw/zinc/41/38/97/1045413897.db2.gz FTNLWUNOGUVZCO-YGONEPDPSA-N 0 3 303.837 4.153 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H]1C[C@@H](C)n2ccnc21 ZINC000449569977 1045415988 /nfs/dbraw/zinc/41/59/88/1045415988.db2.gz IRLNZBURPLOZNO-HFAKWTLXSA-N 0 3 324.255 4.417 20 0 DIADHN CCCc1ncc(CN[C@@H](C)Cc2ccccc2SC)o1 ZINC000449610181 1045418261 /nfs/dbraw/zinc/41/82/61/1045418261.db2.gz FQDHGNXKJDZICZ-ZDUSSCGKSA-N 0 3 304.459 4.070 20 0 DIADHN CCCc1ncc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000449610391 1045418637 /nfs/dbraw/zinc/41/86/37/1045418637.db2.gz GKFNGQHDQGPXCY-QGZVFWFLSA-N 0 3 314.404 4.492 20 0 DIADHN Cn1c(CNC2(C3(C)CC3)CC2)nc2cc(C(F)(F)F)ccc21 ZINC000449666643 1045425452 /nfs/dbraw/zinc/42/54/52/1045425452.db2.gz DWGIOUYYGALXOR-UHFFFAOYSA-N 0 3 323.362 4.014 20 0 DIADHN CC(C)C[C@H](C(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000449665200 1045425601 /nfs/dbraw/zinc/42/56/01/1045425601.db2.gz CFJXXHFIUVCVAT-IBGZPJMESA-N 0 3 308.425 4.058 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C2(C)CCC(F)(F)CC2)c1 ZINC000528906560 1045427052 /nfs/dbraw/zinc/42/70/52/1045427052.db2.gz IWFXLVQZELLBCM-UHFFFAOYSA-N 0 3 324.415 4.211 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2nc3ccc(F)cc3o2)C1 ZINC000119498745 1045428677 /nfs/dbraw/zinc/42/86/77/1045428677.db2.gz QBTPDQDKUPTIRR-STQMWFEESA-N 0 3 308.422 4.073 20 0 DIADHN Cc1noc(C)c1CN1CCC(Cc2cccc(F)c2F)CC1 ZINC000449730912 1045430926 /nfs/dbraw/zinc/43/09/26/1045430926.db2.gz SRNHKYSWWKETOO-UHFFFAOYSA-N 0 3 320.383 4.024 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@]2(CCSC2)C1 ZINC000449736651 1045432480 /nfs/dbraw/zinc/43/24/80/1045432480.db2.gz XAADCSXGGFUTQK-INIZCTEOSA-N 0 3 308.516 4.130 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@]3(CCSC3)C2)s1 ZINC000449736074 1045432590 /nfs/dbraw/zinc/43/25/90/1045432590.db2.gz VTYVVGNNVWRAIU-INIZCTEOSA-N 0 3 310.532 4.160 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC(F)(F)F)c2ccccc2)C1(C)C ZINC000389781999 1045434808 /nfs/dbraw/zinc/43/48/08/1045434808.db2.gz ZDBPTNBGQWHUAB-RRFJBIMHSA-N 0 3 315.379 4.473 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)cc1 ZINC000449771501 1045435390 /nfs/dbraw/zinc/43/53/90/1045435390.db2.gz YJZSINDXFXQNAT-QGZVFWFLSA-N 0 3 301.455 4.050 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1cc(Cl)cs1 ZINC000487532011 1045462874 /nfs/dbraw/zinc/46/28/74/1045462874.db2.gz BRWUGZOOXNIHMH-MEBBXXQBSA-N 0 3 313.825 4.406 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CCO)c2ccc(OC)cc2)s1 ZINC000120333560 1045465302 /nfs/dbraw/zinc/46/53/02/1045465302.db2.gz BXFKJOQYUGFJGX-DYVFJYSZSA-N 0 3 319.470 4.093 20 0 DIADHN COc1ccc([C@H](CCO)N[C@H](C)c2cc(C)ccc2C)cc1 ZINC000120365733 1045467162 /nfs/dbraw/zinc/46/71/62/1045467162.db2.gz SUUZCCDFTZIZAU-UZLBHIALSA-N 0 3 313.441 4.086 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000450106303 1045469484 /nfs/dbraw/zinc/46/94/84/1045469484.db2.gz SKGSENWHHRXPIU-UKPHBRMFSA-N 0 3 302.462 4.067 20 0 DIADHN CN(CCC1CCOCC1)Cc1ccsc1C(F)(F)F ZINC000529529485 1045487321 /nfs/dbraw/zinc/48/73/21/1045487321.db2.gz CJTDEBDXDGJQCG-UHFFFAOYSA-N 0 3 307.381 4.015 20 0 DIADHN CCN(CCc1nccs1)Cc1ccsc1C(F)(F)F ZINC000529528353 1045487541 /nfs/dbraw/zinc/48/75/41/1045487541.db2.gz FSWMDPRZPNFXTG-UHFFFAOYSA-N 0 3 320.405 4.288 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccc3cc[nH]c3c1)CCC2 ZINC000529532989 1045488672 /nfs/dbraw/zinc/48/86/72/1045488672.db2.gz KHFXKTKPEKNQBG-KRWDZBQOSA-N 0 3 311.454 4.442 20 0 DIADHN CC(=O)c1ccc(CN2CCC[C@H](c3nc(C)cs3)C2)cc1 ZINC000450336661 1045493859 /nfs/dbraw/zinc/49/38/59/1045493859.db2.gz RYAKIZQJHMDFEH-KRWDZBQOSA-N 0 3 314.454 4.034 20 0 DIADHN O[C@@H]1CCCN(Cc2ccc(-c3ccccc3)c(Cl)c2)CC1 ZINC000529580124 1045497106 /nfs/dbraw/zinc/49/71/06/1045497106.db2.gz LKIQDUPUJSZZIZ-QGZVFWFLSA-N 0 3 315.844 4.354 20 0 DIADHN CCN(CCc1nccs1)Cc1csc(C(F)(F)F)c1 ZINC000628185821 1045537138 /nfs/dbraw/zinc/53/71/38/1045537138.db2.gz NJLWWXALFWLUPY-UHFFFAOYSA-N 0 3 320.405 4.288 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc(Cl)c(OC)c2)CC1 ZINC000450391118 1045499328 /nfs/dbraw/zinc/49/93/28/1045499328.db2.gz LVTHMUUEBKBDKK-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN COC1(C)CCN(c2ccnc3ccc(OC(C)C)cc32)CC1 ZINC000450425243 1045502341 /nfs/dbraw/zinc/50/23/41/1045502341.db2.gz SPXUKNBLHJWQJL-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN c1coc(CC2CN(Cc3cccc(-c4cccnc4)c3)C2)c1 ZINC000529648759 1045506581 /nfs/dbraw/zinc/50/65/81/1045506581.db2.gz JSAGKXFMMUVEEC-UHFFFAOYSA-N 0 3 304.393 4.016 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC2(C1)CCOCC2 ZINC000450451084 1045507696 /nfs/dbraw/zinc/50/76/96/1045507696.db2.gz IPIPHNYUHAKZLT-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN c1ccc([C@H](NC[C@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000193444577 1045513012 /nfs/dbraw/zinc/51/30/12/1045513012.db2.gz SZCKOMZNABSHTO-NQIIRXRSSA-N 0 3 300.405 4.101 20 0 DIADHN FC1(F)CC(CN2CCCC[C@H]2c2nc3ccccc3o2)C1 ZINC000450601270 1045523591 /nfs/dbraw/zinc/52/35/91/1045523591.db2.gz USCVPTYCPRJUDW-AWEZNQCLSA-N 0 3 306.356 4.400 20 0 DIADHN COCc1ccc([C@H]2CCCN2C[C@@H]2CCCC3(CCC3)O2)o1 ZINC001119819603 1045525575 /nfs/dbraw/zinc/52/55/75/1045525575.db2.gz QQVLZEYRNIVOLR-DOTOQJQBSA-N 0 3 319.445 4.055 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3cnc(C4CC4)o3)C2)cc1 ZINC000628172924 1045536658 /nfs/dbraw/zinc/53/66/58/1045536658.db2.gz APJVMKVHUUCZSQ-AWEZNQCLSA-N 0 3 302.805 4.195 20 0 DIADHN C[C@@]1(CCc2ccccc2)CCN(Cc2cnc(C3CC3)o2)C1 ZINC000628186834 1045538151 /nfs/dbraw/zinc/53/81/51/1045538151.db2.gz XFFVCWPPKKRULK-HXUWFJFHSA-N 0 3 310.441 4.397 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCc3ccccc32)C[C@H](c2ccsc2)O1 ZINC000780299807 1045542288 /nfs/dbraw/zinc/54/22/88/1045542288.db2.gz WWLFTFSJQNFALN-IDHHARJASA-N 0 3 313.466 4.240 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCc3ccccc32)C[C@@H](c2ccsc2)O1 ZINC000780299806 1045542359 /nfs/dbraw/zinc/54/23/59/1045542359.db2.gz WWLFTFSJQNFALN-ALKREAHSSA-N 0 3 313.466 4.240 20 0 DIADHN Cc1csc([C@@H](C)NCCc2cccc(C(F)(F)F)c2)n1 ZINC000628211247 1045544185 /nfs/dbraw/zinc/54/41/85/1045544185.db2.gz MFJHEOLVRLWXHW-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN Cc1nc([C@@H](C)NCCc2cccc(C(F)(F)F)c2)cs1 ZINC000628213220 1045547560 /nfs/dbraw/zinc/54/75/60/1045547560.db2.gz CERVYNDRHXIXAJ-SNVBAGLBSA-N 0 3 314.376 4.364 20 0 DIADHN Fc1ccc([C@@H]2CCCN(Cc3cnc(C4CC4)o3)CC2)cc1 ZINC000628234596 1045550794 /nfs/dbraw/zinc/55/07/94/1045550794.db2.gz GPUAZLSHBVWYHQ-CQSZACIVSA-N 0 3 314.404 4.461 20 0 DIADHN CC(C)COC[C@H](NC[C@@H]1CCC(F)(F)C1)c1ccco1 ZINC000450717022 1045552899 /nfs/dbraw/zinc/55/28/99/1045552899.db2.gz KRXPYJWBVIRTQN-KGLIPLIRSA-N 0 3 301.377 4.018 20 0 DIADHN Cc1ncc(CNCC(C)(C)c2ccc(C(F)(F)F)cc2)o1 ZINC000628247211 1045553270 /nfs/dbraw/zinc/55/32/70/1045553270.db2.gz RZEQEFGKXRMNQD-UHFFFAOYSA-N 0 3 312.335 4.069 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1cnc(Cl)s1 ZINC000750802145 1045555621 /nfs/dbraw/zinc/55/56/21/1045555621.db2.gz VTHQXPXJKNDHQM-CQSZACIVSA-N 0 3 306.862 4.312 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2ccccc2OC2CC2)C1 ZINC000450749079 1045557518 /nfs/dbraw/zinc/55/75/18/1045557518.db2.gz YCVYBJZTECEZKF-KXBFYZLASA-N 0 3 308.425 4.206 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2ccc(Cl)cc2Cl)C1(C)C ZINC001460116823 1045558791 /nfs/dbraw/zinc/55/87/91/1045558791.db2.gz BPPZSLOAIHHQHF-ZFWWWQNUSA-N 0 3 302.245 4.287 20 0 DIADHN Cc1ncc(CN2CCC[C@@H](c3cccc(C(F)(F)F)c3)C2)o1 ZINC000628271485 1045562304 /nfs/dbraw/zinc/56/23/04/1045562304.db2.gz CLJFPYQWAQYGGJ-CQSZACIVSA-N 0 3 324.346 4.381 20 0 DIADHN C[C@]1(Cc2cccc(F)c2)CCCN1Cc1cnc(C2CC2)o1 ZINC000628321432 1045567809 /nfs/dbraw/zinc/56/78/09/1045567809.db2.gz HAMFPVAXVPDQNF-LJQANCHMSA-N 0 3 314.404 4.288 20 0 DIADHN Cc1nc(C)c(CNCCCc2c(Cl)cccc2Cl)o1 ZINC000628326970 1045568722 /nfs/dbraw/zinc/56/87/22/1045568722.db2.gz CXCJOKHTERHOBZ-UHFFFAOYSA-N 0 3 313.228 4.321 20 0 DIADHN C[C@@]1(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)CCCS1 ZINC000628326556 1045569366 /nfs/dbraw/zinc/56/93/66/1045569366.db2.gz MHYXFANJAOIDDT-VJANTYMQSA-N 0 3 317.498 4.267 20 0 DIADHN CCCC1CCC(N(C)Cc2ccn(CC(F)(F)F)n2)CC1 ZINC000450836651 1045578760 /nfs/dbraw/zinc/57/87/60/1045578760.db2.gz KIQWRRSDXBXTAN-UHFFFAOYSA-N 0 3 317.399 4.236 20 0 DIADHN CCc1cc(CCCN2CC[C@H](C(F)(F)F)C(C)(C)C2)on1 ZINC000450841229 1045579225 /nfs/dbraw/zinc/57/92/25/1045579225.db2.gz KGDWNYCXDPPCBL-AWEZNQCLSA-N 0 3 318.383 4.080 20 0 DIADHN C[C@@H](O)CCCNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000450839603 1045579580 /nfs/dbraw/zinc/57/95/80/1045579580.db2.gz SZQDUBJWWXGKJH-SNVBAGLBSA-N 0 3 321.770 4.099 20 0 DIADHN Oc1ccc([C@@H]2CCN(Cc3coc(-c4ccccc4)n3)C2)cc1 ZINC000628481148 1045588147 /nfs/dbraw/zinc/58/81/47/1045588147.db2.gz QISXOSNNBLQVDZ-QGZVFWFLSA-N 0 3 320.392 4.037 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1coc(-c3ccccc3)n1)C2 ZINC000800285772 1045605993 /nfs/dbraw/zinc/60/59/93/1045605993.db2.gz ARFQNEWONFEXIH-QGZVFWFLSA-N 0 3 324.811 4.252 20 0 DIADHN FCCCCCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000451016849 1045610240 /nfs/dbraw/zinc/61/02/40/1045610240.db2.gz ILPLLWZYXRVOJK-HNNXBMFYSA-N 0 3 309.816 4.493 20 0 DIADHN CSc1ccccc1CN(C)C[C@H](O)c1ccccc1Cl ZINC000800383135 1045613313 /nfs/dbraw/zinc/61/33/13/1045613313.db2.gz NEHLFFZQPYFXDA-INIZCTEOSA-N 0 3 321.873 4.227 20 0 DIADHN CC[C@H](NC(=O)[C@H](C)N1CCCCCC1)c1ccc(Cl)cc1 ZINC000303523333 1045616392 /nfs/dbraw/zinc/61/63/92/1045616392.db2.gz FOSATTOUILZCOE-YOEHRIQHSA-N 0 3 322.880 4.172 20 0 DIADHN CCc1nnc(CN[C@H]2CCCC[C@@H]2C2CCCCC2)s1 ZINC000391837629 1045622423 /nfs/dbraw/zinc/62/24/23/1045622423.db2.gz KOOMXGWHZBPDIW-CABCVRRESA-N 0 3 307.507 4.329 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc(OC(C)C)cc2)oc1C ZINC000800478614 1045625525 /nfs/dbraw/zinc/62/55/25/1045625525.db2.gz MIJTWXBMKJJKME-KSSFIOAISA-N 0 3 317.429 4.068 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](NC(=O)OC(C)(C)C)C(C)C)oc1C ZINC000800478753 1045625639 /nfs/dbraw/zinc/62/56/39/1045625639.db2.gz QRVDKJCANRZKKY-DZGCQCFKSA-N 0 3 324.465 4.096 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2COC3(CCCCCC3)O2)oc1C ZINC000800488158 1045628373 /nfs/dbraw/zinc/62/83/73/1045628373.db2.gz OUERXBTWRFRRJV-ZBFHGGJFSA-N 0 3 307.434 4.013 20 0 DIADHN CC(=O)c1ccc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)cc1 ZINC000451146801 1045633766 /nfs/dbraw/zinc/63/37/66/1045633766.db2.gz MCJHAGKFKDFSSP-OZVIIMIRSA-N 0 3 313.363 4.441 20 0 DIADHN CC(=O)c1cccc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)c1 ZINC000451151755 1045636276 /nfs/dbraw/zinc/63/62/76/1045636276.db2.gz WIITWUBXHVTHRJ-HWWQOWPSSA-N 0 3 313.363 4.441 20 0 DIADHN COC[C@]1(C)CCN(Cc2nc3ccccc3c(C)c2Cl)C1 ZINC000451189668 1045643617 /nfs/dbraw/zinc/64/36/17/1045643617.db2.gz JIKRTABDXUBVCJ-GOSISDBHSA-N 0 3 318.848 4.055 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(c2cncc3ccccc32)CC1 ZINC000530366455 1045652200 /nfs/dbraw/zinc/65/22/00/1045652200.db2.gz IERSBNKLHIIRNS-KRWDZBQOSA-N 0 3 317.436 4.118 20 0 DIADHN CN(C[C@H]1CCCCC1(F)F)[C@@H](CO)c1ccc(Cl)cc1 ZINC000451338041 1045666825 /nfs/dbraw/zinc/66/68/25/1045666825.db2.gz YHEXHNFLXRDLCO-HIFRSBDPSA-N 0 3 317.807 4.131 20 0 DIADHN CN(C[C@@H]1CCCCC1(F)F)[C@@H](CO)c1ccc(Cl)cc1 ZINC000451338043 1045666923 /nfs/dbraw/zinc/66/69/23/1045666923.db2.gz YHEXHNFLXRDLCO-ZFWWWQNUSA-N 0 3 317.807 4.131 20 0 DIADHN Cc1sc([C@H](C)N[C@H](C)CCO)nc1-c1ccc(Cl)cc1 ZINC000779406899 1045672912 /nfs/dbraw/zinc/67/29/12/1045672912.db2.gz NFNMYJOIEFDCAZ-MNOVXSKESA-N 0 3 324.877 4.193 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2CCC3(CCCC3)O2)sc1C ZINC000530463628 1045673453 /nfs/dbraw/zinc/67/34/53/1045673453.db2.gz PAWAWBITIJEORE-CQSZACIVSA-N 0 3 308.491 4.076 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2cc(C)oc2C)o1)C(C)(C)C ZINC000451427299 1045681455 /nfs/dbraw/zinc/68/14/55/1045681455.db2.gz WUIQCGRBXBDRPI-CQSZACIVSA-N 0 3 305.422 4.251 20 0 DIADHN CC1(C)[C@H](NCc2nc(C3CCCCC3)cs2)[C@@H]2CCO[C@H]21 ZINC000451471265 1045688426 /nfs/dbraw/zinc/68/84/26/1045688426.db2.gz OWZXLVQIBVUQJE-IAOVAPTHSA-N 0 3 320.502 4.094 20 0 DIADHN CO[C@@H]1C[C@H](NCc2ccc(Cl)c(C)c2Cl)C1(C)C ZINC001461265852 1045694762 /nfs/dbraw/zinc/69/47/62/1045694762.db2.gz PEFNQNHHEUMXDU-QWHCGFSZSA-N 0 3 302.245 4.205 20 0 DIADHN FC(F)(F)c1cc(-c2ccc([C@@H]3CNCCO3)cc2)cs1 ZINC000629753888 1045709312 /nfs/dbraw/zinc/70/93/12/1045709312.db2.gz HORKLORSUWDJML-ZDUSSCGKSA-N 0 3 313.344 4.095 20 0 DIADHN c1cc(-c2nc(CN3C[C@H]4CCCC[C@@H]4C3)cs2)cs1 ZINC000530701674 1045715118 /nfs/dbraw/zinc/71/51/18/1045715118.db2.gz PXHVQJOVGFJAPX-CHWSQXEVSA-N 0 3 304.484 4.494 20 0 DIADHN COc1c(O)cccc1CN[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000475672330 1045727637 /nfs/dbraw/zinc/72/76/37/1045727637.db2.gz SCAZIZUHYZIMEO-KOMQPUFPSA-N 0 3 317.816 4.090 20 0 DIADHN Fc1c(-c2ccc([C@@H]3CNCCO3)cc2)cccc1C(F)F ZINC000630054549 1045733986 /nfs/dbraw/zinc/73/39/86/1045733986.db2.gz XSAUIOLCOVRLGB-HNNXBMFYSA-N 0 3 307.315 4.091 20 0 DIADHN CCS[C@@H](c1nc(-c2ccc(CN(C)C)cc2)no1)C(C)C ZINC000530871938 1045744993 /nfs/dbraw/zinc/74/49/93/1045744993.db2.gz SNXVCBSENCOWJW-OAHLLOKOSA-N 0 3 319.474 4.248 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc(-n3ccnc3)nc2)cc1 ZINC000753366615 1045748144 /nfs/dbraw/zinc/74/81/44/1045748144.db2.gz BBYJQOBXVCMZNY-INIZCTEOSA-N 0 3 320.440 4.071 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@]2(CCSC2)C1 ZINC000451984806 1045754494 /nfs/dbraw/zinc/75/44/94/1045754494.db2.gz WDCKJZBFYRGCTG-GUYCJALGSA-N 0 3 302.443 4.108 20 0 DIADHN c1c(CN2CCC[C@]3(CCSC3)C2)onc1-c1ccccc1 ZINC000451954914 1045755568 /nfs/dbraw/zinc/75/55/68/1045755568.db2.gz AMJGXFBZKCEGKB-SFHVURJKSA-N 0 3 314.454 4.061 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000452014888 1045759577 /nfs/dbraw/zinc/75/95/77/1045759577.db2.gz UQPSZPZTYYMULG-NSHDSACASA-N 0 3 305.809 4.153 20 0 DIADHN Clc1cccc(OCCCN2CCC[C@@H]2c2ccccn2)c1 ZINC000530962815 1045759910 /nfs/dbraw/zinc/75/99/10/1045759910.db2.gz AZSGCSXGGVGRMN-GOSISDBHSA-N 0 3 316.832 4.341 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1nnc(-c2ccccc2Cl)o1 ZINC000452016425 1045760989 /nfs/dbraw/zinc/76/09/89/1045760989.db2.gz WMEXEDTXODURPD-NSHDSACASA-N 0 3 305.809 4.153 20 0 DIADHN COc1ccc(-c2cnc(CN3[C@@H](C)CCC3(C)C)o2)cc1 ZINC000452001021 1045761736 /nfs/dbraw/zinc/76/17/36/1045761736.db2.gz CFLSWHRUQQXPRV-ZDUSSCGKSA-N 0 3 300.402 4.113 20 0 DIADHN CCc1cnc(CNCCc2cc(Cl)cc(Cl)c2)s1 ZINC000753548841 1045761945 /nfs/dbraw/zinc/76/19/45/1045761945.db2.gz BRZIIYTYDXLOCQ-UHFFFAOYSA-N 0 3 315.269 4.345 20 0 DIADHN CCc1cccc(CN[C@@H](c2cccs2)C2(CO)CCC2)c1 ZINC000452062980 1045766545 /nfs/dbraw/zinc/76/65/45/1045766545.db2.gz VGDDBYFAGUYQOH-SFHVURJKSA-N 0 3 315.482 4.304 20 0 DIADHN OCCC[C@@H]1CCN([C@@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000452118591 1045772575 /nfs/dbraw/zinc/77/25/75/1045772575.db2.gz JVSLBZWSEKJCRS-BDJLRTHQSA-N 0 3 314.256 4.075 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N1CC[C@H](CCCO)C1 ZINC000452129929 1045773981 /nfs/dbraw/zinc/77/39/81/1045773981.db2.gz NOBOUGOUWLOEMX-RYUDHWBXSA-N 0 3 302.245 4.149 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C(C)C)C1CC(C)(C)C1 ZINC000452104409 1045774901 /nfs/dbraw/zinc/77/49/01/1045774901.db2.gz MBLVWLZWQCIYSL-UHFFFAOYSA-N 0 3 302.462 4.141 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(OC)c(F)c2)cc1C ZINC000753614553 1045777700 /nfs/dbraw/zinc/77/77/00/1045777700.db2.gz UQXGHDRLERLNET-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](c2ccc(F)cc2)c2cccnc2)C1 ZINC000753661038 1045784238 /nfs/dbraw/zinc/78/42/38/1045784238.db2.gz CVCDVAGRMZASRP-KSZLIROESA-N 0 3 316.445 4.184 20 0 DIADHN CS[C@@H]1CC[C@@H](N[C@@H](c2ccc(F)cc2)c2cccnc2)C1 ZINC000753661039 1045784582 /nfs/dbraw/zinc/78/45/82/1045784582.db2.gz CVCDVAGRMZASRP-KURKYZTESA-N 0 3 316.445 4.184 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](c2ccccc2)c2ccc(F)cn2)C1 ZINC000753664780 1045786482 /nfs/dbraw/zinc/78/64/82/1045786482.db2.gz RCBVSRKZLRNWOS-JZXOWHBKSA-N 0 3 316.445 4.184 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2sc(C)nc2C)cc1C ZINC000753663894 1045787020 /nfs/dbraw/zinc/78/70/20/1045787020.db2.gz NQRSLLPNUSCRJI-GFCCVEGCSA-N 0 3 304.459 4.236 20 0 DIADHN C[C@H](NCCc1ccc(F)c(F)c1F)c1cccnc1Cl ZINC000753746603 1045799249 /nfs/dbraw/zinc/79/92/49/1045799249.db2.gz WIMMZCZZOAEMNL-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN C[C@H](NCCCNc1ccccc1F)c1cccnc1Cl ZINC000753772703 1045800332 /nfs/dbraw/zinc/80/03/32/1045800332.db2.gz ZPBFYLVGQKHEBG-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN CCC[C@@H](NC[C@@H]1CSCCS1)c1ncccc1Cl ZINC000925242807 1045806345 /nfs/dbraw/zinc/80/63/45/1045806345.db2.gz MEESYTSHRRVASP-DGCLKSJQSA-N 0 3 316.923 4.014 20 0 DIADHN COc1cncc(CN[C@H](c2ccc(F)cc2)C2CCC2)c1C ZINC000631066333 1045807553 /nfs/dbraw/zinc/80/75/53/1045807553.db2.gz BESQMMZRDGNMBM-IBGZPJMESA-N 0 3 314.404 4.169 20 0 DIADHN C[C@H](NCc1ccc(CC(F)(F)F)cc1)c1cccc(O)c1 ZINC000631063337 1045807903 /nfs/dbraw/zinc/80/79/03/1045807903.db2.gz CGQBSRCLAYZQEJ-LBPRGKRZSA-N 0 3 309.331 4.348 20 0 DIADHN COCCc1ncc(CN[C@@H](c2ccccc2C)C(C)C)s1 ZINC000631069722 1045808148 /nfs/dbraw/zinc/80/81/48/1045808148.db2.gz GSSKPOBJOFYWKN-GOSISDBHSA-N 0 3 318.486 4.127 20 0 DIADHN COc1cncc(CN[C@@H](C)c2cc3ccccc3s2)c1C ZINC000631067623 1045809347 /nfs/dbraw/zinc/80/93/47/1045809347.db2.gz PGYPXCUSLHJRBJ-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1csc2ccccc12 ZINC000925243175 1045813621 /nfs/dbraw/zinc/81/36/21/1045813621.db2.gz BFLBQJKWYIAQNK-WILYLXEWSA-N 0 3 309.434 4.210 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccc(C(F)F)nc1 ZINC000631103076 1045817163 /nfs/dbraw/zinc/81/71/63/1045817163.db2.gz YCTJICYPDNNZKW-SJCJKPOMSA-N 0 3 302.368 4.432 20 0 DIADHN Cc1ccc(CN[C@@H](C[C@H]2CCOC2)c2ccccc2)c(F)c1 ZINC000631107317 1045819838 /nfs/dbraw/zinc/81/98/38/1045819838.db2.gz WCKCYDWGFYVZGH-UZLBHIALSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1cc(C)c([C@@H](C)OC(=O)C2CCN(C3CC3)CC2)c(C)c1 ZINC000801875285 1045820895 /nfs/dbraw/zinc/82/08/95/1045820895.db2.gz REMUYPFQBLROON-MRXNPFEDSA-N 0 3 315.457 4.090 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccc(Cl)c(F)c1)c1ccccc1 ZINC000631660798 1045825646 /nfs/dbraw/zinc/82/56/46/1045825646.db2.gz GJULMWQMVVECNR-SJCJKPOMSA-N 0 3 307.796 4.253 20 0 DIADHN CC[C@](C)(NCc1cc(-c2cccnc2)n[nH]1)c1ccc(F)cc1 ZINC000631194847 1045832993 /nfs/dbraw/zinc/83/29/93/1045832993.db2.gz QITQMKKIRAPNPO-IBGZPJMESA-N 0 3 324.403 4.026 20 0 DIADHN Cc1scc(CNCc2ccc(-c3cnn(C)c3)s2)c1C ZINC000631205600 1045834791 /nfs/dbraw/zinc/83/47/91/1045834791.db2.gz DOFBPYOUDYWTRS-UHFFFAOYSA-N 0 3 317.483 4.117 20 0 DIADHN c1cnc2cc(CNCc3ccc(OCC4CC4)cc3)ccc2c1 ZINC000631207379 1045836856 /nfs/dbraw/zinc/83/68/56/1045836856.db2.gz PBQIKOPHNLWDNY-UHFFFAOYSA-N 0 3 318.420 4.313 20 0 DIADHN COCc1cnc(CN[C@](C)(Cc2ccccc2)C(C)C)s1 ZINC000631234100 1045838153 /nfs/dbraw/zinc/83/81/53/1045838153.db2.gz WHKNOTSZDJGCKN-GOSISDBHSA-N 0 3 318.486 4.037 20 0 DIADHN Cc1ncc(CN[C@@H](c2ccc(F)cc2)C2CCCCC2)cn1 ZINC000631227398 1045839367 /nfs/dbraw/zinc/83/93/67/1045839367.db2.gz JWXNIABHHCCXCE-LJQANCHMSA-N 0 3 313.420 4.335 20 0 DIADHN CO[C@@H](CN1CCC[C@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000801920485 1045842244 /nfs/dbraw/zinc/84/22/44/1045842244.db2.gz QPCNFBOXKPGQTQ-OALUTQOASA-N 0 3 317.379 4.489 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000754088705 1045846544 /nfs/dbraw/zinc/84/65/44/1045846544.db2.gz TTYQUMWHFSPWSM-JLTOFOAXSA-N 0 3 319.408 4.226 20 0 DIADHN CC(C)c1nnc(CN[C@H]2CCC[C@@H](c3ccccc3)C2)s1 ZINC000754101851 1045847445 /nfs/dbraw/zinc/84/74/45/1045847445.db2.gz MLMCNIIKRIAPKV-CVEARBPZSA-N 0 3 315.486 4.478 20 0 DIADHN c1cc2n(n1)CCC[C@H]2NCc1cc(-c2ccccc2)cs1 ZINC000631301024 1045848171 /nfs/dbraw/zinc/84/81/71/1045848171.db2.gz MWCXYRIJJOFIIF-QGZVFWFLSA-N 0 3 309.438 4.236 20 0 DIADHN c1sc(-c2ccccn2)nc1CN(CC1CC1)CC1CCC1 ZINC000475679113 1045848335 /nfs/dbraw/zinc/84/83/35/1045848335.db2.gz YCCICMGSEVVOEK-UHFFFAOYSA-N 0 3 313.470 4.217 20 0 DIADHN CC(C)(C)[C@H](NCC1(C)OCCCO1)c1cc2ccccc2o1 ZINC000754122077 1045851081 /nfs/dbraw/zinc/85/10/81/1045851081.db2.gz JCPFFBSBYOEYBL-QGZVFWFLSA-N 0 3 317.429 4.263 20 0 DIADHN CC(C)(C)c1noc(CNC(C2CCCC2)C2CCCC2)n1 ZINC000754144912 1045855370 /nfs/dbraw/zinc/85/53/70/1045855370.db2.gz SGSBVDDDIODNGX-UHFFFAOYSA-N 0 3 305.466 4.206 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ncccc21)c1ccc(OC(F)F)cc1 ZINC000631568774 1045870041 /nfs/dbraw/zinc/87/00/41/1045870041.db2.gz YLRCVAZCIJCNKD-YVEFUNNKSA-N 0 3 318.367 4.411 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2ncccc21)c1ccc(OC(F)F)cc1 ZINC000631568773 1045870422 /nfs/dbraw/zinc/87/04/22/1045870422.db2.gz YLRCVAZCIJCNKD-SJKOYZFVSA-N 0 3 318.367 4.411 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2N[C@@H]1CCCc2ncccc21 ZINC000631567133 1045871927 /nfs/dbraw/zinc/87/19/27/1045871927.db2.gz UUSOBBXTRYXDIZ-SJORKVTESA-N 0 3 314.429 4.425 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@H]1CCCc2ncccc21 ZINC000631576376 1045873955 /nfs/dbraw/zinc/87/39/55/1045873955.db2.gz LFYXUWZWOUJKNP-INIZCTEOSA-N 0 3 306.331 4.268 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@@H]1CCCc2ncccc21 ZINC000631576378 1045874320 /nfs/dbraw/zinc/87/43/20/1045874320.db2.gz LFYXUWZWOUJKNP-MRXNPFEDSA-N 0 3 306.331 4.268 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H]1CSCc2ccccc21 ZINC000631576546 1045874947 /nfs/dbraw/zinc/87/49/47/1045874947.db2.gz KIAXOGIQXCLDLD-RTBURBONSA-N 0 3 311.450 4.260 20 0 DIADHN CCC[C@H](NCc1ccccc1-n1ccnc1)c1cc(C)ccn1 ZINC000631571923 1045875596 /nfs/dbraw/zinc/87/55/96/1045875596.db2.gz HTBYDQGCZUHPCK-SFHVURJKSA-N 0 3 320.440 4.207 20 0 DIADHN CCC[C@@H](N[C@@H](CCCO)c1ccccc1)c1cc(C)ccn1 ZINC000631581566 1045878201 /nfs/dbraw/zinc/87/82/01/1045878201.db2.gz GQPFFZHHRORGIA-RBUKOAKNSA-N 0 3 312.457 4.335 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC000531647855 1045885671 /nfs/dbraw/zinc/88/56/71/1045885671.db2.gz YMXVTXYWSAUEDE-BBRMVZONSA-N 0 3 310.507 4.072 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cscc1Cl ZINC000631626095 1045889703 /nfs/dbraw/zinc/88/97/03/1045889703.db2.gz OASRPFNBXLKHDF-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN CC[C@@H](N[C@H](C)CC(F)(F)F)c1cccc(OC)c1OC ZINC000631619666 1045890337 /nfs/dbraw/zinc/89/03/37/1045890337.db2.gz WMESFVPKPHYBOA-ZYHUDNBSSA-N 0 3 305.340 4.085 20 0 DIADHN COc1ccccc1C[C@@H](NC/C(Cl)=C/Cl)C(C)C ZINC000754480989 1045892123 /nfs/dbraw/zinc/89/21/23/1045892123.db2.gz FUKRVSLUHYWJBI-RNQWEJQRSA-N 0 3 302.245 4.171 20 0 DIADHN CCNc1ccccc1CNCc1ccc(OC(F)F)cc1 ZINC000902034328 1045891866 /nfs/dbraw/zinc/89/18/66/1045891866.db2.gz KILGWYXXJQLLNZ-UHFFFAOYSA-N 0 3 306.356 4.010 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2N[C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000631632169 1045892217 /nfs/dbraw/zinc/89/22/17/1045892217.db2.gz MQXWQMLLPFCEOI-ZNZDAUKMSA-N 0 3 309.409 4.184 20 0 DIADHN CN1CCC[C@H](N[C@@H]2CCCc3ncccc32)c2ccccc21 ZINC000631634396 1045892848 /nfs/dbraw/zinc/89/28/48/1045892848.db2.gz VZFNPEUCGYYYOE-MOPGFXCFSA-N 0 3 307.441 4.020 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](C)CCc1c[nH]c2ccccc12 ZINC000631631207 1045893278 /nfs/dbraw/zinc/89/32/78/1045893278.db2.gz GMGRBEAFBXJXGN-CABCVRRESA-N 0 3 310.445 4.056 20 0 DIADHN CC(F)(F)c1ccc(CN[C@H]2CCCc3ncccc32)cc1 ZINC000631635272 1045895379 /nfs/dbraw/zinc/89/53/79/1045895379.db2.gz RZVDMIOGURCMSX-KRWDZBQOSA-N 0 3 302.368 4.361 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1cccnc1 ZINC000631654913 1045896994 /nfs/dbraw/zinc/89/69/94/1045896994.db2.gz KLRNGZOKRQJROJ-LQAWEQHXSA-N 0 3 320.795 4.055 20 0 DIADHN c1ccc(CC[C@H](N[C@H]2COC3(CCC3)C2)c2ccccn2)cc1 ZINC000631647947 1045898079 /nfs/dbraw/zinc/89/80/79/1045898079.db2.gz YAFIKLQXDRXWAC-QUCCMNQESA-N 0 3 322.452 4.057 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cc2ccccc2o1 ZINC000754582748 1045898302 /nfs/dbraw/zinc/89/83/02/1045898302.db2.gz JBTPJZAMMLYPMN-BLLLJJGKSA-N 0 3 315.800 4.470 20 0 DIADHN Cc1c([C@H](C)NCc2ccc3cccnc3c2)cnn1C(C)C ZINC000631682307 1045901978 /nfs/dbraw/zinc/90/19/78/1045901978.db2.gz FWFVJRNVGOVGPE-AWEZNQCLSA-N 0 3 308.429 4.171 20 0 DIADHN c1cncc([C@@H](NCc2ccc3cccnc3c2)C2CCC2)c1 ZINC000631681878 1045902074 /nfs/dbraw/zinc/90/20/74/1045902074.db2.gz BTOKUABJNBRXQP-FQEVSTJZSA-N 0 3 303.409 4.261 20 0 DIADHN Cc1scc(CN[C@H](C)c2cnn(C(C)(C)C)c2C)c1C ZINC000631681712 1045902146 /nfs/dbraw/zinc/90/21/46/1045902146.db2.gz FZWRQQDYGHRMOI-GFCCVEGCSA-N 0 3 305.491 4.476 20 0 DIADHN CC(C)COC[C@H](N[C@H](C)Cc1ccsc1)c1ccco1 ZINC000531705769 1045902577 /nfs/dbraw/zinc/90/25/77/1045902577.db2.gz PDJPMJKOBDFOPT-ZBFHGGJFSA-N 0 3 307.459 4.276 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc2cccnc2c1 ZINC000631681890 1045903100 /nfs/dbraw/zinc/90/31/00/1045903100.db2.gz CAEUJGHKKJMNJZ-KRWDZBQOSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2C)s1 ZINC000754660331 1045904850 /nfs/dbraw/zinc/90/48/50/1045904850.db2.gz UFJQXXPJSQUQHS-UONOGXRCSA-N 0 3 302.443 4.043 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccc(C)s2)cc1 ZINC000754659428 1045905028 /nfs/dbraw/zinc/90/50/28/1045905028.db2.gz OQCYOTVHXIDACH-UONOGXRCSA-N 0 3 316.470 4.297 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1c(Cl)cncc1Cl)CC2 ZINC001137609022 1045905433 /nfs/dbraw/zinc/90/54/33/1045905433.db2.gz CKUFMEGTTXPWTI-LLVKDONJSA-N 0 3 317.235 4.493 20 0 DIADHN CSc1ccc(NC(=O)CN[C@@H](C)c2ccc(C)s2)cc1 ZINC000754667892 1045907709 /nfs/dbraw/zinc/90/77/09/1045907709.db2.gz BXJDLZCGRHTVRC-LBPRGKRZSA-N 0 3 320.483 4.068 20 0 DIADHN Cc1ccc(CN[C@H](C)CC(=O)Nc2ccccc2C(C)C)o1 ZINC000631728326 1045909043 /nfs/dbraw/zinc/90/90/43/1045909043.db2.gz OIGVYZPLYYFLAS-CQSZACIVSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)s1 ZINC000754674930 1045909225 /nfs/dbraw/zinc/90/92/25/1045909225.db2.gz NAWBTVNEKNLNCS-YMILTQATSA-N 0 3 322.518 4.037 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)s1 ZINC000754674928 1045909357 /nfs/dbraw/zinc/90/93/57/1045909357.db2.gz NAWBTVNEKNLNCS-PJGLDBICSA-N 0 3 322.518 4.037 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(F)c(F)c2)s1 ZINC000754677891 1045909649 /nfs/dbraw/zinc/90/96/49/1045909649.db2.gz XPDCDZYEJZKESV-GHMZBOCLSA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)s1 ZINC000754674926 1045909815 /nfs/dbraw/zinc/90/98/15/1045909815.db2.gz NAWBTVNEKNLNCS-DZQJYWQESA-N 0 3 322.518 4.037 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](CO)c2ccccc2C)cc1 ZINC000531738188 1045910525 /nfs/dbraw/zinc/91/05/25/1045910525.db2.gz VOVJGPRCUJRIBH-KBXCAEBGSA-N 0 3 301.455 4.101 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1cccc2ncccc12 ZINC001137630512 1045910639 /nfs/dbraw/zinc/91/06/39/1045910639.db2.gz ATLHMDVSWFHNBR-HNNXBMFYSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(F)c(F)c2)s1 ZINC000754677895 1045910751 /nfs/dbraw/zinc/91/07/51/1045910751.db2.gz XPDCDZYEJZKESV-QWRGUYRKSA-N 0 3 324.396 4.013 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1cccc2c1CCOC2 ZINC000631729761 1045911109 /nfs/dbraw/zinc/91/11/09/1045911109.db2.gz JEMQGYAYJZEBJQ-OAHLLOKOSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1ccc(Cl)s1 ZINC000631729915 1045911901 /nfs/dbraw/zinc/91/19/01/1045911901.db2.gz KWKDGRQJYIMEBM-NSHDSACASA-N 0 3 307.846 4.325 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1nccc2ccccc21 ZINC000631732614 1045912816 /nfs/dbraw/zinc/91/28/16/1045912816.db2.gz MCDVOCZOIYATEC-OAHLLOKOSA-N 0 3 318.420 4.158 20 0 DIADHN Cc1ccsc1[C@@H](C)N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000631736231 1045912967 /nfs/dbraw/zinc/91/29/67/1045912967.db2.gz CIIXXNFOFLYFDN-GHMZBOCLSA-N 0 3 302.399 4.315 20 0 DIADHN c1cnc2cccc(CN3CCOC[C@@H]3C3CCCCC3)c2c1 ZINC001137631922 1045914406 /nfs/dbraw/zinc/91/44/06/1045914406.db2.gz IBFJCTXIBJJSDC-HXUWFJFHSA-N 0 3 310.441 4.016 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1C(F)(F)F)c1cccc(-c2ccncc2)c1 ZINC000631755109 1045917039 /nfs/dbraw/zinc/91/70/39/1045917039.db2.gz YKRFHAZTRYEMOQ-IUIKQTSFSA-N 0 3 306.331 4.350 20 0 DIADHN CCn1ccnc1[C@@H](C)NCC(C)(C)c1ccc(Cl)cc1 ZINC000453072915 1045918456 /nfs/dbraw/zinc/91/84/56/1045918456.db2.gz SARZQRYRFKSQLW-CYBMUJFWSA-N 0 3 305.853 4.185 20 0 DIADHN C[C@@H](NCC(=O)N(C)c1ccccc1)c1csc2ccccc21 ZINC000754745248 1045923284 /nfs/dbraw/zinc/92/32/84/1045923284.db2.gz VXZONAIBSIHECF-CQSZACIVSA-N 0 3 324.449 4.215 20 0 DIADHN C[C@@H](N[C@@H]1CCCn2nccc21)c1ccc(OC(C)(C)C)cc1 ZINC000631810611 1045924520 /nfs/dbraw/zinc/92/45/20/1045924520.db2.gz WVALJLGICNFMKG-RHSMWYFYSA-N 0 3 313.445 4.246 20 0 DIADHN COC1(C)CCN(Cc2ccc(SC(F)(F)F)cc2)CC1 ZINC000779598365 1045926233 /nfs/dbraw/zinc/92/62/33/1045926233.db2.gz GTRNOCWUFGLGCR-UHFFFAOYSA-N 0 3 319.392 4.299 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2CC[C@H](C)c3ccsc32)c1 ZINC000453078633 1045926478 /nfs/dbraw/zinc/92/64/78/1045926478.db2.gz RYHJDBJZOWGCAS-BLLLJJGKSA-N 0 3 317.454 4.494 20 0 DIADHN C[C@H](NCCSCc1cccc2ccccc21)c1ccncn1 ZINC000453081011 1045927240 /nfs/dbraw/zinc/92/72/40/1045927240.db2.gz DOOHYXSTNAHIGM-HNNXBMFYSA-N 0 3 323.465 4.214 20 0 DIADHN Cc1cccc(CN(Cc2ccccc2)C2CCOCC2)c1F ZINC001137677388 1045929185 /nfs/dbraw/zinc/92/91/85/1045929185.db2.gz PKPUERCDUIIYFQ-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN FC[C@@H]1CN(Cc2cc3ccccc3s2)C[C@H]1C(F)(F)F ZINC001137681285 1045932550 /nfs/dbraw/zinc/93/25/50/1045932550.db2.gz PBPPBSJNTZTYED-DGCLKSJQSA-N 0 3 317.351 4.481 20 0 DIADHN CN(Cc1cc2ccccc2s1)Cc1ccc2c(c1)OCO2 ZINC001137686470 1045932592 /nfs/dbraw/zinc/93/25/92/1045932592.db2.gz JZIKWXJURSWTQP-UHFFFAOYSA-N 0 3 311.406 4.262 20 0 DIADHN CC(C)C[C@@H](NC[C@]1(C)CC1(Cl)Cl)c1ccccn1 ZINC000828774474 1045933520 /nfs/dbraw/zinc/93/35/20/1045933520.db2.gz KGPALBSXIWGRBJ-KGLIPLIRSA-N 0 3 301.261 4.342 20 0 DIADHN CCC[C@@](C)(O)CN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453115807 1045943273 /nfs/dbraw/zinc/94/32/73/1045943273.db2.gz IYLDUXNJRMHYQS-DNVCBOLYSA-N 0 3 314.429 4.076 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1ccc(Oc2ccccc2)cn1 ZINC000453126216 1045945749 /nfs/dbraw/zinc/94/57/49/1045945749.db2.gz IAZKFLNHCHTUIQ-LSDHHAIUSA-N 0 3 314.454 4.420 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2ccc(F)cc2Cl)c2nccn21 ZINC000453120733 1045946938 /nfs/dbraw/zinc/94/69/38/1045946938.db2.gz NBJURWLGMUAUMF-XHDPSFHLSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@@H](NC[C@@]1(C)CCCS1)c1ncc(-c2ccccc2)n1C ZINC000453152081 1045951923 /nfs/dbraw/zinc/95/19/23/1045951923.db2.gz ZGIXNQHQLHYYGL-RDTXWAMCSA-N 0 3 315.486 4.023 20 0 DIADHN C[C@@H](NC[C@@H]1CCCS1)c1ccc(Oc2ccccc2)cn1 ZINC000453136233 1045955291 /nfs/dbraw/zinc/95/52/91/1045955291.db2.gz UJMZPDBKIFPTAA-PBHICJAKSA-N 0 3 314.454 4.420 20 0 DIADHN Cc1cccc2c1CCN(Cc1cccc(-c3cncnc3)c1)C2 ZINC001137763467 1045960485 /nfs/dbraw/zinc/96/04/85/1045960485.db2.gz QZPONVRHRFPXEQ-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN CCn1ccnc1[C@H](C)NCC1(c2ccc(F)cc2Cl)CC1 ZINC000453159673 1045961459 /nfs/dbraw/zinc/96/14/59/1045961459.db2.gz FEGUQSSPTGAPAE-LBPRGKRZSA-N 0 3 321.827 4.078 20 0 DIADHN C[C@H]1CCN(Cc2cc(Cl)nc3ccccc23)CCS1 ZINC000755197920 1045962264 /nfs/dbraw/zinc/96/22/64/1045962264.db2.gz AUUOVBDSNAWPPA-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@H](O)c1ccc(F)c(F)c1 ZINC000755193827 1045962397 /nfs/dbraw/zinc/96/23/97/1045962397.db2.gz GVBZFPPHKNCTIH-ATEOEAFZSA-N 0 3 317.379 4.034 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@@H](O)c1cc(F)ccc1F ZINC000755193980 1045962971 /nfs/dbraw/zinc/96/29/71/1045962971.db2.gz IKIGMXVWJALCKJ-LMICACPXSA-N 0 3 317.379 4.034 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1nc(-c2ccncc2)cs1 ZINC000755194802 1045963068 /nfs/dbraw/zinc/96/30/68/1045963068.db2.gz JPEQRMBCQLYNPX-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@H]2CCC(C)(C)c3ccccc32)c2nccn21 ZINC000453170287 1045965320 /nfs/dbraw/zinc/96/53/20/1045965320.db2.gz VCBPHRKQTJJMKW-VKJFTORMSA-N 0 3 309.457 4.334 20 0 DIADHN CNc1ccc(C)cc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000902290880 1045965503 /nfs/dbraw/zinc/96/55/03/1045965503.db2.gz NDTDGQLRLDRGAQ-MRXNPFEDSA-N 0 3 320.440 4.073 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1ccc(Oc2ccccc2)cn1 ZINC000453170441 1045966011 /nfs/dbraw/zinc/96/60/11/1045966011.db2.gz GNEUZUFTXDQFHQ-GKCIPKSASA-N 0 3 324.424 4.092 20 0 DIADHN CC[C@@H](N[C@H]1CCN(C(C)=O)c2ccccc21)c1ccsc1 ZINC000453181911 1045969374 /nfs/dbraw/zinc/96/93/74/1045969374.db2.gz QWJCJZGNPYSBKZ-SJORKVTESA-N 0 3 314.454 4.287 20 0 DIADHN CNc1ccccc1CN[C@@H](C)c1cccc(N2CCCC2)c1 ZINC000902308118 1045970792 /nfs/dbraw/zinc/97/07/92/1045970792.db2.gz VYYYMZAZRRJYTK-INIZCTEOSA-N 0 3 309.457 4.179 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)NCc1sccc1Cl ZINC000193195557 1045976627 /nfs/dbraw/zinc/97/66/27/1045976627.db2.gz SKOWCYHRRQLZQV-QMTHXVAHSA-N 0 3 313.825 4.142 20 0 DIADHN Fc1ccccc1CNc1nc2cccc(Br)c2[nH]1 ZINC001461755263 1045978599 /nfs/dbraw/zinc/97/85/99/1045978599.db2.gz ULBNOQBFQBKRHI-UHFFFAOYSA-N 0 3 320.165 4.077 20 0 DIADHN COC1(CN[C@@H](C)c2ccc(Oc3ccccc3)cn2)CCC1 ZINC000453199095 1045979414 /nfs/dbraw/zinc/97/94/14/1045979414.db2.gz ROHZEMFINUXFLJ-HNNXBMFYSA-N 0 3 312.413 4.094 20 0 DIADHN CC[C@H](NCc1cc(Br)ccc1C)c1ccncc1 ZINC000453220332 1045990014 /nfs/dbraw/zinc/99/00/14/1045990014.db2.gz MIQJFZUPMSQWSH-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@H]1C[C@@H](NC2(Cc3ccc(Cl)cc3)CCC2)c2nccn21 ZINC000453234937 1045999335 /nfs/dbraw/zinc/99/93/35/1045999335.db2.gz IWKUBXPRMVDLLX-XJKSGUPXSA-N 0 3 315.848 4.297 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(N(C)c3ccc(C)cc3)cc2)C1 ZINC001137898280 1046003553 /nfs/dbraw/zinc/00/35/53/1046003553.db2.gz NARFBXVUJDHLKH-KRWDZBQOSA-N 0 3 324.468 4.230 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Cl)c(Cl)c1)[C@H](O)C(F)F ZINC000453273782 1046023154 /nfs/dbraw/zinc/02/31/54/1046023154.db2.gz VBVCHQOQQOPSIS-MKCWBWRRSA-N 0 3 312.187 4.049 20 0 DIADHN COc1ccc(Br)c(CN2CC3CCC(CC3)C2)c1 ZINC001137910864 1046009288 /nfs/dbraw/zinc/00/92/88/1046009288.db2.gz SUMYHGVPMOMUOQ-UHFFFAOYSA-N 0 3 324.262 4.080 20 0 DIADHN C[C@@]1(CF)CCN(Cc2ccc(SC(F)(F)F)cc2)C1 ZINC001137953091 1046029532 /nfs/dbraw/zinc/02/95/32/1046029532.db2.gz LNEYCUPXZQAFGN-ZDUSSCGKSA-N 0 3 307.356 4.480 20 0 DIADHN CC[C@H](N[C@H](C)c1cc2cc(C)c(C)cc2o1)[C@@H](O)C(F)F ZINC000453276374 1046011014 /nfs/dbraw/zinc/01/10/14/1046011014.db2.gz YWCYNNIMPFBAJV-PVXIVEMSSA-N 0 3 311.372 4.105 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000453283496 1046014036 /nfs/dbraw/zinc/01/40/36/1046014036.db2.gz JUCWRDDZDZYRTI-MGPLVRAMSA-N 0 3 324.321 4.263 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OCC(F)(F)F)cc2)ccn1 ZINC000453288419 1046016703 /nfs/dbraw/zinc/01/67/03/1046016703.db2.gz LREJMWUAYHJWQI-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccnc(C(F)(F)F)c1)CCC2 ZINC000453292977 1046018139 /nfs/dbraw/zinc/01/81/39/1046018139.db2.gz UXLXQJWCPTZQPN-OAHLLOKOSA-N 0 3 324.321 4.407 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](CO)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000453293093 1046018169 /nfs/dbraw/zinc/01/81/69/1046018169.db2.gz VCVLIGBSAWAVMQ-AEJSXWLSSA-N 0 3 322.663 4.458 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3cnn(C)c3)s2)Cc2ccccc21 ZINC000802637067 1046021411 /nfs/dbraw/zinc/02/14/11/1046021411.db2.gz LFWCIGDQKSVOHK-CQSZACIVSA-N 0 3 323.465 4.268 20 0 DIADHN C[C@H](C1CC1)N(C(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000633843691 1046032658 /nfs/dbraw/zinc/03/26/58/1046032658.db2.gz LUYRQKYIMJMINM-MRXNPFEDSA-N 0 3 322.452 4.194 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnccc1C)CCCS2 ZINC000453321888 1046037907 /nfs/dbraw/zinc/03/79/07/1046037907.db2.gz HSESAJZYZWFJMY-QGZVFWFLSA-N 0 3 314.454 4.115 20 0 DIADHN CC[C@](C)(NCc1cnc([C@H]2CCCO2)s1)c1ccccc1 ZINC000756025505 1046039602 /nfs/dbraw/zinc/03/96/02/1046039602.db2.gz KBNQSJLTRREHOU-AEFFLSMTSA-N 0 3 316.470 4.410 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H](O)c3ccc(F)cc3)oc2c1 ZINC000756033081 1046040135 /nfs/dbraw/zinc/04/01/35/1046040135.db2.gz TZIFHCHXXSXNNO-SUMWQHHRSA-N 0 3 313.372 4.265 20 0 DIADHN COCC(COC)N[C@@H](C)c1ccc(SC2CCCC2)cc1 ZINC000453335130 1046041554 /nfs/dbraw/zinc/04/15/54/1046041554.db2.gz KDNDDJHVPCGIJD-AWEZNQCLSA-N 0 3 323.502 4.033 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H]3COC4(CCCC4)O3)oc2c1 ZINC000756043726 1046044510 /nfs/dbraw/zinc/04/45/10/1046044510.db2.gz UOEBRDXTMVWLTJ-HOCLYGCPSA-N 0 3 315.413 4.078 20 0 DIADHN C[C@H](c1cccc(C(F)(F)F)c1)N1CCC[C@@]2(CCOC2)C1 ZINC000453343969 1046046960 /nfs/dbraw/zinc/04/69/60/1046046960.db2.gz DPZTZEUZJHWUIC-CZUORRHYSA-N 0 3 313.363 4.269 20 0 DIADHN CC(C)(O)c1ccc(CNC2(c3ccc(Cl)cc3)CC2)cc1 ZINC000830254682 1046051024 /nfs/dbraw/zinc/05/10/24/1046051024.db2.gz XLGKTXOLVOZTEE-UHFFFAOYSA-N 0 3 315.844 4.346 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2NCc1ccc(Cl)nc1 ZINC000902754656 1046060081 /nfs/dbraw/zinc/06/00/81/1046060081.db2.gz FQKHEFAKLFABKI-SJKOYZFVSA-N 0 3 316.832 4.157 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCCc3c(F)cccc32)cc1 ZINC000830418978 1046068238 /nfs/dbraw/zinc/06/82/38/1046068238.db2.gz OHIATPNHZJLDHS-LJQANCHMSA-N 0 3 313.416 4.220 20 0 DIADHN CN1CCC[C@H](N[C@H]2CCCc3c(O)cccc32)c2ccccc21 ZINC000453373150 1046069676 /nfs/dbraw/zinc/06/96/76/1046069676.db2.gz OOQTUETUWQTQKJ-OALUTQOASA-N 0 3 322.452 4.331 20 0 DIADHN CC(=O)Oc1cccc(CN2CCC[C@@H]2c2cccc(F)c2)c1 ZINC001138043606 1046069718 /nfs/dbraw/zinc/06/97/18/1046069718.db2.gz ZEWCQPCLYHXFPJ-LJQANCHMSA-N 0 3 313.372 4.088 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1cccc(OC(C)=O)c1 ZINC001138045257 1046071487 /nfs/dbraw/zinc/07/14/87/1046071487.db2.gz JUGBAJAVFSXSDJ-UHFFFAOYSA-N 0 3 317.816 4.287 20 0 DIADHN CC[C@H](N[C@H](C)[C@H]1COc2ccccc2C1)c1nc(C)cs1 ZINC000902796680 1046072702 /nfs/dbraw/zinc/07/27/02/1046072702.db2.gz SYHRYCSMYYCRTR-BMFZPTHFSA-N 0 3 316.470 4.132 20 0 DIADHN COc1ccc(CN2CCC[C@H](C)[C@H](C)C2)c(Cl)c1OC ZINC001139114628 1046077443 /nfs/dbraw/zinc/07/74/43/1046077443.db2.gz KTPJNQLLCIYNHP-QWHCGFSZSA-N 0 3 311.853 4.225 20 0 DIADHN COc1ccccc1CN(C)Cc1ccc(O)c2ccccc12 ZINC001138060119 1046078749 /nfs/dbraw/zinc/07/87/49/1046078749.db2.gz QGMWRWPJKARLMT-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN Cc1cccc([C@@H](N[C@@H]2CCOC(C)(C)C2)c2ccccn2)c1 ZINC000634681276 1046084375 /nfs/dbraw/zinc/08/43/75/1046084375.db2.gz MDCVZDICNAZBPQ-IEBWSBKVSA-N 0 3 310.441 4.027 20 0 DIADHN C[C@H](NC[C@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000453420061 1046087811 /nfs/dbraw/zinc/08/78/11/1046087811.db2.gz LKGCOZKHMDTMIM-UWVGGRQHSA-N 0 3 322.396 4.018 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H]2c2ccccc2OC)c1 ZINC001138100499 1046089065 /nfs/dbraw/zinc/08/90/65/1046089065.db2.gz UCLMNUVPWRNBMC-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN COC(C)(C)[C@H]1CCCN1Cc1cc(F)ccc1C(F)(F)F ZINC001138092656 1046091173 /nfs/dbraw/zinc/09/11/73/1046091173.db2.gz RKNOSYNZZDISEY-CQSZACIVSA-N 0 3 319.342 4.234 20 0 DIADHN CCN(CCCOc1ccc(Cl)cc1)Cc1ccccn1 ZINC000532072365 1046093915 /nfs/dbraw/zinc/09/39/15/1046093915.db2.gz SYUKYRJBCVZCPR-UHFFFAOYSA-N 0 3 304.821 4.026 20 0 DIADHN FC1(F)CC(CCNCc2csc(-c3ccsc3)n2)C1 ZINC000453583788 1046094053 /nfs/dbraw/zinc/09/40/53/1046094053.db2.gz DFWJNUXRHJQEGY-UHFFFAOYSA-N 0 3 314.426 4.397 20 0 DIADHN CC(=O)Oc1ccc(CNC2(c3ccc(C)cc3)CCC2)cc1 ZINC000830821317 1046101807 /nfs/dbraw/zinc/10/18/07/1046101807.db2.gz TZVYFDJIZCVDCZ-UHFFFAOYSA-N 0 3 309.409 4.089 20 0 DIADHN CCC(C)(C)OCCNCc1cnc(-c2ccccc2F)s1 ZINC000453630728 1046102632 /nfs/dbraw/zinc/10/26/32/1046102632.db2.gz MOMCEVXGZMHWGV-UHFFFAOYSA-N 0 3 322.449 4.244 20 0 DIADHN c1csc(-c2n[nH]cc2CN2CCC[C@H]3CCCC[C@@H]32)c1 ZINC001138156209 1046109021 /nfs/dbraw/zinc/10/90/21/1046109021.db2.gz HWLADIDKIWQPIO-HIFRSBDPSA-N 0 3 301.459 4.293 20 0 DIADHN CC(C)C[C@H](NCCO[C@H]1CCCC[C@H]1C)c1ccccn1 ZINC000532193756 1046110115 /nfs/dbraw/zinc/11/01/15/1046110115.db2.gz RRKXDVPDBGRRGP-NEWSRXKRSA-N 0 3 304.478 4.354 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H](C)c2ccc(C)s2)c1 ZINC000532194262 1046110742 /nfs/dbraw/zinc/11/07/42/1046110742.db2.gz PRNCADNWONQRBB-CYBMUJFWSA-N 0 3 302.443 4.256 20 0 DIADHN CCc1ccc(CN[C@H]2CSCCc3ccccc32)s1 ZINC000532202991 1046112025 /nfs/dbraw/zinc/11/20/25/1046112025.db2.gz YFOJZMDNEUIYNS-KRWDZBQOSA-N 0 3 303.496 4.431 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1N[C@H](c1nccn1C)c1ccccc1 ZINC000532198810 1046112280 /nfs/dbraw/zinc/11/22/80/1046112280.db2.gz OHLWHQIDRXCYCZ-NJAFHUGGSA-N 0 3 311.473 4.314 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1cccc(F)c1)c1ccc(C)o1 ZINC000532248368 1046121818 /nfs/dbraw/zinc/12/18/18/1046121818.db2.gz ZYWGCCLDALZUNF-GUYCJALGSA-N 0 3 305.393 4.026 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCO[C@H]2CCCC[C@H]2C)n1 ZINC000532244021 1046126709 /nfs/dbraw/zinc/12/67/09/1046126709.db2.gz TVNUNEQNVLPZCO-MNEFBYGVSA-N 0 3 302.462 4.122 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc2c(c1)CCO2 ZINC000193892422 1046134236 /nfs/dbraw/zinc/13/42/36/1046134236.db2.gz SZVQHZPTLNSFIX-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN Cc1cccc(CN(Cc2ccccc2)C[C@H](O)c2ccco2)c1 ZINC000195736815 1046135423 /nfs/dbraw/zinc/13/54/23/1046135423.db2.gz MHAAVFQNTFGXKA-FQEVSTJZSA-N 0 3 321.420 4.324 20 0 DIADHN C[C@@H](NC[C@H]1CCCC1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903008397 1046139045 /nfs/dbraw/zinc/13/90/45/1046139045.db2.gz NYDQHTVKOBUJPK-NXEZZACHSA-N 0 3 308.294 4.186 20 0 DIADHN C[C@H](NC[C@H]1CCCC1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903008401 1046139494 /nfs/dbraw/zinc/13/94/94/1046139494.db2.gz NYDQHTVKOBUJPK-VHSXEESVSA-N 0 3 308.294 4.186 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1cccc2nccnc12 ZINC001138304800 1046142592 /nfs/dbraw/zinc/14/25/92/1046142592.db2.gz QGXHRBXSNQIMAI-LJQANCHMSA-N 0 3 321.399 4.496 20 0 DIADHN CC[C@@]12C[C@@H](CO1)N(Cc1c3ccccc3[nH]c1C(C)(C)C)C2 ZINC001138315194 1046149693 /nfs/dbraw/zinc/14/96/93/1046149693.db2.gz XPWZADJHDGRRMP-XOBRGWDASA-N 0 3 312.457 4.219 20 0 DIADHN Cc1ccccc1CN[C@H](c1ccccc1F)C1CCOCC1 ZINC000903057431 1046150393 /nfs/dbraw/zinc/15/03/93/1046150393.db2.gz HSXSELGJWAGXDH-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1cccc(CN[C@@H](c2ccccc2F)C2CCOCC2)c1 ZINC000903062715 1046152362 /nfs/dbraw/zinc/15/23/62/1046152362.db2.gz WFCCKEVBMDGZLF-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2F)C2CCOCC2)s1 ZINC000903072476 1046154647 /nfs/dbraw/zinc/15/46/47/1046154647.db2.gz JTCCNWVZAHIFIR-SFHVURJKSA-N 0 3 319.445 4.453 20 0 DIADHN CCc1cccc2c(CN3CCc4ccc(OC)cc4C3)c[nH]c21 ZINC001138360332 1046157335 /nfs/dbraw/zinc/15/73/35/1046157335.db2.gz SYQMMOAOMNVOIV-UHFFFAOYSA-N 0 3 320.436 4.297 20 0 DIADHN CC(=O)OCc1cccc([C@@H](C)NCc2ccc(Cl)s2)c1 ZINC000903079908 1046159339 /nfs/dbraw/zinc/15/93/39/1046159339.db2.gz DLORPVWIQRBGFC-LLVKDONJSA-N 0 3 323.845 4.315 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1CN[C@H]1CCCc2cn[nH]c21 ZINC000903079786 1046159663 /nfs/dbraw/zinc/15/96/63/1046159663.db2.gz KQTFJBMBZXRMOQ-LBPRGKRZSA-N 0 3 314.191 4.023 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)cc1)Cc1ncccc1[O-] ZINC001138394193 1046161779 /nfs/dbraw/zinc/16/17/79/1046161779.db2.gz JHEIUNXRYQNSCZ-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)cc1)Cc1ncccc1O ZINC001138394193 1046161786 /nfs/dbraw/zinc/16/17/86/1046161786.db2.gz JHEIUNXRYQNSCZ-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN COCC[C@@H](Nc1ccnc2cc(OC)ccc21)c1ccco1 ZINC000779930000 1046164628 /nfs/dbraw/zinc/16/46/28/1046164628.db2.gz JVORPZQSOONAIS-MRXNPFEDSA-N 0 3 312.369 4.026 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1ccc2nccnc2c1 ZINC000634957937 1046166800 /nfs/dbraw/zinc/16/68/00/1046166800.db2.gz BYAUWFYXGHFPBV-KRWDZBQOSA-N 0 3 321.424 4.269 20 0 DIADHN CCCCN(CC)Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138402187 1046167131 /nfs/dbraw/zinc/16/71/31/1046167131.db2.gz JHGSIDBXDOYTHO-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccnc1CN1CCCCCCC1 ZINC001138407227 1046168074 /nfs/dbraw/zinc/16/80/74/1046168074.db2.gz MKOTVUMBHJTDEX-UHFFFAOYSA-N 0 3 319.449 4.195 20 0 DIADHN CO[C@H](CN[C@H](c1ccccn1)C1CC1)c1ccccc1Cl ZINC000903126974 1046170025 /nfs/dbraw/zinc/17/00/25/1046170025.db2.gz VKTMEXRTKYFALP-MSOLQXFVSA-N 0 3 316.832 4.163 20 0 DIADHN Cc1c(CN2CCC3(CCOCC3)CC2)[nH]c2ccc(F)cc12 ZINC001138413964 1046172178 /nfs/dbraw/zinc/17/21/78/1046172178.db2.gz JJASPGXJQZIPCH-UHFFFAOYSA-N 0 3 316.420 4.008 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@H](Oc4ccccc4)C3)cc2c1 ZINC001138415803 1046172623 /nfs/dbraw/zinc/17/26/23/1046172623.db2.gz MSRXYTRYBYQTRR-IBGZPJMESA-N 0 3 306.409 4.130 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000903157370 1046178982 /nfs/dbraw/zinc/17/89/82/1046178982.db2.gz FESNLJFXUVLHPC-WFASDCNBSA-N 0 3 317.399 4.311 20 0 DIADHN CCOc1ccc(CN2CC[C@@H](C(=O)c3ccccc3)C2)c(C)c1 ZINC001138436358 1046179277 /nfs/dbraw/zinc/17/92/77/1046179277.db2.gz FCHVDDFMVLHKRZ-LJQANCHMSA-N 0 3 323.436 4.099 20 0 DIADHN c1ccc([C@H](NCC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)cc1 ZINC000903158654 1046180291 /nfs/dbraw/zinc/18/02/91/1046180291.db2.gz DCTHXYJNOHWBSI-IVZBYUQCSA-N 0 3 324.493 4.435 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1cc(OC)c(OC)cc1C ZINC001138439392 1046182726 /nfs/dbraw/zinc/18/27/26/1046182726.db2.gz IZEKHFQQPOWYLG-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN CC(C)c1cc(CN[C@@H]2CCc3cccc(Cl)c3C2)on1 ZINC000666090785 1046184052 /nfs/dbraw/zinc/18/40/52/1046184052.db2.gz JGZSQYPGSHVJSS-CYBMUJFWSA-N 0 3 304.821 4.099 20 0 DIADHN CCOc1ccc2ccccc2c1CN(C)C[C@H]1CCCCO1 ZINC001138444367 1046185153 /nfs/dbraw/zinc/18/51/53/1046185153.db2.gz PDCRVMIKASJXOH-QGZVFWFLSA-N 0 3 313.441 4.239 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@@H](C)N(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000475728585 1046185316 /nfs/dbraw/zinc/18/53/16/1046185316.db2.gz LGNJAWSFZMRBKH-BZUAXINKSA-N 0 3 311.429 4.135 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1C[C@H](C)C[C@H](C)[C@H]1C ZINC000475726562 1046186504 /nfs/dbraw/zinc/18/65/04/1046186504.db2.gz KTRPWMUCRGHDFX-OWCLPIDISA-N 0 3 302.462 4.115 20 0 DIADHN Cc1c2ccccc2sc1CN1CCN(C)c2ncccc2C1 ZINC001138460116 1046190225 /nfs/dbraw/zinc/19/02/25/1046190225.db2.gz BJCKIGJUOIUTIP-UHFFFAOYSA-N 0 3 323.465 4.057 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1ccccc1C(F)(F)F ZINC000903202390 1046190792 /nfs/dbraw/zinc/19/07/92/1046190792.db2.gz BRRIXWWOQPENPZ-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000475743010 1046191108 /nfs/dbraw/zinc/19/11/08/1046191108.db2.gz VYGASHMQLBDFTN-AGIUHOORSA-N 0 3 319.836 4.256 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1cccc2ccccc21 ZINC000903203472 1046194334 /nfs/dbraw/zinc/19/43/34/1046194334.db2.gz UDRQQDWAHJMSHX-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN COc1ccc(CN2CCC3(CCOC3(C)C)CC2)cc1Cl ZINC001138476888 1046194548 /nfs/dbraw/zinc/19/45/48/1046194548.db2.gz ZIOSFCRGUQYESH-UHFFFAOYSA-N 0 3 323.864 4.130 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001138480192 1046196013 /nfs/dbraw/zinc/19/60/13/1046196013.db2.gz PPIPIPVEBWVYCU-UHFFFAOYSA-N 0 3 315.791 4.360 20 0 DIADHN FC(F)(F)Cc1ccccc1CN[C@H](c1ccccn1)C1CC1 ZINC000903210000 1046196526 /nfs/dbraw/zinc/19/65/26/1046196526.db2.gz SNUNDVSCHTUNTL-KRWDZBQOSA-N 0 3 320.358 4.427 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccnc(Cl)c2)cc1C ZINC000903233175 1046201305 /nfs/dbraw/zinc/20/13/05/1046201305.db2.gz CBHJBGNKGMNOJL-OLZOCXBDSA-N 0 3 304.821 4.464 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001138502876 1046201516 /nfs/dbraw/zinc/20/15/16/1046201516.db2.gz JREIRZFFSXSNDQ-WOJBJXKFSA-N 0 3 323.484 4.170 20 0 DIADHN COc1ccc([C@@H](C)NCc2c[nH]c(=O)c3ccccc23)c(C)c1 ZINC000903236002 1046202113 /nfs/dbraw/zinc/20/21/13/1046202113.db2.gz RYTUHOJSIOTHAC-CQSZACIVSA-N 0 3 322.408 4.108 20 0 DIADHN O=c1[nH]cc(CN[C@H]2CCCc3cc(F)ccc32)c2ccccc12 ZINC000903235961 1046202246 /nfs/dbraw/zinc/20/22/46/1046202246.db2.gz QWLKCNDLHLPULD-IBGZPJMESA-N 0 3 322.383 4.247 20 0 DIADHN C[C@@H](N[C@H](C)c1ccnc(Cl)c1)c1ccc2c(c1)CCO2 ZINC000903238702 1046203972 /nfs/dbraw/zinc/20/39/72/1046203972.db2.gz STQJDBBJDRXVHT-VXGBXAGGSA-N 0 3 302.805 4.082 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H](C)c1ccc2c(c1)CCO2 ZINC000903238375 1046204026 /nfs/dbraw/zinc/20/40/26/1046204026.db2.gz ITHBFINMWAJLEF-VXGBXAGGSA-N 0 3 303.427 4.103 20 0 DIADHN C[C@H]1CC[C@H](NCc2cnn(CC3CCC3)c2)c2sccc21 ZINC000903239199 1046204195 /nfs/dbraw/zinc/20/41/95/1046204195.db2.gz ARIQHLCIYMEYBY-GUYCJALGSA-N 0 3 315.486 4.473 20 0 DIADHN COc1ccc2[nH]cc(CN3Cc4ccccc4[C@H](C)C3)c2c1 ZINC001138504114 1046204868 /nfs/dbraw/zinc/20/48/68/1046204868.db2.gz SWNUUBSUCPFNQW-CQSZACIVSA-N 0 3 306.409 4.296 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC(C)(C)C2CCOCC2)cs1 ZINC000903244490 1046205230 /nfs/dbraw/zinc/20/52/30/1046205230.db2.gz WQAINIXFRQMHBS-CYBMUJFWSA-N 0 3 310.507 4.370 20 0 DIADHN COc1ccc2[nH]cc(CN3CCC[C@@H]3c3ccc(C)nc3)c2c1 ZINC001138510333 1046206550 /nfs/dbraw/zinc/20/65/50/1046206550.db2.gz YCPZWPHTIVDSBP-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2[nH]cc(CN3CCC[C@H]3c3ccc(C)nc3)c2c1 ZINC001138510332 1046207210 /nfs/dbraw/zinc/20/72/10/1046207210.db2.gz YCPZWPHTIVDSBP-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN CCCc1ccc(CN(C)CCC(=O)c2ccccc2)s1 ZINC001138525873 1046212470 /nfs/dbraw/zinc/21/24/70/1046212470.db2.gz LJVMHDFBGMMQHS-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN CCCc1ccc(CN2CC[C@@H](Oc3ccncc3C)C2)s1 ZINC001138528704 1046212712 /nfs/dbraw/zinc/21/27/12/1046212712.db2.gz BJLUYPWLAKFUBA-OAHLLOKOSA-N 0 3 316.470 4.057 20 0 DIADHN CCOCC1CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC001138531555 1046214494 /nfs/dbraw/zinc/21/44/94/1046214494.db2.gz PQVNDUPKUQNWHP-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN CCCOC1CCN(Cc2cnc(-c3ccccc3)s2)CC1 ZINC001138530273 1046215203 /nfs/dbraw/zinc/21/52/03/1046215203.db2.gz KBXDMLNTFKPNLA-UHFFFAOYSA-N 0 3 316.470 4.201 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3c(c2)CC(C)(C)O3)cc1 ZINC000321223772 1046221619 /nfs/dbraw/zinc/22/16/19/1046221619.db2.gz BJZDPCWMCXCJAO-CQSZACIVSA-N 0 3 311.425 4.260 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCc1cc(F)cnc1Cl ZINC001168165181 1046224812 /nfs/dbraw/zinc/22/48/12/1046224812.db2.gz IAVHYGXSBWVLNO-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1cc(CN(CCOC(C)C)CCOC(C)C)c(C)s1 ZINC001138567431 1046228605 /nfs/dbraw/zinc/22/86/05/1046228605.db2.gz CCZMYAACBHOUPM-UHFFFAOYSA-N 0 3 313.507 4.017 20 0 DIADHN CCOC(=O)C1(C(C)C)CCN(Cc2cc(C)sc2C)CC1 ZINC001138569111 1046229129 /nfs/dbraw/zinc/22/91/29/1046229129.db2.gz AKFXZISQARYISI-UHFFFAOYSA-N 0 3 323.502 4.166 20 0 DIADHN Cc1cc(CN2CCC[C@H](Oc3cc(C)ccn3)C2)c(C)s1 ZINC001138569778 1046230961 /nfs/dbraw/zinc/23/09/61/1046230961.db2.gz OMMVFRZEGMOJAZ-KRWDZBQOSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1cc(CO)cc(C)c1CN[C@@H](C)c1ccc2ccccc2n1 ZINC000903308077 1046232448 /nfs/dbraw/zinc/23/24/48/1046232448.db2.gz MGWZCOCFEIDVNR-INIZCTEOSA-N 0 3 320.436 4.195 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001138580219 1046233448 /nfs/dbraw/zinc/23/34/48/1046233448.db2.gz PEICVYAGAPAVQZ-CQSZACIVSA-N 0 3 317.864 4.350 20 0 DIADHN CC(C)(C)c1n[nH]cc1CN1CC[C@H](c2cccc(Cl)c2)C1 ZINC001138580218 1046234531 /nfs/dbraw/zinc/23/45/31/1046234531.db2.gz PEICVYAGAPAVQZ-AWEZNQCLSA-N 0 3 317.864 4.350 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1)NCC(=O)c1cc(F)cc(F)c1 ZINC001168168965 1046237962 /nfs/dbraw/zinc/23/79/62/1046237962.db2.gz CIUVDTXDZBRBNB-NSHDSACASA-N 0 3 323.770 4.022 20 0 DIADHN C[C@]1(F)CCCCN(Cc2ccccc2N2CCCCC2)C1 ZINC001138605163 1046238589 /nfs/dbraw/zinc/23/85/89/1046238589.db2.gz MZAFKCKDUWLNCH-IBGZPJMESA-N 0 3 304.453 4.391 20 0 DIADHN Fc1ccc(C2=CC[C@H](N[C@@H](c3ncccn3)C3CC3)CC2)cc1 ZINC000903323755 1046239625 /nfs/dbraw/zinc/23/96/25/1046239625.db2.gz CWBGPGAFHZLXNY-RBUKOAKNSA-N 0 3 323.415 4.293 20 0 DIADHN FC(F)(F)C1CCN(Cc2ccccc2N2CCCC2)CC1 ZINC001138610894 1046239512 /nfs/dbraw/zinc/23/95/12/1046239512.db2.gz XIEXWWHNOWJJTH-UHFFFAOYSA-N 0 3 312.379 4.061 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1ccccc1N1CCCC1 ZINC001138613929 1046241138 /nfs/dbraw/zinc/24/11/38/1046241138.db2.gz OHWXOIYITAOLBI-UHFFFAOYSA-N 0 3 324.468 4.318 20 0 DIADHN C[C@H]1Cc2ccccc2CN1Cc1ccccc1N1CCCC1 ZINC001138613138 1046241526 /nfs/dbraw/zinc/24/15/26/1046241526.db2.gz HFDDPLGCKIRFTP-KRWDZBQOSA-N 0 3 306.453 4.234 20 0 DIADHN c1ccc([C@H]2CCCN2Cc2ccccc2N2CCCC2)nc1 ZINC001138612441 1046242442 /nfs/dbraw/zinc/24/24/42/1046242442.db2.gz SBHKIHLSHGKYCG-HXUWFJFHSA-N 0 3 307.441 4.019 20 0 DIADHN CC(C)c1ccc([C@H](NC2CC(CCO)C2)c2cccnc2)cc1 ZINC000903333562 1046246604 /nfs/dbraw/zinc/24/66/04/1046246604.db2.gz QAOVMFKBQWMQJN-LFNLJPBCSA-N 0 3 324.468 4.045 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc2c(c1)CCCO2 ZINC000903334713 1046247143 /nfs/dbraw/zinc/24/71/43/1046247143.db2.gz FNEGWIFDHPAMJK-VBKZILBWSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(-n2ccnc2)cc1 ZINC000903337009 1046249198 /nfs/dbraw/zinc/24/91/98/1046249198.db2.gz ATFOGDDZCBUYCD-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN CCCn1ncc(CN2CC[C@@H](c3ccccc3Cl)C2)c1C ZINC001138638791 1046253062 /nfs/dbraw/zinc/25/30/62/1046253062.db2.gz IDDUYMPGRPAQOL-OAHLLOKOSA-N 0 3 317.864 4.244 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc3c(c2)CCO3)ccc1F ZINC000897418138 1046253741 /nfs/dbraw/zinc/25/37/41/1046253741.db2.gz JYQYXZREMVTZOF-OLZOCXBDSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@H](C)c1cc(F)ccc1F ZINC000245684334 1046256502 /nfs/dbraw/zinc/25/65/02/1046256502.db2.gz NIBJCUHGYCUMSJ-FMTVUPSXSA-N 0 3 323.358 4.267 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@H](C)c1ccc(F)cc1F ZINC000245692142 1046256866 /nfs/dbraw/zinc/25/68/66/1046256866.db2.gz OZEKDVOEWAPPQQ-VFVRVIDISA-N 0 3 305.368 4.128 20 0 DIADHN Fc1ccc(-n2cc(CN3CC[C@H]4CCCC[C@H]4C3)cn2)cc1 ZINC001138652888 1046258110 /nfs/dbraw/zinc/25/81/10/1046258110.db2.gz KGLONVGTHKDKPJ-SJORKVTESA-N 0 3 313.420 4.024 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccc(C(F)(F)F)s2)cc1 ZINC000758307186 1046260591 /nfs/dbraw/zinc/26/05/91/1046260591.db2.gz JXPMAFIPZDECJW-SNVBAGLBSA-N 0 3 315.360 4.110 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(-n3ccnc3)cc2C)c(C)o1 ZINC000192181275 1046261339 /nfs/dbraw/zinc/26/13/39/1046261339.db2.gz IZWYCXZPIAQVFL-HNNXBMFYSA-N 0 3 309.413 4.241 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(F)ccc3Cl)C2)cc1 ZINC001138675487 1046262881 /nfs/dbraw/zinc/26/28/81/1046262881.db2.gz YHCHGVNUGDGJAC-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN CCOC[C@@]12CCC[C@@H]1CN(Cc1cc(F)ccc1Cl)C2 ZINC001138674121 1046263793 /nfs/dbraw/zinc/26/37/93/1046263793.db2.gz VSWAUEYVXOHXLB-PBHICJAKSA-N 0 3 311.828 4.118 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(CCO)cc2)C2CCCC2)o1 ZINC000903403935 1046268339 /nfs/dbraw/zinc/26/83/39/1046268339.db2.gz WDZRGWLQVZQQPA-HXUWFJFHSA-N 0 3 313.441 4.144 20 0 DIADHN CNc1ncccc1CN1CCC[C@@H]1c1ccccc1SC ZINC001138695548 1046272456 /nfs/dbraw/zinc/27/24/56/1046272456.db2.gz BIUXYHLBFMDTAC-MRXNPFEDSA-N 0 3 313.470 4.182 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)cc1C#N ZINC000321372956 1046274257 /nfs/dbraw/zinc/27/42/57/1046274257.db2.gz UVLIPIPDCALSNJ-IBGZPJMESA-N 0 3 312.388 4.193 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)CCCO3)cc1 ZINC001138712571 1046275006 /nfs/dbraw/zinc/27/50/06/1046275006.db2.gz LGKFSUUQLRLJCV-IBGZPJMESA-N 0 3 311.400 4.488 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC2(CCC(=O)CC2)CC1 ZINC001138721928 1046276161 /nfs/dbraw/zinc/27/61/61/1046276161.db2.gz LJOAAOFOEJAVTN-UHFFFAOYSA-N 0 3 305.849 4.374 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000903428379 1046276837 /nfs/dbraw/zinc/27/68/37/1046276837.db2.gz ODSBZVISAIMTAJ-BFUOFWGJSA-N 0 3 313.420 4.089 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)CCCO3)cn1 ZINC001138719085 1046277528 /nfs/dbraw/zinc/27/75/28/1046277528.db2.gz PPLVHIOTHQGYRG-IBGZPJMESA-N 0 3 308.425 4.052 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC(c2ccncc2)CC1 ZINC001138719705 1046277931 /nfs/dbraw/zinc/27/79/31/1046277931.db2.gz DZFORHFKWKCAAM-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@@H](N[C@H](c1ccncc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000903428263 1046278174 /nfs/dbraw/zinc/27/81/74/1046278174.db2.gz FKTBTDPKTHIAMB-BZNIZROVSA-N 0 3 303.356 4.216 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](c2ccncc2)C2CC2)s1 ZINC000903428172 1046278296 /nfs/dbraw/zinc/27/82/96/1046278296.db2.gz MWVCVLWTKGPLBU-BLLLJJGKSA-N 0 3 301.459 4.211 20 0 DIADHN CC(C)CC[C@@H](N)C(=O)Nc1ccccc1-c1cccs1 ZINC000637800233 1046278764 /nfs/dbraw/zinc/27/87/64/1046278764.db2.gz BNHPJHSFIKJJMG-CQSZACIVSA-N 0 3 302.443 4.117 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1cccc(OC(F)F)c1 ZINC000903429217 1046279388 /nfs/dbraw/zinc/27/93/88/1046279388.db2.gz SWQQZFRULQIOIA-YVEFUNNKSA-N 0 3 318.367 4.485 20 0 DIADHN C[C@@H](Cc1cccs1)NCc1ccsc1Br ZINC000309445325 1046281689 /nfs/dbraw/zinc/28/16/89/1046281689.db2.gz LDXVVQLIIFJKLW-VIFPVBQESA-N 0 3 316.289 4.293 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1ccnc(Br)c1 ZINC001138735567 1046284283 /nfs/dbraw/zinc/28/42/83/1046284283.db2.gz YMCPRNWZZZGRHP-HNNXBMFYSA-N 0 3 319.246 4.427 20 0 DIADHN COc1ccc(CN2CC3(C2)CCCC3)c2c(OC)cccc12 ZINC001138747846 1046285768 /nfs/dbraw/zinc/28/57/68/1046285768.db2.gz DMZSVPQNNPKHCL-UHFFFAOYSA-N 0 3 311.425 4.233 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1cnc(CCOC)s1 ZINC000637928137 1046285956 /nfs/dbraw/zinc/28/59/56/1046285956.db2.gz RQVBXJSSHFKERM-QGZVFWFLSA-N 0 3 318.486 4.305 20 0 DIADHN CCN([C@@H](C)c1cccc(OC)c1)[C@@H](C)c1cnc(C)nc1C ZINC000637925243 1046287709 /nfs/dbraw/zinc/28/77/09/1046287709.db2.gz ANMKUJLLECBSOO-GJZGRUSLSA-N 0 3 313.445 4.246 20 0 DIADHN CCCCc1ccc(CN2CC[C@H](Oc3ccncc3)C2)s1 ZINC001138754259 1046288076 /nfs/dbraw/zinc/28/80/76/1046288076.db2.gz DBICBGVTEOKBPR-INIZCTEOSA-N 0 3 316.470 4.139 20 0 DIADHN C[C@H](C[C@H](O)c1ccccc1)N[C@H](C)c1cccc(F)c1F ZINC000245962062 1046288557 /nfs/dbraw/zinc/28/85/57/1046288557.db2.gz YITUDYWVFIJUFQ-XNJGSVPQSA-N 0 3 305.368 4.128 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3ccc(C(F)F)nc3)C2)c1 ZINC000638030973 1046292322 /nfs/dbraw/zinc/29/23/22/1046292322.db2.gz DNEPBBVQHJWVRZ-CQSZACIVSA-N 0 3 306.331 4.148 20 0 DIADHN Fc1ncccc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001138783797 1046293505 /nfs/dbraw/zinc/29/35/05/1046293505.db2.gz XMAUUHUACFEZCK-UHFFFAOYSA-N 0 3 310.416 4.091 20 0 DIADHN CC[C@@H](N[C@@H](COC)c1ccccc1)c1ccc2c(c1)CCO2 ZINC000089667989 1046296985 /nfs/dbraw/zinc/29/69/85/1046296985.db2.gz AIIOWLXGDPCKOW-MOPGFXCFSA-N 0 3 311.425 4.050 20 0 DIADHN c1cc2cc(CN3CC[C@H](OCc4ccccc4)C3)ccc2o1 ZINC001138821842 1046302703 /nfs/dbraw/zinc/30/27/03/1046302703.db2.gz IOEAKPBBPGETPG-IBGZPJMESA-N 0 3 307.393 4.224 20 0 DIADHN OC1(Cc2ccccc2)CCN(Cc2ccc3occc3c2)CC1 ZINC001138822998 1046302957 /nfs/dbraw/zinc/30/29/57/1046302957.db2.gz XLZZGFKAVRIRIQ-UHFFFAOYSA-N 0 3 321.420 4.002 20 0 DIADHN Cc1cccc(O[C@@H]2CCCN(Cc3ccc4occc4c3)C2)n1 ZINC001138826040 1046305890 /nfs/dbraw/zinc/30/58/90/1046305890.db2.gz NBIKOWMNOIWCQB-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccc2cc(CN3C[C@@H]4CCC[C@H]4C3)c(Cl)nc2c1 ZINC001138858454 1046310211 /nfs/dbraw/zinc/31/02/11/1046310211.db2.gz HDUIYUBIAWDSIW-GJZGRUSLSA-N 0 3 300.833 4.429 20 0 DIADHN CC(C)[C@@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)c1ccccc1 ZINC000638314921 1046311951 /nfs/dbraw/zinc/31/19/51/1046311951.db2.gz ABRAKPQONMMJBV-QZTJIDSGSA-N 0 3 312.461 4.413 20 0 DIADHN CC(C)[C@@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)c1ccccc1 ZINC000638314921 1046311963 /nfs/dbraw/zinc/31/19/63/1046311963.db2.gz ABRAKPQONMMJBV-QZTJIDSGSA-N 0 3 312.461 4.413 20 0 DIADHN CC[C@H](N[C@H](c1nc[nH]n1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293852 1046312959 /nfs/dbraw/zinc/31/29/59/1046312959.db2.gz LZLQNWHQLLWWCH-ROUUACIJSA-N 0 3 312.461 4.475 20 0 DIADHN CC[C@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)c1ccc(C)cc1 ZINC000638293852 1046312976 /nfs/dbraw/zinc/31/29/76/1046312976.db2.gz LZLQNWHQLLWWCH-ROUUACIJSA-N 0 3 312.461 4.475 20 0 DIADHN CN(Cc1cnc2ccccc2n1)Cc1cccc2ccccc21 ZINC001138866037 1046314923 /nfs/dbraw/zinc/31/49/23/1046314923.db2.gz JQJASDXDRCNISO-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN CN(Cc1ccsc1)C[C@H](O)c1ccc(Cl)cc1Cl ZINC000759062797 1046315181 /nfs/dbraw/zinc/31/51/81/1046315181.db2.gz AIOPJGVPCPWMKS-AWEZNQCLSA-N 0 3 316.253 4.220 20 0 DIADHN CN(Cc1cccs1)C[C@H](O)c1ccc(Cl)cc1Cl ZINC000759063184 1046315252 /nfs/dbraw/zinc/31/52/52/1046315252.db2.gz CZXADLYALWKDFG-AWEZNQCLSA-N 0 3 316.253 4.220 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](c2nnc[nH]2)C2CCCCC2)c(C)s1 ZINC000638336630 1046315338 /nfs/dbraw/zinc/31/53/38/1046315338.db2.gz WRVTTWDVXNZMSJ-WBMJQRKESA-N 0 3 318.490 4.455 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](c2nnc[nH]2)C2CCCCC2)c(C)s1 ZINC000638336620 1046315588 /nfs/dbraw/zinc/31/55/88/1046315588.db2.gz WRVTTWDVXNZMSJ-BLLLJJGKSA-N 0 3 318.490 4.455 20 0 DIADHN Cc1ccc([C@@H](N[C@@H](c2nc[nH]n2)C2CCCCC2)C2CC2)cc1 ZINC000638347084 1046316259 /nfs/dbraw/zinc/31/62/59/1046316259.db2.gz OHWJGKVGBOSQOY-RTBURBONSA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccc([C@@H]([NH2+][C@@H](c2nnc[n-]2)C2CCCCC2)C2CC2)cc1 ZINC000638347084 1046316270 /nfs/dbraw/zinc/31/62/70/1046316270.db2.gz OHWJGKVGBOSQOY-RTBURBONSA-N 0 3 324.472 4.475 20 0 DIADHN Cn1ccnc1[C@@H](N[C@@H](c1ccccc1)C1CCCC1)C1CC1 ZINC000638429351 1046321784 /nfs/dbraw/zinc/32/17/84/1046321784.db2.gz JNLJOUPAWSZDJI-OALUTQOASA-N 0 3 309.457 4.392 20 0 DIADHN c1sc(-c2ccccn2)nc1CNCCC1CCCCC1 ZINC000037740038 1046321927 /nfs/dbraw/zinc/32/19/27/1046321927.db2.gz JCFCPKFCCRIWLT-UHFFFAOYSA-N 0 3 301.459 4.265 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)NCc1cc(C2CC2)no1 ZINC000638429491 1046322905 /nfs/dbraw/zinc/32/29/05/1046322905.db2.gz GSFIILUOKKCFSY-LLVKDONJSA-N 0 3 324.346 4.292 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccccc1OC(F)F ZINC001138912869 1046327868 /nfs/dbraw/zinc/32/78/68/1046327868.db2.gz WZRMIWYXKMQGGG-QPJJXVBHSA-N 0 3 318.367 4.218 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](c2cccc(C)c2)c2ccccn2)CCO1 ZINC000648490186 1046331046 /nfs/dbraw/zinc/33/10/46/1046331046.db2.gz FLLRRYSUKGOERF-NSHGMRRFSA-N 0 3 310.441 4.027 20 0 DIADHN C[C@@H]1CCN(Cc2c3cc[nH]c3ncc2Cl)CCC1(F)F ZINC001138947007 1046334268 /nfs/dbraw/zinc/33/42/68/1046334268.db2.gz KRCAJJFGVHOVMD-SNVBAGLBSA-N 0 3 313.779 4.035 20 0 DIADHN CC(C)(N[C@@H](c1nnc[nH]1)C1CCCCC1)c1cccc(F)c1 ZINC000638629042 1046334326 /nfs/dbraw/zinc/33/43/26/1046334326.db2.gz MUXPQWUNNVHUHH-MRXNPFEDSA-N 0 3 316.424 4.090 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@@H](O)c1ccccc1Cl ZINC000090077205 1046336100 /nfs/dbraw/zinc/33/61/00/1046336100.db2.gz IIZFKOYSXNGTIJ-SUMWQHHRSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1cc2ccccc2o1 ZINC000090078594 1046336219 /nfs/dbraw/zinc/33/62/19/1046336219.db2.gz SZQUGMHFMDVPMP-IAQYHMDHSA-N 0 3 317.335 4.095 20 0 DIADHN C[C@H](NC[C@H](O)c1c(Cl)cccc1Cl)c1ccsc1 ZINC000090079285 1046336546 /nfs/dbraw/zinc/33/65/46/1046336546.db2.gz QBYPISSIOSDGLM-ZANVPECISA-N 0 3 316.253 4.439 20 0 DIADHN c1cn2c(n1)[C@@H](NCCc1ccc(-c3ccccc3)cc1)CCC2 ZINC000655733428 1046337812 /nfs/dbraw/zinc/33/78/12/1046337812.db2.gz NDQLWTHQIYWSTJ-FQEVSTJZSA-N 0 3 317.436 4.217 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c3cc[nH]c3ncc1Cl)CC2 ZINC001138952857 1046338533 /nfs/dbraw/zinc/33/85/33/1046338533.db2.gz XIXHMOWHUVWWOG-LBPRGKRZSA-N 0 3 321.827 4.272 20 0 DIADHN Cc1cc([C@H](NCc2cccc(F)c2N)C2CC2)ccc1F ZINC000638802733 1046342443 /nfs/dbraw/zinc/34/24/43/1046342443.db2.gz CBKUYEXBVZWUMN-GOSISDBHSA-N 0 3 302.368 4.096 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](c1nccn1C)C1CC1 ZINC000638787667 1046343106 /nfs/dbraw/zinc/34/31/06/1046343106.db2.gz JLTWISBCRJXOQR-NVXWUHKLSA-N 0 3 315.486 4.032 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](c1nccn1C)C1CC1 ZINC000638787668 1046343501 /nfs/dbraw/zinc/34/35/01/1046343501.db2.gz JLTWISBCRJXOQR-RDJZCZTQSA-N 0 3 315.486 4.032 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc(C)c2)cc1OCC ZINC001138970082 1046344653 /nfs/dbraw/zinc/34/46/53/1046344653.db2.gz GFMBWKGTLZOVRQ-UHFFFAOYSA-N 0 3 313.441 4.424 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(c2cccc(F)c2)CC1 ZINC000759343138 1046345458 /nfs/dbraw/zinc/34/54/58/1046345458.db2.gz AZWWZUPYJCAQKR-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN c1nnc([C@H](Nc2cccc3ccncc32)C2CCCCC2)[nH]1 ZINC000638887121 1046349021 /nfs/dbraw/zinc/34/90/21/1046349021.db2.gz TYDPLGMBTCIVNQ-QGZVFWFLSA-N 0 3 307.401 4.086 20 0 DIADHN CCCOc1ccccc1CN1CCC(OC2CCC2)CC1 ZINC001138995559 1046351505 /nfs/dbraw/zinc/35/15/05/1046351505.db2.gz OQORPOMMINNYLF-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1nc[nH]n1)C1CCCCC1)[C@@H](C)C2 ZINC000638960533 1046351846 /nfs/dbraw/zinc/35/18/46/1046351846.db2.gz HXFOHAGSVQBBQM-KYNGSXCRSA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)[C@@H](C)C2 ZINC000638960533 1046351862 /nfs/dbraw/zinc/35/18/62/1046351862.db2.gz HXFOHAGSVQBBQM-KYNGSXCRSA-N 0 3 324.472 4.258 20 0 DIADHN CCC1(CC)CN(Cc2ccc(C(F)F)nc2)CCS1 ZINC000639053712 1046353547 /nfs/dbraw/zinc/35/35/47/1046353547.db2.gz QPTOVQDWFMNJTQ-UHFFFAOYSA-N 0 3 300.418 4.127 20 0 DIADHN CCCOc1ccccc1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001138998688 1046353651 /nfs/dbraw/zinc/35/36/51/1046353651.db2.gz NMLSFSYZZQLBMC-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1N[C@H](c1nccn1C)C1CC1 ZINC000639052814 1046354185 /nfs/dbraw/zinc/35/41/85/1046354185.db2.gz ZDMOQYDUJDJIPR-ZMYBRWDISA-N 0 3 309.457 4.175 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCCC[C@@H]1CCOC ZINC001139009388 1046355714 /nfs/dbraw/zinc/35/57/14/1046355714.db2.gz LGLLZBRNVRSWCV-MRXNPFEDSA-N 0 3 311.853 4.130 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CCC[C@@H]1C(C)(C)OC ZINC001139009895 1046356260 /nfs/dbraw/zinc/35/62/60/1046356260.db2.gz WSZSXVSGERRCHP-MRXNPFEDSA-N 0 3 311.853 4.128 20 0 DIADHN CC1=C(C)C[C@H]([C@@H](C)N[C@@H](c2nc[nH]n2)c2ccccc2)CC1 ZINC000639062623 1046356333 /nfs/dbraw/zinc/35/63/33/1046356333.db2.gz RNFAQEQCDDBULP-KBAYOESNSA-N 0 3 310.445 4.009 20 0 DIADHN CC1=C(C)C[C@H]([C@@H](C)[NH2+][C@@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000639062623 1046356347 /nfs/dbraw/zinc/35/63/47/1046356347.db2.gz RNFAQEQCDDBULP-KBAYOESNSA-N 0 3 310.445 4.009 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3cccs3)s2)C[C@H](C)N1C ZINC001139023240 1046362619 /nfs/dbraw/zinc/36/26/19/1046362619.db2.gz RYLJBEJQFGBCRB-BETUJISGSA-N 0 3 306.500 4.001 20 0 DIADHN C[C@@H](NCc1ccncc1OCCC(C)(C)C)[C@@H]1CC1(F)F ZINC000639184221 1046367944 /nfs/dbraw/zinc/36/79/44/1046367944.db2.gz LOSGODKPRLAELY-OCCSQVGLSA-N 0 3 312.404 4.030 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)[C@@H](C)c1cnc(C)nc1C ZINC000639186758 1046369167 /nfs/dbraw/zinc/36/91/67/1046369167.db2.gz FSKYGLNSTMUCJV-LIRRHRJNSA-N 0 3 313.445 4.246 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1CC1(F)F)c1ccc(Br)cc1F ZINC000639189714 1046369826 /nfs/dbraw/zinc/36/98/26/1046369826.db2.gz VKBYRRGFKOENDQ-LAEOZQHASA-N 0 3 322.168 4.283 20 0 DIADHN C[C@H]1CN(Cc2ccccc2Oc2ccccc2)CC[C@]1(C)O ZINC001139052476 1046370982 /nfs/dbraw/zinc/37/09/82/1046370982.db2.gz AWOMQCAFFOZZRW-JXFKEZNVSA-N 0 3 311.425 4.072 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2nc(C3CC3)cs2)cc1 ZINC000090459089 1046372462 /nfs/dbraw/zinc/37/24/62/1046372462.db2.gz PBTJHRSVMWAOBN-CYBMUJFWSA-N 0 3 316.470 4.140 20 0 DIADHN FC[C@@H]1CN(Cc2cc3ccccc3o2)C[C@H]1C(F)(F)F ZINC001139061714 1046374145 /nfs/dbraw/zinc/37/41/45/1046374145.db2.gz OHBAMTIZOHCUQF-DGCLKSJQSA-N 0 3 301.283 4.013 20 0 DIADHN FC[C@]1(C(F)(F)F)CCN(Cc2cc3ccccc3o2)C1 ZINC001139062471 1046374239 /nfs/dbraw/zinc/37/42/39/1046374239.db2.gz XRGPZVGSPHFYBT-CQSZACIVSA-N 0 3 301.283 4.157 20 0 DIADHN FC(F)(F)Cc1ccccc1CN1CC[C@]2(CC2(F)F)C1 ZINC000639298418 1046380175 /nfs/dbraw/zinc/38/01/75/1046380175.db2.gz ZQSGJSNQNRHWOY-ZDUSSCGKSA-N 0 3 305.290 4.023 20 0 DIADHN CN(Cc1ccc(F)cc1)Cc1ccc(Oc2ncccn2)cc1 ZINC001139075219 1046381979 /nfs/dbraw/zinc/38/19/79/1046381979.db2.gz BZVRRMLZCOLEGL-UHFFFAOYSA-N 0 3 323.371 4.040 20 0 DIADHN CSc1ccc(CN2CC[C@@H](O)C[C@@H]2c2ccccc2)cc1 ZINC000639366402 1046384031 /nfs/dbraw/zinc/38/40/31/1046384031.db2.gz KJXBFWHQSDAGIQ-IEBWSBKVSA-N 0 3 313.466 4.107 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(Oc3ncccn3)cc2)cc1 ZINC001139080581 1046384497 /nfs/dbraw/zinc/38/44/97/1046384497.db2.gz IVRUYMGINDFJTA-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN O[C@@H]1CCN(Cc2ccc3occc3c2)[C@H](c2ccccc2)C1 ZINC000639365376 1046385568 /nfs/dbraw/zinc/38/55/68/1046385568.db2.gz COGWEFLQLKYLKG-MOPGFXCFSA-N 0 3 307.393 4.131 20 0 DIADHN O[C@@H]1CCN(Cc2cc3ccccc3o2)[C@@H](c2ccccc2)C1 ZINC000639367960 1046386342 /nfs/dbraw/zinc/38/63/42/1046386342.db2.gz VLOADEQGBIZDEF-IEBWSBKVSA-N 0 3 307.393 4.131 20 0 DIADHN c1nc(C2CC2)c(CN2CCC3(CCSCC3)CC2)s1 ZINC000639375169 1046388024 /nfs/dbraw/zinc/38/80/24/1046388024.db2.gz QUURAEBNZCEKSZ-UHFFFAOYSA-N 0 3 308.516 4.130 20 0 DIADHN Brc1ccc2oc(CN[C@@]34C[C@@H]3CCCC4)nc2c1 ZINC000639378728 1046388143 /nfs/dbraw/zinc/38/81/43/1046388143.db2.gz CNTZKIYXKQJNRE-BONVTDFDSA-N 0 3 321.218 4.013 20 0 DIADHN CCn1ccc2ccc(CN(C)Cc3ccc4c(c3)OCO4)cc21 ZINC001139124964 1046391920 /nfs/dbraw/zinc/39/19/20/1046391920.db2.gz XVHZFVUHUDWHOZ-UHFFFAOYSA-N 0 3 322.408 4.022 20 0 DIADHN COc1cc(O)ccc1CN(C)Cc1cccc2ccccc21 ZINC001139140955 1046392395 /nfs/dbraw/zinc/39/23/95/1046392395.db2.gz VJSZRFILFMRETJ-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@H]3c3ccccn3)cc21 ZINC001139123475 1046393334 /nfs/dbraw/zinc/39/33/34/1046393334.db2.gz WXKFNPBZDFINMS-IBGZPJMESA-N 0 3 305.425 4.393 20 0 DIADHN CC1CCC(N[C@@H](CO)c2ccc(Cl)cc2Cl)CC1 ZINC001168198895 1046396265 /nfs/dbraw/zinc/39/62/65/1046396265.db2.gz ACVGBNLUKABLDB-QHAMSDLMSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1ccc(NC2CCN(CCC(F)(F)F)CC2)cc1Cl ZINC000780738699 1046402042 /nfs/dbraw/zinc/40/20/42/1046402042.db2.gz XZIUSZUFEKYZMR-UHFFFAOYSA-N 0 3 320.786 4.477 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N(C)Cc1ccnc(Cl)c1 ZINC000748802178 1046402178 /nfs/dbraw/zinc/40/21/78/1046402178.db2.gz GLGSFPAMHBHCTL-KRWDZBQOSA-N 0 3 316.832 4.253 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(F)cccc1F)c1cccc(Cl)c1 ZINC000037998375 1046403428 /nfs/dbraw/zinc/40/34/28/1046403428.db2.gz YOHKAZVIJQTTGI-ZUZCIYMTSA-N 0 3 311.759 4.002 20 0 DIADHN CCCCN(Cc1cc[nH]c(=O)c1)Cc1ccccc1Cl ZINC000639471734 1046404508 /nfs/dbraw/zinc/40/45/08/1046404508.db2.gz ZIWDDMKXTZJCAJ-UHFFFAOYSA-N 0 3 304.821 4.243 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CCC[C@H]2C2CC2)o1 ZINC000639475065 1046405580 /nfs/dbraw/zinc/40/55/80/1046405580.db2.gz SUGRXQMJMHWDAY-SFHVURJKSA-N 0 3 319.408 4.264 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC(C(F)F)CC1 ZINC000639518434 1046408260 /nfs/dbraw/zinc/40/82/60/1046408260.db2.gz HPCCOPBHUDHRMW-UHFFFAOYSA-N 0 3 306.356 4.127 20 0 DIADHN CSc1ccc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)cc1 ZINC000246695568 1046409373 /nfs/dbraw/zinc/40/93/73/1046409373.db2.gz ROSUNAFQVRQYIG-DOTOQJQBSA-N 0 3 317.454 4.090 20 0 DIADHN c1cc(CN2CCC3(CCCCC3)CC2)cc2nsnc12 ZINC001139207747 1046412535 /nfs/dbraw/zinc/41/25/35/1046412535.db2.gz GDVPKQIWBYNOLD-UHFFFAOYSA-N 0 3 301.459 4.238 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2ccc3nsnc3c2)CC1 ZINC001139214577 1046415399 /nfs/dbraw/zinc/41/53/99/1046415399.db2.gz TYOQKUWFUXOJFC-UHFFFAOYSA-N 0 3 323.465 4.245 20 0 DIADHN Cc1cccc(CN(Cc2ccc3nonc3c2)C2CC2)c1C ZINC001139223494 1046416045 /nfs/dbraw/zinc/41/60/45/1046416045.db2.gz RMBOMVPAIMIOGG-UHFFFAOYSA-N 0 3 307.397 4.004 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2CC[C@@H]3CCC[C@H]32)o1 ZINC000639597065 1046416704 /nfs/dbraw/zinc/41/67/04/1046416704.db2.gz AECDKBYFIMENQN-MAUKXSAKSA-N 0 3 319.408 4.264 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@H](CC(F)(F)F)C1 ZINC000639627148 1046418573 /nfs/dbraw/zinc/41/85/73/1046418573.db2.gz NWKSFQXSEPEJGH-CYBMUJFWSA-N 0 3 324.346 4.424 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000639652768 1046419990 /nfs/dbraw/zinc/41/99/90/1046419990.db2.gz VLHQXQWJWAKNAG-DOTOQJQBSA-N 0 3 318.367 4.127 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=S)Nc1ccc(F)cc1 ZINC000749012773 1046421510 /nfs/dbraw/zinc/42/15/10/1046421510.db2.gz KFCBEGPDKVMUEO-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN C[C@@H](NCC1([C@@H](O)c2ccccc2)CC1)c1cc(F)ccc1F ZINC000090771013 1046422529 /nfs/dbraw/zinc/42/25/29/1046422529.db2.gz IVWSONGSCJKIOE-ACJLOTCBSA-N 0 3 317.379 4.129 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(OC(F)(F)F)ccc2N)c1 ZINC001204847388 1046424051 /nfs/dbraw/zinc/42/40/51/1046424051.db2.gz ABQDFZUFRSIXPG-UHFFFAOYSA-N 0 3 324.346 4.108 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cccnc1C)CC2 ZINC000639729258 1046425280 /nfs/dbraw/zinc/42/52/80/1046425280.db2.gz MFRMUFNZGGQOIV-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN C[C@H]1CN(Cc2ccccc2Oc2ccc(F)cc2)CCCO1 ZINC001139297651 1046427125 /nfs/dbraw/zinc/42/71/25/1046427125.db2.gz ICDRZZFXYRMHPX-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN CN(Cc1ccccc1Oc1ccc(F)cc1)[C@H]1CCCOC1 ZINC001139303586 1046427834 /nfs/dbraw/zinc/42/78/34/1046427834.db2.gz WVQXSXVFRSHPKE-KRWDZBQOSA-N 0 3 315.388 4.229 20 0 DIADHN CO[C@H]1CCCN(Cc2ccccc2Oc2ccc(F)cc2)C1 ZINC001139297659 1046428024 /nfs/dbraw/zinc/42/80/24/1046428024.db2.gz IDIHBVYAZLQZJN-SFHVURJKSA-N 0 3 315.388 4.229 20 0 DIADHN Brc1ccsc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001139311177 1046428782 /nfs/dbraw/zinc/42/87/82/1046428782.db2.gz MMYZQMAUIHSBLV-QWRGUYRKSA-N 0 3 300.265 4.133 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc2cc(O)ccc2c1 ZINC001139339233 1046430662 /nfs/dbraw/zinc/43/06/62/1046430662.db2.gz RLTZGKIAYGVLJR-UHFFFAOYSA-N 0 3 319.404 4.250 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(Cl)c(F)c1)c1ccccc1F ZINC000090921095 1046431011 /nfs/dbraw/zinc/43/10/11/1046431011.db2.gz RTYZJMICSNEDOX-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN Oc1ccc2cc(CN3CCC[C@H](C(F)(F)F)C3)ccc2c1 ZINC001139337796 1046431614 /nfs/dbraw/zinc/43/16/14/1046431614.db2.gz GDJSQMJFCPPWIF-HNNXBMFYSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1cccc(F)c1)c1ccccc1Cl ZINC000749133444 1046432436 /nfs/dbraw/zinc/43/24/36/1046432436.db2.gz ZLGKMBNBQJFQSQ-PRXAMGSTSA-N 0 3 307.796 4.252 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1Cl)[C@@H](O)c1cccc(F)c1 ZINC000749133445 1046432531 /nfs/dbraw/zinc/43/25/31/1046432531.db2.gz ZLGKMBNBQJFQSQ-XWCIJXRUSA-N 0 3 307.796 4.252 20 0 DIADHN c1ccc2c(c1)CCCN(Cc1ccc(N3CCCCC3)nc1)C2 ZINC001139345008 1046432995 /nfs/dbraw/zinc/43/29/95/1046432995.db2.gz YECKNBLKBJWKRE-UHFFFAOYSA-N 0 3 321.468 4.020 20 0 DIADHN CC[C@H](NCc1cc(F)cc(OC)c1)c1ccc2c(c1)CCO2 ZINC000090972114 1046433870 /nfs/dbraw/zinc/43/38/70/1046433870.db2.gz AWOXANKSTKQBQE-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN COc1ccc(Cl)c(CN2CCCC3(CC(F)C3)CC2)c1 ZINC001137265313 1046437764 /nfs/dbraw/zinc/43/77/64/1046437764.db2.gz YZNPOQFABLPCCU-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN C[C@@H]1CCCCN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139362640 1046438266 /nfs/dbraw/zinc/43/82/66/1046438266.db2.gz JEOQCTVUUSMRSR-CYBMUJFWSA-N 0 3 323.893 4.268 20 0 DIADHN CC1(C)CCN(Cc2cccn2Cc2cnc(Cl)s2)CC1 ZINC001139365433 1046439042 /nfs/dbraw/zinc/43/90/42/1046439042.db2.gz FRPRXSODDHEZMH-UHFFFAOYSA-N 0 3 323.893 4.268 20 0 DIADHN FC(F)(F)CCCCN1CCC(Cc2nccs2)CC1 ZINC000639986144 1046439442 /nfs/dbraw/zinc/43/94/42/1046439442.db2.gz QSJCMVFOOQSHGP-UHFFFAOYSA-N 0 3 306.397 4.130 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc3ccccc3n2)c(C)s1 ZINC000676838940 1046439611 /nfs/dbraw/zinc/43/96/11/1046439611.db2.gz IDNZRACEAMLVOW-GFCCVEGCSA-N 0 3 311.454 4.201 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccc(-c2cnccn2)cc1 ZINC001139393806 1046442013 /nfs/dbraw/zinc/44/20/13/1046442013.db2.gz ORKDYQHDOGZMFK-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN FC(F)(F)CCCCN1CCCO[C@H](c2ccccc2)C1 ZINC000676887132 1046443416 /nfs/dbraw/zinc/44/34/16/1046443416.db2.gz JPOAYRFUPWESJM-HNNXBMFYSA-N 0 3 301.352 4.183 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc(C)nc1N1CCCC1 ZINC000781425339 1046444499 /nfs/dbraw/zinc/44/44/99/1046444499.db2.gz HMXXNNBSQLQLRS-HXUWFJFHSA-N 0 3 321.468 4.076 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)s1)C[C@H]1CCCCO1 ZINC001139414689 1046447545 /nfs/dbraw/zinc/44/75/45/1046447545.db2.gz UOVYMEMHUDHIHI-MRXNPFEDSA-N 0 3 301.455 4.416 20 0 DIADHN Fc1ccc(N2CCN(C[C@@H]3CC[C@@H]4C[C@@H]4C3)CC2)c(Cl)c1 ZINC001168245190 1046448303 /nfs/dbraw/zinc/44/83/03/1046448303.db2.gz YQEFXHNVUWPBDL-KFWWJZLASA-N 0 3 322.855 4.037 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccccc1)c1cccc(-c2ccncc2)c1 ZINC000123674735 1046449112 /nfs/dbraw/zinc/44/91/12/1046449112.db2.gz QEUIHBGQTFZNPH-KKSFZXQISA-N 0 3 318.420 4.133 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139417337 1046449192 /nfs/dbraw/zinc/44/91/92/1046449192.db2.gz AAEQTEZSGIQZGQ-GFCCVEGCSA-N 0 3 305.805 4.067 20 0 DIADHN CSC1CCN(Cc2ccc(-c3ccsc3)nc2)CC1 ZINC001139504118 1046457371 /nfs/dbraw/zinc/45/73/71/1046457371.db2.gz OTPBLFFRCPCHQA-UHFFFAOYSA-N 0 3 304.484 4.138 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@H](C4CC4)C3)co2)cc1F ZINC000677051668 1046458922 /nfs/dbraw/zinc/45/89/22/1046458922.db2.gz VBRVMPKDMDTROI-AWEZNQCLSA-N 0 3 318.367 4.242 20 0 DIADHN c1ccc(CO[C@H]2CCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC001137282449 1046460583 /nfs/dbraw/zinc/46/05/83/1046460583.db2.gz ZYRPODFBBSPBGO-FQEVSTJZSA-N 0 3 318.420 4.026 20 0 DIADHN Fc1ccc(OC2CCN(Cc3cccs3)CC2)c(F)c1 ZINC000125063370 1046462596 /nfs/dbraw/zinc/46/25/96/1046462596.db2.gz BNHYVNUYSRJHPA-UHFFFAOYSA-N 0 3 309.381 4.070 20 0 DIADHN COc1ccc2c(c1)CN(Cc1cnc3ccccc3c1)CCC2 ZINC001137284381 1046462930 /nfs/dbraw/zinc/46/29/30/1046462930.db2.gz MOUNTYCRHQIVKQ-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN COc1ccccc1Oc1ccc(CNCc2ccn(C)c2)cc1 ZINC000125339026 1046465740 /nfs/dbraw/zinc/46/57/40/1046465740.db2.gz RFFGCMGVDURFRL-UHFFFAOYSA-N 0 3 322.408 4.116 20 0 DIADHN Cc1ccoc1CNc1cc(CN2CCSCC2)ccc1C ZINC000125411918 1046468319 /nfs/dbraw/zinc/46/83/19/1046468319.db2.gz KWGAUSOUFHQKII-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000125845217 1046471618 /nfs/dbraw/zinc/47/16/18/1046471618.db2.gz IZNYOSYLILBLMT-NWDGAFQWSA-N 0 3 305.809 4.183 20 0 DIADHN COc1ccc(CN2C3CCC2CC3)c(OCc2ccccc2)c1 ZINC001139559795 1046472587 /nfs/dbraw/zinc/47/25/87/1046472587.db2.gz VEFSTKJMJASHRU-UHFFFAOYSA-N 0 3 323.436 4.401 20 0 DIADHN Fc1cccc2nc(CN3CCC[C@H]3C3CCCCC3)cn21 ZINC001139574138 1046475108 /nfs/dbraw/zinc/47/51/08/1046475108.db2.gz PGWUULNLFCBMTA-INIZCTEOSA-N 0 3 301.409 4.018 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cc(Cl)ccn2)cc1 ZINC001139566522 1046475200 /nfs/dbraw/zinc/47/52/00/1046475200.db2.gz OPPXHNGJOORGCR-QGZVFWFLSA-N 0 3 304.796 4.081 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCCc2ccc(C)cc21 ZINC000677240380 1046477533 /nfs/dbraw/zinc/47/75/33/1046477533.db2.gz HJRQVRKXOSGOGJ-VQTJNVASSA-N 0 3 323.436 4.494 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nnc(-c2ccc(C)cc2)o1)C1CC1 ZINC000104965718 1046478151 /nfs/dbraw/zinc/47/81/51/1046478151.db2.gz WGJJBWIXPFABMG-LSDHHAIUSA-N 0 3 313.445 4.444 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nnc(-c2ccc(C)cc2)o1)C1CC1 ZINC000104965716 1046478186 /nfs/dbraw/zinc/47/81/86/1046478186.db2.gz WGJJBWIXPFABMG-HUUCEWRRSA-N 0 3 313.445 4.444 20 0 DIADHN Cc1ncc(CN(CC(C)C)[C@H]2CCCc3cccnc32)s1 ZINC000127062429 1046480406 /nfs/dbraw/zinc/48/04/06/1046480406.db2.gz CCEPTLJWPSAKGT-KRWDZBQOSA-N 0 3 315.486 4.382 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(OC)cc2)cc1Cl ZINC001139596637 1046481096 /nfs/dbraw/zinc/48/10/96/1046481096.db2.gz SYWFCNYKWXFDKD-UHFFFAOYSA-N 0 3 319.832 4.379 20 0 DIADHN CCOc1ccc(C)cc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001139603209 1046482068 /nfs/dbraw/zinc/48/20/68/1046482068.db2.gz AZJFEZCNKZGDJF-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CC[C@@H](NCc1ccc(N(C)C)nc1)c1ccc(Cl)s1 ZINC000677321256 1046483436 /nfs/dbraw/zinc/48/34/36/1046483436.db2.gz SHBQIOKTEGZBMN-GFCCVEGCSA-N 0 3 309.866 4.103 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)n1cc([C@H](N)C(C)(C)C)nn1 ZINC000640992195 1046483639 /nfs/dbraw/zinc/48/36/39/1046483639.db2.gz SEWKQFRPGGEDIJ-UGSOOPFHSA-N 0 3 308.429 4.087 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640992191 1046483855 /nfs/dbraw/zinc/48/38/55/1046483855.db2.gz SEWKQFRPGGEDIJ-FZKQIMNGSA-N 0 3 308.429 4.087 20 0 DIADHN COC(CN[C@H](C)c1ccccc1-c1ccc(Cl)cc1)OC ZINC000781902299 1046484954 /nfs/dbraw/zinc/48/49/54/1046484954.db2.gz AVNAKCRPCSFFJX-CYBMUJFWSA-N 0 3 319.832 4.277 20 0 DIADHN C[C@@H](CCSc1ccccc1)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641140721 1046488214 /nfs/dbraw/zinc/48/82/14/1046488214.db2.gz UDOKZWJMCONGAG-XJKSGUPXSA-N 0 3 318.490 4.067 20 0 DIADHN CCOc1ccncc1CN1CCC[C@H](c2ccccc2)CC1 ZINC001139629333 1046490829 /nfs/dbraw/zinc/49/08/29/1046490829.db2.gz PCNWZEXHIAZIAO-SFHVURJKSA-N 0 3 310.441 4.250 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccc(N(C)C)nc2)cc1 ZINC000677416198 1046494961 /nfs/dbraw/zinc/49/49/61/1046494961.db2.gz IVTKZJDWGUHLIH-CQSZACIVSA-N 0 3 315.486 4.110 20 0 DIADHN CCSCCCN[C@H](c1cccc(C)c1)c1ccccn1 ZINC000782159290 1046497028 /nfs/dbraw/zinc/49/70/28/1046497028.db2.gz BGIRFENBLBOOGX-GOSISDBHSA-N 0 3 300.471 4.212 20 0 DIADHN CC(C)(C)c1cc(CN2CCC(O)CC2)cc(C(C)(C)C)c1 ZINC001139713199 1046503331 /nfs/dbraw/zinc/50/33/31/1046503331.db2.gz SWOOIFLKNOFAPW-UHFFFAOYSA-N 0 3 303.490 4.238 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@@H]2CCc3ccccc32)c1 ZINC000677600086 1046504044 /nfs/dbraw/zinc/50/40/44/1046504044.db2.gz VPSWBQFKGYOSKA-SFHVURJKSA-N 0 3 322.452 4.115 20 0 DIADHN CCn1cc(CNCc2ccc(C)s2)c(-c2ccccc2)n1 ZINC000641708535 1046506381 /nfs/dbraw/zinc/50/63/81/1046506381.db2.gz TYMFGSDIEYDGGC-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN CC(C)[C@H](NCc1ccncc1N)c1cccc(C(F)(F)F)c1 ZINC000641714453 1046508282 /nfs/dbraw/zinc/50/82/82/1046508282.db2.gz QTNDCOQSLQQRNV-INIZCTEOSA-N 0 3 323.362 4.170 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NC[C@@H]1CCCCO1)CC2 ZINC000677654187 1046509383 /nfs/dbraw/zinc/50/93/83/1046509383.db2.gz RGVUMZANUAUELT-JSGCOSHPSA-N 0 3 300.229 4.139 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NC[C@H]1CCCCO1)CC2 ZINC000677654188 1046509879 /nfs/dbraw/zinc/50/98/79/1046509879.db2.gz RGVUMZANUAUELT-OCCSQVGLSA-N 0 3 300.229 4.139 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc3[nH]c(=O)[nH]c3c1)CC2 ZINC000641749402 1046510563 /nfs/dbraw/zinc/51/05/63/1046510563.db2.gz SHCDPFTWLVWWSZ-QGZVFWFLSA-N 0 3 321.424 4.169 20 0 DIADHN CC(C)[C@H](NCc1ccncc1N)c1ccc(C(F)(F)F)cc1 ZINC000641742998 1046510654 /nfs/dbraw/zinc/51/06/54/1046510654.db2.gz OUBBJIKGMINIOZ-INIZCTEOSA-N 0 3 323.362 4.170 20 0 DIADHN CCNC(=O)c1cccc(CN[C@@H](c2ccccc2)C2CCC2)c1 ZINC000641773497 1046511836 /nfs/dbraw/zinc/51/18/36/1046511836.db2.gz IVQJYNCZEYYLON-FQEVSTJZSA-N 0 3 322.452 4.067 20 0 DIADHN Cn1ncc(C(F)(F)F)c1CN[C@H](c1ccccc1)C1CCC1 ZINC000641781817 1046513151 /nfs/dbraw/zinc/51/31/51/1046513151.db2.gz BOWFJVDEOZJEIN-MRXNPFEDSA-N 0 3 323.362 4.070 20 0 DIADHN Cc1cc(Br)ccc1[C@H](C)NCc1cccnc1C ZINC000641783782 1046513182 /nfs/dbraw/zinc/51/31/82/1046513182.db2.gz VSUVVFXREZRITR-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CC[C@@H](C(F)(F)F)C1 ZINC000677713856 1046514777 /nfs/dbraw/zinc/51/47/77/1046514777.db2.gz LTMHJKLZHSSEEV-GXSJLCMTSA-N 0 3 309.278 4.233 20 0 DIADHN COc1ccc(-c2ncc(CN3CCC[C@H](CF)C3)s2)cc1 ZINC001139791755 1046516764 /nfs/dbraw/zinc/51/67/64/1046516764.db2.gz PCLWDKKUEOAVGB-CYBMUJFWSA-N 0 3 320.433 4.000 20 0 DIADHN CN(CCCC(=O)c1cccnc1)Cc1ccccc1C(F)F ZINC001139799300 1046519158 /nfs/dbraw/zinc/51/91/58/1046519158.db2.gz NATJCYUZAIPZEY-UHFFFAOYSA-N 0 3 318.367 4.114 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccccc1C(F)F ZINC001139799110 1046519395 /nfs/dbraw/zinc/51/93/95/1046519395.db2.gz JGFLBQYWMGLYBE-UHFFFAOYSA-N 0 3 303.352 4.329 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1ncc(Br)s1 ZINC000782357541 1046523391 /nfs/dbraw/zinc/52/33/91/1046523391.db2.gz HNIVRGZZQJZQGO-GHMZBOCLSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](C)c1ccccc1N(C)C)CCC2 ZINC000359893447 1046526414 /nfs/dbraw/zinc/52/64/14/1046526414.db2.gz DRKHELOFDWHMSQ-DOMZBBRYSA-N 0 3 315.486 4.246 20 0 DIADHN C[C@@H](NC(=O)CN(C)CC1CCCCC1)c1ccc(Cl)cc1 ZINC000641958796 1046531566 /nfs/dbraw/zinc/53/15/66/1046531566.db2.gz PNXAHQLYEPRKSB-CQSZACIVSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1cccc(-c2ccncc2)c1 ZINC000132493300 1046533016 /nfs/dbraw/zinc/53/30/16/1046533016.db2.gz UBNZVNLCPFSYKC-ZDUSSCGKSA-N 0 3 309.438 4.364 20 0 DIADHN C[C@H]1CCN(Cc2cccc3c4ccccc4oc32)CCC1=O ZINC001139856687 1046534599 /nfs/dbraw/zinc/53/45/99/1046534599.db2.gz SYQLLHQBODBAKL-AWEZNQCLSA-N 0 3 307.393 4.387 20 0 DIADHN C[C@]1(CO)CCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000093341053 1046536930 /nfs/dbraw/zinc/53/69/30/1046536930.db2.gz YKCLQMNTIGZSOK-SFHVURJKSA-N 0 3 319.832 4.194 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1cnn(CC(F)(F)F)c1 ZINC000536626007 1046539211 /nfs/dbraw/zinc/53/92/11/1046539211.db2.gz UXMVCHDXFPRJTJ-SWLSCSKDSA-N 0 3 323.362 4.092 20 0 DIADHN CC(C)(C)OC(=O)O[C@H]1CCN(Cc2ccccc2C2CC2)C1 ZINC001139878842 1046540306 /nfs/dbraw/zinc/54/03/06/1046540306.db2.gz AESHLGCFXFHPJI-INIZCTEOSA-N 0 3 317.429 4.090 20 0 DIADHN c1ccc(Oc2ccc(CN3C4CCCC3CCC4)nn2)cc1 ZINC000677985262 1046540380 /nfs/dbraw/zinc/54/03/80/1046540380.db2.gz SHEBMFFSBJPQIW-UHFFFAOYSA-N 0 3 309.413 4.176 20 0 DIADHN CC[C@H](N[C@H]1CC(C)(C)Cc2occc21)c1c(C)nn(C)c1C ZINC000133727200 1046542588 /nfs/dbraw/zinc/54/25/88/1046542588.db2.gz VCEYAJBLCHZNHS-HOTGVXAUSA-N 0 3 315.461 4.384 20 0 DIADHN CCOCC1CCN(Cc2cc(C(F)(F)F)ccc2C)CC1 ZINC001139886149 1046544639 /nfs/dbraw/zinc/54/46/39/1046544639.db2.gz CZHVSCNAFHZDSP-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN(C)C[C@@H]1CCCCO1 ZINC001139884853 1046544762 /nfs/dbraw/zinc/54/47/62/1046544762.db2.gz JBDGVSORLOJROZ-HNNXBMFYSA-N 0 3 301.352 4.015 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C(F)(F)F)ccc2C)[C@H](C)C1 ZINC001139888837 1046545976 /nfs/dbraw/zinc/54/59/76/1046545976.db2.gz MBERNGPATVOAEN-DOMZBBRYSA-N 0 3 301.352 4.013 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCC[C@H](OCc2ccccc2)C1 ZINC001137319745 1046546961 /nfs/dbraw/zinc/54/69/61/1046546961.db2.gz NKXXMNCVBFTORQ-FQEVSTJZSA-N 0 3 320.436 4.349 20 0 DIADHN CCOCOc1ccc(CNCc2ccc(SC)cc2)cc1 ZINC000782952964 1046548598 /nfs/dbraw/zinc/54/85/98/1046548598.db2.gz QKUGJKDFKJMKLF-UHFFFAOYSA-N 0 3 317.454 4.071 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccc(Cl)nc1 ZINC000179140228 1046553581 /nfs/dbraw/zinc/55/35/81/1046553581.db2.gz RQIJNTPYPLQBDL-XHDPSFHLSA-N 0 3 302.805 4.082 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc(Cl)nc1 ZINC000179140245 1046553687 /nfs/dbraw/zinc/55/36/87/1046553687.db2.gz RQIJNTPYPLQBDL-NHYWBVRUSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1ccc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)cn1 ZINC001139933236 1046554704 /nfs/dbraw/zinc/55/47/04/1046554704.db2.gz RKOFLBJBDPUIEB-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN COc1ccc(CN(C)Cc2coc3ccccc23)cc1OC ZINC000678122436 1046557097 /nfs/dbraw/zinc/55/70/97/1046557097.db2.gz AWBPBNMGYHHNSI-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN CC[C@@H]1CCN(Cc2c[nH]c3cccc(Br)c23)C1 ZINC001139968430 1046559642 /nfs/dbraw/zinc/55/96/42/1046559642.db2.gz LUNSGKFOPUAQOP-LLVKDONJSA-N 0 3 307.235 4.162 20 0 DIADHN Cc1cccc(OCCN(C)Cc2nccc3ccccc32)c1 ZINC000678128024 1046560335 /nfs/dbraw/zinc/56/03/35/1046560335.db2.gz KWIZWXGRNPCPPA-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CC[C@H](NC(=O)CN(CC(C)C)C1CC1)c1ccc(Cl)cc1 ZINC000642094268 1046560916 /nfs/dbraw/zinc/56/09/16/1046560916.db2.gz YQJWOAUSXTUQKV-KRWDZBQOSA-N 0 3 322.880 4.028 20 0 DIADHN CN(Cc1nc2ccccc2s1)C[C@@H]1CCOc2ccccc21 ZINC000678126630 1046563204 /nfs/dbraw/zinc/56/32/04/1046563204.db2.gz LYKSWTDGYKKYSW-AWEZNQCLSA-N 0 3 324.449 4.294 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3cc4ccccc4cn3)C2)cc1 ZINC001139998289 1046564624 /nfs/dbraw/zinc/56/46/24/1046564624.db2.gz RXQOPNXOWSCHRZ-FQEVSTJZSA-N 0 3 322.383 4.027 20 0 DIADHN CC(C)CN(Cc1cc(Br)cnc1F)CC(C)C ZINC001140002860 1046566790 /nfs/dbraw/zinc/56/67/90/1046566790.db2.gz HPBGIKUCSKJZSU-UHFFFAOYSA-N 0 3 317.246 4.097 20 0 DIADHN Cc1nccn1-c1ccccc1CN1CCc2cc(C)ccc2C1 ZINC001140027792 1046569926 /nfs/dbraw/zinc/56/99/26/1046569926.db2.gz ZIOWJHIDWKBIBA-UHFFFAOYSA-N 0 3 317.436 4.047 20 0 DIADHN Oc1ccc(F)c(CN2CCC3(CCc4ccccc43)CC2)c1 ZINC001140045960 1046576281 /nfs/dbraw/zinc/57/62/81/1046576281.db2.gz UHHKERXMDLZCIO-UHFFFAOYSA-N 0 3 311.400 4.011 20 0 DIADHN COc1c(Cl)cccc1CN1CC[C@H](c2ccccc2)C1 ZINC001140056901 1046578673 /nfs/dbraw/zinc/57/86/73/1046578673.db2.gz MOIOJGMYFIOSPJ-HNNXBMFYSA-N 0 3 301.817 4.338 20 0 DIADHN COc1c(Cl)cccc1CN1CC[C@@H](c2ccc(F)cc2)C1 ZINC001140061926 1046579022 /nfs/dbraw/zinc/57/90/22/1046579022.db2.gz BZKPNQMOHLZTHB-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2N(Cc1n[nH]c2ccccc21)C1CC1 ZINC000678196496 1046580563 /nfs/dbraw/zinc/58/05/63/1046580563.db2.gz WSYDHXCYTJJQIN-FQEVSTJZSA-N 0 3 303.409 4.215 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2N(Cc1[nH]nc2ccccc21)C1CC1 ZINC000678196496 1046580574 /nfs/dbraw/zinc/58/05/74/1046580574.db2.gz WSYDHXCYTJJQIN-FQEVSTJZSA-N 0 3 303.409 4.215 20 0 DIADHN COc1ccc2n[nH]c(CN3CCC[C@@H]3c3ccccc3C)c2c1 ZINC001140064991 1046581832 /nfs/dbraw/zinc/58/18/32/1046581832.db2.gz VSNXEGUYRILBQB-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1[nH]nc2ccc(OC)cc21 ZINC001140069305 1046582675 /nfs/dbraw/zinc/58/26/75/1046582675.db2.gz RDCUPCWTDHAMPR-IBGZPJMESA-N 0 3 309.413 4.155 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC(c4ccccn4)CC3)c2O1 ZINC000678212570 1046586319 /nfs/dbraw/zinc/58/63/19/1046586319.db2.gz JFIPSIGHSSCKMA-UHFFFAOYSA-N 0 3 322.452 4.175 20 0 DIADHN Oc1ccc([C@H]2CCCN2CC2=Cc3ccccc3OC2)cc1 ZINC000678212896 1046587044 /nfs/dbraw/zinc/58/70/44/1046587044.db2.gz PMQNXRSLYVDWMN-LJQANCHMSA-N 0 3 307.393 4.005 20 0 DIADHN COc1ccccc1/C=C/CN1CCC[C@@H]1c1ccc(O)cc1 ZINC000678212555 1046587126 /nfs/dbraw/zinc/58/71/26/1046587126.db2.gz IIRNTCGXILGJSN-ZLHKHBAESA-N 0 3 309.409 4.251 20 0 DIADHN COc1ccccc1/C=C\CN1CCC[C@H]1c1ccc(O)cc1 ZINC000678212553 1046587287 /nfs/dbraw/zinc/58/72/87/1046587287.db2.gz IIRNTCGXILGJSN-OCTSTCLRSA-N 0 3 309.409 4.251 20 0 DIADHN CC1(F)CCN(Cc2cnc(-c3ccc(F)cc3)s2)CC1 ZINC001140092031 1046587581 /nfs/dbraw/zinc/58/75/81/1046587581.db2.gz ABYMDOLJRATCBM-UHFFFAOYSA-N 0 3 308.397 4.273 20 0 DIADHN CCOCOc1ccc(CN(C)Cc2cccc(Cl)c2)cc1 ZINC000783385929 1046588477 /nfs/dbraw/zinc/58/84/77/1046588477.db2.gz LOFKKFYHICEEAE-UHFFFAOYSA-N 0 3 319.832 4.345 20 0 DIADHN CSC1CCN(Cc2cnc(-c3ccc(F)cc3)s2)CC1 ZINC001140096622 1046588601 /nfs/dbraw/zinc/58/86/01/1046588601.db2.gz XBMCDYYHAAMZET-UHFFFAOYSA-N 0 3 322.474 4.277 20 0 DIADHN CCN(Cc1ccc(N(C)C)nc1)[C@H](C)c1cc2ccccc2o1 ZINC000678214269 1046589885 /nfs/dbraw/zinc/58/98/85/1046589885.db2.gz PBSBSOLUZFOUKA-OAHLLOKOSA-N 0 3 323.440 4.477 20 0 DIADHN CCOCOc1ccc(CN(C)[C@H](C)c2cccs2)cc1 ZINC000783409225 1046590508 /nfs/dbraw/zinc/59/05/08/1046590508.db2.gz QZXDEWFIMZOIEI-CQSZACIVSA-N 0 3 305.443 4.314 20 0 DIADHN Fc1ccc2oc(CN3CCC(c4ccccn4)CC3)cc2c1 ZINC000678214133 1046590824 /nfs/dbraw/zinc/59/08/24/1046590824.db2.gz NPZWFYRXQKLHRM-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@@]3(C[C@H](c4ccccc4)CO3)C2)[nH]1 ZINC001137323857 1046592454 /nfs/dbraw/zinc/59/24/54/1046592454.db2.gz ASAIMFKYJBFWQI-PZJWPPBQSA-N 0 3 324.468 4.170 20 0 DIADHN COc1ccc(CN(C)Cc2nccc3ccccc32)cc1C ZINC000678225543 1046593152 /nfs/dbraw/zinc/59/31/52/1046593152.db2.gz MTCFEKXOAVLUHK-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCOCOc1ccc(CN(C)Cc2ccc(C)s2)cc1 ZINC000783460634 1046598066 /nfs/dbraw/zinc/59/80/66/1046598066.db2.gz ZAMQMQUWGJXOKW-UHFFFAOYSA-N 0 3 305.443 4.061 20 0 DIADHN CCN(CCOc1ccccc1C(C)=O)Cc1ccccc1C ZINC000642329716 1046598444 /nfs/dbraw/zinc/59/84/44/1046598444.db2.gz LBTOKFRDKVSKPU-UHFFFAOYSA-N 0 3 311.425 4.099 20 0 DIADHN c1coc(COCc2cccc(CNCc3ccccc3)c2)c1 ZINC000642342262 1046599485 /nfs/dbraw/zinc/59/94/85/1046599485.db2.gz YAFVVBDHYYLXHJ-UHFFFAOYSA-N 0 3 307.393 4.286 20 0 DIADHN Cc1cccc(CNCc2ccnc(Oc3ccccc3)c2)c1 ZINC000642341308 1046599797 /nfs/dbraw/zinc/59/97/97/1046599797.db2.gz KBZPISBXRQUOQQ-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN Cc1ccccc1CNCc1ccnc(Oc2ccccc2)c1 ZINC000642341341 1046599956 /nfs/dbraw/zinc/59/99/56/1046599956.db2.gz OUGKXOQLAAWNFU-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN Cn1cncc1CNCc1ccccc1-c1ccc(Cl)cc1 ZINC000237935564 1046599885 /nfs/dbraw/zinc/59/98/85/1046599885.db2.gz RGFIUJAMJGUYHB-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN CCCCCN(C)Cc1cccc(Br)c1OC ZINC001140150891 1046600149 /nfs/dbraw/zinc/60/01/49/1046600149.db2.gz HHSWWHUBPVGUMQ-UHFFFAOYSA-N 0 3 300.240 4.080 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1nccc3ccccc31)C2 ZINC000678239691 1046600660 /nfs/dbraw/zinc/60/06/60/1046600660.db2.gz NQGQNVIWRHRROA-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN Cc1cc(C)c(CN2CCC(Oc3cccc(Cl)c3)CC2)[nH]1 ZINC001137328287 1046601995 /nfs/dbraw/zinc/60/19/95/1046601995.db2.gz ZNALLCOKHTWPTO-UHFFFAOYSA-N 0 3 318.848 4.328 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@H]1C(C)C ZINC001140156560 1046604529 /nfs/dbraw/zinc/60/45/29/1046604529.db2.gz WZEHPGYZXCIHLC-AWEZNQCLSA-N 0 3 312.251 4.078 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3c(F)cccc3F)o2)CCCO1 ZINC000678271928 1046606714 /nfs/dbraw/zinc/60/67/14/1046606714.db2.gz RKDSDNMPHKZYMQ-UHFFFAOYSA-N 0 3 321.367 4.226 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1ncccc1C(F)(F)F ZINC000678270045 1046606937 /nfs/dbraw/zinc/60/69/37/1046606937.db2.gz RVWHODLHTFLHPM-DZGCQCFKSA-N 0 3 320.358 4.478 20 0 DIADHN CCCN(Cc1cscc1Cl)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000678276297 1046608388 /nfs/dbraw/zinc/60/83/88/1046608388.db2.gz DSDDHJFNWKVTGZ-NWANDNLSSA-N 0 3 313.894 4.427 20 0 DIADHN c1ccc(SC[C@@H]2CCN(Cc3n[nH]c4ccccc34)C2)cc1 ZINC000678284628 1046614024 /nfs/dbraw/zinc/61/40/24/1046614024.db2.gz WJVRRAREUQGKPZ-OAHLLOKOSA-N 0 3 323.465 4.177 20 0 DIADHN C[C@H](NCC1(NC(=O)OC(C)(C)C)CCCCC1)c1ccoc1 ZINC000697032499 1046615239 /nfs/dbraw/zinc/61/52/39/1046615239.db2.gz ZIFMUJAKPSIJPU-AWEZNQCLSA-N 0 3 322.449 4.158 20 0 DIADHN Cc1nn(C)cc1[C@H]1CCCN1C/C=C/c1ccc(Cl)cc1 ZINC000678339982 1046621225 /nfs/dbraw/zinc/62/12/25/1046621225.db2.gz VUKZEDMGXUMVQT-YWPBOTNQSA-N 0 3 315.848 4.232 20 0 DIADHN CC[N@H+](Cc1ccccn1)Cc1cc(-c2ccccc2)ccc1[O-] ZINC000093338746 1046621779 /nfs/dbraw/zinc/62/17/79/1046621779.db2.gz WOPPWCGXLHGCPZ-UHFFFAOYSA-N 0 3 318.420 4.476 20 0 DIADHN CC[N@@H+](Cc1ccccn1)Cc1cc(-c2ccccc2)ccc1[O-] ZINC000093338746 1046621785 /nfs/dbraw/zinc/62/17/85/1046621785.db2.gz WOPPWCGXLHGCPZ-UHFFFAOYSA-N 0 3 318.420 4.476 20 0 DIADHN Cc1ccc(CN2CCC([C@H](O)c3ccc(Cl)cc3)CC2)o1 ZINC000093319488 1046622574 /nfs/dbraw/zinc/62/25/74/1046622574.db2.gz BZGKVFLFTLTFOK-GOSISDBHSA-N 0 3 319.832 4.187 20 0 DIADHN Cc1ccc(CN2CCC([C@@H](O)c3ccc(Cl)cc3)CC2)o1 ZINC000093319490 1046622606 /nfs/dbraw/zinc/62/26/06/1046622606.db2.gz BZGKVFLFTLTFOK-SFHVURJKSA-N 0 3 319.832 4.187 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)cs1 ZINC000834513123 1046624881 /nfs/dbraw/zinc/62/48/81/1046624881.db2.gz HFPOMXJPFZWLID-QWHCGFSZSA-N 0 3 314.454 4.353 20 0 DIADHN CCCOc1cc(CN[C@@H](C)c2cnccc2C)ccc1OC ZINC000678361454 1046625312 /nfs/dbraw/zinc/62/53/12/1046625312.db2.gz BZZSJBUSXBMWBN-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN C[C@@H]1CC[C@H](NCc2ccc(-n3ccnc3)nc2)c2sccc21 ZINC000834501479 1046625334 /nfs/dbraw/zinc/62/53/34/1046625334.db2.gz BRADPICOOODXTQ-CJNGLKHVSA-N 0 3 324.453 4.057 20 0 DIADHN CCCNC(=O)c1cccc(CNCc2ccc(CCC)cc2)c1 ZINC000642628184 1046625660 /nfs/dbraw/zinc/62/56/60/1046625660.db2.gz SFCIDVFHIXTQIB-UHFFFAOYSA-N 0 3 324.468 4.069 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N(C)C[C@@H](C)c2ccccc2)c(C)c1 ZINC000532941537 1046628839 /nfs/dbraw/zinc/62/88/39/1046628839.db2.gz RORZXAHARLYUPX-MSOLQXFVSA-N 0 3 324.468 4.366 20 0 DIADHN C[C@@H](C(=O)Nc1cc(Cl)ccc1Cl)N(C)CC(C)(C)C ZINC000532957156 1046632988 /nfs/dbraw/zinc/63/29/88/1046632988.db2.gz MRCBAKISFDVMJM-JTQLQIEISA-N 0 3 317.260 4.298 20 0 DIADHN CCCN(Cc1ccno1)[C@H]1C[C@H](OCC)C12CCCCC2 ZINC000532977590 1046633261 /nfs/dbraw/zinc/63/32/61/1046633261.db2.gz MSNTXPROUORSNQ-IRXDYDNUSA-N 0 3 306.450 4.015 20 0 DIADHN CCCN(Cc1ccno1)[C@H]1C[C@@H](OCC)C12CCCCC2 ZINC000532977588 1046633505 /nfs/dbraw/zinc/63/35/05/1046633505.db2.gz MSNTXPROUORSNQ-DLBZAZTESA-N 0 3 306.450 4.015 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN(C1CC1)C1CCCC1 ZINC000532961607 1046633711 /nfs/dbraw/zinc/63/37/11/1046633711.db2.gz RGXQVAQTENOVSA-UHFFFAOYSA-N 0 3 303.837 4.134 20 0 DIADHN CN(C)Cc1cn(C(=O)OC(C)(C)C)c2cccc(Cl)c12 ZINC001140264089 1046633835 /nfs/dbraw/zinc/63/38/35/1046633835.db2.gz BWCHDWOFCPEWCC-UHFFFAOYSA-N 0 3 308.809 4.140 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2n1C ZINC000532980080 1046634843 /nfs/dbraw/zinc/63/48/43/1046634843.db2.gz GWMHTBXEWIPQIJ-HOCLYGCPSA-N 0 3 323.415 4.090 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CC(c4ccccc4)C3)c2c1 ZINC001140272748 1046635569 /nfs/dbraw/zinc/63/55/69/1046635569.db2.gz ZYHGDPGUQRCRFU-UHFFFAOYSA-N 0 3 306.409 4.166 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)c(C)n1 ZINC000678428279 1046637516 /nfs/dbraw/zinc/63/75/16/1046637516.db2.gz QGJPEVPZPZUHHN-WBVHZDCISA-N 0 3 320.440 4.296 20 0 DIADHN CCSCC[C@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000834611668 1046637490 /nfs/dbraw/zinc/63/74/90/1046637490.db2.gz NIYWWCRWOQYLFE-DTWKUNHWSA-N 0 3 323.325 4.088 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccccc2-n2cccn2)c(C)n1 ZINC000678429866 1046637876 /nfs/dbraw/zinc/63/78/76/1046637876.db2.gz ZDFVSAAJFZSMPN-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN CCC1(CN[C@@H](C)c2nc(Br)cs2)CCC1 ZINC000834615174 1046638114 /nfs/dbraw/zinc/63/81/14/1046638114.db2.gz BIAPPWMMOFTZIP-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN C[C@@H](N[C@@H]1CCCC1(C)C)c1nc(Br)cs1 ZINC000834616253 1046638405 /nfs/dbraw/zinc/63/84/05/1046638405.db2.gz MSLPBHGSBGVVNG-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1nc(Br)cs1 ZINC000834619777 1046639774 /nfs/dbraw/zinc/63/97/74/1046639774.db2.gz LYLCJILQACKHHQ-OPRDCNLKSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](C)CC1)c1nc(Br)cs1 ZINC000834639823 1046642688 /nfs/dbraw/zinc/64/26/88/1046642688.db2.gz CTMBLTADROYTLX-GUBZILKMSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H](N[C@@H]1CC[C@@H](C)CC1)c1nc(Br)cs1 ZINC000834639924 1046642722 /nfs/dbraw/zinc/64/27/22/1046642722.db2.gz CTMBLTADROYTLX-OPRDCNLKSA-N 0 3 303.269 4.135 20 0 DIADHN CN(CCCOc1ccc2ccccc2c1)CCC(F)(F)F ZINC000533032601 1046642759 /nfs/dbraw/zinc/64/27/59/1046642759.db2.gz MKXALYIWJHVQSS-UHFFFAOYSA-N 0 3 311.347 4.493 20 0 DIADHN Clc1ccc(-c2ncc(CN3CCC4(CC4)C3)s2)cc1 ZINC001140295536 1046643626 /nfs/dbraw/zinc/64/36/26/1046643626.db2.gz KWTRWABLPUQKLR-UHFFFAOYSA-N 0 3 304.846 4.449 20 0 DIADHN CC(C)N(Cc1nc(-c2ccc(F)cc2)no1)C1CCCCC1 ZINC000533048444 1046643978 /nfs/dbraw/zinc/64/39/78/1046643978.db2.gz BIYPWQFHXIWSTR-UHFFFAOYSA-N 0 3 317.408 4.419 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CCC1)C(=O)Nc1ccccc1 ZINC000533046404 1046644894 /nfs/dbraw/zinc/64/48/94/1046644894.db2.gz SATCCHLLGXQZLN-KXBFYZLASA-N 0 3 308.425 4.145 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCSc1ccccc1F ZINC000533055868 1046646481 /nfs/dbraw/zinc/64/64/81/1046646481.db2.gz TWBVFZRRLIRYMO-ZDUSSCGKSA-N 0 3 305.418 4.316 20 0 DIADHN C[C@@H](CCC1CC1)N[C@@H](C)c1nc(Br)cs1 ZINC000834770843 1046668632 /nfs/dbraw/zinc/66/86/32/1046668632.db2.gz ZFFQETLRUAHNQB-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN CCC[C@H](C)N[C@@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000643202993 1046647752 /nfs/dbraw/zinc/64/77/52/1046647752.db2.gz WOANJUIMGFDWPC-QFBILLFUSA-N 0 3 310.441 4.453 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000834673938 1046647859 /nfs/dbraw/zinc/64/78/59/1046647859.db2.gz XTOBFJUAMFSXGH-LPEHRKFASA-N 0 3 305.285 4.381 20 0 DIADHN CC[C@H](C)C[C@@H](C)N[C@H](C)c1nc(Br)cs1 ZINC000834673937 1046648387 /nfs/dbraw/zinc/64/83/87/1046648387.db2.gz XTOBFJUAMFSXGH-IVZWLZJFSA-N 0 3 305.285 4.381 20 0 DIADHN CCCC1(CN[C@@H](C)c2nc(Br)cs2)CC1 ZINC000834680832 1046648810 /nfs/dbraw/zinc/64/88/10/1046648810.db2.gz LRKJRMPUFVOUKK-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN C[C@H](N[C@H]1CCC(C)(C)C1)c1nc(Br)cs1 ZINC000834697360 1046650183 /nfs/dbraw/zinc/65/01/83/1046650183.db2.gz JKSHVEYWOBNGBS-IUCAKERBSA-N 0 3 303.269 4.135 20 0 DIADHN CC(C)CCC[C@@H](C)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000643218211 1046650343 /nfs/dbraw/zinc/65/03/43/1046650343.db2.gz FCVMEMULZHPAMQ-OAHLLOKOSA-N 0 3 305.466 4.085 20 0 DIADHN Cc1cccnc1CN(Cc1ccc2c(c1)OCO2)C1CCCC1 ZINC000678515798 1046650804 /nfs/dbraw/zinc/65/08/04/1046650804.db2.gz DRZPCGBTCZDHQW-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000678535167 1046651585 /nfs/dbraw/zinc/65/15/85/1046651585.db2.gz QPWVLJADIHEKNR-SMDDNHRTSA-N 0 3 305.809 4.153 20 0 DIADHN CC[C@H](N[C@@H](C)CC(=O)Nc1ccccc1)c1ccccc1F ZINC001203024544 1046655240 /nfs/dbraw/zinc/65/52/40/1046655240.db2.gz CNABXOUEAPVFCX-KSSFIOAISA-N 0 3 314.404 4.284 20 0 DIADHN Cc1ccc2n[nH]c(CN3CCC(c4ccc(F)cc4)CC3)c2c1 ZINC001140330561 1046659347 /nfs/dbraw/zinc/65/93/47/1046659347.db2.gz FJZNJDFSRUMRQI-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1ccc2[nH]nc(CN3CCC(c4ccc(F)cc4)CC3)c2c1 ZINC001140330561 1046659352 /nfs/dbraw/zinc/65/93/52/1046659352.db2.gz FJZNJDFSRUMRQI-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2c[nH]c3ccc(F)cc23)C1 ZINC001137340225 1046659914 /nfs/dbraw/zinc/65/99/14/1046659914.db2.gz WEGIWIWYCVPPBZ-KRWDZBQOSA-N 0 3 324.399 4.269 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(-c3nccs3)c2)cc1 ZINC001140332268 1046660126 /nfs/dbraw/zinc/66/01/26/1046660126.db2.gz QMVYHRXGVRFGRA-UHFFFAOYSA-N 0 3 324.449 4.451 20 0 DIADHN O=C(Nc1ccc(C2CC2)cc1)c1cccc(CN2CCCC2)c1 ZINC000678573818 1046661495 /nfs/dbraw/zinc/66/14/95/1046661495.db2.gz YWYQNKQRMFPVJI-UHFFFAOYSA-N 0 3 320.436 4.412 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](C)c2nc(C)sc2C)c1 ZINC000678585393 1046663019 /nfs/dbraw/zinc/66/30/19/1046663019.db2.gz DVDPMQMXLYANGC-NWDGAFQWSA-N 0 3 304.459 4.050 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@H]1c3ccccc3C[C@H]1C)CCO2 ZINC000678585797 1046664113 /nfs/dbraw/zinc/66/41/13/1046664113.db2.gz LTMUAVQTJWHMLU-YFZFPPMRSA-N 0 3 323.436 4.432 20 0 DIADHN CN(CCCc1ccccc1Cl)Cc1ccc(Cl)nc1 ZINC000784160883 1046668965 /nfs/dbraw/zinc/66/89/65/1046668965.db2.gz JISKIBSEERZRCX-UHFFFAOYSA-N 0 3 309.240 4.453 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc(F)cc1F)c1ccc(F)cc1 ZINC000678594935 1046669034 /nfs/dbraw/zinc/66/90/34/1046669034.db2.gz NNZLHDOHEOGEPR-PIGZYNQJSA-N 0 3 309.331 4.142 20 0 DIADHN C[C@@H](NCC(C)(C)[C@@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000678597475 1046670788 /nfs/dbraw/zinc/67/07/88/1046670788.db2.gz DYENJBHDNJIVBP-CJNGLKHVSA-N 0 3 322.518 4.268 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccc(-c3cncnc3)cc1)C2 ZINC001140354026 1046671970 /nfs/dbraw/zinc/67/19/70/1046671970.db2.gz HPAONBHMROSFSD-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN Cc1nc(CN2CCC[C@H]2Cc2ccccc2)nc2ccccc12 ZINC000533221663 1046672240 /nfs/dbraw/zinc/67/22/40/1046672240.db2.gz CVAJFVZNTXKNDR-SFHVURJKSA-N 0 3 317.436 4.145 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](N[C@@H]1CCCc3cn[nH]c31)CC2 ZINC000678598345 1046672218 /nfs/dbraw/zinc/67/22/18/1046672218.db2.gz FWADYMRRZPQFPH-UONOGXRCSA-N 0 3 322.239 4.371 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2CCOC3(CCCCC3)C2)c(C)s1 ZINC000678601248 1046674502 /nfs/dbraw/zinc/67/45/02/1046674502.db2.gz ZNWPAGCLKLUBHC-DOMZBBRYSA-N 0 3 308.491 4.292 20 0 DIADHN COc1cccc(C(C)(C)CN[C@@H](C)c2nc(C)sc2C)c1 ZINC000678603891 1046676157 /nfs/dbraw/zinc/67/61/57/1046676157.db2.gz ONQHAZSISYTQRU-LBPRGKRZSA-N 0 3 318.486 4.397 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)cc(C)c1F ZINC000678606553 1046676578 /nfs/dbraw/zinc/67/65/78/1046676578.db2.gz OEZIKRCNXPAPKE-BEFAXECRSA-N 0 3 312.432 4.280 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1c(F)cccc1F ZINC000678608245 1046676880 /nfs/dbraw/zinc/67/68/80/1046676880.db2.gz JYLVGULXJQAYNF-IRWQIABSSA-N 0 3 316.395 4.061 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc(C)c1F ZINC000678606555 1046677103 /nfs/dbraw/zinc/67/71/03/1046677103.db2.gz OEZIKRCNXPAPKE-HNAYVOBHSA-N 0 3 312.432 4.280 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccccc1)c1c(F)cccc1F ZINC000678608246 1046677321 /nfs/dbraw/zinc/67/73/21/1046677321.db2.gz JYLVGULXJQAYNF-IXDGSTSKSA-N 0 3 316.395 4.061 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc(C)c1F ZINC000678606554 1046677394 /nfs/dbraw/zinc/67/73/94/1046677394.db2.gz OEZIKRCNXPAPKE-DNVCBOLYSA-N 0 3 312.432 4.280 20 0 DIADHN C[C@@H](CC(C)(C)c1c[nH]c2ccccc21)N[C@@H]1CCn2ccnc21 ZINC000834832291 1046677730 /nfs/dbraw/zinc/67/77/30/1046677730.db2.gz NULXLCGSWIRLKL-KBXCAEBGSA-N 0 3 322.456 4.155 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2N[C@@H](c1cccnc1)C1CC1 ZINC000678609272 1046679150 /nfs/dbraw/zinc/67/91/50/1046679150.db2.gz LDZIMKZSFMKYRQ-QZTJIDSGSA-N 0 3 300.352 4.088 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1ccc2n[nH]cc2c1 ZINC001140386135 1046682487 /nfs/dbraw/zinc/68/24/87/1046682487.db2.gz BJOZKYGZRNKUDL-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3C[C@@H](C)CC(C)(C)C3)o2)c1 ZINC000784347180 1046683709 /nfs/dbraw/zinc/68/37/09/1046683709.db2.gz ADMDNJIPBIPZFI-LSDHHAIUSA-N 0 3 313.445 4.474 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000678641947 1046684536 /nfs/dbraw/zinc/68/45/36/1046684536.db2.gz XCOBDPWAWIJPEH-QLFBSQMISA-N 0 3 309.400 4.164 20 0 DIADHN Fc1ccc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c2c1 ZINC001137342746 1046685975 /nfs/dbraw/zinc/68/59/75/1046685975.db2.gz KYUYTCLAJNWYME-OKILXGFUSA-N 0 3 306.384 4.394 20 0 DIADHN CCC/C=C\C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001140391465 1046686211 /nfs/dbraw/zinc/68/62/11/1046686211.db2.gz SFUOMABPWVBWHS-QWPQOLDESA-N 0 3 322.880 4.195 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cncc(F)c1 ZINC000246020390 1046686816 /nfs/dbraw/zinc/68/68/16/1046686816.db2.gz KAAUUICFDFRDOA-JECHBYEQSA-N 0 3 320.795 4.055 20 0 DIADHN CC1(C)CC[C@@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001258596219 1046687081 /nfs/dbraw/zinc/68/70/81/1046687081.db2.gz FPEOKMASPDKDPJ-LLVKDONJSA-N 0 3 300.229 4.344 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2ccnc(C)c2)cc1Cl ZINC000834860537 1046688187 /nfs/dbraw/zinc/68/81/87/1046688187.db2.gz SIEURILILKPTQB-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc(Cl)c(N)c(Cl)c2)ccn1 ZINC000834859430 1046688739 /nfs/dbraw/zinc/68/87/39/1046688739.db2.gz CBURXFPDXRFRQP-JTQLQIEISA-N 0 3 310.228 4.130 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N(C)C[C@H]3CC=CCC3)o2)c1 ZINC000784390880 1046688993 /nfs/dbraw/zinc/68/89/93/1046688993.db2.gz LIUOKOYNSPGMGF-HOTGVXAUSA-N 0 3 311.429 4.394 20 0 DIADHN CCC(CC)CNCc1nc2cc(Br)ccc2o1 ZINC000643885438 1046689215 /nfs/dbraw/zinc/68/92/15/1046689215.db2.gz ZSHOKEOYEAHBNO-UHFFFAOYSA-N 0 3 311.223 4.116 20 0 DIADHN Brc1ccc2oc(CNC3CCCCC3)nc2c1 ZINC000643885433 1046689264 /nfs/dbraw/zinc/68/92/64/1046689264.db2.gz ZGJBDMREMJMIRK-UHFFFAOYSA-N 0 3 309.207 4.013 20 0 DIADHN CN(Cc1cnc(-c2ccccc2F)nc1)CC1CCCCC1 ZINC001140404531 1046689811 /nfs/dbraw/zinc/68/98/11/1046689811.db2.gz CGJGKRAUQLNGFY-UHFFFAOYSA-N 0 3 313.420 4.295 20 0 DIADHN Fc1cccc(O[C@@H]2CCN(Cc3cncc4ccccc43)C2)c1 ZINC000784489936 1046691368 /nfs/dbraw/zinc/69/13/68/1046691368.db2.gz ZVHYUSNLTUTFCT-LJQANCHMSA-N 0 3 322.383 4.027 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3ccc(Cl)nc3)C2)c1 ZINC000784498703 1046693525 /nfs/dbraw/zinc/69/35/25/1046693525.db2.gz QIYNAJFBQAWYIP-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)Oc1ncccc1CN[C@@H]1c2ccccc2CC[C@H]1C ZINC000678766491 1046694740 /nfs/dbraw/zinc/69/47/40/1046694740.db2.gz SVODBAYIHACYHO-BEFAXECRSA-N 0 3 310.441 4.282 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2n[nH]c3ccccc32)C1 ZINC001137349654 1046696982 /nfs/dbraw/zinc/69/69/82/1046696982.db2.gz DAEBIYNERMROKM-CYBMUJFWSA-N 0 3 311.816 4.206 20 0 DIADHN Clc1ccccc1[C@@H]1CCN(Cc2[nH]nc3ccccc32)C1 ZINC001137349654 1046696989 /nfs/dbraw/zinc/69/69/89/1046696989.db2.gz DAEBIYNERMROKM-CYBMUJFWSA-N 0 3 311.816 4.206 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)C[C@@H](C)CC)co2)cc1 ZINC000533329262 1046697796 /nfs/dbraw/zinc/69/77/96/1046697796.db2.gz JBUGOYJJGZRYNK-AWEZNQCLSA-N 0 3 302.418 4.218 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)cc1 ZINC000678778151 1046700775 /nfs/dbraw/zinc/70/07/75/1046700775.db2.gz YAGKNMDJRIWNDX-IBGZPJMESA-N 0 3 308.425 4.065 20 0 DIADHN COc1c(C)cnc(CN[C@H](CC2CC2)c2ccccc2)c1C ZINC000678775889 1046701178 /nfs/dbraw/zinc/70/11/78/1046701178.db2.gz GFFQGATXOXBTDW-GOSISDBHSA-N 0 3 310.441 4.338 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccc(Cl)cc2)o1)CC1CC1 ZINC000533352222 1046703270 /nfs/dbraw/zinc/70/32/70/1046703270.db2.gz XTZFTRTVPSGMJZ-UHFFFAOYSA-N 0 3 305.809 4.010 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CCCCC1)c1ccc(=O)[nH]n1 ZINC000796718641 1046723118 /nfs/dbraw/zinc/72/31/18/1046723118.db2.gz XEQFXMPZAHAUDA-AUUYWEPGSA-N 0 3 311.429 4.154 20 0 DIADHN Cc1csc(CN[C@@H]2CCCC[C@H]2Oc2ccccc2C)n1 ZINC000533445051 1046726778 /nfs/dbraw/zinc/72/67/78/1046726778.db2.gz WVSMTVZLUBRDQA-NVXWUHKLSA-N 0 3 316.470 4.240 20 0 DIADHN C[C@@H](N[C@H]1CCCc2n[nH]cc21)c1ccc(-c2cccnc2)cc1 ZINC000796778166 1046731258 /nfs/dbraw/zinc/73/12/58/1046731258.db2.gz GBAGMQVJTFRNET-KUHUBIRLSA-N 0 3 318.424 4.200 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC[C@@H](OC(C)C)c1ccccc1 ZINC000644681835 1046734083 /nfs/dbraw/zinc/73/40/83/1046734083.db2.gz BXQNFNNXMYRKJH-CXAGYDPISA-N 0 3 302.418 4.108 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(C(C)C)no2)C12CCCCC2 ZINC000678926378 1046735256 /nfs/dbraw/zinc/73/52/56/1046735256.db2.gz AISNIUBJJXXTHK-SJORKVTESA-N 0 3 306.450 4.016 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccccc3)[C@H]2C)nc2ccccc12 ZINC000533484589 1046737581 /nfs/dbraw/zinc/73/75/81/1046737581.db2.gz PZQKWOXOAGTJAS-VQIMIIECSA-N 0 3 317.436 4.316 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000678932180 1046739897 /nfs/dbraw/zinc/73/98/97/1046739897.db2.gz XKBKYXBSDMKVBC-MMPTUQATSA-N 0 3 308.422 4.017 20 0 DIADHN CCCN(Cc1cc(-c2ccccc2)no1)C[C@H]1CCCCO1 ZINC000533521062 1046744703 /nfs/dbraw/zinc/74/47/03/1046744703.db2.gz SJZALRJNGAIASC-QGZVFWFLSA-N 0 3 314.429 4.123 20 0 DIADHN Cc1ccc(CCNCc2ccc(Sc3ccccn3)o2)o1 ZINC000644883039 1046745224 /nfs/dbraw/zinc/74/52/24/1046745224.db2.gz LBGBZLLFJYUIAQ-UHFFFAOYSA-N 0 3 314.410 4.060 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1ccc(C(C)=O)c(O)c1 ZINC001211993699 1046746018 /nfs/dbraw/zinc/74/60/18/1046746018.db2.gz BAFZQSIZZXBXBT-UHFFFAOYSA-N 0 3 312.413 4.180 20 0 DIADHN CCCOc1c(CN[C@@H]2CCC(F)(F)C2)cccc1OCC ZINC000678994649 1046750319 /nfs/dbraw/zinc/75/03/19/1046750319.db2.gz QUYACVUAEJNXFZ-CQSZACIVSA-N 0 3 313.388 4.152 20 0 DIADHN Fc1ccc(C[C@H](N[C@@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678996975 1046752393 /nfs/dbraw/zinc/75/23/93/1046752393.db2.gz ARJZLFQLYDITQG-QAPCUYQASA-N 0 3 319.807 4.141 20 0 DIADHN CCn1nc(C)c(CNC/C(C)=C\c2ccc(Cl)cc2)c1C ZINC000533581793 1046757589 /nfs/dbraw/zinc/75/75/89/1046757589.db2.gz YALABFIKDLNTBZ-RAXLEYEMSA-N 0 3 317.864 4.366 20 0 DIADHN Fc1ccc(CN2CCCN(C/C=C/c3ccccc3)CC2)cc1 ZINC000645036093 1046758867 /nfs/dbraw/zinc/75/88/67/1046758867.db2.gz IHHWNUMAVNFTJQ-XBXARRHUSA-N 0 3 324.443 4.047 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H](C)[C@@H]2CCCCO2)o1 ZINC000679028956 1046761048 /nfs/dbraw/zinc/76/10/48/1046761048.db2.gz DJCIMWLLRBFTQT-KDOFPFPSSA-N 0 3 317.404 4.441 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@H]2c2ccccc2C)s1 ZINC001140518183 1046762889 /nfs/dbraw/zinc/76/28/89/1046762889.db2.gz HBXOSCLZMKPPHN-INIZCTEOSA-N 0 3 315.438 4.180 20 0 DIADHN Clc1ccc([C@@H]2CCC[C@@H]2NCc2cocn2)cc1Cl ZINC000679048442 1046763616 /nfs/dbraw/zinc/76/36/16/1046763616.db2.gz OXTIUQMPMCYYES-WFASDCNBSA-N 0 3 311.212 4.407 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccc(F)cc1OC(F)F ZINC000679071441 1046767915 /nfs/dbraw/zinc/76/79/15/1046767915.db2.gz IVLXDSMHFBRBGL-PSASIEDQSA-N 0 3 309.278 4.266 20 0 DIADHN CCc1ccc([C@H](O)CN[C@@H](C)c2ccc3ccccc3n2)cc1 ZINC000797014765 1046769895 /nfs/dbraw/zinc/76/98/95/1046769895.db2.gz DWZPNFMNVYRYPF-YCRPNKLZSA-N 0 3 320.436 4.181 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2ccc(C3CCC3)cc2)C1 ZINC000645169380 1046772152 /nfs/dbraw/zinc/77/21/52/1046772152.db2.gz ZQPMMBZXICMZOK-NRFANRHFSA-N 0 3 306.453 4.230 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@H](C)c2csc(Cl)c2)cc1 ZINC000797020638 1046772305 /nfs/dbraw/zinc/77/23/05/1046772305.db2.gz WMFPHUYFSOLUDF-ABAIWWIYSA-N 0 3 309.862 4.348 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)[C@H]1CCCCO1 ZINC000679091644 1046773534 /nfs/dbraw/zinc/77/35/34/1046773534.db2.gz HLXYCMMJWSKSRP-MISXGVKJSA-N 0 3 317.351 4.035 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1cc(F)cc(Cl)c1 ZINC001140542114 1046774098 /nfs/dbraw/zinc/77/40/98/1046774098.db2.gz BZPHNIWIUAJPET-UHFFFAOYSA-N 0 3 321.823 4.252 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCCCO1)c1nc(-c2ccccc2)cs1 ZINC000679092783 1046774609 /nfs/dbraw/zinc/77/46/09/1046774609.db2.gz SZZWFVVYIIIDRP-GRDNDAEWSA-N 0 3 316.470 4.418 20 0 DIADHN FC(F)(F)c1cccc(CCNCc2cscc2Cl)n1 ZINC000645182742 1046775003 /nfs/dbraw/zinc/77/50/03/1046775003.db2.gz LMMUSOZORCHWCN-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc(Cl)c(Cl)c2)s1 ZINC000533670080 1046775169 /nfs/dbraw/zinc/77/51/69/1046775169.db2.gz BJQSCWKFRMMBNW-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@@H](C)c2cc(F)ccc2F)cc1 ZINC000797059646 1046781970 /nfs/dbraw/zinc/78/19/70/1046781970.db2.gz KFLNKHNIKXBVNT-ZJNRKIDTSA-N 0 3 305.368 4.046 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@@H](C)c2ccccc2Cl)cc1 ZINC000797061754 1046783636 /nfs/dbraw/zinc/78/36/36/1046783636.db2.gz OQRFVYYBIGTWHI-SUNYJGFJSA-N 0 3 303.833 4.421 20 0 DIADHN Cc1ccc([C@H](O)[C@H](C)N[C@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000797065567 1046784625 /nfs/dbraw/zinc/78/46/25/1046784625.db2.gz LWYBWIUVVZRBNR-FTLABTOESA-N 0 3 323.358 4.185 20 0 DIADHN CN(C)Cc1cccc(NC(=O)[C@@H]2CC[C@H]3CCCC[C@H]3C2)c1 ZINC000533689843 1046785149 /nfs/dbraw/zinc/78/51/49/1046785149.db2.gz KNGQYQDMXKRNGI-FGTMMUONSA-N 0 3 314.473 4.293 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc(O)c(C)c3)CC2)c1 ZINC001140576716 1046791644 /nfs/dbraw/zinc/79/16/44/1046791644.db2.gz AAQWUVMOPMASAQ-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CC[C@@H](C)[C@@H](F)C2)c(C)c1 ZINC000679225393 1046795453 /nfs/dbraw/zinc/79/54/53/1046795453.db2.gz XLWIKVRQFBWUGA-PBHICJAKSA-N 0 3 320.452 4.010 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(Oc2ccccc2C)CC1 ZINC001140588118 1046797557 /nfs/dbraw/zinc/79/75/57/1046797557.db2.gz BLEZIZUJEXMYKF-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN C[C@@H](CCCC(F)(F)F)OC(=O)c1cccc(CN(C)C)c1 ZINC000835320272 1046799424 /nfs/dbraw/zinc/79/94/24/1046799424.db2.gz ZRPFQBIPTHEILN-LBPRGKRZSA-N 0 3 317.351 4.026 20 0 DIADHN C[C@@H]1C[C@H](CN(C)Cc2ccc(Cl)cc2Cl)CCO1 ZINC000679325721 1046810775 /nfs/dbraw/zinc/81/07/75/1046810775.db2.gz OTBINDYQGVPZCP-VXGBXAGGSA-N 0 3 302.245 4.240 20 0 DIADHN C[C@@H](c1cccc(NC(=O)c2ccccc2)c1)N1CC=CCC1 ZINC000679324221 1046809116 /nfs/dbraw/zinc/80/91/16/1046809116.db2.gz PLNMIVHLTBXWCZ-INIZCTEOSA-N 0 3 306.409 4.262 20 0 DIADHN C[C@@H]1C[C@H](NCc2nc(Br)cs2)CC(C)(C)C1 ZINC001258689463 1046814085 /nfs/dbraw/zinc/81/40/85/1046814085.db2.gz CPCBHFLOHYINKB-ZJUUUORDSA-N 0 3 317.296 4.210 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H]1c2cc(C)ccc2C[C@H]1C ZINC000679337608 1046815875 /nfs/dbraw/zinc/81/58/75/1046815875.db2.gz XZORFVCDGRMJCV-AUUYWEPGSA-N 0 3 310.441 4.039 20 0 DIADHN Oc1cc(CN2CCC3(CCCC3)CC2)ccc1OC(F)F ZINC001140625847 1046817009 /nfs/dbraw/zinc/81/70/09/1046817009.db2.gz KUFWNRSAJWLJRL-UHFFFAOYSA-N 0 3 311.372 4.150 20 0 DIADHN Oc1cc(CN2CCC[C@@H]2c2ccccc2)ccc1OC(F)F ZINC001140627997 1046817105 /nfs/dbraw/zinc/81/71/05/1046817105.db2.gz GOVNHLIQIUOXGL-OAHLLOKOSA-N 0 3 319.351 4.331 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCc2c(F)cccc2F)s1 ZINC000645800647 1046818445 /nfs/dbraw/zinc/81/84/45/1046818445.db2.gz CIFXKPXFKOEVAO-LLVKDONJSA-N 0 3 310.413 4.274 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCc2c(F)cccc2F)n1 ZINC000645816145 1046818713 /nfs/dbraw/zinc/81/87/13/1046818713.db2.gz UCZOIIQUTMKYKR-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN Cc1csc([C@@H](NCCc2c(F)cccc2F)C2CC2)n1 ZINC000645847573 1046823450 /nfs/dbraw/zinc/82/34/50/1046823450.db2.gz HAZVVWMRCQQWCB-HNNXBMFYSA-N 0 3 308.397 4.013 20 0 DIADHN CC(C)(CO)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000679357049 1046825422 /nfs/dbraw/zinc/82/54/22/1046825422.db2.gz UDVDEHOVRFKTDO-QGZVFWFLSA-N 0 3 321.823 4.177 20 0 DIADHN CC[C@@H](NCCC[C@H]1CCOC1)c1nc(C(F)(F)F)cs1 ZINC000645853729 1046826819 /nfs/dbraw/zinc/82/68/19/1046826819.db2.gz DYGNBXHFHYVICW-WDEREUQCSA-N 0 3 322.396 4.019 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1cccc(Cl)c1 ZINC000247260418 1046827795 /nfs/dbraw/zinc/82/77/95/1046827795.db2.gz ZDKRNAZPWPPJDH-KSZLIROESA-N 0 3 301.817 4.039 20 0 DIADHN FC(F)(F)CCN(CCC[C@@H]1CCOC1)Cc1ccccc1 ZINC000645890110 1046828637 /nfs/dbraw/zinc/82/86/37/1046828637.db2.gz NYHHVOQZIJRKPW-MRXNPFEDSA-N 0 3 315.379 4.258 20 0 DIADHN FC(F)(F)c1csc(C2CCN(CC3=CCCC3)CC2)n1 ZINC000645924683 1046830834 /nfs/dbraw/zinc/83/08/34/1046830834.db2.gz RIXWMSQQPZSIKJ-UHFFFAOYSA-N 0 3 316.392 4.452 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(-c2cccnc2)cc1 ZINC000679395651 1046837074 /nfs/dbraw/zinc/83/70/74/1046837074.db2.gz ZLSQYMKGQQJUTI-OAHLLOKOSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1[nH]nc(CNc2cc(O[C@H](C)c3ccccc3)ccn2)c1C ZINC001203055746 1046838910 /nfs/dbraw/zinc/83/89/10/1046838910.db2.gz RMBHMSXUYFVGIT-OAHLLOKOSA-N 0 3 322.412 4.174 20 0 DIADHN COc1ccc(CNC2(c3cccc(F)c3)CCC2)cc1F ZINC000646002175 1046840641 /nfs/dbraw/zinc/84/06/41/1046840641.db2.gz SLVKJPYOKIJJNH-UHFFFAOYSA-N 0 3 303.352 4.142 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@@H](c2cccnc2)C1 ZINC000645982182 1046841150 /nfs/dbraw/zinc/84/11/50/1046841150.db2.gz CNQOWCYUFLOCHC-KDOFPFPSSA-N 0 3 302.368 4.300 20 0 DIADHN CCC1(O)CCC(NCc2ccc(Oc3ccccc3)o2)CC1 ZINC000679483002 1046846705 /nfs/dbraw/zinc/84/67/05/1046846705.db2.gz NHQLJVAVHUUUJU-UHFFFAOYSA-N 0 3 315.413 4.245 20 0 DIADHN COCc1ccccc1/C=C(\C)CN(C)Cc1ccsc1 ZINC000646048959 1046847098 /nfs/dbraw/zinc/84/70/98/1046847098.db2.gz ZGEIAHRFQUZLNV-XNTDXEJSSA-N 0 3 301.455 4.430 20 0 DIADHN c1csc(CN(CCN2CCCCC2)Cc2cccs2)c1 ZINC000679537115 1046861222 /nfs/dbraw/zinc/86/12/22/1046861222.db2.gz URTPOWDEIMTUMX-UHFFFAOYSA-N 0 3 320.527 4.298 20 0 DIADHN Cc1ccc(Br)c(CN2CCC[C@@H](F)CC2)c1 ZINC001137380804 1046882716 /nfs/dbraw/zinc/88/27/16/1046882716.db2.gz XBLYSPRSVOKMJI-CYBMUJFWSA-N 0 3 300.215 4.082 20 0 DIADHN Cc1cc(CN[C@H]2CCc3cc(Cl)ccc32)cc(Cl)n1 ZINC000797597328 1046862478 /nfs/dbraw/zinc/86/24/78/1046862478.db2.gz CQAUAWREOICSEU-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN COc1ccc([C@H](NCc2cc(C)nc(Cl)c2)C2CC2)cc1 ZINC000797594196 1046863614 /nfs/dbraw/zinc/86/36/14/1046863614.db2.gz MJQQLKOVGXEMEG-GOSISDBHSA-N 0 3 316.832 4.293 20 0 DIADHN Cc1cc(-c2nnc(CN3CCCC[C@@H]3C3CCC3)o2)c(C)o1 ZINC000646137676 1046865025 /nfs/dbraw/zinc/86/50/25/1046865025.db2.gz RSZQIFSNKKKPRA-MRXNPFEDSA-N 0 3 315.417 4.101 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1cc(C)nc(Cl)c1 ZINC000797600854 1046865208 /nfs/dbraw/zinc/86/52/08/1046865208.db2.gz GYDWQTBGFYBUNM-YVEFUNNKSA-N 0 3 322.811 4.048 20 0 DIADHN CCCCN(Cc1nc(C)cs1)[C@H]1CCc2ncsc2C1 ZINC000646129829 1046865691 /nfs/dbraw/zinc/86/56/91/1046865691.db2.gz UUAFYQNWUCGIPC-ZDUSSCGKSA-N 0 3 321.515 4.068 20 0 DIADHN CCOc1ccc(CN2C[C@@H](C(F)(F)F)CC[C@@H]2C)cc1 ZINC000679546617 1046866983 /nfs/dbraw/zinc/86/69/83/1046866983.db2.gz RFKDFUGYWHKMLQ-JSGCOSHPSA-N 0 3 301.352 4.248 20 0 DIADHN c1cc(CN2CCSC[C@@H]2c2cccc3ccccc32)c[nH]1 ZINC001140710635 1046868645 /nfs/dbraw/zinc/86/86/45/1046868645.db2.gz OXBZKJBSYIPOMN-LJQANCHMSA-N 0 3 308.450 4.458 20 0 DIADHN Cc1cccc(OCCN2C[C@H](C(F)(F)F)CC[C@H]2C)c1 ZINC000679544879 1046869388 /nfs/dbraw/zinc/86/93/88/1046869388.db2.gz IQCJJRQHEQVCBT-ZIAGYGMSSA-N 0 3 301.352 4.037 20 0 DIADHN CC(=O)Nc1cccc(CN2CCCCC[C@H]2c2ccco2)c1 ZINC000076804518 1046869467 /nfs/dbraw/zinc/86/94/67/1046869467.db2.gz XYXGQMBBIWIFQU-SFHVURJKSA-N 0 3 312.413 4.355 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC([C@H]2CCOC2)C1 ZINC000646182328 1046873642 /nfs/dbraw/zinc/87/36/42/1046873642.db2.gz XFJMFVDLXZTJBT-LBPRGKRZSA-N 0 3 321.873 4.331 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1c(Cl)ncc2ccccc21 ZINC001140723798 1046873666 /nfs/dbraw/zinc/87/36/66/1046873666.db2.gz IRCJOJQNWXOINR-YIONKMFJSA-N 0 3 316.832 4.030 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1Cc2ccccc2[C@@H](C)C1 ZINC001139675854 1046875338 /nfs/dbraw/zinc/87/53/38/1046875338.db2.gz ZGKAPVPUGNCBRW-HNNXBMFYSA-N 0 3 305.425 4.070 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1n[nH]c2ccccc21)\c1ccccc1 ZINC000679582584 1046875548 /nfs/dbraw/zinc/87/55/48/1046875548.db2.gz DELOELCAGGIOJD-MUSGIIIZSA-N 0 3 303.409 4.241 20 0 DIADHN C(=C\[C@H]1CCCN1Cc1[nH]nc2ccccc21)\c1ccccc1 ZINC000679582584 1046875565 /nfs/dbraw/zinc/87/55/65/1046875565.db2.gz DELOELCAGGIOJD-MUSGIIIZSA-N 0 3 303.409 4.241 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccc(Cl)cc2)o1)C1CCC1 ZINC000077357543 1046879800 /nfs/dbraw/zinc/87/98/00/1046879800.db2.gz ZYFQETHFXWALCX-UHFFFAOYSA-N 0 3 305.809 4.153 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(c3cccc(O)c3)CC2)[nH]1 ZINC001137378749 1046879877 /nfs/dbraw/zinc/87/98/77/1046879877.db2.gz DJFNZMYNYIIDPO-UHFFFAOYSA-N 0 3 312.457 4.397 20 0 DIADHN CC(C)N(Cc1csc(-c2ccsc2)n1)C1CCOCC1 ZINC000077323853 1046880896 /nfs/dbraw/zinc/88/08/96/1046880896.db2.gz PAVILEUAFODXDR-UHFFFAOYSA-N 0 3 322.499 4.261 20 0 DIADHN Cc1ccc(Br)c(CN2CCC[C@H](F)CC2)c1 ZINC001137380805 1046884854 /nfs/dbraw/zinc/88/48/54/1046884854.db2.gz XBLYSPRSVOKMJI-ZDUSSCGKSA-N 0 3 300.215 4.082 20 0 DIADHN Cn1cnc2ccc(CN3CCC(c4ccccc4F)CC3)cc21 ZINC001140746724 1046884662 /nfs/dbraw/zinc/88/46/62/1046884662.db2.gz MRZNNXQKTRKWOP-UHFFFAOYSA-N 0 3 323.415 4.092 20 0 DIADHN Brc1ccnc(CN2CCC[C@H]2C2CCCCC2)c1 ZINC001140766701 1046890819 /nfs/dbraw/zinc/89/08/19/1046890819.db2.gz OZMOWMSHHORZNX-INIZCTEOSA-N 0 3 323.278 4.389 20 0 DIADHN Cc1cc(F)ccc1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001140781168 1046894657 /nfs/dbraw/zinc/89/46/57/1046894657.db2.gz NIGDLNZXPYCXMJ-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN Cc1cc(F)ccc1CN1CCC[C@H](c2nc(C(C)C)no2)C1 ZINC001140780980 1046894735 /nfs/dbraw/zinc/89/47/35/1046894735.db2.gz MINHJGKRKXCTDS-HNNXBMFYSA-N 0 3 317.408 4.020 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C)nc2N2CCCC2)c(C)c1 ZINC000679723697 1046895128 /nfs/dbraw/zinc/89/51/28/1046895128.db2.gz QCVOZJXHVPGBKK-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC[C@@H](OC(F)F)C1 ZINC000679741138 1046897499 /nfs/dbraw/zinc/89/74/99/1046897499.db2.gz VTAHLXSDICADBG-CQSZACIVSA-N 0 3 321.367 4.052 20 0 DIADHN Cc1ccc(CN[C@H]2CCc3c2cccc3C)c(N2CCCC2)n1 ZINC000679724056 1046897824 /nfs/dbraw/zinc/89/78/24/1046897824.db2.gz QNZFYYFJUMPWRH-FQEVSTJZSA-N 0 3 321.468 4.076 20 0 DIADHN c1oc2ccccc2c1CN1CC[C@@H]1COCc1ccccc1 ZINC001140786060 1046897787 /nfs/dbraw/zinc/89/77/87/1046897787.db2.gz WVZCVCLDCWOEHN-GOSISDBHSA-N 0 3 307.393 4.224 20 0 DIADHN Cc1cccc(O[C@H]2CCCN(Cc3coc4ccccc34)C2)n1 ZINC001140786288 1046897868 /nfs/dbraw/zinc/89/78/68/1046897868.db2.gz KMUVTBKGEYXGGI-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1nn(C)c(Cl)c1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000797876586 1046900314 /nfs/dbraw/zinc/90/03/14/1046900314.db2.gz BUOOBHKRFFUMRN-MQMHXKEQSA-N 0 3 324.255 4.071 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(O)cc(Cl)c3)C2)c1 ZINC001140790773 1046902881 /nfs/dbraw/zinc/90/28/81/1046902881.db2.gz LJCATBSEBAPZDH-OAHLLOKOSA-N 0 3 317.816 4.044 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1Cc1cnc2cc[nH]cc1-2 ZINC001140805822 1046908306 /nfs/dbraw/zinc/90/83/06/1046908306.db2.gz MEAVZOFBHZPUGH-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCCN1Cc1c[nH]c2ccncc12 ZINC001140805822 1046908313 /nfs/dbraw/zinc/90/83/13/1046908313.db2.gz MEAVZOFBHZPUGH-QGZVFWFLSA-N 0 3 313.351 4.178 20 0 DIADHN Cn1cccc1CNCc1cccc(COCc2ccccc2)c1 ZINC000161643419 1046908675 /nfs/dbraw/zinc/90/86/75/1046908675.db2.gz JDODTASCVFPXTM-UHFFFAOYSA-N 0 3 320.436 4.032 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2cccc(-c3ccncc3)c2)C1 ZINC001137393459 1046914338 /nfs/dbraw/zinc/91/43/38/1046914338.db2.gz PMOSSZWFLMEYDL-MRXNPFEDSA-N 0 3 306.331 4.133 20 0 DIADHN COc1ccc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)c(Cl)c1 ZINC001140823195 1046915677 /nfs/dbraw/zinc/91/56/77/1046915677.db2.gz YHGIEVHSAWPULM-GASCZTMLSA-N 0 3 313.828 4.435 20 0 DIADHN COc1ccc(CN2CCCC3(CC(F)C3)CC2)c(Cl)c1 ZINC001140823095 1046917025 /nfs/dbraw/zinc/91/70/25/1046917025.db2.gz UEOVBCDPPUJMKC-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN C[C@H](Cc1ccsc1)N1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000679988913 1046921790 /nfs/dbraw/zinc/92/17/90/1046921790.db2.gz CSWSVQGASGYKTK-RKVPGOIHSA-N 0 3 301.455 4.141 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(Oc3ccccc3)o2)CC1 ZINC000680003717 1046926019 /nfs/dbraw/zinc/92/60/19/1046926019.db2.gz LLXBXAHQRPVXCH-OAHLLOKOSA-N 0 3 301.386 4.073 20 0 DIADHN FC(F)(F)c1cccc(CN2CC[C@H](Cc3ccncc3)C2)c1 ZINC000680002310 1046926061 /nfs/dbraw/zinc/92/60/61/1046926061.db2.gz ULIVLPNRRKKRGR-MRXNPFEDSA-N 0 3 320.358 4.165 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CNCCC1=CCCC1 ZINC000796339863 1046927197 /nfs/dbraw/zinc/92/71/97/1046927197.db2.gz ZRPSAUDKJJZIFP-UHFFFAOYSA-N 0 3 310.228 4.231 20 0 DIADHN C[C@H](N[C@@H]1CCCC1(C)C)c1nc(Br)ccc1F ZINC000798182833 1046934852 /nfs/dbraw/zinc/93/48/52/1046934852.db2.gz KJOYRIWPTDJRFJ-GXSJLCMTSA-N 0 3 315.230 4.213 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CN[C@@H]1CC12CCCC2 ZINC000796361792 1046934830 /nfs/dbraw/zinc/93/48/30/1046934830.db2.gz ICCJVZVXBGFOSU-GFCCVEGCSA-N 0 3 310.228 4.063 20 0 DIADHN CCc1nn(C)c(Cl)c1CNCC(C)(C)c1ccccc1C ZINC000303608403 1046937502 /nfs/dbraw/zinc/93/75/02/1046937502.db2.gz BEWLIBDLJYRKOA-UHFFFAOYSA-N 0 3 319.880 4.012 20 0 DIADHN Cc1cc(CN2CCC(CCc3ccc(Cl)cc3)CC2)n[nH]1 ZINC001203077042 1046945695 /nfs/dbraw/zinc/94/56/95/1046945695.db2.gz PONWGXMYRDHXFZ-UHFFFAOYSA-N 0 3 317.864 4.216 20 0 DIADHN CC(C)Cn1nccc1CN[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000647179118 1046951266 /nfs/dbraw/zinc/95/12/66/1046951266.db2.gz AIVKDTQCBDKYHX-LJQANCHMSA-N 0 3 315.436 4.309 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000162253489 1046951112 /nfs/dbraw/zinc/95/11/12/1046951112.db2.gz NPKUHGIXRVHOKS-GUYCJALGSA-N 0 3 318.486 4.258 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cnccc1C(F)(F)F)CC2 ZINC001140920098 1046952189 /nfs/dbraw/zinc/95/21/89/1046952189.db2.gz JKJYKOXZBQRTKO-UHFFFAOYSA-N 0 3 316.342 4.205 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)s1 ZINC000827377467 1046953472 /nfs/dbraw/zinc/95/34/72/1046953472.db2.gz YUZSQEJPRQQLTC-GDBMZVCRSA-N 0 3 308.491 4.048 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cccn1-c1ccc(Cl)cc1)C2 ZINC001140941625 1046955536 /nfs/dbraw/zinc/95/55/36/1046955536.db2.gz PBAWQIVNPRUFQD-MRXNPFEDSA-N 0 3 322.786 4.362 20 0 DIADHN CC1(C)CN(Cc2cccn2-c2ccc(Cl)cc2)CCCO1 ZINC001140941141 1046955661 /nfs/dbraw/zinc/95/56/61/1046955661.db2.gz ABSRGZGDTUWXFB-UHFFFAOYSA-N 0 3 318.848 4.132 20 0 DIADHN C[C@H](CNCc1csc(-c2ccsc2)n1)CC(F)F ZINC000647225414 1046956911 /nfs/dbraw/zinc/95/69/11/1046956911.db2.gz DFZODZPKJGVLGS-VIFPVBQESA-N 0 3 302.415 4.253 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1c[nH]c2ncccc12 ZINC001137402301 1046958644 /nfs/dbraw/zinc/95/86/44/1046958644.db2.gz SCBUCXRMVWOBNC-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN Clc1cccc(C2(NCc3ccc4c[nH]nc4c3)CCC2)c1 ZINC000647252152 1046960413 /nfs/dbraw/zinc/96/04/13/1046960413.db2.gz VOAQMXFMIRDZGP-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN CN(Cc1c[nH]c2ncccc12)Cc1ccc(C(F)(F)F)cc1 ZINC001137403730 1046961726 /nfs/dbraw/zinc/96/17/26/1046961726.db2.gz ZYTOTLPQSFVKRE-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCOCc1ccccc1CN[C@H](CC(C)C)c1ccncc1 ZINC000647281676 1046965243 /nfs/dbraw/zinc/96/52/43/1046965243.db2.gz AOCUQPJSCVROFZ-HXUWFJFHSA-N 0 3 312.457 4.495 20 0 DIADHN C[C@H](CN[C@@H](c1cccnc1)c1ccc(F)cc1F)C1CC1 ZINC000680338522 1046965688 /nfs/dbraw/zinc/96/56/88/1046965688.db2.gz DCMCYLIBRJXTEY-XIKOKIGWSA-N 0 3 302.368 4.085 20 0 DIADHN Fc1cccc(O[C@H]2CCN(Cc3nccc4ccccc43)C2)c1 ZINC000680335394 1046966546 /nfs/dbraw/zinc/96/65/46/1046966546.db2.gz XQKCSBJLQCNXCN-SFHVURJKSA-N 0 3 322.383 4.027 20 0 DIADHN Cc1cccc2c1CCN(Cc1cccc(-c3ncccn3)c1)C2 ZINC001140975599 1046966654 /nfs/dbraw/zinc/96/66/54/1046966654.db2.gz KWJNJQLTDHUBIF-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN C[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cn1)c1ccncc1 ZINC000680341790 1046967376 /nfs/dbraw/zinc/96/73/76/1046967376.db2.gz DOXVZUVCICXURA-QRWLVFNGSA-N 0 3 321.399 4.098 20 0 DIADHN Fc1cccc([C@H](NCC2CCSCC2)c2ccccn2)c1 ZINC000680340621 1046967580 /nfs/dbraw/zinc/96/75/80/1046967580.db2.gz NMGZMMORXRHTRH-SFHVURJKSA-N 0 3 316.445 4.043 20 0 DIADHN COc1ccc([C@H](NCC2CCSCC2)c2ccco2)cc1 ZINC000680340803 1046967987 /nfs/dbraw/zinc/96/79/87/1046967987.db2.gz OTRHJVFCFACNFP-SFHVURJKSA-N 0 3 317.454 4.110 20 0 DIADHN COc1ccc([C@@H](NCC2CCSCC2)c2ccco2)cc1 ZINC000680340801 1046968219 /nfs/dbraw/zinc/96/82/19/1046968219.db2.gz OTRHJVFCFACNFP-GOSISDBHSA-N 0 3 317.454 4.110 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](N[C@H](C)c2cc3n(n2)CCCC3)C1 ZINC000647302728 1046973218 /nfs/dbraw/zinc/97/32/18/1046973218.db2.gz OKIKRJBWFHARTA-BRWVUGGUSA-N 0 3 309.457 4.125 20 0 DIADHN CCSc1cc(CN[C@H](CC(C)C)c2ccncc2)ccn1 ZINC000647300622 1046973941 /nfs/dbraw/zinc/97/39/41/1046973941.db2.gz HCPMSWZLIRPOMR-QGZVFWFLSA-N 0 3 315.486 4.466 20 0 DIADHN FC1(F)CCCN(Cc2ccc(-c3ccccn3)s2)CC1 ZINC001141002945 1046974707 /nfs/dbraw/zinc/97/47/07/1046974707.db2.gz KVOOCHVTMYTZPG-UHFFFAOYSA-N 0 3 308.397 4.431 20 0 DIADHN C[C@H](CN[C@H](c1ccc(F)cc1)c1ccccn1)CC(F)F ZINC000647319060 1046975401 /nfs/dbraw/zinc/97/54/01/1046975401.db2.gz KNVMDYYQNHOTOO-YVEFUNNKSA-N 0 3 308.347 4.191 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](OC(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000647343612 1046983993 /nfs/dbraw/zinc/98/39/93/1046983993.db2.gz XVEQAEWYYFSVPR-UPJWGTAASA-N 0 3 308.491 4.019 20 0 DIADHN Cc1ccncc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001141033656 1046984749 /nfs/dbraw/zinc/98/47/49/1046984749.db2.gz ZUUGUIRZSWMVBF-UHFFFAOYSA-N 0 3 306.453 4.260 20 0 DIADHN CC(C)OCCN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000680470083 1046986117 /nfs/dbraw/zinc/98/61/17/1046986117.db2.gz NFNNXAWQEOUUOQ-QGZVFWFLSA-N 0 3 303.446 4.228 20 0 DIADHN CC(C)(C)c1ccc([C@@H](O)CN[C@H]2CCCc3cccnc32)cc1 ZINC000798929121 1046986563 /nfs/dbraw/zinc/98/65/63/1046986563.db2.gz LPUMEWSDZUVMPO-OALUTQOASA-N 0 3 324.468 4.080 20 0 DIADHN Cc1ccncc1CN1CCC2(CC1)OC(C)(C)c1ccccc12 ZINC001141035766 1046986688 /nfs/dbraw/zinc/98/66/88/1046986688.db2.gz BYSUVNIJUUZCNG-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN c1ccc([C@H](N[C@H]2CCCc3cccnc32)C2CCOCC2)cc1 ZINC000798929502 1046986831 /nfs/dbraw/zinc/98/68/31/1046986831.db2.gz UZVCWJJOHJNOET-PMACEKPBSA-N 0 3 322.452 4.217 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](c2cncc(F)c2)C2CC2)c1 ZINC000798927974 1046987478 /nfs/dbraw/zinc/98/74/78/1046987478.db2.gz WIIXYFZFQVOLDN-LJQANCHMSA-N 0 3 314.404 4.249 20 0 DIADHN Cc1cc(CN2CCC3(CC2)OC(C)(C)c2ccccc23)ccn1 ZINC001137415448 1046989986 /nfs/dbraw/zinc/98/99/86/1046989986.db2.gz XOUGXZQVBCCRAP-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC(c2ccc(F)cc2)CC1 ZINC000798945298 1046990388 /nfs/dbraw/zinc/99/03/88/1046990388.db2.gz SHUBLFFKWLXTEP-UHFFFAOYSA-N 0 3 315.436 4.291 20 0 DIADHN Cc1ccncc1CN1CCC(Cc2ccc(F)cc2F)CC1 ZINC001141048437 1046990904 /nfs/dbraw/zinc/99/09/04/1046990904.db2.gz OSBHLYHABUBMNM-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN CN(Cc1ccn2ccnc2c1)Cc1ccc2ccccc2c1 ZINC001141049417 1046990961 /nfs/dbraw/zinc/99/09/61/1046990961.db2.gz GVMBSSZHGGECOH-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN Fc1ccc([C@@H](NCC2CCC(F)CC2)c2ccccc2)nc1 ZINC000647349684 1046991632 /nfs/dbraw/zinc/99/16/32/1046991632.db2.gz BCKQQMARSLUEQZ-KJXMEXGPSA-N 0 3 316.395 4.428 20 0 DIADHN C[C@H](N[C@H]1CCS[C@H]1C)c1csc(-c2ccccc2)n1 ZINC000647362287 1046992827 /nfs/dbraw/zinc/99/28/27/1046992827.db2.gz ZIMJQLSMWFUOHR-OBJOEFQTSA-N 0 3 304.484 4.355 20 0 DIADHN FC(F)(F)c1ccccc1CN1CC[C@@H](c2ccccn2)C1 ZINC000798965230 1046995076 /nfs/dbraw/zinc/99/50/76/1046995076.db2.gz QDIVMLBTDIMLOZ-CQSZACIVSA-N 0 3 306.331 4.090 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc3c(c2)CCCC3)cc1C#N ZINC000303850205 1046995208 /nfs/dbraw/zinc/99/52/08/1046995208.db2.gz KLRHJPHSLTZZJS-OAHLLOKOSA-N 0 3 320.436 4.296 20 0 DIADHN COc1ccc2nc(Cl)c(CN3C[C@@H]4CCC[C@@H]4C3)cc2c1 ZINC001141062712 1046995264 /nfs/dbraw/zinc/99/52/64/1046995264.db2.gz PVOPMFGNCUEBGC-BETUJISGSA-N 0 3 316.832 4.129 20 0 DIADHN Cc1nnc(-c2ccc(Nc3ccnc(C4CCC4)c3)cc2)o1 ZINC001203092018 1047001337 /nfs/dbraw/zinc/00/13/37/1047001337.db2.gz UPLJUPUSFQJWEK-UHFFFAOYSA-N 0 3 306.369 4.451 20 0 DIADHN COc1cc(OCc2ccccc2)ccc1CN1CC2CC(C2)C1 ZINC001141083947 1047003157 /nfs/dbraw/zinc/00/31/57/1047003157.db2.gz HMAGXULZNSFUGO-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccncc2NC(=O)OC(C)(C)C)C1 ZINC001141087013 1047005745 /nfs/dbraw/zinc/00/57/45/1047005745.db2.gz QOHQFAFFLNOFOJ-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN c1csc(-c2ccccc2CN2CCN(C3CCC3)CC2)c1 ZINC001141093549 1047010135 /nfs/dbraw/zinc/01/01/35/1047010135.db2.gz FJZDQWVKJPKSTA-UHFFFAOYSA-N 0 3 312.482 4.085 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@H](C)c1ccccc1F)CC2 ZINC000533767004 1047014444 /nfs/dbraw/zinc/01/44/44/1047014444.db2.gz MKLGZPZQXKRORQ-CQSZACIVSA-N 0 3 311.400 4.190 20 0 DIADHN Cn1c(Cl)cnc1CNC[C@H]1CCC(C)(C)c2ccccc21 ZINC000799340916 1047019764 /nfs/dbraw/zinc/01/97/64/1047019764.db2.gz NJVFQRMIZSWUCL-CYBMUJFWSA-N 0 3 317.864 4.018 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@H](c2ccccn2)C1 ZINC000647950297 1047022667 /nfs/dbraw/zinc/02/26/67/1047022667.db2.gz SGQHSDXRAZBACE-HNNXBMFYSA-N 0 3 320.358 4.480 20 0 DIADHN COc1ccc2nccc(CN3CCC(F)(F)C(C)(C)C3)c2c1 ZINC001141147233 1047026656 /nfs/dbraw/zinc/02/66/56/1047026656.db2.gz UOECNMTXBIGPKN-UHFFFAOYSA-N 0 3 320.383 4.111 20 0 DIADHN Fc1cccc(Cl)c1CNC[C@@H]1CCN(c2ccccc2)C1 ZINC000237750900 1047027108 /nfs/dbraw/zinc/02/71/08/1047027108.db2.gz SMZGWUWPGZOZOK-AWEZNQCLSA-N 0 3 318.823 4.095 20 0 DIADHN COc1ccc2nccc(CN3CCc4ccc(C)cc4C3)c2c1 ZINC001141146723 1047027995 /nfs/dbraw/zinc/02/79/95/1047027995.db2.gz FIXZLNAFRJIAFD-UHFFFAOYSA-N 0 3 318.420 4.110 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1ccnc2ccc(OC)cc12 ZINC001141151391 1047028176 /nfs/dbraw/zinc/02/81/76/1047028176.db2.gz JVWZJSMSXLXOTK-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN CCCCCC[C@H](C)CC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001141153861 1047028672 /nfs/dbraw/zinc/02/86/72/1047028672.db2.gz QUBKKFAEYSIECE-SFHVURJKSA-N 0 3 322.537 4.460 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cn[nH]c1 ZINC001203098812 1047029652 /nfs/dbraw/zinc/02/96/52/1047029652.db2.gz GNYVKIFNYCZRJG-UHFFFAOYSA-N 0 3 305.425 4.064 20 0 DIADHN CCOc1cc(C[NH2+]CC2CCC(F)CC2)cc(Cl)c1[O-] ZINC000648073184 1047033270 /nfs/dbraw/zinc/03/32/70/1047033270.db2.gz DJNGQCWINXIJIJ-UHFFFAOYSA-N 0 3 315.816 4.062 20 0 DIADHN Cc1cc(CN[C@@H](c2ccccc2)C2(CO)CC=CC2)cs1 ZINC000648069023 1047033726 /nfs/dbraw/zinc/03/37/26/1047033726.db2.gz MBKRILSZSCDKMX-SFHVURJKSA-N 0 3 313.466 4.216 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1NC(=O)[C@@H](N)CC(C)C ZINC000648076458 1047036513 /nfs/dbraw/zinc/03/65/13/1047036513.db2.gz QRAFILZFQDHOGW-KRWDZBQOSA-N 0 3 312.413 4.099 20 0 DIADHN C[C@H]1CN(Cc2ccc3c(c2)CCC(C)(C)O3)CCC1(F)F ZINC001141182662 1047038207 /nfs/dbraw/zinc/03/82/07/1047038207.db2.gz XMQLNGIMIZAJEC-ZDUSSCGKSA-N 0 3 309.400 4.267 20 0 DIADHN Cn1nccc1CCN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000429726172 1047043459 /nfs/dbraw/zinc/04/34/59/1047043459.db2.gz QYEIWYNHXYHVQT-MRXNPFEDSA-N 0 3 324.255 4.107 20 0 DIADHN COCc1ccc(CN(C)Cc2cccc(C(F)(F)F)c2)cc1 ZINC000536653916 1047047362 /nfs/dbraw/zinc/04/73/62/1047047362.db2.gz BVVTWKVTSCZAEX-UHFFFAOYSA-N 0 3 323.358 4.484 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccncc3C)CC2)cc1C ZINC000648361054 1047049410 /nfs/dbraw/zinc/04/94/10/1047049410.db2.gz IDNNFMAULBKMTD-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN COc1cccc(CN2CCC3(C=Cc4ccccc43)CC2)c1F ZINC001141220463 1047049725 /nfs/dbraw/zinc/04/97/25/1047049725.db2.gz YBMGBXCJQYSQNH-UHFFFAOYSA-N 0 3 323.411 4.395 20 0 DIADHN CC(C)[C@H]1CN(Cc2cc3cc(Cl)cnc3o2)CCS1 ZINC000648381419 1047051064 /nfs/dbraw/zinc/05/10/64/1047051064.db2.gz ZQXGMPQVNFDQME-CQSZACIVSA-N 0 3 310.850 4.055 20 0 DIADHN COc1cccc(CN(C)CCc2ccc(Cl)cc2)c1F ZINC001141223265 1047051542 /nfs/dbraw/zinc/05/15/42/1047051542.db2.gz VKIFJLOEJGAXGN-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Clc1cc(Cl)cc(CNCc2ccc3c[nH]nc3c2)c1 ZINC001203106135 1047054207 /nfs/dbraw/zinc/05/42/07/1047054207.db2.gz VCCYHNRXBSRDPO-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN CCc1ccccc1CN1CC2(CC(OCc3ccccc3)C2)C1 ZINC001141228009 1047056414 /nfs/dbraw/zinc/05/64/14/1047056414.db2.gz XYBWXUYXKUUQSB-UHFFFAOYSA-N 0 3 321.464 4.430 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3ccccn3)C2)ccc1Cl ZINC001141237271 1047057186 /nfs/dbraw/zinc/05/71/86/1047057186.db2.gz KAWWNHNUQGHPAQ-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN COc1cc(CN[C@H](C)c2cnccc2C)cc2ccccc21 ZINC000648493968 1047059180 /nfs/dbraw/zinc/05/91/80/1047059180.db2.gz DLFUSQZSMVQANM-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CO[C@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)[C@H](C)C1 ZINC000799738174 1047060895 /nfs/dbraw/zinc/06/08/95/1047060895.db2.gz SRUHSVFULQVNNP-YPMHNXCESA-N 0 3 319.392 4.298 20 0 DIADHN Cc1cc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)ccc1Cl ZINC001141237906 1047062787 /nfs/dbraw/zinc/06/27/87/1047062787.db2.gz YWBYJLBHRGOFNC-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000536670980 1047063649 /nfs/dbraw/zinc/06/36/49/1047063649.db2.gz FQSWLACUBQDVSR-IRXDYDNUSA-N 0 3 315.486 4.183 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](Cc2ccncc2)C1 ZINC000680892350 1047064086 /nfs/dbraw/zinc/06/40/86/1047064086.db2.gz XOORDJSXMAHXQW-ZFWWWQNUSA-N 0 3 318.823 4.500 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@@H](Cc2ccncc2)C1 ZINC000680896915 1047065036 /nfs/dbraw/zinc/06/50/36/1047065036.db2.gz NRQSLZLGRJZZOA-SFHVURJKSA-N 0 3 317.436 4.316 20 0 DIADHN CCOc1ccc(OCCN2CCc3sccc3[C@@H]2C)cc1 ZINC000680925560 1047067592 /nfs/dbraw/zinc/06/75/92/1047067592.db2.gz NETOLYFNUUJIKS-AWEZNQCLSA-N 0 3 317.454 4.145 20 0 DIADHN COc1ccccc1[C@H]1CCCN1CC1=Cc2ccccc2OC1 ZINC000536683895 1047070886 /nfs/dbraw/zinc/07/08/86/1047070886.db2.gz YESDYTGPRAYARK-LJQANCHMSA-N 0 3 321.420 4.308 20 0 DIADHN Clc1cnc2oc(CN3CC4(CCC4)[C@H]3C3CC3)cc2c1 ZINC000648591592 1047071891 /nfs/dbraw/zinc/07/18/91/1047071891.db2.gz RNOGDBAVPNLXHM-OAHLLOKOSA-N 0 3 302.805 4.246 20 0 DIADHN C[C@@H]1CCCCN1Cc1c(-c2ccccc2)nc2n1CCS2 ZINC001141298636 1047079504 /nfs/dbraw/zinc/07/95/04/1047079504.db2.gz ZVDRPINWSKJWCQ-CQSZACIVSA-N 0 3 313.470 4.030 20 0 DIADHN C[C@@H]1CN(Cc2cccc(-n3cccn3)c2)[C@@H]1c1ccccc1 ZINC000536708647 1047080032 /nfs/dbraw/zinc/08/00/32/1047080032.db2.gz KWXSYBOVQBTQKK-UZLBHIALSA-N 0 3 303.409 4.065 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2ccccc2)[C@@H]1c1ccccc1 ZINC000536708656 1047080130 /nfs/dbraw/zinc/08/01/30/1047080130.db2.gz LJBSJQLHVKVXEU-YWZLYKJASA-N 0 3 303.409 4.270 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CC1=Cc2ccccc2OC1 ZINC000536708700 1047083615 /nfs/dbraw/zinc/08/36/15/1047083615.db2.gz OMFUZYZZLZACDD-IBGZPJMESA-N 0 3 308.425 4.028 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](CN2CCCCC2)c2ccccc2)c1 ZINC000681052420 1047086455 /nfs/dbraw/zinc/08/64/55/1047086455.db2.gz PLEAZFXTMQZYED-GHTZIAJQSA-N 0 3 323.484 4.268 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1coc(-c2ccc(OC)cc2)n1 ZINC000536744170 1047089330 /nfs/dbraw/zinc/08/93/30/1047089330.db2.gz OQIGQUIFBKHJIM-CJNGLKHVSA-N 0 3 300.402 4.113 20 0 DIADHN COc1ccsc1CN1CCC[C@@H](Oc2ccccc2C)C1 ZINC001141326885 1047093127 /nfs/dbraw/zinc/09/31/27/1047093127.db2.gz ULNTWENIGVJUHH-OAHLLOKOSA-N 0 3 317.454 4.109 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)CCC3CC3)cs2)cc1 ZINC000681095028 1047094024 /nfs/dbraw/zinc/09/40/24/1047094024.db2.gz KNVBDWBSPCRJCL-ZDUSSCGKSA-N 0 3 316.470 4.487 20 0 DIADHN O[C@@H](CN[C@@H]1CCCSc2ccc(Cl)cc21)c1ccco1 ZINC000305083496 1047096709 /nfs/dbraw/zinc/09/67/09/1047096709.db2.gz SARGRLMQNINWAU-KGLIPLIRSA-N 0 3 323.845 4.183 20 0 DIADHN C[C@@H](NCCCOc1ccccc1)c1nc2c(s1)CCCC2 ZINC000536770461 1047098865 /nfs/dbraw/zinc/09/88/65/1047098865.db2.gz FKRHDVHVFOMZFV-CQSZACIVSA-N 0 3 316.470 4.142 20 0 DIADHN Cc1cc(F)ccc1CCN[C@H](C)c1nc2c(s1)CCCC2 ZINC000536770747 1047099415 /nfs/dbraw/zinc/09/94/15/1047099415.db2.gz GLPAFYWMYZEJKR-CYBMUJFWSA-N 0 3 318.461 4.363 20 0 DIADHN C[C@H](NCCc1c(F)cccc1F)c1nc2c(s1)CCCC2 ZINC000536773261 1047099665 /nfs/dbraw/zinc/09/96/65/1047099665.db2.gz SQRZSHWYUIVAQX-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN C[C@H](NCCc1cc(F)ccc1F)c1nc2c(s1)CCCC2 ZINC000536770275 1047099874 /nfs/dbraw/zinc/09/98/74/1047099874.db2.gz FADCOOHMRCARRG-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCOc1ccc2ccccc2c1 ZINC000536790334 1047101922 /nfs/dbraw/zinc/10/19/22/1047101922.db2.gz NGWBRLCPTGCMSN-MRXNPFEDSA-N 0 3 306.409 4.307 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H](CC1CC1)c1ccccc1 ZINC000536829180 1047102832 /nfs/dbraw/zinc/10/28/32/1047102832.db2.gz WLUJOQIDTRGSFJ-LJQANCHMSA-N 0 3 311.473 4.176 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cncc2ccccc21)c1ccccc1 ZINC000827526860 1047108668 /nfs/dbraw/zinc/10/86/68/1047108668.db2.gz QEARYOAUGCEDLE-RTWAWAEBSA-N 0 3 320.436 4.083 20 0 DIADHN C[C@@H](NCCCc1cccs1)c1nc2c(s1)CCCC2 ZINC000536852169 1047111269 /nfs/dbraw/zinc/11/12/69/1047111269.db2.gz MTOMIJDSOKVSSY-GFCCVEGCSA-N 0 3 306.500 4.367 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@H]2CCCC(F)(F)C2)cc1OC ZINC000536851567 1047112067 /nfs/dbraw/zinc/11/20/67/1047112067.db2.gz KTNDDSVRNVJBGY-QWRGUYRKSA-N 0 3 317.351 4.071 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H](C)c1cccc(OC)c1 ZINC000536861303 1047115366 /nfs/dbraw/zinc/11/53/66/1047115366.db2.gz CQBUGWWELVOEDL-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN CCOC1CCN(c2ccnc3ccc(OC(C)C)cc32)CC1 ZINC000649250816 1047118669 /nfs/dbraw/zinc/11/86/69/1047118669.db2.gz HMHANDOKCBEMEH-UHFFFAOYSA-N 0 3 314.429 4.027 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N1CC[C@@H](Cc2ccncc2)C1 ZINC000681410720 1047122314 /nfs/dbraw/zinc/12/23/14/1047122314.db2.gz WPBRRKMJVAMSSW-ZFWWWQNUSA-N 0 3 318.823 4.500 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000536904290 1047122350 /nfs/dbraw/zinc/12/23/50/1047122350.db2.gz ISBFHCOMTHFFTH-HOTGVXAUSA-N 0 3 321.873 4.411 20 0 DIADHN CCC1(CN[C@H](C)c2nnn(-c3ccc(Cl)cc3)c2C)CC1 ZINC000536907941 1047124594 /nfs/dbraw/zinc/12/45/94/1047124594.db2.gz DBCBFYCJARSYKS-GFCCVEGCSA-N 0 3 318.852 4.070 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCc1c(Cl)cccc1Cl ZINC000649410935 1047126307 /nfs/dbraw/zinc/12/63/07/1047126307.db2.gz JXCYSYXWEVIZMO-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN Cc1cc(C2CCN([C@@H](C)c3cccc(Cl)c3)CC2)n[nH]1 ZINC000536996529 1047128452 /nfs/dbraw/zinc/12/84/52/1047128452.db2.gz LWGWPBOLVQVEJW-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN OCc1ccccc1CN[C@H](c1ccc(F)cc1)C1CCCC1 ZINC000536998832 1047129773 /nfs/dbraw/zinc/12/97/73/1047129773.db2.gz MVDAVMSSAWAMRW-FQEVSTJZSA-N 0 3 313.416 4.339 20 0 DIADHN COC(=O)c1ccc([C@H](C)N(C)Cc2cccc3ccccc32)o1 ZINC000537038387 1047131782 /nfs/dbraw/zinc/13/17/82/1047131782.db2.gz IKRSHGRYJAJZOO-AWEZNQCLSA-N 0 3 323.392 4.412 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CCC(C(C)C)CC1 ZINC001141432998 1047132136 /nfs/dbraw/zinc/13/21/36/1047132136.db2.gz VBMBBRBNWAJHKC-UHFFFAOYSA-N 0 3 300.368 4.277 20 0 DIADHN COc1cc([C@@H](C)NCCC(F)(F)F)ccc1OC(C)C ZINC000537053143 1047134921 /nfs/dbraw/zinc/13/49/21/1047134921.db2.gz FEPRSLAXSVOENK-LLVKDONJSA-N 0 3 305.340 4.085 20 0 DIADHN CC[C@H](C)CN(CC)[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000537047372 1047135138 /nfs/dbraw/zinc/13/51/38/1047135138.db2.gz HLHUQRBDKMHYPD-JQWIXIFHSA-N 0 3 320.506 4.319 20 0 DIADHN C[C@@H](NCC(=O)NCCC(C)(C)C)c1cccc2ccccc21 ZINC000537040403 1047135358 /nfs/dbraw/zinc/13/53/58/1047135358.db2.gz YADIYHCNHNOBCV-OAHLLOKOSA-N 0 3 312.457 4.043 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1n[nH]c3ccccc31)CC2 ZINC000681699990 1047141900 /nfs/dbraw/zinc/14/19/00/1047141900.db2.gz CVQUDWVOMOAJGO-GOSISDBHSA-N 0 3 305.425 4.463 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1[nH]nc3ccccc31)CC2 ZINC000681699990 1047141907 /nfs/dbraw/zinc/14/19/07/1047141907.db2.gz CVQUDWVOMOAJGO-GOSISDBHSA-N 0 3 305.425 4.463 20 0 DIADHN CC(C)(C)NC(=O)CN[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC000537132556 1047142192 /nfs/dbraw/zinc/14/21/92/1047142192.db2.gz HHNOLDIHVJDINE-KRWDZBQOSA-N 0 3 322.880 4.076 20 0 DIADHN CC[C@@H](OCCN(CC)CCc1nccs1)c1ccccc1 ZINC000649567250 1047144469 /nfs/dbraw/zinc/14/44/69/1047144469.db2.gz JCMDQDKUHJTSEZ-QGZVFWFLSA-N 0 3 318.486 4.175 20 0 DIADHN CC[C@@H](OCCN1CCC[C@@H]1c1cccnc1)c1ccccc1 ZINC000649569281 1047145326 /nfs/dbraw/zinc/14/53/26/1047145326.db2.gz GDSZQQVPPANIFN-WOJBJXKFSA-N 0 3 310.441 4.386 20 0 DIADHN CC[C@@H](OCCN1CCC[C@@H]1c1ccncc1)c1ccccc1 ZINC000649569721 1047145709 /nfs/dbraw/zinc/14/57/09/1047145709.db2.gz XZBMVWYMYYUCQB-WOJBJXKFSA-N 0 3 310.441 4.386 20 0 DIADHN Cc1ccc2cc(CN(C)CCC(=O)c3ccccc3)ccc2n1 ZINC001141480762 1047146696 /nfs/dbraw/zinc/14/66/96/1047146696.db2.gz XSMHLMPLNRFVFO-UHFFFAOYSA-N 0 3 318.420 4.248 20 0 DIADHN CN(Cc1ccc(Cl)nc1F)C(C)(C)Cc1ccccc1 ZINC001137479018 1047152984 /nfs/dbraw/zinc/15/29/84/1047152984.db2.gz ZYSZNTDGDGBFIN-UHFFFAOYSA-N 0 3 306.812 4.327 20 0 DIADHN Cc1cccnc1CN1CCC[C@H]1COc1ccc(Cl)cc1 ZINC001116534830 1047152869 /nfs/dbraw/zinc/15/28/69/1047152869.db2.gz PMKHHIOWBUOEIN-INIZCTEOSA-N 0 3 316.832 4.087 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1cc2n(n1)CCC2)C1CCCC1 ZINC000649609314 1047153251 /nfs/dbraw/zinc/15/32/51/1047153251.db2.gz GHEXXJWGNZCMJU-MRXNPFEDSA-N 0 3 309.457 4.335 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@@]2(C)CC2(Cl)Cl)s1 ZINC000827772427 1047153734 /nfs/dbraw/zinc/15/37/34/1047153734.db2.gz PPLCBZJLNACFNF-JOYOIKCWSA-N 0 3 307.290 4.337 20 0 DIADHN COc1ccc(CN2CCC[C@@H](F)CC2)cc1OC1CCCC1 ZINC001141514380 1047154082 /nfs/dbraw/zinc/15/40/82/1047154082.db2.gz XVFQCHLSAMDFSD-MRXNPFEDSA-N 0 3 321.436 4.341 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1cccc2cnccc21 ZINC001116544051 1047154476 /nfs/dbraw/zinc/15/44/76/1047154476.db2.gz HVRNBRPMRZYOKI-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccc(F)cc1 ZINC001116539481 1047155004 /nfs/dbraw/zinc/15/50/04/1047155004.db2.gz WQSXRDFGVQJDQO-SJCJKPOMSA-N 0 3 318.367 4.033 20 0 DIADHN CCc1ccccc1NC(=O)CNC1(c2ccccc2C)CCC1 ZINC000649645854 1047156597 /nfs/dbraw/zinc/15/65/97/1047156597.db2.gz KSWZRIMRSJQLDN-UHFFFAOYSA-N 0 3 322.452 4.165 20 0 DIADHN COc1ccc(CN2CCC(CF)CC2)cc1OC1CCCC1 ZINC001141520823 1047159554 /nfs/dbraw/zinc/15/95/54/1047159554.db2.gz CBTRCCOSSHWUFI-UHFFFAOYSA-N 0 3 321.436 4.198 20 0 DIADHN Cc1ccc([C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)C2CCC2)o1 ZINC001116588680 1047160071 /nfs/dbraw/zinc/16/00/71/1047160071.db2.gz KACFMUHBFRARML-SJCJKPOMSA-N 0 3 307.434 4.139 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](c1ccccc1C)C1CC1 ZINC000537289545 1047159920 /nfs/dbraw/zinc/15/99/20/1047159920.db2.gz GGVNMWRLLKRNBI-NRFANRHFSA-N 0 3 322.452 4.237 20 0 DIADHN COc1ccc(CN2CCC[C@H]3C[C@H]32)cc1OC1CCCC1 ZINC001141523404 1047161659 /nfs/dbraw/zinc/16/16/59/1047161659.db2.gz OOYDSXAWESYGRM-DOTOQJQBSA-N 0 3 301.430 4.001 20 0 DIADHN Cc1nccc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)n1 ZINC000649684841 1047163240 /nfs/dbraw/zinc/16/32/40/1047163240.db2.gz DISISJPHHQTPHU-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN c1ncc(CCN2CCc3oc(-c4ccccc4)cc3C2)s1 ZINC000649677251 1047163439 /nfs/dbraw/zinc/16/34/39/1047163439.db2.gz NBLVZANJEQXJPA-UHFFFAOYSA-N 0 3 310.422 4.004 20 0 DIADHN C[C@H](NCCC(=O)NC(C)(C)C)c1cc2cc(Cl)ccc2o1 ZINC000537308324 1047165346 /nfs/dbraw/zinc/16/53/46/1047165346.db2.gz KRBVUKBCEXRUQP-NSHDSACASA-N 0 3 322.836 4.042 20 0 DIADHN COc1cccc([C@@H](C)N2CCC3(CC2)C(F)(F)C3(F)F)c1 ZINC000649710429 1047166444 /nfs/dbraw/zinc/16/64/44/1047166444.db2.gz ZISFIFDWUGRDAO-LLVKDONJSA-N 0 3 317.326 4.123 20 0 DIADHN Cc1cnc([C@@H](C)N2CCc3ccc(Cl)cc3[C@@H](C)C2)cn1 ZINC000649747067 1047170233 /nfs/dbraw/zinc/17/02/33/1047170233.db2.gz PVCHCEBSSLXRLS-GXTWGEPZSA-N 0 3 315.848 4.161 20 0 DIADHN c1cc2cccc(CNCc3ccccc3N3CCCC3)c2[nH]1 ZINC001116738710 1047173395 /nfs/dbraw/zinc/17/33/95/1047173395.db2.gz BRIFTUPCPZFUDN-UHFFFAOYSA-N 0 3 305.425 4.058 20 0 DIADHN Cc1noc(C)c1CN1CCCC[C@H]1Cc1ccccc1F ZINC000649794899 1047175884 /nfs/dbraw/zinc/17/58/84/1047175884.db2.gz XBPCVWIQXCQXOW-INIZCTEOSA-N 0 3 302.393 4.028 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1)C1CC1 ZINC000838932846 1047176984 /nfs/dbraw/zinc/17/69/84/1047176984.db2.gz OVKIBJLHGXCXHI-HZPDHXFCSA-N 0 3 313.363 4.003 20 0 DIADHN CCc1nc(C)c(CN2CC[C@H](c3cccc(Cl)c3)C2)o1 ZINC000433314819 1047184774 /nfs/dbraw/zinc/18/47/74/1047184774.db2.gz WTQHYQHZPAYMLB-AWEZNQCLSA-N 0 3 304.821 4.188 20 0 DIADHN CC(C)N(Cc1ccco1)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001116876038 1047185538 /nfs/dbraw/zinc/18/55/38/1047185538.db2.gz VDTISFZUGSAKMU-UHFFFAOYSA-N 0 3 309.413 4.091 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1ccc(C(F)(F)F)cc1C ZINC000682374464 1047186477 /nfs/dbraw/zinc/18/64/77/1047186477.db2.gz YEYDXAVYYGQQRM-KRWDZBQOSA-N 0 3 323.358 4.145 20 0 DIADHN COCC1(N[C@H](C)c2csc(-c3csc(C)n3)c2)CC1 ZINC000537449095 1047187025 /nfs/dbraw/zinc/18/70/25/1047187025.db2.gz INKRKFOYKVLBBY-SNVBAGLBSA-N 0 3 308.472 4.010 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1cc(Cl)cc3cccnc31)C2 ZINC000649903460 1047189424 /nfs/dbraw/zinc/18/94/24/1047189424.db2.gz NSNKYPYZRPMCKS-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN Oc1ccc(CN[C@@H](COc2ccccc2)c2ccccc2)cc1 ZINC000682406630 1047192216 /nfs/dbraw/zinc/19/22/16/1047192216.db2.gz NBSHPRDWYNLAST-NRFANRHFSA-N 0 3 319.404 4.302 20 0 DIADHN Cc1ccccc1[C@@H](O)C1CCN(Cc2cccs2)CC1 ZINC000796405994 1047198845 /nfs/dbraw/zinc/19/88/45/1047198845.db2.gz IUOWVDCKYQOTHI-SFHVURJKSA-N 0 3 301.455 4.002 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2c[nH]c3cc(Cl)ccc23)C1 ZINC001141586255 1047203918 /nfs/dbraw/zinc/20/39/18/1047203918.db2.gz YTJVKUNRJWEQQM-SNVBAGLBSA-N 0 3 302.727 4.206 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2cc3c(s2)CCCC3)cn1 ZINC000397088653 1047210713 /nfs/dbraw/zinc/21/07/13/1047210713.db2.gz IWJUTUCLTTWLQW-AWEZNQCLSA-N 0 3 300.471 4.490 20 0 DIADHN COc1ccccc1CNCCOc1cccc2ccccc21 ZINC000032207671 1047211144 /nfs/dbraw/zinc/21/11/44/1047211144.db2.gz NSRCORBNVQOYRC-UHFFFAOYSA-N 0 3 307.393 4.017 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NCCOc1cccnc1)CC2 ZINC000760329831 1047215128 /nfs/dbraw/zinc/21/51/28/1047215128.db2.gz LOUKHBBXQIRWKK-HNNXBMFYSA-N 0 3 323.223 4.044 20 0 DIADHN CCCC(C)(C)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC001141610626 1047224427 /nfs/dbraw/zinc/22/44/27/1047224427.db2.gz ODQHXJSDYLFNPA-HNNXBMFYSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1ccccc1[C@H](O)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000796418680 1047225796 /nfs/dbraw/zinc/22/57/96/1047225796.db2.gz PATAGDIJOKXNDO-ICKBMGCOSA-N 0 3 321.464 4.454 20 0 DIADHN CCc1sc(-c2nnc(CN[C@H](C)C3CCCC3)o2)cc1C ZINC000650232472 1047225953 /nfs/dbraw/zinc/22/59/53/1047225953.db2.gz PPCAABWSPGWZQM-GFCCVEGCSA-N 0 3 319.474 4.337 20 0 DIADHN C[C@H](NCc1ccc(-n2cccn2)cc1)c1ccc(Cl)s1 ZINC000035256155 1047226160 /nfs/dbraw/zinc/22/61/60/1047226160.db2.gz SZOQAJRWYJSIMC-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cc(F)c(OC)c(OC)c1 ZINC001137509315 1047234427 /nfs/dbraw/zinc/23/44/27/1047234427.db2.gz HGTNWVLCXABWNA-KRWDZBQOSA-N 0 3 317.404 4.426 20 0 DIADHN CC[C@H](c1ccccc1)N(C)Cc1cc(F)c(OC)c(OC)c1 ZINC001137509316 1047234923 /nfs/dbraw/zinc/23/49/23/1047234923.db2.gz HGTNWVLCXABWNA-QGZVFWFLSA-N 0 3 317.404 4.426 20 0 DIADHN CCCCCOc1cc(CN[C@@H](C)c2ccc[nH]2)ccc1OC ZINC000760444722 1047237064 /nfs/dbraw/zinc/23/70/64/1047237064.db2.gz FTZQJGMRYCDOIO-HNNXBMFYSA-N 0 3 316.445 4.443 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC(c2ccccn2)CC1 ZINC001141628800 1047237427 /nfs/dbraw/zinc/23/74/27/1047237427.db2.gz KFHGWFHTVBFEJZ-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN CC(C)(C)[C@H](NCc1ccc2nonc2c1)c1cccc(F)c1 ZINC001117777361 1047243145 /nfs/dbraw/zinc/24/31/45/1047243145.db2.gz MKZONFYFSLZRAW-QGZVFWFLSA-N 0 3 313.376 4.239 20 0 DIADHN C[C@@H]1CN(Cc2ccc3cc(F)ccc3n2)Cc2ccccc21 ZINC001141640248 1047243658 /nfs/dbraw/zinc/24/36/58/1047243658.db2.gz SBFSVPPVAYEDRA-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN CC[C@@]1(NCc2ccc(-c3ccccc3Cl)o2)CCOC1 ZINC000682952545 1047243740 /nfs/dbraw/zinc/24/37/40/1047243740.db2.gz ZOCVYGBBINHSPV-QGZVFWFLSA-N 0 3 305.805 4.259 20 0 DIADHN C[C@@H](NCC[C@H](O)c1ccccc1)c1ccc(F)cc1Cl ZINC000839544618 1047245825 /nfs/dbraw/zinc/24/58/25/1047245825.db2.gz MYPVEWBRXVRYSG-PXAZEXFGSA-N 0 3 307.796 4.253 20 0 DIADHN Fc1ccc2nc(CN3CCCc4ccccc4C3)ccc2c1 ZINC001141648739 1047246310 /nfs/dbraw/zinc/24/63/10/1047246310.db2.gz CQLZYXAEAPFCBB-UHFFFAOYSA-N 0 3 306.384 4.322 20 0 DIADHN CN(Cc1ccc2cc(F)ccc2n1)[C@@H]1CCc2ccccc21 ZINC001141655112 1047247170 /nfs/dbraw/zinc/24/71/70/1047247170.db2.gz WMMGMKAABBMXII-HXUWFJFHSA-N 0 3 306.384 4.493 20 0 DIADHN CC[C@H](NCc1cnc(-c2ccccc2)s1)c1ccncc1 ZINC001117826190 1047248179 /nfs/dbraw/zinc/24/81/79/1047248179.db2.gz GHKCHKBRGFGBHD-KRWDZBQOSA-N 0 3 309.438 4.446 20 0 DIADHN CCOc1cc(CN2CC[C@@H](CC(C)C)C2)cc(Cl)c1O ZINC001204875977 1047250716 /nfs/dbraw/zinc/25/07/16/1047250716.db2.gz ULFNBGFCAHRXMP-ZDUSSCGKSA-N 0 3 311.853 4.312 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H]2Cc2ccccc2Cl)c1 ZINC000683003843 1047251559 /nfs/dbraw/zinc/25/15/59/1047251559.db2.gz GUJDAJDCYDHIOC-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CC[C@@H](C4CCC4)C3)o2)c1 ZINC000839677266 1047259123 /nfs/dbraw/zinc/25/91/23/1047259123.db2.gz RBQZSCCEIIPVBK-WMLDXEAASA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccnc(CN(CC(C)C)[C@H]2CCCc3cccnc32)c1 ZINC000683023558 1047261502 /nfs/dbraw/zinc/26/15/02/1047261502.db2.gz FWCYETCCDGIMSZ-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN c1coc([C@H](N[C@H]2CCc3cccnc3CC2)c2ccccc2)c1 ZINC000421819891 1047268399 /nfs/dbraw/zinc/26/83/99/1047268399.db2.gz JMUCNFDDHDSVNW-GHTZIAJQSA-N 0 3 318.420 4.301 20 0 DIADHN Clc1ccc([C@H](CNCc2ccoc2)OCC2CC2)cc1 ZINC000796443417 1047287859 /nfs/dbraw/zinc/28/78/59/1047287859.db2.gz WDJQSJJDQRJCTN-KRWDZBQOSA-N 0 3 305.805 4.191 20 0 DIADHN CC(=O)OCc1ccc(CN(Cc2ccccc2)C(C)(C)C)o1 ZINC001141779722 1047288546 /nfs/dbraw/zinc/28/85/46/1047288546.db2.gz BQWXAFGBNMEXEK-UHFFFAOYSA-N 0 3 315.413 4.143 20 0 DIADHN N#Cc1ccc(CNCc2ccc(Cl)c3cccnc23)cc1 ZINC000389072251 1047290122 /nfs/dbraw/zinc/29/01/22/1047290122.db2.gz MKUFJTRUYRHNFT-UHFFFAOYSA-N 0 3 307.784 4.050 20 0 DIADHN CC1(C)C[C@@H](NCc2coc(-c3ccccc3)n2)CCS1 ZINC000897800507 1047290491 /nfs/dbraw/zinc/29/04/91/1047290491.db2.gz RAUCZAWQSZFVIQ-AWEZNQCLSA-N 0 3 302.443 4.105 20 0 DIADHN CN(Cn1cccnc1=S)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000840179559 1047291194 /nfs/dbraw/zinc/29/11/94/1047291194.db2.gz CRBNHSWRVIKCQE-IRXDYDNUSA-N 0 3 313.470 4.228 20 0 DIADHN CC(C)n1ncnc1CN[C@H]1CCC[C@H](c2ccccc2)CC1 ZINC000897833597 1047293069 /nfs/dbraw/zinc/29/30/69/1047293069.db2.gz QHJKMIXNRFXOSM-ROUUACIJSA-N 0 3 312.461 4.065 20 0 DIADHN CCC[C@](C)(NCc1cccc2[nH]c(=O)oc21)c1ccccc1 ZINC000897925851 1047299322 /nfs/dbraw/zinc/29/93/22/1047299322.db2.gz LXGGTVGVQCQENI-IBGZPJMESA-N 0 3 310.397 4.339 20 0 DIADHN Fc1ccc2occ(CN3CCC(c4cccnc4)CC3)c2c1 ZINC001141856599 1047300099 /nfs/dbraw/zinc/30/00/99/1047300099.db2.gz IXXRQUUDRRQDIX-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN C[C@H]1C[C@H](NCCc2ccc(-c3ccccc3)cc2)c2nccn21 ZINC000651271449 1047308099 /nfs/dbraw/zinc/30/80/99/1047308099.db2.gz NQAPFISCHJVGCH-JXFKEZNVSA-N 0 3 317.436 4.388 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2c(c1)ncn2C)C(C)(C)C ZINC000897996178 1047310096 /nfs/dbraw/zinc/31/00/96/1047310096.db2.gz XXXIBOAFZHVTOM-IBGZPJMESA-N 0 3 322.456 4.154 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1cncc2ccccc21 ZINC000393449918 1047310437 /nfs/dbraw/zinc/31/04/37/1047310437.db2.gz KVKDAEATFHHICE-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CC[C@H](NCc1ccc(-n2cccn2)cc1)c1ccccc1OC ZINC000393401842 1047310762 /nfs/dbraw/zinc/31/07/62/1047310762.db2.gz YHXVLAVZQXUNOF-IBGZPJMESA-N 0 3 321.424 4.122 20 0 DIADHN CC[C@@H](NCc1n[nH]c(C2CC2)n1)c1cccc2ccccc21 ZINC000651390337 1047312463 /nfs/dbraw/zinc/31/24/63/1047312463.db2.gz HYOYMLIBUYVKEC-QGZVFWFLSA-N 0 3 306.413 4.076 20 0 DIADHN Cc1cc(N[C@@H](C)c2ccc(Oc3ccccc3)cc2)nc(N)n1 ZINC000725789973 1047313640 /nfs/dbraw/zinc/31/36/40/1047313640.db2.gz AKXUYPXHWOWHQK-AWEZNQCLSA-N 0 3 320.396 4.333 20 0 DIADHN C[C@H](NC1(c2c(Cl)cccc2Cl)CC1)C1CCOCC1 ZINC000537485477 1047314478 /nfs/dbraw/zinc/31/44/78/1047314478.db2.gz BQWMEMSWFRSVJR-NSHDSACASA-N 0 3 314.256 4.387 20 0 DIADHN CCC1(C)CCN(C[C@@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000725804630 1047316456 /nfs/dbraw/zinc/31/64/56/1047316456.db2.gz LGCVNTFHKFOXNN-OAHLLOKOSA-N 0 3 315.379 4.251 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(C)s2)c(OC)c1 ZINC000036922103 1047317225 /nfs/dbraw/zinc/31/72/25/1047317225.db2.gz PKEYBKRNGBKLOF-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC001142531900 1047317512 /nfs/dbraw/zinc/31/75/12/1047317512.db2.gz PGSMXUUJKOMKET-IXDOHACOSA-N 0 3 316.489 4.475 20 0 DIADHN CC(C)Oc1ccc2nccc(N3CC[C@]4(CC4(F)F)C3)c2c1 ZINC000651489291 1047318291 /nfs/dbraw/zinc/31/82/91/1047318291.db2.gz SDDHUQOQFTWSOZ-KRWDZBQOSA-N 0 3 318.367 4.258 20 0 DIADHN CC(C)(CO)[C@H](NCc1cc2ccccc2[nH]1)c1cccs1 ZINC000651591076 1047319263 /nfs/dbraw/zinc/31/92/63/1047319263.db2.gz WCOHPLGRYZXAOX-QGZVFWFLSA-N 0 3 314.454 4.079 20 0 DIADHN CCC(CC)[C@H](O)CN[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651716094 1047325749 /nfs/dbraw/zinc/32/57/49/1047325749.db2.gz KUQZEXHEMGANFT-MGPUTAFESA-N 0 3 312.457 4.196 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1cnn(CC2CCC2)c1 ZINC000898177527 1047325949 /nfs/dbraw/zinc/32/59/49/1047325949.db2.gz QFHXUQSHIYQTSB-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN C[C@@H](NCc1cc2ccccc2n1C)c1cnn(CC2CCC2)c1 ZINC000898181980 1047326967 /nfs/dbraw/zinc/32/69/67/1047326967.db2.gz PVGOPFXEFGUCFW-OAHLLOKOSA-N 0 3 322.456 4.026 20 0 DIADHN C[C@H](NCc1cc2ccccc2n1C)c1cnn(CC2CCC2)c1 ZINC000898181979 1047327023 /nfs/dbraw/zinc/32/70/23/1047327023.db2.gz PVGOPFXEFGUCFW-HNNXBMFYSA-N 0 3 322.456 4.026 20 0 DIADHN COc1cc([C@H](C)NCc2n[nH]c(C)c2C)cc2ccccc21 ZINC000898241887 1047328061 /nfs/dbraw/zinc/32/80/61/1047328061.db2.gz NWJGGOGXNYYTOZ-AWEZNQCLSA-N 0 3 309.413 4.039 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CC[C@H](F)C(F)(F)CC1 ZINC001141978828 1047328748 /nfs/dbraw/zinc/32/87/48/1047328748.db2.gz JHFZIVDAXRFPQJ-HNNXBMFYSA-N 0 3 324.346 4.219 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC[C@H]1c1ccncc1 ZINC001141985890 1047330251 /nfs/dbraw/zinc/33/02/51/1047330251.db2.gz OCWWLWVYKCEFDC-IBGZPJMESA-N 0 3 319.408 4.382 20 0 DIADHN C[C@H](Cc1ccsc1)N1CCC2(CC1)C(F)(F)C2(F)F ZINC000651900679 1047331468 /nfs/dbraw/zinc/33/14/68/1047331468.db2.gz DGRPPWSWIGMLCT-SNVBAGLBSA-N 0 3 307.356 4.046 20 0 DIADHN COC[C@@H]1CCCN1Cc1sccc1Oc1ccccc1 ZINC001141994365 1047334903 /nfs/dbraw/zinc/33/49/03/1047334903.db2.gz JGTRNVSKKRYKOL-AWEZNQCLSA-N 0 3 303.427 4.151 20 0 DIADHN Cc1cn2c(CN[C@H]3CCc4ccc(C)cc43)c(C)nc2s1 ZINC000651959470 1047335342 /nfs/dbraw/zinc/33/53/42/1047335342.db2.gz NVPHHXREXNOULH-INIZCTEOSA-N 0 3 311.454 4.098 20 0 DIADHN C[C@H]1CCN(Cc2sccc2Oc2ccccc2)CC[C@@H]1O ZINC001141994482 1047335511 /nfs/dbraw/zinc/33/55/11/1047335511.db2.gz NESFVOCHSJCIRR-HOCLYGCPSA-N 0 3 317.454 4.133 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC2(CC2(F)F)CC1 ZINC000651953256 1047335604 /nfs/dbraw/zinc/33/56/04/1047335604.db2.gz ZMIZZAAKZRHAFW-UHFFFAOYSA-N 0 3 317.379 4.470 20 0 DIADHN C[C@@H]1CCN(Cc2sccc2Oc2ccccc2)CC[C@H]1O ZINC001141994480 1047335824 /nfs/dbraw/zinc/33/58/24/1047335824.db2.gz NESFVOCHSJCIRR-GDBMZVCRSA-N 0 3 317.454 4.133 20 0 DIADHN COc1cc(CN[C@@H](c2ccncc2)C2CC2)cc2ccccc21 ZINC000898319469 1047335816 /nfs/dbraw/zinc/33/58/16/1047335816.db2.gz GICHMNBTLDICCG-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN Fc1cc(CN[C@@H](c2ccncc2)C2CC2)cc(C(F)(F)F)c1 ZINC000898325982 1047336712 /nfs/dbraw/zinc/33/67/12/1047336712.db2.gz SJYRHJIWZDPVMW-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN CC(C)COc1ccc(CN[C@H](c2ccncc2)C2CC2)cc1 ZINC000898326303 1047337117 /nfs/dbraw/zinc/33/71/17/1047337117.db2.gz VKQQEGZPJZSWEH-FQEVSTJZSA-N 0 3 310.441 4.357 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H](c2ccncc2)C2CC2)s1 ZINC000898322877 1047337681 /nfs/dbraw/zinc/33/76/81/1047337681.db2.gz SUOZLRIHOXZTAG-AWEZNQCLSA-N 0 3 312.360 4.403 20 0 DIADHN Fc1cccc2cc(CN[C@H](c3ccncc3)C3CC3)cnc12 ZINC000898318572 1047339136 /nfs/dbraw/zinc/33/91/36/1047339136.db2.gz SCOZYPULZYMRDN-SFHVURJKSA-N 0 3 307.372 4.010 20 0 DIADHN CCc1cccc(CNCc2cn(C)nc2-c2cccs2)c1 ZINC000652032941 1047340430 /nfs/dbraw/zinc/34/04/30/1047340430.db2.gz OIKUAIWDRWODHI-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN Cc1ccc(-c2ncc(CN3CC[C@]4(CC4(F)F)C3)s2)cc1 ZINC000652063399 1047341953 /nfs/dbraw/zinc/34/19/53/1047341953.db2.gz LJNGEKUFIOKCOM-INIZCTEOSA-N 0 3 320.408 4.350 20 0 DIADHN COc1ccc([C@@H](NCCCC(F)(F)F)c2ccccn2)cc1 ZINC000652156441 1047344068 /nfs/dbraw/zinc/34/40/68/1047344068.db2.gz DBLSOLLOZNGMGV-MRXNPFEDSA-N 0 3 324.346 4.112 20 0 DIADHN CC(C)[C@@H](O)C1(CN[C@@H](C)c2ccc(-c3ccccc3)cn2)CC1 ZINC000652173334 1047344655 /nfs/dbraw/zinc/34/46/55/1047344655.db2.gz FVOISMIBJCVYDS-OXJNMPFZSA-N 0 3 324.468 4.196 20 0 DIADHN COc1ccc([C@@H](NC2CCC3(CC3)CC2)c2ccccn2)cc1 ZINC000652193945 1047346953 /nfs/dbraw/zinc/34/69/53/1047346953.db2.gz ZYJMWKMWYJINKS-HXUWFJFHSA-N 0 3 322.452 4.492 20 0 DIADHN CC(C)CCc1nc(CN2CCC[C@@H]2C2CCOCC2)cs1 ZINC000537526068 1047352828 /nfs/dbraw/zinc/35/28/28/1047352828.db2.gz QGIRKTATNPMOOS-QGZVFWFLSA-N 0 3 322.518 4.123 20 0 DIADHN Brc1ccc(CN2CC3CC(C3)C2)c2ccccc12 ZINC001142056529 1047361758 /nfs/dbraw/zinc/36/17/58/1047361758.db2.gz XZWSDEVCPFQIAJ-UHFFFAOYSA-N 0 3 316.242 4.444 20 0 DIADHN CCC[C@@H](C)N1Cc2ccc(NC(=S)N[C@@H](C)CC)cc2C1 ZINC000726494845 1047364150 /nfs/dbraw/zinc/36/41/50/1047364150.db2.gz NSSLRLYWYMSNIU-UONOGXRCSA-N 0 3 319.518 4.276 20 0 DIADHN CN(Cc1nnc(-c2cccc(Cl)c2)o1)C(C1CC1)C1CC1 ZINC000106860241 1047364177 /nfs/dbraw/zinc/36/41/77/1047364177.db2.gz YSXCVKAZQQYJTQ-UHFFFAOYSA-N 0 3 317.820 4.010 20 0 DIADHN C[C@H](CC(F)(F)F)NC1(c2ccc(Br)cc2)CC1 ZINC001255642375 1047398696 /nfs/dbraw/zinc/39/86/96/1047398696.db2.gz YMJDGVUWNIFNBJ-SECBINFHSA-N 0 3 322.168 4.369 20 0 DIADHN Cc1cc(N)cc(CNCc2ccc(SC(F)F)cc2)c1 ZINC000841070657 1047378568 /nfs/dbraw/zinc/37/85/68/1047378568.db2.gz FWCBPLNLPXCNOZ-UHFFFAOYSA-N 0 3 308.397 4.182 20 0 DIADHN Cc1cc2cc(CNCc3cncc4ccccc43)ccc2[nH]1 ZINC000796527758 1047378527 /nfs/dbraw/zinc/37/85/27/1047378527.db2.gz KVVANZYXMBLOIU-UHFFFAOYSA-N 0 3 301.393 4.314 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)cc1)[C@H]1CCOC1 ZINC001142102443 1047380660 /nfs/dbraw/zinc/38/06/60/1047380660.db2.gz VJQNRXLLOIQHBU-INIZCTEOSA-N 0 3 301.817 4.228 20 0 DIADHN COc1cccc2cc(CNCc3cccc4c3CCCN4)oc21 ZINC000841083024 1047381913 /nfs/dbraw/zinc/38/19/13/1047381913.db2.gz LWISEGGSCHUDNV-UHFFFAOYSA-N 0 3 322.408 4.089 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3ccccc3)C2)c(Cl)n1 ZINC001142136330 1047387344 /nfs/dbraw/zinc/38/73/44/1047387344.db2.gz DCYAPOKXOYLDBH-MRXNPFEDSA-N 0 3 300.833 4.108 20 0 DIADHN Cc1cccn2c(CN[C@H](c3ccc(F)c(C)c3)C3CC3)cnc12 ZINC000533920978 1047389648 /nfs/dbraw/zinc/38/96/48/1047389648.db2.gz JMKIOHOKPLBZOO-IBGZPJMESA-N 0 3 323.415 4.331 20 0 DIADHN COc1ccc(CN(C)CCSc2ccc(F)cc2)cc1F ZINC000726959639 1047394733 /nfs/dbraw/zinc/39/47/33/1047394733.db2.gz UHUPRNDRCRJWKT-UHFFFAOYSA-N 0 3 323.408 4.198 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cccnc2Cl)cc1 ZINC000726969937 1047396065 /nfs/dbraw/zinc/39/60/65/1047396065.db2.gz GMQIBOWHLGLPAY-MRXNPFEDSA-N 0 3 304.796 4.081 20 0 DIADHN O[C@@H](c1ccccc1)[C@@H]1CCCCN1Cc1ccc(F)c(F)c1 ZINC000726972649 1047397361 /nfs/dbraw/zinc/39/73/61/1047397361.db2.gz CHBMCGFUKNUAPN-OALUTQOASA-N 0 3 317.379 4.053 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CC(c3ccccc3)C2)cc1 ZINC001142201176 1047397678 /nfs/dbraw/zinc/39/76/78/1047397678.db2.gz XWGYDAKRKXNHQM-UHFFFAOYSA-N 0 3 323.436 4.241 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1CCCc1c(F)cccc1F ZINC000653593699 1047405291 /nfs/dbraw/zinc/40/52/91/1047405291.db2.gz LJCWFWYNULYRCM-KRWDZBQOSA-N 0 3 319.399 4.074 20 0 DIADHN C[C@@H](NCc1ccc(Cl)nc1)c1ccc2c(c1)CCCC2 ZINC000749322514 1047411392 /nfs/dbraw/zinc/41/13/92/1047411392.db2.gz BINKBHIONASIHV-CYBMUJFWSA-N 0 3 300.833 4.465 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CCC(C)(c2ccccc2)CC1 ZINC001142238604 1047412457 /nfs/dbraw/zinc/41/24/57/1047412457.db2.gz UMQMMBNHKBHZRN-UHFFFAOYSA-N 0 3 311.473 4.261 20 0 DIADHN CN(C)Cc1ccc(-c2ccc(Br)s2)s1 ZINC001142242937 1047413548 /nfs/dbraw/zinc/41/35/48/1047413548.db2.gz OPWHYNSRXVZTEV-UHFFFAOYSA-N 0 3 302.262 4.301 20 0 DIADHN CC(C)P(CCNC(=O)Cc1ccc(Cl)s1)C(C)C ZINC001142245245 1047415727 /nfs/dbraw/zinc/41/57/27/1047415727.db2.gz XSFIBACRKRNJMR-UHFFFAOYSA-N 0 3 319.838 4.359 20 0 DIADHN CCc1ccc(CNCc2ccc(OC(C)(C)C)nc2)s1 ZINC000537602145 1047417394 /nfs/dbraw/zinc/41/73/94/1047417394.db2.gz SGBHAILODCHZIW-UHFFFAOYSA-N 0 3 304.459 4.173 20 0 DIADHN Cc1ccnc([C@H]2CCN(CCCc3c(F)cccc3F)C2)c1 ZINC000653750184 1047418775 /nfs/dbraw/zinc/41/87/75/1047418775.db2.gz UUQXSMCTSJMBCA-HNNXBMFYSA-N 0 3 316.395 4.090 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)OCCCO3)cc1C ZINC001142273540 1047421299 /nfs/dbraw/zinc/42/12/99/1047421299.db2.gz ACMYGFZTNIBQMX-UHFFFAOYSA-N 0 3 311.425 4.097 20 0 DIADHN Cc1nc(CCN(C)Cc2ccc(-c3ccc(C)cc3)cc2)no1 ZINC001142300254 1047426014 /nfs/dbraw/zinc/42/60/14/1047426014.db2.gz HQMHIQJPTJSFMB-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)NCc2cccc(F)c2)cc1 ZINC000086279451 1047440342 /nfs/dbraw/zinc/44/03/42/1047440342.db2.gz JVDWLGKFMYIHOP-CQSZACIVSA-N 0 3 309.388 4.171 20 0 DIADHN c1ccc([C@H](N[C@H]2COCc3ccccc32)C2CCOCC2)cc1 ZINC000841759486 1047453741 /nfs/dbraw/zinc/45/37/41/1047453741.db2.gz GELNDCILQNXYIC-SFTDATJTSA-N 0 3 323.436 4.015 20 0 DIADHN c1ccc([C@@H](N[C@H]2COCc3ccccc32)C2CCOCC2)cc1 ZINC000841759481 1047453753 /nfs/dbraw/zinc/45/37/53/1047453753.db2.gz GELNDCILQNXYIC-LEWJYISDSA-N 0 3 323.436 4.015 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2ccc(-n3ccnc3)nc2)c(C)c1 ZINC000750038882 1047455694 /nfs/dbraw/zinc/45/56/94/1047455694.db2.gz LSRBWCDXCVIHHG-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN Cn1cc2c(cccc2CN2CCC[C@H]2c2ccccc2F)n1 ZINC001142460756 1047458106 /nfs/dbraw/zinc/45/81/06/1047458106.db2.gz VQQRJPXAIGXYJC-IBGZPJMESA-N 0 3 309.388 4.050 20 0 DIADHN C[C@H](CC(=O)Nc1cccc(CN(C)C)c1)c1ccc(F)cc1 ZINC000057471113 1047459363 /nfs/dbraw/zinc/45/93/63/1047459363.db2.gz WRRWYTUYNRIPEC-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN CN(Cc1cc(C(F)(F)F)ccc1Cl)C[C@H]1CCCOC1 ZINC000119732142 1047465404 /nfs/dbraw/zinc/46/54/04/1047465404.db2.gz HDAPFGILQJDYDG-LLVKDONJSA-N 0 3 321.770 4.217 20 0 DIADHN CC[C@H](C)CCCCC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001142539837 1047466761 /nfs/dbraw/zinc/46/67/61/1047466761.db2.gz ZNFARVYROPJYKR-QFBILLFUSA-N 0 3 318.505 4.320 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(Cc3ccccc3)c2)c(C)c1 ZINC000119658339 1047467740 /nfs/dbraw/zinc/46/77/40/1047467740.db2.gz AMYLNRZSNAIARE-GOSISDBHSA-N 0 3 319.452 4.399 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccccc1OC(F)F ZINC000119777276 1047471090 /nfs/dbraw/zinc/47/10/90/1047471090.db2.gz DDLLISROSJXRFN-YVEFUNNKSA-N 0 3 303.352 4.311 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc3c(c2)CC(C)(C)O3)n1 ZINC000537623620 1047474700 /nfs/dbraw/zinc/47/47/00/1047474700.db2.gz KYKZVMFFWRUUGJ-LJQANCHMSA-N 0 3 322.452 4.441 20 0 DIADHN CC[C@H](N[C@H]1CCCc2ccc(F)cc21)c1c(C)nn(C)c1C ZINC000089214306 1047476351 /nfs/dbraw/zinc/47/63/51/1047476351.db2.gz DKZZBBJVEVTDOW-ROUUACIJSA-N 0 3 315.436 4.294 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1ccccc1OC(F)F ZINC000119868259 1047476670 /nfs/dbraw/zinc/47/66/70/1047476670.db2.gz RKSYYGQXYOEPKH-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)[C@@H](C)C1CC1 ZINC000929179709 1047486498 /nfs/dbraw/zinc/48/64/98/1047486498.db2.gz KGLUTBJYYZSOEJ-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN CC[C@@H](C(=O)N(CC)c1ccc2ccccc2c1)N(CC)CC ZINC001142757069 1047490121 /nfs/dbraw/zinc/49/01/21/1047490121.db2.gz FUCXPYMCAJWJSD-IBGZPJMESA-N 0 3 312.457 4.313 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1ccc(F)c(F)c1 ZINC000120304165 1047490300 /nfs/dbraw/zinc/49/03/00/1047490300.db2.gz QSJJXOIJCDYCOQ-GUYCJALGSA-N 0 3 320.383 4.046 20 0 DIADHN CN(CCC1CCOCC1)Cc1c(Cl)ccc2cccnc21 ZINC000120383858 1047492324 /nfs/dbraw/zinc/49/23/24/1047492324.db2.gz OTBFEGHSVWYLKP-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3csc(Cl)c3)C2)cc1 ZINC001142775549 1047492744 /nfs/dbraw/zinc/49/27/44/1047492744.db2.gz GVAJOFOPTBNORN-AWEZNQCLSA-N 0 3 307.846 4.400 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3csc(Cl)c3)C2)cc1 ZINC001142775550 1047493620 /nfs/dbraw/zinc/49/36/20/1047493620.db2.gz GVAJOFOPTBNORN-CQSZACIVSA-N 0 3 307.846 4.400 20 0 DIADHN Cc1cc(-c2nnc(CN(CC(C)C)CC(C)(C)C)o2)c(C)o1 ZINC000089909780 1047493724 /nfs/dbraw/zinc/49/37/24/1047493724.db2.gz FPZVRGXKKWKAAE-UHFFFAOYSA-N 0 3 319.449 4.451 20 0 DIADHN CC(C)C[C@H](C)NCc1cc(Br)cnc1Cl ZINC000842695239 1047498372 /nfs/dbraw/zinc/49/83/72/1047498372.db2.gz YJRHSKMUJIDDHY-VIFPVBQESA-N 0 3 305.647 4.022 20 0 DIADHN FC(F)Oc1ccc(CN2CCCC23CC3)cc1OC(F)F ZINC001142809378 1047498249 /nfs/dbraw/zinc/49/82/49/1047498249.db2.gz WUAJVKLIFUTYIK-UHFFFAOYSA-N 0 3 319.298 4.018 20 0 DIADHN C[C@H]1CCCCN1Cc1ccc(OC(F)F)c(OC(F)F)c1 ZINC001142808529 1047498319 /nfs/dbraw/zinc/49/83/19/1047498319.db2.gz AWZVABQNVGUODP-JTQLQIEISA-N 0 3 321.314 4.264 20 0 DIADHN FC(F)Oc1ccc(CN2C3CCC2CC3)cc1OC(F)F ZINC001142819077 1047499284 /nfs/dbraw/zinc/49/92/84/1047499284.db2.gz TYPZSGNMRVRIKG-UHFFFAOYSA-N 0 3 319.298 4.016 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2scnc2C)cc1 ZINC000120881524 1047500636 /nfs/dbraw/zinc/50/06/36/1047500636.db2.gz SCIUCOCNYPZIJC-INIZCTEOSA-N 0 3 302.443 4.187 20 0 DIADHN CN(CCc1ccccn1)Cc1ccccc1OC1CCCC1 ZINC000120795429 1047502246 /nfs/dbraw/zinc/50/22/46/1047502246.db2.gz QOJMYOZFZBUYPH-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](CN1CCCC1)c1ccccc1 ZINC000120826702 1047503792 /nfs/dbraw/zinc/50/37/92/1047503792.db2.gz BNYBLUMJZFAAKW-XLIONFOSSA-N 0 3 324.468 4.183 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cccc(C(N)=O)c1)CCC2 ZINC000090401370 1047504085 /nfs/dbraw/zinc/50/40/85/1047504085.db2.gz HURBSTKRZLPTHP-FQEVSTJZSA-N 0 3 322.452 4.076 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCCC2)C1)c1nc2c(s1)CCCC2 ZINC000090527107 1047506444 /nfs/dbraw/zinc/50/64/44/1047506444.db2.gz OZNNRSWZYYVCCD-KBPBESRZSA-N 0 3 320.502 4.164 20 0 DIADHN C[C@H](NC1(Cc2ccccc2)CCC1)c1cc2n(n1)CCCC2 ZINC000842862126 1047510263 /nfs/dbraw/zinc/51/02/63/1047510263.db2.gz WRDALXJMXSTKLU-INIZCTEOSA-N 0 3 309.457 4.035 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2cc(OC)ccc21)c1c(C)noc1C ZINC000090886379 1047512474 /nfs/dbraw/zinc/51/24/74/1047512474.db2.gz RFDZBNNZKBKAGV-ZWKOTPCHSA-N 0 3 314.429 4.418 20 0 DIADHN Clc1cccc(C2(N[C@@H]3CCCc4n[nH]cc43)CCC2)c1 ZINC000842924957 1047513794 /nfs/dbraw/zinc/51/37/94/1047513794.db2.gz AZKBVCMEBUELDV-OAHLLOKOSA-N 0 3 301.821 4.110 20 0 DIADHN COCc1ccc(CN(C)Cc2ccccc2N2CCCC2)cc1 ZINC000121231966 1047515402 /nfs/dbraw/zinc/51/54/02/1047515402.db2.gz BIHDPEDHELQCKW-UHFFFAOYSA-N 0 3 324.468 4.065 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H](NCc1cc(-c3ccccc3)on1)C2 ZINC001168407540 1047516460 /nfs/dbraw/zinc/51/64/60/1047516460.db2.gz QFWHUIVFCCWVPV-GOSISDBHSA-N 0 3 322.383 4.128 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1C[C@@H]1CC1(Cl)Cl ZINC000730062909 1047517265 /nfs/dbraw/zinc/51/72/65/1047517265.db2.gz VWXXSTOVEGXFOM-GXTWGEPZSA-N 0 3 314.256 4.416 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H](C(F)(F)F)C2)c(C)c1 ZINC001142935051 1047518890 /nfs/dbraw/zinc/51/88/90/1047518890.db2.gz MNEJLGGFTHAOEW-CYBMUJFWSA-N 0 3 301.352 4.086 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC001142954654 1047520410 /nfs/dbraw/zinc/52/04/10/1047520410.db2.gz QQFUTXSMOUGMRR-MSOLQXFVSA-N 0 3 322.537 4.173 20 0 DIADHN Cc1noc([C@H]2CCCN(Cc3c(C)cc(Cl)cc3C)C2)n1 ZINC000091832249 1047523469 /nfs/dbraw/zinc/52/34/69/1047523469.db2.gz UJKCGMZUDSRSHM-AWEZNQCLSA-N 0 3 319.836 4.028 20 0 DIADHN CC(C)N(Cc1cc(-c2ccccc2)nn1C)Cc1ccccc1 ZINC001143005527 1047524364 /nfs/dbraw/zinc/52/43/64/1047524364.db2.gz WZWWFVIDIJHNIZ-UHFFFAOYSA-N 0 3 319.452 4.498 20 0 DIADHN COc1ccc(CN(C2CC2)[C@H]2CCc3ccccc32)cc1O ZINC000092221635 1047530513 /nfs/dbraw/zinc/53/05/13/1047530513.db2.gz XBENZABIBOVUMS-SFHVURJKSA-N 0 3 309.409 4.053 20 0 DIADHN COc1cc(C)cc(OC)c1CN1CCC[C@H]1c1ccccc1 ZINC001143142631 1047534713 /nfs/dbraw/zinc/53/47/13/1047534713.db2.gz UOOCXIUIZHZKDG-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN COCc1ccccc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC000122109723 1047537680 /nfs/dbraw/zinc/53/76/80/1047537680.db2.gz YFGNXOKKBLIFAQ-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN FC[C@@H]1CN(Cc2ccc(C(F)F)cc2)C[C@H]1C(F)(F)F ZINC001143244305 1047543035 /nfs/dbraw/zinc/54/30/35/1047543035.db2.gz SEYOKZDDJOSQFY-VXGBXAGGSA-N 0 3 311.269 4.204 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(F)cc2)s1 ZINC000093049357 1047543621 /nfs/dbraw/zinc/54/36/21/1047543621.db2.gz PEAGEZGCBMOZBB-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN FC(F)c1ccc(CN2CC[C@H](OCc3ccccc3)C2)cc1 ZINC001143251397 1047544236 /nfs/dbraw/zinc/54/42/36/1047544236.db2.gz QUSKYYCCHFHOFL-SFHVURJKSA-N 0 3 317.379 4.415 20 0 DIADHN CCN(Cc1cccc(-c2cccnc2)c1)Cc1ccccn1 ZINC000093338756 1047544672 /nfs/dbraw/zinc/54/46/72/1047544672.db2.gz UWQPCJMTTKTLOY-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cnc(CC(C)C)s2)CC1 ZINC000093317836 1047545361 /nfs/dbraw/zinc/54/53/61/1047545361.db2.gz LGSQNZLARUQORB-HNNXBMFYSA-N 0 3 310.507 4.123 20 0 DIADHN c1nc(C2CCC2)sc1CN(C[C@@H]1CCCO1)C1CCCC1 ZINC000093666204 1047549004 /nfs/dbraw/zinc/54/90/04/1047549004.db2.gz NECJSFQVUQCUAH-INIZCTEOSA-N 0 3 320.502 4.334 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cnc(C(C)(C)C)s1 ZINC000093764285 1047550562 /nfs/dbraw/zinc/55/05/62/1047550562.db2.gz NITAZMSDWSDXJW-UHFFFAOYSA-N 0 3 323.531 4.350 20 0 DIADHN COc1ccc(F)c(C(F)(F)F)c1CN(CC1CC1)C1CC1 ZINC001143310010 1047550910 /nfs/dbraw/zinc/55/09/10/1047550910.db2.gz NOGABXDEFOASCE-UHFFFAOYSA-N 0 3 317.326 4.228 20 0 DIADHN Fc1cccc(-n2ccc(CN(C3CC3)C3CCCCC3)n2)c1 ZINC000064556829 1047553772 /nfs/dbraw/zinc/55/37/72/1047553772.db2.gz NMUZTJYZGJNAKW-UHFFFAOYSA-N 0 3 313.420 4.309 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2)ccc1C(F)(F)F ZINC001143342759 1047553893 /nfs/dbraw/zinc/55/38/93/1047553893.db2.gz VWOWUVZAPWQJCG-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN COc1cc(CN2CCC(C)(F)CC2)ccc1C(F)(F)F ZINC001143342817 1047554598 /nfs/dbraw/zinc/55/45/98/1047554598.db2.gz YUUGPTWVAGPOHU-UHFFFAOYSA-N 0 3 305.315 4.038 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc(C3CC3)cc2)c1 ZINC000613040217 1047555116 /nfs/dbraw/zinc/55/51/16/1047555116.db2.gz SXUCPCZWWNPODO-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)C(C)(C)C ZINC001149183462 1047556753 /nfs/dbraw/zinc/55/67/53/1047556753.db2.gz BFAPVZRGGZGYJK-MDZRGWNJSA-N 0 3 316.489 4.071 20 0 DIADHN c1ccc(N[C@@H]2CCCN(CC3=Cc4ccccc4OC3)C2)cc1 ZINC000537649231 1047561873 /nfs/dbraw/zinc/56/18/73/1047561873.db2.gz UZYFRVVVZHYDBL-HXUWFJFHSA-N 0 3 320.436 4.039 20 0 DIADHN Clc1ccc(CN2CC[C@H](COCc3ccccc3)C2)s1 ZINC000537649453 1047562197 /nfs/dbraw/zinc/56/21/97/1047562197.db2.gz WKMLUGPGDQFXCV-HNNXBMFYSA-N 0 3 321.873 4.440 20 0 DIADHN Cc1cnc(CN[C@@H](C)[C@H](C)c2nc3ccccc3s2)s1 ZINC000122941307 1047562299 /nfs/dbraw/zinc/56/22/99/1047562299.db2.gz SCNVSTWHYYTXAN-RYUDHWBXSA-N 0 3 317.483 4.343 20 0 DIADHN COc1cc(CN(C)CC(C)(C)C)c(F)cc1Br ZINC001204375081 1047566308 /nfs/dbraw/zinc/56/63/08/1047566308.db2.gz PJLRNMKWFRKVIJ-UHFFFAOYSA-N 0 3 318.230 4.075 20 0 DIADHN CC(C)N(C)C(=O)[C@@H](C)N(C)Cc1ccccc1-c1ccccc1 ZINC000476799437 1047576937 /nfs/dbraw/zinc/57/69/37/1047576937.db2.gz CGYXTKNIAFVSCN-QGZVFWFLSA-N 0 3 324.468 4.041 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(Cl)nc2)cc1C ZINC000926903427 1047579202 /nfs/dbraw/zinc/57/92/02/1047579202.db2.gz VIBKYFTUTJPLLW-STQMWFEESA-N 0 3 304.821 4.464 20 0 DIADHN COc1cccc(CN2CCCC[C@H](SC)C2)c1OC(C)C ZINC000537697927 1047587819 /nfs/dbraw/zinc/58/78/19/1047587819.db2.gz QUBNYASHBFKRFB-INIZCTEOSA-N 0 3 323.502 4.200 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@@H](C)CC(C)(C)C)c1 ZINC001151012288 1047589664 /nfs/dbraw/zinc/58/96/64/1047589664.db2.gz RZYBAIQKWIKVKW-OAHLLOKOSA-N 0 3 304.478 4.458 20 0 DIADHN CC(C)CC(CC(C)C)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000315356620 1047604063 /nfs/dbraw/zinc/60/40/63/1047604063.db2.gz CRWVLAIQSDHOSE-HNNXBMFYSA-N 0 3 306.498 4.116 20 0 DIADHN Cc1cc(C)cc(NC(=O)[C@@H](C)N2CC[C@H](c3ccccc3)C2)c1 ZINC000477219890 1047604885 /nfs/dbraw/zinc/60/48/85/1047604885.db2.gz HVCWEBMYNXMYRJ-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)s1 ZINC000926922533 1047608893 /nfs/dbraw/zinc/60/88/93/1047608893.db2.gz IQNWYIQEQUKRSU-VXGBXAGGSA-N 0 3 316.470 4.188 20 0 DIADHN CN(CC(=O)N[C@@H](CC1CCC1)c1ccccc1)CC(C)(C)C ZINC001152853046 1047616336 /nfs/dbraw/zinc/61/63/36/1047616336.db2.gz SXIFNQKVKYAEAC-SFHVURJKSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cnc3c(cnn3C(C)C)c2)c1 ZINC000130445593 1047624707 /nfs/dbraw/zinc/62/47/07/1047624707.db2.gz UPMGTPJTQSIFNL-UHFFFAOYSA-N 0 3 322.456 4.346 20 0 DIADHN Brc1ccsc1CN1CCC[C@@H]1C1CCC1 ZINC000477639991 1047628387 /nfs/dbraw/zinc/62/83/87/1047628387.db2.gz GDDJMTXNDLTRBT-GFCCVEGCSA-N 0 3 300.265 4.275 20 0 DIADHN O=C(Nc1cccc(C(F)F)c1)[C@H]1CCCCN1C1CCCC1 ZINC000537729457 1047634841 /nfs/dbraw/zinc/63/48/41/1047634841.db2.gz DGTNXMMXODAOGM-MRXNPFEDSA-N 0 3 322.399 4.360 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cnc(C2CC2)s1 ZINC000537772981 1047637687 /nfs/dbraw/zinc/63/76/87/1047637687.db2.gz CUSVXYUQEYJLLN-ZBEGNZNMSA-N 0 3 320.433 4.025 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2csc(-c3cccs3)n2)CCO1 ZINC000537866306 1047640857 /nfs/dbraw/zinc/64/08/57/1047640857.db2.gz YJJFYDUMELFCIC-OCCSQVGLSA-N 0 3 322.499 4.165 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000537884904 1047642110 /nfs/dbraw/zinc/64/21/10/1047642110.db2.gz QFCTXZJJCXAGRZ-OLZOCXBDSA-N 0 3 318.461 4.443 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CN(C)Cc3ccccc31)CCC2 ZINC000537947293 1047645666 /nfs/dbraw/zinc/64/56/66/1047645666.db2.gz PTBHZDVOOHFCQS-NHCUHLMSSA-N 0 3 306.453 4.149 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H]2CCCCc3ccccc32)C1 ZINC000537953259 1047646608 /nfs/dbraw/zinc/64/66/08/1047646608.db2.gz MFONSUDLDLSUSN-LEWJYISDSA-N 0 3 306.453 4.230 20 0 DIADHN C[C@@H](NCc1ccccc1N(C)C)c1cc2c(s1)CCC2 ZINC000537968622 1047650749 /nfs/dbraw/zinc/65/07/49/1047650749.db2.gz ORRQDAACCMHARW-CYBMUJFWSA-N 0 3 300.471 4.154 20 0 DIADHN Cc1cc2cc([C@H](C)NCCOCC(F)(F)F)oc2cc1C ZINC000537972214 1047651576 /nfs/dbraw/zinc/65/15/76/1047651576.db2.gz ASZAIBYDHVCVAU-LBPRGKRZSA-N 0 3 315.335 4.279 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC000537994855 1047653512 /nfs/dbraw/zinc/65/35/12/1047653512.db2.gz IOTRWDOQOGWWJK-CQSZACIVSA-N 0 3 308.422 4.054 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cc(-c2ccc(F)cc2)no1 ZINC000537994839 1047653824 /nfs/dbraw/zinc/65/38/24/1047653824.db2.gz IOTRWDOQOGWWJK-AWEZNQCLSA-N 0 3 308.422 4.054 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](CO)c2sccc2C)cc1 ZINC000538020069 1047655709 /nfs/dbraw/zinc/65/57/09/1047655709.db2.gz ALZTYMKNRCCDIC-PBHICJAKSA-N 0 3 319.470 4.230 20 0 DIADHN CSc1cc(CN[C@H](c2ccc(F)c(C)c2)C2CC2)ccn1 ZINC000538114393 1047658227 /nfs/dbraw/zinc/65/82/27/1047658227.db2.gz ICLPJTLCGKTACG-SFHVURJKSA-N 0 3 316.445 4.492 20 0 DIADHN CCC(CC)[C@@H](CCN[C@H](C)c1nc2ccccc2n1C)OC ZINC000538117545 1047658251 /nfs/dbraw/zinc/65/82/51/1047658251.db2.gz XINXKSLPVHCKGV-RDTXWAMCSA-N 0 3 317.477 4.065 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000538205071 1047661533 /nfs/dbraw/zinc/66/15/33/1047661533.db2.gz CVFNENXWFJTSFD-SFHVURJKSA-N 0 3 316.832 4.375 20 0 DIADHN CC(C)(C)C(=N)Nc1ccc2c(n1)CCN(Cc1ccccc1)C2 ZINC001155181556 1047670701 /nfs/dbraw/zinc/67/07/01/1047670701.db2.gz UKBGNKZOLGEICS-UHFFFAOYSA-N 0 3 322.456 4.075 20 0 DIADHN Cn1c(CN[C@@H](CC2CCCCC2)C(C)(C)C)nnc1C1CC1 ZINC000538392192 1047672881 /nfs/dbraw/zinc/67/28/81/1047672881.db2.gz OPRMACMKKIWKLP-INIZCTEOSA-N 0 3 318.509 4.167 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1nccc2cc(Cl)ccc21 ZINC001155248559 1047673532 /nfs/dbraw/zinc/67/35/32/1047673532.db2.gz VMYIIPHOUHYEDF-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN CCC1(CC)[C@H](NCc2ncoc2-c2ccccc2)C[C@H]1OC ZINC000538393839 1047674266 /nfs/dbraw/zinc/67/42/66/1047674266.db2.gz HGOQVHBWBVPYLZ-IAGOWNOFSA-N 0 3 314.429 4.025 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2c(CC)noc2CC)C12CCCCC2 ZINC000538393213 1047674481 /nfs/dbraw/zinc/67/44/81/1047674481.db2.gz JROOGPXVQSXLLE-MSOLQXFVSA-N 0 3 320.477 4.017 20 0 DIADHN Cc1ccc(CNCc2cccn2C)c(Oc2ccccc2)c1 ZINC000538419961 1047674883 /nfs/dbraw/zinc/67/48/83/1047674883.db2.gz DYHSYQPOLPRWFK-UHFFFAOYSA-N 0 3 306.409 4.416 20 0 DIADHN Fc1ccc(CN[C@H]2CCCC(F)(F)C2)c(Br)c1 ZINC000538425605 1047676990 /nfs/dbraw/zinc/67/69/90/1047676990.db2.gz OXRDGSYNCHQFOI-NSHDSACASA-N 0 3 322.168 4.256 20 0 DIADHN Clc1ccc2c(ccnc2N[C@@H]2CNCc3ccsc32)c1 ZINC001155559757 1047684313 /nfs/dbraw/zinc/68/43/13/1047684313.db2.gz QZBIZZCMIMPWSK-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1ccc2c(ccnc2N[C@H]2CNCc3ccsc32)c1 ZINC001155559756 1047684526 /nfs/dbraw/zinc/68/45/26/1047684526.db2.gz QZBIZZCMIMPWSK-AWEZNQCLSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cc(N[C@@H]2CNCc3ccsc32)c2ccsc2n1 ZINC001155561747 1047684936 /nfs/dbraw/zinc/68/49/36/1047684936.db2.gz OVROXWYBDMAQHP-LLVKDONJSA-N 0 3 321.858 4.268 20 0 DIADHN Clc1cc(N[C@@H]2CNCc3ccsc32)c2sccc2n1 ZINC001155562105 1047685117 /nfs/dbraw/zinc/68/51/17/1047685117.db2.gz QLOJNGGOLIXHDC-LLVKDONJSA-N 0 3 321.858 4.268 20 0 DIADHN Cc1nc(N[C@@H]2CNCc3ccsc32)c(Cl)cc1Cl ZINC001155565374 1047685313 /nfs/dbraw/zinc/68/53/13/1047685313.db2.gz NAKOQPADWAKBSV-LLVKDONJSA-N 0 3 314.241 4.015 20 0 DIADHN Cc1ccc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)cc1C ZINC000538551158 1047687063 /nfs/dbraw/zinc/68/70/63/1047687063.db2.gz LBDWOIJCWDEHTE-OAHLLOKOSA-N 0 3 309.409 4.372 20 0 DIADHN Cc1cc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c(C)s1 ZINC000538550659 1047687165 /nfs/dbraw/zinc/68/71/65/1047687165.db2.gz FCNZKGBVRINPTA-GFCCVEGCSA-N 0 3 315.438 4.434 20 0 DIADHN N=C(Nc1cc(N2CCCC2)ccn1)C12CC3CC(CC(C3)C1)C2 ZINC001155960183 1047692904 /nfs/dbraw/zinc/69/29/04/1047692904.db2.gz UIZYGVDXLNDCKU-UHFFFAOYSA-N 0 3 324.472 4.287 20 0 DIADHN CCCN1CCCC[C@H]1c1ccc(NCC(=O)C(C)(C)C)nc1 ZINC001156060062 1047698024 /nfs/dbraw/zinc/69/80/24/1047698024.db2.gz INOQKUVLEWUCOX-INIZCTEOSA-N 0 3 317.477 4.046 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc3[nH]cnc3c2)[C@H]1c1ccccc1 ZINC000538720817 1047700189 /nfs/dbraw/zinc/70/01/89/1047700189.db2.gz XWRYXYCZUHHWLF-IFXJQAMLSA-N 0 3 323.465 4.242 20 0 DIADHN C[C@@H]1SCCN(Cc2ccc3nc[nH]c3c2)[C@H]1c1ccccc1 ZINC000538720817 1047700194 /nfs/dbraw/zinc/70/01/94/1047700194.db2.gz XWRYXYCZUHHWLF-IFXJQAMLSA-N 0 3 323.465 4.242 20 0 DIADHN C[C@H]1SCCN(Cc2ccc3[nH]cnc3c2)[C@H]1c1ccccc1 ZINC000538720816 1047700778 /nfs/dbraw/zinc/70/07/78/1047700778.db2.gz XWRYXYCZUHHWLF-AUUYWEPGSA-N 0 3 323.465 4.242 20 0 DIADHN C[C@H]1SCCN(Cc2ccc3nc[nH]c3c2)[C@H]1c1ccccc1 ZINC000538720816 1047700780 /nfs/dbraw/zinc/70/07/80/1047700780.db2.gz XWRYXYCZUHHWLF-AUUYWEPGSA-N 0 3 323.465 4.242 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1cc(-c2cccnc2)n[nH]1 ZINC000538730534 1047703223 /nfs/dbraw/zinc/70/32/23/1047703223.db2.gz SDHXJFUCRDPEQU-HXUWFJFHSA-N 0 3 320.440 4.301 20 0 DIADHN CC(C)Cc1ncc(CN(C[C@@H]2CCCO2)C2CCCC2)s1 ZINC000538734322 1047703650 /nfs/dbraw/zinc/70/36/50/1047703650.db2.gz RDXFQTSYTPJASZ-INIZCTEOSA-N 0 3 322.518 4.265 20 0 DIADHN Cc1ccc([C@H]2CSCCN2Cc2cccc3c[nH]nc32)cc1 ZINC000538735316 1047703842 /nfs/dbraw/zinc/70/38/42/1047703842.db2.gz OZKNKSSUJPDRNY-GOSISDBHSA-N 0 3 323.465 4.161 20 0 DIADHN FC(F)(F)CSCCNCc1ccc(-c2ccccc2)o1 ZINC000538759257 1047705998 /nfs/dbraw/zinc/70/59/98/1047705998.db2.gz OFUJLMMMGZXGRN-UHFFFAOYSA-N 0 3 315.360 4.332 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cc(Cl)ccc1F ZINC000538753176 1047709105 /nfs/dbraw/zinc/70/91/05/1047709105.db2.gz TUSGEJDQEHDIET-UHFFFAOYSA-N 0 3 312.841 4.389 20 0 DIADHN C[C@H]1C[C@@H](NCc2csc(-c3ccc(Cl)cc3)n2)CCO1 ZINC000538774251 1047709866 /nfs/dbraw/zinc/70/98/66/1047709866.db2.gz AVWSVNSBIAEPFN-FZMZJTMJSA-N 0 3 322.861 4.121 20 0 DIADHN Clc1ccccc1CN1CCC(COc2cccnc2)CC1 ZINC001203377362 1047711653 /nfs/dbraw/zinc/71/16/53/1047711653.db2.gz HVGDXRDIVOQWCU-UHFFFAOYSA-N 0 3 316.832 4.026 20 0 DIADHN c1ncc(CN2CCc3ccccc3[C@@H]2Cc2ccccc2)s1 ZINC000538802668 1047712098 /nfs/dbraw/zinc/71/20/98/1047712098.db2.gz MTNYTRVRYVCACQ-FQEVSTJZSA-N 0 3 320.461 4.485 20 0 DIADHN CSc1cc(CNCc2c(C)cc(Cl)cc2C)ccn1 ZINC000538968090 1047721397 /nfs/dbraw/zinc/72/13/97/1047721397.db2.gz GAFQRXFXJSFNMR-UHFFFAOYSA-N 0 3 306.862 4.364 20 0 DIADHN CSc1cc(CN[C@@H](C)c2cc3c(s2)CCC3)ccn1 ZINC000539036968 1047726595 /nfs/dbraw/zinc/72/65/95/1047726595.db2.gz FWTUUMRUNJLDFG-NSHDSACASA-N 0 3 304.484 4.205 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1cccc(Cl)c1 ZINC000539050472 1047727203 /nfs/dbraw/zinc/72/72/03/1047727203.db2.gz GDSSAMXREAMEJG-SCLBCKFNSA-N 0 3 300.833 4.177 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1cccc(Cl)c1 ZINC000539050470 1047727457 /nfs/dbraw/zinc/72/74/57/1047727457.db2.gz GDSSAMXREAMEJG-ACJLOTCBSA-N 0 3 300.833 4.177 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H](C)c1cc2c(s1)CCCC2 ZINC000539055476 1047727972 /nfs/dbraw/zinc/72/79/72/1047727972.db2.gz BYQZKUUWIRYSSO-OCCSQVGLSA-N 0 3 321.511 4.381 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1ccccc1F ZINC000539055045 1047728236 /nfs/dbraw/zinc/72/82/36/1047728236.db2.gz SGPFEIWCRBRIAU-JCGVRSQUSA-N 0 3 305.368 4.392 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1ccccc1C(C)(C)C ZINC000539053301 1047728330 /nfs/dbraw/zinc/72/83/30/1047728330.db2.gz DNWZQJVLIHFWNZ-CQSZACIVSA-N 0 3 315.432 4.466 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H]2CN(C)Cc3ccccc32)cc1 ZINC000539046777 1047731629 /nfs/dbraw/zinc/73/16/29/1047731629.db2.gz MGKQDLZYYBBDBL-HRAATJIYSA-N 0 3 324.468 4.313 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3cc(C)ccc32)c(OC)c1 ZINC000539044295 1047732306 /nfs/dbraw/zinc/73/23/06/1047732306.db2.gz OJKRUUSZBWKTLP-AUUYWEPGSA-N 0 3 311.425 4.350 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@@H]1NCc1ccccc1N(C)C ZINC000539074806 1047734232 /nfs/dbraw/zinc/73/42/32/1047734232.db2.gz DZOVPQNZQUAPMN-VBKZILBWSA-N 0 3 312.432 4.305 20 0 DIADHN C[C@@H](NCCOc1ccccc1F)c1ccc2ccccc2n1 ZINC000539075057 1047735214 /nfs/dbraw/zinc/73/52/14/1047735214.db2.gz RWMUUDUMAGLVDO-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN CCOC[C@H](C)N[C@H](c1ccccc1)c1ccc(OCC)cc1 ZINC000539094614 1047736036 /nfs/dbraw/zinc/73/60/36/1047736036.db2.gz XKIMRRSOTZEKBQ-OXJNMPFZSA-N 0 3 313.441 4.189 20 0 DIADHN CCCCCCN(C)CC(=O)N1C[C@@H](C)C[C@@H]1c1ccccc1 ZINC001157230777 1047737915 /nfs/dbraw/zinc/73/79/15/1047737915.db2.gz BZWBPFYFGQDSMW-PKOBYXMFSA-N 0 3 316.489 4.108 20 0 DIADHN CCc1ccc([C@H](CC)N[C@H](CO)c2sccc2C)cc1 ZINC000539121575 1047738072 /nfs/dbraw/zinc/73/80/72/1047738072.db2.gz IWFQDSBLCJTSGX-DLBZAZTESA-N 0 3 303.471 4.393 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccccn1)Oc1cccc(Cl)c1 ZINC000539121679 1047738078 /nfs/dbraw/zinc/73/80/78/1047738078.db2.gz BRCGVMHPBLKCSF-HIFRSBDPSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1ccccc1OCCCN[C@H](C)c1nc2ccccc2n1C ZINC000539202127 1047740853 /nfs/dbraw/zinc/74/08/53/1047740853.db2.gz GGPWFBYQHGXFIS-MRXNPFEDSA-N 0 3 323.440 4.001 20 0 DIADHN C[C@@H](NCCc1ccccc1Cl)c1nc2ccccc2n1C ZINC000539203967 1047741042 /nfs/dbraw/zinc/74/10/42/1047741042.db2.gz OAVUKGMNUYXJRX-CYBMUJFWSA-N 0 3 313.832 4.120 20 0 DIADHN CCCC[C@H](COC)N[C@@H](C)c1nc2ccc(Cl)cc2n1C ZINC000539214118 1047741355 /nfs/dbraw/zinc/74/13/55/1047741355.db2.gz ZLRHLXALPSSDMK-GXTWGEPZSA-N 0 3 323.868 4.083 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](CC(C)C)c2ccccc2)s1 ZINC000539242987 1047743736 /nfs/dbraw/zinc/74/37/36/1047743736.db2.gz INKZTRHHALECNM-INIZCTEOSA-N 0 3 317.454 4.412 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2cccc(C)c2C)c(C)s1 ZINC000539286853 1047745111 /nfs/dbraw/zinc/74/51/11/1047745111.db2.gz OYPHFHYBZPGOIZ-CYBMUJFWSA-N 0 3 317.454 4.311 20 0 DIADHN COC(=O)c1cc(CN[C@@H](C)c2cccc(C)c2C)c(C)s1 ZINC000539286856 1047745441 /nfs/dbraw/zinc/74/54/41/1047745441.db2.gz OYPHFHYBZPGOIZ-ZDUSSCGKSA-N 0 3 317.454 4.311 20 0 DIADHN CC(C)(C)NC(=O)CN[C@H](c1ccc(Cl)cc1)C1CCCC1 ZINC000539308639 1047745972 /nfs/dbraw/zinc/74/59/72/1047745972.db2.gz OCVLHBSRAOAFMJ-KRWDZBQOSA-N 0 3 322.880 4.076 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cc(C)cc(C)c2)c(C)c1 ZINC000539317980 1047746165 /nfs/dbraw/zinc/74/61/65/1047746165.db2.gz LRIPBZMRLKUPSE-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Clc1ccc2ccc(N3CCN[C@H](c4ccccc4)C3)nc2c1 ZINC001157765115 1047753379 /nfs/dbraw/zinc/75/33/79/1047753379.db2.gz LRTULGBAROTRLZ-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN CC(C)[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477846059 1047753755 /nfs/dbraw/zinc/75/37/55/1047753755.db2.gz JZOURIZWFZGWDB-SJLPKXTDSA-N 0 3 320.452 4.389 20 0 DIADHN CC[C@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477852643 1047755724 /nfs/dbraw/zinc/75/57/24/1047755724.db2.gz UAIVQFZSKHYMAZ-CVEARBPZSA-N 0 3 306.425 4.143 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc(Cl)cn1 ZINC000477854722 1047755830 /nfs/dbraw/zinc/75/58/30/1047755830.db2.gz WDKMJNHTQJHGRA-UKRRQHHQSA-N 0 3 308.853 4.268 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)CCOCCC(C)C)CCC2 ZINC000478048778 1047766212 /nfs/dbraw/zinc/76/62/12/1047766212.db2.gz SNMOBXWOGIEHED-HNNXBMFYSA-N 0 3 310.507 4.077 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCOC1CCCCCC1 ZINC000464611208 1047769983 /nfs/dbraw/zinc/76/99/83/1047769983.db2.gz OVMRPMUJEUPGMS-HNNXBMFYSA-N 0 3 321.509 4.337 20 0 DIADHN Cc1nc(N[C@@H]2C[C@H](C)c3ccccc32)cc(N2CCCC2)n1 ZINC001158469795 1047782389 /nfs/dbraw/zinc/78/23/89/1047782389.db2.gz XOCIMQGLNBZKTB-SUMWQHHRSA-N 0 3 308.429 4.046 20 0 DIADHN C[C@H]1C[C@@H](Nc2cc(Cl)nc3c2CCNC3)c2ccccc21 ZINC001158471500 1047783535 /nfs/dbraw/zinc/78/35/35/1047783535.db2.gz HACFAAROBBZWGC-XHDPSFHLSA-N 0 3 313.832 4.041 20 0 DIADHN CCSc1ccnc(N(C2CCCC2)C2CCN(C)CC2)c1 ZINC001158493910 1047786670 /nfs/dbraw/zinc/78/66/70/1047786670.db2.gz RDCCOCSYPBGINV-UHFFFAOYSA-N 0 3 319.518 4.037 20 0 DIADHN CC(C)[C@H](Nc1cc(CN(C)C)ccn1)c1ccc(F)cc1 ZINC001159061998 1047810414 /nfs/dbraw/zinc/81/04/14/1047810414.db2.gz AMNYZPLETFVOQX-SFHVURJKSA-N 0 3 301.409 4.092 20 0 DIADHN Cc1cc(Nc2ccnc(CN)c2)ccc1OCc1ccccc1 ZINC001159296248 1047826336 /nfs/dbraw/zinc/82/63/36/1047826336.db2.gz IOCGERVACMBSIS-UHFFFAOYSA-N 0 3 319.408 4.171 20 0 DIADHN NCc1cc(Nc2cc(Cl)c(Cl)c(Cl)c2)ccn1 ZINC001159296478 1047827186 /nfs/dbraw/zinc/82/71/86/1047827186.db2.gz PAPQXVBFJXLRIC-UHFFFAOYSA-N 0 3 302.592 4.244 20 0 DIADHN NCc1cc(Nc2ccc(-c3nc4ccccc4o3)cc2)ccn1 ZINC001159296988 1047826542 /nfs/dbraw/zinc/82/65/42/1047826542.db2.gz VVLHIMSXVCMECE-UHFFFAOYSA-N 0 3 316.364 4.092 20 0 DIADHN NCc1cc(Nc2cc(Cl)cc(C(F)(F)F)c2F)ccn1 ZINC001159297051 1047827276 /nfs/dbraw/zinc/82/72/76/1047827276.db2.gz YZMHRKUCMOTYFN-UHFFFAOYSA-N 0 3 319.689 4.095 20 0 DIADHN Cc1ccc(CNCc2cnc(-c3ccncc3)s2)c(C)c1 ZINC000553315091 1047837355 /nfs/dbraw/zinc/83/73/55/1047837355.db2.gz PBOKWDVCGHIZCK-UHFFFAOYSA-N 0 3 309.438 4.112 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(N)c(Cl)c3)cccc21 ZINC001212121707 1047841431 /nfs/dbraw/zinc/84/14/31/1047841431.db2.gz ORCIWMGTVVZVAO-INIZCTEOSA-N 0 3 317.820 4.051 20 0 DIADHN CC1=CCC[C@@H](C)[C@H]1CNCc1nc(C(F)(F)F)cs1 ZINC000479435279 1047888981 /nfs/dbraw/zinc/88/89/81/1047888981.db2.gz GMQJFTLJAHCXAJ-MNOVXSKESA-N 0 3 304.381 4.244 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@@H](C)[C@H]2c2ccccc2)cc1 ZINC001197661310 1047859444 /nfs/dbraw/zinc/85/94/44/1047859444.db2.gz TXOYUMCYLQQJTG-UZLBHIALSA-N 0 3 308.425 4.017 20 0 DIADHN Cc1cnccc1Nc1cc(C2CCC2)nn1-c1ccccc1 ZINC001174537170 1047865525 /nfs/dbraw/zinc/86/55/25/1047865525.db2.gz ACRUVCIXVQXBBH-UHFFFAOYSA-N 0 3 304.397 4.009 20 0 DIADHN Fc1cc(Nc2cccc3n[nH]cc32)ccc1CN1CCCCC1 ZINC001159574364 1047870974 /nfs/dbraw/zinc/87/09/74/1047870974.db2.gz DQQBUMORQFVFQA-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN Fc1cc(Nc2cccc3[nH]ncc32)ccc1CN1CCCCC1 ZINC001159574364 1047870985 /nfs/dbraw/zinc/87/09/85/1047870985.db2.gz DQQBUMORQFVFQA-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN CC[C@H]1CN(Cc2cnc(OC)s2)CC[C@H]1c1ccccc1 ZINC001204358900 1047873013 /nfs/dbraw/zinc/87/30/13/1047873013.db2.gz MWXHTLLKHQILND-WMLDXEAASA-N 0 3 316.470 4.167 20 0 DIADHN CC(C)[C@H](NC[C@@H](c1ccco1)N(C)C)c1cc(F)ccc1F ZINC000479336291 1047873673 /nfs/dbraw/zinc/87/36/73/1047873673.db2.gz ODYVRRSGTQRLDA-WMZOPIPTSA-N 0 3 322.399 4.147 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1nccc2ccccc21 ZINC001159592278 1047876129 /nfs/dbraw/zinc/87/61/29/1047876129.db2.gz PUSGYIFVKXNJGX-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN COCCOc1cccc(CNCc2cccc(C(C)C)c2)c1 ZINC000479424598 1047884254 /nfs/dbraw/zinc/88/42/54/1047884254.db2.gz OHXRRYHEBJHHBU-UHFFFAOYSA-N 0 3 313.441 4.125 20 0 DIADHN CCc1cnc(CNCCCCc2ccc(F)c(F)c2)s1 ZINC000479414174 1047887388 /nfs/dbraw/zinc/88/73/88/1047887388.db2.gz BVCHZOLVTLWCLF-UHFFFAOYSA-N 0 3 310.413 4.096 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2N[C@H](C)c1cc[nH]c(=O)c1 ZINC000924721327 1047887519 /nfs/dbraw/zinc/88/75/19/1047887519.db2.gz AIMPOCUCCBHWCR-DOMZBBRYSA-N 0 3 300.402 4.053 20 0 DIADHN C[C@@H]1CCc2nc(CNC3(Cc4ccccc4)CC3)sc2C1 ZINC000479415137 1047888149 /nfs/dbraw/zinc/88/81/49/1047888149.db2.gz XPKKBUSFSCLZQT-CQSZACIVSA-N 0 3 312.482 4.133 20 0 DIADHN CC1(C)C[C@]1(NCc1ccc(O)c(F)c1F)c1ccccc1 ZINC001183065173 1047888572 /nfs/dbraw/zinc/88/85/72/1047888572.db2.gz HRHWGASWKFIRIC-SFHVURJKSA-N 0 3 303.352 4.085 20 0 DIADHN CCc1ccc([C@H](CO)NCc2cc3c(cc(C)cc3C)[nH]2)cc1 ZINC000479439067 1047889639 /nfs/dbraw/zinc/88/96/39/1047889639.db2.gz RCENALDBNACVFF-NRFANRHFSA-N 0 3 322.452 4.170 20 0 DIADHN CC(C)P(CCNC(=O)c1cccc(C2CCC2)n1)C(C)C ZINC001197878540 1047894011 /nfs/dbraw/zinc/89/40/11/1047894011.db2.gz IHUZHYKBJLDDAF-UHFFFAOYSA-N 0 3 320.417 4.378 20 0 DIADHN Fc1cccc2c1CC[C@H]2NCc1cccc(OC(F)F)c1 ZINC001197890126 1047894331 /nfs/dbraw/zinc/89/43/31/1047894331.db2.gz BNCDUXHVFXFZTG-MRXNPFEDSA-N 0 3 307.315 4.204 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](NCC2(C)CC2)c2ccccc2)cc1 ZINC000479431578 1047894419 /nfs/dbraw/zinc/89/44/19/1047894419.db2.gz ZUOSYZHHUWTWSR-SFHVURJKSA-N 0 3 308.425 4.065 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@H]1Cc2ccccc2O1 ZINC001203886896 1047895144 /nfs/dbraw/zinc/89/51/44/1047895144.db2.gz HBPVYUMDGJYWBJ-MRXNPFEDSA-N 0 3 321.342 4.141 20 0 DIADHN COc1ccc(Cl)cc1CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC001174629557 1047915921 /nfs/dbraw/zinc/91/59/21/1047915921.db2.gz AREGSXMLIBTERR-RDTXWAMCSA-N 0 3 323.864 4.130 20 0 DIADHN [O-]c1cc(Nc2cccc(C3CC[NH2+]CC3)c2)ccc1Cl ZINC001159765409 1047918605 /nfs/dbraw/zinc/91/86/05/1047918605.db2.gz XVKOJUYZOPKOLY-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN O=C(c1ccccc1)c1ccc(Nc2cccc3c2CNC3)cc1 ZINC001159765468 1047919051 /nfs/dbraw/zinc/91/90/51/1047919051.db2.gz YUSOFOZQNQXRQJ-UHFFFAOYSA-N 0 3 314.388 4.264 20 0 DIADHN CC(C)C(=O)Nc1ccc(Nc2ccccc2CN(C)C)cc1 ZINC001198081319 1047921616 /nfs/dbraw/zinc/92/16/16/1047921616.db2.gz BDULICUDBDDDGR-UHFFFAOYSA-N 0 3 311.429 4.086 20 0 DIADHN CCCN(CCN(C)c1ccccc1)Cc1ccccc1F ZINC001174602573 1047922421 /nfs/dbraw/zinc/92/24/21/1047922421.db2.gz CCLXSUYPOOEEKF-UHFFFAOYSA-N 0 3 300.421 4.174 20 0 DIADHN CCN(Cc1cc(COC)cs1)[C@H](C)c1cccc(OC)c1 ZINC000667515827 1047932327 /nfs/dbraw/zinc/93/23/27/1047932327.db2.gz OLJJCYAWPJTMMZ-CQSZACIVSA-N 0 3 319.470 4.486 20 0 DIADHN CN(C)c1cc(CN2CCC(CC3CCCCC3)CC2)ccn1 ZINC001198211617 1047934207 /nfs/dbraw/zinc/93/42/07/1047934207.db2.gz ZMUQSVDIQLXRBA-UHFFFAOYSA-N 0 3 315.505 4.330 20 0 DIADHN F[C@H]1CCc2ccccc2[C@H]1NCCc1cccc(Cl)c1 ZINC001183492005 1047935086 /nfs/dbraw/zinc/93/50/86/1047935086.db2.gz UVYVYPVNXMNVCG-ZWKOTPCHSA-N 0 3 303.808 4.498 20 0 DIADHN Fc1cc(Br)cc([C@H](NCc2cc[nH]c2)C2CC2)c1 ZINC000479579345 1047935876 /nfs/dbraw/zinc/93/58/76/1047935876.db2.gz CCBUYPZXOGCDEO-OAHLLOKOSA-N 0 3 323.209 4.157 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc4[nH]ccc43)cccc21 ZINC001174652325 1047937666 /nfs/dbraw/zinc/93/76/66/1047937666.db2.gz ZRMCXBAIEKKSEG-GOSISDBHSA-N 0 3 307.397 4.297 20 0 DIADHN Nc1c(F)cccc1Nc1cccc(CN2CCCCC2)c1F ZINC001159870586 1047938517 /nfs/dbraw/zinc/93/85/17/1047938517.db2.gz NGHCZEYVZUCVIT-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Cn1cccc1CN1CCC(c2ccccc2C(F)(F)F)CC1 ZINC001203267520 1047939124 /nfs/dbraw/zinc/93/91/24/1047939124.db2.gz PCMCOIFMKRYIIT-UHFFFAOYSA-N 0 3 322.374 4.424 20 0 DIADHN CCCCOc1ccc(CNC[C@H](C)C(F)(F)F)cc1OC ZINC000479609717 1047940020 /nfs/dbraw/zinc/94/00/20/1047940020.db2.gz HBFVBRUGBCQWLK-LBPRGKRZSA-N 0 3 319.367 4.162 20 0 DIADHN CCc1ccc(CNC[C@@H]2CC(c3ccc(C)c(C)c3)=NO2)cc1 ZINC001183607142 1047943713 /nfs/dbraw/zinc/94/37/13/1047943713.db2.gz SXSWJMQKUXRMIY-FQEVSTJZSA-N 0 3 322.452 4.149 20 0 DIADHN C(=C\[C@@H]1CCCN1C[C@@H]1COc2ccccc2O1)\c1ccccc1 ZINC001198271200 1047944479 /nfs/dbraw/zinc/94/44/79/1047944479.db2.gz UMENIFHQQUNNMI-FRRBRAEUSA-N 0 3 321.420 4.004 20 0 DIADHN C[C@H](NCc1ncc(Cl)n1C)C1(c2ccccc2)CCCC1 ZINC000775844213 1047948325 /nfs/dbraw/zinc/94/83/25/1047948325.db2.gz KBKSRLDIPRQSHG-AWEZNQCLSA-N 0 3 317.864 4.064 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C2CCC2)cc1Br ZINC000479666952 1047948697 /nfs/dbraw/zinc/94/86/97/1047948697.db2.gz QMWSDDSSBOKFLY-HNNXBMFYSA-N 0 3 324.262 4.222 20 0 DIADHN CN(Cc1cnn2c1CCC2)Cc1ccccc1-c1ccccc1 ZINC000667533239 1047951109 /nfs/dbraw/zinc/95/11/09/1047951109.db2.gz KXIUGAXCTYOHNO-UHFFFAOYSA-N 0 3 317.436 4.128 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000924725529 1047952053 /nfs/dbraw/zinc/95/20/53/1047952053.db2.gz XJJTZRXXRZLQOU-CABCVRRESA-N 0 3 313.441 4.334 20 0 DIADHN Cc1nc(-c2ccccc2)sc1CN(C)CCc1ccccn1 ZINC000153111400 1047954067 /nfs/dbraw/zinc/95/40/67/1047954067.db2.gz SJLUJYCXYRUPLC-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN Cc1cc(C)cc(NC(=O)N(CCN(C)C)C2CCCCC2)c1 ZINC000479681681 1047957175 /nfs/dbraw/zinc/95/71/75/1047957175.db2.gz ASDPFIXXRDGDMQ-UHFFFAOYSA-N 0 3 317.477 4.032 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2noc3ccccc23)C12CCC2 ZINC001183767570 1047961297 /nfs/dbraw/zinc/96/12/97/1047961297.db2.gz FCIUKRXUAVVYQY-DLBZAZTESA-N 0 3 314.429 4.044 20 0 DIADHN CCCCCNC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000553908917 1047964510 /nfs/dbraw/zinc/96/45/10/1047964510.db2.gz BMZVHENHXBRAFB-YOEHRIQHSA-N 0 3 324.896 4.322 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000553908892 1047964984 /nfs/dbraw/zinc/96/49/84/1047964984.db2.gz BHBMRKXKTILMSS-YOEHRIQHSA-N 0 3 324.896 4.178 20 0 DIADHN COc1ncc(CN2CCCC[C@@H]2CC2CCC2)cc1Cl ZINC001174780834 1047972004 /nfs/dbraw/zinc/97/20/04/1047972004.db2.gz RVDZJWXSWLZAOU-OAHLLOKOSA-N 0 3 308.853 4.288 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001174779197 1047972760 /nfs/dbraw/zinc/97/27/60/1047972760.db2.gz AEGCQWSEKCKXDB-XJKSGUPXSA-N 0 3 319.399 4.304 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc4cc[nH]c4c3)cccc21 ZINC001174751763 1047977064 /nfs/dbraw/zinc/97/70/64/1047977064.db2.gz OLBIVUOQKREGBN-SFHVURJKSA-N 0 3 307.397 4.297 20 0 DIADHN Nc1cccc(NCc2cccc(OCC3CCCCC3)c2)n1 ZINC001160086997 1047979447 /nfs/dbraw/zinc/97/94/47/1047979447.db2.gz HHVPDDCXCXBZJH-UHFFFAOYSA-N 0 3 311.429 4.235 20 0 DIADHN c1ccc2oc(CN[C@H]3CCC[C@@H](c4cccnc4)C3)nc2c1 ZINC001183909643 1047981185 /nfs/dbraw/zinc/98/11/85/1047981185.db2.gz POYQZDIWKZVTII-ZBFHGGJFSA-N 0 3 307.397 4.039 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC000775877578 1047986239 /nfs/dbraw/zinc/98/62/39/1047986239.db2.gz XGZSQQQLONIUQS-UHFFFAOYSA-N 0 3 316.470 4.294 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@@H]1CC[C@H]1C1CC1 ZINC000554043007 1047989779 /nfs/dbraw/zinc/98/97/79/1047989779.db2.gz DWFIBRLKMLJMDP-ZWKOTPCHSA-N 0 3 312.482 4.207 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccc(F)cc1N(C)C ZINC000479862495 1047993462 /nfs/dbraw/zinc/99/34/62/1047993462.db2.gz PGKWOJLVIDYKFD-LBPRGKRZSA-N 0 3 306.812 4.396 20 0 DIADHN Cc1cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ccc1N ZINC001160212329 1047999462 /nfs/dbraw/zinc/99/94/62/1047999462.db2.gz SPHRADDXOYSCBK-UXHICEINSA-N 0 3 319.452 4.310 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CCC[C@@H](C2CCCC2)C1 ZINC001198705850 1047999881 /nfs/dbraw/zinc/99/98/81/1047999881.db2.gz MUYCNWNHFITAJW-GDBMZVCRSA-N 0 3 318.436 4.055 20 0 DIADHN CSc1ccccc1CN1CCO[C@@H](c2ccccc2)CC1 ZINC001198704672 1048000109 /nfs/dbraw/zinc/00/01/09/1048000109.db2.gz CSRFIWRULUCRDB-GOSISDBHSA-N 0 3 313.466 4.372 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554085951 1048006002 /nfs/dbraw/zinc/00/60/02/1048006002.db2.gz IJPFXIXGMVDUKD-OXQOHEQNSA-N 0 3 314.448 4.396 20 0 DIADHN CN(C)CCN(C(=O)c1ccc(C2CCC2)cc1)c1ccccc1 ZINC000479915565 1048006333 /nfs/dbraw/zinc/00/63/33/1048006333.db2.gz VLEKSOACXMOEHS-UHFFFAOYSA-N 0 3 322.452 4.163 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(c2cncn2C)CC1 ZINC001198772104 1048007257 /nfs/dbraw/zinc/00/72/57/1048007257.db2.gz YOOZKTWFIDDLOE-CYBMUJFWSA-N 0 3 303.837 4.014 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@H]1CC[C@@H]1C1CC1 ZINC000554088799 1048008150 /nfs/dbraw/zinc/00/81/50/1048008150.db2.gz NSWBBHPXCWCBMG-MJGOQNOKSA-N 0 3 316.514 4.144 20 0 DIADHN CCOc1ccc(CCN(C)Cc2c(F)cccc2CC)cc1 ZINC001184228974 1048014502 /nfs/dbraw/zinc/01/45/02/1048014502.db2.gz OCYJUNKELIBNIB-UHFFFAOYSA-N 0 3 315.432 4.461 20 0 DIADHN COc1ccccc1CCNCc1ccc(Oc2ccccc2)o1 ZINC001198917483 1048016235 /nfs/dbraw/zinc/01/62/35/1048016235.db2.gz BJUGYYOIWMNCKI-UHFFFAOYSA-N 0 3 323.392 4.413 20 0 DIADHN COc1ccc(Nc2ccnc(C(C)(C)C)c2)c(OC)c1OC ZINC001174900025 1048017298 /nfs/dbraw/zinc/01/72/98/1048017298.db2.gz RTGNLRJFPVDLAO-UHFFFAOYSA-N 0 3 316.401 4.149 20 0 DIADHN CCCCCCNCc1nc2cc(Br)ccc2o1 ZINC001198935358 1048018110 /nfs/dbraw/zinc/01/81/10/1048018110.db2.gz PEEYMFGIWGZLPN-UHFFFAOYSA-N 0 3 311.223 4.260 20 0 DIADHN CC(C)c1nc([C@H](C)NCC[C@@H]2CCC3(CCC3)O2)cs1 ZINC000927129455 1048019746 /nfs/dbraw/zinc/01/97/46/1048019746.db2.gz PCLHJDQWFGOHOB-KBPBESRZSA-N 0 3 308.491 4.409 20 0 DIADHN Fc1ccccc1-c1ncc(CNCc2cccs2)s1 ZINC001198907330 1048020663 /nfs/dbraw/zinc/02/06/63/1048020663.db2.gz AIEFGYQLAWBKCG-UHFFFAOYSA-N 0 3 304.415 4.301 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc4ncccc43)cccc21 ZINC001174909438 1048021471 /nfs/dbraw/zinc/02/14/71/1048021471.db2.gz PTRDXSDJIUCZRU-IBGZPJMESA-N 0 3 319.408 4.364 20 0 DIADHN COCc1csc(CN2CCC[C@@H]2c2ccccc2OC)c1 ZINC000667590109 1048025913 /nfs/dbraw/zinc/02/59/13/1048025913.db2.gz LWAPDXYKBGZQSY-QGZVFWFLSA-N 0 3 317.454 4.240 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC000248408215 1048026464 /nfs/dbraw/zinc/02/64/64/1048026464.db2.gz DXTRLKHTTFFHSL-YJBOKZPZSA-N 0 3 313.397 4.073 20 0 DIADHN CC(C)P(CCNC(=O)c1cccc2ccn(C)c21)C(C)C ZINC001199031669 1048027520 /nfs/dbraw/zinc/02/75/20/1048027520.db2.gz PQEIVAWAUYLRPU-UHFFFAOYSA-N 0 3 318.401 4.207 20 0 DIADHN CCc1cccc(Cl)c1CNCCOc1ccc(OC)cc1 ZINC001199028383 1048031298 /nfs/dbraw/zinc/03/12/98/1048031298.db2.gz XIBHGDYTWMOQSQ-UHFFFAOYSA-N 0 3 319.832 4.080 20 0 DIADHN C[C@H](NCC[C@H]1CCC2(CCC2)O1)c1nc2c(s1)CCCC2 ZINC000927131572 1048033123 /nfs/dbraw/zinc/03/31/23/1048033123.db2.gz XDUKBWGZZZMUSP-UONOGXRCSA-N 0 3 320.502 4.164 20 0 DIADHN COc1cccc2c(CN3CCc4cc(O)ccc4C3)cccc12 ZINC001184399583 1048034140 /nfs/dbraw/zinc/03/41/40/1048034140.db2.gz YCUYTVVOZVMKLX-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN CNc1ccccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001160340233 1048035621 /nfs/dbraw/zinc/03/56/21/1048035621.db2.gz CIKMFMHANKZGKO-MOPGFXCFSA-N 0 3 319.452 4.461 20 0 DIADHN CCc1ccc2c(c1)CN(Cc1cncc3ccccc31)CC2 ZINC001184418637 1048036818 /nfs/dbraw/zinc/03/68/18/1048036818.db2.gz SGHJPUPYKWBIIY-UHFFFAOYSA-N 0 3 302.421 4.356 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N(C)C)c1ccc(-c2ccccc2)o1 ZINC000480064645 1048039900 /nfs/dbraw/zinc/03/99/00/1048039900.db2.gz BETHOIHDUQVUOM-DOTOQJQBSA-N 0 3 324.424 4.493 20 0 DIADHN Cc1coc2ccc(NC(=O)[C@H]3CCCN3C3CCCC3)cc12 ZINC000480053980 1048040830 /nfs/dbraw/zinc/04/08/30/1048040830.db2.gz OHHDPADOMLXGIZ-QGZVFWFLSA-N 0 3 312.413 4.087 20 0 DIADHN Cc1ccc(NC2=CCCN(Cc3ccccc3)C2)c(N)c1C ZINC001160377302 1048042999 /nfs/dbraw/zinc/04/29/99/1048042999.db2.gz NPQVQIQZOSAUIT-UHFFFAOYSA-N 0 3 307.441 4.087 20 0 DIADHN CCC(CC)CCCN(C)Cc1cc(C(=O)OC)ccc1OC ZINC001174956403 1048048032 /nfs/dbraw/zinc/04/80/32/1048048032.db2.gz HODOFYYPROVDPF-UHFFFAOYSA-N 0 3 321.461 4.130 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cccc(OC3CC3)c2F)CC1 ZINC000667618040 1048049994 /nfs/dbraw/zinc/04/99/94/1048049994.db2.gz JHUKYWVKLXFAOC-MRXNPFEDSA-N 0 3 321.436 4.148 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNC[C@@H]1C[C@@H]1C ZINC001199276909 1048054241 /nfs/dbraw/zinc/05/42/41/1048054241.db2.gz VVXJSDIIBGYYSC-KBPBESRZSA-N 0 3 317.864 4.395 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3ccc(F)c(F)c3F)cccc21 ZINC001174969020 1048057880 /nfs/dbraw/zinc/05/78/80/1048057880.db2.gz HNWMPSMKLBKOFY-AWEZNQCLSA-N 0 3 322.330 4.233 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2ccc(OC)c(OC)c2C)c1 ZINC000554294024 1048058412 /nfs/dbraw/zinc/05/84/12/1048058412.db2.gz UPOHGQQFBIHJTK-HNNXBMFYSA-N 0 3 313.441 4.425 20 0 DIADHN CN(C)Cc1ccnc(N[C@@H]2[C@@H]3CC[C@@H](C3)[C@H]2c2ccccc2)c1 ZINC001160508562 1048061782 /nfs/dbraw/zinc/06/17/82/1048061782.db2.gz HZUNRXFDSOPDQU-UYWIDEMCSA-N 0 3 321.468 4.137 20 0 DIADHN CN(C)Cc1ccnc(N[C@H]2[C@@H]3CC[C@@H](C3)[C@H]2c2ccccc2)c1 ZINC001160508567 1048062543 /nfs/dbraw/zinc/06/25/43/1048062543.db2.gz HZUNRXFDSOPDQU-ZSXPUABSSA-N 0 3 321.468 4.137 20 0 DIADHN CC[C@@H](CNCc1cc(OC)ccc1F)Oc1cccc(C)c1 ZINC001199376441 1048062708 /nfs/dbraw/zinc/06/27/08/1048062708.db2.gz IILDOIYCYCVBEW-INIZCTEOSA-N 0 3 317.404 4.090 20 0 DIADHN CCCCC[C@H](CC)C(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001160519126 1048063637 /nfs/dbraw/zinc/06/36/37/1048063637.db2.gz JJHJJEDJEAYEQO-MAUKXSAKSA-N 0 3 322.468 4.151 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001160519127 1048063403 /nfs/dbraw/zinc/06/34/03/1048063403.db2.gz JJHJJEDJEAYEQO-QAPCUYQASA-N 0 3 322.468 4.151 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1conc1Cc1ccccc1 ZINC001199648490 1048082511 /nfs/dbraw/zinc/08/25/11/1048082511.db2.gz KQBCROFSQMFALA-HNNXBMFYSA-N 0 3 324.399 4.125 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)s1 ZINC000554371442 1048084803 /nfs/dbraw/zinc/08/48/03/1048084803.db2.gz NGICNJLARYXTAU-CZUORRHYSA-N 0 3 306.450 4.149 20 0 DIADHN Nc1cccc(NCc2cc(C(F)(F)F)cc(Cl)c2F)n1 ZINC001160800652 1048086383 /nfs/dbraw/zinc/08/63/83/1048086383.db2.gz QSIVPIKGYLRPBO-UHFFFAOYSA-N 0 3 319.689 4.087 20 0 DIADHN CC[C@H](CN1CCC(C(=O)C(F)(F)F)CC1)c1ccccc1 ZINC000776009282 1048087202 /nfs/dbraw/zinc/08/72/02/1048087202.db2.gz RZYGHEIJIXDIDD-CYBMUJFWSA-N 0 3 313.363 4.024 20 0 DIADHN Cc1noc2ccc(CNC(C)(C)c3ccnc(Cl)c3)cc12 ZINC001160868863 1048093884 /nfs/dbraw/zinc/09/38/84/1048093884.db2.gz HEYFBEHQGSVMOX-UHFFFAOYSA-N 0 3 315.804 4.210 20 0 DIADHN C[C@@H](NCCc1nc(C(C)(C)C)cs1)c1ccc(Cl)cn1 ZINC000480236761 1048098561 /nfs/dbraw/zinc/09/85/61/1048098561.db2.gz VZVNONAWJRXHKU-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3c(F)ccc(F)c3F)cccc21 ZINC001175032200 1048098525 /nfs/dbraw/zinc/09/85/25/1048098525.db2.gz VCZZHJYYUQPOQS-AWEZNQCLSA-N 0 3 322.330 4.233 20 0 DIADHN COc1ccc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)c(C)c1 ZINC001199783615 1048101531 /nfs/dbraw/zinc/10/15/31/1048101531.db2.gz GVIRHVJAMCCRCT-UXHICEINSA-N 0 3 311.425 4.014 20 0 DIADHN C[C@@H](NCCc1ccc2ccccc2n1)c1ccc(Cl)cn1 ZINC000480239691 1048101983 /nfs/dbraw/zinc/10/19/83/1048101983.db2.gz FVTAQCAWZBIEOR-CYBMUJFWSA-N 0 3 311.816 4.177 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1nnc(C2CC2)o1 ZINC000554484445 1048110442 /nfs/dbraw/zinc/11/04/42/1048110442.db2.gz RLSCWQWIQGYXIX-SJORKVTESA-N 0 3 311.429 4.105 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CC[C@H](C)[C@@H](C)C2)cc(Cl)c1[O-] ZINC001205321134 1048113055 /nfs/dbraw/zinc/11/30/55/1048113055.db2.gz XWYCANOHMNANQL-SGMGOOAPSA-N 0 3 311.853 4.359 20 0 DIADHN CC(C)c1cnc(CNC[C@@H](C)COCc2ccccc2)s1 ZINC001199906999 1048113098 /nfs/dbraw/zinc/11/30/98/1048113098.db2.gz POYPREFVMITAAU-OAHLLOKOSA-N 0 3 318.486 4.209 20 0 DIADHN c1ccc(C2(NCc3ccc4c(c3)OCCCCO4)CCC2)cc1 ZINC001199875046 1048114965 /nfs/dbraw/zinc/11/49/65/1048114965.db2.gz OXRGUJAJLWTJGT-UHFFFAOYSA-N 0 3 323.436 4.407 20 0 DIADHN CC(C)[C@@H]1N(C(=O)[C@@H](C)N2CCCCCC2)CC12CCCCC2 ZINC001161238329 1048117375 /nfs/dbraw/zinc/11/73/75/1048117375.db2.gz SOJPOXAAOZQISQ-MSOLQXFVSA-N 0 3 320.521 4.068 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1ccc(N(C)C(C)C)nc1 ZINC000480308251 1048121320 /nfs/dbraw/zinc/12/13/20/1048121320.db2.gz HGZFFTXFHDQGKB-KRWDZBQOSA-N 0 3 311.473 4.394 20 0 DIADHN Fc1cccc(-c2ccc(CNCCc3ccco3)o2)c1F ZINC000480307471 1048121663 /nfs/dbraw/zinc/12/16/63/1048121663.db2.gz WAUQOCMVBKIDJC-UHFFFAOYSA-N 0 3 303.308 4.150 20 0 DIADHN CC(C)P(CCNC(=S)NCC1CCCCC1)C(C)C ZINC001199931117 1048123939 /nfs/dbraw/zinc/12/39/39/1048123939.db2.gz RVKLIZGZSGLBOO-UHFFFAOYSA-N 0 3 316.495 4.330 20 0 DIADHN CCn1ccc(CNC[C@@H](C)c2c(Cl)cccc2Cl)n1 ZINC001199934174 1048123968 /nfs/dbraw/zinc/12/39/68/1048123968.db2.gz QPDUSUAZMSWDGA-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN C[C@H]1C[C@]1(NCc1ccc2[nH]cnc2c1)c1ccc(Cl)cc1 ZINC000554547619 1048125106 /nfs/dbraw/zinc/12/51/06/1048125106.db2.gz MAGCKQJUDKEEDM-KPZWWZAWSA-N 0 3 311.816 4.241 20 0 DIADHN C[C@H]1C[C@]1(NCc1ccc2nc[nH]c2c1)c1ccc(Cl)cc1 ZINC000554547619 1048125111 /nfs/dbraw/zinc/12/51/11/1048125111.db2.gz MAGCKQJUDKEEDM-KPZWWZAWSA-N 0 3 311.816 4.241 20 0 DIADHN CC(C)(CNCc1nccn1-c1ccccc1)c1ccc(F)cc1 ZINC000480354548 1048126790 /nfs/dbraw/zinc/12/67/90/1048126790.db2.gz GZGPQPLAPBRDHW-UHFFFAOYSA-N 0 3 323.415 4.079 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cnc2n1CCC2)CC1CCC1 ZINC000667664522 1048127661 /nfs/dbraw/zinc/12/76/61/1048127661.db2.gz MZNLKUZSXBWTNE-INIZCTEOSA-N 0 3 309.457 4.193 20 0 DIADHN Cc1ccccc1C1(CNCc2nc3cc(F)ccc3o2)CC1 ZINC001199980978 1048132177 /nfs/dbraw/zinc/13/21/77/1048132177.db2.gz LSPRHYFRCSWBRD-UHFFFAOYSA-N 0 3 310.372 4.097 20 0 DIADHN Clc1cscc1CNC1CCN(c2ccccc2)CC1 ZINC000776043869 1048132256 /nfs/dbraw/zinc/13/22/56/1048132256.db2.gz XOLUIBJXOPFERI-UHFFFAOYSA-N 0 3 306.862 4.160 20 0 DIADHN CC(C)N(C)c1ccc(CNC(C)(C)c2cccs2)cn1 ZINC000480379997 1048132470 /nfs/dbraw/zinc/13/24/70/1048132470.db2.gz CTVZSYAHTBCSTQ-UHFFFAOYSA-N 0 3 303.475 4.013 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1ccc(OCC2CC2)cc1 ZINC000480394917 1048135990 /nfs/dbraw/zinc/13/59/90/1048135990.db2.gz JBBVFJARTFRBPZ-HXUWFJFHSA-N 0 3 311.400 4.392 20 0 DIADHN Fc1cccc([C@H]2C[C@@H]2N2CCC(Cc3nccs3)CC2)c1 ZINC001175156792 1048147152 /nfs/dbraw/zinc/14/71/52/1048147152.db2.gz SNWIAPPOFPEVMC-SJORKVTESA-N 0 3 316.445 4.093 20 0 DIADHN Fc1cc(CNC[C@@H]2CCC3(CCCCC3)O2)cc(F)c1F ZINC000480468956 1048148661 /nfs/dbraw/zinc/14/86/61/1048148661.db2.gz JGTWSRATXZLSOQ-ZDUSSCGKSA-N 0 3 313.363 4.075 20 0 DIADHN CCCC[C@H](CCC)NCc1cn(-c2ccccc2C)nn1 ZINC001200910314 1048155256 /nfs/dbraw/zinc/15/52/56/1048155256.db2.gz BLXPQLFEIUQMAH-INIZCTEOSA-N 0 3 300.450 4.024 20 0 DIADHN CCOc1ccccc1C1=CCN(C[C@H]2CCC(C)(C)O2)CC1 ZINC000480525352 1048162146 /nfs/dbraw/zinc/16/21/46/1048162146.db2.gz HYOVQSMABOPLTB-QGZVFWFLSA-N 0 3 315.457 4.132 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cc2ccncc2s1 ZINC000667688104 1048163143 /nfs/dbraw/zinc/16/31/43/1048163143.db2.gz FSSBZLRUIIBFII-QGZVFWFLSA-N 0 3 311.454 4.362 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN[C@H](C)c1cnn(C)c1 ZINC000554718216 1048163271 /nfs/dbraw/zinc/16/32/71/1048163271.db2.gz PTPYYYJTPHOKTE-BWODNOAJSA-N 0 3 323.362 4.193 20 0 DIADHN CC(C)Oc1cc(F)cc(Nc2ccccc2CN(C)C)c1 ZINC001175142390 1048165004 /nfs/dbraw/zinc/16/50/04/1048165004.db2.gz CVLOESGZNVEUHF-UHFFFAOYSA-N 0 3 302.393 4.418 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOc1ccc(F)cc1F ZINC001200941856 1048165067 /nfs/dbraw/zinc/16/50/67/1048165067.db2.gz LDIAUCHVNDFMLU-UHFFFAOYSA-N 0 3 323.383 4.332 20 0 DIADHN COCc1csc(CN(CCC(F)(F)F)CC2CC2)c1 ZINC000667696190 1048176759 /nfs/dbraw/zinc/17/67/59/1048176759.db2.gz NNGOIUGYRJOAKQ-UHFFFAOYSA-N 0 3 307.381 4.059 20 0 DIADHN Cc1nc(CCNCc2cc(Cl)sc2Cl)sc1C ZINC001200999001 1048176904 /nfs/dbraw/zinc/17/69/04/1048176904.db2.gz PKEPWSRLTIACHQ-UHFFFAOYSA-N 0 3 321.298 4.461 20 0 DIADHN Cc1ccsc1CNCc1ccc(C)cc1OCC1CC1 ZINC001200999697 1048177032 /nfs/dbraw/zinc/17/70/32/1048177032.db2.gz ZNURQNMDMGHIJZ-UHFFFAOYSA-N 0 3 301.455 4.444 20 0 DIADHN CCCCCC(C)(C)CNCc1cn(Cc2ccccc2)nn1 ZINC001200997969 1048177266 /nfs/dbraw/zinc/17/72/66/1048177266.db2.gz HXZMTBVDZAJLDK-UHFFFAOYSA-N 0 3 314.477 4.023 20 0 DIADHN C[C@@H](NC[C@@H]1CC1(Cl)Cl)c1ccccc1OC(F)F ZINC000774883259 1048182606 /nfs/dbraw/zinc/18/26/06/1048182606.db2.gz LSYAXKLMIDGHNT-BDAKNGLRSA-N 0 3 310.171 4.132 20 0 DIADHN CCN(CCC(=O)c1ccc(C)cc1)[C@@H](C)c1cccc(O)c1 ZINC000480594800 1048190294 /nfs/dbraw/zinc/19/02/94/1048190294.db2.gz XISILOWRPCPTKZ-INIZCTEOSA-N 0 3 311.425 4.357 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1NCCCC(C)C ZINC001201106391 1048193484 /nfs/dbraw/zinc/19/34/84/1048193484.db2.gz MEPYTUJUQWQTJO-UHFFFAOYSA-N 0 3 306.519 4.392 20 0 DIADHN CC(C)P(CCNC(=O)C12CCC(F)(CC1)CC2)C(C)C ZINC001185538941 1048200923 /nfs/dbraw/zinc/20/09/23/1048200923.db2.gz QWJMKBMVDSJDOZ-UHFFFAOYSA-N 0 3 315.413 4.464 20 0 DIADHN C[C@H](CN[C@H](C)c1ccccc1OC(F)(F)F)C(F)(F)F ZINC000480661217 1048206083 /nfs/dbraw/zinc/20/60/83/1048206083.db2.gz HRFHWIRXSRZHPT-RKDXNWHRSA-N 0 3 315.257 4.434 20 0 DIADHN Cc1nc2ccc(NCc3cccc(OC(F)F)c3)cc2[nH]1 ZINC001161986860 1048207443 /nfs/dbraw/zinc/20/74/43/1048207443.db2.gz JPNGQVLJZCUERD-UHFFFAOYSA-N 0 3 303.312 4.085 20 0 DIADHN FC(F)(F)c1ccccc1C1=CCN(C[C@H]2CCC=CO2)CC1 ZINC000774911879 1048209881 /nfs/dbraw/zinc/20/98/81/1048209881.db2.gz LJNMLOXLEQPIBX-OAHLLOKOSA-N 0 3 323.358 4.487 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)NCc1noc2c1CCCC2 ZINC000554888055 1048216598 /nfs/dbraw/zinc/21/65/98/1048216598.db2.gz HVANODHRKBYZOE-HNNXBMFYSA-N 0 3 312.457 4.399 20 0 DIADHN Nc1cccc(NC2(Cc3ccccc3C(F)(F)F)CCC2)n1 ZINC001162121802 1048226395 /nfs/dbraw/zinc/22/63/95/1048226395.db2.gz YLXSZIGKCMMIAS-UHFFFAOYSA-N 0 3 321.346 4.260 20 0 DIADHN CCOc1ccc(F)c(F)c1Nc1ccc2c(c1)CN(C)CC2 ZINC001175282262 1048229678 /nfs/dbraw/zinc/22/96/78/1048229678.db2.gz RYUZVRNXHAFNHA-UHFFFAOYSA-N 0 3 318.367 4.095 20 0 DIADHN Cn1ccc2cc(CNc3ccncc3-c3ccccc3)ccc21 ZINC001162169066 1048235538 /nfs/dbraw/zinc/23/55/38/1048235538.db2.gz JNTLLNMIUJRVBC-UHFFFAOYSA-N 0 3 313.404 4.274 20 0 DIADHN Clc1cnn([C@H]2CCN(CCCc3ccccc3Cl)C2)c1 ZINC000554960507 1048239730 /nfs/dbraw/zinc/23/97/30/1048239730.db2.gz NTRBQLGBHWGCPI-HNNXBMFYSA-N 0 3 324.255 4.070 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2ccc(F)cc21 ZINC000776157054 1048243244 /nfs/dbraw/zinc/24/32/44/1048243244.db2.gz NKOAHAFGFWMUBS-LJQANCHMSA-N 0 3 312.432 4.449 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)c1Cl ZINC001201380793 1048254999 /nfs/dbraw/zinc/25/49/99/1048254999.db2.gz VIIAELHTYVFPCZ-AHIWAGSCSA-N 0 3 319.782 4.294 20 0 DIADHN Cc1cc(Br)cc(CN[C@H](C)c2cccnc2)c1 ZINC000774969553 1048259988 /nfs/dbraw/zinc/25/99/88/1048259988.db2.gz SJWYDHTUTFSXQQ-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1cc(Br)cc(CN[C@@H](C)c2cccnc2)c1 ZINC000774969554 1048261146 /nfs/dbraw/zinc/26/11/46/1048261146.db2.gz SJWYDHTUTFSXQQ-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cccc(OC(F)F)c2)c1 ZINC000064992984 1048262402 /nfs/dbraw/zinc/26/24/02/1048262402.db2.gz IUULBWXUOZVAPA-UHFFFAOYSA-N 0 3 321.367 4.107 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CO[C@H](C3CC3)C2)c2cccs2)cc1 ZINC000667768585 1048262820 /nfs/dbraw/zinc/26/28/20/1048262820.db2.gz VDBPDCCNTQNVFU-BQFCYCMXSA-N 0 3 317.429 4.134 20 0 DIADHN COc1ccc([C@H]2CCCC[C@H]2NCc2nccs2)cc1F ZINC000480879098 1048265974 /nfs/dbraw/zinc/26/59/74/1048265974.db2.gz GMDMUGKSAAQEJS-UKRRQHHQSA-N 0 3 320.433 4.107 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@@H](C)c1ccnc(Cl)c1 ZINC000776171200 1048267950 /nfs/dbraw/zinc/26/79/50/1048267950.db2.gz ZAKNJZVEULKXSI-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN CC(C)P(CCNc1nc(Cl)nc2cccnc21)C(C)C ZINC001162358713 1048268083 /nfs/dbraw/zinc/26/80/83/1048268083.db2.gz QXLMQJSRKFPZLF-UHFFFAOYSA-N 0 3 324.796 4.389 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2ccc(C)c(C)c2)cn1 ZINC000480897321 1048269142 /nfs/dbraw/zinc/26/91/42/1048269142.db2.gz LASCFAYJJWWIOE-KRWDZBQOSA-N 0 3 311.473 4.395 20 0 DIADHN Cc1cc(C)nc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201469990 1048270750 /nfs/dbraw/zinc/27/07/50/1048270750.db2.gz HUABMUWHCRFYRB-UXHICEINSA-N 0 3 319.452 4.431 20 0 DIADHN Cc1cc(F)ncc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201470010 1048270916 /nfs/dbraw/zinc/27/09/16/1048270916.db2.gz IPAGOAFRTYXORK-MSOLQXFVSA-N 0 3 323.415 4.262 20 0 DIADHN Cc1ccnc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201470000 1048271422 /nfs/dbraw/zinc/27/14/22/1048271422.db2.gz ICYJVPRIOAENMM-MOPGFXCFSA-N 0 3 305.425 4.123 20 0 DIADHN Cc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001201470268 1048279384 /nfs/dbraw/zinc/27/93/84/1048279384.db2.gz PWYFHJWRGGIODK-MOPGFXCFSA-N 0 3 305.425 4.123 20 0 DIADHN CC1(NCc2nc3ccc(Br)cc3s2)CCC1 ZINC000775000443 1048281538 /nfs/dbraw/zinc/28/15/38/1048281538.db2.gz WHXACDLIEUSUSF-UHFFFAOYSA-N 0 3 311.248 4.091 20 0 DIADHN CCC(CC)[C@@H](CCNCc1nc(C(C)(C)C)cs1)OC ZINC001201557449 1048315259 /nfs/dbraw/zinc/31/52/59/1048315259.db2.gz ITIXATDWIDKOSA-CQSZACIVSA-N 0 3 312.523 4.372 20 0 DIADHN COc1cc(F)cc(CNCc2c(C)cc(Cl)cc2C)c1 ZINC001201505111 1048289609 /nfs/dbraw/zinc/28/96/09/1048289609.db2.gz XBIOGOYHIFVSCD-UHFFFAOYSA-N 0 3 307.796 4.394 20 0 DIADHN Cc1cc(Br)cc(CN[C@H](C)CC(F)(F)F)c1 ZINC000775013539 1048296088 /nfs/dbraw/zinc/29/60/88/1048296088.db2.gz PBMITEBYYDZPQX-SECBINFHSA-N 0 3 310.157 4.188 20 0 DIADHN Oc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(F)c1 ZINC001201475605 1048296219 /nfs/dbraw/zinc/29/62/19/1048296219.db2.gz WVENAZRNPURDQC-SJORKVTESA-N 0 3 324.399 4.264 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccccc2OCCOC)cc1 ZINC000481042052 1048298117 /nfs/dbraw/zinc/29/81/17/1048298117.db2.gz VJSHJRYWQAMMKP-INIZCTEOSA-N 0 3 313.441 4.125 20 0 DIADHN CC(C)CC[C@@H](N[C@H]1CCCn2nc(C(C)C)nc21)C1CC1 ZINC000555232955 1048303310 /nfs/dbraw/zinc/30/33/10/1048303310.db2.gz RAJBQQGSBABLCB-CVEARBPZSA-N 0 3 304.482 4.041 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000555234297 1048304012 /nfs/dbraw/zinc/30/40/12/1048304012.db2.gz MOLKBNQTWGQSDH-UGSOOPFHSA-N 0 3 320.839 4.433 20 0 DIADHN c1ccc(COC[C@H](NC[C@H]2CCC=CO2)c2ccccc2)cc1 ZINC000775024599 1048312649 /nfs/dbraw/zinc/31/26/49/1048312649.db2.gz QVFHRAKDEGQNDL-RTWAWAEBSA-N 0 3 323.436 4.227 20 0 DIADHN Cc1c2c[nH]nc2ccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001175467131 1048312794 /nfs/dbraw/zinc/31/27/94/1048312794.db2.gz KMXRXGHMVDGEMR-GOSISDBHSA-N 0 3 322.412 4.000 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000481128292 1048313537 /nfs/dbraw/zinc/31/35/37/1048313537.db2.gz SCLSSYRDZRTIPX-VXGBXAGGSA-N 0 3 305.447 4.103 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000481166115 1048317530 /nfs/dbraw/zinc/31/75/30/1048317530.db2.gz CBSHVBDVYXPJIO-YOEHRIQHSA-N 0 3 314.429 4.409 20 0 DIADHN c1nc(CN[C@H]2CCCC[C@H]2Cc2ccccc2)oc1C1CC1 ZINC000481164843 1048317958 /nfs/dbraw/zinc/31/79/58/1048317958.db2.gz APWJBCYEDKYIBO-ROUUACIJSA-N 0 3 310.441 4.443 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(OCC(C)C)CC1 ZINC000555321594 1048318782 /nfs/dbraw/zinc/31/87/82/1048318782.db2.gz FWQQIIUBVPXVLF-UHFFFAOYSA-N 0 3 319.489 4.358 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CC[C@@H](C)C[C@H]3C)o2)cc1 ZINC000481184066 1048324267 /nfs/dbraw/zinc/32/42/67/1048324267.db2.gz DNKIHRBXIDZXAQ-CKEIUWERSA-N 0 3 314.429 4.265 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NC[C@@H]2CCC(F)(F)C2)n1 ZINC001162647237 1048325967 /nfs/dbraw/zinc/32/59/67/1048325967.db2.gz NKUSDJIHWIHTDW-ZIAGYGMSSA-N 0 3 309.404 4.308 20 0 DIADHN C[C@@H]1CCCCN1c1cccc(NC[C@H]2CCC(F)(F)C2)n1 ZINC001162647234 1048326236 /nfs/dbraw/zinc/32/62/36/1048326236.db2.gz NKUSDJIHWIHTDW-KGLIPLIRSA-N 0 3 309.404 4.308 20 0 DIADHN Clc1ccc(N2CC[C@@H](NCc3ccccc3Cl)C2)cc1 ZINC001201634147 1048327487 /nfs/dbraw/zinc/32/74/87/1048327487.db2.gz OSHXBIPCKCRCGY-OAHLLOKOSA-N 0 3 321.251 4.362 20 0 DIADHN CC(C)CCN1CCN(Cc2ccc(Cl)cc2Cl)CC1 ZINC001201623669 1048336556 /nfs/dbraw/zinc/33/65/56/1048336556.db2.gz BNEUXFZTAOPLHP-UHFFFAOYSA-N 0 3 315.288 4.157 20 0 DIADHN CN1Cc2c(Nc3cncs3)cccc2[C@@H](c2ccccc2)C1 ZINC001175502053 1048337264 /nfs/dbraw/zinc/33/72/64/1048337264.db2.gz HNERPFTWLRMNPC-MRXNPFEDSA-N 0 3 321.449 4.464 20 0 DIADHN c1ccc(CN2CC=C(Nc3cccnc3C3CC3)CC2)cc1 ZINC001175504702 1048337549 /nfs/dbraw/zinc/33/75/49/1048337549.db2.gz HLSKZQNZRMXPRF-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN c1ccc(CN2CC=C(Nc3cncc(C4CC4)c3)CC2)cc1 ZINC001175504652 1048338006 /nfs/dbraw/zinc/33/80/06/1048338006.db2.gz FKWYMXOTADOERC-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN Fc1cccc(NC2=CCN(Cc3ccccc3)CC2)c1F ZINC001175504614 1048338224 /nfs/dbraw/zinc/33/82/24/1048338224.db2.gz CPYUKUDDXYBWQR-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN Cc1cc(Cl)nc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175504712 1048338353 /nfs/dbraw/zinc/33/83/53/1048338353.db2.gz HOVNUSWUZYNJIT-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN Fc1ccc(NC2=CCN(Cc3ccccc3)CC2)cc1F ZINC001175504577 1048338491 /nfs/dbraw/zinc/33/84/91/1048338491.db2.gz BAEPWOVYZDVUSX-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN Cc1ccc(NC2=CCN(Cc3ccccc3)CC2)c(Cl)n1 ZINC001175504458 1048338736 /nfs/dbraw/zinc/33/87/36/1048338736.db2.gz BUZSMUZYMBWIBJ-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN Fc1cc(NC2=CCN(Cc3ccccc3)CC2)cc(F)c1F ZINC001175505797 1048339717 /nfs/dbraw/zinc/33/97/17/1048339717.db2.gz HYJNRTGVHGJUDE-UHFFFAOYSA-N 0 3 318.342 4.306 20 0 DIADHN CCCC[C@H]1CN(CCC(C)C)CCN1C(=O)OC(C)(C)C ZINC001201626953 1048339650 /nfs/dbraw/zinc/33/96/50/1048339650.db2.gz QLNQWFXAXMUFNJ-INIZCTEOSA-N 0 3 312.498 4.144 20 0 DIADHN Cc1cnc(Cl)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175505545 1048340491 /nfs/dbraw/zinc/34/04/91/1048340491.db2.gz RDNSUXNJDJHDNL-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN Cc1cnn(C2CCC2)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506146 1048340871 /nfs/dbraw/zinc/34/08/71/1048340871.db2.gz WFARUIBUTZHOFQ-UHFFFAOYSA-N 0 3 322.456 4.118 20 0 DIADHN Cc1ccnc(Cl)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505210 1048341124 /nfs/dbraw/zinc/34/11/24/1048341124.db2.gz NTZQXQYDOVMOAF-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)CCc1ccccc1O ZINC000555423008 1048342689 /nfs/dbraw/zinc/34/26/89/1048342689.db2.gz UBAKADDYKLZBCN-UHFFFAOYSA-N 0 3 312.457 4.148 20 0 DIADHN Cc1ccc2c(C)cc(-n3c4ccccc4nc3[C@@H](C)N)nc2c1 ZINC001162763034 1048351999 /nfs/dbraw/zinc/35/19/99/1048351999.db2.gz MJSNDQMTQVXWML-CQSZACIVSA-N 0 3 316.408 4.210 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(F)c2ccccc21 ZINC001162764979 1048352154 /nfs/dbraw/zinc/35/21/54/1048352154.db2.gz CESYHPCDJYNVKT-GFCCVEGCSA-N 0 3 305.356 4.338 20 0 DIADHN Cc1cc(OC(C)C)c(-n2c3ccccc3nc2[C@@H](C)N)cc1C ZINC001162778925 1048356876 /nfs/dbraw/zinc/35/68/76/1048356876.db2.gz QYYOUDHBUJTDJJ-OAHLLOKOSA-N 0 3 323.440 4.449 20 0 DIADHN CC(C)(NCc1ccc(Cl)cc1Cl)[C@H]1CCCCO1 ZINC001175533580 1048357918 /nfs/dbraw/zinc/35/79/18/1048357918.db2.gz ZWCNISLAEGNDMZ-CQSZACIVSA-N 0 3 302.245 4.431 20 0 DIADHN CC(C)n1ncc2cc(CNC3CC4(CCC4)C3)c(Cl)nc21 ZINC000846107216 1048366228 /nfs/dbraw/zinc/36/62/28/1048366228.db2.gz PQWCLOYLASWUJA-UHFFFAOYSA-N 0 3 318.852 4.088 20 0 DIADHN CCCCCCN1CCC2(CC1)CC(=O)c1ccccc1O2 ZINC001201755290 1048366897 /nfs/dbraw/zinc/36/68/97/1048366897.db2.gz XBBLDYMYFMWKBX-UHFFFAOYSA-N 0 3 301.430 4.067 20 0 DIADHN c1cc(C2CCC2)ccc1CNCc1ccc2c(c1)OCCO2 ZINC000555511008 1048374383 /nfs/dbraw/zinc/37/43/83/1048374383.db2.gz XDKCVKLVDLLCGO-UHFFFAOYSA-N 0 3 309.409 4.015 20 0 DIADHN CN1CCC=C(Nc2ccc(/C=C/c3ccc(N)cc3)cc2)C1 ZINC001175578327 1048375494 /nfs/dbraw/zinc/37/54/94/1048375494.db2.gz DFCYWGNSDBVSMM-SNAWJCMRSA-N 0 3 305.425 4.071 20 0 DIADHN Cc1ccc(Oc2ccc(NC3=CCCN(C)C3)cc2C)cn1 ZINC001175579538 1048375925 /nfs/dbraw/zinc/37/59/25/1048375925.db2.gz XWXMLILXCIAFEU-UHFFFAOYSA-N 0 3 309.413 4.122 20 0 DIADHN Clc1ccc2nc([C@@H]3CCCN3C[C@H]3CCC=CO3)[nH]c2c1 ZINC000775097831 1048381032 /nfs/dbraw/zinc/38/10/32/1048381032.db2.gz VYGRWRAAZJVYDH-CJNGLKHVSA-N 0 3 317.820 4.046 20 0 DIADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1cccc(Cl)c1Cl ZINC000667871079 1048381184 /nfs/dbraw/zinc/38/11/84/1048381184.db2.gz MWYWMNHNKOHCJH-DRCTWCGVSA-N 0 3 300.229 4.212 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000481357235 1048381222 /nfs/dbraw/zinc/38/12/22/1048381222.db2.gz CZFVMUSFZOPIHN-OXQOHEQNSA-N 0 3 322.452 4.413 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCC[C@H]2C2CCCCC2)n1 ZINC000555538384 1048383968 /nfs/dbraw/zinc/38/39/68/1048383968.db2.gz YULOSRWHISNFQT-FQEVSTJZSA-N 0 3 323.484 4.476 20 0 DIADHN Cc1ccc(F)c(CNC2(CCOCc3ccccc3)CC2)c1 ZINC001201833460 1048385777 /nfs/dbraw/zinc/38/57/77/1048385777.db2.gz PVHPJRAXUCXLSG-UHFFFAOYSA-N 0 3 313.416 4.363 20 0 DIADHN c1csc(C(N[C@@H]2CO[C@@H](C3CC3)C2)c2cccs2)c1 ZINC000667876860 1048386049 /nfs/dbraw/zinc/38/60/49/1048386049.db2.gz WPGLBJULFWYZKU-QWHCGFSZSA-N 0 3 305.468 4.056 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@]2(C)C2CCCCC2)c1C ZINC001175642925 1048386801 /nfs/dbraw/zinc/38/68/01/1048386801.db2.gz FOUIIAXSEBPNHQ-HXUWFJFHSA-N 0 3 314.473 4.287 20 0 DIADHN C[C@H]1CCN(Cc2ccccc2)C[C@H]1Nc1ncc(Cl)s1 ZINC001187326056 1048389212 /nfs/dbraw/zinc/38/92/12/1048389212.db2.gz LBVGFLDLJKJLND-GXTWGEPZSA-N 0 3 321.877 4.119 20 0 DIADHN Cc1[nH]c2c(cccc2NC(=O)c2ccc(CN(C)C)cc2)c1C ZINC001187294921 1048391016 /nfs/dbraw/zinc/39/10/16/1048391016.db2.gz GJZYUIAZZZHTRM-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CCOc1cncc(CN[C@H]2c3ccccc3CCC[C@@H]2C)c1 ZINC001201901672 1048391344 /nfs/dbraw/zinc/39/13/44/1048391344.db2.gz OWEBGWACCOFKQU-MGPUTAFESA-N 0 3 310.441 4.284 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000481413645 1048391970 /nfs/dbraw/zinc/39/19/70/1048391970.db2.gz NOIJNSQLTJCIAR-MEDUHNTESA-N 0 3 306.356 4.240 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000481398241 1048392103 /nfs/dbraw/zinc/39/21/03/1048392103.db2.gz DMBNQDHKMIMZIS-FZMZJTMJSA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)CC2CC2)c(OC)c1 ZINC001201951281 1048407251 /nfs/dbraw/zinc/40/72/51/1048407251.db2.gz AEDJNKUTMDPQGU-UHFFFAOYSA-N 0 3 311.425 4.116 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCCc2cc(C(F)(F)F)ccn2)o1 ZINC000555617524 1048409052 /nfs/dbraw/zinc/40/90/52/1048409052.db2.gz HNSDODRCGXLQHD-ABAIWWIYSA-N 0 3 324.346 4.149 20 0 DIADHN Cc1cccc(CNCc2ccc(OC(F)F)c(F)c2)c1C ZINC000555619940 1048409243 /nfs/dbraw/zinc/40/92/43/1048409243.db2.gz QODNRHZKUNWFHT-UHFFFAOYSA-N 0 3 309.331 4.334 20 0 DIADHN O=C(Nc1ccccc1)Nc1ccccc1CN1CCCCC1 ZINC001201954983 1048409622 /nfs/dbraw/zinc/40/96/22/1048409622.db2.gz RZRKYIDJIHIHJI-UHFFFAOYSA-N 0 3 309.413 4.317 20 0 DIADHN Cn1cc(CNCc2cccc3ccoc32)c(-c2ccccc2)n1 ZINC001201954405 1048409761 /nfs/dbraw/zinc/40/97/61/1048409761.db2.gz OAKHRUCGCLPLQW-UHFFFAOYSA-N 0 3 317.392 4.123 20 0 DIADHN CSCCCCCCNCc1c(Cl)cncc1Cl ZINC001201973132 1048414523 /nfs/dbraw/zinc/41/45/23/1048414523.db2.gz YCLMBXNCEMNXLB-UHFFFAOYSA-N 0 3 307.290 4.401 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@H](C)c2cccc3ccccc32)o1 ZINC001142639678 1048415685 /nfs/dbraw/zinc/41/56/85/1048415685.db2.gz SYBCQXRQSWIXDQ-CQSZACIVSA-N 0 3 323.392 4.412 20 0 DIADHN CCCCN(Cc1nc([C@H](C)OCC)no1)[C@@H]1CCC[C@H](C)C1 ZINC000555679080 1048418971 /nfs/dbraw/zinc/41/89/71/1048418971.db2.gz JETMCSUBWAMCJF-HRCADAONSA-N 0 3 323.481 4.348 20 0 DIADHN Fc1ccc2ccc(CNCc3cccc4cc[nH]c43)nc2c1F ZINC001202018561 1048419075 /nfs/dbraw/zinc/41/90/75/1048419075.db2.gz PRVGNCVLMSUZCT-UHFFFAOYSA-N 0 3 323.346 4.284 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cc(C)c(F)c(F)c2)cc1 ZINC001143609758 1048422502 /nfs/dbraw/zinc/42/25/02/1048422502.db2.gz KUJGGXMTDYMCJU-ZDUSSCGKSA-N 0 3 305.368 4.475 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc(C)c(F)c(F)c2)cc1 ZINC001143609757 1048422832 /nfs/dbraw/zinc/42/28/32/1048422832.db2.gz KUJGGXMTDYMCJU-CYBMUJFWSA-N 0 3 305.368 4.475 20 0 DIADHN C[C@@](O)(CNCc1cccc(Cl)c1Cl)C1CCCCC1 ZINC001201989727 1048424919 /nfs/dbraw/zinc/42/49/19/1048424919.db2.gz SDGJFYMUZBXXFL-MRXNPFEDSA-N 0 3 316.272 4.414 20 0 DIADHN CC1(C)CO[C@@H](CCNCc2csc(-c3ccsc3)n2)C1 ZINC001203665940 1048424626 /nfs/dbraw/zinc/42/46/26/1048424626.db2.gz UFSDEIQMPVGESO-AWEZNQCLSA-N 0 3 322.499 4.166 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)COc1ccc(F)cc1F ZINC000481536830 1048425509 /nfs/dbraw/zinc/42/55/09/1048425509.db2.gz UPGZCQONTYZXMG-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1sc(NC(=O)CN2CC(C)(C)[C@@H]3CCC[C@H]32)c(C)c1C ZINC000555721985 1048428161 /nfs/dbraw/zinc/42/81/61/1048428161.db2.gz AEFYLZMPGDITJY-HUUCEWRRSA-N 0 3 320.502 4.122 20 0 DIADHN CC(C)P(CCNC(=O)Nc1c(F)cccc1F)C(C)C ZINC001202051229 1048430666 /nfs/dbraw/zinc/43/06/66/1048430666.db2.gz CCFXNGUUNBPBQI-UHFFFAOYSA-N 0 3 316.332 4.385 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2ccncc2Cl)cc1 ZINC001202051458 1048431226 /nfs/dbraw/zinc/43/12/26/1048431226.db2.gz ZUJWALBEFPYRKQ-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN CSc1ccc(CNCc2ccc3scnc3c2)cc1 ZINC001202058176 1048432805 /nfs/dbraw/zinc/43/28/05/1048432805.db2.gz LVBQBBMJLQCNQK-UHFFFAOYSA-N 0 3 300.452 4.308 20 0 DIADHN Brc1cc(Nc2ccnc(C3CCC3)c2)ccn1 ZINC001175746997 1048433035 /nfs/dbraw/zinc/43/30/35/1048433035.db2.gz XJROXUSTVRSFOH-UHFFFAOYSA-N 0 3 304.191 4.250 20 0 DIADHN Cc1cc(C)nc(NCCC(C(F)(F)F)C(F)(F)F)c1 ZINC001163233480 1048439481 /nfs/dbraw/zinc/43/94/81/1048439481.db2.gz RCRHSWXHVYMQDC-UHFFFAOYSA-N 0 3 300.246 4.241 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1cccc(-c3cccnc3)c1)CNC2 ZINC001163229665 1048440493 /nfs/dbraw/zinc/44/04/93/1048440493.db2.gz QOYRGXYQGYGCCA-KRWDZBQOSA-N 0 3 307.422 4.067 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccc(Oc3ccccc3)nc1)CNC2 ZINC001163228630 1048440776 /nfs/dbraw/zinc/44/07/76/1048440776.db2.gz MILIFEGWGDXPIZ-MRXNPFEDSA-N 0 3 323.421 4.192 20 0 DIADHN CC(C)c1cc(N[C@H]2CNCc3ccsc32)nc(C(C)C)n1 ZINC001163226559 1048441636 /nfs/dbraw/zinc/44/16/36/1048441636.db2.gz JEKWUJZYTHRJIG-AWEZNQCLSA-N 0 3 316.474 4.041 20 0 DIADHN COc1cc(N[C@@H]2CNCc3ccsc32)cc(C(C)C)c1 ZINC001163238700 1048442771 /nfs/dbraw/zinc/44/27/71/1048442771.db2.gz MFZLPRIUUVXDJI-MRXNPFEDSA-N 0 3 302.443 4.137 20 0 DIADHN CC1(C)CCNc2cc(N[C@@H]3CNCc4ccsc43)ccc21 ZINC001163240849 1048443100 /nfs/dbraw/zinc/44/31/00/1048443100.db2.gz XANHTHYSNIGZNH-MRXNPFEDSA-N 0 3 313.470 4.098 20 0 DIADHN c1csc(-c2ccc(N[C@H]3CNCc4ccsc43)cn2)c1 ZINC001163240594 1048443224 /nfs/dbraw/zinc/44/32/24/1048443224.db2.gz WDLSCWAANWWLJH-AWEZNQCLSA-N 0 3 313.451 4.128 20 0 DIADHN C[C@]1(Cc2cc(F)c(F)c(F)c2)CCCN1Cc1cccnc1 ZINC001175769716 1048445821 /nfs/dbraw/zinc/44/58/21/1048445821.db2.gz SFEXANUOMXIGBX-GOSISDBHSA-N 0 3 320.358 4.096 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CCC(C)(C)c2ccccc21 ZINC000481647219 1048446788 /nfs/dbraw/zinc/44/67/88/1048446788.db2.gz SIQJICMFDKGDNE-SJORKVTESA-N 0 3 315.482 4.492 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCC(C)(C)c2ccccc21 ZINC000481647218 1048447101 /nfs/dbraw/zinc/44/71/01/1048447101.db2.gz SIQJICMFDKGDNE-IRXDYDNUSA-N 0 3 315.482 4.492 20 0 DIADHN C=Cc1ccccc1Nc1ccc(N2CCN(CC)CC2)cc1 ZINC001175782922 1048449987 /nfs/dbraw/zinc/44/99/87/1048449987.db2.gz YUYXLIPDQLMJIF-UHFFFAOYSA-N 0 3 307.441 4.215 20 0 DIADHN C[C@@](O)(CCNCc1cc(Cl)ccc1Cl)c1ccccc1 ZINC001202101941 1048450361 /nfs/dbraw/zinc/45/03/61/1048450361.db2.gz MFZVYESNEIXZMY-QGZVFWFLSA-N 0 3 324.251 4.381 20 0 DIADHN C/C=C/C[C@@H](CO)NCc1c(Cl)cccc1SC(C)C ZINC001202102529 1048450829 /nfs/dbraw/zinc/45/08/29/1048450829.db2.gz QZCMTUFRQDQEMJ-IHVVCDCBSA-N 0 3 313.894 4.257 20 0 DIADHN C/C=C\C[C@H](CO)NCc1c(Cl)cccc1SC(C)C ZINC001202102526 1048450927 /nfs/dbraw/zinc/45/09/27/1048450927.db2.gz QZCMTUFRQDQEMJ-DSYXLKISSA-N 0 3 313.894 4.257 20 0 DIADHN CC(C)(C)c1ccc(CN[C@]2(CO)CCCc3ccccc32)cc1 ZINC001202127828 1048457248 /nfs/dbraw/zinc/45/72/48/1048457248.db2.gz YCXNDTZHLAXECX-QFIPXVFZSA-N 0 3 323.480 4.298 20 0 DIADHN C[C@H](c1cccc(F)c1)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000481691144 1048458755 /nfs/dbraw/zinc/45/87/55/1048458755.db2.gz FYEBREMILQNABT-FOIQADDNSA-N 0 3 311.400 4.408 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000481691147 1048459013 /nfs/dbraw/zinc/45/90/13/1048459013.db2.gz FYEBREMILQNABT-YWZLYKJASA-N 0 3 311.400 4.408 20 0 DIADHN CC1(C)CCCN(CCNCc2cc(Cl)sc2Cl)C1 ZINC001202176150 1048469918 /nfs/dbraw/zinc/46/99/18/1048469918.db2.gz KUFBFRIYKZLGAC-UHFFFAOYSA-N 0 3 321.317 4.267 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc2ncccc2c1 ZINC000481767855 1048479717 /nfs/dbraw/zinc/47/97/17/1048479717.db2.gz LKAGAGLNMRBZFP-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1ccc2ncccc2c1 ZINC000481767854 1048480657 /nfs/dbraw/zinc/48/06/57/1048480657.db2.gz LKAGAGLNMRBZFP-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1cc2ccncc2s1 ZINC000667959041 1048492518 /nfs/dbraw/zinc/49/25/18/1048492518.db2.gz CEKLTAUTZCOZFX-CMPLNLGQSA-N 0 3 314.376 4.459 20 0 DIADHN COc1cc(CCNc2ccc3cnc(C)cc3c2)cc(OC)c1 ZINC001163721541 1048511855 /nfs/dbraw/zinc/51/18/55/1048511855.db2.gz NJPOUJUSSBCWOS-UHFFFAOYSA-N 0 3 322.408 4.215 20 0 DIADHN COc1cccc(NC(=O)NCCP(C(C)C)C(C)C)c1 ZINC001202287939 1048512430 /nfs/dbraw/zinc/51/24/30/1048512430.db2.gz RYZMIQQLBZGNFG-UHFFFAOYSA-N 0 3 310.378 4.115 20 0 DIADHN C[C@H](CN(C)CC(=O)Nc1ccc(F)c(Cl)c1)C(C)(C)C ZINC001175982255 1048515427 /nfs/dbraw/zinc/51/54/27/1048515427.db2.gz DAFWBEQKPYHTHQ-LLVKDONJSA-N 0 3 314.832 4.032 20 0 DIADHN FC(F)(F)CCN1CC[C@H](c2ccc(Br)cc2)C1 ZINC001202345965 1048517840 /nfs/dbraw/zinc/51/78/40/1048517840.db2.gz HLESMNIPIPSTRH-NSHDSACASA-N 0 3 322.168 4.191 20 0 DIADHN C[C@H](NCCc1cncs1)c1cc(Cl)cc(Cl)c1F ZINC001175991524 1048521344 /nfs/dbraw/zinc/52/13/44/1048521344.db2.gz FRJJPCPWMWROOB-QMMMGPOBSA-N 0 3 319.232 4.482 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000481944130 1048530910 /nfs/dbraw/zinc/53/09/10/1048530910.db2.gz GVFZYJZEESTKFY-DYVFJYSZSA-N 0 3 322.399 4.292 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000481944132 1048531182 /nfs/dbraw/zinc/53/11/82/1048531182.db2.gz GVFZYJZEESTKFY-GUYCJALGSA-N 0 3 322.399 4.292 20 0 DIADHN FC(F)(F)CCN1CCC(Cc2cnc3ccccc3c2)CC1 ZINC001202368749 1048533633 /nfs/dbraw/zinc/53/36/33/1048533633.db2.gz TXWLCTLOEKTIKT-UHFFFAOYSA-N 0 3 322.374 4.442 20 0 DIADHN N=C(Nc1ccc(-n2cccc2)cn1)C12CC3CC(CC(C3)C1)C2 ZINC001163841284 1048534372 /nfs/dbraw/zinc/53/43/72/1048534372.db2.gz MPPGGDCIRQLYSY-UHFFFAOYSA-N 0 3 320.440 4.478 20 0 DIADHN CCOc1ccc(-c2nc(CNC3(C4CC4)CCC3)co2)cc1 ZINC001176035864 1048539332 /nfs/dbraw/zinc/53/93/32/1048539332.db2.gz FSNWETBPNFZSIU-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)NCc1cc(-c2ccccc2)on1 ZINC000482031441 1048546895 /nfs/dbraw/zinc/54/68/95/1048546895.db2.gz FXYNBYKQQLOPQE-CQSZACIVSA-N 0 3 310.372 4.202 20 0 DIADHN COc1cc(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)ccc1Cl ZINC001176086313 1048548993 /nfs/dbraw/zinc/54/89/93/1048548993.db2.gz HFNYXQRTSCKSQL-APWZRJJASA-N 0 3 313.828 4.122 20 0 DIADHN CCn1cc([C@H](C)NC2CC(F)(c3cccc(Cl)c3)C2)cn1 ZINC000482065897 1048552016 /nfs/dbraw/zinc/55/20/16/1048552016.db2.gz FPBBCKJDJBQFRI-CDEQTRAXSA-N 0 3 321.827 4.234 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(CCCC(F)(F)F)C1 ZINC001202443735 1048554343 /nfs/dbraw/zinc/55/43/43/1048554343.db2.gz VQOABGDRKTWCJC-GFCCVEGCSA-N 0 3 319.392 4.204 20 0 DIADHN Cc1nc(CN2CCCC[C@H]2CC2(O)CCCCC2)sc1C ZINC001176060696 1048556580 /nfs/dbraw/zinc/55/65/80/1048556580.db2.gz DRGRVXDJWBRTGW-INIZCTEOSA-N 0 3 322.518 4.200 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccc2ncccc2c1 ZINC000066236461 1048556559 /nfs/dbraw/zinc/55/65/59/1048556559.db2.gz RIHUECWJBBYWNJ-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C/C(=C\C(C)(C)C)C(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000776306998 1048562963 /nfs/dbraw/zinc/56/29/63/1048562963.db2.gz TXWZBSPXDKTJJW-FOCLMDBBSA-N 0 3 314.473 4.106 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)c1ccc(C)nc1C)CCC2 ZINC000780745822 1048573410 /nfs/dbraw/zinc/57/34/10/1048573410.db2.gz KGEVVGGXUSRVMA-IUODEOHRSA-N 0 3 301.459 4.192 20 0 DIADHN CCN(CC)Cc1ccc(F)c(CC(=O)c2cccs2)c1 ZINC001250306693 1048579716 /nfs/dbraw/zinc/57/97/16/1048579716.db2.gz IDKCHHUBYZEFOE-UHFFFAOYSA-N 0 3 305.418 4.154 20 0 DIADHN CO[C@@H](CNC(C)(C)c1ccc(F)cc1F)c1ccc(F)cc1 ZINC001176176737 1048579853 /nfs/dbraw/zinc/57/98/53/1048579853.db2.gz SAQMOXAGJAMTMT-KRWDZBQOSA-N 0 3 323.358 4.316 20 0 DIADHN Cc1ncc(Nc2ccc(OC(C)C)cc2C(F)(F)F)n1C ZINC001176173276 1048581506 /nfs/dbraw/zinc/58/15/06/1048581506.db2.gz WZIVEWLXHKUIRV-UHFFFAOYSA-N 0 3 313.323 4.278 20 0 DIADHN CN(Cc1c(OC(F)F)ccc2ccccc21)C[C@@H]1CCCO1 ZINC000482299605 1048585980 /nfs/dbraw/zinc/58/59/80/1048585980.db2.gz UXCLDXUBYCPFLP-AWEZNQCLSA-N 0 3 321.367 4.052 20 0 DIADHN CC[C@](C)(NCc1cnn(-c2ccccc2)c1)c1ccccc1 ZINC000107718034 1048590324 /nfs/dbraw/zinc/59/03/24/1048590324.db2.gz QFSJIQFHMJNHPZ-FQEVSTJZSA-N 0 3 305.425 4.287 20 0 DIADHN C[C@@H]1CCN(c2nc(N3CCCC3)cc3ccccc32)C[C@H]1F ZINC001164179012 1048599591 /nfs/dbraw/zinc/59/95/91/1048599591.db2.gz PXSRHOJKYXRGGA-RHSMWYFYSA-N 0 3 313.420 4.019 20 0 DIADHN C[C@@]1(O)CCCN(CCCc2c(Cl)cccc2Cl)CC1 ZINC000482459618 1048611106 /nfs/dbraw/zinc/61/11/06/1048611106.db2.gz WASZTYVYMOOBCD-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN CCCOc1ccc(CNCc2ccc([C@H](C)O)cc2)c(C)c1 ZINC000850072951 1048613964 /nfs/dbraw/zinc/61/39/64/1048613964.db2.gz RKQMBZHEEFIZOR-INIZCTEOSA-N 0 3 313.441 4.127 20 0 DIADHN CCOc1cccc(CN2CCC[C@@H](C(F)(F)F)[C@@H]2C)c1 ZINC000482489601 1048618069 /nfs/dbraw/zinc/61/80/69/1048618069.db2.gz VDEBAWBFZUQITA-SWLSCSKDSA-N 0 3 301.352 4.248 20 0 DIADHN Clc1ccc(N2CCN(CCCSC3CCC3)CC2)cc1 ZINC001189392086 1048623525 /nfs/dbraw/zinc/62/35/25/1048623525.db2.gz YMVCJVFSSWGYBB-UHFFFAOYSA-N 0 3 324.921 4.138 20 0 DIADHN Cc1nc(C)c(CN2CCCCC[C@H]2c2ccncc2)s1 ZINC000159237704 1048625881 /nfs/dbraw/zinc/62/58/81/1048625881.db2.gz IRDHHRAQAAQQGM-INIZCTEOSA-N 0 3 301.459 4.272 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3nc(C)sc32)c(C)c1 ZINC000850239372 1048626885 /nfs/dbraw/zinc/62/68/85/1048626885.db2.gz IRSZXWMXMWKJRA-MRXNPFEDSA-N 0 3 316.470 4.326 20 0 DIADHN Cc1cc2[nH]c(CN(C)CCc3ccccc3O)cc2c(C)c1 ZINC000482536156 1048629316 /nfs/dbraw/zinc/62/93/16/1048629316.db2.gz QDFFLMOLUVBDKA-UHFFFAOYSA-N 0 3 308.425 4.165 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](c3ccccc3)C(C)(C)CO)cc21 ZINC000668073733 1048634713 /nfs/dbraw/zinc/63/47/13/1048634713.db2.gz GRNMGYOQCBGZDB-HXUWFJFHSA-N 0 3 322.452 4.326 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN[C@@H]1C=CCC1 ZINC000850358954 1048638509 /nfs/dbraw/zinc/63/85/09/1048638509.db2.gz QMWFSHXUZIMPRS-QGZVFWFLSA-N 0 3 315.848 4.010 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CN[C@H]2COC3(CCC3)C2)o1 ZINC000850367894 1048640696 /nfs/dbraw/zinc/64/06/96/1048640696.db2.gz RMPIIBYMEFSRIY-GFCCVEGCSA-N 0 3 319.351 4.026 20 0 DIADHN CCCCOc1ccc(CN[C@H]2C[C@@H](O)c3ccccc32)cc1 ZINC000850368283 1048640734 /nfs/dbraw/zinc/64/07/34/1048640734.db2.gz BJSAOEWDILGCLZ-VQTJNVASSA-N 0 3 311.425 4.134 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1ccccc1)c1cc(F)ccc1OC ZINC001176304800 1048641222 /nfs/dbraw/zinc/64/12/22/1048641222.db2.gz PIIXADDWQSPJPU-PKOBYXMFSA-N 0 3 317.404 4.263 20 0 DIADHN CN(CCSc1ccc2ccccc2n1)Cc1ccccc1 ZINC001164573169 1048645059 /nfs/dbraw/zinc/64/50/59/1048645059.db2.gz UFFKZWQHOFKSMM-UHFFFAOYSA-N 0 3 308.450 4.459 20 0 DIADHN C[C@@H](NCc1occc1Br)C1CCC(F)CC1 ZINC000850395107 1048646975 /nfs/dbraw/zinc/64/69/75/1048646975.db2.gz AHSYDOGGXGDOSY-KPPDAEKUSA-N 0 3 304.203 4.049 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850426724 1048651127 /nfs/dbraw/zinc/65/11/27/1048651127.db2.gz YQWJYMBLJGFCMV-CABCVRRESA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](O)[C@H](NCc1ccc(SC(F)F)cc1)c1ccccc1 ZINC000850420945 1048652061 /nfs/dbraw/zinc/65/20/61/1048652061.db2.gz USDZRORTOWVXFV-LRDDRELGSA-N 0 3 323.408 4.213 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850425449 1048652244 /nfs/dbraw/zinc/65/22/44/1048652244.db2.gz UABCHTARPJFUGX-HNNXBMFYSA-N 0 3 311.425 4.009 20 0 DIADHN CCc1nc(CN[C@@H](Cc2ccc(C)cc2)C2CC2)cs1 ZINC000482636032 1048653949 /nfs/dbraw/zinc/65/39/49/1048653949.db2.gz LHCSWMMOMOWORM-KRWDZBQOSA-N 0 3 300.471 4.125 20 0 DIADHN C[C@@H](NCc1ccc(F)c(F)c1)c1ccc2c(c1)CCCO2 ZINC000850426893 1048654744 /nfs/dbraw/zinc/65/47/44/1048654744.db2.gz GXYQEBIJVPKAQP-GFCCVEGCSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCCO2 ZINC000850443932 1048659066 /nfs/dbraw/zinc/65/90/66/1048659066.db2.gz ULVLQCMUOBZGGW-BFUOFWGJSA-N 0 3 311.400 4.489 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000850449063 1048660889 /nfs/dbraw/zinc/66/08/89/1048660889.db2.gz ULJBQNJTECSIOP-HUUCEWRRSA-N 0 3 310.441 4.381 20 0 DIADHN C[C@@H](NC1(c2ccc(F)cc2)CC1)c1ccc2c(c1)CCCO2 ZINC000850451545 1048661741 /nfs/dbraw/zinc/66/17/41/1048661741.db2.gz BTRFGUMAKMTUAL-CQSZACIVSA-N 0 3 311.400 4.491 20 0 DIADHN C[C@H](N[C@@H]1C=CCC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000850511925 1048675194 /nfs/dbraw/zinc/67/51/94/1048675194.db2.gz QSNCQGWRXSTTSO-VHSXEESVSA-N 0 3 319.298 4.259 20 0 DIADHN C[C@@H](N[C@@H]1COC2(CCC2)C1)c1ccc(-c2ccccc2)cn1 ZINC000850523359 1048676968 /nfs/dbraw/zinc/67/69/68/1048676968.db2.gz HATVUHKSECPHOD-QAPCUYQASA-N 0 3 308.425 4.111 20 0 DIADHN O[C@@H]1C[C@@H](N[C@@H]2CCCc3ccc(Cl)cc32)c2ccccc21 ZINC000850527878 1048680489 /nfs/dbraw/zinc/68/04/89/1048680489.db2.gz IBYNJWMRFVEBRL-GUDVDZBRSA-N 0 3 313.828 4.485 20 0 DIADHN O[C@@H]1C[C@H](N[C@H]2CCCc3c4ccccc4[nH]c32)c2ccccc21 ZINC000850527168 1048681473 /nfs/dbraw/zinc/68/14/73/1048681473.db2.gz UNZIJIDZXRGAQS-SLFFLAALSA-N 0 3 318.420 4.313 20 0 DIADHN COc1ccc([C@H](N[C@H]2C[C@@H](O)c3ccccc32)C(C)C)cc1 ZINC000850530422 1048683549 /nfs/dbraw/zinc/68/35/49/1048683549.db2.gz YTHYJNHGKFTXDZ-XUVXKRRUSA-N 0 3 311.425 4.160 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@H]1C[C@H](O)c2ccccc21 ZINC000850529360 1048683651 /nfs/dbraw/zinc/68/36/51/1048683651.db2.gz QSXODNHHQXNFRH-UFYCRDLUSA-N 0 3 323.436 4.186 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC)C[C@@H](C)CC ZINC000482842341 1048685188 /nfs/dbraw/zinc/68/51/88/1048685188.db2.gz LVBLVAQMGYWRIC-HNNXBMFYSA-N 0 3 304.478 4.118 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H]2CCc3ccc(O)cc32)cc1C ZINC000850543304 1048686396 /nfs/dbraw/zinc/68/63/96/1048686396.db2.gz HNWYGZSCVIOZNN-AUUYWEPGSA-N 0 3 311.425 4.356 20 0 DIADHN FC(F)(F)c1cccc(NCC2CN(Cc3ccccc3)C2)c1 ZINC001165453817 1048690122 /nfs/dbraw/zinc/69/01/22/1048690122.db2.gz KNYVODKSYZTDSA-UHFFFAOYSA-N 0 3 320.358 4.249 20 0 DIADHN C[C@H](NCC1SCCS1)c1ccc(-c2ccccc2)cn1 ZINC000850559988 1048690841 /nfs/dbraw/zinc/69/08/41/1048690841.db2.gz HTGIJAGQKDSJGE-ZDUSSCGKSA-N 0 3 316.495 4.205 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@H](C)[C@@H]3C)cs2)c1 ZINC000482846107 1048690710 /nfs/dbraw/zinc/69/07/10/1048690710.db2.gz SWWUQZBHDITIIT-STQMWFEESA-N 0 3 302.443 4.049 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@@H](C)[C@H]3C)cs2)c1 ZINC000482846048 1048691401 /nfs/dbraw/zinc/69/14/01/1048691401.db2.gz SWWUQZBHDITIIT-CHWSQXEVSA-N 0 3 302.443 4.049 20 0 DIADHN C[C@@H](NCC1SCCS1)c1ccc(-c2ccccc2)cn1 ZINC000850559986 1048691518 /nfs/dbraw/zinc/69/15/18/1048691518.db2.gz HTGIJAGQKDSJGE-CYBMUJFWSA-N 0 3 316.495 4.205 20 0 DIADHN CC[C@H](C)CN(CC)Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000482855496 1048691988 /nfs/dbraw/zinc/69/19/88/1048691988.db2.gz IRSUVIHHAKYJQV-AWEZNQCLSA-N 0 3 302.418 4.218 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccc(Cl)nc3)CCC2)c1 ZINC000850567072 1048696307 /nfs/dbraw/zinc/69/63/07/1048696307.db2.gz WXYDYGNNXLISBG-CYBMUJFWSA-N 0 3 316.832 4.474 20 0 DIADHN COc1cccc(CN[C@H]2CCSc3c(F)cccc32)c1C ZINC000850579329 1048699208 /nfs/dbraw/zinc/69/92/08/1048699208.db2.gz UMZVFLDDODRVMU-INIZCTEOSA-N 0 3 317.429 4.469 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCCC(=O)Nc1ccccc1 ZINC000482939101 1048708006 /nfs/dbraw/zinc/70/80/06/1048708006.db2.gz ANBGZDNPIFVQOM-HNNXBMFYSA-N 0 3 322.408 4.421 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCCc3ccc(F)cc32)cc1F ZINC001190318396 1048716103 /nfs/dbraw/zinc/71/61/03/1048716103.db2.gz NGSALQUUIQYQRT-GOSISDBHSA-N 0 3 317.379 4.483 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1cnc(Cl)c(C)c1 ZINC000776499394 1048724224 /nfs/dbraw/zinc/72/42/24/1048724224.db2.gz VGDGJYZMPHGZRM-LRDDRELGSA-N 0 3 322.811 4.048 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@H](O)Cc1ccccc1 ZINC001190384416 1048724239 /nfs/dbraw/zinc/72/42/39/1048724239.db2.gz ZCAYMTJMAOGPPM-QGZVFWFLSA-N 0 3 324.251 4.029 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cnc(Cl)c(C)c1 ZINC000776499397 1048725121 /nfs/dbraw/zinc/72/51/21/1048725121.db2.gz VGDGJYZMPHGZRM-WBMJQRKESA-N 0 3 322.811 4.048 20 0 DIADHN CO[C@]1(C)CCCN(CCc2cc(Cl)ccc2Cl)CC1 ZINC000483035757 1048725748 /nfs/dbraw/zinc/72/57/48/1048725748.db2.gz LGBAMDMZSAOLCU-MRXNPFEDSA-N 0 3 316.272 4.427 20 0 DIADHN COC(=O)[C@H](CC(C)C)N1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001176568590 1048728630 /nfs/dbraw/zinc/72/86/30/1048728630.db2.gz WEDABQHBMRLYOP-ROUUACIJSA-N 0 3 317.473 4.090 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@H]2CCC3(CCCCCC3)O2)on1 ZINC001190366670 1048729681 /nfs/dbraw/zinc/72/96/81/1048729681.db2.gz SKQYXLTUSQWOBH-SJORKVTESA-N 0 3 318.461 4.392 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@H]1CC[C@H](C2CC2)O1 ZINC001190407135 1048732423 /nfs/dbraw/zinc/73/24/23/1048732423.db2.gz LMVRABYQCQGYSM-FHLIZLRMSA-N 0 3 305.849 4.435 20 0 DIADHN Cc1ccccc1OCCCN(C)Cc1cc(F)cc(F)c1 ZINC001190417632 1048734176 /nfs/dbraw/zinc/73/41/76/1048734176.db2.gz NOKNGAKDFIZTAF-UHFFFAOYSA-N 0 3 305.368 4.174 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCC(C)(C)C2)c(Cl)c1 ZINC000483110661 1048738529 /nfs/dbraw/zinc/73/85/29/1048738529.db2.gz CFIYKSVWNUIPQY-AWEZNQCLSA-N 0 3 322.880 4.406 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000775387504 1048738729 /nfs/dbraw/zinc/73/87/29/1048738729.db2.gz NNGSIDKZIQFDLY-FQEVSTJZSA-N 0 3 310.441 4.157 20 0 DIADHN CCc1sc(-c2nnc(CN(CC)CC3CCC3)o2)cc1C ZINC000483122787 1048739343 /nfs/dbraw/zinc/73/93/43/1048739343.db2.gz RMWCUANCBMAKNX-UHFFFAOYSA-N 0 3 319.474 4.291 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@H]3CCC(C)(C)C3)o2)cc1 ZINC000483116483 1048739461 /nfs/dbraw/zinc/73/94/61/1048739461.db2.gz OAEWZRBQCUCTHY-HNNXBMFYSA-N 0 3 314.429 4.361 20 0 DIADHN CCN(CC1CCC1)[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483130677 1048742029 /nfs/dbraw/zinc/74/20/29/1048742029.db2.gz PBUZUAYNPGYUEZ-CYBMUJFWSA-N 0 3 317.408 4.367 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668212626 1048743329 /nfs/dbraw/zinc/74/33/29/1048743329.db2.gz IOJINVCMKLNQGT-CMPLNLGQSA-N 0 3 314.376 4.459 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccccc1)c1ccc(-c2ccncc2)cc1 ZINC000483159850 1048745464 /nfs/dbraw/zinc/74/54/64/1048745464.db2.gz SODGPOVUYVBDDV-IIBYNOLFSA-N 0 3 318.420 4.133 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2ccc(C(C)C)cc2)C[C@]2(CCOC2)O1 ZINC000483147732 1048747807 /nfs/dbraw/zinc/74/78/07/1048747807.db2.gz BTGCVVSLAZXDTJ-DBVUQKKJSA-N 0 3 317.473 4.141 20 0 DIADHN CCCN(C/C=C(\C)C(=O)OC)[C@H](C)c1cc2ccccc2o1 ZINC001190518959 1048749759 /nfs/dbraw/zinc/74/97/59/1048749759.db2.gz KQFWUCZTKIQVHH-YVGNARHYSA-N 0 3 315.413 4.325 20 0 DIADHN Cc1ncc(Nc2ccc(C(F)(F)F)cc2OC(C)C)n1C ZINC001176600112 1048749780 /nfs/dbraw/zinc/74/97/80/1048749780.db2.gz YSVQEGBSPJOKMG-UHFFFAOYSA-N 0 3 313.323 4.278 20 0 DIADHN CC1(C)CCC[C@@H](CN(Cc2ccccc2)C[C@H]2CCCO2)O1 ZINC001190530045 1048751131 /nfs/dbraw/zinc/75/11/31/1048751131.db2.gz ZBCBWFHZYAGLDI-MOPGFXCFSA-N 0 3 317.473 4.015 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCCN(c3cccc(F)c3)C2)o1 ZINC000483170365 1048751409 /nfs/dbraw/zinc/75/14/09/1048751409.db2.gz RDGVOVZSAHRYND-HOCLYGCPSA-N 0 3 302.393 4.047 20 0 DIADHN COCCN(Cc1ccc(CF)cc1)Cc1ccc(C)cc1C ZINC001190589394 1048761193 /nfs/dbraw/zinc/76/11/93/1048761193.db2.gz OWORBQNLCQUTQU-UHFFFAOYSA-N 0 3 315.432 4.422 20 0 DIADHN CC(C)(C)[C@H]1CCCN(Cc2nc(-c3ccsc3)no2)C1 ZINC000483244522 1048761115 /nfs/dbraw/zinc/76/11/15/1048761115.db2.gz ZZRULGBCLFUFCQ-ZDUSSCGKSA-N 0 3 305.447 4.056 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@H](C(C)(C)C)C1 ZINC000483232877 1048763882 /nfs/dbraw/zinc/76/38/82/1048763882.db2.gz HXZQHMDJTOLLDS-KRWDZBQOSA-N 0 3 316.489 4.254 20 0 DIADHN CCCN(Cc1ccsc1Cl)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000483283345 1048773653 /nfs/dbraw/zinc/77/36/53/1048773653.db2.gz XOHBSHOUFFTWKN-MCIONIFRSA-N 0 3 313.894 4.427 20 0 DIADHN CC[C@H](NCCC1CCCCC1)c1nnc2n1CCCCC2 ZINC000483317139 1048774789 /nfs/dbraw/zinc/77/47/89/1048774789.db2.gz WVDAOKVISRHMQQ-INIZCTEOSA-N 0 3 304.482 4.016 20 0 DIADHN C[C@H](N[C@H]1CCCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483334905 1048777390 /nfs/dbraw/zinc/77/73/90/1048777390.db2.gz BOICMPDMMUOSOU-WFASDCNBSA-N 0 3 317.408 4.495 20 0 DIADHN CCN(CCC(F)(F)F)C[C@@H]1CC[C@@H](c2ccccc2)O1 ZINC001190692346 1048778352 /nfs/dbraw/zinc/77/83/52/1048778352.db2.gz WBLBPGLPHWEHIG-GJZGRUSLSA-N 0 3 301.352 4.181 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2ccc(OCC3CC3)cc2)C1 ZINC000483308365 1048779225 /nfs/dbraw/zinc/77/92/25/1048779225.db2.gz JLMOCKNITJKHMM-HRAATJIYSA-N 0 3 322.452 4.454 20 0 DIADHN CN(Cc1cn(C2CCC2)nn1)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000668240065 1048786837 /nfs/dbraw/zinc/78/68/37/1048786837.db2.gz XIHVOEGSTIKNGI-VQTJNVASSA-N 0 3 324.472 4.161 20 0 DIADHN COc1cc2c(cc1OC)CN([C@H](C)c1ccccc1C)CC2 ZINC000483399500 1048788317 /nfs/dbraw/zinc/78/83/17/1048788317.db2.gz CDJLOIOOUMUJIH-OAHLLOKOSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483406771 1048788707 /nfs/dbraw/zinc/78/87/07/1048788707.db2.gz MFBMYAATTYYJSX-GHMZBOCLSA-N 0 3 312.335 4.325 20 0 DIADHN COc1ncccc1CN1CCC(c2cc(C)cc(C)c2)CC1 ZINC001176803332 1048788975 /nfs/dbraw/zinc/78/89/75/1048788975.db2.gz DFTOFAFIAFQWKF-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN C[C@@H](NCc1nc(-c2c(F)cccc2F)cs1)C1(C)CC1 ZINC001176767205 1048789677 /nfs/dbraw/zinc/78/96/77/1048789677.db2.gz ASHREXXTOMDYNU-SNVBAGLBSA-N 0 3 308.397 4.367 20 0 DIADHN CCCNC(=O)CCCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC001190837618 1048791416 /nfs/dbraw/zinc/79/14/16/1048791416.db2.gz HTMXJKGHRRPYMH-CQSZACIVSA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1NC(=O)C1(N)CC2(CCC2)C1 ZINC000851626475 1048791813 /nfs/dbraw/zinc/79/18/13/1048791813.db2.gz AOONMGNZTKARHN-UHFFFAOYSA-N 0 3 320.436 4.262 20 0 DIADHN CC(C)(C)Sc1ccc(NC(=O)C2(N)CC3(CCC3)C2)cc1 ZINC000851629599 1048792888 /nfs/dbraw/zinc/79/28/88/1048792888.db2.gz QEZANZRALMINBO-UHFFFAOYSA-N 0 3 318.486 4.177 20 0 DIADHN C[C@H]1CCSCCN1Cc1c(Cl)cccc1N1CCCC1 ZINC000483421751 1048794235 /nfs/dbraw/zinc/79/42/35/1048794235.db2.gz ONBROPNFFLLIMQ-AWEZNQCLSA-N 0 3 324.921 4.268 20 0 DIADHN COc1cccc(CN2CC[C@H](C(F)(F)F)C(C)(C)C2)c1 ZINC000483428384 1048794723 /nfs/dbraw/zinc/79/47/23/1048794723.db2.gz NIOOHBKQGUGDSH-AWEZNQCLSA-N 0 3 301.352 4.106 20 0 DIADHN CCC[C@](C)(NCc1cc(C(=O)OC)oc1C)c1ccccc1 ZINC001176892373 1048796222 /nfs/dbraw/zinc/79/62/22/1048796222.db2.gz UVRSBVIOOGEMMB-IBGZPJMESA-N 0 3 315.413 4.180 20 0 DIADHN Cc1cc(CN[C@]2(CO)CC[C@H](C)c3ccccc32)c(C)s1 ZINC001176932220 1048802895 /nfs/dbraw/zinc/80/28/95/1048802895.db2.gz GEINOOWKSVXORE-DJJJIMSYSA-N 0 3 315.482 4.240 20 0 DIADHN CCC(CC)CN(CCOC)Cc1ccc(F)cc1Cl ZINC001190903469 1048804429 /nfs/dbraw/zinc/80/44/29/1048804429.db2.gz ANGMLWZKBCHLOX-UHFFFAOYSA-N 0 3 301.833 4.364 20 0 DIADHN C[C@@H](NCCOC(F)F)c1ccccc1OCc1ccccc1 ZINC000851895164 1048809169 /nfs/dbraw/zinc/80/91/69/1048809169.db2.gz CXGQLYFBHGZPFW-CQSZACIVSA-N 0 3 321.367 4.155 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)CC1 ZINC001190966818 1048810913 /nfs/dbraw/zinc/81/09/13/1048810913.db2.gz ROGCTYQAMOOGGR-UONOGXRCSA-N 0 3 308.853 4.179 20 0 DIADHN O[C@H](CN1CC=CC12CCCCC2)c1ccc(F)cc1Cl ZINC001176994658 1048814481 /nfs/dbraw/zinc/81/44/81/1048814481.db2.gz BIYDSQZMXKODAH-MRXNPFEDSA-N 0 3 309.812 4.087 20 0 DIADHN Cc1ccc([C@H]2CSCCN2CCc2cccc(C)n2)cc1 ZINC001190953532 1048814649 /nfs/dbraw/zinc/81/46/49/1048814649.db2.gz FAVRVCIUMUEABM-LJQANCHMSA-N 0 3 312.482 4.031 20 0 DIADHN Fc1cccc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)n1 ZINC000851939420 1048816303 /nfs/dbraw/zinc/81/63/03/1048816303.db2.gz DEXYAVRBIBNZSR-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN CC(C)CN(Cc1cccc(F)n1)[C@H]1CCCc2cccnc21 ZINC000851948871 1048816992 /nfs/dbraw/zinc/81/69/92/1048816992.db2.gz KDTNVNBRWZDJGM-KRWDZBQOSA-N 0 3 313.420 4.151 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](c3ccccc3)C[C@H]2C)cc1C ZINC001191003103 1048817721 /nfs/dbraw/zinc/81/77/21/1048817721.db2.gz ATLUPWRWKOSRQM-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN CC(C)CN(C[C@H]1CCC(=O)O1)[C@@H](C)c1ccccc1Cl ZINC000852023293 1048820763 /nfs/dbraw/zinc/82/07/63/1048820763.db2.gz KLBLOWABFLLCHH-UONOGXRCSA-N 0 3 309.837 4.065 20 0 DIADHN Cc1cc(F)c(CNCCCn2ccc3ccccc32)c(F)c1 ZINC001177071942 1048823190 /nfs/dbraw/zinc/82/31/90/1048823190.db2.gz UEXLODCSPWHXHR-UHFFFAOYSA-N 0 3 314.379 4.408 20 0 DIADHN O[C@@H](CN1CC2(CCCCC2)[C@H]1c1ccccc1)c1ccccn1 ZINC000852160464 1048827627 /nfs/dbraw/zinc/82/76/27/1048827627.db2.gz CYKDKTRMQMKLPH-VQTJNVASSA-N 0 3 322.452 4.122 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccccc1)Cc1cc(CO)ccc1F ZINC001167016391 1048829648 /nfs/dbraw/zinc/82/96/48/1048829648.db2.gz LSWHHUBSQFRLQH-HNNXBMFYSA-N 0 3 313.416 4.119 20 0 DIADHN c1cc2ccc(CN3CCSC[C@H]3c3ccccc3)cc2[nH]1 ZINC001191039876 1048832786 /nfs/dbraw/zinc/83/27/86/1048832786.db2.gz SVYPMRAWDMPXPI-IBGZPJMESA-N 0 3 308.450 4.458 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N[C@@H]3CCCC3(C)C)n2)ccc1F ZINC000483661539 1048832736 /nfs/dbraw/zinc/83/27/36/1048832736.db2.gz YHZAVYWJZQAKSU-IUODEOHRSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1cc(CNCc2ccc(Oc3cnccn3)cc2)ccc1F ZINC001177161861 1048839645 /nfs/dbraw/zinc/83/96/45/1048839645.db2.gz FFWRSZJPLJCAEX-UHFFFAOYSA-N 0 3 323.371 4.006 20 0 DIADHN Cc1ccc([C@H](O)CN2CC3(CCCC3)[C@@H]2c2ccco2)cc1 ZINC000852427033 1048842576 /nfs/dbraw/zinc/84/25/76/1048842576.db2.gz RGIYPPGOMCDWGU-MJGOQNOKSA-N 0 3 311.425 4.239 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000483717810 1048844141 /nfs/dbraw/zinc/84/41/41/1048844141.db2.gz YVNOBTRXRYGWKM-YSVLISHTSA-N 0 3 316.470 4.418 20 0 DIADHN CO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccc(F)cc1OC(F)F ZINC000483718004 1048844325 /nfs/dbraw/zinc/84/43/25/1048844325.db2.gz ZZBOFQTZPIPJTF-LERXQTSPSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CNC1CC(C)(C)C1 ZINC001203193910 1048844745 /nfs/dbraw/zinc/84/47/45/1048844745.db2.gz CFGSCTDTPUTKKY-UHFFFAOYSA-N 0 3 317.864 4.171 20 0 DIADHN O=C(Nc1cccc(CNC/C=C\c2ccccc2)c1)C1CCC1 ZINC001177180749 1048847198 /nfs/dbraw/zinc/84/71/98/1048847198.db2.gz HUGKKLJPZXZQKD-POHAHGRESA-N 0 3 320.436 4.228 20 0 DIADHN C[C@H](c1ccc(F)nc1)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001191047705 1048847408 /nfs/dbraw/zinc/84/74/08/1048847408.db2.gz HRCIAJLALXRIPZ-DOMZBBRYSA-N 0 3 304.796 4.425 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cnn(CC2CCC2)c1 ZINC001203235534 1048850101 /nfs/dbraw/zinc/85/01/01/1048850101.db2.gz RWQKAYGMYSSDMJ-FQEVSTJZSA-N 0 3 309.457 4.159 20 0 DIADHN CCCN(Cc1cnc(Cl)s1)[C@@H]1C[C@H](OCC)C1(C)C ZINC001191099032 1048850228 /nfs/dbraw/zinc/85/02/28/1048850228.db2.gz HGJFCZBJJPVIBW-OLZOCXBDSA-N 0 3 316.898 4.212 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c(C)cccc32)cc(OC)c1 ZINC001203234055 1048850497 /nfs/dbraw/zinc/85/04/97/1048850497.db2.gz CZQOHRFEVLNTOS-FQEVSTJZSA-N 0 3 311.425 4.179 20 0 DIADHN CCc1nc(C)c(CN2CCC3(CCc4ccc(C)cc43)CC2)[nH]1 ZINC001203241467 1048850767 /nfs/dbraw/zinc/85/07/67/1048850767.db2.gz UMFHYYHDBJCTCO-UHFFFAOYSA-N 0 3 323.484 4.069 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cnc(Cl)s2)C12CCC2 ZINC000852587336 1048851307 /nfs/dbraw/zinc/85/13/07/1048851307.db2.gz XDCBBXZHURTIST-NEPJUHHUSA-N 0 3 314.882 4.012 20 0 DIADHN CC(C)C[C@H]1CCCCCN1Cc1cc(C(F)(F)F)nn1C ZINC001191110654 1048851729 /nfs/dbraw/zinc/85/17/29/1048851729.db2.gz CWZPPCIFXBSZIY-CYBMUJFWSA-N 0 3 317.399 4.230 20 0 DIADHN Fc1cccc(Cl)c1CNCC1([C@@H]2CCCCO2)CCC1 ZINC001203276309 1048856282 /nfs/dbraw/zinc/85/62/82/1048856282.db2.gz MMHAHWGVFZBMLE-INIZCTEOSA-N 0 3 311.828 4.308 20 0 DIADHN c1coc(CN2CCC(Cc3cnc4ccccc4c3)CC2)c1 ZINC001203289392 1048857233 /nfs/dbraw/zinc/85/72/33/1048857233.db2.gz DUGOWSNLCQBZRC-UHFFFAOYSA-N 0 3 306.409 4.283 20 0 DIADHN ClC1(Cl)C[C@@H]1CN1CCC2(C=Cc3ccccc3O2)CC1 ZINC000852731045 1048858343 /nfs/dbraw/zinc/85/83/43/1048858343.db2.gz YWRBQFQOZINBNG-CQSZACIVSA-N 0 3 324.251 4.121 20 0 DIADHN ClC1(Cl)C[C@H]1CN1CCC2(C=Cc3ccccc3O2)CC1 ZINC000852731044 1048858776 /nfs/dbraw/zinc/85/87/76/1048858776.db2.gz YWRBQFQOZINBNG-AWEZNQCLSA-N 0 3 324.251 4.121 20 0 DIADHN CC(=O)Oc1ccc(CN[C@@]2(c3ccccc3)CC2(C)C)cc1 ZINC000852772317 1048859125 /nfs/dbraw/zinc/85/91/25/1048859125.db2.gz LSXIRZOIKVSGRU-HXUWFJFHSA-N 0 3 309.409 4.027 20 0 DIADHN c1coc([C@H]2CCCCCN2Cc2ccc3c(c2)OCCO3)c1 ZINC001191085058 1048862409 /nfs/dbraw/zinc/86/24/09/1048862409.db2.gz GNYLXJCHLUWTEP-MRXNPFEDSA-N 0 3 313.397 4.168 20 0 DIADHN FC1(F)Oc2ccc(CN(Cc3ccccc3)C3CC3)cc2O1 ZINC001191085859 1048864453 /nfs/dbraw/zinc/86/44/53/1048864453.db2.gz USQZGCPZDNBZCP-UHFFFAOYSA-N 0 3 317.335 4.173 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3CO[C@@H](C4CC4)C3)o2)cc1 ZINC001203321460 1048870299 /nfs/dbraw/zinc/87/02/99/1048870299.db2.gz YABLZKOYOHOWDX-MAUKXSAKSA-N 0 3 317.816 4.257 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(F)cc1OC(F)F)C(C)(C)C ZINC000483859985 1048871457 /nfs/dbraw/zinc/87/14/57/1048871457.db2.gz NVHXLAOGEIWTKK-IINYFYTJSA-N 0 3 319.367 4.139 20 0 DIADHN COc1cccc2c(CN[C@H](C)c3cnc(C)s3)cccc12 ZINC001203351952 1048876694 /nfs/dbraw/zinc/87/66/94/1048876694.db2.gz KGINEVVGNCLQBV-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)C[C@H]2CCC3(CCC3)CO2)s1 ZINC001191146082 1048878544 /nfs/dbraw/zinc/87/85/44/1048878544.db2.gz TVPQAYKAZWRYPN-LSDHHAIUSA-N 0 3 322.518 4.356 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc3c(c2)CCCO3)cnc1Cl ZINC000853094621 1048879523 /nfs/dbraw/zinc/87/95/23/1048879523.db2.gz HUOWBDGNKQNTBG-CYBMUJFWSA-N 0 3 316.832 4.219 20 0 DIADHN CC(C)c1cccc(CNCc2cccc(N3CCOC3=O)c2)c1 ZINC000853084176 1048879658 /nfs/dbraw/zinc/87/96/58/1048879658.db2.gz GVJHDAVBDUNUOT-UHFFFAOYSA-N 0 3 324.424 4.056 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(-c3ccccc3Cl)o2)[C@@H](C)O1 ZINC001203368867 1048882532 /nfs/dbraw/zinc/88/25/32/1048882532.db2.gz IQNALPFZCHWNHK-HSMVNMDESA-N 0 3 305.805 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ccc(O)cc21)c1ccc2c(c1)CCCO2 ZINC000853239473 1048887300 /nfs/dbraw/zinc/88/73/00/1048887300.db2.gz BRYWHFMWEVBQQX-VBKZILBWSA-N 0 3 323.436 4.445 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000853242349 1048891175 /nfs/dbraw/zinc/89/11/75/1048891175.db2.gz PKGNUNHDVWKGOT-VXGBXAGGSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000853242347 1048891254 /nfs/dbraw/zinc/89/12/54/1048891254.db2.gz PKGNUNHDVWKGOT-NWDGAFQWSA-N 0 3 302.443 4.188 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c(O)cccc21)c1ccc2c(c1)CCCO2 ZINC000853239789 1048891461 /nfs/dbraw/zinc/89/14/61/1048891461.db2.gz DNHYZMMIATWXTE-KUHUBIRLSA-N 0 3 323.436 4.445 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)c1ccc2c(c1)CCCO2 ZINC000853242360 1048891937 /nfs/dbraw/zinc/89/19/37/1048891937.db2.gz PQKSWLUGNGGSHT-OLZOCXBDSA-N 0 3 317.454 4.494 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCCO3)c(C)n1 ZINC000853242460 1048892210 /nfs/dbraw/zinc/89/22/10/1048892210.db2.gz PVMHKIGADPLOLX-HOCLYGCPSA-N 0 3 310.441 4.435 20 0 DIADHN c1ccc(COc2ccccc2CN2C[C@@H]3CCCC[C@@H]32)nc1 ZINC000668299456 1048893323 /nfs/dbraw/zinc/89/33/23/1048893323.db2.gz FQYDYUVNKALPTK-LPHOPBHVSA-N 0 3 308.425 4.035 20 0 DIADHN CCN(Cc1ccc(C)c(C)c1)Cc1cccc(OC)c1OC ZINC001203453486 1048905456 /nfs/dbraw/zinc/90/54/56/1048905456.db2.gz YGYWBQKFTNAEPG-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN CCN(CC)[C@H](CNCc1cc(Cl)ccc1F)c1ccco1 ZINC001177553967 1048906128 /nfs/dbraw/zinc/90/61/28/1048906128.db2.gz HZSXYZWCYPRBJW-MRXNPFEDSA-N 0 3 324.827 4.245 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cccc(OC)c1OC ZINC001203452637 1048907103 /nfs/dbraw/zinc/90/71/03/1048907103.db2.gz NSVNRRYNHKERPY-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1C[C@H]1c1cccs1)C(C)C ZINC001177551995 1048907313 /nfs/dbraw/zinc/90/73/13/1048907313.db2.gz RUZSDVCOMHCYQE-ZIAGYGMSSA-N 0 3 311.431 4.267 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@H]2CCC3(CCC3)O2)c1Cl ZINC001203470665 1048908704 /nfs/dbraw/zinc/90/87/04/1048908704.db2.gz HZCBUDKLDBCRGV-ZDUSSCGKSA-N 0 3 314.256 4.493 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cccc(C)c2)c(OC)c1 ZINC001203470604 1048909771 /nfs/dbraw/zinc/90/97/71/1048909771.db2.gz BGULSPZDWDAADF-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc(CCNCc2c(Cl)cncc2Cl)c(C)c1 ZINC001177528537 1048909838 /nfs/dbraw/zinc/90/98/38/1048909838.db2.gz BYZJGXBFWBQWEY-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN C[C@H]1CCc2nc(CNCC[C@@H]3CCCC3(F)F)sc2C1 ZINC001203478796 1048911804 /nfs/dbraw/zinc/91/18/04/1048911804.db2.gz IXSOSEXGPNPGQY-RYUDHWBXSA-N 0 3 314.445 4.183 20 0 DIADHN CCSc1ccc(CNCc2cccc(COC)c2)cc1 ZINC001203485182 1048911977 /nfs/dbraw/zinc/91/19/77/1048911977.db2.gz IFULDFRVAMWFTQ-UHFFFAOYSA-N 0 3 301.455 4.235 20 0 DIADHN Fc1ccccc1C1(NCCCOCCc2ccccc2)CC1 ZINC000775448655 1048912192 /nfs/dbraw/zinc/91/21/92/1048912192.db2.gz PLNICWAUNUPZCX-UHFFFAOYSA-N 0 3 313.416 4.054 20 0 DIADHN CC1(C)C[C@]1(C)CNCc1c(F)cc(Br)cc1F ZINC001203510919 1048913679 /nfs/dbraw/zinc/91/36/79/1048913679.db2.gz DOEJSTDXWIPUIG-CQSZACIVSA-N 0 3 318.205 4.253 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(F)cc1C)c1ccc(F)cc1F ZINC000775448028 1048915361 /nfs/dbraw/zinc/91/53/61/1048915361.db2.gz AWYYTHVMODTILO-QZTJIDSGSA-N 0 3 323.358 4.187 20 0 DIADHN CC(C)P(CCNC(=O)NC1CCCCCC1)C(C)C ZINC001191290511 1048916671 /nfs/dbraw/zinc/91/66/71/1048916671.db2.gz JAPKKAGOHKRRSK-UHFFFAOYSA-N 0 3 300.427 4.307 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1nc2c(s1)C[C@H](C)CC2 ZINC001177576249 1048918760 /nfs/dbraw/zinc/91/87/60/1048918760.db2.gz UGSACDMQVDGRQE-HIFRSBDPSA-N 0 3 314.498 4.297 20 0 DIADHN CCC1CCC(CNCc2snc(OC)c2Cl)CC1 ZINC001177737862 1048938612 /nfs/dbraw/zinc/93/86/12/1048938612.db2.gz WNPZYHXWPMJURC-UHFFFAOYSA-N 0 3 302.871 4.111 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CC[C@H](CSc2ccccc2)C1 ZINC001191341261 1048920428 /nfs/dbraw/zinc/92/04/28/1048920428.db2.gz LSPGNMAZINRZQB-GJZGRUSLSA-N 0 3 316.445 4.396 20 0 DIADHN C[C@@H](NCc1cc[nH]c(=O)c1)c1ccccc1Oc1ccccc1 ZINC001177586774 1048920814 /nfs/dbraw/zinc/92/08/14/1048920814.db2.gz JXDPZPBLKRSCAV-OAHLLOKOSA-N 0 3 320.392 4.430 20 0 DIADHN Fc1ccc(/C=C/CN[C@H]2CCCOc3ccccc32)cc1F ZINC001177605036 1048923232 /nfs/dbraw/zinc/92/32/32/1048923232.db2.gz YAEXRAFEJBRARO-YZTRRJNXSA-N 0 3 315.363 4.482 20 0 DIADHN C[C@@H](NCc1csc(CCc2ccccc2)n1)C1(C)CC1 ZINC000484312279 1048925020 /nfs/dbraw/zinc/92/50/20/1048925020.db2.gz SKBUAYOUWQTXDH-CQSZACIVSA-N 0 3 300.471 4.207 20 0 DIADHN CCOc1ccc(CNCC2(c3ccc(Cl)cc3)CC2)o1 ZINC001177631648 1048925979 /nfs/dbraw/zinc/92/59/79/1048925979.db2.gz TYWCFJFOFQCZPH-UHFFFAOYSA-N 0 3 305.805 4.153 20 0 DIADHN CCCn1cc(CNC2(c3cccc(C)c3)CCCC2)c(C)n1 ZINC001177631244 1048926356 /nfs/dbraw/zinc/92/63/56/1048926356.db2.gz DNXXTJQEJXICPJ-UHFFFAOYSA-N 0 3 311.473 4.469 20 0 DIADHN c1ccc(SC2(CNCc3nc4c(s3)CCC4)CC2)cc1 ZINC001177668727 1048927763 /nfs/dbraw/zinc/92/77/63/1048927763.db2.gz BLKLPNAXADADOP-UHFFFAOYSA-N 0 3 316.495 4.046 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1cn(-c2ccccc2C)nn1 ZINC001177656330 1048931046 /nfs/dbraw/zinc/93/10/46/1048931046.db2.gz JMDDMZZOCOPHCM-SJLPKXTDSA-N 0 3 312.461 4.024 20 0 DIADHN CCc1cccc2cc(CNCCOC3CCCCC3)oc21 ZINC001177708338 1048935369 /nfs/dbraw/zinc/93/53/69/1048935369.db2.gz ZEDFYVNRQSPDNC-UHFFFAOYSA-N 0 3 301.430 4.434 20 0 DIADHN C[C@@H](Cc1ccsc1)N(C)Cc1ccc(C(F)(F)F)cn1 ZINC001191408048 1048938252 /nfs/dbraw/zinc/93/82/52/1048938252.db2.gz LRWLVZSRDPNCIJ-NSHDSACASA-N 0 3 314.376 4.225 20 0 DIADHN Fc1cc(F)c(CNC2CCc3ccccc3CC2)c(F)c1 ZINC001203602557 1048939332 /nfs/dbraw/zinc/93/93/32/1048939332.db2.gz DAXBNJPGYYNZHS-UHFFFAOYSA-N 0 3 305.343 4.141 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cn(CC)nc1C ZINC001203603187 1048939785 /nfs/dbraw/zinc/93/97/85/1048939785.db2.gz QBGOGIJGSPQJCM-UHFFFAOYSA-N 0 3 307.441 4.217 20 0 DIADHN Fc1cccc(F)c1CCN(CCC(F)(F)F)CC1CC1 ZINC001191416924 1048940169 /nfs/dbraw/zinc/94/01/69/1048940169.db2.gz LGWHAHAYZPEGRD-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN C[C@H](c1ccccc1F)N1CCC(N(C)c2ccccc2)CC1 ZINC001191427279 1048944512 /nfs/dbraw/zinc/94/45/12/1048944512.db2.gz NOTIJPMLNMSNMN-MRXNPFEDSA-N 0 3 312.432 4.488 20 0 DIADHN Fc1ccc(F)c(CN(CCOCC2CC2)C2CCCC2)c1 ZINC001191445549 1048946340 /nfs/dbraw/zinc/94/63/40/1048946340.db2.gz VATKFPSQORPMMN-UHFFFAOYSA-N 0 3 309.400 4.136 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cccc(N)c2)cc1Cl ZINC000854216095 1048955308 /nfs/dbraw/zinc/95/53/08/1048955308.db2.gz UJZRIVXGGVIOHR-LBPRGKRZSA-N 0 3 304.821 4.090 20 0 DIADHN C[C@H](Cc1cccs1)N(C)Cc1nc2ccccc2s1 ZINC001191464875 1048955860 /nfs/dbraw/zinc/95/58/60/1048955860.db2.gz SCJDWHBDKFHGSD-GFCCVEGCSA-N 0 3 302.468 4.421 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2nc(C)cs2)C1(CC)CC ZINC000776724247 1048956613 /nfs/dbraw/zinc/95/66/13/1048956613.db2.gz ZVOXSCVKDRGAQV-SOUVJXGZSA-N 0 3 310.507 4.476 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2F)s1)c1cnn(C)c1 ZINC001177913349 1048960803 /nfs/dbraw/zinc/96/08/03/1048960803.db2.gz WQRFIULCXZGQNL-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN c1ccc(CSCCN2CCOC3(C2)CCCCCC3)cc1 ZINC001191549364 1048964005 /nfs/dbraw/zinc/96/40/05/1048964005.db2.gz BMLRAPJKNHQNEY-UHFFFAOYSA-N 0 3 319.514 4.345 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2c(C)cccc2Cl)C12CCC2 ZINC001191550099 1048964030 /nfs/dbraw/zinc/96/40/30/1048964030.db2.gz JACDCOLRFVRUMK-SJORKVTESA-N 0 3 307.865 4.428 20 0 DIADHN CN(C)c1ccnc(CNCc2ccc(-c3ccccc3)s2)c1 ZINC001203692278 1048965342 /nfs/dbraw/zinc/96/53/42/1048965342.db2.gz XPQIAHWEQJMTDX-UHFFFAOYSA-N 0 3 323.465 4.166 20 0 DIADHN Cc1c(C)c(C)c(CN2CCOC3(CCCC3)C2)c(C)c1C ZINC001191557973 1048966037 /nfs/dbraw/zinc/96/60/37/1048966037.db2.gz BBPXHCNMPIMGEY-UHFFFAOYSA-N 0 3 301.474 4.374 20 0 DIADHN COc1ccc([C@@H]2CSCCN2Cc2ccccc2C)cc1 ZINC001203706408 1048970633 /nfs/dbraw/zinc/97/06/33/1048970633.db2.gz CASGQVJWNVGGBU-IBGZPJMESA-N 0 3 313.466 4.294 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNC1CC(C)C1 ZINC001177960958 1048970712 /nfs/dbraw/zinc/97/07/12/1048970712.db2.gz DYECZYZLJOOGHS-UHFFFAOYSA-N 0 3 317.864 4.090 20 0 DIADHN Cc1ccccc1CN1CCC2(CCc3ccccc3O2)CC1 ZINC001203708417 1048971320 /nfs/dbraw/zinc/97/13/20/1048971320.db2.gz HMHKNXJCRZVFBM-UHFFFAOYSA-N 0 3 307.437 4.355 20 0 DIADHN CN(CCC1CCCCC1)Cc1ccc(Br)cn1 ZINC000484572193 1048972626 /nfs/dbraw/zinc/97/26/26/1048972626.db2.gz VQNIOABLZVMSBS-UHFFFAOYSA-N 0 3 311.267 4.246 20 0 DIADHN CC(C)CCC1CCN(C[C@H](O)c2ccc(F)cc2F)CC1 ZINC001191611176 1048973952 /nfs/dbraw/zinc/97/39/52/1048973952.db2.gz YAJJSFSTUAYSHZ-SFHVURJKSA-N 0 3 311.416 4.146 20 0 DIADHN BrC1(CN(C2CC2)[C@@H]2CCCc3ccccc32)CC1 ZINC001191646433 1048976787 /nfs/dbraw/zinc/97/67/87/1048976787.db2.gz MRQZOXQNYUKXTD-MRXNPFEDSA-N 0 3 320.274 4.456 20 0 DIADHN O=c1[nH]cc(CNCc2ccc(Cl)c(F)c2)c2ccccc12 ZINC001203721854 1048979570 /nfs/dbraw/zinc/97/95/70/1048979570.db2.gz SQNZRRVKJQILFI-UHFFFAOYSA-N 0 3 316.763 4.023 20 0 DIADHN COc1ccc(CN2CC[C@H](C(=O)c3ccccc3)C2)c(C)c1C ZINC001203726709 1048981527 /nfs/dbraw/zinc/98/15/27/1048981527.db2.gz JSJGXBIUHAHNPR-IBGZPJMESA-N 0 3 323.436 4.017 20 0 DIADHN CC(C)COc1ccc(CNCc2ccc3c(c2)COC3)cc1 ZINC001178026386 1048983158 /nfs/dbraw/zinc/98/31/58/1048983158.db2.gz XOESCLHPVMZLFB-UHFFFAOYSA-N 0 3 311.425 4.041 20 0 DIADHN Cc1sc(CNC(C)(C)/C=C\Cl)cc1Br ZINC001203733036 1048983519 /nfs/dbraw/zinc/98/35/19/1048983519.db2.gz FJJLSMDNRWYYSN-PLNGDYQASA-N 0 3 308.672 4.440 20 0 DIADHN Cc1ccc(CN2CCC3(Cc4ccccc4O3)CC2)c(C)c1 ZINC001203740428 1048986084 /nfs/dbraw/zinc/98/60/84/1048986084.db2.gz VSWGRWPHOJGIBR-UHFFFAOYSA-N 0 3 307.437 4.273 20 0 DIADHN CC[C@@H](NC(=O)C1(N(C)C)CCCC1)c1cccc2ccccc21 ZINC001178074253 1048986427 /nfs/dbraw/zinc/98/64/27/1048986427.db2.gz JAOGDQOMYOIFGA-LJQANCHMSA-N 0 3 324.468 4.282 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cccc4cccc2c43)cc1O ZINC001203750072 1048989766 /nfs/dbraw/zinc/98/97/66/1048989766.db2.gz GLTUUMJHGNKWBM-SFHVURJKSA-N 0 3 319.404 4.331 20 0 DIADHN COc1cc(C)c(CN2CC[C@@H](C(=O)c3ccccc3)C2)cc1C ZINC001203757265 1048991332 /nfs/dbraw/zinc/99/13/32/1048991332.db2.gz DKBDEGKMSONDNE-GOSISDBHSA-N 0 3 323.436 4.017 20 0 DIADHN C[C@@H]1COC[C@H]1NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001203770429 1048993581 /nfs/dbraw/zinc/99/35/81/1048993581.db2.gz FNSDJFSDRJTTFH-RDTXWAMCSA-N 0 3 313.441 4.369 20 0 DIADHN C[C@@H]1COC[C@@H]1NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001203770425 1048993680 /nfs/dbraw/zinc/99/36/80/1048993680.db2.gz FNSDJFSDRJTTFH-KDOFPFPSSA-N 0 3 313.441 4.369 20 0 DIADHN c1ccc([C@H](NCc2cccc(-c3cccnc3)c2)C2CC2)nc1 ZINC001203770351 1048994259 /nfs/dbraw/zinc/99/42/59/1048994259.db2.gz DNBOHKLLHWEPKB-OAQYLSRUSA-N 0 3 315.420 4.385 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000194964389 1048994660 /nfs/dbraw/zinc/99/46/60/1048994660.db2.gz NWSXSOKXDGHNKQ-BLLLJJGKSA-N 0 3 309.331 4.389 20 0 DIADHN CCO[C@@H](CN1CCCC[C@H]1c1ccc(F)cc1F)C1CC1 ZINC001191741883 1048994854 /nfs/dbraw/zinc/99/48/54/1048994854.db2.gz LKPGEVOYHVEUOA-ROUUACIJSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1cnc(CN[C@@H]2CCCC[C@H]2Oc2cccc(C)c2)s1 ZINC000484652820 1049000249 /nfs/dbraw/zinc/00/02/49/1049000249.db2.gz VWQBHKRKEPNDIP-IAGOWNOFSA-N 0 3 316.470 4.240 20 0 DIADHN CC(C)c1ccccc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000854934190 1049000455 /nfs/dbraw/zinc/00/04/55/1049000455.db2.gz MHGAWZMWFNKVOA-UHFFFAOYSA-N 0 3 314.404 4.263 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1cc(C)ccc1C ZINC001191789770 1049001142 /nfs/dbraw/zinc/00/11/42/1049001142.db2.gz MTXLWYPZYCYUSD-WMZOPIPTSA-N 0 3 302.462 4.143 20 0 DIADHN Cc1cnc(CN[C@@H]2CCCC[C@H]2Oc2ccccc2C)s1 ZINC000484653604 1049002273 /nfs/dbraw/zinc/00/22/73/1049002273.db2.gz QHOZGGCDACQSBQ-NVXWUHKLSA-N 0 3 316.470 4.240 20 0 DIADHN c1ccc(Oc2cccc(CN3CCCC4(COC4)C3)c2)cc1 ZINC001203809777 1049004707 /nfs/dbraw/zinc/00/47/07/1049004707.db2.gz BGINHGRGXCLWPS-UHFFFAOYSA-N 0 3 309.409 4.091 20 0 DIADHN CCCOc1cccc(CCNCc2ncc(C(C)C)s2)c1 ZINC001178237274 1049007000 /nfs/dbraw/zinc/00/70/00/1049007000.db2.gz AVBJMECDNNZDQN-UHFFFAOYSA-N 0 3 318.486 4.388 20 0 DIADHN CCNc1ccccc1CN[C@H]1CCCc2ccc(OC)cc21 ZINC001178238113 1049007868 /nfs/dbraw/zinc/00/78/68/1049007868.db2.gz MLQRBEPWXJKNID-FQEVSTJZSA-N 0 3 310.441 4.294 20 0 DIADHN COc1cccc(CN2CCCC[C@H]2c2cccc(OC)c2)c1 ZINC001203822660 1049009259 /nfs/dbraw/zinc/00/92/59/1049009259.db2.gz XZABPMOGSVXQMV-FQEVSTJZSA-N 0 3 311.425 4.431 20 0 DIADHN COc1cc(C)cc(CNCc2ccc(CSC)cc2)c1 ZINC001178276584 1049010273 /nfs/dbraw/zinc/01/02/73/1049010273.db2.gz YIMKRIJFGWFDPI-UHFFFAOYSA-N 0 3 301.455 4.156 20 0 DIADHN Fc1cccc(CNC[C@H]2CCCCS2)c1OC(F)(F)F ZINC001178291584 1049011111 /nfs/dbraw/zinc/01/11/11/1049011111.db2.gz ZFWYUFJGPOUCNI-LLVKDONJSA-N 0 3 323.355 4.100 20 0 DIADHN COc1cccc(CN2CCC3(CCc4ccccc4O3)CC2)c1 ZINC001203825033 1049011369 /nfs/dbraw/zinc/01/13/69/1049011369.db2.gz CBTCCSWQDJUJCP-UHFFFAOYSA-N 0 3 323.436 4.055 20 0 DIADHN Fc1cc(Cl)cc(CNCCOc2ccccc2Cl)c1 ZINC001178280375 1049011331 /nfs/dbraw/zinc/01/13/31/1049011331.db2.gz AERDIDZDWWIGBJ-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Oc1cccc(CN2CCC(Cc3ccc(F)cc3F)CC2)c1 ZINC001203863127 1049015363 /nfs/dbraw/zinc/01/53/63/1049015363.db2.gz DHVBDSFGDNWEKW-UHFFFAOYSA-N 0 3 317.379 4.125 20 0 DIADHN COc1cc(CN2CCC[C@@H]3C[C@@H]32)ccc1OCc1ccccc1 ZINC001203851470 1049017022 /nfs/dbraw/zinc/01/70/22/1049017022.db2.gz VAMXSULZTGIHGY-MOPGFXCFSA-N 0 3 323.436 4.259 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cccc2c1OCCCO2 ZINC001178316850 1049019349 /nfs/dbraw/zinc/01/93/49/1049019349.db2.gz BRPZQYYNSNGSMN-QRWLVFNGSA-N 0 3 323.436 4.261 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cccc(Cl)n2)C1(C)C ZINC001191988042 1049023833 /nfs/dbraw/zinc/02/38/33/1049023833.db2.gz VLIOLUIGLXSHSJ-GJZGRUSLSA-N 0 3 310.869 4.151 20 0 DIADHN C[C@@H](NCc1ccc(Cl)nc1)c1ccc2ncsc2c1 ZINC000776807121 1049025972 /nfs/dbraw/zinc/02/59/72/1049025972.db2.gz DQFKXSZABJKVQB-SNVBAGLBSA-N 0 3 303.818 4.196 20 0 DIADHN C[C@H](NCc1ccc(Cl)nc1)c1ccc2ncsc2c1 ZINC000776807117 1049026743 /nfs/dbraw/zinc/02/67/43/1049026743.db2.gz DQFKXSZABJKVQB-JTQLQIEISA-N 0 3 303.818 4.196 20 0 DIADHN COC(C)(C)CCN(CCC(F)(F)F)Cc1ccccc1 ZINC000484785226 1049027852 /nfs/dbraw/zinc/02/78/52/1049027852.db2.gz FLCQYHXKPMLUHK-UHFFFAOYSA-N 0 3 303.368 4.256 20 0 DIADHN Clc1ccc(CN2CCC3(COc4ccccc43)CC2)cc1 ZINC001203921988 1049033645 /nfs/dbraw/zinc/03/36/45/1049033645.db2.gz HZQOZJBWLDBOGZ-UHFFFAOYSA-N 0 3 313.828 4.266 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+]CC2CCC(C(C)(C)C)CC2)[n-]1 ZINC001178408926 1049034567 /nfs/dbraw/zinc/03/45/67/1049034567.db2.gz KAKHSBPNNNGTKU-NFOMZHRRSA-N 0 3 306.498 4.431 20 0 DIADHN CCCCOCCN(Cc1cc(OC)ccc1OC)C(C)(C)C ZINC001192052239 1049036722 /nfs/dbraw/zinc/03/67/22/1049036722.db2.gz CXFUJBXVTSMBHI-UHFFFAOYSA-N 0 3 323.477 4.121 20 0 DIADHN O=C1C[C@H]2CC[C@@H](C1)N2Cc1ccc(Oc2ccccc2)cc1 ZINC001203941483 1049037100 /nfs/dbraw/zinc/03/71/00/1049037100.db2.gz NVHLHVQIQXFVFA-CALCHBBNSA-N 0 3 307.393 4.175 20 0 DIADHN COc1ccc(CN2CCC[C@H](Oc3ccccc3C)C2)cc1 ZINC001203955309 1049044862 /nfs/dbraw/zinc/04/48/62/1049044862.db2.gz BZALWCKBUSETDL-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(OCc3ccccc3)cc2)C1 ZINC001203962682 1049045318 /nfs/dbraw/zinc/04/53/18/1049045318.db2.gz NVBRGIYMNPRRBR-FQEVSTJZSA-N 0 3 323.436 4.067 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@H](c1ccccc1)C1CCC1 ZINC001192156771 1049045411 /nfs/dbraw/zinc/04/54/11/1049045411.db2.gz BOZDACBRCRRZCU-OXQOHEQNSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ncccc1CCNCc1cc(Cl)sc1Cl ZINC001203967376 1049046012 /nfs/dbraw/zinc/04/60/12/1049046012.db2.gz BMDYDPWSXHREGK-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN CCCCOc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1 ZINC001203972989 1049047797 /nfs/dbraw/zinc/04/77/97/1049047797.db2.gz YIWTUNRRAQWOMC-INIZCTEOSA-N 0 3 315.379 4.435 20 0 DIADHN CC1(C)CCC[C@H](NCc2ncc(Br)cc2F)C1 ZINC001178504671 1049056212 /nfs/dbraw/zinc/05/62/12/1049056212.db2.gz CYFLFFGVUXNVKJ-NSHDSACASA-N 0 3 315.230 4.042 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2ccc(Cl)c(C)c2Cl)cc1 ZINC001178581774 1049057581 /nfs/dbraw/zinc/05/75/81/1049057581.db2.gz ZWESELICNXMRRP-INIZCTEOSA-N 0 3 324.251 4.433 20 0 DIADHN CCc1nnc(C2CCN(Cc3ccc4ccccc4c3)CC2)o1 ZINC001204000127 1049058455 /nfs/dbraw/zinc/05/84/55/1049058455.db2.gz VQHDSDKJPIHQDD-UHFFFAOYSA-N 0 3 321.424 4.165 20 0 DIADHN Cc1cc(C)cc([C@H](O)CNCc2cc(Cl)ccc2Cl)c1 ZINC001178556563 1049059203 /nfs/dbraw/zinc/05/92/03/1049059203.db2.gz CECPDUOIJFMRLD-QGZVFWFLSA-N 0 3 324.251 4.433 20 0 DIADHN Cn1nc2c(c1CN[C@@H]1CC(C)(C)CCc3ccccc31)CCC2 ZINC001178553014 1049059596 /nfs/dbraw/zinc/05/95/96/1049059596.db2.gz KBDJRKQGWLFDCI-LJQANCHMSA-N 0 3 323.484 4.102 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccco2)o1 ZINC000173309057 1049059865 /nfs/dbraw/zinc/05/98/65/1049059865.db2.gz XCMWDMWDJAFWQZ-OLZOCXBDSA-N 0 3 303.406 4.024 20 0 DIADHN C[C@H]1CCC[C@H]1CNCc1ncc(Br)cc1Cl ZINC001178557715 1049060849 /nfs/dbraw/zinc/06/08/49/1049060849.db2.gz SHGIVEMTWXBPNH-UWVGGRQHSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCO[C@]3(CCc4ccccc43)C2)C1 ZINC001192253441 1049062022 /nfs/dbraw/zinc/06/20/22/1049062022.db2.gz TUVQEVPSFSDBKQ-DBXWQHBBSA-N 0 3 313.485 4.377 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3c(Cl)n[nH]c3C3CC3)C2)c1 ZINC001178576286 1049063450 /nfs/dbraw/zinc/06/34/50/1049063450.db2.gz MGWWIRBICQMZIV-MQMHXKEQSA-N 0 3 319.811 4.115 20 0 DIADHN Cc1ccc(CN2CC3(CC(OCc4ccccc4)C3)C2)s1 ZINC001204033822 1049065097 /nfs/dbraw/zinc/06/50/97/1049065097.db2.gz YQRKGUWWKUVELJ-UHFFFAOYSA-N 0 3 313.466 4.238 20 0 DIADHN CCO[C@@H](CNCc1c(F)cccc1CC)c1ccccc1 ZINC001178601598 1049065476 /nfs/dbraw/zinc/06/54/76/1049065476.db2.gz XUJSHTCKNRUIIC-IBGZPJMESA-N 0 3 301.405 4.256 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CCC[C@@H]1CCC1CCCC1 ZINC001192232970 1049066427 /nfs/dbraw/zinc/06/64/27/1049066427.db2.gz BFGBKQBKMUBVIA-OAHLLOKOSA-N 0 3 309.885 4.317 20 0 DIADHN CCC[C@@H](NCc1ccc(F)cc1Cl)[C@@H](O)c1ccccc1 ZINC001178634915 1049068420 /nfs/dbraw/zinc/06/84/20/1049068420.db2.gz YOWPSIXGNQSECW-MSOLQXFVSA-N 0 3 321.823 4.471 20 0 DIADHN COc1ccc(Cl)cc1CNCC1(OC)CCC(C)CC1 ZINC001178628769 1049069063 /nfs/dbraw/zinc/06/90/63/1049069063.db2.gz DOSJODPNNALBBD-UHFFFAOYSA-N 0 3 311.853 4.034 20 0 DIADHN COc1ccccc1OCC1CCN(Cc2ccsc2)CC1 ZINC001204042965 1049071777 /nfs/dbraw/zinc/07/17/77/1049071777.db2.gz QNJPZVTWZCTDNY-UHFFFAOYSA-N 0 3 317.454 4.048 20 0 DIADHN Cc1ccc(N2CCN(Cc3c[nH]c4ccccc34)CC2)c(C)c1 ZINC001204049036 1049074552 /nfs/dbraw/zinc/07/45/52/1049074552.db2.gz SGVDKXHPCQRDKH-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCC[C@]1(NCc2cc3cccc(C)c3nc2Cl)CCOC1 ZINC001178699851 1049076270 /nfs/dbraw/zinc/07/62/70/1049076270.db2.gz CHLMPHZVDQWPEY-SFHVURJKSA-N 0 3 318.848 4.245 20 0 DIADHN CC[C@H](CNCc1ccn(Cc2ccccc2)n1)c1ccccc1 ZINC001178695168 1049077547 /nfs/dbraw/zinc/07/75/47/1049077547.db2.gz VWVKREHEMFQFSW-LJQANCHMSA-N 0 3 319.452 4.215 20 0 DIADHN c1ccc2ncc(CC3CCN(Cc4cccnc4)CC3)cc2c1 ZINC001204076930 1049079120 /nfs/dbraw/zinc/07/91/20/1049079120.db2.gz BEBQXHOXXHCXRE-UHFFFAOYSA-N 0 3 317.436 4.085 20 0 DIADHN FC(F)(F)Oc1ccc([C@H]2CCCN2Cc2ccncc2)cc1 ZINC001204081836 1049079613 /nfs/dbraw/zinc/07/96/13/1049079613.db2.gz LXFYYZRXSVWSOT-MRXNPFEDSA-N 0 3 322.330 4.317 20 0 DIADHN c1ccc2c(CN3CCCC[C@H]3c3cccnc3)ccnc2c1 ZINC001204086500 1049079855 /nfs/dbraw/zinc/07/98/55/1049079855.db2.gz BNDNMQYPIFWBPM-FQEVSTJZSA-N 0 3 303.409 4.357 20 0 DIADHN CC1(C)OCCC12CCN(Cc1ccnc3ccccc13)CC2 ZINC001204090064 1049081117 /nfs/dbraw/zinc/08/11/17/1049081117.db2.gz LOASCRZAAKLQST-UHFFFAOYSA-N 0 3 310.441 4.016 20 0 DIADHN Cc1cccc([C@H](O)CN2[C@H](C)Cc3cc(F)ccc3[C@H]2C)c1 ZINC001192302764 1049081461 /nfs/dbraw/zinc/08/14/61/1049081461.db2.gz LNYXSWMCTYUQPE-STXHMFSFSA-N 0 3 313.416 4.175 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1c(Cl)ccc2cccnc21)OC ZINC001202495610 1049082642 /nfs/dbraw/zinc/08/26/42/1049082642.db2.gz PCYMLUSDGHUHCG-MLGOLLRUSA-N 0 3 306.837 4.039 20 0 DIADHN Clc1ccc(OCC2CC2)c(CN2CC[C@@H](C3CC3)C2)c1 ZINC001192301912 1049082946 /nfs/dbraw/zinc/08/29/46/1049082946.db2.gz DLIRZPMGOYUDSD-OAHLLOKOSA-N 0 3 305.849 4.361 20 0 DIADHN C[C@@H]1c2ccc(F)cc2C[C@H](C)N1CCN(C)c1ccccc1 ZINC001192302400 1049083304 /nfs/dbraw/zinc/08/33/04/1049083304.db2.gz JGRRHWXDNUJJMF-JKSUJKDBSA-N 0 3 312.432 4.270 20 0 DIADHN CC(=O)c1ncccc1Nc1cccc(CN2CCCCC2)c1 ZINC001204094686 1049083087 /nfs/dbraw/zinc/08/30/87/1049083087.db2.gz LIMGYGVDIJBDHO-UHFFFAOYSA-N 0 3 309.413 4.014 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001192305685 1049085639 /nfs/dbraw/zinc/08/56/39/1049085639.db2.gz ZKORHKOPRJHIKT-RLHHIGHOSA-N 0 3 303.421 4.091 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc1 ZINC000173346662 1049098512 /nfs/dbraw/zinc/09/85/12/1049098512.db2.gz KHASZMOQMHIDPP-UONOGXRCSA-N 0 3 301.455 4.313 20 0 DIADHN CC[C@@H](CNCc1cc(Cl)c(OC(C)C)cc1Cl)OC ZINC001178855920 1049099693 /nfs/dbraw/zinc/09/96/93/1049099693.db2.gz SZYABTKGPKFEAK-LBPRGKRZSA-N 0 3 320.260 4.295 20 0 DIADHN Cc1sc(CN2C[C@H](C)CC[C@H]2C)cc1Br ZINC001204163775 1049100658 /nfs/dbraw/zinc/10/06/58/1049100658.db2.gz UHSIJBFCGNEKBQ-NXEZZACHSA-N 0 3 302.281 4.439 20 0 DIADHN Cc1cccc2c1OC[C@H](NCc1ccc(-c3ccccc3)o1)C2 ZINC001178851713 1049101034 /nfs/dbraw/zinc/10/10/34/1049101034.db2.gz NVBUSNZSGJBYNP-GOSISDBHSA-N 0 3 319.404 4.348 20 0 DIADHN CCSc1cccc(CNCc2cc(C)ccc2NC)c1 ZINC001178854961 1049101492 /nfs/dbraw/zinc/10/14/92/1049101492.db2.gz ANWDIQACOVRJJL-UHFFFAOYSA-N 0 3 300.471 4.439 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNCCC(C)(F)F ZINC001178818449 1049101807 /nfs/dbraw/zinc/10/18/07/1049101807.db2.gz YZKCTPMWMPSBJO-UHFFFAOYSA-N 0 3 311.203 4.194 20 0 DIADHN CC(C)c1ccc(CN2CCC(Oc3ccccn3)CC2)cc1 ZINC001204166394 1049102007 /nfs/dbraw/zinc/10/20/07/1049102007.db2.gz UREKLEUPBOIANY-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN C[C@@H]1CC[C@@H](CNCc2cc(Cl)ccc2OC2CCCC2)O1 ZINC001178881217 1049104186 /nfs/dbraw/zinc/10/41/86/1049104186.db2.gz AYUSEDCQIHEBOZ-DYVFJYSZSA-N 0 3 323.864 4.319 20 0 DIADHN C[C@@H]1CC[C@H](CNCc2cc(Cl)ccc2OC2CCCC2)O1 ZINC001178881215 1049104417 /nfs/dbraw/zinc/10/44/17/1049104417.db2.gz AYUSEDCQIHEBOZ-CXAGYDPISA-N 0 3 323.864 4.319 20 0 DIADHN Cc1ccc(CN2CCC(Oc3cccc(Cl)n3)CC2)cc1 ZINC001204173727 1049105466 /nfs/dbraw/zinc/10/54/66/1049105466.db2.gz AOJMOVVBUPOKOF-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN CCOc1cc(CN(Cc2ccccc2)C2CC2)ccc1OC ZINC001204190665 1049106479 /nfs/dbraw/zinc/10/64/79/1049106479.db2.gz SPDZCNRVSDOGIE-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN CC[C@H]1CC[C@H](c2nc(-c3ccc(CN(C)C)cc3)no2)CC1 ZINC000904624633 1049108495 /nfs/dbraw/zinc/10/84/95/1049108495.db2.gz UORJSQFOSNHTAS-CZIWCDLHSA-N 0 3 313.445 4.482 20 0 DIADHN CCCC(C)(C)Cc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623845 1049108639 /nfs/dbraw/zinc/10/86/39/1049108639.db2.gz PTOIIPAPXJFIOJ-UHFFFAOYSA-N 0 3 301.434 4.167 20 0 DIADHN CCC(CC)[C@@H](C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904624715 1049108762 /nfs/dbraw/zinc/10/87/62/1049108762.db2.gz WRBQIBJUIMQRGF-CYBMUJFWSA-N 0 3 301.434 4.338 20 0 DIADHN C[C@H](CC1CCCC1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904621558 1049108799 /nfs/dbraw/zinc/10/87/99/1049108799.db2.gz ZGNLDXLSPKHTJK-CQSZACIVSA-N 0 3 313.445 4.482 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@H]2N(C)Cc2cn(C3CC3)cn2)cc1 ZINC001204203756 1049109281 /nfs/dbraw/zinc/10/92/81/1049109281.db2.gz ASKXQOWMNGYANT-VQTJNVASSA-N 0 3 309.457 4.295 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C3CCC(C)(C)CC3)n2)cc1 ZINC000904624833 1049109730 /nfs/dbraw/zinc/10/97/30/1049109730.db2.gz YCFOJOTTYPMQQF-UHFFFAOYSA-N 0 3 313.445 4.482 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@H](Cc2ccccc2)[C@@H]1C ZINC001204213453 1049110901 /nfs/dbraw/zinc/11/09/01/1049110901.db2.gz RBHGQSTWKRKNFE-DZGCQCFKSA-N 0 3 317.864 4.079 20 0 DIADHN CCc1ccc(NC(=O)CN[C@]2(C)CCCc3ccccc32)cc1 ZINC001192501017 1049110950 /nfs/dbraw/zinc/11/09/50/1049110950.db2.gz LJRDVWMQZCXZQP-OAQYLSRUSA-N 0 3 322.452 4.029 20 0 DIADHN CCN(CCc1ccc(OC)cc1)Cc1ccc(F)cc1F ZINC001204212669 1049111235 /nfs/dbraw/zinc/11/12/35/1049111235.db2.gz HMYQTOGNWJBDII-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CCCCCCC1 ZINC000856881174 1049111731 /nfs/dbraw/zinc/11/17/31/1049111731.db2.gz BFWXGVRTOGYLOA-MRXNPFEDSA-N 0 3 316.489 4.405 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H](Cc2ccccc2)[C@@H]1C ZINC001204213460 1049112035 /nfs/dbraw/zinc/11/20/35/1049112035.db2.gz RBHGQSTWKRKNFE-ZFWWWQNUSA-N 0 3 317.864 4.079 20 0 DIADHN C[C@H](C(=O)N1CCC[C@H]1c1cccs1)N1CCCCCCC1 ZINC000856884997 1049112712 /nfs/dbraw/zinc/11/27/12/1049112712.db2.gz OBHAVQQXSWDRMA-CVEARBPZSA-N 0 3 320.502 4.066 20 0 DIADHN C[C@H]1C[C@H](NCc2c(Cl)cc(Cl)cc2N(C)C)CS1 ZINC001178950897 1049113123 /nfs/dbraw/zinc/11/31/23/1049113123.db2.gz VFDZYYNIGWSWFV-ONGXEEELSA-N 0 3 319.301 4.043 20 0 DIADHN Cc1cccc(NC2CCN(Cc3cccc4c3OCC4)CC2)c1 ZINC001204239504 1049117770 /nfs/dbraw/zinc/11/77/70/1049117770.db2.gz WGERKBYWVVMBAW-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN CC(C)C[C@H](NCC1=NOCC1)c1cccc(C(F)(F)F)c1 ZINC001192545826 1049118848 /nfs/dbraw/zinc/11/88/48/1049118848.db2.gz IUHFGRQPGCDKKC-HNNXBMFYSA-N 0 3 314.351 4.159 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@@H]2C[C@@]2(F)c2ccccc2)C1 ZINC001178998972 1049121342 /nfs/dbraw/zinc/12/13/42/1049121342.db2.gz VYJTZGGQXDTKCU-UMSONDCASA-N 0 3 324.443 4.049 20 0 DIADHN C[C@@H]1CCN(Cc2ccc3nccnc3c2)[C@@H](c2ccco2)C1 ZINC001204269880 1049126269 /nfs/dbraw/zinc/12/62/69/1049126269.db2.gz FMSMVVQYGVEGTE-RDTXWAMCSA-N 0 3 307.397 4.196 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCC2(CCOC2(C)C)CC1 ZINC001204292699 1049129817 /nfs/dbraw/zinc/12/98/17/1049129817.db2.gz IUWWNINDUXCNGD-UHFFFAOYSA-N 0 3 312.457 4.257 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775543079 1049129866 /nfs/dbraw/zinc/12/98/66/1049129866.db2.gz RHUSJHPJAVOSJT-MSOLQXFVSA-N 0 3 323.358 4.124 20 0 DIADHN CC[C@H](NCCOc1cccc(OC)c1)c1ccc(F)cc1F ZINC001192689639 1049130392 /nfs/dbraw/zinc/13/03/92/1049130392.db2.gz KHHJJMHXAOORLM-SFHVURJKSA-N 0 3 321.367 4.093 20 0 DIADHN C[C@H]1SCC[C@@H]1NCc1c(Cl)cc(Cl)cc1N(C)C ZINC001179087026 1049130721 /nfs/dbraw/zinc/13/07/21/1049130721.db2.gz XXCAHCLFHQKPEO-RNCFNFMXSA-N 0 3 319.301 4.043 20 0 DIADHN Cc1[nH]c2ccccc2c1CN1CCN(c2cccc(C)c2)CC1 ZINC001204291938 1049131056 /nfs/dbraw/zinc/13/10/56/1049131056.db2.gz UMELVTBRDCQZCI-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC2(CCO2)CC1 ZINC001204295040 1049131829 /nfs/dbraw/zinc/13/18/29/1049131829.db2.gz ZNNPKPLMFFVDNV-UHFFFAOYSA-N 0 3 317.473 4.401 20 0 DIADHN Fc1ccccc1-c1n[nH]cc1CNCc1ccc2occc2c1 ZINC001179106356 1049132000 /nfs/dbraw/zinc/13/20/00/1049132000.db2.gz QVYZQBRFLHYBQG-UHFFFAOYSA-N 0 3 321.355 4.252 20 0 DIADHN COC(=O)c1csc(CN[C@H](CC(C)C)c2ccccc2)c1 ZINC001192662114 1049132475 /nfs/dbraw/zinc/13/24/75/1049132475.db2.gz JMSDFTIBDAHJDK-QGZVFWFLSA-N 0 3 317.454 4.412 20 0 DIADHN Cc1nc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ccc1F ZINC001250487983 1049132807 /nfs/dbraw/zinc/13/28/07/1049132807.db2.gz LIBVTHRLPQPGQZ-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1cncc(Br)c1C ZINC001204299020 1049133913 /nfs/dbraw/zinc/13/39/13/1049133913.db2.gz MKWNTAHDHZJGNV-CQSZACIVSA-N 0 3 311.267 4.307 20 0 DIADHN Fc1ccc(C2(NCCSC(F)(F)F)CCC2)c(F)c1 ZINC001192707796 1049133979 /nfs/dbraw/zinc/13/39/79/1049133979.db2.gz BFQHYLZTJMOSDH-UHFFFAOYSA-N 0 3 311.319 4.187 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775543814 1049134453 /nfs/dbraw/zinc/13/44/53/1049134453.db2.gz YQIMLXYRFRGMTE-ZWKOTPCHSA-N 0 3 305.368 4.129 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@]2(CCCO2)C1 ZINC001204298970 1049134804 /nfs/dbraw/zinc/13/48/04/1049134804.db2.gz YUSLTTJEANSPQE-FQEVSTJZSA-N 0 3 317.473 4.401 20 0 DIADHN Cc1ccc(N2CC[C@H](N[C@@H](C)c3cc(C)cc(C)c3)C2=O)cc1 ZINC001192780270 1049135656 /nfs/dbraw/zinc/13/56/56/1049135656.db2.gz BSXZICNUHTYARU-PXNSSMCTSA-N 0 3 322.452 4.068 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2ccc(F)c(F)c2F)cc1 ZINC001179169236 1049140251 /nfs/dbraw/zinc/14/02/51/1049140251.db2.gz UQGVUQDCNLPDKN-GOEBONIOSA-N 0 3 321.342 4.148 20 0 DIADHN Fc1ccc(CN[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)c(F)c1 ZINC001179205100 1049141186 /nfs/dbraw/zinc/14/11/86/1049141186.db2.gz JJSARPAUUYXRDC-HYVNUMGLSA-N 0 3 305.755 4.046 20 0 DIADHN CC(C)(C)C(F)(F)CN[C@H]1CN(Cc2ccccc2)C(C)(C)C1 ZINC001179221906 1049142756 /nfs/dbraw/zinc/14/27/56/1049142756.db2.gz BHBSBMXHXBRVAQ-MRXNPFEDSA-N 0 3 324.459 4.311 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccccc2CC(C)C)c1 ZINC001179222526 1049142877 /nfs/dbraw/zinc/14/28/77/1049142877.db2.gz JDVHNCNHELYVPY-UHFFFAOYSA-N 0 3 310.441 4.133 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2cccc(C)c2C)cc1C ZINC001192835743 1049142979 /nfs/dbraw/zinc/14/29/79/1049142979.db2.gz ZRKZJZQFQYLUEZ-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN COc1cccc([C@@H](CNCc2ccc(C)cc2Cl)OC)c1 ZINC001179222487 1049142995 /nfs/dbraw/zinc/14/29/95/1049142995.db2.gz IOMNSFGSEBGRMD-GOSISDBHSA-N 0 3 319.832 4.134 20 0 DIADHN CCO[C@@]1(C)C[C@H]1NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC001179194707 1049144278 /nfs/dbraw/zinc/14/42/78/1049144278.db2.gz WIQOKFCNIOKVSV-OLZOCXBDSA-N 0 3 307.743 4.016 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cccc(-c3ccccn3)c1)CC2 ZINC001179193106 1049144467 /nfs/dbraw/zinc/14/44/67/1049144467.db2.gz DNDCFJNNXMSXSJ-NRFANRHFSA-N 0 3 316.404 4.231 20 0 DIADHN FC[C@@H]1CCCN(Cc2ccccc2OCc2ccccc2)C1 ZINC001204332055 1049144429 /nfs/dbraw/zinc/14/44/29/1049144429.db2.gz UGKCKZLOBUPHEK-SFHVURJKSA-N 0 3 313.416 4.447 20 0 DIADHN F[C@H]1C[C@@H]2C[C@H]1CN2Cc1ccccc1OCc1ccccc1 ZINC001204335700 1049144550 /nfs/dbraw/zinc/14/45/50/1049144550.db2.gz NJSAQOYHPOOYPR-FHWLQOOXSA-N 0 3 311.400 4.198 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1ccc(N(C)C(C)C)nc1 ZINC001179238677 1049145873 /nfs/dbraw/zinc/14/58/73/1049145873.db2.gz WHAQIBJGNYBCKH-FQEVSTJZSA-N 0 3 323.484 4.402 20 0 DIADHN Cc1ccc(CN2CC3(CC(OCc4ccccc4)C3)C2)cc1C ZINC001204354251 1049146697 /nfs/dbraw/zinc/14/66/97/1049146697.db2.gz AWAZHGWKGNAZEV-UHFFFAOYSA-N 0 3 321.464 4.485 20 0 DIADHN C[C@H]1Cc2cc(CN3Cc4ccccc4C(C)(C)C3)ccc2O1 ZINC001204350302 1049146960 /nfs/dbraw/zinc/14/69/60/1049146960.db2.gz YYKJJJYAGCLTRS-HNNXBMFYSA-N 0 3 307.437 4.303 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)CC3CCC3)cs2)cc1 ZINC001179285239 1049150885 /nfs/dbraw/zinc/15/08/85/1049150885.db2.gz IKCXRIQRHDUPIA-ZDUSSCGKSA-N 0 3 316.470 4.487 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1ccnc(F)c1 ZINC001179285993 1049150909 /nfs/dbraw/zinc/15/09/09/1049150909.db2.gz VXWJYBPQWNDCQJ-XYOKQWHBSA-N 0 3 322.330 4.015 20 0 DIADHN Cc1cc(CNCc2ccnc(-c3ccccc3)c2)ccc1F ZINC001179304204 1049151449 /nfs/dbraw/zinc/15/14/49/1049151449.db2.gz IABUMZBEZOYHTH-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CCOc1ccc(CN(CC)Cc2cccc(F)c2)cc1OC ZINC001204361678 1049151921 /nfs/dbraw/zinc/15/19/21/1049151921.db2.gz IIEYTGRAFCGKDE-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN Cc1cccc([C@@H](NCc2cnn(CC3CCC3)c2)C(C)C)c1 ZINC001179325225 1049156280 /nfs/dbraw/zinc/15/62/80/1049156280.db2.gz PMWSCCBICOVBMD-FQEVSTJZSA-N 0 3 311.473 4.479 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H]3CCc4cc(Cl)ccc43)ccc2O1 ZINC001179339180 1049157322 /nfs/dbraw/zinc/15/73/22/1049157322.db2.gz XYJNYXLYYDKGHQ-SGTLLEGYSA-N 0 3 313.828 4.441 20 0 DIADHN CC(=O)n1cc(CN(Cc2ccccc2)C2CC2)c2ccccc21 ZINC001204409886 1049157696 /nfs/dbraw/zinc/15/76/96/1049157696.db2.gz IMBKPCYFPAKPBX-UHFFFAOYSA-N 0 3 318.420 4.466 20 0 DIADHN CCCn1cncc1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC001179344039 1049159204 /nfs/dbraw/zinc/15/92/04/1049159204.db2.gz YPSMSVNQAQGQCL-KRWDZBQOSA-N 0 3 303.837 4.114 20 0 DIADHN FC1CC2(C1)CCN(Cc1ccccc1OC(F)(F)F)CC2 ZINC001204425128 1049159057 /nfs/dbraw/zinc/15/90/57/1049159057.db2.gz NUQIEFWZKXTSNO-UHFFFAOYSA-N 0 3 317.326 4.299 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001193003200 1049160867 /nfs/dbraw/zinc/16/08/67/1049160867.db2.gz PNCMPEUMUYBIBK-FZKQIMNGSA-N 0 3 321.823 4.076 20 0 DIADHN CC(C)O[C@H](CN1CCc2cccc(F)c2C1)c1ccccc1 ZINC001192965831 1049161754 /nfs/dbraw/zinc/16/17/54/1049161754.db2.gz SVJBKFMZFBPVJJ-HXUWFJFHSA-N 0 3 313.416 4.350 20 0 DIADHN Fc1cc(-c2ccc([C@@H]3CNCCO3)cc2)ccc1C(F)F ZINC000904844591 1049167112 /nfs/dbraw/zinc/16/71/12/1049167112.db2.gz RSSNMJUOMQYHAL-INIZCTEOSA-N 0 3 307.315 4.091 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@@H]1CCC(C)(C)CO1 ZINC001179453672 1049167711 /nfs/dbraw/zinc/16/77/11/1049167711.db2.gz MXYNEOUTFCOVEG-HNNXBMFYSA-N 0 3 311.853 4.034 20 0 DIADHN CN1CCN(CC/C=C/c2ccccc2)[C@H](c2ccccc2)C1 ZINC001193021602 1049167841 /nfs/dbraw/zinc/16/78/41/1049167841.db2.gz KJGGSCZAMXISQW-HSRJRILOSA-N 0 3 306.453 4.079 20 0 DIADHN CCC[C@@H](C)CCNCc1ncc(Br)cc1Cl ZINC001179469038 1049168404 /nfs/dbraw/zinc/16/84/04/1049168404.db2.gz YWZGADLLGVRZJK-SNVBAGLBSA-N 0 3 319.674 4.413 20 0 DIADHN CCCC[C@H](C)NC(=O)Nc1ccccc1CN1CCCCC1 ZINC001193062433 1049169637 /nfs/dbraw/zinc/16/96/37/1049169637.db2.gz NGZGWNMEZNUGOU-INIZCTEOSA-N 0 3 317.477 4.373 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccc3c(c2)CC(=O)N3C)c1 ZINC000775568163 1049171484 /nfs/dbraw/zinc/17/14/84/1049171484.db2.gz MPGIMQSGJOROCY-HNNXBMFYSA-N 0 3 322.452 4.180 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3ccc(F)cn3)C2)cc1 ZINC001193070292 1049171703 /nfs/dbraw/zinc/17/17/03/1049171703.db2.gz POIIIETYPALLFY-KRWDZBQOSA-N 0 3 312.432 4.409 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NC[C@@H]2CCCCO2)cc1 ZINC001193070475 1049171757 /nfs/dbraw/zinc/17/17/57/1049171757.db2.gz QXPZVKRRNRGHGC-LSDHHAIUSA-N 0 3 301.352 4.147 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCOCC2CC2)cc1 ZINC001193068334 1049171765 /nfs/dbraw/zinc/17/17/65/1049171765.db2.gz JSCOYYQXAJPIAS-OAHLLOKOSA-N 0 3 301.352 4.005 20 0 DIADHN Fc1ccc(CNC2CC(Nc3ccccc3)C2)c(Cl)c1 ZINC001179519944 1049172534 /nfs/dbraw/zinc/17/25/34/1049172534.db2.gz FHBXEUDVGIIBJH-UHFFFAOYSA-N 0 3 304.796 4.212 20 0 DIADHN Cc1sc(CN2CCC[C@H](C)CC2)cc1Br ZINC001204498303 1049177156 /nfs/dbraw/zinc/17/71/56/1049177156.db2.gz YRIUPDVBTTZEGL-JTQLQIEISA-N 0 3 302.281 4.441 20 0 DIADHN CC(C)OC1(CNCc2ccc(Cl)cc2Cl)CCC1 ZINC001179512282 1049178035 /nfs/dbraw/zinc/17/80/35/1049178035.db2.gz RCXAZOUWBJYZMP-UHFFFAOYSA-N 0 3 302.245 4.431 20 0 DIADHN COc1ccc2cc(CN3CC[C@@H](C(F)(F)F)C3)ccc2c1 ZINC001204514186 1049178434 /nfs/dbraw/zinc/17/84/34/1049178434.db2.gz BAQMLLXUIPVQAG-OAHLLOKOSA-N 0 3 309.331 4.233 20 0 DIADHN CCN(C(=O)CNCc1csc2ccccc12)c1ccccc1 ZINC001179598803 1049182446 /nfs/dbraw/zinc/18/24/46/1049182446.db2.gz SDNZYWKFQORXDL-UHFFFAOYSA-N 0 3 324.449 4.044 20 0 DIADHN Cc1cc(C)cc(CN2CCC3(Cc4ccccc4O3)CC2)c1 ZINC001204524785 1049182401 /nfs/dbraw/zinc/18/24/01/1049182401.db2.gz QQVCHMCCGGMAHJ-UHFFFAOYSA-N 0 3 307.437 4.273 20 0 DIADHN CN(C)c1ccnc(CNCc2ccc(C3CC3)c(C3CC3)c2)c1 ZINC001179597465 1049182842 /nfs/dbraw/zinc/18/28/42/1049182842.db2.gz BTHAYIGYRZOKEE-UHFFFAOYSA-N 0 3 321.468 4.192 20 0 DIADHN Cc1cc(CN2CCC[C@H](Sc3ccncc3)C2)oc1C ZINC001204531894 1049183208 /nfs/dbraw/zinc/18/32/08/1049183208.db2.gz BLRVOKRBQWHIJL-KRWDZBQOSA-N 0 3 302.443 4.048 20 0 DIADHN OC[C@H](CCc1ccccc1)NCc1cc(Cl)ccc1Cl ZINC001179616334 1049185609 /nfs/dbraw/zinc/18/56/09/1049185609.db2.gz PRJYZLBGONSYKW-INIZCTEOSA-N 0 3 324.251 4.077 20 0 DIADHN CCC[C@H]1CCCN(Cc2nc3ccc(OC)cc3s2)C1 ZINC001204550859 1049187490 /nfs/dbraw/zinc/18/74/90/1049187490.db2.gz JGHALDLBJQKXBS-ZDUSSCGKSA-N 0 3 304.459 4.317 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H]1CCc2ccc(Cl)nc21 ZINC000857911786 1049211048 /nfs/dbraw/zinc/21/10/48/1049211048.db2.gz SGPYSAHIRUUIFE-WDEREUQCSA-N 0 3 306.759 4.289 20 0 DIADHN COc1ccc(CN2CC[C@H]2Cc2ccccc2)cc1OC1CC1 ZINC001204579054 1049192405 /nfs/dbraw/zinc/19/24/05/1049192405.db2.gz FHLNZVAAWOHKHR-SFHVURJKSA-N 0 3 323.436 4.053 20 0 DIADHN Cc1ccccc1C1CCN(CCSC(F)(F)F)CC1 ZINC001193225227 1049192488 /nfs/dbraw/zinc/19/24/88/1049192488.db2.gz PMJWPHJFONEMLH-UHFFFAOYSA-N 0 3 303.393 4.427 20 0 DIADHN O[C@H]1CCCC[C@H]1CCNCc1ccc(Cl)cc1Cl ZINC001179690218 1049192798 /nfs/dbraw/zinc/19/27/98/1049192798.db2.gz CFVNGVMKXWKNQG-NHYWBVRUSA-N 0 3 302.245 4.024 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@@H]1CCCC2(CCC2)O1 ZINC001179696784 1049194233 /nfs/dbraw/zinc/19/42/33/1049194233.db2.gz ZTFXXYANLFFPRU-INIZCTEOSA-N 0 3 323.864 4.320 20 0 DIADHN c1ncc(-c2ccc(CNCc3cncc4ccccc43)cc2)o1 ZINC001179734938 1049200278 /nfs/dbraw/zinc/20/02/78/1049200278.db2.gz WNGJJONJRWZUHH-UHFFFAOYSA-N 0 3 315.376 4.180 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)C[C@H]1COC(C)(C)O1 ZINC001204614766 1049200408 /nfs/dbraw/zinc/20/04/08/1049200408.db2.gz CSOIPHKXVUMMNA-JQWIXIFHSA-N 0 3 318.244 4.138 20 0 DIADHN C[C@@H](Cc1cccnc1)NCc1ccc(Oc2ccccc2)o1 ZINC001179771577 1049201848 /nfs/dbraw/zinc/20/18/48/1049201848.db2.gz HKAUWNVULZXNIX-HNNXBMFYSA-N 0 3 308.381 4.188 20 0 DIADHN Cc1c(Cl)ccc(CN[C@@H]2Cc3ccccc3[C@H]2O)c1Cl ZINC001179768572 1049202243 /nfs/dbraw/zinc/20/22/43/1049202243.db2.gz RTWXVZMSRIQNSP-NVXWUHKLSA-N 0 3 322.235 4.050 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1COC(C)(C)C1 ZINC001179747311 1049203813 /nfs/dbraw/zinc/20/38/13/1049203813.db2.gz KBDJZXIISHDRTO-SFHVURJKSA-N 0 3 311.425 4.019 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2COC(C)(C)C2)o1 ZINC001179747301 1049204045 /nfs/dbraw/zinc/20/40/45/1049204045.db2.gz JPIXMUWPOCCSKB-AWEZNQCLSA-N 0 3 303.377 4.051 20 0 DIADHN CCC[C@H](NCC(=O)Nc1ccc(F)c(F)c1)c1ccccc1 ZINC000485209754 1049206011 /nfs/dbraw/zinc/20/60/11/1049206011.db2.gz XYPINMTVYWUVPX-KRWDZBQOSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1ccc(OC[C@@H](C)N[C@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857903275 1049207446 /nfs/dbraw/zinc/20/74/46/1049207446.db2.gz CVVDEKNUSOZBPO-CJNGLKHVSA-N 0 3 316.832 4.088 20 0 DIADHN CNc1ccccc1CN1CCC(=Cc2cccc(OC)c2)CC1 ZINC001204640430 1049208863 /nfs/dbraw/zinc/20/88/63/1049208863.db2.gz KJRHVNUIDFCVII-UHFFFAOYSA-N 0 3 322.452 4.416 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001193413470 1049209807 /nfs/dbraw/zinc/20/98/07/1049209807.db2.gz NVGVMKJWZZJUAZ-GUYCJALGSA-N 0 3 319.399 4.161 20 0 DIADHN C[C@@H](CN[C@H]1CCc2ccc(Cl)nc21)Sc1ccccc1 ZINC000857907481 1049209737 /nfs/dbraw/zinc/20/97/37/1049209737.db2.gz PWOXHEYEJYPGTE-WFASDCNBSA-N 0 3 318.873 4.493 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2c(F)cccc2F)n1 ZINC001193412585 1049210353 /nfs/dbraw/zinc/21/03/53/1049210353.db2.gz FREYSXKFUWHEEH-DYVFJYSZSA-N 0 3 319.399 4.161 20 0 DIADHN Brc1sccc1CNCCCc1ccsc1 ZINC001179816687 1049210739 /nfs/dbraw/zinc/21/07/39/1049210739.db2.gz MVAOZAHBPNSQFE-UHFFFAOYSA-N 0 3 316.289 4.295 20 0 DIADHN COc1cccc(C2CCN(CCSC(F)(F)F)CC2)c1 ZINC001193430325 1049212224 /nfs/dbraw/zinc/21/22/24/1049212224.db2.gz HDFQBOLGJSRTNF-UHFFFAOYSA-N 0 3 319.392 4.128 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1)N1CCCC2(CCCCC2)CC1 ZINC001193430986 1049212365 /nfs/dbraw/zinc/21/23/65/1049212365.db2.gz WNALYWWMSZFUNB-QGZVFWFLSA-N 0 3 314.473 4.450 20 0 DIADHN C[C@@H]1Cc2cc(CN(Cc3ccc(F)cc3)C3CC3)ccc2O1 ZINC001179845266 1049212612 /nfs/dbraw/zinc/21/26/12/1049212612.db2.gz NJGDNJIMTMHRHW-CQSZACIVSA-N 0 3 311.400 4.314 20 0 DIADHN c1c(CN2CCCC3(C2)CCCCCC3)[nH]nc1-c1ccccn1 ZINC001179854739 1049215311 /nfs/dbraw/zinc/21/53/11/1049215311.db2.gz JOFASJQPQHROCC-UHFFFAOYSA-N 0 3 324.472 4.408 20 0 DIADHN c1ccc(SC[C@H]2CCN(Cc3ccc4c(n3)CCC4)C2)cc1 ZINC001179855000 1049217261 /nfs/dbraw/zinc/21/72/61/1049217261.db2.gz MAKJIPKQQCNDST-INIZCTEOSA-N 0 3 324.493 4.185 20 0 DIADHN Cc1cc(CNCc2sc3c(cccc3Cl)c2C)n(C)n1 ZINC000568888290 1049219183 /nfs/dbraw/zinc/21/91/83/1049219183.db2.gz IDMCPWPNBLBZCQ-UHFFFAOYSA-N 0 3 319.861 4.195 20 0 DIADHN CC(C)c1csc(C(=O)NCCP(C(C)C)C(C)C)n1 ZINC001193520776 1049221542 /nfs/dbraw/zinc/22/15/42/1049221542.db2.gz SOAVAQNXQWWKBA-UHFFFAOYSA-N 0 3 314.435 4.295 20 0 DIADHN CC1(C)CN(Cc2ccc(Cl)c3ccccc23)CC[C@H]1O ZINC001193516599 1049221842 /nfs/dbraw/zinc/22/18/42/1049221842.db2.gz FFJKTWIHDSEPBI-QGZVFWFLSA-N 0 3 303.833 4.086 20 0 DIADHN CO[C@H](CN[C@@H](CC1CCC1)c1ccccc1)C(F)(F)F ZINC000777006863 1049225300 /nfs/dbraw/zinc/22/53/00/1049225300.db2.gz KAMFYURVVDTSNL-LSDHHAIUSA-N 0 3 301.352 4.085 20 0 DIADHN C[C@H]1CN(Cc2ccnn2C2CCC2)CC[C@H]1c1ccccc1 ZINC001179877717 1049226681 /nfs/dbraw/zinc/22/66/81/1049226681.db2.gz IHUAHJAHGFNEME-OXJNMPFZSA-N 0 3 309.457 4.234 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3ccccc3)s2)[C@H]1C ZINC001193593172 1049229892 /nfs/dbraw/zinc/22/98/92/1049229892.db2.gz JTQMVBOSDWCDLU-IPYPFGDCSA-N 0 3 301.459 4.119 20 0 DIADHN CC(C)(C)[NH2+]Cc1ccc(Nc2cc([O-])c(F)cc2F)cc1 ZINC001204699706 1049232096 /nfs/dbraw/zinc/23/20/96/1049232096.db2.gz HBDFEXPQCAVWTJ-UHFFFAOYSA-N 0 3 306.356 4.302 20 0 DIADHN COc1cccc(CN(Cc2ccnc(F)c2C)CC(C)C)c1 ZINC001204697209 1049232782 /nfs/dbraw/zinc/23/27/82/1049232782.db2.gz MLBUQXBCUHCUQN-UHFFFAOYSA-N 0 3 316.420 4.196 20 0 DIADHN C[C@@H]1CCN(Cc2nc(N)c3ccccc3n2)CC12CCCCC2 ZINC001193635009 1049234521 /nfs/dbraw/zinc/23/45/21/1049234521.db2.gz CWFHCUNXQNFAGQ-OAHLLOKOSA-N 0 3 324.472 4.004 20 0 DIADHN Cc1cccc([C@H](NCc2cc(C(F)(F)F)n[nH]2)C(C)C)c1 ZINC000858287313 1049235200 /nfs/dbraw/zinc/23/52/00/1049235200.db2.gz SIPRTZSYDDNQLA-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN Cc1cc(CN[C@H]2CCc3cc(Cl)ccc32)cnc1Cl ZINC000858272975 1049235846 /nfs/dbraw/zinc/23/58/46/1049235846.db2.gz QDOSFTANNJJJNX-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccnn2CC(C)C)c1C ZINC001179906242 1049237181 /nfs/dbraw/zinc/23/71/81/1049237181.db2.gz VDOICPPQTTWTBB-HXUWFJFHSA-N 0 3 311.473 4.493 20 0 DIADHN CC[C@@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)cc2C)[C@@H]1C ZINC001193605786 1049240049 /nfs/dbraw/zinc/24/00/49/1049240049.db2.gz KLSHBNSSTCNEEE-MGPQQGTHSA-N 0 3 308.853 4.096 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CCCC3(COC3)C2)o1 ZINC001204725936 1049241454 /nfs/dbraw/zinc/24/14/54/1049241454.db2.gz UCSSUYUZGBXGKC-UHFFFAOYSA-N 0 3 317.816 4.212 20 0 DIADHN CNc1ccccc1CN1CCN(c2ccc(C)c(C)c2)C[C@@H]1C ZINC001204729266 1049242952 /nfs/dbraw/zinc/24/29/52/1049242952.db2.gz KCWQHWLGVVYARR-SFHVURJKSA-N 0 3 323.484 4.056 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(Cl)cc32)cnc1Cl ZINC000858321276 1049243074 /nfs/dbraw/zinc/24/30/74/1049243074.db2.gz XUNCVHWLVKHMAB-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN Cc1ccc2sc(CN[C@@H]3CCCO[C@H]3CC(C)C)nc2c1 ZINC001193693674 1049245656 /nfs/dbraw/zinc/24/56/56/1049245656.db2.gz GUNDXBHKNQWNKQ-ZBFHGGJFSA-N 0 3 318.486 4.288 20 0 DIADHN Clc1ccccc1-c1ccc(CN2CCC[C@]3(CCO3)C2)o1 ZINC001204738642 1049245648 /nfs/dbraw/zinc/24/56/48/1049245648.db2.gz LJEZVCIWQRQJHP-SFHVURJKSA-N 0 3 317.816 4.355 20 0 DIADHN COc1cccc(-c2csc(CN(C)CC3CCCC3)n2)c1 ZINC001179988784 1049246477 /nfs/dbraw/zinc/24/64/77/1049246477.db2.gz HGKOAAAJFIJGRG-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN CCCc1cccc(CN(C)Cc2cc(OC)cc(OC)c2)c1 ZINC001179993541 1049246796 /nfs/dbraw/zinc/24/67/96/1049246796.db2.gz MHUWUZMFBAGILC-UHFFFAOYSA-N 0 3 313.441 4.288 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2ccc(-n3ccnc3)nc2)c1 ZINC000858350457 1049247111 /nfs/dbraw/zinc/24/71/11/1049247111.db2.gz USQVVJLRQLMIQE-MRXNPFEDSA-N 0 3 320.440 4.242 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204746285 1049250823 /nfs/dbraw/zinc/25/08/23/1049250823.db2.gz WCCNLTBCOUJZGA-LBPRGKRZSA-N 0 3 305.805 4.067 20 0 DIADHN CCC[C@H](O)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC001193703651 1049251669 /nfs/dbraw/zinc/25/16/69/1049251669.db2.gz KRPVROGNBPPEAY-JKSUJKDBSA-N 0 3 315.379 4.393 20 0 DIADHN CC(C)Sc1ccc([C@H](C)Nc2ccnc(CO)c2)cc1 ZINC000858391462 1049252226 /nfs/dbraw/zinc/25/22/26/1049252226.db2.gz IBOMIMKIYYDGTF-ZDUSSCGKSA-N 0 3 302.443 4.248 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)Nc2ccnc(CO)c2)cc1 ZINC000858391461 1049252335 /nfs/dbraw/zinc/25/23/35/1049252335.db2.gz IBOMIMKIYYDGTF-CYBMUJFWSA-N 0 3 302.443 4.248 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2nc(Cl)cs2)CC1 ZINC000858416050 1049254699 /nfs/dbraw/zinc/25/46/99/1049254699.db2.gz VSCYEUVUKOXFAP-LBPRGKRZSA-N 0 3 321.877 4.434 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12 ZINC000858457667 1049259898 /nfs/dbraw/zinc/25/98/98/1049259898.db2.gz LJLDQBGDKOYSEI-QGSMBUMASA-N 0 3 316.832 4.188 20 0 DIADHN CC[C@H](N[C@H](C)c1ccsc1)C(=O)N(C)c1ccc(C)cc1 ZINC001193798312 1049266019 /nfs/dbraw/zinc/26/60/19/1049266019.db2.gz SEFCKIRTUCRDPO-PBHICJAKSA-N 0 3 316.470 4.149 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCc3c(F)cc(F)cc3C2)cc1 ZINC001204795839 1049267312 /nfs/dbraw/zinc/26/73/12/1049267312.db2.gz CKANQZBMRBDIQG-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2ccc(OC(F)F)cc2F)C1 ZINC001180071663 1049271638 /nfs/dbraw/zinc/27/16/38/1049271638.db2.gz FHCWPGIYSQNHPG-WCQYABFASA-N 0 3 319.392 4.143 20 0 DIADHN CCN1CCC[C@@H](OCC(=O)c2ccc(-c3ccccc3)cc2)C1 ZINC001204815286 1049273787 /nfs/dbraw/zinc/27/37/87/1049273787.db2.gz IJXIAZCROYJXJM-HXUWFJFHSA-N 0 3 323.436 4.037 20 0 DIADHN C[C@@H](NC[C@H](O)Cc1ccccc1)c1ccc(Cl)cc1Cl ZINC001193859312 1049274867 /nfs/dbraw/zinc/27/48/67/1049274867.db2.gz JPLPYMGYYBUQCF-IUODEOHRSA-N 0 3 324.251 4.248 20 0 DIADHN C[C@H](NCC1CC2(CCC2)C1)c1nc(C(F)(F)F)cs1 ZINC001193859993 1049277107 /nfs/dbraw/zinc/27/71/07/1049277107.db2.gz PLICRLPSWVITNN-VIFPVBQESA-N 0 3 304.381 4.393 20 0 DIADHN CCN(Cc1cccc2c1NCCC2)[C@@H](C)c1cccc(O)c1 ZINC001204825914 1049278137 /nfs/dbraw/zinc/27/81/37/1049278137.db2.gz HOOCRZZCGCKVPN-HNNXBMFYSA-N 0 3 310.441 4.333 20 0 DIADHN CCN(Cc1cccc2c1NCCC2)[C@H](C)c1cccc(O)c1 ZINC001204825921 1049278423 /nfs/dbraw/zinc/27/84/23/1049278423.db2.gz HOOCRZZCGCKVPN-OAHLLOKOSA-N 0 3 310.441 4.333 20 0 DIADHN CCN(Cc1cc(F)cc(OC)c1)[C@@H](C)c1cccc(OC)c1 ZINC001204826949 1049278649 /nfs/dbraw/zinc/27/86/49/1049278649.db2.gz OSQNNOMFBHADKF-AWEZNQCLSA-N 0 3 317.404 4.426 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3cncc(Cl)c3)C2)cc1 ZINC001193933585 1049278782 /nfs/dbraw/zinc/27/87/82/1049278782.db2.gz WALLSEPRDSUKNT-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2ccns2)cc1 ZINC000858577459 1049280190 /nfs/dbraw/zinc/28/01/90/1049280190.db2.gz QCJCLEAENYIXOM-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN COC(=O)c1ccc2c(c1)CN(C[C@@H]1CCCC(C)(C)C1)C2 ZINC001204866422 1049287836 /nfs/dbraw/zinc/28/78/36/1049287836.db2.gz MWUIIOCGUTWEHF-CQSZACIVSA-N 0 3 301.430 4.005 20 0 DIADHN COC(=O)c1ccc2c(c1)CN(C[C@H]1CCCC(C)(C)C1)C2 ZINC001204866421 1049287877 /nfs/dbraw/zinc/28/78/77/1049287877.db2.gz MWUIIOCGUTWEHF-AWEZNQCLSA-N 0 3 301.430 4.005 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2cc3c(cccc3C)[nH]2)cc1 ZINC001180115933 1049291604 /nfs/dbraw/zinc/29/16/04/1049291604.db2.gz DOVHCMFHPMPFGZ-GOSISDBHSA-N 0 3 320.436 4.302 20 0 DIADHN Cc1ccc(OC2CCCC2)c(-c2cnc([C@@H]3CCCN3)nc2)c1 ZINC000906159694 1049292333 /nfs/dbraw/zinc/29/23/33/1049292333.db2.gz SFJDYXAVVOFXJG-SFHVURJKSA-N 0 3 323.440 4.198 20 0 DIADHN Cn1c(CN2CCC(Nc3ccccc3)CC2)cc2ccccc21 ZINC001180177995 1049294513 /nfs/dbraw/zinc/29/45/13/1049294513.db2.gz KQQKODCYTQPYTA-UHFFFAOYSA-N 0 3 319.452 4.255 20 0 DIADHN Fc1cc(CN2CC[C@@H](c3ccncc3)C2)ccc1C(F)(F)F ZINC001204879091 1049294780 /nfs/dbraw/zinc/29/47/80/1049294780.db2.gz CQLPKODJHNVPKH-CQSZACIVSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1cc(CN2CC[C@H](c3ccncc3)C2)ccc1C(F)(F)F ZINC001204879090 1049296197 /nfs/dbraw/zinc/29/61/97/1049296197.db2.gz CQLPKODJHNVPKH-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN CC(C)C[C@@]1(CO)CCCN1Cc1ccc(Cl)cc1Cl ZINC001194020594 1049299731 /nfs/dbraw/zinc/29/97/31/1049299731.db2.gz KRANHSPMRKFKOI-MRXNPFEDSA-N 0 3 316.272 4.366 20 0 DIADHN CCOc1ccc(CN(C)CCOc2cccc(C)c2)cc1F ZINC001180157748 1049303408 /nfs/dbraw/zinc/30/34/08/1049303408.db2.gz OIWUNBQFRLWCND-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN CCOc1cc(F)cc(CN(C)CCOc2cccc(C)c2)c1 ZINC001180157302 1049303443 /nfs/dbraw/zinc/30/34/43/1049303443.db2.gz FMCHDRZSXVLPBA-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN CCC1(C)CCN(Cc2cnc(CC(F)(F)F)s2)CC1 ZINC001204907426 1049305950 /nfs/dbraw/zinc/30/59/50/1049305950.db2.gz GRFISEWHOFZPOR-UHFFFAOYSA-N 0 3 306.397 4.260 20 0 DIADHN CC(C)(C)N(Cc1ccc(F)cc1)Cc1cccc2c1OCO2 ZINC001204909252 1049307558 /nfs/dbraw/zinc/30/75/58/1049307558.db2.gz ZOKLGIYNTBWZTF-UHFFFAOYSA-N 0 3 315.388 4.355 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccc(Cl)cc3)C2)cnc1F ZINC001204923124 1049310751 /nfs/dbraw/zinc/31/07/51/1049310751.db2.gz ILCBPMDDMANHBX-HNNXBMFYSA-N 0 3 304.796 4.172 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3nc4ccccc4n3C)C2)c(C)c1 ZINC001194074020 1049316451 /nfs/dbraw/zinc/31/64/51/1049316451.db2.gz ONDOFFYOTNXEOK-KRWDZBQOSA-N 0 3 319.452 4.180 20 0 DIADHN OCc1ccc(CN2CCC[C@@H]2c2cccc(Cl)c2)cc1 ZINC000485709426 1049318466 /nfs/dbraw/zinc/31/84/66/1049318466.db2.gz OTYPMZFIAJKPGW-GOSISDBHSA-N 0 3 301.817 4.169 20 0 DIADHN CCN(Cc1cccc2c1OCC2)[C@H](C)c1cccc(OC)c1 ZINC001180240490 1049319942 /nfs/dbraw/zinc/31/99/42/1049319942.db2.gz ZDJJZQLQQZSZHI-OAHLLOKOSA-N 0 3 311.425 4.213 20 0 DIADHN C[C@@H](NCc1ccc(F)c(F)c1)c1ccc2ncsc2c1 ZINC000485701902 1049321772 /nfs/dbraw/zinc/32/17/72/1049321772.db2.gz MLPGCXDLJWCSAG-SNVBAGLBSA-N 0 3 304.365 4.425 20 0 DIADHN C[C@@H]1CCN(Cc2cncc(Br)c2)[C@@H]2CCCC[C@H]12 ZINC001180271576 1049324126 /nfs/dbraw/zinc/32/41/26/1049324126.db2.gz OBJJVYDXFAVGTB-DAXOMENPSA-N 0 3 323.278 4.245 20 0 DIADHN Fc1cc(-c2ccc3nonc3c2)ccc1CN1CCCCC1 ZINC001204965670 1049324428 /nfs/dbraw/zinc/32/44/28/1049324428.db2.gz DNIDLVRPHNDIGA-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc3ncsc3c2)cc1F ZINC000485711302 1049324577 /nfs/dbraw/zinc/32/45/77/1049324577.db2.gz JGBORPMOIQBVRW-NSHDSACASA-N 0 3 316.401 4.295 20 0 DIADHN Cc1ccc(N2CCN(CCc3ccc(C(C)C)cc3)CC2)cc1 ZINC001180305622 1049326491 /nfs/dbraw/zinc/32/64/91/1049326491.db2.gz MLRJAVGCHJYPTE-UHFFFAOYSA-N 0 3 322.496 4.483 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1nc2cc(C)ccc2s1 ZINC001194093599 1049327115 /nfs/dbraw/zinc/32/71/15/1049327115.db2.gz VRPIYQPMRLYSOO-JKSUJKDBSA-N 0 3 318.486 4.288 20 0 DIADHN CCC1(CC)[C@@H](NCc2nc3cc(C)ccc3s2)C[C@@H]1OC ZINC001194093595 1049327506 /nfs/dbraw/zinc/32/75/06/1049327506.db2.gz VRPIYQPMRLYSOO-HOTGVXAUSA-N 0 3 318.486 4.288 20 0 DIADHN Cc1ccc(CN(CCO)Cc2cccc(CC3CCC3)c2)cc1 ZINC001180310761 1049330222 /nfs/dbraw/zinc/33/02/22/1049330222.db2.gz QULWKZFYUGJTCB-UHFFFAOYSA-N 0 3 323.480 4.332 20 0 DIADHN Fc1ccc(CC2CCN(Cc3ccc4c(n3)CCC4)CC2)cc1 ZINC001180289078 1049336940 /nfs/dbraw/zinc/33/69/40/1049336940.db2.gz RYEATIWOPBSGAU-UHFFFAOYSA-N 0 3 324.443 4.164 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2cnc3c(cnn3C)c2)cc1 ZINC001180296475 1049337187 /nfs/dbraw/zinc/33/71/87/1049337187.db2.gz IQZWUOBLNMMVFX-IBGZPJMESA-N 0 3 320.440 4.004 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000859539093 1049337901 /nfs/dbraw/zinc/33/79/01/1049337901.db2.gz HDARGTHZQMAMAV-CABCVRRESA-N 0 3 318.873 4.358 20 0 DIADHN Clc1cc(CN2CC[C@H]3CC[C@@H](C2)S3)c2ccccc2n1 ZINC000859545202 1049338317 /nfs/dbraw/zinc/33/83/17/1049338317.db2.gz LTCIVNYJMMNSLQ-KGLIPLIRSA-N 0 3 318.873 4.358 20 0 DIADHN CCCCCCOC(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001194188252 1049338833 /nfs/dbraw/zinc/33/88/33/1049338833.db2.gz QXRFNGHGSILMLP-INIZCTEOSA-N 0 3 320.433 4.201 20 0 DIADHN Fc1cccc(CCCN(Cc2ccco2)C[C@H]2CCCO2)c1 ZINC000485801621 1049343788 /nfs/dbraw/zinc/34/37/88/1049343788.db2.gz PRZGRTVOBRHAOI-LJQANCHMSA-N 0 3 317.404 4.033 20 0 DIADHN O=C(Nc1ccccc1CN1CCCCC1)Oc1ccccc1 ZINC001194251935 1049349523 /nfs/dbraw/zinc/34/95/23/1049349523.db2.gz ZHZSEFXRYGYLRC-UHFFFAOYSA-N 0 3 310.397 4.283 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc(-c3cnco3)cc2)c1 ZINC000906519471 1049351409 /nfs/dbraw/zinc/35/14/09/1049351409.db2.gz AEVDGDBBPVYQAS-UHFFFAOYSA-N 0 3 322.408 4.419 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(-c3cnco3)cc2)c(C)n1 ZINC000906526243 1049353611 /nfs/dbraw/zinc/35/36/11/1049353611.db2.gz SYEQHESOMJSSGD-AWEZNQCLSA-N 0 3 307.397 4.204 20 0 DIADHN Cn1cc(CN2CCC[C@H]2c2ccccc2)c(C2CCCC2)n1 ZINC001180367947 1049354091 /nfs/dbraw/zinc/35/40/91/1049354091.db2.gz GSKXPBNFUNVYDY-IBGZPJMESA-N 0 3 309.457 4.415 20 0 DIADHN CC(C)N(C)c1ccc(CN(C)[C@@H]2CCCc3ccccc32)cn1 ZINC001180413363 1049359688 /nfs/dbraw/zinc/35/96/88/1049359688.db2.gz SVQMIPSQBVUVNF-HXUWFJFHSA-N 0 3 323.484 4.436 20 0 DIADHN CN(Cc1ccc2ccccc2c1)C[C@H]1C[C@]1(C)Br ZINC001180413174 1049359917 /nfs/dbraw/zinc/35/99/17/1049359917.db2.gz RIINDOMLAKJWAT-SJORKVTESA-N 0 3 318.258 4.445 20 0 DIADHN CCC1CCC(N(C)Cc2c(OC)cc(F)cc2OC)CC1 ZINC001205070352 1049361563 /nfs/dbraw/zinc/36/15/63/1049361563.db2.gz POBJIKQQUBLKOJ-UHFFFAOYSA-N 0 3 309.425 4.244 20 0 DIADHN COc1cc(C)c(CN(C)[C@@H]2CCCc3ccccc32)c(C)n1 ZINC001180422334 1049362545 /nfs/dbraw/zinc/36/25/45/1049362545.db2.gz IKGFOLZUWCMMOP-LJQANCHMSA-N 0 3 310.441 4.216 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(C)c(C)c2)cc1OC ZINC001180488546 1049365413 /nfs/dbraw/zinc/36/54/13/1049365413.db2.gz QATWEPFISAUJLT-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@H]4CCCC[C@H]4C3)sc2C1 ZINC001205083137 1049368522 /nfs/dbraw/zinc/36/85/22/1049368522.db2.gz UMTSZWOGGZMBEI-KFWWJZLASA-N 0 3 304.503 4.280 20 0 DIADHN CCC[C@@H](NCc1sncc1Br)C(C)(C)C ZINC001194426079 1049371952 /nfs/dbraw/zinc/37/19/52/1049371952.db2.gz IWQNFCKEHSABGU-LLVKDONJSA-N 0 3 305.285 4.210 20 0 DIADHN CN(Cc1cccc2[nH]c(=O)oc21)[C@H]1CCCc2ccccc21 ZINC001205093557 1049374519 /nfs/dbraw/zinc/37/45/19/1049374519.db2.gz INDABEGBJQJSES-KRWDZBQOSA-N 0 3 308.381 4.043 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc(C(F)(F)F)o1)C(C)C ZINC001194427451 1049377405 /nfs/dbraw/zinc/37/74/05/1049377405.db2.gz NEVYMAJATMANMY-UHFFFAOYSA-N 0 3 323.295 4.327 20 0 DIADHN CCN(CCO)Cc1ccccc1Oc1cc(Cl)ccc1C ZINC001205101978 1049377991 /nfs/dbraw/zinc/37/79/91/1049377991.db2.gz ZJRRMTSZAOVMHU-UHFFFAOYSA-N 0 3 319.832 4.255 20 0 DIADHN CC(C)COc1cccc(CN2CCSC[C@H]2C2CC2)c1 ZINC001194518903 1049380151 /nfs/dbraw/zinc/38/01/51/1049380151.db2.gz DBVADXMQLCLWAW-SFHVURJKSA-N 0 3 305.487 4.049 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCO[C@H](C(C)C)C2)cs1 ZINC000486049768 1049381200 /nfs/dbraw/zinc/38/12/00/1049381200.db2.gz FQNRRKFEEULUMI-LZWOXQAQSA-N 0 3 310.507 4.368 20 0 DIADHN CC[C@H]1CCC[C@H](CN2CCN(CC(F)(F)F)CC2(C)C)C1 ZINC001180612683 1049383353 /nfs/dbraw/zinc/38/33/53/1049383353.db2.gz KQBFLLWMLVPRAB-GJZGRUSLSA-N 0 3 320.443 4.161 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](N[C@H](C)CCc1ccco1)CCC2 ZINC001180613047 1049383575 /nfs/dbraw/zinc/38/35/75/1049383575.db2.gz MPCDKZQODAHLQE-PBHICJAKSA-N 0 3 301.434 4.045 20 0 DIADHN CCN(CCC1CC1)Cc1sc(C)cc1Br ZINC001180613825 1049384548 /nfs/dbraw/zinc/38/45/48/1049384548.db2.gz VDVUNOBAGXHLDJ-UHFFFAOYSA-N 0 3 302.281 4.441 20 0 DIADHN COC1(CN[C@@H]2CCCc3cn(C(C)C)nc32)CCCCCC1 ZINC001180613730 1049384598 /nfs/dbraw/zinc/38/45/98/1049384598.db2.gz TWJNOHDZVLYREI-QGZVFWFLSA-N 0 3 319.493 4.170 20 0 DIADHN Cc1cc(C)cc(CN(C)[C@H](CO)c2ccc(Cl)cc2)c1 ZINC001194562324 1049392518 /nfs/dbraw/zinc/39/25/18/1049392518.db2.gz KRKBFULTXJLPIM-GOSISDBHSA-N 0 3 303.833 4.122 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nc(-c2ccccc2)no1 ZINC001180672391 1049392597 /nfs/dbraw/zinc/39/25/97/1049392597.db2.gz HGICHCJWFKDIBK-RDJZCZTQSA-N 0 3 313.445 4.431 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCN(Cc2cccs2)CC1 ZINC001205162660 1049398537 /nfs/dbraw/zinc/39/85/37/1049398537.db2.gz RAWSUXOQAXARGD-KRWDZBQOSA-N 0 3 320.546 4.472 20 0 DIADHN Fc1cc(F)c(-c2cc(CN3CCCCC3)ccn2)c(F)c1F ZINC001205162233 1049398978 /nfs/dbraw/zinc/39/89/78/1049398978.db2.gz OPELZXQSGVZDCC-UHFFFAOYSA-N 0 3 324.321 4.291 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccccc1Cl)Cc1ccccc1 ZINC001194632739 1049401073 /nfs/dbraw/zinc/40/10/73/1049401073.db2.gz FWOIJYMJGKCOIW-HOCLYGCPSA-N 0 3 303.833 4.248 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCCC(F)(F)F)[C@@H]2C ZINC001180750706 1049401467 /nfs/dbraw/zinc/40/14/67/1049401467.db2.gz FQIKERCXAGJCQP-NWDGAFQWSA-N 0 3 301.352 4.345 20 0 DIADHN COc1c(C)cnc(CN(C)CCCSC(C)(C)C)c1C ZINC001194640712 1049401830 /nfs/dbraw/zinc/40/18/30/1049401830.db2.gz GZESFHUBEYLZNS-UHFFFAOYSA-N 0 3 310.507 4.061 20 0 DIADHN CN(Cc1ccc(Cl)nc1)CC(C)(C)Oc1ccccc1 ZINC001205193028 1049407222 /nfs/dbraw/zinc/40/72/22/1049407222.db2.gz HIMOWBKBMIDADC-UHFFFAOYSA-N 0 3 304.821 4.024 20 0 DIADHN Cc1cc(CN2CCC(n3ncc4ccccc43)CC2)ccc1F ZINC001205193440 1049407935 /nfs/dbraw/zinc/40/79/35/1049407935.db2.gz ZEIMAXTXEKBCKA-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN CN(Cc1cnc(CC(F)(F)F)s1)CC1CCCCC1 ZINC001205193575 1049408758 /nfs/dbraw/zinc/40/87/58/1049408758.db2.gz UDIWDMSFUWNVFB-UHFFFAOYSA-N 0 3 306.397 4.260 20 0 DIADHN COC(=O)C(C)(C)CCN1CC=C(c2ccc(Cl)cc2)CC1 ZINC001205200556 1049409682 /nfs/dbraw/zinc/40/96/82/1049409682.db2.gz CCWKSKLLQNDMLC-UHFFFAOYSA-N 0 3 321.848 4.018 20 0 DIADHN COc1ccc(CN(Cc2ccc3c(c2)CCCO3)C2CC2)cc1 ZINC001205224890 1049412710 /nfs/dbraw/zinc/41/27/10/1049412710.db2.gz OTTWLCYEUWIDJW-UHFFFAOYSA-N 0 3 323.436 4.185 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](Sc3ccncc3)C2)cc1F ZINC001205236948 1049414426 /nfs/dbraw/zinc/41/44/26/1049414426.db2.gz ZJOQCFNHMUAHJD-QGZVFWFLSA-N 0 3 316.445 4.286 20 0 DIADHN CCc1cccc(CNCc2ccnc(N3CCCCCC3)c2)c1 ZINC001205246334 1049416323 /nfs/dbraw/zinc/41/63/23/1049416323.db2.gz PMVAPOHPRRXDMN-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCCC(C)(C)C2)CCN1C(=O)OC(C)(C)C ZINC001180896644 1049421296 /nfs/dbraw/zinc/42/12/96/1049421296.db2.gz JAMQMNWOOKZKKE-HZPDHXFCSA-N 0 3 324.509 4.144 20 0 DIADHN CC[C@H](NC1(c2cccc(OC)c2)CC1)c1ccc2c(c1)CCO2 ZINC000777155875 1049424034 /nfs/dbraw/zinc/42/40/34/1049424034.db2.gz OHVAFFLTLMNNME-IBGZPJMESA-N 0 3 323.436 4.360 20 0 DIADHN Cc1cc(Cl)c(C(=O)NCCP(C(C)C)C(C)C)cn1 ZINC001194903299 1049446215 /nfs/dbraw/zinc/44/62/15/1049446215.db2.gz JMGRYSVDBFBDSD-UHFFFAOYSA-N 0 3 314.797 4.072 20 0 DIADHN CC[C@H]1CCN(Cc2cc(Br)c(C)s2)[C@H]1C ZINC001181041701 1049429735 /nfs/dbraw/zinc/42/97/35/1049429735.db2.gz BCKQJIRHKADVEB-ONGXEEELSA-N 0 3 302.281 4.439 20 0 DIADHN c1cc2c(cccc2CN2CCC3(Cc4ccccc4O3)CC2)[nH]1 ZINC001205297584 1049430624 /nfs/dbraw/zinc/43/06/24/1049430624.db2.gz BQLPWSIJKHIVKJ-UHFFFAOYSA-N 0 3 318.420 4.138 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@H](C(C)(C)C)C[C@H]1C ZINC001194804082 1049431237 /nfs/dbraw/zinc/43/12/37/1049431237.db2.gz CIOKUGDZQGKRNA-BBWFWOEESA-N 0 3 310.526 4.026 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC([C@H]4CCCCO4)CC3)cc21 ZINC000668627368 1049432629 /nfs/dbraw/zinc/43/26/29/1049432629.db2.gz HQAYYSGLKABCEV-HXUWFJFHSA-N 0 3 312.457 4.257 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN2CCC([C@H]3CCCCO3)CC2)o1 ZINC000668629452 1049434336 /nfs/dbraw/zinc/43/43/36/1049434336.db2.gz VPKFXXDJTODLAJ-FHLIZLRMSA-N 0 3 303.446 4.184 20 0 DIADHN COCc1ccc(CN2Cc3ccccc3OC(C)(C)C2)cc1 ZINC001194816740 1049434270 /nfs/dbraw/zinc/43/42/70/1049434270.db2.gz GECAZBWBZFOGHM-UHFFFAOYSA-N 0 3 311.425 4.006 20 0 DIADHN CC(C)Cc1ncc(CN2CCC([C@@H]3CCCCO3)CC2)s1 ZINC000668628117 1049435025 /nfs/dbraw/zinc/43/50/25/1049435025.db2.gz QAOMFNDNCXRWHP-KRWDZBQOSA-N 0 3 322.518 4.123 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)s1 ZINC000668629856 1049436540 /nfs/dbraw/zinc/43/65/40/1049436540.db2.gz CSQRFHQBTDVUTH-ZBFHGGJFSA-N 0 3 322.518 4.222 20 0 DIADHN c1ccc2c(c1)OC1(CCN(CCC3CCCCCC3)C1)O2 ZINC001194835564 1049436793 /nfs/dbraw/zinc/43/67/93/1049436793.db2.gz UXONLJCMQNDBLR-UHFFFAOYSA-N 0 3 301.430 4.220 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1cccc(Br)c1OC ZINC001181102881 1049437940 /nfs/dbraw/zinc/43/79/40/1049437940.db2.gz TWSAFLQWXRFPGY-RISCZKNCSA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)CC1(C)CCN([C@H]2CCN(C3CCCCC3)C2=O)CC1 ZINC001194863500 1049439764 /nfs/dbraw/zinc/43/97/64/1049439764.db2.gz HJBQNCIJMNAPJD-SFHVURJKSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H](C[C@H]1CCOC1)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000777190931 1049449614 /nfs/dbraw/zinc/44/96/14/1049449614.db2.gz ATFMXVUECZMGKH-NWDGAFQWSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@H](C[C@H]1CCOC1)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000777190937 1049449704 /nfs/dbraw/zinc/44/97/04/1049449704.db2.gz ATFMXVUECZMGKH-VXGBXAGGSA-N 0 3 314.256 4.387 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC(c3ccccc3)CC2)cn1 ZINC001205364276 1049451094 /nfs/dbraw/zinc/45/10/94/1049451094.db2.gz QTVFFLNPQULWTB-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN O[C@H](CN1CCC(C2CC2)CC1)c1ccc(Cl)cc1Cl ZINC001194890618 1049451243 /nfs/dbraw/zinc/45/12/43/1049451243.db2.gz JWWMZVCEXGERKS-MRXNPFEDSA-N 0 3 314.256 4.149 20 0 DIADHN CCCOc1ccc(C(C)(C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC001181164314 1049453790 /nfs/dbraw/zinc/45/37/90/1049453790.db2.gz VQUNPLIZNDSNRC-UHFFFAOYSA-N 0 3 317.404 4.345 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2cc3ccccc3[nH]2)cn1 ZINC000775622050 1049455985 /nfs/dbraw/zinc/45/59/85/1049455985.db2.gz OYFDDZGLUDLYAH-CQSZACIVSA-N 0 3 309.413 4.201 20 0 DIADHN COc1ncc(CN[C@@H]2CC(C)(C)CCc3ccccc32)s1 ZINC001181224048 1049457238 /nfs/dbraw/zinc/45/72/38/1049457238.db2.gz UPWNXNFFLBBPDB-MRXNPFEDSA-N 0 3 316.470 4.345 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2cc3ccccc3[nH]2)cc1OC ZINC000775624156 1049457254 /nfs/dbraw/zinc/45/72/54/1049457254.db2.gz YGRCHIBQIYJCGM-AWEZNQCLSA-N 0 3 324.424 4.426 20 0 DIADHN CCC[C@H](Cc1ccccc1)N[C@H]1COc2cc(F)cc(F)c21 ZINC001205394213 1049458531 /nfs/dbraw/zinc/45/85/31/1049458531.db2.gz KWGHMVWLWLMJPW-WBVHZDCISA-N 0 3 317.379 4.399 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N[C@H]1COc2cc(F)cc(F)c21 ZINC001205394212 1049458544 /nfs/dbraw/zinc/45/85/44/1049458544.db2.gz KWGHMVWLWLMJPW-RDJZCZTQSA-N 0 3 317.379 4.399 20 0 DIADHN Fc1ccc2cc(CN[C@@H](c3ccccc3)[C@H]3CCCO3)[nH]c2c1 ZINC000486708784 1049458716 /nfs/dbraw/zinc/45/87/16/1049458716.db2.gz KJUJGGSCEWAPBX-UXHICEINSA-N 0 3 324.399 4.317 20 0 DIADHN CCC[C@H](Cc1ccccc1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001205394211 1049458950 /nfs/dbraw/zinc/45/89/50/1049458950.db2.gz KWGHMVWLWLMJPW-NVXWUHKLSA-N 0 3 317.379 4.399 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCC[C@H]2c2ccccc2)cc1 ZINC001195022352 1049462886 /nfs/dbraw/zinc/46/28/86/1049462886.db2.gz MKKJNLWIVNRPSM-VQTJNVASSA-N 0 3 322.452 4.202 20 0 DIADHN CO[C@H](CNCc1cc(F)c(Cl)cc1F)C1CCCCC1 ZINC001181337030 1049463346 /nfs/dbraw/zinc/46/33/46/1049463346.db2.gz MTRSMIQGGIDZTC-MRXNPFEDSA-N 0 3 317.807 4.303 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)Cc1ccccc1C ZINC001181360302 1049466736 /nfs/dbraw/zinc/46/67/36/1049466736.db2.gz FKXZUWNMFBMNPE-SFHVURJKSA-N 0 3 303.833 4.468 20 0 DIADHN Cc1cc([C@H]2CCN(Cc3cccnc3C)C2)c(O)cc1Cl ZINC000668678588 1049467905 /nfs/dbraw/zinc/46/79/05/1049467905.db2.gz MJAXOSQIIXTURK-HNNXBMFYSA-N 0 3 316.832 4.047 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2ccc(C(F)(F)F)nc2)C1 ZINC001205429562 1049469415 /nfs/dbraw/zinc/46/94/15/1049469415.db2.gz FMDKUQUFMKYJPQ-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN Fc1cc(F)c(CNC2CCC3(CSC3)CC2)c(F)c1F ZINC001181383447 1049470127 /nfs/dbraw/zinc/47/01/27/1049470127.db2.gz VFFAYGRDPHRNJL-UHFFFAOYSA-N 0 3 319.367 4.008 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cc2ccccc2[nH]1 ZINC000775635069 1049472429 /nfs/dbraw/zinc/47/24/29/1049472429.db2.gz SZQUOLLWLVNMJM-RYUDHWBXSA-N 0 3 320.396 4.162 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccccc1Br ZINC001195074452 1049472874 /nfs/dbraw/zinc/47/28/74/1049472874.db2.gz JWYGNVCOMGIGFK-NILFDRSVSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)nn1C ZINC000486805394 1049478578 /nfs/dbraw/zinc/47/85/78/1049478578.db2.gz UTUWGPQBQIMPDP-KGLIPLIRSA-N 0 3 305.853 4.006 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)nn1C ZINC000486805366 1049479304 /nfs/dbraw/zinc/47/93/04/1049479304.db2.gz UTUWGPQBQIMPDP-KBPBESRZSA-N 0 3 305.853 4.006 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CCC[C@H]3C(C)C)c2)CC1 ZINC001205478230 1049480845 /nfs/dbraw/zinc/48/08/45/1049480845.db2.gz YKEQNTQZOIIPIT-VQTJNVASSA-N 0 3 315.505 4.065 20 0 DIADHN C[C@H](N[C@H]1CSC[C@@H]1C)c1ccc(C(F)(F)F)nc1Cl ZINC000862380104 1049492555 /nfs/dbraw/zinc/49/25/55/1049492555.db2.gz QPXQRARRYSPDEV-NRPADANISA-N 0 3 324.799 4.156 20 0 DIADHN COCc1cnc(CN[C@@H]2CCC[C@@H](c3ccccc3)C2)s1 ZINC000486857098 1049482787 /nfs/dbraw/zinc/48/27/87/1049482787.db2.gz QHJHNJPZRNEUFU-HZPDHXFCSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1c(F)nccc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000862264584 1049482883 /nfs/dbraw/zinc/48/28/83/1049482883.db2.gz XDVQULOATYSDPJ-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN C[C@@H]1CCN(Cc2ccnn2CC2CCC2)CC12CCCCC2 ZINC001205486308 1049482945 /nfs/dbraw/zinc/48/29/45/1049482945.db2.gz LNFNMJSNDWFCRQ-QGZVFWFLSA-N 0 3 315.505 4.476 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1ccnc(F)c1C ZINC000862280675 1049484437 /nfs/dbraw/zinc/48/44/37/1049484437.db2.gz PRMHYDDWKPTNCH-LRDDRELGSA-N 0 3 322.811 4.048 20 0 DIADHN CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NCc1ccnc(F)c1C ZINC000862280674 1049484682 /nfs/dbraw/zinc/48/46/82/1049484682.db2.gz PRMHYDDWKPTNCH-BLLLJJGKSA-N 0 3 322.811 4.048 20 0 DIADHN c1cc(C2CCN(CC3=Cc4ccccc4OC3)CC2)cs1 ZINC000486927002 1049492352 /nfs/dbraw/zinc/49/23/52/1049492352.db2.gz PVKXECOANOMSNF-UHFFFAOYSA-N 0 3 311.450 4.404 20 0 DIADHN C[C@@H]1CN(Cc2cn(C)nc2-c2ccccc2)Cc2ccccc21 ZINC000775647315 1049494308 /nfs/dbraw/zinc/49/43/08/1049494308.db2.gz UXROCAOGQZKNJV-MRXNPFEDSA-N 0 3 317.436 4.206 20 0 DIADHN C[C@H]1CN(Cc2cn(C)nc2-c2cccs2)Cc2ccccc21 ZINC000775645502 1049494921 /nfs/dbraw/zinc/49/49/21/1049494921.db2.gz AQYMAXDMFKDZBQ-AWEZNQCLSA-N 0 3 323.465 4.268 20 0 DIADHN CCC[C@@]1(COC)CCCN1Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001205541445 1049495188 /nfs/dbraw/zinc/49/51/88/1049495188.db2.gz PWUFJYMQKJBFOQ-KSSFIOAISA-N 0 3 322.518 4.049 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC(c2ccon2)CC1 ZINC000668713909 1049495484 /nfs/dbraw/zinc/49/54/84/1049495484.db2.gz CZHHFOZAZRSTKI-UHFFFAOYSA-N 0 3 304.821 4.324 20 0 DIADHN COc1cccc(OC)c1CN1C[C@H](C)C[C@H]1c1ccccc1 ZINC001181622090 1049496558 /nfs/dbraw/zinc/49/65/58/1049496558.db2.gz OXZXUSFXDNUZDX-QAPCUYQASA-N 0 3 311.425 4.287 20 0 DIADHN CCCOc1ccc(CN2CCC(c3ccon3)CC2)c(C)c1 ZINC000668718547 1049499689 /nfs/dbraw/zinc/49/96/89/1049499689.db2.gz PXDNOMILAKTZIY-UHFFFAOYSA-N 0 3 314.429 4.151 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NC/C=C/c1ccncc1 ZINC000486977411 1049500617 /nfs/dbraw/zinc/50/06/17/1049500617.db2.gz DXOBMYIXBRQDPQ-KZSQQDEKSA-N 0 3 300.402 4.061 20 0 DIADHN FC(F)(F)c1cccc(C2(NC/C=C/c3ccncc3)CC2)c1 ZINC000486980246 1049500798 /nfs/dbraw/zinc/50/07/98/1049500798.db2.gz IDODTMOLXWAUEL-NSCUHMNNSA-N 0 3 318.342 4.393 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC/C=C\c1ccncc1)CCS2 ZINC000486964773 1049501883 /nfs/dbraw/zinc/50/18/83/1049501883.db2.gz HVBOWLCGOQWSAL-AIMAZDRCSA-N 0 3 300.402 4.061 20 0 DIADHN Cc1c(F)nccc1CN[C@@H]1CCCc2ccc(Cl)cc21 ZINC000862550289 1049502434 /nfs/dbraw/zinc/50/24/34/1049502434.db2.gz KWJWPBKUWUFXOY-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC(c2ccon2)CC1 ZINC000668719560 1049504072 /nfs/dbraw/zinc/50/40/72/1049504072.db2.gz YFSDJUUTQGQPBY-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2cc(F)ccc2Br)C[C@H]1C ZINC001195278118 1049506861 /nfs/dbraw/zinc/50/68/61/1049506861.db2.gz JKMRURARXPZHAH-GMTAPVOTSA-N 0 3 300.215 4.065 20 0 DIADHN CCN(CCOc1ccccc1C)Cc1cc(C)nc(Cl)c1 ZINC001195323769 1049508523 /nfs/dbraw/zinc/50/85/23/1049508523.db2.gz LLHWOVRFYWUORD-UHFFFAOYSA-N 0 3 318.848 4.253 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2cc(F)c(C(F)(F)F)cc2F)[C@H]1C ZINC001195290670 1049512983 /nfs/dbraw/zinc/51/29/83/1049512983.db2.gz XQQQUOOKBPHELD-BBBLOLIVSA-N 0 3 307.306 4.460 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@H](C2CCCC2)C1 ZINC001195305218 1049514619 /nfs/dbraw/zinc/51/46/19/1049514619.db2.gz AZTLRZDRFAICGW-ZFWWWQNUSA-N 0 3 320.864 4.179 20 0 DIADHN CCOc1cc(CN2C[C@H](CC)CC[C@@H]2C)c(F)cc1OC ZINC001181763443 1049515066 /nfs/dbraw/zinc/51/50/66/1049515066.db2.gz IZYGQISKMIUQTE-UONOGXRCSA-N 0 3 309.425 4.244 20 0 DIADHN CCCCOc1ccccc1CN(C)[C@H]1C[C@H](OC)C12CCC2 ZINC001181797005 1049519759 /nfs/dbraw/zinc/51/97/59/1049519759.db2.gz SEKRJXPBLSRPHV-OALUTQOASA-N 0 3 317.473 4.255 20 0 DIADHN CC(C)O[C@H](CN1CC[C@H](C(F)(F)F)C1)c1ccccc1 ZINC000794451426 1049520402 /nfs/dbraw/zinc/52/04/02/1049520402.db2.gz YUIMDZBTWBNNPN-LSDHHAIUSA-N 0 3 301.352 4.037 20 0 DIADHN CO[C@@H]1C[C@@H](N(C)Cc2csc(C(F)(F)F)c2)C12CCC2 ZINC001181797301 1049521568 /nfs/dbraw/zinc/52/15/68/1049521568.db2.gz VZVVFBGDYHQHJX-VXGBXAGGSA-N 0 3 319.392 4.156 20 0 DIADHN C[C@H]1CCC[C@@H](CN2CCc3nccc(Br)c3C2)C1 ZINC001195414315 1049523112 /nfs/dbraw/zinc/52/31/12/1049523112.db2.gz YMMUHMRRTTXQNZ-QWHCGFSZSA-N 0 3 323.278 4.029 20 0 DIADHN COc1ccnc(CN(Cc2c(C)cccc2C)CC(C)C)c1 ZINC001195412597 1049523497 /nfs/dbraw/zinc/52/34/97/1049523497.db2.gz FNLXZUABNQQTCY-UHFFFAOYSA-N 0 3 312.457 4.365 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@H](c3nc(C(C)C)no3)C2)c1 ZINC001205654599 1049523919 /nfs/dbraw/zinc/52/39/19/1049523919.db2.gz ALAJIWXPLVYQOC-AWEZNQCLSA-N 0 3 317.408 4.020 20 0 DIADHN Clc1cccc(C2(NCC3(Br)CC3)CCC2)c1 ZINC001195452941 1049527806 /nfs/dbraw/zinc/52/78/06/1049527806.db2.gz MZWSTSDIUZAXRW-UHFFFAOYSA-N 0 3 314.654 4.236 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@H](O)c1ccccc1Cl)C1CC1 ZINC000119220228 1049527459 /nfs/dbraw/zinc/52/74/59/1049527459.db2.gz WBAFBOPRBMSQGQ-LRDDRELGSA-N 0 3 305.805 4.192 20 0 DIADHN CCc1ccc(NC(=O)CNC2(c3cccc(C)c3)CCC2)cc1 ZINC001195476063 1049534925 /nfs/dbraw/zinc/53/49/25/1049534925.db2.gz GFIUZNXEZLWRBD-UHFFFAOYSA-N 0 3 322.452 4.165 20 0 DIADHN Clc1ccc(CN2CCC[C@H]2[C@@H]2CCCOC2)c(Cl)c1 ZINC001195487735 1049535676 /nfs/dbraw/zinc/53/56/76/1049535676.db2.gz KHRYXILUABZHRH-CJNGLKHVSA-N 0 3 314.256 4.384 20 0 DIADHN Cc1cccc(CN2CCC(CCCn3ccnc3)CC2)c1F ZINC001205693194 1049535951 /nfs/dbraw/zinc/53/59/51/1049535951.db2.gz RMGNTPKOARLNRK-UHFFFAOYSA-N 0 3 315.436 4.023 20 0 DIADHN Cc1ccc(CNC/C=C\c2ccncc2)c(OC(C)(C)C)c1 ZINC000487294957 1049543905 /nfs/dbraw/zinc/54/39/05/1049543905.db2.gz FPYVNXKJRDDXQS-WAYWQWQTSA-N 0 3 310.441 4.370 20 0 DIADHN CCCN(Cc1coc(-c2ccc(OCC)cc2)n1)C(C)C ZINC001195595533 1049543802 /nfs/dbraw/zinc/54/38/02/1049543802.db2.gz DDEVAKPUKCDQPM-UHFFFAOYSA-N 0 3 302.418 4.361 20 0 DIADHN CC1(C)C[C@@H](NC/C=C/c2ccncc2)c2ccc(F)cc2O1 ZINC000487296335 1049544447 /nfs/dbraw/zinc/54/44/47/1049544447.db2.gz IQMHLVILKOEIEU-REMYHPOOSA-N 0 3 312.388 4.126 20 0 DIADHN CC1(C)C[C@H](NC/C=C\c2ccncc2)c2ccc(F)cc2O1 ZINC000487296333 1049544591 /nfs/dbraw/zinc/54/45/91/1049544591.db2.gz IQMHLVILKOEIEU-LIMHQNJXSA-N 0 3 312.388 4.126 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN(C)CC1=CCSC1 ZINC000668774520 1049545234 /nfs/dbraw/zinc/54/52/34/1049545234.db2.gz AURONLFNVYLFMO-UHFFFAOYSA-N 0 3 301.377 4.119 20 0 DIADHN CCOc1cccc(CN2CC[C@H](C(F)(F)F)C[C@H]2C)c1 ZINC001181912721 1049546132 /nfs/dbraw/zinc/54/61/32/1049546132.db2.gz HEONCZFNFBYERN-OCCSQVGLSA-N 0 3 301.352 4.248 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CCC[C@@H](OC2CCC2)C1 ZINC001195637714 1049548638 /nfs/dbraw/zinc/54/86/38/1049548638.db2.gz BHMDZILANHGLCG-SJLPKXTDSA-N 0 3 305.849 4.361 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NC/C=C\c1ccncc1 ZINC000487306637 1049550374 /nfs/dbraw/zinc/55/03/74/1049550374.db2.gz GVSYTSNQFYHJMS-LIXSYLKWSA-N 0 3 310.441 4.480 20 0 DIADHN FC(F)(F)Cc1ncc(CN2CCC3(CCCC3)CC2)s1 ZINC001181985127 1049555037 /nfs/dbraw/zinc/55/50/37/1049555037.db2.gz ALPVKDCTOQJYDT-UHFFFAOYSA-N 0 3 318.408 4.404 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CC[C@H](c2ccccc2F)C1 ZINC001181985145 1049555685 /nfs/dbraw/zinc/55/56/85/1049555685.db2.gz AWDSAIYMAXFBTO-AWEZNQCLSA-N 0 3 316.395 4.020 20 0 DIADHN CN(C)c1ccncc1NC(=O)c1sccc1-c1ccccc1 ZINC001195708841 1049560494 /nfs/dbraw/zinc/56/04/94/1049560494.db2.gz DLJKWYBMUJHTDM-UHFFFAOYSA-N 0 3 323.421 4.128 20 0 DIADHN Clc1ccc2c(c1)OCCC[C@@H]2NC/C=C\c1ccncc1 ZINC000487573519 1049561284 /nfs/dbraw/zinc/56/12/84/1049561284.db2.gz LPQAPKPXIDZHME-MPXGXIRNSA-N 0 3 314.816 4.252 20 0 DIADHN C[C@]1(Cc2cccc(Cl)c2)CCCN1Cc1ccns1 ZINC001195756310 1049563357 /nfs/dbraw/zinc/56/33/57/1049563357.db2.gz FUUQZISQTJICMA-MRXNPFEDSA-N 0 3 306.862 4.394 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(F)cc1C)c1ccccc1F ZINC001195757054 1049564455 /nfs/dbraw/zinc/56/44/55/1049564455.db2.gz KSGYHHQXLVGNKS-ZWKOTPCHSA-N 0 3 305.368 4.048 20 0 DIADHN CC1CCC(NCc2nc3ccc(Br)cc3o2)CC1 ZINC001182053190 1049565890 /nfs/dbraw/zinc/56/58/90/1049565890.db2.gz MSNUAWZELLXSAR-UHFFFAOYSA-N 0 3 323.234 4.259 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1ccc(F)c2ccccc12 ZINC001182052849 1049566327 /nfs/dbraw/zinc/56/63/27/1049566327.db2.gz LPHLCWNVPKFPLL-LJQANCHMSA-N 0 3 307.368 4.462 20 0 DIADHN C[C@@H]1CN(CCCOc2cccc(Cl)c2)CC2(CCCC2)O1 ZINC001195796386 1049569640 /nfs/dbraw/zinc/56/96/40/1049569640.db2.gz FIFVKNIBSCYDJS-OAHLLOKOSA-N 0 3 323.864 4.142 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(C(F)F)c(F)c2)CCCO1 ZINC001182099544 1049574701 /nfs/dbraw/zinc/57/47/01/1049574701.db2.gz OLADOJWUFJAZHB-HNNXBMFYSA-N 0 3 301.352 4.010 20 0 DIADHN Cc1c([C@@H](C)NCc2cc(-c3ccccc3)cs2)cnn1C ZINC000080145395 1049576226 /nfs/dbraw/zinc/57/62/26/1049576226.db2.gz BUZZVNYOWWSFOP-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccncc2)C2(CO)CCC2)cc1C ZINC000668811520 1049585773 /nfs/dbraw/zinc/58/57/73/1049585773.db2.gz BAIQCPBOAYULGV-HXUWFJFHSA-N 0 3 324.468 4.000 20 0 DIADHN CC(C)(C)OC(=O)N(CCN1CC(C2CCCC2)C1)C(C)(C)C ZINC001182227530 1049589662 /nfs/dbraw/zinc/58/96/62/1049589662.db2.gz PPVAQGFMZUXZTA-UHFFFAOYSA-N 0 3 324.509 4.144 20 0 DIADHN Cc1ccc(F)cc1NC(=S)Nc1ccccc1CN(C)C ZINC001195914769 1049592258 /nfs/dbraw/zinc/59/22/58/1049592258.db2.gz WRRXBMKNDCSXPX-UHFFFAOYSA-N 0 3 317.433 4.005 20 0 DIADHN COCCc1ncc(CN2CC3(CCCCC3)[C@H]2C(C)C)s1 ZINC001182251506 1049595026 /nfs/dbraw/zinc/59/50/26/1049595026.db2.gz OPKZIMYKZGGYSR-QGZVFWFLSA-N 0 3 322.518 4.123 20 0 DIADHN CCCc1cccc(CN[C@@H](c2ccncc2)C2(CO)CCC2)c1 ZINC000668817782 1049595082 /nfs/dbraw/zinc/59/50/82/1049595082.db2.gz PNPVVVIUXDPUQV-FQEVSTJZSA-N 0 3 324.468 4.028 20 0 DIADHN CCCc1cccc(CN[C@H](c2ccncc2)C2(CO)CCC2)c1 ZINC000668817783 1049595756 /nfs/dbraw/zinc/59/57/56/1049595756.db2.gz PNPVVVIUXDPUQV-HXUWFJFHSA-N 0 3 324.468 4.028 20 0 DIADHN c1ccc([C@@H]2CCCN(Cc3nc4c(s3)CCC4)CC2)cc1 ZINC000775679412 1049602307 /nfs/dbraw/zinc/60/23/07/1049602307.db2.gz ZVTVVECWVCTCAC-MRXNPFEDSA-N 0 3 312.482 4.402 20 0 DIADHN C[C@@]1(Cc2ccccc2Cl)CCCN1Cc1cncc(F)c1 ZINC001196021305 1049603797 /nfs/dbraw/zinc/60/37/97/1049603797.db2.gz FXMODUGQIJGKGO-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN CCc1nocc1CN1CCC[C@]1(C)Cc1ccccc1Cl ZINC001196022956 1049603903 /nfs/dbraw/zinc/60/39/03/1049603903.db2.gz QEJLSHAPRBZWKM-GOSISDBHSA-N 0 3 318.848 4.488 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CCSC[C@@H](C)C1 ZINC000488158880 1049604549 /nfs/dbraw/zinc/60/45/49/1049604549.db2.gz GUQSXEHEPVKUDX-NWDGAFQWSA-N 0 3 314.292 4.195 20 0 DIADHN CC1(C)CCN(CC(=O)Nc2ccc(F)c(F)c2)CC(C)(C)C1 ZINC001195994635 1049606508 /nfs/dbraw/zinc/60/65/08/1049606508.db2.gz GENJELZGXFIEME-UHFFFAOYSA-N 0 3 324.415 4.052 20 0 DIADHN CCO[C@H]1C[C@@H](N[C@@H](C)c2ccc(F)cc2Cl)C12CCC2 ZINC001205973819 1049614764 /nfs/dbraw/zinc/61/47/64/1049614764.db2.gz HEZXVHWJEDJKOO-XZJROXQQSA-N 0 3 311.828 4.477 20 0 DIADHN CC[C@H](NC[C@@H](C)CC(C)(C)C)c1nnc2n1CCCCC2 ZINC001196095678 1049614977 /nfs/dbraw/zinc/61/49/77/1049614977.db2.gz ZZBYRFLORAVPOD-GJZGRUSLSA-N 0 3 306.498 4.118 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@@H]3COCC[C@H]32)c1 ZINC000488241419 1049616965 /nfs/dbraw/zinc/61/69/65/1049616965.db2.gz DZMGOFNOSKKKPC-CZUORRHYSA-N 0 3 314.256 4.037 20 0 DIADHN CCN(Cc1cccc(Br)c1)[C@H](C)c1ccccn1 ZINC000488281053 1049624817 /nfs/dbraw/zinc/62/48/17/1049624817.db2.gz MYKXUYUWHLEWRM-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN CCCCCCN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(C)C ZINC001196158658 1049625375 /nfs/dbraw/zinc/62/53/75/1049625375.db2.gz IOTKEUIDXMDMKU-MRXNPFEDSA-N 0 3 312.498 4.144 20 0 DIADHN COc1ccc2c(c1)CCN([C@H](C)c1ccc3c(c1)CCC3)C2 ZINC001196168023 1049626833 /nfs/dbraw/zinc/62/68/33/1049626833.db2.gz RXYRWZDYPHOYOW-OAHLLOKOSA-N 0 3 307.437 4.303 20 0 DIADHN Fc1ccc2cc(CN3CCC[C@@H](Nc4ccccc4)C3)[nH]c2c1 ZINC000488292118 1049630327 /nfs/dbraw/zinc/63/03/27/1049630327.db2.gz IQNUYLOQNBQPEU-GOSISDBHSA-N 0 3 323.415 4.384 20 0 DIADHN CCOc1ccc(CN2CCSC3(CCC3)CC2)cc1F ZINC001182356459 1049635046 /nfs/dbraw/zinc/63/50/46/1049635046.db2.gz KVQLILJSIQESPE-UHFFFAOYSA-N 0 3 309.450 4.086 20 0 DIADHN CN(CCN(Cc1ccccc1F)CC1CC1)c1ccccc1 ZINC001196238253 1049635323 /nfs/dbraw/zinc/63/53/23/1049635323.db2.gz QLUAPBCWLQZKCT-UHFFFAOYSA-N 0 3 312.432 4.174 20 0 DIADHN CN(Cc1cn(C)nc1Cl)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC001182368628 1049637003 /nfs/dbraw/zinc/63/70/03/1049637003.db2.gz FBRHJBCWWNIHFN-IAGOWNOFSA-N 0 3 317.864 4.232 20 0 DIADHN c1cc(CN2CCSCC2)cc(NC2CCC3(CC3)CC2)c1 ZINC000488322929 1049637380 /nfs/dbraw/zinc/63/73/80/1049637380.db2.gz PQHXMRDYZALGKK-UHFFFAOYSA-N 0 3 316.514 4.370 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)[C@@H](O)c1c(F)cccc1Cl ZINC000774255344 1049637824 /nfs/dbraw/zinc/63/78/24/1049637824.db2.gz CQBHBAUCRKKIHW-RMLVOYDJSA-N 0 3 323.795 4.161 20 0 DIADHN C[C@H](N[C@H]1CCCc2occc21)[C@H](O)c1c(F)cccc1Cl ZINC000774255343 1049637906 /nfs/dbraw/zinc/63/79/06/1049637906.db2.gz CQBHBAUCRKKIHW-NCHVAJSOSA-N 0 3 323.795 4.161 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C)oc1C)[C@H](O)c1c(F)cccc1F ZINC000774257761 1049638075 /nfs/dbraw/zinc/63/80/75/1049638075.db2.gz KWGNGLGNSCLZRN-VOZBZGINSA-N 0 3 323.383 4.337 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1OC)c1ccc2c(c1)CCO2 ZINC000119824225 1049638158 /nfs/dbraw/zinc/63/81/58/1049638158.db2.gz PYGDKMVLPYAPMA-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN OC[C@H](NCC1CCCCC1)c1cccc(Cl)c1Cl ZINC001196285474 1049638735 /nfs/dbraw/zinc/63/87/35/1049638735.db2.gz MXCIXZVXNDNJLN-AWEZNQCLSA-N 0 3 302.245 4.197 20 0 DIADHN CCCC[C@@H](COC)NCc1cc(C)ccc1Br ZINC001182408430 1049639259 /nfs/dbraw/zinc/63/92/59/1049639259.db2.gz FMMTYOAYNKMPRY-AWEZNQCLSA-N 0 3 314.267 4.052 20 0 DIADHN CC(C)(C)CN(CC(=O)OC(C)(C)C)C[C@H]1CCC(F)(F)C1 ZINC001196367855 1049646481 /nfs/dbraw/zinc/64/64/81/1049646481.db2.gz RBDJQSXVORAFOJ-ZDUSSCGKSA-N 0 3 319.436 4.112 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000488404158 1049647831 /nfs/dbraw/zinc/64/78/31/1049647831.db2.gz OGTHAPGVNCFGFY-HNNXBMFYSA-N 0 3 317.408 4.276 20 0 DIADHN C[C@]12CCN(Cc3cc(Cl)nc4ccccc34)C[C@H]1C2(F)F ZINC000794623461 1049648018 /nfs/dbraw/zinc/64/80/18/1049648018.db2.gz MGLMDLRUEOSURK-ZBFHGGJFSA-N 0 3 322.786 4.365 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1nc(-c2ccc(F)cc2)no1 ZINC000488404159 1049648303 /nfs/dbraw/zinc/64/83/03/1049648303.db2.gz OGTHAPGVNCFGFY-OAHLLOKOSA-N 0 3 317.408 4.276 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1nc2ccccc2o1)[C@@H](O)c1ccccc1 ZINC000794609760 1049648366 /nfs/dbraw/zinc/64/83/66/1049648366.db2.gz FTOURXRCLAIAPJ-KYNGSXCRSA-N 0 3 324.424 4.237 20 0 DIADHN COc1ccc([C@H](NC[C@H]2C[C@@H]2C)c2ccccc2OC)cc1 ZINC000488447370 1049655970 /nfs/dbraw/zinc/65/59/70/1049655970.db2.gz IWXRLMXGQPFJNL-NBQZKYEYSA-N 0 3 311.425 4.039 20 0 DIADHN CC(C)CCO[C@@H]1CCN([C@H]2CCc3c2cccc3Cl)C1 ZINC001196517853 1049663572 /nfs/dbraw/zinc/66/35/72/1049663572.db2.gz GQXABDRKINSRPY-KDOFPFPSSA-N 0 3 307.865 4.464 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1cc(C)nc3c1CCCC3)C2 ZINC001182460642 1049665149 /nfs/dbraw/zinc/66/51/49/1049665149.db2.gz ASJCBGDTOHWGFL-UHFFFAOYSA-N 0 3 306.453 4.136 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)CCc2ccc(F)cc2)[n-]1 ZINC000488507284 1049665747 /nfs/dbraw/zinc/66/57/47/1049665747.db2.gz WJKOQHZMEULTIR-MJBXVCDLSA-N 0 3 318.440 4.129 20 0 DIADHN Brc1ccc([C@H]2CCCN2C[C@@H]2CC=CCC2)nc1 ZINC001206160316 1049670416 /nfs/dbraw/zinc/67/04/16/1049670416.db2.gz YNZQTYXBNDDOKF-CZUORRHYSA-N 0 3 321.262 4.337 20 0 DIADHN COC[C@@H](N[C@@H](C)CSC(C)(C)C)c1ccc(F)c(F)c1 ZINC000774473659 1049673053 /nfs/dbraw/zinc/67/30/53/1049673053.db2.gz PWIDSHPZNRAVSF-XHDPSFHLSA-N 0 3 317.445 4.162 20 0 DIADHN C/C=C/C[C@@H]1CCCN(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC001196603850 1049673477 /nfs/dbraw/zinc/67/34/77/1049673477.db2.gz DFTWQOAKZKZXPE-GMLZYFJESA-N 0 3 311.828 4.191 20 0 DIADHN CCN(CCc1ccccc1)[C@@H](C)C(=O)Nc1cc(C)ccc1C ZINC000488557126 1049673896 /nfs/dbraw/zinc/67/38/96/1049673896.db2.gz SZRVNSKILLCGLZ-SFHVURJKSA-N 0 3 324.468 4.195 20 0 DIADHN Cc1cc(CN2CC(c3ccc(F)cc3)C2)cc2cccnc12 ZINC001206174620 1049675500 /nfs/dbraw/zinc/67/55/00/1049675500.db2.gz OQQDVYXQGSSDOO-UHFFFAOYSA-N 0 3 306.384 4.282 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccnc(Cl)c1)c1ccccc1 ZINC000774494153 1049677441 /nfs/dbraw/zinc/67/74/41/1049677441.db2.gz CLOVITPPVGWEJE-BBRMVZONSA-N 0 3 304.821 4.163 20 0 DIADHN Cc1ccc(C2CCN(Cc3cc4ccc(F)cc4[nH]3)CC2)cn1 ZINC000488566333 1049679785 /nfs/dbraw/zinc/67/97/85/1049679785.db2.gz YAMMCCDEHGKNSZ-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CCN(CC(C)C)[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000488607594 1049683121 /nfs/dbraw/zinc/68/31/21/1049683121.db2.gz AQGFKTVSBHNETC-CYBMUJFWSA-N 0 3 305.397 4.223 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2ccc(C(F)(F)F)nc2Cl)C1 ZINC001182564795 1049685919 /nfs/dbraw/zinc/68/59/19/1049685919.db2.gz GARWLSBGLYVCMO-NXEZZACHSA-N 0 3 310.722 4.124 20 0 DIADHN Cc1cc(CN2CCC[C@]2(C)Cc2ccccc2F)cnc1F ZINC001182542181 1049686044 /nfs/dbraw/zinc/68/60/44/1049686044.db2.gz CJFZKVTXRZBOCH-LJQANCHMSA-N 0 3 316.395 4.265 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2ccc(C(F)(F)F)nc2Cl)C1 ZINC001182564801 1049686089 /nfs/dbraw/zinc/68/60/89/1049686089.db2.gz GARWLSBGLYVCMO-VHSXEESVSA-N 0 3 310.722 4.124 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](c2ccccc2C)C(C)C)o1 ZINC000488672444 1049686249 /nfs/dbraw/zinc/68/62/49/1049686249.db2.gz QHGHMLDTRVLDAT-GOSISDBHSA-N 0 3 315.413 4.204 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001196758322 1049687268 /nfs/dbraw/zinc/68/72/68/1049687268.db2.gz GGOGYNJISQTTCT-YESZJQIVSA-N 0 3 324.509 4.287 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2cccc(C)c2)C(C)C)cc1 ZINC001196782104 1049691302 /nfs/dbraw/zinc/69/13/02/1049691302.db2.gz MGPJBJPVGVMAAV-FQEVSTJZSA-N 0 3 310.441 4.229 20 0 DIADHN Cc1cc(C)c(/C=C\CN2CCC(c3ccncn3)CC2)cc1C ZINC001206270388 1049694438 /nfs/dbraw/zinc/69/44/38/1049694438.db2.gz NBXIDPARPDQTOZ-PLNGDYQASA-N 0 3 321.468 4.295 20 0 DIADHN CCOc1ccc(CN2C[C@H](C)OC3(CCCCC3)C2)c(C)c1 ZINC001182643575 1049696810 /nfs/dbraw/zinc/69/68/10/1049696810.db2.gz CAGRDIIAURZTCD-KRWDZBQOSA-N 0 3 317.473 4.317 20 0 DIADHN C[C@H]1CN(Cc2ccnc3ccccc23)CCc2ccccc21 ZINC000911595163 1049701771 /nfs/dbraw/zinc/70/17/71/1049701771.db2.gz AEJCQMHBLRLGDN-INIZCTEOSA-N 0 3 302.421 4.397 20 0 DIADHN Fc1cc(F)cc(CN[C@@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC001196920573 1049701791 /nfs/dbraw/zinc/70/17/91/1049701791.db2.gz VYXYKZFSDSEJSJ-SJORKVTESA-N 0 3 323.770 4.238 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H]1CCc2c1cccc2O ZINC000774535046 1049704399 /nfs/dbraw/zinc/70/43/99/1049704399.db2.gz VISUMDWYOFVTIG-INIZCTEOSA-N 0 3 306.365 4.048 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)Cc1cccn1C(F)F ZINC001182754101 1049706198 /nfs/dbraw/zinc/70/61/98/1049706198.db2.gz MABALXXUGIXPMQ-ZDUSSCGKSA-N 0 3 310.413 4.496 20 0 DIADHN CC[C@H](OCCNCc1cc(C)nc(Cl)c1)c1ccccc1 ZINC001182734043 1049707559 /nfs/dbraw/zinc/70/75/59/1049707559.db2.gz LSLWUGMUXCBRTC-KRWDZBQOSA-N 0 3 318.848 4.301 20 0 DIADHN C[C@@H]1CC(C)(C)CN1Cc1ccc(Sc2ccccn2)o1 ZINC001206308044 1049708842 /nfs/dbraw/zinc/70/88/42/1049708842.db2.gz MEMUWKWTXWPYKC-CYBMUJFWSA-N 0 3 302.443 4.446 20 0 DIADHN COc1ccc2c(c1)OC(C)(C)CN(Cc1ccccc1C)C2 ZINC001206327392 1049713948 /nfs/dbraw/zinc/71/39/48/1049713948.db2.gz ARIDWUOHEUCYPF-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN Cc1ccc2c(c1)CCN(C[C@@H](C)c1cc(F)cc(F)c1)C2 ZINC001206351523 1049717508 /nfs/dbraw/zinc/71/75/08/1049717508.db2.gz VRDAXURKYKHEGE-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@@H]2CCC[C@@H](CC(C)C)C2)s1 ZINC001206356946 1049719388 /nfs/dbraw/zinc/71/93/88/1049719388.db2.gz BXSJSTRWRFUPFL-MELADBBJSA-N 0 3 324.490 4.185 20 0 DIADHN CN(C)c1ccccc1CN1CCC[C@](F)(c2ccccc2)C1 ZINC001197078137 1049721231 /nfs/dbraw/zinc/72/12/31/1049721231.db2.gz RQKMQEQSRBODSD-HXUWFJFHSA-N 0 3 312.432 4.213 20 0 DIADHN CC1(C)C[C@@H](c2ccccc2)N(Cc2ccnn2CC2CC2)C1 ZINC001206385870 1049725346 /nfs/dbraw/zinc/72/53/46/1049725346.db2.gz ZKORIACVVHBAFL-IBGZPJMESA-N 0 3 309.457 4.266 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CCCCN1C[C@H]1CC2CCC1CC2 ZINC001197177642 1049727616 /nfs/dbraw/zinc/72/76/16/1049727616.db2.gz SSASOAKVPCSMMA-BACDZXNISA-N 0 3 307.478 4.009 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@@H](C)N(CC)CC1CC1 ZINC000489321806 1049743964 /nfs/dbraw/zinc/74/39/64/1049743964.db2.gz CKYCNWJGUGJLJM-HUUCEWRRSA-N 0 3 302.462 4.259 20 0 DIADHN COc1cc(F)cc(CN2CCc3ccc(F)cc3[C@@H](C)C2)c1 ZINC001206499229 1049745495 /nfs/dbraw/zinc/74/54/95/1049745495.db2.gz VKLOHMXZTKUQME-ZDUSSCGKSA-N 0 3 317.379 4.135 20 0 DIADHN FC(F)(F)Cc1ccccc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC001206517324 1049749206 /nfs/dbraw/zinc/74/92/06/1049749206.db2.gz QVVILANONHFJIV-CQSZACIVSA-N 0 3 319.317 4.413 20 0 DIADHN CCN(C)C(=O)c1cccc(-c2cccc(C3=NCCC3)c2)c1 ZINC001206524502 1049751031 /nfs/dbraw/zinc/75/10/31/1049751031.db2.gz ZSEARCUYRCGLJB-UHFFFAOYSA-N 0 3 306.409 4.028 20 0 DIADHN C[C@H]1CN(Cc2ccccc2C2CC2)CC[C@H](C(F)(F)F)O1 ZINC001197497398 1049757385 /nfs/dbraw/zinc/75/73/85/1049757385.db2.gz RMSXDBVUTXCHLU-BLLLJJGKSA-N 0 3 313.363 4.106 20 0 DIADHN Fc1cccc(C2CCN(CCSC(F)(F)F)CC2)c1 ZINC001197506530 1049758160 /nfs/dbraw/zinc/75/81/60/1049758160.db2.gz LQHVYRCETAERNJ-UHFFFAOYSA-N 0 3 307.356 4.258 20 0 DIADHN CN(Cc1cccc(C(=O)Nc2ccccc2)c1)[C@H]1CC1(C)C ZINC000489468205 1049760471 /nfs/dbraw/zinc/76/04/71/1049760471.db2.gz AWOXZHDTQIXMLN-SFHVURJKSA-N 0 3 308.425 4.169 20 0 DIADHN CC(C)[C@@H]1CC[C@H]1N[C@H](CO)c1cccc(Cl)c1Cl ZINC001206721954 1049783255 /nfs/dbraw/zinc/78/32/55/1049783255.db2.gz YVDXOVOJECCIHW-ZLKJLUDKSA-N 0 3 302.245 4.051 20 0 DIADHN CC(C)[C@@H]1CC[C@@H]1N[C@H](CO)c1cccc(Cl)c1Cl ZINC001206721952 1049783530 /nfs/dbraw/zinc/78/35/30/1049783530.db2.gz YVDXOVOJECCIHW-LEWSCRJBSA-N 0 3 302.245 4.051 20 0 DIADHN OC[C@@H](NC1CCC2(CCCC2)CC1)c1ccc(F)cc1F ZINC001206752860 1049792150 /nfs/dbraw/zinc/79/21/50/1049792150.db2.gz JIROFIUQEKXSDY-QGZVFWFLSA-N 0 3 309.400 4.091 20 0 DIADHN COc1cc(C)ccc1CN(C)Cc1cccc2cccnc21 ZINC001206762338 1049793933 /nfs/dbraw/zinc/79/39/33/1049793933.db2.gz JXIDXHNLLFTGAT-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CCCc2n[nH]cc2C1 ZINC001206826482 1049808180 /nfs/dbraw/zinc/80/81/80/1049808180.db2.gz BNQHUKIRXMQJLK-UHFFFAOYSA-N 0 3 317.436 4.334 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2cc(-c3ccc(F)cc3)on2)CC1 ZINC001206840307 1049810668 /nfs/dbraw/zinc/81/06/68/1049810668.db2.gz YQGIGDAIBNQMMC-WJYNOGRTSA-N 0 3 302.393 4.491 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC[C@H]4CCC[C@H]43)no2)cc1 ZINC001206836332 1049811205 /nfs/dbraw/zinc/81/12/05/1049811205.db2.gz KRUJFGBQFSWZAB-CXAGYDPISA-N 0 3 300.377 4.245 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2ccc(F)cn2)CC1 ZINC001207038883 1049823220 /nfs/dbraw/zinc/82/32/20/1049823220.db2.gz OGICMWMNJCPBFL-UHFFFAOYSA-N 0 3 310.416 4.009 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2)C2CCSCC2)nc1 ZINC001207044015 1049825832 /nfs/dbraw/zinc/82/58/32/1049825832.db2.gz QKHSQMWSOGXFMM-UHFFFAOYSA-N 0 3 316.445 4.119 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2ccc3c(c2)CCC3)cc1O ZINC000490378442 1049826546 /nfs/dbraw/zinc/82/65/46/1049826546.db2.gz SWWHUTIDWHLQRZ-CQSZACIVSA-N 0 3 311.425 4.083 20 0 DIADHN Cc1ncc(CN(Cc2ccccc2)C2CCSCC2)s1 ZINC001207063419 1049830086 /nfs/dbraw/zinc/83/00/86/1049830086.db2.gz XAVFZKQTOCZEAH-UHFFFAOYSA-N 0 3 318.511 4.349 20 0 DIADHN Cc1ncc(CN(CCCCCCO)Cc2ccccc2)s1 ZINC001207062985 1049830450 /nfs/dbraw/zinc/83/04/50/1049830450.db2.gz MWEXKXFAYVAODP-UHFFFAOYSA-N 0 3 318.486 4.006 20 0 DIADHN Cc1ncccc1CN1CCC(Cc2ccc(F)cc2F)CC1 ZINC001207153661 1049845452 /nfs/dbraw/zinc/84/54/52/1049845452.db2.gz IJKNUTKIIJRXDC-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@@H](CCc2ccccc2)C1 ZINC001207176524 1049849623 /nfs/dbraw/zinc/84/96/23/1049849623.db2.gz JOFAUUSLKDSFAI-IBGZPJMESA-N 0 3 311.473 4.309 20 0 DIADHN O=C1CCN(C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)[C@@H]2CCCC[C@@H]12 ZINC001207182322 1049854878 /nfs/dbraw/zinc/85/48/78/1049854878.db2.gz TUQBKZGPOMGLLV-DZOHQFGASA-N 0 3 317.860 4.277 20 0 DIADHN FC[C@H](N[C@@H]1CCC[C@@H](C2CCOCC2)C1)c1ccc(F)cc1 ZINC001207225737 1049864508 /nfs/dbraw/zinc/86/45/08/1049864508.db2.gz OTNXYTZTPHGQRJ-QRQLOZEOSA-N 0 3 323.427 4.411 20 0 DIADHN Clc1cccc([C@H]2CCN(CCOCc3ccccc3)C2)c1 ZINC001207235853 1049866300 /nfs/dbraw/zinc/86/63/00/1049866300.db2.gz POALDDKILXRHMU-SFHVURJKSA-N 0 3 315.844 4.346 20 0 DIADHN Cc1cc(F)c(CN2CCCC[C@@H](C3CCOCC3)C2)c(F)c1 ZINC001207302222 1049879632 /nfs/dbraw/zinc/87/96/32/1049879632.db2.gz OBSHOHWMXLCOGH-MRXNPFEDSA-N 0 3 323.427 4.302 20 0 DIADHN Cc1nc(Cl)c(CCN2CCC[C@H](C)[C@H](C)C2)c(Cl)n1 ZINC001207335244 1049880640 /nfs/dbraw/zinc/88/06/40/1049880640.db2.gz YOCQPZHOWCZYJU-WDEREUQCSA-N 0 3 316.276 4.002 20 0 DIADHN CC1(C)C[C@@H](CN2CCn3cccc3[C@@H]2c2ccccc2)CCO1 ZINC001207352054 1049883723 /nfs/dbraw/zinc/88/37/23/1049883723.db2.gz CUSWPURXHWEANO-PXNSSMCTSA-N 0 3 324.468 4.098 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)C[C@@H]1CCO[C@H](C)C1 ZINC000491092810 1049885220 /nfs/dbraw/zinc/88/52/20/1049885220.db2.gz TZBDRAOFBLHANI-HZSPNIEDSA-N 0 3 304.459 4.104 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)C[C@H]1CCOC(C)(C)C1 ZINC001207365357 1049885437 /nfs/dbraw/zinc/88/54/37/1049885437.db2.gz JYXQDXKFKUQCAC-WMZOPIPTSA-N 0 3 317.473 4.175 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2)no1)N1CC[C@H](C2CC2)C1 ZINC000491134605 1049886163 /nfs/dbraw/zinc/88/61/63/1049886163.db2.gz VUEPWDIGDCHTHU-RISCZKNCSA-N 0 3 317.820 4.183 20 0 DIADHN Clc1cccc(CCN2CCC(Oc3ccccc3)CC2)c1 ZINC001207420469 1049890807 /nfs/dbraw/zinc/89/08/07/1049890807.db2.gz HDEJKSVRXLRUHZ-UHFFFAOYSA-N 0 3 315.844 4.426 20 0 DIADHN COc1cccc(CCN2CCC[C@H]2c2c(F)cccc2F)c1 ZINC001207432867 1049891597 /nfs/dbraw/zinc/89/15/97/1049891597.db2.gz KBXIYUBJMXFTMA-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN C[C@@]1(c2ccccc2)CC[C@@H](NCc2ncc(Cl)s2)C1 ZINC001207440219 1049893945 /nfs/dbraw/zinc/89/39/45/1049893945.db2.gz XEEZXNYQCJICSA-CZUORRHYSA-N 0 3 306.862 4.397 20 0 DIADHN COc1ccc(CCN2CCC[C@@H]2c2c(F)cccc2F)cc1 ZINC001207477412 1049897565 /nfs/dbraw/zinc/89/75/65/1049897565.db2.gz ZKCFAQLYQHKVET-GOSISDBHSA-N 0 3 317.379 4.353 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@@H]2CCc3ccc(C)cc32)c1 ZINC000586790875 1049899799 /nfs/dbraw/zinc/89/97/99/1049899799.db2.gz ZONMMNABXNNXHS-MLGOLLRUSA-N 0 3 315.438 4.181 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2cc(F)cc(F)c2)cc1 ZINC001207518145 1049901945 /nfs/dbraw/zinc/90/19/45/1049901945.db2.gz LHYOVIBLBYSFKG-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN CN(Cc1cncs1)CC(c1ccccc1)c1ccccc1 ZINC001207526807 1049904519 /nfs/dbraw/zinc/90/45/19/1049904519.db2.gz FIIQMEFVXQUYLK-UHFFFAOYSA-N 0 3 308.450 4.407 20 0 DIADHN CCNc1ccccc1CN1Cc2ccccc2OC2(CCC2)C1 ZINC001207535658 1049906757 /nfs/dbraw/zinc/90/67/57/1049906757.db2.gz KYWLGNAJPPVVEX-UHFFFAOYSA-N 0 3 322.452 4.436 20 0 DIADHN Clc1cccc(CCN2CCC[C@H](Cc3nccs3)C2)c1 ZINC001207594954 1049914799 /nfs/dbraw/zinc/91/47/99/1049914799.db2.gz NIKANUCPNVBZTC-OAHLLOKOSA-N 0 3 320.889 4.294 20 0 DIADHN CC(C)OC1CC(N2CCC(=Cc3cccc(F)c3)CC2)C1 ZINC000570939854 1049916078 /nfs/dbraw/zinc/91/60/78/1049916078.db2.gz NERYBXLVSJIBED-UHFFFAOYSA-N 0 3 303.421 4.261 20 0 DIADHN c1ccc(C2(NCc3ccccc3OC3CC3)CCOCC2)cc1 ZINC000571032415 1049917696 /nfs/dbraw/zinc/91/76/96/1049917696.db2.gz PLMHCUDWGXPEDA-UHFFFAOYSA-N 0 3 323.436 4.023 20 0 DIADHN O=C(Nc1ccccc1)[C@@H]1CCCN1CCCCc1ccccc1 ZINC001207615493 1049918166 /nfs/dbraw/zinc/91/81/66/1049918166.db2.gz XVFJBOLHPHKXIG-FQEVSTJZSA-N 0 3 322.452 4.112 20 0 DIADHN Cc1cc(F)c(CN[C@@H](C)CCc2ccc(O)cc2)c(F)c1 ZINC001207654927 1049921785 /nfs/dbraw/zinc/92/17/85/1049921785.db2.gz NKOJEIWJAIAJTK-ZDUSSCGKSA-N 0 3 305.368 4.090 20 0 DIADHN CSC1(CN2CCn3cccc3[C@@H]2c2ccccc2)CCC1 ZINC000571216379 1049926233 /nfs/dbraw/zinc/92/62/33/1049926233.db2.gz IFXOFHIHHSFCMS-SFHVURJKSA-N 0 3 312.482 4.179 20 0 DIADHN C[C@@H](CCCC(C)(C)O)CCN1CCc2ncc(Cl)cc2C1 ZINC001207683001 1049926581 /nfs/dbraw/zinc/92/65/81/1049926581.db2.gz IMPCNMAVPLBMTF-AWEZNQCLSA-N 0 3 324.896 4.061 20 0 DIADHN FC(F)[C@H]1C[C@@H]1NCC1=Cc2cc(Cl)cc(Cl)c2OC1 ZINC000571319454 1049929930 /nfs/dbraw/zinc/92/99/30/1049929930.db2.gz WITKTOYGRSEVQI-JQWIXIFHSA-N 0 3 320.166 4.012 20 0 DIADHN C[C@@H](N[C@@H](C)c1cncc(Br)c1)c1ccccc1 ZINC000571418559 1049932710 /nfs/dbraw/zinc/93/27/10/1049932710.db2.gz LOSPWJVXRLRAFI-NEPJUHHUSA-N 0 3 305.219 4.256 20 0 DIADHN FC(F)(F)c1cccc(CCCN2CC(c3cccnc3)C2)c1 ZINC001207846160 1049945322 /nfs/dbraw/zinc/94/53/22/1049945322.db2.gz ZLXKGBAHGKKEBT-UHFFFAOYSA-N 0 3 320.358 4.132 20 0 DIADHN Clc1cc(Cl)cc(C2=CCN(C[C@H]3CCCO3)CC2)c1 ZINC001207846420 1049945884 /nfs/dbraw/zinc/94/58/84/1049945884.db2.gz FMWIKTYJOLQHHL-MRXNPFEDSA-N 0 3 312.240 4.262 20 0 DIADHN C[C@@]12c3ccccc3[C@@H](Cc3ccccc31)N2C[C@@H]1CCCO1 ZINC001207894198 1049950263 /nfs/dbraw/zinc/95/02/63/1049950263.db2.gz DCCKLDWCMKCVLX-DQLDELGASA-N 0 3 305.421 4.042 20 0 DIADHN C[C@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1ccncn1 ZINC001207918258 1049955442 /nfs/dbraw/zinc/95/54/42/1049955442.db2.gz LFAZMKRYZRVWHL-MRXNPFEDSA-N 0 3 311.473 4.085 20 0 DIADHN C[C@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1cncnc1 ZINC001207917060 1049955949 /nfs/dbraw/zinc/95/59/49/1049955949.db2.gz DHPAMCBMVJMYPM-MRXNPFEDSA-N 0 3 311.473 4.085 20 0 DIADHN C[C@@H](Cc1ccc(C(C)(C)C)cc1)CN(C)Cc1cncnc1 ZINC001207917059 1049956444 /nfs/dbraw/zinc/95/64/44/1049956444.db2.gz DHPAMCBMVJMYPM-INIZCTEOSA-N 0 3 311.473 4.085 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCc3ncncc3C2)CC1 ZINC001207936909 1049958565 /nfs/dbraw/zinc/95/85/65/1049958565.db2.gz CYGOPYOAXWKKKS-GOSISDBHSA-N 0 3 311.473 4.308 20 0 DIADHN CC(C)(C)Oc1ccc(CNCC2=Cc3ccccc3OC2)cc1 ZINC000588178540 1049973323 /nfs/dbraw/zinc/97/33/23/1049973323.db2.gz ZHXGOFNUSKMEEJ-UHFFFAOYSA-N 0 3 323.436 4.430 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cnn(-c2ccccc2)c1 ZINC000588177594 1049973462 /nfs/dbraw/zinc/97/34/62/1049973462.db2.gz HUDGLGZRAMXTRK-ATVHPVEESA-N 0 3 303.409 4.065 20 0 DIADHN COc1cccc([C@H]2CCCN2CCc2c(F)cccc2F)c1 ZINC001208117220 1049974061 /nfs/dbraw/zinc/97/40/61/1049974061.db2.gz QMRZAWRWHZPMEJ-LJQANCHMSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(CCc2c(F)cccc2F)C1 ZINC001208117694 1049974089 /nfs/dbraw/zinc/97/40/89/1049974089.db2.gz GAVLIEPYCXNJHT-CYBMUJFWSA-N 0 3 305.343 4.136 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCc2c(F)cccc2F)cc1 ZINC001208120121 1049975333 /nfs/dbraw/zinc/97/53/33/1049975333.db2.gz YNNXTSRZJWUXQN-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN CC/C=C\CCCN1CCC[C@H](c2noc(C(F)(F)F)n2)C1 ZINC001208136306 1049976270 /nfs/dbraw/zinc/97/62/70/1049976270.db2.gz UQKVQWJXWJSCPT-MVZIDQBPSA-N 0 3 317.355 4.014 20 0 DIADHN CC(C)[C@@H](C)N(C)Cc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000588235364 1049976906 /nfs/dbraw/zinc/97/69/06/1049976906.db2.gz DUYVPXJJHFNYQD-GFCCVEGCSA-N 0 3 318.442 4.015 20 0 DIADHN CS[C@@H](C)CCN1CCn2cccc2[C@@H]1c1ccccc1 ZINC001208139188 1049977006 /nfs/dbraw/zinc/97/70/06/1049977006.db2.gz PQONZXHUULLOKW-YJBOKZPZSA-N 0 3 300.471 4.035 20 0 DIADHN CCCN(CC[C@@H](C)SC)[C@@H](C)C(=O)Nc1ccccc1C ZINC001208152054 1049977414 /nfs/dbraw/zinc/97/74/14/1049977414.db2.gz FBGIUSPGWSOWON-CVEARBPZSA-N 0 3 322.518 4.176 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CC[C@H](OC(=O)OC(C)(C)C)C2)o1 ZINC001208152603 1049977940 /nfs/dbraw/zinc/97/79/40/1049977940.db2.gz SLIKPQNUCODWKA-ZFWWWQNUSA-N 0 3 323.433 4.108 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CC[C@@H](OC(=O)OC(C)(C)C)C2)o1 ZINC001208152600 1049978013 /nfs/dbraw/zinc/97/80/13/1049978013.db2.gz SLIKPQNUCODWKA-DZGCQCFKSA-N 0 3 323.433 4.108 20 0 DIADHN COc1cc2c(cc1OC)CN(C[C@H]1CCC(C)=C[C@@H]1C)C2 ZINC001208170040 1049980890 /nfs/dbraw/zinc/98/08/90/1049980890.db2.gz RLWQETRBBZBHDK-LSDHHAIUSA-N 0 3 301.430 4.012 20 0 DIADHN Fc1cccc(CCN2CCSC[C@H]2c2ccccc2)c1 ZINC001208197943 1049984747 /nfs/dbraw/zinc/98/47/47/1049984747.db2.gz XNZIPVVPNDFWAX-SFHVURJKSA-N 0 3 301.430 4.158 20 0 DIADHN Fc1cccc(CCN2CCC[C@H](OCc3ccccc3)C2)c1 ZINC001208198518 1049984778 /nfs/dbraw/zinc/98/47/78/1049984778.db2.gz JAJNABJQROOZKR-FQEVSTJZSA-N 0 3 313.416 4.049 20 0 DIADHN CCOCCOc1cc(C)ccc1CNCc1cccc(C)c1 ZINC000088753033 1049986428 /nfs/dbraw/zinc/98/64/28/1049986428.db2.gz PZPKARWMLVDMLP-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN COC(C)(C)CCC[C@@H](C)CCN1CCC[C@@H]1c1ncccn1 ZINC001208214146 1049986784 /nfs/dbraw/zinc/98/67/84/1049986784.db2.gz ROHHCXJYOFTMLU-IAGOWNOFSA-N 0 3 319.493 4.235 20 0 DIADHN CC(C)C[C@H](NCc1cn[nH]c1)c1cccc(C(F)(F)F)c1 ZINC000588688007 1049992028 /nfs/dbraw/zinc/99/20/28/1049992028.db2.gz CXDZXCYSRZHJKB-HNNXBMFYSA-N 0 3 311.351 4.306 20 0 DIADHN CCCc1ccc([C@H](C)NCc2cccc(OCC#N)c2)cc1 ZINC000089630331 1049995458 /nfs/dbraw/zinc/99/54/58/1049995458.db2.gz SPVRLDBJQSNXBN-INIZCTEOSA-N 0 3 308.425 4.392 20 0 DIADHN CCN(CCN1CCC[C@@H]1c1cccs1)C(=O)OC(C)(C)C ZINC001208575596 1049996499 /nfs/dbraw/zinc/99/64/99/1049996499.db2.gz WHEYBMZMFXBRHS-CQSZACIVSA-N 0 3 324.490 4.142 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1C[C@H]1COC2(CCCCC2)O1 ZINC001208639657 1049999318 /nfs/dbraw/zinc/99/93/18/1049999318.db2.gz CCKMDICUXHSHMN-YJBOKZPZSA-N 0 3 319.420 4.038 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[N@H+](Cc1ncccc1[O-])C1CC1 ZINC000572323591 1049999994 /nfs/dbraw/zinc/99/99/94/1049999994.db2.gz POQVJZAHIZUGAU-GFCCVEGCSA-N 0 3 302.805 4.166 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[N@@H+](Cc1ncccc1[O-])C1CC1 ZINC000572323591 1049999995 /nfs/dbraw/zinc/99/99/95/1049999995.db2.gz POQVJZAHIZUGAU-GFCCVEGCSA-N 0 3 302.805 4.166 20 0 DIADHN CC1=C[C@H](C)C[C@H](CNCc2nc(C(F)(F)F)cs2)C1 ZINC000572447087 1050001485 /nfs/dbraw/zinc/00/14/85/1050001485.db2.gz WVBNDHVEGYQADV-ONGXEEELSA-N 0 3 304.381 4.244 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCc3ncccc3C2)cc1 ZINC001208689925 1050002575 /nfs/dbraw/zinc/00/25/75/1050002575.db2.gz CRQGDJVZPZTLJF-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN C[C@@H](CN1CCCC[C@H]1c1cccnc1)OCc1ccccc1 ZINC001208700329 1050003347 /nfs/dbraw/zinc/00/33/47/1050003347.db2.gz GKQUURDJIPEZPJ-PXNSSMCTSA-N 0 3 310.441 4.214 20 0 DIADHN c1cc2c(s1)CCN(CCCCCOCc1ccccc1)C2 ZINC001208811405 1050012005 /nfs/dbraw/zinc/01/20/05/1050012005.db2.gz KSGRGFCOSSBTQV-UHFFFAOYSA-N 0 3 315.482 4.493 20 0 DIADHN Cc1cnc2c(c1)CN(CCCCCOCc1ccccc1)CC2 ZINC001208815537 1050012542 /nfs/dbraw/zinc/01/25/42/1050012542.db2.gz XSYHWHSEEIMUGL-UHFFFAOYSA-N 0 3 324.468 4.135 20 0 DIADHN C[C@@H]1CCN(CCCc2cccc(Br)c2)C[C@H]1F ZINC001208820018 1050012986 /nfs/dbraw/zinc/01/29/86/1050012986.db2.gz IWDOYBBDWAAHLL-IUODEOHRSA-N 0 3 314.242 4.062 20 0 DIADHN CN1CC=C(Nc2ccc(C(F)(F)F)cc2C(F)(F)F)CC1 ZINC001208837311 1050015083 /nfs/dbraw/zinc/01/50/83/1050015083.db2.gz GHRYXDPSUQVOFG-UHFFFAOYSA-N 0 3 324.268 4.356 20 0 DIADHN CN1CC=C(Nc2ccc(C(C)(C)c3ccc(N)cc3)cc2)CC1 ZINC001208858994 1050017200 /nfs/dbraw/zinc/01/72/00/1050017200.db2.gz UDVHABQKRDUJQW-UHFFFAOYSA-N 0 3 321.468 4.226 20 0 DIADHN C[C@H](CN1CCC2(CC1)O[C@H](C)c1ccccc12)C(F)(F)F ZINC001208897389 1050020913 /nfs/dbraw/zinc/02/09/13/1050020913.db2.gz FNLWHCRZBZEMQS-CHWSQXEVSA-N 0 3 313.363 4.267 20 0 DIADHN CC(C)c1cc(Br)ccc1NC1=CCN(C)CC1 ZINC001208931195 1050025495 /nfs/dbraw/zinc/02/54/95/1050025495.db2.gz ZUUBYLOTCZACNS-UHFFFAOYSA-N 0 3 309.251 4.204 20 0 DIADHN CN1CC=C(Nc2cccc3cccc(Br)c32)CC1 ZINC001208978348 1050029646 /nfs/dbraw/zinc/02/96/46/1050029646.db2.gz BPUYANSKSZGXCN-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN C[C@H]1CN(CCc2c(Cl)cccc2Cl)CCC[C@@]1(C)O ZINC001209104769 1050034826 /nfs/dbraw/zinc/03/48/26/1050034826.db2.gz FXCHDGFGBHBCDJ-BLLLJJGKSA-N 0 3 316.272 4.019 20 0 DIADHN C[C@H]1CN(CCc2c(Cl)cccc2Cl)CCC[C@]1(C)O ZINC001209104770 1050034862 /nfs/dbraw/zinc/03/48/62/1050034862.db2.gz FXCHDGFGBHBCDJ-LRDDRELGSA-N 0 3 316.272 4.019 20 0 DIADHN C[C@@H]1CCN(CCc2ccc(F)cc2Cl)CCC1(F)F ZINC001209109820 1050036579 /nfs/dbraw/zinc/03/65/79/1050036579.db2.gz XLNSZOPLADJQJY-LLVKDONJSA-N 0 3 305.771 4.389 20 0 DIADHN Cc1cnc2c(c1)CN(CCc1cccc(C(F)(F)F)c1)CC2 ZINC001209119305 1050037835 /nfs/dbraw/zinc/03/78/35/1050037835.db2.gz QEGJYOBTIQCBRY-UHFFFAOYSA-N 0 3 320.358 4.010 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2ccccc2F)cc1F ZINC001209244714 1050046627 /nfs/dbraw/zinc/04/66/27/1050046627.db2.gz TYAKCURIIYPQJJ-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)CCc1cc(F)c(F)cc1F ZINC001209252398 1050048324 /nfs/dbraw/zinc/04/83/24/1050048324.db2.gz LSESLHDWQILPQK-GFCCVEGCSA-N 0 3 323.358 4.348 20 0 DIADHN Fc1cc(F)c(CCN2CCC3(CC[C@H](F)C3)CC2)cc1F ZINC001209253755 1050048424 /nfs/dbraw/zinc/04/84/24/1050048424.db2.gz WUOOHQWQHPFGOZ-ZDUSSCGKSA-N 0 3 315.354 4.251 20 0 DIADHN CC[C@H](NCc1ccc(F)c(C)c1)c1cccc([N+](=O)[O-])c1 ZINC000090224405 1050048620 /nfs/dbraw/zinc/04/86/20/1050048620.db2.gz OUZOJRMSGYOKSV-KRWDZBQOSA-N 0 3 302.349 4.283 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@H](c3ccccc3)CC2)c1 ZINC000589611268 1050049117 /nfs/dbraw/zinc/04/91/17/1050049117.db2.gz PFQRNCSAGJWNFP-LJQANCHMSA-N 0 3 322.452 4.203 20 0 DIADHN Cc1ncccc1CN(C)CCc1ccccc1C(F)(F)F ZINC001209282641 1050049482 /nfs/dbraw/zinc/04/94/82/1050049482.db2.gz SVBFXEYMQDRWHB-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2cccc3c2OCCO3)cc1 ZINC000589609366 1050049515 /nfs/dbraw/zinc/04/95/15/1050049515.db2.gz ANBMPZNNZPDFAZ-SFHVURJKSA-N 0 3 309.409 4.103 20 0 DIADHN CC/C=C\CCCCCCN1CCC(F)(C(=O)OCC)CC1 ZINC001209286234 1050050101 /nfs/dbraw/zinc/05/01/01/1050050101.db2.gz VTITZZPTQVTLPB-WAYWQWQTSA-N 0 3 313.457 4.270 20 0 DIADHN c1csc(CNCCC(c2ccccc2)c2ccccc2)n1 ZINC000589633948 1050051031 /nfs/dbraw/zinc/05/10/31/1050051031.db2.gz YEJXRFAQUOYCNI-UHFFFAOYSA-N 0 3 308.450 4.455 20 0 DIADHN Clc1ccccc1[C@H]1CCN(CCOc2ccccc2)C1 ZINC001209298937 1050051396 /nfs/dbraw/zinc/05/13/96/1050051396.db2.gz QFCMNCGROKMZGV-HNNXBMFYSA-N 0 3 301.817 4.208 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3cccnc3c2)cc1F ZINC000589638294 1050051710 /nfs/dbraw/zinc/05/17/10/1050051710.db2.gz RXLMSOGMLGQUHF-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc12)c1cccc(OC(F)F)c1 ZINC000589641478 1050052075 /nfs/dbraw/zinc/05/20/75/1050052075.db2.gz LOQVTWMOCCNZSW-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1cccc(OC(F)F)c1 ZINC000589641478 1050052079 /nfs/dbraw/zinc/05/20/79/1050052079.db2.gz LOQVTWMOCCNZSW-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN c1ccc2c(c1)OCCC[C@@H]2NCc1ccc2cccnc2c1 ZINC000589647528 1050053105 /nfs/dbraw/zinc/05/31/05/1050053105.db2.gz GSWRVGPVEVGFSV-SFHVURJKSA-N 0 3 304.393 4.238 20 0 DIADHN Fc1ccc(CNCc2ccc3cccnc3c2)cc1Cl ZINC000589650067 1050054703 /nfs/dbraw/zinc/05/47/03/1050054703.db2.gz YCOWFZXXJGQUFN-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3nc(-c4ccccc4)sc32)n[nH]1 ZINC000589648710 1050054717 /nfs/dbraw/zinc/05/47/17/1050054717.db2.gz KULWKDZHRIXHIG-OAHLLOKOSA-N 0 3 324.453 4.009 20 0 DIADHN COC1CCC(CN2CCSC[C@@H]2c2ccc(F)cc2)CC1 ZINC001209395876 1050055417 /nfs/dbraw/zinc/05/54/17/1050055417.db2.gz NHNGONHGHLRUBM-IUPXNIOVSA-N 0 3 323.477 4.121 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1c[nH]c3ccc(C)cc13)C2 ZINC001209490756 1050062294 /nfs/dbraw/zinc/06/22/94/1050062294.db2.gz GAWOQXPLOZCPFZ-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1cccc2c(CN3C[C@@H](CF)[C@H](C(F)(F)F)C3)c[nH]c21 ZINC001209482381 1050062564 /nfs/dbraw/zinc/06/25/64/1050062564.db2.gz LJLIOTPNAIDXCJ-BXUZGUMPSA-N 0 3 314.326 4.056 20 0 DIADHN Cc1ccc2[nH]cc(CN3CC[C@@](CF)(C(F)(F)F)C3)c2c1 ZINC001209491151 1050062676 /nfs/dbraw/zinc/06/26/76/1050062676.db2.gz PWWKQCMPKZSUTQ-HNNXBMFYSA-N 0 3 314.326 4.200 20 0 DIADHN CCN(Cc1c2ccccc2nn1C)Cc1ccc(C)c(C)c1 ZINC001209539351 1050067521 /nfs/dbraw/zinc/06/75/21/1050067521.db2.gz IXPMGQOUGDCNIC-UHFFFAOYSA-N 0 3 307.441 4.212 20 0 DIADHN Cn1nc(CN2CCC[C@H](Cc3ccccc3)C2)c2ccccc21 ZINC001209581707 1050069040 /nfs/dbraw/zinc/06/90/40/1050069040.db2.gz QCIIWBWPKMMRRA-GOSISDBHSA-N 0 3 319.452 4.028 20 0 DIADHN Cn1nc(CN2CCC[C@@H](Cc3ccccc3)C2)c2ccccc21 ZINC001209581714 1050069122 /nfs/dbraw/zinc/06/91/22/1050069122.db2.gz QCIIWBWPKMMRRA-SFHVURJKSA-N 0 3 319.452 4.028 20 0 DIADHN CCN(Cc1ccc(C)[nH]1)Cc1ccc(Br)cc1 ZINC001209574167 1050069731 /nfs/dbraw/zinc/06/97/31/1050069731.db2.gz IOOQLNXKWXTPPS-UHFFFAOYSA-N 0 3 307.235 4.108 20 0 DIADHN Cc1[nH]c2ncccc2c1CN1CCSC[C@@H]1c1ccccc1 ZINC001209586742 1050070409 /nfs/dbraw/zinc/07/04/09/1050070409.db2.gz CBCPAKGHLNWCNV-GOSISDBHSA-N 0 3 323.465 4.161 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1c(C)[nH]c2ncccc21 ZINC001209589759 1050070650 /nfs/dbraw/zinc/07/06/50/1050070650.db2.gz HIJPJQUAIYLAEI-CQSZACIVSA-N 0 3 309.413 4.073 20 0 DIADHN C[C@@H]1CCN(Cc2c[nH]c3ncc(Cl)cc23)CCC1(F)F ZINC001209593009 1050070885 /nfs/dbraw/zinc/07/08/85/1050070885.db2.gz UGDRQYQIFRMWRA-SNVBAGLBSA-N 0 3 313.779 4.084 20 0 DIADHN CCN(Cc1c[nH]c2ncc(Cl)cc12)Cc1cccc(F)c1 ZINC001209601341 1050071566 /nfs/dbraw/zinc/07/15/66/1050071566.db2.gz ZEWVDAGHDWALKG-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cnc(Cl)cc2C)C1 ZINC001209627730 1050072519 /nfs/dbraw/zinc/07/25/19/1050072519.db2.gz CQGFEXIFTHAVMV-CQSZACIVSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1nc2ccccn2c1CN(Cc1ccccc1)C1CCC1 ZINC001209650427 1050073877 /nfs/dbraw/zinc/07/38/77/1050073877.db2.gz DDOQHNKZPNZDOK-UHFFFAOYSA-N 0 3 305.425 4.197 20 0 DIADHN Fc1ccc2c(CN3CCC[C@H](C(F)(F)F)C3)c[nH]c2c1 ZINC001209693035 1050076323 /nfs/dbraw/zinc/07/63/23/1050076323.db2.gz OWDVLIXQYSZFBO-NSHDSACASA-N 0 3 300.299 4.081 20 0 DIADHN Fc1ccc2c(CN3CCOC[C@@H]3C3CCCCC3)c[nH]c2c1 ZINC001209693744 1050076824 /nfs/dbraw/zinc/07/68/24/1050076824.db2.gz BDFIGWOSBICSMJ-LJQANCHMSA-N 0 3 316.420 4.088 20 0 DIADHN Fc1ccc2c(CN3CCC4(CC[C@H](F)C4)CC3)c[nH]c2c1 ZINC001209693711 1050077003 /nfs/dbraw/zinc/07/70/03/1050077003.db2.gz AVNKWBKPDBZPMM-HNNXBMFYSA-N 0 3 304.384 4.411 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3cc4cc(Cl)ccc4[nH]3)C[C@@H]21 ZINC001209719763 1050078016 /nfs/dbraw/zinc/07/80/16/1050078016.db2.gz AUWQDJSGXSRJEV-YGRLFVJLSA-N 0 3 310.775 4.298 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2c2cccc(F)c2)c2cccnc21 ZINC001209748872 1050080537 /nfs/dbraw/zinc/08/05/37/1050080537.db2.gz NHABNZMYTMELJK-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1)Cc1ccc(F)cc1 ZINC001209762994 1050081321 /nfs/dbraw/zinc/08/13/21/1050081321.db2.gz JOVGFWOCRKCZHS-UHFFFAOYSA-N 0 3 309.388 4.238 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2c[nH]nc2-c2ccccc2)cc1 ZINC001209762826 1050081400 /nfs/dbraw/zinc/08/14/00/1050081400.db2.gz CWCIJEFOOATZHD-HNNXBMFYSA-N 0 3 321.424 4.278 20 0 DIADHN CN(Cc1n[nH]c2ccc(Cl)cc21)[C@@H]1CCc2ccccc21 ZINC001209758042 1050082044 /nfs/dbraw/zinc/08/20/44/1050082044.db2.gz DKVWCYKTUMSAPG-GOSISDBHSA-N 0 3 311.816 4.336 20 0 DIADHN CN(Cc1[nH]nc2ccc(Cl)cc21)[C@@H]1CCc2ccccc21 ZINC001209758042 1050082050 /nfs/dbraw/zinc/08/20/50/1050082050.db2.gz DKVWCYKTUMSAPG-GOSISDBHSA-N 0 3 311.816 4.336 20 0 DIADHN COc1ccc2oc(CN3CC[C@@H](F)C(F)(F)CC3)cc2c1 ZINC001209770437 1050082935 /nfs/dbraw/zinc/08/29/35/1050082935.db2.gz VTWCZCSTASZFNR-OAHLLOKOSA-N 0 3 313.319 4.011 20 0 DIADHN CSC(C)(C)CN[C@H](C)c1ccc(F)cc1Br ZINC000224403228 1050094138 /nfs/dbraw/zinc/09/41/38/1050094138.db2.gz YMRITLDAJYETQA-SECBINFHSA-N 0 3 320.271 4.380 20 0 DIADHN CN(CCSc1ccc(Cl)cc1)[C@H](CO)c1ccccc1 ZINC000590622557 1050100141 /nfs/dbraw/zinc/10/01/41/1050100141.db2.gz ORFJBKRPIZCDNE-QGZVFWFLSA-N 0 3 321.873 4.098 20 0 DIADHN CCCC[C@H](CNC(=O)OC(C)(C)C)N[C@@H](C)c1ccoc1 ZINC000224566099 1050103588 /nfs/dbraw/zinc/10/35/88/1050103588.db2.gz HOFHBXWWJZGVAY-DZGCQCFKSA-N 0 3 310.438 4.014 20 0 DIADHN CCCC[C@H](CNC(=O)OC(C)(C)C)N[C@@H](C)c1ccco1 ZINC000224564064 1050103650 /nfs/dbraw/zinc/10/36/50/1050103650.db2.gz HWZCOJQRBPJCCP-UONOGXRCSA-N 0 3 310.438 4.014 20 0 DIADHN CCCC[C@@H](CNC(=O)OC(C)(C)C)N[C@H](C)c1ccoc1 ZINC000224566143 1050103900 /nfs/dbraw/zinc/10/39/00/1050103900.db2.gz HOFHBXWWJZGVAY-HIFRSBDPSA-N 0 3 310.438 4.014 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1cccc(O)c1 ZINC000573047990 1050117333 /nfs/dbraw/zinc/11/73/33/1050117333.db2.gz IOWIFTILQXAVGP-UKTHLTGXSA-N 0 3 319.351 4.187 20 0 DIADHN COc1ccc(-c2cnc(CN3[C@H](C)CCC[C@H]3C)o2)cc1 ZINC000591082731 1050122614 /nfs/dbraw/zinc/12/26/14/1050122614.db2.gz BBVJWQAOBWSULB-ZIAGYGMSSA-N 0 3 300.402 4.113 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000591105050 1050124711 /nfs/dbraw/zinc/12/47/11/1050124711.db2.gz WBRJMSUHVDBNGQ-MRXNPFEDSA-N 0 3 308.853 4.097 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000770347392 1050153749 /nfs/dbraw/zinc/15/37/49/1050153749.db2.gz IFASTRCBKNMEIU-CYBMUJFWSA-N 0 3 321.458 4.453 20 0 DIADHN Cc1c(F)c(F)ccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001210134861 1050143356 /nfs/dbraw/zinc/14/33/56/1050143356.db2.gz NTAWDUPQBHRDLD-MRXNPFEDSA-N 0 3 318.367 4.402 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000591378876 1050143576 /nfs/dbraw/zinc/14/35/76/1050143576.db2.gz CIRFPTHQVKKCTB-CYBMUJFWSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)OCCCCO3)s1 ZINC000823697370 1050147593 /nfs/dbraw/zinc/14/75/93/1050147593.db2.gz JNYAEIAKJFKEMP-CQSZACIVSA-N 0 3 317.454 4.459 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(N3CCCC3=O)cc2)cs1 ZINC000823709403 1050149222 /nfs/dbraw/zinc/14/92/22/1050149222.db2.gz RAXVNTCWNADYBL-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN FC(F)(F)CCN(Cc1cncc2ccccc21)CC1CC1 ZINC000770304325 1050149328 /nfs/dbraw/zinc/14/93/28/1050149328.db2.gz NIKGKJYBGNQNQY-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN Cc1ccccc1[C@@H](O)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000805840065 1050156693 /nfs/dbraw/zinc/15/66/93/1050156693.db2.gz WPYCOVCZBXUJLT-RDJZCZTQSA-N 0 3 301.455 4.173 20 0 DIADHN Fc1cccc(CCN(C[C@H]2CC2(Cl)Cl)C2CC2)c1 ZINC000733841872 1050157604 /nfs/dbraw/zinc/15/76/04/1050157604.db2.gz LNHICCOIVDRCFQ-GFCCVEGCSA-N 0 3 302.220 4.026 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(Cc1nc(-c2ccccc2C)no1)C1CC1 ZINC000591474388 1050158287 /nfs/dbraw/zinc/15/82/87/1050158287.db2.gz BLXAJMKASCFFRK-UKRRQHHQSA-N 0 3 313.445 4.444 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1cccc(Cl)c1C)C1CC1 ZINC000591475728 1050158752 /nfs/dbraw/zinc/15/87/52/1050158752.db2.gz ZFKVYWUCGLIREL-TZMCWYRMSA-N 0 3 322.880 4.486 20 0 DIADHN CCCCC[C@@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000733875385 1050159596 /nfs/dbraw/zinc/15/95/96/1050159596.db2.gz JSUWWECGZFNWQZ-CQSZACIVSA-N 0 3 316.445 4.193 20 0 DIADHN FC(F)(F)C1CCN([C@H]2CCc3c(Cl)cccc3C2)CC1 ZINC001171035834 1050163039 /nfs/dbraw/zinc/16/30/39/1050163039.db2.gz AKYQUWNWGCQMCN-ZDUSSCGKSA-N 0 3 317.782 4.472 20 0 DIADHN CC[C@H](NCc1cccc(C(F)F)c1)c1c(C)nn(C)c1C ZINC000348034578 1050172718 /nfs/dbraw/zinc/17/27/18/1050172718.db2.gz NHRQZYTWCOMLMF-HNNXBMFYSA-N 0 3 307.388 4.215 20 0 DIADHN CN(Cc1ccc(-c2ccccc2F)s1)[C@H]1CCCOC1 ZINC000573407183 1050174450 /nfs/dbraw/zinc/17/44/50/1050174450.db2.gz HQUIGJOLXPTVTK-ZDUSSCGKSA-N 0 3 305.418 4.165 20 0 DIADHN C[C@H]1CC(CCNCc2coc(-c3ccccc3)n2)C[C@H](C)O1 ZINC000573388706 1050174998 /nfs/dbraw/zinc/17/49/98/1050174998.db2.gz VENWFMDZAORTGG-GJZGRUSLSA-N 0 3 314.429 4.025 20 0 DIADHN Cc1nc(CNC2CC(c3cc(F)cc(F)c3)C2)sc1C ZINC000348066873 1050178884 /nfs/dbraw/zinc/17/88/84/1050178884.db2.gz BQVZZAFIDBUDAW-UHFFFAOYSA-N 0 3 308.397 4.074 20 0 DIADHN Nc1ccc(CN2CCC(c3ccc(Cl)cc3)CC2)cc1F ZINC001210266024 1050185853 /nfs/dbraw/zinc/18/58/53/1050185853.db2.gz DASWSTKULIOXKX-UHFFFAOYSA-N 0 3 318.823 4.441 20 0 DIADHN CN(Cc1cc(Br)c(F)cc1F)C1CCCC1 ZINC001136854457 1050197215 /nfs/dbraw/zinc/19/72/15/1050197215.db2.gz XLXALEIEXAIMGH-UHFFFAOYSA-N 0 3 304.178 4.102 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)[nH]n1 ZINC000591721264 1050191761 /nfs/dbraw/zinc/19/17/61/1050191761.db2.gz IGMQYDHMKMJSFQ-QGZVFWFLSA-N 0 3 303.837 4.393 20 0 DIADHN CC[C@H](NCc1cncc2ccccc21)c1ccccc1OC ZINC000378882593 1050191739 /nfs/dbraw/zinc/19/17/39/1050191739.db2.gz ITVQFURWYFUDJI-IBGZPJMESA-N 0 3 306.409 4.484 20 0 DIADHN COC[C@@]12CCC[C@@H]1CN(Cc1cc3c(cccc3Cl)[nH]1)C2 ZINC001136859750 1050201318 /nfs/dbraw/zinc/20/13/18/1050201318.db2.gz VWOPNRQIFQQFOV-ACJLOTCBSA-N 0 3 318.848 4.070 20 0 DIADHN CC[C@H]1c2ccccc2CCN1C[C@H](O)c1ccc(F)c(F)c1 ZINC000734003290 1050212435 /nfs/dbraw/zinc/21/24/35/1050212435.db2.gz ZUMAPQILAYVGAB-OALUTQOASA-N 0 3 317.379 4.008 20 0 DIADHN CCCCn1nc(C)c(CNCCCC(C)(F)F)c1Cl ZINC000824477418 1050213262 /nfs/dbraw/zinc/21/32/62/1050213262.db2.gz RPZXUBPKSUMKEZ-UHFFFAOYSA-N 0 3 307.816 4.170 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)NCc2cc(F)cnc2Cl)cc1 ZINC000824544081 1050225533 /nfs/dbraw/zinc/22/55/33/1050225533.db2.gz TWKOASKCOHEMDZ-NEPJUHHUSA-N 0 3 322.811 4.165 20 0 DIADHN C[C@@H]1[C@H](NCc2cc(F)cnc2Cl)C[C@@H]1c1ccccc1 ZINC000824538692 1050225794 /nfs/dbraw/zinc/22/57/94/1050225794.db2.gz RKEHWCYATXYEAV-KNXALSJPSA-N 0 3 304.796 4.156 20 0 DIADHN Cc1ccccc1C1CC(NCc2cc(F)cnc2Cl)C1 ZINC000824538160 1050226429 /nfs/dbraw/zinc/22/64/29/1050226429.db2.gz LRKIRNNIVUDVCI-UHFFFAOYSA-N 0 3 304.796 4.218 20 0 DIADHN C[C@H](CCc1cccc(F)c1)NCc1cc(F)cnc1Cl ZINC000824538190 1050226481 /nfs/dbraw/zinc/22/64/81/1050226481.db2.gz NDAWPBZMLXKSMZ-LLVKDONJSA-N 0 3 310.775 4.124 20 0 DIADHN CCCCn1nc(C)c(CNC[C@H]2CCCC2(F)F)c1Cl ZINC000824800415 1050244686 /nfs/dbraw/zinc/24/46/86/1050244686.db2.gz XJYDGSVOMWARKS-GFCCVEGCSA-N 0 3 319.827 4.170 20 0 DIADHN c1ccc(-c2ccc3ccc(CN4CCSCC4)cc3n2)cc1 ZINC001136943552 1050245155 /nfs/dbraw/zinc/24/51/55/1050245155.db2.gz KIYLGIYXUHOXGJ-UHFFFAOYSA-N 0 3 320.461 4.451 20 0 DIADHN C[C@@H](NC1CCC=CCC1)c1nc(Br)cs1 ZINC000886815248 1050308621 /nfs/dbraw/zinc/30/86/21/1050308621.db2.gz AFUWBNOMTRZLFS-SECBINFHSA-N 0 3 301.253 4.055 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CCOCC1)c1ccc(F)cn1 ZINC000771392940 1050247665 /nfs/dbraw/zinc/24/76/65/1050247665.db2.gz XCBJIRUJWBBQMP-LIRRHRJNSA-N 0 3 314.404 4.039 20 0 DIADHN COC[C@H]1CCN1Cc1ccc2ccc(-c3ccccc3)nc2c1 ZINC001136947763 1050247808 /nfs/dbraw/zinc/24/78/08/1050247808.db2.gz PPNANFXSAIDUIU-LJQANCHMSA-N 0 3 318.420 4.123 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H](CC4CC4)C3)co2)cc1 ZINC000573692819 1050250324 /nfs/dbraw/zinc/25/03/24/1050250324.db2.gz RHQQGTMQNXNHCN-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCCSC(C)(C)C ZINC000157577240 1050250621 /nfs/dbraw/zinc/25/06/21/1050250621.db2.gz RNVAMAKHTGQMPH-UHFFFAOYSA-N 0 3 322.518 4.307 20 0 DIADHN C[C@H](NC[C@H](O)c1cccc(F)c1)c1ccc(F)cc1Cl ZINC000157618827 1050253714 /nfs/dbraw/zinc/25/37/14/1050253714.db2.gz WQFNEDIHIPTDBC-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3nc4ccccc4s3)C2)c1 ZINC001136958043 1050254507 /nfs/dbraw/zinc/25/45/07/1050254507.db2.gz UPPUWGFYPHHROF-HNNXBMFYSA-N 0 3 324.449 4.294 20 0 DIADHN Cc1cccn2c(CN3CCC(Cc4ccccc4)CC3)cnc12 ZINC001136958670 1050254786 /nfs/dbraw/zinc/25/47/86/1050254786.db2.gz ZGWMKATXHGJYDM-UHFFFAOYSA-N 0 3 319.452 4.097 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC[C@@H]3C[C@H](O)c3ccco3)cc21 ZINC000824927938 1050258289 /nfs/dbraw/zinc/25/82/89/1050258289.db2.gz RWTUOVLTPWQTRD-APWZRJJASA-N 0 3 324.424 4.157 20 0 DIADHN Cc1ccc(N2CCN(Cc3c[nH]c4cc(C)ccc34)CC2)cc1 ZINC001136967880 1050261218 /nfs/dbraw/zinc/26/12/18/1050261218.db2.gz XHGMZFIXCGPATQ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN Cc1ccc2c(CN3CC[C@@H]3COCc3ccccc3)c[nH]c2c1 ZINC001136972974 1050264611 /nfs/dbraw/zinc/26/46/11/1050264611.db2.gz HQAUKBJSPDHUCH-LJQANCHMSA-N 0 3 320.436 4.267 20 0 DIADHN COCOc1ccc(Nc2ccnc(C(C)(C)C)c2)c(C)c1 ZINC001210490468 1050266293 /nfs/dbraw/zinc/26/62/93/1050266293.db2.gz LMOLILWOCAQCEF-UHFFFAOYSA-N 0 3 300.402 4.414 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c3cc(C)ccc23)cc1OC ZINC001136973227 1050266421 /nfs/dbraw/zinc/26/64/21/1050266421.db2.gz QJGAAVYNLYSMDV-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN Fc1cccc2[nH]cc(CN3C[C@@H]4C[C@H](C3)c3ccccc34)c21 ZINC001136986985 1050272723 /nfs/dbraw/zinc/27/27/23/1050272723.db2.gz DRFYTRVEQFNTEB-OKILXGFUSA-N 0 3 306.384 4.394 20 0 DIADHN Clc1cncc(CN2CCC3(CCc4ccccc43)CC2)c1 ZINC001136989824 1050275371 /nfs/dbraw/zinc/27/53/71/1050275371.db2.gz VDFPGWMRJREVTC-UHFFFAOYSA-N 0 3 312.844 4.215 20 0 DIADHN COc1cc(CNCc2cccc(OC(C)C)c2)ccc1Cl ZINC000825143089 1050279580 /nfs/dbraw/zinc/27/95/80/1050279580.db2.gz AUGXABBFSXWFCU-UHFFFAOYSA-N 0 3 319.832 4.426 20 0 DIADHN Cc1cc(Br)cc(CNCc2cnc(C)cc2C)c1 ZINC000825221388 1050284355 /nfs/dbraw/zinc/28/43/55/1050284355.db2.gz KQNPISJXVLFUIZ-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Fc1ccc(CN(CC2CC2)C[C@H]2CC2(Cl)Cl)cc1 ZINC000734638297 1050294719 /nfs/dbraw/zinc/29/47/19/1050294719.db2.gz IQRNLSZNSHQCHK-CYBMUJFWSA-N 0 3 302.220 4.232 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@@H](CC(F)(F)F)C2)cc1F ZINC000573962032 1050296383 /nfs/dbraw/zinc/29/63/83/1050296383.db2.gz ZKEGLERWXSDWAF-BIOMNHJASA-N 0 3 305.290 4.252 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(Cc2ccc3c(c2)COC3)C1 ZINC001137027175 1050298534 /nfs/dbraw/zinc/29/85/34/1050298534.db2.gz IIGDEIIAYJKFTO-FQEVSTJZSA-N 0 3 323.436 4.069 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1ccc2[nH]cnc2c1 ZINC001137045474 1050313261 /nfs/dbraw/zinc/31/32/61/1050313261.db2.gz KFBRCZKIWKYCCV-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1ccc2nc[nH]c2c1 ZINC001137045474 1050313268 /nfs/dbraw/zinc/31/32/68/1050313268.db2.gz KFBRCZKIWKYCCV-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Cc1cc2oc(=O)cc(CN3C4CCC3CC4)c2cc1C(C)C ZINC000843514360 1050315004 /nfs/dbraw/zinc/31/50/04/1050315004.db2.gz PLKKYPIYLMEMHH-UHFFFAOYSA-N 0 3 311.425 4.352 20 0 DIADHN c1ccc(Oc2ccc(CN3CCCC4(CC4)CC3)nn2)cc1 ZINC000626114661 1050317910 /nfs/dbraw/zinc/31/79/10/1050317910.db2.gz OIGXQVGFODZIEA-UHFFFAOYSA-N 0 3 309.413 4.035 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CCC[C@@]3(CCOC3)C2)c1 ZINC001143672889 1050332487 /nfs/dbraw/zinc/33/24/87/1050332487.db2.gz UTTFXMBBZPQTLN-MRXNPFEDSA-N 0 3 313.363 4.016 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@@]3(CCSC3)C2)c1 ZINC001171097606 1050338529 /nfs/dbraw/zinc/33/85/29/1050338529.db2.gz FHXUMKBUQVVAMC-OAHLLOKOSA-N 0 3 315.404 4.425 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2cc(C)cc(C)c2)s1 ZINC000592818462 1050342927 /nfs/dbraw/zinc/34/29/27/1050342927.db2.gz XHFGMSHQKPRMLB-HNNXBMFYSA-N 0 3 318.486 4.449 20 0 DIADHN Cc1ccc(NC2=CC[C@H]([NH+]3CCCC3)CC2)c(Cl)c1[O-] ZINC001210711755 1050343393 /nfs/dbraw/zinc/34/33/93/1050343393.db2.gz MDJUEGQPMIEVFW-AWEZNQCLSA-N 0 3 306.837 4.298 20 0 DIADHN Clc1ccc2c(c1)CC[C@H](NCc1ncccc1Cl)C2 ZINC001171100204 1050348388 /nfs/dbraw/zinc/34/83/88/1050348388.db2.gz PKBKKMGMUNREKJ-AWEZNQCLSA-N 0 3 307.224 4.036 20 0 DIADHN Cc1c(F)cc(F)cc1CN1CCC[C@H](c2ccccn2)C1 ZINC001143764333 1050350939 /nfs/dbraw/zinc/35/09/39/1050350939.db2.gz OPTYUXHOHJJTKZ-AWEZNQCLSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)Oc1ccc(CN2CCCc3sccc3C2)cc1 ZINC000592982055 1050357142 /nfs/dbraw/zinc/35/71/42/1050357142.db2.gz BEDZGUPNSQAQAV-UHFFFAOYSA-N 0 3 309.381 4.298 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccnc(SC)c2)c1 ZINC000772472417 1050363987 /nfs/dbraw/zinc/36/39/87/1050363987.db2.gz PJMYDSYGFBLLFA-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(C(F)(F)F)c(Cl)c2)CC1 ZINC001143810246 1050370234 /nfs/dbraw/zinc/37/02/34/1050370234.db2.gz OAQRRRBSZAERIQ-GFCCVEGCSA-N 0 3 321.770 4.360 20 0 DIADHN COc1ccc(CNCc2ccc(OCC3CC3)cc2)c(C)c1 ZINC000574101767 1050373214 /nfs/dbraw/zinc/37/32/14/1050373214.db2.gz GEYYDMGNRSTZQR-UHFFFAOYSA-N 0 3 311.425 4.082 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1ccc3cnccc3c1)CCC2 ZINC000785513278 1050382838 /nfs/dbraw/zinc/38/28/38/1050382838.db2.gz GHOPUVQCJNFNBB-MRXNPFEDSA-N 0 3 309.438 4.167 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN[C@H](C)c1cc2ccccc2o1 ZINC000785524394 1050384629 /nfs/dbraw/zinc/38/46/29/1050384629.db2.gz HSTRLQAHWLKUMY-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN CC(=O)Nc1cccc2c1CCN([C@H](C)c1ccccc1C)C2 ZINC000178324025 1050385372 /nfs/dbraw/zinc/38/53/72/1050385372.db2.gz LFZGMVYKIVBCRY-OAHLLOKOSA-N 0 3 308.425 4.073 20 0 DIADHN [O-]c1cccc(Nc2ccccc2C[NH+]2CCCC2)c1Cl ZINC001210854477 1050393822 /nfs/dbraw/zinc/39/38/22/1050393822.db2.gz YLENBGFJVLDBLR-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN [O-]c1cccc(Nc2ccc(CC[NH+]3CCCC3)cc2)c1Cl ZINC001210854237 1050393910 /nfs/dbraw/zinc/39/39/10/1050393910.db2.gz JNTWHWYFHMSTGE-UHFFFAOYSA-N 0 3 316.832 4.428 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc(OC4CC4)c3)cccc21 ZINC001210864853 1050397471 /nfs/dbraw/zinc/39/74/71/1050397471.db2.gz LDKMUANLUSVNHY-LJQANCHMSA-N 0 3 324.424 4.357 20 0 DIADHN Cn1ncc2c1CCCN(CC1CCC(c3ccccc3)CC1)C2 ZINC001171119010 1050397717 /nfs/dbraw/zinc/39/77/17/1050397717.db2.gz MBBXKDWSJMKEAB-UHFFFAOYSA-N 0 3 323.484 4.142 20 0 DIADHN COc1ccc(CN(C)CCSc2ccccc2)cc1F ZINC000735491681 1050404541 /nfs/dbraw/zinc/40/45/41/1050404541.db2.gz GXXJZYKAAUHZAU-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccnc(OC(C)C)c1 ZINC000785834698 1050418246 /nfs/dbraw/zinc/41/82/46/1050418246.db2.gz IMOHKSFFNJLJRD-UHFFFAOYSA-N 0 3 323.396 4.038 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCC[C@]3(CC3(F)F)C2)c1C ZINC000844433061 1050423946 /nfs/dbraw/zinc/42/39/46/1050423946.db2.gz DBGSZAVAPVABKA-SFHVURJKSA-N 0 3 321.411 4.436 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(-c2ccccc2)cc1)N(CC)CC ZINC000735804285 1050426468 /nfs/dbraw/zinc/42/64/68/1050426468.db2.gz XJRMTCATWMKYQK-IBGZPJMESA-N 0 3 310.441 4.413 20 0 DIADHN CC(C)O[C@@H](CN1CCC[C@]2(CC2(F)F)C1)c1ccccc1 ZINC000844440137 1050426685 /nfs/dbraw/zinc/42/66/85/1050426685.db2.gz BVVCRYOJYVCUKW-IRXDYDNUSA-N 0 3 309.400 4.274 20 0 DIADHN Cc1cccc(F)c1CN[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000766214570 1050432550 /nfs/dbraw/zinc/43/25/50/1050432550.db2.gz XLHAARPKBRRRBJ-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@@H](N[C@@H]1CCN(C(=O)OC(C)(C)C)C1)c1ccccc1Cl ZINC000226370399 1050436416 /nfs/dbraw/zinc/43/64/16/1050436416.db2.gz KNJNFNFIQAVJOM-CHWSQXEVSA-N 0 3 324.852 4.000 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NCc1sccc1C)CCO2 ZINC000178676721 1050468243 /nfs/dbraw/zinc/46/82/43/1050468243.db2.gz GWCFRZVCMPBFJT-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN CCN1CCN(c2ccc(N[C@H](C)c3ccccc3)cc2)CC1 ZINC000736348159 1050469254 /nfs/dbraw/zinc/46/92/54/1050469254.db2.gz NVLUTCKWZNYTBX-QGZVFWFLSA-N 0 3 309.457 4.002 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CNCCCC1CC1 ZINC000736361251 1050469331 /nfs/dbraw/zinc/46/93/31/1050469331.db2.gz RAVLCEOKYFFLHL-UHFFFAOYSA-N 0 3 317.864 4.173 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000736348150 1050469511 /nfs/dbraw/zinc/46/95/11/1050469511.db2.gz NCELNSBIVUKSON-YVEFUNNKSA-N 0 3 307.796 4.037 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3cccc(O)c3C)C2)cc1 ZINC001144118969 1050470907 /nfs/dbraw/zinc/47/09/07/1050470907.db2.gz NHDSQQUFMQELHQ-LJQANCHMSA-N 0 3 311.425 4.052 20 0 DIADHN CCc1cc(CN2CCC(OC3CCC3)CC2)cc(CC)c1O ZINC001144140194 1050476718 /nfs/dbraw/zinc/47/67/18/1050476718.db2.gz RFAJWDXWAWVNTK-UHFFFAOYSA-N 0 3 317.473 4.051 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCCOc2cccc3ccccc32)C1 ZINC000761044373 1050479245 /nfs/dbraw/zinc/47/92/45/1050479245.db2.gz JNBGJCYPJSQKAF-HNNXBMFYSA-N 0 3 323.358 4.493 20 0 DIADHN O[C@H](CN1CCCCC[C@H]1c1ccco1)c1cccc(F)c1 ZINC000736623016 1050484993 /nfs/dbraw/zinc/48/49/93/1050484993.db2.gz GJIMSHBEMHRXTG-DLBZAZTESA-N 0 3 303.377 4.069 20 0 DIADHN c1cc2ccc(CN(Cc3ccccn3)C3CCCCC3)nc2[nH]1 ZINC001144185846 1050492504 /nfs/dbraw/zinc/49/25/04/1050492504.db2.gz KURHHEGWRMTPQI-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN Fc1ccc2n[nH]c(CN3CCC[C@H](c4ccccc4)CC3)c2c1 ZINC001144201661 1050492874 /nfs/dbraw/zinc/49/28/74/1050492874.db2.gz CYFDLHFHDBYGDB-INIZCTEOSA-N 0 3 323.415 4.472 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1[nH]nc2ccc(F)cc21 ZINC001144199254 1050493737 /nfs/dbraw/zinc/49/37/37/1050493737.db2.gz AAJYICNDLOOEBT-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(Cl)c(Cl)cc2C1)c1ccno1 ZINC001171150762 1050495776 /nfs/dbraw/zinc/49/57/76/1050495776.db2.gz SXHWLLUKAOGKJU-JOYOIKCWSA-N 0 3 311.212 4.190 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCOc2cccc3ccccc32)C1 ZINC000761059007 1050503055 /nfs/dbraw/zinc/50/30/55/1050503055.db2.gz VUIYAEOEQOCHTB-CQSZACIVSA-N 0 3 309.331 4.103 20 0 DIADHN CC(C)(c1noc([C@@H]2CC[C@@H](C3CC3)N2)n1)C1CCCCC1 ZINC000844915645 1050518843 /nfs/dbraw/zinc/51/88/43/1050518843.db2.gz HCNMJHWCZWTORP-GJZGRUSLSA-N 0 3 303.450 4.131 20 0 DIADHN C[C@@H](NCC[C@H]1CCc2ccccc2N1)c1cccnc1Cl ZINC000844925496 1050522660 /nfs/dbraw/zinc/52/26/60/1050522660.db2.gz QOQTYINDMIDHQF-UKRRQHHQSA-N 0 3 315.848 4.203 20 0 DIADHN FC1CCN([C@@H]2CCc3cc(Cl)c(Cl)cc3C2)CC1 ZINC001171159510 1050542455 /nfs/dbraw/zinc/54/24/55/1050542455.db2.gz XZJINHQBECXHTG-CYBMUJFWSA-N 0 3 302.220 4.285 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2cc(F)cc(Cl)c2)c(C)o1 ZINC000787036270 1050542368 /nfs/dbraw/zinc/54/23/68/1050542368.db2.gz PGXKEAJVDNOSFV-QFYYESIMSA-N 0 3 311.784 4.073 20 0 DIADHN Cc1nc(F)ccc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000787082229 1050547838 /nfs/dbraw/zinc/54/78/38/1050547838.db2.gz QPIYQRUTWZVIOZ-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3ncccn3)cc2)cc1 ZINC000231507859 1050570100 /nfs/dbraw/zinc/57/01/00/1050570100.db2.gz ADPBQLXQHHKQSG-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN Cc1nc2ccc(Nc3cc(C(F)(F)F)ccc3N)cc2[nH]1 ZINC001213073040 1050577355 /nfs/dbraw/zinc/57/73/55/1050577355.db2.gz ATTONCJJOMZXFQ-UHFFFAOYSA-N 0 3 306.291 4.216 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)NCc1ncc(CSC)s1 ZINC000594948886 1050579362 /nfs/dbraw/zinc/57/93/62/1050579362.db2.gz AWQOLBFIJWMMAT-CQSZACIVSA-N 0 3 324.490 4.256 20 0 DIADHN CC(C)CCC[C@@H](C)NCc1nc(Br)cs1 ZINC001170071167 1050581430 /nfs/dbraw/zinc/58/14/30/1050581430.db2.gz KVVDBHYORYKJKL-SNVBAGLBSA-N 0 3 305.285 4.210 20 0 DIADHN C[C@H](N(C)Cc1ccc(Cl)nc1C(F)(F)F)C1(C)CC1 ZINC000787265195 1050582918 /nfs/dbraw/zinc/58/29/18/1050582918.db2.gz ZUBPTJMQBJMTDV-VIFPVBQESA-N 0 3 306.759 4.374 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccccc1Cl)c1cccc(F)c1 ZINC000059376219 1050582694 /nfs/dbraw/zinc/58/26/94/1050582694.db2.gz CXLRJNQRNUFCJJ-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN CCCN(Cc1ccc(F)nc1C)[C@@H]1CCCc2cccnc21 ZINC000787276924 1050585701 /nfs/dbraw/zinc/58/57/01/1050585701.db2.gz TVFJQLGBIQEMIP-QGZVFWFLSA-N 0 3 313.420 4.214 20 0 DIADHN CCCC1(C(=O)OCC2CCN(Cc3ccco3)CC2)CCC1 ZINC000772699127 1050586280 /nfs/dbraw/zinc/58/62/80/1050586280.db2.gz RMDSRDDEUHPAQX-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1cccnc1Cl ZINC000746675935 1050588675 /nfs/dbraw/zinc/58/86/75/1050588675.db2.gz HGLXQEDPSJFQII-GDBMZVCRSA-N 0 3 300.833 4.188 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1cnc(OC)c(F)c1 ZINC001144463955 1050591089 /nfs/dbraw/zinc/59/10/89/1050591089.db2.gz WYXIKRIWUIKIQB-UHFFFAOYSA-N 0 3 302.393 4.032 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1cccc(Cl)n1 ZINC000746678471 1050592287 /nfs/dbraw/zinc/59/22/87/1050592287.db2.gz XUIPKGOEHAQYJM-GDBMZVCRSA-N 0 3 300.833 4.188 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN(C)Cc1cc2ccccc2o1 ZINC000746678485 1050592338 /nfs/dbraw/zinc/59/23/38/1050592338.db2.gz YEPONLZXCQBATG-SFHVURJKSA-N 0 3 313.372 4.046 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccccc2F)[C@H]1c1cccc(F)c1 ZINC000746678090 1050595934 /nfs/dbraw/zinc/59/59/34/1050595934.db2.gz IQZIIDZZPZLOGO-UPRAQXHNSA-N 0 3 317.379 4.081 20 0 DIADHN CSCC1(CCN[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000595073457 1050598917 /nfs/dbraw/zinc/59/89/17/1050598917.db2.gz UAUIVLOLBFXWLE-SFHVURJKSA-N 0 3 312.482 4.294 20 0 DIADHN COc1cc(Cl)cc(CN2CCCC3(CC(F)C3)CC2)c1 ZINC001144490002 1050600475 /nfs/dbraw/zinc/60/04/75/1050600475.db2.gz SNKCUUPALAIVOL-UHFFFAOYSA-N 0 3 311.828 4.453 20 0 DIADHN Cc1noc(-c2ccc(Nc3ccnc(C(C)(C)C)c3)cc2)n1 ZINC001213168461 1050606839 /nfs/dbraw/zinc/60/68/39/1050606839.db2.gz ILQAKOCORLEQAH-UHFFFAOYSA-N 0 3 308.385 4.481 20 0 DIADHN CCSC1(CN[C@H](C)c2nc3ccc(Cl)cc3n2C)CC1 ZINC000595102062 1050606916 /nfs/dbraw/zinc/60/69/16/1050606916.db2.gz ZEBFKCKICJNALR-LLVKDONJSA-N 0 3 323.893 4.163 20 0 DIADHN COCCOc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001213168459 1050606991 /nfs/dbraw/zinc/60/69/91/1050606991.db2.gz ILFOXUCHEACBEG-UHFFFAOYSA-N 0 3 318.392 4.287 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc(F)c3c2OCCC3=O)ccn1 ZINC001213171058 1050607488 /nfs/dbraw/zinc/60/74/88/1050607488.db2.gz YJRYRNKFCPJXQS-UHFFFAOYSA-N 0 3 314.360 4.227 20 0 DIADHN CN(C)C(=O)Oc1cccc(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001213168319 1050607607 /nfs/dbraw/zinc/60/76/07/1050607607.db2.gz FGFGIMGMHQVWCR-UHFFFAOYSA-N 0 3 313.401 4.183 20 0 DIADHN CC(C)C[C@@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000746693553 1050611822 /nfs/dbraw/zinc/61/18/22/1050611822.db2.gz YEGMQSKKLFTGGD-OAHLLOKOSA-N 0 3 307.821 4.097 20 0 DIADHN CCSC1(CN[C@H](c2ccc(F)cc2)c2cccnc2)CC1 ZINC000595093845 1050612046 /nfs/dbraw/zinc/61/20/46/1050612046.db2.gz PCZUGEMIPNWAEN-QGZVFWFLSA-N 0 3 316.445 4.185 20 0 DIADHN CC(C)N(C(=O)c1ccc(NC2=NCCC2)cc1)C1CCCC1 ZINC001144552279 1050613245 /nfs/dbraw/zinc/61/32/45/1050613245.db2.gz ZMVURPJKDXEJIE-UHFFFAOYSA-N 0 3 313.445 4.084 20 0 DIADHN CCCCCCC(=O)N1CCCN(Cc2ccccc2)C[C@H]1C ZINC000740801467 1050628703 /nfs/dbraw/zinc/62/87/03/1050628703.db2.gz BXCXQYLRMKVXCI-GOSISDBHSA-N 0 3 316.489 4.080 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)N[C@@H]1CCc2ccc(F)c(Cl)c21 ZINC000845949704 1050632131 /nfs/dbraw/zinc/63/21/31/1050632131.db2.gz WCBMPJLQYFHVPY-LERXQTSPSA-N 0 3 323.795 4.161 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@]2(C)C[C@]2(F)Cl)cs1 ZINC000846035635 1050653466 /nfs/dbraw/zinc/65/34/66/1050653466.db2.gz KMPRUPVJHXBCPF-ZIAGYGMSSA-N 0 3 304.862 4.235 20 0 DIADHN c1ccc(C[C@H]2CCCCN2Cc2noc3c2CCCC3)cc1 ZINC000595558002 1050642149 /nfs/dbraw/zinc/64/21/49/1050642149.db2.gz OHASOOFZYWOHPV-QGZVFWFLSA-N 0 3 310.441 4.151 20 0 DIADHN Cc1ccc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)nn1 ZINC000846011054 1050646259 /nfs/dbraw/zinc/64/62/59/1050646259.db2.gz JLANXPGNJLZPST-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CC[C@H](OCCNCc1sc(C)nc1C)c1ccccc1 ZINC000846019979 1050647072 /nfs/dbraw/zinc/64/70/72/1050647072.db2.gz BYFJDKLYUUMYFY-INIZCTEOSA-N 0 3 304.459 4.017 20 0 DIADHN COc1cccc2cc(CN3CCC4(CC4(F)F)CC3)oc21 ZINC000846054026 1050659491 /nfs/dbraw/zinc/65/94/91/1050659491.db2.gz ABPBEQUMGULOAQ-UHFFFAOYSA-N 0 3 307.340 4.063 20 0 DIADHN c1cc2cccc(CN3CCC4(Cc5ccccc5O4)CC3)c2[nH]1 ZINC001144718401 1050667945 /nfs/dbraw/zinc/66/79/45/1050667945.db2.gz LQJUSPYLABTUTF-UHFFFAOYSA-N 0 3 318.420 4.138 20 0 DIADHN Cc1nc2ccc(CN3CCC[C@@]4(CC4(F)F)C3)cc2s1 ZINC000846105752 1050669440 /nfs/dbraw/zinc/66/94/40/1050669440.db2.gz XPVCPRXARSMOSW-OAHLLOKOSA-N 0 3 308.397 4.226 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2cccc(Oc3ccccc3)c2)o1 ZINC000741262603 1050669918 /nfs/dbraw/zinc/66/99/18/1050669918.db2.gz VEZVTYRCTZYMLF-IBGZPJMESA-N 0 3 323.392 4.204 20 0 DIADHN FC1(F)C[C@]12CCCN(Cc1ccc(OCC3CC3)cc1)C2 ZINC000846104937 1050670283 /nfs/dbraw/zinc/67/02/83/1050670283.db2.gz NLTITRBOTHYKMY-KRWDZBQOSA-N 0 3 307.384 4.097 20 0 DIADHN CC[C@H](NCc1cnc(-c2ccccc2)nc1)c1ccccc1 ZINC001171216478 1050676454 /nfs/dbraw/zinc/67/64/54/1050676454.db2.gz GUGKFMAHGSKTQO-IBGZPJMESA-N 0 3 303.409 4.385 20 0 DIADHN CCCN(Cc1cc(F)c(F)cc1Cl)C1CCOCC1 ZINC001144729587 1050679898 /nfs/dbraw/zinc/67/98/98/1050679898.db2.gz RPYXXCNBSCTWIT-UHFFFAOYSA-N 0 3 303.780 4.009 20 0 DIADHN C[C@]1(CCN[C@H](c2ccccc2)c2ccccn2)C[C@@]1(F)Cl ZINC000846185132 1050689306 /nfs/dbraw/zinc/68/93/06/1050689306.db2.gz PLUUQXDEMSPGPW-SQNIBIBYSA-N 0 3 318.823 4.465 20 0 DIADHN CSC[C@@H]1CCCN1Cc1cc2ccccc2c(Cl)n1 ZINC000788489112 1050690177 /nfs/dbraw/zinc/69/01/77/1050690177.db2.gz VFURCVBJNVCMTL-AWEZNQCLSA-N 0 3 306.862 4.216 20 0 DIADHN CC1(C)C[C@H](NCc2cc3ccccc3c(Cl)n2)C(C)(C)O1 ZINC000788488997 1050690326 /nfs/dbraw/zinc/69/03/26/1050690326.db2.gz NJGVQAFJFJZZLI-HNNXBMFYSA-N 0 3 318.848 4.324 20 0 DIADHN CSC[C@H]1CCCN1Cc1cc2ccccc2c(Cl)n1 ZINC000788489114 1050691317 /nfs/dbraw/zinc/69/13/17/1050691317.db2.gz VFURCVBJNVCMTL-CQSZACIVSA-N 0 3 306.862 4.216 20 0 DIADHN CC/C(=C\C(=O)Nc1cccc(CN(C)C)c1)c1ccc(C)cc1 ZINC000741535498 1050700453 /nfs/dbraw/zinc/70/04/53/1050700453.db2.gz WHENQSRVJZKMQD-NBVRZTHBSA-N 0 3 322.452 4.489 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc2scnc2c1 ZINC001144743260 1050702806 /nfs/dbraw/zinc/70/28/06/1050702806.db2.gz HGAKRGSGMOMUIU-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN CCC[C@@H](C)OC(=O)C1(C)CCN(Cc2ccccc2)CC1 ZINC000772722095 1050706101 /nfs/dbraw/zinc/70/61/01/1050706101.db2.gz BUCFMGUVBKDOHS-MRXNPFEDSA-N 0 3 303.446 4.021 20 0 DIADHN COc1ccccc1O[C@H]1CCCN(Cc2csc(C)c2)C1 ZINC001170086855 1050710100 /nfs/dbraw/zinc/71/01/00/1050710100.db2.gz YOUUWYHXKRLPRU-INIZCTEOSA-N 0 3 317.454 4.109 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccccc1Cl ZINC000788604215 1050714305 /nfs/dbraw/zinc/71/43/05/1050714305.db2.gz GYTVLBINNNGWJX-PXAZEXFGSA-N 0 3 304.821 4.489 20 0 DIADHN C[C@H](NCCC1CCCC1)c1nc(Br)ccc1F ZINC000788647252 1050717181 /nfs/dbraw/zinc/71/71/81/1050717181.db2.gz LWMWVXGUYBAMFS-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cc(F)ccc1F)[C@H](O)c1ccccc1 ZINC000788620804 1050718594 /nfs/dbraw/zinc/71/85/94/1050718594.db2.gz KKCXHVXBMILNQE-FASAQXTFSA-N 0 3 319.395 4.374 20 0 DIADHN C[C@H](NCC1(C)CCCC1)c1nc(Br)ccc1F ZINC000788639185 1050722023 /nfs/dbraw/zinc/72/20/23/1050722023.db2.gz NEJIPMBELZFRNS-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN CC/C(=C/C(=O)Nc1ccccc1CN(C)C)c1ccc(C)cc1 ZINC000741669926 1050722733 /nfs/dbraw/zinc/72/27/33/1050722733.db2.gz LHAUYYFQHHGOSX-VKAVYKQESA-N 0 3 322.452 4.489 20 0 DIADHN Cc1ccc([C@@H](CNC(=O)C2CCCCCCC2)N(C)C)cc1 ZINC000741678380 1050727572 /nfs/dbraw/zinc/72/75/72/1050727572.db2.gz QKMPCRIJLDYDNW-LJQANCHMSA-N 0 3 316.489 4.074 20 0 DIADHN C[C@@H](N[C@@H]1CCCOc2cc(Cl)ccc21)c1cc[nH]c(=O)c1 ZINC000788691952 1050728076 /nfs/dbraw/zinc/72/80/76/1050728076.db2.gz NYNASSCQRKNCCB-IAQYHMDHSA-N 0 3 318.804 4.005 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@H]2COCc3cccc(Cl)c32)CCO1 ZINC000788713482 1050731854 /nfs/dbraw/zinc/73/18/54/1050731854.db2.gz WFSRFSRLVSDZER-UXLLHSPISA-N 0 3 323.864 4.095 20 0 DIADHN Cc1ccccc1[C@H](C)N(C)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000741783356 1050738691 /nfs/dbraw/zinc/73/86/91/1050738691.db2.gz ZYWQLDPOAOOEMO-FUHWJXTLSA-N 0 3 324.424 4.013 20 0 DIADHN Cc1cnc([C@H](C)CN[C@@H]2COCc3cccc(Cl)c32)s1 ZINC000788741829 1050743462 /nfs/dbraw/zinc/74/34/62/1050743462.db2.gz VXIKZMGYFYUBRY-QMTHXVAHSA-N 0 3 322.861 4.070 20 0 DIADHN CSCCCCCCN[C@H]1COCc2cccc(Cl)c21 ZINC000788739787 1050744830 /nfs/dbraw/zinc/74/48/30/1050744830.db2.gz OXPCVYFGBIPJJZ-HNNXBMFYSA-N 0 3 313.894 4.424 20 0 DIADHN Clc1cccc2c1[C@H](N[C@H]1C[C@H](c3ccccc3)C1)COC2 ZINC000788803294 1050749393 /nfs/dbraw/zinc/74/93/93/1050749393.db2.gz REMDXLGRBQOCOE-JFIYKMOQSA-N 0 3 313.828 4.447 20 0 DIADHN CCO[C@@H](CN[C@H]1CCc2ccc(Cl)nc21)c1ccccc1 ZINC000788777648 1050751934 /nfs/dbraw/zinc/75/19/34/1050751934.db2.gz UUBFXLUEFHKAKJ-HOTGVXAUSA-N 0 3 316.832 4.090 20 0 DIADHN CCO[C@H](CN[C@H]1CCc2ccc(Cl)nc21)c1ccccc1 ZINC000788777652 1050752842 /nfs/dbraw/zinc/75/28/42/1050752842.db2.gz UUBFXLUEFHKAKJ-JKSUJKDBSA-N 0 3 316.832 4.090 20 0 DIADHN FC1(F)CCC[C@@H](CN[C@@H]2COCc3cccc(Cl)c32)C1 ZINC000788822036 1050756256 /nfs/dbraw/zinc/75/62/56/1050756256.db2.gz IPDCFHIOSSWENT-BXUZGUMPSA-N 0 3 315.791 4.326 20 0 DIADHN C[C@@H](NC1(c2ccc(Cl)c(Cl)c2)CC1)c1cc[nH]c(=O)c1 ZINC000788817327 1050757508 /nfs/dbraw/zinc/75/75/08/1050757508.db2.gz YVXDKVJBPOJGHW-SNVBAGLBSA-N 0 3 323.223 4.434 20 0 DIADHN FC1(F)CCC[C@H](CN[C@H]2COCc3cccc(Cl)c32)C1 ZINC000788822037 1050757664 /nfs/dbraw/zinc/75/76/64/1050757664.db2.gz IPDCFHIOSSWENT-FZMZJTMJSA-N 0 3 315.791 4.326 20 0 DIADHN Cc1ccc(CNCc2cccc(OCC(C)C)c2)cc1F ZINC000596647283 1050763943 /nfs/dbraw/zinc/76/39/43/1050763943.db2.gz GVEGDDUWQBQBQM-UHFFFAOYSA-N 0 3 301.405 4.459 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@@H]1c1ccc(C)c(C)c1 ZINC000491205548 1050767598 /nfs/dbraw/zinc/76/75/98/1050767598.db2.gz PYPZNKBLYBEUSX-GOSISDBHSA-N 0 3 311.425 4.355 20 0 DIADHN COc1ccc([C@H](NCc2cnc(Cl)c(F)c2)C(C)C)cc1 ZINC000846838705 1050769386 /nfs/dbraw/zinc/76/93/86/1050769386.db2.gz BGDGZWLHNACSSQ-MRXNPFEDSA-N 0 3 322.811 4.370 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H]1CCc2cc(C)ccc21)c1ccccc1 ZINC000772956996 1050782373 /nfs/dbraw/zinc/78/23/73/1050782373.db2.gz DHYNLEJAPUJPCL-WOJBJXKFSA-N 0 3 323.436 4.266 20 0 DIADHN C[C@@H]1CCC[C@H](C)[C@H]1NCc1c(F)c(F)c(F)c(F)c1F ZINC001170096000 1050782967 /nfs/dbraw/zinc/78/29/67/1050782967.db2.gz SWGZQNABYHEDAA-MSYAYJMWSA-N 0 3 307.306 4.296 20 0 DIADHN Clc1nc2ccccc2cc1CN1CC[C@@H](c2ccncc2)C1 ZINC000846907023 1050783681 /nfs/dbraw/zinc/78/36/81/1050783681.db2.gz SZTSXCAFPKFFGE-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1ccc(CN2CCC(c3ccnc4ccccc43)CC2)nc1 ZINC000846951480 1050788197 /nfs/dbraw/zinc/78/81/97/1050788197.db2.gz UEPDERXBBKLUJE-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN C[C@H](Cc1cccc(F)c1)N(C)Cc1cnc(Cl)c(F)c1 ZINC000846995314 1050795605 /nfs/dbraw/zinc/79/56/05/1050795605.db2.gz ZOJHNVVBROMZMS-LLVKDONJSA-N 0 3 310.775 4.076 20 0 DIADHN COc1cc(F)c(Nc2ccccc2CN(C)C)cc1Cl ZINC001214067553 1050809043 /nfs/dbraw/zinc/80/90/43/1050809043.db2.gz FMQJYNIMOLQYPC-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1CCCCOC(C)(C)C ZINC000789320631 1050816299 /nfs/dbraw/zinc/81/62/99/1050816299.db2.gz MHGDHNQYWRTTAB-AOIWGVFYSA-N 0 3 319.489 4.432 20 0 DIADHN COc1ccc(CN[C@H]2CCc3c2cccc3F)cc1Cl ZINC000742368854 1050821952 /nfs/dbraw/zinc/82/19/52/1050821952.db2.gz KJYALRFWRWBIPU-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN CC[C@@H](NCc1ccc(OC(C)C)c(OC)c1)c1ccncc1 ZINC000742371855 1050835767 /nfs/dbraw/zinc/83/57/67/1050835767.db2.gz AEUFYTGBPCRBEC-QGZVFWFLSA-N 0 3 314.429 4.118 20 0 DIADHN CCCCC[C@@H](C)CC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC000742474093 1050861683 /nfs/dbraw/zinc/86/16/83/1050861683.db2.gz SNJMECJPQJLZPA-AEFFLSMTSA-N 0 3 304.478 4.012 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cccc(O)c1)c1ccccc1Cl ZINC000669616337 1050864337 /nfs/dbraw/zinc/86/43/37/1050864337.db2.gz WGGLJNBBDJINCW-PXAZEXFGSA-N 0 3 305.805 4.084 20 0 DIADHN FC1(F)CC12CCN(Cc1ccc(Cl)nc1Cl)CC2 ZINC000405109542 1050871728 /nfs/dbraw/zinc/87/17/28/1050871728.db2.gz BNTIIMCCIYPAQO-UHFFFAOYSA-N 0 3 307.171 4.010 20 0 DIADHN CCS[C@H]1CCC[C@H]1NCc1cc(-c2ccc(F)cc2)no1 ZINC001170118502 1050878673 /nfs/dbraw/zinc/87/86/73/1050878673.db2.gz QYBZOUCTPAEWEW-WBVHZDCISA-N 0 3 320.433 4.245 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1coc(-c2c(F)cccc2F)n1 ZINC001171305604 1050879122 /nfs/dbraw/zinc/87/91/22/1050879122.db2.gz CUVOCKAEACJXJU-GRYCIOLGSA-N 0 3 306.356 4.096 20 0 DIADHN Cc1ccc(C)c(C(=O)N(c2ccccc2)C2CCN(C)CC2)c1 ZINC000601957088 1050880911 /nfs/dbraw/zinc/88/09/11/1050880911.db2.gz NLYICVWGCWQMAV-UHFFFAOYSA-N 0 3 322.452 4.044 20 0 DIADHN C[C@H]1[C@@H](C)N(Cc2nc3ccc(Br)cc3o2)C[C@H]1C ZINC001171308687 1050887261 /nfs/dbraw/zinc/88/72/61/1050887261.db2.gz SPNALHIRWFYHPW-GMTAPVOTSA-N 0 3 323.234 4.067 20 0 DIADHN Cc1ccc(CN2C[C@H](C(=O)OC(C)(C)C)CC[C@@H]2C)cc1F ZINC001171308378 1050887463 /nfs/dbraw/zinc/88/74/63/1050887463.db2.gz QWSXJECQCJPXFQ-GOEBONIOSA-N 0 3 321.436 4.076 20 0 DIADHN C[C@@H]1CCCN(Cc2ccc(Br)c3cccnc23)C1 ZINC001231953466 1050910912 /nfs/dbraw/zinc/91/09/12/1050910912.db2.gz RCRLGOOITTURAW-GFCCVEGCSA-N 0 3 319.246 4.229 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@@H](CC(F)(F)F)C2)cc1 ZINC001171318654 1050911968 /nfs/dbraw/zinc/91/19/68/1050911968.db2.gz CWYSLKNWVAZLTP-LBPRGKRZSA-N 0 3 323.305 4.452 20 0 DIADHN COc1cccc(-c2ccc(CN[C@H](C)c3c[nH]nc3C)cc2)c1 ZINC000180642975 1050941592 /nfs/dbraw/zinc/94/15/92/1050941592.db2.gz SBNLFXXDKCZGHP-CQSZACIVSA-N 0 3 321.424 4.245 20 0 DIADHN CCC[C@H](NCC(=O)N(C(C)C)C1CCCC1)c1ccccc1 ZINC001168773191 1050951057 /nfs/dbraw/zinc/95/10/57/1050951057.db2.gz BMELUBFKKWEAOM-IBGZPJMESA-N 0 3 316.489 4.297 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](C)c1ccc(-c2cccnc2)cc1 ZINC000180700743 1050958385 /nfs/dbraw/zinc/95/83/85/1050958385.db2.gz TZDVJLPXJISCOY-KGLIPLIRSA-N 0 3 306.413 4.192 20 0 DIADHN CS[C@@H]1CC[C@H](NCc2c(F)cccc2OC(F)(F)F)C1 ZINC000790549751 1050970713 /nfs/dbraw/zinc/97/07/13/1050970713.db2.gz ZTYDZMGQSFLSCE-VHSXEESVSA-N 0 3 323.355 4.098 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000790715711 1050988557 /nfs/dbraw/zinc/98/85/57/1050988557.db2.gz SMEOVWYUKBKGGA-UKRRQHHQSA-N 0 3 306.450 4.078 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccc(F)cc1)c1cccc(Cl)c1 ZINC000743258443 1051002061 /nfs/dbraw/zinc/00/20/61/1051002061.db2.gz SRDCOLSBJYSUTH-MSOLQXFVSA-N 0 3 319.807 4.350 20 0 DIADHN C[C@@H](NC[C@H](O)c1cccc(Cl)c1)c1ccccc1Cl ZINC000743250501 1051003806 /nfs/dbraw/zinc/00/38/06/1051003806.db2.gz ZUQCJTJQLZBVFC-BZNIZROVSA-N 0 3 310.224 4.378 20 0 DIADHN OCC[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(Cl)cc1 ZINC000348204688 1051006004 /nfs/dbraw/zinc/00/60/04/1051006004.db2.gz HSQSBIYUWLRYAE-ROUUACIJSA-N 0 3 319.807 4.180 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@H](Cc1ccccc1)c1cccs1 ZINC000180903859 1051016046 /nfs/dbraw/zinc/01/60/46/1051016046.db2.gz KGFBUHHPYVBECN-CXAGYDPISA-N 0 3 311.454 4.414 20 0 DIADHN CCCCN(CN1C(=O)C[C@H]1c1ccc(Cl)cc1)[C@@H](C)CC ZINC000743354410 1051017315 /nfs/dbraw/zinc/01/73/15/1051017315.db2.gz PDVGAVVIGHQMCI-YOEHRIQHSA-N 0 3 322.880 4.472 20 0 DIADHN COc1ccc(-c2ccc(CN[C@@H](C)c3c[nH]nc3C)cc2)cc1 ZINC000180921325 1051021650 /nfs/dbraw/zinc/02/16/50/1051021650.db2.gz AHHLCYQRFUFCCJ-AWEZNQCLSA-N 0 3 321.424 4.245 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cn2ccc(-c3ccsc3)n2)C1 ZINC000743400011 1051023624 /nfs/dbraw/zinc/02/36/24/1051023624.db2.gz PQJDEOBSSYRMFQ-CABCVRRESA-N 0 3 321.515 4.175 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cn2ccc(-c3ccccc3)n2)C1 ZINC000743399269 1051023829 /nfs/dbraw/zinc/02/38/29/1051023829.db2.gz NGEZFEONTHANKA-IAGOWNOFSA-N 0 3 315.486 4.114 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cn2ccc(-c3ccsc3)n2)C1 ZINC000743400012 1051024091 /nfs/dbraw/zinc/02/40/91/1051024091.db2.gz PQJDEOBSSYRMFQ-GJZGRUSLSA-N 0 3 321.515 4.175 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000773024618 1051028199 /nfs/dbraw/zinc/02/81/99/1051028199.db2.gz JRDUKEIUEUUJAJ-NWDGAFQWSA-N 0 3 322.836 4.317 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C)o1)[C@@H](O)c1c(F)cccc1F ZINC000773027844 1051029110 /nfs/dbraw/zinc/02/91/10/1051029110.db2.gz GFSZEIZIIQWNIO-HYSWKAIVSA-N 0 3 309.356 4.029 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)[C@@H]1Oc2ccccc2[C@H]1C ZINC000773043219 1051033249 /nfs/dbraw/zinc/03/32/49/1051033249.db2.gz MQPMKCGCERLXNJ-IPJQOSJUSA-N 0 3 316.832 4.339 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1Cl)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000773043216 1051034584 /nfs/dbraw/zinc/03/45/84/1051034584.db2.gz MQPMKCGCERLXNJ-APNQJHFZSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(C(=O)N3CCCC3)cc2)c1 ZINC000743465366 1051034823 /nfs/dbraw/zinc/03/48/23/1051034823.db2.gz ZQRGKYZTDTUJJD-KRWDZBQOSA-N 0 3 322.452 4.082 20 0 DIADHN CC[C@H](C)NCc1c(C)nn(-c2ccc(Cl)cc2)c1Cl ZINC000743501688 1051037482 /nfs/dbraw/zinc/03/74/82/1051037482.db2.gz DCVNNIDDVGWFQC-JTQLQIEISA-N 0 3 312.244 4.376 20 0 DIADHN CC(C)OC1CCC(N[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000181000865 1051039195 /nfs/dbraw/zinc/03/91/95/1051039195.db2.gz QGUSYVCDWOPLPL-GZNCHQMQSA-N 0 3 324.468 4.497 20 0 DIADHN CCCCn1nc(C)c(CNCCCC(F)(F)F)c1Cl ZINC000743495714 1051039244 /nfs/dbraw/zinc/03/92/44/1051039244.db2.gz IFYVOWZBEZJWLJ-UHFFFAOYSA-N 0 3 311.779 4.077 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@H](CC)Oc1cccc(C)c1 ZINC000773049615 1051039369 /nfs/dbraw/zinc/03/93/69/1051039369.db2.gz SWLNQLDGQJTKAU-ZBFHGGJFSA-N 0 3 316.445 4.362 20 0 DIADHN Cn1cc(CN[C@@H]2CCc3ccc(F)cc32)c(-c2ccccc2)n1 ZINC000181035504 1051045525 /nfs/dbraw/zinc/04/55/25/1051045525.db2.gz QNCUBOSUCQAXCI-LJQANCHMSA-N 0 3 321.399 4.003 20 0 DIADHN O[C@H](CNC1(c2ccc(Cl)c(Cl)c2)CC1)c1ccccc1 ZINC000791473360 1051054722 /nfs/dbraw/zinc/05/47/22/1051054722.db2.gz OJYZMLPRGXLRDA-MRXNPFEDSA-N 0 3 322.235 4.306 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc[nH]2)cc1Br ZINC000773115551 1051065402 /nfs/dbraw/zinc/06/54/02/1051065402.db2.gz GAYZHMUBCZNZKE-QWRGUYRKSA-N 0 3 323.234 4.198 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@H](C)c1ccc[nH]1 ZINC000773116310 1051067209 /nfs/dbraw/zinc/06/72/09/1051067209.db2.gz JEBUQGOLCDXODP-NEPJUHHUSA-N 0 3 312.442 4.253 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(Br)c1)c1ccc[nH]1 ZINC000773117856 1051067469 /nfs/dbraw/zinc/06/74/69/1051067469.db2.gz LXAKOSLTHYOVIY-VHSXEESVSA-N 0 3 311.198 4.328 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(F)c(Br)c1)c1ccc[nH]1 ZINC000773117855 1051067704 /nfs/dbraw/zinc/06/77/04/1051067704.db2.gz LXAKOSLTHYOVIY-UWVGGRQHSA-N 0 3 311.198 4.328 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N(C)CCC(C)=O)cc1 ZINC000772779078 1051070061 /nfs/dbraw/zinc/07/00/61/1051070061.db2.gz XXOWFLSRWSQKLA-INIZCTEOSA-N 0 3 311.425 4.334 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1C[C@@H](O)c1cccc(Cl)c1 ZINC000743850435 1051071859 /nfs/dbraw/zinc/07/18/59/1051071859.db2.gz WUSKSYPFFUGHEP-RTBURBONSA-N 0 3 315.844 4.383 20 0 DIADHN Cc1ccc([C@@H](NCCCCCCCO)c2ccccn2)cc1 ZINC000791633376 1051072914 /nfs/dbraw/zinc/07/29/14/1051072914.db2.gz JDBGSOFQYASBCQ-HXUWFJFHSA-N 0 3 312.457 4.012 20 0 DIADHN CCCCCn1cc(CN[C@@H]2CCc3cc(C)ccc32)c(C)n1 ZINC000773139765 1051077941 /nfs/dbraw/zinc/07/79/41/1051077941.db2.gz QIPKIZPDSFNUPT-HXUWFJFHSA-N 0 3 311.473 4.467 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](O)c1cccc(Cl)c1 ZINC000743843102 1051082999 /nfs/dbraw/zinc/08/29/99/1051082999.db2.gz QARSHQNQRPOJIL-SFHVURJKSA-N 0 3 321.823 4.425 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2cccc(Cl)c2)[C@H](c2ccco2)C1 ZINC000743875303 1051084008 /nfs/dbraw/zinc/08/40/08/1051084008.db2.gz LODZEQWUZGBYIT-XOKHGSTOSA-N 0 3 319.832 4.440 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC3(CCCC3)C2)c(C)c1 ZINC000743864822 1051084254 /nfs/dbraw/zinc/08/42/54/1051084254.db2.gz UYXSZVNUPQYJPA-QGZVFWFLSA-N 0 3 314.473 4.205 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)CCN2C[C@H](O)c2cccc(F)c2)o1 ZINC000743871297 1051085877 /nfs/dbraw/zinc/08/58/77/1051085877.db2.gz ASVXIDDLAMMKNW-BVGQSLNGSA-N 0 3 317.404 4.234 20 0 DIADHN CSc1ccc(NC(=O)[C@H](C)N2CCC3(CCCC3)C2)cc1 ZINC000743863135 1051087709 /nfs/dbraw/zinc/08/77/09/1051087709.db2.gz WGUKRDDEDSGJJO-AWEZNQCLSA-N 0 3 318.486 4.002 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1CCc2c(F)cccc2C1 ZINC001171408152 1051095732 /nfs/dbraw/zinc/09/57/32/1051095732.db2.gz KOHMKHXISVOYML-GXTWGEPZSA-N 0 3 317.379 4.182 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2ncc(Br)s2)C1 ZINC000714945667 1051097486 /nfs/dbraw/zinc/09/74/86/1051097486.db2.gz KSZZVCYQWGNRPH-GHMZBOCLSA-N 0 3 317.296 4.210 20 0 DIADHN COCCN(Cc1cc(Cl)nc(Cl)c1)[C@H]1CC[C@@H](C)C1 ZINC000792464971 1051139126 /nfs/dbraw/zinc/13/91/26/1051139126.db2.gz PWAHIAHFUURMDP-YPMHNXCESA-N 0 3 317.260 4.025 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000792180888 1051124246 /nfs/dbraw/zinc/12/42/46/1051124246.db2.gz NQBFADRMQUAUBB-COPLHBTASA-N 0 3 305.809 4.229 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000792180889 1051124354 /nfs/dbraw/zinc/12/43/54/1051124354.db2.gz NQBFADRMQUAUBB-MJVIPROJSA-N 0 3 305.809 4.229 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)c(F)cc2C1)c1c(F)cccc1F ZINC001171463208 1051142327 /nfs/dbraw/zinc/14/23/27/1051142327.db2.gz OEGDYTUPCKBSNR-GXFFZTMASA-N 0 3 323.333 4.451 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1CCc2cc(F)c(F)cc2C1 ZINC001171496679 1051146215 /nfs/dbraw/zinc/14/62/15/1051146215.db2.gz QFURQKXLIGGOSI-AWEZNQCLSA-N 0 3 323.333 4.232 20 0 DIADHN C[C@H]1CC2(CN1Cc1cccc(Cl)c1Cl)CCOCC2 ZINC001171480351 1051151213 /nfs/dbraw/zinc/15/12/13/1051151213.db2.gz QPALRAUZEWIFFV-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN c1cc([C@H]2CCCCN2CCc2ccc3ccccc3c2)n[nH]1 ZINC001170195537 1051153360 /nfs/dbraw/zinc/15/33/60/1051153360.db2.gz UHQJWFZRZKVYBB-HXUWFJFHSA-N 0 3 305.425 4.333 20 0 DIADHN Cc1ccc(CN(Cc2ccc(Cl)cc2)CC2CC2)cn1 ZINC001171501595 1051156298 /nfs/dbraw/zinc/15/62/98/1051156298.db2.gz JXCMOKOMWIQUCF-UHFFFAOYSA-N 0 3 300.833 4.456 20 0 DIADHN CC[C@H]1C[C@H](N2CCc3c(cccc3C(F)(F)F)C2)CCO1 ZINC001170235882 1051157327 /nfs/dbraw/zinc/15/73/27/1051157327.db2.gz PPPCMAIUZYPEFM-KGLIPLIRSA-N 0 3 313.363 4.021 20 0 DIADHN C[C@H](C1CC1)N(C(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001171588209 1051162214 /nfs/dbraw/zinc/16/22/14/1051162214.db2.gz AFUOZGAFOOVGLH-TWMKSMIVSA-N 0 3 314.473 4.079 20 0 DIADHN Cc1cccc2c1CC[C@@H](n1c3ccccc3nc1[C@@H](C)N)C2 ZINC001171550686 1051163280 /nfs/dbraw/zinc/16/32/80/1051163280.db2.gz YREPZQPVQMHTGG-GDBMZVCRSA-N 0 3 305.425 4.094 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1cc(F)cc(F)c1F ZINC001170251439 1051174025 /nfs/dbraw/zinc/17/40/25/1051174025.db2.gz ZTKKVDULKZULFF-SNVBAGLBSA-N 0 3 313.750 4.478 20 0 DIADHN Brc1ccc2c(c1)CN(CC1CCCCC1)CC2 ZINC001170252667 1051174091 /nfs/dbraw/zinc/17/40/91/1051174091.db2.gz FMXIKZCADMKZPJ-UHFFFAOYSA-N 0 3 308.263 4.388 20 0 DIADHN CC(C)C[C@H]1CC(=O)N(CN[C@H](c2ccccc2)C(C)(C)C)C1 ZINC000744430708 1051177127 /nfs/dbraw/zinc/17/71/27/1051177127.db2.gz HPIBAGLWHMZRHZ-QFBILLFUSA-N 0 3 316.489 4.216 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CC[C@H](C)C3)cs2)cc1 ZINC000744447487 1051178266 /nfs/dbraw/zinc/17/82/66/1051178266.db2.gz KMUMRUXFYBCJCD-GXTWGEPZSA-N 0 3 302.443 4.097 20 0 DIADHN Cc1ccc(CC[C@H](C)NCC(=O)c2ccc(Cl)cc2)cc1 ZINC001171658184 1051184115 /nfs/dbraw/zinc/18/41/15/1051184115.db2.gz PKWPSFFCDHUSMC-HNNXBMFYSA-N 0 3 315.844 4.442 20 0 DIADHN C=C/C=C/CCN1CC[C@@H](c2cccc(Br)c2)C1 ZINC001170413674 1051205621 /nfs/dbraw/zinc/20/56/21/1051205621.db2.gz ZEIHSCVIBUCFRJ-NHZBNJEXSA-N 0 3 306.247 4.371 20 0 DIADHN c1ccc([C@H]2C[C@@H]3[C@@H](CCCN3CCCc3ccncc3)O2)cc1 ZINC001171677923 1051191474 /nfs/dbraw/zinc/19/14/74/1051191474.db2.gz LKCJAUAUIFBUKO-NJDAHSKKSA-N 0 3 322.452 4.009 20 0 DIADHN CN1CCc2ccc(Nc3ccc(F)c(F)c3Cl)cc2C1 ZINC001215406862 1051191682 /nfs/dbraw/zinc/19/16/82/1051191682.db2.gz FVSBZBWIIVVSJP-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN Cc1ccc(CC[C@@H](C)N2CC3(C2)CC(F)(F)CS3)cc1 ZINC001171680986 1051192173 /nfs/dbraw/zinc/19/21/73/1051192173.db2.gz URQZHWANUCEKJG-CQSZACIVSA-N 0 3 311.441 4.143 20 0 DIADHN Cc1ccc2sc(CN[C@H]3C[C@@H](OC(C)C)C3(C)C)nc2c1 ZINC001170399317 1051197856 /nfs/dbraw/zinc/19/78/56/1051197856.db2.gz HUAYTYDZYHJAOS-JKSUJKDBSA-N 0 3 318.486 4.286 20 0 DIADHN CO[C@@H]1[C@H](C)[C@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170400624 1051201336 /nfs/dbraw/zinc/20/13/36/1051201336.db2.gz VXTOUKUGTDMBGD-NFMODRRSSA-N 0 3 320.235 4.282 20 0 DIADHN CC[C@@H]1c2ccc(OC)c(OC)c2CCN1CC1=CCCC1 ZINC001171729890 1051203026 /nfs/dbraw/zinc/20/30/26/1051203026.db2.gz OIOHGWYQOLOSNN-QGZVFWFLSA-N 0 3 301.430 4.123 20 0 DIADHN CC[C@H](Cc1ccc2c(c1)OCO2)N[C@H](CF)c1ccccc1 ZINC001170418579 1051209193 /nfs/dbraw/zinc/20/91/93/1051209193.db2.gz WDFNCURNHKKORM-IAGOWNOFSA-N 0 3 315.388 4.037 20 0 DIADHN Fc1cccc2c1C[C@@H](N[C@H](c1ccccc1)c1ccncc1)C2 ZINC001171774428 1051211342 /nfs/dbraw/zinc/21/13/42/1051211342.db2.gz ZTXBNPHBCSYZLA-GHTZIAJQSA-N 0 3 318.395 4.067 20 0 DIADHN Cc1ccc(Cl)c(CN[C@@H]2Cc3cccc(F)c3C2)c1F ZINC001171774131 1051211591 /nfs/dbraw/zinc/21/15/91/1051211591.db2.gz VTJFBXYBOSWDIW-GFCCVEGCSA-N 0 3 307.771 4.184 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1nc(-c3ccccc3)cs1)C2 ZINC001171780975 1051217503 /nfs/dbraw/zinc/21/75/03/1051217503.db2.gz UXRRLCARAFBCAI-OAHLLOKOSA-N 0 3 324.424 4.206 20 0 DIADHN C[C@H](N[C@@H]1Cc2cccc(F)c2C1)c1c(F)cccc1Cl ZINC001171780701 1051218220 /nfs/dbraw/zinc/21/82/20/1051218220.db2.gz OXRDSEJLMZALDE-CMPLNLGQSA-N 0 3 307.771 4.436 20 0 DIADHN CN(CC1CCCC1)[C@@H](CO)c1cccc(Cl)c1Cl ZINC001171805114 1051222401 /nfs/dbraw/zinc/22/24/01/1051222401.db2.gz NYPBOZMNMFFLGS-AWEZNQCLSA-N 0 3 302.245 4.149 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CC[C@H](C2CCCCC2)C1 ZINC001171799686 1051222948 /nfs/dbraw/zinc/22/29/48/1051222948.db2.gz QYHLLCQIIXPHDM-HOCLYGCPSA-N 0 3 318.436 4.055 20 0 DIADHN Cc1nc([C@H](C)N[C@@H](C)CCc2ccc(O)cc2)c(C)s1 ZINC000037140126 1051223772 /nfs/dbraw/zinc/22/37/72/1051223772.db2.gz BTGGNIUQJLPVDT-RYUDHWBXSA-N 0 3 304.459 4.137 20 0 DIADHN CC(C)Oc1cc(Nc2ccc3c(c2)CN(C)CC3)ccc1F ZINC001215505475 1051224192 /nfs/dbraw/zinc/22/41/92/1051224192.db2.gz ZZWAWRSTZUZIAA-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)c1 ZINC000792901194 1051224986 /nfs/dbraw/zinc/22/49/86/1051224986.db2.gz KJCJFRGALOUNCW-TUKIKUTGSA-N 0 3 314.256 4.272 20 0 DIADHN C[C@@]12CCN(CCOC3CCCCCC3)C[C@@H]1C2(Cl)Cl ZINC000792904661 1051225793 /nfs/dbraw/zinc/22/57/93/1051225793.db2.gz PCAGYUFFBIJVLL-LSDHHAIUSA-N 0 3 320.304 4.242 20 0 DIADHN Fc1cc(F)cc([C@H]2CCCN2[C@H]2Cc3cccc(F)c3C2)c1 ZINC001171811988 1051228861 /nfs/dbraw/zinc/22/88/61/1051228861.db2.gz KHALFNKADZLONI-QFBILLFUSA-N 0 3 317.354 4.408 20 0 DIADHN COCC[C@@H](NC/C=C\Cl)c1ccc(Cl)c(Cl)c1 ZINC000792926731 1051230250 /nfs/dbraw/zinc/23/02/50/1051230250.db2.gz NFRUERULEVBBMO-IUAZIXFQSA-N 0 3 308.636 4.413 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1noc2c1CC(C)(C)CC2 ZINC001171853857 1051233721 /nfs/dbraw/zinc/23/37/21/1051233721.db2.gz MVGQLKBKWXQHJE-SUMWQHHRSA-N 0 3 310.441 4.008 20 0 DIADHN Cc1ccc(CN2CCc3ccccc3C(C)(C)C2)c(Cl)n1 ZINC001171906815 1051238455 /nfs/dbraw/zinc/23/84/55/1051238455.db2.gz PZENLFWSVWTVIC-UHFFFAOYSA-N 0 3 314.860 4.379 20 0 DIADHN CC1(C)CN(CCSC(F)(F)F)CCc2ccccc21 ZINC001171906554 1051238785 /nfs/dbraw/zinc/23/87/85/1051238785.db2.gz MMMAOYUSANDSBP-UHFFFAOYSA-N 0 3 303.393 4.075 20 0 DIADHN C[C@@H](N[C@H]1CSc2ccccc21)c1cccc(-n2ccnc2)c1 ZINC000766327241 1051246611 /nfs/dbraw/zinc/24/66/11/1051246611.db2.gz VPSSDJDSEGDDMH-KDOFPFPSSA-N 0 3 321.449 4.370 20 0 DIADHN C[C@@H](N[C@@H]1CSc2ccccc21)c1cccc(-n2ccnc2)c1 ZINC000766327245 1051247000 /nfs/dbraw/zinc/24/70/00/1051247000.db2.gz VPSSDJDSEGDDMH-RDTXWAMCSA-N 0 3 321.449 4.370 20 0 DIADHN CCC[C@H](NCc1cc(F)c(F)cc1F)[C@@H](O)c1ccccc1 ZINC000793241751 1051269108 /nfs/dbraw/zinc/26/91/08/1051269108.db2.gz XIZMAZJHXWVHRO-ROUUACIJSA-N 0 3 323.358 4.096 20 0 DIADHN CCCOc1ccc(-c2cnccc2/C=C/N(C)C)c(F)c1 ZINC001206155359 1051272225 /nfs/dbraw/zinc/27/22/25/1051272225.db2.gz VVCHVDZXFKNJTR-CSKARUKUSA-N 0 3 300.377 4.209 20 0 DIADHN CCC[C@H](NCc1cscc1Cl)[C@H](O)c1ccccc1 ZINC000793245463 1051273975 /nfs/dbraw/zinc/27/39/75/1051273975.db2.gz XYHFGIHTIASEFI-JKSUJKDBSA-N 0 3 309.862 4.393 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNCCCc1ccccc1F ZINC000793346226 1051290815 /nfs/dbraw/zinc/29/08/15/1051290815.db2.gz IGHSGFVIEOBWIP-UHFFFAOYSA-N 0 3 323.843 4.058 20 0 DIADHN CCn1cc(Nc2cccc(CN3CCCCC3)c2F)c(C)n1 ZINC001215675640 1051292891 /nfs/dbraw/zinc/29/28/91/1051292891.db2.gz DXHUJXOWLOBYJF-UHFFFAOYSA-N 0 3 316.424 4.080 20 0 DIADHN Cc1ncc(Nc2cccc(CN3CCCCC3)c2F)s1 ZINC001215675652 1051293035 /nfs/dbraw/zinc/29/30/35/1051293035.db2.gz NIZXEBMHAXTZGA-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN Fc1cc(Nc2cccc(CN3CCCCC3)c2F)cc(F)n1 ZINC001215675999 1051294120 /nfs/dbraw/zinc/29/41/20/1051294120.db2.gz ZUGFTMCPRPYSDK-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Cc1cc(F)ncc1Nc1cccc(CN2CCCCC2)c1F ZINC001215675860 1051294146 /nfs/dbraw/zinc/29/41/46/1051294146.db2.gz JCHHRXIIRSAZJQ-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1ccn2ccnc2c1 ZINC001215676598 1051294419 /nfs/dbraw/zinc/29/44/19/1051294419.db2.gz GEVGVPBAHCRONY-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](Cc2ccccc2)c2ccccc2)n(C)n1 ZINC000793520658 1051306816 /nfs/dbraw/zinc/30/68/16/1051306816.db2.gz VBDFWSCMDPEGNU-XLIONFOSSA-N 0 3 319.452 4.363 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H]1CSc2ccccc2C1 ZINC001172186037 1051308358 /nfs/dbraw/zinc/30/83/58/1051308358.db2.gz WNWBRKQSIYSOCC-OAHLLOKOSA-N 0 3 319.857 4.155 20 0 DIADHN Fc1cccc(CN[C@@H]2CSc3ccccc3C2)c1Cl ZINC001172188217 1051308573 /nfs/dbraw/zinc/30/85/73/1051308573.db2.gz RCXDYEPRERWLQO-ZDUSSCGKSA-N 0 3 307.821 4.286 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C2CCCC2)n(C)n1 ZINC000793526189 1051309755 /nfs/dbraw/zinc/30/97/55/1051309755.db2.gz KQSNGJAPIASLTP-AUUYWEPGSA-N 0 3 315.436 4.450 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1cc(C)nn1C)c1ccc(OC)cc1 ZINC000793529275 1051310157 /nfs/dbraw/zinc/31/01/57/1051310157.db2.gz WMPDQZGNDYHOHC-YJBOKZPZSA-N 0 3 315.461 4.319 20 0 DIADHN Fc1c(Cl)cccc1CN[C@H]1CSc2ccccc2C1 ZINC001172191307 1051310109 /nfs/dbraw/zinc/31/01/09/1051310109.db2.gz QKWHPTQZXRZZDP-CYBMUJFWSA-N 0 3 307.821 4.286 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000746049446 1051310731 /nfs/dbraw/zinc/31/07/31/1051310731.db2.gz PFBZQQLVATXGLW-SJKOYZFVSA-N 0 3 313.470 4.235 20 0 DIADHN Cc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)n1 ZINC001215710614 1051316509 /nfs/dbraw/zinc/31/65/09/1051316509.db2.gz ZXUKZTCDKGVMEA-UHFFFAOYSA-N 0 3 317.383 4.398 20 0 DIADHN OCc1cccc(Nc2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001215711846 1051318953 /nfs/dbraw/zinc/31/89/53/1051318953.db2.gz JIILQUYDRVPZPR-UHFFFAOYSA-N 0 3 314.404 4.048 20 0 DIADHN Cc1noc(C)c1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215711463 1051319150 /nfs/dbraw/zinc/31/91/50/1051319150.db2.gz UDGYWNQNOBIEAW-UHFFFAOYSA-N 0 3 303.381 4.160 20 0 DIADHN CCc1cc(Nc2ccc(CN3CCCCC3)c(F)c2)on1 ZINC001215711460 1051319291 /nfs/dbraw/zinc/31/92/91/1051319291.db2.gz UBHJMZVMNCZQSE-UHFFFAOYSA-N 0 3 303.381 4.106 20 0 DIADHN Oc1ccccc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215712636 1051319712 /nfs/dbraw/zinc/31/97/12/1051319712.db2.gz WVWHZUCOIVTHTH-UHFFFAOYSA-N 0 3 300.377 4.261 20 0 DIADHN Cc1cccc(N)c1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215712669 1051319742 /nfs/dbraw/zinc/31/97/42/1051319742.db2.gz ZAOKWGNFQBTRHN-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC(C)(C)CCc3ccccc32)n(C)n1 ZINC000793566129 1051320502 /nfs/dbraw/zinc/32/05/02/1051320502.db2.gz MJJLRAPISCWIJH-MAUKXSAKSA-N 0 3 311.473 4.483 20 0 DIADHN COc1cc(F)c(Nc2ccnc(C3CCC3)c2)cc1OC ZINC001215718776 1051321235 /nfs/dbraw/zinc/32/12/35/1051321235.db2.gz DCZCSKFXAYATRN-UHFFFAOYSA-N 0 3 302.349 4.249 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](c2ccc(F)cc2)C(C)(C)C)n(C)n1 ZINC000793529988 1051321869 /nfs/dbraw/zinc/32/18/69/1051321869.db2.gz VQDUJJHNHRRBLC-DYVFJYSZSA-N 0 3 303.425 4.306 20 0 DIADHN CC(C)(N[C@H]1CSc2ccccc2C1)c1cc(Cl)ccn1 ZINC001172192969 1051323241 /nfs/dbraw/zinc/32/32/41/1051323241.db2.gz IKCNJZSQMMAAQQ-CQSZACIVSA-N 0 3 318.873 4.277 20 0 DIADHN Clc1ccc2c(c1)OC[C@H]2N[C@@H]1CSc2ccccc2C1 ZINC001172196465 1051323286 /nfs/dbraw/zinc/32/32/86/1051323286.db2.gz FVNWTAJQGKDOKI-DZGCQCFKSA-N 0 3 317.841 4.080 20 0 DIADHN C[C@H](N[C@H]1CSc2ccccc2C1)c1cc(F)ccc1F ZINC001172199647 1051325818 /nfs/dbraw/zinc/32/58/18/1051325818.db2.gz VYHVZLZVPWIRRP-SMDDNHRTSA-N 0 3 305.393 4.332 20 0 DIADHN CC1(C)C[C@@H](NCc2ccccc2Oc2ccc(F)cc2)CO1 ZINC001172277747 1051328615 /nfs/dbraw/zinc/32/86/15/1051328615.db2.gz YZBGFBCXTCNYCB-MRXNPFEDSA-N 0 3 315.388 4.275 20 0 DIADHN CC(C)C[NH2+]Cc1ccc(Nc2cc([O-])c(F)cc2F)cc1 ZINC001215745163 1051338867 /nfs/dbraw/zinc/33/88/67/1051338867.db2.gz IVCHTMLMAGFYQX-UHFFFAOYSA-N 0 3 306.356 4.160 20 0 DIADHN CC[C@@H](NCC(=O)Nc1ccc(C)cc1Cl)c1cccs1 ZINC000184037257 1051342888 /nfs/dbraw/zinc/34/28/88/1051342888.db2.gz HJJLQSOZWYKWJS-CYBMUJFWSA-N 0 3 322.861 4.389 20 0 DIADHN CC1(C)C[C@H](N2CC[C@H](c3ccc(Cl)c(Cl)c3)C2)CO1 ZINC001172288045 1051345283 /nfs/dbraw/zinc/34/52/83/1051345283.db2.gz FFZAYNOCAAKUEL-STQMWFEESA-N 0 3 314.256 4.350 20 0 DIADHN Cc1ccc(CN[C@@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000378903850 1051352020 /nfs/dbraw/zinc/35/20/20/1051352020.db2.gz DIGHJIAGFSEUDA-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cnc(C)s2)c(C)c1 ZINC000189272988 1051357593 /nfs/dbraw/zinc/35/75/93/1051357593.db2.gz SPUWMJFVYGYSJC-ZDUSSCGKSA-N 0 3 304.459 4.400 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CC[C@H](C)[C@@H](C)C3)co2)c1 ZINC001172369147 1051378207 /nfs/dbraw/zinc/37/82/07/1051378207.db2.gz PPSWXLKEFIWGBW-OFQRWUPVSA-N 0 3 314.429 4.265 20 0 DIADHN C[C@@H]1CC[C@@H](N(Cc2cccnc2)Cc2ccccn2)C[C@@H]1C ZINC001172371758 1051379835 /nfs/dbraw/zinc/37/98/35/1051379835.db2.gz AEZAOXOOUHGWKK-FUHIMQAGSA-N 0 3 309.457 4.304 20 0 DIADHN C[C@@H]1CC[C@@H](N(Cc2cccnc2)Cc2ccccn2)C[C@H]1C ZINC001172371760 1051380165 /nfs/dbraw/zinc/38/01/65/1051380165.db2.gz AEZAOXOOUHGWKK-MBOZVWFJSA-N 0 3 309.457 4.304 20 0 DIADHN CC[C@H](CC(C)C)NCc1coc(-c2cccc(OC)c2)n1 ZINC001172403055 1051380465 /nfs/dbraw/zinc/38/04/65/1051380465.db2.gz XHOVGJIYPANZDL-OAHLLOKOSA-N 0 3 302.418 4.265 20 0 DIADHN CCOCC[C@@H](C)NCc1csc(-c2ccccc2CC)n1 ZINC001172424548 1051384493 /nfs/dbraw/zinc/38/44/93/1051384493.db2.gz SXSGQNZMVUHRLJ-CQSZACIVSA-N 0 3 318.486 4.277 20 0 DIADHN CN(c1cc(N)ccn1)c1cc(Cl)cc(C(F)(F)F)c1F ZINC001215815778 1051387875 /nfs/dbraw/zinc/38/78/75/1051387875.db2.gz DMCKITSUFGCYGU-UHFFFAOYSA-N 0 3 319.689 4.243 20 0 DIADHN C[C@@H](N[C@@H]1CCC(F)(F)C1)c1ccc(F)cc1Br ZINC000386612667 1051392087 /nfs/dbraw/zinc/39/20/87/1051392087.db2.gz MUAJDIQCFGNQKB-PSASIEDQSA-N 0 3 322.168 4.427 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1)c1cccc(F)c1 ZINC000391400931 1051406844 /nfs/dbraw/zinc/40/68/44/1051406844.db2.gz BUOUCDKTELBOKL-XHDPSFHLSA-N 0 3 313.376 4.210 20 0 DIADHN CC(C)COCC[C@H](C)NCc1cc(Cl)c(F)cc1F ZINC001172457473 1051411433 /nfs/dbraw/zinc/41/14/33/1051411433.db2.gz ALUAQJGPCOECRU-NSHDSACASA-N 0 3 305.796 4.159 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1cc(Cl)c(F)cc1F ZINC001172457470 1051411511 /nfs/dbraw/zinc/41/15/11/1051411511.db2.gz ALUAQJGPCOECRU-LLVKDONJSA-N 0 3 305.796 4.159 20 0 DIADHN CC(C)COCC[C@@H](C)N[C@H](c1ccccc1)c1ccccn1 ZINC001172459984 1051413743 /nfs/dbraw/zinc/41/37/43/1051413743.db2.gz NIKNJCDJZARWPB-YLJYHZDGSA-N 0 3 312.457 4.212 20 0 DIADHN CC(C)COCC[C@@H](C)n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001172464257 1051415264 /nfs/dbraw/zinc/41/52/64/1051415264.db2.gz IRMMTOIKAPTLPM-CVEARBPZSA-N 0 3 315.461 4.194 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1cc(F)c(F)cc1Cl ZINC001172462652 1051415331 /nfs/dbraw/zinc/41/53/31/1051415331.db2.gz UYLBOCGKMXEPBL-LLVKDONJSA-N 0 3 305.796 4.159 20 0 DIADHN COc1ccc(C(=O)CN[C@H]2CCC[C@@H](c3ccccc3)C2)cc1 ZINC001172530243 1051417273 /nfs/dbraw/zinc/41/72/73/1051417273.db2.gz AKKJYPNWMRZNNJ-MOPGFXCFSA-N 0 3 323.436 4.194 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(Cl)cc2n[nH]cc21 ZINC001215861064 1051419212 /nfs/dbraw/zinc/41/92/12/1051419212.db2.gz SQXHCQKKBGZWMZ-UHFFFAOYSA-N 0 3 300.793 4.022 20 0 DIADHN CNC(=O)c1ccc(Nc2ccnc(C3CCC3)c2)c(Cl)c1 ZINC001215882070 1051437557 /nfs/dbraw/zinc/43/75/57/1051437557.db2.gz HOEJJWSFUVDBFH-UHFFFAOYSA-N 0 3 315.804 4.106 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(N(C)CC(F)F)CC1 ZINC000746481528 1051439952 /nfs/dbraw/zinc/43/99/52/1051439952.db2.gz KYYKIMSOTBUBMA-GFCCVEGCSA-N 0 3 316.823 4.062 20 0 DIADHN Cc1ccn2cc(CN(C)[C@H]3CC[C@@H](c4ccccc4)C3)nc2c1 ZINC001172566270 1051444001 /nfs/dbraw/zinc/44/40/01/1051444001.db2.gz VELFUFMWJSYDIY-QUCCMNQESA-N 0 3 319.452 4.411 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)cs1)c1ccc(C)cc1 ZINC001168824450 1051446115 /nfs/dbraw/zinc/44/61/15/1051446115.db2.gz AYXSHVZASAOAEQ-INIZCTEOSA-N 0 3 303.427 4.084 20 0 DIADHN c1ccc(C[C@@H]2COCCN2[C@H]2CC[C@@H](c3ccccc3)C2)cc1 ZINC001172568378 1051447142 /nfs/dbraw/zinc/44/71/42/1051447142.db2.gz LIRRJVRJSBMVHL-BHIFYINESA-N 0 3 321.464 4.266 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1sncc1Br ZINC001168980650 1051477570 /nfs/dbraw/zinc/47/75/70/1051477570.db2.gz LEABRMKXGLFSOX-PWSUYJOCSA-N 0 3 317.296 4.354 20 0 DIADHN C[C@@H](NCCNc1ccccc1)c1ccccc1Br ZINC000766516252 1051453786 /nfs/dbraw/zinc/45/37/86/1051453786.db2.gz TYSQAZKIHUSURK-CYBMUJFWSA-N 0 3 319.246 4.212 20 0 DIADHN C[C@H](c1ccc(Oc2ccccc2)cc1)N1CCN(C)[C@H](C)C1 ZINC001168911275 1051465952 /nfs/dbraw/zinc/46/59/52/1051465952.db2.gz XETWRZZUGXIERB-IAGOWNOFSA-N 0 3 310.441 4.176 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)C[C@H]1CCC2(CCCC2)O1 ZINC001168918980 1051468622 /nfs/dbraw/zinc/46/86/22/1051468622.db2.gz TYBOONJFTXBSNZ-CQSZACIVSA-N 0 3 311.828 4.403 20 0 DIADHN CC1(C)CC(NCc2cc(-c3ccccc3)on2)CC(C)(C)O1 ZINC001172645958 1051468684 /nfs/dbraw/zinc/46/86/84/1051468684.db2.gz DXIZXQHUMSSMFB-UHFFFAOYSA-N 0 3 314.429 4.167 20 0 DIADHN CC1(C)CC(N[C@H](c2ccccc2)c2ccccn2)CC(C)(C)O1 ZINC001172648028 1051470388 /nfs/dbraw/zinc/47/03/88/1051470388.db2.gz SSFGBUYNMVNOPE-LJQANCHMSA-N 0 3 324.468 4.497 20 0 DIADHN CC1(C)CC(NCc2nccc(Cl)c2Cl)CC(C)(C)O1 ZINC001172653890 1051472565 /nfs/dbraw/zinc/47/25/65/1051472565.db2.gz PGIMKVSSOCNBAP-UHFFFAOYSA-N 0 3 317.260 4.214 20 0 DIADHN COC(=O)[C@@H]1CCC[C@H](N(C)[C@H](C)c2ccccc2Cl)C1 ZINC001172625379 1051476043 /nfs/dbraw/zinc/47/60/43/1051476043.db2.gz WAEYBPATUVTHIG-MCIONIFRSA-N 0 3 309.837 4.065 20 0 DIADHN COC(=O)c1ccc([C@@H]2CCCCN2Cc2cccs2)cc1 ZINC001204019229 1051477120 /nfs/dbraw/zinc/47/71/20/1051477120.db2.gz RURKGPXXZKRTFM-KRWDZBQOSA-N 0 3 315.438 4.262 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172721603 1051482015 /nfs/dbraw/zinc/48/20/15/1051482015.db2.gz HPXSMORYTIANLU-OAHLLOKOSA-N 0 3 312.800 4.080 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172724903 1051484316 /nfs/dbraw/zinc/48/43/16/1051484316.db2.gz PXRLOFGTGSEMBX-HNNXBMFYSA-N 0 3 307.771 4.217 20 0 DIADHN Cc1cnc(N2CCN(C3CCC4(CCCC4)CC3)CC2)s1 ZINC001172730131 1051487721 /nfs/dbraw/zinc/48/77/21/1051487721.db2.gz WWYOJUKQRSXUQS-UHFFFAOYSA-N 0 3 319.518 4.077 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2Cc3ccc(Cl)cc3C2)cc1F ZINC001172708743 1051491398 /nfs/dbraw/zinc/49/13/98/1051491398.db2.gz MFJQGHHRWFYACM-CYBMUJFWSA-N 0 3 311.734 4.014 20 0 DIADHN Fc1ccc(CN[C@@H]2Cc3ccc(Cl)cc3C2)c(F)c1F ZINC001172708806 1051494169 /nfs/dbraw/zinc/49/41/69/1051494169.db2.gz NIJDXOKAQDKMSG-CYBMUJFWSA-N 0 3 311.734 4.014 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169049691 1051494663 /nfs/dbraw/zinc/49/46/63/1051494663.db2.gz JCGFEKNYBJMTSD-PXNSSMCTSA-N 0 3 322.452 4.235 20 0 DIADHN Fc1cccnc1[C@H](N[C@@H]1Cc2ccc(Cl)cc2C1)C1CC1 ZINC001172712581 1051494916 /nfs/dbraw/zinc/49/49/16/1051494916.db2.gz OQFXDSQDSQZGPM-NVXWUHKLSA-N 0 3 316.807 4.082 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](N[C@@H]1COc3ccc(Cl)cc31)C2 ZINC001172714505 1051496594 /nfs/dbraw/zinc/49/65/94/1051496594.db2.gz AFVVGWLKXGMWDZ-GOEBONIOSA-N 0 3 320.219 4.184 20 0 DIADHN CC[C@@H](N[C@H](C)CCC(=O)OC(C)C)c1ccc(F)cc1F ZINC001172761962 1051498816 /nfs/dbraw/zinc/49/88/16/1051498816.db2.gz UYPVUMSVQNXNAJ-MLGOLLRUSA-N 0 3 313.388 4.126 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)NCc1cccc(Cl)c1Cl ZINC001172757703 1051499312 /nfs/dbraw/zinc/49/93/12/1051499312.db2.gz ZIHSYFNXSGPEGX-LLVKDONJSA-N 0 3 318.244 4.203 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CC[C@@H](c2ccccc2Cl)C1 ZINC001172758375 1051499487 /nfs/dbraw/zinc/49/94/87/1051499487.db2.gz GTEJDXXOBWJYRL-LSDHHAIUSA-N 0 3 323.864 4.250 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)NCC(=O)c1ccccc1F ZINC001169058519 1051500656 /nfs/dbraw/zinc/50/06/56/1051500656.db2.gz HSHRXLGZWFKOMR-QGZVFWFLSA-N 0 3 313.416 4.255 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)NCC(=O)c1cccc(F)c1 ZINC001169067635 1051504601 /nfs/dbraw/zinc/50/46/01/1051504601.db2.gz IUUPKEVYBYERCW-IBGZPJMESA-N 0 3 313.416 4.255 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cnccc1Cl ZINC001172836460 1051520841 /nfs/dbraw/zinc/52/08/41/1051520841.db2.gz FAKPFZSFSWQBDU-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN CCCC[C@@H](N[C@@H](C)c1cn(-c2ccccc2)nn1)C1CCC1 ZINC001169111836 1051530389 /nfs/dbraw/zinc/53/03/89/1051530389.db2.gz LKWYGOMZTFPIJK-MAUKXSAKSA-N 0 3 312.461 4.277 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1cccc(Cl)n1 ZINC001172844040 1051531688 /nfs/dbraw/zinc/53/16/88/1051531688.db2.gz GGVJENDKVBWECW-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CC[C@@H](c2ccccn2)C1 ZINC001172853528 1051538523 /nfs/dbraw/zinc/53/85/23/1051538523.db2.gz MHWXCCLKYZGIID-ZIAGYGMSSA-N 0 3 318.823 4.295 20 0 DIADHN Cc1ccc(F)c(CN[C@@H](C)Cc2ccc(F)cc2Cl)n1 ZINC001172856842 1051543874 /nfs/dbraw/zinc/54/38/74/1051543874.db2.gz OUFNYERNMJYTDL-NSHDSACASA-N 0 3 310.775 4.042 20 0 DIADHN Cc1ncsc1CCCN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC001169174588 1051545964 /nfs/dbraw/zinc/54/59/64/1051545964.db2.gz ALJGTVNSLHGOPG-ZYHUDNBSSA-N 0 3 306.397 4.047 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCc2cc(O)ccc2C1 ZINC001172864141 1051549108 /nfs/dbraw/zinc/54/91/08/1051549108.db2.gz OLFXZWOSFNQXRY-LBPRGKRZSA-N 0 3 319.807 4.174 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N1CC[C@@H](F)C(F)(F)CC1 ZINC001172863839 1051550089 /nfs/dbraw/zinc/55/00/89/1051550089.db2.gz LATNUTWNSQMCOY-QMTHXVAHSA-N 0 3 323.761 4.479 20 0 DIADHN C[NH+]1CCC(c2ccc(Nc3cc(F)c([O-])c(F)c3)cc2)CC1 ZINC001212690702 1051551122 /nfs/dbraw/zinc/55/11/22/1051551122.db2.gz SQEBOALHRROXDD-UHFFFAOYSA-N 0 3 318.367 4.223 20 0 DIADHN CCCOC1CCN([C@H](C)Cc2ccc(F)cc2Cl)CC1 ZINC001172870368 1051553860 /nfs/dbraw/zinc/55/38/60/1051553860.db2.gz XITMWHMADBWXHF-CYBMUJFWSA-N 0 3 313.844 4.301 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N(C)Cc1cccnc1 ZINC001172875094 1051559239 /nfs/dbraw/zinc/55/92/39/1051559239.db2.gz IEGCCMQDODNOSL-ZDUSSCGKSA-N 0 3 306.862 4.348 20 0 DIADHN c1oc2ccccc2c1CN1CCC(Oc2ccccc2)CC1 ZINC000351002730 1051560473 /nfs/dbraw/zinc/56/04/73/1051560473.db2.gz NWSYLYAYMPENSU-UHFFFAOYSA-N 0 3 307.393 4.476 20 0 DIADHN CCCc1cc(CN)nn1[C@@H](C)CSc1ccc(Cl)cc1 ZINC001172879152 1051562040 /nfs/dbraw/zinc/56/20/40/1051562040.db2.gz MGJTYNGATIDBKN-LBPRGKRZSA-N 0 3 323.893 4.301 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2cccc(C3CC3)c2)o1 ZINC001129938701 1051564460 /nfs/dbraw/zinc/56/44/60/1051564460.db2.gz UDUWHHLQZIHXGW-UHFFFAOYSA-N 0 3 313.397 4.218 20 0 DIADHN C[C@H](NCCOCc1ccccc1)c1ccc2ccccc2n1 ZINC000178238621 1051564463 /nfs/dbraw/zinc/56/44/63/1051564463.db2.gz LYTYQPMIXAABHC-INIZCTEOSA-N 0 3 306.409 4.102 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC=C(c2ccco2)C1 ZINC000804775961 1051564727 /nfs/dbraw/zinc/56/47/27/1051564727.db2.gz RUSJNBKFBAGLNY-UHFFFAOYSA-N 0 3 306.365 4.130 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](CCO)c1ccc(Cl)cc1 ZINC000347434919 1051564874 /nfs/dbraw/zinc/56/48/74/1051564874.db2.gz QNLBNXOIFJMYHF-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN Cc1cc(C)cc(-c2noc(-c3ccc(CN(C)C)cc3)n2)c1 ZINC001216084726 1051570672 /nfs/dbraw/zinc/57/06/72/1051570672.db2.gz ZEWNCQZAANRVNV-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CCc1ccc(-c2noc(-c3ccc(CN(C)C)cc3)n2)cc1 ZINC001216084456 1051571622 /nfs/dbraw/zinc/57/16/22/1051571622.db2.gz QQAJJDNUZYTZCB-UHFFFAOYSA-N 0 3 307.397 4.028 20 0 DIADHN C[C@@H](N[C@H](CN1CCCC1)c1ccccc1)c1ccsc1 ZINC000178500149 1051575067 /nfs/dbraw/zinc/57/50/67/1051575067.db2.gz MWSDWGAZAJLBBX-CRAIPNDOSA-N 0 3 300.471 4.236 20 0 DIADHN CO[C@H]1CCC[C@@H](N2CCc3ccc(C(F)(F)F)cc3C2)C1 ZINC001172940139 1051578566 /nfs/dbraw/zinc/57/85/66/1051578566.db2.gz VMJWLEDKOOFDFP-CVEARBPZSA-N 0 3 313.363 4.021 20 0 DIADHN Cc1cc(C)c(CN2CC[C@H](C(F)(F)F)C2(C)C)c(C)n1 ZINC001169301435 1051581007 /nfs/dbraw/zinc/58/10/07/1051581007.db2.gz SYJXVJPRYNYDAO-AWEZNQCLSA-N 0 3 300.368 4.170 20 0 DIADHN Cc1cc(C)c(N)c(Nc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC001216102827 1051581121 /nfs/dbraw/zinc/58/11/21/1051581121.db2.gz NGMKRKOOECJMTJ-QGZVFWFLSA-N 0 3 311.429 4.014 20 0 DIADHN CC(C)[C@@H](O)CN[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493276511 1051604231 /nfs/dbraw/zinc/60/42/31/1051604231.db2.gz KDCNWJRQXGBQAS-ZWKOTPCHSA-N 0 3 321.823 4.175 20 0 DIADHN CCCOC1CCC(N2CCc3c(Cl)cccc3C2)CC1 ZINC001173100330 1051605535 /nfs/dbraw/zinc/60/55/35/1051605535.db2.gz IIYGFPZIFWXHDA-UHFFFAOYSA-N 0 3 307.865 4.436 20 0 DIADHN CC(C)[C@H](O)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493277142 1051608628 /nfs/dbraw/zinc/60/86/28/1051608628.db2.gz PBYGVVZLRBQETJ-MSOLQXFVSA-N 0 3 303.833 4.036 20 0 DIADHN CC[C@@H](C)[C@H](C(=O)OC)N(CC)C[C@@H](CC)c1ccccc1 ZINC001169482246 1051623170 /nfs/dbraw/zinc/62/31/70/1051623170.db2.gz HQQQDDKLQYQGCX-JFIYKMOQSA-N 0 3 305.462 4.090 20 0 DIADHN CC(=O)[C@H](NC1CCC(Oc2ccccc2)CC1)c1ccccc1 ZINC001173197801 1051628792 /nfs/dbraw/zinc/62/87/92/1051628792.db2.gz JHABYGAVXFLVKQ-MNLRITNHSA-N 0 3 323.436 4.297 20 0 DIADHN C[C@H](NC1CCC(Oc2ccccc2)CC1)c1nccs1 ZINC001173196213 1051629151 /nfs/dbraw/zinc/62/91/51/1051629151.db2.gz KNXJBDJGQOZYFV-HLIUYOAVSA-N 0 3 302.443 4.184 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCN1CCC(C)CC1 ZINC000493576144 1051636536 /nfs/dbraw/zinc/63/65/36/1051636536.db2.gz WATKMFJPPSIXHW-OAHLLOKOSA-N 0 3 317.502 4.021 20 0 DIADHN Cc1c(C)n(-c2cccc(CN(C)C)c2F)c2c1cccc2N ZINC001216231854 1051638937 /nfs/dbraw/zinc/63/89/37/1051638937.db2.gz GSZRJNRSJZXWNR-UHFFFAOYSA-N 0 3 311.404 4.030 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@H](C)C3(CCCCC3)C2)cs1 ZINC001169539467 1051639584 /nfs/dbraw/zinc/63/95/84/1051639584.db2.gz NLWLKKJRTVSKSN-CQSZACIVSA-N 0 3 321.486 4.327 20 0 DIADHN C[C@H](CC(c1ccccc1)c1ccccc1)NCc1nccn1C ZINC001173208575 1051647221 /nfs/dbraw/zinc/64/72/21/1051647221.db2.gz QXGXCRRCHFUSTN-QGZVFWFLSA-N 0 3 319.452 4.120 20 0 DIADHN COc1cc(Oc2ccccc2)ccc1Nc1cnc(C)n1C ZINC001216240415 1051648460 /nfs/dbraw/zinc/64/84/60/1051648460.db2.gz MYEHVSKGEITEDO-UHFFFAOYSA-N 0 3 309.369 4.273 20 0 DIADHN CCc1ccc(C[C@@H](C)N[C@H](CO)c2ccccc2Cl)cc1 ZINC001173247357 1051652010 /nfs/dbraw/zinc/65/20/10/1051652010.db2.gz BDYTVGCJULWYPK-AUUYWEPGSA-N 0 3 317.860 4.157 20 0 DIADHN CSC[C@H](O)CN(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000493766735 1051652476 /nfs/dbraw/zinc/65/24/76/1051652476.db2.gz KRSDOVWQHFOARW-GDBMZVCRSA-N 0 3 321.511 4.035 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1cnc(-c2ccccc2)o1 ZINC001169604928 1051653991 /nfs/dbraw/zinc/65/39/91/1051653991.db2.gz QFPZZYJPVYASFD-ROUUACIJSA-N 0 3 314.429 4.025 20 0 DIADHN CCCC[C@@H](CC(C)C)N1CCN(C(=O)c2cccs2)CC1 ZINC001173259499 1051661383 /nfs/dbraw/zinc/66/13/83/1051661383.db2.gz JYMPBDGHVDXZEX-INIZCTEOSA-N 0 3 322.518 4.111 20 0 DIADHN CCCC[C@@H](CC(C)C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001173260690 1051661569 /nfs/dbraw/zinc/66/15/69/1051661569.db2.gz STWNOUDZQWHOEX-LBPRGKRZSA-N 0 3 317.399 4.325 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)NCc1ccccc1OC(C)C ZINC000493897825 1051667929 /nfs/dbraw/zinc/66/79/29/1051667929.db2.gz RLDHCUAAABXMSL-OXJNMPFZSA-N 0 3 313.441 4.340 20 0 DIADHN CCCC1(CN[C@H](c2cnn(C)c2)c2cccc(F)c2)CCC1 ZINC000493989896 1051672454 /nfs/dbraw/zinc/67/24/54/1051672454.db2.gz MZVUEIALCPDALD-SFHVURJKSA-N 0 3 315.436 4.209 20 0 DIADHN CCc1ccc(C[C@@H](C)N2CCC[C@@H]2c2nccs2)cc1 ZINC001173242060 1051673517 /nfs/dbraw/zinc/67/35/17/1051673517.db2.gz CQXZCWUTXLFHCH-RHSMWYFYSA-N 0 3 300.471 4.474 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H](C)[C@@H](OC)c1ccccc1 ZINC000493978520 1051675074 /nfs/dbraw/zinc/67/50/74/1051675074.db2.gz SSJLSZKRDPNFBB-SCLBCKFNSA-N 0 3 319.832 4.214 20 0 DIADHN CCSC[C@@H](C)NCc1cc(-c2cccc(Cl)c2)no1 ZINC001173297156 1051677015 /nfs/dbraw/zinc/67/70/15/1051677015.db2.gz QQBGPDVRJBHKMI-LLVKDONJSA-N 0 3 310.850 4.226 20 0 DIADHN CC[C@H]1CC[C@@H](N2Cc3cnc(-c4ccc(F)cc4)nc3C2)C1 ZINC001173296810 1051677130 /nfs/dbraw/zinc/67/71/30/1051677130.db2.gz WBFYDEQCRFXAIS-SUMWQHHRSA-N 0 3 311.404 4.177 20 0 DIADHN Cc1cccc(NCCNC(c2ccccc2)c2ccccc2)n1 ZINC000493983467 1051678010 /nfs/dbraw/zinc/67/80/10/1051678010.db2.gz NTDKMTJXNIHYIR-UHFFFAOYSA-N 0 3 317.436 4.181 20 0 DIADHN CCCc1csc(CNC[C@H]2CCCO[C@H]2C(C)(C)C)n1 ZINC000494018913 1051678134 /nfs/dbraw/zinc/67/81/34/1051678134.db2.gz REZHZXNSRRCYJC-CZUORRHYSA-N 0 3 310.507 4.027 20 0 DIADHN CC(C)Oc1ccc(CN2C[C@@H](C)C[C@@H]2c2cccnc2)cc1 ZINC000805748152 1051678326 /nfs/dbraw/zinc/67/83/26/1051678326.db2.gz AFZDAPACKWWSGH-OXJNMPFZSA-N 0 3 310.441 4.452 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1cc(Cl)ccc1OCC1CC1 ZINC001169705970 1051680195 /nfs/dbraw/zinc/68/01/95/1051680195.db2.gz XASVMOKIPBZPQP-WMZOPIPTSA-N 0 3 323.864 4.176 20 0 DIADHN O[C@@H]1CCCC[C@H]1CCCN[C@H](c1ccccc1)c1ccccn1 ZINC000494046395 1051682951 /nfs/dbraw/zinc/68/29/51/1051682951.db2.gz DIXHJEWNPOACBS-IOMROCGXSA-N 0 3 324.468 4.092 20 0 DIADHN c1ccc([C@H](NC2C[C@H]3CC[C@@H](C2)S3)c2ccccn2)cc1 ZINC000403709401 1051684222 /nfs/dbraw/zinc/68/42/22/1051684222.db2.gz VFRHOEHXKCAKRZ-PHSGOXPASA-N 0 3 310.466 4.187 20 0 DIADHN CCSC[C@H](C)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001173311871 1051684279 /nfs/dbraw/zinc/68/42/79/1051684279.db2.gz QROLLQBQJFUQKC-ZFWWWQNUSA-N 0 3 303.475 4.165 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2ccn(-c3cccc(F)c3)n2)C1 ZINC001169724200 1051684349 /nfs/dbraw/zinc/68/43/49/1051684349.db2.gz MOSDVQCUTKGOFS-OAHLLOKOSA-N 0 3 315.436 4.270 20 0 DIADHN CC(C)CC1(CN[C@@H](c2cnn(C)c2)c2cccc(F)c2)CC1 ZINC000494040921 1051685389 /nfs/dbraw/zinc/68/53/89/1051685389.db2.gz VEFLJMGIMINELY-GOSISDBHSA-N 0 3 315.436 4.065 20 0 DIADHN CC[C@@H](NC[C@H](CC)Oc1ccccc1C)c1ccc(F)cn1 ZINC000494040328 1051685833 /nfs/dbraw/zinc/68/58/33/1051685833.db2.gz SEEHSKCYXKNITA-DLBZAZTESA-N 0 3 316.420 4.427 20 0 DIADHN C[C@@H]1CN(C)[C@H](C)[C@H](C)N1C(=O)C=C1CCC(C(C)(C)C)CC1 ZINC001130369542 1051687012 /nfs/dbraw/zinc/68/70/12/1051687012.db2.gz GXASZNKLPYZNRK-LTBNCBHWSA-N 0 3 320.521 4.089 20 0 DIADHN CCSC[C@@H](C)N[C@H](c1ccncc1)c1ccccc1C ZINC001173285207 1051687693 /nfs/dbraw/zinc/68/76/93/1051687693.db2.gz QRBDYCXXXMQECA-CRAIPNDOSA-N 0 3 300.471 4.211 20 0 DIADHN CN(Cc1cccc2[nH]ccc21)Cc1ccccc1OC(F)F ZINC000494124914 1051691339 /nfs/dbraw/zinc/69/13/39/1051691339.db2.gz JVJTXONYCXHODL-UHFFFAOYSA-N 0 3 316.351 4.401 20 0 DIADHN CCC(C)(C)c1ccc(OC(=O)C2CCN(C3CC3)CC2)cc1 ZINC000805848103 1051692879 /nfs/dbraw/zinc/69/28/79/1051692879.db2.gz YUYQUPWWGLWIFN-UHFFFAOYSA-N 0 3 315.457 4.154 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173339534 1051699735 /nfs/dbraw/zinc/69/97/35/1051699735.db2.gz OAMVBLKWNSQORL-MAUKXSAKSA-N 0 3 312.457 4.471 20 0 DIADHN CN1CCCC[C@@H]1c1ccc(N[C@@H]2C[C@H]2c2ccccc2)nc1 ZINC001169813230 1051704582 /nfs/dbraw/zinc/70/45/82/1051704582.db2.gz YMFGVMCIURVYFM-IPMKNSEASA-N 0 3 307.441 4.206 20 0 DIADHN COc1c(Nc2cnc(C)n2C)cccc1OCc1ccccc1 ZINC001216355444 1051715776 /nfs/dbraw/zinc/71/57/76/1051715776.db2.gz YOAORXOEBKTDFK-UHFFFAOYSA-N 0 3 323.396 4.060 20 0 DIADHN Cc1cc(C(=O)N(C)C)ccc1Nc1ccnc(C(C)(C)C)c1 ZINC001212727803 1051716206 /nfs/dbraw/zinc/71/62/06/1051716206.db2.gz AWWKBYNKFSXQAY-UHFFFAOYSA-N 0 3 311.429 4.133 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2ccncc2)ccc1Br ZINC000183712910 1051717161 /nfs/dbraw/zinc/71/71/61/1051717161.db2.gz CLJDRNBXYXKVRK-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN Fc1ccc(CNC2Cc3cc(F)c(F)cc3C2)c(Cl)c1 ZINC001173476074 1051722254 /nfs/dbraw/zinc/72/22/54/1051722254.db2.gz TYASADGPTIRJMC-UHFFFAOYSA-N 0 3 311.734 4.014 20 0 DIADHN CCN(Cc1ccccc1F)C1Cc2cc(F)c(F)cc2C1 ZINC001173482394 1051724456 /nfs/dbraw/zinc/72/44/56/1051724456.db2.gz FCDPDQWRDXIJJR-UHFFFAOYSA-N 0 3 305.343 4.093 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN(C)[C@H]1CCC(C)(C)C1 ZINC001169941795 1051732475 /nfs/dbraw/zinc/73/24/75/1051732475.db2.gz FUCFSMOWNXAOSJ-AWEZNQCLSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(CN[C@H](C)CCc2ccc(Cl)c(Cl)c2)on1 ZINC001173543590 1051738779 /nfs/dbraw/zinc/73/87/79/1051738779.db2.gz NBFLAYAMHKZYKZ-SNVBAGLBSA-N 0 3 313.228 4.401 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCCC[C@H]3C)o2)cc1 ZINC000184585881 1051739314 /nfs/dbraw/zinc/73/93/14/1051739314.db2.gz BYGQXHFCBHWWAV-CZUORRHYSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccc2oc(CN3C[C@H](C)C[C@@H]3c3cccnc3)cc2c1 ZINC000184570464 1051739721 /nfs/dbraw/zinc/73/97/21/1051739721.db2.gz RAGCHDNHZRZWCL-AUUYWEPGSA-N 0 3 322.408 4.420 20 0 DIADHN CC[C@@H](N[C@H]1CCc2nc(Cl)ccc21)c1ccc(OC)cc1 ZINC000806128763 1051743864 /nfs/dbraw/zinc/74/38/64/1051743864.db2.gz WXONVKGOJWSCJS-CVEARBPZSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)N(C)Cc1cnccn1 ZINC001173552883 1051744944 /nfs/dbraw/zinc/74/49/44/1051744944.db2.gz FQCKHECNAMLOPO-GFCCVEGCSA-N 0 3 324.255 4.237 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccnc3C3CC3)cccc21 ZINC001212740915 1051745786 /nfs/dbraw/zinc/74/57/86/1051745786.db2.gz ZTFWGIYNEXGVFG-QGZVFWFLSA-N 0 3 309.413 4.088 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)Nc1ccc(CN)nc1 ZINC001173550545 1051751329 /nfs/dbraw/zinc/75/13/29/1051751329.db2.gz MEQSBGNHVSREFF-NSHDSACASA-N 0 3 324.255 4.280 20 0 DIADHN Cc1oc2ccc(NC(=O)c3cccc(CN(C)C)c3)cc2c1C ZINC000185392958 1051765167 /nfs/dbraw/zinc/76/51/67/1051765167.db2.gz JLKSYVRKGYHDLQ-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCc4nc(Cl)ccc43)ccc2[nH]1 ZINC000806165056 1051766737 /nfs/dbraw/zinc/76/67/37/1051766737.db2.gz CSFQBOGZLKNCCV-MRXNPFEDSA-N 0 3 311.816 4.302 20 0 DIADHN Cc1cnc(CNC2CCC3(CCc4ccccc43)CC2)s1 ZINC001173684108 1051772783 /nfs/dbraw/zinc/77/27/83/1051772783.db2.gz BNLQTKDHWQNFAI-UHFFFAOYSA-N 0 3 312.482 4.368 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1CC(=O)Nc1c(C)cccc1C(C)C ZINC000185604789 1051776638 /nfs/dbraw/zinc/77/66/38/1051776638.db2.gz XMPSQDNNACBGQT-WMLDXEAASA-N 0 3 302.462 4.177 20 0 DIADHN Cc1ccc(F)c(CNC2CCC3(CCc4ccccc43)CC2)n1 ZINC001173688564 1051781338 /nfs/dbraw/zinc/78/13/38/1051781338.db2.gz HXRQBEJIGXFJQH-UHFFFAOYSA-N 0 3 324.443 4.446 20 0 DIADHN COCC1=CCN(Cc2ccc(OCc3ccccc3)cc2)CC1 ZINC000185868506 1051788676 /nfs/dbraw/zinc/78/86/76/1051788676.db2.gz XUZTXHCNGMUOGR-UHFFFAOYSA-N 0 3 323.436 4.044 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)NCc1cc(C)oc1C ZINC000767371015 1051795256 /nfs/dbraw/zinc/79/52/56/1051795256.db2.gz SKQADMSUELSLSK-SJCJKPOMSA-N 0 3 307.821 4.416 20 0 DIADHN Cc1cc(CNC[C@H](O)c2c(Cl)cccc2Cl)c(C)o1 ZINC000767377203 1051797282 /nfs/dbraw/zinc/79/72/82/1051797282.db2.gz IQEZXGZWLOITAN-AWEZNQCLSA-N 0 3 314.212 4.026 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@@H](c2ccccc2)N(C)C)cs1 ZINC000186207778 1051800373 /nfs/dbraw/zinc/80/03/73/1051800373.db2.gz XLYSJKVJXUZJTO-PBHICJAKSA-N 0 3 317.502 4.220 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2csc(C(C)C)n2)cc1F ZINC000186314949 1051810273 /nfs/dbraw/zinc/81/02/73/1051810273.db2.gz BETUXQSOHVRICX-LBPRGKRZSA-N 0 3 322.449 4.308 20 0 DIADHN C[C@@H](NCC1(CCOCc2ccccc2)CC1)c1nccs1 ZINC000186375014 1051812226 /nfs/dbraw/zinc/81/22/26/1051812226.db2.gz DGNPJYLHYWXOFF-OAHLLOKOSA-N 0 3 316.470 4.181 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCCO[C@@H]2C(C)C)cs1 ZINC000186417312 1051814689 /nfs/dbraw/zinc/81/46/89/1051814689.db2.gz KOSYDJMUAKOASB-SQWLQELKSA-N 0 3 310.507 4.368 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1cc(COC(C)(C)C)on1 ZINC000186420671 1051815141 /nfs/dbraw/zinc/81/51/41/1051815141.db2.gz FOJRYTCZTJLRFD-RHSMWYFYSA-N 0 3 306.450 4.072 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000186447492 1051815288 /nfs/dbraw/zinc/81/52/88/1051815288.db2.gz IDQRTMZPFUTFMS-NRFANRHFSA-N 0 3 323.436 4.105 20 0 DIADHN COc1ccc2nc(CN(C)CCc3cccs3)sc2c1 ZINC000187092199 1051835434 /nfs/dbraw/zinc/83/54/34/1051835434.db2.gz OETGPCDQGUNULC-UHFFFAOYSA-N 0 3 318.467 4.041 20 0 DIADHN Cc1cc2cc(CNC[C@H](O)c3ccc(F)cc3)oc2cc1C ZINC000767715327 1051839732 /nfs/dbraw/zinc/83/97/32/1051839732.db2.gz ZNGYEYOHIDWGBN-SFHVURJKSA-N 0 3 313.372 4.012 20 0 DIADHN Cc1cc(C)cc(CN[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000347438849 1051849790 /nfs/dbraw/zinc/84/97/90/1051849790.db2.gz VTEWQCMLWNBXPA-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN Cc1csc(C2(NCCOc3ccc(C)cc3)CCCC2)n1 ZINC000746492221 1051852238 /nfs/dbraw/zinc/85/22/38/1051852238.db2.gz FNMPUDNZEIGTSO-UHFFFAOYSA-N 0 3 316.470 4.198 20 0 DIADHN COc1cc(OC)c(Nc2ccnc(C(C)(C)C)c2)c(OC)c1 ZINC001173909228 1051868480 /nfs/dbraw/zinc/86/84/80/1051868480.db2.gz YIIVAMDIKLUXOK-UHFFFAOYSA-N 0 3 316.401 4.149 20 0 DIADHN CCCCOc1ccc(CNCc2cccn2C)cc1Cl ZINC000189085075 1051878685 /nfs/dbraw/zinc/87/86/85/1051878685.db2.gz XVBPXBZODZSFCU-UHFFFAOYSA-N 0 3 306.837 4.147 20 0 DIADHN CCCc1csc(CNCCCCN(C)c2ccccc2)n1 ZINC000494357633 1051878868 /nfs/dbraw/zinc/87/88/68/1051878868.db2.gz QEHQMYMSWOLCJA-UHFFFAOYSA-N 0 3 317.502 4.102 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1F)c1ccc(Cl)c(F)c1 ZINC000768098114 1051879887 /nfs/dbraw/zinc/87/98/87/1051879887.db2.gz RXWFPMWCOPKVGR-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1CC(C)(C)OC[C@@H]1C ZINC001169985982 1051885801 /nfs/dbraw/zinc/88/58/01/1051885801.db2.gz MQZMZSKIRBKYGJ-HOCLYGCPSA-N 0 3 307.503 4.223 20 0 DIADHN CC[C@H](C)C[C@H](CC)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494389311 1051887341 /nfs/dbraw/zinc/88/73/41/1051887341.db2.gz CAHNYWNXMYXCLE-QEJZJMRPSA-N 0 3 315.465 4.041 20 0 DIADHN COc1ccc(CN(C)C/C=C/c2ccc(F)cc2)cc1F ZINC000768199251 1051893568 /nfs/dbraw/zinc/89/35/68/1051893568.db2.gz GPTUVOVSKOVZFB-ONEGZZNKSA-N 0 3 303.352 4.119 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc3c(c2)COC3)cc1 ZINC000768199755 1051893820 /nfs/dbraw/zinc/89/38/20/1051893820.db2.gz HIHPSRIPQYPWLH-OAQYLSRUSA-N 0 3 323.436 4.453 20 0 DIADHN CCc1ccccc1Nc1ccc(N2CCN(C)CC2)cc1C ZINC001173969432 1051898826 /nfs/dbraw/zinc/89/88/26/1051898826.db2.gz GQXDTIGEGGRCIT-UHFFFAOYSA-N 0 3 309.457 4.053 20 0 DIADHN Cc1cnn(-c2ccc(C)cc2)c1Nc1ccccc1CN(C)C ZINC001173928983 1051899735 /nfs/dbraw/zinc/89/97/35/1051899735.db2.gz RDYBWRVZLQFULP-UHFFFAOYSA-N 0 3 320.440 4.294 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(C(F)(F)F)cnc1F ZINC001173928808 1051900033 /nfs/dbraw/zinc/90/00/33/1051900033.db2.gz LQBFIMDWAVHIMY-UHFFFAOYSA-N 0 3 313.298 4.045 20 0 DIADHN Cc1cnn(-c2cccc(F)c2)c1Nc1ccccc1CN(C)C ZINC001173928948 1051900278 /nfs/dbraw/zinc/90/02/78/1051900278.db2.gz QEXWSOSPVQIECJ-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(F)cc1Br ZINC001173929966 1051901975 /nfs/dbraw/zinc/90/19/75/1051901975.db2.gz OCRAOSJZKADURY-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccccc1OC(F)(F)F ZINC001173929928 1051902308 /nfs/dbraw/zinc/90/23/08/1051902308.db2.gz MLGBSKNLHHORBQ-UHFFFAOYSA-N 0 3 310.319 4.390 20 0 DIADHN CN(C)Cc1ccccc1Nc1c(F)cccc1Br ZINC001173930468 1051903117 /nfs/dbraw/zinc/90/31/17/1051903117.db2.gz YOIBSKFALFPLPB-UHFFFAOYSA-N 0 3 323.209 4.393 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Br)cc1 ZINC001173929992 1051903393 /nfs/dbraw/zinc/90/33/93/1051903393.db2.gz PHWPKYNCBQMTMR-UHFFFAOYSA-N 0 3 305.219 4.254 20 0 DIADHN COc1cc(F)c(Cl)cc1Nc1ccccc1CN(C)C ZINC001173930341 1051903573 /nfs/dbraw/zinc/90/35/73/1051903573.db2.gz DIDVYSIHUUFMAK-UHFFFAOYSA-N 0 3 308.784 4.293 20 0 DIADHN Cc1ccc(Nc2ccccc2CN(C)C)cc1OC(F)F ZINC001173931764 1051905453 /nfs/dbraw/zinc/90/54/53/1051905453.db2.gz LAAYEMCFYILWGG-UHFFFAOYSA-N 0 3 306.356 4.402 20 0 DIADHN Cc1ccc(C)c([C@@H](NCCCCO)c2ccccc2Cl)c1 ZINC000494513836 1051907363 /nfs/dbraw/zinc/90/73/63/1051907363.db2.gz MELUIXOPTSCKFO-IBGZPJMESA-N 0 3 317.860 4.408 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2Cc2ccccc2)cnc1Cl ZINC000768232138 1051907603 /nfs/dbraw/zinc/90/76/03/1051907603.db2.gz YEPNMKLVTAMCMP-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN CCN1CCN(c2ccc(Nc3ccc4[nH]ccc4c3)cc2)CC1 ZINC001173938152 1051908223 /nfs/dbraw/zinc/90/82/23/1051908223.db2.gz GXQAKYIDWHTXKN-UHFFFAOYSA-N 0 3 320.440 4.053 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4[nH]ccc4c3)cccc21 ZINC001173939197 1051908547 /nfs/dbraw/zinc/90/85/47/1051908547.db2.gz GLTDYMPVXBKVIA-GOSISDBHSA-N 0 3 307.397 4.297 20 0 DIADHN CCn1nccc1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000189578381 1051920070 /nfs/dbraw/zinc/92/00/70/1051920070.db2.gz DDPSMITYSPELCC-NRFANRHFSA-N 0 3 317.436 4.347 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2cccc(N3CCCC3=O)c2)c1 ZINC000189839293 1051928439 /nfs/dbraw/zinc/92/84/39/1051928439.db2.gz JJVUFIZAHFUNLK-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@@H](NCCOCC(F)F)c1cc2cc(Cl)ccc2o1 ZINC000189964280 1051932114 /nfs/dbraw/zinc/93/21/14/1051932114.db2.gz ZHFNHCUUHLNFJX-SECBINFHSA-N 0 3 303.736 4.019 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC[C@@H](c2ccnc(C)n2)C1 ZINC000768506208 1051935688 /nfs/dbraw/zinc/93/56/88/1051935688.db2.gz XBIOTPIEOBILOQ-MRXNPFEDSA-N 0 3 315.848 4.126 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccc4c(c3)COC4)CC2)cc1 ZINC000768530390 1051939918 /nfs/dbraw/zinc/93/99/18/1051939918.db2.gz FBBFESNUCCJTTJ-UHFFFAOYSA-N 0 3 323.436 4.069 20 0 DIADHN CCN(CC)C(=O)c1ccc(Nc2ccnc(C3CC3)c2)cc1 ZINC001174063432 1051946457 /nfs/dbraw/zinc/94/64/57/1051946457.db2.gz NWDFHJDNIKUOAL-UHFFFAOYSA-N 0 3 309.413 4.185 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc1F ZINC001174021010 1051953185 /nfs/dbraw/zinc/95/31/85/1051953185.db2.gz IFPYVVQPMPPVGT-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CO[C@@H](C)[C@H](C)N[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000191646557 1051979784 /nfs/dbraw/zinc/97/97/84/1051979784.db2.gz GZQXVNQOQJXDPZ-GUBZILKMSA-N 0 3 307.768 4.015 20 0 DIADHN CN(Cc1ccc2c(c1)COC2)Cc1cccc(C(F)(F)F)c1 ZINC000768911382 1051980932 /nfs/dbraw/zinc/98/09/32/1051980932.db2.gz NCPRBTDVICMFSC-UHFFFAOYSA-N 0 3 321.342 4.368 20 0 DIADHN COC[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(Cl)cc1 ZINC000494594301 1051981836 /nfs/dbraw/zinc/98/18/36/1051981836.db2.gz CELLTBRLRYJNAA-ZIAGYGMSSA-N 0 3 303.780 4.195 20 0 DIADHN Cc1ccc(F)c(Nc2cccc3c2OCC[C@H]3N(C)C)c1 ZINC001174112681 1051986565 /nfs/dbraw/zinc/98/65/65/1051986565.db2.gz CZUKZBIAWYGJLV-QGZVFWFLSA-N 0 3 300.377 4.263 20 0 DIADHN Brc1ccoc1CN1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000769034014 1051990951 /nfs/dbraw/zinc/99/09/51/1051990951.db2.gz ADKMUJKNOCHXEX-MPEURRAXSA-N 0 3 310.235 4.053 20 0 DIADHN C[C@]1(F)CCCN(CCCOc2cccc3ccccc32)C1 ZINC001170547895 1051990968 /nfs/dbraw/zinc/99/09/68/1051990968.db2.gz DDSIEZHMJSUESY-IBGZPJMESA-N 0 3 301.405 4.433 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2cnc(-c3ccccc3)o2)C1 ZINC001170547617 1051992389 /nfs/dbraw/zinc/99/23/89/1051992389.db2.gz AVIBQYFFXZNQKQ-INIZCTEOSA-N 0 3 322.383 4.470 20 0 DIADHN CC(C)CNC(=O)c1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001174078267 1051995649 /nfs/dbraw/zinc/99/56/49/1051995649.db2.gz ZQLHFXLVHZRXBT-UHFFFAOYSA-N 0 3 323.440 4.479 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H](OCC3CC3)C2)cc1 ZINC000494640324 1051996755 /nfs/dbraw/zinc/99/67/55/1051996755.db2.gz AKRYKRIODDLFKU-INIZCTEOSA-N 0 3 313.363 4.096 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1c(C)c(C)c(C)c(C)c1C ZINC000494705334 1052000966 /nfs/dbraw/zinc/00/09/66/1052000966.db2.gz YQNLYENGKQLAJF-GOSISDBHSA-N 0 3 317.498 4.422 20 0 DIADHN C[C@@]1(CCCO)CCCN1Cc1cc2ccccc2nc1Cl ZINC000769160162 1052004516 /nfs/dbraw/zinc/00/45/16/1052004516.db2.gz CLFGUOMKOOPAFI-SFHVURJKSA-N 0 3 318.848 4.015 20 0 DIADHN COc1ncc(CN(C)Cc2cc(C)cc(C)c2)c2ccccc21 ZINC001170002134 1052011054 /nfs/dbraw/zinc/01/10/54/1052011054.db2.gz MEZLENMWZLMWFN-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN c1ccc(-c2ccccc2CN2CCCC[C@H]2C2OCCO2)cc1 ZINC000769298409 1052020444 /nfs/dbraw/zinc/02/04/44/1052020444.db2.gz OOTLTOCSXFHUOQ-FQEVSTJZSA-N 0 3 323.436 4.081 20 0 DIADHN COc1cccc2cc(CN(C)Cc3ccc(C)s3)oc21 ZINC000769315440 1052022112 /nfs/dbraw/zinc/02/21/12/1052022112.db2.gz BOELFVXIYOZWIG-UHFFFAOYSA-N 0 3 301.411 4.443 20 0 DIADHN CO[C@H](CN1C[C@@H](C(F)(F)F)CC[C@H]1C)c1ccccc1 ZINC000670085429 1052025031 /nfs/dbraw/zinc/02/50/31/1052025031.db2.gz BQXUZJGZAWFGFP-VHDGCEQUSA-N 0 3 301.352 4.037 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C(C)(C)C)s2)ccn1 ZINC000808432546 1052031858 /nfs/dbraw/zinc/03/18/58/1052031858.db2.gz QCEOUVFGEMVIPJ-GFCCVEGCSA-N 0 3 304.459 4.300 20 0 DIADHN CC(C)n1ncc2cc(CN(C)[C@@H]3CCc4ccccc43)cnc21 ZINC000195052896 1052032233 /nfs/dbraw/zinc/03/22/33/1052032233.db2.gz NPZLNLAWGKBVET-LJQANCHMSA-N 0 3 320.440 4.132 20 0 DIADHN Cn1cc(CNCc2cc(Cl)cs2)c(-c2ccccc2)n1 ZINC000193207176 1052034725 /nfs/dbraw/zinc/03/47/25/1052034725.db2.gz OWMNLFYINBZFMN-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN Cc1cccc2ncc(CN3CCC(c4ccc(F)cc4)CC3)n21 ZINC000769389739 1052037548 /nfs/dbraw/zinc/03/75/48/1052037548.db2.gz PSVIXFGCXLHMKF-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN COc1ccsc1CN1CCC(c2ccc(F)cc2)CC1 ZINC000769390226 1052039948 /nfs/dbraw/zinc/03/99/48/1052039948.db2.gz RRIQRDVZQZAQLZ-UHFFFAOYSA-N 0 3 305.418 4.275 20 0 DIADHN COc1ccc(OC(F)F)c(CN2CCC3(CCCC3)C2)c1 ZINC000769403377 1052040967 /nfs/dbraw/zinc/04/09/67/1052040967.db2.gz RQBFWIGMBWWGCF-UHFFFAOYSA-N 0 3 311.372 4.063 20 0 DIADHN c1ccc([C@H]2CN(Cc3ccc4c(c3)COC4)CCS2)cc1 ZINC000769425540 1052044766 /nfs/dbraw/zinc/04/47/66/1052044766.db2.gz IFZIYLPKPWSXQM-LJQANCHMSA-N 0 3 311.450 4.007 20 0 DIADHN Cc1cc(CN2CC[C@H](Cc3ccc(F)cc3)C2)cnc1Cl ZINC000769425419 1052045120 /nfs/dbraw/zinc/04/51/20/1052045120.db2.gz HKTITQVLRKBVQW-OAHLLOKOSA-N 0 3 318.823 4.247 20 0 DIADHN Cc1ccc2ncc(CN3CCC(c4cccc(F)c4)CC3)n2c1 ZINC000769394804 1052050202 /nfs/dbraw/zinc/05/02/02/1052050202.db2.gz DOTMEUWXMWBUMK-UHFFFAOYSA-N 0 3 323.415 4.161 20 0 DIADHN CCc1nn(C)c(Cl)c1CN1C[C@@H](c2ccccc2)C[C@H]1C ZINC000769445450 1052054947 /nfs/dbraw/zinc/05/49/47/1052054947.db2.gz JADDBHHYDKCGBN-HIFRSBDPSA-N 0 3 317.864 4.014 20 0 DIADHN COCC[C@H](N[C@@H](C)[C@H](OC)c1ccccc1)c1ccc(C)o1 ZINC000494765574 1052058403 /nfs/dbraw/zinc/05/84/03/1052058403.db2.gz RLRYCFASQLPITL-IEZWGBDMSA-N 0 3 317.429 4.031 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3)[C@@H]2C)cnc1Cl ZINC000769498027 1052070513 /nfs/dbraw/zinc/07/05/13/1052070513.db2.gz JQHAMELIMQQQKO-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)C(=O)CCN(C)[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000808712566 1052072973 /nfs/dbraw/zinc/07/29/73/1052072973.db2.gz HJMCEUOQNVIGRP-LBPRGKRZSA-N 0 3 301.352 4.313 20 0 DIADHN Cc1cccc([C@H](NC2CC(C(F)F)C2)c2ccccn2)c1 ZINC000769537920 1052076940 /nfs/dbraw/zinc/07/69/40/1052076940.db2.gz NRMMUGOCKIQGHA-DQPZFDDXSA-N 0 3 302.368 4.113 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000808720934 1052079470 /nfs/dbraw/zinc/07/94/70/1052079470.db2.gz FAMDMYYOCIMHFL-IGNZVWTISA-N 0 3 305.368 4.128 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H](C)[C@@H](O)c1ccccc1 ZINC000808720779 1052080053 /nfs/dbraw/zinc/08/00/53/1052080053.db2.gz YFHUVGLOJYPOOZ-ZJNRKIDTSA-N 0 3 319.832 4.121 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000808720936 1052080353 /nfs/dbraw/zinc/08/03/53/1052080353.db2.gz FAMDMYYOCIMHFL-UUWFMWQGSA-N 0 3 305.368 4.128 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000808720990 1052080726 /nfs/dbraw/zinc/08/07/26/1052080726.db2.gz FXXYZXZXTQWVTL-UYHISHBKSA-N 0 3 305.368 4.128 20 0 DIADHN O[C@@H](CCN1CCC[C@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000808726658 1052081962 /nfs/dbraw/zinc/08/19/62/1052081962.db2.gz KDPBCPQGQKBTFJ-OALUTQOASA-N 0 3 317.379 4.225 20 0 DIADHN COc1cccc([C@@H](C)N(C)Cc2ccc(OC(F)F)cc2)c1 ZINC000195732399 1052087094 /nfs/dbraw/zinc/08/70/94/1052087094.db2.gz OBNKEMUFWASPCF-CYBMUJFWSA-N 0 3 321.367 4.490 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](C)c2ccccc2N(C)C)c1 ZINC000769685163 1052089095 /nfs/dbraw/zinc/08/90/95/1052089095.db2.gz QOFIIGWAJYURCT-INIZCTEOSA-N 0 3 312.457 4.391 20 0 DIADHN C[C@H](NCCCOC(F)F)c1cc(Cl)sc1Cl ZINC000194043912 1052092706 /nfs/dbraw/zinc/09/27/06/1052092706.db2.gz KOMHNUBDJHMFRR-LURJTMIESA-N 0 3 304.189 4.335 20 0 DIADHN C[C@H](NCc1cccc2nccn21)c1csc2ccccc21 ZINC000769693791 1052093138 /nfs/dbraw/zinc/09/31/38/1052093138.db2.gz ROWVPYQOPGMIQN-ZDUSSCGKSA-N 0 3 307.422 4.400 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCCN(CC)c1ccccc1 ZINC000769865313 1052114017 /nfs/dbraw/zinc/11/40/17/1052114017.db2.gz BEKRAGAJRGHGGB-HNNXBMFYSA-N 0 3 315.461 4.113 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCCN(CC)c1ccccc1 ZINC000769865316 1052115150 /nfs/dbraw/zinc/11/51/50/1052115150.db2.gz BEKRAGAJRGHGGB-OAHLLOKOSA-N 0 3 315.461 4.113 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCOc1ccc2ccccc2c1 ZINC000769865953 1052115179 /nfs/dbraw/zinc/11/51/79/1052115179.db2.gz FHVCVSOMGYPUII-AWEZNQCLSA-N 0 3 324.424 4.428 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCSc1ccc(C)cc1 ZINC000769867368 1052115224 /nfs/dbraw/zinc/11/52/24/1052115224.db2.gz KTKNLIYLDVAXSA-CYBMUJFWSA-N 0 3 304.459 4.297 20 0 DIADHN Nc1cc(Cl)cc(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174394742 1052115967 /nfs/dbraw/zinc/11/59/67/1052115967.db2.gz LQJCTFIBVXJQDT-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN CC(C)(O)c1ccccc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174396555 1052117552 /nfs/dbraw/zinc/11/75/52/1052117552.db2.gz HHZCWZWTIRAQPT-UHFFFAOYSA-N 0 3 322.452 4.116 20 0 DIADHN Cc1nc2cc(NC3=CCCN(Cc4ccccc4)C3)ccc2o1 ZINC001174397247 1052118021 /nfs/dbraw/zinc/11/80/21/1052118021.db2.gz PUXCNLPBZLBSLO-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN c1noc2c1cccc2NC1=CCCN(Cc2ccccc2)C1 ZINC001174394849 1052118804 /nfs/dbraw/zinc/11/88/04/1052118804.db2.gz OIEWWYXEOOCBTG-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN Nc1ccc(Cl)cc1NC1=CCCN(Cc2ccccc2)C1 ZINC001174396036 1052119079 /nfs/dbraw/zinc/11/90/79/1052119079.db2.gz BWCSOHCJYZSNCD-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](C)Sc1ccccc1 ZINC000769870022 1052121956 /nfs/dbraw/zinc/12/19/56/1052121956.db2.gz BGKYKVLMOWFFHS-CHWSQXEVSA-N 0 3 304.459 4.377 20 0 DIADHN Fc1ccc([C@@H]2CCC[C@H]2NCc2ncc(Cl)s2)cc1 ZINC000769917001 1052122580 /nfs/dbraw/zinc/12/25/80/1052122580.db2.gz MNIROGDMVUWNPH-QWHCGFSZSA-N 0 3 310.825 4.362 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)NCc2ncc(Cl)s2)cc1 ZINC000769918179 1052122743 /nfs/dbraw/zinc/12/27/43/1052122743.db2.gz URQHEJRROJAPDT-MNOVXSKESA-N 0 3 310.850 4.087 20 0 DIADHN Fc1ccc([C@H]2CCC[C@@H]2NCc2ncc(Cl)s2)cc1 ZINC000769917000 1052122807 /nfs/dbraw/zinc/12/28/07/1052122807.db2.gz MNIROGDMVUWNPH-OLZOCXBDSA-N 0 3 310.825 4.362 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000769874794 1052126533 /nfs/dbraw/zinc/12/65/33/1052126533.db2.gz MBFWMOOWTXQRGA-ZBEGNZNMSA-N 0 3 322.836 4.237 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@H]1CCCc2nc(C)ncc21 ZINC000769972166 1052133103 /nfs/dbraw/zinc/13/31/03/1052133103.db2.gz ZNUWSDAMPMDNNC-VQTJNVASSA-N 0 3 321.468 4.446 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1ccc(C)cc1OC ZINC000194913609 1052135000 /nfs/dbraw/zinc/13/50/00/1052135000.db2.gz MCZDCLLHAORNBI-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN CCCn1nc(C)c(CNCc2ccc(C3CCC3)cc2)c1C ZINC000494843742 1052143784 /nfs/dbraw/zinc/14/37/84/1052143784.db2.gz CYLGVOQYUGAORZ-UHFFFAOYSA-N 0 3 311.473 4.467 20 0 DIADHN F[C@@H]1CNCC[C@H]1O[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217794179 1052144902 /nfs/dbraw/zinc/14/49/02/1052144902.db2.gz KJKWTBFITPMCNO-KZNAEPCWSA-N 0 3 319.807 4.146 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@@H]3N(C)C)c(C)s1 ZINC001174421892 1052151661 /nfs/dbraw/zinc/15/16/61/1052151661.db2.gz PMVXXDBMUVJIAT-INIZCTEOSA-N 0 3 302.443 4.494 20 0 DIADHN F[C@H]1CNCC[C@H]1O[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001217846054 1052155007 /nfs/dbraw/zinc/15/50/07/1052155007.db2.gz KJKWTBFITPMCNO-KSZLIROESA-N 0 3 319.807 4.146 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](C(=O)Nc2ccccc2)c2ccccc2)[C@H]1C ZINC000494903137 1052164279 /nfs/dbraw/zinc/16/42/79/1052164279.db2.gz LTOZIFFECAPCGQ-LVFSCSRESA-N 0 3 308.425 4.001 20 0 DIADHN CN(C/C=C\c1ccncc1)Cc1cccc(C(F)(F)F)c1 ZINC000494932846 1052171461 /nfs/dbraw/zinc/17/14/61/1052171461.db2.gz RGTVECNPBFHABN-HYXAFXHYSA-N 0 3 306.331 4.246 20 0 DIADHN C[C@H](c1cc2ccccc2o1)N(C)C[C@H](O)c1ccccc1F ZINC000914573240 1052171828 /nfs/dbraw/zinc/17/18/28/1052171828.db2.gz QKHABVJTXBIKQO-DYVFJYSZSA-N 0 3 313.372 4.298 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCCC2(CCOCC2)C1 ZINC000494932253 1052171964 /nfs/dbraw/zinc/17/19/64/1052171964.db2.gz QIIGSDSWVGNGKS-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN CCOc1c(Cl)cccc1CN(CC)CCc1nccs1 ZINC000494988787 1052190880 /nfs/dbraw/zinc/19/08/80/1052190880.db2.gz ZMKGPNXTTNZNSQ-UHFFFAOYSA-N 0 3 324.877 4.260 20 0 DIADHN CSC1(CNCc2cc(Cl)ccc2Br)CC1 ZINC000227950741 1052191931 /nfs/dbraw/zinc/19/19/31/1052191931.db2.gz RHNMSJYVZMAHPK-UHFFFAOYSA-N 0 3 320.683 4.088 20 0 DIADHN COCc1nc(CN[C@@H]2C[C@H](C)[C@H]2C)c(-c2ccccc2)s1 ZINC000494978708 1052192353 /nfs/dbraw/zinc/19/23/53/1052192353.db2.gz UORMINVZAFVPLW-GZBFAFLISA-N 0 3 316.470 4.091 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1ccc(SCc2ccccc2)cc1 ZINC001218070104 1052192958 /nfs/dbraw/zinc/19/29/58/1052192958.db2.gz LNHAKZZQBUJVKZ-MSOLQXFVSA-N 0 3 317.429 4.058 20 0 DIADHN F[C@@H]1CNCC[C@@H]1Oc1ccc(Oc2ccc(Cl)cc2)cc1 ZINC001218065391 1052192972 /nfs/dbraw/zinc/19/29/72/1052192972.db2.gz FKKPKWKJEUNFHR-SJORKVTESA-N 0 3 321.779 4.211 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1cccc2c3ccccc3sc21 ZINC001218069665 1052192978 /nfs/dbraw/zinc/19/29/78/1052192978.db2.gz JRWNJCAPEYGXHK-UONOGXRCSA-N 0 3 301.386 4.133 20 0 DIADHN Brc1ccsc1CN1CCC[C@@H]2CCC[C@H]21 ZINC000228042317 1052197111 /nfs/dbraw/zinc/19/71/11/1052197111.db2.gz QFTASMAYEVBFOA-CMPLNLGQSA-N 0 3 300.265 4.275 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(CCc3ccccc3)n2)[C@H]1C ZINC000495054928 1052205890 /nfs/dbraw/zinc/20/58/90/1052205890.db2.gz XUWVVQHSYDNCJN-KEYYUXOJSA-N 0 3 300.471 4.062 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(CCc3ccccc3)n2)[C@@H]1C ZINC000495054926 1052206199 /nfs/dbraw/zinc/20/61/99/1052206199.db2.gz XUWVVQHSYDNCJN-CPUCHLNUSA-N 0 3 300.471 4.062 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3cccnc3Cl)C2)c1 ZINC000784495678 1052206782 /nfs/dbraw/zinc/20/67/82/1052206782.db2.gz XVJSZOBUUOETQP-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN FC1(F)CCNC[C@H]1Oc1c(Cl)cc(Cl)cc1Cl ZINC001218449620 1052239819 /nfs/dbraw/zinc/23/98/19/1052239819.db2.gz VDEAYCAMBOEQSS-SECBINFHSA-N 0 3 316.562 4.023 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnc(N(C)C)s1)CC2 ZINC000495160419 1052241386 /nfs/dbraw/zinc/24/13/86/1052241386.db2.gz SUTJRZQSRZACCI-KRWDZBQOSA-N 0 3 315.486 4.110 20 0 DIADHN C[C@@H]1c2ccc(O[C@H]3CNCc4ccccc43)cc2OC1(C)C ZINC001218484186 1052245630 /nfs/dbraw/zinc/24/56/30/1052245630.db2.gz JLWYYERAHRYQTQ-YJYMSZOUSA-N 0 3 309.409 4.184 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1O[C@H]1CNCc2ccccc21 ZINC001218484474 1052245848 /nfs/dbraw/zinc/24/58/48/1052245848.db2.gz PYGRWIJUPZUIQH-HNNXBMFYSA-N 0 3 311.278 4.068 20 0 DIADHN Clc1ccc2sc(O[C@H]3CNCc4ccccc43)nc2c1 ZINC001218483926 1052246197 /nfs/dbraw/zinc/24/61/97/1052246197.db2.gz ANNCTIFKJXOKJI-AWEZNQCLSA-N 0 3 316.813 4.173 20 0 DIADHN Clc1ccc2ccc(O[C@@H]3CNCc4ccccc43)nc2c1 ZINC001218487489 1052247119 /nfs/dbraw/zinc/24/71/19/1052247119.db2.gz UMOLHYFTYGRKDU-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cccc2nc(O[C@H]3CNCc4ccccc43)sc21 ZINC001218489919 1052247269 /nfs/dbraw/zinc/24/72/69/1052247269.db2.gz PTDDOANHFLRZGB-AWEZNQCLSA-N 0 3 316.813 4.173 20 0 DIADHN CC(=O)c1ccc2ccccc2c1O[C@@H]1CNCc2ccccc21 ZINC001218487707 1052247291 /nfs/dbraw/zinc/24/72/91/1052247291.db2.gz VXHTVZPJXOITAF-HXUWFJFHSA-N 0 3 317.388 4.266 20 0 DIADHN Fc1cc(C(F)(F)F)ccc1O[C@@H]1CNCc2ccccc21 ZINC001218486397 1052248033 /nfs/dbraw/zinc/24/80/33/1052248033.db2.gz DRAMDOOXYXXPCF-OAHLLOKOSA-N 0 3 311.278 4.068 20 0 DIADHN Clc1cccc2cc(O[C@@H]3CNCc4ccccc43)cnc12 ZINC001218488190 1052248228 /nfs/dbraw/zinc/24/82/28/1052248228.db2.gz JSOLOBPQBBEBLA-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN Cc1cc(C)c(O[C@@H]2CNCc3ccccc32)c2ncccc12 ZINC001218487495 1052248333 /nfs/dbraw/zinc/24/83/33/1052248333.db2.gz UQMWRPKVZASNMW-GOSISDBHSA-N 0 3 304.393 4.075 20 0 DIADHN Clc1ccc(O[C@H]2CNCc3ccccc32)c2cccnc12 ZINC001218493516 1052249806 /nfs/dbraw/zinc/24/98/06/1052249806.db2.gz QLKWZRNYNQTEIQ-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Fc1c(Cl)cc(Cl)cc1O[C@H]1CNCc2ccccc21 ZINC001218493590 1052249931 /nfs/dbraw/zinc/24/99/31/1052249931.db2.gz SJTFKQQVRBQSRF-AWEZNQCLSA-N 0 3 312.171 4.356 20 0 DIADHN Clc1cnc2cccc(O[C@H]3CNCc4ccccc43)c2c1 ZINC001218490966 1052250078 /nfs/dbraw/zinc/25/00/78/1052250078.db2.gz SYKJGOJVMOVXHA-SFHVURJKSA-N 0 3 310.784 4.112 20 0 DIADHN C[C@H]1CN(Cc2cc(F)cc3cccnc32)Cc2ccccc21 ZINC000914787702 1052254632 /nfs/dbraw/zinc/25/46/32/1052254632.db2.gz HOBDYJPCWPUVFM-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN CCN(Cc1nc2cc(F)ccc2o1)[C@@H](C)Cc1ccsc1 ZINC000495224831 1052260732 /nfs/dbraw/zinc/26/07/32/1052260732.db2.gz UCVJZDQCOZKSEX-LBPRGKRZSA-N 0 3 318.417 4.482 20 0 DIADHN O=C(Nc1cccc2c1CNC2)c1sccc1-c1ccccc1 ZINC001218992782 1052265964 /nfs/dbraw/zinc/26/59/64/1052265964.db2.gz FEQQOGMKWITSBR-UHFFFAOYSA-N 0 3 320.417 4.271 20 0 DIADHN CCC[C@H](N)C(=O)Nc1cc(O)c(C(C)(C)C)cc1C(C)(C)C ZINC001219074379 1052271705 /nfs/dbraw/zinc/27/17/05/1052271705.db2.gz HXINNUZVDBPGSA-AWEZNQCLSA-N 0 3 320.477 4.053 20 0 DIADHN C[C@@H](CNCc1nc(-c2ccccc2)cs1)c1nccs1 ZINC000230693668 1052272164 /nfs/dbraw/zinc/27/21/64/1052272164.db2.gz ICRJCVWBRCDYSM-LBPRGKRZSA-N 0 3 315.467 4.160 20 0 DIADHN N[C@@H](CC1CCC1)C(=O)Nc1ccc(C2CC2)c2ccccc12 ZINC001219094349 1052273619 /nfs/dbraw/zinc/27/36/19/1052273619.db2.gz GQDYAKAZPBJRFA-SFHVURJKSA-N 0 3 308.425 4.173 20 0 DIADHN CC(C)c1ccc(NC(=O)[C@H](N)C(C)(C)C)c(C(F)(F)F)c1 ZINC001219268296 1052280286 /nfs/dbraw/zinc/28/02/86/1052280286.db2.gz FZGWSZNUQNCXHB-ZDUSSCGKSA-N 0 3 316.367 4.141 20 0 DIADHN Clc1sccc1CN[C@H]1CCCN(Cc2ccccc2)C1 ZINC000312631696 1052291108 /nfs/dbraw/zinc/29/11/08/1052291108.db2.gz UXLXJULEUXEUBE-INIZCTEOSA-N 0 3 320.889 4.156 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(Cl)cc2)cc1OC ZINC000301603586 1052292245 /nfs/dbraw/zinc/29/22/45/1052292245.db2.gz CARWUDNNOVMQLO-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001127335516 1052300903 /nfs/dbraw/zinc/30/09/03/1052300903.db2.gz SQFMOUAEJCMAFE-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN COc1ccc(OC)c([C@H]2CCCN2Cc2cccc(C)c2)c1 ZINC000302737600 1052303405 /nfs/dbraw/zinc/30/34/05/1052303405.db2.gz KHQFDUOPISSSIW-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN CCCN(Cc1csc(-c2cccc(OC)c2)n1)CC1CC1 ZINC000302831611 1052304559 /nfs/dbraw/zinc/30/45/59/1052304559.db2.gz BVLODUHCGZQFIG-UHFFFAOYSA-N 0 3 316.470 4.441 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc(OCC3CC3)nc2)cc1 ZINC000303101785 1052314860 /nfs/dbraw/zinc/31/48/60/1052314860.db2.gz LJRQROZBFYJNFY-UHFFFAOYSA-N 0 3 324.468 4.458 20 0 DIADHN CC(C)Oc1ccc([C@H](C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC000153448612 1052325151 /nfs/dbraw/zinc/32/51/51/1052325151.db2.gz YRPWBJQCQAVAII-ZDUSSCGKSA-N 0 3 303.377 4.169 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCc2ccc(O)c(F)c2)cc1 ZINC000153448612 1052325159 /nfs/dbraw/zinc/32/51/59/1052325159.db2.gz YRPWBJQCQAVAII-ZDUSSCGKSA-N 0 3 303.377 4.169 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC000153448515 1052325179 /nfs/dbraw/zinc/32/51/79/1052325179.db2.gz YRPWBJQCQAVAII-CYBMUJFWSA-N 0 3 303.377 4.169 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc(O)c(F)c2)cc1 ZINC000153448515 1052325184 /nfs/dbraw/zinc/32/51/84/1052325184.db2.gz YRPWBJQCQAVAII-CYBMUJFWSA-N 0 3 303.377 4.169 20 0 DIADHN CCC1(NC(=O)[C@@H]2CCC[C@H](c3ccccc3)N2)CCCCC1 ZINC001220338498 1052330449 /nfs/dbraw/zinc/33/04/49/1052330449.db2.gz BDCKFXXBHYHFNB-MSOLQXFVSA-N 0 3 314.473 4.099 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H](C(C)(C)C)CC1)c1cccs1 ZINC000303703090 1052339103 /nfs/dbraw/zinc/33/91/03/1052339103.db2.gz XQHUAVATSBEGMR-LSDHHAIUSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2)C1)c1ccccc1Cl ZINC000495490391 1052361567 /nfs/dbraw/zinc/36/15/67/1052361567.db2.gz HCSBMNITGLYYDX-GJZGRUSLSA-N 0 3 300.833 4.270 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(F)cc2F)C12CCCCC2 ZINC000316081074 1052361884 /nfs/dbraw/zinc/36/18/84/1052361884.db2.gz QLWKBWFWJCRDJF-IRXDYDNUSA-N 0 3 309.400 4.182 20 0 DIADHN C=CCc1cc(CN[C@@H](C)c2cccs2)cc(OC)c1OC ZINC000089520580 1052393064 /nfs/dbraw/zinc/39/30/64/1052393064.db2.gz MWUSDHBJSDUHBY-ZDUSSCGKSA-N 0 3 317.454 4.345 20 0 DIADHN CN(Cc1csc2ccccc12)C[C@@H](O)c1ccccc1F ZINC000915363938 1052402093 /nfs/dbraw/zinc/40/20/93/1052402093.db2.gz KSHARKDFJKVMSM-QGZVFWFLSA-N 0 3 315.413 4.206 20 0 DIADHN C[C@H](NC[C@@H]1CC=CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000762634654 1052411273 /nfs/dbraw/zinc/41/12/73/1052411273.db2.gz NTFQVXCYQLCRQZ-QWHCGFSZSA-N 0 3 317.820 4.397 20 0 DIADHN CN1CCC[C@H](N[C@@H]2CCCc3cccnc32)c2ccccc21 ZINC000648805612 1052431316 /nfs/dbraw/zinc/43/13/16/1052431316.db2.gz WHTJCEAUSKYUNC-ZWKOTPCHSA-N 0 3 307.441 4.020 20 0 DIADHN COC[C@@H](N[C@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000316218620 1052458150 /nfs/dbraw/zinc/45/81/50/1052458150.db2.gz GQHXFVQAAOVLJL-RTBURBONSA-N 0 3 301.405 4.176 20 0 DIADHN Cc1cncc(Br)c1CN1CCC[C@@H](C)[C@H](C)C1 ZINC001235637266 1052489647 /nfs/dbraw/zinc/48/96/47/1052489647.db2.gz NLVKHKBQIZOSNI-DGCLKSJQSA-N 0 3 311.267 4.021 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@H](COCC(C)C)c2ccco2)C1 ZINC001649025566 1052534413 /nfs/dbraw/zinc/53/44/13/1052534413.db2.gz PWRMDPUTSIJEHI-ARFHVFGLSA-N 0 3 311.491 4.257 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccccc2)cc1 ZINC000107071007 1052543802 /nfs/dbraw/zinc/54/38/02/1052543802.db2.gz XVZISGHJAYQLBB-ZIAGYGMSSA-N 0 3 314.454 4.086 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N[C@H](C)c2ccccc2)c1 ZINC000107071155 1052544098 /nfs/dbraw/zinc/54/40/98/1052544098.db2.gz UXCDRXVMNVNPQG-KGLIPLIRSA-N 0 3 314.454 4.086 20 0 DIADHN Cc1c2[nH]cnc2ccc1NCc1ccc(F)c(Cl)c1F ZINC001168506219 1052544620 /nfs/dbraw/zinc/54/46/20/1052544620.db2.gz WCQSPLVQLJLWEN-UHFFFAOYSA-N 0 3 307.731 4.415 20 0 DIADHN Cc1ccc(C)c(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001222907649 1052545220 /nfs/dbraw/zinc/54/52/20/1052545220.db2.gz CTCWJEMSESUQDX-QUCCMNQESA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(C)c(CO[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001222907647 1052545262 /nfs/dbraw/zinc/54/52/62/1052545262.db2.gz CTCWJEMSESUQDX-ICSRJNTNSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1ccc(C)c(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001222908252 1052545700 /nfs/dbraw/zinc/54/57/00/1052545700.db2.gz IXMIABCBNQPCBD-IEBWSBKVSA-N 0 3 310.441 4.051 20 0 DIADHN Cn1nccc1C1C[C@H]2CC[C@@H](C1)N2Cc1cscc1Cl ZINC000670273290 1052552282 /nfs/dbraw/zinc/55/22/82/1052552282.db2.gz PXJAJHHHVOKXTN-QXMXGUDHSA-N 0 3 321.877 4.046 20 0 DIADHN CCn1c2ccccc2c2cc(NC(=O)[C@H](N)CC(C)C)ccc21 ZINC000326409151 1052554644 /nfs/dbraw/zinc/55/46/44/1052554644.db2.gz JNNUSBKXKIOTMR-QGZVFWFLSA-N 0 3 323.440 4.126 20 0 DIADHN CCN1CC[C@H](OC(Cl)(c2ccccc2)c2ccccc2)C1 ZINC001223159893 1052573777 /nfs/dbraw/zinc/57/37/77/1052573777.db2.gz NDFKPBVRMOBVPI-SFHVURJKSA-N 0 3 315.844 4.237 20 0 DIADHN Cc1ccc(CO[C@H]2CCCN(Cc3ccccc3)C2)s1 ZINC001223186535 1052576354 /nfs/dbraw/zinc/57/63/54/1052576354.db2.gz SFHUBMRZBUAGSC-KRWDZBQOSA-N 0 3 301.455 4.238 20 0 DIADHN COc1cc(C)c(CN2Cc3ccccc3[C@H](C)C2)cc1OC ZINC000916152492 1052594087 /nfs/dbraw/zinc/59/40/87/1052594087.db2.gz SGSYSROGJCLUPZ-OAHLLOKOSA-N 0 3 311.425 4.132 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](O[C@H]2CCc3ccccc32)C1 ZINC001223419719 1052598701 /nfs/dbraw/zinc/59/87/01/1052598701.db2.gz JEVNJGGCBYSDOK-MMOPVJDHSA-N 0 3 322.452 4.311 20 0 DIADHN CC(C)(NCc1cccc(O)c1)c1ccc(Br)cc1 ZINC000037129032 1052604439 /nfs/dbraw/zinc/60/44/39/1052604439.db2.gz MBNPKWKNSUVBDK-UHFFFAOYSA-N 0 3 320.230 4.180 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H]1CCc2ccc(Cl)cc21 ZINC000763395633 1052622327 /nfs/dbraw/zinc/62/23/27/1052622327.db2.gz NBFWSEGIVQEMNT-MSOLQXFVSA-N 0 3 313.832 4.093 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H]2CCc3ccc(Cl)cc32)cc1 ZINC000763396473 1052623098 /nfs/dbraw/zinc/62/30/98/1052623098.db2.gz UPAIPLDOTDDHQZ-KZULUSFZSA-N 0 3 301.817 4.170 20 0 DIADHN CCCC[C@H](N)C(=O)Nc1ccc(SC2CCCC2)cc1 ZINC000037620378 1052626992 /nfs/dbraw/zinc/62/69/92/1052626992.db2.gz SOIWAUCASZVKCA-INIZCTEOSA-N 0 3 306.475 4.177 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(Br)s2)c1 ZINC000038076789 1052630960 /nfs/dbraw/zinc/63/09/60/1052630960.db2.gz DODGPFDAROBPNM-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2c[nH]nc2C(C)(C)C)c1 ZINC000124240875 1052638479 /nfs/dbraw/zinc/63/84/79/1052638479.db2.gz QXSRHPUMWIEVGV-QGZVFWFLSA-N 0 3 313.445 4.053 20 0 DIADHN COc1ccc(Cl)cc1CNCCCOc1ccccc1F ZINC000916832219 1052655851 /nfs/dbraw/zinc/65/58/51/1052655851.db2.gz SNSPUWIOHYLUEC-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CNC[C@H]1C[C@@H]1C ZINC000916834386 1052656839 /nfs/dbraw/zinc/65/68/39/1052656839.db2.gz QJXJWCNZKJLGGA-CMPLNLGQSA-N 0 3 324.255 4.233 20 0 DIADHN Cc1nn(-c2cccc(Cl)c2)c(Cl)c1CNC[C@@H]1C[C@@H]1C ZINC000916834387 1052657232 /nfs/dbraw/zinc/65/72/32/1052657232.db2.gz QJXJWCNZKJLGGA-JQWIXIFHSA-N 0 3 324.255 4.233 20 0 DIADHN C[C@H](O[C@H]1CN(Cc2ccccc2)CC[C@H]1C)c1nccs1 ZINC001224004540 1052658649 /nfs/dbraw/zinc/65/86/49/1052658649.db2.gz UXZOUVXJKZFZNC-VYDXJSESSA-N 0 3 316.470 4.131 20 0 DIADHN COc1cc(CN(C)Cc2cc3ccccc3s2)ccc1O ZINC000125954972 1052659436 /nfs/dbraw/zinc/65/94/36/1052659436.db2.gz LUNNAFIKWDAOFU-UHFFFAOYSA-N 0 3 313.422 4.248 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCCO2)c1cccc(Cl)c1 ZINC000126072456 1052664474 /nfs/dbraw/zinc/66/44/74/1052664474.db2.gz IQLNTCFCDPWYIA-ZDUSSCGKSA-N 0 3 317.816 4.352 20 0 DIADHN C[C@H](N[C@H]1CCCC[C@@H]1C)c1ncc(Br)s1 ZINC000126359421 1052669312 /nfs/dbraw/zinc/66/93/12/1052669312.db2.gz PWSRHBHHVHAPEQ-GUBZILKMSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OCc2coc3ccccc23)C1 ZINC001224142991 1052672524 /nfs/dbraw/zinc/67/25/24/1052672524.db2.gz MJPIGCJHWYPAEU-NVXWUHKLSA-N 0 3 322.408 4.180 20 0 DIADHN COc1ccccc1OCCN1CC=C(c2ccc(C)cc2)CC1 ZINC000916995944 1052678547 /nfs/dbraw/zinc/67/85/47/1052678547.db2.gz QEAAMLNJUJOQHW-UHFFFAOYSA-N 0 3 323.436 4.172 20 0 DIADHN CCc1ccccc1CO[C@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001224218379 1052679259 /nfs/dbraw/zinc/67/92/59/1052679259.db2.gz OIQIGPWTJROXSS-PXNSSMCTSA-N 0 3 324.468 4.386 20 0 DIADHN CCc1ccccc1CO[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001224218378 1052679509 /nfs/dbraw/zinc/67/95/09/1052679509.db2.gz OIQIGPWTJROXSS-FXAWDEMLSA-N 0 3 324.468 4.386 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccccc1Cl)c1ccccc1F ZINC000917012645 1052680405 /nfs/dbraw/zinc/68/04/05/1052680405.db2.gz KDCGFLMQQAPETA-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN CCCCOCCN[C@@H](C)c1ccc(Br)cc1F ZINC000127012365 1052685584 /nfs/dbraw/zinc/68/55/84/1052685584.db2.gz QFNLVHMSBJYDAP-NSHDSACASA-N 0 3 318.230 4.056 20 0 DIADHN Cc1ccc(CN(C[C@H](O)c2ccc3ccccc3c2)C2CC2)o1 ZINC000917062345 1052687888 /nfs/dbraw/zinc/68/78/88/1052687888.db2.gz OXROTYSVNVHLFH-NRFANRHFSA-N 0 3 321.420 4.439 20 0 DIADHN COCCNc1ccc(CN[C@@H](C)c2cc3ccccc3o2)cc1 ZINC000127208123 1052690237 /nfs/dbraw/zinc/69/02/37/1052690237.db2.gz MDDBEVOOAQRJRR-HNNXBMFYSA-N 0 3 324.424 4.342 20 0 DIADHN c1csc(-c2nc(CNCCc3ccccc3)cs2)c1 ZINC000066697167 1052694460 /nfs/dbraw/zinc/69/44/60/1052694460.db2.gz FUDXUKMLCDLQAM-UHFFFAOYSA-N 0 3 300.452 4.204 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1ccc(Cl)c2cccnc12 ZINC000127667207 1052701269 /nfs/dbraw/zinc/70/12/69/1052701269.db2.gz WZIOQOMSPMCACI-CYBMUJFWSA-N 0 3 311.816 4.476 20 0 DIADHN CC(C)=CCC/C(C)=C/CO[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001224615927 1052709523 /nfs/dbraw/zinc/70/95/23/1052709523.db2.gz QHUODFVNURMTPB-MKFIDALYSA-N 0 3 321.505 4.339 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OC/C=C/c2ccccc2)C1 ZINC001224680269 1052715915 /nfs/dbraw/zinc/71/59/15/1052715915.db2.gz XICCFKITRCUPMN-CSXIPAGWSA-N 0 3 322.452 4.337 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2cccs2)c1 ZINC000089725106 1052718275 /nfs/dbraw/zinc/71/82/75/1052718275.db2.gz ZULZDEMZEDMYMV-DZGCQCFKSA-N 0 3 300.427 4.280 20 0 DIADHN CCCC[C@H](CC)CO[C@@H]1CCN([C@@H](C)c2ccccn2)C1 ZINC001224707968 1052718968 /nfs/dbraw/zinc/71/89/68/1052718968.db2.gz SGPPLUBUTBIDRV-OKZBNKHCSA-N 0 3 304.478 4.450 20 0 DIADHN CC(C)=CCC[C@H](C)CCO[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001224710487 1052719097 /nfs/dbraw/zinc/71/90/97/1052719097.db2.gz RQHKESLHHISNQL-SLFFLAALSA-N 0 3 323.521 4.419 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](OCC2CC(C)(C)C2)C1 ZINC001224769017 1052724263 /nfs/dbraw/zinc/72/42/63/1052724263.db2.gz IONBQIJLZRKZKT-DOTOQJQBSA-N 0 3 302.462 4.060 20 0 DIADHN C[C@H](NC[C@H](O)c1ccsc1)c1ccc(Cl)cc1Cl ZINC000154505034 1052754034 /nfs/dbraw/zinc/75/40/34/1052754034.db2.gz MFHHDRDGSOHEQP-XPTSAGLGSA-N 0 3 316.253 4.439 20 0 DIADHN Cc1ccc(C)c(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001225220928 1052757515 /nfs/dbraw/zinc/75/75/15/1052757515.db2.gz FJPBVDDIJHPGOK-ZWKOTPCHSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001225223370 1052758365 /nfs/dbraw/zinc/75/83/65/1052758365.db2.gz TWVJYMPVHBBORF-ZWKOTPCHSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c(C)c1 ZINC001225223369 1052758621 /nfs/dbraw/zinc/75/86/21/1052758621.db2.gz TWVJYMPVHBBORF-ROUUACIJSA-N 0 3 310.441 4.303 20 0 DIADHN Cc1ccc(C(C)C)cc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001225224233 1052758671 /nfs/dbraw/zinc/75/86/71/1052758671.db2.gz AZWYIFJBQMLARA-KDURUIRLSA-N 0 3 317.473 4.141 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCc1ccccc1-n1cccn1 ZINC000128914330 1052761273 /nfs/dbraw/zinc/76/12/73/1052761273.db2.gz ZLBXMYMDSNGJHB-MRXNPFEDSA-N 0 3 321.424 4.122 20 0 DIADHN CC(C)(C)c1cccc(O[C@H]2CC[C@@H](N3CCOCC3)CC2)c1 ZINC001225244091 1052761932 /nfs/dbraw/zinc/76/19/32/1052761932.db2.gz PJYFMMJUMDFTOZ-HDICACEKSA-N 0 3 317.473 4.006 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937592 1052765774 /nfs/dbraw/zinc/76/57/74/1052765774.db2.gz HHVDVIDUBQXXCG-CABCVRRESA-N 0 3 318.505 4.328 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cccc3ccccc32)C1 ZINC001225270444 1052767128 /nfs/dbraw/zinc/76/71/28/1052767128.db2.gz XMQPUUMCKRJZIB-SJLPKXTDSA-N 0 3 318.420 4.449 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccsc1)c1ccc(-c2ccccc2)o1 ZINC000154584789 1052768827 /nfs/dbraw/zinc/76/88/27/1052768827.db2.gz HPQRDXWCQPIKRQ-XJKSGUPXSA-N 0 3 313.422 4.392 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000129481441 1052790799 /nfs/dbraw/zinc/79/07/99/1052790799.db2.gz DTDAEVKIBKXMEP-CYBMUJFWSA-N 0 3 324.346 4.172 20 0 DIADHN CC(C)CC1(C[NH2+][C@@H](c2cccs2)c2nnc[n-]2)CCCC1 ZINC000556104696 1052799983 /nfs/dbraw/zinc/79/99/83/1052799983.db2.gz IFITUOVFOYGAGH-HNNXBMFYSA-N 0 3 318.490 4.152 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2cccc(C(F)F)c2)C1 ZINC001225544637 1052801712 /nfs/dbraw/zinc/80/17/12/1052801712.db2.gz VRJPHRDBDGZOIC-XJKSGUPXSA-N 0 3 318.367 4.234 20 0 DIADHN CC(=O)c1ccccc1OC(C(=O)c1ccco1)c1ccco1 ZINC001225572658 1052803532 /nfs/dbraw/zinc/80/35/32/1052803532.db2.gz NDRLOLZKSOUOLZ-GOSISDBHSA-N 0 3 310.305 4.078 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CCC2(C1)CCCCC2 ZINC000129895265 1052814119 /nfs/dbraw/zinc/81/41/19/1052814119.db2.gz KOIWKZFZVGQUEF-HNNXBMFYSA-N 0 3 311.429 4.454 20 0 DIADHN COc1ccc(CN[C@H](c2cccs2)C2CC2)c(OC)c1 ZINC000070139614 1052814620 /nfs/dbraw/zinc/81/46/20/1052814620.db2.gz MOPMARCSBHVYBJ-KRWDZBQOSA-N 0 3 303.427 4.006 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CCC2(C1)CCCCC2 ZINC000129895474 1052814743 /nfs/dbraw/zinc/81/47/43/1052814743.db2.gz KOIWKZFZVGQUEF-OAHLLOKOSA-N 0 3 311.429 4.454 20 0 DIADHN C[C@H](CCN[C@H](c1nnc[nH]1)c1ccc(F)cc1)CC(C)(C)C ZINC000556178686 1052817212 /nfs/dbraw/zinc/81/72/12/1052817212.db2.gz LKHOURISVKAQQQ-CJNGLKHVSA-N 0 3 318.440 4.085 20 0 DIADHN c1ccc(CN2CCCO[C@@H](CSc3ccccc3)C2)cc1 ZINC000556290045 1052834395 /nfs/dbraw/zinc/83/43/95/1052834395.db2.gz BUARQVPOUZYCFP-GOSISDBHSA-N 0 3 313.466 4.070 20 0 DIADHN Fc1ccc(F)c(O[C@H]2CCCN(Cc3ccccc3)C2)c1 ZINC001225901755 1052845202 /nfs/dbraw/zinc/84/52/02/1052845202.db2.gz SCPMLJRFVPQVIL-INIZCTEOSA-N 0 3 303.352 4.008 20 0 DIADHN Cc1c(Cl)cccc1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001225922215 1052847947 /nfs/dbraw/zinc/84/79/47/1052847947.db2.gz GJQKMZIEBXAJTK-HUUCEWRRSA-N 0 3 316.832 4.258 20 0 DIADHN Cc1ccc(OC2CCN(Cc3ccccc3)CC2)c(F)c1F ZINC001225942536 1052850220 /nfs/dbraw/zinc/85/02/20/1052850220.db2.gz PUJGEKQBFSIHSF-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN CC(=O)c1ccc(F)cc1O[C@H](CCN(C)C)c1ccccc1 ZINC001225948599 1052851500 /nfs/dbraw/zinc/85/15/00/1052851500.db2.gz ICTPUIRYGAGGNE-GOSISDBHSA-N 0 3 315.388 4.100 20 0 DIADHN Fc1ccc(OC2CCN(Cc3ccccc3)CC2)c(F)c1F ZINC001225950411 1052851756 /nfs/dbraw/zinc/85/17/56/1052851756.db2.gz BLXOGYNTXTWMFA-UHFFFAOYSA-N 0 3 321.342 4.147 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2ccccc2C(=O)c2ccccc2)C1 ZINC001225980611 1052855757 /nfs/dbraw/zinc/85/57/57/1052855757.db2.gz YUUZFGICPPWEKI-GOSISDBHSA-N 0 3 323.436 4.169 20 0 DIADHN CCN(Cc1coc(-c2c(F)cccc2F)n1)C1CCCC1 ZINC000556505724 1052857723 /nfs/dbraw/zinc/85/77/23/1052857723.db2.gz DZHSOOWNCCHSJE-UHFFFAOYSA-N 0 3 306.356 4.384 20 0 DIADHN CC(=O)c1ccc2ccccc2c1OC1CCN(C(C)C)CC1 ZINC001226034928 1052862775 /nfs/dbraw/zinc/86/27/75/1052862775.db2.gz WRPVLDJEBVOFIJ-UHFFFAOYSA-N 0 3 311.425 4.294 20 0 DIADHN COC(C)(C)CN(C)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000556600060 1052870323 /nfs/dbraw/zinc/87/03/23/1052870323.db2.gz DMASCVYFTRDYJD-UHFFFAOYSA-N 0 3 324.877 4.320 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC(c3ccncc3)CC2)c1 ZINC000556867244 1052889805 /nfs/dbraw/zinc/88/98/05/1052889805.db2.gz BWDYOOGCAFBUNS-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H](c3ccccc3)C2)cn1 ZINC000556883638 1052891879 /nfs/dbraw/zinc/89/18/79/1052891879.db2.gz GKEUXOMPLNPYHI-SFHVURJKSA-N 0 3 309.457 4.154 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000556885018 1052892568 /nfs/dbraw/zinc/89/25/68/1052892568.db2.gz SVKVPELNGUVIOH-CYBMUJFWSA-N 0 3 302.418 4.149 20 0 DIADHN CC(C)[C@@H]1N(Cc2cnc(-c3ccncc3)s2)CC1(C)C ZINC000556895304 1052893407 /nfs/dbraw/zinc/89/34/07/1052893407.db2.gz PZRDYTJVPISLAX-HNNXBMFYSA-N 0 3 301.459 4.072 20 0 DIADHN c1ccc(CN2CCC(Oc3nsc4ccccc43)CC2)cc1 ZINC001226435296 1052896011 /nfs/dbraw/zinc/89/60/11/1052896011.db2.gz WMMWGFWHZLABOL-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3cccc(Cl)c3)C2)s1 ZINC000556909839 1052896506 /nfs/dbraw/zinc/89/65/06/1052896506.db2.gz OMIGNHBJLRAFJN-CYBMUJFWSA-N 0 3 306.862 4.348 20 0 DIADHN CC1(C)CN(CC2CC2)C[C@H](c2cccc(C(F)(F)F)c2)O1 ZINC000556910088 1052897677 /nfs/dbraw/zinc/89/76/77/1052897677.db2.gz UNYMJWPIXAWUSB-OAHLLOKOSA-N 0 3 313.363 4.267 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N[C@@H](C)c1c(F)cncc1F ZINC000556917351 1052898445 /nfs/dbraw/zinc/89/84/45/1052898445.db2.gz QMOJXJJQHOYXCB-NEPJUHHUSA-N 0 3 308.347 4.171 20 0 DIADHN Nc1cc(O[C@@H]2CCN(c3ccccc3)c3ccccc32)ccn1 ZINC001226653617 1052919247 /nfs/dbraw/zinc/91/92/47/1052919247.db2.gz NAGIORBTQWMRCO-LJQANCHMSA-N 0 3 317.392 4.326 20 0 DIADHN Nc1cc(OC(c2ccc(F)cc2)c2ccc(F)cc2)ccn1 ZINC001226655756 1052919256 /nfs/dbraw/zinc/91/92/56/1052919256.db2.gz HQESIWWLQMDSKT-UHFFFAOYSA-N 0 3 312.319 4.110 20 0 DIADHN CCCCCCC(=O)c1ccc(O[C@H]2CCN(CC)C2)cc1 ZINC001226781257 1052931049 /nfs/dbraw/zinc/93/10/49/1052931049.db2.gz YZXIOVCAJPTIIM-SFHVURJKSA-N 0 3 303.446 4.313 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNCc1ccc(C)cc1 ZINC000920412655 1052938370 /nfs/dbraw/zinc/93/83/70/1052938370.db2.gz BPSYOYUAYAGYBX-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN COc1cc(F)c([C@@H](C)N[C@@H]2C[C@@H]2c2ccccc2)cc1OC ZINC000411451902 1052945719 /nfs/dbraw/zinc/94/57/19/1052945719.db2.gz AKOBZAJAZACXSA-SRCQZFHVSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@@H](C1CC1)N(Cc1coc(-c2ccc(F)cc2)n1)C1CC1 ZINC000075683938 1052947675 /nfs/dbraw/zinc/94/76/75/1052947675.db2.gz NGBNIQCVGKLEQV-LBPRGKRZSA-N 0 3 300.377 4.244 20 0 DIADHN CC(=O)c1cc(C)ccc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001227085247 1052959738 /nfs/dbraw/zinc/95/97/38/1052959738.db2.gz CFABUJAIQPXQTD-IBGZPJMESA-N 0 3 311.425 4.269 20 0 DIADHN CC(=O)c1ccc(C)cc1OC(C(=O)c1ccco1)c1ccco1 ZINC001227115419 1052962268 /nfs/dbraw/zinc/96/22/68/1052962268.db2.gz URVAXAJWTXNFKG-IBGZPJMESA-N 0 3 324.332 4.387 20 0 DIADHN Cc1ccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c2c1CCC2 ZINC001227235640 1052975177 /nfs/dbraw/zinc/97/51/77/1052975177.db2.gz VLIYDJWGPNNPPE-DLBZAZTESA-N 0 3 322.452 4.093 20 0 DIADHN COCCN1CCC(Oc2ccccc2C2CCCCC2)CC1 ZINC001227315711 1052981719 /nfs/dbraw/zinc/98/17/19/1052981719.db2.gz ANXRXANBMKONLQ-UHFFFAOYSA-N 0 3 317.473 4.224 20 0 DIADHN CC(C)[C@H](CNCc1cccc(F)c1F)Nc1ccccc1 ZINC000195585777 1052985020 /nfs/dbraw/zinc/98/50/20/1052985020.db2.gz BDKMHERFMGZPEI-KRWDZBQOSA-N 0 3 304.384 4.191 20 0 DIADHN COc1cc(Cl)ccc1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001227365827 1052985171 /nfs/dbraw/zinc/98/51/71/1052985171.db2.gz HQCSNRHNIGLWRS-INIZCTEOSA-N 0 3 317.816 4.002 20 0 DIADHN CC(C)CCN(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000609798406 1052992722 /nfs/dbraw/zinc/99/27/22/1052992722.db2.gz ALZBOTVZJKDTNV-IBGZPJMESA-N 0 3 322.301 4.079 20 0 DIADHN CCOC1CCN(Cc2ccc(SC(F)F)cc2)CC1 ZINC000064557621 1052992994 /nfs/dbraw/zinc/99/29/94/1052992994.db2.gz ZOXVGYWVASBVQY-UHFFFAOYSA-N 0 3 301.402 4.002 20 0 DIADHN CC(=O)c1ccc(O[C@H]2CN(Cc3ccccc3)CC[C@H]2C)cc1 ZINC001227463612 1052993763 /nfs/dbraw/zinc/99/37/63/1052993763.db2.gz ZSEJUSXWENAHMB-IERDGZPVSA-N 0 3 323.436 4.179 20 0 DIADHN OCCCCCCCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000175480274 1052999617 /nfs/dbraw/zinc/99/96/17/1052999617.db2.gz QBKPFKBDDDJGGC-INIZCTEOSA-N 0 3 318.486 4.376 20 0 DIADHN CN1CCC(Oc2ccc(-c3ccccc3)cc2Cl)CC1 ZINC001227719588 1053010120 /nfs/dbraw/zinc/01/01/20/1053010120.db2.gz MFZIMQZMSSECEZ-UHFFFAOYSA-N 0 3 301.817 4.480 20 0 DIADHN Cc1ncccc1CNCc1cccc(COc2ccccc2)c1 ZINC000237610403 1053015740 /nfs/dbraw/zinc/01/57/40/1053015740.db2.gz CNMWIJPMAHZOIM-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN FC(F)(F)COc1ccc(CN2CCc3ccccc3C2)cc1 ZINC000615454247 1053017890 /nfs/dbraw/zinc/01/78/90/1053017890.db2.gz YAJKXLPZZZMZLD-UHFFFAOYSA-N 0 3 321.342 4.186 20 0 DIADHN Cc1ccc(CNCc2cccc(OC(F)(F)F)c2)cc1F ZINC000237749198 1053025005 /nfs/dbraw/zinc/02/50/05/1053025005.db2.gz UZZPRTZFPLOKBF-UHFFFAOYSA-N 0 3 313.294 4.323 20 0 DIADHN O=[N+]([O-])c1ccc(Cl)c(CNC[C@@H]2C[C@H]2c2ccccc2)c1 ZINC000237827827 1053032011 /nfs/dbraw/zinc/03/20/11/1053032011.db2.gz HLUFDJRIELYJFF-BBRMVZONSA-N 0 3 316.788 4.142 20 0 DIADHN Fc1ccccc1CN1CCC[C@@H](Oc2cccc3[nH]ccc32)C1 ZINC001228179494 1053050659 /nfs/dbraw/zinc/05/06/59/1053050659.db2.gz HPQZCTYTQQCIIQ-MRXNPFEDSA-N 0 3 324.399 4.350 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2cccc3[nH]ccc32)C1 ZINC001228179576 1053050821 /nfs/dbraw/zinc/05/08/21/1053050821.db2.gz JYDSHKCDFWSBEK-CVEARBPZSA-N 0 3 321.424 4.167 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C2[C@@H]3CCCCCC[C@@H]23)c(F)c1 ZINC000616267492 1053052148 /nfs/dbraw/zinc/05/21/48/1053052148.db2.gz NWSZRGZYXDHWAZ-HUUCEWRRSA-N 0 3 318.436 4.042 20 0 DIADHN CC(C)c1cccc(C(=O)Nc2ccc(CN(C)C)cc2F)c1 ZINC000616267897 1053052301 /nfs/dbraw/zinc/05/23/01/1053052301.db2.gz XMKAPWGONWPDBC-UHFFFAOYSA-N 0 3 314.404 4.263 20 0 DIADHN CC(=O)c1c(OC2CCN(C(C)C)CC2)ccc2ccccc21 ZINC001228248415 1053057119 /nfs/dbraw/zinc/05/71/19/1053057119.db2.gz YAYGFQFKDCXDDD-UHFFFAOYSA-N 0 3 311.425 4.294 20 0 DIADHN C[C@](O)(CNC(c1ccccc1)c1ccccc1)c1ccsc1 ZINC000180609836 1053074255 /nfs/dbraw/zinc/07/42/55/1053074255.db2.gz PYDFDCQDMVEYDW-FQEVSTJZSA-N 0 3 323.461 4.335 20 0 DIADHN CCCCOc1ccc(O[C@@H]2CCN(C(C)C)C2)c(F)c1F ZINC001228386219 1053092770 /nfs/dbraw/zinc/09/27/70/1053092770.db2.gz WARWKAZMAUFECY-CYBMUJFWSA-N 0 3 313.388 4.005 20 0 DIADHN CCCc1cccc(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001228448077 1053106246 /nfs/dbraw/zinc/10/62/46/1053106246.db2.gz AQRJQEWDXLRDSL-QFBILLFUSA-N 0 3 310.441 4.248 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@H]2N[C@@H]1CCCc2n[nH]cc21 ZINC000353411011 1053108955 /nfs/dbraw/zinc/10/89/55/1053108955.db2.gz XWQPJDLVMAHKAY-ZIAGYGMSSA-N 0 3 322.239 4.371 20 0 DIADHN Cc1cc(Cl)ncc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001228532829 1053126210 /nfs/dbraw/zinc/12/62/10/1053126210.db2.gz LKIGVMIQOHZOMP-INIZCTEOSA-N 0 3 316.832 4.087 20 0 DIADHN C[C@@H]1C[C@@H](Nc2nc(-c3ccccc3Cl)cs2)CCN1C ZINC000543209995 1053129795 /nfs/dbraw/zinc/12/97/95/1053129795.db2.gz HNLTXZZTPXPQLV-NEPJUHHUSA-N 0 3 321.877 4.358 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@@H]2CCCC[C@H]2C1 ZINC001317772555 1053132928 /nfs/dbraw/zinc/13/29/28/1053132928.db2.gz RFENOTVRNCZEQA-SZMVWBNQSA-N 0 3 314.473 4.088 20 0 DIADHN Cc1nc2ccc(Nc3ccc(N4CCCCC4)nc3)cc2[nH]1 ZINC001213070424 1053140180 /nfs/dbraw/zinc/14/01/80/1053140180.db2.gz POWNTHJYTSKLGH-UHFFFAOYSA-N 0 3 307.401 4.000 20 0 DIADHN C[C@H]1C[C@H](CCN2CCS[C@@H](C(F)(F)F)C2)C[C@@H](C)C1 ZINC001560147612 1053141686 /nfs/dbraw/zinc/14/16/86/1053141686.db2.gz UCOLBNDWHCURNS-ZOBORPQBSA-N 0 3 309.441 4.429 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000353440467 1053142237 /nfs/dbraw/zinc/14/22/37/1053142237.db2.gz KINFXRSPHKDQCV-NVXWUHKLSA-N 0 3 303.837 4.427 20 0 DIADHN CN(C)c1ncc(CNC2(c3ccccc3)CC2)c2ccccc21 ZINC001351172539 1053143815 /nfs/dbraw/zinc/14/38/15/1053143815.db2.gz PJDXNYFKGZANPL-UHFFFAOYSA-N 0 3 317.436 4.080 20 0 DIADHN C[C@H](NCc1cccc2nsnc21)c1ccc(Cl)cc1 ZINC000766829810 1053146570 /nfs/dbraw/zinc/14/65/70/1053146570.db2.gz KCZIBZXFXUDHPU-JTQLQIEISA-N 0 3 303.818 4.196 20 0 DIADHN COc1cccc2cc(CNCC3=Cc4ccccc4OC3)oc21 ZINC001318348461 1053164012 /nfs/dbraw/zinc/16/40/12/1053164012.db2.gz LJHIOMCIMQQAHZ-UHFFFAOYSA-N 0 3 321.376 4.007 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H]2CCCc3n[nH]cc32)cc1 ZINC000353498641 1053176381 /nfs/dbraw/zinc/17/63/81/1053176381.db2.gz ASBUPHHHFNUHKV-WBMJQRKESA-N 0 3 301.459 4.250 20 0 DIADHN O=C(c1ccco1)C(Oc1cccc2c1C(=O)CC2)c1ccco1 ZINC001228837432 1053189386 /nfs/dbraw/zinc/18/93/86/1053189386.db2.gz JQNJYCAKCGYVCU-LJQANCHMSA-N 0 3 322.316 4.005 20 0 DIADHN COc1cccc([C@@H]2CCCN2CCOc2ccccc2F)c1 ZINC000658662072 1053190546 /nfs/dbraw/zinc/19/05/46/1053190546.db2.gz SHVDFZJDGHYVIV-SFHVURJKSA-N 0 3 315.388 4.050 20 0 DIADHN COc1cccc([C@H]2CCCN2CCOc2ccccc2F)c1 ZINC000658662065 1053190937 /nfs/dbraw/zinc/19/09/37/1053190937.db2.gz SHVDFZJDGHYVIV-GOSISDBHSA-N 0 3 315.388 4.050 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2cccc3cc[nH]c32)C1 ZINC001228889885 1053200295 /nfs/dbraw/zinc/20/02/95/1053200295.db2.gz FULGHPLAMNMIJZ-NVXWUHKLSA-N 0 3 321.424 4.167 20 0 DIADHN COc1ccccc1/C=C\CNC1(c2ccccc2OC)CCC1 ZINC001318881569 1053201529 /nfs/dbraw/zinc/20/15/29/1053201529.db2.gz CCXJASSJFLMZEO-YFHOEESVSA-N 0 3 323.436 4.386 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](C)c1cccc(C)c1C ZINC000089509073 1053205958 /nfs/dbraw/zinc/20/59/58/1053205958.db2.gz ZMVZKTFYMCAFAV-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN C[C@@H](NCc1cccc(OC(F)F)c1)c1ccccc1N(C)C ZINC000089520265 1053206014 /nfs/dbraw/zinc/20/60/14/1053206014.db2.gz QIEVCTNEFSIWID-CYBMUJFWSA-N 0 3 320.383 4.205 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000090086051 1053224678 /nfs/dbraw/zinc/22/46/78/1053224678.db2.gz OILFBGWYZBUREG-KGLIPLIRSA-N 0 3 318.486 4.258 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)COc2ccccc2F)c(F)c1 ZINC001319284364 1053233457 /nfs/dbraw/zinc/23/34/57/1053233457.db2.gz UVXIZMNFTJKARC-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)COc2ccccc2F)c(F)c1 ZINC001319284367 1053233849 /nfs/dbraw/zinc/23/38/49/1053233849.db2.gz UVXIZMNFTJKARC-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN Cc1nc2cc(OC3CCN(Cc4ccccc4)CC3)ccc2o1 ZINC001229075783 1053239756 /nfs/dbraw/zinc/23/97/56/1053239756.db2.gz WDAAXRXOQBCVOL-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN CCC(CC)(CO)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000090800990 1053244319 /nfs/dbraw/zinc/24/43/19/1053244319.db2.gz NTFPZWTWTLYITN-AWEZNQCLSA-N 0 3 318.486 4.259 20 0 DIADHN COc1ccccc1[C@H](N[C@@H](C)C1CCCC1)c1ccccn1 ZINC000090913158 1053248357 /nfs/dbraw/zinc/24/83/57/1053248357.db2.gz BWWMISRXROVEKF-YWZLYKJASA-N 0 3 310.441 4.348 20 0 DIADHN Fc1cc(F)c(OC2CCN(Cc3ccccc3)CC2)cc1F ZINC001229219169 1053277288 /nfs/dbraw/zinc/27/72/88/1053277288.db2.gz XFSWHAJBVNCHGC-UHFFFAOYSA-N 0 3 321.342 4.147 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(c2nc3ccccc3o2)CC1 ZINC001319894380 1053284447 /nfs/dbraw/zinc/28/44/47/1053284447.db2.gz ODJHZCMFUXOTST-CQSZACIVSA-N 0 3 307.397 4.163 20 0 DIADHN CCCCC[C@H](NCc1c(C)c(C)n[nH]c1=O)c1ccccc1 ZINC001319894861 1053285409 /nfs/dbraw/zinc/28/54/09/1053285409.db2.gz HTLPPCGRGJGFSD-SFHVURJKSA-N 0 3 313.445 4.210 20 0 DIADHN CO[C@@H](CN[C@H]1CCc2c1c(F)ccc2F)c1ccc(F)cc1 ZINC001320024890 1053296716 /nfs/dbraw/zinc/29/67/16/1053296716.db2.gz DZTHWLUEJWPVBJ-IRXDYDNUSA-N 0 3 321.342 4.069 20 0 DIADHN CS[C@@H](CNCc1nc2c(s1)C[C@@H](C)CC2)C(C)(C)C ZINC001320084517 1053302641 /nfs/dbraw/zinc/30/26/41/1053302641.db2.gz AETHMFKMLPMQLY-FZMZJTMJSA-N 0 3 312.548 4.135 20 0 DIADHN Cc1nccc([C@H]2CCCN([C@@H](C)c3cccc(Cl)c3)C2)n1 ZINC000618442925 1053309131 /nfs/dbraw/zinc/30/91/31/1053309131.db2.gz QBYKVOJAJFZCMH-BBRMVZONSA-N 0 3 315.848 4.379 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@H]1CCCN1Cc1ccc(Cl)cc1Cl ZINC000253099769 1053319479 /nfs/dbraw/zinc/31/94/79/1053319479.db2.gz VNMKQWYVVBFJJJ-FVQBIDKESA-N 0 3 314.256 4.119 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cccc(F)c1F ZINC000181978799 1053319969 /nfs/dbraw/zinc/31/99/69/1053319969.db2.gz BTAXKILEBWVBFJ-ZUZCIYMTSA-N 0 3 311.759 4.002 20 0 DIADHN COc1ccsc1[C@H](C)N[C@@H](C)COc1ccccc1F ZINC001320462350 1053335800 /nfs/dbraw/zinc/33/58/00/1053335800.db2.gz TUSSPKPHIBQMRL-RYUDHWBXSA-N 0 3 309.406 4.014 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1ccc(F)c2cccnc12 ZINC001320509137 1053339738 /nfs/dbraw/zinc/33/97/38/1053339738.db2.gz MPEXILQFIPSEQX-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN Cc1cccc(C)c1OC(=O)[C@H]1CCCN(Cc2ccccc2)C1 ZINC001352510782 1053339832 /nfs/dbraw/zinc/33/98/32/1053339832.db2.gz NMXTXVAGCLYDEX-IBGZPJMESA-N 0 3 323.436 4.121 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1sc(CCOC)nc1C ZINC000092751636 1053348701 /nfs/dbraw/zinc/34/87/01/1053348701.db2.gz DIHVCHLKUQKRRV-CYBMUJFWSA-N 0 3 318.486 4.054 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2cccc(C(F)F)c2)o1 ZINC001320627579 1053349557 /nfs/dbraw/zinc/34/95/57/1053349557.db2.gz BZBSRJBVVJOTHA-UHFFFAOYSA-N 0 3 317.339 4.206 20 0 DIADHN Oc1ccc(Cl)cc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001229544454 1053349808 /nfs/dbraw/zinc/34/98/08/1053349808.db2.gz LKDJFDLNMDXIDY-MRXNPFEDSA-N 0 3 317.816 4.089 20 0 DIADHN FC(F)c1cccc(CNCc2ccc(-n3ccnc3)cc2)c1 ZINC001320629406 1053350508 /nfs/dbraw/zinc/35/05/08/1053350508.db2.gz VKEGPCOTQXBQTR-UHFFFAOYSA-N 0 3 313.351 4.100 20 0 DIADHN CCCC[C@H](C)N(C)Cc1ccc(C(F)(F)F)nc1N(C)C ZINC001560869194 1053352390 /nfs/dbraw/zinc/35/23/90/1053352390.db2.gz AALBFTQPTVITGJ-LBPRGKRZSA-N 0 3 317.399 4.177 20 0 DIADHN CC(=O)c1cccc(-c2ccccc2)c1O[C@H]1CCN(C(C)C)C1 ZINC001229565408 1053353809 /nfs/dbraw/zinc/35/38/09/1053353809.db2.gz FLVJXGHANMBLFZ-SFHVURJKSA-N 0 3 323.436 4.418 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1CCC1CCCC1 ZINC001545762461 1053363112 /nfs/dbraw/zinc/36/31/12/1053363112.db2.gz NDAJOEXPPXVDCL-IBGZPJMESA-N 0 3 322.537 4.460 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1CCCC[C@@H]1CC)c1ccc(F)cc1 ZINC001320887632 1053372764 /nfs/dbraw/zinc/37/27/64/1053372764.db2.gz FZJNTQQXBBYRDQ-JLSDUUJJSA-N 0 3 320.452 4.046 20 0 DIADHN C[C@@H](c1ccccc1)c1ccc(O)cc1O[C@@H]1CN2CCC1CC2 ZINC001229687736 1053384274 /nfs/dbraw/zinc/38/42/74/1053384274.db2.gz GUVAAXDITMJKHL-YCRPNKLZSA-N 0 3 323.436 4.017 20 0 DIADHN CCC(=O)C1(c2cccc(O[C@@H](C)C(C)C)c2)CCN(C)CC1 ZINC001229736199 1053395044 /nfs/dbraw/zinc/39/50/44/1053395044.db2.gz UZAGRLOMGIVPMH-INIZCTEOSA-N 0 3 317.473 4.052 20 0 DIADHN C[C@H](c1ccc(F)cc1)N(C)Cc1ccc(-c2cnn(C)c2)cc1 ZINC001321193271 1053399597 /nfs/dbraw/zinc/39/95/97/1053399597.db2.gz KDAYLNCVNRBKJN-OAHLLOKOSA-N 0 3 323.415 4.419 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1nc(-c2ccccc2)n[nH]1 ZINC001321219732 1053401867 /nfs/dbraw/zinc/40/18/67/1053401867.db2.gz FIWQWCPUZIAFKU-CYBMUJFWSA-N 0 3 324.453 4.044 20 0 DIADHN CC(C)COc1ccc(CCN[C@@H](C)c2nccs2)cc1 ZINC000093406085 1053401826 /nfs/dbraw/zinc/40/18/26/1053401826.db2.gz BQIOSLNUJBRMSZ-AWEZNQCLSA-N 0 3 304.459 4.071 20 0 DIADHN Cc1cc2ccc(O[C@@H]3CCN([C@H](C)c4ccccn4)C3)cc2[nH]1 ZINC001229828520 1053412982 /nfs/dbraw/zinc/41/29/82/1053412982.db2.gz LYMRQOSZZACDSP-CRAIPNDOSA-N 0 3 321.424 4.086 20 0 DIADHN Cc1cc(NC[C@@H](c2ccsc2)N(C)C)nc2ccccc12 ZINC001321592748 1053423701 /nfs/dbraw/zinc/42/37/01/1053423701.db2.gz GETOXFFJBZKLIX-KRWDZBQOSA-N 0 3 311.454 4.320 20 0 DIADHN Cc1cc(NC[C@H](c2ccsc2)N(C)C)nc2ccccc12 ZINC001321592749 1053424190 /nfs/dbraw/zinc/42/41/90/1053424190.db2.gz GETOXFFJBZKLIX-QGZVFWFLSA-N 0 3 311.454 4.320 20 0 DIADHN Cc1cc([C@@H](C)NCCc2coc(-c3ccccc3)n2)oc1C ZINC001321701036 1053428316 /nfs/dbraw/zinc/42/83/16/1053428316.db2.gz LGLLKZDNUXQVIP-CQSZACIVSA-N 0 3 310.397 4.445 20 0 DIADHN COc1ccccc1CN1CCC(Nc2cccc(C)c2)CC1 ZINC000093607797 1053428400 /nfs/dbraw/zinc/42/84/00/1053428400.db2.gz CMJPQUKFZCKXII-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN CCC(CC)CC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001435065283 1053429112 /nfs/dbraw/zinc/42/91/12/1053429112.db2.gz NXRFUCQLZXEYKL-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN COc1ncc([C@@H](C)NCc2ccc3c(c2)CCC3)cc1Cl ZINC001321709386 1053430806 /nfs/dbraw/zinc/43/08/06/1053430806.db2.gz JFBPYGNCJCTTBD-GFCCVEGCSA-N 0 3 316.832 4.083 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)NCc1cc(Cl)ccc1Cl ZINC000763529112 1053440375 /nfs/dbraw/zinc/44/03/75/1053440375.db2.gz XBHWQNCYMHBSRU-YGRLFVJLSA-N 0 3 314.212 4.188 20 0 DIADHN CCCC[C@@H](C(=O)NC[C@@H](C)N(C)Cc1ccccc1)C(C)C ZINC001435166277 1053461043 /nfs/dbraw/zinc/46/10/43/1053461043.db2.gz JSFGTHUNKPSITB-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN CC(C)CCCCCC(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001435168288 1053461219 /nfs/dbraw/zinc/46/12/19/1053461219.db2.gz CHRKTTNLJGTRGG-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccccc2N(C)C)cc1F ZINC000093941609 1053465047 /nfs/dbraw/zinc/46/50/47/1053465047.db2.gz UHBOYPXXKNMQNE-KGLIPLIRSA-N 0 3 316.420 4.312 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccccc2N(C)C)cc1F ZINC000093941608 1053465267 /nfs/dbraw/zinc/46/52/67/1053465267.db2.gz UHBOYPXXKNMQNE-KBPBESRZSA-N 0 3 316.420 4.312 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1ccc2cnccc2c1 ZINC001322213596 1053470065 /nfs/dbraw/zinc/47/00/65/1053470065.db2.gz OYFXQKSGOXJOSW-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@H]1C[C@H]2CC[C@@H](C1)N2C ZINC001230065524 1053470469 /nfs/dbraw/zinc/47/04/69/1053470469.db2.gz GPGJTVQZVKCEPW-PIIMJCKOSA-N 0 3 309.409 4.046 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@@H]1[C@@H]2CCC[C@H]1CN(C)C2 ZINC001230065095 1053471112 /nfs/dbraw/zinc/47/11/12/1053471112.db2.gz BDJYMOQOBZAMMD-RMFSNUHRSA-N 0 3 323.436 4.152 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@@H]1CCCN(C(C)C)C1 ZINC001230068160 1053471270 /nfs/dbraw/zinc/47/12/70/1053471270.db2.gz YECPGNHZLRUTGO-GOSISDBHSA-N 0 3 311.425 4.294 20 0 DIADHN Cc1noc(C)c1CCNC1(c2ccccc2Cl)CCC1 ZINC000649644017 1053472796 /nfs/dbraw/zinc/47/27/96/1053472796.db2.gz OSPKVHCWTTYBBK-UHFFFAOYSA-N 0 3 304.821 4.156 20 0 DIADHN CC[C@@H](C)c1nnc([C@H]2CCCN(Cc3cccc(F)c3)C2)o1 ZINC001322255616 1053473312 /nfs/dbraw/zinc/47/33/12/1053473312.db2.gz INRKBKZZVULLDL-HIFRSBDPSA-N 0 3 317.408 4.102 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2cc(C)cc(F)c2)CC1 ZINC001143619050 1053482868 /nfs/dbraw/zinc/48/28/68/1053482868.db2.gz JXFAHWHUWYMBHI-UHFFFAOYSA-N 0 3 313.416 4.272 20 0 DIADHN c1nc2cc(OC3CCN(Cc4ccccc4)CC3)ccc2s1 ZINC001230231611 1053498337 /nfs/dbraw/zinc/49/83/37/1053498337.db2.gz NABUBLCQEUWVKT-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C3CC3)cc2)C1 ZINC001230252097 1053506149 /nfs/dbraw/zinc/50/61/49/1053506149.db2.gz ACURUXOWYHDIQO-HNAYVOBHSA-N 0 3 308.425 4.173 20 0 DIADHN CC(=O)c1cccc(C)c1OC(C(=O)c1ccco1)c1ccco1 ZINC001230319793 1053519168 /nfs/dbraw/zinc/51/91/68/1053519168.db2.gz YIGRWDOKCWFYKL-IBGZPJMESA-N 0 3 324.332 4.387 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(SC)cc2)ccc1F ZINC001322572248 1053523380 /nfs/dbraw/zinc/52/33/80/1053523380.db2.gz WRXAAKWUZMMSAE-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN COc1cccc(CN[C@@H]2c3cc(C)ccc3C[C@H]2C)c1OC ZINC000503888587 1053527210 /nfs/dbraw/zinc/52/72/10/1053527210.db2.gz CRINDEXEVMAUKU-KUHUBIRLSA-N 0 3 311.425 4.035 20 0 DIADHN CC(C)(C)C[C@H](NC(=O)c1ccc2c(c1)CNC2)c1ccccc1 ZINC000261790205 1053532856 /nfs/dbraw/zinc/53/28/56/1053532856.db2.gz YTYMEHHZGZXHFH-IBGZPJMESA-N 0 3 322.452 4.197 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NC[C@@H](C)C2CC2)c2ccccc2)cc1 ZINC000619705141 1053534794 /nfs/dbraw/zinc/53/47/94/1053534794.db2.gz XHTIYKPXDVKYLX-OXQOHEQNSA-N 0 3 322.452 4.311 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NC[C@H](C)C2CC2)c2ccccc2)cc1 ZINC000619705140 1053534995 /nfs/dbraw/zinc/53/49/95/1053534995.db2.gz XHTIYKPXDVKYLX-OXJNMPFZSA-N 0 3 322.452 4.311 20 0 DIADHN COc1cc(CN(C)Cc2ccc3ccccc3c2)cc(OC)c1 ZINC000619731949 1053546502 /nfs/dbraw/zinc/54/65/02/1053546502.db2.gz CMSPSXFJELYGMW-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN CCc1nc(CNCCc2ccc(C(C)(C)C)cc2)cs1 ZINC000619761369 1053550758 /nfs/dbraw/zinc/55/07/58/1053550758.db2.gz AONNINDYISNKEF-UHFFFAOYSA-N 0 3 302.487 4.335 20 0 DIADHN C[C@]1(CO)CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC001322911920 1053551180 /nfs/dbraw/zinc/55/11/80/1053551180.db2.gz NTQCTOJVIAQHTE-FQEVSTJZSA-N 0 3 311.425 4.073 20 0 DIADHN Fc1ccc(F)c(CNCCc2ccccc2C(F)(F)F)c1 ZINC000619770850 1053560594 /nfs/dbraw/zinc/56/05/94/1053560594.db2.gz LYBOQSPKSLMIFU-UHFFFAOYSA-N 0 3 315.285 4.316 20 0 DIADHN COc1cccc(CN[C@@H]2C[C@@H](C)c3c2cccc3C)c1OC ZINC000153053508 1053573244 /nfs/dbraw/zinc/57/32/44/1053573244.db2.gz TZOTZRINEJYPII-RHSMWYFYSA-N 0 3 311.425 4.350 20 0 DIADHN COc1ccc(C[C@H](C)N(C)Cc2cnc(C3CC3)s2)cc1 ZINC001323302095 1053573913 /nfs/dbraw/zinc/57/39/13/1053573913.db2.gz BLKHUKFLOOGMLX-ZDUSSCGKSA-N 0 3 316.470 4.092 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCc1c(F)cccc1Cl ZINC001323395468 1053579755 /nfs/dbraw/zinc/57/97/55/1053579755.db2.gz AVIHWKGHJUSAPC-JTQLQIEISA-N 0 3 310.800 4.231 20 0 DIADHN CN(CCc1cccs1)Cc1csc(Br)c1 ZINC000404153307 1053593258 /nfs/dbraw/zinc/59/32/58/1053593258.db2.gz CMGWGKQRYYDOMT-UHFFFAOYSA-N 0 3 316.289 4.247 20 0 DIADHN C[C@H]1CCc2nc(CN(C)C3CCC(F)(F)CC3)sc2C1 ZINC001323814873 1053601447 /nfs/dbraw/zinc/60/14/47/1053601447.db2.gz BVNOHFLHZVMCIY-NSHDSACASA-N 0 3 314.445 4.278 20 0 DIADHN Clc1ccc(-c2cnc(CN3C[C@@H]4CCC[C@@H]4C3)o2)cc1 ZINC000153322801 1053603639 /nfs/dbraw/zinc/60/36/39/1053603639.db2.gz PXXLQXYGVPWXAQ-OKILXGFUSA-N 0 3 302.805 4.227 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCc3cc(F)ccc32)ccc1F ZINC000153335150 1053604542 /nfs/dbraw/zinc/60/45/42/1053604542.db2.gz DTSDIBNHDSGXIO-APPDUMDISA-N 0 3 303.352 4.312 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C(CC)CC)C1CC1 ZINC000620119579 1053606879 /nfs/dbraw/zinc/60/68/79/1053606879.db2.gz NHGYHPITUGRQCG-UHFFFAOYSA-N 0 3 316.489 4.403 20 0 DIADHN CN1CC[C@H](NCc2sccc2Cl)[C@H]1c1ccc(F)cc1 ZINC001323864656 1053607246 /nfs/dbraw/zinc/60/72/46/1053607246.db2.gz AMOIACWDNHSSKS-GOEBONIOSA-N 0 3 324.852 4.076 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc(F)c(F)c2)cc1 ZINC000620124918 1053609855 /nfs/dbraw/zinc/60/98/55/1053609855.db2.gz FBJSQGVCIFECIF-UHFFFAOYSA-N 0 3 305.368 4.432 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000620139859 1053612024 /nfs/dbraw/zinc/61/20/24/1053612024.db2.gz QCNHIMZKEKMDQP-AWEZNQCLSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1csc(Cc2ccccc2)n1 ZINC000620140176 1053612247 /nfs/dbraw/zinc/61/22/47/1053612247.db2.gz RNILFTISFDTWPC-QGZVFWFLSA-N 0 3 300.471 4.354 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CCC[C@@H]3C(C)C)n2)cc1 ZINC000620139846 1053612903 /nfs/dbraw/zinc/61/29/03/1053612903.db2.gz OZGHYUYORQETNY-QGZVFWFLSA-N 0 3 313.445 4.480 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H]1CCCc2cnn(C(C)C)c21 ZINC001323966672 1053614024 /nfs/dbraw/zinc/61/40/24/1053614024.db2.gz GSMUCKYIDRSYHS-OALUTQOASA-N 0 3 309.457 4.427 20 0 DIADHN Cn1nc(CN2CCC(Cc3ccccc3)CC2)c2ccccc21 ZINC000326581437 1053619156 /nfs/dbraw/zinc/61/91/56/1053619156.db2.gz ZPIDUNVAWKHAQG-UHFFFAOYSA-N 0 3 319.452 4.028 20 0 DIADHN CCCC[C@H](C(=O)N1CCN(C2CCC(C)CC2)CC1)C(C)C ZINC001353602356 1053624676 /nfs/dbraw/zinc/62/46/76/1053624676.db2.gz HFHLFRBFGFCOOT-ACBHZAAOSA-N 0 3 322.537 4.172 20 0 DIADHN FC(F)c1cccc(CN[C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC001324127317 1053628831 /nfs/dbraw/zinc/62/88/31/1053628831.db2.gz CFCCKLYZZZHTMM-OAHLLOKOSA-N 0 3 320.387 4.154 20 0 DIADHN Fc1ccc(-c2ccc(O[C@@H]3CN4CCC3CC4)cc2)c(F)c1 ZINC001230958763 1053635914 /nfs/dbraw/zinc/63/59/14/1053635914.db2.gz GGSGXBZXEPAVHI-LJQANCHMSA-N 0 3 315.363 4.105 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)cc1Cl)C(C)(C)C ZINC001324734426 1053676134 /nfs/dbraw/zinc/67/61/34/1053676134.db2.gz VCDKLVRURWYSGQ-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN COc1cccc(-c2ccc(CNCc3ccncc3C)cc2)c1 ZINC001200472705 1053687330 /nfs/dbraw/zinc/68/73/30/1053687330.db2.gz WQGQHSJANYHTNV-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CCc1nn(C)cc1CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC001200471667 1053687490 /nfs/dbraw/zinc/68/74/90/1053687490.db2.gz PUPMNUIPOWPPLC-XHBKTUGNSA-N 0 3 317.864 4.123 20 0 DIADHN COc1ccc(Cl)cc1CCNCc1ncc(C(C)C)s1 ZINC001200471135 1053687620 /nfs/dbraw/zinc/68/76/20/1053687620.db2.gz NEWDCWFWOKOFFO-UHFFFAOYSA-N 0 3 324.877 4.261 20 0 DIADHN CCCN(CCN(C)C)C(=O)[C@H](CC1CCCCC1)C(C)C ZINC001353878261 1053693455 /nfs/dbraw/zinc/69/34/55/1053693455.db2.gz ZFOXEIGMXOLWII-GOSISDBHSA-N 0 3 310.526 4.029 20 0 DIADHN CCCCCC(=O)c1ccc(O[C@H]2CCN(C(C)C)C2)cc1 ZINC001231256935 1053712019 /nfs/dbraw/zinc/71/20/19/1053712019.db2.gz JPWVCZIAOPFORK-SFHVURJKSA-N 0 3 303.446 4.311 20 0 DIADHN CCN(CC(C)C)[C@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000153769222 1053713352 /nfs/dbraw/zinc/71/33/52/1053713352.db2.gz LVNFHHGOXLXIJJ-OAHLLOKOSA-N 0 3 301.434 4.392 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1Cc1ccc([S@](C)=O)cc1 ZINC001325753896 1053729038 /nfs/dbraw/zinc/72/90/38/1053729038.db2.gz UHMLQZWFINSFMN-NVAPDCRFSA-N 0 3 307.503 4.071 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3ccc(C)cc32)c(OC)c1 ZINC000154195224 1053730645 /nfs/dbraw/zinc/73/06/45/1053730645.db2.gz IJVBGGBFEJCAKF-IFXJQAMLSA-N 0 3 311.425 4.350 20 0 DIADHN COC[C@@H](NC1CC(c2ccc(Cl)cc2)C1)c1ccco1 ZINC000154241803 1053734138 /nfs/dbraw/zinc/73/41/38/1053734138.db2.gz UDJNXFNMESQEDU-AVVWSFFYSA-N 0 3 305.805 4.156 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCc3c2cccc3F)c1 ZINC000267099506 1053738109 /nfs/dbraw/zinc/73/81/09/1053738109.db2.gz ZBGRFIHQBIZDPT-CWTRNNRKSA-N 0 3 312.388 4.122 20 0 DIADHN O[C@@H](CNC1c2ccccc2-c2ccccc21)c1ccccc1F ZINC000183711960 1053738431 /nfs/dbraw/zinc/73/84/31/1053738431.db2.gz VCGPAPLZDRCFKM-FQEVSTJZSA-N 0 3 319.379 4.219 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001435969986 1053740161 /nfs/dbraw/zinc/74/01/61/1053740161.db2.gz DRQNTJXTAQXEAE-NBMJBFSESA-N 0 3 316.489 4.010 20 0 DIADHN CCCC(=CC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C)CCC ZINC001435971810 1053742549 /nfs/dbraw/zinc/74/25/49/1053742549.db2.gz ZTJMUIIHWXMFJR-OXQOHEQNSA-N 0 3 316.489 4.321 20 0 DIADHN C[C@@H](NC(=O)C[C@H]1CCCC[C@H]1C)[C@H](c1ccccc1)N(C)C ZINC001435971411 1053742600 /nfs/dbraw/zinc/74/26/00/1053742600.db2.gz WCELFBDSWCGRAV-QQTQMKHKSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccc(CN2CC[C@@H](N3CCCCC3)[C@@H](F)C2)cc1Cl ZINC001231423438 1053747089 /nfs/dbraw/zinc/74/70/89/1053747089.db2.gz AQGLCGCKMGSBEZ-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN CC/C(C)=C(/C)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001435993177 1053747751 /nfs/dbraw/zinc/74/77/51/1053747751.db2.gz BIFYJRAAALJBAM-MBWHEXEYSA-N 0 3 316.489 4.006 20 0 DIADHN CC(C)n1ncc2cc(CN(Cc3ccccc3)C3CC3)cnc21 ZINC000154805377 1053750540 /nfs/dbraw/zinc/75/05/40/1053750540.db2.gz MCRDSWGVRNJKIE-UHFFFAOYSA-N 0 3 320.440 4.177 20 0 DIADHN CCCCN(CCC)Cc1c(C(F)(F)F)nc2sccn12 ZINC001231434453 1053751395 /nfs/dbraw/zinc/75/13/95/1053751395.db2.gz TYDANTRMKWACTK-UHFFFAOYSA-N 0 3 319.396 4.427 20 0 DIADHN CN(Cc1c(C(F)(F)F)nc2sccn12)C1CCCCC1 ZINC001231432395 1053752509 /nfs/dbraw/zinc/75/25/09/1053752509.db2.gz RUPUWPCEINNZSP-UHFFFAOYSA-N 0 3 317.380 4.179 20 0 DIADHN CCN(Cc1ccc2cc(N(C)C)ccc2c1)Cc1ccccn1 ZINC001231442488 1053754949 /nfs/dbraw/zinc/75/49/49/1053754949.db2.gz OUZCMLMSXYOQEV-UHFFFAOYSA-N 0 3 319.452 4.323 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OCC(F)(F)F)nc2)cc1 ZINC000155002334 1053755342 /nfs/dbraw/zinc/75/53/42/1053755342.db2.gz VJPSRFZBLRGYHP-CYBMUJFWSA-N 0 3 324.346 4.182 20 0 DIADHN COc1ccccc1OCCNCc1ccc(-c2ccccc2)o1 ZINC000620851207 1053760568 /nfs/dbraw/zinc/76/05/68/1053760568.db2.gz GJMIARQDUKSQHR-UHFFFAOYSA-N 0 3 323.392 4.124 20 0 DIADHN Cc1c(F)ccc(CN(C)CC/C=C/c2cccnc2)c1F ZINC001231478475 1053762084 /nfs/dbraw/zinc/76/20/84/1053762084.db2.gz FCBGJZFWRGWJMV-ZZXKWVIFSA-N 0 3 302.368 4.204 20 0 DIADHN C[C@H](N[C@@H]1CCCc2[nH]c(=O)ccc21)c1cc2ccccc2o1 ZINC000268726161 1053766823 /nfs/dbraw/zinc/76/68/23/1053766823.db2.gz KQHIKUIQEUTUST-SWLSCSKDSA-N 0 3 308.381 4.262 20 0 DIADHN CC(C)[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000268766247 1053766982 /nfs/dbraw/zinc/76/69/82/1053766982.db2.gz QDNVOXCDJOEJQB-SJLPKXTDSA-N 0 3 317.864 4.438 20 0 DIADHN C(=C/c1ccc(CN2CC[C@]23CCOC3)cc1)\c1ccccc1 ZINC001231488150 1053767396 /nfs/dbraw/zinc/76/73/96/1053767396.db2.gz GFDWSQUOXATESW-IRUYWQDXSA-N 0 3 305.421 4.222 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2nc(-c3ccco3)no2)C1 ZINC000155213949 1053768629 /nfs/dbraw/zinc/76/86/29/1053768629.db2.gz MAPSFHJEWOBONO-HUUCEWRRSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2nc(-c3ccco3)no2)C1 ZINC000155213847 1053769411 /nfs/dbraw/zinc/76/94/11/1053769411.db2.gz MAPSFHJEWOBONO-GJZGRUSLSA-N 0 3 323.396 4.016 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000268766248 1053769689 /nfs/dbraw/zinc/76/96/89/1053769689.db2.gz QDNVOXCDJOEJQB-WMZOPIPTSA-N 0 3 317.864 4.438 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(N3CCCC3=O)c2)cc1 ZINC000155264670 1053772816 /nfs/dbraw/zinc/77/28/16/1053772816.db2.gz KJHZYOWCOVCMKA-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2ccccc2Cl)cn1 ZINC001231536719 1053782430 /nfs/dbraw/zinc/78/24/30/1053782430.db2.gz YUNWPAUJIXHCFI-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN CC(C)n1ncc2c1[C@H](NCc1cccc3c1CCC3)CCC2 ZINC001326471095 1053784399 /nfs/dbraw/zinc/78/43/99/1053784399.db2.gz ONMOXEQAAXUPKE-LJQANCHMSA-N 0 3 309.457 4.120 20 0 DIADHN CCCN(Cc1cc(Br)c[nH]1)Cc1ccccc1 ZINC001231576898 1053790034 /nfs/dbraw/zinc/79/00/34/1053790034.db2.gz PCNYAVJIHRDXCH-UHFFFAOYSA-N 0 3 307.235 4.189 20 0 DIADHN C[C@](O)(CNC1c2ccccc2-c2ccccc21)c1ccsc1 ZINC000183822342 1053791878 /nfs/dbraw/zinc/79/18/78/1053791878.db2.gz KSBQKQCKHFTKIX-FQEVSTJZSA-N 0 3 321.445 4.315 20 0 DIADHN C[C@H](CN1CCC(C(=O)c2cccs2)CC1)c1ccccc1 ZINC001326563880 1053792046 /nfs/dbraw/zinc/79/20/46/1053792046.db2.gz ZEIUHDRXMGUNFG-OAHLLOKOSA-N 0 3 313.466 4.447 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H]1CCCNc3ccccc31)CCC2 ZINC001326563890 1053792297 /nfs/dbraw/zinc/79/22/97/1053792297.db2.gz ZIUPKFKVIQQLEB-MOPGFXCFSA-N 0 3 324.472 4.378 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)c1ccc2c(c1)CNCC2 ZINC001326613424 1053796344 /nfs/dbraw/zinc/79/63/44/1053796344.db2.gz HIIVLCJFHHIVNE-UHFFFAOYSA-N 0 3 322.452 4.173 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)c2cccc(OC(F)F)c2)ccn1 ZINC000671099070 1053805168 /nfs/dbraw/zinc/80/51/68/1053805168.db2.gz JZGHAXGXBFRGET-RYUDHWBXSA-N 0 3 322.355 4.103 20 0 DIADHN Cc1sc2ccccc2c1CN1CCc2c(O)cccc2C1 ZINC001231644951 1053813175 /nfs/dbraw/zinc/81/31/75/1053813175.db2.gz OBJWACHRZHFXLB-UHFFFAOYSA-N 0 3 309.434 4.474 20 0 DIADHN Cc1cc2ncc([C@H](C)NCc3ccc(C(C)C)cc3)c(C)n2n1 ZINC000271455841 1053813448 /nfs/dbraw/zinc/81/34/48/1053813448.db2.gz OWGSIIHMDFPSFK-HNNXBMFYSA-N 0 3 322.456 4.320 20 0 DIADHN CCc1ccc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)nc1 ZINC001231647459 1053816194 /nfs/dbraw/zinc/81/61/94/1053816194.db2.gz XCIKNPDNSZVNSP-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN Cc1sc2ccccc2c1CN1CCC[C@@H]1c1cnccn1 ZINC001231649382 1053818470 /nfs/dbraw/zinc/81/84/70/1053818470.db2.gz NWEUIVUKOWLQRA-QGZVFWFLSA-N 0 3 309.438 4.337 20 0 DIADHN Cc1sc2ccccc2c1CN1CC2(C1)C[C@H](F)CS2 ZINC001231648430 1053818716 /nfs/dbraw/zinc/81/87/16/1053818716.db2.gz DNEWJPUMACQWGQ-LBPRGKRZSA-N 0 3 307.459 4.239 20 0 DIADHN c1c[nH]c([C@@H]2CCCN2Cc2cccc(-c3ccccn3)c2)c1 ZINC000156609106 1053819685 /nfs/dbraw/zinc/81/96/85/1053819685.db2.gz UFWPTQBAZHVOKP-FQEVSTJZSA-N 0 3 303.409 4.414 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2ccc(OCC)cc2)cn1 ZINC000156708946 1053821895 /nfs/dbraw/zinc/82/18/95/1053821895.db2.gz LZLGWGJCFODEJY-HNNXBMFYSA-N 0 3 314.429 4.120 20 0 DIADHN C[C@@H](NCc1ccc(CO)cc1)c1cccc(C(F)(F)F)c1 ZINC000272462808 1053828666 /nfs/dbraw/zinc/82/86/66/1053828666.db2.gz KMUUSPYYAHMTRY-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN CC(C)c1nc(CCN[C@@H](C)c2ccc(F)cc2F)cs1 ZINC000156962576 1053832300 /nfs/dbraw/zinc/83/23/00/1053832300.db2.gz BFMSILDNTNAKFK-NSHDSACASA-N 0 3 310.413 4.438 20 0 DIADHN CCn1nc(C)c(CN2CCCCC[C@H]2c2ccncc2)c1C ZINC000157013127 1053834094 /nfs/dbraw/zinc/83/40/94/1053834094.db2.gz GKKRAZQIDCCLGY-IBGZPJMESA-N 0 3 312.461 4.032 20 0 DIADHN COc1ccc([C@H](C)NCCc2csc(C(C)C)n2)c(F)c1 ZINC000156952645 1053834155 /nfs/dbraw/zinc/83/41/55/1053834155.db2.gz ZXPIQCQGCBOULX-LBPRGKRZSA-N 0 3 322.449 4.308 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(OC(C)C)c(Cl)c1 ZINC001231713634 1053836614 /nfs/dbraw/zinc/83/66/14/1053836614.db2.gz AWOBMNVHUMWGRH-XYPWUTKMSA-N 0 3 323.864 4.269 20 0 DIADHN CC(C)Oc1ccc(CN2CCC[C@]3(CCOC3)C2)cc1Cl ZINC001231715206 1053837395 /nfs/dbraw/zinc/83/73/95/1053837395.db2.gz ZPOKDNWJGHWQBN-SFHVURJKSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](N[C@@H]1CCCSC1)c1sccc1Br ZINC000157122185 1053838988 /nfs/dbraw/zinc/83/89/88/1053838988.db2.gz LRABNAQATHBCPC-DTWKUNHWSA-N 0 3 306.294 4.057 20 0 DIADHN Fc1cccc(F)c1CNCCc1c[nH]c2cc(Cl)ccc12 ZINC000621056084 1053842584 /nfs/dbraw/zinc/84/25/84/1053842584.db2.gz HZXBDUHBTJRXSK-UHFFFAOYSA-N 0 3 320.770 4.432 20 0 DIADHN CO[C@@H](C)C1CN(Cc2c(OC(C)C)ccc3ccccc32)C1 ZINC001231739019 1053843382 /nfs/dbraw/zinc/84/33/82/1053843382.db2.gz KEFRFYYYUZAXPX-HNNXBMFYSA-N 0 3 313.441 4.094 20 0 DIADHN CCOc1ncccc1CN1CCC[C@@H](c2ccccc2)CC1 ZINC001231767866 1053851952 /nfs/dbraw/zinc/85/19/52/1053851952.db2.gz GWFAYPVJRDDRBU-GOSISDBHSA-N 0 3 310.441 4.250 20 0 DIADHN CSc1ccccc1CN1CC[C@H](OCc2ccccc2)C1 ZINC001231785455 1053855568 /nfs/dbraw/zinc/85/55/68/1053855568.db2.gz OTPYWOADBQMFME-SFHVURJKSA-N 0 3 313.466 4.200 20 0 DIADHN Cc1cc(Cl)cc(C)c1NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C ZINC001327376210 1053856434 /nfs/dbraw/zinc/85/64/34/1053856434.db2.gz LYTVCKIWNWBDAZ-HZSPNIEDSA-N 0 3 308.853 4.157 20 0 DIADHN CCCCc1ccc(CN2CCC(c3noc(C)n3)CC2)cc1 ZINC001231782260 1053856504 /nfs/dbraw/zinc/85/65/04/1053856504.db2.gz NCMHMNWRMGSEHT-UHFFFAOYSA-N 0 3 313.445 4.100 20 0 DIADHN Cc1cc(Cl)cc(C)c1NC(=O)[C@H](C)N1[C@H](C)CC[C@H]1C ZINC001327376212 1053857976 /nfs/dbraw/zinc/85/79/76/1053857976.db2.gz LYTVCKIWNWBDAZ-MCIONIFRSA-N 0 3 308.853 4.157 20 0 DIADHN CCCCc1ccc(CN2CCC[C@@H](c3noc(C)n3)C2)cc1 ZINC001231780697 1053857985 /nfs/dbraw/zinc/85/79/85/1053857985.db2.gz XNQOYOVMEYBCKZ-GOSISDBHSA-N 0 3 313.445 4.100 20 0 DIADHN CC(C)n1ncc2c1[C@@H](NCc1ccc3[nH]ccc3c1)CCC2 ZINC001327679105 1053870146 /nfs/dbraw/zinc/87/01/46/1053870146.db2.gz BZPSHCATWUCPTC-SFHVURJKSA-N 0 3 308.429 4.113 20 0 DIADHN CCc1nc(CN[C@H]2CCC[C@H]2Cc2ccccc2)cs1 ZINC001200672509 1053873543 /nfs/dbraw/zinc/87/35/43/1053873543.db2.gz RKWALBLRWTVHCR-RDJZCZTQSA-N 0 3 300.471 4.207 20 0 DIADHN CCc1ccccc1CNCc1ccc2c(c1)OCCCCO2 ZINC001200672274 1053873987 /nfs/dbraw/zinc/87/39/87/1053873987.db2.gz OKSPUGPZIJQTHQ-UHFFFAOYSA-N 0 3 311.425 4.090 20 0 DIADHN Cc1ccc([C@H](O)CNCc2ccc(-c3cccs3)cc2)o1 ZINC001200673269 1053874699 /nfs/dbraw/zinc/87/46/99/1053874699.db2.gz YPHCUQLLXGLGLL-MRXNPFEDSA-N 0 3 313.422 4.140 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000157893758 1053875622 /nfs/dbraw/zinc/87/56/22/1053875622.db2.gz HCTVLCDVKKQTDV-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1cc(Cl)cc2cccnc21 ZINC000158024465 1053880471 /nfs/dbraw/zinc/88/04/71/1053880471.db2.gz FSUOZTCQUGAYFK-QGZVFWFLSA-N 0 3 324.811 4.371 20 0 DIADHN CC(=O)C1CCN(Cc2sc3scc(C)c3c2C)CC1 ZINC001231830457 1053882067 /nfs/dbraw/zinc/88/20/67/1053882067.db2.gz CCJKWNCMLRUDFO-UHFFFAOYSA-N 0 3 307.484 4.381 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc3nc(C)ccc3c2)c1 ZINC000275566655 1053884961 /nfs/dbraw/zinc/88/49/61/1053884961.db2.gz FSBNLDKGPQXTOF-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN c1cc(OC2CCCCC2)ncc1CN1CCC12CCCC2 ZINC001231873373 1053900705 /nfs/dbraw/zinc/90/07/05/1053900705.db2.gz JPATYGMVZFLUHJ-UHFFFAOYSA-N 0 3 300.446 4.312 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001231878965 1053901722 /nfs/dbraw/zinc/90/17/22/1053901722.db2.gz WTWGUYYFIZFQSE-VQTJNVASSA-N 0 3 318.480 4.208 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1ccc(C(F)(F)F)cc1 ZINC000276444627 1053902255 /nfs/dbraw/zinc/90/22/55/1053902255.db2.gz UXNANKXERJJNAC-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@H](OC(=O)OC(C)(C)C)C1 ZINC001231874752 1053902267 /nfs/dbraw/zinc/90/22/67/1053902267.db2.gz IWHUCBHUPKAVLT-INIZCTEOSA-N 0 3 319.445 4.336 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@@H](OC(=O)OC(C)(C)C)C1 ZINC001231874753 1053902330 /nfs/dbraw/zinc/90/23/30/1053902330.db2.gz IWHUCBHUPKAVLT-MRXNPFEDSA-N 0 3 319.445 4.336 20 0 DIADHN c1ccc(CN(Cc2cccc3c2OCCO3)C2CCC2)cc1 ZINC001231886960 1053904810 /nfs/dbraw/zinc/90/48/10/1053904810.db2.gz CUNKFUPSGKIBSS-UHFFFAOYSA-N 0 3 309.409 4.013 20 0 DIADHN CC(C)N(Cc1cccc2c1OCCO2)[C@@H](C)c1ccccc1 ZINC001231886887 1053905890 /nfs/dbraw/zinc/90/58/90/1053905890.db2.gz BWELRYDHPACRAY-INIZCTEOSA-N 0 3 311.425 4.429 20 0 DIADHN COc1cccc2c(CN3CCCC4(CC(F)C4)CC3)c[nH]c21 ZINC001231896897 1053909204 /nfs/dbraw/zinc/90/92/04/1053909204.db2.gz DWZGHIBYIMNVLS-UHFFFAOYSA-N 0 3 316.420 4.281 20 0 DIADHN Cc1ccncc1CN[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000276791541 1053910836 /nfs/dbraw/zinc/91/08/36/1053910836.db2.gz PAKOSLIGGWTUMK-LBPRGKRZSA-N 0 3 310.319 4.139 20 0 DIADHN CCN(Cc1cc(Br)ncc1F)C1CCCCC1 ZINC001231913528 1053917581 /nfs/dbraw/zinc/91/75/81/1053917581.db2.gz LSTZFVQHNCJDOE-UHFFFAOYSA-N 0 3 315.230 4.138 20 0 DIADHN C[C@@H](CN(C)Cc1cnc(-c2ccccc2)o1)c1nccs1 ZINC001354593760 1053918569 /nfs/dbraw/zinc/91/85/69/1053918569.db2.gz DXKMDKXOFHNRQD-ZDUSSCGKSA-N 0 3 313.426 4.034 20 0 DIADHN Cc1nc(C)c(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)s1 ZINC000158692988 1053919393 /nfs/dbraw/zinc/91/93/93/1053919393.db2.gz XVFLKHJECCYKQD-QGZVFWFLSA-N 0 3 304.434 4.448 20 0 DIADHN CC[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1c(C)noc1C ZINC001354603281 1053920850 /nfs/dbraw/zinc/92/08/50/1053920850.db2.gz ZIINYOFEOLLOJJ-HLLBOEOZSA-N 0 3 320.383 4.381 20 0 DIADHN CC[C@@H](CO)N[C@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC001354603624 1053922237 /nfs/dbraw/zinc/92/22/37/1053922237.db2.gz LIBTVDPDSLDJNC-YGRLFVJLSA-N 0 3 324.877 4.193 20 0 DIADHN COc1cc(Cl)c(CNC[C@H](OC)C(C)(C)C)cc1Cl ZINC001200733217 1053926773 /nfs/dbraw/zinc/92/67/73/1053926773.db2.gz IWEBTZICHDOZHM-AWEZNQCLSA-N 0 3 320.260 4.153 20 0 DIADHN Clc1nccc2ccc(CN3CC[C@@H](c4ccccn4)C3)cc21 ZINC001231977270 1053927254 /nfs/dbraw/zinc/92/72/54/1053927254.db2.gz IYJHVWHUAJBHAS-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1cc(CN2CCC(Sc3ccccc3)CC2)cc(C)n1 ZINC001232002305 1053937448 /nfs/dbraw/zinc/93/74/48/1053937448.db2.gz DZARIZKEZXBGPC-UHFFFAOYSA-N 0 3 312.482 4.455 20 0 DIADHN C[C@H](NCc1cncc(Cl)c1)c1cccc(N2CCCC2)c1 ZINC000621274527 1053938217 /nfs/dbraw/zinc/93/82/17/1053938217.db2.gz ORNIKWVEHZFSFF-AWEZNQCLSA-N 0 3 315.848 4.186 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1ccc(F)c(F)c1 ZINC000621292484 1053941363 /nfs/dbraw/zinc/94/13/63/1053941363.db2.gz CAOUTPUHQSANNO-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN CCCN(Cc1nc(-c2cccc(Cl)c2)no1)CC(C)C ZINC000621293578 1053942327 /nfs/dbraw/zinc/94/23/27/1053942327.db2.gz JAJJWVDUAVXILV-UHFFFAOYSA-N 0 3 307.825 4.258 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]c3c2cccc3Br)C2(CC2)C1 ZINC001232020901 1053943329 /nfs/dbraw/zinc/94/33/29/1053943329.db2.gz GDVZZUGTOHMSDM-NSHDSACASA-N 0 3 319.246 4.305 20 0 DIADHN c1cc(-c2nc([C@H]3CN4CCC3CC4)no2)cc(C2CCCC2)c1 ZINC001328606789 1053943283 /nfs/dbraw/zinc/94/32/83/1053943283.db2.gz AULCABIKKUVEMG-SFHVURJKSA-N 0 3 323.440 4.203 20 0 DIADHN CN(Cc1cc2c[nH]ccc-2n1)Cc1ccc(C(F)(F)F)cc1 ZINC001232016015 1053944210 /nfs/dbraw/zinc/94/42/10/1053944210.db2.gz QQIMFXXQRIYMQV-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCN(Cc1cc2c[nH]ccc-2n1)Cc1c(F)cccc1Cl ZINC001232017775 1053945651 /nfs/dbraw/zinc/94/56/51/1053945651.db2.gz WUKVVMNWWVICSH-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN CCN(Cc1cc2cnccc2[nH]1)Cc1c(F)cccc1Cl ZINC001232017775 1053945665 /nfs/dbraw/zinc/94/56/65/1053945665.db2.gz WUKVVMNWWVICSH-UHFFFAOYSA-N 0 3 317.795 4.378 20 0 DIADHN CCN(CCOc1ccccc1OC)[C@@H](C)c1ccccc1F ZINC000621300181 1053946897 /nfs/dbraw/zinc/94/68/97/1053946897.db2.gz ZYKZVNGRRHVGME-HNNXBMFYSA-N 0 3 317.404 4.296 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc3c(c2)CCCO3)ccc1F ZINC001328713575 1053949647 /nfs/dbraw/zinc/94/96/47/1053949647.db2.gz ZPORXXRAOYODQP-CYBMUJFWSA-N 0 3 315.388 4.010 20 0 DIADHN CC(C)(NCc1ccnn1CCc1ccccc1)c1ccccc1 ZINC000621330839 1053949970 /nfs/dbraw/zinc/94/99/70/1053949970.db2.gz FHRDNQUXKUWADZ-UHFFFAOYSA-N 0 3 319.452 4.151 20 0 DIADHN CCCc1ccc(CN[C@@H]2CCOc3cc(OC)ccc32)s1 ZINC000621338119 1053952164 /nfs/dbraw/zinc/95/21/64/1053952164.db2.gz UWKJOXYPZJZGIR-QGZVFWFLSA-N 0 3 317.454 4.323 20 0 DIADHN Cc1ccc(-c2nc([C@H]3CN4CCC3CC4)no2)c2ccccc12 ZINC001328772918 1053954230 /nfs/dbraw/zinc/95/42/30/1053954230.db2.gz RRTOGIXAFMNHGA-SFHVURJKSA-N 0 3 319.408 4.007 20 0 DIADHN FC(F)(F)c1ccc(C2(NCc3ccncc3)CCC2)cc1 ZINC000621352305 1053954269 /nfs/dbraw/zinc/95/42/69/1053954269.db2.gz XBYUHTVAPBEYSD-UHFFFAOYSA-N 0 3 306.331 4.269 20 0 DIADHN Cn1cccc1CNC1(c2ccc(C(F)(F)F)cc2)CCC1 ZINC000621376082 1053959550 /nfs/dbraw/zinc/95/95/50/1053959550.db2.gz PSGCIVPDESQIBC-UHFFFAOYSA-N 0 3 308.347 4.213 20 0 DIADHN Fc1ccc(-c2nc(CNCC[C@H]3CC3(F)F)cs2)cc1 ZINC000621379120 1053961974 /nfs/dbraw/zinc/96/19/74/1053961974.db2.gz MWHJMNRVZIUMCK-NSHDSACASA-N 0 3 312.360 4.084 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CC[C@@H]3[C@H](C2)C3(F)F)c1Cl ZINC001329161235 1053971682 /nfs/dbraw/zinc/97/16/82/1053971682.db2.gz LTKZBDMOPMDFQX-QNSHHTMESA-N 0 3 307.718 4.142 20 0 DIADHN CC1(C)[C@@H]2CN(Cc3c(Br)[nH]c4ccccc43)C[C@@H]21 ZINC001232142708 1053973414 /nfs/dbraw/zinc/97/34/14/1053973414.db2.gz OAZLKWWGMXSTSD-BETUJISGSA-N 0 3 319.246 4.018 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCN(Cc3ccns3)C2)cc1 ZINC001232192191 1053983585 /nfs/dbraw/zinc/98/35/85/1053983585.db2.gz WJJOATILDFSXFQ-LBPRGKRZSA-N 0 3 312.360 4.151 20 0 DIADHN COc1cccc(CN(C)Cc2ccnc3c(F)cccc23)c1 ZINC001232208047 1053989212 /nfs/dbraw/zinc/98/92/12/1053989212.db2.gz BGVYBCJDXBQQQJ-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CCC(CC)c1cc(CNC[C@H]2CCOc3ccccc32)on1 ZINC001200803929 1053990742 /nfs/dbraw/zinc/99/07/42/1053990742.db2.gz VZPDCNZUQIDBNP-OAHLLOKOSA-N 0 3 314.429 4.234 20 0 DIADHN CC(C)(C)c1cc(CN2CCCC3(CC(F)C3)CC2)ccn1 ZINC001232218354 1053991609 /nfs/dbraw/zinc/99/16/09/1053991609.db2.gz WWPKGLRENZAMBZ-UHFFFAOYSA-N 0 3 304.453 4.483 20 0 DIADHN CC(C)(C)c1cc(CN2CC[C@H](Oc3ccccc3)C2)ccn1 ZINC001232215915 1053992529 /nfs/dbraw/zinc/99/25/29/1053992529.db2.gz KQNLYVDHKJUVNJ-SFHVURJKSA-N 0 3 310.441 4.032 20 0 DIADHN CC(C)(C)c1cc(CN2CCC3(CCC(C)(C)O3)CC2)ccn1 ZINC001232221335 1053996544 /nfs/dbraw/zinc/99/65/44/1053996544.db2.gz XLPZJOKDFRFICC-UHFFFAOYSA-N 0 3 316.489 4.303 20 0 DIADHN CN(Cc1cccc2cccnc21)Cc1nccc2ccccc21 ZINC001232248534 1054002293 /nfs/dbraw/zinc/00/22/93/1054002293.db2.gz KTGUAQXTMLKNGY-UHFFFAOYSA-N 0 3 313.404 4.415 20 0 DIADHN CCCCN(CC(=O)N[C@H](C)C(C)C)[C@H](C)c1ccccc1 ZINC000621736165 1054005014 /nfs/dbraw/zinc/00/50/14/1054005014.db2.gz BYDDXILIAHVXDX-IAGOWNOFSA-N 0 3 304.478 4.010 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2NCc1cc2cccc(OC)c2o1 ZINC001329748499 1054005766 /nfs/dbraw/zinc/00/57/66/1054005766.db2.gz LTRQUZVWPQCNTQ-GOSISDBHSA-N 0 3 323.392 4.227 20 0 DIADHN COCc1cccc(CN2CCC[C@@H](CCc3ccccc3)C2)n1 ZINC001232257463 1054007212 /nfs/dbraw/zinc/00/72/12/1054007212.db2.gz DWLBDCKBSDODFL-IBGZPJMESA-N 0 3 324.468 4.073 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CC[C@H](c3ccc(F)cc3)C2)c2cccnc12 ZINC001232276538 1054008509 /nfs/dbraw/zinc/00/85/09/1054008509.db2.gz ZGXVGGWWUWXXDR-HNNXBMFYSA-N 0 3 322.383 4.069 20 0 DIADHN [O-]c1ccc(C[N@H+]2CC[C@H](c3ccc(F)cc3)C2)c2cccnc12 ZINC001232276538 1054008523 /nfs/dbraw/zinc/00/85/23/1054008523.db2.gz ZGXVGGWWUWXXDR-HNNXBMFYSA-N 0 3 322.383 4.069 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CCC[C@@H]2c2ccccc2)c2cccnc12 ZINC001232276708 1054009298 /nfs/dbraw/zinc/00/92/98/1054009298.db2.gz CYNZRBWAQGVBQI-GOSISDBHSA-N 0 3 304.393 4.278 20 0 DIADHN [O-]c1ccc(C[N@H+]2CCC[C@@H]2c2ccccc2)c2cccnc12 ZINC001232276708 1054009311 /nfs/dbraw/zinc/00/93/11/1054009311.db2.gz CYNZRBWAQGVBQI-GOSISDBHSA-N 0 3 304.393 4.278 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(Cl)cs3)C2)c1 ZINC001232283149 1054014475 /nfs/dbraw/zinc/01/44/75/1054014475.db2.gz OQNDJSXLIJCVEV-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN CSc1ccc(CN(C)CCc2ccccc2Cl)cn1 ZINC001232328391 1054018841 /nfs/dbraw/zinc/01/88/41/1054018841.db2.gz HAQURZIYQPUYNO-UHFFFAOYSA-N 0 3 306.862 4.131 20 0 DIADHN CCOc1ccc(CN2CCC(c3ccccc3)CC2)c(C)n1 ZINC001232309301 1054020364 /nfs/dbraw/zinc/02/03/64/1054020364.db2.gz DVHMPUBIOQTMAF-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN CSc1cc(C)c(CN2CC[C@H](c3ccccc3F)C2)cn1 ZINC001232346972 1054026423 /nfs/dbraw/zinc/02/64/23/1054026423.db2.gz OXVXYDCESWEZIC-AWEZNQCLSA-N 0 3 316.445 4.241 20 0 DIADHN c1ccc(COc2ccc(CN3CCCCC34CC4)cn2)cc1 ZINC001232358587 1054029832 /nfs/dbraw/zinc/02/98/32/1054029832.db2.gz MSEBLGOOZOOBSK-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)[C@@H](C)C1 ZINC001232357667 1054029988 /nfs/dbraw/zinc/02/99/88/1054029988.db2.gz RFAUWKUAUMTWDG-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccccc1)Cc1ccc(N)nc1 ZINC001232365421 1054031347 /nfs/dbraw/zinc/03/13/47/1054031347.db2.gz GKDQPKXPCKBYMJ-KRWDZBQOSA-N 0 3 317.436 4.427 20 0 DIADHN COc1ccc(OCc2ccccc2)c(CN2CC3CC(C3)C2)c1 ZINC001232382398 1054033126 /nfs/dbraw/zinc/03/31/26/1054033126.db2.gz KPYIEEIUKNTIEJ-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN CCC(CC)(CCO)CNCc1cc(Cl)sc1Cl ZINC001200845507 1054035021 /nfs/dbraw/zinc/03/50/21/1054035021.db2.gz XGDRZJJJRXLGHU-UHFFFAOYSA-N 0 3 310.290 4.333 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2CC[C@H]3[C@@H](C2)C3(F)F)cs1 ZINC001330316697 1054035296 /nfs/dbraw/zinc/03/52/96/1054035296.db2.gz BFZHNTBVBVGULV-WHOHXGKFSA-N 0 3 300.418 4.351 20 0 DIADHN CC1CCC(OC2CCN(Cc3cccn3C3CC3)CC2)CC1 ZINC001330528343 1054038418 /nfs/dbraw/zinc/03/84/18/1054038418.db2.gz JPIWAHWBZBCZHE-UHFFFAOYSA-N 0 3 316.489 4.383 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1cccn1C1CC1 ZINC001330536218 1054041608 /nfs/dbraw/zinc/04/16/08/1054041608.db2.gz MKWGPDCDOLTVIC-SFHVURJKSA-N 0 3 312.461 4.280 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1cccnc1Br ZINC001200854630 1054043533 /nfs/dbraw/zinc/04/35/33/1054043533.db2.gz QKWYTUCPUVJWAS-STQMWFEESA-N 0 3 319.246 4.126 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)c[nH]1 ZINC001232465269 1054050231 /nfs/dbraw/zinc/05/02/31/1054050231.db2.gz GSGQZUJOKATXLS-OAHLLOKOSA-N 0 3 309.335 4.074 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)[nH]1 ZINC001232465269 1054050244 /nfs/dbraw/zinc/05/02/44/1054050244.db2.gz GSGQZUJOKATXLS-OAHLLOKOSA-N 0 3 309.335 4.074 20 0 DIADHN CCc1csc(CN2CCC[C@H]2Cc2ccc(F)cc2)n1 ZINC001232475521 1054052936 /nfs/dbraw/zinc/05/29/36/1054052936.db2.gz QUGLDQIKJCMYBR-INIZCTEOSA-N 0 3 304.434 4.052 20 0 DIADHN Cc1cccc(F)c1CN1CCC2(Cc3ccccc3O2)CC1 ZINC001232483704 1054057003 /nfs/dbraw/zinc/05/70/03/1054057003.db2.gz NUXAIPSCATXOTQ-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN Fc1ccnc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)c1 ZINC001232495289 1054057158 /nfs/dbraw/zinc/05/71/58/1054057158.db2.gz VNDUAVWRSBSIDF-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN C[C@@H](NC[C@H](O)C(C)(C)C)c1cc(F)c(Cl)cc1Cl ZINC000324292754 1054059553 /nfs/dbraw/zinc/05/95/53/1054059553.db2.gz SPKRJRBVJRVGOM-OQPBUACISA-N 0 3 308.224 4.190 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(n2ccc3ccccc32)CC1 ZINC001232510888 1054060972 /nfs/dbraw/zinc/06/09/72/1054060972.db2.gz JFRSEWTYXGKKCX-UHFFFAOYSA-N 0 3 323.415 4.200 20 0 DIADHN Cc1noc(C)c1CCN1CCC[C@H]1c1cccc(Cl)c1 ZINC000164877238 1054065027 /nfs/dbraw/zinc/06/50/27/1054065027.db2.gz OVPZHQDRXLAMPH-KRWDZBQOSA-N 0 3 304.821 4.324 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cccc(CF)n2)CC1 ZINC001232535390 1054066455 /nfs/dbraw/zinc/06/64/55/1054066455.db2.gz RMFHCGBLQNMWNK-UHFFFAOYSA-N 0 3 324.443 4.340 20 0 DIADHN FCc1cccc(CN2CCC(CCc3ccccc3)CC2)n1 ZINC001232536829 1054066521 /nfs/dbraw/zinc/06/65/21/1054066521.db2.gz QSNRIRCZRPCGEX-UHFFFAOYSA-N 0 3 312.432 4.396 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2cc3ccoc3cn2)CC1 ZINC001232554795 1054068396 /nfs/dbraw/zinc/06/83/96/1054068396.db2.gz LDYZGOVTROLVDR-UHFFFAOYSA-N 0 3 306.409 4.382 20 0 DIADHN CC[C@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)C1 ZINC001232543723 1054069367 /nfs/dbraw/zinc/06/93/67/1054069367.db2.gz YFCGDBUHNIUIFJ-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN COc1cccc(C2CCN(Cc3cc4ccoc4cn3)CC2)c1 ZINC001232556175 1054070134 /nfs/dbraw/zinc/07/01/34/1054070134.db2.gz HWLQKBHSOYZYTN-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN CCOc1ccnc(CN2CCC3(C=Cc4ccccc43)CC2)c1 ZINC001232583448 1054074216 /nfs/dbraw/zinc/07/42/16/1054074216.db2.gz ZGQGGRAMMHVQAG-UHFFFAOYSA-N 0 3 320.436 4.041 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1cc(OCc2ccccc2)ccn1 ZINC001232585701 1054075786 /nfs/dbraw/zinc/07/57/86/1054075786.db2.gz GPRYLQCEHKWADD-CALCHBBNSA-N 0 3 310.441 4.424 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cc(OCc2ccccc2)ccn1 ZINC001232587820 1054075885 /nfs/dbraw/zinc/07/58/85/1054075885.db2.gz NTXGLUGTSGVTHY-LJQANCHMSA-N 0 3 310.441 4.425 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H]2CCCc3c2cnn3C)cc1 ZINC000165416824 1054076132 /nfs/dbraw/zinc/07/61/32/1054076132.db2.gz YCXVSDMXNOHJFD-CXAGYDPISA-N 0 3 315.486 4.260 20 0 DIADHN c1ccc(COc2ccnc(CN3CCC4(CCC4)C3)c2)cc1 ZINC001232587378 1054076601 /nfs/dbraw/zinc/07/66/01/1054076601.db2.gz IRWWPCZFBZWHNS-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cncc2cnccc21 ZINC001232652957 1054094056 /nfs/dbraw/zinc/09/40/56/1054094056.db2.gz PBNBHBSOSLGGBN-FQEVSTJZSA-N 0 3 303.409 4.139 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3cc4ccc(Cl)cc4[nH]3)C[C@@H]21 ZINC001232727787 1054106794 /nfs/dbraw/zinc/10/67/94/1054106794.db2.gz HFWHVMSBYRPRCX-RISCZKNCSA-N 0 3 310.775 4.298 20 0 DIADHN Clc1ccc2cc(CN3CC[C@@H](c4ccccn4)C3)[nH]c2c1 ZINC001232732212 1054108040 /nfs/dbraw/zinc/10/80/40/1054108040.db2.gz PXZRGXCQWQQVIT-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN FC(F)(F)[C@H]1CCCN(Cc2cccc3ncsc32)C1 ZINC001232733534 1054110454 /nfs/dbraw/zinc/11/04/54/1054110454.db2.gz PQZVVUIWZWIQLS-NSHDSACASA-N 0 3 300.349 4.071 20 0 DIADHN c1nc2cccc(CN3CCSc4ccccc4C3)c2s1 ZINC001232736624 1054110819 /nfs/dbraw/zinc/11/08/19/1054110819.db2.gz NIIXCHJUTXFKRE-UHFFFAOYSA-N 0 3 312.463 4.404 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2ccc3ncsc3c2)C1 ZINC001232741238 1054112551 /nfs/dbraw/zinc/11/25/51/1054112551.db2.gz JGJFHAAHABRRLS-INIZCTEOSA-N 0 3 324.449 4.258 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2cccc3ncsc32)C1 ZINC001232737753 1054113192 /nfs/dbraw/zinc/11/31/92/1054113192.db2.gz JORWQLHLIGZZNF-OAHLLOKOSA-N 0 3 322.433 4.001 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cc(C)c(F)cc1F ZINC001232786230 1054118189 /nfs/dbraw/zinc/11/81/89/1054118189.db2.gz JGPRTTSLLZZBKS-UHFFFAOYSA-N 0 3 304.384 4.123 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(C)c(F)cc2F)C1 ZINC001232780366 1054119011 /nfs/dbraw/zinc/11/90/11/1054119011.db2.gz OCPHTEVKQZKHKG-AWEZNQCLSA-N 0 3 317.379 4.271 20 0 DIADHN COc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)c(OC)cc1C ZINC001232837925 1054127619 /nfs/dbraw/zinc/12/76/19/1054127619.db2.gz ZUAIWXMDKHORSJ-MRXNPFEDSA-N 0 3 321.436 4.116 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](c1ccccc1)C1CCCC1 ZINC000848531630 1054129404 /nfs/dbraw/zinc/12/94/04/1054129404.db2.gz QFAQIEORBTWFJC-INIZCTEOSA-N 0 3 303.837 4.095 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC/C=C(/C)COC)oc21 ZINC001332853889 1054129663 /nfs/dbraw/zinc/12/96/63/1054129663.db2.gz PDDQFVHEXVMHIL-RNQWEJQRSA-N 0 3 303.402 4.075 20 0 DIADHN C[C@H](NCCCc1cccnc1)c1nc(C(C)(C)C)cs1 ZINC001332885134 1054129910 /nfs/dbraw/zinc/12/99/10/1054129910.db2.gz OMYNRWZNWNEDLJ-ZDUSSCGKSA-N 0 3 303.475 4.119 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc(Cl)n1C)C(C)(C)C ZINC000848539583 1054134693 /nfs/dbraw/zinc/13/46/93/1054134693.db2.gz HTGQYZNYUATCRU-HNNXBMFYSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1cccc(NC(=O)c2cccc(CN3CCCCC3)c2)c1 ZINC000622272926 1054137462 /nfs/dbraw/zinc/13/74/62/1054137462.db2.gz PMSMOBPRWVIAIT-UHFFFAOYSA-N 0 3 308.425 4.233 20 0 DIADHN Cc1cc(O)cc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)c1 ZINC001232882859 1054138164 /nfs/dbraw/zinc/13/81/64/1054138164.db2.gz CQBSTOCLYSAEAV-INIZCTEOSA-N 0 3 323.436 4.283 20 0 DIADHN Cc1cc(O)cc(CN2CC[C@H](c3cccc(Cl)c3)C2)c1 ZINC001232882844 1054138180 /nfs/dbraw/zinc/13/81/80/1054138180.db2.gz BWVJOQPRIVCVQA-INIZCTEOSA-N 0 3 301.817 4.344 20 0 DIADHN Cc1cc(O)cc(CN2CC[C@@H](c3ccccc3Cl)C2)c1 ZINC001232885051 1054139021 /nfs/dbraw/zinc/13/90/21/1054139021.db2.gz XMPHQBDZJYIZDY-OAHLLOKOSA-N 0 3 301.817 4.344 20 0 DIADHN Cc1cc(O)cc(CN(Cc2ccccn2)C2CCCCC2)c1 ZINC001232886728 1054141700 /nfs/dbraw/zinc/14/17/00/1054141700.db2.gz VSTRSGNFGGDMJL-UHFFFAOYSA-N 0 3 310.441 4.431 20 0 DIADHN Fc1cccc(-c2ccc(CN3CC[C@@]4(CC4(F)F)C3)cn2)c1 ZINC001232888313 1054142097 /nfs/dbraw/zinc/14/20/97/1054142097.db2.gz JUAZUOJJVJFJBE-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN Cc1cc(CNCc2ccccc2C(C)C)cc(N2CCCC2)n1 ZINC000848553551 1054148130 /nfs/dbraw/zinc/14/81/30/1054148130.db2.gz VLHBLDJYPSEKAG-UHFFFAOYSA-N 0 3 323.484 4.403 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(C(F)F)nc1)c1ccc(F)cc1 ZINC001333322486 1054151312 /nfs/dbraw/zinc/15/13/12/1054151312.db2.gz XUAVTWMEDYCNDR-ZBEGNZNMSA-N 0 3 324.346 4.197 20 0 DIADHN Fc1cccc2cc(CN3CCC(c4ccncc4)CC3)cnc12 ZINC001333372775 1054151780 /nfs/dbraw/zinc/15/17/80/1054151780.db2.gz YPKXQKGUGAKJGP-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(Cc2ccc3ncoc3c2)C1 ZINC001232941876 1054153199 /nfs/dbraw/zinc/15/31/99/1054153199.db2.gz PSENLFVKMIMJBQ-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN FC(F)(F)Sc1cccc(CN2CC[C@@]3(CCCO3)C2)c1 ZINC001232944105 1054153342 /nfs/dbraw/zinc/15/33/42/1054153342.db2.gz ITNDRCFLGLIYOJ-AWEZNQCLSA-N 0 3 317.376 4.053 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232946528 1054156619 /nfs/dbraw/zinc/15/66/19/1054156619.db2.gz MIOIXTMMCQTUGD-ZDUSSCGKSA-N 0 3 319.392 4.298 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccc(OC(F)F)nc1 ZINC001232955174 1054158431 /nfs/dbraw/zinc/15/84/31/1054158431.db2.gz KMPRWLSPEUDRMA-MRXNPFEDSA-N 0 3 318.367 4.329 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc(OC(F)F)nc2)cc1 ZINC001232955030 1054159031 /nfs/dbraw/zinc/15/90/31/1054159031.db2.gz UJIPUWLSTSNYQX-INIZCTEOSA-N 0 3 318.367 4.329 20 0 DIADHN CN(C)c1ccc(CN2C[C@H]3CCCC[C@]32c2ccccc2)cn1 ZINC001333572057 1054159439 /nfs/dbraw/zinc/15/94/39/1054159439.db2.gz QTELBVDOAMHQHN-CTNGQTDRSA-N 0 3 321.468 4.049 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C3CC3)cc2)cc(OC)c1 ZINC001232982457 1054166282 /nfs/dbraw/zinc/16/62/82/1054166282.db2.gz CFWKUIWTGCWQGC-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN CC(C)(C)OC(=O)C1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001232984126 1054166327 /nfs/dbraw/zinc/16/63/27/1054166327.db2.gz XITNRSHDMVYKBM-UHFFFAOYSA-N 0 3 315.457 4.118 20 0 DIADHN Cc1cc(F)ncc1CN[C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC001333694202 1054166924 /nfs/dbraw/zinc/16/69/24/1054166924.db2.gz UZZYTGAQHYGXEP-VOJFVSQTSA-N 0 3 302.368 4.081 20 0 DIADHN CC[C@@H](NCc1cn2ccnc2s1)c1ccc(Cl)s1 ZINC001333685280 1054167104 /nfs/dbraw/zinc/16/71/04/1054167104.db2.gz PAOGNTKNJZVQJR-SNVBAGLBSA-N 0 3 311.863 4.352 20 0 DIADHN Cc1ncc(F)cc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001232990812 1054168600 /nfs/dbraw/zinc/16/86/00/1054168600.db2.gz SAMNRCYXKXPMGF-AWEZNQCLSA-N 0 3 304.796 4.172 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cnc(C)cc2C)cc1 ZINC001233006673 1054170636 /nfs/dbraw/zinc/17/06/36/1054170636.db2.gz GOMUPFBTNPTVJJ-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@]3(CCc4c3cccc4F)C2)cn1 ZINC001233009092 1054171100 /nfs/dbraw/zinc/17/11/00/1054171100.db2.gz JNKHZXNEBITMQD-NRFANRHFSA-N 0 3 324.443 4.318 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cnc(C)cc2C)c1 ZINC001233007570 1054171295 /nfs/dbraw/zinc/17/12/95/1054171295.db2.gz PIVQSWKWKBTOEG-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2cc(Cl)ncc2[O-])c1 ZINC001233038049 1054174049 /nfs/dbraw/zinc/17/40/49/1054174049.db2.gz MSHIJTKCYVLFAZ-INIZCTEOSA-N 0 3 316.832 4.395 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)ncc2[O-])c1 ZINC001233038049 1054174055 /nfs/dbraw/zinc/17/40/55/1054174055.db2.gz MSHIJTKCYVLFAZ-INIZCTEOSA-N 0 3 316.832 4.395 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCC[N@H+]2Cc2cc(Cl)ncc2[O-])c1 ZINC001233038049 1054174059 /nfs/dbraw/zinc/17/40/59/1054174059.db2.gz MSHIJTKCYVLFAZ-INIZCTEOSA-N 0 3 316.832 4.395 20 0 DIADHN Cc1cc(CNCc2ccc(-c3ccc(Cl)cc3)cc2)n[nH]1 ZINC000671190589 1054174771 /nfs/dbraw/zinc/17/47/71/1054174771.db2.gz KENJEKKSIXWYCN-UHFFFAOYSA-N 0 3 311.816 4.328 20 0 DIADHN [O-]c1cnc(Cl)cc1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001233033076 1054175107 /nfs/dbraw/zinc/17/51/07/1054175107.db2.gz AMCIAVRWJWLXQF-LLVKDONJSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@@H+]1CC[C@@H](c2ccccc2Cl)C1 ZINC001233033076 1054175113 /nfs/dbraw/zinc/17/51/13/1054175113.db2.gz AMCIAVRWJWLXQF-LLVKDONJSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnc(Cl)cc1C[N@H+]1CC[C@@H](c2ccccc2Cl)C1 ZINC001233033076 1054175116 /nfs/dbraw/zinc/17/51/16/1054175116.db2.gz AMCIAVRWJWLXQF-LLVKDONJSA-N 0 3 323.223 4.084 20 0 DIADHN CC1CCN(Cc2cnn(-c3cccc(C(F)(F)F)c3)c2)CC1 ZINC001233040152 1054177172 /nfs/dbraw/zinc/17/71/72/1054177172.db2.gz LNUDCFRPIWWVRX-UHFFFAOYSA-N 0 3 323.362 4.123 20 0 DIADHN Cc1cccc(CNC2CC3(CC3(F)F)C2)c1Br ZINC001334323206 1054186582 /nfs/dbraw/zinc/18/65/82/1054186582.db2.gz ZIWHCDPDFHOJMW-UHFFFAOYSA-N 0 3 316.189 4.035 20 0 DIADHN Fc1ccc2c(c1)n[nH]c2CN1CCCC[C@H]1c1ccccc1 ZINC001233119705 1054187473 /nfs/dbraw/zinc/18/74/73/1054187473.db2.gz OHXKBFDNTQXKEF-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccc2c(c1)n[nH]c2CN1CCC2(CCCCC2)CC1 ZINC001233118749 1054189088 /nfs/dbraw/zinc/18/90/88/1054189088.db2.gz BAAVRNDICKJBNY-UHFFFAOYSA-N 0 3 301.409 4.248 20 0 DIADHN Fc1ccc2c(c1)[nH]nc2CN1CCC[C@H]1c1cccs1 ZINC001233124740 1054189115 /nfs/dbraw/zinc/18/91/15/1054189115.db2.gz ORCJHAFJHXAOCD-HNNXBMFYSA-N 0 3 301.390 4.101 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H](c1cnn(C)c1)C(C)C ZINC001334422627 1054190293 /nfs/dbraw/zinc/19/02/93/1054190293.db2.gz JJPAKLUCTOWXKK-SUMWQHHRSA-N 0 3 303.475 4.190 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](c1cnn(C)c1)C(C)C ZINC001334422625 1054190491 /nfs/dbraw/zinc/19/04/91/1054190491.db2.gz JJPAKLUCTOWXKK-GUYCJALGSA-N 0 3 303.475 4.190 20 0 DIADHN CCOc1cncc(CN[C@@H](c2cccc(F)c2)C(C)(C)C)c1 ZINC001334646525 1054193411 /nfs/dbraw/zinc/19/34/11/1054193411.db2.gz HPYYCGVRNBALQH-SFHVURJKSA-N 0 3 316.420 4.496 20 0 DIADHN Cc1cc(CN2CCC(n3ccc4ccccc43)CC2)cnc1C ZINC001233161056 1054194441 /nfs/dbraw/zinc/19/44/41/1054194441.db2.gz QOLJHVRAWFYZEJ-UHFFFAOYSA-N 0 3 319.452 4.490 20 0 DIADHN CCOc1cncc(CN[C@H](c2cccc(F)c2)C(C)(C)C)c1 ZINC001334646524 1054194614 /nfs/dbraw/zinc/19/46/14/1054194614.db2.gz HPYYCGVRNBALQH-GOSISDBHSA-N 0 3 316.420 4.496 20 0 DIADHN Cc1c[nH]c(=O)c(CN2CCC[C@@H]2c2ccccc2Cl)c1 ZINC001233192928 1054198315 /nfs/dbraw/zinc/19/83/15/1054198315.db2.gz OZVLVZPQCDRWPW-MRXNPFEDSA-N 0 3 302.805 4.086 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](CC(C)(C)C)c1ccc(Cl)cc1)N(C)C ZINC000622389771 1054200696 /nfs/dbraw/zinc/20/06/96/1054200696.db2.gz ZUJPNZTZFXWPKL-HOTGVXAUSA-N 0 3 324.896 4.274 20 0 DIADHN COC1CCN(Cc2cccc(Oc3ccc(F)cc3)c2)CC1 ZINC001233207474 1054201380 /nfs/dbraw/zinc/20/13/80/1054201380.db2.gz MTSADTJUPKCDMK-UHFFFAOYSA-N 0 3 315.388 4.229 20 0 DIADHN Cc1cccc(-c2ccc(CN3CC4(C3)CCCOC4)cc2)c1 ZINC001233223420 1054206297 /nfs/dbraw/zinc/20/62/97/1054206297.db2.gz MNECZSUKEQPACS-UHFFFAOYSA-N 0 3 307.437 4.274 20 0 DIADHN CN(Cc1ccc(-c2ccc(Cl)cc2)cc1)[C@@H]1CCOC1 ZINC001233220227 1054206849 /nfs/dbraw/zinc/20/68/49/1054206849.db2.gz QAWFLQROTXKGJW-GOSISDBHSA-N 0 3 301.817 4.228 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(O)c(OCC2CC2)c1 ZINC001233229002 1054209119 /nfs/dbraw/zinc/20/91/19/1054209119.db2.gz GABJHNTWKPAYTD-UHFFFAOYSA-N 0 3 317.454 4.265 20 0 DIADHN Oc1ccc(CN(Cc2ccccc2)C2CC2)cc1OCC1CC1 ZINC001233227863 1054210512 /nfs/dbraw/zinc/21/05/12/1054210512.db2.gz DLBPLVJQRFUVEZ-UHFFFAOYSA-N 0 3 323.436 4.346 20 0 DIADHN c1nn2ccccc2c1CN1CCC(Sc2ccccc2)CC1 ZINC001233238041 1054212680 /nfs/dbraw/zinc/21/26/80/1054212680.db2.gz ACKLKALJWWGUNF-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(-c2cnco2)cc1 ZINC001335343950 1054214368 /nfs/dbraw/zinc/21/43/68/1054214368.db2.gz ZTEQFLZBNKRGPU-SFHVURJKSA-N 0 3 320.392 4.127 20 0 DIADHN Cc1ccc(Cl)cc1CN1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001233250799 1054217404 /nfs/dbraw/zinc/21/74/04/1054217404.db2.gz AILMEZWPHTVENQ-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN CC(C)C[C@@H](C)C(=O)C(C#N)C(=O)Nc1cccc2ccccc21 ZINC000184860018 1054221969 /nfs/dbraw/zinc/22/19/69/1054221969.db2.gz NKMGPNNPXMEKIM-RHSMWYFYSA-N 0 3 322.408 4.169 20 0 DIADHN CSc1cccc(Cl)c1CN(C(C)C)C1CCOCC1 ZINC001233353490 1054247424 /nfs/dbraw/zinc/24/74/24/1054247424.db2.gz XZYDJXFDBAEJGI-UHFFFAOYSA-N 0 3 313.894 4.451 20 0 DIADHN CN(Cc1ccc(Cl)o1)[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001233317486 1054228661 /nfs/dbraw/zinc/22/86/61/1054228661.db2.gz OOGDSSDQYOLKHM-MRXNPFEDSA-N 0 3 318.848 4.029 20 0 DIADHN Cc1cc(CN2CCC(n3ccc4ccccc43)CC2)sn1 ZINC001233312873 1054229817 /nfs/dbraw/zinc/22/98/17/1054229817.db2.gz QRBVHPOCTLLOHZ-UHFFFAOYSA-N 0 3 311.454 4.243 20 0 DIADHN CCN(CC)CC(=O)N1CCCC[C@H]1c1ccc(C(C)C)cc1 ZINC000622471720 1054241912 /nfs/dbraw/zinc/24/19/12/1054241912.db2.gz HSEZIKAEDJGPDJ-IBGZPJMESA-N 0 3 316.489 4.205 20 0 DIADHN c1ccc(-c2nnc(CNC[C@H]3CCc4ccccc43)s2)cc1 ZINC001336748637 1054244356 /nfs/dbraw/zinc/24/43/56/1054244356.db2.gz VPJKBNSXUFJJLJ-MRXNPFEDSA-N 0 3 321.449 4.025 20 0 DIADHN CCn1cc(CN[C@@H](C)c2ccc(-c3ccncc3)cc2)c(C)n1 ZINC001336737707 1054245531 /nfs/dbraw/zinc/24/55/31/1054245531.db2.gz ZJQSLEZYGVRZNL-HNNXBMFYSA-N 0 3 320.440 4.124 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@]2(C1)CCCOC2 ZINC001233353312 1054248647 /nfs/dbraw/zinc/24/86/47/1054248647.db2.gz OMPNLSSDVMCQCW-MRXNPFEDSA-N 0 3 311.878 4.064 20 0 DIADHN Nc1cc(F)c(F)cc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC001233380963 1054257156 /nfs/dbraw/zinc/25/71/56/1054257156.db2.gz KNEZOZZVNONHMM-OAHLLOKOSA-N 0 3 316.395 4.002 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](OC)c1ccc(F)cc1 ZINC001337160929 1054257988 /nfs/dbraw/zinc/25/79/88/1054257988.db2.gz XPICRXIMXFCNRD-KUHUBIRLSA-N 0 3 317.404 4.181 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC001337160900 1054258009 /nfs/dbraw/zinc/25/80/09/1054258009.db2.gz XPICRXIMXFCNRD-AUUYWEPGSA-N 0 3 317.404 4.181 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC2)c1ccc(F)cc1N(C)C ZINC001337167130 1054259997 /nfs/dbraw/zinc/25/99/97/1054259997.db2.gz VUICKNLPXIHRNL-CQSZACIVSA-N 0 3 312.432 4.231 20 0 DIADHN Fc1cc(F)cc(-c2n[nH]cc2CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC001233405984 1054264087 /nfs/dbraw/zinc/26/40/87/1054264087.db2.gz VPHOJDHSCNAJKO-YVEFUNNKSA-N 0 3 317.383 4.119 20 0 DIADHN Brc1cc(CN2C[C@@H]3CCCC[C@H]3C2)cs1 ZINC001233412918 1054266847 /nfs/dbraw/zinc/26/68/47/1054266847.db2.gz ZNANNNMINPEFNO-RYUDHWBXSA-N 0 3 300.265 4.133 20 0 DIADHN Brc1cc(CN2CCC3(CCCCC3)CC2)c[nH]1 ZINC001233434453 1054270094 /nfs/dbraw/zinc/27/00/94/1054270094.db2.gz PJTLBBBSRRIJMV-UHFFFAOYSA-N 0 3 311.267 4.324 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1ccc2nc(Cl)ccc2c1 ZINC001233442235 1054274399 /nfs/dbraw/zinc/27/43/99/1054274399.db2.gz KPGLQVHYPPAZKU-UHFFFAOYSA-N 0 3 312.800 4.226 20 0 DIADHN CN(C)c1ncc(CN[C@@H]2CCc3cccc4cccc2c43)s1 ZINC001338326396 1054301398 /nfs/dbraw/zinc/30/13/98/1054301398.db2.gz GYYHCIHDRPYNQG-QGZVFWFLSA-N 0 3 323.465 4.139 20 0 DIADHN FC(F)c1cccc(CNCc2cnc(-c3ccccc3)[nH]2)c1 ZINC001338519743 1054308293 /nfs/dbraw/zinc/30/82/93/1054308293.db2.gz ZWZOGQUNZCHHAZ-UHFFFAOYSA-N 0 3 313.351 4.304 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3occc3c2)C1 ZINC001233678192 1054330343 /nfs/dbraw/zinc/33/03/43/1054330343.db2.gz XELCSAMJYUJXCY-CRAIPNDOSA-N 0 3 322.408 4.432 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](Oc2ccc3occc3c2)C1 ZINC001233678194 1054330504 /nfs/dbraw/zinc/33/05/04/1054330504.db2.gz XELCSAMJYUJXCY-QAPCUYQASA-N 0 3 322.408 4.432 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3occc3c2)C1 ZINC001233678193 1054330594 /nfs/dbraw/zinc/33/05/94/1054330594.db2.gz XELCSAMJYUJXCY-MAUKXSAKSA-N 0 3 322.408 4.432 20 0 DIADHN Cc1cc(CN2CC[C@@H]2COCc2ccccc2)sc1C ZINC001233683997 1054331613 /nfs/dbraw/zinc/33/16/13/1054331613.db2.gz CPUGXNUUIWSJIU-QGZVFWFLSA-N 0 3 301.455 4.156 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)c(C)s2)cc1OC ZINC001233683969 1054332858 /nfs/dbraw/zinc/33/28/58/1054332858.db2.gz BSXYXQQEHFUJFW-UHFFFAOYSA-N 0 3 305.443 4.014 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CN[C@@H](C)c1ccsc1 ZINC001340075182 1054356554 /nfs/dbraw/zinc/35/65/54/1054356554.db2.gz XDIWLRQISVYFSR-LBPRGKRZSA-N 0 3 321.515 4.354 20 0 DIADHN C[C@@H](c1ccccc1)c1ccccc1O[C@H]1CN2CCC1CC2 ZINC001233782953 1054356975 /nfs/dbraw/zinc/35/69/75/1054356975.db2.gz PYLFRDMEUMJHFA-KKSFZXQISA-N 0 3 307.437 4.311 20 0 DIADHN CCCCc1ccc(NC(=O)[C@@H](C(C)C)N2CCCCC2)cc1 ZINC001440562389 1054357426 /nfs/dbraw/zinc/35/74/26/1054357426.db2.gz XOQGPZJRDJLCGQ-LJQANCHMSA-N 0 3 316.489 4.478 20 0 DIADHN CC(C)[C@@H]1CC[C@@H](C)C[C@H]1CNCc1ncc(CN(C)C)s1 ZINC001340977213 1054372144 /nfs/dbraw/zinc/37/21/44/1054372144.db2.gz QFWLJMLFPMYGTN-VYDXJSESSA-N 0 3 323.550 4.003 20 0 DIADHN Cc1nc(C)c(CN[C@H](Cc2ccccc2)c2cccs2)[nH]1 ZINC001341044138 1054375784 /nfs/dbraw/zinc/37/57/84/1054375784.db2.gz KEVVISHHPDPFPE-MRXNPFEDSA-N 0 3 311.454 4.162 20 0 DIADHN Cc1c(F)ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1C ZINC001233867520 1054376657 /nfs/dbraw/zinc/37/66/57/1054376657.db2.gz FVEZUTMVPMYHOE-HZPDHXFCSA-N 0 3 314.404 4.052 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccccc2)c(Cl)c1 ZINC000171672565 1054380313 /nfs/dbraw/zinc/38/03/13/1054380313.db2.gz GNXBLURPQYOWOM-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@@H]4CCCC[C@H]4C3)nn2)cc1 ZINC001341425001 1054387910 /nfs/dbraw/zinc/38/79/10/1054387910.db2.gz MOPNJWUOGCQYNG-IRXDYDNUSA-N 0 3 323.440 4.281 20 0 DIADHN CCc1cccc(C)c1O[C@@H]1CCN([C@H](C)c2ccccn2)C1 ZINC001233930084 1054392270 /nfs/dbraw/zinc/39/22/70/1054392270.db2.gz MAMCWECHIMGFHL-SJLPKXTDSA-N 0 3 310.441 4.167 20 0 DIADHN Cc1ncccc1CN1CCC(Nc2ccccc2Cl)CC1 ZINC001341554070 1054396101 /nfs/dbraw/zinc/39/61/01/1054396101.db2.gz RWLANPZXLKJARF-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN COCc1cc(CN[C@@H](C)c2cccc(Cl)c2)ccc1F ZINC000171982962 1054400814 /nfs/dbraw/zinc/40/08/14/1054400814.db2.gz WQGYFGAEMJPSTG-LBPRGKRZSA-N 0 3 307.796 4.476 20 0 DIADHN CC(C)N1CCC[C@H](Oc2ncc(Cl)cc2C(F)(F)F)C1 ZINC001233970685 1054401880 /nfs/dbraw/zinc/40/18/80/1054401880.db2.gz ZPFYBNKMGRKIJC-NSHDSACASA-N 0 3 322.758 4.005 20 0 DIADHN CC[C@H](C)Sc1ccc(NC(=O)[C@H](C(C)C)N(C)C)c(C)c1 ZINC001442586444 1054426165 /nfs/dbraw/zinc/42/61/65/1054426165.db2.gz NCFLJMUBJDCQIA-YOEHRIQHSA-N 0 3 322.518 4.410 20 0 DIADHN Cc1ncc(CN(C)C[C@H]2CCCO[C@H]2c2ccccc2)s1 ZINC000622836697 1054427698 /nfs/dbraw/zinc/42/76/98/1054427698.db2.gz KMBRCLXQCWOXSD-AEFFLSMTSA-N 0 3 316.470 4.051 20 0 DIADHN Cc1ncc(CN(C)C[C@@H]2CCCO[C@H]2c2ccccc2)s1 ZINC000622836700 1054428723 /nfs/dbraw/zinc/42/87/23/1054428723.db2.gz KMBRCLXQCWOXSD-WMZOPIPTSA-N 0 3 316.470 4.051 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccccc2)c2ccccn2)cc1 ZINC000172414428 1054428871 /nfs/dbraw/zinc/42/88/71/1054428871.db2.gz XPWDAGDZGYSIMS-NRFANRHFSA-N 0 3 302.421 4.312 20 0 DIADHN CC(C)N(Cc1nnc(-c2cccc(F)c2)o1)C1CCCC1 ZINC000072600884 1054430844 /nfs/dbraw/zinc/43/08/44/1054430844.db2.gz UVNPNGONBUUGKI-UHFFFAOYSA-N 0 3 303.381 4.029 20 0 DIADHN CC(C)C(=O)CCN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC001342948418 1054436038 /nfs/dbraw/zinc/43/60/38/1054436038.db2.gz UWZDAHPRMBRFDD-UHFFFAOYSA-N 0 3 314.404 4.011 20 0 DIADHN CC(C)N(CCN(C)C)C(=O)c1ccc(C2CCCCC2)cc1 ZINC001357258016 1054445984 /nfs/dbraw/zinc/44/59/84/1054445984.db2.gz JVJWQQQTIAPMNG-UHFFFAOYSA-N 0 3 316.489 4.147 20 0 DIADHN C[C@H](C1CC1)N(Cc1ncc(-c2ccccc2F)o1)C1CC1 ZINC000172749110 1054449554 /nfs/dbraw/zinc/44/95/54/1054449554.db2.gz KAXHUXIUAZMJDV-GFCCVEGCSA-N 0 3 300.377 4.244 20 0 DIADHN CC[C@H](NCCc1nsc2ccccc12)c1nccs1 ZINC000660252565 1054450832 /nfs/dbraw/zinc/45/08/32/1054450832.db2.gz CKTJVYADDZJNFS-LBPRGKRZSA-N 0 3 303.456 4.036 20 0 DIADHN CN(Cc1cncc(Br)c1)C(C)(C)c1ccccc1 ZINC000671245764 1054459567 /nfs/dbraw/zinc/45/95/67/1054459567.db2.gz JAXOLOUEPULMRN-UHFFFAOYSA-N 0 3 319.246 4.211 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1cccn1C1CC1)CCC2 ZINC001344068260 1054462480 /nfs/dbraw/zinc/46/24/80/1054462480.db2.gz CSCYYJMDGQDSFM-SFHVURJKSA-N 0 3 302.368 4.268 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCCC(C)(C)O3)CCCC2)n1 ZINC001473258696 1054462671 /nfs/dbraw/zinc/46/26/71/1054462671.db2.gz WAYMURFVWDBAAG-CQSZACIVSA-N 0 3 308.491 4.158 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N1CC[C@@]2(C1)CCCOC2 ZINC000671253618 1054463257 /nfs/dbraw/zinc/46/32/57/1054463257.db2.gz LHJIUZVPYDOAIZ-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@]3(C2)CCCOC3)cc1Cl ZINC000671254450 1054464546 /nfs/dbraw/zinc/46/45/46/1054464546.db2.gz HVAGVQWUUCRYQV-SFHVURJKSA-N 0 3 323.864 4.130 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CCC[C@H](C)[C@@H]1C ZINC001234240052 1054469083 /nfs/dbraw/zinc/46/90/83/1054469083.db2.gz AEMSVCAZVUVSRU-HEHGZKQESA-N 0 3 309.425 4.099 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1ccccc1 ZINC001234239431 1054469291 /nfs/dbraw/zinc/46/92/91/1054469291.db2.gz SPFVYINJRKHKQR-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC001234240049 1054470317 /nfs/dbraw/zinc/47/03/17/1054470317.db2.gz AEMSVCAZVUVSRU-DVOMOZLQSA-N 0 3 309.425 4.099 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc2c(c1)OCCO2 ZINC000337718098 1054471498 /nfs/dbraw/zinc/47/14/98/1054471498.db2.gz MMHLHPWSFSTQPU-CYBMUJFWSA-N 0 3 309.365 4.055 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1F)c1cccc(-n2cccn2)c1 ZINC000173204228 1054471644 /nfs/dbraw/zinc/47/16/44/1054471644.db2.gz UBZMVKKCBWTXNM-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN Cc1ccccc1N(C)c1ccc(CN2CC[C@]23CCOC3)cc1 ZINC001234269515 1054479739 /nfs/dbraw/zinc/47/97/39/1054479739.db2.gz OBJHWMFCYBUDSG-OAQYLSRUSA-N 0 3 322.452 4.128 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccccc3)CCC2)cc1OC ZINC000173366006 1054480481 /nfs/dbraw/zinc/48/04/81/1054480481.db2.gz KYJPNVONQZXTBB-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(N(C)c3ccccc3C)cc2)C1 ZINC001234270022 1054481071 /nfs/dbraw/zinc/48/10/71/1054481071.db2.gz TXSYKOXLDJBMPB-KRWDZBQOSA-N 0 3 324.468 4.230 20 0 DIADHN Cc1csc([C@H](N[C@@H]2C[C@H](OC(C)C)C2(C)C)C2CC2)n1 ZINC000185230987 1054482466 /nfs/dbraw/zinc/48/24/66/1054482466.db2.gz JNHOATWGBMXDOA-QLFBSQMISA-N 0 3 308.491 4.084 20 0 DIADHN O=C(Nc1ccc(CNCc2cccc(C3CC3)c2)cc1)C1CC1 ZINC001345230169 1054491613 /nfs/dbraw/zinc/49/16/13/1054491613.db2.gz YBIGCZJKAHXQPX-UHFFFAOYSA-N 0 3 320.436 4.202 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccnc2)cc(C)c1OC(F)F ZINC000072672065 1054491964 /nfs/dbraw/zinc/49/19/64/1054491964.db2.gz MRQVXBYYSWSCFN-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN CCCCN(c1ccccc1)c1ccc(CN2CC(CO)C2)cc1 ZINC001234309056 1054494767 /nfs/dbraw/zinc/49/47/67/1054494767.db2.gz OCVCHFPKPCFHFI-UHFFFAOYSA-N 0 3 324.468 4.049 20 0 DIADHN CC(C)c1cc(Br)cc(O[C@H]2CN3CCC2CC3)c1 ZINC001234312121 1054495364 /nfs/dbraw/zinc/49/53/64/1054495364.db2.gz VDSYTHNHBJPKRY-INIZCTEOSA-N 0 3 324.262 4.046 20 0 DIADHN CC(=O)c1cc(O[C@H](CCN(C)C)c2ccccc2)ccc1C ZINC001234323231 1054500818 /nfs/dbraw/zinc/50/08/18/1054500818.db2.gz ZATWRPBHQDRZLK-HXUWFJFHSA-N 0 3 311.425 4.269 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc(COC)cc2)cc1 ZINC000174323324 1054504514 /nfs/dbraw/zinc/50/45/14/1054504514.db2.gz VKZKHNDZQLJURK-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN Cc1ccc(OC2CCN(C[C@H](C)C(F)(F)F)CC2)cc1 ZINC000441164708 1054504635 /nfs/dbraw/zinc/50/46/35/1054504635.db2.gz HIMAETRFSGTDOY-ZDUSSCGKSA-N 0 3 301.352 4.037 20 0 DIADHN CCOc1ccc([C@H]2CCCN2C[C@@H](C)C(F)(F)F)cc1 ZINC000441169593 1054505677 /nfs/dbraw/zinc/50/56/77/1054505677.db2.gz KHLFFCRUDGWALU-IUODEOHRSA-N 0 3 301.352 4.421 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(F)c1)c1ccc(-n2cccn2)cc1 ZINC000174370826 1054507520 /nfs/dbraw/zinc/50/75/20/1054507520.db2.gz MEGVJELEBBUVLE-GJZGRUSLSA-N 0 3 309.388 4.423 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CNCc1cccn1C ZINC000174436855 1054507881 /nfs/dbraw/zinc/50/78/81/1054507881.db2.gz CMRZRQGXAHXEHF-UHFFFAOYSA-N 0 3 308.425 4.255 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1 ZINC001445781598 1054516618 /nfs/dbraw/zinc/51/66/18/1054516618.db2.gz UXOOIPXUZGRQMA-INIZCTEOSA-N 0 3 302.462 4.088 20 0 DIADHN C[C@H](Oc1cccc2c1CCN(C)C2)c1ccc(F)c(F)c1 ZINC001234421154 1054518132 /nfs/dbraw/zinc/51/81/32/1054518132.db2.gz APKYBSOYEOYCJF-LBPRGKRZSA-N 0 3 303.352 4.093 20 0 DIADHN CCOc1ccc([C@@H](C)Oc2cccc3c2CCN(C)C3)cc1 ZINC001234422442 1054518430 /nfs/dbraw/zinc/51/84/30/1054518430.db2.gz IEZRCGJYYJKSQE-OAHLLOKOSA-N 0 3 311.425 4.213 20 0 DIADHN COc1ncc([C@@H](C)N[C@H]2CCc3ccc(C)cc32)cc1Cl ZINC000671297802 1054530264 /nfs/dbraw/zinc/53/02/64/1054530264.db2.gz MYXJBZAVSMFJTP-PXAZEXFGSA-N 0 3 316.832 4.390 20 0 DIADHN CC1(C)[C@H](NCc2ccc(Cl)cn2)C[C@H]1Oc1ccccc1 ZINC000671298547 1054532465 /nfs/dbraw/zinc/53/24/65/1054532465.db2.gz JEKYXZLFUNMYJG-IAGOWNOFSA-N 0 3 316.832 4.071 20 0 DIADHN Cc1cc(CN[C@H](C)c2ccc(OC(C)C)c(Cl)c2)n[nH]1 ZINC000671297390 1054533233 /nfs/dbraw/zinc/53/32/33/1054533233.db2.gz CSQDOARZVNIIPQ-GFCCVEGCSA-N 0 3 307.825 4.010 20 0 DIADHN COc1cc(Cl)ccc1CN[C@@H](C)c1cc(C)ccc1O ZINC000185399464 1054540780 /nfs/dbraw/zinc/54/07/80/1054540780.db2.gz DLWULZMTRFOUAZ-LBPRGKRZSA-N 0 3 305.805 4.213 20 0 DIADHN CC(C)(NCc1cnc(-c2ccccc2)[nH]1)c1cccc(F)c1 ZINC000175997638 1054546285 /nfs/dbraw/zinc/54/62/85/1054546285.db2.gz KOTSWCSSPXSJRO-UHFFFAOYSA-N 0 3 309.388 4.241 20 0 DIADHN FC(F)(F)CC1CCN(Cc2nccc3ccccc32)CC1 ZINC000671311619 1054554992 /nfs/dbraw/zinc/55/49/92/1054554992.db2.gz IJKOQKAPKZRCTJ-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)[nH]1 ZINC001234653562 1054570083 /nfs/dbraw/zinc/57/00/83/1054570083.db2.gz ALJQUGFSYOGRHW-MRXNPFEDSA-N 0 3 323.362 4.382 20 0 DIADHN CC(C)c1cc(O[C@H]2CN3CCC2CC3)ccc1Br ZINC001234668876 1054573283 /nfs/dbraw/zinc/57/32/83/1054573283.db2.gz QMSNAUBYZAJTCS-INIZCTEOSA-N 0 3 324.262 4.046 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C)cc2)cc1OC1CC1 ZINC000623363408 1054573042 /nfs/dbraw/zinc/57/30/42/1054573042.db2.gz RTZSUYRBPIFACQ-OAHLLOKOSA-N 0 3 311.425 4.396 20 0 DIADHN COc1ccc(CN2CCC[C@](C)(F)CC2)c(OC(C)C)c1 ZINC001234689422 1054577490 /nfs/dbraw/zinc/57/74/90/1054577490.db2.gz STINNNRWFBDTJV-SFHVURJKSA-N 0 3 309.425 4.197 20 0 DIADHN COc1ccc(CN2CCC3(CCC3)CC2)c(OC(C)C)c1 ZINC001234689794 1054578174 /nfs/dbraw/zinc/57/81/74/1054578174.db2.gz XDHFKKNJQXOPPH-UHFFFAOYSA-N 0 3 303.446 4.249 20 0 DIADHN COc1ccc(CN2CC[C@@H]3CCC[C@H]3C2)c(OC(C)C)c1 ZINC001234695782 1054580055 /nfs/dbraw/zinc/58/00/55/1054580055.db2.gz FNAVNKORWAFQJT-HOTGVXAUSA-N 0 3 303.446 4.104 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3[nH]2)cc1OC1CC1 ZINC000623385281 1054580390 /nfs/dbraw/zinc/58/03/90/1054580390.db2.gz AGRWEOIPHVECGV-UHFFFAOYSA-N 0 3 322.408 4.008 20 0 DIADHN COc1ccc(CN2CCCC3(CCC3)C2)c(OC(C)C)c1 ZINC001234726850 1054583369 /nfs/dbraw/zinc/58/33/69/1054583369.db2.gz GZCHMKGXTOIPLD-UHFFFAOYSA-N 0 3 303.446 4.249 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(C)cc32)cc1OC1CC1 ZINC000623408393 1054587165 /nfs/dbraw/zinc/58/71/65/1054587165.db2.gz UOQLQNBRQFMRJY-IBGZPJMESA-N 0 3 323.436 4.322 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)NCCN1CCCC(C)(C)C1 ZINC001448510593 1054597682 /nfs/dbraw/zinc/59/76/82/1054597682.db2.gz SOCIRBZCLBCLIU-GOSISDBHSA-N 0 3 322.537 4.221 20 0 DIADHN C[C@H](C(=O)Nc1ccc(C2CCC2)cc1)N1[C@H](C)CC[C@H]1C ZINC001448618806 1054600859 /nfs/dbraw/zinc/60/08/59/1054600859.db2.gz XLIHGKAKJKGVAQ-RBSFLKMASA-N 0 3 300.446 4.154 20 0 DIADHN CCCNc1ccc(CNCc2ccc(Cl)c(OC)c2)cc1 ZINC000623508193 1054606838 /nfs/dbraw/zinc/60/68/38/1054606838.db2.gz MHDWYNADKUKYJY-UHFFFAOYSA-N 0 3 318.848 4.460 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)NCc2c(C)c(C)n[nH]c2=O)cc1 ZINC001348109775 1054612611 /nfs/dbraw/zinc/61/26/11/1054612611.db2.gz CBJBTDFCHDHRJV-SWLSCSKDSA-N 0 3 313.445 4.163 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NCc1cccc2n[nH]cc21 ZINC000623530895 1054614774 /nfs/dbraw/zinc/61/47/74/1054614774.db2.gz UHIUINBNMJIXDK-ZDUSSCGKSA-N 0 3 317.845 4.445 20 0 DIADHN COc1ccc(CNC2(c3ccccc3C)CC2)cc1OC1CC1 ZINC000623556573 1054623466 /nfs/dbraw/zinc/62/34/66/1054623466.db2.gz NQMYQLOCFUTXSJ-UHFFFAOYSA-N 0 3 323.436 4.324 20 0 DIADHN COc1c(Cl)ccc(F)c1CN1CCC2(CC(F)C2)CC1 ZINC001238339578 1054634586 /nfs/dbraw/zinc/63/45/86/1054634586.db2.gz OCKLYUTZUIXEJT-UHFFFAOYSA-N 0 3 315.791 4.202 20 0 DIADHN CN(Cc1cc(Cl)cc(Br)c1F)C(C)(C)C ZINC001234973959 1054635674 /nfs/dbraw/zinc/63/56/74/1054635674.db2.gz KBMNBOAIUWNUAN-UHFFFAOYSA-N 0 3 308.622 4.472 20 0 DIADHN CC[C@@H]1CCCN([C@H](C)C(=O)Nc2c(C)cc(C)cc2C)C1 ZINC000348000437 1054641133 /nfs/dbraw/zinc/64/11/33/1054641133.db2.gz AJIVLSITNMYJAR-IAGOWNOFSA-N 0 3 302.462 4.061 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1ncc(F)cn1 ZINC001235023020 1054641357 /nfs/dbraw/zinc/64/13/57/1054641357.db2.gz MFMQIPOUYCPAJH-GOSISDBHSA-N 0 3 315.436 4.239 20 0 DIADHN CC(C)OC1CCN(Cc2c[nH]c(-c3ccccc3F)c2)CC1 ZINC001235039863 1054642888 /nfs/dbraw/zinc/64/28/88/1054642888.db2.gz RBZUPIJSAIQQQG-UHFFFAOYSA-N 0 3 316.420 4.210 20 0 DIADHN Fc1ccccc1-c1cc(CN2CCCC(F)(F)CC2)c[nH]1 ZINC001235036551 1054643505 /nfs/dbraw/zinc/64/35/05/1054643505.db2.gz VXKMWLMWQOPKIM-UHFFFAOYSA-N 0 3 308.347 4.442 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N1CCN(C2CC2)C[C@@H](C)C1 ZINC001450406120 1054647820 /nfs/dbraw/zinc/64/78/20/1054647820.db2.gz FKFNHXKVDGNEJF-YLJYHZDGSA-N 0 3 322.537 4.316 20 0 DIADHN C[C@@H](NCc1ccc(CO)c(F)c1)c1ccc2c(c1)CCCC2 ZINC000178566795 1054654760 /nfs/dbraw/zinc/65/47/60/1054654760.db2.gz ZMNMYSMYKAWFIS-CQSZACIVSA-N 0 3 313.416 4.048 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1cccc(N3CCCC3)c1)C2 ZINC001235096715 1054657673 /nfs/dbraw/zinc/65/76/73/1054657673.db2.gz WNIKOZHFFDTMFD-UHFFFAOYSA-N 0 3 306.453 4.154 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1nccn1-c1ccccc1 ZINC000623697977 1054657756 /nfs/dbraw/zinc/65/77/56/1054657756.db2.gz HHMYXHFOLJYELD-UHFFFAOYSA-N 0 3 307.388 4.033 20 0 DIADHN CCCC(=CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1)CCC ZINC001450701688 1054658971 /nfs/dbraw/zinc/65/89/71/1054658971.db2.gz JXGRLLBVJJAHGM-SFHVURJKSA-N 0 3 320.452 4.071 20 0 DIADHN CCCCCC[C@H](C)C(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001450694453 1054659076 /nfs/dbraw/zinc/65/90/76/1054659076.db2.gz AXSZKPCIIDZDNK-YJBOKZPZSA-N 0 3 322.468 4.151 20 0 DIADHN CCCC[C@@H](C(=O)N[C@@H](CN(C)C)c1ccc(F)cc1)C(C)C ZINC001450704630 1054659366 /nfs/dbraw/zinc/65/93/66/1054659366.db2.gz NWNINAQJOOSFTO-MSOLQXFVSA-N 0 3 322.468 4.007 20 0 DIADHN CCCC[C@H](C(=O)N[C@H](CN(C)C)c1ccc(F)cc1)C(C)C ZINC001450704648 1054660115 /nfs/dbraw/zinc/66/01/15/1054660115.db2.gz NWNINAQJOOSFTO-ZWKOTPCHSA-N 0 3 322.468 4.007 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccc(Oc3cccnc3)cc1)C2 ZINC001235117537 1054661922 /nfs/dbraw/zinc/66/19/22/1054661922.db2.gz FBYHKQXHCHCLFY-KRWDZBQOSA-N 0 3 316.351 4.105 20 0 DIADHN Clc1ccc(-c2nc(CCNCc3ccco3)cs2)cc1 ZINC000179049118 1054664666 /nfs/dbraw/zinc/66/46/66/1054664666.db2.gz VZQLDKYHRKDUJN-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1cc(Cl)cc2cccnc21 ZINC000179137250 1054668249 /nfs/dbraw/zinc/66/82/49/1054668249.db2.gz BOTHDUPUGFYUPD-CYBMUJFWSA-N 0 3 311.816 4.476 20 0 DIADHN Cc1cncc(CN[C@@H](Cc2ccccc2)c2ccccc2)c1 ZINC000179245339 1054673213 /nfs/dbraw/zinc/67/32/13/1054673213.db2.gz YGAYNOAONATPCG-NRFANRHFSA-N 0 3 302.421 4.464 20 0 DIADHN c1cc(-c2csc(CN3CC[C@@H]4CCO[C@H]4C3)c2)cs1 ZINC001235182293 1054674646 /nfs/dbraw/zinc/67/46/46/1054674646.db2.gz ZXZDNLGECMKOIJ-WBMJQRKESA-N 0 3 305.468 4.087 20 0 DIADHN c1cc(-c2csc(CN3CCC[C@]4(CCO4)C3)c2)cs1 ZINC001235186537 1054679005 /nfs/dbraw/zinc/67/90/05/1054679005.db2.gz PQLOMRAQGJXLDN-INIZCTEOSA-N 0 3 305.468 4.232 20 0 DIADHN CN(Cc1cc2cccc(F)c2[nH]1)Cc1cccc2cccnc21 ZINC001235246207 1054690336 /nfs/dbraw/zinc/69/03/36/1054690336.db2.gz KDCTVJRQGLYFKO-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN CCc1cccc(CN2CCC(c3cccc(OC)c3)CC2)n1 ZINC001235266147 1054694487 /nfs/dbraw/zinc/69/44/87/1054694487.db2.gz KGMZYCRAAQDJFF-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN CCc1cccc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)n1 ZINC001235263414 1054695046 /nfs/dbraw/zinc/69/50/46/1054695046.db2.gz BGKZGUMDIHRXQD-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN CCc1cccc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)n1 ZINC001235263413 1054695148 /nfs/dbraw/zinc/69/51/48/1054695148.db2.gz BGKZGUMDIHRXQD-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN CCc1cccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)n1 ZINC001235261254 1054695291 /nfs/dbraw/zinc/69/52/91/1054695291.db2.gz QQSFUQJBNAWRAF-OAHLLOKOSA-N 0 3 300.833 4.287 20 0 DIADHN Cc1ccc(-c2cccc(CN3CC4(C3)CCCOC4)c2)cc1 ZINC001235310956 1054698323 /nfs/dbraw/zinc/69/83/23/1054698323.db2.gz MCEOOPAHYPHNFE-UHFFFAOYSA-N 0 3 307.437 4.274 20 0 DIADHN CCN1CCN(c2ccccc2N[C@@H](C)c2ccsc2)CC1 ZINC000179776444 1054702116 /nfs/dbraw/zinc/70/21/16/1054702116.db2.gz MEVXRJNATSTWSD-HNNXBMFYSA-N 0 3 315.486 4.063 20 0 DIADHN CCCCCCN(C)CC(=O)NC1(c2ccccc2C)CCC1 ZINC001452763729 1054715712 /nfs/dbraw/zinc/71/57/12/1054715712.db2.gz CUZHOXOFDZBERM-UHFFFAOYSA-N 0 3 316.489 4.003 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H]1CCCc2ccc(Cl)cc21 ZINC000623751679 1054720304 /nfs/dbraw/zinc/72/03/04/1054720304.db2.gz IQUDDVOREOZTDV-KRWDZBQOSA-N 0 3 315.848 4.118 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1n[nH]c3ccccc31)CCC2 ZINC000623751110 1054723089 /nfs/dbraw/zinc/72/30/89/1054723089.db2.gz JAIGDTULTDNSAB-INIZCTEOSA-N 0 3 311.816 4.384 20 0 DIADHN Clc1ccc2c(c1)[C@@H](NCc1[nH]nc3ccccc31)CCC2 ZINC000623751110 1054723101 /nfs/dbraw/zinc/72/31/01/1054723101.db2.gz JAIGDTULTDNSAB-INIZCTEOSA-N 0 3 311.816 4.384 20 0 DIADHN Cc1ccc(CN(C)Cc2cn(C)nc2-c2ccccc2)s1 ZINC000180036350 1054729838 /nfs/dbraw/zinc/72/98/38/1054729838.db2.gz BIZVVPQWWXGMOT-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN COc1ccccc1[C@@H](NCc1cccc(O)c1)C1CCCC1 ZINC000180081186 1054731657 /nfs/dbraw/zinc/73/16/57/1054731657.db2.gz ZIPRSHYZALUBST-FQEVSTJZSA-N 0 3 311.425 4.422 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]c2cnc(Cl)cc12 ZINC001235511266 1054734902 /nfs/dbraw/zinc/73/49/02/1054734902.db2.gz ATVTWCQNDQHQCP-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN CC(C)c1cnc(NC[C@H](c2ccc(F)cc2)N(C)C)s1 ZINC000498909928 1054735773 /nfs/dbraw/zinc/73/57/73/1054735773.db2.gz KLAFDNOJQZGQGI-CQSZACIVSA-N 0 3 307.438 4.120 20 0 DIADHN C[C@@H]1CCC(C)(C)N1Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001473544185 1054736207 /nfs/dbraw/zinc/73/62/07/1054736207.db2.gz NLENNQYEPOPFDS-OAHLLOKOSA-N 0 3 309.457 4.124 20 0 DIADHN Cc1cc(Cl)cc(CN2CCC[C@H](c3ccccn3)C2)c1 ZINC001235528888 1054737643 /nfs/dbraw/zinc/73/76/43/1054737643.db2.gz DMQLRZXQJODUIC-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN Cn1cccc1[C@H]1CCCCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000180205373 1054742720 /nfs/dbraw/zinc/74/27/20/1054742720.db2.gz GATDCMHPKQJVJM-LJQANCHMSA-N 0 3 320.440 4.142 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000180242833 1054745650 /nfs/dbraw/zinc/74/56/50/1054745650.db2.gz IMHANXFSINQHHC-IRXDYDNUSA-N 0 3 315.486 4.183 20 0 DIADHN CCOCCN[C@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000180314506 1054749588 /nfs/dbraw/zinc/74/95/88/1054749588.db2.gz XUAZSFPTPWYVMX-QGZVFWFLSA-N 0 3 321.367 4.004 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2ccc(Cl)cc2C)o1 ZINC001349433086 1054751751 /nfs/dbraw/zinc/75/17/51/1054751751.db2.gz FHUCQPFRJYYGSW-UHFFFAOYSA-N 0 3 321.804 4.302 20 0 DIADHN CN(Cc1cc(Cl)c(Cl)c(Cl)c1)CC1(C)COC1 ZINC001235600252 1054755603 /nfs/dbraw/zinc/75/56/03/1054755603.db2.gz DHUYYTQNFWZPBT-UHFFFAOYSA-N 0 3 308.636 4.115 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1c(C)cncc1Br ZINC001235641155 1054761397 /nfs/dbraw/zinc/76/13/97/1054761397.db2.gz BMNJKQVWPLNZHC-CYBMUJFWSA-N 0 3 311.267 4.307 20 0 DIADHN CCN(CC)[C@H](CNc1nc2ccccc2s1)c1ccco1 ZINC000519286832 1054767039 /nfs/dbraw/zinc/76/70/39/1054767039.db2.gz GGNFKEIAPSZWLX-CQSZACIVSA-N 0 3 315.442 4.384 20 0 DIADHN CC(C)(NCc1cnc2n1CCC2)c1ccc2ccccc2c1 ZINC000623803652 1054775795 /nfs/dbraw/zinc/77/57/95/1054775795.db2.gz NHUNWAUXLCSMJA-UHFFFAOYSA-N 0 3 305.425 4.007 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2nc3ccc(F)cc3[nH]2)cc1 ZINC001235690849 1054777475 /nfs/dbraw/zinc/77/74/75/1054777475.db2.gz FSXVKTIYTSKWDM-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2nc(CN3CCC(c4ccccc4)CC3)[nH]c2c1 ZINC001235686749 1054777663 /nfs/dbraw/zinc/77/76/63/1054777663.db2.gz BEOAXSOMUQYBES-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN C[C@H](c1cccnc1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000180804469 1054778220 /nfs/dbraw/zinc/77/82/20/1054778220.db2.gz DLQZOMLXTFIGKM-CYBMUJFWSA-N 0 3 324.346 4.172 20 0 DIADHN CCCc1csc(NC2CCN(Cc3ccccc3)CC2)n1 ZINC000523390364 1054778680 /nfs/dbraw/zinc/77/86/80/1054778680.db2.gz CUBUGTNTOGDOTR-UHFFFAOYSA-N 0 3 315.486 4.172 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCN1CCOCC1CC1 ZINC001349658005 1054781591 /nfs/dbraw/zinc/78/15/91/1054781591.db2.gz JDTYDJWRVSIEHE-INIZCTEOSA-N 0 3 313.363 4.269 20 0 DIADHN CCN(Cc1nc2ccc(F)cc2[nH]1)Cc1ccc(C)c(C)c1 ZINC001235696130 1054782862 /nfs/dbraw/zinc/78/28/62/1054782862.db2.gz BGBOIJJDOAVSBH-UHFFFAOYSA-N 0 3 311.404 4.341 20 0 DIADHN Cc1csc([C@@H](C)NC[C@H](c2cccs2)N2CCCC2)n1 ZINC000623848787 1054786154 /nfs/dbraw/zinc/78/61/54/1054786154.db2.gz KKNPDJMJKUFAJN-ZIAGYGMSSA-N 0 3 321.515 4.001 20 0 DIADHN Cc1ccc(-c2ncc(CNCC(C)(C)C(F)(F)F)s2)o1 ZINC001474122643 1054787396 /nfs/dbraw/zinc/78/73/96/1054787396.db2.gz FAUZMCITIOHEKW-UHFFFAOYSA-N 0 3 318.364 4.390 20 0 DIADHN COc1ccc([C@H](NCc2cc3ccc(C)cc3[nH]2)C2CC2)cn1 ZINC000623845935 1054787449 /nfs/dbraw/zinc/78/74/49/1054787449.db2.gz AZAXVSAUWKKVKF-HXUWFJFHSA-N 0 3 321.424 4.121 20 0 DIADHN COc1ccc([C@@H](NCc2cc3ccc(C)cc3[nH]2)C2CC2)cn1 ZINC000623845934 1054787759 /nfs/dbraw/zinc/78/77/59/1054787759.db2.gz AZAXVSAUWKKVKF-FQEVSTJZSA-N 0 3 321.424 4.121 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc(Br)ccc2C)cn1 ZINC000623865974 1054795026 /nfs/dbraw/zinc/79/50/26/1054795026.db2.gz HHQRJXQFDCLVFZ-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@@H](OCCCN[C@H]1CCCc2scnc21)c1ccccc1 ZINC000623854289 1054796665 /nfs/dbraw/zinc/79/66/65/1054796665.db2.gz XXPFNRIUAWJBCS-ZBFHGGJFSA-N 0 3 316.470 4.278 20 0 DIADHN Cc1cc(Br)cc(C)c1CN(C)C1CC(F)(F)C1 ZINC001235779859 1054803308 /nfs/dbraw/zinc/80/33/08/1054803308.db2.gz OAMXTDCNPSNWIU-UHFFFAOYSA-N 0 3 318.205 4.295 20 0 DIADHN CN(CCCCN[C@@H]1CCCc2scnc21)c1ccccc1 ZINC000623871268 1054805323 /nfs/dbraw/zinc/80/53/23/1054805323.db2.gz ZKRLVZPWFIYPQC-MRXNPFEDSA-N 0 3 315.486 4.027 20 0 DIADHN Clc1ccc([C@@H]2CN(Cc3cccc(Cl)c3)CCN2)cc1 ZINC001235790691 1054805518 /nfs/dbraw/zinc/80/55/18/1054805518.db2.gz QHSDDLZAGYZXIS-KRWDZBQOSA-N 0 3 321.251 4.140 20 0 DIADHN COc1ccc([C@H](C)CCN[C@H]2CCCc3scnc32)cc1 ZINC000623898918 1054807084 /nfs/dbraw/zinc/80/70/84/1054807084.db2.gz VOWMEKIBFJNCQP-CJNGLKHVSA-N 0 3 316.470 4.313 20 0 DIADHN CCOc1cc(CN(C)Cc2cccc3cccnc32)ccc1F ZINC001235802430 1054807151 /nfs/dbraw/zinc/80/71/51/1054807151.db2.gz WSGMUEYLXDCIOX-UHFFFAOYSA-N 0 3 324.399 4.405 20 0 DIADHN Cc1cccc2c(CCN[C@@H]3CCCc4scnc43)c[nH]c21 ZINC000623898220 1054807626 /nfs/dbraw/zinc/80/76/26/1054807626.db2.gz CJNXBFQGLBWNIW-OAHLLOKOSA-N 0 3 311.454 4.143 20 0 DIADHN C[C@@H](CN[C@@H]1CCCc2scnc21)c1ccc(F)cc1F ZINC000623900831 1054807786 /nfs/dbraw/zinc/80/77/86/1054807786.db2.gz SUSSQRGIDPXFHU-IINYFYTJSA-N 0 3 308.397 4.192 20 0 DIADHN Cc1csc([C@@H](C)NCCc2nc(C(C)(C)C)cs2)n1 ZINC000623889946 1054809386 /nfs/dbraw/zinc/80/93/86/1054809386.db2.gz ZZJRKVSYWDZTAE-LLVKDONJSA-N 0 3 309.504 4.099 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@@H]3CCCc4scnc43)c21 ZINC000623886174 1054809761 /nfs/dbraw/zinc/80/97/61/1054809761.db2.gz VFQCJKXBZOGGRF-OAHLLOKOSA-N 0 3 311.454 4.143 20 0 DIADHN c1nc2c(s1)CCC[C@@H]2NCCCc1c[nH]c2ccccc12 ZINC000623890665 1054809784 /nfs/dbraw/zinc/80/97/84/1054809784.db2.gz SMDUTHNUHMQQAG-INIZCTEOSA-N 0 3 311.454 4.224 20 0 DIADHN CCOc1cc(CN2CCC3(CC[C@@H](F)C3)CC2)ccc1F ZINC001235806811 1054809786 /nfs/dbraw/zinc/80/97/86/1054809786.db2.gz NIQWMFIPRHDVMM-OAHLLOKOSA-N 0 3 309.400 4.329 20 0 DIADHN Cc1csc([C@@H](C)NC[C@H](c2ccc(Cl)cc2)N(C)C)n1 ZINC000623903142 1054813039 /nfs/dbraw/zinc/81/30/39/1054813039.db2.gz ZJGFIUQMMBQOSE-IUODEOHRSA-N 0 3 323.893 4.058 20 0 DIADHN Cc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)cnc1Br ZINC001235829353 1054814478 /nfs/dbraw/zinc/81/44/78/1054814478.db2.gz FOGBEICYEBKQLW-HUUCEWRRSA-N 0 3 323.278 4.165 20 0 DIADHN CN(Cc1ccc2c(c1)NCC2)Cc1ccccc1C(F)(F)F ZINC001235839466 1054818883 /nfs/dbraw/zinc/81/88/83/1054818883.db2.gz SMBIUCOLGBFSBK-UHFFFAOYSA-N 0 3 320.358 4.305 20 0 DIADHN C[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)[C@@H]1CCCCO1 ZINC000623927311 1054818938 /nfs/dbraw/zinc/81/89/38/1054818938.db2.gz CTUBZCQIUQFSBI-GLQYFDAESA-N 0 3 319.342 4.366 20 0 DIADHN Cc1nc2cc(CN(C)Cc3ccc(F)cc3)ccc2s1 ZINC001235851552 1054823967 /nfs/dbraw/zinc/82/39/67/1054823967.db2.gz AVJVUKSTJYYZLM-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN Cc1cncc(CN[C@@H](C)c2cc(Br)ccc2C)c1 ZINC000623925589 1054828521 /nfs/dbraw/zinc/82/85/21/1054828521.db2.gz JYISWNGBKQWGEO-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](NC[C@@H]1CCCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623958521 1054837420 /nfs/dbraw/zinc/83/74/20/1054837420.db2.gz PUSZCUROWBRWCZ-HOCLYGCPSA-N 0 3 315.486 4.023 20 0 DIADHN Cc1oc2ccccc2c1CCN[C@H]1CCCc2scnc21 ZINC000623961594 1054838162 /nfs/dbraw/zinc/83/81/62/1054838162.db2.gz IUGDJDATDAEWRZ-HNNXBMFYSA-N 0 3 312.438 4.407 20 0 DIADHN Cc1csc([C@@H](C)NC[C@@H]2CCCN(c3ccccc3)C2)n1 ZINC000623972940 1054841425 /nfs/dbraw/zinc/84/14/25/1054841425.db2.gz CMUNKRKWLBEXHQ-CVEARBPZSA-N 0 3 315.486 4.019 20 0 DIADHN CCC[C@H](NCc1ccc(C)n(C)c1=O)c1cc(C)sc1C ZINC000623972117 1054841800 /nfs/dbraw/zinc/84/18/00/1054841800.db2.gz SAZSMOSWURKNOO-KRWDZBQOSA-N 0 3 318.486 4.003 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](N[C@@H]2CCCc3scnc32)C1 ZINC000623976635 1054844069 /nfs/dbraw/zinc/84/40/69/1054844069.db2.gz QEWNJPALPNASJE-LALPHHSUSA-N 0 3 302.418 4.195 20 0 DIADHN Fc1cccc([C@H]2C[C@H](N[C@H]3CCCc4scnc43)C2)c1 ZINC000623977527 1054844543 /nfs/dbraw/zinc/84/45/43/1054844543.db2.gz URWOPGRUGIMLEZ-QEJZJMRPSA-N 0 3 302.418 4.195 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@H]3CCCc4scnc43)C2)c1 ZINC000623996219 1054850864 /nfs/dbraw/zinc/85/08/64/1054850864.db2.gz XKPJCEHLDXDAQF-DZKIICNBSA-N 0 3 314.454 4.065 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@@H](C)c3nc(C)cs3)C2)c1 ZINC000623996970 1054850985 /nfs/dbraw/zinc/85/09/85/1054850985.db2.gz YFRBLXRNPRXXSF-QEJZJMRPSA-N 0 3 302.443 4.057 20 0 DIADHN Oc1ccc2c(c1)[C@@H](N[C@@H]1CCc3cc(F)c(Cl)cc31)CC2 ZINC000624013620 1054857138 /nfs/dbraw/zinc/85/71/38/1054857138.db2.gz FANZGVPMHVTLHB-ZWKOTPCHSA-N 0 3 317.791 4.449 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCc2cc(F)c(Cl)cc21)c1ccccc1 ZINC000624016908 1054857686 /nfs/dbraw/zinc/85/76/86/1054857686.db2.gz KBOXRSJZODVREY-PDSMFRHLSA-N 0 3 319.807 4.178 20 0 DIADHN Fc1cccc([C@H](NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c2ccccn2)c1 ZINC000624032838 1054862325 /nfs/dbraw/zinc/86/23/25/1054862325.db2.gz BKIIBJDGVQNAKP-UOSKARGWSA-N 0 3 310.416 4.336 20 0 DIADHN Cn1ncc(C(F)(F)F)c1CN[C@H](CC1CC1)c1ccccc1 ZINC000624052590 1054865798 /nfs/dbraw/zinc/86/57/98/1054865798.db2.gz JINSJTZNEHKZON-OAHLLOKOSA-N 0 3 323.362 4.070 20 0 DIADHN CCC[C@@H](NCc1c(C(F)(F)F)cnn1C)c1ccccc1 ZINC000624051673 1054867421 /nfs/dbraw/zinc/86/74/21/1054867421.db2.gz JYEGWFGEYFQOJO-CQSZACIVSA-N 0 3 311.351 4.070 20 0 DIADHN CC[C@@H](NC[C@H](n1cccn1)C(F)(F)F)c1cccc(C)c1 ZINC000624044248 1054867437 /nfs/dbraw/zinc/86/74/37/1054867437.db2.gz LKFOYXXRGMBXJD-CABCVRRESA-N 0 3 311.351 4.036 20 0 DIADHN CC(C)CC[C@H](CO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000624110376 1054878964 /nfs/dbraw/zinc/87/89/64/1054878964.db2.gz XADHQOVEXKCPST-GDBMZVCRSA-N 0 3 318.486 4.258 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ncnc2ccccc21 ZINC001236002360 1054888101 /nfs/dbraw/zinc/88/81/01/1054888101.db2.gz ICRAHNFQUXQZQM-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1cc(F)cnc1-c1cccc(CN2CCCCC2)c1F ZINC001236002808 1054888246 /nfs/dbraw/zinc/88/82/46/1054888246.db2.gz NPXBJBKTRBBHNG-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1cc2ncnc(-c3cccc(CN4CCCCC4)c3F)c2[nH]1 ZINC001236005549 1054890643 /nfs/dbraw/zinc/89/06/43/1054890643.db2.gz UEPGXQRSVDDYDL-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nncc2ccoc21 ZINC001236006983 1054890867 /nfs/dbraw/zinc/89/08/67/1054890867.db2.gz VYCLVERJYVUVCQ-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN CCc1cc(-c2cccc(CN3CCCCC3)c2F)nc(C)n1 ZINC001236006280 1054890893 /nfs/dbraw/zinc/89/08/93/1054890893.db2.gz YEJIATIFJDUHNM-UHFFFAOYSA-N 0 3 313.420 4.139 20 0 DIADHN Cc1nc(C)c(F)c(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236007077 1054891100 /nfs/dbraw/zinc/89/11/00/1054891100.db2.gz XANGEZLRXWKETL-UHFFFAOYSA-N 0 3 317.383 4.025 20 0 DIADHN CCCc1cncnc1-c1cccc(CN2CCCCC2)c1F ZINC001236003710 1054891113 /nfs/dbraw/zinc/89/11/13/1054891113.db2.gz FIROKKPXXNPJRX-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN CCOc1ccnc(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236004731 1054891351 /nfs/dbraw/zinc/89/13/51/1054891351.db2.gz OKHKNTBWHMCUNH-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CCCc1ccc(-c2cccc(CN3CCCCC3)c2F)nn1 ZINC001236006092 1054891513 /nfs/dbraw/zinc/89/15/13/1054891513.db2.gz QTJDCGYRGOOZAI-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN CCC(F)(F)C(C)(C)CN[C@@H](C)c1nc2ccccc2n1C ZINC000624154965 1054892042 /nfs/dbraw/zinc/89/20/42/1054892042.db2.gz APSUXHUGVYTSFO-LBPRGKRZSA-N 0 3 309.404 4.295 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2cncnc2c1 ZINC001236010753 1054894960 /nfs/dbraw/zinc/89/49/60/1054894960.db2.gz FHJZPIWAPPWKIN-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1ncc(-c2cccc(CN3CCCCC3)c2F)cc1F ZINC001236012184 1054896454 /nfs/dbraw/zinc/89/64/54/1054896454.db2.gz NATCECJYCQILEG-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cccc2nccn21 ZINC001236013010 1054897039 /nfs/dbraw/zinc/89/70/39/1054897039.db2.gz XQPZTTAWZIUWHB-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN C[C@H](N[C@@H]1CC(C)(C)OC1(C)C)c1nc2c(s1)CCCC2 ZINC000180977931 1054897291 /nfs/dbraw/zinc/89/72/91/1054897291.db2.gz FWLGOSBDXVIXON-SMDDNHRTSA-N 0 3 308.491 4.019 20 0 DIADHN COc1cc(C)c(-c2cccc(CN3CCCCC3)c2F)cn1 ZINC001236012695 1054897693 /nfs/dbraw/zinc/89/76/93/1054897693.db2.gz SFMHZKVRLPIPBA-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1ccnc(F)c1-c1cccc(CN2CCCCC2)c1F ZINC001236014798 1054897874 /nfs/dbraw/zinc/89/78/74/1054897874.db2.gz GOSVHIIPHNDUOL-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cnc2cc[nH]c2c1 ZINC001236013047 1054898523 /nfs/dbraw/zinc/89/85/23/1054898523.db2.gz ZJHUMBVOHJFHKG-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Fc1ccc(F)c(-c2cccc(CN3CCCCC3)c2F)n1 ZINC001236016022 1054901059 /nfs/dbraw/zinc/90/10/59/1054901059.db2.gz SFLQJJYEWXXYDP-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC(C)NC(=O)CCN[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000181464943 1054915187 /nfs/dbraw/zinc/91/51/87/1054915187.db2.gz DSEISOXYWJZLFF-AWEZNQCLSA-N 0 3 324.424 4.151 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](CC2CCC2)c2ccccc2)c1 ZINC000181585634 1054923119 /nfs/dbraw/zinc/92/31/19/1054923119.db2.gz HCGWTMDQEZSQAB-FQEVSTJZSA-N 0 3 322.452 4.067 20 0 DIADHN C[C@H](N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1ccc(-c2ccccc2)cn1 ZINC000624183698 1054923201 /nfs/dbraw/zinc/92/32/01/1054923201.db2.gz ZYZXSSWRAVELNM-YPTDYUDTSA-N 0 3 310.441 4.211 20 0 DIADHN C[C@]1(CN[C@H](c2ccccc2)c2ccc(F)cn2)C[C@H]2C[C@H]2C1 ZINC000624200305 1054933944 /nfs/dbraw/zinc/93/39/44/1054933944.db2.gz JBKUXQNFOPYDTK-GJJHYRHESA-N 0 3 310.416 4.336 20 0 DIADHN CC(C)CC[C@@H](NCc1nnc(C2CC2)n1C1CC1)C(C)(C)C ZINC000181764956 1054935910 /nfs/dbraw/zinc/93/59/10/1054935910.db2.gz ZEUHQQMMQOSRAW-MRXNPFEDSA-N 0 3 318.509 4.431 20 0 DIADHN Nc1ccc(CN2CCC(Sc3ccccc3)CC2)cc1F ZINC001236090282 1054938037 /nfs/dbraw/zinc/93/80/37/1054938037.db2.gz JFKKUBICIYVNSA-UHFFFAOYSA-N 0 3 316.445 4.165 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2ccc(Cl)cc21)c1cnn(C)c1 ZINC000624220189 1054967365 /nfs/dbraw/zinc/96/73/65/1054967365.db2.gz MFSKRUCIBONAPI-SJORKVTESA-N 0 3 303.837 4.192 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3cc(Cl)ccc32)cc1 ZINC000182185585 1054967830 /nfs/dbraw/zinc/96/78/30/1054967830.db2.gz CSHQTYLRWHNTRE-KRWDZBQOSA-N 0 3 317.816 4.352 20 0 DIADHN Cc1ccc([C@H](C)NCc2cccc(C(=O)NCC(C)C)c2)cc1 ZINC000182318254 1054980714 /nfs/dbraw/zinc/98/07/14/1054980714.db2.gz BUEVXPPJFIFSIQ-KRWDZBQOSA-N 0 3 324.468 4.232 20 0 DIADHN Cc1sc(NC(=O)CN[C@H](C)c2ccc(C)cc2)c(C)c1C ZINC000182343786 1054981657 /nfs/dbraw/zinc/98/16/57/1054981657.db2.gz GRLGLVQJAVNRLR-CQSZACIVSA-N 0 3 316.470 4.271 20 0 DIADHN CCOc1ccnc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c1 ZINC001236189220 1054985554 /nfs/dbraw/zinc/98/55/54/1054985554.db2.gz CBLYNVNJXAIRJQ-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN CCCn1cc(CN2CCC[C@H]2c2c[nH]c3ccccc23)cn1 ZINC001236190968 1054986427 /nfs/dbraw/zinc/98/64/27/1054986427.db2.gz ILPKYFNHNFMPNY-IBGZPJMESA-N 0 3 308.429 4.112 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCCc3cc(F)ccc32)cn1 ZINC000182472576 1054990221 /nfs/dbraw/zinc/99/02/21/1054990221.db2.gz CLAXSQANVPUAAK-SFHVURJKSA-N 0 3 314.404 4.175 20 0 DIADHN C[C@@H](C(=O)N1CCN(CC2CCCCC2)CC1)[C@H](C)C(C)(C)C ZINC001535620465 1054992459 /nfs/dbraw/zinc/99/24/59/1054992459.db2.gz XPVUGKZCZLGUTO-SJORKVTESA-N 0 3 322.537 4.029 20 0 DIADHN Nc1cccc(F)c1CN1CCC[C@H]1c1c[nH]c2ccccc21 ZINC001236195339 1054994373 /nfs/dbraw/zinc/99/43/73/1054994373.db2.gz YZNXETUFWRISGL-IBGZPJMESA-N 0 3 309.388 4.226 20 0 DIADHN Nc1cccc(F)c1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236195340 1054995788 /nfs/dbraw/zinc/99/57/88/1054995788.db2.gz YZNXETUFWRISGL-LJQANCHMSA-N 0 3 309.388 4.226 20 0 DIADHN CC[C@H](NCCc1cc(Cl)cc(Cl)c1)c1nccn1C ZINC000182631123 1054999482 /nfs/dbraw/zinc/99/94/82/1054999482.db2.gz RJDSPNPKFWAODB-AWEZNQCLSA-N 0 3 312.244 4.010 20 0 DIADHN CC[C@H](NCc1ccc[nH]c1=O)c1ccc2cc(OC)ccc2c1 ZINC000624257933 1055012559 /nfs/dbraw/zinc/01/25/59/1055012559.db2.gz VRAXEBUMTWCUPW-IBGZPJMESA-N 0 3 322.408 4.190 20 0 DIADHN CC(C)[C@H](NCc1ccc[nH]c1=O)c1ccc(C(F)(F)F)cc1 ZINC000624258468 1055016883 /nfs/dbraw/zinc/01/68/83/1055016883.db2.gz KSEQLSMYFUQACE-HNNXBMFYSA-N 0 3 324.346 4.293 20 0 DIADHN c1ccc2c(c1)sc1c2cccc1-c1ncc2c(n1)CNCC2 ZINC001236225475 1055017438 /nfs/dbraw/zinc/01/74/38/1055017438.db2.gz HTQWITLHHZQEGW-UHFFFAOYSA-N 0 3 317.417 4.157 20 0 DIADHN Fc1cc(-c2ncnc3ccccc32)ccc1CN1CCCCC1 ZINC001236231480 1055020877 /nfs/dbraw/zinc/02/08/77/1055020877.db2.gz BNNPQTSNDSACSO-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cc(-c2nccc3cc[nH]c32)ccc1CN1CCCCC1 ZINC001236232742 1055021475 /nfs/dbraw/zinc/02/14/75/1055021475.db2.gz KIPWLHZMZNWIIV-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1cc2ncnc(-c3ccc(CN4CCCCC4)c(F)c3)c2[nH]1 ZINC001236233369 1055023139 /nfs/dbraw/zinc/02/31/39/1055023139.db2.gz RGTYELDVWJRGAQ-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Fc1cc(-c2ccc3cc[nH]c3n2)ccc1CN1CCCCC1 ZINC001236235107 1055023381 /nfs/dbraw/zinc/02/33/81/1055023381.db2.gz ULIDARCBHFMILE-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1cc(CN2CCc3ccc(N)cc3C2)cc(C)c1OC(C)C ZINC001236233471 1055025010 /nfs/dbraw/zinc/02/50/10/1055025010.db2.gz JDBRJQVHAZYAFQ-UHFFFAOYSA-N 0 3 324.468 4.231 20 0 DIADHN Cc1nnc(-c2ccc(CN3CCCCC3)c(F)c2)c(C)c1C ZINC001236246230 1055029449 /nfs/dbraw/zinc/02/94/49/1055029449.db2.gz ZKJKWOUZUJNUGM-UHFFFAOYSA-N 0 3 313.420 4.194 20 0 DIADHN COc1ccnc(-c2ccc(CN3CCCCC3)c(F)c2)c1F ZINC001236244804 1055029985 /nfs/dbraw/zinc/02/99/85/1055029985.db2.gz NMOWMQPFAPVUMS-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCCc1nccnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236248077 1055030699 /nfs/dbraw/zinc/03/06/99/1055030699.db2.gz CWNRZODQOCMJGQ-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Fc1cc(-c2cnc3ncoc3c2)ccc1CN1CCCCC1 ZINC001236249235 1055030931 /nfs/dbraw/zinc/03/09/31/1055030931.db2.gz QFGPMLWJTMHQRX-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Cc1cn2cc(-c3ccc(CN4CCCCC4)c(F)c3)ccc2n1 ZINC001236248911 1055031021 /nfs/dbraw/zinc/03/10/21/1055031021.db2.gz LDZSLTAUKYKKRC-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Cc1cc2nccn2cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236248669 1055031739 /nfs/dbraw/zinc/03/17/39/1055031739.db2.gz JGWIWQKHHNSLNJ-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Fc1cc(-c2nc(F)c(F)cc2F)ccc1CN1CCCCC1 ZINC001236248175 1055031828 /nfs/dbraw/zinc/03/18/28/1055031828.db2.gz FSMUQFVJGJWWEN-UHFFFAOYSA-N 0 3 324.321 4.291 20 0 DIADHN Cn1ccc2c1nccc2-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236250561 1055032953 /nfs/dbraw/zinc/03/29/53/1055032953.db2.gz HMMDSICKAUCVLC-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN COc1cccc(N)c1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236249682 1055033562 /nfs/dbraw/zinc/03/35/62/1055033562.db2.gz AVLHHZNIIAYZEQ-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN Fc1cc(-c2ccc3c(c2)CCN3)ccc1CN1CCCCC1 ZINC001236249327 1055034440 /nfs/dbraw/zinc/03/44/40/1055034440.db2.gz STNHFZMDXVWENY-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Fc1ccnc(F)c1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236251639 1055035444 /nfs/dbraw/zinc/03/54/44/1055035444.db2.gz UBLOZPUOVKVCQH-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@@H]2N[C@@H](C)c1cccc(CO)c1 ZINC000183229228 1055035497 /nfs/dbraw/zinc/03/54/97/1055035497.db2.gz RKKSBNDBOZIWEE-KSSFIOAISA-N 0 3 313.441 4.445 20 0 DIADHN Cc1c(F)cncc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236251631 1055035693 /nfs/dbraw/zinc/03/56/93/1055035693.db2.gz TXVFMKUOZCXJGH-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN C[C@H](CN(C)Cc1cnc(C2CCCC2)s1)c1nccs1 ZINC000183259829 1055036969 /nfs/dbraw/zinc/03/69/69/1055036969.db2.gz UACHNSBXXQOCHR-GFCCVEGCSA-N 0 3 321.515 4.493 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1F)[C@@H]1COc2ccccc21 ZINC000183314721 1055040144 /nfs/dbraw/zinc/04/01/44/1055040144.db2.gz CMTYOPWHLNBDSV-ZOWXZIJZSA-N 0 3 303.352 4.180 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCO[C@@H]2c2ccc(Cl)cc2)o1 ZINC000183516540 1055048078 /nfs/dbraw/zinc/04/80/78/1055048078.db2.gz IMIRMTIGTRDHOJ-YLQAJVPDSA-N 0 3 305.805 4.422 20 0 DIADHN Cc1nc(C)c(CCN[C@@H](c2ccco2)c2ccccc2)s1 ZINC000183566473 1055050812 /nfs/dbraw/zinc/05/08/12/1055050812.db2.gz RYMGNRVQUYBZNS-GOSISDBHSA-N 0 3 312.438 4.275 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2cccc(C)c2C)s1 ZINC000183728333 1055057791 /nfs/dbraw/zinc/05/77/91/1055057791.db2.gz SSEMLHYGTXSTRL-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN Clc1cc2c(c(CNCc3cccc4[nH]ccc43)c1)OCC2 ZINC000184137666 1055068565 /nfs/dbraw/zinc/06/85/65/1055068565.db2.gz LFKTUBRXUHJPPF-UHFFFAOYSA-N 0 3 312.800 4.046 20 0 DIADHN CC(C)(C)Oc1ccc(CN2CCc3c(N)cccc3C2)cc1 ZINC001236365288 1055073891 /nfs/dbraw/zinc/07/38/91/1055073891.db2.gz HMTYXDHBDYTIFL-UHFFFAOYSA-N 0 3 310.441 4.004 20 0 DIADHN CC[C@@H](NCCSc1ccc(F)cc1)c1nc(C)cs1 ZINC000184376757 1055074336 /nfs/dbraw/zinc/07/43/36/1055074336.db2.gz IHCJFTQJCWSQMR-CQSZACIVSA-N 0 3 310.463 4.424 20 0 DIADHN COC[C@H](N[C@H](C)CCSc1ccccc1)c1ccco1 ZINC000184595584 1055078648 /nfs/dbraw/zinc/07/86/48/1055078648.db2.gz UHPOQHLVGRTIAJ-ZBFHGGJFSA-N 0 3 305.443 4.128 20 0 DIADHN c1ccc(C2CC(NCc3nc4ccccc4n3C3CC3)C2)cc1 ZINC000184785885 1055080796 /nfs/dbraw/zinc/08/07/96/1055080796.db2.gz JAVOJQKTMKUCJH-UHFFFAOYSA-N 0 3 317.436 4.407 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1nc(-c2cccnc2)no1)C1CCC1 ZINC000184889604 1055083585 /nfs/dbraw/zinc/08/35/85/1055083585.db2.gz XJJDNSUQBQSQJU-BBRMVZONSA-N 0 3 314.433 4.141 20 0 DIADHN Cc1cccc(-c2cccc(C(=O)N(CCN(C)C)C(C)C)c2)c1 ZINC001536336204 1055085828 /nfs/dbraw/zinc/08/58/28/1055085828.db2.gz JPSOJRUCOZLBEB-UHFFFAOYSA-N 0 3 324.468 4.074 20 0 DIADHN CCN(CC)Cc1ccc(-c2cncc(OC)c2C)cc1F ZINC001236390432 1055090877 /nfs/dbraw/zinc/09/08/77/1055090877.db2.gz FAPBNOPTVZAECQ-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN C[C@@H](NCc1nnc(C2CC2)n1C1CC1)[C@@H](C)C1CCCCC1 ZINC000185512193 1055093573 /nfs/dbraw/zinc/09/35/73/1055093573.db2.gz WTVWHTCSHUVFMR-ZIAGYGMSSA-N 0 3 316.493 4.185 20 0 DIADHN Cc1ccc(F)c(-c2ccc(F)c(CN3CCCC3)c2)c1O ZINC001236414247 1055104200 /nfs/dbraw/zinc/10/42/00/1055104200.db2.gz HXHKLZMJICMBOW-UHFFFAOYSA-N 0 3 303.352 4.242 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000194374496 1055121840 /nfs/dbraw/zinc/12/18/40/1055121840.db2.gz UDCPDGNXBZSEKL-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN CCCN(Cc1ccc(C(C)C)nc1Cl)C1CCOCC1 ZINC001238731584 1055131816 /nfs/dbraw/zinc/13/18/16/1055131816.db2.gz PWCMZVLLGNQGCZ-UHFFFAOYSA-N 0 3 310.869 4.249 20 0 DIADHN COc1c(F)nccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472283 1055132360 /nfs/dbraw/zinc/13/23/60/1055132360.db2.gz QQUMFFIDARHEAR-SJORKVTESA-N 0 3 324.399 4.050 20 0 DIADHN CSc1ncc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001236473077 1055133278 /nfs/dbraw/zinc/13/32/78/1055133278.db2.gz CSGVSKPMVCLVBK-MSOLQXFVSA-N 0 3 323.465 4.019 20 0 DIADHN Nc1ccc(F)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236474434 1055133897 /nfs/dbraw/zinc/13/38/97/1055133897.db2.gz IUXQMDINMHJHEI-MSOLQXFVSA-N 0 3 308.400 4.228 20 0 DIADHN Cc1c(F)cncc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236475203 1055135204 /nfs/dbraw/zinc/13/52/04/1055135204.db2.gz MVOHPIRJLVWUOY-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccc(OC)c(F)c2)cc1 ZINC000195039195 1055143191 /nfs/dbraw/zinc/14/31/91/1055143191.db2.gz RUYQNKRAJASOBG-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN CC[C@@H](NCc1ccc(NC(C)=O)cc1)c1ccc(F)cc1 ZINC000195038865 1055143382 /nfs/dbraw/zinc/14/33/82/1055143382.db2.gz QHSXODDPACWDHS-GOSISDBHSA-N 0 3 300.377 4.025 20 0 DIADHN CC[C@@H](C)CN(CC)[C@@H](C)c1nnc(-c2cccc(C)c2)o1 ZINC000764283273 1055146868 /nfs/dbraw/zinc/14/68/68/1055146868.db2.gz PMTDWUNSAOYAEQ-HIFRSBDPSA-N 0 3 301.434 4.474 20 0 DIADHN Cc1cc(CNCc2ccc(Br)c(C)c2)cs1 ZINC000381109412 1055149708 /nfs/dbraw/zinc/14/97/08/1055149708.db2.gz BSXBDTVGTONSTR-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN NCc1ccc(-c2ccc(OCc3ccccc3)cc2Cl)cn1 ZINC001236514364 1055156607 /nfs/dbraw/zinc/15/66/07/1055156607.db2.gz BRSNNOGCQJLJGK-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN CSCCN1CCC(C(=O)c2ccc(CC(C)C)cc2)CC1 ZINC000195587198 1055157953 /nfs/dbraw/zinc/15/79/53/1055157953.db2.gz HSCWBXNVUNEXGH-UHFFFAOYSA-N 0 3 319.514 4.143 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)NCC2CCCCC2)c(C)s1 ZINC000195585745 1055158319 /nfs/dbraw/zinc/15/83/19/1055158319.db2.gz QRBWVCVOLATYDD-UONOGXRCSA-N 0 3 322.518 4.101 20 0 DIADHN COc1ccc([C@H](NC[C@H](OC)C(C)C)c2ccccc2)cc1 ZINC000381679018 1055175587 /nfs/dbraw/zinc/17/55/87/1055175587.db2.gz BIWOYUICIMQCKH-VQTJNVASSA-N 0 3 313.441 4.045 20 0 DIADHN C[C@H]1CN(Cc2ccnc(Cl)c2)CC[C@@H]1c1ccccc1 ZINC000741806915 1055179341 /nfs/dbraw/zinc/17/93/41/1055179341.db2.gz FTRSTEKQVACDIK-YOEHRIQHSA-N 0 3 300.833 4.361 20 0 DIADHN FC(F)(F)c1cc(CN2CCC3(CC3)CC2)cnc1Cl ZINC001236629524 1055206965 /nfs/dbraw/zinc/20/69/65/1055206965.db2.gz RGOHKQPILACLBU-UHFFFAOYSA-N 0 3 304.743 4.130 20 0 DIADHN CN(Cc1cnc(Cl)c(C(F)(F)F)c1)C[C@@H]1CC1(C)C ZINC001236627372 1055207104 /nfs/dbraw/zinc/20/71/04/1055207104.db2.gz ZJGWBOLYDHTDHM-JTQLQIEISA-N 0 3 306.759 4.232 20 0 DIADHN COc1ccc2sc(CN(C)Cc3cccc(O)c3)cc2c1 ZINC001236656325 1055215172 /nfs/dbraw/zinc/21/51/72/1055215172.db2.gz QXPWRUFBFYPCIX-UHFFFAOYSA-N 0 3 313.422 4.248 20 0 DIADHN CCN(CCN[C@@H](C)c1cccnc1Cl)c1cccc(C)c1 ZINC000764615735 1055223507 /nfs/dbraw/zinc/22/35/07/1055223507.db2.gz LZFVDSFXTUWERM-HNNXBMFYSA-N 0 3 317.864 4.221 20 0 DIADHN CCN(CCCN[C@@H](C)c1cccnc1Cl)c1ccccc1 ZINC000764684704 1055235494 /nfs/dbraw/zinc/23/54/94/1055235494.db2.gz PLNDBKRGRJUJHN-HNNXBMFYSA-N 0 3 317.864 4.302 20 0 DIADHN CCCCC[C@H](CC)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001587215231 1055241446 /nfs/dbraw/zinc/24/14/46/1055241446.db2.gz RKPZVTIWVVVZDU-WMZOPIPTSA-N 0 3 304.478 4.012 20 0 DIADHN Cc1c(F)ccc(CN2CCC[C@H]2Cc2ccccn2)c1Cl ZINC001236719803 1055242114 /nfs/dbraw/zinc/24/21/14/1055242114.db2.gz UGORHUOKFQZROW-INIZCTEOSA-N 0 3 318.823 4.390 20 0 DIADHN Cc1ccccc1N1CCN(Cc2cccc3occc32)CC1 ZINC001236734007 1055244315 /nfs/dbraw/zinc/24/43/15/1055244315.db2.gz DQECYDACOKXKQF-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(F)cc(C(=O)OC)c1 ZINC001236778730 1055254250 /nfs/dbraw/zinc/25/42/50/1055254250.db2.gz LVBIAMMFTTUSSX-UHFFFAOYSA-N 0 3 315.388 4.025 20 0 DIADHN C[C@H](OC(=O)c1cccc(CN(C)Cc2ccccc2)c1)C1CC1 ZINC001127802625 1055278381 /nfs/dbraw/zinc/27/83/81/1055278381.db2.gz ZQGXIQREQQCIMM-INIZCTEOSA-N 0 3 323.436 4.274 20 0 DIADHN CCCC(CCC)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001587440673 1055296389 /nfs/dbraw/zinc/29/63/89/1055296389.db2.gz RSLFGMHCVDTGLK-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN Clc1cc2ccccc2nc1CN1CC[C@H](c2cccnc2)C1 ZINC001236873435 1055298218 /nfs/dbraw/zinc/29/82/18/1055298218.db2.gz FWXQSXNMDPAMBG-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN C[C@](O)(CNCc1cscc1Cl)c1cccc(Cl)c1 ZINC000764979658 1055303538 /nfs/dbraw/zinc/30/35/38/1055303538.db2.gz MXXHENBABDNMPD-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN Clc1cc(-n2ccnc2)ccc1CN1CCCC2(CCC2)C1 ZINC001236918245 1055316539 /nfs/dbraw/zinc/31/65/39/1055316539.db2.gz IPQWYMBLPOBNDI-UHFFFAOYSA-N 0 3 315.848 4.292 20 0 DIADHN CN(Cc1ccc(-n2ccnc2)cc1Cl)C[C@H]1CC1(C)C ZINC001236915893 1055319408 /nfs/dbraw/zinc/31/94/08/1055319408.db2.gz GOGHQDLOQUTSCG-CQSZACIVSA-N 0 3 303.837 4.004 20 0 DIADHN Nc1cc(CN2CCC(c3csc4ccccc43)CC2)ccn1 ZINC001236950266 1055320158 /nfs/dbraw/zinc/32/01/58/1055320158.db2.gz KRGZDVUHBZVARM-UHFFFAOYSA-N 0 3 323.465 4.258 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](C)c2ccc3c(c2)COC3)c1 ZINC000765093783 1055326864 /nfs/dbraw/zinc/32/68/64/1055326864.db2.gz BWDIYGLYOBDIIW-HNNXBMFYSA-N 0 3 311.425 4.355 20 0 DIADHN CC(C)Oc1cccc(CN[C@H](C)c2ccc3c(c2)COC3)c1 ZINC000765093784 1055327602 /nfs/dbraw/zinc/32/76/02/1055327602.db2.gz BWDIYGLYOBDIIW-OAHLLOKOSA-N 0 3 311.425 4.355 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@H]4OCCC[C@H]4C3)c2)cc1 ZINC001236991265 1055329063 /nfs/dbraw/zinc/32/90/63/1055329063.db2.gz MBMRAIOLNVPJCM-FPOVZHCZSA-N 0 3 322.452 4.058 20 0 DIADHN CCOC1CCN(Cc2cncc(-c3ccc(C)cc3)c2)CC1 ZINC001236994952 1055332896 /nfs/dbraw/zinc/33/28/96/1055332896.db2.gz YUAHHGXMSPDZNQ-UHFFFAOYSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1ccc(-c2cncc(CN3CCC(OC(C)C)CC3)c2)cc1 ZINC001236995147 1055333508 /nfs/dbraw/zinc/33/35/08/1055333508.db2.gz ZWSCLQULQZJRJO-UHFFFAOYSA-N 0 3 324.468 4.446 20 0 DIADHN Cc1ccc(-c2cncc(CN(C)CCc3ccccn3)c2)cc1 ZINC001236997722 1055334355 /nfs/dbraw/zinc/33/43/55/1055334355.db2.gz XQKZQBVDZZEGDX-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN CCCN(CCOC)Cc1ccc(-c2ccccc2Cl)nc1 ZINC001237012258 1055339304 /nfs/dbraw/zinc/33/93/04/1055339304.db2.gz BTXOQMMUBLPMNC-UHFFFAOYSA-N 0 3 318.848 4.260 20 0 DIADHN C[C@H]1CCN1Cc1ccc(-c2ccccc2OC(F)(F)F)nc1 ZINC001237017274 1055340161 /nfs/dbraw/zinc/34/01/61/1055340161.db2.gz QLFLUWBUOIYVDH-LBPRGKRZSA-N 0 3 322.330 4.241 20 0 DIADHN C[C@@H]1CCCN1Cc1ccc(NC(=O)OC(C)(C)C)c(F)c1 ZINC001237035933 1055345767 /nfs/dbraw/zinc/34/57/67/1055345767.db2.gz JHEJFQKKNLWRIV-GFCCVEGCSA-N 0 3 308.397 4.157 20 0 DIADHN CC(C)C[C@H](NC[C@H](O)c1cccc(Cl)c1)c1ccccn1 ZINC000765258480 1055347194 /nfs/dbraw/zinc/34/71/94/1055347194.db2.gz LWNRUWIVVBSDTL-ROUUACIJSA-N 0 3 318.848 4.145 20 0 DIADHN CC(C)C[C@@H](NC[C@@H](O)c1cccc(Cl)c1)c1ccccn1 ZINC000765258478 1055347609 /nfs/dbraw/zinc/34/76/09/1055347609.db2.gz LWNRUWIVVBSDTL-QZTJIDSGSA-N 0 3 318.848 4.145 20 0 DIADHN CCCCc1ccc(N(C)C(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC001587694940 1055348079 /nfs/dbraw/zinc/34/80/79/1055348079.db2.gz ZZPALABQGYTHSG-QGZVFWFLSA-N 0 3 316.489 4.257 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cccc(Cl)c1)c1cc2ccccc2o1 ZINC000765263182 1055349558 /nfs/dbraw/zinc/34/95/58/1055349558.db2.gz PERROIPFWOXFQB-MLGOLLRUSA-N 0 3 315.800 4.470 20 0 DIADHN CC[C@@H](NC[C@@H](O)c1ccc(C)cc1)c1ccc(F)cc1F ZINC000765271924 1055352361 /nfs/dbraw/zinc/35/23/61/1055352361.db2.gz QLAIIHHZPRMIPJ-QZTJIDSGSA-N 0 3 305.368 4.048 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@@H](C(F)(F)F)C2)c1 ZINC001237067506 1055359477 /nfs/dbraw/zinc/35/94/77/1055359477.db2.gz FMRUWISLSCIGNV-CQSZACIVSA-N 0 3 309.331 4.320 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@@H]2c2ccccn2)c1 ZINC001237068029 1055360125 /nfs/dbraw/zinc/36/01/25/1055360125.db2.gz JSBOIPLFELODBF-HXUWFJFHSA-N 0 3 304.393 4.278 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@@H]2c2ccncc2)c1 ZINC001237069024 1055360871 /nfs/dbraw/zinc/36/08/71/1055360871.db2.gz PDHRFYCRULJKLC-HXUWFJFHSA-N 0 3 304.393 4.278 20 0 DIADHN CC(C)c1nc(CCN(C)Cc2ccc(C3CCC3)cc2)no1 ZINC001237104143 1055370226 /nfs/dbraw/zinc/37/02/26/1055370226.db2.gz AZMIVUSXFWTYMP-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN COc1cccc2c(CN3CCC(C(F)(F)F)CC3)ccnc12 ZINC001237114278 1055373783 /nfs/dbraw/zinc/37/37/83/1055373783.db2.gz WPWCBNSFVUTDRI-UHFFFAOYSA-N 0 3 324.346 4.018 20 0 DIADHN COc1cccc2c(CN3CCC[C@H]4CCCC[C@H]43)ccnc12 ZINC001237116257 1055375791 /nfs/dbraw/zinc/37/57/91/1055375791.db2.gz MUUDPIPTGZRFQJ-CRAIPNDOSA-N 0 3 310.441 4.398 20 0 DIADHN COc1cccc2c(CN3CC[C@H]4CCCC[C@@H]4C3)ccnc12 ZINC001237123136 1055378852 /nfs/dbraw/zinc/37/88/52/1055378852.db2.gz RYDDAMGLUNVNQR-HZPDHXFCSA-N 0 3 310.441 4.256 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CCc2cc(C)ccc21 ZINC000765429389 1055390408 /nfs/dbraw/zinc/39/04/08/1055390408.db2.gz VFXHHSMIXHYFJJ-ROUUACIJSA-N 0 3 309.409 4.104 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H]1CCc2cc(C)ccc21 ZINC000765429383 1055390640 /nfs/dbraw/zinc/39/06/40/1055390640.db2.gz VFXHHSMIXHYFJJ-QZTJIDSGSA-N 0 3 309.409 4.104 20 0 DIADHN Cc1c(CN2CC[C@@H](c3cccc(F)c3)C2)ccc(F)c1F ZINC001237163739 1055391351 /nfs/dbraw/zinc/39/13/51/1055391351.db2.gz UNLMNRSDLQSIMV-OAHLLOKOSA-N 0 3 305.343 4.402 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](C)c1ccnc(Cl)c1 ZINC000765469462 1055395080 /nfs/dbraw/zinc/39/50/80/1055395080.db2.gz CSYCECKRKCIZFT-YVEFUNNKSA-N 0 3 316.832 4.472 20 0 DIADHN COc1cccc(CN(C)Cc2ccc3cccccc2-3)c1OC ZINC001237192623 1055398408 /nfs/dbraw/zinc/39/84/08/1055398408.db2.gz GIXCTNHEEVTDLL-UHFFFAOYSA-N 0 3 321.420 4.441 20 0 DIADHN CCOCc1ccc(CNc2ccnc3cc(OC)ccc32)cc1 ZINC000765464576 1055398413 /nfs/dbraw/zinc/39/84/13/1055398413.db2.gz NNLXOYJLKVCWQP-UHFFFAOYSA-N 0 3 322.408 4.392 20 0 DIADHN Cc1ccc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@H]3C2)cc1 ZINC001237234614 1055407166 /nfs/dbraw/zinc/40/71/66/1055407166.db2.gz MVUJZJQQQDBWLZ-IEBWSBKVSA-N 0 3 312.844 4.432 20 0 DIADHN Clc1ccc2c(c1)[C@H]1CN(Cc3cccs3)CC[C@H]1N2 ZINC001237234375 1055408132 /nfs/dbraw/zinc/40/81/32/1055408132.db2.gz MEALCRHPBICEMK-GDBMZVCRSA-N 0 3 304.846 4.185 20 0 DIADHN COc1nc(Cl)ccc1CN(C)C(C)(C)Cc1ccccc1 ZINC001237249901 1055409564 /nfs/dbraw/zinc/40/95/64/1055409564.db2.gz UZQASWURUTUNBB-UHFFFAOYSA-N 0 3 318.848 4.197 20 0 DIADHN Clc1ccnc2ccc(CN3CC[C@@]4(C3)CCCCO4)cc12 ZINC001237264000 1055410488 /nfs/dbraw/zinc/41/04/88/1055410488.db2.gz JPCKWQHGSZYJIT-GOSISDBHSA-N 0 3 316.832 4.033 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccc3nccc(Cl)c3c1)C2 ZINC001237271291 1055412525 /nfs/dbraw/zinc/41/25/25/1055412525.db2.gz ZQVUOGKHUBYEFE-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN Cc1cccc(CCN(C)Cc2ccncc2C(F)(F)F)c1 ZINC001237293557 1055419156 /nfs/dbraw/zinc/41/91/56/1055419156.db2.gz XTIXYKSTZPTFRY-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN c1ccc(CCc2ccccc2CN2CC3(C2)CCCOC3)cc1 ZINC001237298316 1055422325 /nfs/dbraw/zinc/42/23/25/1055422325.db2.gz OQIIPKTXVHYMRN-UHFFFAOYSA-N 0 3 321.464 4.084 20 0 DIADHN Cc1ccc(CN2CCC3(CCNc4ccccc43)CC2)c(C)n1 ZINC001237320733 1055427422 /nfs/dbraw/zinc/42/74/22/1055427422.db2.gz PLACJPVGQLBANB-UHFFFAOYSA-N 0 3 321.468 4.048 20 0 DIADHN Clc1ccccc1CN1CCC2(Cc3ccccc3N2)CC1 ZINC001237332301 1055429761 /nfs/dbraw/zinc/42/97/61/1055429761.db2.gz BTVATCACDNWLBY-UHFFFAOYSA-N 0 3 312.844 4.343 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC[C@H]2C[C@H]21 ZINC001237348386 1055434074 /nfs/dbraw/zinc/43/40/74/1055434074.db2.gz ZCSZSMPOVVZBAC-XJKSGUPXSA-N 0 3 302.418 4.018 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1C2CCC1CC2 ZINC001237340610 1055434177 /nfs/dbraw/zinc/43/41/77/1055434177.db2.gz AEWZSFALCJEOOW-UHFFFAOYSA-N 0 3 302.418 4.160 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC[C@H](C)C3)cs2)cc1 ZINC001237339978 1055434240 /nfs/dbraw/zinc/43/42/40/1055434240.db2.gz RCPRTLCXFVEEOG-AWEZNQCLSA-N 0 3 316.470 4.441 20 0 DIADHN CC1(C)CC[C@H](CN[C@H](c2ccc(F)cc2F)C2CC2)OC1 ZINC001168542580 1055434139 /nfs/dbraw/zinc/43/41/39/1055434139.db2.gz OQQZXLRFMVSVIK-PBHICJAKSA-N 0 3 309.400 4.211 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@H]1c1ccc2c(c1)OCCO2 ZINC000015301140 1055438295 /nfs/dbraw/zinc/43/82/95/1055438295.db2.gz FMWDCVYFWAHZOA-AMGQUURNSA-N 0 3 321.420 4.308 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cnc(-c3ccccc3)o1)CC2 ZINC001237369188 1055442171 /nfs/dbraw/zinc/44/21/71/1055442171.db2.gz RBPAGFXGVOXICR-MRXNPFEDSA-N 0 3 314.404 4.446 20 0 DIADHN COc1ccc2c(c1)[nH]nc2CN1CCC[C@@H]1c1cccc(C)c1 ZINC001237384354 1055443773 /nfs/dbraw/zinc/44/37/73/1055443773.db2.gz AVPIDZNAKYDUSR-HXUWFJFHSA-N 0 3 321.424 4.217 20 0 DIADHN C[C@@H](NC(=O)C[C@@H](C)CC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC001537814879 1055448095 /nfs/dbraw/zinc/44/80/95/1055448095.db2.gz LDNCDPXOGFOFMY-GPMSIDNRSA-N 0 3 318.505 4.256 20 0 DIADHN CC(C)c1cccc(C(=O)N[C@H](C)[C@H](c2ccccc2)N(C)C)c1 ZINC001537814409 1055448618 /nfs/dbraw/zinc/44/86/18/1055448618.db2.gz ARUOEXXUQOWXRG-OXQOHEQNSA-N 0 3 324.468 4.231 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1ccccc1)C1CCC1 ZINC001237414701 1055450044 /nfs/dbraw/zinc/45/00/44/1055450044.db2.gz NCUITGPITPCSRE-UHFFFAOYSA-N 0 3 308.425 4.200 20 0 DIADHN CC(C)c1cccc(CN2CCC(C(=O)OC(C)(C)C)CC2)c1 ZINC001237460996 1055455607 /nfs/dbraw/zinc/45/56/07/1055455607.db2.gz CRLDBUVJBJVASS-UHFFFAOYSA-N 0 3 317.473 4.364 20 0 DIADHN CN(CCc1ccc(F)cc1)Cc1c(Cl)[nH]c2cnccc21 ZINC001237463763 1055455692 /nfs/dbraw/zinc/45/56/92/1055455692.db2.gz YUMBXWQVEBJJIA-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN Cc1ccc(CCN(C)Cc2c(Cl)[nH]c3cnccc32)cc1 ZINC001237460338 1055455811 /nfs/dbraw/zinc/45/58/11/1055455811.db2.gz FQMIKWNXBQIHSI-UHFFFAOYSA-N 0 3 313.832 4.199 20 0 DIADHN CC(=O)N(C(C)C)C1CCN(Cc2cccc(C(C)C)c2)CC1 ZINC001237460467 1055456378 /nfs/dbraw/zinc/45/63/78/1055456378.db2.gz PZVTZVCQEVNXHL-UHFFFAOYSA-N 0 3 316.489 4.031 20 0 DIADHN CCc1noc(C2CCN(Cc3cccc(C(C)C)c3)CC2)n1 ZINC001237463485 1055456571 /nfs/dbraw/zinc/45/65/71/1055456571.db2.gz RWMLDQBALNSDFJ-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1ccc(-n2cccn2)cc1 ZINC000016420602 1055456628 /nfs/dbraw/zinc/45/66/28/1055456628.db2.gz PGSCRQIZOHOPFO-GOSISDBHSA-N 0 3 323.465 4.443 20 0 DIADHN COc1c(C)cccc1CN1CCC[C@@H](Oc2ccccc2)C1 ZINC001237509522 1055464627 /nfs/dbraw/zinc/46/46/27/1055464627.db2.gz AITGUZYJKHUSIH-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CC(C)(CN[C@@H]1CCCn2ccnc21)c1ccc2ccccc2c1 ZINC000624269032 1055472981 /nfs/dbraw/zinc/47/29/81/1055472981.db2.gz WNMLAIVVVMTCPM-LJQANCHMSA-N 0 3 319.452 4.439 20 0 DIADHN COc1ccc(C2(NCc3cnn(C(C)C)c3)CCCC2)cc1 ZINC000172066872 1055479658 /nfs/dbraw/zinc/47/96/58/1055479658.db2.gz YPJDTRFECLFEFY-UHFFFAOYSA-N 0 3 313.445 4.032 20 0 DIADHN Cc1c(CN2CCOC[C@H]2C2CC2)cccc1-c1ccccc1 ZINC001237586454 1055479686 /nfs/dbraw/zinc/47/96/86/1055479686.db2.gz XHQNUIHCZXFXOS-NRFANRHFSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3ncnc(Cl)c3c2)cc1 ZINC001237584030 1055481158 /nfs/dbraw/zinc/48/11/58/1055481158.db2.gz FPECSGSAHZOTGV-UHFFFAOYSA-N 0 3 311.816 4.224 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccnc(OC(F)F)c1 ZINC001237611283 1055484580 /nfs/dbraw/zinc/48/45/80/1055484580.db2.gz PKXHQNJDCGDRMO-UHFFFAOYSA-N 0 3 306.356 4.094 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1ccnc(OC(F)F)c1 ZINC001237607465 1055485488 /nfs/dbraw/zinc/48/54/88/1055485488.db2.gz BDYUYYGBVAVDDG-UHFFFAOYSA-N 0 3 320.383 4.484 20 0 DIADHN FC(F)Oc1cc(CN2CCC3(CCCCC3)CC2)ccn1 ZINC001237613143 1055485777 /nfs/dbraw/zinc/48/57/77/1055485777.db2.gz XDXPYIRIEOHRDL-UHFFFAOYSA-N 0 3 310.388 4.229 20 0 DIADHN CCCC(C)(C)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001537981193 1055489449 /nfs/dbraw/zinc/48/94/49/1055489449.db2.gz NBGSBIQMRXVCOU-SFHVURJKSA-N 0 3 318.505 4.086 20 0 DIADHN CCOc1cccc(CCNCc2c(F)cc(C)cc2F)c1 ZINC001620867221 1055494608 /nfs/dbraw/zinc/49/46/08/1055494608.db2.gz ZQURHKVXSFMUFL-UHFFFAOYSA-N 0 3 305.368 4.004 20 0 DIADHN Oc1ccc(-c2ccc(CN3CC[C@@H](C(F)(F)F)C3)cc2)cc1 ZINC001237663944 1055497123 /nfs/dbraw/zinc/49/71/23/1055497123.db2.gz AMWPJXIRYHZSFU-MRXNPFEDSA-N 0 3 321.342 4.443 20 0 DIADHN CC(C)Oc1ccccc1CNCCOc1ccccc1Cl ZINC001620872244 1055500156 /nfs/dbraw/zinc/50/01/56/1055500156.db2.gz LYUZTENOZPYCLB-UHFFFAOYSA-N 0 3 319.832 4.296 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(OC(C)(C)C)nc1 ZINC001620882612 1055506761 /nfs/dbraw/zinc/50/67/61/1055506761.db2.gz YOBKDUCBXSQFOD-VBKFSLOCSA-N 0 3 310.441 4.452 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@H]1CCc2ccccc2C1 ZINC001620882409 1055506848 /nfs/dbraw/zinc/50/68/48/1055506848.db2.gz RZUWONIYQKPWHF-LBPRGKRZSA-N 0 3 321.251 4.283 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@@H]1CCc2ccccc2C1 ZINC001620882408 1055506978 /nfs/dbraw/zinc/50/69/78/1055506978.db2.gz RZUWONIYQKPWHF-GFCCVEGCSA-N 0 3 321.251 4.283 20 0 DIADHN CCc1ccc([C@H](C)NCc2cc3ccc(F)cc3[nH]c2=O)cc1 ZINC001620887169 1055510489 /nfs/dbraw/zinc/51/04/89/1055510489.db2.gz PNEPCRSSFVMPAS-ZDUSSCGKSA-N 0 3 324.399 4.493 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cnc(C(C)C)s1)CCC2 ZINC001620885517 1055511078 /nfs/dbraw/zinc/51/10/78/1055511078.db2.gz BIIHUXOBIYEONX-QGZVFWFLSA-N 0 3 316.470 4.442 20 0 DIADHN COc1ccc(C)c(CN2CCC(Oc3ccccc3)CC2)c1 ZINC001237710315 1055514240 /nfs/dbraw/zinc/51/42/40/1055514240.db2.gz UUYMTZBNTZHPTG-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1cc(CN2C[C@H]3CC[C@@H](C2)C3(F)F)ccc1OC(C)C ZINC001237721060 1055515587 /nfs/dbraw/zinc/51/55/87/1055515587.db2.gz GCVXFHRSPBZOJW-IYBDPMFKSA-N 0 3 309.400 4.259 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CNCc1ccc(Oc2ccccc2)o1 ZINC001620890122 1055515964 /nfs/dbraw/zinc/51/59/64/1055515964.db2.gz YPAKIKVYDYXCPM-GOSISDBHSA-N 0 3 317.429 4.205 20 0 DIADHN Cc1c(Cl)cccc1CN1CC[C@H](N2CCCCC2)[C@@H](F)C1 ZINC001237733396 1055516133 /nfs/dbraw/zinc/51/61/33/1055516133.db2.gz QUZDCGRTNYJFCG-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc(C(F)(F)F)cc1 ZINC000024644679 1055517156 /nfs/dbraw/zinc/51/71/56/1055517156.db2.gz IGZAKIKQTCNUSY-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1cc(Cl)cc(Cl)c1 ZINC000024644552 1055517394 /nfs/dbraw/zinc/51/73/94/1055517394.db2.gz HHWSTQARENEQNE-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H]2CN(C)Cc3ccccc32)cc1C ZINC001620892988 1055517762 /nfs/dbraw/zinc/51/77/62/1055517762.db2.gz YVFAXWKEOGTHKS-QZQRZDCMSA-N 0 3 320.480 4.401 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H]2CN(C)Cc3ccccc32)cc1C ZINC001620892985 1055518192 /nfs/dbraw/zinc/51/81/92/1055518192.db2.gz YVFAXWKEOGTHKS-FGBWHXJSSA-N 0 3 320.480 4.401 20 0 DIADHN Cc1cccc(NC(=O)CN(Cc2ccccc2)[C@H](C)C2CC2)c1 ZINC000024672836 1055518931 /nfs/dbraw/zinc/51/89/31/1055518931.db2.gz RHELZYDMHOQHMQ-QGZVFWFLSA-N 0 3 322.452 4.234 20 0 DIADHN CCc1cc(CN2CCC3(CCCOC3)CC2)ccc1Cl ZINC001237742758 1055521206 /nfs/dbraw/zinc/52/12/06/1055521206.db2.gz WECSQPWPMLCQES-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN CS[C@@H](CNCc1nc2ccccc2n1C(C)C)C(C)(C)C ZINC001620898666 1055523075 /nfs/dbraw/zinc/52/30/75/1055523075.db2.gz AOTNIBBBARATKA-INIZCTEOSA-N 0 3 319.518 4.485 20 0 DIADHN Cc1c[nH]c(CNCC2(c3cccc(Cl)c3F)CCCC2)n1 ZINC001620901649 1055526922 /nfs/dbraw/zinc/52/69/22/1055526922.db2.gz GXHHMCULBSJLOE-UHFFFAOYSA-N 0 3 321.827 4.112 20 0 DIADHN Fc1cc(OC(F)F)ccc1CNC1(Cc2ccccc2)CC1 ZINC001620907358 1055531094 /nfs/dbraw/zinc/53/10/94/1055531094.db2.gz METCQNAMPZPVFH-UHFFFAOYSA-N 0 3 321.342 4.292 20 0 DIADHN CC[C@@H]1CCC[C@@H](NCc2cc(COC(C)(C)C)on2)CC1 ZINC001620907577 1055532237 /nfs/dbraw/zinc/53/22/37/1055532237.db2.gz UCHVTCWMNGQZQD-HUUCEWRRSA-N 0 3 308.466 4.438 20 0 DIADHN COc1cc(F)cc(OC)c1CNCC[C@@H](C)c1ccccc1 ZINC001620916818 1055538995 /nfs/dbraw/zinc/53/89/95/1055538995.db2.gz GIBABTNJXPHETC-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN Cc1ccc(CN(C)Cc2cccc(-c3ccccn3)n2)cc1C ZINC001237836547 1055541464 /nfs/dbraw/zinc/54/14/64/1055541464.db2.gz NCVAUFMZGIDTPT-UHFFFAOYSA-N 0 3 317.436 4.392 20 0 DIADHN CCc1ncc(CN2CCC(Cc3ccc(F)cc3)CC2)s1 ZINC001237826407 1055542267 /nfs/dbraw/zinc/54/22/67/1055542267.db2.gz KJGRYYGLSSPIJF-UHFFFAOYSA-N 0 3 318.461 4.299 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCc4ccsc4C3)cc21 ZINC001237877612 1055549157 /nfs/dbraw/zinc/54/91/57/1055549157.db2.gz AVCOTTSKQYZANR-UHFFFAOYSA-N 0 3 324.493 4.308 20 0 DIADHN Cc1ccc2nc(CNC3(C(C)(C)c4ccccc4)CC3)cn2c1 ZINC001620936147 1055549394 /nfs/dbraw/zinc/54/93/94/1055549394.db2.gz SBYIWEZGFWZEAZ-UHFFFAOYSA-N 0 3 319.452 4.243 20 0 DIADHN C[C@@]1(CC[NH2+]Cc2cc([O-])cc(Cl)c2)CC1(Cl)Cl ZINC001620937882 1055551191 /nfs/dbraw/zinc/55/11/91/1055551191.db2.gz DIKYPQYQDWRCIS-GFCCVEGCSA-N 0 3 308.636 4.109 20 0 DIADHN CO[C@@H]([C@H](C)NCc1cc(C(F)(F)F)ccc1Cl)C1CC1 ZINC001620937440 1055551572 /nfs/dbraw/zinc/55/15/72/1055551572.db2.gz PGSHKCRYZDPSQR-XPTSAGLGSA-N 0 3 321.770 4.262 20 0 DIADHN Cn1c(Cl)cnc1CNC[C@@H]1CCCC[C@H]1c1ccccc1 ZINC001620937827 1055551799 /nfs/dbraw/zinc/55/17/99/1055551799.db2.gz BNYWSAXUDUSZFZ-HOTGVXAUSA-N 0 3 317.864 4.137 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCC[C@H]1C(C)(C)OC ZINC001237906240 1055554457 /nfs/dbraw/zinc/55/44/57/1055554457.db2.gz SZHZTYXDDIPEPR-KRWDZBQOSA-N 0 3 309.425 4.004 20 0 DIADHN CC[C@H](C)[C@H](CNCc1ccc(-c2ccccc2F)o1)OC ZINC001620944126 1055558542 /nfs/dbraw/zinc/55/85/42/1055558542.db2.gz INSSIRJVINQOIF-UGSOOPFHSA-N 0 3 305.393 4.236 20 0 DIADHN CCC[C@@H](CNCc1cc(C(F)(F)F)ccc1Cl)OC ZINC001620949455 1055563033 /nfs/dbraw/zinc/56/30/33/1055563033.db2.gz PXODGCBODYUWLZ-LBPRGKRZSA-N 0 3 309.759 4.264 20 0 DIADHN FC(F)(F)Oc1ccccc1CNCCOC1CCCCC1 ZINC000387351171 1055566325 /nfs/dbraw/zinc/56/63/25/1055566325.db2.gz YCBXDVKMIOBMRE-UHFFFAOYSA-N 0 3 317.351 4.024 20 0 DIADHN CCOc1ccc(CN2CCC3(CC[C@H](F)C3)CC2)cc1F ZINC001237960832 1055568477 /nfs/dbraw/zinc/56/84/77/1055568477.db2.gz OXKJINMRJIZGMN-HNNXBMFYSA-N 0 3 309.400 4.329 20 0 DIADHN C[C@H]1CN(Cc2csc(-c3ccc(O)cc3)n2)CCC[C@@H]1C ZINC001237977520 1055570132 /nfs/dbraw/zinc/57/01/32/1055570132.db2.gz JMBZOUUUHFAVTI-KBPBESRZSA-N 0 3 316.470 4.384 20 0 DIADHN Cc1sccc1CN1CCC2(CC(=O)c3ccccc32)CC1 ZINC001237974850 1055570341 /nfs/dbraw/zinc/57/03/41/1055570341.db2.gz SMTIEYBSGWRSPH-UHFFFAOYSA-N 0 3 311.450 4.177 20 0 DIADHN COc1cc(CN[C@H]2CCCc3c(F)cc(F)cc32)ccc1C ZINC001620952476 1055571910 /nfs/dbraw/zinc/57/19/10/1055571910.db2.gz VSAAPCWYKPHKMK-SFHVURJKSA-N 0 3 317.379 4.449 20 0 DIADHN Oc1ccc(-c2nc(CN3CCC[C@H]4CCC[C@@H]43)cs2)cc1 ZINC001237982771 1055574805 /nfs/dbraw/zinc/57/48/05/1055574805.db2.gz IWYRZDRYYLQOGE-DYVFJYSZSA-N 0 3 314.454 4.280 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1csc(-c2ccc(O)cc2)n1 ZINC001237990646 1055575873 /nfs/dbraw/zinc/57/58/73/1055575873.db2.gz NTHPOIPBAKSHHU-MRXNPFEDSA-N 0 3 302.443 4.136 20 0 DIADHN C[C@H]1CCCN(Cc2csc(-c3ccc(O)cc3)n2)CC1 ZINC001237987878 1055577768 /nfs/dbraw/zinc/57/77/68/1055577768.db2.gz ZTEGIXKAZXCZHB-ZDUSSCGKSA-N 0 3 302.443 4.138 20 0 DIADHN FC1CC2(C1)CCCN(Cc1[nH]nc3cc(Cl)ccc31)CC2 ZINC001238006014 1055580055 /nfs/dbraw/zinc/58/00/55/1055580055.db2.gz XAVSYVCQWXRLBO-UHFFFAOYSA-N 0 3 321.827 4.321 20 0 DIADHN c1nn(CC2CCC2)cc1CNCc1ccc2ccccc2c1 ZINC000921598682 1055580643 /nfs/dbraw/zinc/58/06/43/1055580643.db2.gz NYAHPSSCQWCQKF-UHFFFAOYSA-N 0 3 305.425 4.126 20 0 DIADHN CCN(Cc1ccccc1)Cc1cccc(N2CCCCC2)n1 ZINC001237998858 1055581652 /nfs/dbraw/zinc/58/16/52/1055581652.db2.gz PGYWFUVTXQWCCV-UHFFFAOYSA-N 0 3 309.457 4.094 20 0 DIADHN CN(CCc1ccccc1F)Cc1[nH]nc2cc(Cl)ccc21 ZINC001238003063 1055581946 /nfs/dbraw/zinc/58/19/46/1055581946.db2.gz HVKQQAUDUNCJLJ-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN FCCn1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)cn1 ZINC000921616316 1055584441 /nfs/dbraw/zinc/58/44/41/1055584441.db2.gz DAFDRLPNYDWCRT-GOSISDBHSA-N 0 3 319.399 4.013 20 0 DIADHN CCCC1CCN(Cc2ccccc2Oc2cnccn2)CC1 ZINC001238013346 1055585579 /nfs/dbraw/zinc/58/55/79/1055585579.db2.gz DXHBLEBUDDYRQR-UHFFFAOYSA-N 0 3 311.429 4.281 20 0 DIADHN CCOC(=O)c1c(Cl)cccc1CN(C)C1CCCCC1 ZINC001238042065 1055591687 /nfs/dbraw/zinc/59/16/87/1055591687.db2.gz GZCHYKAMPSSFNA-UHFFFAOYSA-N 0 3 309.837 4.281 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@@H](C)C(C)(C)C ZINC000027132576 1055598819 /nfs/dbraw/zinc/59/88/19/1055598819.db2.gz IHKVVHBHXLUROG-HNNXBMFYSA-N 0 3 304.478 4.423 20 0 DIADHN CCN(CC(=O)Nc1ccc(SC)cc1)C1CCCCC1 ZINC000027286464 1055599874 /nfs/dbraw/zinc/59/98/74/1055599874.db2.gz KTTDBTLZMCMIKM-UHFFFAOYSA-N 0 3 306.475 4.002 20 0 DIADHN CCOc1cc(CN2CCC3(CCC(=O)CC3)CC2)ccc1C ZINC001238075591 1055601033 /nfs/dbraw/zinc/60/10/33/1055601033.db2.gz KZWBLCNXRSBNDE-UHFFFAOYSA-N 0 3 315.457 4.119 20 0 DIADHN Cc1ccc(O)cc1CN1CCC(Sc2ccccc2)CC1 ZINC001238104106 1055606069 /nfs/dbraw/zinc/60/60/69/1055606069.db2.gz UIUFHOOJSANQFH-UHFFFAOYSA-N 0 3 313.466 4.457 20 0 DIADHN CN(C(=O)OC(C)(C)C)c1ccc(CN2CC3(CCC3)C2)cc1 ZINC001238125733 1055609621 /nfs/dbraw/zinc/60/96/21/1055609621.db2.gz FPWXPZKBJPJDGC-UHFFFAOYSA-N 0 3 316.445 4.044 20 0 DIADHN Cc1ncncc1CN1CCC(c2ccc3ccccc3c2)CC1 ZINC001238171223 1055615592 /nfs/dbraw/zinc/61/55/92/1055615592.db2.gz VCTWJTJSWXFQKE-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3cccc(-c4cccs4)n3)C[C@@H]21 ZINC001238208007 1055620986 /nfs/dbraw/zinc/62/09/86/1055620986.db2.gz CSAFRJRUGXJTBH-OCCSQVGLSA-N 0 3 320.408 4.287 20 0 DIADHN C[C@H](C1CC1)N(CC(=O)Nc1ccccc1C(C)(C)C)C1CC1 ZINC000029725624 1055622869 /nfs/dbraw/zinc/62/28/69/1055622869.db2.gz FFEMISLIFHSVMZ-CQSZACIVSA-N 0 3 314.473 4.186 20 0 DIADHN CCCCCC[C@H](CC)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001595722463 1055624087 /nfs/dbraw/zinc/62/40/87/1055624087.db2.gz YFBWHSLFKMYDSR-MSOLQXFVSA-N 0 3 322.537 4.458 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cc(F)ccc1OC(F)F ZINC001168564266 1055624909 /nfs/dbraw/zinc/62/49/09/1055624909.db2.gz USDJWVQQOKMECO-GFCCVEGCSA-N 0 3 307.381 4.001 20 0 DIADHN CC(C)c1cc(CN2C[C@@H]3CCCC(=O)[C@H]3C2)ccc1Cl ZINC001238223846 1055625537 /nfs/dbraw/zinc/62/55/37/1055625537.db2.gz CTXNPTZYWHNFME-HOCLYGCPSA-N 0 3 305.849 4.264 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@]1(C)CCC[C@@H]1C)c1ccsc1 ZINC001595729065 1055626096 /nfs/dbraw/zinc/62/60/96/1055626096.db2.gz ANBWCQQNZUBHHW-LESCRADOSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)Oc1c(F)cccc1CN1CCC2(CCCOC2)CC1 ZINC001238322401 1055638359 /nfs/dbraw/zinc/63/83/59/1055638359.db2.gz LDYWKGZOHQRRNO-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN COc1c(Br)cc(C)cc1CN1CCC2(CC2)CC1 ZINC001238313712 1055638665 /nfs/dbraw/zinc/63/86/65/1055638665.db2.gz PLNHGSWHJQSSON-UHFFFAOYSA-N 0 3 324.262 4.142 20 0 DIADHN c1ccc(COc2cncc(CN3CC[C@@H]4CCC[C@@H]4C3)c2)cc1 ZINC001238366502 1055645219 /nfs/dbraw/zinc/64/52/19/1055645219.db2.gz FSVXBHSFTMZUEZ-VQTJNVASSA-N 0 3 322.452 4.283 20 0 DIADHN CC[C@H]1CCCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001238370365 1055645756 /nfs/dbraw/zinc/64/57/56/1055645756.db2.gz WMONJCZIFYBBCK-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1cncc(OCc2ccccc2)c1 ZINC001238370437 1055646015 /nfs/dbraw/zinc/64/60/15/1055646015.db2.gz KCFKAISRCWVDJD-IAGOWNOFSA-N 0 3 310.441 4.424 20 0 DIADHN c1ccc(COc2cncc(CN3CC[C@H]4CCC[C@H]4C3)c2)cc1 ZINC001238366501 1055646723 /nfs/dbraw/zinc/64/67/23/1055646723.db2.gz FSVXBHSFTMZUEZ-UXHICEINSA-N 0 3 322.452 4.283 20 0 DIADHN CC[C@H](NCc1cnn(CC2CCC2)c1)c1ccc(F)cc1 ZINC000921620491 1055652271 /nfs/dbraw/zinc/65/22/71/1055652271.db2.gz KZRYEJUQDKYNDT-SFHVURJKSA-N 0 3 301.409 4.063 20 0 DIADHN CC(C)c1cccc(NC(=O)[C@H](C(C)C)N2CCCCC2)c1 ZINC001538535389 1055652435 /nfs/dbraw/zinc/65/24/35/1055652435.db2.gz ZIHIUOHLNTWZGJ-SFHVURJKSA-N 0 3 302.462 4.259 20 0 DIADHN CCOc1ccc(CN2CCC3(CCCOC3)CC2)c(Cl)c1 ZINC001238404913 1055653252 /nfs/dbraw/zinc/65/32/52/1055653252.db2.gz KCTOEPZGGPUGMT-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN CCCN(CCN(C)C)C(=O)CC1CCC(C(C)(C)C)CC1 ZINC001538543239 1055655216 /nfs/dbraw/zinc/65/52/16/1055655216.db2.gz BBKLDUUUIQGFMP-UHFFFAOYSA-N 0 3 310.526 4.029 20 0 DIADHN COc1ccccc1C[C@@H](C)N(C)Cc1cc(C)c(Cl)cn1 ZINC001238430486 1055657402 /nfs/dbraw/zinc/65/74/02/1055657402.db2.gz OWEHMVXZBRAURP-CQSZACIVSA-N 0 3 318.848 4.115 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ncc(C)cc2C)c1 ZINC001238433405 1055657886 /nfs/dbraw/zinc/65/78/86/1055657886.db2.gz MTOQWILLXJDQHO-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cnc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)c(C)c1 ZINC001238434695 1055657909 /nfs/dbraw/zinc/65/79/09/1055657909.db2.gz GGEXESZMXNMGKQ-OAQYLSRUSA-N 0 3 324.443 4.318 20 0 DIADHN CC(C)Oc1ccc(CN2CCC3(CCCOC3)CC2)c(F)c1 ZINC001238448145 1055659638 /nfs/dbraw/zinc/65/96/38/1055659638.db2.gz DCHAXCPVAXSMFK-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN COCC[C@H]1CCCCN1Cc1ccc(OC(C)C)cc1F ZINC001238449209 1055660233 /nfs/dbraw/zinc/66/02/33/1055660233.db2.gz BYKCHUNUMMNCEV-MRXNPFEDSA-N 0 3 309.425 4.004 20 0 DIADHN Cc1cnc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)c(C)c1 ZINC001238437274 1055660643 /nfs/dbraw/zinc/66/06/43/1055660643.db2.gz LPIQQWBBAQVOMS-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1ccc(OC(C)C)cc1F ZINC001238449207 1055660897 /nfs/dbraw/zinc/66/08/97/1055660897.db2.gz BYKCHUNUMMNCEV-INIZCTEOSA-N 0 3 309.425 4.004 20 0 DIADHN Cc1cnc(CN2CCC3(CC2)O[C@@H](C)c2ccccc23)c(C)c1 ZINC001238437273 1055661196 /nfs/dbraw/zinc/66/11/96/1055661196.db2.gz LPIQQWBBAQVOMS-KRWDZBQOSA-N 0 3 322.452 4.281 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)[C@H]1CC[C@@H]2CCCCN12 ZINC001596047581 1055662773 /nfs/dbraw/zinc/66/27/73/1055662773.db2.gz RAODSIFLVKNMGO-GOEBONIOSA-N 0 3 318.486 4.143 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)[C@@H]1CC[C@H]2CCCCN21 ZINC001596047583 1055663043 /nfs/dbraw/zinc/66/30/43/1055663043.db2.gz RAODSIFLVKNMGO-ZBFHGGJFSA-N 0 3 318.486 4.143 20 0 DIADHN Fc1ccc(CN2CCC(OC3CCC3)CC2)cc1C1CC1 ZINC001238500009 1055674076 /nfs/dbraw/zinc/67/40/76/1055674076.db2.gz YTCSKEBUMKKZAZ-UHFFFAOYSA-N 0 3 303.421 4.237 20 0 DIADHN Cc1ccsc1CN(C)Cc1ccc(F)c2cccnc12 ZINC000042122345 1055674504 /nfs/dbraw/zinc/67/45/04/1055674504.db2.gz IGLQLPZQIOXPEA-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@@H](c1ccccc1)C1CCC1 ZINC000104701263 1055678146 /nfs/dbraw/zinc/67/81/46/1055678146.db2.gz TXKSFHLQZYZCPJ-UZLBHIALSA-N 0 3 322.452 4.453 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](c1ccccc1)C1CCC1 ZINC000104700986 1055678791 /nfs/dbraw/zinc/67/87/91/1055678791.db2.gz QMFZLSHCQNENHK-FQEVSTJZSA-N 0 3 308.425 4.065 20 0 DIADHN CCOC1CCN(Cc2ccc(F)c(-c3ccccc3)c2)CC1 ZINC001238548402 1055682185 /nfs/dbraw/zinc/68/21/85/1055682185.db2.gz BSBZNZZHVVJTGH-UHFFFAOYSA-N 0 3 313.416 4.494 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2ccc(F)c(-c3ccccc3)c2)C1 ZINC001238552575 1055684441 /nfs/dbraw/zinc/68/44/41/1055684441.db2.gz PZSIFEFFDBJAKM-SFHVURJKSA-N 0 3 311.400 4.294 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccccc2C2(C)CC2)c1 ZINC001596233074 1055685004 /nfs/dbraw/zinc/68/50/04/1055685004.db2.gz MIQKRJCPVSNRGD-UHFFFAOYSA-N 0 3 322.452 4.360 20 0 DIADHN Fc1ccc(CN2CC[C@@]3(CCCO3)C2)cc1-c1ccccc1 ZINC001238561634 1055687854 /nfs/dbraw/zinc/68/78/54/1055687854.db2.gz OOTZHDFFUOZNRT-FQEVSTJZSA-N 0 3 311.400 4.248 20 0 DIADHN Cc1ccc(Cl)c(CN2CCC(Cc3ccncc3)CC2)c1 ZINC001238568597 1055688794 /nfs/dbraw/zinc/68/87/94/1055688794.db2.gz JJIBHRCXIXAHMG-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccccc2-c2ccc(F)cc2F)C1 ZINC001238586910 1055691392 /nfs/dbraw/zinc/69/13/92/1055691392.db2.gz JKUVRMVNTOQKLI-CYBMUJFWSA-N 0 3 317.379 4.099 20 0 DIADHN C[C@@]1(NCc2ncc(Br)cc2Cl)CC=CCC1 ZINC001620966246 1055691741 /nfs/dbraw/zinc/69/17/41/1055691741.db2.gz QSGXQAHQUCCWKG-CYBMUJFWSA-N 0 3 315.642 4.086 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccccc2-c2ccc(F)cc2F)C1 ZINC001238586266 1055691920 /nfs/dbraw/zinc/69/19/20/1055691920.db2.gz HIJUYCMFLKEQGU-CQSZACIVSA-N 0 3 315.363 4.043 20 0 DIADHN COc1cc(CN2CC3CCC2CC3)c(-c2ccccc2)cn1 ZINC001238600947 1055694625 /nfs/dbraw/zinc/69/46/25/1055694625.db2.gz BQFIIIXPIYYOPJ-UHFFFAOYSA-N 0 3 308.425 4.132 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@@H]3CCCC[C@H]32)cnc1F ZINC001238601339 1055694656 /nfs/dbraw/zinc/69/46/56/1055694656.db2.gz RJQJIMVXMDVANG-JKSUJKDBSA-N 0 3 306.425 4.163 20 0 DIADHN CSC1CCN(Cc2cnc(-c3ccccc3)c(C)c2)CC1 ZINC001238608874 1055696487 /nfs/dbraw/zinc/69/64/87/1055696487.db2.gz AGSSSSNBRAMWLB-UHFFFAOYSA-N 0 3 312.482 4.384 20 0 DIADHN CCCO[C@@H]1CCN(Cc2cnc(-c3ccccc3)c(C)c2)C1 ZINC001238612306 1055696859 /nfs/dbraw/zinc/69/68/59/1055696859.db2.gz PVLQAOBVMLPHCP-LJQANCHMSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CCC(F)(F)CC2)c1 ZINC001238615999 1055698086 /nfs/dbraw/zinc/69/80/86/1055698086.db2.gz BBYXKPCXFISDPU-UHFFFAOYSA-N 0 3 302.368 4.288 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)CC(C)(C)O)cnc1C1CC1 ZINC001238620716 1055701204 /nfs/dbraw/zinc/70/12/04/1055701204.db2.gz BGFLWNCSMZGAQS-UHFFFAOYSA-N 0 3 324.468 4.041 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)NCc2ccsc2Cl)c1 ZINC001620967102 1055704170 /nfs/dbraw/zinc/70/41/70/1055704170.db2.gz RYFZDXNWAMKAPU-SNVBAGLBSA-N 0 3 313.825 4.270 20 0 DIADHN COc1ccc(-c2ccncc2)c(CN2CC3(C2)CCCC3)c1 ZINC001238648846 1055708346 /nfs/dbraw/zinc/70/83/46/1055708346.db2.gz XIVIGIKYLKVCAS-UHFFFAOYSA-N 0 3 308.425 4.133 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N(CCN(C)C)C1CCCCC1 ZINC001565583961 1055711461 /nfs/dbraw/zinc/71/14/61/1055711461.db2.gz FJXUPFHYBPYKNY-MRXNPFEDSA-N 0 3 310.526 4.172 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)C1CCCCC1)CC(C)(C)C ZINC001565584285 1055711959 /nfs/dbraw/zinc/71/19/59/1055711959.db2.gz QLTSEDLMXBVFMN-INIZCTEOSA-N 0 3 310.526 4.172 20 0 DIADHN CSc1ccc(Cl)cc1CN(C)CCc1cccnc1 ZINC001238675862 1055717328 /nfs/dbraw/zinc/71/73/28/1055717328.db2.gz GAKTUERDLFULNJ-UHFFFAOYSA-N 0 3 306.862 4.131 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)cc(SC)c2)cc1 ZINC001238680548 1055719995 /nfs/dbraw/zinc/71/99/95/1055719995.db2.gz XMOYGLPUKKRPEG-UHFFFAOYSA-N 0 3 301.455 4.358 20 0 DIADHN Cc1ccc(CN(C)Cc2cc(F)cc(N3CCCC3)c2)cc1 ZINC001238701890 1055726478 /nfs/dbraw/zinc/72/64/78/1055726478.db2.gz QBSLGXNUHPXWKP-UHFFFAOYSA-N 0 3 312.432 4.366 20 0 DIADHN CC1CCC(N2CCN(C(=O)C3(C)CCCCCC3)CC2)CC1 ZINC001565759030 1055727442 /nfs/dbraw/zinc/72/74/42/1055727442.db2.gz NYNFITALFKBWJS-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCOc1ccc(C)c(CN2CCC[C@@H]2c2ccc(C)nc2)c1 ZINC001238709908 1055729595 /nfs/dbraw/zinc/72/95/95/1055729595.db2.gz WTCGYFPQMXEEKN-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN COc1c(CN2CCCCC2)cccc1OCc1ccccc1 ZINC001238741603 1055736679 /nfs/dbraw/zinc/73/66/79/1055736679.db2.gz JRHGINZHLADDDF-UHFFFAOYSA-N 0 3 311.425 4.260 20 0 DIADHN COc1c(CN2CCCC23CC3)cccc1OCc1ccccc1 ZINC001238741073 1055738391 /nfs/dbraw/zinc/73/83/91/1055738391.db2.gz FPURNKABHPPVLN-UHFFFAOYSA-N 0 3 323.436 4.403 20 0 DIADHN COc1cc(C)c(C)cc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001238758852 1055742036 /nfs/dbraw/zinc/74/20/36/1055742036.db2.gz WDNJPRHPZZVDOE-UHFFFAOYSA-N 0 3 309.400 4.323 20 0 DIADHN c1ccc(COc2ncccc2CN2CC[C@H]3CCC[C@H]3C2)cc1 ZINC001238769242 1055743532 /nfs/dbraw/zinc/74/35/32/1055743532.db2.gz DQQAECCYAGVIRY-MOPGFXCFSA-N 0 3 322.452 4.283 20 0 DIADHN Cc1cc(F)cc(CN2CCC[C@@H]2Cc2ccccn2)c1Cl ZINC001238781862 1055751420 /nfs/dbraw/zinc/75/14/20/1055751420.db2.gz QTITZLWDKBCZIJ-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CCCC3)CC2)c(F)cn1 ZINC001238797086 1055752300 /nfs/dbraw/zinc/75/23/00/1055752300.db2.gz MSOHMIRPXRHGJF-UHFFFAOYSA-N 0 3 306.425 4.164 20 0 DIADHN Cc1cc(F)cc(Cl)c1CN1CCC2(CCOCC2)CC1 ZINC001238786157 1055752728 /nfs/dbraw/zinc/75/27/28/1055752728.db2.gz YSFQPEFYZOVXRZ-UHFFFAOYSA-N 0 3 311.828 4.180 20 0 DIADHN CC(C)Oc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c(F)cn1 ZINC001238806953 1055754255 /nfs/dbraw/zinc/75/42/55/1055754255.db2.gz GDBFSWBCSPJEGU-HUUCEWRRSA-N 0 3 306.425 4.020 20 0 DIADHN COCCN(C)Cc1ccnc(-c2csc3ccccc23)c1 ZINC001238886981 1055781834 /nfs/dbraw/zinc/78/18/34/1055781834.db2.gz IEMQBUNHRPEZKW-UHFFFAOYSA-N 0 3 312.438 4.042 20 0 DIADHN CN(C)CCSc1ncnc2sc(-c3ccccc3)cc21 ZINC000057745159 1055786408 /nfs/dbraw/zinc/78/64/08/1055786408.db2.gz CDPKRPNORCWOJY-UHFFFAOYSA-N 0 3 315.467 4.012 20 0 DIADHN Cc1cc(-c2cnccc2/C=C/N(C)C)cnc1OCC(C)C ZINC001238925432 1055792232 /nfs/dbraw/zinc/79/22/32/1055792232.db2.gz YXGGLPMLESLESN-VQHVLOKHSA-N 0 3 311.429 4.019 20 0 DIADHN C[C@H](NC(=O)C1CCC(C)(C)CC1)[C@H](c1ccccc1)N(C)C ZINC001539174090 1055796525 /nfs/dbraw/zinc/79/65/25/1055796525.db2.gz YSRUCMMFASURGY-MAUKXSAKSA-N 0 3 316.489 4.010 20 0 DIADHN CCOCc1cncc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001238939823 1055798541 /nfs/dbraw/zinc/79/85/41/1055798541.db2.gz KTVLQONUTZIEQA-UHFFFAOYSA-N 0 3 314.404 4.020 20 0 DIADHN COc1cc(CN(C)Cc2ccc(C)cc2)ccc1SC ZINC000058528122 1055805362 /nfs/dbraw/zinc/80/53/62/1055805362.db2.gz MXOQRODDGKPUSD-UHFFFAOYSA-N 0 3 301.455 4.358 20 0 DIADHN CCCN(CC(=O)Nc1cc(F)ccc1F)CC1CCCCC1 ZINC000060495814 1055839986 /nfs/dbraw/zinc/83/99/86/1055839986.db2.gz AKMWFTQJCWWAGG-UHFFFAOYSA-N 0 3 324.415 4.196 20 0 DIADHN O=C(Nc1ccc2c(c1)CCC2)c1cccc(CN2CCCC2)c1 ZINC000060550447 1055842546 /nfs/dbraw/zinc/84/25/46/1055842546.db2.gz QZSQMSOBGPAICP-UHFFFAOYSA-N 0 3 320.436 4.023 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)cc1Cl ZINC001568749830 1055844702 /nfs/dbraw/zinc/84/47/02/1055844702.db2.gz GVKSHYURROGETQ-FRRDWIJNSA-N 0 3 308.853 4.102 20 0 DIADHN CCCCN(C)Cc1cc(Br)ccc1OC(F)F ZINC000063741048 1055902598 /nfs/dbraw/zinc/90/25/98/1055902598.db2.gz SHIISLFCNLGZTI-UHFFFAOYSA-N 0 3 322.193 4.282 20 0 DIADHN O=C(Nc1cccc2c1CNC2)Nc1cccc2ccccc21 ZINC001239191564 1055845620 /nfs/dbraw/zinc/84/56/20/1055845620.db2.gz NVPLQHDFIBAFAJ-UHFFFAOYSA-N 0 3 303.365 4.087 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)N(CCN(C)C)CC1CCCCC1 ZINC001597613202 1055853971 /nfs/dbraw/zinc/85/39/71/1055853971.db2.gz AFPDOUJMHXQQHS-SFHVURJKSA-N 0 3 310.526 4.029 20 0 DIADHN CC[C@H](CO)NCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000061003121 1055857369 /nfs/dbraw/zinc/85/73/69/1055857369.db2.gz AZVQNBVUDSFVAL-SNVBAGLBSA-N 0 3 314.212 4.114 20 0 DIADHN Cc1ccc(SCCNCc2ccccc2OC(F)F)cc1 ZINC000061012187 1055858450 /nfs/dbraw/zinc/85/84/50/1055858450.db2.gz NMHRPXQXDQBRMO-UHFFFAOYSA-N 0 3 323.408 4.478 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc(C(C)(C)C)cc2)cn1 ZINC000061046696 1055859410 /nfs/dbraw/zinc/85/94/10/1055859410.db2.gz GSMSZBFTZFVIKM-UHFFFAOYSA-N 0 3 312.457 4.456 20 0 DIADHN CC[C@H](C(=O)N(Cc1ccccc1)[C@H](C)c1ccccc1)N(C)C ZINC001569612080 1055866410 /nfs/dbraw/zinc/86/64/10/1055866410.db2.gz RSRIECDMGLDLOL-YLJYHZDGSA-N 0 3 324.468 4.117 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(OC(F)F)c(OC)c1 ZINC000061758224 1055871086 /nfs/dbraw/zinc/87/10/86/1055871086.db2.gz CPTIRBRKOGBYHB-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN CC(C)Oc1ncc(Cl)cc1-c1ccc2c(N)ccnc2c1 ZINC001239324494 1055871129 /nfs/dbraw/zinc/87/11/29/1055871129.db2.gz OVASVFRQSBPPIW-UHFFFAOYSA-N 0 3 313.788 4.320 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H]1CCCC[C@@H]1CC ZINC001569753183 1055871258 /nfs/dbraw/zinc/87/12/58/1055871258.db2.gz LEXOASKPXITXTN-GOEBONIOSA-N 0 3 317.477 4.259 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(OC(F)F)cc2)cc1 ZINC000061780342 1055871321 /nfs/dbraw/zinc/87/13/21/1055871321.db2.gz MLXFWNZMUOIWHQ-UHFFFAOYSA-N 0 3 321.367 4.319 20 0 DIADHN Cc1cccc(CNC[C@H](CC(C)C)C(=O)OC(C)(C)C)c1F ZINC001620978312 1055872376 /nfs/dbraw/zinc/87/23/76/1055872376.db2.gz DFSAHOHDJRJNPF-INIZCTEOSA-N 0 3 323.452 4.228 20 0 DIADHN Cc1cccc(CNC[C@@H](CC(C)C)C(=O)OC(C)(C)C)c1F ZINC001620978313 1055872435 /nfs/dbraw/zinc/87/24/35/1055872435.db2.gz DFSAHOHDJRJNPF-MRXNPFEDSA-N 0 3 323.452 4.228 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1N[C@H]1CCOC2(CCCCC2)C1 ZINC001620978999 1055883065 /nfs/dbraw/zinc/88/30/65/1055883065.db2.gz HKZIJCNOOWRRSF-SCTDSRPQSA-N 0 3 303.421 4.095 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@@H]1CCOC2(CCCCC2)C1 ZINC001620978996 1055883491 /nfs/dbraw/zinc/88/34/91/1055883491.db2.gz HKZIJCNOOWRRSF-AOIWGVFYSA-N 0 3 303.421 4.095 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@H]1CCOC2(CCCCC2)C1 ZINC001620978998 1055883658 /nfs/dbraw/zinc/88/36/58/1055883658.db2.gz HKZIJCNOOWRRSF-LNLFQRSKSA-N 0 3 303.421 4.095 20 0 DIADHN CC[C@@H](C)CC(=O)NC[C@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001570061929 1055885163 /nfs/dbraw/zinc/88/51/63/1055885163.db2.gz HRPCWENSLDWFFA-VQIMIIECSA-N 0 3 318.505 4.040 20 0 DIADHN CC/C=C(\C)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001539702287 1055888227 /nfs/dbraw/zinc/88/82/27/1055888227.db2.gz ZIEAPIKSMSQLPG-VRXKTSEUSA-N 0 3 322.880 4.195 20 0 DIADHN Cc1cccc(C(=O)Nc2ccc(CN(C)C)cc2F)c1Cl ZINC001570312536 1055896079 /nfs/dbraw/zinc/89/60/79/1055896079.db2.gz UJRPVOJPJKUUAX-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN c1ccc(-c2ccc(-c3cnccc3N3CCOCC3)cc2)cc1 ZINC001239492337 1055906326 /nfs/dbraw/zinc/90/63/26/1055906326.db2.gz DEDKAWZEYZFCMB-UHFFFAOYSA-N 0 3 316.404 4.252 20 0 DIADHN c1ccc(Oc2ccc(-c3ccc4c(n3)OCCNC4)cc2)cc1 ZINC001239492854 1055908347 /nfs/dbraw/zinc/90/83/47/1055908347.db2.gz JJRNMVYWOYPBLV-UHFFFAOYSA-N 0 3 318.376 4.023 20 0 DIADHN C[C@H](CC1CCOCC1)NC1(c2c(F)cccc2F)CCC1 ZINC000624344257 1055909061 /nfs/dbraw/zinc/90/90/61/1055909061.db2.gz HVZYBVJBFHGCMJ-CYBMUJFWSA-N 0 3 309.400 4.139 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](NC3(c4c(F)cccc4F)CCC3)C[C@H]2C1 ZINC000624345548 1055913337 /nfs/dbraw/zinc/91/33/37/1055913337.db2.gz LZWRJBNVQKQNRH-ZJIFFRHRSA-N 0 3 321.411 4.137 20 0 DIADHN Clc1ccc(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)c(Cl)c1 ZINC001203553533 1055920953 /nfs/dbraw/zinc/92/09/53/1055920953.db2.gz HIJSEEWVMQTEKZ-VZJVUDMVSA-N 0 3 300.229 4.041 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H](C)COc1ccccc1 ZINC001620751032 1055924067 /nfs/dbraw/zinc/92/40/67/1055924067.db2.gz WZEIKNIJECZFOJ-AWEZNQCLSA-N 0 3 319.832 4.296 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccc(F)c1 ZINC000671738917 1055925592 /nfs/dbraw/zinc/92/55/92/1055925592.db2.gz SQKHZTJJOTXIKT-MNOVXSKESA-N 0 3 300.333 4.084 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccs1 ZINC000671743072 1055937828 /nfs/dbraw/zinc/93/78/28/1055937828.db2.gz XAFWZFFARCKEGT-PWSUYJOCSA-N 0 3 302.399 4.397 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2F)c1ccc2[nH]c(=O)oc2c1 ZINC000671744096 1055938384 /nfs/dbraw/zinc/93/83/84/1055938384.db2.gz BILXFCJLYPUROJ-BONVTDFDSA-N 0 3 312.344 4.011 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671744167 1055939492 /nfs/dbraw/zinc/93/94/92/1055939492.db2.gz MCXOLZCIGLMEQV-LRDDRELGSA-N 0 3 308.381 4.180 20 0 DIADHN CC(C)(CNCc1nc2c(s1)CCCC2)c1ccc(F)cc1 ZINC001620771878 1055940114 /nfs/dbraw/zinc/94/01/14/1055940114.db2.gz YMUQHPPPJKXHKO-UHFFFAOYSA-N 0 3 318.461 4.228 20 0 DIADHN CN(Cc1nnc(-c2ccccc2Cl)o1)C(C1CC1)C1CC1 ZINC000065243420 1055942331 /nfs/dbraw/zinc/94/23/31/1055942331.db2.gz MUWNWSXHOWETID-UHFFFAOYSA-N 0 3 317.820 4.010 20 0 DIADHN CN(Cc1ccc(-c2ccccc2C(F)(F)F)nc1)C1CC1 ZINC001239543772 1055942747 /nfs/dbraw/zinc/94/27/47/1055942747.db2.gz IPFGYSODMPOWHQ-UHFFFAOYSA-N 0 3 306.331 4.362 20 0 DIADHN COc1ccc(Br)c(CN(C)C[C@@H]2CC=CCC2)c1 ZINC001616549380 1055944327 /nfs/dbraw/zinc/94/43/27/1055944327.db2.gz QEEVMSNMYHVRCQ-CYBMUJFWSA-N 0 3 324.262 4.246 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](CC(C)(C)C)C2)c(C)c1 ZINC001618599661 1055951393 /nfs/dbraw/zinc/95/13/93/1055951393.db2.gz QOZNMHMRHPCMDJ-SJORKVTESA-N 0 3 316.489 4.389 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@H](CC(C)(C)C)C2)c(C)c1 ZINC001618599658 1055951430 /nfs/dbraw/zinc/95/14/30/1055951430.db2.gz QOZNMHMRHPCMDJ-DLBZAZTESA-N 0 3 316.489 4.389 20 0 DIADHN Cc1ccc(CNCCOc2ccc(F)c(F)c2)c(Cl)c1 ZINC001620783380 1055951960 /nfs/dbraw/zinc/95/19/60/1055951960.db2.gz JDQHRLMXNUSQLK-UHFFFAOYSA-N 0 3 311.759 4.095 20 0 DIADHN CCCC[C@](C)(CO)NCc1cc(Cl)cc(Cl)c1OC ZINC001620985097 1055957123 /nfs/dbraw/zinc/95/71/23/1055957123.db2.gz SXPTWVMJBUAEAL-OAHLLOKOSA-N 0 3 320.260 4.033 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)[C@@H](O)c1ccc(C)cc1 ZINC001620985817 1055959088 /nfs/dbraw/zinc/95/90/88/1055959088.db2.gz WHRICXXJZLPTMC-IFXJQAMLSA-N 0 3 315.457 4.406 20 0 DIADHN Fc1ccc(CNC[C@H]2CSc3ccccc3O2)c(Cl)c1 ZINC001620985621 1055959304 /nfs/dbraw/zinc/95/93/04/1055959304.db2.gz PHDUPOBWFNHONT-ZDUSSCGKSA-N 0 3 323.820 4.122 20 0 DIADHN COc1cccc2c1OCC[C@@H]2NCc1cc(C)c(C)cc1C ZINC001620988289 1055960927 /nfs/dbraw/zinc/96/09/27/1055960927.db2.gz MUOKCDIRMNRDMV-SFHVURJKSA-N 0 3 311.425 4.234 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000065827039 1055964725 /nfs/dbraw/zinc/96/47/25/1055964725.db2.gz KYFIPLRGJPCTFM-LNLFQRSKSA-N 0 3 316.489 4.155 20 0 DIADHN Fc1cccc(OC(F)F)c1CNCCC1CCCCC1 ZINC001621302268 1055965702 /nfs/dbraw/zinc/96/57/02/1055965702.db2.gz MXRFQJLRXFNCJC-UHFFFAOYSA-N 0 3 301.352 4.487 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@@H](C)[C@@H]2c2ccccc2)cc1 ZINC001620569854 1055966444 /nfs/dbraw/zinc/96/64/44/1055966444.db2.gz QLFIGQKGZVFIOU-IIBYNOLFSA-N 0 3 322.452 4.271 20 0 DIADHN Cc1nn(Cc2ccccc2)c(Cl)c1CNCCC(C)(C)F ZINC001620998063 1055967489 /nfs/dbraw/zinc/96/74/89/1055967489.db2.gz JILZYPAQXCGSKC-UHFFFAOYSA-N 0 3 323.843 4.121 20 0 DIADHN Fc1ccc(COc2ccccc2CN[C@@H]2C=CCC2)c(F)c1 ZINC001620997982 1055967655 /nfs/dbraw/zinc/96/76/55/1055967655.db2.gz GIYNEEXAIFLJGR-QGZVFWFLSA-N 0 3 315.363 4.352 20 0 DIADHN Cc1cccc2cc(CNC3CC4(CC4(F)F)C3)c(Cl)nc12 ZINC001620998460 1055967740 /nfs/dbraw/zinc/96/77/40/1055967740.db2.gz ZBGBTYMACCTSRJ-UHFFFAOYSA-N 0 3 322.786 4.474 20 0 DIADHN CC[C@H](COCC1CC1)NCc1ccc(-c2ccccc2F)o1 ZINC001621001820 1055969303 /nfs/dbraw/zinc/96/93/03/1055969303.db2.gz BAKKQCZQVQBTBC-OAHLLOKOSA-N 0 3 317.404 4.381 20 0 DIADHN COc1cccc(-c2ccc(CNCc3ccncc3)cc2)c1 ZINC001620793720 1055971056 /nfs/dbraw/zinc/97/10/56/1055971056.db2.gz CFHGSDMZHQQNCZ-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@@H](C)C[C@@H](C)C2)n1 ZINC001620591898 1055971085 /nfs/dbraw/zinc/97/10/85/1055971085.db2.gz UCDGDMHSODEZPF-IIAWOOMASA-N 0 3 313.445 4.474 20 0 DIADHN CCN(C)c1ccc(CN(Cc2ccc(C)c(C)c2)C2CC2)cn1 ZINC001621122088 1055971741 /nfs/dbraw/zinc/97/17/41/1055971741.db2.gz RTQKEUHTSUHEOO-UHFFFAOYSA-N 0 3 323.484 4.319 20 0 DIADHN CC(C)[C@@H](NCc1cccc2nccn21)c1ccccc1Cl ZINC001620795309 1055972845 /nfs/dbraw/zinc/97/28/45/1055972845.db2.gz AIOLEUHPVZNSQD-GOSISDBHSA-N 0 3 313.832 4.475 20 0 DIADHN C[C@H](CNCc1ccc(Oc2ccccc2)o1)c1ccccn1 ZINC001621004489 1055973262 /nfs/dbraw/zinc/97/32/62/1055973262.db2.gz ZAXFRRBFZYPNFV-OAHLLOKOSA-N 0 3 308.381 4.360 20 0 DIADHN Brc1cncc([C@@H]2CCCN2C[C@@H]2C[C@H]3C[C@H]3C2)c1 ZINC001620601868 1055973359 /nfs/dbraw/zinc/97/33/59/1055973359.db2.gz OBSMYCVBQYOAFX-ZWUHOBOKSA-N 0 3 321.262 4.027 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2c(C3CC3)cnn2C)cc1C ZINC001621008646 1055976390 /nfs/dbraw/zinc/97/63/90/1055976390.db2.gz BSUKQIGXMVBNNR-WAYWQWQTSA-N 0 3 309.457 4.026 20 0 DIADHN Clc1sccc1CN[C@H]1[C@H]2Cc3cc(Cl)ccc3[C@H]21 ZINC001621013106 1055977676 /nfs/dbraw/zinc/97/76/76/1055977676.db2.gz QZCBCEJKEUVBAX-MJBXVCDLSA-N 0 3 310.249 4.483 20 0 DIADHN CCO[C@@]1(C)C[C@H]1NCc1cnc(-c2ccc(C)c(C)c2)s1 ZINC001621011662 1055978077 /nfs/dbraw/zinc/97/80/77/1055978077.db2.gz PCZRDTIJKDKRBP-AEFFLSMTSA-N 0 3 316.470 4.084 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1cnccc1C(F)(F)F ZINC001621013034 1055978489 /nfs/dbraw/zinc/97/84/89/1055978489.db2.gz NUMNSVVTMMCBGB-LCYFTJDESA-N 0 3 306.331 4.294 20 0 DIADHN CC(C)Cc1ccccc1CNCc1ccc2c(c1)C[C@H](C)O2 ZINC001621014061 1055979566 /nfs/dbraw/zinc/97/95/66/1055979566.db2.gz DLOSBSXBUCFRQQ-INIZCTEOSA-N 0 3 309.453 4.498 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OC)c(OC)c2)c1F ZINC001239617995 1055979539 /nfs/dbraw/zinc/97/95/39/1055979539.db2.gz KGLWOJDXHRQRPF-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc3scnc3c2)cc1 ZINC001620697872 1055982124 /nfs/dbraw/zinc/98/21/24/1055982124.db2.gz ZSKJMHAMTMBWLS-UHFFFAOYSA-N 0 3 320.364 4.188 20 0 DIADHN Clc1ccc(CNCC2(Sc3ccccc3)CC2)nc1 ZINC001649095370 1055982408 /nfs/dbraw/zinc/98/24/08/1055982408.db2.gz DEAWZJDIIZUSOD-UHFFFAOYSA-N 0 3 304.846 4.150 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC)cc2OC)ccc1F ZINC001239623974 1055983888 /nfs/dbraw/zinc/98/38/88/1055983888.db2.gz ZSXJJFLFQOEMOI-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN Fc1ccc(CNCCCc2ccco2)c(Cl)c1Cl ZINC001621018941 1055984666 /nfs/dbraw/zinc/98/46/66/1055984666.db2.gz RGNKPNJIJVCQMD-UHFFFAOYSA-N 0 3 302.176 4.448 20 0 DIADHN Fc1cccc(Cl)c1CNCCc1nsc2ccccc12 ZINC001621018972 1055985678 /nfs/dbraw/zinc/98/56/78/1055985678.db2.gz STLDAHIUIGUCEK-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Brc1cscc1CN[C@H]1C[C@H]1Cc1ccccc1 ZINC001620802750 1055997203 /nfs/dbraw/zinc/99/72/03/1055997203.db2.gz WSNJMTRZNQYZPQ-DOMZBBRYSA-N 0 3 322.271 4.231 20 0 DIADHN COc1cc(CN[C@@H]2CCCC[C@@H]2F)cc(C(F)(F)F)c1 ZINC001621025666 1055990441 /nfs/dbraw/zinc/99/04/41/1055990441.db2.gz ATMAFTMODHWQEX-UONOGXRCSA-N 0 3 305.315 4.084 20 0 DIADHN CN(CCCNCc1cscc1Cl)c1ccccc1F ZINC001620738798 1055990858 /nfs/dbraw/zinc/99/08/58/1055990858.db2.gz JZNGEUYXAJZSPH-UHFFFAOYSA-N 0 3 312.841 4.157 20 0 DIADHN CCOc1cccc(F)c1CNC[C@H]1CCCO[C@@H]1C(C)(C)C ZINC001620798271 1055993036 /nfs/dbraw/zinc/99/30/36/1055993036.db2.gz ZBMZTGBMUZUDLP-KDOFPFPSSA-N 0 3 323.452 4.155 20 0 DIADHN c1nc2cc(CNCc3ccc4cccnc4c3)ccc2s1 ZINC001621031547 1055994940 /nfs/dbraw/zinc/99/49/40/1055994940.db2.gz UYRYYABLMMSZHG-UHFFFAOYSA-N 0 3 305.406 4.134 20 0 DIADHN Clc1c(CN[C@]23C[C@H]2CCCC3)cnn1Cc1ccccc1 ZINC001621031996 1055995206 /nfs/dbraw/zinc/99/52/06/1055995206.db2.gz KRQKUZAUBGGEJG-SJLPKXTDSA-N 0 3 315.848 4.007 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000671760850 1055997314 /nfs/dbraw/zinc/99/73/14/1055997314.db2.gz SLSVQIALMUDUHH-JTQLQIEISA-N 0 3 318.323 4.322 20 0 DIADHN C[C@H](NCc1ccc(-c2cccc(F)c2)s1)c1ccnn1C ZINC001621039017 1056000731 /nfs/dbraw/zinc/00/07/31/1056000731.db2.gz RKEODEKQGVFPDT-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN c1ccc(-c2cccc(-c3cnccc3N3CCOCC3)c2)cc1 ZINC001239651738 1056001108 /nfs/dbraw/zinc/00/11/08/1056001108.db2.gz OFGZCSQFRYHTCS-UHFFFAOYSA-N 0 3 316.404 4.252 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H](CCO)c1cccs1 ZINC001620805445 1056001168 /nfs/dbraw/zinc/00/11/68/1056001168.db2.gz UFEHGBWREHFSDT-HNNXBMFYSA-N 0 3 309.862 4.232 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(F)c(Cl)c1Cl ZINC001620804914 1056001223 /nfs/dbraw/zinc/00/12/23/1056001223.db2.gz CADUTYMTEPJVMX-LLVKDONJSA-N 0 3 308.224 4.427 20 0 DIADHN CCCCn1nc(C)c(CNCC2(C)CC(F)(F)C2)c1Cl ZINC001621049079 1056008604 /nfs/dbraw/zinc/00/86/04/1056008604.db2.gz MJDHXZNVPLUSMG-UHFFFAOYSA-N 0 3 319.827 4.170 20 0 DIADHN CCCc1ccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)cc1 ZINC001540292870 1056009271 /nfs/dbraw/zinc/00/92/71/1056009271.db2.gz UAFDMQLPRHPPCQ-IBGZPJMESA-N 0 3 314.473 4.375 20 0 DIADHN CSc1cccc(F)c1CNCCc1ccc(F)cc1F ZINC001621326162 1056033715 /nfs/dbraw/zinc/03/37/15/1056033715.db2.gz HXERLLRDOOTHFC-UHFFFAOYSA-N 0 3 311.372 4.158 20 0 DIADHN Cc1cc(C)cc(C[C@H](C)NCc2ccn(-c3ccccc3)n2)c1 ZINC001620816918 1056034616 /nfs/dbraw/zinc/03/46/16/1056034616.db2.gz AEFQDEKODDRVIT-SFHVURJKSA-N 0 3 319.452 4.210 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(F)ccc3F)c(C)c2)CC1 ZINC001239709742 1056036824 /nfs/dbraw/zinc/03/68/24/1056036824.db2.gz HEFVLVLGLZIVDC-UHFFFAOYSA-N 0 3 314.379 4.444 20 0 DIADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1cc(Cl)cc2c1OCC2 ZINC001621334804 1056037268 /nfs/dbraw/zinc/03/72/68/1056037268.db2.gz XFNUCWQHAPEDQH-IAGOWNOFSA-N 0 3 307.865 4.387 20 0 DIADHN COc1ccc(F)cc1-c1cccc(CN2CCCC2)c1F ZINC001239724699 1056042869 /nfs/dbraw/zinc/04/28/69/1056042869.db2.gz XABIDMAPYYJUSH-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COc1ccc(F)cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001239723829 1056043788 /nfs/dbraw/zinc/04/37/88/1056043788.db2.gz GYVHLUXFHBFIDJ-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COc1cccc2c1CN(C[C@@H](c1ccccc1)C(C)C)CC2 ZINC001621340167 1056047451 /nfs/dbraw/zinc/04/74/51/1056047451.db2.gz CJPVQXAEUYESAJ-LJQANCHMSA-N 0 3 309.453 4.493 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC001583263862 1056048544 /nfs/dbraw/zinc/04/85/44/1056048544.db2.gz QDUGHQYYOIRLSU-OWCLPIDISA-N 0 3 302.462 4.034 20 0 DIADHN COc1cc(CNCc2cccc3ccoc32)c2ccccc2n1 ZINC001620817336 1056049222 /nfs/dbraw/zinc/04/92/22/1056049222.db2.gz RNGARPNREFHQQE-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN CC(C)CN(C(=O)c1cccc2ccccc21)C1CCN(C)CC1 ZINC000074139518 1056052814 /nfs/dbraw/zinc/05/28/14/1056052814.db2.gz VSVFJMHRAZYOKV-UHFFFAOYSA-N 0 3 324.468 4.032 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000007587443 1056063352 /nfs/dbraw/zinc/06/33/52/1056063352.db2.gz IGKWOGRHBRVITO-YOEHRIQHSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@@H]3CCCC[C@@H]32)c(C)c1 ZINC000007587090 1056064055 /nfs/dbraw/zinc/06/40/55/1056064055.db2.gz IQFHWSGCPUGGIA-ROUUACIJSA-N 0 3 314.473 4.205 20 0 DIADHN CCc1nc(OC)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001239779043 1056070595 /nfs/dbraw/zinc/07/05/95/1056070595.db2.gz KXUMOXIEYGCFLY-UHFFFAOYSA-N 0 3 314.404 4.055 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2cc(C)c(C)cc2C)c1 ZINC000028924933 1056071397 /nfs/dbraw/zinc/07/13/97/1056071397.db2.gz OROUEIPQWLGDNI-MRXNPFEDSA-N 0 3 310.441 4.421 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1)c1ccc(NC(=O)C2CC2)cc1 ZINC000028925308 1056071490 /nfs/dbraw/zinc/07/14/90/1056071490.db2.gz WOXDHWUWVRIIKV-CYBMUJFWSA-N 0 3 312.388 4.025 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccccc2NC(C)=O)c1F ZINC001239782510 1056071960 /nfs/dbraw/zinc/07/19/60/1056071960.db2.gz UGRPAVWHGRFZQX-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN c1ccc2ncc(-c3cncc(CN4CCCCC4)c3)cc2c1 ZINC001239795256 1056078011 /nfs/dbraw/zinc/07/80/11/1056078011.db2.gz OXOKQLWKGVAGGB-UHFFFAOYSA-N 0 3 303.409 4.283 20 0 DIADHN COc1cccc(-c2cccc(CN3CCCC3)c2F)c1OC ZINC001239820038 1056088668 /nfs/dbraw/zinc/08/86/68/1056088668.db2.gz UVEYFDHBEWGMKJ-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCCC3(CC3)CC2)c(C)c1 ZINC000626113098 1056095217 /nfs/dbraw/zinc/09/52/17/1056095217.db2.gz FDDNGOMIGQXBDF-QGZVFWFLSA-N 0 3 314.473 4.205 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001542197495 1056110112 /nfs/dbraw/zinc/11/01/12/1056110112.db2.gz CDNGJIZZUMSRRH-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(C(F)(F)F)cn1)c1ccccc1 ZINC000902758629 1056110844 /nfs/dbraw/zinc/11/08/44/1056110844.db2.gz IGIZZORSHNAMPG-WBMJQRKESA-N 0 3 324.346 4.139 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)CCC1CCCCC1 ZINC001542329960 1056115813 /nfs/dbraw/zinc/11/58/13/1056115813.db2.gz RHPMOXHIWZYDSE-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN Clc1ccccc1-c1nnc(CN2CCCC3(CC3)CC2)o1 ZINC000626113127 1056123490 /nfs/dbraw/zinc/12/34/90/1056123490.db2.gz FTIVQVARMNUUCL-UHFFFAOYSA-N 0 3 317.820 4.156 20 0 DIADHN Cc1nc(-c2ccc(C(=O)N3CCCCC3)cc2)cc2[nH]ccc21 ZINC001239902269 1056146859 /nfs/dbraw/zinc/14/68/59/1056146859.db2.gz QSEFWBSZLXEXLD-UHFFFAOYSA-N 0 3 319.408 4.164 20 0 DIADHN CC[C@@H](C)CCC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001611432862 1056158781 /nfs/dbraw/zinc/15/87/81/1056158781.db2.gz CVYJEQPTNSAYSI-MJGOQNOKSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@H](NCCCc1c(Cl)cccc1Cl)c1nccn1C ZINC000655820789 1056232630 /nfs/dbraw/zinc/23/26/30/1056232630.db2.gz LJEPZQHNJKOAER-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN COc1cc(F)ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001240000811 1056237485 /nfs/dbraw/zinc/23/74/85/1056237485.db2.gz RTUXJDBNUSWUJG-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN Fc1cc(-c2ccc3cncnc3c2)ccc1CN1CCCCC1 ZINC001240000680 1056237547 /nfs/dbraw/zinc/23/75/47/1056237547.db2.gz ZUZOFIVHYNALCT-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN C[C@@H](Cc1ccccn1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000655836323 1056240150 /nfs/dbraw/zinc/24/01/50/1056240150.db2.gz IDNRSAJBXWXQPH-GJZGRUSLSA-N 0 3 323.465 4.487 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(-c3ccc(C)cc3)s2)[C@@H](C)C1 ZINC000282283036 1056254964 /nfs/dbraw/zinc/25/49/64/1056254964.db2.gz LELBBRKSFVDODJ-GOEBONIOSA-N 0 3 316.470 4.118 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCc3c(C)ccc(C)c32)ccn1 ZINC000347636340 1056255029 /nfs/dbraw/zinc/25/50/29/1056255029.db2.gz ZKTDMGIUYSJJDD-CRAIPNDOSA-N 0 3 310.441 4.435 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3occc3c2)CC1 ZINC000347636613 1056255666 /nfs/dbraw/zinc/25/56/66/1056255666.db2.gz JMNXCSGBJBDWRG-UHFFFAOYSA-N 0 3 301.430 4.460 20 0 DIADHN FC(F)(F)OCCN[C@H]1CCSc2c(Cl)cccc21 ZINC000353311911 1056300597 /nfs/dbraw/zinc/30/05/97/1056300597.db2.gz HUGFBJBHMSWFHC-JTQLQIEISA-N 0 3 311.756 4.003 20 0 DIADHN CCCCNC(=O)c1cccc(-c2cccc(C3=NCCC3)c2)c1 ZINC001240088328 1056316105 /nfs/dbraw/zinc/31/61/05/1056316105.db2.gz MOZPWJIRMMEIAP-UHFFFAOYSA-N 0 3 320.436 4.466 20 0 DIADHN Fc1ccc(C2(NC[C@H]3CCC4(CCC4)CO3)CCC2)c(F)c1 ZINC001168641850 1056317845 /nfs/dbraw/zinc/31/78/45/1056317845.db2.gz BYMSAQTXPODQQN-OAHLLOKOSA-N 0 3 321.411 4.283 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCc2cc(OC)ccc21 ZINC000765849057 1056322980 /nfs/dbraw/zinc/32/29/80/1056322980.db2.gz MMUHMSJKLYLVCA-FQEVSTJZSA-N 0 3 324.468 4.319 20 0 DIADHN CC(C)c1ccc(C(C)(C)NCc2cnn(CCF)c2)cc1 ZINC000921696084 1056324532 /nfs/dbraw/zinc/32/45/32/1056324532.db2.gz DEPXSRWIFHWVPC-UHFFFAOYSA-N 0 3 303.425 4.001 20 0 DIADHN FCCn1cc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000921695727 1056324598 /nfs/dbraw/zinc/32/45/98/1056324598.db2.gz VLKXEXSAJMMKRT-KRWDZBQOSA-N 0 3 321.827 4.137 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2ccc(OC)cc21 ZINC000765850763 1056327668 /nfs/dbraw/zinc/32/76/68/1056327668.db2.gz WMAPJKQHSUBAKC-HXUWFJFHSA-N 0 3 324.468 4.319 20 0 DIADHN CC[C@@H](CC(=O)N(CCN(C)C)CC1CCCCC1)C(C)C ZINC001543934903 1056328875 /nfs/dbraw/zinc/32/88/75/1056328875.db2.gz WZGJDFFSCVYBIC-SFHVURJKSA-N 0 3 310.526 4.029 20 0 DIADHN COc1ccc(F)c(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001240120477 1056339080 /nfs/dbraw/zinc/33/90/80/1056339080.db2.gz RRCPULHKZFSCLX-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CN(CC(=O)N[C@H](CC(C)(C)C)c1ccccc1)CC(C)(C)C ZINC001544013094 1056344779 /nfs/dbraw/zinc/34/47/79/1056344779.db2.gz MFHNHMOGUPRCPD-QGZVFWFLSA-N 0 3 318.505 4.258 20 0 DIADHN COc1ccc(CNCc2cccc(OC3CCCC3)c2)cc1 ZINC000032226202 1056349344 /nfs/dbraw/zinc/34/93/44/1056349344.db2.gz RXTCMZYBDFALNQ-UHFFFAOYSA-N 0 3 311.425 4.306 20 0 DIADHN FC(F)(F)c1ccc(-c2cn[nH]c2)c(CN2CCCCC2)c1 ZINC001240244125 1056382327 /nfs/dbraw/zinc/38/23/27/1056382327.db2.gz ZITACVOIILRZGH-UHFFFAOYSA-N 0 3 309.335 4.081 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@H]2CCCc3cccnc32)nc1 ZINC001168655158 1056389560 /nfs/dbraw/zinc/38/95/60/1056389560.db2.gz KWBVMPNYYZUEEO-ROUUACIJSA-N 0 3 322.456 4.123 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc2[nH]c(=O)oc21)C1CC1 ZINC000921731602 1056391215 /nfs/dbraw/zinc/39/12/15/1056391215.db2.gz DWNGREUEVFIQOR-KRWDZBQOSA-N 0 3 308.381 4.083 20 0 DIADHN CCC(CC)[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001544268833 1056397337 /nfs/dbraw/zinc/39/73/37/1056397337.db2.gz OFVZUNVBPDZJHB-YRNRMSPPSA-N 0 3 316.489 4.153 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc4n[nH]cc43)c(C)c2)CC1 ZINC001240311428 1056422761 /nfs/dbraw/zinc/42/27/61/1056422761.db2.gz ORYGRFCZXGVZRX-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCC3(C2)CCCCC3)c(C)c1 ZINC000303850361 1056427297 /nfs/dbraw/zinc/42/72/97/1056427297.db2.gz DLEAXGDBGLQLHF-QGZVFWFLSA-N 0 3 314.473 4.287 20 0 DIADHN CCCC(C)(C)C(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001544518184 1056448320 /nfs/dbraw/zinc/44/83/20/1056448320.db2.gz SAXPAFAQRDEOKG-SFHVURJKSA-N 0 3 316.489 4.074 20 0 DIADHN CCCN(CCN(C)C)C(=O)C1(C2CCCCC2)CCCCC1 ZINC001544543744 1056453691 /nfs/dbraw/zinc/45/36/91/1056453691.db2.gz XOIGAHDDICGBKN-UHFFFAOYSA-N 0 3 322.537 4.317 20 0 DIADHN CCOC(=O)CCN(Cc1ccc(C)s1)Cc1ccccc1 ZINC000033870674 1056459670 /nfs/dbraw/zinc/45/96/70/1056459670.db2.gz YHFLSAVMGKTNTC-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN Cc1c(-c2ccc(C(F)(F)F)cc2CN2CCCC2)cnn1C ZINC001240383103 1056463853 /nfs/dbraw/zinc/46/38/53/1056463853.db2.gz RMWFWUGVRUKNFV-UHFFFAOYSA-N 0 3 323.362 4.010 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(Cc3ccccc3)cc2)n1 ZINC001240384295 1056463866 /nfs/dbraw/zinc/46/38/66/1056463866.db2.gz VEJWBMQGBWWWHR-UHFFFAOYSA-N 0 3 302.421 4.401 20 0 DIADHN FC(F)c1ccnc(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240383443 1056464222 /nfs/dbraw/zinc/46/42/22/1056464222.db2.gz DAJSCVKQKJMNMK-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN c1coc(-c2ccnc(C3=CCCN(Cc4ccccc4)C3)n2)c1 ZINC001240384562 1056465966 /nfs/dbraw/zinc/46/59/66/1056465966.db2.gz PFGFLWVUQVNIFJ-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN Cc1nc(C2=CCCN(Cc3ccccc3)C2)nc2ccccc12 ZINC001240384485 1056466425 /nfs/dbraw/zinc/46/64/25/1056466425.db2.gz NVXXJSTURXXMBA-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CC(C)Oc1ccc(C2=CCCN(Cc3ccccc3)C2)nc1 ZINC001240385686 1056466741 /nfs/dbraw/zinc/46/67/41/1056466741.db2.gz GBRXZEGGTSJJKE-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Cc1ccc(C2=CCCN(Cc3ccccc3)C2)c2nsnc21 ZINC001240386461 1056466844 /nfs/dbraw/zinc/46/68/44/1056466844.db2.gz CXIXTIDHWXZUNJ-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CC(C)(C)c1ccc(C2=CCCN(Cc3ccccc3)C2)nn1 ZINC001240386394 1056466904 /nfs/dbraw/zinc/46/69/04/1056466904.db2.gz NVVJEGMVEDNBPE-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN CC(C)(C)c1cc(C2=CCCN(Cc3ccccc3)C2)ncn1 ZINC001240386359 1056467142 /nfs/dbraw/zinc/46/71/42/1056467142.db2.gz MJBQXVCOOZTSKS-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN CC(=O)Nc1ccc(C2=CCCN(Cc3ccccc3)C2)cc1F ZINC001240387251 1056469388 /nfs/dbraw/zinc/46/93/88/1056469388.db2.gz LARKSLOOWVNREG-UHFFFAOYSA-N 0 3 324.399 4.073 20 0 DIADHN CC[C@@H]1CO[C@H](C)CN1Cc1ccc(-c2ccsc2)cc1 ZINC001615163455 1056469715 /nfs/dbraw/zinc/46/97/15/1056469715.db2.gz CFYSNHDHRJQNCL-RDTXWAMCSA-N 0 3 301.455 4.414 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1cccc(COC)c1 ZINC001615150340 1056470305 /nfs/dbraw/zinc/47/03/05/1056470305.db2.gz RYFMWCIUAHCPMR-QGZVFWFLSA-N 0 3 301.455 4.404 20 0 DIADHN COC/C(C)=C/CN1CCC(Oc2ccc(C(C)C)cc2)CC1 ZINC001615185180 1056472598 /nfs/dbraw/zinc/47/25/98/1056472598.db2.gz XJBIWPIOSLPJDZ-RQZCQDPDSA-N 0 3 317.473 4.246 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(C(F)(F)F)cc1F ZINC001240400247 1056482099 /nfs/dbraw/zinc/48/20/99/1056482099.db2.gz ULXARANVVVVJLY-SOFGYWHQSA-N 0 3 310.294 4.439 20 0 DIADHN COc1cc(CN(C)Cc2c(C)cc(C)cc2C)cc(OC)c1 ZINC001615256292 1056485095 /nfs/dbraw/zinc/48/50/95/1056485095.db2.gz SMHQBYPMNWOXDW-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N(C)Cc1cc(OC)cc(OC)c1 ZINC001615255960 1056485191 /nfs/dbraw/zinc/48/51/91/1056485191.db2.gz NPDANRSSISXULR-IBGZPJMESA-N 0 3 317.404 4.426 20 0 DIADHN Cc1cccc(NC(=O)CN(CCc2ccccc2)CC(C)C)c1 ZINC001615279683 1056486977 /nfs/dbraw/zinc/48/69/77/1056486977.db2.gz BLFSNBSOHSICRX-UHFFFAOYSA-N 0 3 324.468 4.134 20 0 DIADHN Cc1nnc([C@@H](C)N[C@@H](C)C2CCC(C(F)(F)F)CC2)s1 ZINC000354656845 1056489839 /nfs/dbraw/zinc/48/98/39/1056489839.db2.gz BPSAWERPHYWZGK-CAQTZKSYSA-N 0 3 321.412 4.254 20 0 DIADHN Cc1nnc([C@@H](C)N[C@H](C)C2CCC(C(F)(F)F)CC2)s1 ZINC000354656850 1056490245 /nfs/dbraw/zinc/49/02/45/1056490245.db2.gz BPSAWERPHYWZGK-WWAQEKKBSA-N 0 3 321.412 4.254 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2ccn(-c3ccccc3F)n2)C1 ZINC001615302641 1056490370 /nfs/dbraw/zinc/49/03/70/1056490370.db2.gz HTFIUCPBDPVCOU-GOEBONIOSA-N 0 3 301.409 4.022 20 0 DIADHN CC[C@@H](CN(Cc1ccccc1)Cc1ccc(F)cc1)OC ZINC001615307934 1056491569 /nfs/dbraw/zinc/49/15/69/1056491569.db2.gz KZMORPNTVNZKQR-IBGZPJMESA-N 0 3 301.405 4.253 20 0 DIADHN COc1cccc(-c2nc(CN(C)[C@@H]3CCC[C@H](C)C3)co2)c1 ZINC001615308546 1056491584 /nfs/dbraw/zinc/49/15/84/1056491584.db2.gz PEAKLVWZEGHYPW-WMLDXEAASA-N 0 3 314.429 4.361 20 0 DIADHN CCC[C@H](Cc1ccccc1)N(CCC)Cc1cnns1 ZINC001615405343 1056503341 /nfs/dbraw/zinc/50/33/41/1056503341.db2.gz CHCLPEDIDOUZHN-MRXNPFEDSA-N 0 3 303.475 4.162 20 0 DIADHN CN(Cc1csc(-c2ccn(C)n2)n1)C1CCCCCCC1 ZINC001615401863 1056503528 /nfs/dbraw/zinc/50/35/28/1056503528.db2.gz MOCOELDXHFYYKN-UHFFFAOYSA-N 0 3 318.490 4.088 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N(CCC)Cc1cnns1 ZINC001615405339 1056504581 /nfs/dbraw/zinc/50/45/81/1056504581.db2.gz CHCLPEDIDOUZHN-INIZCTEOSA-N 0 3 303.475 4.162 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2cccc3c2OCCO3)cc1C ZINC001615405891 1056504695 /nfs/dbraw/zinc/50/46/95/1056504695.db2.gz NYKDDFNODXNPFI-LJQANCHMSA-N 0 3 323.436 4.412 20 0 DIADHN Brc1cnccc1CN(CC1CC1)C1CCCCC1 ZINC001615417809 1056507948 /nfs/dbraw/zinc/50/79/48/1056507948.db2.gz KXLURDWOXKJGGL-UHFFFAOYSA-N 0 3 323.278 4.389 20 0 DIADHN Cc1cccc2c1CCN(C[C@@H]1CC[C@@H](c3ccccc3)O1)C2 ZINC001615465921 1056512007 /nfs/dbraw/zinc/51/20/07/1056512007.db2.gz LUROZIAFRVRCRW-FPOVZHCZSA-N 0 3 307.437 4.273 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CCN(CCC2CCCC2)CC1 ZINC001615474203 1056515833 /nfs/dbraw/zinc/51/58/33/1056515833.db2.gz HTOMLROVBLZFHF-HNNXBMFYSA-N 0 3 322.443 4.224 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@H]2CC3(CCC3)CO2)cc1 ZINC001615485204 1056520195 /nfs/dbraw/zinc/52/01/95/1056520195.db2.gz OAVUEEJKTLJEBZ-QZTJIDSGSA-N 0 3 317.498 4.044 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cc1cc(CO)ccc1F ZINC001615484017 1056521024 /nfs/dbraw/zinc/52/10/24/1056521024.db2.gz ANBBNQAUDOFOSO-LJQANCHMSA-N 0 3 301.405 4.147 20 0 DIADHN Cc1ccc([C@H]2CSCCN2C[C@@H]2CCC(C)(C)CO2)cc1 ZINC001615486456 1056521761 /nfs/dbraw/zinc/52/17/61/1056521761.db2.gz XITSJZIIZZTTMN-ZWKOTPCHSA-N 0 3 319.514 4.290 20 0 DIADHN CCCC[C@H](CC)CN1CCC[C@@H]1C(=O)OCc1ccccc1 ZINC001615497248 1056522934 /nfs/dbraw/zinc/52/29/34/1056522934.db2.gz XNDONOULNHMFNU-PKOBYXMFSA-N 0 3 317.473 4.411 20 0 DIADHN Cc1nn(C)c2ccc(-c3ccc(F)c(CN4CCCC4)c3)cc12 ZINC001240469349 1056527312 /nfs/dbraw/zinc/52/73/12/1056527312.db2.gz VNYPXCSYIUYHNK-UHFFFAOYSA-N 0 3 323.415 4.284 20 0 DIADHN FC(F)(F)c1cccc(CN2CCc3ccccc3CC2)c1 ZINC001615535237 1056529467 /nfs/dbraw/zinc/52/94/67/1056529467.db2.gz BOSWHUKIURMYON-UHFFFAOYSA-N 0 3 305.343 4.306 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@H](C(C)C)CC2)c1 ZINC001615539960 1056529744 /nfs/dbraw/zinc/52/97/44/1056529744.db2.gz NFEFIFFXAJVHJV-KRWDZBQOSA-N 0 3 302.462 4.000 20 0 DIADHN CC(C)c1cccc(C(=O)N[C@H](C)CN(C)Cc2ccccc2)c1 ZINC001544940028 1056532174 /nfs/dbraw/zinc/53/21/74/1056532174.db2.gz VSMWMLFOTFCKJA-QGZVFWFLSA-N 0 3 324.468 4.060 20 0 DIADHN O=C(c1cccc(CN2CCC[C@H]3CCC[C@@H]32)c1)C(F)(F)F ZINC001615563722 1056535999 /nfs/dbraw/zinc/53/59/99/1056535999.db2.gz XXHVDFQMWFASDH-HIFRSBDPSA-N 0 3 311.347 4.196 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnn(C(C)C)c1)c1ccc2c(c1)CCO2 ZINC000765943405 1056536973 /nfs/dbraw/zinc/53/69/73/1056536973.db2.gz BPCZADGUWCXCLV-RDTXWAMCSA-N 0 3 313.445 4.201 20 0 DIADHN O=C(CN1CCC[C@@H]2CCC[C@@H]21)Nc1cccc2ccccc21 ZINC001615562526 1056537995 /nfs/dbraw/zinc/53/79/95/1056537995.db2.gz JUEHACXETRSUOX-LPHOPBHVSA-N 0 3 308.425 4.043 20 0 DIADHN Fc1ccccc1C1CCN(C[C@H]2CCC3(CCC3)CO2)CC1 ZINC001615569511 1056540059 /nfs/dbraw/zinc/54/00/59/1056540059.db2.gz MECFRAYCYQLYBR-QGZVFWFLSA-N 0 3 317.448 4.354 20 0 DIADHN CCCCN(Cc1ccc(Cl)nn1)[C@H](C)c1ccccc1 ZINC001615629125 1056546085 /nfs/dbraw/zinc/54/60/85/1056546085.db2.gz DDDVCYUVPMMPJZ-CQSZACIVSA-N 0 3 303.837 4.493 20 0 DIADHN C[C@@H]1c2c(F)cccc2CCN1CCN1CCCc2ccccc21 ZINC001615631223 1056548721 /nfs/dbraw/zinc/54/87/21/1056548721.db2.gz ZTBBTADOQPQMKA-MRXNPFEDSA-N 0 3 324.443 4.198 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C(C)C)nc2C)C1(C)C ZINC001615630901 1056550053 /nfs/dbraw/zinc/55/00/53/1056550053.db2.gz SWCUWJFHHVMPRL-MSOLQXFVSA-N 0 3 304.478 4.149 20 0 DIADHN Cc1ccc([C@@H](CNCc2c(F)cccc2Cl)N(C)C)cc1 ZINC000062423348 1056552124 /nfs/dbraw/zinc/55/21/24/1056552124.db2.gz MPMMRSBWOMAABT-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@H]1Cc2cccc(Cl)c2C1 ZINC001168686647 1056555002 /nfs/dbraw/zinc/55/50/02/1056555002.db2.gz ZROGDBKCLQJWJG-HNNXBMFYSA-N 0 3 323.827 4.002 20 0 DIADHN CC(C)=CCCN1CCN([C@H](C)c2ccc(Cl)cc2)CC1 ZINC001615654969 1056555325 /nfs/dbraw/zinc/55/53/25/1056555325.db2.gz IXAKCUVQXXLMFF-MRXNPFEDSA-N 0 3 306.881 4.375 20 0 DIADHN c1cc(-c2cc(N3CCCCC3)ccn2)cc(N2CCCC2)c1 ZINC001240533999 1056558583 /nfs/dbraw/zinc/55/85/83/1056558583.db2.gz BXKQYUZASCHLJC-UHFFFAOYSA-N 0 3 307.441 4.339 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCO[C@H]2CCCCO2)o1 ZINC001615668844 1056560650 /nfs/dbraw/zinc/56/06/50/1056560650.db2.gz NIHXMYARLIHGFZ-IEZWGBDMSA-N 0 3 321.461 4.158 20 0 DIADHN CCc1ccc([C@H]2C[C@@H](C)CCN2CCc2cscn2)o1 ZINC001615669351 1056561892 /nfs/dbraw/zinc/56/18/92/1056561892.db2.gz UHSCRGPFQBGQDR-XJKSGUPXSA-N 0 3 304.459 4.314 20 0 DIADHN CCC[C@@]1(C)CCCN(Cc2cnn(Cc3ccccc3)c2)C1 ZINC001615674639 1056562594 /nfs/dbraw/zinc/56/25/94/1056562594.db2.gz SWNSCBOYHKGGJC-FQEVSTJZSA-N 0 3 311.473 4.334 20 0 DIADHN Cc1ccc(CCN(Cc2ccco2)C[C@@H]2CC[C@H](C)O2)cc1 ZINC001615718766 1056568136 /nfs/dbraw/zinc/56/81/36/1056568136.db2.gz BPPXOADBQQBKCW-PXNSSMCTSA-N 0 3 313.441 4.200 20 0 DIADHN C[C@H]1Cc2ccccc2CN1CCOc1ccc(Cl)c(F)c1 ZINC001615725965 1056570035 /nfs/dbraw/zinc/57/00/35/1056570035.db2.gz OAIQVSUFEYIKBR-ZDUSSCGKSA-N 0 3 319.807 4.305 20 0 DIADHN C[C@@H](Cc1cccs1)N(C)Cc1cnc(-c2ccccc2)nc1 ZINC001615818079 1056580242 /nfs/dbraw/zinc/58/02/42/1056580242.db2.gz NRMNTAOWBPQCHP-HNNXBMFYSA-N 0 3 323.465 4.268 20 0 DIADHN CC(=O)Nc1cccc2c1CCN([C@H](C)c1cccc(C)c1)C2 ZINC000075643952 1056583028 /nfs/dbraw/zinc/58/30/28/1056583028.db2.gz OKULAGWDPBWNIX-OAHLLOKOSA-N 0 3 308.425 4.073 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](c1ccc(Cl)cc1)C1CCCC1)N(C)C ZINC001585588698 1056584881 /nfs/dbraw/zinc/58/48/81/1056584881.db2.gz KCEPRZOMEILIMZ-DLBZAZTESA-N 0 3 322.880 4.028 20 0 DIADHN FC1(F)CCC[C@H]1CCN1CCOC2(C1)CCCCCC2 ZINC001615867155 1056588008 /nfs/dbraw/zinc/58/80/08/1056588008.db2.gz DHOOXXGIKZNNDW-HNNXBMFYSA-N 0 3 301.421 4.237 20 0 DIADHN Cc1cccc(F)c1-c1ccc(C2(N3CCN(C)CC3)CC2)cc1 ZINC001240589084 1056598273 /nfs/dbraw/zinc/59/82/73/1056598273.db2.gz MZZOQKLRJRWQQQ-UHFFFAOYSA-N 0 3 324.443 4.038 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2ccc(Cl)cn2)C1(CC)CC ZINC001615998837 1056604940 /nfs/dbraw/zinc/60/49/40/1056604940.db2.gz JUYAJROUUTZMFF-JKSUJKDBSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCSC(F)(F)F)CCC2 ZINC001616026655 1056607541 /nfs/dbraw/zinc/60/75/41/1056607541.db2.gz TVQFNZUOECVANG-SNVBAGLBSA-N 0 3 310.410 4.014 20 0 DIADHN CCC[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001616159688 1056616521 /nfs/dbraw/zinc/61/65/21/1056616521.db2.gz RRVUAJKWVRHNDZ-KBPBESRZSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@H](C)CC(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001616211404 1056621099 /nfs/dbraw/zinc/62/10/99/1056621099.db2.gz NOLZERVKFKOOHF-NSHDSACASA-N 0 3 316.367 4.142 20 0 DIADHN CC[C@@H](C)CC(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001616211403 1056621164 /nfs/dbraw/zinc/62/11/64/1056621164.db2.gz NOLZERVKFKOOHF-LLVKDONJSA-N 0 3 316.367 4.142 20 0 DIADHN Fc1cccc(CCN2CC=C(c3c[nH]c4ncccc34)CC2)c1 ZINC001616220934 1056621448 /nfs/dbraw/zinc/62/14/48/1056621448.db2.gz HBOPZGRBUKQYPU-UHFFFAOYSA-N 0 3 321.399 4.034 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2nc(-c3ccoc3)no2)C1 ZINC000076385770 1056622968 /nfs/dbraw/zinc/62/29/68/1056622968.db2.gz POFBODAYHBUSLU-ZBFHGGJFSA-N 0 3 323.396 4.016 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N(C)Cc1cc(F)ccc1Cl ZINC001616314786 1056633333 /nfs/dbraw/zinc/63/33/33/1056633333.db2.gz SZPHNIJWZOYEAK-GFCCVEGCSA-N 0 3 307.796 4.248 20 0 DIADHN Cc1ccc(CCN2CCc3c(F)cc(F)cc3[C@@H]2C)cc1 ZINC001616330280 1056635228 /nfs/dbraw/zinc/63/52/28/1056635228.db2.gz ALWADKZGMZHRPZ-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN CC[C@@]1(C)CCN(Cc2ccc(OCc3ccccc3)nc2)C1 ZINC001616347809 1056639546 /nfs/dbraw/zinc/63/95/46/1056639546.db2.gz RKCZJQKXSMDBJJ-FQEVSTJZSA-N 0 3 310.441 4.283 20 0 DIADHN CCCC[C@H](CC)CC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001128188567 1056649172 /nfs/dbraw/zinc/64/91/72/1056649172.db2.gz FXRPVAUGGYXVKI-ABSDTBQOSA-N 0 3 318.505 4.401 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@H]1C[C@H]1c1ccccc1 ZINC000338773888 1056651055 /nfs/dbraw/zinc/65/10/55/1056651055.db2.gz DYKFGYDAIBGADO-BGTYHANMSA-N 0 3 324.472 4.018 20 0 DIADHN CC(C)Oc1cccc(CN(CCO)[C@H](C)c2ccccc2)c1 ZINC001616440677 1056657010 /nfs/dbraw/zinc/65/70/10/1056657010.db2.gz BCDBESWLPFRGKC-QGZVFWFLSA-N 0 3 313.441 4.029 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(=Cc3cccc(F)c3)CC2)O1 ZINC001616457916 1056660075 /nfs/dbraw/zinc/66/00/75/1056660075.db2.gz YJSWGVYQJHDQLT-GOSISDBHSA-N 0 3 303.421 4.263 20 0 DIADHN Cc1ccccc1[C@H](CO)NCc1cccc(CC2CCC2)c1 ZINC001620831503 1056661439 /nfs/dbraw/zinc/66/14/39/1056661439.db2.gz WNGYLKHEWMOUFY-NRFANRHFSA-N 0 3 309.453 4.161 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN([C@H](C)[C@H](C)CC)C1CC1 ZINC000064808194 1056671697 /nfs/dbraw/zinc/67/16/97/1056671697.db2.gz VQYVUBVUGCBPEX-GDBMZVCRSA-N 0 3 316.489 4.395 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1cccc(Cl)c1C)C1CC1 ZINC000064807862 1056671829 /nfs/dbraw/zinc/67/18/29/1056671829.db2.gz ZFKVYWUCGLIREL-OCCSQVGLSA-N 0 3 322.880 4.486 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nnc(-c2ccc(C)cc2)o1)C1CC1 ZINC000064808218 1056671881 /nfs/dbraw/zinc/67/18/81/1056671881.db2.gz WGJJBWIXPFABMG-GJZGRUSLSA-N 0 3 313.445 4.444 20 0 DIADHN Cc1ccc(N2CCC[C@@H](N[C@@H](C)c3cccc(C)c3)C2=O)cc1 ZINC001616531220 1056672143 /nfs/dbraw/zinc/67/21/43/1056672143.db2.gz OSLDGCZPCHTGMJ-FXAWDEMLSA-N 0 3 322.452 4.150 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1C[C@@H](C)CC(C)(C)C1 ZINC001616567588 1056677513 /nfs/dbraw/zinc/67/75/13/1056677513.db2.gz DVKDSTGGCVWOOI-STQMWFEESA-N 0 3 308.853 4.035 20 0 DIADHN CC(C)SCCNC(C)(C)c1ccccc1Br ZINC001616623453 1056688566 /nfs/dbraw/zinc/68/85/66/1056688566.db2.gz RMDFOWZQGSTPCQ-UHFFFAOYSA-N 0 3 316.308 4.415 20 0 DIADHN COCCC1(CNCc2nc(C(C)(C)C)cs2)CCCC1 ZINC001620832177 1056693987 /nfs/dbraw/zinc/69/39/87/1056693987.db2.gz SQBANXQZLVEWAN-UHFFFAOYSA-N 0 3 310.507 4.127 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCC3(CCC3)O2)C2CC2)c(F)c1 ZINC001616653433 1056695187 /nfs/dbraw/zinc/69/51/87/1056695187.db2.gz HJFAPNOYSBMMKB-YOEHRIQHSA-N 0 3 307.384 4.107 20 0 DIADHN Cc1cccc([C@@H](C)NCc2cc3c(c(Cl)c2)OCO3)c1 ZINC000157220051 1056698554 /nfs/dbraw/zinc/69/85/54/1056698554.db2.gz XJROGGWWRUIIEC-GFCCVEGCSA-N 0 3 303.789 4.228 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2cc(C)cnc2Cl)CCO1 ZINC000921974977 1056698856 /nfs/dbraw/zinc/69/88/56/1056698856.db2.gz WETATOLEFPCADC-HZPDHXFCSA-N 0 3 310.869 4.117 20 0 DIADHN CC(C)CC[C@H](NC[C@@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616690195 1056702155 /nfs/dbraw/zinc/70/21/55/1056702155.db2.gz CRIFGBNCBYOCGT-UONOGXRCSA-N 0 3 323.786 4.330 20 0 DIADHN COc1cc(CNCc2ccc3[nH]c(C)c(C)c3c2)cc(OC)c1 ZINC000065013610 1056702609 /nfs/dbraw/zinc/70/26/09/1056702609.db2.gz CUNGKRULHIUCLD-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN FC(F)(F)c1cncc(-c2cncc(CN3CCCCC3)c2)c1 ZINC001240793311 1056703620 /nfs/dbraw/zinc/70/36/20/1056703620.db2.gz UTTLVKHUMKXWIH-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN CC[C@@H](NC[C@](O)(CC)C(F)(F)F)c1ccc(Cl)cc1 ZINC001616753762 1056712274 /nfs/dbraw/zinc/71/22/74/1056712274.db2.gz LSEJSKVDHSGMKE-CHWSQXEVSA-N 0 3 309.759 4.084 20 0 DIADHN C[C@H](NC[C@H]1CCC2(CCC2)O1)c1ccccc1OC(F)F ZINC001616765141 1056713907 /nfs/dbraw/zinc/71/39/07/1056713907.db2.gz ZVPZGABVIFRZEB-QWHCGFSZSA-N 0 3 311.372 4.040 20 0 DIADHN Cc1ncccc1CN[C@@H]1CCc2c1ccc(Cl)c2Cl ZINC000113346219 1056715665 /nfs/dbraw/zinc/71/56/65/1056715665.db2.gz GFRQCACXEMJEKO-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN C[C@@H](NC[C@H]1CCC[C@@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616807495 1056722505 /nfs/dbraw/zinc/72/25/05/1056722505.db2.gz JKVLVXDGNAHJKG-UDZFHETQSA-N 0 3 323.305 4.115 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc2ncsc2c1 ZINC000922014756 1056734711 /nfs/dbraw/zinc/73/47/11/1056734711.db2.gz LAPCLXPXXHAQAM-STQMWFEESA-N 0 3 324.449 4.471 20 0 DIADHN COC[C@@H](NC[C@@H]1Cc2ccccc21)c1cccc(Cl)c1F ZINC000672603578 1056735023 /nfs/dbraw/zinc/73/50/23/1056735023.db2.gz QRHVSTYOGRUPJT-SUMWQHHRSA-N 0 3 319.807 4.096 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(Oc2cccnc2)cc1 ZINC001240883082 1056739842 /nfs/dbraw/zinc/73/98/42/1056739842.db2.gz FSLHPDCJVRGVRU-JLHYYAGUSA-N 0 3 317.392 4.468 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1C ZINC000672616622 1056742007 /nfs/dbraw/zinc/74/20/07/1056742007.db2.gz KVDWWGCPVRSBDC-OXJNMPFZSA-N 0 3 314.448 4.396 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1nccn1-c1ccccc1 ZINC000672617280 1056743307 /nfs/dbraw/zinc/74/33/07/1056743307.db2.gz PZXKNBUSNKEMMJ-LSDHHAIUSA-N 0 3 311.454 4.216 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H]1CCC[C@@H](C(C)C)CC1 ZINC001617059108 1056768588 /nfs/dbraw/zinc/76/85/88/1056768588.db2.gz ZHOQRTWSVWVGRS-QZTJIDSGSA-N 0 3 310.526 4.220 20 0 DIADHN C[C@@H](C(=O)N1CCCCCc2ccccc21)N1[C@H](C)CC[C@H]1C ZINC001586636436 1056771212 /nfs/dbraw/zinc/77/12/12/1056771212.db2.gz OODPTZFRDHVNPZ-ZACQAIPSSA-N 0 3 314.473 4.007 20 0 DIADHN Cc1cn(C)nc1CN[C@H](C)C(c1ccccc1)c1ccccc1 ZINC001617088134 1056774503 /nfs/dbraw/zinc/77/45/03/1056774503.db2.gz GAUCXESUKSIXBR-QGZVFWFLSA-N 0 3 319.452 4.039 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@@H](O)c1ccc2ccccc2c1 ZINC000132109944 1056775853 /nfs/dbraw/zinc/77/58/53/1056775853.db2.gz JDNPYYAVEGTZDY-FOIQADDNSA-N 0 3 321.420 4.233 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(COC)cc3)c(C)c2)CC1 ZINC001240950880 1056778105 /nfs/dbraw/zinc/77/81/05/1056778105.db2.gz AOSVHVPYHUHJFL-UHFFFAOYSA-N 0 3 322.452 4.312 20 0 DIADHN CCCC[C@H](CC)CN1CCN(C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001617225340 1056796694 /nfs/dbraw/zinc/79/66/94/1056796694.db2.gz PKPDIDWXHHXHHI-CVEARBPZSA-N 0 3 312.498 4.144 20 0 DIADHN Fc1ccc(-c2cc(CN3CCCCC3)ccn2)c(F)c1F ZINC001240987447 1056800148 /nfs/dbraw/zinc/80/01/48/1056800148.db2.gz FSHVDMZUUNJUMZ-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1ccncc1Br ZINC001617252097 1056802492 /nfs/dbraw/zinc/80/24/92/1056802492.db2.gz LTLKSMNYKPZHEA-SWLSCSKDSA-N 0 3 311.267 4.245 20 0 DIADHN CC(C)[C@@H]1CCCN(CC(=O)Nc2cccc3ccccc32)C1 ZINC001617283939 1056806939 /nfs/dbraw/zinc/80/69/39/1056806939.db2.gz NJAIOVBDKNLJOV-QGZVFWFLSA-N 0 3 310.441 4.146 20 0 DIADHN CCCCC[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)C(C)(C)C ZINC001617324123 1056815603 /nfs/dbraw/zinc/81/56/03/1056815603.db2.gz YEKZXSVYMDVMKM-IAGOWNOFSA-N 0 3 310.526 4.362 20 0 DIADHN CC(C)(C)CCCN1C[C@H]2CCC[C@@H](C1)N2C(=O)OC(C)(C)C ZINC001617324922 1056815752 /nfs/dbraw/zinc/81/57/52/1056815752.db2.gz KPQOQIWQVAESCY-IYBDPMFKSA-N 0 3 324.509 4.287 20 0 DIADHN Cc1cccc([C@H](NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)C(C)C)c1 ZINC001586872278 1056822064 /nfs/dbraw/zinc/82/20/64/1056822064.db2.gz FKKFIDMKIVUEJS-LSTDLKDCSA-N 0 3 316.489 4.070 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCN([C@@H](C)c2ccsc2)CC1 ZINC001617381579 1056823286 /nfs/dbraw/zinc/82/32/86/1056823286.db2.gz HWDQNHPPTPXGAD-KBPBESRZSA-N 0 3 316.461 4.108 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2ncc(Cl)s2)CC1 ZINC000680894034 1056833807 /nfs/dbraw/zinc/83/38/07/1056833807.db2.gz FRFUPGNJEHBPMU-LBPRGKRZSA-N 0 3 321.877 4.434 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2ncc(Cl)s2)CC1 ZINC000680894033 1056834894 /nfs/dbraw/zinc/83/48/94/1056834894.db2.gz FRFUPGNJEHBPMU-GFCCVEGCSA-N 0 3 321.877 4.434 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c(F)c1F ZINC001241044268 1056841044 /nfs/dbraw/zinc/84/10/44/1056841044.db2.gz FWFABAASXPHKBJ-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN C[C@H](C(=O)Nc1ccc(C(C)(C)C)cc1)N1CCCCCC1 ZINC001586985731 1056844841 /nfs/dbraw/zinc/84/48/41/1056844841.db2.gz SMDJHQNBVPKEDV-OAHLLOKOSA-N 0 3 302.462 4.187 20 0 DIADHN COC[C@@H](NCCSc1ccccc1)c1ccc(Cl)cc1 ZINC001617581498 1056850926 /nfs/dbraw/zinc/85/09/26/1056850926.db2.gz AOJWROYFHCCPNZ-QGZVFWFLSA-N 0 3 321.873 4.409 20 0 DIADHN CN(C)[C@H](CNC(=O)c1ccc(C(C)(C)C)cc1)c1ccccc1 ZINC000010017783 1056856882 /nfs/dbraw/zinc/85/68/82/1056856882.db2.gz IMWLHBZSTNIYEH-LJQANCHMSA-N 0 3 324.468 4.017 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)C[C@H]1CCC2(CCC2)O1 ZINC001617653592 1056865018 /nfs/dbraw/zinc/86/50/18/1056865018.db2.gz FVFFKTSQZLAVIV-SJORKVTESA-N 0 3 323.864 4.061 20 0 DIADHN CCCN(C[C@@H]1CC[C@@H](c2ccccc2)C1)[C@H](C)C(=O)OCC ZINC001617678558 1056867512 /nfs/dbraw/zinc/86/75/12/1056867512.db2.gz CKVBOIWHQIMHEL-ZHALLVOQSA-N 0 3 317.473 4.234 20 0 DIADHN COc1ccc(-c2cnccc2/C=C/N(C)C)cc1C(F)(F)F ZINC001241091660 1056883528 /nfs/dbraw/zinc/88/35/28/1056883528.db2.gz BDLYJQIRPYTREF-VQHVLOKHSA-N 0 3 322.330 4.308 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(Cl)ccc2F)C12CCCC2 ZINC001617799395 1056885510 /nfs/dbraw/zinc/88/55/10/1056885510.db2.gz KTSYGXSWYIUBMX-CVEARBPZSA-N 0 3 311.828 4.307 20 0 DIADHN O[C@H](CC1CCCC1)CN(Cc1ccccc1Cl)CC1CC1 ZINC001617860401 1056892686 /nfs/dbraw/zinc/89/26/86/1056892686.db2.gz OHYBCGICMJPTNL-GOSISDBHSA-N 0 3 321.892 4.493 20 0 DIADHN CCOc1ccc(F)cc1-c1cnccc1CN1CCCCC1 ZINC001241105183 1056897328 /nfs/dbraw/zinc/89/73/28/1056897328.db2.gz KLWASEQTEQQKGP-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Fc1ccc(C2(N[C@H]3Cc4cccc(Cl)c4C3)CC2)c(F)c1 ZINC001168705295 1056898183 /nfs/dbraw/zinc/89/81/83/1056898183.db2.gz UNRIUYYXLRAXSF-ZDUSSCGKSA-N 0 3 319.782 4.364 20 0 DIADHN [O-]c1cc(F)c(-c2ccc(F)c(C[NH+]3CCCC3)c2)c(F)c1 ZINC001241124433 1056908601 /nfs/dbraw/zinc/90/86/01/1056908601.db2.gz FRHPPWZSFSZUDL-UHFFFAOYSA-N 0 3 307.315 4.072 20 0 DIADHN COc1ccc(F)c(-c2ccc(CN3CCCC3)c(F)c2)c1F ZINC001241142382 1056922920 /nfs/dbraw/zinc/92/29/20/1056922920.db2.gz AYHQURHFCZRWIJ-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1ccc2ncc(CN3CCC=C(c4ccccn4)C3)cc2c1 ZINC001618100490 1056923171 /nfs/dbraw/zinc/92/31/71/1056923171.db2.gz LKEAOLPQNIGUBQ-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN CC(C)(CCN1CC(C)(C)O[C@](C)(C(F)(F)F)C1)C1CC1 ZINC001618170817 1056928787 /nfs/dbraw/zinc/92/87/87/1056928787.db2.gz AOBOOFREOJRKSB-HNNXBMFYSA-N 0 3 307.400 4.245 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3cccc(F)c3F)o2)CCCO1 ZINC000682997124 1056928817 /nfs/dbraw/zinc/92/88/17/1056928817.db2.gz YUNSEJKWFVUJMO-UHFFFAOYSA-N 0 3 321.367 4.226 20 0 DIADHN Cc1ccc(NC(=O)C[C@@H]2CCc3ccccc32)c(CN(C)C)c1 ZINC000115386685 1056933805 /nfs/dbraw/zinc/93/38/05/1056933805.db2.gz KAIWWPIWCQSIHQ-KRWDZBQOSA-N 0 3 322.452 4.115 20 0 DIADHN CCOc1ccc(CN[C@H]2CSCc3ccccc32)c(C)c1 ZINC000657336878 1056934860 /nfs/dbraw/zinc/93/48/60/1056934860.db2.gz ZDTVIHGFSDFDDM-IBGZPJMESA-N 0 3 313.466 4.471 20 0 DIADHN Cc1ccc(C)c(CN2CCN(c3cccc(F)c3)C[C@H]2C)c1 ZINC001618240639 1056938620 /nfs/dbraw/zinc/93/86/20/1056938620.db2.gz AEXLATVSPOCVRE-QGZVFWFLSA-N 0 3 312.432 4.153 20 0 DIADHN Cc1ccc(C)c(CN2CCN(c3cccc(F)c3)C[C@@H]2C)c1 ZINC001618240638 1056939291 /nfs/dbraw/zinc/93/92/91/1056939291.db2.gz AEXLATVSPOCVRE-KRWDZBQOSA-N 0 3 312.432 4.153 20 0 DIADHN C[C@H]1CN(c2cccc(F)c2)CCN1CCCSC(C)(C)C ZINC001618243468 1056940208 /nfs/dbraw/zinc/94/02/08/1056940208.db2.gz YQBOWXGBINRVCQ-HNNXBMFYSA-N 0 3 324.509 4.258 20 0 DIADHN CCC(CC)N(CC)Cc1ccn(-c2c(F)cccc2F)n1 ZINC001618266644 1056941928 /nfs/dbraw/zinc/94/19/28/1056941928.db2.gz XOTJYHFNSIOEEY-UHFFFAOYSA-N 0 3 307.388 4.161 20 0 DIADHN CC1(C)CN([C@@H]2C[C@@H]2c2cccc(F)c2)Cc2ccccc2O1 ZINC001618289149 1056946411 /nfs/dbraw/zinc/94/64/11/1056946411.db2.gz XLXBFNLIAXDFGX-QZTJIDSGSA-N 0 3 311.400 4.355 20 0 DIADHN Nc1ccncc1-c1cc(F)c(OCc2ccccc2)cc1F ZINC001241181332 1056949353 /nfs/dbraw/zinc/94/93/53/1056949353.db2.gz FBOSYLWLEXLTJV-UHFFFAOYSA-N 0 3 312.319 4.018 20 0 DIADHN FC(F)C1CCC(NCc2csc(-c3ccco3)n2)CC1 ZINC000282338883 1056954645 /nfs/dbraw/zinc/95/46/45/1056954645.db2.gz ORZNBCZIJXLNSN-UHFFFAOYSA-N 0 3 312.385 4.317 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(O[C@H]2CCCCO2)c1 ZINC001241219186 1056967028 /nfs/dbraw/zinc/96/70/28/1056967028.db2.gz WEKVEEZBHLTGSG-XETPZZHUSA-N 0 3 324.424 4.186 20 0 DIADHN Cc1cc(-c2ccc(CN(C)C3CC3)cn2)ccc1OC(C)C ZINC001241221727 1056967814 /nfs/dbraw/zinc/96/78/14/1056967814.db2.gz VAQPUQJSAMAXSC-UHFFFAOYSA-N 0 3 310.441 4.438 20 0 DIADHN COCc1csc(CN[C@H]2CCCc3c(F)cc(F)cc32)c1 ZINC000657475891 1056971182 /nfs/dbraw/zinc/97/11/82/1056971182.db2.gz HAZWLOWTXNQQLO-KRWDZBQOSA-N 0 3 323.408 4.340 20 0 DIADHN Fc1cc(-c2ccc3c(n2)OCCNC3)ccc1-c1ccccc1 ZINC001241235884 1056973684 /nfs/dbraw/zinc/97/36/84/1056973684.db2.gz ZUQXANBBMINSET-UHFFFAOYSA-N 0 3 320.367 4.037 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2N[C@@H](c1cccnc1)C1CC1 ZINC000358369829 1056982927 /nfs/dbraw/zinc/98/29/27/1056982927.db2.gz QYLMLRBUWOWOLX-DLBZAZTESA-N 0 3 314.429 4.499 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3cc([O-])cc(F)c3)cc12 ZINC001241270841 1056990595 /nfs/dbraw/zinc/99/05/95/1056990595.db2.gz BZTAMKGHVNZTLY-QGZVFWFLSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3cc([O-])cc(F)c3)cc12 ZINC001241270841 1056990601 /nfs/dbraw/zinc/99/06/01/1056990601.db2.gz BZTAMKGHVNZTLY-QGZVFWFLSA-N 0 3 324.399 4.316 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2Cc3cccc(Cl)c3C2)o1 ZINC001168718357 1056994921 /nfs/dbraw/zinc/99/49/21/1056994921.db2.gz OLBRQVBBBGGCLW-SNVBAGLBSA-N 0 3 315.722 4.209 20 0 DIADHN CSc1ccc([C@H](C)NCc2cnc3cc(C)ccn23)cc1 ZINC000466414975 1056997770 /nfs/dbraw/zinc/99/77/70/1056997770.db2.gz MESKZSJGSKJVFG-AWEZNQCLSA-N 0 3 311.454 4.215 20 0 DIADHN FC(F)(F)c1ccc(-c2ccc3c(n2)CNCC3)cc1Cl ZINC001241287788 1056997967 /nfs/dbraw/zinc/99/79/67/1056997967.db2.gz BQRDGCPBTSJOHS-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN CC[C@](C)(NCc1ccnn1C1CCC1)c1ccc(F)cc1 ZINC000922300331 1057001575 /nfs/dbraw/zinc/00/15/75/1057001575.db2.gz XMEHBOOKMUWXHY-SFHVURJKSA-N 0 3 301.409 4.162 20 0 DIADHN Cc1ccccc1[C@H](CC(C)C)NCC(=O)NCCC(C)(C)C ZINC001168722044 1057001925 /nfs/dbraw/zinc/00/19/25/1057001925.db2.gz BZVXXALKLLPOSD-SFHVURJKSA-N 0 3 318.505 4.224 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(F)ccc(O)c2F)cc1F ZINC001241295565 1057002144 /nfs/dbraw/zinc/00/21/44/1057002144.db2.gz UVTXRQMEJXIQCP-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN C[NH+]1CCC(c2ccc(-c3c(F)ccc([O-])c3F)cc2)CC1 ZINC001241295324 1057002235 /nfs/dbraw/zinc/00/22/35/1057002235.db2.gz OMEACNXWEVUGNA-UHFFFAOYSA-N 0 3 303.352 4.147 20 0 DIADHN CN1CC=C(c2ncnc3scc(-c4ccccc4)c32)CC1 ZINC001241309220 1057007375 /nfs/dbraw/zinc/00/73/75/1057007375.db2.gz PEWXZMCVUJJRFT-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN Cc1cccc2nc(-c3ccccc3)nc(C3=CCN(C)CC3)c12 ZINC001241310342 1057008355 /nfs/dbraw/zinc/00/83/55/1057008355.db2.gz BFHVHMSXSOXKJL-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN CCCCCOc1ccc(-c2ccc3c(c2)COC32CNC2)cc1 ZINC001241328300 1057015603 /nfs/dbraw/zinc/01/56/03/1057015603.db2.gz FLLMGWJNSYMLHD-UHFFFAOYSA-N 0 3 323.436 4.251 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657831725 1057022006 /nfs/dbraw/zinc/02/20/06/1057022006.db2.gz ABZHECWZCSHSAD-CYBMUJFWSA-N 0 3 320.383 4.407 20 0 DIADHN CC[C@H](NCc1ccc(C(F)(F)F)s1)c1ccncc1 ZINC000428871732 1057029084 /nfs/dbraw/zinc/02/90/84/1057029084.db2.gz JZHQHALJBIOQLU-LBPRGKRZSA-N 0 3 300.349 4.403 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2ccccn2)C2CC2)c(C)c1 ZINC000922582145 1057034857 /nfs/dbraw/zinc/03/48/57/1057034857.db2.gz MDVRCNKMBWCQNT-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H](c2ccccn2)C2CC2)cc1F ZINC000922584136 1057036083 /nfs/dbraw/zinc/03/60/83/1057036083.db2.gz BPLLEBPJUBVEBW-OAJJDEHYSA-N 0 3 300.352 4.114 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cccc3ccsc32)c1 ZINC001241402667 1057041353 /nfs/dbraw/zinc/04/13/53/1057041353.db2.gz MPXNHXKJHUDCGV-UHFFFAOYSA-N 0 3 312.438 4.042 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1ccccn1)c1ccc(Cl)cc1 ZINC000282366821 1057047250 /nfs/dbraw/zinc/04/72/50/1057047250.db2.gz ABWUCZJRALGGTI-GUYCJALGSA-N 0 3 304.821 4.116 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2cccc(F)c2)cc1OC ZINC000124673230 1057048006 /nfs/dbraw/zinc/04/80/06/1057048006.db2.gz HKQRJVUXEZFOSH-UHFFFAOYSA-N 0 3 317.404 4.258 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(Cl)c2N)cc1C ZINC000922675827 1057048057 /nfs/dbraw/zinc/04/80/57/1057048057.db2.gz YNCBYUYCPOWIID-LBPRGKRZSA-N 0 3 304.821 4.090 20 0 DIADHN CC(C)Oc1cccc(CN2CCC=C(c3cccnc3)C2)c1 ZINC000347733066 1057062212 /nfs/dbraw/zinc/06/22/12/1057062212.db2.gz NJKXLJMQGOZDOE-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN C[C@H]1C[C@@H](NCc2csc(-c3ccc(F)cc3)n2)CCS1 ZINC000922841340 1057066684 /nfs/dbraw/zinc/06/66/84/1057066684.db2.gz FWOXYNCPBQZGQL-FZMZJTMJSA-N 0 3 322.474 4.323 20 0 DIADHN C[C@H]1C[C@@H](NCc2csc(-c3ccsc3)n2)CCS1 ZINC000922844037 1057068282 /nfs/dbraw/zinc/06/82/82/1057068282.db2.gz VDVMJJCVQUUMRG-JQWIXIFHSA-N 0 3 310.513 4.245 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3nccnc3c2)c1F ZINC001241499189 1057069567 /nfs/dbraw/zinc/06/95/67/1057069567.db2.gz NRJRXRBIMKCYJL-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H]1CCCc2c(Cl)cccc21 ZINC000282397627 1057070525 /nfs/dbraw/zinc/07/05/25/1057070525.db2.gz KFTUHGFRFDSQRV-SJORKVTESA-N 0 3 315.848 4.118 20 0 DIADHN Cc1cc(C2=CCN(Cc3ccccc3)CC2)nc(C(C)(C)C)n1 ZINC001241502199 1057070505 /nfs/dbraw/zinc/07/05/05/1057070505.db2.gz CYSRQHIZWIPYKX-UHFFFAOYSA-N 0 3 321.468 4.372 20 0 DIADHN Fc1ccc2ncnc(C3=CCN(Cc4ccccc4)CC3)c2c1 ZINC001241501694 1057070881 /nfs/dbraw/zinc/07/08/81/1057070881.db2.gz SNELVLXQHWHDKB-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1cc2c(ccnc2C2=CCN(Cc3ccccc3)CC2)o1 ZINC001241502730 1057070913 /nfs/dbraw/zinc/07/09/13/1057070913.db2.gz UJWAQMWORLNJFF-UHFFFAOYSA-N 0 3 304.393 4.426 20 0 DIADHN FC(F)(F)c1cncc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241502963 1057071015 /nfs/dbraw/zinc/07/10/15/1057071015.db2.gz DPCYTQBQKFPYJK-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Fc1ccc2cnnc(C3=CCN(Cc4ccccc4)CC3)c2c1 ZINC001241501849 1057071061 /nfs/dbraw/zinc/07/10/61/1057071061.db2.gz GBZCASWDNPLKIP-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN CC(C)(C)c1ccc(C2=CCN(Cc3ccccc3)CC2)nn1 ZINC001241502923 1057072097 /nfs/dbraw/zinc/07/20/97/1057072097.db2.gz YRNCOYGRWHTWLO-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN CC(C)Oc1ncccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241505012 1057075180 /nfs/dbraw/zinc/07/51/80/1057075180.db2.gz KWTMYQJWDWIWLA-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN c1ccc(CN2CC=C(c3ccc(OC4CCC4)nc3)CC2)cc1 ZINC001241506360 1057077700 /nfs/dbraw/zinc/07/77/00/1057077700.db2.gz DEJSSRAOPAQSAH-UHFFFAOYSA-N 0 3 320.436 4.302 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(Cl)cc3c2OCC3)c(O)c1 ZINC000186615903 1057080068 /nfs/dbraw/zinc/08/00/68/1057080068.db2.gz GMZIQOZZYFNRMX-GFCCVEGCSA-N 0 3 317.816 4.140 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2cncc(F)c2)C2CCC2)cc1 ZINC000922888141 1057089445 /nfs/dbraw/zinc/08/94/45/1057089445.db2.gz KDDNHRRXSCMJDC-ORAYPTAESA-N 0 3 314.404 4.421 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](NC3(c4c(F)cccc4F)CCC3)C[C@H]2C1 ZINC000624345547 1057089770 /nfs/dbraw/zinc/08/97/70/1057089770.db2.gz LZWRJBNVQKQNRH-NMWPEEMBSA-N 0 3 321.411 4.137 20 0 DIADHN Cc1cccc2nc(-c3ccccc3)nc(C3=CCCN(C)C3)c12 ZINC001241566776 1057092959 /nfs/dbraw/zinc/09/29/59/1057092959.db2.gz CXKYAOORNIQMFU-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN CN1CCC=C(c2nc(-c3ccc(F)cc3)nc3ccccc32)C1 ZINC001241568050 1057093352 /nfs/dbraw/zinc/09/33/52/1057093352.db2.gz MQLCMPAUJWRBOX-UHFFFAOYSA-N 0 3 319.383 4.155 20 0 DIADHN COc1ccc([C@H](NCc2cncn2C(C)C)C2CCC2)cc1 ZINC000922889976 1057094146 /nfs/dbraw/zinc/09/41/46/1057094146.db2.gz XDNGJWRZQSEAOV-LJQANCHMSA-N 0 3 313.445 4.104 20 0 DIADHN CN1CCC=C(c2cc(C(F)(F)F)c3cc(F)ccc3n2)C1 ZINC001241571941 1057094649 /nfs/dbraw/zinc/09/46/49/1057094649.db2.gz MBWPLABNGAVIRX-UHFFFAOYSA-N 0 3 310.294 4.112 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@@H]3CCC[C@H]32)co1 ZINC000625182933 1057097283 /nfs/dbraw/zinc/09/72/83/1057097283.db2.gz JDGIYTXFQMWLTQ-SUMWQHHRSA-N 0 3 300.377 4.245 20 0 DIADHN COc1ccc([C@@H](NCc2scnc2C)C2CCC2)cc1 ZINC000922891261 1057098881 /nfs/dbraw/zinc/09/88/81/1057098881.db2.gz KQNDPTVFCVPKBN-KRWDZBQOSA-N 0 3 302.443 4.091 20 0 DIADHN CC(C)CCn1cccc1CNCc1cccc2cccnc21 ZINC000161844227 1057104584 /nfs/dbraw/zinc/10/45/84/1057104584.db2.gz NADOIVBWPGRUCV-UHFFFAOYSA-N 0 3 307.441 4.372 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc3cn(C)nc3c2)cc1F ZINC001241605117 1057106685 /nfs/dbraw/zinc/10/66/85/1057106685.db2.gz QFMIKKKEENZIEJ-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cn1cc2cc(-c3ccc(CN4CCCCC4)c(F)c3)ccc2n1 ZINC001241608424 1057107215 /nfs/dbraw/zinc/10/72/15/1057107215.db2.gz BFANKPKWDVSVFN-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)Cc2cc(C)c(O)c(C)c2)s1 ZINC000347794760 1057114359 /nfs/dbraw/zinc/11/43/59/1057114359.db2.gz YNYQTEGDTCFOKH-CYBMUJFWSA-N 0 3 304.459 4.275 20 0 DIADHN Cc1nc2ccc(Nc3cncc(Br)c3C)cc2[nH]1 ZINC001213071192 1057114411 /nfs/dbraw/zinc/11/44/11/1057114411.db2.gz DQSZMSJPKHIDDZ-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN Fc1cc(-c2cccc3c[nH]nc32)ccc1CN1CCCCC1 ZINC001241626295 1057114736 /nfs/dbraw/zinc/11/47/36/1057114736.db2.gz MJLYZVRCWHMHBJ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(SC)nc2)c1F ZINC001241636864 1057117791 /nfs/dbraw/zinc/11/77/91/1057117791.db2.gz ISTDIWLXNUXTMD-UHFFFAOYSA-N 0 3 304.434 4.451 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168741192 1057120771 /nfs/dbraw/zinc/12/07/71/1057120771.db2.gz WLJWDPDCEKMBEF-CYBMUJFWSA-N 0 3 312.800 4.080 20 0 DIADHN Cc1n[nH]c2cc(-c3ccc(CN4CCCC4)c(F)c3)ccc12 ZINC001241642408 1057121084 /nfs/dbraw/zinc/12/10/84/1057121084.db2.gz OKLWUCYXNJZZCQ-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN COc1ccc(-c2ccc(OC(C)C)cc2F)cc1CN(C)C ZINC001241654012 1057123486 /nfs/dbraw/zinc/12/34/86/1057123486.db2.gz SMBGATIQIVKFFY-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN Clc1cccc([C@@H]2CCCCN2CCOC2CCOCC2)c1 ZINC000625378679 1057134185 /nfs/dbraw/zinc/13/41/85/1057134185.db2.gz OLKRMQAWOCCVIX-SFHVURJKSA-N 0 3 323.864 4.063 20 0 DIADHN CN(Cc1coc(-c2ccccc2F)n1)C1CCC(F)(F)CC1 ZINC000625429178 1057137817 /nfs/dbraw/zinc/13/78/17/1057137817.db2.gz BVLHSTSCRFODOI-UHFFFAOYSA-N 0 3 324.346 4.490 20 0 DIADHN Clc1ccc(CCCCN2CCc3nc[nH]c3C2)cc1Cl ZINC000625434688 1057139718 /nfs/dbraw/zinc/13/97/18/1057139718.db2.gz FCRDSMORIIEZFB-UHFFFAOYSA-N 0 3 324.255 4.098 20 0 DIADHN COc1cc(F)c(-c2cncc(CN3CCCCC3)c2)c(F)c1 ZINC001241694751 1057140166 /nfs/dbraw/zinc/14/01/66/1057140166.db2.gz XKKGBPAHVXKFGG-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Clc1cccc2c1C[C@H](N1CCSc3ccccc3C1)C2 ZINC001168745831 1057141728 /nfs/dbraw/zinc/14/17/28/1057141728.db2.gz ZAKSKDAZSAXBRN-OAHLLOKOSA-N 0 3 315.869 4.415 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCCc3nn(C)cc32)c2ccccc21 ZINC000282468143 1057153556 /nfs/dbraw/zinc/15/35/56/1057153556.db2.gz PECYTTVGRUYFBR-HQRMLTQVSA-N 0 3 309.457 4.272 20 0 DIADHN CCN1CCN(c2ccc(-c3cnc4sccc4c3)cc2)CC1 ZINC001241745000 1057153785 /nfs/dbraw/zinc/15/37/85/1057153785.db2.gz GBPDKSDWZOCWGW-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc(C(C)C)nc3)cc2)CC1 ZINC001241743865 1057154099 /nfs/dbraw/zinc/15/40/99/1057154099.db2.gz KFBYRTSDPBLRAI-UHFFFAOYSA-N 0 3 309.457 4.014 20 0 DIADHN Clc1ccc([C@H](NC2CCSCC2)c2ccccn2)cc1 ZINC000925364612 1057154338 /nfs/dbraw/zinc/15/43/38/1057154338.db2.gz UDVKJWUYNBRZCD-KRWDZBQOSA-N 0 3 318.873 4.310 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1coc(-c2ccccc2F)n1 ZINC000625536881 1057159149 /nfs/dbraw/zinc/15/91/49/1057159149.db2.gz CWWHYGOJLCXVII-ORAYPTAESA-N 0 3 322.383 4.299 20 0 DIADHN C[C@@H](NCc1coc(-c2ccccc2F)n1)C1CCC(F)CC1 ZINC000625558484 1057162603 /nfs/dbraw/zinc/16/26/03/1057162603.db2.gz YQRZPGFJUKYOPO-IYXRBSQSSA-N 0 3 320.383 4.487 20 0 DIADHN COc1cc(F)c(C(C)C)cc1-c1cncc(CN(C)C)c1 ZINC001241789774 1057164303 /nfs/dbraw/zinc/16/43/03/1057164303.db2.gz VRCSVOMHSCLHHI-UHFFFAOYSA-N 0 3 302.393 4.081 20 0 DIADHN CCc1ccc([C@H](CC)N[C@H]2CCCc3nc(C)ncc32)cc1 ZINC000766045072 1057164396 /nfs/dbraw/zinc/16/43/96/1057164396.db2.gz RGVZFFGKAFFTTK-ICSRJNTNSA-N 0 3 309.457 4.466 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCCc3nc(C)ncc32)c(C)s1 ZINC000766046277 1057170080 /nfs/dbraw/zinc/17/00/80/1057170080.db2.gz XGYBSWBJRJUXMU-BDJLRTHQSA-N 0 3 301.459 4.192 20 0 DIADHN Cc1cc(C(F)F)oc1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000625608910 1057174475 /nfs/dbraw/zinc/17/44/75/1057174475.db2.gz FTZVNJFJUCYZAS-UHFFFAOYSA-N 0 3 322.355 4.148 20 0 DIADHN CC(C)Oc1ccc(-c2cc(CN(C)C)ccn2)cc1Cl ZINC001241825277 1057177716 /nfs/dbraw/zinc/17/77/16/1057177716.db2.gz SOGQQDMCPPPZJG-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN CCc1ccc(CN2CCC[C@@H](c3nc(C)c(C)s3)C2)cn1 ZINC000347875907 1057178435 /nfs/dbraw/zinc/17/84/35/1057178435.db2.gz XZKBVRWPHKJKOA-MRXNPFEDSA-N 0 3 315.486 4.097 20 0 DIADHN CC1CCC(C)(CNCc2ncc(Br)s2)CC1 ZINC000355412066 1057179859 /nfs/dbraw/zinc/17/98/59/1057179859.db2.gz AVUKEDBSZFFURU-UHFFFAOYSA-N 0 3 317.296 4.212 20 0 DIADHN [O-]c1ccc(C[NH2+][C@@H]2CC=C(c3ccc(F)cc3)CC2)cc1F ZINC000497807294 1057179934 /nfs/dbraw/zinc/17/99/34/1057179934.db2.gz BGQQDXXXPRROHQ-QGZVFWFLSA-N 0 3 315.363 4.396 20 0 DIADHN C[C@@H]1CN(Cc2cc(F)cc3cccnc32)C[C@H](C)C1(F)F ZINC000625645716 1057181374 /nfs/dbraw/zinc/18/13/74/1057181374.db2.gz HRDPQIBYXBNBKV-TXEJJXNPSA-N 0 3 308.347 4.097 20 0 DIADHN CCc1nc(CNC[C@H]2CCCC[C@@H]2C(F)(F)F)cs1 ZINC000674045139 1057190123 /nfs/dbraw/zinc/19/01/23/1057190123.db2.gz GDFKDBLHQIPWRV-PWSUYJOCSA-N 0 3 306.397 4.164 20 0 DIADHN CCc1ccc(CN2CCC[C@H]2c2cccc(N(C)C)c2)cn1 ZINC000347890183 1057193863 /nfs/dbraw/zinc/19/38/63/1057193863.db2.gz YGPDILQMIPLLLB-FQEVSTJZSA-N 0 3 309.457 4.047 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)[C@H](C)C1CCCC1)c1ccsc1 ZINC000674080960 1057200175 /nfs/dbraw/zinc/20/01/75/1057200175.db2.gz RCPUUHOARVMCJD-PBHICJAKSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)(C)n1cc(CNC2(c3ccc(F)cc3)CCCC2)cn1 ZINC000171052764 1057207810 /nfs/dbraw/zinc/20/78/10/1057207810.db2.gz INYJMEOFSCUNCK-UHFFFAOYSA-N 0 3 315.436 4.336 20 0 DIADHN C[C@@H](NCC1(NC(=O)OC(C)(C)C)CCCCC1)c1ccco1 ZINC000700260017 1057212915 /nfs/dbraw/zinc/21/29/15/1057212915.db2.gz YIOSQZBCWPLONH-CQSZACIVSA-N 0 3 322.449 4.158 20 0 DIADHN Clc1scc(CNC[C@@H]2COc3ccccc32)c1Cl ZINC000674182790 1057214523 /nfs/dbraw/zinc/21/45/23/1057214523.db2.gz OTFZJMRMDVMZGT-SECBINFHSA-N 0 3 314.237 4.321 20 0 DIADHN CCOc1ccc2ccccc2c1-c1cc(CN(C)C)ccn1 ZINC001241926417 1057214958 /nfs/dbraw/zinc/21/49/58/1057214958.db2.gz ZHFMHZUCFOQEJT-UHFFFAOYSA-N 0 3 306.409 4.362 20 0 DIADHN CCOc1ccc2ccccc2c1-c1cncc(CN(C)C)c1 ZINC001241926672 1057215026 /nfs/dbraw/zinc/21/50/26/1057215026.db2.gz WMOOOJWRCXFNPH-UHFFFAOYSA-N 0 3 306.409 4.362 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000674233582 1057219707 /nfs/dbraw/zinc/21/97/07/1057219707.db2.gz OIZMBDWTCKCFML-CHWSQXEVSA-N 0 3 304.821 4.473 20 0 DIADHN Cc1cccn2cc(CN3CC[C@@H](c4ccccc4)[C@@H]3C)nc12 ZINC000674375192 1057236771 /nfs/dbraw/zinc/23/67/71/1057236771.db2.gz AZPGRKBVFUUUOA-QFBILLFUSA-N 0 3 305.425 4.021 20 0 DIADHN CCOc1ccc(CN(C2CC2)[C@H]2CCc3ccccc32)nc1 ZINC000674389595 1057237990 /nfs/dbraw/zinc/23/79/90/1057237990.db2.gz YGZSTNQOGZUJNE-FQEVSTJZSA-N 0 3 308.425 4.132 20 0 DIADHN Cc1ccc2ccc(F)c(-c3ccc4c(N)ccnc4c3)c2n1 ZINC001242054825 1057253315 /nfs/dbraw/zinc/25/33/15/1057253315.db2.gz ZZLNILWFDGCJPB-UHFFFAOYSA-N 0 3 303.340 4.480 20 0 DIADHN CC(C)P(CCN[C@H](F)Oc1ccc(Cl)nc1)C(C)C ZINC001162359115 1057255688 /nfs/dbraw/zinc/25/56/88/1057255688.db2.gz XESXNGHKTKFJOH-AWEZNQCLSA-N 0 3 320.776 4.255 20 0 DIADHN c1cn2c(n1)[C@H](NCCc1ccc(C3CCCCC3)cc1)CC2 ZINC000658098553 1057265981 /nfs/dbraw/zinc/26/59/81/1057265981.db2.gz RPEKKGHHDPFJJX-LJQANCHMSA-N 0 3 309.457 4.208 20 0 DIADHN Fc1ccc(C2(NCc3cnc(-c4ccccc4)[nH]3)CCC2)cc1 ZINC000674716986 1057275372 /nfs/dbraw/zinc/27/53/72/1057275372.db2.gz FWQAVFZMUARTTO-UHFFFAOYSA-N 0 3 321.399 4.385 20 0 DIADHN Cc1ccc(SCCNCc2nc3ccccc3nc2C)cc1 ZINC000674779009 1057280681 /nfs/dbraw/zinc/28/06/81/1057280681.db2.gz UDVKCRUWHGZIDU-UHFFFAOYSA-N 0 3 323.465 4.129 20 0 DIADHN [O-]c1ccc(-c2ccc(C[NH+]3CCC3)cc2)cc1C(F)(F)F ZINC001242166709 1057286039 /nfs/dbraw/zinc/28/60/39/1057286039.db2.gz TXBBOZNZBKJTLK-UHFFFAOYSA-N 0 3 307.315 4.284 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cc1O ZINC001242176678 1057287309 /nfs/dbraw/zinc/28/73/09/1057287309.db2.gz OONPULVVAWNREU-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccccc3N(C)C)c(C)c2)CC1 ZINC001242178366 1057287785 /nfs/dbraw/zinc/28/77/85/1057287785.db2.gz DXSGAMVKSOWKDZ-UHFFFAOYSA-N 0 3 321.468 4.232 20 0 DIADHN CCN1CC=C(c2cnc(-c3cncc(C4CC4)c3)c(C)c2)CC1 ZINC001242195168 1057289501 /nfs/dbraw/zinc/28/95/01/1057289501.db2.gz ZOWQCCKRUMRKEJ-UHFFFAOYSA-N 0 3 319.452 4.438 20 0 DIADHN Brc1ccccc1[C@@H]1CCCN1C[C@H]1CCCCO1 ZINC000674868435 1057293806 /nfs/dbraw/zinc/29/38/06/1057293806.db2.gz JAQMOKZUIQSSGF-CJNGLKHVSA-N 0 3 324.262 4.155 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](c1ccccn1)C(C)C)CCC2 ZINC000766080247 1057294965 /nfs/dbraw/zinc/29/49/65/1057294965.db2.gz BYKQMXPNVIOXCI-HZPDHXFCSA-N 0 3 301.459 4.211 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccnc1OC1CCCCC1 ZINC001242231487 1057304461 /nfs/dbraw/zinc/30/44/61/1057304461.db2.gz NQSDSBDNBVNPFR-SDNWHVSQSA-N 0 3 323.440 4.387 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC2(CCCCC2)[C@H]1C(C)C ZINC001626106677 1057311977 /nfs/dbraw/zinc/31/19/77/1057311977.db2.gz QFZZFSGWAPFECB-LJQANCHMSA-N 0 3 322.537 4.316 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](C)O1)c1ccc(-c2ccccc2)cn1 ZINC000658216378 1057324555 /nfs/dbraw/zinc/32/45/55/1057324555.db2.gz RVHQQUYKZLSGBL-GJYPPUQNSA-N 0 3 310.441 4.357 20 0 DIADHN C[C@H]([NH2+]Cc1ccc([O-])c(F)c1)c1ccc(-c2ccncc2)cc1 ZINC000766097483 1057325311 /nfs/dbraw/zinc/32/53/11/1057325311.db2.gz FJLZLVCBVMQWNZ-AWEZNQCLSA-N 0 3 322.383 4.444 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@H]1CCc2ccc(O)cc21 ZINC000658235931 1057333440 /nfs/dbraw/zinc/33/34/40/1057333440.db2.gz GKNPQVBKLOQIFD-VQTJNVASSA-N 0 3 323.436 4.400 20 0 DIADHN CC(C)(C)C(=O)Nc1ccc(CN)nc1C1=CCC(C)(C)CC1 ZINC001242328138 1057335252 /nfs/dbraw/zinc/33/52/52/1057335252.db2.gz UHJQFHXCHRQZLO-UHFFFAOYSA-N 0 3 315.461 4.118 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H]1CCc3ccc(O)cc31)CCC2 ZINC000658240478 1057336965 /nfs/dbraw/zinc/33/69/65/1057336965.db2.gz YOAIBEXNTAEYBE-GJZGRUSLSA-N 0 3 314.454 4.066 20 0 DIADHN C[C@@H](NCc1ccc(O)cc1)c1ccc(Br)cc1 ZINC000042209345 1057368988 /nfs/dbraw/zinc/36/89/88/1057368988.db2.gz RMYKMCGWCMZPCS-LLVKDONJSA-N 0 3 306.203 4.006 20 0 DIADHN [O-]c1c(F)cccc1-c1ccc(F)c(C[NH+]2CCCCC2)c1 ZINC001242353945 1057343358 /nfs/dbraw/zinc/34/33/58/1057343358.db2.gz TUTIYOVUXWQYHA-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC(F)F)nc2)ccc1F ZINC001242421192 1057362820 /nfs/dbraw/zinc/36/28/20/1057362820.db2.gz FIVANBFUHJXYIE-UHFFFAOYSA-N 0 3 324.346 4.331 20 0 DIADHN CCC(CC)(CC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000675434960 1057366562 /nfs/dbraw/zinc/36/65/62/1057366562.db2.gz GIOCPOKIVYQRHZ-OAHLLOKOSA-N 0 3 322.518 4.218 20 0 DIADHN CCC(CC)(CC)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000675443036 1057369674 /nfs/dbraw/zinc/36/96/74/1057369674.db2.gz MDTWESGSVVWBST-WMZOPIPTSA-N 0 3 316.489 4.155 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1cccs1 ZINC000766136406 1057373100 /nfs/dbraw/zinc/37/31/00/1057373100.db2.gz OXIHHFIWBFKMIQ-CABCVRRESA-N 0 3 307.846 4.102 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1cccs1 ZINC000766138393 1057375229 /nfs/dbraw/zinc/37/52/29/1057375229.db2.gz XUEOXJFYOMNNRY-HUUCEWRRSA-N 0 3 307.846 4.102 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H]2CCSc3c(Cl)cccc32)o1 ZINC000766140907 1057375982 /nfs/dbraw/zinc/37/59/82/1057375982.db2.gz LXTWQBRWTXIROS-UONOGXRCSA-N 0 3 323.845 4.102 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(-c2ccccc2)c1C)c1ccncc1 ZINC000766145683 1057382296 /nfs/dbraw/zinc/38/22/96/1057382296.db2.gz RIQGZBXQCBPGQV-MGPUTAFESA-N 0 3 320.440 4.378 20 0 DIADHN Cc1ccc(CN[C@@H](COc2ccccc2F)C(C)(C)C)nc1 ZINC000675553459 1057387291 /nfs/dbraw/zinc/38/72/91/1057387291.db2.gz XRKAERMBSXOBMS-SFHVURJKSA-N 0 3 316.420 4.112 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4ncncc4c3)cc21 ZINC001242513999 1057390168 /nfs/dbraw/zinc/39/01/68/1057390168.db2.gz JXTXATUIFVQCOI-UHFFFAOYSA-N 0 3 301.393 4.298 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2C[C@H](C)c3ccccc32)c1 ZINC000675660177 1057395671 /nfs/dbraw/zinc/39/56/71/1057395671.db2.gz PUZMCYVMSYSHTE-LIRRHRJNSA-N 0 3 308.425 4.373 20 0 DIADHN CC(C)n1nccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001242558738 1057404182 /nfs/dbraw/zinc/40/41/82/1057404182.db2.gz QJWIFWMTLTVDQW-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)ccc1F ZINC000675738198 1057404515 /nfs/dbraw/zinc/40/45/15/1057404515.db2.gz UASLQPYUPKSYRM-QWHCGFSZSA-N 0 3 301.361 4.275 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC(=O)N2)c1cccs1 ZINC000675726659 1057405321 /nfs/dbraw/zinc/40/53/21/1057405321.db2.gz BERMSEABFUZRTQ-NWDGAFQWSA-N 0 3 300.427 4.045 20 0 DIADHN C[C@@H](NCc1ccc(OC[C@H]2CCCO2)cc1)c1ccsc1 ZINC000675747683 1057408859 /nfs/dbraw/zinc/40/88/59/1057408859.db2.gz FSZAUDLZXXPJHA-RDTXWAMCSA-N 0 3 317.454 4.157 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1C[C@@H](C)c2ccccc21 ZINC000675767051 1057410476 /nfs/dbraw/zinc/41/04/76/1057410476.db2.gz FXAIZNFPPKNLFE-VMDGZTHMSA-N 0 3 309.409 4.357 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1C[C@H](C)c2ccccc21 ZINC000675767049 1057410519 /nfs/dbraw/zinc/41/05/19/1057410519.db2.gz FXAIZNFPPKNLFE-AGRHKRQWSA-N 0 3 309.409 4.357 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1C[C@H](C)c2ccccc21 ZINC000675767050 1057410529 /nfs/dbraw/zinc/41/05/29/1057410529.db2.gz FXAIZNFPPKNLFE-FASAQXTFSA-N 0 3 309.409 4.357 20 0 DIADHN COC[C@@H](NC(c1ccc(F)cc1)c1ccc(F)cc1)C1CC1 ZINC000675770390 1057411474 /nfs/dbraw/zinc/41/14/74/1057411474.db2.gz DJBLABUBVOXPHH-GOSISDBHSA-N 0 3 317.379 4.069 20 0 DIADHN CC(=O)c1cc(-c2cc(CN3CCCCC3)ccc2C)ccn1 ZINC001242611811 1057423256 /nfs/dbraw/zinc/42/32/56/1057423256.db2.gz ACISRUCLRQBOHZ-UHFFFAOYSA-N 0 3 308.425 4.246 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](Cn1cccn1)c1ccccc1)CC2 ZINC000675899699 1057428260 /nfs/dbraw/zinc/42/82/60/1057428260.db2.gz KAVZPMYVDGXKEC-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](Cn1cccn1)c1ccccc1 ZINC000675899038 1057428464 /nfs/dbraw/zinc/42/84/64/1057428464.db2.gz BMHLSUYJIULALK-RDJZCZTQSA-N 0 3 311.454 4.345 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccnc2OC(F)F)cc1F ZINC001242631085 1057428411 /nfs/dbraw/zinc/42/84/11/1057428411.db2.gz CIEVXEVYPROIDK-UHFFFAOYSA-N 0 3 324.346 4.331 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cn2ccccc2n1)Cc1ccccc1 ZINC000675921240 1057430613 /nfs/dbraw/zinc/43/06/13/1057430613.db2.gz XWHPCSRXNOQBMI-INIZCTEOSA-N 0 3 305.425 4.135 20 0 DIADHN C[C@@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1ccsc1 ZINC000675917700 1057431148 /nfs/dbraw/zinc/43/11/48/1057431148.db2.gz BFGGWOOXTUBJKI-PBHICJAKSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@H](N[C@H](Cc1nccn1C)c1ccccc1)c1cccc(F)c1 ZINC000675918298 1057431173 /nfs/dbraw/zinc/43/11/73/1057431173.db2.gz GCRYKJPCGQAOLN-HNAYVOBHSA-N 0 3 323.415 4.194 20 0 DIADHN COc1ccc(CN(CCCF)Cc2ccc(OC)cc2)cc1 ZINC000675888683 1057431308 /nfs/dbraw/zinc/43/13/08/1057431308.db2.gz KRFPHCNEMYPCQR-UHFFFAOYSA-N 0 3 317.404 4.066 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H]1CCCc2ccccc21 ZINC000675903234 1057433719 /nfs/dbraw/zinc/43/37/19/1057433719.db2.gz BEYAQVNQKRGSTF-OALUTQOASA-N 0 3 309.409 4.186 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCOc3cc(OC)ccc32)cc1 ZINC000675903055 1057433851 /nfs/dbraw/zinc/43/38/51/1057433851.db2.gz UIQXQTWVZHLXOV-IFXJQAMLSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H]1c2ccccc2C[C@H]1C ZINC000675902873 1057433869 /nfs/dbraw/zinc/43/38/69/1057433869.db2.gz JYLONKMOKQWBLU-MJWYBRSISA-N 0 3 309.409 4.042 20 0 DIADHN CC[C@H](Cc1cccs1)NCc1csc([C@@H](C)OC)n1 ZINC000675929690 1057436674 /nfs/dbraw/zinc/43/66/74/1057436674.db2.gz AQCNNQNUVYHGRR-VXGBXAGGSA-N 0 3 310.488 4.023 20 0 DIADHN C[C@H](CC1CCC1)NCc1nccn1CCCc1ccccc1 ZINC000675930605 1057436735 /nfs/dbraw/zinc/43/67/35/1057436735.db2.gz XOXGWLJWIVFCJM-QGZVFWFLSA-N 0 3 311.473 4.184 20 0 DIADHN CCOc1cncc(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001242660433 1057438390 /nfs/dbraw/zinc/43/83/90/1057438390.db2.gz YMHGESNYPTVCMC-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN CCOc1cncc(-c2ccc(CN(CC)CC)c(F)c2)c1 ZINC001242660219 1057438530 /nfs/dbraw/zinc/43/85/30/1057438530.db2.gz NBSJDYIEFOSRGJ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCOc1cncc(-c2ccc(F)c(CN(CC)CC)c2)c1 ZINC001242660356 1057438676 /nfs/dbraw/zinc/43/86/76/1057438676.db2.gz UFKFTVQTEKQOPQ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC(c2nc3ccccc3[nH]2)C1 ZINC000675976005 1057441441 /nfs/dbraw/zinc/44/14/41/1057441441.db2.gz FYAZBPQLSDQVRR-GOSISDBHSA-N 0 3 309.388 4.253 20 0 DIADHN C[C@@](CO)(NCc1ccc(C2CCCC2)cc1)c1ccccc1 ZINC000676132617 1057451823 /nfs/dbraw/zinc/45/18/23/1057451823.db2.gz PJCMQYPXBIMZED-NRFANRHFSA-N 0 3 309.453 4.342 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccnc(OC3CC3)c2)c1F ZINC001242725157 1057461212 /nfs/dbraw/zinc/46/12/12/1057461212.db2.gz FCDWSXYBNIETNA-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CCO[C@H]1CCCN(Cc2ccccc2OC2CCCC2)C1 ZINC000676414269 1057470562 /nfs/dbraw/zinc/47/05/62/1057470562.db2.gz RIFBRGRKNBTUFD-SFHVURJKSA-N 0 3 303.446 4.009 20 0 DIADHN c1ccc2nc(-c3cncc(CN4CCCCC4)c3)ccc2c1 ZINC001242776261 1057470852 /nfs/dbraw/zinc/47/08/52/1057470852.db2.gz FYEFSPBMUREMTH-UHFFFAOYSA-N 0 3 303.409 4.283 20 0 DIADHN Cc1nc([C@@H](C)NCCc2c[nH]c3cc(C)ccc23)c(C)s1 ZINC000676490895 1057475827 /nfs/dbraw/zinc/47/58/27/1057475827.db2.gz UMQTYUXAGHCNPG-GFCCVEGCSA-N 0 3 313.470 4.443 20 0 DIADHN COc1ccc(CC[C@@H](C)N[C@H](C)c2nc(C)sc2C)cc1 ZINC000676491183 1057476391 /nfs/dbraw/zinc/47/63/91/1057476391.db2.gz YGRYGFWHFKODCE-CHWSQXEVSA-N 0 3 318.486 4.440 20 0 DIADHN Cc1nc([C@@H](C)NC[C@@H](C)c2ccc(F)cc2F)c(C)s1 ZINC000676493148 1057476944 /nfs/dbraw/zinc/47/69/44/1057476944.db2.gz SQVIRRDPWNBHEG-NXEZZACHSA-N 0 3 310.413 4.492 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H](C)c2ccc(F)cc2F)c(C)s1 ZINC000676493151 1057477601 /nfs/dbraw/zinc/47/76/01/1057477601.db2.gz SQVIRRDPWNBHEG-ZJUUUORDSA-N 0 3 310.413 4.492 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc(OC(C)C)cc2)c(C)s1 ZINC000676488302 1057478143 /nfs/dbraw/zinc/47/81/43/1057478143.db2.gz DSQFTGCEPIBRDA-CYBMUJFWSA-N 0 3 318.486 4.440 20 0 DIADHN Cc1nc([C@@H](C)NCC2(c3ccc(F)cc3)CC2)c(C)s1 ZINC000676488401 1057478179 /nfs/dbraw/zinc/47/81/79/1057478179.db2.gz GAZUBRDNHAOHOZ-LLVKDONJSA-N 0 3 304.434 4.281 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc(C(F)(F)F)cc2)cs1 ZINC000676489359 1057478288 /nfs/dbraw/zinc/47/82/88/1057478288.db2.gz OGQHYTRRSYCPMW-JTQLQIEISA-N 0 3 314.376 4.364 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@@H](C)c1nc(C)sc1C ZINC000676489380 1057478507 /nfs/dbraw/zinc/47/85/07/1057478507.db2.gz JCIGAUPTBTYXEH-LBPRGKRZSA-N 0 3 318.486 4.397 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@H](C)c1nc(C)sc1C ZINC000676489360 1057478649 /nfs/dbraw/zinc/47/86/49/1057478649.db2.gz JCIGAUPTBTYXEH-GFCCVEGCSA-N 0 3 318.486 4.397 20 0 DIADHN CCN(CCCN[C@H](C)c1nc(C)sc1C)c1ccccc1 ZINC000676489663 1057479556 /nfs/dbraw/zinc/47/95/56/1057479556.db2.gz VPGQOMIHLMZAJC-CQSZACIVSA-N 0 3 317.502 4.327 20 0 DIADHN Cc1ccc(CN(Cc2ccc3c(c2)OCO3)C2CCCC2)nc1 ZINC000676535058 1057482414 /nfs/dbraw/zinc/48/24/14/1057482414.db2.gz WXPIWERXDXFLOY-UHFFFAOYSA-N 0 3 324.424 4.064 20 0 DIADHN COc1c(F)cc(F)cc1-c1cc(CN2CCCCC2)ccn1 ZINC001242809691 1057486647 /nfs/dbraw/zinc/48/66/47/1057486647.db2.gz ZXEAGRMIMHBXOD-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1c(F)cc(F)cc1-c1cncc(CN2CCCCC2)c1 ZINC001242810982 1057487416 /nfs/dbraw/zinc/48/74/16/1057487416.db2.gz NTXDLMXSKCAVCH-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(F)c(N)c(F)c2)c1F ZINC001242842357 1057494856 /nfs/dbraw/zinc/49/48/56/1057494856.db2.gz QOEWBRATVMMUDE-UHFFFAOYSA-N 0 3 308.347 4.195 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(F)c(N)c(F)c2)cc1F ZINC001242842003 1057494990 /nfs/dbraw/zinc/49/49/90/1057494990.db2.gz CMBDNGSXJNPORC-UHFFFAOYSA-N 0 3 308.347 4.195 20 0 DIADHN CN(C(=O)CCN1CC(C)(C)[C@@H]1c1ccccc1)c1ccccc1 ZINC000676715702 1057497800 /nfs/dbraw/zinc/49/78/00/1057497800.db2.gz GKJVLPNFVOJBLA-FQEVSTJZSA-N 0 3 322.452 4.123 20 0 DIADHN CN(Cc1ccc(C(C)(C)C)cc1)[C@H]1CCCc2c1cnn2C ZINC000676717176 1057497975 /nfs/dbraw/zinc/49/79/75/1057497975.db2.gz FKIMJUXBOGILSW-SFHVURJKSA-N 0 3 311.473 4.227 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000218861107 1057502156 /nfs/dbraw/zinc/50/21/56/1057502156.db2.gz AIJHFDDTIYVUJU-LSDHHAIUSA-N 0 3 312.457 4.184 20 0 DIADHN Fc1ccc(-c2c[nH]c3ncccc23)cc1CN1CCCCC1 ZINC001242865041 1057504405 /nfs/dbraw/zinc/50/44/05/1057504405.db2.gz OSOQOUUWWNKWPJ-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@H](c2nccn2C)C1 ZINC000373098177 1057507160 /nfs/dbraw/zinc/50/71/60/1057507160.db2.gz IEAUYZGIDZFRRV-GUYCJALGSA-N 0 3 319.399 4.029 20 0 DIADHN CC1(C)C[C@@H](CNCc2c(Cl)cncc2Cl)C(C)(C)O1 ZINC000922949273 1057516834 /nfs/dbraw/zinc/51/68/34/1057516834.db2.gz WDTBDGDMISMJAW-JTQLQIEISA-N 0 3 317.260 4.072 20 0 DIADHN COCC[C@@H](N[C@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000625786326 1057517337 /nfs/dbraw/zinc/51/73/37/1057517337.db2.gz HGOSRDMQWMLZEE-QUCCMNQESA-N 0 3 310.441 4.290 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccn1)C1CCC1)c1ccc(F)cc1 ZINC000625787400 1057519093 /nfs/dbraw/zinc/51/90/93/1057519093.db2.gz VVXIFXHMEIYYTG-RTBURBONSA-N 0 3 314.404 4.039 20 0 DIADHN C[C@@]1(NCc2ccnc3ccccc23)CCOc2ccccc21 ZINC000923027093 1057521525 /nfs/dbraw/zinc/52/15/25/1057521525.db2.gz XYAHYRQWMSSIRP-HXUWFJFHSA-N 0 3 304.393 4.022 20 0 DIADHN C[C@]1(NCc2ccc(C(F)F)cc2)CCOc2ccccc21 ZINC000923027016 1057521727 /nfs/dbraw/zinc/52/17/27/1057521727.db2.gz ZKCVESQXQYWVDL-SFHVURJKSA-N 0 3 303.352 4.412 20 0 DIADHN O=C(Nc1ccccc1)c1cccc(CN(C2CC2)C2CC2)c1 ZINC000625840684 1057524910 /nfs/dbraw/zinc/52/49/10/1057524910.db2.gz WQELTQBWLSNAMM-UHFFFAOYSA-N 0 3 306.409 4.066 20 0 DIADHN Fc1cc(-c2cnn3ccccc23)ccc1CN1CCCCC1 ZINC001242967417 1057529989 /nfs/dbraw/zinc/52/99/89/1057529989.db2.gz RTORXKCWTKRGBY-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN CSc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1F ZINC001242976925 1057532705 /nfs/dbraw/zinc/53/27/05/1057532705.db2.gz VCDVDWIPUOJRNG-UHFFFAOYSA-N 0 3 302.418 4.204 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(C(C)(C)O)cc1 ZINC000925373190 1057533520 /nfs/dbraw/zinc/53/35/20/1057533520.db2.gz CXXXMZYKDVXOJB-YJYMSZOUSA-N 0 3 313.416 4.391 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cc1F ZINC000671750402 1057557298 /nfs/dbraw/zinc/55/72/98/1057557298.db2.gz JSNHBEHQPYQZHL-RYUDHWBXSA-N 0 3 314.360 4.393 20 0 DIADHN NCc1cccc(-c2cc(Cl)cc(C(F)(F)F)c2F)n1 ZINC001243060115 1057561636 /nfs/dbraw/zinc/56/16/36/1057561636.db2.gz QODXWAKFBARCOF-UHFFFAOYSA-N 0 3 304.674 4.019 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC000193113487 1057569852 /nfs/dbraw/zinc/56/98/52/1057569852.db2.gz OSRQSLQLWVKHLD-YOEHRIQHSA-N 0 3 313.470 4.360 20 0 DIADHN CO[C@H](CNCc1scnc1C1CC1)c1ccc(Cl)cc1 ZINC000487274796 1057572140 /nfs/dbraw/zinc/57/21/40/1057572140.db2.gz GZQVMTFRJLDVGX-CQSZACIVSA-N 0 3 322.861 4.151 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1scnc1C1CC1 ZINC000487556815 1057575019 /nfs/dbraw/zinc/57/50/19/1057575019.db2.gz ITUSMHAREURTQN-PIGZYNQJSA-N 0 3 320.433 4.025 20 0 DIADHN C[C@@H](NCc1ccccc1C1CC1)c1ccc([S@](C)=O)cc1 ZINC000611120125 1057582919 /nfs/dbraw/zinc/58/29/19/1057582919.db2.gz IUSIAGVMRNQOJB-PEBXRYMYSA-N 0 3 313.466 4.152 20 0 DIADHN CC(C)(C)OC(=O)C1CCN(Cc2ccccc2C2CC2)CC1 ZINC000611268371 1057587496 /nfs/dbraw/zinc/58/74/96/1057587496.db2.gz YXMHNBGXLKDUQJ-UHFFFAOYSA-N 0 3 315.457 4.118 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(CO)c(C)c2)c1F ZINC001243192081 1057599345 /nfs/dbraw/zinc/59/93/45/1057599345.db2.gz YUZCTEQXFPLOMP-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(OCOC)cc2)c1F ZINC001243210524 1057605883 /nfs/dbraw/zinc/60/58/83/1057605883.db2.gz GRTHFWLKPKZQSI-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H](c1cccc(F)c1)C(C)(C)C ZINC000162213034 1057612310 /nfs/dbraw/zinc/61/23/10/1057612310.db2.gz GIXKMICMNZDZNQ-SJLPKXTDSA-N 0 3 315.436 4.314 20 0 DIADHN CN(CCCCc1ccccc1)Cc1scnc1C1CC1 ZINC000495162868 1057622469 /nfs/dbraw/zinc/62/24/69/1057622469.db2.gz DVFIUYAEUKBGKC-UHFFFAOYSA-N 0 3 300.471 4.475 20 0 DIADHN Clc1cc(CN2CCCC[C@H]2Cc2ccccc2)ccn1 ZINC000727131208 1057634850 /nfs/dbraw/zinc/63/48/50/1057634850.db2.gz AQQHTXKVTHYPES-KRWDZBQOSA-N 0 3 300.833 4.332 20 0 DIADHN Cc1sc([C@H](C)N[C@H](C)[C@H](C)O)nc1-c1ccc(Cl)cc1 ZINC000521511836 1057643672 /nfs/dbraw/zinc/64/36/72/1057643672.db2.gz DEMHMMNHIDKGJX-VWYCJHECSA-N 0 3 324.877 4.192 20 0 DIADHN Cc1c(Cl)cc(Cl)cc1-c1ccc2c(c1)COC21CNC1 ZINC001243332768 1057649189 /nfs/dbraw/zinc/64/91/89/1057649189.db2.gz QRUSXRCJATWRKP-UHFFFAOYSA-N 0 3 320.219 4.298 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](N[C@H](C)c1cccs1)CC2 ZINC000280287852 1057653526 /nfs/dbraw/zinc/65/35/26/1057653526.db2.gz YZGHBPOBFPFFGL-RISCZKNCSA-N 0 3 303.427 4.103 20 0 DIADHN CC(C)(C)Oc1ccc(CNCc2ccc3c[nH]nc3c2)cc1 ZINC000727468259 1057655547 /nfs/dbraw/zinc/65/55/47/1057655547.db2.gz GSBOAMBQUUJNME-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN CCC/C(C)=C/C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC000727676552 1057664956 /nfs/dbraw/zinc/66/49/56/1057664956.db2.gz WTYAWSCDCZHVCQ-POWXATIXSA-N 0 3 314.473 4.076 20 0 DIADHN COc1ccc(-c2cnc(C)s2)c(CN2CCCCC2)c1 ZINC001243380758 1057665333 /nfs/dbraw/zinc/66/53/33/1057665333.db2.gz FMPUXBAWYAECQX-UHFFFAOYSA-N 0 3 302.443 4.113 20 0 DIADHN COCc1ccc(CNCCc2c(Cl)cccc2Cl)o1 ZINC000531701806 1057671414 /nfs/dbraw/zinc/67/14/14/1057671414.db2.gz JYBHUOYERONJOL-UHFFFAOYSA-N 0 3 314.212 4.065 20 0 DIADHN C[C@H](NCCc1c(Cl)cccc1Cl)c1ccc(F)cn1 ZINC000536157631 1057674123 /nfs/dbraw/zinc/67/41/23/1057674123.db2.gz IKLCZXZCPVCLAF-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@@H](CC2CC2)c2ccccc2)C1 ZINC000536845541 1057674753 /nfs/dbraw/zinc/67/47/53/1057674753.db2.gz MVGJSABODHBHNB-SFTDATJTSA-N 0 3 306.453 4.304 20 0 DIADHN FC(F)(F)c1ccccc1[C@@H]1CCCN1C[C@H]1CCCCO1 ZINC000728056288 1057685680 /nfs/dbraw/zinc/68/56/80/1057685680.db2.gz OOHNGFSCOYGXCG-CJNGLKHVSA-N 0 3 313.363 4.411 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730023069 1057701034 /nfs/dbraw/zinc/70/10/34/1057701034.db2.gz RQUKDRNDIYOQMB-BFHYXJOUSA-N 0 3 318.486 4.245 20 0 DIADHN OC1(CN2Cc3ccccc3-c3ccccc3C2)CCCCC1 ZINC000730080424 1057702634 /nfs/dbraw/zinc/70/26/34/1057702634.db2.gz DTGGJSYZRUHWDB-UHFFFAOYSA-N 0 3 307.437 4.364 20 0 DIADHN CCOC(=O)Cc1cccc(-c2cccc(C3=NCCC3)c2)c1 ZINC001243527266 1057702742 /nfs/dbraw/zinc/70/27/42/1057702742.db2.gz WRXTVRBBKXBTPK-UHFFFAOYSA-N 0 3 307.393 4.042 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2cccc([O-])c2F)nc1 ZINC001243546904 1057710309 /nfs/dbraw/zinc/71/03/09/1057710309.db2.gz REMYQYFVKZAFSF-INIZCTEOSA-N 0 3 300.377 4.140 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2cccc([O-])c2F)nc1 ZINC001243546904 1057710311 /nfs/dbraw/zinc/71/03/11/1057710311.db2.gz REMYQYFVKZAFSF-INIZCTEOSA-N 0 3 300.377 4.140 20 0 DIADHN [O-]c1cccc(-c2ccc(SC3CC[NH2+]CC3)cc2)c1F ZINC001243546935 1057710510 /nfs/dbraw/zinc/71/05/10/1057710510.db2.gz VGPLCFPBYRXJKX-UHFFFAOYSA-N 0 3 303.402 4.042 20 0 DIADHN CC[C@@](C)(NCc1cnn(-c2ccc(F)cc2)c1)c1ccccc1 ZINC000730817452 1057726284 /nfs/dbraw/zinc/72/62/84/1057726284.db2.gz NOBUYHAQVVCFIQ-HXUWFJFHSA-N 0 3 323.415 4.426 20 0 DIADHN CCCOc1c(F)cc(F)c(-c2ccc3[nH]c(N)nc3c2)c1F ZINC001243622881 1057737293 /nfs/dbraw/zinc/73/72/93/1057737293.db2.gz IFTASKDQWNDMHK-UHFFFAOYSA-N 0 3 321.302 4.018 20 0 DIADHN c1cc(N2CCCC2)cc(-c2ccc(C3CCOCC3)cc2)n1 ZINC001243625695 1057738258 /nfs/dbraw/zinc/73/82/58/1057738258.db2.gz ZXIKDJWUGGNHIS-UHFFFAOYSA-N 0 3 308.425 4.243 20 0 DIADHN CSc1ncc(Cl)cc1-c1cnccc1/C=C/N(C)C ZINC001243639990 1057741340 /nfs/dbraw/zinc/74/13/40/1057741340.db2.gz KOECAZWXVJHPAI-FNORWQNLSA-N 0 3 305.834 4.051 20 0 DIADHN CCN(CCc1ccccc1)Cn1ccc(-c2ccsc2)n1 ZINC000731659553 1057750173 /nfs/dbraw/zinc/75/01/73/1057750173.db2.gz LFMOVYXPWCFEGU-UHFFFAOYSA-N 0 3 311.454 4.134 20 0 DIADHN COc1ccc(-c2cc(F)c(SC)c(F)c2)cc1CN(C)C ZINC001243690637 1057754585 /nfs/dbraw/zinc/75/45/85/1057754585.db2.gz RCGPCYSTKHGJRW-UHFFFAOYSA-N 0 3 323.408 4.424 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@H]1c1ccc(F)cc1 ZINC000731867067 1057757369 /nfs/dbraw/zinc/75/73/69/1057757369.db2.gz CUVMCLBHAPVLNJ-SFHVURJKSA-N 0 3 320.452 4.001 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(CO)c2Cl)c1F ZINC001243705717 1057757804 /nfs/dbraw/zinc/75/78/04/1057757804.db2.gz ITVBOABAJGYAJV-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1c1ccccc1C ZINC000731871015 1057757912 /nfs/dbraw/zinc/75/79/12/1057757912.db2.gz UOEUGHAISYVNHH-LJQANCHMSA-N 0 3 316.489 4.171 20 0 DIADHN CCN(CC)Cc1cccc(-c2c(Cl)cccc2CO)c1F ZINC001243717810 1057761065 /nfs/dbraw/zinc/76/10/65/1057761065.db2.gz VSSGMGZZCANLCK-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CN(Cc1ccn(-c2ccccc2)n1)c1ccnc2ccccc21 ZINC000731980484 1057763551 /nfs/dbraw/zinc/76/35/51/1057763551.db2.gz POQLNMKYBYICRK-UHFFFAOYSA-N 0 3 314.392 4.057 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](C)c1cc(C)sc1C ZINC000732268151 1057772514 /nfs/dbraw/zinc/77/25/14/1057772514.db2.gz CTELUKFYXMRJAP-AWEZNQCLSA-N 0 3 310.507 4.054 20 0 DIADHN Nc1nc2cc(-c3c(F)c(Cl)cc(Cl)c3F)ccc2[nH]1 ZINC001243765101 1057773971 /nfs/dbraw/zinc/77/39/71/1057773971.db2.gz IVOZUPHMOFSQNQ-UHFFFAOYSA-N 0 3 314.122 4.397 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000732413603 1057774985 /nfs/dbraw/zinc/77/49/85/1057774985.db2.gz VXFVKYBCPCBPAF-CYBMUJFWSA-N 0 3 324.255 4.025 20 0 DIADHN CCN(CC)Cc1cc(C2=CC[C@H](OC)CC2)ccc1OC ZINC001243816610 1057786328 /nfs/dbraw/zinc/78/63/28/1057786328.db2.gz OVLLYQTXCIOTNP-SFHVURJKSA-N 0 3 303.446 4.119 20 0 DIADHN OCc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1Cl ZINC001243822922 1057787306 /nfs/dbraw/zinc/78/73/06/1057787306.db2.gz UXJAMWRAKRVCLW-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN OCc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1Cl ZINC001243821711 1057787665 /nfs/dbraw/zinc/78/76/65/1057787665.db2.gz KHHMHCQAXAAIDF-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)Cn1nccc1-c1cccnc1 ZINC000732980616 1057794545 /nfs/dbraw/zinc/79/45/45/1057794545.db2.gz ZBVLJYMQCQVJQA-HXUWFJFHSA-N 0 3 320.440 4.232 20 0 DIADHN Nc1nc2cc(-c3cnc(F)c(-c4ccccc4)c3)ccc2[nH]1 ZINC001243893163 1057800902 /nfs/dbraw/zinc/80/09/02/1057800902.db2.gz MAFLQHPISKNZBG-UHFFFAOYSA-N 0 3 304.328 4.013 20 0 DIADHN Nc1nc2ccc(-c3cnc(F)c(-c4ccccc4)c3)cc2[nH]1 ZINC001243893163 1057800904 /nfs/dbraw/zinc/80/09/04/1057800904.db2.gz MAFLQHPISKNZBG-UHFFFAOYSA-N 0 3 304.328 4.013 20 0 DIADHN CO[C@@H](CNCc1ccccc1-c1ccccc1)C(F)(F)F ZINC000733329017 1057814171 /nfs/dbraw/zinc/81/41/71/1057814171.db2.gz KHWXKRBRPLCZKS-INIZCTEOSA-N 0 3 309.331 4.021 20 0 DIADHN CN(C)Cc1cccc(-c2c(Cl)cccc2C(F)(F)F)n1 ZINC001243956069 1057818535 /nfs/dbraw/zinc/81/85/35/1057818535.db2.gz GWWDEDRBNLKCRD-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN Fc1ccc(-c2ncccc2CN2CCCC2)c(F)c1Cl ZINC001244038780 1057845812 /nfs/dbraw/zinc/84/58/12/1057845812.db2.gz YSFWSLWDQHDHKG-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN Oc1cccc(Cl)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244047715 1057848866 /nfs/dbraw/zinc/84/88/66/1057848866.db2.gz QJWIPZABGNVJCP-UHFFFAOYSA-N 0 3 305.780 4.448 20 0 DIADHN CC(C)(c1ccc(-c2ccc3c(n2)CNCC3)cc1)C(F)(F)F ZINC001244096577 1057867873 /nfs/dbraw/zinc/86/78/73/1057867873.db2.gz SFNBQMQOYSIRKA-UHFFFAOYSA-N 0 3 320.358 4.234 20 0 DIADHN COCCN(C)Cc1ccnc(-c2cccc(C3CC3)c2C)c1 ZINC001244133412 1057882107 /nfs/dbraw/zinc/88/21/07/1057882107.db2.gz UGGHZAYCGPAEET-UHFFFAOYSA-N 0 3 310.441 4.013 20 0 DIADHN COc1cc(-c2cncc(CN(C)C)c2)ccc1-c1ccccc1 ZINC001244144822 1057885395 /nfs/dbraw/zinc/88/53/95/1057885395.db2.gz RNYNXYTWHNLWFA-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN COc1ccc(-c2ccccc2N2CCCC2)cc1CN(C)C ZINC001244182904 1057894048 /nfs/dbraw/zinc/89/40/48/1057894048.db2.gz LJYREWWAXUPIBW-UHFFFAOYSA-N 0 3 310.441 4.024 20 0 DIADHN O=c1ccc2cc(-c3ccc(F)c(CN4CCCC4)c3)ccc2[nH]1 ZINC001244238438 1057906542 /nfs/dbraw/zinc/90/65/42/1057906542.db2.gz ITOZZDCWIOEAMQ-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN O=c1ccc2cc(-c3ccc(CN4CCCC4)c(F)c3)ccc2[nH]1 ZINC001244239468 1057906837 /nfs/dbraw/zinc/90/68/37/1057906837.db2.gz PQBBMNKRFWPQPN-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN Cc1cc2cc(-c3cc(OC4CCN(C)CC4)ccn3)ccc2[nH]1 ZINC001244241484 1057907402 /nfs/dbraw/zinc/90/74/02/1057907402.db2.gz VWJNIFZDYWBSPL-UHFFFAOYSA-N 0 3 321.424 4.011 20 0 DIADHN COc1cccc(-c2ccc(CN(C)C3CC3)cn2)c1C1CC1 ZINC001244245350 1057909030 /nfs/dbraw/zinc/90/90/30/1057909030.db2.gz AKWGKZKGDNYJQB-UHFFFAOYSA-N 0 3 308.425 4.229 20 0 DIADHN CN1CCC(Oc2ccnc(-c3ccc4sccc4c3)c2)CC1 ZINC001244298616 1057926530 /nfs/dbraw/zinc/92/65/30/1057926530.db2.gz XCGZRQLKGRSHEF-UHFFFAOYSA-N 0 3 324.449 4.436 20 0 DIADHN CCc1cc(-c2ccc(CN(CC)CC)c(F)c2)ccc1N ZINC001244308241 1057929797 /nfs/dbraw/zinc/92/97/97/1057929797.db2.gz CNAIKYKOGYVQSW-UHFFFAOYSA-N 0 3 300.421 4.479 20 0 DIADHN CCc1cc(-c2ccc(F)c(CN(CC)CC)c2)ccc1N ZINC001244308729 1057930528 /nfs/dbraw/zinc/93/05/28/1057930528.db2.gz HWSSZFOOXUOQTA-UHFFFAOYSA-N 0 3 300.421 4.479 20 0 DIADHN COc1cc(F)c(-c2ccc3c(N)ccnc3c2)cc1Cl ZINC001244351374 1057940004 /nfs/dbraw/zinc/94/00/04/1057940004.db2.gz PGQAVAVWLWTDQI-UHFFFAOYSA-N 0 3 302.736 4.285 20 0 DIADHN CSc1ccc(-c2ccc3c(n2)CNCC3)cc1C(F)(F)F ZINC001244493320 1057975155 /nfs/dbraw/zinc/97/51/55/1057975155.db2.gz QAJRBBGQYQBISA-UHFFFAOYSA-N 0 3 324.371 4.135 20 0 DIADHN Cc1cc(-c2cccc(C3CC[NH2+]CC3)c2)cc(Cl)c1[O-] ZINC001244547508 1057993226 /nfs/dbraw/zinc/99/32/26/1057993226.db2.gz OOUGJYDEJASRIV-UHFFFAOYSA-N 0 3 301.817 4.488 20 0 DIADHN COc1ccc(C)c(F)c1-c1cnccc1CN1CCCCC1 ZINC001244567302 1057997326 /nfs/dbraw/zinc/99/73/26/1057997326.db2.gz GZNYFDMWDOINKE-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN OCc1c(Cl)cccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001244578080 1058000703 /nfs/dbraw/zinc/00/07/03/1058000703.db2.gz GMFXUQGKRXZQJA-UHFFFAOYSA-N 0 3 319.807 4.234 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2cccc(CN)n2)c1F ZINC001244640539 1058017287 /nfs/dbraw/zinc/01/72/87/1058017287.db2.gz UIPVPPXFHGGZEA-UHFFFAOYSA-N 0 3 322.383 4.234 20 0 DIADHN Cc1ccc(OCc2ccccc2)c(-c2ccc(CN)nc2)c1F ZINC001244640605 1058017433 /nfs/dbraw/zinc/01/74/33/1058017433.db2.gz GNQIAAZINZUVPO-UHFFFAOYSA-N 0 3 322.383 4.234 20 0 DIADHN CN(C)Cc1cccc(-c2ccc(NCc3ccccc3)cc2)n1 ZINC001244642472 1058018049 /nfs/dbraw/zinc/01/80/49/1058018049.db2.gz DAGHLBGCRCGKMU-UHFFFAOYSA-N 0 3 317.436 4.422 20 0 DIADHN Cc1cc(-c2ccc3c(c2)COC32CNC2)c(Cl)cc1Cl ZINC001244748228 1058041038 /nfs/dbraw/zinc/04/10/38/1058041038.db2.gz JALYDXZHVYNEKE-UHFFFAOYSA-N 0 3 320.219 4.298 20 0 DIADHN OCc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)c(F)c1 ZINC001244913431 1058070704 /nfs/dbraw/zinc/07/07/04/1058070704.db2.gz MKTJZIRBEYGLDH-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN Clc1cc(Cl)c(-c2ccc3c(n2)CNCC3)c(Cl)c1 ZINC001244930612 1058074111 /nfs/dbraw/zinc/07/41/11/1058074111.db2.gz MCWYUYRHZMMKOD-UHFFFAOYSA-N 0 3 313.615 4.355 20 0 DIADHN c1cc(-c2cncc([C@H]3CN4CCC3CC4)n2)cc(C2CCC2)c1 ZINC001244979625 1058085355 /nfs/dbraw/zinc/08/53/55/1058085355.db2.gz FWVHTNFXDMTLSB-IBGZPJMESA-N 0 3 319.452 4.220 20 0 DIADHN CCOc1cc(C)cc(F)c1-c1ncccc1CN1CCCC1 ZINC001245015032 1058093529 /nfs/dbraw/zinc/09/35/29/1058093529.db2.gz ZSUKWHNWIJCWSK-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc(-c2cnccc2N2CCOCC2)cc(Cl)c1Cl ZINC001245041610 1058100513 /nfs/dbraw/zinc/10/05/13/1058100513.db2.gz BVNJDJGYESJDEN-UHFFFAOYSA-N 0 3 323.223 4.200 20 0 DIADHN Cc1cc(F)cc(Cl)c1-c1ccc(CN(C)C2CC2)cn1 ZINC001245056570 1058103887 /nfs/dbraw/zinc/10/38/87/1058103887.db2.gz DSXNZKZNSSEJHQ-UHFFFAOYSA-N 0 3 304.796 4.444 20 0 DIADHN COc1c(F)cc(SC)cc1-c1cnccc1/C=C/N(C)C ZINC001245230108 1058130970 /nfs/dbraw/zinc/13/09/70/1058130970.db2.gz UJYCPGOLYGRAGD-SOFGYWHQSA-N 0 3 318.417 4.151 20 0 DIADHN Cc1noc2ccc(-c3cncc(CN4CCCCC4)c3)cc12 ZINC001245282161 1058139869 /nfs/dbraw/zinc/13/98/69/1058139869.db2.gz QIHRNWGUNFYWON-UHFFFAOYSA-N 0 3 307.397 4.184 20 0 DIADHN Cc1cccc2[nH]c(-c3cc(OC4CCN(C)CC4)ccn3)cc21 ZINC001245344630 1058147950 /nfs/dbraw/zinc/14/79/50/1058147950.db2.gz HTHHUESHDMIARV-UHFFFAOYSA-N 0 3 321.424 4.011 20 0 DIADHN Cc1ccnc2c(C3=CC[C@@H](N4CCOCC4)CC3)ccc(C)c12 ZINC001245423746 1058163885 /nfs/dbraw/zinc/16/38/85/1058163885.db2.gz DDDAUJGEXNOTDM-GOSISDBHSA-N 0 3 322.452 4.120 20 0 DIADHN Fc1cccc2c1cccc2C1=CC[C@H](N2CCOCC2)CC1 ZINC001245424335 1058164102 /nfs/dbraw/zinc/16/41/02/1058164102.db2.gz BCGQOOUTPUPPAF-INIZCTEOSA-N 0 3 311.400 4.247 20 0 DIADHN c1cc(-c2ccncc2)cc(C2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001245428842 1058164607 /nfs/dbraw/zinc/16/46/07/1058164607.db2.gz RSUJGUJWTLNBKI-NRFANRHFSA-N 0 3 320.436 4.017 20 0 DIADHN Fc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)c2ccccc12 ZINC001245425383 1058164655 /nfs/dbraw/zinc/16/46/55/1058164655.db2.gz OPKVHKIHKDUCBE-MRXNPFEDSA-N 0 3 311.400 4.247 20 0 DIADHN c1ccc(-c2ncccc2C2=CC[C@H](N3CCOCC3)CC2)cc1 ZINC001245425328 1058164937 /nfs/dbraw/zinc/16/49/37/1058164937.db2.gz JYKNWPJHKDRGMW-IBGZPJMESA-N 0 3 320.436 4.017 20 0 DIADHN CCN(CC)Cc1ccc(-c2c[nH]c3ncc(F)cc23)cc1F ZINC001245433814 1058165734 /nfs/dbraw/zinc/16/57/34/1058165734.db2.gz YCRKVUVXVRDMKY-UHFFFAOYSA-N 0 3 315.367 4.350 20 0 DIADHN Nc1cc(F)cc(-c2cncc(CN3CCCCC3)c2)c1Cl ZINC001245466165 1058173040 /nfs/dbraw/zinc/17/30/40/1058173040.db2.gz GUYRPWVKMODVAK-UHFFFAOYSA-N 0 3 319.811 4.109 20 0 DIADHN CCC(CC)CC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001547469087 1058251606 /nfs/dbraw/zinc/25/16/06/1058251606.db2.gz LXPJOFDTWCTZNQ-IBGZPJMESA-N 0 3 316.489 4.156 20 0 DIADHN COc1cccc(C2CC(N(C)C[C@@H]3CC3(Cl)Cl)C2)c1 ZINC001548857974 1058268211 /nfs/dbraw/zinc/26/82/11/1058268211.db2.gz HIGRZKOFNDELTL-MOKVOYLWSA-N 0 3 314.256 4.067 20 0 DIADHN CC(C)c1cnc(CN2CC3(CCOCC3)[C@H]3CCC[C@@H]32)s1 ZINC001548850399 1058269326 /nfs/dbraw/zinc/26/93/26/1058269326.db2.gz ZYPPFAPXTHBQQX-GJZGRUSLSA-N 0 3 320.502 4.048 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1cnn3c1CCCC3)CCC2 ZINC001549034502 1058279571 /nfs/dbraw/zinc/27/95/71/1058279571.db2.gz UEIZPICRGHEJGF-HNAYVOBHSA-N 0 3 309.457 4.256 20 0 DIADHN Cc1cccc2c1CCC[C@H]2N[C@@H](C)c1cnc(Cl)n1C ZINC001550520035 1058341447 /nfs/dbraw/zinc/34/14/47/1058341447.db2.gz DRQLCTAERUDDRF-SWLSCSKDSA-N 0 3 303.837 4.110 20 0 DIADHN Cc1cccc2c1CCC[C@H]2N[C@H](C)c1cnc(Cl)n1C ZINC001550520034 1058341909 /nfs/dbraw/zinc/34/19/09/1058341909.db2.gz DRQLCTAERUDDRF-IUODEOHRSA-N 0 3 303.837 4.110 20 0 DIADHN CCOc1cc(F)c(-c2ccc3nc(N)[nH]c3c2)cc1Cl ZINC001245705385 1058375455 /nfs/dbraw/zinc/37/54/55/1058375455.db2.gz KHMJPVCYPBWUBZ-UHFFFAOYSA-N 0 3 305.740 4.003 20 0 DIADHN CCOc1cc(F)c(-c2ccc3[nH]c(N)nc3c2)cc1Cl ZINC001245705385 1058375474 /nfs/dbraw/zinc/37/54/74/1058375474.db2.gz KHMJPVCYPBWUBZ-UHFFFAOYSA-N 0 3 305.740 4.003 20 0 DIADHN CCOc1cc(F)c(-c2cncc(CN(C)C)c2)cc1Cl ZINC001245706246 1058377135 /nfs/dbraw/zinc/37/71/35/1058377135.db2.gz VHGGYOANAKRBLK-UHFFFAOYSA-N 0 3 308.784 4.001 20 0 DIADHN CCc1ncc(CN[C@@H]2C[C@@H](C)Cc3cc(OC)ccc32)s1 ZINC001551241232 1058381196 /nfs/dbraw/zinc/38/11/96/1058381196.db2.gz BDYZXLAKMVXBAM-YVEFUNNKSA-N 0 3 316.470 4.127 20 0 DIADHN CN(C)Cc1cccc(-c2cccc(C(F)(F)F)c2Cl)n1 ZINC001245711014 1058383202 /nfs/dbraw/zinc/38/32/02/1058383202.db2.gz KOOWEXKQHIRCMJ-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN Cc1cc(C)nc(CN2CC[C@@H](c3ccccc3)O[C@@H](C)C2)c1 ZINC001551396018 1058390337 /nfs/dbraw/zinc/39/03/37/1058390337.db2.gz OPUBLMDUURTAON-PXNSSMCTSA-N 0 3 310.441 4.051 20 0 DIADHN Cc1cc(C)nc(CN2CC[C@H](c3ccccc3)O[C@H](C)C2)c1 ZINC001551396020 1058392604 /nfs/dbraw/zinc/39/26/04/1058392604.db2.gz OPUBLMDUURTAON-YLJYHZDGSA-N 0 3 310.441 4.051 20 0 DIADHN CCOC(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1C ZINC001245718108 1058393520 /nfs/dbraw/zinc/39/35/20/1058393520.db2.gz AIXQWXIWSBRPBB-UHFFFAOYSA-N 0 3 307.393 4.422 20 0 DIADHN CCCC[C@H](C(=O)N1CCN(CC2CCCCC2)CC1)C(C)C ZINC001519266608 1058413870 /nfs/dbraw/zinc/41/38/70/1058413870.db2.gz XWNGDOHISCIVSH-IBGZPJMESA-N 0 3 322.537 4.173 20 0 DIADHN CCCC[C@H](C(=O)N(CCN(C)C)c1ccccc1)C(C)C ZINC001519528561 1058432210 /nfs/dbraw/zinc/43/22/10/1058432210.db2.gz PFIIZUFWIJHKMI-SFHVURJKSA-N 0 3 304.478 4.044 20 0 DIADHN CSc1ccc(F)c(C)c1-c1cnccc1/C=C/N(C)C ZINC001245757462 1058441313 /nfs/dbraw/zinc/44/13/13/1058441313.db2.gz JSWGGELKWKPAJK-CSKARUKUSA-N 0 3 302.418 4.450 20 0 DIADHN CCN(C(=O)[C@@H]1CCCN1C(C)C)c1ccc2ccccc2c1 ZINC001519691555 1058461811 /nfs/dbraw/zinc/46/18/11/1058461811.db2.gz KJJWDULRFNULGP-IBGZPJMESA-N 0 3 310.441 4.066 20 0 DIADHN Cc1nc(Cl)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001245777783 1058466024 /nfs/dbraw/zinc/46/60/24/1058466024.db2.gz XSPOHZAECLDKBU-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN CN(C)CCN(C(=O)c1cccc(C(C)(C)C)c1)c1ccccc1 ZINC001528735800 1058506254 /nfs/dbraw/zinc/50/62/54/1058506254.db2.gz OHAFNURYBGDWDT-UHFFFAOYSA-N 0 3 324.468 4.193 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2NCc1cc2cccnc2o1 ZINC001553968413 1058510655 /nfs/dbraw/zinc/51/06/55/1058510655.db2.gz KJDLMBQBPHRRSC-ORAYPTAESA-N 0 3 322.408 4.250 20 0 DIADHN CC[C@@H](C)c1cccc(NC(=O)NC[C@@H]2CCN2C(C)(C)C)c1 ZINC001563083438 1058535114 /nfs/dbraw/zinc/53/51/14/1058535114.db2.gz GRFUGRQNTJOVTC-PBHICJAKSA-N 0 3 317.477 4.194 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](C)c1cc2ccccc2o1 ZINC001556213001 1058558863 /nfs/dbraw/zinc/55/88/63/1058558863.db2.gz LIBYLHHLBILZHW-HNNXBMFYSA-N 0 3 316.445 4.122 20 0 DIADHN CC(C)Oc1c(F)cc(-c2cncc(CN(C)C)c2)cc1Cl ZINC001245868148 1058575200 /nfs/dbraw/zinc/57/52/00/1058575200.db2.gz MMNTUNIQYFMCQI-UHFFFAOYSA-N 0 3 322.811 4.390 20 0 DIADHN CC[C@H](CC(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1)C(C)C ZINC001556360096 1058584174 /nfs/dbraw/zinc/58/41/74/1058584174.db2.gz LQLHWRUECWCBTA-RHSMWYFYSA-N 0 3 324.896 4.131 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NCCN1CCCC(C)(C)C1 ZINC001556385452 1058586792 /nfs/dbraw/zinc/58/67/92/1058586792.db2.gz JGMDGFNKJKZCEA-IBGZPJMESA-N 0 3 310.526 4.221 20 0 DIADHN CCc1ccc(N(CC(C)C)C(=O)[C@@H](CC)N(CC)CC)cc1 ZINC001556483613 1058599301 /nfs/dbraw/zinc/59/93/01/1058599301.db2.gz XQQPMXWNURGEBN-LJQANCHMSA-N 0 3 318.505 4.358 20 0 DIADHN CCC(C)(C)c1ccc(NC(=O)CN2[C@@H](C)CC[C@@H]2C)cc1 ZINC001556484034 1058599856 /nfs/dbraw/zinc/59/98/56/1058599856.db2.gz LSVVDJCOKFYQNA-GJZGRUSLSA-N 0 3 302.462 4.186 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CCCC1CCCCC1 ZINC001556566431 1058613396 /nfs/dbraw/zinc/61/33/96/1058613396.db2.gz SZTSFJVJTGKIPL-UHFFFAOYSA-N 0 3 322.537 4.462 20 0 DIADHN Cc1cccc2c(C(=O)Nc3ccccc3CN(C)C)coc21 ZINC001556765695 1058637984 /nfs/dbraw/zinc/63/79/84/1058637984.db2.gz XQUHLNAFZALKOO-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C1C[C@H](C)C[C@@H](C)C1 ZINC001556804593 1058644922 /nfs/dbraw/zinc/64/49/22/1058644922.db2.gz HVXHIYUCIXTJJO-ZIAGYGMSSA-N 0 3 317.477 4.115 20 0 DIADHN CCC(CC)C(=O)NC[C@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001556900024 1058667655 /nfs/dbraw/zinc/66/76/55/1058667655.db2.gz VNHNJNQOBAONJH-LJQANCHMSA-N 0 3 318.505 4.040 20 0 DIADHN CCCCC[C@H](CC)C(=O)Nc1ccc(CN(C)C)cc1F ZINC001557008960 1058683992 /nfs/dbraw/zinc/68/39/92/1058683992.db2.gz YQFYNLIEXRBCFB-HNNXBMFYSA-N 0 3 308.441 4.432 20 0 DIADHN CC(C)CN(C(=O)CN(C)C(C)C)[C@H](C)c1ccccc1Cl ZINC001557211509 1058717503 /nfs/dbraw/zinc/71/75/03/1058717503.db2.gz UQXPJAXPTLVZCP-OAHLLOKOSA-N 0 3 324.896 4.226 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N(C)C1CC(C)(C)CC(C)(C)C1 ZINC001557415823 1058749198 /nfs/dbraw/zinc/74/91/98/1058749198.db2.gz DIBVHWGCBNSBIW-QGZVFWFLSA-N 0 3 322.537 4.314 20 0 DIADHN C[C@@H](CN(C)C(=O)c1ccc(NC2=NCCC2)cc1)C(C)(C)C ZINC001558246627 1058855197 /nfs/dbraw/zinc/85/51/97/1058855197.db2.gz JXFJKTORIYJGFR-AWEZNQCLSA-N 0 3 315.461 4.045 20 0 DIADHN C[C@H](C(=O)Nc1cccc(OC(C)(C)C)c1)N1[C@H](C)CC[C@H]1C ZINC001558593191 1058888368 /nfs/dbraw/zinc/88/83/68/1058888368.db2.gz WFQMWRUFESFHHI-RBSFLKMASA-N 0 3 318.461 4.064 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)N1Cc2ccccc2-c2ccccc21 ZINC001530290717 1058995241 /nfs/dbraw/zinc/99/52/41/1058995241.db2.gz GDJQWZMSLIJEBB-HXUWFJFHSA-N 0 3 320.436 4.073 20 0 DIADHN CCCC[C@H](C(=O)Nc1ccc(C)cc1CN(C)C)C(C)C ZINC001521891313 1059024103 /nfs/dbraw/zinc/02/41/03/1059024103.db2.gz CEZPQRFNLSQXAT-KRWDZBQOSA-N 0 3 304.478 4.458 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CCc2cc(N)ccc2C1 ZINC001246885182 1059149343 /nfs/dbraw/zinc/14/93/43/1059149343.db2.gz CRFWSUKPZJOXGM-LBPRGKRZSA-N 0 3 318.823 4.051 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CCc2ccc(N)cc2C1 ZINC001246907366 1059168140 /nfs/dbraw/zinc/16/81/40/1059168140.db2.gz UXTMRZXVRBRICQ-LBPRGKRZSA-N 0 3 318.823 4.051 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CCc2c(N)cccc2C1 ZINC001246933540 1059184868 /nfs/dbraw/zinc/18/48/68/1059184868.db2.gz KAZALUOKSNWVLM-LBPRGKRZSA-N 0 3 318.823 4.051 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523468806 1059249557 /nfs/dbraw/zinc/24/95/57/1059249557.db2.gz MTZPTQFQRUSQLP-FPAYPSAMSA-N 0 3 316.489 4.010 20 0 DIADHN COC(=O)c1cc(CN(C)[C@@H](C)c2cccs2)ccc1C ZINC001559486267 1059259931 /nfs/dbraw/zinc/25/99/31/1059259931.db2.gz LGQQWMWXZIHOEJ-ZDUSSCGKSA-N 0 3 303.427 4.036 20 0 DIADHN CC[C@@H](C)n1c2ccc(Cl)cc2nc1[C@@H]1CNC(C)(C)O1 ZINC001247046764 1059268894 /nfs/dbraw/zinc/26/88/94/1059268894.db2.gz OZCUCHJRTXPLPH-YGRLFVJLSA-N 0 3 307.825 4.058 20 0 DIADHN CC(C)N(CCOc1ccc(F)c(Cl)c1)Cc1ccccn1 ZINC001559491861 1059271312 /nfs/dbraw/zinc/27/13/12/1059271312.db2.gz WDFSMBPRIAUOAZ-UHFFFAOYSA-N 0 3 322.811 4.164 20 0 DIADHN Cc1cn(C)nc1CN1CCC[C@H]1c1cccc2ccccc21 ZINC001559498021 1059279694 /nfs/dbraw/zinc/27/96/94/1059279694.db2.gz UKUWHKHUOWNBFY-FQEVSTJZSA-N 0 3 305.425 4.219 20 0 DIADHN Fc1ccc(OCCN2CC[C@@H](c3ccccc3)C2)c(Cl)c1 ZINC001559502949 1059286923 /nfs/dbraw/zinc/28/69/23/1059286923.db2.gz SDQBLPRXNXPOFJ-OAHLLOKOSA-N 0 3 319.807 4.347 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1c(C)cc(C)nc1C ZINC001559522266 1059322275 /nfs/dbraw/zinc/32/22/75/1059322275.db2.gz BFVQVINFUXSCLP-IBGZPJMESA-N 0 3 310.441 4.353 20 0 DIADHN CN(CCCC(C)(C)C)[C@@H]1CCN(c2ccccc2Cl)C1=O ZINC001559536802 1059335211 /nfs/dbraw/zinc/33/52/11/1059335211.db2.gz GFYJSWMVGRHSDM-MRXNPFEDSA-N 0 3 322.880 4.203 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1ncccc1Br ZINC001559553889 1059371231 /nfs/dbraw/zinc/37/12/31/1059371231.db2.gz NTSKWRSJSIUFNG-DOMZBBRYSA-N 0 3 311.267 4.245 20 0 DIADHN CCCN(Cc1c(F)ccc(C)c1F)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC001559558337 1059375339 /nfs/dbraw/zinc/37/53/39/1059375339.db2.gz UXRLUGRQFVRIQT-MORSLUCNSA-N 0 3 323.427 4.299 20 0 DIADHN CCCN(Cc1c(F)ccc(C)c1F)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC001559558336 1059377747 /nfs/dbraw/zinc/37/77/47/1059377747.db2.gz UXRLUGRQFVRIQT-FSPWUOQZSA-N 0 3 323.427 4.299 20 0 DIADHN CCCCOC(=O)[C@H](C)N1CCC[C@H]1[C@@H](CC)c1ccccc1 ZINC001559565880 1059393884 /nfs/dbraw/zinc/39/38/84/1059393884.db2.gz UFTGNVISHHXCPA-WDSOQIARSA-N 0 3 317.473 4.376 20 0 DIADHN Cc1nsc(C)c1CN(C)CC(C)(C)c1ccc(C)cc1 ZINC001559566583 1059394896 /nfs/dbraw/zinc/39/48/96/1059394896.db2.gz JCMPPSBYHQDPAD-UHFFFAOYSA-N 0 3 302.487 4.478 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559572158 1059402708 /nfs/dbraw/zinc/40/27/08/1059402708.db2.gz ONBCPZGEXNSINZ-GDBMZVCRSA-N 0 3 323.864 4.455 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CC[C@@H](C(=O)OC(C)(C)C)C1 ZINC001559572161 1059405818 /nfs/dbraw/zinc/40/58/18/1059405818.db2.gz ONBCPZGEXNSINZ-ZBFHGGJFSA-N 0 3 323.864 4.455 20 0 DIADHN COC(=O)c1ccc([C@H](C)N2CCC(C3CCCC3)CC2)o1 ZINC001559576877 1059409659 /nfs/dbraw/zinc/40/96/59/1059409659.db2.gz OGOGVMFOULULKQ-ZDUSSCGKSA-N 0 3 305.418 4.029 20 0 DIADHN Fc1cccc([C@@H]2CCN2CC2([C@H]3CCCCO3)CCC2)c1 ZINC001559581880 1059415773 /nfs/dbraw/zinc/41/57/73/1059415773.db2.gz SKYVWBHHQFPNEV-ZWKOTPCHSA-N 0 3 303.421 4.312 20 0 DIADHN COc1cccc(CN(C)[C@H](C)Cc2cccs2)c1Cl ZINC001559589006 1059421205 /nfs/dbraw/zinc/42/12/05/1059421205.db2.gz OVFIXKKPUDSSMY-GFCCVEGCSA-N 0 3 309.862 4.473 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1cncc(Cl)c1 ZINC001559590160 1059426014 /nfs/dbraw/zinc/42/60/14/1059426014.db2.gz JCUFWOBEAATCLA-RHSMWYFYSA-N 0 3 300.833 4.188 20 0 DIADHN CC(C)[C@H]1c2ccccc2CCN1Cc1cc(CO)ccc1F ZINC001559596458 1059431352 /nfs/dbraw/zinc/43/13/52/1059431352.db2.gz OFFFVGKQUVANJR-FQEVSTJZSA-N 0 3 313.416 4.073 20 0 DIADHN CCCNC(=O)C1(NCc2ccccc2C(C)C)CCCCC1 ZINC001559616698 1059447866 /nfs/dbraw/zinc/44/78/66/1059447866.db2.gz LOIOLHNOHKHQEY-UHFFFAOYSA-N 0 3 316.489 4.129 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccc3c(c1)CCC3)CCC2 ZINC001559622804 1059450635 /nfs/dbraw/zinc/45/06/35/1059450635.db2.gz WLVIBYWPTZLBGI-SFHVURJKSA-N 0 3 312.482 4.450 20 0 DIADHN COCCN(CCCCc1ccccc1)Cc1ccc(C)o1 ZINC001559624298 1059452848 /nfs/dbraw/zinc/45/28/48/1059452848.db2.gz FGULTOWJRUNIBT-UHFFFAOYSA-N 0 3 301.430 4.059 20 0 DIADHN CO[C@@H](CN([C@@H](C)c1ccco1)C1CC1)c1ccc(F)cc1 ZINC001559624363 1059453580 /nfs/dbraw/zinc/45/35/80/1059453580.db2.gz HIBWTBBHLMBOHP-UGSOOPFHSA-N 0 3 303.377 4.332 20 0 DIADHN CCCN(CCN(C)C)C(=O)C/C=C/c1ccc(C(C)C)cc1 ZINC001531931063 1059458957 /nfs/dbraw/zinc/45/89/57/1059458957.db2.gz NKBZEFATXSESMW-BQYQJAHWSA-N 0 3 316.489 4.014 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc3cccnc32)no1 ZINC001247416655 1059467601 /nfs/dbraw/zinc/46/76/01/1059467601.db2.gz CNOLEFPYLIKDFO-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(OCC)c2)no1 ZINC001247417564 1059468604 /nfs/dbraw/zinc/46/86/04/1059468604.db2.gz NJZZPVWEMXQZAV-AWEZNQCLSA-N 0 3 317.433 4.318 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc3cnccc3c2)no1 ZINC001247418136 1059470982 /nfs/dbraw/zinc/47/09/82/1059470982.db2.gz WYOWCTRRGMYZCV-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN COc1ccccc1C(C)(C)NCCO[C@@H]1CCCC[C@@H]1C ZINC001559645630 1059473026 /nfs/dbraw/zinc/47/30/26/1059473026.db2.gz NTQYNHZOCHNKHS-DOTOQJQBSA-N 0 3 305.462 4.115 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CCC(C)C)cc1Cl ZINC001559646858 1059473260 /nfs/dbraw/zinc/47/32/60/1059473260.db2.gz UOJSEVCYBPYEOF-MRXNPFEDSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CCC2CCCC2)cc1 ZINC001559652522 1059483603 /nfs/dbraw/zinc/48/36/03/1059483603.db2.gz NHTYWKBWAKPSNO-IBGZPJMESA-N 0 3 314.473 4.368 20 0 DIADHN C[C@H](C(=O)N[C@@H](C)c1ccc2ccccc2c1)N(C)CCC1CC1 ZINC001559660835 1059487732 /nfs/dbraw/zinc/48/77/32/1059487732.db2.gz DWWPAJVSETWYAN-JKSUJKDBSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CCOCc1ccccc1 ZINC001559659071 1059488253 /nfs/dbraw/zinc/48/82/53/1059488253.db2.gz ZKEWMTCSKVXYQB-HOTGVXAUSA-N 0 3 313.416 4.350 20 0 DIADHN CC(C)OCCN1CCc2ccccc2[C@H](c2ccccc2)[C@@H]1C ZINC001559661351 1059492466 /nfs/dbraw/zinc/49/24/66/1059492466.db2.gz UCWWZGXPLZHXHE-AVRDEDQJSA-N 0 3 323.480 4.490 20 0 DIADHN C[C@@H](c1ccccc1Br)N(C)CC1CC(F)(F)C1 ZINC001559670419 1059496214 /nfs/dbraw/zinc/49/62/14/1059496214.db2.gz KBVRTRATWWGWON-JTQLQIEISA-N 0 3 318.205 4.487 20 0 DIADHN C[C@H](c1ccccc1Br)N(C)CC1CC(F)(F)C1 ZINC001559670420 1059496648 /nfs/dbraw/zinc/49/66/48/1059496648.db2.gz KBVRTRATWWGWON-SNVBAGLBSA-N 0 3 318.205 4.487 20 0 DIADHN OC[C@H](NCc1ccc(Cl)c2ccccc12)c1ccccc1 ZINC001559671697 1059498978 /nfs/dbraw/zinc/49/89/78/1059498978.db2.gz ACLMSYLMUFWPPV-IBGZPJMESA-N 0 3 311.812 4.316 20 0 DIADHN CCc1ccc(CCN(C)Cc2cc(F)c(F)c(F)c2)cc1 ZINC001559678506 1059505527 /nfs/dbraw/zinc/50/55/27/1059505527.db2.gz XIAZQXMRULXJEI-UHFFFAOYSA-N 0 3 307.359 4.341 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2cc(Cl)ccc2F)c1 ZINC001559680586 1059509211 /nfs/dbraw/zinc/50/92/11/1059509211.db2.gz DRQOBTPNTUBIRN-GFCCVEGCSA-N 0 3 323.795 4.217 20 0 DIADHN CCC[C@H](NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccc(F)cc1F ZINC001559682103 1059510006 /nfs/dbraw/zinc/51/00/06/1059510006.db2.gz ZIUMCCOHCUDWNH-CSBKYJRVSA-N 0 3 309.400 4.353 20 0 DIADHN CC(C)COCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC001559687099 1059515806 /nfs/dbraw/zinc/51/58/06/1059515806.db2.gz ZOXRJZSMSYXTBH-NSHDSACASA-N 0 3 321.795 4.265 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccc(F)cc1OC(F)F)CC(C)C ZINC001559687131 1059516284 /nfs/dbraw/zinc/51/62/84/1059516284.db2.gz AMUNJRFMYRRSCP-DGCLKSJQSA-N 0 3 319.367 4.139 20 0 DIADHN C[C@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCC1=CCCCC1 ZINC001559690796 1059519226 /nfs/dbraw/zinc/51/92/26/1059519226.db2.gz VMBXLDIXYHFFNY-HOTGVXAUSA-N 0 3 322.493 4.207 20 0 DIADHN C[C@@H]1[C@@H](C)N(C(=O)OC(C)(C)C)CCN1CCC1=CCCCC1 ZINC001559690797 1059519582 /nfs/dbraw/zinc/51/95/82/1059519582.db2.gz VMBXLDIXYHFFNY-HZPDHXFCSA-N 0 3 322.493 4.207 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1csc(Cc2ccccc2)n1 ZINC001559688929 1059521279 /nfs/dbraw/zinc/52/12/79/1059521279.db2.gz XLHOOVYRJYFQRH-PBHICJAKSA-N 0 3 300.471 4.497 20 0 DIADHN CCOC(=O)/C=C\CN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001559694357 1059522797 /nfs/dbraw/zinc/52/27/97/1059522797.db2.gz ONJOKSPGJAFCCF-BJIFSAINSA-N 0 3 309.409 4.124 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(F)c3cccnc23)c1 ZINC001559698473 1059525637 /nfs/dbraw/zinc/52/56/37/1059525637.db2.gz YFNLHVMFCYUCNY-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001559700729 1059529057 /nfs/dbraw/zinc/52/90/57/1059529057.db2.gz AZWKZJHFQMVRQI-ZDUSSCGKSA-N 0 3 319.351 4.476 20 0 DIADHN Cc1cccc(/C=C/c2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC001247551023 1059530178 /nfs/dbraw/zinc/53/01/78/1059530178.db2.gz NVXBMDIZIOOYCT-FMIVXFBMSA-N 0 3 319.408 4.277 20 0 DIADHN CCOC(=O)CCN[C@H](C)c1ccccc1Oc1ccccc1 ZINC001559710240 1059539692 /nfs/dbraw/zinc/53/96/92/1059539692.db2.gz DHKRDTJUGNTQGX-OAHLLOKOSA-N 0 3 313.397 4.083 20 0 DIADHN CC(C)(C)[C@@H]1CCN(C/C=C/c2ccc(Cl)cc2)C[C@@H]1O ZINC001559715488 1059541729 /nfs/dbraw/zinc/54/17/29/1059541729.db2.gz CREPEEJLQUWAEM-FDRQBBGKSA-N 0 3 307.865 4.082 20 0 DIADHN CCN(CCSc1cccc(Cl)c1)Cc1ccncc1 ZINC001559721226 1059550921 /nfs/dbraw/zinc/55/09/21/1059550921.db2.gz HGYBFCNZGNFSQU-UHFFFAOYSA-N 0 3 306.862 4.349 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnc3c(cnn3C(C)C)c2)s1 ZINC001559725065 1059555947 /nfs/dbraw/zinc/55/59/47/1059555947.db2.gz MSVBUBHPGJTYNW-ZDUSSCGKSA-N 0 3 314.458 4.233 20 0 DIADHN COc1ccc2oc([C@H](C)NCCOCCC(C)C)c(C)c2c1 ZINC001559725239 1059559922 /nfs/dbraw/zinc/55/99/22/1059559922.db2.gz QVTCVYOHAIOCKV-HNNXBMFYSA-N 0 3 319.445 4.463 20 0 DIADHN COCC[C@@H](NC[C@@H]1CCC2(CCCCCC2)O1)c1ccco1 ZINC001559736543 1059567817 /nfs/dbraw/zinc/56/78/17/1059567817.db2.gz NXISNYJUQQZFQY-DLBZAZTESA-N 0 3 321.461 4.219 20 0 DIADHN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC1CCC1 ZINC001559740508 1059574907 /nfs/dbraw/zinc/57/49/07/1059574907.db2.gz NRCOSYGHELUOCW-QGZVFWFLSA-N 0 3 324.509 4.288 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1c[nH]c(=O)c2ccccc12 ZINC001559740574 1059576088 /nfs/dbraw/zinc/57/60/88/1059576088.db2.gz BDWJWEHQKDQAFA-AAEUAGOBSA-N 0 3 324.346 4.103 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@H](O)c1ccc(F)cc1C ZINC001559748101 1059579883 /nfs/dbraw/zinc/57/98/83/1059579883.db2.gz ZCURATQDLOOHER-RNTFSAEZSA-N 0 3 313.416 4.203 20 0 DIADHN CCn1ccc(CN(C)C[C@@H]2CCC3(CCCCCC3)O2)c1 ZINC001559748524 1059582429 /nfs/dbraw/zinc/58/24/29/1059582429.db2.gz NYFKHXDTSZQWNL-SFHVURJKSA-N 0 3 304.478 4.212 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1Cc1c[nH]c(=O)c2ccccc12 ZINC001559753768 1059586143 /nfs/dbraw/zinc/58/61/43/1059586143.db2.gz STBSKTPOARVQDH-CQSZACIVSA-N 0 3 324.346 4.103 20 0 DIADHN CC(C)(C)OC(=O)c1cccc(CN2CCCC(C)(C)C2)c1 ZINC001559753711 1059587699 /nfs/dbraw/zinc/58/76/99/1059587699.db2.gz RAJURJZWTRBOAW-UHFFFAOYSA-N 0 3 303.446 4.264 20 0 DIADHN CC(C)[C@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559758518 1059590595 /nfs/dbraw/zinc/59/05/95/1059590595.db2.gz DYXQTTZYTDOQHH-KBPBESRZSA-N 0 3 308.853 4.035 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN(Cc2ccccc2C(C)C)C1 ZINC001559755966 1059591191 /nfs/dbraw/zinc/59/11/91/1059591191.db2.gz NXQRYUUUWPTQPP-MRXNPFEDSA-N 0 3 315.379 4.353 20 0 DIADHN Cc1conc1CN[C@@H]1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC001559758781 1059592984 /nfs/dbraw/zinc/59/29/84/1059592984.db2.gz IXWBAKOKCWPKIJ-UKRRQHHQSA-N 0 3 324.346 4.428 20 0 DIADHN CCC1(CC)CCN(C[C@@H](O)c2ccc(F)cc2Cl)CC1 ZINC001559763806 1059601170 /nfs/dbraw/zinc/60/11/70/1059601170.db2.gz OVLITNBOGMCMTQ-MRXNPFEDSA-N 0 3 313.844 4.415 20 0 DIADHN CCC1(CC)CCN([C@H](C)c2cc(C)c(C(=O)OC)o2)CC1 ZINC001559763100 1059601385 /nfs/dbraw/zinc/60/13/85/1059601385.db2.gz CHHXZFVYCFRLLJ-CQSZACIVSA-N 0 3 307.434 4.338 20 0 DIADHN CC[C@@H](CN(CC)CC(=O)Nc1cccc(C)c1)c1ccccc1 ZINC001559767912 1059604866 /nfs/dbraw/zinc/60/48/66/1059604866.db2.gz AXUUXPJMMIPXFX-SFHVURJKSA-N 0 3 324.468 4.449 20 0 DIADHN Cc1cc(Br)c2c(c1)CN(CCC1CCC1)CC2 ZINC001559770376 1059607203 /nfs/dbraw/zinc/60/72/03/1059607203.db2.gz ICSNPAZFWLSQQR-UHFFFAOYSA-N 0 3 308.263 4.306 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(Cl)cc2Cl)C(C)(C)O1 ZINC001559773869 1059611440 /nfs/dbraw/zinc/61/14/40/1059611440.db2.gz VGQYKLDNYQAVJI-CYBMUJFWSA-N 0 3 302.245 4.429 20 0 DIADHN Cc1cc(F)cc2c1CN(Cc1cccc3cccnc31)CC2 ZINC001559784705 1059619000 /nfs/dbraw/zinc/61/90/00/1059619000.db2.gz FCTBQEDEIMPTLS-UHFFFAOYSA-N 0 3 306.384 4.241 20 0 DIADHN CCOC(=O)[C@@H]1C[C@H]1CN1CCCC[C@H]1c1cccc(Cl)c1 ZINC001559795637 1059627797 /nfs/dbraw/zinc/62/77/97/1059627797.db2.gz KNUWOWDVVZDXMJ-UAGQMJEPSA-N 0 3 321.848 4.066 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](c3ccc(C)cc3)C[C@@H]2C)cc1 ZINC001559798227 1059636896 /nfs/dbraw/zinc/63/68/96/1059636896.db2.gz LMRRLLAELKKXNU-PKOBYXMFSA-N 0 3 322.452 4.120 20 0 DIADHN C[C@@H](CCC(C)(C)C)C(=O)N(CCN(C)C)Cc1ccccc1 ZINC001524833416 1059646772 /nfs/dbraw/zinc/64/67/72/1059646772.db2.gz JNOJFIHXPATGMF-KRWDZBQOSA-N 0 3 318.505 4.039 20 0 DIADHN CCC1(CC)[C@H](NCc2cc(OC)ccc2Cl)C[C@@H]1OC ZINC001559812227 1059649935 /nfs/dbraw/zinc/64/99/35/1059649935.db2.gz WYQDUQPUXAMZHY-CVEARBPZSA-N 0 3 311.853 4.032 20 0 DIADHN CCN(CCCC1CCCCC1)CC(=O)N1CCCCCC1 ZINC001559817131 1059653505 /nfs/dbraw/zinc/65/35/05/1059653505.db2.gz SPJUDTNELSCQRJ-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN CCCCC1CCC(C(=O)N(CCN(C)C)C2CCCC2)CC1 ZINC001524845897 1059654047 /nfs/dbraw/zinc/65/40/47/1059654047.db2.gz XWWATWMTBQAUAX-UHFFFAOYSA-N 0 3 322.537 4.316 20 0 DIADHN Cc1ccc2sc(CN3CCCCC[C@@H]3C[C@H](C)O)nc2c1 ZINC001559827343 1059661909 /nfs/dbraw/zinc/66/19/09/1059661909.db2.gz KZJJARGOVCVRJT-LSDHHAIUSA-N 0 3 318.486 4.120 20 0 DIADHN FC(F)(F)CC1CCN(C[C@H]2CC3(CO2)CCCCC3)CC1 ZINC001559828224 1059662284 /nfs/dbraw/zinc/66/22/84/1059662284.db2.gz JTYCFKCQMJMQHY-OAHLLOKOSA-N 0 3 319.411 4.390 20 0 DIADHN CC(C)c1ccc([C@H](C)N2CCC[C@H](OC(=O)N(C)C)C2)cc1 ZINC001559848089 1059673752 /nfs/dbraw/zinc/67/37/52/1059673752.db2.gz JIZXFAXBQQAEOC-YJBOKZPZSA-N 0 3 318.461 4.034 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(Cl)ccc2Cl)C12CCC2 ZINC001559846224 1059674582 /nfs/dbraw/zinc/67/45/82/1059674582.db2.gz SZODVYPLBVHBPY-HUUCEWRRSA-N 0 3 314.256 4.431 20 0 DIADHN CCN(Cc1ccccc1OCc1ccccc1)C[C@@H](C)OC ZINC001559856021 1059680693 /nfs/dbraw/zinc/68/06/93/1059680693.db2.gz OLYOZAMCBAVYFH-QGZVFWFLSA-N 0 3 313.441 4.122 20 0 DIADHN CCN(CC(=O)N(C)[C@@H](C)c1ccc(Cl)cc1)CC(C)(C)C ZINC001559863242 1059682506 /nfs/dbraw/zinc/68/25/06/1059682506.db2.gz SLMDXQDHMFNBAQ-AWEZNQCLSA-N 0 3 324.896 4.227 20 0 DIADHN C[C@H](NC[C@H](O)[C@@H](C)c1ccccc1)c1ccccc1Cl ZINC001559862879 1059682712 /nfs/dbraw/zinc/68/27/12/1059682712.db2.gz FVNIXFGWUNVNSD-DEYYWGMASA-N 0 3 303.833 4.155 20 0 DIADHN CCC[C@H](C)CCCN1[C@H](C)CN(C(=O)C(C)(C)C)C[C@H]1C ZINC001559861228 1059683857 /nfs/dbraw/zinc/68/38/57/1059683857.db2.gz CUFQVPZYGGHTJF-GVDBMIGSSA-N 0 3 310.526 4.170 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)CCSc2ccccc2)nc1 ZINC001559864498 1059685418 /nfs/dbraw/zinc/68/54/18/1059685418.db2.gz IPCDIVLQLKTXKC-MRXNPFEDSA-N 0 3 300.471 4.393 20 0 DIADHN FCCCN1CCCC[C@@H]1Cc1ccccc1Br ZINC001559867525 1059688749 /nfs/dbraw/zinc/68/87/49/1059688749.db2.gz UUBQMJJYPOTUBN-CQSZACIVSA-N 0 3 314.242 4.206 20 0 DIADHN c1cn(CCc2ccccc2)nc1CN(C1CCC1)C1CCC1 ZINC001559876937 1059694820 /nfs/dbraw/zinc/69/48/20/1059694820.db2.gz JTWYWSNPXBRMSP-UHFFFAOYSA-N 0 3 309.457 4.033 20 0 DIADHN COc1ccc(-c2cnc(CN[C@]3(C)CCCC[C@@H]3C)o2)cc1 ZINC001559893162 1059711305 /nfs/dbraw/zinc/71/13/05/1059711305.db2.gz KPWMQRJJQTWBED-IFXJQAMLSA-N 0 3 314.429 4.409 20 0 DIADHN COc1ccc(CN2CCC[C@]3(CCSC3)C2)cc1Cl ZINC001559905159 1059718875 /nfs/dbraw/zinc/71/88/75/1059718875.db2.gz UZUIQBGUQLEUOR-INIZCTEOSA-N 0 3 311.878 4.068 20 0 DIADHN Cc1ccc(CN2CCN(Cc3ccccc3)[C@@H](C)C2)c(C)c1 ZINC001559907659 1059723606 /nfs/dbraw/zinc/72/36/06/1059723606.db2.gz JOEGKDQQWDTRMJ-IBGZPJMESA-N 0 3 308.469 4.010 20 0 DIADHN Cc1csc(CN2CCC[C@@]2(C)Cc2cccc(F)c2)n1 ZINC001559935080 1059747089 /nfs/dbraw/zinc/74/70/89/1059747089.db2.gz ZBCOYZNFBUOTIE-KRWDZBQOSA-N 0 3 304.434 4.188 20 0 DIADHN Cn1c2ccccc2nc1CN1CC[C@@H]([C@@H]2CCCC2(C)C)C1 ZINC001559935777 1059748271 /nfs/dbraw/zinc/74/82/71/1059748271.db2.gz TUBPNRQXXYIVJP-CVEARBPZSA-N 0 3 311.473 4.222 20 0 DIADHN CCc1ccc(CN(Cc2cncc(OC)c2)CC(C)C)cc1 ZINC001559936015 1059748336 /nfs/dbraw/zinc/74/83/36/1059748336.db2.gz XSCMSEOUFOVYAE-UHFFFAOYSA-N 0 3 312.457 4.311 20 0 DIADHN Cc1csc(CN2CCC[C@]2(C)Cc2cccc(F)c2)n1 ZINC001559935081 1059748461 /nfs/dbraw/zinc/74/84/61/1059748461.db2.gz ZBCOYZNFBUOTIE-QGZVFWFLSA-N 0 3 304.434 4.188 20 0 DIADHN O[C@H](CNC1(c2ccccc2F)CCC1)c1cccc(Cl)c1 ZINC001559941519 1059756191 /nfs/dbraw/zinc/75/61/91/1059756191.db2.gz UNBGLQIJAIUURJ-QGZVFWFLSA-N 0 3 319.807 4.182 20 0 DIADHN Fc1ccccc1C1(NC[C@H]2CCCC3(CCC3)O2)CCC1 ZINC001559941451 1059759077 /nfs/dbraw/zinc/75/90/77/1059759077.db2.gz RQSNEAONNFAITC-OAHLLOKOSA-N 0 3 303.421 4.286 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC[C@H]2CC2CCCC2)cc1 ZINC001559952105 1059760011 /nfs/dbraw/zinc/76/00/11/1059760011.db2.gz AHAGIRRTDVMYOY-IBGZPJMESA-N 0 3 314.473 4.232 20 0 DIADHN COc1cccc(CCCN2C[C@@H](C)OC3(CCCCC3)C2)c1 ZINC001559953279 1059765484 /nfs/dbraw/zinc/76/54/84/1059765484.db2.gz MBEFQBUGVQCLOU-QGZVFWFLSA-N 0 3 317.473 4.051 20 0 DIADHN CC(C)(C)n1cc(CN2CCC(C3CCCCC3)CC2)cn1 ZINC001559974182 1059780057 /nfs/dbraw/zinc/78/00/57/1059780057.db2.gz RZCMXMSPKWKIDZ-UHFFFAOYSA-N 0 3 303.494 4.430 20 0 DIADHN CO[C@H](CC(C)C)CN1CCc2oc(-c3ccccc3)cc2C1 ZINC001559975468 1059785033 /nfs/dbraw/zinc/78/50/33/1059785033.db2.gz PRDOBPYNFKSMQD-GOSISDBHSA-N 0 3 313.441 4.366 20 0 DIADHN CCC[C@H](C)CCCN[C@@H](CC)c1nnc2n1CCCCC2 ZINC001559996373 1059800714 /nfs/dbraw/zinc/80/07/14/1059800714.db2.gz UQIPVUVFJCTBFP-HOTGVXAUSA-N 0 3 306.498 4.262 20 0 DIADHN CN(CCCCCF)Cc1ccc(Br)cc1F ZINC001560048403 1059863298 /nfs/dbraw/zinc/86/32/98/1059863298.db2.gz NUYXGUASJMRTGQ-UHFFFAOYSA-N 0 3 306.194 4.160 20 0 DIADHN C[NH+](C)CCCOc1ccc(Nc2ccc([O-])c(Cl)c2)cc1 ZINC001248417149 1059821148 /nfs/dbraw/zinc/82/11/48/1059821148.db2.gz YEXBKRPRHVAEFC-UHFFFAOYSA-N 0 3 320.820 4.120 20 0 DIADHN Clc1cc(CN2CCC[C@H]3CCCC[C@H]32)c2nccn2c1 ZINC001248452056 1059839641 /nfs/dbraw/zinc/83/96/41/1059839641.db2.gz WBINESKCMIXZMH-CZUORRHYSA-N 0 3 303.837 4.142 20 0 DIADHN CCc1ccc2ccccc2c1CN1Cc2c[nH]nc2[C@@H](C)C1 ZINC001560055897 1059866727 /nfs/dbraw/zinc/86/67/27/1059866727.db2.gz RDZLZCFCTZFNCS-AWEZNQCLSA-N 0 3 305.425 4.245 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC[C@@H](Oc2cccc(F)c2)C1 ZINC001560074650 1059886279 /nfs/dbraw/zinc/88/62/79/1059886279.db2.gz ADZXSVNCRKFGKY-GOSISDBHSA-N 0 3 319.807 4.441 20 0 DIADHN COc1ccc([C@H]2CN(C[C@@H](C)C3CCCCC3)CCO2)cc1 ZINC001560076186 1059887244 /nfs/dbraw/zinc/88/72/44/1059887244.db2.gz XRQWPTRNUWBWEN-OXQOHEQNSA-N 0 3 317.473 4.285 20 0 DIADHN COc1ccc([C@H]2CN(C[C@H](C)C3CCCCC3)CCO2)cc1 ZINC001560076185 1059887383 /nfs/dbraw/zinc/88/73/83/1059887383.db2.gz XRQWPTRNUWBWEN-OXJNMPFZSA-N 0 3 317.473 4.285 20 0 DIADHN Cc1ccc2c(CN3CCC[C@H](C(F)(F)F)C3)cccc2n1 ZINC001248565129 1059889007 /nfs/dbraw/zinc/88/90/07/1059889007.db2.gz LUUBXQHRTFCQHF-AWEZNQCLSA-N 0 3 308.347 4.318 20 0 DIADHN CCc1nocc1CN1CCC[C@]1(C)Cc1ccc(F)c(F)c1 ZINC001560081948 1059894845 /nfs/dbraw/zinc/89/48/45/1059894845.db2.gz QTPDWXKRGQPAAA-GOSISDBHSA-N 0 3 320.383 4.112 20 0 DIADHN Cc1cncc([C@@H]2CCCN2CCc2c(F)cccc2F)c1 ZINC001560086033 1059897740 /nfs/dbraw/zinc/89/77/40/1059897740.db2.gz SMSISYAGZABFHI-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN Brc1nc2ccc(CN3CCC4(CC4)C3)cc2s1 ZINC001248581682 1059901784 /nfs/dbraw/zinc/90/17/84/1059901784.db2.gz UOAGUYZJUWILEC-UHFFFAOYSA-N 0 3 323.259 4.045 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@@H](C3CCC3)CC2)c1 ZINC001560092259 1059903264 /nfs/dbraw/zinc/90/32/64/1059903264.db2.gz UDKINBHJUNJAKK-GOSISDBHSA-N 0 3 314.473 4.144 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)N1CCN(C)c2ncccc2C1 ZINC001560094348 1059903492 /nfs/dbraw/zinc/90/34/92/1059903492.db2.gz MRWHQUVYQUTNCK-INIZCTEOSA-N 0 3 317.436 4.248 20 0 DIADHN COC(=O)c1csc(CN2CC[C@@]2(C)C2CCCCC2)c1 ZINC001560095858 1059906410 /nfs/dbraw/zinc/90/64/10/1059906410.db2.gz FREREKFYUPGHTE-KRWDZBQOSA-N 0 3 307.459 4.079 20 0 DIADHN Cc1nc(CN2CC[C@@]2(C)C2CCCCC2)nc2ccccc12 ZINC001560096483 1059906560 /nfs/dbraw/zinc/90/65/60/1059906560.db2.gz WWUOBLDHUYTVIS-FQEVSTJZSA-N 0 3 309.457 4.483 20 0 DIADHN C[C@@H](O)CN(C)Cc1ccccc1Oc1ccc(F)c(Cl)c1 ZINC001560110177 1059915243 /nfs/dbraw/zinc/91/52/43/1059915243.db2.gz DESKHZVCCVDRHP-GFCCVEGCSA-N 0 3 323.795 4.084 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2ccc3c(c2)CCC3)CC1 ZINC001560106267 1059916753 /nfs/dbraw/zinc/91/67/53/1059916753.db2.gz HDJMLBVZTUBNJB-GOSISDBHSA-N 0 3 320.480 4.054 20 0 DIADHN C[C@H]1CN(CC(=O)N[C@@H](c2cccs2)C(C)(C)C)C(C)(C)C1 ZINC001560107428 1059917663 /nfs/dbraw/zinc/91/76/63/1059917663.db2.gz QNKWAINYNTWQGU-CJNGLKHVSA-N 0 3 322.518 4.072 20 0 DIADHN Cc1cccc(Br)c1CN(C)CC1CC(F)(F)C1 ZINC001248618761 1059918466 /nfs/dbraw/zinc/91/84/66/1059918466.db2.gz HTEZZJRYBFFIQV-UHFFFAOYSA-N 0 3 318.205 4.235 20 0 DIADHN C[C@H](CN(C)Cc1cc2c(cc1Cl)OCCO2)C(C)(C)C ZINC001560126833 1059929113 /nfs/dbraw/zinc/92/91/13/1059929113.db2.gz FMSLAAMUGIFVNR-GFCCVEGCSA-N 0 3 311.853 4.225 20 0 DIADHN CCCC[C@H](C(=O)Nc1cc(C)on1)N(C)C[C@H](C)C(C)(C)C ZINC001560128192 1059932624 /nfs/dbraw/zinc/93/26/24/1059932624.db2.gz CIZJAPHBFBOTKH-DZGCQCFKSA-N 0 3 323.481 4.094 20 0 DIADHN CCCN(C)[C@H](CNC(=O)[C@H](C)C(C)C)c1ccc(Cl)cc1 ZINC001525553092 1059933053 /nfs/dbraw/zinc/93/30/53/1059933053.db2.gz MDCJEQLAZJJDNV-RHSMWYFYSA-N 0 3 324.896 4.131 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)[C@@H](C)C(C)C)c1ccc(Cl)cc1 ZINC001525553096 1059933555 /nfs/dbraw/zinc/93/35/55/1059933555.db2.gz MDCJEQLAZJJDNV-YOEHRIQHSA-N 0 3 324.896 4.131 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2COC(C)(C)C)c1Cl ZINC001560131088 1059934344 /nfs/dbraw/zinc/93/43/44/1059934344.db2.gz UAJZNVKIDBRIIE-CQSZACIVSA-N 0 3 311.853 4.128 20 0 DIADHN CCCCCCc1csc(CN2CCc3nccn3CC2)c1 ZINC001248687486 1059939091 /nfs/dbraw/zinc/93/90/91/1059939091.db2.gz VIRZWVYARKYRNZ-UHFFFAOYSA-N 0 3 317.502 4.126 20 0 DIADHN CCN(Cc1ccc2onc(C)c2c1)Cc1cccc(OC)c1 ZINC001248705713 1059941752 /nfs/dbraw/zinc/94/17/52/1059941752.db2.gz GCPTZETYFVAOEN-UHFFFAOYSA-N 0 3 310.397 4.167 20 0 DIADHN CSc1ccccc1CN1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC001560138505 1059941798 /nfs/dbraw/zinc/94/17/98/1059941798.db2.gz RLQMGMSZNZCWPB-ZDUSSCGKSA-N 0 3 319.367 4.275 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc3onc(C)c3c2)cc1 ZINC001248702785 1059942135 /nfs/dbraw/zinc/94/21/35/1059942135.db2.gz PHTZDYJNJSPQOY-LJQANCHMSA-N 0 3 322.408 4.482 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001560153773 1059947043 /nfs/dbraw/zinc/94/70/43/1059947043.db2.gz QJLXYMNCUWNDJC-ACJLOTCBSA-N 0 3 319.399 4.029 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001560153774 1059949324 /nfs/dbraw/zinc/94/93/24/1059949324.db2.gz QJLXYMNCUWNDJC-FZKQIMNGSA-N 0 3 319.399 4.029 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(CC(=O)Nc2ccc3ccccc3c2)C1 ZINC001560152913 1059949468 /nfs/dbraw/zinc/94/94/68/1059949468.db2.gz KOJLOAROABWZRX-HZPDHXFCSA-N 0 3 310.441 4.146 20 0 DIADHN CCC[C@H]1CCc2ccccc2N1C(=O)[C@H]1CCCN1C(C)C ZINC001533637800 1059953636 /nfs/dbraw/zinc/95/36/36/1059953636.db2.gz GRBKPKIHIIMGMI-PKOBYXMFSA-N 0 3 314.473 4.007 20 0 DIADHN CC[C@@H](NC[C@H]1CCC2(CCC2)CO1)c1cc(F)ccc1OC ZINC001560158541 1059953798 /nfs/dbraw/zinc/95/37/98/1059953798.db2.gz OHNZFXJQULUTEZ-NVXWUHKLSA-N 0 3 321.436 4.224 20 0 DIADHN COc1cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2(C)C)on1 ZINC001560164008 1059956593 /nfs/dbraw/zinc/95/65/93/1059956593.db2.gz IPWJWMNHABVWPU-HNNXBMFYSA-N 0 3 320.820 4.105 20 0 DIADHN CC(C)C[C@H]1CN(C)CCN1Cc1cc(Cl)ccc1Cl ZINC001560168689 1059963316 /nfs/dbraw/zinc/96/33/16/1059963316.db2.gz QUFSDQVKMZHMJG-HNNXBMFYSA-N 0 3 315.288 4.156 20 0 DIADHN Cc1cc([C@H]2CCCCN2CCSc2ccccc2)n[nH]1 ZINC001560174205 1059965634 /nfs/dbraw/zinc/96/56/34/1059965634.db2.gz WVONSFWKLNPHSJ-QGZVFWFLSA-N 0 3 301.459 4.037 20 0 DIADHN CC(C)CN(Cc1ncc(C(F)(F)F)cc1F)CC(C)C ZINC001248787346 1059973156 /nfs/dbraw/zinc/97/31/56/1059973156.db2.gz YMRIYDSZPQLHMJ-UHFFFAOYSA-N 0 3 306.347 4.354 20 0 DIADHN CSCC1CCN(Cc2cc(-c3ccc(F)cc3)no2)CC1 ZINC001560194263 1059974797 /nfs/dbraw/zinc/97/47/97/1059974797.db2.gz IZMVWNITQZYPPA-UHFFFAOYSA-N 0 3 320.433 4.056 20 0 DIADHN Cc1ncc(Br)cc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001248780874 1059976974 /nfs/dbraw/zinc/97/69/74/1059976974.db2.gz MCNLAXUTORFYKA-XJKSGUPXSA-N 0 3 323.278 4.307 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC(F)(C2CC2)CC1 ZINC001560197975 1059980397 /nfs/dbraw/zinc/98/03/97/1059980397.db2.gz FXSJSJNDZBGAFI-UHFFFAOYSA-N 0 3 314.404 4.364 20 0 DIADHN Cc1cc(CN2CCC[C@@H](OCc3ccccc3)C2)cs1 ZINC001248803747 1059984864 /nfs/dbraw/zinc/98/48/64/1059984864.db2.gz PGOOREZLFBQLJT-GOSISDBHSA-N 0 3 301.455 4.238 20 0 DIADHN Cc1cc(CN2CCC(Sc3ccncc3)CC2)cs1 ZINC001248803651 1059986027 /nfs/dbraw/zinc/98/60/27/1059986027.db2.gz LCOLHCMIMPOKGP-UHFFFAOYSA-N 0 3 304.484 4.208 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Sc3ccncc3)C2)cs1 ZINC001248803822 1059987470 /nfs/dbraw/zinc/98/74/70/1059987470.db2.gz ULIMBPNFUXDGPW-MRXNPFEDSA-N 0 3 304.484 4.208 20 0 DIADHN C[C@@H](Cc1cccnc1)NCc1cc(Cl)sc1Cl ZINC001560215172 1059988479 /nfs/dbraw/zinc/98/84/79/1059988479.db2.gz FJGWZCNOIDFLDG-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN Clc1c(CN2CC[C@@H](c3ccccn3)C2)cnc2ccccc12 ZINC001248812867 1059993368 /nfs/dbraw/zinc/99/33/68/1059993368.db2.gz YIYJEDWQZJPFRP-CQSZACIVSA-N 0 3 323.827 4.273 20 0 DIADHN CCCc1ccc(CN2CCC[C@H]2c2ccc(OC)cn2)cc1 ZINC001560216253 1059994274 /nfs/dbraw/zinc/99/42/74/1059994274.db2.gz YVTHMEQTSPKOIV-FQEVSTJZSA-N 0 3 310.441 4.380 20 0 DIADHN Clc1ccc(/C=C\CN2CC[C@@H](Cc3nccs3)C2)cc1 ZINC001560214257 1059994723 /nfs/dbraw/zinc/99/47/23/1059994723.db2.gz JCJPMHJUEMKDDA-OYADATRGSA-N 0 3 318.873 4.374 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@H](C3CCCC3)C2)c1 ZINC001560226101 1060000235 /nfs/dbraw/zinc/00/02/35/1060000235.db2.gz KGKDFDDHOHWDCG-SFHVURJKSA-N 0 3 314.473 4.144 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1cccc(OC(F)F)c1)C2 ZINC001560241593 1060003623 /nfs/dbraw/zinc/00/36/23/1060003623.db2.gz QIDLPDNBZFLDDF-UHFFFAOYSA-N 0 3 317.379 4.463 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2ccc3n2CCC3)C1 ZINC001248823643 1060003645 /nfs/dbraw/zinc/00/36/45/1060003645.db2.gz GJUHCMRORSDAPY-AWEZNQCLSA-N 0 3 300.833 4.077 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccc2n1CCC2 ZINC001248824388 1060005374 /nfs/dbraw/zinc/00/53/74/1060005374.db2.gz LACLWPQROKYAIO-SFHVURJKSA-N 0 3 312.482 4.493 20 0 DIADHN CC(C)Sc1nccc(CN(C)CCCc2ccccc2)n1 ZINC001248831639 1060006752 /nfs/dbraw/zinc/00/67/52/1060006752.db2.gz JRNQMFHQKJHIMX-UHFFFAOYSA-N 0 3 315.486 4.042 20 0 DIADHN CC(C)Sc1nccc(CN2CCC[C@H]3CCCC[C@@H]32)n1 ZINC001248832198 1060008022 /nfs/dbraw/zinc/00/80/22/1060008022.db2.gz FFWVSFCEXUDPJE-ZBFHGGJFSA-N 0 3 305.491 4.132 20 0 DIADHN CC(C)C1CCN(Cc2cn(C)c3ccnc(Cl)c23)CC1 ZINC001248838109 1060008820 /nfs/dbraw/zinc/00/88/20/1060008820.db2.gz HBUWCZNXYJTMJD-UHFFFAOYSA-N 0 3 305.853 4.095 20 0 DIADHN CCOc1cc(F)cc(CN[C@H](CC)c2ccc(OC)cc2)c1 ZINC001560250232 1060014502 /nfs/dbraw/zinc/01/45/02/1060014502.db2.gz HRWFAMJOGYPLNU-LJQANCHMSA-N 0 3 317.404 4.474 20 0 DIADHN CCN(CCNCc1ccc(F)cc1Cl)c1cccc(C)c1 ZINC001560250206 1060014987 /nfs/dbraw/zinc/01/49/87/1060014987.db2.gz GXEXEZMFIYCRLL-UHFFFAOYSA-N 0 3 320.839 4.404 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(OC)cc2)C(C)C)s1 ZINC001560252616 1060017849 /nfs/dbraw/zinc/01/78/49/1060017849.db2.gz FDHSMZUZBSJAOV-KRWDZBQOSA-N 0 3 304.459 4.201 20 0 DIADHN CC(C)Oc1ccc(CCNCc2ccc(F)c(F)c2F)cc1 ZINC001560251770 1060020102 /nfs/dbraw/zinc/02/01/02/1060020102.db2.gz GHPNEBLNMNLYJI-UHFFFAOYSA-N 0 3 323.358 4.223 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1C2CCCC1CCC2 ZINC001248848235 1060020630 /nfs/dbraw/zinc/02/06/30/1060020630.db2.gz TUWWKUDNZUOXLO-UHFFFAOYSA-N 0 3 323.362 4.489 20 0 DIADHN O=C(Nc1ccc(CNCc2ccc(Cl)s2)cc1)C1CC1 ZINC001560254962 1060022422 /nfs/dbraw/zinc/02/24/22/1060022422.db2.gz SKBPXHWZTNCAST-UHFFFAOYSA-N 0 3 320.845 4.040 20 0 DIADHN Fc1ccc(/C=C/CNCc2ccccc2OC(F)F)cc1 ZINC001560255571 1060022498 /nfs/dbraw/zinc/02/24/98/1060022498.db2.gz XWVJLPORUMCNCL-ONEGZZNKSA-N 0 3 307.315 4.230 20 0 DIADHN c1nc(CNCCCOc2ccccc2)sc1-c1ccccc1 ZINC001560253073 1060022709 /nfs/dbraw/zinc/02/27/09/1060022709.db2.gz GDBNUFNKLZBQDU-UHFFFAOYSA-N 0 3 324.449 4.369 20 0 DIADHN c1ccc(COc2ccc(CN3CCC4(CCC4)CC3)nc2)cc1 ZINC001248861662 1060027416 /nfs/dbraw/zinc/02/74/16/1060027416.db2.gz YAWYQRIOIKKXSX-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN CCCC[C@H](CC)CN1CCC12CN(Cc1ccc(C)o1)C2 ZINC001278509393 1060032405 /nfs/dbraw/zinc/03/24/05/1060032405.db2.gz ZBLXBGGFPINMDD-KRWDZBQOSA-N 0 3 304.478 4.065 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC/C=C\c1ccc(F)c(F)c1 ZINC001560272459 1060047540 /nfs/dbraw/zinc/04/75/40/1060047540.db2.gz BGVMUTYAPWSGCH-MVZIDQBPSA-N 0 3 321.342 4.477 20 0 DIADHN C[C@@H](COc1ccccc1F)NCc1cc(Cl)ccc1F ZINC001560289201 1060072002 /nfs/dbraw/zinc/07/20/02/1060072002.db2.gz YOPQKRHWVLKLES-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN Cn1c(CN[C@H](c2ccccc2)[C@@H]2CCCO2)cc2ccccc21 ZINC001560294171 1060075982 /nfs/dbraw/zinc/07/59/82/1060075982.db2.gz PLJNNSHMMGPIJU-LEWJYISDSA-N 0 3 320.436 4.188 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)s1 ZINC001560294383 1060078517 /nfs/dbraw/zinc/07/85/17/1060078517.db2.gz XLBCKVFPJOOKHV-ZWKOTPCHSA-N 0 3 301.455 4.320 20 0 DIADHN C[C@H]1CCc2nc(CNCCCc3cccc(F)c3)sc2C1 ZINC001560313917 1060101856 /nfs/dbraw/zinc/10/18/56/1060101856.db2.gz AQLPEOBHUYXUDL-ZDUSSCGKSA-N 0 3 318.461 4.129 20 0 DIADHN CCn1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)c(C)n1 ZINC001560323702 1060110271 /nfs/dbraw/zinc/11/02/71/1060110271.db2.gz DSKBOPXLLKWNLR-GOSISDBHSA-N 0 3 317.864 4.496 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cnn(C(C)C)c2)cc2cccnc12 ZINC001560327514 1060115035 /nfs/dbraw/zinc/11/50/35/1060115035.db2.gz MDBVKEZBDQFMEK-HNNXBMFYSA-N 0 3 308.429 4.171 20 0 DIADHN CSc1ccc(CNC[C@@H](O)c2ccc(C(C)(C)C)cc2)o1 ZINC001560338039 1060123402 /nfs/dbraw/zinc/12/34/02/1060123402.db2.gz HRRWEADTCNFGAN-MRXNPFEDSA-N 0 3 319.470 4.122 20 0 DIADHN CCOc1cc(CNCc2cc(C)sc2C)ccc1OC ZINC001560337829 1060123472 /nfs/dbraw/zinc/12/34/72/1060123472.db2.gz YYPQTLGUOMGAPT-UHFFFAOYSA-N 0 3 305.443 4.062 20 0 DIADHN c1c2ccccc2oc1CCNCc1nccc2c1CCCC2 ZINC001560344189 1060130053 /nfs/dbraw/zinc/13/00/53/1060130053.db2.gz MFELRUHYCJXKLR-UHFFFAOYSA-N 0 3 306.409 4.039 20 0 DIADHN CC[C@@H]1CCC[C@H](NCc2nccc(C)c2Br)C1 ZINC001560344531 1060130073 /nfs/dbraw/zinc/13/00/73/1060130073.db2.gz BLQQRMFYCHOUKR-OLZOCXBDSA-N 0 3 311.267 4.211 20 0 DIADHN CCc1ccc(CCNCc2c(Cl)cncc2Cl)cc1 ZINC001560344319 1060130137 /nfs/dbraw/zinc/13/01/37/1060130137.db2.gz RSZOSKZLGCRWNN-UHFFFAOYSA-N 0 3 309.240 4.283 20 0 DIADHN CCc1cccc2cc(CNC[C@@](C)(O)c3ccsc3)oc21 ZINC001560345780 1060132896 /nfs/dbraw/zinc/13/28/96/1060132896.db2.gz XEHRHDKDCFLWHP-GOSISDBHSA-N 0 3 315.438 4.054 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccc(C)cc2)o1)C(C)(C)C ZINC001560350706 1060134964 /nfs/dbraw/zinc/13/49/64/1060134964.db2.gz CWILHXWYUWRREK-SFHVURJKSA-N 0 3 301.430 4.406 20 0 DIADHN Cc1ccc(CNC[C@@H](C)Oc2ccc(F)cc2)c(Cl)c1 ZINC001560353801 1060140270 /nfs/dbraw/zinc/14/02/70/1060140270.db2.gz XAUGYKBLPTYMDZ-CYBMUJFWSA-N 0 3 307.796 4.345 20 0 DIADHN OCC[C@@H]1CCCN(Cc2sc(Cl)c3ccccc32)C1 ZINC001249068440 1060142004 /nfs/dbraw/zinc/14/20/04/1060142004.db2.gz VAYIHFYMZAJYMM-LBPRGKRZSA-N 0 3 309.862 4.149 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2NCc1c(C)cc(C)cc1C ZINC001560355233 1060142838 /nfs/dbraw/zinc/14/28/38/1060142838.db2.gz SMKWQBLJDFMEFP-LJQANCHMSA-N 0 3 311.425 4.234 20 0 DIADHN OCC[C@H]1CCCN(Cc2sc(Cl)c3ccccc32)C1 ZINC001249068439 1060143087 /nfs/dbraw/zinc/14/30/87/1060143087.db2.gz VAYIHFYMZAJYMM-GFCCVEGCSA-N 0 3 309.862 4.149 20 0 DIADHN COc1ccc(CNC[C@H](C)Oc2ccccc2Cl)c(F)c1 ZINC001560355986 1060143595 /nfs/dbraw/zinc/14/35/95/1060143595.db2.gz AGCZKPFFQYJRCU-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN Cc1ccc(Cl)cc1-c1noc([C@@H]2CCCN2CC2CC2)n1 ZINC001249073216 1060146596 /nfs/dbraw/zinc/14/65/96/1060146596.db2.gz RJCHZAVORJSTQC-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ncc(C(C)C)s2)C12CCCC2 ZINC001560360933 1060148537 /nfs/dbraw/zinc/14/85/37/1060148537.db2.gz DFTKYIOWZLFWCW-GJZGRUSLSA-N 0 3 308.491 4.094 20 0 DIADHN Cc1cc(Br)c(CNC2CC(C)C2)cc1Cl ZINC001560369415 1060155290 /nfs/dbraw/zinc/15/52/90/1060155290.db2.gz WNBXGIAEJMGGMN-UHFFFAOYSA-N 0 3 302.643 4.299 20 0 DIADHN C[C@@]1(CNCc2ccc(Cl)cc2Cl)CCCC[C@@H]1O ZINC001560368078 1060155667 /nfs/dbraw/zinc/15/56/67/1060155667.db2.gz DYJGBDPVQHEDLU-GJZGRUSLSA-N 0 3 302.245 4.024 20 0 DIADHN Cc1cc(NCC(C(C)C)C(C)C)ccc1N1CCN(C)CC1 ZINC001560377961 1060165122 /nfs/dbraw/zinc/16/51/22/1060165122.db2.gz XGHRGQYANHQLCW-UHFFFAOYSA-N 0 3 317.521 4.087 20 0 DIADHN Cc1ccc(-c2ccccc2)c(-c2noc([C@@H]3C[C@@H]4C[C@@H]4N3)n2)c1 ZINC001249146054 1060170267 /nfs/dbraw/zinc/17/02/67/1060170267.db2.gz YIJHXVQJIAIZOQ-WBAXXEDZSA-N 0 3 317.392 4.135 20 0 DIADHN Clc1cncc(Cl)c1CNC[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001560393323 1060178942 /nfs/dbraw/zinc/17/89/42/1060178942.db2.gz TUUCJLFENWNJJU-PBFPGSCMSA-N 0 3 319.235 4.064 20 0 DIADHN O=C(Nc1cccc(CNCc2cccs2)c1)c1ccccc1 ZINC001560399230 1060185612 /nfs/dbraw/zinc/18/56/12/1060185612.db2.gz GLUUOVKAWWKYKN-UHFFFAOYSA-N 0 3 322.433 4.290 20 0 DIADHN COc1nsc(CNCC(C)(C)C2CCCC2)c1Cl ZINC001560400563 1060186011 /nfs/dbraw/zinc/18/60/11/1060186011.db2.gz QXNFYDRIAYWTHM-UHFFFAOYSA-N 0 3 302.871 4.111 20 0 DIADHN Cc1cc(C)nc(NCc2ccc(C)c(Br)c2)c1 ZINC001560406026 1060190841 /nfs/dbraw/zinc/19/08/41/1060190841.db2.gz ZILOVXVCJSMTLZ-UHFFFAOYSA-N 0 3 305.219 4.381 20 0 DIADHN CCc1cc(OC)ccc1CN[C@H]1CCCc2nc(C)sc21 ZINC001560412411 1060197137 /nfs/dbraw/zinc/19/71/37/1060197137.db2.gz UFMJIWZOMAFUJA-INIZCTEOSA-N 0 3 316.470 4.190 20 0 DIADHN Fc1cccc(NCCCNCc2ccc(F)cc2Cl)c1 ZINC001560413218 1060198166 /nfs/dbraw/zinc/19/81/66/1060198166.db2.gz BBRGRIIBDJMIPJ-UHFFFAOYSA-N 0 3 310.775 4.210 20 0 DIADHN Fc1cccc(/C=C\CN[C@H]2CCCOc3c(F)cccc32)c1 ZINC001560420333 1060204068 /nfs/dbraw/zinc/20/40/68/1060204068.db2.gz BCDYCDMIMMCTRY-CJISVDGZSA-N 0 3 315.363 4.482 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2c(F)cc(C)cc2F)CCO1 ZINC001560421138 1060208018 /nfs/dbraw/zinc/20/80/18/1060208018.db2.gz ZRXPVVIOUMERLR-KSSFIOAISA-N 0 3 311.416 4.347 20 0 DIADHN C[C@H](NCc1cccc(OCc2ccccc2)c1)c1ccc[nH]1 ZINC001560427469 1060214861 /nfs/dbraw/zinc/21/48/61/1060214861.db2.gz BIVMDYZEIWSEFA-INIZCTEOSA-N 0 3 306.409 4.445 20 0 DIADHN c1sc(-c2ccncc2)nc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001249299057 1060214987 /nfs/dbraw/zinc/21/49/87/1060214987.db2.gz LROGCMBVGODVDE-WMLDXEAASA-N 0 3 313.470 4.360 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCC1(c2ccccc2Cl)CC1 ZINC001560430162 1060217158 /nfs/dbraw/zinc/21/71/58/1060217158.db2.gz BILHIAMMJXLOOS-UHFFFAOYSA-N 0 3 324.255 4.100 20 0 DIADHN COC(=O)c1ccc(CN[C@H]2CCCc3sccc32)cc1C ZINC001560431263 1060217625 /nfs/dbraw/zinc/21/76/25/1060217625.db2.gz OYPHQVOREKNKKN-INIZCTEOSA-N 0 3 315.438 4.010 20 0 DIADHN C[C@H](NCc1cccc2[nH]c(=O)oc21)c1ccc2c(c1)CCCC2 ZINC001560433655 1060220592 /nfs/dbraw/zinc/22/05/92/1060220592.db2.gz TXTOXWSRPPDITF-ZDUSSCGKSA-N 0 3 322.408 4.263 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CNC[C@H]1CCC=CO1 ZINC001560440687 1060229326 /nfs/dbraw/zinc/22/93/26/1060229326.db2.gz CJBPPQOELGEHPW-MAUKXSAKSA-N 0 3 320.452 4.090 20 0 DIADHN CC(C)(C)c1csc(CNCCC2Cc3ccccc3C2)n1 ZINC001560440037 1060229689 /nfs/dbraw/zinc/22/96/89/1060229689.db2.gz HGFFEMKOGCVDRX-UHFFFAOYSA-N 0 3 314.498 4.335 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCC(c2cccs2)CC1 ZINC001249407619 1060235196 /nfs/dbraw/zinc/23/51/96/1060235196.db2.gz LXNOOVPMIONKHU-AWEZNQCLSA-N 0 3 317.502 4.375 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCC[C@H]1c1cccs1 ZINC001249405862 1060237523 /nfs/dbraw/zinc/23/75/23/1060237523.db2.gz BQRLYHJKWZIWIF-ZFWWWQNUSA-N 0 3 303.475 4.332 20 0 DIADHN CCSc1ccccc1CN1CC[C@H](F)C(F)(F)CC1 ZINC001249437180 1060247141 /nfs/dbraw/zinc/24/71/41/1060247141.db2.gz CJYKJEORFPETJZ-AWEZNQCLSA-N 0 3 303.393 4.368 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001249409232 1060241277 /nfs/dbraw/zinc/24/12/77/1060241277.db2.gz VQAFJMNWMJMBSC-MXWWQKGMSA-N 0 3 307.457 4.038 20 0 DIADHN COc1ccc2nc(CN3CCC[C@@H]3c3cccc(C)c3)[nH]c2c1 ZINC001249411168 1060241535 /nfs/dbraw/zinc/24/15/35/1060241535.db2.gz QMVSGZHPMTWJMD-LJQANCHMSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc2[nH]c(CN3CCC[C@@H]3C3CCCCC3)nc2c1 ZINC001249414879 1060244571 /nfs/dbraw/zinc/24/45/71/1060244571.db2.gz AGHIYOSGJWEUBJ-GOSISDBHSA-N 0 3 313.445 4.116 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1CCC(F)(F)C1 ZINC001560457135 1060248461 /nfs/dbraw/zinc/24/84/61/1060248461.db2.gz SEHCUIUWMXICDA-LLVKDONJSA-N 0 3 312.385 4.237 20 0 DIADHN Cc1ccc(Br)c(F)c1CNCCSC(C)C ZINC001560465117 1060256296 /nfs/dbraw/zinc/25/62/96/1060256296.db2.gz IGMWFSDZNJJNGU-UHFFFAOYSA-N 0 3 320.271 4.128 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CNCc1ccn(Cc2ccccc2)n1 ZINC001560468513 1060257988 /nfs/dbraw/zinc/25/79/88/1060257988.db2.gz CGOWDWWTWDPXRF-PXNSSMCTSA-N 0 3 309.457 4.013 20 0 DIADHN Cn1c2ccccc2c(Br)c1CN[C@H]1CCC1(C)C ZINC001560468621 1060259292 /nfs/dbraw/zinc/25/92/92/1060259292.db2.gz FZFRBYGHYYUDOQ-AWEZNQCLSA-N 0 3 321.262 4.219 20 0 DIADHN CCc1onc(C)c1CNC[C@@H](C)Oc1ccc(C(C)C)cc1 ZINC001560469144 1060259593 /nfs/dbraw/zinc/25/95/93/1060259593.db2.gz XULIILXXZRXORA-CQSZACIVSA-N 0 3 316.445 4.226 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CC[C@@H](c3cccc(F)c3)C2)c1 ZINC001249479866 1060260782 /nfs/dbraw/zinc/26/07/82/1060260782.db2.gz VIPXSFJNRRBYKF-OAHLLOKOSA-N 0 3 300.421 4.441 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)C1 ZINC001560500294 1060294033 /nfs/dbraw/zinc/29/40/33/1060294033.db2.gz JKEPNHCDAQDGEK-TXEJJXNPSA-N 0 3 307.743 4.016 20 0 DIADHN CC(C)n1c(CNC[C@@H]2CCCC2(F)F)nc2ccccc21 ZINC001560477498 1060267303 /nfs/dbraw/zinc/26/73/03/1060267303.db2.gz NTLATZVQZLSUCW-ZDUSSCGKSA-N 0 3 307.388 4.142 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1cc2cccc(C)c2nc1Cl)OC ZINC001560479494 1060270484 /nfs/dbraw/zinc/27/04/84/1060270484.db2.gz OUKOVPXXNYKGPB-MLGOLLRUSA-N 0 3 320.864 4.347 20 0 DIADHN CO[C@](C)(CNCc1cc(Cl)ccc1OCC1CC1)C1CC1 ZINC001560481353 1060272188 /nfs/dbraw/zinc/27/21/88/1060272188.db2.gz GYFLBVMORIWCIT-GOSISDBHSA-N 0 3 323.864 4.034 20 0 DIADHN CN(Cc1nc(C2CC2)cs1)C(C)(C)Cc1ccccc1 ZINC001249529715 1060276561 /nfs/dbraw/zinc/27/65/61/1060276561.db2.gz BOVGROWWUZIVME-UHFFFAOYSA-N 0 3 300.471 4.474 20 0 DIADHN CCCCCN(C)Cc1nc2ccc(Br)cc2o1 ZINC001249537768 1060281711 /nfs/dbraw/zinc/28/17/11/1060281711.db2.gz HITDAYDYGZWWEZ-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@@H]1NC/C=C/c1ccc(F)cc1F ZINC001560492517 1060287930 /nfs/dbraw/zinc/28/79/30/1060287930.db2.gz YSHMRYDFXNMXLQ-JZIJIAHKSA-N 0 3 301.336 4.090 20 0 DIADHN Cc1cc(NC2=CC[C@H](N3CCOCC3)CC2)ccc1C(C)C ZINC001249559944 1060292041 /nfs/dbraw/zinc/29/20/41/1060292041.db2.gz YWVCXZGJAIOEMA-IBGZPJMESA-N 0 3 314.473 4.299 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2ccc(-c3ccccc3F)o2)C1 ZINC001560500465 1060293990 /nfs/dbraw/zinc/29/39/90/1060293990.db2.gz PWOFTIAPVGHNKY-OTVXOJSOSA-N 0 3 303.377 4.131 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@@H]1CC[C@H](C)O1 ZINC001560496557 1060294571 /nfs/dbraw/zinc/29/45/71/1060294571.db2.gz YIKMHFOSYNXJRM-STQMWFEESA-N 0 3 313.894 4.498 20 0 DIADHN F[C@H]1CCC[C@@H](NCc2cc(Cl)ccc2Br)C1 ZINC001560515756 1060315421 /nfs/dbraw/zinc/31/54/21/1060315421.db2.gz IHQZEGNGLUNKLK-NWDGAFQWSA-N 0 3 320.633 4.473 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC001560519066 1060316828 /nfs/dbraw/zinc/31/68/28/1060316828.db2.gz QTIVJXZSFUJDEI-JKSUJKDBSA-N 0 3 314.256 4.431 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H](C2CC2)[C@@H]2CCCCO2)c1 ZINC001560519064 1060316976 /nfs/dbraw/zinc/31/69/76/1060316976.db2.gz QTIVJXZSFUJDEI-HOTGVXAUSA-N 0 3 314.256 4.431 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001560518564 1060318976 /nfs/dbraw/zinc/31/89/76/1060318976.db2.gz AHAOBASYFREGHA-GFCCVEGCSA-N 0 3 319.392 4.348 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1OCC(F)F)CC2 ZINC001560521767 1060320995 /nfs/dbraw/zinc/32/09/95/1060320995.db2.gz OYJFJYKZSYYZSK-QGZVFWFLSA-N 0 3 317.379 4.416 20 0 DIADHN O[C@H](CNCc1sccc1Cl)c1cccc2ccccc21 ZINC001560529260 1060329277 /nfs/dbraw/zinc/32/92/77/1060329277.db2.gz XVTSCTGGLGVGTE-MRXNPFEDSA-N 0 3 317.841 4.378 20 0 DIADHN COC(=O)c1c(Cl)cccc1CN(C)CC1CCCCC1 ZINC001249646499 1060331133 /nfs/dbraw/zinc/33/11/33/1060331133.db2.gz ZINNOEBRDGLXFL-UHFFFAOYSA-N 0 3 309.837 4.139 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2c(C3CCC3)cnn2C)cc1C ZINC001560536468 1060339824 /nfs/dbraw/zinc/33/98/24/1060339824.db2.gz KCZKUPCNGUQUMO-RMKNXTFCSA-N 0 3 323.484 4.416 20 0 DIADHN Cc1cc(C)c(/C=C\CNCc2c(C3CCC3)cnn2C)cc1C ZINC001560536469 1060340979 /nfs/dbraw/zinc/34/09/79/1060340979.db2.gz KCZKUPCNGUQUMO-TWGQIWQCSA-N 0 3 323.484 4.416 20 0 DIADHN Cc1ccc(Br)cc1CNCc1ccc(F)c(C)c1 ZINC001560535162 1060341800 /nfs/dbraw/zinc/34/18/00/1060341800.db2.gz JIBNHFYSGLRAED-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN COc1cccc(CNCc2ccccc2CC(F)(F)F)c1C ZINC001560539878 1060346005 /nfs/dbraw/zinc/34/60/05/1060346005.db2.gz STEAQDAZNLAOEY-UHFFFAOYSA-N 0 3 323.358 4.398 20 0 DIADHN COc1cc(C)c(CNCc2ccccc2CC(C)C)c(C)n1 ZINC001560540921 1060347945 /nfs/dbraw/zinc/34/79/45/1060347945.db2.gz FVWJDIUBOQFOEP-UHFFFAOYSA-N 0 3 312.457 4.195 20 0 DIADHN CCC[C@@H](CNCc1cc(Cl)ccc1OC(F)F)OCC ZINC001560544764 1060351635 /nfs/dbraw/zinc/35/16/35/1060351635.db2.gz HMQDLXVSEBNBDP-ZDUSSCGKSA-N 0 3 321.795 4.236 20 0 DIADHN CCCc1ccc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)cc1 ZINC001560544525 1060352727 /nfs/dbraw/zinc/35/27/27/1060352727.db2.gz ZHIIRLUXJIUSID-LEWJYISDSA-N 0 3 311.469 4.487 20 0 DIADHN CCC[C@H](CNCc1cc(Cl)c(OC)cc1Cl)OCC ZINC001560544639 1060353342 /nfs/dbraw/zinc/35/33/42/1060353342.db2.gz CUWNDLCQDVSUAH-GFCCVEGCSA-N 0 3 320.260 4.297 20 0 DIADHN COc1cccc(CN[C@H]2C[C@H](Sc3ccccc3)C2)c1F ZINC001560549511 1060357251 /nfs/dbraw/zinc/35/72/51/1060357251.db2.gz HNRQWTZDTYOMTO-KOMQPUFPSA-N 0 3 317.429 4.247 20 0 DIADHN Fc1cccc2cc(CNCc3ccc4cccnc4c3)cnc12 ZINC001560556851 1060367296 /nfs/dbraw/zinc/36/72/96/1060367296.db2.gz TYFHLMVBCBXABW-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(F)cc2)C2CCCCC2)[nH]n1 ZINC001560559600 1060369839 /nfs/dbraw/zinc/36/98/39/1060369839.db2.gz NCJYTWAWHBFKAC-SFHVURJKSA-N 0 3 301.409 4.268 20 0 DIADHN Cn1cc(C(F)F)c(CN[C@@H]2CCC[C@H](C(C)(C)C)CC2)n1 ZINC001560562619 1060371982 /nfs/dbraw/zinc/37/19/82/1060371982.db2.gz BWMCCQKZORBYTJ-QWHCGFSZSA-N 0 3 313.436 4.442 20 0 DIADHN CCC(CC)(CNCc1ccc(OC)cc1OC)C(F)(F)F ZINC001560563718 1060372435 /nfs/dbraw/zinc/37/24/35/1060372435.db2.gz UTLJIODQYLGZGB-UHFFFAOYSA-N 0 3 319.367 4.162 20 0 DIADHN C[C@H](NC/C=C\c1ccc(F)cc1F)c1cc2cnccc2o1 ZINC001560567304 1060377720 /nfs/dbraw/zinc/37/77/20/1060377720.db2.gz COBBQYMXXCAJAH-DLGQBQFBSA-N 0 3 314.335 4.470 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNC[C@@]1(C)CC1(C)C ZINC001560572816 1060385560 /nfs/dbraw/zinc/38/55/60/1060385560.db2.gz DKLMVEOEZMXKCL-GOSISDBHSA-N 0 3 317.864 4.360 20 0 DIADHN CCC[C@@H](C)CCNCc1cc(Br)cnc1Cl ZINC001560573661 1060387951 /nfs/dbraw/zinc/38/79/51/1060387951.db2.gz ZCVMRMIFEFLCDZ-SNVBAGLBSA-N 0 3 319.674 4.413 20 0 DIADHN C[C@@H](NC/C=C/c1ccccc1)c1ccc(C(F)(F)F)nc1 ZINC001560578352 1060390966 /nfs/dbraw/zinc/39/09/66/1060390966.db2.gz NLAOCXNRSSCOMR-OQHXTRMZSA-N 0 3 306.331 4.464 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cccc2c1OCO2 ZINC001560583326 1060397210 /nfs/dbraw/zinc/39/72/10/1060397210.db2.gz QITWYPGDZVYVBM-UHFFFAOYSA-N 0 3 319.404 4.421 20 0 DIADHN Brc1cccc2nc(NC[C@@H]3CC=CCC3)[nH]c21 ZINC001560586233 1060399609 /nfs/dbraw/zinc/39/96/09/1060399609.db2.gz CVYCDCVDVVNREX-SNVBAGLBSA-N 0 3 306.207 4.094 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1c(F)cccc1OC(F)(F)F ZINC001560590384 1060402207 /nfs/dbraw/zinc/40/22/07/1060402207.db2.gz UISIJIVEQUIOCD-NOOOWODRSA-N 0 3 317.326 4.106 20 0 DIADHN CN(C)c1ccnc(CNCc2ccc(-c3ccccc3)cc2)c1 ZINC001560592086 1060404635 /nfs/dbraw/zinc/40/46/35/1060404635.db2.gz XHHUCQPJRNSVAC-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@@H]2CC3(CCC3)CO2)c1 ZINC001560592792 1060405337 /nfs/dbraw/zinc/40/53/37/1060405337.db2.gz ZHPMJSANOVOVMQ-SFHVURJKSA-N 0 3 315.457 4.057 20 0 DIADHN COc1ccc(CNCc2cccc(Cl)c2C)c(C)c1OC ZINC001560599607 1060412474 /nfs/dbraw/zinc/41/24/74/1060412474.db2.gz YTNBAZVEAOROFZ-UHFFFAOYSA-N 0 3 319.832 4.264 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@@H]2CCC(F)(F)C2)cs1 ZINC001560614489 1060427572 /nfs/dbraw/zinc/42/75/72/1060427572.db2.gz MWCAXQZGBJVIDN-NSHDSACASA-N 0 3 302.434 4.356 20 0 DIADHN CC(C)CC[C@@H]1CC[C@H](NCc2cc(C(F)(F)F)n(C)n2)C1 ZINC001560614101 1060426908 /nfs/dbraw/zinc/42/69/08/1060426908.db2.gz YFSZEQUBPFOORE-OLZOCXBDSA-N 0 3 317.399 4.133 20 0 DIADHN CCC[C@@](C)(NCc1ccnn1CC1CCC1)c1ccccc1 ZINC001560618213 1060429417 /nfs/dbraw/zinc/42/94/17/1060429417.db2.gz LYTUDMHOCBDJIN-HXUWFJFHSA-N 0 3 311.473 4.488 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)s1 ZINC001560624441 1060434830 /nfs/dbraw/zinc/43/48/30/1060434830.db2.gz NYBXCSJVVPRNSM-LBPRGKRZSA-N 0 3 306.450 4.366 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1Cc1ccc(C(=O)OC(C)(C)C)s1 ZINC001560627998 1060436676 /nfs/dbraw/zinc/43/66/76/1060436676.db2.gz ODZPKOLXBWLEEE-QWHCGFSZSA-N 0 3 309.475 4.324 20 0 DIADHN Cc1cc(CN2CC[C@@H](CSc3ccccc3)C2)cnc1F ZINC001560627817 1060436881 /nfs/dbraw/zinc/43/68/81/1060436881.db2.gz KPIXIJGXDKPAMD-OAHLLOKOSA-N 0 3 316.445 4.143 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1C[C@H](C)CC[C@@H]1C ZINC001560627653 1060438375 /nfs/dbraw/zinc/43/83/75/1060438375.db2.gz HJYGQKLUJFMZCW-NEPJUHHUSA-N 0 3 303.475 4.202 20 0 DIADHN CCCCC[C@H](CC)C(=O)N(CCN(C)C)c1ccccc1 ZINC001527129302 1060443249 /nfs/dbraw/zinc/44/32/49/1060443249.db2.gz PLKLKLITNPUNLJ-KRWDZBQOSA-N 0 3 304.478 4.188 20 0 DIADHN Cc1coc(CN(CCc2ccc(C)cc2)C[C@@H]2CCCO2)c1 ZINC001560631430 1060444007 /nfs/dbraw/zinc/44/40/07/1060444007.db2.gz FVEUDIMWTWAVQR-IBGZPJMESA-N 0 3 313.441 4.120 20 0 DIADHN Cc1noc2ncc(CN3CC[C@H](c4ccccc4)[C@@H](C)C3)cc12 ZINC001560631631 1060444613 /nfs/dbraw/zinc/44/46/13/1060444613.db2.gz IJIWJOXBPSHCNV-KSSFIOAISA-N 0 3 321.424 4.157 20 0 DIADHN Cc1noc2ncc(CN3CC[C@@H](c4ccccc4)[C@@H](C)C3)cc12 ZINC001560631628 1060445421 /nfs/dbraw/zinc/44/54/21/1060445421.db2.gz IJIWJOXBPSHCNV-KBXCAEBGSA-N 0 3 321.424 4.157 20 0 DIADHN Cc1ccc(CCN2CCO[C@]3(CCc4ccccc43)C2)cc1C ZINC001560637561 1060450875 /nfs/dbraw/zinc/45/08/75/1060450875.db2.gz HJXKJYLTQDWEJB-JOCHJYFZSA-N 0 3 321.464 4.020 20 0 DIADHN CC[C@H]1CCC[C@@H](CN(C)CC(=O)Nc2c(C)cccc2C)C1 ZINC001560639607 1060457040 /nfs/dbraw/zinc/45/70/40/1060457040.db2.gz XSOJEBNBFYZTKY-ZWKOTPCHSA-N 0 3 316.489 4.390 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2nc3ccc(Cl)cc3n2C)CC1 ZINC001560640164 1060457424 /nfs/dbraw/zinc/45/74/24/1060457424.db2.gz MFCBUWUZCMZSPA-CQSZACIVSA-N 0 3 319.880 4.485 20 0 DIADHN CCN(Cc1cc(Cl)cc(Cl)c1F)C1CCOCC1 ZINC001249945450 1060495747 /nfs/dbraw/zinc/49/57/47/1060495747.db2.gz KHZSLEHRFXFNCS-UHFFFAOYSA-N 0 3 306.208 4.133 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(OCC)o2)C1(C)C ZINC001560645926 1060465779 /nfs/dbraw/zinc/46/57/79/1060465779.db2.gz JONXNFALLPZJAW-CVEARBPZSA-N 0 3 309.450 4.094 20 0 DIADHN CCOc1ccc(CN(C)[C@H]2C[C@@H](OCC)C2(CC)CC)o1 ZINC001560645136 1060467698 /nfs/dbraw/zinc/46/76/98/1060467698.db2.gz XJOFFUQIXGIFJD-JKSUJKDBSA-N 0 3 309.450 4.094 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cccc(OC2CC2)c1F ZINC001560647109 1060470770 /nfs/dbraw/zinc/47/07/70/1060470770.db2.gz PWKBORYXOZKUTA-CYBMUJFWSA-N 0 3 311.466 4.330 20 0 DIADHN CC(C)C1(CN2CCN([C@@H](C)c3ccc(F)cc3F)CC2)CC1 ZINC001560652647 1060476269 /nfs/dbraw/zinc/47/62/69/1060476269.db2.gz BJWJYOCHYNMUEI-HNNXBMFYSA-N 0 3 322.443 4.080 20 0 DIADHN Fc1ccccc1CN(CCC[C@@H]1CCO1)Cc1ccccc1 ZINC001560655120 1060476536 /nfs/dbraw/zinc/47/65/36/1060476536.db2.gz NPBJPIAALPQNQJ-LJQANCHMSA-N 0 3 313.416 4.397 20 0 DIADHN Fc1ccccc1CN(CCC[C@H]1CCO1)Cc1ccccc1 ZINC001560655119 1060477310 /nfs/dbraw/zinc/47/73/10/1060477310.db2.gz NPBJPIAALPQNQJ-IBGZPJMESA-N 0 3 313.416 4.397 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cccn1C(F)F ZINC001560656117 1060480147 /nfs/dbraw/zinc/48/01/47/1060480147.db2.gz SUICTSGELRGLBG-OCCSQVGLSA-N 0 3 308.347 4.400 20 0 DIADHN CCN(Cc1nnn(-c2ccccc2)c1C1CC1)[C@@H](C)C(C)C ZINC001560659588 1060484387 /nfs/dbraw/zinc/48/43/87/1060484387.db2.gz MTOFZFPRARJAAD-HNNXBMFYSA-N 0 3 312.461 4.011 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cnc(Cl)c(OC)c1 ZINC001249931021 1060489033 /nfs/dbraw/zinc/48/90/33/1060489033.db2.gz DJCWGOTZBWLWES-HNNXBMFYSA-N 0 3 304.821 4.327 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2nccc3c2CCCC3)cc1 ZINC001560663528 1060489496 /nfs/dbraw/zinc/48/94/96/1060489496.db2.gz NSOKJKMXOWKFFQ-HXUWFJFHSA-N 0 3 308.425 4.003 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN(C)CC(=O)Nc1cccc(C)c1C ZINC001560666252 1060492513 /nfs/dbraw/zinc/49/25/13/1060492513.db2.gz AXTQEVFXAVXJGY-MSOLQXFVSA-N 0 3 316.489 4.390 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CN1Cc1nc(-c2ccccc2)c[nH]1 ZINC001560673426 1060504559 /nfs/dbraw/zinc/50/45/59/1060504559.db2.gz PGJKALLCXMYOEI-QFBILLFUSA-N 0 3 317.436 4.455 20 0 DIADHN CC(C)C1CCC(CN2CCN(CC(F)(F)F)[C@H](C)C2)CC1 ZINC001560674553 1060507350 /nfs/dbraw/zinc/50/73/50/1060507350.db2.gz DSFZHUFNQUTOJD-QQFBHYJXSA-N 0 3 320.443 4.017 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCN(c2ccccc2O)CC1 ZINC001560674788 1060511271 /nfs/dbraw/zinc/51/12/71/1060511271.db2.gz KJLPPLOISNYQMR-GOSISDBHSA-N 0 3 316.489 4.287 20 0 DIADHN CC1(C)CCN(Cc2ccnc(OCc3ccccc3)c2)CC1 ZINC001249969415 1060511113 /nfs/dbraw/zinc/51/11/13/1060511113.db2.gz FSRPRRMQGYQEFF-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CCOc1cc(F)cc(CN(C)[C@H]2C[C@H](OCC)C23CCC3)c1 ZINC001560675700 1060512774 /nfs/dbraw/zinc/51/27/74/1060512774.db2.gz WPAMNKKYDYLNRJ-ROUUACIJSA-N 0 3 321.436 4.004 20 0 DIADHN c1ccc(COc2cc(CN3CCC[C@@H]4CCC[C@@H]43)ccn2)cc1 ZINC001249976228 1060516042 /nfs/dbraw/zinc/51/60/42/1060516042.db2.gz QLFGBPVGKXNRNJ-PMACEKPBSA-N 0 3 322.452 4.425 20 0 DIADHN Cc1ccnc(CN2CCC[C@H]2C2CCCC2)c1Br ZINC001560679215 1060516189 /nfs/dbraw/zinc/51/61/89/1060516189.db2.gz GABXHWKGBXAMKH-HNNXBMFYSA-N 0 3 323.278 4.307 20 0 DIADHN CC(C)(C)N1CCN(Cc2ccc(-c3ccc(F)cc3)o2)CC1 ZINC001560679389 1060522707 /nfs/dbraw/zinc/52/27/07/1060522707.db2.gz MMNLKXNTZHHAFY-UHFFFAOYSA-N 0 3 316.420 4.002 20 0 DIADHN Cc1ccc(CN(C)[C@@H]2CCCN(c3ccccc3)C2)c(F)c1 ZINC001560686666 1060533540 /nfs/dbraw/zinc/53/35/40/1060533540.db2.gz BCRNWEXOFJXVNB-LJQANCHMSA-N 0 3 312.432 4.235 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1cccc(Br)c1OC ZINC001560687628 1060537130 /nfs/dbraw/zinc/53/71/30/1060537130.db2.gz NBJCJXOZNPSZRN-YPMHNXCESA-N 0 3 312.251 4.078 20 0 DIADHN Cc1ccccc1CN1CC[C@@H](Oc2ccccc2Cl)C1 ZINC001560689751 1060539546 /nfs/dbraw/zinc/53/95/46/1060539546.db2.gz XUNPFOOIALMQER-MRXNPFEDSA-N 0 3 301.817 4.302 20 0 DIADHN CC(C)CCCCN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC001560699821 1060553491 /nfs/dbraw/zinc/55/34/91/1060553491.db2.gz FKEDGPYBHADFER-LJQANCHMSA-N 0 3 317.452 4.407 20 0 DIADHN c1nn(C2CCC2)cc1CN(Cc1ccccc1)CC1CCC1 ZINC001560700760 1060553924 /nfs/dbraw/zinc/55/39/24/1060553924.db2.gz XNJGAYIOZUAXCX-UHFFFAOYSA-N 0 3 309.457 4.410 20 0 DIADHN CSCc1ccc(CN(C)[C@H](C)c2cccc(O)c2)cc1 ZINC001560700524 1060555445 /nfs/dbraw/zinc/55/54/45/1060555445.db2.gz MSSNFNLAKRAACW-CQSZACIVSA-N 0 3 301.455 4.448 20 0 DIADHN Cc1scc(CN2CCC(Oc3cc(C)ccn3)CC2)c1C ZINC001560703624 1060556918 /nfs/dbraw/zinc/55/69/18/1060556918.db2.gz ZBCUHRILAUSFNJ-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC001560705741 1060563429 /nfs/dbraw/zinc/56/34/29/1060563429.db2.gz QEPABQXBQKULHT-INIZCTEOSA-N 0 3 324.472 4.013 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC(F)F)cc2F)CC(C)(C)C1 ZINC001560706810 1060564460 /nfs/dbraw/zinc/56/44/60/1060564460.db2.gz RTQJABHRMXIBMY-LLVKDONJSA-N 0 3 301.352 4.295 20 0 DIADHN OCCN(Cc1ccccc1)Cc1cccc(CC2CCC2)c1 ZINC001560716299 1060574856 /nfs/dbraw/zinc/57/48/56/1060574856.db2.gz CPLXYRLMVKNVFV-UHFFFAOYSA-N 0 3 309.453 4.024 20 0 DIADHN CC[C@H]1CCC[C@@H](CN2CCN(c3ccccc3F)CC2)C1 ZINC001560715848 1060575060 /nfs/dbraw/zinc/57/50/60/1060575060.db2.gz ZMODFCCCKABWJM-DLBZAZTESA-N 0 3 304.453 4.164 20 0 DIADHN CCOc1cc(OC)ccc1CN1CCC[C@@H]1c1ccccc1 ZINC001250061169 1060575241 /nfs/dbraw/zinc/57/52/41/1060575241.db2.gz WOMDOKMJKAGKMX-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN CCNc1ccccc1CN(C)CCOc1cccc(Cl)c1 ZINC001560721195 1060581646 /nfs/dbraw/zinc/58/16/46/1060581646.db2.gz ZPVGAJKUWHHQAE-UHFFFAOYSA-N 0 3 318.848 4.283 20 0 DIADHN C[C@@H]1CCCCN1Cc1cnn(-c2ccc(C(F)(F)F)cc2)c1 ZINC001560720517 1060583081 /nfs/dbraw/zinc/58/30/81/1060583081.db2.gz AWPXXYUCVFVIFV-CYBMUJFWSA-N 0 3 323.362 4.266 20 0 DIADHN COc1ccc(CN(C)Cc2cc3c(ccnc3Cl)o2)cc1 ZINC001560719968 1060583455 /nfs/dbraw/zinc/58/34/55/1060583455.db2.gz DLERFRRJKUAMQO-UHFFFAOYSA-N 0 3 316.788 4.122 20 0 DIADHN CCCc1cccc(CN2CCN(c3cccc(C)c3)CC2)c1 ZINC001560722518 1060584637 /nfs/dbraw/zinc/58/46/37/1060584637.db2.gz HZYGBQGVLSOIQM-UHFFFAOYSA-N 0 3 308.469 4.270 20 0 DIADHN CCSc1cccc(CN(C)CCOc2ccccc2F)c1 ZINC001560721478 1060585963 /nfs/dbraw/zinc/58/59/63/1060585963.db2.gz MOVCTBAHLAVYTI-UHFFFAOYSA-N 0 3 319.445 4.449 20 0 DIADHN CCSc1cccc(CN(C)Cc2ccc3c(c2)OCO3)c1 ZINC001560726400 1060590264 /nfs/dbraw/zinc/59/02/64/1060590264.db2.gz ORRQFFPVRONECU-UHFFFAOYSA-N 0 3 315.438 4.159 20 0 DIADHN CCc1cccc(CN(Cc2cccs2)C[C@@H]2CCCO2)c1 ZINC001560731569 1060599458 /nfs/dbraw/zinc/59/94/58/1060599458.db2.gz YTRNPPPILPAHHH-SFHVURJKSA-N 0 3 315.482 4.492 20 0 DIADHN COc1nc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)ccc1C ZINC001560734242 1060603131 /nfs/dbraw/zinc/60/31/31/1060603131.db2.gz FYJFHQAMFRQKCR-UYAOXDASSA-N 0 3 324.468 4.448 20 0 DIADHN COc1c(Cl)ccc(F)c1Nc1ccc2c(c1)CN(C)CC2 ZINC001250107327 1060613841 /nfs/dbraw/zinc/61/38/41/1060613841.db2.gz QTRFQTMGBCUNGJ-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN COc1c2ccccc2oc1CNC[C@@H](OC)C1CCCCC1 ZINC001560768626 1060632394 /nfs/dbraw/zinc/63/23/94/1060632394.db2.gz FVTISIQKJWKYRQ-QGZVFWFLSA-N 0 3 317.429 4.126 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001560801099 1060661179 /nfs/dbraw/zinc/66/11/79/1060661179.db2.gz FXFJCTNUFXXABM-DGCLKSJQSA-N 0 3 324.877 4.075 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Oc3ccncc3)C2)c(C)c1Cl ZINC001250205051 1060684006 /nfs/dbraw/zinc/68/40/06/1060684006.db2.gz ZDTAJLWHRFLZPD-QGZVFWFLSA-N 0 3 316.832 4.005 20 0 DIADHN CCCN(CCN1CCCCC1)Cc1cnc(CC(C)C)s1 ZINC001560826725 1060687290 /nfs/dbraw/zinc/68/72/90/1060687290.db2.gz VQQWWKQJTFACSR-UHFFFAOYSA-N 0 3 323.550 4.040 20 0 DIADHN COc1c(C)cc(Br)cc1CN1CCC2(CC2)CC1 ZINC001250210337 1060691743 /nfs/dbraw/zinc/69/17/43/1060691743.db2.gz SZOPEDKBCRYHTQ-UHFFFAOYSA-N 0 3 324.262 4.142 20 0 DIADHN CN(Cc1cccc(C2CC2)c1)C[C@H]1OCCc2ccccc21 ZINC001560842122 1060697596 /nfs/dbraw/zinc/69/75/96/1060697596.db2.gz NHUYSWVJANHVBL-OAQYLSRUSA-N 0 3 307.437 4.310 20 0 DIADHN COc1cc(CN2CC[C@@H](C(F)(F)F)C[C@@H]2C)ccc1C ZINC001560844490 1060699590 /nfs/dbraw/zinc/69/95/90/1060699590.db2.gz FWEVGURADXPKCD-GXTWGEPZSA-N 0 3 301.352 4.166 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccn2C(F)F)c(C)c1OC ZINC001560862248 1060719115 /nfs/dbraw/zinc/71/91/15/1060719115.db2.gz KBSUVWXUZHTRDI-GFCCVEGCSA-N 0 3 324.371 4.060 20 0 DIADHN CSC1(CN2CC(Cc3c(F)cccc3Cl)C2)CCC1 ZINC001560875378 1060735811 /nfs/dbraw/zinc/73/58/11/1060735811.db2.gz NDKQVLSYRUXZJP-UHFFFAOYSA-N 0 3 313.869 4.239 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CC(C3CCCC3)C2)cn1 ZINC001560876261 1060736155 /nfs/dbraw/zinc/73/61/55/1060736155.db2.gz CNGLJEPEGVMZCE-UHFFFAOYSA-N 0 3 321.468 4.472 20 0 DIADHN CC(C)[C@H]1N(Cc2cc3c(cc[nH]c3=O)o2)CC12CCCCC2 ZINC001560877935 1060737497 /nfs/dbraw/zinc/73/74/97/1060737497.db2.gz HSJUJMJENJOYFC-QGZVFWFLSA-N 0 3 314.429 4.324 20 0 DIADHN Cc1ccncc1CN1CCC(Cc2c(F)cccc2F)CC1 ZINC001560882074 1060743979 /nfs/dbraw/zinc/74/39/79/1060743979.db2.gz AYPHEDRBPQMJHQ-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN C[C@@H]1CN(C/C=C/c2cccc(F)c2)CCN1Cc1ccccc1 ZINC001560884826 1060745219 /nfs/dbraw/zinc/74/52/19/1060745219.db2.gz IIKKUDXVSOHICK-WGLAMXGCSA-N 0 3 324.443 4.045 20 0 DIADHN COCc1csc(CN2CCc3ccccc3C2(C)C)c1 ZINC001560898966 1060761183 /nfs/dbraw/zinc/76/11/83/1060761183.db2.gz GVRJBQZTHVPCOM-UHFFFAOYSA-N 0 3 301.455 4.188 20 0 DIADHN CCc1cccc(CNCc2cc3c(ccnc3Cl)o2)c1 ZINC001560914031 1060773727 /nfs/dbraw/zinc/77/37/27/1060773727.db2.gz WXFCAAAIQKBBQD-UHFFFAOYSA-N 0 3 300.789 4.333 20 0 DIADHN CCn1cc(CN(Cc2c(C)oc3ccccc32)C(C)C)cn1 ZINC001560915578 1060777635 /nfs/dbraw/zinc/77/76/35/1060777635.db2.gz PSWGUTIOZUDWND-UHFFFAOYSA-N 0 3 311.429 4.368 20 0 DIADHN CC[C@H](C)[C@H](CN[C@H]1CC(C)(C)Oc2ccc(F)cc21)OC ZINC001560918265 1060780520 /nfs/dbraw/zinc/78/05/20/1060780520.db2.gz OENRQBQGYYAOCV-NUTKFTJISA-N 0 3 309.425 4.079 20 0 DIADHN C[C@@H](CC1CCCCC1)CN(C)CC(=O)N(C)C1CCCCC1 ZINC001560929939 1060793199 /nfs/dbraw/zinc/79/31/99/1060793199.db2.gz NAJBWKUYAGTBAP-KRWDZBQOSA-N 0 3 322.537 4.316 20 0 DIADHN CC(C)=CCC[C@@H](C)c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001250422866 1060796321 /nfs/dbraw/zinc/79/63/21/1060796321.db2.gz ZTDYCXLLOHBYFC-OAHLLOKOSA-N 0 3 313.445 4.249 20 0 DIADHN CCCCN(CCCC)Cc1ccc(F)c(C(=O)OC)c1F ZINC001250429102 1060799360 /nfs/dbraw/zinc/79/93/60/1060799360.db2.gz QECHLBMAYRSKKV-UHFFFAOYSA-N 0 3 313.388 4.154 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3cccn3C3CC3)C2)cc1F ZINC001560945409 1060810423 /nfs/dbraw/zinc/81/04/23/1060810423.db2.gz ZZUCRIASVSRYDS-HNNXBMFYSA-N 0 3 316.395 4.481 20 0 DIADHN COc1cc(CN2CCC(C)(F)CC2)cc2c1NC(C)(C)C=C2 ZINC001250478891 1060835438 /nfs/dbraw/zinc/83/54/38/1060835438.db2.gz ZAJODOIDEANGRC-UHFFFAOYSA-N 0 3 318.436 4.237 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@@H](NCc2noc3ccc(F)cc23)C1(C)C ZINC001560974400 1060836955 /nfs/dbraw/zinc/83/69/55/1060836955.db2.gz KYAWBGSGTXMTAO-HZPDHXFCSA-N 0 3 320.408 4.039 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC([C@@H]2CCC[C@@H](C)C2)C1 ZINC001560977887 1060843419 /nfs/dbraw/zinc/84/34/19/1060843419.db2.gz LEUUGMNGGCVQRE-RHSMWYFYSA-N 0 3 303.494 4.339 20 0 DIADHN C[C@H](NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccc(-c2ccccc2)cn1 ZINC001560993160 1060854034 /nfs/dbraw/zinc/85/40/34/1060854034.db2.gz AEBVAOKAENBWLJ-MRCYXTNMSA-N 0 3 322.452 4.357 20 0 DIADHN COC(=O)C(C)(C)[C@@H](NCc1ccsc1C)c1ccccc1 ZINC001561000900 1060861723 /nfs/dbraw/zinc/86/17/23/1060861723.db2.gz FNOLMRULFYWFOQ-INIZCTEOSA-N 0 3 317.454 4.087 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC[C@@H](c2ccn(C)n2)C1 ZINC001561013393 1060871314 /nfs/dbraw/zinc/87/13/14/1060871314.db2.gz SKOVUDLXVOUVQG-OAHLLOKOSA-N 0 3 317.864 4.016 20 0 DIADHN COc1cccc(CN2CC[C@H]3CCC[C@@H]3C2)c1OCC1CC1 ZINC001250525714 1060873219 /nfs/dbraw/zinc/87/32/19/1060873219.db2.gz VTJVFIYRDNZAGS-IAGOWNOFSA-N 0 3 315.457 4.106 20 0 DIADHN COc1cccc(CN2CC3CCC(CC3)C2)c1OCC1CC1 ZINC001250527622 1060875430 /nfs/dbraw/zinc/87/54/30/1060875430.db2.gz IYDKXZSEGXCZRF-UHFFFAOYSA-N 0 3 315.457 4.106 20 0 DIADHN Fc1ccc(CNC2([C@@H]3CCCCO3)CC2)c(C(F)(F)F)c1 ZINC001561020989 1060877286 /nfs/dbraw/zinc/87/72/86/1060877286.db2.gz XJXREEGDSMSOGJ-AWEZNQCLSA-N 0 3 317.326 4.036 20 0 DIADHN C[C@H]1C[C@H](NC2([C@H]3CCCCO3)CC2)c2ccsc2S1 ZINC001561020665 1060877603 /nfs/dbraw/zinc/87/76/03/1060877603.db2.gz NJBQLNVKINMXJS-FPMFFAJLSA-N 0 3 309.500 4.365 20 0 DIADHN C[C@H](NC[C@H]1OCc2ccccc21)c1nccc2ccccc21 ZINC001561049413 1060897713 /nfs/dbraw/zinc/89/77/13/1060897713.db2.gz VCXRCDJTNOFMBW-IFXJQAMLSA-N 0 3 304.393 4.157 20 0 DIADHN C[C@@H](NC[C@H]1OCc2ccccc21)c1nccc2ccccc21 ZINC001561049412 1060898659 /nfs/dbraw/zinc/89/86/59/1060898659.db2.gz VCXRCDJTNOFMBW-AUUYWEPGSA-N 0 3 304.393 4.157 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@@H](CO)c1cc(F)ccc1F ZINC001561047551 1060898719 /nfs/dbraw/zinc/89/87/19/1060898719.db2.gz RNXLIBAOWGSGRP-KSSFIOAISA-N 0 3 311.416 4.360 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@@H](CO)c1cc(F)ccc1F ZINC001561047681 1060899332 /nfs/dbraw/zinc/89/93/32/1060899332.db2.gz WNJFHRHGQBBNGW-YJBOKZPZSA-N 0 3 311.416 4.337 20 0 DIADHN C[C@@H](NC[C@@H]1OCc2ccccc21)c1nccc2ccccc21 ZINC001561049414 1060899646 /nfs/dbraw/zinc/89/96/46/1060899646.db2.gz VCXRCDJTNOFMBW-KUHUBIRLSA-N 0 3 304.393 4.157 20 0 DIADHN CC/C=C\CCCCc1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001250569125 1060907690 /nfs/dbraw/zinc/90/76/90/1060907690.db2.gz MXGFEZHIQSFTNZ-ARJAWSKDSA-N 0 3 313.445 4.079 20 0 DIADHN C[C@H](CCC1CC1)NCc1ccc(C(F)(F)F)nc1Cl ZINC001462131705 1060953267 /nfs/dbraw/zinc/95/32/67/1060953267.db2.gz AHZQJBXWFIUMQX-SECBINFHSA-N 0 3 306.759 4.422 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(C)CC(=O)Nc1cccc(C)c1 ZINC001473194667 1060954228 /nfs/dbraw/zinc/95/42/28/1060954228.db2.gz ILQMYUKFHHKODP-HXUWFJFHSA-N 0 3 324.468 4.195 20 0 DIADHN CC1(C)CCCN(Cc2ccn(-c3ccc(F)cc3F)n2)CC1 ZINC001473197008 1060960058 /nfs/dbraw/zinc/96/00/58/1060960058.db2.gz OQHLLHVBCLOFND-UHFFFAOYSA-N 0 3 319.399 4.163 20 0 DIADHN CC[C@@H](C)n1c2ccc(Cl)cc2nc1-c1cnccc1N ZINC001250628920 1060963511 /nfs/dbraw/zinc/96/35/11/1060963511.db2.gz WBBFVQWWGLPBEY-SNVBAGLBSA-N 0 3 300.793 4.135 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@H](C(C)(C)C)C2)cc1F ZINC001462148149 1060967812 /nfs/dbraw/zinc/96/78/12/1060967812.db2.gz GOODMROWMBQUGL-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN COc1cccc(SCCN2C[C@H](C)[C@@H]2c2ccccc2)c1 ZINC001473208888 1060973405 /nfs/dbraw/zinc/97/34/05/1060973405.db2.gz VLMLZMOEJZYTFF-HNAYVOBHSA-N 0 3 313.466 4.480 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2C[C@H](c3cccc(Cl)c3)C2)cc1F ZINC001462153560 1060973615 /nfs/dbraw/zinc/97/36/15/1060973615.db2.gz HDHFFYMJGRLNFM-CTYIDZIISA-N 0 3 305.780 4.221 20 0 DIADHN COc1cccc(SCCN2C[C@@H](C)[C@H]2c2ccccc2)c1 ZINC001473208886 1060973757 /nfs/dbraw/zinc/97/37/57/1060973757.db2.gz VLMLZMOEJZYTFF-BEFAXECRSA-N 0 3 313.466 4.480 20 0 DIADHN C[C@H](c1nccc2ccccc21)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001462154385 1060978717 /nfs/dbraw/zinc/97/87/17/1060978717.db2.gz HKQRSJWSZAFWSH-CQSZACIVSA-N 0 3 322.456 4.448 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@@H](c3ccccc3)[C@H]2C)cc1 ZINC001473213997 1060985867 /nfs/dbraw/zinc/98/58/67/1060985867.db2.gz YEAICDZIMQPOKT-OXQOHEQNSA-N 0 3 322.452 4.066 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@H](c3ccccc3)[C@H]2C)cc1 ZINC001473213998 1060987529 /nfs/dbraw/zinc/98/75/29/1060987529.db2.gz YEAICDZIMQPOKT-UZLBHIALSA-N 0 3 322.452 4.066 20 0 DIADHN CCCC1CCC(N(C)Cc2c(C(F)(F)F)cnn2C)CC1 ZINC001462179486 1060998693 /nfs/dbraw/zinc/99/86/93/1060998693.db2.gz OOHVBXWERNTNSX-UHFFFAOYSA-N 0 3 317.399 4.230 20 0 DIADHN CC[C@@H](C)c1nnc([C@@H](C)[NH2+][C@H](CC)Cc2ccc(C)cc2)[n-]1 ZINC001462181603 1061003376 /nfs/dbraw/zinc/00/33/76/1061003376.db2.gz BMRLWQYNPJSOKB-BFYDXBDKSA-N 0 3 314.477 4.299 20 0 DIADHN CC[C@H]1CCN([C@H](C)C(=O)Nc2ccc(Cl)c(Cl)c2)C1 ZINC001473224853 1061004512 /nfs/dbraw/zinc/00/45/12/1061004512.db2.gz YLRQONJRAJLPAT-MNOVXSKESA-N 0 3 315.244 4.052 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(C)nc(Cl)c2)C1(CC)CC ZINC001473244397 1061021556 /nfs/dbraw/zinc/02/15/56/1061021556.db2.gz RZWSZTLAASEBSY-CVEARBPZSA-N 0 3 324.896 4.459 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)C1CCC1 ZINC001473248450 1061024157 /nfs/dbraw/zinc/02/41/57/1061024157.db2.gz SUMCLULSIDJTIL-UXHICEINSA-N 0 3 313.416 4.320 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@@H]1CCC3(CCC3)CO1)CCC2 ZINC001473249112 1061026235 /nfs/dbraw/zinc/02/62/35/1061026235.db2.gz QUUPZZVBSPPENA-GOEBONIOSA-N 0 3 320.502 4.110 20 0 DIADHN Cc1cc2cc(CN[C@H](C)c3cc4cnccc4o3)ccc2[nH]1 ZINC001462209220 1061027807 /nfs/dbraw/zinc/02/78/07/1061027807.db2.gz GTOBBXOWSFTQJN-CYBMUJFWSA-N 0 3 305.381 4.468 20 0 DIADHN C[C@H](NC[C@@]1(C)C[C@@H]1c1ccccc1)c1c(F)cncc1F ZINC001462218440 1061036349 /nfs/dbraw/zinc/03/63/49/1061036349.db2.gz TVSVCHOPSJQTLM-WPKBUWHJSA-N 0 3 302.368 4.204 20 0 DIADHN C[C@H](c1ccccc1)N(Cc1ccc(O)c(F)c1F)C1CC1 ZINC001462222120 1061038071 /nfs/dbraw/zinc/03/80/71/1061038071.db2.gz JXDFZQSCGSYUEQ-GFCCVEGCSA-N 0 3 303.352 4.396 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccc(O)c(F)c1F)C1CC1 ZINC001462222123 1061039215 /nfs/dbraw/zinc/03/92/15/1061039215.db2.gz JXDFZQSCGSYUEQ-LBPRGKRZSA-N 0 3 303.352 4.396 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1Cc1ncccc1Br ZINC001473271825 1061045105 /nfs/dbraw/zinc/04/51/05/1061045105.db2.gz CBHDRAVUNBXEAC-WFASDCNBSA-N 0 3 311.267 4.245 20 0 DIADHN CC(C)N(CCC1CCCC1)CC(=O)Nc1ccc(F)cc1 ZINC001473273642 1061045271 /nfs/dbraw/zinc/04/52/71/1061045271.db2.gz XXKQCCHDWVBVNQ-UHFFFAOYSA-N 0 3 306.425 4.055 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCC[C@H]2CCC(C)C)c1 ZINC001473271820 1061045841 /nfs/dbraw/zinc/04/58/41/1061045841.db2.gz CAJYIQNSIHKYCZ-INIZCTEOSA-N 0 3 307.409 4.013 20 0 DIADHN CCOc1cc(C[N@@H+]2C[C@H](CC)CC[C@@H]2C)cc(Cl)c1[O-] ZINC001462235005 1061046599 /nfs/dbraw/zinc/04/65/99/1061046599.db2.gz MRVKNFXIMXAIES-QWHCGFSZSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@H+]2C[C@H](CC)CC[C@@H]2C)cc(Cl)c1[O-] ZINC001462235005 1061046612 /nfs/dbraw/zinc/04/66/12/1061046612.db2.gz MRVKNFXIMXAIES-QWHCGFSZSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1ccc(Cl)cc1CN1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC001462246441 1061050131 /nfs/dbraw/zinc/05/01/31/1061050131.db2.gz BBSUMYQEMPKMJM-FUHWJXTLSA-N 0 3 305.849 4.359 20 0 DIADHN CN(CCC1CC1)Cc1cc(Br)ccc1Cl ZINC001473286835 1061057911 /nfs/dbraw/zinc/05/79/11/1061057911.db2.gz NZJHGPWKFFXKAT-UHFFFAOYSA-N 0 3 302.643 4.334 20 0 DIADHN COC/C(C)=C/CN1Cc2ccccc2C[C@H]1c1ccccc1 ZINC001473289501 1061059299 /nfs/dbraw/zinc/05/92/99/1061059299.db2.gz KRTPJDFHDBXUCM-RKCQOLGWSA-N 0 3 307.437 4.379 20 0 DIADHN C[C@@H]1CCC[C@H](CCN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001473295108 1061061097 /nfs/dbraw/zinc/06/10/97/1061061097.db2.gz HLKXQUHUUMLPCQ-CHWSQXEVSA-N 0 3 317.399 4.087 20 0 DIADHN CC(C)N(CCCc1c(Cl)cccc1Cl)C1COC1 ZINC001462269617 1061065020 /nfs/dbraw/zinc/06/50/20/1061065020.db2.gz HEGCCVPYPDDLAP-UHFFFAOYSA-N 0 3 302.245 4.035 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@@]2(C)CCCc3ccccc32)c1 ZINC001473304541 1061067850 /nfs/dbraw/zinc/06/78/50/1061067850.db2.gz OVHFJKOJDSKRHB-NRFANRHFSA-N 0 3 322.452 4.083 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(F)cc1 ZINC001473301620 1061069170 /nfs/dbraw/zinc/06/91/70/1061069170.db2.gz YRAGMQRVLIOUQV-QGZVFWFLSA-N 0 3 310.372 4.320 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(F)cc2Cl)[C@H]1SC ZINC001462277613 1061072243 /nfs/dbraw/zinc/07/22/43/1061072243.db2.gz YBKLHKOKSUCLQS-KYQLBWAOSA-N 0 3 317.857 4.039 20 0 DIADHN CCC[C@@H](NC[C@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC001473311601 1061074790 /nfs/dbraw/zinc/07/47/90/1061074790.db2.gz PBDHCRTWZHWRDB-MOPGFXCFSA-N 0 3 319.395 4.438 20 0 DIADHN C[C@@H](NCc1noc2c1CC(C)(C)CC2)[C@H](C)c1ccccc1 ZINC001473314061 1061079900 /nfs/dbraw/zinc/07/99/00/1061079900.db2.gz HOBSCYQPNGLQBQ-LSDHHAIUSA-N 0 3 312.457 4.471 20 0 DIADHN Cc1cccc(CN2CC[C@@]3(C2)CCc2ccccc2O3)c1F ZINC001462290549 1061083307 /nfs/dbraw/zinc/08/33/07/1061083307.db2.gz LCPPBARDDPGETI-HXUWFJFHSA-N 0 3 311.400 4.104 20 0 DIADHN CC[C@H](NC[C@@H](O)c1cc(C)cc(C)c1)c1cc(F)ccc1F ZINC001473322958 1061084870 /nfs/dbraw/zinc/08/48/70/1061084870.db2.gz CRXVOANGIKQSLO-RBUKOAKNSA-N 0 3 319.395 4.356 20 0 DIADHN CC[C@@H](NCCCC(=O)OC(C)(C)C)c1cc(F)ccc1F ZINC001473323006 1061085348 /nfs/dbraw/zinc/08/53/48/1061085348.db2.gz DUITYBKIXMZIEV-OAHLLOKOSA-N 0 3 313.388 4.127 20 0 DIADHN C[C@]1(Br)C[C@H]1CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC001462296356 1061086105 /nfs/dbraw/zinc/08/61/05/1061086105.db2.gz RLRGQQDRZZSLBX-XHSDSOJGSA-N 0 3 320.274 4.387 20 0 DIADHN CCc1ccc([C@@H](C)NCC(=O)N(C(C)C)C2CCCC2)cc1 ZINC001473324073 1061087199 /nfs/dbraw/zinc/08/71/99/1061087199.db2.gz WJFARPDRAWEIRO-MRXNPFEDSA-N 0 3 316.489 4.079 20 0 DIADHN CCC(CC)(CNCc1ccc(C(F)(F)F)nc1Cl)OC ZINC001462300223 1061088830 /nfs/dbraw/zinc/08/88/30/1061088830.db2.gz WQKNPZQEOJESRA-UHFFFAOYSA-N 0 3 324.774 4.049 20 0 DIADHN CN(C)c1ccccc1CN(C)C/C=C/c1ccc(F)cc1F ZINC001462299667 1061089448 /nfs/dbraw/zinc/08/94/48/1061089448.db2.gz WHGPEBRWDYMGFM-SOFGYWHQSA-N 0 3 316.395 4.176 20 0 DIADHN CCN(C)C(=O)CN[C@H](c1cccc(Cl)c1)C1CCCCC1 ZINC001473327574 1061090283 /nfs/dbraw/zinc/09/02/83/1061090283.db2.gz QXRMMMQTQOPCPW-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)NCCOC1CCCCC1 ZINC001473329069 1061090788 /nfs/dbraw/zinc/09/07/88/1061090788.db2.gz VQBUOJODLWHVKQ-INIZCTEOSA-N 0 3 305.462 4.474 20 0 DIADHN Cc1cnn([C@H]2CCN(C/C=C/c3c(C)cc(C)cc3C)C2)c1 ZINC001462303701 1061091214 /nfs/dbraw/zinc/09/12/14/1061091214.db2.gz DGGJJIDJGBOCKP-UEKDZROGSA-N 0 3 309.457 4.077 20 0 DIADHN Cc1ccccc1OCCCN[C@H](C)c1ccc(F)cc1F ZINC001473334840 1061094388 /nfs/dbraw/zinc/09/43/88/1061094388.db2.gz UGAFIEONXZDORY-CQSZACIVSA-N 0 3 305.368 4.393 20 0 DIADHN Clc1ccc2c(c1)CN(CC1([C@H]3CCCCO3)CCC1)CC2 ZINC001473341906 1061099051 /nfs/dbraw/zinc/09/90/51/1061099051.db2.gz IMKABBAJMWEZOB-GOSISDBHSA-N 0 3 319.876 4.438 20 0 DIADHN Clc1ccc2c(c1)CN(CCCCC1CCOCC1)CC2 ZINC001473343114 1061103734 /nfs/dbraw/zinc/10/37/34/1061103734.db2.gz WXNQSXDSLKDXOT-UHFFFAOYSA-N 0 3 307.865 4.295 20 0 DIADHN CN(Cc1ccc(Cl)c(F)c1)C[C@@H]1CCCC2(CCC2)O1 ZINC001473350239 1061104629 /nfs/dbraw/zinc/10/46/29/1061104629.db2.gz ZDQHPBCSXRIACT-AWEZNQCLSA-N 0 3 311.828 4.403 20 0 DIADHN CCc1[nH]nc(Cl)c1CN(C)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001462329822 1061108148 /nfs/dbraw/zinc/10/81/48/1061108148.db2.gz AFJATXBTOXRXPZ-WMLDXEAASA-N 0 3 317.864 4.394 20 0 DIADHN CCN(Cc1ccncc1)C[C@H]1CCC2(CCCCCC2)O1 ZINC001473352986 1061108204 /nfs/dbraw/zinc/10/82/04/1061108204.db2.gz QQOJYLFLZPTJSQ-GOSISDBHSA-N 0 3 302.462 4.176 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2ccc3c(c2)CCCC3)c1 ZINC001473363173 1061113228 /nfs/dbraw/zinc/11/32/28/1061113228.db2.gz VELTUAMNIPQFSY-MRXNPFEDSA-N 0 3 322.452 4.163 20 0 DIADHN CCc1ccc(CCN[C@@H](COCC(C)C)c2ccco2)cc1 ZINC001473367469 1061115809 /nfs/dbraw/zinc/11/58/09/1061115809.db2.gz VJOPUVSZXXGRSM-IBGZPJMESA-N 0 3 315.457 4.388 20 0 DIADHN c1ccc(CC2(c3ccccc3)CN(C[C@@H]3CCC=CO3)C2)cc1 ZINC001462342067 1061117034 /nfs/dbraw/zinc/11/70/34/1061117034.db2.gz MRXCKWLNUVPPFK-NRFANRHFSA-N 0 3 319.448 4.175 20 0 DIADHN CCOc1cc(F)cc(CN(C)Cc2ccc(C)c(C)c2)c1 ZINC001462341593 1061117142 /nfs/dbraw/zinc/11/71/42/1061117142.db2.gz LBJGAQNJACOJTN-UHFFFAOYSA-N 0 3 301.405 4.473 20 0 DIADHN CC(C)(C)C1CCC(CNCc2nnc(C3CC3)s2)CC1 ZINC001462346409 1061119720 /nfs/dbraw/zinc/11/97/20/1061119720.db2.gz HIHJAQPEAWVFFE-UHFFFAOYSA-N 0 3 307.507 4.358 20 0 DIADHN CN(Cc1cnn(C)c1Cl)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC001462345929 1061120085 /nfs/dbraw/zinc/12/00/85/1061120085.db2.gz AQNKEKYSULGAOK-DLBZAZTESA-N 0 3 317.864 4.232 20 0 DIADHN COC(=O)c1cc(CN(C)C/C(C)=C/c2ccccc2)ccc1C ZINC001473378673 1061121830 /nfs/dbraw/zinc/12/18/30/1061121830.db2.gz MBWBGTMNIVYSAO-FOWTUZBSSA-N 0 3 323.436 4.317 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1coc(-c2ccccc2F)n1 ZINC001473383231 1061124355 /nfs/dbraw/zinc/12/43/55/1061124355.db2.gz DGWJHWWELRNNIM-DYVFJYSZSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)N(Cc1cn2ccnc2s1)[C@H](C)c1ccsc1 ZINC001462356840 1061125554 /nfs/dbraw/zinc/12/55/54/1061125554.db2.gz MMZDEFLMZZNBOK-GFCCVEGCSA-N 0 3 305.472 4.429 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccc2ccccc2c1 ZINC001473386146 1061126674 /nfs/dbraw/zinc/12/66/74/1061126674.db2.gz SEBKJOJMSIYLHT-DNVCBOLYSA-N 0 3 310.441 4.289 20 0 DIADHN COC(=O)c1oc([C@@H](C)N2CCC(C(C)(C)C)CC2)cc1C ZINC001473383550 1061126732 /nfs/dbraw/zinc/12/67/32/1061126732.db2.gz DZUAVYSNIJBCFQ-CYBMUJFWSA-N 0 3 307.434 4.194 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccc2ccccc2c1 ZINC001473386144 1061126815 /nfs/dbraw/zinc/12/68/15/1061126815.db2.gz SEBKJOJMSIYLHT-BEFAXECRSA-N 0 3 310.441 4.289 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1coc(-c2cccc(OC)c2)n1 ZINC001473383861 1061127087 /nfs/dbraw/zinc/12/70/87/1061127087.db2.gz HGKYJZPWEPGERG-CXAGYDPISA-N 0 3 300.402 4.019 20 0 DIADHN CC1(C)CCCN(Cc2cncc(OCc3ccccc3)c2)C1 ZINC001473384898 1061127394 /nfs/dbraw/zinc/12/73/94/1061127394.db2.gz GLVGCGVNFRFLRA-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccc2ccccc2c1 ZINC001473386150 1061127481 /nfs/dbraw/zinc/12/74/81/1061127481.db2.gz SEBKJOJMSIYLHT-KXBFYZLASA-N 0 3 310.441 4.289 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1coc(-c2cccc(OC)c2)n1 ZINC001473383863 1061128008 /nfs/dbraw/zinc/12/80/08/1061128008.db2.gz HGKYJZPWEPGERG-GUYCJALGSA-N 0 3 300.402 4.019 20 0 DIADHN Fc1ccc(CCCN[C@H]2C[C@]2(F)c2ccccc2)cc1F ZINC001462362686 1061128825 /nfs/dbraw/zinc/12/88/25/1061128825.db2.gz GLEDWXSANARYJD-ROUUACIJSA-N 0 3 305.343 4.124 20 0 DIADHN COC(=O)c1cccc2c1CN(C[C@@H]1CCCC(C)(C)C1)CC2 ZINC001462366988 1061131830 /nfs/dbraw/zinc/13/18/30/1061131830.db2.gz BUTRRVPLLXPTJT-OAHLLOKOSA-N 0 3 315.457 4.048 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CCC(CC)(CC)CC1 ZINC001473395666 1061133916 /nfs/dbraw/zinc/13/39/16/1061133916.db2.gz VDYAWAPKINQEOW-UHFFFAOYSA-N 0 3 307.434 4.031 20 0 DIADHN O[C@@H](CN1CCCC2(CCCC2)C1)c1ccc(F)cc1Cl ZINC001473394576 1061135149 /nfs/dbraw/zinc/13/51/49/1061135149.db2.gz ZZZTXQJZUDZLCK-INIZCTEOSA-N 0 3 311.828 4.169 20 0 DIADHN CC[C@@H]1CCN(Cc2ccc(OC)cc2Br)[C@@H]1C ZINC001473402672 1061138968 /nfs/dbraw/zinc/13/89/68/1061138968.db2.gz LWSFZVUBIROFIG-VXGBXAGGSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@@H](CN1CC[C@H](Oc2ccccc2)C1)c1cc(F)cc(F)c1 ZINC001462382418 1061139523 /nfs/dbraw/zinc/13/95/23/1061139523.db2.gz QEIYACVJVTUVHD-LIRRHRJNSA-N 0 3 317.379 4.222 20 0 DIADHN Clc1cccc(CCN2CC[C@H](Oc3ccccc3)C2)c1 ZINC001462385134 1061141504 /nfs/dbraw/zinc/14/15/04/1061141504.db2.gz YLGYAXVFFNKRMJ-SFHVURJKSA-N 0 3 301.817 4.036 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1cc(C)c3ncccc3c1)C2 ZINC001462387652 1061143261 /nfs/dbraw/zinc/14/32/61/1061143261.db2.gz RPCZBUTXCIWIPW-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN Cc1n[nH]c(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)c1C ZINC001462397848 1061148490 /nfs/dbraw/zinc/14/84/90/1061148490.db2.gz CFIDXIULCDRVEM-GOSISDBHSA-N 0 3 317.864 4.277 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](C(C)(C)C)C2)c1C ZINC001473421687 1061150416 /nfs/dbraw/zinc/15/04/16/1061150416.db2.gz HYFILJBYCHGMLP-INIZCTEOSA-N 0 3 302.462 4.000 20 0 DIADHN O[C@@H](CNC1(c2ccc(Cl)c(Cl)c2)CC1)c1ccccc1 ZINC001473420503 1061151397 /nfs/dbraw/zinc/15/13/97/1061151397.db2.gz OJYZMLPRGXLRDA-INIZCTEOSA-N 0 3 322.235 4.306 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)CC(=O)Nc1cccc(Cl)c1 ZINC001473423133 1061153863 /nfs/dbraw/zinc/15/38/63/1061153863.db2.gz GOYSCMPFYQTXGY-ZDUSSCGKSA-N 0 3 308.853 4.179 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN(CC(=O)OC(C)(C)C)CC1CC1 ZINC001462406139 1061153925 /nfs/dbraw/zinc/15/39/25/1061153925.db2.gz BIHRPKMLYORDCW-IAGOWNOFSA-N 0 3 309.494 4.257 20 0 DIADHN CC1=CCCN([C@H]2CCCc3ccc(Br)cc32)C1 ZINC001473426302 1061155831 /nfs/dbraw/zinc/15/58/31/1061155831.db2.gz UZTPTZAVHNXAAW-INIZCTEOSA-N 0 3 306.247 4.479 20 0 DIADHN C[C@@H](NCCC(=O)OCc1ccccc1)c1ccccc1Cl ZINC001473443932 1061160463 /nfs/dbraw/zinc/16/04/63/1061160463.db2.gz NHPGRJZQABXXNC-CQSZACIVSA-N 0 3 317.816 4.124 20 0 DIADHN CCC1CCC(N(CCO)Cc2cc(F)ccc2Cl)CC1 ZINC001473443035 1061161315 /nfs/dbraw/zinc/16/13/15/1061161315.db2.gz RULZJCXIWDKTFQ-UHFFFAOYSA-N 0 3 313.844 4.242 20 0 DIADHN CCC1(CC)CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001462428117 1061168511 /nfs/dbraw/zinc/16/85/11/1061168511.db2.gz WCBQAIVIFKHFMP-UHFFFAOYSA-N 0 3 315.436 4.414 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1nnn(-c2ccccc2)c1C1CC1 ZINC001462431073 1061170474 /nfs/dbraw/zinc/17/04/74/1061170474.db2.gz WKKCNMQVVMUMEX-AWEZNQCLSA-N 0 3 312.461 4.059 20 0 DIADHN C[C@@H](c1ccccc1)N(CC(=O)Nc1ccccn1)C1CCCC1 ZINC001473460189 1061171610 /nfs/dbraw/zinc/17/16/10/1061171610.db2.gz XBAPLCKWYMZEAL-INIZCTEOSA-N 0 3 323.440 4.026 20 0 DIADHN Clc1ccccc1CN(CCc1ccns1)CC1CC1 ZINC001473464344 1061175151 /nfs/dbraw/zinc/17/51/51/1061175151.db2.gz FGBIJYCPGADESB-UHFFFAOYSA-N 0 3 306.862 4.251 20 0 DIADHN CCCC[C@@H](CC)CCCN(C)Cc1c2c(nn1C)CCC2 ZINC001462449137 1061181296 /nfs/dbraw/zinc/18/12/96/1061181296.db2.gz AYSMRWPHUZCYQB-MRXNPFEDSA-N 0 3 305.510 4.337 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CCCCCCCC2)cc1F ZINC001473478135 1061181887 /nfs/dbraw/zinc/18/18/87/1061181887.db2.gz KDGQNKYFXNQSHN-OAHLLOKOSA-N 0 3 306.425 4.117 20 0 DIADHN Cc1cccc(CC2CN(Cc3cc4ccncc4s3)C2)c1 ZINC001462455046 1061184189 /nfs/dbraw/zinc/18/41/89/1061184189.db2.gz PTLAFTYDVRYJOP-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN Nc1c(F)cccc1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC001462460645 1061188801 /nfs/dbraw/zinc/18/88/01/1061188801.db2.gz YONFGWDMVZJQED-CYBMUJFWSA-N 0 3 320.358 4.066 20 0 DIADHN C[C@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1CC1CCC(C)(C)CC1 ZINC001473500145 1061193945 /nfs/dbraw/zinc/19/39/45/1061193945.db2.gz YAJNZIRAKNRMPJ-HOTGVXAUSA-N 0 3 322.537 4.170 20 0 DIADHN CCN(CC(=O)N(CC)[C@H](C)c1ccc(F)cc1)CC(C)(C)C ZINC001473500708 1061194235 /nfs/dbraw/zinc/19/42/35/1061194235.db2.gz KCKRZXMPKCBNSD-OAHLLOKOSA-N 0 3 322.468 4.103 20 0 DIADHN COc1ccc(SCCN2CCC[C@@H](C(F)(F)F)C2)cc1 ZINC001462478217 1061197769 /nfs/dbraw/zinc/19/77/69/1061197769.db2.gz SHAUNKZHXFLHPQ-GFCCVEGCSA-N 0 3 319.392 4.062 20 0 DIADHN C[C@@H](NCCN(C)c1ccccc1)c1ccc(F)cc1Cl ZINC001473502361 1061198041 /nfs/dbraw/zinc/19/80/41/1061198041.db2.gz VNOJHRFGWMEPBK-CYBMUJFWSA-N 0 3 306.812 4.266 20 0 DIADHN CC[C@@H]1CN(C)CCN1Cc1cc(Cl)cc2ccccc21 ZINC001473507793 1061201290 /nfs/dbraw/zinc/20/12/90/1061201290.db2.gz KQBXHFPBYOZPLP-QGZVFWFLSA-N 0 3 302.849 4.019 20 0 DIADHN Fc1cccc(/C=C\CN2CCC(Cc3nccs3)CC2)c1 ZINC001462483585 1061205585 /nfs/dbraw/zinc/20/55/85/1061205585.db2.gz DLBXFRRXIDBAED-RQOWECAXSA-N 0 3 316.445 4.250 20 0 DIADHN CC1(C)CC(NCc2cc(F)c(Br)cc2F)C1 ZINC001462486312 1061208510 /nfs/dbraw/zinc/20/85/10/1061208510.db2.gz VCUHIMYLIQHUKR-UHFFFAOYSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1cc(C)cc(CN2CCN(c3cccc(F)c3)C[C@@H]2C)c1 ZINC001473515588 1061209670 /nfs/dbraw/zinc/20/96/70/1061209670.db2.gz JKOLBBOWJDUMJR-KRWDZBQOSA-N 0 3 312.432 4.153 20 0 DIADHN CC(C)(C)c1cccc(CN2CC(C)(C)[C@@H]2[C@H]2CCCO2)c1 ZINC001473522988 1061217293 /nfs/dbraw/zinc/21/72/93/1061217293.db2.gz KQTRZNPHLGJSHS-MSOLQXFVSA-N 0 3 301.474 4.374 20 0 DIADHN CC(C)(C)c1cccc(CN2CC(C)(C)[C@H]2[C@H]2CCCO2)c1 ZINC001473522989 1061218340 /nfs/dbraw/zinc/21/83/40/1061218340.db2.gz KQTRZNPHLGJSHS-QZTJIDSGSA-N 0 3 301.474 4.374 20 0 DIADHN CC(C)CCCCCC(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001455691828 1061231123 /nfs/dbraw/zinc/23/11/23/1061231123.db2.gz ZARONQZUVGRPNS-QGZVFWFLSA-N 0 3 308.510 4.068 20 0 DIADHN Cc1ncoc1CN1CCC(Cc2ccc(Cl)cc2)CC1 ZINC001462525222 1061234769 /nfs/dbraw/zinc/23/47/69/1061234769.db2.gz XPUAHNAZIMSKJI-UHFFFAOYSA-N 0 3 304.821 4.091 20 0 DIADHN Cc1ccccc1C1CC(NCc2noc3cc(F)ccc23)C1 ZINC001462529650 1061237782 /nfs/dbraw/zinc/23/77/82/1061237782.db2.gz IGKOGOPJIGXISN-UHFFFAOYSA-N 0 3 310.372 4.311 20 0 DIADHN CC[C@@H](NC(=O)CN1CC(C)(C)C[C@@H]1C)c1ccc(Cl)cc1 ZINC001473554948 1061240888 /nfs/dbraw/zinc/24/08/88/1061240888.db2.gz RGWXSLCFEGVGCI-XJKSGUPXSA-N 0 3 322.880 4.028 20 0 DIADHN CO[C@]1(C(F)(F)F)CCN(CCc2ccc(C(C)C)cc2)C1 ZINC001462540167 1061248003 /nfs/dbraw/zinc/24/80/03/1061248003.db2.gz JQOAYYXFGVSGBP-MRXNPFEDSA-N 0 3 315.379 4.006 20 0 DIADHN Cc1cccc(NC(=O)CN2CC[C@H](c3ccccc3)C2(C)C)c1 ZINC001473561927 1061248923 /nfs/dbraw/zinc/24/89/23/1061248923.db2.gz XSEUTHARDFVXEX-LJQANCHMSA-N 0 3 322.452 4.202 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)[C@H]1CCC[C@@H]1C(C)(C)C ZINC001473563254 1061249565 /nfs/dbraw/zinc/24/95/65/1061249565.db2.gz DCBLEQBNVKIYAP-HOTGVXAUSA-N 0 3 322.880 4.425 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1csc(CCc2ccccc2)n1 ZINC001473564706 1061250662 /nfs/dbraw/zinc/25/06/62/1061250662.db2.gz HXIODYGTEQGJEF-OWCLPIDISA-N 0 3 314.498 4.405 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCc3cc(F)c(F)cc3C2)cc1 ZINC001462544558 1061251533 /nfs/dbraw/zinc/25/15/33/1061251533.db2.gz VLCGGVOPXLZLQM-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN C[C@H]1C[C@H](N2CC(CC3CCOCC3)C2)c2ccsc2S1 ZINC001462546781 1061251624 /nfs/dbraw/zinc/25/16/24/1061251624.db2.gz KLFXBCYGQWFCIW-LRDDRELGSA-N 0 3 323.527 4.422 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC001473566458 1061251903 /nfs/dbraw/zinc/25/19/03/1061251903.db2.gz SJRIFACTUMXLMH-IXDOHACOSA-N 0 3 322.452 4.268 20 0 DIADHN Cc1ccc(CNC2CC(c3cccc(F)c3)C2)c(Cl)n1 ZINC001462549169 1061252854 /nfs/dbraw/zinc/25/28/54/1061252854.db2.gz MEHWELFJYMSEBC-UHFFFAOYSA-N 0 3 304.796 4.218 20 0 DIADHN C[C@@H]1CN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@@H](C)[C@H]1C ZINC001473566457 1061254585 /nfs/dbraw/zinc/25/45/85/1061254585.db2.gz SJRIFACTUMXLMH-IKGGRYGDSA-N 0 3 322.452 4.268 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@](C)(C(F)(F)F)C1 ZINC001462560175 1061263140 /nfs/dbraw/zinc/26/31/40/1061263140.db2.gz AIUKUUQNWTZGRM-HNNXBMFYSA-N 0 3 301.352 4.032 20 0 DIADHN Cc1ccc2cc(CN3CC[C@H](Oc4ccc(F)cc4)C3)[nH]c2c1 ZINC001462565245 1061264016 /nfs/dbraw/zinc/26/40/16/1061264016.db2.gz SQRBXHLPKWWJQT-IBGZPJMESA-N 0 3 324.399 4.269 20 0 DIADHN CC1(C)CCc2onc(CN[C@@H]3CCC[C@@H](C4CC4)C3)c2C1 ZINC001462562095 1061264151 /nfs/dbraw/zinc/26/41/51/1061264151.db2.gz XBMZLNYREQBGEG-HUUCEWRRSA-N 0 3 302.462 4.248 20 0 DIADHN COc1ccc(CN2CCC(c3cccc(F)c3F)CC2)cc1 ZINC001473583591 1061272603 /nfs/dbraw/zinc/27/26/03/1061272603.db2.gz GQSXRCHNUQYNRC-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN Brc1cnccc1CN1CCC[C@@H]1CC1CCCC1 ZINC001473595710 1061276221 /nfs/dbraw/zinc/27/62/21/1061276221.db2.gz LNQNHIFEPXVGIW-OAHLLOKOSA-N 0 3 323.278 4.389 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@H](OC2CCC2)C1 ZINC001473595392 1061276443 /nfs/dbraw/zinc/27/64/43/1061276443.db2.gz DTQFQNSYTCGMMW-SFHVURJKSA-N 0 3 316.489 4.301 20 0 DIADHN O=C(CN1CCC[C@H]1CC1CCCC1)Nc1cccc(Cl)c1 ZINC001473595840 1061277531 /nfs/dbraw/zinc/27/75/31/1061277531.db2.gz PERDYKDEAYKQKJ-KRWDZBQOSA-N 0 3 320.864 4.323 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CCC[C@@H]1CC1CCCC1 ZINC001473596786 1061281446 /nfs/dbraw/zinc/28/14/46/1061281446.db2.gz ZVBKJOTYDIWDNZ-GOSISDBHSA-N 0 3 314.473 4.287 20 0 DIADHN CCc1ccc(CN2CCc3ccc(Cl)cc3[C@H](C)C2)nc1 ZINC001473608301 1061283541 /nfs/dbraw/zinc/28/35/41/1061283541.db2.gz QEBDHCHWSLDNEM-CQSZACIVSA-N 0 3 314.860 4.459 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1cc(Cl)cc(Cl)c1F ZINC001473613496 1061286424 /nfs/dbraw/zinc/28/64/24/1061286424.db2.gz FRIYFMWCPTVHDV-CHWSQXEVSA-N 0 3 306.208 4.180 20 0 DIADHN C[C@H]1CN(Cc2cc(C(F)(F)F)co2)CCc2ccccc21 ZINC001473611489 1061287038 /nfs/dbraw/zinc/28/70/38/1061287038.db2.gz BQFMUYJHTHMVQT-LBPRGKRZSA-N 0 3 309.331 4.460 20 0 DIADHN Fc1cccc(Cl)c1CCCNCc1nc2ccccc2o1 ZINC001462602050 1061289833 /nfs/dbraw/zinc/28/98/33/1061289833.db2.gz FEUAGKWTDIPSIR-UHFFFAOYSA-N 0 3 318.779 4.343 20 0 DIADHN COc1cccc(Sc2ccccc2CNC2CSC2)c1 ZINC001462601737 1061290217 /nfs/dbraw/zinc/29/02/17/1061290217.db2.gz FJXWOXVANXSAKG-UHFFFAOYSA-N 0 3 317.479 4.051 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)n(C)n1)[C@@H](C)c1ccc(Cl)cc1 ZINC001462613507 1061299475 /nfs/dbraw/zinc/29/94/75/1061299475.db2.gz NCGOTYGXHXFABD-CHWSQXEVSA-N 0 3 317.864 4.233 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCc2cccc(F)c2)cc1F ZINC001473625705 1061299541 /nfs/dbraw/zinc/29/95/41/1061299541.db2.gz OMVKXFITHCEPEQ-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN C[C@@H]1C(=O)Nc2ccccc2CN1C[C@H]1CCCCC1(C)C ZINC001473633789 1061304099 /nfs/dbraw/zinc/30/40/99/1061304099.db2.gz ZAJDCPRGAIWPBS-GDBMZVCRSA-N 0 3 300.446 4.046 20 0 DIADHN CC1(C)CN(Cc2cccc(Cl)n2)CCc2ccccc21 ZINC001473635116 1061306017 /nfs/dbraw/zinc/30/60/17/1061306017.db2.gz DOOMTPIJCAEUSI-UHFFFAOYSA-N 0 3 300.833 4.071 20 0 DIADHN CC(C)(C)OC(=O)[C@H]1CN(CCCC2CC2)Cc2ccccc21 ZINC001473635531 1061306090 /nfs/dbraw/zinc/30/60/90/1061306090.db2.gz DKQDUMSSXRZHNG-SFHVURJKSA-N 0 3 315.457 4.118 20 0 DIADHN CC(C)n1cncc1CN1CCC(c2ccc3[nH]ccc3c2)CC1 ZINC001462637580 1061309520 /nfs/dbraw/zinc/30/95/20/1061309520.db2.gz NUEMNSSPSCEMGT-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN Cc1ccc(C)c(C2CCN(Cc3c(C4CC4)cnn3C)CC2)c1 ZINC001462635454 1061309751 /nfs/dbraw/zinc/30/97/51/1061309751.db2.gz BZQSZXBNWBJREI-UHFFFAOYSA-N 0 3 323.484 4.294 20 0 DIADHN CC1(C)CO[C@@H](c2cccc(Cl)c2)CN1C[Si](C)(C)C ZINC001473647247 1061313384 /nfs/dbraw/zinc/31/33/84/1061313384.db2.gz IVDMPAAPUDYNGM-OAHLLOKOSA-N 0 3 311.929 4.369 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1ccccc1CC(C)C)C2 ZINC001473648788 1061314675 /nfs/dbraw/zinc/31/46/75/1061314675.db2.gz FCGOCUNPIOEELZ-UHFFFAOYSA-N 0 3 309.453 4.452 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001473653240 1061317158 /nfs/dbraw/zinc/31/71/58/1061317158.db2.gz KOOYACPCJHSGDL-JKIFEVAISA-N 0 3 321.436 4.186 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@H](C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001473653239 1061317216 /nfs/dbraw/zinc/31/72/16/1061317216.db2.gz KOOYACPCJHSGDL-CPUCHLNUSA-N 0 3 321.436 4.186 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@H](C(=O)OC(C)(C)C)[C@H](C)C1 ZINC001473653241 1061317643 /nfs/dbraw/zinc/31/76/43/1061317643.db2.gz KOOYACPCJHSGDL-KEYYUXOJSA-N 0 3 321.436 4.186 20 0 DIADHN CCCC[C@H](CC)CCCN(C)[C@@H]1CCN(C2CCC2)C1=O ZINC001473659188 1061321979 /nfs/dbraw/zinc/32/19/79/1061321979.db2.gz GFGVNBOGCXAVDI-FUHWJXTLSA-N 0 3 308.510 4.068 20 0 DIADHN CC(C)C[C@H](C)CN[C@@H](CO)c1cccc(Cl)c1Cl ZINC001473660734 1061322431 /nfs/dbraw/zinc/32/24/31/1061322431.db2.gz ZYXAZQGDKJHLTG-FZMZJTMJSA-N 0 3 304.261 4.299 20 0 DIADHN CCCOc1ccc(CN2CCO[C@@H](C(C)(C)C)CC2)cc1C ZINC001462661071 1061323148 /nfs/dbraw/zinc/32/31/48/1061323148.db2.gz AZDJEJLXEPCLJY-LJQANCHMSA-N 0 3 319.489 4.421 20 0 DIADHN C[C@@]1(CN(Cc2cccs2)Cc2ccncc2)CCCS1 ZINC001462656456 1061323384 /nfs/dbraw/zinc/32/33/84/1061323384.db2.gz XPQIBPJGOUNDNJ-KRWDZBQOSA-N 0 3 318.511 4.431 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN(CCC1CC1)CC1CC1 ZINC001473666597 1061328033 /nfs/dbraw/zinc/32/80/33/1061328033.db2.gz QWSUGCPZVFOIBE-UHFFFAOYSA-N 0 3 317.864 4.239 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(COC(C)(C)C)c1)N(CC)CC ZINC001456568981 1061336092 /nfs/dbraw/zinc/33/60/92/1061336092.db2.gz WWOBVVIDDXQJQU-KRWDZBQOSA-N 0 3 320.477 4.061 20 0 DIADHN CC(C)(C)OC(=O)[C@H]1CCCCN1C[C@@H]1CC2CCC1CC2 ZINC001473737812 1061378995 /nfs/dbraw/zinc/37/89/95/1061378995.db2.gz SSASOAKVPCSMMA-SJJHQCBESA-N 0 3 307.478 4.009 20 0 DIADHN CC(C)(C)OC(=O)[C@H]1CCCCN1C[C@H]1CC2CCC1CC2 ZINC001473737811 1061380860 /nfs/dbraw/zinc/38/08/60/1061380860.db2.gz SSASOAKVPCSMMA-BHUNQDJPSA-N 0 3 307.478 4.009 20 0 DIADHN Cc1ccc(CN2CCN([C@H](C)c3ccccc3)CC2)c(C)c1 ZINC001473755394 1061394681 /nfs/dbraw/zinc/39/46/81/1061394681.db2.gz MXQBCHOMXYYEMO-LJQANCHMSA-N 0 3 308.469 4.182 20 0 DIADHN Cc1cc(C)nc(CN2CCCSC[C@H]2c2ccccc2)c1 ZINC001473759338 1061395132 /nfs/dbraw/zinc/39/51/32/1061395132.db2.gz DHMHHZWLJFXNFG-IBGZPJMESA-N 0 3 312.482 4.379 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CCCCC(F)(F)F)CC1 ZINC001473756454 1061395881 /nfs/dbraw/zinc/39/58/81/1061395881.db2.gz YNCWBFMEGWRDGX-OAHLLOKOSA-N 0 3 314.395 4.098 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(Cc2ccc(CF)cc2)CC1 ZINC001473756470 1061396382 /nfs/dbraw/zinc/39/63/82/1061396382.db2.gz YWWPBLLCVLSQGA-QGZVFWFLSA-N 0 3 312.432 4.035 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2ccc(CF)cc2)CC1 ZINC001473756469 1061397526 /nfs/dbraw/zinc/39/75/26/1061397526.db2.gz YWWPBLLCVLSQGA-KRWDZBQOSA-N 0 3 312.432 4.035 20 0 DIADHN CCC1(CC)CCCN1Cc1nc2sc(C)c(C)c2c(N)n1 ZINC001473769703 1061398668 /nfs/dbraw/zinc/39/86/68/1061398668.db2.gz LOMMDYBPYWQXPA-UHFFFAOYSA-N 0 3 318.490 4.045 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3ccc(C)cc3Cl)C2)n1 ZINC001473775723 1061402039 /nfs/dbraw/zinc/40/20/39/1061402039.db2.gz VGCJCQJZCGQNCK-CQSZACIVSA-N 0 3 306.862 4.403 20 0 DIADHN CC(C)OC(=O)c1ccc(CN2Cc3ccccc3[C@H](C)C2)cc1 ZINC001473774636 1061402851 /nfs/dbraw/zinc/40/28/51/1061402851.db2.gz JELOPMCRMCYHLC-MRXNPFEDSA-N 0 3 323.436 4.371 20 0 DIADHN CC[C@H](OCCN[C@H](c1ccccn1)C1CC1)c1ccccc1 ZINC001473818707 1061421685 /nfs/dbraw/zinc/42/16/85/1061421685.db2.gz SZFJOEVDIXBTKN-PMACEKPBSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@]1(NCc2ccc(F)c3cccnc23)CCOc2ccccc21 ZINC001473823685 1061426120 /nfs/dbraw/zinc/42/61/20/1061426120.db2.gz KHQJTTVEEBAFSH-FQEVSTJZSA-N 0 3 322.383 4.161 20 0 DIADHN COc1cccc(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)c1 ZINC001473842535 1061432484 /nfs/dbraw/zinc/43/24/84/1061432484.db2.gz ZDKFYUAJAQJNOS-OAQYLSRUSA-N 0 3 321.420 4.136 20 0 DIADHN CSCC1CCN(CCSc2ccc(F)cc2F)CC1 ZINC001473849721 1061435224 /nfs/dbraw/zinc/43/52/24/1061435224.db2.gz BSKPVUINKLTTCX-UHFFFAOYSA-N 0 3 317.470 4.132 20 0 DIADHN CCC[C@H]1CCCN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001473859580 1061437677 /nfs/dbraw/zinc/43/76/77/1061437677.db2.gz QHFDVPMIDJPEJF-LBPRGKRZSA-N 0 3 315.244 4.196 20 0 DIADHN COc1ccc([C@@H]2CCCN2CCSC2CCCCC2)nc1 ZINC001473874345 1061444867 /nfs/dbraw/zinc/44/48/67/1061444867.db2.gz DVNMCMICEKMIFY-SFHVURJKSA-N 0 3 320.502 4.293 20 0 DIADHN CCCSc1ccc2nc(-c3cccc4cncn43)[nH]c2c1 ZINC001251707142 1061450791 /nfs/dbraw/zinc/45/07/91/1061450791.db2.gz HZUUWWIRTILNQV-UHFFFAOYSA-N 0 3 308.410 4.380 20 0 DIADHN Cc1ccc(C)c(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)c1 ZINC001473885163 1061450683 /nfs/dbraw/zinc/45/06/83/1061450683.db2.gz HCOMMGYFJPCRGJ-DYESRHJHSA-N 0 3 309.453 4.178 20 0 DIADHN Cc1cc2nc(-c3cccc4cncn43)[nH]c2cc1C(F)(F)F ZINC001251707497 1061451281 /nfs/dbraw/zinc/45/12/81/1061451281.db2.gz UTVBUAMEURXIBR-UHFFFAOYSA-N 0 3 316.286 4.205 20 0 DIADHN CCc1ccc2c(c1)CCN(CCCOc1ccccc1C)C2 ZINC001473899325 1061451870 /nfs/dbraw/zinc/45/18/70/1061451870.db2.gz LREAHESDEQQDKA-UHFFFAOYSA-N 0 3 309.453 4.385 20 0 DIADHN CC(C)CCc1nc(CN[C@H]2CCOCC23CCCC3)cs1 ZINC001473893505 1061452617 /nfs/dbraw/zinc/45/26/17/1061452617.db2.gz QGWSLESUQLZEDE-INIZCTEOSA-N 0 3 322.518 4.171 20 0 DIADHN CC(C)(C)[C@@H]1CCN([C@H]2CCc3c2cccc3Cl)CCO1 ZINC001473898134 1061453398 /nfs/dbraw/zinc/45/33/98/1061453398.db2.gz WJUQVOCBDYPYKU-IRXDYDNUSA-N 0 3 307.865 4.464 20 0 DIADHN COc1ccc(CN[C@H](c2ccccc2)C(C)C)c(F)c1OC ZINC001473919023 1061467630 /nfs/dbraw/zinc/46/76/30/1061467630.db2.gz IWZLRUZBELFTIK-SFHVURJKSA-N 0 3 317.404 4.330 20 0 DIADHN Cc1ccc(F)c(CNCCOc2ccc3ccccc3c2)c1 ZINC001473947012 1061490437 /nfs/dbraw/zinc/49/04/37/1061490437.db2.gz SQLIJSQKOSCIHH-UHFFFAOYSA-N 0 3 309.384 4.456 20 0 DIADHN CCn1ccc(CNCC2(c3cccc(Cl)c3)CCCC2)n1 ZINC001473956803 1061496369 /nfs/dbraw/zinc/49/63/69/1061496369.db2.gz FODIDELLNGDBED-UHFFFAOYSA-N 0 3 317.864 4.158 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccc(Cl)c(Cl)c2)cc1 ZINC001473957579 1061498516 /nfs/dbraw/zinc/49/85/16/1061498516.db2.gz NVPUBIWWIAMODP-LLVKDONJSA-N 0 3 310.224 4.337 20 0 DIADHN COc1ccc(Cl)cc1CNC/C=C\c1cccc(F)c1 ZINC001473962257 1061503989 /nfs/dbraw/zinc/50/39/89/1061503989.db2.gz AZGKPDLHBNDQPG-HYXAFXHYSA-N 0 3 305.780 4.291 20 0 DIADHN CCc1cccc(CN[C@@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1 ZINC001473962289 1061504522 /nfs/dbraw/zinc/50/45/22/1061504522.db2.gz CFFGXFIYFGSXFB-PMACEKPBSA-N 0 3 313.416 4.398 20 0 DIADHN Cc1cc(CNCc2ccccc2COC(C)C)cnc1Cl ZINC001473964274 1061507012 /nfs/dbraw/zinc/50/70/12/1061507012.db2.gz PNUMIOFRLSSSFX-UHFFFAOYSA-N 0 3 318.848 4.258 20 0 DIADHN C[C@@H]1CCc2nc(CNCCc3ccccc3Cl)sc2C1 ZINC001473967133 1061510070 /nfs/dbraw/zinc/51/00/70/1061510070.db2.gz PZLBIQOCUCLEHZ-GFCCVEGCSA-N 0 3 320.889 4.254 20 0 DIADHN CCn1ccnc1CNC[C@@H](C)c1c(Cl)cccc1Cl ZINC001473971094 1061514617 /nfs/dbraw/zinc/51/46/17/1061514617.db2.gz YHNGLFSGPAYZPE-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN CCn1ccnc1CNC[C@H](C)c1c(Cl)cccc1Cl ZINC001473971095 1061514809 /nfs/dbraw/zinc/51/48/09/1061514809.db2.gz YHNGLFSGPAYZPE-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN COc1cccc2cc(CNC/C=C/c3ccc(F)cc3)oc21 ZINC001473975916 1061519060 /nfs/dbraw/zinc/51/90/60/1061519060.db2.gz SUNZZFNXISTTPY-ONEGZZNKSA-N 0 3 311.356 4.384 20 0 DIADHN CCOc1ccc(CNC(C)(C)c2ccccc2OC)c(C)c1 ZINC001473980526 1061523478 /nfs/dbraw/zinc/52/34/78/1061523478.db2.gz GLHAOCDFFFDXOE-UHFFFAOYSA-N 0 3 313.441 4.427 20 0 DIADHN Cc1cc(F)c(CNCCc2c[nH]c3cccc(C)c23)c(F)c1 ZINC001473980411 1061524864 /nfs/dbraw/zinc/52/48/64/1061524864.db2.gz SAXHCEMFSTZONT-UHFFFAOYSA-N 0 3 314.379 4.395 20 0 DIADHN CC(C)[C@H](C(=O)Nc1ccc2sccc2c1)N1CCCCC1 ZINC001463835969 1061526242 /nfs/dbraw/zinc/52/62/42/1061526242.db2.gz MKOHWXYIJMCGNF-QGZVFWFLSA-N 0 3 316.470 4.350 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)Nc1cccc(CN(C)C)c1 ZINC001463869950 1061528465 /nfs/dbraw/zinc/52/84/65/1061528465.db2.gz PTJBDQJHEADDNX-UHFFFAOYSA-N 0 3 304.478 4.395 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CNCc1cc2c(s1)CCCC2 ZINC001473987207 1061529710 /nfs/dbraw/zinc/52/97/10/1061529710.db2.gz IKUMXCJBGOJGRM-UHFFFAOYSA-N 0 3 323.465 4.307 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CNCc1cc2c(s1)CCCC2 ZINC001473987207 1061529725 /nfs/dbraw/zinc/52/97/25/1061529725.db2.gz IKUMXCJBGOJGRM-UHFFFAOYSA-N 0 3 323.465 4.307 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1c[nH]c(-c2ccccc2)n1 ZINC001473991138 1061533657 /nfs/dbraw/zinc/53/36/57/1061533657.db2.gz AHLVZNYOZVAKAF-LJQANCHMSA-N 0 3 303.409 4.162 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1cnc(-c2ccccc2)[nH]1 ZINC001473991138 1061533672 /nfs/dbraw/zinc/53/36/72/1061533672.db2.gz AHLVZNYOZVAKAF-LJQANCHMSA-N 0 3 303.409 4.162 20 0 DIADHN CN(C)C1(C(=O)Nc2ccc(Nc3ccccc3)cc2)CCCC1 ZINC001463940836 1061537808 /nfs/dbraw/zinc/53/78/08/1061537808.db2.gz LAAVXGUVWLUBEY-UHFFFAOYSA-N 0 3 323.440 4.243 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001473998285 1061539779 /nfs/dbraw/zinc/53/97/79/1061539779.db2.gz FZFIAIOOOAFZQV-LLVKDONJSA-N 0 3 315.804 4.081 20 0 DIADHN C[C@H](O)CN[C@@H](c1ccccc1)c1ccc(-c2ccccc2)cc1 ZINC001251971542 1061544869 /nfs/dbraw/zinc/54/48/69/1061544869.db2.gz KUYQVEIZZNIXMW-JTSKRJEESA-N 0 3 317.432 4.413 20 0 DIADHN O[C@@H](CN1CCC[C@@H]1c1ccc(Cl)s1)c1ccccc1 ZINC001251991539 1061545985 /nfs/dbraw/zinc/54/59/85/1061545985.db2.gz VMVNCLDOGJTXJO-KGLIPLIRSA-N 0 3 307.846 4.272 20 0 DIADHN Cn1cc(CNCC(C)(C)CCCc2ccccc2)c(Cl)n1 ZINC001474007461 1061548652 /nfs/dbraw/zinc/54/86/52/1061548652.db2.gz DHFPVUIVVKDWLY-UHFFFAOYSA-N 0 3 319.880 4.212 20 0 DIADHN c1c(CN[C@@H](CC2CC2)c2ccccc2)[nH]nc1-c1ccccn1 ZINC001474009177 1061549542 /nfs/dbraw/zinc/54/95/42/1061549542.db2.gz BWJDAKHKTXULOZ-IBGZPJMESA-N 0 3 318.424 4.103 20 0 DIADHN c1c(CN[C@H](CC2CC2)c2ccccc2)[nH]nc1-c1ccccn1 ZINC001474009178 1061550074 /nfs/dbraw/zinc/55/00/74/1061550074.db2.gz BWJDAKHKTXULOZ-LJQANCHMSA-N 0 3 318.424 4.103 20 0 DIADHN Cc1ccc(CNCCOc2ccccc2C(C)C)c(F)c1 ZINC001474008683 1061550771 /nfs/dbraw/zinc/55/07/71/1061550771.db2.gz IKTMROBZJLWJTQ-UHFFFAOYSA-N 0 3 301.405 4.426 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc(F)c(F)c1 ZINC001474011014 1061551916 /nfs/dbraw/zinc/55/19/16/1061551916.db2.gz GQSVMKODNBKBGS-RYUDHWBXSA-N 0 3 303.352 4.139 20 0 DIADHN O[C@H](CNC1(c2ccccc2Cl)CCC1)c1ccccc1 ZINC001252010080 1061554736 /nfs/dbraw/zinc/55/47/36/1061554736.db2.gz KFRVKETXWYLWPA-QGZVFWFLSA-N 0 3 301.817 4.042 20 0 DIADHN COc1ccc(CNCc2oc3ccc(F)cc3c2C)c(C)c1 ZINC001474017430 1061559652 /nfs/dbraw/zinc/55/96/52/1061559652.db2.gz RWGANQXLDXYMNT-UHFFFAOYSA-N 0 3 313.372 4.487 20 0 DIADHN CC[C@@H](Cc1ccccc1C(F)(F)F)NCc1cnc(C)o1 ZINC001474024115 1061564180 /nfs/dbraw/zinc/56/41/80/1061564180.db2.gz GTSAYVHUHNAPBF-ZDUSSCGKSA-N 0 3 312.335 4.113 20 0 DIADHN CS[C@@H]1CC[C@@H](NCc2csc(-c3cccs3)n2)C1 ZINC001474033128 1061575694 /nfs/dbraw/zinc/57/56/94/1061575694.db2.gz ZHJYPFMGSWZECI-ZYHUDNBSSA-N 0 3 310.513 4.245 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNCC1(C(C)C)CC1 ZINC001474034988 1061581010 /nfs/dbraw/zinc/58/10/10/1061581010.db2.gz FUHQCDHZZNIALL-UHFFFAOYSA-N 0 3 317.864 4.360 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2Cl)CCCC[C@H]1O ZINC001474039198 1061583637 /nfs/dbraw/zinc/58/36/37/1061583637.db2.gz OPUWLQGIDMNKQB-CABCVRRESA-N 0 3 302.245 4.024 20 0 DIADHN CCO[C@@H](CCNCc1ncc(-c2ccccc2)s1)C(C)C ZINC001474040133 1061586724 /nfs/dbraw/zinc/58/67/24/1061586724.db2.gz RUGAOTMTIHZRPG-INIZCTEOSA-N 0 3 318.486 4.351 20 0 DIADHN CC[C@H](O)CCNCc1c(Cl)cccc1Oc1ccccc1 ZINC001474045378 1061592960 /nfs/dbraw/zinc/59/29/60/1061592960.db2.gz VUEKKRITJHWOPH-AWEZNQCLSA-N 0 3 319.832 4.383 20 0 DIADHN CC[C@@H](NC/C=C\c1ccccc1OC)c1c(C)noc1C ZINC001474075905 1061621238 /nfs/dbraw/zinc/62/12/38/1061621238.db2.gz HMHJBEJJUOSQGV-SIJIIQCFSA-N 0 3 300.402 4.054 20 0 DIADHN COc1cnc(F)c(CN[C@H](C)Cc2ccc(C(C)C)cc2)c1 ZINC001474073760 1061621615 /nfs/dbraw/zinc/62/16/15/1061621615.db2.gz RTFZLWRHHFOCSH-CQSZACIVSA-N 0 3 316.420 4.074 20 0 DIADHN CC(C)(C)N1CC[C@](F)(C(=O)Nc2cccc3ccsc32)C1 ZINC001458192748 1061623379 /nfs/dbraw/zinc/62/33/79/1061623379.db2.gz NUAXUUQNFALDPI-QGZVFWFLSA-N 0 3 320.433 4.052 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCSC(C)(C)C ZINC001474081217 1061627961 /nfs/dbraw/zinc/62/79/61/1061627961.db2.gz RVURKEOIILJJLX-UHFFFAOYSA-N 0 3 300.899 4.027 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CNCc1c(C)cccc1C ZINC001474082700 1061628826 /nfs/dbraw/zinc/62/88/26/1061628826.db2.gz XXAVSDWVBIQCBP-UHFFFAOYSA-N 0 3 319.452 4.396 20 0 DIADHN Cn1nc2c(c1CN[C@H](CC1CCCC1)c1ccccc1)CCC2 ZINC001474081555 1061629384 /nfs/dbraw/zinc/62/93/84/1061629384.db2.gz YDOCBHLNZCEBJK-HXUWFJFHSA-N 0 3 323.484 4.320 20 0 DIADHN CC(C)(O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC001252356432 1061632751 /nfs/dbraw/zinc/63/27/51/1061632751.db2.gz GHYLGOWSPKIERJ-AWEZNQCLSA-N 0 3 301.352 4.003 20 0 DIADHN Cn1ccc(CNCC2(c3ccccc3Cl)CCCCC2)n1 ZINC001474095451 1061643625 /nfs/dbraw/zinc/64/36/25/1061643625.db2.gz QXRCRJOYTHNFSE-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2c(Cl)cccc2N(C)C)C1(C)C ZINC001474102650 1061651074 /nfs/dbraw/zinc/65/10/74/1061651074.db2.gz LBBHFCFQUDOVII-IRXDYDNUSA-N 0 3 324.896 4.088 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1ccc2c(n1)CCC2 ZINC001474104327 1061652927 /nfs/dbraw/zinc/65/29/27/1061652927.db2.gz MURSFRQIDLIECD-QVKFZJNVSA-N 0 3 306.453 4.374 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cc(Cl)cc(Cl)c1N ZINC001474114784 1061663695 /nfs/dbraw/zinc/66/36/95/1061663695.db2.gz LQTYPZPUQFYJOD-UHFFFAOYSA-N 0 3 315.166 4.396 20 0 DIADHN CC(C)Oc1cc(Cl)c(CNCCC(C)(C)O)cc1Cl ZINC001474120365 1061671360 /nfs/dbraw/zinc/67/13/60/1061671360.db2.gz YORMJPXDYBCRQW-UHFFFAOYSA-N 0 3 320.260 4.031 20 0 DIADHN C[C@@H](CCNCc1c(Cl)n[nH]c1C1CC1)c1ccccc1 ZINC001474129129 1061673791 /nfs/dbraw/zinc/67/37/91/1061673791.db2.gz UWRAUJWYQHVYHY-LBPRGKRZSA-N 0 3 303.837 4.224 20 0 DIADHN C[C@H](CCNCc1c(Cl)n[nH]c1C1CC1)c1ccccc1 ZINC001474129128 1061674714 /nfs/dbraw/zinc/67/47/14/1061674714.db2.gz UWRAUJWYQHVYHY-GFCCVEGCSA-N 0 3 303.837 4.224 20 0 DIADHN Cn1c(CN[C@@H]2CCCc3ccc(F)cc32)cc2ccccc21 ZINC001474136825 1061684366 /nfs/dbraw/zinc/68/43/66/1061684366.db2.gz RBXAZSWZBONVHT-LJQANCHMSA-N 0 3 308.400 4.485 20 0 DIADHN CCc1ccc([C@@H](O)CNCc2cc(C(C)(C)C)oc2C)cc1 ZINC001474143414 1061687624 /nfs/dbraw/zinc/68/76/24/1061687624.db2.gz ANRWDPSESWKEMW-SFHVURJKSA-N 0 3 315.457 4.271 20 0 DIADHN CCC[C@@]1(NCc2ccc(-c3c(F)cccc3F)o2)CCOC1 ZINC001474144186 1061691546 /nfs/dbraw/zinc/69/15/46/1061691546.db2.gz GDNBWKDPDMFXCS-GOSISDBHSA-N 0 3 321.367 4.274 20 0 DIADHN Cc1ccc(F)c(CCNCc2nc3ccc(F)cc3s2)c1 ZINC001474149223 1061693713 /nfs/dbraw/zinc/69/37/13/1061693713.db2.gz KYGISFALBRWYMC-UHFFFAOYSA-N 0 3 318.392 4.215 20 0 DIADHN Clc1cnc(CNC[C@@H]2CCC[C@H]2c2ccccc2)s1 ZINC001474159769 1061700134 /nfs/dbraw/zinc/70/01/34/1061700134.db2.gz OTOCRNUIGBSROC-KBPBESRZSA-N 0 3 306.862 4.470 20 0 DIADHN COc1cc(CN[C@@H]2CCCc3c(F)cc(F)cc32)ccc1C ZINC001474162229 1061705375 /nfs/dbraw/zinc/70/53/75/1061705375.db2.gz VSAAPCWYKPHKMK-GOSISDBHSA-N 0 3 317.379 4.449 20 0 DIADHN Cc1ccc(NC(=O)C/C=C/Cc2ccccc2)c(CN(C)C)c1 ZINC001465192927 1061706718 /nfs/dbraw/zinc/70/67/18/1061706718.db2.gz DLQUCFXQPVKJCL-BQYQJAHWSA-N 0 3 322.452 4.184 20 0 DIADHN CC1(CNCc2ncc(Br)cc2F)CCCCC1 ZINC001474165913 1061710919 /nfs/dbraw/zinc/71/09/19/1061710919.db2.gz KYDIPZSSCGIQAU-UHFFFAOYSA-N 0 3 315.230 4.043 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@]2(C)C[C@]2(F)Cl)n1 ZINC001474202512 1061753653 /nfs/dbraw/zinc/75/36/53/1061753653.db2.gz YIRJJEUDRMZCOZ-ZIAGYGMSSA-N 0 3 304.862 4.235 20 0 DIADHN CC(C)(C)c1ccncc1CNC/C=C/c1ccc(F)cc1F ZINC001474178929 1061724017 /nfs/dbraw/zinc/72/40/17/1061724017.db2.gz VOERWCPNPSWKTG-SNAWJCMRSA-N 0 3 316.395 4.460 20 0 DIADHN CC[C@H](C)N[C@@H](CNc1nc2ccc(F)cc2o1)c1ccco1 ZINC001458369203 1061725780 /nfs/dbraw/zinc/72/57/80/1061725780.db2.gz DWEDFJDYTNEFBT-FZMZJTMJSA-N 0 3 317.364 4.101 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2C[C@H](C)C[C@H](c3ccccc3)C2)n1 ZINC001474179329 1061727758 /nfs/dbraw/zinc/72/77/58/1061727758.db2.gz NXCWNINMDCTSBO-FHLIZLRMSA-N 0 3 310.441 4.158 20 0 DIADHN C[C@H]1C[C@@H](NCc2cc(Cl)ccc2OCC2CC2)CS1 ZINC001474181067 1061730678 /nfs/dbraw/zinc/73/06/78/1061730678.db2.gz URRVAGSTCLZNFJ-XHDPSFHLSA-N 0 3 311.878 4.112 20 0 DIADHN CC(C)(CNCc1ccc(-c2ccccc2F)o1)OCC1CC1 ZINC001474182280 1061731714 /nfs/dbraw/zinc/73/17/14/1061731714.db2.gz GADHXZIWRCZJOM-UHFFFAOYSA-N 0 3 317.404 4.381 20 0 DIADHN CC[C@H](NC[C@@H](O)c1ccc(F)cc1)c1ccccc1Cl ZINC001252864893 1061749413 /nfs/dbraw/zinc/74/94/13/1061749413.db2.gz RTHPYOJBNKNUPE-DLBZAZTESA-N 0 3 307.796 4.253 20 0 DIADHN CCC[C@H](C)[C@H](CO)NCc1cc2cccc(C)c2nc1Cl ZINC001474199520 1061751179 /nfs/dbraw/zinc/75/11/79/1061751179.db2.gz GRUNZDPSVUHBNR-LRDDRELGSA-N 0 3 320.864 4.083 20 0 DIADHN Cc1ccc(C2(NCc3ccc(N(C)C(C)C)nc3)CCC2)cc1 ZINC001474201452 1061754072 /nfs/dbraw/zinc/75/40/72/1061754072.db2.gz WEIZRNRXWNYZJG-UHFFFAOYSA-N 0 3 323.484 4.404 20 0 DIADHN CC[C@@H](COCC1CC1)NCc1cc(Cl)ccc1Cl ZINC001474207613 1061764141 /nfs/dbraw/zinc/76/41/41/1061764141.db2.gz ZANUDDKXFFXUBB-AWEZNQCLSA-N 0 3 302.245 4.288 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@H]1C(C)(C)C1(F)F ZINC001474220010 1061777785 /nfs/dbraw/zinc/77/77/85/1061777785.db2.gz UTDJFAVRCZMXFQ-ZDUSSCGKSA-N 0 3 303.780 4.120 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CNCc1ccc(Cl)cc1Cl ZINC001474220793 1061781321 /nfs/dbraw/zinc/78/13/21/1061781321.db2.gz QKRWCEZQRHPKGS-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2CCCc3c(C)cccc32)c1 ZINC001474220638 1061781889 /nfs/dbraw/zinc/78/18/89/1061781889.db2.gz MMEOUZSAOKLDAS-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN CCc1ccc(-c2nnc(-c3ccc(CN(C)C)cc3)o2)cc1 ZINC001253021076 1061785839 /nfs/dbraw/zinc/78/58/39/1061785839.db2.gz COVWQJGTEKMXMJ-UHFFFAOYSA-N 0 3 307.397 4.028 20 0 DIADHN COc1cc(C)c(CN[C@@H]2CCc3ccc(Cl)cc32)c(C)n1 ZINC001474225375 1061786603 /nfs/dbraw/zinc/78/66/03/1061786603.db2.gz FPSFASYZIIPSFH-QGZVFWFLSA-N 0 3 316.832 4.138 20 0 DIADHN Fc1cccc(CNCc2cn(C(F)F)c3ccccc23)c1 ZINC001474231200 1061791358 /nfs/dbraw/zinc/79/13/58/1061791358.db2.gz MQGSQRCZRDWQDP-UHFFFAOYSA-N 0 3 304.315 4.465 20 0 DIADHN Cc1ccc(CNCc2ccnc(-c3ccccc3)c2)cc1F ZINC001474228887 1061793057 /nfs/dbraw/zinc/79/30/57/1061793057.db2.gz PDDWKUNBRCAJIS-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CC(C)c1cnc(CNC[C@H]2CCN2C2CCCCCC2)s1 ZINC001474238766 1061801072 /nfs/dbraw/zinc/80/10/72/1061801072.db2.gz ICMOUGDPDHEHSH-MRXNPFEDSA-N 0 3 321.534 4.153 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cccc2c1CCC2 ZINC001474252598 1061817892 /nfs/dbraw/zinc/81/78/92/1061817892.db2.gz VIKUYOSWUWIJKZ-UHFFFAOYSA-N 0 3 307.437 4.351 20 0 DIADHN C[C@](O)(CNC1(c2ccccc2Cl)CCC1)c1ccccc1 ZINC001253122882 1061820063 /nfs/dbraw/zinc/82/00/63/1061820063.db2.gz UPOQKSXKJOMOPC-SFHVURJKSA-N 0 3 315.844 4.217 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccccc1)c1cccc(F)c1Cl ZINC001253124093 1061820598 /nfs/dbraw/zinc/82/05/98/1061820598.db2.gz GCUBQWJVEXNCHJ-SJKOYZFVSA-N 0 3 307.796 4.037 20 0 DIADHN CC1(C)CCc2onc(CNC[C@@H]3CCCC(C)(C)C3)c2C1 ZINC001474254980 1061823883 /nfs/dbraw/zinc/82/38/83/1061823883.db2.gz UKIKGKJBIJZIGD-CQSZACIVSA-N 0 3 304.478 4.496 20 0 DIADHN O[C@H]1C[C@](NCc2csc3ccccc23)(c2ccccc2)C1 ZINC001474257648 1061826578 /nfs/dbraw/zinc/82/65/78/1061826578.db2.gz QZSRYIVMWDGCNJ-UWUNEBHHSA-N 0 3 309.434 4.041 20 0 DIADHN CCOc1ccc(CNCCc2ccc(Cl)c(Cl)c2)o1 ZINC001474265326 1061832618 /nfs/dbraw/zinc/83/26/18/1061832618.db2.gz UIVWFQBTOLPCDH-UHFFFAOYSA-N 0 3 314.212 4.317 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H]1CCC2(CCC2)CO1 ZINC001474269479 1061835792 /nfs/dbraw/zinc/83/57/92/1061835792.db2.gz YPRGJTUHAOSJKY-SFHVURJKSA-N 0 3 317.473 4.304 20 0 DIADHN CC1(C)Cc2cc(CN[C@@]3(C)CCOc4ccccc43)ccc2O1 ZINC001474278752 1061847198 /nfs/dbraw/zinc/84/71/98/1061847198.db2.gz UPHQTEKDNPFGQF-NRFANRHFSA-N 0 3 323.436 4.188 20 0 DIADHN Cc1c(Cl)ccc(CNCC2(C3(O)CCC3)CC2)c1Cl ZINC001474283976 1061852837 /nfs/dbraw/zinc/85/28/37/1061852837.db2.gz HYZIFORGTJZCHC-UHFFFAOYSA-N 0 3 314.256 4.087 20 0 DIADHN C[C@@H](NCc1c[nH]nc1-c1ccsc1)c1ccc(F)cc1 ZINC001474287398 1061855535 /nfs/dbraw/zinc/85/55/35/1061855535.db2.gz CUTBTCYBIUYGEI-LLVKDONJSA-N 0 3 301.390 4.128 20 0 DIADHN c1cc2cccc(CNCc3ccc(-c4cnco4)cc3)c2o1 ZINC001474289930 1061858567 /nfs/dbraw/zinc/85/85/67/1061858567.db2.gz QNPHLVOUDKTXSZ-UHFFFAOYSA-N 0 3 304.349 4.378 20 0 DIADHN CC1(C)C[C@H](NCc2cc(Cl)ccc2OC2CCCC2)CO1 ZINC001474290625 1061858984 /nfs/dbraw/zinc/85/89/84/1061858984.db2.gz QMTCFFPAKNPXMD-HNNXBMFYSA-N 0 3 323.864 4.319 20 0 DIADHN CCNc1ccccc1CNCc1ccc(-c2cnco2)cc1 ZINC001474290258 1061859918 /nfs/dbraw/zinc/85/99/18/1061859918.db2.gz VLSUZLJSIVOXNO-UHFFFAOYSA-N 0 3 307.397 4.063 20 0 DIADHN CC(C)(C)c1csc(CNC[C@H]2C[C@@H]2Cc2ccccc2)n1 ZINC001474299666 1061867820 /nfs/dbraw/zinc/86/78/20/1061867820.db2.gz IUOZZEIGJSPZKS-JKSUJKDBSA-N 0 3 314.498 4.409 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@@H]1C[C@H]1Cc1ccccc1 ZINC001474300407 1061870472 /nfs/dbraw/zinc/87/04/72/1061870472.db2.gz XFEQDIPULWBEMG-MOPGFXCFSA-N 0 3 318.420 4.310 20 0 DIADHN Cc1cccc(C)c1CN[C@@H]1C[C@]2(CCOC2)Oc2ccccc21 ZINC001474305657 1061872585 /nfs/dbraw/zinc/87/25/85/1061872585.db2.gz SPLOJRPXWUFHIB-CTNGQTDRSA-N 0 3 323.436 4.076 20 0 DIADHN C[C@H]1CC[C@H](C)N(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001474308907 1061876187 /nfs/dbraw/zinc/87/61/87/1061876187.db2.gz JKGQSZTXLGBXRZ-KBPBESRZSA-N 0 3 302.443 4.446 20 0 DIADHN Cc1sc(CN2CCC[C@@H](C)[C@H]2C)cc1Br ZINC001474309162 1061878604 /nfs/dbraw/zinc/87/86/04/1061878604.db2.gz DFBKAFPVCSWYQQ-NXEZZACHSA-N 0 3 302.281 4.439 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@]3(CC=CCC3)C2)cc1F ZINC001474322109 1061901074 /nfs/dbraw/zinc/90/10/74/1061901074.db2.gz WVBUEYLXALYDRR-LJQANCHMSA-N 0 3 319.420 4.165 20 0 DIADHN Cc1nn2c(CN3CCC[C@H](C(C)(C)C)CC3)c(C)nc2s1 ZINC001474322094 1061904095 /nfs/dbraw/zinc/90/40/95/1061904095.db2.gz WQWYDQOHPGTYJH-AWEZNQCLSA-N 0 3 320.506 4.056 20 0 DIADHN CCCn1nccc1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC001474323570 1061905997 /nfs/dbraw/zinc/90/59/97/1061905997.db2.gz FJHCDRYDTHDXCI-CQSZACIVSA-N 0 3 305.853 4.010 20 0 DIADHN CCCn1nccc1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC001474323569 1061906817 /nfs/dbraw/zinc/90/68/17/1061906817.db2.gz FJHCDRYDTHDXCI-AWEZNQCLSA-N 0 3 305.853 4.010 20 0 DIADHN CCn1nc(CN(C)[C@H](C)Cc2ccc(C)cc2)c2ccccc21 ZINC001474323782 1061908627 /nfs/dbraw/zinc/90/86/27/1061908627.db2.gz HJJUUGQCEVPLMZ-QGZVFWFLSA-N 0 3 321.468 4.428 20 0 DIADHN CCCCC[C@@H](O)CN(Cc1ccccc1)Cc1ccncc1 ZINC001253525817 1061910776 /nfs/dbraw/zinc/91/07/76/1061910776.db2.gz RQOAPGWJXDOBTN-HXUWFJFHSA-N 0 3 312.457 4.025 20 0 DIADHN Oc1cc(Cl)cc(CN2CC[C@H](Cc3ccc(F)cc3)C2)c1 ZINC001474328126 1061915697 /nfs/dbraw/zinc/91/56/97/1061915697.db2.gz LIYVERFFDLWLJS-CQSZACIVSA-N 0 3 319.807 4.249 20 0 DIADHN CC[C@@H]1CCN(Cc2nc(-c3cccc(OC)c3)cs2)C1 ZINC001474330973 1061918744 /nfs/dbraw/zinc/91/87/44/1061918744.db2.gz LHRUKXWQTQLDSR-CYBMUJFWSA-N 0 3 302.443 4.051 20 0 DIADHN CCCCC[C@@H](O)CNCc1cc(-c2cccc(Cl)c2)no1 ZINC001253557688 1061926388 /nfs/dbraw/zinc/92/63/88/1061926388.db2.gz OEOKDLWZXIBPON-OAHLLOKOSA-N 0 3 322.836 4.026 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@H](c2cccc(OC)c2)C1 ZINC001474334992 1061931495 /nfs/dbraw/zinc/93/14/95/1061931495.db2.gz GVLRVNHMZFDGOM-POAQFYNOSA-N 0 3 317.473 4.285 20 0 DIADHN CCCC1CCC(N(Cc2cn(CCC)nn2)C(C)C)CC1 ZINC001474339904 1061934255 /nfs/dbraw/zinc/93/42/55/1061934255.db2.gz DTSLWPNGLWXUNQ-UHFFFAOYSA-N 0 3 306.498 4.257 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2ccnn2CC2CCC2)CC1 ZINC001474337261 1061936818 /nfs/dbraw/zinc/93/68/18/1061936818.db2.gz WWQCAEVNVLLITK-UHFFFAOYSA-N 0 3 323.484 4.237 20 0 DIADHN COC(=O)c1cnc([C@@H](C)N(CC2CC2)C2CCCCC2)s1 ZINC001474342810 1061938815 /nfs/dbraw/zinc/93/88/15/1061938815.db2.gz DUAJESOZPAFJKW-GFCCVEGCSA-N 0 3 322.474 4.035 20 0 DIADHN CCC[C@H]1CCCN(Cc2ccc(OC(F)F)cc2F)C1 ZINC001474344112 1061948035 /nfs/dbraw/zinc/94/80/35/1061948035.db2.gz CIKQDQVVWFVENM-LBPRGKRZSA-N 0 3 301.352 4.439 20 0 DIADHN C[C@H](CN(C)Cc1ccnn1-c1ccc(F)cc1)c1ccccc1 ZINC001474347320 1061953047 /nfs/dbraw/zinc/95/30/47/1061953047.db2.gz OFZSGRIVHUNGFF-MRXNPFEDSA-N 0 3 323.415 4.247 20 0 DIADHN CC[C@@]1(C)CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C1 ZINC001474348736 1061956209 /nfs/dbraw/zinc/95/62/09/1061956209.db2.gz KLNAHWUSAUIQCI-KRWDZBQOSA-N 0 3 309.475 4.325 20 0 DIADHN CC[C@H]1CCC[C@H](CN(C)CC(=O)Nc2cccc(C)c2C)C1 ZINC001474349040 1061958601 /nfs/dbraw/zinc/95/86/01/1061958601.db2.gz SRKSMISIYUNPLI-ROUUACIJSA-N 0 3 316.489 4.390 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC001474353244 1061962241 /nfs/dbraw/zinc/96/22/41/1061962241.db2.gz LZMWAAYUJYXEKF-PBHICJAKSA-N 0 3 315.461 4.165 20 0 DIADHN CC(C)(C)[C@@H](O)CN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC001253750961 1061975045 /nfs/dbraw/zinc/97/50/45/1061975045.db2.gz GZBCMKQDQYGJOT-ZWKOTPCHSA-N 0 3 317.860 4.426 20 0 DIADHN COc1cc(F)cc(CN2CCC[C@@](C)(c3ccccc3)C2)c1 ZINC001474358498 1061977544 /nfs/dbraw/zinc/97/75/44/1061977544.db2.gz RCHFPNIIVIYVTA-HXUWFJFHSA-N 0 3 313.416 4.388 20 0 DIADHN CC(C)(C)CC[C@@H](CN1CCCCC1)NC(=O)OC(C)(C)C ZINC001474362119 1061983990 /nfs/dbraw/zinc/98/39/90/1061983990.db2.gz JTCNNNOUYMHMLO-HNNXBMFYSA-N 0 3 312.498 4.192 20 0 DIADHN Cc1cccc(CN(Cc2ccnn2CC2CC2)CC(C)C)c1 ZINC001474362565 1061989112 /nfs/dbraw/zinc/98/91/12/1061989112.db2.gz MEBHCFJICNSOOT-UHFFFAOYSA-N 0 3 311.473 4.260 20 0 DIADHN Cc1cc(CN(C)C[C@H]2CCCCO2)ccc1C(F)(F)F ZINC001474366700 1061997117 /nfs/dbraw/zinc/99/71/17/1061997117.db2.gz YNUGDEICXIBXNE-CQSZACIVSA-N 0 3 301.352 4.015 20 0 DIADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1ccc(Cl)c(F)c1 ZINC001253900805 1061998594 /nfs/dbraw/zinc/99/85/94/1061998594.db2.gz LIMUQEHYOUSAIX-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN C[C@H](NCc1ccc2nc[nH]c2c1)c1ccc(Cl)c(F)c1 ZINC001253900805 1061998612 /nfs/dbraw/zinc/99/86/12/1061998612.db2.gz LIMUQEHYOUSAIX-JTQLQIEISA-N 0 3 303.768 4.206 20 0 DIADHN COc1cc(F)cc(CN2CCC(n3c(C)ccc3C)CC2)c1 ZINC001474369593 1062000970 /nfs/dbraw/zinc/00/09/70/1062000970.db2.gz JRMKDPRWFNDEJS-UHFFFAOYSA-N 0 3 316.420 4.090 20 0 DIADHN C[C@@H](CN1CCN(C)c2ccccc2C1)c1cc(F)cc(F)c1 ZINC001474371471 1062007123 /nfs/dbraw/zinc/00/71/23/1062007123.db2.gz HYBDXICGFNTDCW-AWEZNQCLSA-N 0 3 316.395 4.020 20 0 DIADHN CCc1cccc(F)c1CN1CCCO[C@@H](c2ccccc2)C1 ZINC001474371265 1062010328 /nfs/dbraw/zinc/01/03/28/1062010328.db2.gz PQPPWDAYOZUXGV-HXUWFJFHSA-N 0 3 313.416 4.352 20 0 DIADHN Fc1ccc(CN2CCC[C@@H](CCCc3ccccc3)C2)cn1 ZINC001474373083 1062013412 /nfs/dbraw/zinc/01/34/12/1062013412.db2.gz XZKZKZXIWXMJEF-GOSISDBHSA-N 0 3 312.432 4.456 20 0 DIADHN Fc1ccc(CN2CCC[C@H](CCCc3ccccc3)C2)cn1 ZINC001474373084 1062014145 /nfs/dbraw/zinc/01/41/45/1062014145.db2.gz XZKZKZXIWXMJEF-SFHVURJKSA-N 0 3 312.432 4.456 20 0 DIADHN CCCOc1ccc(CN2CCN(C)c3ccccc3C2)cc1C ZINC001474372955 1062016702 /nfs/dbraw/zinc/01/67/02/1062016702.db2.gz TXIHPCVFJJQRBJ-UHFFFAOYSA-N 0 3 324.468 4.236 20 0 DIADHN CC(C)N(Cc1nc2ccccc2c(=O)[nH]1)CC1(C)CCCC1 ZINC001474377630 1062017549 /nfs/dbraw/zinc/01/75/49/1062017549.db2.gz NXRNUJWXAFKVMI-UHFFFAOYSA-N 0 3 313.445 4.126 20 0 DIADHN Cc1ccc(CN(CCCO)[C@@H]2CCc3ccccc32)c(F)c1 ZINC001474375704 1062020975 /nfs/dbraw/zinc/02/09/75/1062020975.db2.gz WGRCJKSOGSQTSE-HXUWFJFHSA-N 0 3 313.416 4.006 20 0 DIADHN Cc1ccc(CN(CCCO)[C@H]2CCc3ccccc32)c(F)c1 ZINC001474375703 1062022222 /nfs/dbraw/zinc/02/22/22/1062022222.db2.gz WGRCJKSOGSQTSE-FQEVSTJZSA-N 0 3 313.416 4.006 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc3c(c1)CCC3)CCC2 ZINC001254105404 1062025313 /nfs/dbraw/zinc/02/53/13/1062025313.db2.gz WXUUARDFHIUCIV-MRXNPFEDSA-N 0 3 312.482 4.361 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC001474383633 1062030051 /nfs/dbraw/zinc/03/00/51/1062030051.db2.gz VGNFTXVXIBEMKB-YJLNNSPDSA-N 0 3 303.494 4.481 20 0 DIADHN CN(CC(=O)NC(C)(C)CC1CCCCCC1)CC(C)(C)C ZINC001466940138 1062031192 /nfs/dbraw/zinc/03/11/92/1062031192.db2.gz RGEUUDOLGUDYBC-UHFFFAOYSA-N 0 3 310.526 4.220 20 0 DIADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)C[C@H](c2ccccc2)O1 ZINC001474382343 1062031073 /nfs/dbraw/zinc/03/10/73/1062031073.db2.gz IJWRKIJFGDSTNT-MBPVOVBZSA-N 0 3 307.437 4.252 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2nc3c(s2)CCCC3)C1 ZINC001474385004 1062035716 /nfs/dbraw/zinc/03/57/16/1062035716.db2.gz XBMVGVYFCLVCDU-OAHLLOKOSA-N 0 3 312.482 4.320 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2nc3c(s2)CCCC3)C1 ZINC001474385003 1062035876 /nfs/dbraw/zinc/03/58/76/1062035876.db2.gz XBMVGVYFCLVCDU-HNNXBMFYSA-N 0 3 312.482 4.320 20 0 DIADHN c1nc2cc(CN3CC[C@H](COc4ccccc4)C3)ccc2s1 ZINC001474389548 1062043398 /nfs/dbraw/zinc/04/33/98/1062043398.db2.gz OWCDOKKCMOQZEB-INIZCTEOSA-N 0 3 324.449 4.197 20 0 DIADHN FC1(F)CCC(N(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001254221624 1062053235 /nfs/dbraw/zinc/05/32/35/1062053235.db2.gz UZIFHPSWUVKKIA-UHFFFAOYSA-N 0 3 317.383 4.057 20 0 DIADHN COc1cc(F)cc(CN2CCC(Cc3ccccc3)CC2)c1 ZINC001474400056 1062053280 /nfs/dbraw/zinc/05/32/80/1062053280.db2.gz AXPMGIFSBHWWQZ-UHFFFAOYSA-N 0 3 313.416 4.289 20 0 DIADHN CCCCC[C@H](CC)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC001459256279 1062056695 /nfs/dbraw/zinc/05/66/95/1062056695.db2.gz RFZPZBOTQOREGZ-AOIWGVFYSA-N 0 3 318.505 4.401 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001459254408 1062057212 /nfs/dbraw/zinc/05/72/12/1062057212.db2.gz HFZYCGLVZWRUEK-RYRKJORJSA-N 0 3 318.505 4.256 20 0 DIADHN CCCC[C@H](C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C)C(C)C ZINC001459255447 1062057974 /nfs/dbraw/zinc/05/79/74/1062057974.db2.gz MVUHIBYDRBFXIR-NEWSRXKRSA-N 0 3 318.505 4.256 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1(c3ccccc3OC)CCC1)CC2 ZINC001254231902 1062059474 /nfs/dbraw/zinc/05/94/74/1062059474.db2.gz YQWIPWQEFPXRTG-IBGZPJMESA-N 0 3 323.436 4.360 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC001474405160 1062062948 /nfs/dbraw/zinc/06/29/48/1062062948.db2.gz ZHUQICNLXMELGY-HOTGVXAUSA-N 0 3 307.478 4.114 20 0 DIADHN COc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c(Cl)cc1O ZINC001474405281 1062064991 /nfs/dbraw/zinc/06/49/91/1062064991.db2.gz RSYBDZCGCAZAMB-CHWSQXEVSA-N 0 3 309.837 4.066 20 0 DIADHN COC(=O)c1cc(CN(C)C[C@H](C)CC2CCCCC2)oc1C ZINC001474407523 1062067900 /nfs/dbraw/zinc/06/79/00/1062067900.db2.gz AHAGDVMGAGOFAJ-CQSZACIVSA-N 0 3 321.461 4.413 20 0 DIADHN CC/C=C\CCN(Cc1ccccc1OC)C[C@@H]1CCCO1 ZINC001459306720 1062075841 /nfs/dbraw/zinc/07/58/41/1062075841.db2.gz LBRLEVYUDRIQQS-XDXAGZTOSA-N 0 3 303.446 4.033 20 0 DIADHN CCC(CC)CN(CC)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001459307268 1062076277 /nfs/dbraw/zinc/07/62/77/1062076277.db2.gz NJBUUQGUUHSHSV-UHFFFAOYSA-N 0 3 321.415 4.409 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001459318329 1062087180 /nfs/dbraw/zinc/08/71/80/1062087180.db2.gz PVJHCCYLTJOHPO-IDCJVQTKSA-N 0 3 305.849 4.435 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001459318328 1062087508 /nfs/dbraw/zinc/08/75/08/1062087508.db2.gz PVJHCCYLTJOHPO-DFEHZGFQSA-N 0 3 305.849 4.435 20 0 DIADHN Cc1n[nH]c(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)c1C ZINC001474419264 1062091706 /nfs/dbraw/zinc/09/17/06/1062091706.db2.gz YWYSKTVVTMEHEA-CQSZACIVSA-N 0 3 323.362 4.035 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)C[C@@H](O)Cc1ccc(F)cc1 ZINC001459328443 1062093298 /nfs/dbraw/zinc/09/32/98/1062093298.db2.gz DSKALUPVHKZRLI-BBRMVZONSA-N 0 3 321.823 4.076 20 0 DIADHN FC(F)(F)[C@@H]1C[C@@H](C(F)(F)F)CN(CCCC2CC2)C1 ZINC001459344621 1062100497 /nfs/dbraw/zinc/10/04/97/1062100497.db2.gz GFGGEWLANSVSPK-GHMZBOCLSA-N 0 3 303.290 4.239 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2ccc(F)c(F)c2)cc1 ZINC001459347018 1062105244 /nfs/dbraw/zinc/10/52/44/1062105244.db2.gz MTUMRELTVVPORC-MRXNPFEDSA-N 0 3 305.343 4.311 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1cc(C)cc(C)n1 ZINC001459356429 1062106411 /nfs/dbraw/zinc/10/64/11/1062106411.db2.gz WFZVSPFSFCBJPP-UHFFFAOYSA-N 0 3 312.457 4.127 20 0 DIADHN Cc1ccc(CCCN(Cc2ccco2)C[C@@H]2CCCO2)cc1 ZINC001459354079 1062107611 /nfs/dbraw/zinc/10/76/11/1062107611.db2.gz IMKJQKZXBOQXAY-FQEVSTJZSA-N 0 3 313.441 4.202 20 0 DIADHN C[C@]1(NCc2nccc3c2CCCC3)CCCc2ccccc21 ZINC001474432880 1062108725 /nfs/dbraw/zinc/10/87/25/1062108725.db2.gz NDTWUSCWXVPACY-NRFANRHFSA-N 0 3 306.453 4.302 20 0 DIADHN NCc1cc(-c2ccccc2)nn1C1CCc2ccccc2CC1 ZINC001254450288 1062110773 /nfs/dbraw/zinc/11/07/73/1062110773.db2.gz MEVHOKANECVSOW-UHFFFAOYSA-N 0 3 317.436 4.129 20 0 DIADHN CN(CCc1ccccc1)Cc1cc(Br)ccc1F ZINC001459411731 1062134689 /nfs/dbraw/zinc/13/46/89/1062134689.db2.gz DOHWSJUHZLVGHH-UHFFFAOYSA-N 0 3 322.221 4.263 20 0 DIADHN CNc1ccc(C)cc1CN1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC001474436744 1062114226 /nfs/dbraw/zinc/11/42/26/1062114226.db2.gz MYHNHVBUPYGRAV-OCCSQVGLSA-N 0 3 300.368 4.200 20 0 DIADHN Cc1nnc(CN[C@H](C)[C@H](C)c2ccc(CC(C)C)cc2)s1 ZINC001474436856 1062114462 /nfs/dbraw/zinc/11/44/62/1062114462.db2.gz ADWJQXRPFYCVKS-UONOGXRCSA-N 0 3 317.502 4.327 20 0 DIADHN CNc1ccc(C)cc1CN1C[C@H](C(F)(F)F)CC[C@H]1C ZINC001474436745 1062114986 /nfs/dbraw/zinc/11/49/86/1062114986.db2.gz MYHNHVBUPYGRAV-TZMCWYRMSA-N 0 3 300.368 4.200 20 0 DIADHN CNc1ccc(C)cc1CN1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC001474436742 1062115135 /nfs/dbraw/zinc/11/51/35/1062115135.db2.gz MYHNHVBUPYGRAV-GXTWGEPZSA-N 0 3 300.368 4.200 20 0 DIADHN CCNc1ccccc1CN1CCC(c2ncc(C)s2)CC1 ZINC001474441853 1062118481 /nfs/dbraw/zinc/11/84/81/1062118481.db2.gz DFFDNBHVHBMJKO-UHFFFAOYSA-N 0 3 315.486 4.263 20 0 DIADHN COCCN(Cc1cc(F)ccc1C)[C@H](C)c1ccccc1 ZINC001459379275 1062121142 /nfs/dbraw/zinc/12/11/42/1062121142.db2.gz OHWSVFLSORCWHH-MRXNPFEDSA-N 0 3 301.405 4.344 20 0 DIADHN CCC[C@H](CCc1ccccc1)Nc1cccc(F)c1CN ZINC001254483552 1062124734 /nfs/dbraw/zinc/12/47/34/1062124734.db2.gz RGWBVRILQVJNIB-MRXNPFEDSA-N 0 3 300.421 4.498 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCC(=O)c1ccccc1F ZINC001254484781 1062124942 /nfs/dbraw/zinc/12/49/42/1062124942.db2.gz AMDYIQOZNJKGGJ-QGZVFWFLSA-N 0 3 313.416 4.400 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@H]1C(=O)Nc2cccc(C)c21 ZINC001254483845 1062125229 /nfs/dbraw/zinc/12/52/29/1062125229.db2.gz WVKQDPJJUDWMQJ-FXAWDEMLSA-N 0 3 322.452 4.379 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N[C@@H]1c2cc(C)ccc2NC1=O ZINC001254482614 1062125639 /nfs/dbraw/zinc/12/56/39/1062125639.db2.gz LHPDTXSXOZBROL-FXAWDEMLSA-N 0 3 322.452 4.379 20 0 DIADHN CCC[C@H](CCc1ccccc1)n1nc(CN)c2ccccc21 ZINC001254486259 1062129241 /nfs/dbraw/zinc/12/92/41/1062129241.db2.gz XKXVBHCFTQBNNN-QGZVFWFLSA-N 0 3 307.441 4.469 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001459411192 1062134760 /nfs/dbraw/zinc/13/47/60/1062134760.db2.gz BYHHSBHSQBVIND-HKUYNNGSSA-N 0 3 305.437 4.385 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001459411219 1062135859 /nfs/dbraw/zinc/13/58/59/1062135859.db2.gz BYHHSBHSQBVIND-PKOBYXMFSA-N 0 3 305.437 4.385 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)CC(C)(C)C ZINC001459415505 1062136004 /nfs/dbraw/zinc/13/60/04/1062136004.db2.gz HMTVCMIFBXOJJW-UHFFFAOYSA-N 0 3 303.402 4.087 20 0 DIADHN CC[C@@H]1CCN(Cc2sc(N3CCCC3)nc2Cl)[C@H]1C ZINC001474459456 1062137166 /nfs/dbraw/zinc/13/71/66/1062137166.db2.gz CGUWBODGGAAYLN-NWDGAFQWSA-N 0 3 313.898 4.017 20 0 DIADHN CC[C@@H](CN(CC)Cc1cnc(OC)s1)c1ccccc1 ZINC001474459529 1062137974 /nfs/dbraw/zinc/13/79/74/1062137974.db2.gz FRMMRRGPMPYOQI-AWEZNQCLSA-N 0 3 304.459 4.167 20 0 DIADHN CCCC[C@H](C)[C@H](C)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001474461354 1062139845 /nfs/dbraw/zinc/13/98/45/1062139845.db2.gz OQQDEPOZFHASEJ-ROUUACIJSA-N 0 3 317.521 4.455 20 0 DIADHN CCN1CCN(c2cccc(N[C@H](C)C3CCCCC3)c2)CC1 ZINC001474461317 1062140327 /nfs/dbraw/zinc/14/03/27/1062140327.db2.gz NXVNJMVGDIUMSM-QGZVFWFLSA-N 0 3 315.505 4.209 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1C[C@H](C)N(C)C[C@H]1C ZINC001474463649 1062143117 /nfs/dbraw/zinc/14/31/17/1062143117.db2.gz TZMFCJUYJPKKOT-NWDGAFQWSA-N 0 3 322.905 4.388 20 0 DIADHN CCC[C@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)OC ZINC001474479410 1062157957 /nfs/dbraw/zinc/15/79/57/1062157957.db2.gz IQCDPVVYNCTJCB-HIFRSBDPSA-N 0 3 303.368 4.393 20 0 DIADHN CC(C)[C@H]1CCCCN1CC(=O)Nc1cccc2ccccc21 ZINC001459492493 1062168968 /nfs/dbraw/zinc/16/89/68/1062168968.db2.gz RVFIZTSGXRVGBX-LJQANCHMSA-N 0 3 310.441 4.289 20 0 DIADHN CCCC[C@H](COC)NCc1ccc(-c2ccco2)cc1F ZINC001474493114 1062173345 /nfs/dbraw/zinc/17/33/45/1062173345.db2.gz GKLOPZQLSRPWEN-MRXNPFEDSA-N 0 3 305.393 4.381 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)N[C@@H](C)CC(C)(C)C)n2)c1 ZINC001474496618 1062175856 /nfs/dbraw/zinc/17/58/56/1062175856.db2.gz JODJALLHESPLIF-QWHCGFSZSA-N 0 3 317.433 4.221 20 0 DIADHN CCc1ccc(CN2CCN(CCCC3CCCC3)CC2)s1 ZINC001459514722 1062179338 /nfs/dbraw/zinc/17/93/38/1062179338.db2.gz KMIBMYHNKKWGBQ-UHFFFAOYSA-N 0 3 320.546 4.399 20 0 DIADHN Cc1ccc(CNCCc2nc3ccccc3s2)c(F)c1 ZINC001474505950 1062189204 /nfs/dbraw/zinc/18/92/04/1062189204.db2.gz HTFPESGMTVGDDW-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1ccn(-c2ccccc2F)n1 ZINC001459544233 1062196093 /nfs/dbraw/zinc/19/60/93/1062196093.db2.gz YAXIHNZVEKYDBT-QAPCUYQASA-N 0 3 315.436 4.412 20 0 DIADHN Cc1ccc([C@H]2CCCCN2Cc2cc(=O)[nH]c(C3CC3)n2)cc1 ZINC001459540048 1062196453 /nfs/dbraw/zinc/19/64/53/1062196453.db2.gz HZXZEPWMXXZGFA-GOSISDBHSA-N 0 3 323.440 4.095 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1C ZINC001459543730 1062196923 /nfs/dbraw/zinc/19/69/23/1062196923.db2.gz VRVMHSONEVWRET-DYVFJYSZSA-N 0 3 322.880 4.488 20 0 DIADHN COc1nc(CN2C[C@@H](C)CC[C@H]2c2ccccc2)ccc1C ZINC001474514634 1062198504 /nfs/dbraw/zinc/19/85/04/1062198504.db2.gz BNRSGMQAGFXSSR-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CCC2(CCCC2)C1 ZINC001459551365 1062202635 /nfs/dbraw/zinc/20/26/35/1062202635.db2.gz QGFORUDAVYWNMD-INIZCTEOSA-N 0 3 322.452 4.433 20 0 DIADHN COc1cccc(OCCN2CC[C@@H](C)C[C@H]2c2ccco2)c1 ZINC001459564338 1062211442 /nfs/dbraw/zinc/21/14/42/1062211442.db2.gz OBZAHGICUZARJL-QAPCUYQASA-N 0 3 315.413 4.140 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001474536492 1062222262 /nfs/dbraw/zinc/22/22/62/1062222262.db2.gz BAZZNDHFWZXPDM-DCKQQPRJSA-N 0 3 319.807 4.158 20 0 DIADHN Brc1ccc(CN2CCC3(CCCC3)CC2)cn1 ZINC001474540272 1062230585 /nfs/dbraw/zinc/23/05/85/1062230585.db2.gz DECMJWWEBANHQB-UHFFFAOYSA-N 0 3 309.251 4.000 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCS[C@H]2CCCC[C@H]21 ZINC001459601321 1062235115 /nfs/dbraw/zinc/23/51/15/1062235115.db2.gz DPZULSKCQJCSEE-SJORKVTESA-N 0 3 314.454 4.202 20 0 DIADHN C[C@@H]1CCCN(C[C@@H](O)c2ccc(Cl)cc2Cl)[C@@H]1C ZINC001459611993 1062241657 /nfs/dbraw/zinc/24/16/57/1062241657.db2.gz FHWCJFCQICCCCL-UEKVPHQBSA-N 0 3 302.245 4.147 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3ccnn3CC(C)C)C2)cc1 ZINC001474548639 1062242440 /nfs/dbraw/zinc/24/24/40/1062242440.db2.gz DMPXLMXTSXEBLK-LJQANCHMSA-N 0 3 311.473 4.091 20 0 DIADHN Cc1ccc(N2CCN(CCSC(C)(C)C)[C@H](C)C2)cc1C ZINC001459610930 1062242858 /nfs/dbraw/zinc/24/28/58/1062242858.db2.gz QWJNOSXAQWVSPC-QGZVFWFLSA-N 0 3 320.546 4.346 20 0 DIADHN CCSc1ccccc1C[C@H](C)NCc1oc(CC)nc1C ZINC001474547211 1062244430 /nfs/dbraw/zinc/24/44/30/1062244430.db2.gz AYRZYDIIYSNOGP-ZDUSSCGKSA-N 0 3 318.486 4.378 20 0 DIADHN CC1(C)CC[C@@H](NCc2nc3ccc(Br)cc3o2)C1 ZINC001474547676 1062244635 /nfs/dbraw/zinc/24/46/35/1062244635.db2.gz HKIDQTSXROBPCG-LLVKDONJSA-N 0 3 323.234 4.259 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CN1CC2(CCC2)[C@@H]1C(C)C ZINC001474550587 1062245563 /nfs/dbraw/zinc/24/55/63/1062245563.db2.gz KTHLQPCIEVWDCF-FQEVSTJZSA-N 0 3 323.484 4.500 20 0 DIADHN Cc1cc(CN2Cc3ccccc3OC(C)(C)C2)cc(C)c1O ZINC001474558807 1062260048 /nfs/dbraw/zinc/26/00/48/1062260048.db2.gz UDVUNZXTINAQBI-UHFFFAOYSA-N 0 3 311.425 4.182 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CC[C@@H](c2ccccc2)[C@@H](CC)C1 ZINC001459625279 1062264068 /nfs/dbraw/zinc/26/40/68/1062264068.db2.gz HCIRKRXVULBBML-QXAKKESOSA-N 0 3 317.473 4.234 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2snnc2C)CC[C@H]1c1ccccc1 ZINC001459629677 1062268195 /nfs/dbraw/zinc/26/81/95/1062268195.db2.gz SFTYUKHUHLRVFG-ZMSDIMECSA-N 0 3 315.486 4.423 20 0 DIADHN CC[C@@H]1CN([C@H](C)c2snnc2C)CC[C@@H]1c1ccccc1 ZINC001459629673 1062268697 /nfs/dbraw/zinc/26/86/97/1062268697.db2.gz SFTYUKHUHLRVFG-INMHGKMJSA-N 0 3 315.486 4.423 20 0 DIADHN C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1nc2ccccc2n1C ZINC001459634049 1062270654 /nfs/dbraw/zinc/27/06/54/1062270654.db2.gz PACRKMJMLRUZBE-FUHWJXTLSA-N 0 3 319.452 4.026 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2ccccc2n1C ZINC001459634048 1062273210 /nfs/dbraw/zinc/27/32/10/1062273210.db2.gz PACRKMJMLRUZBE-AEFFLSMTSA-N 0 3 319.452 4.026 20 0 DIADHN CN(C[C@@H]1CCCC(C)(C)C1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001474571059 1062279887 /nfs/dbraw/zinc/27/98/87/1062279887.db2.gz JOPOTAOIMGCTPT-WBVHZDCISA-N 0 3 312.461 4.042 20 0 DIADHN CN(C[C@@H]1CCCC(C)(C)C1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001474570857 1062280190 /nfs/dbraw/zinc/28/01/90/1062280190.db2.gz JOPOTAOIMGCTPT-NVXWUHKLSA-N 0 3 312.461 4.042 20 0 DIADHN C[C@H](NC1C[C@H]2CC(=O)C[C@@H]2C1)c1c(Cl)cccc1Cl ZINC001254937477 1062284839 /nfs/dbraw/zinc/28/48/39/1062284839.db2.gz OEEVWYGHCIDSSP-DCAQKATOSA-N 0 3 312.240 4.402 20 0 DIADHN COc1cc(C)nc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)c1 ZINC001459663091 1062285825 /nfs/dbraw/zinc/28/58/25/1062285825.db2.gz QMYAGHRTDHWCJD-FOIQADDNSA-N 0 3 310.441 4.024 20 0 DIADHN CCc1ccccc1CCN1CCOC2(C1)CCCCCC2 ZINC001459672657 1062291557 /nfs/dbraw/zinc/29/15/57/1062291557.db2.gz RUAGSBVDNBHOOM-UHFFFAOYSA-N 0 3 301.474 4.217 20 0 DIADHN CC[C@@](O)(CN[C@@H](c1ccc(F)cc1)C(C)(C)C)C(F)(F)F ZINC001459678377 1062298570 /nfs/dbraw/zinc/29/85/70/1062298570.db2.gz VHSAHAZPOCBVIK-DZGCQCFKSA-N 0 3 321.358 4.206 20 0 DIADHN Cc1cc(C)nc(CN[C@H]2C[C@@H](OCc3ccccc3)C2(C)C)c1 ZINC000723664420 1062303699 /nfs/dbraw/zinc/30/36/99/1062303699.db2.gz HUJHTLKIANNSSK-VQTJNVASSA-N 0 3 324.468 4.172 20 0 DIADHN C[C@H](N[C@H]1C[C@@]1(F)c1ccccc1)[C@@H]1C[C@H]1c1cccs1 ZINC001474591225 1062304022 /nfs/dbraw/zinc/30/40/22/1062304022.db2.gz BALSHWSQRQLEFX-KOVXWOGCSA-N 0 3 301.430 4.467 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2cccc(F)c2)cnc1F ZINC001474593800 1062310266 /nfs/dbraw/zinc/31/02/66/1062310266.db2.gz KUSYHEPHQVNLDY-IBGZPJMESA-N 0 3 316.395 4.265 20 0 DIADHN Fc1ccc(/C=C/CN2CCC[C@@H](OC3CCC3)C2)cc1F ZINC001474604339 1062317348 /nfs/dbraw/zinc/31/73/48/1062317348.db2.gz BMLIEIUQRCPTKY-WPTTZPOMSA-N 0 3 307.384 4.012 20 0 DIADHN O=C(C[C@H]1CCCN1CCc1cccc(F)c1)c1cccs1 ZINC001459705462 1062319592 /nfs/dbraw/zinc/31/95/92/1062319592.db2.gz RABUCVGFWJESSQ-MRXNPFEDSA-N 0 3 317.429 4.167 20 0 DIADHN CC(C)CC[C@@H]1CCCCN1Cc1nc(N)c2ccccc2n1 ZINC001459715088 1062329897 /nfs/dbraw/zinc/32/98/97/1062329897.db2.gz GQBIUZYJSLVQRC-HNNXBMFYSA-N 0 3 312.461 4.003 20 0 DIADHN Cc1cc(CN2C[C@@H](C)OC3(CCCC3)C2)cc2cccnc12 ZINC001474613754 1062329931 /nfs/dbraw/zinc/32/99/31/1062329931.db2.gz JBYKWPJIZMAJCP-MRXNPFEDSA-N 0 3 310.441 4.077 20 0 DIADHN C[C@H](c1nccc2ccccc21)N1C[C@H](C)OC2(CCCC2)C1 ZINC001474613574 1062330430 /nfs/dbraw/zinc/33/04/30/1062330430.db2.gz GVPDSJNPNQZDHN-JKSUJKDBSA-N 0 3 310.441 4.329 20 0 DIADHN CC(C)(C)c1cccc(CN2CCc3ccccc3[C@@H]2CO)c1 ZINC001459721690 1062333051 /nfs/dbraw/zinc/33/30/51/1062333051.db2.gz VVLZUWRNMQCSEX-FQEVSTJZSA-N 0 3 309.453 4.076 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2C[C@H]3CCCC[C@H]32)cn1 ZINC001474615378 1062334085 /nfs/dbraw/zinc/33/40/85/1062334085.db2.gz WTFTWZKGEBRYEP-IEBWSBKVSA-N 0 3 307.441 4.224 20 0 DIADHN C[C@@H](CSc1ccccc1)N(C)Cc1cccn1C1CC1 ZINC001474616213 1062335256 /nfs/dbraw/zinc/33/52/56/1062335256.db2.gz MGXNTXCKJWZLLX-HNNXBMFYSA-N 0 3 300.471 4.436 20 0 DIADHN CCc1ccc([C@@H](NCC(=O)Nc2cccc(C)c2)C(C)C)cc1 ZINC001459728821 1062339437 /nfs/dbraw/zinc/33/94/37/1062339437.db2.gz FUKZALSGRVAQLW-NRFANRHFSA-N 0 3 324.468 4.483 20 0 DIADHN CC1(c2ccccc2)CN(Cc2ccccc2N2CCCCC2)C1 ZINC001474638011 1062359511 /nfs/dbraw/zinc/35/95/11/1062359511.db2.gz AGKBNVAKEGXOCY-UHFFFAOYSA-N 0 3 320.480 4.450 20 0 DIADHN CCC(CC)CCCN(C)Cc1[nH]cc(C(=O)OC)c1C1CC1 ZINC001474635300 1062361485 /nfs/dbraw/zinc/36/14/85/1062361485.db2.gz SUJKJSZIFVLIBP-UHFFFAOYSA-N 0 3 320.477 4.327 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN2CCC[C@H]2CCC(C)C)c1 ZINC001459756216 1062367793 /nfs/dbraw/zinc/36/77/93/1062367793.db2.gz NEYNAUYXSINQQR-SFHVURJKSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1ccc(Cl)cc1F ZINC001459760905 1062368692 /nfs/dbraw/zinc/36/86/92/1062368692.db2.gz BHIFDYDZEZJUIY-LBPRGKRZSA-N 0 3 307.796 4.248 20 0 DIADHN CC[C@@H](C)[C@H](CNC(C)(C)c1nc2ccccc2s1)OC ZINC001474645740 1062370284 /nfs/dbraw/zinc/37/02/84/1062370284.db2.gz SWJKNWQRMFZZFZ-OCCSQVGLSA-N 0 3 306.475 4.182 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N[C@H](CN(C)C)c1ccc(F)cc1 ZINC001468646984 1062370723 /nfs/dbraw/zinc/37/07/23/1062370723.db2.gz PFRJIAPLIVKYHP-CRAIPNDOSA-N 0 3 322.468 4.151 20 0 DIADHN CC[C@H](C)CCCCC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001468647294 1062371128 /nfs/dbraw/zinc/37/11/28/1062371128.db2.gz RYCWUDGOIRTOMW-YJBOKZPZSA-N 0 3 322.468 4.151 20 0 DIADHN Brc1cccc2c1CN(CC1CCCCC1)CC2 ZINC001459764584 1062375491 /nfs/dbraw/zinc/37/54/91/1062375491.db2.gz UMCXOZLIZXYOMM-UHFFFAOYSA-N 0 3 308.263 4.388 20 0 DIADHN Fc1ccccc1CN(CCSc1ccncc1)C1CCC1 ZINC001459765257 1062376301 /nfs/dbraw/zinc/37/63/01/1062376301.db2.gz YYYOJALVGILLKU-UHFFFAOYSA-N 0 3 316.445 4.368 20 0 DIADHN Brc1cccc2c1CN(CC13CCC(CC1)C3)CC2 ZINC001459762972 1062376964 /nfs/dbraw/zinc/37/69/64/1062376964.db2.gz OFBOGSFDSCTHOQ-UHFFFAOYSA-N 0 3 320.274 4.388 20 0 DIADHN Cn1cc(CN2CCCC3(CC3)CC2)c(C2CCCCC2)n1 ZINC001474651701 1062378836 /nfs/dbraw/zinc/37/88/36/1062378836.db2.gz XRKRDBQTADKUCT-UHFFFAOYSA-N 0 3 301.478 4.234 20 0 DIADHN O[C@@H]1CCN(C/C=C/c2cccc(F)c2)[C@@H](c2ccccc2)C1 ZINC001474656594 1062386795 /nfs/dbraw/zinc/38/67/95/1062386795.db2.gz LANCHOXGOGTFEW-IGLJKTCPSA-N 0 3 311.400 4.037 20 0 DIADHN O[C@@H]1CCN(C/C=C\c2ccc(F)cc2)[C@H](c2ccccc2)C1 ZINC001474656279 1062387713 /nfs/dbraw/zinc/38/77/13/1062387713.db2.gz FKJYHYZWVNEKTO-UNQUKWGNSA-N 0 3 311.400 4.037 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CC(c2ccccc2)C1 ZINC001474662033 1062393182 /nfs/dbraw/zinc/39/31/82/1062393182.db2.gz QMXAQZWAERLBCT-ATVHPVEESA-N 0 3 307.437 4.336 20 0 DIADHN CCOCCN1CCc2ccccc2[C@@H](c2ccccc2)[C@H]1C ZINC001459784883 1062396634 /nfs/dbraw/zinc/39/66/34/1062396634.db2.gz GREQKLVEXDDKSJ-DYESRHJHSA-N 0 3 309.453 4.102 20 0 DIADHN CCCC[C@H](C)NCc1csc(-c2cccc(OC)c2)n1 ZINC001255321153 1062397612 /nfs/dbraw/zinc/39/76/12/1062397612.db2.gz ZGVHGZIOLXEALO-ZDUSSCGKSA-N 0 3 304.459 4.487 20 0 DIADHN CCc1cccc(F)c1CN1CC[C@H](Oc2cccc(F)c2)C1 ZINC001474669638 1062400969 /nfs/dbraw/zinc/40/09/69/1062400969.db2.gz KMCBDPGVPORAKP-KRWDZBQOSA-N 0 3 317.379 4.181 20 0 DIADHN Fc1ccc(C2CC(NCc3noc4ccc(F)cc43)C2)cc1 ZINC001474673072 1062401460 /nfs/dbraw/zinc/40/14/60/1062401460.db2.gz SDLRYXBYZTXIQF-UHFFFAOYSA-N 0 3 314.335 4.142 20 0 DIADHN CC1(c2ccccc2)CC(NCc2noc3ccc(F)cc23)C1 ZINC001474672762 1062402537 /nfs/dbraw/zinc/40/25/37/1062402537.db2.gz JTMKRIAAAFAWQZ-UHFFFAOYSA-N 0 3 310.372 4.177 20 0 DIADHN Fc1ccc(C2CCN(Cc3ccc4c(n3)CCC4)CC2)cc1 ZINC001474670882 1062403262 /nfs/dbraw/zinc/40/32/62/1062403262.db2.gz NHEOMSOFVYTRNZ-UHFFFAOYSA-N 0 3 310.416 4.089 20 0 DIADHN C[C@@H]1[C@H](C)OCCN1CCCCc1ccc(Cl)c(Cl)c1 ZINC001459795576 1062405039 /nfs/dbraw/zinc/40/50/39/1062405039.db2.gz HSEIEPFYWRFBJC-OLZOCXBDSA-N 0 3 316.272 4.425 20 0 DIADHN CCOC(=O)[C@H]1CCC[C@@H](NC2(c3ccccc3Cl)CC2)C1 ZINC001255336620 1062408746 /nfs/dbraw/zinc/40/87/46/1062408746.db2.gz DEWGZCDSQRIPNK-UONOGXRCSA-N 0 3 321.848 4.041 20 0 DIADHN CN(Cc1ccc2cc[nH]c2c1)Cc1cnc2c(F)cccc2c1 ZINC001474680440 1062411217 /nfs/dbraw/zinc/41/12/17/1062411217.db2.gz QJNGEMZCZBPECY-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(C/C=C\c2ccc(F)cc2)CC1 ZINC001474685663 1062416941 /nfs/dbraw/zinc/41/69/41/1062416941.db2.gz XTVHPMKXSJABQC-XFHJGTJQSA-N 0 3 324.443 4.218 20 0 DIADHN Cc1cc(CN2CC[C@@](C)(C(F)(F)F)C2)c2c(n1)CCCC2 ZINC001474697391 1062426763 /nfs/dbraw/zinc/42/67/63/1062426763.db2.gz WHLMTVNJMNQHLF-MRXNPFEDSA-N 0 3 312.379 4.043 20 0 DIADHN C[C@]1(CNCc2nc3c(s2)CCCC3)C[C@@H]1c1ccccc1 ZINC001474698835 1062426952 /nfs/dbraw/zinc/42/69/52/1062426952.db2.gz ALRHOGHSKQCBNS-DNVCBOLYSA-N 0 3 312.482 4.305 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)NC[C@H]1N(C)CCCC1(C)C ZINC001459825812 1062428058 /nfs/dbraw/zinc/42/80/58/1062428058.db2.gz HIHKTBNSJOAJRO-APWZRJJASA-N 0 3 310.526 4.220 20 0 DIADHN Fc1cc(F)c(CNCCCc2ccc(F)c(F)c2)c(F)c1 ZINC001474700944 1062428830 /nfs/dbraw/zinc/42/88/30/1062428830.db2.gz ABOJLBUQAWDYLX-UHFFFAOYSA-N 0 3 315.285 4.105 20 0 DIADHN C[C@@H](CN1CCN(CC(F)(F)F)CC1(C)C)C1CCCCC1 ZINC001459837461 1062439314 /nfs/dbraw/zinc/43/93/14/1062439314.db2.gz SPJVONQOBHRMPF-AWEZNQCLSA-N 0 3 320.443 4.161 20 0 DIADHN CSc1ccc(OCCNC2(c3cccc(F)c3)CC2)cc1 ZINC001459842323 1062441857 /nfs/dbraw/zinc/44/18/57/1062441857.db2.gz HKPIOEIOTNDHDN-UHFFFAOYSA-N 0 3 317.429 4.205 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCCOCC1CCCC1 ZINC001459849246 1062446616 /nfs/dbraw/zinc/44/66/16/1062446616.db2.gz BBALJRFKUNYSGW-SFHVURJKSA-N 0 3 305.462 4.333 20 0 DIADHN Cc1ccc(N2CC[C@H](N[C@@H](C)c3cccc(C)c3C)C2=O)cc1 ZINC001459859597 1062454365 /nfs/dbraw/zinc/45/43/65/1062454365.db2.gz CYHWAIUIFNIRMC-PXNSSMCTSA-N 0 3 322.452 4.068 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc(C(F)F)c1)CC2 ZINC001255461480 1062454634 /nfs/dbraw/zinc/45/46/34/1062454634.db2.gz RHFXEBYUQCHNNE-QGZVFWFLSA-N 0 3 303.352 4.410 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC1CCC(C(C)(C)C)CC1)C(=O)N2 ZINC001255494031 1062457071 /nfs/dbraw/zinc/45/70/71/1062457071.db2.gz JRJKOJFRTZLUTB-KVULBXGLSA-N 0 3 300.446 4.183 20 0 DIADHN CCN1C[C@@H](C)N(Cc2cc(Cl)cc(Cl)c2F)C[C@H]1C ZINC001459872675 1062463058 /nfs/dbraw/zinc/46/30/58/1062463058.db2.gz GWGJNWGNEKAEGI-GHMZBOCLSA-N 0 3 319.251 4.047 20 0 DIADHN COc1ccc(F)c(F)c1CNC1CCC(C(F)(F)F)CC1 ZINC001255508360 1062467424 /nfs/dbraw/zinc/46/74/24/1062467424.db2.gz MSDSEZQHJUNCEW-UHFFFAOYSA-N 0 3 323.305 4.184 20 0 DIADHN CCCCCCN(C)CC(=O)NCC1(C)CCC(C)(C)CC1 ZINC001469047376 1062467583 /nfs/dbraw/zinc/46/75/83/1062467583.db2.gz AVGVKWYJBHBZGM-UHFFFAOYSA-N 0 3 310.526 4.221 20 0 DIADHN COC/C(C)=C\CN[C@@H](Cc1ccccc1)c1ccccc1F ZINC001459885555 1062471654 /nfs/dbraw/zinc/47/16/54/1062471654.db2.gz RRHDNVCDQIOARI-WNJRYGEDSA-N 0 3 313.416 4.292 20 0 DIADHN FC(F)(F)C1CCC(N2CCOC3(CCCCC3)C2)CC1 ZINC001255520943 1062472236 /nfs/dbraw/zinc/47/22/36/1062472236.db2.gz NWHKCGKYHHEPAS-UHFFFAOYSA-N 0 3 305.384 4.143 20 0 DIADHN CN1CCN(Cc2ccccc2C2CC2)[C@H](c2ccccc2)C1 ZINC001459896032 1062478714 /nfs/dbraw/zinc/47/87/14/1062478714.db2.gz WXDPXWNSVNCGBK-NRFANRHFSA-N 0 3 306.453 4.053 20 0 DIADHN CC1(C)C[C@@H](N2CCc3cccc(C(F)(F)F)c3C2)CCO1 ZINC001255555165 1062481094 /nfs/dbraw/zinc/48/10/94/1062481094.db2.gz XBNFHORKQKSDDA-ZDUSSCGKSA-N 0 3 313.363 4.021 20 0 DIADHN C[C@H](NC[C@@H]1CCCC2(CCC2)O1)c1nc2ccccc2s1 ZINC001459903621 1062487226 /nfs/dbraw/zinc/48/72/26/1062487226.db2.gz LQMHBYYXZGWSFJ-KBPBESRZSA-N 0 3 316.470 4.439 20 0 DIADHN C[C@@H](NC[C@H]1CCCC2(CCC2)O1)c1nc2ccccc2s1 ZINC001459903639 1062487642 /nfs/dbraw/zinc/48/76/42/1062487642.db2.gz LQMHBYYXZGWSFJ-ZIAGYGMSSA-N 0 3 316.470 4.439 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3cccc(F)n3)C2)cc1 ZINC001459906218 1062489564 /nfs/dbraw/zinc/48/95/64/1062489564.db2.gz YHKDQWAFVOQOMV-KRWDZBQOSA-N 0 3 312.432 4.409 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(Oc2ccccn2)cc1 ZINC001255640337 1062495827 /nfs/dbraw/zinc/49/58/27/1062495827.db2.gz BLHBUOAVZJHZOU-LBPRGKRZSA-N 0 3 310.319 4.304 20 0 DIADHN CCOC(=O)CCCN[C@H](c1ccc(Cl)cc1F)C(C)C ZINC001459920578 1062496358 /nfs/dbraw/zinc/49/63/58/1062496358.db2.gz GIHJWERBVJFGTN-INIZCTEOSA-N 0 3 315.816 4.109 20 0 DIADHN COC[C@H](NC[C@H](C)CSc1ccccc1C)c1ccco1 ZINC001459923107 1062496712 /nfs/dbraw/zinc/49/67/12/1062496712.db2.gz GYPKPTCZNALOBV-HOCLYGCPSA-N 0 3 319.470 4.294 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC(Oc2cccc(F)c2)CC1 ZINC001255658497 1062501522 /nfs/dbraw/zinc/50/15/22/1062501522.db2.gz QPURJVSCVIPWIM-NSHDSACASA-N 0 3 305.315 4.010 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC(OCc2ccccc2)CC1 ZINC001255658493 1062502618 /nfs/dbraw/zinc/50/26/18/1062502618.db2.gz QOFUWCYRYYDOQK-ZDUSSCGKSA-N 0 3 301.352 4.009 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001255662734 1062507270 /nfs/dbraw/zinc/50/72/70/1062507270.db2.gz RQHHMQIMWMFFMP-MLGOLLRUSA-N 0 3 315.354 4.446 20 0 DIADHN Cc1cccc([C@@H]2CCN(Cc3ccc(C)c(Cl)n3)C2)c1 ZINC001459935565 1062507692 /nfs/dbraw/zinc/50/76/92/1062507692.db2.gz WHKSOFHPLKCISD-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN C[C@]1(NCc2cc(Cl)cc(Cl)c2F)CCO[C@H]1C1CC1 ZINC001459939495 1062513752 /nfs/dbraw/zinc/51/37/52/1062513752.db2.gz XGJWRFHXQKCCAX-GJZGRUSLSA-N 0 3 318.219 4.180 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C[C@@H](C)CC)c1ccc(Cl)cc1 ZINC001459946290 1062514305 /nfs/dbraw/zinc/51/43/05/1062514305.db2.gz OGRKUSRXMXVUMP-YOEHRIQHSA-N 0 3 324.896 4.275 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C[C@H](C)CC)c1ccc(Cl)cc1 ZINC001459946277 1062515050 /nfs/dbraw/zinc/51/50/50/1062515050.db2.gz OGRKUSRXMXVUMP-PBHICJAKSA-N 0 3 324.896 4.275 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC001255689182 1062517700 /nfs/dbraw/zinc/51/77/00/1062517700.db2.gz JRKWLCMUBYBAQO-ZJUUUORDSA-N 0 3 323.305 4.184 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCCC(C)(C)C1 ZINC001459950653 1062518589 /nfs/dbraw/zinc/51/85/89/1062518589.db2.gz QCOJDVUOEDUZPC-UHFFFAOYSA-N 0 3 315.413 4.232 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001459949042 1062519473 /nfs/dbraw/zinc/51/94/73/1062519473.db2.gz CBRXSBOAZGVSGQ-YJBOKZPZSA-N 0 3 316.489 4.433 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255691648 1062520956 /nfs/dbraw/zinc/52/09/56/1062520956.db2.gz ZXXYFJUFJOWZQS-UONOGXRCSA-N 0 3 323.362 4.302 20 0 DIADHN CO[C@@H]1CN(Cc2cccc(SC(F)(F)F)c2)CC[C@H]1C ZINC001459959503 1062527081 /nfs/dbraw/zinc/52/70/81/1062527081.db2.gz DEXBMRVDKBJKLE-BXUZGUMPSA-N 0 3 319.392 4.155 20 0 DIADHN CN(Cc1cnc(Cl)s1)[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255704556 1062528511 /nfs/dbraw/zinc/52/85/11/1062528511.db2.gz FYLGDCDNRSKVRS-IUCAKERBSA-N 0 3 312.788 4.349 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)CC(=O)Nc1ccc(C)cc1Cl ZINC001459970758 1062537358 /nfs/dbraw/zinc/53/73/58/1062537358.db2.gz RDKLZFLJENCBNZ-YOEHRIQHSA-N 0 3 322.880 4.488 20 0 DIADHN CCCCCCN1CCN([C@@H]2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC001255714882 1062537584 /nfs/dbraw/zinc/53/75/84/1062537584.db2.gz UDNXSHNQYZFNCZ-HZPDHXFCSA-N 0 3 320.443 4.305 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)[C@H]1C ZINC001459981960 1062543297 /nfs/dbraw/zinc/54/32/97/1062543297.db2.gz CXCGABXPOZSOQB-LSDHHAIUSA-N 0 3 302.462 4.043 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@@H]1COc2ccccc21 ZINC001255728292 1062548851 /nfs/dbraw/zinc/54/88/51/1062548851.db2.gz QCNOXZOHLGVRBZ-BLLLJJGKSA-N 0 3 303.402 4.030 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N[C@@H]1COc2ccc(F)cc21 ZINC001255729201 1062550175 /nfs/dbraw/zinc/55/01/75/1062550175.db2.gz FXWWMLVJYHTSHE-MEDUHNTESA-N 0 3 321.392 4.169 20 0 DIADHN Cc1cc(Br)c2c(c1)CN(CC1CC=CC1)CC2 ZINC001459989951 1062550577 /nfs/dbraw/zinc/55/05/77/1062550577.db2.gz YCIYALYGRWHMAZ-UHFFFAOYSA-N 0 3 306.247 4.082 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1cccc(F)c1F ZINC001255728747 1062551454 /nfs/dbraw/zinc/55/14/54/1062551454.db2.gz ZBOGQFFKXGIGPD-NSHDSACASA-N 0 3 311.372 4.374 20 0 DIADHN COc1cc(F)ccc1CN[C@@H](C)CSc1ccc(F)cc1 ZINC001255730998 1062555006 /nfs/dbraw/zinc/55/50/06/1062555006.db2.gz YNPBETZYEDXRGQ-LBPRGKRZSA-N 0 3 323.408 4.244 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1ccncc1Cl ZINC001255735730 1062556684 /nfs/dbraw/zinc/55/66/84/1062556684.db2.gz MIHZPMHABGYATG-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN CC(C)=CCN(CCC(=O)OC(C)C)Cc1ccc(Cl)cc1 ZINC001460004751 1062559869 /nfs/dbraw/zinc/55/98/69/1062559869.db2.gz ACMJOYDTPYEKHC-UHFFFAOYSA-N 0 3 323.864 4.450 20 0 DIADHN COc1cccc(CN[C@H](C)CSc2ccc(F)cc2)c1F ZINC001255736401 1062560841 /nfs/dbraw/zinc/56/08/41/1062560841.db2.gz XWZMJBXZDQOFCT-GFCCVEGCSA-N 0 3 323.408 4.244 20 0 DIADHN Cc1cc(F)cc2c1CN(CCCN(C)c1ccccc1)CC2 ZINC001460010978 1062566718 /nfs/dbraw/zinc/56/67/18/1062566718.db2.gz MLRKQPGOORNBGK-UHFFFAOYSA-N 0 3 312.432 4.019 20 0 DIADHN Cc1cc(F)cc2c1CN(Cc1cccc3ncccc13)CC2 ZINC001460011555 1062569054 /nfs/dbraw/zinc/56/90/54/1062569054.db2.gz ROUPVJRJIBIAFK-UHFFFAOYSA-N 0 3 306.384 4.241 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cnc(Cl)s2)cc1 ZINC001255749836 1062570458 /nfs/dbraw/zinc/57/04/58/1062570458.db2.gz JRSILFSNSUIYIK-LLVKDONJSA-N 0 3 312.891 4.375 20 0 DIADHN Cc1cc(F)ccc1CN1C[C@]2(C[C@@H]3CC[C@H]2C3)OC(C)(C)C1 ZINC001460015601 1062570506 /nfs/dbraw/zinc/57/05/06/1062570506.db2.gz KAPSIQWQJYFXMH-SYNHAJSKSA-N 0 3 317.448 4.304 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cnccc2Cl)cc1 ZINC001255750955 1062573957 /nfs/dbraw/zinc/57/39/57/1062573957.db2.gz JXNNUZFJIBZBLC-ZDUSSCGKSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccc(SC[C@H](C)NC(C)(C)c2ccccn2)cc1 ZINC001255754002 1062575489 /nfs/dbraw/zinc/57/54/89/1062575489.db2.gz ARWDVJUXPGIECW-HNNXBMFYSA-N 0 3 300.471 4.396 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@@H](C(C)(C)C)C1 ZINC001460023203 1062578480 /nfs/dbraw/zinc/57/84/80/1062578480.db2.gz PTSMUBWYPOUNPS-CQSZACIVSA-N 0 3 322.880 4.345 20 0 DIADHN CC1=C(C)CN(Cc2cccc(C(=O)OC(C)(C)C)c2)CC1 ZINC001460030495 1062585024 /nfs/dbraw/zinc/58/50/24/1062585024.db2.gz FVAYVCFOXBTJKN-UHFFFAOYSA-N 0 3 301.430 4.184 20 0 DIADHN CCN(Cc1ccccn1)[C@@H](C)CSc1ccc(C)cc1 ZINC001255766463 1062587428 /nfs/dbraw/zinc/58/74/28/1062587428.db2.gz AHQDHYUHTARXHF-INIZCTEOSA-N 0 3 300.471 4.393 20 0 DIADHN Cc1ccc(SC[C@H](C)N2CCc3cc(O)ccc3C2)cc1 ZINC001255766735 1062589988 /nfs/dbraw/zinc/58/99/88/1062589988.db2.gz WDOZEDASIMKSHM-HNNXBMFYSA-N 0 3 313.466 4.240 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2cnc(Cl)cc2Cl)cc1 ZINC001255778157 1062600588 /nfs/dbraw/zinc/60/05/88/1062600588.db2.gz SPRKHVOCZWZEMB-LBPRGKRZSA-N 0 3 309.240 4.418 20 0 DIADHN CCOCCCNC1(c2c(Cl)cccc2Cl)CCC1 ZINC001460052071 1062602819 /nfs/dbraw/zinc/60/28/19/1062602819.db2.gz SPMSZQQGAXMMOJ-UHFFFAOYSA-N 0 3 302.245 4.389 20 0 DIADHN COc1cccc(OC)c1[C@H](C)N[C@H](C)Cc1ccccc1F ZINC001255835100 1062620386 /nfs/dbraw/zinc/62/03/86/1062620386.db2.gz RKSIJFNCEJJRCB-KGLIPLIRSA-N 0 3 317.404 4.125 20 0 DIADHN COc1cccc(OC)c1[C@H](C)N[C@@H](C)Cc1ccccc1F ZINC001255835099 1062620599 /nfs/dbraw/zinc/62/05/99/1062620599.db2.gz RKSIJFNCEJJRCB-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN Cc1ncsc1CCCN1CCC(CC(F)(F)F)CC1 ZINC001460085607 1062625202 /nfs/dbraw/zinc/62/52/02/1062625202.db2.gz HUIIIOVCLSPUEQ-UHFFFAOYSA-N 0 3 306.397 4.049 20 0 DIADHN C[C@H](Cc1ccccc1F)N(C)CCC(=O)c1cccs1 ZINC001255855419 1062634612 /nfs/dbraw/zinc/63/46/12/1062634612.db2.gz XVOMGIFTICEKGM-CYBMUJFWSA-N 0 3 305.418 4.023 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001255863819 1062642512 /nfs/dbraw/zinc/64/25/12/1062642512.db2.gz QKBSFGHJWDFQGY-ZBEGNZNMSA-N 0 3 321.342 4.148 20 0 DIADHN CC(C)O[C@H]1C[C@H](NCc2ccc(Cl)nc2Cl)C1(C)C ZINC001460117554 1062646079 /nfs/dbraw/zinc/64/60/79/1062646079.db2.gz BWSIYEHAVHWMRL-RYUDHWBXSA-N 0 3 317.260 4.070 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N(C)Cc1nc2ccccc2s1 ZINC001255868942 1062646984 /nfs/dbraw/zinc/64/69/84/1062646984.db2.gz VHILNTDZXVLHJQ-ZDUSSCGKSA-N 0 3 314.429 4.498 20 0 DIADHN Brc1cccc([C@@H]2CCN(CC3=CCCC3)C2)c1 ZINC001460131420 1062651078 /nfs/dbraw/zinc/65/10/78/1062651078.db2.gz QFIUMBLOOIAFMB-OAHLLOKOSA-N 0 3 306.247 4.349 20 0 DIADHN Brc1cccc([C@H]2CCN(CC3=CCCC3)C2)c1 ZINC001460131418 1062651151 /nfs/dbraw/zinc/65/11/51/1062651151.db2.gz QFIUMBLOOIAFMB-HNNXBMFYSA-N 0 3 306.247 4.349 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccccc1F)C(C)C ZINC001469907678 1062653864 /nfs/dbraw/zinc/65/38/64/1062653864.db2.gz YDUIUXLOMKUVKH-IBGZPJMESA-N 0 3 322.468 4.151 20 0 DIADHN Cc1cc(F)ccc1CN1CC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001460148803 1062666722 /nfs/dbraw/zinc/66/67/22/1062666722.db2.gz AOKZXVWHXKGSAN-GOSISDBHSA-N 0 3 319.807 4.441 20 0 DIADHN c1cncc(C2=CCCN(CCSC3CCCCC3)C2)c1 ZINC001460176566 1062681403 /nfs/dbraw/zinc/68/14/03/1062681403.db2.gz PLESMYMIVCJQQE-UHFFFAOYSA-N 0 3 302.487 4.237 20 0 DIADHN OC1(CCN[C@H](c2c(Cl)cccc2Cl)C2CC2)CCC1 ZINC001460192708 1062691455 /nfs/dbraw/zinc/69/14/55/1062691455.db2.gz BFBGWSDJNZNIPK-HNNXBMFYSA-N 0 3 314.256 4.339 20 0 DIADHN CCC[C@@H](NCc1nc(-c2ccc(F)c(C)c2)no1)C1CCC1 ZINC001460225897 1062706840 /nfs/dbraw/zinc/70/68/40/1062706840.db2.gz VDBXHDRHCNPJQE-MRXNPFEDSA-N 0 3 317.408 4.243 20 0 DIADHN O=C(CN[C@@H]1CCC[C@H](c2ccccc2)C1)c1ccc(F)cc1 ZINC001256107759 1062707249 /nfs/dbraw/zinc/70/72/49/1062707249.db2.gz UMNYLWGBLMQADS-PKOBYXMFSA-N 0 3 311.400 4.324 20 0 DIADHN O=C(CN[C@@H]1CCC[C@H](c2ccccc2)C1)c1ccccc1F ZINC001256107431 1062708326 /nfs/dbraw/zinc/70/83/26/1062708326.db2.gz RLGORJUARKVBFE-DLBZAZTESA-N 0 3 311.400 4.324 20 0 DIADHN CCCCCc1nnc(-c2ccc(CN3CCCCC3)o2)o1 ZINC001256113324 1062712574 /nfs/dbraw/zinc/71/25/74/1062712574.db2.gz WAHSVHJVZBMUJF-UHFFFAOYSA-N 0 3 303.406 4.048 20 0 DIADHN COc1cccc(C[C@H](C)NC2(c3ccc(F)cc3F)CC2)c1 ZINC001256154190 1062731717 /nfs/dbraw/zinc/73/17/17/1062731717.db2.gz TZCZDDRQOOMITM-ZDUSSCGKSA-N 0 3 317.379 4.183 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC[C@@H](F)CC1 ZINC001256184298 1062741379 /nfs/dbraw/zinc/74/13/79/1062741379.db2.gz YCNKEBZQIQRFJP-SWLSCSKDSA-N 0 3 314.242 4.204 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC[C@H](F)CC1 ZINC001256184295 1062741627 /nfs/dbraw/zinc/74/16/27/1062741627.db2.gz YCNKEBZQIQRFJP-DOMZBBRYSA-N 0 3 314.242 4.204 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1ccns1 ZINC001460274428 1062750121 /nfs/dbraw/zinc/75/01/21/1062750121.db2.gz GHWGWBOQYWREFA-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1CC[C@@H](C2CC2)O1 ZINC001460282338 1062753797 /nfs/dbraw/zinc/75/37/97/1062753797.db2.gz FIOVLCFYIIMWRC-HOCLYGCPSA-N 0 3 323.864 4.131 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC[C@@H]1CC[C@H](C2CC2)O1 ZINC001460282336 1062754822 /nfs/dbraw/zinc/75/48/22/1062754822.db2.gz FIOVLCFYIIMWRC-GOEBONIOSA-N 0 3 323.864 4.131 20 0 DIADHN CCc1ccc(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)cc1 ZINC001460290748 1062760499 /nfs/dbraw/zinc/76/04/99/1062760499.db2.gz DXBAHUSGOXPJPK-UHFFFAOYSA-N 0 3 317.473 4.193 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H]4CCCC[C@@H]43)co2)cc1F ZINC001460298949 1062767446 /nfs/dbraw/zinc/76/74/46/1062767446.db2.gz XIKOLEGENIZHBW-SJCJKPOMSA-N 0 3 318.367 4.384 20 0 DIADHN CN1CCN(Cc2cccc(Cl)c2Cl)C[C@H]1C(C)(C)C ZINC001460328775 1062781962 /nfs/dbraw/zinc/78/19/62/1062781962.db2.gz LURARQSQNCSZFJ-AWEZNQCLSA-N 0 3 315.288 4.156 20 0 DIADHN CC(C)(C(=O)N1CCC[C@@H](C2CCCCC2)C1)N1CCCCC1 ZINC001470472805 1062790371 /nfs/dbraw/zinc/79/03/71/1062790371.db2.gz ABXCBDSJTMCJCJ-GOSISDBHSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@H]1CN(CCCOC(F)(F)F)CCc2ccc(Cl)cc21 ZINC001460341341 1062793731 /nfs/dbraw/zinc/79/37/31/1062793731.db2.gz TWOGBZSQRIUUHS-NSHDSACASA-N 0 3 321.770 4.228 20 0 DIADHN CC[C@H](CN(C)[C@H]1CCCc2c(OC)ccc(Cl)c21)OC ZINC001460342217 1062794876 /nfs/dbraw/zinc/79/48/76/1062794876.db2.gz JCENZTGRZAOESD-DOMZBBRYSA-N 0 3 311.853 4.083 20 0 DIADHN Cc1cncc(CN2CCC3(CCc4ccccc4C3)CC2)c1 ZINC001460339739 1062795045 /nfs/dbraw/zinc/79/50/45/1062795045.db2.gz PSTQHNZNHMTOCL-UHFFFAOYSA-N 0 3 306.453 4.161 20 0 DIADHN C[C@@H]1CN([C@@H]2CCCc3ccc(F)cc32)CC2(CCCC2)O1 ZINC001460346334 1062798655 /nfs/dbraw/zinc/79/86/55/1062798655.db2.gz WSPDRZCJRLAKGA-RDTXWAMCSA-N 0 3 303.421 4.237 20 0 DIADHN COC(=O)c1oc([C@@H](C)N2CCC3(CCCCC3)CC2)cc1C ZINC001460365078 1062810977 /nfs/dbraw/zinc/81/09/77/1062810977.db2.gz MPQGHAVPNIFTCY-OAHLLOKOSA-N 0 3 319.445 4.482 20 0 DIADHN Clc1ccc(N2CCN(CC3CC=CC3)CC2)c(Cl)c1 ZINC001460364774 1062811402 /nfs/dbraw/zinc/81/14/02/1062811402.db2.gz SQVVVIZAEFVLMC-UHFFFAOYSA-N 0 3 311.256 4.082 20 0 DIADHN C[C@H](Cc1ccccn1)N1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001256425036 1062814066 /nfs/dbraw/zinc/81/40/66/1062814066.db2.gz RGEYSOZXZYQGNU-FZKQIMNGSA-N 0 3 302.368 4.128 20 0 DIADHN CC1(CN2CCN(c3ccc(Cl)c(Cl)c3)CC2)CCC1 ZINC001460366140 1062815803 /nfs/dbraw/zinc/81/58/03/1062815803.db2.gz XXRNWSVQQLKDKH-UHFFFAOYSA-N 0 3 313.272 4.306 20 0 DIADHN CCOC1CCC(N2CCn3cccc3[C@@H]2c2ccccc2)CC1 ZINC001256437306 1062822368 /nfs/dbraw/zinc/82/23/68/1062822368.db2.gz XTDGQKHGUCCRER-GRERDSQWSA-N 0 3 324.468 4.241 20 0 DIADHN CC[C@]1(Cc2ccccc2)CCCN1Cc1nnc(C(C)C)o1 ZINC001460401191 1062831249 /nfs/dbraw/zinc/83/12/49/1062831249.db2.gz BFRKLPRWKCOTRM-LJQANCHMSA-N 0 3 313.445 4.180 20 0 DIADHN CC(C)(C)N(Cc1ccsc1)Cc1ccc2c(c1)OCCO2 ZINC001460402153 1062835863 /nfs/dbraw/zinc/83/58/63/1062835863.db2.gz IJALANMQVCFISH-UHFFFAOYSA-N 0 3 317.454 4.320 20 0 DIADHN CC[C@@](O)(CN(Cc1ccsc1)C(C)(C)C)C(F)(F)F ZINC001460406461 1062838169 /nfs/dbraw/zinc/83/81/69/1062838169.db2.gz UYSORVAOZSSQKW-CYBMUJFWSA-N 0 3 309.397 4.052 20 0 DIADHN Fc1ccc(SCCN2CC[C@H]3CC[C@@H](C2)S3)c(F)c1 ZINC001460449446 1062860771 /nfs/dbraw/zinc/86/07/71/1062860771.db2.gz GFTZUBBXNGJYFQ-OLZOCXBDSA-N 0 3 315.454 4.027 20 0 DIADHN CCC(C)(C)CCNC(=O)c1cccc(CN2CCCCC2)c1 ZINC001471008411 1062908742 /nfs/dbraw/zinc/90/87/42/1062908742.db2.gz OLIKUZKNMDBKEV-UHFFFAOYSA-N 0 3 316.489 4.229 20 0 DIADHN FCCC1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1 ZINC001460598892 1062923917 /nfs/dbraw/zinc/92/39/17/1062923917.db2.gz ZSBUJAHDOQGNBT-UHFFFAOYSA-N 0 3 306.812 4.460 20 0 DIADHN COc1cc(CN2CC[C@H](c3ccc(F)cc3)C2(C)C)sn1 ZINC001460594811 1062924737 /nfs/dbraw/zinc/92/47/37/1062924737.db2.gz BSBTXTVDMQORNI-OAHLLOKOSA-N 0 3 320.433 4.059 20 0 DIADHN COc1ccc(CN(C)C[C@@H]2CC[C@H](c3ccccc3)O2)cc1 ZINC001460620700 1062938824 /nfs/dbraw/zinc/93/88/24/1062938824.db2.gz TZTUECOQDNZEPP-VQTJNVASSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2ccc(Cl)c(F)c2)o1 ZINC001460636429 1062952629 /nfs/dbraw/zinc/95/26/29/1062952629.db2.gz JCSSBDGOAIPBFJ-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN C[C@@H](Cc1ccncc1)NC1c2ccccc2Oc2ccccc21 ZINC001256726798 1062953023 /nfs/dbraw/zinc/95/30/23/1062953023.db2.gz CAYWGUOEOSJGNW-HNNXBMFYSA-N 0 3 316.404 4.498 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CC[C@H](Oc2cccc(F)c2)C1 ZINC001460635109 1062954194 /nfs/dbraw/zinc/95/41/94/1062954194.db2.gz BERQGHJBZYAJTH-UGSOOPFHSA-N 0 3 303.352 4.179 20 0 DIADHN C[C@H](Cc1ccncc1)N(C)Cc1cccc(Cl)c1Cl ZINC001256739470 1062959080 /nfs/dbraw/zinc/95/90/80/1062959080.db2.gz AQFMQCDESMEUMQ-GFCCVEGCSA-N 0 3 309.240 4.451 20 0 DIADHN Cc1cncc(CN2CCC(Cc3ccc(Cl)cc3)CC2)c1 ZINC001460653208 1062959563 /nfs/dbraw/zinc/95/95/63/1062959563.db2.gz LZLNXHNZGOIOTN-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CC(C)(NCc1cccc(Cl)c1Cl)[C@H]1CCCCO1 ZINC001460658601 1062964421 /nfs/dbraw/zinc/96/44/21/1062964421.db2.gz DZROLZMSCXSARG-CYBMUJFWSA-N 0 3 302.245 4.431 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c1F ZINC001256783847 1062972944 /nfs/dbraw/zinc/97/29/44/1062972944.db2.gz DTIYUCUPXPHHEQ-QAPCUYQASA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c1F ZINC001256783844 1062974331 /nfs/dbraw/zinc/97/43/31/1062974331.db2.gz DTIYUCUPXPHHEQ-CRAIPNDOSA-N 0 3 317.379 4.283 20 0 DIADHN CC(C)(N[C@@H]1CCO[C@@H](c2ccccc2)C1)C(=O)c1ccccc1 ZINC001256786017 1062976590 /nfs/dbraw/zinc/97/65/90/1062976590.db2.gz MWUKEYYJBSMSOP-RTBURBONSA-N 0 3 323.436 4.158 20 0 DIADHN Cc1cc(C)c([C@H](C)N2CCN(C)c3ncccc3C2)c(C)c1 ZINC001460674884 1062978940 /nfs/dbraw/zinc/97/89/40/1062978940.db2.gz GAJRVAFUVVKBKJ-KRWDZBQOSA-N 0 3 309.457 4.020 20 0 DIADHN Fc1cc(F)c(CN[C@H]2CCO[C@@H](c3ccccc3)C2)c(F)c1 ZINC001256788977 1062978838 /nfs/dbraw/zinc/97/88/38/1062978838.db2.gz CWGIVUXOZGPSFH-KBXCAEBGSA-N 0 3 321.342 4.114 20 0 DIADHN C[C@H](NCc1nc(C(F)(F)F)cs1)[C@]12C[C@H]1CCCC2 ZINC001460677178 1062980758 /nfs/dbraw/zinc/98/07/58/1062980758.db2.gz VBLDAKWCGAQIHT-CWSCBRNRSA-N 0 3 304.381 4.220 20 0 DIADHN Cc1ccc(C)c(CN2CCN([C@H](C)c3ccccc3)CC2)c1 ZINC001460700172 1062997239 /nfs/dbraw/zinc/99/72/39/1062997239.db2.gz ACPGNHCBVARVFT-LJQANCHMSA-N 0 3 308.469 4.182 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCCCC12CC2 ZINC001256847394 1063012911 /nfs/dbraw/zinc/01/29/11/1063012911.db2.gz KNYDBNJVXNKZRO-ZDUSSCGKSA-N 0 3 308.263 4.399 20 0 DIADHN CN(Cc1nc2ccccc2o1)[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC001256826738 1063007017 /nfs/dbraw/zinc/00/70/17/1063007017.db2.gz VFYYJEBIYTVQNV-APWZRJJASA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H](Cc1ccccc1Br)N1CCC(C)(F)CC1 ZINC001256837945 1063009127 /nfs/dbraw/zinc/00/91/27/1063009127.db2.gz JGNUUAFBMQZQMT-LBPRGKRZSA-N 0 3 314.242 4.204 20 0 DIADHN C[C@H]1CN(C(=O)C[C@@H]2CCCCC2(C)C)CCN1C1CCCC1 ZINC001471825143 1063022832 /nfs/dbraw/zinc/02/28/32/1063022832.db2.gz JNWZUBNZPZWFFP-IRXDYDNUSA-N 0 3 320.521 4.068 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCN(CCC=C(C)C)CC1 ZINC001460731492 1063023760 /nfs/dbraw/zinc/02/37/60/1063023760.db2.gz ORRCZNLSZYNCQT-LJQANCHMSA-N 0 3 304.453 4.251 20 0 DIADHN C[C@@H]1CN(C(=O)C[C@@H]2CCCCC2(C)C)CCN1C1CCCC1 ZINC001471825145 1063024826 /nfs/dbraw/zinc/02/48/26/1063024826.db2.gz JNWZUBNZPZWFFP-SJORKVTESA-N 0 3 320.521 4.068 20 0 DIADHN CCCN(CCC)Cc1nnc(-c2ccc(OC(C)C)cc2)o1 ZINC001256891546 1063029055 /nfs/dbraw/zinc/02/90/55/1063029055.db2.gz JTPIOHZAOCCFGC-UHFFFAOYSA-N 0 3 317.433 4.146 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(C[C@H]2CCCC3(CCC3)O2)C1 ZINC001460765957 1063046287 /nfs/dbraw/zinc/04/62/87/1063046287.db2.gz OUTMUDMLGMHFCY-HUUCEWRRSA-N 0 3 321.411 4.246 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2nc3cc(Cl)ccc3n2C)C1 ZINC001460803075 1063067341 /nfs/dbraw/zinc/06/73/41/1063067341.db2.gz RQFDENGRMOULEX-CHWSQXEVSA-N 0 3 305.853 4.095 20 0 DIADHN CN(CC(=O)NCCC1=CCCCC1)C[C@H]1CCCCC1(C)C ZINC001460823339 1063084378 /nfs/dbraw/zinc/08/43/78/1063084378.db2.gz HXCYINDGJPFRCW-GOSISDBHSA-N 0 3 320.521 4.141 20 0 DIADHN C[C@@H](c1c(F)cccc1F)N1CCC[C@@H](C2CCOCC2)C1 ZINC001460831178 1063088402 /nfs/dbraw/zinc/08/84/02/1063088402.db2.gz JNQZVSAEXCAOOR-DZGCQCFKSA-N 0 3 309.400 4.164 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCCC[C@@H]2c2ccccc2F)C1 ZINC001257063584 1063095276 /nfs/dbraw/zinc/09/52/76/1063095276.db2.gz QKUHSGFBFKVEHT-RVKKMQEKSA-N 0 3 319.420 4.085 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](N2CCC[C@@H]2c2ccccc2Cl)C1 ZINC001257064136 1063096292 /nfs/dbraw/zinc/09/62/92/1063096292.db2.gz STLOTSGQBWYAIK-CKEIUWERSA-N 0 3 321.848 4.209 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CCC(C)(C)[C@H](c2ccccc2)C1 ZINC001460848722 1063099181 /nfs/dbraw/zinc/09/91/81/1063099181.db2.gz JPMSXHYWCSZXRW-ZWKOTPCHSA-N 0 3 317.473 4.234 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C1(C)CCC(C)CC1)c1ccco1 ZINC001472561571 1063102832 /nfs/dbraw/zinc/10/28/32/1063102832.db2.gz VXEQKTMXBITSGJ-YJTLQXIOSA-N 0 3 320.477 4.041 20 0 DIADHN Fc1ccc(OCCN2CCC(F)(C3CC3)CC2)cc1Cl ZINC001460902582 1063127059 /nfs/dbraw/zinc/12/70/59/1063127059.db2.gz HZQBJTQWTKPXEY-UHFFFAOYSA-N 0 3 315.791 4.072 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H]2CCOC23CCCC3)c1 ZINC001460912326 1063131017 /nfs/dbraw/zinc/13/10/17/1063131017.db2.gz XJKWCUAQTHKCCK-CQSZACIVSA-N 0 3 300.229 4.185 20 0 DIADHN Cc1ccccc1CCCN(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC001460924375 1063139702 /nfs/dbraw/zinc/13/97/02/1063139702.db2.gz HIFKZGPEQNYGPO-UHFFFAOYSA-N 0 3 319.452 4.450 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2ccc(Cl)nc2)cc1 ZINC001460935008 1063142613 /nfs/dbraw/zinc/14/26/13/1063142613.db2.gz KSQQXTORYINNRM-MRXNPFEDSA-N 0 3 316.832 4.038 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2cccnc2Cl)cc1 ZINC001460939804 1063149219 /nfs/dbraw/zinc/14/92/19/1063149219.db2.gz WEVUCKDHWMCUHK-MRXNPFEDSA-N 0 3 316.832 4.038 20 0 DIADHN COc1ccc2cc(CC[C@H](C)n3ccnc3[C@H](C)N)ccc2c1 ZINC001257269767 1063173286 /nfs/dbraw/zinc/17/32/86/1063173286.db2.gz NBDGPZROYVUWEN-GJZGRUSLSA-N 0 3 323.440 4.258 20 0 DIADHN Clc1cncc(Cl)c1CNCCc1c[nH]c2ccccc12 ZINC001460999452 1063174523 /nfs/dbraw/zinc/17/45/23/1063174523.db2.gz NVXPDQVMFCQAFI-UHFFFAOYSA-N 0 3 320.223 4.202 20 0 DIADHN FC(F)Oc1ccc(CNCc2ccc3c(c2)CCCC3)cc1 ZINC001461003013 1063176953 /nfs/dbraw/zinc/17/69/53/1063176953.db2.gz FMNQENDFXBRARH-UHFFFAOYSA-N 0 3 317.379 4.457 20 0 DIADHN Cc1cc(F)ncc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC001461004998 1063181063 /nfs/dbraw/zinc/18/10/63/1063181063.db2.gz XMVPXKPLDLOAQD-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN FC(F)(F)c1ccc(CNCc2cccc3c2CCC3)cc1 ZINC001461009316 1063185697 /nfs/dbraw/zinc/18/56/97/1063185697.db2.gz IPHLZJOHBJJNTD-UHFFFAOYSA-N 0 3 305.343 4.484 20 0 DIADHN C[C@H](NCc1cc2nc(Cl)ccc2s1)c1cccnc1 ZINC001461014585 1063188131 /nfs/dbraw/zinc/18/81/31/1063188131.db2.gz DSXXHKGGODTGGJ-JTQLQIEISA-N 0 3 303.818 4.196 20 0 DIADHN Fc1ccc(CNCc2ccc(Cl)s2)c2ncccc12 ZINC001461012587 1063189061 /nfs/dbraw/zinc/18/90/61/1063189061.db2.gz RIXUTUZTJIYHQI-UHFFFAOYSA-N 0 3 306.793 4.379 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN[C@H](c1ccccc1)C1CC1 ZINC001461024568 1063196005 /nfs/dbraw/zinc/19/60/05/1063196005.db2.gz WPNHFXPOYTZSOK-IAGOWNOFSA-N 0 3 314.454 4.236 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCN(C)c1ccccc1 ZINC001461026086 1063197480 /nfs/dbraw/zinc/19/74/80/1063197480.db2.gz NTLSAMWNGKXGBF-UHFFFAOYSA-N 0 3 300.446 4.112 20 0 DIADHN CCC[C@@H](C)N1CCCC[C@H]1C(=O)Nc1c(C)cccc1C ZINC001257317182 1063200763 /nfs/dbraw/zinc/20/07/63/1063200763.db2.gz SUHJAGWXYWWZLR-SJORKVTESA-N 0 3 302.462 4.285 20 0 DIADHN CN(CCNCc1ccc(-c2ccc(F)cc2)o1)c1ccccc1 ZINC001461033001 1063201729 /nfs/dbraw/zinc/20/17/29/1063201729.db2.gz UHALGMCRMMDLMW-UHFFFAOYSA-N 0 3 324.399 4.312 20 0 DIADHN Cc1cc([C@H](C)NCc2cc(-c3ccccn3)n[nH]2)c(C)s1 ZINC001461035500 1063206639 /nfs/dbraw/zinc/20/66/39/1063206639.db2.gz OJYJMTAQXAHZLD-LBPRGKRZSA-N 0 3 312.442 4.001 20 0 DIADHN CC[C@H](CNCc1ccc(F)cc1F)Oc1cccc(C)c1 ZINC001461053687 1063221020 /nfs/dbraw/zinc/22/10/20/1063221020.db2.gz PCYUPLFDNBGOIL-MRXNPFEDSA-N 0 3 305.368 4.220 20 0 DIADHN CCc1ncc(CNCCOc2cccc3ccccc32)s1 ZINC001461067478 1063227174 /nfs/dbraw/zinc/22/71/74/1063227174.db2.gz OYOIJYZFOWUPGJ-UHFFFAOYSA-N 0 3 312.438 4.027 20 0 DIADHN CCCCCC1CCC(NCc2nnc3cc(C)ccn32)CC1 ZINC001257370537 1063239093 /nfs/dbraw/zinc/23/90/93/1063239093.db2.gz OWZCUDDPUUAJFB-UHFFFAOYSA-N 0 3 314.477 4.266 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1csc(Cl)c1 ZINC001257386695 1063248421 /nfs/dbraw/zinc/24/84/21/1063248421.db2.gz XWLWECSRIKLTKV-LLVKDONJSA-N 0 3 303.855 4.003 20 0 DIADHN CC(C)Oc1ccccc1CNCCN1c2ccccc2C[C@H]1C ZINC001461111901 1063261489 /nfs/dbraw/zinc/26/14/89/1063261489.db2.gz DPTDCEBVWQWQRH-QGZVFWFLSA-N 0 3 324.468 4.015 20 0 DIADHN COc1cc(CNCc2cccc(OC(C)(C)C)c2)ccc1C ZINC001461113192 1063263522 /nfs/dbraw/zinc/26/35/22/1063263522.db2.gz RXGFRRPLEZXZKC-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN Cc1scc(CN[C@H](c2ccccc2)[C@@H]2CCCO2)c1C ZINC001461113970 1063265634 /nfs/dbraw/zinc/26/56/34/1063265634.db2.gz MDNWFBALSJYACI-ZWKOTPCHSA-N 0 3 301.455 4.375 20 0 DIADHN COc1cccc(-c2nc(CNC3CCCCC3)cs2)c1 ZINC001257454586 1063284621 /nfs/dbraw/zinc/28/46/21/1063284621.db2.gz YBMHULBITZOMSM-UHFFFAOYSA-N 0 3 302.443 4.241 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1snc(OC)c1Cl ZINC001461141480 1063288767 /nfs/dbraw/zinc/28/87/67/1063288767.db2.gz LJWLKTQRHXPQKM-WDEREUQCSA-N 0 3 302.871 4.254 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1cn(Cc2ccccn2)cn1 ZINC001257477019 1063297494 /nfs/dbraw/zinc/29/74/94/1063297494.db2.gz SCMRJLXATVMQPX-IRXDYDNUSA-N 0 3 314.477 4.021 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)NCc1cn(Cc2ccccn2)cn1 ZINC001257477017 1063298039 /nfs/dbraw/zinc/29/80/39/1063298039.db2.gz SCMRJLXATVMQPX-DLBZAZTESA-N 0 3 314.477 4.021 20 0 DIADHN CCc1cccc2cc(CN[C@@H](C)COCC(F)(F)F)oc21 ZINC001461161128 1063303227 /nfs/dbraw/zinc/30/32/27/1063303227.db2.gz QXFRRNMOVDZHDL-NSHDSACASA-N 0 3 315.335 4.052 20 0 DIADHN CCc1onc(C)c1CNCCOc1ccccc1C(C)(C)C ZINC001461180861 1063313814 /nfs/dbraw/zinc/31/38/14/1063313814.db2.gz UYYOFBRUZLDMIW-UHFFFAOYSA-N 0 3 316.445 4.012 20 0 DIADHN CC[C@@H](C)[C@@](C)(O)CNCc1ccc(Cl)c(C)c1Cl ZINC001461196870 1063323352 /nfs/dbraw/zinc/32/33/52/1063323352.db2.gz JLBXQWDEBGAVTQ-BMIGLBTASA-N 0 3 304.261 4.189 20 0 DIADHN Cc1ccc(CNC[C@H]2OCCc3ccccc32)c(Cl)c1 ZINC001461192432 1063325257 /nfs/dbraw/zinc/32/52/57/1063325257.db2.gz SBNMXTJCOAJJCP-GOSISDBHSA-N 0 3 301.817 4.052 20 0 DIADHN CC(C)(C[NH2+]Cc1cc([O-])cc(Cl)c1)Cc1ccccc1 ZINC001461208448 1063333187 /nfs/dbraw/zinc/33/31/87/1063333187.db2.gz DQXMAFYIMJKWPZ-UHFFFAOYSA-N 0 3 303.833 4.404 20 0 DIADHN CCCCCC(CCCCC)N1CCC(S(C)(=O)=O)CC1 ZINC001257553555 1063336003 /nfs/dbraw/zinc/33/60/03/1063336003.db2.gz LOQHTUDFUNOCKX-UHFFFAOYSA-N 0 3 317.539 4.025 20 0 DIADHN CC(C)c1ccccc1OCCNCc1ccc(Cl)cn1 ZINC001461213860 1063338076 /nfs/dbraw/zinc/33/80/76/1063338076.db2.gz CDRRWKAPQMMULA-UHFFFAOYSA-N 0 3 304.821 4.027 20 0 DIADHN O=C(N[C@H]1CCCN2CCCC[C@H]12)c1ccc(C2=CCCC2)cc1 ZINC001461229379 1063349267 /nfs/dbraw/zinc/34/92/67/1063349267.db2.gz JVDGXSMDCUJQJK-VQTJNVASSA-N 0 3 324.468 4.001 20 0 DIADHN Cc1cc(CNCc2ccc(-c3ccc(Cl)cc3)s2)n[nH]1 ZINC001461232471 1063351193 /nfs/dbraw/zinc/35/11/93/1063351193.db2.gz PFAIKVYOSOBYDD-UHFFFAOYSA-N 0 3 317.845 4.390 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccc(F)cc2)s1)c1cnn(C)c1 ZINC001461234941 1063355978 /nfs/dbraw/zinc/35/59/78/1063355978.db2.gz OTZYCINMROKYQJ-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN CO[C@@]1(C)C[C@@H](NCc2ccc(F)c(Cl)c2Cl)C1(C)C ZINC001461250448 1063367056 /nfs/dbraw/zinc/36/70/56/1063367056.db2.gz WLLMMVBHVTZOTK-ABAIWWIYSA-N 0 3 320.235 4.426 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](C)Oc1ccccc1C ZINC001461242313 1063358883 /nfs/dbraw/zinc/35/88/83/1063358883.db2.gz HEPGSFRWYIGIAY-HNNXBMFYSA-N 0 3 301.405 4.254 20 0 DIADHN Fc1cc(F)c(F)c(CN[C@@H]2CCCc3ccccc3C2)c1F ZINC001461274226 1063380441 /nfs/dbraw/zinc/38/04/41/1063380441.db2.gz RECOLKWHHDCSKG-CYBMUJFWSA-N 0 3 323.333 4.280 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@H]1CCC(C)(C)O1 ZINC001473107537 1063406524 /nfs/dbraw/zinc/40/65/24/1063406524.db2.gz ALHYNPPESIPESF-CQSZACIVSA-N 0 3 302.245 4.383 20 0 DIADHN CC(C)(C)c1ccc([C@@H]2CCCN2C[C@H](O)C(F)(F)F)cc1 ZINC001473109471 1063409381 /nfs/dbraw/zinc/40/93/81/1063409381.db2.gz DFMZZADILIKORK-GJZGRUSLSA-N 0 3 315.379 4.044 20 0 DIADHN CC(C)(C)c1ccc([C@@H]2CCCN2C[C@@H](O)C(F)(F)F)cc1 ZINC001473109475 1063410682 /nfs/dbraw/zinc/41/06/82/1063410682.db2.gz DFMZZADILIKORK-LSDHHAIUSA-N 0 3 315.379 4.044 20 0 DIADHN CC(C)OC1CCC(NCc2c(F)ccc(Cl)c2F)CC1 ZINC001257871226 1063413280 /nfs/dbraw/zinc/41/32/80/1063413280.db2.gz QFOBKBOQYMBTEF-UHFFFAOYSA-N 0 3 317.807 4.444 20 0 DIADHN Fc1cccc(CN(C[C@H]2CCC3(CCCC3)O2)C2CC2)c1 ZINC001473113669 1063416771 /nfs/dbraw/zinc/41/67/71/1063416771.db2.gz WIXFPIMQMZCVDJ-GOSISDBHSA-N 0 3 303.421 4.282 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cc(F)cc(F)c2)cc1 ZINC001473116612 1063419716 /nfs/dbraw/zinc/41/97/16/1063419716.db2.gz JFGMZYXIJUHBBV-GOSISDBHSA-N 0 3 305.343 4.311 20 0 DIADHN CCCN(Cc1cn(-c2ccccc2)nn1)CC1CCCCC1 ZINC001473118309 1063422326 /nfs/dbraw/zinc/42/23/26/1063422326.db2.gz BXTZABHYYLHJTH-UHFFFAOYSA-N 0 3 312.461 4.060 20 0 DIADHN C[C@@]1(CN2CCC(Oc3ccccc3)CC2)CC1(Cl)Cl ZINC001473119250 1063423064 /nfs/dbraw/zinc/42/30/64/1063423064.db2.gz KGXVSDDQYZDXOF-HNNXBMFYSA-N 0 3 314.256 4.114 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N1CC[C@@H](c2ccccc2)C1 ZINC001473123663 1063426048 /nfs/dbraw/zinc/42/60/48/1063426048.db2.gz FZMURMLACLTUSW-QUCCMNQESA-N 0 3 322.452 4.202 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C)[C@H]1CCC[C@@H](C)C1 ZINC001473128220 1063432270 /nfs/dbraw/zinc/43/22/70/1063432270.db2.gz PACSUALXDNPVFW-KGLIPLIRSA-N 0 3 303.425 4.206 20 0 DIADHN COC(=O)/C(C)=C/CN1CCC(c2cccc3ccccc32)CC1 ZINC001473130241 1063438673 /nfs/dbraw/zinc/43/86/73/1063438673.db2.gz VKDJPMPUGDOHKW-MHWRWJLKSA-N 0 3 323.436 4.139 20 0 DIADHN CC[C@@H]1CO[C@](C)(CCC(C)C)N1C1CCC2(COC2)CC1 ZINC001257910978 1063440471 /nfs/dbraw/zinc/44/04/71/1063440471.db2.gz PBMLNBFMIVNSIV-SJLPKXTDSA-N 0 3 309.494 4.209 20 0 DIADHN CC[C@H](N[C@H]1COc2ccc(Cl)cc2C1)c1ncccc1C ZINC001257948290 1063444303 /nfs/dbraw/zinc/44/43/03/1063444303.db2.gz OKDFVMMQLKQABU-CVEARBPZSA-N 0 3 316.832 4.088 20 0 DIADHN Cc1cc(C)nc(NCc2cccc(F)c2OC(F)(F)F)c1 ZINC001461361674 1063445306 /nfs/dbraw/zinc/44/53/06/1063445306.db2.gz SNTNWPUOVQNMBE-UHFFFAOYSA-N 0 3 314.282 4.348 20 0 DIADHN CCC(CC)N(Cc1nc2cc(Cl)ccc2n1C)C1CC1 ZINC001473138958 1063446043 /nfs/dbraw/zinc/44/60/43/1063446043.db2.gz KXGKDQDYGDSAIM-UHFFFAOYSA-N 0 3 305.853 4.380 20 0 DIADHN Brc1cccc2c1CCN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)C2 ZINC001473138946 1063446536 /nfs/dbraw/zinc/44/65/36/1063446536.db2.gz KULCVUKUCIHQEC-NFAWXSAZSA-N 0 3 320.274 4.243 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1cc3ccccc3o1)CO2 ZINC001257951599 1063447049 /nfs/dbraw/zinc/44/70/49/1063447049.db2.gz YPTANAABXNCWKT-OAHLLOKOSA-N 0 3 313.784 4.180 20 0 DIADHN CN1CCN(Cc2cccc(C(C)(C)C)c2)Cc2ccccc21 ZINC001473144834 1063448493 /nfs/dbraw/zinc/44/84/93/1063448493.db2.gz AJOGOBXKHBPYIK-UHFFFAOYSA-N 0 3 308.469 4.436 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](N1CCC[C@H]1c1ccccc1)CO2 ZINC001257965078 1063448874 /nfs/dbraw/zinc/44/88/74/1063448874.db2.gz UTGSKVQTOQPPJC-MSOLQXFVSA-N 0 3 313.828 4.481 20 0 DIADHN CCc1cccc(CN2CCC[C@H]2c2cccc3c2OCCO3)c1 ZINC001473145052 1063449271 /nfs/dbraw/zinc/44/92/71/1063449271.db2.gz PSNUSFHDRNSONC-IBGZPJMESA-N 0 3 323.436 4.357 20 0 DIADHN Cc1ccc([C@H](Cn2ccnc2)NCc2ccccc2)c(C)c1 ZINC001461370140 1063452652 /nfs/dbraw/zinc/45/26/52/1063452652.db2.gz PMLIUSPQTGNQNX-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN COC(=O)c1ccc(CN2CCCC3(CCCCC3)C2)c(F)c1 ZINC001473152659 1063455807 /nfs/dbraw/zinc/45/58/07/1063455807.db2.gz FVUIKXSKPKDJGH-UHFFFAOYSA-N 0 3 319.420 4.159 20 0 DIADHN c1ccc(-c2noc([C@H]3CCCCN3CCC3CCC3)n2)cc1 ZINC001473151455 1063456493 /nfs/dbraw/zinc/45/64/93/1063456493.db2.gz MWKJUKJHSAFORW-QGZVFWFLSA-N 0 3 311.429 4.454 20 0 DIADHN CC[C@H](NC(=O)CN1[C@@H](C)CCC[C@@H]1C)c1ccc(Cl)cc1 ZINC001473151735 1063457088 /nfs/dbraw/zinc/45/70/88/1063457088.db2.gz HUWGJQWMUVCOQY-ZQIUZPCESA-N 0 3 322.880 4.170 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2cccc(Cl)c2F)CC1 ZINC001461374950 1063457430 /nfs/dbraw/zinc/45/74/30/1063457430.db2.gz SMWBSYYHGVWTHX-QGZVFWFLSA-N 0 3 319.807 4.083 20 0 DIADHN Cc1cnc2c(c1)CN(C1CCC(c3ccccc3)CC1)CC2 ZINC001258000777 1063459199 /nfs/dbraw/zinc/45/91/99/1063459199.db2.gz PRFZCFMWTKGIRS-UHFFFAOYSA-N 0 3 306.453 4.475 20 0 DIADHN Cc1nsc(C)c1CN(CCc1ccc(F)cc1)C1CC1 ZINC001473159755 1063463980 /nfs/dbraw/zinc/46/39/80/1063463980.db2.gz SRNIFQURPJDKKE-UHFFFAOYSA-N 0 3 304.434 4.106 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2nc3ccccc3[nH]2)cc1 ZINC001473163408 1063465917 /nfs/dbraw/zinc/46/59/17/1063465917.db2.gz SLWPWOMOIHTZCR-QGZVFWFLSA-N 0 3 305.425 4.078 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cc3cccnc3o1)CCC2 ZINC001461401429 1063474121 /nfs/dbraw/zinc/47/41/21/1063474121.db2.gz WIBYPEPFEORXPM-GOSISDBHSA-N 0 3 308.381 4.004 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H]1CCCc2cnn(C(C)C)c21 ZINC001461406114 1063476621 /nfs/dbraw/zinc/47/66/21/1063476621.db2.gz FDDJTFVBKBXGPF-QGZVFWFLSA-N 0 3 301.409 4.079 20 0 DIADHN CCc1ccc([C@H](C)NCc2c[nH]c(-c3ccccc3)n2)cc1 ZINC001461406823 1063479965 /nfs/dbraw/zinc/47/99/65/1063479965.db2.gz HWBYRFUAGCAHAN-HNNXBMFYSA-N 0 3 305.425 4.490 20 0 DIADHN CCc1ccc([C@H](C)NCc2cnc(-c3ccccc3)[nH]2)cc1 ZINC001461406823 1063479977 /nfs/dbraw/zinc/47/99/77/1063479977.db2.gz HWBYRFUAGCAHAN-HNNXBMFYSA-N 0 3 305.425 4.490 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1ccnn1C1CCC1 ZINC001461415684 1063484599 /nfs/dbraw/zinc/48/45/99/1063484599.db2.gz COYGPMBKEWUDON-FOIQADDNSA-N 0 3 309.457 4.411 20 0 DIADHN CC[C@@H](NCc1cc2cccc(C)c2nc1Cl)[C@H]1CCCO1 ZINC001461413378 1063484983 /nfs/dbraw/zinc/48/49/83/1063484983.db2.gz NBYLIKBCVUZUEC-HZPDHXFCSA-N 0 3 318.848 4.244 20 0 DIADHN CCCCOc1ccc(CN[C@@H](C)c2ccc[nH]2)cc1OC ZINC001461417950 1063486765 /nfs/dbraw/zinc/48/67/65/1063486765.db2.gz WTMRLCHENADBAY-AWEZNQCLSA-N 0 3 302.418 4.053 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@H]2CCC3(CCC3)O2)s1 ZINC001473180701 1063489577 /nfs/dbraw/zinc/48/95/77/1063489577.db2.gz QDBZHZPYPJIKOL-ZIAGYGMSSA-N 0 3 308.491 4.108 20 0 DIADHN CO[C@@H](CN1CCc2cccc(F)c2[C@@H]1C)C1CCCCC1 ZINC001473182430 1063489822 /nfs/dbraw/zinc/48/98/22/1063489822.db2.gz DJWZETJIZRUMFK-KSSFIOAISA-N 0 3 305.437 4.340 20 0 DIADHN Cc1ccc(F)c(CN2CC[C@H](Cc3ccccc3)C2)c1F ZINC001473185378 1063491942 /nfs/dbraw/zinc/49/19/42/1063491942.db2.gz OOKWHBKCEVBWRU-MRXNPFEDSA-N 0 3 301.380 4.338 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CCC[C@](C)(CC)C1 ZINC001473186826 1063492440 /nfs/dbraw/zinc/49/24/40/1063492440.db2.gz MZNRGYKDCFECQI-MJGOQNOKSA-N 0 3 302.462 4.224 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@H](C)C[C@H](C)CC(C)C ZINC001258064879 1063492930 /nfs/dbraw/zinc/49/29/30/1063492930.db2.gz WYPVFRLNWWBGLW-ZIAGYGMSSA-N 0 3 303.450 4.137 20 0 DIADHN CC[C@]1(C)CCCN([C@H]2CCCN(c3ccc(C)cc3)C2=O)C1 ZINC001473186857 1063492892 /nfs/dbraw/zinc/49/28/92/1063492892.db2.gz NEOICICJBCTYFY-AZUAARDMSA-N 0 3 314.473 4.003 20 0 DIADHN C[C@@H]1CCN(C[C@H]2COC3(CCCCC3)O2)[C@H](c2ccco2)C1 ZINC001473187917 1063497332 /nfs/dbraw/zinc/49/73/32/1063497332.db2.gz ULVUYDBLFORCAW-IKGGRYGDSA-N 0 3 319.445 4.128 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258073942 1063499807 /nfs/dbraw/zinc/49/98/07/1063499807.db2.gz HHVOUXCZDNSFQJ-NEPJUHHUSA-N 0 3 317.399 4.181 20 0 DIADHN Fc1cccc(/C=C/CN[C@H]2CCOc3ccc(F)cc32)c1 ZINC001461435058 1063500919 /nfs/dbraw/zinc/50/09/19/1063500919.db2.gz VPFYEZJTWUUHII-WVMJFEGXSA-N 0 3 301.336 4.091 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@](C)(CO)c2ccccc2)c(C)c1 ZINC001461440015 1063505976 /nfs/dbraw/zinc/50/59/76/1063505976.db2.gz RSXCVULVFJEKOS-MAIVGLDJSA-N 0 3 309.453 4.122 20 0 DIADHN CC(C)=CCC[C@@H](C)N1CCN(c2noc3ccccc32)CC1 ZINC001258084932 1063507725 /nfs/dbraw/zinc/50/77/25/1063507725.db2.gz CZOUDDNFBYQZTI-MRXNPFEDSA-N 0 3 313.445 4.085 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CN[C@H]1CSC1(C)C ZINC001461453884 1063514740 /nfs/dbraw/zinc/51/47/40/1063514740.db2.gz BBVBKBVEVKYPTQ-GUYCJALGSA-N 0 3 324.509 4.292 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CN[C@H]1CSC1(C)C ZINC001461453883 1063514990 /nfs/dbraw/zinc/51/49/90/1063514990.db2.gz BBVBKBVEVKYPTQ-DYVFJYSZSA-N 0 3 324.509 4.292 20 0 DIADHN CCSc1cc(CNCc2cccc(Cl)c2C)ccn1 ZINC001461457505 1063516966 /nfs/dbraw/zinc/51/69/66/1063516966.db2.gz QMNPFRBALYENAS-UHFFFAOYSA-N 0 3 306.862 4.445 20 0 DIADHN CCOC[C@@H](NCc1cc2cccc(C)c2nc1Cl)C(C)C ZINC001461464433 1063522444 /nfs/dbraw/zinc/52/24/44/1063522444.db2.gz UINVHLMODOULLY-MRXNPFEDSA-N 0 3 320.864 4.347 20 0 DIADHN Fc1ccc([C@H]2CC[C@H](NCc3nc4ccccc4o3)C2)cc1 ZINC001461478191 1063529326 /nfs/dbraw/zinc/52/93/26/1063529326.db2.gz FIJARRWKIVZNSK-HOCLYGCPSA-N 0 3 310.372 4.393 20 0 DIADHN CC1CCC(C)(CNCc2cccnc2Br)CC1 ZINC001461486965 1063535063 /nfs/dbraw/zinc/53/50/63/1063535063.db2.gz SPJKAQLMAGTPFR-UHFFFAOYSA-N 0 3 311.267 4.150 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CN[C@H]1CC[C@H]1C ZINC001461491486 1063536147 /nfs/dbraw/zinc/53/61/47/1063536147.db2.gz AZALIEZQYHIKII-DYVFJYSZSA-N 0 3 317.864 4.090 20 0 DIADHN COC(=O)Nc1cccc(CNCc2cccc(Cl)c2C)c1 ZINC001461492236 1063536245 /nfs/dbraw/zinc/53/62/45/1063536245.db2.gz KHAQVZUVZJERCJ-UHFFFAOYSA-N 0 3 318.804 4.117 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nnc(-c2ccc3c(c2)CCC3)o1 ZINC001258162248 1063539680 /nfs/dbraw/zinc/53/96/80/1063539680.db2.gz PQJBXMDJOYWVKK-AWEZNQCLSA-N 0 3 313.445 4.408 20 0 DIADHN CC[C@](C)(CNCc1ccc(-c2c(F)cccc2F)o1)OC ZINC001461514006 1063547959 /nfs/dbraw/zinc/54/79/59/1063547959.db2.gz ORMHRPNDVGCLEJ-QGZVFWFLSA-N 0 3 309.356 4.130 20 0 DIADHN C[C@H](Cc1ccco1)NCc1cc(OC(F)(F)F)ccc1F ZINC001258180939 1063548396 /nfs/dbraw/zinc/54/83/96/1063548396.db2.gz ZITFKRAIXWVEGP-SNVBAGLBSA-N 0 3 317.282 4.038 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1nc(C)c(Br)s1 ZINC001461513298 1063548219 /nfs/dbraw/zinc/54/82/19/1063548219.db2.gz KSVUWQCIICHCML-JTQLQIEISA-N 0 3 317.296 4.439 20 0 DIADHN C[C@H](Cc1ccco1)NC1(c2ccccc2Br)CC1 ZINC001258179229 1063548715 /nfs/dbraw/zinc/54/87/15/1063548715.db2.gz OKJAVIWJWSPZJP-GFCCVEGCSA-N 0 3 320.230 4.252 20 0 DIADHN Fc1ccc(/C=C\CNCc2ccnc(C(F)(F)F)c2)cc1 ZINC001461515310 1063549160 /nfs/dbraw/zinc/54/91/60/1063549160.db2.gz VBRCHZWHVHVUBK-UPHRSURJSA-N 0 3 310.294 4.043 20 0 DIADHN COc1cc(Cl)cc([C@H](C)N[C@@H](C)Cc2ccco2)c1OC ZINC001258181750 1063549509 /nfs/dbraw/zinc/54/95/09/1063549509.db2.gz SNYJLGAMLGVWNA-RYUDHWBXSA-N 0 3 323.820 4.232 20 0 DIADHN C[C@H](Cc1ccco1)N1CCn2cccc2[C@H]1c1ccccc1 ZINC001258186683 1063551590 /nfs/dbraw/zinc/55/15/90/1063551590.db2.gz QZTPKVBEDJSXMC-OXQOHEQNSA-N 0 3 306.409 4.117 20 0 DIADHN C[C@@H](Cc1ccco1)N1CCn2cccc2[C@@H]1c1ccccc1 ZINC001258186681 1063552859 /nfs/dbraw/zinc/55/28/59/1063552859.db2.gz QZTPKVBEDJSXMC-JXFKEZNVSA-N 0 3 306.409 4.117 20 0 DIADHN C[C@H](Cc1ccco1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001258195626 1063557005 /nfs/dbraw/zinc/55/70/05/1063557005.db2.gz SNWBKCWJTYYLJB-PBHICJAKSA-N 0 3 309.413 4.248 20 0 DIADHN COc1cccc(-c2nc(CN[C@H](C)CCSC)cs2)c1 ZINC001258213584 1063563492 /nfs/dbraw/zinc/56/34/92/1063563492.db2.gz SPKAFSHARBYOGH-GFCCVEGCSA-N 0 3 322.499 4.050 20 0 DIADHN CSCC[C@H](C)N1CCn2cccc2[C@H]1c1ccccc1 ZINC001258213426 1063563990 /nfs/dbraw/zinc/56/39/90/1063563990.db2.gz PGPJDSQZDVWQMO-MAUKXSAKSA-N 0 3 300.471 4.035 20 0 DIADHN CSCC[C@@H](C)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001258223518 1063565504 /nfs/dbraw/zinc/56/55/04/1063565504.db2.gz DPUPLWSBOBZPBU-UKRRQHHQSA-N 0 3 303.475 4.165 20 0 DIADHN Cc1ccc(C2(CNCc3csc(C4CC4)n3)CC2)c(C)c1 ZINC001461540608 1063568084 /nfs/dbraw/zinc/56/80/84/1063568084.db2.gz HVMIPHHCMZUOGP-UHFFFAOYSA-N 0 3 312.482 4.459 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(F)c(C)c2)cc1C ZINC001461542051 1063570353 /nfs/dbraw/zinc/57/03/53/1063570353.db2.gz ZZNJMCHSDYINJK-CQSZACIVSA-N 0 3 315.388 4.080 20 0 DIADHN Brc1csc(CNC2CCCCCCC2)n1 ZINC001258237322 1063575712 /nfs/dbraw/zinc/57/57/12/1063575712.db2.gz IARNIBBDUFLENG-UHFFFAOYSA-N 0 3 303.269 4.108 20 0 DIADHN C[C@@H]1CCc2nc(CNCC(C)(C)C3=CCCC3)sc2C1 ZINC001461560506 1063581617 /nfs/dbraw/zinc/58/16/17/1063581617.db2.gz QPQWMGSSJSIHCT-CYBMUJFWSA-N 0 3 304.503 4.494 20 0 DIADHN CC1(C)CCC[C@H](N(Cc2ccccn2)Cc2ccccn2)C1 ZINC001258282544 1063587882 /nfs/dbraw/zinc/58/78/82/1063587882.db2.gz IEQCEIWUESSHGJ-IBGZPJMESA-N 0 3 309.457 4.448 20 0 DIADHN COc1ccc(CNCc2ccc(C)c3ccccc23)cc1O ZINC001461583615 1063593708 /nfs/dbraw/zinc/59/37/08/1063593708.db2.gz QOSZEWIYSQQUHK-UHFFFAOYSA-N 0 3 307.393 4.152 20 0 DIADHN Cn1cc(CN[C@H]2CC[C@H](c3cccc(Cl)c3)C2)c(Cl)n1 ZINC001461587023 1063595934 /nfs/dbraw/zinc/59/59/34/1063595934.db2.gz UEHDBGOXPUPGNG-WFASDCNBSA-N 0 3 324.255 4.153 20 0 DIADHN CCc1ccc(CNCC(CC)(CC)C(=O)OC(C)(C)C)o1 ZINC001461593668 1063602515 /nfs/dbraw/zinc/60/25/15/1063602515.db2.gz JBCGLFMETLUVSZ-UHFFFAOYSA-N 0 3 309.450 4.080 20 0 DIADHN COC[C@H](CC(C)(C)C)NCc1cccc(OC)c1OC(C)C ZINC001461600636 1063603262 /nfs/dbraw/zinc/60/32/62/1063603262.db2.gz BDKJOFWQAZPERS-INIZCTEOSA-N 0 3 323.477 4.023 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@@H]1CCC[C@H](F)C1 ZINC001461603763 1063605111 /nfs/dbraw/zinc/60/51/11/1063605111.db2.gz XUKASLWUGDDHSR-VHSXEESVSA-N 0 3 307.743 4.312 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)N1CCOCC1(C)C ZINC001258314155 1063606567 /nfs/dbraw/zinc/60/65/67/1063606567.db2.gz PSFJZHJDIPWYQD-AWEZNQCLSA-N 0 3 311.491 4.181 20 0 DIADHN CSC(C)(C)C[C@@H](C)N[C@H](c1ccccc1)c1cccnn1 ZINC001258332416 1063615192 /nfs/dbraw/zinc/61/51/92/1063615192.db2.gz WIIYTMWERJKMJI-RHSMWYFYSA-N 0 3 315.486 4.076 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CN[C@@H]2C[C@H]3CC[C@@H]2O3)c1 ZINC001461616003 1063615455 /nfs/dbraw/zinc/61/54/55/1063615455.db2.gz IPVMHXCSRRMRCY-NUJGCVRESA-N 0 3 321.848 4.071 20 0 DIADHN Fc1ccc(Oc2nc3ccccn3c2CN[C@H]2C=CCC2)cc1 ZINC001461620078 1063616607 /nfs/dbraw/zinc/61/66/07/1063616607.db2.gz XHOKVCWSEHFZIU-HNNXBMFYSA-N 0 3 323.371 4.074 20 0 DIADHN CSC(C)(C)C[C@@H](C)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001258333958 1063618309 /nfs/dbraw/zinc/61/83/09/1063618309.db2.gz QLCHJFWWBQHZCD-ZWNOBZJWSA-N 0 3 315.429 4.298 20 0 DIADHN CSC(C)(C)C[C@H](C)N1CCCC[C@H]1C(=O)OC(C)(C)C ZINC001258339750 1063618479 /nfs/dbraw/zinc/61/84/79/1063618479.db2.gz AGDGNJRBPNHNEX-KBPBESRZSA-N 0 3 315.523 4.103 20 0 DIADHN CC[C@H](C)CCNCc1ncc(Br)cc1Cl ZINC001461620376 1063618712 /nfs/dbraw/zinc/61/87/12/1063618712.db2.gz BZGBQTPPZIGIMH-VIFPVBQESA-N 0 3 305.647 4.023 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cc3ccccc3[nH]c1=O)[C@H](C)C2 ZINC001461635412 1063628705 /nfs/dbraw/zinc/62/87/05/1063628705.db2.gz VPZSRBDUENNYPL-VLIAUNLRSA-N 0 3 318.420 4.272 20 0 DIADHN COc1ccc(CN[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)c(F)c1 ZINC001461642904 1063630737 /nfs/dbraw/zinc/63/07/37/1063630737.db2.gz DDBSKSKORSVQDY-DLBZAZTESA-N 0 3 321.436 4.050 20 0 DIADHN Fc1ccc2oc(CNC3CCC(C4CCC4)CC3)nc2c1 ZINC001461648920 1063633599 /nfs/dbraw/zinc/63/35/99/1063633599.db2.gz OGYPGBGTAAZDHX-UHFFFAOYSA-N 0 3 302.393 4.415 20 0 DIADHN CCC(CC)(CNCc1c(Cl)n[nH]c1C1CC1)C(F)(F)F ZINC001461696131 1063661968 /nfs/dbraw/zinc/66/19/68/1063661968.db2.gz FTLZWWMFGYCYIV-UHFFFAOYSA-N 0 3 323.790 4.399 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001461668029 1063646851 /nfs/dbraw/zinc/64/68/51/1063646851.db2.gz APUXAEPIOMARIX-LLVKDONJSA-N 0 3 316.788 4.346 20 0 DIADHN CC1CCC(O)(CNCc2ccc(Oc3ccccc3)o2)CC1 ZINC001461669240 1063647735 /nfs/dbraw/zinc/64/77/35/1063647735.db2.gz JLDPMJJKORNMJX-UHFFFAOYSA-N 0 3 315.413 4.103 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccnc(-c2ccccc2)c1 ZINC001461677210 1063652632 /nfs/dbraw/zinc/65/26/32/1063652632.db2.gz NCCNYZUEPILXEI-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN CC1(C)CCC[C@](O)(CNCc2cscc2Cl)CC1 ZINC001461685061 1063655988 /nfs/dbraw/zinc/65/59/88/1063655988.db2.gz VTKTWPMLPHXFBV-OAHLLOKOSA-N 0 3 301.883 4.213 20 0 DIADHN CCOc1cccc(F)c1CNC[C@]1(O)CCCC(C)(C)CC1 ZINC001461684471 1063656036 /nfs/dbraw/zinc/65/60/36/1063656036.db2.gz NPWBQTDNGUSYQC-IBGZPJMESA-N 0 3 323.452 4.035 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@H]1C[C@@H](C)O[C@H]1C ZINC001461684567 1063656717 /nfs/dbraw/zinc/65/67/17/1063656717.db2.gz OIDZHQKCGANJRM-ZRGWGRIASA-N 0 3 311.425 4.018 20 0 DIADHN CCCCC[C@@H](CC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258444008 1063657321 /nfs/dbraw/zinc/65/73/21/1063657321.db2.gz QWOCSEDQCJMKNO-LLVKDONJSA-N 0 3 303.372 4.079 20 0 DIADHN COc1nsc(CN[C@@H]2CCC[C@@H]2c2ccccc2)c1Cl ZINC001461686852 1063658691 /nfs/dbraw/zinc/65/86/91/1063658691.db2.gz YVWMSVDFXCFOJP-CHWSQXEVSA-N 0 3 322.861 4.231 20 0 DIADHN CC(C)N1CCC[C@H]1C(=O)N[C@@H](CC(C)(C)C)c1ccccc1 ZINC001515236142 1063659865 /nfs/dbraw/zinc/65/98/65/1063659865.db2.gz UIVDTURVMPPJEQ-ROUUACIJSA-N 0 3 316.489 4.153 20 0 DIADHN C[C@@H](CC1CC1)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001258455671 1063663370 /nfs/dbraw/zinc/66/33/70/1063663370.db2.gz CFVLSECTLBXTNZ-MGPUTAFESA-N 0 3 319.452 4.441 20 0 DIADHN COc1cccc(-c2nc(CN[C@H](C)CC3CC3)cs2)c1 ZINC001258456722 1063663932 /nfs/dbraw/zinc/66/39/32/1063663932.db2.gz AMTPUAIOCKVVIJ-GFCCVEGCSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@@H](CC1CC1)N(C)Cc1cccc(Br)c1F ZINC001258467017 1063666490 /nfs/dbraw/zinc/66/64/90/1063666490.db2.gz DOTGOEIXZOOJAW-JTQLQIEISA-N 0 3 300.215 4.209 20 0 DIADHN CCOC(=O)CC[C@@H](C)N[C@@H](C)c1cc(Cl)ccc1Cl ZINC001258474944 1063668736 /nfs/dbraw/zinc/66/87/36/1063668736.db2.gz ZUNZCWRAHHFPKN-MNOVXSKESA-N 0 3 318.244 4.376 20 0 DIADHN C[C@@H](NCc1cc2ccncc2s1)c1cc2cnccc2o1 ZINC001461703848 1063670068 /nfs/dbraw/zinc/67/00/68/1063670068.db2.gz STDNSYNHYSHXDC-LLVKDONJSA-N 0 3 309.394 4.288 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@]23CCC[C@H]2OCC3)o1 ZINC001461704506 1063670197 /nfs/dbraw/zinc/67/01/97/1063670197.db2.gz VXDUHLPNHCYWLI-MSOLQXFVSA-N 0 3 317.816 4.401 20 0 DIADHN O[C@@H](CCNCc1cccc(Cl)c1Cl)C1CCCC1 ZINC001461706171 1063670428 /nfs/dbraw/zinc/67/04/28/1063670428.db2.gz KNEOXFIQXASGHA-AWEZNQCLSA-N 0 3 302.245 4.024 20 0 DIADHN CN(Cc1ccccc1Cl)C1CCN(c2ccccc2)CC1 ZINC001258512469 1063678330 /nfs/dbraw/zinc/67/83/30/1063678330.db2.gz YOXVEVKIUYXDKL-UHFFFAOYSA-N 0 3 314.860 4.441 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1 ZINC001461727927 1063682286 /nfs/dbraw/zinc/68/22/86/1063682286.db2.gz HMAHLNKNLQHUCX-XEGUGMAKSA-N 0 3 300.229 4.041 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3cc(F)cc(Cl)c3)ccc2O1 ZINC001461736846 1063689084 /nfs/dbraw/zinc/68/90/84/1063689084.db2.gz QOEREKXPQVXPFK-LLVKDONJSA-N 0 3 305.780 4.092 20 0 DIADHN CC1(C)CC[C@H](NCc2ncc(C(F)(F)F)cc2Cl)C1 ZINC001258593670 1063696265 /nfs/dbraw/zinc/69/62/65/1063696265.db2.gz ZJOWNJCTXKOBQE-JTQLQIEISA-N 0 3 306.759 4.422 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H]1CCC2(CCC2)CO1 ZINC001461756396 1063697687 /nfs/dbraw/zinc/69/76/87/1063697687.db2.gz PVBGUBLTYQLVRI-OAHLLOKOSA-N 0 3 307.865 4.341 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H]1CCC2(CCC2)CO1 ZINC001461756117 1063698251 /nfs/dbraw/zinc/69/82/51/1063698251.db2.gz PVBGUBLTYQLVRI-HNNXBMFYSA-N 0 3 307.865 4.341 20 0 DIADHN O=c1[nH]cc(CNCc2cc(F)cc(Cl)c2)c2ccccc12 ZINC001461772764 1063708824 /nfs/dbraw/zinc/70/88/24/1063708824.db2.gz ASRMSCDVIMMQRT-UHFFFAOYSA-N 0 3 316.763 4.023 20 0 DIADHN c1ccc(-c2cccc(CN[C@@H](c3ccccn3)C3CC3)c2)nc1 ZINC001461777640 1063710076 /nfs/dbraw/zinc/71/00/76/1063710076.db2.gz NYBCGXSLTKMDLS-OAQYLSRUSA-N 0 3 315.420 4.385 20 0 DIADHN CSCc1ccc(CN[C@]2(C)CCOc3ccccc32)cc1 ZINC001461778188 1063710154 /nfs/dbraw/zinc/71/01/54/1063710154.db2.gz AKMQIDBYZNCCHL-LJQANCHMSA-N 0 3 313.466 4.337 20 0 DIADHN Cc1cc(CN[C@]2(C)CCOc3ccccc32)ccc1Cl ZINC001461778339 1063711114 /nfs/dbraw/zinc/71/11/14/1063711114.db2.gz CYRMGUAZDWBTNR-GOSISDBHSA-N 0 3 301.817 4.436 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3c2cccc3F)cc2cccnc12 ZINC001461781142 1063712496 /nfs/dbraw/zinc/71/24/96/1063712496.db2.gz XNMOYTLQRIFWDM-LJQANCHMSA-N 0 3 306.384 4.459 20 0 DIADHN Fc1ccc(CNC2([C@@H]3CCCCO3)CC2)cc1C(F)(F)F ZINC001461782064 1063714260 /nfs/dbraw/zinc/71/42/60/1063714260.db2.gz XSDIFAVNPDWLSD-AWEZNQCLSA-N 0 3 317.326 4.036 20 0 DIADHN C[C@H](Cc1cccnc1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001258629515 1063715396 /nfs/dbraw/zinc/71/53/96/1063715396.db2.gz CATCIRVXNRHZSC-QAPCUYQASA-N 0 3 320.440 4.050 20 0 DIADHN Fc1ccc2nc(CN[C@H]3CCOC34CCCCC4)sc2c1 ZINC001461799219 1063722228 /nfs/dbraw/zinc/72/22/28/1063722228.db2.gz KKEXMAQEDVAFQG-HNNXBMFYSA-N 0 3 320.433 4.017 20 0 DIADHN CCC[C@@H](Cc1ccccc1)NCC(=O)c1cc(F)cc(F)c1 ZINC001258655170 1063728855 /nfs/dbraw/zinc/72/88/55/1063728855.db2.gz XBRALCHHDYKOLG-SFHVURJKSA-N 0 3 317.379 4.149 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001461812019 1063730608 /nfs/dbraw/zinc/73/06/08/1063730608.db2.gz IZLQJGYLQMYYSN-CYBMUJFWSA-N 0 3 310.199 4.390 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2cnn(CC3CCC3)c2)c1 ZINC001461812293 1063731621 /nfs/dbraw/zinc/73/16/21/1063731621.db2.gz OQWGOXXWKOXBHZ-INIZCTEOSA-N 0 3 311.473 4.487 20 0 DIADHN COc1cccc2c(CN[C@H](C)c3ncccc3C)cccc12 ZINC001461813383 1063732334 /nfs/dbraw/zinc/73/23/34/1063732334.db2.gz BSJDTLDZYJARMW-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CCc1ccc(CN[C@H]2C[C@@]3(CCOC3)Oc3ccccc32)cc1 ZINC001461838918 1063743054 /nfs/dbraw/zinc/74/30/54/1063743054.db2.gz VIEQWQCMVKWOEK-PZJWPPBQSA-N 0 3 323.436 4.022 20 0 DIADHN CCOC(OCC)[C@H](C)NC/C(C)=C/c1ccc(Cl)cc1 ZINC001461838188 1063743242 /nfs/dbraw/zinc/74/32/42/1063743242.db2.gz NBYAQEUKVAUWHZ-CMPYXILNSA-N 0 3 311.853 4.121 20 0 DIADHN CO[C@H]1CCCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258678406 1063746578 /nfs/dbraw/zinc/74/65/78/1063746578.db2.gz NGXLPHNYLMYBSB-FZMZJTMJSA-N 0 3 302.245 4.035 20 0 DIADHN COc1cccc(-c2csc(CN3C[C@@H](C)CC[C@@H]3C)n2)c1 ZINC001461841076 1063747066 /nfs/dbraw/zinc/74/70/66/1063747066.db2.gz FOKFNPBVONAJHP-KBPBESRZSA-N 0 3 316.470 4.439 20 0 DIADHN CCOC(OCC)[C@@H](C)NC/C=C\c1cc(C)c(C)cc1C ZINC001461845332 1063749295 /nfs/dbraw/zinc/74/92/95/1063749295.db2.gz QPOPZQYRMZZIOB-DOOKAGJSSA-N 0 3 305.462 4.002 20 0 DIADHN Cn1ncc(CN2CCC[C@@H]2CCC2CCCCC2)c1Cl ZINC001461846313 1063749766 /nfs/dbraw/zinc/74/97/66/1063749766.db2.gz OOZQXFLLRVWQQJ-MRXNPFEDSA-N 0 3 309.885 4.398 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1ccccc1OCC(F)F ZINC001461845051 1063749909 /nfs/dbraw/zinc/74/99/09/1063749909.db2.gz PMFGCZMFPIYLDB-CQSZACIVSA-N 0 3 317.379 4.450 20 0 DIADHN CCOC(=O)c1ccc(CN(C)[C@H](c2ccccc2)C(C)C)[nH]1 ZINC001461855022 1063752697 /nfs/dbraw/zinc/75/26/97/1063752697.db2.gz VJESBWXEUWBPGD-SFHVURJKSA-N 0 3 314.429 4.021 20 0 DIADHN COc1cc(F)c(CN2CCC[C@@H](C(C)C)CC2)cc1OC ZINC001461863065 1063756954 /nfs/dbraw/zinc/75/69/54/1063756954.db2.gz AJQCYIPZNHEUDN-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2cccc3c2OCC3)C1(C)C ZINC001461871199 1063764014 /nfs/dbraw/zinc/76/40/14/1063764014.db2.gz IALDJZQEHAVSJY-MSOLQXFVSA-N 0 3 317.473 4.037 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCN(c2ncc(C)s2)CC1 ZINC001461874694 1063766006 /nfs/dbraw/zinc/76/60/06/1063766006.db2.gz QJSTZKHSAZGCIN-INIZCTEOSA-N 0 3 321.534 4.346 20 0 DIADHN CC[C@H]1CN(Cc2cn(C3CC3)cn2)CC[C@H]1c1ccccc1 ZINC001461879576 1063769572 /nfs/dbraw/zinc/76/95/72/1063769572.db2.gz GCOFBPLYPJUABA-OXJNMPFZSA-N 0 3 309.457 4.234 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3scnc3c2)CC1 ZINC001461881974 1063771649 /nfs/dbraw/zinc/77/16/49/1063771649.db2.gz QOBDLJICRWUYTJ-UHFFFAOYSA-N 0 3 318.486 4.323 20 0 DIADHN COC(=O)c1ccc(CN(C)[C@@H](C)c2cccs2)cc1C ZINC001461881955 1063772121 /nfs/dbraw/zinc/77/21/21/1063772121.db2.gz MBCBSOJLTNWACB-ZDUSSCGKSA-N 0 3 303.427 4.036 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)C[C@@H]2CCC[C@@H](C)C2)c(C)c1 ZINC001461884117 1063774509 /nfs/dbraw/zinc/77/45/09/1063774509.db2.gz WYRMYOLCPQCSAV-RDTXWAMCSA-N 0 3 316.489 4.308 20 0 DIADHN CCN(CCc1ccccc1)Cc1ccc(OC(F)F)cc1F ZINC001461892720 1063780157 /nfs/dbraw/zinc/78/01/57/1063780157.db2.gz WTLKMEHWYDLISZ-UHFFFAOYSA-N 0 3 323.358 4.492 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001461894798 1063783998 /nfs/dbraw/zinc/78/39/98/1063783998.db2.gz FTYCBFYPCRPMGL-SWLSCSKDSA-N 0 3 315.848 4.319 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN2CCN(C(=O)OC(C)(C)C)C[C@H]2C)C1 ZINC001461902038 1063784889 /nfs/dbraw/zinc/78/48/89/1063784889.db2.gz UOHXYUWFJBZRKM-BRWVUGGUSA-N 0 3 324.509 4.144 20 0 DIADHN COc1cccc(CN2CCC(C)(c3ccccc3)CC2)c1F ZINC001461901680 1063785052 /nfs/dbraw/zinc/78/50/52/1063785052.db2.gz ZGIVUYAUEXOKFW-UHFFFAOYSA-N 0 3 313.416 4.388 20 0 DIADHN C[C@@H](CN1CCC(c2ccccn2)CC1)c1cc(F)cc(F)c1 ZINC001461905741 1063789047 /nfs/dbraw/zinc/78/90/47/1063789047.db2.gz HKRIRXRKQLCUFS-AWEZNQCLSA-N 0 3 316.395 4.343 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2Cc2n[nH]c(C)c2C)o1 ZINC001461906843 1063792256 /nfs/dbraw/zinc/79/22/56/1063792256.db2.gz WYJFSQOFHVINTE-QGZVFWFLSA-N 0 3 301.434 4.299 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2nc3ccc(F)cc3s2)C1 ZINC001461911829 1063793293 /nfs/dbraw/zinc/79/32/93/1063793293.db2.gz FFKVRXMKTYVGCA-RYUDHWBXSA-N 0 3 310.463 4.151 20 0 DIADHN c1ccc(N[C@@H]2CCCN(Cc3nccc4c3CCCC4)C2)cc1 ZINC001461911478 1063794519 /nfs/dbraw/zinc/79/45/19/1063794519.db2.gz HWIDVQVSFMZIGB-LJQANCHMSA-N 0 3 321.468 4.037 20 0 DIADHN COc1ncc(CN(C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)s1 ZINC001461913955 1063794918 /nfs/dbraw/zinc/79/49/18/1063794918.db2.gz QIZDOEQYFGJKLY-RYUDHWBXSA-N 0 3 324.877 4.429 20 0 DIADHN CO[C@](C)(CN1Cc2ccccc2-c2ccccc2C1)C1CC1 ZINC001461912488 1063795861 /nfs/dbraw/zinc/79/58/61/1063795861.db2.gz STALRJSWYUKOEA-OAQYLSRUSA-N 0 3 307.437 4.484 20 0 DIADHN CCC[C@@H]1CCCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001461916402 1063797335 /nfs/dbraw/zinc/79/73/35/1063797335.db2.gz YADNNYRXRGZABG-LLVKDONJSA-N 0 3 306.397 4.260 20 0 DIADHN CC1(C)CN(Cc2ccc(CC(F)(F)F)cc2)C(C)(C)CO1 ZINC001461929086 1063813658 /nfs/dbraw/zinc/81/36/58/1063813658.db2.gz XWZVDXNXPMZZCL-UHFFFAOYSA-N 0 3 315.379 4.181 20 0 DIADHN CCc1cccc(Cl)c1CN1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC001461928853 1063815504 /nfs/dbraw/zinc/81/55/04/1063815504.db2.gz NMOIMWXEDIJYSY-KDOFPFPSSA-N 0 3 317.864 4.182 20 0 DIADHN COc1cc(C)c(CN(Cc2cccc(F)c2)C(C)C)c(C)n1 ZINC001461933130 1063817595 /nfs/dbraw/zinc/81/75/95/1063817595.db2.gz FMUIAPZXHLTCDT-UHFFFAOYSA-N 0 3 316.420 4.257 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(OCC3CC3)cc2)CCS1 ZINC001461943860 1063829385 /nfs/dbraw/zinc/82/93/85/1063829385.db2.gz WDQPPQYPBBIPRA-GOSISDBHSA-N 0 3 305.487 4.049 20 0 DIADHN COc1ccc(SCCN2CCc3c(C)cccc3C2)cc1 ZINC001461947687 1063833583 /nfs/dbraw/zinc/83/35/83/1063833583.db2.gz BOJSFVIFANWZES-UHFFFAOYSA-N 0 3 313.466 4.154 20 0 DIADHN CC(C)C1CCC(N[C@H](c2ccccc2)c2cccnn2)CC1 ZINC001258801593 1063833638 /nfs/dbraw/zinc/83/36/38/1063833638.db2.gz FNTQAHQITPWNGD-OUNSHVDWSA-N 0 3 309.457 4.370 20 0 DIADHN CC(C)C1CCC(N[C@@H](c2ccccc2)c2cccnn2)CC1 ZINC001258801592 1063834215 /nfs/dbraw/zinc/83/42/15/1063834215.db2.gz FNTQAHQITPWNGD-NLPFYKDJSA-N 0 3 309.457 4.370 20 0 DIADHN C[C@H]1CCC[C@@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001258811102 1063839906 /nfs/dbraw/zinc/83/99/06/1063839906.db2.gz VUIWSYMZVUDKPM-CMPLNLGQSA-N 0 3 300.229 4.344 20 0 DIADHN CO[C@@H](CN1CCC[C@@H]1c1ccccc1Br)C1CC1 ZINC001461961852 1063841024 /nfs/dbraw/zinc/84/10/24/1063841024.db2.gz VJXAFCQGSBOEIQ-CVEARBPZSA-N 0 3 324.262 4.011 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2ncc(Cl)cc2Br)C1 ZINC001258810929 1063841615 /nfs/dbraw/zinc/84/16/15/1063841615.db2.gz SKUAHOWAGBQMCU-MWLCHTKSSA-N 0 3 317.658 4.166 20 0 DIADHN Cc1cc(Br)cc(CN2CC[C@@H](c3ccco3)C2)c1 ZINC001461981587 1063857514 /nfs/dbraw/zinc/85/75/14/1063857514.db2.gz HQQJXGLYEDFOET-CQSZACIVSA-N 0 3 320.230 4.340 20 0 DIADHN Cc1ccc(CN(CCc2ccc(F)cc2)C2CC2)c(F)c1 ZINC001461987949 1063859435 /nfs/dbraw/zinc/85/94/35/1063859435.db2.gz KFSCSRNSMHWLOE-UHFFFAOYSA-N 0 3 301.380 4.480 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1c(F)cc(OC)cc1F ZINC001258838861 1063861211 /nfs/dbraw/zinc/86/12/11/1063861211.db2.gz LISGZYDSZKAWMU-AWEZNQCLSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1c(Cl)cncc1Cl ZINC001258841551 1063862243 /nfs/dbraw/zinc/86/22/43/1063862243.db2.gz NWJCGJHXDULMBN-CYBMUJFWSA-N 0 3 309.240 4.499 20 0 DIADHN CC[C@H](Cc1ccccc1)N1Cc2cc(OC)c(OC)cc2C1 ZINC001258852789 1063866284 /nfs/dbraw/zinc/86/62/84/1063866284.db2.gz NFMLDCAZJZXBQX-GOSISDBHSA-N 0 3 311.425 4.041 20 0 DIADHN COc1ccccc1N1CCN(CCC2CCCCCC2)CC1 ZINC001462008362 1063875431 /nfs/dbraw/zinc/87/54/31/1063875431.db2.gz PUHTVZCLXSUNJV-UHFFFAOYSA-N 0 3 316.489 4.178 20 0 DIADHN CCc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCCO3)cc1 ZINC001462018232 1063881512 /nfs/dbraw/zinc/88/15/12/1063881512.db2.gz LNSXMOCMJBWUCF-LJQANCHMSA-N 0 3 323.436 4.357 20 0 DIADHN CN(CCc1ccc(F)cc1F)Cc1ccc(Cl)s1 ZINC001462021547 1063883452 /nfs/dbraw/zinc/88/34/52/1063883452.db2.gz UDADBHJGFWKJNO-UHFFFAOYSA-N 0 3 301.789 4.354 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@H]2CCC[C@H](C)C2)cc(Cl)c1[O-] ZINC001462020389 1063883714 /nfs/dbraw/zinc/88/37/14/1063883714.db2.gz RJBLCDOUOLZSGM-JSGCOSHPSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@H]2CCC[C@H](C)C2)cc(Cl)c1[O-] ZINC001462020389 1063883722 /nfs/dbraw/zinc/88/37/22/1063883722.db2.gz RJBLCDOUOLZSGM-JSGCOSHPSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cccc(CN(C)CCOc2cccc(Cl)c2)c1 ZINC001462024354 1063887091 /nfs/dbraw/zinc/88/70/91/1063887091.db2.gz CUDUYEQRSXZYKR-UHFFFAOYSA-N 0 3 319.832 4.250 20 0 DIADHN COC1(CN(Cc2cccs2)Cc2cccs2)CCC1 ZINC001462034749 1063887974 /nfs/dbraw/zinc/88/79/74/1063887974.db2.gz QDRULESFENBCHF-UHFFFAOYSA-N 0 3 307.484 4.381 20 0 DIADHN CCN(C/C=C/c1cccc(F)c1)Cc1ccc2c(c1)OCO2 ZINC001462034480 1063890714 /nfs/dbraw/zinc/89/07/14/1063890714.db2.gz OHEBPDBADYGXAU-GQCTYLIASA-N 0 3 313.372 4.090 20 0 DIADHN Cc1ccc(CN(C)CCc2cccc(OC(F)(F)F)c2)o1 ZINC001462037944 1063896339 /nfs/dbraw/zinc/89/63/39/1063896339.db2.gz ARRSECBTTDEXJX-UHFFFAOYSA-N 0 3 313.319 4.161 20 0 DIADHN CNc1ccc(C)cc1CN1CCC[C@H]1c1ccc(COC)o1 ZINC001462044701 1063897194 /nfs/dbraw/zinc/89/71/94/1063897194.db2.gz KATQDNFQGTTWFT-SFHVURJKSA-N 0 3 314.429 4.113 20 0 DIADHN CNc1ccc(C)cc1CN1CCC[C@@H]1c1ccc(COC)o1 ZINC001462044697 1063897705 /nfs/dbraw/zinc/89/77/05/1063897705.db2.gz KATQDNFQGTTWFT-GOSISDBHSA-N 0 3 314.429 4.113 20 0 DIADHN CC[C@H]1CN(Cc2ccsc2C)CCN1Cc1ccccc1 ZINC001462058080 1063908338 /nfs/dbraw/zinc/90/83/38/1063908338.db2.gz MZLASKRCVLMJEB-IBGZPJMESA-N 0 3 314.498 4.153 20 0 DIADHN COc1cc(CNCc2cc3ccccc3s2)ccc1F ZINC001261235281 1063913776 /nfs/dbraw/zinc/91/37/76/1063913776.db2.gz NKXNZRFCCBENTM-UHFFFAOYSA-N 0 3 301.386 4.339 20 0 DIADHN CCn1ccc(CN(C)Cc2cc3nc(Cl)ccc3s2)c1 ZINC001462097562 1063927563 /nfs/dbraw/zinc/92/75/63/1063927563.db2.gz OZKYIUAUUFDGIO-UHFFFAOYSA-N 0 3 319.861 4.403 20 0 DIADHN CO[C@H]1CN(Cc2ccc(-c3cccc(F)c3F)o2)CC[C@H]1C ZINC001462101475 1063929927 /nfs/dbraw/zinc/92/99/27/1063929927.db2.gz DANBYBPVEYQPSS-PXAZEXFGSA-N 0 3 321.367 4.082 20 0 DIADHN COc1cc(C)ccc1CN1CCC(c2ccsc2)CC1 ZINC001462112685 1063934518 /nfs/dbraw/zinc/93/45/18/1063934518.db2.gz YKOTVSNMGQNBPX-UHFFFAOYSA-N 0 3 301.455 4.445 20 0 DIADHN CCOc1ccccc1OCCN(C)Cc1cc2ccccc2[nH]1 ZINC001262218855 1063961291 /nfs/dbraw/zinc/96/12/91/1063961291.db2.gz WGDIFBQCOABPDN-UHFFFAOYSA-N 0 3 324.424 4.077 20 0 DIADHN Cc1nc(C)c(CNC(C)(C)c2ccc(OCC(C)C)cc2)[nH]1 ZINC001262237576 1063961346 /nfs/dbraw/zinc/96/13/46/1063961346.db2.gz CQQYKGURFHSUIH-UHFFFAOYSA-N 0 3 315.461 4.086 20 0 DIADHN Cc1cc([C@@H](C)NCc2cnc(N(C)C)c3ccccc23)c(C)o1 ZINC001262257889 1063962682 /nfs/dbraw/zinc/96/26/82/1063962682.db2.gz VDPGYUNYXWCKPM-CQSZACIVSA-N 0 3 323.440 4.361 20 0 DIADHN Cc1ccc(C)c([C@@H](C)NCc2cc3ccccc3[nH]c2=O)c1 ZINC000378917550 1063981527 /nfs/dbraw/zinc/98/15/27/1063981527.db2.gz WWLKLABYMHHZOX-OAHLLOKOSA-N 0 3 306.409 4.408 20 0 DIADHN CCCC[C@H](CCC)NCc1ncc(Br)s1 ZINC000381368885 1063992861 /nfs/dbraw/zinc/99/28/61/1063992861.db2.gz HRLTXRLIDRQSJB-JTQLQIEISA-N 0 3 305.285 4.354 20 0 DIADHN CN(Cc1ccc(Br)cc1F)CC1CC(F)(F)C1 ZINC000383160896 1064001422 /nfs/dbraw/zinc/00/14/22/1064001422.db2.gz QFMLJQVCENRMRK-UHFFFAOYSA-N 0 3 322.168 4.065 20 0 DIADHN CCN(C(=O)[C@H]1CCCN1C(C)C)c1cccc2ccccc21 ZINC001264311005 1064071600 /nfs/dbraw/zinc/07/16/00/1064071600.db2.gz JDYDVNWDWGHOCY-LJQANCHMSA-N 0 3 310.441 4.066 20 0 DIADHN CC[C@@H](C)CCCCC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001264955908 1064177694 /nfs/dbraw/zinc/17/76/94/1064177694.db2.gz WJDKOTNBALFXCA-SJLPKXTDSA-N 0 3 316.489 4.112 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H](C)CC(C)(C)c2ccccc2)s1 ZINC000391845999 1064205957 /nfs/dbraw/zinc/20/59/57/1064205957.db2.gz HGBWZVWYQANRDO-STQMWFEESA-N 0 3 303.475 4.254 20 0 DIADHN C[C@H]1C[C@H](CC(=O)N(CCN(C)C)C2CCCC2)CC(C)(C)C1 ZINC001265738409 1064266556 /nfs/dbraw/zinc/26/65/56/1064266556.db2.gz VPXJXFXPNCFLFX-DLBZAZTESA-N 0 3 322.537 4.172 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1nccs1 ZINC001279347575 1064344621 /nfs/dbraw/zinc/34/46/21/1064344621.db2.gz DMIOSRRFHPJPPP-YESZJQIVSA-N 0 3 321.534 4.008 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C)Cc1ccc2nonc2c1 ZINC000821343461 1064416383 /nfs/dbraw/zinc/41/63/83/1064416383.db2.gz XJXSGMFVURSAER-NSHDSACASA-N 0 3 301.777 4.069 20 0 DIADHN O=C(NC[C@H]1CCN1C1CCCC1)c1ccc(C2=CCCC2)cc1 ZINC001287560276 1064463005 /nfs/dbraw/zinc/46/30/05/1064463005.db2.gz VBBASPIROYTAJR-HXUWFJFHSA-N 0 3 324.468 4.001 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NCCN1CCC(C)(C)C1 ZINC001289679075 1064650009 /nfs/dbraw/zinc/65/00/09/1064650009.db2.gz NAFQSMNVDXDKSY-LJQANCHMSA-N 0 3 310.526 4.221 20 0 DIADHN CCc1cccc(NC(=O)c2cccc(CN3CCCCC3)c2)c1 ZINC001290026683 1064674842 /nfs/dbraw/zinc/67/48/42/1064674842.db2.gz KCACKZDGBBCILL-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)N[C@@H](CN1CCCC1)c1ccccc1 ZINC001290116212 1064682796 /nfs/dbraw/zinc/68/27/96/1064682796.db2.gz SWUVVNABEDXLCP-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)(CC(=O)N1CCN(C2CCCC2)CC1)C1CCCCC1 ZINC001290728159 1064709624 /nfs/dbraw/zinc/70/96/24/1064709624.db2.gz HSUMBIJJTWDION-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@H](C(=O)N[C@@H](CCC(C)C)c1ccc(Cl)cc1)N(C)C ZINC001291711645 1064740945 /nfs/dbraw/zinc/74/09/45/1064740945.db2.gz RPHVXNNXDZMJSW-DLBZAZTESA-N 0 3 324.896 4.274 20 0 DIADHN C[C@@H](C(=O)N[C@H](c1ccccc1)C(C)(C)C)N1[C@H](C)CC[C@@H]1C ZINC001291719145 1064742114 /nfs/dbraw/zinc/74/21/14/1064742114.db2.gz SEJUVTMPZYKCHR-UIBIWLFHSA-N 0 3 316.489 4.151 20 0 DIADHN CCCCC1CCC(NC(=O)[C@@H]2CCCCN2CCC)CC1 ZINC001291993011 1064754223 /nfs/dbraw/zinc/75/42/23/1064754223.db2.gz XBEWXYVVPAZELD-ABHNRTSZSA-N 0 3 308.510 4.116 20 0 DIADHN CC1(C)CCCN(CCNc2nc(C3CCCCC3)ns2)C1 ZINC001307105889 1064755427 /nfs/dbraw/zinc/75/54/27/1064755427.db2.gz HPVRTDTWUWMDBI-UHFFFAOYSA-N 0 3 322.522 4.120 20 0 DIADHN CN(CCSc1nc2cccc(F)c2o1)Cc1ccccc1 ZINC001307106783 1064756624 /nfs/dbraw/zinc/75/66/24/1064756624.db2.gz FIDQRUQJVHKVEG-UHFFFAOYSA-N 0 3 316.401 4.191 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2c3c(cccc31)CC2)N1[C@H](C)CC[C@H]1C ZINC001292095913 1064757716 /nfs/dbraw/zinc/75/77/16/1064757716.db2.gz ANVYRIJXGDRYIR-KFWWJZLASA-N 0 3 322.452 4.138 20 0 DIADHN C[C@@H]1CC[C@@H](C(=O)N(CCN(C)C)CC2CCCCC2)C[C@H]1C ZINC001292112876 1064760100 /nfs/dbraw/zinc/76/01/00/1064760100.db2.gz RVKBWVBJUZXOJL-ZHALLVOQSA-N 0 3 322.537 4.029 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CC(=O)N(CCN(C)C)CC1CCCCC1 ZINC001292112234 1064760544 /nfs/dbraw/zinc/76/05/44/1064760544.db2.gz IWAYLOJAYWPCSN-IEBWSBKVSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@H]1C[C@H](C(=O)N(CCN(C)C)CC2CCCCC2)C[C@@H](C)C1 ZINC001292112170 1064760774 /nfs/dbraw/zinc/76/07/74/1064760774.db2.gz HSUGAKZJUINLMP-DZFIZOCASA-N 0 3 322.537 4.029 20 0 DIADHN CCCCC[C@@H](C)CC(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001292262246 1064765122 /nfs/dbraw/zinc/76/51/22/1064765122.db2.gz TYWWJQJJKPLDMC-MJGOQNOKSA-N 0 3 318.505 4.320 20 0 DIADHN CC[C@H](C)CCC(=O)NC[C@@H](c1ccc(C)cc1)N1CCCC1 ZINC001292378741 1064770441 /nfs/dbraw/zinc/77/04/41/1064770441.db2.gz SDOMJHVJONZMJP-LPHOPBHVSA-N 0 3 316.489 4.074 20 0 DIADHN CC(C)CCc1ccc(NC(=O)CN2[C@H](C)CC[C@@H]2C)cc1 ZINC001292369340 1064771740 /nfs/dbraw/zinc/77/17/40/1064771740.db2.gz MRMWDFHEOAWDNY-IYBDPMFKSA-N 0 3 302.462 4.087 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](CC)c1ccc(C)c(F)c1 ZINC001295804556 1064927925 /nfs/dbraw/zinc/92/79/25/1064927925.db2.gz VLVHURUEFHGUPN-SFHVURJKSA-N 0 3 322.468 4.214 20 0 DIADHN CN(CC(=O)N[C@@H]1CCC[C@@H](C(C)(C)C)CC1)CC(C)(C)C ZINC001296515850 1064948091 /nfs/dbraw/zinc/94/80/91/1064948091.db2.gz QLTFXMZCCLNTKK-HZPDHXFCSA-N 0 3 310.526 4.076 20 0 DIADHN C[C@H](C(=O)N[C@@H]1CCC[C@H](C(C)(C)C)CC1)N1CCCCCC1 ZINC001296514915 1064948332 /nfs/dbraw/zinc/94/83/32/1064948332.db2.gz GYQHDEPMWVTYOO-FGTMMUONSA-N 0 3 322.537 4.362 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccc(F)cc1)C(C)C ZINC001296999072 1064966964 /nfs/dbraw/zinc/96/69/64/1064966964.db2.gz PNHIEZPLHZIFHP-IBGZPJMESA-N 0 3 322.468 4.151 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCC[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC001297235367 1064974535 /nfs/dbraw/zinc/97/45/35/1064974535.db2.gz WWDVTEQZUSJWDC-KZNAEPCWSA-N 0 3 322.537 4.220 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cccc(-c2ccccc2)c1 ZINC001297528372 1064982449 /nfs/dbraw/zinc/98/24/49/1064982449.db2.gz OIJWPPMMSMKKIK-IYBDPMFKSA-N 0 3 308.425 4.165 20 0 DIADHN CCSCc1ccc(NC(=O)[C@@H](C)N2CCCCCC2)cc1 ZINC001298038817 1064995144 /nfs/dbraw/zinc/99/51/44/1064995144.db2.gz DIFHYVVKXCEXEF-OAHLLOKOSA-N 0 3 320.502 4.143 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@@H](C)CC1CCCC1 ZINC001298607941 1065013155 /nfs/dbraw/zinc/01/31/55/1065013155.db2.gz DIVFZTGNCTZWFU-AWEZNQCLSA-N 0 3 317.477 4.259 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)CC1(C)CCCCC1 ZINC001299107062 1065033618 /nfs/dbraw/zinc/03/36/18/1065033618.db2.gz XYDGDMAOUOMAHW-UHFFFAOYSA-N 0 3 318.461 4.056 20 0 DIADHN Cc1ccccc1[C@@H](C)NC(=O)c1ccc(NC2=NCCC2)cc1 ZINC001301739712 1065069683 /nfs/dbraw/zinc/06/96/83/1065069683.db2.gz HBNKEUPHFNDOIC-OAHLLOKOSA-N 0 3 321.424 4.090 20 0 DIADHN Cc1nc([C@@H](C)N[C@H]2CCc3cccc(Cl)c3C2)cs1 ZINC000666088735 1065079023 /nfs/dbraw/zinc/07/90/23/1065079023.db2.gz PSDJOUVVOGFTOM-MFKMUULPSA-N 0 3 306.862 4.313 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H]1C1CCCC1 ZINC001307424621 1065387032 /nfs/dbraw/zinc/38/70/32/1065387032.db2.gz PLPWIFNUTXUFLY-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2ccc(C)c(F)c2)CC1 ZINC001307445493 1065403787 /nfs/dbraw/zinc/40/37/87/1065403787.db2.gz BHAVVKQVLVVRKM-UHFFFAOYSA-N 0 3 300.421 4.390 20 0 DIADHN Cc1nc(CN(C[C@H](C)O)C2CCCCC2)sc1C(C)(C)C ZINC001307459302 1065412651 /nfs/dbraw/zinc/41/26/51/1065412651.db2.gz NLLUNUXQLHOTAO-ZDUSSCGKSA-N 0 3 324.534 4.265 20 0 DIADHN CCCC[C@H](CC)CN(C)[C@@H]1CCN(c2ccccc2F)C1=O ZINC001307464672 1065415353 /nfs/dbraw/zinc/41/53/53/1065415353.db2.gz JOKAYYKFIYKIKJ-MAUKXSAKSA-N 0 3 320.452 4.079 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCC[C@@H](C(C)(C)C)CC1 ZINC001307473555 1065421588 /nfs/dbraw/zinc/42/15/88/1065421588.db2.gz ORHNONTUTMRBML-LSDHHAIUSA-N 0 3 320.452 4.301 20 0 DIADHN CC(C)C1CCN(C[C@@H](O)c2ccc(Cl)cc2Cl)CC1 ZINC001307471342 1065421806 /nfs/dbraw/zinc/42/18/06/1065421806.db2.gz HGYSCVZKVJLJGA-MRXNPFEDSA-N 0 3 316.272 4.395 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@H](C)CCC[C@H]2C)co1 ZINC001307476900 1065423320 /nfs/dbraw/zinc/42/33/20/1065423320.db2.gz AVHBYRVRPDDMEG-HUUCEWRRSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2[N@@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478907 1065425075 /nfs/dbraw/zinc/42/50/75/1065425075.db2.gz LWLGXEDQVDBQRB-QGPMSJSTSA-N 0 3 323.440 4.002 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2[N@H+]1Cc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001307478907 1065425080 /nfs/dbraw/zinc/42/50/80/1065425080.db2.gz LWLGXEDQVDBQRB-QGPMSJSTSA-N 0 3 323.440 4.002 20 0 DIADHN C[C@H]1CCC[C@H](C)N(CC(=O)Nc2ccc3ccccc3c2)C1 ZINC001307479119 1065425468 /nfs/dbraw/zinc/42/54/68/1065425468.db2.gz OOQHGYOBMFNCFM-HOTGVXAUSA-N 0 3 310.441 4.289 20 0 DIADHN Cc1ccccc1C[C@@H]1CCCN1Cc1nc2ccccc2[nH]1 ZINC001307478135 1065426354 /nfs/dbraw/zinc/42/63/54/1065426354.db2.gz HVSRGQHQWJFZRT-KRWDZBQOSA-N 0 3 305.425 4.078 20 0 DIADHN Clc1cccc2c1CCN(CC1([C@@H]3CCCCO3)CCC1)C2 ZINC001307483769 1065429748 /nfs/dbraw/zinc/42/97/48/1065429748.db2.gz UWZNPBKNWGGMHP-SFHVURJKSA-N 0 3 319.876 4.438 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2cc3n(n2)CCCC3)cc1 ZINC001307495609 1065439342 /nfs/dbraw/zinc/43/93/42/1065439342.db2.gz PAIXXJXTHMDVRC-SFTDATJTSA-N 0 3 323.484 4.296 20 0 DIADHN CCc1ccccc1CCN1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC001307497754 1065442475 /nfs/dbraw/zinc/44/24/75/1065442475.db2.gz QYMVPLDCXPOUFX-UTKZUKDTSA-N 0 3 309.453 4.254 20 0 DIADHN CCC[C@]1(C)CCCN(Cc2ccc(C(=O)OC)c(F)c2)C1 ZINC001307499646 1065443421 /nfs/dbraw/zinc/44/34/21/1065443421.db2.gz MUHZCGAIWXDRIX-GOSISDBHSA-N 0 3 307.409 4.015 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(Cc2ccc(F)cc2)CC1 ZINC001307501831 1065447069 /nfs/dbraw/zinc/44/70/69/1065447069.db2.gz ZVWXSRPZSNKBCE-FQEVSTJZSA-N 0 3 312.432 4.095 20 0 DIADHN CN(c1ccccc1)C1CCN([C@H]2C[C@@H]2c2cccc(F)c2)CC1 ZINC001307516278 1065455083 /nfs/dbraw/zinc/45/50/83/1065455083.db2.gz GAZBKXKXYZDPNS-RTWAWAEBSA-N 0 3 324.443 4.282 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3ccccc3)[C@@H]2C)c(Cl)n1 ZINC001307518605 1065458186 /nfs/dbraw/zinc/45/81/86/1065458186.db2.gz FVWCUFUTMMUUMH-RDJZCZTQSA-N 0 3 314.860 4.497 20 0 DIADHN C[C@@H]1CC[C@H](CN(Cc2cc(F)ccc2F)C2CCCC2)O1 ZINC001307519308 1065458410 /nfs/dbraw/zinc/45/84/10/1065458410.db2.gz DFMFNKQHXTWJMJ-CXAGYDPISA-N 0 3 309.400 4.277 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2c(C)nsc2C)C1(CC)CC ZINC001307551668 1065478199 /nfs/dbraw/zinc/47/81/99/1065478199.db2.gz OBAHHGYDWOFZRF-HZPDHXFCSA-N 0 3 310.507 4.176 20 0 DIADHN COc1cccc(CN(C)[C@H]2CCCc3nc(C)sc32)c1C ZINC001307551854 1065478473 /nfs/dbraw/zinc/47/84/73/1065478473.db2.gz AJLFTKWPFMKTQF-INIZCTEOSA-N 0 3 316.470 4.278 20 0 DIADHN CC1(C)CO[C@@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC001307551397 1065478648 /nfs/dbraw/zinc/47/86/48/1065478648.db2.gz IDGQUKJHWVENLX-RTBURBONSA-N 0 3 313.416 4.320 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCC(C)(C)CO3)CCCC2)n1 ZINC001307562528 1065484456 /nfs/dbraw/zinc/48/44/56/1065484456.db2.gz SQFKUXXECCWGSO-CQSZACIVSA-N 0 3 308.491 4.016 20 0 DIADHN CCC[C@H]1CCCN([C@H](CC)C(=O)Nc2ccc(C)cc2)C1 ZINC001307562883 1065484502 /nfs/dbraw/zinc/48/45/02/1065484502.db2.gz HYEVHQCVSXHFLB-FUHWJXTLSA-N 0 3 302.462 4.224 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)cc1)C[C@@H]1C[C@H]1CC ZINC001307566594 1065485361 /nfs/dbraw/zinc/48/53/61/1065485361.db2.gz NJVDESWGHSJBGR-KGLIPLIRSA-N 0 3 308.853 4.037 20 0 DIADHN c1ccc(NC2CCN(Cc3ccc4ccccc4n3)CC2)cc1 ZINC001307574176 1065489070 /nfs/dbraw/zinc/48/90/70/1065489070.db2.gz PQBIILGQBULZPO-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1CC[C@H](O)c1ccccc1 ZINC001307588481 1065501148 /nfs/dbraw/zinc/50/11/48/1065501148.db2.gz KJXQUGLMDXVAAQ-SXGZJXTBSA-N 0 3 313.416 4.257 20 0 DIADHN CCc1ccccc1CN(CCCO)Cc1cccc(Cl)c1 ZINC001307592380 1065503252 /nfs/dbraw/zinc/50/32/52/1065503252.db2.gz LQCVMWSHYBJQTB-UHFFFAOYSA-N 0 3 317.860 4.287 20 0 DIADHN Cc1c(C)c(C)c(CN[C@@]2(CO)CCc3ccccc32)c(C)c1C ZINC001307592618 1065504983 /nfs/dbraw/zinc/50/49/83/1065504983.db2.gz PGVKEJQFWJKUHA-JOCHJYFZSA-N 0 3 323.480 4.152 20 0 DIADHN CC(C)(C)SCCN1CC[C@H](Oc2ccccc2Cl)C1 ZINC001307603783 1065508398 /nfs/dbraw/zinc/50/83/98/1065508398.db2.gz RIMPOMZUNTURSI-ZDUSSCGKSA-N 0 3 313.894 4.325 20 0 DIADHN Cc1ccc(N2CCN(CCCSC(C)(C)C)CC2)cc1 ZINC001307602986 1065508447 /nfs/dbraw/zinc/50/84/47/1065508447.db2.gz YNZSPEJSJFPOBX-UHFFFAOYSA-N 0 3 306.519 4.039 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2cc(Cl)ccc2F)cc1 ZINC001307611478 1065514105 /nfs/dbraw/zinc/51/41/05/1065514105.db2.gz PHCDBTZBOGLJRI-PXAZEXFGSA-N 0 3 307.796 4.172 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2cc(Cl)ccc2F)cc1 ZINC001307611479 1065517035 /nfs/dbraw/zinc/51/70/35/1065517035.db2.gz PHCDBTZBOGLJRI-SJKOYZFVSA-N 0 3 307.796 4.172 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](CC(C)C)c1ccccc1 ZINC001307622083 1065522481 /nfs/dbraw/zinc/52/24/81/1065522481.db2.gz WGZHHVGUHXYTED-MJGOQNOKSA-N 0 3 318.505 4.256 20 0 DIADHN CC[C@@H](NCc1cc(C)sc1C)c1ccc2c(c1)OCCO2 ZINC001307623561 1065525519 /nfs/dbraw/zinc/52/55/19/1065525519.db2.gz MAUDPTYATZTEEI-MRXNPFEDSA-N 0 3 317.454 4.377 20 0 DIADHN CC[C@@H](NC[C@](O)(CC)C(F)(F)F)c1cccc(Cl)c1 ZINC001307630067 1065528602 /nfs/dbraw/zinc/52/86/02/1065528602.db2.gz JCEJESICSXHDRM-CHWSQXEVSA-N 0 3 309.759 4.084 20 0 DIADHN CC(C)[C@@](O)(CN[C@@H](C)c1cccc(F)c1F)c1ccccc1 ZINC001307635140 1065532565 /nfs/dbraw/zinc/53/25/65/1065532565.db2.gz ORMQWFSEIKPYHT-LIRRHRJNSA-N 0 3 319.395 4.159 20 0 DIADHN COc1ccccc1C1(NC[C@]2(C)CC2(Cl)Cl)CCC1 ZINC001307636769 1065532656 /nfs/dbraw/zinc/53/26/56/1065532656.db2.gz UHDFPPCDGAARON-AWEZNQCLSA-N 0 3 314.256 4.248 20 0 DIADHN CCC[C@@H](NCC(=O)NCCC1CCCCC1)c1ccccc1 ZINC001307640513 1065535249 /nfs/dbraw/zinc/53/52/49/1065535249.db2.gz OMZNBZOFYWBSKI-LJQANCHMSA-N 0 3 316.489 4.204 20 0 DIADHN Fc1cccc(CN2CCN(CCC3CCCCCC3)CC2)c1 ZINC001307648285 1065538394 /nfs/dbraw/zinc/53/83/94/1065538394.db2.gz ZLGBLBKUZQXCNL-UHFFFAOYSA-N 0 3 318.480 4.304 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC001307659872 1065549032 /nfs/dbraw/zinc/54/90/32/1065549032.db2.gz TTWDHKAJWHJTSJ-CYBMUJFWSA-N 0 3 324.346 4.245 20 0 DIADHN COc1ccnc(CN[C@H](C)c2sc3ccccc3c2C)c1 ZINC001307660277 1065549121 /nfs/dbraw/zinc/54/91/21/1065549121.db2.gz CYZLRIUQGODCSL-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN C[C@H]1CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)CC1(C)C ZINC001307665730 1065550566 /nfs/dbraw/zinc/55/05/66/1065550566.db2.gz LQOSANXKPWTRBG-MELADBBJSA-N 0 3 319.411 4.292 20 0 DIADHN c1ccc(CC[C@@H]2CN(CCCC3CCCC3)CCO2)cc1 ZINC001307667716 1065551106 /nfs/dbraw/zinc/55/11/06/1065551106.db2.gz YKECKNVUQOATSS-HXUWFJFHSA-N 0 3 301.474 4.290 20 0 DIADHN CC[C@@H]1CN(Cc2csc(C)c2)CCN1Cc1ccccc1 ZINC001307670260 1065554535 /nfs/dbraw/zinc/55/45/35/1065554535.db2.gz PHCWABXCEAALHK-LJQANCHMSA-N 0 3 314.498 4.153 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCCC[C@@H](C)C1 ZINC001307692463 1065565244 /nfs/dbraw/zinc/56/52/44/1065565244.db2.gz IKGWVIMAZKNHTP-TZMCWYRMSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1ncccc1Br ZINC001307704215 1065572434 /nfs/dbraw/zinc/57/24/34/1065572434.db2.gz ADFADLPXLREIGR-WCQYABFASA-N 0 3 311.267 4.149 20 0 DIADHN CC[C@H](CN(CC)Cc1cc2n(n1)CCCC2)c1ccccc1 ZINC001307702500 1065573899 /nfs/dbraw/zinc/57/38/99/1065573899.db2.gz JKJNSMDILOTKJS-QGZVFWFLSA-N 0 3 311.473 4.235 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307706972 1065574749 /nfs/dbraw/zinc/57/47/49/1065574749.db2.gz UBMWWCBDRUCTNM-DYVFJYSZSA-N 0 3 303.837 4.146 20 0 DIADHN COc1ncccc1CN[C@H]1CC(C)(C)CCc2ccccc21 ZINC001307716343 1065580309 /nfs/dbraw/zinc/58/03/09/1065580309.db2.gz OALXVMWPUXKVJQ-SFHVURJKSA-N 0 3 310.441 4.284 20 0 DIADHN Clc1cccc(CCCCN2CCC[C@@H]2c2cc[nH]n2)c1 ZINC001307723991 1065588198 /nfs/dbraw/zinc/58/81/98/1065588198.db2.gz MRIKFFNZPALUCZ-QGZVFWFLSA-N 0 3 303.837 4.223 20 0 DIADHN Cc1ccc(F)c(CN2CCC[C@@H]2[C@@H]2C[C@@H](C)CC[C@@H]2O)c1F ZINC001307732916 1065593498 /nfs/dbraw/zinc/59/34/98/1065593498.db2.gz SJVOUGZRFQMJOW-KYABYICQSA-N 0 3 323.427 4.035 20 0 DIADHN COc1ncc(CN2CC[C@H](C(F)(F)F)[C@H]2C)c2ccccc21 ZINC001307733516 1065594791 /nfs/dbraw/zinc/59/47/91/1065594791.db2.gz IMXLDZGEUGMYHD-ABAIWWIYSA-N 0 3 324.346 4.016 20 0 DIADHN CC(C)(C)[C@@H]1CN(CCn2ccc3cc(Cl)ccc32)CCO1 ZINC001307737167 1065595388 /nfs/dbraw/zinc/59/53/88/1065595388.db2.gz UIDQUFZOPCUXJU-KRWDZBQOSA-N 0 3 320.864 4.042 20 0 DIADHN C[C@H]1CSCCN(CCc2cccc(Cl)c2Cl)C1 ZINC001307740252 1065595950 /nfs/dbraw/zinc/59/59/50/1065595950.db2.gz NWGBSHXSFPPYEO-LLVKDONJSA-N 0 3 304.286 4.221 20 0 DIADHN CCCC1CCC(N(C)CC(=O)Nc2cc(F)ccc2F)CC1 ZINC001307740763 1065598361 /nfs/dbraw/zinc/59/83/61/1065598361.db2.gz CDTIWVFFLUFHTC-UHFFFAOYSA-N 0 3 324.415 4.194 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@@H](c2ccc(C)cc2C)C1 ZINC001307742818 1065599746 /nfs/dbraw/zinc/59/97/46/1065599746.db2.gz WFBCQDKSHBERDE-MJGOQNOKSA-N 0 3 317.473 4.071 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1nc(C)c(C(C)(C)C)s1 ZINC001307743802 1065600887 /nfs/dbraw/zinc/60/08/87/1065600887.db2.gz CUQJDGYLSFNYHD-UONOGXRCSA-N 0 3 324.534 4.432 20 0 DIADHN Clc1ccc(CN2CCCc3ccccc3CC2)c(Cl)n1 ZINC001307773384 1065616399 /nfs/dbraw/zinc/61/63/99/1065616399.db2.gz MEIIKKJFHJOKOS-UHFFFAOYSA-N 0 3 321.251 4.379 20 0 DIADHN COC(=O)c1csc(CN[C@H](C)c2cccc(C3CC3)c2)c1 ZINC001307798364 1065625845 /nfs/dbraw/zinc/62/58/45/1065625845.db2.gz IEHYJVKUQXXYKT-GFCCVEGCSA-N 0 3 315.438 4.263 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@H](C)c1cccc(C2CC2)c1 ZINC001307797629 1065625852 /nfs/dbraw/zinc/62/58/52/1065625852.db2.gz CAMXBXPSUCBDOV-JKSUJKDBSA-N 0 3 316.489 4.108 20 0 DIADHN CCc1ccc([C@@H]2CCN([C@@H](C)C(=O)Nc3ccccc3)C2)cc1 ZINC001307800608 1065628208 /nfs/dbraw/zinc/62/82/08/1065628208.db2.gz SYVZAXPDNSETAP-QFBILLFUSA-N 0 3 322.452 4.066 20 0 DIADHN OC[C@]1(F)CN(CCCC2CCCCC2)C[C@@H]1c1ccccc1 ZINC001307805595 1065629942 /nfs/dbraw/zinc/62/99/42/1065629942.db2.gz QRNRKRKPNAZRFN-WOJBJXKFSA-N 0 3 319.464 4.147 20 0 DIADHN COCC(C)(C)N(C)Cc1ccccc1OCc1ccccc1 ZINC001307807561 1065631145 /nfs/dbraw/zinc/63/11/45/1065631145.db2.gz BXQNCXBSEGTZPN-UHFFFAOYSA-N 0 3 313.441 4.122 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC001307807428 1065632381 /nfs/dbraw/zinc/63/23/81/1065632381.db2.gz CCWNMSGKQSPQHX-YJBOKZPZSA-N 0 3 315.461 4.069 20 0 DIADHN CO[C@@H](CC(C)C)CN1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC001307807428 1065632385 /nfs/dbraw/zinc/63/23/85/1065632385.db2.gz CCWNMSGKQSPQHX-YJBOKZPZSA-N 0 3 315.461 4.069 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCC[C@@H](C2CC2)C1 ZINC001307812075 1065633618 /nfs/dbraw/zinc/63/36/18/1065633618.db2.gz DSTAECNDRUVSAO-DZGCQCFKSA-N 0 3 320.864 4.097 20 0 DIADHN Cc1cc(CN2CC[C@H](C3CCC3)C2)ccc1Br ZINC001307846801 1065650392 /nfs/dbraw/zinc/65/03/92/1065650392.db2.gz ZXZOGYUCNNMNPB-HNNXBMFYSA-N 0 3 308.263 4.380 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC001307819058 1065638243 /nfs/dbraw/zinc/63/82/43/1065638243.db2.gz OWVOBGNBGHWAOB-MRXNPFEDSA-N 0 3 303.837 4.107 20 0 DIADHN CC(C)c1ccccc1CN1CC[C@H](n2cc(Cl)cn2)C1 ZINC001307819053 1065638561 /nfs/dbraw/zinc/63/85/61/1065638561.db2.gz OWVOBGNBGHWAOB-INIZCTEOSA-N 0 3 303.837 4.107 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1nc2cc(Br)ccc2o1 ZINC001307834925 1065646763 /nfs/dbraw/zinc/64/67/63/1065646763.db2.gz RHGUOEYROAKODZ-JTQLQIEISA-N 0 3 323.234 4.353 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@H](C3CCCC3)C2)c(C)c1 ZINC001307856716 1065655600 /nfs/dbraw/zinc/65/56/00/1065655600.db2.gz OAGLVHLRICEBGF-WMZOPIPTSA-N 0 3 314.473 4.143 20 0 DIADHN CC(C)=CCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@@H]1C ZINC001307866676 1065660414 /nfs/dbraw/zinc/66/04/14/1065660414.db2.gz CAWDHWQEUKNKTF-OWCLPIDISA-N 0 3 310.482 4.061 20 0 DIADHN CN(CC(=O)N[C@@H](CC(C)(C)C)c1ccc(F)cc1)C(C)(C)C ZINC001307869717 1065662553 /nfs/dbraw/zinc/66/25/53/1065662553.db2.gz URAPWGDMGRDWBV-INIZCTEOSA-N 0 3 322.468 4.150 20 0 DIADHN Cc1cc(C)c(N2CC[C@H](N(C)CCC(C)(C)C)C2=O)c(C)c1 ZINC001307874342 1065665378 /nfs/dbraw/zinc/66/53/78/1065665378.db2.gz MYMYHXHIBDOWLH-KRWDZBQOSA-N 0 3 316.489 4.085 20 0 DIADHN Cc1cc(C)c(N2CC[C@@H](N(C)CCC(C)(C)C)C2=O)c(C)c1 ZINC001307874348 1065665678 /nfs/dbraw/zinc/66/56/78/1065665678.db2.gz MYMYHXHIBDOWLH-QGZVFWFLSA-N 0 3 316.489 4.085 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC001307873417 1065665720 /nfs/dbraw/zinc/66/57/20/1065665720.db2.gz DAHRONXYCCVEPP-IBGZPJMESA-N 0 3 310.441 4.167 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H](CC)[C@@H]1CCCCO1 ZINC001307882961 1065666320 /nfs/dbraw/zinc/66/63/20/1065666320.db2.gz OPECIGKNLLMNAQ-RDJZCZTQSA-N 0 3 311.853 4.176 20 0 DIADHN C[C@@H]1CN(CCOC2CCCCCC2)CC2(CCCCC2)O1 ZINC001307885011 1065667191 /nfs/dbraw/zinc/66/71/91/1065667191.db2.gz LRCGVHBMIAIHFY-QGZVFWFLSA-N 0 3 309.494 4.149 20 0 DIADHN C[C@H](CN(CC(=O)OC(C)(C)C)CC1CC1)C1CCCCC1 ZINC001307899345 1065671242 /nfs/dbraw/zinc/67/12/42/1065671242.db2.gz DXTSUBIIHZRQHQ-OAHLLOKOSA-N 0 3 309.494 4.257 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC[C@H]1C1CCC1 ZINC001307903034 1065673561 /nfs/dbraw/zinc/67/35/61/1065673561.db2.gz LRWWILXQESVNRB-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN COc1cc(CN2CCS[C@@H](c3ccccc3)C2)ccc1C ZINC001307904099 1065675603 /nfs/dbraw/zinc/67/56/03/1065675603.db2.gz KOGYDCLJSFXEIH-LJQANCHMSA-N 0 3 313.466 4.294 20 0 DIADHN COc1ccc([C@H]2CCCN2C[C@@H]2CCC3(CCC3)O2)cc1F ZINC001307915049 1065680826 /nfs/dbraw/zinc/68/08/26/1065680826.db2.gz RLLNIXFCMKZKIK-DOTOQJQBSA-N 0 3 319.420 4.073 20 0 DIADHN Cc1cccc([C@H](O)CN2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC001307919637 1065681561 /nfs/dbraw/zinc/68/15/61/1065681561.db2.gz DCFRKLOGJZTRQA-MJGOQNOKSA-N 0 3 311.425 4.239 20 0 DIADHN COc1ccc(CN(C)Cc2c(C)ccc3ccccc32)cn1 ZINC001307922506 1065681587 /nfs/dbraw/zinc/68/15/87/1065681587.db2.gz QYMJEIRXTBLBOT-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@](O)(c2ccccc2)C1 ZINC001307934453 1065688593 /nfs/dbraw/zinc/68/85/93/1065688593.db2.gz LNPLMSCFFIEUPE-KUHUBIRLSA-N 0 3 317.379 4.009 20 0 DIADHN CCCN(CC[C@@H](O)c1ccccc1)Cc1ccccc1F ZINC001307934754 1065688638 /nfs/dbraw/zinc/68/86/38/1065688638.db2.gz QPMJNPIRUADNIV-LJQANCHMSA-N 0 3 301.405 4.161 20 0 DIADHN CC1(C)CCC(C)(C)N(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC001307945983 1065692472 /nfs/dbraw/zinc/69/24/72/1065692472.db2.gz SVMYMVZPFOFMGW-OAHLLOKOSA-N 0 3 313.844 4.413 20 0 DIADHN CCc1onc(C)c1CN1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC001307956485 1065699754 /nfs/dbraw/zinc/69/97/54/1065699754.db2.gz VBZRMVMKYNLHKL-CQSZACIVSA-N 0 3 320.383 4.203 20 0 DIADHN CCC(CC)CCCN(C)[C@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC001307968907 1065703789 /nfs/dbraw/zinc/70/37/89/1065703789.db2.gz MHJWRCQFRXRLKU-IAGOWNOFSA-N 0 3 318.505 4.401 20 0 DIADHN CCc1ccc(C2CCN(Cc3cncc(OC)c3)CC2)cc1 ZINC001307977573 1065710317 /nfs/dbraw/zinc/71/03/17/1065710317.db2.gz ZZWQVDWGQZANCH-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN Cc1cc(C)cc(CN[C@H](CCO)c2ccc(Cl)c(F)c2)c1 ZINC001308000510 1065718677 /nfs/dbraw/zinc/71/86/77/1065718677.db2.gz TXYPVBPTVSXZIQ-GOSISDBHSA-N 0 3 321.823 4.309 20 0 DIADHN COc1ccccc1[C@@H]1CN(C[C@@H]2CCCCC2(C)C)CCO1 ZINC001308007684 1065721936 /nfs/dbraw/zinc/72/19/36/1065721936.db2.gz WNULAYHAUAQWPR-LPHOPBHVSA-N 0 3 317.473 4.285 20 0 DIADHN OC1(CN2CCC[C@@](F)(c3ccccc3)C2)CCCCCC1 ZINC001308014218 1065725866 /nfs/dbraw/zinc/72/58/66/1065725866.db2.gz FEJBTBCTAHRSOK-IBGZPJMESA-N 0 3 305.437 4.033 20 0 DIADHN C[C@]1(C2CCCCC2)CCN1C[C@H](O)c1c(F)cccc1F ZINC001308025967 1065731377 /nfs/dbraw/zinc/73/13/77/1065731377.db2.gz FRZAPDAXNNMNPT-FUHWJXTLSA-N 0 3 309.400 4.043 20 0 DIADHN FC[C@H]1CCN(Cc2ccc(Oc3ccccc3)c(F)c2)C1 ZINC001308035221 1065734500 /nfs/dbraw/zinc/73/45/00/1065734500.db2.gz KECHOFLNUUNDRR-OAHLLOKOSA-N 0 3 303.352 4.409 20 0 DIADHN CCOC(=O)[C@@H](CCc1ccccc1)N(C)C[C@@H](C)C(C)(C)C ZINC001308060753 1065743600 /nfs/dbraw/zinc/74/36/00/1065743600.db2.gz BKRFKWMZYRAXFU-SJLPKXTDSA-N 0 3 319.489 4.165 20 0 DIADHN CC[C@H](c1ccccc1)N1CCc2ccc(NC(C)=O)cc2C1 ZINC001308062811 1065746371 /nfs/dbraw/zinc/74/63/71/1065746371.db2.gz WEFUJKNZMGASJK-HXUWFJFHSA-N 0 3 308.425 4.154 20 0 DIADHN CC(C)(NC[C@H]1CCCC2(CCC2)O1)c1ccc(F)cc1F ZINC001308080565 1065753218 /nfs/dbraw/zinc/75/32/18/1065753218.db2.gz LOXNAYISDZTKFF-CQSZACIVSA-N 0 3 309.400 4.281 20 0 DIADHN CC(C)Cc1ccc(CN2CCC(C(=O)OC(C)C)CC2)cc1 ZINC001308087131 1065754218 /nfs/dbraw/zinc/75/42/18/1065754218.db2.gz QWRGHATWOHMXBM-UHFFFAOYSA-N 0 3 317.473 4.049 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001308086873 1065754811 /nfs/dbraw/zinc/75/48/11/1065754811.db2.gz IJIYMIYUFTWNKV-UONOGXRCSA-N 0 3 303.837 4.014 20 0 DIADHN C[C@@H](CN1CCc2nc[nH]c2[C@H]1c1ccccc1)CC(C)(C)C ZINC001308095139 1065760604 /nfs/dbraw/zinc/76/06/04/1065760604.db2.gz WWBMPLLRQNUOCG-DNVCBOLYSA-N 0 3 311.473 4.430 20 0 DIADHN COc1ccc(CN2CCC(c3cc(C)cc(C)c3)CC2)cn1 ZINC001308138012 1065775801 /nfs/dbraw/zinc/77/58/01/1065775801.db2.gz ZGROABZDCGNDBE-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN C[C@@H]1CC=CC[C@H]1NCc1csc(CCc2ccccc2)n1 ZINC001308147732 1065779840 /nfs/dbraw/zinc/77/98/40/1065779840.db2.gz HNDJEQCCGLTOOR-CRAIPNDOSA-N 0 3 312.482 4.373 20 0 DIADHN Oc1ccc(C[C@H]2CCCCN2Cc2ccncc2Cl)cc1 ZINC001308149645 1065781615 /nfs/dbraw/zinc/78/16/15/1065781615.db2.gz NFMCYYUEIKUZCY-MRXNPFEDSA-N 0 3 316.832 4.038 20 0 DIADHN Oc1ccc(C[C@@H]2CCCCN2Cc2ccncc2Cl)cc1 ZINC001308149641 1065781878 /nfs/dbraw/zinc/78/18/78/1065781878.db2.gz NFMCYYUEIKUZCY-INIZCTEOSA-N 0 3 316.832 4.038 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CCC(c2cncn2C)CC1 ZINC001308167888 1065788023 /nfs/dbraw/zinc/78/80/23/1065788023.db2.gz XLGNLBDZZQANIZ-ZDUSSCGKSA-N 0 3 303.837 4.014 20 0 DIADHN CC(C)CC[C@@H](C)NCc1ncc(Br)cc1Cl ZINC001308178978 1065791759 /nfs/dbraw/zinc/79/17/59/1065791759.db2.gz GRNASYJJDHPIOP-SNVBAGLBSA-N 0 3 319.674 4.412 20 0 DIADHN Cc1ccccc1CNCc1ccc(N(C)c2ccccc2)nc1 ZINC001308183369 1065793277 /nfs/dbraw/zinc/79/32/77/1065793277.db2.gz BUDLFZPHYUXVQV-UHFFFAOYSA-N 0 3 317.436 4.448 20 0 DIADHN COc1ccsc1CNCCOc1ccc(C(C)(C)C)cc1 ZINC001308183574 1065794956 /nfs/dbraw/zinc/79/49/56/1065794956.db2.gz KHOVGYYNMBOTTE-UHFFFAOYSA-N 0 3 319.470 4.223 20 0 DIADHN Cn1ncc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c1C1CC1 ZINC001308183696 1065795326 /nfs/dbraw/zinc/79/53/26/1065795326.db2.gz KDXYZBFARFNULH-KRWDZBQOSA-N 0 3 315.848 4.192 20 0 DIADHN CC[C@@H](NCc1cnc(N(C)C)s1)c1ccc(Cl)cc1 ZINC001308191846 1065800903 /nfs/dbraw/zinc/80/09/03/1065800903.db2.gz NBJZABSSTDPLSD-CQSZACIVSA-N 0 3 309.866 4.103 20 0 DIADHN Cc1nc(CCNCc2ccc(-c3ccccc3F)o2)cs1 ZINC001308193028 1065801053 /nfs/dbraw/zinc/80/10/53/1065801053.db2.gz KQIVBYIHAWYBEC-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN Cc1cc(CNCc2ccnc(OC3CCCC3)c2)c(C)s1 ZINC001308198662 1065807697 /nfs/dbraw/zinc/80/76/97/1065807697.db2.gz CXHHRFYROACCSB-UHFFFAOYSA-N 0 3 316.470 4.371 20 0 DIADHN Cc1ccc(CNCc2cnn(-c3ccccc3C)c2)c(C)c1 ZINC001308208409 1065818474 /nfs/dbraw/zinc/81/84/74/1065818474.db2.gz AUZNDKIXCPZIHR-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN COc1cccc([C@@H](C)NCc2cnc3c(F)cccc3c2)c1 ZINC001308216453 1065823973 /nfs/dbraw/zinc/82/39/73/1065823973.db2.gz RYPUCHOEWSYZLL-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN c1ccc([C@H](NCc2cccc3cccnc32)[C@H]2CCCO2)cc1 ZINC001308228112 1065834358 /nfs/dbraw/zinc/83/43/58/1065834358.db2.gz DBYYESJUBHXDIM-CTNGQTDRSA-N 0 3 318.420 4.245 20 0 DIADHN CC(=O)Oc1ccc(CN(C)[C@@H](C)c2ccc(Cl)cc2)cc1 ZINC000753912839 1065834409 /nfs/dbraw/zinc/83/44/09/1065834409.db2.gz XWUQSUQXCIVWNM-ZDUSSCGKSA-N 0 3 317.816 4.458 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(F)cc1Cl)c1ccco1 ZINC001308231443 1065837872 /nfs/dbraw/zinc/83/78/72/1065837872.db2.gz JIWDWMLURZPLJT-MRXNPFEDSA-N 0 3 324.827 4.245 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3ccccc3C(F)(F)F)ccc2O1 ZINC001308231052 1065839693 /nfs/dbraw/zinc/83/96/93/1065839693.db2.gz HBSGZQYORVDPGV-GFCCVEGCSA-N 0 3 321.342 4.319 20 0 DIADHN COc1ccc(C2(NCc3ccc4scnc4c3)CC2)cc1 ZINC001308245561 1065849467 /nfs/dbraw/zinc/84/94/67/1065849467.db2.gz YPBKWPCABREUEM-UHFFFAOYSA-N 0 3 310.422 4.084 20 0 DIADHN CC[C@H](NCc1ccc(Br)nc1)c1ccc(C)cc1 ZINC001308244121 1065849823 /nfs/dbraw/zinc/84/98/23/1065849823.db2.gz YHRYSZAZQSAUSM-HNNXBMFYSA-N 0 3 319.246 4.393 20 0 DIADHN CS[C@H]1CCC[C@H](NCc2c(Cl)cncc2Cl)C1 ZINC001308269215 1065868488 /nfs/dbraw/zinc/86/84/88/1065868488.db2.gz QLTAOOCJMBIYCU-UWVGGRQHSA-N 0 3 305.274 4.152 20 0 DIADHN Cc1cc(F)c(CNC[C@H]2CCCO[C@@H]2C(C)(C)C)c(F)c1 ZINC001308269887 1065869897 /nfs/dbraw/zinc/86/98/97/1065869897.db2.gz URIXTMJRZRHSIG-DYVFJYSZSA-N 0 3 311.416 4.204 20 0 DIADHN COc1cc(Cl)ccc1CNCc1cccc2cnccc21 ZINC001308273017 1065871594 /nfs/dbraw/zinc/87/15/94/1065871594.db2.gz WXLPOWINFSHBLJ-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN CCc1ccc(CCNCc2nc(C(C)(C)C)cs2)cc1 ZINC001308279722 1065878283 /nfs/dbraw/zinc/87/82/83/1065878283.db2.gz RASDRDDJAHURBS-UHFFFAOYSA-N 0 3 302.487 4.335 20 0 DIADHN CCn1cnc(Cl)c1CNCC1(c2cccc(Cl)c2)CC1 ZINC001308278956 1065879055 /nfs/dbraw/zinc/87/90/55/1065879055.db2.gz JPOOOPLNDSDUIY-UHFFFAOYSA-N 0 3 324.255 4.031 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)c3cnn(C)c3)s2)cc1 ZINC001308283554 1065880764 /nfs/dbraw/zinc/88/07/64/1065880764.db2.gz YGDYLOGQPNOCDY-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN CCn1ccnc1CNC[C@@H](Cc1ccccc1)c1ccccc1 ZINC001308292946 1065888413 /nfs/dbraw/zinc/88/84/13/1065888413.db2.gz QGWUIAWEHHUTLA-HXUWFJFHSA-N 0 3 319.452 4.019 20 0 DIADHN CCn1ccnc1CNC[C@H](Cc1ccccc1)c1ccccc1 ZINC001308292945 1065889477 /nfs/dbraw/zinc/88/94/77/1065889477.db2.gz QGWUIAWEHHUTLA-FQEVSTJZSA-N 0 3 319.452 4.019 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccncc3s2)cc1F ZINC001308298813 1065895510 /nfs/dbraw/zinc/89/55/10/1065895510.db2.gz YZBZXDLZSAHWIT-NSHDSACASA-N 0 3 316.401 4.295 20 0 DIADHN C[C@@]1(CNCc2ccc(Oc3ccccc3)o2)CCCC[C@@H]1O ZINC001308301800 1065896713 /nfs/dbraw/zinc/89/67/13/1065896713.db2.gz IQXQNIWCMPAIII-HKUYNNGSSA-N 0 3 315.413 4.103 20 0 DIADHN CC(C)CSCCCNCc1nc(C(C)(C)C)cs1 ZINC001308333068 1065919226 /nfs/dbraw/zinc/91/92/26/1065919226.db2.gz AKMNFCVDLIVCTH-UHFFFAOYSA-N 0 3 300.537 4.310 20 0 DIADHN CCc1ccc(CN[C@H]2CCN(c3ccc(Cl)c(F)c3)C2)o1 ZINC001308332425 1065920271 /nfs/dbraw/zinc/92/02/71/1065920271.db2.gz SCSZUMZJSDCLRM-LBPRGKRZSA-N 0 3 322.811 4.003 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1nccc3ccccc31)CCC2 ZINC001308337284 1065923583 /nfs/dbraw/zinc/92/35/83/1065923583.db2.gz MKZQXPRWCFHVPX-FQEVSTJZSA-N 0 3 318.420 4.411 20 0 DIADHN COc1cc(C)cc(CNCc2ccccc2CC(F)(F)F)c1 ZINC001308342066 1065925796 /nfs/dbraw/zinc/92/57/96/1065925796.db2.gz FZXNUMOKWVJFRR-UHFFFAOYSA-N 0 3 323.358 4.398 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCSC(C)(C)C ZINC001308343370 1065928269 /nfs/dbraw/zinc/92/82/69/1065928269.db2.gz RWRCXNVAEVSFKV-UHFFFAOYSA-N 0 3 301.883 4.360 20 0 DIADHN CS[C@@H](CNCc1ccsc1Br)C(C)(C)C ZINC001308369095 1065935112 /nfs/dbraw/zinc/93/51/12/1065935112.db2.gz HGPVJXDETPGPSR-JTQLQIEISA-N 0 3 322.337 4.378 20 0 DIADHN C[C@H](NCc1cc(F)cc(F)c1)c1ccc(-n2ccnc2)cc1 ZINC001308361084 1065938670 /nfs/dbraw/zinc/93/86/70/1065938670.db2.gz VYFKREDHPBOKPD-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN Fc1cccc(/C=C/CN[C@H]2CCOc3c(F)cccc32)c1 ZINC001308375052 1065949396 /nfs/dbraw/zinc/94/93/96/1065949396.db2.gz UXUCXIDNMRKEEB-RCFWFJCLSA-N 0 3 301.336 4.091 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCCCCCc1ccc(F)cc1 ZINC001308379592 1065952584 /nfs/dbraw/zinc/95/25/84/1065952584.db2.gz ZCXUPACGFWFKST-UHFFFAOYSA-N 0 3 323.843 4.267 20 0 DIADHN C[C@@H](CCNCc1cccnc1Br)c1ccccc1 ZINC001308388203 1065956653 /nfs/dbraw/zinc/95/66/53/1065956653.db2.gz ZJUYMFPOJUFEFG-ZDUSSCGKSA-N 0 3 319.246 4.128 20 0 DIADHN Cc1cnc(CNCC2(c3ccc(C)c(C)c3)CCC2)s1 ZINC001308390998 1065957362 /nfs/dbraw/zinc/95/73/62/1065957362.db2.gz NDSPSQOUMCLSCL-UHFFFAOYSA-N 0 3 300.471 4.280 20 0 DIADHN C[C@@H]1CC[C@H](CNCc2c(F)cc(Br)cc2F)C1 ZINC001308392104 1065958583 /nfs/dbraw/zinc/95/85/83/1065958583.db2.gz IJUOOXHJQSEGFS-ZJUUUORDSA-N 0 3 318.205 4.253 20 0 DIADHN CCC[C@@H](NCc1cccc(Cl)c1F)[C@@H](O)c1ccccc1 ZINC001308393504 1065960985 /nfs/dbraw/zinc/96/09/85/1065960985.db2.gz WUPPQRTULXILLS-AEFFLSMTSA-N 0 3 321.823 4.471 20 0 DIADHN CCC[C@@]1(NCc2ccc(F)c(Cl)c2Cl)CCOC1 ZINC001308400469 1065963069 /nfs/dbraw/zinc/96/30/69/1065963069.db2.gz AVSCVUTYBYBRFO-CQSZACIVSA-N 0 3 306.208 4.181 20 0 DIADHN CCc1ccc([C@@H](O)CNCc2ccc(-c3ccccc3)o2)cc1 ZINC001308401291 1065963275 /nfs/dbraw/zinc/96/32/75/1065963275.db2.gz OHMIQVAJFUUNLB-FQEVSTJZSA-N 0 3 321.420 4.332 20 0 DIADHN CCC[C@]1(NCc2ccc(F)c(Cl)c2Cl)CCOC1 ZINC001308400468 1065963513 /nfs/dbraw/zinc/96/35/13/1065963513.db2.gz AVSCVUTYBYBRFO-AWEZNQCLSA-N 0 3 306.208 4.181 20 0 DIADHN CC[C@H](COCC(F)(F)F)NCc1ccc(C)cc1Cl ZINC001308417088 1065975223 /nfs/dbraw/zinc/97/52/23/1065975223.db2.gz NSOLKSQHIMQKRZ-GFCCVEGCSA-N 0 3 309.759 4.096 20 0 DIADHN CCOc1cccc(CNCC2=CCCCC2)c1OC(F)F ZINC001308422133 1065978078 /nfs/dbraw/zinc/97/80/78/1065978078.db2.gz GVMRVLTUJRYWPX-UHFFFAOYSA-N 0 3 311.372 4.277 20 0 DIADHN Cn1cncc1CNc1ccc2nc(CC3CCCCC3)[nH]c2c1 ZINC001308431250 1065983494 /nfs/dbraw/zinc/98/34/94/1065983494.db2.gz XOZWERRIQGVHRF-UHFFFAOYSA-N 0 3 323.444 4.031 20 0 DIADHN COc1ccc(OC)c(CNCc2ccc(C)c3ccccc23)c1 ZINC001308436043 1065986911 /nfs/dbraw/zinc/98/69/11/1065986911.db2.gz FHUXULHXZPAMMT-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2cccc(Cl)c2Br)C1 ZINC001308447278 1065995036 /nfs/dbraw/zinc/99/50/36/1065995036.db2.gz LLDXWLMBHREMEK-MNOVXSKESA-N 0 3 320.633 4.473 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001308450573 1065996292 /nfs/dbraw/zinc/99/62/92/1065996292.db2.gz IWEKWJCGLVCBBM-MRXNPFEDSA-N 0 3 305.462 4.467 20 0 DIADHN CC(C)(CNCc1c(Cl)n[nH]c1C1CC1)c1ccccc1 ZINC001308457804 1066002016 /nfs/dbraw/zinc/00/20/16/1066002016.db2.gz KEWXGWOVMRIGLT-UHFFFAOYSA-N 0 3 303.837 4.008 20 0 DIADHN Clc1cc(Cl)cc(CNCc2ccc3[nH]cnc3c2)c1 ZINC001308462093 1066004438 /nfs/dbraw/zinc/00/44/38/1066004438.db2.gz LILQWSAZRYVZDI-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN Clc1cc(Cl)cc(CNCc2ccc3nc[nH]c3c2)c1 ZINC001308462093 1066004445 /nfs/dbraw/zinc/00/44/45/1066004445.db2.gz LILQWSAZRYVZDI-UHFFFAOYSA-N 0 3 306.196 4.160 20 0 DIADHN c1c(CNCCCCCCc2ccccc2)nn2c1CCCC2 ZINC001308465439 1066006124 /nfs/dbraw/zinc/00/61/24/1066006124.db2.gz OJEQVGNUIZZISM-UHFFFAOYSA-N 0 3 311.473 4.112 20 0 DIADHN FC1(F)[C@@H]2CCCO[C@@]21CNCc1cccc(C2CCCC2)c1 ZINC001308467687 1066007600 /nfs/dbraw/zinc/00/76/00/1066007600.db2.gz FTMPXTHPGCKPSS-MSOLQXFVSA-N 0 3 321.411 4.248 20 0 DIADHN Oc1ccc2c(c1)[C@@H](NCc1cc(Cl)cc3cccnc31)CC2 ZINC001308471227 1066010633 /nfs/dbraw/zinc/01/06/33/1066010633.db2.gz MOGUMMNPGSLAQR-SFHVURJKSA-N 0 3 324.811 4.371 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cc(Cl)cc3cccnc31)CC2 ZINC001308471225 1066011032 /nfs/dbraw/zinc/01/10/32/1066011032.db2.gz MOGUMMNPGSLAQR-GOSISDBHSA-N 0 3 324.811 4.371 20 0 DIADHN Fc1ccc(/C=C\CNCc2cnccc2C(F)(F)F)cc1 ZINC001308472973 1066012399 /nfs/dbraw/zinc/01/23/99/1066012399.db2.gz ZYHAEARDWJDDHF-UPHRSURJSA-N 0 3 310.294 4.043 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H](c1ccccc1)[C@H](O)C(C)C ZINC001308474896 1066013936 /nfs/dbraw/zinc/01/39/36/1066013936.db2.gz CHOKFVBPMVGJGG-RTBURBONSA-N 0 3 317.860 4.496 20 0 DIADHN CO[C@@H](CNCc1cccc2c1OC(C)(C)C2)C1CCCCC1 ZINC001308477445 1066017049 /nfs/dbraw/zinc/01/70/49/1066017049.db2.gz JVXUXSIOVDNRGR-SFHVURJKSA-N 0 3 317.473 4.085 20 0 DIADHN CO[C@H](CNCc1ccccc1OC(C)C)C1CCCCC1 ZINC001308478358 1066017110 /nfs/dbraw/zinc/01/71/10/1066017110.db2.gz WJKROZVBECCTRE-LJQANCHMSA-N 0 3 305.462 4.159 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2Cc3ccccc3CO2)c1 ZINC001308477966 1066017200 /nfs/dbraw/zinc/01/72/00/1066017200.db2.gz RWCKNDRLFSDIBD-INIZCTEOSA-N 0 3 322.235 4.225 20 0 DIADHN C[C@H](CC1CCC1)NCc1c(F)cc(Br)cc1F ZINC001308481108 1066018990 /nfs/dbraw/zinc/01/89/90/1066018990.db2.gz LKPRTOUSJXBRNU-SECBINFHSA-N 0 3 318.205 4.396 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2noc3c2CC(C)(C)CC3)C1 ZINC001308509076 1066035448 /nfs/dbraw/zinc/03/54/48/1066035448.db2.gz ZFOZQPAKWBXGLQ-CABCVRRESA-N 0 3 304.478 4.494 20 0 DIADHN CC1(C)CO[C@@H](CNCc2ccc(-c3cccc(F)c3F)o2)C1 ZINC001308511275 1066037546 /nfs/dbraw/zinc/03/75/46/1066037546.db2.gz PTJLRKQKNNCEOB-CYBMUJFWSA-N 0 3 321.367 4.130 20 0 DIADHN Clc1cnc2oc(CNCc3cccc4ncccc34)cc2c1 ZINC001308513242 1066039054 /nfs/dbraw/zinc/03/90/54/1066039054.db2.gz MRPJVRYBIJHPMW-UHFFFAOYSA-N 0 3 323.783 4.319 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCCc3ccccc3C2)c(F)c1 ZINC001308512858 1066039853 /nfs/dbraw/zinc/03/98/53/1066039853.db2.gz IXXQWDHYEDPTED-OAHLLOKOSA-N 0 3 305.343 4.141 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNC[C@]12C[C@H]1CCCC2 ZINC001308521531 1066043549 /nfs/dbraw/zinc/04/35/49/1066043549.db2.gz DJFUYZVJPBHLMF-ABAIWWIYSA-N 0 3 317.326 4.394 20 0 DIADHN Brc1c2ccccc2oc1CNC[C@]12C[C@H]1CCC2 ZINC001308531088 1066049457 /nfs/dbraw/zinc/04/94/57/1066049457.db2.gz YGIVUPSNLOWQBA-BZNIZROVSA-N 0 3 320.230 4.475 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2cc(C3CC3)nn2C)cc1C ZINC001308533636 1066052744 /nfs/dbraw/zinc/05/27/44/1066052744.db2.gz YAAZKHRPLMSUSI-AATRIKPKSA-N 0 3 309.457 4.026 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cc(F)ccc32)c2c(n1)CCCC2 ZINC001308541064 1066057215 /nfs/dbraw/zinc/05/72/15/1066057215.db2.gz ZLZFKCOKAASOMH-LJQANCHMSA-N 0 3 310.416 4.185 20 0 DIADHN COc1nsc(CNC[C@H]2CCC=CCCC2)c1Cl ZINC001308547483 1066059935 /nfs/dbraw/zinc/05/99/35/1066059935.db2.gz KRBLFBBNGGKMCX-NSHDSACASA-N 0 3 300.855 4.031 20 0 DIADHN C[C@H](NC/C=C/c1cccc(F)c1)c1cnn(CC2CCC2)c1 ZINC001308546669 1066061341 /nfs/dbraw/zinc/06/13/41/1066061341.db2.gz DZWRUBADVMFFOH-LWUPOJRFSA-N 0 3 313.420 4.186 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2cccc3cc[nH]c32)c2ccccc21 ZINC001308552045 1066064464 /nfs/dbraw/zinc/06/44/64/1066064464.db2.gz SHYAGKQCGDAKID-YCRPNKLZSA-N 0 3 320.436 4.043 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)c(C)c1 ZINC001308556255 1066067458 /nfs/dbraw/zinc/06/74/58/1066067458.db2.gz YGODUVAIXQQTMU-AWEZNQCLSA-N 0 3 316.420 4.060 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3ccnn3C3CCC3)C2)cc1 ZINC001308561859 1066072073 /nfs/dbraw/zinc/07/20/73/1066072073.db2.gz HPEPTWMUUTZQIG-IBGZPJMESA-N 0 3 323.484 4.371 20 0 DIADHN COc1ccc(F)c(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)c1 ZINC001308565096 1066075095 /nfs/dbraw/zinc/07/50/95/1066075095.db2.gz PTNDATBNYDGKEF-HNAYVOBHSA-N 0 3 313.416 4.460 20 0 DIADHN CS[C@H]1CCCCN(Cc2ccc3ccc(F)c(F)c3n2)C1 ZINC001308573227 1066086084 /nfs/dbraw/zinc/08/60/84/1066086084.db2.gz DHJRYGKHKVHFAI-AWEZNQCLSA-N 0 3 322.424 4.231 20 0 DIADHN CCc1ncc(CN2CC[C@H](c3ccccc3)[C@@H](CC)C2)cn1 ZINC001308579078 1066094508 /nfs/dbraw/zinc/09/45/08/1066094508.db2.gz SYNWWUBYRJEGIF-HKUYNNGSSA-N 0 3 309.457 4.055 20 0 DIADHN COc1ccc(F)cc1CN1CCC[C@H](Cc2ccccc2)C1 ZINC001308586813 1066100936 /nfs/dbraw/zinc/10/09/36/1066100936.db2.gz TXOQZBPVQLSQMD-QGZVFWFLSA-N 0 3 313.416 4.289 20 0 DIADHN C[C@@H](CN(C)Cc1cc(Cl)cn1C)c1cc(F)cc(F)c1 ZINC001308585492 1066101108 /nfs/dbraw/zinc/10/11/08/1066101108.db2.gz ZVTOIFINEOAGOA-NSHDSACASA-N 0 3 312.791 4.192 20 0 DIADHN Fc1ccccc1C1CCN(Cc2nccc3c2CCCC3)CC1 ZINC001308587723 1066101396 /nfs/dbraw/zinc/10/13/96/1066101396.db2.gz BBRRJRURIQXFMF-UHFFFAOYSA-N 0 3 324.443 4.479 20 0 DIADHN CCOC(=O)C1(N(C)C[C@H](C)c2ccc(C)cc2)CCCCC1 ZINC001308593790 1066105393 /nfs/dbraw/zinc/10/53/93/1066105393.db2.gz JZIVKBQNENMHOW-KRWDZBQOSA-N 0 3 317.473 4.296 20 0 DIADHN CN(C)c1nc(Cl)c(CN2CCCC23CCCCC3)s1 ZINC001308596621 1066108471 /nfs/dbraw/zinc/10/84/71/1066108471.db2.gz WTEJNTHSSOFVPP-UHFFFAOYSA-N 0 3 313.898 4.161 20 0 DIADHN C[C@H]1CCc2nc(CN3CC[C@@H](c4ccccc4)C3)sc2C1 ZINC001308597839 1066108888 /nfs/dbraw/zinc/10/88/88/1066108888.db2.gz WQOYXYGZPHRKRT-GOEBONIOSA-N 0 3 312.482 4.257 20 0 DIADHN Cc1csc(N2CCN(C[C@@H](C)CC3CCCCC3)CC2)n1 ZINC001308604795 1066113273 /nfs/dbraw/zinc/11/32/73/1066113273.db2.gz AZOUMBPWHOEKIE-HNNXBMFYSA-N 0 3 321.534 4.180 20 0 DIADHN CSc1ccc(CN(C)[C@@H]2CCCN(c3ccccc3)C2)o1 ZINC001308617263 1066120535 /nfs/dbraw/zinc/12/05/35/1066120535.db2.gz AEGPTTOCXXXLOC-MRXNPFEDSA-N 0 3 316.470 4.102 20 0 DIADHN Cc1cccc2c1CCN(C[C@@H](C)c1cc(F)cc(F)c1)C2 ZINC001308616109 1066120559 /nfs/dbraw/zinc/12/05/59/1066120559.db2.gz QGKSMMWKSYNZOW-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN CSc1ccc(CN(C)[C@H]2CCCN(c3ccccc3)C2)o1 ZINC001308617261 1066120878 /nfs/dbraw/zinc/12/08/78/1066120878.db2.gz AEGPTTOCXXXLOC-INIZCTEOSA-N 0 3 316.470 4.102 20 0 DIADHN C[C@@H]1CCN(Cc2cnc(CCc3ccccc3)s2)C[C@H]1C ZINC001308617439 1066120969 /nfs/dbraw/zinc/12/09/69/1066120969.db2.gz AEVQAKXBCBUGRE-HZPDHXFCSA-N 0 3 314.498 4.406 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc3c(c2)OCCCCO3)s1 ZINC001308619669 1066121994 /nfs/dbraw/zinc/12/19/94/1066121994.db2.gz OFPKRYNQZJFUPK-UHFFFAOYSA-N 0 3 317.454 4.240 20 0 DIADHN CC1(CN2CCc3cccc(Br)c3C2)CCCC1 ZINC001308621479 1066123490 /nfs/dbraw/zinc/12/34/90/1066123490.db2.gz MFUQUZGHRUTERR-UHFFFAOYSA-N 0 3 308.263 4.388 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ccc(N(C)CC)nc1 ZINC001308625446 1066124361 /nfs/dbraw/zinc/12/43/61/1066124361.db2.gz FJRMSTNKIIKEMI-UHFFFAOYSA-N 0 3 315.436 4.089 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)C[C@@H]1CCCC(C)(C)C1 ZINC001308629895 1066126169 /nfs/dbraw/zinc/12/61/69/1066126169.db2.gz MPUUWDHRGLCCDZ-CQSZACIVSA-N 0 3 322.880 4.427 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1Cc2ccc(C(=O)OC)cc2C1 ZINC001308629021 1066126379 /nfs/dbraw/zinc/12/63/79/1066126379.db2.gz ZAGPTOGZBUFIEY-GDBMZVCRSA-N 0 3 301.430 4.005 20 0 DIADHN C[C@@H]1CCN(Cc2cccnc2Br)[C@@H]2CCCC[C@H]12 ZINC001308630840 1066127484 /nfs/dbraw/zinc/12/74/84/1066127484.db2.gz NDAASSXKLSINID-BPLDGKMQSA-N 0 3 323.278 4.245 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC001308646966 1066135116 /nfs/dbraw/zinc/13/51/16/1066135116.db2.gz AJLDRENEFKBJMR-KBPBESRZSA-N 0 3 302.443 4.304 20 0 DIADHN COc1cc(Cl)c(CN(C)[C@H]2CCC[C@@H](C)C2)cc1OC ZINC001308649385 1066136499 /nfs/dbraw/zinc/13/64/99/1066136499.db2.gz KDFDCQIANFGEDA-OCCSQVGLSA-N 0 3 311.853 4.368 20 0 DIADHN Fc1ccc(N2CCN(CCCC3CCCCC3)CC2)cc1 ZINC001308648207 1066137000 /nfs/dbraw/zinc/13/70/00/1066137000.db2.gz DCNDSEFLWZUELR-UHFFFAOYSA-N 0 3 304.453 4.308 20 0 DIADHN COCCN(CCSCc1ccccc1)Cc1cccs1 ZINC001308655883 1066139853 /nfs/dbraw/zinc/13/98/53/1066139853.db2.gz FYNHLRGJCJAUBK-UHFFFAOYSA-N 0 3 321.511 4.130 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3c(c2)CCCO3)cc1 ZINC001308657344 1066140442 /nfs/dbraw/zinc/14/04/42/1066140442.db2.gz UPZBUWXBORMMLS-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2C)cc1OC1CC1 ZINC001308656688 1066140675 /nfs/dbraw/zinc/14/06/75/1066140675.db2.gz MVSKUCHTGFUQMN-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN CC[C@](C)(CN[C@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001308661625 1066143933 /nfs/dbraw/zinc/14/39/33/1066143933.db2.gz BIQNXMPCTUQYGB-HUUCEWRRSA-N 0 3 303.368 4.393 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3cn(C4CC4)cn3)C2)cc1 ZINC001308665012 1066144826 /nfs/dbraw/zinc/14/48/26/1066144826.db2.gz YYYFPIYPLRYIQJ-GOSISDBHSA-N 0 3 323.484 4.406 20 0 DIADHN Cc1nnc([C@@H](C)NC2CCC(C)(c3ccccc3)CC2)s1 ZINC001308671501 1066148197 /nfs/dbraw/zinc/14/81/97/1066148197.db2.gz ARAPVZWGCKEJRJ-IMUUDWKMSA-N 0 3 315.486 4.398 20 0 DIADHN C[C@@H](CCNCc1ccncc1Br)CC(C)(C)C ZINC001308695238 1066185168 /nfs/dbraw/zinc/18/51/68/1066185168.db2.gz ZUYKQILBHLOKQP-LBPRGKRZSA-N 0 3 313.283 4.396 20 0 DIADHN Cc1ccc(SC[C@@H](C)[NH2+]Cc2ccc([O-])c(F)c2)cc1 ZINC001308694043 1066183647 /nfs/dbraw/zinc/18/36/47/1066183647.db2.gz ABWNSKHMDMOBLV-CYBMUJFWSA-N 0 3 305.418 4.110 20 0 DIADHN CCCSC[C@@H](C)NCc1cccc(C)c1Br ZINC001308697797 1066185987 /nfs/dbraw/zinc/18/59/87/1066185987.db2.gz UAGBQOAKPIKNSK-GFCCVEGCSA-N 0 3 316.308 4.379 20 0 DIADHN COc1ccc(CN2CCC[C@H](C(C)(C)C)C2)c(F)c1OC ZINC001308719091 1066197387 /nfs/dbraw/zinc/19/73/87/1066197387.db2.gz XAYYQBSVMOHFSH-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN CC1CN(Cc2cn(-c3ccccc3)nc2-c2ccc(F)cc2)C1 ZINC001308732648 1066205914 /nfs/dbraw/zinc/20/59/14/1066205914.db2.gz TVERVBLYFOCADB-UHFFFAOYSA-N 0 3 321.399 4.130 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+]C2CCC3(CCCC3)CC2)[n-]1 ZINC001308736509 1066211841 /nfs/dbraw/zinc/21/18/41/1066211841.db2.gz NGSMEPHDEFJEAY-KBPBESRZSA-N 0 3 304.482 4.472 20 0 DIADHN C[C@@H]1CSCCN(Cc2cc3ccnc(Cl)c3s2)C1 ZINC001308737755 1066213336 /nfs/dbraw/zinc/21/33/36/1066213336.db2.gz AMAUYPONEFHGTJ-JTQLQIEISA-N 0 3 312.891 4.135 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)N[C@H]3CCC[C@@H]4C[C@@H]43)n2)cc1 ZINC001308739225 1066213448 /nfs/dbraw/zinc/21/34/48/1066213448.db2.gz PYVLKXYEZLCYBV-IEAZIUSSSA-N 0 3 311.429 4.138 20 0 DIADHN CO[C@@H]1CCN(CCCc2c(Cl)cccc2Cl)C[C@H]1C ZINC001308774312 1066247179 /nfs/dbraw/zinc/24/71/79/1066247179.db2.gz SWUFZLUVBRSUKT-MLGOLLRUSA-N 0 3 316.272 4.283 20 0 DIADHN Cc1scc(CN[C@@H](c2ccccc2)C2(CO)CCC2)c1C ZINC001308782960 1066257169 /nfs/dbraw/zinc/25/71/69/1066257169.db2.gz RDVGHHTWLLSMBG-SFHVURJKSA-N 0 3 315.482 4.358 20 0 DIADHN CC(C)(CCNCc1nnn(-c2ccccc2)c1C1CC1)C1CC1 ZINC001308788890 1066263768 /nfs/dbraw/zinc/26/37/68/1066263768.db2.gz NTLAXHJMRMRGOD-UHFFFAOYSA-N 0 3 324.472 4.061 20 0 DIADHN Cc1cc(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)c(C)o1 ZINC001308788440 1066264337 /nfs/dbraw/zinc/26/43/37/1066264337.db2.gz HYMOLGWZKQRACY-KRWDZBQOSA-N 0 3 305.805 4.203 20 0 DIADHN CO[C@@H](CN[C@@H](c1c(Cl)cccc1Cl)C1CC1)C1CC1 ZINC001308802223 1066277897 /nfs/dbraw/zinc/27/78/97/1066277897.db2.gz QJWXFJDRJZWQDH-GOEBONIOSA-N 0 3 314.256 4.459 20 0 DIADHN CO[C@@H](CN[C@H](c1c(Cl)cccc1Cl)C1CC1)C1CC1 ZINC001308802225 1066278277 /nfs/dbraw/zinc/27/82/77/1066278277.db2.gz QJWXFJDRJZWQDH-HOCLYGCPSA-N 0 3 314.256 4.459 20 0 DIADHN CC(C)[C@H]1N(Cc2ccnn2CC2CCC2)CC12CCCCC2 ZINC001308811955 1066287477 /nfs/dbraw/zinc/28/74/77/1066287477.db2.gz QVJGFINOUBSMGE-LJQANCHMSA-N 0 3 315.505 4.474 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@@H](C3CCCC3)C2)cc1OC ZINC001308827135 1066304510 /nfs/dbraw/zinc/30/45/10/1066304510.db2.gz JDUSCAJBRAYFLU-CQSZACIVSA-N 0 3 323.864 4.369 20 0 DIADHN CCCn1cncc1CN1CCC[C@@]1(C)Cc1ccccc1F ZINC001308832138 1066306401 /nfs/dbraw/zinc/30/64/01/1066306401.db2.gz OIALKYRJDNVHQK-IBGZPJMESA-N 0 3 315.436 4.029 20 0 DIADHN Cc1ccc(CN2CCc3ccc(F)cc3[C@@H](C)C2)c(F)c1 ZINC001308843339 1066321168 /nfs/dbraw/zinc/32/11/68/1066321168.db2.gz OXVGDCSZYRHXJO-AWEZNQCLSA-N 0 3 301.380 4.435 20 0 DIADHN COc1cc(CN(C)[C@H](C)CSc2ccccc2)ccc1F ZINC001308849827 1066325574 /nfs/dbraw/zinc/32/55/74/1066325574.db2.gz CPRJSPSQSHASQY-CQSZACIVSA-N 0 3 319.445 4.447 20 0 DIADHN C[C@@H]1CN(Cc2cncc3ccccc32)CCc2ccccc21 ZINC001308849989 1066327883 /nfs/dbraw/zinc/32/78/83/1066327883.db2.gz KRKNROSQWVKKFF-MRXNPFEDSA-N 0 3 302.421 4.397 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC3(CCCCC3)CC2)cn1 ZINC001308856423 1066336368 /nfs/dbraw/zinc/33/63/68/1066336368.db2.gz YPXRMWQRCNJLLX-UHFFFAOYSA-N 0 3 301.478 4.320 20 0 DIADHN Cc1ccc(CC2CN(Cc3cnc4c(F)cccc4c3)C2)cc1 ZINC001308866609 1066347382 /nfs/dbraw/zinc/34/73/82/1066347382.db2.gz GCXZXKBNYQCKCW-UHFFFAOYSA-N 0 3 320.411 4.357 20 0 DIADHN Cc1ccc(CN2CC[C@H](N(C)c3ccccc3)C2)cc1Cl ZINC001308884285 1066361917 /nfs/dbraw/zinc/36/19/17/1066361917.db2.gz OAJRCYTWEXSBAU-SFHVURJKSA-N 0 3 314.860 4.359 20 0 DIADHN Cc1ccc(CN2CC[C@@H](N(C)c3ccccc3)C2)cc1Cl ZINC001308884284 1066363579 /nfs/dbraw/zinc/36/35/79/1066363579.db2.gz OAJRCYTWEXSBAU-GOSISDBHSA-N 0 3 314.860 4.359 20 0 DIADHN C/C=C/C[C@H]1CCCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001308885598 1066364687 /nfs/dbraw/zinc/36/46/87/1066364687.db2.gz IWWOGZVVCHNTKQ-JDGPPOGSSA-N 0 3 318.408 4.426 20 0 DIADHN CC1(C)[C@H](NCc2cccc(F)c2F)C[C@H]1Oc1ccccc1 ZINC001308887587 1066368288 /nfs/dbraw/zinc/36/82/88/1066368288.db2.gz XAMQPRMRJCCIEH-IAGOWNOFSA-N 0 3 317.379 4.301 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H]2CCCC23CC3)c(Cl)n1 ZINC001308893868 1066373922 /nfs/dbraw/zinc/37/39/22/1066373922.db2.gz GYHFDMVAGDCOSX-JTQLQIEISA-N 0 3 304.743 4.176 20 0 DIADHN FCCC1CCN(Cc2cccc(Oc3ccccn3)c2)CC1 ZINC001308894756 1066375515 /nfs/dbraw/zinc/37/55/15/1066375515.db2.gz KXNJDJVCWDBKPW-UHFFFAOYSA-N 0 3 314.404 4.446 20 0 DIADHN Fc1cccnc1CNC[C@@]1(Cc2ccccc2)C=CCCC1 ZINC001308897934 1066377833 /nfs/dbraw/zinc/37/78/33/1066377833.db2.gz QUSYSMPYMABPMO-FQEVSTJZSA-N 0 3 310.416 4.280 20 0 DIADHN COc1cc(F)c(CN(C)C[C@@H](C)C2CCCCC2)cc1OC ZINC001308898395 1066378500 /nfs/dbraw/zinc/37/85/00/1066378500.db2.gz XNFJAQQQSUZOKR-CQSZACIVSA-N 0 3 323.452 4.491 20 0 DIADHN CC1(C)CCC[C@H](CN2CCN(c3ccc(F)cc3F)CC2)C1 ZINC001308906551 1066386291 /nfs/dbraw/zinc/38/62/91/1066386291.db2.gz FBXWAGCFLPQDNC-HNNXBMFYSA-N 0 3 322.443 4.303 20 0 DIADHN CCc1oc(CN2CCC[C@H](C3CCC3)CC2)cc1C(=O)OC ZINC001308912283 1066390046 /nfs/dbraw/zinc/39/00/46/1066390046.db2.gz VSWADGVUIAJZFY-HNNXBMFYSA-N 0 3 319.445 4.031 20 0 DIADHN COC(=O)c1ccc(CN2CC([C@H]3CCC[C@@H](C)C3)C2)cc1C ZINC001308912478 1066390892 /nfs/dbraw/zinc/39/08/92/1066390892.db2.gz QFENXOHUBDCGSF-PBHICJAKSA-N 0 3 315.457 4.040 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1NCCc1ccc(Cl)cc1 ZINC001308916037 1066395383 /nfs/dbraw/zinc/39/53/83/1066395383.db2.gz SCARUKGALQCDFU-MSOLQXFVSA-N 0 3 303.808 4.498 20 0 DIADHN Fc1ccc(-c2cc(CN[C@@H]3CCC34CCCC4)no2)c(F)c1 ZINC001308926035 1066400880 /nfs/dbraw/zinc/40/08/80/1066400880.db2.gz KSVLNFMIQAIRIZ-QGZVFWFLSA-N 0 3 318.367 4.432 20 0 DIADHN Cc1csc([C@H]2CCN(C/C=C\c3ccc(F)cc3)C2)n1 ZINC001308927694 1066402880 /nfs/dbraw/zinc/40/28/80/1066402880.db2.gz JBYHRUIPYVZGLR-GWQWAINWSA-N 0 3 302.418 4.093 20 0 DIADHN CC[C@H](NCc1ccc(OC)cn1)c1cccc2ccccc21 ZINC001308943865 1066411288 /nfs/dbraw/zinc/41/12/88/1066411288.db2.gz NQINWOOOINGAJX-FQEVSTJZSA-N 0 3 306.409 4.484 20 0 DIADHN COCCN(Cc1cc2cccnc2o1)Cc1ccc(C)c(C)c1 ZINC001308943774 1066411824 /nfs/dbraw/zinc/41/18/24/1066411824.db2.gz NNXWRQBUSAPJCK-UHFFFAOYSA-N 0 3 324.424 4.093 20 0 DIADHN c1ccc(-c2noc(C3CN(CCC4CCCCC4)C3)n2)cc1 ZINC001308954328 1066416720 /nfs/dbraw/zinc/41/67/20/1066416720.db2.gz YBSRKCHJBDLENW-UHFFFAOYSA-N 0 3 311.429 4.106 20 0 DIADHN Fc1cc(Cl)cc(CN2CCC[C@@H](C3CCOCC3)C2)c1 ZINC001308953753 1066416922 /nfs/dbraw/zinc/41/69/22/1066416922.db2.gz WGSYNLHRSUJUNK-OAHLLOKOSA-N 0 3 311.828 4.118 20 0 DIADHN CC1(C)C[C@@H](NCc2c(Cl)ccnc2Cl)CCS1 ZINC001308957401 1066418450 /nfs/dbraw/zinc/41/84/50/1066418450.db2.gz VLJXDPHZNFOTSV-VIFPVBQESA-N 0 3 305.274 4.152 20 0 DIADHN C[C@H]1C[C@H](NCC2(Br)CC2)c2ccsc2S1 ZINC001308965173 1066423280 /nfs/dbraw/zinc/42/32/80/1066423280.db2.gz ISQCCIKZNXGHJJ-WPRPVWTQSA-N 0 3 318.305 4.191 20 0 DIADHN C[C@H]1C[C@@H](N[C@@H]2C[C@@H](c3ccccc3)Oc3ccccc32)CO1 ZINC001308965942 1066423716 /nfs/dbraw/zinc/42/37/16/1066423716.db2.gz ZJGJLTQAWVGMLC-UQWBFEFOSA-N 0 3 309.409 4.019 20 0 DIADHN FC(F)(F)c1ccc(CN[C@@H]2C[C@@H]3CCC[C@@H]23)c(Cl)n1 ZINC001308974427 1066427994 /nfs/dbraw/zinc/42/79/94/1066427994.db2.gz HXALZQZTBQZILF-JMJZKYOTSA-N 0 3 304.743 4.032 20 0 DIADHN CC(C)(C)c1cnc(CN2CCCC[C@@H]([C@@H]3CCOC3)C2)s1 ZINC001308974814 1066428150 /nfs/dbraw/zinc/42/81/50/1066428150.db2.gz LVMYVPTVCRHQNQ-HUUCEWRRSA-N 0 3 322.518 4.079 20 0 DIADHN Fc1ccc(CCN2CC[C@H](Cc3nccs3)C2)c(Cl)c1 ZINC001308976266 1066429860 /nfs/dbraw/zinc/42/98/60/1066429860.db2.gz SMSJNMJNQGQSHN-GFCCVEGCSA-N 0 3 324.852 4.043 20 0 DIADHN CCc1ccc2c(c1)CN(Cc1ccc(OC)cc1CC)CC2 ZINC001308988069 1066433654 /nfs/dbraw/zinc/43/36/54/1066433654.db2.gz OZNTYFOAUQTUBE-UHFFFAOYSA-N 0 3 309.453 4.378 20 0 DIADHN CC[C@H](NCc1cnc(C(C)C)s1)[C@@H](O)c1ccccc1F ZINC000133165130 1126076557 /nfs/dbraw/zinc/07/65/57/1126076557.db2.gz UYTVGUXSWCRZLM-HOTGVXAUSA-N 0 3 322.449 4.007 20 0 DIADHN Cc1cc2oc(=O)cc(CN(C)Cc3ccccc3)c2cc1C ZINC000095570473 185380610 /nfs/dbraw/zinc/38/06/10/185380610.db2.gz PHLFPAINWBXVPK-UHFFFAOYSA-N 0 3 307.393 4.042 20 0 DIADHN O=C(Nc1ccccc1)C1CCN(C/C=C/c2ccccc2)CC1 ZINC000096956156 185393691 /nfs/dbraw/zinc/39/36/91/185393691.db2.gz VKNYMCPVZUQTBW-JXMROGBWSA-N 0 3 320.436 4.051 20 0 DIADHN C[C@@H](NCCc1nc(-c2ccccn2)cs1)c1ccsc1 ZINC000090044667 185279830 /nfs/dbraw/zinc/27/98/30/185279830.db2.gz FVHWFBMPYCNXJR-GFCCVEGCSA-N 0 3 315.467 4.160 20 0 DIADHN C[C@H](NCCc1nc(C2CCCCC2)cs1)c1ccccn1 ZINC000090046516 185279875 /nfs/dbraw/zinc/27/98/75/185279875.db2.gz XDEVAODSQDHWAD-AWEZNQCLSA-N 0 3 315.486 4.479 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnn(C(C)C)c1)c1cccc(Cl)c1 ZINC000090173331 185285102 /nfs/dbraw/zinc/28/51/02/185285102.db2.gz SCEICUGVBKTMFD-CXAGYDPISA-N 0 3 321.852 4.156 20 0 DIADHN Cc1sc(CCN[C@H](C)c2cccnc2)nc1-c1ccccc1 ZINC000090399973 185294308 /nfs/dbraw/zinc/29/43/08/185294308.db2.gz TUTXYZOGAQDOCQ-CQSZACIVSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H](C)c1ccccc1OC(F)F ZINC000090728074 185311454 /nfs/dbraw/zinc/31/14/54/185311454.db2.gz RCWJEBHIANQQOM-QWRGUYRKSA-N 0 3 324.371 4.347 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@@H]2NCc1cn(C)nc1C(F)(F)F ZINC000090666610 185308650 /nfs/dbraw/zinc/30/86/50/185308650.db2.gz QSMXMJVMSLXARD-FZMZJTMJSA-N 0 3 323.362 4.085 20 0 DIADHN Cc1ccc(C2CC2)c(C(=O)Nc2ccc(CN(C)C)c(C)c2)c1 ZINC000424903214 334935545 /nfs/dbraw/zinc/93/55/45/334935545.db2.gz YCKDPNMVYHVUTN-UHFFFAOYSA-N 0 3 322.452 4.495 20 0 DIADHN COCCc1nc(C)c([C@H](C)NCc2cccc(Cl)c2)s1 ZINC000092719556 185336245 /nfs/dbraw/zinc/33/62/45/185336245.db2.gz HSVUOFJEZLBGIE-NSHDSACASA-N 0 3 324.877 4.145 20 0 DIADHN COC(C)(C)C[C@H](C)N[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000343832227 335200500 /nfs/dbraw/zinc/20/05/00/335200500.db2.gz DXURWGDTUOCAFV-DTWKUNHWSA-N 0 3 310.385 4.016 20 0 DIADHN COCCCCCN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000297565751 536878328 /nfs/dbraw/zinc/87/83/28/536878328.db2.gz GIODZGPJXJSGBE-MRXNPFEDSA-N 0 3 314.429 4.341 20 0 DIADHN C[C@@H](NC[C@@H](C)C(F)(F)F)c1ccc(Br)cc1 ZINC000548158488 341250107 /nfs/dbraw/zinc/25/01/07/341250107.db2.gz JTLNBLDTEZLVJM-RKDXNWHRSA-N 0 3 310.157 4.298 20 0 DIADHN CCC[C@@H](CC)N[C@H](C(=O)NCc1ccccc1)c1ccccc1 ZINC000520125125 334975041 /nfs/dbraw/zinc/97/50/41/334975041.db2.gz FKTBGLINQOMKGO-UXHICEINSA-N 0 3 324.468 4.212 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3ccc(F)cc32)cc1OC ZINC000081181391 192233652 /nfs/dbraw/zinc/23/36/52/192233652.db2.gz LEBTUJDPXLXORE-SJKOYZFVSA-N 0 3 315.388 4.181 20 0 DIADHN CCC[C@@H](N)C(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000236897659 202059645 /nfs/dbraw/zinc/05/96/45/202059645.db2.gz MKDUPDAGLLNALZ-AUUYWEPGSA-N 0 3 310.441 4.019 20 0 DIADHN COc1cccc2cc([C@@H](C)NC[C@@H](C)c3nccs3)oc21 ZINC000186743239 200002694 /nfs/dbraw/zinc/00/26/94/200002694.db2.gz FKAZSTSKSSGDRD-VXGBXAGGSA-N 0 3 316.426 4.352 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccc(F)c1 ZINC000186842199 200019964 /nfs/dbraw/zinc/01/99/64/200019964.db2.gz RDXVANKCMWEJKP-SJCJKPOMSA-N 0 3 315.392 4.118 20 0 DIADHN C[C@@H](N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1cncc(F)c1 ZINC000090804452 192935485 /nfs/dbraw/zinc/93/54/85/192935485.db2.gz ZJAAMZOCOINDEW-ZRGWGRIASA-N 0 3 314.404 4.039 20 0 DIADHN Cc1cc(Br)c(CNCCCCOC(C)C)s1 ZINC000453572813 541304719 /nfs/dbraw/zinc/30/47/19/541304719.db2.gz OLSAJRGEPBMOET-UHFFFAOYSA-N 0 3 320.296 4.114 20 0 DIADHN COc1ccccc1C[C@H](NCc1ccc(Cl)cn1)C(C)C ZINC000557791688 541322175 /nfs/dbraw/zinc/32/21/75/541322175.db2.gz IZBYJIFNUHZQBL-KRWDZBQOSA-N 0 3 318.848 4.101 20 0 DIADHN COc1ccc([C@H](C)CCN[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000565551272 370704619 /nfs/dbraw/zinc/70/46/19/370704619.db2.gz WJVGFVHKCMPCMP-CVEARBPZSA-N 0 3 323.440 4.187 20 0 DIADHN CC(C)[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccccc1 ZINC000091283157 193030067 /nfs/dbraw/zinc/03/00/67/193030067.db2.gz RUUMNNAKWVRKMY-HXUWFJFHSA-N 0 3 309.457 4.169 20 0 DIADHN c1cnn(Cc2cccc(CN[C@@H](c3ccccc3)C3CC3)c2)c1 ZINC000091304112 193036276 /nfs/dbraw/zinc/03/62/76/193036276.db2.gz WMKGQAIKPPEDCR-NRFANRHFSA-N 0 3 317.436 4.172 20 0 DIADHN Cc1c(CN[C@H](c2ccc(Cl)c(Cl)c2)C2CC2)cnn1C ZINC000091406984 193052553 /nfs/dbraw/zinc/05/25/53/193052553.db2.gz YPTIBDKWZZYSLM-INIZCTEOSA-N 0 3 324.255 4.276 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1ccc(OCC(F)(F)F)cc1 ZINC000091987282 193137689 /nfs/dbraw/zinc/13/76/89/193137689.db2.gz DNIYBCJBRWNLSV-CYBMUJFWSA-N 0 3 324.346 4.216 20 0 DIADHN C[C@@H](NCC1CC(C)(C)C1)c1ncc(Br)cc1F ZINC000525859110 334995793 /nfs/dbraw/zinc/99/57/93/334995793.db2.gz CKLBHNBLSIFZEV-SECBINFHSA-N 0 3 315.230 4.070 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H](CO)C3CCCC3)oc21 ZINC000563783284 335006734 /nfs/dbraw/zinc/00/67/34/335006734.db2.gz DEEHOPCWQLNIOD-XJKSGUPXSA-N 0 3 317.429 4.033 20 0 DIADHN CC1(C)CCC[C@H]1NCC(=O)Nc1c(Cl)cccc1Cl ZINC000094324701 193359271 /nfs/dbraw/zinc/35/92/71/193359271.db2.gz UCBVUIHDTWJYPS-GFCCVEGCSA-N 0 3 315.244 4.100 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@]2(CCSC2)C1)c1ccccc1Cl ZINC000094370098 193366697 /nfs/dbraw/zinc/36/66/97/193366697.db2.gz BVZOJJVYABVBMG-WOSRLPQWSA-N 0 3 311.878 4.045 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CCc3ccncc3)nc2c1 ZINC000015452127 182002769 /nfs/dbraw/zinc/00/27/69/182002769.db2.gz WDKBZWQOEBRIAO-MRXNPFEDSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1cc(C(=O)Nc2ccccc2CN(C)C)c(Cl)cc1F ZINC000563798877 335019640 /nfs/dbraw/zinc/01/96/40/335019640.db2.gz XPNQDJWJJYQSAA-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Clc1ccc(CNCc2ccc(Br)cc2)cc1 ZINC000020209550 182197357 /nfs/dbraw/zinc/19/73/57/182197357.db2.gz IBSONZCNPHKQST-UHFFFAOYSA-N 0 3 310.622 4.392 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCCO2)c1cccs1 ZINC000020556318 182231502 /nfs/dbraw/zinc/23/15/02/182231502.db2.gz XNBQMPTUHJBSHO-CHWSQXEVSA-N 0 3 303.427 4.321 20 0 DIADHN Clc1ccc(CNCc2ccc3c(c2)OCCO3)cc1Cl ZINC000020566891 182232984 /nfs/dbraw/zinc/23/29/84/182232984.db2.gz CUJYTWIHESOWGX-UHFFFAOYSA-N 0 3 324.207 4.054 20 0 DIADHN COc1ccc(CNCc2ccc3nc(C)ccc3c2)c(C)c1 ZINC000525864139 335031150 /nfs/dbraw/zinc/03/11/50/335031150.db2.gz XRLBOXKLNOSGIB-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Br)ccc21)c1ccncc1 ZINC000040226407 183048039 /nfs/dbraw/zinc/04/80/39/183048039.db2.gz DWQXTXSFFQGFKY-BDJLRTHQSA-N 0 3 317.230 4.182 20 0 DIADHN COc1ccc(-c2nc(CN(C)C3CCCCC3)co2)cc1 ZINC000042737482 183303829 /nfs/dbraw/zinc/30/38/29/183303829.db2.gz ZUXSXAGCRYZXLA-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN F[C@H]1CC[C@H](NCc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000563835650 335038509 /nfs/dbraw/zinc/03/85/09/335038509.db2.gz LWNADDPVDDLLIQ-STQMWFEESA-N 0 3 310.825 4.444 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)Cc1ccccc1C(=O)N(C)C ZINC000417680383 335044200 /nfs/dbraw/zinc/04/42/00/335044200.db2.gz HGIWACAAUONTLZ-QGZVFWFLSA-N 0 3 324.468 4.189 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1cccn1)c1cccc(OC)c1 ZINC000119641448 195007760 /nfs/dbraw/zinc/00/77/60/195007760.db2.gz XDJBJJMRMKZIDY-LJQANCHMSA-N 0 3 321.424 4.122 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2ccccc2-n2cccn2)C1 ZINC000119644134 195008412 /nfs/dbraw/zinc/00/84/12/195008412.db2.gz JSQRRNWGUVELCM-QGZVFWFLSA-N 0 3 321.424 4.269 20 0 DIADHN c1oc(-c2ccccc2)nc1CN1CC[C@H](c2ccccc2)C1 ZINC000120149001 195106970 /nfs/dbraw/zinc/10/69/70/195106970.db2.gz AWVDWYGWABMTCR-SFHVURJKSA-N 0 3 304.393 4.331 20 0 DIADHN C[C@@H](C1CCN(C)CC1)N(C)C(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1 ZINC000498069071 335052689 /nfs/dbraw/zinc/05/26/89/335052689.db2.gz BJWYNKDEQOUXDK-IXDOHACOSA-N 0 3 322.537 4.028 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@H]1CC(C)(C)Cc2occc21 ZINC000152110377 196773220 /nfs/dbraw/zinc/77/32/20/196773220.db2.gz DYOUKYXVIFBPOE-BZNIZROVSA-N 0 3 302.418 4.119 20 0 DIADHN Cc1ccc(CNCCc2nc(-c3ccccc3)c(C)s2)o1 ZINC000152407280 335057705 /nfs/dbraw/zinc/05/77/05/335057705.db2.gz BQULARKLRBJJES-UHFFFAOYSA-N 0 3 312.438 4.352 20 0 DIADHN Cc1ccc(-c2nc(CNCC(C)(C)CCCO)cs2)cc1 ZINC000271432601 129912066 /nfs/dbraw/zinc/91/20/66/129912066.db2.gz BLESUHJJTHEEMJ-UHFFFAOYSA-N 0 3 318.486 4.007 20 0 DIADHN CC1(CN[C@H](c2ccc(F)cc2)c2ccc(Cl)cc2)COC1 ZINC000272403303 130027330 /nfs/dbraw/zinc/02/73/30/130027330.db2.gz KBGGMGAZDYMWGK-KRWDZBQOSA-N 0 3 319.807 4.195 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1CCO[C@@H](C)C1 ZINC000272698649 130054668 /nfs/dbraw/zinc/05/46/68/130054668.db2.gz RHZCHFGNGOYMOH-KXBFYZLASA-N 0 3 311.425 4.019 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1ccc(SC(F)F)cc1 ZINC000272813792 130066626 /nfs/dbraw/zinc/06/66/26/130066626.db2.gz VRKKOCKXGUVBIL-OAHLLOKOSA-N 0 3 321.392 4.484 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCCc3c(Cl)cccc32)cnn1C ZINC000273390240 130116262 /nfs/dbraw/zinc/11/62/62/130116262.db2.gz KKJKWSIVYUKRGN-APPDUMDISA-N 0 3 303.837 4.110 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@@H](c3ccc(F)cc3)C2)cs1 ZINC000273426363 130120968 /nfs/dbraw/zinc/12/09/68/130120968.db2.gz KFNPQFKFIKFDNO-UXEPBGEESA-N 0 3 320.433 4.162 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3c(F)cccc3F)CCO2)cc1 ZINC000273507272 130130580 /nfs/dbraw/zinc/13/05/80/130130580.db2.gz QFXQNYPHSJLDKJ-RDTXWAMCSA-N 0 3 321.342 4.114 20 0 DIADHN CCc1ncc(CN[C@@H](C)[C@@H](OC)c2ccccc2Cl)s1 ZINC000353176799 130158032 /nfs/dbraw/zinc/15/80/32/130158032.db2.gz WCOGJRGSUNRJCI-MEDUHNTESA-N 0 3 324.877 4.225 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCO[C@@H](c3ccccc3)C2)cs1 ZINC000274309325 130225823 /nfs/dbraw/zinc/22/58/23/130225823.db2.gz TWLZKHLGGXQRAE-XGWLTEMNSA-N 0 3 302.443 4.022 20 0 DIADHN CCCN(Cc1cn(C)nc1C(F)F)[C@@H]1CCc2ccccc21 ZINC000274463024 130237558 /nfs/dbraw/zinc/23/75/58/130237558.db2.gz HYMXIFBDGQTGNW-MRXNPFEDSA-N 0 3 319.399 4.257 20 0 DIADHN CCCN(Cc1cn(C)nc1C(F)F)[C@H]1CCc2ccccc21 ZINC000274463021 130238555 /nfs/dbraw/zinc/23/85/55/130238555.db2.gz HYMXIFBDGQTGNW-INIZCTEOSA-N 0 3 319.399 4.257 20 0 DIADHN Cn1cc(CN[C@H](c2ccccc2)C2CCCC2)c(C(F)F)n1 ZINC000274513547 130242797 /nfs/dbraw/zinc/24/27/97/130242797.db2.gz WAABIFDCSPTJIF-MRXNPFEDSA-N 0 3 319.399 4.379 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000274736656 130258284 /nfs/dbraw/zinc/25/82/84/130258284.db2.gz BWGYIWJUNXYVQQ-QRQCRPRQSA-N 0 3 315.482 4.227 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)cn1)[C@H](c1ccccc1)N(C)C ZINC000274750945 130259288 /nfs/dbraw/zinc/25/92/88/130259288.db2.gz HMKDDOFLZJQHGZ-PMUMKWKESA-N 0 3 317.864 4.077 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(Cl)cn1)c1ccco1 ZINC000274926740 130274740 /nfs/dbraw/zinc/27/47/40/130274740.db2.gz QATIJZBLOJXSQY-XJKSGUPXSA-N 0 3 321.852 4.062 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@H](c1ccccc1)C(F)(F)F ZINC000275007857 130283624 /nfs/dbraw/zinc/28/36/24/130283624.db2.gz PIRQVHLONOFACL-XJKSGUPXSA-N 0 3 308.347 4.387 20 0 DIADHN COc1ccsc1[C@H](C)NCc1cccc(CSC)c1 ZINC000275742517 130375220 /nfs/dbraw/zinc/37/52/20/130375220.db2.gz QMDBFNNFXXEPNS-LBPRGKRZSA-N 0 3 307.484 4.471 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc([S@](C)=O)cc1 ZINC000275753620 130377844 /nfs/dbraw/zinc/37/78/44/130377844.db2.gz SABJAOHFAMIVON-YTEVENLXSA-N 0 3 323.408 4.212 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cnccn1 ZINC000280766907 130816629 /nfs/dbraw/zinc/81/66/29/130816629.db2.gz ZFGPUULNBZPSLK-UXIGCNINSA-N 0 3 321.346 4.092 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1cccc(C2CC2)c1 ZINC000340176874 131001789 /nfs/dbraw/zinc/00/17/89/131001789.db2.gz DXYBFPLHKNUCND-CQSZACIVSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H](NCCCO[C@H](C)c1ccccc1)c1ccncc1F ZINC000340178889 131008634 /nfs/dbraw/zinc/00/86/34/131008634.db2.gz CITNUYWABYJZML-HUUCEWRRSA-N 0 3 302.393 4.039 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NCCc2cscn2)cc1 ZINC000283682543 131013232 /nfs/dbraw/zinc/01/32/32/131013232.db2.gz GDHLMQYKLJIKEK-CYBMUJFWSA-N 0 3 318.339 4.108 20 0 DIADHN CCCCOc1ccc([C@@H](C)N[C@H](C)c2cnn(C)c2C)cc1 ZINC000284358262 131073714 /nfs/dbraw/zinc/07/37/14/131073714.db2.gz NRWSHNWMOJCIHJ-HUUCEWRRSA-N 0 3 315.461 4.319 20 0 DIADHN c1nc(CCN2CCC(c3c[nH]c4ccccc43)CC2)cs1 ZINC000284373126 131075656 /nfs/dbraw/zinc/07/56/56/131075656.db2.gz UJHJDXYFJHUWSG-UHFFFAOYSA-N 0 3 311.454 4.047 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(C(C)(C)C)nc1)[C@@H](C)C2 ZINC000284416144 131079036 /nfs/dbraw/zinc/07/90/36/131079036.db2.gz QYFHPZUXDRVZPV-KBXCAEBGSA-N 0 3 309.457 4.106 20 0 DIADHN Cc1nc(-c2ccc(CNCc3cccc4[nH]ccc43)o2)cs1 ZINC000340194489 131128011 /nfs/dbraw/zinc/12/80/11/131128011.db2.gz CKOJQTKBZRJSGZ-UHFFFAOYSA-N 0 3 323.421 4.483 20 0 DIADHN CCOC1CC(CN(Cc2ccco2)Cc2cccs2)C1 ZINC000285014307 131130870 /nfs/dbraw/zinc/13/08/70/131130870.db2.gz AJKPOZSRPBCHSW-UHFFFAOYSA-N 0 3 305.443 4.158 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cn[nH]c1)c1ccc(C(F)(F)F)cc1 ZINC000285034322 131132390 /nfs/dbraw/zinc/13/23/90/131132390.db2.gz HVPACUTWTVTWEO-NHYWBVRUSA-N 0 3 311.351 4.476 20 0 DIADHN CC(C)(C)c1ccc(CN[C@H]2CCO[C@@H]2c2cccnc2)s1 ZINC000285042952 131133166 /nfs/dbraw/zinc/13/31/66/131133166.db2.gz NWZIYERLWHMUPH-DOTOQJQBSA-N 0 3 316.470 4.060 20 0 DIADHN Cc1coc(C)c1C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000285307993 131156025 /nfs/dbraw/zinc/15/60/25/131156025.db2.gz PGHQNMNBUSAAAK-UHFFFAOYSA-N 0 3 312.413 4.053 20 0 DIADHN c1nc(CN2C[C@@H](c3ccccc3)[C@@H]3CCC[C@H]32)oc1C1CC1 ZINC000285339423 131158710 /nfs/dbraw/zinc/15/87/10/131158710.db2.gz CPWGWQDGLNMPKQ-OKZBNKHCSA-N 0 3 308.425 4.320 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1cccc(C)c1 ZINC000285468675 131171758 /nfs/dbraw/zinc/17/17/58/131171758.db2.gz AQRMTRPQEKLTAE-UZLBHIALSA-N 0 3 320.440 4.378 20 0 DIADHN Cc1noc(C)c1C(C)(C)NCCSCc1ccccc1 ZINC000285507202 131174715 /nfs/dbraw/zinc/17/47/15/131174715.db2.gz CZGIIZPNJSGFQZ-UHFFFAOYSA-N 0 3 304.459 4.050 20 0 DIADHN COCCC[C@@H](C)N[C@H](C)c1ccc(Br)cc1F ZINC000285511266 131176262 /nfs/dbraw/zinc/17/62/62/131176262.db2.gz BEBOZSFELXZBMI-GHMZBOCLSA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc3[nH]c(C)cc3c1)CC2 ZINC000285534188 131178551 /nfs/dbraw/zinc/17/85/51/131178551.db2.gz CWBYDOREKBULHN-HXUWFJFHSA-N 0 3 306.409 4.262 20 0 DIADHN COc1ccsc1[C@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000285612082 131185914 /nfs/dbraw/zinc/18/59/14/131185914.db2.gz JGOZNXBCZGUIFQ-LBPRGKRZSA-N 0 3 300.427 4.397 20 0 DIADHN C[C@@H](N[C@@H](C)c1c(F)cncc1F)[C@@H]1C[C@H]1c1ccccc1 ZINC000285660184 131190677 /nfs/dbraw/zinc/19/06/77/131190677.db2.gz MEPQYLDHUQTBRT-DHMWGJHJSA-N 0 3 302.368 4.203 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H](c2ccccc2)C2CC2)c1 ZINC000285717174 131195261 /nfs/dbraw/zinc/19/52/61/131195261.db2.gz PWMCXFPKHYGQBS-SJCJKPOMSA-N 0 3 315.438 4.337 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000285731468 131197159 /nfs/dbraw/zinc/19/71/59/131197159.db2.gz QTZZLTURCIBHQM-CQSZACIVSA-N 0 3 317.502 4.486 20 0 DIADHN Cc1cc2cc(CN[C@@H]3CCCc4nc(C)sc43)ccc2[nH]1 ZINC000285731520 131197247 /nfs/dbraw/zinc/19/72/47/131197247.db2.gz QUHMWJKREAEGOD-MRXNPFEDSA-N 0 3 311.454 4.408 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3[nH]c(C)cc3c2)cc1OC ZINC000285762714 131200976 /nfs/dbraw/zinc/20/09/76/131200976.db2.gz STOPRUCNMPEDHW-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CC1)c1ccccc1-n1ccnn1 ZINC000285784486 131201369 /nfs/dbraw/zinc/20/13/69/131201369.db2.gz UIKFUDWQFRKIEP-QRWLVFNGSA-N 0 3 318.424 4.069 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1ccccc1-n1ccnn1 ZINC000285784482 131201868 /nfs/dbraw/zinc/20/18/68/131201868.db2.gz UIKFUDWQFRKIEP-FOIQADDNSA-N 0 3 318.424 4.069 20 0 DIADHN Cc1csc([C@@H](C)CN[C@@H](c2ncc[nH]2)C2CCCCC2)n1 ZINC000285928258 131209750 /nfs/dbraw/zinc/20/97/50/131209750.db2.gz CTDMPKFYKFJRHE-SWLSCSKDSA-N 0 3 318.490 4.189 20 0 DIADHN CCS[C@@H]1CCC[C@H]1NCc1csc(-c2ccoc2)n1 ZINC000285958681 131211896 /nfs/dbraw/zinc/21/18/96/131211896.db2.gz DEQXOZBZQDAXCJ-ZIAGYGMSSA-N 0 3 308.472 4.167 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cccc(O)c2)c(C)c1OC ZINC000286036643 131216990 /nfs/dbraw/zinc/21/69/90/131216990.db2.gz KJJXSMUKGZCISR-UONOGXRCSA-N 0 3 315.413 4.130 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cccc(O)c2)c(C)c1OC ZINC000286036646 131217336 /nfs/dbraw/zinc/21/73/36/131217336.db2.gz KJJXSMUKGZCISR-ZIAGYGMSSA-N 0 3 315.413 4.130 20 0 DIADHN CC[C@H](NCc1ccc(N2CCOC2=O)cc1)c1cccc(C)c1 ZINC000286107235 131219285 /nfs/dbraw/zinc/21/92/85/131219285.db2.gz MXQDMFCSYCUAFJ-IBGZPJMESA-N 0 3 324.424 4.193 20 0 DIADHN OC1CCC(N[C@H](c2cccs2)c2ccc(Cl)cc2)CC1 ZINC000286159823 131223160 /nfs/dbraw/zinc/22/31/60/131223160.db2.gz PUDMSIUYEFXQJO-DQPZFDDXSA-N 0 3 321.873 4.384 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccccc3)CC2)c(C)c1OC ZINC000286223169 131224675 /nfs/dbraw/zinc/22/46/75/131224675.db2.gz SLHKZDDEVHBIKK-HNNXBMFYSA-N 0 3 311.425 4.352 20 0 DIADHN COC(=O)CCC[C@@H]1CCC[C@H](N[C@@H](C)c2nc(C)cs2)C1 ZINC000340225787 131228354 /nfs/dbraw/zinc/22/83/54/131228354.db2.gz MIRHUKKJIXUYPZ-KKUMJFAQSA-N 0 3 324.490 4.004 20 0 DIADHN Fc1ccccc1C[C@@H](NCC1=CCCOC1)c1ccccc1 ZINC000286876967 131253458 /nfs/dbraw/zinc/25/34/58/131253458.db2.gz CEUMKHRCYQKFID-HXUWFJFHSA-N 0 3 311.400 4.046 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCCSC(C)C ZINC000286932594 131256699 /nfs/dbraw/zinc/25/66/99/131256699.db2.gz GJEVOUMOEBMYFX-GFCCVEGCSA-N 0 3 321.515 4.306 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](C)CCOCc2ccccc2)cs1 ZINC000286932493 131256768 /nfs/dbraw/zinc/25/67/68/131256768.db2.gz GHVBGYWJEWMCKA-ZIAGYGMSSA-N 0 3 304.459 4.098 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](C)c1ccc(F)c2ccccc21 ZINC000287171073 131267624 /nfs/dbraw/zinc/26/76/24/131267624.db2.gz CDTRVCNYTVEACF-CHWSQXEVSA-N 0 3 311.404 4.433 20 0 DIADHN COCCCOc1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000287529352 131284663 /nfs/dbraw/zinc/28/46/63/131284663.db2.gz OWXUDDJULXJXBA-AWEZNQCLSA-N 0 3 305.443 4.014 20 0 DIADHN Cc1cccc(CCCN[C@@H](C)c2csc(C(C)C)n2)n1 ZINC000287709423 131296296 /nfs/dbraw/zinc/29/62/96/131296296.db2.gz XMNVKBMGBKJVBE-AWEZNQCLSA-N 0 3 303.475 4.253 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2cccc(-n3ccnn3)c2)ccc1F ZINC000287817716 131301932 /nfs/dbraw/zinc/30/19/32/131301932.db2.gz VANDOFAAHOCIEQ-LSDHHAIUSA-N 0 3 324.403 4.127 20 0 DIADHN c1ccc2c(c1)C[C@H](N[C@H]1CC3(CCC3)Oc3ccccc31)CO2 ZINC000340262142 131301956 /nfs/dbraw/zinc/30/19/56/131301956.db2.gz RWORUGSGJOMVNO-WMZOPIPTSA-N 0 3 321.420 4.026 20 0 DIADHN Clc1ccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)cn1 ZINC000289087592 131357053 /nfs/dbraw/zinc/35/70/53/131357053.db2.gz BHAFDCXHRZPDMY-MRXNPFEDSA-N 0 3 311.816 4.384 20 0 DIADHN C[C@H](NCc1ccc([S@](C)=O)cc1)c1cccc(C2CC2)c1 ZINC000340304266 131357061 /nfs/dbraw/zinc/35/70/61/131357061.db2.gz TYBOYVDTCNZBQN-FPTDNZKUSA-N 0 3 313.466 4.152 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc(N3CCCCC3)nc2)c2ccccc21 ZINC000289142859 131361303 /nfs/dbraw/zinc/36/13/03/131361303.db2.gz FSORASSVRRRJNJ-JXFKEZNVSA-N 0 3 321.468 4.410 20 0 DIADHN Cc1ccc(Cl)cc1-c1noc(C2CCN(C3CC3)CC2)n1 ZINC000289158091 131362229 /nfs/dbraw/zinc/36/22/29/131362229.db2.gz UIBYUIJURPBVAC-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H](C)CC(C)(C)c2ccccc2)s1 ZINC000289238544 131368018 /nfs/dbraw/zinc/36/80/18/131368018.db2.gz KWRPKIMKXMGKLM-UONOGXRCSA-N 0 3 318.486 4.042 20 0 DIADHN C[C@H](c1nc2ccccc2o1)N1CCC[C@@H](c2ccncc2)C1 ZINC000289321508 131374427 /nfs/dbraw/zinc/37/44/27/131374427.db2.gz KCLBXCMMRTWCSL-GDBMZVCRSA-N 0 3 307.397 4.163 20 0 DIADHN COCc1cccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000289334441 131375390 /nfs/dbraw/zinc/37/53/90/131375390.db2.gz WCJFASYHIQPCTQ-HXUWFJFHSA-N 0 3 320.436 4.482 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000289338971 131376232 /nfs/dbraw/zinc/37/62/32/131376232.db2.gz WQAMXTNVLOMMSO-YJYMSZOUSA-N 0 3 322.456 4.246 20 0 DIADHN CCN(Cc1ncc(-c2ccccc2)o1)[C@H]1CCOC(C)(C)C1 ZINC000289363724 131378879 /nfs/dbraw/zinc/37/88/79/131378879.db2.gz AMSLQEJKJANAMF-INIZCTEOSA-N 0 3 314.429 4.121 20 0 DIADHN CCOc1cccc([C@@H](C)N[C@@H](C)c2ccc(OC)c(O)c2)c1 ZINC000289577264 131397222 /nfs/dbraw/zinc/39/72/22/131397222.db2.gz DPPVUXBSWYCRHA-KGLIPLIRSA-N 0 3 315.413 4.211 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)[C@H](C)OC)ccc1SC(F)F ZINC000289591900 131398810 /nfs/dbraw/zinc/39/88/10/131398810.db2.gz BBPBTDVXYQIFIG-VWYCJHECSA-N 0 3 319.417 4.084 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C(F)F)cc2)cc1O ZINC000290648355 131506447 /nfs/dbraw/zinc/50/64/47/131506447.db2.gz JXPDCVCCRVTKOC-LLVKDONJSA-N 0 3 307.340 4.189 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccncc2C)cc1OCC ZINC000294643647 131850411 /nfs/dbraw/zinc/85/04/11/131850411.db2.gz BIYRDZWJBPUPHB-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(OC)cc1)c1ccsc1 ZINC000297155573 132002455 /nfs/dbraw/zinc/00/24/55/132002455.db2.gz CODSCXALCFMIRO-MSOLQXFVSA-N 0 3 318.486 4.100 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c(F)cc(F)cc21)c1cccc(CO)c1 ZINC000297177355 132003382 /nfs/dbraw/zinc/00/33/82/132003382.db2.gz DSQQGQWWUVIIQC-BLVKFPJESA-N 0 3 317.379 4.185 20 0 DIADHN CC[C@H](NCc1cc(C)nc(N2CCCC2)c1)c1ccsc1 ZINC000297192086 132003633 /nfs/dbraw/zinc/00/36/33/132003633.db2.gz FLXXVGLXCFVMKY-KRWDZBQOSA-N 0 3 315.486 4.293 20 0 DIADHN C[C@@H](CCO)CCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000297195763 132003768 /nfs/dbraw/zinc/00/37/68/132003768.db2.gz FRPZVMMWBDAZMU-OLZOCXBDSA-N 0 3 322.449 4.008 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)cs1 ZINC000297227296 132005083 /nfs/dbraw/zinc/00/50/83/132005083.db2.gz HPKUOWVBBHBFEP-LRDDRELGSA-N 0 3 309.862 4.484 20 0 DIADHN C[C@@H](NCCCC(C)(F)F)c1nc2ccc(Cl)cc2n1C ZINC000297241275 132005158 /nfs/dbraw/zinc/00/51/58/132005158.db2.gz INSHJVAYLODNGD-SNVBAGLBSA-N 0 3 315.795 4.313 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2ccsc2)ccc1OC ZINC000297608443 132024708 /nfs/dbraw/zinc/02/47/08/132024708.db2.gz IKTIFXDRMAMBDS-HNNXBMFYSA-N 0 3 305.443 4.396 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCCCO2)c1ccsc1 ZINC000297834264 132036176 /nfs/dbraw/zinc/03/61/76/132036176.db2.gz SBRDGPXVCJWTSN-OAHLLOKOSA-N 0 3 303.427 4.150 20 0 DIADHN C[C@]12CCN(Cc3ccc(Cl)c4cccnc34)C[C@H]1C2(F)F ZINC000298186519 132049460 /nfs/dbraw/zinc/04/94/60/132049460.db2.gz APVVNAKQHSHLNO-ZBFHGGJFSA-N 0 3 322.786 4.365 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1Cl)c1cccs1 ZINC000298844763 132079928 /nfs/dbraw/zinc/07/99/28/132079928.db2.gz IFIVDWYRSKZFDA-NSHDSACASA-N 0 3 308.834 4.081 20 0 DIADHN Nc1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)ccn1 ZINC000298885582 132081615 /nfs/dbraw/zinc/08/16/15/132081615.db2.gz KROWBWLZUBIDAA-GOSISDBHSA-N 0 3 315.848 4.338 20 0 DIADHN CCOc1cc(CN[C@@H]2CCSc3ccccc32)ccc1O ZINC000299271195 132095762 /nfs/dbraw/zinc/09/57/62/132095762.db2.gz SPYZMOPBGMHOGD-OAHLLOKOSA-N 0 3 315.438 4.118 20 0 DIADHN CC[C@H](C)[C@H](O)CN[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000163952295 132127145 /nfs/dbraw/zinc/12/71/45/132127145.db2.gz MIZUVEKQEZSZCG-ZIBATOQPSA-N 0 3 324.515 4.238 20 0 DIADHN CC[C@H](C)[C@@H](O)CN[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000163952499 132128270 /nfs/dbraw/zinc/12/82/70/132128270.db2.gz MIZUVEKQEZSZCG-PGUXBMHVSA-N 0 3 324.515 4.238 20 0 DIADHN Cc1cc2ncc([C@H](C)N[C@H](C)c3ccc(C)cc3)c(C)n2n1 ZINC000119636315 132134093 /nfs/dbraw/zinc/13/40/93/132134093.db2.gz BPUINAMKGFVWSJ-CABCVRRESA-N 0 3 308.429 4.066 20 0 DIADHN Cc1ccc(C(C)(C)NCCSc2ccccc2F)cn1 ZINC000353635900 132141429 /nfs/dbraw/zinc/14/14/29/132141429.db2.gz NTDMWAXAORZFJB-UHFFFAOYSA-N 0 3 304.434 4.146 20 0 DIADHN NC1(c2nc(-c3cccc(OC(F)F)c3)cs2)CCCC1 ZINC000041164271 132146412 /nfs/dbraw/zinc/14/64/12/132146412.db2.gz FDAJPTBZEAUFKZ-UHFFFAOYSA-N 0 3 310.369 4.140 20 0 DIADHN Fc1cccc(Cl)c1CNCC1(c2ccccn2)CCC1 ZINC000353098719 132151187 /nfs/dbraw/zinc/15/11/87/132151187.db2.gz QAELDDJCJGSUQF-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2O)c1cccc(OC(F)F)c1 ZINC000121449105 132155623 /nfs/dbraw/zinc/15/56/23/132155623.db2.gz ZPKWRRMMMFBDRZ-MEDUHNTESA-N 0 3 319.351 4.332 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2cccc(OC(F)F)c2)c1 ZINC000121423567 132155734 /nfs/dbraw/zinc/15/57/34/132155734.db2.gz UYBSFGQQQJRKAD-ZDUSSCGKSA-N 0 3 321.367 4.285 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](c3ccccc3)C(C)C)c(C)n2n1 ZINC000121632570 132157256 /nfs/dbraw/zinc/15/72/56/132157256.db2.gz LBAHEDAOUKFVNS-QRWLVFNGSA-N 0 3 322.456 4.394 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1cccc(C(F)(F)F)c1 ZINC000122169345 132162344 /nfs/dbraw/zinc/16/23/44/132162344.db2.gz IAVPCQGPDZZWNX-NHYWBVRUSA-N 0 3 323.362 4.167 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(C(F)(F)F)cc1 ZINC000122284430 132162643 /nfs/dbraw/zinc/16/26/43/132162643.db2.gz QLFZPQHCBCQKPV-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000122524683 132165754 /nfs/dbraw/zinc/16/57/54/132165754.db2.gz LQMCUIMUXVEEAD-AEFFLSMTSA-N 0 3 315.436 4.314 20 0 DIADHN CSc1cc(CN[C@H](C)c2ccc(OC(C)C)cc2)ccn1 ZINC000563866760 335077030 /nfs/dbraw/zinc/07/70/30/335077030.db2.gz GJDQBTUPRZYMPU-CQSZACIVSA-N 0 3 316.470 4.442 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425553590 335086781 /nfs/dbraw/zinc/08/67/81/335086781.db2.gz MQVUCLWJYVBVSO-KSSFIOAISA-N 0 3 303.421 4.168 20 0 DIADHN COc1sc(CN(C)[C@H]2CC[C@H](C)C2)cc1Br ZINC000433040899 338177877 /nfs/dbraw/zinc/17/78/77/338177877.db2.gz BAOVCVCONPBOFJ-UWVGGRQHSA-N 0 3 318.280 4.140 20 0 DIADHN C[C@H](O)[C@H](N[C@@H](C)[C@@H](C)c1ccccc1)c1ccccc1F ZINC000572771241 332782582 /nfs/dbraw/zinc/78/25/82/332782582.db2.gz AUSMSKYNOWZZHN-QZNHQWIBSA-N 0 3 301.405 4.029 20 0 DIADHN CCc1noc(C)c1CN[C@H](C)CCc1ccc(Cl)s1 ZINC000570702743 332803664 /nfs/dbraw/zinc/80/36/64/332803664.db2.gz VXJYOJZWOYAHIC-SNVBAGLBSA-N 0 3 312.866 4.371 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc(C)cc(C)c2)c(C)c1OC ZINC000570718584 332806509 /nfs/dbraw/zinc/80/65/09/332806509.db2.gz GXNRHKBJXVDZFM-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCc3c(OC)cccc32)cc1 ZINC000570783047 332815930 /nfs/dbraw/zinc/81/59/30/332815930.db2.gz MQCMVYIFLOVLNV-IBGZPJMESA-N 0 3 311.425 4.261 20 0 DIADHN COC(=O)c1cccc(CN[C@H]2CCCCC2(C)C)c1Cl ZINC000394504352 332854096 /nfs/dbraw/zinc/85/40/96/332854096.db2.gz IIWOIUYWFSDJSE-AWEZNQCLSA-N 0 3 309.837 4.185 20 0 DIADHN Cc1cccc(C)c1OCCCNCC1=Cc2ccccc2OC1 ZINC000518759845 332899748 /nfs/dbraw/zinc/89/97/48/332899748.db2.gz UCFFJMPRGKGIDP-UHFFFAOYSA-N 0 3 323.436 4.138 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3c2cccc3F)cc1F ZINC000317141146 331464684 /nfs/dbraw/zinc/46/46/84/331464684.db2.gz ONUJCRMXAKUQJM-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccccc2)cc1Br ZINC000035043922 331466592 /nfs/dbraw/zinc/46/65/92/331466592.db2.gz PQBKGEVTCGXQHD-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN COc1ccc([C@H](C)NCc2ccccc2)cc1Br ZINC000035043919 331466692 /nfs/dbraw/zinc/46/66/92/331466692.db2.gz PQBKGEVTCGXQHD-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN Fc1ccc(-c2nc(CNC(C3CC3)C3CC3)cs2)cc1 ZINC000035177155 331483448 /nfs/dbraw/zinc/48/34/48/331483448.db2.gz BOHMKRGZXMCNLM-UHFFFAOYSA-N 0 3 302.418 4.227 20 0 DIADHN C[C@H](N[C@@H](CO)Cc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000063218393 331494952 /nfs/dbraw/zinc/49/49/52/331494952.db2.gz RSSRTILCUAQRBA-SWLSCSKDSA-N 0 3 324.251 4.248 20 0 DIADHN CCC[C@H](NC[C@@](C)(O)C(F)(F)F)c1ccc(Cl)cc1 ZINC000537947308 331524331 /nfs/dbraw/zinc/52/43/31/331524331.db2.gz QFVZYZBRYZUEAC-QWHCGFSZSA-N 0 3 309.759 4.084 20 0 DIADHN C[C@H](NCCOc1ccccc1-c1ccccc1)c1ccccn1 ZINC000179466411 331524571 /nfs/dbraw/zinc/52/45/71/331524571.db2.gz DDMQICJWDXSNEC-KRWDZBQOSA-N 0 3 318.420 4.478 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@H]1c1ccc(Cl)cc1)c1ccsc1 ZINC000537948500 331541915 /nfs/dbraw/zinc/54/19/15/331541915.db2.gz PNADWYGUDRHYMC-ZLIFDBKOSA-N 0 3 320.889 4.498 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H](C)c1cc(C)c(C)cc1C ZINC000538018109 331559236 /nfs/dbraw/zinc/55/92/36/331559236.db2.gz KZMOQISTMVEOEE-RDJZCZTQSA-N 0 3 303.471 4.366 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@@H](c1ccccc1)C1CCCC1 ZINC000533521330 331565714 /nfs/dbraw/zinc/56/57/14/331565714.db2.gz FHOXIYUHNODBQK-NRFANRHFSA-N 0 3 322.452 4.455 20 0 DIADHN Cc1ccc([C@@H](NCCc2nccs2)c2ccccc2)cc1 ZINC000180341057 331566397 /nfs/dbraw/zinc/56/63/97/331566397.db2.gz FJCXJUVTSPFSQI-IBGZPJMESA-N 0 3 308.450 4.373 20 0 DIADHN Cc1ccc([C@H](NCCc2cscn2)c2ccccc2)cc1 ZINC000180790654 331577495 /nfs/dbraw/zinc/57/74/95/331577495.db2.gz FVKBTDGONILFMX-LJQANCHMSA-N 0 3 308.450 4.373 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1ccc2c(c1)OCCO2 ZINC000102196391 332917841 /nfs/dbraw/zinc/91/78/41/332917841.db2.gz CXFMVKNDCMCXMB-WFASDCNBSA-N 0 3 315.438 4.248 20 0 DIADHN C[C@@H](N[C@H]1CCCOC1)c1ccc(Cl)c(Cl)c1Cl ZINC000109545320 331582385 /nfs/dbraw/zinc/58/23/85/331582385.db2.gz FKDQAGPDXIJISI-BDAKNGLRSA-N 0 3 308.636 4.476 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@@H](c1ccccc1)N(CC)CC ZINC000109706385 331589599 /nfs/dbraw/zinc/58/95/99/331589599.db2.gz PJGYPGYFRWFIHC-WMZOPIPTSA-N 0 3 304.478 4.012 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H](C)Cc1ccsc1 ZINC000530546199 331592376 /nfs/dbraw/zinc/59/23/76/331592376.db2.gz XESDCMVLERQPGO-LBPRGKRZSA-N 0 3 301.411 4.224 20 0 DIADHN C/C(Cl)=C/CN[C@H](C)c1cccc(OCc2ccccn2)c1 ZINC000528430573 331603687 /nfs/dbraw/zinc/60/36/87/331603687.db2.gz DCAAAVPKVOVBQV-KQGZCTBQSA-N 0 3 316.832 4.454 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(c2ncc[nH]2)CC1 ZINC000530711931 331620433 /nfs/dbraw/zinc/62/04/33/331620433.db2.gz QLAWFPCSEDUAKY-MRXNPFEDSA-N 0 3 303.837 4.394 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC000530816499 331627897 /nfs/dbraw/zinc/62/78/97/331627897.db2.gz RKZCSQQQZMKNLA-IAGOWNOFSA-N 0 3 322.452 4.189 20 0 DIADHN CC(C)SCCN[C@H]1CCc2sc(Br)cc21 ZINC000309306465 331649134 /nfs/dbraw/zinc/64/91/34/331649134.db2.gz ZLWFQDJJBKYVIH-JTQLQIEISA-N 0 3 320.321 4.229 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(F)c(C)c2)c(C)c1OC ZINC000514083304 332921910 /nfs/dbraw/zinc/92/19/10/332921910.db2.gz KEUJHNBIGYLEGQ-AWEZNQCLSA-N 0 3 317.404 4.311 20 0 DIADHN Cc1ccsc1CNCc1ccccc1OC(F)(F)F ZINC000073052939 331670333 /nfs/dbraw/zinc/67/03/33/331670333.db2.gz BVAYQNWQOXFLEE-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN CC[C@H](C)[C@H](NCc1cn(C)nc1C)c1ccc(Cl)cc1 ZINC000533756159 331681202 /nfs/dbraw/zinc/68/12/02/331681202.db2.gz KNRPYNGIDJOWHE-SJCJKPOMSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1ccc2nc(CNCC3(Sc4ccccc4)CC3)cn2c1 ZINC000556387838 331686582 /nfs/dbraw/zinc/68/65/82/331686582.db2.gz OVIKSWIPKQFSPQ-UHFFFAOYSA-N 0 3 323.465 4.057 20 0 DIADHN Cc1ccc2nc(CNCCc3csc4ccccc34)cn2c1 ZINC000556388238 331688358 /nfs/dbraw/zinc/68/83/58/331688358.db2.gz YIDMRUBMYUWNNL-UHFFFAOYSA-N 0 3 321.449 4.190 20 0 DIADHN Cn1ccnc1CN[C@@H]1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000556431422 331703526 /nfs/dbraw/zinc/70/35/26/331703526.db2.gz VWVNMGAXUODWNR-DLBZAZTESA-N 0 3 317.864 4.280 20 0 DIADHN COc1ccccc1CCCN1CCC(CC(F)(F)F)CC1 ZINC000556505101 331709053 /nfs/dbraw/zinc/70/90/53/331709053.db2.gz AOWQLACTDYJBQE-UHFFFAOYSA-N 0 3 315.379 4.292 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc(Cl)cc2C)CC1 ZINC000556514597 331712097 /nfs/dbraw/zinc/71/20/97/331712097.db2.gz GIOVZFISUJSJKH-UHFFFAOYSA-N 0 3 303.837 4.060 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)no1 ZINC000556585366 331717277 /nfs/dbraw/zinc/71/72/77/331717277.db2.gz KTMOSELFWYBURI-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN C[C@H](NCc1ccn(Cc2ccccc2)n1)[C@@H](C)c1ccccc1 ZINC000556611016 331720000 /nfs/dbraw/zinc/72/00/00/331720000.db2.gz WTDLQRULTKYPTL-MSOLQXFVSA-N 0 3 319.452 4.213 20 0 DIADHN CCOc1cccc(Cl)c1CN[C@@H](CC)C1CCOCC1 ZINC000568166627 331722876 /nfs/dbraw/zinc/72/28/76/331722876.db2.gz PCVKTNPDEMNDQD-INIZCTEOSA-N 0 3 311.853 4.034 20 0 DIADHN CCC[C@@H](NC(=O)c1cccc(CN(C)C)c1)C1CCCCC1 ZINC000525490995 331741302 /nfs/dbraw/zinc/74/13/02/331741302.db2.gz ZAPWBPHGXQXEMW-LJQANCHMSA-N 0 3 316.489 4.227 20 0 DIADHN Fc1cccc(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)c1F ZINC000530973984 331747562 /nfs/dbraw/zinc/74/75/62/331747562.db2.gz GMWDNJRCNLNAFE-JQWIXIFHSA-N 0 3 307.306 4.423 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccccc2-n2ccnn2)c1 ZINC000556890687 331749167 /nfs/dbraw/zinc/74/91/67/331749167.db2.gz QBNUAFZAJHPDNP-INIZCTEOSA-N 0 3 320.440 4.242 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCn1ccc2cc(Cl)ccc21 ZINC000556912665 331752925 /nfs/dbraw/zinc/75/29/25/331752925.db2.gz UXNLMCHLMYXOKI-CQSZACIVSA-N 0 3 313.832 4.349 20 0 DIADHN COc1ccccc1[C@H](CN[C@@H](C)c1ccoc1)OC(C)C ZINC000186382248 332925384 /nfs/dbraw/zinc/92/53/84/332925384.db2.gz YEQHOURSBCRRCW-KSSFIOAISA-N 0 3 303.402 4.105 20 0 DIADHN CC(C)c1ccccc1OCCNCc1ccc(F)cc1F ZINC000517709759 331761411 /nfs/dbraw/zinc/76/14/11/331761411.db2.gz RTWCPXWFDBDEAJ-UHFFFAOYSA-N 0 3 305.368 4.257 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1cc(Cl)sc1Cl ZINC000557144054 331772485 /nfs/dbraw/zinc/77/24/85/331772485.db2.gz LTEIRVRQDBEXMF-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN Cc1cnc(CNCCCc2cccc(C(F)(F)F)c2)s1 ZINC000449107107 331776893 /nfs/dbraw/zinc/77/68/93/331776893.db2.gz OFNQSBVPQWQVLV-UHFFFAOYSA-N 0 3 314.376 4.193 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)C(=O)N[C@H](C)c2ccccc2)c1 ZINC000557435438 331790148 /nfs/dbraw/zinc/79/01/48/331790148.db2.gz OUNWMPIQXLRHFD-SQNIBIBYSA-N 0 3 324.468 4.220 20 0 DIADHN Fc1ccccc1CN[C@@H]1CCO[C@@H]1c1ccc(Cl)cc1 ZINC000557464489 331792171 /nfs/dbraw/zinc/79/21/71/331792171.db2.gz VCXJDVRGPMGBFY-IAGOWNOFSA-N 0 3 305.780 4.099 20 0 DIADHN CC(C)[C@H](N[C@@H](C)C(=O)NC1CCCC1)c1ccc(Cl)cc1 ZINC000557655122 331806853 /nfs/dbraw/zinc/80/68/53/331806853.db2.gz XQTNIHXUPAUPTI-GUYCJALGSA-N 0 3 322.880 4.074 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC[C@H]3CCCC[C@@H]3O)oc21 ZINC000557783179 331815741 /nfs/dbraw/zinc/81/57/41/331815741.db2.gz SRWQXWBYGHACSO-IMJJTQAJSA-N 0 3 317.429 4.033 20 0 DIADHN COc1ccccc1C[C@@H](NCc1ccc(Cl)cn1)C(C)C ZINC000557791689 331816144 /nfs/dbraw/zinc/81/61/44/331816144.db2.gz IZBYJIFNUHZQBL-QGZVFWFLSA-N 0 3 318.848 4.101 20 0 DIADHN CC1(C)[C@H](NCc2sc3ccccc3c2Cl)[C@@H]2CCO[C@@H]21 ZINC000557819542 331818416 /nfs/dbraw/zinc/81/84/16/331818416.db2.gz HOHIOTIJTWRCHG-XZJROXQQSA-N 0 3 321.873 4.458 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCC[C@@H]2NCc2cocn2)cc1 ZINC000558087771 331868189 /nfs/dbraw/zinc/86/81/89/331868189.db2.gz QRUVAWCNBLHIOO-CABCVRRESA-N 0 3 310.319 4.119 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CCC[C@H]2NCc2cocn2)cc1 ZINC000558087782 331868284 /nfs/dbraw/zinc/86/82/84/331868284.db2.gz QRUVAWCNBLHIOO-HUUCEWRRSA-N 0 3 310.319 4.119 20 0 DIADHN C[C@H](N[C@H]1CCCC12CCC2)c1nc(-c2cccc(F)c2)no1 ZINC000584212653 331868826 /nfs/dbraw/zinc/86/88/26/331868826.db2.gz YLIGSOPVOPQBKJ-WFASDCNBSA-N 0 3 315.392 4.249 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc(C(=O)OC)cc1 ZINC000558419045 331905005 /nfs/dbraw/zinc/90/50/05/331905005.db2.gz NHCKNTIXMCIDMI-UHFFFAOYSA-N 0 3 323.392 4.072 20 0 DIADHN c1cc(-c2ncc(CN[C@H]3[C@H]4Cc5ccccc5[C@H]43)s2)cs1 ZINC000569341621 331913399 /nfs/dbraw/zinc/91/33/99/331913399.db2.gz IXESVSDPTRBAIZ-BBWFWOEESA-N 0 3 324.474 4.300 20 0 DIADHN CC(C)(CNCc1ccncc1F)c1c(F)cccc1Cl ZINC000449169367 331920809 /nfs/dbraw/zinc/92/08/09/331920809.db2.gz GRSQXNFXFHKKDQ-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN Cc1cc(Br)ccc1CNCC(C)(C)C(F)(F)F ZINC000558680782 331934274 /nfs/dbraw/zinc/93/42/74/331934274.db2.gz QFGURVBSIBCEQA-UHFFFAOYSA-N 0 3 324.184 4.436 20 0 DIADHN CC(C)(CNCc1cccc(-c2ccncc2)c1)C(F)(F)F ZINC000558681009 331934942 /nfs/dbraw/zinc/93/49/42/331934942.db2.gz BQNJKLYDKUYRCB-UHFFFAOYSA-N 0 3 308.347 4.427 20 0 DIADHN Fc1cccc(CN[C@@H]2CCCC(F)(F)C2)c1Br ZINC000449189107 331941743 /nfs/dbraw/zinc/94/17/43/331941743.db2.gz OUNJWXXUKZMTQS-SNVBAGLBSA-N 0 3 322.168 4.256 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCN(Cc2ccco2)CC1 ZINC000517834858 331972720 /nfs/dbraw/zinc/97/27/20/331972720.db2.gz BSETWHPJTNFTGA-QGZVFWFLSA-N 0 3 320.436 4.312 20 0 DIADHN CSCCCCCN[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000449257479 331979537 /nfs/dbraw/zinc/97/95/37/331979537.db2.gz MCOUQBNVJZNONV-LBPRGKRZSA-N 0 3 303.393 4.214 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000559148488 331982359 /nfs/dbraw/zinc/98/23/59/331982359.db2.gz YDPHUOQIALKBAX-PZJWPPBQSA-N 0 3 324.468 4.381 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2cnc(-c3ccsc3)s2)C1(C)C ZINC000531001159 331990443 /nfs/dbraw/zinc/99/04/43/331990443.db2.gz FDRZMSUNIBSGET-BBRMVZONSA-N 0 3 322.499 4.165 20 0 DIADHN COC(=O)CCC1CCN([C@@H](C)c2ccccc2Cl)CC1 ZINC000531001912 331990589 /nfs/dbraw/zinc/99/05/89/331990589.db2.gz WNSRRVAIOUYEKU-ZDUSSCGKSA-N 0 3 309.837 4.066 20 0 DIADHN Cc1ccc(C2CC(N[C@H](c3ccccc3F)[C@@H](C)O)C2)cc1 ZINC000518197246 331996407 /nfs/dbraw/zinc/99/64/07/331996407.db2.gz GZKWRCQEEBAXQH-BQMLFPOSSA-N 0 3 313.416 4.092 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCCSc2ccccc2)c1 ZINC000127312076 332001196 /nfs/dbraw/zinc/00/11/96/332001196.db2.gz HZURVYANSAUPTD-CQSZACIVSA-N 0 3 314.454 4.088 20 0 DIADHN CCOc1ccccc1CNCCc1ccccc1C(F)(F)F ZINC000518263472 332002582 /nfs/dbraw/zinc/00/25/82/332002582.db2.gz SFRNEILDILUGKT-UHFFFAOYSA-N 0 3 323.358 4.436 20 0 DIADHN CCc1ccc(CN[C@@H](C)COc2ccc(F)cc2F)s1 ZINC000518263467 332002600 /nfs/dbraw/zinc/00/26/00/332002600.db2.gz NXQZAZGOBZTMSK-NSHDSACASA-N 0 3 311.397 4.146 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccc3[nH]cnc3c1)CCS2 ZINC000518271157 332003870 /nfs/dbraw/zinc/00/38/70/332003870.db2.gz HKUFRGXVOPXEHL-CQSZACIVSA-N 0 3 313.401 4.029 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1ccc3nc[nH]c3c1)CCS2 ZINC000518271157 332003872 /nfs/dbraw/zinc/00/38/72/332003872.db2.gz HKUFRGXVOPXEHL-CQSZACIVSA-N 0 3 313.401 4.029 20 0 DIADHN Cc1c([C@@H](C)NCCc2ccc(F)cc2F)cnn1C(C)(C)C ZINC000518274191 332004292 /nfs/dbraw/zinc/00/42/92/332004292.db2.gz QTKDOABHDUZWTD-GFCCVEGCSA-N 0 3 321.415 4.118 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@@H](NCc2ccccc2Cl)C1 ZINC000518274467 332004471 /nfs/dbraw/zinc/00/44/71/332004471.db2.gz JEFADODKLINGAW-MAUKXSAKSA-N 0 3 314.860 4.265 20 0 DIADHN Cn1ccc(CNCC2(c3ccc(Cl)cc3)CCCCC2)n1 ZINC000518277852 332005483 /nfs/dbraw/zinc/00/54/83/332005483.db2.gz ZGWSKPMPKTUNHD-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN COc1ccc(OC)c(CN[C@H](C)C(C)(C)c2ccccc2)c1 ZINC000518277527 332005656 /nfs/dbraw/zinc/00/56/56/332005656.db2.gz VEIBKFBDNYXPDM-OAHLLOKOSA-N 0 3 313.441 4.160 20 0 DIADHN Clc1ccc(-c2ccc(CNC[C@H]3CCCCO3)o2)cc1 ZINC000518278594 332005877 /nfs/dbraw/zinc/00/58/77/332005877.db2.gz XSZYAEYXUUMEOV-OAHLLOKOSA-N 0 3 305.805 4.259 20 0 DIADHN Cc1ccc(CNCc2cccc3c[nH]nc32)c(OC(C)(C)C)c1 ZINC000084958681 332007722 /nfs/dbraw/zinc/00/77/22/332007722.db2.gz QPVMMMIVEZXJEN-UHFFFAOYSA-N 0 3 323.440 4.338 20 0 DIADHN CSCc1cnc(CN[C@H](C)C(C)(C)c2ccccc2)s1 ZINC000559259822 332008069 /nfs/dbraw/zinc/00/80/69/332008069.db2.gz GIIZFTVZQWBIBQ-CYBMUJFWSA-N 0 3 320.527 4.462 20 0 DIADHN CCCCOCCN[C@@H](C)c1cc(Br)ccc1F ZINC000211846911 332008512 /nfs/dbraw/zinc/00/85/12/332008512.db2.gz JSYAEDOKFZXUPG-NSHDSACASA-N 0 3 318.230 4.056 20 0 DIADHN O[C@@H]1CCCCC[C@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC000584254706 332014431 /nfs/dbraw/zinc/01/44/31/332014431.db2.gz BFWSUNDWGRCADD-HZPDHXFCSA-N 0 3 321.367 4.008 20 0 DIADHN Cc1csc([C@H](C)CN[C@@H]2CCCOc3ccc(F)cc32)n1 ZINC000186580633 332937066 /nfs/dbraw/zinc/93/70/66/332937066.db2.gz UJROJNPEDYVGRH-IAQYHMDHSA-N 0 3 320.433 4.198 20 0 DIADHN Clc1cc(Cl)c(OCCNCc2cc[nH]c2)c(Cl)c1 ZINC000086256670 332019515 /nfs/dbraw/zinc/01/95/15/332019515.db2.gz VPRGMGWXBOGHLX-UHFFFAOYSA-N 0 3 319.619 4.144 20 0 DIADHN COc1ccc(CNCc2coc3ccccc23)cc1Cl ZINC000559302960 332020388 /nfs/dbraw/zinc/02/03/88/332020388.db2.gz GOMRLKPAKFVWHS-UHFFFAOYSA-N 0 3 301.773 4.385 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CC[C@H](c2ccccc2F)C1 ZINC000559301643 332020903 /nfs/dbraw/zinc/02/09/03/332020903.db2.gz FKMLPBDQYMYWEY-AWEZNQCLSA-N 0 3 302.393 4.235 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccc(-n3cccn3)c(F)c2)cc1 ZINC000559310808 332023037 /nfs/dbraw/zinc/02/30/37/332023037.db2.gz DXSLDDFJAKMPIC-OAHLLOKOSA-N 0 3 323.415 4.425 20 0 DIADHN c1[nH]c2ccccc2c1CCCNc1ccnc2ccccc21 ZINC000518394992 332025059 /nfs/dbraw/zinc/02/50/59/332025059.db2.gz AFIHUPFIVDVMKF-UHFFFAOYSA-N 0 3 301.393 4.183 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+]CCc2cc3ccccc3s2)c1 ZINC000559330270 332027727 /nfs/dbraw/zinc/02/77/27/332027727.db2.gz NOVDQGRWXFKDKE-UHFFFAOYSA-N 0 3 301.386 4.078 20 0 DIADHN COC(C)(C)CCN1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000409447304 332031513 /nfs/dbraw/zinc/03/15/13/332031513.db2.gz AYCFZNXWJGQJBI-OAHLLOKOSA-N 0 3 302.418 4.170 20 0 DIADHN CC(C)c1nnc(CCN[C@@H]2CCc3ccc(Cl)cc32)s1 ZINC000426297567 332036961 /nfs/dbraw/zinc/03/69/61/332036961.db2.gz BGRUNYDTVDYHMZ-CQSZACIVSA-N 0 3 321.877 4.135 20 0 DIADHN COc1ccc(Br)c(CN[C@@H]2CCC[C@H](C)C2)c1 ZINC000087787449 332037743 /nfs/dbraw/zinc/03/77/43/332037743.db2.gz LSOSLCJJDYLPAI-WCQYABFASA-N 0 3 312.251 4.126 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)o1 ZINC000426307413 332041469 /nfs/dbraw/zinc/04/14/69/332041469.db2.gz QSUTTXZAHKKXGA-PRXAMGSTSA-N 0 3 305.443 4.257 20 0 DIADHN CC(C)c1ccccc1OCCNCc1ccccc1N(C)C ZINC000518450301 332047135 /nfs/dbraw/zinc/04/71/35/332047135.db2.gz AMCCLNZTFFLRRU-UHFFFAOYSA-N 0 3 312.457 4.045 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(-c2ccccc2Cl)o1 ZINC000518450418 332047260 /nfs/dbraw/zinc/04/72/60/332047260.db2.gz WGGNRSCMWXSFHO-KRWDZBQOSA-N 0 3 321.848 4.487 20 0 DIADHN CCCc1nc(C)c(CNC[C@@H](C)c2cc(F)cc(F)c2)o1 ZINC000449339587 332052340 /nfs/dbraw/zinc/05/23/40/332052340.db2.gz KRSXRDUTRPERJK-LLVKDONJSA-N 0 3 308.372 4.107 20 0 DIADHN CCc1nc(C)c(CN[C@H](CSc2ccccc2)C(C)C)o1 ZINC000426321300 332052608 /nfs/dbraw/zinc/05/26/08/332052608.db2.gz CSEAIDIHJJDCQO-MRXNPFEDSA-N 0 3 318.486 4.452 20 0 DIADHN Fc1ccc(F)c2c1CC[C@@H]2NCCc1cccc2[nH]ccc21 ZINC000409845542 332059993 /nfs/dbraw/zinc/05/99/93/332059993.db2.gz WDXJGWQNRFTWGK-SFHVURJKSA-N 0 3 312.363 4.266 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@H]3CCC[C@H]3C)n2)ccc1F ZINC000409872746 332062289 /nfs/dbraw/zinc/06/22/89/332062289.db2.gz CJLWDLUBAPPJTG-GMXABZIVSA-N 0 3 303.381 4.023 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccccc2)C(C)C)cc1F ZINC000089101625 332063029 /nfs/dbraw/zinc/06/30/29/332063029.db2.gz UATKXXBEEUUZQA-SFHVURJKSA-N 0 3 315.388 4.099 20 0 DIADHN CC[C@H](N[C@H](C(=O)N1CCCCC1)c1ccccc1)C(C)(C)C ZINC000518484262 332064141 /nfs/dbraw/zinc/06/41/41/332064141.db2.gz PAZNUGPDYLDZDH-ROUUACIJSA-N 0 3 316.489 4.155 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2C/C=C/c2ccncc2)c1 ZINC000426144661 332064616 /nfs/dbraw/zinc/06/46/16/332064616.db2.gz GBUFXPVUGNXDBN-RELCYYESSA-N 0 3 308.425 4.187 20 0 DIADHN Cc1cncc([C@H](C)NC[C@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000569396720 332075547 /nfs/dbraw/zinc/07/55/47/332075547.db2.gz KHYKJMVHPMRDRY-YOEHRIQHSA-N 0 3 322.374 4.462 20 0 DIADHN Cc1ccnc([C@H](C)NCC2(c3ccc(Cl)cc3)CC2)c1 ZINC000518581867 332083968 /nfs/dbraw/zinc/08/39/68/332083968.db2.gz UAUPXDNROHSFCD-AWEZNQCLSA-N 0 3 300.833 4.426 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)c1ccc(N2CCOC2=O)cc1 ZINC000090468807 332088698 /nfs/dbraw/zinc/08/86/98/332088698.db2.gz QHGWHFFBEUWFNM-INIZCTEOSA-N 0 3 324.424 4.111 20 0 DIADHN COc1cc(CNC[C@@H]2CCCCC2(F)F)ccc1SC ZINC000449374791 332089483 /nfs/dbraw/zinc/08/94/83/332089483.db2.gz GHHLGRACLXNFJJ-ZDUSSCGKSA-N 0 3 315.429 4.332 20 0 DIADHN CCC[C@@H](CNCc1cnc(-c2ccc(C)cc2)s1)OC ZINC000449377787 332093566 /nfs/dbraw/zinc/09/35/66/332093566.db2.gz UNUFOXKNPDLOPM-HNNXBMFYSA-N 0 3 304.459 4.023 20 0 DIADHN Fc1cccc(CNCCOc2cccc(Cl)c2Cl)c1 ZINC000520945704 332101144 /nfs/dbraw/zinc/10/11/44/332101144.db2.gz FCUXPPADZUOOBS-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Fc1ccc(CCN2CCC[C@H]2c2ccncc2)c(Cl)c1 ZINC000518629377 332101412 /nfs/dbraw/zinc/10/14/12/332101412.db2.gz BQQSLSVLIQWRPD-KRWDZBQOSA-N 0 3 304.796 4.254 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cnc3ccccc3c2)c1 ZINC000410311033 332109296 /nfs/dbraw/zinc/10/92/96/332109296.db2.gz QZJQHMCWNIBMCW-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CN(C)C[C@H](NCc1cc(F)cc(F)c1)c1ccc(Cl)cc1 ZINC000569507852 332112128 /nfs/dbraw/zinc/11/21/28/332112128.db2.gz NOXZEGHXYXGHOE-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN Cc1cccc2oc(CCNCc3ccc(F)c(Cl)c3)nc21 ZINC000410356570 332116942 /nfs/dbraw/zinc/11/69/42/332116942.db2.gz XHWNSUZAVCFPMJ-UHFFFAOYSA-N 0 3 318.779 4.261 20 0 DIADHN Cc1cccc2oc(CCNCc3ccccc3OC(C)C)nc21 ZINC000410356631 332116976 /nfs/dbraw/zinc/11/69/76/332116976.db2.gz XOZORZCOBLUXAG-UHFFFAOYSA-N 0 3 324.424 4.256 20 0 DIADHN Cc1cccc2oc(CCNC/C=C\c3ccc(F)cc3)nc21 ZINC000410357034 332116992 /nfs/dbraw/zinc/11/69/92/332116992.db2.gz YXXAFAWQWJRHDS-HYXAFXHYSA-N 0 3 310.372 4.121 20 0 DIADHN Cc1cccc2oc(CCN[C@H]3CCc4cc(F)ccc43)nc21 ZINC000410358312 332117144 /nfs/dbraw/zinc/11/71/44/332117144.db2.gz IGQLDBCCJMIFKX-INIZCTEOSA-N 0 3 310.372 4.095 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)N(C)Cc1ccnn1C(C)C ZINC000410384007 332119833 /nfs/dbraw/zinc/11/98/33/332119833.db2.gz FWPHVFQCJHYCFY-LJQANCHMSA-N 0 3 317.452 4.302 20 0 DIADHN CC[N@H+](CCCCC1CCOCC1)[C@H](C)c1cccc([O-])c1 ZINC000410404065 332123363 /nfs/dbraw/zinc/12/33/63/332123363.db2.gz UHFHOCQRCUOSKE-MRXNPFEDSA-N 0 3 305.462 4.372 20 0 DIADHN CC[N@@H+](CCCCC1CCOCC1)[C@H](C)c1cccc([O-])c1 ZINC000410404065 332123365 /nfs/dbraw/zinc/12/33/65/332123365.db2.gz UHFHOCQRCUOSKE-MRXNPFEDSA-N 0 3 305.462 4.372 20 0 DIADHN CC(C(=O)Nc1ccc2ccc(Cl)cc2n1)C(F)(F)F ZINC000410424381 332125167 /nfs/dbraw/zinc/12/51/67/332125167.db2.gz RVSVNVLKSPTAHJ-SSDOTTSWSA-N 0 3 302.683 4.025 20 0 DIADHN CCOc1cc(CN[C@H]2CCC[C@H]2F)ccc1OCC(C)C ZINC000559358315 332127485 /nfs/dbraw/zinc/12/74/85/332127485.db2.gz KCCRBADDYQKVQX-CVEARBPZSA-N 0 3 309.425 4.100 20 0 DIADHN CCO[C@@H](CCN[C@@H](COC)c1cccc(Cl)c1)C(C)C ZINC000569534096 332127923 /nfs/dbraw/zinc/12/79/23/332127923.db2.gz VFLCALUHMMVCBB-IRXDYDNUSA-N 0 3 313.869 4.068 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1cccc(C(F)(F)F)n1 ZINC000449399866 332128458 /nfs/dbraw/zinc/12/84/58/332128458.db2.gz DZONEXCZGWNQQO-CQSZACIVSA-N 0 3 308.347 4.211 20 0 DIADHN C[C@@H](CCNCc1cccc(C(F)(F)F)n1)c1ccccc1 ZINC000449399868 332128534 /nfs/dbraw/zinc/12/85/34/332128534.db2.gz FAAPFXLQGVRAHF-ZDUSSCGKSA-N 0 3 308.347 4.384 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1cnc(-c2cccs2)s1)OC ZINC000449399763 332128825 /nfs/dbraw/zinc/12/88/25/332128825.db2.gz DDHKTZUWEAQWNS-YPMHNXCESA-N 0 3 310.488 4.022 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccc3nc(C)sc3c2)CC1 ZINC000426410694 332128832 /nfs/dbraw/zinc/12/88/32/332128832.db2.gz NGJBHUIZFCPKTD-INIZCTEOSA-N 0 3 318.486 4.386 20 0 DIADHN Fc1ccc(Oc2ccc(CNCC3=CCOCC3)cc2)cc1 ZINC000569544381 332132826 /nfs/dbraw/zinc/13/28/26/332132826.db2.gz AMGPNRYLVKTEHG-UHFFFAOYSA-N 0 3 313.372 4.054 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCCO3)s1 ZINC000132907459 332134584 /nfs/dbraw/zinc/13/45/84/332134584.db2.gz TZALKEIVWQGRHU-OLZOCXBDSA-N 0 3 317.454 4.494 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000133091248 332136614 /nfs/dbraw/zinc/13/66/14/332136614.db2.gz OSQGVMYZAVIULW-MRXNPFEDSA-N 0 3 305.425 4.286 20 0 DIADHN CC(C)C1CC(NCc2nc(-c3ccccc3F)cs2)C1 ZINC000569559644 332138015 /nfs/dbraw/zinc/13/80/15/332138015.db2.gz IIOVIFHIQXQDSM-UHFFFAOYSA-N 0 3 304.434 4.473 20 0 DIADHN Cc1ccoc1CN(C)CCCc1cc(-c2ccccc2)no1 ZINC000092664207 332138558 /nfs/dbraw/zinc/13/85/58/332138558.db2.gz LRAJVOWQLLKRPT-UHFFFAOYSA-N 0 3 310.397 4.308 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1ccc(Br)cc1 ZINC000133386012 332139334 /nfs/dbraw/zinc/13/93/34/332139334.db2.gz OJVPJQNWKLPHIR-MRXNPFEDSA-N 0 3 320.205 4.365 20 0 DIADHN C[C@@H](O)[C@H]1CCN(Cc2ccc(Sc3ccccc3)cc2)C1 ZINC000180858724 332139744 /nfs/dbraw/zinc/13/97/44/332139744.db2.gz DVIIWIHWKODWED-WBVHZDCISA-N 0 3 313.466 4.041 20 0 DIADHN CCOC[C@H]1CCN(Cc2ccc(-c3ccc(F)cc3C)o2)C1 ZINC000093839005 332142944 /nfs/dbraw/zinc/14/29/44/332142944.db2.gz WNEYINSMWKFJNM-HNNXBMFYSA-N 0 3 317.404 4.253 20 0 DIADHN CCOC[C@@H]1CCN(Cc2ccc(-c3ccc(F)cc3C)o2)C1 ZINC000093839004 332142974 /nfs/dbraw/zinc/14/29/74/332142974.db2.gz WNEYINSMWKFJNM-OAHLLOKOSA-N 0 3 317.404 4.253 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccc3nc(C)sc3c2)C1 ZINC000426414160 332144259 /nfs/dbraw/zinc/14/42/59/332144259.db2.gz AYRGNGHXYIPAQV-CQSZACIVSA-N 0 3 306.500 4.322 20 0 DIADHN CC1(C)OCC[C@@H]1NCc1ccc(Cl)c(C(F)(F)F)c1 ZINC000449412679 332151315 /nfs/dbraw/zinc/15/13/15/332151315.db2.gz DAHVALOTFBIOFA-LBPRGKRZSA-N 0 3 307.743 4.016 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2C[C@@H]3CCCC[C@@H]3C2)c(C)c1 ZINC000426802874 332155403 /nfs/dbraw/zinc/15/54/03/332155403.db2.gz YJQIQMAAKFLHJX-KURKYZTESA-N 0 3 314.473 4.061 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H]1CC[C@@H](CO)C1 ZINC000449424774 332167335 /nfs/dbraw/zinc/16/73/35/332167335.db2.gz FYLSORCDJYATTJ-OLZOCXBDSA-N 0 3 313.894 4.091 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1cccnc1C ZINC000584259627 332171921 /nfs/dbraw/zinc/17/19/21/332171921.db2.gz VYBYWJDJHKTRIV-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN Fc1ccc([C@H](NCC[C@@H]2CCCO2)c2cccs2)cc1 ZINC000526047447 332172410 /nfs/dbraw/zinc/17/24/10/332172410.db2.gz MYVIJCWIVNQLAW-RDJZCZTQSA-N 0 3 305.418 4.135 20 0 DIADHN CCS[C@H]1CCCCN([C@@H](C)c2nc3ccccc3o2)C1 ZINC000181107707 332175622 /nfs/dbraw/zinc/17/56/22/332175622.db2.gz PQYBINPPPNFBTQ-KBPBESRZSA-N 0 3 304.459 4.496 20 0 DIADHN CC[C@@H](C)[C@H](C)C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000181111945 332175963 /nfs/dbraw/zinc/17/59/63/332175963.db2.gz GTZIPKSOFQQOQF-ZBFHGGJFSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccco1 ZINC000181247850 332188071 /nfs/dbraw/zinc/18/80/71/332188071.db2.gz ABDVHOJAAMOSLB-NWDGAFQWSA-N 0 3 308.784 4.002 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2N(C)CCCC2(C)C)cs1 ZINC000448023808 332189720 /nfs/dbraw/zinc/18/97/20/332189720.db2.gz VCTXXCFUFRKBIC-ZFWWWQNUSA-N 0 3 309.523 4.038 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OC(C)(C)C)nc2)s1 ZINC000181346210 332191586 /nfs/dbraw/zinc/19/15/86/332191586.db2.gz KEYWMLDUZNSGEN-CYBMUJFWSA-N 0 3 304.459 4.480 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccnc(C(F)(F)F)c2)CS1 ZINC000449439680 332192617 /nfs/dbraw/zinc/19/26/17/332192617.db2.gz WDAZBCGJNUQKHG-DGCLKSJQSA-N 0 3 318.408 4.110 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@@H](c2nccn2C)C2CC2)c2ccccc21 ZINC000448030721 332208228 /nfs/dbraw/zinc/20/82/28/332208228.db2.gz SMBBCBAWJFSWDU-FFZOFVMBSA-N 0 3 323.484 4.388 20 0 DIADHN c1csc([C@H](NCc2c[nH]c(-c3ccccc3)n2)C2CC2)c1 ZINC000531012125 332209851 /nfs/dbraw/zinc/20/98/51/332209851.db2.gz ZXGPTMLPUDEECC-QGZVFWFLSA-N 0 3 309.438 4.379 20 0 DIADHN c1csc([C@H](NCc2cnc(-c3ccccc3)[nH]2)C2CC2)c1 ZINC000531012125 332209852 /nfs/dbraw/zinc/20/98/52/332209852.db2.gz ZXGPTMLPUDEECC-QGZVFWFLSA-N 0 3 309.438 4.379 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H]2CCCc3cc(F)ccc32)cc1 ZINC000538196237 332209882 /nfs/dbraw/zinc/20/98/82/332209882.db2.gz YIFBQXIUIDHWDD-UXHICEINSA-N 0 3 313.416 4.089 20 0 DIADHN C[C@@]1(CNCc2cc3ccccc3o2)OCCc2sccc21 ZINC000584284281 332211078 /nfs/dbraw/zinc/21/10/78/332211078.db2.gz AVHWHIDQSOHNEZ-SFHVURJKSA-N 0 3 313.422 4.072 20 0 DIADHN CCOCc1ccc(CN[C@H](CC)c2ccccc2OC)cc1 ZINC000151644232 332212444 /nfs/dbraw/zinc/21/24/44/332212444.db2.gz MVNGAYMKPHNGRT-LJQANCHMSA-N 0 3 313.441 4.473 20 0 DIADHN COCC(C)(C)CN1CCc2sccc2[C@@H]1c1cccs1 ZINC000426061363 335094953 /nfs/dbraw/zinc/09/49/53/335094953.db2.gz KKEZSJINSBTNKF-MRXNPFEDSA-N 0 3 321.511 4.430 20 0 DIADHN Cc1ccc(C2CCN(CCCCC(F)(F)F)CC2)cn1 ZINC000363670655 332215637 /nfs/dbraw/zinc/21/56/37/332215637.db2.gz FSZABCFRODKJBV-UHFFFAOYSA-N 0 3 300.368 4.302 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(CC(C)C)CC(C)C ZINC000533835375 332217481 /nfs/dbraw/zinc/21/74/81/332217481.db2.gz MNDNJELPLSDDFX-UHFFFAOYSA-N 0 3 310.869 4.201 20 0 DIADHN CCOC1(CN[C@@H](C)c2cc3cccc(OC)c3o2)CCCC1 ZINC000391773897 335095214 /nfs/dbraw/zinc/09/52/14/335095214.db2.gz RZCSFUZQYOJKSJ-AWEZNQCLSA-N 0 3 317.429 4.441 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H]3[C@H]4CCO[C@H]4C34CCC4)oc2c1 ZINC000426050847 335095649 /nfs/dbraw/zinc/09/56/49/335095649.db2.gz MWOVUYFBUIJDDZ-LLBUTXAOSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2cc(F)ccc2F)cc1 ZINC000531044463 332226469 /nfs/dbraw/zinc/22/64/69/332226469.db2.gz TZFYDJSWAMGZDD-RBUKOAKNSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc2cc([C@H](C)N[C@@H]3[C@H]4CCO[C@@H]4C34CCC4)oc2c1 ZINC000426050848 335095756 /nfs/dbraw/zinc/09/57/56/335095756.db2.gz MWOVUYFBUIJDDZ-LZBRWLDZSA-N 0 3 311.425 4.349 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(-c2cccs2)c1)N(CC)CC ZINC000531056533 332235047 /nfs/dbraw/zinc/23/50/47/332235047.db2.gz NOJFHEMCVWQKNJ-MRXNPFEDSA-N 0 3 316.470 4.474 20 0 DIADHN c1c[nH]c([C@H]2CCCN2CCCSCc2ccccc2)c1 ZINC000536381002 332236661 /nfs/dbraw/zinc/23/66/61/332236661.db2.gz CWKACVJCLPTVKU-GOSISDBHSA-N 0 3 300.471 4.475 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)NCc1cc(C)ccc1F ZINC000536421765 332241868 /nfs/dbraw/zinc/24/18/68/332241868.db2.gz KHRMRKGLAYYHTH-UGSOOPFHSA-N 0 3 305.368 4.139 20 0 DIADHN CCC(CC)(CN[C@H](C)c1nccn1C)c1ccc(Cl)cc1 ZINC000538216758 332244883 /nfs/dbraw/zinc/24/48/83/332244883.db2.gz ANESGSZLYOOLHP-CQSZACIVSA-N 0 3 319.880 4.482 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](C)c1ccc(Cl)cc1Cl ZINC000224362385 332251527 /nfs/dbraw/zinc/25/15/27/332251527.db2.gz AJAWCOPUFUYOSX-RYUDHWBXSA-N 0 3 304.261 4.443 20 0 DIADHN FC[C@H]1CCCN1CCCCOc1ccccc1C(F)(F)F ZINC000559399594 332256262 /nfs/dbraw/zinc/25/62/62/332256262.db2.gz JQKMITJOSUGLRN-CYBMUJFWSA-N 0 3 319.342 4.298 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)C[C@@H]1CCC[C@@H]1O ZINC000555478927 332256902 /nfs/dbraw/zinc/25/69/02/332256902.db2.gz CDEVZOJIQXEMAX-ZETOZRRWSA-N 0 3 302.245 4.147 20 0 DIADHN Cc1cccc(-c2noc(CN3CC(C)(C)[C@@H]4CCC[C@@H]43)n2)c1 ZINC000559432936 332258307 /nfs/dbraw/zinc/25/83/07/332258307.db2.gz ROUFAADWTHFCPG-CVEARBPZSA-N 0 3 311.429 4.056 20 0 DIADHN CC(C)(CO)[C@@H](NCc1nc(C2CCCCC2)cs1)C1CC1 ZINC000559443720 332259958 /nfs/dbraw/zinc/25/99/58/332259958.db2.gz OWZDDSPHMBRTPI-KRWDZBQOSA-N 0 3 322.518 4.078 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@H](C)c1cc2ccccc2o1 ZINC000537973005 332260886 /nfs/dbraw/zinc/26/08/86/332260886.db2.gz NLRSCNLWCCYPEX-GHMZBOCLSA-N 0 3 301.308 4.051 20 0 DIADHN C[C@H](NC(C)(C)CNC(=O)CC(C)(C)C1CC1)c1ccccc1 ZINC000559541190 332269798 /nfs/dbraw/zinc/26/97/98/332269798.db2.gz LNKBIPLOURGCKJ-HNNXBMFYSA-N 0 3 316.489 4.058 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@@H](C)C(=O)N1c2ccccc2C[C@H]1C ZINC000559721488 332277542 /nfs/dbraw/zinc/27/75/42/332277542.db2.gz ANVFYIQACQERHO-IKGGRYGDSA-N 0 3 322.452 4.012 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCCOc3ncccc3F)oc2c1 ZINC000426070711 335098348 /nfs/dbraw/zinc/09/83/48/335098348.db2.gz FANLQFQNSZASID-CYBMUJFWSA-N 0 3 314.360 4.005 20 0 DIADHN C[C@@H](NCc1ccc(N(C)C)nc1)c1ccc(F)c2ccccc21 ZINC000559737790 332278763 /nfs/dbraw/zinc/27/87/63/332278763.db2.gz XIAWJDNTEPQTAD-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN CCc1ccccc1CN[C@@H](CC)c1ccc(C(=O)OC)cc1 ZINC000559766589 332279782 /nfs/dbraw/zinc/27/97/82/332279782.db2.gz GSRAUIILMSKHPX-IBGZPJMESA-N 0 3 311.425 4.277 20 0 DIADHN CCCC[C@@H](CCC)C[NH2+][C@H](c1nnc[n-]1)c1ccc(F)cc1 ZINC000559774793 332279957 /nfs/dbraw/zinc/27/99/57/332279957.db2.gz YTCOVPCLJKFSHX-PBHICJAKSA-N 0 3 318.440 4.229 20 0 DIADHN CC[C@@H](N[C@@H](CC)CC(F)(F)F)c1ccc(C(=O)OC)cc1 ZINC000559842332 332284596 /nfs/dbraw/zinc/28/45/96/332284596.db2.gz MBNCJMVGIYYKNY-UONOGXRCSA-N 0 3 317.351 4.245 20 0 DIADHN C[C@H](NCc1coc2ccccc12)c1ccc2c(c1)CCC(=O)N2 ZINC000559860899 332286233 /nfs/dbraw/zinc/28/62/33/332286233.db2.gz QNQLMAMNYWJHTH-ZDUSSCGKSA-N 0 3 320.392 4.168 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccs1)c1ccc(-n2cccn2)c(F)c1 ZINC000559879516 332287803 /nfs/dbraw/zinc/28/78/03/332287803.db2.gz UCGQIFOVGMPTKY-OLZOCXBDSA-N 0 3 315.417 4.485 20 0 DIADHN C[C@@H](NCCc1cc2ccccc2s1)c1ccncc1F ZINC000559890831 332289949 /nfs/dbraw/zinc/28/99/49/332289949.db2.gz IRLGNARRSXBPDQ-GFCCVEGCSA-N 0 3 300.402 4.329 20 0 DIADHN C[C@@H](F)CCN[C@H](c1ccc(Br)cc1F)C1CC1 ZINC000559892546 332290294 /nfs/dbraw/zinc/29/02/94/332290294.db2.gz XXVZXNYUIMSTOZ-OTYXRUKQSA-N 0 3 318.205 4.377 20 0 DIADHN CO[C@H](CN[C@H](C)c1sc(-c2ccccc2)nc1C)C1CC1 ZINC000559897739 332291174 /nfs/dbraw/zinc/29/11/74/332291174.db2.gz PIZJGWQJGSKXKH-MLGOLLRUSA-N 0 3 316.470 4.194 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccc1)c1ccc(Cl)cc1)C1CC1 ZINC000559898227 332291416 /nfs/dbraw/zinc/29/14/16/332291416.db2.gz ZECUZVLHTZIFEC-RBUKOAKNSA-N 0 3 315.844 4.444 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@@H](C)c2ccccc2Cl)n1 ZINC000559912146 332293562 /nfs/dbraw/zinc/29/35/62/332293562.db2.gz REQMGWOLTUDHMR-OLZOCXBDSA-N 0 3 304.821 4.025 20 0 DIADHN CCN(CC)C(=O)Nc1ccc([C@H](C)N[C@H]2CCC[C@H]2F)cc1 ZINC000559920003 332293770 /nfs/dbraw/zinc/29/37/70/332293770.db2.gz SGLOSKMHOIPRAQ-XKQJLSEDSA-N 0 3 321.440 4.102 20 0 DIADHN C[C@@H](NCC1(c2ccccc2Cl)CC1)c1ccncc1F ZINC000559921931 332294093 /nfs/dbraw/zinc/29/40/93/332294093.db2.gz OISXEBHFOSLCGN-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN CCCN(CC(=O)Nc1cccc(Cl)c1Cl)CC1CC1 ZINC000096970288 332297342 /nfs/dbraw/zinc/29/73/42/332297342.db2.gz OEWKUWVZSQJFHY-UHFFFAOYSA-N 0 3 315.244 4.054 20 0 DIADHN CCC[C@@](C)(O)CNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000560051197 332306514 /nfs/dbraw/zinc/30/65/14/332306514.db2.gz XEUYBRNHZGLMCS-MRXNPFEDSA-N 0 3 324.877 4.104 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc(OC(C)C)cc2)cs1 ZINC000574011072 332306532 /nfs/dbraw/zinc/30/65/32/332306532.db2.gz AEOVGKZRLUMLAS-ZDUSSCGKSA-N 0 3 304.459 4.132 20 0 DIADHN C[C@@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000560056067 332306766 /nfs/dbraw/zinc/30/67/66/332306766.db2.gz PYBCAIAUXNFYNL-ACJLOTCBSA-N 0 3 320.839 4.433 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](C)c1ccc(C)s1)CCO2 ZINC000049161363 332307515 /nfs/dbraw/zinc/30/75/15/332307515.db2.gz GHEJWXPVKRMNKP-IUODEOHRSA-N 0 3 303.427 4.240 20 0 DIADHN CC(C)c1ccc(CNCc2ccnc(OC(F)F)c2)cc1 ZINC000560071869 332308700 /nfs/dbraw/zinc/30/87/00/332308700.db2.gz IMNGXILELQRCGH-UHFFFAOYSA-N 0 3 306.356 4.096 20 0 DIADHN Cc1cccc(OCCN2CCC(CC(F)(F)F)CC2)c1 ZINC000560171581 332316872 /nfs/dbraw/zinc/31/68/72/332316872.db2.gz VNXIXUHQMDNUMS-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)CC(C(C)C)C(C)C ZINC000560260490 332324798 /nfs/dbraw/zinc/32/47/98/332324798.db2.gz DKSAAKTZHDFHIP-UHFFFAOYSA-N 0 3 304.478 4.102 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cnc3n2CCCC3)cc1 ZINC000560266748 332325426 /nfs/dbraw/zinc/32/54/26/332325426.db2.gz QPSRJQLPSKYQJT-AWEZNQCLSA-N 0 3 315.486 4.182 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccnc(OC(F)F)c2)cc1C ZINC000560267460 332325696 /nfs/dbraw/zinc/32/56/96/332325696.db2.gz DCEXZUGOGGEYQE-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1cccc(C)n1 ZINC000564407784 332328631 /nfs/dbraw/zinc/32/86/31/332328631.db2.gz LZVHEFBPRJEEJT-RAXLEYEMSA-N 0 3 318.367 4.185 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@H](c4ccccc4)C3)c2c1 ZINC000560356690 332334511 /nfs/dbraw/zinc/33/45/11/332334511.db2.gz CPYFCLWXHCABIV-FQEVSTJZSA-N 0 3 304.393 4.121 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nccn1Cc1ccccc1 ZINC000564686795 332344137 /nfs/dbraw/zinc/34/41/37/332344137.db2.gz HWAOCDGWGAEOCZ-RTBURBONSA-N 0 3 311.473 4.332 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2C[C@H](c3ccccc3)C[C@H](C)C2)s1 ZINC000391792746 335102396 /nfs/dbraw/zinc/10/23/96/335102396.db2.gz YFTORAFEMATLOT-WRFANHODSA-N 0 3 315.486 4.470 20 0 DIADHN Cc1ncsc1CN1CCCC[C@H]1Cc1ccc(F)cc1 ZINC000560513565 332348775 /nfs/dbraw/zinc/34/87/75/332348775.db2.gz WCHPFKXTYDJSHM-INIZCTEOSA-N 0 3 304.434 4.188 20 0 DIADHN COc1ccc([C@@H](C)NC/C=C\c2ccccc2)c(C)c1OC ZINC000560525726 332350776 /nfs/dbraw/zinc/35/07/76/332350776.db2.gz WIPNCFAZVSCOOO-JXMNSVBMSA-N 0 3 311.425 4.376 20 0 DIADHN COc1ccc(CN2CCC[C@H](c3ccccc3)C2)cc1OC ZINC000560541804 332355490 /nfs/dbraw/zinc/35/54/90/332355490.db2.gz IDGZZWAPQZKKKK-SFHVURJKSA-N 0 3 311.425 4.083 20 0 DIADHN Cc1ccccc1[C@H]1CN(Cc2cccc3cc[nH]c32)[C@H](C)CO1 ZINC000560555720 332359360 /nfs/dbraw/zinc/35/93/60/332359360.db2.gz BWFHFHNWWKPMIU-OXQOHEQNSA-N 0 3 320.436 4.438 20 0 DIADHN Cc1ccc(C)c(CN[C@H](c2ccccc2)C2(CO)CCC2)c1 ZINC000560569977 332361800 /nfs/dbraw/zinc/36/18/00/332361800.db2.gz YUGVFUULPUQDND-HXUWFJFHSA-N 0 3 309.453 4.297 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)[C@@H](CO)C(C)(C)C ZINC000560574132 332362953 /nfs/dbraw/zinc/36/29/53/332362953.db2.gz NTMCRYUACDBOBC-HNNXBMFYSA-N 0 3 310.507 4.030 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1c(F)cccc1N1CCCC1 ZINC000560573945 332363053 /nfs/dbraw/zinc/36/30/53/332363053.db2.gz MODRESVTIOUGRF-QAPCUYQASA-N 0 3 322.443 4.434 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](c1nccn1C)C1CC1 ZINC000560575874 332363757 /nfs/dbraw/zinc/36/37/57/332363757.db2.gz TVCFHKJRSUGBLW-GDZNZVCISA-N 0 3 317.864 4.306 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@H]3CSC[C@@H]3C)oc21 ZINC000560584256 332365456 /nfs/dbraw/zinc/36/54/56/332365456.db2.gz BZBQQNWSYHRPJR-OBJOEFQTSA-N 0 3 305.443 4.234 20 0 DIADHN CCCCN(Cc1ncc(COC)s1)[C@H]1CCC[C@H](C)C1 ZINC000560611071 332369505 /nfs/dbraw/zinc/36/95/05/332369505.db2.gz AYYLLJUOXGPOOM-GJZGRUSLSA-N 0 3 310.507 4.470 20 0 DIADHN COC[C@@H](NCc1ccc2cc(OC)ccc2c1)c1ccccc1 ZINC000560635489 332371550 /nfs/dbraw/zinc/37/15/50/332371550.db2.gz UFZVAGJCSJKBEI-OAQYLSRUSA-N 0 3 321.420 4.326 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2cccc3ccccc32)c1 ZINC000560859096 332390497 /nfs/dbraw/zinc/39/04/97/332390497.db2.gz SIGPQZXAJFAPFU-OAHLLOKOSA-N 0 3 319.404 4.477 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCCC[C@@H]2C)cc(Cl)c1[O-] ZINC000560853540 332390787 /nfs/dbraw/zinc/39/07/87/332390787.db2.gz WTFOZTYSHGYAEC-GXTWGEPZSA-N 0 3 311.853 4.360 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000560907196 332393026 /nfs/dbraw/zinc/39/30/26/332393026.db2.gz AIJQWKSSGRMMOH-NHYWBVRUSA-N 0 3 301.833 4.173 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CN[C@H](C)c1ccc(F)cc1Cl ZINC000560907189 332393099 /nfs/dbraw/zinc/39/30/99/332393099.db2.gz AIJQWKSSGRMMOH-ABAIWWIYSA-N 0 3 301.833 4.173 20 0 DIADHN CC[C@H](CN[C@H](C)c1cccnc1)Oc1cccc(Cl)c1 ZINC000560912682 332394192 /nfs/dbraw/zinc/39/41/92/332394192.db2.gz WFUMOCOXWBXCGL-CZUORRHYSA-N 0 3 304.821 4.243 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCC[C@H]2OC(F)F)cc1Cl ZINC000560930267 332395035 /nfs/dbraw/zinc/39/50/35/332395035.db2.gz MHSWQTIOCBLECP-GAJTVXKRSA-N 0 3 319.779 4.160 20 0 DIADHN Cn1cc(CNCC(C)(C)C(F)(F)F)c(C2CCCCC2)n1 ZINC000560937702 332395940 /nfs/dbraw/zinc/39/59/40/332395940.db2.gz PBTCHBUKAAACMF-UHFFFAOYSA-N 0 3 317.399 4.146 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)C1(C)CCC1)c1ccc(Cl)cc1 ZINC000560968380 332397961 /nfs/dbraw/zinc/39/79/61/332397961.db2.gz STDGRKZNGXKZEY-INIZCTEOSA-N 0 3 322.880 4.029 20 0 DIADHN COc1ncc(CN[C@H](C)c2ccc3c(c2)CCC3)cc1Cl ZINC000561105710 332411589 /nfs/dbraw/zinc/41/15/89/332411589.db2.gz CPGKBJPXZNTAFT-GFCCVEGCSA-N 0 3 316.832 4.083 20 0 DIADHN CCCN(CCC)Cc1nn(-c2ccc(F)cc2)c2c1CCC2 ZINC000561113238 332412267 /nfs/dbraw/zinc/41/22/67/332412267.db2.gz OALGASBVZRMTRC-UHFFFAOYSA-N 0 3 315.436 4.122 20 0 DIADHN Fc1ccc(NC[C@H](c2cccc(Cl)c2)N2CCCC2)nc1 ZINC000561120220 332413139 /nfs/dbraw/zinc/41/31/39/332413139.db2.gz FCKYMXQRFRNODE-MRXNPFEDSA-N 0 3 319.811 4.123 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000449567082 332420213 /nfs/dbraw/zinc/42/02/13/332420213.db2.gz TVLYMLYJYPFJGA-MJBXVCDLSA-N 0 3 305.853 4.399 20 0 DIADHN Cc1cnc([C@@H](C)NCC(c2ccccc2)c2ccccc2)cn1 ZINC000427453262 332429264 /nfs/dbraw/zinc/42/92/64/332429264.db2.gz ONPUIJNWHGNHGA-QGZVFWFLSA-N 0 3 317.436 4.268 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000561278009 332432503 /nfs/dbraw/zinc/43/25/03/332432503.db2.gz MJTJCBSPQUTXIK-VXGBXAGGSA-N 0 3 320.820 4.251 20 0 DIADHN CNCc1cccc(NC(=O)[C@H](CC(C)C)c2ccccc2)c1 ZINC000428310312 332450983 /nfs/dbraw/zinc/45/09/83/332450983.db2.gz OGMVVKKYLJJHCY-LJQANCHMSA-N 0 3 310.441 4.174 20 0 DIADHN Fc1ccccc1N1CCCN(c2ccnc3ccccc32)CC1 ZINC000561448521 332451376 /nfs/dbraw/zinc/45/13/76/332451376.db2.gz LNCSMEORSIMNOK-UHFFFAOYSA-N 0 3 321.399 4.091 20 0 DIADHN C[C@@H](NCCC1CCCC1)c1ncc(Br)s1 ZINC000126416970 332459723 /nfs/dbraw/zinc/45/97/23/332459723.db2.gz OUZZJYXZUDLFHB-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN CSC[C@@H](C)N[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000150976477 332466305 /nfs/dbraw/zinc/46/63/05/332466305.db2.gz OUYSOANENMDPBV-NEPJUHHUSA-N 0 3 323.893 4.236 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCCOCC(F)(F)F)CCS2 ZINC000427619048 332468746 /nfs/dbraw/zinc/46/87/46/332468746.db2.gz VEUCPCQOPMJYNO-ZDUSSCGKSA-N 0 3 319.392 4.009 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CC(C)(C)c3ccccc32)cc1O ZINC000569629613 332469685 /nfs/dbraw/zinc/46/96/85/332469685.db2.gz RPLMDIQAEUVWFS-SUMWQHHRSA-N 0 3 311.425 4.474 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(SC)c(F)c2)cc1 ZINC000150998466 332472337 /nfs/dbraw/zinc/47/23/37/332472337.db2.gz AETVFAZLFKIKKW-LBPRGKRZSA-N 0 3 305.418 4.407 20 0 DIADHN Cc1csc([C@H](NC[C@H](C)Cc2ccccc2)C2CC2)n1 ZINC000152722182 332485138 /nfs/dbraw/zinc/48/51/38/332485138.db2.gz MHBHBFLSXWGZHT-CXAGYDPISA-N 0 3 300.471 4.371 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H](C)c2cccc(F)c2)n1 ZINC000151054862 332498928 /nfs/dbraw/zinc/49/89/28/332498928.db2.gz JLFJRLVJJQLNKQ-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2cccnc21)c1ccccc1 ZINC000561959773 332521539 /nfs/dbraw/zinc/52/15/39/332521539.db2.gz HOGODLBNRVHWPY-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC/C=C/c1ccccc1 ZINC000561964871 332523138 /nfs/dbraw/zinc/52/31/38/332523138.db2.gz WYJFGXQZYSWWPA-WUXMJOGZSA-N 0 3 308.425 4.180 20 0 DIADHN CC[C@@H](NCCCCCc1ccc(F)cc1)c1ccn(C)n1 ZINC000427845370 332538803 /nfs/dbraw/zinc/53/88/03/332538803.db2.gz XJLVDSBBSXJRCH-QGZVFWFLSA-N 0 3 303.425 4.013 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCC[C@H]2c2ccccc2)c(F)cn1 ZINC000562058541 332540078 /nfs/dbraw/zinc/54/00/78/332540078.db2.gz GWSZFVFIMFNLGI-DHSIGJKJSA-N 0 3 314.404 4.216 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1sc(-c2ccccc2F)nc1C ZINC000427855154 332541424 /nfs/dbraw/zinc/54/14/24/332541424.db2.gz KGOLVPDLCBQIJH-RYUDHWBXSA-N 0 3 322.449 4.333 20 0 DIADHN c1ccc2sc(C3CCN(Cc4ccncc4)CC3)nc2c1 ZINC000131049934 332550713 /nfs/dbraw/zinc/55/07/13/332550713.db2.gz BLFQMXNVGRILHF-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN CCSc1cc(CN[C@H]2c3cc(F)ccc3C[C@H]2C)ccn1 ZINC000427873042 332552316 /nfs/dbraw/zinc/55/23/16/332552316.db2.gz YVKWQAJXENMTNU-KZULUSFZSA-N 0 3 316.445 4.356 20 0 DIADHN COCc1nc(CN[C@@H]2CCC[C@@H](C(C)(C)C)CC2)cs1 ZINC000564418427 332564703 /nfs/dbraw/zinc/56/47/03/332564703.db2.gz DPDUSVSMOCDLFX-ZIAGYGMSSA-N 0 3 310.507 4.374 20 0 DIADHN CC1(C)CC[C@@H](CN[C@H]2CCCOc3ccc(Cl)cc32)O1 ZINC000427979381 332578642 /nfs/dbraw/zinc/57/86/42/332578642.db2.gz IIGJJPODMDCWDA-ZFWWWQNUSA-N 0 3 309.837 4.101 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC[C@@H]3CCC(C)(C)O3)oc21 ZINC000427982846 332579663 /nfs/dbraw/zinc/57/96/63/332579663.db2.gz RSDJKPLEXPCHPZ-HIFRSBDPSA-N 0 3 317.429 4.440 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(F)cc1)C(C)(C)C ZINC000172682848 332630209 /nfs/dbraw/zinc/63/02/09/332630209.db2.gz PURLIAPAPGSSRD-PXAZEXFGSA-N 0 3 303.425 4.306 20 0 DIADHN Cc1ccc(CCNCc2cnc(C(F)(F)F)s2)c(C)c1 ZINC000443979191 332647329 /nfs/dbraw/zinc/64/73/29/332647329.db2.gz JWRZYALKKSGREZ-UHFFFAOYSA-N 0 3 314.376 4.111 20 0 DIADHN CC[C@H](C)[C@H](CN[C@H](C)c1ccc(Br)s1)OC ZINC000394470055 332654944 /nfs/dbraw/zinc/65/49/44/332654944.db2.gz DYSAPRVPSRUEBR-AXFHLTTASA-N 0 3 320.296 4.222 20 0 DIADHN Fc1cccc(N2CCC[C@H](N[C@@H]3CCCc4occc43)C2)c1 ZINC000569671572 332657311 /nfs/dbraw/zinc/65/73/11/332657311.db2.gz COZDDSQEPLXOKK-MAUKXSAKSA-N 0 3 314.404 4.055 20 0 DIADHN CC[C@H](NCc1nc(C2CCCCC2)cs1)C1CCOCC1 ZINC000444666309 332694557 /nfs/dbraw/zinc/69/45/57/332694557.db2.gz AAQZVILTICVKKV-INIZCTEOSA-N 0 3 322.518 4.486 20 0 DIADHN COc1ccc([C@H](NCCCF)C(C)C)c(Br)c1 ZINC000570011691 332702074 /nfs/dbraw/zinc/70/20/74/332702074.db2.gz DHTVITHXVLOOLR-CQSZACIVSA-N 0 3 318.230 4.104 20 0 DIADHN CSc1cc(CN[C@@H]2c3cccc(F)c3CC[C@H]2C)ccn1 ZINC000537935395 332702920 /nfs/dbraw/zinc/70/29/20/332702920.db2.gz MPDVLOGWMDOTAW-XIKOKIGWSA-N 0 3 316.445 4.356 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2C[C@H](C)c3c2cccc3C)c1 ZINC000152907767 332708427 /nfs/dbraw/zinc/70/84/27/332708427.db2.gz PGJXFUUOMIWMPF-LIRRHRJNSA-N 0 3 308.425 4.292 20 0 DIADHN CC(C)n1cc([C@@H](C)NCC[C@H](C)OCc2ccccc2)cn1 ZINC000570449895 332757277 /nfs/dbraw/zinc/75/72/77/332757277.db2.gz AXIPNOOLKBWFER-DLBZAZTESA-N 0 3 315.461 4.110 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(OC)c(COC)c1)c1ccncc1 ZINC000163747891 332968620 /nfs/dbraw/zinc/96/86/20/332968620.db2.gz IASHTHPFLVCFDQ-KDOFPFPSSA-N 0 3 314.429 4.039 20 0 DIADHN Cc1ccc([C@@H](C)NCC2(c3ccccc3C)CCOCC2)o1 ZINC000152427203 335114581 /nfs/dbraw/zinc/11/45/81/335114581.db2.gz GHCLSVNPKVPRBG-QGZVFWFLSA-N 0 3 313.441 4.295 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)co2)cc1 ZINC000177573535 332991902 /nfs/dbraw/zinc/99/19/02/332991902.db2.gz NRDOUWLTJCBWLF-GJZGRUSLSA-N 0 3 300.377 4.103 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C3CC3)cc2)cc1OC ZINC000177608596 332993462 /nfs/dbraw/zinc/99/34/62/332993462.db2.gz CZYIGYGSKUZEKP-CQSZACIVSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3cc(F)ccc32)cc1F ZINC000178406077 333010601 /nfs/dbraw/zinc/01/06/01/333010601.db2.gz BHEPCBMSRGCPRH-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](C)c1cc(C)ccc1OC ZINC000178408574 333010672 /nfs/dbraw/zinc/01/06/72/333010672.db2.gz OCEKSOPHZBHCOH-KDOFPFPSSA-N 0 3 311.425 4.350 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000466360453 333013579 /nfs/dbraw/zinc/01/35/79/333013579.db2.gz DSVNRAXIOFGNNQ-IVMMDQJWSA-N 0 3 311.828 4.164 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000466360455 333013597 /nfs/dbraw/zinc/01/35/97/333013597.db2.gz DSVNRAXIOFGNNQ-XNRPHZJLSA-N 0 3 311.828 4.164 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000466360454 333013646 /nfs/dbraw/zinc/01/36/46/333013646.db2.gz DSVNRAXIOFGNNQ-JGGQBBKZSA-N 0 3 311.828 4.164 20 0 DIADHN C[C@H](C1CC1)N(Cc1coc(-c2c(F)cccc2F)n1)C1CC1 ZINC000178593787 333016573 /nfs/dbraw/zinc/01/65/73/333016573.db2.gz WCLQQNRCYOZJAC-LLVKDONJSA-N 0 3 318.367 4.383 20 0 DIADHN C[C@@H](NC[C@H]1CCCC[C@@H]1O)c1nc(-c2ccccc2)cs1 ZINC000178693696 333018567 /nfs/dbraw/zinc/01/85/67/333018567.db2.gz JXAONJCDIMALEW-UNEWFSDZSA-N 0 3 316.470 4.012 20 0 DIADHN CCOCCCCN[C@@H](c1ccccc1)c1ccc(OC)cc1 ZINC000180163566 333027033 /nfs/dbraw/zinc/02/70/33/333027033.db2.gz DFPHQVFMPCGHFU-FQEVSTJZSA-N 0 3 313.441 4.191 20 0 DIADHN CC(C)[C@@H]1OCCC[C@@H]1CN[C@H](c1ncc[nH]1)C1CCCCC1 ZINC000580225679 333028355 /nfs/dbraw/zinc/02/83/55/333028355.db2.gz YFKSDDMRVXLMKU-SQNIBIBYSA-N 0 3 319.493 4.072 20 0 DIADHN C[C@H](NCC(=O)Nc1cc(Cl)ccc1Cl)C1CCCC1 ZINC000180436626 333028379 /nfs/dbraw/zinc/02/83/79/333028379.db2.gz IJKZNGQLYGIHRR-JTQLQIEISA-N 0 3 315.244 4.100 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000180567351 333029119 /nfs/dbraw/zinc/02/91/19/333029119.db2.gz MWPKTDUWPBBVPD-LMMKCTJWSA-N 0 3 312.482 4.435 20 0 DIADHN Cc1nccnc1[C@@H](C)NCCCc1ccc(Cl)cc1Cl ZINC000188102520 333030416 /nfs/dbraw/zinc/03/04/16/333030416.db2.gz GISDSGGLVJXKOU-LLVKDONJSA-N 0 3 324.255 4.375 20 0 DIADHN CC[C@@H](CN[C@H](Cc1cccnc1)c1cccc(Cl)c1)OC ZINC000580249937 333040979 /nfs/dbraw/zinc/04/09/79/333040979.db2.gz SCCLEGOYZLGQHH-ZWKOTPCHSA-N 0 3 318.848 4.033 20 0 DIADHN C[C@@H](NCC[C@H]1CCCCO1)c1ccccc1Br ZINC000182756315 333046561 /nfs/dbraw/zinc/04/65/61/333046561.db2.gz ZNXSKTRCRHCLOX-CHWSQXEVSA-N 0 3 312.251 4.059 20 0 DIADHN COCc1cccc(CN[C@H](c2ccc(F)cc2)C(C)C)c1 ZINC000378869557 333058655 /nfs/dbraw/zinc/05/86/55/333058655.db2.gz KEXLQVDSIITUTF-IBGZPJMESA-N 0 3 301.405 4.459 20 0 DIADHN COc1cnccc1[C@H](C)NC[C@@H](C)c1cccc(Cl)c1 ZINC000189011126 333060694 /nfs/dbraw/zinc/06/06/94/333060694.db2.gz VCNWIAUFVLGSLM-OLZOCXBDSA-N 0 3 304.821 4.198 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2ccncc2)cc1Br ZINC000183713299 333061718 /nfs/dbraw/zinc/06/17/18/333061718.db2.gz OOCQFJGFBBBPLR-CYBMUJFWSA-N 0 3 319.246 4.346 20 0 DIADHN c1csc([C@@H](NCc2cnn3ccccc23)C2CCCC2)c1 ZINC000378925369 333064348 /nfs/dbraw/zinc/06/43/48/333064348.db2.gz SICJXROLPWLBQH-SFHVURJKSA-N 0 3 311.454 4.417 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000460347210 333067035 /nfs/dbraw/zinc/06/70/35/333067035.db2.gz IYPPFRVTBGIXNQ-QWHCGFSZSA-N 0 3 305.447 4.247 20 0 DIADHN CC(C)Oc1cccc(CN2C[C@@H](C)C[C@H]2c2cccnc2)c1 ZINC000460354616 333069790 /nfs/dbraw/zinc/06/97/90/333069790.db2.gz AEPDEJWVTWCVJQ-JXFKEZNVSA-N 0 3 310.441 4.452 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(C)CCCO[C@H]1CCCCO1 ZINC000460389449 333076414 /nfs/dbraw/zinc/07/64/14/333076414.db2.gz SQMQHLMBHJOXRI-YOEHRIQHSA-N 0 3 311.853 4.266 20 0 DIADHN Cc1ccc(CNC2CC(c3ccccc3Cl)C2)nc1C ZINC000313957995 333078821 /nfs/dbraw/zinc/07/88/21/333078821.db2.gz QSUKPKFKRNBNKS-UHFFFAOYSA-N 0 3 300.833 4.388 20 0 DIADHN CCCn1cc(CN2CCC[C@@H](c3ccc(F)cc3)CC2)cn1 ZINC000497146851 333079610 /nfs/dbraw/zinc/07/96/10/333079610.db2.gz NADSTWHZGNREPL-QGZVFWFLSA-N 0 3 315.436 4.202 20 0 DIADHN C[C@@H](CCC(C)(C)C)NCc1ccncc1OCC(F)F ZINC000580302801 333081107 /nfs/dbraw/zinc/08/11/07/333081107.db2.gz XGDBGNVQVLDWNF-LBPRGKRZSA-N 0 3 300.393 4.030 20 0 DIADHN C[C@@H](NCCCn1cnc2ccccc21)c1ccccc1Cl ZINC000314022772 333082779 /nfs/dbraw/zinc/08/27/79/333082779.db2.gz JGMOBQJCFMZFPC-CQSZACIVSA-N 0 3 313.832 4.431 20 0 DIADHN C[C@@H](NCCOc1ncc(Cl)cc1Cl)c1ccccc1 ZINC000540157680 333083719 /nfs/dbraw/zinc/08/37/19/333083719.db2.gz DIAIZOQQTHTOJF-LLVKDONJSA-N 0 3 311.212 4.118 20 0 DIADHN CC(C)c1ccc([C@H](C)NC[C@@H](c2ccco2)N(C)C)cc1 ZINC000314153069 333090163 /nfs/dbraw/zinc/09/01/63/333090163.db2.gz JATLNVAKESRIFE-YJBOKZPZSA-N 0 3 300.446 4.357 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H](C)c1ccc(Cl)cc1 ZINC000314288602 333098681 /nfs/dbraw/zinc/09/86/81/333098681.db2.gz CESHTROBSARHFK-CYBMUJFWSA-N 0 3 313.832 4.349 20 0 DIADHN Cc1ccccc1O[C@@H](C)CNCc1ccc(OC(F)F)cc1 ZINC000462734614 333100757 /nfs/dbraw/zinc/10/07/57/333100757.db2.gz JMSOHFQTCHMDAH-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN Cc1sc2[n-]c(C[NH2+][C@]3(C)CCCC[C@@H]3C)nc(=O)c2c1C ZINC000308688067 333100895 /nfs/dbraw/zinc/10/08/95/333100895.db2.gz BMDFKBIMPJWEQW-DYZYQPBXSA-N 0 3 319.474 4.072 20 0 DIADHN CC(C)CCn1cccc1CNC[C@H](C)Oc1ccccc1F ZINC000462739128 333103291 /nfs/dbraw/zinc/10/32/91/333103291.db2.gz VJMGTAQOGZHJBA-INIZCTEOSA-N 0 3 318.436 4.230 20 0 DIADHN CO[C@H](CNCc1cc(C)ccc1F)c1cccc(Cl)c1 ZINC000462743806 333105391 /nfs/dbraw/zinc/10/53/91/333105391.db2.gz DTYDARVPIAPTHM-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN CCN(C/C=C/c1ccc(Cl)cc1)CCc1nccs1 ZINC000526056814 333107714 /nfs/dbraw/zinc/10/77/14/333107714.db2.gz IQLFMVONGLDWOX-ONEGZZNKSA-N 0 3 306.862 4.374 20 0 DIADHN CC(C)OCCCN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000314402893 333108539 /nfs/dbraw/zinc/10/85/39/333108539.db2.gz UYACDJZAGAFVGO-IBGZPJMESA-N 0 3 301.405 4.320 20 0 DIADHN COc1cc(CN[C@H]2C[C@H]2C2CCCCC2)cc(Cl)c1OC ZINC000462764540 333109731 /nfs/dbraw/zinc/10/97/31/333109731.db2.gz NXFGCCUKBGEPCW-HOCLYGCPSA-N 0 3 323.864 4.416 20 0 DIADHN CCCOc1cc(CNCc2cscc2C)ccc1OC ZINC000487150715 333113333 /nfs/dbraw/zinc/11/33/33/333113333.db2.gz CJVVBYKWHQRUDJ-UHFFFAOYSA-N 0 3 305.443 4.144 20 0 DIADHN C[C@H](NC[C@@]1(C)CCOC1)c1csc(-c2ccccc2F)n1 ZINC000462802566 333115716 /nfs/dbraw/zinc/11/57/16/333115716.db2.gz LKVQKMNLIYUWSE-YVEFUNNKSA-N 0 3 320.433 4.026 20 0 DIADHN C[C@@H](O)[C@@](C)(CNCc1sccc1Cl)Cc1ccccc1 ZINC000487175583 333116088 /nfs/dbraw/zinc/11/60/88/333116088.db2.gz VQPIYSBCQSXPBX-CXAGYDPISA-N 0 3 323.889 4.121 20 0 DIADHN COc1ccccc1C[C@H](N[C@H](C)c1csc(C)n1)C(C)C ZINC000462818541 333119831 /nfs/dbraw/zinc/11/98/31/333119831.db2.gz RDOAILPWFAXAQR-CJNGLKHVSA-N 0 3 318.486 4.378 20 0 DIADHN CCN(Cc1ccc(Oc2cccnc2)c(C)c1)C[C@@H](C)OC ZINC000462834506 333122873 /nfs/dbraw/zinc/12/28/73/333122873.db2.gz TWXFWCVJYDKTPD-MRXNPFEDSA-N 0 3 314.429 4.039 20 0 DIADHN C[C@@H](N[C@H]1CCCN(CC(C)(C)C)C1=O)c1cccc(Cl)c1 ZINC000460679405 333123834 /nfs/dbraw/zinc/12/38/34/333123834.db2.gz OXYYOUFOCBRUEB-CJNGLKHVSA-N 0 3 322.880 4.028 20 0 DIADHN C[C@H](NCCC[C@H]1CCCC[C@H]1O)c1ccc(F)cc1Cl ZINC000540165748 333125514 /nfs/dbraw/zinc/12/55/14/333125514.db2.gz WNWJMIHLCHQQSO-OGHNNQOOSA-N 0 3 313.844 4.461 20 0 DIADHN C[C@H](NCC[S@@](=O)C(C)(C)C)c1ccc2ccccc2c1 ZINC000177346736 333127560 /nfs/dbraw/zinc/12/75/60/333127560.db2.gz RVFTWIOOMZSDHC-LHSJRXKWSA-N 0 3 303.471 4.038 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)c1 ZINC000177982033 333133808 /nfs/dbraw/zinc/13/38/08/333133808.db2.gz ZTOIALUIYMCNDF-WCJKSRRJSA-N 0 3 315.482 4.453 20 0 DIADHN CC[C@H](NCc1cccc(CN2CCCC2=O)c1)c1ccccc1 ZINC000177992573 333134168 /nfs/dbraw/zinc/13/41/68/333134168.db2.gz HACCQTKKWPGSKD-FQEVSTJZSA-N 0 3 322.452 4.050 20 0 DIADHN Cc1csc(N(C(=O)C2[C@H](C)C2(F)F)c2ccccc2)n1 ZINC000460765943 333137659 /nfs/dbraw/zinc/13/76/59/333137659.db2.gz GUJMEYSAUXKVPV-JQWIXIFHSA-N 0 3 308.353 4.017 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cn(C)nc2C)c2ccccc12 ZINC000178716720 333142554 /nfs/dbraw/zinc/14/25/54/333142554.db2.gz LXAZINVHVMUSKM-UONOGXRCSA-N 0 3 323.440 4.302 20 0 DIADHN CN[C@@H](CC(C)(C)C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000387649420 333152891 /nfs/dbraw/zinc/15/28/91/333152891.db2.gz KCGZXULOAVXURO-IBGZPJMESA-N 0 3 324.468 4.240 20 0 DIADHN CC(C)Oc1ccc(CN(C)CCc2nccs2)cc1Cl ZINC000188130631 333159670 /nfs/dbraw/zinc/15/96/70/333159670.db2.gz XYTZYEGZLLSXHX-UHFFFAOYSA-N 0 3 324.877 4.258 20 0 DIADHN C[C@H](NCCc1ccco1)c1cc(Cl)ccc1OC(F)F ZINC000461086345 333169952 /nfs/dbraw/zinc/16/99/52/333169952.db2.gz JNJGDMYJJTYOBO-JTQLQIEISA-N 0 3 315.747 4.428 20 0 DIADHN Cc1noc([C@H]2CCCN([C@@H](C)c3ccc(C(C)C)cc3)C2)n1 ZINC000181570649 333170298 /nfs/dbraw/zinc/17/02/98/333170298.db2.gz DMJTZIDKBKDQQU-KSSFIOAISA-N 0 3 313.445 4.442 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2Cc2cccc(C(N)=O)c2)cc1 ZINC000533932374 333179087 /nfs/dbraw/zinc/17/90/87/333179087.db2.gz DBWWGCOXKKBYOH-HXUWFJFHSA-N 0 3 322.452 4.246 20 0 DIADHN O=C1CCN1c1cccc(CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000582041592 333182356 /nfs/dbraw/zinc/18/23/56/333182356.db2.gz BJAMPPBCOKIKFZ-HXUWFJFHSA-N 0 3 320.436 4.054 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1nnc(-c2ccccc2Cl)o1 ZINC000185625015 333210576 /nfs/dbraw/zinc/21/05/76/333210576.db2.gz VPCSONIZNDPILO-RISCZKNCSA-N 0 3 305.809 4.010 20 0 DIADHN COc1ccc(OC(F)F)c(CNCCC2=CCCCC2)c1 ZINC000497196416 333220298 /nfs/dbraw/zinc/22/02/98/333220298.db2.gz ADVXCBNIWLIFOH-UHFFFAOYSA-N 0 3 311.372 4.277 20 0 DIADHN C[C@H](NCCOCc1ccccc1)c1cccc(OC(F)F)c1 ZINC000390090502 333224148 /nfs/dbraw/zinc/22/41/48/333224148.db2.gz IVFBZXGQNUTYNA-AWEZNQCLSA-N 0 3 321.367 4.155 20 0 DIADHN CCOC1(CN[C@H](C)c2ccccc2OC(F)F)CCCC1 ZINC000320699674 335128313 /nfs/dbraw/zinc/12/83/13/335128313.db2.gz NZCINXRHALEFSM-CYBMUJFWSA-N 0 3 313.388 4.288 20 0 DIADHN CC(C)c1ccc(CN2CCC[C@](O)(C(F)(F)F)CC2)cc1 ZINC000318948836 333230703 /nfs/dbraw/zinc/23/07/03/333230703.db2.gz XDMNUJDHBIETDO-MRXNPFEDSA-N 0 3 315.379 4.089 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](CO)C1)c1cc(Cl)sc1Cl ZINC000380711753 333231034 /nfs/dbraw/zinc/23/10/34/333231034.db2.gz CNLNGMFHQUYQQJ-GUBZILKMSA-N 0 3 308.274 4.257 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1ccccc1-n1ccnn1 ZINC000514084904 333234346 /nfs/dbraw/zinc/23/43/46/333234346.db2.gz SLAQPXRRMXSDLK-FZKQIMNGSA-N 0 3 324.453 4.131 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](N[C@@H](C)CC2CC2)c2ccccc2)cc1 ZINC000497319061 333235611 /nfs/dbraw/zinc/23/56/11/333235611.db2.gz GZHIWPZJFZKBBS-JXFKEZNVSA-N 0 3 322.452 4.453 20 0 DIADHN OC[C@H]1C=C[C@@H](N[C@H](CCCc2ccccc2)c2ccccc2)C1 ZINC000497343977 333238677 /nfs/dbraw/zinc/23/86/77/333238677.db2.gz WZOVDXYUPCUGSB-KSEOMHKRSA-N 0 3 321.464 4.277 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1nc2c(s1)CCC2 ZINC000497346868 333238803 /nfs/dbraw/zinc/23/88/03/333238803.db2.gz DXKQZKITKICNHU-HBNTYKKESA-N 0 3 318.408 4.404 20 0 DIADHN CSc1ccccc1[C@@H](C)NCc1cccc(-n2cccn2)c1 ZINC000500384102 333239490 /nfs/dbraw/zinc/23/94/90/333239490.db2.gz MZTKBDRYMVWOPH-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cc2ccccc2s1 ZINC000188126585 333241963 /nfs/dbraw/zinc/24/19/63/333241963.db2.gz BWQNMVSLEQNHAT-UVIMBBFXSA-N 0 3 309.434 4.210 20 0 DIADHN C/C=C\c1ccc(NC(=O)NC[C@@H](c2ccccc2)N(C)C)cc1 ZINC000497379528 333242648 /nfs/dbraw/zinc/24/26/48/333242648.db2.gz ABGCRPAGVHQDDY-KYLNKMBASA-N 0 3 323.440 4.144 20 0 DIADHN C/C=C\c1ccc(NC(=O)N(CCN(C)C)C2CCCC2)cc1 ZINC000497407714 333247464 /nfs/dbraw/zinc/24/74/64/333247464.db2.gz MVPOMACKRLGETD-DAXSKMNVSA-N 0 3 315.461 4.058 20 0 DIADHN C/C=C\c1ccc(NC(=O)N[C@@H](CN(C)C)c2ccccc2)cc1 ZINC000497413518 333247609 /nfs/dbraw/zinc/24/76/09/333247609.db2.gz BIHDXNWJJAWWMI-KYLNKMBASA-N 0 3 323.440 4.144 20 0 DIADHN C/C=C\c1ccc(NC(=O)N[C@H](CN(C)C)c2ccccc2)cc1 ZINC000497413805 333247668 /nfs/dbraw/zinc/24/76/68/333247668.db2.gz BIHDXNWJJAWWMI-LKJUBWIJSA-N 0 3 323.440 4.144 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)CC(=O)NC1CCC(C)CC1 ZINC000497410751 333248010 /nfs/dbraw/zinc/24/80/10/333248010.db2.gz VYHLYWSXLAJNFQ-NLPFYKDJSA-N 0 3 316.489 4.010 20 0 DIADHN COc1cccc([C@H](C)N[C@@H]2CCCc3ccc(OC)cc32)c1 ZINC000390223282 333250073 /nfs/dbraw/zinc/25/00/73/333250073.db2.gz FELCFKHSXCNNIY-VBKZILBWSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ccnn2C)C1 ZINC000497497854 333256400 /nfs/dbraw/zinc/25/64/00/333256400.db2.gz XQGYLGKNKJXYRW-HIFRSBDPSA-N 0 3 303.837 4.014 20 0 DIADHN COCCCCNCc1c(Cl)cccc1SC(C)C ZINC000189841111 333263782 /nfs/dbraw/zinc/26/37/82/333263782.db2.gz RWLVNCCOUYEKIG-UHFFFAOYSA-N 0 3 301.883 4.357 20 0 DIADHN CN(Cc1ccc(OC(F)F)cc1)[C@@H]1CCc2ccccc21 ZINC000497585528 333266228 /nfs/dbraw/zinc/26/62/28/333266228.db2.gz QJYOFIFNOQBKNP-QGZVFWFLSA-N 0 3 303.352 4.407 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2ccc(OC(F)F)cc2)cc1 ZINC000497597127 333267267 /nfs/dbraw/zinc/26/72/67/333267267.db2.gz VQRLRTXBOHMKAF-ZDUSSCGKSA-N 0 3 321.367 4.490 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCOc1ccc(F)cc1 ZINC000497599484 333267602 /nfs/dbraw/zinc/26/76/02/333267602.db2.gz DTTLNCHVLPYSDO-LBPRGKRZSA-N 0 3 309.331 4.176 20 0 DIADHN CCOC(=O)CC1CCN([C@H](C)c2cccc(Cl)c2)CC1 ZINC000497615566 333269940 /nfs/dbraw/zinc/26/99/40/333269940.db2.gz RDEPLUAZQJMLQN-CYBMUJFWSA-N 0 3 309.837 4.066 20 0 DIADHN FC(F)(F)c1cccc(NC2CCN(c3ccncc3)CC2)c1 ZINC000390361041 333271809 /nfs/dbraw/zinc/27/18/09/333271809.db2.gz QZWVRHQOJKSEJL-UHFFFAOYSA-N 0 3 321.346 4.181 20 0 DIADHN C[C@@H](NCc1cc(C(F)(F)F)ccc1Cl)[C@H]1CCCOC1 ZINC000503898419 333273880 /nfs/dbraw/zinc/27/38/80/333273880.db2.gz APSLKBUKPWXVAY-MNOVXSKESA-N 0 3 321.770 4.264 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H](C)c2ccccc2)cc(Cl)c1[O-] ZINC000497671061 333276633 /nfs/dbraw/zinc/27/66/33/333276633.db2.gz PZTJDWMSAIQHAO-ZDUSSCGKSA-N 0 3 319.832 4.338 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CCC[C@H](C)[C@@H]2C)cc(Cl)c1[O-] ZINC000497692661 333278806 /nfs/dbraw/zinc/27/88/06/333278806.db2.gz TVIFCXWFZFCSBD-SLEUVZQESA-N 0 3 311.853 4.359 20 0 DIADHN C[C@H](CCN[C@@H](C)c1nc2c(s1)CCC2)c1ccccc1 ZINC000497713485 333281585 /nfs/dbraw/zinc/28/15/85/333281585.db2.gz MHNJGXOEWKRNAI-KGLIPLIRSA-N 0 3 300.471 4.476 20 0 DIADHN C[C@@H](NC[C@@H]1CCO[C@H]1c1ccccc1)c1cc(F)ccc1F ZINC000191861828 333283213 /nfs/dbraw/zinc/28/32/13/333283213.db2.gz PPYMBMAXXNYZDS-WTANOLMUSA-N 0 3 317.379 4.393 20 0 DIADHN CC[C@H](c1ccccc1)N(Cc1ccc(OC)nn1)CC(C)C ZINC000514089327 333283364 /nfs/dbraw/zinc/28/33/64/333283364.db2.gz LNAIZFPRRFHWIN-GOSISDBHSA-N 0 3 313.445 4.095 20 0 DIADHN CCCN(CC(=O)Nc1c(F)cccc1F)CC1CCCCC1 ZINC000497748895 333286282 /nfs/dbraw/zinc/28/62/82/333286282.db2.gz LQZHWTVJVPBITH-UHFFFAOYSA-N 0 3 324.415 4.196 20 0 DIADHN CN(Cc1nc2ccccc2n1C)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000497749965 333286938 /nfs/dbraw/zinc/28/69/38/333286938.db2.gz JULXVPMYYMDFJT-PKOBYXMFSA-N 0 3 319.452 4.341 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](C)c2cnn(C3CCCC3)c2)C[C@@H]1OC ZINC000502397813 333291849 /nfs/dbraw/zinc/29/18/49/333291849.db2.gz BZKSXSDYHPYWSQ-QGTPRVQTSA-N 0 3 319.493 4.243 20 0 DIADHN CSC[C@H](CCO)N[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497822031 333296594 /nfs/dbraw/zinc/29/65/94/333296594.db2.gz LGGZTJQNUBLEPT-WMLDXEAASA-N 0 3 321.486 4.224 20 0 DIADHN CSC[C@@H](CCO)N[C@H](c1cc2ccccc2o1)C(C)(C)C ZINC000497822030 333296646 /nfs/dbraw/zinc/29/66/46/333296646.db2.gz LGGZTJQNUBLEPT-RHSMWYFYSA-N 0 3 321.486 4.224 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)CCOc1cccc(F)c1 ZINC000497832132 333298119 /nfs/dbraw/zinc/29/81/19/333298119.db2.gz SSOVAZYMPOZMMH-GFCCVEGCSA-N 0 3 309.331 4.176 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)CCOc1cccc(F)c1 ZINC000497832133 333298206 /nfs/dbraw/zinc/29/82/06/333298206.db2.gz SSOVAZYMPOZMMH-LBPRGKRZSA-N 0 3 309.331 4.176 20 0 DIADHN CC(C)(C)CC(C)(C)NCc1ncc(Br)s1 ZINC000390559728 333299778 /nfs/dbraw/zinc/29/97/78/333299778.db2.gz RNZOVFCVBAGVAC-UHFFFAOYSA-N 0 3 305.285 4.210 20 0 DIADHN C[C@H](CNCc1c(F)cccc1Cl)N(C)Cc1ccccc1 ZINC000390578463 333302581 /nfs/dbraw/zinc/30/25/81/333302581.db2.gz XOYZDSXQHBUEBD-CQSZACIVSA-N 0 3 320.839 4.089 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@H](c1ccc(F)cn1)C(C)C ZINC000497861660 333302945 /nfs/dbraw/zinc/30/29/45/333302945.db2.gz XHGXCRQDPHPRKI-BEFAXECRSA-N 0 3 316.420 4.283 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NCc1cc(-c2ccccc2)cs1 ZINC000054040756 333309473 /nfs/dbraw/zinc/30/94/73/333309473.db2.gz OCRNWDNTJINVRA-SFHVURJKSA-N 0 3 323.465 4.316 20 0 DIADHN CCN(C(=O)CCC(C)C)[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000497959691 333314616 /nfs/dbraw/zinc/31/46/16/333314616.db2.gz YUFYYWIMSUKHHG-MJGOQNOKSA-N 0 3 316.489 4.107 20 0 DIADHN c1ccc(COCCCCNc2ccnc3ccccc32)cc1 ZINC000390700073 333316005 /nfs/dbraw/zinc/31/60/05/333316005.db2.gz KZLJNKCTIWKWQQ-UHFFFAOYSA-N 0 3 306.409 4.066 20 0 DIADHN CCC[C@@H](C)C(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000497983688 333317242 /nfs/dbraw/zinc/31/72/42/333317242.db2.gz CEKVPVJVXQBJFS-HZPDHXFCSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H](c1ccc(F)c(Cl)c1)N1CC[C@H](c2cccnc2)C1 ZINC000563073556 333323962 /nfs/dbraw/zinc/32/39/62/333323962.db2.gz WOYVBRAOFQVLOE-WFASDCNBSA-N 0 3 304.796 4.425 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccccn2)c2ccccc2n1 ZINC000531201580 333330523 /nfs/dbraw/zinc/33/05/23/333330523.db2.gz IPIXKEQLOXBJDX-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cc1ccc2nc(CN3CC[C@@H](c4ccccc4)[C@H]3C)cn2c1 ZINC000531202542 333330697 /nfs/dbraw/zinc/33/06/97/333330697.db2.gz VWDAVQJZCSRZKZ-VQIMIIECSA-N 0 3 305.425 4.021 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(OC)c(F)c2)cc1 ZINC000531199476 333331142 /nfs/dbraw/zinc/33/11/42/333331142.db2.gz RTOGRKOIAGTXNV-UHFFFAOYSA-N 0 3 301.405 4.422 20 0 DIADHN Fc1ccc(F)c(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000531212905 333334923 /nfs/dbraw/zinc/33/49/23/333334923.db2.gz CHUPPEOVVWSCTH-JQWIXIFHSA-N 0 3 307.306 4.423 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1cnc(C2CCCCC2)s1 ZINC000531213068 333335005 /nfs/dbraw/zinc/33/50/05/333335005.db2.gz DFWPWLBFSCSTKD-DZGCQCFKSA-N 0 3 310.507 4.078 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000537938105 333336414 /nfs/dbraw/zinc/33/64/14/333336414.db2.gz MVJUYSQUKGPARH-KXBFYZLASA-N 0 3 323.436 4.494 20 0 DIADHN C[C@H](NCC1CC(F)(F)C1)c1ccccc1Br ZINC000383237905 333341027 /nfs/dbraw/zinc/34/10/27/333341027.db2.gz UTSGBFOWWYOXBS-VIFPVBQESA-N 0 3 304.178 4.145 20 0 DIADHN Cc1cccc([C@H](C)c2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC000531348365 333345346 /nfs/dbraw/zinc/34/53/46/333345346.db2.gz AFTPGQIPGRPLJG-HNNXBMFYSA-N 0 3 321.424 4.258 20 0 DIADHN Cn1ccc(CNCc2ccc(-c3ccccc3F)s2)c1 ZINC000531356077 333345804 /nfs/dbraw/zinc/34/58/04/333345804.db2.gz OVJHBXWWHUNFOU-UHFFFAOYSA-N 0 3 300.402 4.183 20 0 DIADHN Cn1ccc(CNCc2ccc(-c3ccc(F)cc3)s2)c1 ZINC000531356280 333345945 /nfs/dbraw/zinc/34/59/45/333345945.db2.gz BRCRQTVTXKLINM-UHFFFAOYSA-N 0 3 300.402 4.183 20 0 DIADHN CCOc1ccccc1CNC[C@H]1SCCc2ccccc21 ZINC000531391781 333349303 /nfs/dbraw/zinc/34/93/03/333349303.db2.gz OHZPURLFWIOPTL-LJQANCHMSA-N 0 3 313.466 4.206 20 0 DIADHN C[C@@H](NCC(C)(C)CCCO)c1ccc(Cl)cc1Cl ZINC000221600095 333361473 /nfs/dbraw/zinc/36/14/73/333361473.db2.gz MZNWRDXAGVKQEH-LLVKDONJSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@H](NCC(C)(C)CCCO)c1cc(Cl)ccc1Cl ZINC000221589946 333361543 /nfs/dbraw/zinc/36/15/43/333361543.db2.gz UUEZIWDTJWULBP-NSHDSACASA-N 0 3 304.261 4.443 20 0 DIADHN CC(C)(CCCO)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000323528318 333365296 /nfs/dbraw/zinc/36/52/96/333365296.db2.gz MLWUNLDACGMMJO-IBGZPJMESA-N 0 3 315.432 4.303 20 0 DIADHN CCC[C@](C)(O)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000388644776 333366736 /nfs/dbraw/zinc/36/67/36/333366736.db2.gz CUSJVAOTUSLXFC-MOPGFXCFSA-N 0 3 301.405 4.056 20 0 DIADHN CCC[C@@](C)(O)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000388644781 333366815 /nfs/dbraw/zinc/36/68/15/333366815.db2.gz CUSJVAOTUSLXFC-RBUKOAKNSA-N 0 3 301.405 4.056 20 0 DIADHN CC(C)=CCN[C@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000188447865 333366998 /nfs/dbraw/zinc/36/69/98/333366998.db2.gz HKAPBRRUGNLUEV-OAHLLOKOSA-N 0 3 321.486 4.020 20 0 DIADHN CCCCOCCN[C@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000040506602 333372527 /nfs/dbraw/zinc/37/25/27/333372527.db2.gz JOLNICIILIMKMU-GFCCVEGCSA-N 0 3 305.340 4.053 20 0 DIADHN COc1cccc2cc([C@H](C)N[C@@H](C)CC(F)(F)F)oc21 ZINC000221872589 333372991 /nfs/dbraw/zinc/37/29/91/333372991.db2.gz GWGHRCZOJIGPGU-UWVGGRQHSA-N 0 3 301.308 4.433 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2CC[C@@H]2CCCO2)c1 ZINC000188549669 333373840 /nfs/dbraw/zinc/37/38/40/333373840.db2.gz UHIFFUKDLHGKNH-SUMWQHHRSA-N 0 3 319.495 4.326 20 0 DIADHN Cn1cc([C@H](N[C@@H]2CS[C@@H](C(C)(C)C)C2)C(C)(C)C)cn1 ZINC000488422227 333375642 /nfs/dbraw/zinc/37/56/42/333375642.db2.gz LNFXYDLWLREYLH-ZNMIVQPWSA-N 0 3 309.523 4.017 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2ccccc2OC(F)F)CS1 ZINC000488426521 333376073 /nfs/dbraw/zinc/37/60/73/333376073.db2.gz TUFQYLWSANTJOP-GXTWGEPZSA-N 0 3 315.429 4.298 20 0 DIADHN C[C@H](CN[C@@H](C)c1cnc2ccsc2c1)c1nccs1 ZINC000186725036 333377397 /nfs/dbraw/zinc/37/73/97/333377397.db2.gz BJYRFFMCSPYSIM-MNOVXSKESA-N 0 3 303.456 4.207 20 0 DIADHN CSCCCCCN[C@H](c1ccccc1)c1ccccn1 ZINC000186779804 333378639 /nfs/dbraw/zinc/37/86/39/333378639.db2.gz KKRYCLDBVSORFO-GOSISDBHSA-N 0 3 300.471 4.294 20 0 DIADHN Cc1cnc([C@H](N[C@@H]2CS[C@H](C(C)(C)C)C2)C2CC2)s1 ZINC000488458545 333379949 /nfs/dbraw/zinc/37/99/49/333379949.db2.gz IHHKZUGLDVCEMM-MELADBBJSA-N 0 3 310.532 4.412 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2C[C@H]3OCCC[C@H]23)c2ccccc2)cc1 ZINC000186907045 333381155 /nfs/dbraw/zinc/38/11/55/333381155.db2.gz JHACHWSVALBPMN-XRXFAXGQSA-N 0 3 307.437 4.242 20 0 DIADHN Cc1nc(CCN[C@H]2CCSc3ccc(Cl)cc32)cs1 ZINC000221955316 333383945 /nfs/dbraw/zinc/38/39/45/333383945.db2.gz ZLGVEMYHTNJCFI-AWEZNQCLSA-N 0 3 324.902 4.474 20 0 DIADHN C[C@@H](NC[C@](C)(O)C1CCCCC1)c1nc2c(s1)CCCC2 ZINC000188665499 333384743 /nfs/dbraw/zinc/38/47/43/333384743.db2.gz HZMRYOOHJIPGOW-ACJLOTCBSA-N 0 3 322.518 4.004 20 0 DIADHN O[C@@H](CN(Cc1ccccc1)Cc1ccccc1)CC(F)(F)F ZINC000188664412 333384761 /nfs/dbraw/zinc/38/47/61/333384761.db2.gz VEPKVGXDXTWZDF-QGZVFWFLSA-N 0 3 323.358 4.002 20 0 DIADHN O[C@H](CN(Cc1ccccc1)Cc1ccccc1)CC(F)(F)F ZINC000188664437 333384852 /nfs/dbraw/zinc/38/48/52/333384852.db2.gz VEPKVGXDXTWZDF-KRWDZBQOSA-N 0 3 323.358 4.002 20 0 DIADHN CC[C@H](NC[C@@H](c1ccco1)N(C)C)c1ccc(Cl)cc1 ZINC000021007604 333386566 /nfs/dbraw/zinc/38/65/66/333386566.db2.gz KLCQVQIARQJFCV-HOTGVXAUSA-N 0 3 306.837 4.277 20 0 DIADHN C[C@H](N[C@@H]1CCSc2ccccc21)c1ccc2c(c1)OCO2 ZINC000121764911 333388227 /nfs/dbraw/zinc/38/82/27/333388227.db2.gz IYYMIGSVFMMVPZ-SWLSCSKDSA-N 0 3 313.422 4.303 20 0 DIADHN CC[C@H](NC[C@H](c1ccco1)N(C)C)c1cccc(Cl)c1 ZINC000021518955 333388820 /nfs/dbraw/zinc/38/88/20/333388820.db2.gz FNJWFKXFONYAKZ-JKSUJKDBSA-N 0 3 306.837 4.277 20 0 DIADHN CC[C@@H](C)CN(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000522777765 333389270 /nfs/dbraw/zinc/38/92/70/333389270.db2.gz WWDIYQIIURMXNO-WYRIXSBYSA-N 0 3 322.301 4.079 20 0 DIADHN CC[C@H](C)CN(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000522777762 333389274 /nfs/dbraw/zinc/38/92/74/333389274.db2.gz WWDIYQIIURMXNO-JEOXALJRSA-N 0 3 322.301 4.079 20 0 DIADHN CC[C@@H](C)CN(C)CC[S@@](=O)c1cc(Cl)ccc1Cl ZINC000522777763 333389329 /nfs/dbraw/zinc/38/93/29/333389329.db2.gz WWDIYQIIURMXNO-NSPYISDASA-N 0 3 322.301 4.079 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2cc(Cl)cc3c2OCC3)C1 ZINC000228558220 333390136 /nfs/dbraw/zinc/39/01/36/333390136.db2.gz DNNRLHZLPHLYAZ-CABCVRRESA-N 0 3 311.878 4.039 20 0 DIADHN Cc1ccc(CNCCCCOC(C)C)c(Br)c1 ZINC000228563722 333390520 /nfs/dbraw/zinc/39/05/20/333390520.db2.gz DVQIPXGJGRVOJZ-UHFFFAOYSA-N 0 3 314.267 4.052 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](F)C1)c1sccc1Br ZINC000563125556 333394483 /nfs/dbraw/zinc/39/44/83/333394483.db2.gz PQDXYXYRPGQUED-AEJSXWLSSA-N 0 3 306.244 4.442 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H]1CCC(C)(C)c2ccccc21 ZINC000122320084 333394547 /nfs/dbraw/zinc/39/45/47/333394547.db2.gz WGTAGGSWZIVNBV-MSOLQXFVSA-N 0 3 309.457 4.200 20 0 DIADHN Cc1cnc([C@@H](C)S[C@@H]2CCCN(Cc3ccccc3)C2)o1 ZINC000563128721 333395106 /nfs/dbraw/zinc/39/51/06/333395106.db2.gz VNOYDFHZLJCICE-NVXWUHKLSA-N 0 3 316.470 4.442 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc3c(c2)OCCCO3)cc1 ZINC000319677348 333395878 /nfs/dbraw/zinc/39/58/78/333395878.db2.gz ACWLOHWXFCHXDB-HNNXBMFYSA-N 0 3 311.425 4.261 20 0 DIADHN CC[C@@H](N[C@H](C)c1cccc(O)c1)c1ccc(OC)c(OC)c1 ZINC000319844963 333412829 /nfs/dbraw/zinc/41/28/29/333412829.db2.gz MPJDGFZWAMIDGI-CXAGYDPISA-N 0 3 315.413 4.211 20 0 DIADHN Cc1ccc(C)c([C@H](C)NC[C@@H](c2ccco2)N2CCCC2)c1 ZINC000319962428 333419482 /nfs/dbraw/zinc/41/94/82/333419482.db2.gz IWKNNKLVCDBPCM-HKUYNNGSSA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccccc2-n2cccn2)c1 ZINC000391179714 333422765 /nfs/dbraw/zinc/42/27/65/333422765.db2.gz JYLWZIVXMOENLN-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N(C)C)c1cccc(Cl)c1 ZINC000021792899 333451543 /nfs/dbraw/zinc/45/15/43/333451543.db2.gz YWVXXEPISNAYPF-IUODEOHRSA-N 0 3 308.878 4.355 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc2c(c1)OCCCO2 ZINC000391343549 333453628 /nfs/dbraw/zinc/45/36/28/333453628.db2.gz DBGJCWKBIMXVSJ-OAHLLOKOSA-N 0 3 311.425 4.261 20 0 DIADHN C[C@@H]1CCC[C@H](CNCc2csc(-c3ccccn3)n2)C1 ZINC000123622192 333470499 /nfs/dbraw/zinc/47/04/99/333470499.db2.gz RJIIIGNGPLBRKH-KGLIPLIRSA-N 0 3 301.459 4.121 20 0 DIADHN CCc1ccc(CNC[C@@H]2CCCO[C@@H]2c2ccc(C)cc2)o1 ZINC000531612527 333537933 /nfs/dbraw/zinc/53/79/33/333537933.db2.gz UWMOLVPQQHBIIK-FXAWDEMLSA-N 0 3 313.441 4.408 20 0 DIADHN COc1ccc([C@H](C)NCCO[C@H]2CCCC[C@@H]2C)cc1F ZINC000531684218 333540850 /nfs/dbraw/zinc/54/08/50/333540850.db2.gz CUIAQRZSPPGFBQ-ZQIUZPCESA-N 0 3 309.425 4.080 20 0 DIADHN COc1ccc([C@@H](C)NCCOc2ccccc2)cc1Cl ZINC000531693237 333546330 /nfs/dbraw/zinc/54/63/30/333546330.db2.gz XNLIISNNCYKFED-CYBMUJFWSA-N 0 3 305.805 4.078 20 0 DIADHN CC(C)COC[C@@H](N[C@@H](C)Cc1ccsc1)c1ccco1 ZINC000531705767 333548306 /nfs/dbraw/zinc/54/83/06/333548306.db2.gz PDJPMJKOBDFOPT-GOEBONIOSA-N 0 3 307.459 4.276 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCCOc1ccccc1Cl ZINC000537942821 333548832 /nfs/dbraw/zinc/54/88/32/333548832.db2.gz PQVUHJADUGVSFS-INIZCTEOSA-N 0 3 317.816 4.005 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](N[C@@H]2CSc3ccccc32)C1 ZINC000531712865 333549565 /nfs/dbraw/zinc/54/95/65/333549565.db2.gz NBNPUWRXHROHBG-SZVBFZGTSA-N 0 3 324.493 4.259 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cccnc1N(C)C)CCC2 ZINC000534173062 333565760 /nfs/dbraw/zinc/56/57/60/333565760.db2.gz WFADUGOWVYMVDW-HXUWFJFHSA-N 0 3 323.484 4.438 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc(C)c(C)cc2C)c(OC)c1 ZINC000320832077 335149899 /nfs/dbraw/zinc/14/98/99/335149899.db2.gz HSHUVEARDLLSQB-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN O=C(c1ccccc1)C1CCN([C@@H]2C[C@@H]2c2ccccc2)CC1 ZINC000411133374 333636589 /nfs/dbraw/zinc/63/65/89/333636589.db2.gz LZOVQAMOSYNGBF-WOJBJXKFSA-N 0 3 305.421 4.137 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C(C)C)cs1 ZINC000411139833 333637225 /nfs/dbraw/zinc/63/72/25/333637225.db2.gz GXCANYYGSLYILK-INIZCTEOSA-N 0 3 303.427 4.022 20 0 DIADHN CCc1ccccc1NC(=O)c1cccc(CN2CCCCC2)c1 ZINC000411251913 333645741 /nfs/dbraw/zinc/64/57/41/333645741.db2.gz AFZJPRRNDVCABU-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN c1cn(-c2ccccc2CN[C@@H]2CCCc3ccccc32)cn1 ZINC000152259174 333651885 /nfs/dbraw/zinc/65/18/85/333651885.db2.gz KAUZVXAYHGKTBD-LJQANCHMSA-N 0 3 303.409 4.040 20 0 DIADHN C[C@@H](NCCc1cncs1)c1ccc(Cl)cc1Cl ZINC000411319574 333653028 /nfs/dbraw/zinc/65/30/28/333653028.db2.gz DYKBACVZWOEUIW-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccc(-c2cccnc2)cc1 ZINC000411473022 333678384 /nfs/dbraw/zinc/67/83/84/333678384.db2.gz JGLJNLBVXRBXEG-HNAYVOBHSA-N 0 3 310.441 4.357 20 0 DIADHN COc1ccccc1[C@H](CC(C)C)NCCc1cncs1 ZINC000411478615 333679524 /nfs/dbraw/zinc/67/95/24/333679524.db2.gz URSZRDMOMOCZOQ-INIZCTEOSA-N 0 3 304.459 4.071 20 0 DIADHN CN[C@@H](C)C(=O)Nc1ccc([C@H](C)Sc2ccccc2)cc1 ZINC000571224490 333685252 /nfs/dbraw/zinc/68/52/52/333685252.db2.gz AAKKNUPWQVPAQO-KBPBESRZSA-N 0 3 314.454 4.086 20 0 DIADHN CC[C@@H](NCCOc1c(C)cccc1C)c1c(C)noc1C ZINC000411521368 333685264 /nfs/dbraw/zinc/68/52/64/333685264.db2.gz YKXTULKLDLZLOV-MRXNPFEDSA-N 0 3 302.418 4.028 20 0 DIADHN COC(=O)c1cc(CN[C@@H](CC(C)C)c2cccs2)cs1 ZINC000411515711 333686894 /nfs/dbraw/zinc/68/68/94/333686894.db2.gz WCTVTKOJYYIHDH-ZDUSSCGKSA-N 0 3 323.483 4.473 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCc1cncs1 ZINC000411643895 333701277 /nfs/dbraw/zinc/70/12/77/333701277.db2.gz MOHYVWVGHNUVOJ-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN Fc1cccc(Br)c1CNC[C@H]1CCC(F)(F)C1 ZINC000430008141 333701540 /nfs/dbraw/zinc/70/15/40/333701540.db2.gz UHMUDBIIBISYLM-VIFPVBQESA-N 0 3 322.168 4.113 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC(F)(c3cccnc3)CC2)c1 ZINC000411698464 333702444 /nfs/dbraw/zinc/70/24/44/333702444.db2.gz LTTNANUYPKBXBM-ZWKOTPCHSA-N 0 3 314.379 4.037 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]2C[C@@H]21)c1nc2ccccc2n1C(F)F ZINC000411814188 333707480 /nfs/dbraw/zinc/70/74/80/333707480.db2.gz CJFPHTHVJOOPIF-RNJOBUHISA-N 0 3 305.372 4.271 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000411876572 333711375 /nfs/dbraw/zinc/71/13/75/333711375.db2.gz BJGYPPRHYDKAKP-SJLPKXTDSA-N 0 3 310.441 4.371 20 0 DIADHN CC[C@H](NCc1cnc2c(cnn2C(C)C)c1)c1ccccc1 ZINC000411885279 333712087 /nfs/dbraw/zinc/71/20/87/333712087.db2.gz IGTWHRAHTNFQNW-SFHVURJKSA-N 0 3 308.429 4.253 20 0 DIADHN CO[C@](C)([C@H](C)NCc1csc(-c2ccccc2)n1)C1CC1 ZINC000411938836 333714290 /nfs/dbraw/zinc/71/42/90/333714290.db2.gz DZFRFZBISLSKHG-SCLBCKFNSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2cccc(N3CCC3=O)c2)cc1C ZINC000442824343 335156046 /nfs/dbraw/zinc/15/60/46/335156046.db2.gz SHYBCNBPEULQLL-QGZVFWFLSA-N 0 3 322.452 4.199 20 0 DIADHN Cc1cnc(SCc2cccc(CN3CCCCC3)c2)nc1 ZINC000412990069 333823666 /nfs/dbraw/zinc/82/36/66/333823666.db2.gz HJBJMODREJZXTF-UHFFFAOYSA-N 0 3 313.470 4.063 20 0 DIADHN CCN(C(=O)c1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC000413140907 333834090 /nfs/dbraw/zinc/83/40/90/333834090.db2.gz JHXRIUDKQCMKJN-UHFFFAOYSA-N 0 3 322.452 4.339 20 0 DIADHN Clc1cc(Cl)cc(CN[C@@H]2CCO[C@H]2c2cccnc2)c1 ZINC000343396922 335161814 /nfs/dbraw/zinc/16/18/14/335161814.db2.gz IAJFCOCCQAPFSI-CVEARBPZSA-N 0 3 323.223 4.008 20 0 DIADHN Cc1cccc(N(C)C(=O)c2cccc(CN3CCCCC3)c2)c1 ZINC000413327155 333845032 /nfs/dbraw/zinc/84/50/32/333845032.db2.gz NYGIEMFLIKQCNV-UHFFFAOYSA-N 0 3 322.452 4.258 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2ccc(OC)cc2C)cc1 ZINC000414053651 333887891 /nfs/dbraw/zinc/88/78/91/333887891.db2.gz AYNQGWRXBSHWKW-INIZCTEOSA-N 0 3 313.441 4.391 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC)c(F)c2)c(C)c1 ZINC000414066187 333890546 /nfs/dbraw/zinc/89/05/46/333890546.db2.gz PIVHNPAHOCDILN-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ccn(C)n1 ZINC000414078739 333892505 /nfs/dbraw/zinc/89/25/05/333892505.db2.gz RDUQLSLCPFRRED-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414089179 333894086 /nfs/dbraw/zinc/89/40/86/333894086.db2.gz CIPGUBWCXNPJGG-GJZGRUSLSA-N 0 3 318.486 4.259 20 0 DIADHN C[C@H](N[C@@H](C)C1CCOCC1)c1csc(-c2ccccc2)n1 ZINC000414095911 333895505 /nfs/dbraw/zinc/89/55/05/333895505.db2.gz OJPRPIAOVSTZHB-KBPBESRZSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@@H](NC[C@@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2)n1 ZINC000414095876 333895532 /nfs/dbraw/zinc/89/55/32/333895532.db2.gz OFVLJZXQVOBBNJ-RBSFLKMASA-N 0 3 316.470 4.276 20 0 DIADHN C[C@@H](NCC1(CO)CCCC1)c1csc(-c2ccccc2)n1 ZINC000414126998 333899451 /nfs/dbraw/zinc/89/94/51/333899451.db2.gz FPSIINSMHVPGLK-CQSZACIVSA-N 0 3 316.470 4.013 20 0 DIADHN CC[C@H](O)[C@H](CC)N[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414152576 333903796 /nfs/dbraw/zinc/90/37/96/333903796.db2.gz QZCCJXUWVYSSHP-NOLJZWGESA-N 0 3 304.459 4.010 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@H](C)[C@@H]2C[C@H]2C)c1 ZINC000414115359 333905854 /nfs/dbraw/zinc/90/58/54/333905854.db2.gz MWKUMQSTTXEEHR-HBUWYVDXSA-N 0 3 312.413 4.017 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000343456805 335166246 /nfs/dbraw/zinc/16/62/46/335166246.db2.gz AIEAWZZVXSUMQZ-OALUTQOASA-N 0 3 314.379 4.478 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCC(F)(F)C1)CCS2 ZINC000343464636 335166896 /nfs/dbraw/zinc/16/68/96/335166896.db2.gz HDLRWPJKQLYNLT-SMDDNHRTSA-N 0 3 313.413 4.400 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)N[C@H](C)c1ccn(C)n1 ZINC000414178055 333909073 /nfs/dbraw/zinc/90/90/73/333909073.db2.gz KBQRQVGGHSDRNW-OCCSQVGLSA-N 0 3 323.893 4.295 20 0 DIADHN COCC1(CN[C@H](C)c2csc(-c3ccccc3)n2)CCC1 ZINC000414159266 333914756 /nfs/dbraw/zinc/91/47/56/333914756.db2.gz YHCFPIMYDAOUFF-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000414235485 333917439 /nfs/dbraw/zinc/91/74/39/333917439.db2.gz JUTGORMZTYZCBC-DUVNUKRYSA-N 0 3 303.837 4.060 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H]3CS[C@@H](C)C3)c(C)c2c1 ZINC000414236928 333917702 /nfs/dbraw/zinc/91/77/02/333917702.db2.gz WFQUTRNNOLKQNY-DRZSPHRISA-N 0 3 305.443 4.294 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H]3CS[C@@H](C)C3)c(C)c2c1 ZINC000414236930 333917741 /nfs/dbraw/zinc/91/77/41/333917741.db2.gz WFQUTRNNOLKQNY-WCFLWFBJSA-N 0 3 305.443 4.294 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@@H](C)c1nccs1 ZINC000414208803 333921831 /nfs/dbraw/zinc/92/18/31/333921831.db2.gz JSOZSZSDGJSGGH-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN CC(C(=O)Nc1ccc2nc(C(F)F)sc2c1)C(F)(F)F ZINC000343416287 335163310 /nfs/dbraw/zinc/16/33/10/335163310.db2.gz AAPPHVGERIWAND-RXMQYKEDSA-N 0 3 324.274 4.371 20 0 DIADHN CO[C@@](C)([C@H](C)N[C@@H](C)c1ccccc1OC(F)F)C1CC1 ZINC000414225281 333924852 /nfs/dbraw/zinc/92/48/52/333924852.db2.gz ISCFBTVYRFSOIC-PRXAMGSTSA-N 0 3 313.388 4.142 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1cnccc1C(C)(C)C)CCC2 ZINC000414226044 333925241 /nfs/dbraw/zinc/92/52/41/333925241.db2.gz JKEWQQYXNFFLAG-OAHLLOKOSA-N 0 3 315.486 4.311 20 0 DIADHN C[C@H](NCC(C)(C)OCC1CC1)c1cnc2ccsc2c1 ZINC000414271047 333931154 /nfs/dbraw/zinc/93/11/54/333931154.db2.gz PKFLXADWQSISKO-LBPRGKRZSA-N 0 3 304.459 4.152 20 0 DIADHN C[C@@H](NCc1cc(Cl)cc(C(F)(F)F)c1)[C@H]1CCCCO1 ZINC000436403407 333959641 /nfs/dbraw/zinc/95/96/41/333959641.db2.gz ANVYZIUIYFWJCY-QMTHXVAHSA-N 0 3 321.770 4.406 20 0 DIADHN Cc1ccc(CNCC2(Cc3ccccc3)CCCCC2)nn1 ZINC000414432810 333973647 /nfs/dbraw/zinc/97/36/47/333973647.db2.gz MSUSMFWGNNBURC-UHFFFAOYSA-N 0 3 309.457 4.068 20 0 DIADHN Cc1occc1CN1CCC(c2noc3cc(F)ccc32)CC1 ZINC000414448557 333981751 /nfs/dbraw/zinc/98/17/51/333981751.db2.gz AXZQQWGIVBUHOB-UHFFFAOYSA-N 0 3 314.360 4.248 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1cccc(OC2CCCC2)c1 ZINC000343498642 335169584 /nfs/dbraw/zinc/16/95/84/335169584.db2.gz SNGUVEIAGZIJEH-KRWDZBQOSA-N 0 3 303.446 4.009 20 0 DIADHN CSc1ccc(CNCc2cnccc2C(C)(C)C)cc1 ZINC000414505292 334000528 /nfs/dbraw/zinc/00/05/28/334000528.db2.gz ZLWJWNMWPGWRAA-UHFFFAOYSA-N 0 3 300.471 4.391 20 0 DIADHN CO[C@](C)([C@H](C)NCc1cnc(-c2ccsc2)s1)C1CC1 ZINC000414505082 334000577 /nfs/dbraw/zinc/00/05/77/334000577.db2.gz UIIYXEXOAYFWFW-MEDUHNTESA-N 0 3 322.499 4.165 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc(N3CCCC3=O)c2)c2ccccc21 ZINC000343500980 335169912 /nfs/dbraw/zinc/16/99/12/335169912.db2.gz BJCRHSHEULQQLT-FOIQADDNSA-N 0 3 320.436 4.152 20 0 DIADHN CC[C@@H](CNCc1nc2c(s1)C[C@@H](C)CC2)CC(F)(F)F ZINC000414515088 334003567 /nfs/dbraw/zinc/00/35/67/334003567.db2.gz KPYCMUQYKUXSLZ-WDEREUQCSA-N 0 3 320.424 4.336 20 0 DIADHN CC(C)(CNCc1cnc(-c2ccsc2)s1)OCC1CC1 ZINC000414515199 334004572 /nfs/dbraw/zinc/00/45/72/334004572.db2.gz LDTMALJBFSMKIG-UHFFFAOYSA-N 0 3 322.499 4.166 20 0 DIADHN CC(C)[C@@H]1N(Cc2cc3cc(F)ccc3o2)CC12CCOCC2 ZINC000414533003 334009526 /nfs/dbraw/zinc/00/95/26/334009526.db2.gz DTRISKWBNFTIKA-SFHVURJKSA-N 0 3 317.404 4.209 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(C3CCC3)s2)CC12CCOCC2 ZINC000414539792 334012973 /nfs/dbraw/zinc/01/29/73/334012973.db2.gz NZVBHHOJWFCMOW-MRXNPFEDSA-N 0 3 320.502 4.048 20 0 DIADHN CC(C)[C@@H]1N(C[C@@H](C)c2cc(F)cc(F)c2)CC12CCOCC2 ZINC000414541193 334013344 /nfs/dbraw/zinc/01/33/44/334013344.db2.gz QCDDVDVTGNRVGU-KDOFPFPSSA-N 0 3 323.427 4.205 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@H](C)c1ccc(F)c(F)c1 ZINC000270603662 334015007 /nfs/dbraw/zinc/01/50/07/334015007.db2.gz GJBPNURITJLWFP-UEKVPHQBSA-N 0 3 311.397 4.189 20 0 DIADHN Cc1cc(CN2CC3(CCOCC3)[C@H]2c2ccccc2)cs1 ZINC000414559788 334018088 /nfs/dbraw/zinc/01/80/88/334018088.db2.gz QJOAQFJGPDCIMG-GOSISDBHSA-N 0 3 313.466 4.410 20 0 DIADHN CCN(CCc1ccccc1)Cc1cnc([C@@H]2CCCO2)s1 ZINC000271087244 334018298 /nfs/dbraw/zinc/01/82/98/334018298.db2.gz UQLPEJAPXQNAOK-KRWDZBQOSA-N 0 3 316.470 4.059 20 0 DIADHN Cc1ccncc1[C@@H](C)NCCCOc1cccc2cccnc21 ZINC000271415281 334022850 /nfs/dbraw/zinc/02/28/50/334022850.db2.gz ASFHITCFBAVKDM-MRXNPFEDSA-N 0 3 321.424 4.058 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CCC[C@@H](CO)C2)o1 ZINC000414567182 334023307 /nfs/dbraw/zinc/02/33/07/334023307.db2.gz GKUCXJHCLHHDEL-GDBMZVCRSA-N 0 3 317.404 4.035 20 0 DIADHN Cc1cc(CN[C@@H]2C[C@H]2c2ccco2)ccc1Oc1cccnc1 ZINC000414598814 334034655 /nfs/dbraw/zinc/03/46/55/334034655.db2.gz YUJCWDOIGVUXSA-QZTJIDSGSA-N 0 3 320.392 4.421 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@H](C)c1cncs1 ZINC000272498331 334035618 /nfs/dbraw/zinc/03/56/18/334035618.db2.gz DJDOGNCGZMVKBP-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN COc1ccccc1[C@H]1CCN([C@H](C)c2nc3ccccc3o2)C1 ZINC000272504420 334035824 /nfs/dbraw/zinc/03/58/24/334035824.db2.gz JEZRZBIEHVBQTE-CABCVRRESA-N 0 3 322.408 4.387 20 0 DIADHN COc1ccccc1[C@H]1CCN([C@@H](C)c2nc3ccccc3o2)C1 ZINC000272504421 334035899 /nfs/dbraw/zinc/03/58/99/334035899.db2.gz JEZRZBIEHVBQTE-GJZGRUSLSA-N 0 3 322.408 4.387 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2csc(-c3cccs3)n2)CCO1 ZINC000273054220 334042705 /nfs/dbraw/zinc/04/27/05/334042705.db2.gz LABRUJFYXVFBOC-STQMWFEESA-N 0 3 322.499 4.166 20 0 DIADHN Cc1ccc(-c2noc(C(C)(C)[C@@H](N)c3ccccc3)n2)cc1 ZINC000414628872 334046882 /nfs/dbraw/zinc/04/68/82/334046882.db2.gz SRJVKXOWNOAQQA-INIZCTEOSA-N 0 3 307.397 4.023 20 0 DIADHN Cc1ncc(CN(C)[C@@H]2CC[C@@](C)(c3ccccc3)C2)s1 ZINC000437587521 334054723 /nfs/dbraw/zinc/05/47/23/334054723.db2.gz GWZXAHACYHJLBF-SJLPKXTDSA-N 0 3 300.471 4.394 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccc(OCc3ccccn3)cc2)C1 ZINC000430276106 334057401 /nfs/dbraw/zinc/05/74/01/334057401.db2.gz LJPDGLCOWAKSJT-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CNCCC1=CCCCCC1 ZINC000274324594 334059860 /nfs/dbraw/zinc/05/98/60/334059860.db2.gz USFZSPQTJPDCKV-MRXNPFEDSA-N 0 3 306.475 4.365 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@@H](C)O[C@@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343528728 335175192 /nfs/dbraw/zinc/17/51/92/335175192.db2.gz BFMDCVNKOOBBHF-LMLFDSFASA-N 0 3 322.396 4.016 20 0 DIADHN C[C@H](NCC1C[C@@H](C)O[C@H](C)C1)c1nc(C(F)(F)F)cs1 ZINC000343528697 335175302 /nfs/dbraw/zinc/17/53/02/335175302.db2.gz BFMDCVNKOOBBHF-BBBLOLIVSA-N 0 3 322.396 4.016 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)N[C@@H](C)c1ccc(Cl)cn1 ZINC000274951820 334068099 /nfs/dbraw/zinc/06/80/99/334068099.db2.gz VEMMTSHFAVOFAE-OLZOCXBDSA-N 0 3 304.821 4.113 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1cnc2ccccc2c1 ZINC000343531889 335175421 /nfs/dbraw/zinc/17/54/21/335175421.db2.gz PFIQYOBGCFQTEG-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)NCc1ccc2c(c1)CNC2 ZINC000414700905 334070580 /nfs/dbraw/zinc/07/05/80/334070580.db2.gz ZXGVBESPAKYZGP-UHFFFAOYSA-N 0 3 323.440 4.043 20 0 DIADHN CCOC(CCN[C@@H](C)c1oc2ccccc2c1C)OCC ZINC000276558139 334081779 /nfs/dbraw/zinc/08/17/79/334081779.db2.gz RGFXPVXWYPUDBZ-AWEZNQCLSA-N 0 3 305.418 4.181 20 0 DIADHN Cc1noc(C[C@@H](N[C@H](C)c2cccc(C)c2)c2ccccc2)n1 ZINC000277072492 334086588 /nfs/dbraw/zinc/08/65/88/334086588.db2.gz IXMDBKLREOHGGO-DNVCBOLYSA-N 0 3 321.424 4.321 20 0 DIADHN CC(C)c1ccc(NC(=O)N2C[C@H](C)NC[C@H]2C)c(C(C)C)c1 ZINC000414826683 334108982 /nfs/dbraw/zinc/10/89/82/334108982.db2.gz JHQLCMURIIXSKE-LSDHHAIUSA-N 0 3 317.477 4.148 20 0 DIADHN COc1cc(C)c(CN[C@@H](CC(F)(F)F)C(C)C)cc1OC ZINC000438472599 334117394 /nfs/dbraw/zinc/11/73/94/334117394.db2.gz PVJHLHQZAVIOTA-ZDUSSCGKSA-N 0 3 319.367 4.079 20 0 DIADHN CC[C@@](C)(CCO)NCc1csc(-c2ccc(Cl)cc2)n1 ZINC000531884962 334134133 /nfs/dbraw/zinc/13/41/33/334134133.db2.gz OUHOXVDDCDDJQF-INIZCTEOSA-N 0 3 324.877 4.104 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2sccc2Cl)c1 ZINC000285706962 334149367 /nfs/dbraw/zinc/14/93/67/334149367.db2.gz IFHJAHWCNIKOGY-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(Cl)cc2)cc1O ZINC000289801574 334168036 /nfs/dbraw/zinc/16/80/36/334168036.db2.gz OZMHJKDHSILQNH-VXGBXAGGSA-N 0 3 305.805 4.466 20 0 DIADHN C[C@H](NC[C@@H]1COc2ccccc21)c1ccc2ccccc2n1 ZINC000290224380 334171751 /nfs/dbraw/zinc/17/17/51/334171751.db2.gz IDUQAHQXXVIABD-GOEBONIOSA-N 0 3 304.393 4.062 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@H]1CCCc2cc(N)ccc21 ZINC000414929490 334193208 /nfs/dbraw/zinc/19/32/08/334193208.db2.gz NZPHRVFHCNJPHI-SFHVURJKSA-N 0 3 300.833 4.398 20 0 DIADHN CO[C@H](CN1CCC[C@@H]1c1ccc[nH]1)c1ccc(Cl)cc1 ZINC000514093457 334271766 /nfs/dbraw/zinc/27/17/66/334271766.db2.gz GBBYCELJNRQHMH-IAGOWNOFSA-N 0 3 304.821 4.193 20 0 DIADHN CC(C)COc1cccc(CN[C@H]2CCCc3cc(N)ccc32)c1 ZINC000414932497 334195461 /nfs/dbraw/zinc/19/54/61/334195461.db2.gz RFFLAYOCNJMRKB-NRFANRHFSA-N 0 3 324.468 4.471 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2ccnc2)cc1)c1cccc(F)c1 ZINC000187757279 334272236 /nfs/dbraw/zinc/27/22/36/334272236.db2.gz MWAVTYJOEIEIGC-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN Fc1cc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)c(F)cn1 ZINC000294659172 334212020 /nfs/dbraw/zinc/21/20/20/334212020.db2.gz AHKDCMZTXOZKBG-UMSPYCQHSA-N 0 3 308.759 4.049 20 0 DIADHN Cc1cc(N)cc(CNCc2cc(C)c(C)cc2OC(F)F)c1 ZINC000414964237 334213482 /nfs/dbraw/zinc/21/34/82/334213482.db2.gz MFHATDBNGCGIAK-UHFFFAOYSA-N 0 3 320.383 4.085 20 0 DIADHN CCC1(O)CCN(Cc2ccc([C@H](C)C(F)(F)F)cc2)CC1 ZINC000295066330 334216761 /nfs/dbraw/zinc/21/67/61/334216761.db2.gz PUSNUNJGBPOULW-ZDUSSCGKSA-N 0 3 315.379 4.089 20 0 DIADHN Cc1cc(N)cc(CNCc2ccc(F)cc2C(F)(F)F)c1 ZINC000414970623 334218407 /nfs/dbraw/zinc/21/84/07/334218407.db2.gz VNGMHPPCNBHDRM-UHFFFAOYSA-N 0 3 312.310 4.025 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000295245469 334221131 /nfs/dbraw/zinc/22/11/31/334221131.db2.gz PQFQDMRUNUMRDK-QRTARXTBSA-N 0 3 301.409 4.029 20 0 DIADHN C[C@H](NCCC(C)(C)CO)c1csc(-c2ccccc2F)n1 ZINC000296388360 334233388 /nfs/dbraw/zinc/23/33/88/334233388.db2.gz JYTJOBJMORYKDJ-LBPRGKRZSA-N 0 3 322.449 4.008 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(c2ccncn2)CC1 ZINC000298402048 334248079 /nfs/dbraw/zinc/24/80/79/334248079.db2.gz OSKBIMBEFQUAOI-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN Cc1ccc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)cc1C ZINC000415018872 334259126 /nfs/dbraw/zinc/25/91/26/334259126.db2.gz CZFJZMQGPYNKGV-SFHVURJKSA-N 0 3 310.441 4.278 20 0 DIADHN CCc1ccc(CN[C@H]2CC(C)(C)Oc3ccc(N)cc32)cc1 ZINC000415021737 334259635 /nfs/dbraw/zinc/25/96/35/334259635.db2.gz IBDVUNVSTBPMNW-SFHVURJKSA-N 0 3 310.441 4.223 20 0 DIADHN CC1(C)C[C@H](NCc2cccc(Cl)c2)c2cc(N)ccc2O1 ZINC000415027463 334259904 /nfs/dbraw/zinc/25/99/04/334259904.db2.gz WKVXYUOTGHWGKI-INIZCTEOSA-N 0 3 316.832 4.314 20 0 DIADHN Cc1ccc(CN[C@@H]2CC(C)(C)Oc3ccc(N)cc32)s1 ZINC000415028462 334260035 /nfs/dbraw/zinc/26/00/35/334260035.db2.gz YRMBCCOCDINZGY-OAHLLOKOSA-N 0 3 302.443 4.031 20 0 DIADHN C[C@H]1CO[C@H](c2ccccc2)CN1Cc1cccc2cc[nH]c21 ZINC000514092034 334264784 /nfs/dbraw/zinc/26/47/84/334264784.db2.gz BFTPCYKXRDYQCB-KXBFYZLASA-N 0 3 306.409 4.130 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3ccc(C(F)(F)F)cc3)n2)C[C@H]1C ZINC000534471750 334267988 /nfs/dbraw/zinc/26/79/88/334267988.db2.gz AGVJMNPYGSVZFP-TXEJJXNPSA-N 0 3 324.346 4.448 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN([C@@H](C)c1ccc(F)cc1)CC2 ZINC000534480182 334276465 /nfs/dbraw/zinc/27/64/65/334276465.db2.gz RWPDVGJFVNBQEZ-AWEZNQCLSA-N 0 3 311.400 4.190 20 0 DIADHN Cn1ccnc1[C@@H](NCCCCCc1ccc(F)cc1)C1CC1 ZINC000187759775 334271275 /nfs/dbraw/zinc/27/12/75/334271275.db2.gz JTPZXDDALGPKNJ-SFHVURJKSA-N 0 3 315.436 4.013 20 0 DIADHN CCSc1ccc([C@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000534497422 334277949 /nfs/dbraw/zinc/27/79/49/334277949.db2.gz VSWAGUKJJJMMEL-WMLDXEAASA-N 0 3 318.486 4.345 20 0 DIADHN CCOc1ccc(CN(C)[C@@H](CCOC)c2ccccc2)cc1 ZINC000534542870 334280452 /nfs/dbraw/zinc/28/04/52/334280452.db2.gz USTIIVNEULNKNM-FQEVSTJZSA-N 0 3 313.441 4.295 20 0 DIADHN CC(C)N(C(=O)CN1CCC[C@H]1c1ccccc1)C1CCCC1 ZINC000531903954 334281408 /nfs/dbraw/zinc/28/14/08/334281408.db2.gz DTSOBPCZTGXUPD-IBGZPJMESA-N 0 3 314.473 4.003 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@H]3CCC(C)(C)O3)oc21 ZINC000187853865 334283354 /nfs/dbraw/zinc/28/33/54/334283354.db2.gz HKIPXGQNAGXCRH-JSGCOSHPSA-N 0 3 303.402 4.050 20 0 DIADHN CC(C)c1ccc([C@H](C)NCC(=O)N(C)Cc2ccccc2)cc1 ZINC000302758056 334294957 /nfs/dbraw/zinc/29/49/57/334294957.db2.gz SGYGAPLUZGCBQO-KRWDZBQOSA-N 0 3 324.468 4.119 20 0 DIADHN COC[C@@H](NCCc1ccccc1)c1cccc(C(F)(F)F)c1 ZINC000531932019 334296632 /nfs/dbraw/zinc/29/66/32/334296632.db2.gz WRKDJNRJOGSNKC-QGZVFWFLSA-N 0 3 323.358 4.225 20 0 DIADHN CCC(CC)N[C@H](C)c1nnn(-c2ccc(Cl)cc2)c1C ZINC000531932716 334297087 /nfs/dbraw/zinc/29/70/87/334297087.db2.gz LNRFJNWDFUBSMU-LLVKDONJSA-N 0 3 306.841 4.068 20 0 DIADHN CCC(CC)N[C@@H](C)c1nnn(-c2ccc(Cl)cc2)c1C ZINC000531932717 334297154 /nfs/dbraw/zinc/29/71/54/334297154.db2.gz LNRFJNWDFUBSMU-NSHDSACASA-N 0 3 306.841 4.068 20 0 DIADHN C[C@@H](NCCCOc1ccccc1)c1ccc2ccccc2n1 ZINC000531945811 334301134 /nfs/dbraw/zinc/30/11/34/334301134.db2.gz BOTBKBXERSSZMC-MRXNPFEDSA-N 0 3 306.409 4.355 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1Cl)N1CCCC1)c1ccoc1 ZINC000531948134 334302922 /nfs/dbraw/zinc/30/29/22/334302922.db2.gz FSDDJKPDOIOGQG-KBXCAEBGSA-N 0 3 318.848 4.421 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccccc2COC(C)C)c1 ZINC000531949030 334303422 /nfs/dbraw/zinc/30/34/22/334303422.db2.gz QXMMHPDKVXFRKC-MRXNPFEDSA-N 0 3 313.441 4.471 20 0 DIADHN COc1cccc(CN[C@@H](CC2CC2)c2ccccc2)c1OC ZINC000531955140 334306550 /nfs/dbraw/zinc/30/65/50/334306550.db2.gz OYRWEKOUOWLLJQ-SFHVURJKSA-N 0 3 311.425 4.335 20 0 DIADHN C[C@H](NC[C@H]1CCCCO1)c1ccc(SCC(F)F)cc1 ZINC000531956239 334307806 /nfs/dbraw/zinc/30/78/06/334307806.db2.gz MLOABCSQBIRALN-GXTWGEPZSA-N 0 3 315.429 4.264 20 0 DIADHN COCc1ccc(CNCC2(c3ccc(Cl)cc3)CCC2)o1 ZINC000531956665 334308150 /nfs/dbraw/zinc/30/81/50/334308150.db2.gz PJAVOOWZZJLMTP-UHFFFAOYSA-N 0 3 319.832 4.291 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2-n2ccnc2)cc(C)c1F ZINC000531951703 334309533 /nfs/dbraw/zinc/30/95/33/334309533.db2.gz HUJOOJJLUZXLDV-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN C[C@@H](NCCn1cnc2ccccc21)c1ccc(Cl)s1 ZINC000531952195 334310046 /nfs/dbraw/zinc/31/00/46/334310046.db2.gz LSWSEKOZZGWCBC-LLVKDONJSA-N 0 3 305.834 4.102 20 0 DIADHN C[C@@H](CN[C@H]1CCOc2c(F)cccc21)c1ccc(F)cc1 ZINC000443014019 338442073 /nfs/dbraw/zinc/44/20/73/338442073.db2.gz NKJCYIRDBPUQNJ-SJCJKPOMSA-N 0 3 303.352 4.182 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1Cl)N(C)CC1CCC1 ZINC000111299031 334318632 /nfs/dbraw/zinc/31/86/32/334318632.db2.gz QPNFXHRWZFKVDE-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c1C ZINC000531966462 334325102 /nfs/dbraw/zinc/32/51/02/334325102.db2.gz GHUPDBBEILZOLN-JKSUJKDBSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2ccc3ccccc3c2)c1 ZINC000534704977 334328640 /nfs/dbraw/zinc/32/86/40/334328640.db2.gz IZKKTZGWSZITFE-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN COc1ccc(CCN2CCSC[C@H]2c2ccccc2)cc1 ZINC000303729875 334329835 /nfs/dbraw/zinc/32/98/35/334329835.db2.gz UOZFLYUNZJOOND-IBGZPJMESA-N 0 3 313.466 4.028 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCC[C@@H](C)C1)c1ccsc1 ZINC000303833651 334333419 /nfs/dbraw/zinc/33/34/19/334333419.db2.gz BVLYUCSHGHKDSO-BFYDXBDKSA-N 0 3 322.518 4.074 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@@H]2CCCC[C@@H]2C(C)(C)C)c1 ZINC000303871479 334338087 /nfs/dbraw/zinc/33/80/87/334338087.db2.gz NPJAJJWMGLIHII-ZWKOTPCHSA-N 0 3 316.489 4.083 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2cccc(C)c2)s1 ZINC000303857138 334338613 /nfs/dbraw/zinc/33/86/13/334338613.db2.gz FVTOLWQCLPYVLN-OAHLLOKOSA-N 0 3 318.486 4.394 20 0 DIADHN CSCCN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000534842698 334339379 /nfs/dbraw/zinc/33/93/79/334339379.db2.gz JFGZDMQUKQTDNW-ZDUSSCGKSA-N 0 3 306.500 4.474 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)CCOc2ccccc2)s1 ZINC000303893972 334339689 /nfs/dbraw/zinc/33/96/89/334339689.db2.gz KOJOVQNQUPZKDY-CQSZACIVSA-N 0 3 304.459 4.086 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(CN[C@@H]2CCO[C@@H]2C2CC2)c1 ZINC000534829883 334340658 /nfs/dbraw/zinc/34/06/58/334340658.db2.gz VJMIOBKTENUCJN-ZIAGYGMSSA-N 0 3 319.754 4.016 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000534874901 334343177 /nfs/dbraw/zinc/34/31/77/334343177.db2.gz RZTZBXLIADRSSU-BXWFABGCSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccc(N(C)C)nc1)CCC2 ZINC000534911347 334345718 /nfs/dbraw/zinc/34/57/18/334345718.db2.gz BOKXOZDVPBZEAQ-FQEVSTJZSA-N 0 3 323.484 4.438 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccc(Br)cc1 ZINC000111634840 334348366 /nfs/dbraw/zinc/34/83/66/334348366.db2.gz BTPMQAXLSGFKEP-GFCCVEGCSA-N 0 3 312.251 4.059 20 0 DIADHN C[C@H](c1nc(-c2ccccc2)no1)N1CCC2(C1)CCCCC2 ZINC000303956814 334348464 /nfs/dbraw/zinc/34/84/64/334348464.db2.gz XSAVPRIHGCLXPR-OAHLLOKOSA-N 0 3 311.429 4.454 20 0 DIADHN CC(C)OC(=O)CCN1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000303960649 334350206 /nfs/dbraw/zinc/35/02/06/334350206.db2.gz ZJZZEEJIUCEIQP-SFHVURJKSA-N 0 3 314.429 4.037 20 0 DIADHN OCCC[C@@H](N[C@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000531979386 334350875 /nfs/dbraw/zinc/35/08/75/334350875.db2.gz HKJNFOSRUAUSFV-MOPGFXCFSA-N 0 3 315.844 4.431 20 0 DIADHN C[C@H](NCCN1CCc2c(Cl)cccc2C1)c1ccsc1 ZINC000443093752 338444336 /nfs/dbraw/zinc/44/43/36/338444336.db2.gz ZEZOXLBZFPGBNM-ZDUSSCGKSA-N 0 3 320.889 4.110 20 0 DIADHN C[C@@H](NCCN1CCc2c(Cl)cccc2C1)c1ccsc1 ZINC000443093751 338444343 /nfs/dbraw/zinc/44/43/43/338444343.db2.gz ZEZOXLBZFPGBNM-CYBMUJFWSA-N 0 3 320.889 4.110 20 0 DIADHN COc1ccc(CN[C@@H](c2cccs2)C2CC2)cc1OC ZINC000049956770 334359517 /nfs/dbraw/zinc/35/95/17/334359517.db2.gz XDSWZRZHGBVCGC-QGZVFWFLSA-N 0 3 303.427 4.006 20 0 DIADHN CCCn1nc(C)c(CN(C)[C@H]2CCCc3ccccc32)c1C ZINC000535068759 334363840 /nfs/dbraw/zinc/36/38/40/334363840.db2.gz SPMJEUWMLRYIHO-FQEVSTJZSA-N 0 3 311.473 4.419 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](CC2CC2)c2ccccc2)cc1 ZINC000531989156 334365070 /nfs/dbraw/zinc/36/50/70/334365070.db2.gz GYXURDGVVPJXKB-FQEVSTJZSA-N 0 3 308.425 4.276 20 0 DIADHN CCC(CC)N(Cc1c(C(C)C)nc2sc(C)nn12)C1CC1 ZINC000535081199 334366059 /nfs/dbraw/zinc/36/60/59/334366059.db2.gz OGNBNXQYRWDPMC-UHFFFAOYSA-N 0 3 320.506 4.376 20 0 DIADHN Cc1nn2c(CN(C)[C@H](C)C(C)(C)C)c(C(C)C)nc2s1 ZINC000535085382 334366915 /nfs/dbraw/zinc/36/69/15/334366915.db2.gz XAELGOMDWGNVQB-LLVKDONJSA-N 0 3 308.495 4.089 20 0 DIADHN COc1ccc([C@@H](C)NCCOCc2ccccc2)cc1Cl ZINC000531994490 334369453 /nfs/dbraw/zinc/36/94/53/334369453.db2.gz JYPADKQJSKSGBC-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)C[C@@H]1CCC[C@H]1O ZINC000535114812 334370277 /nfs/dbraw/zinc/37/02/77/334370277.db2.gz BBEMPFQBOJWFTM-WCQYABFASA-N 0 3 309.862 4.148 20 0 DIADHN Cc1nccnc1[C@@H](C)N[C@@H](C)Cc1ccc(Cl)cc1Cl ZINC000187915651 334370646 /nfs/dbraw/zinc/37/06/46/334370646.db2.gz DGKLUSQBTSPXOY-CMPLNLGQSA-N 0 3 324.255 4.374 20 0 DIADHN C[C@@](CO)(NCc1cnc(C2CCCC2)s1)C1CCCCC1 ZINC000532016288 334372276 /nfs/dbraw/zinc/37/22/76/334372276.db2.gz ZKHATJIPLAZKDQ-SFHVURJKSA-N 0 3 322.518 4.222 20 0 DIADHN Cc1nc(CN2CCC[C@@H]2CCCc2ccccc2)cs1 ZINC000532013653 334374427 /nfs/dbraw/zinc/37/44/27/334374427.db2.gz LWTDLGFPBBOMFX-SFHVURJKSA-N 0 3 300.471 4.439 20 0 DIADHN CO[C@@H](CNCc1cc(F)cc(F)c1)c1ccc(Cl)cc1 ZINC000535187975 334376394 /nfs/dbraw/zinc/37/63/94/334376394.db2.gz ZTGIGVATAKIXFO-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN CCCN(CCc1c(C)noc1C)[C@H](C)c1ccccc1OC ZINC000535191761 334377943 /nfs/dbraw/zinc/37/79/43/334377943.db2.gz PUGMVSFWQGXFAP-OAHLLOKOSA-N 0 3 316.445 4.316 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3ccccc3CO)ccc2c1 ZINC000305151649 334378300 /nfs/dbraw/zinc/37/83/00/334378300.db2.gz UIVTWNLXPVZBGW-HNNXBMFYSA-N 0 3 321.420 4.192 20 0 DIADHN C[C@@]1(NCc2ccc(Oc3cc(F)cc(F)c3)cc2)CCOC1 ZINC000535199915 334379692 /nfs/dbraw/zinc/37/96/92/334379692.db2.gz GPHADGWCFIVLNK-GOSISDBHSA-N 0 3 319.351 4.026 20 0 DIADHN Cc1noc(C)c1CCN1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000535204242 334380290 /nfs/dbraw/zinc/38/02/90/334380290.db2.gz CNNMGAGTPYYTDM-XIKOKIGWSA-N 0 3 302.393 4.056 20 0 DIADHN Cc1cc(NCc2nc(-c3cccs3)c[nH]2)c2ccccc2n1 ZINC000340859681 334390705 /nfs/dbraw/zinc/39/07/05/334390705.db2.gz BEGXAZCJUNXWSE-UHFFFAOYSA-N 0 3 320.421 4.029 20 0 DIADHN CC[C@@H](NCc1cc(C(=O)OC)sc1C)c1ccc(C)cc1 ZINC000341053908 334405634 /nfs/dbraw/zinc/40/56/34/334405634.db2.gz GIKKXKMTKIOZBB-MRXNPFEDSA-N 0 3 317.454 4.392 20 0 DIADHN Fc1cccc2c1OCC[C@@H]2N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000443196272 338446938 /nfs/dbraw/zinc/44/69/38/338446938.db2.gz KUMNFSJPPCAYAU-YOEHRIQHSA-N 0 3 317.791 4.060 20 0 DIADHN CC[C@@H](NCC(=O)Nc1cccc(SC)c1)c1ccccc1 ZINC000341210314 334417453 /nfs/dbraw/zinc/41/74/53/334417453.db2.gz IIXLKURZVXWXEJ-QGZVFWFLSA-N 0 3 314.454 4.088 20 0 DIADHN COc1cccc(C[C@H](C)N[C@H](C)c2cc3ccccc3o2)n1 ZINC000443199960 338447097 /nfs/dbraw/zinc/44/70/97/338447097.db2.gz SBPVNROERZULJL-UONOGXRCSA-N 0 3 310.397 4.118 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1ccccc1Cl)c1ccccc1 ZINC000341185607 334421813 /nfs/dbraw/zinc/42/18/13/334421813.db2.gz ADWHDEHNVLKWQM-XJKSGUPXSA-N 0 3 316.832 4.408 20 0 DIADHN Cc1nc(CNCCCCc2ccc(Cl)s2)cs1 ZINC000341369334 334436315 /nfs/dbraw/zinc/43/63/15/334436315.db2.gz FDBQWSCOCFVBQH-UHFFFAOYSA-N 0 3 300.880 4.279 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@H]1CCCc2sccc21 ZINC000341548448 334457071 /nfs/dbraw/zinc/45/70/71/334457071.db2.gz JBPDCVUOSUKZGR-INIZCTEOSA-N 0 3 317.502 4.484 20 0 DIADHN Cc1nccn1C[C@@H](C)CNCc1c(Cl)oc2ccccc21 ZINC000341767739 334479444 /nfs/dbraw/zinc/47/94/44/334479444.db2.gz NOWUJIOVJKBMDU-LBPRGKRZSA-N 0 3 317.820 4.017 20 0 DIADHN CCCCn1cc(CN[C@@H]2CCCc3cc(F)ccc32)c(C)n1 ZINC000341888005 334489876 /nfs/dbraw/zinc/48/98/76/334489876.db2.gz KZZLTZKLZNBSPI-LJQANCHMSA-N 0 3 315.436 4.298 20 0 DIADHN FC(F)(F)CCCCN1CCC(F)(c2cccnc2)CC1 ZINC000342152720 334517661 /nfs/dbraw/zinc/51/76/61/334517661.db2.gz HVPPBXWCUKHMET-UHFFFAOYSA-N 0 3 304.331 4.075 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)cc1CNCCC1(F)CCC1 ZINC000342199187 334520682 /nfs/dbraw/zinc/52/06/82/334520682.db2.gz DKNCWGWXNDFXPI-UHFFFAOYSA-N 0 3 321.827 4.206 20 0 DIADHN CCC[C@@H](NCCc1csc(C)n1)c1ccc(F)cc1F ZINC000342276507 334529483 /nfs/dbraw/zinc/52/94/83/334529483.db2.gz DCTBSPPBDGQNHI-MRXNPFEDSA-N 0 3 310.413 4.403 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(-n3cccn3)cc1)CCC2 ZINC000342312802 334531273 /nfs/dbraw/zinc/53/12/73/334531273.db2.gz RWCIEFLAONJFIL-OAQYLSRUSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1cc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)n(C)n1 ZINC000342572783 334551738 /nfs/dbraw/zinc/55/17/38/334551738.db2.gz GTKGEJWALYQMAB-QGZVFWFLSA-N 0 3 303.425 4.135 20 0 DIADHN CC1(C)CC[C@@H]1N[C@H]1CCOc2c(Br)cccc21 ZINC000342614779 334554593 /nfs/dbraw/zinc/55/45/93/334554593.db2.gz LXUXZXSPDYNBDB-STQMWFEESA-N 0 3 310.235 4.051 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H](C)C1)c1ccccc1Br ZINC000342694379 334562173 /nfs/dbraw/zinc/56/21/73/334562173.db2.gz FDZLKWSTOJIWHV-JMSVASOKSA-N 0 3 312.251 4.057 20 0 DIADHN Cn1cc(CN2CCC3(CCCC3)CC2)c(-c2cccs2)n1 ZINC000342747208 334566350 /nfs/dbraw/zinc/56/63/50/334566350.db2.gz VHWBJCPSEBRTBJ-UHFFFAOYSA-N 0 3 315.486 4.305 20 0 DIADHN Cc1ccc(-c2nc(CNCCc3cccc(C)c3)co2)cc1 ZINC000443551214 338454215 /nfs/dbraw/zinc/45/42/15/338454215.db2.gz RATMJLRTKZKRDN-UHFFFAOYSA-N 0 3 306.409 4.291 20 0 DIADHN CCC[C@@H](NCc1ccnc(OC(F)F)c1)c1ccccc1 ZINC000342807321 334578631 /nfs/dbraw/zinc/57/86/31/334578631.db2.gz XYGIZCFPYZTEDE-OAHLLOKOSA-N 0 3 306.356 4.314 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)NCc3ccn(C)c3)cc2)cs1 ZINC000343069005 334600514 /nfs/dbraw/zinc/60/05/14/334600514.db2.gz RXOJVAVMOLMNKZ-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN CC[C@H](NCCCCCOc1ccccc1)c1nccs1 ZINC000187994891 334607264 /nfs/dbraw/zinc/60/72/64/334607264.db2.gz YOGIRWSQVIAARC-INIZCTEOSA-N 0 3 304.459 4.433 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCC1(CCO)CC1 ZINC000188043637 334611957 /nfs/dbraw/zinc/61/19/57/334611957.db2.gz SERXMKQMWGUJNH-CQSZACIVSA-N 0 3 301.430 4.117 20 0 DIADHN OCCC1(CN[C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000188047170 334612792 /nfs/dbraw/zinc/61/27/92/334612792.db2.gz HHCVOJLLJGAJKF-GOSISDBHSA-N 0 3 315.844 4.182 20 0 DIADHN CNCc1ccccc1NC(=O)N1CC[C@@H](C(C)(C)C)C[C@H]1C ZINC000415900329 334625925 /nfs/dbraw/zinc/62/59/25/334625925.db2.gz LHDZBMLWRZQUNC-GDBMZVCRSA-N 0 3 317.477 4.085 20 0 DIADHN CNCc1ccccc1NC(=O)N1CC[C@H](C(C)(C)C)C[C@@H]1C ZINC000415900332 334626068 /nfs/dbraw/zinc/62/60/68/334626068.db2.gz LHDZBMLWRZQUNC-HOCLYGCPSA-N 0 3 317.477 4.085 20 0 DIADHN CCC[C@H](NC(=O)Nc1ccccc1CNC)C1CCCCC1 ZINC000415910619 334627844 /nfs/dbraw/zinc/62/78/44/334627844.db2.gz IMLPGEHATNWCCF-KRWDZBQOSA-N 0 3 317.477 4.277 20 0 DIADHN CNCc1cccc(NC(=O)N2CCC[C@H]2c2ccc(C)cc2)c1 ZINC000415932499 334633531 /nfs/dbraw/zinc/63/35/31/334633531.db2.gz OBNDRJCHBWFTMD-IBGZPJMESA-N 0 3 323.440 4.083 20 0 DIADHN CC[C@H](C)N(Cc1ccccc1)C(=O)Nc1ccc2c(c1)CNC2 ZINC000416000306 334638476 /nfs/dbraw/zinc/63/84/76/334638476.db2.gz WYHOSEZWCWACEQ-HNNXBMFYSA-N 0 3 323.440 4.122 20 0 DIADHN CO[C@@H](C)CN[C@@H]1CCCc2nc(-c3ccc(C)cc3)sc21 ZINC000188112694 334642075 /nfs/dbraw/zinc/64/20/75/334642075.db2.gz AQYRCEVWPHCXBI-DZGCQCFKSA-N 0 3 316.470 4.120 20 0 DIADHN C[C@H](c1ccccc1)N(C(=O)Nc1ccc2c(c1)CNC2)C1CC1 ZINC000416021039 334642595 /nfs/dbraw/zinc/64/25/95/334642595.db2.gz YHEIOBCTQOVSDW-CQSZACIVSA-N 0 3 321.424 4.047 20 0 DIADHN CN(C)[C@H](CC(=O)N1CCCC12CCCCC2)c1ccccc1 ZINC000416184228 334654092 /nfs/dbraw/zinc/65/40/92/334654092.db2.gz NEULRLXBPMHPSK-GOSISDBHSA-N 0 3 314.473 4.005 20 0 DIADHN CC1=CCC[C@H](C)[C@H]1CN[C@H](c1nc(C2CC2)no1)C(C)C ZINC000443643475 338458864 /nfs/dbraw/zinc/45/88/64/338458864.db2.gz VRKPJLUIKVCQLL-BPUTZDHNSA-N 0 3 303.450 4.226 20 0 DIADHN CCc1ccc(-c2noc(C3CN(C4CCCCC4)C3)n2)cc1 ZINC000417279814 334703307 /nfs/dbraw/zinc/70/33/07/334703307.db2.gz JHAWIVSFRWYTRM-UHFFFAOYSA-N 0 3 311.429 4.031 20 0 DIADHN Clc1ccccc1-c1noc(C2CN(C3CCCCC3)C2)n1 ZINC000417266276 334705771 /nfs/dbraw/zinc/70/57/71/334705771.db2.gz WAAQDCVXBWFKRS-UHFFFAOYSA-N 0 3 317.820 4.122 20 0 DIADHN CCc1cc(N(C)Cc2nccn2C(F)F)c2ccccc2n1 ZINC000123531811 334736789 /nfs/dbraw/zinc/73/67/89/334736789.db2.gz IVSUUNIKKGOMHZ-UHFFFAOYSA-N 0 3 316.355 4.025 20 0 DIADHN CCc1cc(N2CCC[C@@H]([C@H]3CCOC3)C2)c2ccccc2n1 ZINC000443897900 338463208 /nfs/dbraw/zinc/46/32/08/338463208.db2.gz OXXMOZXQDJYGEG-CVEARBPZSA-N 0 3 310.441 4.050 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1cnc(C(F)(F)F)s1 ZINC000443964963 338464545 /nfs/dbraw/zinc/46/45/45/338464545.db2.gz VZFRTEWQPFNYGU-GFCCVEGCSA-N 0 3 314.376 4.273 20 0 DIADHN FC(F)(F)c1ncc(CN[C@@H]2CC[C@H]3CCC[C@@H]3C2)s1 ZINC000443996742 338466087 /nfs/dbraw/zinc/46/60/87/338466087.db2.gz VRFGMELESAILNO-GMTAPVOTSA-N 0 3 304.381 4.220 20 0 DIADHN C[C@H](NCc1cccc(-c2ccncc2)c1)c1cccc(O)c1 ZINC000444013296 338467096 /nfs/dbraw/zinc/46/70/96/338467096.db2.gz YFRMBDVQKSKRMG-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1nccnc1[C@H](C)NC1(Cc2ccc(Cl)cc2)CCC1 ZINC000425956623 334864605 /nfs/dbraw/zinc/86/46/05/334864605.db2.gz XVVXQCHQGXOCBX-AWEZNQCLSA-N 0 3 315.848 4.254 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000188693899 334869691 /nfs/dbraw/zinc/86/96/91/334869691.db2.gz YZAJOGIUOHHEDE-ZDUSSCGKSA-N 0 3 304.459 4.276 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC([C@H]3CCCO3)CC2)cc1 ZINC000189157780 334887630 /nfs/dbraw/zinc/88/76/30/334887630.db2.gz GCOZNHULSKADIM-MRXNPFEDSA-N 0 3 313.363 4.096 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000189434711 334894833 /nfs/dbraw/zinc/89/48/33/334894833.db2.gz DCFKLZLHEAJGGS-WMZOPIPTSA-N 0 3 319.807 4.489 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc2cc(F)ccc2o1 ZINC000189483192 334897462 /nfs/dbraw/zinc/89/74/62/334897462.db2.gz QKOWNOYMNCOGRL-IUODEOHRSA-N 0 3 308.422 4.121 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2C[C@H](C)c3ccccc32)c2ccccc21 ZINC000343707446 335189394 /nfs/dbraw/zinc/18/93/94/335189394.db2.gz KLSLKQSDOAVQQS-GKCIPKSASA-N 0 3 320.436 4.322 20 0 DIADHN COCCC1(CN[C@@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000343868012 335198777 /nfs/dbraw/zinc/19/87/77/335198777.db2.gz VGDOEUKPRSBVSU-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN C[C@@H](NCC12CCC(CC1)C2)c1nc(C(F)(F)F)cs1 ZINC000343870967 335198978 /nfs/dbraw/zinc/19/89/78/335198978.db2.gz XOLGGCPYRQQYGZ-TTXORMCVSA-N 0 3 304.381 4.393 20 0 DIADHN C[C@H](NCC12CCC(CC1)C2)c1nc(C(F)(F)F)cs1 ZINC000343870966 335199017 /nfs/dbraw/zinc/19/90/17/335199017.db2.gz XOLGGCPYRQQYGZ-JBLZRFIASA-N 0 3 304.381 4.393 20 0 DIADHN C[C@@H]1C[C@H](NC[C@@H]2COc3ccccc32)c2ccsc2S1 ZINC000343923376 335202144 /nfs/dbraw/zinc/20/21/44/335202144.db2.gz GVUZVUQQOQKKNV-JMSVASOKSA-N 0 3 317.479 4.439 20 0 DIADHN C[C@H](N[C@@H](CCO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000343970487 335208782 /nfs/dbraw/zinc/20/87/82/335208782.db2.gz DMRDERIEMMGCGI-GUYCJALGSA-N 0 3 323.358 4.480 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCCc3ccc(F)cc32)cn1 ZINC000344033645 335210719 /nfs/dbraw/zinc/21/07/19/335210719.db2.gz LFFUWSXESCBINL-SFHVURJKSA-N 0 3 314.404 4.175 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2Cc2cnc(C)s2)c1 ZINC000344043096 335211518 /nfs/dbraw/zinc/21/15/18/335211518.db2.gz WQDIJTZSAGCGOX-PXAZEXFGSA-N 0 3 302.443 4.043 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2cnc(C)s2)c1 ZINC000344043102 335211560 /nfs/dbraw/zinc/21/15/60/335211560.db2.gz WQDIJTZSAGCGOX-SJCJKPOMSA-N 0 3 302.443 4.043 20 0 DIADHN CCOC[C@H](C)N[C@H](CC)c1cc(F)cc(Br)c1 ZINC000344079104 335215987 /nfs/dbraw/zinc/21/59/87/335215987.db2.gz SLCPOSFHXJXCSR-IINYFYTJSA-N 0 3 318.230 4.054 20 0 DIADHN CC(C)(C)C[C@H](NC[C@H](O)C(F)F)c1ccc(Cl)cc1 ZINC000344099428 335217999 /nfs/dbraw/zinc/21/79/99/335217999.db2.gz KMVJXXNSQDMYRU-STQMWFEESA-N 0 3 305.796 4.033 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H]2CCSc3c(F)cccc32)C12CCC2 ZINC000344113678 335218303 /nfs/dbraw/zinc/21/83/03/335218303.db2.gz MGBNFIGBMUVSAO-HRCADAONSA-N 0 3 321.461 4.300 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H]2CCSc3c(F)cccc32)C12CCC2 ZINC000344113680 335218342 /nfs/dbraw/zinc/21/83/42/335218342.db2.gz MGBNFIGBMUVSAO-OWCLPIDISA-N 0 3 321.461 4.300 20 0 DIADHN CC[C@H](NC[C@@]1(C)CCO[C@@H]1C1CC1)c1cc(F)ccc1F ZINC000344121040 335219170 /nfs/dbraw/zinc/21/91/70/335219170.db2.gz WLXOHMUOFZLYCO-RCCFBDPRSA-N 0 3 309.400 4.211 20 0 DIADHN CCC[C@@H](NC(=O)C[C@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000344120461 335219293 /nfs/dbraw/zinc/21/92/93/335219293.db2.gz HEGQSVGYYMAWPB-WOJBJXKFSA-N 0 3 324.468 4.337 20 0 DIADHN CC[C@@H](NCC(C)(C)OC)c1cc(F)cc(Br)c1 ZINC000344150630 335222185 /nfs/dbraw/zinc/22/21/85/335222185.db2.gz WBOFEEMBQXZZDB-CYBMUJFWSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H]1C[C@H](NCc2ccc(-n3cccn3)cc2)c2ccccc21 ZINC000344111466 335224291 /nfs/dbraw/zinc/22/42/91/335224291.db2.gz HILCCURUGZVBNO-YWZLYKJASA-N 0 3 303.409 4.210 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](CC)c2c(C)noc2C)C12CCCCC2 ZINC000344279318 335235861 /nfs/dbraw/zinc/23/58/61/335235861.db2.gz DYNCLOUXCPCMES-BRWVUGGUSA-N 0 3 320.477 4.460 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2c(C)noc2C)C12CCCCC2 ZINC000344279323 335235939 /nfs/dbraw/zinc/23/59/39/335235939.db2.gz DYNCLOUXCPCMES-IXDOHACOSA-N 0 3 320.477 4.460 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](CC(C)(C)C)c2ccc(Cl)cc2)C1 ZINC000344313633 335240120 /nfs/dbraw/zinc/24/01/20/335240120.db2.gz ZDBYJIMRUFNSBA-IAGOWNOFSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc(CN[C@@H](c2cccnc2)C2CC2)cc2cccnc12 ZINC000344321183 335240856 /nfs/dbraw/zinc/24/08/56/335240856.db2.gz DWTBUDDQBICCSJ-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc(C3CC3)cs2)C12CCCCC2 ZINC000344331027 335241379 /nfs/dbraw/zinc/24/13/79/335241379.db2.gz OOIBHWGMYKVIMF-JKSUJKDBSA-N 0 3 320.502 4.238 20 0 DIADHN c1nc(CNC[C@H]2CCCCS2)sc1-c1ccccc1 ZINC000344345756 335243053 /nfs/dbraw/zinc/24/30/53/335243053.db2.gz FRXDCRXLUBNUSV-CQSZACIVSA-N 0 3 304.484 4.185 20 0 DIADHN CS[C@@H](CNCc1cnc(C)n1-c1ccccc1)C(C)(C)C ZINC000344370744 335245332 /nfs/dbraw/zinc/24/53/32/335245332.db2.gz YHKDCJZJXMBOFQ-KRWDZBQOSA-N 0 3 317.502 4.048 20 0 DIADHN CCCOc1ccc(CN[C@H](CC)c2ccncc2)cc1OC ZINC000344544322 335260400 /nfs/dbraw/zinc/26/04/00/335260400.db2.gz FINKHIAFKLKKDS-QGZVFWFLSA-N 0 3 314.429 4.120 20 0 DIADHN CC[C@H](NCc1cccc(Br)c1)c1ccncc1 ZINC000344544342 335260404 /nfs/dbraw/zinc/26/04/04/335260404.db2.gz FTVDGBFDWOUXPR-HNNXBMFYSA-N 0 3 305.219 4.085 20 0 DIADHN Cc1noc([C@H]2CCN(Cc3ccc(-c4ccccc4)cc3)C2)n1 ZINC000344842998 335279334 /nfs/dbraw/zinc/27/93/34/335279334.db2.gz FYAGYQYJKXZJCE-IBGZPJMESA-N 0 3 319.408 4.035 20 0 DIADHN Cc1noc([C@@H]2CCN(Cc3ccc(-c4ccccc4)cc3)C2)n1 ZINC000344842999 335279500 /nfs/dbraw/zinc/27/95/00/335279500.db2.gz FYAGYQYJKXZJCE-LJQANCHMSA-N 0 3 319.408 4.035 20 0 DIADHN COCC[C@H]1CCCCN(Cc2cnc(C3CCC3)s2)C1 ZINC000344880407 335282604 /nfs/dbraw/zinc/28/26/04/335282604.db2.gz ABXINVWKRKMMQH-CQSZACIVSA-N 0 3 308.491 4.049 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(C)cc32)cc1OC ZINC000165953926 335288905 /nfs/dbraw/zinc/28/89/05/335288905.db2.gz MKJVJBGNLNQSFL-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN CC[C@@](C)(O)CN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000444611005 338476530 /nfs/dbraw/zinc/47/65/30/338476530.db2.gz PPUAXQGPISDOIJ-OXQOHEQNSA-N 0 3 313.441 4.077 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1cccc(C(F)(F)F)c1 ZINC000345504916 335333398 /nfs/dbraw/zinc/33/33/98/335333398.db2.gz FYWAROOZLVPWDI-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN C[C@H](O)C1CCN(Cc2ccc(-c3cccs3)cc2)CC1 ZINC000444649264 338478745 /nfs/dbraw/zinc/47/87/45/338478745.db2.gz PEAIECXHYCWTMR-AWEZNQCLSA-N 0 3 301.455 4.008 20 0 DIADHN Brc1cccc([C@@H]2CCCN2CC[C@@H]2CCCO2)c1 ZINC000345802418 335352184 /nfs/dbraw/zinc/35/21/84/335352184.db2.gz WSSHPIFVNOMMSY-HOTGVXAUSA-N 0 3 324.262 4.155 20 0 DIADHN CCc1ccc([C@@H](C)NCCc2c[nH]c3ccc(F)cc23)o1 ZINC000346047866 335370978 /nfs/dbraw/zinc/37/09/78/335370978.db2.gz PSPFRXMDRBGKCR-GFCCVEGCSA-N 0 3 300.377 4.356 20 0 DIADHN C[C@@H](c1ccco1)N(Cc1cc(-n2ccnc2)cs1)C1CC1 ZINC000346130414 335379340 /nfs/dbraw/zinc/37/93/40/335379340.db2.gz IFWUOBGNYDHEPH-ZDUSSCGKSA-N 0 3 313.426 4.252 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346209051 335390292 /nfs/dbraw/zinc/39/02/92/335390292.db2.gz NOILBQWBKMFREI-HOCLYGCPSA-N 0 3 315.392 4.027 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346209047 335390351 /nfs/dbraw/zinc/39/03/51/335390351.db2.gz NOILBQWBKMFREI-GDBMZVCRSA-N 0 3 315.392 4.027 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346209052 335390480 /nfs/dbraw/zinc/39/04/80/335390480.db2.gz NOILBQWBKMFREI-ZBFHGGJFSA-N 0 3 315.392 4.027 20 0 DIADHN Cc1nccn1CCN(Cc1ccccc1)Cc1ccccc1F ZINC000346299612 335393501 /nfs/dbraw/zinc/39/35/01/335393501.db2.gz BWMRWIKFDCDOIG-UHFFFAOYSA-N 0 3 323.415 4.033 20 0 DIADHN C[C@H](c1ccccc1)N(CCn1ccnc1)Cc1ccccc1 ZINC000346304219 335397927 /nfs/dbraw/zinc/39/79/27/335397927.db2.gz HCDBNCJINHDVKF-GOSISDBHSA-N 0 3 305.425 4.147 20 0 DIADHN Cc1nccn1CCN(C)[C@H](c1ccccc1Cl)C(C)C ZINC000346314600 335399237 /nfs/dbraw/zinc/39/92/37/335399237.db2.gz SBZGJQUHKDMILF-KRWDZBQOSA-N 0 3 305.853 4.174 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCO[C@@H]2CCCCO2)cc1 ZINC000444677778 338481763 /nfs/dbraw/zinc/48/17/63/338481763.db2.gz VAOZTOLBCHSPBY-CRAIPNDOSA-N 0 3 323.502 4.381 20 0 DIADHN OC1(C(F)F)CCN(Cc2ccc(-c3ccsc3)cc2)CC1 ZINC000444681081 338481850 /nfs/dbraw/zinc/48/18/50/338481850.db2.gz SHJNUKPWELSAJE-UHFFFAOYSA-N 0 3 323.408 4.007 20 0 DIADHN CC[C@H](NC1(c2ccccc2)CC1)c1ccc(OC)c(OC)c1 ZINC000346737008 335432937 /nfs/dbraw/zinc/43/29/37/335432937.db2.gz ZFMOOJCRQAWOCA-KRWDZBQOSA-N 0 3 311.425 4.434 20 0 DIADHN c1ccc2c(c1)C[C@@H](CN[C@H]1CC3(CCC3)Oc3ccccc31)O2 ZINC000346779190 335439969 /nfs/dbraw/zinc/43/99/69/335439969.db2.gz NBGNXVXAXXLTOK-WMZOPIPTSA-N 0 3 321.420 4.026 20 0 DIADHN c1ccc2c(c1)OC1(CCC1)C[C@@H]2NC[C@H]1CCCCS1 ZINC000346789421 335441490 /nfs/dbraw/zinc/44/14/90/335441490.db2.gz HKDPDDSPSLQHOQ-ZBFHGGJFSA-N 0 3 303.471 4.308 20 0 DIADHN OCC1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CCC1 ZINC000346876320 335447406 /nfs/dbraw/zinc/44/74/06/335447406.db2.gz JXFMIPJWZVTWRZ-SNVBAGLBSA-N 0 3 320.285 4.185 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N1c2ccccc2C[C@H]1C)c1ccccc1 ZINC000347170700 335475510 /nfs/dbraw/zinc/47/55/10/335475510.db2.gz YFCMXYHTEVIJSZ-GJYPPUQNSA-N 0 3 322.452 4.094 20 0 DIADHN CC[C@H](NCCOc1cccc2ccccc21)c1ccncc1 ZINC000347374559 335491528 /nfs/dbraw/zinc/49/15/28/335491528.db2.gz WNMYSBLPYREYJE-IBGZPJMESA-N 0 3 306.409 4.355 20 0 DIADHN Cc1cccc(C)c1CN[C@H](CCO)c1ccc(Cl)cc1 ZINC000347434917 335496402 /nfs/dbraw/zinc/49/64/02/335496402.db2.gz QNLBNXOIFJMYHF-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN Cc1cccnc1CN1CCC(c2c[nH]c3ccc(F)cc32)CC1 ZINC000347563046 335507470 /nfs/dbraw/zinc/50/74/70/335507470.db2.gz STVKRMYMEORJEV-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN c1ccc2ncc(CN[C@H]3CCCNc4ccccc43)cc2c1 ZINC000347787128 335525050 /nfs/dbraw/zinc/52/50/50/335525050.db2.gz HGAYNCCFGSCIIJ-FQEVSTJZSA-N 0 3 303.409 4.271 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@H]1CCCCO1 ZINC000444776449 338487998 /nfs/dbraw/zinc/48/79/98/338487998.db2.gz CBDBFKLEIKYHJS-UKRRQHHQSA-N 0 3 301.352 4.147 20 0 DIADHN CCCCn1cc(CN[C@H]2CCCNc3ccccc32)c(C)n1 ZINC000348146386 335557631 /nfs/dbraw/zinc/55/76/31/335557631.db2.gz UYOWGTGDTRFOET-IBGZPJMESA-N 0 3 312.461 4.028 20 0 DIADHN C[C@H](NCc1csc(C2CCCCC2)n1)[C@@H]1CCCOC1 ZINC000348150642 335557865 /nfs/dbraw/zinc/55/78/65/335557865.db2.gz ZASJPWUNMROGER-DZGCQCFKSA-N 0 3 308.491 4.096 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H](CCO)c1ccc(Cl)cc1 ZINC000348221179 335562826 /nfs/dbraw/zinc/56/28/26/335562826.db2.gz GJFDBVPDPULAMZ-RBUKOAKNSA-N 0 3 315.844 4.349 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348221826 335562939 /nfs/dbraw/zinc/56/29/39/335562939.db2.gz WADQXRAMPVUCPY-KDOFPFPSSA-N 0 3 303.833 4.423 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](CCO)c1ccc(Cl)cc1)CC2 ZINC000348208306 335566022 /nfs/dbraw/zinc/56/60/22/335566022.db2.gz SSTZKVWFHLRJKE-RBUKOAKNSA-N 0 3 315.844 4.349 20 0 DIADHN C[C@H](CCC(F)(F)F)N[C@H](C)c1nccn1-c1ccccc1 ZINC000348331641 335582714 /nfs/dbraw/zinc/58/27/14/335582714.db2.gz WGWMFSNSGKGTNQ-CHWSQXEVSA-N 0 3 311.351 4.254 20 0 DIADHN Cc1cscc1CN[C@@H](C)c1ccc(-n2ccnc2C)cc1 ZINC000348409770 335584853 /nfs/dbraw/zinc/58/48/53/335584853.db2.gz TZPNIPNFGZEKKI-AWEZNQCLSA-N 0 3 311.454 4.401 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC1CC(C(F)(F)F)C1)CCS2 ZINC000348685690 335599947 /nfs/dbraw/zinc/59/99/47/335599947.db2.gz RDPVSZZKDMKZKE-VCJLRHCSSA-N 0 3 305.340 4.293 20 0 DIADHN CCOc1ccc([C@@H](C)NCCn2c(C)nc3ccccc32)cc1 ZINC000323814131 335626295 /nfs/dbraw/zinc/62/62/95/335626295.db2.gz BQUVKOYYPDGAAX-OAHLLOKOSA-N 0 3 323.440 4.094 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)N[C@H]3COC(C)(C)C3)cc2)cs1 ZINC000417860858 335644733 /nfs/dbraw/zinc/64/47/33/335644733.db2.gz WLZZLQLJEUATAC-BLLLJJGKSA-N 0 3 316.470 4.337 20 0 DIADHN CN1CCC(N(Cc2ccco2)Cc2ccsc2Cl)CC1 ZINC000349279104 335659907 /nfs/dbraw/zinc/65/99/07/335659907.db2.gz NXQZPGSDYGJWCQ-UHFFFAOYSA-N 0 3 324.877 4.091 20 0 DIADHN C[C@H](COCC(F)(F)F)N[C@H]1CCCc2c(Cl)cccc21 ZINC000349445609 335672493 /nfs/dbraw/zinc/67/24/93/335672493.db2.gz GBYZEHSBFAHQRS-YGRLFVJLSA-N 0 3 321.770 4.274 20 0 DIADHN C[C@@H](NCc1cccc(F)c1)c1ccc(NC(=O)C2CC2)cc1 ZINC000028925264 335693701 /nfs/dbraw/zinc/69/37/01/335693701.db2.gz GGWBJMSEEDCPDZ-CYBMUJFWSA-N 0 3 312.388 4.025 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c(Cl)cccc21)[C@H](O)C(F)(F)F ZINC000349780432 335699546 /nfs/dbraw/zinc/69/95/46/335699546.db2.gz WQBYNHMNZXSPHD-IHRRRGAJSA-N 0 3 321.770 4.009 20 0 DIADHN COC1CCN(Cc2ccc(-c3cccc(F)c3)s2)CC1 ZINC000349791611 335700785 /nfs/dbraw/zinc/70/07/85/335700785.db2.gz QYQWTSPNJGDEEE-UHFFFAOYSA-N 0 3 305.418 4.165 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(Cl)c1Cl)[C@H]1CCCOC1 ZINC000389031346 335703602 /nfs/dbraw/zinc/70/36/02/335703602.db2.gz XKUCXZNLCSEKOE-WOPDTQHZSA-N 0 3 302.245 4.459 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C1(C)CCCCC1 ZINC000526086123 335743466 /nfs/dbraw/zinc/74/34/66/335743466.db2.gz QHWFBBPPHZJOMC-UHFFFAOYSA-N 0 3 314.473 4.500 20 0 DIADHN COc1ccc(OCCN[C@H](C)c2ccc(Cl)s2)cc1 ZINC000094507789 335743928 /nfs/dbraw/zinc/74/39/28/335743928.db2.gz BRJAVXOZOAHHJJ-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN CSc1ccc([C@H](C)NC[C@H]2COc3ccccc32)cc1F ZINC000583588263 335752151 /nfs/dbraw/zinc/75/21/51/335752151.db2.gz WNUCNFFNQPHRAO-JSGCOSHPSA-N 0 3 317.429 4.374 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3cscn3)CCCC2)c1 ZINC000535776938 335764924 /nfs/dbraw/zinc/76/49/24/335764924.db2.gz OSWDLSTVCKVACL-CQSZACIVSA-N 0 3 316.470 4.314 20 0 DIADHN CC[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000166648587 335766204 /nfs/dbraw/zinc/76/62/04/335766204.db2.gz QBPJAIWJBZGBMQ-OKZBNKHCSA-N 0 3 301.817 4.039 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(Cl)cc1 ZINC000166648826 335766229 /nfs/dbraw/zinc/76/62/29/335766229.db2.gz QBPJAIWJBZGBMQ-BZSNNMDCSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@@H]1c1ccccc1)c1csc(Cl)c1 ZINC000582211074 335844063 /nfs/dbraw/zinc/84/40/63/335844063.db2.gz VCJKNESQOKFZGK-MJEQTWJJSA-N 0 3 321.873 4.218 20 0 DIADHN CC(C)OC1CCN(Cc2ccccc2OC2CCCC2)CC1 ZINC000536647041 335852383 /nfs/dbraw/zinc/85/23/83/335852383.db2.gz AGCKLXDIRFVUEQ-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN Cc1cncc([C@@H](C)NCc2cccc(Br)c2C)c1 ZINC000519638830 335884423 /nfs/dbraw/zinc/88/44/23/335884423.db2.gz CRRUTTOLZZHPCB-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN C[C@H](Cc1ccc(Br)cc1)N[C@H](C)c1ccco1 ZINC000037000568 335898165 /nfs/dbraw/zinc/89/81/65/335898165.db2.gz DLTLVDCTWGLGOV-VXGBXAGGSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@H](N[C@H](c1ccc(F)cc1)[C@H]1CCCO1)c1cccs1 ZINC000532218614 335916666 /nfs/dbraw/zinc/91/66/66/335916666.db2.gz NEGHKGSVXDMEOA-XGWLTEMNSA-N 0 3 305.418 4.458 20 0 DIADHN Clc1cccc2c1CC[C@@H]2NCc1ccccc1-n1ccnc1 ZINC000532206777 335917548 /nfs/dbraw/zinc/91/75/48/335917548.db2.gz APARGBZKJQZHBP-SFHVURJKSA-N 0 3 323.827 4.303 20 0 DIADHN COc1ccc(OCCCN[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000532206876 335917561 /nfs/dbraw/zinc/91/75/61/335917561.db2.gz LVWDIENISXLRHL-ZDUSSCGKSA-N 0 3 321.367 4.093 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@@H](OC)c1ccccc1 ZINC000532212598 335919079 /nfs/dbraw/zinc/91/90/79/335919079.db2.gz GHXCDSRKCWUAQW-SCLBCKFNSA-N 0 3 319.832 4.387 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(SC)cc1)c1ccccc1 ZINC000532212831 335919254 /nfs/dbraw/zinc/91/92/54/335919254.db2.gz FJZXYMFTFPHMNG-KSSFIOAISA-N 0 3 301.455 4.447 20 0 DIADHN CCCC[C@H](COC)N[C@H](C)c1sccc1Br ZINC000532224721 335925005 /nfs/dbraw/zinc/92/50/05/335925005.db2.gz WNZXIPJSZSWYJH-GHMZBOCLSA-N 0 3 320.296 4.366 20 0 DIADHN FCCCNCc1ccc(-c2ccc(C(F)(F)F)cc2)o1 ZINC000532270689 335925459 /nfs/dbraw/zinc/92/54/59/335925459.db2.gz NAEKWLFCIDAYCN-UHFFFAOYSA-N 0 3 301.283 4.415 20 0 DIADHN C[C@@H](NCCc1cscn1)c1cc(Cl)cc(Cl)c1 ZINC000532266399 335927475 /nfs/dbraw/zinc/92/74/75/335927475.db2.gz YJPSUIBZSDDAJN-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CC(C)CC1(C(=O)Nc2ccc(CN(C)C)cc2)CCCC1 ZINC000445684628 338505641 /nfs/dbraw/zinc/50/56/41/338505641.db2.gz XICCTKWDCZJZHE-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN CCN(CC)[C@@H](CNC/C=C\c1ccccc1)c1ccsc1 ZINC000520203114 335938375 /nfs/dbraw/zinc/93/83/75/335938375.db2.gz JCFWQLCISGZBBO-POGDKVGISA-N 0 3 314.498 4.434 20 0 DIADHN Fc1ccc([C@@H](NCc2cccc3cc[nH]c32)[C@H]2CCCO2)cc1 ZINC000520221623 335942925 /nfs/dbraw/zinc/94/29/25/335942925.db2.gz LCXXGPSSCCSQON-UYAOXDASSA-N 0 3 324.399 4.317 20 0 DIADHN CCc1ccc(CNC[C@H](c2ccco2)N(CC)CC)cc1 ZINC000520965501 335980623 /nfs/dbraw/zinc/98/06/23/335980623.db2.gz CMFDOLVXLYMNSR-GOSISDBHSA-N 0 3 300.446 4.015 20 0 DIADHN C[C@H](NC1(Cc2ccccc2Br)CC1)c1ccoc1 ZINC000582217456 336005389 /nfs/dbraw/zinc/00/53/89/336005389.db2.gz UKKQGLFPQYIVIL-LBPRGKRZSA-N 0 3 320.230 4.468 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000354010040 336005783 /nfs/dbraw/zinc/00/57/83/336005783.db2.gz LYQWQQZRQDQVJW-CABCVRRESA-N 0 3 308.491 4.395 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@H](c2ccncc2)C1 ZINC000582219704 336019635 /nfs/dbraw/zinc/01/96/35/336019635.db2.gz YSSFFRIACLIEFV-QAPCUYQASA-N 0 3 319.408 4.287 20 0 DIADHN Cc1sc(CCNCc2cccnc2C)nc1-c1ccccc1 ZINC000152431476 336034357 /nfs/dbraw/zinc/03/43/57/336034357.db2.gz GEYBFJZSBMOCQD-UHFFFAOYSA-N 0 3 323.465 4.154 20 0 DIADHN CCCN(Cc1cnc2n[nH]c(C)c2c1)[C@@H]1CCc2ccccc21 ZINC000351606624 336049494 /nfs/dbraw/zinc/04/94/94/336049494.db2.gz FPBWLDHHSWRMNP-LJQANCHMSA-N 0 3 320.440 4.166 20 0 DIADHN CCN(Cc1c(C)nn(CC)c1C)[C@H](C)c1cccc(OC)c1 ZINC000351624058 336052355 /nfs/dbraw/zinc/05/23/55/336052355.db2.gz WFGDAIGLPMTEAA-OAHLLOKOSA-N 0 3 315.461 4.112 20 0 DIADHN Cc1ccc(C)c([C@H]2CCCN2Cc2cnn(CC(F)F)c2)c1 ZINC000351674456 336057030 /nfs/dbraw/zinc/05/70/30/336057030.db2.gz VBDDUBOOUDSDPY-QGZVFWFLSA-N 0 3 319.399 4.102 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cccc(OC(C)(C)C)n2)CC1 ZINC000351686429 336062673 /nfs/dbraw/zinc/06/26/73/336062673.db2.gz SCMOZVOCCMNBTN-KRWDZBQOSA-N 0 3 320.477 4.040 20 0 DIADHN Cc1ccc(-c2csc(CN[C@H](C)[C@@H]3CCCOC3)n2)cc1 ZINC000351735744 336063353 /nfs/dbraw/zinc/06/33/53/336063353.db2.gz NQHJPFPHWKTROR-GDBMZVCRSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ccc(-c2csc(CN[C@@H](C)[C@@H]3CCCOC3)n2)cc1 ZINC000351735748 336063358 /nfs/dbraw/zinc/06/33/58/336063358.db2.gz NQHJPFPHWKTROR-GOEBONIOSA-N 0 3 316.470 4.023 20 0 DIADHN Cc1ccc(-c2csc(CN[C@H](C)[C@H]3CCCOC3)n2)cc1 ZINC000351735750 336063426 /nfs/dbraw/zinc/06/34/26/336063426.db2.gz NQHJPFPHWKTROR-ZBFHGGJFSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@@H](F)CCN1CCC[C@H](c2nc(C(F)(F)F)cs2)C1 ZINC000351751610 336064486 /nfs/dbraw/zinc/06/44/86/336064486.db2.gz KERCVJBDIOWHDI-ZJUUUORDSA-N 0 3 310.360 4.089 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)NCc1cnc(C)nc1 ZINC000351757504 336065372 /nfs/dbraw/zinc/06/53/72/336065372.db2.gz NSBHILVMCRGQOL-GOSISDBHSA-N 0 3 323.465 4.229 20 0 DIADHN COc1cc(CN(CC[C@@H](C)F)C2CC2)ccc1OC(F)F ZINC000351842846 336072514 /nfs/dbraw/zinc/07/25/14/336072514.db2.gz AAQJXGCILKNPHN-LLVKDONJSA-N 0 3 317.351 4.009 20 0 DIADHN Cc1ncc(CN2CCC[C@H]2c2cccc3ccccc32)cn1 ZINC000351854046 336073631 /nfs/dbraw/zinc/07/36/31/336073631.db2.gz FYJJWONTUVQTLQ-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)(C)Oc1ccc(CN[C@H]2CCCc3ccccc32)cn1 ZINC000351910071 336128696 /nfs/dbraw/zinc/12/86/96/336128696.db2.gz QWCXFWFBAHQJFP-SFHVURJKSA-N 0 3 310.441 4.426 20 0 DIADHN Cc1cc(-c2nnc(CN(C)[C@@H](C)C3CCCCC3)o2)c(C)o1 ZINC000331518160 336138806 /nfs/dbraw/zinc/13/88/06/336138806.db2.gz JEMMTMBSLPZBSA-ZDUSSCGKSA-N 0 3 317.433 4.347 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCC[C@@H]3c3ccccc3)oc2c1 ZINC000446005693 338517549 /nfs/dbraw/zinc/51/75/49/338517549.db2.gz ZOZHLGWHXZWZHX-HZPDHXFCSA-N 0 3 310.372 4.393 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000332119382 336159393 /nfs/dbraw/zinc/15/93/93/336159393.db2.gz HZTGNRARLNHZEE-UHIISALHSA-N 0 3 305.809 4.229 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1ccc(Cl)cn1 ZINC000480679074 336162419 /nfs/dbraw/zinc/16/24/19/336162419.db2.gz UXGXABIBXAEJHW-VIFPVBQESA-N 0 3 314.738 4.046 20 0 DIADHN NC(=O)C[C@@H]1CCCCN1Cc1cc(Cl)cc2cccnc21 ZINC000332230461 336164153 /nfs/dbraw/zinc/16/41/53/336164153.db2.gz PYAWVCWMQAAWTR-HNNXBMFYSA-N 0 3 317.820 4.168 20 0 DIADHN C[C@@H]1CC[C@H](C(N)=O)CN1Cc1c(Cl)ccc2cccnc21 ZINC000332249428 336164890 /nfs/dbraw/zinc/16/48/90/336164890.db2.gz BYFJDKQSIIWCSK-YPMHNXCESA-N 0 3 317.820 4.024 20 0 DIADHN C[C@@H]1CC[C@@H](C(N)=O)CN1Cc1ccc(Cl)c2cccnc12 ZINC000332306481 336167208 /nfs/dbraw/zinc/16/72/08/336167208.db2.gz OHIUEGUZWGEZDO-DGCLKSJQSA-N 0 3 317.820 4.024 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2sc(C)nc2C)cc1OC ZINC000194383856 338516830 /nfs/dbraw/zinc/51/68/30/338516830.db2.gz NZOHJFFKSTZXNY-GHMZBOCLSA-N 0 3 320.458 4.189 20 0 DIADHN c1coc([C@H](CN[C@@H]2CCCc3occc32)N2CCCCC2)c1 ZINC000192598314 336178291 /nfs/dbraw/zinc/17/82/91/336178291.db2.gz XYTDHGUDWHNHID-SJORKVTESA-N 0 3 314.429 4.067 20 0 DIADHN C[C@H](N[C@@H](Cc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192607805 336183625 /nfs/dbraw/zinc/18/36/25/336183625.db2.gz FTAIQSWWBMLOPN-LPHOPBHVSA-N 0 3 305.425 4.055 20 0 DIADHN Fc1ccc2oc(CN3CC[C@]4(C3)OCc3ccccc34)cc2c1 ZINC000351976752 336185149 /nfs/dbraw/zinc/18/51/49/336185149.db2.gz WPNYCIIURPQGMH-HXUWFJFHSA-N 0 3 323.367 4.203 20 0 DIADHN COc1ccc(CN(C)C[C@@H](C)c2cccc(Cl)c2)cc1O ZINC000526115550 336187511 /nfs/dbraw/zinc/18/75/11/336187511.db2.gz MEZATKPSJVOUTG-CYBMUJFWSA-N 0 3 319.832 4.290 20 0 DIADHN Cc1ncc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)cn1 ZINC000352001347 336187671 /nfs/dbraw/zinc/18/76/71/336187671.db2.gz BBLMGKAUSXNNHE-OLZOCXBDSA-N 0 3 303.837 4.062 20 0 DIADHN CCC(C)(C)c1ccc(CN2CCC(OCCOC)CC2)cc1 ZINC000352049964 336192168 /nfs/dbraw/zinc/19/21/68/336192168.db2.gz OXAINJCSJHJUPU-UHFFFAOYSA-N 0 3 319.489 4.002 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2ccc3occc3c2)cc1 ZINC000352257743 336207500 /nfs/dbraw/zinc/20/75/00/336207500.db2.gz WWAQHPUOMKBOHR-UHFFFAOYSA-N 0 3 322.408 4.317 20 0 DIADHN COc1cc(CN2CCCC(C)(C)C2)c(SC)cc1OC ZINC000352338919 336213175 /nfs/dbraw/zinc/21/31/75/336213175.db2.gz XKULCUSUAUFGMD-UHFFFAOYSA-N 0 3 309.475 4.048 20 0 DIADHN Cc1cc(CN2CCC(Oc3ccncc3C)CC2)c(C)s1 ZINC000352357428 336214070 /nfs/dbraw/zinc/21/40/70/336214070.db2.gz NAFSIGCUYJKEEG-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1cnccc1OC1CCN([C@@H](C)c2ccc(F)cc2)CC1 ZINC000352365648 336214454 /nfs/dbraw/zinc/21/44/54/336214454.db2.gz VBRSUEFBZLJLMH-HNNXBMFYSA-N 0 3 314.404 4.134 20 0 DIADHN CCN(C)c1ccc(CNCc2ccc3sccc3c2)cn1 ZINC000352454774 336220071 /nfs/dbraw/zinc/22/00/71/336220071.db2.gz VNPAGNAYCZWXIS-UHFFFAOYSA-N 0 3 311.454 4.042 20 0 DIADHN Cc1ccc(CN(Cc2cnc3n[nH]c(C)c3c2)C(C)C)s1 ZINC000352727384 336232703 /nfs/dbraw/zinc/23/27/03/336232703.db2.gz XETGGUVEKASRDL-UHFFFAOYSA-N 0 3 314.458 4.047 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(N3CCCC3)c2)cn1 ZINC000352759265 336235433 /nfs/dbraw/zinc/23/54/33/336235433.db2.gz JXQNQIUUPPEZPO-MRXNPFEDSA-N 0 3 309.457 4.095 20 0 DIADHN CC[C@@H](NCCOCc1ccc(OC)cc1)c1ccccc1F ZINC000352789113 336236906 /nfs/dbraw/zinc/23/69/06/336236906.db2.gz NDEHKOSHLDPGRN-LJQANCHMSA-N 0 3 317.404 4.092 20 0 DIADHN CCc1ccc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)cn1 ZINC000352794074 336237098 /nfs/dbraw/zinc/23/70/98/336237098.db2.gz UEHZSUQUQZTWDY-UHFFFAOYSA-N 0 3 307.441 4.340 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)s1 ZINC000042290416 336238462 /nfs/dbraw/zinc/23/84/62/336238462.db2.gz ZILUDMGEIHINIE-RYUDHWBXSA-N 0 3 303.427 4.451 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)cc2)s1 ZINC000042238850 336239449 /nfs/dbraw/zinc/23/94/49/336239449.db2.gz MQPRBXYPGDEYPK-UHFFFAOYSA-N 0 3 310.260 4.363 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)[C@@H]1CCc2ccccc21 ZINC000042635205 336242170 /nfs/dbraw/zinc/24/21/70/336242170.db2.gz ILZSCCRIWWRTMB-HXUWFJFHSA-N 0 3 303.409 4.058 20 0 DIADHN C[C@H](NC[C@@H]1CCCO[C@@H]1C(C)(C)C)c1cc(F)ccc1F ZINC000532353570 336243748 /nfs/dbraw/zinc/24/37/48/336243748.db2.gz CEVBDOJMBCTFDG-DCGLDWPTSA-N 0 3 311.416 4.457 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@H]2c2cc(C)ccc2C)o1 ZINC000532398831 336244761 /nfs/dbraw/zinc/24/47/61/336244761.db2.gz FIQKWLBLVJCKCG-KRWDZBQOSA-N 0 3 313.397 4.020 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)s1)[C@H]1Oc2ccccc2[C@H]1C ZINC000532393858 336245225 /nfs/dbraw/zinc/24/52/25/336245225.db2.gz FQRWLDRKOPQOCE-PEBVRCNWSA-N 0 3 314.454 4.063 20 0 DIADHN CC[C@@](C)(CCO)NCc1ccc(-c2cccc(F)c2C)o1 ZINC000532393485 336245232 /nfs/dbraw/zinc/24/52/32/336245232.db2.gz FPZOJHILPHHRMT-SFHVURJKSA-N 0 3 305.393 4.035 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)s1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000532393856 336245303 /nfs/dbraw/zinc/24/53/03/336245303.db2.gz FQRWLDRKOPQOCE-BWACUDIHSA-N 0 3 314.454 4.063 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2ccccc2Br)o1 ZINC000532449766 336246924 /nfs/dbraw/zinc/24/69/24/336246924.db2.gz VVADPMQFEVTXNX-GFCCVEGCSA-N 0 3 308.219 4.244 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CCc3ccc(C)cc32)c1 ZINC000532448307 336247899 /nfs/dbraw/zinc/24/78/99/336247899.db2.gz WGSVWCIPVMDJHT-LJQANCHMSA-N 0 3 308.425 4.121 20 0 DIADHN CCOCCN[C@@H](C)c1ccc(OCc2ccc(F)cc2)cc1 ZINC000532474983 336248895 /nfs/dbraw/zinc/24/88/95/336248895.db2.gz KNFCFRVUTFKYBF-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@@H](N[C@@H](c1nccs1)c1ccccc1)C1CCOCC1 ZINC000532463887 336249029 /nfs/dbraw/zinc/24/90/29/336249029.db2.gz ZBCPICSPRSVQOI-IAGOWNOFSA-N 0 3 316.470 4.027 20 0 DIADHN Cc1cc([C@@H](C)NCCn2cnc3ccccc32)cc(C)c1F ZINC000532469099 336249451 /nfs/dbraw/zinc/24/94/51/336249451.db2.gz GTTAPQAQGKVBDN-OAHLLOKOSA-N 0 3 311.404 4.143 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCCc1csc(C(C)C)n1 ZINC000532490032 336250360 /nfs/dbraw/zinc/25/03/60/336250360.db2.gz UKDJALWKVRPTNE-AWEZNQCLSA-N 0 3 318.486 4.477 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCc3ccccc32)[C@H]2CCCO2)cc1 ZINC000532492575 336250757 /nfs/dbraw/zinc/25/07/57/336250757.db2.gz NFNXFBUQOUNWMD-ZCNNSNEGSA-N 0 3 311.400 4.323 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)N[C@H](C)c1sccc1Br ZINC000532495370 336250811 /nfs/dbraw/zinc/25/08/11/336250811.db2.gz OSMFGCLCAXUIHU-MXWKQRLJSA-N 0 3 318.280 4.119 20 0 DIADHN COCc1ccc(CNCCCc2ccc(C(C)C)cc2)o1 ZINC000532476778 336251246 /nfs/dbraw/zinc/25/12/46/336251246.db2.gz HHKZCSPVNZUGIS-UHFFFAOYSA-N 0 3 301.430 4.272 20 0 DIADHN CCN(CC(=O)Nc1cc(Cl)ccc1Cl)[C@H](C)C(C)C ZINC000532503369 336252492 /nfs/dbraw/zinc/25/24/92/336252492.db2.gz MPMYTTLMAISYGS-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN C[C@H](NCc1cccn1C)c1ccc(OCc2ccccc2)cc1 ZINC000532531113 336253819 /nfs/dbraw/zinc/25/38/19/336253819.db2.gz MOWYUKIPWCYYNL-KRWDZBQOSA-N 0 3 320.436 4.455 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)NCc1[nH]ncc1C ZINC000352820378 336255991 /nfs/dbraw/zinc/25/59/91/336255991.db2.gz AEBGOYVNTITHEZ-HNNXBMFYSA-N 0 3 321.852 4.257 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc(C)c2ccccc2n1 ZINC000532584868 336256497 /nfs/dbraw/zinc/25/64/97/336256497.db2.gz QTJNKHUMNRMCEP-SFHVURJKSA-N 0 3 319.452 4.391 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc(C)c2ccccc2n1 ZINC000532584854 336256528 /nfs/dbraw/zinc/25/65/28/336256528.db2.gz QTJNKHUMNRMCEP-GOSISDBHSA-N 0 3 319.452 4.391 20 0 DIADHN CCC(CC)[C@H](CCNCc1coc(-c2ccccc2)n1)OC ZINC000532617699 336257822 /nfs/dbraw/zinc/25/78/22/336257822.db2.gz KQSBWNHBBVXLTB-SFHVURJKSA-N 0 3 316.445 4.273 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N(C)CCOC1CCC1 ZINC000580360108 336259449 /nfs/dbraw/zinc/25/94/49/336259449.db2.gz IHAKMVXHYZXUBC-LBPRGKRZSA-N 0 3 301.352 4.267 20 0 DIADHN COCc1ccc(CN2CC[C@H](c3cccc(Cl)c3)C2)o1 ZINC000580355933 336259991 /nfs/dbraw/zinc/25/99/91/336259991.db2.gz QRTLIXQEDUOZHW-AWEZNQCLSA-N 0 3 305.805 4.069 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc2cc(C)nn2c1C)c1ccc(C)cc1 ZINC000192780854 336261713 /nfs/dbraw/zinc/26/17/13/336261713.db2.gz PWJHOXIAKPWZPQ-DNVCBOLYSA-N 0 3 322.456 4.456 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000192784975 336261790 /nfs/dbraw/zinc/26/17/90/336261790.db2.gz ANLDVWGQPQRZSR-SUMWQHHRSA-N 0 3 315.388 4.399 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000192784998 336261868 /nfs/dbraw/zinc/26/18/68/336261868.db2.gz ANLDVWGQPQRZSR-CXAGYDPISA-N 0 3 315.388 4.399 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000192796814 336262176 /nfs/dbraw/zinc/26/21/76/336262176.db2.gz WGTFKGMXCLCAAD-JLTOFOAXSA-N 0 3 309.409 4.260 20 0 DIADHN c1csc([C@H]2c3ccsc3CCN2C[C@H]2CCCOC2)c1 ZINC000532630059 336262520 /nfs/dbraw/zinc/26/25/20/336262520.db2.gz CEUYIZZQVRNUFQ-CXAGYDPISA-N 0 3 319.495 4.184 20 0 DIADHN CC[C@@H](N[C@@H]1CCc2cn(C(C)C)nc2C1)c1ccccc1F ZINC000352826343 336263086 /nfs/dbraw/zinc/26/30/86/336263086.db2.gz HAJSUECZYSRXMS-CRAIPNDOSA-N 0 3 315.436 4.201 20 0 DIADHN Brc1ccc([C@H]2CCCN2C[C@@H]2CCCOC2)cc1 ZINC000532640145 336264105 /nfs/dbraw/zinc/26/41/05/336264105.db2.gz WJPRQIGUTAVZSO-XJKSGUPXSA-N 0 3 324.262 4.013 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1nc(-c2ccoc2)no1 ZINC000532700990 336267015 /nfs/dbraw/zinc/26/70/15/336267015.db2.gz SNPGSNFHSXAQKX-ZIAGYGMSSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NCc1nc(-c2ccoc2)no1 ZINC000532700989 336267034 /nfs/dbraw/zinc/26/70/34/336267034.db2.gz SNPGSNFHSXAQKX-UONOGXRCSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@@H]1NCc1nc(-c2ccoc2)no1 ZINC000532700987 336267122 /nfs/dbraw/zinc/26/71/22/336267122.db2.gz SNPGSNFHSXAQKX-KBPBESRZSA-N 0 3 303.406 4.024 20 0 DIADHN CC(C)N(CC(=O)N[C@@H](C)c1cccc2ccccc21)C1CCC1 ZINC000532734946 336268638 /nfs/dbraw/zinc/26/86/38/336268638.db2.gz KCXJBFAKTGKKKD-INIZCTEOSA-N 0 3 324.468 4.280 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1cccc(Cl)c1 ZINC000192848563 336270054 /nfs/dbraw/zinc/27/00/54/336270054.db2.gz YBHVHNUWKRNBTM-NWDGAFQWSA-N 0 3 317.820 4.242 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1cc2ccccc2o1 ZINC000192848810 336270173 /nfs/dbraw/zinc/27/01/73/336270173.db2.gz GXSKBUIOCJTIKH-CHWSQXEVSA-N 0 3 323.396 4.335 20 0 DIADHN C[C@H]1CCN(Cc2csc(-c3ccccn3)n2)C[C@H](C)C1 ZINC000532779863 336270866 /nfs/dbraw/zinc/27/08/66/336270866.db2.gz NWAPQHSDAGXBGC-UONOGXRCSA-N 0 3 301.459 4.073 20 0 DIADHN C[C@@H]1CCN(Cc2csc(-c3ccccn3)n2)C[C@@H](C)C1 ZINC000532779862 336270994 /nfs/dbraw/zinc/27/09/94/336270994.db2.gz NWAPQHSDAGXBGC-KGLIPLIRSA-N 0 3 301.459 4.073 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1ccc2c(c1)OCCO2 ZINC000324904039 336272803 /nfs/dbraw/zinc/27/28/03/336272803.db2.gz WDCUOGXRCNNHPC-LSDHHAIUSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1nc(CCNCc2cscc2C(F)(F)F)sc1C ZINC000352837665 336273197 /nfs/dbraw/zinc/27/31/97/336273197.db2.gz PHTWIBSIJJYHTG-UHFFFAOYSA-N 0 3 320.405 4.173 20 0 DIADHN CSc1ccc(CN[C@H]2CCCOc3ccccc32)s1 ZINC000352839426 336274437 /nfs/dbraw/zinc/27/44/37/336274437.db2.gz KFOXGFYYIIVCBA-AWEZNQCLSA-N 0 3 305.468 4.474 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cc3ccccc3s2)cc1 ZINC000526944706 336275946 /nfs/dbraw/zinc/27/59/46/336275946.db2.gz YIKRZUZHDPSHKH-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN C[C@H](NCC(=O)NCCC(C)(C)C)c1cc2ccccc2s1 ZINC000526944808 336276040 /nfs/dbraw/zinc/27/60/40/336276040.db2.gz BNVSOSSWCHJRGX-ZDUSSCGKSA-N 0 3 318.486 4.104 20 0 DIADHN C[C@@H](c1nc(-c2cncc3ccccc32)no1)N1CCCCCC1 ZINC000526954065 336276531 /nfs/dbraw/zinc/27/65/31/336276531.db2.gz BRCFSTSKEZCTKI-AWEZNQCLSA-N 0 3 322.412 4.222 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NC1CC(OC(C)(C)C)C1 ZINC000352844627 336276542 /nfs/dbraw/zinc/27/65/42/336276542.db2.gz CLFDLFVERSIMMX-VMBOVVBDSA-N 0 3 303.446 4.008 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@@H](C)C1CCC1 ZINC000526972043 336276874 /nfs/dbraw/zinc/27/68/74/336276874.db2.gz NXZRWBUKBNJEAY-HNNXBMFYSA-N 0 3 304.503 4.144 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(C(F)F)cc1)c1ccsc1 ZINC000526974477 336277074 /nfs/dbraw/zinc/27/70/74/336277074.db2.gz FNHMRFFEOVROIM-HNNXBMFYSA-N 0 3 310.413 4.078 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(C2(CNCC3(F)CC3)CC2)c1 ZINC000526985109 336277495 /nfs/dbraw/zinc/27/74/95/336277495.db2.gz BNARBKKGQHCQSM-UHFFFAOYSA-N 0 3 321.745 4.482 20 0 DIADHN C[C@H](N[C@H](C(=O)Nc1ccccc1)c1ccccc1)C1CCC1 ZINC000526983165 336277916 /nfs/dbraw/zinc/27/79/16/336277916.db2.gz YDPWVUPRXQXDIJ-KXBFYZLASA-N 0 3 308.425 4.145 20 0 DIADHN Cc1cc2cc(CN3CCC(c4ncc[nH]4)CC3)oc2cc1C ZINC000352853003 336280145 /nfs/dbraw/zinc/28/01/45/336280145.db2.gz KGMDUDJPZOJICQ-UHFFFAOYSA-N 0 3 309.413 4.152 20 0 DIADHN C[C@@H](CN(C)Cc1cncn1Cc1ccccc1)c1ccccc1 ZINC000527074836 336282739 /nfs/dbraw/zinc/28/27/39/336282739.db2.gz OVNCDVRRZIFFBR-SFHVURJKSA-N 0 3 319.452 4.167 20 0 DIADHN C[C@H](N[C@H](c1cccc(F)c1)C1CCCC1)c1ccnn1C ZINC000192953189 336285874 /nfs/dbraw/zinc/28/58/74/336285874.db2.gz YCLJERPRQHDDTN-UGSOOPFHSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H]2CCCc3occc32)n1 ZINC000192959512 336286017 /nfs/dbraw/zinc/28/60/17/336286017.db2.gz KZDBCLYFYBKVHR-SFHVURJKSA-N 0 3 321.424 4.249 20 0 DIADHN CC1CCN([C@H](CNc2ccccn2)c2cccs2)CC1 ZINC000301056640 336287505 /nfs/dbraw/zinc/28/75/05/336287505.db2.gz LEBIOISGNBSMNO-OAHLLOKOSA-N 0 3 301.459 4.028 20 0 DIADHN Cc1cc(NCc2ccc(N3CCCC3)nc2)c2ccccc2n1 ZINC000301082673 336289037 /nfs/dbraw/zinc/28/90/37/336289037.db2.gz QRPUDIPRMFEULT-UHFFFAOYSA-N 0 3 318.424 4.151 20 0 DIADHN CCOc1cc2nccc(NCc3ccco3)c2cc1OCC ZINC000301155488 336292355 /nfs/dbraw/zinc/29/23/55/336292355.db2.gz CXMJQBHLXVHGLL-UHFFFAOYSA-N 0 3 312.369 4.237 20 0 DIADHN CCOc1cc2nccc(NCc3cccnc3)c2cc1OCC ZINC000301170561 336293122 /nfs/dbraw/zinc/29/31/22/336293122.db2.gz JLHBIYBZYATWNX-UHFFFAOYSA-N 0 3 323.396 4.039 20 0 DIADHN CCc1cc(NCCCc2c(C)noc2C)c2ccccc2n1 ZINC000301809067 336331628 /nfs/dbraw/zinc/33/16/28/336331628.db2.gz WSUQJXGODBNHEU-UHFFFAOYSA-N 0 3 309.413 4.447 20 0 DIADHN CCCc1cc(N2CCC[C@@H](c3cc[nH]n3)C2)c2ccccc2n1 ZINC000301991574 336341849 /nfs/dbraw/zinc/34/18/49/336341849.db2.gz PXIABLXAPVRGFH-OAHLLOKOSA-N 0 3 320.440 4.294 20 0 DIADHN CCc1cc(NCc2ccc(C(C)=O)c(C)n2)c2ccccc2n1 ZINC000302022216 336343677 /nfs/dbraw/zinc/34/36/77/336343677.db2.gz GAIOZAZYIPAJBX-UHFFFAOYSA-N 0 3 319.408 4.315 20 0 DIADHN CCN1CCCN(c2ccc3ccc(Cl)c(Cl)c3n2)CC1 ZINC000302025299 336343771 /nfs/dbraw/zinc/34/37/71/336343771.db2.gz PTKOYBYQRAOAMZ-UHFFFAOYSA-N 0 3 324.255 4.074 20 0 DIADHN CCc1c(C)nc2ccccc2c1NCc1ccccc1CO ZINC000302042023 336344966 /nfs/dbraw/zinc/34/49/66/336344966.db2.gz NYKMXBLNNIDNFT-UHFFFAOYSA-N 0 3 306.409 4.210 20 0 DIADHN CCc1c(C)nc2ccccc2c1N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000302056403 336345936 /nfs/dbraw/zinc/34/59/36/336345936.db2.gz QRZXBLPBGIVMSP-OAHLLOKOSA-N 0 3 320.440 4.213 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@@H](c4ccc(F)cc4)C3)c2c1 ZINC000302160322 336351522 /nfs/dbraw/zinc/35/15/22/336351522.db2.gz OWJMBQVMAYRWBD-HXUWFJFHSA-N 0 3 322.383 4.260 20 0 DIADHN Cc1ccc2nccc(N[C@H](CCCO)c3ccccc3)c2c1 ZINC000302169102 336352024 /nfs/dbraw/zinc/35/20/24/336352024.db2.gz TUQNWDYELCHOSY-GOSISDBHSA-N 0 3 306.409 4.469 20 0 DIADHN CC(=O)Nc1ccc(CCNc2ccnc3ccc(C)cc32)cc1 ZINC000302174383 336352461 /nfs/dbraw/zinc/35/24/61/336352461.db2.gz WKNJNHLUYZQDBF-UHFFFAOYSA-N 0 3 319.408 4.156 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)CCC(=O)c2ccc(F)cc2)C1 ZINC000352891074 336353508 /nfs/dbraw/zinc/35/35/08/336353508.db2.gz BLUDKVKLXCSZGC-HOTGVXAUSA-N 0 3 309.450 4.005 20 0 DIADHN Cc1ccc2nccc(N[C@@H]3CCO[C@]4(CCSC4)C3)c2c1 ZINC000302223807 336354798 /nfs/dbraw/zinc/35/47/98/336354798.db2.gz NUHZQXBUBUCZMN-RDTXWAMCSA-N 0 3 314.454 4.010 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC([C@@H]2CCCO2)CC1 ZINC000302575266 336361947 /nfs/dbraw/zinc/36/19/47/336361947.db2.gz RLMNEEQSGXIHDD-SFHVURJKSA-N 0 3 310.441 4.247 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2CCCC(F)(F)C2)sc1C ZINC000514114317 336366038 /nfs/dbraw/zinc/36/60/38/336366038.db2.gz FLLXTVZPZFMPMF-GFCCVEGCSA-N 0 3 302.434 4.410 20 0 DIADHN Cc1ccc2nccc(N[C@@H](c3nccc(C)n3)C3CC3)c2c1 ZINC000302804843 336369958 /nfs/dbraw/zinc/36/99/58/336369958.db2.gz FIOLGQSQBTYOPM-GOSISDBHSA-N 0 3 304.397 4.205 20 0 DIADHN Cc1cnc(NC[C@@H]2CCCN(C)[C@H]2c2cccs2)s1 ZINC000302887275 336373291 /nfs/dbraw/zinc/37/32/91/336373291.db2.gz NUGYWJDPBVPMFN-GXTWGEPZSA-N 0 3 307.488 4.008 20 0 DIADHN COc1ccccc1[C@H](C)NCc1ccc(O)c2nc(C)ccc12 ZINC000514134976 336374647 /nfs/dbraw/zinc/37/46/47/336374647.db2.gz UFUKUZLENDWKEB-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN COc1cc([C@H](C)NCCSC(C)C)ccc1OC(C)C ZINC000514130731 336375050 /nfs/dbraw/zinc/37/50/50/336375050.db2.gz OLXDADZFOIHUJA-AWEZNQCLSA-N 0 3 311.491 4.275 20 0 DIADHN COC[C@H](NCCSC(C)C)c1cccc(C(F)(F)F)c1 ZINC000514141250 336375076 /nfs/dbraw/zinc/37/50/76/336375076.db2.gz BZPYVQXARNOOJA-AWEZNQCLSA-N 0 3 321.408 4.124 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1cccn1)c1ccc(C)cc1 ZINC000320868002 336376012 /nfs/dbraw/zinc/37/60/12/336376012.db2.gz VUFLGDZSMRKAER-LJQANCHMSA-N 0 3 305.425 4.422 20 0 DIADHN CC[C@H](NCc1ccccc1-n1cccn1)c1ccc(C)cc1 ZINC000320868001 336376059 /nfs/dbraw/zinc/37/60/59/336376059.db2.gz VUFLGDZSMRKAER-IBGZPJMESA-N 0 3 305.425 4.422 20 0 DIADHN CCc1ccc([C@@H](C)Nc2cccc(OCCN(C)C)c2)s1 ZINC000314724098 336376064 /nfs/dbraw/zinc/37/60/64/336376064.db2.gz YXKIDHHZAORTFF-CQSZACIVSA-N 0 3 318.486 4.424 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](C)c1cc(C)ccc1OC(F)F ZINC000152436840 336376103 /nfs/dbraw/zinc/37/61/03/336376103.db2.gz YTVZNLAEGBNLIZ-KBPBESRZSA-N 0 3 315.404 4.046 20 0 DIADHN Cc1csc([C@H](C)CNCc2cscc2C(F)(F)F)n1 ZINC000352931099 336378095 /nfs/dbraw/zinc/37/80/95/336378095.db2.gz FCRSZFHXDASLJQ-MRVPVSSYSA-N 0 3 320.405 4.425 20 0 DIADHN COc1cc(CN2CCC[C@H](C)[C@@H]2C)c(SC)cc1OC ZINC000352962799 336379607 /nfs/dbraw/zinc/37/96/07/336379607.db2.gz MQMLYNOTSWJDOL-STQMWFEESA-N 0 3 309.475 4.046 20 0 DIADHN C/C(=C\c1ccccc1)CNC[C@]1(C)OCCc2sccc21 ZINC000563919856 336384216 /nfs/dbraw/zinc/38/42/16/336384216.db2.gz KAXIBVSCRXVNJG-QZEGLACASA-N 0 3 313.466 4.229 20 0 DIADHN Brc1cccc2c1CC[C@H]2NCc1ccsc1 ZINC000105491914 336387699 /nfs/dbraw/zinc/38/76/99/336387699.db2.gz IRZXGFYCTRWCJC-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(Cl)c1)c1c(C)nn(C)c1C ZINC000152468457 336388668 /nfs/dbraw/zinc/38/86/68/336388668.db2.gz DXQUGPKCDWTXQG-BZNIZROVSA-N 0 3 305.853 4.492 20 0 DIADHN COc1cc(CNC2(c3ccc(Cl)cc3)CC2)ccc1C ZINC000514651943 336392802 /nfs/dbraw/zinc/39/28/02/336392802.db2.gz DOYACEGCLYLMDG-UHFFFAOYSA-N 0 3 301.817 4.436 20 0 DIADHN Clc1ccc([C@@H]2OCC[C@@H]2N[C@@H]2CCCc3occc32)cc1 ZINC000193069526 336393055 /nfs/dbraw/zinc/39/30/55/336393055.db2.gz DRECZUMNBIAMHS-RYRKJORJSA-N 0 3 317.816 4.430 20 0 DIADHN C/C(=C\c1ccccc1)CNCc1cccc2c1OCCCO2 ZINC000532886490 336393325 /nfs/dbraw/zinc/39/33/25/336393325.db2.gz FBDVUFJFMCMMSK-DTQAZKPQSA-N 0 3 309.409 4.041 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000582252093 336394121 /nfs/dbraw/zinc/39/41/21/336394121.db2.gz UUJVMLRSDHISNX-VPWXQRGCSA-N 0 3 309.457 4.395 20 0 DIADHN COCc1ccc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)o1 ZINC000582254720 336396089 /nfs/dbraw/zinc/39/60/89/336396089.db2.gz POHVRHYAVMHWDC-JOCQHMNTSA-N 0 3 305.805 4.115 20 0 DIADHN c1cc(OCC2CC2)ccc1CN1CCC(OC2CCC2)CC1 ZINC000418091079 336399067 /nfs/dbraw/zinc/39/90/67/336399067.db2.gz MPGFLQPORHCTQV-UHFFFAOYSA-N 0 3 315.457 4.009 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC(OC4CCC4)CC3)cc2c1C ZINC000418094641 336399456 /nfs/dbraw/zinc/39/94/56/336399456.db2.gz SGQIRFIJSLLIIV-UHFFFAOYSA-N 0 3 312.457 4.318 20 0 DIADHN Fc1c(Cl)cccc1CNCC1(c2ccccn2)CCC1 ZINC000353090414 336400309 /nfs/dbraw/zinc/40/03/09/336400309.db2.gz ORTVKCDVLFEXSP-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H]1COCc2ccccc21 ZINC000418099264 336401466 /nfs/dbraw/zinc/40/14/66/336401466.db2.gz BWRVLVCVTMYXHL-HZBHDWBUSA-N 0 3 323.436 4.097 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1COCc2ccccc21 ZINC000418099272 336401469 /nfs/dbraw/zinc/40/14/69/336401469.db2.gz BWRVLVCVTMYXHL-NRFGWYQLSA-N 0 3 323.436 4.097 20 0 DIADHN Fc1cc(F)cc(C2CC(N[C@H]3COCc4ccccc43)C2)c1 ZINC000418099714 336401612 /nfs/dbraw/zinc/40/16/12/336401612.db2.gz CLPOWTWZKRCJEG-YVNUBYTISA-N 0 3 315.363 4.072 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnccc1N(C)C)CCC2 ZINC000353136532 336404146 /nfs/dbraw/zinc/40/41/46/336404146.db2.gz BDIKTWCSLTXUCC-FQEVSTJZSA-N 0 3 323.484 4.438 20 0 DIADHN COc1ccccc1/C=C\CN[C@@H]1CCOc2c(C)cccc21 ZINC000353222827 336407056 /nfs/dbraw/zinc/40/70/56/336407056.db2.gz HHKYXFFOFVCFNJ-OTIVFRFGSA-N 0 3 309.409 4.130 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NCc1cc(C)c2ncccc2c1 ZINC000353239068 336407724 /nfs/dbraw/zinc/40/77/24/336407724.db2.gz QSGYUMUFUSLMTO-IBGZPJMESA-N 0 3 318.420 4.465 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@H](C)c1nccc2ccccc21 ZINC000353243568 336407958 /nfs/dbraw/zinc/40/79/58/336407958.db2.gz RIDSNHZCGHKSEY-TZMCWYRMSA-N 0 3 309.413 4.121 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccc(F)cc21)c1ccc2n[nH]cc2c1 ZINC000353322839 336411832 /nfs/dbraw/zinc/41/18/32/336411832.db2.gz DILNTVJVKBUELN-BUXKBTBVSA-N 0 3 309.388 4.430 20 0 DIADHN CC[C@H](NCc1ccn(C)c1)c1ccc(OC(F)(F)F)cc1 ZINC000353523422 336422329 /nfs/dbraw/zinc/42/23/29/336422329.db2.gz UFFKNROYXCIBMN-HNNXBMFYSA-N 0 3 312.335 4.165 20 0 DIADHN COc1ccccc1CN1CCC(OC2CCC(C)CC2)CC1 ZINC000353531133 336422850 /nfs/dbraw/zinc/42/28/50/336422850.db2.gz UVMFKOWYTVYAHH-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN Cc1ncc(CNCCCO[C@@H]2CCCc3ccccc32)s1 ZINC000113955724 336423084 /nfs/dbraw/zinc/42/30/84/336423084.db2.gz SGQQCWFEHCZBQK-GOSISDBHSA-N 0 3 316.470 4.025 20 0 DIADHN CC[C@@]1(CN[C@H]2CCCc3occc32)OCCc2ccccc21 ZINC000353568971 336425741 /nfs/dbraw/zinc/42/57/41/336425741.db2.gz QGWMLYRZFXAOFY-ICSRJNTNSA-N 0 3 311.425 4.125 20 0 DIADHN CC[C@@]1(CN[C@@H]2CCCc3occc32)OCCc2ccccc21 ZINC000353568974 336425800 /nfs/dbraw/zinc/42/58/00/336425800.db2.gz QGWMLYRZFXAOFY-QUCCMNQESA-N 0 3 311.425 4.125 20 0 DIADHN COc1ccc([C@H](C)NC2CC(c3ccccc3F)C2)cc1O ZINC000353594833 336427610 /nfs/dbraw/zinc/42/76/10/336427610.db2.gz HSSYIUSUDCBWCF-GRTSSRMGSA-N 0 3 315.388 4.137 20 0 DIADHN C[C@]1(CN[C@H]2CCCc3sccc32)OCCc2sccc21 ZINC000353650834 336431711 /nfs/dbraw/zinc/43/17/11/336431711.db2.gz RWJDFGHLLFWABH-WMLDXEAASA-N 0 3 319.495 4.265 20 0 DIADHN CCC[C@H](NCc1ccc(C[S@](C)=O)cc1)c1ccccc1 ZINC000353811675 336441754 /nfs/dbraw/zinc/44/17/54/336441754.db2.gz FJTKIMPUEZGDGS-UGKGYDQZSA-N 0 3 315.482 4.196 20 0 DIADHN Cc1cccc(CCCN[C@@H](C)c2cc(F)c(F)c(F)c2)n1 ZINC000353930781 336449383 /nfs/dbraw/zinc/44/93/83/336449383.db2.gz SKISHKHQZRBZGM-LBPRGKRZSA-N 0 3 308.347 4.091 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCC1(C(F)(F)F)CC1)CCS2 ZINC000353948874 336450783 /nfs/dbraw/zinc/45/07/83/336450783.db2.gz XXJNOQUAILLCSX-LLVKDONJSA-N 0 3 305.340 4.295 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCC2(C(F)(F)F)CCC2)s1 ZINC000353955764 336451098 /nfs/dbraw/zinc/45/10/98/336451098.db2.gz LLIIHMDCIZOIIH-SECBINFHSA-N 0 3 306.397 4.397 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCC1(C(F)(F)F)CCC1)CCC2 ZINC000353960230 336451436 /nfs/dbraw/zinc/45/14/36/336451436.db2.gz VEBJBRVSSXOLBN-HNNXBMFYSA-N 0 3 313.363 4.395 20 0 DIADHN C[C@@H](N[C@H]1CCSc2ccccc21)c1ccc2n[nH]cc2c1 ZINC000353980263 336452389 /nfs/dbraw/zinc/45/23/89/336452389.db2.gz UEHAGOKQAFBFAU-PXAZEXFGSA-N 0 3 309.438 4.451 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353999300 336453444 /nfs/dbraw/zinc/45/34/44/336453444.db2.gz ZZDHOGDKOCLHST-WDEREUQCSA-N 0 3 314.458 4.302 20 0 DIADHN OCC[C@H](N[C@@H]1CCC12CCC2)c1ccc(Cl)c(Cl)c1 ZINC000354018715 336454476 /nfs/dbraw/zinc/45/44/76/336454476.db2.gz RSFJJTOMSRMANQ-LSDHHAIUSA-N 0 3 314.256 4.339 20 0 DIADHN FC(F)(F)c1ccccc1C1CCN(Cc2ccccn2)CC1 ZINC000354078481 336458075 /nfs/dbraw/zinc/45/80/75/336458075.db2.gz SIBYKCIKOOBGMA-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(C)cc1 ZINC000354461820 336471512 /nfs/dbraw/zinc/47/15/12/336471512.db2.gz TXXUMQMDIFWANP-SJLPKXTDSA-N 0 3 304.478 4.070 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(C)cc1 ZINC000354461812 336471598 /nfs/dbraw/zinc/47/15/98/336471598.db2.gz TXXUMQMDIFWANP-AEFFLSMTSA-N 0 3 304.478 4.070 20 0 DIADHN CC[C@H](O)CCNCc1c(Cl)cccc1SC(C)C ZINC000354517088 336473393 /nfs/dbraw/zinc/47/33/93/336473393.db2.gz WMPFAZWERSWILW-LBPRGKRZSA-N 0 3 301.883 4.091 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@H](C)c1ccccn1 ZINC000354964566 336489294 /nfs/dbraw/zinc/48/92/94/336489294.db2.gz YSCBERWZHQOTIH-IGCXYCKISA-N 0 3 304.821 4.162 20 0 DIADHN FC(F)C1(CNCc2cnc(-c3ccsc3)s2)CC1 ZINC000355132946 336495985 /nfs/dbraw/zinc/49/59/85/336495985.db2.gz ARYWMLZVIPLBLT-UHFFFAOYSA-N 0 3 300.399 4.007 20 0 DIADHN Fc1ccc(CCNCc2nc(C3CC3)cs2)c(Cl)c1 ZINC000356145249 336538182 /nfs/dbraw/zinc/53/81/82/336538182.db2.gz WAUGJMJDKSRWHJ-UHFFFAOYSA-N 0 3 310.825 4.145 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cccc2[nH]ccc21 ZINC000356882766 336564672 /nfs/dbraw/zinc/56/46/72/336564672.db2.gz UOKDVZIPZRFTJE-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](C)c2cnccc2C)cc1OC ZINC000357778286 336597867 /nfs/dbraw/zinc/59/78/67/336597867.db2.gz NBHFFVLKQUOWOX-GJZGRUSLSA-N 0 3 314.429 4.209 20 0 DIADHN CC[C@@H](NCc1ccnc(SC)c1)c1cccc(OC)c1 ZINC000357841217 336600943 /nfs/dbraw/zinc/60/09/43/336600943.db2.gz OMDSLWDZOQFTLP-MRXNPFEDSA-N 0 3 302.443 4.053 20 0 DIADHN CCc1nn(C)cc1CN[C@H]1CCCCc2ccc(Cl)cc21 ZINC000357846478 336601238 /nfs/dbraw/zinc/60/12/38/336601238.db2.gz CLNLKHRWEVTDOC-SFHVURJKSA-N 0 3 317.864 4.193 20 0 DIADHN CC[C@H](N[C@H]1CCc2cc(C)ccc21)c1ccc2c(c1)OCCO2 ZINC000358013795 336606030 /nfs/dbraw/zinc/60/60/30/336606030.db2.gz CFODENNLUFPMJQ-OALUTQOASA-N 0 3 323.436 4.494 20 0 DIADHN CC(C)C[C@H](N[C@H](C)CN1CCCC1=O)c1ccc(Cl)cc1 ZINC000358014828 336606123 /nfs/dbraw/zinc/60/61/23/336606123.db2.gz COAWAUWSNJZVCM-PBHICJAKSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCc1ccccc1N(C)C)CCCO2 ZINC000358050392 336608624 /nfs/dbraw/zinc/60/86/24/336608624.db2.gz TVPBCMBGQMRLNB-LJQANCHMSA-N 0 3 324.468 4.373 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H]1CCSc2ccccc21 ZINC000358088760 336610947 /nfs/dbraw/zinc/61/09/47/336610947.db2.gz QMLATUATYQLTAV-AEFFLSMTSA-N 0 3 313.466 4.071 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCCOCC1CC1)CCCS2 ZINC000358092857 336611214 /nfs/dbraw/zinc/61/12/14/336611214.db2.gz HTVTXDSNWYAEMJ-OAHLLOKOSA-N 0 3 311.878 4.283 20 0 DIADHN Cc1ccc([C@H](C)NCCCOc2cccc3cccnc32)o1 ZINC000358143440 336613014 /nfs/dbraw/zinc/61/30/14/336613014.db2.gz TZOWPKNLWGMONR-HNNXBMFYSA-N 0 3 310.397 4.256 20 0 DIADHN C[C@H](N[C@@H]1CCCC1(C)C)c1nc(-c2ccncc2)cs1 ZINC000358145967 336613145 /nfs/dbraw/zinc/61/31/45/336613145.db2.gz AURBXTRUCLILRS-SWLSCSKDSA-N 0 3 301.459 4.434 20 0 DIADHN CC[C@@H](O)CCCN[C@@H]1CCCOc2c(C)cc(Cl)cc21 ZINC000358166071 336614408 /nfs/dbraw/zinc/61/44/08/336614408.db2.gz KMSBEUVRMXGSCY-GDBMZVCRSA-N 0 3 311.853 4.003 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CN[C@@H]1C[C@@H](C)Sc2sccc21 ZINC000358215343 336617231 /nfs/dbraw/zinc/61/72/31/336617231.db2.gz FCDOJNDLEJKSNZ-MRVWCRGKSA-N 0 3 313.532 4.306 20 0 DIADHN CO[C@H](CN[C@@H]1CC2(CCC2)Oc2ccccc21)c1ccccc1 ZINC000358213992 336617247 /nfs/dbraw/zinc/61/72/47/336617247.db2.gz CSNWURBOPWWBKH-UYAOXDASSA-N 0 3 323.436 4.410 20 0 DIADHN CO[C@@H](CN[C@H]1CCCOc2ccc(C)cc21)c1ccccc1 ZINC000358227549 336617998 /nfs/dbraw/zinc/61/79/98/336617998.db2.gz UNRGGYWAJYPNEJ-ICSRJNTNSA-N 0 3 311.425 4.186 20 0 DIADHN Cc1cc(C)c2c(c1)[C@H](NCc1ccc3c(c1)COC3)CCCO2 ZINC000358293101 336622006 /nfs/dbraw/zinc/62/20/06/336622006.db2.gz IHKISHHWXHOTLC-HXUWFJFHSA-N 0 3 323.436 4.337 20 0 DIADHN CC[C@H](N[C@H](CC(F)(F)F)c1ccc(F)cc1)[C@@H]1CCOC1 ZINC000358298725 336622478 /nfs/dbraw/zinc/62/24/78/336622478.db2.gz MRGAODCCGJCCEM-VHDGCEQUSA-N 0 3 319.342 4.224 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)COC2)c1cc(C)ccc1OC ZINC000358303462 336622753 /nfs/dbraw/zinc/62/27/53/336622753.db2.gz QYYPZDNEPUOQMU-LJQANCHMSA-N 0 3 311.425 4.275 20 0 DIADHN FC(F)(F)CSCCN[C@@H]1CCSc2ccccc21 ZINC000358330670 336624479 /nfs/dbraw/zinc/62/44/79/336624479.db2.gz RSDKOAALYOVUJB-LLVKDONJSA-N 0 3 307.406 4.109 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(F)cc1N(C)C ZINC000358353311 336625715 /nfs/dbraw/zinc/62/57/15/336625715.db2.gz KHSJEZPSORFBQE-BFUOFWGJSA-N 0 3 313.420 4.089 20 0 DIADHN CC(=O)N1CC[C@H](N[C@H]2c3ccccc3C[C@@H]2C)c2ccccc21 ZINC000358356884 336625839 /nfs/dbraw/zinc/62/58/39/336625839.db2.gz PXIWSTRFQHCTIJ-ONTRVFCTSA-N 0 3 320.436 4.007 20 0 DIADHN CC[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000358359218 336626063 /nfs/dbraw/zinc/62/60/63/336626063.db2.gz SWFSFHKXFGGDBW-XLIONFOSSA-N 0 3 324.424 4.045 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H](c2cccnc2)C2CC2)s1 ZINC000358365196 336626503 /nfs/dbraw/zinc/62/65/03/336626503.db2.gz IMHBFBKUFJRVDQ-MLGOLLRUSA-N 0 3 301.459 4.211 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@H](c1cccnc1)C1CC1)CCC2 ZINC000358365283 336626514 /nfs/dbraw/zinc/62/65/14/336626514.db2.gz INUMPHXIHBSALK-RDJZCZTQSA-N 0 3 313.470 4.219 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](c1cccnc1)C1CC1)CCC2 ZINC000358365270 336626604 /nfs/dbraw/zinc/62/66/04/336626604.db2.gz INUMPHXIHBSALK-DOTOQJQBSA-N 0 3 313.470 4.219 20 0 DIADHN CC(C)C[C@H](N[C@H]1CCCc2nn(C)cc21)c1cccs1 ZINC000358743436 336641528 /nfs/dbraw/zinc/64/15/28/336641528.db2.gz CMAJGJJBIWIYKM-HOCLYGCPSA-N 0 3 303.475 4.236 20 0 DIADHN FC(F)C1(CN[C@H]2CCCOc3c(Cl)cccc32)CC1 ZINC000358995263 336651932 /nfs/dbraw/zinc/65/19/32/336651932.db2.gz CRDDCEXNCRZEIR-LBPRGKRZSA-N 0 3 301.764 4.189 20 0 DIADHN CCOc1ccc([C@H](C)NCC2(C(F)F)CC2)cc1OCC ZINC000358997710 336652205 /nfs/dbraw/zinc/65/22/05/336652205.db2.gz HCEDOGDEUVCNBR-LBPRGKRZSA-N 0 3 313.388 4.180 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@H]1CCCc2nn(-c3ccccc3)cc21 ZINC000359008793 336652947 /nfs/dbraw/zinc/65/29/47/336652947.db2.gz XVCRLBRAUAMXRA-WFASDCNBSA-N 0 3 323.362 4.180 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H](CO)CCC(C)(C)C)CCS2 ZINC000359045562 336654943 /nfs/dbraw/zinc/65/49/43/336654943.db2.gz IXMXSWODORUSLC-XJKSGUPXSA-N 0 3 323.502 4.009 20 0 DIADHN CCC[C@H](CC)N[C@@H](CCO)c1ccccc1Br ZINC000359224522 336663583 /nfs/dbraw/zinc/66/35/83/336663583.db2.gz FAHPBKNLQHDQSC-WFASDCNBSA-N 0 3 314.267 4.041 20 0 DIADHN CC(C)[C@H](NCc1ccsc1)c1ccc2[nH]c(=O)oc2c1 ZINC000359273509 336665278 /nfs/dbraw/zinc/66/52/78/336665278.db2.gz BRTKTCKRCGZWBU-HNNXBMFYSA-N 0 3 302.399 4.082 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@@H]1CCCc2nc(C)sc21 ZINC000359297468 336666328 /nfs/dbraw/zinc/66/63/28/336666328.db2.gz DKMIXHKFKFKUMA-IAQYHMDHSA-N 0 3 301.459 4.137 20 0 DIADHN COCCN[C@H](CCSc1ccccc1)c1ccc(F)cc1 ZINC000359364137 336669456 /nfs/dbraw/zinc/66/94/56/336669456.db2.gz DAZNIDXFAWKCIB-GOSISDBHSA-N 0 3 319.445 4.285 20 0 DIADHN CC[C@H](NC[C@@H](c1ccco1)N(C)C)c1cccc(Cl)c1F ZINC000359437684 336673079 /nfs/dbraw/zinc/67/30/79/336673079.db2.gz YTFQOFUTPCTQJF-GJZGRUSLSA-N 0 3 324.827 4.416 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCCSCc1ccccc1)CCO2 ZINC000359519102 336675787 /nfs/dbraw/zinc/67/57/87/336675787.db2.gz UAZREXZHALSOCN-KRWDZBQOSA-N 0 3 317.429 4.172 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NC[C@H](c1ccccc1)N(C)C ZINC000359582661 336678704 /nfs/dbraw/zinc/67/87/04/336678704.db2.gz GQZNXJGLZHMINS-WOJBJXKFSA-N 0 3 324.468 4.101 20 0 DIADHN Cc1ccc2c(c1)[C@H](NC[C@@H](c1ccccc1)N(C)C)CCCO2 ZINC000359593892 336679482 /nfs/dbraw/zinc/67/94/82/336679482.db2.gz QBRWUELNSAGLPK-UXHICEINSA-N 0 3 324.468 4.101 20 0 DIADHN CN(C)[C@H](CN[C@@H]1CC(C)(C)Cc2occc21)c1ccsc1 ZINC000359686861 336683540 /nfs/dbraw/zinc/68/35/40/336683540.db2.gz NVPBXOQMMMGZNO-HZPDHXFCSA-N 0 3 318.486 4.247 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C(C)C)nc1)c1ccc(C)cc1 ZINC000359716528 336684873 /nfs/dbraw/zinc/68/48/73/336684873.db2.gz GYWGDCBLGRKAIQ-IBGZPJMESA-N 0 3 311.473 4.476 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1nccn1-c1ccccc1 ZINC000359765670 336686983 /nfs/dbraw/zinc/68/69/83/336686983.db2.gz MKFKQNFYIHFWKW-IRXDYDNUSA-N 0 3 315.486 4.026 20 0 DIADHN Cc1csc([C@@H](C)NC2CC(c3cc(F)cc(F)c3)C2)n1 ZINC000367362766 336690298 /nfs/dbraw/zinc/69/02/98/336690298.db2.gz ZJUSETDQFWGRQW-CKQAKLJMSA-N 0 3 308.397 4.327 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)C(=O)c1cccc(CN(C)C)c1 ZINC000360135583 336702483 /nfs/dbraw/zinc/70/24/83/336702483.db2.gz JYMVAIFFTQNUAN-QGZVFWFLSA-N 0 3 324.468 4.189 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCOc2ccc(F)cc21 ZINC000360247554 336706168 /nfs/dbraw/zinc/70/61/68/336706168.db2.gz CGUBQJJFIAFKAF-SJKOYZFVSA-N 0 3 303.352 4.009 20 0 DIADHN c1cn(-c2ccccc2CN[C@@H]2CCCCc3ccccc32)cn1 ZINC000360588899 336715499 /nfs/dbraw/zinc/71/54/99/336715499.db2.gz MGRGWDKPXQFJPA-HXUWFJFHSA-N 0 3 317.436 4.430 20 0 DIADHN CC1(C)CC[C@H](N[C@@H](CO)c2cccc(F)c2)c2ccccc21 ZINC000360662054 336718058 /nfs/dbraw/zinc/71/80/58/336718058.db2.gz DMBITSIMVSDODF-OALUTQOASA-N 0 3 313.416 4.261 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1NCc1cc(F)cc2cccnc21 ZINC000360724891 336720442 /nfs/dbraw/zinc/72/04/42/336720442.db2.gz GIAYKPUJZPPPNA-MSOLQXFVSA-N 0 3 316.420 4.057 20 0 DIADHN Fc1ccc2[nH]cc(CCNCc3sccc3Cl)c2c1 ZINC000360766875 336721568 /nfs/dbraw/zinc/72/15/68/336721568.db2.gz SUABEZMPMMYBBU-UHFFFAOYSA-N 0 3 308.809 4.354 20 0 DIADHN Fc1ccccc1[C@H]1CC[C@@H](NCc2ncc(Cl)s2)C1 ZINC000360771766 336721688 /nfs/dbraw/zinc/72/16/88/336721688.db2.gz JXXOVWMYFYCEMV-WDEREUQCSA-N 0 3 310.825 4.362 20 0 DIADHN CNC(=O)C[C@H](N[C@@H]1C[C@H](C)c2c1cccc2C)c1ccccc1 ZINC000360920944 336727461 /nfs/dbraw/zinc/72/74/61/336727461.db2.gz SDJSMOLKQXWOOL-ZYSHUDEJSA-N 0 3 322.452 4.010 20 0 DIADHN CNC(=O)C[C@H](N[C@H]1CC[C@H](C)c2ccccc21)c1ccccc1 ZINC000360922550 336727673 /nfs/dbraw/zinc/72/76/73/336727673.db2.gz RPGSULOOVLBTEK-YSSFQJQWSA-N 0 3 322.452 4.092 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CN[C@H](C)c1csc(C)n1 ZINC000361000582 336731951 /nfs/dbraw/zinc/73/19/51/336731951.db2.gz YYEHUUBJDILYSI-DOMZBBRYSA-N 0 3 316.470 4.231 20 0 DIADHN C[C@H]1CC[C@H](NCc2c3c(nn2C)CCCC3)c2ccccc21 ZINC000361003188 336732174 /nfs/dbraw/zinc/73/21/74/336732174.db2.gz NMLSTFZZUQAKQV-KSSFIOAISA-N 0 3 309.457 4.027 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@@H](O)C2CCCCC2)c2ccsc2S1 ZINC000361023260 336733869 /nfs/dbraw/zinc/73/38/69/336733869.db2.gz LZBIRUKHGQANMU-KCPJHIHWSA-N 0 3 311.516 4.204 20 0 DIADHN Cc1ccc(C2CCN(CCCOc3ccccc3)CC2)cn1 ZINC000361057951 336735904 /nfs/dbraw/zinc/73/59/04/336735904.db2.gz APSMMDWBZUQDOA-UHFFFAOYSA-N 0 3 310.441 4.039 20 0 DIADHN Cc1ccc(C2CCN(CCO[C@H]3CCCC[C@H]3C)CC2)cn1 ZINC000361061457 336736173 /nfs/dbraw/zinc/73/61/73/336736173.db2.gz ZQTCBDJGASOPBI-UZLBHIALSA-N 0 3 316.489 4.165 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1cc(F)cc2cccnc21 ZINC000361133281 336740246 /nfs/dbraw/zinc/74/02/46/336740246.db2.gz WAJXYDGWHXZDBH-ZDUSSCGKSA-N 0 3 309.388 4.182 20 0 DIADHN C[C@@H](CCc1ccsc1)N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361187056 336743291 /nfs/dbraw/zinc/74/32/91/336743291.db2.gz NSYVNCIPUFRPPB-XHDPSFHLSA-N 0 3 323.408 4.461 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@@H]2NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000361237456 336746023 /nfs/dbraw/zinc/74/60/23/336746023.db2.gz OTULQUNGBJIHGC-VBQJREDUSA-N 0 3 315.363 4.182 20 0 DIADHN C[C@@](O)(CN[C@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000361350093 336752782 /nfs/dbraw/zinc/75/27/82/336752782.db2.gz BXXPUFOVIMUEOE-IINYFYTJSA-N 0 3 320.285 4.183 20 0 DIADHN c1ccc(N2CCC[C@@H](N[C@H]3CCCOc4ccccc43)C2)cc1 ZINC000361485788 336758867 /nfs/dbraw/zinc/75/88/67/336758867.db2.gz PBLCVTYLTPDQGA-XLIONFOSSA-N 0 3 322.452 4.159 20 0 DIADHN C[C@H](NCc1cccc(-n2ccnc2)c1)c1cc(F)cc(F)c1 ZINC000361496959 336759546 /nfs/dbraw/zinc/75/95/46/336759546.db2.gz ODGDJMVOBGUVNV-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccccc1-n1cccn1)c1ccncc1 ZINC000361763953 336772069 /nfs/dbraw/zinc/77/20/69/336772069.db2.gz JXKRFRJYGAVFLW-MAUKXSAKSA-N 0 3 306.413 4.069 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H](CCO)c1ccccc1 ZINC000361893758 336777148 /nfs/dbraw/zinc/77/71/48/336777148.db2.gz ZCSSLQGUWINZDL-CJNGLKHVSA-N 0 3 318.486 4.349 20 0 DIADHN C[C@@H]1C[C@H](NCCCNc2ccccn2)c2ccsc2S1 ZINC000361928251 336778578 /nfs/dbraw/zinc/77/85/78/336778578.db2.gz VUJQWDGJAZIHFK-OCCSQVGLSA-N 0 3 319.499 4.160 20 0 DIADHN COCC[C@@H](N[C@H]1CCCc2c(O)cccc21)c1ccccc1 ZINC000361930871 336778679 /nfs/dbraw/zinc/77/86/79/336778679.db2.gz RXGWPIKOFRQAEU-MOPGFXCFSA-N 0 3 311.425 4.137 20 0 DIADHN c1cnn(-c2ccc(CN3CCC(c4ccsc4)CC3)cc2)c1 ZINC000362604335 336806161 /nfs/dbraw/zinc/80/61/61/336806161.db2.gz RZXZZDDNEOWKCH-UHFFFAOYSA-N 0 3 323.465 4.313 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1ccc2ccccc2c1 ZINC000368497839 336846166 /nfs/dbraw/zinc/84/61/66/336846166.db2.gz BVSBNPQDOXVGIK-HNNXBMFYSA-N 0 3 305.425 4.223 20 0 DIADHN Cc1cnc(C2CCN(CCCCC(F)(F)F)CC2)s1 ZINC000369734989 336870773 /nfs/dbraw/zinc/87/07/73/336870773.db2.gz BFJWBHKVJCPHPJ-UHFFFAOYSA-N 0 3 306.397 4.364 20 0 DIADHN Cc1ccc([C@@H](N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c2ccccn2)cc1 ZINC000370033417 336876243 /nfs/dbraw/zinc/87/62/43/336876243.db2.gz PHAVIARUXRHAQQ-YNVMFWSZSA-N 0 3 310.441 4.025 20 0 DIADHN CC[C@@H](N[C@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000119121025 336880555 /nfs/dbraw/zinc/88/05/55/336880555.db2.gz VKLIVEDERADWKV-MSOLQXFVSA-N 0 3 309.409 4.186 20 0 DIADHN CCO[C@H](CN[C@@H]1CCCc2nc(C)sc21)c1ccccc1 ZINC000193430140 336896454 /nfs/dbraw/zinc/89/64/54/336896454.db2.gz BDHXKMYTNIKWSD-NVXWUHKLSA-N 0 3 316.470 4.196 20 0 DIADHN CCc1ccc([C@H](CC)NCc2ccc(NC(C)=O)cc2)cc1 ZINC000120191820 336900800 /nfs/dbraw/zinc/90/08/00/336900800.db2.gz CUPYCGGQCQISQM-FQEVSTJZSA-N 0 3 310.441 4.448 20 0 DIADHN Cn1cc(-c2ccccc2CN[C@@H]2CCCc3sccc32)cn1 ZINC000371432499 336903948 /nfs/dbraw/zinc/90/39/48/336903948.db2.gz RUORIBOFOKZESG-GOSISDBHSA-N 0 3 323.465 4.316 20 0 DIADHN COc1ccc([C@H](CCO)N[C@@H](C)c2cc(C)ccc2C)cc1 ZINC000120365864 336909156 /nfs/dbraw/zinc/90/91/56/336909156.db2.gz SUUZCCDFTZIZAU-JXFKEZNVSA-N 0 3 313.441 4.086 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1cc(Cl)ccc1OC(F)F ZINC000484469851 339686679 /nfs/dbraw/zinc/68/66/79/339686679.db2.gz CLXNWKAFJLKVDC-ONGXEEELSA-N 0 3 321.820 4.488 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)Nc1ccc(F)cc1Cl ZINC000007379164 336927114 /nfs/dbraw/zinc/92/71/14/336927114.db2.gz LDOWAYQNCDOZAY-NWDGAFQWSA-N 0 3 320.795 4.157 20 0 DIADHN C[C@@H](CCOCc1ccccc1)NCc1cc(F)c(F)c(F)c1 ZINC000389972476 336930112 /nfs/dbraw/zinc/93/01/12/336930112.db2.gz XHLSTSRECNONEL-ZDUSSCGKSA-N 0 3 323.358 4.189 20 0 DIADHN c1ccc([C@@H](NC[C@H]2Cc3ccccc32)c2ccccn2)cc1 ZINC000193444590 336930151 /nfs/dbraw/zinc/93/01/51/336930151.db2.gz SZCKOMZNABSHTO-WIYYLYMNSA-N 0 3 300.405 4.101 20 0 DIADHN Cc1cc([C@@H](N[C@H](C)CO)c2cccc(Cl)c2)c(C)s1 ZINC000582389897 336953565 /nfs/dbraw/zinc/95/35/65/336953565.db2.gz IOWWCGVNMMWWQO-HWPZZCPQSA-N 0 3 309.862 4.078 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@H]2CCOC2)cc1 ZINC000374483060 336990161 /nfs/dbraw/zinc/99/01/61/336990161.db2.gz UOIIOKYKABBSTF-PKOBYXMFSA-N 0 3 313.466 4.276 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)CC2 ZINC000374490320 336990478 /nfs/dbraw/zinc/99/04/78/336990478.db2.gz XAJMFLDSIIAROV-AHRSYUTCSA-N 0 3 311.400 4.180 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2cscc2Br)C1 ZINC000304531826 336996948 /nfs/dbraw/zinc/99/69/48/336996948.db2.gz HAKQHPJYQCMTRY-WDEREUQCSA-N 0 3 320.321 4.274 20 0 DIADHN OCCCCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000375113664 337003622 /nfs/dbraw/zinc/00/36/22/337003622.db2.gz JRJCODCMNKHLCL-OAHLLOKOSA-N 0 3 301.352 4.005 20 0 DIADHN COc1cccc([C@@H](C)N2CCC(F)(c3cccnc3)CC2)c1 ZINC000375349788 337008730 /nfs/dbraw/zinc/00/87/30/337008730.db2.gz PNOZSFLSKKTXNO-OAHLLOKOSA-N 0 3 314.404 4.112 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@H](c1cccnc1)C1CCC1)CCC2 ZINC000375637535 337015423 /nfs/dbraw/zinc/01/54/23/337015423.db2.gz XNPOJJLEJPGUBW-OALUTQOASA-N 0 3 324.472 4.367 20 0 DIADHN c1c[nH]c([C@@H](NCC2(c3ccccn3)CCC2)C2CCCCC2)n1 ZINC000376135873 337028028 /nfs/dbraw/zinc/02/80/28/337028028.db2.gz ZSYJOFKGDQEGRA-SFHVURJKSA-N 0 3 324.472 4.138 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cncs1 ZINC000122990997 337031546 /nfs/dbraw/zinc/03/15/46/337031546.db2.gz RMMURQHWWUUMPH-YGRLFVJLSA-N 0 3 324.396 4.473 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H](C)c1cccc(CO)c1 ZINC000305641213 337031586 /nfs/dbraw/zinc/03/15/86/337031586.db2.gz KAKIZDLLMHYLGF-CYBMUJFWSA-N 0 3 319.445 4.032 20 0 DIADHN CCSc1ccccc1[C@@H](C)N[C@H]1CCCc2cn[nH]c21 ZINC000376488792 337034801 /nfs/dbraw/zinc/03/48/01/337034801.db2.gz ANRJUFKUEHDJOC-DOMZBBRYSA-N 0 3 301.459 4.250 20 0 DIADHN c1ccc(COc2ccc(CN3CCC34CCOCC4)cc2)cc1 ZINC000376500062 337035213 /nfs/dbraw/zinc/03/52/13/337035213.db2.gz JAVRFAWDTZAOIE-UHFFFAOYSA-N 0 3 323.436 4.021 20 0 DIADHN C[C@@H](c1cnccn1)N1CCC(c2c[nH]c3ccc(F)cc32)CC1 ZINC000376835168 337042432 /nfs/dbraw/zinc/04/24/32/337042432.db2.gz SBLYRQXHUAHBGF-ZDUSSCGKSA-N 0 3 324.403 4.038 20 0 DIADHN C[C@@H](NCC1CCCCC1)c1nc(-c2ccncc2)cs1 ZINC000123390155 337045497 /nfs/dbraw/zinc/04/54/97/337045497.db2.gz CPWUEAAIWLEMHD-CYBMUJFWSA-N 0 3 301.459 4.436 20 0 DIADHN Cc1cc(C)cc([C@@H](NC[C@H]2CCSC2)c2cccnc2)c1 ZINC000377081852 337046536 /nfs/dbraw/zinc/04/65/36/337046536.db2.gz JKYZNKCPVAUONG-APWZRJJASA-N 0 3 312.482 4.131 20 0 DIADHN CC[C@H](NC1CC(c2cc(F)cc(F)c2)C1)c1nccs1 ZINC000377410465 337052290 /nfs/dbraw/zinc/05/22/90/337052290.db2.gz JTLQEEIHQCTYMK-KWCHVYNWSA-N 0 3 308.397 4.408 20 0 DIADHN C[C@H](O)c1ncc(CN2CCCC3(C2)CCCCCC3)s1 ZINC000377612542 337056137 /nfs/dbraw/zinc/05/61/37/337056137.db2.gz BASMQIGFEGBARD-AWEZNQCLSA-N 0 3 308.491 4.133 20 0 DIADHN C[C@H](O)c1ncc(CN2CCCC3(CCCCC3)CC2)s1 ZINC000377630830 337056917 /nfs/dbraw/zinc/05/69/17/337056917.db2.gz TXSFXCFBCYUFCK-AWEZNQCLSA-N 0 3 308.491 4.133 20 0 DIADHN CCC[C@H](NC[C@@]1(C)OCCc2sccc21)c1cccnc1 ZINC000377743095 337059901 /nfs/dbraw/zinc/05/99/01/337059901.db2.gz LNJPPOVCZVFEDE-FUHWJXTLSA-N 0 3 316.470 4.062 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@H]2CC[C@@H](c3ccccc3)O2)s1 ZINC000377799449 337061561 /nfs/dbraw/zinc/06/15/61/337061561.db2.gz UZWLMORXZSLIEN-JLZZUVOBSA-N 0 3 316.470 4.331 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@H]2CC[C@@H](c3ccccc3)O2)s1 ZINC000377799450 337061568 /nfs/dbraw/zinc/06/15/68/337061568.db2.gz UZWLMORXZSLIEN-VUCTXSBTSA-N 0 3 316.470 4.331 20 0 DIADHN Cc1noc(C)c1CN[C@@H](C)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000378179381 337067735 /nfs/dbraw/zinc/06/77/35/337067735.db2.gz HUKIBKOYDQNDKX-KPXOXKRLSA-N 0 3 310.850 4.288 20 0 DIADHN c1ccc(C2CCN(Cc3ccc4ccccc4n3)CC2)nc1 ZINC000378260103 337068894 /nfs/dbraw/zinc/06/88/94/337068894.db2.gz OWHBIBONYLMXIY-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN COc1cccc([C@H](C)N[C@@H](c2ccccc2)[C@H]2CCOC2)c1 ZINC000378391454 337071523 /nfs/dbraw/zinc/07/15/23/337071523.db2.gz DKXIQOCTHRWODL-QSFXBCCZSA-N 0 3 311.425 4.124 20 0 DIADHN COc1cccc([C@H](C)N[C@H](c2ccccc2)[C@H]2CCOC2)c1 ZINC000378391455 337071541 /nfs/dbraw/zinc/07/15/41/337071541.db2.gz DKXIQOCTHRWODL-ZAAXVRCTSA-N 0 3 311.425 4.124 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000378391410 337071624 /nfs/dbraw/zinc/07/16/24/337071624.db2.gz DDEKUNMJCVLFKK-IAOVAPTHSA-N 0 3 316.470 4.188 20 0 DIADHN CC(=O)N1CC[C@H](N[C@@H](c2ccccc2)C(C)C)c2ccccc21 ZINC000378430495 337072449 /nfs/dbraw/zinc/07/24/49/337072449.db2.gz MLMHJYFFWKUJPM-PZJWPPBQSA-N 0 3 322.452 4.471 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](c2ccccc2)C2CC2)c2ccccc21 ZINC000378435871 337072642 /nfs/dbraw/zinc/07/26/42/337072642.db2.gz VVSPDZOXXWTMBX-TZIWHRDSSA-N 0 3 320.436 4.225 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CC1)c1ccc(OC(F)F)cc1 ZINC000507208726 337078532 /nfs/dbraw/zinc/07/85/32/337078532.db2.gz HQCOBEWRNBRCMS-VIFPVBQESA-N 0 3 309.278 4.281 20 0 DIADHN CSC1(CNCc2ncc(-c3ccccc3)s2)CCC1 ZINC000193648881 337078822 /nfs/dbraw/zinc/07/88/22/337078822.db2.gz QKALVGODQKVCTR-UHFFFAOYSA-N 0 3 304.484 4.185 20 0 DIADHN COc1ccc([C@H](NCCc2cccnc2)c2ccccc2)cc1 ZINC000193710511 337079264 /nfs/dbraw/zinc/07/92/64/337079264.db2.gz NJUFKZKSWIKIQP-OAQYLSRUSA-N 0 3 318.420 4.012 20 0 DIADHN CC[C@@H](NCC1(SC)CC1)c1ccccc1OC(F)F ZINC000193824769 337080393 /nfs/dbraw/zinc/08/03/93/337080393.db2.gz JHVLNLBLHWJCOT-GFCCVEGCSA-N 0 3 301.402 4.224 20 0 DIADHN C=Cn1cc(CN[C@@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000194177518 337082385 /nfs/dbraw/zinc/08/23/85/337082385.db2.gz XFZSDVHFJCPOFI-FQEVSTJZSA-N 0 3 303.409 4.057 20 0 DIADHN C[C@H](NCc1ccccc1-n1cccn1)c1ccc2c(c1)CCC2 ZINC000392131047 337082414 /nfs/dbraw/zinc/08/24/14/337082414.db2.gz LONGYLUSZGEUEV-INIZCTEOSA-N 0 3 317.436 4.212 20 0 DIADHN Fc1c(Cl)cccc1CN[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000360058461 337084565 /nfs/dbraw/zinc/08/45/65/337084565.db2.gz LTFUBCRRDLZYDZ-QAPCUYQASA-N 0 3 319.807 4.347 20 0 DIADHN Fc1c(Cl)cccc1CN[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000360058459 337084641 /nfs/dbraw/zinc/08/46/41/337084641.db2.gz LTFUBCRRDLZYDZ-CRAIPNDOSA-N 0 3 319.807 4.347 20 0 DIADHN CCn1cc([C@H](C)NC2CC(c3cccc(Cl)c3)C2)cn1 ZINC000360062544 337084968 /nfs/dbraw/zinc/08/49/68/337084968.db2.gz WCYBNMMNDJQPMP-MKQDKFQKSA-N 0 3 303.837 4.153 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN1CCC[C@H]1c1cccc(F)c1 ZINC000171919253 337090375 /nfs/dbraw/zinc/09/03/75/337090375.db2.gz PAEVKGVINOUWTK-IBGZPJMESA-N 0 3 322.383 4.004 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)NC(C)(C)CC)c1ccc(Cl)cc1 ZINC000218864091 337091616 /nfs/dbraw/zinc/09/16/16/337091616.db2.gz ZRDQIMUZVIDZBR-DOMZBBRYSA-N 0 3 310.869 4.074 20 0 DIADHN CC(F)(F)CCNCc1ccc(Cl)c(Br)c1 ZINC000393633785 337093336 /nfs/dbraw/zinc/09/33/36/337093336.db2.gz LBUFXFJLOOEVJI-UHFFFAOYSA-N 0 3 312.585 4.237 20 0 DIADHN COc1cccc(CNCc2ccc(OC3CCCC3)cc2)c1 ZINC000583708156 337095006 /nfs/dbraw/zinc/09/50/06/337095006.db2.gz JATVJVCENYMKBA-UHFFFAOYSA-N 0 3 311.425 4.306 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCCCN1Cc1ccc2c(n1)CCC2 ZINC000582472402 337095345 /nfs/dbraw/zinc/09/53/45/337095345.db2.gz PSQDZTBCKGCUIH-IBGZPJMESA-N 0 3 324.472 4.028 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cc(C)c(O)c(C)c1 ZINC000582573658 337107631 /nfs/dbraw/zinc/10/76/31/337107631.db2.gz RJFOMLVGWPVARO-KUHUBIRLSA-N 0 3 317.404 4.014 20 0 DIADHN CN1CC[C@H](NCc2ccc(Cl)c(F)c2)[C@@H]1c1ccccc1 ZINC000582635219 337116576 /nfs/dbraw/zinc/11/65/76/337116576.db2.gz MMKIKFOPGVFHTI-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN CN1CC[C@H](NCc2ccc(Cl)c(F)c2)[C@H]1c1ccccc1 ZINC000582635220 337116670 /nfs/dbraw/zinc/11/66/70/337116670.db2.gz MMKIKFOPGVFHTI-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN C[C@H](NCCC1CCCCCC1)c1nnc2n1CCCCC2 ZINC000394618369 337123499 /nfs/dbraw/zinc/12/34/99/337123499.db2.gz KOSQPVDWJAULKT-HNNXBMFYSA-N 0 3 304.482 4.016 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CCC[C@H]1[C@H]1CCCO1 ZINC000582741217 337128287 /nfs/dbraw/zinc/12/82/87/337128287.db2.gz YTYBAVNINGGDHM-DLBZAZTESA-N 0 3 311.828 4.055 20 0 DIADHN COc1cccc(C2CCN(Cc3sc(C)nc3C)CC2)c1 ZINC000172768248 337130901 /nfs/dbraw/zinc/13/09/01/337130901.db2.gz LMCKOUXNWLSUOW-UHFFFAOYSA-N 0 3 316.470 4.148 20 0 DIADHN CSc1ccc([C@@H](C)NCc2ccccc2-n2ccnc2)cc1 ZINC000173348857 337134807 /nfs/dbraw/zinc/13/48/07/337134807.db2.gz ZZURSKSHBOFEKY-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN c1[nH]c2nccnc2c1CN[C@@H](CC1CCCC1)c1ccccc1 ZINC000582757278 337135688 /nfs/dbraw/zinc/13/56/88/337135688.db2.gz GCFBYKPTUNPNAL-SFHVURJKSA-N 0 3 320.440 4.321 20 0 DIADHN CC[C@]1(C)CCCN(Cc2cnc3c(cnn3C(C)C)c2)C1 ZINC000174196554 337139672 /nfs/dbraw/zinc/13/96/72/337139672.db2.gz ZWUWXEVNIFRFPU-GOSISDBHSA-N 0 3 300.450 4.024 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@@H](C)c1ccccc1-n1cccn1 ZINC000177184471 337154607 /nfs/dbraw/zinc/15/46/07/337154607.db2.gz UJHNLQKCBXOLBB-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN CSc1ccc(C(=O)Nc2cc(CN(C)C)ccc2C)cc1 ZINC000177321182 337156939 /nfs/dbraw/zinc/15/69/39/337156939.db2.gz YMTCAVMOWJTRII-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(Cn3ccnc3)cc1)CC2 ZINC000177935578 337159269 /nfs/dbraw/zinc/15/92/69/337159269.db2.gz ZMPMJACCJOPMSK-NRFANRHFSA-N 0 3 317.436 4.017 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@H](C)Oc2cccc(F)c2)s1 ZINC000177981040 337159413 /nfs/dbraw/zinc/15/94/13/337159413.db2.gz VTWAFUNRLDXEDH-QWRGUYRKSA-N 0 3 308.422 4.017 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc([C@@H](C)O)cc1)CCCO2 ZINC000178550523 337163620 /nfs/dbraw/zinc/16/36/20/337163620.db2.gz FTUPNQWEQFRJNU-DNVCBOLYSA-N 0 3 311.425 4.052 20 0 DIADHN Cc1ccc([C@H](CN[C@@H](C)c2ccsc2)N2CCCC2)o1 ZINC000178402031 337164412 /nfs/dbraw/zinc/16/44/12/337164412.db2.gz IBAJLEPUQBXUAB-HOCLYGCPSA-N 0 3 304.459 4.137 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@H]2NC[C@H]1CCCOC1 ZINC000178424825 337164622 /nfs/dbraw/zinc/16/46/22/337164622.db2.gz SJQHFVWBTJKNFI-MWLCHTKSSA-N 0 3 320.285 4.449 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)cc1F ZINC000178431721 337164766 /nfs/dbraw/zinc/16/47/66/337164766.db2.gz GCENKHBNVPIGTK-VVYDWRJNSA-N 0 3 319.445 4.283 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2ccc(-n3cncn3)cc2)cc1 ZINC000178742602 337164986 /nfs/dbraw/zinc/16/49/86/337164986.db2.gz HRFADPKMBAWPIQ-HXUWFJFHSA-N 0 3 320.440 4.071 20 0 DIADHN CCCn1ccc2cc(NC(=O)C[C@@H](N)c3ccccc3)ccc21 ZINC000178817886 337167280 /nfs/dbraw/zinc/16/72/80/337167280.db2.gz HURBAJOXFARAHP-GOSISDBHSA-N 0 3 321.424 4.080 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2cccn2)cc1)c1ccccc1F ZINC000180034089 337173234 /nfs/dbraw/zinc/17/32/34/337173234.db2.gz YCGRZWVZQMQQFG-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@@H](NCc1cccc(Cn2cccn2)c1)c1ccccc1F ZINC000180186069 337175220 /nfs/dbraw/zinc/17/52/20/337175220.db2.gz SPPHYYSKRGWLPB-HXUWFJFHSA-N 0 3 323.415 4.311 20 0 DIADHN CC[C@@H](NC[C@H](CCO)c1ccccc1)c1ccccc1F ZINC000180607220 337176676 /nfs/dbraw/zinc/17/66/76/337176676.db2.gz QQLCQOPDSCAPSS-QFBILLFUSA-N 0 3 301.405 4.033 20 0 DIADHN CC[C@H](N[C@@H](CCCO)c1ccccc1)c1ccccc1F ZINC000180613767 337176711 /nfs/dbraw/zinc/17/67/11/337176711.db2.gz UGMFWNQAAHHCIJ-OALUTQOASA-N 0 3 301.405 4.380 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H](C)c1ccc(C)cc1)c1ccccc1 ZINC000180669255 337177038 /nfs/dbraw/zinc/17/70/38/337177038.db2.gz WIDLDZIYINWXHC-QFBILLFUSA-N 0 3 311.425 4.340 20 0 DIADHN Cc1ncccc1CN1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000180658264 337177157 /nfs/dbraw/zinc/17/71/57/337177157.db2.gz QHBUGIMPLCUPEC-UHFFFAOYSA-N 0 3 303.409 4.161 20 0 DIADHN COC(=O)CC[C@H](N[C@@H](C)c1cccc(C)c1)c1ccccc1 ZINC000180672400 337177175 /nfs/dbraw/zinc/17/71/75/337177175.db2.gz HUNOBDOBNLIYDP-LPHOPBHVSA-N 0 3 311.425 4.340 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(-n2ccnn2)cc1)c1ccccc1F ZINC000181022053 337179261 /nfs/dbraw/zinc/17/92/61/337179261.db2.gz XSZDLCDAYIIKTQ-AUUYWEPGSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2ccnn2)cc1)c1ccccc1F ZINC000181022033 337179301 /nfs/dbraw/zinc/17/93/01/337179301.db2.gz XSZDLCDAYIIKTQ-KUHUBIRLSA-N 0 3 324.403 4.208 20 0 DIADHN CO[C@H](CN[C@H](C)c1sc(C)nc1C)c1cccc(Cl)c1 ZINC000181195605 337180233 /nfs/dbraw/zinc/18/02/33/337180233.db2.gz KDBDMNMLJBWJCY-MEBBXXQBSA-N 0 3 324.877 4.452 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1Cc1nnc(C2CC2)n1C1CC1 ZINC000181371651 337181755 /nfs/dbraw/zinc/18/17/55/337181755.db2.gz MVILWAAIVYQYRE-KRWDZBQOSA-N 0 3 316.493 4.281 20 0 DIADHN C[C@H](NCCCc1ccccn1)c1nc(-c2ccccc2)cs1 ZINC000181491346 337182527 /nfs/dbraw/zinc/18/25/27/337182527.db2.gz IORAXFVOFULGLL-HNNXBMFYSA-N 0 3 323.465 4.489 20 0 DIADHN C[S@@](=O)C[C@@H](NCCCC1CCCCC1)c1ccccc1 ZINC000582812555 337184708 /nfs/dbraw/zinc/18/47/08/337184708.db2.gz LFAOJGIWYSRPMB-WIYYLYMNSA-N 0 3 307.503 4.056 20 0 DIADHN Cc1cncc(CN[C@H](C)[C@@H](C)c2nc3ccccc3s2)c1 ZINC000181834448 337184909 /nfs/dbraw/zinc/18/49/09/337184909.db2.gz NFDUUNBIZDKEAH-ZIAGYGMSSA-N 0 3 311.454 4.282 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2cccc3cccnc32)cc1 ZINC000182032016 337185766 /nfs/dbraw/zinc/18/57/66/337185766.db2.gz IFWSYIDRPRIKCD-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H]2CCN(c3ccc(Cl)cc3)C2)s1 ZINC000183336870 337191314 /nfs/dbraw/zinc/19/13/14/337191314.db2.gz WGAVWYARXDKYHR-BXUZGUMPSA-N 0 3 321.877 4.034 20 0 DIADHN Cn1cc(CN2CC3(CCC3)[C@H]2C2CC2)c(C2CCCCC2)n1 ZINC000582817394 337196420 /nfs/dbraw/zinc/19/64/20/337196420.db2.gz OFDHNFFKQHKCOY-LJQANCHMSA-N 0 3 313.489 4.232 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccc1)c1nc2ccccc2n1C ZINC000321102350 337198387 /nfs/dbraw/zinc/19/83/87/337198387.db2.gz XCFIGEXWHGOBBQ-OAHLLOKOSA-N 0 3 307.441 4.202 20 0 DIADHN Cc1cc(Cl)cc(CN[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000395247534 337214667 /nfs/dbraw/zinc/21/46/67/337214667.db2.gz YMORGZWGIMHBNW-LBPRGKRZSA-N 0 3 303.789 4.228 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1nc2ccc(F)cc2s1 ZINC000582941242 337220183 /nfs/dbraw/zinc/22/01/83/337220183.db2.gz AUVRPWCGYSOFMQ-JTQLQIEISA-N 0 3 306.431 4.218 20 0 DIADHN Cc1cc(CN(C)Cc2cc(-n3ccnc3)cs2)ccc1F ZINC000582944291 337220681 /nfs/dbraw/zinc/22/06/81/337220681.db2.gz OVMVBUKWQCBDNS-UHFFFAOYSA-N 0 3 315.417 4.013 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CCC2(C1)CCCCC2 ZINC000583015778 337229483 /nfs/dbraw/zinc/22/94/83/337229483.db2.gz FLHQAYIJNAMZHL-UHFFFAOYSA-N 0 3 313.470 4.361 20 0 DIADHN Cc1ccc(CN[C@@H](c2cccs2)C(C)(C)CO)cc1C ZINC000583053195 337230220 /nfs/dbraw/zinc/23/02/20/337230220.db2.gz STABQHLLEVXNCV-KRWDZBQOSA-N 0 3 303.471 4.214 20 0 DIADHN C[C@H](NCc1nc(C(C)(C)C)cs1)[C@H]1COc2ccccc21 ZINC000185549027 337248924 /nfs/dbraw/zinc/24/89/24/337248924.db2.gz RALCIYCBAPKKGI-GXTWGEPZSA-N 0 3 316.470 4.095 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc(-c3ccc(C)cc3)no2)C1 ZINC000429292229 337251797 /nfs/dbraw/zinc/25/17/97/337251797.db2.gz WNDIBJPPRSVYLI-HNNXBMFYSA-N 0 3 316.470 4.225 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H]2CCOC3(CCCC3)C2)cs1 ZINC000186423394 337255974 /nfs/dbraw/zinc/25/59/74/337255974.db2.gz IMDXKISHRVVTEW-ZIAGYGMSSA-N 0 3 308.491 4.409 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2CCCC3(CC3)C2)c1 ZINC000186627746 337258168 /nfs/dbraw/zinc/25/81/68/337258168.db2.gz YBAXFIOBDMQZTR-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN FC(F)c1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000186676178 337258337 /nfs/dbraw/zinc/25/83/37/337258337.db2.gz OLUQHBIUUHDCLC-IBGZPJMESA-N 0 3 315.363 4.256 20 0 DIADHN CC(C)CSCCN[C@H](C)c1cccc(OC(F)F)c1 ZINC000397461198 337263683 /nfs/dbraw/zinc/26/36/83/337263683.db2.gz QZFRWJJHPMRANG-GFCCVEGCSA-N 0 3 303.418 4.328 20 0 DIADHN C[C@H]1CCCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000187964838 337269123 /nfs/dbraw/zinc/26/91/23/337269123.db2.gz IFZFTEUYSOTEIJ-LBPRGKRZSA-N 0 3 305.809 4.012 20 0 DIADHN C[C@H]1CCCCN(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000187967778 337269156 /nfs/dbraw/zinc/26/91/56/337269156.db2.gz NOMIVVPFUBAZBX-LBPRGKRZSA-N 0 3 305.809 4.012 20 0 DIADHN Cc1cccc2nc(C3CCN(Cc4cccnc4C)CC3)oc21 ZINC000188884622 337275948 /nfs/dbraw/zinc/27/59/48/337275948.db2.gz JHJHWKSXTOBFHV-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN C[C@H](N[C@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000530423662 337279661 /nfs/dbraw/zinc/27/96/61/337279661.db2.gz SKFZGORTNLTRNF-WPRPVWTQSA-N 0 3 307.793 4.098 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3ccc(OC)cc32)cc1 ZINC000321637441 337280597 /nfs/dbraw/zinc/28/05/97/337280597.db2.gz OTYNFRSXWXNVTB-JLTOFOAXSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1cccc2nc(C3CCN([C@@H](C)c4ccccn4)CC3)oc21 ZINC000189806176 337283141 /nfs/dbraw/zinc/28/31/41/337283141.db2.gz KZWZJXKLGHPDCE-HNNXBMFYSA-N 0 3 321.424 4.472 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(-c3cccnc3)cc2)c1 ZINC000190001632 337284782 /nfs/dbraw/zinc/28/47/82/337284782.db2.gz RXKUHFXHPRVKOE-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN C[C@H](NCc1ccccc1-n1ccnc1)c1csc(Cl)c1 ZINC000191205289 337294893 /nfs/dbraw/zinc/29/48/93/337294893.db2.gz JHGSBPIABYWQSA-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN CCOc1cc(CNCc2ccc(C3CC3)cc2)ccc1OC ZINC000191681948 337298696 /nfs/dbraw/zinc/29/86/96/337298696.db2.gz PLJKUNBLPFNZLW-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CCN1CCC(c2nc(-c3ccccc3OC)cs2)CC1 ZINC000191512576 337298705 /nfs/dbraw/zinc/29/87/05/337298705.db2.gz QJAREIFWGNFWGV-UHFFFAOYSA-N 0 3 302.443 4.018 20 0 DIADHN CC(C)[C@H](CN(C)Cc1ccccc1)N[C@H](C)c1ccoc1 ZINC000398080004 337305720 /nfs/dbraw/zinc/30/57/20/337305720.db2.gz GPIUIXKNUDYEKN-APWZRJJASA-N 0 3 300.446 4.087 20 0 DIADHN c1cc2c(o1)CCC[C@H]2NC[C@@H](c1ccccc1)N1CCCC1 ZINC000321938759 337305868 /nfs/dbraw/zinc/30/58/68/337305868.db2.gz MCFUUSDBCOWGSG-MOPGFXCFSA-N 0 3 310.441 4.084 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000525951734 337308225 /nfs/dbraw/zinc/30/82/25/337308225.db2.gz BRTONMZOPNZGIC-ZIAGYGMSSA-N 0 3 318.486 4.247 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N(C(C)C)C(C)C)c(C)s1 ZINC000193134917 337309796 /nfs/dbraw/zinc/30/97/96/337309796.db2.gz ZWPQEWALCLSXDE-UONOGXRCSA-N 0 3 310.507 4.049 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H]2Cc2ccc(Cl)cc2)no1 ZINC000584391275 337310642 /nfs/dbraw/zinc/31/06/42/337310642.db2.gz MUYFVLBPPDIJBZ-YOEHRIQHSA-N 0 3 304.821 4.137 20 0 DIADHN CC(C)(C)c1ccc(CN2CC3(CCOCC3)[C@@H]2C2CC2)s1 ZINC000583313417 337313947 /nfs/dbraw/zinc/31/39/47/337313947.db2.gz OPNSEQOWHIVCPB-KRWDZBQOSA-N 0 3 319.514 4.437 20 0 DIADHN CCOc1ccc(CNCc2cc(C)c(O)c(C)c2)cc1Cl ZINC000583720161 337317719 /nfs/dbraw/zinc/31/77/19/337317719.db2.gz UOGWUUZXYKAROO-UHFFFAOYSA-N 0 3 319.832 4.351 20 0 DIADHN Cc1noc(C)c1CN1CCC(c2ccnc3ccccc32)CC1 ZINC000584418525 337322986 /nfs/dbraw/zinc/32/29/86/337322986.db2.gz CZQIYOYBPBUWDM-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CCc1cc(CCCN(CC)Cc2ccccc2Cl)on1 ZINC000583779460 337332400 /nfs/dbraw/zinc/33/24/00/337332400.db2.gz VRMPPBIFINGALG-UHFFFAOYSA-N 0 3 306.837 4.345 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCC[C@@H](F)C2)c2ccccn2)cc1 ZINC000583812207 337336602 /nfs/dbraw/zinc/33/66/02/337336602.db2.gz WCUPGBANRPICGN-RYRKJORJSA-N 0 3 302.368 4.180 20 0 DIADHN c1cc([C@H]2CCCN(C[C@@H]3CCC4(CCCCC4)O3)C2)ccn1 ZINC000584496552 337350130 /nfs/dbraw/zinc/35/01/30/337350130.db2.gz IWUBASGJNJSSTF-OALUTQOASA-N 0 3 314.473 4.143 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1ncc(-c2ccc(F)cc2)o1 ZINC000219702513 337351325 /nfs/dbraw/zinc/35/13/25/337351325.db2.gz CDFFBLNXMVPCFD-BBRMVZONSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)COCCCN[C@H](C)c1sccc1Br ZINC000037234378 337362219 /nfs/dbraw/zinc/36/22/19/337362219.db2.gz ZWAKHTZVIIJPMU-LLVKDONJSA-N 0 3 320.296 4.224 20 0 DIADHN C[C@H](NCCc1cccs1)c1sccc1Br ZINC000037211922 337362246 /nfs/dbraw/zinc/36/22/46/337362246.db2.gz VENOHEKSWWNLCD-VIFPVBQESA-N 0 3 316.289 4.465 20 0 DIADHN Cc1ccc(CCNCc2csc(Cl)c2Cl)cn1 ZINC000584566976 337362504 /nfs/dbraw/zinc/36/25/04/337362504.db2.gz WKQPMJMISPXRTB-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN O=C(Nc1nc(C2CC3CCC2C3)cs1)N1CCCC(F)C1 ZINC000584662722 337378571 /nfs/dbraw/zinc/37/85/71/337378571.db2.gz IQTNIZMRHWIIKP-CYDGBPFRSA-N 0 3 323.437 4.013 20 0 DIADHN Cn1cc([C@H](NC2CC(C(C)(C)C)C2)c2cccc(F)c2)cn1 ZINC000583886354 337381530 /nfs/dbraw/zinc/38/15/30/337381530.db2.gz JCSXKWORKUSCNS-VMWRSERWSA-N 0 3 315.436 4.063 20 0 DIADHN Fc1ccc([C@H]2OCC[C@H]2NCc2ccc(Cl)cc2)cc1F ZINC000583889867 337384316 /nfs/dbraw/zinc/38/43/16/337384316.db2.gz FLMZCOMWAQYLFZ-IAGOWNOFSA-N 0 3 323.770 4.238 20 0 DIADHN Fc1ccc2c(c1)[nH]cc2C1CCN(Cc2cccnc2)CC1 ZINC000111260767 337389557 /nfs/dbraw/zinc/38/95/57/337389557.db2.gz HDWNMDRDABQABF-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Cc1oc2ccccc2c1CNC[C@@H](c1ccco1)N1CCCC1 ZINC000325354199 337391908 /nfs/dbraw/zinc/39/19/08/337391908.db2.gz LPDXNQBOYHLGJM-SFHVURJKSA-N 0 3 324.424 4.261 20 0 DIADHN C[C@@H](c1ccc(Br)cc1)N(C)C[C@@H]1CCCCO1 ZINC000532927229 337393270 /nfs/dbraw/zinc/39/32/70/337393270.db2.gz ZIIODONHNBQHAP-WFASDCNBSA-N 0 3 312.251 4.011 20 0 DIADHN Cc1csc(CN[C@H]2CCCC[C@@H]2Oc2cccc(C)c2)n1 ZINC000532932973 337393829 /nfs/dbraw/zinc/39/38/29/337393829.db2.gz SXXHIMVQVSVAHN-IRXDYDNUSA-N 0 3 316.470 4.240 20 0 DIADHN Cc1ncccc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000123735529 337400933 /nfs/dbraw/zinc/40/09/33/337400933.db2.gz UKLGOIJRHJQDDA-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC[C@@H](NCCCOc1ccc2ccccc2c1)c1cnn(C)c1 ZINC000125415349 337411563 /nfs/dbraw/zinc/41/15/63/337411563.db2.gz IXZCDMSKBGDKHX-HXUWFJFHSA-N 0 3 323.440 4.083 20 0 DIADHN CC[C@@H](NCc1cccc(OCCCOC)c1)c1ccccc1 ZINC000125435618 337411708 /nfs/dbraw/zinc/41/17/08/337411708.db2.gz MZSUZIZTQHRHQO-HXUWFJFHSA-N 0 3 313.441 4.343 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2cc(C)sc2C)cn1 ZINC000126788166 337417732 /nfs/dbraw/zinc/41/77/32/337417732.db2.gz WBQMDFMIKMKOQH-AWEZNQCLSA-N 0 3 317.502 4.457 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3cnn(C)c3c1)CCC2 ZINC000564015889 337423057 /nfs/dbraw/zinc/42/30/57/337423057.db2.gz SDFLTXRMWPTRBS-LJQANCHMSA-N 0 3 305.425 4.049 20 0 DIADHN CC[C@@H](NCc1cnn(-c2ccccc2)c1)c1cccc(OC)c1 ZINC000129471227 337429599 /nfs/dbraw/zinc/42/95/99/337429599.db2.gz FPRCNFHPZUAPSD-HXUWFJFHSA-N 0 3 321.424 4.122 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@@H]1CCCc3nc(C)sc31)CC2 ZINC000359905906 337440655 /nfs/dbraw/zinc/44/06/55/337440655.db2.gz XNJLBRHROBEHFH-NVXWUHKLSA-N 0 3 314.454 4.115 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@H]1CCCc2ccc(C)cc21 ZINC000133058852 337444191 /nfs/dbraw/zinc/44/41/91/337444191.db2.gz GRZYWWROANDOLK-IBGZPJMESA-N 0 3 311.473 4.021 20 0 DIADHN CC[C@@H](NCCc1cccnc1)c1cc(Cl)ccc1OC ZINC000133469337 337445415 /nfs/dbraw/zinc/44/54/15/337445415.db2.gz QIKPPFKCMDENRS-MRXNPFEDSA-N 0 3 304.821 4.027 20 0 DIADHN C[C@@H](NC[C@@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000152511651 337455858 /nfs/dbraw/zinc/45/58/58/337455858.db2.gz HEEHDPIHPZOMAD-CABCVRRESA-N 0 3 316.395 4.142 20 0 DIADHN C[C@H](NC[C@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000152511730 337455863 /nfs/dbraw/zinc/45/58/63/337455863.db2.gz HEEHDPIHPZOMAD-LSDHHAIUSA-N 0 3 316.395 4.142 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CNCC3=CCCOC3)cc2)c1 ZINC000564116035 337456710 /nfs/dbraw/zinc/45/67/10/337456710.db2.gz WRPCVKHXJGSFMO-UHFFFAOYSA-N 0 3 307.437 4.407 20 0 DIADHN CC(C)[C@H](CNCc1cn(C(C)C)nn1)c1ccc(Cl)cc1 ZINC000564124231 337471175 /nfs/dbraw/zinc/47/11/75/337471175.db2.gz WQFOSIPUWCOIDF-KRWDZBQOSA-N 0 3 320.868 4.042 20 0 DIADHN CO[C@@H](CN1CCC(OC2CCC2)CC1)c1ccc(Cl)cc1 ZINC000419295501 337478538 /nfs/dbraw/zinc/47/85/38/337478538.db2.gz CEPJGZYHJBTWAP-SFHVURJKSA-N 0 3 323.864 4.061 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccc(Cl)cc2)o1)[C@@H]1CC1(C)C ZINC000419327220 337479473 /nfs/dbraw/zinc/47/94/73/337479473.db2.gz ZCSRFSYWEGWCIW-KBPBESRZSA-N 0 3 319.836 4.304 20 0 DIADHN C[C@H](NCC[S@@](=O)c1ccccc1)c1ccc(C2CC2)cc1 ZINC000419331213 337479961 /nfs/dbraw/zinc/47/99/61/337479961.db2.gz CQEBFXWFBGNLDZ-OYHNWAKOSA-N 0 3 313.466 4.022 20 0 DIADHN C[C@@H](C1CCCCC1)N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000446111771 338520965 /nfs/dbraw/zinc/52/09/65/338520965.db2.gz BKKXXDSMJSLUSG-HNNXBMFYSA-N 0 3 317.477 4.181 20 0 DIADHN Fc1ccc(OCCN[C@H](CC(F)F)c2ccccc2)cc1 ZINC000420985293 337517646 /nfs/dbraw/zinc/51/76/46/337517646.db2.gz INCVLGRYQIBYJX-MRXNPFEDSA-N 0 3 309.331 4.191 20 0 DIADHN O=C(CCN[C@H](CC(F)F)c1ccccc1)Nc1ccccc1 ZINC000421001854 337518697 /nfs/dbraw/zinc/51/86/97/337518697.db2.gz XJNKKGNYTYIFGZ-MRXNPFEDSA-N 0 3 318.367 4.001 20 0 DIADHN CCC[C@@H](NC1CC2(C1)CCCCC2)c1nnc2ccccn21 ZINC000421342199 337527523 /nfs/dbraw/zinc/52/75/23/337527523.db2.gz NFKKHLCKUNEYQN-MRXNPFEDSA-N 0 3 312.461 4.273 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2ccc(-c3cccc(F)c3C)o2)CCO1 ZINC000421373827 337528376 /nfs/dbraw/zinc/52/83/76/337528376.db2.gz DYSHQHDUAAGSCK-LSDHHAIUSA-N 0 3 317.404 4.441 20 0 DIADHN CC[C@H]1C[C@@H](NCc2ccc(-c3cccc(F)c3C)o2)CCO1 ZINC000421373825 337528389 /nfs/dbraw/zinc/52/83/89/337528389.db2.gz DYSHQHDUAAGSCK-GJZGRUSLSA-N 0 3 317.404 4.441 20 0 DIADHN CC[C@@H]1C[C@H](NCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000421379091 337529036 /nfs/dbraw/zinc/52/90/36/337529036.db2.gz IPOSGXKCQTUWOX-GHMZBOCLSA-N 0 3 306.208 4.180 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](CC(F)F)c2ccccc2)cc1 ZINC000421380239 337529149 /nfs/dbraw/zinc/52/91/49/337529149.db2.gz XWDICUMAJVZISK-QGZVFWFLSA-N 0 3 318.367 4.131 20 0 DIADHN C[C@H](N[C@@H](C[C@H]1CCOC1)c1ccccc1)c1cncc(F)c1 ZINC000246591402 337553923 /nfs/dbraw/zinc/55/39/23/337553923.db2.gz ZJAAMZOCOINDEW-KHYOSLBOSA-N 0 3 314.404 4.039 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccc(C)c2)c(C)c1OC ZINC000516678836 337562893 /nfs/dbraw/zinc/56/28/93/337562893.db2.gz FJVOSTHNZWUZDY-UHFFFAOYSA-N 0 3 313.441 4.346 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccc(O)cc1 ZINC000571750072 337576109 /nfs/dbraw/zinc/57/61/09/337576109.db2.gz DVKASWLWJCBRMJ-RAXLEYEMSA-N 0 3 319.351 4.187 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1ccc(Cl)c(F)c1 ZINC000571844490 337579052 /nfs/dbraw/zinc/57/90/52/337579052.db2.gz CXIDTWHAZMLHOD-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000572047140 337588593 /nfs/dbraw/zinc/58/85/93/337588593.db2.gz ZAPBBXOIIWQUSS-QGZVFWFLSA-N 0 3 302.805 4.072 20 0 DIADHN [O-]c1cccnc1C[NH2+][C@H](c1ccc(Cl)cc1)C1CCC1 ZINC000572047139 337588611 /nfs/dbraw/zinc/58/86/11/337588611.db2.gz ZAPBBXOIIWQUSS-KRWDZBQOSA-N 0 3 302.805 4.072 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNCCCC(F)(F)F ZINC000572141505 337593502 /nfs/dbraw/zinc/59/35/02/337593502.db2.gz NNLOVBRNZKDONE-UHFFFAOYSA-N 0 3 316.150 4.434 20 0 DIADHN Cn1cccc1CNCc1ccccc1COCc1ccccc1 ZINC000161643272 337593945 /nfs/dbraw/zinc/59/39/45/337593945.db2.gz QTQSIQKEBFBUHZ-UHFFFAOYSA-N 0 3 320.436 4.032 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC000161648357 337593989 /nfs/dbraw/zinc/59/39/89/337593989.db2.gz JCRVHQMMQCSIIR-HXUWFJFHSA-N 0 3 311.473 4.168 20 0 DIADHN Cc1ccncc1CCCNCc1cc(Cl)sc1Cl ZINC000572165828 337594538 /nfs/dbraw/zinc/59/45/38/337594538.db2.gz OZOUJZLVUUHGAF-UHFFFAOYSA-N 0 3 315.269 4.481 20 0 DIADHN CC[C@@H](NCC(C)(C)C[C@@H](C)O)c1cccc(Cl)c1F ZINC000161860213 337598113 /nfs/dbraw/zinc/59/81/13/337598113.db2.gz AVLFIGHNIHBWEG-BXUZGUMPSA-N 0 3 301.833 4.317 20 0 DIADHN CCOCCN[C@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000161884539 337599003 /nfs/dbraw/zinc/59/90/03/337599003.db2.gz RVNSURPCWUHWEQ-CYBMUJFWSA-N 0 3 304.459 4.114 20 0 DIADHN CCOCCN[C@H]1CCCc2nc(-c3ccc(C)cc3)sc21 ZINC000161900096 337599350 /nfs/dbraw/zinc/59/93/50/337599350.db2.gz UOQMFDKRDQFPBL-HNNXBMFYSA-N 0 3 316.470 4.122 20 0 DIADHN Cc1c(CN[C@@H](c2ccc(Cl)cc2)C2CCCC2)cnn1C ZINC000162017613 337603468 /nfs/dbraw/zinc/60/34/68/337603468.db2.gz UAAKQOZPMKUHCD-GOSISDBHSA-N 0 3 317.864 4.403 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1ccc2c(c1)COC2 ZINC000536826611 337604244 /nfs/dbraw/zinc/60/42/44/337604244.db2.gz KZFRSUPHLXRWRT-ZRCAFCQKSA-N 0 3 323.436 4.145 20 0 DIADHN C[C@@H](CC(=O)Nc1ccc2c(c1F)CCNC2)C1CCCCC1 ZINC000261751434 337609733 /nfs/dbraw/zinc/60/97/33/337609733.db2.gz PHZLXBIDQQAHLS-ZDUSSCGKSA-N 0 3 318.436 4.016 20 0 DIADHN OC1CCN(Cc2ccc(-c3ccc(Cl)cc3)s2)CC1 ZINC000162241723 337611494 /nfs/dbraw/zinc/61/14/94/337611494.db2.gz WPSCCSYXWMNSDV-UHFFFAOYSA-N 0 3 307.846 4.025 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H](C)[C@H]1COc2ccccc21 ZINC000429689804 337638001 /nfs/dbraw/zinc/63/80/01/337638001.db2.gz PRRSLXFXUXRJPT-SWLSCSKDSA-N 0 3 317.816 4.003 20 0 DIADHN C[C@H](NCCCn1ccc2ccccc21)c1ccncc1Cl ZINC000421805891 337644953 /nfs/dbraw/zinc/64/49/53/337644953.db2.gz YCBYYULOROUQLA-AWEZNQCLSA-N 0 3 313.832 4.431 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@@H](C)c2ccncc2Cl)cc1 ZINC000421827629 337646810 /nfs/dbraw/zinc/64/68/10/337646810.db2.gz YRJPQRYCKJIFHJ-KBPBESRZSA-N 0 3 318.848 4.416 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)N[C@H](C)c2ccncc2Cl)c1 ZINC000421852318 337649854 /nfs/dbraw/zinc/64/98/54/337649854.db2.gz GZYIBSQPPIRSIX-VXGBXAGGSA-N 0 3 322.811 4.165 20 0 DIADHN OC1(CCCN[C@@H]2CCc3cc(Cl)cc(Cl)c32)CCC1 ZINC000421854254 337650262 /nfs/dbraw/zinc/65/02/62/337650262.db2.gz KHQJRPJSDKXEPC-CQSZACIVSA-N 0 3 314.256 4.266 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccncc1Cl)c1ccc(F)cc1F ZINC000421833615 337651908 /nfs/dbraw/zinc/65/19/08/337651908.db2.gz NYCJKQFNAATELV-MNOVXSKESA-N 0 3 310.775 4.468 20 0 DIADHN COc1ccc(C(C)(C)CN[C@H](C)c2ccncc2Cl)cc1 ZINC000421843087 337653836 /nfs/dbraw/zinc/65/38/36/337653836.db2.gz MGMBVKHMSPMGGK-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN Cc1cc(CN[C@H]2CCCOc3cc4c(cc32)CCC4)cc(C)n1 ZINC000421890537 337655349 /nfs/dbraw/zinc/65/53/49/337655349.db2.gz VKNBSMLKMQPSGQ-FQEVSTJZSA-N 0 3 322.452 4.191 20 0 DIADHN CON1CCC(N[C@H](C)c2cc3c(ccc4ccccc34)o2)CC1 ZINC000421880940 337658549 /nfs/dbraw/zinc/65/85/49/337658549.db2.gz QVMBLHPOQJIIOF-CQSZACIVSA-N 0 3 324.424 4.262 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc(F)c(C)c3)CC2)s1 ZINC000432946586 337663443 /nfs/dbraw/zinc/66/34/43/337663443.db2.gz XWECVEVILJAKTM-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCC[C@@H]2c2ccccc2)c(F)cn1 ZINC000580479742 337681923 /nfs/dbraw/zinc/68/19/23/337681923.db2.gz GWSZFVFIMFNLGI-JCKWVBRZSA-N 0 3 314.404 4.216 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000422754409 337685305 /nfs/dbraw/zinc/68/53/05/337685305.db2.gz JNWWJGCELSEYNQ-PWSUYJOCSA-N 0 3 321.827 4.053 20 0 DIADHN CC1(C)CC(NCc2ccc(Oc3cccc(F)c3)nc2)C1 ZINC000433162497 337692232 /nfs/dbraw/zinc/69/22/32/337692232.db2.gz MLLCZAGOSLYOQJ-UHFFFAOYSA-N 0 3 300.377 4.291 20 0 DIADHN CCSCc1cccc(N[C@@H](C)c2ccc(CN)nc2C)c1 ZINC000422936253 337692319 /nfs/dbraw/zinc/69/23/19/337692319.db2.gz FHJQNNDOPOUPKS-ZDUSSCGKSA-N 0 3 315.486 4.275 20 0 DIADHN CC[C@H](CNC(=O)C(C)(C)[C@@H](N)c1ccccc1)c1ccccc1 ZINC000422936211 337692429 /nfs/dbraw/zinc/69/24/29/337692429.db2.gz FFGNAXSQULARKE-APWZRJJASA-N 0 3 324.468 4.023 20 0 DIADHN Cc1cc2cc(NC(=O)C(C)(C)[C@@H](N)c3ccccc3)ccc2[nH]1 ZINC000422984186 337693636 /nfs/dbraw/zinc/69/36/36/337693636.db2.gz XKLPFFYKMGUNKF-SFHVURJKSA-N 0 3 321.424 4.141 20 0 DIADHN CC(C)(C(=O)Nc1cccc(C(F)F)c1)[C@H](N)c1ccccc1 ZINC000423132541 337701052 /nfs/dbraw/zinc/70/10/52/337701052.db2.gz PMRHRTWRRPEOFW-OAHLLOKOSA-N 0 3 318.367 4.289 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000423342694 337713055 /nfs/dbraw/zinc/71/30/55/337713055.db2.gz CZWMYQYVCNSPDI-BBATYDOGSA-N 0 3 302.368 4.275 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000423342697 337713101 /nfs/dbraw/zinc/71/31/01/337713101.db2.gz CZWMYQYVCNSPDI-ZMZPIMSZSA-N 0 3 302.368 4.275 20 0 DIADHN COc1ccc(C)cc1[C@H](C)N[C@H]1CCCc2cc(N)ccc21 ZINC000423357267 337714151 /nfs/dbraw/zinc/71/41/51/337714151.db2.gz RWRICSGKVMXASJ-LIRRHRJNSA-N 0 3 310.441 4.314 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccccc1N(C)C ZINC000423357738 337714159 /nfs/dbraw/zinc/71/41/59/337714159.db2.gz STFMQFVFEMCRFL-AUUYWEPGSA-N 0 3 309.457 4.063 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCCc3cc(N)ccc32)ccc1F ZINC000423364955 337714642 /nfs/dbraw/zinc/71/46/42/337714642.db2.gz ZKBXVGOFGXBXSA-XIKOKIGWSA-N 0 3 314.404 4.145 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2cccc3c2CCCN3)s1 ZINC000423412985 337716294 /nfs/dbraw/zinc/71/62/94/337716294.db2.gz JCYKMVHMUCIWTR-GFCCVEGCSA-N 0 3 315.486 4.223 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@H]1NCc1cccc2c1CCCN2 ZINC000423412967 337716296 /nfs/dbraw/zinc/71/62/96/337716296.db2.gz JCODSGUNAORYOX-RBZFPXEDSA-N 0 3 310.416 4.207 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCCN2)c1cc2c(s1)CCC2 ZINC000423454493 337720310 /nfs/dbraw/zinc/72/03/10/337720310.db2.gz ZEWVPOICXJLBAB-ZDUSSCGKSA-N 0 3 312.482 4.446 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000423487477 337722412 /nfs/dbraw/zinc/72/24/12/337722412.db2.gz XMEMCZSFOCJYIC-GOSISDBHSA-N 0 3 300.833 4.472 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NC(=O)C[C@@H](N)c1ccccc1)CC2 ZINC000423890734 337729249 /nfs/dbraw/zinc/72/92/49/337729249.db2.gz LJSWSZKUIYLGBQ-UXHICEINSA-N 0 3 322.452 4.004 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1cc2c(s1)CCC2 ZINC000536833453 337734851 /nfs/dbraw/zinc/73/48/51/337734851.db2.gz PMQKECRHHPTKRS-CQSZACIVSA-N 0 3 323.465 4.273 20 0 DIADHN COc1ccccc1CNCCOc1ccc2ccccc2c1 ZINC000032207662 337738540 /nfs/dbraw/zinc/73/85/40/337738540.db2.gz FBTLWFJUOQYUOP-UHFFFAOYSA-N 0 3 307.393 4.017 20 0 DIADHN COc1cccc(CN[C@H](C)CCC(C)C)c1OC(F)F ZINC000035161322 337741328 /nfs/dbraw/zinc/74/13/28/337741328.db2.gz ZGZOIMJTZVHYGV-GFCCVEGCSA-N 0 3 301.377 4.211 20 0 DIADHN C[C@H](NC[C@@H](c1cccs1)N(C)C)c1ccc(Cl)s1 ZINC000035286265 337742683 /nfs/dbraw/zinc/74/26/83/337742683.db2.gz LUNMPTDMGUFZRR-QWRGUYRKSA-N 0 3 314.907 4.417 20 0 DIADHN CCN[C@H](c1ccccc1)c1cc(Br)ccc1F ZINC000035540514 337743983 /nfs/dbraw/zinc/74/39/83/337743983.db2.gz RORYGTXFGFZVDF-OAHLLOKOSA-N 0 3 308.194 4.287 20 0 DIADHN C[C@@H](NCC(=O)N(C)c1ccccc1)c1cc2ccccc2s1 ZINC000527485015 337744417 /nfs/dbraw/zinc/74/44/17/337744417.db2.gz HEHVBAYLJAFAGM-CQSZACIVSA-N 0 3 324.449 4.215 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@@H]1CCc2ccc(OC)cc21 ZINC000536861757 337746861 /nfs/dbraw/zinc/74/68/61/337746861.db2.gz DWCIYJVYCRNPIH-UXHICEINSA-N 0 3 323.436 4.358 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@@H](C)COCC(F)(F)F)cc1 ZINC000536865383 337747331 /nfs/dbraw/zinc/74/73/31/337747331.db2.gz XFNFSUDVFVJLAR-STQMWFEESA-N 0 3 319.367 4.093 20 0 DIADHN COc1cc([C@H](C)NCc2ccnc(C)c2)ccc1OC(C)C ZINC000527538126 337750244 /nfs/dbraw/zinc/75/02/44/337750244.db2.gz HTBROQCQUVYKGB-HNNXBMFYSA-N 0 3 314.429 4.037 20 0 DIADHN CC[C@H](NCc1ccc2cc[nH]c2c1)c1ccc2c(c1)OCCO2 ZINC000527642684 337753918 /nfs/dbraw/zinc/75/39/18/337753918.db2.gz IDCVECMJEOZRMH-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN FC(F)n1ccnc1CN[C@H]1CCC[C@@H](c2ccccc2)CC1 ZINC000527646948 337754251 /nfs/dbraw/zinc/75/42/51/337754251.db2.gz SOSLDKYXELRFRU-CVEARBPZSA-N 0 3 319.399 4.484 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2ccsc2C(F)(F)F)CCO1 ZINC000527681541 337755294 /nfs/dbraw/zinc/75/52/94/337755294.db2.gz BFQKZBSGRDUOSH-NWDGAFQWSA-N 0 3 307.381 4.060 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](NCc2ccsc2C(F)(F)F)CCO1 ZINC000527685898 337755763 /nfs/dbraw/zinc/75/57/63/337755763.db2.gz HACILMFGQJKVIC-NEPJUHHUSA-N 0 3 321.408 4.450 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000536872911 337757892 /nfs/dbraw/zinc/75/78/92/337757892.db2.gz YQBQPIRBLAQJHD-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN Clc1cc(CNCC2=CCCOC2)ccc1-c1ccccc1 ZINC000527707703 337758001 /nfs/dbraw/zinc/75/80/01/337758001.db2.gz HPIXZINWZIHMIX-UHFFFAOYSA-N 0 3 313.828 4.443 20 0 DIADHN C[C@@]1(CNCc2ccsc2C(F)(F)F)CC1(Cl)Cl ZINC000527713691 337758366 /nfs/dbraw/zinc/75/83/66/337758366.db2.gz RQNLIASQTABASV-VIFPVBQESA-N 0 3 318.191 4.440 20 0 DIADHN CC(C)(CNCc1ccsc1C(F)(F)F)c1cccnc1 ZINC000527719539 337758921 /nfs/dbraw/zinc/75/89/21/337758921.db2.gz HOOXFTVLVKQZNS-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CNCC[C@@H]2CCCOC2)o1 ZINC000527735913 337759668 /nfs/dbraw/zinc/75/96/68/337759668.db2.gz DTWLPBYOMABYRW-ZDUSSCGKSA-N 0 3 321.367 4.131 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1ccc2cc[nH]c2c1 ZINC000527692245 337760199 /nfs/dbraw/zinc/76/01/99/337760199.db2.gz MKQXNAVKOLQJCW-CYBMUJFWSA-N 0 3 310.445 4.279 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](c2cccc(C)c2)C(C)C)cc1 ZINC000527814949 337765945 /nfs/dbraw/zinc/76/59/45/337765945.db2.gz ZXBWHFFTNZUWFI-HXUWFJFHSA-N 0 3 310.441 4.440 20 0 DIADHN CC[C@H](CCc1ccccc1)NCc1csc([C@@H](C)OC)n1 ZINC000527869843 337766727 /nfs/dbraw/zinc/76/67/27/337766727.db2.gz NAEGOFBHPNYPEF-GDBMZVCRSA-N 0 3 318.486 4.352 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21)c1ccc(F)cn1 ZINC000527947291 337773794 /nfs/dbraw/zinc/77/37/94/337773794.db2.gz UXOWJTHGNSWBPF-MXSMSXNCSA-N 0 3 316.807 4.253 20 0 DIADHN Cc1cc([C@@H](NCc2ccc3cn(C)nc3c2)C2CC2)ccc1F ZINC000527960902 337780040 /nfs/dbraw/zinc/78/00/40/337780040.db2.gz GAEULGCUCJRHRX-FQEVSTJZSA-N 0 3 323.415 4.262 20 0 DIADHN Cc1ccc([C@@H](NCc2ccc3cn(C)nc3c2)C2CCCC2)o1 ZINC000527964745 337780577 /nfs/dbraw/zinc/78/05/77/337780577.db2.gz QWKOIBZWYKDYIX-FQEVSTJZSA-N 0 3 323.440 4.496 20 0 DIADHN Cn1cc2ccc(CN[C@H]3CCc4cc(Cl)ccc43)cc2n1 ZINC000527968331 337781145 /nfs/dbraw/zinc/78/11/45/337781145.db2.gz ZRZRBRYWHKNWRH-KRWDZBQOSA-N 0 3 311.816 4.004 20 0 DIADHN Cc1ccc(C)c([C@H](NCC2COC2)c2ccccc2Cl)c1 ZINC000528023440 337784399 /nfs/dbraw/zinc/78/43/99/337784399.db2.gz DATXKQOOIUGSKP-LJQANCHMSA-N 0 3 315.844 4.282 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2ccc(OCCO)cc2)cc1 ZINC000536874839 337788732 /nfs/dbraw/zinc/78/87/32/337788732.db2.gz FZTGOHSVYZKNDM-INIZCTEOSA-N 0 3 313.441 4.032 20 0 DIADHN C[C@H](CN[C@H](C)c1cc(F)c(F)c(F)c1)Oc1ccccc1 ZINC000536878155 337794524 /nfs/dbraw/zinc/79/45/24/337794524.db2.gz RRILVVYYMVEYPN-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](N[C@@H]2C[C@]2(F)c2ccccc2)C1 ZINC000424190345 337803749 /nfs/dbraw/zinc/80/37/49/337803749.db2.gz GBYRFJKCIODPKA-BARDWOONSA-N 0 3 301.327 4.334 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H](C)c2c(C)noc2C)c(Cl)c1 ZINC000424275203 337814288 /nfs/dbraw/zinc/81/42/88/337814288.db2.gz AMBFGFUPNAZWJY-WDEREUQCSA-N 0 3 322.836 4.408 20 0 DIADHN F[C@@]1(c2ccccc2)C[C@H]1NC1CCC(OC2CCCC2)CC1 ZINC000424314753 337819738 /nfs/dbraw/zinc/81/97/38/337819738.db2.gz CVXDZXBFWAXYJL-PEVDHWGLSA-N 0 3 317.448 4.484 20 0 DIADHN Fc1ccccc1-c1nc2c(s1)[C@H](N[C@H]1CC13CC3)CCC2 ZINC000424321392 337820511 /nfs/dbraw/zinc/82/05/11/337820511.db2.gz KICJLMUVCMUARV-HIFRSBDPSA-N 0 3 314.429 4.469 20 0 DIADHN C[C@@H](N[C@@H]1CC12CC2)c1cc2cc(Br)ccc2o1 ZINC000424329016 337821614 /nfs/dbraw/zinc/82/16/14/337821614.db2.gz RMBXWDVMEITMBA-YMTOWFKASA-N 0 3 306.203 4.398 20 0 DIADHN c1coc([C@@H]2C[C@H]2N[C@H](Cc2ccncc2)c2cccs2)c1 ZINC000424337430 337822853 /nfs/dbraw/zinc/82/28/53/337822853.db2.gz RTGSXXQFYNMHQH-BZUAXINKSA-N 0 3 310.422 4.166 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2ccc3[nH]ccc3c2)c1 ZINC000107550678 337830375 /nfs/dbraw/zinc/83/03/75/337830375.db2.gz FTAJXIVWIDNPQB-UHFFFAOYSA-N 0 3 321.424 4.262 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N(CC(C)(C)C)CC(C)(C)C)c1 ZINC000424428608 337832711 /nfs/dbraw/zinc/83/27/11/337832711.db2.gz UIFOMMQDZNJFOJ-UHFFFAOYSA-N 0 3 319.493 4.380 20 0 DIADHN C[C@H](NCc1cccc2c1OC(C)(C)C2)[C@H]1COc2ccccc21 ZINC000533178374 337833173 /nfs/dbraw/zinc/83/31/73/337833173.db2.gz HLYIXHRSQWHACY-KBXCAEBGSA-N 0 3 323.436 4.054 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000536904295 337846390 /nfs/dbraw/zinc/84/63/90/337846390.db2.gz ISBFHCOMTHFFTH-HZPDHXFCSA-N 0 3 321.873 4.411 20 0 DIADHN CCCc1ccc([C@H](C)N[C@H](CO)c2sccc2C)cc1 ZINC000536911715 337847745 /nfs/dbraw/zinc/84/77/45/337847745.db2.gz XEIWAYCPRFOAKG-WMLDXEAASA-N 0 3 303.471 4.393 20 0 DIADHN CC(C)[C@@H](NCc1cccc(F)c1)c1ccc2[nH]c(=O)oc2c1 ZINC000536961846 337858476 /nfs/dbraw/zinc/85/84/76/337858476.db2.gz PXHKMPLASNBQOA-QGZVFWFLSA-N 0 3 314.360 4.159 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(OC)c(F)c1 ZINC000119550746 337861272 /nfs/dbraw/zinc/86/12/72/337861272.db2.gz ASTRAILPOXCCBP-AWEZNQCLSA-N 0 3 317.404 4.222 20 0 DIADHN COc1cc([C@@H](C)NCCOCCC(C)C)ccc1SC ZINC000119551147 337861295 /nfs/dbraw/zinc/86/12/95/337861295.db2.gz JWHBHKQTITWHIR-CQSZACIVSA-N 0 3 311.491 4.130 20 0 DIADHN C[C@H](N[C@@H](c1cccc(F)c1)C1CCCC1)c1cnn(C)c1 ZINC000119733436 337863102 /nfs/dbraw/zinc/86/31/02/337863102.db2.gz NOQDSNKPAVLSHN-SCLBCKFNSA-N 0 3 301.409 4.141 20 0 DIADHN Cc1cc([C@@H](N[C@H]2CN(C)Cc3ccccc32)C2CC2)ccc1F ZINC000537012353 337864429 /nfs/dbraw/zinc/86/44/29/337864429.db2.gz MRNZDLOAUWSACJ-SFTDATJTSA-N 0 3 324.443 4.362 20 0 DIADHN Cc1nn(C)c(C)c1C[C@@H](C)N[C@@H](C)c1ccc(F)cc1Cl ZINC000120555375 337876531 /nfs/dbraw/zinc/87/65/31/337876531.db2.gz BXOPIQMZNPPBDZ-MNOVXSKESA-N 0 3 323.843 4.111 20 0 DIADHN CN(C)c1ccccc1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000090037322 337877485 /nfs/dbraw/zinc/87/74/85/337877485.db2.gz FKFSKMMEACRGMH-PXNSSMCTSA-N 0 3 324.468 4.010 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](OC)c2ccc(F)cc2)s1 ZINC000090176502 337878536 /nfs/dbraw/zinc/87/85/36/337878536.db2.gz QJXOUJIRLROBPY-NHYWBVRUSA-N 0 3 322.449 4.191 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N[C@@H](C)C(C)(C)c2ccccc2)o1 ZINC000537053184 337884535 /nfs/dbraw/zinc/88/45/35/337884535.db2.gz GLEMYSQTEFYLRQ-KGLIPLIRSA-N 0 3 315.413 4.083 20 0 DIADHN CC[C@@H](O)CN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000537066834 337885810 /nfs/dbraw/zinc/88/58/10/337885810.db2.gz QMJMELMMFIWWTK-UKRRQHHQSA-N 0 3 303.377 4.040 20 0 DIADHN CC(C)[C@H](CN[C@@H](C)c1nc2ccccc2n1C)c1cccnc1 ZINC000091368400 337891884 /nfs/dbraw/zinc/89/18/84/337891884.db2.gz FEQZSKJRBTYMEH-RDJZCZTQSA-N 0 3 322.456 4.059 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCC(=O)N(C)[C@H](C)c2ccccc2)c1 ZINC000537106901 337892037 /nfs/dbraw/zinc/89/20/37/337892037.db2.gz YZJDPSHIDMXMQJ-QZTJIDSGSA-N 0 3 324.468 4.174 20 0 DIADHN C[C@@H](NC1CCN([C@H](C)c2ccccc2)CC1)c1nccs1 ZINC000091725738 337894814 /nfs/dbraw/zinc/89/48/14/337894814.db2.gz LZSSVRRKHAZBJX-HUUCEWRRSA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H](NC1CCN([C@@H](C)c2ccccc2)CC1)c1nccs1 ZINC000091725736 337894828 /nfs/dbraw/zinc/89/48/28/337894828.db2.gz LZSSVRRKHAZBJX-CABCVRRESA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H](NCc1cscn1)C(c1ccccc1)c1ccccc1 ZINC000060523680 337916138 /nfs/dbraw/zinc/91/61/38/337916138.db2.gz IDBBDKZTRDZOFR-OAHLLOKOSA-N 0 3 308.450 4.453 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(OC)c(Cl)c2)cc1F ZINC000122906057 337928000 /nfs/dbraw/zinc/92/80/00/337928000.db2.gz RTXNSAYDYGFDMI-NSHDSACASA-N 0 3 323.795 4.347 20 0 DIADHN CC[C@@H](NCC(=O)Nc1c(Cl)cccc1Cl)C(C)(C)C ZINC000537291937 337928484 /nfs/dbraw/zinc/92/84/84/337928484.db2.gz SYQLVXCGIPFXEI-GFCCVEGCSA-N 0 3 317.260 4.346 20 0 DIADHN CCn1c(CNCC(C)(C)Oc2ccccc2)nc2ccccc21 ZINC000537299203 337929467 /nfs/dbraw/zinc/92/94/67/337929467.db2.gz ASQDPBXFBGXYRZ-UHFFFAOYSA-N 0 3 323.440 4.003 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000537305919 337929983 /nfs/dbraw/zinc/92/99/83/337929983.db2.gz AWOQEOGHLGTISD-DPMMWBKBSA-N 0 3 317.379 4.318 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537481067 337937586 /nfs/dbraw/zinc/93/75/86/337937586.db2.gz GVGNVQSFBBVFQF-AHIWAGSCSA-N 0 3 309.400 4.135 20 0 DIADHN C[C@H](NC1[C@H](C)CCC[C@H]1C)c1ncc(Br)s1 ZINC000537468177 337938636 /nfs/dbraw/zinc/93/86/36/337938636.db2.gz VOWUXMRRNHIMMK-BBBLOLIVSA-N 0 3 317.296 4.381 20 0 DIADHN Cn1ccc(CNCc2ccc(Oc3ccc(F)cc3)cc2)c1 ZINC000123012761 337940311 /nfs/dbraw/zinc/94/03/11/337940311.db2.gz JPOQYJDRBBNJKE-UHFFFAOYSA-N 0 3 310.372 4.246 20 0 DIADHN c1csc(-c2ncc(CN3C[C@H]4CCCC[C@@H]4C3)s2)c1 ZINC000537627147 337949954 /nfs/dbraw/zinc/94/99/54/337949954.db2.gz NMAOWMMMXNVZGX-CHWSQXEVSA-N 0 3 304.484 4.494 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000123204600 337959318 /nfs/dbraw/zinc/95/93/18/337959318.db2.gz YVNOBTRXRYGWKM-QRTARXTBSA-N 0 3 316.470 4.418 20 0 DIADHN CCCC[C@@H](CC)CCNCC(=O)Nc1c(C)cccc1C ZINC000537754341 337960642 /nfs/dbraw/zinc/96/06/42/337960642.db2.gz VMDLYHJBQXCDGQ-QGZVFWFLSA-N 0 3 304.478 4.438 20 0 DIADHN CC(C)COc1ccc(CCN[C@@H](C)c2ccc(F)cn2)cc1 ZINC000537761662 337961600 /nfs/dbraw/zinc/96/16/00/337961600.db2.gz BZIXYWRNUZIEIU-HNNXBMFYSA-N 0 3 316.420 4.149 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(OC)cc1C)CCC2 ZINC000537960736 337961638 /nfs/dbraw/zinc/96/16/38/337961638.db2.gz HRGYQGQMVQSGNQ-HNNXBMFYSA-N 0 3 316.470 4.190 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1cnc(C2CC2)s1 ZINC000537772975 337961831 /nfs/dbraw/zinc/96/18/31/337961831.db2.gz CUSVXYUQEYJLLN-BDJLRTHQSA-N 0 3 320.433 4.025 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(Cc3cccnc3)CC1)CC2 ZINC000537843828 337963269 /nfs/dbraw/zinc/96/32/69/337963269.db2.gz XBLWJXBWCYFVND-FQEVSTJZSA-N 0 3 310.416 4.163 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000537883483 337964440 /nfs/dbraw/zinc/96/44/40/337964440.db2.gz SWQXXFIDRLMCGG-STQMWFEESA-N 0 3 304.459 4.287 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C[C@@H]2CCCc3ccccc32)cc1 ZINC000520817750 337968356 /nfs/dbraw/zinc/96/83/56/337968356.db2.gz JTENWTARUGGXAG-SFHVURJKSA-N 0 3 322.452 4.197 20 0 DIADHN CC(C)Oc1cccc(CN2CCC(C3CCOCC3)CC2)c1 ZINC000514744487 337969868 /nfs/dbraw/zinc/96/98/68/337969868.db2.gz ATIBEJYSFOOKQG-UHFFFAOYSA-N 0 3 317.473 4.112 20 0 DIADHN Cc1ccc(CN[C@H]2c3ccccc3CC23CCOCC3)c(C)c1 ZINC000514821083 337971329 /nfs/dbraw/zinc/97/13/29/337971329.db2.gz ZTMOHXVFLGKXIM-NRFANRHFSA-N 0 3 321.464 4.487 20 0 DIADHN Cc1ccc(C2CC2)c(C(=O)Nc2cc(C)cc(CN(C)C)c2)c1 ZINC000424757513 337977585 /nfs/dbraw/zinc/97/75/85/337977585.db2.gz UJUJOYSXNQNKHU-UHFFFAOYSA-N 0 3 322.452 4.495 20 0 DIADHN NC[C@H](N[C@H]1CCCc2occc21)c1ccc2ccccc2c1 ZINC000515053432 337977712 /nfs/dbraw/zinc/97/77/12/337977712.db2.gz AVBVSXFGTBAOGP-OALUTQOASA-N 0 3 306.409 4.100 20 0 DIADHN COC1(CCN[C@@H](CC(F)(F)F)c2ccccc2)CCC1 ZINC000314988229 337978017 /nfs/dbraw/zinc/97/80/17/337978017.db2.gz VDVCDJOYMVJHLF-AWEZNQCLSA-N 0 3 301.352 4.229 20 0 DIADHN Cc1cccc(OCCN[C@@H](C)c2cnc3ccsc3c2)c1 ZINC000315188643 337981900 /nfs/dbraw/zinc/98/19/00/337981900.db2.gz ADIUDKOUAFYQJX-AWEZNQCLSA-N 0 3 312.438 4.334 20 0 DIADHN [O-]c1cc(C[NH2+]CC2CCC(F)(F)CC2)cc(C(F)(F)F)c1 ZINC000515924338 338001310 /nfs/dbraw/zinc/00/13/10/338001310.db2.gz GEWGVTIEJFFTHG-UHFFFAOYSA-N 0 3 323.305 4.326 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C)c(F)c2)cc(OC)c1 ZINC000088511389 338003484 /nfs/dbraw/zinc/00/34/84/338003484.db2.gz IHLIIGJQOKZQJZ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(C)c(F)c2)cc1OC ZINC000088511479 338003618 /nfs/dbraw/zinc/00/36/18/338003618.db2.gz DFIHQQDQERMNNS-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN Cc1cccc(C)c1CNC1(c2ccc(F)cc2)CCOCC1 ZINC000516118149 338005744 /nfs/dbraw/zinc/00/57/44/338005744.db2.gz SIMFBLKAAGLUJA-UHFFFAOYSA-N 0 3 313.416 4.238 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2csc(-c3ccccn3)n2)C[C@@H]1C ZINC000085039609 338006012 /nfs/dbraw/zinc/00/60/12/338006012.db2.gz NXSUQKIERZKFKR-HZSPNIEDSA-N 0 3 301.459 4.119 20 0 DIADHN COC(=O)c1ccc(CN(Cc2ccc(C)s2)C(C)C)cc1 ZINC000516264234 338009101 /nfs/dbraw/zinc/00/91/01/338009101.db2.gz JFTFWKASIUGYMX-UHFFFAOYSA-N 0 3 317.454 4.254 20 0 DIADHN COCC1(CCN[C@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC000516290796 338010047 /nfs/dbraw/zinc/01/00/47/338010047.db2.gz WUFGUDPSIKGGOT-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN CCc1ccc(CN2CCCCC[C@@H]2c2c(C)n[nH]c2C)o1 ZINC000516347765 338010385 /nfs/dbraw/zinc/01/03/85/338010385.db2.gz GNVLFMAMWMNEJL-QGZVFWFLSA-N 0 3 301.434 4.299 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)o1)C1CCC(CO)CC1 ZINC000516441683 338012383 /nfs/dbraw/zinc/01/23/83/338012383.db2.gz AKLKWKHMKQLCSE-UHFFFAOYSA-N 0 3 315.413 4.055 20 0 DIADHN CCc1ccc2nccc(N3CC[C@H](c4cccnc4)C3)c2c1 ZINC000516460574 338013014 /nfs/dbraw/zinc/01/30/14/338013014.db2.gz RDBIVJYKFNEKHJ-KRWDZBQOSA-N 0 3 303.409 4.186 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](C)CC(F)(F)F)cc1OC ZINC000516474913 338013125 /nfs/dbraw/zinc/01/31/25/338013125.db2.gz AYRNOMXMIWYTLW-QWRGUYRKSA-N 0 3 305.340 4.004 20 0 DIADHN CC[C@@H](NCC(C)(C)COC)c1ccccc1Br ZINC000426304226 338025753 /nfs/dbraw/zinc/02/57/53/338025753.db2.gz QQSQDNGIHIUACN-CQSZACIVSA-N 0 3 314.267 4.162 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC[C@@H]1C1CCOCC1 ZINC000426158234 338021676 /nfs/dbraw/zinc/02/16/76/338021676.db2.gz BRIGCEFKBCLTAK-QGZVFWFLSA-N 0 3 323.864 4.130 20 0 DIADHN CC(C)c1nnc(CCN[C@H](C)c2ccccc2Cl)s1 ZINC000426298787 338025465 /nfs/dbraw/zinc/02/54/65/338025465.db2.gz PKAJCYGMUHIUCA-LLVKDONJSA-N 0 3 309.866 4.208 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2ccccc2Cl)s1 ZINC000426298788 338025483 /nfs/dbraw/zinc/02/54/83/338025483.db2.gz PKAJCYGMUHIUCA-NSHDSACASA-N 0 3 309.866 4.208 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2ccc3c(c2)CCC3)s1 ZINC000426301140 338025593 /nfs/dbraw/zinc/02/55/93/338025593.db2.gz LTIRTGLMPLDZQF-ZDUSSCGKSA-N 0 3 315.486 4.044 20 0 DIADHN CCCCO[C@@H]1C[C@H](NCc2oc(CCC)nc2C)C1(C)C ZINC000426300417 338025608 /nfs/dbraw/zinc/02/56/08/338025608.db2.gz FPFYJVWTIAGHOY-JKSUJKDBSA-N 0 3 308.466 4.009 20 0 DIADHN Cc1nnsc1CN[C@H](Cc1cccc(Cl)c1)CC(C)C ZINC000426308912 338025984 /nfs/dbraw/zinc/02/59/84/338025984.db2.gz XTRRPQISMWZSIL-HNNXBMFYSA-N 0 3 323.893 4.247 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2cc3ccccc3n(C)c2=O)c1 ZINC000426309377 338026119 /nfs/dbraw/zinc/02/61/19/338026119.db2.gz GSMNGUWPXKXAEQ-INIZCTEOSA-N 0 3 320.436 4.006 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@H](C)[C@@H]1OCCc2sccc21 ZINC000426308664 338026121 /nfs/dbraw/zinc/02/61/21/338026121.db2.gz FWNLYFHLSGJDQO-XUJVJEKNSA-N 0 3 307.484 4.471 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)c(C)o1 ZINC000426314698 338026483 /nfs/dbraw/zinc/02/64/83/338026483.db2.gz QSQFSDLCZBLSEZ-JKDFXYPNSA-N 0 3 305.443 4.311 20 0 DIADHN CC[C@H](NCCc1nnc(C(C)C)s1)c1cccc(F)c1 ZINC000426315831 338026649 /nfs/dbraw/zinc/02/66/49/338026649.db2.gz YNPDCAGGBCTGES-AWEZNQCLSA-N 0 3 307.438 4.084 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1ccc(F)c(F)c1 ZINC000426321396 338026858 /nfs/dbraw/zinc/02/68/58/338026858.db2.gz CPRQXKUHMSMACR-GXTWGEPZSA-N 0 3 320.358 4.033 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@@H](c2nccn2C)C2CC2)c(C)c1 ZINC000426322870 338026892 /nfs/dbraw/zinc/02/68/92/338026892.db2.gz AGISYJXGLSNCSC-GOSISDBHSA-N 0 3 311.473 4.055 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2F)C1)c1cc(F)ccc1F ZINC000426322615 338026935 /nfs/dbraw/zinc/02/69/35/338026935.db2.gz JPECOUWZLJWRJE-JSGCOSHPSA-N 0 3 320.358 4.033 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@@H](OCC)C2(CC)CC)o1 ZINC000426328048 338027248 /nfs/dbraw/zinc/02/72/48/338027248.db2.gz AYFWWJJFWFMRGY-JKSUJKDBSA-N 0 3 308.466 4.009 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2ccc(C)cc2)o1 ZINC000426330499 338027397 /nfs/dbraw/zinc/02/73/97/338027397.db2.gz MWQKPYVRPBZADT-HNNXBMFYSA-N 0 3 300.446 4.355 20 0 DIADHN CCc1nc(C)c(CN[C@@H]2CCC[C@@H]2CCc2ccccc2)o1 ZINC000426332218 338027412 /nfs/dbraw/zinc/02/74/12/338027412.db2.gz CBXRJCSQIWURAH-QZTJIDSGSA-N 0 3 312.457 4.437 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)CCc2cccc(F)c2)o1 ZINC000426331515 338027452 /nfs/dbraw/zinc/02/74/52/338027452.db2.gz SCOJQUTVDMBVQK-ZDUSSCGKSA-N 0 3 304.409 4.186 20 0 DIADHN CCCc1nc(C)c(CN[C@H](Cc2ccccc2)C(C)C)o1 ZINC000426347088 338028232 /nfs/dbraw/zinc/02/82/32/338028232.db2.gz KIJCKNAUYSTYQS-QGZVFWFLSA-N 0 3 300.446 4.292 20 0 DIADHN Cc1nc2ccc(CN(C)C[C@@H](C)c3nccs3)cc2s1 ZINC000426365245 338028980 /nfs/dbraw/zinc/02/89/80/338028980.db2.gz KPZPAZNODSKWGF-LLVKDONJSA-N 0 3 317.483 4.297 20 0 DIADHN CN(Cc1cc2ccc(F)cc2[nH]1)Cc1cccc2[nH]ccc21 ZINC000426394691 338030355 /nfs/dbraw/zinc/03/03/55/338030355.db2.gz KKFYVUXCDQYPBU-UHFFFAOYSA-N 0 3 307.372 4.420 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN[C@@H](c1ccccc1)C(C)C ZINC000426397448 338030454 /nfs/dbraw/zinc/03/04/54/338030454.db2.gz SELXDGMGMKRZGK-GOSISDBHSA-N 0 3 315.413 4.116 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@H]4CCC[C@H]43)co2)cc1 ZINC000426471198 338033182 /nfs/dbraw/zinc/03/31/82/338033182.db2.gz MDAOZJPEILSJKV-RDTXWAMCSA-N 0 3 312.413 4.115 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@H]4CCC[C@@H]43)cs2)c1 ZINC000426471234 338033274 /nfs/dbraw/zinc/03/32/74/338033274.db2.gz VUJOFGSPVOZESY-DYVFJYSZSA-N 0 3 314.454 4.193 20 0 DIADHN Clc1ccc(-c2nc(CN3CC[C@H]4CCC[C@H]43)co2)cc1 ZINC000426491128 338033535 /nfs/dbraw/zinc/03/35/35/338033535.db2.gz FJYRXVSYPGUSMZ-MLGOLLRUSA-N 0 3 302.805 4.369 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN1C[C@H]2CCCC[C@@H]2C1 ZINC000426764435 338041341 /nfs/dbraw/zinc/04/13/41/338041341.db2.gz JHMPPQWROAZPER-IAGOWNOFSA-N 0 3 312.482 4.356 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2oc(C(C)C)nc2C)C1 ZINC000428201492 338042255 /nfs/dbraw/zinc/04/22/55/338042255.db2.gz BHCKRHPTQVWEPJ-OAHLLOKOSA-N 0 3 314.429 4.105 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@@H]2Cc2ccc(F)cc2)o1 ZINC000428217264 338042721 /nfs/dbraw/zinc/04/27/21/338042721.db2.gz GRLKGUVATQHBTI-QGZVFWFLSA-N 0 3 316.420 4.282 20 0 DIADHN CCCN(Cc1oc(C(C)C)nc1C)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000428218648 338042815 /nfs/dbraw/zinc/04/28/15/338042815.db2.gz WJWHQORNHYCXCS-DJIMGWMZSA-N 0 3 320.477 4.132 20 0 DIADHN CCCN(Cc1oc(C(C)C)nc1C)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000428218653 338042840 /nfs/dbraw/zinc/04/28/40/338042840.db2.gz WJWHQORNHYCXCS-UAGQMJEPSA-N 0 3 320.477 4.132 20 0 DIADHN Cc1ccc(C)n1C1CCN(Cc2oc(C(C)C)nc2C)CC1 ZINC000428226499 338043119 /nfs/dbraw/zinc/04/31/19/338043119.db2.gz HJAQPDAGUNVELT-UHFFFAOYSA-N 0 3 315.461 4.362 20 0 DIADHN CCc1nc(C)c(CN(C)[C@@H](CC)Cc2ccc(C)cc2)o1 ZINC000428234639 338043685 /nfs/dbraw/zinc/04/36/85/338043685.db2.gz CIKZGPZDONNDQB-KRWDZBQOSA-N 0 3 300.446 4.307 20 0 DIADHN CCCc1nc(C)c(CN(C)[C@H](C)Cc2ccc(C)cc2)o1 ZINC000428236393 338043734 /nfs/dbraw/zinc/04/37/34/338043734.db2.gz HCRCIQIPSNJXKS-OAHLLOKOSA-N 0 3 300.446 4.307 20 0 DIADHN CCc1nc(C)c(CN2CC[C@H](c3ccc(Cl)cc3)C2)o1 ZINC000428247831 338044271 /nfs/dbraw/zinc/04/42/71/338044271.db2.gz OKZJRYBELXBDKD-AWEZNQCLSA-N 0 3 304.821 4.188 20 0 DIADHN CCc1nc(C)c(CN2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)o1 ZINC000428250270 338044389 /nfs/dbraw/zinc/04/43/89/338044389.db2.gz ZEHABYNEPUZBPZ-WFASDCNBSA-N 0 3 302.393 4.063 20 0 DIADHN Cc1cc(CN2CCCCC[C@H]2/C=C\c2ccccc2)ncn1 ZINC000428252557 338044589 /nfs/dbraw/zinc/04/45/89/338044589.db2.gz JEGSJTQZVADGCL-DUQGCJEPSA-N 0 3 307.441 4.243 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2oc(CC)nc2C)C12CCCCC2 ZINC000428255853 338044694 /nfs/dbraw/zinc/04/46/94/338044694.db2.gz LWVBGXBESHOLJC-DLBZAZTESA-N 0 3 320.477 4.105 20 0 DIADHN CO[C@@H]1CC[C@@H]2OCCN(CC[C@@H](C)CCC=C(C)C)[C@@H]2C1 ZINC000428284782 338045582 /nfs/dbraw/zinc/04/55/82/338045582.db2.gz CYLIRSGFJIGCKU-MANSERQUSA-N 0 3 309.494 4.027 20 0 DIADHN CCC(CC)[C@@H](NCc1n[nH]c(C(C)C)n1)c1cccs1 ZINC000428323380 338047198 /nfs/dbraw/zinc/04/71/98/338047198.db2.gz WMUBPDPUPRLXSY-OAHLLOKOSA-N 0 3 306.479 4.257 20 0 DIADHN CCC(CC)(NCc1n[nH]c(C(C)C)n1)c1ccc(Cl)cc1 ZINC000428359806 338048619 /nfs/dbraw/zinc/04/86/19/338048619.db2.gz BRCLRTKLMZBRQM-UHFFFAOYSA-N 0 3 320.868 4.387 20 0 DIADHN Cc1ccc(C(C)(C)NC[C@@H](OC(C)C)c2ccccc2)cn1 ZINC000428436447 338051336 /nfs/dbraw/zinc/05/13/36/338051336.db2.gz ICALSPMJBADYOR-LJQANCHMSA-N 0 3 312.457 4.381 20 0 DIADHN CC(C)CC[C@H](N[C@@H]1CCN(c2ccccn2)C1)c1ccoc1 ZINC000428456002 338052683 /nfs/dbraw/zinc/05/26/83/338052683.db2.gz KBORMHSKZABMJR-MSOLQXFVSA-N 0 3 313.445 4.020 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@@H]3CCC[C@H]32)cc1Cl ZINC000428456330 338052729 /nfs/dbraw/zinc/05/27/29/338052729.db2.gz UPLHXGSGXFIDCB-WCQYABFASA-N 0 3 301.764 4.316 20 0 DIADHN CC[C@]1(CO)CCCN(Cc2c(Cl)oc3ccccc32)C1 ZINC000428464589 338053457 /nfs/dbraw/zinc/05/34/57/338053457.db2.gz XTMDDHDUYABLMX-KRWDZBQOSA-N 0 3 307.821 4.071 20 0 DIADHN CCN(Cc1cnc2ccc(C)cn12)[C@@H](C)Cc1ccsc1 ZINC000428468097 338053712 /nfs/dbraw/zinc/05/37/12/338053712.db2.gz COYUBIYCZWRQDZ-HNNXBMFYSA-N 0 3 313.470 4.157 20 0 DIADHN Fc1ccccc1-c1csc(CNC[C@@H]2CC=CCC2)n1 ZINC000428471354 338054282 /nfs/dbraw/zinc/05/42/82/338054282.db2.gz XCOPZETYWZSYER-CYBMUJFWSA-N 0 3 302.418 4.395 20 0 DIADHN CCC(C)(C)CNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000428532056 338055633 /nfs/dbraw/zinc/05/56/33/338055633.db2.gz BIARVLBHDHTNCG-UHFFFAOYSA-N 0 3 318.442 4.065 20 0 DIADHN c1ccc(COc2ccccc2CN[C@@H]2CC23CCCC3)nc1 ZINC000428553587 338056064 /nfs/dbraw/zinc/05/60/64/338056064.db2.gz XXOHZAZZKOFOHH-LJQANCHMSA-N 0 3 308.425 4.083 20 0 DIADHN CC(C)c1ncc(CNC[C@H](C)Oc2ccc(Cl)cc2)s1 ZINC000428564736 338056433 /nfs/dbraw/zinc/05/64/33/338056433.db2.gz XZEXZOBQAOEWGZ-LBPRGKRZSA-N 0 3 324.877 4.477 20 0 DIADHN Clc1ccc(C2CCN(C[C@H]3CCOC3)CC2)c(Cl)c1 ZINC000428705304 338058808 /nfs/dbraw/zinc/05/88/08/338058808.db2.gz CEGIGJCWJOPJRO-GFCCVEGCSA-N 0 3 314.256 4.209 20 0 DIADHN C[C@]12CCN(CCOc3ccc4ccccc4c3)C[C@H]1C2(F)F ZINC000428714434 338059202 /nfs/dbraw/zinc/05/92/02/338059202.db2.gz VMHDKJGABNFWPT-MSOLQXFVSA-N 0 3 317.379 4.196 20 0 DIADHN C[C@@H](O)CCCN1CCC(c2ccc(Cl)cc2Cl)CC1 ZINC000428755463 338060575 /nfs/dbraw/zinc/06/05/75/338060575.db2.gz YTQVGMKKXBLVIO-GFCCVEGCSA-N 0 3 316.272 4.334 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2nc3ccc(F)cc3s2)C1(C)C ZINC000428846701 338061921 /nfs/dbraw/zinc/06/19/21/338061921.db2.gz CTSCJXINOWKHAJ-CABCVRRESA-N 0 3 322.449 4.117 20 0 DIADHN CN(C)Cc1cccc(NCc2ccc(C(F)(F)F)s2)c1 ZINC000428859551 338062840 /nfs/dbraw/zinc/06/28/40/338062840.db2.gz HYTLCJAFJKJKNP-UHFFFAOYSA-N 0 3 314.376 4.441 20 0 DIADHN FC(F)(F)c1ccc(CNCc2ccc3c(c2)COC3)s1 ZINC000428867985 338063399 /nfs/dbraw/zinc/06/33/99/338063399.db2.gz RRIIOQHPJYTTLQ-UHFFFAOYSA-N 0 3 313.344 4.087 20 0 DIADHN COC1CCC(CCNCc2nc3ccc(F)cc3s2)CC1 ZINC000428869632 338063537 /nfs/dbraw/zinc/06/35/37/338063537.db2.gz VWHXXSAFNIGMHA-UHFFFAOYSA-N 0 3 322.449 4.120 20 0 DIADHN Cc1ccccc1CCNCc1nc2ccc(F)cc2s1 ZINC000428879132 338064292 /nfs/dbraw/zinc/06/42/92/338064292.db2.gz GEZKPCRGCOPXOQ-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(c3cccc(Cl)c3)CC2)cn1 ZINC000428965980 338067137 /nfs/dbraw/zinc/06/71/37/338067137.db2.gz UKLPAHXHGFLPFW-AWEZNQCLSA-N 0 3 315.848 4.379 20 0 DIADHN Clc1ccc2c(c1)[C@@H](N1CCOC[C@@H](C3CCC3)C1)CC2 ZINC000429031498 338069300 /nfs/dbraw/zinc/06/93/00/338069300.db2.gz ULKBNVRZFQIFEC-YJBOKZPZSA-N 0 3 305.849 4.076 20 0 DIADHN Clc1ccc2c(c1)[C@H](N1CCOC[C@@H](C3CCC3)C1)CC2 ZINC000429031494 338069384 /nfs/dbraw/zinc/06/93/84/338069384.db2.gz ULKBNVRZFQIFEC-MAUKXSAKSA-N 0 3 305.849 4.076 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(C[C@@H]3CCCCO3)C2)c1 ZINC000429111991 338070891 /nfs/dbraw/zinc/07/08/91/338070891.db2.gz ASDRNOHXXZOSPF-GOEBONIOSA-N 0 3 311.878 4.076 20 0 DIADHN COCC[C@@H](c1ccccc1)N1Cc2ccccc2O[C@@H](C)C1 ZINC000429127513 338071406 /nfs/dbraw/zinc/07/14/06/338071406.db2.gz CHVBUNKBVCTGCT-LPHOPBHVSA-N 0 3 311.425 4.047 20 0 DIADHN Clc1cccc(S[C@@H]2CCN(CCOC3CCC3)C2)c1 ZINC000429133263 338071513 /nfs/dbraw/zinc/07/15/13/338071513.db2.gz YCRMCUVYJMDQQL-MRXNPFEDSA-N 0 3 311.878 4.076 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C1CCC1)C1CCC1 ZINC000429149007 338071857 /nfs/dbraw/zinc/07/18/57/338071857.db2.gz FWSHNYNSCYDSJF-UHFFFAOYSA-N 0 3 300.446 4.156 20 0 DIADHN C[C@H](NCCSc1ccccc1)[C@H]1OCCc2sccc21 ZINC000429165213 338072379 /nfs/dbraw/zinc/07/23/79/338072379.db2.gz ZAMSTAPEWGBLQK-SUMWQHHRSA-N 0 3 319.495 4.132 20 0 DIADHN Cc1cccnc1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000429173052 338072523 /nfs/dbraw/zinc/07/25/23/338072523.db2.gz UIHBLRDSOISMCM-MRXNPFEDSA-N 0 3 318.873 4.410 20 0 DIADHN C[C@@H](N[C@H]1Cc2cccc(O)c2C1)c1cccc(Cl)c1F ZINC000429248307 338074754 /nfs/dbraw/zinc/07/47/54/338074754.db2.gz YVYQRWASRVYNLR-PWSUYJOCSA-N 0 3 305.780 4.003 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000429273153 338075708 /nfs/dbraw/zinc/07/57/08/338075708.db2.gz LUVDZWYBOZAXFF-CYBMUJFWSA-N 0 3 320.433 4.056 20 0 DIADHN CSC[C@@H]1CCCN([C@@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000429277522 338075926 /nfs/dbraw/zinc/07/59/26/338075926.db2.gz VVGYDHZXFLHSAT-LSDHHAIUSA-N 0 3 316.470 4.478 20 0 DIADHN CC[C@H]1CCN1Cc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000429281063 338076033 /nfs/dbraw/zinc/07/60/33/338076033.db2.gz TXZOOJDOPKJCGC-KRWDZBQOSA-N 0 3 317.864 4.186 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000429285237 338076337 /nfs/dbraw/zinc/07/63/37/338076337.db2.gz GCKYIWHOZIWXFM-ZDUSSCGKSA-N 0 3 319.392 4.160 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc(Cl)cc3cccnc32)C1 ZINC000429300971 338077181 /nfs/dbraw/zinc/07/71/81/338077181.db2.gz KAUJADTWAXBMJI-ZDUSSCGKSA-N 0 3 320.889 4.463 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc(C)ccc2OC(F)F)C1 ZINC000429313717 338077399 /nfs/dbraw/zinc/07/73/99/338077399.db2.gz XZFKWWJJJMAKBE-CYBMUJFWSA-N 0 3 315.429 4.171 20 0 DIADHN CC(C)N(C(=O)C(C)C(F)(F)F)c1cnc2ccccc2c1 ZINC000448459830 338078169 /nfs/dbraw/zinc/07/81/69/338078169.db2.gz SAOYSGQLDOVWDZ-LLVKDONJSA-N 0 3 310.319 4.175 20 0 DIADHN COC1(C[C@@H](C)N[C@@H]2CCOc3c(Cl)cccc32)CCC1 ZINC000429422750 338080392 /nfs/dbraw/zinc/08/03/92/338080392.db2.gz AOUXQLVBLPSREB-IUODEOHRSA-N 0 3 309.837 4.101 20 0 DIADHN COC1(C[C@H](C)NCc2cc(Cl)sc2Cl)CCC1 ZINC000429427595 338080639 /nfs/dbraw/zinc/08/06/39/338080639.db2.gz PFXYSUZGTKHCQB-VIFPVBQESA-N 0 3 308.274 4.492 20 0 DIADHN C[C@@H](NC1CC(F)(F)C1)c1ccc(Br)c(F)c1 ZINC000429451852 338081504 /nfs/dbraw/zinc/08/15/04/338081504.db2.gz PSBIRENQEJNXAN-SSDOTTSWSA-N 0 3 308.141 4.037 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1cc2c(ccc3ccccc23)o1 ZINC000429462244 338081951 /nfs/dbraw/zinc/08/19/51/338081951.db2.gz PUWHQOJMOUOGMM-YPMHNXCESA-N 0 3 319.351 4.253 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000429562154 338084121 /nfs/dbraw/zinc/08/41/21/338084121.db2.gz YRNWTKNVVJTXJD-FZMZJTMJSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@@H]1CC[C@H](C)C1 ZINC000429568573 338084459 /nfs/dbraw/zinc/08/44/59/338084459.db2.gz JKEIICWVGGRXOW-GOEBONIOSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H]1CC[C@H](N(C)Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000429581498 338084960 /nfs/dbraw/zinc/08/49/60/338084960.db2.gz ZJXKACDBKSGKKC-JQWIXIFHSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@@H]1CC[C@H](N(C)CC(=O)Nc2ccccc2C(C)(C)C)C1 ZINC000429580009 338085060 /nfs/dbraw/zinc/08/50/60/338085060.db2.gz YVIYPBNBNFWYBM-CABCVRRESA-N 0 3 302.462 4.043 20 0 DIADHN Cc1ccc(C(=O)CCN2C[C@@H](C)C[C@@H]2c2cccnc2)cc1 ZINC000429626336 338086373 /nfs/dbraw/zinc/08/63/73/338086373.db2.gz OPHUETGOBBHUMP-QFBILLFUSA-N 0 3 308.425 4.046 20 0 DIADHN Cc1ccc2c(CN(Cc3cccs3)C3CC3)ccc(O)c2n1 ZINC000429721946 338088454 /nfs/dbraw/zinc/08/84/54/338088454.db2.gz ICIHGKQTAQICIA-UHFFFAOYSA-N 0 3 324.449 4.475 20 0 DIADHN CC[C@H]1CCC[C@H]1NCC(=O)Nc1c(C)cc(C)cc1Cl ZINC000429760919 338089301 /nfs/dbraw/zinc/08/93/01/338089301.db2.gz CLDSDRXWJIRFRU-DZGCQCFKSA-N 0 3 308.853 4.064 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1coc(-c2ccc(OC)cc2)n1 ZINC000429770806 338089400 /nfs/dbraw/zinc/08/94/00/338089400.db2.gz LMYZSSLFAKPGRB-CXAGYDPISA-N 0 3 300.402 4.019 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2ccc3c(c2)CC(C)(C)O3)C1 ZINC000430011547 338093435 /nfs/dbraw/zinc/09/34/35/338093435.db2.gz OLFOMSOKFGCLNZ-DNVCBOLYSA-N 0 3 322.452 4.378 20 0 DIADHN Cc1ccc(CNC[C@H]2CCC(F)(F)C2)c(Br)c1 ZINC000430044379 338094421 /nfs/dbraw/zinc/09/44/21/338094421.db2.gz VJWWNMOVSSKNII-NSHDSACASA-N 0 3 318.205 4.283 20 0 DIADHN COc1cccc([C@H](C)N2CCC(N(C)c3ccccc3)CC2)c1 ZINC000430057974 338094615 /nfs/dbraw/zinc/09/46/15/338094615.db2.gz HSBLTWXZTNQUMJ-KRWDZBQOSA-N 0 3 324.468 4.357 20 0 DIADHN CC(C)[C@H]1N(Cc2ccc(Oc3cnccn3)cc2)CC12CCC2 ZINC000430085558 338095305 /nfs/dbraw/zinc/09/53/05/338095305.db2.gz ATLDEMXDINHNHA-LJQANCHMSA-N 0 3 323.440 4.279 20 0 DIADHN CC(C)[C@H]1N(Cc2c[nH]nc2-c2ccsc2)CC12CCC2 ZINC000430090250 338095616 /nfs/dbraw/zinc/09/56/16/338095616.db2.gz PWUQLYNZZCDZSN-MRXNPFEDSA-N 0 3 301.459 4.149 20 0 DIADHN CCOC1(C)CCN(Cc2cccc(OC3CCCC3)c2)CC1 ZINC000430090277 338095843 /nfs/dbraw/zinc/09/58/43/338095843.db2.gz QIAAFVHBOCDGAU-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN CC(C)[C@H]1N(Cc2ccc(-c3cnn(C)c3)s2)CC12CCC2 ZINC000430092305 338095941 /nfs/dbraw/zinc/09/59/41/338095941.db2.gz YTVYYHOFDKCWQS-QGZVFWFLSA-N 0 3 315.486 4.159 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(-c3ccccc3F)o2)CC1 ZINC000430092752 338095986 /nfs/dbraw/zinc/09/59/86/338095986.db2.gz BCSIPLVHBKPRSF-UHFFFAOYSA-N 0 3 317.404 4.477 20 0 DIADHN C[C@@]12CCN(Cc3ccccc3OC3CCCC3)C[C@@H]1C2(F)F ZINC000430093790 338096115 /nfs/dbraw/zinc/09/61/15/338096115.db2.gz ILPXBTQKDASJQV-ZWKOTPCHSA-N 0 3 321.411 4.485 20 0 DIADHN COc1ccc2cc(CN3CC[C@]4(C)[C@H](C3)C4(F)F)ccc2c1 ZINC000430094110 338096148 /nfs/dbraw/zinc/09/61/48/338096148.db2.gz IPRVKMNMWXSJIJ-ZWKOTPCHSA-N 0 3 317.379 4.326 20 0 DIADHN CCOC1(C)CCN(Cc2cccc(OCC(C)C)c2)CC1 ZINC000430093659 338096164 /nfs/dbraw/zinc/09/61/64/338096164.db2.gz GKPKMBCFOYWRRR-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CCOC1(C)CCN(Cc2cc3cc(C)c(C)cc3o2)CC1 ZINC000430103290 338096759 /nfs/dbraw/zinc/09/67/59/338096759.db2.gz SDYVBVLFUCDWOK-UHFFFAOYSA-N 0 3 301.430 4.441 20 0 DIADHN CC(C)[C@H]1N(Cc2ccc3c(c2)COC(C)(C)O3)CC12CCC2 ZINC000430110810 338097431 /nfs/dbraw/zinc/09/74/31/338097431.db2.gz KRAFFKXYYDFSTH-GOSISDBHSA-N 0 3 315.457 4.342 20 0 DIADHN Cc1cc(F)ccc1C1CCN(C/C=C/c2ccncc2)CC1 ZINC000430112634 338097637 /nfs/dbraw/zinc/09/76/37/338097637.db2.gz YKJPINGXBBTWLY-NSCUHMNNSA-N 0 3 310.416 4.422 20 0 DIADHN COCC(C)(C)N(C)Cc1ccc(-c2c(F)cccc2F)o1 ZINC000430121470 338097921 /nfs/dbraw/zinc/09/79/21/338097921.db2.gz IUEYLDIUMBWCNC-UHFFFAOYSA-N 0 3 309.356 4.082 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc3c(cc(C)cc3C)[nH]2)C1 ZINC000430190050 338100343 /nfs/dbraw/zinc/10/03/43/338100343.db2.gz FHOPSTUMXMTQGX-HNNXBMFYSA-N 0 3 302.487 4.360 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc3c(cc(C)cc3C)[nH]2)C1 ZINC000430190051 338100360 /nfs/dbraw/zinc/10/03/60/338100360.db2.gz FHOPSTUMXMTQGX-OAHLLOKOSA-N 0 3 302.487 4.360 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc3c(ccc(C)c3C)[nH]2)C1 ZINC000430193197 338100487 /nfs/dbraw/zinc/10/04/87/338100487.db2.gz FTVAHGUBLRMQMI-HNNXBMFYSA-N 0 3 302.487 4.360 20 0 DIADHN CSC[C@H]1CCCN(CCc2ccc(F)cc2Cl)C1 ZINC000430192647 338100619 /nfs/dbraw/zinc/10/06/19/338100619.db2.gz CMXZGUJIJMUREP-LBPRGKRZSA-N 0 3 301.858 4.097 20 0 DIADHN CC[C@@H]1CCN1Cc1cc2ccccc2nc1N1CCCCC1 ZINC000430205770 338101352 /nfs/dbraw/zinc/10/13/52/338101352.db2.gz NCBNOHFFWPHUFS-GOSISDBHSA-N 0 3 309.457 4.209 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2OC)nc1)[C@@H](C)C(C)C ZINC000430234428 338102466 /nfs/dbraw/zinc/10/24/66/338102466.db2.gz ACEXFHUZFIOSBI-HNNXBMFYSA-N 0 3 313.445 4.019 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)[C@H](C)[C@@H](N)c2ccccc2)c1 ZINC000430407403 338106465 /nfs/dbraw/zinc/10/64/65/338106465.db2.gz IRCYJYUIJCYYEX-FUHIMQAGSA-N 0 3 324.468 4.159 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCSCc1ccccc1 ZINC000430751235 338113664 /nfs/dbraw/zinc/11/36/64/338113664.db2.gz UCJSKBHMRAVAHI-OAHLLOKOSA-N 0 3 301.455 4.318 20 0 DIADHN CC1=C[C@@H](C)CN(Cc2ccccc2OCc2ccccn2)C1 ZINC000430877065 338117751 /nfs/dbraw/zinc/11/77/51/338117751.db2.gz IKCDPBMDEMBHAX-MRXNPFEDSA-N 0 3 308.425 4.059 20 0 DIADHN CC(C)c1cccc2c1OCCC[C@@H]2NC[C@@]1(C)CCCO1 ZINC000431346752 338128694 /nfs/dbraw/zinc/12/86/94/338128694.db2.gz DXQKZXOYJMKYGG-PKOBYXMFSA-N 0 3 303.446 4.182 20 0 DIADHN CN(C)[C@H](CC(=O)Nc1ccc(F)c(Cl)c1)c1ccccc1 ZINC000431505796 338132727 /nfs/dbraw/zinc/13/27/27/338132727.db2.gz KXSVACKWZJNMJN-MRXNPFEDSA-N 0 3 320.795 4.111 20 0 DIADHN Cc1cc2cc(NC(=O)C[C@H](c3ccccc3)N(C)C)ccc2[nH]1 ZINC000431897622 338141226 /nfs/dbraw/zinc/14/12/26/338141226.db2.gz DIMNPKNVCFVYON-LJQANCHMSA-N 0 3 321.424 4.108 20 0 DIADHN CC[C@@H](CNCc1csc(Cl)c1Cl)N1CCCC1 ZINC000432228975 338149218 /nfs/dbraw/zinc/14/92/18/338149218.db2.gz OCUNQWFSBRXBRX-NSHDSACASA-N 0 3 307.290 4.019 20 0 DIADHN C[C@H]1CCCCN1CCNCc1csc(Cl)c1Cl ZINC000432236369 338149574 /nfs/dbraw/zinc/14/95/74/338149574.db2.gz TVYYOQXBLJXVFT-JTQLQIEISA-N 0 3 307.290 4.019 20 0 DIADHN C[C@@H](C[C@H]1CCCO1)NCc1ccc(F)c(Cl)c1Cl ZINC000432236898 338149647 /nfs/dbraw/zinc/14/96/47/338149647.db2.gz XSWMLLZDYYUUBQ-GXSJLCMTSA-N 0 3 306.208 4.180 20 0 DIADHN CC1(C)[C@H](NCc2ccc(F)c(Cl)c2Cl)[C@@H]2CCO[C@H]21 ZINC000432243087 338150257 /nfs/dbraw/zinc/15/02/57/338150257.db2.gz RSTJEIUSDMHPNS-CUOATXAZSA-N 0 3 318.219 4.036 20 0 DIADHN C[C@]1(CNCc2csc(Cl)c2Cl)CCCC[C@H]1O ZINC000432246099 338150421 /nfs/dbraw/zinc/15/04/21/338150421.db2.gz AVFGSSZNRBHMSV-ZWNOBZJWSA-N 0 3 308.274 4.086 20 0 DIADHN C[C@H](N[C@H]1CCOC[C@H]1C)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000432248019 338150632 /nfs/dbraw/zinc/15/06/32/338150632.db2.gz VBJMIPMOENQSBE-XUJVJEKNSA-N 0 3 320.820 4.071 20 0 DIADHN CC1(C)[C@H](NCc2csc(Cl)c2Cl)[C@@H]2CCCO[C@@H]21 ZINC000432248232 338150648 /nfs/dbraw/zinc/15/06/48/338150648.db2.gz VVRNDLQPNNZQAC-WCQGTBRESA-N 0 3 320.285 4.348 20 0 DIADHN CC(C)C[C@@H](CCO)CNCc1csc(Cl)c1Cl ZINC000432250823 338150906 /nfs/dbraw/zinc/15/09/06/338150906.db2.gz NGSBVIQBAISQHW-SNVBAGLBSA-N 0 3 310.290 4.189 20 0 DIADHN CC[C@H](C[C@H](C)CO)NCc1ccc(F)c(Cl)c1Cl ZINC000432251383 338151058 /nfs/dbraw/zinc/15/10/58/338151058.db2.gz SIEQDDQGFLSFHL-GXSJLCMTSA-N 0 3 308.224 4.019 20 0 DIADHN CCC(CC)(CCO)CNCc1csc(Cl)c1Cl ZINC000432252490 338151074 /nfs/dbraw/zinc/15/10/74/338151074.db2.gz YNTNBIBZKWKOEZ-UHFFFAOYSA-N 0 3 310.290 4.333 20 0 DIADHN CC(C)[C@@H](O)C(C)(C)CNCc1csc(Cl)c1Cl ZINC000432254292 338151263 /nfs/dbraw/zinc/15/12/63/338151263.db2.gz NZTSRBQSYGRMPS-LLVKDONJSA-N 0 3 310.290 4.188 20 0 DIADHN Oc1ccc(CCCNCc2csc(Cl)c2Cl)cc1 ZINC000432255874 338151470 /nfs/dbraw/zinc/15/14/70/338151470.db2.gz RGOMESDHJYAGSE-UHFFFAOYSA-N 0 3 316.253 4.483 20 0 DIADHN Fc1ccc(CNCC[C@@H]2CCCCO2)c(Cl)c1Cl ZINC000432257620 338151568 /nfs/dbraw/zinc/15/15/68/338151568.db2.gz KTWANVADWNXJPS-NSHDSACASA-N 0 3 306.208 4.181 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1Cl)[C@@H]1CCCOC1 ZINC000432260471 338151752 /nfs/dbraw/zinc/15/17/52/338151752.db2.gz XSDANHYBNFSCLT-GXSJLCMTSA-N 0 3 306.208 4.037 20 0 DIADHN CCC1(NCc2ccc(F)c(Cl)c2Cl)CCOCC1 ZINC000432259811 338151740 /nfs/dbraw/zinc/15/17/40/338151740.db2.gz UTNXLJPEQKBJIC-UHFFFAOYSA-N 0 3 306.208 4.181 20 0 DIADHN C[C@@H]1COCC[C@@H]1N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000432411298 338155248 /nfs/dbraw/zinc/15/52/48/338155248.db2.gz XDMQRKXVPKHIOY-DVVUODLYSA-N 0 3 320.285 4.447 20 0 DIADHN C[C@@H](NCCC1CC(OC(C)(C)C)C1)c1ccc(Cl)cn1 ZINC000432410036 338155286 /nfs/dbraw/zinc/15/52/86/338155286.db2.gz LEFHJEASELGLKY-DNOWBOINSA-N 0 3 310.869 4.369 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2ccc(C(C)C)cc2)cn1 ZINC000432501731 338157745 /nfs/dbraw/zinc/15/77/45/338157745.db2.gz FRFBEASXMXJRGO-AWEZNQCLSA-N 0 3 311.429 4.014 20 0 DIADHN CC[C@H](C)N(Cc1nc2ccccc2c(=O)[nH]1)CC1(C)CCC1 ZINC000432777988 338167152 /nfs/dbraw/zinc/16/71/52/338167152.db2.gz XHWCJDWQOYRRMW-AWEZNQCLSA-N 0 3 313.445 4.126 20 0 DIADHN CCOC(=O)c1csc(CN[C@H]2CCC[C@@H]2CCC(C)C)n1 ZINC000432799875 338168415 /nfs/dbraw/zinc/16/84/15/338168415.db2.gz ILYJHEAECPEEQB-KGLIPLIRSA-N 0 3 324.490 4.014 20 0 DIADHN Cc1ccn(C2CCN(c3c(C)c(C)nc4ccccc43)CC2)n1 ZINC000432835230 338170093 /nfs/dbraw/zinc/17/00/93/338170093.db2.gz NMLPLYDHBMMOMD-UHFFFAOYSA-N 0 3 320.440 4.198 20 0 DIADHN CCOC(=O)c1csc(CN[C@H](C)[C@@H](C)C2CCCCC2)n1 ZINC000432850269 338170794 /nfs/dbraw/zinc/17/07/94/338170794.db2.gz FDTLZQFTDGKRTK-CHWSQXEVSA-N 0 3 324.490 4.014 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2cc(C)ccc2C)o1 ZINC000432907557 338172468 /nfs/dbraw/zinc/17/24/68/338172468.db2.gz QSJZFIYHGZSOLA-UHFFFAOYSA-N 0 3 309.413 4.227 20 0 DIADHN COCC[C@H]1CCCCN(Cc2nc3ccc(F)cc3s2)C1 ZINC000432919881 338173114 /nfs/dbraw/zinc/17/31/14/338173114.db2.gz VXPVZNZOSZNMAI-CYBMUJFWSA-N 0 3 322.449 4.074 20 0 DIADHN CCc1ccccc1C(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000432937958 338174284 /nfs/dbraw/zinc/17/42/84/338174284.db2.gz MRHOZABEMDLXHH-MRXNPFEDSA-N 0 3 324.468 4.108 20 0 DIADHN CCN(CCc1cccs1)[C@H](C)c1cc(C(=O)OC)c(C)o1 ZINC000432946305 338174551 /nfs/dbraw/zinc/17/45/51/338174551.db2.gz WWQGLPMKFSANKL-GFCCVEGCSA-N 0 3 321.442 4.062 20 0 DIADHN CC(C)[C@@H](O)CN(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000432940764 338174566 /nfs/dbraw/zinc/17/45/66/338174566.db2.gz SQGHRZWFJJVDMW-WBVHZDCISA-N 0 3 303.471 4.328 20 0 DIADHN COC[C@H](N[C@H](C)c1ccc(SC(C)C)cc1)[C@H]1CCCO1 ZINC000433010337 338176161 /nfs/dbraw/zinc/17/61/61/338176161.db2.gz XRUMLGLJSWYAIM-FHLIZLRMSA-N 0 3 323.502 4.032 20 0 DIADHN COc1ccc(CN2CC3(CCC3)C[C@H]2C)c(Cl)c1OC ZINC000433022962 338176727 /nfs/dbraw/zinc/17/67/27/338176727.db2.gz MNZBMSBAHXOTPV-GFCCVEGCSA-N 0 3 309.837 4.122 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccc(F)cc3C)CC2)s1 ZINC000433035131 338177442 /nfs/dbraw/zinc/17/74/42/338177442.db2.gz VWLIHXBJBCRIIW-UHFFFAOYSA-N 0 3 304.434 4.279 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN(C)[C@@H]1CC[C@H](C)C1 ZINC000433041962 338177925 /nfs/dbraw/zinc/17/79/25/338177925.db2.gz DXGDIEYRRXZDAQ-HNAYVOBHSA-N 0 3 311.473 4.169 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3ccncc3Cl)C2)cc1 ZINC000433061593 338179533 /nfs/dbraw/zinc/17/95/33/338179533.db2.gz LEYWRPDQCVHAKE-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN CCn1cccc(CN[C@@H](CC(C)(C)C)c2ccccc2)c1=O ZINC000433067054 338179818 /nfs/dbraw/zinc/17/98/18/338179818.db2.gz LVHCTUPWBWKHIM-SFHVURJKSA-N 0 3 312.457 4.135 20 0 DIADHN COc1ccc(-c2cnc(CN[C@H]3CC4CCC3CC4)o2)cc1 ZINC000433067190 338179823 /nfs/dbraw/zinc/17/98/23/338179823.db2.gz MHDPQRBTXISMCK-KVULBXGLSA-N 0 3 312.413 4.019 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](CC2CCC2)c2ccccc2)cn1 ZINC000433073943 338180345 /nfs/dbraw/zinc/18/03/45/338180345.db2.gz HCBLCEKHONYRKL-LJQANCHMSA-N 0 3 323.440 4.061 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@H]2CCCN(c3ccccc3)C2)o1 ZINC000433091989 338181277 /nfs/dbraw/zinc/18/12/77/338181277.db2.gz NDUPGUYDQWPJQK-IAGOWNOFSA-N 0 3 312.457 4.409 20 0 DIADHN CSC1(CN[C@@H](c2ccc(F)cc2)c2cccnc2)CCC1 ZINC000433098759 338181854 /nfs/dbraw/zinc/18/18/54/338181854.db2.gz XHSCQYWJISTZSP-KRWDZBQOSA-N 0 3 316.445 4.185 20 0 DIADHN COc1ccc(C)cc1CNC[C@@H]1CCCN(c2ccccc2)C1 ZINC000433176424 338184198 /nfs/dbraw/zinc/18/41/98/338184198.db2.gz BNWGEBQLODCYDC-SFHVURJKSA-N 0 3 324.468 4.010 20 0 DIADHN COc1cccc(Oc2ccc(CNC3CC(C)(C)C3)cn2)c1 ZINC000433181156 338184340 /nfs/dbraw/zinc/18/43/40/338184340.db2.gz XCBFEZIAMQRCOM-UHFFFAOYSA-N 0 3 312.413 4.161 20 0 DIADHN CSC1(CNCc2ccc(-c3cccc(F)c3F)o2)CC1 ZINC000433194377 338185246 /nfs/dbraw/zinc/18/52/46/338185246.db2.gz MXQCFJZNENTMPS-UHFFFAOYSA-N 0 3 309.381 4.210 20 0 DIADHN Clc1ccccc1CNC[C@H]1CCCN(c2ccccc2)C1 ZINC000433197435 338185434 /nfs/dbraw/zinc/18/54/34/338185434.db2.gz AURUAUCMURNARF-MRXNPFEDSA-N 0 3 314.860 4.346 20 0 DIADHN CSC1(CNCc2ccc(Oc3ccccc3)o2)CCC1 ZINC000433200301 338185543 /nfs/dbraw/zinc/18/55/43/338185543.db2.gz PPQWLHWOHWYRQX-UHFFFAOYSA-N 0 3 303.427 4.447 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NCc3ccccc3Cl)cccc21 ZINC000433203357 338185757 /nfs/dbraw/zinc/18/57/57/338185757.db2.gz BJBJCFWWNUVXCD-QGZVFWFLSA-N 0 3 316.832 4.337 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3cccc4cc[nH]c43)cccc21 ZINC000433211119 338186130 /nfs/dbraw/zinc/18/61/30/338186130.db2.gz ZLBUHTDCKNDPBS-SFHVURJKSA-N 0 3 321.424 4.165 20 0 DIADHN CSc1ccccc1NC(=O)C[C@@H](c1ccccc1)N(C)C ZINC000433221542 338186352 /nfs/dbraw/zinc/18/63/52/338186352.db2.gz UQDXRIQCCXVWLU-INIZCTEOSA-N 0 3 314.454 4.040 20 0 DIADHN CC(C)CCN(CCC(C)C)C(=O)c1ccccc1CN(C)C ZINC000433229395 338186843 /nfs/dbraw/zinc/18/68/43/338186843.db2.gz DKLKOLFQMOOUNF-UHFFFAOYSA-N 0 3 318.505 4.283 20 0 DIADHN CN(C)[C@H](CC(=O)NC(C)(C)CC(C)(C)C)c1ccccc1 ZINC000433231546 338186948 /nfs/dbraw/zinc/18/69/48/338186948.db2.gz KKSYFNDUHUAMPL-MRXNPFEDSA-N 0 3 304.478 4.010 20 0 DIADHN COc1cccc(Oc2ncccc2CNC2CC(C)(C)C2)c1 ZINC000433242465 338187648 /nfs/dbraw/zinc/18/76/48/338187648.db2.gz AWQRACKTDSERCN-UHFFFAOYSA-N 0 3 312.413 4.161 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](c1ccccc1)C1(CO)CCC1 ZINC000433284859 338189322 /nfs/dbraw/zinc/18/93/22/338189322.db2.gz GQLDVWLNVPPBAG-FQEVSTJZSA-N 0 3 309.453 4.297 20 0 DIADHN CCc1ccccc1CN[C@H](c1ccccc1)C1(CO)CCC1 ZINC000433295930 338189943 /nfs/dbraw/zinc/18/99/43/338189943.db2.gz QUUUJEFJNCDQAW-HXUWFJFHSA-N 0 3 309.453 4.243 20 0 DIADHN Clc1cccc([C@H]2CCN(CCCO[C@@H]3CCCCO3)C2)c1 ZINC000433301034 338190406 /nfs/dbraw/zinc/19/04/06/338190406.db2.gz UWXZUHLCWXMEGA-FUHWJXTLSA-N 0 3 323.864 4.063 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1ncc(C(F)(F)F)s1 ZINC000433324716 338192406 /nfs/dbraw/zinc/19/24/06/338192406.db2.gz FUINGFITILKGQS-GHMZBOCLSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H](NCc1ncc(C(F)(F)F)s1)[C@@H](C)c1ccccc1 ZINC000433335932 338193111 /nfs/dbraw/zinc/19/31/11/338193111.db2.gz SAJFEKKLKGJGQE-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN Cc1cccc([C@H](C)NC(=O)C[C@H](c2ccccc2)N(C)C)c1C ZINC000433355231 338193769 /nfs/dbraw/zinc/19/37/69/338193769.db2.gz OXELHENLEWNIDM-FXAWDEMLSA-N 0 3 324.468 4.174 20 0 DIADHN CCc1ccc(CN(C)CCC(=O)Nc2ccccc2C)cc1 ZINC000433950994 338205452 /nfs/dbraw/zinc/20/54/52/338205452.db2.gz QEVRNVDSJXTHON-UHFFFAOYSA-N 0 3 310.441 4.018 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(F)c(OC)c2)c1 ZINC000153075600 338215923 /nfs/dbraw/zinc/21/59/23/338215923.db2.gz HRGSMBCDSNEBJW-CHWSQXEVSA-N 0 3 303.377 4.255 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2ccc(F)c(OC)c2)c1 ZINC000153075384 338215936 /nfs/dbraw/zinc/21/59/36/338215936.db2.gz HRGSMBCDSNEBJW-OLZOCXBDSA-N 0 3 303.377 4.255 20 0 DIADHN CN(CCc1nccs1)CCc1cccc(Cl)c1Cl ZINC000434474910 338216814 /nfs/dbraw/zinc/21/68/14/338216814.db2.gz SXRRBAFLBDMTLW-UHFFFAOYSA-N 0 3 315.269 4.167 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(F)(c2ccccc2)CC1 ZINC000434491199 338218310 /nfs/dbraw/zinc/21/83/10/338218310.db2.gz HVHWQULUBKIHRA-UHFFFAOYSA-N 0 3 323.415 4.104 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1ncnn1C(C)C ZINC000434534782 338219640 /nfs/dbraw/zinc/21/96/40/338219640.db2.gz JVIGAANAFIWWOQ-QZTJIDSGSA-N 0 3 312.461 4.017 20 0 DIADHN COc1ccc(CN(C)[C@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000434613824 338221119 /nfs/dbraw/zinc/22/11/19/338221119.db2.gz FLMFSSQOABDZRA-SFHVURJKSA-N 0 3 302.393 4.058 20 0 DIADHN Cc1ccccc1CN1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC000434672168 338223037 /nfs/dbraw/zinc/22/30/37/338223037.db2.gz LRHITHRQHBDDDG-UHFFFAOYSA-N 0 3 311.400 4.229 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(F)c(OC)c2)cc1 ZINC000153380837 338223684 /nfs/dbraw/zinc/22/36/84/338223684.db2.gz GOOPDKLTSFISEA-CHWSQXEVSA-N 0 3 303.377 4.255 20 0 DIADHN Cc1nc(CN2CC[C@@](C)(c3ccc(C)cc3)C2)c(C)s1 ZINC000434741068 338224334 /nfs/dbraw/zinc/22/43/34/338224334.db2.gz AVWAJTZTOCTMSQ-GOSISDBHSA-N 0 3 300.471 4.232 20 0 DIADHN Cc1ccc([C@@]2(C)CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000434745984 338224694 /nfs/dbraw/zinc/22/46/94/338224694.db2.gz LVQLARPKUZJTSD-FQEVSTJZSA-N 0 3 306.409 4.300 20 0 DIADHN CCCNc1ccc(CNCc2ccc(F)c3cccnc23)cc1 ZINC000434761883 338225126 /nfs/dbraw/zinc/22/51/26/338225126.db2.gz CRBFEXJBWLOFFB-UHFFFAOYSA-N 0 3 323.415 4.486 20 0 DIADHN CCn1nccc1C1CCN([C@@H](C)c2cccc(Cl)c2)CC1 ZINC000434787534 338225538 /nfs/dbraw/zinc/22/55/38/338225538.db2.gz UQTYKEONJRUONR-AWEZNQCLSA-N 0 3 317.864 4.497 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1cc(-c2ccco2)on1 ZINC000434894473 338226927 /nfs/dbraw/zinc/22/69/27/338226927.db2.gz GCUMXMGQDKVPLU-RHSMWYFYSA-N 0 3 308.381 4.313 20 0 DIADHN c1cc(-c2ccc(CN3CCC(c4ccsc4)CC3)o2)n[nH]1 ZINC000434982002 338228429 /nfs/dbraw/zinc/22/84/29/338228429.db2.gz JFVJPNVKIGMTTA-UHFFFAOYSA-N 0 3 313.426 4.111 20 0 DIADHN CN(C)[C@@H]1CCOc2c(N[C@H]3CCCC[C@H]3C3CC3)cccc21 ZINC000435023393 338229758 /nfs/dbraw/zinc/22/97/58/338229758.db2.gz JXQBBHCUPMFPGJ-VDZJLULYSA-N 0 3 314.473 4.453 20 0 DIADHN CC(C)[C@@H]1CCC[C@H]1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000435028484 338230004 /nfs/dbraw/zinc/23/00/04/338230004.db2.gz QNJUZJQOIKLBGI-LESCRADOSA-N 0 3 302.462 4.308 20 0 DIADHN C[C@@H](CC1CCCC1)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000435033170 338230173 /nfs/dbraw/zinc/23/01/73/338230173.db2.gz JVVZKDXPBQVQCL-KBXCAEBGSA-N 0 3 302.462 4.453 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(n2ccc(C)n2)CC1 ZINC000435059090 338231275 /nfs/dbraw/zinc/23/12/75/338231275.db2.gz ORWRFBRZHMQSLS-UHFFFAOYSA-N 0 3 317.864 4.244 20 0 DIADHN FC1(c2ccccc2)CCN(CC2=Cc3ccccc3OC2)CC1 ZINC000435056030 338231370 /nfs/dbraw/zinc/23/13/70/338231370.db2.gz FFTLKDCXAGRBBB-UHFFFAOYSA-N 0 3 323.411 4.423 20 0 DIADHN Cn1cnc2cc(CN3CCC(F)(c4ccccc4)CC3)ccc21 ZINC000435062121 338231646 /nfs/dbraw/zinc/23/16/46/338231646.db2.gz WYFOHKDAYXJFJY-UHFFFAOYSA-N 0 3 323.415 4.034 20 0 DIADHN c1ncc(CNCCc2cccc(OCc3ccccc3)c2)s1 ZINC000153842043 338232061 /nfs/dbraw/zinc/23/20/61/338232061.db2.gz CZPSJCPHWSYNJA-UHFFFAOYSA-N 0 3 324.449 4.054 20 0 DIADHN c1cc(-c2ccc(CN(C3CC3)[C@H]3CCc4ccccc43)o2)n[nH]1 ZINC000435133708 338232814 /nfs/dbraw/zinc/23/28/14/338232814.db2.gz CRJGFGXZLUILDG-IBGZPJMESA-N 0 3 319.408 4.322 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc(-c3cc[nH]n3)o2)c1 ZINC000435132477 338232949 /nfs/dbraw/zinc/23/29/49/338232949.db2.gz JHXQJNTWOIEIII-GOSISDBHSA-N 0 3 307.397 4.315 20 0 DIADHN c1coc(CN(Cc2ccc(-c3cc[nH]n3)o2)C2CCCC2)c1 ZINC000435136907 338233059 /nfs/dbraw/zinc/23/30/59/338233059.db2.gz IKCWZKFGDHPNOE-UHFFFAOYSA-N 0 3 311.385 4.208 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000435136119 338233222 /nfs/dbraw/zinc/23/32/22/338233222.db2.gz YODNHDCPGKVNTF-KRWDZBQOSA-N 0 3 323.396 4.015 20 0 DIADHN CC(C)[C@@H](c1ccc(F)cc1)N(C)Cc1cnn(C(C)C)c1 ZINC000435274747 338236158 /nfs/dbraw/zinc/23/61/58/338236158.db2.gz LWOHOBXLZWDGIV-SFHVURJKSA-N 0 3 303.425 4.432 20 0 DIADHN COCc1ccc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)o1 ZINC000435297119 338236680 /nfs/dbraw/zinc/23/66/80/338236680.db2.gz JCZXTRZLZMSLJS-GOSISDBHSA-N 0 3 305.393 4.394 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3ccccc3Cl)s2)C1 ZINC000154574492 338246126 /nfs/dbraw/zinc/24/61/26/338246126.db2.gz BRHCVMAQDXFDHE-CYBMUJFWSA-N 0 3 321.873 4.273 20 0 DIADHN COc1ccccc1CN[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000435730462 338246719 /nfs/dbraw/zinc/24/67/19/338246719.db2.gz HDLSTPCIDMMLMM-JCNLHEQBSA-N 0 3 301.817 4.384 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3cc(F)c(F)c(F)c3)C2)c1 ZINC000435741275 338247299 /nfs/dbraw/zinc/24/72/99/338247299.db2.gz IMXAKMHVFHFGBX-MQMHXKEQSA-N 0 3 309.306 4.279 20 0 DIADHN Fc1cccc([C@H]2C[C@H](NCc3ccnn3-c3ccccc3)C2)c1 ZINC000435742491 338247440 /nfs/dbraw/zinc/24/74/40/338247440.db2.gz NSNFJJJZTAICFR-SAABIXHNSA-N 0 3 321.399 4.047 20 0 DIADHN C[C@@H]1CCc2nc(CN[C@H]3C[C@H](c4ccccc4)C3)sc2C1 ZINC000435782072 338248904 /nfs/dbraw/zinc/24/89/04/338248904.db2.gz NQUFJLFSCBBNST-FVQBIDKESA-N 0 3 312.482 4.304 20 0 DIADHN Fc1ccccc1[C@H]1C[C@H](NCc2nccn2-c2ccccc2)C1 ZINC000435784006 338248938 /nfs/dbraw/zinc/24/89/38/338248938.db2.gz YTWIQOPORLQYCM-WKILWMFISA-N 0 3 321.399 4.047 20 0 DIADHN Fc1ccc(-n2nccc2CN[C@H]2C[C@H](c3ccccc3)C2)cc1 ZINC000435781379 338248948 /nfs/dbraw/zinc/24/89/48/338248948.db2.gz IEDMLZRVLCZHQV-SAABIXHNSA-N 0 3 321.399 4.047 20 0 DIADHN CC(C)c1ncc(CN[C@H]2C[C@H](c3ccccc3F)C2)s1 ZINC000435783449 338248956 /nfs/dbraw/zinc/24/89/56/338248956.db2.gz VNIXJASBZITYAX-JOCQHMNTSA-N 0 3 304.434 4.441 20 0 DIADHN COc1ccc(C2(NCc3cc4c(cccc4F)[nH]3)CC2)cc1 ZINC000436234779 338262828 /nfs/dbraw/zinc/26/28/28/338262828.db2.gz RYQVTXFHCGVJLF-UHFFFAOYSA-N 0 3 310.372 4.095 20 0 DIADHN Clc1cccc(CNCc2c[nH]nc2-c2ccsc2)c1 ZINC000436235714 338262895 /nfs/dbraw/zinc/26/28/95/338262895.db2.gz ARPSTSAXFIOETE-UHFFFAOYSA-N 0 3 303.818 4.081 20 0 DIADHN C[C@@H](NCc1cc2c(cccc2F)[nH]1)c1ccc(F)c(F)c1 ZINC000436238967 338262974 /nfs/dbraw/zinc/26/29/74/338262974.db2.gz FCRBTZTVUXBYKU-SNVBAGLBSA-N 0 3 304.315 4.436 20 0 DIADHN Fc1ccc(CNCc2c[nH]nc2-c2ccsc2)cc1Cl ZINC000436238706 338263028 /nfs/dbraw/zinc/26/30/28/338263028.db2.gz GHBSFRQVXZTOPL-UHFFFAOYSA-N 0 3 321.808 4.221 20 0 DIADHN CCc1cnc(CN[C@@H]2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000436243559 338263181 /nfs/dbraw/zinc/26/31/81/338263181.db2.gz ANOWEQINRSPNFY-JKSUJKDBSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cc2c(cccc2F)[nH]1)C(C)C ZINC000436247426 338263337 /nfs/dbraw/zinc/26/33/37/338263337.db2.gz OTPPIGMTGXIDBT-SFHVURJKSA-N 0 3 311.404 4.497 20 0 DIADHN CC1=CCCN(Cc2ccc(C(=O)Nc3ccccc3)cc2)C1 ZINC000436259434 338264054 /nfs/dbraw/zinc/26/40/54/338264054.db2.gz KHADDWVKIWWEPF-UHFFFAOYSA-N 0 3 306.409 4.091 20 0 DIADHN c1cc(-c2n[nH]cc2CNCCSc2ccccc2)cs1 ZINC000436267308 338264439 /nfs/dbraw/zinc/26/44/39/338264439.db2.gz QBEUZLRKVGMWNB-UHFFFAOYSA-N 0 3 315.467 4.020 20 0 DIADHN CC[C@@H](NCCc1ccc(SC)cc1)c1ccc(F)cn1 ZINC000436296052 338267071 /nfs/dbraw/zinc/26/70/71/338267071.db2.gz QUDMTBUJIHBVNT-MRXNPFEDSA-N 0 3 304.434 4.226 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2C[C@H](c3ccccc3)C2)c(OC)c1 ZINC000436302806 338267699 /nfs/dbraw/zinc/26/76/99/338267699.db2.gz VQVITUJQJUCCNI-DJIMGWMZSA-N 0 3 311.425 4.301 20 0 DIADHN CN(C)c1ccc(CN[C@@H]2CC(C)(C)CCc3ccccc32)cn1 ZINC000436302899 338267775 /nfs/dbraw/zinc/26/77/75/338267775.db2.gz APJMMUFBWSIEEM-LJQANCHMSA-N 0 3 323.484 4.341 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1ccc(F)cn1 ZINC000436304991 338267890 /nfs/dbraw/zinc/26/78/90/338267890.db2.gz FNKZKHJOJAWADF-AXAPSJFSSA-N 0 3 304.796 4.471 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2)C1)c1nc2ccccc2n1C ZINC000436306252 338268011 /nfs/dbraw/zinc/26/80/11/338268011.db2.gz HYDQZEKOXPEELR-DJIMGWMZSA-N 0 3 305.425 4.170 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000436309306 338268291 /nfs/dbraw/zinc/26/82/91/338268291.db2.gz VPHWMNWLJOYQJF-UXIGCNINSA-N 0 3 305.418 4.493 20 0 DIADHN Cc1cnc(NC[C@@H](c2ccc(C)cc2)N2CCCC2)s1 ZINC000436311123 338268411 /nfs/dbraw/zinc/26/84/11/338268411.db2.gz SVRKXCYDDLQPFC-INIZCTEOSA-N 0 3 301.459 4.009 20 0 DIADHN CC(C)[C@@H](NCC1([S@](C)=O)CCC1)c1cc2ccccc2o1 ZINC000436313800 338268623 /nfs/dbraw/zinc/26/86/23/338268623.db2.gz XQOZXGHTXVKDRQ-VGSWGCGISA-N 0 3 319.470 4.021 20 0 DIADHN CC(C)CCO[C@@H]1CCCC[C@H]1N[C@H](C)c1ccc(F)cn1 ZINC000436314566 338268631 /nfs/dbraw/zinc/26/86/31/338268631.db2.gz YNZCOPUZFOGQQL-ZTFGCOKTSA-N 0 3 308.441 4.245 20 0 DIADHN Fc1cccc2[nH]c(CNCCOc3ccccc3Cl)cc21 ZINC000436400118 338270782 /nfs/dbraw/zinc/27/07/82/338270782.db2.gz LUIBOFZWYQMGHK-UHFFFAOYSA-N 0 3 318.779 4.129 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@@H]3CC[C@@H](C)C3)cs2)cc1 ZINC000436404111 338271027 /nfs/dbraw/zinc/27/10/27/338271027.db2.gz CLOHRRFUYBCOJH-HUUCEWRRSA-N 0 3 316.470 4.309 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@@H]3CC[C@H](C)C3)cs2)cc1 ZINC000436404112 338271098 /nfs/dbraw/zinc/27/10/98/338271098.db2.gz CLOHRRFUYBCOJH-LSDHHAIUSA-N 0 3 316.470 4.309 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H]3CC[C@H](c4ccccc4)C3)nc21 ZINC000436412129 338271551 /nfs/dbraw/zinc/27/15/51/338271551.db2.gz MYHLIQOPQLMKMN-IRXDYDNUSA-N 0 3 305.425 4.297 20 0 DIADHN COc1cc(CN2CC[C@@H](C(F)(F)F)C2(C)C)ccc1C ZINC000436490836 338274114 /nfs/dbraw/zinc/27/41/14/338274114.db2.gz YWMVIWHZMIKWQK-CQSZACIVSA-N 0 3 301.352 4.166 20 0 DIADHN Cc1cn[nH]c1CN[C@H](C)c1ccccc1OCc1ccccc1 ZINC000436934933 338294406 /nfs/dbraw/zinc/29/44/06/338294406.db2.gz UUCJACTUHNVQEQ-MRXNPFEDSA-N 0 3 321.424 4.148 20 0 DIADHN Cc1ccccc1C1CC(N[C@@H]2CCOc3c(F)cccc32)C1 ZINC000437085687 338300064 /nfs/dbraw/zinc/30/00/64/338300064.db2.gz HBJPYYCEQOYZGQ-JFIBYHEFSA-N 0 3 311.400 4.494 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@@H]1CCOc2c(F)cccc21 ZINC000437088366 338300250 /nfs/dbraw/zinc/30/02/50/338300250.db2.gz CVMBDTIKIUABCS-ZWNOBZJWSA-N 0 3 305.315 4.360 20 0 DIADHN CC[C@@H](CO)N[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000437090045 338300303 /nfs/dbraw/zinc/30/03/03/338300303.db2.gz ASIQPIKMEOWDOM-HIFRSBDPSA-N 0 3 303.377 4.040 20 0 DIADHN CC(C)[C@@H](NCc1ccccc1N(C)C(C)C)c1cccnc1 ZINC000437088889 338300310 /nfs/dbraw/zinc/30/03/10/338300310.db2.gz SAFJDKJIENZAHN-HXUWFJFHSA-N 0 3 311.473 4.413 20 0 DIADHN CC[C@@H](CO)N[C@H](Cc1ccc(F)cc1)c1ccccc1Cl ZINC000437095555 338300629 /nfs/dbraw/zinc/30/06/29/338300629.db2.gz LXXOQEOLVFNURD-MAUKXSAKSA-N 0 3 321.823 4.123 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)c(F)c1 ZINC000437102827 338301042 /nfs/dbraw/zinc/30/10/42/338301042.db2.gz HMNVGUNKLHHFKB-IINYFYTJSA-N 0 3 307.331 4.462 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2ccc3c(c2)COC3)c1 ZINC000437102191 338301088 /nfs/dbraw/zinc/30/10/88/338301088.db2.gz XISIKBHPMRZLSX-CQSZACIVSA-N 0 3 313.466 4.431 20 0 DIADHN Cc1ccc([C@@H](N[C@H](CO)Cc2ccccc2)C2CCCC2)o1 ZINC000437110217 338301431 /nfs/dbraw/zinc/30/14/31/338301431.db2.gz KWDQWSXOAMEVAX-ICSRJNTNSA-N 0 3 313.441 4.013 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)c1 ZINC000437109984 338301527 /nfs/dbraw/zinc/30/15/27/338301527.db2.gz KNYZPWNPRGNFOL-RISCZKNCSA-N 0 3 319.367 4.331 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CCCC23CCC3)cn1 ZINC000437136060 338302438 /nfs/dbraw/zinc/30/24/38/338302438.db2.gz UQDZQASYJOYDGV-UHFFFAOYSA-N 0 3 307.441 4.368 20 0 DIADHN c1c(CN2CCS[C@H]3CCCC[C@@H]32)onc1-c1ccccc1 ZINC000437523964 338312484 /nfs/dbraw/zinc/31/24/84/338312484.db2.gz IXIBRCMXTJESTE-ROUUACIJSA-N 0 3 314.454 4.202 20 0 DIADHN Brc1cccc([C@@H]2CCCN2CC[C@@H]2CCOC2)c1 ZINC000437576224 338313929 /nfs/dbraw/zinc/31/39/29/338313929.db2.gz BTIABKPZJWSDOU-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC[C@H](Cc3ccccc3)C2)cs1 ZINC000437578821 338314286 /nfs/dbraw/zinc/31/42/86/338314286.db2.gz KCRKRWHKLBBWPK-MRXNPFEDSA-N 0 3 323.465 4.203 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3nc(C)ccc3c2)cc1 ZINC000437583427 338314690 /nfs/dbraw/zinc/31/46/90/338314690.db2.gz WREZZQHLVOLJPN-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)CC3(C)C)co2)cc1 ZINC000437585297 338314848 /nfs/dbraw/zinc/31/48/48/338314848.db2.gz VJJCGTDZYGNCTB-CQSZACIVSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc2cc(CN(C)CCOc3ccccc3)ccc2n1 ZINC000437595136 338315598 /nfs/dbraw/zinc/31/55/98/338315598.db2.gz SATNBTMJWNSTQX-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccsc1)[C@H]1CCc2ccccc21 ZINC000437595395 338315651 /nfs/dbraw/zinc/31/56/51/338315651.db2.gz YNOLJEKSVKCSLN-KRWDZBQOSA-N 0 3 309.438 4.258 20 0 DIADHN Cc1ccc(CN(CC[C@H]2CCOC2)Cc2ccco2)s1 ZINC000437703220 338318823 /nfs/dbraw/zinc/31/88/23/338318823.db2.gz UYERKSLOPSBUJM-HNNXBMFYSA-N 0 3 305.443 4.078 20 0 DIADHN Cc1ccc2cc(CNCc3nc4cccc(C)c4[nH]3)ccc2n1 ZINC000437760654 338320324 /nfs/dbraw/zinc/32/03/24/338320324.db2.gz QRERVWNHSODMKJ-UHFFFAOYSA-N 0 3 316.408 4.018 20 0 DIADHN Fc1cc(Cl)ccc1CNCCc1nc2c(s1)CCCC2 ZINC000437764658 338320580 /nfs/dbraw/zinc/32/05/80/338320580.db2.gz ZZCXYUZDLUNMKK-UHFFFAOYSA-N 0 3 324.852 4.147 20 0 DIADHN C[C@H]1CCN(Cc2c[nH]nc2-c2ccsc2)CC12CCC2 ZINC000437783789 338321127 /nfs/dbraw/zinc/32/11/27/338321127.db2.gz IOWZZHVSYDQRAK-ZDUSSCGKSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1F)[C@@H]1COc2ccccc21 ZINC000437784657 338321402 /nfs/dbraw/zinc/32/14/02/338321402.db2.gz KCQJKVRMYMWDLH-NHYWBVRUSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1ccc(NCc2ccc(Cl)cc2F)c(CN(C)C)c1 ZINC000438075046 338329942 /nfs/dbraw/zinc/32/99/42/338329942.db2.gz OGBGMZRFWDVELR-UHFFFAOYSA-N 0 3 306.812 4.461 20 0 DIADHN CCS[C@@H]1CCCCN(Cc2c[nH]nc2-c2ccsc2)C1 ZINC000438117554 338333045 /nfs/dbraw/zinc/33/30/45/338333045.db2.gz VXICRQSMDRZQDW-OAHLLOKOSA-N 0 3 321.515 4.246 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cc3c(cccc3F)[nH]2)CC1 ZINC000438132225 338333947 /nfs/dbraw/zinc/33/39/47/338333947.db2.gz ZBVJEVIDRYOAET-OAHLLOKOSA-N 0 3 304.409 4.088 20 0 DIADHN CCOc1ccc(-c2nc(CN3CCC[C@H]3C(C)C)co2)cc1 ZINC000438176659 338334882 /nfs/dbraw/zinc/33/48/82/338334882.db2.gz RGUCHARWEPJCLV-SFHVURJKSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1csc([C@H](NC[C@H](C)c2ccc(F)cc2)C2CC2)n1 ZINC000438180065 338335277 /nfs/dbraw/zinc/33/52/77/338335277.db2.gz SCAZBXIBXDXIKQ-MEDUHNTESA-N 0 3 304.434 4.435 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CCC[C@H](C(F)(F)F)C3)nc2c1 ZINC000438182988 338335400 /nfs/dbraw/zinc/33/54/00/338335400.db2.gz VPVFXOUJXVDGCZ-NWDGAFQWSA-N 0 3 311.351 4.082 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCC[C@H](C(F)(F)F)C3)[nH]c2c1 ZINC000438182988 338335401 /nfs/dbraw/zinc/33/54/01/338335401.db2.gz VPVFXOUJXVDGCZ-NWDGAFQWSA-N 0 3 311.351 4.082 20 0 DIADHN CC(C)c1nc2n(n1)CCC[C@@H]2N[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000438190356 338335874 /nfs/dbraw/zinc/33/58/74/338335874.db2.gz VHYBUADKPOKFMI-KURKYZTESA-N 0 3 324.472 4.162 20 0 DIADHN Clc1ccccc1-c1noc([C@H]2CCCN2C2CCCC2)n1 ZINC000438245633 338337693 /nfs/dbraw/zinc/33/76/93/338337693.db2.gz WZVCSZVIJJCCJK-OAHLLOKOSA-N 0 3 317.820 4.470 20 0 DIADHN CCSCCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000438254874 338337978 /nfs/dbraw/zinc/33/79/78/338337978.db2.gz CWBXHLHGPZMRNU-AWEZNQCLSA-N 0 3 309.866 4.106 20 0 DIADHN Cc1ccccc1-c1cccc(CN2CC[C@H](O)C(C)(C)C2)c1 ZINC000438333144 338339981 /nfs/dbraw/zinc/33/99/81/338339981.db2.gz GOJLNOMTLQHINW-FQEVSTJZSA-N 0 3 309.453 4.255 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccccc1N(C)C(C)C ZINC000438348253 338340646 /nfs/dbraw/zinc/34/06/46/338340646.db2.gz XFOJRZZGTOJORO-UHFFFAOYSA-N 0 3 300.421 4.269 20 0 DIADHN Clc1cnc(CN[C@@H]2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000438367433 338341751 /nfs/dbraw/zinc/34/17/51/338341751.db2.gz NJUVVUFDAKGAGO-UONOGXRCSA-N 0 3 306.862 4.298 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCCC(C)(C)C3)cnc21 ZINC000438378093 338342043 /nfs/dbraw/zinc/34/20/43/338342043.db2.gz YEKUJXGDOJFZNK-UHFFFAOYSA-N 0 3 300.450 4.024 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cnc([C@@H]2CCCO2)s1 ZINC000438468191 338344745 /nfs/dbraw/zinc/34/47/45/338344745.db2.gz CSGQTYUCPYVWIE-NEPJUHHUSA-N 0 3 322.396 4.061 20 0 DIADHN COc1ccc(CN[C@H](CC(F)(F)F)C(C)C)cc1Cl ZINC000438470466 338344849 /nfs/dbraw/zinc/34/48/49/338344849.db2.gz PLYMRKBVGNYOLN-GFCCVEGCSA-N 0 3 309.759 4.415 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@H](CC(F)(F)F)C(C)C ZINC000438470886 338344910 /nfs/dbraw/zinc/34/49/10/338344910.db2.gz ACKXWPQKWKFKRT-CQSZACIVSA-N 0 3 319.415 4.322 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCOc3c(F)cccc32)c(F)c1 ZINC000438473388 338345002 /nfs/dbraw/zinc/34/50/02/338345002.db2.gz SDYPYIVCEFUXSZ-BAHPRDJBSA-N 0 3 319.326 4.231 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1cc2c(cccc2F)[nH]1 ZINC000438477651 338345324 /nfs/dbraw/zinc/34/53/24/338345324.db2.gz KBSNSXHRXNTGQU-CQSZACIVSA-N 0 3 302.315 4.374 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@H](C(C)C)C3)co2)cc1 ZINC000438497433 338346149 /nfs/dbraw/zinc/34/61/49/338346149.db2.gz SEAQUZOODFOPMJ-INIZCTEOSA-N 0 3 314.429 4.218 20 0 DIADHN CC(C)[C@@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000438508475 338346540 /nfs/dbraw/zinc/34/65/40/338346540.db2.gz YGDPUQUGABEBAW-GOSISDBHSA-N 0 3 322.452 4.270 20 0 DIADHN CC[C@@H]1CCN(Cc2csc(-c3cccc(OC)c3)n2)C1 ZINC000438507870 338346577 /nfs/dbraw/zinc/34/65/77/338346577.db2.gz KUOFRFRGPUVNIR-CYBMUJFWSA-N 0 3 302.443 4.051 20 0 DIADHN Cc1ccccc1[C@@H](C)NCCC(=O)Nc1cccc(F)c1C ZINC000438779060 338351994 /nfs/dbraw/zinc/35/19/94/338351994.db2.gz FGZZNBRRVKHUKI-OAHLLOKOSA-N 0 3 314.404 4.122 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000438906450 338355649 /nfs/dbraw/zinc/35/56/49/338355649.db2.gz BZWBUNPLQHFRPC-SFHLNBCPSA-N 0 3 323.436 4.019 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H]1NCc1nccn1CCc1ccccc1 ZINC000438999090 338358012 /nfs/dbraw/zinc/35/80/12/338358012.db2.gz LFWZNTBFPSYOMI-OALUTQOASA-N 0 3 311.473 4.040 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2Cc2cccc(F)c2F)C1 ZINC000439006191 338358239 /nfs/dbraw/zinc/35/82/39/338358239.db2.gz SWFORFHKPYUPPX-WCZJQEMASA-N 0 3 323.427 4.116 20 0 DIADHN c1ccc2c(c1)CCc1ccccc1C2N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000439057537 338359809 /nfs/dbraw/zinc/35/98/09/338359809.db2.gz LIJXTNIWLKBOHE-NJYVYQBISA-N 0 3 319.448 4.032 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N(C)CCCOCc1ccccc1 ZINC000439465400 338370419 /nfs/dbraw/zinc/37/04/19/338370419.db2.gz FFXFUOJKALMVMF-INIZCTEOSA-N 0 3 324.424 4.428 20 0 DIADHN CC1(C)C[C@H]1CNCc1cc(Cl)ccc1OC[C@H]1CCCO1 ZINC000439470864 338370570 /nfs/dbraw/zinc/37/05/70/338370570.db2.gz POEAIVRLBFYGEW-GOEBONIOSA-N 0 3 323.864 4.034 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2ccc(OC(F)(F)F)cc2)CCCO1 ZINC000439473780 338370733 /nfs/dbraw/zinc/37/07/33/338370733.db2.gz WDKGCRABJUVVMA-GXTWGEPZSA-N 0 3 317.351 4.147 20 0 DIADHN C[C@@H](O)CCNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439476486 338370912 /nfs/dbraw/zinc/37/09/12/338370912.db2.gz HWSPUFDWWALYIQ-CQSZACIVSA-N 0 3 301.430 4.105 20 0 DIADHN CC(C)COc1cccc(CN2CCC([C@@H]3CCCO3)CC2)c1 ZINC000439775228 338377573 /nfs/dbraw/zinc/37/75/73/338377573.db2.gz OUJGQUDWEXVGJC-FQEVSTJZSA-N 0 3 317.473 4.112 20 0 DIADHN Cc1cc2cc(CN3CCC([C@@H]4CCCO4)CC3)oc2cc1C ZINC000439783410 338378012 /nfs/dbraw/zinc/37/80/12/338378012.db2.gz RBBANBLEQMYAMY-IBGZPJMESA-N 0 3 313.441 4.441 20 0 DIADHN Cc1cc2[nH]c(CN3CCC([C@@H]4CCCO4)CC3)cc2c(C)c1 ZINC000439787667 338378163 /nfs/dbraw/zinc/37/81/63/338378163.db2.gz UQXSRUVAYWXBHT-FQEVSTJZSA-N 0 3 312.457 4.176 20 0 DIADHN CC[C@H](O)CN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000440503772 338388657 /nfs/dbraw/zinc/38/86/57/338388657.db2.gz VBMRUSRAMSRXDX-LSDHHAIUSA-N 0 3 301.352 4.003 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1cc(C(F)(F)F)n[nH]1 ZINC000440647199 338394191 /nfs/dbraw/zinc/39/41/91/338394191.db2.gz NQOKGPGEEKOMSR-BZNIZROVSA-N 0 3 323.362 4.232 20 0 DIADHN FC(F)(F)c1cc(CN[C@H](c2ccccc2)C2CCCC2)[nH]n1 ZINC000440796307 338397752 /nfs/dbraw/zinc/39/77/52/338397752.db2.gz CDGPCLBZIZCDSI-MRXNPFEDSA-N 0 3 323.362 4.450 20 0 DIADHN Cc1ccccc1[C@H](NCc1cc(C(F)(F)F)n[nH]1)C(C)C ZINC000440828360 338398423 /nfs/dbraw/zinc/39/84/23/338398423.db2.gz SZHZLOSPFBAEQX-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCC1([S@](C)=O)CC1 ZINC000441383234 338411310 /nfs/dbraw/zinc/41/13/10/338411310.db2.gz WLPDDAQVHOPODG-JIDPPIHHSA-N 0 3 319.514 4.151 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000191725944 338429237 /nfs/dbraw/zinc/42/92/37/338429237.db2.gz VEGSBMDWRGRWKE-NSHDSACASA-N 0 3 310.319 4.139 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000442777377 338430369 /nfs/dbraw/zinc/43/03/69/338430369.db2.gz IIWOLFNJOQSOHW-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@H](C)c1ccccc1SC ZINC000442796254 338432130 /nfs/dbraw/zinc/43/21/30/338432130.db2.gz VRVOSFXPGSJDJT-WBVHZDCISA-N 0 3 315.482 4.317 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1cccc(O)c1 ZINC000442867956 338436276 /nfs/dbraw/zinc/43/62/76/338436276.db2.gz GBDQYXSRJZAXKB-VFVRVIDISA-N 0 3 319.832 4.472 20 0 DIADHN CC(C)[C@@H](NCc1ccncc1OCC(F)F)c1ccccc1 ZINC000442868129 338436392 /nfs/dbraw/zinc/43/63/92/338436392.db2.gz PUXPONKMEDJKLD-GOSISDBHSA-N 0 3 320.383 4.212 20 0 DIADHN CCOCCN[C@@H](CC)c1ccc(Br)cc1Cl ZINC000446750952 338534776 /nfs/dbraw/zinc/53/47/76/338534776.db2.gz IOZDIGSEAHXZHM-ZDUSSCGKSA-N 0 3 320.658 4.180 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@H](O)CC(C)(C)C)CCCS2 ZINC000446818503 338536654 /nfs/dbraw/zinc/53/66/54/338536654.db2.gz OCMBAPVSZJOPKW-CJNGLKHVSA-N 0 3 323.502 4.009 20 0 DIADHN Cc1nc(C)c(CN[C@@H](COCc2ccccc2)C(C)C)s1 ZINC000446840445 338537947 /nfs/dbraw/zinc/53/79/47/338537947.db2.gz ZBWWSKVDFMGALA-KRWDZBQOSA-N 0 3 318.486 4.091 20 0 DIADHN Cc1nccnc1[C@H](C)N[C@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000446885792 338539489 /nfs/dbraw/zinc/53/94/89/338539489.db2.gz YNPZZDOKSDLEEM-KSSFIOAISA-N 0 3 311.404 4.211 20 0 DIADHN Cc1ccc(C2CC(NCc3ccc4c(n3)CCCC4)C2)cc1 ZINC000446906642 338540213 /nfs/dbraw/zinc/54/02/13/338540213.db2.gz PRKVVEHYAXKYKW-UHFFFAOYSA-N 0 3 306.453 4.305 20 0 DIADHN CC[C@H](NCCOCc1cccc(OC)c1)c1cccc(F)c1 ZINC000446925090 338540612 /nfs/dbraw/zinc/54/06/12/338540612.db2.gz LRYYUQCDKCAJMM-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN CC[C@H](NCc1cccc(-c2cnn(C)c2)c1)c1cccc(F)c1 ZINC000446937904 338541426 /nfs/dbraw/zinc/54/14/26/338541426.db2.gz TYUGMTZSWPEAJW-FQEVSTJZSA-N 0 3 323.415 4.467 20 0 DIADHN Clc1cccc(Cl)c1C1(NCC[C@H]2CCOC2)CCC1 ZINC000447056446 338543795 /nfs/dbraw/zinc/54/37/95/338543795.db2.gz ZFTDEMNZNIWOQV-LBPRGKRZSA-N 0 3 314.256 4.389 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1cccc(Br)c1 ZINC000160226915 338545807 /nfs/dbraw/zinc/54/58/07/338545807.db2.gz RIVKHDYSHKMYIV-FZMZJTMJSA-N 0 3 312.251 4.057 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccc(Br)cc1 ZINC000160248435 338546178 /nfs/dbraw/zinc/54/61/78/338546178.db2.gz LVWDQUFQRSCKNO-RISCZKNCSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2cccs2)N2CCCC2)c(C)o1 ZINC000447516993 338553521 /nfs/dbraw/zinc/55/35/21/338553521.db2.gz IUQWWTPPUMCCPX-PBHICJAKSA-N 0 3 318.486 4.446 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccc3c(c2)OCCO3)c2ccccc21 ZINC000447516304 338553637 /nfs/dbraw/zinc/55/36/37/338553637.db2.gz NYAVYOACPORUGT-RBUKOAKNSA-N 0 3 323.436 4.432 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)COc2ccc(F)cc2F)c(C)o1 ZINC000447532576 338554749 /nfs/dbraw/zinc/55/47/49/338554749.db2.gz UYJITVHHARNBTO-PWSUYJOCSA-N 0 3 309.356 4.293 20 0 DIADHN COC(=O)c1coc([C@H](C)NC[C@@H](c2ccccc2)C(C)C)c1 ZINC000447535249 338555036 /nfs/dbraw/zinc/55/50/36/338555036.db2.gz DSXHLXOWHUELOX-WMLDXEAASA-N 0 3 315.413 4.157 20 0 DIADHN CNC(=O)c1cccc(CN[C@H]2C[C@@H](C(C)C)c3ccccc32)c1 ZINC000447544154 338555696 /nfs/dbraw/zinc/55/56/96/338555696.db2.gz NWACRGJTNFULKE-PMACEKPBSA-N 0 3 322.452 4.020 20 0 DIADHN CNC(=O)c1cccc(CN[C@H]2C[C@H](C(C)C)c3ccccc32)c1 ZINC000447544155 338555703 /nfs/dbraw/zinc/55/57/03/338555703.db2.gz NWACRGJTNFULKE-UXHICEINSA-N 0 3 322.452 4.020 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](C)N2CCc3sccc3C2)c(C)o1 ZINC000447563608 338557119 /nfs/dbraw/zinc/55/71/19/338557119.db2.gz RBJCGUHUHFUIPI-TZMCWYRMSA-N 0 3 318.486 4.055 20 0 DIADHN COCCC[C@H](C)N[C@@H](CC(F)(F)F)c1ccccc1C ZINC000447579518 338558768 /nfs/dbraw/zinc/55/87/68/338558768.db2.gz NFCBZMWGKRWIGS-ZFWWWQNUSA-N 0 3 303.368 4.393 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2NCCCOc1cccc2cccnc21 ZINC000447585666 338559463 /nfs/dbraw/zinc/55/94/63/338559463.db2.gz JUWRXSAKEJSCPA-KRWDZBQOSA-N 0 3 322.408 4.264 20 0 DIADHN C[C@@H](NCc1nc(-c2ccccc2)c[nH]1)[C@@H](C)c1ccccc1 ZINC000447841995 338568918 /nfs/dbraw/zinc/56/89/18/338568918.db2.gz GJSCZMNFUAGBFP-HZPDHXFCSA-N 0 3 305.425 4.359 20 0 DIADHN CC(C)(C)c1cnc(CNCC2(c3cccc(F)c3)CC2)s1 ZINC000447941136 338572386 /nfs/dbraw/zinc/57/23/86/338572386.db2.gz DMQCKFNRJSOZQM-UHFFFAOYSA-N 0 3 318.461 4.401 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H](C(C)C)c3ccccc32)cc1O ZINC000447976865 338573422 /nfs/dbraw/zinc/57/34/22/338573422.db2.gz LWVAAMJLQYOUGI-QZTJIDSGSA-N 0 3 311.425 4.375 20 0 DIADHN Clc1ccc(C[C@H]2CCC[C@H]2NCc2nccs2)cc1 ZINC000449085523 338592050 /nfs/dbraw/zinc/59/20/50/338592050.db2.gz OBUNWWZYJQVASD-UKRRQHHQSA-N 0 3 306.862 4.298 20 0 DIADHN C[C@H](N[C@H]1CCOC2(CCC2)C1)c1nc(C(C)(C)C)cs1 ZINC000449125465 338595471 /nfs/dbraw/zinc/59/54/71/338595471.db2.gz JEUOFCXMQQGDTA-STQMWFEESA-N 0 3 308.491 4.193 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ccnn2C2CCCC2)C1 ZINC000449126382 338595526 /nfs/dbraw/zinc/59/55/26/338595526.db2.gz UXCBGAIFPQLYJV-UHFFFAOYSA-N 0 3 309.457 4.208 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2c(OC)c1)c1ccncc1 ZINC000449163395 338598258 /nfs/dbraw/zinc/59/82/58/338598258.db2.gz MDCDXXXYRZNFJN-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1c(C)nnn1CC)c1ccc(Cl)cc1 ZINC000449175175 338599354 /nfs/dbraw/zinc/59/93/54/338599354.db2.gz QQFFHJHLVCKJBH-SJKOYZFVSA-N 0 3 320.868 4.137 20 0 DIADHN CCc1noc(CC)c1CN[C@@H](C)Cc1coc2ccccc12 ZINC000449191727 338601023 /nfs/dbraw/zinc/60/10/23/338601023.db2.gz OYVMIIXLTZJEED-ZDUSSCGKSA-N 0 3 312.413 4.266 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)Cc1coc2ccccc12 ZINC000449191726 338601027 /nfs/dbraw/zinc/60/10/27/338601027.db2.gz OYVMIIXLTZJEED-CYBMUJFWSA-N 0 3 312.413 4.266 20 0 DIADHN C[C@H]1C[C@H](CCNCc2cc(Cl)sc2Cl)CCO1 ZINC000449241146 338605692 /nfs/dbraw/zinc/60/56/92/338605692.db2.gz RMCKNQIMYWLMTD-VHSXEESVSA-N 0 3 308.274 4.350 20 0 DIADHN CCc1nn(C)cc1CNCc1ccccc1-c1ccccc1C ZINC000449247302 338606323 /nfs/dbraw/zinc/60/63/23/338606323.db2.gz FTSMSIOVJSNIAQ-UHFFFAOYSA-N 0 3 319.452 4.248 20 0 DIADHN CCOC[C@@H](NCc1cnc(-c2ccccc2F)s1)C(C)C ZINC000449277166 338608838 /nfs/dbraw/zinc/60/88/38/338608838.db2.gz DYIYWFGZDJOWIM-MRXNPFEDSA-N 0 3 322.449 4.100 20 0 DIADHN Cc1cnc(CNC23CCC(C(F)(F)F)(CC2)CC3)s1 ZINC000449288889 338609771 /nfs/dbraw/zinc/60/97/71/338609771.db2.gz PARKZBLYMNFCND-UHFFFAOYSA-N 0 3 304.381 4.196 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NC[C@H]1CCC(F)(F)C1)CC2 ZINC000449297952 338610605 /nfs/dbraw/zinc/61/06/05/338610605.db2.gz FMABHYHYHWHFCH-KWQFWETISA-N 0 3 305.290 4.116 20 0 DIADHN CC(C)SCCNCc1cnc(CCc2ccccc2)s1 ZINC000449319491 338612317 /nfs/dbraw/zinc/61/23/17/338612317.db2.gz KCPFLIJEUUYILR-UHFFFAOYSA-N 0 3 320.527 4.160 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnn1C1CCCC1)CCC2 ZINC000449329696 338613701 /nfs/dbraw/zinc/61/37/01/338613701.db2.gz PMMKFIICJNNQMA-FQEVSTJZSA-N 0 3 309.457 4.474 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](C)c3cccc(C4CC4)c3)n2c1 ZINC000449348205 338615848 /nfs/dbraw/zinc/61/58/48/338615848.db2.gz FZUKYBWAJAEBRH-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN COc1cccc(CNCCCC(C)(F)F)c1OC(C)C ZINC000449349730 338616270 /nfs/dbraw/zinc/61/62/70/338616270.db2.gz GVCHGIISQADYOS-UHFFFAOYSA-N 0 3 301.377 4.007 20 0 DIADHN CCCOc1ccc(CNCCC(C)(F)F)cc1OCC ZINC000449353503 338616848 /nfs/dbraw/zinc/61/68/48/338616848.db2.gz CFUYCEQTZVLFNO-UHFFFAOYSA-N 0 3 301.377 4.009 20 0 DIADHN CC1(F)CC(NCc2cc(Br)c(Cl)s2)C1 ZINC000449376538 338619080 /nfs/dbraw/zinc/61/90/80/338619080.db2.gz NQSAYIUTTPTJGX-UHFFFAOYSA-N 0 3 312.635 4.144 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)c(C)c2)cc(OC)c1 ZINC000449374187 338618896 /nfs/dbraw/zinc/61/88/96/338618896.db2.gz XWSWVYCZINTKPZ-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CSCc1cnc(CNCC[C@H]2CCc3ccccc32)s1 ZINC000449381918 338619599 /nfs/dbraw/zinc/61/95/99/338619599.db2.gz ZUBOYLUWXUXYLR-CQSZACIVSA-N 0 3 318.511 4.216 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1conc1Cc1ccccc1 ZINC000449380609 338619655 /nfs/dbraw/zinc/61/96/55/338619655.db2.gz WNIMXSPOPGMLDA-MRXNPFEDSA-N 0 3 320.383 4.181 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1cccc(C(F)(F)F)n1 ZINC000449401998 338621096 /nfs/dbraw/zinc/62/10/96/338621096.db2.gz OZOIKFWLOJMVQJ-CYBMUJFWSA-N 0 3 308.347 4.130 20 0 DIADHN COc1ccc(CNCc2ccc(F)c(C(F)(F)F)c2)cc1 ZINC000162515595 338623305 /nfs/dbraw/zinc/62/33/05/338623305.db2.gz NBQJIEPHHRLNAK-UHFFFAOYSA-N 0 3 313.294 4.143 20 0 DIADHN Cc1ccc(CN[C@H](CCO)c2cccc(C(F)(F)F)c2)cc1 ZINC000449435307 338623792 /nfs/dbraw/zinc/62/37/92/338623792.db2.gz ARJVRJZNZIKUNU-QGZVFWFLSA-N 0 3 323.358 4.227 20 0 DIADHN CC(C)=CCN1CCC(c2nc(C(F)(F)F)cs2)CC1 ZINC000449439499 338624205 /nfs/dbraw/zinc/62/42/05/338624205.db2.gz VSJDKTQPJRDAGT-UHFFFAOYSA-N 0 3 304.381 4.308 20 0 DIADHN COC[C@@H](CN[C@H](C)c1cc(C)ccn1)c1ccc(Cl)cc1 ZINC000449479016 338628877 /nfs/dbraw/zinc/62/88/77/338628877.db2.gz LIGICLJFMRXKOH-GDBMZVCRSA-N 0 3 318.848 4.124 20 0 DIADHN C[C@@H]1C[C@H](NC2CCC(C)(c3ccccc3)CC2)c2nccn21 ZINC000449568570 338638458 /nfs/dbraw/zinc/63/84/58/338638458.db2.gz BOHHDBJOOPHTHB-SNXQWQLTSA-N 0 3 309.457 4.379 20 0 DIADHN COC1(C[C@@H](C)N[C@@H]2CCc3cc(F)c(F)c(F)c32)CCC1 ZINC000449587832 338640015 /nfs/dbraw/zinc/64/00/15/338640015.db2.gz PDRCNZKBEBYOPY-ZWNOBZJWSA-N 0 3 313.363 4.029 20 0 DIADHN COC1(C[C@H](C)N[C@@H]2CCc3cc(F)c(F)c(F)c32)CCC1 ZINC000449587830 338640063 /nfs/dbraw/zinc/64/00/63/338640063.db2.gz PDRCNZKBEBYOPY-GXFFZTMASA-N 0 3 313.363 4.029 20 0 DIADHN CN1CCC[C@H](NCc2ccc3ncccc3c2)c2ccccc21 ZINC000449653036 338644445 /nfs/dbraw/zinc/64/44/45/338644445.db2.gz RMJYPQBLLVDXOO-FQEVSTJZSA-N 0 3 317.436 4.296 20 0 DIADHN COc1cc(C)ccc1CN[C@@H]1CCCN(C)c2ccccc21 ZINC000449660167 338644719 /nfs/dbraw/zinc/64/47/19/338644719.db2.gz XEXNLRGHGMUCGB-GOSISDBHSA-N 0 3 310.441 4.065 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1CNCc1nccn1CC(F)(F)F ZINC000449732867 338648456 /nfs/dbraw/zinc/64/84/56/338648456.db2.gz DVWHMSABNHYXEF-KGLIPLIRSA-N 0 3 317.399 4.142 20 0 DIADHN Fc1cnccc1CN[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000449778862 338652351 /nfs/dbraw/zinc/65/23/51/338652351.db2.gz WYIIHTSVUMHXFA-CZUORRHYSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000450106300 338667271 /nfs/dbraw/zinc/66/72/71/338667271.db2.gz SKGSENWHHRXPIU-FRFSOERESA-N 0 3 302.462 4.067 20 0 DIADHN CCCc1ccc2nccc(N3CCc4ncsc4C3)c2c1 ZINC000450475429 338686490 /nfs/dbraw/zinc/68/64/90/338686490.db2.gz XPIWQIXCYNGBAG-UHFFFAOYSA-N 0 3 309.438 4.207 20 0 DIADHN CC(C)c1ccc(-c2nc(CN[C@@H](C)[C@@H](C)CO)cs2)cc1 ZINC000450730363 338702066 /nfs/dbraw/zinc/70/20/66/338702066.db2.gz TXHIHCLMURVLOB-KBPBESRZSA-N 0 3 318.486 4.040 20 0 DIADHN C(=C\c1ccccc1)\CCN1CCC(c2noc(C3CC3)n2)CC1 ZINC000450763627 338704340 /nfs/dbraw/zinc/70/43/40/338704340.db2.gz BJLMFCAVMGNDNV-YWEYNIOJSA-N 0 3 323.440 4.230 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450763007 338704347 /nfs/dbraw/zinc/70/43/47/338704347.db2.gz OUMVQVSKVDMZBP-NEPJUHHUSA-N 0 3 314.445 4.498 20 0 DIADHN c1ccc([C@@H]2CCCC[C@H]2NCc2nnc(C3CC3)s2)cc1 ZINC000450767433 338704620 /nfs/dbraw/zinc/70/46/20/338704620.db2.gz UUJWXNUWKCVVAS-JKSUJKDBSA-N 0 3 313.470 4.232 20 0 DIADHN Cc1cnccc1OC1CCN(C[C@H]2CCCCC2(F)F)CC1 ZINC000450865813 338709317 /nfs/dbraw/zinc/70/93/17/338709317.db2.gz DYZUAYYGTQNXHP-OAHLLOKOSA-N 0 3 324.415 4.059 20 0 DIADHN FC1(c2ccccn2)CCN(CCCc2ccsc2)CC1 ZINC000450935097 338713322 /nfs/dbraw/zinc/71/33/22/338713322.db2.gz YLALYXRWNUUGIR-UHFFFAOYSA-N 0 3 304.434 4.037 20 0 DIADHN COC[C@@H](NC[C@H]1CCCC1(F)F)c1cccc(Cl)c1 ZINC000451038621 338718829 /nfs/dbraw/zinc/71/88/29/338718829.db2.gz VDJNFQFAJMOWOQ-TZMCWYRMSA-N 0 3 303.780 4.053 20 0 DIADHN COCCCNC(C)(C)c1nc(-c2ccccc2F)c(C)s1 ZINC000451165111 338724042 /nfs/dbraw/zinc/72/40/42/338724042.db2.gz JINXTOVFMYKCHF-UHFFFAOYSA-N 0 3 322.449 4.119 20 0 DIADHN FC(F)(F)c1csc(C2CCN([C@H]3C=CCCC3)CC2)n1 ZINC000451292620 338728636 /nfs/dbraw/zinc/72/86/36/338728636.db2.gz DVRRBASKYCKKKA-LBPRGKRZSA-N 0 3 316.392 4.450 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccc(F)c(Cl)c2)C2CC2)n1 ZINC000451353353 338730781 /nfs/dbraw/zinc/73/07/81/338730781.db2.gz JKDDKSZYCIZNRV-KRWDZBQOSA-N 0 3 321.827 4.033 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](O)C1)c1cc(Cl)sc1Cl ZINC000163359640 338731002 /nfs/dbraw/zinc/73/10/02/338731002.db2.gz WHTIGQLXNAFZIB-GUBZILKMSA-N 0 3 308.274 4.257 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@H](O)C1)c1ccc(Cl)cc1Cl ZINC000163375199 338734449 /nfs/dbraw/zinc/73/44/49/338734449.db2.gz MRRIATONRZSCNN-LOWVWBTDSA-N 0 3 302.245 4.195 20 0 DIADHN CCn1c2ccc(F)cc2nc1CN[C@@H]1CCC12CCCC2 ZINC000451461858 338735483 /nfs/dbraw/zinc/73/54/83/338735483.db2.gz VBOFQWDWTOTGMO-MRXNPFEDSA-N 0 3 301.409 4.008 20 0 DIADHN Fc1cc(F)cc([C@H]2C[C@H](NCc3cnc(C4CC4)s3)C2)c1 ZINC000580511028 338735849 /nfs/dbraw/zinc/73/58/49/338735849.db2.gz JWPMEGZAFYGTKJ-NNUKFRKNSA-N 0 3 320.408 4.335 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](OCc2ccccc2)C1(C)C)c1cccnc1 ZINC000451490626 338736580 /nfs/dbraw/zinc/73/65/80/338736580.db2.gz GVNIXJKYAWWPDP-KFKAGJAMSA-N 0 3 310.441 4.116 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCC[C@@H](C)C1)C(=O)Nc1c(F)cccc1F ZINC000452071353 338752615 /nfs/dbraw/zinc/75/26/15/338752615.db2.gz QUEJTEWINQKUSH-RFGFWPKPSA-N 0 3 324.415 4.096 20 0 DIADHN C[C@@H](NCCc1csc(-c2ccccc2)n1)c1cccc(O)c1 ZINC000194836958 338764684 /nfs/dbraw/zinc/76/46/84/338764684.db2.gz YTHISRZMWLLVJH-CQSZACIVSA-N 0 3 324.449 4.409 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1cccc(O)c1)c1ccsc1 ZINC000194849022 338766560 /nfs/dbraw/zinc/76/65/60/338766560.db2.gz MPKYEWNWFHVHDL-RDTXWAMCSA-N 0 3 318.486 4.187 20 0 DIADHN C[C@@H](NC(=O)c1ccccc1CN(C)C)c1cccc(C2CC2)c1 ZINC000452571529 338771510 /nfs/dbraw/zinc/77/15/10/338771510.db2.gz GQMJZZMABLOELE-OAHLLOKOSA-N 0 3 322.452 4.117 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2ccccc2SC)s1 ZINC000194904149 338776295 /nfs/dbraw/zinc/77/62/95/338776295.db2.gz FCXMTHVSMSZHOW-LBPRGKRZSA-N 0 3 306.500 4.321 20 0 DIADHN Cc1cc(Cl)c(NC(=O)c2cccc(CN(C)C)c2)cc1C ZINC000452891477 338783389 /nfs/dbraw/zinc/78/33/89/338783389.db2.gz VHWPRJGUSMEFJT-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(c3ccc(F)cc3)CCCC2)c2nccn21 ZINC000453072536 338790491 /nfs/dbraw/zinc/79/04/91/338790491.db2.gz YTGUNFBNXZKKBQ-RHSMWYFYSA-N 0 3 313.420 4.130 20 0 DIADHN CC[C@H](NCCOc1ccc(C(F)(F)F)cc1)c1ccncc1 ZINC000453073858 338790522 /nfs/dbraw/zinc/79/05/22/338790522.db2.gz BDJRLYQSNWHHBE-INIZCTEOSA-N 0 3 324.346 4.220 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2CC[C@H](C)c3ccsc32)c1 ZINC000453078634 338791096 /nfs/dbraw/zinc/79/10/96/338791096.db2.gz RYHJDBJZOWGCAS-LRDDRELGSA-N 0 3 317.454 4.494 20 0 DIADHN CCOc1ccc([C@H](C)N[C@@H](CC)c2ccncc2)cc1OC ZINC000453086341 338792050 /nfs/dbraw/zinc/79/20/50/338792050.db2.gz VGJBKGLFOYSUSJ-YOEHRIQHSA-N 0 3 314.429 4.291 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccncn1)c1c(Cl)cccc1Cl ZINC000453096189 338793211 /nfs/dbraw/zinc/79/32/11/338793211.db2.gz POLANMYKKWCPOZ-QWRGUYRKSA-N 0 3 310.228 4.238 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(N2CCCC2)c1)c1ccncc1 ZINC000453122036 338796465 /nfs/dbraw/zinc/79/64/65/338796465.db2.gz FJABUHUMRQUASL-UZLBHIALSA-N 0 3 309.457 4.484 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)Cc2cccc(Cl)c2)c2nccn21 ZINC000453131513 338797738 /nfs/dbraw/zinc/79/77/38/338797738.db2.gz PHHXGUZGETVSNI-CZUORRHYSA-N 0 3 317.864 4.401 20 0 DIADHN C[C@@H](NCC(C)(C)Cc1cccc(Cl)c1)c1ccncn1 ZINC000453137109 338798268 /nfs/dbraw/zinc/79/82/68/338798268.db2.gz VBJJHBYXXIHONP-CYBMUJFWSA-N 0 3 303.837 4.050 20 0 DIADHN C[C@@H](NCCCc1ccc(Cl)cc1Cl)c1ccncn1 ZINC000453142887 338798921 /nfs/dbraw/zinc/79/89/21/338798921.db2.gz UFFVBHXDVGGVBU-LLVKDONJSA-N 0 3 310.228 4.067 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCN(c3ncccc3Cl)C2)cs1 ZINC000453144219 338799307 /nfs/dbraw/zinc/79/93/07/338799307.db2.gz QMZQKAZJESZEDS-GXTWGEPZSA-N 0 3 321.877 4.034 20 0 DIADHN CC[C@H](N[C@@H]1CCN(c2ccccc2OC)C1)c1ccsc1 ZINC000453145198 338799379 /nfs/dbraw/zinc/79/93/79/338799379.db2.gz RVCONNKSFKMOJI-CVEARBPZSA-N 0 3 316.470 4.076 20 0 DIADHN C[C@@H](Cc1cnccn1)N[C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000453148412 338799800 /nfs/dbraw/zinc/79/98/00/338799800.db2.gz UWQYVAPRPANLHV-YVEFUNNKSA-N 0 3 319.399 4.063 20 0 DIADHN C[C@@H](Cc1cnccn1)N[C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000453148410 338799805 /nfs/dbraw/zinc/79/98/05/338799805.db2.gz UWQYVAPRPANLHV-SJCJKPOMSA-N 0 3 319.399 4.063 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2c(F)cccc2Cl)c2nccn21 ZINC000453148999 338799817 /nfs/dbraw/zinc/79/98/17/338799817.db2.gz KDWLBSVTVCQWFT-BXUZGUMPSA-N 0 3 321.827 4.249 20 0 DIADHN C[C@H]1C[C@H](NCC(C)(C)c2c(F)cccc2Cl)c2nccn21 ZINC000453149000 338799821 /nfs/dbraw/zinc/79/98/21/338799821.db2.gz KDWLBSVTVCQWFT-FZMZJTMJSA-N 0 3 321.827 4.249 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccncc1 ZINC000453164797 338801618 /nfs/dbraw/zinc/80/16/18/338801618.db2.gz OIYFISRHUIXUDL-BZSNNMDCSA-N 0 3 316.832 4.306 20 0 DIADHN CC[C@@H](NCc1ccccc1-c1cnn(C)c1)c1ccsc1 ZINC000453172634 338802551 /nfs/dbraw/zinc/80/25/51/338802551.db2.gz ICXLTKXHKKWESQ-GOSISDBHSA-N 0 3 311.454 4.390 20 0 DIADHN C[C@@H](N[C@@H](C)CC(F)(F)F)c1cc(-c2ccccc2)nn1C ZINC000453190798 338805263 /nfs/dbraw/zinc/80/52/63/338805263.db2.gz DCLGTQXRTMOJPW-NWDGAFQWSA-N 0 3 311.351 4.079 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453192913 338805454 /nfs/dbraw/zinc/80/54/54/338805454.db2.gz DBNBEFAKCXKZJK-OAHLLOKOSA-N 0 3 314.429 4.340 20 0 DIADHN C[C@H](Cc1cnccn1)N[C@@H](C)c1ccc(Cl)cc1Cl ZINC000453202153 338807195 /nfs/dbraw/zinc/80/71/95/338807195.db2.gz CZNVFVAZWFHSKI-MNOVXSKESA-N 0 3 310.228 4.065 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1ccncn1 ZINC000453205514 338807737 /nfs/dbraw/zinc/80/77/37/338807737.db2.gz DCPHWUYFORHBFM-WHOFXGATSA-N 0 3 321.346 4.092 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1ccc(Oc2ccccc2)cn1 ZINC000453208218 338808019 /nfs/dbraw/zinc/80/80/19/338808019.db2.gz BLFYOMRHDZLEQI-GIPAHHNCSA-N 0 3 324.424 4.092 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1ccc(Oc2ccccc2)cn1 ZINC000453213559 338808652 /nfs/dbraw/zinc/80/86/52/338808652.db2.gz QDQXTSYXUIEVEZ-SUNYJGFJSA-N 0 3 314.454 4.276 20 0 DIADHN C[C@H]1C[C@@H](NC2CC(c3ccc(F)c(Cl)c3)C2)c2nccn21 ZINC000453213201 338808764 /nfs/dbraw/zinc/80/87/64/338808764.db2.gz MENREMASUZATOC-ZBFGXGADSA-N 0 3 319.811 4.217 20 0 DIADHN CCn1cc([C@H](C)N[C@H](C)c2ccc(OC(C)C)cc2)cn1 ZINC000194981615 338809575 /nfs/dbraw/zinc/80/95/75/338809575.db2.gz GVVXABSDHMDJIJ-CABCVRRESA-N 0 3 301.434 4.102 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1ccc(Oc2ccccc2)cn1 ZINC000453227941 338810833 /nfs/dbraw/zinc/81/08/33/338810833.db2.gz OIJMAXFDZJUYFW-RRFJBIMHSA-N 0 3 300.377 4.415 20 0 DIADHN COC[C@@H](NCCCC(C)(F)F)c1cccc(Cl)c1F ZINC000453230823 338811348 /nfs/dbraw/zinc/81/13/48/338811348.db2.gz ICWIMRXSCOAWMX-GFCCVEGCSA-N 0 3 309.759 4.192 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NC1CC(C)(F)C1 ZINC000453237406 338812261 /nfs/dbraw/zinc/81/22/61/338812261.db2.gz MHNBMULJSTXCII-NBZBQWRSSA-N 0 3 305.422 4.055 20 0 DIADHN C[C@@H](NC[C@H]1CCCCC1(F)F)c1cnn(C2CCCC2)c1 ZINC000453240893 338812694 /nfs/dbraw/zinc/81/26/94/338812694.db2.gz CMAHBUNZLDIQGQ-UKRRQHHQSA-N 0 3 311.420 4.474 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)[C@H]2OCCc3sccc32)cs1 ZINC000453244765 338813430 /nfs/dbraw/zinc/81/34/30/338813430.db2.gz SRTXSHFWNZGJOJ-HWWQOWPSSA-N 0 3 307.484 4.471 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CCCCC1(F)F)c1ccc(F)cn1 ZINC000453250196 338814239 /nfs/dbraw/zinc/81/42/39/338814239.db2.gz MLHGIAXZPXRNIX-WFASDCNBSA-N 0 3 300.368 4.333 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@H](C)c1ccc(SC)c(OC)c1)OC ZINC000453267917 338816403 /nfs/dbraw/zinc/81/64/03/338816403.db2.gz NQJJWZZTHMFNKR-WOSRLPQWSA-N 0 3 311.491 4.129 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)N[C@H](CC)[C@H](O)C(F)F ZINC000453269594 338816713 /nfs/dbraw/zinc/81/67/13/338816713.db2.gz MXOABVNMEWKPTB-ZBINZKHDSA-N 0 3 311.372 4.050 20 0 DIADHN C[C@@H](N[C@H]1CCOC1(C)C)c1nc(-c2ccccc2)cs1 ZINC000453274053 338817092 /nfs/dbraw/zinc/81/70/92/338817092.db2.gz APFZMZBDDDMQBA-DOMZBBRYSA-N 0 3 302.443 4.028 20 0 DIADHN CC[C@@H]1CCC[C@H]1CN[C@@H](c1ccc(F)cc1)c1cnccn1 ZINC000453295525 338820205 /nfs/dbraw/zinc/82/02/05/338820205.db2.gz XHJJAIWHNVCOKG-ALKREAHSSA-N 0 3 313.420 4.121 20 0 DIADHN CO[C@H](CN[C@H](C)c1ccc2ccccc2n1)Cc1ccccc1 ZINC000453297652 338820444 /nfs/dbraw/zinc/82/04/44/338820444.db2.gz VAGAJIXUFPLMEO-APWZRJJASA-N 0 3 320.436 4.143 20 0 DIADHN Cc1cccnc1CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000453312329 338822761 /nfs/dbraw/zinc/82/27/61/338822761.db2.gz QJJRHGSZHFJAIY-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN Fc1ccc2c(c1)[C@H](NC[C@H]1CCCC1(F)F)CCS2 ZINC000453314409 338823179 /nfs/dbraw/zinc/82/31/79/338823179.db2.gz FFNOOCKFRSCPAY-ZWNOBZJWSA-N 0 3 301.377 4.388 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1ccc2ccccc2n1)c1ccccc1 ZINC000453323272 338824813 /nfs/dbraw/zinc/82/48/13/338824813.db2.gz PHGICHICQCQXAI-IIBYNOLFSA-N 0 3 320.436 4.447 20 0 DIADHN Cc1ccncc1CN[C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000453327357 338825488 /nfs/dbraw/zinc/82/54/88/338825488.db2.gz OVAKXWJYKWOJHZ-INIZCTEOSA-N 0 3 322.330 4.066 20 0 DIADHN C[C@@H](NC1(Cc2cccc(Cl)c2)CC1)c1ccc(F)cn1 ZINC000453340013 338827474 /nfs/dbraw/zinc/82/74/74/338827474.db2.gz CCRIXZCLWQAKKC-GFCCVEGCSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1cc(C)c2c(c1)[C@@H](NCc1cnc(C)cc1C)CCCO2 ZINC000453340058 338827524 /nfs/dbraw/zinc/82/75/24/338827524.db2.gz BXHNXHHRRHAQBA-IBGZPJMESA-N 0 3 310.441 4.319 20 0 DIADHN CC/C=C/CN[C@H](C)c1ccc(NC(=O)N(CC)CC)cc1 ZINC000453340679 338827703 /nfs/dbraw/zinc/82/77/03/338827703.db2.gz ZMVZTPNSSCWJJB-XVJNWHFHSA-N 0 3 303.450 4.177 20 0 DIADHN OCC1(CCN[C@H](c2ccccc2)c2ccc(Cl)cc2)CC1 ZINC000453357138 338830768 /nfs/dbraw/zinc/83/07/68/338830768.db2.gz RTNSCINEMPDBHJ-GOSISDBHSA-N 0 3 315.844 4.182 20 0 DIADHN CC[C@H](c1ccsc1)N1CCC(OCCOC(C)C)CC1 ZINC000453366237 338832558 /nfs/dbraw/zinc/83/25/58/338832558.db2.gz PIKKJOYSSZIGIZ-QGZVFWFLSA-N 0 3 311.491 4.105 20 0 DIADHN CC[C@@H](N[C@H](C)c1csc(-c2ccccc2F)n1)[C@@H](O)CC ZINC000453381669 338835297 /nfs/dbraw/zinc/83/52/97/338835297.db2.gz RVWWHUCTGAAWPP-XFJVYGCCSA-N 0 3 322.449 4.149 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1ccc(Cl)cn1 ZINC000453385504 338835971 /nfs/dbraw/zinc/83/59/71/338835971.db2.gz NPOYCZRZWPACNA-NJZAAPMLSA-N 0 3 322.861 4.367 20 0 DIADHN Clc1cccc([C@@H]2CCC[C@H](N[C@H]3CCn4ccnc43)C2)c1 ZINC000453387408 338836124 /nfs/dbraw/zinc/83/61/24/338836124.db2.gz DZTATGJMVFWGIF-PVAVHDDUSA-N 0 3 315.848 4.297 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](c2cccc(Cl)c2)C1)c1cnccn1 ZINC000453388846 338836486 /nfs/dbraw/zinc/83/64/86/338836486.db2.gz FZJDXGCIVJJFST-JGGQBBKZSA-N 0 3 301.821 4.117 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1sc(C(C)(C)C)nc1C ZINC000453390199 338836618 /nfs/dbraw/zinc/83/66/18/338836618.db2.gz DBADCYFKMHJBJV-IACUBPJLSA-N 0 3 310.507 4.357 20 0 DIADHN C[C@@H](N[C@H]1CCCN(C)c2ccccc21)c1ccc2c(c1)OCO2 ZINC000453393992 338837204 /nfs/dbraw/zinc/83/72/04/338837204.db2.gz ZUXMTQGOWLQQSN-PBHICJAKSA-N 0 3 324.424 4.037 20 0 DIADHN C[C@H](N[C@H](C1CC1)C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000453410756 338839971 /nfs/dbraw/zinc/83/99/71/338839971.db2.gz GUDFPCJUPWRZRT-UFBFGSQYSA-N 0 3 304.381 4.391 20 0 DIADHN Cc1cc(Br)c(CNC2CCC(F)(F)CC2)s1 ZINC000453574102 338848652 /nfs/dbraw/zinc/84/86/52/338848652.db2.gz QRRWBJNMMRDUBS-UHFFFAOYSA-N 0 3 324.234 4.487 20 0 DIADHN Cc1cc(CCCC(=O)Nc2cc(C)cc(CN)c2)c(C)s1 ZINC000457387364 338961266 /nfs/dbraw/zinc/96/12/66/338961266.db2.gz XSXIGQZHHLRKSM-UHFFFAOYSA-N 0 3 316.470 4.093 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)Cc1cccc(C(C)C)c1 ZINC000457627625 338972795 /nfs/dbraw/zinc/97/27/95/338972795.db2.gz QUWZIRUMWYXNAJ-UHFFFAOYSA-N 0 3 324.468 4.361 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@H](C)CCSC)cc(Cl)c1[O-] ZINC000459342173 339023239 /nfs/dbraw/zinc/02/32/39/339023239.db2.gz KFQVFHUQUXRMPK-LLVKDONJSA-N 0 3 317.882 4.018 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@H](C)CCSC)cc(Cl)c1[O-] ZINC000459342173 339023240 /nfs/dbraw/zinc/02/32/40/339023240.db2.gz KFQVFHUQUXRMPK-LLVKDONJSA-N 0 3 317.882 4.018 20 0 DIADHN Cc1ccccc1C[C@H](CO)N[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000467406182 339013929 /nfs/dbraw/zinc/01/39/29/339013929.db2.gz JCWBCTUVRWXCRN-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN c1ccc([C@H]2CCCCCN2Cc2cnc(C3CC3)nc2)cc1 ZINC000459330899 339022238 /nfs/dbraw/zinc/02/22/38/339022238.db2.gz SAXCRLSWGRNMFA-LJQANCHMSA-N 0 3 307.441 4.471 20 0 DIADHN CCn1nncc1CN[C@H](c1ccc(C(C)(C)C)cc1)C(C)C ZINC000459352830 339023887 /nfs/dbraw/zinc/02/38/87/339023887.db2.gz SEOVRJJMOTWQOW-SFHVURJKSA-N 0 3 314.477 4.082 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2cnc(C3CC3)nc2)cc1 ZINC000459357107 339024242 /nfs/dbraw/zinc/02/42/42/339024242.db2.gz CQHOFFNAMFDTRF-UXHICEINSA-N 0 3 321.468 4.431 20 0 DIADHN CCOc1ccc(C2=CCN(C3CC(OC(C)C)C3)CC2)cc1 ZINC000459365898 339024872 /nfs/dbraw/zinc/02/48/72/339024872.db2.gz BUIKMGDSIFCMMB-UHFFFAOYSA-N 0 3 315.457 4.130 20 0 DIADHN c1cnn(Cc2ccccc2CNCc2ccc(C3CC3)cc2)c1 ZINC000459368942 339024950 /nfs/dbraw/zinc/02/49/50/339024950.db2.gz DXFYBJWDCDUCSP-UHFFFAOYSA-N 0 3 317.436 4.099 20 0 DIADHN CCCCc1ccc([C@@H](NCc2cnnn2CC)C(C)C)cc1 ZINC000459376121 339025582 /nfs/dbraw/zinc/02/55/82/339025582.db2.gz ZXXVWEJRLJULKV-IBGZPJMESA-N 0 3 314.477 4.128 20 0 DIADHN CC(C)[C@@H](NCC1CCC(F)(F)CC1)c1nc(C2CC2)no1 ZINC000459383386 339026069 /nfs/dbraw/zinc/02/60/69/339026069.db2.gz BLJWGEMRAIFFNY-CYBMUJFWSA-N 0 3 313.392 4.059 20 0 DIADHN c1cc(C2CC2)ccc1CNCc1ccc(OCC2CC2)nc1 ZINC000459403175 339027260 /nfs/dbraw/zinc/02/72/60/339027260.db2.gz GMDJYFPOKLORRZ-UHFFFAOYSA-N 0 3 308.425 4.038 20 0 DIADHN CC(C)COC[C@H](NCC1CCC(F)(F)CC1)c1ccco1 ZINC000459407407 339027380 /nfs/dbraw/zinc/02/73/80/339027380.db2.gz PFAQKVLSRLUDLA-HNNXBMFYSA-N 0 3 315.404 4.408 20 0 DIADHN C[C@@H](NCCOCC1CCCC1)c1ccc2ncsc2c1 ZINC000459423725 339028289 /nfs/dbraw/zinc/02/82/89/339028289.db2.gz IBCVPIFCBZOWFI-CYBMUJFWSA-N 0 3 304.459 4.154 20 0 DIADHN CC1(C)CC[C@@H](NCc2cnc(C3CC3)nc2)c2ccccc21 ZINC000459436528 339029149 /nfs/dbraw/zinc/02/91/49/339029149.db2.gz XELQXLZASJGCGN-GOSISDBHSA-N 0 3 307.441 4.256 20 0 DIADHN Clc1cnn(C2CCN(Cc3ccc(C4CC4)cc3)CC2)c1 ZINC000459460682 339030364 /nfs/dbraw/zinc/03/03/64/339030364.db2.gz JBFYHQPOGXWZJY-UHFFFAOYSA-N 0 3 315.848 4.251 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@H]1NCc1cnc(C2CC2)nc1 ZINC000459471519 339031282 /nfs/dbraw/zinc/03/12/82/339031282.db2.gz BAECTIWJCMSCCP-ICSRJNTNSA-N 0 3 321.468 4.403 20 0 DIADHN c1cc(C2CC2)ccc1CN1CCC(c2noc(C3CC3)n2)CC1 ZINC000459476247 339031532 /nfs/dbraw/zinc/03/15/32/339031532.db2.gz HITSXEPCXCVUGM-UHFFFAOYSA-N 0 3 323.440 4.204 20 0 DIADHN c1sc2ccccc2c1NC1CCN(c2ccncc2)CC1 ZINC000459479035 339031701 /nfs/dbraw/zinc/03/17/01/339031701.db2.gz JEPCIVIVSBRLQS-UHFFFAOYSA-N 0 3 309.438 4.377 20 0 DIADHN CCOc1cc(CNCc2cscc2C)cc(Cl)c1O ZINC000459501739 339032875 /nfs/dbraw/zinc/03/28/75/339032875.db2.gz CAAXIJQCDQEIQE-UHFFFAOYSA-N 0 3 311.834 4.104 20 0 DIADHN c1coc([C@@H]2N([C@H]3CCN(Cc4ccccc4)C3)CC23CCC3)c1 ZINC000459507091 339033204 /nfs/dbraw/zinc/03/32/04/339033204.db2.gz ILRMWCBRQAVWPT-ICSRJNTNSA-N 0 3 322.452 4.081 20 0 DIADHN Fc1ccc(NC2CCN(c3ccncc3)CC2)cc1C1CC1 ZINC000459538914 339034592 /nfs/dbraw/zinc/03/45/92/339034592.db2.gz VSLVPFRDZFVYPJ-UHFFFAOYSA-N 0 3 311.404 4.179 20 0 DIADHN CCOc1cc(C[N@H+](C)[C@H](CC)CSC)cc(Cl)c1[O-] ZINC000459552212 339035294 /nfs/dbraw/zinc/03/52/94/339035294.db2.gz SNWZSCDJXZPHCC-GFCCVEGCSA-N 0 3 317.882 4.018 20 0 DIADHN CCOc1cc(C[N@@H+](C)[C@H](CC)CSC)cc(Cl)c1[O-] ZINC000459552212 339035295 /nfs/dbraw/zinc/03/52/95/339035295.db2.gz SNWZSCDJXZPHCC-GFCCVEGCSA-N 0 3 317.882 4.018 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H](c3cccc(Cl)c3)C2)no1 ZINC000459565428 339036217 /nfs/dbraw/zinc/03/62/17/339036217.db2.gz UTZJPCSIEQGVBP-GDBMZVCRSA-N 0 3 304.821 4.452 20 0 DIADHN CCOCCCN1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459593221 339037701 /nfs/dbraw/zinc/03/77/01/339037701.db2.gz OUEDRXOSEVZCBN-INIZCTEOSA-N 0 3 321.852 4.170 20 0 DIADHN CC(C)CN(Cc1cnn(C)c1)Cc1cccc(C(F)F)c1 ZINC000459597450 339037895 /nfs/dbraw/zinc/03/78/95/339037895.db2.gz PMFRNFCXZGOTOX-UHFFFAOYSA-N 0 3 307.388 4.016 20 0 DIADHN CSCCN1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459597278 339037933 /nfs/dbraw/zinc/03/79/33/339037933.db2.gz UPPCAOZFKHGLMT-CQSZACIVSA-N 0 3 309.866 4.106 20 0 DIADHN C[C@H]1CCCC[C@]1(C)NCc1nnc(-c2ccc(Cl)cc2)o1 ZINC000459612087 339038498 /nfs/dbraw/zinc/03/84/98/339038498.db2.gz MDJSTDFIHBWELY-SJCJKPOMSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1nnc(-c2ccccc2)s1 ZINC000459618258 339038669 /nfs/dbraw/zinc/03/86/69/339038669.db2.gz SGPIEFSZXRXSDR-DYVFJYSZSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC2(C1)CCOCC2 ZINC000459621067 339038929 /nfs/dbraw/zinc/03/89/29/339038929.db2.gz OJFHTGLYKRANFO-UHFFFAOYSA-N 0 3 321.873 4.476 20 0 DIADHN COCCC1(C)CN(Cc2cc(-c3ccccc3)cs2)C1 ZINC000459637567 339039774 /nfs/dbraw/zinc/03/97/74/339039774.db2.gz XYGMTLPAHWHUHR-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1ncc(-c2ccccc2)o1 ZINC000459641977 339039913 /nfs/dbraw/zinc/03/99/13/339039913.db2.gz MPZMOSISOXIHOW-INIZCTEOSA-N 0 3 321.424 4.238 20 0 DIADHN C[C@@H](CCCc1cccnc1)NCc1ncc(C(C)(C)C)s1 ZINC000459649803 339040328 /nfs/dbraw/zinc/04/03/28/339040328.db2.gz MMQJYNWFFJIEJL-AWEZNQCLSA-N 0 3 317.502 4.337 20 0 DIADHN Cc1ccnc([C@@H](C)N[C@@H]2C[C@H]2c2c(F)cccc2Cl)c1 ZINC000459665236 339041538 /nfs/dbraw/zinc/04/15/38/339041538.db2.gz KEQHYHFFIGLVLX-XHBSWPGZSA-N 0 3 304.796 4.389 20 0 DIADHN CN(Cc1cnc(C2CC2)nc1)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000459667892 339041786 /nfs/dbraw/zinc/04/17/86/339041786.db2.gz DKGWYXNGXSWDQQ-MOPGFXCFSA-N 0 3 307.441 4.122 20 0 DIADHN C/C=C\COc1ccc(CC[C@@H](C)NCc2cnc(C)o2)cc1 ZINC000459673685 339042249 /nfs/dbraw/zinc/04/22/49/339042249.db2.gz JLCKBVHINLSJKS-FOSCPCJNSA-N 0 3 314.429 4.049 20 0 DIADHN C/C=C\COc1ccc(CC[C@H](C)NCc2cnc(C)o2)cc1 ZINC000459673688 339042305 /nfs/dbraw/zinc/04/23/05/339042305.db2.gz JLCKBVHINLSJKS-XVWMLYKFSA-N 0 3 314.429 4.049 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)o1 ZINC000459675448 339042397 /nfs/dbraw/zinc/04/23/97/339042397.db2.gz MLXOXEZUNMEKLS-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ncc(CN[C@H]2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000459675356 339042415 /nfs/dbraw/zinc/04/24/15/339042415.db2.gz MBODQCSQCQSTQH-ZFWWWQNUSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ncc(CN[C@@H]2CC[C@@H](c3ccc(C(F)(F)F)cc3)C2)o1 ZINC000459675355 339042464 /nfs/dbraw/zinc/04/24/64/339042464.db2.gz MBODQCSQCQSTQH-UKRRQHHQSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@@H]2c2ccc(OC(C)C)cc2)o1 ZINC000459675445 339042475 /nfs/dbraw/zinc/04/24/75/339042475.db2.gz MLKIPKUDJMXNJU-RTBURBONSA-N 0 3 314.429 4.196 20 0 DIADHN CN(Cc1nc(-c2ccoc2)no1)[C@H]1CCC[C@H]1c1ccccc1 ZINC000459675804 339042567 /nfs/dbraw/zinc/04/25/67/339042567.db2.gz NAEJKNOTFKLYJX-IRXDYDNUSA-N 0 3 323.396 4.098 20 0 DIADHN Cc1ncc(CN[C@H]2CC=C(c3ccc(Cl)cc3)CC2)o1 ZINC000459685984 339043013 /nfs/dbraw/zinc/04/30/13/339043013.db2.gz GRKMAHIUKMLGHN-INIZCTEOSA-N 0 3 302.805 4.362 20 0 DIADHN C[C@H](N[C@@H]1CC=C(c2ccc(Cl)cc2)CC1)c1ccn(C)n1 ZINC000459739906 339045504 /nfs/dbraw/zinc/04/55/04/339045504.db2.gz AZBGXBMZKFIDPS-SUMWQHHRSA-N 0 3 315.848 4.360 20 0 DIADHN C[C@@H](NC1CC(c2ccc(C(F)(F)F)cc2)C1)c1ccn(C)n1 ZINC000459742353 339045666 /nfs/dbraw/zinc/04/56/66/339045666.db2.gz GCYFJUPGOAYTRE-NUYPLMSZSA-N 0 3 323.362 4.036 20 0 DIADHN Brc1cncc(CN[C@H]2CCCc3ccccc32)c1 ZINC000166872837 339053396 /nfs/dbraw/zinc/05/33/96/339053396.db2.gz WEFLRCHMMQFEQN-INIZCTEOSA-N 0 3 317.230 4.011 20 0 DIADHN Cc1noc(C)c1CN1CC[C@@H](Cc2ccc(C(C)C)cc2)C1 ZINC000460990496 339056966 /nfs/dbraw/zinc/05/69/66/339056966.db2.gz ZXUPMFTUQSBBMM-SFHVURJKSA-N 0 3 312.457 4.479 20 0 DIADHN C[C@H](NC[C@@H]1CCN(c2ccccc2F)C1)c1ccccc1F ZINC000461053941 339058395 /nfs/dbraw/zinc/05/83/95/339058395.db2.gz RATLAIMQZCIDDV-GJZGRUSLSA-N 0 3 316.395 4.142 20 0 DIADHN CC[C@@H](NCc1c2c(nn1C)CCCC2)c1ccc(Cl)cc1 ZINC000461084639 339058850 /nfs/dbraw/zinc/05/88/50/339058850.db2.gz IKLDYSBETYTHAL-MRXNPFEDSA-N 0 3 317.864 4.193 20 0 DIADHN c1ccc(-c2cnc(CN[C@@H]3CCCC[C@H]3C3CC3)nc2)cc1 ZINC000461087853 339058898 /nfs/dbraw/zinc/05/88/98/339058898.db2.gz SRTFJBGOWLYEFH-RBUKOAKNSA-N 0 3 307.441 4.202 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C)c1cccc(Cl)c1F ZINC000461084513 339058908 /nfs/dbraw/zinc/05/89/08/339058908.db2.gz DYQHNRBWHHZZDM-DDUYRFODSA-N 0 3 311.828 4.333 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1ncc(-c2ccccc2)cn1 ZINC000461095284 339059165 /nfs/dbraw/zinc/05/91/65/339059165.db2.gz PKWKHJYUPIVFPQ-MOPGFXCFSA-N 0 3 309.457 4.448 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)NCc2nc(C)c(C)s2)cc1 ZINC000153914068 339060086 /nfs/dbraw/zinc/06/00/86/339060086.db2.gz CGCQDQVFWXHPMJ-NEPJUHHUSA-N 0 3 304.459 4.050 20 0 DIADHN CC[C@H](C(=O)Nc1ccccc1OC1CCCC1)N(CC)CC ZINC000461326894 339061942 /nfs/dbraw/zinc/06/19/42/339061942.db2.gz WLKVWXZSLGDFNI-QGZVFWFLSA-N 0 3 318.461 4.067 20 0 DIADHN CC[C@@H](C(=O)Nc1ccccc1OC1CCCC1)N(CC)CC ZINC000461326893 339061947 /nfs/dbraw/zinc/06/19/47/339061947.db2.gz WLKVWXZSLGDFNI-KRWDZBQOSA-N 0 3 318.461 4.067 20 0 DIADHN CN1CCN(Cc2ccc(C(F)F)cc2)Cc2ccccc21 ZINC000461404458 339063201 /nfs/dbraw/zinc/06/32/01/339063201.db2.gz VJUKAHMLUJMBLO-UHFFFAOYSA-N 0 3 302.368 4.076 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@@H]1c1cccc(C)c1C)N(CC)CC ZINC000461754864 339066532 /nfs/dbraw/zinc/06/65/32/339066532.db2.gz IVGSVFKMYDJICK-RTBURBONSA-N 0 3 316.489 4.087 20 0 DIADHN CCOCCCN(CCc1ccc(C)cc1)Cc1ccco1 ZINC000461760457 339066782 /nfs/dbraw/zinc/06/67/82/339066782.db2.gz XTAUFUMYUPNKRJ-UHFFFAOYSA-N 0 3 301.430 4.059 20 0 DIADHN c1nc(CN[C@@H](CCc2ccccc2)Cc2ccccc2)cs1 ZINC000462038751 339071274 /nfs/dbraw/zinc/07/12/74/339071274.db2.gz WXLKUFZWVPYLJI-IBGZPJMESA-N 0 3 322.477 4.477 20 0 DIADHN Cc1ccc(OC2CCN(CCCc3ccncc3)CC2)cc1C ZINC000462315949 339075647 /nfs/dbraw/zinc/07/56/47/339075647.db2.gz NNBNWZQAHXXJOP-UHFFFAOYSA-N 0 3 324.468 4.174 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CCCc3ccncc3)nc2c1 ZINC000462335653 339076012 /nfs/dbraw/zinc/07/60/12/339076012.db2.gz PCWJLDOEFXIYMJ-SFHVURJKSA-N 0 3 321.424 4.383 20 0 DIADHN CC(C)C[C@H](C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000462424627 339078509 /nfs/dbraw/zinc/07/85/09/339078509.db2.gz ZOJQYLMLXKWYBE-INIZCTEOSA-N 0 3 302.462 4.293 20 0 DIADHN C[C@@H](NC[C@H](O)C(F)(F)F)c1ccc(Cl)c2ccccc21 ZINC000462471093 339079483 /nfs/dbraw/zinc/07/94/83/339079483.db2.gz HOPSETQVEBXQPC-OTYXRUKQSA-N 0 3 317.738 4.067 20 0 DIADHN C[C@@H](NC[C@@H](O)C(F)(F)F)c1ccc(Cl)c2ccccc21 ZINC000462471096 339079559 /nfs/dbraw/zinc/07/95/59/339079559.db2.gz HOPSETQVEBXQPC-YMTOWFKASA-N 0 3 317.738 4.067 20 0 DIADHN CCCCNC(=O)CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462473578 339079643 /nfs/dbraw/zinc/07/96/43/339079643.db2.gz KWFWBSFBCYWZDA-CYBMUJFWSA-N 0 3 318.848 4.060 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@H]1NCc1ccc2c(c1)ncn2C ZINC000462544911 339080974 /nfs/dbraw/zinc/08/09/74/339080974.db2.gz BXDRPXYHFYCWRB-BTYIYWSLSA-N 0 3 319.452 4.377 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1cnn2ccccc12 ZINC000462543743 339080996 /nfs/dbraw/zinc/08/09/96/339080996.db2.gz LGCJSAMXTMBGGM-MGPUTAFESA-N 0 3 305.425 4.138 20 0 DIADHN COc1cc(C)nc(CN[C@H]2c3ccccc3CCC[C@@H]2C)c1 ZINC000462545566 339081081 /nfs/dbraw/zinc/08/10/81/339081081.db2.gz XQRBGXPCUQMVJQ-VBKZILBWSA-N 0 3 310.441 4.202 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@H]1NCc1cnn2ccccc12 ZINC000462547524 339081130 /nfs/dbraw/zinc/08/11/30/339081130.db2.gz HMHPVDQKNAZMQO-NQIIRXRSSA-N 0 3 319.452 4.384 20 0 DIADHN Cc1ccn2c(CN[C@@H]3c4ccccc4CCC[C@H]3C)cnc2c1 ZINC000462559486 339081383 /nfs/dbraw/zinc/08/13/83/339081383.db2.gz ZIYHNCOAXQUYPY-IERDGZPVSA-N 0 3 319.452 4.446 20 0 DIADHN COc1ccc(CNCCO[C@H]2CCCC[C@H]2C)cc1Cl ZINC000462684619 339084085 /nfs/dbraw/zinc/08/40/85/339084085.db2.gz QYQYNRFOKZUKPC-CJNGLKHVSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC2(CCOCC2)[C@@H]1C ZINC000462704751 339084408 /nfs/dbraw/zinc/08/44/08/339084408.db2.gz TZKBIZUJOLUFDH-INIZCTEOSA-N 0 3 310.441 4.247 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1nc2ccccc2n1C ZINC000462728845 339085127 /nfs/dbraw/zinc/08/51/27/339085127.db2.gz MDJXMAQRSSDYMF-SFHVURJKSA-N 0 3 321.468 4.464 20 0 DIADHN Fc1ccccc1CN[C@H]1CCCN(c2ccccc2Cl)C1 ZINC000462731631 339085318 /nfs/dbraw/zinc/08/53/18/339085318.db2.gz PPLMPARZTOHUHH-HNNXBMFYSA-N 0 3 318.823 4.238 20 0 DIADHN CC(C)Oc1cccc(CNC[C@@H](C)Oc2cccc(F)c2)c1 ZINC000462732959 339085474 /nfs/dbraw/zinc/08/54/74/339085474.db2.gz FSGYPFPESLGWQP-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN CC(C)Oc1ccc(CNC[C@H](C)Oc2cccc(F)c2)cc1 ZINC000462735424 339085694 /nfs/dbraw/zinc/08/56/94/339085694.db2.gz DGVWPYQHTLLUQA-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@@H](C)Oc1cccc(F)c1 ZINC000462735288 339085733 /nfs/dbraw/zinc/08/57/33/339085733.db2.gz PLFGGUMQMWKHEZ-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN CC(C)Oc1cccc(CNC[C@@H](C)Oc2ccccc2F)c1 ZINC000462736389 339085773 /nfs/dbraw/zinc/08/57/73/339085773.db2.gz SHOVTTSQIRVXNH-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN CC(C)Oc1ccccc1CNC[C@@H](C)Oc1ccc(F)cc1 ZINC000462737742 339085886 /nfs/dbraw/zinc/08/58/86/339085886.db2.gz KNJJJVZBIKXFQV-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN CC(C)Oc1ccc(CNC[C@H](C)Oc2ccccc2F)cc1 ZINC000462738548 339085983 /nfs/dbraw/zinc/08/59/83/339085983.db2.gz LXFOEHDGCUSIRQ-HNNXBMFYSA-N 0 3 317.404 4.170 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@@H]3CCC[C@H]3C2)c(Cl)c1OC ZINC000462738191 339086035 /nfs/dbraw/zinc/08/60/35/339086035.db2.gz YDVMGDZXPVYUOA-KCQAQPDRSA-N 0 3 323.864 4.416 20 0 DIADHN Cc1ccc(O[C@H](C)CNCc2ccccc2OC(F)F)cc1 ZINC000462740368 339086173 /nfs/dbraw/zinc/08/61/73/339086173.db2.gz RDABTYSVQILIKX-CQSZACIVSA-N 0 3 321.367 4.154 20 0 DIADHN CO[C@H](CNCc1ccc(C)cc1C)c1cccc(Cl)c1 ZINC000462744506 339086460 /nfs/dbraw/zinc/08/64/60/339086460.db2.gz HGOBDMOXAZWHBY-GOSISDBHSA-N 0 3 303.833 4.434 20 0 DIADHN CCOc1ccccc1CNC[C@H](C)c1ccc(F)cc1F ZINC000462753706 339086999 /nfs/dbraw/zinc/08/69/99/339086999.db2.gz FAGSLBOIOXMVAD-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN CO[C@H](CNCc1cccc(Cl)c1)c1cccc(Cl)c1 ZINC000462753449 339087021 /nfs/dbraw/zinc/08/70/21/339087021.db2.gz DUIUZVFZBXQXFU-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN Brc1ccc(CN[C@@H]2C[C@H]2Cc2ccccc2)s1 ZINC000462754536 339087030 /nfs/dbraw/zinc/08/70/30/339087030.db2.gz MHKBHIWTZVKTTI-TZMCWYRMSA-N 0 3 322.271 4.231 20 0 DIADHN CO[C@@H](CNC/C=C\c1ccccc1)c1cccc(Cl)c1 ZINC000462752794 339087083 /nfs/dbraw/zinc/08/70/83/339087083.db2.gz IWNODXYCEOFACW-KKMIYCERSA-N 0 3 301.817 4.331 20 0 DIADHN COc1ccc([C@H](C)CCNCc2cc(F)ccc2F)cc1 ZINC000462757644 339087169 /nfs/dbraw/zinc/08/71/69/339087169.db2.gz ZYZPFNKBRDGBLJ-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN CO[C@@H](CNCc1ccc(F)c(C)c1)c1cccc(Cl)c1 ZINC000462755829 339087222 /nfs/dbraw/zinc/08/72/22/339087222.db2.gz WTKZYIDDIIONAV-KRWDZBQOSA-N 0 3 307.796 4.265 20 0 DIADHN c1c(CN[C@H]2CCCC[C@H]2Cc2ccccc2)nc2ccccn12 ZINC000462771539 339087683 /nfs/dbraw/zinc/08/76/83/339087683.db2.gz FRENHMOWCUTNOG-ICSRJNTNSA-N 0 3 319.452 4.225 20 0 DIADHN C[C@H](N[C@@H]1CSC[C@@H]1C)c1csc(-c2ccccc2F)n1 ZINC000462804565 339090126 /nfs/dbraw/zinc/09/01/26/339090126.db2.gz DOJGPKGQMDNTEU-COPLHBTASA-N 0 3 322.474 4.351 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccc(OCC(C)C)cc2)cs1 ZINC000462806896 339090223 /nfs/dbraw/zinc/09/02/23/339090223.db2.gz YYWWSBMVQDUOFP-AWEZNQCLSA-N 0 3 318.486 4.380 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2c3c(nn2C)CCCC3)cc1C ZINC000462806277 339090266 /nfs/dbraw/zinc/09/02/66/339090266.db2.gz JIFWDTLTXASJGQ-MRXNPFEDSA-N 0 3 311.473 4.075 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCC[C@@H](C(F)(F)F)C2)cs1 ZINC000462816785 339090304 /nfs/dbraw/zinc/09/03/04/339090304.db2.gz RUUNFHQMHJOHRX-ZMLRMANQSA-N 0 3 306.397 4.471 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H]1CCCc2c(O)cccc21 ZINC000462806013 339090367 /nfs/dbraw/zinc/09/03/67/339090367.db2.gz UUQNFSLQZBOFFW-KGLIPLIRSA-N 0 3 301.352 4.336 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC[C@](C)(OC)C3CC3)oc21 ZINC000462809153 339090613 /nfs/dbraw/zinc/09/06/13/339090613.db2.gz WQVVCVGJXSOHDZ-YJYMSZOUSA-N 0 3 317.429 4.297 20 0 DIADHN CC[C@H](NC[C@](C)(OC)C1CC1)c1ccccc1OC(F)F ZINC000462808892 339090631 /nfs/dbraw/zinc/09/06/31/339090631.db2.gz QBFNRUIVPSUOPE-YOEHRIQHSA-N 0 3 313.388 4.144 20 0 DIADHN CC(C)OCCCN[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000462811749 339090974 /nfs/dbraw/zinc/09/09/74/339090974.db2.gz XRZUNJAVVTVSRN-CYBMUJFWSA-N 0 3 322.449 4.415 20 0 DIADHN CC(C)C[C@@H](NCc1c2c(nn1C)CCCC2)c1ccccc1 ZINC000462813988 339091336 /nfs/dbraw/zinc/09/13/36/339091336.db2.gz CKRMDVTXUWYGHG-LJQANCHMSA-N 0 3 311.473 4.176 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4c(O)cccc43)CC2)cc1 ZINC000462816700 339091564 /nfs/dbraw/zinc/09/15/64/339091564.db2.gz RNQPTVJWVUQRDE-GOSISDBHSA-N 0 3 309.409 4.057 20 0 DIADHN C=Cc1ccc(CCN[C@@H](COC)c2ccc(F)c(F)c2)cc1 ZINC000462818938 339091876 /nfs/dbraw/zinc/09/18/76/339091876.db2.gz LPIJFDWDVGUCFF-IBGZPJMESA-N 0 3 317.379 4.128 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H]2CCN(c3ccccc3F)C2)oc1C ZINC000462821838 339092191 /nfs/dbraw/zinc/09/21/91/339092191.db2.gz RHVHXSXOENCVAU-HOCLYGCPSA-N 0 3 316.420 4.213 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(C[C@H]1C[C@@H]1c1ccccc1)C2 ZINC000462829333 339092861 /nfs/dbraw/zinc/09/28/61/339092861.db2.gz BFXOFEUZUPARSO-IEBWSBKVSA-N 0 3 305.421 4.043 20 0 DIADHN COc1ccc2oc([C@H](C)NCCOCC3CCC3)c(C)c2c1 ZINC000462843406 339093806 /nfs/dbraw/zinc/09/38/06/339093806.db2.gz UIBMXJLILUABLR-AWEZNQCLSA-N 0 3 317.429 4.217 20 0 DIADHN CC(C)N(Cc1ccc(-c2c(F)cccc2F)o1)[C@H]1CCOC1 ZINC000462869411 339095201 /nfs/dbraw/zinc/09/52/01/339095201.db2.gz ANUCBYXBNNVWPR-ZDUSSCGKSA-N 0 3 321.367 4.224 20 0 DIADHN CC(C)N(Cc1cc(-c2ccccc2)cs1)[C@@H]1CCOC1 ZINC000462871523 339095468 /nfs/dbraw/zinc/09/54/68/339095468.db2.gz QKDMSRDGOIFNIL-QGZVFWFLSA-N 0 3 301.455 4.414 20 0 DIADHN CO[C@](C)(CNCc1ccc(-c2c(F)cccc2F)o1)C1CC1 ZINC000462903585 339097010 /nfs/dbraw/zinc/09/70/10/339097010.db2.gz DDBVYPYSTGIDTB-GOSISDBHSA-N 0 3 321.367 4.130 20 0 DIADHN CO[C@@](C)(CNCc1cc(C(F)(F)F)ccc1Cl)C1CC1 ZINC000462907271 339097266 /nfs/dbraw/zinc/09/72/66/339097266.db2.gz SRFLNLUBWICYMR-AWEZNQCLSA-N 0 3 321.770 4.264 20 0 DIADHN CO[C@](C)(CNCc1ccc(-c2cccc(F)c2C)o1)C1CC1 ZINC000462910746 339097565 /nfs/dbraw/zinc/09/75/65/339097565.db2.gz DYQIKFOQMNDIMY-LJQANCHMSA-N 0 3 317.404 4.299 20 0 DIADHN CO[C@@](C)(CNCc1ccc(-c2cccc(F)c2C)o1)C1CC1 ZINC000462910745 339097667 /nfs/dbraw/zinc/09/76/67/339097667.db2.gz DYQIKFOQMNDIMY-IBGZPJMESA-N 0 3 317.404 4.299 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@H]2NC1CC(c2ccccc2)C1 ZINC000462954445 339099065 /nfs/dbraw/zinc/09/90/65/339099065.db2.gz GBPWGYYAWLHHGX-MQBCKMQZSA-N 0 3 315.363 4.324 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)Cc1cn(C)nc1C(F)F ZINC000462958487 339099104 /nfs/dbraw/zinc/09/91/04/339099104.db2.gz VRWMJUVKAGQGQO-QGZVFWFLSA-N 0 3 321.415 4.495 20 0 DIADHN CCN(Cc1ccc(-c2cccc(F)c2C)o1)[C@H]1CCOC1 ZINC000463014310 339100512 /nfs/dbraw/zinc/10/05/12/339100512.db2.gz VFHRTOKXELSPMC-AWEZNQCLSA-N 0 3 303.377 4.005 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](C)c2nc3c(s2)CCCC3)C1(C)C ZINC000463014685 339100523 /nfs/dbraw/zinc/10/05/23/339100523.db2.gz VKXACEJHERNDEF-APHBMKBZSA-N 0 3 322.518 4.265 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2Cl)o1)[C@H]1CCOC1 ZINC000463035240 339102145 /nfs/dbraw/zinc/10/21/45/339102145.db2.gz FZHSMJLLBCYTSI-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN OC[C@@]1(Cc2ccccc2)CCCN(C/C=C\c2ccccc2)C1 ZINC000463036554 339102432 /nfs/dbraw/zinc/10/24/32/339102432.db2.gz UNTRLKZPASUDRF-YRRMMHPESA-N 0 3 321.464 4.017 20 0 DIADHN CCN(Cc1ccc(-c2ccccc2F)s1)[C@@H]1CCOC1 ZINC000463038448 339102539 /nfs/dbraw/zinc/10/25/39/339102539.db2.gz PQNFOEGMOIIYJY-CYBMUJFWSA-N 0 3 305.418 4.165 20 0 DIADHN COCc1cccc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)c1 ZINC000463045317 339103004 /nfs/dbraw/zinc/10/30/04/339103004.db2.gz WNLZFQAUBZEAFD-CVEARBPZSA-N 0 3 315.379 4.386 20 0 DIADHN CCN(CC)[C@@H](CNc1ccc(Cl)cn1)c1ccsc1 ZINC000463058006 339103536 /nfs/dbraw/zinc/10/35/36/339103536.db2.gz YBGWFIRTWKCOHD-AWEZNQCLSA-N 0 3 309.866 4.292 20 0 DIADHN C[C@@H](CN(C)Cc1cncc(C(F)(F)F)c1)c1ccccc1 ZINC000463076473 339104520 /nfs/dbraw/zinc/10/45/20/339104520.db2.gz AGXJOKCMVANINK-ZDUSSCGKSA-N 0 3 308.347 4.336 20 0 DIADHN CN(Cc1cncc(C(F)(F)F)c1)[C@@H]1CCc2ccccc2C1 ZINC000463081879 339105049 /nfs/dbraw/zinc/10/50/49/339105049.db2.gz AFYUMVBLFXGGRA-QGZVFWFLSA-N 0 3 320.358 4.090 20 0 DIADHN C[C@]1(NCc2cncc(C(F)(F)F)c2)CCCc2ccccc21 ZINC000463081838 339105093 /nfs/dbraw/zinc/10/50/93/339105093.db2.gz GSPULDULZCFIPX-KRWDZBQOSA-N 0 3 320.358 4.442 20 0 DIADHN CN(C[C@@H]1C[C@H]1c1ccccc1)C[C@H]1OCCc2ccccc21 ZINC000463084665 339105201 /nfs/dbraw/zinc/10/52/01/339105201.db2.gz OHIAKQHUVFOZGP-SESVDKBCSA-N 0 3 307.437 4.036 20 0 DIADHN CCN(C(=O)C(C)C(F)(F)F)c1cccc(Br)c1 ZINC000463109767 339105245 /nfs/dbraw/zinc/10/52/45/339105245.db2.gz SZOUZNMXEUZJTL-MRVPVSSYSA-N 0 3 324.140 4.000 20 0 DIADHN CC[C@H](C)[C@H](C)NCc1nc2ccc(Br)cc2o1 ZINC000463133361 339106725 /nfs/dbraw/zinc/10/67/25/339106725.db2.gz XOJCFLUCUQZIJN-UWVGGRQHSA-N 0 3 311.223 4.115 20 0 DIADHN COCC1(CN(CCC(F)(F)F)Cc2ccccc2)CCC1 ZINC000463199944 339109066 /nfs/dbraw/zinc/10/90/66/339109066.db2.gz REJLDFWUGXLJAP-UHFFFAOYSA-N 0 3 315.379 4.258 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2Cc2cc(F)cc(F)c2)C1 ZINC000463208373 339109466 /nfs/dbraw/zinc/10/94/66/339109466.db2.gz XEJRPGFQZARBDB-BPQTVZERSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2Cc2ccc(F)cc2F)C1 ZINC000463216589 339110044 /nfs/dbraw/zinc/11/00/44/339110044.db2.gz ZHQTYBZGOXMWPC-HQJJXPTPSA-N 0 3 323.427 4.116 20 0 DIADHN C[C@@H](c1ccccc1)N(CCn1cccn1)Cc1ccccc1 ZINC000463232960 339110740 /nfs/dbraw/zinc/11/07/40/339110740.db2.gz WIUPASJMJSQSBN-SFHVURJKSA-N 0 3 305.425 4.147 20 0 DIADHN C=Cc1ccc(CCNCc2nc(-c3ccccc3)c[nH]2)cc1 ZINC000463261422 339112508 /nfs/dbraw/zinc/11/25/08/339112508.db2.gz XCHDXJPICSKQMV-UHFFFAOYSA-N 0 3 303.409 4.052 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@](CO)(c2ccccc2)C1 ZINC000463380741 339116321 /nfs/dbraw/zinc/11/63/21/339116321.db2.gz XLILZJKYGGNKAF-KXBFYZLASA-N 0 3 315.844 4.037 20 0 DIADHN CN(CCOc1ccccc1F)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000463435139 339117729 /nfs/dbraw/zinc/11/77/29/339117729.db2.gz HGDRBELBHLVLOK-OCCSQVGLSA-N 0 3 319.342 4.257 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](C)c2ccc3ccccc3n2)C1(C)C ZINC000463570111 339121650 /nfs/dbraw/zinc/12/16/50/339121650.db2.gz MPXHIODMWWJWFB-MDASCCDHSA-N 0 3 312.457 4.478 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cnc(-c2ccsc2)s1 ZINC000463725616 339124786 /nfs/dbraw/zinc/12/47/86/339124786.db2.gz JPWIVYFSESSQCU-QMMMGPOBSA-N 0 3 306.378 4.302 20 0 DIADHN COC[C@H](C)N[C@@H](Cc1ccccc1Cl)c1ccccc1 ZINC000463901807 339128474 /nfs/dbraw/zinc/12/84/74/339128474.db2.gz LMQNZGTYEMLXRN-KSSFIOAISA-N 0 3 303.833 4.248 20 0 DIADHN CC[C@H](C)NC(=O)[C@@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000463909907 339128766 /nfs/dbraw/zinc/12/87/66/339128766.db2.gz RVYYODXJWINORW-VBQJREDUSA-N 0 3 322.468 4.196 20 0 DIADHN Clc1ccc(OC2CCCC2)c(CNCCOCC2CC2)c1 ZINC000464039956 339131599 /nfs/dbraw/zinc/13/15/99/339131599.db2.gz GTPXUTXSIRAKCN-UHFFFAOYSA-N 0 3 323.864 4.178 20 0 DIADHN COC[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@@H]1C)c1ccc(F)cc1 ZINC000464066858 339132781 /nfs/dbraw/zinc/13/27/81/339132781.db2.gz IPEOOOCCSKVPSZ-GAZPGATBSA-N 0 3 313.416 4.295 20 0 DIADHN COCC[C@H](N[C@@H]1C[C@H](c2ccccc2)[C@H]1C)c1ccc(C)o1 ZINC000464079643 339133506 /nfs/dbraw/zinc/13/35/06/339133506.db2.gz ZZCUIPVJRZXOHS-XGXHKWSGSA-N 0 3 313.441 4.447 20 0 DIADHN CCCN(C)CC(=O)N(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464386545 339140930 /nfs/dbraw/zinc/14/09/30/339140930.db2.gz OHFPCZJZXBRMFB-QGZVFWFLSA-N 0 3 324.468 4.215 20 0 DIADHN CCCN(CCc1cccs1)Cc1nc2ccccc2o1 ZINC000464581847 339146097 /nfs/dbraw/zinc/14/60/97/339146097.db2.gz JKIYJIGVLVNAKX-UHFFFAOYSA-N 0 3 300.427 4.344 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCO[C@H]1CCCC[C@H]1C ZINC000464602351 339146961 /nfs/dbraw/zinc/14/69/61/339146961.db2.gz LKPFFCMICPQTOZ-VKJFTORMSA-N 0 3 321.509 4.192 20 0 DIADHN CCC[C@H]1[C@H](C)CCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000464727268 339150282 /nfs/dbraw/zinc/15/02/82/339150282.db2.gz BKBQXOAECOFLSS-WBVHZDCISA-N 0 3 313.445 4.446 20 0 DIADHN Cc1nc(NC2CCN(Cc3ccccc3C)CC2)sc1C ZINC000464745055 339150865 /nfs/dbraw/zinc/15/08/65/339150865.db2.gz RWQDOIMJMQSOQK-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN Fc1ccccc1CN1CC[C@H](CSc2ccccc2)C1 ZINC000464766964 339151876 /nfs/dbraw/zinc/15/18/76/339151876.db2.gz VMFDXOYFGMHGTE-HNNXBMFYSA-N 0 3 301.430 4.440 20 0 DIADHN CSc1ccc(OCCN2CCC(C(F)(F)F)CC2)cc1 ZINC000464771701 339152304 /nfs/dbraw/zinc/15/23/04/339152304.db2.gz LZTKXWYXRKRZNW-UHFFFAOYSA-N 0 3 319.392 4.062 20 0 DIADHN CC[C@]1(C)CCCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000464782585 339153569 /nfs/dbraw/zinc/15/35/69/339153569.db2.gz YIZGFVKCVDPUNZ-GOSISDBHSA-N 0 3 302.393 4.493 20 0 DIADHN Cc1nc(C(C)(C)NCCCOc2ccccc2C)sc1C ZINC000464806353 339154715 /nfs/dbraw/zinc/15/47/15/339154715.db2.gz PFAMOFSARJUHGK-UHFFFAOYSA-N 0 3 318.486 4.362 20 0 DIADHN C[C@H](NC(=O)CN1C[C@H](C)CC[C@@H]1C)c1cccc2ccccc21 ZINC000464817666 339155377 /nfs/dbraw/zinc/15/53/77/339155377.db2.gz HXRJYNLZUSUHDZ-IKGGRYGDSA-N 0 3 324.468 4.137 20 0 DIADHN CCc1ccc([C@@H]2C[C@@H](C)CCN2CCn2nc(C)cc2C)o1 ZINC000464855508 339158139 /nfs/dbraw/zinc/15/81/39/339158139.db2.gz UKMVOZRBFFGDIX-KSSFIOAISA-N 0 3 315.461 4.129 20 0 DIADHN CC(C(=O)Nc1cccc(Oc2ccccn2)c1)C(F)(F)F ZINC000464901446 339160987 /nfs/dbraw/zinc/16/09/87/339160987.db2.gz OQNHEFXCBCYKNI-SNVBAGLBSA-N 0 3 310.275 4.011 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccccc2F)o1)C(C)(C)C ZINC000465012467 339165477 /nfs/dbraw/zinc/16/54/77/339165477.db2.gz ONGUFUVJKAEJSM-QGZVFWFLSA-N 0 3 305.393 4.236 20 0 DIADHN CCc1ccc(-c2ccc(CN[C@@H](CO)CC(C)C)o2)cc1 ZINC000465012994 339165534 /nfs/dbraw/zinc/16/55/34/339165534.db2.gz QKNGQHXIXQAVHE-QGZVFWFLSA-N 0 3 301.430 4.006 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(F)cc2C)o1)C(C)C ZINC000465019462 339165588 /nfs/dbraw/zinc/16/55/88/339165588.db2.gz RJFOPNJLYSJFPU-GOSISDBHSA-N 0 3 305.393 4.155 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC(C)(C)CC)c1ccccc1 ZINC000465047848 339166568 /nfs/dbraw/zinc/16/65/68/339166568.db2.gz BBWFKVNBFBZWEK-QGZVFWFLSA-N 0 3 304.478 4.012 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1ccc(Cl)cc1Cl ZINC000465068825 339167603 /nfs/dbraw/zinc/16/76/03/339167603.db2.gz XRMYHXSYOHQAQO-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN CCCCCc1ccc(NC(=O)N[C@H]2CCN(C)[C@H](C)C2)cc1 ZINC000466044189 339191635 /nfs/dbraw/zinc/19/16/35/339191635.db2.gz STVWOAQUTLAUMW-QAPCUYQASA-N 0 3 317.477 4.024 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000466358832 339200541 /nfs/dbraw/zinc/20/05/41/339200541.db2.gz GIGREYCMCAMDRR-RZDIXWSQSA-N 0 3 317.864 4.462 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H](C)CSc1ccc(C)cc1 ZINC000466360758 339200771 /nfs/dbraw/zinc/20/07/71/339200771.db2.gz JFFHNJJAKRSSDV-HNNXBMFYSA-N 0 3 317.502 4.351 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2C[C@H](c3ccccc3C)C2)c1 ZINC000466402187 339202701 /nfs/dbraw/zinc/20/27/01/339202701.db2.gz SDNXTEWRGJDLHX-JCNLHEQBSA-N 0 3 311.425 4.048 20 0 DIADHN CCC1CCC(NCc2ccncc2OCC(F)(F)F)CC1 ZINC000466413194 339203348 /nfs/dbraw/zinc/20/33/48/339203348.db2.gz DJGYJPARMVVELI-UHFFFAOYSA-N 0 3 316.367 4.081 20 0 DIADHN CC(C)(C)c1cnc(CNC2CC(c3ccccc3)C2)s1 ZINC000466421276 339203942 /nfs/dbraw/zinc/20/39/42/339203942.db2.gz KNLLBOSSNIXUKD-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN Cc1oc2ccccc2c1CN[C@H](C)CC(=O)Nc1ccccc1 ZINC000466469492 339206480 /nfs/dbraw/zinc/20/64/80/339206480.db2.gz ULDXSGDHDAXJNJ-CQSZACIVSA-N 0 3 322.408 4.248 20 0 DIADHN COCc1ccc(CNC2CC(c3ccc(F)c(Cl)c3)C2)o1 ZINC000466473187 339206642 /nfs/dbraw/zinc/20/66/42/339206642.db2.gz JKPWRAIGUIPJCU-UHFFFAOYSA-N 0 3 323.795 4.254 20 0 DIADHN CCCn1cc(CNC2CC(c3ccc(F)c(Cl)c3)C2)cn1 ZINC000466475598 339206706 /nfs/dbraw/zinc/20/67/06/339206706.db2.gz UUTBJNDOGLRUHZ-UHFFFAOYSA-N 0 3 321.827 4.121 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCCCCC2CCCC2)c1 ZINC000466589818 339209949 /nfs/dbraw/zinc/20/99/49/339209949.db2.gz XDPUWTKLIYCSBP-UHFFFAOYSA-N 0 3 317.477 4.230 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCCC[C@@H]3CC(C)C)o2)cc1 ZINC000466667883 339212579 /nfs/dbraw/zinc/21/25/79/339212579.db2.gz XUNIIBAJLSCOKF-QGZVFWFLSA-N 0 3 313.445 4.446 20 0 DIADHN COc1cc(CN2CC[C@@H](CC(C)C)C2)ccc1OC(F)F ZINC000466716234 339213975 /nfs/dbraw/zinc/21/39/75/339213975.db2.gz GAEWYMCVIZCZHL-AWEZNQCLSA-N 0 3 313.388 4.165 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000466720246 339214191 /nfs/dbraw/zinc/21/41/91/339214191.db2.gz ZZSFVLDUQDVHAO-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN CC(C)C[C@H]1CCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000466732941 339214738 /nfs/dbraw/zinc/21/47/38/339214738.db2.gz MPXBYNIUNWATRI-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccccc1N(C)C ZINC000466896365 339220346 /nfs/dbraw/zinc/22/03/46/339220346.db2.gz RWPJPLJFCDTCIG-UHFFFAOYSA-N 0 3 322.374 4.370 20 0 DIADHN C[C@H](NC(=O)CN1[C@H](C)CCC[C@@H]1C)c1cccc2ccccc21 ZINC000466905715 339220790 /nfs/dbraw/zinc/22/07/90/339220790.db2.gz VKPCORCGQMQSHJ-BBWFWOEESA-N 0 3 324.468 4.280 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1cccc2c[nH]nc21 ZINC000466911538 339221150 /nfs/dbraw/zinc/22/11/50/339221150.db2.gz UKLLQBDEDYBDAD-UHFFFAOYSA-N 0 3 319.330 4.180 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000466912707 339221210 /nfs/dbraw/zinc/22/12/10/339221210.db2.gz MTTFACGDWRESQM-BXUZGUMPSA-N 0 3 305.809 4.010 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)N[C@@H](C)c1cccc2ccccc21 ZINC000466924065 339221755 /nfs/dbraw/zinc/22/17/55/339221755.db2.gz NXDNZDXLNLLDPS-SOLBZPMBSA-N 0 3 324.468 4.137 20 0 DIADHN CC(C)n1ncc2cc(CN3CC(C)(C)CC(C)(C)C3)cnc21 ZINC000466929709 339221881 /nfs/dbraw/zinc/22/18/81/339221881.db2.gz CKBLTKQBLHHOEQ-UHFFFAOYSA-N 0 3 314.477 4.270 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000466931550 339222078 /nfs/dbraw/zinc/22/20/78/339222078.db2.gz WQOQNSDVTPDDTK-GQIGUUNPSA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000466931553 339222179 /nfs/dbraw/zinc/22/21/79/339222179.db2.gz WQOQNSDVTPDDTK-TWOQFEAHSA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC000466936899 339222308 /nfs/dbraw/zinc/22/23/08/339222308.db2.gz XHXXYRSVFRZNDY-FZMZJTMJSA-N 0 3 306.356 4.240 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1nc2ccc(F)cc2o1 ZINC000466942287 339222377 /nfs/dbraw/zinc/22/23/77/339222377.db2.gz ZVTZZPLASROWEQ-XJKSGUPXSA-N 0 3 310.372 4.345 20 0 DIADHN CC(C)(CCc1ccccc1)NCc1nc2ccc(F)cc2o1 ZINC000466970169 339223158 /nfs/dbraw/zinc/22/31/58/339223158.db2.gz XLJGAWYBKKXWNQ-UHFFFAOYSA-N 0 3 312.388 4.468 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCCc2ccsc2)o1 ZINC000467117392 339226721 /nfs/dbraw/zinc/22/67/21/339226721.db2.gz KYZDTDYPRLYDOD-MRXNPFEDSA-N 0 3 305.443 4.257 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H]2CCC3(CCCCC3)O2)cc1F ZINC000467189991 339227488 /nfs/dbraw/zinc/22/74/88/339227488.db2.gz DMNVIRKFXFWPRS-GDBMZVCRSA-N 0 3 321.436 4.367 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H]2CCC3(CCCCC3)O2)cc1F ZINC000467189996 339227536 /nfs/dbraw/zinc/22/75/36/339227536.db2.gz DMNVIRKFXFWPRS-ZBFHGGJFSA-N 0 3 321.436 4.367 20 0 DIADHN c1cncc([C@@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467194988 339227783 /nfs/dbraw/zinc/22/77/83/339227783.db2.gz IRVGYXYVGUDGKS-ROUUACIJSA-N 0 3 300.446 4.004 20 0 DIADHN COc1cccc(OCCN[C@@H](C)c2cc3ccccc3o2)c1 ZINC000467279892 339229727 /nfs/dbraw/zinc/22/97/27/339229727.db2.gz UQQIKHUCLDTABN-AWEZNQCLSA-N 0 3 311.381 4.171 20 0 DIADHN CO[C@@H]1CN([C@H](C)c2ccc(C(F)(F)F)cc2)CC[C@@H]1C ZINC000467286296 339229934 /nfs/dbraw/zinc/22/99/34/339229934.db2.gz RXYNMHWMLSCZDB-YWPYICTPSA-N 0 3 301.352 4.123 20 0 DIADHN CO[C@@H]1CN([C@@H](C)c2ccc(C(F)(F)F)cc2)CC[C@H]1C ZINC000467286295 339229947 /nfs/dbraw/zinc/22/99/47/339229947.db2.gz RXYNMHWMLSCZDB-TYNCELHUSA-N 0 3 301.352 4.123 20 0 DIADHN Cc1ccc(SCCN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467304090 339230533 /nfs/dbraw/zinc/23/05/33/339230533.db2.gz CALDKNUOKXLTPP-GOSISDBHSA-N 0 3 316.445 4.362 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)N[C@H]1CCCc2c[nH]nc21 ZINC000467333815 339232087 /nfs/dbraw/zinc/23/20/87/339232087.db2.gz DLFDMPDYHINKLV-NHYWBVRUSA-N 0 3 323.362 4.027 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@H](c2cncc(F)c2)C2CC2)c1 ZINC000467357578 339233765 /nfs/dbraw/zinc/23/37/65/339233765.db2.gz JIHWYDBUWPPCLM-KUHUBIRLSA-N 0 3 314.404 4.037 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000467359847 339233890 /nfs/dbraw/zinc/23/38/90/339233890.db2.gz USJHEVWCFPLCDV-ZJIFWQFVSA-N 0 3 321.436 4.080 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000467359844 339233944 /nfs/dbraw/zinc/23/39/44/339233944.db2.gz USJHEVWCFPLCDV-CAOSSQGBSA-N 0 3 321.436 4.080 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000467367255 339234376 /nfs/dbraw/zinc/23/43/76/339234376.db2.gz CFEKMQWQBSYRHZ-GFCCVEGCSA-N 0 3 321.770 4.360 20 0 DIADHN CN1CCC(Nc2cc(Cl)ccc2OCC2CCC2)CC1 ZINC000467374974 339235175 /nfs/dbraw/zinc/23/51/75/339235175.db2.gz COHGKFUNUGNJCH-UHFFFAOYSA-N 0 3 308.853 4.025 20 0 DIADHN CN(CC[C@@H]1CCCO1)Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC000467377989 339235419 /nfs/dbraw/zinc/23/54/19/339235419.db2.gz QKYQEXYAWYTSHC-AWEZNQCLSA-N 0 3 321.770 4.360 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1)c1c(F)cccc1F ZINC000467389362 339236274 /nfs/dbraw/zinc/23/62/74/339236274.db2.gz JYPSAFNWWCOPNR-GBJTYRQASA-N 0 3 309.400 4.211 20 0 DIADHN C[C@H](N[C@H]1CCCc2c(Cl)sc(Cl)c21)[C@@H]1CCOC1 ZINC000467404476 339236625 /nfs/dbraw/zinc/23/66/25/339236625.db2.gz KNRVFYYJLNRLFJ-NGZCFLSTSA-N 0 3 320.285 4.447 20 0 DIADHN Cc1ccccc1C[C@@H](CO)N[C@H](C)c1ccc(F)cc1Cl ZINC000467413315 339237168 /nfs/dbraw/zinc/23/71/68/339237168.db2.gz MMTDQCYSIAAMMI-CJNGLKHVSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccccc1C[C@H](CO)N[C@H](C)c1ccc(F)cc1Cl ZINC000467413316 339237266 /nfs/dbraw/zinc/23/72/66/339237266.db2.gz MMTDQCYSIAAMMI-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccc(C[C@H](CO)N[C@H](C)c2ccc(F)cc2Cl)cc1 ZINC000467417489 339237509 /nfs/dbraw/zinc/23/75/09/339237509.db2.gz GERCHJDVNXOLTG-CZUORRHYSA-N 0 3 321.823 4.042 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ccnn1C ZINC000467436157 339238496 /nfs/dbraw/zinc/23/84/96/339238496.db2.gz AINACRSMOKULQE-RAIGVLPGSA-N 0 3 324.255 4.324 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1cnn(C)c1 ZINC000467441165 339238821 /nfs/dbraw/zinc/23/88/21/339238821.db2.gz CAILVGOAVNDMIG-MPKXVKKWSA-N 0 3 324.255 4.324 20 0 DIADHN C[C@@H]1CCC[C@@H]1CN[C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000467445149 339238999 /nfs/dbraw/zinc/23/89/99/339238999.db2.gz JTHSRILETDMQLB-LPMFXHHGSA-N 0 3 316.395 4.475 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H]1C)c1ncc(Br)cc1F ZINC000467452074 339239380 /nfs/dbraw/zinc/23/93/80/339239380.db2.gz BODKSOFDQNURON-DCAQKATOSA-N 0 3 315.230 4.070 20 0 DIADHN CC(C)CCN(CCC(C)C)C(=O)c1cccc(CN(C)C)c1 ZINC000467534202 339241252 /nfs/dbraw/zinc/24/12/52/339241252.db2.gz VLUJIZKPZBOQOY-UHFFFAOYSA-N 0 3 318.505 4.283 20 0 DIADHN CSCCCN(C)Cc1cnc(-c2ccc(C)cc2)s1 ZINC000467557015 339242481 /nfs/dbraw/zinc/24/24/81/339242481.db2.gz YNSUZWBCTMVVJX-UHFFFAOYSA-N 0 3 306.500 4.303 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)NC[C@H](C)N1CCCC[C@@H]1C ZINC000467650356 339243948 /nfs/dbraw/zinc/24/39/48/339243948.db2.gz MNHCHBVSWPGDMJ-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN C[C@H](NCCc1cccs1)c1nc(C(F)(F)F)cs1 ZINC000467734299 339245098 /nfs/dbraw/zinc/24/50/98/339245098.db2.gz FVFJYTJRKJBKAH-QMMMGPOBSA-N 0 3 306.378 4.117 20 0 DIADHN c1cnn([C@H]2CCCC[C@H]2NCc2ccc(-c3ccccc3)o2)c1 ZINC000467761968 339245973 /nfs/dbraw/zinc/24/59/73/339245973.db2.gz HEEIDNZPMMLSBU-MOPGFXCFSA-N 0 3 321.424 4.417 20 0 DIADHN CCO[C@@H](CCNCc1ccccc1OC(F)(F)F)C(C)C ZINC000467778023 339246610 /nfs/dbraw/zinc/24/66/10/339246610.db2.gz OHEVDRDVCWAKQX-AWEZNQCLSA-N 0 3 319.367 4.126 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(C(C)(C)C)cc1 ZINC000467838555 339247446 /nfs/dbraw/zinc/24/74/46/339247446.db2.gz JKTMZFPXBYQMIV-VOBQZIQPSA-N 0 3 309.453 4.293 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@@H](c3ccccc3)[C@@H]1C)CCO2 ZINC000468025471 339251623 /nfs/dbraw/zinc/25/16/23/339251623.db2.gz HXEAIUGSHJZDQT-LDRHYZDKSA-N 0 3 323.436 4.301 20 0 DIADHN CO[C@H](C)[C@@H](C)NCc1c(OC(C)C)ccc2ccccc21 ZINC000468042980 339252376 /nfs/dbraw/zinc/25/23/76/339252376.db2.gz PTXIFBQBJPHILN-HUUCEWRRSA-N 0 3 301.430 4.140 20 0 DIADHN CC(C)[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccc(Cl)cc1 ZINC000468234844 339257037 /nfs/dbraw/zinc/25/70/37/339257037.db2.gz PBGGLNVKBZWSKY-IYOUNJFTSA-N 0 3 322.880 4.026 20 0 DIADHN C[C@@H](CCC1CCCC1)N[C@@H](C)c1nnc2n1CCCCC2 ZINC000468361899 339260659 /nfs/dbraw/zinc/26/06/59/339260659.db2.gz MJYWZFLOBJVMQU-GJZGRUSLSA-N 0 3 304.482 4.014 20 0 DIADHN Cc1sc(CN[C@@H](C)Cc2ccc(F)cc2)nc1C(C)C ZINC000468384481 339261113 /nfs/dbraw/zinc/26/11/13/339261113.db2.gz RMQOOVUYQGWTQL-LBPRGKRZSA-N 0 3 306.450 4.435 20 0 DIADHN COc1ccc(C[C@@H](C)NCc2nc(C(C)C)c(C)s2)cc1 ZINC000468384302 339261156 /nfs/dbraw/zinc/26/11/56/339261156.db2.gz QPEAVYITFDZNLZ-CYBMUJFWSA-N 0 3 318.486 4.304 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000468425758 339262675 /nfs/dbraw/zinc/26/26/75/339262675.db2.gz BMNXREDANGHXTO-XYJFISCASA-N 0 3 304.478 4.010 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000468425756 339262695 /nfs/dbraw/zinc/26/26/95/339262695.db2.gz BMNXREDANGHXTO-RYRKJORJSA-N 0 3 304.478 4.010 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NCc1nc2ccccc2n1C1CC1 ZINC000468471358 339263498 /nfs/dbraw/zinc/26/34/98/339263498.db2.gz UWYXGBCIHLGYTD-AWEZNQCLSA-N 0 3 323.415 4.231 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1ccccc1F)c1ccc(C)o1 ZINC000468551403 339265990 /nfs/dbraw/zinc/26/59/90/339265990.db2.gz DLUFDOAXVWRQQX-GUYCJALGSA-N 0 3 305.393 4.026 20 0 DIADHN Cc1ccc(CNCC(C)(C)c2ccc(C(F)(F)F)cc2)nc1 ZINC000468601909 339266896 /nfs/dbraw/zinc/26/68/96/339266896.db2.gz XJWORNOYQXSDNB-UHFFFAOYSA-N 0 3 322.374 4.476 20 0 DIADHN COCC[C@H]1CCCCN(C/C=C/c2ccc(F)cc2F)C1 ZINC000468688061 339268559 /nfs/dbraw/zinc/26/85/59/339268559.db2.gz DFHZWVUHHFFSPJ-CSPWOOARSA-N 0 3 309.400 4.117 20 0 DIADHN COc1ccc(CCN[C@H](C)c2csc(Cl)c2)cc1F ZINC000468757617 339270073 /nfs/dbraw/zinc/27/00/73/339270073.db2.gz ANYLDRJYOPBMPF-SNVBAGLBSA-N 0 3 313.825 4.443 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1csc(Cl)c1)C(=O)OC ZINC000468823790 339271834 /nfs/dbraw/zinc/27/18/34/339271834.db2.gz FNISGHWRLDRSKV-JTQLQIEISA-N 0 3 303.855 4.032 20 0 DIADHN C[C@@H](Cn1cccn1)N[C@@H](C)c1ccc(-c2ccc(F)cc2)cc1 ZINC000468848098 339272584 /nfs/dbraw/zinc/27/25/84/339272584.db2.gz FDAIMDYYJVPPNW-HOTGVXAUSA-N 0 3 323.415 4.429 20 0 DIADHN Cc1c([C@H](C)N[C@@H](CC(F)(F)F)C(C)C)cnn1C(C)C ZINC000468855998 339272816 /nfs/dbraw/zinc/27/28/16/339272816.db2.gz GPNSQMCANYEWBY-FZMZJTMJSA-N 0 3 305.388 4.400 20 0 DIADHN Cc1c([C@@H](C)N[C@H](CC(F)(F)F)C(C)C)cnn1C(C)C ZINC000468855848 339272876 /nfs/dbraw/zinc/27/28/76/339272876.db2.gz GPNSQMCANYEWBY-BXUZGUMPSA-N 0 3 305.388 4.400 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1CCOCC(F)(F)C(F)F ZINC000468895414 339273943 /nfs/dbraw/zinc/27/39/43/339273943.db2.gz HOCUSBSAWWRANH-CQSZACIVSA-N 0 3 319.342 4.049 20 0 DIADHN C[C@@H](N[C@@H](Cc1ccccc1)CN(C)C)c1csc(Cl)c1 ZINC000468894606 339273952 /nfs/dbraw/zinc/27/39/52/339273952.db2.gz HTMMEIMVIUDIBX-CJNGLKHVSA-N 0 3 322.905 4.225 20 0 DIADHN Fc1cccc(Cl)c1C[C@H]1CCCN1CC[C@@H]1CCCO1 ZINC000468902920 339274198 /nfs/dbraw/zinc/27/41/98/339274198.db2.gz IKOUTVXRJCIMJU-KGLIPLIRSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1ccccc1Br ZINC000468932333 339275073 /nfs/dbraw/zinc/27/50/73/339275073.db2.gz IUBZPGSBILFKBV-STQMWFEESA-N 0 3 319.246 4.126 20 0 DIADHN C[C@@H](NC[C@@H](O)CCc1ccccc1)c1csc(Cl)c1 ZINC000468953420 339275766 /nfs/dbraw/zinc/27/57/66/339275766.db2.gz MTWUOAPHVWVLBL-DOMZBBRYSA-N 0 3 309.862 4.046 20 0 DIADHN C[C@H](NC[C@@H](O)CCc1ccccc1)c1csc(Cl)c1 ZINC000468953997 339275797 /nfs/dbraw/zinc/27/57/97/339275797.db2.gz MTWUOAPHVWVLBL-WFASDCNBSA-N 0 3 309.862 4.046 20 0 DIADHN CCN(CC)CC(=O)N(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000468962498 339276219 /nfs/dbraw/zinc/27/62/19/339276219.db2.gz OTQLBBSXMKUHNU-QGZVFWFLSA-N 0 3 324.468 4.215 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1csc(Cl)c1 ZINC000469002821 339277329 /nfs/dbraw/zinc/27/73/29/339277329.db2.gz OYOZENZBBAASQT-MJEQTWJJSA-N 0 3 320.889 4.498 20 0 DIADHN C[C@@H](NCCOc1ncccc1Cl)c1csc(Cl)c1 ZINC000469054767 339278667 /nfs/dbraw/zinc/27/86/67/339278667.db2.gz UERMNNCTENVALV-SECBINFHSA-N 0 3 317.241 4.180 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2cnn(C(C)C)c2C)C1(CC)CC ZINC000469097187 339280047 /nfs/dbraw/zinc/28/00/47/339280047.db2.gz YFUPCQUDZSQUAH-FHLIZLRMSA-N 0 3 321.509 4.407 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cnn(C(C)C)c2C)C1(CC)CC ZINC000469097364 339280095 /nfs/dbraw/zinc/28/00/95/339280095.db2.gz YFUPCQUDZSQUAH-JCGIZDLHSA-N 0 3 321.509 4.407 20 0 DIADHN FC(F)(F)COCCN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000469120517 339280662 /nfs/dbraw/zinc/28/06/62/339280662.db2.gz ZHTGBJLYRAXVDW-AWEZNQCLSA-N 0 3 321.770 4.350 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)COc2cccc(F)c2)cnn1C(C)C ZINC000469147494 339281439 /nfs/dbraw/zinc/28/14/39/339281439.db2.gz ZZUOZALXYXKYST-KBPBESRZSA-N 0 3 319.424 4.030 20 0 DIADHN C[C@H]1CC[C@H](C)N1CC(=O)Nc1cccc(-c2cccs2)c1 ZINC000469179868 339282251 /nfs/dbraw/zinc/28/22/51/339282251.db2.gz BLDBPRCKIJJDGV-KBPBESRZSA-N 0 3 314.454 4.226 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC(OC(C)(C)C)CC1 ZINC000469196938 339282801 /nfs/dbraw/zinc/28/28/01/339282801.db2.gz HMCHKAIOQPHGBR-UHFFFAOYSA-N 0 3 319.489 4.352 20 0 DIADHN C[C@H](NCCc1nn(C)c2ccccc12)c1csc(Cl)c1 ZINC000469247504 339284039 /nfs/dbraw/zinc/28/40/39/339284039.db2.gz WUDHINPDCLGAOA-NSHDSACASA-N 0 3 319.861 4.182 20 0 DIADHN Cc1ccnc(NC[C@@H](c2cccc(C(F)(F)F)c2)N(C)C)c1 ZINC000469559429 339293349 /nfs/dbraw/zinc/29/33/49/339293349.db2.gz YASKODKQKBRPCO-HNNXBMFYSA-N 0 3 323.362 4.124 20 0 DIADHN Cc1ccc([C@@H]2[C@@H](C)CCCN2C[C@@H](O)CC(F)(F)F)cc1 ZINC000469599711 339294084 /nfs/dbraw/zinc/29/40/84/339294084.db2.gz YVDXQZBKEUTEOW-BPUTZDHNSA-N 0 3 315.379 4.081 20 0 DIADHN Fc1ccc(CN(CCc2ccccc2F)C2CCCC2)nc1 ZINC000469603151 339294196 /nfs/dbraw/zinc/29/41/96/339294196.db2.gz QIWBQRUILDZETQ-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN COc1cc(Br)ccc1[C@H](C)N[C@H]1C=CCCC1 ZINC000469755173 339297802 /nfs/dbraw/zinc/29/78/02/339297802.db2.gz YKUGSWJWROZFOF-AAEUAGOBSA-N 0 3 310.235 4.217 20 0 DIADHN Cc1cccc(O[C@H]2CCCC[C@@H]2NCc2ccc(F)cn2)c1 ZINC000469774418 339298829 /nfs/dbraw/zinc/29/88/29/339298829.db2.gz FVOOHTFXGRQPLP-OALUTQOASA-N 0 3 314.404 4.009 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1ccn(C(CC)CC)n1 ZINC000469776645 339299011 /nfs/dbraw/zinc/29/90/11/339299011.db2.gz STWFFKSIQKMXGO-IAGOWNOFSA-N 0 3 309.523 4.398 20 0 DIADHN CCS[C@H]1CCCC[C@@H]1NCc1ccn(C(CC)CC)n1 ZINC000469776646 339299030 /nfs/dbraw/zinc/29/90/30/339299030.db2.gz STWFFKSIQKMXGO-IRXDYDNUSA-N 0 3 309.523 4.398 20 0 DIADHN C[C@H](CCC1CC1)NCc1nn(-c2ccccc2)c2c1CCC2 ZINC000469776511 339299098 /nfs/dbraw/zinc/29/90/98/339299098.db2.gz QXZQYENLYZPFGC-OAHLLOKOSA-N 0 3 309.457 4.029 20 0 DIADHN OCC[C@H](N[C@H]1CCC[C@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000469776504 339299110 /nfs/dbraw/zinc/29/91/10/339299110.db2.gz QXDAOANURPRPDD-ULQDDVLXSA-N 0 3 319.832 4.282 20 0 DIADHN COc1ccccc1[C@H]1CCCN1Cc1cccc2c1NCC2 ZINC000469875107 339303475 /nfs/dbraw/zinc/30/34/75/339303475.db2.gz TTXKOXUULQAHPE-GOSISDBHSA-N 0 3 308.425 4.000 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CCCC1CCOCC1 ZINC000469911337 339304503 /nfs/dbraw/zinc/30/45/03/339304503.db2.gz YSJCNDSOCZGUQR-AWEZNQCLSA-N 0 3 318.486 4.496 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CCc1ccc(F)c(F)c1 ZINC000469995255 339307627 /nfs/dbraw/zinc/30/76/27/339307627.db2.gz YBIFOUBTNGMKTJ-CYBMUJFWSA-N 0 3 307.306 4.170 20 0 DIADHN Cc1coc(-c2ccc(C)c(NC(=O)C(C)C(F)(F)F)c2)n1 ZINC000470094517 339310573 /nfs/dbraw/zinc/31/05/73/339310573.db2.gz WFFJPEOLBRKXHN-JTQLQIEISA-N 0 3 312.291 4.095 20 0 DIADHN CCCn1ccc2ccc(NC(=O)[C@@H](CC)N(CC)CC)cc21 ZINC000470100047 339310782 /nfs/dbraw/zinc/31/07/82/339310782.db2.gz KVMZRJWHGIHMDG-QGZVFWFLSA-N 0 3 315.461 4.110 20 0 DIADHN Clc1cccc(Cl)c1CNCCCC1CCOCC1 ZINC000470170742 339311426 /nfs/dbraw/zinc/31/14/26/339311426.db2.gz ZSOMMNLMYABKRE-UHFFFAOYSA-N 0 3 302.245 4.290 20 0 DIADHN CCOc1cccc(CN2CC[C@@H](C(F)(F)F)C2(C)C)c1 ZINC000470156327 339312011 /nfs/dbraw/zinc/31/20/11/339312011.db2.gz MSJCLRLIXAHCFR-CQSZACIVSA-N 0 3 301.352 4.248 20 0 DIADHN CC(C)c1nnc([C@H](C)[NH2+]C[C@H](C)c2cccc(Cl)c2)[n-]1 ZINC000470367647 339318461 /nfs/dbraw/zinc/31/84/61/339318461.db2.gz REFJDCYGKRCTAL-RYUDHWBXSA-N 0 3 306.841 4.036 20 0 DIADHN CC(C)c1nnc([C@H](C)NC[C@H](C)c2cccc(Cl)c2)[nH]1 ZINC000470367647 339318462 /nfs/dbraw/zinc/31/84/62/339318462.db2.gz REFJDCYGKRCTAL-RYUDHWBXSA-N 0 3 306.841 4.036 20 0 DIADHN CCCCOc1ccc(NC(=O)c2ccc3c(c2)CNC3)c(C)c1 ZINC000470374830 339318474 /nfs/dbraw/zinc/31/84/74/339318474.db2.gz KPXXPHXVONWUNG-UHFFFAOYSA-N 0 3 324.424 4.029 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(Cc2cccc3[nH]ccc32)C1 ZINC000470537650 339321654 /nfs/dbraw/zinc/32/16/54/339321654.db2.gz PFHHZPZYZSAKJV-CQSZACIVSA-N 0 3 312.363 4.436 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@@H]1CCC[C@H]1c1ccccc1)n2C ZINC000470538174 339321684 /nfs/dbraw/zinc/32/16/84/339321684.db2.gz OJMGKLZXVFVJMD-ZWKOTPCHSA-N 0 3 319.452 4.308 20 0 DIADHN CC(C(=O)Nc1ccc(-c2ccco2)cc1F)C(F)(F)F ZINC000470542487 339321750 /nfs/dbraw/zinc/32/17/50/339321750.db2.gz OPOLFEKOFLTXKZ-QMMMGPOBSA-N 0 3 301.239 4.223 20 0 DIADHN C[C@@H](N(Cc1cnc2cnccn12)Cc1ccccc1)C(C)(C)C ZINC000470550015 339322036 /nfs/dbraw/zinc/32/20/36/339322036.db2.gz SUAWRDDYKRUXQN-MRXNPFEDSA-N 0 3 322.456 4.166 20 0 DIADHN CC(C)[C@H](NCCOC(F)(F)F)c1cc2ccccc2o1 ZINC000470763436 339327002 /nfs/dbraw/zinc/32/70/02/339327002.db2.gz CHHZITRHWDINPP-AWEZNQCLSA-N 0 3 301.308 4.256 20 0 DIADHN CC(C)CCC1CCN(C(=O)c2cccc(CN(C)C)c2)CC1 ZINC000470765077 339327326 /nfs/dbraw/zinc/32/73/26/339327326.db2.gz JUCKCJKHFBVYJV-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN C[C@@H]1c2ccccc2CN1C[C@@H](O)Cc1ccc2ccccc2c1 ZINC000470778876 339327791 /nfs/dbraw/zinc/32/77/91/339327791.db2.gz JSUMQNIGTYYWIS-IERDGZPVSA-N 0 3 317.432 4.320 20 0 DIADHN C[C@H]1c2ccccc2CN1C[C@@H](O)Cc1ccc2ccccc2c1 ZINC000470778878 339327851 /nfs/dbraw/zinc/32/78/51/339327851.db2.gz JSUMQNIGTYYWIS-KKSFZXQISA-N 0 3 317.432 4.320 20 0 DIADHN COC[C@@H](Cc1ccccc1)N(C)Cc1cccc(C(F)F)c1 ZINC000470871942 339329807 /nfs/dbraw/zinc/32/98/07/339329807.db2.gz PGUQBHRMZPJQOL-GOSISDBHSA-N 0 3 319.395 4.314 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCN1CCSc1ccc(F)cc1 ZINC000470948458 339332035 /nfs/dbraw/zinc/33/20/35/339332035.db2.gz USNXOMMKJUKDLH-GWCFXTLKSA-N 0 3 307.356 4.191 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)cc1 ZINC000470953438 339332587 /nfs/dbraw/zinc/33/25/87/339332587.db2.gz OQBUXGIGYKUCLY-IUODEOHRSA-N 0 3 301.352 4.247 20 0 DIADHN CSc1ccc(OCCN2CC[C@@H](C(F)(F)F)[C@H]2C)cc1 ZINC000470958314 339332911 /nfs/dbraw/zinc/33/29/11/339332911.db2.gz JNAGIIIVDQOTOW-BXUZGUMPSA-N 0 3 319.392 4.060 20 0 DIADHN C[C@]1(O)CCCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000471069947 339336447 /nfs/dbraw/zinc/33/64/47/339336447.db2.gz RPARDTJXPVSVLN-FQEVSTJZSA-N 0 3 311.425 4.216 20 0 DIADHN Cc1ccc([C@H](NCCc2ccccc2F)c2ccccn2)cc1 ZINC000471072652 339336501 /nfs/dbraw/zinc/33/65/01/339336501.db2.gz OKDNJQSOGCGUQP-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1cc(C)c(C(=O)N[C@@H](C)[C@H](c2ccccc2)N(C)C)c(C)c1 ZINC000471118106 339337664 /nfs/dbraw/zinc/33/76/64/339337664.db2.gz ZWROJHSALSIDNV-FXAWDEMLSA-N 0 3 324.468 4.033 20 0 DIADHN O=C(CCN1CC[C@H]1c1ccccc1)Nc1ccccc1Cl ZINC000471176078 339339492 /nfs/dbraw/zinc/33/94/92/339339492.db2.gz FAGHNPDBLOSFBF-KRWDZBQOSA-N 0 3 314.816 4.116 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc3sccc3c2)c1 ZINC000471220017 339340307 /nfs/dbraw/zinc/34/03/07/339340307.db2.gz KVPHFZXOVCXMGG-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN COc1ccsc1[C@@H](C)NCCOc1cc(C)cc(C)c1 ZINC000471583642 339348080 /nfs/dbraw/zinc/34/80/80/339348080.db2.gz AUAUEKVZGBSAEA-CQSZACIVSA-N 0 3 305.443 4.103 20 0 DIADHN C[C@H](N[C@H]1C[C@H](OCc2ccccc2)C1(C)C)c1cncs1 ZINC000471588588 339348383 /nfs/dbraw/zinc/34/83/83/339348383.db2.gz LUTBKFVANXBJKA-JQFCIGGWSA-N 0 3 316.470 4.178 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000471607341 339349161 /nfs/dbraw/zinc/34/91/61/339349161.db2.gz YPZWBUYEBHKMGH-BFQNTYOBSA-N 0 3 323.433 4.323 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@H](c1ccccc1)N(C)C ZINC000471604590 339349174 /nfs/dbraw/zinc/34/91/74/339349174.db2.gz YCKPSCNJEZEKBB-IQUTYRLHSA-N 0 3 318.411 4.307 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@@H](c1ccccc1)N(C)C ZINC000471604591 339349256 /nfs/dbraw/zinc/34/92/56/339349256.db2.gz YCKPSCNJEZEKBB-KSMMKXTCSA-N 0 3 318.411 4.307 20 0 DIADHN C/C=C\C[C@H](CO)N[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000471616877 339349658 /nfs/dbraw/zinc/34/96/58/339349658.db2.gz FSIISGSRZDKQKN-HWKXXFMVSA-N 0 3 306.208 4.110 20 0 DIADHN COc1ccccc1[C@H](C)NCCc1ccccc1OC(F)F ZINC000471788555 339354018 /nfs/dbraw/zinc/35/40/18/339354018.db2.gz BVNFPVRTTDRMRP-ZDUSSCGKSA-N 0 3 321.367 4.190 20 0 DIADHN C[C@H]1C[C@@H](O)CN1Cc1ccc(-c2ccc(Cl)cc2)s1 ZINC000471800124 339354506 /nfs/dbraw/zinc/35/45/06/339354506.db2.gz UFOKQMXTXROIOZ-SMDDNHRTSA-N 0 3 307.846 4.024 20 0 DIADHN C[C@@H]1C[C@H](O)CN1Cc1ccc(-c2cccc(Cl)c2)s1 ZINC000471805965 339354800 /nfs/dbraw/zinc/35/48/00/339354800.db2.gz VNDRRTMGMTWPRG-RISCZKNCSA-N 0 3 307.846 4.024 20 0 DIADHN C[C@H]1C[C@@H](O)CN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000471810808 339354984 /nfs/dbraw/zinc/35/49/84/339354984.db2.gz MMNHEBVIAZCYCO-NWDGAFQWSA-N 0 3 307.846 4.024 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000472037186 339360014 /nfs/dbraw/zinc/36/00/14/339360014.db2.gz IDBZOSAVWLQQJZ-DLBZAZTESA-N 0 3 319.452 4.202 20 0 DIADHN C[C@]1(CNCc2ncc(Cl)s2)CCCc2ccccc21 ZINC000472038638 339360152 /nfs/dbraw/zinc/36/01/52/339360152.db2.gz QZQNESMMASZPQD-MRXNPFEDSA-N 0 3 306.862 4.180 20 0 DIADHN COc1ccc([C@H](C)CCNCc2ncc(Cl)s2)cc1 ZINC000472045445 339360499 /nfs/dbraw/zinc/36/04/99/339360499.db2.gz STPNJNGPJHIPDO-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1NCc1cc(O)cc(F)c1 ZINC000472046480 339360501 /nfs/dbraw/zinc/36/05/01/339360501.db2.gz DYHKSEUUKXROAF-ADLMAVQZSA-N 0 3 303.352 4.084 20 0 DIADHN C[C@H]1CCc2c(F)cccc2[C@H]1NCc1cc(O)cc(F)c1 ZINC000472046482 339360509 /nfs/dbraw/zinc/36/05/09/339360509.db2.gz DYHKSEUUKXROAF-VOJFVSQTSA-N 0 3 303.352 4.084 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H](C)c3ccc4c(c3)OCO4)cc2c1C ZINC000472062033 339361736 /nfs/dbraw/zinc/36/17/36/339361736.db2.gz GIDCSYPEKKSQLQ-AWEZNQCLSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1ccc2[nH]c(CNCc3ccc(OC(C)C)nc3)cc2c1C ZINC000472065134 339361878 /nfs/dbraw/zinc/36/18/78/339361878.db2.gz LHPNRULOUOKYLN-UHFFFAOYSA-N 0 3 323.440 4.257 20 0 DIADHN COc1ccccc1[C@H](CNCc1cccc(F)c1)OC(C)C ZINC000472064910 339361924 /nfs/dbraw/zinc/36/19/24/339361924.db2.gz KQLZWUKTRDULHZ-IBGZPJMESA-N 0 3 317.404 4.090 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CNCc2ncc(Cl)s2)C1 ZINC000472067221 339362117 /nfs/dbraw/zinc/36/21/17/339362117.db2.gz DUWFWADIYWIJSG-IUCAKERBSA-N 0 3 312.788 4.255 20 0 DIADHN C[C@@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@H](c1ccccc1)N(C)C ZINC000472072828 339362461 /nfs/dbraw/zinc/36/24/61/339362461.db2.gz HHEHEUCNBXFTLU-DZJHOTPTSA-N 0 3 312.457 4.184 20 0 DIADHN CCn1nc(CN[C@H](C)CCc2ccc(C)o2)c2ccccc21 ZINC000472076183 339362734 /nfs/dbraw/zinc/36/27/34/339362734.db2.gz UJCRDHXVGLIVOQ-CQSZACIVSA-N 0 3 311.429 4.069 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)COc1cccc(F)c1 ZINC000472128265 339363674 /nfs/dbraw/zinc/36/36/74/339363674.db2.gz NXWUVJWRWQDGGO-NEPJUHHUSA-N 0 3 309.406 4.014 20 0 DIADHN COCc1ccc(CN[C@@H](C)CSc2ccc(C)cc2)o1 ZINC000472130726 339363737 /nfs/dbraw/zinc/36/37/37/339363737.db2.gz RBTWNXALCVWDFB-AWEZNQCLSA-N 0 3 305.443 4.005 20 0 DIADHN COc1ccsc1[C@@H](C)NCC1(c2ccccc2OC)CC1 ZINC000472145102 339364167 /nfs/dbraw/zinc/36/41/67/339364167.db2.gz BBRUSYYCCDKUIR-CYBMUJFWSA-N 0 3 317.454 4.148 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2cnn(CC(C)C)c2)cc1 ZINC000472154929 339364623 /nfs/dbraw/zinc/36/46/23/339364623.db2.gz KVVHPWMNGGHUTD-MRXNPFEDSA-N 0 3 317.502 4.118 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC000472158166 339364854 /nfs/dbraw/zinc/36/48/54/339364854.db2.gz NWEAGMOYUKTLSD-ZBFHGGJFSA-N 0 3 318.486 4.019 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1ccncc1Cl ZINC000472243979 339367311 /nfs/dbraw/zinc/36/73/11/339367311.db2.gz SLGPSWFJDXHNRH-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2ccccc2o1 ZINC000472314652 339369615 /nfs/dbraw/zinc/36/96/15/339369615.db2.gz MBTWOANYBFZJFJ-WBVHZDCISA-N 0 3 306.409 4.281 20 0 DIADHN CCn1nccc1CCN[C@@H](c1ccc(Cl)cc1F)C(C)C ZINC000472462065 339372500 /nfs/dbraw/zinc/37/25/00/339372500.db2.gz KJMBMNGXZMVUII-QGZVFWFLSA-N 0 3 323.843 4.225 20 0 DIADHN CCn1cc(CCN([C@@H](C)c2ccccc2Cl)C2CC2)cn1 ZINC000472592529 339374622 /nfs/dbraw/zinc/37/46/22/339374622.db2.gz VRAIPSRKMLECHH-AWEZNQCLSA-N 0 3 317.864 4.325 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N[C@@H]1CCCC1(C)C ZINC000472598245 339374832 /nfs/dbraw/zinc/37/48/32/339374832.db2.gz DNAARUIARIHEEV-IUODEOHRSA-N 0 3 308.853 4.144 20 0 DIADHN CCn1nccc1CCN[C@H](C)c1cccc(Cl)c1Cl ZINC000472594296 339374857 /nfs/dbraw/zinc/37/48/57/339374857.db2.gz CPKAGSYWGKZXTP-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N[C@H]1CCCC1(C)C ZINC000472598242 339374892 /nfs/dbraw/zinc/37/48/92/339374892.db2.gz DNAARUIARIHEEV-DOMZBBRYSA-N 0 3 308.853 4.144 20 0 DIADHN C[C@@H](NCCCO[C@H]1CCCCO1)c1c(F)cccc1Cl ZINC000472603833 339374978 /nfs/dbraw/zinc/37/49/78/339374978.db2.gz NTPXHQBKEAIBCQ-DOMZBBRYSA-N 0 3 315.816 4.063 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nc(-c2ccco2)no1 ZINC000472606979 339375006 /nfs/dbraw/zinc/37/50/06/339375006.db2.gz MFZIJKXNTKVBCE-KBPBESRZSA-N 0 3 303.406 4.024 20 0 DIADHN COc1c(C)cc(CN2C[C@H](C)C[C@@H]2c2cccnc2)cc1C ZINC000472603080 339375026 /nfs/dbraw/zinc/37/50/26/339375026.db2.gz RVDONQPRWGNEJY-AUUYWEPGSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](NCCCO[C@H]1CCCCO1)c1c(F)cccc1Cl ZINC000472603837 339375081 /nfs/dbraw/zinc/37/50/81/339375081.db2.gz NTPXHQBKEAIBCQ-WFASDCNBSA-N 0 3 315.816 4.063 20 0 DIADHN CC[C@@H](c1ccc(Br)cc1)N(C)C[C@@H]1CCCO1 ZINC000472670762 339375995 /nfs/dbraw/zinc/37/59/95/339375995.db2.gz SHLYNVRGHRMMII-GJZGRUSLSA-N 0 3 312.251 4.011 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@@H](C)NCc1cc(O)cc(F)c1 ZINC000473115771 339381364 /nfs/dbraw/zinc/38/13/64/339381364.db2.gz CHAWONRMPFDVGJ-DIFFPNOSSA-N 0 3 323.795 4.051 20 0 DIADHN CCCc1ccc(CN2CC[C@]3(C2)OCc2ccccc23)cc1 ZINC000473119261 339381382 /nfs/dbraw/zinc/38/13/82/339381382.db2.gz PUTGCXNBLGFTFU-OAQYLSRUSA-N 0 3 307.437 4.271 20 0 DIADHN CCSc1cccc(CN(C)CCc2ccccc2O)c1 ZINC000473128157 339381457 /nfs/dbraw/zinc/38/14/57/339381457.db2.gz NGHOMGVSLNNAQI-UHFFFAOYSA-N 0 3 301.455 4.179 20 0 DIADHN C[C@@H](CNC(C)(C)c1nc2ccccc2n1C)c1ccccc1 ZINC000473174749 339383489 /nfs/dbraw/zinc/38/34/89/339383489.db2.gz RBFRWTYNKBHMKZ-HNNXBMFYSA-N 0 3 307.441 4.202 20 0 DIADHN CCCCN(C)CCNC(=O)Nc1ccccc1SC(C)C ZINC000473838162 339393005 /nfs/dbraw/zinc/39/30/05/339393005.db2.gz UAKQBFCFZFFJSZ-UHFFFAOYSA-N 0 3 323.506 4.041 20 0 DIADHN C[C@H](NCc1ccc(Br)cn1)[C@@H](C)c1ccccc1 ZINC000473926650 339395037 /nfs/dbraw/zinc/39/50/37/339395037.db2.gz ZIBNCICONWKNJS-OLZOCXBDSA-N 0 3 319.246 4.126 20 0 DIADHN COc1c2ccccc2oc1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000473987031 339396205 /nfs/dbraw/zinc/39/62/05/339396205.db2.gz FFHJSGURJUEXGB-WBVHZDCISA-N 0 3 307.393 4.335 20 0 DIADHN C[C@H](NCc1ccc2c[nH]nc2c1)c1cc2cccc(F)c2o1 ZINC000474016942 339397289 /nfs/dbraw/zinc/39/72/89/339397289.db2.gz OZIDVZVXARSKFV-NSHDSACASA-N 0 3 309.344 4.299 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)C2CCC(F)(F)CC2)o1 ZINC000474038216 339398285 /nfs/dbraw/zinc/39/82/85/339398285.db2.gz XEUFLPYWARXVFD-DOMZBBRYSA-N 0 3 315.404 4.333 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2N[C@H](C)CC(F)(F)F ZINC000474039371 339398460 /nfs/dbraw/zinc/39/84/60/339398460.db2.gz SWGYTBDZOULEFL-QMTHXVAHSA-N 0 3 301.352 4.348 20 0 DIADHN C[C@@H](NCCOC(F)(F)F)c1cc(Cl)cc(Cl)c1 ZINC000474053200 339399528 /nfs/dbraw/zinc/39/95/28/339399528.db2.gz FYPKRKVAUXWAKG-SSDOTTSWSA-N 0 3 302.123 4.180 20 0 DIADHN COc1cc(F)c([C@@H](C)N[C@H]2CCC23CCCC3)cc1OC ZINC000474062833 339400225 /nfs/dbraw/zinc/40/02/25/339400225.db2.gz AROUXVLKQFIWCE-PXAZEXFGSA-N 0 3 307.409 4.216 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@H]2CCC23CCCC3)cc1OC ZINC000474062836 339400249 /nfs/dbraw/zinc/40/02/49/339400249.db2.gz AROUXVLKQFIWCE-SJCJKPOMSA-N 0 3 307.409 4.216 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@H]2CCC23CCCC3)n1 ZINC000474075338 339400989 /nfs/dbraw/zinc/40/09/89/339400989.db2.gz VEGLHIRWBGUJID-IBGZPJMESA-N 0 3 323.484 4.328 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@H]2NCc1cccc(OC)c1 ZINC000474081749 339401407 /nfs/dbraw/zinc/40/14/07/339401407.db2.gz NQPXFAIGIBUAIR-LJQANCHMSA-N 0 3 311.425 4.216 20 0 DIADHN CCC[C@@H](O)CNCc1c(Cl)cccc1SC(C)C ZINC000474709352 339423268 /nfs/dbraw/zinc/42/32/68/339423268.db2.gz DFZMNJWXFKWTIU-GFCCVEGCSA-N 0 3 301.883 4.091 20 0 DIADHN CCc1cccc(Cl)c1CNCCCc1nc(C(C)C)no1 ZINC000474354978 339410703 /nfs/dbraw/zinc/41/07/03/339410703.db2.gz OLXLYPZYPDLBFB-UHFFFAOYSA-N 0 3 321.852 4.131 20 0 DIADHN O=C(Nc1cccc(CN2CCCCC2)c1)[C@H]1CC12CCCC2 ZINC000474375625 339411451 /nfs/dbraw/zinc/41/14/51/339411451.db2.gz LDCGCJHKTWEFDY-GOSISDBHSA-N 0 3 312.457 4.191 20 0 DIADHN CCc1ccc(CNCc2ccnn2-c2ccccc2C)s1 ZINC000474472556 339416109 /nfs/dbraw/zinc/41/61/09/339416109.db2.gz OQPRJLIYAIZDEY-UHFFFAOYSA-N 0 3 311.454 4.094 20 0 DIADHN CC(C)C[C@@H](CNCc1nccn1C(F)F)c1ccccc1 ZINC000474628475 339420923 /nfs/dbraw/zinc/42/09/23/339420923.db2.gz SYHIJEPXWSSWQE-HNNXBMFYSA-N 0 3 307.388 4.198 20 0 DIADHN CO[C@@H](C)c1nc(CNC[C@@H](c2ccccc2)C(C)C)cs1 ZINC000474797051 339426507 /nfs/dbraw/zinc/42/65/07/339426507.db2.gz QBEDYVIYHBUGSV-WMLDXEAASA-N 0 3 318.486 4.380 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H](N[C@H]2CCCn3nc(C(C)C)nc32)C1 ZINC000474810725 339427630 /nfs/dbraw/zinc/42/76/30/339427630.db2.gz SQYSJROWDBGYOI-ULQDDVLXSA-N 0 3 318.509 4.431 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000474894103 339430361 /nfs/dbraw/zinc/43/03/61/339430361.db2.gz QCHQVLKEOLYHBW-HUUCEWRRSA-N 0 3 319.880 4.474 20 0 DIADHN CC(C)[C@H]1CCCC[C@@H]1NCc1nc(C(F)(F)F)cs1 ZINC000475152582 339440074 /nfs/dbraw/zinc/44/00/74/339440074.db2.gz AGHHVPQBLAHBSJ-MNOVXSKESA-N 0 3 306.397 4.466 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)[C@@H](C)CC(C)(C)C ZINC000475334211 339447263 /nfs/dbraw/zinc/44/72/63/339447263.db2.gz DKWAWCSGYIZBJW-INIZCTEOSA-N 0 3 318.505 4.169 20 0 DIADHN COc1ccccc1CN[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000475666536 339458659 /nfs/dbraw/zinc/45/86/59/339458659.db2.gz JLQUOXLFIUTDHV-JCNLHEQBSA-N 0 3 301.817 4.384 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2ccc3c[nH]nc3c2)cc1 ZINC000475665769 339458671 /nfs/dbraw/zinc/45/86/71/339458671.db2.gz GBFZLFCRKBRBSU-UHFFFAOYSA-N 0 3 323.440 4.377 20 0 DIADHN C[C@H](C1CCN(C)CC1)N(C)C(=O)CC(C)(C)C1CCCCC1 ZINC000476545671 339478381 /nfs/dbraw/zinc/47/83/81/339478381.db2.gz JZPPQUHOAIVIOP-MRXNPFEDSA-N 0 3 322.537 4.172 20 0 DIADHN COCC[C@@H](N[C@@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC000477264705 339490787 /nfs/dbraw/zinc/49/07/87/339490787.db2.gz HVRKHHDBTGPMCY-MAUKXSAKSA-N 0 3 301.430 4.277 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1ccccc1)c1ccc(C)o1 ZINC000477264708 339490858 /nfs/dbraw/zinc/49/08/58/339490858.db2.gz HVRKHHDBTGPMCY-QAPCUYQASA-N 0 3 301.430 4.277 20 0 DIADHN COc1ccsc1[C@H](C)NC[C@H]1CCC2(CCCCC2)O1 ZINC000477854731 339502855 /nfs/dbraw/zinc/50/28/55/339502855.db2.gz GYCBXCVAWUHHTR-UONOGXRCSA-N 0 3 309.475 4.289 20 0 DIADHN CCN(CC)CC(=O)N([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000478073942 339507874 /nfs/dbraw/zinc/50/78/74/339507874.db2.gz XTEDJXHOQPOBBC-MRXNPFEDSA-N 0 3 324.468 4.234 20 0 DIADHN COc1ccc2oc(CNCCc3c(F)cccc3F)cc2c1 ZINC000478205135 339510301 /nfs/dbraw/zinc/51/03/01/339510301.db2.gz GMPUIDHERBBFPY-UHFFFAOYSA-N 0 3 317.335 4.052 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccc(-c2ccncc2)cc1 ZINC000478232664 339510986 /nfs/dbraw/zinc/51/09/86/339510986.db2.gz LKGAOFTZQANCRD-UHFFFAOYSA-N 0 3 306.384 4.486 20 0 DIADHN COCc1cccc(CN[C@@H]2CCc3ccc(Cl)cc32)c1 ZINC000479191635 339528747 /nfs/dbraw/zinc/52/87/47/339528747.db2.gz KTPRIPJUZKBAFM-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC000479252340 339529844 /nfs/dbraw/zinc/52/98/44/339529844.db2.gz TWELXMNBSJRJKM-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN Fc1cc(CNC2(Cc3ccccc3)CC2)ccc1OC(F)F ZINC000479402573 339533230 /nfs/dbraw/zinc/53/32/30/339533230.db2.gz QMKPEMODFPZYSG-UHFFFAOYSA-N 0 3 321.342 4.292 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN[C@H](C)CC(F)(F)F ZINC000479434797 339535050 /nfs/dbraw/zinc/53/50/50/339535050.db2.gz FNCJAEDCCIGEKY-GFCCVEGCSA-N 0 3 324.346 4.188 20 0 DIADHN C[C@@H](NCC1(C(F)F)CC1)c1ccccc1Br ZINC000479448079 339535600 /nfs/dbraw/zinc/53/56/00/339535600.db2.gz RPAIWJDCLCQSSF-SECBINFHSA-N 0 3 304.178 4.145 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2c3ccccc3C[C@H]2O)c2ccccc21 ZINC000479456794 339536110 /nfs/dbraw/zinc/53/61/10/339536110.db2.gz RECHRHNQMZVAHO-UWHLTILDSA-N 0 3 307.437 4.119 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCCc2ccc(F)cc21 ZINC000479493423 339538047 /nfs/dbraw/zinc/53/80/47/339538047.db2.gz ZWMCUZUABDLMLT-RBUKOAKNSA-N 0 3 311.400 4.489 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@@H](CO)CCC(C)(C)C ZINC000479517348 339538932 /nfs/dbraw/zinc/53/89/32/339538932.db2.gz OBWKRIFUCUKPBN-GXTWGEPZSA-N 0 3 312.523 4.413 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCCc2ccccc2F)c1 ZINC000479537615 339539948 /nfs/dbraw/zinc/53/99/48/339539948.db2.gz AROVKGSRRYSBGM-CQSZACIVSA-N 0 3 317.404 4.126 20 0 DIADHN CCCc1cccc(CNCc2ccc3c(c2)OCCCO3)c1 ZINC000479551674 339540640 /nfs/dbraw/zinc/54/06/40/339540640.db2.gz DMQULKZXJAOGAK-UHFFFAOYSA-N 0 3 311.425 4.090 20 0 DIADHN CCCC[C@@H](N[C@H](C)CC(N)=O)c1ccc(Cl)cc1Cl ZINC000479570453 339541622 /nfs/dbraw/zinc/54/16/22/339541622.db2.gz WXYVXXUQAZDZAV-QMTHXVAHSA-N 0 3 317.260 4.078 20 0 DIADHN COc1ccc2oc(CN[C@@H]3CCCO[C@@H]3CC(C)C)cc2c1 ZINC000479604379 339543343 /nfs/dbraw/zinc/54/33/43/339543343.db2.gz UKTYXZVIYOHIJN-IEBWSBKVSA-N 0 3 317.429 4.125 20 0 DIADHN COc1ccc2oc(CN[C@@H]3CCCO[C@H]3CC(C)C)cc2c1 ZINC000479604380 339543429 /nfs/dbraw/zinc/54/34/29/339543429.db2.gz UKTYXZVIYOHIJN-MJGOQNOKSA-N 0 3 317.429 4.125 20 0 DIADHN Cc1cccc2c1CC[C@H]2NC[C@@H](c1ccco1)N1CCCC1 ZINC000479867507 339551512 /nfs/dbraw/zinc/55/15/12/339551512.db2.gz VKNUBITWGPWSGO-MOPGFXCFSA-N 0 3 310.441 4.002 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2cnc(C3CCCC3)s2)CCO1 ZINC000480092898 339558842 /nfs/dbraw/zinc/55/88/42/339558842.db2.gz DMBBPALBUPZELQ-GDBMZVCRSA-N 0 3 322.518 4.484 20 0 DIADHN C[C@@H](N[C@@H]1CCOC2(CCCCC2)C1)c1ccc(Cl)cn1 ZINC000480159424 339561000 /nfs/dbraw/zinc/56/10/00/339561000.db2.gz LJUDAEBLEGHAFS-UKRRQHHQSA-N 0 3 308.853 4.268 20 0 DIADHN Cc1cccc(O[C@H](C)CN[C@H](C)c2ccc(Cl)cn2)c1 ZINC000480166385 339561504 /nfs/dbraw/zinc/56/15/04/339561504.db2.gz JIKJXYNLZPDRIZ-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc2c(c1C)OC[C@H]2N[C@@H](C)CCCC(F)(F)F ZINC000480172356 339561964 /nfs/dbraw/zinc/56/19/64/339561964.db2.gz SSIADOIPQSTEGL-SMDDNHRTSA-N 0 3 301.352 4.448 20 0 DIADHN Cc1ccccc1O[C@H](C)CN[C@H](C)c1ccc(Cl)cn1 ZINC000480183645 339562458 /nfs/dbraw/zinc/56/24/58/339562458.db2.gz RYZPTIOSUWUMSV-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2ccc(Cl)cn2)C12CCCC2 ZINC000480185228 339562627 /nfs/dbraw/zinc/56/26/27/339562627.db2.gz VNEUEZFQLMEYBJ-UHOFOFEASA-N 0 3 308.853 4.123 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2F)CC1)c1ccc(Cl)cn1 ZINC000480196387 339563087 /nfs/dbraw/zinc/56/30/87/339563087.db2.gz FCCKGLUKRXANFU-LLVKDONJSA-N 0 3 322.786 4.396 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@@H](c1ccsc1)N(C)C ZINC000480227761 339564237 /nfs/dbraw/zinc/56/42/37/339564237.db2.gz NCOBBSJBMGDSIG-PBHICJAKSA-N 0 3 318.486 4.019 20 0 DIADHN C[C@@H](NCC1(c2ccc(F)cc2)CC1)c1ccc(Cl)cn1 ZINC000480251040 339565347 /nfs/dbraw/zinc/56/53/47/339565347.db2.gz SEAGDYWNYMSVBY-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN CO[C@H]1CCC[C@H]1CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480313132 339568747 /nfs/dbraw/zinc/56/87/47/339568747.db2.gz ZXYZRURQKBHNSR-LRDDRELGSA-N 0 3 321.367 4.130 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(N(C)C(C)C)nc2)c1 ZINC000480387455 339571640 /nfs/dbraw/zinc/57/16/40/339571640.db2.gz OHLQBCLIERBASZ-QGZVFWFLSA-N 0 3 311.473 4.394 20 0 DIADHN C[C@@H](C[C@@H]1CCCO1)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000480389277 339571765 /nfs/dbraw/zinc/57/17/65/339571765.db2.gz KKAFUKIXZZEJDE-STQMWFEESA-N 0 3 321.367 4.272 20 0 DIADHN COC1(CN[C@H]2CC3(CCC3)Oc3ccccc32)CCCCC1 ZINC000480393126 339572057 /nfs/dbraw/zinc/57/20/57/339572057.db2.gz DOVUSGSRKOEUKE-KRWDZBQOSA-N 0 3 315.457 4.372 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1ccc(OCC2CC2)cc1 ZINC000480444940 339573192 /nfs/dbraw/zinc/57/31/92/339573192.db2.gz MORWAGBGPLVSEV-FQEVSTJZSA-N 0 3 323.436 4.348 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@@H](C(C)C)C(C)(C)O)o1 ZINC000480453240 339573576 /nfs/dbraw/zinc/57/35/76/339573576.db2.gz KGGDTFCDXWCJHN-SFHVURJKSA-N 0 3 319.420 4.279 20 0 DIADHN COc1cc2c(cc1CN[C@@H](C)c1sccc1C)O[C@H](C)C2 ZINC000480530447 339576216 /nfs/dbraw/zinc/57/62/16/339576216.db2.gz SHJYPDHZVMJQKB-OLZOCXBDSA-N 0 3 317.454 4.239 20 0 DIADHN CCN(CCN[C@@H](C)c1sc(C)nc1C)c1ccccc1C ZINC000480606433 339579282 /nfs/dbraw/zinc/57/92/82/339579282.db2.gz ANDIUHJIQXDTAH-AWEZNQCLSA-N 0 3 317.502 4.245 20 0 DIADHN C[C@H](NC[C@H](C)C(F)(F)F)c1ccc(F)cc1OC(F)F ZINC000480660256 339581709 /nfs/dbraw/zinc/58/17/09/339581709.db2.gz FVEVUIPPXRZZIP-YUMQZZPRSA-N 0 3 315.257 4.276 20 0 DIADHN CCOCCCCNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480665724 339582034 /nfs/dbraw/zinc/58/20/34/339582034.db2.gz GRGVDRDEXDBEJR-UHFFFAOYSA-N 0 3 309.356 4.131 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@H](CCO)C(C)(C)C ZINC000480668304 339582319 /nfs/dbraw/zinc/58/23/19/339582319.db2.gz SHBZJECPKYXGSL-MLGOLLRUSA-N 0 3 313.869 4.186 20 0 DIADHN C[C@@H](CN[C@H]1CCCOc2cc(Cl)ccc21)C(F)(F)F ZINC000480669021 339582434 /nfs/dbraw/zinc/58/24/34/339582434.db2.gz UODPKOOWUQGUHB-CABZTGNLSA-N 0 3 307.743 4.342 20 0 DIADHN CCCC(CCC)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480672193 339582620 /nfs/dbraw/zinc/58/26/20/339582620.db2.gz OKPUTHSKTPETGB-SFHVURJKSA-N 0 3 303.425 4.207 20 0 DIADHN CC(C)[C@H](NCc1ccc(N(C)C(C)C)nc1)c1ccccc1 ZINC000480674731 339582846 /nfs/dbraw/zinc/58/28/46/339582846.db2.gz VWCQCJQUWLGQBT-FQEVSTJZSA-N 0 3 311.473 4.413 20 0 DIADHN CC[C@@H](N[C@H](C)C1(c2ccccc2)CCCC1)c1ccn(C)n1 ZINC000480692290 339583550 /nfs/dbraw/zinc/58/35/50/339583550.db2.gz FYRJMQKASXCPML-SJLPKXTDSA-N 0 3 311.473 4.361 20 0 DIADHN CC[C@@H](N[C@@H]1CCN(c2ccccc2)C1)c1ccccc1OC ZINC000480796477 339587723 /nfs/dbraw/zinc/58/77/23/339587723.db2.gz NAIRWNCWXHWZCQ-VQIMIIECSA-N 0 3 310.441 4.015 20 0 DIADHN Cc1ccc(C(=O)CCN[C@@H](C)c2cccc(F)c2F)cc1 ZINC000480892834 339589829 /nfs/dbraw/zinc/58/98/29/339589829.db2.gz ZYHFBJVSSCGRFW-ZDUSSCGKSA-N 0 3 303.352 4.197 20 0 DIADHN C[C@@H](NC[C@H](c1ccc(F)cc1)N(C)C)c1ccc(F)cc1F ZINC000480896911 339589962 /nfs/dbraw/zinc/58/99/62/339589962.db2.gz JYNLSSVKVUSACE-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCC(=O)c1ccc(C)cc1 ZINC000480898286 339590053 /nfs/dbraw/zinc/59/00/53/339590053.db2.gz MXEKCFMLKWWHEV-AWEZNQCLSA-N 0 3 315.388 4.066 20 0 DIADHN CCc1nc(C)c([C@H](C)NCCO[C@@H]2CCCC[C@H]2C)s1 ZINC000480909905 339590489 /nfs/dbraw/zinc/59/04/89/339590489.db2.gz KUXMYOUZCXKKNH-VNHYZAJKSA-N 0 3 310.507 4.260 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1F)c1cc(F)c(F)c(F)c1 ZINC000481314478 339600904 /nfs/dbraw/zinc/60/09/04/339600904.db2.gz PPKXHIHGIWNBKP-SECBINFHSA-N 0 3 315.285 4.275 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2ccc(F)cc2N(C)C)c(C)c1 ZINC000481333056 339601544 /nfs/dbraw/zinc/60/15/44/339601544.db2.gz QBCUFKNKDVSGRF-HNNXBMFYSA-N 0 3 300.421 4.359 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)COc2ccc(F)cc2F)c1 ZINC000481534948 339606039 /nfs/dbraw/zinc/60/60/39/339606039.db2.gz OPMNTILWKPVOJX-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN C[C@H](NCCCOC1CCCC1)c1ccccc1OC(F)F ZINC000481718429 339610979 /nfs/dbraw/zinc/61/09/79/339610979.db2.gz AIIHPZWYBGWSIZ-ZDUSSCGKSA-N 0 3 313.388 4.288 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCCCOc2ccc(F)cc2)s1 ZINC000481720527 339611064 /nfs/dbraw/zinc/61/10/64/339611064.db2.gz GGFQCIBZBSGXAJ-GFCCVEGCSA-N 0 3 322.449 4.273 20 0 DIADHN CC(C)n1nccc1CNC1CC(F)(c2cccc(Cl)c2)C1 ZINC000481812936 339614484 /nfs/dbraw/zinc/61/44/84/339614484.db2.gz GCSGJCBPFVGRIS-UHFFFAOYSA-N 0 3 321.827 4.234 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN[C@@H](c1cccnc1)C1CCC1 ZINC000481928899 339617273 /nfs/dbraw/zinc/61/72/73/339617273.db2.gz SWGCMIVTGHYDJV-AYOQOUSVSA-N 0 3 302.462 4.108 20 0 DIADHN CC(C)CCOCCN[C@H](C)c1ccc(F)cc1OC(F)F ZINC000481953892 339617891 /nfs/dbraw/zinc/61/78/91/339617891.db2.gz YPISEMODGFARJJ-GFCCVEGCSA-N 0 3 319.367 4.140 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccc(F)cc1)c1ccco1 ZINC000481956316 339617912 /nfs/dbraw/zinc/61/79/12/339617912.db2.gz BMYBUQDRZAUCSK-RHSMWYFYSA-N 0 3 304.409 4.152 20 0 DIADHN Cc1ccc([C@@H](CN[C@@H](C)c2ccc(F)cc2)N2CCCC2)o1 ZINC000482017984 339619944 /nfs/dbraw/zinc/61/99/44/339619944.db2.gz PQPAMAUMNWYFMG-MAUKXSAKSA-N 0 3 316.420 4.215 20 0 DIADHN Cc1cccnc1CNCCCc1c(Cl)cccc1Cl ZINC000482163395 339625209 /nfs/dbraw/zinc/62/52/09/339625209.db2.gz LASRWTOALLUTST-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN CCC[C@H](N[C@@H](C)C1CCCCC1)c1nnc2ccccn21 ZINC000482566601 339636305 /nfs/dbraw/zinc/63/63/05/339636305.db2.gz QUGOHMYUDGIXRT-HOCLYGCPSA-N 0 3 300.450 4.129 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCCC1CCCC1 ZINC000482597015 339637090 /nfs/dbraw/zinc/63/70/90/339637090.db2.gz ILLFIBKYRIXFQI-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1cnccn1 ZINC000482728665 339641109 /nfs/dbraw/zinc/64/11/09/339641109.db2.gz FZFYNIVZFGYVHP-RISCZKNCSA-N 0 3 324.255 4.455 20 0 DIADHN CC(C)[C@@H](NCCc1ccc2ccccc2n1)c1ccc(F)cn1 ZINC000498446481 339643384 /nfs/dbraw/zinc/64/33/84/339643384.db2.gz UBHGPEYNXGBHQB-HXUWFJFHSA-N 0 3 323.415 4.298 20 0 DIADHN COc1cccc(CN[C@H](C)C2(C)CC2)c1OC1CCCC1 ZINC000482949583 339648011 /nfs/dbraw/zinc/64/80/11/339648011.db2.gz QSBUGZKFAPHHRT-CQSZACIVSA-N 0 3 303.446 4.295 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)CC2CC2)nnn1-c1cccc(Cl)c1 ZINC000482952602 339648196 /nfs/dbraw/zinc/64/81/96/339648196.db2.gz UGUSKZXTOWVWMU-NWDGAFQWSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)c1ccc(CN(C)C)cc1)C(C)C ZINC000483047852 339650379 /nfs/dbraw/zinc/65/03/79/339650379.db2.gz OGXZSVJOANMAET-HXUWFJFHSA-N 0 3 324.468 4.184 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NC[C@H](O)CC(C)(C)C)c1 ZINC000483186106 339655314 /nfs/dbraw/zinc/65/53/14/339655314.db2.gz SWWRJHFYDBWMTM-QWHCGFSZSA-N 0 3 315.404 4.044 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@@H](O)CC(C)(C)C)c(C)c2c1 ZINC000483210709 339656413 /nfs/dbraw/zinc/65/64/13/339656413.db2.gz YYVAHGLBSSOXPG-KGLIPLIRSA-N 0 3 319.445 4.198 20 0 DIADHN CC/C=C/CCN[C@H](CO)c1ccc(Cl)cc1C(F)(F)F ZINC000483254255 339658138 /nfs/dbraw/zinc/65/81/38/339658138.db2.gz MLUQTWLRWKONKJ-RDFMZFSFSA-N 0 3 321.770 4.338 20 0 DIADHN Cc1cc(-n2ccnc2)ccc1CNC(C)(C)c1ccccc1 ZINC000483601597 339669252 /nfs/dbraw/zinc/66/92/52/339669252.db2.gz OTOZKPIYIVYITF-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN C[C@H](CN[C@@H](C)c1cc(F)cc(F)c1)Oc1ccccc1F ZINC000483620210 339669664 /nfs/dbraw/zinc/66/96/64/339669664.db2.gz QPIMLSIBWOCVNO-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN COc1ccccc1O[C@@H](C)CN[C@H](C)c1ccc(F)cc1F ZINC000483656250 339670909 /nfs/dbraw/zinc/67/09/09/339670909.db2.gz YOXKSWGXKUEUNQ-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)CCc2ccc(C)o2)c(F)c1 ZINC000483660577 339671045 /nfs/dbraw/zinc/67/10/45/339671045.db2.gz MGEZJRHOSROBIR-OCCSQVGLSA-N 0 3 305.393 4.408 20 0 DIADHN C[C@H](N[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C)c1ccc(F)cc1Cl ZINC000483719582 339672715 /nfs/dbraw/zinc/67/27/15/339672715.db2.gz DROCIVXEVXFXHI-CEFQPYBMSA-N 0 3 311.828 4.333 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1cc(Cl)ccc1OCC ZINC000483848036 339676187 /nfs/dbraw/zinc/67/61/87/339676187.db2.gz KPPJQEUCELZUNI-KGLIPLIRSA-N 0 3 313.869 4.188 20 0 DIADHN CC(C)(CCO)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000483869212 339677330 /nfs/dbraw/zinc/67/73/30/339677330.db2.gz WVSDGWYSOOSVFS-SNVBAGLBSA-N 0 3 322.301 4.431 20 0 DIADHN CS[C@H](CO)[C@@H](C)N[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000484140832 339681725 /nfs/dbraw/zinc/68/17/25/339681725.db2.gz ZSOQQBOFIOMLKM-OGHNNQOOSA-N 0 3 321.511 4.178 20 0 DIADHN CSC[C@@H](CCO)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000484143372 339681961 /nfs/dbraw/zinc/68/19/61/339681961.db2.gz ZOPWODIUCYHOMH-DNVCBOLYSA-N 0 3 315.482 4.118 20 0 DIADHN CSC[C@H](CCO)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000484143371 339681963 /nfs/dbraw/zinc/68/19/63/339681963.db2.gz ZOPWODIUCYHOMH-BEFAXECRSA-N 0 3 315.482 4.118 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@@H]2NCCNc1ccccn1 ZINC000484174902 339682581 /nfs/dbraw/zinc/68/25/81/339682581.db2.gz KVVOVDRGHYFIPL-AWEZNQCLSA-N 0 3 322.239 4.077 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2cccc(Cl)c2)C1)c1ccsc1 ZINC000484288845 339683791 /nfs/dbraw/zinc/68/37/91/339683791.db2.gz FCWUVIDWBFRUDM-IUODEOHRSA-N 0 3 306.862 4.331 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@@H]3CC[C@@H]3SC)c(C)c2c1 ZINC000484373590 339685771 /nfs/dbraw/zinc/68/57/71/339685771.db2.gz QRRWRZPEDUDITK-PEYYIBSZSA-N 0 3 305.443 4.294 20 0 DIADHN CC[C@H](O)CCN[C@@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000484378262 339685799 /nfs/dbraw/zinc/68/57/99/339685799.db2.gz YBEJDGDSMYEIJU-HOCLYGCPSA-N 0 3 317.404 4.430 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](C)c1ccc(F)c(Br)c1 ZINC000484393968 339685878 /nfs/dbraw/zinc/68/58/78/339685878.db2.gz GXFUUGRHIZAREH-OXHMUOHRSA-N 0 3 318.255 4.133 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccncc1)c1ccccc1OC(F)(F)F ZINC000484493792 339687845 /nfs/dbraw/zinc/68/78/45/339687845.db2.gz LWQSYFABEOIRFO-QWHCGFSZSA-N 0 3 324.346 4.262 20 0 DIADHN COC[C@@H](N[C@@H](c1ccccc1)c1cccc(F)c1)C(C)C ZINC000484602204 339690100 /nfs/dbraw/zinc/69/01/00/339690100.db2.gz GODAXTUHVNZJGM-MOPGFXCFSA-N 0 3 301.405 4.176 20 0 DIADHN C[C@H](N[C@H]1CCN(c2c(F)cccc2F)C1)c1ccccc1F ZINC000484599587 339690118 /nfs/dbraw/zinc/69/01/18/339690118.db2.gz NFCJZRJFCXOWQK-STQMWFEESA-N 0 3 320.358 4.033 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1ccccc1OC(F)(F)F ZINC000484603838 339690324 /nfs/dbraw/zinc/69/03/24/339690324.db2.gz JDGJTRBWDKDWCM-WDEREUQCSA-N 0 3 305.365 4.131 20 0 DIADHN CCC[C@H](NCC(=O)Nc1cc(C)ccc1C)c1ccccc1 ZINC000485256788 339700627 /nfs/dbraw/zinc/70/06/27/339700627.db2.gz PBXIURXFUJNIEI-SFHVURJKSA-N 0 3 310.441 4.373 20 0 DIADHN C[C@H](NCCOc1cccc(F)c1)c1ccc2ncsc2c1 ZINC000485803886 339713202 /nfs/dbraw/zinc/71/32/02/339713202.db2.gz FZBCSOFWSHYORO-LBPRGKRZSA-N 0 3 316.401 4.165 20 0 DIADHN CCN(Cc1ccc(CN(C)C)cc1)C(=O)C(C)(C)CC(C)C ZINC000485820040 339713674 /nfs/dbraw/zinc/71/36/74/339713674.db2.gz PTZPDVGPVVRDMX-UHFFFAOYSA-N 0 3 318.505 4.169 20 0 DIADHN COc1cccc(OCCN[C@H](C)c2ccc(Cl)s2)c1 ZINC000498482307 339716107 /nfs/dbraw/zinc/71/61/07/339716107.db2.gz STKWWYKTYFKKRD-LLVKDONJSA-N 0 3 311.834 4.140 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000486198522 339723146 /nfs/dbraw/zinc/72/31/46/339723146.db2.gz NAOPEJYPZLMWQA-DZKIICNBSA-N 0 3 322.518 4.124 20 0 DIADHN C[C@H](COc1cccc(F)c1)NCc1cc2ccc(F)cc2[nH]1 ZINC000486681063 339731064 /nfs/dbraw/zinc/73/10/64/339731064.db2.gz YWGFCCZCXLJPIO-GFCCVEGCSA-N 0 3 316.351 4.003 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2NCc1cc2ccc(F)cc2[nH]1 ZINC000486709313 339732077 /nfs/dbraw/zinc/73/20/77/339732077.db2.gz QYBIVOMXNYFRMQ-SFHVURJKSA-N 0 3 324.399 4.483 20 0 DIADHN COCc1cnc(CN[C@H]2CCC[C@H](c3ccccc3)C2)s1 ZINC000486857097 339736534 /nfs/dbraw/zinc/73/65/34/339736534.db2.gz QHJHNJPZRNEUFU-HOTGVXAUSA-N 0 3 316.470 4.106 20 0 DIADHN C[C@H](NC/C=C/c1ccncc1)c1ccc(Br)cc1 ZINC000486947803 339739473 /nfs/dbraw/zinc/73/94/73/339739473.db2.gz WGFXZESTUSPOCZ-IBUXWKBASA-N 0 3 317.230 4.208 20 0 DIADHN CCOc1ccc(CNCCc2csc(C(C)C)n2)cc1F ZINC000486975089 339740977 /nfs/dbraw/zinc/74/09/77/339740977.db2.gz WTEZYNJZVJSHBJ-UHFFFAOYSA-N 0 3 322.449 4.137 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NC/C=C\c1ccncc1 ZINC000486977412 339741058 /nfs/dbraw/zinc/74/10/58/339741058.db2.gz DXOBMYIXBRQDPQ-RXYHWBRQSA-N 0 3 300.402 4.061 20 0 DIADHN Clc1ccsc1CN[C@@H]1CCCN(c2ccccc2)C1 ZINC000487310097 339750582 /nfs/dbraw/zinc/75/05/82/339750582.db2.gz XDRYYQCTGKIGQG-CYBMUJFWSA-N 0 3 306.862 4.160 20 0 DIADHN C[C@@H](NCCc1nc2ccccc2n1C)c1ccccc1Cl ZINC000487943688 339766515 /nfs/dbraw/zinc/76/65/15/339766515.db2.gz IVIOEWKKWRTHKJ-CYBMUJFWSA-N 0 3 313.832 4.120 20 0 DIADHN COCCSCCCN[C@H](c1ccc(F)cc1F)C(C)C ZINC000488140781 339771612 /nfs/dbraw/zinc/77/16/12/339771612.db2.gz CQCJDODNNLQQJF-INIZCTEOSA-N 0 3 317.445 4.021 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2nccc3ccccc32)CS1 ZINC000488424643 339781259 /nfs/dbraw/zinc/78/12/59/339781259.db2.gz YJHTZFFACNBRKP-PBHICJAKSA-N 0 3 300.471 4.245 20 0 DIADHN COc1ccc([C@H](NC[C@H]2C[C@H]2C)c2ccccc2OC)cc1 ZINC000488447368 339782964 /nfs/dbraw/zinc/78/29/64/339782964.db2.gz IWXRLMXGQPFJNL-KKVAFCGZSA-N 0 3 311.425 4.039 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000488454421 339783524 /nfs/dbraw/zinc/78/35/24/339783524.db2.gz CXLQTSUZSLRSPR-WMLDXEAASA-N 0 3 302.368 4.333 20 0 DIADHN C[C@@H](Cc1cnn(C)c1)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000507643964 339819474 /nfs/dbraw/zinc/81/94/74/339819474.db2.gz JPPRRGCPJHTVQJ-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(OC(C)C)nc1)[C@@H](C)C2 ZINC000490114703 339826242 /nfs/dbraw/zinc/82/62/42/339826242.db2.gz SILXIORDWFRGBB-YWZLYKJASA-N 0 3 310.441 4.200 20 0 DIADHN CC[C@@H](NCCc1ccc2ccccc2n1)c1ccc(F)cn1 ZINC000490118541 339826303 /nfs/dbraw/zinc/82/63/03/339826303.db2.gz LHZPEALSJWBQKU-QGZVFWFLSA-N 0 3 309.388 4.052 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OC(C)C)nc1)[C@H](C)C2 ZINC000490114700 339826326 /nfs/dbraw/zinc/82/63/26/339826326.db2.gz SILXIORDWFRGBB-FOIQADDNSA-N 0 3 310.441 4.200 20 0 DIADHN CC1(NC(=O)c2ccc(CN3CCCCC3)cc2)CCCCC1 ZINC000490128680 339826582 /nfs/dbraw/zinc/82/65/82/339826582.db2.gz LUNDYDZWGRXSGP-UHFFFAOYSA-N 0 3 314.473 4.125 20 0 DIADHN CC[C@H](NCCCCOc1ccc(C)cc1)c1ccc(F)cn1 ZINC000490138074 339826803 /nfs/dbraw/zinc/82/68/03/339826803.db2.gz TYTOQTJKTOILFQ-SFHVURJKSA-N 0 3 316.420 4.429 20 0 DIADHN CC[C@@H](NCCCCOc1ccc(C)cc1)c1ccc(F)cn1 ZINC000490138073 339826863 /nfs/dbraw/zinc/82/68/63/339826863.db2.gz TYTOQTJKTOILFQ-GOSISDBHSA-N 0 3 316.420 4.429 20 0 DIADHN Fc1cccc([C@H](NC[C@@H]2CCCO2)c2cccc(Cl)c2)c1 ZINC000491271349 339855798 /nfs/dbraw/zinc/85/57/98/339855798.db2.gz OBPQHVVASHESPW-ZWKOTPCHSA-N 0 3 319.807 4.337 20 0 DIADHN C[C@@H](NC1CC(OC(C)(C)C)C1)c1ccccc1OC(F)F ZINC000491317665 339857820 /nfs/dbraw/zinc/85/78/20/339857820.db2.gz WGGALLIZWQCRLR-PNESKVBLSA-N 0 3 313.388 4.285 20 0 DIADHN COCc1ccc(CNC2(Cc3ccc(Cl)cc3)CCC2)o1 ZINC000491582922 339867901 /nfs/dbraw/zinc/86/79/01/339867901.db2.gz DEDWOTBAPRVPSV-UHFFFAOYSA-N 0 3 319.832 4.334 20 0 DIADHN COc1cccc(OC)c1CNC[C@@H]1CCCCC(F)(F)C1 ZINC000491596311 339868344 /nfs/dbraw/zinc/86/83/44/339868344.db2.gz JFYRILXZDCPGDR-CYBMUJFWSA-N 0 3 313.388 4.009 20 0 DIADHN C[C@@H](NCc1ccccc1Cl)[C@@H]1OCCc2sccc21 ZINC000492193293 339893853 /nfs/dbraw/zinc/89/38/53/339893853.db2.gz NYSYDLFTBXTXGY-BZNIZROVSA-N 0 3 307.846 4.194 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2CC[C@H](Cc3ccccc3)C2)o1 ZINC000492278134 339896301 /nfs/dbraw/zinc/89/63/01/339896301.db2.gz VAJHPGSOBOQGKG-QZTJIDSGSA-N 0 3 312.457 4.437 20 0 DIADHN CCCc1nc(C)c(CNC[C@@H](c2ccccc2)C(C)C)o1 ZINC000492313789 339897418 /nfs/dbraw/zinc/89/74/18/339897418.db2.gz PWSPRYOOMIXBJX-QGZVFWFLSA-N 0 3 300.446 4.465 20 0 DIADHN Clc1cc2c(s1)CCC[C@@H]2NC/C=C\c1ccncc1 ZINC000492357083 339898493 /nfs/dbraw/zinc/89/84/93/339898493.db2.gz MEWMJNNUTNHDTM-SFAKSCPVSA-N 0 3 304.846 4.477 20 0 DIADHN Cc1nnsc1CNC[C@H](C)Cc1ccc(C(C)(C)C)cc1 ZINC000492420542 339900237 /nfs/dbraw/zinc/90/02/37/339900237.db2.gz ZMIJGQWISBBYBM-CYBMUJFWSA-N 0 3 317.502 4.112 20 0 DIADHN CC[C@H](O)CCN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493009385 339914796 /nfs/dbraw/zinc/91/47/96/339914796.db2.gz NQDCBDWDWPTNCX-ROUUACIJSA-N 0 3 303.833 4.180 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccc(C)cc1)c1ccc(F)cn1 ZINC000493084410 339916805 /nfs/dbraw/zinc/91/68/05/339916805.db2.gz ZQCQGBIHLKYEBM-PBHICJAKSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1ccccc1F ZINC000493105832 339917438 /nfs/dbraw/zinc/91/74/38/339917438.db2.gz RXJYQCNFHXJFOT-ZBFHGGJFSA-N 0 3 320.383 4.258 20 0 DIADHN CC[C@@H](O)CCN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493132516 339918058 /nfs/dbraw/zinc/91/80/58/339918058.db2.gz SNMPMMRSKUXCCE-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN CC[C@H](O)CCN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493132521 339918060 /nfs/dbraw/zinc/91/80/60/339918060.db2.gz SNMPMMRSKUXCCE-ZWKOTPCHSA-N 0 3 321.823 4.319 20 0 DIADHN CC[C@H](NCC1(c2cccc(F)c2)CC1)c1ccc(F)cn1 ZINC000493144086 339918250 /nfs/dbraw/zinc/91/82/50/339918250.db2.gz CMNRDXOYHXJXOI-INIZCTEOSA-N 0 3 302.368 4.132 20 0 DIADHN C[C@]1(CNC(c2ccc(F)cc2)c2ccc(F)cc2)CCOC1 ZINC000493153245 339918548 /nfs/dbraw/zinc/91/85/48/339918548.db2.gz SUQDYYDIKQMNGL-LJQANCHMSA-N 0 3 317.379 4.070 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(N3CCOC3=O)c2)c(C)c1 ZINC000493445863 339925882 /nfs/dbraw/zinc/92/58/82/339925882.db2.gz UQNYGTFBXDGKTB-MRXNPFEDSA-N 0 3 324.424 4.111 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1cnc2ccccc2c1 ZINC000493893809 339935925 /nfs/dbraw/zinc/93/59/25/339935925.db2.gz JEEDHURAVIVIOJ-QRWLVFNGSA-N 0 3 306.409 4.101 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCCOC1CCCCCC1 ZINC000493897253 339936098 /nfs/dbraw/zinc/93/60/98/339936098.db2.gz MSSQSFTVWIWSPQ-LPHOPBHVSA-N 0 3 305.462 4.092 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494002249 339938647 /nfs/dbraw/zinc/93/86/47/339938647.db2.gz ACELYPNXZXIKAL-LRDDRELGSA-N 0 3 322.811 4.382 20 0 DIADHN c1ccc([C@@H](NCC2Cc3ccccc3C2)c2ccccn2)cc1 ZINC000494038254 339939569 /nfs/dbraw/zinc/93/95/69/339939569.db2.gz KKJMYXNQTHWUKT-JOCHJYFZSA-N 0 3 314.432 4.176 20 0 DIADHN Cc1nc2ccc(CNCc3nccc4ccccc43)cc2s1 ZINC000494116564 339941505 /nfs/dbraw/zinc/94/15/05/339941505.db2.gz MTKNCODHKBPYJU-UHFFFAOYSA-N 0 3 319.433 4.443 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3nc(C)sc3c2)cc1 ZINC000494322995 339946573 /nfs/dbraw/zinc/94/65/73/339946573.db2.gz NUCKLURQVLMLSE-GFCCVEGCSA-N 0 3 312.438 4.464 20 0 DIADHN CCC[C@H](C)[C@@H](CC)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494345860 339947074 /nfs/dbraw/zinc/94/70/74/339947074.db2.gz FARPGJQEYULWAQ-SOUVJXGZSA-N 0 3 315.465 4.041 20 0 DIADHN Cc1ccnc(-c2nnc([C@H](C)[NH2+][C@@H](C)CCCC(C)C)[n-]2)c1 ZINC000494367714 339947597 /nfs/dbraw/zinc/94/75/97/339947597.db2.gz NXSTZBVUBVRQCX-GJZGRUSLSA-N 0 3 315.465 4.041 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCc1cncc(F)c1 ZINC000494603443 339954752 /nfs/dbraw/zinc/95/47/52/339954752.db2.gz MAPLRNNVUFRRMB-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2OC1)c1ccc(F)cc1F ZINC000494602600 339954811 /nfs/dbraw/zinc/95/48/11/339954811.db2.gz JMYKFIGZNBWHGF-SWLSCSKDSA-N 0 3 303.352 4.009 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C(=O)N(C)C)cc1)c1ccc(C)cc1 ZINC000494887017 339963562 /nfs/dbraw/zinc/96/35/62/339963562.db2.gz BRCCEKKBHUIYLC-JXFKEZNVSA-N 0 3 324.468 4.499 20 0 DIADHN COc1cccc(Oc2ncccc2CN[C@@H]2C[C@H](C)[C@H]2C)c1 ZINC000495057869 339971673 /nfs/dbraw/zinc/97/16/73/339971673.db2.gz HKXKYVXWXLJANA-PMUMKWKESA-N 0 3 312.413 4.017 20 0 DIADHN C[C@@H]1C[C@@H](NCc2csc(CCc3ccccc3)n2)[C@H]1C ZINC000495054927 339971691 /nfs/dbraw/zinc/97/16/91/339971691.db2.gz XUWVVQHSYDNCJN-JKIFEVAISA-N 0 3 300.471 4.062 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@H]3CCc4ccc(C(C)C)cc43)cc12 ZINC000495150768 339976494 /nfs/dbraw/zinc/97/64/94/339976494.db2.gz LJFSESAMKSZXAO-IBGZPJMESA-N 0 3 320.440 4.167 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccccc1F)c1ccc(C)o1 ZINC000495419211 339983869 /nfs/dbraw/zinc/98/38/69/339983869.db2.gz AACFXVPTNRCNDX-RDTXWAMCSA-N 0 3 319.420 4.416 20 0 DIADHN COCC[C@@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1ccc(C)o1 ZINC000495431530 339984252 /nfs/dbraw/zinc/98/42/52/339984252.db2.gz DDGJNUXHKGIMGM-IAGOWNOFSA-N 0 3 319.832 4.076 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)c1ccoc1 ZINC000496022178 339996785 /nfs/dbraw/zinc/99/67/85/339996785.db2.gz CLGNXUQJQLIZBY-OFQRWUPVSA-N 0 3 322.449 4.014 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(C(C)(C)C)cc2)c1 ZINC000498605092 340021011 /nfs/dbraw/zinc/02/10/11/340021011.db2.gz JDOLUGMKXUJDGE-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN CC(C)(C)OC(=O)CCNC1c2ccccc2-c2ccccc21 ZINC000498636444 340021299 /nfs/dbraw/zinc/02/12/99/340021299.db2.gz HTMAJWVRINGJIM-UHFFFAOYSA-N 0 3 309.409 4.078 20 0 DIADHN Cc1noc(CCN[C@@H](c2cc3ccccc3o2)C(C)(C)C)n1 ZINC000498783174 340023194 /nfs/dbraw/zinc/02/31/94/340023194.db2.gz HEAMGHHVNKQEDV-KRWDZBQOSA-N 0 3 313.401 4.044 20 0 DIADHN CC(C)[C@H](NCCc1cccc(F)c1F)c1ccc(F)cn1 ZINC000498792206 340023267 /nfs/dbraw/zinc/02/32/67/340023267.db2.gz XXUJXVFBGZTUEW-KRWDZBQOSA-N 0 3 308.347 4.028 20 0 DIADHN CC(C)[C@@H](NCCc1cccc(F)c1F)c1ccc(F)cn1 ZINC000498792207 340023362 /nfs/dbraw/zinc/02/33/62/340023362.db2.gz XXUJXVFBGZTUEW-QGZVFWFLSA-N 0 3 308.347 4.028 20 0 DIADHN CCC[C@H](NC(C)C)C(=O)Nc1cccc(CSCC)c1 ZINC000498918016 340025860 /nfs/dbraw/zinc/02/58/60/340025860.db2.gz OQZSQHBKKMHTFC-INIZCTEOSA-N 0 3 308.491 4.045 20 0 DIADHN COC[C@@H](N[C@@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000498920598 340025984 /nfs/dbraw/zinc/02/59/84/340025984.db2.gz UTVUKWMUCJEUKF-QZTJIDSGSA-N 0 3 315.388 4.332 20 0 DIADHN OC[C@H](CNC1c2ccccc2-c2ccccc21)c1ccccc1 ZINC000498939631 340026727 /nfs/dbraw/zinc/02/67/27/340026727.db2.gz GSQRZJVCYAWSIU-KRWDZBQOSA-N 0 3 315.416 4.122 20 0 DIADHN CN(Cc1ccc(Oc2ccccc2)nn1)CC1CCCCC1 ZINC000498948658 340026869 /nfs/dbraw/zinc/02/68/69/340026869.db2.gz RPKHXOTXAKLBAD-UHFFFAOYSA-N 0 3 311.429 4.281 20 0 DIADHN Cc1nccn1CCN1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000499051856 340028535 /nfs/dbraw/zinc/02/85/35/340028535.db2.gz DEEKUCXEFTYRHV-SFHVURJKSA-N 0 3 317.864 4.462 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@H]4CCC[C@@H]43)nn2)cc1 ZINC000499054441 340028768 /nfs/dbraw/zinc/02/87/68/340028768.db2.gz LGJFWGHLCDJLNT-QAPCUYQASA-N 0 3 309.413 4.033 20 0 DIADHN CC1(C)CCCN(Cc2ccc(Oc3ccccc3)nn2)CC1 ZINC000499069797 340029025 /nfs/dbraw/zinc/02/90/25/340029025.db2.gz NHKRLSKTNGWUNK-UHFFFAOYSA-N 0 3 311.429 4.281 20 0 DIADHN Fc1ccc(CCN[C@@H](c2ccc(F)cc2)c2cccnc2)cc1 ZINC000499148183 340031082 /nfs/dbraw/zinc/03/10/82/340031082.db2.gz CAUYQOHVIJXGTQ-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CCC[C@@H](C)CC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC000499263778 340033624 /nfs/dbraw/zinc/03/36/24/340033624.db2.gz QTTUJCLZSFTUPM-OAHLLOKOSA-N 0 3 324.896 4.057 20 0 DIADHN c1ccc(N[C@@H]2CCCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000499560352 340039421 /nfs/dbraw/zinc/03/94/21/340039421.db2.gz FOFVOSISBQDZJB-HXUWFJFHSA-N 0 3 317.436 4.311 20 0 DIADHN c1ccc(N[C@H]2CCCN(Cc3cnc4ccccc4c3)C2)cc1 ZINC000499560347 340039472 /nfs/dbraw/zinc/03/94/72/340039472.db2.gz FOFVOSISBQDZJB-FQEVSTJZSA-N 0 3 317.436 4.311 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc(OC)nc1C)c1ccccc1 ZINC000499598771 340040270 /nfs/dbraw/zinc/04/02/70/340040270.db2.gz AWVMLJUDMLUYAN-GOSISDBHSA-N 0 3 313.445 4.061 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cnc3ccccc3c2)cc1 ZINC000499602675 340040582 /nfs/dbraw/zinc/04/05/82/340040582.db2.gz ONZNNBPJPKYYQQ-HNNXBMFYSA-N 0 3 306.409 4.484 20 0 DIADHN CCCC[C@H](NCc1ccnn1C(C)C)c1ccc(OC)cc1 ZINC000499604426 340040625 /nfs/dbraw/zinc/04/06/25/340040625.db2.gz WEBKWTIMIQWSCW-IBGZPJMESA-N 0 3 315.461 4.494 20 0 DIADHN CCCC[C@@H](NCc1ccnn1C(C)C)c1ccc(OC)cc1 ZINC000499604427 340040640 /nfs/dbraw/zinc/04/06/40/340040640.db2.gz WEBKWTIMIQWSCW-LJQANCHMSA-N 0 3 315.461 4.494 20 0 DIADHN CC(C)C[C@H](C)CN[C@H](c1nc(-c2ccncc2)no1)C(C)C ZINC000499608798 340040884 /nfs/dbraw/zinc/04/08/84/340040884.db2.gz GWDRUGMVESIFJS-HOCLYGCPSA-N 0 3 316.449 4.101 20 0 DIADHN Cn1cc([C@@H](NCCCC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000499632291 340041770 /nfs/dbraw/zinc/04/17/70/340041770.db2.gz FEBXHQJSLAUPNY-KRWDZBQOSA-N 0 3 303.425 4.065 20 0 DIADHN CSc1ccccc1CN(C)CCCCC1CCOCC1 ZINC000499770178 340044439 /nfs/dbraw/zinc/04/44/39/340044439.db2.gz DIOXHBDBPGUGJG-UHFFFAOYSA-N 0 3 307.503 4.437 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(C[C@H]2CCCCO2)CC1 ZINC000500149068 340051281 /nfs/dbraw/zinc/05/12/81/340051281.db2.gz ARYLIHLZBQBVDZ-MRXNPFEDSA-N 0 3 311.828 4.170 20 0 DIADHN CC(C)(C)c1nc(CNC[C@@H]2CCCC(F)(F)C2)cs1 ZINC000500375995 340055973 /nfs/dbraw/zinc/05/59/73/340055973.db2.gz JOWFUTQOTDMYAB-LLVKDONJSA-N 0 3 302.434 4.356 20 0 DIADHN CCOC[C@H](C)NC/C(C)=C/c1ccccc1C(F)(F)F ZINC000500356781 340055578 /nfs/dbraw/zinc/05/55/78/340055578.db2.gz JKLZZASPZMKBNF-SRXBQZRASA-N 0 3 301.352 4.123 20 0 DIADHN CC(C)(C)c1nc(CNC[C@H]2CCCC(F)(F)C2)cs1 ZINC000500375996 340055976 /nfs/dbraw/zinc/05/59/76/340055976.db2.gz JOWFUTQOTDMYAB-NSHDSACASA-N 0 3 302.434 4.356 20 0 DIADHN FC1(F)CCC[C@@H](CNCc2csc(-c3ccccn3)n2)C1 ZINC000500380898 340056054 /nfs/dbraw/zinc/05/60/54/340056054.db2.gz ZUPWWZWYFLVNOE-GFCCVEGCSA-N 0 3 323.412 4.120 20 0 DIADHN Fc1ccc2nc(CNCC3CCC(F)(F)CC3)sc2c1 ZINC000500425718 340058183 /nfs/dbraw/zinc/05/81/83/340058183.db2.gz FBFXLHZYCYSVOT-UHFFFAOYSA-N 0 3 314.376 4.351 20 0 DIADHN CN(C)[C@H](CNc1ccnc2cc(F)ccc21)c1ccccc1 ZINC000500444586 340058908 /nfs/dbraw/zinc/05/89/08/340058908.db2.gz VAQAIZSKPXOYKY-LJQANCHMSA-N 0 3 309.388 4.089 20 0 DIADHN Clc1ccc2c(c1)C[C@@H](NCc1ccc3ccccc3n1)C2 ZINC000500451662 340059252 /nfs/dbraw/zinc/05/92/52/340059252.db2.gz NEIKPGORUSZPTH-SFHVURJKSA-N 0 3 308.812 4.145 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nc(-c2ccc(Cl)cc2)no1 ZINC000500456567 340059342 /nfs/dbraw/zinc/05/93/42/340059342.db2.gz KYZSDKVOPJHHSC-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)C[C@@H]1CCCN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000500461281 340059603 /nfs/dbraw/zinc/05/96/03/340059603.db2.gz WLILEGJULAWQBP-INIZCTEOSA-N 0 3 316.489 4.433 20 0 DIADHN CC(C)(C)c1nc(CNCCc2cccc3[nH]ccc32)cs1 ZINC000500464319 340059842 /nfs/dbraw/zinc/05/98/42/340059842.db2.gz WRKORIYWBXAMCI-UHFFFAOYSA-N 0 3 313.470 4.254 20 0 DIADHN Cc1cc([C@@H](C)NCC(c2ccccc2)c2ccccc2)no1 ZINC000500501660 340060913 /nfs/dbraw/zinc/06/09/13/340060913.db2.gz JNQYXMJYKZLEKH-MRXNPFEDSA-N 0 3 306.409 4.466 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1ccc2c[nH]nc2c1)c1cccc(C)c1 ZINC000500527788 340061389 /nfs/dbraw/zinc/06/13/89/340061389.db2.gz DXYPULFUQRYSGT-XLIONFOSSA-N 0 3 305.425 4.286 20 0 DIADHN COc1ccc([C@H]2C[C@H](NCc3cc(F)cc(F)c3)C2)cc1F ZINC000500527344 340061407 /nfs/dbraw/zinc/06/14/07/340061407.db2.gz KIFRDWCFJOEQKK-SAZUREKKSA-N 0 3 321.342 4.148 20 0 DIADHN CCCC[C@@H](C)C(=O)NC[C@@H](c1ccsc1)N(CC)CC ZINC000500527393 340061417 /nfs/dbraw/zinc/06/14/17/340061417.db2.gz KRHKXZDXLRPUJO-ZBFHGGJFSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1ccc2ncc(CN[C@H](c3ccc(F)cc3)C(C)C)n2c1 ZINC000500561376 340062253 /nfs/dbraw/zinc/06/22/53/340062253.db2.gz SDVXYPMEJNFHEJ-IBGZPJMESA-N 0 3 311.404 4.269 20 0 DIADHN CCC[C@H](N[C@H]1C[C@@H](OCC)C12CCCC2)c1ccccn1 ZINC000500645974 340064456 /nfs/dbraw/zinc/06/44/56/340064456.db2.gz DIKVNJPTJOLRHD-OKZBNKHCSA-N 0 3 302.462 4.250 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3c(F)cccc3F)o2)CC1 ZINC000500739615 340066253 /nfs/dbraw/zinc/06/62/53/340066253.db2.gz ZNMSQMQJLHEEKL-UHFFFAOYSA-N 0 3 321.367 4.226 20 0 DIADHN COC1(C)CCN(Cc2ccc(-c3ccc(F)cc3C)o2)CC1 ZINC000500753789 340066442 /nfs/dbraw/zinc/06/64/42/340066442.db2.gz VIKDSRIICRLUHR-UHFFFAOYSA-N 0 3 317.404 4.395 20 0 DIADHN CCCCOc1ccc(CN2CCC(C)(COC)CC2)cc1 ZINC000500752216 340066504 /nfs/dbraw/zinc/06/65/04/340066504.db2.gz SHGMFAWSRZSYGK-UHFFFAOYSA-N 0 3 305.462 4.114 20 0 DIADHN COc1ccccc1CN(CCC(C)(C)C)C[C@@H]1CCCO1 ZINC000500970803 340071200 /nfs/dbraw/zinc/07/12/00/340071200.db2.gz DQMPCESSKQCRDL-KRWDZBQOSA-N 0 3 305.462 4.112 20 0 DIADHN C[C@H](CN1CCC[C@H]1c1ncc[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000500979463 340071394 /nfs/dbraw/zinc/07/13/94/340071394.db2.gz BODPOCXKFVQBHO-DOMZBBRYSA-N 0 3 323.362 4.369 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCSC1CCCCC1 ZINC000500992568 340071740 /nfs/dbraw/zinc/07/17/40/340071740.db2.gz ZZSMSPUCCWPZHS-MRXNPFEDSA-N 0 3 307.507 4.229 20 0 DIADHN CCCN(Cc1nccn1C(C)C)[C@H]1CCc2ccccc2C1 ZINC000501295394 340078187 /nfs/dbraw/zinc/07/81/87/340078187.db2.gz RLBPCKZVVUKKFJ-IBGZPJMESA-N 0 3 311.473 4.234 20 0 DIADHN Brc1ccc(-c2ccc(CNC3CCC3)o2)cc1 ZINC000501361024 340079193 /nfs/dbraw/zinc/07/91/93/340079193.db2.gz MXJAYXWEUXEVIS-UHFFFAOYSA-N 0 3 306.203 4.351 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@H](c1nnc2ccccn21)C(C)C ZINC000501421624 340080673 /nfs/dbraw/zinc/08/06/73/340080673.db2.gz NMWNXYIKUXCWEN-AGWAZZAUSA-N 0 3 320.440 4.120 20 0 DIADHN CC(C)OC[C@H](C)NCc1cccc(OCc2ccccc2)c1 ZINC000501421807 340080699 /nfs/dbraw/zinc/08/06/99/340080699.db2.gz JODSPOXILGJWAH-KRWDZBQOSA-N 0 3 313.441 4.169 20 0 DIADHN COc1ccc(-c2csc(CN[C@@H](C)COC(C)C)c2)cc1 ZINC000501454621 340081464 /nfs/dbraw/zinc/08/14/64/340081464.db2.gz VFCGFJNZFQICDX-AWEZNQCLSA-N 0 3 319.470 4.327 20 0 DIADHN CC(C)c1ccccc1NC(=O)NC[C@@H](C)N1CCCC[C@H]1C ZINC000501521873 340082586 /nfs/dbraw/zinc/08/25/86/340082586.db2.gz HZKFUOHPTPWSNX-HZPDHXFCSA-N 0 3 317.477 4.194 20 0 DIADHN C[C@@H]1CCC[C@H]1NCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000501931741 340090880 /nfs/dbraw/zinc/09/08/80/340090880.db2.gz XBUULKXCKMDKMS-NVXWUHKLSA-N 0 3 324.424 4.196 20 0 DIADHN C[C@@H]1CCC[C@H]1NCc1nc(-c2c(F)cccc2F)cs1 ZINC000501936786 340091079 /nfs/dbraw/zinc/09/10/79/340091079.db2.gz AZMOTSJSHLLEGG-ZWNOBZJWSA-N 0 3 308.397 4.367 20 0 DIADHN CCC[C@H](C)C(=O)N(CC)[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000501957201 340091463 /nfs/dbraw/zinc/09/14/63/340091463.db2.gz KOQVXNKAUGYACD-LNLFQRSKSA-N 0 3 316.489 4.107 20 0 DIADHN C[C@H](NC[C@H]1CCc2ccccc2C1)c1nc2c(s1)CCC2 ZINC000502016003 340093063 /nfs/dbraw/zinc/09/30/63/340093063.db2.gz IQXHGNWYTJJYSD-KBPBESRZSA-N 0 3 312.482 4.088 20 0 DIADHN C[C@H](NC1CC(c2ccc(F)cc2)C1)c1nc2c(s1)CCC2 ZINC000502028018 340093427 /nfs/dbraw/zinc/09/34/27/340093427.db2.gz CLXNWMUCVJFUPW-ZOODHJKOSA-N 0 3 316.445 4.368 20 0 DIADHN C[C@@H](NCCc1c(F)cccc1Cl)c1nc2c(s1)CCC2 ZINC000502027367 340093464 /nfs/dbraw/zinc/09/34/64/340093464.db2.gz BAIVFSMHSFCLCX-SNVBAGLBSA-N 0 3 324.852 4.318 20 0 DIADHN CC(C)CO[C@@H]1C[C@H](N[C@@H](C)c2nc3c(s2)CCC3)C1(C)C ZINC000502051001 340094075 /nfs/dbraw/zinc/09/40/75/340094075.db2.gz LIBWXZSFRDAKEI-VBNZEHGJSA-N 0 3 322.518 4.122 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@H](c2ncc3n2CCCC3)C1 ZINC000502321407 340099749 /nfs/dbraw/zinc/09/97/49/340099749.db2.gz NVANNVCEACZKBP-SMTIMMHKSA-N 0 3 321.468 4.112 20 0 DIADHN CC(C)CCCN[C@H](C(=O)NCc1ccccc1)c1ccccc1 ZINC000502383768 340101129 /nfs/dbraw/zinc/10/11/29/340101129.db2.gz CQDKJDOENRTWCK-FQEVSTJZSA-N 0 3 324.468 4.070 20 0 DIADHN COc1cccc(OCCCN[C@@H](C)c2cc(C)c(C)o2)c1 ZINC000502389280 340101353 /nfs/dbraw/zinc/10/13/53/340101353.db2.gz GYYVACQBAKFYSO-AWEZNQCLSA-N 0 3 303.402 4.025 20 0 DIADHN Cc1cc([C@@H](C)NC2CCC(c3ccc(F)cc3)CC2)nn1C ZINC000502412583 340102392 /nfs/dbraw/zinc/10/23/92/340102392.db2.gz DNUAVGCKVGMRIC-MXWWQKGMSA-N 0 3 315.436 4.245 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](C)N2CCc3sccc3C2)oc1C ZINC000502421968 340102872 /nfs/dbraw/zinc/10/28/72/340102872.db2.gz ZPONYEOWEGZEFF-KGLIPLIRSA-N 0 3 318.486 4.055 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@@H](C)c1cnn(C2CCCC2)c1 ZINC000502431709 340103262 /nfs/dbraw/zinc/10/32/62/340103262.db2.gz ZZYCIICZXDFQNH-IPELMVKDSA-N 0 3 319.493 4.243 20 0 DIADHN CCC(CC)[C@@H](CCN[C@H](C)c1cnn(C2CCCC2)c1)OC ZINC000502436345 340103484 /nfs/dbraw/zinc/10/34/84/340103484.db2.gz QPAWDSHVVNXSKD-DNVCBOLYSA-N 0 3 321.509 4.490 20 0 DIADHN CCC(CC)[C@H](CCN[C@@H](C)c1cnn(C2CCCC2)c1)OC ZINC000502436347 340103504 /nfs/dbraw/zinc/10/35/04/340103504.db2.gz QPAWDSHVVNXSKD-KXBFYZLASA-N 0 3 321.509 4.490 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2CCCN(c3ccccc3)C2)oc1C ZINC000502445405 340103945 /nfs/dbraw/zinc/10/39/45/340103945.db2.gz NSSCUAOCNBQJLA-SJLPKXTDSA-N 0 3 312.457 4.464 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H]2C[C@@H](C(C)C)c3ccccc32)nn1C ZINC000502449568 340104035 /nfs/dbraw/zinc/10/40/35/340104035.db2.gz YROQFTZJTZBFEA-GJYPPUQNSA-N 0 3 311.473 4.306 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2ccccc2)C(C)(C)CO)oc1C ZINC000502457376 340104443 /nfs/dbraw/zinc/10/44/43/340104443.db2.gz WTSKOGBFLOVMJD-CRAIPNDOSA-N 0 3 315.457 4.349 20 0 DIADHN C[C@H](NCCc1cc(F)ccc1F)c1cnn(C2CCCC2)c1 ZINC000502461651 340104604 /nfs/dbraw/zinc/10/46/04/340104604.db2.gz KOZHTPJHKNGJCZ-ZDUSSCGKSA-N 0 3 319.399 4.170 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3ccc(C)c(C)c3)CCC2)nn1C ZINC000502465995 340104931 /nfs/dbraw/zinc/10/49/31/340104931.db2.gz WIMSQXYGPUYZCH-QGZVFWFLSA-N 0 3 311.473 4.118 20 0 DIADHN CC[C@H]1C[C@@]1(NCc1cccc(NC(C)=O)c1)c1cccc(C)c1 ZINC000502483829 340105719 /nfs/dbraw/zinc/10/57/19/340105719.db2.gz KROGBXPEGRQROR-RXVVDRJESA-N 0 3 322.452 4.368 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(F)cc1 ZINC000502490078 340105877 /nfs/dbraw/zinc/10/58/77/340105877.db2.gz WWKZPXLLDLWZDJ-MAUKXSAKSA-N 0 3 322.468 4.146 20 0 DIADHN COc1cccc(F)c1[C@H](C)NC[C@@H]1CCCC(F)(F)C1 ZINC000502511892 340106634 /nfs/dbraw/zinc/10/66/34/340106634.db2.gz VBLKGDWBJGNVPZ-NWDGAFQWSA-N 0 3 301.352 4.310 20 0 DIADHN CCSCCCN[C@H](COC)c1cccc(C(F)(F)F)c1 ZINC000502549556 340107425 /nfs/dbraw/zinc/10/74/25/340107425.db2.gz AMZAUBNCPUFUGQ-CQSZACIVSA-N 0 3 321.408 4.126 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN2Cc2cn3cc(C)ccc3n2)cc1 ZINC000502551823 340107506 /nfs/dbraw/zinc/10/75/06/340107506.db2.gz ADSWOINWKHEGIQ-FQEVSTJZSA-N 0 3 319.452 4.158 20 0 DIADHN COC[C@H](NC[C@@H]1CCCC(F)(F)C1)c1ccc(F)c(F)c1 ZINC000502581356 340108321 /nfs/dbraw/zinc/10/83/21/340108321.db2.gz XYOIVKQJEZPNLC-ABAIWWIYSA-N 0 3 319.342 4.067 20 0 DIADHN C[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1cc(F)ccc1F ZINC000502589995 340108504 /nfs/dbraw/zinc/10/85/04/340108504.db2.gz BETGXRBMIRESIB-OWYVNGRQSA-N 0 3 305.315 4.166 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1F)CC1CCCCC1 ZINC000502667642 340110040 /nfs/dbraw/zinc/11/00/40/340110040.db2.gz QJYDWBDYZIGTAZ-UHFFFAOYSA-N 0 3 306.425 4.057 20 0 DIADHN CC[C@@H]1CCCCN1CCNC(=O)Nc1cc(Cl)ccc1C ZINC000502704116 340111405 /nfs/dbraw/zinc/11/14/05/340111405.db2.gz XDFGQLNLCTZTGO-OAHLLOKOSA-N 0 3 323.868 4.034 20 0 DIADHN COCC[C@@H]1CCC[C@H]1N[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000502709933 340111774 /nfs/dbraw/zinc/11/17/74/340111774.db2.gz AOZRFUKFBPWHAQ-HZSPNIEDSA-N 0 3 310.507 4.296 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2c(F)cccc2F)N(C)C)oc1C ZINC000502727025 340112400 /nfs/dbraw/zinc/11/24/00/340112400.db2.gz MCCIXZCOTDGPJT-LRDDRELGSA-N 0 3 322.399 4.128 20 0 DIADHN CCN(Cc1ccncc1)[C@H](C)c1ccc(Br)cc1 ZINC000502732395 340112620 /nfs/dbraw/zinc/11/26/20/340112620.db2.gz KFZLQHYEUUEYGN-CYBMUJFWSA-N 0 3 319.246 4.427 20 0 DIADHN CCC(C)(C)OCCN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000308531253 340118056 /nfs/dbraw/zinc/11/80/56/340118056.db2.gz ANDXYIXENFBNQD-GFCCVEGCSA-N 0 3 301.377 4.144 20 0 DIADHN Cc1nc(C(C)(C)NC[C@H]2C[C@@H]2c2ccccc2)sc1C ZINC000503047249 340119632 /nfs/dbraw/zinc/11/96/32/340119632.db2.gz OEEIWBRFCUYJOX-HZPDHXFCSA-N 0 3 300.471 4.388 20 0 DIADHN c1cncc(-c2cccc(CN(C[C@H]3CCCCO3)C3CC3)c2)c1 ZINC000503072383 340120344 /nfs/dbraw/zinc/12/03/44/340120344.db2.gz CYLULGFSIRTRLT-OAQYLSRUSA-N 0 3 322.452 4.282 20 0 DIADHN Cc1nc(CCN2CCC(C)(c3ccccc3)CC2)cs1 ZINC000503076653 340120534 /nfs/dbraw/zinc/12/05/34/340120534.db2.gz YTQVIWNMODQMFQ-UHFFFAOYSA-N 0 3 300.471 4.048 20 0 DIADHN CC[C@@H]1C[C@H](CN2CC[C@@](F)(c3ccccc3C)C2)CCO1 ZINC000503101167 340120976 /nfs/dbraw/zinc/12/09/76/340120976.db2.gz HEMAIEYNLGTASB-LMMKCTJWSA-N 0 3 305.437 4.071 20 0 DIADHN CC[C@@H]1C[C@@H](CN2CC[C@](F)(c3ccccc3C)C2)CCO1 ZINC000503101172 340120987 /nfs/dbraw/zinc/12/09/87/340120987.db2.gz HEMAIEYNLGTASB-YQVWRLOYSA-N 0 3 305.437 4.071 20 0 DIADHN Clc1ccccc1C1(CNCc2ncc[nH]2)CCCCC1 ZINC000503286317 340124653 /nfs/dbraw/zinc/12/46/53/340124653.db2.gz WUNJYFCGIPZNEH-UHFFFAOYSA-N 0 3 303.837 4.055 20 0 DIADHN CCOCCN(C)C/C(C)=C/c1ccccc1C(F)(F)F ZINC000503559947 340130654 /nfs/dbraw/zinc/13/06/54/340130654.db2.gz OUBLMGPURFPILP-ACCUITESSA-N 0 3 301.352 4.077 20 0 DIADHN CC[C@@H](NCc1ccc(Oc2ccccc2)o1)[C@@H]1CCCO1 ZINC000503562810 340130708 /nfs/dbraw/zinc/13/07/08/340130708.db2.gz NOXHIZWEBHOTFD-SJORKVTESA-N 0 3 301.386 4.119 20 0 DIADHN CCc1cc(OC)ccc1CN1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000503577435 340131403 /nfs/dbraw/zinc/13/14/03/340131403.db2.gz MFARNLAJPUEWTR-IAQYHMDHSA-N 0 3 301.352 4.030 20 0 DIADHN Fc1cc(-c2ccccc2)ccc1CNCCC1CCOCC1 ZINC000503581736 340131451 /nfs/dbraw/zinc/13/14/51/340131451.db2.gz QUVMTILURFYWTI-UHFFFAOYSA-N 0 3 313.416 4.399 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2)cc1 ZINC000503587396 340131677 /nfs/dbraw/zinc/13/16/77/340131677.db2.gz TVYSZKQQWIXKBZ-KGLIPLIRSA-N 0 3 314.454 4.086 20 0 DIADHN C[C@H](C[C@H]1CCCO1)NCc1ccc(Oc2ccccc2)o1 ZINC000503587601 340131892 /nfs/dbraw/zinc/13/18/92/340131892.db2.gz WNIYKTZPULMZIU-GDBMZVCRSA-N 0 3 301.386 4.119 20 0 DIADHN CC[C@H]1C[C@H](CNCc2cc(Cl)sc2Cl)CCO1 ZINC000503596487 340132089 /nfs/dbraw/zinc/13/20/89/340132089.db2.gz APISPTHEANRKDW-KOLCDFICSA-N 0 3 308.274 4.350 20 0 DIADHN CC[C@@H]1C[C@H](CNCc2cc(Cl)sc2Cl)CCO1 ZINC000503596488 340132113 /nfs/dbraw/zinc/13/21/13/340132113.db2.gz APISPTHEANRKDW-MWLCHTKSSA-N 0 3 308.274 4.350 20 0 DIADHN C[C@@H](NCC1CCC(F)(F)CC1)c1nc2c(s1)CCC2 ZINC000503855056 340135088 /nfs/dbraw/zinc/13/50/88/340135088.db2.gz JNOVNFYHVFVDGZ-SNVBAGLBSA-N 0 3 300.418 4.108 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000503858680 340135222 /nfs/dbraw/zinc/13/52/22/340135222.db2.gz RPXZQSQGJUVKJI-YUSALJHKSA-N 0 3 318.433 4.386 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000503856109 340135252 /nfs/dbraw/zinc/13/52/52/340135252.db2.gz NHTUZPLFGSJTHA-GAJTVXKRSA-N 0 3 319.779 4.160 20 0 DIADHN CC[C@@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccccc1F ZINC000503889715 340135902 /nfs/dbraw/zinc/13/59/02/340135902.db2.gz XLATZYPQGPAGMA-RBSFLKMASA-N 0 3 301.352 4.417 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cccc(CO)c1)c1ccc(F)cc1 ZINC000503906055 340136278 /nfs/dbraw/zinc/13/62/78/340136278.db2.gz YGIDULHCQQDGEX-AUUYWEPGSA-N 0 3 301.405 4.366 20 0 DIADHN CC(C)[C@H](N[C@H](C)c1cccc(CO)c1)c1ccc(F)cc1 ZINC000503906057 340136382 /nfs/dbraw/zinc/13/63/82/340136382.db2.gz YGIDULHCQQDGEX-KUHUBIRLSA-N 0 3 301.405 4.366 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCC[C@@H]2C)nnn1-c1ccc(Cl)cc1 ZINC000503912562 340136639 /nfs/dbraw/zinc/13/66/39/340136639.db2.gz RUTOZQQQHVKCDG-MQIPJXDCSA-N 0 3 318.852 4.068 20 0 DIADHN COc1ccc(OC)c([C@H](C)NCCc2cccc3[nH]ccc32)c1 ZINC000503913938 340136670 /nfs/dbraw/zinc/13/66/70/340136670.db2.gz WXNDOXUWOBEYKG-AWEZNQCLSA-N 0 3 324.424 4.078 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCC1(OC)CCC1 ZINC000503915430 340136703 /nfs/dbraw/zinc/13/67/03/340136703.db2.gz WHLIUGRHSQIDGO-UHFFFAOYSA-N 0 3 311.425 4.021 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)[C@@H]3CCCOC3)cs2)cc1 ZINC000503920075 340136797 /nfs/dbraw/zinc/13/67/97/340136797.db2.gz JHBUZDRKEASURS-GDBMZVCRSA-N 0 3 316.470 4.023 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1ccc(F)cc1Cl ZINC000503946357 340138144 /nfs/dbraw/zinc/13/81/44/340138144.db2.gz JLXXWOLVUIRFOY-BZHVJNSISA-N 0 3 307.743 4.290 20 0 DIADHN C[C@H](N[C@@H]1Cc2ccc(Cl)cc2C1)c1nc2c(s1)CCC2 ZINC000503953787 340138443 /nfs/dbraw/zinc/13/84/43/340138443.db2.gz QSLBYUWEPLAUHW-IINYFYTJSA-N 0 3 318.873 4.103 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@H]3CCc3ccccc3)cn21 ZINC000503967594 340138940 /nfs/dbraw/zinc/13/89/40/340138940.db2.gz BCQFXCVIZQEQBS-FQEVSTJZSA-N 0 3 319.452 4.240 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](NC(=O)CCCC(C)(C)C)C1 ZINC000504286818 340144436 /nfs/dbraw/zinc/14/44/36/340144436.db2.gz VSANROTYPSIMDW-SJLPKXTDSA-N 0 3 316.489 4.155 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)COc2cccc(F)c2)ccc1F ZINC000504906086 340155638 /nfs/dbraw/zinc/15/56/38/340155638.db2.gz SPXKKVUDNCCHKN-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)COc2cccc(F)c2)ccc1F ZINC000504906088 340155732 /nfs/dbraw/zinc/15/57/32/340155732.db2.gz SPXKKVUDNCCHKN-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)N(C)c2ccccc2)ccc1F ZINC000504926044 340156445 /nfs/dbraw/zinc/15/64/45/340156445.db2.gz GPAKHPPSAGFSTI-CABCVRRESA-N 0 3 316.420 4.010 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H](C)N(C)c2ccccc2)ccc1F ZINC000504926047 340156483 /nfs/dbraw/zinc/15/64/83/340156483.db2.gz GPAKHPPSAGFSTI-HUUCEWRRSA-N 0 3 316.420 4.010 20 0 DIADHN COc1cc([C@H](C)NC[C@H](C)N(C)c2ccccc2)ccc1F ZINC000504926046 340156563 /nfs/dbraw/zinc/15/65/63/340156563.db2.gz GPAKHPPSAGFSTI-GJZGRUSLSA-N 0 3 316.420 4.010 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2c2ccccc2C(F)(F)F)on1 ZINC000504930310 340156648 /nfs/dbraw/zinc/15/66/48/340156648.db2.gz OTXJLQIYTQZZTL-GOEBONIOSA-N 0 3 324.346 4.428 20 0 DIADHN CCc1ccc2occ(C(=O)Nc3ccc(CN(C)C)cc3)c2c1 ZINC000505110917 340161855 /nfs/dbraw/zinc/16/18/55/340161855.db2.gz XWMCDZCLPZHMEK-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN CCN(CC)Cc1c(C)nn(Cc2ccccc2Cl)c1C ZINC000505254653 340165053 /nfs/dbraw/zinc/16/50/53/340165053.db2.gz OJWUWSVSODCHDL-UHFFFAOYSA-N 0 3 305.853 4.043 20 0 DIADHN Cc1nc2ccccn2c1CN1CCCCC[C@H]1c1ccncc1 ZINC000505275289 340165236 /nfs/dbraw/zinc/16/52/36/340165236.db2.gz ZSFMENIDLYPZFJ-SFHVURJKSA-N 0 3 320.440 4.155 20 0 DIADHN Cc1nc2ccccn2c1CN1CCCCC[C@@H]1c1ccncc1 ZINC000505275288 340165257 /nfs/dbraw/zinc/16/52/57/340165257.db2.gz ZSFMENIDLYPZFJ-GOSISDBHSA-N 0 3 320.440 4.155 20 0 DIADHN CC(C)c1ccc(CN(CCOCC(F)(F)F)C2CC2)cc1 ZINC000505511547 340169966 /nfs/dbraw/zinc/16/99/66/340169966.db2.gz FQWFHWHWIBUEKG-UHFFFAOYSA-N 0 3 315.379 4.353 20 0 DIADHN CCCC(C)(C)CC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC000505590043 340171943 /nfs/dbraw/zinc/17/19/43/340171943.db2.gz DBZWMJJUDXZAGX-MAUKXSAKSA-N 0 3 304.478 4.010 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2C)cc(Cl)c1OC ZINC000505608486 340172684 /nfs/dbraw/zinc/17/26/84/340172684.db2.gz XOIKTXJLZJXQHA-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN C[C@H](NC(=O)CCCC(C)(C)C)[C@H](c1ccccc1)N(C)C ZINC000505614784 340173232 /nfs/dbraw/zinc/17/32/32/340173232.db2.gz VQZFTIBECWSAKX-MAUKXSAKSA-N 0 3 304.478 4.010 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccccc2N2CCCC2)cc1 ZINC000505634691 340173697 /nfs/dbraw/zinc/17/36/97/340173697.db2.gz KVHNESZOLRDJED-UHFFFAOYSA-N 0 3 324.468 4.318 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3ncccc3c2)cc1 ZINC000505634810 340173753 /nfs/dbraw/zinc/17/37/53/340173753.db2.gz OJTZKAQKIWCJTP-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN COc1ccc(CN(C)Cc2cc(F)cc3cccnc32)cc1 ZINC000505750860 340176555 /nfs/dbraw/zinc/17/65/55/340176555.db2.gz NDRMYGNHXQAASV-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC[C@@H](COc3ccccc3)C1)CC2 ZINC000505841156 340179287 /nfs/dbraw/zinc/17/92/87/340179287.db2.gz UHTWHZZUKRCJPV-FOIQADDNSA-N 0 3 311.400 4.214 20 0 DIADHN CCN(CCO)Cc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000505842730 340179402 /nfs/dbraw/zinc/17/94/02/340179402.db2.gz PEQXJQOUUYGCKY-UHFFFAOYSA-N 0 3 314.212 4.068 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@H]2/C=C/c2ccccc2)c1 ZINC000505908018 340181400 /nfs/dbraw/zinc/18/14/00/340181400.db2.gz WKYXBNCYPDNVNY-IWGBCORSSA-N 0 3 320.436 4.323 20 0 DIADHN CCOCc1cc(CN(CC)Cc2cccs2)ccc1OC ZINC000506004814 340183034 /nfs/dbraw/zinc/18/30/34/340183034.db2.gz IQIPADSURYCHPJ-UHFFFAOYSA-N 0 3 319.470 4.315 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccc(OC(F)(F)F)cc1 ZINC000506013556 340183372 /nfs/dbraw/zinc/18/33/72/340183372.db2.gz XXGBNMOHRJUSRP-MRXNPFEDSA-N 0 3 324.346 4.261 20 0 DIADHN Cn1cccc1[C@@H]1CCCN1Cc1ccc(C(F)(F)F)cc1 ZINC000506031653 340183722 /nfs/dbraw/zinc/18/37/22/340183722.db2.gz RDMAXLVJWGCYPO-INIZCTEOSA-N 0 3 308.347 4.381 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1cccc(-c2ccccn2)c1 ZINC000506031914 340183753 /nfs/dbraw/zinc/18/37/53/340183753.db2.gz SJTOBVBLCAOLTQ-OAQYLSRUSA-N 0 3 317.436 4.424 20 0 DIADHN COCCN(Cc1cccs1)C[C@H]1C[C@@H]1c1ccccc1 ZINC000506031350 340183801 /nfs/dbraw/zinc/18/38/01/340183801.db2.gz PEPXUFDRFISOPN-SJLPKXTDSA-N 0 3 301.455 4.000 20 0 DIADHN CCN(Cc1cccc(F)c1)Cc1cccc(-n2cccn2)c1 ZINC000506046593 340184210 /nfs/dbraw/zinc/18/42/10/340184210.db2.gz ZQJLZYKTMQHBPO-UHFFFAOYSA-N 0 3 309.388 4.034 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN(C)CC1CC1 ZINC000506063822 340184776 /nfs/dbraw/zinc/18/47/76/340184776.db2.gz LPXGGJABFVZMAU-UHFFFAOYSA-N 0 3 317.864 4.043 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1ccc2ncccc2c1 ZINC000506128287 340186340 /nfs/dbraw/zinc/18/63/40/340186340.db2.gz CNXFLBAHEDVQFA-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cccc3cccnc32)cc1 ZINC000506128648 340186445 /nfs/dbraw/zinc/18/64/45/340186445.db2.gz JDHHYHVBSOJQRI-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CCOc1cccc(CN(C)Cc2ccccc2N2CCCC2)c1 ZINC000506135504 340186620 /nfs/dbraw/zinc/18/66/20/340186620.db2.gz FGJOAUNKCBJTOX-UHFFFAOYSA-N 0 3 324.468 4.318 20 0 DIADHN Cc1cc(CN(C)CCOc2ccccc2F)c2ccccc2n1 ZINC000506150159 340186812 /nfs/dbraw/zinc/18/68/12/340186812.db2.gz HJLOMHHHBKZCOU-UHFFFAOYSA-N 0 3 324.399 4.193 20 0 DIADHN Cc1cccc(OCCN(C)Cc2ccc3ncccc3c2)c1 ZINC000506153238 340186896 /nfs/dbraw/zinc/18/68/96/340186896.db2.gz MUVAUOKFVSQIBS-UHFFFAOYSA-N 0 3 306.409 4.054 20 0 DIADHN CN(Cc1ccccc1OCc1ccccc1)[C@@H]1CCSC1 ZINC000506193877 340188263 /nfs/dbraw/zinc/18/82/63/340188263.db2.gz PJXPIYLNLMKGGD-GOSISDBHSA-N 0 3 313.466 4.203 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000506195266 340188299 /nfs/dbraw/zinc/18/82/99/340188299.db2.gz SITILUTYMTWPHA-HZPDHXFCSA-N 0 3 321.848 4.066 20 0 DIADHN CN(Cc1ccc([S@@](C)=O)cc1)C1CCC(C(C)(C)C)CC1 ZINC000506202085 340188573 /nfs/dbraw/zinc/18/85/73/340188573.db2.gz HDVICWGUMGMVAQ-CNXQINBVSA-N 0 3 321.530 4.461 20 0 DIADHN Fc1cc(Cl)ccc1CNCCc1nc2ccccc2s1 ZINC000506213343 340188887 /nfs/dbraw/zinc/18/88/87/340188887.db2.gz XIEKCSBBTKMUCK-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N[C@@H](C)C3CCCC3)n2)cc1F ZINC000506221219 340189026 /nfs/dbraw/zinc/18/90/26/340189026.db2.gz DFDOWGYKJREMPW-QWHCGFSZSA-N 0 3 317.408 4.413 20 0 DIADHN CCCCn1cc(CN[C@H]2CCc3cc(Cl)ccc32)c(C)n1 ZINC000506219911 340189046 /nfs/dbraw/zinc/18/90/46/340189046.db2.gz UBLQKWHUBNHTAM-SFHVURJKSA-N 0 3 317.864 4.422 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H](C)C3CCCC3)n2)cc1F ZINC000506221218 340189093 /nfs/dbraw/zinc/18/90/93/340189093.db2.gz DFDOWGYKJREMPW-OLZOCXBDSA-N 0 3 317.408 4.413 20 0 DIADHN COCCOc1cccc(CN2CCC[C@H]2c2ccccc2)c1 ZINC000506266467 340190520 /nfs/dbraw/zinc/19/05/20/340190520.db2.gz BZVBLADEYYHMBX-FQEVSTJZSA-N 0 3 311.425 4.049 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2ccccc2)cc1OC ZINC000506267875 340190666 /nfs/dbraw/zinc/19/06/66/340190666.db2.gz FUZLESCGPSLKMW-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccc(N3CCCC3)nc2)cc1 ZINC000506322346 340192132 /nfs/dbraw/zinc/19/21/32/340192132.db2.gz ASOMPHSMKAVPTG-HXUWFJFHSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc(N3CCCC3)nc2)cc1 ZINC000506322344 340192169 /nfs/dbraw/zinc/19/21/69/340192169.db2.gz ASOMPHSMKAVPTG-FQEVSTJZSA-N 0 3 321.468 4.327 20 0 DIADHN COc1cc(CN(C)C/C=C/c2ccc(Cl)cc2)ccc1O ZINC000506326182 340192303 /nfs/dbraw/zinc/19/23/03/340192303.db2.gz OIIQUISBTGIXDK-ONEGZZNKSA-N 0 3 317.816 4.199 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@H]1c1ccc(C)cc1 ZINC000506342921 340192836 /nfs/dbraw/zinc/19/28/36/340192836.db2.gz UXBSEKJSKVZFFI-SFHVURJKSA-N 0 3 305.425 4.288 20 0 DIADHN COc1ccc([C@H](C)N(C)CC2=Cc3ccccc3OC2)cc1 ZINC000506343667 340192864 /nfs/dbraw/zinc/19/28/64/340192864.db2.gz UERPMCNDWJSCHJ-HNNXBMFYSA-N 0 3 309.409 4.164 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@H]1c1ccc(C)cc1 ZINC000506343158 340192877 /nfs/dbraw/zinc/19/28/77/340192877.db2.gz QDSXCNXCINPTEQ-FQEVSTJZSA-N 0 3 311.473 4.190 20 0 DIADHN CCN(C)Cc1cn(Cc2ccccc2)nc1-c1ccccc1 ZINC000506370709 340193337 /nfs/dbraw/zinc/19/33/37/340193337.db2.gz SANLSPPRHGIVFI-UHFFFAOYSA-N 0 3 305.425 4.050 20 0 DIADHN OC[C@H]1CCCN1Cc1ccc(-c2ccccc2Cl)s1 ZINC000506368843 340193412 /nfs/dbraw/zinc/19/34/12/340193412.db2.gz KCTFFDUWLAYBIN-GFCCVEGCSA-N 0 3 307.846 4.025 20 0 DIADHN COc1cc(CN(C)CCc2ccccc2)cc(Cl)c1OC ZINC000506370826 340193581 /nfs/dbraw/zinc/19/35/81/340193581.db2.gz RNCRPTJCDWRNKQ-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CCc1ccc(CN(Cc2ccc(NC(C)=O)cc2)C2CC2)cc1 ZINC000506572165 340196935 /nfs/dbraw/zinc/19/69/35/340196935.db2.gz WYBOTZPPKDGLRZ-UHFFFAOYSA-N 0 3 322.452 4.372 20 0 DIADHN COc1ccc(CN2CCC(c3ccc(O)cc3)CC2)cc1C ZINC000506646166 340198486 /nfs/dbraw/zinc/19/84/86/340198486.db2.gz APRAHSKYVYGSMQ-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN Oc1ccc(C2CCN(C/C=C/c3ccc(F)cc3)CC2)cc1 ZINC000506675252 340199338 /nfs/dbraw/zinc/19/93/38/340199338.db2.gz OJAUBISAVDUHBA-OWOJBTEDSA-N 0 3 311.400 4.424 20 0 DIADHN FC(F)(F)c1cccnc1CN1CCC[C@H]1Cc1ccccc1 ZINC000506675873 340199413 /nfs/dbraw/zinc/19/94/13/340199413.db2.gz QWNBRKPUBUSFTH-HNNXBMFYSA-N 0 3 320.358 4.308 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cnn3ccccc23)cc1 ZINC000506708933 340199842 /nfs/dbraw/zinc/19/98/42/340199842.db2.gz VDWHHSPNVZMDQB-IBGZPJMESA-N 0 3 321.424 4.070 20 0 DIADHN Cc1ccsc1CN(Cc1cccs1)C[C@@H]1CCCO1 ZINC000506718751 340199975 /nfs/dbraw/zinc/19/99/75/340199975.db2.gz KGXFVSVWNZVKEN-AWEZNQCLSA-N 0 3 307.484 4.299 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc3c[nH]nc3c2)cc1 ZINC000506709784 340200087 /nfs/dbraw/zinc/20/00/87/340200087.db2.gz AEODUXAGLPBHJC-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc(CN(Cc2cccc(O)c2)[C@H](C)C2CC2)cc1 ZINC000506730275 340200333 /nfs/dbraw/zinc/20/03/33/340200333.db2.gz LXQJXDJPENFMSC-OAHLLOKOSA-N 0 3 311.425 4.202 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)CC1CCCCCC1 ZINC000506747520 340200746 /nfs/dbraw/zinc/20/07/46/340200746.db2.gz NPGQBWONWWQTJU-UHFFFAOYSA-N 0 3 302.462 4.144 20 0 DIADHN COc1c(C)cc(CN2CCC[C@H](C(F)(F)F)C2)cc1C ZINC000506789012 340201802 /nfs/dbraw/zinc/20/18/02/340201802.db2.gz DUAIBSZGESSROI-AWEZNQCLSA-N 0 3 301.352 4.086 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@H]1c1ccc[nH]1 ZINC000506814096 340202307 /nfs/dbraw/zinc/20/23/07/340202307.db2.gz IFCDUQHWQYHIOJ-YFIXDKARSA-N 0 3 310.441 4.402 20 0 DIADHN CNC(=O)c1ccc(CN(C)[C@@H](C)c2ccc(C(C)C)cc2)cc1 ZINC000507011736 340205331 /nfs/dbraw/zinc/20/53/31/340205331.db2.gz DAGQWDRQQZMQKP-INIZCTEOSA-N 0 3 324.468 4.363 20 0 DIADHN CCC[C@@H](C)N(C)Cc1cc(Br)c(OC)s1 ZINC000507027885 340205865 /nfs/dbraw/zinc/20/58/65/340205865.db2.gz ZEBAGGYYNCIXCL-SECBINFHSA-N 0 3 306.269 4.140 20 0 DIADHN c1nc(-c2ccncc2)sc1CN1CC[C@@H](c2ccccc2)C1 ZINC000507106341 340207544 /nfs/dbraw/zinc/20/75/44/340207544.db2.gz XWRPGEACXHPQCF-QGZVFWFLSA-N 0 3 321.449 4.195 20 0 DIADHN CCN(Cc1ccc(NC(C)=O)cc1)Cc1cccc(Cl)c1 ZINC000507120569 340208448 /nfs/dbraw/zinc/20/84/48/340208448.db2.gz KPJGVUOMORXFHT-UHFFFAOYSA-N 0 3 316.832 4.321 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc(N3CCCC3)nc2)c1 ZINC000507136256 340209113 /nfs/dbraw/zinc/20/91/13/340209113.db2.gz XEFXXROWCSUJHT-HXUWFJFHSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1ccc(O)c(CN2CCC[C@H]2c2ccccc2Cl)n1 ZINC000507154712 340209775 /nfs/dbraw/zinc/20/97/75/340209775.db2.gz KTGFLWKJSQZQHX-INIZCTEOSA-N 0 3 302.805 4.086 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccccc2C)cc1OC ZINC000507162887 340210244 /nfs/dbraw/zinc/21/02/44/340210244.db2.gz WSSHMMKLGRDSDL-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCC[C@@H]1c1ccccc1C ZINC000507165773 340210486 /nfs/dbraw/zinc/21/04/86/340210486.db2.gz AIBLWZXZBJPPSW-HXUWFJFHSA-N 0 3 311.473 4.190 20 0 DIADHN COc1ccsc1CN(C)[C@H]1CCCc2cc(OC)ccc21 ZINC000507172914 340211051 /nfs/dbraw/zinc/21/10/51/340211051.db2.gz JELIOJOXSSMGJK-INIZCTEOSA-N 0 3 317.454 4.275 20 0 DIADHN COc1ccc(CN(Cc2ccco2)C2CCCC2)cc1OC ZINC000507187599 340212007 /nfs/dbraw/zinc/21/20/07/340212007.db2.gz CQGWDEIAWMLSQD-UHFFFAOYSA-N 0 3 315.413 4.242 20 0 DIADHN C[C@H](CCc1ccccc1)N(C)Cc1nc2ccccc2n1C ZINC000507193087 340212317 /nfs/dbraw/zinc/21/23/17/340212317.db2.gz MYDZPSKHRGQJKX-MRXNPFEDSA-N 0 3 307.441 4.026 20 0 DIADHN Cc1nn(C)c2ncc(CN(C)[C@@H](C)c3cc(C)ccc3C)cc12 ZINC000507203327 340213078 /nfs/dbraw/zinc/21/30/78/340213078.db2.gz MWSNNYYNVQOPRE-INIZCTEOSA-N 0 3 322.456 4.087 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)[C@@H](C)c3cc(C)ccc3C)cc12 ZINC000507204571 340213299 /nfs/dbraw/zinc/21/32/99/340213299.db2.gz RJUVEFSQOLGJQP-HNNXBMFYSA-N 0 3 308.429 4.076 20 0 DIADHN COc1cc(CN(Cc2ccco2)C2CCCC2)cc(OC)c1 ZINC000507208748 340213699 /nfs/dbraw/zinc/21/36/99/340213699.db2.gz FSCCTCGTBKNTOX-UHFFFAOYSA-N 0 3 315.413 4.242 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)Cc2ccc(-n3cncn3)cc2)c1 ZINC000507212621 340213724 /nfs/dbraw/zinc/21/37/24/340213724.db2.gz ONNDKLGXIJYRHR-QGZVFWFLSA-N 0 3 320.440 4.077 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000507232107 340214858 /nfs/dbraw/zinc/21/48/58/340214858.db2.gz RJRMGWGKKGMSJJ-MNOVXSKESA-N 0 3 301.340 4.253 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)[C@H](C)c3ccc(C)cc3C)cc12 ZINC000507236262 340215023 /nfs/dbraw/zinc/21/50/23/340215023.db2.gz XRWPKEQMRRIUQX-OAHLLOKOSA-N 0 3 308.429 4.076 20 0 DIADHN Cc1ccc([C@@H](C)N(C)Cc2ccc([S@](C)=O)cc2)c(C)c1 ZINC000507250786 340215738 /nfs/dbraw/zinc/21/57/38/340215738.db2.gz CUJXIEZYARHMFX-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)C[C@H]1OCCc2ccccc21 ZINC000507253021 340215756 /nfs/dbraw/zinc/21/57/56/340215756.db2.gz CQTRFZQIZKXFPE-BFUOFWGJSA-N 0 3 317.379 4.272 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N(C)C[C@@H]1OCCc2ccccc21 ZINC000507253023 340215773 /nfs/dbraw/zinc/21/57/73/340215773.db2.gz CQTRFZQIZKXFPE-DJJJIMSYSA-N 0 3 317.379 4.272 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CC[C@@H](c2ccccc2)C1 ZINC000507267016 340216261 /nfs/dbraw/zinc/21/62/61/340216261.db2.gz WLRMXJBZXNVMBX-LJQANCHMSA-N 0 3 318.420 4.255 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1ccc(Br)cc1 ZINC000507272977 340216449 /nfs/dbraw/zinc/21/64/49/340216449.db2.gz OWLGGZLEJOJXRA-SECBINFHSA-N 0 3 322.168 4.442 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccccc1-c1ccnn1C ZINC000507293830 340216766 /nfs/dbraw/zinc/21/67/66/340216766.db2.gz CASLPSGLTIEDQT-CQSZACIVSA-N 0 3 311.454 4.342 20 0 DIADHN CCOC(=O)CC1CCN(C/C=C/c2ccc(Cl)cc2)CC1 ZINC000507309877 340216942 /nfs/dbraw/zinc/21/69/42/340216942.db2.gz RFQQQPLSTUMVJY-ONEGZZNKSA-N 0 3 321.848 4.018 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)Cc1ccc2c(c1)oc(=O)n2C ZINC000507333100 340217450 /nfs/dbraw/zinc/21/74/50/340217450.db2.gz KUDABZZZAKGXEY-QGZVFWFLSA-N 0 3 324.424 4.105 20 0 DIADHN CCC[C@@H](NCCc1cc(F)c(F)c(F)c1)c1ccccn1 ZINC000507400148 340218561 /nfs/dbraw/zinc/21/85/61/340218561.db2.gz JTGAMMVAGVHMQM-OAHLLOKOSA-N 0 3 308.347 4.172 20 0 DIADHN C[C@H](NCCc1cc(F)c(F)c(F)c1)c1cc(F)cc(F)c1 ZINC000507429177 340219236 /nfs/dbraw/zinc/21/92/36/340219236.db2.gz NMFZUAPUJMRERG-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)cc1CN(C)[C@H](C)C1CC1 ZINC000507434787 340219403 /nfs/dbraw/zinc/21/94/03/340219403.db2.gz UEVPDGCTEVAKTG-CYBMUJFWSA-N 0 3 303.837 4.064 20 0 DIADHN COCC[C@@H](N[C@@H](C)Cc1ccc(Cl)cc1)c1ccco1 ZINC000507440092 340219496 /nfs/dbraw/zinc/21/94/96/340219496.db2.gz FFRICEMJRAPMEM-XJKSGUPXSA-N 0 3 307.821 4.231 20 0 DIADHN COC1(CN[C@H]2CCc3cc(Cl)cc(Cl)c32)CCC1 ZINC000507447538 340220005 /nfs/dbraw/zinc/22/00/05/340220005.db2.gz WVKVZAQTDOHOJD-ZDUSSCGKSA-N 0 3 300.229 4.139 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000507467222 340220996 /nfs/dbraw/zinc/22/09/96/340220996.db2.gz FIVFLLOSOLGBBS-QKLQHJQFSA-N 0 3 324.468 4.463 20 0 DIADHN CCc1cccnc1[C@H](C)NCCCSc1ccccc1 ZINC000507470857 340221332 /nfs/dbraw/zinc/22/13/32/340221332.db2.gz OBGHRZLJLDSKCP-HNNXBMFYSA-N 0 3 300.471 4.477 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000507472388 340221533 /nfs/dbraw/zinc/22/15/33/340221533.db2.gz RAXGISHGQTTZEM-CXAGYDPISA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000507472669 340221538 /nfs/dbraw/zinc/22/15/38/340221538.db2.gz RNXDPKYLOOFCEJ-UONOGXRCSA-N 0 3 318.848 4.416 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CCOC)c2ccco2)C12CCCCC2 ZINC000507480834 340221859 /nfs/dbraw/zinc/22/18/59/340221859.db2.gz QAHXVEUDJHEDME-BPQIPLTHSA-N 0 3 321.461 4.075 20 0 DIADHN CCc1cccnc1[C@H](C)NCC1(Cc2ccc(F)cc2)CC1 ZINC000507483906 340222094 /nfs/dbraw/zinc/22/20/94/340222094.db2.gz IKHHFZASHKJGDJ-HNNXBMFYSA-N 0 3 312.432 4.457 20 0 DIADHN CCc1cccnc1[C@@H](C)NCC(C)(C)c1cccc(F)c1 ZINC000507499538 340222829 /nfs/dbraw/zinc/22/28/29/340222829.db2.gz ZAJFEHYEHHHUTC-CQSZACIVSA-N 0 3 300.421 4.412 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1cccc2cccnc21 ZINC000507520230 340224111 /nfs/dbraw/zinc/22/41/11/340224111.db2.gz OFDGRXCYIXJDNO-OAHLLOKOSA-N 0 3 305.425 4.086 20 0 DIADHN C[C@H](NC[C@H]1CCCC[C@H]1O)c1cccc(Cl)c1Cl ZINC000300410324 340225304 /nfs/dbraw/zinc/22/53/04/340225304.db2.gz GTIDZCQDKVCCBS-MISXGVKJSA-N 0 3 302.245 4.195 20 0 DIADHN CC[C@@H](CNCc1ccc(Br)o1)CC(F)(F)F ZINC000402457779 340236778 /nfs/dbraw/zinc/23/67/78/340236778.db2.gz KPZMXOSXTYMLKD-MRVPVSSYSA-N 0 3 314.145 4.110 20 0 DIADHN C[C@H](Cc1cnn(C)c1)N[C@@H](C)c1cccc(Cl)c1Cl ZINC000507643963 340227059 /nfs/dbraw/zinc/22/70/59/340227059.db2.gz JPPRRGCPJHTVQJ-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN c1c(CN2CC[C@H](c3ccccc3)C2)[nH]nc1-c1ccccc1 ZINC000507665515 340227649 /nfs/dbraw/zinc/22/76/49/340227649.db2.gz KPSNLMWVRBPXDN-SFHVURJKSA-N 0 3 303.409 4.066 20 0 DIADHN CC1(C)CC[C@H](NCc2csc(COc3ccccc3)n2)C1 ZINC000507730855 340229777 /nfs/dbraw/zinc/22/97/77/340229777.db2.gz GVAIWUPSNGDMCY-AWEZNQCLSA-N 0 3 316.470 4.390 20 0 DIADHN CC(C)CN(Cc1nccn1Cc1ccccc1)C1CCCC1 ZINC000507746553 340230159 /nfs/dbraw/zinc/23/01/59/340230159.db2.gz GNPCZSMFTDEMHJ-UHFFFAOYSA-N 0 3 311.473 4.332 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccc(F)cc1)c1ccccc1Cl ZINC000507777105 340231006 /nfs/dbraw/zinc/23/10/06/340231006.db2.gz GJPHNXAPCHQMDM-LBPRGKRZSA-N 0 3 320.795 4.159 20 0 DIADHN CN(Cc1ccc(-c2ccccc2)cc1F)CC1CCOCC1 ZINC000507802074 340232170 /nfs/dbraw/zinc/23/21/70/340232170.db2.gz GMTSEDFRAZPSSV-UHFFFAOYSA-N 0 3 313.416 4.351 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@@H]1CCc2c1cccc2Cl ZINC000507812683 340232721 /nfs/dbraw/zinc/23/27/21/340232721.db2.gz GXEZFFDXPVBNKJ-IAQYHMDHSA-N 0 3 309.837 4.037 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H]1CCc2c1cccc2Cl ZINC000507812681 340232762 /nfs/dbraw/zinc/23/27/62/340232762.db2.gz GXEZFFDXPVBNKJ-ABAIWWIYSA-N 0 3 309.837 4.037 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CCC[C@H]2COCC[C@H]21 ZINC000507814603 340232794 /nfs/dbraw/zinc/23/27/94/340232794.db2.gz STGLOCHXAGELML-MAUKXSAKSA-N 0 3 313.397 4.073 20 0 DIADHN CC[C@@H]1C[C@H](CN2CCn3cccc3[C@@H]2c2ccccc2)CCO1 ZINC000507815700 340232984 /nfs/dbraw/zinc/23/29/84/340232984.db2.gz PHYLUKSGZCCGJW-QFUCXCTJSA-N 0 3 324.468 4.098 20 0 DIADHN Clc1cc2c(c(Cl)c1)CC[C@@H]2NC[C@@H]1CCCCO1 ZINC000507832001 340233586 /nfs/dbraw/zinc/23/35/86/340233586.db2.gz WFNXHUWRHVZTDF-NHYWBVRUSA-N 0 3 300.229 4.139 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@@H]3/C=C\c3ccccc3)ccc21 ZINC000507876199 340234829 /nfs/dbraw/zinc/23/48/29/340234829.db2.gz PEMDJTCHROYBGT-ZMOVQZPESA-N 0 3 317.436 4.251 20 0 DIADHN C(=C\[C@@H]1CCCN1Cc1ccc2c[nH]nc2c1)\c1ccccc1 ZINC000507874135 340234950 /nfs/dbraw/zinc/23/49/50/340234950.db2.gz JAOBKSLJADMTLD-JQYPEGPJSA-N 0 3 303.409 4.241 20 0 DIADHN COc1ccc(CN2CCC[C@H]2/C=C/c2ccccc2)cc1O ZINC000507883132 340235158 /nfs/dbraw/zinc/23/51/58/340235158.db2.gz UTFHJIIKDMAKER-CKDFRHGISA-N 0 3 309.409 4.079 20 0 DIADHN CC(C(=O)N(CC1CCCCC1)c1ccccn1)C(F)(F)F ZINC000507969255 340236648 /nfs/dbraw/zinc/23/66/48/340236648.db2.gz RJRRZGGAFNFUHL-LBPRGKRZSA-N 0 3 314.351 4.193 20 0 DIADHN CC(C)[C@H]1CCC[C@H](NC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000508239022 340241183 /nfs/dbraw/zinc/24/11/83/340241183.db2.gz ISVFCMQVJRMCGR-WMZOPIPTSA-N 0 3 317.477 4.085 20 0 DIADHN COc1cccc(NC2CCN(Cc3ccsc3C)CC2)c1 ZINC000508520088 340245576 /nfs/dbraw/zinc/24/55/76/340245576.db2.gz XWGLWTQUSXRTJC-UHFFFAOYSA-N 0 3 316.470 4.142 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN1C[C@@H]2CCC[C@H]2C1 ZINC000508594476 340247109 /nfs/dbraw/zinc/24/71/09/340247109.db2.gz JJUNCGLNYWTUIL-KBPBESRZSA-N 0 3 300.427 4.058 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCc2ccsc2C1 ZINC000508600369 340247535 /nfs/dbraw/zinc/24/75/35/340247535.db2.gz QGAJVNLIDNJVDR-RVDMUPIBSA-N 0 3 313.466 4.356 20 0 DIADHN CC[C@H]1CN(C/C(C)=C\c2ccccc2COC)CCS1 ZINC000508631402 340248923 /nfs/dbraw/zinc/24/89/23/340248923.db2.gz KMTMVXIMHMOLTE-RXBGNRNISA-N 0 3 305.487 4.064 20 0 DIADHN CCN(Cc1c(C)nc2ccccn21)[C@@H](C)c1cccc(OC)c1 ZINC000508642877 340249390 /nfs/dbraw/zinc/24/93/90/340249390.db2.gz CJCWBHALXPOGFB-INIZCTEOSA-N 0 3 323.440 4.234 20 0 DIADHN Cc1ccc(-c2cccc(CN(C)CCc3cnccn3)c2)cc1 ZINC000508680328 340250430 /nfs/dbraw/zinc/25/04/30/340250430.db2.gz SFVCXYDBTMEFCR-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN CCN(CCc1cccs1)Cc1c(C)nn(C(C)(C)C)c1C ZINC000508708682 340251161 /nfs/dbraw/zinc/25/11/61/340251161.db2.gz DACROJOJESAEKB-UHFFFAOYSA-N 0 3 319.518 4.381 20 0 DIADHN Fc1ccc(CN(Cc2cccc(F)c2)C2CCOCC2)cc1 ZINC000508716657 340251320 /nfs/dbraw/zinc/25/13/20/340251320.db2.gz GJQBOKGGKRKXLQ-UHFFFAOYSA-N 0 3 317.379 4.146 20 0 DIADHN c1c(CN[C@H]2CCCC[C@@H]2c2ccccc2)nc2ccccn12 ZINC000508739034 340252108 /nfs/dbraw/zinc/25/21/08/340252108.db2.gz IXAJJLHZQCDQMB-MOPGFXCFSA-N 0 3 305.425 4.150 20 0 DIADHN Cc1nccc(CN(C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000508795665 340253295 /nfs/dbraw/zinc/25/32/95/340253295.db2.gz OGIVZPRTXOBCCO-QWHCGFSZSA-N 0 3 303.837 4.062 20 0 DIADHN Fc1ccc(CN(CC2=CCCOC2)C2CCCC2)c(F)c1 ZINC000508813909 340253493 /nfs/dbraw/zinc/25/34/93/340253493.db2.gz SUIMXYKNGKSXMA-UHFFFAOYSA-N 0 3 307.384 4.056 20 0 DIADHN CCc1nocc1CN1CCC[C@@]2(CCCc3ccccc32)C1 ZINC000508875468 340254980 /nfs/dbraw/zinc/25/49/80/340254980.db2.gz AARSCFPCIKIDST-FQEVSTJZSA-N 0 3 310.441 4.107 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(CCc1scnc1C)[C@@H]2C ZINC000508962481 340256341 /nfs/dbraw/zinc/25/63/41/340256341.db2.gz JWSVIKIPFLTPMS-TZMCWYRMSA-N 0 3 316.470 4.010 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nc2cc(Br)ccc2o1 ZINC000508990932 340256899 /nfs/dbraw/zinc/25/68/99/340256899.db2.gz JKWKUDXBCROMPE-JQWIXIFHSA-N 0 3 323.234 4.259 20 0 DIADHN CC[C@H](NCc1nc(-c2ccc(C)cc2)cs1)[C@H]1CCCO1 ZINC000508993062 340257508 /nfs/dbraw/zinc/25/75/08/340257508.db2.gz WSAGURSUKJMQHY-DOTOQJQBSA-N 0 3 316.470 4.166 20 0 DIADHN Cc1ccc(SCCN(C)[C@H](C)c2ccccn2)cc1C ZINC000509009099 340258156 /nfs/dbraw/zinc/25/81/56/340258156.db2.gz XDDSWSMUYRGHMG-MRXNPFEDSA-N 0 3 300.471 4.484 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nc2cc(Br)ccc2o1 ZINC000509032826 340258930 /nfs/dbraw/zinc/25/89/30/340258930.db2.gz OETXHFDINAUYGF-CMPLNLGQSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@H](c1ccccn1)N(C)CCCOc1ccc(Cl)cc1 ZINC000509058824 340260038 /nfs/dbraw/zinc/26/00/38/340260038.db2.gz XWDJUNKFANBHTK-CQSZACIVSA-N 0 3 304.821 4.197 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@@H]1CCCC[C@@H]1n1cccn1 ZINC000509128602 340261945 /nfs/dbraw/zinc/26/19/45/340261945.db2.gz WBCUTVVNLVHWCT-CVEARBPZSA-N 0 3 323.362 4.175 20 0 DIADHN OC1(C(F)F)CCN(Cc2ccc(C3CCCCC3)cc2)CC1 ZINC000509173140 340262978 /nfs/dbraw/zinc/26/29/78/340262978.db2.gz XDFNMRZWYHCIKV-UHFFFAOYSA-N 0 3 323.427 4.326 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509265405 340264984 /nfs/dbraw/zinc/26/49/84/340264984.db2.gz VJUQVDAKWSROPH-CYBMUJFWSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H](F)CCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000509280229 340265279 /nfs/dbraw/zinc/26/52/79/340265279.db2.gz SQKAEYCNLUJRMB-BXUZGUMPSA-N 0 3 300.215 4.334 20 0 DIADHN CC[C@H]1CCCCN1Cc1nc2cc(Br)ccc2o1 ZINC000509279814 340265299 /nfs/dbraw/zinc/26/52/99/340265299.db2.gz PWUXVNSOVMPDSR-LBPRGKRZSA-N 0 3 323.234 4.355 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCCOC(F)F ZINC000509422291 340268949 /nfs/dbraw/zinc/26/89/49/340268949.db2.gz BVXWQGJJXWKEDD-UHFFFAOYSA-N 0 3 321.367 4.081 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccc(-c2cnn(C)c2)s1 ZINC000509462519 340270387 /nfs/dbraw/zinc/27/03/87/340270387.db2.gz HTASVJMBZMJDDC-BFUOFWGJSA-N 0 3 323.465 4.172 20 0 DIADHN CCN(C/C=C/c1ccccc1OC)[C@@H](C)c1cccc(O)c1 ZINC000509537521 340272765 /nfs/dbraw/zinc/27/27/65/340272765.db2.gz CSDBHNKEWINTHD-KXKDPZRNSA-N 0 3 311.425 4.497 20 0 DIADHN CC(C)[C@H]1CC[C@H](C(=O)Nc2ccc(CN(C)C)cc2)CC1 ZINC000509641241 340275343 /nfs/dbraw/zinc/27/53/43/340275343.db2.gz YAWYXZNCMLCDIX-QAQDUYKDSA-N 0 3 302.462 4.149 20 0 DIADHN CCCN(Cc1nc(-c2ccc(C)cc2)cs1)CC(C)(C)O ZINC000509679635 340276705 /nfs/dbraw/zinc/27/67/05/340276705.db2.gz ILLMYDPJJHEUSJ-UHFFFAOYSA-N 0 3 318.486 4.101 20 0 DIADHN CC(C)CC[C@@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000509804087 340279633 /nfs/dbraw/zinc/27/96/33/340279633.db2.gz CMTYOGFIQZFZTE-CQSZACIVSA-N 0 3 316.445 4.049 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2cc(C)no2)c2ccccc12 ZINC000509814472 340279927 /nfs/dbraw/zinc/27/99/27/340279927.db2.gz KTJKGINTMVUSSD-SFHVURJKSA-N 0 3 322.408 4.482 20 0 DIADHN COc1cc(C)c(CN(C)C/C=C/c2ccccc2)cc1OC ZINC000302734851 340280249 /nfs/dbraw/zinc/28/02/49/340280249.db2.gz CCTPPZNPNOVDQP-DHZHZOJOSA-N 0 3 311.425 4.157 20 0 DIADHN CCN(CCCOc1ccc(OC)cc1)Cc1cccs1 ZINC000302744447 340280920 /nfs/dbraw/zinc/28/09/20/340280920.db2.gz VOVJUQVCASRAKC-UHFFFAOYSA-N 0 3 305.443 4.048 20 0 DIADHN Cn1c2ccc(CN[C@H](c3ccccc3)C(C)(C)C)cc2oc1=O ZINC000509852371 340281582 /nfs/dbraw/zinc/28/15/82/340281582.db2.gz QPZRQEIGGMBKMF-GOSISDBHSA-N 0 3 324.424 4.009 20 0 DIADHN C[C@@H](NC[C@H]1CCCO1)c1ccc(OCc2ccccc2)cc1 ZINC000302832980 340283406 /nfs/dbraw/zinc/28/34/06/340283406.db2.gz XNDIKVGNNXOTJT-OXQOHEQNSA-N 0 3 311.425 4.095 20 0 DIADHN COCC[C@@H](NCc1ncc(-c2ccccc2)s1)C(C)(C)C ZINC000509945448 340283558 /nfs/dbraw/zinc/28/35/58/340283558.db2.gz TVKLIESUJGCUPY-MRXNPFEDSA-N 0 3 318.486 4.351 20 0 DIADHN Cc1nc(CN2CCC[C@](C)(c3ccccc3)CC2)cs1 ZINC000510080324 340287757 /nfs/dbraw/zinc/28/77/57/340287757.db2.gz VBFMAAZFWWYHPY-SFHVURJKSA-N 0 3 300.471 4.395 20 0 DIADHN CC[C@H](CC1CCCC1)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC000510132815 340289935 /nfs/dbraw/zinc/28/99/35/340289935.db2.gz JCLPKACWSLFSGE-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N2CC[C@H](c3ccccc3)C2)cc1 ZINC000302970632 340291481 /nfs/dbraw/zinc/29/14/81/340291481.db2.gz IJWXSPJETYZGNF-APWZRJJASA-N 0 3 322.452 4.066 20 0 DIADHN FC(F)Cn1cc(CN[C@H](c2ccccc2)C2CCCC2)cn1 ZINC000510223055 340293047 /nfs/dbraw/zinc/29/30/47/340293047.db2.gz ODUOYEDQQCYVAQ-GOSISDBHSA-N 0 3 319.399 4.169 20 0 DIADHN COc1ccc([C@H](C)NC/C=C/c2ccc(F)c(F)c2)cc1F ZINC000510231615 340293492 /nfs/dbraw/zinc/29/34/92/340293492.db2.gz YLWVIHVNKSDVDN-PCAWENJQSA-N 0 3 321.342 4.477 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCCOC1CCCCC1 ZINC000510298222 340295403 /nfs/dbraw/zinc/29/54/03/340295403.db2.gz NBSNFXPFPLXALD-VKAVYKQESA-N 0 3 317.473 4.175 20 0 DIADHN CCCOc1c(Cl)cc(CNCCc2ccco2)cc1OC ZINC000510357885 340296852 /nfs/dbraw/zinc/29/68/52/340296852.db2.gz JVLAHTPHMYRAIQ-UHFFFAOYSA-N 0 3 323.820 4.063 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCCc3ccc(F)cc32)cc1O ZINC000510377802 340297316 /nfs/dbraw/zinc/29/73/16/340297316.db2.gz LZSAEWTWLQIWEO-QGZVFWFLSA-N 0 3 315.388 4.049 20 0 DIADHN C[C@@H](c1ccc(F)cc1)N1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510418508 340298752 /nfs/dbraw/zinc/29/87/52/340298752.db2.gz XBDKAESXAMXHCG-KBXCAEBGSA-N 0 3 309.388 4.183 20 0 DIADHN COc1cccc([C@H](C)N2CC[C@@H](n3ncc4ccccc43)C2)c1 ZINC000510447867 340299804 /nfs/dbraw/zinc/29/98/04/340299804.db2.gz XTQOFWDZPQNLMV-MAUKXSAKSA-N 0 3 321.424 4.053 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN(C)CC1CC1 ZINC000510458083 340300106 /nfs/dbraw/zinc/30/01/06/340300106.db2.gz PZQMVALDYORHDZ-UHFFFAOYSA-N 0 3 317.864 4.043 20 0 DIADHN CC(C)CCOC1CCN(Cc2cc3ccccc3o2)CC1 ZINC000510471586 340300554 /nfs/dbraw/zinc/30/05/54/340300554.db2.gz JHPFZAGUKSOATK-UHFFFAOYSA-N 0 3 301.430 4.460 20 0 DIADHN CC[C@@H](C)Oc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1 ZINC000510483947 340300740 /nfs/dbraw/zinc/30/07/40/340300740.db2.gz WMVBGZVWUKKCOM-CVEARBPZSA-N 0 3 318.461 4.067 20 0 DIADHN Cc1ccc(C(=O)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)c(C)c1 ZINC000510527652 340301938 /nfs/dbraw/zinc/30/19/38/340301938.db2.gz UFHROQSNBQTQBL-FXAWDEMLSA-N 0 3 324.468 4.033 20 0 DIADHN Cc1ccc(C)c(C(=O)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)c1 ZINC000510543448 340302373 /nfs/dbraw/zinc/30/23/73/340302373.db2.gz BGERMVWYLALPOG-PXNSSMCTSA-N 0 3 324.468 4.033 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1cc(-c2ccccc2)on1 ZINC000510646962 340304820 /nfs/dbraw/zinc/30/48/20/340304820.db2.gz BRUVSWGWICDJLL-RHSMWYFYSA-N 0 3 302.393 4.350 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1cc(-c2ccccc2)on1 ZINC000510646964 340304894 /nfs/dbraw/zinc/30/48/94/340304894.db2.gz BRUVSWGWICDJLL-YOEHRIQHSA-N 0 3 302.393 4.350 20 0 DIADHN COc1ccc(CN(C2CC2)[C@H]2CCc3ccccc32)cc1OC ZINC000510652444 340305163 /nfs/dbraw/zinc/30/51/63/340305163.db2.gz WTBNNBPRXKLLGW-IBGZPJMESA-N 0 3 323.436 4.356 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1coc(-c2ccccc2)n1 ZINC000510658962 340305493 /nfs/dbraw/zinc/30/54/93/340305493.db2.gz ACKXILCFZIEREE-PBHICJAKSA-N 0 3 302.393 4.350 20 0 DIADHN Cc1cnc([C@H](C)N[C@@H](C)CCc2c(F)cccc2F)s1 ZINC000510665683 340305582 /nfs/dbraw/zinc/30/55/82/340305582.db2.gz COZCZXZONLVTGI-JQWIXIFHSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1nc2ccccn2c1CN(C1CC1)[C@H]1CCc2ccccc21 ZINC000510663711 340305586 /nfs/dbraw/zinc/30/55/86/340305586.db2.gz NWXZZNSBUPGOND-IBGZPJMESA-N 0 3 317.436 4.295 20 0 DIADHN COC(C)(C)CN[C@H](c1cccc(C)c1)c1cccc(F)c1 ZINC000510672487 340305970 /nfs/dbraw/zinc/30/59/70/340305970.db2.gz VHPYUQFMOZTQKG-GOSISDBHSA-N 0 3 301.405 4.238 20 0 DIADHN CCC1CCN(Cc2ccccc2OCc2cccnc2)CC1 ZINC000510680070 340306393 /nfs/dbraw/zinc/30/63/93/340306393.db2.gz JBKFYLIYJHVFIO-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1cn(CCCc2ccccc2)c(CN[C@@H]2CC[C@H]2C2CCC2)n1 ZINC000510694134 340306904 /nfs/dbraw/zinc/30/69/04/340306904.db2.gz GBEVIKCLTIJUMR-VQTJNVASSA-N 0 3 323.484 4.184 20 0 DIADHN COc1ccc(OC)c(CNCC(C)(C)c2ccccc2C)c1 ZINC000303157864 340306945 /nfs/dbraw/zinc/30/69/45/340306945.db2.gz SRHXBQMSKOLVBJ-UHFFFAOYSA-N 0 3 313.441 4.080 20 0 DIADHN C[C@H](CCc1c(F)cccc1F)NCc1ccc(Cl)cn1 ZINC000510694752 340307096 /nfs/dbraw/zinc/30/70/96/340307096.db2.gz KGIYXPOKITXMRB-LLVKDONJSA-N 0 3 310.775 4.124 20 0 DIADHN OCCN(Cc1cccc(Cl)c1)[C@@H]1CCCc2ccccc21 ZINC000510697658 340307213 /nfs/dbraw/zinc/30/72/13/340307213.db2.gz IIHZGUNCPVGFKO-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCN(C3CC3)C2)c2cccc(F)c2)c1 ZINC000510733950 340308709 /nfs/dbraw/zinc/30/87/09/340308709.db2.gz KZXYANLIECZZIY-PZJWPPBQSA-N 0 3 324.443 4.050 20 0 DIADHN Cc1cc(OCCC[C@H](C)NCc2nccs2)ccc1Cl ZINC000510735660 340308753 /nfs/dbraw/zinc/30/87/53/340308753.db2.gz OSOHCYBKBIKSQC-ZDUSSCGKSA-N 0 3 324.877 4.442 20 0 DIADHN Cc1cccc([C@H](NCC[C@H](O)C(C)C)c2cccc(F)c2)c1 ZINC000510738450 340309005 /nfs/dbraw/zinc/30/90/05/340309005.db2.gz REVAINHCTZRXRY-PMACEKPBSA-N 0 3 315.432 4.220 20 0 DIADHN C[C@@H]1CC[C@@H](N(C)Cc2nc3cc(Br)ccc3o2)C1 ZINC000510790405 340311150 /nfs/dbraw/zinc/31/11/50/340311150.db2.gz HIPDSSLYOQJCSO-ZYHUDNBSSA-N 0 3 323.234 4.211 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nc2cc(Br)ccc2o1 ZINC000510797281 340311368 /nfs/dbraw/zinc/31/13/68/340311368.db2.gz OOGKTLDNDCWJBZ-CMPLNLGQSA-N 0 3 323.234 4.259 20 0 DIADHN COCCCCNC1(c2c(Cl)cccc2Cl)CCC1 ZINC000510812974 340311907 /nfs/dbraw/zinc/31/19/07/340311907.db2.gz SUXFLBVUAUPNGD-UHFFFAOYSA-N 0 3 302.245 4.389 20 0 DIADHN C[C@H](NCc1cnn(CC(F)F)c1)c1cc2ccccc2s1 ZINC000510844799 340312794 /nfs/dbraw/zinc/31/27/94/340312794.db2.gz IUTWCELZAXICEC-NSHDSACASA-N 0 3 321.396 4.214 20 0 DIADHN C[C@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(C(C)(C)C)cc1 ZINC000510929133 340315108 /nfs/dbraw/zinc/31/51/08/340315108.db2.gz LMHFLOIDXQKELJ-KBXCAEBGSA-N 0 3 311.473 4.446 20 0 DIADHN C[C@@H](CCc1ccc(C(F)(F)F)cc1)N[C@@H](C)c1ccon1 ZINC000510927690 340315218 /nfs/dbraw/zinc/31/52/18/340315218.db2.gz GXAUKWMWKPEXCV-RYUDHWBXSA-N 0 3 312.335 4.365 20 0 DIADHN C[C@H](C(=O)N(C)[C@H](C)c1cccc(Cl)c1)N1CCCCCC1 ZINC000510940124 340315646 /nfs/dbraw/zinc/31/56/46/340315646.db2.gz UUOBTTGXZFPGLF-HUUCEWRRSA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000510940122 340315650 /nfs/dbraw/zinc/31/56/50/340315650.db2.gz UUOBTTGXZFPGLF-CABCVRRESA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](C(=O)N(C)[C@H](C)c1ccc(Cl)cc1)N1CCCCCC1 ZINC000510950472 340316071 /nfs/dbraw/zinc/31/60/71/340316071.db2.gz XFWVLTRSHLKAEH-HUUCEWRRSA-N 0 3 322.880 4.124 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCc3cc(F)c(OC)cc32)cc1 ZINC000510958442 340316199 /nfs/dbraw/zinc/31/61/99/340316199.db2.gz CENLMWJVGCGIFC-KPZWWZAWSA-N 0 3 315.388 4.181 20 0 DIADHN FC(F)OCCCN[C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000511009682 340318118 /nfs/dbraw/zinc/31/81/18/340318118.db2.gz CDYCWORYPLWFEF-LLVKDONJSA-N 0 3 310.171 4.200 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1ccc(F)c(F)c1 ZINC000162960432 340317487 /nfs/dbraw/zinc/31/74/87/340317487.db2.gz OBKHVVNYCRQNQN-HUBLWGQQSA-N 0 3 303.352 4.180 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](CO)CC2CCCC2)cc1F ZINC000510996925 340317503 /nfs/dbraw/zinc/31/75/03/340317503.db2.gz HLOMVZZNVOCLGY-WFASDCNBSA-N 0 3 311.466 4.139 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@@H](C)c1ccncc1F)CC3 ZINC000510996954 340317513 /nfs/dbraw/zinc/31/75/13/340317513.db2.gz IBJWXBZVHGRCTM-UONOGXRCSA-N 0 3 323.415 4.219 20 0 DIADHN C[C@@H](CN[C@@H]1CCc2ccc(Br)cc21)C(F)(F)F ZINC000510996738 340317516 /nfs/dbraw/zinc/31/75/16/340317516.db2.gz UFSGSXSDIBUDDJ-QPUJVOFHSA-N 0 3 322.168 4.224 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](CO)CC2CCCC2)cc1F ZINC000510996923 340317562 /nfs/dbraw/zinc/31/75/62/340317562.db2.gz HLOMVZZNVOCLGY-IUODEOHRSA-N 0 3 311.466 4.139 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H](C)CCc1c(F)cccc1F ZINC000511001645 340317772 /nfs/dbraw/zinc/31/77/72/340317772.db2.gz UASCQRFYGXCJIZ-OLZOCXBDSA-N 0 3 320.383 4.040 20 0 DIADHN COc1cnccc1[C@H](C)N[C@@H](C)CCc1c(F)cccc1F ZINC000511002024 340317830 /nfs/dbraw/zinc/31/78/30/340317830.db2.gz UASCQRFYGXCJIZ-STQMWFEESA-N 0 3 320.383 4.040 20 0 DIADHN Cc1cccc([C@H](NCCOC(C)C)c2cccc(F)c2)c1 ZINC000511046837 340319402 /nfs/dbraw/zinc/31/94/02/340319402.db2.gz HSXDCQKSDNIYQD-IBGZPJMESA-N 0 3 301.405 4.238 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CCc2c1cccc2F ZINC000511053907 340319558 /nfs/dbraw/zinc/31/95/58/340319558.db2.gz HNLLLNUNIYMRGU-MSOLQXFVSA-N 0 3 315.363 4.238 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H]1CCc2c1cccc2F ZINC000511053910 340319639 /nfs/dbraw/zinc/31/96/39/340319639.db2.gz HNLLLNUNIYMRGU-ZWKOTPCHSA-N 0 3 315.363 4.238 20 0 DIADHN Cn1nccc1C1=CCN(Cc2ccc(C(C)(C)C)cc2)CC1 ZINC000511066492 340319936 /nfs/dbraw/zinc/31/99/36/340319936.db2.gz RHWWXXJBDYVZIN-UHFFFAOYSA-N 0 3 309.457 4.007 20 0 DIADHN CC(C)(C)OCCN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000511096214 340320643 /nfs/dbraw/zinc/32/06/43/340320643.db2.gz ZMSNDOVWARQKGS-KRWDZBQOSA-N 0 3 311.416 4.363 20 0 DIADHN OC[C@@H](NC1CC(c2ccccc2Cl)C1)c1ccc(F)cc1 ZINC000511104899 340321026 /nfs/dbraw/zinc/32/10/26/340321026.db2.gz HDSGDXMRCYSMKD-LEHRNKBSSA-N 0 3 319.807 4.048 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@@H]2c2ccc(C)c(C)c2)c1C ZINC000511120856 340321498 /nfs/dbraw/zinc/32/14/98/340321498.db2.gz FAMDRSZXUUDSQJ-HXUWFJFHSA-N 0 3 311.473 4.474 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N1CCC[C@H]1CN1CCCCC1 ZINC000511131735 340321790 /nfs/dbraw/zinc/32/17/90/340321790.db2.gz RYYQNSZRLJNLQE-HKUYNNGSSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N1CCC[C@@H]1CN1CCCCC1 ZINC000511131736 340321923 /nfs/dbraw/zinc/32/19/23/340321923.db2.gz RYYQNSZRLJNLQE-IEBWSBKVSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3cccc(C(F)(F)F)c3)C2)on1 ZINC000511139100 340322024 /nfs/dbraw/zinc/32/20/24/340322024.db2.gz PFMXYIWSTQVKNH-AWEZNQCLSA-N 0 3 324.346 4.381 20 0 DIADHN CSc1ccccc1CN[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000511182782 340323466 /nfs/dbraw/zinc/32/34/66/340323466.db2.gz JMPZDWHUYNNOMM-MJGOQNOKSA-N 0 3 313.466 4.276 20 0 DIADHN CSc1ccccc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000511188492 340323597 /nfs/dbraw/zinc/32/35/97/340323597.db2.gz UWSIBQWMLJOFSJ-OAHLLOKOSA-N 0 3 323.465 4.445 20 0 DIADHN CCCO[C@H]1CCCN(Cc2ccccc2C(F)(F)F)CC1 ZINC000303362556 340323692 /nfs/dbraw/zinc/32/36/92/340323692.db2.gz HPLSDXWDDROADW-HNNXBMFYSA-N 0 3 315.379 4.487 20 0 DIADHN CCCCCN(CCCCC)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000511252410 340324439 /nfs/dbraw/zinc/32/44/39/340324439.db2.gz KYKMGNSHPYNFJV-QGZVFWFLSA-N 0 3 323.525 4.029 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000303437562 340325409 /nfs/dbraw/zinc/32/54/09/340325409.db2.gz DWTTUBYOSKVLNG-MNOVXSKESA-N 0 3 317.260 4.298 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000303456585 340326541 /nfs/dbraw/zinc/32/65/41/340326541.db2.gz KEKDVSNPYZYBAX-WCQYABFASA-N 0 3 305.397 4.223 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000303483786 340327599 /nfs/dbraw/zinc/32/75/99/340327599.db2.gz QLLPEAXTPXVALB-AAEUAGOBSA-N 0 3 305.397 4.223 20 0 DIADHN CCN(Cc1ccc(C(=O)NC2CCCCCC2)cc1)C1CC1 ZINC000511574260 340330967 /nfs/dbraw/zinc/33/09/67/340330967.db2.gz PGWNMSGEYDZPMI-UHFFFAOYSA-N 0 3 314.473 4.124 20 0 DIADHN C[C@@]1(CNCc2ccc(Sc3ccccn3)o2)CCCS1 ZINC000511593312 340331710 /nfs/dbraw/zinc/33/17/10/340331710.db2.gz LIRVOGSKLJGBML-INIZCTEOSA-N 0 3 320.483 4.201 20 0 DIADHN Cc1ccsc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC000511654055 340333905 /nfs/dbraw/zinc/33/39/05/340333905.db2.gz ATOZSCDCEQBQEL-QGZVFWFLSA-N 0 3 301.455 4.238 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CN1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000511650826 340334028 /nfs/dbraw/zinc/33/40/28/340334028.db2.gz YKQOQXUSFJPSPI-JOUKPKRSSA-N 0 3 307.441 4.087 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc2c(c1)COC2 ZINC000511661802 340334250 /nfs/dbraw/zinc/33/42/50/340334250.db2.gz GIKGRGFPCFYLET-CXUHLZMHSA-N 0 3 323.436 4.056 20 0 DIADHN c1cc2c(cccc2CN(Cc2ccccc2)C[C@H]2CCCO2)[nH]1 ZINC000511669623 340334763 /nfs/dbraw/zinc/33/47/63/340334763.db2.gz XXDXBXBJHPNYBK-LJQANCHMSA-N 0 3 320.436 4.349 20 0 DIADHN CC(C)OCCN[C@H](C)c1ccc(OCc2ccccc2)cc1 ZINC000303589465 340335918 /nfs/dbraw/zinc/33/59/18/340335918.db2.gz KIUXPFODJYZYOZ-QGZVFWFLSA-N 0 3 313.441 4.341 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc(C(C)(C)C)cc2)cc1 ZINC000511749130 340337051 /nfs/dbraw/zinc/33/70/51/340337051.db2.gz ZXGLFXIGEMWMSK-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN CC(C)CSCCNCc1ccc(Sc2ccccn2)o1 ZINC000511811916 340338944 /nfs/dbraw/zinc/33/89/44/340338944.db2.gz UPJUBRXANZJUMC-UHFFFAOYSA-N 0 3 322.499 4.305 20 0 DIADHN CC(C)n1ccc(CN2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)n1 ZINC000511838492 340339851 /nfs/dbraw/zinc/33/98/51/340339851.db2.gz OSBADHUIMGFTMH-ZCNNSNEGSA-N 0 3 309.457 4.232 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@H](c1cccnc1)C1CC1 ZINC000511841670 340340017 /nfs/dbraw/zinc/34/00/17/340340017.db2.gz FBZXKXZYYWCIEY-AUFJSOOLSA-N 0 3 322.452 4.372 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC[C@@H]4CCC[C@@H]43)s2)o1 ZINC000511886990 340341767 /nfs/dbraw/zinc/34/17/67/340341767.db2.gz FSECOVQZDWECEI-ZFWWWQNUSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1CC[C@@H](C)C1 ZINC000511958038 340343664 /nfs/dbraw/zinc/34/36/64/340343664.db2.gz RSNCMQGWJOZDBT-CYBMUJFWSA-N 0 3 317.864 4.043 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1CC[C@@H](C)C1 ZINC000511974487 340344213 /nfs/dbraw/zinc/34/42/13/340344213.db2.gz UZBZFKNCYDRRKY-CYBMUJFWSA-N 0 3 317.864 4.043 20 0 DIADHN COc1cccc2c1CC[C@@H]2NC/C=C/c1ccc(F)c(F)c1 ZINC000512023621 340345723 /nfs/dbraw/zinc/34/57/23/340345723.db2.gz LEDUQYGOFTUFQI-WHLIDYPQSA-N 0 3 315.363 4.264 20 0 DIADHN C[C@@H](NCc1ccc2occc2c1)c1ccccc1-n1cccn1 ZINC000512026616 340345778 /nfs/dbraw/zinc/34/57/78/340345778.db2.gz SFTBECUNAQZDEG-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CO[C@@H](CNC/C=C\c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512036732 340346330 /nfs/dbraw/zinc/34/63/30/340346330.db2.gz LFPDWZANSOFDCX-KVLZDYKBSA-N 0 3 321.342 4.094 20 0 DIADHN COc1ccc(CN(Cc2ccccc2)CC2CCC2)cc1O ZINC000512038172 340346353 /nfs/dbraw/zinc/34/63/53/340346353.db2.gz JGYTYAHVKBEOOQ-UHFFFAOYSA-N 0 3 311.425 4.203 20 0 DIADHN CCOc1ncccc1CNC1CC(c2ccccc2Cl)C1 ZINC000512041091 340346439 /nfs/dbraw/zinc/34/64/39/340346439.db2.gz RPFVLYGHPNUQOP-UHFFFAOYSA-N 0 3 316.832 4.170 20 0 DIADHN CCc1ccc(CNC[C@H](CC)Oc2ccccc2Cl)cn1 ZINC000512068692 340347576 /nfs/dbraw/zinc/34/75/76/340347576.db2.gz TYNZBPUEVKBGGB-INIZCTEOSA-N 0 3 318.848 4.245 20 0 DIADHN CCc1ccc(CN(CCCCOC)Cc2ccccc2)o1 ZINC000512069846 340347655 /nfs/dbraw/zinc/34/76/55/340347655.db2.gz ZANFVXMTDCNRBJ-UHFFFAOYSA-N 0 3 301.430 4.271 20 0 DIADHN Cc1cnn(C)c1CN[C@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC000512085362 340348058 /nfs/dbraw/zinc/34/80/58/340348058.db2.gz VEBKPIPGDDZGIM-HXUWFJFHSA-N 0 3 311.473 4.168 20 0 DIADHN Cc1cnn(C)c1CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC000512085848 340348106 /nfs/dbraw/zinc/34/81/06/340348106.db2.gz ZUNOBCZNRNOVCJ-UHFFFAOYSA-N 0 3 317.864 4.331 20 0 DIADHN Fc1ccc(/C=C\CNCc2cccc3cccnc32)cc1F ZINC000512097081 340348413 /nfs/dbraw/zinc/34/84/13/340348413.db2.gz BWCSTLSJKDGHKI-RQOWECAXSA-N 0 3 310.347 4.316 20 0 DIADHN C[C@H]1CCCCCN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000512152621 340350176 /nfs/dbraw/zinc/35/01/76/340350176.db2.gz DZRFNKCLRWPLNF-LBPRGKRZSA-N 0 3 305.809 4.155 20 0 DIADHN Cc1cc(C)cc(CN(C)CCc2nc(-c3ccccc3)no2)c1 ZINC000512164564 340350449 /nfs/dbraw/zinc/35/04/49/340350449.db2.gz JCKFYSNOXWCYOI-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN Cc1cccc(C)c1CN(C)CCc1nc(-c2ccccc2)no1 ZINC000512178448 340351133 /nfs/dbraw/zinc/35/11/33/340351133.db2.gz IVWPFFRWCGPDEI-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN CC(C)COCCCNCc1ccc(-c2ccccc2F)o1 ZINC000512184788 340351393 /nfs/dbraw/zinc/35/13/93/340351393.db2.gz NCJFNHWNFIFRBT-UHFFFAOYSA-N 0 3 305.393 4.238 20 0 DIADHN COc1ccc(CNCC[C@@H]2CCCC[C@H]2C)c(OC)c1OC ZINC000512224082 340352976 /nfs/dbraw/zinc/35/29/76/340352976.db2.gz HDUCPSLAGXUZSX-CABCVRRESA-N 0 3 321.461 4.018 20 0 DIADHN C[C@@H]1CCCC[C@H]1CCNC(=O)Nc1cccc(CN(C)C)c1 ZINC000512235903 340353449 /nfs/dbraw/zinc/35/34/49/340353449.db2.gz ZHKAWRMTPWWPGO-WBVHZDCISA-N 0 3 317.477 4.086 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N(C)[C@H](C)c1cc2ccccc2o1 ZINC000512258925 340354491 /nfs/dbraw/zinc/35/44/91/340354491.db2.gz HTWJKRVHSRAZQZ-CQSZACIVSA-N 0 3 316.445 4.073 20 0 DIADHN CCC(C)(C)N(C)CC(=O)N(C)[C@@H](C)c1cc2ccccc2o1 ZINC000512258923 340354506 /nfs/dbraw/zinc/35/45/06/340354506.db2.gz HTWJKRVHSRAZQZ-AWEZNQCLSA-N 0 3 316.445 4.073 20 0 DIADHN CN(Cc1csc(-c2ccoc2)n1)[C@H]1CCc2ccccc2C1 ZINC000512317941 340356741 /nfs/dbraw/zinc/35/67/41/340356741.db2.gz HTTNXJJKRYIYQI-SFHVURJKSA-N 0 3 324.449 4.392 20 0 DIADHN C[C@H]1CC[C@]2(CCN(Cc3conc3Cc3ccccc3)C2)C1 ZINC000512355238 340358686 /nfs/dbraw/zinc/35/86/86/340358686.db2.gz UDJQPAWGAWZKKU-JXFKEZNVSA-N 0 3 310.441 4.278 20 0 DIADHN CC(C)OCCCCNCc1ccc(Sc2ccccn2)o1 ZINC000512375081 340359222 /nfs/dbraw/zinc/35/92/22/340359222.db2.gz LJMZLXFKZZCGBJ-UHFFFAOYSA-N 0 3 320.458 4.121 20 0 DIADHN CC[C@@H](O)CCCNCc1ccc(-c2ccccc2)cc1OC ZINC000512388058 340359992 /nfs/dbraw/zinc/35/99/92/340359992.db2.gz RNNPAIXHTFGJJZ-LJQANCHMSA-N 0 3 313.441 4.003 20 0 DIADHN CC1(C)C[C@H](NCc2c(Cl)ccc3cccnc32)C(C)(C)O1 ZINC000512392699 340360237 /nfs/dbraw/zinc/36/02/37/340360237.db2.gz XJOBXLCFVRRQQN-HNNXBMFYSA-N 0 3 318.848 4.324 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@@]1(C)CCCOC1 ZINC000512392202 340360264 /nfs/dbraw/zinc/36/02/64/340360264.db2.gz STNDCMVXWFKESQ-FQEVSTJZSA-N 0 3 311.425 4.021 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@]1(C)CCCO1 ZINC000512390867 340360292 /nfs/dbraw/zinc/36/02/92/340360292.db2.gz IYKOAKBUKXHIGL-FQEVSTJZSA-N 0 3 311.425 4.021 20 0 DIADHN CC[C@@](C)(CCO)NCc1ccc(-c2ccccc2)cc1OC ZINC000512396232 340360560 /nfs/dbraw/zinc/36/05/60/340360560.db2.gz KADWSIBRJASDND-FQEVSTJZSA-N 0 3 313.441 4.003 20 0 DIADHN COCCC[C@@H](CNCc1ccccn1)c1ccc(Cl)cc1 ZINC000512557640 340366911 /nfs/dbraw/zinc/36/69/11/340366911.db2.gz VMFPLZAWSWXZOE-INIZCTEOSA-N 0 3 318.848 4.035 20 0 DIADHN COCCCOc1ccc(CNCc2ccc(Cl)cc2)cc1 ZINC000512560214 340367007 /nfs/dbraw/zinc/36/70/07/340367007.db2.gz CISGNPSATUPSSL-UHFFFAOYSA-N 0 3 319.832 4.045 20 0 DIADHN COc1ccc(C)cc1CN1CCC(OC2CCCCC2)CC1 ZINC000512573174 340367396 /nfs/dbraw/zinc/36/73/96/340367396.db2.gz LAISAWBUEIASEH-UHFFFAOYSA-N 0 3 317.473 4.317 20 0 DIADHN COCCCOc1ccc(CNCc2c(C)cccc2C)cc1 ZINC000512570654 340367469 /nfs/dbraw/zinc/36/74/69/340367469.db2.gz XTVIAOAVCMLQJU-UHFFFAOYSA-N 0 3 313.441 4.009 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)CC(F)(F)F ZINC000512590049 340368173 /nfs/dbraw/zinc/36/81/73/340368173.db2.gz HEPPUQXHAKPCGI-LLBKUYECSA-N 0 3 301.352 4.167 20 0 DIADHN C[C@H](CCC1CC1)NCc1ccc(Sc2ccccn2)o1 ZINC000512616306 340369304 /nfs/dbraw/zinc/36/93/04/340369304.db2.gz DYWGGBGUHWWJAC-CYBMUJFWSA-N 0 3 302.443 4.494 20 0 DIADHN COCc1ccccc1/C=C(\C)CNC[C@@H](C)C(F)(F)F ZINC000512668853 340372257 /nfs/dbraw/zinc/37/22/57/340372257.db2.gz OIHDYTIPYCUNEL-YQCJOKCJSA-N 0 3 301.352 4.024 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CO)c2ccccc2)c2ccccc12 ZINC000305152187 340377090 /nfs/dbraw/zinc/37/70/90/340377090.db2.gz ULULTVWZRGZTPK-QRWLVFNGSA-N 0 3 321.420 4.233 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](CO)c2ccccc2)c2ccccc12 ZINC000305152189 340377167 /nfs/dbraw/zinc/37/71/67/340377167.db2.gz ULULTVWZRGZTPK-YWZLYKJASA-N 0 3 321.420 4.233 20 0 DIADHN CN(C)[C@H](CNCc1cc(F)ccc1F)c1ccccc1Cl ZINC000512817177 340379034 /nfs/dbraw/zinc/37/90/34/340379034.db2.gz UKJHSBSTNIFAFM-QGZVFWFLSA-N 0 3 324.802 4.011 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1CCC[C@H]1C ZINC000173983554 340379420 /nfs/dbraw/zinc/37/94/20/340379420.db2.gz OBLUOMQLPGJMBB-CVEARBPZSA-N 0 3 308.425 4.165 20 0 DIADHN Brc1ccc(-c2ccc(CNCC3CC3)o2)cc1 ZINC000512840329 340380300 /nfs/dbraw/zinc/38/03/00/340380300.db2.gz MVVXTFLOIJPODT-UHFFFAOYSA-N 0 3 306.203 4.209 20 0 DIADHN c1ccc(C2(CNCc3cccc4c3OCCCO4)CCC2)cc1 ZINC000512847591 340380656 /nfs/dbraw/zinc/38/06/56/340380656.db2.gz XMTUPXJKSRXJSV-UHFFFAOYSA-N 0 3 323.436 4.059 20 0 DIADHN FC(F)OC[C@@H]1CCN(Cc2ccc(-c3cccs3)cc2)C1 ZINC000512849738 340380753 /nfs/dbraw/zinc/38/07/53/340380753.db2.gz GHPPGBUXRHLFQQ-CQSZACIVSA-N 0 3 323.408 4.476 20 0 DIADHN COc1cccc(C2(NCc3cc4c(cccc4F)[nH]3)CC2)c1 ZINC000512863989 340381511 /nfs/dbraw/zinc/38/15/11/340381511.db2.gz IERHCJUBWCWWEI-UHFFFAOYSA-N 0 3 310.372 4.095 20 0 DIADHN C[C@H]1CSC[C@@H]1NCc1ccc(-c2c(F)cccc2F)o1 ZINC000512866321 340381617 /nfs/dbraw/zinc/38/16/17/340381617.db2.gz ZBHVOHSOVLDROD-HZMBPMFUSA-N 0 3 309.381 4.066 20 0 DIADHN Cn1ccc(CN[C@H](c2cccc(OC(F)(F)F)c2)C2CC2)c1 ZINC000512904571 340383392 /nfs/dbraw/zinc/38/33/92/340383392.db2.gz XDXIKMATVGFSLN-INIZCTEOSA-N 0 3 324.346 4.165 20 0 DIADHN CCCCOc1ccc(CNCC2(C(F)(F)F)CC2)cc1 ZINC000512904107 340383455 /nfs/dbraw/zinc/38/34/55/340383455.db2.gz MVHCDCZASPUVJL-UHFFFAOYSA-N 0 3 301.352 4.298 20 0 DIADHN FC(F)(F)C1(CNCc2cccc(OC3CCCC3)c2)CC1 ZINC000512912388 340383841 /nfs/dbraw/zinc/38/38/41/340383841.db2.gz DNSADLMHZMUORT-UHFFFAOYSA-N 0 3 313.363 4.440 20 0 DIADHN Cc1cc(CN2CCC(C(F)(F)F)CC2)c2ccccc2n1 ZINC000174186956 340383847 /nfs/dbraw/zinc/38/38/47/340383847.db2.gz XWZWQMJCHRENGN-UHFFFAOYSA-N 0 3 308.347 4.318 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc(CSC)cc1 ZINC000512971206 340386181 /nfs/dbraw/zinc/38/61/81/340386181.db2.gz BBPNNQZOAXJJKA-UHFFFAOYSA-N 0 3 315.482 4.410 20 0 DIADHN CCOc1ccc(CNCc2ccc(CSC)cc2)cc1F ZINC000512978046 340386541 /nfs/dbraw/zinc/38/65/41/340386541.db2.gz WPCDOIGLMRCSFZ-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN CCCOc1cccc(CN[C@@H](C)c2ccc3n[nH]cc3c2)c1 ZINC000512982566 340386856 /nfs/dbraw/zinc/38/68/56/340386856.db2.gz GSUGFYQZQPIYGT-AWEZNQCLSA-N 0 3 309.413 4.203 20 0 DIADHN CC[C@H](C)CCC(=O)NC1CCN([C@H](C)c2ccccc2)CC1 ZINC000512989366 340387036 /nfs/dbraw/zinc/38/70/36/340387036.db2.gz STRVQMFXTYLLDZ-DLBZAZTESA-N 0 3 316.489 4.155 20 0 DIADHN COc1ccc(CNC/C(C)=C\c2ccc(Cl)cc2)cc1O ZINC000513004270 340387934 /nfs/dbraw/zinc/38/79/34/340387934.db2.gz NJWGVJZSLATLJD-LCYFTJDESA-N 0 3 317.816 4.247 20 0 DIADHN C[C@@H](NC/C=C/c1ccc(F)cc1F)c1ccc2n[nH]cc2c1 ZINC000513016816 340388618 /nfs/dbraw/zinc/38/86/18/340388618.db2.gz LVHHDXSOTDFZLX-QAVQXKDTSA-N 0 3 313.351 4.205 20 0 DIADHN C[C@H](NCc1ccc(OC(F)F)cc1)c1ccc2n[nH]cc2c1 ZINC000513021606 340388913 /nfs/dbraw/zinc/38/89/13/340388913.db2.gz GVYNQTWSGJNAGX-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNCc1ccc(CO)c(F)c1 ZINC000513026005 340389110 /nfs/dbraw/zinc/38/91/10/340389110.db2.gz NDKIDWGDLAIHCB-MDWZMJQESA-N 0 3 319.807 4.165 20 0 DIADHN C[C@@H](NCc1ccc(OCC2CC2)cc1)c1ccc2n[nH]cc2c1 ZINC000513032652 340389615 /nfs/dbraw/zinc/38/96/15/340389615.db2.gz NLQBMZGVFOQJSP-CQSZACIVSA-N 0 3 321.424 4.203 20 0 DIADHN Fc1ccc(/C=C\CNCC2(C(F)(F)F)CCC2)cc1F ZINC000513031716 340389657 /nfs/dbraw/zinc/38/96/57/340389657.db2.gz HFNGIURFKIPTLR-IWQZZHSRSA-N 0 3 305.290 4.300 20 0 DIADHN FC(F)Oc1ccc(CNCC2(C(F)(F)F)CCC2)cc1 ZINC000513038192 340390063 /nfs/dbraw/zinc/39/00/63/340390063.db2.gz WSPIMNPBQKDXSV-UHFFFAOYSA-N 0 3 309.278 4.110 20 0 DIADHN CSCc1ccc(CNCc2cc3ccc(F)cc3[nH]2)cc1 ZINC000513037981 340390092 /nfs/dbraw/zinc/39/00/92/340390092.db2.gz VRYQTGNULJMTDM-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccc2[nH]c(C)cc2c1 ZINC000513039821 340390302 /nfs/dbraw/zinc/39/03/02/340390302.db2.gz DFVLWZKTPKTYNZ-ALCCZGGFSA-N 0 3 306.409 4.288 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NCc1ccc(Cl)o1 ZINC000513046518 340390734 /nfs/dbraw/zinc/39/07/34/340390734.db2.gz LSPGCANBPKYDDA-DJIMGWMZSA-N 0 3 319.832 4.374 20 0 DIADHN Cc1cc(C)cc(CN2CCC(c3noc(C(C)C)n3)CC2)c1 ZINC000513057895 340391238 /nfs/dbraw/zinc/39/12/38/340391238.db2.gz PGROSTFOTHMNNK-UHFFFAOYSA-N 0 3 313.445 4.189 20 0 DIADHN C[C@H](CCc1ccccc1)CN[C@@H](C)c1c(F)cncc1F ZINC000513059258 340391476 /nfs/dbraw/zinc/39/14/76/340391476.db2.gz VLZZXJWGHSFIIK-KGLIPLIRSA-N 0 3 304.384 4.279 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NCc1ccsc1 ZINC000513078669 340392342 /nfs/dbraw/zinc/39/23/42/340392342.db2.gz ZDLLIBUWOSHLOE-FGTMMUONSA-N 0 3 301.455 4.189 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NCc1ccsc1 ZINC000513078670 340392437 /nfs/dbraw/zinc/39/24/37/340392437.db2.gz ZDLLIBUWOSHLOE-KZNAEPCWSA-N 0 3 301.455 4.189 20 0 DIADHN COc1cc(CNCc2ccc(C(C)(C)C)cc2)cc(OC)c1 ZINC000513093255 340393003 /nfs/dbraw/zinc/39/30/03/340393003.db2.gz YJJPMYWJCOHSML-UHFFFAOYSA-N 0 3 313.441 4.291 20 0 DIADHN Cc1cc2cc(CNCc3cccc(OC(F)F)c3)ccc2[nH]1 ZINC000513094345 340393030 /nfs/dbraw/zinc/39/30/30/340393030.db2.gz HWACESHWPJQDFH-UHFFFAOYSA-N 0 3 316.351 4.368 20 0 DIADHN COc1ccc(CN(C)CCC2CCCCC2)c(OC)c1OC ZINC000513106755 340393482 /nfs/dbraw/zinc/39/34/82/340393482.db2.gz QWRPSMLIYCDPCL-UHFFFAOYSA-N 0 3 321.461 4.115 20 0 DIADHN Clc1ccc(CNCCCCOCCc2ccccc2)o1 ZINC000513111064 340393806 /nfs/dbraw/zinc/39/38/06/340393806.db2.gz XSLBLBDVPWDZNQ-UHFFFAOYSA-N 0 3 307.821 4.062 20 0 DIADHN Cc1cc2cc(CN3CCC[C@H](n4cccn4)C3)oc2cc1C ZINC000513138934 340394786 /nfs/dbraw/zinc/39/47/86/340394786.db2.gz LFBYRSJDYCOXTB-KRWDZBQOSA-N 0 3 309.413 4.083 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCC[C@@H](n4cccn4)C3)o2)cc1 ZINC000513150084 340395175 /nfs/dbraw/zinc/39/51/75/340395175.db2.gz OKUMXHOUEAASLA-GOSISDBHSA-N 0 3 321.424 4.289 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C1CCC(C(C)C)CC1 ZINC000513210226 340397448 /nfs/dbraw/zinc/39/74/48/340397448.db2.gz ONXLMNCEZYPJMY-UHFFFAOYSA-N 0 3 316.489 4.389 20 0 DIADHN Fc1ccccc1CNCCOc1ccc(C(F)(F)F)cc1 ZINC000513264324 340399927 /nfs/dbraw/zinc/39/99/27/340399927.db2.gz YSMGUSBVWXEPMT-UHFFFAOYSA-N 0 3 313.294 4.013 20 0 DIADHN COC(=O)c1csc([C@@H](C)NCCc2cccc(Cl)c2)c1 ZINC000513763469 340423951 /nfs/dbraw/zinc/42/39/51/340423951.db2.gz YGDYONOMWZMZQC-LLVKDONJSA-N 0 3 323.845 4.081 20 0 DIADHN CSCCCNC(C)(C)c1ccccc1Br ZINC000309843596 340433820 /nfs/dbraw/zinc/43/38/20/340433820.db2.gz FKIDJLTZCRONTH-UHFFFAOYSA-N 0 3 302.281 4.027 20 0 DIADHN C[C@H](NC[C@@H](CCO)c1ccccc1)c1ccccc1Cl ZINC000177363506 340439813 /nfs/dbraw/zinc/43/98/13/340439813.db2.gz CWBJHXWMSSRQGF-GOEBONIOSA-N 0 3 303.833 4.157 20 0 DIADHN Cc1nc(C)c([C@H](C)NC[C@@H](C)Oc2cccc(C)c2)s1 ZINC000177958085 340445931 /nfs/dbraw/zinc/44/59/31/340445931.db2.gz BBJPXHOEEAADBQ-OLZOCXBDSA-N 0 3 304.459 4.186 20 0 DIADHN C[C@@H](NC[C@H](c1cccs1)N1CCCC1)c1ccsc1 ZINC000178066218 340446699 /nfs/dbraw/zinc/44/66/99/340446699.db2.gz ZBJYZJQIWSJXHW-UKRRQHHQSA-N 0 3 306.500 4.297 20 0 DIADHN C[C@@H](NCCOCc1ccccc1)c1ccc2ccccc2n1 ZINC000178238634 340447909 /nfs/dbraw/zinc/44/79/09/340447909.db2.gz LYTYQPMIXAABHC-MRXNPFEDSA-N 0 3 306.409 4.102 20 0 DIADHN C[C@H](NC[C@@H](c1ccccc1)N(C)C)c1ccc2ccccc2n1 ZINC000178235381 340447919 /nfs/dbraw/zinc/44/79/19/340447919.db2.gz NTNNYCHOPBPMDL-KKSFZXQISA-N 0 3 319.452 4.188 20 0 DIADHN Fc1ccc(CN[C@H]2CCc3ccc(Br)cc32)cc1 ZINC000311714577 340448748 /nfs/dbraw/zinc/44/87/48/340448748.db2.gz KQZFWEBRWZLIJD-INIZCTEOSA-N 0 3 320.205 4.365 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2cccc(OC(C)C)c2)c1 ZINC000312291962 340453061 /nfs/dbraw/zinc/45/30/61/340453061.db2.gz ZMGCXTAYHXVFCB-AWEZNQCLSA-N 0 3 317.404 4.472 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)N[C@H]1C[C@@H]1C(F)F ZINC000516800108 340456043 /nfs/dbraw/zinc/45/60/43/340456043.db2.gz OYVKAKZDKPRRDJ-ICCXJUOJSA-N 0 3 308.397 4.423 20 0 DIADHN FC(F)(F)Oc1ccccc1CNC/C=C\c1ccccc1 ZINC000517542942 340471656 /nfs/dbraw/zinc/47/16/56/340471656.db2.gz JZDPYJQRHUHBSV-TWGQIWQCSA-N 0 3 307.315 4.388 20 0 DIADHN CCOc1ccccc1CNCC(C)(C)c1ccccc1F ZINC000517545214 340471744 /nfs/dbraw/zinc/47/17/44/340471744.db2.gz HTUDAMAEWKGEBJ-UHFFFAOYSA-N 0 3 301.405 4.292 20 0 DIADHN CCn1c2ccccc2nc1CN[C@H]1CCC[C@@H]1c1ccccc1 ZINC000517709771 340475694 /nfs/dbraw/zinc/47/56/94/340475694.db2.gz SLLQGVSGAOSSNR-MSOLQXFVSA-N 0 3 319.452 4.482 20 0 DIADHN CCc1oc2ccccc2c1CNCCn1cnc2ccccc21 ZINC000520948081 340477352 /nfs/dbraw/zinc/47/73/52/340477352.db2.gz RZVUZNINMFYHLQ-UHFFFAOYSA-N 0 3 319.408 4.135 20 0 DIADHN CC(C)[C@H](NCc1ccc(CO)cc1)c1ccccc1Cl ZINC000183107131 340486442 /nfs/dbraw/zinc/48/64/42/340486442.db2.gz RSVQCRSZUHWVHL-SFHVURJKSA-N 0 3 303.833 4.319 20 0 DIADHN Brc1ccc([C@@H](NCC2CC2)c2ccco2)cc1 ZINC000183702549 340488703 /nfs/dbraw/zinc/48/87/03/340488703.db2.gz OMOLDOFRLWNMOB-OAHLLOKOSA-N 0 3 306.203 4.131 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CCC[C@H]2C)cc1O ZINC000185087176 340498029 /nfs/dbraw/zinc/49/80/29/340498029.db2.gz ZLMMVGPNHBREFK-JLTOFOAXSA-N 0 3 311.425 4.204 20 0 DIADHN Fc1ccccc1COc1ccc(CNCc2cc[nH]c2)cc1 ZINC000185424482 340500907 /nfs/dbraw/zinc/50/09/07/340500907.db2.gz YLCSRTWBRBKJHV-UHFFFAOYSA-N 0 3 310.372 4.023 20 0 DIADHN CCSc1cccc(CNCc2ccc(-n3cccn3)cc2)c1 ZINC000185468063 340501412 /nfs/dbraw/zinc/50/14/12/340501412.db2.gz JKCZSCARYGVWEI-UHFFFAOYSA-N 0 3 323.465 4.274 20 0 DIADHN CCSc1cccc(CNCc2ccccc2-n2cccn2)c1 ZINC000185498598 340501839 /nfs/dbraw/zinc/50/18/39/340501839.db2.gz UYSXDXQXBOVJQZ-UHFFFAOYSA-N 0 3 323.465 4.274 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H](C)CC(C)C ZINC000185523683 340502359 /nfs/dbraw/zinc/50/23/59/340502359.db2.gz OZNQZEWMCIENJQ-MRXNPFEDSA-N 0 3 302.462 4.212 20 0 DIADHN Fc1ccc(CNCCc2c[nH]c3ccccc23)c(Cl)c1 ZINC000219167230 340502595 /nfs/dbraw/zinc/50/25/95/340502595.db2.gz PJSAIBJRBVJMSG-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2cnn(C(C)C)c2)cc1 ZINC000185795425 340505256 /nfs/dbraw/zinc/50/52/56/340505256.db2.gz JEWMWBONPIEGNB-HNNXBMFYSA-N 0 3 303.475 4.043 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H](C)Oc1ccccc1F ZINC000186179844 340509028 /nfs/dbraw/zinc/50/90/28/340509028.db2.gz IHLWESZADACHAR-NWDGAFQWSA-N 0 3 309.406 4.014 20 0 DIADHN C[C@@H](CC(C)(C)c1ccccc1)N[C@@H](CCO)c1ccco1 ZINC000186563463 340514351 /nfs/dbraw/zinc/51/43/51/340514351.db2.gz NHWAHKXUEIFQLZ-RDJZCZTQSA-N 0 3 301.430 4.049 20 0 DIADHN COc1ccccc1/C=C\CNCCSc1ccc(C)cc1 ZINC000521818404 340533337 /nfs/dbraw/zinc/53/33/37/340533337.db2.gz KQRLIIBRUZSYRQ-ALCCZGGFSA-N 0 3 313.466 4.399 20 0 DIADHN C/C(=C/c1ccccc1)CNCc1ccc(NC(=O)C(C)C)cc1 ZINC000521819299 340533649 /nfs/dbraw/zinc/53/36/49/340533649.db2.gz YWYQWQVPQMUALY-LGMDPLHJSA-N 0 3 322.452 4.474 20 0 DIADHN Cc1ccc(CNC(C)(C)Cc2ccc(Br)cc2)o1 ZINC000521829573 340535207 /nfs/dbraw/zinc/53/52/07/340535207.db2.gz KQVAVCDQEKFWQQ-UHFFFAOYSA-N 0 3 322.246 4.461 20 0 DIADHN Fc1ccc(-c2nc(CCNCc3ccc(Cl)o3)co2)cc1 ZINC000521829515 340535317 /nfs/dbraw/zinc/53/53/17/340535317.db2.gz GHYYHADFUABQCE-UHFFFAOYSA-N 0 3 320.751 4.059 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NCCOCC(F)F)cc1 ZINC000189843652 340540434 /nfs/dbraw/zinc/54/04/34/340540434.db2.gz LJJVGZKNULTVHN-LBPRGKRZSA-N 0 3 303.418 4.119 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc2ccccc2nc1C ZINC000190049679 340542395 /nfs/dbraw/zinc/54/23/95/340542395.db2.gz VPQOPKUXQPCOLP-SJLPKXTDSA-N 0 3 315.486 4.092 20 0 DIADHN CCC(CC)[C@@H](O)CN[C@H](C)c1ccccc1C(F)(F)F ZINC000223430142 340545748 /nfs/dbraw/zinc/54/57/48/340545748.db2.gz NHHZWKRCMFXHCB-ABAIWWIYSA-N 0 3 303.368 4.153 20 0 DIADHN CC[C@H](CSC)N[C@@H](C)c1ccc(F)cc1Br ZINC000223826658 340548342 /nfs/dbraw/zinc/54/83/42/340548342.db2.gz ONDASCKAKUNGDH-GXSJLCMTSA-N 0 3 320.271 4.380 20 0 DIADHN C[C@H](NC[C@@H]1CCC[C@@H](O)C1)c1cccc(Cl)c1Cl ZINC000224045205 340550387 /nfs/dbraw/zinc/55/03/87/340550387.db2.gz IJLLSWPRUJVESX-QJPTWQEYSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@@H](O)C1)c1ccc(Cl)cc1Cl ZINC000224061255 340550761 /nfs/dbraw/zinc/55/07/61/340550761.db2.gz MRRIATONRZSCNN-GMXVVIOVSA-N 0 3 302.245 4.195 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1cnn(C(C)C)c1C ZINC000191342318 340553034 /nfs/dbraw/zinc/55/30/34/340553034.db2.gz OAQFHNSVAPENLT-SCLBCKFNSA-N 0 3 313.445 4.119 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCc3cc(OC)ccc32)s1 ZINC000224742470 340558804 /nfs/dbraw/zinc/55/88/04/340558804.db2.gz RDSALJCHYAOJEB-GOSISDBHSA-N 0 3 301.455 4.486 20 0 DIADHN Cn1ncc2ccc(CN[C@@H]3CCc4cc(Cl)ccc43)cc21 ZINC000521948675 340558891 /nfs/dbraw/zinc/55/88/91/340558891.db2.gz RPMXHYVVNGQZMZ-QGZVFWFLSA-N 0 3 311.816 4.004 20 0 DIADHN Cc1cc(CN[C@@H](C)CC(F)(F)F)ccc1Br ZINC000231750934 340625751 /nfs/dbraw/zinc/62/57/51/340625751.db2.gz AHTQDUWJBMLVKZ-VIFPVBQESA-N 0 3 310.157 4.188 20 0 DIADHN C[C@@H]1COCC[C@@H]1N[C@@H](c1ccccc1)c1cccc(Cl)c1 ZINC000195010849 340572743 /nfs/dbraw/zinc/57/27/43/340572743.db2.gz WWQQRJSGOZTXEM-CCKFTAQKSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N(C)Cc2ccccc2C)cc1 ZINC000195538944 340581120 /nfs/dbraw/zinc/58/11/20/340581120.db2.gz BDKLSOXQIJIODW-ROUUACIJSA-N 0 3 324.468 4.001 20 0 DIADHN FC(F)OCCCNCc1cc(C(F)(F)F)ccc1Cl ZINC000193666845 340581242 /nfs/dbraw/zinc/58/12/42/340581242.db2.gz VPUGGACKRGWGHA-UHFFFAOYSA-N 0 3 317.685 4.078 20 0 DIADHN CC[C@H](C)[C@@H](C)N[C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000195675531 340585435 /nfs/dbraw/zinc/58/54/35/340585435.db2.gz TUPTVVOAOVFOSU-UHTWSYAYSA-N 0 3 305.397 4.269 20 0 DIADHN C[C@H](CCc1ccc(C(F)(F)F)cc1)NCc1cscn1 ZINC000193979883 340587194 /nfs/dbraw/zinc/58/71/94/340587194.db2.gz IOSWSKWYPPZGJG-LLVKDONJSA-N 0 3 314.376 4.273 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCCOC(F)F ZINC000194027062 340588285 /nfs/dbraw/zinc/58/82/85/340588285.db2.gz NFSWFUIFADUQPB-JTQLQIEISA-N 0 3 307.768 4.019 20 0 DIADHN C[C@@H](O)CCCN[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000228027672 340605970 /nfs/dbraw/zinc/60/59/70/340605970.db2.gz FGLZGNYOKORGCF-BDAKNGLRSA-N 0 3 310.652 4.458 20 0 DIADHN Oc1ccc(CCCNCc2ccc(Cl)cc2Cl)cc1 ZINC000522841211 340607943 /nfs/dbraw/zinc/60/79/43/340607943.db2.gz MRBQWGYACQHZEM-UHFFFAOYSA-N 0 3 310.224 4.421 20 0 DIADHN C[C@@H](NCC[C@@H]1CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000230411174 340620172 /nfs/dbraw/zinc/62/01/72/340620172.db2.gz BTWLWRKMILASMH-NXEZZACHSA-N 0 3 306.208 4.210 20 0 DIADHN Fc1cccc(N2CCC[C@H](NCc3ccccc3Cl)C2)c1 ZINC000523864138 340643203 /nfs/dbraw/zinc/64/32/03/340643203.db2.gz JWUVOVXTRJESOP-INIZCTEOSA-N 0 3 318.823 4.238 20 0 DIADHN CCc1nc(CN[C@@H](CC)Cc2ccc(Cl)cc2)cs1 ZINC000523864523 340643282 /nfs/dbraw/zinc/64/32/82/340643282.db2.gz RZVOGRDPKWNVRJ-AWEZNQCLSA-N 0 3 308.878 4.470 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc(CN(C)C)cc1 ZINC000524112744 340647787 /nfs/dbraw/zinc/64/77/87/340647787.db2.gz JCHMVBRWNYMXFK-HKUYNNGSSA-N 0 3 316.489 4.083 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C[C@H](C)CC(C)C)c1ccsc1 ZINC000524312369 340652263 /nfs/dbraw/zinc/65/22/63/340652263.db2.gz MSURHELNHYJKDW-WBVHZDCISA-N 0 3 324.534 4.320 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)C[C@@H](C)CC(C)C)c1ccsc1 ZINC000524312368 340652356 /nfs/dbraw/zinc/65/23/56/340652356.db2.gz MSURHELNHYJKDW-RDJZCZTQSA-N 0 3 324.534 4.320 20 0 DIADHN c1ccc(CN2CCC(Nc3ccc4ncccc4c3)CC2)cc1 ZINC000236741787 340664656 /nfs/dbraw/zinc/66/46/56/340664656.db2.gz WOFRGOATISVSBC-UHFFFAOYSA-N 0 3 317.436 4.311 20 0 DIADHN CCOc1ccccc1NC1CCN(Cc2ccccc2)CC1 ZINC000236960893 340666836 /nfs/dbraw/zinc/66/68/36/340666836.db2.gz HMAAWRDKCRNIBP-UHFFFAOYSA-N 0 3 310.441 4.162 20 0 DIADHN COC[C@H](C)N[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000237241960 340669263 /nfs/dbraw/zinc/66/92/63/340669263.db2.gz SBNKVBLXCUZOBG-UONOGXRCSA-N 0 3 303.377 4.304 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)N[C@H](C)c1ccc(F)cc1F ZINC000237512823 340671721 /nfs/dbraw/zinc/67/17/21/340671721.db2.gz GVRVSABHZWOBRF-CHWSQXEVSA-N 0 3 318.367 4.033 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1csc(-c2ccccn2)n1 ZINC000237556430 340672116 /nfs/dbraw/zinc/67/21/16/340672116.db2.gz GHIDSNZHSHRBIC-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN Clc1ccc(C2(CNCc3ncc[nH]3)CCCCC2)cc1 ZINC000237657807 340672948 /nfs/dbraw/zinc/67/29/48/340672948.db2.gz KGXACJMUSHDPRI-UHFFFAOYSA-N 0 3 303.837 4.055 20 0 DIADHN Fc1ccccc1C1CC(NCc2ccccc2OC(F)F)C1 ZINC000237838700 340674425 /nfs/dbraw/zinc/67/44/25/340674425.db2.gz UEBUMTKUNXSGAB-UHFFFAOYSA-N 0 3 321.342 4.463 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](CC)c2ccccc2OC)C12CCC2 ZINC000315902436 340677392 /nfs/dbraw/zinc/67/73/92/340677392.db2.gz NKYXSTRCGSHUJS-RYQLBKOJSA-N 0 3 303.446 4.084 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc3ccccc3s2)C12CCCC2 ZINC000315919824 340677536 /nfs/dbraw/zinc/67/75/36/340677536.db2.gz QYZYMTWINBJARR-JKSUJKDBSA-N 0 3 316.470 4.124 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NCCOCC(F)(F)F ZINC000316067327 340678094 /nfs/dbraw/zinc/67/80/94/340678094.db2.gz PFGRXTRJEHXSTR-NSHDSACASA-N 0 3 315.335 4.225 20 0 DIADHN OCCC1(CNCc2ccccc2OC2CCCC2)CCCC1 ZINC000524773234 340680024 /nfs/dbraw/zinc/68/00/24/340680024.db2.gz OZVOJROCUOOBFQ-UHFFFAOYSA-N 0 3 317.473 4.040 20 0 DIADHN CC[C@@H](O)CCCNCc1ccc(-c2cccc(F)c2C)o1 ZINC000525183835 340693993 /nfs/dbraw/zinc/69/39/93/340693993.db2.gz BHSKVIGXTQMTMU-CQSZACIVSA-N 0 3 305.393 4.035 20 0 DIADHN CC(C)n1cncc1CN[C@H](c1ccc(F)cc1)C(C)(C)C ZINC000316812385 340696305 /nfs/dbraw/zinc/69/63/05/340696305.db2.gz OKYDFNUWEZTGCF-QGZVFWFLSA-N 0 3 303.425 4.480 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)NCc2cc(F)ccc2OC)cc1 ZINC000525991878 340707790 /nfs/dbraw/zinc/70/77/90/340707790.db2.gz MIORMEAFXBSIIM-ZIAGYGMSSA-N 0 3 317.404 4.125 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CN[C@H]1CCSC1 ZINC000525997522 340707905 /nfs/dbraw/zinc/70/79/05/340707905.db2.gz JCYNMXJMDFTHFJ-LBPRGKRZSA-N 0 3 309.381 4.036 20 0 DIADHN FC(F)C1(CNCc2cccc(OCc3ccccc3)c2)CC1 ZINC000526001448 340708234 /nfs/dbraw/zinc/70/82/34/340708234.db2.gz DTVDDRRARFHNGC-UHFFFAOYSA-N 0 3 317.379 4.401 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1C(C)(C)C)c1ccccc1 ZINC000102676672 340711769 /nfs/dbraw/zinc/71/17/69/340711769.db2.gz XDUBNEPDILJFQK-OAHLLOKOSA-N 0 3 310.441 4.273 20 0 DIADHN CC[C@@H](NCc1cccnc1C(F)(F)F)c1ccc(OC)cc1 ZINC000528435249 340718727 /nfs/dbraw/zinc/71/87/27/340718727.db2.gz ZVYDVIYEFRWNKD-OAHLLOKOSA-N 0 3 324.346 4.350 20 0 DIADHN CC(C)C[C@H](NCc1cc(CO)ccc1F)c1cccs1 ZINC000528442031 340719242 /nfs/dbraw/zinc/71/92/42/340719242.db2.gz PJGJGBLOEVONPV-INIZCTEOSA-N 0 3 307.434 4.257 20 0 DIADHN c1cc2ccc(CN3CCC(OCC4CCCC4)CC3)cc2[nH]1 ZINC000529534150 340760056 /nfs/dbraw/zinc/76/00/56/340760056.db2.gz YQPADQANOAZXBP-UHFFFAOYSA-N 0 3 312.457 4.339 20 0 DIADHN C[C@@H](N[C@H](c1cccc(F)c1)c1ccccn1)C1CC(F)(F)C1 ZINC000529542846 340761654 /nfs/dbraw/zinc/76/16/54/340761654.db2.gz LLOWOXPBWQVTPJ-SJKOYZFVSA-N 0 3 320.358 4.334 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1cccs1 ZINC000037158759 340767049 /nfs/dbraw/zinc/76/70/49/340767049.db2.gz GUWKBSNQCDOWTO-BXUZGUMPSA-N 0 3 303.431 4.041 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1cccs1 ZINC000037158757 340767185 /nfs/dbraw/zinc/76/71/85/340767185.db2.gz GUWKBSNQCDOWTO-RISCZKNCSA-N 0 3 303.431 4.041 20 0 DIADHN COc1ccc(COCCN[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000537935781 340791770 /nfs/dbraw/zinc/79/17/70/340791770.db2.gz GPBOHNAQHLCXJC-AWEZNQCLSA-N 0 3 319.832 4.216 20 0 DIADHN CS[C@H](C)CN[C@@H](C)c1cnn(-c2cc(C)ccc2C)c1C ZINC000537952439 340793007 /nfs/dbraw/zinc/79/30/07/340793007.db2.gz FOLZZLXFQMDPNP-CABCVRRESA-N 0 3 317.502 4.200 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1cccc(OC(F)F)c1 ZINC000537978397 340795096 /nfs/dbraw/zinc/79/50/96/340795096.db2.gz SEXALKWFAHUPBP-DIFFPNOSSA-N 0 3 321.342 4.450 20 0 DIADHN CSCCCCNCc1cc(Br)ccc1Cl ZINC000124293236 340798242 /nfs/dbraw/zinc/79/82/42/340798242.db2.gz LKVBOICTDLQBEV-UHFFFAOYSA-N 0 3 322.699 4.335 20 0 DIADHN Cc1ncsc1CCN[C@H](C)c1ccccc1OC(C)C ZINC000538125832 340810593 /nfs/dbraw/zinc/81/05/93/340810593.db2.gz GPGBRIOCNKDNGF-CYBMUJFWSA-N 0 3 304.459 4.132 20 0 DIADHN COc1cc([C@H](C)NC[C@@H](C)C(F)(F)F)ccc1OC(C)C ZINC000538152694 340811621 /nfs/dbraw/zinc/81/16/21/340811621.db2.gz FZILNRMFPHOMJH-NEPJUHHUSA-N 0 3 319.367 4.331 20 0 DIADHN Cc1ccc([C@H](NC[C@H](CO)c2ccccc2)C2CCCC2)o1 ZINC000538293855 340816643 /nfs/dbraw/zinc/81/66/43/340816643.db2.gz KXLLBXUJZRNVPS-UYAOXDASSA-N 0 3 313.441 4.185 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](c2ccccc2C)C2CC2)c1 ZINC000538389256 340819882 /nfs/dbraw/zinc/81/98/82/340819882.db2.gz CWMDCRDSHPQUMZ-OXJNMPFZSA-N 0 3 322.452 4.371 20 0 DIADHN COc1cccc2cc([C@@H](C)NCC(C)(C)[C@@H](O)C(C)C)oc21 ZINC000538406844 340821560 /nfs/dbraw/zinc/82/15/60/340821560.db2.gz WDNPIILZNQYBEE-ACJLOTCBSA-N 0 3 319.445 4.135 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)N[C@@H]1CCc2c1cccc2F ZINC000538409295 340821856 /nfs/dbraw/zinc/82/18/56/340821856.db2.gz LJIHJWCDNBVSHJ-DPMMWBKBSA-N 0 3 317.379 4.318 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)NCc1ccnc2ccccc12 ZINC000538488168 340827886 /nfs/dbraw/zinc/82/78/86/340827886.db2.gz RZCKUNLFGGYGAI-XOBRGWDASA-N 0 3 324.399 4.240 20 0 DIADHN CC1(C)C[C@@H](NCc2cnc(-c3ccccc3)s2)C(C)(C)O1 ZINC000538671566 340837617 /nfs/dbraw/zinc/83/76/17/340837617.db2.gz YKPXCRYEMRFQCM-OAHLLOKOSA-N 0 3 316.470 4.246 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CCc3ccc(C)cc32)cn1 ZINC000126861756 340838716 /nfs/dbraw/zinc/83/87/16/340838716.db2.gz ATICWPWGZYIMMI-IBGZPJMESA-N 0 3 309.457 4.013 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(C)n2)c2ccccc12 ZINC000127234441 340847124 /nfs/dbraw/zinc/84/71/24/340847124.db2.gz IEHSTYZKJSZJKF-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1nc2c(s1)[C@@H](NC[C@@H](C)Oc1ccccc1F)CCC2 ZINC000127556294 340852974 /nfs/dbraw/zinc/85/29/74/340852974.db2.gz PSCNZCHBMRWBEO-RISCZKNCSA-N 0 3 320.433 4.025 20 0 DIADHN Cn1ccnc1[C@@H](NCCc1cc(Cl)cc(Cl)c1)C1CC1 ZINC000127634187 340854431 /nfs/dbraw/zinc/85/44/31/340854431.db2.gz SIAQCMFYGVXRDR-HNNXBMFYSA-N 0 3 324.255 4.010 20 0 DIADHN Cc1ccc(CCNCc2c(Cl)cccc2N2CCCC2)o1 ZINC000538849882 340857779 /nfs/dbraw/zinc/85/77/79/340857779.db2.gz ZBFQHJKWRLSUEY-UHFFFAOYSA-N 0 3 318.848 4.174 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCc1nc(C)oc1C ZINC000538986127 340864404 /nfs/dbraw/zinc/86/44/04/340864404.db2.gz OTDVNHXSCPHWLZ-NSHDSACASA-N 0 3 322.836 4.237 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCC(=O)N2 ZINC000539041103 340866279 /nfs/dbraw/zinc/86/62/79/340866279.db2.gz KUTNVXOKVUZROV-CWTRNNRKSA-N 0 3 324.399 4.049 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCC(=O)N2 ZINC000539041099 340866344 /nfs/dbraw/zinc/86/63/44/340866344.db2.gz KUTNVXOKVUZROV-BLVKFPJESA-N 0 3 324.399 4.049 20 0 DIADHN CS[C@@H](C)CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000539048710 340867042 /nfs/dbraw/zinc/86/70/42/340867042.db2.gz BSXCZCAKVOTFNE-IUCAKERBSA-N 0 3 309.809 4.344 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H]2CCCN(c3ccccc3F)C2)o1 ZINC000539047274 340867191 /nfs/dbraw/zinc/86/71/91/340867191.db2.gz MONDYXQOAPRRBC-CABCVRRESA-N 0 3 302.393 4.047 20 0 DIADHN C[C@H](NC1CCC(F)(F)CC1)c1nc2c(s1)CCCC2 ZINC000539048203 340867360 /nfs/dbraw/zinc/86/73/60/340867360.db2.gz BVRYOANABFMVJD-JTQLQIEISA-N 0 3 300.418 4.250 20 0 DIADHN COc1ccc([C@H](OC)[C@H](C)N[C@H](C)c2ccsc2)cc1 ZINC000539050841 340867662 /nfs/dbraw/zinc/86/76/62/340867662.db2.gz RFDIXUPTPCKNBF-IIYDPXPESA-N 0 3 305.443 4.184 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H]1CCc2ccc(F)cc21 ZINC000539050837 340867668 /nfs/dbraw/zinc/86/76/68/340867668.db2.gz QZBZAVLOZNHNDK-DPMMWBKBSA-N 0 3 317.379 4.318 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@H](C)c1c(C)noc1C ZINC000539051275 340867847 /nfs/dbraw/zinc/86/78/47/340867847.db2.gz DFYVSVYSPMOCBR-QWHCGFSZSA-N 0 3 302.418 4.063 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1ccccc1OC(F)(F)F ZINC000539052980 340867961 /nfs/dbraw/zinc/86/79/61/340867961.db2.gz TZAPANNXMBKJKJ-VXGBXAGGSA-N 0 3 319.367 4.033 20 0 DIADHN CCc1ccc([C@H](C)NCCOc2ncccc2Cl)s1 ZINC000539059365 340869040 /nfs/dbraw/zinc/86/90/40/340869040.db2.gz WUWOHHUSVNPMCQ-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000539068192 340870084 /nfs/dbraw/zinc/87/00/84/340870084.db2.gz MIAPIZWCXOTOQZ-HBUWYVDXSA-N 0 3 303.833 4.442 20 0 DIADHN COC[C@H](NCCCC1CC1)c1cccc(C(F)(F)F)c1 ZINC000539071678 340870567 /nfs/dbraw/zinc/87/05/67/340870567.db2.gz CLYLFFXUXLBFCA-HNNXBMFYSA-N 0 3 301.352 4.173 20 0 DIADHN CCOc1ccccc1CCN[C@H](CC(C)C)c1ccccn1 ZINC000539078302 340871168 /nfs/dbraw/zinc/87/11/68/340871168.db2.gz VAHUQKLEBPPOJK-LJQANCHMSA-N 0 3 312.457 4.400 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2ccc(OC)cc2F)c1 ZINC000539079799 340871346 /nfs/dbraw/zinc/87/13/46/340871346.db2.gz IWDLDWGQLPJKKU-KBPBESRZSA-N 0 3 317.404 4.125 20 0 DIADHN C[C@H](NCCOc1cccc(C(C)(C)C)c1)c1ccc(F)cn1 ZINC000539083321 340871600 /nfs/dbraw/zinc/87/16/00/340871600.db2.gz LTBGAMZFTJZGFG-AWEZNQCLSA-N 0 3 316.420 4.248 20 0 DIADHN Cc1ccncc1[C@@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000539085990 340871968 /nfs/dbraw/zinc/87/19/68/340871968.db2.gz BZZHTNYPRBVALN-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1ccccc1)c1ccc(F)cc1 ZINC000539086656 340871996 /nfs/dbraw/zinc/87/19/96/340871996.db2.gz MJWOYWLOMXKCBY-DNVCBOLYSA-N 0 3 301.405 4.124 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCCOc1cccc(F)c1 ZINC000539090596 340872559 /nfs/dbraw/zinc/87/25/59/340872559.db2.gz OZCVDSODUXJDEE-LBPRGKRZSA-N 0 3 322.449 4.444 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1sccc1C)c1cccc(Cl)c1 ZINC000539121023 340874275 /nfs/dbraw/zinc/87/42/75/340874275.db2.gz RZDYLYYPDDVVLZ-CABCVRRESA-N 0 3 309.862 4.484 20 0 DIADHN C[C@@H](NC[C@@H]1CCCc2ccccc21)c1nc2ccccc2n1C ZINC000539163082 340875209 /nfs/dbraw/zinc/87/52/09/340875209.db2.gz BSXIMCHUKQGEPM-WBVHZDCISA-N 0 3 319.452 4.344 20 0 DIADHN CC(C)[C@@H](NCCOc1ccc(Cl)cc1)c1cccnc1 ZINC000539217529 340876996 /nfs/dbraw/zinc/87/69/96/340876996.db2.gz XQWSAZGEEKYPET-QGZVFWFLSA-N 0 3 304.821 4.101 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2cccc(Cl)c2C)cc1 ZINC000539234327 340877752 /nfs/dbraw/zinc/87/77/52/340877752.db2.gz VKZJMQAFVWYMEZ-AWEZNQCLSA-N 0 3 316.832 4.246 20 0 DIADHN CCCOc1ccc([C@@H](C)NC[C@H](C)C(F)(F)F)cc1OC ZINC000539254911 340878540 /nfs/dbraw/zinc/87/85/40/340878540.db2.gz ZMCRLNUUSWEDGE-NWDGAFQWSA-N 0 3 319.367 4.333 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(F)ccc21)c1ccc2c(c1)OCO2 ZINC000539315411 340880677 /nfs/dbraw/zinc/88/06/77/340880677.db2.gz VDCREHGHUWHDDM-SJCJKPOMSA-N 0 3 313.372 4.283 20 0 DIADHN CCC(CC)(CN[C@H](c1nccn1C)C1CC1)c1ccccc1 ZINC000539394582 340883691 /nfs/dbraw/zinc/88/36/91/340883691.db2.gz QQAROGDFYUGCKF-SFHVURJKSA-N 0 3 311.473 4.219 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc(C(C)(C)C)cs2)C12CCCC2 ZINC000539494190 340886981 /nfs/dbraw/zinc/88/69/81/340886981.db2.gz AVWMFUOUJARUFI-HIFRSBDPSA-N 0 3 322.518 4.268 20 0 DIADHN CCC1(CC)[C@H](N[C@H](c2ccccc2)c2cccnc2)C[C@@H]1OC ZINC000539496196 340887578 /nfs/dbraw/zinc/88/75/78/340887578.db2.gz LSWIPWNAAWXXLX-HSALFYBXSA-N 0 3 324.468 4.354 20 0 DIADHN CCC1(CC)[C@H](N[C@@H](c2ccccc2)c2cccnc2)C[C@H]1OC ZINC000539496195 340887603 /nfs/dbraw/zinc/88/76/03/340887603.db2.gz LSWIPWNAAWXXLX-AQNXPRMDSA-N 0 3 324.468 4.354 20 0 DIADHN Cc1cccc(Oc2ccccc2CNCC2=CCCOC2)c1 ZINC000539506663 340888440 /nfs/dbraw/zinc/88/84/40/340888440.db2.gz CGJZIRHNGCMWHS-UHFFFAOYSA-N 0 3 309.409 4.224 20 0 DIADHN CO[C@H](C)c1nc(CNC[C@@H](C)CCc2ccccc2)cs1 ZINC000539513307 340888760 /nfs/dbraw/zinc/88/87/60/340888760.db2.gz WCEFKFDELMHWAF-LSDHHAIUSA-N 0 3 318.486 4.209 20 0 DIADHN Cc1cc(C(=O)Nc2cc(CN3CCCC3)ccc2C)oc1C ZINC000128507193 340891482 /nfs/dbraw/zinc/89/14/82/340891482.db2.gz KTRRGPRZMMFCMN-UHFFFAOYSA-N 0 3 312.413 4.053 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1CCO[C@@H](CC(C)C)C1 ZINC000539634748 340893843 /nfs/dbraw/zinc/89/38/43/340893843.db2.gz XKJSUPINPDHJAQ-KBPBESRZSA-N 0 3 311.853 4.032 20 0 DIADHN CC1(C)CCN(Cc2ccccc2OCc2cccnc2)CC1 ZINC000539839315 340905089 /nfs/dbraw/zinc/90/50/89/340905089.db2.gz SZMKSMRQGPHMFT-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CC(C)CCC1CCN(Cc2c[nH]nc2-c2cccnc2)CC1 ZINC000539927226 340910043 /nfs/dbraw/zinc/91/00/43/340910043.db2.gz MIAAYGXLYOFDED-UHFFFAOYSA-N 0 3 312.461 4.120 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2ccc(F)cn2)cc1 ZINC000539969571 340911449 /nfs/dbraw/zinc/91/14/49/340911449.db2.gz XEKOZANASDEXKK-ZMYBRWDISA-N 0 3 314.404 4.100 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cccc2cccnc21 ZINC000539978142 340911705 /nfs/dbraw/zinc/91/17/05/340911705.db2.gz OGUSLRLQMBNSHO-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN COc1ccc(CNCc2ccnc(SC)c2)c2ccccc12 ZINC000540066586 340914006 /nfs/dbraw/zinc/91/40/06/340914006.db2.gz LHOXHZJZERNABT-UHFFFAOYSA-N 0 3 324.449 4.255 20 0 DIADHN COc1ccc([C@@H](OC)[C@H](C)NCc2ccccc2Cl)cc1 ZINC000540065964 340914049 /nfs/dbraw/zinc/91/40/49/340914049.db2.gz ARUKEVXTNIEWOJ-UGSOOPFHSA-N 0 3 319.832 4.214 20 0 DIADHN COc1ccc([C@@H](NC2CCCC2)c2ccccc2OC)cc1 ZINC000540146847 340917052 /nfs/dbraw/zinc/91/70/52/340917052.db2.gz NBUKXFVETZQRTP-HXUWFJFHSA-N 0 3 311.425 4.325 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@H]2N[C@@H](C)c2ccccn2)c1 ZINC000540147473 340917192 /nfs/dbraw/zinc/91/71/92/340917192.db2.gz TVCZYRAVBJKENP-PWIZWCRZSA-N 0 3 310.441 4.431 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1Cl)[C@@H](O)Cc1ccccc1 ZINC000540149577 340917282 /nfs/dbraw/zinc/91/72/82/340917282.db2.gz WAFHZVBHPDCKLP-JCGVRSQUSA-N 0 3 321.823 4.122 20 0 DIADHN C[C@H](NCCSCC(F)(F)F)c1ccc(F)c(Cl)c1 ZINC000540160256 340918924 /nfs/dbraw/zinc/91/89/24/340918924.db2.gz BLFSZXALNRWPLH-QMMMGPOBSA-N 0 3 315.763 4.425 20 0 DIADHN C[C@H](N[C@@H]1CCCC(F)(F)C1)c1nc2c(s1)CCCC2 ZINC000540163296 340919352 /nfs/dbraw/zinc/91/93/52/340919352.db2.gz JFFRJOAHAWBDFT-WDEREUQCSA-N 0 3 300.418 4.250 20 0 DIADHN COc1cccc(C[C@@H](C)N[C@H](C)c2ccc(F)cc2F)c1 ZINC000540182219 340921385 /nfs/dbraw/zinc/92/13/85/340921385.db2.gz OTHVXJBQLYKAKE-CHWSQXEVSA-N 0 3 305.368 4.255 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccccc1OC(F)F)Oc1ccccc1 ZINC000540183197 340921440 /nfs/dbraw/zinc/92/14/40/340921440.db2.gz PXZJXWRFVBRBOB-KGLIPLIRSA-N 0 3 321.367 4.406 20 0 DIADHN CCc1nc2c(s1)[C@H](NC[C@@H](C)Oc1ccccc1)CCC2 ZINC000540184074 340921481 /nfs/dbraw/zinc/92/14/81/340921481.db2.gz LXBGMPMMUVYSIE-UKRRQHHQSA-N 0 3 316.470 4.140 20 0 DIADHN CC(C)[C@@H](NCc1ccc(OCC2CC2)nc1)c1ccccc1 ZINC000540302898 340925952 /nfs/dbraw/zinc/92/59/52/340925952.db2.gz VMLNLPFXMRHSMY-HXUWFJFHSA-N 0 3 310.441 4.357 20 0 DIADHN COc1cc(F)c([C@H](C)NC[C@H](C)c2ccccc2)cc1OC ZINC000540386336 340928983 /nfs/dbraw/zinc/92/89/83/340928983.db2.gz PRFJSYIMCXODLH-KBPBESRZSA-N 0 3 317.404 4.297 20 0 DIADHN c1ccc([C@H](NCc2ccc3c(c2)CCC3)[C@@H]2CCOC2)cc1 ZINC000540395233 340929394 /nfs/dbraw/zinc/92/93/94/340929394.db2.gz MVZBSKRFKNWLFS-RTWAWAEBSA-N 0 3 307.437 4.043 20 0 DIADHN C[C@@H](NCCCOCCc1ccccc1)c1cccc(F)c1F ZINC000540432047 340931089 /nfs/dbraw/zinc/93/10/89/340931089.db2.gz BKDXMNDGXDOFCB-OAHLLOKOSA-N 0 3 319.395 4.265 20 0 DIADHN Cc1cc(C)c(CNC2(c3ccc4c(c3)OCO4)CC2)c(C)c1 ZINC000540431844 340931093 /nfs/dbraw/zinc/93/10/93/340931093.db2.gz GHEJUYNGGKHSEW-UHFFFAOYSA-N 0 3 309.409 4.119 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ncc(-c2ccccc2)o1)C1CCOCC1 ZINC000540520275 340934835 /nfs/dbraw/zinc/93/48/35/340934835.db2.gz DGRDVHATNCGKPG-WMLDXEAASA-N 0 3 314.429 4.197 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2ccc3ccccc3n2)o1 ZINC000540595374 340937359 /nfs/dbraw/zinc/93/73/59/340937359.db2.gz DAIUWIMTRNBKRU-RDTXWAMCSA-N 0 3 306.409 4.284 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1C1CC1)c1cc2cc(Cl)ccc2o1 ZINC000540604907 340938134 /nfs/dbraw/zinc/93/81/34/340938134.db2.gz CSXBGFDXKDMTIY-RMLVOYDJSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@@H]1CC[C@H](C(=O)Nc2cccc(CN3CCCCC3)c2)C1 ZINC000540663345 340940832 /nfs/dbraw/zinc/94/08/32/340940832.db2.gz WEUGDNCVXXCNCX-WBVHZDCISA-N 0 3 300.446 4.047 20 0 DIADHN CC1(C)C[C@H](NC2(c3ccc4c(c3)OCO4)CC2)c2ccccc21 ZINC000540752172 340944608 /nfs/dbraw/zinc/94/46/08/340944608.db2.gz VWIUAEJREQUFIN-KRWDZBQOSA-N 0 3 321.420 4.417 20 0 DIADHN Cc1cc(F)cc(N[C@@H](C)c2cccc(OCCN(C)C)c2)c1 ZINC000540839730 340948344 /nfs/dbraw/zinc/94/83/44/340948344.db2.gz NXYUAJOFCTZNAY-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN Clc1cc(Br)ccc1CN[C@@H]1CCCSC1 ZINC000129246532 340951984 /nfs/dbraw/zinc/95/19/84/340951984.db2.gz PIIWRNURCSMZSN-LLVKDONJSA-N 0 3 320.683 4.088 20 0 DIADHN CCc1cnc(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)s1 ZINC000541378015 340968885 /nfs/dbraw/zinc/96/88/85/340968885.db2.gz QPRFKYRFYVIZQT-QWRGUYRKSA-N 0 3 306.397 4.164 20 0 DIADHN C[C@H](NC[C@H](O)CCc1ccccc1)c1ccc(F)c(Cl)c1 ZINC000541559816 340974628 /nfs/dbraw/zinc/97/46/28/340974628.db2.gz KBYRJUWFKWSGBO-XJKSGUPXSA-N 0 3 321.823 4.123 20 0 DIADHN C[C@@H](NC[C@H](O)CCc1ccccc1)c1ccc(F)c(Cl)c1 ZINC000541559815 340974733 /nfs/dbraw/zinc/97/47/33/340974733.db2.gz KBYRJUWFKWSGBO-CZUORRHYSA-N 0 3 321.823 4.123 20 0 DIADHN C[C@H](CNC1(c2nccs2)CCCC1)Cc1ccccc1 ZINC000541588752 340976252 /nfs/dbraw/zinc/97/62/52/340976252.db2.gz JZAGHAOSAAWGBP-HNNXBMFYSA-N 0 3 300.471 4.381 20 0 DIADHN CC[C@H]1CCCCN1C(=O)CN[C@H](CC(C)C)c1cccs1 ZINC000541627239 340978408 /nfs/dbraw/zinc/97/84/08/340978408.db2.gz PAZJIHMHTKDWLM-JKSUJKDBSA-N 0 3 322.518 4.216 20 0 DIADHN COC[C@@H](NCCc1ccc2ccccc2c1)c1ccc(C)o1 ZINC000541629976 340978524 /nfs/dbraw/zinc/97/85/24/340978524.db2.gz RRTDLGKMVQGVEJ-LJQANCHMSA-N 0 3 309.409 4.261 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2ccc(OC(C)C)cc2)no1 ZINC000541844588 340985328 /nfs/dbraw/zinc/98/53/28/340985328.db2.gz VJUYPNPATJFGKK-MOPGFXCFSA-N 0 3 314.429 4.196 20 0 DIADHN CCOCCCN[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000541843954 340985375 /nfs/dbraw/zinc/98/53/75/340985375.db2.gz CVPWDPJIPRFREC-GOSISDBHSA-N 0 3 303.833 4.446 20 0 DIADHN COc1ccc([C@@H](NCCCSC)c2ccccc2)cc1 ZINC000070185892 340989535 /nfs/dbraw/zinc/98/95/35/340989535.db2.gz WYYFXHKJFRZDBN-SFHVURJKSA-N 0 3 301.455 4.127 20 0 DIADHN CC[C@@](C)(NC[C@H]1CCc2ccccc2C1)c1nccs1 ZINC000541985967 340992416 /nfs/dbraw/zinc/99/24/16/340992416.db2.gz ZBMLIMQLBDVPHJ-KBXCAEBGSA-N 0 3 300.471 4.163 20 0 DIADHN Clc1ccccc1CN1CCC(CCCn2ccnc2)CC1 ZINC000542133646 340996541 /nfs/dbraw/zinc/99/65/41/340996541.db2.gz AHJNXARAWTZUOT-UHFFFAOYSA-N 0 3 317.864 4.229 20 0 DIADHN COCC[C@@H](NC1CC(c2cccc(F)c2)C1)c1ccc(C)o1 ZINC000542185149 340998736 /nfs/dbraw/zinc/99/87/36/340998736.db2.gz XECBGMHOXJHJPR-VMWRSERWSA-N 0 3 317.404 4.341 20 0 DIADHN C[C@@]1(NCc2ccc(Cl)c(Cl)c2)CCO[C@H]1C1CC1 ZINC000542311251 341004050 /nfs/dbraw/zinc/00/40/50/341004050.db2.gz PPVJQIUJQQFMQQ-LSDHHAIUSA-N 0 3 300.229 4.041 20 0 DIADHN C[C@]1(NCc2ccc(-c3ccc(F)cc3)o2)CCO[C@H]1C1CC1 ZINC000542313734 341004252 /nfs/dbraw/zinc/00/42/52/341004252.db2.gz WXTHJYWGEIWEJQ-OALUTQOASA-N 0 3 315.388 4.133 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2cc(Cl)ccc2Cl)CCO1 ZINC000542314121 341004263 /nfs/dbraw/zinc/00/42/63/341004263.db2.gz CLHUSGBOVKPNDD-VXGBXAGGSA-N 0 3 302.245 4.288 20 0 DIADHN CC(C)(C)[C@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000542317305 341004390 /nfs/dbraw/zinc/00/43/90/341004390.db2.gz WUIXVOISFYUZRZ-ZDUSSCGKSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1cc(C)cc([C@H]2CCN(Cc3nc4ccccc4o3)C2)c1 ZINC000542320164 341004779 /nfs/dbraw/zinc/00/47/79/341004779.db2.gz WNXRTRNTGIHDHP-INIZCTEOSA-N 0 3 306.409 4.434 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)CCOc1ccccc1Cl ZINC000130198381 341006005 /nfs/dbraw/zinc/00/60/05/341006005.db2.gz YHYZOKGBHMKPQS-INIZCTEOSA-N 0 3 304.821 4.197 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](NCc2nc(C3CC3)cs2)C1 ZINC000130212147 341006733 /nfs/dbraw/zinc/00/67/33/341006733.db2.gz IVYZAOSMVILRAN-MNOVXSKESA-N 0 3 304.381 4.231 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2ccc(Cl)s2)s1 ZINC000130232758 341007838 /nfs/dbraw/zinc/00/78/38/341007838.db2.gz LZINZTBCUUHPOF-VIFPVBQESA-N 0 3 300.880 4.314 20 0 DIADHN CC(C)COc1cccc(CN(C)C[C@@H](C)c2nccs2)c1 ZINC000542464292 341008294 /nfs/dbraw/zinc/00/82/94/341008294.db2.gz PMDJJEFDVLPQDB-OAHLLOKOSA-N 0 3 318.486 4.413 20 0 DIADHN C[C@H](NCc1ccc(OC2CCCCC2)cc1)[C@@H]1CCCO1 ZINC000542472067 341008826 /nfs/dbraw/zinc/00/88/26/341008826.db2.gz YLLMJAMAMSTINT-KXBFYZLASA-N 0 3 303.446 4.055 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ccc(-c3ccccc3F)o2)CCO1 ZINC000542483649 341009480 /nfs/dbraw/zinc/00/94/80/341009480.db2.gz SGDBSYWEFPJNSD-GJZGRUSLSA-N 0 3 317.404 4.381 20 0 DIADHN C[C@H](CCC(F)(F)F)NCc1cccc(OC(C)(C)C)n1 ZINC000542483625 341009517 /nfs/dbraw/zinc/00/95/17/341009517.db2.gz DXSAEIXCHUROAL-LLVKDONJSA-N 0 3 304.356 4.080 20 0 DIADHN C[C@@H](NC[C@H](O)CCc1ccccc1)c1ccc(F)cc1Cl ZINC000542486826 341009837 /nfs/dbraw/zinc/00/98/37/341009837.db2.gz NNUCJWZOHZAJRV-CZUORRHYSA-N 0 3 321.823 4.123 20 0 DIADHN Fc1ccc([C@H](NCC2(C3CC3)CCC2)c2ccccn2)cc1 ZINC000542494602 341010327 /nfs/dbraw/zinc/01/03/27/341010327.db2.gz MDHBOEISDRKZIG-IBGZPJMESA-N 0 3 310.416 4.480 20 0 DIADHN CS[C@H](CN[C@@H](C)c1nc2ccccc2n1C)C(C)(C)C ZINC000542500530 341010706 /nfs/dbraw/zinc/01/07/06/341010706.db2.gz ZJRFGBKVUYSGHK-SWLSCSKDSA-N 0 3 305.491 4.002 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)NC1(C2CC2)CC1 ZINC000542527005 341012130 /nfs/dbraw/zinc/01/21/30/341012130.db2.gz YDELYWVZVWYHBM-KBPBESRZSA-N 0 3 300.446 4.059 20 0 DIADHN Cc1cnc([C@@H](NC[C@H]2CCc3ccccc3C2)C2CC2)s1 ZINC000542534484 341012586 /nfs/dbraw/zinc/01/25/86/341012586.db2.gz WVIMVOLGWAEWRL-KSSFIOAISA-N 0 3 312.482 4.297 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](C)[C@@H](C)c2ccccc2)c1C ZINC000542544462 341012912 /nfs/dbraw/zinc/01/29/12/341012912.db2.gz NUGGHZBDWFDBNM-SQNIBIBYSA-N 0 3 324.468 4.412 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@H](C)[C@H](C)c2ccccc2)c1C ZINC000542544456 341012933 /nfs/dbraw/zinc/01/29/33/341012933.db2.gz NUGGHZBDWFDBNM-KSZLIROESA-N 0 3 324.468 4.412 20 0 DIADHN CC(C)C[C@H](NCC(=O)N(C(C)C)C(C)C)c1cccs1 ZINC000542555062 341013323 /nfs/dbraw/zinc/01/33/23/341013323.db2.gz MQBZUMWMUPLKJD-HNNXBMFYSA-N 0 3 310.507 4.070 20 0 DIADHN Cc1ccc(F)cc1NC(=O)[C@@H](C)NC(C)(C)c1cccs1 ZINC000542574418 341014296 /nfs/dbraw/zinc/01/42/96/341014296.db2.gz PMOFOAQGDHFONC-GFCCVEGCSA-N 0 3 320.433 4.048 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC(C)(C)c2cccs2)c(C)c1 ZINC000542575015 341014309 /nfs/dbraw/zinc/01/43/09/341014309.db2.gz YEJNOPPOHIGLOF-UHFFFAOYSA-N 0 3 316.470 4.137 20 0 DIADHN CC[C@H](C)C[C@H](C)N[C@@H](C)c1ncc(Br)s1 ZINC000130298151 341014588 /nfs/dbraw/zinc/01/45/88/341014588.db2.gz FZZMLTOKGNTONQ-GUBZILKMSA-N 0 3 305.285 4.381 20 0 DIADHN CCC[C@H]1CCCCN1CC(=O)Nc1ccccc1C(C)C ZINC000542631180 341015350 /nfs/dbraw/zinc/01/53/50/341015350.db2.gz DAYYQXYYTUIVKF-INIZCTEOSA-N 0 3 302.462 4.403 20 0 DIADHN Cc1ccc([C@@H](NCCc2nc(C)oc2C)C2CCCC2)o1 ZINC000542664826 341016171 /nfs/dbraw/zinc/01/61/71/341016171.db2.gz UJXMFULIHYJPGP-SFHVURJKSA-N 0 3 302.418 4.256 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccccc3Cl)CCO2)cc1 ZINC000542689653 341017304 /nfs/dbraw/zinc/01/73/04/341017304.db2.gz ORYNJMPMGIGZLO-SJLPKXTDSA-N 0 3 319.807 4.489 20 0 DIADHN CN(CCc1ccncc1)Cc1cnc(C2CCCCC2)s1 ZINC000542693678 341017608 /nfs/dbraw/zinc/01/76/08/341017608.db2.gz QTQVLLCIBXYUDP-UHFFFAOYSA-N 0 3 315.486 4.260 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cc(Cl)cc2c1OCC2 ZINC000542706933 341018276 /nfs/dbraw/zinc/01/82/76/341018276.db2.gz DDBIMNJVUWLNGC-LLVKDONJSA-N 0 3 307.846 4.496 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3c2cccc3O)cc1Cl ZINC000130350546 341019286 /nfs/dbraw/zinc/01/92/86/341019286.db2.gz NBLHFWBMBIMGBN-BDJLRTHQSA-N 0 3 317.816 4.392 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2cc3ccccc3o2)s1 ZINC000130372572 341020049 /nfs/dbraw/zinc/02/00/49/341020049.db2.gz VKFUWPVGLCEQLJ-LBPRGKRZSA-N 0 3 300.427 4.345 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1csc2ccccc12 ZINC000542779674 341020357 /nfs/dbraw/zinc/02/03/57/341020357.db2.gz XLHVRUDBGHDTIW-GFCCVEGCSA-N 0 3 305.443 4.111 20 0 DIADHN Cc1nnc(CN[C@@H](CCc2ccc(Cl)cc2)C(C)C)s1 ZINC000542811089 341021616 /nfs/dbraw/zinc/02/16/16/341021616.db2.gz ZQGCEVLOXPFWKU-HNNXBMFYSA-N 0 3 323.893 4.247 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@H]2c3ccccc3C[C@H]2C)cc1 ZINC000542846591 341023306 /nfs/dbraw/zinc/02/33/06/341023306.db2.gz MUEWZOJEQPYABB-LAPQFRIASA-N 0 3 308.425 4.229 20 0 DIADHN CC(C)[C@@H](NCC(C)(C)CCO)c1ccc(Cl)cc1F ZINC000542848625 341023462 /nfs/dbraw/zinc/02/34/62/341023462.db2.gz VMHFBSTZFLCCJG-OAHLLOKOSA-N 0 3 301.833 4.174 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3coc(-c4cccc(F)c4)n3)C2)C1 ZINC000542882594 341025081 /nfs/dbraw/zinc/02/50/81/341025081.db2.gz AVBVELQYWGIYFZ-AUUYWEPGSA-N 0 3 314.404 4.493 20 0 DIADHN CCC(CC)(CC)CN1CCN(CCc2cccs2)CC1 ZINC000542948968 341027037 /nfs/dbraw/zinc/02/70/37/341027037.db2.gz JXZBZZPRDNPPGU-UHFFFAOYSA-N 0 3 308.535 4.125 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)c(C)c1C ZINC000542969823 341027505 /nfs/dbraw/zinc/02/75/05/341027505.db2.gz YZKWGTSNAOWQOF-UVBJJODRSA-N 0 3 320.502 4.122 20 0 DIADHN COc1ccccc1-c1ccc(CN(C)[C@@H]2CCSC2)cc1 ZINC000130574628 341028861 /nfs/dbraw/zinc/02/88/61/341028861.db2.gz CHDYEZWVWLGSDA-QGZVFWFLSA-N 0 3 313.466 4.300 20 0 DIADHN C[C@@H](NCCc1cn2ccccc2n1)c1ccc(F)cc1Cl ZINC000130586555 341029485 /nfs/dbraw/zinc/02/94/85/341029485.db2.gz HGFPYBMOFNQUKH-GFCCVEGCSA-N 0 3 317.795 4.020 20 0 DIADHN C[C@H]1c2ccccc2CN1CCC(=O)Nc1ccc(Cl)cc1 ZINC000543063107 341030176 /nfs/dbraw/zinc/03/01/76/341030176.db2.gz XQTPXLKKUDAJOC-ZDUSSCGKSA-N 0 3 314.816 4.245 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)NCc2ccc(-c3ccccc3)o2)n1 ZINC000543069358 341030385 /nfs/dbraw/zinc/03/03/85/341030385.db2.gz NNRBTDBRWLSJPJ-MRXNPFEDSA-N 0 3 323.440 4.445 20 0 DIADHN CCCCCn1cc(CNC2CC(c3ccccc3)C2)c(C)n1 ZINC000543074149 341030639 /nfs/dbraw/zinc/03/06/39/341030639.db2.gz KYPWRBSLNXOZJB-UHFFFAOYSA-N 0 3 311.473 4.418 20 0 DIADHN CSc1ccccc1NC(=O)[C@H](C)N(C)C[C@H]1CC=CCC1 ZINC000543175238 341035287 /nfs/dbraw/zinc/03/52/87/341035287.db2.gz QACGTNBDACWMKQ-GJZGRUSLSA-N 0 3 318.486 4.024 20 0 DIADHN CN(Cc1coc(-c2c(F)cccc2F)n1)C[C@@H]1CC=CCC1 ZINC000543176861 341035349 /nfs/dbraw/zinc/03/53/49/341035349.db2.gz AFYCFASHGZUSDQ-CYBMUJFWSA-N 0 3 318.367 4.408 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)[C@@H]3CCSC3)s2)cc1 ZINC000130640829 341035820 /nfs/dbraw/zinc/03/58/20/341035820.db2.gz GUIVLKXTOQETNK-CQSZACIVSA-N 0 3 304.484 4.056 20 0 DIADHN C[C@@H]1C[C@H](CCNCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000543228721 341037231 /nfs/dbraw/zinc/03/72/31/341037231.db2.gz XOSSMBMDBYYVMB-HZPDHXFCSA-N 0 3 315.413 4.367 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000543228719 341037239 /nfs/dbraw/zinc/03/72/39/341037239.db2.gz XOSSMBMDBYYVMB-CVEARBPZSA-N 0 3 315.413 4.367 20 0 DIADHN C[C@@]1(NCc2cc(Cl)ccc2Cl)CCO[C@@H]1C1CC1 ZINC000543237209 341037371 /nfs/dbraw/zinc/03/73/71/341037371.db2.gz ULTNLVXGJAAHAD-HUUCEWRRSA-N 0 3 300.229 4.041 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@]2(C)CCO[C@H]2C)o1 ZINC000543243188 341037657 /nfs/dbraw/zinc/03/76/57/341037657.db2.gz HVJKFSJIPXCOCA-UGSOOPFHSA-N 0 3 303.377 4.051 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3nc(C)c4ccccc4n3)C2)c1 ZINC000543247274 341038098 /nfs/dbraw/zinc/03/80/98/341038098.db2.gz VSDMIANRVIYNBR-SFHVURJKSA-N 0 3 317.436 4.236 20 0 DIADHN C[C@@H](NCCc1nc2c(s1)CCCC2)c1ccccc1F ZINC000130709952 341039833 /nfs/dbraw/zinc/03/98/33/341039833.db2.gz IEZZRLZRHDHQQE-GFCCVEGCSA-N 0 3 304.434 4.054 20 0 DIADHN CC1(C)CC[C@@H](NCc2nccn2CCCc2ccccc2)C1 ZINC000543400239 341042305 /nfs/dbraw/zinc/04/23/05/341042305.db2.gz VXHMNHHADZBOPJ-GOSISDBHSA-N 0 3 311.473 4.184 20 0 DIADHN Fc1ccc([C@H](NCC(C2CC2)C2CC2)c2ccccn2)cc1 ZINC000543400854 341042379 /nfs/dbraw/zinc/04/23/79/341042379.db2.gz FRBNKBAGOGWZIB-FQEVSTJZSA-N 0 3 310.416 4.336 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@H](C)[C@H]2CCCO2)cc1OC ZINC000543405366 341042493 /nfs/dbraw/zinc/04/24/93/341042493.db2.gz QAAUMWJBQKXBJB-ZMSDIMECSA-N 0 3 321.461 4.092 20 0 DIADHN CCCCOCCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000543408236 341042682 /nfs/dbraw/zinc/04/26/82/341042682.db2.gz BANWLYYKAZGYSQ-IBGZPJMESA-N 0 3 316.420 4.107 20 0 DIADHN CCCCOCCCN[C@H](c1ccc(F)cc1)c1cccnc1 ZINC000543408237 341042734 /nfs/dbraw/zinc/04/27/34/341042734.db2.gz BANWLYYKAZGYSQ-LJQANCHMSA-N 0 3 316.420 4.107 20 0 DIADHN CCc1ncc(CN2C[C@H](C)C[C@H]2c2cccc(OC)c2)s1 ZINC000543412950 341042822 /nfs/dbraw/zinc/04/28/22/341042822.db2.gz VBDHTYBNBLAMDT-DYVFJYSZSA-N 0 3 316.470 4.297 20 0 DIADHN CC(C)[C@@H]1OCCC[C@H]1CN[C@H](c1ccco1)c1ccccc1 ZINC000543424802 341043877 /nfs/dbraw/zinc/04/38/77/341043877.db2.gz ZBZJLUSTRURJGT-IHPCNDPISA-N 0 3 313.441 4.410 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1ccc(F)cc1OC(F)F ZINC000543425709 341043879 /nfs/dbraw/zinc/04/38/79/341043879.db2.gz DHPIGAOLRPYUGN-CMPLNLGQSA-N 0 3 319.392 4.363 20 0 DIADHN COC[C@H](NC[C@H](SC)C(C)(C)C)c1ccc(F)c(F)c1 ZINC000543427705 341044034 /nfs/dbraw/zinc/04/40/34/341044034.db2.gz DVMKAYHLCFDEJL-GJZGRUSLSA-N 0 3 317.445 4.020 20 0 DIADHN COC[C@@H](NC[C@H](SC)C(C)(C)C)c1ccc(F)c(F)c1 ZINC000543427704 341044072 /nfs/dbraw/zinc/04/40/72/341044072.db2.gz DVMKAYHLCFDEJL-CABCVRRESA-N 0 3 317.445 4.020 20 0 DIADHN COCC[C@@H](NCCSc1ccc(C)c(C)c1)c1ccco1 ZINC000543458861 341045778 /nfs/dbraw/zinc/04/57/78/341045778.db2.gz NFPDBHZVSSGSHF-QGZVFWFLSA-N 0 3 319.470 4.356 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](C)[C@@H](C)c2ccccc2)c1 ZINC000543472825 341046414 /nfs/dbraw/zinc/04/64/14/341046414.db2.gz LYTCWGJXTDYCPF-IKGGRYGDSA-N 0 3 310.441 4.104 20 0 DIADHN CC(C)C[C@H](NCC(=O)Nc1ccccc1F)c1cccs1 ZINC000543485317 341046867 /nfs/dbraw/zinc/04/68/67/341046867.db2.gz NUJZFTGUZPDCII-HNNXBMFYSA-N 0 3 320.433 4.203 20 0 DIADHN CC(C)C[C@H](NCC(=O)N1[C@H](C)CCC[C@@H]1C)c1cccs1 ZINC000543486058 341046986 /nfs/dbraw/zinc/04/69/86/341046986.db2.gz RYRLTNRJZRSOAG-XHSDSOJGSA-N 0 3 322.518 4.214 20 0 DIADHN COC[C@H](NCCCc1ccccc1Cl)c1ccc(C)o1 ZINC000543484497 341047006 /nfs/dbraw/zinc/04/70/06/341047006.db2.gz BUCNOUWAXDYXPX-INIZCTEOSA-N 0 3 307.821 4.151 20 0 DIADHN CCc1ccc([C@@H](COC)NCCc2ccccc2Cl)o1 ZINC000543488336 341047194 /nfs/dbraw/zinc/04/71/94/341047194.db2.gz IZRNAEOACQKGPM-MRXNPFEDSA-N 0 3 307.821 4.015 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2ccc(NC(N)=O)cc2)cc1 ZINC000130833246 341048507 /nfs/dbraw/zinc/04/85/07/341048507.db2.gz QLXPXFFIEUVWJZ-CQSZACIVSA-N 0 3 311.429 4.151 20 0 DIADHN Cc1cc(-c2noc([C@H](C)NCC3CCCC3)n2)ccc1F ZINC000543546525 341048758 /nfs/dbraw/zinc/04/87/58/341048758.db2.gz MFFNEIXAYJWAIX-LBPRGKRZSA-N 0 3 303.381 4.025 20 0 DIADHN c1ccc(CC2(NCc3nc4ccccc4o3)CCCC2)cc1 ZINC000543557320 341049046 /nfs/dbraw/zinc/04/90/46/341049046.db2.gz BURAWQHGPVFODJ-UHFFFAOYSA-N 0 3 306.409 4.473 20 0 DIADHN C[C@@H](CN[C@@H](c1ccccc1)c1cncnc1)C1CCCCC1 ZINC000543592223 341049861 /nfs/dbraw/zinc/04/98/61/341049861.db2.gz CGTFLGDGRCXEPP-JXFKEZNVSA-N 0 3 309.457 4.372 20 0 DIADHN Cc1c([C@H](C)NC[C@@H](C)c2ccccc2)nnn1-c1ccccc1 ZINC000543598609 341050268 /nfs/dbraw/zinc/05/02/68/341050268.db2.gz AJCHPMJSUMLPAK-CVEARBPZSA-N 0 3 320.440 4.030 20 0 DIADHN CCc1cc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)on1 ZINC000543632596 341052322 /nfs/dbraw/zinc/05/23/22/341052322.db2.gz XYBRNHMEYADTQT-QGZVFWFLSA-N 0 3 300.377 4.102 20 0 DIADHN CC(C)Oc1ccccc1[C@H](C)N[C@H](C)CC(=O)OC(C)(C)C ZINC000543707379 341054526 /nfs/dbraw/zinc/05/45/26/341054526.db2.gz RDXSCXOHOCPQGB-CABCVRRESA-N 0 3 321.461 4.245 20 0 DIADHN COCC1(C)CCN(Cc2cnc(C3CCCCC3)s2)CC1 ZINC000543715237 341054905 /nfs/dbraw/zinc/05/49/05/341054905.db2.gz MADSMEHCHLHEEV-UHFFFAOYSA-N 0 3 322.518 4.439 20 0 DIADHN CCc1cccnc1[C@H](C)NCc1cc2c(s1)CCCC2 ZINC000543740995 341056210 /nfs/dbraw/zinc/05/62/10/341056210.db2.gz SFAVQGMFVAACQM-ZDUSSCGKSA-N 0 3 300.471 4.435 20 0 DIADHN Cc1csc(C(C)(C)NC[C@H]2CCc3ccccc3C2)n1 ZINC000543844686 341061425 /nfs/dbraw/zinc/06/14/25/341061425.db2.gz UHQQTWCDKSKIHG-AWEZNQCLSA-N 0 3 300.471 4.081 20 0 DIADHN Cc1ccccc1CN1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000543914771 341063196 /nfs/dbraw/zinc/06/31/96/341063196.db2.gz KOIAIBWVWRUOLO-HNNXBMFYSA-N 0 3 323.362 4.047 20 0 DIADHN Cc1ccccc1CN1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000543914772 341063269 /nfs/dbraw/zinc/06/32/69/341063269.db2.gz KOIAIBWVWRUOLO-OAHLLOKOSA-N 0 3 323.362 4.047 20 0 DIADHN c1csc([C@@H](N[C@@H]2CCOC2)c2ccc3c(c2)CCCC3)c1 ZINC000543996617 341065583 /nfs/dbraw/zinc/06/55/83/341065583.db2.gz OTDJVDHEYXZMFM-MJGOQNOKSA-N 0 3 313.466 4.095 20 0 DIADHN CC(C)(C)[C@@H](N[C@@H]1CCOC1)c1cccc(C(F)(F)F)c1 ZINC000543999973 341065741 /nfs/dbraw/zinc/06/57/41/341065741.db2.gz RPYMTWMFQAKCFP-KGLIPLIRSA-N 0 3 301.352 4.171 20 0 DIADHN OCc1ccc(CN2CCCCC[C@H]2/C=C\c2cccs2)o1 ZINC000544032944 341067409 /nfs/dbraw/zinc/06/74/09/341067409.db2.gz VVPPJMNUCCPGKF-XMELGKRDSA-N 0 3 317.454 4.291 20 0 DIADHN Cc1ccc(C2CCN(C/C=C/c3ccc(F)cc3)CC2)cn1 ZINC000544032931 341067465 /nfs/dbraw/zinc/06/74/65/341067465.db2.gz VLOIRCWZQNUUBL-NSCUHMNNSA-N 0 3 310.416 4.422 20 0 DIADHN Cc1nc(C(C)(C)NCCc2cccc(F)c2F)sc1C ZINC000544070628 341069187 /nfs/dbraw/zinc/06/91/87/341069187.db2.gz CXJCWOLXTAAMEG-UHFFFAOYSA-N 0 3 310.413 4.106 20 0 DIADHN Cc1cccnc1[C@@H](NCCc1cccc(F)c1F)C(C)C ZINC000544071693 341069272 /nfs/dbraw/zinc/06/92/72/341069272.db2.gz LQARFMJKXDPERL-KRWDZBQOSA-N 0 3 304.384 4.198 20 0 DIADHN CSc1cccc(NC(=O)[C@H](C)N(C)C[C@H]2CC=CCC2)c1 ZINC000544112320 341070586 /nfs/dbraw/zinc/07/05/86/341070586.db2.gz ZRHHDLRHFKTAQR-GJZGRUSLSA-N 0 3 318.486 4.024 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)C[C@@H]1CC=CCC1 ZINC000544111729 341070593 /nfs/dbraw/zinc/07/05/93/341070593.db2.gz ZVGFSJXUWDAZFY-QGZVFWFLSA-N 0 3 314.473 4.345 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)C[C@H]1CC=CCC1 ZINC000544111726 341070607 /nfs/dbraw/zinc/07/06/07/341070607.db2.gz ZVGFSJXUWDAZFY-KRWDZBQOSA-N 0 3 314.473 4.345 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H](C)CC(C)(C)C3)o2)cc1 ZINC000544118474 341070875 /nfs/dbraw/zinc/07/08/75/341070875.db2.gz ULGNOESUGWDFDH-GJZGRUSLSA-N 0 3 313.445 4.474 20 0 DIADHN C[C@H]1CN(CCCCC(=O)OC(C)(C)C)[C@@H]1c1ccccc1 ZINC000544142891 341071989 /nfs/dbraw/zinc/07/19/89/341071989.db2.gz DHNVPAHZBKLTQT-YJBOKZPZSA-N 0 3 303.446 4.191 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ccc(-c3ccc(F)cc3)o2)CCO1 ZINC000544175461 341072897 /nfs/dbraw/zinc/07/28/97/341072897.db2.gz KLUHVLUAGPPOKK-LSDHHAIUSA-N 0 3 317.404 4.381 20 0 DIADHN CS[C@@H](CNCc1c(C)nn(C(C)(C)C)c1C)C(C)(C)C ZINC000544175287 341072901 /nfs/dbraw/zinc/07/29/01/341072901.db2.gz ICTNSVFAGDMIQA-HNNXBMFYSA-N 0 3 311.539 4.122 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000544188076 341073774 /nfs/dbraw/zinc/07/37/74/341073774.db2.gz OSULGTWWYSMJPL-CQSZACIVSA-N 0 3 302.393 4.349 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(C(F)F)cc1)c1cccs1 ZINC000131308729 341075085 /nfs/dbraw/zinc/07/50/85/341075085.db2.gz KTNAQXMEVFBPHY-AWEZNQCLSA-N 0 3 310.413 4.078 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cn(C)nc1C1CCCCC1 ZINC000544347955 341077221 /nfs/dbraw/zinc/07/72/21/341077221.db2.gz BTMKRPBYPCRMKI-HNNXBMFYSA-N 0 3 323.550 4.431 20 0 DIADHN CCCN(Cc1ncc(C(C)C)s1)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000544348056 341077227 /nfs/dbraw/zinc/07/72/27/341077227.db2.gz HPGHCLKAIAMGGN-IAOVAPTHSA-N 0 3 322.518 4.292 20 0 DIADHN CCc1cnc(CN2CCC[C@@H]2Cc2ccc(F)cc2)s1 ZINC000544351517 341077577 /nfs/dbraw/zinc/07/75/77/341077577.db2.gz NXVJGHLSFQNGPN-OAHLLOKOSA-N 0 3 304.434 4.052 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCC(F)(F)C2)c2cccnc2)cc1 ZINC000544380621 341079258 /nfs/dbraw/zinc/07/92/58/341079258.db2.gz QJYOLXUIFYJSBT-IRXDYDNUSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@@H](NC1(c2cccc(C(F)(F)F)c2)CCC1)[C@H]1CCCO1 ZINC000544384528 341079564 /nfs/dbraw/zinc/07/95/64/341079564.db2.gz UTMDTQRRLZYUCT-IUODEOHRSA-N 0 3 313.363 4.242 20 0 DIADHN CCCn1ccc2cc(NC(=O)[C@H](C)NC(C)(C)CC)ccc21 ZINC000544389203 341079821 /nfs/dbraw/zinc/07/98/21/341079821.db2.gz SHAWRTOYXPWHPF-AWEZNQCLSA-N 0 3 315.461 4.157 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)CCc2ccccc2)[C@H](c2ccco2)C1 ZINC000544397075 341080086 /nfs/dbraw/zinc/08/00/86/341080086.db2.gz YNPFGILGQKTUOC-QRQLOZEOSA-N 0 3 313.441 4.046 20 0 DIADHN CCN(CCO[C@H]1CCCCO1)[C@H](C)c1cc2ccccc2o1 ZINC000544403904 341080560 /nfs/dbraw/zinc/08/05/60/341080560.db2.gz UIDZSRBIRWVKAW-BEFAXECRSA-N 0 3 317.429 4.359 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN(CCCc2ccncc2)C2CC2)o1 ZINC000544410291 341080970 /nfs/dbraw/zinc/08/09/70/341080970.db2.gz DTMZEAMVIOTWMV-BEFAXECRSA-N 0 3 310.441 4.395 20 0 DIADHN Cc1cnc([C@H](NCCCc2cccc(C)c2)C2CC2)s1 ZINC000544422360 341081571 /nfs/dbraw/zinc/08/15/71/341081571.db2.gz YMVBJFINWXTMIF-QGZVFWFLSA-N 0 3 300.471 4.433 20 0 DIADHN COCc1ccc([C@H]2CCCN2[C@H](C)c2cccc(OC)c2)o1 ZINC000131364248 341081584 /nfs/dbraw/zinc/08/15/84/341081584.db2.gz OLXRSSDBMWZNQC-RDTXWAMCSA-N 0 3 315.413 4.333 20 0 DIADHN COC[C@H](NCCCSCc1ccccc1)c1ccc(C)o1 ZINC000544450031 341082600 /nfs/dbraw/zinc/08/26/00/341082600.db2.gz UNKSXMSATJPSTJ-KRWDZBQOSA-N 0 3 319.470 4.189 20 0 DIADHN CC[C@@H](NCCCOc1ccccc1C)c1nc(C)cs1 ZINC000544449434 341082641 /nfs/dbraw/zinc/08/26/41/341082641.db2.gz IQJWQPPWXQNCJP-OAHLLOKOSA-N 0 3 304.459 4.270 20 0 DIADHN C[C@H](NC(C)(C)c1cccs1)C(=O)Nc1ccc(Cl)cc1 ZINC000544471445 341083607 /nfs/dbraw/zinc/08/36/07/341083607.db2.gz RLJCRIHQYFFMLZ-NSHDSACASA-N 0 3 322.861 4.253 20 0 DIADHN COCC[C@@H](NCCCc1cccc(C)c1)c1ccc(C)o1 ZINC000544471798 341083614 /nfs/dbraw/zinc/08/36/14/341083614.db2.gz GIKYWFXZYWEKMN-GOSISDBHSA-N 0 3 301.430 4.196 20 0 DIADHN COCC[C@H](NCCCc1ccccc1C)c1ccc(C)o1 ZINC000544472784 341083679 /nfs/dbraw/zinc/08/36/79/341083679.db2.gz DUJMQOJTZADTKO-SFHVURJKSA-N 0 3 301.430 4.196 20 0 DIADHN COCC[C@@H](NCCCc1ccc(C)cc1)c1ccc(C)o1 ZINC000544474015 341083790 /nfs/dbraw/zinc/08/37/90/341083790.db2.gz WLRMVINLMUWGIO-GOSISDBHSA-N 0 3 301.430 4.196 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccnc(N3CCCC3)c2)c1 ZINC000131398442 341084352 /nfs/dbraw/zinc/08/43/52/341084352.db2.gz RWBLWXNPUXLZOA-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN C[C@H]1N(Cc2ccc(Cl)cc2Cl)CCC12CCOCC2 ZINC000544546822 341085471 /nfs/dbraw/zinc/08/54/71/341085471.db2.gz FZEMZYMVASOPCF-GFCCVEGCSA-N 0 3 314.256 4.384 20 0 DIADHN Cc1cccc([C@H](C)CN[C@H](c2ccccc2)c2cncnc2)c1 ZINC000544570522 341086267 /nfs/dbraw/zinc/08/62/67/341086267.db2.gz XVZXMCHQXCLFAH-DYESRHJHSA-N 0 3 317.436 4.268 20 0 DIADHN CC[C@H](NCC1(Cc2ccccc2)CCCC1)c1ccn(C)n1 ZINC000544572193 341086453 /nfs/dbraw/zinc/08/64/53/341086453.db2.gz ANTAPNKOIIUABY-SFHVURJKSA-N 0 3 311.473 4.264 20 0 DIADHN CC[C@@H](NCC1(Cc2ccccc2)CCCC1)c1ccn(C)n1 ZINC000544572179 341086499 /nfs/dbraw/zinc/08/64/99/341086499.db2.gz ANTAPNKOIIUABY-GOSISDBHSA-N 0 3 311.473 4.264 20 0 DIADHN CC[C@@H](NC1CCC(C)(c2ccccc2)CC1)c1ccn(C)n1 ZINC000544575372 341086559 /nfs/dbraw/zinc/08/65/59/341086559.db2.gz GCKJHDMHSSJOSX-QPIRBTGLSA-N 0 3 311.473 4.361 20 0 DIADHN C[C@H](c1ccncc1)N(C)Cc1ccc2c(c1)CCC(C)(C)O2 ZINC000544599930 341087897 /nfs/dbraw/zinc/08/78/97/341087897.db2.gz RGOVEMGOUHZPKG-OAHLLOKOSA-N 0 3 310.441 4.378 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)CCc2ccncc2)o1 ZINC000544599137 341088025 /nfs/dbraw/zinc/08/80/25/341088025.db2.gz UJBUBICGIMGIMO-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](CC)c1ccn(C)n1 ZINC000544614901 341089186 /nfs/dbraw/zinc/08/91/86/341089186.db2.gz IHCSBUVWBUKBBW-ZBFHGGJFSA-N 0 3 303.475 4.032 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H](c2cccnc2)C2CC2)c(F)c1 ZINC000544633125 341089941 /nfs/dbraw/zinc/08/99/41/341089941.db2.gz DKVKPMLPNZSYGO-LHRDXKRPSA-N 0 3 300.352 4.114 20 0 DIADHN Fc1ccc(/C=C\CN[C@H](c2cccnc2)C2CC2)c(F)c1 ZINC000544633123 341089962 /nfs/dbraw/zinc/08/99/62/341089962.db2.gz DKVKPMLPNZSYGO-KVLZDYKBSA-N 0 3 300.352 4.114 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCc3ccc(F)cc32)ccc1F ZINC000131466329 341090379 /nfs/dbraw/zinc/09/03/79/341090379.db2.gz POABCJHDOLRRRF-PIGZYNQJSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)CC2CCCCC2)s1 ZINC000544758378 341093016 /nfs/dbraw/zinc/09/30/16/341093016.db2.gz LNYPMROBIYFZHP-UHFFFAOYSA-N 0 3 306.397 4.482 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(-n2cccn2)cc1 ZINC000544829937 341095255 /nfs/dbraw/zinc/09/52/55/341095255.db2.gz RCWBUCGWSJWTSS-TZMCWYRMSA-N 0 3 311.351 4.254 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)NCc1cc2ccccc2[nH]c1=O ZINC000544843278 341096066 /nfs/dbraw/zinc/09/60/66/341096066.db2.gz MDVNMUZFPBXRNL-OAHLLOKOSA-N 0 3 306.409 4.408 20 0 DIADHN CC(C)c1ccc(C(=O)Nc2ccc(CN(C)C)cc2)s1 ZINC000544864444 341096734 /nfs/dbraw/zinc/09/67/34/341096734.db2.gz FHUATBNYOXYLGH-UHFFFAOYSA-N 0 3 302.443 4.185 20 0 DIADHN CC1CC(c2nc(-c3ccccc3CN3CCCCC3)no2)C1 ZINC000544864585 341096797 /nfs/dbraw/zinc/09/67/97/341096797.db2.gz FKFZLLUEMUNWPM-UHFFFAOYSA-N 0 3 311.429 4.236 20 0 DIADHN CC(C)CN(C(=O)c1ccc(C(C)C)s1)C1CCN(C)CC1 ZINC000544881542 341097244 /nfs/dbraw/zinc/09/72/44/341097244.db2.gz HMDLYGRXCBUFOU-UHFFFAOYSA-N 0 3 322.518 4.064 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1ccccc1C(C)C ZINC000544893678 341097557 /nfs/dbraw/zinc/09/75/57/341097557.db2.gz HLLQPGFNONDWKG-HNNXBMFYSA-N 0 3 302.462 4.259 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2c(C)cccc2C)s1 ZINC000544893873 341097667 /nfs/dbraw/zinc/09/76/67/341097667.db2.gz HIOWMUJNNLZSOQ-CYBMUJFWSA-N 0 3 303.427 4.002 20 0 DIADHN Cc1ccc(Oc2ccc(NC(=O)C3CCN(C)CC3)cc2)cc1 ZINC000072797890 341106943 /nfs/dbraw/zinc/10/69/43/341106943.db2.gz UIKHSIDKYKLJLF-UHFFFAOYSA-N 0 3 324.424 4.068 20 0 DIADHN CC[C@](C)(NCCCOc1ccccc1)c1nc(C)cs1 ZINC000072865055 341109465 /nfs/dbraw/zinc/10/94/65/341109465.db2.gz FCDVKICHCSFAHR-KRWDZBQOSA-N 0 3 304.459 4.135 20 0 DIADHN COC[C@@H](C)N[C@@H]1CCCc2nc(-c3ccc(C)cc3)sc21 ZINC000131878257 341112997 /nfs/dbraw/zinc/11/29/97/341112997.db2.gz BRDXPXFLXMDHAY-UKRRQHHQSA-N 0 3 316.470 4.120 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CNC1CC(c2ccccc2)C1 ZINC000545252481 341117448 /nfs/dbraw/zinc/11/74/48/341117448.db2.gz ZRJZUKQEGGCPOH-UHFFFAOYSA-N 0 3 303.409 4.113 20 0 DIADHN CC[C@H]1CCCCN1CCNC(=O)Nc1c(C)cccc1Cl ZINC000132312514 341120488 /nfs/dbraw/zinc/12/04/88/341120488.db2.gz CCKISRAVSRAYLS-AWEZNQCLSA-N 0 3 323.868 4.034 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@H](C)Cc1ccccc1F ZINC000132397372 341122019 /nfs/dbraw/zinc/12/20/19/341122019.db2.gz VBVUFUDRWBCUHX-KGLIPLIRSA-N 0 3 317.452 4.367 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2cccc3[nH]ccc32)c1 ZINC000132521996 341125719 /nfs/dbraw/zinc/12/57/19/341125719.db2.gz YSGFBEQRBXMRNU-UHFFFAOYSA-N 0 3 321.424 4.262 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1ccc(OC(F)(F)F)cc1 ZINC000545466550 341126406 /nfs/dbraw/zinc/12/64/06/341126406.db2.gz VJPLTUWALOOKNE-SNVBAGLBSA-N 0 3 315.257 4.406 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](C)c2c(C)cccc2C)c(C)c1 ZINC000545517792 341128045 /nfs/dbraw/zinc/12/80/45/341128045.db2.gz ZJNIUHVPUWPMHG-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN CCN(CCC1CC1)[C@@H](C)C(=O)Nc1ccc(C)cc1Cl ZINC000545520873 341128413 /nfs/dbraw/zinc/12/84/13/341128413.db2.gz WVHRVEILIDNBLZ-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@]1(C)C[C@@H]1c1nc(-c2ccccc2CN2CCCC2)no1 ZINC000545531829 341129208 /nfs/dbraw/zinc/12/92/08/341129208.db2.gz FEQGYZGMFALVTR-APWZRJJASA-N 0 3 311.429 4.236 20 0 DIADHN CCCCN(CC)[C@@H](C)C(=O)Nc1c(CC)cccc1CC ZINC000132604236 341130472 /nfs/dbraw/zinc/13/04/72/341130472.db2.gz YQUMTINTKGGQRN-HNNXBMFYSA-N 0 3 304.478 4.260 20 0 DIADHN CCC(C)(C)c1nc(-c2ccccc2CN2CCCCC2)no1 ZINC000545696422 341136836 /nfs/dbraw/zinc/13/68/36/341136836.db2.gz MMNUSQUTHPZUMF-UHFFFAOYSA-N 0 3 313.445 4.410 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)cc1OC(F)F)C(F)(F)F ZINC000545817839 341142382 /nfs/dbraw/zinc/14/23/82/341142382.db2.gz JJZQUJHLPZQTOG-RXMQYKEDSA-N 0 3 317.641 4.078 20 0 DIADHN CCc1cc(CCCN2CCC[C@H]2c2cccc(F)c2)on1 ZINC000564294869 341143364 /nfs/dbraw/zinc/14/33/64/341143364.db2.gz LCPWHGQFJHBYMT-SFHVURJKSA-N 0 3 302.393 4.146 20 0 DIADHN CCOC(=O)c1sc([C@@H](C)NCC2CCCCCC2)nc1C ZINC000545877960 341143774 /nfs/dbraw/zinc/14/37/74/341143774.db2.gz ATBDFUZOIZVQMK-CYBMUJFWSA-N 0 3 324.490 4.249 20 0 DIADHN CC[C@@H](CO)N(Cc1ccccc1)Cc1ccc(C(F)F)cc1 ZINC000545934102 341147003 /nfs/dbraw/zinc/14/70/03/341147003.db2.gz CZOJFAXOXKKWIM-SFHVURJKSA-N 0 3 319.395 4.397 20 0 DIADHN C[C@H](c1cnccn1)N1CCC[C@H](c2nc3ccccc3s2)C1 ZINC000545937566 341147259 /nfs/dbraw/zinc/14/72/59/341147259.db2.gz KBTDEEMANDDCAT-KGLIPLIRSA-N 0 3 324.453 4.027 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1c3cc(C)ccc3C[C@H]1C)CCO2 ZINC000545943583 341147730 /nfs/dbraw/zinc/14/77/30/341147730.db2.gz UCCSLPWUDLSMSD-RFVSGWPVSA-N 0 3 323.436 4.350 20 0 DIADHN COCC[C@@H](C)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000545954159 341148197 /nfs/dbraw/zinc/14/81/97/341148197.db2.gz RNKFGCGRPZFBAM-RDTXWAMCSA-N 0 3 303.833 4.444 20 0 DIADHN C[C@H](CCN[C@@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000545978405 341149243 /nfs/dbraw/zinc/14/92/43/341149243.db2.gz KGWAXMBNYVCIEB-UTKZUKDTSA-N 0 3 317.436 4.349 20 0 DIADHN Cc1ccncc1[C@H](C)N[C@@H]1C[C@H](OCc2ccccc2)C1(C)C ZINC000546017277 341151334 /nfs/dbraw/zinc/15/13/34/341151334.db2.gz CPLWBRCHLNCJAL-DBVUQKKJSA-N 0 3 324.468 4.425 20 0 DIADHN CCSc1cccc(CNCc2ccc(OC)cc2C)c1 ZINC000546022421 341151658 /nfs/dbraw/zinc/15/16/58/341151658.db2.gz AIXQGARDUIEZHZ-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN CCCOc1ccc(CN2CC[C@@]3(C2)OCc2ccccc23)cc1 ZINC000546035335 341152185 /nfs/dbraw/zinc/15/21/85/341152185.db2.gz VWXNDKUJEJXEAG-NRFANRHFSA-N 0 3 323.436 4.107 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(OCC(C)C)cc2)cn1 ZINC000546055955 341152915 /nfs/dbraw/zinc/15/29/15/341152915.db2.gz TWSRJCJOVOLBGB-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCCc1c[nH]nc1C ZINC000133050757 341152934 /nfs/dbraw/zinc/15/29/34/341152934.db2.gz TYBMTECURVQLKM-ZDUSSCGKSA-N 0 3 321.852 4.054 20 0 DIADHN C[C@@]1(NCc2cccc(-n3cccn3)c2)CCCc2ccccc21 ZINC000546091919 341153830 /nfs/dbraw/zinc/15/38/30/341153830.db2.gz BEVIJRQGWODUMG-OAQYLSRUSA-N 0 3 317.436 4.214 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(CC2CC2)CC2CC2)s1 ZINC000546101303 341153843 /nfs/dbraw/zinc/15/38/43/341153843.db2.gz SOJDGEJUYTWISG-UHFFFAOYSA-N 0 3 304.381 4.092 20 0 DIADHN Cc1ccc(NC(=O)CCc2cc(C)cc(C)c2)c(CN(C)C)c1 ZINC000133092864 341154431 /nfs/dbraw/zinc/15/44/31/341154431.db2.gz LSFZPHMZGSYEQA-UHFFFAOYSA-N 0 3 324.468 4.245 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1ccc(OCCOC)cc1 ZINC000546167991 341155674 /nfs/dbraw/zinc/15/56/74/341155674.db2.gz YEZHBLNAVYWVKT-TZMCWYRMSA-N 0 3 319.367 4.093 20 0 DIADHN CCCOc1ccc(CN[C@H](CC)CC(F)(F)F)cc1OC ZINC000546173755 341156040 /nfs/dbraw/zinc/15/60/40/341156040.db2.gz YCKXTTBGDCNSSP-CYBMUJFWSA-N 0 3 319.367 4.305 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cnc(-c2ccccn2)s1 ZINC000546179174 341156080 /nfs/dbraw/zinc/15/60/80/341156080.db2.gz UYIARQKQZDEQIO-JTQLQIEISA-N 0 3 315.364 4.026 20 0 DIADHN COCCOc1ccc(CN[C@H](C)c2c(C)cccc2C)cc1 ZINC000546178685 341156127 /nfs/dbraw/zinc/15/61/27/341156127.db2.gz TYYZYBYHANHFJJ-QGZVFWFLSA-N 0 3 313.441 4.179 20 0 DIADHN COCC[C@H](Nc1ccnc2ccc(C)cc21)c1ccc(C)o1 ZINC000546182035 341156368 /nfs/dbraw/zinc/15/63/68/341156368.db2.gz LULWRZDTZIJMEJ-SFHVURJKSA-N 0 3 310.397 4.056 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)[C@@H](OC)c1ccccc1F ZINC000546197841 341156975 /nfs/dbraw/zinc/15/69/75/341156975.db2.gz SVWVGIBDAZSZCW-IFXJQAMLSA-N 0 3 317.404 4.009 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@H](C)c1c(C)cccc1C ZINC000546228204 341158118 /nfs/dbraw/zinc/15/81/18/341158118.db2.gz HESAHPVJVGWHKF-QGZVFWFLSA-N 0 3 310.441 4.291 20 0 DIADHN CCCC[C@H](CC)CN[C@@H](c1nnc2ccccn21)C(C)C ZINC000546230016 341158254 /nfs/dbraw/zinc/15/82/54/341158254.db2.gz MQVUPCJWSMAGBA-DOTOQJQBSA-N 0 3 302.466 4.232 20 0 DIADHN CCCC[C@@H](CC)CN[C@@H](c1nnc2ccccn21)C(C)C ZINC000546230017 341158279 /nfs/dbraw/zinc/15/82/79/341158279.db2.gz MQVUPCJWSMAGBA-NVXWUHKLSA-N 0 3 302.466 4.232 20 0 DIADHN Cc1cc(CN2CCC(c3ccsc3)CC2)cc(C)c1O ZINC000564302626 341167306 /nfs/dbraw/zinc/16/73/06/341167306.db2.gz MVZVUNJWKATQQX-UHFFFAOYSA-N 0 3 301.455 4.450 20 0 DIADHN C[C@H](CO)N(Cc1cnc(C2CCCCC2)s1)CC1CCC1 ZINC000564303164 341169959 /nfs/dbraw/zinc/16/99/59/341169959.db2.gz FHXZQVIXCAZYPW-CQSZACIVSA-N 0 3 322.518 4.174 20 0 DIADHN C[C@@](O)(C1CCN(CCC2CCCCCC2)CC1)C(F)(F)F ZINC000564305350 341174997 /nfs/dbraw/zinc/17/49/97/341174997.db2.gz QLBIWYJOMLRMMF-MRXNPFEDSA-N 0 3 321.427 4.372 20 0 DIADHN CC[C@H](C)NCc1nc2c(s1)C[C@H](c1ccccc1)CC2 ZINC000546649273 341177126 /nfs/dbraw/zinc/17/71/26/341177126.db2.gz RWPWLCDZQKRHHZ-DZGCQCFKSA-N 0 3 300.471 4.304 20 0 DIADHN Cc1cccn2cc(CN[C@H]3CCC[C@@H]3c3ccccc3)nc12 ZINC000546655925 341177468 /nfs/dbraw/zinc/17/74/68/341177468.db2.gz GQOFQBONEYKEEI-MOPGFXCFSA-N 0 3 305.425 4.069 20 0 DIADHN COC[C@@H](N[C@H](C)CC(C)(C)c1ccccc1)c1ccco1 ZINC000546657068 341177545 /nfs/dbraw/zinc/17/75/45/341177545.db2.gz FYFSEPHNXUMLQI-NVXWUHKLSA-N 0 3 301.430 4.313 20 0 DIADHN CC(C)c1ncc(CNC2CC(C)(c3ccccc3)C2)s1 ZINC000546668250 341178139 /nfs/dbraw/zinc/17/81/39/341178139.db2.gz ITFUNVPKBZMSNI-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN CCc1ccc(CN[C@@H](c2ccccc2)C(C)(C)CO)s1 ZINC000564307246 341178245 /nfs/dbraw/zinc/17/82/45/341178245.db2.gz XNIRECAFBTZRRV-KRWDZBQOSA-N 0 3 303.471 4.160 20 0 DIADHN Cc1cccc(CN[C@H](C)Cc2cccc(C(F)(F)F)c2)n1 ZINC000546689433 341178913 /nfs/dbraw/zinc/17/89/13/341178913.db2.gz HLOIYUIPWHAXOX-CYBMUJFWSA-N 0 3 308.347 4.130 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCc2c[nH]c3ncccc23)cs1 ZINC000546691629 341179159 /nfs/dbraw/zinc/17/91/59/341179159.db2.gz NAOVEWDZBNGRKG-GFCCVEGCSA-N 0 3 314.458 4.036 20 0 DIADHN Fc1ccc([C@H](NCCc2cccs2)c2ccccn2)cc1 ZINC000133660622 341181084 /nfs/dbraw/zinc/18/10/84/341181084.db2.gz FQZJVQPZXBOZEU-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cccc(OCC(C)C)c2)cn1 ZINC000546765528 341181842 /nfs/dbraw/zinc/18/18/42/341181842.db2.gz OLCICMLXGFUBAO-UHFFFAOYSA-N 0 3 312.457 4.450 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccc(Br)cc1 ZINC000546881946 341185275 /nfs/dbraw/zinc/18/52/75/341185275.db2.gz OFJVXXMEBOCUGD-NSHDSACASA-N 0 3 310.157 4.270 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1ccc(N2CCCC2)nc1 ZINC000546882920 341185365 /nfs/dbraw/zinc/18/53/65/341185365.db2.gz ASEDRHYKADFOHU-KRWDZBQOSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cccc(C)c1[C@H](C)N[C@@H](C)C(=O)N[C@@H](C)c1ccccc1 ZINC000546935516 341187515 /nfs/dbraw/zinc/18/75/15/341187515.db2.gz PEIWVRGSMQIBBO-BZSNNMDCSA-N 0 3 324.468 4.220 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2sccc21)c1c(C)nn(C)c1C ZINC000133790401 341188369 /nfs/dbraw/zinc/18/83/69/341188369.db2.gz ZWPBIRAMPJJHQN-LSDHHAIUSA-N 0 3 303.475 4.217 20 0 DIADHN Fc1ccc(-c2noc(-c3cccc(CN4CCCC4)c3)n2)cc1 ZINC000546955040 341188550 /nfs/dbraw/zinc/18/85/50/341188550.db2.gz UUUGYJJPPMDWTM-UHFFFAOYSA-N 0 3 323.371 4.139 20 0 DIADHN CC(C)c1noc(-c2cccc(CN(C)Cc3ccccc3)c2)n1 ZINC000546968657 341189172 /nfs/dbraw/zinc/18/91/72/341189172.db2.gz NPXXIIKRIPSZGL-UHFFFAOYSA-N 0 3 321.424 4.492 20 0 DIADHN CC(C)Oc1ccc(CN2CC[C@H](Nc3ccccc3)C2)cc1 ZINC000236781698 341199803 /nfs/dbraw/zinc/19/98/03/341199803.db2.gz QSVREBQWVRYPRS-IBGZPJMESA-N 0 3 310.441 4.160 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC[C@@H]2C(C)=CCC[C@H]2C)c(C)c1 ZINC000133935233 341201205 /nfs/dbraw/zinc/20/12/05/341201205.db2.gz HQAFVALFYDMVDO-CRAIPNDOSA-N 0 3 314.473 4.132 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(Cl)cc1Cl)N(CC)CC ZINC000547305627 341204551 /nfs/dbraw/zinc/20/45/51/341204551.db2.gz MAONIKWBKWCKGW-ZDUSSCGKSA-N 0 3 303.233 4.052 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(OC(C)C)cc1)CC2 ZINC000134022043 341204801 /nfs/dbraw/zinc/20/48/01/341204801.db2.gz QLODTHOEIQGLFF-HXUWFJFHSA-N 0 3 311.425 4.260 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)CCc2ccccc2)o1 ZINC000236984491 341205471 /nfs/dbraw/zinc/20/54/71/341205471.db2.gz OBJNARSSRBKXLK-QAPCUYQASA-N 0 3 301.430 4.140 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1ccc2[nH]cnc2c1 ZINC000564317440 341206588 /nfs/dbraw/zinc/20/65/88/341206588.db2.gz KVSZCYFHCLZALO-GOSISDBHSA-N 0 3 323.444 4.020 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCC([C@@](C)(O)C(F)(F)F)CC2)C1 ZINC000547344605 341207532 /nfs/dbraw/zinc/20/75/32/341207532.db2.gz DMRLAODKJWUJSS-IJEWVQPXSA-N 0 3 321.427 4.228 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1cnc(C(C)C)s1 ZINC000237140825 341208065 /nfs/dbraw/zinc/20/80/65/341208065.db2.gz VGEZPEZAMSBBOD-UHFFFAOYSA-N 0 3 318.486 4.386 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@@H](C)c2nc3ccccc3n2C)s1 ZINC000134161211 341208228 /nfs/dbraw/zinc/20/82/28/341208228.db2.gz ALBPZMXICINIHN-JSGCOSHPSA-N 0 3 313.470 4.225 20 0 DIADHN COC[C@@H](C)NCc1cccc(OCc2ccccc2Cl)c1 ZINC000237167895 341208542 /nfs/dbraw/zinc/20/85/42/341208542.db2.gz APXBHFLDHFVHIU-CQSZACIVSA-N 0 3 319.832 4.044 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1cnc(C2CC2)s1 ZINC000547375647 341209734 /nfs/dbraw/zinc/20/97/34/341209734.db2.gz YRFCKXWZPLGCPZ-UHFFFAOYSA-N 0 3 316.470 4.106 20 0 DIADHN COc1cc2c(cc1CNC1CC(c3ccccc3)C1)O[C@H](C)C2 ZINC000237290453 341210925 /nfs/dbraw/zinc/21/09/25/341210925.db2.gz NBRAQZVUSHBXKJ-ICXUMSERSA-N 0 3 323.436 4.054 20 0 DIADHN CC(C)c1nc([C@@H](C)NCC2Cc3ccccc3C2)cs1 ZINC000547399097 341211165 /nfs/dbraw/zinc/21/11/65/341211165.db2.gz KWCZBDYEFPZAPP-CYBMUJFWSA-N 0 3 300.471 4.332 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3[nH]ccc32)c(OC)c1 ZINC000237316239 341211560 /nfs/dbraw/zinc/21/15/60/341211560.db2.gz TXQBUZADZOXXFC-ZDUSSCGKSA-N 0 3 310.397 4.036 20 0 DIADHN CCCC(O)(CCC)CNCc1c(OC)ccc2ccccc21 ZINC000564320032 341212019 /nfs/dbraw/zinc/21/20/19/341212019.db2.gz TXLJKIVKPLJBSB-UHFFFAOYSA-N 0 3 315.457 4.269 20 0 DIADHN COc1ccccc1CC(C)(C)NCc1cnc(C2CC2)s1 ZINC000134291666 341212276 /nfs/dbraw/zinc/21/22/76/341212276.db2.gz CZYJUPQKKIDRSY-UHFFFAOYSA-N 0 3 316.470 4.140 20 0 DIADHN C/C=C/C[C@@H](CO)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000547433254 341212992 /nfs/dbraw/zinc/21/29/92/341212992.db2.gz JNGFMVCAYPJWOJ-FAAWYNLUSA-N 0 3 305.805 4.017 20 0 DIADHN CCn1ccnc1CNCC1(Cc2ccccc2)CCCCC1 ZINC000547436292 341213460 /nfs/dbraw/zinc/21/34/60/341213460.db2.gz HENDTEOISVXQFD-UHFFFAOYSA-N 0 3 311.473 4.186 20 0 DIADHN COc1ccc(CNCc2cc3c(ccc(C)c3C)[nH]2)c(C)c1 ZINC000547435984 341213478 /nfs/dbraw/zinc/21/34/78/341213478.db2.gz MWPUMJGHMQEZHE-UHFFFAOYSA-N 0 3 308.425 4.392 20 0 DIADHN Cc1cccc(C(C)(C)NCc2ccc(-n3cccn3)cc2)c1 ZINC000076764056 341213732 /nfs/dbraw/zinc/21/37/32/341213732.db2.gz WXEDTOXKWYCBKN-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1C(=O)Nc1cccc(CN(C)C)c1 ZINC000134386076 341214365 /nfs/dbraw/zinc/21/43/65/341214365.db2.gz SMSQWMAFHNITJQ-QAPCUYQASA-N 0 3 317.477 4.181 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN[C@@H](CN2CCCC2)c2ccccc2)o1 ZINC000237469498 341214637 /nfs/dbraw/zinc/21/46/37/341214637.db2.gz CRXWCVFCNVIBGN-AHRSYUTCSA-N 0 3 324.468 4.330 20 0 DIADHN CCOc1cc(CNC(C)(C)c2cccc(F)c2)ccc1OC ZINC000076901849 341215368 /nfs/dbraw/zinc/21/53/68/341215368.db2.gz KKLVRQNYISLMHE-UHFFFAOYSA-N 0 3 317.404 4.258 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CNCCc2nc(C(C)(C)C)cs2)o1 ZINC000237564123 341215769 /nfs/dbraw/zinc/21/57/69/341215769.db2.gz RKPSNYNIAFKTAC-JSGCOSHPSA-N 0 3 318.486 4.489 20 0 DIADHN C[C@H](CN[C@H]1CCc2c1cccc2Br)C(F)(F)F ZINC000547507222 341218875 /nfs/dbraw/zinc/21/88/75/341218875.db2.gz FMCRHIPICZISQQ-PELKAZGASA-N 0 3 322.168 4.224 20 0 DIADHN Clc1ccc(OC[C@H]2CCCO2)c(CN[C@@H]2CC=CCC2)c1 ZINC000237762019 341219942 /nfs/dbraw/zinc/21/99/42/341219942.db2.gz XXHHJONJVDUSLE-IAGOWNOFSA-N 0 3 321.848 4.096 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccnc2ccccc12 ZINC000547525141 341220518 /nfs/dbraw/zinc/22/05/18/341220518.db2.gz MTTGNVPYBUHAFO-WFASDCNBSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCCN1Cc1ccnc2ccccc12 ZINC000547525139 341220560 /nfs/dbraw/zinc/22/05/60/341220560.db2.gz MTTGNVPYBUHAFO-IUODEOHRSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H](NCCc1ccc(Cl)cc1)c1nc2c(s1)CCC2 ZINC000134658699 341221197 /nfs/dbraw/zinc/22/11/97/341221197.db2.gz JFLSVGYSCYBITK-LLVKDONJSA-N 0 3 306.862 4.179 20 0 DIADHN COCc1cccc(CNCc2ccc3cc(OC)ccc3c2)c1 ZINC000077289156 341222448 /nfs/dbraw/zinc/22/24/48/341222448.db2.gz JOVJUCPLFAUGDI-UHFFFAOYSA-N 0 3 321.420 4.285 20 0 DIADHN Cc1ccc2c(CCNCc3c(F)cccc3F)c[nH]c2c1 ZINC000237884358 341222533 /nfs/dbraw/zinc/22/25/33/341222533.db2.gz ZYTDIJFGNWPZQG-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN CCN(Cc1ccccc1[N+](=O)[O-])[C@@H](C)Cc1ccsc1 ZINC000547647683 341224979 /nfs/dbraw/zinc/22/49/79/341224979.db2.gz ZUXUMUKBFSEJOS-ZDUSSCGKSA-N 0 3 304.415 4.109 20 0 DIADHN Brc1cccc(C2(NCc3cccs3)CC2)c1 ZINC000077447975 341225050 /nfs/dbraw/zinc/22/50/50/341225050.db2.gz LJELPKOQZTYWEH-UHFFFAOYSA-N 0 3 308.244 4.290 20 0 DIADHN Clc1ccc(SC2CCN(Cc3ccncc3)CC2)cc1 ZINC000134853447 341225305 /nfs/dbraw/zinc/22/53/05/341225305.db2.gz ONJIATWWJIAEAZ-UHFFFAOYSA-N 0 3 318.873 4.492 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1sccc1Br ZINC000547656451 341225724 /nfs/dbraw/zinc/22/57/24/341225724.db2.gz QNJRSZQKIDVXMJ-ZJUUUORDSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(O)cc1)c1nc2c(s1)CCC2 ZINC000134880710 341225881 /nfs/dbraw/zinc/22/58/81/341225881.db2.gz PAQMOZSJPWOSKE-QWHCGFSZSA-N 0 3 316.470 4.009 20 0 DIADHN CCC1CCC(N(C)Cc2ccc(Br)cn2)CC1 ZINC000134890900 341225959 /nfs/dbraw/zinc/22/59/59/341225959.db2.gz KACQVFMQVILGSV-UHFFFAOYSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@@H](NCc2noc3c2CCCC3)C1 ZINC000564327472 341225993 /nfs/dbraw/zinc/22/59/93/341225993.db2.gz WKMRYMVZIZEZCQ-UZLBHIALSA-N 0 3 310.441 4.153 20 0 DIADHN CCC(C)(C)N(C)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000547771866 341231732 /nfs/dbraw/zinc/23/17/32/341231732.db2.gz QTUAGENHGJQUIQ-IBGZPJMESA-N 0 3 322.301 4.221 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCSCc2ccccc2)n1 ZINC000547673463 341226636 /nfs/dbraw/zinc/22/66/36/341226636.db2.gz NEWOIRQUYBYYIX-LJQANCHMSA-N 0 3 312.482 4.460 20 0 DIADHN CCC[C@H](N[C@H](C)C(=O)NC(C)(C)C)c1ccc(Cl)cc1 ZINC000135139123 341232073 /nfs/dbraw/zinc/23/20/73/341232073.db2.gz VMIMQRDKANCHTJ-DOMZBBRYSA-N 0 3 310.869 4.074 20 0 DIADHN CCc1ccccc1CNCc1ccc(OC)c(OC(F)F)c1 ZINC000077901747 341232412 /nfs/dbraw/zinc/23/24/12/341232412.db2.gz BYHAWZYUFNEWCE-UHFFFAOYSA-N 0 3 321.367 4.149 20 0 DIADHN CC[C@@H](CO)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC000547787409 341232578 /nfs/dbraw/zinc/23/25/78/341232578.db2.gz MKTWESGXKISZAH-AWEZNQCLSA-N 0 3 309.862 4.175 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CCC1CC1 ZINC000135302666 341236115 /nfs/dbraw/zinc/23/61/15/341236115.db2.gz MZQKIMJGMGUYIP-INIZCTEOSA-N 0 3 322.452 4.413 20 0 DIADHN CCOc1ccc([C@H](N[C@@H](CC)COC)c2ccccc2)cc1 ZINC000547868259 341238548 /nfs/dbraw/zinc/23/85/48/341238548.db2.gz YLPYCVWIQUJSSJ-AZUAARDMSA-N 0 3 313.441 4.189 20 0 DIADHN CCc1cnc(CN2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)o1 ZINC000547947505 341240114 /nfs/dbraw/zinc/24/01/14/341240114.db2.gz DUTPAKVUXNWRFB-QRVBRYPASA-N 0 3 310.441 4.395 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000078539152 341243547 /nfs/dbraw/zinc/24/35/47/341243547.db2.gz FWTSJCZAMCTKFJ-STQMWFEESA-N 0 3 319.836 4.401 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCCSC2)c2ccccc2)cc1 ZINC000135762719 341245539 /nfs/dbraw/zinc/24/55/39/341245539.db2.gz VRHLIJDHLRCRGO-ZWKOTPCHSA-N 0 3 301.430 4.400 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCCC[C@@H]2C)c(Cl)c1 ZINC000548075515 341245762 /nfs/dbraw/zinc/24/57/62/341245762.db2.gz PDRYSLUJPTXGMG-XJKSGUPXSA-N 0 3 322.880 4.406 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@@H]2CCCC[C@@H]2C)c(Cl)c1 ZINC000548074515 341245816 /nfs/dbraw/zinc/24/58/16/341245816.db2.gz LOKAALBZWTXUBN-XJKSGUPXSA-N 0 3 308.853 4.097 20 0 DIADHN C[C@H](CCO)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC000548114988 341247741 /nfs/dbraw/zinc/24/77/41/341247741.db2.gz XUOYJNQKEMZQAC-CYBMUJFWSA-N 0 3 309.862 4.175 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1nc(-c2ccsc2)no1 ZINC000548159517 341250348 /nfs/dbraw/zinc/25/03/48/341250348.db2.gz MWIBLJOXOPZCQD-TZMCWYRMSA-N 0 3 305.447 4.247 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1nc(-c2cccs2)no1 ZINC000548160591 341250350 /nfs/dbraw/zinc/25/03/50/341250350.db2.gz RUCSIVWIAOKNCY-QWHCGFSZSA-N 0 3 305.447 4.247 20 0 DIADHN NC(=O)c1cccc(CN[C@H](c2ccccc2)C2CCCCC2)c1 ZINC000078967137 341250631 /nfs/dbraw/zinc/25/06/31/341250631.db2.gz BMZQVPNSKXZYNV-HXUWFJFHSA-N 0 3 322.452 4.197 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000136081698 341252570 /nfs/dbraw/zinc/25/25/70/341252570.db2.gz FVWTUIFSDWYKGI-BMGDILEWSA-N 0 3 301.409 4.056 20 0 DIADHN Cc1ccc(CCN[C@H]2CCCc3ncc(C(F)(F)F)cc32)o1 ZINC000548305393 341255292 /nfs/dbraw/zinc/25/52/92/341255292.db2.gz HAKDRDLZAUAARC-HNNXBMFYSA-N 0 3 324.346 4.212 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1NCc1ccc2ccccc2n1 ZINC000548324812 341256173 /nfs/dbraw/zinc/25/61/73/341256173.db2.gz TWUIVQCMDVRAGM-ZWKOTPCHSA-N 0 3 300.471 4.389 20 0 DIADHN C[C@@H](Cc1ccsc1)NCc1cc(Cl)cc2c1OCC2 ZINC000136392573 341258477 /nfs/dbraw/zinc/25/84/77/341258477.db2.gz ACADPLFIWDLVAF-NSHDSACASA-N 0 3 307.846 4.057 20 0 DIADHN CC1(C)CC[C@H](CN2CCC(OCC3CCCCC3)CC2)O1 ZINC000548484897 341263280 /nfs/dbraw/zinc/26/32/80/341263280.db2.gz BLOCMBGGUIOPSZ-GOSISDBHSA-N 0 3 309.494 4.005 20 0 DIADHN C[C@H](NC[C@H](C)C(F)(F)F)c1ccccc1Br ZINC000548485809 341263410 /nfs/dbraw/zinc/26/34/10/341263410.db2.gz DQOZHODBTVKKIO-IUCAKERBSA-N 0 3 310.157 4.298 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1CCOC2(CCCCC2)C1 ZINC000136721791 341265978 /nfs/dbraw/zinc/26/59/78/341265978.db2.gz VGVRBYCXXLHIQP-AWEZNQCLSA-N 0 3 323.864 4.320 20 0 DIADHN C[C@H]1CCCCCN1Cc1c[nH]nc1-c1c(F)cccc1F ZINC000548584264 341266232 /nfs/dbraw/zinc/26/62/32/341266232.db2.gz OIQMHEQSNRYXEW-LBPRGKRZSA-N 0 3 305.372 4.119 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCc1cccc(Br)c1 ZINC000136914322 341268991 /nfs/dbraw/zinc/26/89/91/341268991.db2.gz AVCAOUHFCRVREL-ZDUSSCGKSA-N 0 3 319.246 4.080 20 0 DIADHN C[C@@H](NCc1cc(F)cc(Br)c1)c1ccccc1 ZINC000080121917 341269773 /nfs/dbraw/zinc/26/97/73/341269773.db2.gz SZEWHGLMALPEMZ-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN Clc1ccc(Br)c(CNC2CCSCC2)c1 ZINC000080517273 341273989 /nfs/dbraw/zinc/27/39/89/341273989.db2.gz UUMRYVNNIMMKMA-UHFFFAOYSA-N 0 3 320.683 4.088 20 0 DIADHN Cc1cccc(C)c1C(=O)NCC(C)(C)N[C@@H](C)c1ccccc1 ZINC000137502565 341275049 /nfs/dbraw/zinc/27/50/49/341275049.db2.gz QIAVLRLIHGDRMT-KRWDZBQOSA-N 0 3 324.468 4.163 20 0 DIADHN Cc1ccccc1CN(Cc1ccc(-n2ccnc2)cc1)C1CC1 ZINC000548825675 341277143 /nfs/dbraw/zinc/27/71/43/341277143.db2.gz GNGGEBAMVSDILM-UHFFFAOYSA-N 0 3 317.436 4.345 20 0 DIADHN c1csc(C(N[C@@H]2CCC23CCOCC3)c2cccs2)c1 ZINC000548859198 341278467 /nfs/dbraw/zinc/27/84/67/341278467.db2.gz LLLKOHRQOJTHMZ-OAHLLOKOSA-N 0 3 319.495 4.448 20 0 DIADHN CC(C)(CNCc1cccc(OC(F)(F)F)c1)C(F)(F)F ZINC000548859943 341278506 /nfs/dbraw/zinc/27/85/06/341278506.db2.gz PHXDLQARHQPUBL-UHFFFAOYSA-N 0 3 315.257 4.263 20 0 DIADHN CCOc1cccc([C@H](C)NC[C@@H]2CCC3(CCCC3)O2)c1 ZINC000548916881 341280780 /nfs/dbraw/zinc/28/07/80/341280780.db2.gz FZPURAIBYPVGOA-YJBOKZPZSA-N 0 3 303.446 4.228 20 0 DIADHN COCc1ccc([C@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)o1 ZINC000548915092 341280795 /nfs/dbraw/zinc/28/07/95/341280795.db2.gz OPKWQEAFIIOZAL-NVXWUHKLSA-N 0 3 319.445 4.055 20 0 DIADHN CC1=C(c2ccco2)CN(C[C@H]2CCC3(CCCC3)O2)CC1 ZINC000548930025 341281426 /nfs/dbraw/zinc/28/14/26/341281426.db2.gz LDCCSIFNLGPRTF-MRXNPFEDSA-N 0 3 301.430 4.251 20 0 DIADHN Cc1cccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC000548990448 341283009 /nfs/dbraw/zinc/28/30/09/341283009.db2.gz XHRJVXJREXXNBP-SFHVURJKSA-N 0 3 302.849 4.041 20 0 DIADHN Cc1ccc(F)cc1CN[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC000548992816 341283146 /nfs/dbraw/zinc/28/31/46/341283146.db2.gz DEHYHQPZUUBCQY-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN C[C@@H](NCC(C)(C)C(F)(F)F)c1ccnn1-c1ccccc1 ZINC000549037539 341284211 /nfs/dbraw/zinc/28/42/11/341284211.db2.gz KHXNUDOHJZTQJZ-GFCCVEGCSA-N 0 3 311.351 4.111 20 0 DIADHN CO[C@@H](CNC1(c2nc(C)cs2)CCCC1)c1ccccc1 ZINC000549067696 341285338 /nfs/dbraw/zinc/28/53/38/341285338.db2.gz ZPTVRJLJOBUFJJ-INIZCTEOSA-N 0 3 316.470 4.198 20 0 DIADHN Fc1ccc([C@H]2CCCCN2CCCO[C@@H]2CCCCO2)cc1 ZINC000549138719 341286926 /nfs/dbraw/zinc/28/69/26/341286926.db2.gz KMKXAYYOKJTETB-RTBURBONSA-N 0 3 321.436 4.286 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H]2CSC2(C)C)cc1OCC ZINC000549227134 341289134 /nfs/dbraw/zinc/28/91/34/341289134.db2.gz BERFHYIYRGQHEU-MLGOLLRUSA-N 0 3 309.475 4.029 20 0 DIADHN CCCC(=O)NC[C@@H]1CCCN([C@H](C)c2ccccc2Cl)C1 ZINC000245392386 341290770 /nfs/dbraw/zinc/29/07/70/341290770.db2.gz TUWGCYWTRMTPIR-CABCVRRESA-N 0 3 322.880 4.029 20 0 DIADHN CCn1nccc1CCN1CCCC[C@@H]1c1cccc(Cl)c1 ZINC000549347460 341291915 /nfs/dbraw/zinc/29/19/15/341291915.db2.gz NDTIBSXBACJMLW-GOSISDBHSA-N 0 3 317.864 4.326 20 0 DIADHN CCn1nccc1CCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000549387058 341293091 /nfs/dbraw/zinc/29/30/91/341293091.db2.gz JCQJTZRHZCJTJC-NSHDSACASA-N 0 3 312.244 4.103 20 0 DIADHN CC(C)c1nc(C2CCN([C@@H]3C=CCCCCC3)CC2)no1 ZINC000549401794 341293281 /nfs/dbraw/zinc/29/32/81/341293281.db2.gz ZTFYMIFKYBRJGO-MRXNPFEDSA-N 0 3 303.450 4.261 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1CS[C@@H](C(C)(C)C)C1 ZINC000549408689 341293595 /nfs/dbraw/zinc/29/35/95/341293595.db2.gz PLKPWBVFVUJPIC-YLQAJVPDSA-N 0 3 323.477 4.331 20 0 DIADHN CC(C)(C)c1ccnc(N[C@H](CN2CCCC2)c2ccccc2)n1 ZINC000549463306 341295056 /nfs/dbraw/zinc/29/50/56/341295056.db2.gz RLFUMSXUQMOOFR-QGZVFWFLSA-N 0 3 324.472 4.023 20 0 DIADHN C[C@H](CN(C)[C@@H](C)c1ccc([S@@](C)=O)cc1)c1ccccc1 ZINC000245477615 341296219 /nfs/dbraw/zinc/29/62/19/341296219.db2.gz UNZHXMDHJKCXNV-ZMPRRUGASA-N 0 3 315.482 4.221 20 0 DIADHN C[C@H](NCc1ccc(F)cc1Cl)[C@@H]1COc2ccccc21 ZINC000549532668 341296517 /nfs/dbraw/zinc/29/65/17/341296517.db2.gz XMDNLBWFUDOPLC-NHYWBVRUSA-N 0 3 305.780 4.133 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N(C)C[C@H]1CCCOC1 ZINC000245483388 341296690 /nfs/dbraw/zinc/29/66/90/341296690.db2.gz FIVNBJLSUNEUQK-VXGBXAGGSA-N 0 3 302.245 4.413 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1cccs1 ZINC000549610813 341298914 /nfs/dbraw/zinc/29/89/14/341298914.db2.gz QAWFVYYLHNMXIE-WFASDCNBSA-N 0 3 308.878 4.355 20 0 DIADHN Fc1ccc([C@@H]2OCC[C@H]2N[C@@H]2C=CCCCCC2)cc1F ZINC000549612762 341299031 /nfs/dbraw/zinc/29/90/31/341299031.db2.gz ZWUXKNNOSQMPDD-OLMNPRSZSA-N 0 3 307.384 4.273 20 0 DIADHN CSCc1cnc(CNC(C)(C)Cc2ccccc2C)s1 ZINC000549749697 341302102 /nfs/dbraw/zinc/30/21/02/341302102.db2.gz GUXHOESUUOMFFC-UHFFFAOYSA-N 0 3 320.527 4.425 20 0 DIADHN Cc1sccc1CN[C@H]1CCN(c2cccc(Cl)c2)C1 ZINC000549780370 341303026 /nfs/dbraw/zinc/30/30/26/341303026.db2.gz MKFBJHAMLCCTOI-HNNXBMFYSA-N 0 3 306.862 4.078 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000245574077 341303041 /nfs/dbraw/zinc/30/30/41/341303041.db2.gz DDPNRLMOWALVDJ-QVHKTLOISA-N 0 3 311.853 4.345 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000245574084 341303091 /nfs/dbraw/zinc/30/30/91/341303091.db2.gz DDPNRLMOWALVDJ-XFMPKHEZSA-N 0 3 311.853 4.345 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3cnn(C)c3)s2)[C@H]1c1ccccc1 ZINC000549802102 341303222 /nfs/dbraw/zinc/30/32/22/341303222.db2.gz JCSIYWAFYLITLQ-IFXJQAMLSA-N 0 3 323.465 4.342 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccc(-c2cnn(C)c2)s1 ZINC000549802681 341303239 /nfs/dbraw/zinc/30/32/39/341303239.db2.gz WKJJKXPBNHLSLS-GFCCVEGCSA-N 0 3 317.483 4.403 20 0 DIADHN CSCc1cnc(CNC[C@@H]2CCCCC(F)(F)C2)s1 ZINC000549821358 341304091 /nfs/dbraw/zinc/30/40/91/341304091.db2.gz XTASYCBVEPXXTG-LLVKDONJSA-N 0 3 320.474 4.311 20 0 DIADHN FC(F)(F)c1cccc(CCNCc2sccc2Cl)n1 ZINC000549829439 341304363 /nfs/dbraw/zinc/30/43/63/341304363.db2.gz IMRGJEVSPBBJTJ-UHFFFAOYSA-N 0 3 320.767 4.148 20 0 DIADHN FC(F)O[C@H]1CCN(Cc2cc(-c3ccccc3)cs2)C1 ZINC000549852899 341305088 /nfs/dbraw/zinc/30/50/88/341305088.db2.gz KTLBLDHLKQNZLS-AWEZNQCLSA-N 0 3 309.381 4.229 20 0 DIADHN C[C@H](NCc1ccc(-c2ccccc2)s1)c1cc[nH]c(=O)c1 ZINC000549860107 341305304 /nfs/dbraw/zinc/30/53/04/341305304.db2.gz ADPAAAMTGDJWLV-ZDUSSCGKSA-N 0 3 310.422 4.367 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccccc2)s1)c1cc[nH]c(=O)c1 ZINC000549860106 341305388 /nfs/dbraw/zinc/30/53/88/341305388.db2.gz ADPAAAMTGDJWLV-CYBMUJFWSA-N 0 3 310.422 4.367 20 0 DIADHN COCCOc1cccc(CNC(C)(C)c2ccccc2C)c1 ZINC000549868523 341305587 /nfs/dbraw/zinc/30/55/87/341305587.db2.gz XYGYFGVCPZICIM-UHFFFAOYSA-N 0 3 313.441 4.045 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)F)c(F)c2)cc1C ZINC000549887420 341305940 /nfs/dbraw/zinc/30/59/40/341305940.db2.gz KOKNDCHSHDNQON-UHFFFAOYSA-N 0 3 309.331 4.334 20 0 DIADHN CCOc1cccc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)n1 ZINC000549934630 341307432 /nfs/dbraw/zinc/30/74/32/341307432.db2.gz XVNCGYYIOYMKEB-CTYIDZIISA-N 0 3 316.832 4.170 20 0 DIADHN Cc1cc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)no1 ZINC000549988152 341308246 /nfs/dbraw/zinc/30/82/46/341308246.db2.gz OUWOULGNNJYAPA-KRWDZBQOSA-N 0 3 306.837 4.383 20 0 DIADHN CC(C)[C@@]1(CO)CCCN([C@@H]2CCc3c2cccc3Cl)C1 ZINC000550063355 341309327 /nfs/dbraw/zinc/30/93/27/341309327.db2.gz LFJYSSHGARPISS-MSOLQXFVSA-N 0 3 307.865 4.058 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccc(F)cc3)o2)[C@@H]2CCC[C@@H]21 ZINC000550063898 341309342 /nfs/dbraw/zinc/30/93/42/341309342.db2.gz VORJKLKWDBKJJZ-JKSUJKDBSA-N 0 3 314.404 4.491 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccc(F)cc3)o2)[C@H]2CCC[C@@H]21 ZINC000550063896 341309396 /nfs/dbraw/zinc/30/93/96/341309396.db2.gz VORJKLKWDBKJJZ-HOTGVXAUSA-N 0 3 314.404 4.491 20 0 DIADHN CN1CC[C@H](NCc2cccc(F)c2Cl)[C@@H]1c1ccccc1 ZINC000550079982 341309545 /nfs/dbraw/zinc/30/95/45/341309545.db2.gz PFFYRLPBRLJPAP-WMZOPIPTSA-N 0 3 318.823 4.014 20 0 DIADHN C[C@@H](CNCc1conc1Cc1ccccc1)c1ccccc1 ZINC000550075251 341309557 /nfs/dbraw/zinc/30/95/57/341309557.db2.gz SRDQQMMFMQKXKG-INIZCTEOSA-N 0 3 306.409 4.159 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3ccccc3F)o2)[C@H]2CCC[C@H]21 ZINC000550079835 341309740 /nfs/dbraw/zinc/30/97/40/341309740.db2.gz QUZUCZGYAMRATI-ZBFHGGJFSA-N 0 3 314.404 4.491 20 0 DIADHN CCOc1ccc(CNCc2cc(C)cc(Cl)c2)cc1OC ZINC000550099596 341309908 /nfs/dbraw/zinc/30/99/08/341309908.db2.gz BKBGLUSOBVFPJU-UHFFFAOYSA-N 0 3 319.832 4.346 20 0 DIADHN C[C@H](CNCc1conc1Cc1ccccc1)c1ccc(F)cc1 ZINC000550121909 341310428 /nfs/dbraw/zinc/31/04/28/341310428.db2.gz BLFGGRFDZXBCQG-OAHLLOKOSA-N 0 3 324.399 4.298 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2cccc(F)c2)s1 ZINC000550157236 341311447 /nfs/dbraw/zinc/31/14/47/341311447.db2.gz WGJBTRCDTKLGDS-UHFFFAOYSA-N 0 3 324.490 4.213 20 0 DIADHN Cc1nn(C)cc1CN(C)[C@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000245723109 341311862 /nfs/dbraw/zinc/31/18/62/341311862.db2.gz IHPZNWKCNNILJA-GXTWGEPZSA-N 0 3 305.853 4.006 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1ccc(-n2cccn2)c(F)c1 ZINC000550226749 341312952 /nfs/dbraw/zinc/31/29/52/341312952.db2.gz WCKMSLQJJQGWEH-LSDHHAIUSA-N 0 3 309.388 4.423 20 0 DIADHN FC(F)(F)c1cccc(CCNCc2cc3ccccc3o2)n1 ZINC000550227719 341313171 /nfs/dbraw/zinc/31/31/71/341313171.db2.gz JEXQLUJVXRQKHA-UHFFFAOYSA-N 0 3 320.314 4.179 20 0 DIADHN CC(C)C1(NCc2nc(-c3c(F)cccc3F)cs2)CC1 ZINC000550274862 341314177 /nfs/dbraw/zinc/31/41/77/341314177.db2.gz CHRUOGAUWWNINP-UHFFFAOYSA-N 0 3 308.397 4.367 20 0 DIADHN C[C@@H]1CN(CCC(=O)Nc2ccccc2Oc2ccccc2)[C@@H]1C ZINC000550304533 341314690 /nfs/dbraw/zinc/31/46/90/341314690.db2.gz FHFZSVSAUAZHSW-HZPDHXFCSA-N 0 3 324.424 4.148 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1ccccc1 ZINC000245826012 341315546 /nfs/dbraw/zinc/31/55/46/341315546.db2.gz AWORLUQCZIXJRH-RZAIGCCYSA-N 0 3 303.352 4.146 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CCC[C@](CO)(C(C)C)C1 ZINC000550432140 341316828 /nfs/dbraw/zinc/31/68/28/341316828.db2.gz NOYOTRHOSYHJLG-ROUUACIJSA-N 0 3 311.416 4.146 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC[C@](CO)(C(C)C)C1 ZINC000550432134 341316873 /nfs/dbraw/zinc/31/68/73/341316873.db2.gz NOYOTRHOSYHJLG-MSOLQXFVSA-N 0 3 311.416 4.146 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccs3)n2)[C@@H]2CCC[C@H]21 ZINC000550433784 341317009 /nfs/dbraw/zinc/31/70/09/341317009.db2.gz VZXWFWGSAPIBGY-ZIAGYGMSSA-N 0 3 302.443 4.414 20 0 DIADHN CC1(C)CN(Cc2coc(-c3cccs3)n2)[C@@H]2CCC[C@@H]21 ZINC000550433783 341317033 /nfs/dbraw/zinc/31/70/33/341317033.db2.gz VZXWFWGSAPIBGY-UONOGXRCSA-N 0 3 302.443 4.414 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(C2CC2)c1)c1ccc(NC(N)=O)cc1 ZINC000550665111 341320815 /nfs/dbraw/zinc/32/08/15/341320815.db2.gz FHAHONQRISFCGL-ZIAGYGMSSA-N 0 3 323.440 4.466 20 0 DIADHN CCc1cnc(CN[C@H](Cc2ccccc2Cl)C2CC2)s1 ZINC000550662287 341320907 /nfs/dbraw/zinc/32/09/07/341320907.db2.gz SWJHUNOBONAPBP-MRXNPFEDSA-N 0 3 320.889 4.470 20 0 DIADHN c1nc(CCN2Cc3ccccc3[C@@H](c3ccccc3)C2)cs1 ZINC000550707118 341321726 /nfs/dbraw/zinc/32/17/26/341321726.db2.gz QSXDDOGOFPFBNP-HXUWFJFHSA-N 0 3 320.461 4.333 20 0 DIADHN CN1CC[C@H](NCc2ccc(F)cc2Cl)[C@@H]1c1ccccc1 ZINC000550713160 341321930 /nfs/dbraw/zinc/32/19/30/341321930.db2.gz WHQNLTVUKPDUAK-ROUUACIJSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1csc(C2(NC[C@H]3CCC(F)(F)C3)CCCC2)n1 ZINC000550712476 341322009 /nfs/dbraw/zinc/32/20/09/341322009.db2.gz NUCLONIIOAEPDM-LBPRGKRZSA-N 0 3 300.418 4.246 20 0 DIADHN CCS[C@@H]1CCC[C@H](N[C@H](C)c2nc3ccccc3n2C)C1 ZINC000246031729 341322493 /nfs/dbraw/zinc/32/24/93/341322493.db2.gz MOHPZMAPPXTSPR-QLFBSQMISA-N 0 3 317.502 4.288 20 0 DIADHN COc1c(C)cnc(CN(C)[C@H](C)CCc2ccccc2)c1C ZINC000550751652 341322541 /nfs/dbraw/zinc/32/25/41/341322541.db2.gz WIYACQBKBRBXFA-MRXNPFEDSA-N 0 3 312.457 4.160 20 0 DIADHN Cc1ccc(NC(=O)c2cc(F)ccc2Cl)c(CN(C)C)c1 ZINC000550767413 341322768 /nfs/dbraw/zinc/32/27/68/341322768.db2.gz QGVFUNVIGABZOC-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN CCCOc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1 ZINC000550886593 341325719 /nfs/dbraw/zinc/32/57/19/341325719.db2.gz IPZQMQQLBHDDRP-LJQANCHMSA-N 0 3 311.425 4.348 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cccc(OC(C)C)c2)ccn1 ZINC000550892769 341325978 /nfs/dbraw/zinc/32/59/78/341325978.db2.gz SWWNIDXPZSAKLM-CABCVRRESA-N 0 3 314.429 4.289 20 0 DIADHN CC(C)[C@@H](CN[C@H](C)c1ccncc1F)Cc1ccccc1 ZINC000550900619 341326350 /nfs/dbraw/zinc/32/63/50/341326350.db2.gz UDUZBCDNWJXHEZ-NVXWUHKLSA-N 0 3 300.421 4.386 20 0 DIADHN Cc1cc([C@H](C)NCCc2cn(C)c3cc(Cl)ccc23)no1 ZINC000550907381 341326443 /nfs/dbraw/zinc/32/64/43/341326443.db2.gz JWNJGUQSDZQJQI-LBPRGKRZSA-N 0 3 317.820 4.021 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1F)c1ccc(F)c(Br)c1 ZINC000550930860 341327142 /nfs/dbraw/zinc/32/71/42/341327142.db2.gz LCIKQHVJPISLQP-AQUOVQTQSA-N 0 3 304.178 4.129 20 0 DIADHN COc1cc([C@H](C)N[C@@H](c2ccc(F)cc2)C(C)C)ccn1 ZINC000550932144 341327177 /nfs/dbraw/zinc/32/71/77/341327177.db2.gz UCVKSFMCYKPNKZ-SCLBCKFNSA-N 0 3 302.393 4.277 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCc3c2cccc3Cl)ccn1 ZINC000550940004 341327625 /nfs/dbraw/zinc/32/76/25/341327625.db2.gz QEAIYNJZKMJUPT-BZNIZROVSA-N 0 3 302.805 4.082 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2cc[nH]c21)c1ccccc1 ZINC000550954426 341327850 /nfs/dbraw/zinc/32/78/50/341327850.db2.gz QOKGFCOQDONIKP-LJQANCHMSA-N 0 3 308.425 4.017 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1C)c1ccc(C(=O)OC)cc1 ZINC000551008803 341329104 /nfs/dbraw/zinc/32/91/04/341329104.db2.gz ZLEWIQBIQUYKQT-LJQANCHMSA-N 0 3 311.425 4.331 20 0 DIADHN C[C@@H](NCc1cccc(CO)c1)c1ccc(F)c2ccccc21 ZINC000551029719 341329544 /nfs/dbraw/zinc/32/95/44/341329544.db2.gz PKIZHHXLXYDWJY-CQSZACIVSA-N 0 3 309.384 4.322 20 0 DIADHN C[C@H](NCC(=O)N(C)C1CCCCC1)c1cccc(C2CC2)c1 ZINC000551063827 341330644 /nfs/dbraw/zinc/33/06/44/341330644.db2.gz DKGXOXFWGMKCRF-HNNXBMFYSA-N 0 3 314.473 4.006 20 0 DIADHN CCC[C@@H](C)C(=O)NCCN[C@H](C)c1cc2ccccc2s1 ZINC000551209473 341333914 /nfs/dbraw/zinc/33/39/14/341333914.db2.gz CXZZRBGIMBAIHU-ZIAGYGMSSA-N 0 3 318.486 4.104 20 0 DIADHN C[C@H](NC[C@H](c1ccccc1)C1CC1)c1nc2ccccc2n1C ZINC000551227349 341334412 /nfs/dbraw/zinc/33/44/12/341334412.db2.gz OHXZIFQRRREOAY-MAUKXSAKSA-N 0 3 319.452 4.418 20 0 DIADHN Cc1ccc2nc(CN[C@H]3CC[C@H](c4ccc(F)cc4)C3)cn2c1 ZINC000551231006 341334653 /nfs/dbraw/zinc/33/46/53/341334653.db2.gz HKIQANPWAHISLY-WMZOPIPTSA-N 0 3 323.415 4.208 20 0 DIADHN Clc1ccc(-c2ncc(CNC[C@H]3CCCS3)s2)cc1 ZINC000551231484 341334675 /nfs/dbraw/zinc/33/46/75/341334675.db2.gz DCGZOTZETLMIRH-CYBMUJFWSA-N 0 3 324.902 4.449 20 0 DIADHN COCc1ccc(CNCCCCc2ccc(Cl)s2)o1 ZINC000551235292 341334733 /nfs/dbraw/zinc/33/47/33/341334733.db2.gz RVGPZPTWVMFEQQ-UHFFFAOYSA-N 0 3 313.850 4.253 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1C(F)(F)F)c1cc[nH]c(=O)c1 ZINC000551242164 341335270 /nfs/dbraw/zinc/33/52/70/341335270.db2.gz SOVVFHZQRSQVIB-MNOVXSKESA-N 0 3 310.319 4.218 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000246229344 341335778 /nfs/dbraw/zinc/33/57/78/341335778.db2.gz FVWTUIFSDWYKGI-ZTFGCOKTSA-N 0 3 301.409 4.056 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@H](C)c2cn3ccccc3n2)cc1 ZINC000551264672 341335999 /nfs/dbraw/zinc/33/59/99/341335999.db2.gz DCKXRJNEOXIMLO-MRXNPFEDSA-N 0 3 307.441 4.271 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccnc(OC)c2)C(C)C)cc1 ZINC000551266663 341336259 /nfs/dbraw/zinc/33/62/59/341336259.db2.gz CIPUJTCEXOSXHV-AUUYWEPGSA-N 0 3 314.429 4.147 20 0 DIADHN C[C@H](NCc1ccc(OC(F)F)c(F)c1)c1ccc(F)cc1 ZINC000551274837 341336800 /nfs/dbraw/zinc/33/68/00/341336800.db2.gz NPINDUKDLBWJIM-JTQLQIEISA-N 0 3 313.294 4.417 20 0 DIADHN CC(C)[C@](C)(Cc1ccc(Cl)cc1)N[C@H](C)c1nccn1C ZINC000551296080 341337470 /nfs/dbraw/zinc/33/74/70/341337470.db2.gz GBHUAYZAOPCFSX-KDOFPFPSSA-N 0 3 319.880 4.382 20 0 DIADHN F[C@@H]1CCC[C@@H]1NC1CC(c2cccc(Br)c2)C1 ZINC000551301734 341337723 /nfs/dbraw/zinc/33/77/23/341337723.db2.gz OJFRTEFXZJOFMI-AXVKAWJUSA-N 0 3 312.226 4.175 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(C)C)c1ncc(Br)s1 ZINC000551307528 341337934 /nfs/dbraw/zinc/33/79/34/341337934.db2.gz FBWRCZZXVHUJPX-UWVGGRQHSA-N 0 3 317.296 4.383 20 0 DIADHN CC(C)[C@@H](NCc1cnn2ccccc12)c1ccc(F)c(F)c1 ZINC000551331086 341338812 /nfs/dbraw/zinc/33/88/12/341338812.db2.gz XJWZIEXSFPYXKW-GOSISDBHSA-N 0 3 315.367 4.099 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2CN[C@H](C)c2nccs2)cc1 ZINC000246279803 341339859 /nfs/dbraw/zinc/33/98/59/341339859.db2.gz BISGUOQQGULURU-PVAVHDDUSA-N 0 3 316.470 4.270 20 0 DIADHN CC(C)(C)N(CCCCCF)CC(=O)Nc1ccc(F)cc1 ZINC000551391585 341340396 /nfs/dbraw/zinc/34/03/96/341340396.db2.gz GCRKNCLNEAYPED-UHFFFAOYSA-N 0 3 312.404 4.005 20 0 DIADHN CC(C)Sc1ccc(CN2CCC[C@H]2[C@H]2CCCO2)cc1 ZINC000551424344 341341379 /nfs/dbraw/zinc/34/13/79/341341379.db2.gz ZIEYVSJKZWVRIC-ZWKOTPCHSA-N 0 3 305.487 4.331 20 0 DIADHN C[C@H](NC[C@H]1[C@@H]2c3ccccc3C[C@H]12)c1nc2c(s1)CCCC2 ZINC000246327579 341342338 /nfs/dbraw/zinc/34/23/38/341342338.db2.gz FXFRDVZCUKOLTR-GCUGWZBJSA-N 0 3 324.493 4.258 20 0 DIADHN CCc1ccccc1CCN1CCC(F)(c2cccnc2)CC1 ZINC000551464084 341342344 /nfs/dbraw/zinc/34/23/44/341342344.db2.gz UJOLVCARHQIBPY-UHFFFAOYSA-N 0 3 312.432 4.147 20 0 DIADHN CC1(C)CC[C@@H]1N[C@@H](C(=O)Nc1ccccc1)c1ccccc1 ZINC000551534952 341344514 /nfs/dbraw/zinc/34/45/14/341344514.db2.gz ZQWQIANIEBXONM-ZWKOTPCHSA-N 0 3 308.425 4.145 20 0 DIADHN COc1ncc([C@@H](C)NCCSc2ccccc2)cc1Cl ZINC000551540540 341344871 /nfs/dbraw/zinc/34/48/71/341344871.db2.gz HCGZAXZKHMRMFY-GFCCVEGCSA-N 0 3 322.861 4.187 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(F)cc1OC(F)F)[C@@H]1CCCO1 ZINC000246366824 341345221 /nfs/dbraw/zinc/34/52/21/341345221.db2.gz IZPJROMPNHGQFE-SWHYSGLUSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551559310 341345394 /nfs/dbraw/zinc/34/53/94/341345394.db2.gz LHLUROXHVCYTLP-SFHVURJKSA-N 0 3 309.457 4.420 20 0 DIADHN CN(Cc1cnc(-c2ccc(Cl)cc2)s1)[C@@H]1CCSC1 ZINC000551560615 341345516 /nfs/dbraw/zinc/34/55/16/341345516.db2.gz ZVEQTOLFGLTJBZ-CYBMUJFWSA-N 0 3 324.902 4.401 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2ccnc(OC(F)F)c2)cc1C ZINC000551572847 341346032 /nfs/dbraw/zinc/34/60/32/341346032.db2.gz LFQAAPFUKWPYEP-CQSZACIVSA-N 0 3 320.383 4.459 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cccc(CO)c2)cc1Cl ZINC000551572051 341346155 /nfs/dbraw/zinc/34/61/55/341346155.db2.gz RTRDYDJOVXPFAU-CYBMUJFWSA-N 0 3 319.832 4.082 20 0 DIADHN Cn1cc(CN2CCC=C(c3ccccc3)C2)c(C(C)(C)C)n1 ZINC000551586485 341346589 /nfs/dbraw/zinc/34/65/89/341346589.db2.gz NOTZIQIARDWLIX-UHFFFAOYSA-N 0 3 309.457 4.007 20 0 DIADHN Cn1ccc(CN[C@@H]2CCCCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000551616785 341347352 /nfs/dbraw/zinc/34/73/52/341347352.db2.gz IOEKCKPEGQLRHE-QZTJIDSGSA-N 0 3 317.864 4.280 20 0 DIADHN CCC[C@H]1CCCN([C@H](C)C(=O)Nc2c(C)cccc2C)C1 ZINC000246426115 341348396 /nfs/dbraw/zinc/34/83/96/341348396.db2.gz CIDKLUZFBOLESG-SJORKVTESA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2ccccc2n1)[C@H]1COc2ccccc21 ZINC000246463456 341349796 /nfs/dbraw/zinc/34/97/96/341349796.db2.gz QAXCDAIAHPJMIC-RVKKMQEKSA-N 0 3 318.420 4.450 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCCOCC(F)F)cc1Cl ZINC000551778027 341350987 /nfs/dbraw/zinc/35/09/87/341350987.db2.gz ZFCJZZKRDKJPSK-NSHDSACASA-N 0 3 321.795 4.060 20 0 DIADHN CCC1CC(N[C@@H](CO)c2ccc(Cl)cc2C(F)(F)F)C1 ZINC000551778768 341351084 /nfs/dbraw/zinc/35/10/84/341351084.db2.gz ILTIAVSOLLYFKF-IUVQJJGASA-N 0 3 321.770 4.170 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)NCc2ccc(F)cc2F)cc1 ZINC000551788282 341351510 /nfs/dbraw/zinc/35/15/10/341351510.db2.gz BRBGXEQOFRSHTQ-JBBXEZCESA-N 0 3 317.379 4.255 20 0 DIADHN COc1ncc([C@@H](C)N[C@@H]2CCc3cc(C)ccc32)cc1Cl ZINC000551789153 341351623 /nfs/dbraw/zinc/35/16/23/341351623.db2.gz NPWFJRSFPQKRTG-SJKOYZFVSA-N 0 3 316.832 4.390 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccnc(OC)c2)cc1 ZINC000551794176 341352010 /nfs/dbraw/zinc/35/20/10/341352010.db2.gz YKUVQOFOBMBWNY-HNNXBMFYSA-N 0 3 314.429 4.120 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC000551807291 341352460 /nfs/dbraw/zinc/35/24/60/341352460.db2.gz DTUYEWUWYQIXKC-APWZRJJASA-N 0 3 323.484 4.227 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC(F)F)c1)[C@@H](C)C2 ZINC000551811248 341352556 /nfs/dbraw/zinc/35/25/56/341352556.db2.gz BHMFWXUFZIBKRP-YVEFUNNKSA-N 0 3 318.367 4.015 20 0 DIADHN CCCCOc1ccccc1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000551813866 341352732 /nfs/dbraw/zinc/35/27/32/341352732.db2.gz YDLYOKMXASEHBN-HKUYNNGSSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)c(C)c1 ZINC000551822984 341352997 /nfs/dbraw/zinc/35/29/97/341352997.db2.gz NFEDZHDYNWPBLU-GOSISDBHSA-N 0 3 323.484 4.380 20 0 DIADHN CS[C@@H]1CCC[C@@H](N[C@H](C)c2nc3c(s2)CCCC3)C1 ZINC000246582128 341353979 /nfs/dbraw/zinc/35/39/79/341353979.db2.gz YSOMUGYCQHTAFF-JHJVBQTASA-N 0 3 310.532 4.347 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@H]1c1ccccn1 ZINC000551941056 341355721 /nfs/dbraw/zinc/35/57/21/341355721.db2.gz DAIDWXHFWVHSEO-JIHAQRTOSA-N 0 3 322.452 4.468 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)N(C)[C@@H]1CCN(C)[C@@H](C)C1 ZINC000246799903 341357171 /nfs/dbraw/zinc/35/71/71/341357171.db2.gz KECWLLBGVHRJJK-JKSUJKDBSA-N 0 3 317.477 4.065 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000246902831 341358405 /nfs/dbraw/zinc/35/84/05/341358405.db2.gz FHIVVOVFUXMSNC-MBNYWOFBSA-N 0 3 321.534 4.025 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@H](C)CO[C@H]2CCOC2)cc1 ZINC000247146518 341361358 /nfs/dbraw/zinc/36/13/58/341361358.db2.gz REBICBJXCCGMRR-INMHGKMJSA-N 0 3 323.502 4.032 20 0 DIADHN Cc1cc2cc(CNCc3ccnc4ccccc34)ccc2[nH]1 ZINC000552021496 341362033 /nfs/dbraw/zinc/36/20/33/341362033.db2.gz JOTFDEGOKSGNCT-UHFFFAOYSA-N 0 3 301.393 4.314 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCCO1)c1ccccc1N(C)C ZINC000247295979 341363170 /nfs/dbraw/zinc/36/31/70/341363170.db2.gz ZLGRIIBXOPXBPH-DQLDELGASA-N 0 3 324.468 4.324 20 0 DIADHN CCC[C@H](C)CC(=O)NC[C@H]1CCCN(C)[C@H]1c1cccs1 ZINC000247320596 341363465 /nfs/dbraw/zinc/36/34/65/341363465.db2.gz IBWQUWHNDPOGTQ-HDMKZQKVSA-N 0 3 322.518 4.074 20 0 DIADHN c1c[nH]c([C@@H](NC[C@@H]2CCc3ccccc32)C2CCCCC2)n1 ZINC000552044629 341364570 /nfs/dbraw/zinc/36/45/70/341364570.db2.gz IWWMQESYAOVFRG-HKUYNNGSSA-N 0 3 309.457 4.351 20 0 DIADHN Cc1csc([C@H](C)N[C@@H](C)CCc2ccc(F)cc2F)n1 ZINC000552045926 341364679 /nfs/dbraw/zinc/36/46/79/341364679.db2.gz TUDUZHHQSQSXAT-JQWIXIFHSA-N 0 3 310.413 4.402 20 0 DIADHN CCC(CC)(CN[C@H](C)c1ncc[nH]1)c1ccc(Cl)cc1 ZINC000552045386 341364713 /nfs/dbraw/zinc/36/47/13/341364713.db2.gz FTSSHAWJMLROFM-CYBMUJFWSA-N 0 3 305.853 4.472 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccccn1 ZINC000552059269 341365916 /nfs/dbraw/zinc/36/59/16/341365916.db2.gz VBVMWNJDPKEBNI-UYHISHBKSA-N 0 3 318.367 4.011 20 0 DIADHN c1c[nH]c([C@H](NC[C@@H]2[C@@H]3c4ccccc4C[C@@H]32)C2CCCCC2)n1 ZINC000552061209 341366064 /nfs/dbraw/zinc/36/60/64/341366064.db2.gz BJCXDBHSVXOVBP-IYWMVGAKSA-N 0 3 321.468 4.207 20 0 DIADHN C[C@@H](CN[C@H](c1ncc[nH]1)C1CCCCC1)Oc1ccccc1 ZINC000552062287 341366277 /nfs/dbraw/zinc/36/62/77/341366277.db2.gz RZVPWOSTZQQJEY-YJBOKZPZSA-N 0 3 313.445 4.088 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC000247520394 341366587 /nfs/dbraw/zinc/36/65/87/341366587.db2.gz NILIMZQUULWRKG-NJAFHUGGSA-N 0 3 314.473 4.149 20 0 DIADHN CC1(C)CN(CCCc2ccc3c(c2)CCO3)[C@@H]1c1ccncc1 ZINC000552064433 341366618 /nfs/dbraw/zinc/36/66/18/341366618.db2.gz OFWSQCLPSSAAAI-HXUWFJFHSA-N 0 3 322.452 4.032 20 0 DIADHN Cc1cccc(C)c1[C@@H](C)N[C@@H](C)c1ccc2c(c1)OCC(=O)N2 ZINC000552067533 341366918 /nfs/dbraw/zinc/36/69/18/341366918.db2.gz NTEMMTIEHYMCJD-LSDHHAIUSA-N 0 3 324.424 4.046 20 0 DIADHN CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1N[C@@H](C)c1cc(C)ccn1 ZINC000552073554 341367726 /nfs/dbraw/zinc/36/77/26/341367726.db2.gz HWUGGOSIJKHBMG-HKJQZYRSSA-N 0 3 324.468 4.392 20 0 DIADHN CC(C)C[C@@H]1CCCN(Cc2cnc(-c3ccncc3)s2)C1 ZINC000552076822 341367997 /nfs/dbraw/zinc/36/79/97/341367997.db2.gz HJGSGJKLQWONAZ-HNNXBMFYSA-N 0 3 315.486 4.463 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000247638336 341368080 /nfs/dbraw/zinc/36/80/80/341368080.db2.gz OHXMYNFDZMSQTO-CPUCHLNUSA-N 0 3 303.837 4.181 20 0 DIADHN Cc1cccc(CC[C@H](C)N[C@H](C)c2c(F)cncc2F)c1 ZINC000552093606 341369325 /nfs/dbraw/zinc/36/93/25/341369325.db2.gz SCFWNANNLRURSX-UONOGXRCSA-N 0 3 304.384 4.340 20 0 DIADHN COc1cc([C@H](C)NCC2(C(F)(F)F)CC2)ccc1SC ZINC000552098150 341369630 /nfs/dbraw/zinc/36/96/30/341369630.db2.gz WNLSPNCPPWIJQR-JTQLQIEISA-N 0 3 319.392 4.410 20 0 DIADHN COc1cccc2cc([C@H](C)NCC3(C(F)(F)F)CC3)oc21 ZINC000552100343 341369811 /nfs/dbraw/zinc/36/98/11/341369811.db2.gz GKZYXFKUMUKJQY-JTQLQIEISA-N 0 3 313.319 4.435 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCCc2cc(C)no2)cc1 ZINC000552100751 341369946 /nfs/dbraw/zinc/36/99/46/341369946.db2.gz TYCLRAFPXOOFOJ-OAHLLOKOSA-N 0 3 302.418 4.055 20 0 DIADHN CCc1noc(C)c1CNC[C@H](CC(C)C)c1ccccc1 ZINC000552103348 341370096 /nfs/dbraw/zinc/37/00/96/341370096.db2.gz NJRMOZOHAGYAKT-KRWDZBQOSA-N 0 3 300.446 4.465 20 0 DIADHN Fc1ccc2oc(CN3CCC(C4CCOCC4)CC3)cc2c1 ZINC000552103136 341370141 /nfs/dbraw/zinc/37/01/41/341370141.db2.gz CCLGOJKMKISRLU-UHFFFAOYSA-N 0 3 317.404 4.211 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC(Cc3ccccc3)CC2)c1 ZINC000247966270 341371484 /nfs/dbraw/zinc/37/14/84/341371484.db2.gz XHPSWQSXCJMLRP-UHFFFAOYSA-N 0 3 322.452 4.100 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)c2ccccc21)c1ccc(NC(N)=O)cc1 ZINC000247985028 341371834 /nfs/dbraw/zinc/37/18/34/341371834.db2.gz ITHWFIIUPNBBMC-LPMFXHHGSA-N 0 3 323.440 4.466 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1cccc(Cl)c1 ZINC000248062726 341372864 /nfs/dbraw/zinc/37/28/64/341372864.db2.gz YYOFQFAUWXJAQG-QRQYBIJMSA-N 0 3 321.873 4.489 20 0 DIADHN CC[C@H](N[C@H](C)[C@H](C)c1ccc(OC)cc1)c1nccs1 ZINC000248132798 341373722 /nfs/dbraw/zinc/37/37/22/341373722.db2.gz ORYXGJBNPCHNDH-ZENOOKHLSA-N 0 3 304.459 4.385 20 0 DIADHN COc1ccc(OCCN(C)[C@@H](C)c2ccc(F)c(F)c2)cc1 ZINC000248132124 341373729 /nfs/dbraw/zinc/37/37/29/341373729.db2.gz KWRIRFWBQWHPSZ-ZDUSSCGKSA-N 0 3 321.367 4.045 20 0 DIADHN C[C@H]1CCN(CC(=O)Nc2ccccc2-c2ccccc2)[C@H]1C ZINC000248342319 341375531 /nfs/dbraw/zinc/37/55/31/341375531.db2.gz JMDVESIQNYQDCA-HOTGVXAUSA-N 0 3 308.425 4.022 20 0 DIADHN Fc1ccccc1[C@H](Cc1ccccc1)NCCc1ccncc1 ZINC000564388537 341375542 /nfs/dbraw/zinc/37/55/42/341375542.db2.gz AKSIENJJRDPEFX-NRFANRHFSA-N 0 3 320.411 4.337 20 0 DIADHN C[C@@H]1CN(Cc2csc(-c3ccccn3)n2)[C@@H]2CCCC[C@H]21 ZINC000248414622 341377110 /nfs/dbraw/zinc/37/71/10/341377110.db2.gz HDLVJCHBYZSUKE-UKPHBRMFSA-N 0 3 313.470 4.216 20 0 DIADHN CCC[C@H](N[C@@H](C)C[S@@](C)=O)c1ccc(Cl)cc1Cl ZINC000248494527 341378761 /nfs/dbraw/zinc/37/87/61/341378761.db2.gz PUFNJXMCYWFHTD-RBXYMLTMSA-N 0 3 322.301 4.191 20 0 DIADHN CCC[C@H](N[C@@H](C)C[S@@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000248504989 341378876 /nfs/dbraw/zinc/37/88/76/341378876.db2.gz VXWAAMPVGPMDRS-RBXYMLTMSA-N 0 3 322.301 4.191 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@H]2CCCC[C@H]2C)c(C)c1 ZINC000248532381 341379269 /nfs/dbraw/zinc/37/92/69/341379269.db2.gz QYAZPUWUKKAWDW-PBHICJAKSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](NCc1cc[nH]c1)c1cccc(NC(=O)c2ccccc2)c1 ZINC000086250907 341380000 /nfs/dbraw/zinc/38/00/00/341380000.db2.gz LIJKNDLJGNZQJU-HNNXBMFYSA-N 0 3 319.408 4.118 20 0 DIADHN COCc1ccccc1/C=C(\C)CN1CCC[C@@H]1c1ccncc1 ZINC000552243252 341380702 /nfs/dbraw/zinc/38/07/02/341380702.db2.gz UHKSBFPEFNLMCZ-PVGBUVFQSA-N 0 3 322.452 4.468 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cc(C)ccn1)Oc1ccccc1F ZINC000552249624 341381252 /nfs/dbraw/zinc/38/12/52/341381252.db2.gz FYWXFCULMWPGEI-LSDHHAIUSA-N 0 3 302.393 4.037 20 0 DIADHN CC[C@@H](NCc1ccc(OCC2CC2)nc1)c1cccc(C)c1 ZINC000552249674 341381276 /nfs/dbraw/zinc/38/12/76/341381276.db2.gz HLIBWNKNSMBEAO-LJQANCHMSA-N 0 3 310.441 4.420 20 0 DIADHN COC(=O)c1csc([C@H](C)NCCc2ccccc2Cl)c1 ZINC000552248631 341381326 /nfs/dbraw/zinc/38/13/26/341381326.db2.gz NOKRQHYOJOATEW-NSHDSACASA-N 0 3 323.845 4.081 20 0 DIADHN Fc1ccc(F)c(CCN[C@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000552248712 341381344 /nfs/dbraw/zinc/38/13/44/341381344.db2.gz QKEHGLXSIQLBKF-KRWDZBQOSA-N 0 3 319.399 4.142 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2ccsc2)no1)C1CC1 ZINC000552254290 341381659 /nfs/dbraw/zinc/38/16/59/341381659.db2.gz WTAMCIGDIZOLBZ-RYUDHWBXSA-N 0 3 305.447 4.197 20 0 DIADHN Cc1ccnc([C@@H](C)NC[C@H](C)Oc2ccc(Cl)cc2)c1 ZINC000552260439 341382096 /nfs/dbraw/zinc/38/20/96/341382096.db2.gz BHTUAFOCPRSPFB-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN c1c[nH]c([C@H](NCCc2cccc3[nH]ccc32)C2CCCCC2)n1 ZINC000552262244 341382157 /nfs/dbraw/zinc/38/21/57/341382157.db2.gz MRCQKJDABKLGHO-LJQANCHMSA-N 0 3 322.456 4.345 20 0 DIADHN COc1ccncc1CNC[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000552273428 341382850 /nfs/dbraw/zinc/38/28/50/341382850.db2.gz JVCCQHRUUQKFKT-LBPRGKRZSA-N 0 3 324.346 4.002 20 0 DIADHN COC1CCC(CCN[C@@H](c2ncc[nH]2)C2CCCCC2)CC1 ZINC000552282639 341383943 /nfs/dbraw/zinc/38/39/43/341383943.db2.gz HJXJNKGRCKRFEH-VMWRSERWSA-N 0 3 319.493 4.216 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(Cl)c1)[C@@H](O)Cc1ccccc1 ZINC000248682614 341384199 /nfs/dbraw/zinc/38/41/99/341384199.db2.gz DVCWHQQRJKFFKW-ZOWXZIJZSA-N 0 3 309.862 4.044 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc(N(C)C)s2)c1C ZINC000552290453 341384659 /nfs/dbraw/zinc/38/46/59/341384659.db2.gz JRDPDVXWWOPRLX-QGZVFWFLSA-N 0 3 315.486 4.163 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cc(C)ccc1OC(F)F ZINC000552299849 341385050 /nfs/dbraw/zinc/38/50/50/341385050.db2.gz PXCJFXRTZVFGHH-GFCCVEGCSA-N 0 3 303.418 4.170 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cc(C)ccc1OC(F)F ZINC000552299852 341385128 /nfs/dbraw/zinc/38/51/28/341385128.db2.gz PXCJFXRTZVFGHH-LBPRGKRZSA-N 0 3 303.418 4.170 20 0 DIADHN Cc1cc(C)cc(CN[C@@H](c2ccccc2)C2(CO)CCC2)c1 ZINC000552316977 341386023 /nfs/dbraw/zinc/38/60/23/341386023.db2.gz WVMHEQFDCMIZBF-FQEVSTJZSA-N 0 3 309.453 4.297 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(F)cc1)c1c(F)cncc1F ZINC000552327510 341386818 /nfs/dbraw/zinc/38/68/18/341386818.db2.gz VYBFJPLXEPGYEW-NWDGAFQWSA-N 0 3 308.347 4.171 20 0 DIADHN CC[C@H](C)[C@H](C)NCC(=O)Nc1ccccc1-c1ccccc1 ZINC000142093759 341388190 /nfs/dbraw/zinc/38/81/90/341388190.db2.gz JVTTZHTUQNEBGD-HOTGVXAUSA-N 0 3 310.441 4.316 20 0 DIADHN c1cc2c(o1)CCC[C@@H]2N[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000248881131 341389190 /nfs/dbraw/zinc/38/91/90/341389190.db2.gz KPYFTJZWHQCMTD-MNEFBYGVSA-N 0 3 311.425 4.415 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@H]1CCO[C@@H](C2CC2)C1 ZINC000248894160 341389734 /nfs/dbraw/zinc/38/97/34/341389734.db2.gz SYBIOICDJFHYHD-XLWVJDPXSA-N 0 3 323.864 4.347 20 0 DIADHN C[C@H](c1nc(-c2ccccc2Cl)no1)N1[C@H](C)CC[C@@H]1C ZINC000248977169 341391016 /nfs/dbraw/zinc/39/10/16/341391016.db2.gz UQWWBZYEFAWEQM-GRYCIOLGSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@@H]1COCC[C@@H]1N[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000249156317 341394709 /nfs/dbraw/zinc/39/47/09/341394709.db2.gz WWQQRJSGOZTXEM-MDASCCDHSA-N 0 3 315.844 4.444 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@H]1CCCC[C@H]1C ZINC000249396164 341397150 /nfs/dbraw/zinc/39/71/50/341397150.db2.gz RSUOKRZGABCTEN-DOMZBBRYSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@H](CN[C@H](C)c1cnccc1C)Oc1cccc(F)c1 ZINC000552522305 341397324 /nfs/dbraw/zinc/39/73/24/341397324.db2.gz OBUFHGHJNDWKTO-ZBFHGGJFSA-N 0 3 302.393 4.037 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCCOc1ccc(F)cc1 ZINC000552536406 341397886 /nfs/dbraw/zinc/39/78/86/341397886.db2.gz DVFSKHVXQPECIB-GFCCVEGCSA-N 0 3 322.449 4.444 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1C)c1ccccc1OCc1ccccc1 ZINC000552536575 341397909 /nfs/dbraw/zinc/39/79/09/341397909.db2.gz KPECCTXNQTWTKY-MDZRGWNJSA-N 0 3 311.425 4.094 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1cccc(O)c1 ZINC000249581029 341399500 /nfs/dbraw/zinc/39/95/00/341399500.db2.gz NJCBQNXXHWYMBU-RZAIGCCYSA-N 0 3 317.816 4.226 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1CCO)c1cc(F)c(Cl)cc1Cl ZINC000249770139 341400821 /nfs/dbraw/zinc/40/08/21/341400821.db2.gz BPAZUMJORZBDGH-YHAQOWFVSA-N 0 3 320.235 4.334 20 0 DIADHN Cc1occc1CN[C@H](C)Cc1cccc(Br)c1 ZINC000552904313 341408311 /nfs/dbraw/zinc/40/83/11/341408311.db2.gz FXJGCAWNYIAORU-LLVKDONJSA-N 0 3 308.219 4.071 20 0 DIADHN CCn1cc([C@@H](C)NCCCOc2cccc3ccccc32)cn1 ZINC000552904871 341408421 /nfs/dbraw/zinc/40/84/21/341408421.db2.gz ZSZIZAJWZCZOOE-MRXNPFEDSA-N 0 3 323.440 4.176 20 0 DIADHN Cn1cc([C@H](NCCSc2ccc(F)cc2)C(C)(C)C)cn1 ZINC000553075382 341415000 /nfs/dbraw/zinc/41/50/00/341415000.db2.gz JHYIGZDMNBFZOG-INIZCTEOSA-N 0 3 321.465 4.028 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccccc1CO)CCC2 ZINC000090404356 341415222 /nfs/dbraw/zinc/41/52/22/341415222.db2.gz ROUQGBCQJPUPJV-OAQYLSRUSA-N 0 3 309.453 4.470 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccccc2o1)[C@H](O)Cc1ccccc1 ZINC000090655325 341418179 /nfs/dbraw/zinc/41/81/79/341418179.db2.gz YOTXZFXATRMMFV-RVKKMQEKSA-N 0 3 309.409 4.076 20 0 DIADHN CC[C@H](NCc1cccc(OCCCOC)c1)c1cccs1 ZINC000090718627 341418730 /nfs/dbraw/zinc/41/87/30/341418730.db2.gz VUFBBRPDOAPUON-KRWDZBQOSA-N 0 3 319.470 4.404 20 0 DIADHN CS[C@@H](C)CN[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000090725204 341418944 /nfs/dbraw/zinc/41/89/44/341418944.db2.gz GHFCXGIZMVLEBV-NWDGAFQWSA-N 0 3 323.893 4.236 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H]1COc2ccccc21)c1cc(F)cc(F)c1 ZINC000090845444 341419690 /nfs/dbraw/zinc/41/96/90/341419690.db2.gz YDAALPRPNSTFPH-PRXAMGSTSA-N 0 3 303.352 4.180 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)c2ccc(-n3ccnn3)cc2)c1C ZINC000090880048 341420045 /nfs/dbraw/zinc/42/00/45/341420045.db2.gz VDSHKUNJLWRHGX-DLBZAZTESA-N 0 3 320.440 4.296 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@@H](C)c1nccs1 ZINC000090881639 341420049 /nfs/dbraw/zinc/42/00/49/341420049.db2.gz FTNLGJICFHCSOV-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN C[C@@H]1CC[C@H](NCc2csc(-c3ccccn3)n2)C[C@H]1C ZINC000251837924 341421016 /nfs/dbraw/zinc/42/10/16/341421016.db2.gz NXSUQKIERZKFKR-MCIONIFRSA-N 0 3 301.459 4.119 20 0 DIADHN FC(F)(F)c1ccc(C2CCN(Cc3ccncc3)CC2)cc1 ZINC000091100353 341422181 /nfs/dbraw/zinc/42/21/81/341422181.db2.gz YAQHHAWGCDRDOH-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CC[C@@H](Nc1ccnc2ccccc21)c1ccc(OC)c(OC)c1 ZINC000553265685 341422553 /nfs/dbraw/zinc/42/25/53/341422553.db2.gz XQARHFUBPANXJR-MRXNPFEDSA-N 0 3 322.408 4.237 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)n1 ZINC000553283839 341423236 /nfs/dbraw/zinc/42/32/36/341423236.db2.gz GPOUQYTVGUWZAM-JBBXEZCESA-N 0 3 317.864 4.140 20 0 DIADHN C[C@@H](NCCc1ccsc1)c1nc2ccc(Cl)cc2n1C ZINC000091281046 341424089 /nfs/dbraw/zinc/42/40/89/341424089.db2.gz PZZHRZRBFVGGRE-LLVKDONJSA-N 0 3 319.861 4.182 20 0 DIADHN CCCCC1CCC(C(=O)N2C[C@@H](N3CCCC3)C[C@@H]2C)CC1 ZINC000553503799 341430638 /nfs/dbraw/zinc/43/06/38/341430638.db2.gz FVNHRABMBDJMNV-RGVPCPSESA-N 0 3 320.521 4.068 20 0 DIADHN CCCCC1CCC(C(=O)N2C[C@H](N3CCCC3)C[C@@H]2C)CC1 ZINC000553503798 341430710 /nfs/dbraw/zinc/43/07/10/341430710.db2.gz FVNHRABMBDJMNV-MKCYZYCBSA-N 0 3 320.521 4.068 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCSC2)c2ccccn2)cc1 ZINC000554072948 341442668 /nfs/dbraw/zinc/44/26/68/341442668.db2.gz JTRNZLZMJPMYTL-KDOFPFPSSA-N 0 3 316.445 4.043 20 0 DIADHN CC[C@H](N[C@H]1C[C@@H]1C(F)F)c1ccc(Br)cc1 ZINC000554376523 341452493 /nfs/dbraw/zinc/45/24/93/341452493.db2.gz ANBNSZNQFXNXJW-SRVKXCTJSA-N 0 3 304.178 4.143 20 0 DIADHN C[C@H](COc1ccccc1C(F)(F)F)N[C@H](C)c1cccnc1 ZINC000092740675 341455531 /nfs/dbraw/zinc/45/55/31/341455531.db2.gz OHIHCCMGKQOLAT-CHWSQXEVSA-N 0 3 324.346 4.219 20 0 DIADHN c1ccc([C@@H](NCC2Cc3ccccc3C2)c2cccnc2)cc1 ZINC000554512504 341456193 /nfs/dbraw/zinc/45/61/93/341456193.db2.gz LKKLPIWQOPSNIK-JOCHJYFZSA-N 0 3 314.432 4.176 20 0 DIADHN Cc1nc(-c2ccc(CN[C@@H](C)COC(C)C)cc2)cs1 ZINC000554552756 341457552 /nfs/dbraw/zinc/45/75/52/341457552.db2.gz IVSNOPLWYDJQQI-ZDUSSCGKSA-N 0 3 304.459 4.022 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN[C@@H](C)c1cnn(C)c1 ZINC000554718218 341462020 /nfs/dbraw/zinc/46/20/20/341462020.db2.gz PTPYYYJTPHOKTE-OTAKNEKHSA-N 0 3 323.362 4.193 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccccc1N(C)C ZINC000554741685 341462980 /nfs/dbraw/zinc/46/29/80/341462980.db2.gz QJCBUWIXDBFAQM-HGHGUNKESA-N 0 3 324.468 4.324 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cccs1)c1nc2c(s1)CCCC2 ZINC000093371732 341470005 /nfs/dbraw/zinc/47/00/05/341470005.db2.gz TYUKLMBHISFURI-NWDGAFQWSA-N 0 3 306.500 4.365 20 0 DIADHN Fc1ccc(OCCNCc2cscc2C(F)(F)F)cc1 ZINC000554969678 341470876 /nfs/dbraw/zinc/47/08/76/341470876.db2.gz QJPFTPJQMZATTJ-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(SC)s1 ZINC000554969315 341470901 /nfs/dbraw/zinc/47/09/01/341470901.db2.gz JJKUIAYQUFQGDL-UHFFFAOYSA-N 0 3 307.484 4.296 20 0 DIADHN COc1cccc(C2(CN[C@@H](C)c3nccs3)CCCC2)c1 ZINC000093406307 341471886 /nfs/dbraw/zinc/47/18/86/341471886.db2.gz GLQJXBAWCLYACE-AWEZNQCLSA-N 0 3 316.470 4.314 20 0 DIADHN CCn1nccc1CCN[C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000555051111 341473858 /nfs/dbraw/zinc/47/38/58/341473858.db2.gz AAHQYXSYTABSPJ-KRWDZBQOSA-N 0 3 321.415 4.101 20 0 DIADHN CC(C)(C)OC1CCN(CCc2ccc(F)cc2Cl)CC1 ZINC000555095760 341474961 /nfs/dbraw/zinc/47/49/61/341474961.db2.gz ZBTCWHBEHFZMOB-UHFFFAOYSA-N 0 3 313.844 4.301 20 0 DIADHN Clc1ccc(SC2CCN(Cc3cc[nH]c3)CC2)cc1 ZINC000093814505 341484487 /nfs/dbraw/zinc/48/44/87/341484487.db2.gz RZFUNSMTXJUCRC-UHFFFAOYSA-N 0 3 306.862 4.425 20 0 DIADHN CSCc1cnc(CNCC2CC(c3ccccc3)C2)s1 ZINC000555587076 341486084 /nfs/dbraw/zinc/48/60/84/341486084.db2.gz WZQLBVGGBLNPNC-UHFFFAOYSA-N 0 3 318.511 4.290 20 0 DIADHN Cc1ccc(CNCC[C@H](c2ccccc2)C(F)(F)F)nc1 ZINC000555593384 341486285 /nfs/dbraw/zinc/48/62/85/341486285.db2.gz VZXFJXNMWNYMJW-MRXNPFEDSA-N 0 3 308.347 4.216 20 0 DIADHN Cc1ccccc1C(C)(C)NCC(=O)N(C)[C@H](C)c1ccccc1 ZINC000555612347 341486880 /nfs/dbraw/zinc/48/68/80/341486880.db2.gz LNVAUMWTJLCYQZ-QGZVFWFLSA-N 0 3 324.468 4.039 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cncc(Br)c1 ZINC000555611427 341486986 /nfs/dbraw/zinc/48/69/86/341486986.db2.gz GIIXJOVVFNOKBP-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN C[C@H](NCc1ccc(F)c(Cl)c1)c1ccccc1N(C)C ZINC000093944167 341487030 /nfs/dbraw/zinc/48/70/30/341487030.db2.gz PLZCTZRAUOCJSJ-LBPRGKRZSA-N 0 3 306.812 4.396 20 0 DIADHN Cc1cnc(CN[C@@](C)(Cc2ccc(Cl)cc2)C(C)C)nc1 ZINC000555671975 341488334 /nfs/dbraw/zinc/48/83/34/341488334.db2.gz MKCFYOCICKWGAL-SFHVURJKSA-N 0 3 317.864 4.185 20 0 DIADHN Cc1ccc(CNCc2ccc(OC(F)(F)F)cc2)cc1C ZINC000556191620 341496682 /nfs/dbraw/zinc/49/66/82/341496682.db2.gz HKIBNXDWCXXNNU-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN C[C@@H](N[C@@H]1CC2CCC1CC2)c1ncc(Br)s1 ZINC000556201506 341496961 /nfs/dbraw/zinc/49/69/61/341496961.db2.gz BKSZPZYFQBBYSE-AGVGLQIMSA-N 0 3 315.280 4.135 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(F)cc2)C1)c1ccc(Cl)cn1 ZINC000556204332 341497188 /nfs/dbraw/zinc/49/71/88/341497188.db2.gz FEXDCRXQXOHTQG-AXAPSJFSSA-N 0 3 304.796 4.471 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(Cl)ccc1OC(F)F)C(C)(C)O ZINC000556237927 341498597 /nfs/dbraw/zinc/49/85/97/341498597.db2.gz FOKPAEBIXAUEFK-ZANVPECISA-N 0 3 321.795 4.142 20 0 DIADHN CO[C@@H](CN[C@@H]1CC2(CCCCC2)Oc2ccccc21)C1CC1 ZINC000556238415 341498677 /nfs/dbraw/zinc/49/86/77/341498677.db2.gz KAAQPANEUIIBID-MJGOQNOKSA-N 0 3 315.457 4.228 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)N[C@H](C)c1ccncc1F ZINC000556247767 341499154 /nfs/dbraw/zinc/49/91/54/341499154.db2.gz OMTXXQYMFCEHAP-ACJLOTCBSA-N 0 3 304.384 4.278 20 0 DIADHN c1ccc2c(c1)cccc2-c1noc([C@@H]2C[C@@H]3CCCC[C@H]3N2)n1 ZINC000261680061 341504100 /nfs/dbraw/zinc/50/41/00/341504100.db2.gz MNXFNKBWZCJFRP-QGTPRVQTSA-N 0 3 319.408 4.483 20 0 DIADHN C[C@@H](NC[C@@]1(C)OCCc2sccc21)c1cccc(F)c1F ZINC000564615998 341505172 /nfs/dbraw/zinc/50/51/72/341505172.db2.gz MXUBXZKYYOPTKU-PIGZYNQJSA-N 0 3 323.408 4.165 20 0 DIADHN COC[C@@H](NC1CC(c2cc(F)cc(F)c2)C1)c1ccc(C)o1 ZINC000564734260 341508006 /nfs/dbraw/zinc/50/80/06/341508006.db2.gz SDKOIYZXYBKKFO-QWFMPGPPSA-N 0 3 321.367 4.090 20 0 DIADHN CC[C@H](COC)N[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000152877621 341509645 /nfs/dbraw/zinc/50/96/45/341509645.db2.gz DZWDFNPVUIFFNX-DGCLKSJQSA-N 0 3 322.449 4.333 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H]2C[C@@H](C)c3c2cccc3C)cc1 ZINC000152925780 341512145 /nfs/dbraw/zinc/51/21/45/341512145.db2.gz HOUSUTLVQFKUQM-BEFAXECRSA-N 0 3 322.452 4.083 20 0 DIADHN C[C@@H](NC[C@@H]1CCCO1)c1ccc2ccccc2c1OC(F)F ZINC000153063552 341522912 /nfs/dbraw/zinc/52/29/12/341522912.db2.gz OGCANUQNBDWPSP-OCCSQVGLSA-N 0 3 321.367 4.271 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2ccc(Sc3ccccn3)o2)C1 ZINC000565597599 341532286 /nfs/dbraw/zinc/53/22/86/341532286.db2.gz RXRDUWGGSPFDMW-CHWSQXEVSA-N 0 3 306.406 4.196 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@@H](C)c2cccc(CO)c2)cc1 ZINC000305770264 341532402 /nfs/dbraw/zinc/53/24/02/341532402.db2.gz HADXFBRHZCVYGF-CVEARBPZSA-N 0 3 313.441 4.378 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CNCc2cccc3nccn32)cc1 ZINC000565618547 341532891 /nfs/dbraw/zinc/53/28/91/341532891.db2.gz DCQMAMILOALKCC-INIZCTEOSA-N 0 3 323.440 4.015 20 0 DIADHN CC1(CN2CCC(C(=O)c3ccc(Cl)cc3)CC2)CCC1 ZINC000565904296 341542068 /nfs/dbraw/zinc/54/20/68/341542068.db2.gz CXOBTJIXODPHFQ-UHFFFAOYSA-N 0 3 305.849 4.425 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1C[C@@H](C)c3ccccc31)CCO2 ZINC000566032542 341545983 /nfs/dbraw/zinc/54/59/83/341545983.db2.gz KXUCDQGLYYVWPI-VMDGZTHMSA-N 0 3 309.409 4.357 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)N[C@H]2CSC2(C)C)c1 ZINC000566056442 341546557 /nfs/dbraw/zinc/54/65/57/341546557.db2.gz CRRJVZFMISIWLG-GWCFXTLKSA-N 0 3 301.402 4.141 20 0 DIADHN Fc1ccc(-c2nc(CN[C@H]3CCC[C@@H](F)C3)cs2)cc1 ZINC000566217127 341551092 /nfs/dbraw/zinc/55/10/92/341551092.db2.gz LUUCTGSNCIODMH-KGLIPLIRSA-N 0 3 308.397 4.320 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@@H]1CCC[C@H]2C[C@H]21)c1ccccc1 ZINC000566573578 341562180 /nfs/dbraw/zinc/56/21/80/341562180.db2.gz MZGRJVJEAWCNLO-MTFMMBMASA-N 0 3 320.436 4.145 20 0 DIADHN CCC[C@H](NC[C@]1(CC)OCCc2ccccc21)c1ccccn1 ZINC000566650091 341564145 /nfs/dbraw/zinc/56/41/45/341564145.db2.gz RESUABWUBFBTLW-FPOVZHCZSA-N 0 3 324.468 4.391 20 0 DIADHN C[C@H](N[C@H]1CCCc2[nH]c(=O)ccc21)c1cc2ccccc2o1 ZINC000268726163 341603225 /nfs/dbraw/zinc/60/32/25/341603225.db2.gz KQHIKUIQEUTUST-WFASDCNBSA-N 0 3 308.381 4.262 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000268801093 341604336 /nfs/dbraw/zinc/60/43/36/341604336.db2.gz YPCIUPLHAHYWGR-ZDUSSCGKSA-N 0 3 321.367 4.285 20 0 DIADHN C[C@@H](NCCn1ccnc1)c1ccc(SC2CCCC2)cc1 ZINC000155753462 341612675 /nfs/dbraw/zinc/61/26/75/341612675.db2.gz SRJSZHSCAGGODD-OAHLLOKOSA-N 0 3 315.486 4.269 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)Cc3ccc(O)cc3)co2)cc1 ZINC000155942472 341615301 /nfs/dbraw/zinc/61/53/01/341615301.db2.gz CEHBWWSEQFGIBV-OAHLLOKOSA-N 0 3 322.408 4.076 20 0 DIADHN CC(C)C[C@H](NC[C@H](c1ccccc1)N(C)C)c1ccccn1 ZINC000156151346 341617616 /nfs/dbraw/zinc/61/76/16/341617616.db2.gz BPCQBRBFLDAYKF-VQTJNVASSA-N 0 3 311.473 4.061 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](CC)c2ccc(CC)cc2)cc1 ZINC000156510858 341622220 /nfs/dbraw/zinc/62/22/20/341622220.db2.gz VUKAEQLHEDERQB-HXUWFJFHSA-N 0 3 324.468 4.240 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(OC(C)(C)C)cc2)cn1 ZINC000156753022 341626073 /nfs/dbraw/zinc/62/60/73/341626073.db2.gz DWQZBMRDPVYOSE-AWEZNQCLSA-N 0 3 314.429 4.118 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H]3CCO[C@@H](C4CC4)C3)o2)cc1 ZINC000156928883 341629078 /nfs/dbraw/zinc/62/90/78/341629078.db2.gz PNNLLABRGVZJKB-YLJYHZDGSA-N 0 3 311.425 4.302 20 0 DIADHN CCc1nc(CCN[C@@H](C)c2cc3ccccc3o2)cs1 ZINC000157153658 341633612 /nfs/dbraw/zinc/63/36/12/341633612.db2.gz HQSFVRVNRSTRHX-LBPRGKRZSA-N 0 3 300.427 4.345 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000567915186 341640436 /nfs/dbraw/zinc/64/04/36/341640436.db2.gz KDHGIWZWOLNAGW-NOLJZWGESA-N 0 3 301.821 4.035 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1CCc2cc(N)ccc21 ZINC000567915954 341640618 /nfs/dbraw/zinc/64/06/18/341640618.db2.gz DCARNVKIPKDUDQ-GOSISDBHSA-N 0 3 300.833 4.316 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccc(OC)cc1)c1c(C)nn(C)c1C ZINC000157727025 341644281 /nfs/dbraw/zinc/64/42/81/341644281.db2.gz SQUBYMRAQHVVLO-ROUUACIJSA-N 0 3 315.461 4.238 20 0 DIADHN CC(C)(O)c1ccc(CN[C@@H]2CCCc3sccc32)cc1 ZINC000274797385 341645524 /nfs/dbraw/zinc/64/55/24/341645524.db2.gz UBNCAQSNLIOKKV-MRXNPFEDSA-N 0 3 301.455 4.143 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H](c2ccccc2)C1)c1ccc(F)cn1 ZINC000158101388 341651488 /nfs/dbraw/zinc/65/14/88/341651488.db2.gz PLIBWDPPCGRPTR-AOIWGVFYSA-N 0 3 314.404 4.182 20 0 DIADHN C[C@@H](C(=O)N1CCCC[C@@H]1c1ccccc1)N1CCCCCC1 ZINC000568160417 341655281 /nfs/dbraw/zinc/65/52/81/341655281.db2.gz FMFZDGHMLNOSNR-PKOBYXMFSA-N 0 3 314.473 4.005 20 0 DIADHN C[C@@H](C(=O)N1CCCC[C@H]1c1ccccc1)N1CCCCCC1 ZINC000568160414 341655322 /nfs/dbraw/zinc/65/53/22/341655322.db2.gz FMFZDGHMLNOSNR-HKUYNNGSSA-N 0 3 314.473 4.005 20 0 DIADHN COc1cccc(C2(CN[C@H](C)c3ccccn3)CCCC2)c1 ZINC000159070459 341667909 /nfs/dbraw/zinc/66/79/09/341667909.db2.gz OBBLDKMMFYZPFV-MRXNPFEDSA-N 0 3 310.441 4.253 20 0 DIADHN CC[C@H](NCCn1ccnc1C)c1ccc(Cl)c(Cl)c1 ZINC000336842746 341678754 /nfs/dbraw/zinc/67/87/54/341678754.db2.gz VFOWEQWXKJTLTJ-HNNXBMFYSA-N 0 3 312.244 4.239 20 0 DIADHN Cc1cc([C@H](C)NC(Cc2ccccc2)Cc2ccccc2)no1 ZINC000569200215 341693854 /nfs/dbraw/zinc/69/38/54/341693854.db2.gz WYVUVIJNEUMAOE-KRWDZBQOSA-N 0 3 320.436 4.488 20 0 DIADHN Cc1ncc([C@@H](C)NCC(C)(C)c2ccccc2Cl)c(C)n1 ZINC000164130712 341703668 /nfs/dbraw/zinc/70/36/68/341703668.db2.gz XRTRVIKEKYYDEM-GFCCVEGCSA-N 0 3 317.864 4.375 20 0 DIADHN CC[C@@H](CCO)CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000166829124 341721109 /nfs/dbraw/zinc/72/11/09/341721109.db2.gz YHCDASURUUUSJC-QWRGUYRKSA-N 0 3 321.795 4.001 20 0 DIADHN CSCc1cc(F)ccc1CN[C@H]1CCc2ccccc21 ZINC000167112042 341723464 /nfs/dbraw/zinc/72/34/64/341723464.db2.gz OGROKVDURQEDCD-SFHVURJKSA-N 0 3 301.430 4.466 20 0 DIADHN CCC[C@@H](NCc1ccc2cnn(C)c2c1)c1ccc(OC)cc1 ZINC000573297821 341724187 /nfs/dbraw/zinc/72/41/87/341724187.db2.gz RSIRKXJHTOZBKS-LJQANCHMSA-N 0 3 323.440 4.213 20 0 DIADHN Oc1cc(CN[C@@H]2CCCC(F)(F)C2)cc(C(F)(F)F)c1 ZINC000573313265 341724657 /nfs/dbraw/zinc/72/46/57/341724657.db2.gz PAGQRNQWLQRXID-LLVKDONJSA-N 0 3 309.278 4.079 20 0 DIADHN Fc1cccnc1CNCCCc1c(Cl)cccc1Cl ZINC000574435978 341758463 /nfs/dbraw/zinc/75/84/63/341758463.db2.gz JDEHLFVMAFFSKE-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN CCSCC[C@H](C)NCc1nc(C(C)C)n2ccccc12 ZINC000574761491 341768202 /nfs/dbraw/zinc/76/82/02/341768202.db2.gz IWSAKPXCSKYGDT-AWEZNQCLSA-N 0 3 305.491 4.079 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000171673111 341782226 /nfs/dbraw/zinc/78/22/26/341782226.db2.gz RWOZGIJITATAKA-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000575836269 341808216 /nfs/dbraw/zinc/80/82/16/341808216.db2.gz TVHGZKNVKVZMJG-SJKOYZFVSA-N 0 3 303.837 4.285 20 0 DIADHN CC[C@@H](NC[C@H](c1ccccc1)N(C)C)c1ccccc1OC ZINC000336867549 341809236 /nfs/dbraw/zinc/80/92/36/341809236.db2.gz RQWCPERXGDSBIH-RTBURBONSA-N 0 3 312.457 4.039 20 0 DIADHN COc1cc(CNCc2cccc3[nH]ccc32)c2ccccc2n1 ZINC000174582292 341828976 /nfs/dbraw/zinc/82/89/76/341828976.db2.gz KXHYDUQNDQGTRO-UHFFFAOYSA-N 0 3 317.392 4.015 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2CS[C@H](C(C)(C)C)C2)c1 ZINC000576526064 341850849 /nfs/dbraw/zinc/85/08/49/341850849.db2.gz NBCWCGKLCAENHO-HOTGVXAUSA-N 0 3 320.502 4.045 20 0 DIADHN CCCC(C)(C)CC(=O)NC[C@@H](N[C@@H](C)CC)c1ccco1 ZINC000576573431 341852760 /nfs/dbraw/zinc/85/27/60/341852760.db2.gz XMJZUCPJNQRWFL-LSDHHAIUSA-N 0 3 308.466 4.041 20 0 DIADHN Cc1ccccc1C1CC(N[C@H](c2ccccc2F)[C@@H](C)O)C1 ZINC000576589350 341854069 /nfs/dbraw/zinc/85/40/69/341854069.db2.gz NTWGNXJVOVUEPL-ZYJFZYNKSA-N 0 3 313.416 4.092 20 0 DIADHN COC[C@@H](Cc1ccccc1)N[C@H]1CCc2sc(Cl)cc21 ZINC000576747241 341861273 /nfs/dbraw/zinc/86/12/73/341861273.db2.gz LSZZFCUIUOJVLA-HIFRSBDPSA-N 0 3 321.873 4.236 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)NCc1ccc2sccc2c1 ZINC000576749068 341861456 /nfs/dbraw/zinc/86/14/56/341861456.db2.gz ZLHHOZPQHUGFNT-GFCCVEGCSA-N 0 3 305.443 4.111 20 0 DIADHN Cc1ccc(CN[C@H](C)c2cncc(Br)c2)s1 ZINC000576767653 341862497 /nfs/dbraw/zinc/86/24/97/341862497.db2.gz CYLWBDBFLHEMJZ-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN F[C@@H]1CCC[C@H](NCc2ccccc2OCc2ccncc2)C1 ZINC000576823371 341864917 /nfs/dbraw/zinc/86/49/17/341864917.db2.gz ZOHRQHJEZJNYDS-MSOLQXFVSA-N 0 3 314.404 4.031 20 0 DIADHN CC(C)SCCN[C@@H](C)c1ccc(Br)s1 ZINC000379917473 341865343 /nfs/dbraw/zinc/86/53/43/341865343.db2.gz QATNRBMMMACEEP-VIFPVBQESA-N 0 3 308.310 4.303 20 0 DIADHN CC(C)c1ccc(CCN[C@@H](C)c2c(F)cncc2F)cc1 ZINC000576865031 341866937 /nfs/dbraw/zinc/86/69/37/341866937.db2.gz XBNCDXZPLVZBJH-ZDUSSCGKSA-N 0 3 304.384 4.377 20 0 DIADHN CC[C@H](NCCCN(C)c1ccccc1)c1nc(C)cs1 ZINC000576870512 341867059 /nfs/dbraw/zinc/86/70/59/341867059.db2.gz YDJVOUZOIQMWHJ-INIZCTEOSA-N 0 3 303.475 4.019 20 0 DIADHN Clc1ccc([C@H]2CCCCC[C@H]2NCc2cnccn2)cc1 ZINC000576921931 341869842 /nfs/dbraw/zinc/86/98/42/341869842.db2.gz WHWIUDLVIXVGIA-QZTJIDSGSA-N 0 3 315.848 4.336 20 0 DIADHN COc1cccc2c1CCC[C@@H]2NC1(c2cccc(F)c2)CC1 ZINC000576930414 341870304 /nfs/dbraw/zinc/87/03/04/341870304.db2.gz GSWUUQSXNVRHBA-SFHVURJKSA-N 0 3 311.400 4.491 20 0 DIADHN Cc1cccc(NC(=O)[C@H](C)N[C@@H](c2ccccc2)C2CC2)c1C ZINC000192597258 341876926 /nfs/dbraw/zinc/87/69/26/341876926.db2.gz MWDBPZXLFQEODX-JXFKEZNVSA-N 0 3 322.452 4.371 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1C[C@@H](c2ccccc2)[C@@H]1C)c1ccccc1F ZINC000577067858 341883195 /nfs/dbraw/zinc/88/31/95/341883195.db2.gz IMFIWTNORWMPLL-VZVWMNLFSA-N 0 3 313.416 4.029 20 0 DIADHN C[C@H]1C[C@H]1c1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)o1 ZINC000577140358 341889895 /nfs/dbraw/zinc/88/98/95/341889895.db2.gz BZFPKRGWHNUVOX-RGIVZKHGSA-N 0 3 311.425 4.413 20 0 DIADHN Cc1cc(C)c(NC(=O)CNC[C@H]2CC(C)=C[C@@H](C)C2)c(C)c1 ZINC000577149069 341890563 /nfs/dbraw/zinc/89/05/63/341890563.db2.gz WPWUJYVKYGACMR-FZKQIMNGSA-N 0 3 314.473 4.132 20 0 DIADHN Cc1ccc(NCc2ccc3[nH]ccc3c2)c(OCCN(C)C)c1 ZINC000577411364 341908773 /nfs/dbraw/zinc/90/87/73/341908773.db2.gz INVKVAJVGGLMKB-UHFFFAOYSA-N 0 3 323.440 4.029 20 0 DIADHN Cc1ncc(CN[C@@H]2CCc3ccc(-c4ccccc4)cc32)n1C ZINC000577530135 341916108 /nfs/dbraw/zinc/91/61/08/341916108.db2.gz CPQUIGRRIVHMCT-OAQYLSRUSA-N 0 3 317.436 4.173 20 0 DIADHN Cc1ncc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)n1C ZINC000577530134 341916215 /nfs/dbraw/zinc/91/62/15/341916215.db2.gz CPQUIGRRIVHMCT-NRFANRHFSA-N 0 3 317.436 4.173 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccccc1-n1cccn1 ZINC000177265907 341918220 /nfs/dbraw/zinc/91/82/20/341918220.db2.gz BAUGYVLMQZJNBO-AUUYWEPGSA-N 0 3 321.399 4.350 20 0 DIADHN COc1ccc(CC2CCN([C@@H](C)c3ccccn3)CC2)cc1 ZINC000177273328 341918411 /nfs/dbraw/zinc/91/84/11/341918411.db2.gz CEFYDVBGWLXRAP-INIZCTEOSA-N 0 3 310.441 4.106 20 0 DIADHN C[C@@H](O)CC(C)(C)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000177372054 341919041 /nfs/dbraw/zinc/91/90/41/341919041.db2.gz RYNFHMXRBGDXLD-BEFAXECRSA-N 0 3 315.432 4.302 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@@H](C)C(=O)N(C)C(C)C)cc1 ZINC000177445958 341920017 /nfs/dbraw/zinc/92/00/17/341920017.db2.gz GTCPQDLJKPRUBD-CABCVRRESA-N 0 3 322.518 4.093 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCc3c2ccc(OC)c3F)c1 ZINC000577676531 341928473 /nfs/dbraw/zinc/92/84/73/341928473.db2.gz INYSRLVKTVUVTK-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cn2ccccc2n1)c1ccc(F)cc1F ZINC000577817901 341939294 /nfs/dbraw/zinc/93/92/94/341939294.db2.gz CHZITARGWNFLQG-STQMWFEESA-N 0 3 315.367 4.067 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000178292508 341950770 /nfs/dbraw/zinc/95/07/70/341950770.db2.gz VXLYSZMTTURKCQ-DIFFPNOSSA-N 0 3 303.837 4.184 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccoc1)c1ccc2c(c1)OCCO2 ZINC000178385624 341952926 /nfs/dbraw/zinc/95/29/26/341952926.db2.gz NPHVPKCDVNBDSA-SCLBCKFNSA-N 0 3 301.386 4.099 20 0 DIADHN CC(C)OC1CC(N[C@@H]2CCSc3ccc(Cl)cc32)C1 ZINC000349398006 341972708 /nfs/dbraw/zinc/97/27/08/341972708.db2.gz AZNDUJNQFOGNLM-SSDMNJCBSA-N 0 3 311.878 4.423 20 0 DIADHN Brc1ccc([C@@H](NCc2ccoc2)C2CC2)cc1 ZINC000180041735 518215730 /nfs/dbraw/zinc/21/57/30/518215730.db2.gz ZTJZCGIWCYDEJS-HNNXBMFYSA-N 0 3 306.203 4.283 20 0 DIADHN CCCC[C@H](C)N[C@@H](CCO)c1ccccc1Br ZINC000359249461 521151597 /nfs/dbraw/zinc/15/15/97/521151597.db2.gz VALUEOQKVUDIMF-WFASDCNBSA-N 0 3 314.267 4.041 20 0 DIADHN COc1ccc(CN[C@H]2CCCO[C@@H]2CC(C)C)cc1Cl ZINC000479603885 518152741 /nfs/dbraw/zinc/15/27/41/518152741.db2.gz RSRBNDYOQWKFHN-DOTOQJQBSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@H](NC[C@](C)(O)C1CCCCC1)c1csc(Cl)c1 ZINC000477867528 518183379 /nfs/dbraw/zinc/18/33/79/518183379.db2.gz UMWJVWJWWZHFFX-NHYWBVRUSA-N 0 3 301.883 4.383 20 0 DIADHN Cc1cccc([C@@H](NCc2cccnc2-n2ccnc2)C(C)C)c1 ZINC000527845720 518190649 /nfs/dbraw/zinc/19/06/49/518190649.db2.gz CJJBDSKLEKEXJM-IBGZPJMESA-N 0 3 320.440 4.063 20 0 DIADHN Fc1cccc(N2CCC[C@@H](NCc3ccccc3Cl)C2)c1 ZINC000523864139 518196180 /nfs/dbraw/zinc/19/61/80/518196180.db2.gz JWUVOVXTRJESOP-MRXNPFEDSA-N 0 3 318.823 4.238 20 0 DIADHN Nc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CSCCc2ccccc21 ZINC000423342809 518197973 /nfs/dbraw/zinc/19/79/73/518197973.db2.gz DGOLCVVWQFFLFA-VQTJNVASSA-N 0 3 324.493 4.266 20 0 DIADHN C(=C/c1ccccc1)\CN[C@@H](Cc1ccccn1)c1cccnc1 ZINC000338169857 518371786 /nfs/dbraw/zinc/37/17/86/518371786.db2.gz NPPIHVBFLIWJMC-ISPXXFMDSA-N 0 3 315.420 4.063 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1cnc2ccccc2c1 ZINC000337172049 519351265 /nfs/dbraw/zinc/35/12/65/519351265.db2.gz FDLMNGMEGMZPHC-UHFFFAOYSA-N 0 3 320.436 4.008 20 0 DIADHN CC(=O)CCN(C)[C@H](C)c1sc(-c2ccccc2)nc1C ZINC000156924422 519415390 /nfs/dbraw/zinc/41/53/90/519415390.db2.gz YOHPYFKKXLVNMJ-CQSZACIVSA-N 0 3 302.443 4.090 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCCOC2CCCCCC2)cc1 ZINC000182087179 519732830 /nfs/dbraw/zinc/73/28/30/519732830.db2.gz NPPXDYOILIZGRN-OAHLLOKOSA-N 0 3 318.461 4.035 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCCOc3ccccc32)cc1 ZINC000182040142 519733301 /nfs/dbraw/zinc/73/33/01/519733301.db2.gz JYPKUZIFGBRLGE-AUUYWEPGSA-N 0 3 324.424 4.210 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cccc(Cl)c2)c1 ZINC000019233214 519748480 /nfs/dbraw/zinc/74/84/80/519748480.db2.gz GTPKRDCKUCNDQZ-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]1c1cccs1 ZINC000162713369 519750562 /nfs/dbraw/zinc/75/05/62/519750562.db2.gz NRFRPPQGIRAHJO-MRXNPFEDSA-N 0 3 300.427 4.044 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@H]1c1cccs1 ZINC000162713506 519750703 /nfs/dbraw/zinc/75/07/03/519750703.db2.gz NRFRPPQGIRAHJO-INIZCTEOSA-N 0 3 300.427 4.044 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1ccccc1 ZINC000163099894 519799562 /nfs/dbraw/zinc/79/95/62/519799562.db2.gz SBYLSJASTXLJCD-YIFZBPKDSA-N 0 3 315.482 4.472 20 0 DIADHN CC(C)[C@H](O)CCN[C@@H]1CC2(CCCCC2)Oc2ccccc21 ZINC000338224766 519823037 /nfs/dbraw/zinc/82/30/37/519823037.db2.gz GJRBYYOODITJSE-QZTJIDSGSA-N 0 3 317.473 4.210 20 0 DIADHN CC(C)c1ccc(C(=O)N(C)[C@H]2CCN(C)[C@@H](C)C2)cc1Cl ZINC000189321996 519834558 /nfs/dbraw/zinc/83/45/58/519834558.db2.gz RDJRVJJKWALIBG-ZFWWWQNUSA-N 0 3 322.880 4.018 20 0 DIADHN CCOCCOCc1cccc(CN[C@@H](C)c2ccccc2)c1 ZINC000265484562 520001721 /nfs/dbraw/zinc/00/17/21/520001721.db2.gz SCKNYXXAWJAILM-KRWDZBQOSA-N 0 3 313.441 4.091 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC4(CCCC4)CC3)cnc21 ZINC000158440352 520081558 /nfs/dbraw/zinc/08/15/58/520081558.db2.gz UNVGVJGDLFKGEH-UHFFFAOYSA-N 0 3 312.461 4.168 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCCc3sccc32)cc1 ZINC000151638531 520192911 /nfs/dbraw/zinc/19/29/11/520192911.db2.gz FKJNNXUPHUYFBP-QGZVFWFLSA-N 0 3 301.455 4.452 20 0 DIADHN CCO[C@@H](CCN[C@H](c1ccccc1)c1ccccn1)C(C)C ZINC000180694293 520302469 /nfs/dbraw/zinc/30/24/69/520302469.db2.gz XGVPZGCOKYYUKI-VQTJNVASSA-N 0 3 312.457 4.212 20 0 DIADHN CCCCN(C(=O)c1ccc(CN(CC)C2CC2)cc1)C1CC1 ZINC000337991352 520358406 /nfs/dbraw/zinc/35/84/06/520358406.db2.gz PXMDIMVXQLWQKM-UHFFFAOYSA-N 0 3 314.473 4.076 20 0 DIADHN CCCOc1ccc(CN[C@@H](CC)c2ccc(OC)cc2)cn1 ZINC000156674783 520372116 /nfs/dbraw/zinc/37/21/16/520372116.db2.gz NCVYWXNJJGYOFK-SFHVURJKSA-N 0 3 314.429 4.120 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@@H](C)c2cccs2)cc1 ZINC000127385476 520550753 /nfs/dbraw/zinc/55/07/53/520550753.db2.gz SHIMRDZZBPVHQV-AWEZNQCLSA-N 0 3 316.470 4.081 20 0 DIADHN CCN(CC)[C@H](CNC(=O)Nc1ccsc1)c1ccsc1 ZINC000157377934 520864938 /nfs/dbraw/zinc/86/49/38/520864938.db2.gz CPMPNTMRFGTPSP-CQSZACIVSA-N 0 3 323.487 4.014 20 0 DIADHN CCCC[C@@H](NCc1scnc1C)c1ccc(OC)cc1 ZINC000266241971 521101415 /nfs/dbraw/zinc/10/14/15/521101415.db2.gz XIJGWSUSCFZNCV-MRXNPFEDSA-N 0 3 304.459 4.481 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCCC[C@@H]2[C@H]2CCOC2)on1 ZINC000365063930 521634237 /nfs/dbraw/zinc/63/42/37/521634237.db2.gz BPBHTKVJNPRGMK-CGTJXYLNSA-N 0 3 320.477 4.263 20 0 DIADHN CC[C@@H](C)CN(C)[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000264764335 521974931 /nfs/dbraw/zinc/97/49/31/521974931.db2.gz YZDRSCQAQDKSJM-NEPJUHHUSA-N 0 3 316.367 4.010 20 0 DIADHN COCC[C@H]1CCCCN([C@H](C)c2nc3ccccc3o2)C1 ZINC000364151772 521985544 /nfs/dbraw/zinc/98/55/44/521985544.db2.gz VHYWWZBCFMQKRT-HUUCEWRRSA-N 0 3 302.418 4.027 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H]2CCc3c2cccc3F)s1 ZINC000163565807 522225214 /nfs/dbraw/zinc/22/52/14/522225214.db2.gz MTPYBESWIVRHDI-MEBBXXQBSA-N 0 3 304.434 4.491 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H]2CCc3cc(F)ccc32)s1 ZINC000163496642 522233790 /nfs/dbraw/zinc/23/37/90/522233790.db2.gz ZWJKGBCLKZQLDV-ZUZCIYMTSA-N 0 3 304.434 4.491 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1cccc(COC)c1)CCC2 ZINC000158535702 522474083 /nfs/dbraw/zinc/47/40/83/522474083.db2.gz DELKLBMUXISUOJ-HNNXBMFYSA-N 0 3 316.470 4.019 20 0 DIADHN CCc1nc2c(s1)[C@H](N(C)CCc1csc(C)n1)CCC2 ZINC000129282081 522477390 /nfs/dbraw/zinc/47/73/90/522477390.db2.gz XRHWSKLMLMZYCW-CQSZACIVSA-N 0 3 321.515 4.022 20 0 DIADHN COc1cc(CN[C@@H](C)c2cnc3ccsc3c2)ccc1C ZINC000161805328 522479038 /nfs/dbraw/zinc/47/90/38/522479038.db2.gz FCXYCVPVGGVWIL-ZDUSSCGKSA-N 0 3 312.438 4.464 20 0 DIADHN CCc1nc2cc(CNCc3cccs3)ccc2n1C1CC1 ZINC000338440222 522483016 /nfs/dbraw/zinc/48/30/16/522483016.db2.gz OKWLJDYZIKXTJV-UHFFFAOYSA-N 0 3 311.454 4.285 20 0 DIADHN CC[C@H](N[C@@H](C)CC[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000163477965 522687221 /nfs/dbraw/zinc/68/72/21/522687221.db2.gz CDNHAMKFQLKRPI-RBXYMLTMSA-N 0 3 322.301 4.191 20 0 DIADHN CC[C@H](N[C@H](C)CC[S@](C)=O)c1ccc(Cl)cc1Cl ZINC000163478197 522734486 /nfs/dbraw/zinc/73/44/86/522734486.db2.gz CDNHAMKFQLKRPI-MPSXMAJESA-N 0 3 322.301 4.191 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000339331589 522824746 /nfs/dbraw/zinc/82/47/46/522824746.db2.gz HLUBTNBOIUNYJE-QGZVFWFLSA-N 0 3 316.832 4.410 20 0 DIADHN CC[C@@H](NCc1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000112527576 522880069 /nfs/dbraw/zinc/88/00/69/522880069.db2.gz FWVREYYDXJZZGC-LJQANCHMSA-N 0 3 308.425 4.054 20 0 DIADHN CC[C@@H](NCc1ccnc(OCC(F)(F)F)c1)c1ccccc1 ZINC000155209644 522886539 /nfs/dbraw/zinc/88/65/39/522886539.db2.gz TTXFFWSINYDDHS-OAHLLOKOSA-N 0 3 324.346 4.264 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc(OC)c(OC)cc1C)c1ccncc1 ZINC000163643971 522935319 /nfs/dbraw/zinc/93/53/19/522935319.db2.gz NYIVOFLRTBEBAU-RHSMWYFYSA-N 0 3 314.429 4.209 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cccnc2)ccc1SC ZINC000158542352 522948429 /nfs/dbraw/zinc/94/84/29/522948429.db2.gz QRRRJCXMWCVSKV-QWHCGFSZSA-N 0 3 302.443 4.224 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(Cl)cc1 ZINC000338025549 522948463 /nfs/dbraw/zinc/94/84/63/522948463.db2.gz XNERCWCZTMSSAK-CVEARBPZSA-N 0 3 303.837 4.192 20 0 DIADHN COCc1ccc(CN[C@@H]2CCCOc3cc(C)ccc32)cc1 ZINC000124393683 522995087 /nfs/dbraw/zinc/99/50/87/522995087.db2.gz OEEZIGOLWWTGPV-LJQANCHMSA-N 0 3 311.425 4.145 20 0 DIADHN COCCCCN1CCC[C@H]1c1nc2ccccc2n1C(C)C ZINC000266872568 523144074 /nfs/dbraw/zinc/14/40/74/523144074.db2.gz RFGBYIZBNMBFSM-SFHVURJKSA-N 0 3 315.461 4.181 20 0 DIADHN CC[S@@](=O)[C@H]1CCC[C@H](N[C@@H](C)c2csc(Cl)c2)C1 ZINC000191329725 523634830 /nfs/dbraw/zinc/63/48/30/523634830.db2.gz YANAOWGVJCGQOA-SAXDKJOGSA-N 0 3 319.923 4.132 20 0 DIADHN CO[C@H](CN(C)C[C@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000338285149 523930211 /nfs/dbraw/zinc/93/02/11/523930211.db2.gz DMDOBLKYRQYNMF-SWLSCSKDSA-N 0 3 324.877 4.220 20 0 DIADHN CO[C@H](CN[C@@H](C)c1sc(C)nc1C)c1ccc(Cl)cc1 ZINC000338306782 523952540 /nfs/dbraw/zinc/95/25/40/523952540.db2.gz WRSQDXHVODFLKU-ZUZCIYMTSA-N 0 3 324.877 4.452 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)CC(=O)N3C)cc1 ZINC000268734241 524046983 /nfs/dbraw/zinc/04/69/83/524046983.db2.gz VBIQMHKGNYOUHU-GJZGRUSLSA-N 0 3 322.452 4.180 20 0 DIADHN COc1ccc(CN[C@@H]2CC(C)(C)CCc3ccccc32)nc1 ZINC000338363450 524084643 /nfs/dbraw/zinc/08/46/43/524084643.db2.gz HDVBNTFEBQSHID-LJQANCHMSA-N 0 3 310.441 4.284 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)[C@@H](N)c1ccccc1 ZINC000163520101 524238721 /nfs/dbraw/zinc/23/87/21/524238721.db2.gz DIGSDCDEGZCLPN-MAUKXSAKSA-N 0 3 310.441 4.393 20 0 DIADHN COc1ccc(F)cc1CN[C@@H]1CCC[C@H](c2cccnc2)C1 ZINC000337308048 524313908 /nfs/dbraw/zinc/31/39/08/524313908.db2.gz STCXKCCJRQHSLD-KBXCAEBGSA-N 0 3 314.404 4.045 20 0 DIADHN Cc1ccc(CC[C@@H](C)NCc2cnc([C@H]3CCCO3)s2)o1 ZINC000339505796 524345934 /nfs/dbraw/zinc/34/59/34/524345934.db2.gz WVPLHJXVWGLWKJ-MLGOLLRUSA-N 0 3 320.458 4.007 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1cc(Cl)ccc1C ZINC000126723848 524387012 /nfs/dbraw/zinc/38/70/12/524387012.db2.gz DYUFRSNUBMXTHM-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3ccc(Cl)cc3)o2)[C@H]1C ZINC000249516920 524409342 /nfs/dbraw/zinc/40/93/42/524409342.db2.gz GEXMBFKNHSEKQT-XUJVJEKNSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)CSC(C)(C)C ZINC000128668565 524442164 /nfs/dbraw/zinc/44/21/64/524442164.db2.gz GXRWFWHLZVKFJN-UHFFFAOYSA-N 0 3 320.502 4.061 20 0 DIADHN C[C@@H](CNC(c1ccc(F)cc1)c1ccc(F)cc1)C[C@H](C)O ZINC000180600062 524733930 /nfs/dbraw/zinc/73/39/30/524733930.db2.gz HQHBKPFULDHAGU-KGLIPLIRSA-N 0 3 319.395 4.051 20 0 DIADHN C[C@@H](CN[C@H](C)c1cnccn1)c1c(Cl)cccc1Cl ZINC000155946565 524751825 /nfs/dbraw/zinc/75/18/25/524751825.db2.gz GQDAKCHNJMCJNM-WDEREUQCSA-N 0 3 310.228 4.238 20 0 DIADHN Cc1cc(CN[C@H](CCCO)c2ccccc2)c2ccccc2n1 ZINC000265842390 524780922 /nfs/dbraw/zinc/78/09/22/524780922.db2.gz GPXMUXWTGSCIHC-HXUWFJFHSA-N 0 3 320.436 4.147 20 0 DIADHN C[C@H](F)CCNCc1cc(Cl)ccc1OCc1cccnc1 ZINC000339482043 524807749 /nfs/dbraw/zinc/80/77/49/524807749.db2.gz CGIGMBTWZJIDQA-ZDUSSCGKSA-N 0 3 322.811 4.152 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cnc3ccccc3c2)C1 ZINC000337190066 524815326 /nfs/dbraw/zinc/81/53/26/524815326.db2.gz RMLICBWGIVTAQX-SFHVURJKSA-N 0 3 318.420 4.233 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)c1C ZINC000158814840 524874260 /nfs/dbraw/zinc/87/42/60/524874260.db2.gz XBNXKTHISAMJOP-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000157084260 524877482 /nfs/dbraw/zinc/87/74/82/524877482.db2.gz PTCDDUCSRPHVIP-JKSUJKDBSA-N 0 3 324.424 4.363 20 0 DIADHN Cc1cccc2oc(CCN[C@H](CC(C)C)c3ccccn3)nc21 ZINC000268986497 524997175 /nfs/dbraw/zinc/99/71/75/524997175.db2.gz QILNPYKBGHMUOI-QGZVFWFLSA-N 0 3 323.440 4.451 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3nc(C)sc32)cc1F ZINC000162300896 525160285 /nfs/dbraw/zinc/16/02/85/525160285.db2.gz QVUIRONTSSACDT-QMTHXVAHSA-N 0 3 320.433 4.327 20 0 DIADHN C[C@H](NCC1(CCOCc2ccccc2)CC1)c1ccccn1 ZINC000186374900 525315934 /nfs/dbraw/zinc/31/59/34/525315934.db2.gz FZTNJKDLWWMOFT-KRWDZBQOSA-N 0 3 310.441 4.119 20 0 DIADHN COc1ccc([C@H](C)[C@H](C)N[C@H](C)c2ncc(C)s2)cc1 ZINC000162582082 525343180 /nfs/dbraw/zinc/34/31/80/525343180.db2.gz RFISUEIKKFSUDX-HZSPNIEDSA-N 0 3 304.459 4.303 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cncc(Br)c1 ZINC000163821239 525359709 /nfs/dbraw/zinc/35/97/09/525359709.db2.gz IMKDXVGUJZTALE-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cn(C)nc1-c1ccccc1 ZINC000181033712 525360443 /nfs/dbraw/zinc/36/04/43/525360443.db2.gz ZRVCLSUQMSCKOK-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1ccccc1[C@@H](C)N[C@H](C)c1cccc(N2CCOC2=O)c1 ZINC000153168737 525362305 /nfs/dbraw/zinc/36/23/05/525362305.db2.gz ZVXXISOEQFLCBQ-HZPDHXFCSA-N 0 3 324.424 4.363 20 0 DIADHN C[C@H](NC[C@@](C)(O)c1cccs1)c1csc(Cl)c1 ZINC000191302033 525401999 /nfs/dbraw/zinc/40/19/99/525401999.db2.gz YTRIDIQFSAGBNG-TVQRCGJNSA-N 0 3 301.864 4.021 20 0 DIADHN C[C@@H](NCC(C)(C)CCO)c1cc(Cl)ccc1OC(F)F ZINC000269014252 525413677 /nfs/dbraw/zinc/41/36/77/525413677.db2.gz YDIWXOWFIJXYOC-SNVBAGLBSA-N 0 3 321.795 4.001 20 0 DIADHN C[C@H](NCc1ccc(-n2cccn2)cc1)c1cc(F)cc(F)c1 ZINC000157586026 525433311 /nfs/dbraw/zinc/43/33/11/525433311.db2.gz AXJOZWGJOMCNEA-ZDUSSCGKSA-N 0 3 313.351 4.001 20 0 DIADHN C[C@@H]1C[C@H](NCC2(O)CCCCCC2)c2ccsc2S1 ZINC000336826689 525436150 /nfs/dbraw/zinc/43/61/50/525436150.db2.gz SZMGRNMJHURLCN-OCCSQVGLSA-N 0 3 311.516 4.348 20 0 DIADHN C[C@H](NCc1ccc(N2CCCCCC2)nc1)c1ccccc1 ZINC000129413330 525444963 /nfs/dbraw/zinc/44/49/63/525444963.db2.gz KVVLNAUSCCUPDF-KRWDZBQOSA-N 0 3 309.457 4.313 20 0 DIADHN C[C@H](NCc1ccnc(N2CCCC2)c1)c1cccc(Cl)c1 ZINC000131441481 525471853 /nfs/dbraw/zinc/47/18/53/525471853.db2.gz BDNBQOYROIMAJH-AWEZNQCLSA-N 0 3 315.848 4.186 20 0 DIADHN C[C@@H](NCc1ccccc1C(F)(F)F)c1cccc(CO)c1 ZINC000270413284 525539009 /nfs/dbraw/zinc/53/90/09/525539009.db2.gz COBGCVVLBCXFSM-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCC2)c1)c1ccc2c(c1)CCC2 ZINC000131401047 525546931 /nfs/dbraw/zinc/54/69/31/525546931.db2.gz IIEOHRRARXETMC-MRXNPFEDSA-N 0 3 321.468 4.021 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@@H](N[C@@H](C)c2ccncc2F)C1 ZINC000338015330 525549386 /nfs/dbraw/zinc/54/93/86/525549386.db2.gz CJQAJGQFPCUUEX-ARFHVFGLSA-N 0 3 313.420 4.301 20 0 DIADHN Cc1cccnc1[C@@H]1CCC[C@H](N[C@@H](C)c2ccncc2F)C1 ZINC000338015340 525550591 /nfs/dbraw/zinc/55/05/91/525550591.db2.gz CJQAJGQFPCUUEX-XHSDSOJGSA-N 0 3 313.420 4.301 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000266754026 525593059 /nfs/dbraw/zinc/59/30/59/525593059.db2.gz DMRDERIEMMGCGI-SUMWQHHRSA-N 0 3 323.358 4.480 20 0 DIADHN C[C@H](N[C@H]1CCCc2nn(C)cc21)c1cc2c(s1)CCCC2 ZINC000338009612 525614154 /nfs/dbraw/zinc/61/41/54/525614154.db2.gz DGEDFCCVLOGINP-WFASDCNBSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1ncc(Br)s1 ZINC000336739147 525633340 /nfs/dbraw/zinc/63/33/40/525633340.db2.gz KXKAAWACHQCGBI-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN C[C@@H](N[C@H](C)c1cccnc1)c1cc(Br)cs1 ZINC000193948182 525665680 /nfs/dbraw/zinc/66/56/80/525665680.db2.gz AOMIBFBBKMOVME-NXEZZACHSA-N 0 3 311.248 4.317 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000182159029 525687105 /nfs/dbraw/zinc/68/71/05/525687105.db2.gz HKYJBDCWVSEILP-HPEXNQPKSA-N 0 3 309.381 4.207 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnc(N3CCCC3)c2)c(C)c1 ZINC000131464329 525737435 /nfs/dbraw/zinc/73/74/35/525737435.db2.gz YHKVCDBHHDUGEX-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000182164888 525744155 /nfs/dbraw/zinc/74/41/55/525744155.db2.gz YARGTUIQXXWYPR-RGYTYGDFSA-N 0 3 307.340 4.047 20 0 DIADHN CSCc1cnc(CNC[C@@]2(C)C[C@H]2c2ccccc2)s1 ZINC000339444674 525752447 /nfs/dbraw/zinc/75/24/47/525752447.db2.gz NEEDOICJIDZUCP-DOTOQJQBSA-N 0 3 318.511 4.290 20 0 DIADHN C[C@@]1(CNCc2nc(-c3ccccc3)c[nH]2)C[C@H]1c1ccccc1 ZINC000339452560 525849273 /nfs/dbraw/zinc/84/92/73/525849273.db2.gz ZQRCDEQDSHDFMT-RXVVDRJESA-N 0 3 317.436 4.360 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccc2c(c1)OCCCO2 ZINC000161873476 525868566 /nfs/dbraw/zinc/86/85/66/525868566.db2.gz OGDCPXQVSKHCKT-CYBMUJFWSA-N 0 3 303.427 4.069 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCc3cc(F)ccc32)cnn1C(C)(C)C ZINC000191232913 525904771 /nfs/dbraw/zinc/90/47/71/525904771.db2.gz BJLJNCZXUMCITD-XIKOKIGWSA-N 0 3 315.436 4.424 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc(-n3ccnc3C)cc2)o1 ZINC000158143361 525941583 /nfs/dbraw/zinc/94/15/83/525941583.db2.gz DMYBHOXZQCZOQB-CABCVRRESA-N 0 3 309.413 4.494 20 0 DIADHN Cc1ccc([C@H](Cc2ccccc2)NCc2cncn2C)cc1C ZINC000267818241 525961184 /nfs/dbraw/zinc/96/11/84/525961184.db2.gz PZWNFJIVFXXKFZ-NRFANRHFSA-N 0 3 319.452 4.111 20 0 DIADHN Cc1c2ccccc2oc1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000265022892 525980664 /nfs/dbraw/zinc/98/06/64/525980664.db2.gz JEFIEUIPQXFUEK-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(SC)c(OC)c2)c1 ZINC000158654372 526037663 /nfs/dbraw/zinc/03/76/63/526037663.db2.gz DTICGRIPFHMUBP-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN([C@@H](C)c4ccccn4)C3)nc2c1 ZINC000181160058 526105140 /nfs/dbraw/zinc/10/51/40/526105140.db2.gz PAGIYGKJCJOPHJ-HOTGVXAUSA-N 0 3 320.440 4.207 20 0 DIADHN Cc1cnc([C@H](C)CN[C@@H](C)c2cnn(C(C)(C)C)c2C)s1 ZINC000191499056 526143844 /nfs/dbraw/zinc/14/38/44/526143844.db2.gz FBMPZLKNDQDPJR-YPMHNXCESA-N 0 3 320.506 4.166 20 0 DIADHN C[C@@H](c1ncc(-c2ccccc2)o1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000266944747 526179557 /nfs/dbraw/zinc/17/95/57/526179557.db2.gz SGBFDTLXLKSODM-GOEBONIOSA-N 0 3 322.412 4.005 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(N3CCCC3)c1)[C@H](C)C2 ZINC000180065183 526191895 /nfs/dbraw/zinc/19/18/95/526191895.db2.gz NAUQRYUHVVRMBO-IERDGZPVSA-N 0 3 321.468 4.013 20 0 DIADHN CC(C)(C)c1ncc(CNCc2cc3ccccc3s2)cn1 ZINC000284378463 534914229 /nfs/dbraw/zinc/91/42/29/534914229.db2.gz OHAWHYGGUBTPBI-UHFFFAOYSA-N 0 3 311.454 4.279 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCO[C@H]3c3ccccc3)ccc2n1 ZINC000189370901 526232101 /nfs/dbraw/zinc/23/21/01/526232101.db2.gz KRLUZDXGFHSLQN-RTWAWAEBSA-N 0 3 318.420 4.163 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCOC3(CCCCC3)C2)cc1F ZINC000136730555 526304396 /nfs/dbraw/zinc/30/43/96/526304396.db2.gz XGIYAWVJUMZLFA-CYBMUJFWSA-N 0 3 313.363 4.075 20 0 DIADHN Fc1ccc(CN[C@H]2CCC[C@H](c3cccnc3)C2)c(F)c1 ZINC000337323390 526340977 /nfs/dbraw/zinc/34/09/77/526340977.db2.gz ALHIYKHHFIMEGM-GUYCJALGSA-N 0 3 302.368 4.176 20 0 DIADHN c1c2ccccc2sc1CN1CCC(OCC2CC2)CC1 ZINC000354120168 526403588 /nfs/dbraw/zinc/40/35/88/526403588.db2.gz LHCGVGJXCIRKTA-UHFFFAOYSA-N 0 3 301.455 4.292 20 0 DIADHN c1ccc(-c2cccc(CN3CCC([C@@H]4CCCO4)CC3)c2)nc1 ZINC000188264384 526451306 /nfs/dbraw/zinc/45/13/06/526451306.db2.gz MFHDQILSGLZDND-NRFANRHFSA-N 0 3 322.452 4.140 20 0 DIADHN Cc1noc(C)c1CCN[C@H](C)c1sc(C(C)(C)C)nc1C ZINC000156040797 526498976 /nfs/dbraw/zinc/49/89/76/526498976.db2.gz PHLQGKDBLPUECQ-LLVKDONJSA-N 0 3 321.490 4.247 20 0 DIADHN Cc1noc(C)c1C[C@@H](C)N[C@H]1CCCOc2cc(C)ccc21 ZINC000182224451 526532137 /nfs/dbraw/zinc/53/21/37/526532137.db2.gz CRQWEGYDGJJIBY-ACJLOTCBSA-N 0 3 314.429 4.034 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H](C)c1cnn(C(C)(C)C)c1C ZINC000191390499 526565470 /nfs/dbraw/zinc/56/54/70/526565470.db2.gz BQPDYXYYYFXJAX-RYUDHWBXSA-N 0 3 318.465 4.006 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)N[C@@H]1CCCOC1 ZINC000132551092 526576118 /nfs/dbraw/zinc/57/61/18/526576118.db2.gz ZBVSJHXFXQRKOG-XHDPSFHLSA-N 0 3 320.433 4.087 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@@H](C)NCc1ccn(C)c1 ZINC000158552826 526606434 /nfs/dbraw/zinc/60/64/34/526606434.db2.gz KSWQUNMWGAAUFZ-CYBMUJFWSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)N[C@H](C)c1cccnc1 ZINC000158528721 526612640 /nfs/dbraw/zinc/61/26/40/526612640.db2.gz KBDCKHOGPULBKB-CHWSQXEVSA-N 0 3 324.453 4.320 20 0 DIADHN Cc1nocc1CNCCSCc1cccc2ccccc21 ZINC000339206976 526692029 /nfs/dbraw/zinc/69/20/29/526692029.db2.gz YNGPSUWBFOMQKK-UHFFFAOYSA-N 0 3 312.438 4.159 20 0 DIADHN Cc1nc(C)c(CCN[C@H](C)c2cc(F)c(F)c(F)c2)s1 ZINC000157942046 526714383 /nfs/dbraw/zinc/71/43/83/526714383.db2.gz HOHKPHJCTXEKLI-MRVPVSSYSA-N 0 3 314.376 4.071 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCCOc2ccccc2)s1 ZINC000106876851 526742472 /nfs/dbraw/zinc/74/24/72/526742472.db2.gz KPOQQCISJRFJME-CQSZACIVSA-N 0 3 304.459 4.222 20 0 DIADHN Cc1sccc1CNC[C@@H](c1cccs1)N1CCCC1 ZINC000339174606 526914574 /nfs/dbraw/zinc/91/45/74/526914574.db2.gz VNRNWSOUMNQFRS-HNNXBMFYSA-N 0 3 306.500 4.045 20 0 DIADHN Cc1sccc1CN[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000339321029 526916625 /nfs/dbraw/zinc/91/66/25/526916625.db2.gz KJNOLRGDTJSKKL-YJBOKZPZSA-N 0 3 301.455 4.314 20 0 DIADHN Cc1sccc1CN[C@@H](C)c1ccc(-n2ccnc2C)cc1 ZINC000339295793 526917324 /nfs/dbraw/zinc/91/73/24/526917324.db2.gz SGCULUMZPIRBSQ-ZDUSSCGKSA-N 0 3 311.454 4.401 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@H]2CCCC(F)(F)C2)cs1 ZINC000338295528 527081574 /nfs/dbraw/zinc/08/15/74/527081574.db2.gz OFZUAHZSHTYCOI-GXTWGEPZSA-N 0 3 300.418 4.414 20 0 DIADHN Cn1cc(CN[C@@H](c2ccccc2)C2CC2)c(-c2ccccc2)n1 ZINC000180411441 527219609 /nfs/dbraw/zinc/21/96/09/527219609.db2.gz YMWILRIXGGWPQS-FQEVSTJZSA-N 0 3 317.436 4.328 20 0 DIADHN O=C(Nc1ccc2[nH]ccc2c1)c1cccc(CN2CCCC2)c1 ZINC000265064360 527266989 /nfs/dbraw/zinc/26/69/89/527266989.db2.gz UFVZSLGFBRKCAB-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN OCc1ccc(CNC2(c3ccc(Cl)cc3)CCC2)cc1 ZINC000182870584 527633588 /nfs/dbraw/zinc/63/35/88/527633588.db2.gz FWGRUIMGXKNPOK-UHFFFAOYSA-N 0 3 301.817 4.001 20 0 DIADHN FC(F)(F)c1ncc(CNC[C@H]2C[C@H]2c2ccccc2)s1 ZINC000339506878 527690553 /nfs/dbraw/zinc/69/05/53/527690553.db2.gz FGFATFVLWBYRRO-YPMHNXCESA-N 0 3 312.360 4.055 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000181163660 527697157 /nfs/dbraw/zinc/69/71/57/527697157.db2.gz RKKFEGLHJMMCFD-HDPGCNQUSA-N 0 3 317.864 4.430 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000181163638 527699862 /nfs/dbraw/zinc/69/98/62/527699862.db2.gz RKKFEGLHJMMCFD-ZEZXTVPXSA-N 0 3 317.864 4.430 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cncc(C)c1)c1ccc(Cl)cc1 ZINC000274616109 536514408 /nfs/dbraw/zinc/51/44/08/536514408.db2.gz OLSYCQLRFDYZRV-DYVFJYSZSA-N 0 3 304.821 4.082 20 0 DIADHN CC(C)c1cnc(CNC[C@H]2CCO[C@@H]2c2ccccc2)s1 ZINC000328628715 528215730 /nfs/dbraw/zinc/21/57/30/528215730.db2.gz NYWVHBWSQSSWBF-CRAIPNDOSA-N 0 3 316.470 4.134 20 0 DIADHN CCOc1cc(CN[C@@H](C)c2ccc(SC)cc2)ccc1O ZINC000298148252 528279810 /nfs/dbraw/zinc/27/98/10/528279810.db2.gz RHDUUXLPOKJQST-ZDUSSCGKSA-N 0 3 317.454 4.364 20 0 DIADHN CCOc1cc(CN[C@H](CC)c2ccc(OC)cc2)ccc1O ZINC000299276177 528285045 /nfs/dbraw/zinc/28/50/45/528285045.db2.gz ZJPHFLRHQRQKME-QGZVFWFLSA-N 0 3 315.413 4.040 20 0 DIADHN CC(C)CC[C@H](NCc1ccc(-n2cncn2)cc1)c1ccoc1 ZINC000285998291 534944505 /nfs/dbraw/zinc/94/45/05/534944505.db2.gz IKXHPSBKADFMPW-IBGZPJMESA-N 0 3 324.428 4.127 20 0 DIADHN CC(C)(C)[C@@H](NCc1ccc(CO)cc1)c1ccc(F)cc1 ZINC000272406695 535056429 /nfs/dbraw/zinc/05/64/29/535056429.db2.gz ZQRZATPMPMODSP-SFHVURJKSA-N 0 3 301.405 4.195 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@H]2C[C@@H](C)c3ccccc32)c1 ZINC000289090188 535144047 /nfs/dbraw/zinc/14/40/47/535144047.db2.gz BMWDTFCEGORXIB-QRWLVFNGSA-N 0 3 322.452 4.165 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@@H](C)CN(C)C ZINC000287095644 535375115 /nfs/dbraw/zinc/37/51/15/535375115.db2.gz WIUNOLLDFWPEJL-AWEZNQCLSA-N 0 3 305.466 4.005 20 0 DIADHN CCSc1cc(CN[C@H]2CCCc3sccc32)ccn1 ZINC000275137269 535731639 /nfs/dbraw/zinc/73/16/39/535731639.db2.gz PZIBGYFPNRIWPA-AWEZNQCLSA-N 0 3 304.484 4.422 20 0 DIADHN CC[C@H](NCc1ccc(CO)cc1)c1ccccc1OC(F)F ZINC000272519514 535916131 /nfs/dbraw/zinc/91/61/31/535916131.db2.gz PTUKCXBDPBCCDE-INIZCTEOSA-N 0 3 321.367 4.021 20 0 DIADHN CC[C@H](NCc1cccc(F)c1)c1ccc(OC)c(OC)c1 ZINC000120460165 535919671 /nfs/dbraw/zinc/91/96/71/535919671.db2.gz WBMDQQLMPRTZPE-INIZCTEOSA-N 0 3 303.377 4.084 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2nn(C)cc21)c1cccc(Cl)c1 ZINC000280279936 535936667 /nfs/dbraw/zinc/93/66/67/535936667.db2.gz YKJXXVUJOOYLNL-JKSUJKDBSA-N 0 3 303.837 4.192 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc1C ZINC000273159573 536624227 /nfs/dbraw/zinc/62/42/27/536624227.db2.gz KCGJGXPNNAFXGF-JKSUJKDBSA-N 0 3 313.441 4.216 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc(OC)c(C)c2)ccc1F ZINC000272921753 536715676 /nfs/dbraw/zinc/71/56/76/536715676.db2.gz MXEOSTGUSVRKHX-CQSZACIVSA-N 0 3 317.404 4.140 20 0 DIADHN COCC1(CCN[C@@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000340443768 536820960 /nfs/dbraw/zinc/82/09/60/536820960.db2.gz IIWPDWXBXBWONK-JTQLQIEISA-N 0 3 322.396 4.019 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)[C@@H](C)OC)ccc1SC(F)F ZINC000289591889 536881589 /nfs/dbraw/zinc/88/15/89/536881589.db2.gz BBPBTDVXYQIFIG-GMTAPVOTSA-N 0 3 319.417 4.084 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cncc(C)c2)c(C)c1OC ZINC000287169042 536902719 /nfs/dbraw/zinc/90/27/19/536902719.db2.gz UNEFZROENMGDCT-GJZGRUSLSA-N 0 3 314.429 4.127 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cccc(O)c2)c(C)c1OC ZINC000286036637 536903923 /nfs/dbraw/zinc/90/39/23/536903923.db2.gz KJJXSMUKGZCISR-KBPBESRZSA-N 0 3 315.413 4.130 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2c(F)cncc2F)cc1 ZINC000285791239 536906397 /nfs/dbraw/zinc/90/63/97/536906397.db2.gz VFJHQTLILAYKEO-UPJWGTAASA-N 0 3 320.383 4.211 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(C(F)F)cc1 ZINC000274185322 536946502 /nfs/dbraw/zinc/94/65/02/536946502.db2.gz FBPYXNBNOOTSEN-INIZCTEOSA-N 0 3 303.352 4.410 20 0 DIADHN CCCCOCCCNCc1cc(Cl)cc(Cl)c1OC ZINC000013719960 563387491 /nfs/dbraw/zinc/38/74/91/563387491.db2.gz LTPXSUOGFPCEII-UHFFFAOYSA-N 0 3 320.260 4.298 20 0 DIADHN Brc1ccc(CN[C@H]2CCCc3ncccc32)s1 ZINC000631573617 1044486475 /nfs/dbraw/zinc/48/64/75/1044486475.db2.gz OJRGSHQYAADJGR-ZDUSSCGKSA-N 0 3 323.259 4.073 20 0 DIADHN CC[C@](C)(CC(=O)OC)NCc1ccc(Cl)c(C)c1Cl ZINC001180699070 1049399518 /nfs/dbraw/zinc/39/95/18/1049399518.db2.gz UQOOFNHMFGDMID-OAHLLOKOSA-N 0 3 318.244 4.123 20 0 DIADHN CCN(Cc1ccc(Br)[nH]1)Cc1ccc(C)cc1 ZINC001232690853 1054102488 /nfs/dbraw/zinc/10/24/88/1054102488.db2.gz UHDMJJJIGFKBNA-UHFFFAOYSA-N 0 3 307.235 4.108 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1ncccc1C(F)(F)F ZINC000623696420 1054655786 /nfs/dbraw/zinc/65/57/86/1054655786.db2.gz DEVIYNGLCBGTTQ-UHFFFAOYSA-N 0 3 310.310 4.262 20 0 DIADHN CCN(CCC(=O)OC)Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235598035 1054749066 /nfs/dbraw/zinc/74/90/66/1054749066.db2.gz NZWSRDNRNXPOAZ-UHFFFAOYSA-N 0 3 324.635 4.032 20 0 DIADHN COc1cccc(Br)c1CN1CCC[C@H]1C(C)C ZINC001236677789 1055224180 /nfs/dbraw/zinc/22/41/80/1055224180.db2.gz YSFVMFSGCJXGOO-AWEZNQCLSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1ccc2c(c1)OCCCO2 ZINC000061360694 1035501011 /nfs/dbraw/zinc/50/10/11/1035501011.db2.gz BCVPQPWNCHOLHY-OLZOCXBDSA-N 0 3 303.427 4.321 20 0 DIADHN CCCN(CCC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000001761750 1035535957 /nfs/dbraw/zinc/53/59/57/1035535957.db2.gz MAAAXEIUPBLHPR-UHFFFAOYSA-N 0 3 303.233 4.054 20 0 DIADHN CCC(C)(C)C(=O)NC[C@@H](c1ccc(CC(C)C)cc1)N(C)C ZINC001625779255 1035537167 /nfs/dbraw/zinc/53/71/67/1035537167.db2.gz WBSYTEJCAHZDBC-SFHVURJKSA-N 0 3 318.505 4.040 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1nc2c(s1)CCCC2 ZINC000683147358 1035607567 /nfs/dbraw/zinc/60/75/67/1035607567.db2.gz ARGWJAIBYVLDFF-JTQLQIEISA-N 0 3 306.397 4.233 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC3(CC3(F)F)CC2)c1 ZINC000651953495 1035637821 /nfs/dbraw/zinc/63/78/21/1035637821.db2.gz JIDDVIFWXOUMHH-UHFFFAOYSA-N 0 3 317.383 4.006 20 0 DIADHN CC[C@H](C)Oc1cc(CN2CCS[C@H](C)CC2)ccc1OC ZINC000683164566 1035643273 /nfs/dbraw/zinc/64/32/73/1035643273.db2.gz JXSGLMGNRFNOPH-LSDHHAIUSA-N 0 3 323.502 4.200 20 0 DIADHN C[C@@H](c1ccccc1F)N(C)C[C@@H](O)Cc1cccc(Cl)c1 ZINC001167062097 1035681750 /nfs/dbraw/zinc/68/17/50/1035681750.db2.gz HDSVGKRMPIPEBR-BBRMVZONSA-N 0 3 321.823 4.076 20 0 DIADHN CC1CCC(N(C)Cc2ncc(-c3ccccc3F)o2)CC1 ZINC000607725571 1035697174 /nfs/dbraw/zinc/69/71/74/1035697174.db2.gz MMUSOQYRWHNIQN-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN Cc1cccc(OCCN(C)Cc2cnc3ccc(C)cc3c2)c1 ZINC001167072500 1035768576 /nfs/dbraw/zinc/76/85/76/1035768576.db2.gz PYSHGLLYBHSRSH-UHFFFAOYSA-N 0 3 320.436 4.362 20 0 DIADHN O=C(CN[C@@H](c1ccccc1)C1CC1)Nc1cccc(Cl)c1 ZINC000538392615 1035799353 /nfs/dbraw/zinc/79/93/53/1035799353.db2.gz YQMYREOVWSZCQS-SFHVURJKSA-N 0 3 314.816 4.019 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1Cl)N(C)CC(C)(C)C ZINC000533415711 1035865647 /nfs/dbraw/zinc/86/56/47/1035865647.db2.gz OWOZNTBHQWDHSD-SNVBAGLBSA-N 0 3 317.260 4.298 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(F)cc2)ccc1SC ZINC000069159311 1035853333 /nfs/dbraw/zinc/85/33/33/1035853333.db2.gz NDQOIHOZFZKYLL-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN Cc1ccc(OCc2nc(CN[C@@H](C)C3CCC3)cs2)cc1 ZINC000119635310 1035861805 /nfs/dbraw/zinc/86/18/05/1035861805.db2.gz QLDLYAVIMZRDCY-AWEZNQCLSA-N 0 3 316.470 4.309 20 0 DIADHN CCO[C@@H](CN1CCC[C@@H]1c1nc2ccccc2s1)C1CC1 ZINC000625060714 1035900896 /nfs/dbraw/zinc/90/08/96/1035900896.db2.gz MRXNLWZUTQBVPU-CVEARBPZSA-N 0 3 316.470 4.248 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(N2CCCC2=O)c1)c1cccs1 ZINC000154496396 1035908818 /nfs/dbraw/zinc/90/88/18/1035908818.db2.gz QPPCUFYLGSXLBW-ZIAGYGMSSA-N 0 3 314.454 4.287 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2c1cnn2C)c1ccc(Cl)cc1 ZINC000036979423 1035913070 /nfs/dbraw/zinc/91/30/70/1035913070.db2.gz SEZCTTKGBPRSQX-HZPDHXFCSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@H](CN1CCC(OCc2ccc(F)cc2)CC1)C(F)(F)F ZINC001208893987 1035918354 /nfs/dbraw/zinc/91/83/54/1035918354.db2.gz NAIARUOFNFNSMO-GFCCVEGCSA-N 0 3 319.342 4.005 20 0 DIADHN O=C(Nc1ccccc1)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000229943542 1035929859 /nfs/dbraw/zinc/92/98/59/1035929859.db2.gz VKNYMCPVZUQTBW-YFHOEESVSA-N 0 3 320.436 4.051 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](C)c2ccc(C)s2)c1 ZINC000037070978 1035935675 /nfs/dbraw/zinc/93/56/75/1035935675.db2.gz DGRILTJWBGTVCX-STQMWFEESA-N 0 3 305.443 4.486 20 0 DIADHN COc1ccc(OC)c([C@@H](C)N[C@@H](C)c2ccc(C)s2)c1 ZINC000037070980 1035937230 /nfs/dbraw/zinc/93/72/30/1035937230.db2.gz DGRILTJWBGTVCX-OLZOCXBDSA-N 0 3 305.443 4.486 20 0 DIADHN CC(C)[C@H](NCc1csc(C2CCCC2)n1)C1(CO)CCC1 ZINC000339736601 1035944391 /nfs/dbraw/zinc/94/43/91/1035944391.db2.gz GVFZQGBLZDTOFA-INIZCTEOSA-N 0 3 322.518 4.078 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@@H]4CCCC[C@H]43)cnc21 ZINC000339733024 1035945926 /nfs/dbraw/zinc/94/59/26/1035945926.db2.gz BKNZVUJXDYMAJZ-FUHWJXTLSA-N 0 3 312.461 4.167 20 0 DIADHN C[C@H](NCc1ccccc1Cl)c1cccc(-n2ccnc2)c1 ZINC000579871325 1035946019 /nfs/dbraw/zinc/94/60/19/1035946019.db2.gz FPKAXXPSWAFDFC-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H](CCO)c1ccccc1 ZINC000339801028 1035968642 /nfs/dbraw/zinc/96/86/42/1035968642.db2.gz ZBFTZROGSONURG-SFHVURJKSA-N 0 3 303.833 4.170 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCCCCCC3)o2)cc1 ZINC000004489202 1035977926 /nfs/dbraw/zinc/97/79/26/1035977926.db2.gz YTIGXCGWBVBHER-UHFFFAOYSA-N 0 3 305.809 4.156 20 0 DIADHN O=C(CN(CC1CCCCC1)C1CC1)Nc1cccc(Cl)c1 ZINC001167094108 1035980498 /nfs/dbraw/zinc/98/04/98/1035980498.db2.gz DHDYTFNCDAOZAU-UHFFFAOYSA-N 0 3 320.864 4.323 20 0 DIADHN COCOCCCN(Cc1ccc(Cl)cc1Cl)C(C)C ZINC001209032785 1035985979 /nfs/dbraw/zinc/98/59/79/1035985979.db2.gz VHTHESPDQFZSEZ-UHFFFAOYSA-N 0 3 320.260 4.214 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc3ccccc3c2)c1 ZINC000047452680 1036074827 /nfs/dbraw/zinc/07/48/27/1036074827.db2.gz GRUWJENUGRPRDE-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN c1csc(CCN(Cc2ccccc2)Cc2ccccn2)c1 ZINC001209224064 1036078831 /nfs/dbraw/zinc/07/88/31/1036078831.db2.gz UIPQYIGMIMPTJA-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN Clc1ccc(Br)c(CNCCc2ccccc2)c1 ZINC000090249488 1036102695 /nfs/dbraw/zinc/10/26/95/1036102695.db2.gz YNUSSUJPCCFAKT-UHFFFAOYSA-N 0 3 324.649 4.435 20 0 DIADHN Cc1cc2[nH]c(C(=O)Nc3cccc(CN(C)C)c3)cc2c(C)c1 ZINC000047460294 1036106306 /nfs/dbraw/zinc/10/63/06/1036106306.db2.gz GEXNZONPQTVOMD-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCO2)c1ccc(Cl)s1 ZINC000037675076 1036126680 /nfs/dbraw/zinc/12/66/80/1036126680.db2.gz RRFWMSXGXRXIGW-LLVKDONJSA-N 0 3 323.845 4.414 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCC[C@H]1C1CCCC1 ZINC001167122244 1036130827 /nfs/dbraw/zinc/13/08/27/1036130827.db2.gz DKXQXHUAOBKFGN-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN CCCCN(CCC)Cc1cc(-c2ccc(OC)cc2)on1 ZINC001206828305 1036134200 /nfs/dbraw/zinc/13/42/00/1036134200.db2.gz YNUJXCWUILVKMJ-UHFFFAOYSA-N 0 3 302.418 4.362 20 0 DIADHN OCC1(CNCc2csc(Cl)c2Cl)CCCCC1 ZINC000683340606 1036173766 /nfs/dbraw/zinc/17/37/66/1036173766.db2.gz BPXFLPCWVAFSLC-UHFFFAOYSA-N 0 3 308.274 4.087 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cccc3nsnc32)c1 ZINC001167138537 1036176310 /nfs/dbraw/zinc/17/63/10/1036176310.db2.gz NUQHYBQDBWAQEU-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(Cl)cc1 ZINC000348221903 1036197225 /nfs/dbraw/zinc/19/72/25/1036197225.db2.gz WJPNZYSWVPQBED-MSOLQXFVSA-N 0 3 319.807 4.180 20 0 DIADHN OCC[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc(Cl)cc1 ZINC000348221905 1036198566 /nfs/dbraw/zinc/19/85/66/1036198566.db2.gz WJPNZYSWVPQBED-ROUUACIJSA-N 0 3 319.807 4.180 20 0 DIADHN Cc1ccc2[nH]cc(CN3CCOC[C@@H]3C3CCCCC3)c2c1 ZINC001209498278 1036216212 /nfs/dbraw/zinc/21/62/12/1036216212.db2.gz DJZGVRNGGXEIHL-HXUWFJFHSA-N 0 3 312.457 4.257 20 0 DIADHN CCN(Cc1ncc(-c2ccc(OC)cc2)o1)[C@H](C)C(C)C ZINC000065299008 1036227456 /nfs/dbraw/zinc/22/74/56/1036227456.db2.gz VLPCUXXAEAOQGP-CQSZACIVSA-N 0 3 302.418 4.217 20 0 DIADHN COc1cccc(CN2CCC(OC3CCC(C)CC3)CC2)c1 ZINC000340143645 1036238017 /nfs/dbraw/zinc/23/80/17/1036238017.db2.gz MUWNSDSDWKCBFL-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN FC(F)OCCCNCc1c(Cl)ccc(Cl)c1Cl ZINC000398134813 1036245111 /nfs/dbraw/zinc/24/51/11/1036245111.db2.gz AIVLHPUKYZCDKC-UHFFFAOYSA-N 0 3 318.578 4.366 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N(C)c1ccccc1)c1ccc(C)cc1 ZINC000340154577 1036251046 /nfs/dbraw/zinc/25/10/46/1036251046.db2.gz INDJLYGBVCCFSH-APWZRJJASA-N 0 3 310.441 4.087 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N(C)Cc1ccccc1)c1ccc(C)cc1 ZINC000340153978 1036251184 /nfs/dbraw/zinc/25/11/84/1036251184.db2.gz DCGLZMSMPYXJEC-PXNSSMCTSA-N 0 3 324.468 4.083 20 0 DIADHN CSc1ccc(CN2CCC[C@H](Cc3ccccc3)C2)o1 ZINC001204460014 1036254418 /nfs/dbraw/zinc/25/44/18/1036254418.db2.gz IAWCDSSFRLNWEN-MRXNPFEDSA-N 0 3 301.455 4.456 20 0 DIADHN CCc1cccc(CN[C@@H](C)c2sc(CCOC)nc2C)c1 ZINC000652307293 1036260626 /nfs/dbraw/zinc/26/06/26/1036260626.db2.gz SVOBQACOBXXFAM-ZDUSSCGKSA-N 0 3 318.486 4.054 20 0 DIADHN CCc1cccc(CN[C@H](C)c2sc(CCOC)nc2C)c1 ZINC000652307292 1036261409 /nfs/dbraw/zinc/26/14/09/1036261409.db2.gz SVOBQACOBXXFAM-CYBMUJFWSA-N 0 3 318.486 4.054 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(F)c2ccccc21 ZINC000340175538 1036275805 /nfs/dbraw/zinc/27/58/05/1036275805.db2.gz MLNXWQYHJXACBV-DJJJIMSYSA-N 0 3 323.415 4.441 20 0 DIADHN C[C@@H]1CN(CCCSc2ccccc2Cl)CC(C)(C)O1 ZINC001167217766 1036281771 /nfs/dbraw/zinc/28/17/71/1036281771.db2.gz JUQAHGJHVJQHPS-CYBMUJFWSA-N 0 3 313.894 4.322 20 0 DIADHN CC[C@H](NCc1ccc(C)c(Br)c1)c1ccncc1 ZINC000120936766 1036287671 /nfs/dbraw/zinc/28/76/71/1036287671.db2.gz XXHQUPZRHZVEIR-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(OCC(F)(F)F)cc1 ZINC000120013669 1036302696 /nfs/dbraw/zinc/30/26/96/1036302696.db2.gz UAXGKHRLVZUUNV-VIFPVBQESA-N 0 3 315.257 4.058 20 0 DIADHN CCSc1ccc([C@@H](C)NCc2ccnc(N(C)C)c2)cc1 ZINC000754140272 1036303842 /nfs/dbraw/zinc/30/38/42/1036303842.db2.gz LMEYRMJLQDUOEK-CQSZACIVSA-N 0 3 315.486 4.110 20 0 DIADHN COCCN(C[C@@]1(C)CC1(Cl)Cl)[C@@H](C)c1ccccc1 ZINC001167247862 1036316726 /nfs/dbraw/zinc/31/67/26/1036316726.db2.gz FWRIFESEKGHEPT-DZGCQCFKSA-N 0 3 316.272 4.280 20 0 DIADHN CC[C@H](NCc1nc(-c2ccccc2)cs1)[C@H]1CCCCO1 ZINC000653875053 1036331460 /nfs/dbraw/zinc/33/14/60/1036331460.db2.gz OREYCDXYONVVKK-DOTOQJQBSA-N 0 3 316.470 4.247 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccccc2)cs1)[C@@H]1CCCCO1 ZINC000653875056 1036332406 /nfs/dbraw/zinc/33/24/06/1036332406.db2.gz OREYCDXYONVVKK-WBVHZDCISA-N 0 3 316.470 4.247 20 0 DIADHN CSc1ccc(C(=O)Nc2cc(C)cc(CN(C)C)c2)cc1 ZINC000340334297 1036360799 /nfs/dbraw/zinc/36/07/99/1036360799.db2.gz QBFPBFAOYWVTFQ-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN C[C@@H](NCc1ccnc(OC(F)F)c1)c1cccc(C2CC2)c1 ZINC000340382916 1036398752 /nfs/dbraw/zinc/39/87/52/1036398752.db2.gz PEHIXGYZUFFEQJ-GFCCVEGCSA-N 0 3 318.367 4.411 20 0 DIADHN COc1ccccc1C[C@H](C)N(CCF)Cc1ccccc1 ZINC001167286442 1036434920 /nfs/dbraw/zinc/43/49/20/1036434920.db2.gz ISYKBMSZSHIPAT-INIZCTEOSA-N 0 3 301.405 4.098 20 0 DIADHN COc1ccccc1C[C@@H](C)N(CCF)Cc1ccccc1 ZINC001167286443 1036435331 /nfs/dbraw/zinc/43/53/31/1036435331.db2.gz ISYKBMSZSHIPAT-MRXNPFEDSA-N 0 3 301.405 4.098 20 0 DIADHN C[C@@](CO)(NCc1ccc(-c2cccs2)cc1)c1ccccc1 ZINC000683520152 1036438815 /nfs/dbraw/zinc/43/88/15/1036438815.db2.gz LNPBRDPLJRJBIT-FQEVSTJZSA-N 0 3 323.461 4.412 20 0 DIADHN CCO[C@H](CN[C@H](CC(F)(F)F)c1ccc(F)cc1)C1CC1 ZINC000625291443 1036461307 /nfs/dbraw/zinc/46/13/07/1036461307.db2.gz WXMGEKFKKWBWBY-HUUCEWRRSA-N 0 3 319.342 4.224 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccc(OC(C)C)nc1 ZINC001648808392 1036475699 /nfs/dbraw/zinc/47/56/99/1036475699.db2.gz NIBWSNXREYGMSL-UHFFFAOYSA-N 0 3 318.848 4.429 20 0 DIADHN CC(C)(CN[C@@H]1CCCn2ccnc21)c1ccc(F)cc1Cl ZINC000655711976 1036496751 /nfs/dbraw/zinc/49/67/51/1036496751.db2.gz NJJWMEYZPBOHLK-OAHLLOKOSA-N 0 3 321.827 4.078 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc(C)c1OC)CCC2 ZINC000655811777 1036559862 /nfs/dbraw/zinc/55/98/62/1036559862.db2.gz WHFPYPYPJBIROJ-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCc1cccc(C)c1OC ZINC000655811895 1036562554 /nfs/dbraw/zinc/56/25/54/1036562554.db2.gz XRZIIJDBHFUIRI-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@H](NCCCc1c(Cl)cccc1Cl)c1cnccn1 ZINC000655819502 1036569109 /nfs/dbraw/zinc/56/91/09/1036569109.db2.gz BYAMXLNHIVEHBA-NSHDSACASA-N 0 3 310.228 4.067 20 0 DIADHN Cc1nc(CNCCCCOc2ccc(C)cc2)sc1C ZINC001648821817 1036587872 /nfs/dbraw/zinc/58/78/72/1036587872.db2.gz AUBYVOVSHNJXEQ-UHFFFAOYSA-N 0 3 304.459 4.017 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000655841013 1036592576 /nfs/dbraw/zinc/59/25/76/1036592576.db2.gz RECHRHNQMZVAHO-CGXNFDGLSA-N 0 3 307.437 4.119 20 0 DIADHN C[C@H](Cc1ccccn1)NCc1csc(Cl)c1Cl ZINC000655843090 1036594383 /nfs/dbraw/zinc/59/43/83/1036594383.db2.gz PTYZJSJOBNJSJM-SECBINFHSA-N 0 3 301.242 4.171 20 0 DIADHN Cc1cc(NC2CCN(CCC(F)(F)F)CC2)ccc1Cl ZINC000655846399 1036600825 /nfs/dbraw/zinc/60/08/25/1036600825.db2.gz SVFNRNZLDMXRKT-UHFFFAOYSA-N 0 3 320.786 4.477 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@H](C)c2sccc2C)c1 ZINC000070090083 1036622585 /nfs/dbraw/zinc/62/25/85/1036622585.db2.gz QSXMWBFZESJGLX-CHWSQXEVSA-N 0 3 302.443 4.427 20 0 DIADHN C[C@H](N[C@H]1CCSc2ccc(Cl)cc21)c1cc[nH]c(=O)c1 ZINC000340447237 1036637785 /nfs/dbraw/zinc/63/77/85/1036637785.db2.gz AXIIUPQCUSTICI-HZMBPMFUSA-N 0 3 320.845 4.328 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@@H](n3ccnc3)C2)c1 ZINC000683665376 1036664709 /nfs/dbraw/zinc/66/47/09/1036664709.db2.gz FAZXCWPHWMDBGD-MRXNPFEDSA-N 0 3 324.255 4.070 20 0 DIADHN c1nc(C2CC2)sc1CNCCOc1ccc2ccccc2c1 ZINC001648874352 1036667815 /nfs/dbraw/zinc/66/78/15/1036667815.db2.gz ZMJPZOJHKPTKJW-UHFFFAOYSA-N 0 3 324.449 4.342 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1ccc2[nH]ccc2c1 ZINC000579978220 1036672250 /nfs/dbraw/zinc/67/22/50/1036672250.db2.gz QMYQZYFAYYPGJC-GOSISDBHSA-N 0 3 310.372 4.311 20 0 DIADHN c1c2ccccc2oc1CNCCOc1ccc2c(c1)CCC2 ZINC001648889280 1036673559 /nfs/dbraw/zinc/67/35/59/1036673559.db2.gz OYXUGVICIBSBDS-UHFFFAOYSA-N 0 3 307.393 4.090 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000072879251 1036695361 /nfs/dbraw/zinc/69/53/61/1036695361.db2.gz BBCPOTXUNDXSCK-STQMWFEESA-N 0 3 301.361 4.009 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CC[C@@H](C)c3ccccc32)c1 ZINC000155484122 1036698205 /nfs/dbraw/zinc/69/82/05/1036698205.db2.gz MMJRCBOEQFHKAY-JLTOFOAXSA-N 0 3 308.425 4.373 20 0 DIADHN Cc1occc1CN1CCC[C@H]1COc1ccc(Cl)cc1 ZINC001204506183 1036707723 /nfs/dbraw/zinc/70/77/23/1036707723.db2.gz UQNZDGGMZKOMCW-INIZCTEOSA-N 0 3 305.805 4.285 20 0 DIADHN CC(C)Oc1ccc(CN[C@H]2CCCc3ccc(O)cc32)cc1 ZINC000340475813 1036711132 /nfs/dbraw/zinc/71/11/32/1036711132.db2.gz ZAGIKMBBSLSFIA-FQEVSTJZSA-N 0 3 311.425 4.347 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc3ncsc3c2)ccc1C ZINC000683705508 1036738262 /nfs/dbraw/zinc/73/82/62/1036738262.db2.gz XVJDKDPKHKFCHY-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN COc1cc(CN2C[C@@H](C(F)(F)F)CC[C@@H]2C)ccc1C ZINC000683708034 1036746133 /nfs/dbraw/zinc/74/61/33/1036746133.db2.gz LLJNTNLIWHXOTC-JSGCOSHPSA-N 0 3 301.352 4.166 20 0 DIADHN c1coc([C@H](NC2CCC3(CCCO3)CC2)c2ccccc2)c1 ZINC000580023143 1036762333 /nfs/dbraw/zinc/76/23/33/1036762333.db2.gz VZZMPOSQELXNKA-SKINQDTJSA-N 0 3 311.425 4.450 20 0 DIADHN CCOc1cccc(CN[C@@H](C)c2ccc(OC)c(F)c2)c1 ZINC000079695003 1036769000 /nfs/dbraw/zinc/76/90/00/1036769000.db2.gz RHAPIOPVLXIUGC-ZDUSSCGKSA-N 0 3 303.377 4.084 20 0 DIADHN CCCC(C)(C)CC(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000683734372 1036791734 /nfs/dbraw/zinc/79/17/34/1036791734.db2.gz XPCWGVUUIVPLQF-UHFFFAOYSA-N 0 3 316.489 4.330 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3c2cccc3Cl)c(C)c1 ZINC000539066752 1036806715 /nfs/dbraw/zinc/80/67/15/1036806715.db2.gz PFYDYXMYSVCHLB-GOSISDBHSA-N 0 3 301.817 4.434 20 0 DIADHN CC(C)Oc1ccnc(CN2CCCC3(CCCCC3)C2)c1 ZINC001167342456 1036830441 /nfs/dbraw/zinc/83/04/41/1036830441.db2.gz XWMNAMZHGGRIOV-UHFFFAOYSA-N 0 3 302.462 4.415 20 0 DIADHN Cc1scc(CN[C@@H](c2cccs2)C(C)(C)CO)c1C ZINC000639242992 1036858057 /nfs/dbraw/zinc/85/80/57/1036858057.db2.gz CTAUSJNGMQEOMF-HNNXBMFYSA-N 0 3 309.500 4.276 20 0 DIADHN CCCCCn1cc(CNCc2ccc3cnccc3c2)c(C)n1 ZINC000683774511 1036891873 /nfs/dbraw/zinc/89/18/73/1036891873.db2.gz ZOJUHBVEBVLHGH-UHFFFAOYSA-N 0 3 322.456 4.220 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc(Cl)ccc2Br)CS1 ZINC000397856526 1036924402 /nfs/dbraw/zinc/92/44/02/1036924402.db2.gz MMYSNHRIUXMBEV-LDYMZIIASA-N 0 3 320.683 4.086 20 0 DIADHN CCOCCN(CC)Cc1c(OC(C)C)ccc2ccccc21 ZINC001167350087 1036935583 /nfs/dbraw/zinc/93/55/83/1036935583.db2.gz CCHFKTYDHPEOKQ-UHFFFAOYSA-N 0 3 315.457 4.485 20 0 DIADHN CCCCN(CCCC)Cc1nnc(-c2ccc(C)cc2)o1 ZINC000046512029 1036977077 /nfs/dbraw/zinc/97/70/77/1036977077.db2.gz MOTWVINTYRWONL-UHFFFAOYSA-N 0 3 301.434 4.447 20 0 DIADHN C[C@H]1CC[C@H](C(F)(F)F)CN1Cc1ccc2cnccc2c1 ZINC000683836591 1036998116 /nfs/dbraw/zinc/99/81/16/1036998116.db2.gz MUUNFXFSMYQBLD-LRDDRELGSA-N 0 3 308.347 4.398 20 0 DIADHN Clc1cc(CN[C@H]2CCOC3(CCC3)C2)c(Cl)s1 ZINC000312747626 1037009030 /nfs/dbraw/zinc/00/90/30/1037009030.db2.gz BPPPQWCNJCPOHJ-JTQLQIEISA-N 0 3 306.258 4.246 20 0 DIADHN Cc1ccsc1[C@@H](Cc1ccccc1)NCc1c[nH]nc1C ZINC000124718629 1037023223 /nfs/dbraw/zinc/02/32/23/1037023223.db2.gz PLPOMRFNYWLSAX-QGZVFWFLSA-N 0 3 311.454 4.162 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CN1CCC[C@H]1C(=O)OCc1ccccc1 ZINC001167381156 1037058101 /nfs/dbraw/zinc/05/81/01/1037058101.db2.gz KHKCVBUZUFXWPD-QRQLOZEOSA-N 0 3 315.457 4.021 20 0 DIADHN C[C@H](NCc1ccc(Cl)cc1)c1ccc2c(c1)CCC(=O)N2 ZINC000047827642 1037059628 /nfs/dbraw/zinc/05/96/28/1037059628.db2.gz DHVSFLDIUCHAAY-LBPRGKRZSA-N 0 3 314.816 4.076 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(N2CCCC2=O)cc1)c1ccsc1 ZINC000177746399 1037081850 /nfs/dbraw/zinc/08/18/50/1037081850.db2.gz WADDBYRJLBKBGX-ZIAGYGMSSA-N 0 3 314.454 4.287 20 0 DIADHN Cc1cc(Cl)ccc1CN1CC2(CCOCC2)[C@@H]1C1CC1 ZINC000556950676 1037100842 /nfs/dbraw/zinc/10/08/42/1037100842.db2.gz KUUIHNSBEWUIAR-KRWDZBQOSA-N 0 3 305.849 4.039 20 0 DIADHN Clc1c(Br)cccc1CN[C@H]1CCCSC1 ZINC000706565368 1037116297 /nfs/dbraw/zinc/11/62/97/1037116297.db2.gz YKIVRBWHKKCOPU-JTQLQIEISA-N 0 3 320.683 4.088 20 0 DIADHN CCSC[C@H](C)NCc1cccc(Br)c1Cl ZINC000706565008 1037117221 /nfs/dbraw/zinc/11/72/21/1037117221.db2.gz SMAZGYPIMBREAF-VIFPVBQESA-N 0 3 322.699 4.334 20 0 DIADHN CC[C@@H](C)CN1CCN([C@H](CC)c2ccc(F)cc2F)CC1 ZINC001167398704 1037128565 /nfs/dbraw/zinc/12/85/65/1037128565.db2.gz VSHMFYQHGUHRJL-RDTXWAMCSA-N 0 3 310.432 4.080 20 0 DIADHN Nc1c(F)cccc1CN[C@@H]1CCCc2ccc(Cl)cc21 ZINC000639356141 1037137058 /nfs/dbraw/zinc/13/70/58/1037137058.db2.gz HGMYQSOZEUFYBB-MRXNPFEDSA-N 0 3 304.796 4.229 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1cccc(Cl)c1 ZINC000580477911 1037156463 /nfs/dbraw/zinc/15/64/63/1037156463.db2.gz HLYSFMPGIBSUIP-OAHLLOKOSA-N 0 3 305.780 4.265 20 0 DIADHN FC(F)c1ccc(CN2CCC3(CCSCC3)CC2)cn1 ZINC000639374902 1037180128 /nfs/dbraw/zinc/18/01/28/1037180128.db2.gz MGDGVMNFJBZCSK-UHFFFAOYSA-N 0 3 312.429 4.128 20 0 DIADHN C[C@H](Cn1cccn1)N[C@H](C)c1cc2cc(Cl)ccc2o1 ZINC000125832147 1037180647 /nfs/dbraw/zinc/18/06/47/1037180647.db2.gz WMFGJQXFOQFHLA-VXGBXAGGSA-N 0 3 303.793 4.022 20 0 DIADHN CC[C@@H](NCCCCCOC)c1nc(C(F)(F)F)cs1 ZINC000185931476 1037201917 /nfs/dbraw/zinc/20/19/17/1037201917.db2.gz LMDYDMWOKDWCIL-SNVBAGLBSA-N 0 3 310.385 4.019 20 0 DIADHN Clc1ccc(C2(NCc3cnc4ccccn34)CCC2)cc1 ZINC000125969045 1037209545 /nfs/dbraw/zinc/20/95/45/1037209545.db2.gz QZAITAFDEONTHT-UHFFFAOYSA-N 0 3 311.816 4.157 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CCCC(C)(C)CC1 ZINC000580607695 1037221021 /nfs/dbraw/zinc/22/10/21/1037221021.db2.gz DLIHSWSERMEYOH-UHFFFAOYSA-N 0 3 316.489 4.262 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cc3ccccc3[nH]1)C2 ZINC000684022048 1037244217 /nfs/dbraw/zinc/24/42/17/1037244217.db2.gz DEOVYURJEKLJCM-UHFFFAOYSA-N 0 3 306.409 4.125 20 0 DIADHN c1c2ccccc2[nH]c1CN1CCS[C@H](c2ccccc2)C1 ZINC000684021767 1037248490 /nfs/dbraw/zinc/24/84/90/1037248490.db2.gz ULRQAWHROQMACJ-IBGZPJMESA-N 0 3 308.450 4.458 20 0 DIADHN Clc1cnc2oc(CN3CCC[C@@H](OC4CCC4)C3)cc2c1 ZINC000656271992 1037258629 /nfs/dbraw/zinc/25/86/29/1037258629.db2.gz UZCWHWMTTILJHH-OAHLLOKOSA-N 0 3 320.820 4.015 20 0 DIADHN CCCCC[C@H](C)CC(=O)N1CCN(CC2CCCCC2)CC1 ZINC001125469477 1037274245 /nfs/dbraw/zinc/27/42/45/1037274245.db2.gz QHRKHZGUVCLZOT-SFHVURJKSA-N 0 3 322.537 4.317 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000087780333 1037283213 /nfs/dbraw/zinc/28/32/13/1037283213.db2.gz MSJIBJFUFOMSHM-WFASDCNBSA-N 0 3 324.262 4.222 20 0 DIADHN CC[C@H](N[C@H](C)CCC(C)(C)C)c1nnc2n1CCCCC2 ZINC000565780536 1037288738 /nfs/dbraw/zinc/28/87/38/1037288738.db2.gz AAVLOMCYCBOTMG-CABCVRRESA-N 0 3 306.498 4.260 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccc(F)cc1F ZINC001167433911 1037291032 /nfs/dbraw/zinc/29/10/32/1037291032.db2.gz OXYMRBHESKLMIW-IUODEOHRSA-N 0 3 305.343 4.482 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1ccc(Cl)cc1Cl ZINC000222637475 1037308379 /nfs/dbraw/zinc/30/83/79/1037308379.db2.gz CGMLLBYFKVAMNV-TZTCFGBESA-N 0 3 300.229 4.212 20 0 DIADHN Clc1cccc([C@@H](NCC2=CCCOC2)c2ccccc2)c1 ZINC000126851957 1037323551 /nfs/dbraw/zinc/32/35/51/1037323551.db2.gz CUDDGPQIUIZUDH-IBGZPJMESA-N 0 3 313.828 4.366 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCc3ccc(OC)cc32)cc1F ZINC000152770700 1037345266 /nfs/dbraw/zinc/34/52/66/1037345266.db2.gz FLRZIZSJQKQUNA-GOSISDBHSA-N 0 3 315.388 4.010 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](O)c2c(F)cccc2Cl)cs1 ZINC000834667901 1037345943 /nfs/dbraw/zinc/34/59/43/1037345943.db2.gz CSMJKRFESHAFGP-QMTHXVAHSA-N 0 3 313.825 4.233 20 0 DIADHN CC(C)[C@H]1N(Cc2cn(C)nc2-c2cccs2)CC1(C)C ZINC000580908655 1037351910 /nfs/dbraw/zinc/35/19/10/1037351910.db2.gz WHDUHLGBHRJRGY-MRXNPFEDSA-N 0 3 303.475 4.015 20 0 DIADHN c1coc([C@H]2CCCCCN2C[C@@H]2COC3(CCCCC3)O2)c1 ZINC001167438577 1037356312 /nfs/dbraw/zinc/35/63/12/1037356312.db2.gz PHZNTCQRTNGWDY-IAGOWNOFSA-N 0 3 319.445 4.273 20 0 DIADHN COc1ccc(CNCc2ccc3nc(C)sc3c2)c(C)c1 ZINC000580928336 1037365538 /nfs/dbraw/zinc/36/55/38/1037365538.db2.gz JBIYKHCGCDVFKG-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN CC(C)O[C@@H](CN1CC(C)(C)OCC1(C)C)c1ccccc1 ZINC001167439228 1037365990 /nfs/dbraw/zinc/36/59/90/1037365990.db2.gz UGQBSGPHDWZZGX-KRWDZBQOSA-N 0 3 305.462 4.042 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H]2CCc3ccc(F)cc32)n1 ZINC000127658802 1037375600 /nfs/dbraw/zinc/37/56/00/1037375600.db2.gz OTMVTYLFETZBOV-LJQANCHMSA-N 0 3 321.399 4.097 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)[C@@H]1CCCCC1(C)C)c1ccco1 ZINC000581073119 1037425232 /nfs/dbraw/zinc/42/52/32/1037425232.db2.gz DDRJUNITZMLWRP-JYJNAYRXSA-N 0 3 320.477 4.041 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(Cl)c(F)c1)c1ccccc1 ZINC000581159898 1037455722 /nfs/dbraw/zinc/45/57/22/1037455722.db2.gz DRPXZSWWBMTPNW-QGZVFWFLSA-N 0 3 321.823 4.329 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc3c(c(Cl)c2)OCO3)cc1F ZINC000316593152 1037468874 /nfs/dbraw/zinc/46/88/74/1037468874.db2.gz SVRSGBWCGUFQKV-NSHDSACASA-N 0 3 321.779 4.367 20 0 DIADHN CNC(=O)c1cccc(CN2CCCC[C@@H]2c2ccc(C)cc2)c1 ZINC000581222953 1037484062 /nfs/dbraw/zinc/48/40/62/1037484062.db2.gz DPVNNOHVFLBZES-HXUWFJFHSA-N 0 3 322.452 4.082 20 0 DIADHN CC(C)C(CN[C@H](c1ccc(F)cc1)c1cnccn1)C(C)C ZINC000581230894 1037487517 /nfs/dbraw/zinc/48/75/17/1037487517.db2.gz BLTYYFBRLONGKK-LJQANCHMSA-N 0 3 315.436 4.223 20 0 DIADHN CCN(Cc1cc2cc(OC)ccc2o1)[C@@H](C)c1cccnc1 ZINC000581278689 1037513960 /nfs/dbraw/zinc/51/39/60/1037513960.db2.gz XDJLCSYCEUPXAT-AWEZNQCLSA-N 0 3 310.397 4.420 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@@H](C)c1ccc(OC(C)C)cc1 ZINC000581285841 1037515447 /nfs/dbraw/zinc/51/54/47/1037515447.db2.gz LVUBRICNKLQVPK-OXJNMPFZSA-N 0 3 313.441 4.166 20 0 DIADHN C[C@@H](CNCc1nccs1)c1ccc(C(F)(F)F)cc1 ZINC000276129061 1037526546 /nfs/dbraw/zinc/52/65/46/1037526546.db2.gz AHCMGDCANQZZHG-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN Cc1ccc([C@H](NCCCOC(C)(C)C)c2ccccn2)cc1 ZINC000684213016 1037567307 /nfs/dbraw/zinc/56/73/07/1037567307.db2.gz ZYTDBBWVWSJUNZ-IBGZPJMESA-N 0 3 312.457 4.274 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001167457648 1037579079 /nfs/dbraw/zinc/57/90/79/1037579079.db2.gz HFKSJTAJEOLOEC-DYVFJYSZSA-N 0 3 322.880 4.488 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001167457650 1037580382 /nfs/dbraw/zinc/58/03/82/1037580382.db2.gz HFKSJTAJEOLOEC-SUMWQHHRSA-N 0 3 322.880 4.488 20 0 DIADHN CCc1ccc(CN[C@@H](CCO)c2ccc(Cl)cc2)s1 ZINC000581451614 1037654374 /nfs/dbraw/zinc/65/43/74/1037654374.db2.gz LBXATTXKQWVKIF-INIZCTEOSA-N 0 3 309.862 4.177 20 0 DIADHN CCC[C@H](NCC(=O)N(C1CC1)C1CCCC1)c1ccccc1 ZINC000341061871 1037659366 /nfs/dbraw/zinc/65/93/66/1037659366.db2.gz PBCKHCKTAIZZCM-IBGZPJMESA-N 0 3 314.473 4.051 20 0 DIADHN CCC[C@@H](NCc1cc(C(=O)OC)sc1C)c1ccccc1 ZINC000341066512 1037662102 /nfs/dbraw/zinc/66/21/02/1037662102.db2.gz WERQDCLFBRKNLK-MRXNPFEDSA-N 0 3 317.454 4.474 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@H](c3ccccc3C)C[C@H]2C)cc1 ZINC001167464746 1037669378 /nfs/dbraw/zinc/66/93/78/1037669378.db2.gz QDYJIALQLRSYIP-QZTJIDSGSA-N 0 3 322.452 4.120 20 0 DIADHN C[C@@H](CNCc1c(Cl)ccc(Cl)c1Cl)C[C@H](C)O ZINC000398709101 1037762145 /nfs/dbraw/zinc/76/21/45/1037762145.db2.gz ZMWVOYSYNOUXBI-BDAKNGLRSA-N 0 3 310.652 4.143 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1c(F)cccc1F)c1ccccc1 ZINC000341229500 1037769884 /nfs/dbraw/zinc/76/98/84/1037769884.db2.gz PEZBACDNGGWNSF-WBMJQRKESA-N 0 3 318.367 4.033 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1ccc(C(C)(C)C)cc1 ZINC000656685188 1037789671 /nfs/dbraw/zinc/78/96/71/1037789671.db2.gz MCKXTECQGSWFOO-AWEZNQCLSA-N 0 3 311.473 4.446 20 0 DIADHN FC(F)C1CN(CCCCc2cccc(C(F)(F)F)c2)C1 ZINC000656690371 1037799988 /nfs/dbraw/zinc/79/99/88/1037799988.db2.gz MOGLTUMXMBXWDI-UHFFFAOYSA-N 0 3 307.306 4.225 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CC3(C2)CCOCC3)cc1 ZINC000656691995 1037800241 /nfs/dbraw/zinc/80/02/41/1037800241.db2.gz URQSVWZNPAAZLJ-UHFFFAOYSA-N 0 3 322.452 4.067 20 0 DIADHN O=c1[nH]c2cccc(CN3CCCCC[C@@H]3c3ccncc3)c2o1 ZINC000891440670 1037813144 /nfs/dbraw/zinc/81/31/44/1037813144.db2.gz NMVVBQUVRBWAGL-QGZVFWFLSA-N 0 3 323.396 4.046 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(C(C)(C)C)ccc1OC)N(CC)CC ZINC000605449337 1037818252 /nfs/dbraw/zinc/81/82/52/1037818252.db2.gz WGKUSMGDRJBLHL-INIZCTEOSA-N 0 3 320.477 4.052 20 0 DIADHN C[C@@H](c1ccc(CN2CCSC[C@@H]2C)cc1)C(F)(F)F ZINC001167477269 1037877997 /nfs/dbraw/zinc/87/79/97/1037877997.db2.gz CDEMUCRSBLUPOY-RYUDHWBXSA-N 0 3 303.393 4.290 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnn(CC(C)C)c2)s1 ZINC000341308321 1037884039 /nfs/dbraw/zinc/88/40/39/1037884039.db2.gz KIXAGLBKWJPEDF-MRXNPFEDSA-N 0 3 303.475 4.246 20 0 DIADHN Cc1cc(CN[C@]2(C)CCOc3ccccc32)c2ccccc2n1 ZINC000933366974 1037894507 /nfs/dbraw/zinc/89/45/07/1037894507.db2.gz HVAOBSDIORUAFB-OAQYLSRUSA-N 0 3 318.420 4.331 20 0 DIADHN Cc1ccc2c(ccc(C)c2CN(C)Cc2cccc(=O)[nH]2)c1 ZINC000933405945 1037968931 /nfs/dbraw/zinc/96/89/31/1037968931.db2.gz NZFAIWHNHPHKDR-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2n[nH]c3ccccc23)cc1 ZINC000684428511 1038016206 /nfs/dbraw/zinc/01/62/06/1038016206.db2.gz AYUIQWREMHTWKX-UHFFFAOYSA-N 0 3 323.440 4.377 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2[nH]nc3ccccc32)cc1 ZINC000684428511 1038016225 /nfs/dbraw/zinc/01/62/25/1038016225.db2.gz AYUIQWREMHTWKX-UHFFFAOYSA-N 0 3 323.440 4.377 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc(C)nc(Cl)c2)cc1C ZINC000933483899 1038017583 /nfs/dbraw/zinc/01/75/83/1038017583.db2.gz PNMOLWLGEPSDGB-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN C[C@@H](N[C@@H]1CCN(c2ccc(F)cc2F)C1)c1ccccc1F ZINC000602584911 1038046489 /nfs/dbraw/zinc/04/64/89/1038046489.db2.gz KPUURDXHPCZULG-TZMCWYRMSA-N 0 3 320.358 4.033 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1csnc1OC ZINC001167486795 1038049383 /nfs/dbraw/zinc/04/93/83/1038049383.db2.gz CCZNESJGTIVYKF-KRWDZBQOSA-N 0 3 318.486 4.303 20 0 DIADHN COc1cc(F)c(F)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001143400291 1038052328 /nfs/dbraw/zinc/05/23/28/1038052328.db2.gz RZDFNMVLNJUBIJ-ZDUSSCGKSA-N 0 3 313.363 4.078 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNCCc1ccccc1 ZINC000602902604 1038109203 /nfs/dbraw/zinc/10/92/03/1038109203.db2.gz PCWKJJITXSDMFG-UHFFFAOYSA-N 0 3 311.759 4.274 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2cccc(-n3ccnc3)c2)s1 ZINC000090418409 1038115245 /nfs/dbraw/zinc/11/52/45/1038115245.db2.gz KSMOOAYNIHRHIG-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2cccc(N3CCCC3)c2)s1 ZINC000090419241 1038117519 /nfs/dbraw/zinc/11/75/19/1038117519.db2.gz WKXDCKBPQZAGND-KBPBESRZSA-N 0 3 315.486 4.464 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cnn(-c2ccccc2C)c1 ZINC000647201963 1038145244 /nfs/dbraw/zinc/14/52/44/1038145244.db2.gz HPTHWFNCCMMTOY-AWEZNQCLSA-N 0 3 311.351 4.001 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cn1nccc1-c1cccnc1 ZINC000170637867 1038148936 /nfs/dbraw/zinc/14/89/36/1038148936.db2.gz NPEGYIHEMLAPNP-FQEVSTJZSA-N 0 3 318.424 4.048 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1)C(F)(F)F)c1ccns1 ZINC000926214420 1038164553 /nfs/dbraw/zinc/16/45/53/1038164553.db2.gz APQOVRHRNCIFDL-PWSUYJOCSA-N 0 3 300.349 4.140 20 0 DIADHN CC1CCC(N(Cc2nc3cccnc3s2)C2CC2)CC1 ZINC000341609152 1038177734 /nfs/dbraw/zinc/17/77/34/1038177734.db2.gz DKQUVPWOPXOCJT-UHFFFAOYSA-N 0 3 301.459 4.234 20 0 DIADHN Cc1ncc(CN(C)CCCSCc2ccccc2)s1 ZINC000341622127 1038184627 /nfs/dbraw/zinc/18/46/27/1038184627.db2.gz RPDVYUIPUNLDKL-UHFFFAOYSA-N 0 3 306.500 4.207 20 0 DIADHN CCCCOc1cc(CNCc2ccc(C#N)cc2)ccc1OC ZINC000061106014 1038204178 /nfs/dbraw/zinc/20/41/78/1038204178.db2.gz NDHGJTDIAZWSPF-UHFFFAOYSA-N 0 3 324.424 4.036 20 0 DIADHN Cc1csc(CNCc2ccc(C(=O)OC(C)(C)C)s2)c1 ZINC000598976549 1038207004 /nfs/dbraw/zinc/20/70/04/1038207004.db2.gz AJLJJBOIUWQPGG-UHFFFAOYSA-N 0 3 323.483 4.363 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@@H]2c2ccc(C)cc2)c1 ZINC000603460355 1038211393 /nfs/dbraw/zinc/21/13/93/1038211393.db2.gz BBPGOAISWIGTDY-LJQANCHMSA-N 0 3 309.409 4.119 20 0 DIADHN COc1cccc(CNC[C@H](C)Oc2ccccc2Cl)c1F ZINC000669682579 1038212107 /nfs/dbraw/zinc/21/21/07/1038212107.db2.gz ISHSDQVPTRYZIM-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN COc1cccc(CNC[C@H](C)Sc2ccccc2)c1F ZINC000669682936 1038221060 /nfs/dbraw/zinc/22/10/60/1038221060.db2.gz UUVCNRHWADPEMS-ZDUSSCGKSA-N 0 3 305.418 4.105 20 0 DIADHN COc1ccc(C[C@H](C)CN(C)Cc2nc(C)c(C)s2)cc1 ZINC000341681191 1038222204 /nfs/dbraw/zinc/22/22/04/1038222204.db2.gz VVGSXMIDXYVBFM-ZDUSSCGKSA-N 0 3 318.486 4.079 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2cccs2)cc1OC1CC1 ZINC000628137242 1038229284 /nfs/dbraw/zinc/22/92/84/1038229284.db2.gz BURAOCDWXVSVLJ-CYBMUJFWSA-N 0 3 317.454 4.491 20 0 DIADHN Cc1ccc(OCc2nc(CNC[C@@H](C)C3CC3)cs2)cc1 ZINC000603511997 1038234423 /nfs/dbraw/zinc/23/44/23/1038234423.db2.gz XSSYOZHOWPUTHZ-CQSZACIVSA-N 0 3 316.470 4.166 20 0 DIADHN C[C@H](c1nc(-c2ccc3cc[nH]c3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000276852933 1038255652 /nfs/dbraw/zinc/25/56/52/1038255652.db2.gz BJFVPHIUKRGQQV-JHJVBQTASA-N 0 3 310.401 4.152 20 0 DIADHN CN(C)C[C@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccccc1 ZINC000341762879 1038260554 /nfs/dbraw/zinc/26/05/54/1038260554.db2.gz VXIVVQOWZMIDFH-UXHICEINSA-N 0 3 312.432 4.096 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cccnc1C(F)(F)F ZINC000599331248 1038269312 /nfs/dbraw/zinc/26/93/12/1038269312.db2.gz RHCJJFYSLHVFNP-NSHDSACASA-N 0 3 306.397 4.064 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cccnc2C(F)(F)F)C1 ZINC000599302241 1038269483 /nfs/dbraw/zinc/26/94/83/1038269483.db2.gz BESCDWNVZFHRIN-STQMWFEESA-N 0 3 318.408 4.206 20 0 DIADHN COc1ccc(F)c(F)c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001143414046 1038273611 /nfs/dbraw/zinc/27/36/11/1038273611.db2.gz YGEXVHCAYFBTSP-LBPRGKRZSA-N 0 3 313.363 4.078 20 0 DIADHN C[C@@H](c1nc(-c2cccc3[nH]ccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276932103 1038282826 /nfs/dbraw/zinc/28/28/26/1038282826.db2.gz OJZWBVBBVOUZMC-XQQFMLRXSA-N 0 3 310.401 4.152 20 0 DIADHN C[C@@H](NCCSC(F)(F)F)c1ccc2ccccc2n1 ZINC000178420045 1038284974 /nfs/dbraw/zinc/28/49/74/1038284974.db2.gz PXGHOHHQSOWOEI-SNVBAGLBSA-N 0 3 300.349 4.138 20 0 DIADHN C[C@H](c1nc(-c2ccc3ncccc3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000276930308 1038285472 /nfs/dbraw/zinc/28/54/72/1038285472.db2.gz OBGAUUDRGPOMBB-MGPQQGTHSA-N 0 3 322.412 4.219 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2ccc(C(F)(F)F)cn2)C1 ZINC000341874077 1038304449 /nfs/dbraw/zinc/30/44/49/1038304449.db2.gz SLYCQBAPZGEVAB-KBPBESRZSA-N 0 3 318.408 4.206 20 0 DIADHN C[C@@H]1CN(CCCCC(F)(F)F)CC[C@@H]1C(=O)OC(C)(C)C ZINC000599590275 1038318463 /nfs/dbraw/zinc/31/84/63/1038318463.db2.gz PSDKIEZLEHAUJF-OLZOCXBDSA-N 0 3 323.399 4.019 20 0 DIADHN Cc1nn2c(CN(C3CC3)C3CCCC3)c(C(C)C)nc2s1 ZINC000535782611 1038335236 /nfs/dbraw/zinc/33/52/36/1038335236.db2.gz BXFGZGZNXDNHNG-UHFFFAOYSA-N 0 3 318.490 4.130 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1cccs1)c1ccc(F)cc1 ZINC000535808732 1038345250 /nfs/dbraw/zinc/34/52/50/1038345250.db2.gz TXQAKPJYCHJFPW-HNNXBMFYSA-N 0 3 303.402 4.125 20 0 DIADHN C[C@H](c1nc(-c2ccc3[nH]ccc3c2)no1)N1[C@H](C)CC[C@H]1C ZINC000276997251 1038347518 /nfs/dbraw/zinc/34/75/18/1038347518.db2.gz YSFGYUYCBRZBQA-JHJVBQTASA-N 0 3 310.401 4.152 20 0 DIADHN CCCCN(C(=O)CN(C)CCC)[C@H](CC)c1ccccc1 ZINC000604087938 1038357269 /nfs/dbraw/zinc/35/72/69/1038357269.db2.gz KSKMZLBQHCLVHL-GOSISDBHSA-N 0 3 304.478 4.108 20 0 DIADHN CN(C)CCN(C(=O)CCCC1CCCCC1)c1ccccc1 ZINC001125559223 1038363535 /nfs/dbraw/zinc/36/35/35/1038363535.db2.gz BRGFDQNCDRXGBD-UHFFFAOYSA-N 0 3 316.489 4.332 20 0 DIADHN Cc1noc(C[C@@H](N[C@@H](C)c2cccs2)c2ccccc2)n1 ZINC000277085480 1038366756 /nfs/dbraw/zinc/36/67/56/1038366756.db2.gz KEKFZFCZZCXLCZ-SWLSCSKDSA-N 0 3 313.426 4.074 20 0 DIADHN Clc1ccc2cccnc2c1CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000342040119 1038370055 /nfs/dbraw/zinc/37/00/55/1038370055.db2.gz BVFSSWOBMRWRQE-IRXDYDNUSA-N 0 3 316.832 4.032 20 0 DIADHN OCC[C@H]1CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC000684613339 1038385220 /nfs/dbraw/zinc/38/52/20/1038385220.db2.gz PDMOUEKEIRTJSK-CYBMUJFWSA-N 0 3 316.272 4.020 20 0 DIADHN Cc1noc(C[C@H](N[C@@H](C)c2ccccc2C)c2ccccc2)n1 ZINC000277145276 1038388599 /nfs/dbraw/zinc/38/85/99/1038388599.db2.gz PQGXEZNBAVJOSJ-KXBFYZLASA-N 0 3 321.424 4.321 20 0 DIADHN C[S@@](=O)c1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC000610898905 1038395427 /nfs/dbraw/zinc/39/54/27/1038395427.db2.gz SJMMXXOTXIVFGQ-OAQYLSRUSA-N 0 3 321.511 4.073 20 0 DIADHN CC[C@@H](N[C@H](Cc1nc(C)no1)c1ccccc1)c1ccccc1 ZINC000277164919 1038406361 /nfs/dbraw/zinc/40/63/61/1038406361.db2.gz RKYNGXRVIAHKMX-RTBURBONSA-N 0 3 321.424 4.403 20 0 DIADHN Clc1ccc(-c2nnc(CN3CCCC4(CC4)CC3)o2)cc1 ZINC000626113306 1038408423 /nfs/dbraw/zinc/40/84/23/1038408423.db2.gz MZJGMEFRXGJJTK-UHFFFAOYSA-N 0 3 317.820 4.156 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)c1cc(C(C)C)oc1C ZINC001140514704 1038410101 /nfs/dbraw/zinc/41/01/01/1038410101.db2.gz OYUFILUMUXKQEX-UHFFFAOYSA-N 0 3 320.477 4.050 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNCCOC(C)(C)C)o1 ZINC000604415384 1038412127 /nfs/dbraw/zinc/41/21/27/1038412127.db2.gz BXBZMJPTKLSHSX-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC[C@@H]2CCCCO2)o1 ZINC000604415309 1038412648 /nfs/dbraw/zinc/41/26/48/1038412648.db2.gz RMSAWTHOMUOEHI-AWEZNQCLSA-N 0 3 303.377 4.053 20 0 DIADHN CCOC(=O)[C@@H]1CCCN([C@H](CC)c2ccc(Cl)cc2)C1 ZINC000604458116 1038418565 /nfs/dbraw/zinc/41/85/65/1038418565.db2.gz RDCNPHZTYDNWOW-GDBMZVCRSA-N 0 3 309.837 4.066 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cn(C(C)(C)C)nc1C)c1ccncc1 ZINC000163728767 1038423230 /nfs/dbraw/zinc/42/32/30/1038423230.db2.gz BTWJDPIBIVIQKC-SUMWQHHRSA-N 0 3 300.450 4.143 20 0 DIADHN COc1ccc([C@H](C)NCc2c(C)nn(C)c2C)c2ccccc12 ZINC000151137480 1038432170 /nfs/dbraw/zinc/43/21/70/1038432170.db2.gz SSLPGUPHHXLTQU-ZDUSSCGKSA-N 0 3 323.440 4.050 20 0 DIADHN COC(=O)c1ccc(CN2CCC3(CCCCC3)CC2)s1 ZINC001140517407 1038434538 /nfs/dbraw/zinc/43/45/38/1038434538.db2.gz YCSWTQVUGGCQDI-UHFFFAOYSA-N 0 3 307.459 4.081 20 0 DIADHN COCc1cc(CN[C@@H]2CCSc3ccccc32)ccc1F ZINC000151188591 1038434768 /nfs/dbraw/zinc/43/47/68/1038434768.db2.gz LRVAOQGRFLMJAD-QGZVFWFLSA-N 0 3 317.429 4.299 20 0 DIADHN CC[C@H](NCc1ccc(F)c(COC)c1)c1cccc(OC)c1 ZINC000151183228 1038434925 /nfs/dbraw/zinc/43/49/25/1038434925.db2.gz QTPPHCCDFVOXJM-IBGZPJMESA-N 0 3 317.404 4.222 20 0 DIADHN C[C@@H](NCCc1ccccn1)c1nc(-c2ccccc2)cs1 ZINC000151443434 1038439649 /nfs/dbraw/zinc/43/96/49/1038439649.db2.gz HHJDSIKZJYIATJ-CQSZACIVSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@H](NCCc1ccccn1)c1nc(-c2ccccc2)cs1 ZINC000151443375 1038439703 /nfs/dbraw/zinc/43/97/03/1038439703.db2.gz HHJDSIKZJYIATJ-AWEZNQCLSA-N 0 3 309.438 4.098 20 0 DIADHN C[C@@H](c1ccccc1)[C@@H](CO)NCc1ccc(Cl)cc1Cl ZINC000604767986 1038446433 /nfs/dbraw/zinc/44/64/33/1038446433.db2.gz IVBZBFYWFAKKJD-YVEFUNNKSA-N 0 3 324.251 4.248 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCc2ccccc2CC1 ZINC000604759273 1038448936 /nfs/dbraw/zinc/44/89/36/1038448936.db2.gz FHRNORWGMNUADL-UHFFFAOYSA-N 0 3 324.449 4.312 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(CN2CC[C@H](O)C2)cc1 ZINC000604759147 1038449418 /nfs/dbraw/zinc/44/94/18/1038449418.db2.gz ILELLQFQEDFGKZ-INIZCTEOSA-N 0 3 317.816 4.007 20 0 DIADHN Fc1cccc(CN(CCc2ccccc2)Cc2ccncc2)c1 ZINC000013990009 1038452714 /nfs/dbraw/zinc/45/27/14/1038452714.db2.gz AOCCYSUWYGFYDE-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN CC(C)CN(CCc1ccc(F)cc1)Cc1ccn(C(C)C)n1 ZINC000604884736 1038461172 /nfs/dbraw/zinc/46/11/72/1038461172.db2.gz IIUBHKBNBLDNCD-UHFFFAOYSA-N 0 3 317.452 4.304 20 0 DIADHN c1c(CN2CCC(c3ccncc3)CC2)onc1-c1ccccc1 ZINC000277237999 1038462938 /nfs/dbraw/zinc/46/29/38/1038462938.db2.gz PHIZDJFIBCCIAU-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nnc(-c2cccc(F)c2)o1)C1CC1 ZINC000075717333 1038470450 /nfs/dbraw/zinc/47/04/50/1038470450.db2.gz HERDPACTKJQQSV-STQMWFEESA-N 0 3 317.408 4.275 20 0 DIADHN CC[C@@H]1CCCN(Cc2coc(-c3ccc(OC)cc3)n2)CC1 ZINC000604998815 1038474271 /nfs/dbraw/zinc/47/42/71/1038474271.db2.gz DSLMBLADASIBNN-OAHLLOKOSA-N 0 3 314.429 4.362 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ncc(-c2ccc(F)cc2)o1 ZINC000605000262 1038475797 /nfs/dbraw/zinc/47/57/97/1038475797.db2.gz BKLYJJFHCNLZKB-MRXNPFEDSA-N 0 3 302.393 4.491 20 0 DIADHN FC1(F)CC[C@@H](NCc2cc(Cl)ccc2OCC2CC2)C1 ZINC000684662473 1038477375 /nfs/dbraw/zinc/47/73/75/1038477375.db2.gz JHCCPIKTTQDIMK-CQSZACIVSA-N 0 3 315.791 4.406 20 0 DIADHN COC[C@@H](C)Oc1ccc(CNCc2cccc(Cl)c2)cc1 ZINC000657285311 1038501680 /nfs/dbraw/zinc/50/16/80/1038501680.db2.gz CAJBQSZZRMJDKH-CQSZACIVSA-N 0 3 319.832 4.044 20 0 DIADHN CCOc1ccccc1CCNCc1c(Cl)cccc1OC ZINC000605322132 1038505416 /nfs/dbraw/zinc/50/54/16/1038505416.db2.gz AXLPNOZNOOSRBY-UHFFFAOYSA-N 0 3 319.832 4.080 20 0 DIADHN COCc1csc(CNCc2cccc(C(F)(F)F)c2)c1 ZINC000657290584 1038506420 /nfs/dbraw/zinc/50/64/20/1038506420.db2.gz KZEJKVMQKIXPJD-UHFFFAOYSA-N 0 3 315.360 4.203 20 0 DIADHN OCC[C@@H](NCc1ccc2occc2c1)c1ccc(Cl)cc1 ZINC000342271366 1038510438 /nfs/dbraw/zinc/51/04/38/1038510438.db2.gz CFVHMBBMWBWAQN-QGZVFWFLSA-N 0 3 315.800 4.300 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc3c1OCCCO3)CCC2 ZINC000342290808 1038520116 /nfs/dbraw/zinc/52/01/16/1038520116.db2.gz MGSXZLOYCWGMSM-IBGZPJMESA-N 0 3 323.436 4.324 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(Cc2ccccc2F)CC1 ZINC001167509450 1038522697 /nfs/dbraw/zinc/52/26/97/1038522697.db2.gz NGRBZKCZSWQWKI-HXUWFJFHSA-N 0 3 312.432 4.095 20 0 DIADHN Cc1noc(C[C@H](NCc2ccc(C)c(C)c2)c2ccccc2)n1 ZINC000277374307 1038526051 /nfs/dbraw/zinc/52/60/51/1038526051.db2.gz BYFYQWOVAXDKCX-IBGZPJMESA-N 0 3 321.424 4.068 20 0 DIADHN CC[C@@H](NCc1ccnn1CC1CC1)c1ccc(Cl)cc1 ZINC000657302950 1038527454 /nfs/dbraw/zinc/52/74/54/1038527454.db2.gz YEFGTOJDPACGGV-QGZVFWFLSA-N 0 3 303.837 4.187 20 0 DIADHN CC[C@H](N[C@@H](CC)c1ccccc1OC)c1c(C)nn(C)c1C ZINC000151946853 1038549614 /nfs/dbraw/zinc/54/96/14/1038549614.db2.gz JOYLWFHVKSAHCW-IRXDYDNUSA-N 0 3 315.461 4.238 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2o1 ZINC000605768473 1038551115 /nfs/dbraw/zinc/55/11/15/1038551115.db2.gz OKVXQKYZZNLGBA-ZFWWWQNUSA-N 0 3 310.372 4.345 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(Cc2ccc3c(c2)COC3)C1 ZINC001167533917 1038553137 /nfs/dbraw/zinc/55/31/37/1038553137.db2.gz OCDOGMZIXUEVCR-VBKZILBWSA-N 0 3 311.400 4.439 20 0 DIADHN CC[C@H](NCc1ccc(Br)c(F)c1)c1ccncc1 ZINC000159078984 1038614580 /nfs/dbraw/zinc/61/45/80/1038614580.db2.gz FHTLMADSDHBDHW-HNNXBMFYSA-N 0 3 323.209 4.224 20 0 DIADHN c1c2ccncc2sc1CN[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000657336089 1038579581 /nfs/dbraw/zinc/57/95/81/1038579581.db2.gz PCVPFPLHHSKKBQ-HKUYNNGSSA-N 0 3 324.449 4.306 20 0 DIADHN c1c2ccncc2sc1CN[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000657336090 1038580109 /nfs/dbraw/zinc/58/01/09/1038580109.db2.gz PCVPFPLHHSKKBQ-IEBWSBKVSA-N 0 3 324.449 4.306 20 0 DIADHN Cc1cccnc1[C@H](NCc1cccc2c1CCOC2)C(C)(C)C ZINC000934288099 1038580243 /nfs/dbraw/zinc/58/02/43/1038580243.db2.gz RMDHUSKORPMZAL-FQEVSTJZSA-N 0 3 324.468 4.340 20 0 DIADHN COc1ccccc1-c1nc(CN2CC[C@@H](CC(C)C)C2)co1 ZINC001167548685 1038582969 /nfs/dbraw/zinc/58/29/69/1038582969.db2.gz DZDVVZUQDBBYET-HNNXBMFYSA-N 0 3 314.429 4.218 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CCCOc4ccccc43)cc21 ZINC000657338585 1038583166 /nfs/dbraw/zinc/58/31/66/1038583166.db2.gz CAKQLXUURSSPSG-GOSISDBHSA-N 0 3 306.409 4.480 20 0 DIADHN COc1ccc(CNCc2cccc(C3CCCC3)c2)cc1O ZINC000657341192 1038583206 /nfs/dbraw/zinc/58/32/06/1038583206.db2.gz OUGSQEBYQUIXAF-UHFFFAOYSA-N 0 3 311.425 4.348 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H](c1cccnc1)C1CCCCC1 ZINC000657345891 1038589352 /nfs/dbraw/zinc/58/93/52/1038589352.db2.gz TWSSSPCQQBWMTA-FQEVSTJZSA-N 0 3 324.472 4.104 20 0 DIADHN CC1(C)CC[C@H](NCc2ccnn2CC2CC2)c2ccccc21 ZINC000657349599 1038592171 /nfs/dbraw/zinc/59/21/71/1038592171.db2.gz SIZHIPLAJPXBMT-IBGZPJMESA-N 0 3 309.457 4.195 20 0 DIADHN Clc1cccc(-c2cnc(CN3CC[C@@H](C4CC4)C3)o2)c1 ZINC000491208694 1038599789 /nfs/dbraw/zinc/59/97/89/1038599789.db2.gz UJXHRMGUOBSXFZ-CQSZACIVSA-N 0 3 302.805 4.227 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(SC)c1 ZINC000606434160 1038602173 /nfs/dbraw/zinc/60/21/73/1038602173.db2.gz NGHRYGYXVYCCBQ-YOEHRIQHSA-N 0 3 320.502 4.248 20 0 DIADHN CCC(C)(C)NC(=O)CN1CCC[C@H](C)[C@@H]1c1ccc(C)cc1 ZINC000606452247 1038604462 /nfs/dbraw/zinc/60/44/62/1038604462.db2.gz PXFONGXOPVBTRX-QFBILLFUSA-N 0 3 316.489 4.073 20 0 DIADHN CC[C@H](N[C@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000606467270 1038605948 /nfs/dbraw/zinc/60/59/48/1038605948.db2.gz BCVLZUVYEGWVMI-KXBFYZLASA-N 0 3 316.395 4.284 20 0 DIADHN CC[C@@H](NCCOc1ccc(OC)cc1)c1ccc(F)cc1F ZINC000606466763 1038606233 /nfs/dbraw/zinc/60/62/33/1038606233.db2.gz NHOJDULZZMGIEU-GOSISDBHSA-N 0 3 321.367 4.093 20 0 DIADHN CCOc1ccc(CNCc2cccn2CCC(C)C)cc1 ZINC000606469523 1038607193 /nfs/dbraw/zinc/60/71/93/1038607193.db2.gz TXMBSYXIVAMTAF-UHFFFAOYSA-N 0 3 300.446 4.223 20 0 DIADHN COCc1csc(CN[C@H](c2ncccc2C)C(C)C)c1 ZINC000657363703 1038608131 /nfs/dbraw/zinc/60/81/31/1038608131.db2.gz RBRBMIIJARYHLP-INIZCTEOSA-N 0 3 304.459 4.085 20 0 DIADHN CCC(CC)(NCc1cnc2n1CCC2)c1ccc(Cl)cc1 ZINC000657365104 1038608266 /nfs/dbraw/zinc/60/82/66/1038608266.db2.gz FTXBNZYXEZOOLO-UHFFFAOYSA-N 0 3 317.864 4.288 20 0 DIADHN COCc1csc(CN[C@@H](c2ncccc2C)C(C)C)c1 ZINC000657363704 1038608429 /nfs/dbraw/zinc/60/84/29/1038608429.db2.gz RBRBMIIJARYHLP-MRXNPFEDSA-N 0 3 304.459 4.085 20 0 DIADHN Cc1nccn1CCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC000342445629 1038608564 /nfs/dbraw/zinc/60/85/64/1038608564.db2.gz ITQAAGCNPRRYAY-ZDUSSCGKSA-N 0 3 303.837 4.071 20 0 DIADHN CCCC1CCN([C@H](CC)C(=O)N(C)c2ccc(C)cc2)CC1 ZINC001167555107 1038613511 /nfs/dbraw/zinc/61/35/11/1038613511.db2.gz ZNBUQPMWEXCJOJ-LJQANCHMSA-N 0 3 316.489 4.249 20 0 DIADHN c1c2ccncc2sc1CNCc1ccccc1OCC1CC1 ZINC000657372876 1038618295 /nfs/dbraw/zinc/61/82/95/1038618295.db2.gz ZQBYMNNTQRJDCM-UHFFFAOYSA-N 0 3 324.449 4.375 20 0 DIADHN Cc1ccoc1CN[C@](C)(CNC(=O)OC(C)(C)C)CC(C)C ZINC000230661089 1038621106 /nfs/dbraw/zinc/62/11/06/1038621106.db2.gz KFBXITKCJQTXQY-SFHVURJKSA-N 0 3 324.465 4.007 20 0 DIADHN CS[C@H]1CC[C@H](NCc2csc(-c3ccc(F)cc3)n2)C1 ZINC000230696193 1038621534 /nfs/dbraw/zinc/62/15/34/1038621534.db2.gz OJBHPXUCXYIXNM-ZFWWWQNUSA-N 0 3 322.474 4.323 20 0 DIADHN C[C@@H](NCc1cnc2ccccn12)c1ccc(F)c2ccccc21 ZINC000582264025 1038622014 /nfs/dbraw/zinc/62/20/14/1038622014.db2.gz RYYMGKRQGXTGSD-CQSZACIVSA-N 0 3 319.383 4.477 20 0 DIADHN CCn1c(CNCC(CC)(CC)SC)nc2ccccc21 ZINC000230707870 1038622026 /nfs/dbraw/zinc/62/20/26/1038622026.db2.gz FTSSCSJYLJZWNN-UHFFFAOYSA-N 0 3 305.491 4.068 20 0 DIADHN CN(CCC1CC1)Cc1sccc1NC(=O)OC(C)(C)C ZINC000892236312 1038622174 /nfs/dbraw/zinc/62/21/74/1038622174.db2.gz RQLOCFNUPYXLAE-UHFFFAOYSA-N 0 3 310.463 4.327 20 0 DIADHN Cc1c[nH]c(CNC(C)(C)Cc2ccc(Cl)cc2Cl)n1 ZINC000657382659 1038625368 /nfs/dbraw/zinc/62/53/68/1038625368.db2.gz IATNHRBZXXDDTN-UHFFFAOYSA-N 0 3 312.244 4.136 20 0 DIADHN Cc1ccc2cc(CN[C@H](c3cnn(C)c3)C(C)(C)C)[nH]c2c1 ZINC000657386657 1038627741 /nfs/dbraw/zinc/62/77/41/1038627741.db2.gz GGCOSYWCGLDNFB-GOSISDBHSA-N 0 3 310.445 4.087 20 0 DIADHN CCCCOc1ccc(NC(=O)[C@@H](CC)N(CC)CC)c(C)c1 ZINC000582293732 1038629258 /nfs/dbraw/zinc/62/92/58/1038629258.db2.gz SYLOSIRONJDSIQ-GOSISDBHSA-N 0 3 320.477 4.233 20 0 DIADHN CC(C)N(Cc1nnc(-c2cccc(Cl)c2)o1)C1CCC1 ZINC000119773932 1038639093 /nfs/dbraw/zinc/63/90/93/1038639093.db2.gz HTENXYAGYZCNIG-UHFFFAOYSA-N 0 3 305.809 4.153 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]CC2(c3cccs3)CCCCC2)n1 ZINC000606912987 1038639116 /nfs/dbraw/zinc/63/91/16/1038639116.db2.gz XHGOROUYDDPCCE-UHFFFAOYSA-N 0 3 316.470 4.149 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccccc1OCC1CC1 ZINC000606914625 1038639954 /nfs/dbraw/zinc/63/99/54/1038639954.db2.gz INPDPWAKOHCCEQ-RMKNXTFCSA-N 0 3 323.436 4.287 20 0 DIADHN COc1cccc(Cl)c1CNCC1(c2cccc(F)c2)CC1 ZINC000606915015 1038640460 /nfs/dbraw/zinc/64/04/60/1038640460.db2.gz YGPBICKHNGWCOK-UHFFFAOYSA-N 0 3 319.807 4.309 20 0 DIADHN Fc1ccc(OC(F)F)c(CN[C@@H]2CCCC(F)(F)C2)c1 ZINC000657402173 1038641219 /nfs/dbraw/zinc/64/12/19/1038641219.db2.gz ODGUXJWLRBQIQP-LLVKDONJSA-N 0 3 309.278 4.095 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)C1CCC1 ZINC000119783602 1038642502 /nfs/dbraw/zinc/64/25/02/1038642502.db2.gz RBBNXCXXJOAQFI-UHFFFAOYSA-N 0 3 305.809 4.153 20 0 DIADHN Brc1ccc(CN(Cc2ccccc2)C2CCC2)[nH]1 ZINC001232691116 1038654851 /nfs/dbraw/zinc/65/48/51/1038654851.db2.gz XOKXCIWCOFQYIX-UHFFFAOYSA-N 0 3 319.246 4.332 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1c(C3CCC3)cnn1C)CCC2 ZINC000657421697 1038659611 /nfs/dbraw/zinc/65/96/11/1038659611.db2.gz INBVJLHSGRFCAT-IBGZPJMESA-N 0 3 309.457 4.163 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN(C)CCCOC(C)C)c1C ZINC000607154042 1038660029 /nfs/dbraw/zinc/66/00/29/1038660029.db2.gz SFYBYUFBPBSKGG-UHFFFAOYSA-N 0 3 305.462 4.061 20 0 DIADHN Cc1c[nH]c(CN[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)n1 ZINC000657423680 1038660896 /nfs/dbraw/zinc/66/08/96/1038660896.db2.gz LJNLTWQNCCUAPZ-HIFRSBDPSA-N 0 3 323.362 4.163 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000607167464 1038661088 /nfs/dbraw/zinc/66/10/88/1038661088.db2.gz VRKGXFRNOJLQJR-CYBMUJFWSA-N 0 3 309.413 4.072 20 0 DIADHN CC1(C)CC[C@@H]2C[C@@H](NCc3cnc4n3CCC4)c3cccc1c32 ZINC000657427345 1038663427 /nfs/dbraw/zinc/66/34/27/1038663427.db2.gz CPYKWACKBVMGEO-RDTXWAMCSA-N 0 3 321.468 4.219 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1ccccc1Cl ZINC000048330948 1038666364 /nfs/dbraw/zinc/66/63/64/1038666364.db2.gz SZPOGVISGRHGJA-BDJLRTHQSA-N 0 3 310.224 4.378 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1ccnn1CC1CC1 ZINC000657434324 1038672394 /nfs/dbraw/zinc/67/23/94/1038672394.db2.gz FXUAVSIAVNKLOO-FOIQADDNSA-N 0 3 309.457 4.096 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc(C)s1)CCO2 ZINC000049161376 1038674336 /nfs/dbraw/zinc/67/43/36/1038674336.db2.gz GHEJWXPVKRMNKP-WFASDCNBSA-N 0 3 303.427 4.240 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](c2ccncc2)C1 ZINC000563364759 1038677918 /nfs/dbraw/zinc/67/79/18/1038677918.db2.gz QCJMYDKGHJOBGM-IUODEOHRSA-N 0 3 304.796 4.425 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1ccnn1CC1CC1)CC2 ZINC000657441186 1038680157 /nfs/dbraw/zinc/68/01/57/1038680157.db2.gz ROUSVCLVQBHHES-FQEVSTJZSA-N 0 3 309.457 4.194 20 0 DIADHN CCSc1cc(CNCc2cc3ccc(C)cc3[nH]2)ccn1 ZINC000657440756 1038680373 /nfs/dbraw/zinc/68/03/73/1038680373.db2.gz HTRJTMFIEGKCRY-UHFFFAOYSA-N 0 3 311.454 4.273 20 0 DIADHN Cc1cc(CN[C@H](CC(C)C)c2ccc(Cl)cc2)n(C)n1 ZINC000342590547 1038681677 /nfs/dbraw/zinc/68/16/77/1038681677.db2.gz GQBUYCCIDWZSOU-QGZVFWFLSA-N 0 3 305.853 4.259 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@@H]2NCc1cccc(N(C)C)c1 ZINC000119853609 1038681861 /nfs/dbraw/zinc/68/18/61/1038681861.db2.gz WSWRNAPITUIGKU-IBGZPJMESA-N 0 3 310.441 4.065 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCOc3c(C)cccc32)c(C)c1 ZINC000657447354 1038687358 /nfs/dbraw/zinc/68/73/58/1038687358.db2.gz XEWYOVOKYJIVCX-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN COCc1csc(CNCc2ccc(C3CCC3)cc2)c1 ZINC000657446459 1038687602 /nfs/dbraw/zinc/68/76/02/1038687602.db2.gz HJVDBGZYLMDNHH-UHFFFAOYSA-N 0 3 301.455 4.452 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@H]3c3cccc(F)c3)ccc21 ZINC000342638800 1038697242 /nfs/dbraw/zinc/69/72/42/1038697242.db2.gz RXFUKGRUSHSSGU-SFHVURJKSA-N 0 3 309.388 4.050 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CNCc2cn(C3CCC3)nn2)c2ccccc21 ZINC000657456033 1038698021 /nfs/dbraw/zinc/69/80/21/1038698021.db2.gz UWSSOUZZBSKZBH-YWZLYKJASA-N 0 3 324.472 4.020 20 0 DIADHN Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000625993721 1038699282 /nfs/dbraw/zinc/69/92/82/1038699282.db2.gz IGFQZCLRRDYQMM-RBUKOAKNSA-N 0 3 322.452 4.107 20 0 DIADHN CC(C)(CNCc1cc(F)ccc1OC(F)F)C(F)(F)F ZINC000657461559 1038700643 /nfs/dbraw/zinc/70/06/43/1038700643.db2.gz IDOZJCIRMXCLEN-UHFFFAOYSA-N 0 3 315.257 4.105 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cc2ccc(C)cc2[nH]1 ZINC000657462423 1038702480 /nfs/dbraw/zinc/70/24/80/1038702480.db2.gz SGXMGRKJSIXFNE-SFHVURJKSA-N 0 3 324.399 4.401 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CC1)c1ccc(F)c2ccccc21 ZINC000657469241 1038705996 /nfs/dbraw/zinc/70/59/96/1038705996.db2.gz QTFVEFLFXWREBQ-CQSZACIVSA-N 0 3 323.415 4.436 20 0 DIADHN CCCn1nccc1CN[C@@H](C)c1ccc(F)c2ccccc21 ZINC000657470156 1038707369 /nfs/dbraw/zinc/70/73/69/1038707369.db2.gz YXRYODZRDYWIJJ-AWEZNQCLSA-N 0 3 311.404 4.436 20 0 DIADHN Cc1ncccc1CN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000119917212 1038708072 /nfs/dbraw/zinc/70/80/72/1038708072.db2.gz JGCXDJYLXKJOJX-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN CCc1cccc2cc(CNCc3ccc4cnn(C)c4c3)oc21 ZINC000657470951 1038709135 /nfs/dbraw/zinc/70/91/35/1038709135.db2.gz XJAOANQXMFTVKF-UHFFFAOYSA-N 0 3 319.408 4.172 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3C[C@@H](C)Oc4ccccc43)[nH]c2c1 ZINC000657478421 1038712270 /nfs/dbraw/zinc/71/22/70/1038712270.db2.gz KCDFWEWZCPSVKL-AUUYWEPGSA-N 0 3 306.409 4.478 20 0 DIADHN Cc1ccsc1CN(C)Cc1cn(C)nc1-c1ccccc1 ZINC000342679360 1038715186 /nfs/dbraw/zinc/71/51/86/1038715186.db2.gz IEUJNSFIUCNVIW-UHFFFAOYSA-N 0 3 311.454 4.089 20 0 DIADHN Cc1cccn2cc(CN3CC[C@H](c4ccccc4)[C@@H](C)C3)nc12 ZINC000607751777 1038718712 /nfs/dbraw/zinc/71/87/12/1038718712.db2.gz DOSRPMXJJYSBNC-PXNSSMCTSA-N 0 3 319.452 4.268 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000607742531 1038720200 /nfs/dbraw/zinc/72/02/00/1038720200.db2.gz LTABUKDOXBLQSH-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1C[C@H](C)CCC[C@H]1C ZINC000607742685 1038720406 /nfs/dbraw/zinc/72/04/06/1038720406.db2.gz GADJTPHYDWDYFY-CHWSQXEVSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccsc1CN(C)Cc1cn(C)nc1-c1cccs1 ZINC000342685187 1038721561 /nfs/dbraw/zinc/72/15/61/1038721561.db2.gz WRWAMZCSZUPCNR-UHFFFAOYSA-N 0 3 317.483 4.151 20 0 DIADHN c1c(CNC[C@H]2CCCC[C@@H]2c2ccccc2)nnn1C1CCC1 ZINC000657485889 1038721679 /nfs/dbraw/zinc/72/16/79/1038721679.db2.gz UPEBGROWDMVHDQ-YLJYHZDGSA-N 0 3 324.472 4.067 20 0 DIADHN Cc1cccc2nc(CN3CC[C@@H](c4ccccc4)[C@H](C)C3)cn21 ZINC000607752221 1038723125 /nfs/dbraw/zinc/72/31/25/1038723125.db2.gz NOVZSGMUCRPAKN-OXQOHEQNSA-N 0 3 319.452 4.268 20 0 DIADHN CCOCCCN1CCc2sccc2[C@@H]1c1cccs1 ZINC000158622154 1038726527 /nfs/dbraw/zinc/72/65/27/1038726527.db2.gz YXNDMLOOMHVXTP-MRXNPFEDSA-N 0 3 307.484 4.184 20 0 DIADHN Cc1ccc2nc(CN3CC[C@H](c4ccccc4)[C@H](C)C3)cn2c1 ZINC000607755683 1038728052 /nfs/dbraw/zinc/72/80/52/1038728052.db2.gz IGOSRQIYXGHCNM-XLIONFOSSA-N 0 3 319.452 4.268 20 0 DIADHN COc1ccc([C@@H]([NH2+]Cc2ccc([O-])c(F)c2)C(C)C)cc1 ZINC000091344426 1038731396 /nfs/dbraw/zinc/73/13/96/1038731396.db2.gz BUCWGYNXLGVNGC-SFHVURJKSA-N 0 3 303.377 4.027 20 0 DIADHN CCc1cccc(CNCc2cncc(Br)c2C)c1 ZINC000657502687 1038733040 /nfs/dbraw/zinc/73/30/40/1038733040.db2.gz OJVZHCHSUYYKBB-UHFFFAOYSA-N 0 3 319.246 4.005 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cccnc21)c1cccc(N2CCCC2)c1 ZINC000684957534 1038742034 /nfs/dbraw/zinc/74/20/34/1038742034.db2.gz LZBIADAQSOYYMN-OXJNMPFZSA-N 0 3 321.468 4.410 20 0 DIADHN CO[C@@H](CN[C@H]1CCCc2cccnc21)c1ccccc1Cl ZINC000684960198 1038745287 /nfs/dbraw/zinc/74/52/87/1038745287.db2.gz UFQHSLLYMKZRLZ-IRXDYDNUSA-N 0 3 316.832 4.090 20 0 DIADHN CO[C@H](CN[C@@H]1CCCc2cccnc21)c1ccccc1Cl ZINC000684960196 1038745486 /nfs/dbraw/zinc/74/54/86/1038745486.db2.gz UFQHSLLYMKZRLZ-IAGOWNOFSA-N 0 3 316.832 4.090 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@@H](c2cccnc2)C(C)C)c1 ZINC000091374512 1038749998 /nfs/dbraw/zinc/74/99/98/1038749998.db2.gz MEEYTZWNTAKUNT-AZUAARDMSA-N 0 3 323.440 4.249 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)C[C@H]1C[C@H]1C ZINC000128062832 1038753908 /nfs/dbraw/zinc/75/39/08/1038753908.db2.gz AXWKTVDHZGMJQG-CHWSQXEVSA-N 0 3 319.836 4.256 20 0 DIADHN CC(C)N(Cc1nnc(-c2ccccc2Cl)o1)C[C@@H]1C[C@@H]1C ZINC000128063485 1038754365 /nfs/dbraw/zinc/75/43/65/1038754365.db2.gz AXWKTVDHZGMJQG-STQMWFEESA-N 0 3 319.836 4.256 20 0 DIADHN Cc1ccc(-c2ncc(CN[C@@H]3COC(C)(C)C3)s2)cc1C ZINC000657530905 1038765825 /nfs/dbraw/zinc/76/58/25/1038765825.db2.gz GCJZUXOODMRKAD-HNNXBMFYSA-N 0 3 316.470 4.084 20 0 DIADHN CCc1ccc(CN[C@H](Cc2nccn2C)c2ccccc2)cc1 ZINC000608073614 1038766091 /nfs/dbraw/zinc/76/60/91/1038766091.db2.gz PAPNPRNYBMAEQK-HXUWFJFHSA-N 0 3 319.452 4.056 20 0 DIADHN Cc1ccoc1CNC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000608053203 1038767568 /nfs/dbraw/zinc/76/75/68/1038767568.db2.gz SKWLXCMNHOUTFR-QGZVFWFLSA-N 0 3 318.848 4.168 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@H](C)c3ccccn3)cs2)cc1 ZINC000657531936 1038767890 /nfs/dbraw/zinc/76/78/90/1038767890.db2.gz BLIWCVMEVMZVNU-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN CCC[C@H](C(=O)OCC)N1CC[C@@H](c2ccccc2)[C@@H](CC)C1 ZINC001167602754 1038769647 /nfs/dbraw/zinc/76/96/47/1038769647.db2.gz PFTITWRYFIFYTI-QXAKKESOSA-N 0 3 317.473 4.234 20 0 DIADHN C[C@@H]1CN(Cc2cccc(C(=O)C(F)(F)F)c2)CC(C)(C)C1 ZINC001168423990 1038780042 /nfs/dbraw/zinc/78/00/42/1038780042.db2.gz SYBCSGGUYMOQGX-LBPRGKRZSA-N 0 3 313.363 4.300 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(SC)cc2)cc(OC)c1 ZINC000075756140 1038823803 /nfs/dbraw/zinc/82/38/03/1038823803.db2.gz XDBACKYFUICVBF-ZDUSSCGKSA-N 0 3 317.454 4.277 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H](O)c1ccccc1 ZINC000063755203 1038830131 /nfs/dbraw/zinc/83/01/31/1038830131.db2.gz YSWGZHLTXPOTRS-IBGZPJMESA-N 0 3 301.405 4.161 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccnc(OC(F)F)c1)CCC2 ZINC000342811642 1038846596 /nfs/dbraw/zinc/84/65/96/1038846596.db2.gz GVIVMLBXSVUKLW-MRXNPFEDSA-N 0 3 318.367 4.159 20 0 DIADHN CN(C)Cc1cccc(C(=O)N[C@H](CC2CC2)c2ccccc2)c1 ZINC000608470733 1038853439 /nfs/dbraw/zinc/85/34/39/1038853439.db2.gz CJMZBSSLHHBAKE-HXUWFJFHSA-N 0 3 322.452 4.019 20 0 DIADHN c1c2ccccc2sc1CNCc1cccnc1N1CCCC1 ZINC000657570578 1038856792 /nfs/dbraw/zinc/85/67/92/1038856792.db2.gz WKJFPLIFCVLYJO-UHFFFAOYSA-N 0 3 323.465 4.186 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(OCC2CCCCC2)CC1 ZINC000608500280 1038865858 /nfs/dbraw/zinc/86/58/58/1038865858.db2.gz VBBNATROZVBSER-MRXNPFEDSA-N 0 3 302.462 4.204 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@]2(C1)CCCOC2 ZINC000342821859 1038870050 /nfs/dbraw/zinc/87/00/50/1038870050.db2.gz PFYSDCFNVMGKPP-QGZVFWFLSA-N 0 3 305.805 4.089 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(-c3ccccn3)c[nH]c2=O)ccn1 ZINC001213164133 1038877843 /nfs/dbraw/zinc/87/78/43/1038877843.db2.gz JGBILPQAJNAPHQ-UHFFFAOYSA-N 0 3 320.396 4.285 20 0 DIADHN OCC1(CN(Cc2coc3ccccc23)Cc2ccccc2)CC1 ZINC000342840441 1038899517 /nfs/dbraw/zinc/89/95/17/1038899517.db2.gz MQEKFXQUXHRGSU-UHFFFAOYSA-N 0 3 321.420 4.208 20 0 DIADHN CC[C@H](NCc1ccc(Oc2ccccc2)cc1)c1cnn(C)c1 ZINC000153211467 1038900312 /nfs/dbraw/zinc/90/03/12/1038900312.db2.gz YCVUDSZVNDBABE-FQEVSTJZSA-N 0 3 321.424 4.453 20 0 DIADHN CC[C@@H](NCc1ccc(Oc2ccccc2)cc1)c1cnn(C)c1 ZINC000153211567 1038900416 /nfs/dbraw/zinc/90/04/16/1038900416.db2.gz YCVUDSZVNDBABE-HXUWFJFHSA-N 0 3 321.424 4.453 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNCCOC2CCC2)c1 ZINC000780531787 1038905157 /nfs/dbraw/zinc/90/51/57/1038905157.db2.gz YXLCOSQWXKOCFZ-UHFFFAOYSA-N 0 3 307.743 4.018 20 0 DIADHN CCn1nccc1CNC1(c2ccc(Cl)cc2)CCCC1 ZINC000119245331 1038908415 /nfs/dbraw/zinc/90/84/15/1038908415.db2.gz RSJOIBGDHQNJCT-UHFFFAOYSA-N 0 3 303.837 4.116 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)C[C@H](N)c1ccccc1 ZINC000119238892 1038908734 /nfs/dbraw/zinc/90/87/34/1038908734.db2.gz ROBVXXGZEYYOCO-HNNXBMFYSA-N 0 3 314.454 4.216 20 0 DIADHN COCc1ccc(CN[C@H](c2ccccc2F)C(C)C)cc1 ZINC000657616477 1038912165 /nfs/dbraw/zinc/91/21/65/1038912165.db2.gz YODNFMOGCPFBKW-IBGZPJMESA-N 0 3 301.405 4.459 20 0 DIADHN Cc1cc(CN2CC[C@H]3OCCC[C@H]3C2)cc(C(F)(F)F)c1 ZINC001143447251 1038912693 /nfs/dbraw/zinc/91/26/93/1038912693.db2.gz AQQXFZOPTZUKPI-GOEBONIOSA-N 0 3 313.363 4.015 20 0 DIADHN COc1ccc2cc(CN[C@H](C)c3cnc(C)nc3C)ccc2c1 ZINC000119432145 1038924258 /nfs/dbraw/zinc/92/42/58/1038924258.db2.gz RKRCCFSPMCCNMN-CYBMUJFWSA-N 0 3 321.424 4.106 20 0 DIADHN CC(C)(C)OCCCNCc1ncc(-c2ccccc2)s1 ZINC000657636963 1038934736 /nfs/dbraw/zinc/93/47/36/1038934736.db2.gz BNFGNRHPWPGDCF-UHFFFAOYSA-N 0 3 304.459 4.105 20 0 DIADHN CCOc1ccccc1CNCCc1nc2ccccc2s1 ZINC000078422307 1038950780 /nfs/dbraw/zinc/95/07/80/1038950780.db2.gz IIDLGQIIFFISIT-UHFFFAOYSA-N 0 3 312.438 4.027 20 0 DIADHN Cc1cc([C@H](C)NC[C@@](C)(O)c2cccc(Cl)c2)oc1C ZINC000800490091 1038954287 /nfs/dbraw/zinc/95/42/87/1038954287.db2.gz HBOXILKSXXGPBN-YVEFUNNKSA-N 0 3 307.821 4.108 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN(C)Cc1cc2ccccc2o1 ZINC001167622666 1038956274 /nfs/dbraw/zinc/95/62/74/1038956274.db2.gz XABPFHGQBKLEHB-MAUKXSAKSA-N 0 3 301.430 4.460 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCC2CCCC2)c2ccccc2)cc1 ZINC000642194680 1038962762 /nfs/dbraw/zinc/96/27/62/1038962762.db2.gz DJYMSUFVOYMURD-HXUWFJFHSA-N 0 3 322.452 4.455 20 0 DIADHN C[C@]1(CNCc2ccc(-c3c(F)cccc3F)o2)CC1(F)F ZINC000657667027 1038977192 /nfs/dbraw/zinc/97/71/92/1038977192.db2.gz WTEKAUCAGVTJET-OAHLLOKOSA-N 0 3 313.294 4.360 20 0 DIADHN CCCOc1c(CNC[C@]2(C)CC2(F)F)cccc1OCC ZINC000657670290 1038981698 /nfs/dbraw/zinc/98/16/98/1038981698.db2.gz SODASNPUNCIWRO-INIZCTEOSA-N 0 3 313.388 4.009 20 0 DIADHN C[C@]1(CCNCc2c(F)cccc2Br)CC1(F)F ZINC000657670749 1038983084 /nfs/dbraw/zinc/98/30/84/1038983084.db2.gz OTYGFVFKOFWSSY-LBPRGKRZSA-N 0 3 322.168 4.113 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1C[C@H]1CC2(CO1)CCCCC2 ZINC001167633007 1039009301 /nfs/dbraw/zinc/00/93/01/1039009301.db2.gz CLMQTCIKOHTBOK-MJGOQNOKSA-N 0 3 316.489 4.292 20 0 DIADHN CC[C@@](C)(NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000657690810 1039011336 /nfs/dbraw/zinc/01/13/36/1039011336.db2.gz XHSFJJIEBCKFOS-GOSISDBHSA-N 0 3 316.470 4.390 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCc4ccc(O)cc43)ccc2n1 ZINC000657693776 1039020033 /nfs/dbraw/zinc/02/00/33/1039020033.db2.gz OZXDKDXGVRPHOC-FQEVSTJZSA-N 0 3 304.393 4.026 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCc4ccc(O)cc43)ccc2n1 ZINC000657693777 1039020608 /nfs/dbraw/zinc/02/06/08/1039020608.db2.gz OZXDKDXGVRPHOC-HXUWFJFHSA-N 0 3 304.393 4.026 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)[C@@H]1CCCc2ccccc21 ZINC000534333085 1039029348 /nfs/dbraw/zinc/02/93/48/1039029348.db2.gz WXLRMANLXWQULB-HXUWFJFHSA-N 0 3 308.425 4.154 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC[C@H]1c1ccccc1 ZINC000534333440 1039030480 /nfs/dbraw/zinc/03/04/80/1039030480.db2.gz XYJLUYAGRVSFCX-NRFANRHFSA-N 0 3 317.436 4.269 20 0 DIADHN C[C@H]1CN(Cc2c[nH]nc2-c2cccc(Cl)c2)C[C@H](C)S1 ZINC000534349925 1039035389 /nfs/dbraw/zinc/03/53/89/1039035389.db2.gz WRAVGTFLUIWAHB-RYUDHWBXSA-N 0 3 321.877 4.056 20 0 DIADHN CCn1c2ccccc2nc1CN1CC[C@@H](c2ccccc2)C1 ZINC000534336201 1039038330 /nfs/dbraw/zinc/03/83/30/1039038330.db2.gz RATCZHBPQIFWKT-QGZVFWFLSA-N 0 3 305.425 4.046 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(N3CCCC3)nc2)[C@@H]2CCCC[C@@H]12 ZINC000534337103 1039039795 /nfs/dbraw/zinc/03/97/95/1039039795.db2.gz GXJYWBHFESVZIB-NZSAHSFTSA-N 0 3 313.489 4.082 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnc(N2CCCC2)nc1 ZINC000534339583 1039040825 /nfs/dbraw/zinc/04/08/25/1039040825.db2.gz UJOLJAANCWNYAF-IBGZPJMESA-N 0 3 324.472 4.050 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cnc(C2CC2)o1 ZINC000628180707 1039042434 /nfs/dbraw/zinc/04/24/34/1039042434.db2.gz BGUCIFGGRNVAJP-SWLSCSKDSA-N 0 3 300.377 4.069 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(C)cc2Cl)cc1 ZINC001167640934 1039045536 /nfs/dbraw/zinc/04/55/36/1039045536.db2.gz FNNFGZXBRLUGMC-KRWDZBQOSA-N 0 3 315.844 4.474 20 0 DIADHN COc1ccc(C[C@H]2CCN2Cc2ccc(C)cc2Cl)cc1 ZINC001167640935 1039046279 /nfs/dbraw/zinc/04/62/79/1039046279.db2.gz FNNFGZXBRLUGMC-QGZVFWFLSA-N 0 3 315.844 4.474 20 0 DIADHN Clc1ccc(CN2CC[C@H](C3CCOCC3)C2)c(Cl)c1 ZINC000534380332 1039048641 /nfs/dbraw/zinc/04/86/41/1039048641.db2.gz GMOMIPOFWZBIRE-ZDUSSCGKSA-N 0 3 314.256 4.242 20 0 DIADHN Clc1ccc2nc(CN[C@@H]3CC[C@@H]3c3ccccc3)cn2c1 ZINC000657713929 1039075388 /nfs/dbraw/zinc/07/53/88/1039075388.db2.gz MCQMEXUTKCHZIY-IAGOWNOFSA-N 0 3 311.816 4.024 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NC(C)C)c2ccccc2)cc1Cl ZINC000007376293 1039090891 /nfs/dbraw/zinc/09/08/91/1039090891.db2.gz UVZDIKVQKIVKOS-QGZVFWFLSA-N 0 3 316.832 4.326 20 0 DIADHN CCCCCN[C@H](C(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC000007378835 1039093020 /nfs/dbraw/zinc/09/30/20/1039093020.db2.gz RATRJYZSDGJRFZ-IBGZPJMESA-N 0 3 310.441 4.455 20 0 DIADHN CC(C)c1ccc(-c2noc([C@H](C)N3[C@H](C)CC[C@H]3C)n2)cn1 ZINC000278065696 1039120668 /nfs/dbraw/zinc/12/06/68/1039120668.db2.gz WDNYLVDRTGOFSY-MCIONIFRSA-N 0 3 314.433 4.189 20 0 DIADHN CCC(=O)CCN(C)[C@@H](C)c1sc(-c2ccccc2)nc1C ZINC000190277458 1039139482 /nfs/dbraw/zinc/13/94/82/1039139482.db2.gz NNALKEIVPMJJHR-AWEZNQCLSA-N 0 3 316.470 4.481 20 0 DIADHN CC1(C)[C@@H](CNCc2nc(-c3ccccc3)cs2)C1(F)F ZINC000657736377 1039160916 /nfs/dbraw/zinc/16/09/16/1039160916.db2.gz CCFONEDCZRDJJR-CYBMUJFWSA-N 0 3 308.397 4.191 20 0 DIADHN CCOC(=O)[C@H](CCc1ccccc1)N1CCC(CC)(CC)C1 ZINC001167656350 1039170365 /nfs/dbraw/zinc/17/03/65/1039170365.db2.gz JUQZPRXRJITLJL-SFHVURJKSA-N 0 3 317.473 4.063 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCc3ccc(Cl)cc32)c1 ZINC000343091642 1039187610 /nfs/dbraw/zinc/18/76/10/1039187610.db2.gz GZMBXBVMVUFPSB-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc(Cl)cc1)c1ccccc1 ZINC000657742018 1039209637 /nfs/dbraw/zinc/20/96/37/1039209637.db2.gz KHWVTNIYYCYTTD-MSOLQXFVSA-N 0 3 303.833 4.188 20 0 DIADHN CCN(Cc1nccc(C)n1)[C@H](Cc1ccc(F)cc1)C(C)C ZINC000535964552 1039210792 /nfs/dbraw/zinc/21/07/92/1039210792.db2.gz SXRWNXRADTYJFS-GOSISDBHSA-N 0 3 315.436 4.013 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc(Cl)cc1)c1ccccc1 ZINC000657742019 1039211313 /nfs/dbraw/zinc/21/13/13/1039211313.db2.gz KHWVTNIYYCYTTD-QZTJIDSGSA-N 0 3 303.833 4.188 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1coc(-c2cccc(F)c2)n1 ZINC000525639024 1039215164 /nfs/dbraw/zinc/21/51/64/1039215164.db2.gz PEMGONHBYGAIDB-UONOGXRCSA-N 0 3 302.393 4.491 20 0 DIADHN CC(C)(C)c1cc(Nc2ccccc2P(C)(C)=O)ccn1 ZINC001213166773 1039215525 /nfs/dbraw/zinc/21/55/25/1039215525.db2.gz OWNYVCCWTLENCA-UHFFFAOYSA-N 0 3 302.358 4.371 20 0 DIADHN C[C@@H](NCCCSc1ccccc1)c1cc2n(n1)CCCC2 ZINC000647280902 1039215912 /nfs/dbraw/zinc/21/59/12/1039215912.db2.gz LCNKFMVCGHWLMY-OAHLLOKOSA-N 0 3 315.486 4.052 20 0 DIADHN CC(=O)c1ccc2c(c1)CCN(C/C=C\c1ccccc1)CC2 ZINC000535972591 1039222325 /nfs/dbraw/zinc/22/23/25/1039222325.db2.gz TXXVWKSNXISTLJ-YVMONPNESA-N 0 3 305.421 4.003 20 0 DIADHN CCCN(Cc1ncc(C2CC2)o1)[C@@H]1CCc2ccccc2C1 ZINC000535560775 1039239851 /nfs/dbraw/zinc/23/98/51/1039239851.db2.gz GLZUPNLQBAKUBD-GOSISDBHSA-N 0 3 310.441 4.322 20 0 DIADHN CC[C@@H](NCc1cccc(N2CCCC2=O)c1)c1ccc(C)cc1 ZINC000155265141 1039248917 /nfs/dbraw/zinc/24/89/17/1039248917.db2.gz LBNMTSVRMLSJDX-HXUWFJFHSA-N 0 3 322.452 4.363 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)s1 ZINC000657747087 1039249607 /nfs/dbraw/zinc/24/96/07/1039249607.db2.gz OQVVCWQOGZCEIH-IAGOWNOFSA-N 0 3 321.511 4.318 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000539494710 1039271483 /nfs/dbraw/zinc/27/14/83/1039271483.db2.gz NAKLWEIMSCGALH-VQISRLSMSA-N 0 3 312.240 4.041 20 0 DIADHN CCC(CC)N(CC(=O)Nc1sc(C)c(C)c1C)C1CC1 ZINC000525274729 1039274691 /nfs/dbraw/zinc/27/46/91/1039274691.db2.gz ACYMUMYIMVYMIL-UHFFFAOYSA-N 0 3 308.491 4.265 20 0 DIADHN COCCOc1cccc(F)c1Nc1ccnc(C(C)(C)C)c1 ZINC001213168306 1039278640 /nfs/dbraw/zinc/27/86/40/1039278640.db2.gz DQGYMZDAHHCBHX-UHFFFAOYSA-N 0 3 318.392 4.287 20 0 DIADHN C[C@H](NC[C@@H](O)c1cccc(Cl)c1)c1ccc(F)cc1F ZINC000174661666 1039290630 /nfs/dbraw/zinc/29/06/30/1039290630.db2.gz CSFWGPMTSZSVLC-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CCCOc1cc(CN[C@@H](C)c2ccsc2)ccc1OC ZINC000669831269 1039291214 /nfs/dbraw/zinc/29/12/14/1039291214.db2.gz OIOFUGXDQXWMQI-ZDUSSCGKSA-N 0 3 305.443 4.396 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1ccccc1C1CC1 ZINC000669833108 1039300059 /nfs/dbraw/zinc/30/00/59/1039300059.db2.gz GRZLKOLMSIKBAO-IBGZPJMESA-N 0 3 311.400 4.489 20 0 DIADHN COc1ccc(C2(N[C@@H]3CCCc4cc(F)ccc43)CC2)cc1 ZINC000539624454 1039302481 /nfs/dbraw/zinc/30/24/81/1039302481.db2.gz RUACXIBWWVXTJM-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN Fc1cccc([C@@H](NC[C@H]2CCCO2)c2cccc(Cl)c2)c1 ZINC000491271346 1039308750 /nfs/dbraw/zinc/30/87/50/1039308750.db2.gz OBPQHVVASHESPW-MSOLQXFVSA-N 0 3 319.807 4.337 20 0 DIADHN CCc1nn(C)cc1CNCc1ccc(-c2ccccc2)s1 ZINC000093112946 1039319969 /nfs/dbraw/zinc/31/99/69/1039319969.db2.gz QROMYNMUHIGKOP-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccsc1)c1cccc(Cl)c1F ZINC000161705918 1039324196 /nfs/dbraw/zinc/32/41/96/1039324196.db2.gz AHQIOSCUZNKDND-KBPBESRZSA-N 0 3 313.825 4.315 20 0 DIADHN COc1ccc([C@@H](C)N(C)CCC(=O)c2ccc(F)cc2)cc1 ZINC000539722709 1039324183 /nfs/dbraw/zinc/32/41/83/1039324183.db2.gz QSXUEWKGYVRELJ-CQSZACIVSA-N 0 3 315.388 4.100 20 0 DIADHN COc1ccccc1/C=C\CN1CC[C@@H](c2ccccc2OC)C1 ZINC000539817833 1039343303 /nfs/dbraw/zinc/34/33/03/1039343303.db2.gz DWFVWFUATOZSHG-YPLUBFQTSA-N 0 3 323.436 4.207 20 0 DIADHN CC(C)(C)c1ncc(CN2CC[C@@H]2Cc2ccccc2)s1 ZINC000539820681 1039348959 /nfs/dbraw/zinc/34/89/59/1039348959.db2.gz CYYXVEBMIKXSIT-OAHLLOKOSA-N 0 3 300.471 4.258 20 0 DIADHN CCn1c2ccccc2nc1CN(C)C[C@@H](C)c1ccccc1 ZINC000539839769 1039353149 /nfs/dbraw/zinc/35/31/49/1039353149.db2.gz CNPPRYGYDXXYTI-MRXNPFEDSA-N 0 3 307.441 4.292 20 0 DIADHN OCCCN(Cc1ccc(Cl)s1)[C@@H]1CCc2ccccc21 ZINC000539831632 1039361208 /nfs/dbraw/zinc/36/12/08/1039361208.db2.gz HTHHOQZOASIIPM-MRXNPFEDSA-N 0 3 321.873 4.273 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccn(C(C)C)c3)c2C1 ZINC001203164678 1039362850 /nfs/dbraw/zinc/36/28/50/1039362850.db2.gz PMUYZETXVYAUCI-UHFFFAOYSA-N 0 3 318.490 4.224 20 0 DIADHN CSC[C@@H]1CCCN1Cc1ccc(OC(F)F)c(Cl)c1 ZINC000539849904 1039368423 /nfs/dbraw/zinc/36/84/23/1039368423.db2.gz FTJKXLMBZPGWTN-NSHDSACASA-N 0 3 321.820 4.269 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3F)s2)CCCO1 ZINC000539839406 1039370676 /nfs/dbraw/zinc/37/06/76/1039370676.db2.gz KSIBSXPHIDQMMK-CYBMUJFWSA-N 0 3 305.418 4.165 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CNCc1ccc(F)nc1C ZINC000278309505 1039371919 /nfs/dbraw/zinc/37/19/19/1039371919.db2.gz ATDVZLPRBLPBKP-UHFFFAOYSA-N 0 3 312.310 4.146 20 0 DIADHN CN(Cc1cc(Cl)ccc1OC1CCCC1)C[C@@H]1CCOC1 ZINC000539862652 1039375866 /nfs/dbraw/zinc/37/58/66/1039375866.db2.gz NRFDRVMWPRVYEN-AWEZNQCLSA-N 0 3 323.864 4.130 20 0 DIADHN Cc1ccc(C)c([C@@H]2CCCN2Cc2cnc(N(C)C)s2)c1 ZINC000539852252 1039375995 /nfs/dbraw/zinc/37/59/95/1039375995.db2.gz RZOQUQRPWICIOH-KRWDZBQOSA-N 0 3 315.486 4.163 20 0 DIADHN CC[C@H](c1ccncc1)N(C)CCOc1ccc(F)cc1Cl ZINC000539885405 1039380140 /nfs/dbraw/zinc/38/01/40/1039380140.db2.gz AMFDJCPFDGGDDV-MRXNPFEDSA-N 0 3 322.811 4.336 20 0 DIADHN Cc1cccc2c1[C@H](NCc1ccnc(C(F)(F)F)c1)CC2 ZINC000926509513 1039380212 /nfs/dbraw/zinc/38/02/12/1039380212.db2.gz IPLKDSVSTZIMGR-CQSZACIVSA-N 0 3 306.331 4.186 20 0 DIADHN COC(=O)[C@H]1Cc2cccc(Nc3ccnc(C(C)(C)C)c3)c2C1 ZINC001213170786 1039382888 /nfs/dbraw/zinc/38/28/88/1039382888.db2.gz PHVFWYDBEVGQAN-AWEZNQCLSA-N 0 3 324.424 4.011 20 0 DIADHN Cc1ccc(CN(CCCO)Cc2cccc(Cl)c2)s1 ZINC000539898154 1039386529 /nfs/dbraw/zinc/38/65/29/1039386529.db2.gz OYXYMXUXMHVIPJ-UHFFFAOYSA-N 0 3 309.862 4.095 20 0 DIADHN CS[C@@H]1CCCCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000539898897 1039387129 /nfs/dbraw/zinc/38/71/29/1039387129.db2.gz KEXGCWJMFWAMJD-GFCCVEGCSA-N 0 3 319.392 4.145 20 0 DIADHN OCc1ccc(CN2CCCCC[C@H]2/C=C/c2ccccc2)o1 ZINC000539911811 1039388565 /nfs/dbraw/zinc/38/85/65/1039388565.db2.gz FPWGOZZZFBRTOG-ZGKFYVQTSA-N 0 3 311.425 4.230 20 0 DIADHN O[C@H]1Cc2ccccc2[C@@H]1NCc1ccc(C2CCCC2)cc1 ZINC000462782128 1039395006 /nfs/dbraw/zinc/39/50/06/1039395006.db2.gz YTXLHVHIWIBUMQ-SFTDATJTSA-N 0 3 307.437 4.092 20 0 DIADHN CCCC[C@@H](CC)CCN[C@@H]1CCCn2nc(C(C)C)nc21 ZINC000539973801 1039395872 /nfs/dbraw/zinc/39/58/72/1039395872.db2.gz GHLPRGYHIGAMED-HZPDHXFCSA-N 0 3 306.498 4.433 20 0 DIADHN CCCC[C@H](CC)CCN[C@@H]1CCCn2nc(C(C)C)nc21 ZINC000539973802 1039398069 /nfs/dbraw/zinc/39/80/69/1039398069.db2.gz GHLPRGYHIGAMED-JKSUJKDBSA-N 0 3 306.498 4.433 20 0 DIADHN Cc1ccc(SCCN(C)[C@H](C)c2cccnc2)cc1C ZINC000540099535 1039417785 /nfs/dbraw/zinc/41/77/85/1039417785.db2.gz BAQFFCKKTIQFQV-MRXNPFEDSA-N 0 3 300.471 4.484 20 0 DIADHN CO[C@@H](CNCc1cnc(C2CCC2)s1)C1CCCCC1 ZINC000657782835 1039424844 /nfs/dbraw/zinc/42/48/44/1039424844.db2.gz SGHSQQWVYQFEME-INIZCTEOSA-N 0 3 308.491 4.096 20 0 DIADHN COCc1cccc(CNC2(c3cccc(OC)c3)CCC2)c1 ZINC000657783956 1039428409 /nfs/dbraw/zinc/42/84/09/1039428409.db2.gz ZERMKGUFDBHTSP-UHFFFAOYSA-N 0 3 311.425 4.011 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cn[nH]c21)c1nc(-c2ccccc2)cs1 ZINC000540163045 1039430475 /nfs/dbraw/zinc/43/04/75/1039430475.db2.gz JDVYSRPCICTBKB-IUODEOHRSA-N 0 3 324.453 4.261 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2N[C@@H]1CN(C)Cc2ccccc21 ZINC000540153156 1039432417 /nfs/dbraw/zinc/43/24/17/1039432417.db2.gz ISKCGAXYFBXKEQ-UIAACRFSSA-N 0 3 306.453 4.320 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1cnc2ccccc2c1 ZINC000540172057 1039436252 /nfs/dbraw/zinc/43/62/52/1039436252.db2.gz NCNCFNRYFAZYJF-ZUOKHONESA-N 0 3 306.384 4.397 20 0 DIADHN C[C@H](NCCOC1CCCCC1)c1cc(F)c(F)c(F)c1 ZINC000540170423 1039436805 /nfs/dbraw/zinc/43/68/05/1039436805.db2.gz SBWFSWZPUWYRFB-NSHDSACASA-N 0 3 301.352 4.104 20 0 DIADHN CC(C)C[C@H](NCc1ccnc(C(F)(F)F)c1)c1ccncc1 ZINC000647305607 1039436709 /nfs/dbraw/zinc/43/67/09/1039436709.db2.gz BLTWYXOZTJCXNP-HNNXBMFYSA-N 0 3 323.362 4.372 20 0 DIADHN C[C@H](N[C@H]1C[C@H]1Cc1ccccc1)c1nc2c(s1)CCCC2 ZINC000540183529 1039447371 /nfs/dbraw/zinc/44/73/71/1039447371.db2.gz IONDCKULASAGTI-LXZKKBNFSA-N 0 3 312.482 4.304 20 0 DIADHN COC(C)(C)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000540185509 1039448150 /nfs/dbraw/zinc/44/81/50/1039448150.db2.gz QQKFFPUGCWCEPE-SECBINFHSA-N 0 3 308.274 4.447 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](CO)c2sccc2C)cc1 ZINC000540222563 1039459295 /nfs/dbraw/zinc/45/92/95/1039459295.db2.gz QXFFFARYLWAHAN-SWLSCSKDSA-N 0 3 307.484 4.163 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000540219361 1039459998 /nfs/dbraw/zinc/45/99/98/1039459998.db2.gz DYCKSCPCMMXXTR-KBXCAEBGSA-N 0 3 315.482 4.320 20 0 DIADHN Cc1ccc(CCN[C@@H](C)c2nc3ccc(Cl)cc3n2C)o1 ZINC000540261562 1039464738 /nfs/dbraw/zinc/46/47/38/1039464738.db2.gz IESRBOYLLLURLU-LBPRGKRZSA-N 0 3 317.820 4.021 20 0 DIADHN CC(C)[C@@H](NCc1ccc(F)cc1)c1ccc2[nH]c(=O)oc2c1 ZINC000540262879 1039464818 /nfs/dbraw/zinc/46/48/18/1039464818.db2.gz PNTGSYFOOLCPOS-QGZVFWFLSA-N 0 3 314.360 4.159 20 0 DIADHN CC(C)[C@H](NCc1cccc(N(C)C)n1)c1ccc(Cl)cc1 ZINC000540312515 1039475794 /nfs/dbraw/zinc/47/57/94/1039475794.db2.gz IOLFDDLZRORERT-SFHVURJKSA-N 0 3 317.864 4.288 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cnc2cccc(C)n12 ZINC000657798409 1039477821 /nfs/dbraw/zinc/47/78/21/1039477821.db2.gz OWGQQUHIDQCKMY-LJQANCHMSA-N 0 3 305.425 4.118 20 0 DIADHN CCc1ncc(CN2CCC[C@@H](c3ccc(F)cc3)CC2)o1 ZINC001168435137 1039491257 /nfs/dbraw/zinc/49/12/57/1039491257.db2.gz BUEKRYDMDGQALG-CQSZACIVSA-N 0 3 302.393 4.146 20 0 DIADHN COc1cccc(CNCc2cccc(C(F)(F)F)c2)c1C ZINC000657802205 1039491876 /nfs/dbraw/zinc/49/18/76/1039491876.db2.gz VUPOEXRBPZEGKA-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN COc1cccc(CNCc2cccc(Br)c2)c1C ZINC000657801924 1039494422 /nfs/dbraw/zinc/49/44/22/1039494422.db2.gz NNKIEIBVLVBNPZ-UHFFFAOYSA-N 0 3 320.230 4.056 20 0 DIADHN COc1cccc(CNCc2ccc3nc(C)sc3c2)c1C ZINC000657804152 1039500545 /nfs/dbraw/zinc/50/05/45/1039500545.db2.gz WZTXYNFCJDXIMB-UHFFFAOYSA-N 0 3 312.438 4.212 20 0 DIADHN C[C@@H](CCCc1ccccc1)C(=O)Nc1cccc(CN(C)C)c1 ZINC000540496776 1039504014 /nfs/dbraw/zinc/50/40/14/1039504014.db2.gz TWHGQIMUGZPCJP-KRWDZBQOSA-N 0 3 324.468 4.346 20 0 DIADHN CCN(Cc1ccc2c(c1)ncn2C)Cc1cccc(Cl)c1 ZINC000540507277 1039505766 /nfs/dbraw/zinc/50/57/66/1039505766.db2.gz HYPQPRJRPWLMTC-UHFFFAOYSA-N 0 3 313.832 4.249 20 0 DIADHN COc1ccc2cc(CN[C@@H](c3cccnc3)C3CC3)ccc2c1 ZINC000536164024 1039508878 /nfs/dbraw/zinc/50/88/78/1039508878.db2.gz YVHXPLFAPZKKFC-OAQYLSRUSA-N 0 3 318.420 4.484 20 0 DIADHN Cc1ccccc1[C@@H](N[C@H](C)C(=O)Nc1ccccc1)C1CC1 ZINC000540591692 1039521241 /nfs/dbraw/zinc/52/12/41/1039521241.db2.gz KKTHLBHVPDIAQE-BEFAXECRSA-N 0 3 308.425 4.063 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(F)c(COC)c2)s1 ZINC000151226441 1039522364 /nfs/dbraw/zinc/52/23/64/1039522364.db2.gz JZARGIPARIUGTA-LBPRGKRZSA-N 0 3 307.434 4.447 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@H]1CCCC(F)(F)C1 ZINC000540625516 1039533016 /nfs/dbraw/zinc/53/30/16/1039533016.db2.gz OUZDBCFXKAIEJG-ZDUSSCGKSA-N 0 3 312.379 4.093 20 0 DIADHN Fc1cccc(N2CCCC2)c1CN[C@@H]1CCCC(F)(F)C1 ZINC000540625510 1039533356 /nfs/dbraw/zinc/53/33/56/1039533356.db2.gz OUZDBCFXKAIEJG-CYBMUJFWSA-N 0 3 312.379 4.093 20 0 DIADHN Cc1cc(CN[C@@H](CN(C)C)c2ccc(F)cc2)ccc1Cl ZINC000583986709 1039537036 /nfs/dbraw/zinc/53/70/36/1039537036.db2.gz FOPZLFLPHGLDBS-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cccc(F)c1F)c1ccco1 ZINC000540645723 1039538437 /nfs/dbraw/zinc/53/84/37/1039538437.db2.gz GOCHVUNXZUJGEC-CJNGLKHVSA-N 0 3 322.399 4.292 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCN(c3ccc(Cl)cc3)C2)o1 ZINC000540662231 1039540408 /nfs/dbraw/zinc/54/04/08/1039540408.db2.gz ONIJOFWNHFMYBV-DZGCQCFKSA-N 0 3 304.821 4.171 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cc2ccccc2o1 ZINC000540686510 1039542973 /nfs/dbraw/zinc/54/29/73/1039542973.db2.gz OJYRLIRTEBCUSH-YJYMSZOUSA-N 0 3 313.372 4.438 20 0 DIADHN Clc1ccc(/C=C/CN2CCC(OCC3CC3)CC2)cc1 ZINC000527296821 1039544636 /nfs/dbraw/zinc/54/46/36/1039544636.db2.gz WOVRVDRWOSFUNE-OWOJBTEDSA-N 0 3 305.849 4.244 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H](c1ccccc1)[C@H](C)O ZINC000657816610 1039546310 /nfs/dbraw/zinc/54/63/10/1039546310.db2.gz JYBHBGQOEWVWND-SUMWQHHRSA-N 0 3 323.358 4.226 20 0 DIADHN COc1cc2ccc([C@H](C)NCc3cccnc3)cc2cc1OC ZINC000657816914 1039553027 /nfs/dbraw/zinc/55/30/27/1039553027.db2.gz LOTZHYBHHCVUEI-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN COCc1cccc(CN[C@H]2CCCOc3ccc(C)cc32)c1 ZINC000158536294 1039557223 /nfs/dbraw/zinc/55/72/23/1039557223.db2.gz IYPLFLOLEKGXEE-IBGZPJMESA-N 0 3 311.425 4.145 20 0 DIADHN C[C@@H](N[C@H]1CCOc2ccccc21)c1cc2ccncc2s1 ZINC000657818538 1039557486 /nfs/dbraw/zinc/55/74/86/1039557486.db2.gz BXUCIZXZXDPKSH-DOMZBBRYSA-N 0 3 310.422 4.471 20 0 DIADHN Cn1ccc(CN[C@@H]2CCCSc3ccc(Cl)cc32)c1 ZINC000158542321 1039558180 /nfs/dbraw/zinc/55/81/80/1039558180.db2.gz BSRYKLUAMRWIGT-OAHLLOKOSA-N 0 3 306.862 4.395 20 0 DIADHN C[C@@H](NC[C@@H](C)CC(F)F)c1nc(C(F)(F)F)cs1 ZINC000647319407 1039560145 /nfs/dbraw/zinc/56/01/45/1039560145.db2.gz ZOJUHHDNNCYNNA-NKWVEPMBSA-N 0 3 302.312 4.104 20 0 DIADHN CCN1CCN(c2ccccc2N[C@H](C)c2ccc(C)cc2)CC1 ZINC000540745378 1039560149 /nfs/dbraw/zinc/56/01/49/1039560149.db2.gz CDXGMTRAWAWIHR-GOSISDBHSA-N 0 3 323.484 4.310 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2COC3(CCC3)C2)c2cccs2)cc1 ZINC000657822839 1039579400 /nfs/dbraw/zinc/57/94/00/1039579400.db2.gz BYSZEJPPDOZFDG-RDJZCZTQSA-N 0 3 317.429 4.278 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000584063704 1039587987 /nfs/dbraw/zinc/58/79/87/1039587987.db2.gz ZMOULDIEVNKKDE-JYURXASOSA-N 0 3 315.848 4.486 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2sccc2OC)cc1 ZINC000278610982 1039589950 /nfs/dbraw/zinc/58/99/50/1039589950.db2.gz FPZDLVANNPEPFD-CYBMUJFWSA-N 0 3 304.459 4.429 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)N(Cc1ccc3[nH]cnc3c1)[C@H]2C ZINC000540994901 1039601422 /nfs/dbraw/zinc/60/14/22/1039601422.db2.gz JRZYCPUXIIKNEI-KGLIPLIRSA-N 0 3 321.424 4.079 20 0 DIADHN Cc1cc2cc([C@@H](C)NCc3ccc(CO)cc3)oc2cc1C ZINC000647322854 1039608321 /nfs/dbraw/zinc/60/83/21/1039608321.db2.gz QUTHXJMIUCWJJU-OAHLLOKOSA-N 0 3 309.409 4.393 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1cncc(Br)c1 ZINC000657829052 1039611947 /nfs/dbraw/zinc/61/19/47/1039611947.db2.gz XWRRWLCILCEDHN-MEDUHNTESA-N 0 3 317.230 4.182 20 0 DIADHN CC[C@H]1CCC[C@@H](CNCc2ncc(Br)s2)C1 ZINC000714937209 1039613162 /nfs/dbraw/zinc/61/31/62/1039613162.db2.gz QICHDRRMNCZGLA-WDEREUQCSA-N 0 3 317.296 4.212 20 0 DIADHN Brc1cccnc1CN1CCC[C@H]1C1CCCCC1 ZINC000708463093 1039621451 /nfs/dbraw/zinc/62/14/51/1039621451.db2.gz UKZICMNGLRFTLU-INIZCTEOSA-N 0 3 323.278 4.389 20 0 DIADHN COC(=O)c1ccc(CN[C@H](c2ccc(F)cc2)C(C)C)cc1 ZINC000393384316 1039640261 /nfs/dbraw/zinc/64/02/61/1039640261.db2.gz GSEGBHCSGBJFAT-SFHVURJKSA-N 0 3 315.388 4.099 20 0 DIADHN CS[C@H](CNCc1conc1Cc1ccccc1)C(C)(C)C ZINC000584220286 1039642553 /nfs/dbraw/zinc/64/25/53/1039642553.db2.gz CZNWTWCMCUJGLG-QGZVFWFLSA-N 0 3 318.486 4.133 20 0 DIADHN c1nc(C2CC2)oc1CN1CC[C@H](CSc2ccccc2)C1 ZINC000628185573 1039669324 /nfs/dbraw/zinc/66/93/24/1039669324.db2.gz CYYRPKQGBWTJFA-AWEZNQCLSA-N 0 3 314.454 4.166 20 0 DIADHN CC(C)COc1ccc([C@@H](C)NCc2ccccc2CO)cc1 ZINC000151794684 1039672102 /nfs/dbraw/zinc/67/21/02/1039672102.db2.gz ZQDJTNCZXWEPCC-MRXNPFEDSA-N 0 3 313.441 4.065 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@]2(C)CCO[C@@H]2C)o1 ZINC000541385466 1039680421 /nfs/dbraw/zinc/68/04/21/1039680421.db2.gz DRBVIROXSZVGNR-FZKQIMNGSA-N 0 3 303.377 4.051 20 0 DIADHN C[C@H](NCCO[C@@H]1CCCC[C@@H]1C)c1ccc(C(F)F)nc1 ZINC000657844773 1039684821 /nfs/dbraw/zinc/68/48/21/1039684821.db2.gz SPMUDBIYQJEKGW-HEHGZKQESA-N 0 3 312.404 4.265 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccccc2)c2cccnc2)cc1 ZINC000541546677 1039696684 /nfs/dbraw/zinc/69/66/84/1039696684.db2.gz INRITPJACHACEP-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)c1ccc(F)cc1)[C@H]1CCCO1 ZINC000541543661 1039697699 /nfs/dbraw/zinc/69/76/99/1039697699.db2.gz QLCLEIWDLMOAPF-XGWLTEMNSA-N 0 3 305.418 4.134 20 0 DIADHN c1coc([C@H](NCC2Cc3ccccc3C2)c2ccccc2)c1 ZINC000541565903 1039699206 /nfs/dbraw/zinc/69/92/06/1039699206.db2.gz JJPVCKFNXBETQY-OAQYLSRUSA-N 0 3 303.405 4.374 20 0 DIADHN CC(C)COC[C@@H](NCCc1ccc(F)c(F)c1)c1ccco1 ZINC000541626648 1039719462 /nfs/dbraw/zinc/71/94/62/1039719462.db2.gz KSWZPDHXWLBPMM-QGZVFWFLSA-N 0 3 323.383 4.104 20 0 DIADHN COCC[C@H](NCCSc1ccc(F)cc1)c1ccc(C)o1 ZINC000541648229 1039721973 /nfs/dbraw/zinc/72/19/73/1039721973.db2.gz FAIHPGQOCILUAO-INIZCTEOSA-N 0 3 323.433 4.187 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(N(C)C)cc2)cc1Cl ZINC000160535689 1039733617 /nfs/dbraw/zinc/73/36/17/1039733617.db2.gz COAQRECWZMMXDJ-CYBMUJFWSA-N 0 3 318.848 4.265 20 0 DIADHN Cn1cc([C@H](NC/C=C\c2ccc(F)cc2F)C(C)(C)C)cn1 ZINC000541776563 1039740763 /nfs/dbraw/zinc/74/07/63/1039740763.db2.gz ZKZWIWGKRAUKTE-OSJSJHBBSA-N 0 3 319.399 4.089 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2c2ccc(OC(C)C)cc2)no1 ZINC000541844590 1039747421 /nfs/dbraw/zinc/74/74/21/1039747421.db2.gz VJUYPNPATJFGKK-RBUKOAKNSA-N 0 3 314.429 4.196 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(F)ccc21)c1ccc(C(F)F)nc1 ZINC000657857903 1039755507 /nfs/dbraw/zinc/75/55/07/1039755507.db2.gz XCHWDFHATPHOHG-BONVTDFDSA-N 0 3 306.331 4.496 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2ccccc2F)cc1 ZINC000086279362 1039757678 /nfs/dbraw/zinc/75/76/78/1039757678.db2.gz LHHPOISTRHBZTF-AWEZNQCLSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1cccc(Oc2cc(CNCc3cc[nH]c3)ccn2)c1C ZINC000086255524 1039758517 /nfs/dbraw/zinc/75/85/17/1039758517.db2.gz SGSYFZANGLNAIA-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN Cc1ccnc(-c2nnc([C@H](C)[NH2+][C@@H](C)CCC(C)(C)C)[n-]2)c1 ZINC000671300202 1039759748 /nfs/dbraw/zinc/75/97/48/1039759748.db2.gz RLZCKTFJNDILFA-KBPBESRZSA-N 0 3 315.465 4.041 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccnc(N3CCCC3)c2)cc1 ZINC000088678230 1039767328 /nfs/dbraw/zinc/76/73/28/1039767328.db2.gz PDKHFSKCHATTFS-UHFFFAOYSA-N 0 3 323.484 4.170 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(-n3ccnc3)cc2)cc1F ZINC000088511423 1039768098 /nfs/dbraw/zinc/76/80/98/1039768098.db2.gz FMEIVMHPFIKXHS-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCCc3ccc(F)cc32)cn1 ZINC000089213750 1039771145 /nfs/dbraw/zinc/77/11/45/1039771145.db2.gz RLPVQYVUFYSBBE-FZKQIMNGSA-N 0 3 301.409 4.331 20 0 DIADHN CCC[C@@H](C(=O)OCC)N1CCC[C@H](c2ccc(F)cc2)CC1 ZINC001168439672 1039775930 /nfs/dbraw/zinc/77/59/30/1039775930.db2.gz WYQFEJALBPUMHI-YJBOKZPZSA-N 0 3 321.436 4.127 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1ccc([S@](C)=O)cc1 ZINC000090221351 1039776075 /nfs/dbraw/zinc/77/60/75/1039776075.db2.gz WZVOTJYYGBTKEP-JVJLVOBOSA-N 0 3 313.466 4.071 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657860644 1039791360 /nfs/dbraw/zinc/79/13/60/1039791360.db2.gz BJVZCJMZGMQSOW-NEPJUHHUSA-N 0 3 306.356 4.440 20 0 DIADHN CC[C@H](Cc1ccc(C(F)(F)F)cc1)NCc1cscn1 ZINC000657861754 1039794545 /nfs/dbraw/zinc/79/45/45/1039794545.db2.gz WHSJRCSWRCZDSY-CYBMUJFWSA-N 0 3 314.376 4.273 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCOc1ccccc1C(C)C ZINC000925393083 1039818915 /nfs/dbraw/zinc/81/89/15/1039818915.db2.gz NSUZOELNLLGDDG-ZDUSSCGKSA-N 0 3 302.418 4.144 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](C)c2ccc(SC)cc2)cc1 ZINC000090844139 1039819410 /nfs/dbraw/zinc/81/94/10/1039819410.db2.gz MWILDIUXRWQBCN-LIRRHRJNSA-N 0 3 315.482 4.355 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCOc1ccccc1C(C)C ZINC000925393080 1039820063 /nfs/dbraw/zinc/82/00/63/1039820063.db2.gz NSUZOELNLLGDDG-CYBMUJFWSA-N 0 3 302.418 4.144 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@@H](C)c1ccc(F)cn1 ZINC000090881646 1039823918 /nfs/dbraw/zinc/82/39/18/1039823918.db2.gz UKFILDBQFUHYEZ-LBPRGKRZSA-N 0 3 322.811 4.166 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(C[C@H]2CCC3(CCCC3)O2)C1 ZINC000669933646 1039829025 /nfs/dbraw/zinc/82/90/25/1039829025.db2.gz PTYNVQKPVLCINN-HUUCEWRRSA-N 0 3 321.411 4.246 20 0 DIADHN Fc1cccc(F)c1[C@@H]1CCN(C[C@@H]2CCC3(CCCC3)O2)C1 ZINC000669933644 1039831321 /nfs/dbraw/zinc/83/13/21/1039831321.db2.gz PTYNVQKPVLCINN-CABCVRRESA-N 0 3 321.411 4.246 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1C[C@@H]1CCC2(CCCC2)O1 ZINC000669932957 1039833185 /nfs/dbraw/zinc/83/31/85/1039833185.db2.gz WHYFZMIVBRTJQB-RDBSUJKOSA-N 0 3 305.384 4.141 20 0 DIADHN COc1ccccc1[C@H](NCc1ccc(C)nc1)C1CCCC1 ZINC000091287387 1039840513 /nfs/dbraw/zinc/84/05/13/1039840513.db2.gz QTNMOIJPBMNNAD-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN COc1ccccc1[C@@H](NCc1ccc(C)nc1)C1CCCC1 ZINC000091287388 1039842545 /nfs/dbraw/zinc/84/25/45/1039842545.db2.gz QTNMOIJPBMNNAD-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN CC(C)[C@@H](NCc1cccc(OCC(F)F)c1)c1cccnc1 ZINC000091372939 1039845000 /nfs/dbraw/zinc/84/50/00/1039845000.db2.gz ABVIXOYOGYXIPS-GOSISDBHSA-N 0 3 320.383 4.212 20 0 DIADHN Fc1cccc([C@@H](NC2CC2)c2cccc(Br)c2)c1 ZINC000491424391 1039844985 /nfs/dbraw/zinc/84/49/85/1039844985.db2.gz TWYURXWUZDVNJO-INIZCTEOSA-N 0 3 320.205 4.430 20 0 DIADHN CO[C@H]1CCCC[C@@H]1N[C@@H](C)c1nc2ccccc2n1C(F)F ZINC000091348641 1039845711 /nfs/dbraw/zinc/84/57/11/1039845711.db2.gz AYQWFBDFUYQZOX-WHOFXGATSA-N 0 3 323.387 4.040 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc2ccccc2n1C(F)F)[C@@H]1CCCO1 ZINC000091406187 1039851553 /nfs/dbraw/zinc/85/15/53/1039851553.db2.gz QSGHFFBMDIMQCA-HUBLWGQQSA-N 0 3 323.387 4.040 20 0 DIADHN C[C@H](NCC1(CCO)CCCCC1)c1nc2c(s1)CCCC2 ZINC000091734779 1039855580 /nfs/dbraw/zinc/85/55/80/1039855580.db2.gz HEEHXRVDMOQETR-AWEZNQCLSA-N 0 3 322.518 4.005 20 0 DIADHN COc1ccsc1CN1CCC(OC2CCCCC2)CC1 ZINC000092736007 1039880406 /nfs/dbraw/zinc/88/04/06/1039880406.db2.gz XAHYEIUUHMRWAA-UHFFFAOYSA-N 0 3 309.475 4.070 20 0 DIADHN CC[C@@H](CN[C@H](C)c1ccc(F)cn1)Oc1ccccc1Cl ZINC000092766578 1039884858 /nfs/dbraw/zinc/88/48/58/1039884858.db2.gz WSVYZMRCRZLSNN-OCCSQVGLSA-N 0 3 322.811 4.382 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(F)cc32)cc1F ZINC000093115975 1039895765 /nfs/dbraw/zinc/89/57/65/1039895765.db2.gz BVAMCRPFURZRBI-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1c[nH]nc1-c1ccccc1)CCC2 ZINC000093116231 1039896929 /nfs/dbraw/zinc/89/69/29/1039896929.db2.gz NYCQXDNZSCIUGE-IBGZPJMESA-N 0 3 321.399 4.383 20 0 DIADHN CCc1ccc(C2=CCN(CCc3c(C)noc3C)CC2)cc1 ZINC000093263152 1039899700 /nfs/dbraw/zinc/89/97/00/1039899700.db2.gz XNQRZPQFBGAGJE-UHFFFAOYSA-N 0 3 310.441 4.186 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1ncccc1C(F)(F)F ZINC000093870875 1039902626 /nfs/dbraw/zinc/90/26/26/1039902626.db2.gz CMKXEPSQRNLDCM-NSHDSACASA-N 0 3 306.397 4.064 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1ccc(Cl)cc1)c1ccco1 ZINC000151923378 1039909054 /nfs/dbraw/zinc/90/90/54/1039909054.db2.gz RJICHUSZANLXFS-CVEARBPZSA-N 0 3 305.805 4.021 20 0 DIADHN CCSc1cccc(CNCc2c(C)cc(OC)nc2C)c1 ZINC000492061654 1039912230 /nfs/dbraw/zinc/91/22/30/1039912230.db2.gz LHGWYJGUYRTUJO-UHFFFAOYSA-N 0 3 316.470 4.109 20 0 DIADHN CC(C)(NCc1cc(-n2ccnc2)cs1)c1ccccc1F ZINC000492104243 1039917504 /nfs/dbraw/zinc/91/75/04/1039917504.db2.gz KODDDFNERGRVPC-UHFFFAOYSA-N 0 3 315.417 4.098 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cccnc2Cl)C12CCCC2 ZINC000151970943 1039928353 /nfs/dbraw/zinc/92/83/53/1039928353.db2.gz LXVWZIIWFGUADO-AEGPPILISA-N 0 3 308.853 4.123 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H]2CCCn3nccc32)cc1 ZINC000657869208 1039931300 /nfs/dbraw/zinc/93/13/00/1039931300.db2.gz ZKQCSHJWLAIBMT-XJKSGUPXSA-N 0 3 301.459 4.181 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H]2CCCn3nccc32)cc1 ZINC000657869207 1039931362 /nfs/dbraw/zinc/93/13/62/1039931362.db2.gz ZKQCSHJWLAIBMT-CZUORRHYSA-N 0 3 301.459 4.181 20 0 DIADHN CCCc1nc(C)c(CN[C@H](C)Cc2cccc(Cl)c2)o1 ZINC000492221675 1039936084 /nfs/dbraw/zinc/93/60/84/1039936084.db2.gz BUVDJYHLHZZHOT-GFCCVEGCSA-N 0 3 306.837 4.310 20 0 DIADHN CCC[C@@H](O)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000492236534 1039939619 /nfs/dbraw/zinc/93/96/19/1039939619.db2.gz IGXWPTSRTBUFKH-QZTJIDSGSA-N 0 3 321.823 4.319 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cc(C)cc(C(F)(F)F)c1 ZINC001143455797 1039940953 /nfs/dbraw/zinc/94/09/53/1039940953.db2.gz YZLBENALDYZLMA-INIZCTEOSA-N 0 3 315.379 4.405 20 0 DIADHN CC(C)(C)[C@@H](O)CNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000492250855 1039942455 /nfs/dbraw/zinc/94/24/55/1039942455.db2.gz HCWHKCBNWCREJY-KRWDZBQOSA-N 0 3 319.395 4.051 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3ccnc(OC)c3)ccc2c1 ZINC000171140642 1039951063 /nfs/dbraw/zinc/95/10/63/1039951063.db2.gz SMCFUOKXLCADJM-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CCSc1cccc(CNCc2cnc(-c3ccccc3)[nH]2)c1 ZINC000492511162 1039972238 /nfs/dbraw/zinc/97/22/38/1039972238.db2.gz IUTDVVVWZJPDLP-UHFFFAOYSA-N 0 3 323.465 4.479 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H](C)c2cc3ccncc3s2)cn1 ZINC000657877671 1039983890 /nfs/dbraw/zinc/98/38/90/1039983890.db2.gz IBECTEQJDCYXQV-CHWSQXEVSA-N 0 3 314.458 4.486 20 0 DIADHN C[C@@H](N[C@@H](CCCO)c1ccccc1)c1ccc(C(F)F)nc1 ZINC000657886100 1039998692 /nfs/dbraw/zinc/99/86/92/1039998692.db2.gz HNRNCWMZLBGQIG-CJNGLKHVSA-N 0 3 320.383 4.184 20 0 DIADHN Fc1ccc([C@H](NCCc2nccs2)c2ccccc2)cc1 ZINC000171532021 1040003136 /nfs/dbraw/zinc/00/31/36/1040003136.db2.gz BYHVNRDARXMCHJ-GOSISDBHSA-N 0 3 312.413 4.204 20 0 DIADHN Fc1ccc([C@@H](NCCc2nccs2)c2ccccc2)cc1 ZINC000171532017 1040004569 /nfs/dbraw/zinc/00/45/69/1040004569.db2.gz BYHVNRDARXMCHJ-SFHVURJKSA-N 0 3 312.413 4.204 20 0 DIADHN COc1ccc([C@H](NCCc2nccs2)c2ccccc2)cc1 ZINC000171532115 1040005822 /nfs/dbraw/zinc/00/58/22/1040005822.db2.gz QFKKZEVMYADFIZ-LJQANCHMSA-N 0 3 324.449 4.073 20 0 DIADHN CC[C@H](NCc1ccc(C)c(Cl)c1)c1c(C)nn(C)c1C ZINC000443999243 1040019951 /nfs/dbraw/zinc/01/99/51/1040019951.db2.gz YACBOJNTQOOLTM-INIZCTEOSA-N 0 3 305.853 4.240 20 0 DIADHN Fc1ccc(/C=C/CN2CCC(c3ccccn3)CC2)cc1F ZINC000685411056 1040033837 /nfs/dbraw/zinc/03/38/37/1040033837.db2.gz NPVLZTARRBYNKQ-ONEGZZNKSA-N 0 3 314.379 4.253 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccccc1C1CC1)c1ccccc1 ZINC000685429654 1040045770 /nfs/dbraw/zinc/04/57/70/1040045770.db2.gz ZGRWWMFNIKHVDH-FQEVSTJZSA-N 0 3 309.453 4.413 20 0 DIADHN CC(C)CCn1cccc1CN1CCC(c2ccncc2)CC1 ZINC000685452467 1040060855 /nfs/dbraw/zinc/06/08/55/1040060855.db2.gz MQJVYNKCIRXUAT-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN CC(C)(C)c1ncc(CN2CCC[C@H](C[C@@H]3CCOC3)C2)s1 ZINC000685464060 1040062574 /nfs/dbraw/zinc/06/25/74/1040062574.db2.gz CEAAJLKIRREQLO-CABCVRRESA-N 0 3 322.518 4.079 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1ccccc1F ZINC000685463891 1040064501 /nfs/dbraw/zinc/06/45/01/1040064501.db2.gz XYDDBZJHYBUHBY-QGZVFWFLSA-N 0 3 301.409 4.283 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C/C=C\c1ccc(F)c(F)c1 ZINC000685470090 1040066112 /nfs/dbraw/zinc/06/61/12/1040066112.db2.gz TZYDDPJKKNURIM-PYTPGESOSA-N 0 3 302.368 4.456 20 0 DIADHN CC[C@@H](c1ccncc1)N(C)Cc1ccc2c(c1)CC(C)(C)O2 ZINC000685470005 1040067679 /nfs/dbraw/zinc/06/76/79/1040067679.db2.gz DUTYYAWUAJUVLG-SFHVURJKSA-N 0 3 310.441 4.378 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@@H](C)c2nccn2-c2ccccc2)CS1 ZINC000685472087 1040071147 /nfs/dbraw/zinc/07/11/47/1040071147.db2.gz NHEMJRNDVBSVPL-ZMSDIMECSA-N 0 3 315.486 4.053 20 0 DIADHN CCC[C@@H](CCc1ccccc1)NCc1noc2c1CCCC2 ZINC000685473937 1040072420 /nfs/dbraw/zinc/07/24/20/1040072420.db2.gz UPZVWGJFFWQDAT-KRWDZBQOSA-N 0 3 312.457 4.445 20 0 DIADHN CC(C)[C@@H](O)CCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000493062510 1040077148 /nfs/dbraw/zinc/07/71/48/1040077148.db2.gz SZQDPSOKVOCGKO-SFHVURJKSA-N 0 3 319.395 4.051 20 0 DIADHN Fc1cccc(Cl)c1CN[C@H]1CCOC2(CCCCC2)C1 ZINC000136737172 1040086583 /nfs/dbraw/zinc/08/65/83/1040086583.db2.gz PDCHFMLOSMBUFG-ZDUSSCGKSA-N 0 3 311.828 4.451 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1CCOc1ccc(OC)cc1 ZINC000302859143 1040089941 /nfs/dbraw/zinc/08/99/41/1040089941.db2.gz UYACBQVMOYGEEX-QGZVFWFLSA-N 0 3 317.454 4.145 20 0 DIADHN CC[C@H](N[C@H]1CCCSCC1)c1nc(C(F)(F)F)cs1 ZINC000657901475 1040095820 /nfs/dbraw/zinc/09/58/20/1040095820.db2.gz YJIMUBJSQVMNBS-UWVGGRQHSA-N 0 3 324.437 4.488 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1ccc(-c2cccnc2)cc1 ZINC000657899905 1040099137 /nfs/dbraw/zinc/09/91/37/1040099137.db2.gz YFKBMKFEEVBPQS-KXBFYZLASA-N 0 3 318.424 4.131 20 0 DIADHN COc1ccc2cc(CN(C)[C@H](C)c3ccccn3)ccc2c1 ZINC000176989327 1040102435 /nfs/dbraw/zinc/10/24/35/1040102435.db2.gz MVHFZKFBVBEQQU-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CC[C@H](O)CCN[C@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493132519 1040103940 /nfs/dbraw/zinc/10/39/40/1040103940.db2.gz SNMPMMRSKUXCCE-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN CC(C)COc1ccc([C@H](C)N[C@H]2CCCn3nccc32)cc1 ZINC000657905583 1040106956 /nfs/dbraw/zinc/10/69/56/1040106956.db2.gz SEIAAVODZMKDGA-YJBOKZPZSA-N 0 3 313.445 4.104 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1cccc(C)c1)c1ccc(F)cn1 ZINC000493174803 1040117506 /nfs/dbraw/zinc/11/75/06/1040117506.db2.gz JVISYBQPMCNWMV-RHSMWYFYSA-N 0 3 302.393 4.037 20 0 DIADHN COc1ccc([C@@H](C)NCCC=C(C)C)c(Br)c1 ZINC001168448340 1040131132 /nfs/dbraw/zinc/13/11/32/1040131132.db2.gz OBGVHMABWIURAC-GFCCVEGCSA-N 0 3 312.251 4.465 20 0 DIADHN C[C@@H](N[C@@H](CC1CCCCC1)c1ccccc1)c1cnnn1C ZINC000925405042 1040136020 /nfs/dbraw/zinc/13/60/20/1040136020.db2.gz UCUBSSLOJXLJEL-QAPCUYQASA-N 0 3 312.461 4.177 20 0 DIADHN C[C@H](N[C@@H](CC1CCCCC1)c1ccccc1)c1cnnn1C ZINC000925405043 1040136728 /nfs/dbraw/zinc/13/67/28/1040136728.db2.gz UCUBSSLOJXLJEL-YJBOKZPZSA-N 0 3 312.461 4.177 20 0 DIADHN Cc1nc(C)c(CN2CCC(C)(c3cccc(Cl)c3)CC2)o1 ZINC000685580348 1040141387 /nfs/dbraw/zinc/14/13/87/1040141387.db2.gz QWZSNNLAUOQUSG-UHFFFAOYSA-N 0 3 318.848 4.499 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1nnc(-c2ccccc2Cl)o1 ZINC000177858688 1040145985 /nfs/dbraw/zinc/14/59/85/1040145985.db2.gz YCNQRBCGURSYBD-GFCCVEGCSA-N 0 3 307.825 4.258 20 0 DIADHN CC(C)(NCc1cc(O)cc(C(F)(F)F)c1)c1ccccc1 ZINC000685614066 1040154673 /nfs/dbraw/zinc/15/46/73/1040154673.db2.gz QHXYHSYAWUYFIF-UHFFFAOYSA-N 0 3 309.331 4.436 20 0 DIADHN Cc1cn[nH]c1CN[C@H](c1ccccc1)c1cccc(Cl)c1 ZINC000685614128 1040156017 /nfs/dbraw/zinc/15/60/17/1040156017.db2.gz NSGBIHDHEAWEAS-GOSISDBHSA-N 0 3 311.816 4.251 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CNCc1ccoc1C ZINC000685613891 1040156237 /nfs/dbraw/zinc/15/62/37/1040156237.db2.gz QOUNTINDHUCHKE-XFXZXTDPSA-N 0 3 307.340 4.383 20 0 DIADHN Cc1cn[nH]c1CNCc1ccc(C)cc1Oc1ccccc1 ZINC000685618071 1040161617 /nfs/dbraw/zinc/16/16/17/1040161617.db2.gz YNPGNCILNRAJEE-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H](C)c1cc2ccncc2s1 ZINC000657922652 1040175450 /nfs/dbraw/zinc/17/54/50/1040175450.db2.gz LWLJAQXRGCEFCB-DGCLKSJQSA-N 0 3 318.467 4.050 20 0 DIADHN Cc1c(CN[C@H](c2ccc3c(c2)CCCC3)C(C)C)cnn1C ZINC000657930717 1040186809 /nfs/dbraw/zinc/18/68/09/1040186809.db2.gz FBAAXMWUFOGQNY-FQEVSTJZSA-N 0 3 311.473 4.094 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000685663970 1040204516 /nfs/dbraw/zinc/20/45/16/1040204516.db2.gz FIRWZOWWGROTCT-KHQFGBGNSA-N 0 3 308.274 4.445 20 0 DIADHN CCC(CC)[C@@H](NCc1cc(OC)ns1)c1ccccc1 ZINC000399336673 1040223406 /nfs/dbraw/zinc/22/34/06/1040223406.db2.gz XWYXOZYGMNDOQZ-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN COCC[C@@H](N[C@@H](C)c1cc2ccncc2s1)c1ccco1 ZINC000657970734 1040228986 /nfs/dbraw/zinc/22/89/86/1040228986.db2.gz FWKDFCICYUWLNZ-GXTWGEPZSA-N 0 3 316.426 4.318 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3nnc(-c4ccco4)o3)C2)cc1 ZINC000278782653 1040230424 /nfs/dbraw/zinc/23/04/24/1040230424.db2.gz XLMVUXZFXNBXEG-ZBFHGGJFSA-N 0 3 323.396 4.016 20 0 DIADHN CCN(Cc1cccc(NC(C)=O)c1)[C@@H](C)c1ccc(F)cc1 ZINC000101545008 1040231591 /nfs/dbraw/zinc/23/15/91/1040231591.db2.gz HIORQUYNQVUXPR-AWEZNQCLSA-N 0 3 314.404 4.367 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2ccco2)C2CCCC2)c1 ZINC000101545044 1040231915 /nfs/dbraw/zinc/23/19/15/1040231915.db2.gz PITDHVKOHJFFRK-UHFFFAOYSA-N 0 3 312.413 4.183 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000657993773 1040255491 /nfs/dbraw/zinc/25/54/91/1040255491.db2.gz MAYWYZFHANDQSF-LIRRHRJNSA-N 0 3 310.397 4.256 20 0 DIADHN C[C@H](NCc1ccc2c(n1)CCCC2)c1cc2ccncc2s1 ZINC000657994224 1040255926 /nfs/dbraw/zinc/25/59/26/1040255926.db2.gz QRIVFVDKZCHFGN-ZDUSSCGKSA-N 0 3 323.465 4.421 20 0 DIADHN C[C@H](N[C@@H](C)C(C)(C)C(F)F)c1nccn1-c1ccccc1 ZINC000658016620 1040262027 /nfs/dbraw/zinc/26/20/27/1040262027.db2.gz XPZUHXBWQMFUCN-STQMWFEESA-N 0 3 307.388 4.203 20 0 DIADHN CCC(C)(C)[C@H](C)N[C@H](C)c1ncc(Br)s1 ZINC000658113957 1040305745 /nfs/dbraw/zinc/30/57/45/1040305745.db2.gz JWUIYIBOIBRJQE-BDAKNGLRSA-N 0 3 305.285 4.381 20 0 DIADHN C[C@H]1C[C@H](NC2CCC(C3CCCCC3)CC2)c2ncnn21 ZINC000658099502 1040306198 /nfs/dbraw/zinc/30/61/98/1040306198.db2.gz CKITYXUOEPJODH-LYAPFXNSSA-N 0 3 302.466 4.013 20 0 DIADHN Cc1nc([C@H](C)NCCc2ccnc3ccccc23)c(C)s1 ZINC000658129587 1040314249 /nfs/dbraw/zinc/31/42/49/1040314249.db2.gz UINSOIYWSGLFLP-LBPRGKRZSA-N 0 3 311.454 4.201 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccnc2N2CCCC2)c2ccccc21 ZINC000658105239 1040314919 /nfs/dbraw/zinc/31/49/19/1040314919.db2.gz GVQRAGZQEUWSCI-DNVCBOLYSA-N 0 3 307.441 4.020 20 0 DIADHN Cc1ccncc1CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000658125681 1040323991 /nfs/dbraw/zinc/32/39/91/1040323991.db2.gz JNBADROCSJLATQ-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN CC[C@@H](N[C@@H](COC)c1cccc(OC)c1)c1cccc(C)c1 ZINC000658159689 1040332331 /nfs/dbraw/zinc/33/23/31/1040332331.db2.gz CBPCEMVHGOPBSM-UXHICEINSA-N 0 3 313.441 4.432 20 0 DIADHN CC[C@@H](N[C@H](COC)c1cccc(OC)c1)c1cccc(C)c1 ZINC000658159691 1040332752 /nfs/dbraw/zinc/33/27/52/1040332752.db2.gz CBPCEMVHGOPBSM-WOJBJXKFSA-N 0 3 313.441 4.432 20 0 DIADHN CC[C@H](N[C@H](COC)c1cccc(OC)c1)c1cccs1 ZINC000658162152 1040334798 /nfs/dbraw/zinc/33/47/98/1040334798.db2.gz BYIVOJXEFAWQKY-JKSUJKDBSA-N 0 3 305.443 4.185 20 0 DIADHN COC[C@@H](N[C@@H](C)c1cc(C)ccc1C)c1cccc(OC)c1 ZINC000658163919 1040335340 /nfs/dbraw/zinc/33/53/40/1040335340.db2.gz LWOSYFWUDMMWGZ-OXJNMPFZSA-N 0 3 313.441 4.350 20 0 DIADHN CC[C@@H](N[C@H](COC)c1cccc(OC)c1)c1ccc(C)cc1 ZINC000658165517 1040337787 /nfs/dbraw/zinc/33/77/87/1040337787.db2.gz QWYAKFGUKCDIJU-WOJBJXKFSA-N 0 3 313.441 4.432 20 0 DIADHN COC[C@H](N[C@H](C)c1sccc1C)c1cccc(OC)c1 ZINC000658164158 1040339454 /nfs/dbraw/zinc/33/94/54/1040339454.db2.gz MTTUEXMOVAXCCE-CJNGLKHVSA-N 0 3 305.443 4.103 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1cc(C)cc(C)c1)CC2 ZINC000658176404 1040341531 /nfs/dbraw/zinc/34/15/31/1040341531.db2.gz KDGBDCGHNGXAFU-SFHVURJKSA-N 0 3 311.425 4.098 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2NCc1c(C2CC2)cnn1C ZINC000658213015 1040354125 /nfs/dbraw/zinc/35/41/25/1040354125.db2.gz NFXKZJDHMSPZOO-GOSISDBHSA-N 0 3 309.457 4.082 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCC[C@H]3C[C@H]32)c2ccccn2)cc1 ZINC000658207558 1040354835 /nfs/dbraw/zinc/35/48/35/1040354835.db2.gz VIJLINJMAWJUGK-NEOGFGCTSA-N 0 3 322.452 4.205 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(F)c2ccccc21 ZINC000658211033 1040357261 /nfs/dbraw/zinc/35/72/61/1040357261.db2.gz BPBUXEPGBZZSEL-CYBMUJFWSA-N 0 3 323.415 4.441 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCC[C@H]3C[C@H]32)c2ccccc2)nc1 ZINC000658208156 1040357724 /nfs/dbraw/zinc/35/77/24/1040357724.db2.gz YIDIKMXFSMHTKQ-JSFADZTLSA-N 0 3 310.416 4.336 20 0 DIADHN COCc1csc(CN[C@@H](C)c2nccc3ccccc32)c1 ZINC000658208959 1040358045 /nfs/dbraw/zinc/35/80/45/1040358045.db2.gz AIRCPGZZMQVKFN-ZDUSSCGKSA-N 0 3 312.438 4.294 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc(OC)cc2C)c1 ZINC000658211051 1040358490 /nfs/dbraw/zinc/35/84/90/1040358490.db2.gz ZVXXJETZUSLAPO-ZDUSSCGKSA-N 0 3 305.443 4.062 20 0 DIADHN C[C@@]1(CCN[C@@H](c2ccc(F)cc2)c2ccccn2)CC1(F)F ZINC000658219929 1040361618 /nfs/dbraw/zinc/36/16/18/1040361618.db2.gz KHTRNCJLOGJAIR-DLBZAZTESA-N 0 3 320.358 4.335 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccc(F)cc1Br ZINC000658220558 1040364716 /nfs/dbraw/zinc/36/47/16/1040364716.db2.gz QNDMDSSTKIUFQY-UFBFGSQYSA-N 0 3 322.168 4.284 20 0 DIADHN CCCCc1ccc([C@@H](C)NCc2c(C3CC3)cnn2C)cc1 ZINC000658214224 1040371457 /nfs/dbraw/zinc/37/14/57/1040371457.db2.gz XLVQFHJLBODSQK-OAHLLOKOSA-N 0 3 311.473 4.491 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H](CC1CCC1)c1ccccc1 ZINC000658214067 1040373105 /nfs/dbraw/zinc/37/31/05/1040373105.db2.gz WCBOYJCDEGXZRT-IBGZPJMESA-N 0 3 309.457 4.319 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@H](CC1CCC1)c1ccccc1 ZINC000658214069 1040373486 /nfs/dbraw/zinc/37/34/86/1040373486.db2.gz WCBOYJCDEGXZRT-LJQANCHMSA-N 0 3 309.457 4.319 20 0 DIADHN Cc1cc([C@@H](NC[C@]2(C)CC2(F)F)c2cccnc2)ccc1F ZINC000658216427 1040376036 /nfs/dbraw/zinc/37/60/36/1040376036.db2.gz BTFJSSKMGFSKNI-SJORKVTESA-N 0 3 320.358 4.253 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(F)F)c1cc(Br)ccc1F ZINC000658217560 1040376856 /nfs/dbraw/zinc/37/68/56/1040376856.db2.gz GKGQDOHCNBHTEP-QPUJVOFHSA-N 0 3 322.168 4.284 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H]1CCc2ccc(O)cc21 ZINC000658235407 1040378164 /nfs/dbraw/zinc/37/81/64/1040378164.db2.gz DDPKHKBETURQIW-RBUKOAKNSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1c(C2CCC2)cnn1C ZINC000658268205 1040394676 /nfs/dbraw/zinc/39/46/76/1040394676.db2.gz KUXKHJBJIKWQEI-KBXCAEBGSA-N 0 3 309.457 4.334 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1c(C2CCC2)cnn1C ZINC000658269592 1040401008 /nfs/dbraw/zinc/40/10/08/1040401008.db2.gz WBBIEPICKAWRII-VLIAUNLRSA-N 0 3 309.457 4.101 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000658287903 1040405130 /nfs/dbraw/zinc/40/51/30/1040405130.db2.gz KXFZPFSNCLVSPS-JQFCIGGWSA-N 0 3 318.486 4.169 20 0 DIADHN COc1cccc([C@H](C)N[C@H](c2ccccc2)[C@@H](O)C(C)C)c1 ZINC000658287710 1040407226 /nfs/dbraw/zinc/40/72/26/1040407226.db2.gz JNIWJBQZXBKHMP-BEVDRBHNSA-N 0 3 313.441 4.104 20 0 DIADHN Cc1cc([C@@H](NCCCc2ccco2)c2cccnc2)ccc1F ZINC000658324986 1040422425 /nfs/dbraw/zinc/42/24/25/1040422425.db2.gz ISCHEHVBMHDNCO-HXUWFJFHSA-N 0 3 324.399 4.434 20 0 DIADHN Cc1cccc2c1CCC[C@H]2N[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000658340428 1040431842 /nfs/dbraw/zinc/43/18/42/1040431842.db2.gz YVXLPGLIVZIHCT-RTBURBONSA-N 0 3 324.472 4.402 20 0 DIADHN Cc1cccc2c1CCC[C@H]2[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000658340428 1040431848 /nfs/dbraw/zinc/43/18/48/1040431848.db2.gz YVXLPGLIVZIHCT-RTBURBONSA-N 0 3 324.472 4.402 20 0 DIADHN COc1cccc(CN[C@@H](C)c2nccc3ccccc32)c1C ZINC000658346064 1040433030 /nfs/dbraw/zinc/43/30/30/1040433030.db2.gz BWZMTFGTNLLTRK-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1cccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)c1C ZINC000658352285 1040433429 /nfs/dbraw/zinc/43/34/29/1040433429.db2.gz HINMSFHPTYEORT-ZDUSSCGKSA-N 0 3 321.367 4.456 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1cccc(OC)c1C)CCC2 ZINC000658354068 1040437806 /nfs/dbraw/zinc/43/78/06/1040437806.db2.gz SDOSMMBTULKXEK-AWEZNQCLSA-N 0 3 316.470 4.190 20 0 DIADHN C[C@@H](O)[C@@H](N[C@@H](C)c1ccc(OC(F)F)cc1)c1ccccc1 ZINC000658362116 1040441867 /nfs/dbraw/zinc/44/18/67/1040441867.db2.gz PDLOXCHUBFSBCX-OGHNNQOOSA-N 0 3 321.367 4.061 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1)c1ccc(C(C)(C)O)cc1 ZINC000924529586 1040455687 /nfs/dbraw/zinc/45/56/87/1040455687.db2.gz QZPGMZTVNDTXRB-CYBMUJFWSA-N 0 3 303.833 4.418 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C)cc(C(F)(F)F)c2)[C@H](C)C1 ZINC001143463426 1040556828 /nfs/dbraw/zinc/55/68/28/1040556828.db2.gz ZMGCPVOGBGCDBV-IUODEOHRSA-N 0 3 301.352 4.013 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H]1CCCc2[nH]c(=O)ccc21 ZINC000892489544 1040573389 /nfs/dbraw/zinc/57/33/89/1040573389.db2.gz BCUURJCIGLORRS-HNNXBMFYSA-N 0 3 314.429 4.153 20 0 DIADHN Cc1nnc(CCN[C@H](C)c2ccc(Cl)cc2Cl)s1 ZINC000289690669 1040605981 /nfs/dbraw/zinc/60/59/81/1040605981.db2.gz ICIAZQPMBRBGKC-MRVPVSSYSA-N 0 3 316.257 4.047 20 0 DIADHN CC(C)CCn1cccc1CNCc1cccc(OC(F)F)c1 ZINC000236640240 1040616848 /nfs/dbraw/zinc/61/68/48/1040616848.db2.gz UJYZIDRPZUJUHY-UHFFFAOYSA-N 0 3 322.399 4.425 20 0 DIADHN CCOC(=O)c1sc([C@@H](C)NCCC2CCCCC2)nc1C ZINC000612525285 1040628056 /nfs/dbraw/zinc/62/80/56/1040628056.db2.gz YIKHAVTXQJAALO-CYBMUJFWSA-N 0 3 324.490 4.249 20 0 DIADHN Cc1nnc(CCN[C@@H](C)c2cc(Cl)ccc2Cl)s1 ZINC000289695994 1040637489 /nfs/dbraw/zinc/63/74/89/1040637489.db2.gz IKAKIZJBRZEMFF-QMMMGPOBSA-N 0 3 316.257 4.047 20 0 DIADHN Cc1nc(CNCC2(c3ccc(F)cc3)CCCCC2)[nH]c1C ZINC001648924570 1040643831 /nfs/dbraw/zinc/64/38/31/1040643831.db2.gz HWGCJRZGNDHMQF-UHFFFAOYSA-N 0 3 315.436 4.157 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2C(C)C)c(Br)c1 ZINC001140760981 1040701963 /nfs/dbraw/zinc/70/19/63/1040701963.db2.gz ZIIJYBJPHIAPFT-OAHLLOKOSA-N 0 3 312.251 4.078 20 0 DIADHN Brc1cnc2c(c1)[C@@H](NCc1cccs1)CCC2 ZINC000924556890 1040700727 /nfs/dbraw/zinc/70/07/27/1040700727.db2.gz IPXINTRKDBUAEB-AWEZNQCLSA-N 0 3 323.259 4.073 20 0 DIADHN C[C@@H](NCCCSc1ccccc1)c1cn2c(n1)CCCC2 ZINC000924557110 1040704755 /nfs/dbraw/zinc/70/47/55/1040704755.db2.gz JFXNYCFXJMBADR-OAHLLOKOSA-N 0 3 315.486 4.052 20 0 DIADHN CC(C)(NCc1cnc(-c2ccncc2)s1)c1ccccc1 ZINC000557428821 1040715424 /nfs/dbraw/zinc/71/54/24/1040715424.db2.gz MTJDEJFPJWIACR-UHFFFAOYSA-N 0 3 309.438 4.230 20 0 DIADHN CC(C)CC1(C(=O)Nc2ccc(CN(C)C)cc2F)CCC1 ZINC000413942587 1040720639 /nfs/dbraw/zinc/72/06/39/1040720639.db2.gz WVWAZVFELPZOLK-UHFFFAOYSA-N 0 3 306.425 4.042 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000924560792 1040735539 /nfs/dbraw/zinc/73/55/39/1040735539.db2.gz ZIJLYYYGWNFRKS-HUUCEWRRSA-N 0 3 313.441 4.334 20 0 DIADHN Cc1cn[nH]c1CN(Cc1ccc(Cl)c(Cl)c1)C(C)C ZINC000685834650 1040769899 /nfs/dbraw/zinc/76/98/99/1040769899.db2.gz VEVMZMQDQNRZDI-UHFFFAOYSA-N 0 3 312.244 4.436 20 0 DIADHN Cc1cn[nH]c1CN1Cc2ccccc2C[C@H]1c1ccccc1 ZINC000685837976 1040775697 /nfs/dbraw/zinc/77/56/97/1040775697.db2.gz RVPWLEWXHTWMLK-FQEVSTJZSA-N 0 3 303.409 4.018 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)c(C)c1 ZINC000414065433 1040809893 /nfs/dbraw/zinc/80/98/93/1040809893.db2.gz OPTYJZQFNZLHSY-KBPBESRZSA-N 0 3 313.397 4.144 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccccc2)s1)[C@@H]1CC1(C)C ZINC000414084690 1040836848 /nfs/dbraw/zinc/83/68/48/1040836848.db2.gz FQSAUEANUHTWBV-UONOGXRCSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@@H](NCC(C)(C)c1cccc(Cl)c1)c1cc2n(n1)CCC2 ZINC000414084058 1040839013 /nfs/dbraw/zinc/83/90/13/1040839013.db2.gz WULJMOHTOLLGPB-CYBMUJFWSA-N 0 3 317.864 4.111 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](C)c1cc2n(n1)CCC2 ZINC000414095305 1040850202 /nfs/dbraw/zinc/85/02/02/1040850202.db2.gz HJKCJJDJJSEELI-LSDHHAIUSA-N 0 3 315.486 4.051 20 0 DIADHN Cc1ccc([C@H](NCC[C@H]2CC2(F)F)c2ccccn2)cc1 ZINC000926735492 1040853448 /nfs/dbraw/zinc/85/34/48/1040853448.db2.gz FUEPREMUAKDWRA-RDJZCZTQSA-N 0 3 302.368 4.114 20 0 DIADHN Cc1ccc([C@@H](NCC[C@H]2CC2(F)F)c2ccccn2)cc1 ZINC000926735482 1040854912 /nfs/dbraw/zinc/85/49/12/1040854912.db2.gz FUEPREMUAKDWRA-DOTOQJQBSA-N 0 3 302.368 4.114 20 0 DIADHN CO[C@@H]1CCC[C@@H]1CN[C@@H](C)c1csc(-c2ccccc2)n1 ZINC000414095739 1040855093 /nfs/dbraw/zinc/85/50/93/1040855093.db2.gz HRCFOPXMUQNHGI-YSVLISHTSA-N 0 3 316.470 4.276 20 0 DIADHN C[C@H](NCC[C@@H]1CCCCO1)c1csc(-c2ccccc2)n1 ZINC000414099903 1040857438 /nfs/dbraw/zinc/85/74/38/1040857438.db2.gz ABEAEEMWGSADLR-HOCLYGCPSA-N 0 3 316.470 4.420 20 0 DIADHN C[C@H](NCC1(Sc2ccccc2)CCCC1)c1ccn(C)n1 ZINC000414109851 1040877412 /nfs/dbraw/zinc/87/74/12/1040877412.db2.gz HQCJNAVYIVOQRQ-HNNXBMFYSA-N 0 3 315.486 4.176 20 0 DIADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1csc(-c2ccccc2)n1 ZINC000414114859 1040879172 /nfs/dbraw/zinc/87/91/72/1040879172.db2.gz ZZUPDEHVKUKERP-UKRRQHHQSA-N 0 3 316.470 4.418 20 0 DIADHN C[C@H](N[C@H]1CCCSC1)c1csc(-c2ccccc2)n1 ZINC000414115196 1040882640 /nfs/dbraw/zinc/88/26/40/1040882640.db2.gz PFJGJPOXMXAGAS-JSGCOSHPSA-N 0 3 304.484 4.356 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](C)c2csc(-c3ccccc3)n2)C1 ZINC000414123599 1040890362 /nfs/dbraw/zinc/89/03/62/1040890362.db2.gz BMVAKXYOKYVTTC-BPLDGKMQSA-N 0 3 302.443 4.028 20 0 DIADHN C[C@@H](N[C@@H](CCO)C(C)(C)C)c1csc(-c2ccccc2)n1 ZINC000414144966 1040922506 /nfs/dbraw/zinc/92/25/06/1040922506.db2.gz UJBVLILFEDBKEN-CJNGLKHVSA-N 0 3 318.486 4.258 20 0 DIADHN CC(C)O[C@H]1C[C@@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000414150439 1040929012 /nfs/dbraw/zinc/92/90/12/1040929012.db2.gz UZWQYIZVHUWYNA-BMFZPTHFSA-N 0 3 316.470 4.417 20 0 DIADHN C[C@@H]1CCN(Cc2nc(-c3ccsc3)no2)[C@@H]2CCCC[C@@H]12 ZINC000613415657 1040930367 /nfs/dbraw/zinc/93/03/67/1040930367.db2.gz JCCYIHHOBQDFCI-VHDGCEQUSA-N 0 3 317.458 4.199 20 0 DIADHN C[C@@H](NCCCCc1ccc(Cl)s1)c1cc2n(n1)CCC2 ZINC000414157834 1040938152 /nfs/dbraw/zinc/93/81/52/1040938152.db2.gz WTOFPCNFTVVRIF-GFCCVEGCSA-N 0 3 323.893 4.218 20 0 DIADHN COc1ccc2cc([C@H](C)NCc3c(C)nn(C)c3C)ccc2c1 ZINC000174031002 1040939453 /nfs/dbraw/zinc/93/94/53/1040939453.db2.gz LATCGBUJGVKNQD-ZDUSSCGKSA-N 0 3 323.440 4.050 20 0 DIADHN CCCC[C@@H](NCc1c(C)nn(C)c1C)c1ccc(F)cc1 ZINC000174024322 1040943080 /nfs/dbraw/zinc/94/30/80/1040943080.db2.gz AOEVGHKTUGICBW-GOSISDBHSA-N 0 3 303.425 4.197 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cccc2Br)c1ccncc1 ZINC000174048928 1040943457 /nfs/dbraw/zinc/94/34/57/1040943457.db2.gz ORFCWYKARCMNRM-BZNIZROVSA-N 0 3 317.230 4.182 20 0 DIADHN Cc1cccc(CN2CCC(Oc3ccc(F)cc3F)CC2)c1 ZINC000613447522 1040958293 /nfs/dbraw/zinc/95/82/93/1040958293.db2.gz WHEQNLMLXYGXKR-UHFFFAOYSA-N 0 3 317.379 4.317 20 0 DIADHN CCC1CCC(N(CC(=O)Nc2ccccc2F)C2CC2)CC1 ZINC000613472464 1040979090 /nfs/dbraw/zinc/97/90/90/1040979090.db2.gz CFEDELKNRIQISY-UHFFFAOYSA-N 0 3 318.436 4.197 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@H]1COc2ccccc2O1 ZINC000613487055 1040998744 /nfs/dbraw/zinc/99/87/44/1040998744.db2.gz WBVQNHPDPKSKET-CJNGLKHVSA-N 0 3 317.816 4.173 20 0 DIADHN C[N@H+](Cc1cc([O-])cc(Cl)c1)C12CC3CC(CC(C3)C1)C2 ZINC001140794227 1041001063 /nfs/dbraw/zinc/00/10/63/1041001063.db2.gz DBHFNJMPCSIGNT-UHFFFAOYSA-N 0 3 305.849 4.446 20 0 DIADHN C[N@@H+](Cc1cc([O-])cc(Cl)c1)C12CC3CC(CC(C3)C1)C2 ZINC001140794227 1041001079 /nfs/dbraw/zinc/00/10/79/1041001079.db2.gz DBHFNJMPCSIGNT-UHFFFAOYSA-N 0 3 305.849 4.446 20 0 DIADHN FC1(F)CC[C@@H](NCc2ccc(Br)cc2Cl)C1 ZINC000310575599 1041007722 /nfs/dbraw/zinc/00/77/22/1041007722.db2.gz PPDJAUKKKFRUFR-SNVBAGLBSA-N 0 3 324.596 4.380 20 0 DIADHN CC1(C)CC[C@H]1NCc1ccc(Cl)cc1Br ZINC000309435065 1041007816 /nfs/dbraw/zinc/00/78/16/1041007816.db2.gz MNVVPGDCTZDGGF-GFCCVEGCSA-N 0 3 302.643 4.381 20 0 DIADHN COCC[C@@H](C)CN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000414214101 1041011008 /nfs/dbraw/zinc/01/10/08/1041011008.db2.gz YSSBHPBYPMTVJV-FOIQADDNSA-N 0 3 324.424 4.179 20 0 DIADHN Cc1ccc(OCCN2C[C@@H](C(F)(F)F)CC[C@H]2C)cc1 ZINC000780385884 1041018755 /nfs/dbraw/zinc/01/87/55/1041018755.db2.gz VMSOKYMSFIHSMZ-KGLIPLIRSA-N 0 3 301.352 4.037 20 0 DIADHN CC(C)C(=O)CCN(Cc1cccc(-c2cccnc2)c1)C1CC1 ZINC000808737546 1041019932 /nfs/dbraw/zinc/01/99/32/1041019932.db2.gz CYBKEQGTALPOLX-UHFFFAOYSA-N 0 3 322.452 4.328 20 0 DIADHN CC1(C)CN(Cc2cncc3ccccc32)Cc2ccccc2O1 ZINC000808791017 1041028003 /nfs/dbraw/zinc/02/80/03/1041028003.db2.gz DSVPWULICMBKPK-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN COc1ccccc1OCCCNCc1cc(Cl)ccc1F ZINC001649139117 1041030593 /nfs/dbraw/zinc/03/05/93/1041030593.db2.gz RUOWWENTVMGKIZ-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CCOC(CN[C@@H](C)c1ccc(F)c2ccccc21)OCC ZINC000808768626 1041031704 /nfs/dbraw/zinc/03/17/04/1041031704.db2.gz NVTBZCNTIDWPNS-ZDUSSCGKSA-N 0 3 305.393 4.029 20 0 DIADHN CCC[C@H](NCC(=O)N[C@@H](C)c1cccs1)c1ccccc1 ZINC000170767725 1041036845 /nfs/dbraw/zinc/03/68/45/1041036845.db2.gz NYYYRDAJMBYUTL-HOCLYGCPSA-N 0 3 316.470 4.056 20 0 DIADHN C[C@H](Oc1cccc2c1CNC2)c1ccc(Br)cc1 ZINC001218217287 1041055907 /nfs/dbraw/zinc/05/59/07/1041055907.db2.gz VTAVLKIJPDBXMG-NSHDSACASA-N 0 3 318.214 4.192 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2cn3ccnc3s2)c1 ZINC000892590833 1041068058 /nfs/dbraw/zinc/06/80/58/1041068058.db2.gz XUIXVIPGGCKWKE-ZDUSSCGKSA-N 0 3 315.442 4.034 20 0 DIADHN Cc1nn(-c2cccc(F)c2)cc1CN[C@@H]1CCc2ccccc21 ZINC000414289268 1041083785 /nfs/dbraw/zinc/08/37/85/1041083785.db2.gz VTDMUHMTQCAJBD-HXUWFJFHSA-N 0 3 321.399 4.097 20 0 DIADHN Brc1cnccc1CN1CC[C@H](C2CCCCC2)C1 ZINC000708847827 1041089659 /nfs/dbraw/zinc/08/96/59/1041089659.db2.gz VIHSAHVESRLEFE-AWEZNQCLSA-N 0 3 323.278 4.246 20 0 DIADHN CC[C@@H](NCC[S@@](=O)C(C)(C)C)c1cccc(Cl)c1F ZINC000161697173 1041119072 /nfs/dbraw/zinc/11/90/72/1041119072.db2.gz MVHUXCPIFGWRQZ-XCLFUZPHSA-N 0 3 319.873 4.067 20 0 DIADHN CCOc1cc(CN[C@H]2CCCc3sccc32)ccc1OC ZINC000102196747 1041122277 /nfs/dbraw/zinc/12/22/77/1041122277.db2.gz POOXIHPZMOGVFX-HNNXBMFYSA-N 0 3 317.454 4.323 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cn1 ZINC000414326630 1041137037 /nfs/dbraw/zinc/13/70/37/1041137037.db2.gz FDEQZIFOJUGZRK-SFHVURJKSA-N 0 3 315.848 4.323 20 0 DIADHN C[C@H](NCC(C)(C)c1cccnc1)c1nc2c(s1)CCCC2 ZINC000414339403 1041143510 /nfs/dbraw/zinc/14/35/10/1041143510.db2.gz SQWHNWQUJHBUKF-ZDUSSCGKSA-N 0 3 315.486 4.045 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)s1)c1ccc(Cl)cc1 ZINC000162692692 1041176377 /nfs/dbraw/zinc/17/63/77/1041176377.db2.gz JPILZXXHNYLSSU-AWEZNQCLSA-N 0 3 323.845 4.429 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)NCc1ccc(C)nn1 ZINC000414361506 1041176237 /nfs/dbraw/zinc/17/62/37/1041176237.db2.gz CNJFNAPQBPXTOC-MRXNPFEDSA-N 0 3 315.486 4.062 20 0 DIADHN CCc1ncc(CN(C2CC2)[C@H]2CCCc3ccccc32)cn1 ZINC000414366470 1041177387 /nfs/dbraw/zinc/17/73/87/1041177387.db2.gz BZQPILBSVUACSW-IBGZPJMESA-N 0 3 307.441 4.081 20 0 DIADHN CN(Cc1c(Cl)nc2cc(Cl)ccn21)C(C1CC1)C1CC1 ZINC000809556777 1041191355 /nfs/dbraw/zinc/19/13/55/1041191355.db2.gz KCYAAJAUSWRESY-UHFFFAOYSA-N 0 3 324.255 4.262 20 0 DIADHN CC(C)C[C@@H](NCc1cnc([C@@H](C)O)s1)c1cccs1 ZINC000809608851 1041195179 /nfs/dbraw/zinc/19/51/79/1041195179.db2.gz VSWKENVTPXGWSW-DGCLKSJQSA-N 0 3 310.488 4.135 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc([C@H](C)O)s1)c1ccccc1 ZINC000809607991 1041195295 /nfs/dbraw/zinc/19/52/95/1041195295.db2.gz FJNCIUYSUXHPDQ-SUMWQHHRSA-N 0 3 318.486 4.464 20 0 DIADHN Clc1ccccc1-c1ccc(CN[C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000152934727 1041197164 /nfs/dbraw/zinc/19/71/64/1041197164.db2.gz ZQQZWCAFMVNDFC-JGFGOQIWSA-N 0 3 303.789 4.010 20 0 DIADHN CC1(C)CC2(CC(N[C@@H](c3ccco3)c3ccccc3)C2)CO1 ZINC000924581187 1041197373 /nfs/dbraw/zinc/19/73/73/1041197373.db2.gz PAMGCQYSBJGAQP-KGXSXCIVSA-N 0 3 311.425 4.306 20 0 DIADHN c1ccc(-c2cnccc2NCc2nccc3ccccc32)cc1 ZINC001167702778 1041205703 /nfs/dbraw/zinc/20/57/03/1041205703.db2.gz JBMLPSYZTCGVLW-UHFFFAOYSA-N 0 3 311.388 4.331 20 0 DIADHN c1oc(C2CC2)nc1CN1C[C@@H](c2ccccc2)[C@H]2CCC[C@@H]21 ZINC000809693999 1041215517 /nfs/dbraw/zinc/21/55/17/1041215517.db2.gz GKSDXURPEOTBMH-QYZOEREBSA-N 0 3 308.425 4.320 20 0 DIADHN c1ccc(N2CCCCC2)c(CN2CCC[C@@H]2c2ccncc2)c1 ZINC000809729230 1041224249 /nfs/dbraw/zinc/22/42/49/1041224249.db2.gz RGQCEWOFAXSOKG-HXUWFJFHSA-N 0 3 321.468 4.409 20 0 DIADHN CCc1ncc(CN[C@@H](Cc2ccccc2)c2cccs2)cn1 ZINC000414390542 1041224511 /nfs/dbraw/zinc/22/45/11/1041224511.db2.gz JDLMKWUIYDSENU-KRWDZBQOSA-N 0 3 323.465 4.174 20 0 DIADHN O=C1CCC2(CC1)CCN(CCc1cccc(Cl)c1)CC2 ZINC001207425210 1041225683 /nfs/dbraw/zinc/22/56/83/1041225683.db2.gz GVQQAXNPVKMPAD-UHFFFAOYSA-N 0 3 305.849 4.108 20 0 DIADHN O[C@H](CCNCc1c(Cl)oc2ccccc21)c1ccccc1 ZINC000809747304 1041242688 /nfs/dbraw/zinc/24/26/88/1041242688.db2.gz NZJMLYZEWDUGPS-MRXNPFEDSA-N 0 3 315.800 4.300 20 0 DIADHN O[C@@H](CCNCc1c(Cl)oc2ccccc21)c1ccccc1 ZINC000809747303 1041243137 /nfs/dbraw/zinc/24/31/37/1041243137.db2.gz NZJMLYZEWDUGPS-INIZCTEOSA-N 0 3 315.800 4.300 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2ccoc2)CC1 ZINC000809752864 1041246452 /nfs/dbraw/zinc/24/64/52/1041246452.db2.gz JMQGWNOGNNFUIS-UHFFFAOYSA-N 0 3 309.413 4.029 20 0 DIADHN CCOCOc1ccc(CN(CC)[C@H](C)c2cccnc2)cc1 ZINC000809766233 1041251659 /nfs/dbraw/zinc/25/16/59/1041251659.db2.gz VRIBPMBPVBQUNL-MRXNPFEDSA-N 0 3 314.429 4.038 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CCCC(F)(F)CC1 ZINC000628422268 1041268683 /nfs/dbraw/zinc/26/86/83/1041268683.db2.gz VGUWXPFMVNUOAF-UHFFFAOYSA-N 0 3 319.399 4.110 20 0 DIADHN O=C(CN[C@H](c1cccs1)C1CC1)NC1CCCCCCC1 ZINC000809932593 1041274397 /nfs/dbraw/zinc/27/43/97/1041274397.db2.gz WAKKXVFPMAOOAN-SFHVURJKSA-N 0 3 320.502 4.018 20 0 DIADHN Fc1cccc(-c2cnc(CN3C[C@@H]4CCCC[C@@H]4C3)o2)c1 ZINC000177519068 1041275475 /nfs/dbraw/zinc/27/54/75/1041275475.db2.gz POXANQMURCPCJL-GASCZTMLSA-N 0 3 300.377 4.103 20 0 DIADHN O=C(CN[C@@H](c1cccs1)C1CC1)NC1CCCCCCC1 ZINC000809932592 1041276053 /nfs/dbraw/zinc/27/60/53/1041276053.db2.gz WAKKXVFPMAOOAN-GOSISDBHSA-N 0 3 320.502 4.018 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)C[C@@H]1NCc2ccccc21 ZINC000659253985 1041280210 /nfs/dbraw/zinc/28/02/10/1041280210.db2.gz CEFWBDUHJARFAA-SFHVURJKSA-N 0 3 308.425 4.292 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)C[C@H]1NCc2ccccc21 ZINC000659275006 1041284898 /nfs/dbraw/zinc/28/48/98/1041284898.db2.gz SOLSSQFATRXMBA-WOJBJXKFSA-N 0 3 322.452 4.221 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cnc(-c2ccccc2)nc1 ZINC001170594270 1041285971 /nfs/dbraw/zinc/28/59/71/1041285971.db2.gz SUAKCEOJFCQGES-UHFFFAOYSA-N 0 3 317.436 4.127 20 0 DIADHN Cc1ccccc1CCN(C)Cc1ccc(C(F)(F)F)cn1 ZINC001170593095 1041288044 /nfs/dbraw/zinc/28/80/44/1041288044.db2.gz JRASXTXKJMNRHU-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN CC[C@@H](C)Oc1ccc(NC(=O)C[C@H]2NCc3ccccc32)cc1 ZINC000659280729 1041288225 /nfs/dbraw/zinc/28/82/25/1041288225.db2.gz UKUCCGXHXBWWEK-AUUYWEPGSA-N 0 3 324.424 4.037 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(CF)cc1)c1ccccc1 ZINC000809992988 1041290546 /nfs/dbraw/zinc/29/05/46/1041290546.db2.gz QPWQADILOWQWMK-GOSISDBHSA-N 0 3 301.405 4.006 20 0 DIADHN CC(C)n1cncc1CN[C@H](c1cccc(F)c1)C(C)(C)C ZINC000424148934 1041292339 /nfs/dbraw/zinc/29/23/39/1041292339.db2.gz VINHUCKIAITCFJ-QGZVFWFLSA-N 0 3 303.425 4.480 20 0 DIADHN Fc1ccc(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)cc1 ZINC001170594567 1041295738 /nfs/dbraw/zinc/29/57/38/1041295738.db2.gz UTOYUDNVVVYQLF-QGZVFWFLSA-N 0 3 305.780 4.132 20 0 DIADHN OC1C[C@H]2CC[C@@H](C1)N2Cc1sc2ccccc2c1Cl ZINC000107927236 1041313810 /nfs/dbraw/zinc/31/38/10/1041313810.db2.gz ORNPIULRDXVFOE-FOSCPWQOSA-N 0 3 307.846 4.042 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2nc3ccccc3o2)c1 ZINC000613563565 1041316727 /nfs/dbraw/zinc/31/67/27/1041316727.db2.gz MNNIEPOPNFDSCF-MRXNPFEDSA-N 0 3 322.408 4.044 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(N2CCCCC2=O)cc1)c1ccncc1 ZINC000810130599 1041317538 /nfs/dbraw/zinc/31/75/38/1041317538.db2.gz WKMABVUCIOYWMA-JKSUJKDBSA-N 0 3 323.440 4.010 20 0 DIADHN Cc1cc(CN[C@@H]2c3ccccc3CC23CCOCC3)c(C)o1 ZINC000810172274 1041327959 /nfs/dbraw/zinc/32/79/59/1041327959.db2.gz HTUAWRRABGQIQF-LJQANCHMSA-N 0 3 311.425 4.080 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC[C@H]1CCC[C@@H]1C1CC1 ZINC000414484631 1041353333 /nfs/dbraw/zinc/35/33/33/1041353333.db2.gz OHQYYPREYDCQKV-GDBMZVCRSA-N 0 3 313.470 4.121 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cc(C)nc(N2CCCC2)c1 ZINC000810251765 1041358639 /nfs/dbraw/zinc/35/86/39/1041358639.db2.gz WXZGCCHMBFYHGL-FQEVSTJZSA-N 0 3 321.468 4.076 20 0 DIADHN FC(F)SCc1ccc(CN[C@H]2CCCC(F)(F)C2)o1 ZINC000695092882 1041362985 /nfs/dbraw/zinc/36/29/85/1041362985.db2.gz ARJHMOFZUNGJLS-VIFPVBQESA-N 0 3 311.344 4.403 20 0 DIADHN Cc1cccc(CCN(CCC(F)(F)F)Cc2ccccc2)n1 ZINC000567730145 1041369522 /nfs/dbraw/zinc/36/95/22/1041369522.db2.gz RWADPKJRRLNHEK-UHFFFAOYSA-N 0 3 322.374 4.387 20 0 DIADHN FCC1CCN(Cc2cccn2-c2ccc(Cl)cc2)CC1 ZINC001140941462 1041377932 /nfs/dbraw/zinc/37/79/32/1041377932.db2.gz LLSKWXCUNUSELO-UHFFFAOYSA-N 0 3 306.812 4.312 20 0 DIADHN CN(Cc1csc2ccccc12)[C@H]1CCCc2c1cnn2C ZINC000135571589 1041386489 /nfs/dbraw/zinc/38/64/89/1041386489.db2.gz NHAYQMFIEYICBO-INIZCTEOSA-N 0 3 311.454 4.144 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H](C)c1ccc2c(c1)CCO2)C(C)C ZINC000178293620 1041395514 /nfs/dbraw/zinc/39/55/14/1041395514.db2.gz OBUNEFVGQFLCJD-HNAYVOBHSA-N 0 3 310.441 4.373 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(F)c(F)c1)CCC2 ZINC000378902571 1041400003 /nfs/dbraw/zinc/40/00/03/1041400003.db2.gz XSKLRVBYOCIXJG-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN c1csc(CNCC2(Cc3ccccc3)CCCCC2)n1 ZINC000613657184 1041406807 /nfs/dbraw/zinc/40/68/07/1041406807.db2.gz HKGIIDDQVNVFRG-UHFFFAOYSA-N 0 3 300.471 4.426 20 0 DIADHN Cn1ncc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c1C1CC1 ZINC000892902223 1041418237 /nfs/dbraw/zinc/41/82/37/1041418237.db2.gz HANQDIKLMYRJEC-GOSISDBHSA-N 0 3 313.420 4.068 20 0 DIADHN Fc1ccc([C@@H](NCc2cn3ccnc3s2)C2CCC2)cc1 ZINC000892903397 1041418290 /nfs/dbraw/zinc/41/82/90/1041418290.db2.gz PYFAAQLHFYCGMU-INIZCTEOSA-N 0 3 315.417 4.166 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cn3ccnc3s2)cc1 ZINC000892914890 1041420160 /nfs/dbraw/zinc/42/01/60/1041420160.db2.gz YZGLJUBQUQBQSX-LBPRGKRZSA-N 0 3 317.483 4.359 20 0 DIADHN CN(C)c1cccc(CNCc2ccc(C(F)F)c(F)c2)c1 ZINC000892926173 1041422889 /nfs/dbraw/zinc/42/28/89/1041422889.db2.gz INLQCFLYFNHPAG-UHFFFAOYSA-N 0 3 308.347 4.119 20 0 DIADHN CCC[C@H](CN[C@@H](C)c1ccc(Br)cc1F)OC ZINC000393541862 1041466830 /nfs/dbraw/zinc/46/68/30/1041466830.db2.gz FESVDDOAAFFMQW-CMPLNLGQSA-N 0 3 318.230 4.054 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)c1ccc(F)cc1)[C@H]1CCCCO1 ZINC000393654307 1041467945 /nfs/dbraw/zinc/46/79/45/1041467945.db2.gz RVXDHMKLKAXFGM-CWFSZBLJSA-N 0 3 313.416 4.462 20 0 DIADHN Cc1cc2cc(NC3CC(C)(C)N(O)C(C)(C)C3)ccc2cn1 ZINC001167721452 1041469375 /nfs/dbraw/zinc/46/93/75/1041469375.db2.gz ZHSQEPZELXOJSW-UHFFFAOYSA-N 0 3 313.445 4.366 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)Nc2ccc3c(c2)CNC3)c(C)c1 ZINC000415986146 1041513886 /nfs/dbraw/zinc/51/38/86/1041513886.db2.gz BCAOMTVESHPIKD-HNNXBMFYSA-N 0 3 323.440 4.132 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cnn(C)c1)c1ccc2cc(OC)ccc2c1 ZINC000163878561 1041518286 /nfs/dbraw/zinc/51/82/86/1041518286.db2.gz ICMRBTLYJCDJJL-VBKZILBWSA-N 0 3 323.440 4.384 20 0 DIADHN C[C@@H](COc1ccccc1)NCc1cscc1C(F)(F)F ZINC000811476653 1041527346 /nfs/dbraw/zinc/52/73/46/1041527346.db2.gz XSMBNXUBPWXCMS-NSHDSACASA-N 0 3 315.360 4.324 20 0 DIADHN Clc1nccc(CNCC2(c3ccccc3)CC2)c1Cl ZINC000811472558 1041527385 /nfs/dbraw/zinc/52/73/85/1041527385.db2.gz GOPPHYMUTQSWNF-UHFFFAOYSA-N 0 3 307.224 4.210 20 0 DIADHN Clc1cccc(CCNCc2ccnc(Cl)c2Cl)c1 ZINC000811471992 1041527626 /nfs/dbraw/zinc/52/76/26/1041527626.db2.gz QNXGDPLOOWCOIJ-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1ccnc(Cl)c1Cl ZINC000811474761 1041527945 /nfs/dbraw/zinc/52/79/45/1041527945.db2.gz AUQHNXMRYQCSBT-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN c1c2ccccc2[nH]c1CN1CC[C@@]2(C1)CCc1ccccc1O2 ZINC000811513068 1041534919 /nfs/dbraw/zinc/53/49/19/1041534919.db2.gz VQTGBIORQWFFDJ-OAQYLSRUSA-N 0 3 318.420 4.138 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cc(C2CC2)no1 ZINC000659938582 1041549655 /nfs/dbraw/zinc/54/96/55/1041549655.db2.gz BHYBYUKDSXYZRG-WFASDCNBSA-N 0 3 300.377 4.069 20 0 DIADHN C[C@@H](O)[C@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000136329628 1041561064 /nfs/dbraw/zinc/56/10/64/1041561064.db2.gz JURRWWUUKPAFSB-NEPJUHHUSA-N 0 3 309.862 4.148 20 0 DIADHN FC(F)(F)[C@H]1CN(CCCC2CCC2)C[C@@H]1C(F)(F)F ZINC000659971807 1041565567 /nfs/dbraw/zinc/56/55/67/1041565567.db2.gz ZOFYAEYNSTXZRA-QWRGUYRKSA-N 0 3 303.290 4.239 20 0 DIADHN C[C@H](NCC1([C@@H](O)c2ccccc2)CC1)c1cccc(F)c1F ZINC000183313371 1041581303 /nfs/dbraw/zinc/58/13/03/1041581303.db2.gz HYEBTVPOMOGAHX-UGSOOPFHSA-N 0 3 317.379 4.129 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1nsc2ccccc21 ZINC000660013929 1041582682 /nfs/dbraw/zinc/58/26/82/1041582682.db2.gz KFZRXIDCLDGWCA-ZDUSSCGKSA-N 0 3 312.438 4.065 20 0 DIADHN FC1(F)C(F)(F)C12CCN(c1ccnc3ccccc31)CC2 ZINC000651388529 1041583999 /nfs/dbraw/zinc/58/39/99/1041583999.db2.gz GBUJEYIFNIUCAE-UHFFFAOYSA-N 0 3 310.294 4.106 20 0 DIADHN CN(Cc1ccc(Cl)cc1C(F)(F)F)C[C@H]1CCCCO1 ZINC001143505212 1041586624 /nfs/dbraw/zinc/58/66/24/1041586624.db2.gz BXVLOOOKRDUMJH-CYBMUJFWSA-N 0 3 321.770 4.360 20 0 DIADHN CC(C)(NC[C@@H]1CCC[C@H](C(F)(F)F)O1)c1ccccc1F ZINC001170651806 1041587401 /nfs/dbraw/zinc/58/74/01/1041587401.db2.gz YGKSPKJGGKOPEJ-SMDDNHRTSA-N 0 3 319.342 4.150 20 0 DIADHN c1csc([C@H](Cc2ccccc2)Nc2cncc3cc[nH]c32)n1 ZINC001170659160 1041591318 /nfs/dbraw/zinc/59/13/18/1041591318.db2.gz BPAYWOHEEJUNDV-HNNXBMFYSA-N 0 3 320.421 4.415 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NCc1ccc(-c2ccccc2)s1 ZINC000042229461 1041592112 /nfs/dbraw/zinc/59/21/12/1041592112.db2.gz PDBOLQJSTRHGFA-QGZVFWFLSA-N 0 3 323.465 4.316 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc(C)s1)c1ccc(C(=O)OC)cc1 ZINC000601298571 1041597354 /nfs/dbraw/zinc/59/73/54/1041597354.db2.gz CBZZSPAWVZTAFZ-IAQYHMDHSA-N 0 3 318.442 4.040 20 0 DIADHN CC[C@H](C)N(CCC=C(C)C)Cc1nc2ccccc2c(=O)[nH]1 ZINC000660076228 1041603561 /nfs/dbraw/zinc/60/35/61/1041603561.db2.gz BHUXGSNITDSRLJ-HNNXBMFYSA-N 0 3 313.445 4.292 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1nccc2sccc21 ZINC001170678874 1041627728 /nfs/dbraw/zinc/62/77/28/1041627728.db2.gz HIWLSTPCMOSJKT-LBPRGKRZSA-N 0 3 320.421 4.169 20 0 DIADHN Cc1cc(-n2c(-c3ccccc3)cnc2[C@H](C)N)cc(C)c1C ZINC001170681336 1041634303 /nfs/dbraw/zinc/63/43/03/1041634303.db2.gz NGEFFKXJNGDHQD-INIZCTEOSA-N 0 3 305.425 4.484 20 0 DIADHN CC(C)c1cccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)n1 ZINC001170682091 1041636535 /nfs/dbraw/zinc/63/65/35/1041636535.db2.gz VJLLLSRANMBCHP-AWEZNQCLSA-N 0 3 306.413 4.077 20 0 DIADHN Cc1ccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)c2[nH]ccc21 ZINC001170683152 1041637316 /nfs/dbraw/zinc/63/73/16/1041637316.db2.gz WIPGLPAXSXWUSI-AWEZNQCLSA-N 0 3 316.408 4.349 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCCc3c(O)cccc31)CCC2 ZINC000812729628 1041641354 /nfs/dbraw/zinc/64/13/54/1041641354.db2.gz QJWHQVLEYRAHJZ-ZBFHGGJFSA-N 0 3 314.454 4.202 20 0 DIADHN Brc1ccc2nc(CN3CCCCCCC3)oc2c1 ZINC000812821288 1041649731 /nfs/dbraw/zinc/64/97/31/1041649731.db2.gz AVHJOHIASRTDJE-UHFFFAOYSA-N 0 3 323.234 4.356 20 0 DIADHN COc1cc(CN[C@H](c2ccc(F)cc2)C2CCCC2)sn1 ZINC000660187050 1041654097 /nfs/dbraw/zinc/65/40/97/1041654097.db2.gz JANYOCSAJAJUBE-KRWDZBQOSA-N 0 3 320.433 4.312 20 0 DIADHN C[C@H](NC[C@@H]1CC12CC2)c1csc(-c2ccccc2F)n1 ZINC000417331177 1041658706 /nfs/dbraw/zinc/65/87/06/1041658706.db2.gz BNSMJVIJBJSHDB-RYUDHWBXSA-N 0 3 302.418 4.400 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCSc1ccc(F)cc1F ZINC000660194123 1041660748 /nfs/dbraw/zinc/66/07/48/1041660748.db2.gz DJEDSQWNHBWYAA-VIFPVBQESA-N 0 3 312.385 4.013 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](c1nc[nH]n1)C1CCCCC1)CCC2 ZINC000584756393 1041662580 /nfs/dbraw/zinc/66/25/80/1041662580.db2.gz GTSUGTLBUJHTBA-OALUTQOASA-N 0 3 324.472 4.402 20 0 DIADHN Cc1ccc2c(c1)[C@@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)CCC2 ZINC000584756393 1041662586 /nfs/dbraw/zinc/66/25/86/1041662586.db2.gz GTSUGTLBUJHTBA-OALUTQOASA-N 0 3 324.472 4.402 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@H](N1CCC[C@H](C(F)(F)F)C1)C2 ZINC001170693971 1041662766 /nfs/dbraw/zinc/66/27/66/1041662766.db2.gz GCNKHFDIJBMNSP-AAEUAGOBSA-N 0 3 319.317 4.096 20 0 DIADHN c1c2ccccc2oc1[C@H](NC[C@@H]1CC12CC2)c1cccnc1 ZINC000417345893 1041662990 /nfs/dbraw/zinc/66/29/90/1041662990.db2.gz YKFGUWWQOVCQRN-QFBILLFUSA-N 0 3 304.393 4.307 20 0 DIADHN CO[C@H](CN[C@H](c1nc(C)cs1)C1CC1)C1CCCCC1 ZINC000660215934 1041673451 /nfs/dbraw/zinc/67/34/51/1041673451.db2.gz YCYGQNUXBFCENH-CVEARBPZSA-N 0 3 308.491 4.088 20 0 DIADHN Fc1ccc([C@H]2CCCN(Cc3cc(C4CC4)no3)CC2)cc1 ZINC000660223767 1041676157 /nfs/dbraw/zinc/67/61/57/1041676157.db2.gz GHMWOSIPCPAVHI-AWEZNQCLSA-N 0 3 314.404 4.461 20 0 DIADHN CC[C@@H](NCCc1nsc2ccccc12)c1nc(C)cs1 ZINC000660251741 1041690439 /nfs/dbraw/zinc/69/04/39/1041690439.db2.gz BRYLMMVYIRCXLI-CYBMUJFWSA-N 0 3 317.483 4.345 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1cnnn1-c1ccccc1)c1ccccc1 ZINC000813322372 1041694563 /nfs/dbraw/zinc/69/45/63/1041694563.db2.gz BOZFTXAWRVMAAH-OXJNMPFZSA-N 0 3 320.440 4.315 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3ccc(Cl)cn3)C2)cc1 ZINC000584875080 1041697716 /nfs/dbraw/zinc/69/77/16/1041697716.db2.gz ZBCLQMHZZXXKDI-ZBFHGGJFSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3ccc(Cl)cn3)C2)cc1 ZINC000584875078 1041697854 /nfs/dbraw/zinc/69/78/54/1041697854.db2.gz ZBCLQMHZZXXKDI-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN CSc1cccc(CN2CC[C@@]3(C2)OCc2ccccc23)c1 ZINC000660303706 1041707821 /nfs/dbraw/zinc/70/78/21/1041707821.db2.gz UZPXUNFTYMHGCA-IBGZPJMESA-N 0 3 311.450 4.040 20 0 DIADHN Cc1cc(CN2CCC(c3ccc(Cl)cc3)CC2)nc(C)n1 ZINC000660316209 1041712205 /nfs/dbraw/zinc/71/22/05/1041712205.db2.gz GFKDZWBWDYZEEI-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN CC(C)=CCC[C@H](C)CCN[C@H](C)c1nnc2ccccn21 ZINC000557097416 1041719490 /nfs/dbraw/zinc/71/94/90/1041719490.db2.gz KSTTYXVLNLPIMH-JKSUJKDBSA-N 0 3 300.450 4.153 20 0 DIADHN COc1cc(CN[C@@H]2CCc3c2cccc3F)ccc1Cl ZINC000651468078 1041722979 /nfs/dbraw/zinc/72/29/79/1041722979.db2.gz VDAWWMXWHCSKIT-MRXNPFEDSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cc(CN[C@H]2CCc3c2cccc3F)ccc1Cl ZINC000651468077 1041723109 /nfs/dbraw/zinc/72/31/09/1041723109.db2.gz VDAWWMXWHCSKIT-INIZCTEOSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(C3CC3)cc2)cc(OC)c1 ZINC000418110436 1041725243 /nfs/dbraw/zinc/72/52/43/1041725243.db2.gz JIQMTOKPYMZWLT-AWEZNQCLSA-N 0 3 311.425 4.432 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(C3CC3)cc2)cc1 ZINC000418110647 1041726617 /nfs/dbraw/zinc/72/66/17/1041726617.db2.gz CFEPYJRNRKMDRF-AWEZNQCLSA-N 0 3 309.409 4.201 20 0 DIADHN Cc1ccc2ncc(CN[C@@H](C)c3ccc(C4CC4)cc3)n2c1 ZINC000418119496 1041727164 /nfs/dbraw/zinc/72/71/64/1041727164.db2.gz XSFJWRIVRNLPJB-HNNXBMFYSA-N 0 3 305.425 4.371 20 0 DIADHN CN(Cc1nccn1CC(F)(F)F)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000418113964 1041727718 /nfs/dbraw/zinc/72/77/18/1041727718.db2.gz MXSYRKMUNNWCSI-QWHCGFSZSA-N 0 3 317.399 4.092 20 0 DIADHN COCCCCN(C)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000418136256 1041730311 /nfs/dbraw/zinc/73/03/11/1041730311.db2.gz ANNMQGFCHVHYED-UHFFFAOYSA-N 0 3 324.877 4.322 20 0 DIADHN CCCc1ccc(CN(C)Cc2ccc(C(=O)OC)cc2)cc1 ZINC001167750064 1041740949 /nfs/dbraw/zinc/74/09/49/1041740949.db2.gz QYAAIGZHVTWBOK-UHFFFAOYSA-N 0 3 311.425 4.058 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3cc(F)ccc3Br)C[C@@H]21 ZINC001170719673 1041756973 /nfs/dbraw/zinc/75/69/73/1041756973.db2.gz GGSLXLCTUBHHBP-CLLJXQQHSA-N 0 3 312.226 4.112 20 0 DIADHN C[C@@H]1C[C@H](CN2CCCn3c(cc4ccccc43)C2)C[C@H](C)O1 ZINC001170722906 1041762470 /nfs/dbraw/zinc/76/24/70/1041762470.db2.gz MNZHONOASUQBDW-FVQHAEBGSA-N 0 3 312.457 4.051 20 0 DIADHN CC(C)CCCCCC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC000747909691 1041785955 /nfs/dbraw/zinc/78/59/55/1041785955.db2.gz XBUFVVXOTXIPIB-UHFFFAOYSA-N 0 3 310.526 4.172 20 0 DIADHN C[C@@H]1CN(CCSC2CCCCC2)Cc2ccccc2O1 ZINC001170732806 1041787159 /nfs/dbraw/zinc/78/71/59/1041787159.db2.gz PAVNHBFSPQPNJA-OAHLLOKOSA-N 0 3 305.487 4.335 20 0 DIADHN CCN(CC)Cc1cccc(N[C@@H](C)c2cccc(N)c2)c1F ZINC001170734523 1041794434 /nfs/dbraw/zinc/79/44/34/1041794434.db2.gz FFLGNNZOMZTNTP-AWEZNQCLSA-N 0 3 315.436 4.423 20 0 DIADHN Brc1cccc(CCN(Cc2ccco2)C2CC2)c1 ZINC001207509653 1041795322 /nfs/dbraw/zinc/79/53/22/1041795322.db2.gz NLTPOJAYFITUPD-UHFFFAOYSA-N 0 3 320.230 4.249 20 0 DIADHN CC1(C)[C@@H]2C[C@H](NCc3coc(-c4ccc(F)cc4)n3)C[C@@H]21 ZINC001170737510 1041801599 /nfs/dbraw/zinc/80/15/99/1041801599.db2.gz ZHCQDMGOZYEABD-RBVVOMGSSA-N 0 3 300.377 4.005 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1CCc1nsc2ccccc21 ZINC000660444342 1041803036 /nfs/dbraw/zinc/80/30/36/1041803036.db2.gz CIAPAOGOFSDDEI-CMPLNLGQSA-N 0 3 314.376 4.112 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(Cl)nc1 ZINC000814289119 1041803636 /nfs/dbraw/zinc/80/36/36/1041803636.db2.gz JMPBBSQMZNIKOD-ZROIWOOFSA-N 0 3 316.832 4.075 20 0 DIADHN Cc1cc(CN2CCC(c3cccc(Cl)c3)CC2)nc(C)n1 ZINC000660452827 1041808344 /nfs/dbraw/zinc/80/83/44/1041808344.db2.gz HZLWKKFFYYORQP-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H]2CC(C)(C)CCc3ccccc32)n[nH]1 ZINC000660476668 1041817542 /nfs/dbraw/zinc/81/75/42/1041817542.db2.gz HJMLHNRCVHOXHK-CZUORRHYSA-N 0 3 312.461 4.122 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@H]1N(C)Cc1cc(C)nc(Cl)c1 ZINC001167767646 1041823992 /nfs/dbraw/zinc/82/39/92/1041823992.db2.gz ZISHRWSXSGLQLF-HUUCEWRRSA-N 0 3 310.869 4.069 20 0 DIADHN Cc1cccc(C2(C(=O)Nc3cccc(CN(C)C)c3)CCC2)c1 ZINC000047453961 1041828486 /nfs/dbraw/zinc/82/84/86/1041828486.db2.gz IXUFCZZIFNHAAF-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN COc1cccc(CN2CCC[C@H](c3ccncc3)C2)c1Cl ZINC000660550135 1041831786 /nfs/dbraw/zinc/83/17/86/1041831786.db2.gz APCNNABFGPNACM-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3ccc(Cl)nc3)C2)cc1 ZINC000814640436 1041846740 /nfs/dbraw/zinc/84/67/40/1041846740.db2.gz GKHZGGSGHGVFKI-QGZVFWFLSA-N 0 3 300.833 4.287 20 0 DIADHN CC(C)c1cccc(CN[C@H](c2cccnc2)C(C)(C)CO)c1 ZINC000660634846 1041847860 /nfs/dbraw/zinc/84/78/60/1041847860.db2.gz BPDCXLZIOOQXHE-LJQANCHMSA-N 0 3 312.457 4.054 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccccc1C1CC1)c1cccs1 ZINC000651591000 1041848345 /nfs/dbraw/zinc/84/83/45/1041848345.db2.gz BLFMCYYLYSFKBN-SFHVURJKSA-N 0 3 315.482 4.475 20 0 DIADHN CN(CCCc1ccccc1)Cc1cn(C)nc1C1CCCC1 ZINC001204647752 1041851130 /nfs/dbraw/zinc/85/11/30/1041851130.db2.gz JNKGNDVIIRGUQI-UHFFFAOYSA-N 0 3 311.473 4.142 20 0 DIADHN O[C@H](CN1CC[C@@H](c2cccc(Cl)c2)C1)c1ccccc1F ZINC000814666310 1041851109 /nfs/dbraw/zinc/85/11/09/1041851109.db2.gz GAKKIXISGVSFTL-RDTXWAMCSA-N 0 3 319.807 4.002 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](N3Cc4cccc(Br)c4C3)C[C@@H]21 ZINC001170758625 1041852973 /nfs/dbraw/zinc/85/29/73/1041852973.db2.gz SUHPHNAHIRPJDQ-DEZZGGKRSA-N 0 3 306.247 4.199 20 0 DIADHN C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)CC[C@@H]1CCCCO1 ZINC000660704735 1041853558 /nfs/dbraw/zinc/85/35/58/1041853558.db2.gz CFISMQRFLJVMII-JSGCOSHPSA-N 0 3 321.852 4.168 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCC1CCOCC1 ZINC000660708869 1041854675 /nfs/dbraw/zinc/85/46/75/1041854675.db2.gz WMGBDCAJCIBMCE-GFCCVEGCSA-N 0 3 321.852 4.026 20 0 DIADHN CCOC1CC(CN(C)[C@@H](C)c2nc3cc(Cl)ccc3[nH]2)C1 ZINC000660707733 1041854810 /nfs/dbraw/zinc/85/48/10/1041854810.db2.gz IHQQSKKGJKSBEW-DCBWTQNWSA-N 0 3 321.852 4.024 20 0 DIADHN C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)CCC[C@H]1CCOC1 ZINC000660708487 1041855367 /nfs/dbraw/zinc/85/53/67/1041855367.db2.gz PRKZBODYMGSYLI-OLZOCXBDSA-N 0 3 321.852 4.026 20 0 DIADHN COC1(CCN(C)[C@H](C)c2nc3ccc(Cl)cc3[nH]2)CCC1 ZINC000660707736 1041855786 /nfs/dbraw/zinc/85/57/86/1041855786.db2.gz ILVOQHOCJPVFCV-GFCCVEGCSA-N 0 3 321.852 4.168 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](n3c4ccccc4nc3[C@H]3CCCCN3)C[C@@H]21 ZINC001170761994 1041860401 /nfs/dbraw/zinc/86/04/01/1041860401.db2.gz PZLPZSFLMVIBLT-PNBKFKSVSA-N 0 3 309.457 4.458 20 0 DIADHN CC[C@@H](CC(F)F)CN1CC[C@@H](O)[C@H]1c1ccc(Cl)cc1 ZINC000660862308 1041866628 /nfs/dbraw/zinc/86/66/28/1041866628.db2.gz AJOCPJFUGPXBBE-SGIREYDYSA-N 0 3 317.807 4.129 20 0 DIADHN Cc1nccc([C@@H]2CCCN([C@@H](C)c3ccc(Cl)cc3)C2)n1 ZINC000618442904 1041871220 /nfs/dbraw/zinc/87/12/20/1041871220.db2.gz OLYVPCHUYODJMT-XJKSGUPXSA-N 0 3 315.848 4.379 20 0 DIADHN COC[C@@H](CC(C)(C)C)N[C@@H](c1ccccc1)c1cccnc1 ZINC000651700281 1041886487 /nfs/dbraw/zinc/88/64/87/1041886487.db2.gz ZLSHNEQQDVOFOT-MOPGFXCFSA-N 0 3 312.457 4.212 20 0 DIADHN COC[C@H](CC(C)(C)C)N[C@H](c1ccccc1)c1cccnc1 ZINC000651700283 1041886626 /nfs/dbraw/zinc/88/66/26/1041886626.db2.gz ZLSHNEQQDVOFOT-RBUKOAKNSA-N 0 3 312.457 4.212 20 0 DIADHN CCc1sc(-c2nnc(CN(CC)[C@@H](C)CC)o2)cc1C ZINC000661108289 1041888462 /nfs/dbraw/zinc/88/84/62/1041888462.db2.gz YLWFICQUJOWJMU-LBPRGKRZSA-N 0 3 307.463 4.289 20 0 DIADHN C(N[C@H]1CCCC12CCCCC2)c1nnc(C2CC2)n1C1CC1 ZINC000661126617 1041891359 /nfs/dbraw/zinc/89/13/59/1041891359.db2.gz GEPBDRCRHBFDHX-INIZCTEOSA-N 0 3 314.477 4.083 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@H]1CCc2ccc(F)c(Cl)c21 ZINC000651718833 1041891849 /nfs/dbraw/zinc/89/18/49/1041891849.db2.gz ZYKOFHYELZJLHR-VFZGTOFNSA-N 0 3 322.811 4.292 20 0 DIADHN CCc1cccc(CNCc2cnc(C)n2-c2ccccc2)c1 ZINC000651723635 1041893544 /nfs/dbraw/zinc/89/35/44/1041893544.db2.gz VLLOKUQLKFIMKR-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN C[C@@H]1CCCCC[C@@H]1N[C@@H](c1nccn1C)c1ccc(F)cc1 ZINC000651749977 1041901759 /nfs/dbraw/zinc/90/17/59/1041901759.db2.gz QVSVLODWBQPLBO-FHLIZLRMSA-N 0 3 315.436 4.207 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-c2ccccc2)cn1)[C@@H]1CCCCO1 ZINC000651749195 1041902120 /nfs/dbraw/zinc/90/21/20/1041902120.db2.gz OAHZYXBRCWFQRQ-YRNRMSPPSA-N 0 3 310.441 4.357 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccc(-c2ccccc2)cn1 ZINC000651768274 1041907108 /nfs/dbraw/zinc/90/71/08/1041907108.db2.gz FNEOTNKYMXCNSJ-CRAIPNDOSA-N 0 3 310.441 4.357 20 0 DIADHN CCC(C)(C)[C@@H](C)NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000661233128 1041908453 /nfs/dbraw/zinc/90/84/53/1041908453.db2.gz BZELGVCWISAUDA-CYBMUJFWSA-N 0 3 302.418 4.265 20 0 DIADHN CC(C)(NC[C@@H](O)c1cc2ccccc2o1)c1ccc(F)cc1 ZINC000815069562 1041909772 /nfs/dbraw/zinc/90/97/72/1041909772.db2.gz VZABDJXHSHIGSU-MRXNPFEDSA-N 0 3 313.372 4.130 20 0 DIADHN CSCc1cnc(CNC[C@H](C)c2cccc(F)c2)s1 ZINC000815119251 1041914928 /nfs/dbraw/zinc/91/49/28/1041914928.db2.gz RQDMJOBGDCLERI-NSHDSACASA-N 0 3 310.463 4.039 20 0 DIADHN CCc1ccc(CN2CCC(c3ccc(N(C)C)cc3)CC2)nc1 ZINC000815093491 1041917561 /nfs/dbraw/zinc/91/75/61/1041917561.db2.gz MDCLSDKTAWMNAP-UHFFFAOYSA-N 0 3 323.484 4.090 20 0 DIADHN COc1ccc(CN[C@H](CC(C)C)c2ccc(F)cc2)cc1O ZINC000652146899 1041918473 /nfs/dbraw/zinc/91/84/73/1041918473.db2.gz AZYZQAHJOONJQV-QGZVFWFLSA-N 0 3 317.404 4.417 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000815152420 1041921225 /nfs/dbraw/zinc/92/12/25/1041921225.db2.gz SDLOMHZQGMKPOB-WCBMZHEXSA-N 0 3 311.269 4.315 20 0 DIADHN OCC[C@@H](NCc1cccc2c1CCC2)c1ccc(Cl)cc1 ZINC000651812118 1041921543 /nfs/dbraw/zinc/92/15/43/1041921543.db2.gz LWXMYMQKKNZWDC-LJQANCHMSA-N 0 3 315.844 4.042 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3cc4cnccc4o3)C2)c1 ZINC000651816953 1041923113 /nfs/dbraw/zinc/92/31/13/1041923113.db2.gz RBLWWIZZVPULJK-AWEZNQCLSA-N 0 3 312.800 4.471 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC(C4CCC4)CC3)cnc21 ZINC000661376252 1041927018 /nfs/dbraw/zinc/92/70/18/1041927018.db2.gz HTCQLHRVXYOWCJ-UHFFFAOYSA-N 0 3 312.461 4.024 20 0 DIADHN Cc1nn(C)c(Cl)c1CN[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000815166603 1041928848 /nfs/dbraw/zinc/92/88/48/1041928848.db2.gz PNZYFPSSRCYDJE-HAQNSBGRSA-N 0 3 324.255 4.071 20 0 DIADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651844711 1041932214 /nfs/dbraw/zinc/93/22/14/1041932214.db2.gz SDZHEGDCUZQGSQ-FCEWJHQRSA-N 0 3 312.457 4.196 20 0 DIADHN CC1(CN[C@H]2CCCc3c(Cl)sc(Cl)c32)COC1 ZINC000164363058 1041934668 /nfs/dbraw/zinc/93/46/68/1041934668.db2.gz IRWYSDUCWQAOAO-VIFPVBQESA-N 0 3 306.258 4.058 20 0 DIADHN CCc1nn(C)c(CC)c1CNC1(c2ccccc2C)CCC1 ZINC000651862059 1041936651 /nfs/dbraw/zinc/93/66/51/1041936651.db2.gz AFLLPSFHBRDYKO-UHFFFAOYSA-N 0 3 311.473 4.022 20 0 DIADHN COc1cc2c(cc1Cl)CN(CCc1cccs1)CC2 ZINC000815260657 1041936983 /nfs/dbraw/zinc/93/69/83/1041936983.db2.gz SQRRGDKZPBYOCS-UHFFFAOYSA-N 0 3 307.846 4.011 20 0 DIADHN Cc1ccccc1-c1cnc(CN[C@@H]2Cc3ccccc3[C@@H]2C)o1 ZINC000661525843 1041947535 /nfs/dbraw/zinc/94/75/35/1041947535.db2.gz UDXBZIDUEYPBNL-HNAYVOBHSA-N 0 3 318.420 4.468 20 0 DIADHN CC[C@H](OCCNCc1cc2cnccc2o1)c1ccccc1 ZINC000651908598 1041949074 /nfs/dbraw/zinc/94/90/74/1041949074.db2.gz IYLIVABYYUYRJD-SFHVURJKSA-N 0 3 310.397 4.085 20 0 DIADHN C[C@@H](N[C@H](c1ccc(F)cc1)C1CCCC1)c1cnn(C)c1 ZINC000164405810 1041950340 /nfs/dbraw/zinc/95/03/40/1041950340.db2.gz FDPNNHJMBNSRPS-ACJLOTCBSA-N 0 3 301.409 4.141 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)cc2C)cc1OC ZINC000815552662 1041961465 /nfs/dbraw/zinc/96/14/65/1041961465.db2.gz HFUYSHCQVSGDOR-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(F)cc2C)cc1OC ZINC000815552663 1041961781 /nfs/dbraw/zinc/96/17/81/1041961781.db2.gz HFUYSHCQVSGDOR-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000815559977 1041962795 /nfs/dbraw/zinc/96/27/95/1041962795.db2.gz VKAGDLJEUOZMIG-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc2c(c1)OCO2 ZINC000815557595 1041963015 /nfs/dbraw/zinc/96/30/15/1041963015.db2.gz YMQKBGJBCOPALV-STQMWFEESA-N 0 3 301.361 4.275 20 0 DIADHN COCc1cc(CN[C@H](C)c2ccc(F)cc2C)ccc1F ZINC000815581559 1041966228 /nfs/dbraw/zinc/96/62/28/1041966228.db2.gz JKYDOUIWDSHCDG-CYBMUJFWSA-N 0 3 305.368 4.270 20 0 DIADHN C[C@H](c1ccc(CN(C)CC2=CCCOC2)cc1)C(F)(F)F ZINC000661651867 1041966891 /nfs/dbraw/zinc/96/68/91/1041966891.db2.gz FOTWGLASTWGGPB-CYBMUJFWSA-N 0 3 313.363 4.131 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000815597927 1041970855 /nfs/dbraw/zinc/97/08/55/1041970855.db2.gz JAFKEONLPOCYSK-QLEMLULZSA-N 0 3 319.445 4.283 20 0 DIADHN Cc1ccc(-c2nnc(CN(C3CC3)C3CCCCC3)o2)cc1 ZINC000047904656 1041973457 /nfs/dbraw/zinc/97/34/57/1041973457.db2.gz LOOLTUXWMFYFQX-UHFFFAOYSA-N 0 3 311.429 4.342 20 0 DIADHN C[C@H](N[C@@H](CO)CC(F)F)c1ccccc1SC(C)(C)C ZINC000815620662 1041976584 /nfs/dbraw/zinc/97/65/84/1041976584.db2.gz XPUXMUJDMPZFST-NWDGAFQWSA-N 0 3 317.445 4.244 20 0 DIADHN CC[C@@H](OCCN[C@@H](CC)c1ccc(F)cn1)c1ccccc1 ZINC000652236527 1041980178 /nfs/dbraw/zinc/98/01/78/1041980178.db2.gz QEWHNTDSXXCIMU-PKOBYXMFSA-N 0 3 316.420 4.429 20 0 DIADHN Clc1ccc(-c2nc(CN[C@@H]3CCCOCC3)cs2)cc1 ZINC001170800509 1041991993 /nfs/dbraw/zinc/99/19/93/1041991993.db2.gz DDVDAGWBHKXRPT-CQSZACIVSA-N 0 3 322.861 4.122 20 0 DIADHN Clc1cccc(-c2nc(CN[C@H]3CCCOCC3)cs2)c1 ZINC001170800982 1041992325 /nfs/dbraw/zinc/99/23/25/1041992325.db2.gz LRHGBMFHVUSKGD-AWEZNQCLSA-N 0 3 322.861 4.122 20 0 DIADHN Cc1cc(OC(C)C)ccc1NC(=O)[C@@H](N)CC1CCCCC1 ZINC000323904062 1041997241 /nfs/dbraw/zinc/99/72/41/1041997241.db2.gz KIQAMSUTTXSBQQ-KRWDZBQOSA-N 0 3 318.461 4.018 20 0 DIADHN OCc1ccc(CN[C@H]2CCSc3ccc(Cl)cc32)cc1 ZINC000227463774 1042002631 /nfs/dbraw/zinc/00/26/31/1042002631.db2.gz HTVLPLQOKJGKHF-INIZCTEOSA-N 0 3 319.857 4.159 20 0 DIADHN COc1cc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)ccc1Cl ZINC000661872343 1042012723 /nfs/dbraw/zinc/01/27/23/1042012723.db2.gz AEPOXHAJIJXGEN-WBVHZDCISA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](NC(=O)[C@H]1CCN1C1CCCC1)c1cccc2ccccc21 ZINC001135332573 1042015197 /nfs/dbraw/zinc/01/51/97/1042015197.db2.gz JQGMHZJJUKFINI-MGPUTAFESA-N 0 3 322.452 4.034 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCC2(CCCC2=O)CC1 ZINC000661953373 1042029450 /nfs/dbraw/zinc/02/94/50/1042029450.db2.gz BRAJSAXSPYXKRC-CYBMUJFWSA-N 0 3 323.383 4.184 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H](C)C3CCC(F)CC3)o2)cc1 ZINC000661999014 1042038915 /nfs/dbraw/zinc/03/89/15/1042038915.db2.gz BGZHEMXFOPWNCM-HLIUYOAVSA-N 0 3 317.408 4.051 20 0 DIADHN C[C@@H](NCc1ncc(-c2ccccc2)o1)C1CCC(F)CC1 ZINC000662003044 1042040119 /nfs/dbraw/zinc/04/01/19/1042040119.db2.gz NBMWTZHVVGMJLW-VQCLRJIVSA-N 0 3 302.393 4.348 20 0 DIADHN C[C@H](NCc1coc(-c2ccc(F)cc2)n1)C1CCC(F)CC1 ZINC000662002928 1042040594 /nfs/dbraw/zinc/04/05/94/1042040594.db2.gz LRKBRLQKIUJWRJ-OPFPJEHXSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@H](N[C@@H](C)C1CCC(F)CC1)c1nnc(-c2ccccc2)o1 ZINC000662003516 1042040641 /nfs/dbraw/zinc/04/06/41/1042040641.db2.gz RHCXXVOSWYXJQE-ILGZHXLLSA-N 0 3 317.408 4.304 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCC[C@H](C2CCC2)C1 ZINC000662040641 1042046741 /nfs/dbraw/zinc/04/67/41/1042046741.db2.gz VJBNNAILISWVAL-AEFFLSMTSA-N 0 3 314.473 4.143 20 0 DIADHN Clc1ccc(SCCN2CCC(c3ccon3)CC2)cc1 ZINC000662043706 1042048554 /nfs/dbraw/zinc/04/85/54/1042048554.db2.gz NLUYBTWRLDPNCS-UHFFFAOYSA-N 0 3 322.861 4.300 20 0 DIADHN CCCCC[C@@H](C(=O)NC[C@@H](c1ccccc1)N(C)C)C(C)C ZINC001135563833 1042051460 /nfs/dbraw/zinc/05/14/60/1042051460.db2.gz MMTOQOCESWXVFA-MOPGFXCFSA-N 0 3 318.505 4.258 20 0 DIADHN CCCCC[C@H](C(=O)NC[C@@H](c1ccccc1)N(C)C)C(C)C ZINC001135563834 1042051574 /nfs/dbraw/zinc/05/15/74/1042051574.db2.gz MMTOQOCESWXVFA-OALUTQOASA-N 0 3 318.505 4.258 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1ncc(-c2ccccc2F)o1 ZINC000662132010 1042071636 /nfs/dbraw/zinc/07/16/36/1042071636.db2.gz XIUKRZSKGCNINY-AGUYFDCRSA-N 0 3 300.377 4.244 20 0 DIADHN C[C@@H](Cc1ccccc1)N(Cc1c[nH]cn1)Cc1ccccc1 ZINC000662153886 1042072916 /nfs/dbraw/zinc/07/29/16/1042072916.db2.gz HIJYTKSJIQQEFG-KRWDZBQOSA-N 0 3 305.425 4.043 20 0 DIADHN CC[C@@H](C)CCCCC(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001135742478 1042088384 /nfs/dbraw/zinc/08/83/84/1042088384.db2.gz NWOYRGGCGBGRSJ-AEFFLSMTSA-N 0 3 304.478 4.012 20 0 DIADHN CC[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000562041400 1042090385 /nfs/dbraw/zinc/09/03/85/1042090385.db2.gz LQPWHPKXVQGIAH-OUAUKWLOSA-N 0 3 304.381 4.391 20 0 DIADHN O[C@H](CN1CCC[C@H](CC(F)(F)F)C1)c1ccc(Cl)cc1 ZINC000817281714 1042100172 /nfs/dbraw/zinc/10/01/72/1042100172.db2.gz OGNNUMIYWPJWDB-BXUZGUMPSA-N 0 3 321.770 4.038 20 0 DIADHN CCc1ccc([C@H](C)NC(=O)[C@H](C)N[C@H](C)c2ccccc2)cc1 ZINC000255955405 1042101051 /nfs/dbraw/zinc/10/10/51/1042101051.db2.gz CZMXKUMHQUTLJE-IKGGRYGDSA-N 0 3 324.468 4.166 20 0 DIADHN CC(=O)OC1CCN(Cc2ccc(Cl)c3ccccc23)CC1 ZINC000817276295 1042101715 /nfs/dbraw/zinc/10/17/15/1042101715.db2.gz XQKLEWWHEUZTFI-UHFFFAOYSA-N 0 3 317.816 4.021 20 0 DIADHN CC[C@H](CC(=O)N1CCN(C2CCC(C)CC2)CC1)C(C)(C)C ZINC001126219347 1042102562 /nfs/dbraw/zinc/10/25/62/1042102562.db2.gz FLJMUJKTEYXDLH-LXPRWKDFSA-N 0 3 322.537 4.172 20 0 DIADHN Cc1cc(Br)cc(CNCc2cc(C)nc(C)c2)c1 ZINC000817409649 1042106866 /nfs/dbraw/zinc/10/68/66/1042106866.db2.gz DMVIHMNNXCFYRP-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1cc(CNCc2cc(C)c(OC(F)F)c(C)c2)cc(C)n1 ZINC000817411230 1042107219 /nfs/dbraw/zinc/10/72/19/1042107219.db2.gz PVMHUBBPTCIKOB-UHFFFAOYSA-N 0 3 320.383 4.206 20 0 DIADHN Cc1cc(CNCc2ccc(SC(F)F)cc2)cc(C)n1 ZINC000817411743 1042108723 /nfs/dbraw/zinc/10/87/23/1042108723.db2.gz VHCQZQZJTLJOIT-UHFFFAOYSA-N 0 3 308.397 4.303 20 0 DIADHN CC(C)c1cccc(CNCc2ccnc(N3CCCC3)c2)c1 ZINC000663314789 1042110088 /nfs/dbraw/zinc/11/00/88/1042110088.db2.gz LWOBEUMNMPYUII-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN O=C(CCN1CC2CC1(c1ccccc1)C2)c1ccc(F)cc1 ZINC000662330823 1042114420 /nfs/dbraw/zinc/11/44/20/1042114420.db2.gz IJXLNNCJCBKKTE-UHFFFAOYSA-N 0 3 309.384 4.020 20 0 DIADHN Brc1csc([C@H](N[C@H]2CC=CCC2)C2CC2)n1 ZINC000817579671 1042116321 /nfs/dbraw/zinc/11/63/21/1042116321.db2.gz DITMIAOKAQRCJK-CMPLNLGQSA-N 0 3 313.264 4.055 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccncc1Cl)[C@H](O)c1ccccc1 ZINC000817583564 1042117264 /nfs/dbraw/zinc/11/72/64/1042117264.db2.gz DAUSIENETISUTK-JEBQAFNWSA-N 0 3 318.848 4.288 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H](c2nc(Br)cs2)C2CC2)C1 ZINC000817584335 1042117601 /nfs/dbraw/zinc/11/76/01/1042117601.db2.gz WYSRRVMUIVNXHB-HLUHBDAQSA-N 0 3 315.280 4.135 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc(Cl)c(N)c(Cl)c2)cc(C)n1 ZINC000817589004 1042119343 /nfs/dbraw/zinc/11/93/43/1042119343.db2.gz KUQZGUKYJPMWQU-LLVKDONJSA-N 0 3 324.255 4.438 20 0 DIADHN CCn1nccc1CNC/C(C)=C\c1ccccc1C(F)(F)F ZINC000663349590 1042127122 /nfs/dbraw/zinc/12/71/22/1042127122.db2.gz LXVJHUBLHWWAOH-RAXLEYEMSA-N 0 3 323.362 4.115 20 0 DIADHN CCn1nccc1CNCc1sc2c(cccc2Cl)c1C ZINC000663349095 1042128796 /nfs/dbraw/zinc/12/87/96/1042128796.db2.gz DSMRTYCDKLKQBY-UHFFFAOYSA-N 0 3 319.861 4.369 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000162581253 1042129309 /nfs/dbraw/zinc/12/93/09/1042129309.db2.gz KEVFHEWPWUBBAM-UHFFFAOYSA-N 0 3 315.379 4.485 20 0 DIADHN Cc1cc(C)c(CNCc2cnccc2C(F)(F)F)cc1C ZINC000663352899 1042130783 /nfs/dbraw/zinc/13/07/83/1042130783.db2.gz XEDBOVBETZDDOW-UHFFFAOYSA-N 0 3 308.347 4.315 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)NCc1ccc(C#N)cc1 ZINC000314411713 1042132076 /nfs/dbraw/zinc/13/20/76/1042132076.db2.gz ANPJPWDJCJGWPP-LBPRGKRZSA-N 0 3 313.470 4.472 20 0 DIADHN CC(C)(CNCc1nc2c(s1)CCCC2)CC(F)(F)F ZINC000663358082 1042133078 /nfs/dbraw/zinc/13/30/78/1042133078.db2.gz PFTGJPWJHFZGLI-UHFFFAOYSA-N 0 3 306.397 4.090 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccnc(Cl)c1 ZINC000817968910 1042133820 /nfs/dbraw/zinc/13/38/20/1042133820.db2.gz YQHKPSQOQWKBEQ-BDJLRTHQSA-N 0 3 301.821 4.045 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(Cl)nc1 ZINC000817967225 1042134106 /nfs/dbraw/zinc/13/41/06/1042134106.db2.gz FTEHYKTWFJQZNV-BZNIZROVSA-N 0 3 301.821 4.045 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000817974896 1042134834 /nfs/dbraw/zinc/13/48/34/1042134834.db2.gz HEORYMQKTAHHRX-OALUTQOASA-N 0 3 322.452 4.457 20 0 DIADHN Cc1cccc([C@H](NC(=O)c2cccc3c2CNC3)C(C)(C)C)c1 ZINC000662495324 1042136623 /nfs/dbraw/zinc/13/66/23/1042136623.db2.gz QOFIZCSDWLFULM-IBGZPJMESA-N 0 3 322.452 4.115 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC001136132301 1042146556 /nfs/dbraw/zinc/14/65/56/1042146556.db2.gz HQJRRJORTKXROA-LJQANCHMSA-N 0 3 323.440 4.242 20 0 DIADHN Clc1ccc(C2(NCc3ccc(-n4cccn4)cc3)CC2)cc1 ZINC000069878349 1042149776 /nfs/dbraw/zinc/14/97/76/1042149776.db2.gz LPJQRUJNKWNOCZ-UHFFFAOYSA-N 0 3 323.827 4.305 20 0 DIADHN CC[C@@H](NCc1cc(N)ccn1)c1ccc(Cl)c(Cl)c1 ZINC000925772285 1042158560 /nfs/dbraw/zinc/15/85/60/1042158560.db2.gz RLUSNHSNTAHRSH-OAHLLOKOSA-N 0 3 310.228 4.212 20 0 DIADHN CN(CC(=O)N(Cc1ccccc1)c1ccccc1)C1CCCC1 ZINC000302921634 1042163960 /nfs/dbraw/zinc/16/39/60/1042163960.db2.gz OEABOAMYKYQNOL-UHFFFAOYSA-N 0 3 322.452 4.094 20 0 DIADHN CC1(C)CCC[C@@H](CN2CCC[C@H]2CC(=O)c2cccs2)O1 ZINC001167810780 1042168491 /nfs/dbraw/zinc/16/84/91/1042168491.db2.gz HCSJHYNTGVUTTL-GJZGRUSLSA-N 0 3 321.486 4.133 20 0 DIADHN COc1cc(CN([C@@H](C)c2ccccc2)C2CCCC2)on1 ZINC000660525011 1042169437 /nfs/dbraw/zinc/16/94/37/1042169437.db2.gz IKUVFEWCMZCEFH-AWEZNQCLSA-N 0 3 300.402 4.189 20 0 DIADHN O=C(NCCN1CCCCC1)C1(C2CCCCC2)CCCCC1 ZINC001136224414 1042181818 /nfs/dbraw/zinc/18/18/18/1042181818.db2.gz UAXQPPKVHVARIJ-UHFFFAOYSA-N 0 3 320.521 4.119 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnccc1C(F)(F)F)[C@H](C)C2 ZINC000663470085 1042181810 /nfs/dbraw/zinc/18/18/10/1042181810.db2.gz IOAMHKUHHACIEO-SJKOYZFVSA-N 0 3 320.358 4.432 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccnc(Cl)c2)C1(CC)CC ZINC001167820221 1042183716 /nfs/dbraw/zinc/18/37/16/1042183716.db2.gz QZKWKCSVQLCUMD-CABCVRRESA-N 0 3 310.869 4.151 20 0 DIADHN CC[C@@H](NCc1cnccc1C(F)(F)F)c1cccc(OC)c1 ZINC000663474339 1042184113 /nfs/dbraw/zinc/18/41/13/1042184113.db2.gz MXXAQFQCKDXCNU-MRXNPFEDSA-N 0 3 324.346 4.350 20 0 DIADHN CCC(CC)(CN[C@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1 ZINC000663477422 1042187491 /nfs/dbraw/zinc/18/74/91/1042187491.db2.gz DZWXFDXWGYIHNE-PBHICJAKSA-N 0 3 315.436 4.376 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cnccc2C(F)(F)F)c2ccccc21 ZINC000663475973 1042188426 /nfs/dbraw/zinc/18/84/26/1042188426.db2.gz WVTZQJNXSHLDQU-BDJLRTHQSA-N 0 3 306.331 4.439 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@H]1c1cccc2c1OCCO2 ZINC000303123354 1042189437 /nfs/dbraw/zinc/18/94/37/1042189437.db2.gz AKOJLKHENPSTPZ-SQUITACLSA-N 0 3 321.420 4.308 20 0 DIADHN FC(F)(F)[C@@H]1CCCN([C@@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170933149 1042191256 /nfs/dbraw/zinc/19/12/56/1042191256.db2.gz HUEBGYNZLNSQTG-CHWSQXEVSA-N 0 3 317.782 4.472 20 0 DIADHN FC(F)(F)[C@@H]1CCN([C@@H]2CCc3cccc(Cl)c3C2)C1 ZINC001170936028 1042193115 /nfs/dbraw/zinc/19/31/15/1042193115.db2.gz XPUHSBYEHWMLEA-VXGBXAGGSA-N 0 3 303.755 4.082 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccccc1Br ZINC000384005181 1042198851 /nfs/dbraw/zinc/19/88/51/1042198851.db2.gz NKYXSZIFLJMDMF-VHSXEESVSA-N 0 3 304.178 4.288 20 0 DIADHN Cc1ccc(NC(=O)c2sccc2C(F)F)c(CN(C)C)c1 ZINC000819992108 1042202639 /nfs/dbraw/zinc/20/26/39/1042202639.db2.gz IJPZTWBHYNOVJT-UHFFFAOYSA-N 0 3 324.396 4.308 20 0 DIADHN Cc1cccc2cc(CNC[C@@]3(C)CC3(F)F)c(Cl)nc12 ZINC001203161427 1042203062 /nfs/dbraw/zinc/20/30/62/1042203062.db2.gz NPPMXGHUXBRRRF-OAHLLOKOSA-N 0 3 310.775 4.332 20 0 DIADHN CCN(Cc1ccc(Cl)s1)C[C@H](O)c1ccc(F)cc1 ZINC000820155382 1042206821 /nfs/dbraw/zinc/20/68/21/1042206821.db2.gz FDSPBUQZLVMDEH-AWEZNQCLSA-N 0 3 313.825 4.096 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(C(F)F)cc2)cc1C ZINC000893621981 1042211936 /nfs/dbraw/zinc/21/19/36/1042211936.db2.gz QDTHGKIPMJMUTO-UHFFFAOYSA-N 0 3 319.351 4.009 20 0 DIADHN Fc1cccc2c1C[C@@H](NCc1nc3ccccc3s1)CC2 ZINC001170947759 1042218006 /nfs/dbraw/zinc/21/80/06/1042218006.db2.gz AZKVTAHRVNTADU-ZDUSSCGKSA-N 0 3 312.413 4.083 20 0 DIADHN CCCN(Cc1cc(Cl)ccc1OC)C[C@H]1CCCCO1 ZINC000071963788 1042223027 /nfs/dbraw/zinc/22/30/27/1042223027.db2.gz DPVVXDKEONOPGI-MRXNPFEDSA-N 0 3 311.853 4.130 20 0 DIADHN CC(=O)Oc1cccc(CN(C)[C@H](C)c2ccc(Cl)cc2)c1 ZINC001138043670 1042228393 /nfs/dbraw/zinc/22/83/93/1042228393.db2.gz BUGGGPFQAOLHNG-CYBMUJFWSA-N 0 3 317.816 4.458 20 0 DIADHN CC(C)C[C@H](C)OC(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000820773648 1042228469 /nfs/dbraw/zinc/22/84/69/1042228469.db2.gz CKPBVBGPIXUFCN-LSDHHAIUSA-N 0 3 324.490 4.046 20 0 DIADHN CSC(C)(C)CCN1CCC[C@H]1c1nc2ccccc2n1C ZINC000820855973 1042230475 /nfs/dbraw/zinc/23/04/75/1042230475.db2.gz HKGIXVZLOXIAAW-INIZCTEOSA-N 0 3 317.502 4.242 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@H](C(C)C)N2CCCCC2)c1 ZINC001136387646 1042230627 /nfs/dbraw/zinc/23/06/27/1042230627.db2.gz SKCWJEHZDYNDTP-IBGZPJMESA-N 0 3 316.489 4.283 20 0 DIADHN c1[nH]nc2c1CN(Cc1ccccc1-c1ccccc1)CCC2 ZINC000828013730 1042241693 /nfs/dbraw/zinc/24/16/93/1042241693.db2.gz IONRBTCXVHXLNC-UHFFFAOYSA-N 0 3 303.409 4.025 20 0 DIADHN CCCN(Cc1ccc(-n2ccnc2)cc1)Cc1ccccc1F ZINC000585749486 1042242140 /nfs/dbraw/zinc/24/21/40/1042242140.db2.gz HBGALPIRWABUNG-UHFFFAOYSA-N 0 3 323.415 4.424 20 0 DIADHN O=C1CCN(CCCc2ccc(Cl)cc2)[C@@H]2CCCC[C@@H]12 ZINC001207593084 1042248431 /nfs/dbraw/zinc/24/84/31/1042248431.db2.gz NTPVMBFCSIGREO-IAGOWNOFSA-N 0 3 305.849 4.106 20 0 DIADHN CC(C)(C)[C@@H](NCc1ccc2nonc2c1)c1ccc(F)cc1 ZINC000821391042 1042249496 /nfs/dbraw/zinc/24/94/96/1042249496.db2.gz GMWNDWVOWUMUOI-KRWDZBQOSA-N 0 3 313.376 4.239 20 0 DIADHN c1cc(-c2ccccc2)oc1CNCCN1CCc2ccccc21 ZINC001648940922 1042251899 /nfs/dbraw/zinc/25/18/99/1042251899.db2.gz NADSIPWLUDINEV-UHFFFAOYSA-N 0 3 318.420 4.099 20 0 DIADHN Fc1ccc(C2(N[C@@H]3CCc4cccc(F)c4C3)CC2)c(F)c1 ZINC001170968911 1042259128 /nfs/dbraw/zinc/25/91/28/1042259128.db2.gz DVFXRYFKFVYFNM-CQSZACIVSA-N 0 3 317.354 4.240 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](C)c2cc3ccccc3o2)cc1 ZINC000026313121 1042259648 /nfs/dbraw/zinc/25/96/48/1042259648.db2.gz XAEVHNPRAMVZPK-CYBMUJFWSA-N 0 3 308.381 4.242 20 0 DIADHN CCC[C@H](CC)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001136479995 1042261128 /nfs/dbraw/zinc/26/11/28/1042261128.db2.gz ZAMWGHRCQDGILD-PKOBYXMFSA-N 0 3 316.489 4.074 20 0 DIADHN COCc1cccc(CN[C@@H](C)c2ccc(F)c(Cl)c2)c1 ZINC000038010648 1042267234 /nfs/dbraw/zinc/26/72/34/1042267234.db2.gz GZNHIDOUPFPTNZ-LBPRGKRZSA-N 0 3 307.796 4.476 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CNCc2nc3ccccc3o2)C1 ZINC000638727543 1042274537 /nfs/dbraw/zinc/27/45/37/1042274537.db2.gz FKSHCDRIYBMERJ-NWDGAFQWSA-N 0 3 312.335 4.286 20 0 DIADHN Cc1csc([C@@H](C)CNCc2ncc(C(C)(C)C)s2)n1 ZINC000279142602 1042276463 /nfs/dbraw/zinc/27/64/63/1042276463.db2.gz NZZFNVMQVWEJCN-JTQLQIEISA-N 0 3 309.504 4.099 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2cccc(N3CCCC3)c2)c(C)n1 ZINC000168352440 1042280646 /nfs/dbraw/zinc/28/06/46/1042280646.db2.gz HMZKXENVCKHWED-HUUCEWRRSA-N 0 3 324.472 4.105 20 0 DIADHN CSc1ccc(CN[C@H](C)c2cccc(NC(C)=O)c2)cc1 ZINC000028924758 1042286138 /nfs/dbraw/zinc/28/61/38/1042286138.db2.gz QYAKETXFNSBRIZ-CYBMUJFWSA-N 0 3 314.454 4.218 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCCO[C@@H](c2ccccc2)C1 ZINC000822611401 1042293341 /nfs/dbraw/zinc/29/33/41/1042293341.db2.gz MJYCLQSQMLVUQI-HUUCEWRRSA-N 0 3 314.256 4.034 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCCO[C@H](c2ccccc2)C1 ZINC000822611400 1042293355 /nfs/dbraw/zinc/29/33/55/1042293355.db2.gz MJYCLQSQMLVUQI-GJZGRUSLSA-N 0 3 314.256 4.034 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCC(OC2CCCCC2)CC1 ZINC000822592827 1042295508 /nfs/dbraw/zinc/29/55/08/1042295508.db2.gz JZTZAYPHEISEPY-CYBMUJFWSA-N 0 3 320.304 4.384 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CC[C@H]1CC1(Cl)Cl ZINC000822660095 1042295731 /nfs/dbraw/zinc/29/57/31/1042295731.db2.gz XVXLDJZDRDURBA-JSGCOSHPSA-N 0 3 301.261 4.136 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1CC[C@@H]1CC1(Cl)Cl ZINC000822660097 1042296231 /nfs/dbraw/zinc/29/62/31/1042296231.db2.gz XVXLDJZDRDURBA-OCCSQVGLSA-N 0 3 301.261 4.136 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000187069366 1042296619 /nfs/dbraw/zinc/29/66/19/1042296619.db2.gz JWBQMWQPNVSBMD-JFGNBEQYSA-N 0 3 315.230 4.213 20 0 DIADHN CC(C)(C)c1ccc(CN[C@](C)(CO)c2ccccc2)s1 ZINC000122533861 1042297377 /nfs/dbraw/zinc/29/73/77/1042297377.db2.gz HKRGEMHACXAERR-GOSISDBHSA-N 0 3 303.471 4.043 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CC[C@@H]1CC1(Cl)Cl ZINC000822833163 1042303301 /nfs/dbraw/zinc/30/33/01/1042303301.db2.gz YQOPSEORNSCXJX-BBBLOLIVSA-N 0 3 304.183 4.233 20 0 DIADHN COCCCC1(CN2CCC[C@@H]2c2csc(C)n2)CCC1 ZINC000893677531 1042299625 /nfs/dbraw/zinc/29/96/25/1042299625.db2.gz BIQWXDVOABQSHF-MRXNPFEDSA-N 0 3 308.491 4.185 20 0 DIADHN CN(C)CCN(C(=O)CCC1CCCCCC1)C1CCCCC1 ZINC001136626617 1042302052 /nfs/dbraw/zinc/30/20/52/1042302052.db2.gz GXTBBKHKTSSEDA-UHFFFAOYSA-N 0 3 322.537 4.460 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(Br)c2)ccn1 ZINC000391148586 1042302324 /nfs/dbraw/zinc/30/23/24/1042302324.db2.gz VQLLMSDENCZILD-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(F)c(Br)c2)ccn1 ZINC000391152430 1042302419 /nfs/dbraw/zinc/30/24/19/1042302419.db2.gz PJTHEHMABRNTHJ-NSHDSACASA-N 0 3 323.209 4.142 20 0 DIADHN CC(C)COC[C@H](NCC[C@@H]1CC1(Cl)Cl)c1ccco1 ZINC000822841934 1042302869 /nfs/dbraw/zinc/30/28/69/1042302869.db2.gz RJJMXHKUYWPASZ-OLZOCXBDSA-N 0 3 320.260 4.167 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCO2)c1ccc(Cl)cc1 ZINC000021501599 1042304002 /nfs/dbraw/zinc/30/40/02/1042304002.db2.gz XBLUEKVXDROBIW-HNNXBMFYSA-N 0 3 303.789 4.310 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OCO2)c1ccc(Cl)cc1 ZINC000021501597 1042304493 /nfs/dbraw/zinc/30/44/93/1042304493.db2.gz XBLUEKVXDROBIW-OAHLLOKOSA-N 0 3 303.789 4.310 20 0 DIADHN CCCC1(CN[C@@H](C)c2ncc(Br)cc2F)CC1 ZINC000187368429 1042312007 /nfs/dbraw/zinc/31/20/07/1042312007.db2.gz RHGSFNPTYCXFGM-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN CCCCC[C@H](NCc1ccc(C)n(C)c1=O)c1ccccc1 ZINC000279412786 1042316121 /nfs/dbraw/zinc/31/61/21/1042316121.db2.gz DXVVTIKRJGUAQH-IBGZPJMESA-N 0 3 312.457 4.105 20 0 DIADHN COc1ccc(CN[C@H](C)c2cccc(F)c2)c(C)c1OC ZINC000808374382 1042321412 /nfs/dbraw/zinc/32/14/12/1042321412.db2.gz MGHLWUJWNRJBPR-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CCCN(CCC)[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000557226773 1042322651 /nfs/dbraw/zinc/32/26/51/1042322651.db2.gz QHJZQMBCESJXIT-LLVKDONJSA-N 0 3 317.260 4.442 20 0 DIADHN Cc1nc([C@H](C)N[C@@H]2CCc3c(Cl)cccc3C2)cs1 ZINC001171017738 1042324964 /nfs/dbraw/zinc/32/49/64/1042324964.db2.gz KSIINMKKSAQIKA-GXFFZTMASA-N 0 3 306.862 4.313 20 0 DIADHN CC(=O)[C@H](N[C@@H]1CCc2c(Cl)cccc2C1)c1ccccc1 ZINC001171019716 1042328017 /nfs/dbraw/zinc/32/80/17/1042328017.db2.gz ZOUNSNCIWDAPNW-APWZRJJASA-N 0 3 313.828 4.117 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cnn(-c3ccccc3)c2)s1 ZINC000319067763 1042330841 /nfs/dbraw/zinc/33/08/41/1042330841.db2.gz MUSPUMYDPSPDNX-AWEZNQCLSA-N 0 3 311.454 4.347 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000823578585 1042333239 /nfs/dbraw/zinc/33/32/39/1042333239.db2.gz DVHCXXMLWHKWOT-GOSISDBHSA-N 0 3 315.848 4.192 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)nn1C)c1cccc2ccccc21 ZINC000823613471 1042335291 /nfs/dbraw/zinc/33/52/91/1042335291.db2.gz FMWCHISOBJATML-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cccs2)c(OC)c1 ZINC000216350900 1042338286 /nfs/dbraw/zinc/33/82/86/1042338286.db2.gz SNMXLWFKLQJLID-MRXNPFEDSA-N 0 3 303.427 4.102 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@H](C)c2cccnc2)cc1OC ZINC000112529395 1042340965 /nfs/dbraw/zinc/34/09/65/1042340965.db2.gz ZEPJYFHITASWCH-HUUCEWRRSA-N 0 3 314.429 4.291 20 0 DIADHN COCc1cc([C@H](C)N[C@@H]2CCCc3occc32)ccc1OC ZINC000123391967 1042344802 /nfs/dbraw/zinc/34/48/02/1042344802.db2.gz ADSGSISEMDBQTB-SUMWQHHRSA-N 0 3 315.413 4.163 20 0 DIADHN CC[C@H](NCc1cnn(Cc2ccccc2)c1)c1ccc(F)cc1 ZINC000124062092 1042351806 /nfs/dbraw/zinc/35/18/06/1042351806.db2.gz ITIDGUIEIKKOCZ-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN CCCCCC(C)(C)C(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001625919697 1042354862 /nfs/dbraw/zinc/35/48/62/1042354862.db2.gz UWFLHTLNNHMHJZ-GOSISDBHSA-N 0 3 318.505 4.320 20 0 DIADHN COC(=O)c1cc(Cl)cc(Nc2ccnc(C3CC3)c2)c1 ZINC001213332832 1042361017 /nfs/dbraw/zinc/36/10/17/1042361017.db2.gz NFHRPAIBGFZJLA-UHFFFAOYSA-N 0 3 302.761 4.143 20 0 DIADHN CCCCCNCc1nc(-c2cccc(Br)c2)c[nH]1 ZINC000236752842 1042371685 /nfs/dbraw/zinc/37/16/85/1042371685.db2.gz ALUUOHNLPVQUIX-UHFFFAOYSA-N 0 3 322.250 4.119 20 0 DIADHN CCOc1cccc(CNCc2cccn2CCC(C)C)c1 ZINC000236952661 1042372830 /nfs/dbraw/zinc/37/28/30/1042372830.db2.gz SGETWTCOWKYTQH-UHFFFAOYSA-N 0 3 300.446 4.223 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnn(C)c1C1CC1)CC2 ZINC000893718096 1042372862 /nfs/dbraw/zinc/37/28/62/1042372862.db2.gz ZBLZBNWPIFUKJB-LJQANCHMSA-N 0 3 309.457 4.198 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2cccnc2C)cc1OC ZINC000236868580 1042372876 /nfs/dbraw/zinc/37/28/76/1042372876.db2.gz WZWFCZUMFFYGDF-AWEZNQCLSA-N 0 3 314.429 4.038 20 0 DIADHN COc1cc(C)ccc1CN(C)CCCOc1ccc(F)cc1 ZINC001204410454 1042380163 /nfs/dbraw/zinc/38/01/63/1042380163.db2.gz DLYGJAWHSUKDNE-UHFFFAOYSA-N 0 3 317.404 4.044 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN(C)Cc1ccc(Cl)nc1 ZINC001207679090 1042383379 /nfs/dbraw/zinc/38/33/79/1042383379.db2.gz VMZXKKNHHMMWQK-CQSZACIVSA-N 0 3 312.885 4.134 20 0 DIADHN C[C@@H](CNCc1nccn1C1CC1)c1ccc(C(F)(F)F)cc1 ZINC000893912948 1042395170 /nfs/dbraw/zinc/39/51/70/1042395170.db2.gz LRIFVNSYKKCXRQ-LBPRGKRZSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@H]1CC2(CCC2)CN1Cc1cnc(CC(F)(F)F)s1 ZINC000894040047 1042404383 /nfs/dbraw/zinc/40/43/83/1042404383.db2.gz ZHGXAWWPNKJYKB-JTQLQIEISA-N 0 3 304.381 4.012 20 0 DIADHN CCOc1ccc(CNCc2ccc(C)c(F)c2)cc1OCC ZINC000236956711 1042427247 /nfs/dbraw/zinc/42/72/47/1042427247.db2.gz YZZZUCYHNPQLFT-UHFFFAOYSA-N 0 3 317.404 4.221 20 0 DIADHN C[C@H](NCc1ccnn1C)c1ccc(-c2ccccc2F)s1 ZINC000152178583 1042428947 /nfs/dbraw/zinc/42/89/47/1042428947.db2.gz JJKAHZIKKBJACN-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN CCN(CCc1nccs1)Cc1cnc2ccc(C)cc2c1 ZINC000411402604 1042444648 /nfs/dbraw/zinc/44/46/48/1042444648.db2.gz QSSRYRPTUWLQKZ-UHFFFAOYSA-N 0 3 311.454 4.064 20 0 DIADHN CCOc1ccc(CNCc2ccccc2N2CCCCC2)cc1 ZINC000236997395 1042450800 /nfs/dbraw/zinc/45/08/00/1042450800.db2.gz RNTNRMXDABHDSR-UHFFFAOYSA-N 0 3 324.468 4.365 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@H]1NCc1nc2ccccc2c(=O)[nH]1 ZINC000664048140 1042457859 /nfs/dbraw/zinc/45/78/59/1042457859.db2.gz ZSPSPSKWYCORCV-GOEBONIOSA-N 0 3 313.445 4.030 20 0 DIADHN CCC(CC)(CCO)NCc1cc(-c2ccccc2Cl)on1 ZINC000419272948 1042459783 /nfs/dbraw/zinc/45/97/83/1042459783.db2.gz WLHCCDQLFWVRKZ-UHFFFAOYSA-N 0 3 322.836 4.026 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN1CCCC2(CCC2)C1 ZINC000411656221 1042459999 /nfs/dbraw/zinc/45/99/99/1042459999.db2.gz AAXKCGNUOKVJRF-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN Cc1cc([C@H]2CCCN2CCCOc2ccc(C)c(C)c2)on1 ZINC000052010315 1042461317 /nfs/dbraw/zinc/46/13/17/1042461317.db2.gz BTSZWFXXSLPXTA-GOSISDBHSA-N 0 3 314.429 4.206 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@H](C)c2ccccc2C)c(C)c1 ZINC000120506611 1042461683 /nfs/dbraw/zinc/46/16/83/1042461683.db2.gz FXGMZYDJEVLNLB-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC(OC2CCC2)CC1 ZINC000419305115 1042464512 /nfs/dbraw/zinc/46/45/12/1042464512.db2.gz QPJQYTSPDLQDPW-UHFFFAOYSA-N 0 3 316.489 4.301 20 0 DIADHN CC[C@H](NCc1nnc(-c2ccccc2Cl)o1)[C@@H]1CC1(C)C ZINC000419307996 1042465173 /nfs/dbraw/zinc/46/51/73/1042465173.db2.gz AEOZETNKAWCZCR-JSGCOSHPSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1noc2ncc(CN[C@@H](c3ccc(F)cc3)C(C)C)cc12 ZINC000894157798 1042475206 /nfs/dbraw/zinc/47/52/06/1042475206.db2.gz IOBIVQXNLUTDRC-QGZVFWFLSA-N 0 3 313.376 4.157 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(C3CC3)cc2)s1 ZINC000419354680 1042477329 /nfs/dbraw/zinc/47/73/29/1042477329.db2.gz MHJYYKLVMQJHIA-LBPRGKRZSA-N 0 3 315.438 4.263 20 0 DIADHN CCOCc1ccccc1CNCc1nccc2ccccc21 ZINC000894164115 1042479318 /nfs/dbraw/zinc/47/93/18/1042479318.db2.gz CURAMKNVEFKGGG-UHFFFAOYSA-N 0 3 306.409 4.061 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](N[C@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC001167923282 1042482006 /nfs/dbraw/zinc/48/20/06/1042482006.db2.gz BLEDYBJVOAVNED-XLSPSMHOSA-N 0 3 317.436 4.051 20 0 DIADHN CC(C)Oc1ccc(CNCc2cccc3c2NCCC3)cc1 ZINC000894170378 1042494476 /nfs/dbraw/zinc/49/44/76/1042494476.db2.gz RZFWHCLRBLSOBY-UHFFFAOYSA-N 0 3 310.441 4.122 20 0 DIADHN C[C@H](NCCSC(C)(C)C)c1ccc(Br)cn1 ZINC000280123973 1042565658 /nfs/dbraw/zinc/56/56/58/1042565658.db2.gz OLYBSHAAROJSBD-JTQLQIEISA-N 0 3 317.296 4.026 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H](C)c1cn(C)cn1 ZINC000924895708 1042513441 /nfs/dbraw/zinc/51/34/41/1042513441.db2.gz METZFZGOBGTRGQ-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(OC(F)F)cc1)CCC2 ZINC000283933776 1042528225 /nfs/dbraw/zinc/52/82/25/1042528225.db2.gz FCUXDCWBTUDZEH-QGZVFWFLSA-N 0 3 319.351 4.161 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCO3)s1 ZINC000513375269 1042537493 /nfs/dbraw/zinc/53/74/93/1042537493.db2.gz ICGJVCPPGIYYOB-OAHLLOKOSA-N 0 3 301.411 4.122 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2ccc3c(c2)OCO3)s1 ZINC000513375254 1042537553 /nfs/dbraw/zinc/53/75/53/1042537553.db2.gz ICGJVCPPGIYYOB-HNNXBMFYSA-N 0 3 301.411 4.122 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(C)cc2)s1 ZINC000513412006 1042540158 /nfs/dbraw/zinc/54/01/58/1042540158.db2.gz SGXXOCBHJSYYTC-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN COCc1csc(CN(C)[C@@H](C)c2ccc(OC)cc2)c1 ZINC000667495044 1042545187 /nfs/dbraw/zinc/54/51/87/1042545187.db2.gz WIMOFQCMKFRBOU-ZDUSSCGKSA-N 0 3 305.443 4.096 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc3c2OCO3)s1 ZINC000513479253 1042545676 /nfs/dbraw/zinc/54/56/76/1042545676.db2.gz JNDUKZUWCWOUPZ-CQSZACIVSA-N 0 3 301.411 4.122 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3cc(C)ccn23)s1 ZINC000513483053 1042546000 /nfs/dbraw/zinc/54/60/00/1042546000.db2.gz APEOFKBLQBKKLQ-MRXNPFEDSA-N 0 3 311.454 4.350 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3c(C)cccn23)s1 ZINC000513489117 1042547182 /nfs/dbraw/zinc/54/71/82/1042547182.db2.gz FXHGDEPQVMQJKT-INIZCTEOSA-N 0 3 311.454 4.350 20 0 DIADHN Cn1nccc1CNC1(c2ccc(C(C)(C)C)cc2)CCCC1 ZINC000513514391 1042549877 /nfs/dbraw/zinc/54/98/77/1042549877.db2.gz XDYDMBWEMBXIAW-UHFFFAOYSA-N 0 3 311.473 4.277 20 0 DIADHN CCCc1ccc([C@@H](N[C@H](C)c2ccc(=O)[nH]n2)C(C)C)cc1 ZINC000513654039 1042556654 /nfs/dbraw/zinc/55/66/54/1042556654.db2.gz GWLLGURTQRHKHX-KUHUBIRLSA-N 0 3 313.445 4.183 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1c[nH]nc1-c1ccccc1 ZINC000513672583 1042557441 /nfs/dbraw/zinc/55/74/41/1042557441.db2.gz LTJVDEXEHYPTPA-HNNXBMFYSA-N 0 3 303.409 4.196 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000513685210 1042559449 /nfs/dbraw/zinc/55/94/49/1042559449.db2.gz XMJDHXPIATVCGA-OAHLLOKOSA-N 0 3 303.409 4.196 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccccc1C(F)(F)F ZINC000279992203 1042561113 /nfs/dbraw/zinc/56/11/13/1042561113.db2.gz FJDUKIQNQKNFHK-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN Cc1ncsc1CCN[C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000280117491 1042564416 /nfs/dbraw/zinc/56/44/16/1042564416.db2.gz PVIAOYGQPJWJQR-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1ccc(C(F)(F)F)cc1 ZINC000280097558 1042564741 /nfs/dbraw/zinc/56/47/41/1042564741.db2.gz MWMWHMJGTMHDMY-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN CCC[C@H](N[C@H](C)c1ccc(Cl)cn1)[C@@H](O)c1ccccc1 ZINC000280163773 1042566590 /nfs/dbraw/zinc/56/65/90/1042566590.db2.gz QVOIEAKSLIGZQS-BVGQSLNGSA-N 0 3 318.848 4.288 20 0 DIADHN Cc1nc2ccccc2n1C1CCN([C@@H](C)c2cccnc2)CC1 ZINC000280216179 1042567290 /nfs/dbraw/zinc/56/72/90/1042567290.db2.gz KPWWFUQXOTVDGX-HNNXBMFYSA-N 0 3 320.440 4.138 20 0 DIADHN CCOCc1ccc(CN[C@@H](c2cccnc2)C2CCC2)cc1 ZINC000280315985 1042569996 /nfs/dbraw/zinc/56/99/96/1042569996.db2.gz OWNBLZMXADYUKC-HXUWFJFHSA-N 0 3 310.441 4.249 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2nc(-c3ccccc3)cs2)CC1 ZINC000280413636 1042572810 /nfs/dbraw/zinc/57/28/10/1042572810.db2.gz YRFIQXFIUXYIAF-SFHVURJKSA-N 0 3 316.470 4.201 20 0 DIADHN Cc1noc2ncc(CN[C@@H]3CCC(C)(C)c4ccccc43)cc12 ZINC000894242177 1042575730 /nfs/dbraw/zinc/57/57/30/1042575730.db2.gz URNSACIIZSQSBV-GOSISDBHSA-N 0 3 321.424 4.434 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@H](c3ccc(Cl)c(Cl)c3)C2)nn1 ZINC000414443048 1042596963 /nfs/dbraw/zinc/59/69/63/1042596963.db2.gz HYFMZRACPGJVFQ-MQMHXKEQSA-N 0 3 322.239 4.128 20 0 DIADHN Clc1cc(Cl)cc(-c2noc(C34CCCN3CCC4)n2)c1 ZINC000420479773 1042603679 /nfs/dbraw/zinc/60/36/79/1042603679.db2.gz VIKRPVOCFUHTMN-UHFFFAOYSA-N 0 3 324.211 4.128 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@@H]1CCC(C)(C)C1)c1ccsc1 ZINC000513730891 1042614721 /nfs/dbraw/zinc/61/47/21/1042614721.db2.gz PIZLWENFHRBIBU-GDBMZVCRSA-N 0 3 322.518 4.074 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CC[C@H](C2CCCC2)C1 ZINC000420960231 1042618049 /nfs/dbraw/zinc/61/80/49/1042618049.db2.gz GMVUBUANLTYTDI-SFHVURJKSA-N 0 3 314.473 4.008 20 0 DIADHN c1sc(-c2ccccn2)nc1CN1CC[C@H](C2CCCC2)C1 ZINC000420965672 1042618091 /nfs/dbraw/zinc/61/80/91/1042618091.db2.gz SWKQDLDKHXVTGX-HNNXBMFYSA-N 0 3 313.470 4.217 20 0 DIADHN Clc1ccc(CN2CC[C@H](n3cccn3)C2)c2ccccc12 ZINC000420971521 1042618629 /nfs/dbraw/zinc/61/86/29/1042618629.db2.gz KILYYDGETRZFJU-HNNXBMFYSA-N 0 3 311.816 4.137 20 0 DIADHN CNC(=O)c1cccc(CN(C(C)C)[C@@H](C)c2ccsc2)c1 ZINC000421012758 1042619636 /nfs/dbraw/zinc/61/96/36/1042619636.db2.gz QIEYMTUODUJICW-AWEZNQCLSA-N 0 3 316.470 4.079 20 0 DIADHN COCc1cccc(CN[C@H](CC(F)F)c2ccccc2)c1 ZINC000420989582 1042619768 /nfs/dbraw/zinc/61/97/68/1042619768.db2.gz CLGIQDZXTYHTIF-QGZVFWFLSA-N 0 3 305.368 4.319 20 0 DIADHN CC(C)N(Cc1cc(=O)[nH]c(C2CC2)n1)[C@@H](C)c1ccsc1 ZINC000421005361 1042621085 /nfs/dbraw/zinc/62/10/85/1042621085.db2.gz JCSPZZNZBSMQEK-LBPRGKRZSA-N 0 3 317.458 4.093 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccc(OCC2CC2)cc1 ZINC000414502096 1042626916 /nfs/dbraw/zinc/62/69/16/1042626916.db2.gz TZIAMCWHGUUPSS-UHFFFAOYSA-N 0 3 324.468 4.458 20 0 DIADHN c1cc(CNCc2ccc3c(c2)OCCCO3)cc(C2CC2)c1 ZINC000421320877 1042629801 /nfs/dbraw/zinc/62/98/01/1042629801.db2.gz CYJPGBJMRYCUKY-UHFFFAOYSA-N 0 3 309.409 4.015 20 0 DIADHN CCOc1ccc(CNCc2cccc(C3CC3)c2)cc1OC ZINC000421337353 1042632497 /nfs/dbraw/zinc/63/24/97/1042632497.db2.gz YTGBUMMXXQRLHB-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc(C2CC2)c1)c1cccs1 ZINC000421374085 1042633425 /nfs/dbraw/zinc/63/34/25/1042633425.db2.gz AYMIVJYYUFEYTA-GOSISDBHSA-N 0 3 315.482 4.475 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2c[nH]nc2-c2ccccc2)C1 ZINC000421386584 1042635252 /nfs/dbraw/zinc/63/52/52/1042635252.db2.gz HLSOQFQPFFQYEE-ZDUSSCGKSA-N 0 3 323.362 4.241 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CC[C@H](C2CCCC2)C1 ZINC000421387921 1042635711 /nfs/dbraw/zinc/63/57/11/1042635711.db2.gz JOSMXJPKUVGSQR-GOEBONIOSA-N 0 3 306.475 4.007 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CC[C@H](C2CCCC2)C1 ZINC000421387922 1042635855 /nfs/dbraw/zinc/63/58/55/1042635855.db2.gz JOSMXJPKUVGSQR-HOCLYGCPSA-N 0 3 306.475 4.007 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC(C)(C)c1ccc(F)cc1 ZINC000924937873 1042651340 /nfs/dbraw/zinc/65/13/40/1042651340.db2.gz FEJUGNPVVWHGNH-OAHLLOKOSA-N 0 3 302.393 4.067 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccncc1Cl ZINC000421828384 1042654642 /nfs/dbraw/zinc/65/46/42/1042654642.db2.gz CMQIMHVLIBYWHK-CABCVRRESA-N 0 3 315.848 4.055 20 0 DIADHN Cn1ccnc1NCc1cnn(C2CCCC2)c1-c1ccccc1 ZINC000421816075 1042656371 /nfs/dbraw/zinc/65/63/71/1042656371.db2.gz WGUACGGTIOSZPK-UHFFFAOYSA-N 0 3 321.428 4.011 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](c2ccccc2)O1)c1ccncc1Cl ZINC000421852124 1042656796 /nfs/dbraw/zinc/65/67/96/1042656796.db2.gz HMLBSPUBUDTVAF-JOQOYGCGSA-N 0 3 316.832 4.306 20 0 DIADHN C[C@@H](NCCc1ccc(F)c(F)c1F)c1ccncc1Cl ZINC000421841744 1042659115 /nfs/dbraw/zinc/65/91/15/1042659115.db2.gz LNBKSRSQOJFUJW-SECBINFHSA-N 0 3 314.738 4.046 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](C)c2csc(-c3ccccc3)n2)CCO1 ZINC000421849165 1042660300 /nfs/dbraw/zinc/66/03/00/1042660300.db2.gz BJLKQYJFEBXCCF-FVQBIDKESA-N 0 3 316.470 4.418 20 0 DIADHN C[C@H](CC(=O)OC(C)(C)C)N[C@H](CC(F)F)c1ccccc1 ZINC000421876741 1042660474 /nfs/dbraw/zinc/66/04/74/1042660474.db2.gz LAPDASSOZYRJFE-TZMCWYRMSA-N 0 3 313.388 4.093 20 0 DIADHN Cc1cc(CN[C@@H]2CCCOc3cc4c(cc32)CCC4)cc(C)n1 ZINC000421890542 1042661063 /nfs/dbraw/zinc/66/10/63/1042661063.db2.gz VKNBSMLKMQPSGQ-HXUWFJFHSA-N 0 3 322.452 4.191 20 0 DIADHN c1ccc(C2(NCc3nccc4ccccc43)CCOCC2)cc1 ZINC000894266180 1042665592 /nfs/dbraw/zinc/66/55/92/1042665592.db2.gz RDYNHKHOPLTENA-UHFFFAOYSA-N 0 3 318.420 4.030 20 0 DIADHN CC(C)Cc1ccccc1CNCc1cnn(CC2CCC2)c1 ZINC001203216162 1042669473 /nfs/dbraw/zinc/66/94/73/1042669473.db2.gz RCNPUIRRYXQLNC-UHFFFAOYSA-N 0 3 311.473 4.172 20 0 DIADHN COCc1nc(CN[C@H]2CCCC[C@@H]2c2ccccc2)cs1 ZINC000271926361 1042672609 /nfs/dbraw/zinc/67/26/09/1042672609.db2.gz SPCNUNJGGDXAOP-SJORKVTESA-N 0 3 316.470 4.106 20 0 DIADHN COCc1nc(CN[C@@H]2CCCC[C@H]2c2ccccc2)cs1 ZINC000271926352 1042673081 /nfs/dbraw/zinc/67/30/81/1042673081.db2.gz SPCNUNJGGDXAOP-DLBZAZTESA-N 0 3 316.470 4.106 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CC1)c1ccc(-n2ccnc2)cc1 ZINC000271993560 1042674893 /nfs/dbraw/zinc/67/48/93/1042674893.db2.gz MGCGKGJMJXKZKA-MRXNPFEDSA-N 0 3 303.409 4.212 20 0 DIADHN COc1cc(C)c([C@@H](C)NC2(c3ccccc3)CC2)cc1OC ZINC000272026432 1042675483 /nfs/dbraw/zinc/67/54/83/1042675483.db2.gz SUOMACMIVDVKNJ-OAHLLOKOSA-N 0 3 311.425 4.352 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2csc(-c3ccc(F)cc3)n2)C1 ZINC000058747616 1042677843 /nfs/dbraw/zinc/67/78/43/1042677843.db2.gz IPIZSZIZYKEZMD-STQMWFEESA-N 0 3 304.434 4.427 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H](C)c1ccc2c(c1)CCO2 ZINC000319687648 1042679297 /nfs/dbraw/zinc/67/92/97/1042679297.db2.gz BFUBUBGSWFBNSX-LSDHHAIUSA-N 0 3 311.425 4.350 20 0 DIADHN Cc1cccnc1[C@@H](NCc1cccc2c1NCCC2)C(C)C ZINC000894269522 1042681382 /nfs/dbraw/zinc/68/13/82/1042681382.db2.gz RIUNBOBEURUGLD-SFHVURJKSA-N 0 3 309.457 4.235 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)NC1CCCC1)c1ccc(Cl)cc1 ZINC000557655121 1042691403 /nfs/dbraw/zinc/69/14/03/1042691403.db2.gz XQTNIHXUPAUPTI-DYVFJYSZSA-N 0 3 322.880 4.074 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)N[C@H](C)c1c(F)cccc1Cl ZINC000422922482 1042692070 /nfs/dbraw/zinc/69/20/70/1042692070.db2.gz SWHWFVCCRLJYQU-ZYHUDNBSSA-N 0 3 321.827 4.053 20 0 DIADHN Cc1cccc(CN[C@@H](C)c2cncc(Br)c2)c1 ZINC000577531696 1042692271 /nfs/dbraw/zinc/69/22/71/1042692271.db2.gz YXRRSXQOXSZNJK-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)N[C@H](C)c1c(F)cccc1Cl ZINC000422922479 1042692527 /nfs/dbraw/zinc/69/25/27/1042692527.db2.gz SWHWFVCCRLJYQU-CMPLNLGQSA-N 0 3 321.827 4.053 20 0 DIADHN Cc1nc(CN)ccc1[C@@H](C)Nc1ccc2oc(C3CC3)nc2c1 ZINC000422918006 1042693194 /nfs/dbraw/zinc/69/31/94/1042693194.db2.gz GOGXFOVACIZZTH-LLVKDONJSA-N 0 3 322.412 4.040 20 0 DIADHN CC1(C)CC[C@H](CNCc2csc(C(F)(F)F)c2)OC1 ZINC000711273847 1042696137 /nfs/dbraw/zinc/69/61/37/1042696137.db2.gz KQSWTFPXJZSISF-LLVKDONJSA-N 0 3 307.381 4.062 20 0 DIADHN COc1ccc(CNC(C)(C)c2ccc(C)nc2)cc1Cl ZINC000353185609 1042698453 /nfs/dbraw/zinc/69/84/53/1042698453.db2.gz QUVVEZOPGXKYIJ-UHFFFAOYSA-N 0 3 304.821 4.077 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCc2cc(N)ccc21)c1ccc(F)cn1 ZINC000423350693 1042707584 /nfs/dbraw/zinc/70/75/84/1042707584.db2.gz GQLKGYWXRWYLOD-HKUYNNGSSA-N 0 3 313.420 4.167 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3cc(N)ccc32)c(F)c1 ZINC000423354905 1042708341 /nfs/dbraw/zinc/70/83/41/1042708341.db2.gz OHZHPCQLQOXLLH-BLVKFPJESA-N 0 3 314.404 4.145 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccc2c(c1)CCCN2 ZINC000423451041 1042712029 /nfs/dbraw/zinc/71/20/29/1042712029.db2.gz ULUOSRCCOJUWAJ-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCCN2)c1ccc(F)c(F)c1 ZINC000423437688 1042712962 /nfs/dbraw/zinc/71/29/62/1042712962.db2.gz GLXJRARZHAUGED-GFCCVEGCSA-N 0 3 302.368 4.174 20 0 DIADHN CC[C@@H](N[C@@H]1CC(C)(C)Oc2ccc(N)cc21)c1ccncc1 ZINC000423428315 1042713062 /nfs/dbraw/zinc/71/30/62/1042713062.db2.gz GLGJYEVFMPQUKX-IAGOWNOFSA-N 0 3 311.429 4.007 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2C[C@H](C)Sc3sccc32)c1 ZINC000423476075 1042715131 /nfs/dbraw/zinc/71/51/31/1042715131.db2.gz JXLAJPPPUHWJSQ-NHYWBVRUSA-N 0 3 304.484 4.354 20 0 DIADHN Clc1cccc(CNC[C@@H]2CCC3(CCC3)O2)c1Cl ZINC000711438375 1042718766 /nfs/dbraw/zinc/71/87/66/1042718766.db2.gz RSSJNOJUVLNFRZ-LBPRGKRZSA-N 0 3 300.229 4.185 20 0 DIADHN Clc1cccc(CNC[C@H]2CCC3(CCC3)O2)c1Cl ZINC000711438372 1042718971 /nfs/dbraw/zinc/71/89/71/1042718971.db2.gz RSSJNOJUVLNFRZ-GFCCVEGCSA-N 0 3 300.229 4.185 20 0 DIADHN N[C@@H](CC(=O)N[C@H](CC1CCC1)c1ccccc1)c1ccccc1 ZINC000423864173 1042722736 /nfs/dbraw/zinc/72/27/36/1042722736.db2.gz YCUWQEQIKQJDMD-VQTJNVASSA-N 0 3 322.452 4.124 20 0 DIADHN N[C@H](CC(=O)N[C@@H](CC1CCC1)c1ccccc1)c1ccccc1 ZINC000423864172 1042722756 /nfs/dbraw/zinc/72/27/56/1042722756.db2.gz YCUWQEQIKQJDMD-UXHICEINSA-N 0 3 322.452 4.124 20 0 DIADHN Cc1cc(F)c(CNC2CCN(c3ccccc3)CC2)c(F)c1 ZINC000424155576 1042727435 /nfs/dbraw/zinc/72/74/35/1042727435.db2.gz MXBXLCDNMZQKFH-UHFFFAOYSA-N 0 3 316.395 4.032 20 0 DIADHN CO[C@H]1C[C@H]2C[C@@H](NCc3cc(Cl)sc3Cl)C[C@H]2C1 ZINC000424280000 1042732872 /nfs/dbraw/zinc/73/28/72/1042732872.db2.gz GSWJDRLLTTWFMF-SKWLPYGWSA-N 0 3 320.285 4.348 20 0 DIADHN F[C@@H]1CCCC[C@H]1N[C@H](Cc1ccncc1)c1cccs1 ZINC000424339816 1042737345 /nfs/dbraw/zinc/73/73/45/1042737345.db2.gz WQQFJTZRVSBIEU-BZUAXINKSA-N 0 3 304.434 4.297 20 0 DIADHN C[C@@H](NC(=O)CCC1CCCCC1)[C@H](c1ccccc1)N(C)C ZINC001269735314 1042755706 /nfs/dbraw/zinc/75/57/06/1042755706.db2.gz ZPGSDNRBYNADFV-OXQOHEQNSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1CCOC[C@H](C)C1 ZINC000513981642 1042765773 /nfs/dbraw/zinc/76/57/73/1042765773.db2.gz PLBQGQYWAVJKAO-MRXNPFEDSA-N 0 3 313.441 4.095 20 0 DIADHN CCCCN(CC(=O)N[C@@H](C)CC)[C@@H](CC)c1ccccc1 ZINC000514186906 1042773095 /nfs/dbraw/zinc/77/30/95/1042773095.db2.gz UAGILDCUCIMNPM-WMZOPIPTSA-N 0 3 304.478 4.155 20 0 DIADHN CC(C)COC[C@@H](NC[C@H]1CCCC(F)(F)C1)c1ccco1 ZINC000514235809 1042776171 /nfs/dbraw/zinc/77/61/71/1042776171.db2.gz IWGNSGIGLCZIQY-LSDHHAIUSA-N 0 3 315.404 4.408 20 0 DIADHN COc1cc2c(cc1F)CN(C[C@@H]1CCCC(F)(F)C1)CC2 ZINC000514248127 1042777699 /nfs/dbraw/zinc/77/76/99/1042777699.db2.gz MLDRPZXACVKVEQ-GFCCVEGCSA-N 0 3 313.363 4.018 20 0 DIADHN Fc1cc(-c2ncco2)ccc1CN1CCC2(CCC2)CC1 ZINC000664445626 1042781381 /nfs/dbraw/zinc/78/13/81/1042781381.db2.gz WXEBUMXKDSIEDJ-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H]2CCCOC2)c2cccnc2)cc1 ZINC000924985453 1042783121 /nfs/dbraw/zinc/78/31/21/1042783121.db2.gz HCOMMNSBEPNCLV-PMACEKPBSA-N 0 3 310.441 4.063 20 0 DIADHN CC(C)CC[C@H](O)CN1CCc2cc(-c3ccccc3)oc2C1 ZINC001167958337 1042788957 /nfs/dbraw/zinc/78/89/57/1042788957.db2.gz HGXZITLTHWCRMH-SFHVURJKSA-N 0 3 313.441 4.102 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(Cl)nc2)C1(C)C ZINC001167958420 1042789352 /nfs/dbraw/zinc/78/93/52/1042789352.db2.gz IXKUWPQFBSGBMQ-LSDHHAIUSA-N 0 3 310.869 4.151 20 0 DIADHN OC1(CN[C@@H](c2cc3ccccc3o2)c2ccccc2)CCC1 ZINC000514766773 1042790184 /nfs/dbraw/zinc/79/01/84/1042790184.db2.gz QCPHKLLZHKCAED-LJQANCHMSA-N 0 3 307.393 4.027 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](CC(F)(F)F)c1ccccc1C ZINC000425336727 1042793112 /nfs/dbraw/zinc/79/31/12/1042793112.db2.gz LSKVFZGWJBRZNU-HZSPNIEDSA-N 0 3 303.393 4.472 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1cncn1C(C)C ZINC000425338131 1042794242 /nfs/dbraw/zinc/79/42/42/1042794242.db2.gz OZQORKVVTDBJFH-UHFFFAOYSA-N 0 3 303.425 4.058 20 0 DIADHN CCN(CCc1ccc(C(F)(F)F)cc1)Cc1cncs1 ZINC000425364148 1042797825 /nfs/dbraw/zinc/79/78/25/1042797825.db2.gz FPPVLGPNYGBPJA-UHFFFAOYSA-N 0 3 314.376 4.227 20 0 DIADHN Clc1ccc(CN2CC3(CCC3)[C@@H]2C2CCOCC2)s1 ZINC000425371371 1042799553 /nfs/dbraw/zinc/79/95/53/1042799553.db2.gz LAPVINXFSATDPS-HNNXBMFYSA-N 0 3 311.878 4.183 20 0 DIADHN Cc1sc([C@H](C)N)nc1-c1ccc(NC(=O)C(C)(C)C)cc1 ZINC000435439835 1042802186 /nfs/dbraw/zinc/80/21/86/1042802186.db2.gz XGVYVXIHBPHXDS-JTQLQIEISA-N 0 3 317.458 4.123 20 0 DIADHN CCOC1CC2(C1)CCN(Cc1c(Cl)oc3ccccc31)C2 ZINC000514972594 1042802699 /nfs/dbraw/zinc/80/26/99/1042802699.db2.gz LHGIGUASXJWPPF-UHFFFAOYSA-N 0 3 319.832 4.477 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N(C)c1ccc(-c2ccccc2)cc1 ZINC000514978861 1042803055 /nfs/dbraw/zinc/80/30/55/1042803055.db2.gz CIIOOBBOLIOAEW-FQEVSTJZSA-N 0 3 322.452 4.191 20 0 DIADHN Cc1cc(CNCc2cc(C)c(C)cc2C)cc(N2CCCC2)n1 ZINC000515096801 1042808644 /nfs/dbraw/zinc/80/86/44/1042808644.db2.gz SNVYLZAXWDCQPO-UHFFFAOYSA-N 0 3 323.484 4.205 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000515168910 1042811027 /nfs/dbraw/zinc/81/10/27/1042811027.db2.gz JHRWJEMBELGQOZ-BEFAXECRSA-N 0 3 300.421 4.088 20 0 DIADHN Cc1cccc([C@@H](C)N[C@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000515168911 1042811209 /nfs/dbraw/zinc/81/12/09/1042811209.db2.gz JHRWJEMBELGQOZ-DNVCBOLYSA-N 0 3 300.421 4.088 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2C2CCCCC2)c(F)c1 ZINC000515502654 1042824134 /nfs/dbraw/zinc/82/41/34/1042824134.db2.gz GNRUBLGAOKMTIB-SFHVURJKSA-N 0 3 318.436 4.117 20 0 DIADHN CCn1nnc(C)c1CN([C@@H](C)c1ccccc1)C1CCCC1 ZINC000425454973 1042825146 /nfs/dbraw/zinc/82/51/46/1042825146.db2.gz FOHZIZVMNIQELT-INIZCTEOSA-N 0 3 312.461 4.112 20 0 DIADHN CCc1ccccc1NC(=O)CN1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000515549532 1042826791 /nfs/dbraw/zinc/82/67/91/1042826791.db2.gz YDRNMVVODGSLLI-IEZWGBDMSA-N 0 3 314.473 4.088 20 0 DIADHN Cc1cc(CNCc2c[nH]nc2-c2ccsc2)ccc1Cl ZINC000436404046 1042839675 /nfs/dbraw/zinc/83/96/75/1042839675.db2.gz CJMZBUNXKCSCKY-UHFFFAOYSA-N 0 3 317.845 4.390 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC(c2ccon2)CC1 ZINC000664565481 1042840047 /nfs/dbraw/zinc/84/00/47/1042840047.db2.gz DAPIFHOXCWBTDP-UHFFFAOYSA-N 0 3 307.397 4.224 20 0 DIADHN Fc1ccc(CN(Cc2ccccc2)C2CCSCC2)cn1 ZINC001137742910 1042847782 /nfs/dbraw/zinc/84/77/82/1042847782.db2.gz PWPMHBASCMKREI-UHFFFAOYSA-N 0 3 316.445 4.119 20 0 DIADHN FC(F)(F)CC1CCN(Cc2ccc(-n3cccn3)cc2)CC1 ZINC000516636145 1042849711 /nfs/dbraw/zinc/84/97/11/1042849711.db2.gz CKRQHZQNJRYJIN-UHFFFAOYSA-N 0 3 323.362 4.037 20 0 DIADHN COc1c(F)cc(F)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001143563214 1042860995 /nfs/dbraw/zinc/86/09/95/1042860995.db2.gz QOHPWHGXILDAQH-UHFFFAOYSA-N 0 3 313.363 4.078 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCC(F)(F)[C@H](C)C1 ZINC000517059480 1042865641 /nfs/dbraw/zinc/86/56/41/1042865641.db2.gz SKIFXOJKYLCLJG-MNOVXSKESA-N 0 3 305.315 4.326 20 0 DIADHN COc1c(F)cc(F)cc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001143563409 1042865791 /nfs/dbraw/zinc/86/57/91/1042865791.db2.gz YVRLJCWWKDOZPJ-CYBMUJFWSA-N 0 3 313.363 4.078 20 0 DIADHN CC(C)c1cccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000517066774 1042866707 /nfs/dbraw/zinc/86/67/07/1042866707.db2.gz VUILFYNULYICAA-SFHVURJKSA-N 0 3 312.461 4.339 20 0 DIADHN Fc1ccc([C@H](NC2CC(n3cccn3)C2)c2ccccc2)cc1 ZINC000638438694 1042871834 /nfs/dbraw/zinc/87/18/34/1042871834.db2.gz LVGXYYQYKMDGPO-SOAGJPPSSA-N 0 3 321.399 4.105 20 0 DIADHN CC(C)[C@H](CCO)NCc1c(Cl)ccc(Cl)c1Cl ZINC000230690107 1042873809 /nfs/dbraw/zinc/87/38/09/1042873809.db2.gz WDVAHIZUXDNIOA-LBPRGKRZSA-N 0 3 310.652 4.143 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NCc1ccc2[nH]cnc2c1 ZINC000517537196 1042885181 /nfs/dbraw/zinc/88/51/81/1042885181.db2.gz IZXJPTXDSJPSNB-AWEZNQCLSA-N 0 3 313.401 4.029 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2NCc1ccc2nc[nH]c2c1 ZINC000517537196 1042885186 /nfs/dbraw/zinc/88/51/86/1042885186.db2.gz IZXJPTXDSJPSNB-AWEZNQCLSA-N 0 3 313.401 4.029 20 0 DIADHN COc1ccc(CNCc2ccc(C(C)(F)F)cc2)cc1F ZINC000292994541 1042898137 /nfs/dbraw/zinc/89/81/37/1042898137.db2.gz OIQYMLBCOVVTDD-UHFFFAOYSA-N 0 3 309.331 4.236 20 0 DIADHN COC(=O)CC(C)(C)NCc1ccc(-c2ccccc2Cl)o1 ZINC000237136745 1042901475 /nfs/dbraw/zinc/90/14/75/1042901475.db2.gz ROVUFUXCTZSLKZ-UHFFFAOYSA-N 0 3 321.804 4.031 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1cccc(C)c1C)C1CC1 ZINC000517898915 1042902328 /nfs/dbraw/zinc/90/23/28/1042902328.db2.gz XIMOOPWHUAAWAP-CZUORRHYSA-N 0 3 302.462 4.141 20 0 DIADHN Fc1ccccc1COc1cccc(CN[C@H]2C[C@@H]2C(F)F)c1 ZINC000517999340 1042904842 /nfs/dbraw/zinc/90/48/42/1042904842.db2.gz PXPMVRJVYJKYRW-RDJZCZTQSA-N 0 3 321.342 4.148 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)CCOc2cccc(Cl)c2)s1 ZINC000518057861 1042907081 /nfs/dbraw/zinc/90/70/81/1042907081.db2.gz PLACJOQKGXNUGY-GFCCVEGCSA-N 0 3 324.877 4.485 20 0 DIADHN c1ccc([C@@H](NCc2nccc3ccccc32)[C@H]2CCOC2)cc1 ZINC000894371411 1042912608 /nfs/dbraw/zinc/91/26/08/1042912608.db2.gz ATTPAFPZQZLHDG-GHTZIAJQSA-N 0 3 318.420 4.102 20 0 DIADHN CN(CC(=O)Nc1ccccc1F)C1CCC(C(C)(C)C)CC1 ZINC000519002470 1042928598 /nfs/dbraw/zinc/92/85/98/1042928598.db2.gz VVPRKSDTDYICNR-UHFFFAOYSA-N 0 3 320.452 4.301 20 0 DIADHN c1ccc(C[C@H]2CCCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000519288664 1042942355 /nfs/dbraw/zinc/94/23/55/1042942355.db2.gz FBAOSOPZVUTJLO-QGZVFWFLSA-N 0 3 306.409 4.283 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cncc(Cl)c2)C1(C)C ZINC001167967668 1042954437 /nfs/dbraw/zinc/95/44/37/1042954437.db2.gz IXSXOPHKTMPIEE-JKSUJKDBSA-N 0 3 310.869 4.151 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cncc(Cl)c2)C1(C)C ZINC001167967666 1042954463 /nfs/dbraw/zinc/95/44/63/1042954463.db2.gz IXSXOPHKTMPIEE-HOTGVXAUSA-N 0 3 310.869 4.151 20 0 DIADHN CCc1onc(C)c1CN1CCCCC[C@H]1c1c(C)n[nH]c1C ZINC000894485197 1042961540 /nfs/dbraw/zinc/96/15/40/1042961540.db2.gz MBINFOUXVRLEOQ-INIZCTEOSA-N 0 3 316.449 4.003 20 0 DIADHN CSCc1cccc(CNCc2cccc3c2NCCC3)c1 ZINC000894535453 1042966052 /nfs/dbraw/zinc/96/60/52/1042966052.db2.gz SZXCUSJGFVDJHF-UHFFFAOYSA-N 0 3 312.482 4.198 20 0 DIADHN COCCCC1(CN2CC(C)(C)[C@H]2c2cccnc2)CCC1 ZINC000894583767 1042969800 /nfs/dbraw/zinc/96/98/00/1042969800.db2.gz APKYEWHQPVTLHD-QGZVFWFLSA-N 0 3 302.462 4.061 20 0 DIADHN c1ccc([C@H]2CCC[C@H]2CNCc2nnc(C3CC3)s2)cc1 ZINC000894676607 1042972381 /nfs/dbraw/zinc/97/23/81/1042972381.db2.gz OSIQLBUZBHVCDV-JKSUJKDBSA-N 0 3 313.470 4.089 20 0 DIADHN CSCc1ccc(CNCc2cccc3c2NCCC3)cc1 ZINC000894757653 1042976263 /nfs/dbraw/zinc/97/62/63/1042976263.db2.gz YKRXCFTZTKKYHG-UHFFFAOYSA-N 0 3 312.482 4.198 20 0 DIADHN Cc1ncoc1CN(C)[C@H](C)Cc1ccccc1C(F)(F)F ZINC000894763331 1042976338 /nfs/dbraw/zinc/97/63/38/1042976338.db2.gz YTOYPLGDRXNDAP-LLVKDONJSA-N 0 3 312.335 4.065 20 0 DIADHN CC(C)c1nnc(CN[C@@](C)(Cc2ccccc2)C2CC2)s1 ZINC000894791507 1042977063 /nfs/dbraw/zinc/97/70/63/1042977063.db2.gz HFGLISHHBJHLON-SFHVURJKSA-N 0 3 315.486 4.163 20 0 DIADHN Fc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)co2)cc1F ZINC000426770302 1042980302 /nfs/dbraw/zinc/98/03/02/1042980302.db2.gz QQUPGOZXAKAMGJ-OKILXGFUSA-N 0 3 318.367 4.242 20 0 DIADHN N#CC(C(=O)CCCc1ccccc1)c1ccc2ccccc2n1 ZINC000048856625 1042982863 /nfs/dbraw/zinc/98/28/63/1042982863.db2.gz YBRKQRYPXQWENF-GOSISDBHSA-N 0 3 314.388 4.434 20 0 DIADHN CCN(C(=O)CN[C@H](c1ccccc1)C1CCC1)c1ccccc1 ZINC000729474072 1042984535 /nfs/dbraw/zinc/98/45/35/1042984535.db2.gz DBSJINKLMPPAKI-OAQYLSRUSA-N 0 3 322.452 4.171 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1ccc(C)o1 ZINC000119991011 1042985490 /nfs/dbraw/zinc/98/54/90/1042985490.db2.gz MLMIPNREOVAJEU-UHFFFAOYSA-N 0 3 301.415 4.062 20 0 DIADHN C[C@@H](N[C@@H]1CCC(C)(C)C1)c1ncc(Br)cc1F ZINC000427521423 1042989768 /nfs/dbraw/zinc/98/97/68/1042989768.db2.gz JQSKDMOUHORIKU-MWLCHTKSSA-N 0 3 315.230 4.213 20 0 DIADHN OCCC[C@@H](N[C@@H](c1cccnc1)C1CCC1)c1ccccc1 ZINC000280789997 1042991665 /nfs/dbraw/zinc/99/16/65/1042991665.db2.gz HLZFFUAEBBXSLZ-WOJBJXKFSA-N 0 3 310.441 4.026 20 0 DIADHN c1ccc(C[C@@H]2CC[C@H](NCc3noc4c3CCCC4)C2)cc1 ZINC000519728426 1042999911 /nfs/dbraw/zinc/99/99/11/1042999911.db2.gz POYQINSENOJKJF-IRXDYDNUSA-N 0 3 310.441 4.054 20 0 DIADHN OCCC1(CN[C@H]2CCCc3c(Cl)sc(Cl)c32)CC1 ZINC000188046502 1043000488 /nfs/dbraw/zinc/00/04/88/1043000488.db2.gz NUSUBSVYSAOFEY-JTQLQIEISA-N 0 3 320.285 4.185 20 0 DIADHN CCCN(Cc1nc(-c2ccc(OCC)cc2)no1)CC(C)C ZINC000519907137 1043007787 /nfs/dbraw/zinc/00/77/87/1043007787.db2.gz MCAGMHSURQWEGO-UHFFFAOYSA-N 0 3 317.433 4.003 20 0 DIADHN CCCN(CC(=O)N[C@@H](C)C(C)C)[C@@H](CC)c1ccccc1 ZINC000519906711 1043007910 /nfs/dbraw/zinc/00/79/10/1043007910.db2.gz QXWRYKOIVMBBOM-WMZOPIPTSA-N 0 3 304.478 4.010 20 0 DIADHN Cc1csc([C@@H](N[C@H](C)Cc2ccccc2F)C2CC2)n1 ZINC000188075558 1043010421 /nfs/dbraw/zinc/01/04/21/1043010421.db2.gz FLPIMONXZLGRHA-BZNIZROVSA-N 0 3 304.434 4.263 20 0 DIADHN CN(C)Cc1cccc(C(=O)N(C)[C@@H]2CCC[C@@H]2C(C)(C)C)c1 ZINC000416287383 1043015418 /nfs/dbraw/zinc/01/54/18/1043015418.db2.gz JTSUQUYSHFOFSS-ZWKOTPCHSA-N 0 3 316.489 4.035 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCC(C)(C)C2)c(C)c1 ZINC000579163003 1043019921 /nfs/dbraw/zinc/01/99/21/1043019921.db2.gz SMEAXZBWPNJKQZ-MRXNPFEDSA-N 0 3 302.462 4.061 20 0 DIADHN CCCN(CC(=O)Nc1ccccc1C)[C@H]1CCc2ccccc21 ZINC000520172139 1043021068 /nfs/dbraw/zinc/02/10/68/1043021068.db2.gz UWJLBTBJMZOZSM-FQEVSTJZSA-N 0 3 322.452 4.333 20 0 DIADHN CCCN(Cc1nc(-c2ccc(Cl)cc2)no1)CC(C)C ZINC000520171468 1043021093 /nfs/dbraw/zinc/02/10/93/1043021093.db2.gz LXDWWXJEZSAJMF-UHFFFAOYSA-N 0 3 307.825 4.258 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)N(C(C)C)C(C)C ZINC000520174438 1043022206 /nfs/dbraw/zinc/02/22/06/1043022206.db2.gz JRYGABJWPZGMQP-GOSISDBHSA-N 0 3 304.478 4.105 20 0 DIADHN CCc1ccc([C@@H](N[C@@H](C)CSC)c2cccnc2)cc1 ZINC000925097550 1043022373 /nfs/dbraw/zinc/02/23/73/1043022373.db2.gz SGPHHVBTFPOYLE-KBXCAEBGSA-N 0 3 300.471 4.075 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CC(=O)Nc1ccc(CN(C)C)cc1F ZINC000520189835 1043022730 /nfs/dbraw/zinc/02/27/30/1043022730.db2.gz YYAFDRHRPAFATM-UKRRQHHQSA-N 0 3 306.425 4.042 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccccc2)o1)c1ccccc1 ZINC000520203080 1043023228 /nfs/dbraw/zinc/02/32/28/1043023228.db2.gz GFUSBHLYSBJZAB-FQEVSTJZSA-N 0 3 307.393 4.424 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(Cl)c(F)c1)c1ccco1 ZINC000520221497 1043025684 /nfs/dbraw/zinc/02/56/84/1043025684.db2.gz AYDPEBTULNNYHO-INIZCTEOSA-N 0 3 324.827 4.245 20 0 DIADHN CSc1ccc(OCCN(C)Cc2ccc(F)cc2)cc1 ZINC000441211501 1043028812 /nfs/dbraw/zinc/02/88/12/1043028812.db2.gz YRFMZGWPKJDBSJ-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN COc1ccc(C2(NCc3cccc(OC(F)F)c3)CC2)cc1 ZINC000520249066 1043028916 /nfs/dbraw/zinc/02/89/16/1043028916.db2.gz PBESUNKEHDVGOI-UHFFFAOYSA-N 0 3 319.351 4.076 20 0 DIADHN CC(C)OCCCN1CCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000520288169 1043031538 /nfs/dbraw/zinc/03/15/38/1043031538.db2.gz DQNVCOUAKXYXON-INIZCTEOSA-N 0 3 321.852 4.168 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1ccccc1)c1ccc(F)cc1F ZINC000164991378 1043035694 /nfs/dbraw/zinc/03/56/94/1043035694.db2.gz SSYHXHXCHWHMSY-QGZVFWFLSA-N 0 3 318.367 4.034 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(C)Cc1ccncc1Cl ZINC000441331034 1043037151 /nfs/dbraw/zinc/03/71/51/1043037151.db2.gz NHKCEFAFXRLEMO-KRWDZBQOSA-N 0 3 302.849 4.497 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)CCCC(C)(C)C)c1ccco1 ZINC000520383844 1043040801 /nfs/dbraw/zinc/04/08/01/1043040801.db2.gz DURKLBTUOXXBRY-CABCVRRESA-N 0 3 308.466 4.041 20 0 DIADHN C[C@@H](CCc1ccccc1)N1C[C@@H](C)O[C@H](c2ccccc2)C1 ZINC000520392013 1043041817 /nfs/dbraw/zinc/04/18/17/1043041817.db2.gz DFIDKHMZECNUPJ-UEXGIBASSA-N 0 3 309.453 4.470 20 0 DIADHN CN1CCC[C@@H](NCc2nccc3ccccc32)c2ccccc21 ZINC000894915850 1043046220 /nfs/dbraw/zinc/04/62/20/1043046220.db2.gz GVOVPURFSHPOTA-LJQANCHMSA-N 0 3 317.436 4.296 20 0 DIADHN CCOC(=O)CCCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000112571519 1043050164 /nfs/dbraw/zinc/05/01/64/1043050164.db2.gz FHAXUIIEJKMTMT-GOSISDBHSA-N 0 3 314.429 4.038 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2ccncc2)cc1OCC ZINC000173801917 1043054573 /nfs/dbraw/zinc/05/45/73/1043054573.db2.gz POAQKDCVSYHDCS-CABCVRRESA-N 0 3 314.429 4.291 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2)C1)c1cccnc1Cl ZINC000120339715 1043054736 /nfs/dbraw/zinc/05/47/36/1043054736.db2.gz RHPHTMAQUKYKAG-GJZGRUSLSA-N 0 3 315.848 4.055 20 0 DIADHN COC[C@@H](CC(C)C)N[C@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000537963147 1043055787 /nfs/dbraw/zinc/05/57/87/1043055787.db2.gz AJOIJYRJDBAYHE-HUUCEWRRSA-N 0 3 321.358 4.470 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(OC(F)F)ccc21)c1ccncc1 ZINC000174019452 1043059079 /nfs/dbraw/zinc/05/90/79/1043059079.db2.gz NBQJRTQWVLMPCP-SJCJKPOMSA-N 0 3 318.367 4.411 20 0 DIADHN Cc1cc(CNCc2cc3ccnc(Cl)c3s2)cc(C)n1 ZINC000895032050 1043067625 /nfs/dbraw/zinc/06/76/25/1043067625.db2.gz WALNFKCWMUUZSM-UHFFFAOYSA-N 0 3 317.845 4.251 20 0 DIADHN FC(F)Oc1cccc(-c2csc([C@H]3CCCCN3)n2)c1 ZINC000053251924 1043070349 /nfs/dbraw/zinc/07/03/49/1043070349.db2.gz GWBWYSZUFUJYIG-GFCCVEGCSA-N 0 3 310.369 4.226 20 0 DIADHN Cc1csc(CN[C@@H]2CC=C(c3ccc(F)cc3)CC2)n1 ZINC000350254902 1043071470 /nfs/dbraw/zinc/07/14/70/1043071470.db2.gz RJRWZLNQYKHWEA-MRXNPFEDSA-N 0 3 302.418 4.316 20 0 DIADHN FC(F)(F)COc1ccccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000441431965 1043086261 /nfs/dbraw/zinc/08/62/61/1043086261.db2.gz DXEAJUWOJQEDIP-ZFWWWQNUSA-N 0 3 313.363 4.392 20 0 DIADHN CCN(Cc1nnc(-c2cc(C)oc2C)o1)C1CCCCC1 ZINC000125036052 1043091496 /nfs/dbraw/zinc/09/14/96/1043091496.db2.gz IDAPHTHSJQNNKQ-UHFFFAOYSA-N 0 3 303.406 4.101 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccccc2CC(F)(F)F)cc1 ZINC000865429420 1043098543 /nfs/dbraw/zinc/09/85/43/1043098543.db2.gz VBFQGDJCTREIRA-ZDUSSCGKSA-N 0 3 323.358 4.135 20 0 DIADHN CC(C)(C)Oc1cccc(CN[C@H](CCO)c2cccs2)c1 ZINC000865473299 1043103583 /nfs/dbraw/zinc/10/35/83/1043103583.db2.gz MFMDYZOIAXCCDB-MRXNPFEDSA-N 0 3 319.470 4.139 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2CCOC3(CCCCC3)C2)n1 ZINC000865495259 1043104366 /nfs/dbraw/zinc/10/43/66/1043104366.db2.gz LEYRTRDWEGKFPH-AWEZNQCLSA-N 0 3 322.518 4.412 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H](C)c1ccccc1 ZINC000177300831 1043106851 /nfs/dbraw/zinc/10/68/51/1043106851.db2.gz XPUXKALNZKQPSS-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN CC[C@H](C(=O)Nc1cc(CN(C)C)ccc1C)c1ccccc1 ZINC000177338581 1043107120 /nfs/dbraw/zinc/10/71/20/1043107120.db2.gz FKYDNIHCLJTXBS-SFHVURJKSA-N 0 3 310.441 4.189 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cnc2c(F)cccc2c1 ZINC000865527889 1043109984 /nfs/dbraw/zinc/10/99/84/1043109984.db2.gz DKCOVSBMKGOIOE-GFCCVEGCSA-N 0 3 300.299 4.195 20 0 DIADHN COC1CCC(CCNCc2c(Cl)cncc2Cl)CC1 ZINC000865527174 1043110348 /nfs/dbraw/zinc/11/03/48/1043110348.db2.gz HWOPRJYFWMGAMC-UHFFFAOYSA-N 0 3 317.260 4.073 20 0 DIADHN C[C@H](CNCc1cn(C2CC2)cn1)c1ccc(C(F)(F)F)cc1 ZINC000865539776 1043111290 /nfs/dbraw/zinc/11/12/90/1043111290.db2.gz WFFFTMFGQFIBAB-GFCCVEGCSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1cccc(N2CCOC2=O)c1 ZINC000177749825 1043112334 /nfs/dbraw/zinc/11/23/34/1043112334.db2.gz RTDSROUWPVQLCO-OLZOCXBDSA-N 0 3 316.426 4.117 20 0 DIADHN CCC[C@@H](NCc1cccc(C(=O)N(C)C)c1)c1ccccc1 ZINC000177737064 1043112423 /nfs/dbraw/zinc/11/24/23/1043112423.db2.gz IAQOBNDRZSZFSQ-LJQANCHMSA-N 0 3 310.441 4.019 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1ccc(N2CCOC2=O)cc1 ZINC000177756770 1043112493 /nfs/dbraw/zinc/11/24/93/1043112493.db2.gz JERRQGCRGLLAKZ-OLZOCXBDSA-N 0 3 316.426 4.117 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000177879171 1043115205 /nfs/dbraw/zinc/11/52/05/1043115205.db2.gz YHDAYOBICHDYHQ-AWEZNQCLSA-N 0 3 316.470 4.129 20 0 DIADHN CC1(C)C[C@@H](NCc2ccnc(N3CCCC3)c2)c2ccccc21 ZINC000177838755 1043115408 /nfs/dbraw/zinc/11/54/08/1043115408.db2.gz VSEHNZQDIAXTTL-LJQANCHMSA-N 0 3 321.468 4.194 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@@H](C)CCC[C@H]2C)c(C)c1C ZINC000441440656 1043117435 /nfs/dbraw/zinc/11/74/35/1043117435.db2.gz BEQRLVUXXREDRR-NWDGAFQWSA-N 0 3 308.491 4.122 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)N[C@H]1CCc2cc(F)ccc21 ZINC000178088759 1043119843 /nfs/dbraw/zinc/11/98/43/1043119843.db2.gz QYLOSYXTPZKSIZ-XIKOKIGWSA-N 0 3 315.436 4.424 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@H]2CCc3c2cccc3Cl)cn1 ZINC000178092434 1043120920 /nfs/dbraw/zinc/12/09/20/1043120920.db2.gz YFMNTZSUMGZBNB-PXAZEXFGSA-N 0 3 303.837 4.456 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCc3c2cccc3Cl)cn1 ZINC000178092407 1043121712 /nfs/dbraw/zinc/12/17/12/1043121712.db2.gz YFMNTZSUMGZBNB-SJKOYZFVSA-N 0 3 303.837 4.456 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](c1ccccc1)[C@@H]1CCCO1)CC2 ZINC000178120740 1043121740 /nfs/dbraw/zinc/12/17/40/1043121740.db2.gz ONUWWHMPJWIVBX-ACRUOGEOSA-N 0 3 323.436 4.192 20 0 DIADHN CCCC(=O)Nc1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000178115450 1043121753 /nfs/dbraw/zinc/12/17/53/1043121753.db2.gz QDTPELLIELALFA-ZDUSSCGKSA-N 0 3 302.443 4.338 20 0 DIADHN CN(Cc1ccncc1Cl)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000520454951 1043122542 /nfs/dbraw/zinc/12/25/42/1043122542.db2.gz SSTYFLRIHKEBPH-TXEJJXNPSA-N 0 3 306.759 4.288 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](C)c2cccs2)cc1OC ZINC000178173734 1043122887 /nfs/dbraw/zinc/12/28/87/1043122887.db2.gz ZUVRMASQQXCSRG-CHWSQXEVSA-N 0 3 305.443 4.486 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178137753 1043122995 /nfs/dbraw/zinc/12/29/95/1043122995.db2.gz KDWFOJQFWUNIEX-HLFMIMNKSA-N 0 3 315.482 4.398 20 0 DIADHN c1nc(CNCC[C@@H]2CCSC2)sc1-c1ccccc1 ZINC000865651780 1043123075 /nfs/dbraw/zinc/12/30/75/1043123075.db2.gz FAPAQUJEQJWXPS-CYBMUJFWSA-N 0 3 304.484 4.043 20 0 DIADHN COc1ccc2cc([C@@H](C)N[C@@H](C)c3cn(C)nc3C)ccc2c1 ZINC000178175712 1043123769 /nfs/dbraw/zinc/12/37/69/1043123769.db2.gz PZKWDNLSZAOYNS-KGLIPLIRSA-N 0 3 323.440 4.302 20 0 DIADHN CCC[C@H](NCc1ccc(Cl)nc1)c1ccc(OC)cc1 ZINC000178247212 1043123885 /nfs/dbraw/zinc/12/38/85/1043123885.db2.gz ZSBVUVWSPKQIIA-INIZCTEOSA-N 0 3 304.821 4.375 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000178262922 1043125996 /nfs/dbraw/zinc/12/59/96/1043125996.db2.gz PABRPKRGYKHQHH-ZSDSOXJFSA-N 0 3 301.455 4.144 20 0 DIADHN C[C@H](N[C@H]1CCCOc2ccccc21)c1ccc2c(c1)CCO2 ZINC000178315731 1043127144 /nfs/dbraw/zinc/12/71/44/1043127144.db2.gz CUKTYMYFKNGAAI-KSSFIOAISA-N 0 3 309.409 4.186 20 0 DIADHN c1csc(-c2nc(CNCC[C@H]3CCCS3)cs2)c1 ZINC000865725127 1043128509 /nfs/dbraw/zinc/12/85/09/1043128509.db2.gz RNSNPORWBVQSMD-GFCCVEGCSA-N 0 3 310.513 4.247 20 0 DIADHN c1csc(-c2nc(CNCC[C@@H]3CCCS3)cs2)c1 ZINC000865725128 1043128834 /nfs/dbraw/zinc/12/88/34/1043128834.db2.gz RNSNPORWBVQSMD-LBPRGKRZSA-N 0 3 310.513 4.247 20 0 DIADHN CC[C@H](NCc1cc2ccccc2[nH]1)c1cccc(OC)c1OC ZINC000865757699 1043130420 /nfs/dbraw/zinc/13/04/20/1043130420.db2.gz NIIGNVYSKHJRAY-KRWDZBQOSA-N 0 3 324.424 4.426 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@H]2CC3(CCC3)Oc3ccccc32)cc1 ZINC000178551945 1043133424 /nfs/dbraw/zinc/13/34/24/1043133424.db2.gz OAFHEMSSZBDGLQ-BEFAXECRSA-N 0 3 323.436 4.276 20 0 DIADHN C[C@@H](O)c1ccc(CN[C@@H](C)c2ccc(OC(F)F)cc2)cc1 ZINC000178554465 1043133541 /nfs/dbraw/zinc/13/35/41/1043133541.db2.gz HNEUERDUOGGWIA-QWHCGFSZSA-N 0 3 321.367 4.192 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccc([C@H](C)O)cc2)cc1 ZINC000178552649 1043133650 /nfs/dbraw/zinc/13/36/50/1043133650.db2.gz MJFQFLHNZUGSOY-CVEARBPZSA-N 0 3 313.441 4.378 20 0 DIADHN CC[C@@H](NCc1ccc(CO)c(F)c1)c1ccc(Cl)s1 ZINC000178567320 1043135173 /nfs/dbraw/zinc/13/51/73/1043135173.db2.gz HRRZMOARRNLICD-CYBMUJFWSA-N 0 3 313.825 4.274 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)c2cccc(O)c2)cc1OC ZINC000178756090 1043136433 /nfs/dbraw/zinc/13/64/33/1043136433.db2.gz VSQIRQDGCWGVCG-KGLIPLIRSA-N 0 3 315.413 4.130 20 0 DIADHN CC(C)N(Cc1nc(-c2ccccc2F)no1)C1CCCC1 ZINC000189103240 1043137309 /nfs/dbraw/zinc/13/73/09/1043137309.db2.gz CZLCVSUYLTVCJB-UHFFFAOYSA-N 0 3 303.381 4.029 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1NCc1cnc(C2CCC2)s1 ZINC000865830869 1043138762 /nfs/dbraw/zinc/13/87/62/1043138762.db2.gz VFQQEFAWADHANY-CHWSQXEVSA-N 0 3 316.392 4.397 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@H](C)c2ccnn2C)c1 ZINC000865839662 1043139185 /nfs/dbraw/zinc/13/91/85/1043139185.db2.gz ZVYJILBBEKWUDU-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cn[nH]c1CN[C@@H](c1nc2ccccc2[nH]1)C1CCCCC1 ZINC000865842950 1043139456 /nfs/dbraw/zinc/13/94/56/1043139456.db2.gz YNODBUYHSDBGSZ-GOSISDBHSA-N 0 3 323.444 4.006 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@H](C)c3ccnn3C)s2)cc1 ZINC000865838758 1043140126 /nfs/dbraw/zinc/14/01/26/1043140126.db2.gz BFMMJCJKYHCHEH-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H](C)c2ccnn2C)c1 ZINC000865839659 1043140403 /nfs/dbraw/zinc/14/04/03/1043140403.db2.gz ZVYJILBBEKWUDU-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN CC[C@H](NCc1ccc([C@H](C)O)cc1)c1cc(C)ccc1OC ZINC000179405819 1043145187 /nfs/dbraw/zinc/14/51/87/1043145187.db2.gz IANQIZBUWQNKDJ-KXBFYZLASA-N 0 3 313.441 4.298 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCc4cc(F)ccc43)ccc2n1 ZINC000189292647 1043146009 /nfs/dbraw/zinc/14/60/09/1043146009.db2.gz NXGWCADMRHVVKG-HXUWFJFHSA-N 0 3 306.384 4.459 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000120863628 1043150047 /nfs/dbraw/zinc/15/00/47/1043150047.db2.gz RCTHKFNYKDJRHU-WFASDCNBSA-N 0 3 317.408 4.180 20 0 DIADHN C[C@@H](CCc1cccnc1)N[C@H](c1ccco1)c1ccccc1 ZINC000866219807 1043160370 /nfs/dbraw/zinc/16/03/70/1043160370.db2.gz YNUPQSJYAYXANI-JXFKEZNVSA-N 0 3 306.409 4.375 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccccc2)o1)[C@H](C)c1ccccc1 ZINC000183366121 1043163109 /nfs/dbraw/zinc/16/31/09/1043163109.db2.gz MISQGECPRDGNTL-LSDHHAIUSA-N 0 3 307.397 4.018 20 0 DIADHN CN(C)c1ncccc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000866232431 1043163854 /nfs/dbraw/zinc/16/38/54/1043163854.db2.gz LICHCAFYFFTPKE-LJQANCHMSA-N 0 3 317.436 4.078 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cnc(CC(C)C)s2)C1 ZINC000353925742 1043164144 /nfs/dbraw/zinc/16/41/44/1043164144.db2.gz OMCVVQQPJFEQHO-KGLIPLIRSA-N 0 3 312.548 4.448 20 0 DIADHN Cc1cncc(CN2CCC(c3nc4ccccc4s3)CC2)c1 ZINC000189777072 1043165116 /nfs/dbraw/zinc/16/51/16/1043165116.db2.gz FMAFGLMXQMWMOY-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cnc(CC(C)C)s2)C1 ZINC000353925746 1043165589 /nfs/dbraw/zinc/16/55/89/1043165589.db2.gz OMCVVQQPJFEQHO-ZIAGYGMSSA-N 0 3 312.548 4.448 20 0 DIADHN Cc1csc([C@H](NCCCOCc2ccccc2)C2CC2)n1 ZINC000183547488 1043166485 /nfs/dbraw/zinc/16/64/85/1043166485.db2.gz ODTPASGHFWCTBR-QGZVFWFLSA-N 0 3 316.470 4.099 20 0 DIADHN CCn1nc(C)c(CN[C@H]2c3cc(F)ccc3CC[C@@H]2C)c1C ZINC000866257229 1043168035 /nfs/dbraw/zinc/16/80/35/1043168035.db2.gz UFPAVOUNHDMPGY-HXPMCKFVSA-N 0 3 315.436 4.072 20 0 DIADHN CSc1ccc(OCCN(C)Cc2ccc(C)s2)cc1 ZINC000441478515 1043168248 /nfs/dbraw/zinc/16/82/48/1043168248.db2.gz UBMCHJXFAZDYHT-UHFFFAOYSA-N 0 3 307.484 4.289 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1cncc2ccccc21)c1ccco1 ZINC000866277494 1043172997 /nfs/dbraw/zinc/17/29/97/1043172997.db2.gz MTTTZSZOOKDGSZ-DNVCBOLYSA-N 0 3 324.424 4.126 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000163287381 1043174221 /nfs/dbraw/zinc/17/42/21/1043174221.db2.gz MOQMAAWZQAOTHV-DZGCQCFKSA-N 0 3 303.406 4.005 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H](C)c2cncc(C)c2)n1 ZINC000184390731 1043185449 /nfs/dbraw/zinc/18/54/49/1043185449.db2.gz KRJGCOULYNCGCY-KRWDZBQOSA-N 0 3 320.440 4.043 20 0 DIADHN c1nc2n(c1CN[C@H]1CCc3cccc4cccc1c43)CCCC2 ZINC000866355533 1043188268 /nfs/dbraw/zinc/18/82/68/1043188268.db2.gz XPXAFZQLLWPDJQ-IBGZPJMESA-N 0 3 317.436 4.150 20 0 DIADHN COc1cc([C@H](C)NC2CC(F)(F)C2)ccc1SC(F)F ZINC000760037097 1043189050 /nfs/dbraw/zinc/18/90/50/1043189050.db2.gz HFCZANIDSXGGLG-QMMMGPOBSA-N 0 3 323.355 4.458 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1ccnc(C(F)(F)F)c1 ZINC000866363033 1043189711 /nfs/dbraw/zinc/18/97/11/1043189711.db2.gz FEJZQBADDVHJMC-HNNXBMFYSA-N 0 3 324.321 4.407 20 0 DIADHN Cn1ncc(C2CC2)c1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000866390937 1043194440 /nfs/dbraw/zinc/19/44/40/1043194440.db2.gz WOPUUHWVEBYULV-LJQANCHMSA-N 0 3 317.436 4.228 20 0 DIADHN CCC[C@@H](NCc1ccnc(N2CCCCC2)c1)c1cccnc1 ZINC000185054241 1043195165 /nfs/dbraw/zinc/19/51/65/1043195165.db2.gz KSAWXPBSLDMWCQ-LJQANCHMSA-N 0 3 324.472 4.098 20 0 DIADHN F[C@@H]1CCCC[C@@H]1N[C@H](Cc1ccncc1)c1cccs1 ZINC000866452138 1043204708 /nfs/dbraw/zinc/20/47/08/1043204708.db2.gz WQQFJTZRVSBIEU-OWCLPIDISA-N 0 3 304.434 4.297 20 0 DIADHN CC[C@H](Cc1cn(C)c2ccccc12)N[C@H](C)c1cc(C)on1 ZINC000866453379 1043205707 /nfs/dbraw/zinc/20/57/07/1043205707.db2.gz WJQAIFYDUQTVBX-GDBMZVCRSA-N 0 3 311.429 4.147 20 0 DIADHN Fc1ccc([C@@H](NCC[C@H]2CCCS2)c2cccnc2)cc1 ZINC000866480048 1043208306 /nfs/dbraw/zinc/20/83/06/1043208306.db2.gz CZZCDEDTKAKQJM-QZTJIDSGSA-N 0 3 316.445 4.185 20 0 DIADHN COc1ccc(-c2cnc(CN(C)[C@@H]3CCC[C@H](C)C3)o2)cc1 ZINC000520524651 1043210330 /nfs/dbraw/zinc/21/03/30/1043210330.db2.gz AGIACSWNCVPROI-GOEBONIOSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1cc(F)ccc1F ZINC000121100712 1043217281 /nfs/dbraw/zinc/21/72/81/1043217281.db2.gz NIBJCUHGYCUMSJ-SOZUMNATSA-N 0 3 323.358 4.267 20 0 DIADHN CC(C)c1nc([C@@H](C)NC[C@H]2CCC23CCOCC3)cs1 ZINC000866529800 1043217657 /nfs/dbraw/zinc/21/76/57/1043217657.db2.gz SIERAOPDCHRMOY-ZIAGYGMSSA-N 0 3 308.491 4.124 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cc2cnccc2o1 ZINC000866610787 1043234346 /nfs/dbraw/zinc/23/43/46/1043234346.db2.gz WHGXSMCMDOSTIE-CYZMBNFOSA-N 0 3 310.319 4.376 20 0 DIADHN CC[C@H](N[C@H](C)c1ccnn1C)c1ccc2cc(OC)ccc2c1 ZINC000866612709 1043236488 /nfs/dbraw/zinc/23/64/88/1043236488.db2.gz MOBGSRXZGMJRSK-KUHUBIRLSA-N 0 3 323.440 4.384 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccnn1C)c1ccc2cc(OC)ccc2c1 ZINC000866612708 1043236611 /nfs/dbraw/zinc/23/66/11/1043236611.db2.gz MOBGSRXZGMJRSK-IFXJQAMLSA-N 0 3 323.440 4.384 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccnn1C)c1ccc2cc(OC)ccc2c1 ZINC000866612710 1043236761 /nfs/dbraw/zinc/23/67/61/1043236761.db2.gz MOBGSRXZGMJRSK-LIRRHRJNSA-N 0 3 323.440 4.384 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(-c2ccccc2)c1)c1ccnn1C ZINC000866613417 1043236951 /nfs/dbraw/zinc/23/69/51/1043236951.db2.gz SKRZQBDDXWUZEN-HOTGVXAUSA-N 0 3 305.425 4.499 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)COC2)c1cc2cnccc2o1 ZINC000866622336 1043239622 /nfs/dbraw/zinc/23/96/22/1043239622.db2.gz YWMMLMYXIKMXRW-STQMWFEESA-N 0 3 308.381 4.270 20 0 DIADHN CC[C@H](C[C@@H](C)O)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000866635529 1043242246 /nfs/dbraw/zinc/24/22/46/1043242246.db2.gz RFTHBGCBEDVBDZ-VNHYZAJKSA-N 0 3 304.459 4.010 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccsc1)c1csc(C(F)(F)F)c1 ZINC000925108304 1043252637 /nfs/dbraw/zinc/25/26/37/1043252637.db2.gz GYMKBEOMYITPCH-LDYMZIIASA-N 0 3 321.389 4.213 20 0 DIADHN COc1cc(CNCc2ccccc2N2CCCCC2)ccc1C ZINC000237217705 1043254330 /nfs/dbraw/zinc/25/43/30/1043254330.db2.gz AKXYYXFUBANEHP-UHFFFAOYSA-N 0 3 324.468 4.284 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000665164327 1043266090 /nfs/dbraw/zinc/26/60/90/1043266090.db2.gz VPRDRQJOAVSRAI-HNNXBMFYSA-N 0 3 317.477 4.113 20 0 DIADHN Cc1ccc(NC(=O)c2cccc(Cl)c2C)c(CN(C)C)c1 ZINC000192551493 1043271109 /nfs/dbraw/zinc/27/11/09/1043271109.db2.gz SOPIEHHGCDXERY-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@@H](N[C@H](c1ccc(Cl)cc1)C1CCC1)c1ccnn1C ZINC000192834041 1043274901 /nfs/dbraw/zinc/27/49/01/1043274901.db2.gz LDBIQENSNWOKDR-PXAZEXFGSA-N 0 3 303.837 4.266 20 0 DIADHN C[C@H](N[C@@H](c1ccc(F)cc1)C1CCCC1)c1ccnn1C ZINC000192771888 1043275409 /nfs/dbraw/zinc/27/54/09/1043275409.db2.gz XBWJGWOHFNEUAN-SCLBCKFNSA-N 0 3 301.409 4.141 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(-c3ccccc3)cc21)c1ccnn1C ZINC000193315808 1043281569 /nfs/dbraw/zinc/28/15/69/1043281569.db2.gz KRWGGMSUNHOOEJ-MGPUTAFESA-N 0 3 317.436 4.425 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1CN(C)C)c1ccccc1 ZINC000193343049 1043284352 /nfs/dbraw/zinc/28/43/52/1043284352.db2.gz IXPNDPBLWLKPQB-GOSISDBHSA-N 0 3 310.441 4.189 20 0 DIADHN Nc1cccc(CN[C@H]2CCCOc3cc4c(cc32)CCCC4)c1 ZINC000665233896 1043291727 /nfs/dbraw/zinc/29/17/27/1043291727.db2.gz SHFSPKYOIUSMTC-FQEVSTJZSA-N 0 3 322.452 4.151 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)C[C@H](C)c1nccs1 ZINC000339082155 1043292114 /nfs/dbraw/zinc/29/21/14/1043292114.db2.gz CHLOETGDRHNIIM-AWEZNQCLSA-N 0 3 317.502 4.459 20 0 DIADHN Cc1cc(Cl)c2c(c1)[C@@H](NCc1cccc(N)c1)CCCO2 ZINC000665233904 1043292154 /nfs/dbraw/zinc/29/21/54/1043292154.db2.gz SOQHGEXFCZEMSI-KRWDZBQOSA-N 0 3 316.832 4.234 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)C[C@@H](C)c1nccs1 ZINC000339082159 1043292464 /nfs/dbraw/zinc/29/24/64/1043292464.db2.gz CHLOETGDRHNIIM-CQSZACIVSA-N 0 3 317.502 4.459 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NC[C@H]2CCC=CO2)cc1 ZINC000194529323 1043293770 /nfs/dbraw/zinc/29/37/70/1043293770.db2.gz JCQBUFUAMYBARH-ZIAGYGMSSA-N 0 3 303.299 4.102 20 0 DIADHN C[C@H](CCN1CCC(C(=O)C(F)(F)F)CC1)c1ccccc1 ZINC000194722027 1043295136 /nfs/dbraw/zinc/29/51/36/1043295136.db2.gz KZRUCFLECIGZMR-CYBMUJFWSA-N 0 3 313.363 4.024 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(N2CCCC2)c1)c1cccc(O)c1 ZINC000194912699 1043295855 /nfs/dbraw/zinc/29/58/55/1043295855.db2.gz QMCYHUILPHOLJZ-HOTGVXAUSA-N 0 3 310.441 4.404 20 0 DIADHN CC[C@@H](NCCC1(OC)CCC1)c1nc(C(F)(F)F)cs1 ZINC000339083503 1043296156 /nfs/dbraw/zinc/29/61/56/1043296156.db2.gz DZSDAUTXFHMBLQ-SNVBAGLBSA-N 0 3 322.396 4.162 20 0 DIADHN C[C@H](NCc1ccc2ncccc2c1)c1ccccc1N(C)C ZINC000195149094 1043296979 /nfs/dbraw/zinc/29/69/79/1043296979.db2.gz MUPBUMOTRCBVMI-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@@H](NCc1ccc2ncccc2c1)c1ccccc1N(C)C ZINC000195149103 1043297851 /nfs/dbraw/zinc/29/78/51/1043297851.db2.gz MUPBUMOTRCBVMI-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1ccnc(CNC[C@@H]2CCCC2(C)C)c1Br ZINC001202529103 1043327313 /nfs/dbraw/zinc/32/73/13/1043327313.db2.gz UXEJGLULOVQZHA-LBPRGKRZSA-N 0 3 311.267 4.068 20 0 DIADHN COC1(CCN[C@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000339085804 1043299141 /nfs/dbraw/zinc/29/91/41/1043299141.db2.gz LLKBUPJRKUZPEU-CQSZACIVSA-N 0 3 316.470 4.420 20 0 DIADHN Cc1ccoc1CNCc1ccccc1CN(C)Cc1ccco1 ZINC000266163945 1043300883 /nfs/dbraw/zinc/30/08/83/1043300883.db2.gz OMBUILQZKSKFAI-UHFFFAOYSA-N 0 3 324.424 4.103 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000339088956 1043304364 /nfs/dbraw/zinc/30/43/64/1043304364.db2.gz KHYVKKMKVKWLNM-MGPUTAFESA-N 0 3 309.457 4.492 20 0 DIADHN Cc1csc2nc(C)c(CN3CCC4(CCCC4)CC3)n12 ZINC001205959310 1043306883 /nfs/dbraw/zinc/30/68/83/1043306883.db2.gz BGCQERQNYDCEMP-UHFFFAOYSA-N 0 3 303.475 4.169 20 0 DIADHN Cc1cnc([C@@H](C)NCC(C)(C)c2c(F)cccc2Cl)cn1 ZINC000427843843 1043308066 /nfs/dbraw/zinc/30/80/66/1043308066.db2.gz VWRKLBIZFBEZRK-GFCCVEGCSA-N 0 3 321.827 4.206 20 0 DIADHN CCOC[C@H](C)N[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000427863310 1043311025 /nfs/dbraw/zinc/31/10/25/1043311025.db2.gz IGZJYYZERDPJGX-IRXDYDNUSA-N 0 3 313.441 4.341 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc(N3CCCC3)nc2)c2ccccc21 ZINC000268236784 1043315291 /nfs/dbraw/zinc/31/52/91/1043315291.db2.gz WAZCIAKONAXZDN-DNVCBOLYSA-N 0 3 307.441 4.020 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(N3CCCCC3)nc1)CC2 ZINC000268754453 1043327675 /nfs/dbraw/zinc/32/76/75/1043327675.db2.gz DLGALJGHOZTZJI-FQEVSTJZSA-N 0 3 321.468 4.157 20 0 DIADHN CCCc1nc(C)c(CN(CCc2cccc(F)c2)C2CC2)o1 ZINC000428225553 1043330164 /nfs/dbraw/zinc/33/01/64/1043330164.db2.gz FSRFXUGHTOSDQF-UHFFFAOYSA-N 0 3 316.420 4.282 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3nc(CC)sc32)cc1 ZINC000268828179 1043335667 /nfs/dbraw/zinc/33/56/67/1043335667.db2.gz VGZFTLZKIHQCRT-OAHLLOKOSA-N 0 3 316.470 4.271 20 0 DIADHN COc1cc2c(cc1CN[C@H](c1ccccc1)C1CC1)O[C@@H](C)C2 ZINC000053953997 1043335599 /nfs/dbraw/zinc/33/55/99/1043335599.db2.gz BKCCCFRBKGWUKA-LHSJRXKWSA-N 0 3 323.436 4.260 20 0 DIADHN CCN(Cc1nc(-c2ccc(F)c(F)c2)no1)C1CCCCC1 ZINC000487412641 1043335713 /nfs/dbraw/zinc/33/57/13/1043335713.db2.gz JTGDWVALQDSBPU-UHFFFAOYSA-N 0 3 321.371 4.169 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H]1CCCOc2ccccc21 ZINC000268830102 1043336631 /nfs/dbraw/zinc/33/66/31/1043336631.db2.gz LYCUGJGRVLHWTQ-QZTJIDSGSA-N 0 3 309.409 4.186 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccccc2OCCOC)s1 ZINC000268883895 1043341303 /nfs/dbraw/zinc/34/13/03/1043341303.db2.gz YNEZBFPNRCRSBI-CQSZACIVSA-N 0 3 319.470 4.187 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCc3c1cccc3O)CCC2 ZINC000268910592 1043342628 /nfs/dbraw/zinc/34/26/28/1043342628.db2.gz SZEWAXLANCOIOG-OALUTQOASA-N 0 3 309.409 4.055 20 0 DIADHN OCCC[C@@H](N[C@@H]1CCCOc2ccccc21)c1ccccc1 ZINC000268944918 1043344060 /nfs/dbraw/zinc/34/40/60/1043344060.db2.gz AYCAFHRFWCZJRQ-RTBURBONSA-N 0 3 311.425 4.004 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CCC2(C1)CCOCC2 ZINC000268994665 1043348005 /nfs/dbraw/zinc/34/80/05/1043348005.db2.gz HYYJGSQNQKJUDJ-CYBMUJFWSA-N 0 3 324.262 4.013 20 0 DIADHN Fc1ccccc1-c1ccc(CN[C@@H]2COc3ccccc3C2)o1 ZINC000520979006 1043350079 /nfs/dbraw/zinc/35/00/79/1043350079.db2.gz ZLJVOZCPKOAJNM-HNNXBMFYSA-N 0 3 323.367 4.179 20 0 DIADHN CC[C@@H](N[C@@H](CO)c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000269015820 1043350182 /nfs/dbraw/zinc/35/01/82/1043350182.db2.gz OHNHALMPMFKAGE-SJORKVTESA-N 0 3 321.367 4.062 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H](CCCO)c1ccccc1 ZINC000269003407 1043350483 /nfs/dbraw/zinc/35/04/83/1043350483.db2.gz PQTNFFLMKQIKED-APWZRJJASA-N 0 3 313.441 4.168 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@@](C)=O)cc1)c1ccc(F)cc1 ZINC000269038274 1043353418 /nfs/dbraw/zinc/35/34/18/1043353418.db2.gz SSMUGGKNEBLUQU-KVYZTJHFSA-N 0 3 319.445 4.365 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)c(C)o1 ZINC000269066698 1043355289 /nfs/dbraw/zinc/35/52/89/1043355289.db2.gz YZWAZGOFFUJBIB-HUUCEWRRSA-N 0 3 309.413 4.494 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCCO1)c1ccc2c(c1)COC2 ZINC000269065707 1043355307 /nfs/dbraw/zinc/35/53/07/1043355307.db2.gz YSUOXVSIFSESQX-ONGXBYRLSA-N 0 3 323.436 4.288 20 0 DIADHN COc1cc(C)c([C@@H](C)Nc2ccnc3ccccc32)cc1OC ZINC000521102247 1043360618 /nfs/dbraw/zinc/36/06/18/1043360618.db2.gz SNOBLMVMXPUOQF-CQSZACIVSA-N 0 3 322.408 4.155 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H](C)CC3)cs2)cc1 ZINC000521091761 1043361674 /nfs/dbraw/zinc/36/16/74/1043361674.db2.gz GIPYSRGFEOIXMW-CQSZACIVSA-N 0 3 316.470 4.441 20 0 DIADHN CC(C)[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(C(F)(F)F)cc1 ZINC000521115065 1043365011 /nfs/dbraw/zinc/36/50/11/1043365011.db2.gz TUWYOYKCECSTTB-INIZCTEOSA-N 0 3 324.346 4.293 20 0 DIADHN Cc1nc([C@H](C)NC[C@H]2CCCO[C@@H]2c2ccccc2)cs1 ZINC000269443533 1043365628 /nfs/dbraw/zinc/36/56/28/1043365628.db2.gz UAZUJFQSBPWKDI-FDQGKXFDSA-N 0 3 316.470 4.270 20 0 DIADHN Cc1nc([C@@H](C)NCCCCOc2ccc(F)cc2)cs1 ZINC000269431567 1043366444 /nfs/dbraw/zinc/36/64/44/1043366444.db2.gz PUNQAKYQWOQEBI-GFCCVEGCSA-N 0 3 308.422 4.100 20 0 DIADHN CCCN(CC(=O)N(C)c1ccccc1)[C@@H]1CCc2ccccc21 ZINC000521193490 1043369751 /nfs/dbraw/zinc/36/97/51/1043369751.db2.gz FXFZPKZWHLSBNB-HXUWFJFHSA-N 0 3 322.452 4.049 20 0 DIADHN CC[C@H](NC(=O)CN(C)CC1CCCC1)c1ccc(Cl)cc1 ZINC000521191582 1043369855 /nfs/dbraw/zinc/36/98/55/1043369855.db2.gz ZJHOPMZPHUQCDC-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN CCCN(CC(=O)N(C)c1ccccc1)[C@H]1CCc2ccccc21 ZINC000521193489 1043371129 /nfs/dbraw/zinc/37/11/29/1043371129.db2.gz FXFZPKZWHLSBNB-FQEVSTJZSA-N 0 3 322.452 4.049 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)cc1C ZINC000270143717 1043380784 /nfs/dbraw/zinc/38/07/84/1043380784.db2.gz RHTOMLZIRAFGOZ-KSFYIVLOSA-N 0 3 315.482 4.200 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C(C)(C)c2cccs2)c1 ZINC000270379146 1043384681 /nfs/dbraw/zinc/38/46/81/1043384681.db2.gz MYOZRGMHZZJZAN-UHFFFAOYSA-N 0 3 316.470 4.034 20 0 DIADHN C[C@H](C[C@@H](O)c1cccs1)N[C@@H](C)c1cc(F)ccc1F ZINC000270667034 1043392267 /nfs/dbraw/zinc/39/22/67/1043392267.db2.gz VFJLRGNYSXFPDZ-JRPNMDOOSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@@H](C)c1ccc(F)cc1F ZINC000270647951 1043392521 /nfs/dbraw/zinc/39/25/21/1043392521.db2.gz PXHJOAHCQDZJIO-ZETOZRRWSA-N 0 3 311.397 4.189 20 0 DIADHN CC(C)OCCCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000346796744 1043392888 /nfs/dbraw/zinc/39/28/88/1043392888.db2.gz NWFHMVLBEYMEDJ-IBGZPJMESA-N 0 3 316.420 4.105 20 0 DIADHN CCN(Cc1ccncc1)[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000270994595 1043396494 /nfs/dbraw/zinc/39/64/94/1043396494.db2.gz JEDHPKWSPFSLED-ZDUSSCGKSA-N 0 3 306.356 4.266 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ccccc1NC(C)=O ZINC000271113030 1043401935 /nfs/dbraw/zinc/40/19/35/1043401935.db2.gz VDXJSBGPCTYOTM-UHFFFAOYSA-N 0 3 314.404 4.196 20 0 DIADHN COc1ccc2c(c1)nccc2N1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000795192352 1043413941 /nfs/dbraw/zinc/41/39/41/1043413941.db2.gz KXCCSBZZYFWFCE-ZWNOBZJWSA-N 0 3 310.319 4.021 20 0 DIADHN FCc1ccc(CN(Cc2ccccc2)C[C@H]2CCCO2)cc1 ZINC000777559568 1043415471 /nfs/dbraw/zinc/41/54/71/1043415471.db2.gz GRHFGIAFFWYZMP-HXUWFJFHSA-N 0 3 313.416 4.337 20 0 DIADHN Cc1cccc([C@H](NCCCc2nccs2)c2ccccn2)c1 ZINC000925443498 1043419611 /nfs/dbraw/zinc/41/96/11/1043419611.db2.gz QTJYKYXIHQUVJR-IBGZPJMESA-N 0 3 323.465 4.158 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@H](C)Oc1cccc(Cl)c1 ZINC000925469077 1043422196 /nfs/dbraw/zinc/42/21/96/1043422196.db2.gz AQRVCZWIZJABDH-QWRGUYRKSA-N 0 3 308.809 4.063 20 0 DIADHN CC1(C)C[C@@H](NCc2ccccc2CO)c2ccccc2S1 ZINC000872027755 1043423484 /nfs/dbraw/zinc/42/34/84/1043423484.db2.gz NCIRTQQNWPMBLE-QGZVFWFLSA-N 0 3 313.466 4.284 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000271478252 1043424707 /nfs/dbraw/zinc/42/47/07/1043424707.db2.gz SENGNJBYFUIKQT-HUUCEWRRSA-N 0 3 323.440 4.150 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](C)c1cccc(OC(F)F)c1 ZINC000271478523 1043424883 /nfs/dbraw/zinc/42/48/83/1043424883.db2.gz DCBODROKKCLPOX-QWHCGFSZSA-N 0 3 306.356 4.403 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1ccoc1C)CCCC2 ZINC000872029161 1043426665 /nfs/dbraw/zinc/42/66/65/1043426665.db2.gz WBIXZRBVCJONLS-KRWDZBQOSA-N 0 3 315.413 4.163 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)c2c1cccc2Br)c1cn[nH]c1 ZINC000271499288 1043426795 /nfs/dbraw/zinc/42/67/95/1043426795.db2.gz LUOJZUWJWHORKE-GPCCPHFNSA-N 0 3 320.234 4.071 20 0 DIADHN CC(C)COC[C@H](N[C@H]1CCOC2(CCCC2)C1)c1ccco1 ZINC000872031248 1043427963 /nfs/dbraw/zinc/42/79/63/1043427963.db2.gz NITRZVNCXJHGGD-IRXDYDNUSA-N 0 3 321.461 4.075 20 0 DIADHN CC(C)c1nc(CCN[C@H](C)c2cc(O)ccc2F)cs1 ZINC000872031691 1043428315 /nfs/dbraw/zinc/42/83/15/1043428315.db2.gz SXZNCKJPDMVJCT-LLVKDONJSA-N 0 3 308.422 4.005 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nc(-c3ccccc3)sc21)c1cn[nH]c1 ZINC000271509699 1043428390 /nfs/dbraw/zinc/42/83/90/1043428390.db2.gz NARXSJONAVTHGM-IUODEOHRSA-N 0 3 324.453 4.261 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1cccc(F)c1)c1ccc2ccccc2n1 ZINC000271520220 1043428707 /nfs/dbraw/zinc/42/87/07/1043428707.db2.gz QBRRYTFMGJIBRF-PJSUUKDQSA-N 0 3 324.399 4.147 20 0 DIADHN Cc1cccc([C@H](O)CN[C@@H](C)c2cc(-c3ccccc3)no2)c1 ZINC000925554728 1043429024 /nfs/dbraw/zinc/42/90/24/1043429024.db2.gz BFTOXFKCAPEYHA-HNAYVOBHSA-N 0 3 322.408 4.034 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(SCC(F)F)cc1)c1cn[nH]c1 ZINC000271517420 1043429096 /nfs/dbraw/zinc/42/90/96/1043429096.db2.gz NZMWCMITZBVYDL-GHMZBOCLSA-N 0 3 311.401 4.179 20 0 DIADHN Cc1cccc([C@H](O)CN[C@H](C)c2cc(-c3ccccc3)no2)c1 ZINC000925554727 1043429494 /nfs/dbraw/zinc/42/94/94/1043429494.db2.gz BFTOXFKCAPEYHA-DNVCBOLYSA-N 0 3 322.408 4.034 20 0 DIADHN C[C@@H](N[C@H]1CCCC(F)(F)C1)c1cccc(Br)n1 ZINC000925563333 1043430557 /nfs/dbraw/zinc/43/05/57/1043430557.db2.gz MPJGIUZUXYWJQK-ZJUUUORDSA-N 0 3 319.193 4.073 20 0 DIADHN C[C@@H](N[C@@H](c1cccc(Cl)c1)C1CCCC1)c1cnnn1C ZINC000925579362 1043432926 /nfs/dbraw/zinc/43/29/26/1043432926.db2.gz DNRNGRJDHLXKAS-SJKOYZFVSA-N 0 3 318.852 4.051 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc([S@@](C)=O)cc2)s1 ZINC000271537285 1043433251 /nfs/dbraw/zinc/43/32/51/1043433251.db2.gz QLKJKTUUGNSGNQ-RRMDADRESA-N 0 3 321.511 4.460 20 0 DIADHN CC(C)[C@@H](C[NH2+][C@H](C)c1cccc([O-])c1F)c1cccnc1 ZINC000872041982 1043433762 /nfs/dbraw/zinc/43/37/62/1043433762.db2.gz LTUSZELENJDDEZ-CZUORRHYSA-N 0 3 302.393 4.017 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)s1 ZINC000271537279 1043434619 /nfs/dbraw/zinc/43/46/19/1043434619.db2.gz QLKJKTUUGNSGNQ-BHVCSQLQSA-N 0 3 321.511 4.460 20 0 DIADHN CS[C@@H](C)CN[C@@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925602112 1043435220 /nfs/dbraw/zinc/43/52/20/1043435220.db2.gz QZUXRSSNKWSXML-YJBOKZPZSA-N 0 3 300.471 4.129 20 0 DIADHN CCOCCC1(CN[C@H](c2cccc(C)c2)c2ccccn2)CC1 ZINC000925599143 1043435565 /nfs/dbraw/zinc/43/55/65/1043435565.db2.gz QGGHHWGRIGJDQF-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN COc1cc(C)cc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000925603139 1043435959 /nfs/dbraw/zinc/43/59/59/1043435959.db2.gz IBKFYSDGLXTLKG-OAHLLOKOSA-N 0 3 313.441 4.082 20 0 DIADHN Fc1cccc(CN(Cc2cncc(F)c2)C2CCCC2)c1 ZINC000558104652 1043437707 /nfs/dbraw/zinc/43/77/07/1043437707.db2.gz VVLXIYRXFFORGD-UHFFFAOYSA-N 0 3 302.368 4.305 20 0 DIADHN C[C@@H](N[C@@H]1CN(C)Cc2ccccc21)c1cc2ccccc2[nH]1 ZINC000925633283 1043440146 /nfs/dbraw/zinc/44/01/46/1043440146.db2.gz DAUJCMLNZTZCEC-JLTOFOAXSA-N 0 3 305.425 4.005 20 0 DIADHN COc1ccccc1-c1ccc([C@@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000271591979 1043441340 /nfs/dbraw/zinc/44/13/40/1043441340.db2.gz YMJHDNPCIWJTSC-HUUCEWRRSA-N 0 3 321.424 4.497 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@H](C)c1ccc(OC(F)F)cc1 ZINC000271595788 1043442101 /nfs/dbraw/zinc/44/21/01/1043442101.db2.gz SYWAPQVHOKRCIT-CHWSQXEVSA-N 0 3 306.356 4.403 20 0 DIADHN Fc1cncc(CN[C@H](Cc2ccccc2)c2cccs2)c1 ZINC000558124936 1043443234 /nfs/dbraw/zinc/44/32/34/1043443234.db2.gz AJCMFYXXRUJNNB-QGZVFWFLSA-N 0 3 312.413 4.356 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000925643996 1043443436 /nfs/dbraw/zinc/44/34/36/1043443436.db2.gz MPVMHJVGCVPQLT-IFXJQAMLSA-N 0 3 310.441 4.111 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN(CCC(F)(F)F)C3)nc2c1 ZINC000271680185 1043446829 /nfs/dbraw/zinc/44/68/29/1043446829.db2.gz JSAHBRDSPFYMRL-LBPRGKRZSA-N 0 3 311.351 4.003 20 0 DIADHN Cc1ccc2nc([C@H]3CCCN(CCC(F)(F)F)C3)[nH]c2c1 ZINC000271680185 1043446835 /nfs/dbraw/zinc/44/68/35/1043446835.db2.gz JSAHBRDSPFYMRL-LBPRGKRZSA-N 0 3 311.351 4.003 20 0 DIADHN Cc1cncc(CN(CC(C)C)[C@@H]2CCCc3cccnc32)c1 ZINC000442881539 1043447392 /nfs/dbraw/zinc/44/73/92/1043447392.db2.gz IOSNHFMKHOONGE-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN FC1(F)CCC(N[C@H]2COCc3cccc(Cl)c32)CC1 ZINC000925657074 1043447813 /nfs/dbraw/zinc/44/78/13/1043447813.db2.gz IAIOZTYXMILMFN-ZDUSSCGKSA-N 0 3 301.764 4.079 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@@H](c2cccs2)C1)c1cc(O)ccc1F ZINC000872079351 1043451766 /nfs/dbraw/zinc/45/17/66/1043451766.db2.gz XTXJGRVWCUJGGK-XHBSWPGZSA-N 0 3 321.417 4.164 20 0 DIADHN CC1(C)CN(CCC(=O)Nc2ccccc2)[C@H]1c1cccs1 ZINC000558176888 1043453439 /nfs/dbraw/zinc/45/34/39/1043453439.db2.gz QSNRGDIPHBJYNP-KRWDZBQOSA-N 0 3 314.454 4.160 20 0 DIADHN CC(C)COC1CCN(C/C=C\c2ccc(F)c(F)c2)CC1 ZINC000521686146 1043457376 /nfs/dbraw/zinc/45/73/76/1043457376.db2.gz SBSBQBYCHCWHFC-ARJAWSKDSA-N 0 3 309.400 4.115 20 0 DIADHN CC1(C)C[C@H](N[C@@H]2CCCn3nccc32)c2ccccc2S1 ZINC000872094256 1043457562 /nfs/dbraw/zinc/45/75/62/1043457562.db2.gz KRIZJVPZUWLPQM-CABCVRRESA-N 0 3 313.470 4.323 20 0 DIADHN CC1(C)C[C@@H](N[C@@H]2CCCn3nccc32)c2ccccc2S1 ZINC000872094258 1043457804 /nfs/dbraw/zinc/45/78/04/1043457804.db2.gz KRIZJVPZUWLPQM-HUUCEWRRSA-N 0 3 313.470 4.323 20 0 DIADHN Cc1ccc2cc(CN3CC(C)(C)O[C@@H]4CCC[C@H]43)ccc2n1 ZINC000442962807 1043461421 /nfs/dbraw/zinc/46/14/21/1043461421.db2.gz KWOJHMPXJSMKPI-RTBURBONSA-N 0 3 310.441 4.075 20 0 DIADHN O=C(CCN1CC[C@@H](c2cccc(F)c2)C1)c1ccc(F)cc1 ZINC000558228036 1043462853 /nfs/dbraw/zinc/46/28/53/1043462853.db2.gz ZVJYGOFHPQHOEW-MRXNPFEDSA-N 0 3 315.363 4.027 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@H]1CC[C@H]1C1CC1)c1ccccc1 ZINC000558226739 1043463110 /nfs/dbraw/zinc/46/31/10/1043463110.db2.gz GMFBBRVUTBHNGV-SLFFLAALSA-N 0 3 320.436 4.145 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@@H]1CC[C@@H]1C1CC1)c1ccccc1 ZINC000558226742 1043463397 /nfs/dbraw/zinc/46/33/97/1043463397.db2.gz GMFBBRVUTBHNGV-VAMGGRTRSA-N 0 3 320.436 4.145 20 0 DIADHN COc1cccc(CN2CCC[C@@H]2c2cccc(C)c2)c1OC ZINC001203439684 1043463589 /nfs/dbraw/zinc/46/35/89/1043463589.db2.gz UOKLKTIRCJHUDI-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@H](C)NCc1cc(N)ccn1 ZINC000925770759 1043466591 /nfs/dbraw/zinc/46/65/91/1043466591.db2.gz IPGANPJMGVNQRX-ZDUSSCGKSA-N 0 3 309.413 4.132 20 0 DIADHN CCCCCOc1ccc([C@@H](C)NCc2cc(N)ccn2)cc1 ZINC000925770703 1043466645 /nfs/dbraw/zinc/46/66/45/1043466645.db2.gz IBHAERQNXIQASS-OAHLLOKOSA-N 0 3 313.445 4.084 20 0 DIADHN c1sc(CNC2CC(c3ccccc3)C2)nc1-c1ccncc1 ZINC000872121601 1043466864 /nfs/dbraw/zinc/46/68/64/1043466864.db2.gz NVBXJBQSRBANKJ-UHFFFAOYSA-N 0 3 321.449 4.241 20 0 DIADHN C[C@H]1CC[C@H](NCc2ccc3oc(=O)[nH]c3c2)c2ccccc21 ZINC000872122365 1043467281 /nfs/dbraw/zinc/46/72/81/1043467281.db2.gz FEKRZBKDLIZAHW-LRDDRELGSA-N 0 3 308.381 4.262 20 0 DIADHN CC(C)[C@H](NCc1cc(N)ccn1)c1cccc(C(F)(F)F)c1 ZINC000925772647 1043468654 /nfs/dbraw/zinc/46/86/54/1043468654.db2.gz VGSTXERQQCPWGL-INIZCTEOSA-N 0 3 323.362 4.170 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccc3oc(=O)[nH]c3c2)s1 ZINC000872123684 1043469132 /nfs/dbraw/zinc/46/91/32/1043469132.db2.gz UITWZROVGWLNFP-SNVBAGLBSA-N 0 3 302.399 4.008 20 0 DIADHN C[C@@H](NCc1cccc2ncccc12)c1ccc(F)cc1N(C)C ZINC000872124128 1043469551 /nfs/dbraw/zinc/46/95/51/1043469551.db2.gz DSHOYEWUFFELFK-CQSZACIVSA-N 0 3 323.415 4.291 20 0 DIADHN Cc1c([C@@H](C)NCc2cccc3ncccc23)cnn1C(C)(C)C ZINC000872124041 1043469582 /nfs/dbraw/zinc/46/95/82/1043469582.db2.gz AKDCPMUYBLQDTJ-CQSZACIVSA-N 0 3 322.456 4.346 20 0 DIADHN CC[C@@H](NCc1cccc2ncccc12)c1ccccc1OC ZINC000872124392 1043469762 /nfs/dbraw/zinc/46/97/62/1043469762.db2.gz MNJZIYCKBKKXJY-GOSISDBHSA-N 0 3 306.409 4.484 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2cccc3ncccc23)s1 ZINC000872124362 1043469782 /nfs/dbraw/zinc/46/97/82/1043469782.db2.gz LAMSBRHKIAAZLG-LBPRGKRZSA-N 0 3 311.454 4.413 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@@H](C)CCc2ccccc2)cn1 ZINC000443067001 1043474202 /nfs/dbraw/zinc/47/42/02/1043474202.db2.gz KRBOLNBELYYYIT-KRWDZBQOSA-N 0 3 311.473 4.301 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c(C)c1 ZINC000558260122 1043476186 /nfs/dbraw/zinc/47/61/86/1043476186.db2.gz XQLMCVZTIHDDKG-UZLBHIALSA-N 0 3 314.448 4.396 20 0 DIADHN CC[C@H](NC[C@@H](C)Oc1ccc(C(C)C)cc1)c1ccn(C)n1 ZINC000558259347 1043476406 /nfs/dbraw/zinc/47/64/06/1043476406.db2.gz UHJBWGKFULJOSU-QAPCUYQASA-N 0 3 315.461 4.052 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(-c3c(F)cccc3F)o2)[C@H](C)C1 ZINC000443071111 1043477144 /nfs/dbraw/zinc/47/71/44/1043477144.db2.gz SFBOUSSSSXPLTP-CHWSQXEVSA-N 0 3 321.367 4.224 20 0 DIADHN C[C@H](NC1CC(Nc2ccccc2)C1)c1ccc(Cl)cn1 ZINC000872134764 1043477829 /nfs/dbraw/zinc/47/78/29/1043477829.db2.gz ZCNZLXFIOMJMMQ-JQRITLKVSA-N 0 3 301.821 4.029 20 0 DIADHN CC1(C)Cc2cc(CN3CCC[C@@H]3c3ccncc3)ccc2O1 ZINC000348899004 1043478697 /nfs/dbraw/zinc/47/86/97/1043478697.db2.gz ZIXOVHHEPIJNFV-GOSISDBHSA-N 0 3 308.425 4.132 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CC1)c1ccc(C(C)(C)O)cc1 ZINC000925847954 1043481533 /nfs/dbraw/zinc/48/15/33/1043481533.db2.gz WWWKAKVHACJMIN-AWEZNQCLSA-N 0 3 313.416 4.393 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@@H]3c3cccnc3)ccc2n1 ZINC000348934021 1043483835 /nfs/dbraw/zinc/48/38/35/1043483835.db2.gz LEZHQLYFYRZTFA-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC[C@H]2c2ccncc2)c1 ZINC000348935863 1043484388 /nfs/dbraw/zinc/48/43/88/1043484388.db2.gz QHYXUNYCGNILAS-INIZCTEOSA-N 0 3 322.330 4.317 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1c[nH]c2c1cccc2F ZINC001137057810 1043484811 /nfs/dbraw/zinc/48/48/11/1043484811.db2.gz QWUVLUVTUDBYEI-UHFFFAOYSA-N 0 3 310.372 4.012 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cc(C(=O)OC)c(C)o1 ZINC000521823221 1043486657 /nfs/dbraw/zinc/48/66/57/1043486657.db2.gz NXXPOMRIXJFCHJ-SFHVURJKSA-N 0 3 315.413 4.348 20 0 DIADHN c1ccc(-c2nnc(CN[C@H]3CCCCc4ccccc43)[nH]2)cc1 ZINC000777579135 1043487226 /nfs/dbraw/zinc/48/72/26/1043487226.db2.gz QNHAKJJZMJUVGM-SFHVURJKSA-N 0 3 318.424 4.029 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1ccc(CSC(F)F)o1 ZINC000124020279 1043488630 /nfs/dbraw/zinc/48/86/30/1043488630.db2.gz ZMHBHKHSFMKMTO-HNNXBMFYSA-N 0 3 321.433 4.012 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@H](OC3CCC3)CC2)s1 ZINC000872262180 1043488878 /nfs/dbraw/zinc/48/88/78/1043488878.db2.gz SCRCXSVWCFYICF-INIZCTEOSA-N 0 3 322.518 4.265 20 0 DIADHN CC[C@@H](N[C@H]1CCCN(C2CCCCC2)C1=O)c1cccs1 ZINC000443093180 1043490014 /nfs/dbraw/zinc/49/00/14/1043490014.db2.gz LTLWAJHTQGPBFQ-CVEARBPZSA-N 0 3 320.502 4.113 20 0 DIADHN COCC(C)(C)CN(Cc1cccnc1)Cc1ccccc1C ZINC000349082678 1043491392 /nfs/dbraw/zinc/49/13/92/1043491392.db2.gz XFFZIRXBDSBTIH-UHFFFAOYSA-N 0 3 312.457 4.065 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2csc(C(F)(F)F)c2)o1 ZINC000925121973 1043492265 /nfs/dbraw/zinc/49/22/65/1043492265.db2.gz DFWMKZWUSMFVHO-GXSJLCMTSA-N 0 3 319.348 4.053 20 0 DIADHN C[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cc2ccccc2[nH]1 ZINC000925919265 1043493207 /nfs/dbraw/zinc/49/32/07/1043493207.db2.gz ZZBWOCKOXBXKPN-CYBMUJFWSA-N 0 3 320.392 4.237 20 0 DIADHN COCCCN(Cc1ccncc1)Cc1cc(C)c(C)cc1C ZINC000349293895 1043501223 /nfs/dbraw/zinc/50/12/23/1043501223.db2.gz GSWULPMCQOWWPB-UHFFFAOYSA-N 0 3 312.457 4.046 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H]1CCOc3c(F)cccc31)[C@H](C)C2 ZINC000558404744 1043501379 /nfs/dbraw/zinc/50/13/79/1043501379.db2.gz GXSGJMDEXDCADT-UPRAQXHNSA-N 0 3 311.400 4.481 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000349322108 1043503185 /nfs/dbraw/zinc/50/31/85/1043503185.db2.gz LTHVHACIHMNIBQ-GDBMZVCRSA-N 0 3 312.461 4.273 20 0 DIADHN CCc1nc2ccccc2n1C1CCN(Cc2ccc(C)o2)CC1 ZINC000349345206 1043504019 /nfs/dbraw/zinc/50/40/19/1043504019.db2.gz IVTDBWUAKAEWKU-UHFFFAOYSA-N 0 3 323.440 4.337 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CC[C@@H](C4CC4)C3)o2)cc1C ZINC000367027798 1043505930 /nfs/dbraw/zinc/50/59/30/1043505930.db2.gz HBEDPEFFBIMNAV-WMLDXEAASA-N 0 3 311.429 4.146 20 0 DIADHN c1csc([C@H]2CCCN2Cc2ccc(N3CCCC3)o2)c1 ZINC001137065992 1043510097 /nfs/dbraw/zinc/51/00/97/1043510097.db2.gz ARNASAUBVVTSJH-OAHLLOKOSA-N 0 3 302.443 4.278 20 0 DIADHN CCN(Cc1c[nH]nc1-c1cccs1)Cc1cccc(F)c1 ZINC000349563393 1043510832 /nfs/dbraw/zinc/51/08/32/1043510832.db2.gz ODQPQZJVARPBHF-UHFFFAOYSA-N 0 3 315.417 4.299 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]nc1-c1cccs1 ZINC000349541424 1043511625 /nfs/dbraw/zinc/51/16/25/1043511625.db2.gz FQWYWKFMNRTJGX-UHFFFAOYSA-N 0 3 303.456 4.222 20 0 DIADHN CN(CCc1ccccc1Cl)Cc1ccc(N2CCCC2)o1 ZINC001137067848 1043512891 /nfs/dbraw/zinc/51/28/91/1043512891.db2.gz KNMOYGKIDZDGTA-UHFFFAOYSA-N 0 3 318.848 4.208 20 0 DIADHN c1ccc([C@H](NCc2cccc3ncccc23)[C@@H]2CCCO2)cc1 ZINC000873028402 1043513039 /nfs/dbraw/zinc/51/30/39/1043513039.db2.gz LRIPWYUZTUDQDM-SFTDATJTSA-N 0 3 318.420 4.245 20 0 DIADHN C[C@H]1CN(Cc2ccc(OCC(F)(F)F)cc2)CCC1(F)F ZINC000558467153 1043513036 /nfs/dbraw/zinc/51/30/36/1043513036.db2.gz UFWUXJDQCZUHMP-NSHDSACASA-N 0 3 323.305 4.105 20 0 DIADHN C[C@@H](N[C@@H](C)CC(=O)OC(C)(C)C)c1cc2ccccc2o1 ZINC000443228758 1043514813 /nfs/dbraw/zinc/51/48/13/1043514813.db2.gz XFBAKZQCCXBZKY-QWHCGFSZSA-N 0 3 303.402 4.204 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCCO3)s1 ZINC000349612303 1043515188 /nfs/dbraw/zinc/51/51/88/1043515188.db2.gz CJFRXRUCILMPMV-MRXNPFEDSA-N 0 3 315.438 4.165 20 0 DIADHN Cc1ccsc1CN(C)Cc1c[nH]nc1-c1cccs1 ZINC000349576870 1043515257 /nfs/dbraw/zinc/51/52/57/1043515257.db2.gz GWVWFGSYWVMWFO-UHFFFAOYSA-N 0 3 303.456 4.140 20 0 DIADHN c1nnc([C@@H](NCc2ccc(C3CC3)cc2)C2CCCCC2)[nH]1 ZINC000521955781 1043515150 /nfs/dbraw/zinc/51/51/50/1043515150.db2.gz OCVUUQBZNRCLGN-SFHVURJKSA-N 0 3 310.445 4.093 20 0 DIADHN CC(C)Cc1ncc(CNCc2cccc3ncccc23)s1 ZINC000873093737 1043517306 /nfs/dbraw/zinc/51/73/06/1043517306.db2.gz VFDWDKKRNLRDBT-UHFFFAOYSA-N 0 3 311.454 4.180 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000558478773 1043517522 /nfs/dbraw/zinc/51/75/22/1043517522.db2.gz ZTMOOFYQNUJFAI-SQNIBIBYSA-N 0 3 303.421 4.310 20 0 DIADHN CC(C)(C)c1ccc(CNCc2cc3cccnc3o2)s1 ZINC000873154058 1043518130 /nfs/dbraw/zinc/51/81/30/1043518130.db2.gz PZFCOTYMPGFXAA-UHFFFAOYSA-N 0 3 300.427 4.477 20 0 DIADHN COCC(C)(C)CCNCc1csc(-c2ccc(F)cc2)n1 ZINC000873153208 1043518228 /nfs/dbraw/zinc/51/82/28/1043518228.db2.gz FEVXRJGCGRPCQA-UHFFFAOYSA-N 0 3 322.449 4.102 20 0 DIADHN Cn1cnc2cc(CNCc3cccc(OC(C)(C)C)c3)ccc21 ZINC000873164151 1043520587 /nfs/dbraw/zinc/52/05/87/1043520587.db2.gz YHSKEQOIKPDNHF-UHFFFAOYSA-N 0 3 323.440 4.040 20 0 DIADHN Cc1nc2ccccn2c1CNCc1cccc(OC(C)(C)C)c1 ZINC000873162304 1043522194 /nfs/dbraw/zinc/52/21/94/1043522194.db2.gz ACUNXQUMZVAODE-UHFFFAOYSA-N 0 3 323.440 4.110 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc3oc(=O)[nH]c3c2)s1 ZINC000873172530 1043522588 /nfs/dbraw/zinc/52/25/88/1043522588.db2.gz CEOCKXZZQGUFRT-UHFFFAOYSA-N 0 3 316.426 4.182 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CC(C)(C)C1CC1)c1ccsc1 ZINC000522016941 1043524248 /nfs/dbraw/zinc/52/42/48/1043524248.db2.gz QRVIFEHUKZLMMV-INIZCTEOSA-N 0 3 322.518 4.074 20 0 DIADHN COc1ccc(CNC2(C)CC(F)(F)C2)cc1OCC(C)C ZINC000873197834 1043526628 /nfs/dbraw/zinc/52/66/28/1043526628.db2.gz DYAQMPMDFOGVSB-UHFFFAOYSA-N 0 3 313.388 4.007 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNC1(C)CC(F)(F)C1 ZINC000873197976 1043526935 /nfs/dbraw/zinc/52/69/35/1043526935.db2.gz XIANQYYPDUWKNL-UHFFFAOYSA-N 0 3 318.367 4.035 20 0 DIADHN CCOc1ccc(CN[C@@H](C)c2ccc(C(F)(F)F)nc2)cc1 ZINC000873186903 1043527599 /nfs/dbraw/zinc/52/75/99/1043527599.db2.gz ZVBWFGQFNNDCBX-LBPRGKRZSA-N 0 3 324.346 4.350 20 0 DIADHN Cc1ncsc1-c1ccc(CNC2(C)CC(F)(F)C2)cc1 ZINC000873198034 1043527733 /nfs/dbraw/zinc/52/77/33/1043527733.db2.gz YYUATDWCMRSCHU-UHFFFAOYSA-N 0 3 308.397 4.396 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@H](C)C[C@H](C)O)c2cccnc2)cc1 ZINC000926131441 1043532114 /nfs/dbraw/zinc/53/21/14/1043532114.db2.gz QKOJYUSFGFLLJW-GQIGUUNPSA-N 0 3 312.457 4.043 20 0 DIADHN Cc1cc([C@@H](NCc2cc(N)cc(F)c2)C2CC2)ccc1F ZINC000873289767 1043532954 /nfs/dbraw/zinc/53/29/54/1043532954.db2.gz XSSFVHUBASRXFN-SFHVURJKSA-N 0 3 302.368 4.096 20 0 DIADHN Nc1cc(F)cc(CN[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000873288472 1043533087 /nfs/dbraw/zinc/53/30/87/1043533087.db2.gz GPBZMOHDGGPVBH-QGZVFWFLSA-N 0 3 304.796 4.302 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1cc(N)cc(F)c1)CCS2 ZINC000873289368 1043533529 /nfs/dbraw/zinc/53/35/29/1043533529.db2.gz SRWDZRJDNISVHR-KRWDZBQOSA-N 0 3 316.445 4.351 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2cc(N)cc(F)c2)cc1Cl ZINC000873290816 1043534023 /nfs/dbraw/zinc/53/40/23/1043534023.db2.gz SLUCWDISOXGHPR-NSHDSACASA-N 0 3 322.811 4.229 20 0 DIADHN CCC[C@H](NCC[C@H]1CCO[C@H](C)C1)c1ncccc1Cl ZINC000926138236 1043534607 /nfs/dbraw/zinc/53/46/07/1043534607.db2.gz LSQIVFWAVJMPQR-YCPHGPKFSA-N 0 3 310.869 4.371 20 0 DIADHN CCOc1ccccc1[C@@H](C)NCCn1c(C)nc2ccccc21 ZINC000323813287 1043535781 /nfs/dbraw/zinc/53/57/81/1043535781.db2.gz BLBCZNPLGCBYOO-OAHLLOKOSA-N 0 3 323.440 4.094 20 0 DIADHN Cc1ccc([C@H](NC[C@H](C)c2nccs2)c2ccccn2)cc1 ZINC000926162456 1043536245 /nfs/dbraw/zinc/53/62/45/1043536245.db2.gz VMTDDTSMUWBNNY-YJBOKZPZSA-N 0 3 323.465 4.329 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](C)c2nccs2)c2ccccn2)cc1 ZINC000926162451 1043537048 /nfs/dbraw/zinc/53/70/48/1043537048.db2.gz VMTDDTSMUWBNNY-MAUKXSAKSA-N 0 3 323.465 4.329 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H]1CCCc2c(Cl)ccnc21 ZINC000926173703 1043538274 /nfs/dbraw/zinc/53/82/74/1043538274.db2.gz DSAIQRMJUNSIFT-BXKDBHETSA-N 0 3 306.759 4.433 20 0 DIADHN Cc1cccc([C@H](NCCC[C@H]2CCCCO2)c2ccccn2)c1 ZINC000926173256 1043538508 /nfs/dbraw/zinc/53/85/08/1043538508.db2.gz BMERRVYQAQDTPF-CTNGQTDRSA-N 0 3 324.468 4.418 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H]1CCCc2c(Cl)ccnc21 ZINC000926173711 1043538761 /nfs/dbraw/zinc/53/87/61/1043538761.db2.gz DSAIQRMJUNSIFT-SKDRFNHKSA-N 0 3 306.759 4.433 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCO[C@H](C3CC3)C2)c2ccccn2)cc1 ZINC000926184013 1043539737 /nfs/dbraw/zinc/53/97/37/1043539737.db2.gz RCJXXJMHNKTXTQ-JBACZVJFSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1cnn(C2CCC2)c1 ZINC000926190095 1043541791 /nfs/dbraw/zinc/54/17/91/1043541791.db2.gz RSVLUSCNSAVOEV-BLLLJJGKSA-N 0 3 301.459 4.398 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3ccn(C(F)F)n3)CC2)cc1 ZINC000350249709 1043542384 /nfs/dbraw/zinc/54/23/84/1043542384.db2.gz MMTFEMJSVRXNNN-HNNXBMFYSA-N 0 3 321.346 4.143 20 0 DIADHN COc1ccc(CN(Cc2cccs2)C(C)C)cc1OC ZINC000350297949 1043542568 /nfs/dbraw/zinc/54/25/68/1043542568.db2.gz AJOSOQQSWMICKT-UHFFFAOYSA-N 0 3 305.443 4.176 20 0 DIADHN C[C@@H](c1ccncc1)N(C)Cc1cccc(-c2ccccn2)c1 ZINC000350364028 1043545417 /nfs/dbraw/zinc/54/54/17/1043545417.db2.gz ZYOSSOVJARGJMJ-INIZCTEOSA-N 0 3 303.409 4.337 20 0 DIADHN CNC(=O)c1ccc(CN[C@H](C)c2ccc(C(C)(C)C)cc2)cc1 ZINC000074333935 1043548728 /nfs/dbraw/zinc/54/87/28/1043548728.db2.gz ORBSKFNQOMGJIL-OAHLLOKOSA-N 0 3 324.468 4.195 20 0 DIADHN Cc1ccncc1CN[C@H](Cc1ccccc1)c1ccccc1 ZINC000323833963 1043551811 /nfs/dbraw/zinc/55/18/11/1043551811.db2.gz MSGKKNXYGNAJLP-OAQYLSRUSA-N 0 3 302.421 4.464 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCO2)c1ccc(F)c(Cl)c1 ZINC000074334824 1043553075 /nfs/dbraw/zinc/55/30/75/1043553075.db2.gz KSPVAMBGASKYGD-LLVKDONJSA-N 0 3 321.779 4.101 20 0 DIADHN CCC[C@H](NCCC1CC(OCC)C1)c1ncccc1Cl ZINC000926261533 1043554016 /nfs/dbraw/zinc/55/40/16/1043554016.db2.gz WEPGDWILSFLOBQ-XUJLQICISA-N 0 3 310.869 4.371 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccnn1C1CCC1 ZINC000926269911 1043554610 /nfs/dbraw/zinc/55/46/10/1043554610.db2.gz ATJFJHLWFYOANZ-RDJZCZTQSA-N 0 3 317.477 4.141 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccnn1C1CCC1 ZINC000926269910 1043554623 /nfs/dbraw/zinc/55/46/23/1043554623.db2.gz ATJFJHLWFYOANZ-NVXWUHKLSA-N 0 3 317.477 4.141 20 0 DIADHN CCO[C@@H](CN[C@@H](C)c1ccnn1C1CCC1)c1ccccc1 ZINC000926301022 1043559763 /nfs/dbraw/zinc/55/97/63/1043559763.db2.gz YYWCJBJDXUOCNQ-KXBFYZLASA-N 0 3 313.445 4.037 20 0 DIADHN CC(C)c1ccc([C@@H](NCCC[C@H](C)O)c2cccnc2)cc1 ZINC000926316287 1043562731 /nfs/dbraw/zinc/56/27/31/1043562731.db2.gz UWNGILNNOPUNEG-OXJNMPFZSA-N 0 3 312.457 4.045 20 0 DIADHN C[C@@H](NC[C@@H]1CCSC1)c1ncc(C(F)(F)F)cc1Cl ZINC000926325152 1043563321 /nfs/dbraw/zinc/56/33/21/1043563321.db2.gz ZJFJNWSZKMKGOE-BDAKNGLRSA-N 0 3 324.799 4.158 20 0 DIADHN CC[C@@H](NCc1cnc(CCOC)s1)c1ccc(Cl)cc1 ZINC000631041553 1043563564 /nfs/dbraw/zinc/56/35/64/1043563564.db2.gz YHURXDBZLSJVQO-OAHLLOKOSA-N 0 3 324.877 4.226 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000926331141 1043563595 /nfs/dbraw/zinc/56/35/95/1043563595.db2.gz FMJLXGSBPQPKTK-UAGQMJEPSA-N 0 3 314.404 4.425 20 0 DIADHN C[C@@H](NC[C@H]1CCSC1)c1ncc(C(F)(F)F)cc1Cl ZINC000926325158 1043564046 /nfs/dbraw/zinc/56/40/46/1043564046.db2.gz ZJFJNWSZKMKGOE-RKDXNWHRSA-N 0 3 324.799 4.158 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)CCSc1ccncc1 ZINC001307811741 1043565644 /nfs/dbraw/zinc/56/56/44/1043565644.db2.gz QDCWBEYKOBNDOR-KRWDZBQOSA-N 0 3 316.470 4.265 20 0 DIADHN c1ccc(-n2nc(CN[C@@H]3CCC34CCCC4)c3c2CCC3)cc1 ZINC000474069541 1043566732 /nfs/dbraw/zinc/56/67/32/1043566732.db2.gz VYCIGFRARITHHV-HXUWFJFHSA-N 0 3 321.468 4.173 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(C(F)(F)F)cc2)C1)c1cn(C)cn1 ZINC000926345441 1043568218 /nfs/dbraw/zinc/56/82/18/1043568218.db2.gz CDNSEKJUSKBJIT-UXIGCNINSA-N 0 3 323.362 4.036 20 0 DIADHN CC(C)c1ccc([C@@H](NCCC2(O)CCC2)c2cccnc2)cc1 ZINC000926379073 1043571179 /nfs/dbraw/zinc/57/11/79/1043571179.db2.gz MWLWIYAAPQIYMS-HXUWFJFHSA-N 0 3 324.468 4.189 20 0 DIADHN CCCN(C)[C@@H](CN[C@H](C)c1ncco1)c1ccc(Cl)cc1 ZINC000926399040 1043571836 /nfs/dbraw/zinc/57/18/36/1043571836.db2.gz TZDRWCYJKOZUAB-CJNGLKHVSA-N 0 3 321.852 4.062 20 0 DIADHN CCC[C@H](C)CN1CCCC[C@]12CC(=O)N(CC1CCCC1)C2 ZINC001275081940 1043571949 /nfs/dbraw/zinc/57/19/49/1043571949.db2.gz JRIYFKRNRJVHGY-FXAWDEMLSA-N 0 3 320.521 4.070 20 0 DIADHN CC(C)(C)CCN1CCCC[C@@]12CC(=O)N(CC1CCCC1)C2 ZINC001275127049 1043577402 /nfs/dbraw/zinc/57/74/02/1043577402.db2.gz IXFGOSHGMZTYSP-FQEVSTJZSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(-c3ccc(F)cc3)o2)CCS1 ZINC001204768088 1043578118 /nfs/dbraw/zinc/57/81/18/1043578118.db2.gz HBQHOFPDUNSVSQ-MRXNPFEDSA-N 0 3 305.418 4.413 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccccc1)c1cc(-c2ccccc2)no1 ZINC000926437079 1043578263 /nfs/dbraw/zinc/57/82/63/1043578263.db2.gz RUGQRHYIGOGULE-AUSJPIAWSA-N 0 3 322.408 4.114 20 0 DIADHN C[C@H](NCc1cc2cc(F)ccc2o1)c1ccc2n[nH]cc2c1 ZINC000353109306 1043585439 /nfs/dbraw/zinc/58/54/39/1043585439.db2.gz IXTJROXPCWALHI-NSHDSACASA-N 0 3 309.344 4.299 20 0 DIADHN CC[C@H](CO)NCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000608383282 1043588058 /nfs/dbraw/zinc/58/80/58/1043588058.db2.gz JATDMXYOSRLOHX-MRXNPFEDSA-N 0 3 301.430 4.105 20 0 DIADHN C[C@@H](NCC(C)(C)c1ccccn1)c1cc(-c2ccccc2)no1 ZINC000926501952 1043589708 /nfs/dbraw/zinc/58/97/08/1043589708.db2.gz PLIODFXOUFLNPE-OAHLLOKOSA-N 0 3 321.424 4.365 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NCc1ccc2ncccc2c1 ZINC000353227993 1043590012 /nfs/dbraw/zinc/59/00/12/1043590012.db2.gz UTLMXSXJTFFHMF-IBGZPJMESA-N 0 3 304.393 4.157 20 0 DIADHN COc1ccccc1/C=C\CN[C@H]1CCOc2c(C)cccc21 ZINC000353222826 1043590088 /nfs/dbraw/zinc/59/00/88/1043590088.db2.gz HHKYXFFOFVCFNJ-KKMIYCERSA-N 0 3 309.409 4.130 20 0 DIADHN Cc1cccc2c1OCC[C@@H]2NCc1ccc(F)c2cccnc12 ZINC000353205643 1043590929 /nfs/dbraw/zinc/59/09/29/1043590929.db2.gz FOJBAFQCLVTIFK-SFHVURJKSA-N 0 3 322.383 4.296 20 0 DIADHN CCC[C@H](NCCc1scnc1C)c1ncccc1Cl ZINC000926517986 1043593238 /nfs/dbraw/zinc/59/32/38/1043593238.db2.gz IBDIVSGIFCQVHR-ZDUSSCGKSA-N 0 3 309.866 4.173 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1cc(-c2ccccc2)no1 ZINC000926526424 1043593286 /nfs/dbraw/zinc/59/32/86/1043593286.db2.gz ZTOMPVWKOGYXOW-OCCSQVGLSA-N 0 3 306.356 4.428 20 0 DIADHN CCOC1CC(CCN[C@@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000353228905 1043593288 /nfs/dbraw/zinc/59/32/88/1043593288.db2.gz DCFBNDLSVJDWME-WHXUTIOJSA-N 0 3 322.396 4.018 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2nccc3ccccc32)cc1 ZINC000353266013 1043595821 /nfs/dbraw/zinc/59/58/21/1043595821.db2.gz NOYOAMIUTIXWBA-AWEZNQCLSA-N 0 3 319.408 4.044 20 0 DIADHN Fc1ccc(C(F)(F)F)cc1[C@H]1CCCN1C[C@@H]1CCOC1 ZINC001202540975 1043597156 /nfs/dbraw/zinc/59/71/56/1043597156.db2.gz QHNUSHUDYNTBHK-XHDPSFHLSA-N 0 3 317.326 4.018 20 0 DIADHN CCC1(CN[C@@H](c2ccc(C(C)C)cc2)c2cccnc2)COC1 ZINC000926538714 1043597139 /nfs/dbraw/zinc/59/71/39/1043597139.db2.gz CIRGNDVSSTZZQW-FQEVSTJZSA-N 0 3 324.468 4.311 20 0 DIADHN CCC[C@@H](NCc1ccc2cncn2c1)c1ncccc1Cl ZINC000926565811 1043601176 /nfs/dbraw/zinc/60/11/76/1043601176.db2.gz BVXVJVJYJNYDAL-MRXNPFEDSA-N 0 3 314.820 4.014 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000443578872 1043602239 /nfs/dbraw/zinc/60/22/39/1043602239.db2.gz HOLCEOGXUGBUCX-UPJWGTAASA-N 0 3 314.256 4.413 20 0 DIADHN Cc1ccc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)cc1F ZINC000558790293 1043602283 /nfs/dbraw/zinc/60/22/83/1043602283.db2.gz MEFATIUUIYFAFX-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN CC[C@H](N[C@H](CCO)c1ccc(OC)cc1)c1cccc(C)c1 ZINC000353420884 1043606578 /nfs/dbraw/zinc/60/65/78/1043606578.db2.gz HPBIIIDXFPSQGI-VQTJNVASSA-N 0 3 313.441 4.168 20 0 DIADHN Cc1ccncc1CN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000353443767 1043608125 /nfs/dbraw/zinc/60/81/25/1043608125.db2.gz AHQOUQCIYULODD-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@H]2CCCc3ccccc32)c1 ZINC000353454482 1043609849 /nfs/dbraw/zinc/60/98/49/1043609849.db2.gz KRRIDHVPXRIIER-LRDDRELGSA-N 0 3 315.438 4.263 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(-n2cnnc2)c1)c1ccccc1F ZINC000926646415 1043612137 /nfs/dbraw/zinc/61/21/37/1043612137.db2.gz MUYMRZMVXQIVAI-KUHUBIRLSA-N 0 3 324.403 4.208 20 0 DIADHN Cc1nc(CN2CCC[C@@H](Cc3ccccc3)C2)c(C)s1 ZINC000443600459 1043614046 /nfs/dbraw/zinc/61/40/46/1043614046.db2.gz DCQAEGRFIUUFQH-KRWDZBQOSA-N 0 3 300.471 4.215 20 0 DIADHN Cc1cnc(CCN[C@H](C)c2cc(-c3ccccc3)no2)c(C)c1 ZINC000926665022 1043614779 /nfs/dbraw/zinc/61/47/79/1043614779.db2.gz ASNLVWJTONBRAA-MRXNPFEDSA-N 0 3 321.424 4.247 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCCc3nc(C)sc32)cc1C ZINC000353553018 1043615924 /nfs/dbraw/zinc/61/59/24/1043615924.db2.gz APQGOYWQNXTJNL-MRXNPFEDSA-N 0 3 316.470 4.278 20 0 DIADHN CCC[C@@H](NCCc1cnccc1C)c1ncccc1Cl ZINC000926690710 1043616973 /nfs/dbraw/zinc/61/69/73/1043616973.db2.gz UGLPITIKWOYRFJ-MRXNPFEDSA-N 0 3 303.837 4.112 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2CCC[C@H](C)O2)c2cccnc2)cc1 ZINC000926704259 1043617786 /nfs/dbraw/zinc/61/77/86/1043617786.db2.gz HSLXDCJLMCBDJS-VDGAXYAQSA-N 0 3 310.441 4.027 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2C[C@@H]3CCCC[C@@H]3C2)co1 ZINC000443614670 1043619683 /nfs/dbraw/zinc/61/96/83/1043619683.db2.gz FPRYAICIEOIFIY-BETUJISGSA-N 0 3 318.367 4.242 20 0 DIADHN CN(C)[C@@H](CNC(c1ccccc1)c1ccccc1)c1ccco1 ZINC000323961001 1043619847 /nfs/dbraw/zinc/61/98/47/1043619847.db2.gz SDUXCXKVANZNRK-IBGZPJMESA-N 0 3 320.436 4.262 20 0 DIADHN CC(C)N(Cc1cnn(CC(F)F)c1)[C@@H](C)c1ccccc1 ZINC000353583437 1043620193 /nfs/dbraw/zinc/62/01/93/1043620193.db2.gz BGLJCZWXMJFTTL-AWEZNQCLSA-N 0 3 307.388 4.120 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2C[C@H]3CCCC[C@@H]3C2)co1 ZINC000443614671 1043620899 /nfs/dbraw/zinc/62/08/99/1043620899.db2.gz FPRYAICIEOIFIY-CHWSQXEVSA-N 0 3 318.367 4.242 20 0 DIADHN CC[C@H](NCc1ccnc(OC(F)F)c1)c1cccc(C)c1 ZINC000353593374 1043621159 /nfs/dbraw/zinc/62/11/59/1043621159.db2.gz KTXDCGBZERYTOB-HNNXBMFYSA-N 0 3 306.356 4.232 20 0 DIADHN CCOc1ccc(CN(C)[C@H]2CCCc3nc(C)sc32)cc1 ZINC000353584958 1043621223 /nfs/dbraw/zinc/62/12/23/1043621223.db2.gz NBDNJIKEXCAWSJ-KRWDZBQOSA-N 0 3 316.470 4.360 20 0 DIADHN Cc1ccc(C(C)(C)NCc2cc(C)nc3ccccc23)cn1 ZINC000353580093 1043621297 /nfs/dbraw/zinc/62/12/97/1043621297.db2.gz HDBDSUWLMJNXIX-UHFFFAOYSA-N 0 3 305.425 4.272 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CCC[C@H]3CCC[C@H]32)co1 ZINC000443617112 1043622432 /nfs/dbraw/zinc/62/24/32/1043622432.db2.gz VJBHXVMFYQMBQU-MLGOLLRUSA-N 0 3 318.367 4.384 20 0 DIADHN Fc1cccc([C@H]2CCCN2Cc2ccc3nccnc3c2)c1 ZINC001137088717 1043622649 /nfs/dbraw/zinc/62/26/49/1043622649.db2.gz MTUJCJPYJGWYOV-LJQANCHMSA-N 0 3 307.372 4.106 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@H](c2nccs2)C1 ZINC000353656275 1043630628 /nfs/dbraw/zinc/63/06/28/1043630628.db2.gz LEQZDBLLHVDMFN-AWEZNQCLSA-N 0 3 304.434 4.224 20 0 DIADHN C[C@@H]([NH2+]C1CCC(OC(C)(C)C)CC1)c1cc([O-])cc(F)c1 ZINC000926785162 1043631923 /nfs/dbraw/zinc/63/19/23/1043631923.db2.gz IYIGSSNRQMVSSC-OLJMKKDRSA-N 0 3 309.425 4.308 20 0 DIADHN Cc1scc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)c1C ZINC000926781181 1043632228 /nfs/dbraw/zinc/63/22/28/1043632228.db2.gz DXVMEZNNRCODEL-CYBMUJFWSA-N 0 3 303.471 4.443 20 0 DIADHN Cc1ccc([C@@H](CNCc2cscc2Cl)N(C)C)cc1 ZINC001648961088 1043634802 /nfs/dbraw/zinc/63/48/02/1043634802.db2.gz XITYKCQBCBNDJM-MRXNPFEDSA-N 0 3 308.878 4.102 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](O)c2ccc(OC(C)C)cc2)o1 ZINC000125558656 1043635311 /nfs/dbraw/zinc/63/53/11/1043635311.db2.gz VNRKIMFPHHHIAG-RDTXWAMCSA-N 0 3 317.429 4.013 20 0 DIADHN FC(F)(F)c1cccc(CN2CCC[C@@]3(CCCOC3)C2)c1 ZINC000353743434 1043639609 /nfs/dbraw/zinc/63/96/09/1043639609.db2.gz BUZLGNCFBYNSHY-MRXNPFEDSA-N 0 3 313.363 4.098 20 0 DIADHN Cc1cc(C)cc([C@H](NCCc2ccns2)c2cccnc2)c1 ZINC000926837407 1043640177 /nfs/dbraw/zinc/64/01/77/1043640177.db2.gz NXZBLQIBJVIGAX-LJQANCHMSA-N 0 3 323.465 4.077 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2C[C@H]3CCC[C@H]3O2)c2cccnc2)cc1 ZINC000926825341 1043640563 /nfs/dbraw/zinc/64/05/63/1043640563.db2.gz LWJOPXITNBWNNO-PMXSJFBMSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H](NCc1ccc(C[S@](C)=O)cc1)c1cccc(Cl)c1 ZINC000353812497 1043645919 /nfs/dbraw/zinc/64/59/19/1043645919.db2.gz GRMFWAOHGYAIER-ZSEKCTLFSA-N 0 3 321.873 4.069 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C[C@@H](C)C1 ZINC000443652077 1043646069 /nfs/dbraw/zinc/64/60/69/1043646069.db2.gz KXXYTBNRYFERKF-STQMWFEESA-N 0 3 319.836 4.258 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1cnn(C2CCC2)c1 ZINC000926898392 1043649781 /nfs/dbraw/zinc/64/97/81/1043649781.db2.gz NRIVLQJHQPKKAC-KGLIPLIRSA-N 0 3 301.409 4.467 20 0 DIADHN Cc1nc(COc2ccc(CN3CC=C(C)CC3)cc2)cs1 ZINC000353867884 1043653227 /nfs/dbraw/zinc/65/32/27/1043653227.db2.gz OTMULVZZENWSIU-UHFFFAOYSA-N 0 3 314.454 4.183 20 0 DIADHN Cc1cccc(CCCN[C@@H](c2ccccc2)c2ccccn2)n1 ZINC000353879164 1043653424 /nfs/dbraw/zinc/65/34/24/1043653424.db2.gz FWSKIVYWRWWEJA-NRFANRHFSA-N 0 3 317.436 4.097 20 0 DIADHN Fc1cc(CNc2ccnc3ccccc32)ccc1OC(F)F ZINC000558967365 1043654208 /nfs/dbraw/zinc/65/42/08/1043654208.db2.gz JRLZLNLSWAFPRD-UHFFFAOYSA-N 0 3 318.298 4.009 20 0 DIADHN CCC[C@H](NC[C@H]1CCC[C@@H](C(F)(F)F)O1)c1ccccn1 ZINC000926914324 1043654265 /nfs/dbraw/zinc/65/42/65/1043654265.db2.gz HPNQKTAHFYBPOY-IPYPFGDCSA-N 0 3 316.367 4.012 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1ccc(Cl)nc1 ZINC000777611247 1043654280 /nfs/dbraw/zinc/65/42/80/1043654280.db2.gz FANXFDLNXWLWFM-INIZCTEOSA-N 0 3 304.821 4.327 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN1CCC[C@H]2CCCC[C@H]21 ZINC000353904202 1043655246 /nfs/dbraw/zinc/65/52/46/1043655246.db2.gz XZQWUZBZSXMYSZ-BMFZPTHFSA-N 0 3 306.475 4.149 20 0 DIADHN CCC1(CC)CCCN(C(=O)c2ccccc2CN(C)C)CC1 ZINC000487438825 1043655373 /nfs/dbraw/zinc/65/53/73/1043655373.db2.gz LPXZPWWUGHIGFA-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1Cc1ccccc1-n1cccn1 ZINC001137099297 1043656056 /nfs/dbraw/zinc/65/60/56/1043656056.db2.gz HPSZZAYFHRHWLO-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1ccc(C(F)F)nc1 ZINC000926921027 1043656250 /nfs/dbraw/zinc/65/62/50/1043656250.db2.gz UJJMTSXUGWMEQT-NWDGAFQWSA-N 0 3 318.367 4.193 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H]3CCCNc4ccccc43)ccc2O1 ZINC000926922448 1043657872 /nfs/dbraw/zinc/65/78/72/1043657872.db2.gz ZMKBDDUKOUPQPL-LIRRHRJNSA-N 0 3 308.425 4.047 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H]1CCCn2nccc21 ZINC000926933612 1043658685 /nfs/dbraw/zinc/65/86/85/1043658685.db2.gz WZNGYQYMLCHGEG-IBGZPJMESA-N 0 3 305.425 4.223 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@H](C)c1cncnc1C ZINC000926932461 1043660259 /nfs/dbraw/zinc/66/02/59/1043660259.db2.gz LVBAXKPXIGHQOT-CQSZACIVSA-N 0 3 305.425 4.351 20 0 DIADHN CCOC(=O)c1coc(CN2CCC[C@H]2c2ccccc2C)c1 ZINC000474148818 1043660973 /nfs/dbraw/zinc/66/09/73/1043660973.db2.gz FBUONPKOCYYLBR-SFHVURJKSA-N 0 3 313.397 4.102 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H](c2cccnc2)C1)c1c(F)cncc1F ZINC000353933256 1043662183 /nfs/dbraw/zinc/66/21/83/1043662183.db2.gz MUPCRZMJKPQFRI-KCQAQPDRSA-N 0 3 317.383 4.132 20 0 DIADHN Cc1nc2c(cccc2CCN[C@H](C)c2nc(C)sc2C)o1 ZINC000926971526 1043663926 /nfs/dbraw/zinc/66/39/26/1043663926.db2.gz HMPDECOPFOLEIQ-SNVBAGLBSA-N 0 3 315.442 4.103 20 0 DIADHN C[C@@H]1C[C@@H](N[C@H](c2ccc(F)cc2)c2ccccn2)CCS1 ZINC000927028899 1043668983 /nfs/dbraw/zinc/66/89/83/1043668983.db2.gz BKIJPMCBXGPJNI-RPVQJOFSSA-N 0 3 316.445 4.184 20 0 DIADHN C[C@@H](N[C@H]1CCS[C@H](C)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000927028719 1043669102 /nfs/dbraw/zinc/66/91/02/1043669102.db2.gz NFOPONHDCCEBLA-FMKPAKJESA-N 0 3 315.486 4.022 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccc(F)cn2)CCS1 ZINC000927031316 1043669993 /nfs/dbraw/zinc/66/99/93/1043669993.db2.gz LVSBOVGCAHVVEI-MZMPZRCHSA-N 0 3 316.445 4.184 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2ccc(F)cn2)CCS1 ZINC000927031322 1043670524 /nfs/dbraw/zinc/67/05/24/1043670524.db2.gz LVSBOVGCAHVVEI-SKDZVZGDSA-N 0 3 316.445 4.184 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CCC2)c(C)s1 ZINC000354007102 1043671111 /nfs/dbraw/zinc/67/11/11/1043671111.db2.gz BBSFOZTVOSZCPL-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@H](C)c2cncc(F)c2)cc1 ZINC000166690704 1043678160 /nfs/dbraw/zinc/67/81/60/1043678160.db2.gz QVDUPSLVRQEAKK-UONOGXRCSA-N 0 3 324.403 4.127 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@H](C)c2cncc(F)c2)cc1 ZINC000166690586 1043678630 /nfs/dbraw/zinc/67/86/30/1043678630.db2.gz QVDUPSLVRQEAKK-ZIAGYGMSSA-N 0 3 324.403 4.127 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1 ZINC000927065419 1043679023 /nfs/dbraw/zinc/67/90/23/1043679023.db2.gz HAXWPZVGAWDPMG-GUYCJALGSA-N 0 3 303.475 4.190 20 0 DIADHN CC[C@@](C)(NCc1cnc2onc(C)c2c1)c1ccc(F)cc1 ZINC000895417552 1043680727 /nfs/dbraw/zinc/68/07/27/1043680727.db2.gz CETUDYZADLSOBJ-GOSISDBHSA-N 0 3 313.376 4.085 20 0 DIADHN CCN(CCc1nccs1)Cc1cccn1CCC(C)C ZINC000354106344 1043682464 /nfs/dbraw/zinc/68/24/64/1043682464.db2.gz JCPFLZSHHPVXLL-UHFFFAOYSA-N 0 3 305.491 4.055 20 0 DIADHN Fc1cc2cccnc2c(CN2CCS[C@@H]3CCCC[C@H]32)c1 ZINC000354121142 1043683306 /nfs/dbraw/zinc/68/33/06/1043683306.db2.gz QUVOMMOZOSLVKA-IAGOWNOFSA-N 0 3 316.445 4.234 20 0 DIADHN Cc1ccc([C@@H](NCc2cc(C3CC3)nn2C)C2CCC2)cc1 ZINC000927102130 1043686602 /nfs/dbraw/zinc/68/66/02/1043686602.db2.gz QTQWYWCPWIDPIC-FQEVSTJZSA-N 0 3 309.457 4.237 20 0 DIADHN C[C@@H](NC[C@@]1(c2ccccc2)CCCO1)c1cccnc1Cl ZINC000927115292 1043690334 /nfs/dbraw/zinc/69/03/34/1043690334.db2.gz NGSJCAFIFITZMG-RDTXWAMCSA-N 0 3 316.832 4.092 20 0 DIADHN COc1ccccc1CN1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000559080680 1043691228 /nfs/dbraw/zinc/69/12/28/1043691228.db2.gz KRQYBCUXNBBYCJ-OAHLLOKOSA-N 0 3 313.363 4.250 20 0 DIADHN C[C@@H](CCC1CCCCC1)NCc1nc2c(s1)COCC2 ZINC000927116090 1043691617 /nfs/dbraw/zinc/69/16/17/1043691617.db2.gz FMGGRKLQCUHMMO-ZDUSSCGKSA-N 0 3 308.491 4.054 20 0 DIADHN FC(F)(F)[C@@H]1CC=CC[C@H]1N[C@H]1CCc2ccc(Cl)nc21 ZINC000876499198 1043692083 /nfs/dbraw/zinc/69/20/83/1043692083.db2.gz BGIYFTWFGHHIRJ-UTUOFQBUSA-N 0 3 316.754 4.209 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3ccccc3[nH]2)c(C)c1OC ZINC000927123975 1043693396 /nfs/dbraw/zinc/69/33/96/1043693396.db2.gz GBKCOFUXHFZACB-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN C[C@H](NCC[C@@H]1CCC2(CCC2)O1)c1nc2c(s1)CCCC2 ZINC000927131561 1043695434 /nfs/dbraw/zinc/69/54/34/1043695434.db2.gz XDUKBWGZZZMUSP-KBPBESRZSA-N 0 3 320.502 4.164 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cc3c(cc[nH]c3=O)o2)c1 ZINC000876579574 1043695619 /nfs/dbraw/zinc/69/56/19/1043695619.db2.gz IALQSTQEMKUIRK-QGZVFWFLSA-N 0 3 308.381 4.179 20 0 DIADHN C[C@@H](N[C@H]1c2ccccc2CC[C@H]1O)c1coc2ccccc21 ZINC000927139859 1043696280 /nfs/dbraw/zinc/69/62/80/1043696280.db2.gz NVGYFBJKHBXUTJ-YHBQJITHSA-N 0 3 307.393 4.132 20 0 DIADHN C[C@@H](NC1([C@@H]2CCCCO2)CC1)c1ccccc1OC(F)F ZINC000927164375 1043701973 /nfs/dbraw/zinc/70/19/73/1043701973.db2.gz QBQLLIIJKPDRTQ-DOMZBBRYSA-N 0 3 311.372 4.040 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CC[C@H](COc2ccccc2)C1 ZINC000559149594 1043703237 /nfs/dbraw/zinc/70/32/37/1043703237.db2.gz KXRPPRCNHFMINA-SFHVURJKSA-N 0 3 324.468 4.414 20 0 DIADHN CC1(C)CN(Cc2cnc([C@@H]3CCCO3)s2)[C@@H]2CCC[C@H]21 ZINC000354579397 1043709428 /nfs/dbraw/zinc/70/94/28/1043709428.db2.gz MWJUYVZTCASVLG-KFWWJZLASA-N 0 3 306.475 4.005 20 0 DIADHN c1csc([C@H]2CCN(Cc3cnc(C4CCCC4)s3)C2)n1 ZINC000895582388 1043710406 /nfs/dbraw/zinc/71/04/06/1043710406.db2.gz SPVDUTPHSMCXIM-ZDUSSCGKSA-N 0 3 319.499 4.247 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@H](C)c2cccnc2Cl)cc1 ZINC000126181660 1043712601 /nfs/dbraw/zinc/71/26/01/1043712601.db2.gz CBTCXGFYVUGWPD-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1csc(C(C)(C)NCC[C@H](C)OCc2ccccc2)n1 ZINC000354703891 1043714252 /nfs/dbraw/zinc/71/42/52/1043714252.db2.gz JKDWFYGXAQSKGV-HNNXBMFYSA-N 0 3 318.486 4.272 20 0 DIADHN Cn1ncc(CN[C@H]2CCCc3ccc(Cl)cc32)c1C1CC1 ZINC000895636748 1043721067 /nfs/dbraw/zinc/72/10/67/1043721067.db2.gz ZEZNQIZWYDYVIO-KRWDZBQOSA-N 0 3 315.848 4.118 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NC[C@H]1CC1(Cl)Cl ZINC000876912628 1043722575 /nfs/dbraw/zinc/72/25/75/1043722575.db2.gz WTQBHQYYLGTRPC-LDYMZIIASA-N 0 3 306.233 4.146 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NC[C@@H]1CC1(Cl)Cl ZINC000876912625 1043723617 /nfs/dbraw/zinc/72/36/17/1043723617.db2.gz WTQBHQYYLGTRPC-GZMMTYOYSA-N 0 3 306.233 4.146 20 0 DIADHN CCO[P@](C)(=O)CC[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000876950402 1043726268 /nfs/dbraw/zinc/72/62/68/1043726268.db2.gz MJZMNXXHCRYJLC-IDFMNXDXSA-N 0 3 319.332 4.338 20 0 DIADHN C[C@H](CCN1CCc2sccc2C1)OCc1ccccc1 ZINC000354873166 1043726459 /nfs/dbraw/zinc/72/64/59/1043726459.db2.gz RKNVBFFKXCDRKG-OAHLLOKOSA-N 0 3 301.455 4.102 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2nc(Cl)cs2)cc1 ZINC000877044251 1043737268 /nfs/dbraw/zinc/73/72/68/1043737268.db2.gz USHHLEAFZVULEX-NSHDSACASA-N 0 3 310.850 4.089 20 0 DIADHN Cc1cnc([C@@H](C)N[C@@H](C)CCOCc2ccccc2)s1 ZINC000877080268 1043741389 /nfs/dbraw/zinc/74/13/89/1043741389.db2.gz AESNYNWRZBUHNA-DZGCQCFKSA-N 0 3 304.459 4.098 20 0 DIADHN FC(F)(F)[C@@H]1CCC[C@H](CNCc2nc(Cl)cs2)C1 ZINC000877081014 1043743084 /nfs/dbraw/zinc/74/30/84/1043743084.db2.gz JFJGJDFQDOIBOL-DTWKUNHWSA-N 0 3 312.788 4.255 20 0 DIADHN Fc1cc(CNCc2cccc3c2CCOC3)ccc1C(F)F ZINC000895770530 1043749113 /nfs/dbraw/zinc/74/91/13/1043749113.db2.gz PIIAOMCXKBGVMK-UHFFFAOYSA-N 0 3 321.342 4.126 20 0 DIADHN O[C@@H](CN[C@H](CC(F)(F)F)c1ccccc1)CC1CCCC1 ZINC000355261197 1043755165 /nfs/dbraw/zinc/75/51/65/1043755165.db2.gz OVYHSDWUSBFAPX-HZPDHXFCSA-N 0 3 315.379 4.211 20 0 DIADHN CC[C@H](NCC[C@H](C)OCc1ccccc1)c1nccs1 ZINC000355297503 1043758944 /nfs/dbraw/zinc/75/89/44/1043758944.db2.gz USLKYFZEQOIUOI-HOCLYGCPSA-N 0 3 304.459 4.179 20 0 DIADHN CCc1ncc(CN[C@@H](CCCCOC)c2ccccc2)s1 ZINC000355297058 1043759308 /nfs/dbraw/zinc/75/93/08/1043759308.db2.gz UGPKRLPRVVKQKU-KRWDZBQOSA-N 0 3 318.486 4.353 20 0 DIADHN CCc1ncc(CN[C@H](CCCCOC)c2ccccc2)s1 ZINC000355297060 1043760678 /nfs/dbraw/zinc/76/06/78/1043760678.db2.gz UGPKRLPRVVKQKU-QGZVFWFLSA-N 0 3 318.486 4.353 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@H](c1cccs1)N1CCCC1 ZINC000474396285 1043763788 /nfs/dbraw/zinc/76/37/88/1043763788.db2.gz YJGRSEPAOIRSRG-QAPCUYQASA-N 0 3 322.518 4.218 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)N(Cc2cc3c(cc[nH]c3=O)o2)C1 ZINC000877520539 1043771059 /nfs/dbraw/zinc/77/10/59/1043771059.db2.gz AKNVTHSFJJZLBN-CXAGYDPISA-N 0 3 308.381 4.117 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N(C)CC1CC1 ZINC000053183920 1043771118 /nfs/dbraw/zinc/77/11/18/1043771118.db2.gz DNMDHDDORWJRBI-OAHLLOKOSA-N 0 3 308.425 4.022 20 0 DIADHN Fc1ccc2occ(CN3CC[C@@H](Oc4ccccc4)C3)c2c1 ZINC001141852709 1043778264 /nfs/dbraw/zinc/77/82/64/1043778264.db2.gz OKMSEVLGFMGUTM-QGZVFWFLSA-N 0 3 311.356 4.225 20 0 DIADHN CC(C)(C)[C@@H](NCC(=O)Nc1ccccc1F)c1ccccc1 ZINC000097079277 1043783844 /nfs/dbraw/zinc/78/38/44/1043783844.db2.gz PEXIHJBKZZTPIV-SFHVURJKSA-N 0 3 314.404 4.141 20 0 DIADHN CCCn1nccc1CN[C@@H]1CCc2cccc3cccc1c32 ZINC000895933876 1043785988 /nfs/dbraw/zinc/78/59/88/1043785988.db2.gz HMOATLDFMFNEQN-LJQANCHMSA-N 0 3 305.425 4.223 20 0 DIADHN COCc1ccc(CN[C@@H]2CCc3cccc4cccc2c43)o1 ZINC000895935154 1043787112 /nfs/dbraw/zinc/78/71/12/1043787112.db2.gz OXHCCXXIIONZQA-LJQANCHMSA-N 0 3 307.393 4.356 20 0 DIADHN CC(C)n1cc(CN[C@H]2CCc3cccc4cccc2c43)cn1 ZINC000895936712 1043788113 /nfs/dbraw/zinc/78/81/13/1043788113.db2.gz VJMONJILHCKJPJ-IBGZPJMESA-N 0 3 305.425 4.394 20 0 DIADHN CC(C)(C)OC1CCC(NCc2nc3ccccc3o2)CC1 ZINC000877683136 1043788227 /nfs/dbraw/zinc/78/82/27/1043788227.db2.gz YUPNEMUITQINBM-UHFFFAOYSA-N 0 3 302.418 4.044 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CNC1CC(C(F)F)C1 ZINC000877730615 1043790020 /nfs/dbraw/zinc/79/00/20/1043790020.db2.gz AAFBRJIBQQYLID-UHFFFAOYSA-N 0 3 311.706 4.075 20 0 DIADHN COCc1csc(CN(C)Cc2ccc3cc[nH]c3c2)c1 ZINC000877770478 1043796270 /nfs/dbraw/zinc/79/62/70/1043796270.db2.gz VYEARYRGUVJYLE-UHFFFAOYSA-N 0 3 300.427 4.008 20 0 DIADHN CCc1nocc1CN1CCC[C@@H](c2ccccc2OC)CC1 ZINC000877770421 1043797273 /nfs/dbraw/zinc/79/72/73/1043797273.db2.gz VFSKJYBACPRCTM-OAHLLOKOSA-N 0 3 314.429 4.015 20 0 DIADHN c1sc(CNC2CC3(C2)CCCCC3)nc1-c1ccncc1 ZINC000877808423 1043801534 /nfs/dbraw/zinc/80/15/34/1043801534.db2.gz LIQKXYGFASRXAK-UHFFFAOYSA-N 0 3 313.470 4.408 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3cnc(C(C)C)s3)C2)n1 ZINC000877812496 1043801597 /nfs/dbraw/zinc/80/15/97/1043801597.db2.gz BMBNLEOOQBVFBY-GFCCVEGCSA-N 0 3 307.488 4.021 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000237351568 1043802060 /nfs/dbraw/zinc/80/20/60/1043802060.db2.gz VEGSBMDWRGRWKE-LLVKDONJSA-N 0 3 310.319 4.139 20 0 DIADHN Fc1cc(CN2CCC3(CCCCO3)CC2)ccc1C(F)F ZINC000896020980 1043810503 /nfs/dbraw/zinc/81/05/03/1043810503.db2.gz XRPYECIQDMCNME-UHFFFAOYSA-N 0 3 313.363 4.298 20 0 DIADHN CCc1ncc(CN(Cc2ccc(OC)cc2)[C@@H](C)C2CC2)o1 ZINC000929332302 1043810678 /nfs/dbraw/zinc/81/06/78/1043810678.db2.gz PIJAAJULXLJHBD-AWEZNQCLSA-N 0 3 314.429 4.046 20 0 DIADHN Cc1cc2cc(CNC[C@H](O)c3cccc(F)c3)oc2cc1C ZINC001648965229 1043818313 /nfs/dbraw/zinc/81/83/13/1043818313.db2.gz KXRHEPYANNDTJZ-SFHVURJKSA-N 0 3 313.372 4.012 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cccc(-c2cccnc2)c1 ZINC000356296314 1043829813 /nfs/dbraw/zinc/82/98/13/1043829813.db2.gz AYSPTVSMRMNXOB-MRXNPFEDSA-N 0 3 303.409 4.303 20 0 DIADHN CCCCCC[C@@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257371558 1043836387 /nfs/dbraw/zinc/83/63/87/1043836387.db2.gz IMSDELPWBZFBEL-LLVKDONJSA-N 0 3 303.372 4.079 20 0 DIADHN Cc1cc(CN2CC3(CCC3)[C@@H]2[C@H]2CCCO2)cc2cccnc12 ZINC000356523026 1043845996 /nfs/dbraw/zinc/84/59/96/1043845996.db2.gz JLORTYXRNCMACG-QUCCMNQESA-N 0 3 322.452 4.077 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@H]3CCC[C@H]32)co1 ZINC000878135311 1043851740 /nfs/dbraw/zinc/85/17/40/1043851740.db2.gz WOQYUJLPMSBNGQ-RHSMWYFYSA-N 0 3 312.413 4.115 20 0 DIADHN CCOC[C@H]1CCC[C@H]1NCc1csc(-c2ccccc2)n1 ZINC000474625957 1043859372 /nfs/dbraw/zinc/85/93/72/1043859372.db2.gz AXIYFLXMTJKOLY-NVXWUHKLSA-N 0 3 316.470 4.105 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929971412 1043861123 /nfs/dbraw/zinc/86/11/23/1043861123.db2.gz KTCKVVMWOFAXMY-MORSLUCNSA-N 0 3 317.379 4.434 20 0 DIADHN Cc1nc(CN(C(C)C)C2CCOCC2)sc1C(C)(C)C ZINC000929994127 1043861723 /nfs/dbraw/zinc/86/17/23/1043861723.db2.gz MIOSCRJHZILKIE-UHFFFAOYSA-N 0 3 310.507 4.138 20 0 DIADHN Cc1nc(C(C)(C)NC[C@@H]2CCCC3(CCC3)O2)sc1C ZINC000930011079 1043865478 /nfs/dbraw/zinc/86/54/78/1043865478.db2.gz ZDIVDEGQIXIOPC-AWEZNQCLSA-N 0 3 308.491 4.076 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC[C@@H]3c3ccncc3)O2)cc1 ZINC000878310037 1043868409 /nfs/dbraw/zinc/86/84/09/1043868409.db2.gz HKXIOFXFPWMAHY-AQNXPRMDSA-N 0 3 308.425 4.139 20 0 DIADHN C[C@H](c1cccnc1)N(C)C[C@H]1CCC[C@@H](c2ccccc2)O1 ZINC000878313397 1043868855 /nfs/dbraw/zinc/86/88/55/1043868855.db2.gz GERYYTDZJAHWJR-AHRSYUTCSA-N 0 3 310.441 4.385 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2cc(C)sc2C)cc1O ZINC000289727103 1043869645 /nfs/dbraw/zinc/86/96/45/1043869645.db2.gz LNMJBVFYZIQAAR-RYUDHWBXSA-N 0 3 305.443 4.491 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1coc(-c2ccccc2OC)n1 ZINC000878340793 1043869967 /nfs/dbraw/zinc/86/99/67/1043869967.db2.gz OXAAQHWPEHIXRI-ZFWWWQNUSA-N 0 3 300.402 4.113 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC[C@@H]3c3ccncc3)O2)cc1 ZINC000878310039 1043870681 /nfs/dbraw/zinc/87/06/81/1043870681.db2.gz HKXIOFXFPWMAHY-ZCNNSNEGSA-N 0 3 308.425 4.139 20 0 DIADHN Cc1cccc(CN[C@H](C)c2ccc(Br)nc2)c1 ZINC000289767449 1043875797 /nfs/dbraw/zinc/87/57/97/1043875797.db2.gz NGHBUEXENMHGFT-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1ccc(Br)nc1 ZINC000289792884 1043878769 /nfs/dbraw/zinc/87/87/69/1043878769.db2.gz OMIQAINJYWTXJS-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2nccc3ccccc32)cc1 ZINC000289807651 1043880639 /nfs/dbraw/zinc/88/06/39/1043880639.db2.gz PGWTWPQHQRBIQW-HNNXBMFYSA-N 0 3 306.409 4.232 20 0 DIADHN Cc1c[nH]nc1CN[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000289820083 1043881442 /nfs/dbraw/zinc/88/14/42/1043881442.db2.gz MDPWNOPWXAZWGQ-HAQNSBGRSA-N 0 3 310.228 4.061 20 0 DIADHN Cc1conc1CN[C@H](C)[C@@H](C)c1ccccc1C(F)(F)F ZINC000878411334 1043885765 /nfs/dbraw/zinc/88/57/65/1043885765.db2.gz HZDCCYNFOBDWGX-VXGBXAGGSA-N 0 3 312.335 4.284 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H]1CCCOC1)c1nc(C(F)(F)F)cs1 ZINC000356872817 1043887659 /nfs/dbraw/zinc/88/76/59/1043887659.db2.gz PDTABCOEEFLJRE-MXWKQRLJSA-N 0 3 322.396 4.018 20 0 DIADHN Cc1csc(C2(NCC[C@H]3CCCC3(F)F)CCC2)n1 ZINC000878418201 1043888432 /nfs/dbraw/zinc/88/84/32/1043888432.db2.gz WELMMGRCSDFALA-GFCCVEGCSA-N 0 3 300.418 4.246 20 0 DIADHN CCSc1ccccc1CN[C@H](CCO)c1ccccc1 ZINC000930191603 1043890434 /nfs/dbraw/zinc/89/04/34/1043890434.db2.gz QXJGFXIZQFRHGD-QGZVFWFLSA-N 0 3 301.455 4.012 20 0 DIADHN Fc1ccc(NC[C@@H](c2cccc(Cl)c2)N2CCCC2)nc1 ZINC000561120209 1043891010 /nfs/dbraw/zinc/89/10/10/1043891010.db2.gz FCKYMXQRFRNODE-INIZCTEOSA-N 0 3 319.811 4.123 20 0 DIADHN Cc1nc(C)c(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)[nH]1 ZINC000930204777 1043892051 /nfs/dbraw/zinc/89/20/51/1043892051.db2.gz OQCUIXJMVCZWIX-MRXNPFEDSA-N 0 3 303.425 4.433 20 0 DIADHN CCCC[C@@H](NCc1cc(C)nnc1C)c1ccc(OC)cc1 ZINC000930213309 1043897355 /nfs/dbraw/zinc/89/73/55/1043897355.db2.gz HMNRPHIPEMQMAX-LJQANCHMSA-N 0 3 313.445 4.123 20 0 DIADHN COc1ccc(-c2ccc(CNCc3cnccc3C)cc2)cc1 ZINC000474723215 1043901788 /nfs/dbraw/zinc/90/17/88/1043901788.db2.gz OOYGTUBWAMPMOS-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN C[C@H](N[C@H](C[C@@H]1CCOC1)c1ccccc1)c1ccc(F)nc1 ZINC000930232740 1043901897 /nfs/dbraw/zinc/90/18/97/1043901897.db2.gz LYBGYQHLXWEGEC-RLFYNMQTSA-N 0 3 314.404 4.039 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@@H]2C)[nH]1 ZINC000930239867 1043903447 /nfs/dbraw/zinc/90/34/47/1043903447.db2.gz ZJURAEQNKFDASP-UDTVLSCZSA-N 0 3 303.837 4.167 20 0 DIADHN Cc1nc(C)c(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)[nH]1 ZINC000930239861 1043903630 /nfs/dbraw/zinc/90/36/30/1043903630.db2.gz ZJURAEQNKFDASP-IGGJQXEISA-N 0 3 303.837 4.167 20 0 DIADHN COc1ccccc1-c1nc(CN(C2CCC2)C2CCC2)co1 ZINC000878645490 1043907625 /nfs/dbraw/zinc/90/76/25/1043907625.db2.gz MUXALYSMWOOTFQ-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN C[C@@H](C1CCC1)N(C)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000357033630 1043909914 /nfs/dbraw/zinc/90/99/14/1043909914.db2.gz HJVYLJSELYBZFW-NSHDSACASA-N 0 3 305.809 4.010 20 0 DIADHN C[C@H](c1nnc(-c2ccccc2)o1)N1CC[C@H](C)C2(CCC2)C1 ZINC000357023804 1043910335 /nfs/dbraw/zinc/91/03/35/1043910335.db2.gz MFVIEUNZEXHCSU-LSDHHAIUSA-N 0 3 311.429 4.310 20 0 DIADHN c1ccc([C@H]2CC[C@@H](CN3CCC=C(c4ccccn4)C3)O2)cc1 ZINC000878647278 1043911155 /nfs/dbraw/zinc/91/11/55/1043911155.db2.gz FJOJYUYDNODKSX-PZJWPPBQSA-N 0 3 320.436 4.091 20 0 DIADHN C[C@@H]1CN(C(=O)c2cccc(CN3CCCC3)c2)c2ccccc21 ZINC000098129848 1043911202 /nfs/dbraw/zinc/91/12/02/1043911202.db2.gz JVQBJHWENISFPD-MRXNPFEDSA-N 0 3 320.436 4.046 20 0 DIADHN c1ccc([C@@H]2CC[C@H](CN3CCC=C(c4ccccn4)C3)O2)cc1 ZINC000878647276 1043911471 /nfs/dbraw/zinc/91/14/71/1043911471.db2.gz FJOJYUYDNODKSX-CTNGQTDRSA-N 0 3 320.436 4.091 20 0 DIADHN CO[C@H]1CCN(Cc2ccc3ccc(Cl)cc3n2)CC1(C)C ZINC000878675033 1043912485 /nfs/dbraw/zinc/91/24/85/1043912485.db2.gz KGVRYFBDWFLGSY-KRWDZBQOSA-N 0 3 318.848 4.135 20 0 DIADHN C[C@H](NCc1cnc(N(C)C)c2ccccc12)c1ccsc1 ZINC000930345288 1043914655 /nfs/dbraw/zinc/91/46/55/1043914655.db2.gz LLCPPOCEQDCGKB-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@H]1C[C@H](c2cccc(F)c2)N(Cc2cccc3nccn32)C1 ZINC000561286533 1043919668 /nfs/dbraw/zinc/91/96/68/1043919668.db2.gz JZLGFHXRHAJVCP-KBXCAEBGSA-N 0 3 309.388 4.057 20 0 DIADHN c1cc2c(o1)CCC[C@H]2N[C@H]1c2ccccc2CC12CCOCC2 ZINC000290184642 1043926839 /nfs/dbraw/zinc/92/68/39/1043926839.db2.gz NVDLOFNZGBIKEL-QUCCMNQESA-N 0 3 323.436 4.341 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc2ncccc2c1 ZINC000290199658 1043928554 /nfs/dbraw/zinc/92/85/54/1043928554.db2.gz GOOFAJGIQYUPHX-GOSISDBHSA-N 0 3 322.383 4.160 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H](C)c2cccc3ccccc32)s1 ZINC000290213613 1043928743 /nfs/dbraw/zinc/92/87/43/1043928743.db2.gz YTFWDEXIOVPSDK-CHWSQXEVSA-N 0 3 312.438 4.200 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc([C@H](C)O)s1)C(C)(C)C ZINC000290237251 1043930228 /nfs/dbraw/zinc/93/02/28/1043930228.db2.gz GITVYCIOHQYTGN-XJKSGUPXSA-N 0 3 318.486 4.382 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC[C@@H](c2ccccn2)C1 ZINC000930571709 1043942408 /nfs/dbraw/zinc/94/24/08/1043942408.db2.gz XTFHNCUXBRXJNJ-QGZVFWFLSA-N 0 3 319.408 4.116 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC[C@H](c2ccccn2)C1 ZINC000930571708 1043942935 /nfs/dbraw/zinc/94/29/35/1043942935.db2.gz XTFHNCUXBRXJNJ-KRWDZBQOSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1cnc(-c2ccncc2)s1 ZINC000561408663 1043946148 /nfs/dbraw/zinc/94/61/48/1043946148.db2.gz RQQANHRWAHHSOB-SFHVURJKSA-N 0 3 321.449 4.291 20 0 DIADHN C[C@@H](CN(C)C/C=C/c1ccc(F)c(F)c1)c1nccs1 ZINC000290471638 1043953408 /nfs/dbraw/zinc/95/34/08/1043953408.db2.gz UUJXKRZWOWIWHQ-PCAWENJQSA-N 0 3 308.397 4.170 20 0 DIADHN COC[C@@H](C)N(C)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000179365543 1043953828 /nfs/dbraw/zinc/95/38/28/1043953828.db2.gz ILKJFWDDGPWXIP-GFCCVEGCSA-N 0 3 316.426 4.023 20 0 DIADHN Cc1nc(C)c(CN[C@](C)(Cc2ccccc2)c2ccccc2)[nH]1 ZINC000930737082 1043959643 /nfs/dbraw/zinc/95/96/43/1043959643.db2.gz AGMYQTZXIJYQND-OAQYLSRUSA-N 0 3 319.452 4.274 20 0 DIADHN Cc1cc(CNCCCCc2ccc(Cl)c(Cl)c2)on1 ZINC000474866370 1043961804 /nfs/dbraw/zinc/96/18/04/1043961804.db2.gz DGMSWYZTXNHATE-UHFFFAOYSA-N 0 3 313.228 4.402 20 0 DIADHN Cc1nc(C)c(CN(C)CCCOc2cccc(Cl)c2)s1 ZINC000357598898 1043967437 /nfs/dbraw/zinc/96/74/37/1043967437.db2.gz SQIZNOLAGUKBSK-UHFFFAOYSA-N 0 3 324.877 4.314 20 0 DIADHN CCc1onc(C)c1CN1CCC2(CC1)c1ccccc1N=C2C ZINC000930843568 1043968512 /nfs/dbraw/zinc/96/85/12/1043968512.db2.gz XRTCYBZOHUPYSR-UHFFFAOYSA-N 0 3 323.440 4.185 20 0 DIADHN Fc1cccc(Cl)c1CCN(Cc1ccccn1)C1CC1 ZINC000357610443 1043969009 /nfs/dbraw/zinc/96/90/09/1043969009.db2.gz AKGQJOUHYKRPAX-UHFFFAOYSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1nc(CN2CCC[C@H]2Cc2ccc(F)cc2)sc1C ZINC000357628024 1043971205 /nfs/dbraw/zinc/97/12/05/1043971205.db2.gz BYMVLHITKSWRHE-INIZCTEOSA-N 0 3 304.434 4.106 20 0 DIADHN CC(C)c1cnc(CN[C@H](Cc2cccnc2)C2CCCC2)o1 ZINC000879673205 1043978929 /nfs/dbraw/zinc/97/89/29/1043978929.db2.gz GOVZEBTYKKASGV-QGZVFWFLSA-N 0 3 313.445 4.084 20 0 DIADHN CCN(Cc1nc(C)c(C)s1)[C@@H](C)Cc1ccc(OC)cc1 ZINC000357710693 1043979161 /nfs/dbraw/zinc/97/91/61/1043979161.db2.gz JBIRRRBUQZOUNU-ZDUSSCGKSA-N 0 3 318.486 4.222 20 0 DIADHN CCCC[C@@H](CC)NCC(=O)c1ccc(C(F)(F)F)cc1 ZINC001257415434 1043979812 /nfs/dbraw/zinc/97/98/12/1043979812.db2.gz QUZCSUYDJIGRHP-CQSZACIVSA-N 0 3 301.352 4.447 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@@H]([C@@H](C)O)C1 ZINC000474927718 1043982839 /nfs/dbraw/zinc/98/28/39/1043982839.db2.gz LHJQINOSUGFSIB-CHWSQXEVSA-N 0 3 313.894 4.043 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@@H]([C@H](C)O)C1 ZINC000474927720 1043983213 /nfs/dbraw/zinc/98/32/13/1043983213.db2.gz LHJQINOSUGFSIB-QWHCGFSZSA-N 0 3 313.894 4.043 20 0 DIADHN Cc1ccncc1CN(CC(C)C)[C@H]1CCCc2cccnc21 ZINC000474924103 1043985469 /nfs/dbraw/zinc/98/54/69/1043985469.db2.gz HLERXFKCBLBIRY-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290847129 1043992952 /nfs/dbraw/zinc/99/29/52/1043992952.db2.gz JIDYSOAMKFTRSY-NWDGAFQWSA-N 0 3 317.260 4.072 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccccc2CF)cc1F ZINC000931180277 1043999935 /nfs/dbraw/zinc/99/99/35/1043999935.db2.gz VJOVHYGIQXCPAM-UHFFFAOYSA-N 0 3 305.368 4.329 20 0 DIADHN Fc1ccccc1[C@@H](NCc1c[nH]c2nccnc12)C1CCCC1 ZINC000290900354 1044000485 /nfs/dbraw/zinc/00/04/85/1044000485.db2.gz NXJGZZNZTAXYNJ-KRWDZBQOSA-N 0 3 324.403 4.118 20 0 DIADHN CC(C)N(Cc1cc(F)c(Br)cc1F)C(C)C ZINC001141910669 1044001691 /nfs/dbraw/zinc/00/16/91/1044001691.db2.gz VFBUHQQYHPWEJF-UHFFFAOYSA-N 0 3 306.194 4.346 20 0 DIADHN CC(C)Sc1ccc(CN2CCC(c3c[nH]cn3)CC2)cc1 ZINC000358055688 1044012349 /nfs/dbraw/zinc/01/23/49/1044012349.db2.gz ZXFAYVSXLXNWPQ-UHFFFAOYSA-N 0 3 315.486 4.290 20 0 DIADHN CC(C)Sc1ccc(CN[C@@H](CCO)c2ccccc2)cc1 ZINC000358054489 1044012426 /nfs/dbraw/zinc/01/24/26/1044012426.db2.gz CHDFCXGDHVXJFK-IBGZPJMESA-N 0 3 315.482 4.400 20 0 DIADHN CC[C@H](NCCOc1ccccc1OC)c1cc(F)ccc1F ZINC000358065133 1044014195 /nfs/dbraw/zinc/01/41/95/1044014195.db2.gz RODOPYGJVRVHSP-INIZCTEOSA-N 0 3 321.367 4.093 20 0 DIADHN CC(C)Sc1ccc(CN2CCC[C@H](n3cccn3)C2)cc1 ZINC000358064251 1044015285 /nfs/dbraw/zinc/01/52/85/1044015285.db2.gz LIAZAXWUTFUFSC-KRWDZBQOSA-N 0 3 315.486 4.221 20 0 DIADHN CC(C)Sc1ccc(CN2CCC[C@@H](n3cccn3)C2)cc1 ZINC000358064254 1044015367 /nfs/dbraw/zinc/01/53/67/1044015367.db2.gz LIAZAXWUTFUFSC-QGZVFWFLSA-N 0 3 315.486 4.221 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H]1CCc2ccc(C)cc21)c1ccccc1 ZINC000358081864 1044017240 /nfs/dbraw/zinc/01/72/40/1044017240.db2.gz IZOBEGYHGHYATF-WOJBJXKFSA-N 0 3 323.436 4.266 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cn(C)nc1C(F)F)CC2 ZINC000291059419 1044017877 /nfs/dbraw/zinc/01/78/77/1044017877.db2.gz OZUYGIDMHONHNW-MRXNPFEDSA-N 0 3 319.399 4.258 20 0 DIADHN COc1cc(NC(C)=O)ccc1[C@@H](C)N[C@@H](C)c1ccccc1 ZINC000358083262 1044018177 /nfs/dbraw/zinc/01/81/77/1044018177.db2.gz KLUUVRFFHKORKT-UONOGXRCSA-N 0 3 312.413 4.065 20 0 DIADHN CC[C@H](N[C@@H](CCC(=O)OC)c1ccccc1)c1ccccc1 ZINC000358092342 1044018877 /nfs/dbraw/zinc/01/88/77/1044018877.db2.gz YLRGNDRKMMKLHL-OALUTQOASA-N 0 3 311.425 4.422 20 0 DIADHN COc1cc(CN[C@H]2CCCCc3ccc(Cl)cc32)ccn1 ZINC000358094987 1044019133 /nfs/dbraw/zinc/01/91/33/1044019133.db2.gz HPDRMPIYMBSQFW-KRWDZBQOSA-N 0 3 316.832 4.301 20 0 DIADHN COC(=O)CC[C@@H](N[C@H]1CCCc2ccccc21)c1ccccc1 ZINC000358093456 1044019850 /nfs/dbraw/zinc/01/98/50/1044019850.db2.gz ZWZIZIMCUHMBBB-UXHICEINSA-N 0 3 323.436 4.348 20 0 DIADHN CCOc1ccccc1CN[C@H]1CCCc2ccc(OC)cc21 ZINC000358093427 1044020601 /nfs/dbraw/zinc/02/06/01/1044020601.db2.gz ZRYRDUMYUOZYNU-IBGZPJMESA-N 0 3 311.425 4.261 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000561885084 1044020550 /nfs/dbraw/zinc/02/05/50/1044020550.db2.gz LPAISGGMHUBMMQ-ZQIUZPCESA-N 0 3 324.896 4.320 20 0 DIADHN Cc1ccc([C@@H](C)NCCCOc2cccc3cccnc32)o1 ZINC000358143441 1044023968 /nfs/dbraw/zinc/02/39/68/1044023968.db2.gz TZOWPKNLWGMONR-OAHLLOKOSA-N 0 3 310.397 4.256 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CCCCCCC2)c(C)c1 ZINC000007390210 1044027041 /nfs/dbraw/zinc/02/70/41/1044027041.db2.gz VVFUPHGWOFPGBD-KRWDZBQOSA-N 0 3 302.462 4.205 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCCc3ccc(O)cc32)cc1 ZINC000291155171 1044029762 /nfs/dbraw/zinc/02/97/62/1044029762.db2.gz IRATXXGAOMZQPC-HXUWFJFHSA-N 0 3 311.425 4.096 20 0 DIADHN C[C@H](NC[C@@H]1CCCOC1)c1csc(-c2ccccc2F)n1 ZINC000291169060 1044032422 /nfs/dbraw/zinc/03/24/22/1044032422.db2.gz JTJDOCYDNBAUGK-STQMWFEESA-N 0 3 320.433 4.026 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1sccc1OC ZINC000443851529 1044036959 /nfs/dbraw/zinc/03/69/59/1044036959.db2.gz IJSBPBDSNUJPBA-UHFFFAOYSA-N 0 3 300.427 4.260 20 0 DIADHN Cc1ccsc1CN(Cc1cccs1)[C@@H]1C=C[C@H](CO)C1 ZINC000561983818 1044041285 /nfs/dbraw/zinc/04/12/85/1044041285.db2.gz YDEORWZXRHSUHO-LSDHHAIUSA-N 0 3 319.495 4.057 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]1c1ccccc1)c1ccc(Br)cn1 ZINC000291212989 1044041878 /nfs/dbraw/zinc/04/18/78/1044041878.db2.gz RITUTTIBUFNYHN-SGIREYDYSA-N 0 3 317.230 4.051 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)CC(C)(C)O1 ZINC000443856265 1044043850 /nfs/dbraw/zinc/04/38/50/1044043850.db2.gz RTSQNDQVLZMWSM-UHFFFAOYSA-N 0 3 310.441 4.138 20 0 DIADHN Clc1cc2ccccc2c(CN[C@H]2CCCn3nccc32)c1 ZINC000931570393 1044045274 /nfs/dbraw/zinc/04/52/74/1044045274.db2.gz KBYMBINPJCIBTA-KRWDZBQOSA-N 0 3 311.816 4.314 20 0 DIADHN CCc1ncc(CN2CCC[C@H](c3ccccc3OC)CC2)o1 ZINC000931565819 1044046601 /nfs/dbraw/zinc/04/66/01/1044046601.db2.gz XYLPRUUSSSBINM-HNNXBMFYSA-N 0 3 314.429 4.015 20 0 DIADHN Cc1nnc([C@@H](C)N(CCc2ccccc2)CCC(C)(C)C)o1 ZINC000880574043 1044049489 /nfs/dbraw/zinc/04/94/89/1044049489.db2.gz OZRQVGYGXGTMQN-OAHLLOKOSA-N 0 3 315.461 4.420 20 0 DIADHN CC[C@H](N[C@H](CO)CCSC)c1ccc(Cl)cc1Cl ZINC000358299994 1044050432 /nfs/dbraw/zinc/05/04/32/1044050432.db2.gz NEVRNEMIXBRACT-FZMZJTMJSA-N 0 3 322.301 4.148 20 0 DIADHN CC[C@@H](N[C@@H](CO)CCSC)c1ccc(Cl)cc1Cl ZINC000358299982 1044050780 /nfs/dbraw/zinc/05/07/80/1044050780.db2.gz NEVRNEMIXBRACT-BXUZGUMPSA-N 0 3 322.301 4.148 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@@H](C)c2ccc(C)o2)cc1 ZINC000358310266 1044053014 /nfs/dbraw/zinc/05/30/14/1044053014.db2.gz WVXKCWSPPFFOHD-PMUMKWKESA-N 0 3 303.402 4.023 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1cc(C)ccc1C ZINC000562031285 1044052951 /nfs/dbraw/zinc/05/29/51/1044052951.db2.gz PBISMSLIYUMRJK-UHFFFAOYSA-N 0 3 317.477 4.033 20 0 DIADHN C[C@@H](NC[C@@H](C)C[C@H](C)O)c1csc(-c2ccccc2F)n1 ZINC000291278024 1044053525 /nfs/dbraw/zinc/05/35/25/1044053525.db2.gz RACIPQWBCNNJFO-RWMBFGLXSA-N 0 3 322.449 4.007 20 0 DIADHN CC[C@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1ccccc1F ZINC000562057775 1044056098 /nfs/dbraw/zinc/05/60/98/1044056098.db2.gz RAIACEVVSVNZNJ-RBUKOAKNSA-N 0 3 318.411 4.308 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC000562058163 1044056238 /nfs/dbraw/zinc/05/62/38/1044056238.db2.gz SIXQPBUYUSESBU-QRWLVFNGSA-N 0 3 314.448 4.342 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccccc1F ZINC000562057773 1044056437 /nfs/dbraw/zinc/05/64/37/1044056437.db2.gz RAIACEVVSVNZNJ-MOPGFXCFSA-N 0 3 318.411 4.308 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccc(C(C)C)cc1)c1nccn1C ZINC000562057934 1044056592 /nfs/dbraw/zinc/05/65/92/1044056592.db2.gz KMGQMHLJHNVAAK-CRAIPNDOSA-N 0 3 315.461 4.052 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1ccc(F)cc1 ZINC000562059374 1044058226 /nfs/dbraw/zinc/05/82/26/1044058226.db2.gz MOJFCBZHQVBXLI-OALUTQOASA-N 0 3 318.411 4.308 20 0 DIADHN CCC(CC)[C@@H](NCc1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000428312960 1044060256 /nfs/dbraw/zinc/06/02/56/1044060256.db2.gz GVOHSJLTUQQLDG-QGZVFWFLSA-N 0 3 300.450 4.195 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(-c2ccccc2F)n1)[C@H]1CCOC1 ZINC000291338901 1044061559 /nfs/dbraw/zinc/06/15/59/1044061559.db2.gz WGKODLDQVQAMIZ-AGIUHOORSA-N 0 3 320.433 4.025 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2ccc(CSC(F)F)o2)C1(C)C ZINC000237272358 1044064240 /nfs/dbraw/zinc/06/42/40/1044064240.db2.gz OOIIPJYTOBFWCL-SWLSCSKDSA-N 0 3 319.417 4.029 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCCc2ccc(C)cc21 ZINC000358394596 1044065203 /nfs/dbraw/zinc/06/52/03/1044065203.db2.gz HIUVBFXUFZOKKE-DLBZAZTESA-N 0 3 301.455 4.066 20 0 DIADHN Oc1cccc2c1CCC[C@H]2N[C@H]1CCCOc2ccccc21 ZINC000291374672 1044065838 /nfs/dbraw/zinc/06/58/38/1044065838.db2.gz YZLHLXHMUWLECY-MSOLQXFVSA-N 0 3 309.409 4.273 20 0 DIADHN Oc1cccc2c1CCC[C@H]2N[C@@H]1CCCOc2ccccc21 ZINC000291374675 1044066760 /nfs/dbraw/zinc/06/67/60/1044066760.db2.gz YZLHLXHMUWLECY-QZTJIDSGSA-N 0 3 309.409 4.273 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2ccc(F)c(F)c2)cc(C)c1O ZINC000562111115 1044066982 /nfs/dbraw/zinc/06/69/82/1044066982.db2.gz LDKBFGCBUGVSFS-ZDUSSCGKSA-N 0 3 305.368 4.480 20 0 DIADHN C[C@H](CO)CCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291384926 1044068064 /nfs/dbraw/zinc/06/80/64/1044068064.db2.gz ZRPLSEJEOQKLBQ-STQMWFEESA-N 0 3 322.449 4.008 20 0 DIADHN Clc1cccc([C@H]2CCCN2Cc2cccc3nonc32)c1 ZINC001203139485 1044074907 /nfs/dbraw/zinc/07/49/07/1044074907.db2.gz GUKKGPIAZRJKBU-MRXNPFEDSA-N 0 3 313.788 4.213 20 0 DIADHN CCN(CC(C)(C)C)C(=O)c1oc2ccccc2c1CN(C)C ZINC000291482995 1044079487 /nfs/dbraw/zinc/07/94/87/1044079487.db2.gz RHUVVFJFIOOWJR-UHFFFAOYSA-N 0 3 316.445 4.003 20 0 DIADHN CC(C)n1nccc1CN[C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000280877095 1044082127 /nfs/dbraw/zinc/08/21/27/1044082127.db2.gz MZLKIHICLKACBH-SCLBCKFNSA-N 0 3 301.409 4.016 20 0 DIADHN CC(C)n1nccc1CN[C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000280877087 1044082209 /nfs/dbraw/zinc/08/22/09/1044082209.db2.gz MZLKIHICLKACBH-ACJLOTCBSA-N 0 3 301.409 4.016 20 0 DIADHN COC(=O)c1cccc([C@@H]2CCCN2Cc2cc(C)cs2)c1 ZINC001137161727 1044082479 /nfs/dbraw/zinc/08/24/79/1044082479.db2.gz RJOPOXORCQCTDR-KRWDZBQOSA-N 0 3 315.438 4.180 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccoc1C(C)C ZINC000280926361 1044082844 /nfs/dbraw/zinc/08/28/44/1044082844.db2.gz XWKGNPPHDNKDMJ-UHFFFAOYSA-N 0 3 300.402 4.025 20 0 DIADHN FC1(F)CC[C@H](CN[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000281038503 1044090625 /nfs/dbraw/zinc/09/06/25/1044090625.db2.gz GPIUQDQBRWUCRL-YOEHRIQHSA-N 0 3 302.368 4.196 20 0 DIADHN CN(C)c1ccncc1CN[C@H]1CCCc2sc(Cl)cc21 ZINC000291712335 1044097155 /nfs/dbraw/zinc/09/71/55/1044097155.db2.gz LMURSWIJYXJNOC-ZDUSSCGKSA-N 0 3 321.877 4.030 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000358756629 1044099276 /nfs/dbraw/zinc/09/92/76/1044099276.db2.gz PJIAZPSYPQLEFM-PKOBYXMFSA-N 0 3 313.420 4.068 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(c2ccn(C)n2)CC1 ZINC000932140924 1044103282 /nfs/dbraw/zinc/10/32/82/1044103282.db2.gz DYVUNFOQHJROOV-CYBMUJFWSA-N 0 3 303.837 4.014 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1ccc(OC(C)C)cc1 ZINC000358834182 1044103343 /nfs/dbraw/zinc/10/33/43/1044103343.db2.gz UFOPWOUPPLBOAA-SFHVURJKSA-N 0 3 313.445 4.151 20 0 DIADHN CCOc1cc(CNCc2ccc3[nH]c(C)c(C)c3c2)ccc1O ZINC000358848976 1044105557 /nfs/dbraw/zinc/10/55/57/1044105557.db2.gz GLOPESYOXIMMMG-UHFFFAOYSA-N 0 3 324.424 4.179 20 0 DIADHN Cc1cc(NC(=O)c2cccc(CN(C)C)c2)ccc1C1CC1 ZINC000358885360 1044107768 /nfs/dbraw/zinc/10/77/68/1044107768.db2.gz IXUPJCXHLFROMB-UHFFFAOYSA-N 0 3 308.425 4.186 20 0 DIADHN Cc1cccc(CN[C@@H]2CCCc3nn(-c4ccccc4)cc32)c1 ZINC000358921412 1044112003 /nfs/dbraw/zinc/11/20/03/1044112003.db2.gz AJBCARLGTAOQOZ-HXUWFJFHSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3nn(-c4ccccc4)cc32)s1 ZINC000358938758 1044112997 /nfs/dbraw/zinc/11/29/97/1044112997.db2.gz RSSCDZCEYRIFTC-GOSISDBHSA-N 0 3 323.465 4.409 20 0 DIADHN CCCOc1ccc(CN[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281266354 1044113562 /nfs/dbraw/zinc/11/35/62/1044113562.db2.gz OYTJBCXJIVQEKJ-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN CCC(C)(C)c1ccc(CN[C@H]2CCCc3nn(C)cc32)cc1 ZINC000358971462 1044115278 /nfs/dbraw/zinc/11/52/78/1044115278.db2.gz VFZONSXHFNJBCS-SFHVURJKSA-N 0 3 311.473 4.275 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000281289956 1044117027 /nfs/dbraw/zinc/11/70/27/1044117027.db2.gz XYWYIBWNFJUWQH-FVQBIDKESA-N 0 3 303.837 4.060 20 0 DIADHN CC(C)O[C@H](CN[C@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000932385788 1044124036 /nfs/dbraw/zinc/12/40/36/1044124036.db2.gz MHXBJVXTFAVYJY-UXHICEINSA-N 0 3 310.441 4.289 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccn1)C1CC1)c1ccc(Cl)cc1 ZINC000932385181 1044124099 /nfs/dbraw/zinc/12/40/99/1044124099.db2.gz IJVLJDGVKOOSMP-QZTJIDSGSA-N 0 3 316.832 4.163 20 0 DIADHN CCO[C@H]1C[C@@H](NC2(c3nc(C)cs3)CCC2)C12CCCC2 ZINC000346648878 1044124764 /nfs/dbraw/zinc/12/47/64/1044124764.db2.gz HKACMFTZGBKMPG-CABCVRRESA-N 0 3 320.502 4.158 20 0 DIADHN CCO[C@@H]1C[C@@H](NC2(c3nc(C)cs3)CCC2)C12CCCC2 ZINC000346648892 1044125265 /nfs/dbraw/zinc/12/52/65/1044125265.db2.gz HKACMFTZGBKMPG-HUUCEWRRSA-N 0 3 320.502 4.158 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CCCC[C@H](c3ccccc3)C2)[n-]1 ZINC000562522439 1044125586 /nfs/dbraw/zinc/12/55/86/1044125586.db2.gz LTZZKFRYBGUJSL-DLBZAZTESA-N 0 3 312.461 4.134 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CCCC[C@@H](c3ccccc3)C2)[n-]1 ZINC000562522442 1044126277 /nfs/dbraw/zinc/12/62/77/1044126277.db2.gz LTZZKFRYBGUJSL-SJORKVTESA-N 0 3 312.461 4.134 20 0 DIADHN CC(C)CN(Cc1ccccn1)Cc1cncc2ccccc21 ZINC000932449890 1044130346 /nfs/dbraw/zinc/13/03/46/1044130346.db2.gz VDFDYSRNSQLLCT-UHFFFAOYSA-N 0 3 305.425 4.288 20 0 DIADHN Fc1cncc([C@@H](N[C@@H]2CCCc3sccc32)C2CC2)c1 ZINC000281507267 1044139755 /nfs/dbraw/zinc/13/97/55/1044139755.db2.gz HBTRLBHSAAFWRV-WBVHZDCISA-N 0 3 302.418 4.401 20 0 DIADHN Cc1ncc(C[NH2+][C@@H](C)c2cccc(-c3ccccc3)c2)c(=O)[n-]1 ZINC000346786606 1044140377 /nfs/dbraw/zinc/14/03/77/1044140377.db2.gz DTXATMVSSXLQEQ-AWEZNQCLSA-N 0 3 319.408 4.008 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000359210106 1044142707 /nfs/dbraw/zinc/14/27/07/1044142707.db2.gz ITDUSZHEMVAVSF-KGLIPLIRSA-N 0 3 311.429 4.014 20 0 DIADHN COc1ccc2cc(CNCc3cn(C(C)C)nc3C)ccc2c1 ZINC000281542494 1044146871 /nfs/dbraw/zinc/14/68/71/1044146871.db2.gz ZKCZATFEAXBIGY-UHFFFAOYSA-N 0 3 323.440 4.224 20 0 DIADHN Cc1ccsc1CNCc1ccccc1N1CCCCC1 ZINC000778115654 1044154742 /nfs/dbraw/zinc/15/47/42/1044154742.db2.gz PEZYWCWLMWUCHB-UHFFFAOYSA-N 0 3 300.471 4.337 20 0 DIADHN CCN(CC)c1ccc(CNCc2cc(C)cc(Cl)c2)cn1 ZINC000562768656 1044155792 /nfs/dbraw/zinc/15/57/92/1044155792.db2.gz IEDNJLQKNDJBFN-UHFFFAOYSA-N 0 3 317.864 4.179 20 0 DIADHN c1ccc2sc(C3CCN(CCOC4CCC4)CC3)nc2c1 ZINC000346862877 1044163456 /nfs/dbraw/zinc/16/34/56/1044163456.db2.gz FVLCJZREHVCTCG-UHFFFAOYSA-N 0 3 316.470 4.045 20 0 DIADHN O=C(CNCc1cccc2ccccc21)Nc1ccc(Cl)cc1 ZINC000320435936 1044172911 /nfs/dbraw/zinc/17/29/11/1044172911.db2.gz HUBYWPDPEJISJS-UHFFFAOYSA-N 0 3 324.811 4.222 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCCSCc1ccccc1)CCO2 ZINC000359519103 1044173857 /nfs/dbraw/zinc/17/38/57/1044173857.db2.gz UAZREXZHALSOCN-QGZVFWFLSA-N 0 3 317.429 4.172 20 0 DIADHN CSc1ccc(OCCN2CCC[C@H]2c2cccnc2)cc1 ZINC000346977656 1044178128 /nfs/dbraw/zinc/17/81/28/1044178128.db2.gz KKHFSWDFJXMYMR-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2cccnc2)c2ccccc2n1 ZINC000346984700 1044179766 /nfs/dbraw/zinc/17/97/66/1044179766.db2.gz PJXXSVWVZROMPH-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN CC(C)N(Cc1ccc(S(=O)(=O)C(C)C)cc1)C1CCCC1 ZINC000359610271 1044180915 /nfs/dbraw/zinc/18/09/15/1044180915.db2.gz MKULOASLEONGJL-UHFFFAOYSA-N 0 3 323.502 4.022 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@H](c3ccccn3)C2)o1 ZINC000798965795 1044182118 /nfs/dbraw/zinc/18/21/18/1044182118.db2.gz ZOHLEUHRPDYYQE-LBPRGKRZSA-N 0 3 324.396 4.120 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](CCCO)c1ccccc1 ZINC000129826853 1044183510 /nfs/dbraw/zinc/18/35/10/1044183510.db2.gz QAWMIEHAQTVKTE-SFHVURJKSA-N 0 3 303.833 4.252 20 0 DIADHN CC(C)(C)[C@H](NCc1ccc2oc(=O)oc2c1)c1ccccc1 ZINC000882887732 1044200717 /nfs/dbraw/zinc/20/07/17/1044200717.db2.gz DEUVAVLJSNISFH-QGZVFWFLSA-N 0 3 311.381 4.263 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cnc3ccccc3c2)C1 ZINC000347171534 1044201752 /nfs/dbraw/zinc/20/17/52/1044201752.db2.gz RBMIIOSNWLUUDF-QRWLVFNGSA-N 0 3 303.409 4.213 20 0 DIADHN COCCC[C@H](NCc1ccc(F)cc1)c1ccc(F)cc1 ZINC000281862729 1044204295 /nfs/dbraw/zinc/20/42/95/1044204295.db2.gz DNRHQYHBLLNPQR-SFHVURJKSA-N 0 3 305.368 4.222 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCCc4c(O)cccc43)ccc2n1 ZINC000359826674 1044205061 /nfs/dbraw/zinc/20/50/61/1044205061.db2.gz ADRYHRBZBSWBAL-HXUWFJFHSA-N 0 3 318.420 4.416 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc(C)nc2C)cc1 ZINC000359852960 1044208181 /nfs/dbraw/zinc/20/81/81/1044208181.db2.gz ZHIPWUGSJZHQFD-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cnc(C)s2)C12CCCCC2 ZINC000347220074 1044208628 /nfs/dbraw/zinc/20/86/28/1044208628.db2.gz CFBWXEQDWZZQRM-HZPDHXFCSA-N 0 3 308.491 4.011 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2cnn(CC(F)F)c2)c2ccccc21 ZINC000292807999 1044212754 /nfs/dbraw/zinc/21/27/54/1044212754.db2.gz WCWNWKGXUBCELT-IAGOWNOFSA-N 0 3 319.399 4.122 20 0 DIADHN COc1ccc([C@H](C)CCN[C@H]2CCCc3oc(C)nc32)cc1 ZINC000925138083 1044213449 /nfs/dbraw/zinc/21/34/49/1044213449.db2.gz FVFYCGWIQLYMJZ-DYVFJYSZSA-N 0 3 314.429 4.152 20 0 DIADHN C[C@H](CN[C@H]1CCCOc2c(Cl)cccc21)C(F)(F)F ZINC000359974941 1044223275 /nfs/dbraw/zinc/22/32/75/1044223275.db2.gz ITDNBWYRSXKHKC-SKDRFNHKSA-N 0 3 307.743 4.342 20 0 DIADHN Cc1c2ccccc2oc1CNCc1cccc2c1CCOC2 ZINC000883002680 1044214823 /nfs/dbraw/zinc/21/48/23/1044214823.db2.gz MBYZLAKRPXIICM-UHFFFAOYSA-N 0 3 307.393 4.104 20 0 DIADHN O=c1oc2ccc(CN[C@H](CC3CCC3)c3ccccc3)cc2o1 ZINC000883084441 1044220469 /nfs/dbraw/zinc/22/04/69/1044220469.db2.gz RHPXHSTVYYUYCU-QGZVFWFLSA-N 0 3 323.392 4.407 20 0 DIADHN Cc1ccc(-c2csc(CN[C@@H](C)Cc3cccnc3)n2)cc1 ZINC000934231538 1044232080 /nfs/dbraw/zinc/23/20/80/1044232080.db2.gz UYWRTSGTOPFFHZ-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN CC(C)(C)c1ccc(CNCc2ccc3oc(=O)oc3c2)s1 ZINC000883202982 1044232213 /nfs/dbraw/zinc/23/22/13/1044232213.db2.gz PHLQWLZMSBDWCO-UHFFFAOYSA-N 0 3 317.410 4.035 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H](C)Cc3cccnc3)cs2)cc1 ZINC000934238800 1044233217 /nfs/dbraw/zinc/23/32/17/1044233217.db2.gz LRWKZIQNQYZDPR-OAHLLOKOSA-N 0 3 323.465 4.234 20 0 DIADHN CC[C@H](NCc1ccc2oc(=O)oc2c1)c1cc(C)ccc1C ZINC000883190818 1044233289 /nfs/dbraw/zinc/23/32/89/1044233289.db2.gz MMZSWDLQFPSQIZ-INIZCTEOSA-N 0 3 311.381 4.244 20 0 DIADHN CCN(C[C@@]1(C)CC1(Cl)Cl)[C@H](C)c1cccc(O)c1 ZINC000828000249 1044237565 /nfs/dbraw/zinc/23/75/65/1044237565.db2.gz SIINRXZTPPPGFU-BXUZGUMPSA-N 0 3 302.245 4.359 20 0 DIADHN CCSc1ccc(CNCc2ccc(NC(C)=O)cc2)cc1 ZINC000883237021 1044241995 /nfs/dbraw/zinc/24/19/95/1044241995.db2.gz PIBYPRLZZADVLX-UHFFFAOYSA-N 0 3 314.454 4.047 20 0 DIADHN Cc1cc(Br)ccc1[C@@H](C)NCc1ccnc(F)c1 ZINC000883241877 1044242178 /nfs/dbraw/zinc/24/21/78/1044242178.db2.gz NIPGGHRRGOVLQX-LLVKDONJSA-N 0 3 323.209 4.142 20 0 DIADHN OC1(c2c(F)cccc2F)CCN(CCCC2CCCC2)CC1 ZINC000778239136 1044242897 /nfs/dbraw/zinc/24/28/97/1044242897.db2.gz NMKPMFRNFGHJGU-UHFFFAOYSA-N 0 3 323.427 4.219 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cnc2c(cnn2C(C)C)c1 ZINC000522942427 1044247884 /nfs/dbraw/zinc/24/78/84/1044247884.db2.gz SCPCIIDHPAFBFB-UHFFFAOYSA-N 0 3 322.456 4.343 20 0 DIADHN COc1ccc(C2CC2)cc1CNCc1cccc2cc[nH]c21 ZINC000883258823 1044248640 /nfs/dbraw/zinc/24/86/40/1044248640.db2.gz QEGRHMDYOXOPDW-UHFFFAOYSA-N 0 3 306.409 4.344 20 0 DIADHN Cc1ccnc(OC2CCN(Cc3cc4ccccc4o3)CC2)c1 ZINC000360213403 1044249150 /nfs/dbraw/zinc/24/91/50/1044249150.db2.gz OJJFVYYYEFIKLA-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@@H](c3cccc(Cl)c3)C2)s1 ZINC000563343171 1044249560 /nfs/dbraw/zinc/24/95/60/1044249560.db2.gz DFBRZCYXOYVQDT-HIFRSBDPSA-N 0 3 321.877 4.316 20 0 DIADHN CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NCc1ccccc1F ZINC000522963152 1044253031 /nfs/dbraw/zinc/25/30/31/1044253031.db2.gz FRUFMMGQWLLVJI-YVEFUNNKSA-N 0 3 307.796 4.345 20 0 DIADHN C=C/C=C\CCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000883268396 1044255106 /nfs/dbraw/zinc/25/51/06/1044255106.db2.gz KDKOHCXHMRYUIV-MVZIDQBPSA-N 0 3 313.264 4.079 20 0 DIADHN C[C@H](NCc1cccc2cccnc21)c1cnn(CC2CCC2)c1 ZINC000934556139 1044260363 /nfs/dbraw/zinc/26/03/63/1044260363.db2.gz PSFLYKRLWZFUAN-HNNXBMFYSA-N 0 3 320.440 4.082 20 0 DIADHN Cc1ncc(CNC2(c3ccc(Cl)cc3)CCCCC2)n1C ZINC000563439844 1044261958 /nfs/dbraw/zinc/26/19/58/1044261958.db2.gz MTGHBFAPKOTSRH-UHFFFAOYSA-N 0 3 317.864 4.331 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN1CCCO[C@@H](C)C1 ZINC000563479892 1044266154 /nfs/dbraw/zinc/26/61/54/1044266154.db2.gz ODQWMTBXKIXCKP-SSUFTNFISA-N 0 3 313.363 4.220 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CN1CCCO[C@H](C)C1 ZINC000563479891 1044267453 /nfs/dbraw/zinc/26/74/53/1044267453.db2.gz ODQWMTBXKIXCKP-RNQWEJQRSA-N 0 3 313.363 4.220 20 0 DIADHN FC(F)(F)c1ccccc1CN[C@H](c1ccncc1)C1CC1 ZINC000934688995 1044272669 /nfs/dbraw/zinc/27/26/69/1044272669.db2.gz XQSMFBIXANYPLR-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(-c3cccnc3)cc2)cn1 ZINC000360466758 1044274536 /nfs/dbraw/zinc/27/45/36/1044274536.db2.gz NYOJXXJEQKLLGU-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN C[C@@H](NCCC(=O)N(C)c1ccccc1)c1cc2ccccc2o1 ZINC000360480973 1044275573 /nfs/dbraw/zinc/27/55/73/1044275573.db2.gz CMPWGEDNTJXZDE-OAHLLOKOSA-N 0 3 322.408 4.137 20 0 DIADHN Cc1cc(CN(C)Cc2c[nH]nc2-c2ccsc2)ccc1F ZINC000563504700 1044276633 /nfs/dbraw/zinc/27/66/33/1044276633.db2.gz YCUGUJWFFPJTBF-UHFFFAOYSA-N 0 3 315.417 4.218 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1cc2ccccc2[nH]c1=O ZINC001137187193 1044276751 /nfs/dbraw/zinc/27/67/51/1044276751.db2.gz HEJBVONRGDJNOY-UHFFFAOYSA-N 0 3 310.372 4.102 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)N[C@H]2C[C@@H]2C(F)F)cc1Cl ZINC000563557250 1044280598 /nfs/dbraw/zinc/28/05/98/1044280598.db2.gz LSVXNMDOOQFYKY-CDMKHQONSA-N 0 3 303.780 4.431 20 0 DIADHN C[C@H](NC[C@]1(CO)C[C@@H]1c1ccccc1)c1ccccc1Cl ZINC000563575061 1044283321 /nfs/dbraw/zinc/28/33/21/1044283321.db2.gz YVPHBYYQUFPJQK-YJLNNSPDSA-N 0 3 315.844 4.157 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCOc3ccc(C)cc32)cc1 ZINC000360573790 1044286827 /nfs/dbraw/zinc/28/68/27/1044286827.db2.gz HVHFHZKVMYEAMB-LJQANCHMSA-N 0 3 311.425 4.397 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](CC(=O)N(C)C)c2ccccc2)c(C)c1 ZINC000360578035 1044287048 /nfs/dbraw/zinc/28/70/48/1044287048.db2.gz FNSZUAFTGMOPIJ-YLJYHZDGSA-N 0 3 324.468 4.174 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1coc(-c2c(F)cccc2F)n1 ZINC000475159661 1044288225 /nfs/dbraw/zinc/28/82/25/1044288225.db2.gz QVFYEXJKGKQRAQ-IAQYHMDHSA-N 0 3 306.356 4.288 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@H]3CCCC[C@@H]3C(C)C)o2)cc1 ZINC000475158034 1044289053 /nfs/dbraw/zinc/28/90/53/1044289053.db2.gz WHQAFJKZZXXUNI-SJORKVTESA-N 0 3 313.445 4.349 20 0 DIADHN Oc1cccc2sc(CN[C@@H]3CCCc4cccnc43)cc21 ZINC000897070399 1044294066 /nfs/dbraw/zinc/29/40/66/1044294066.db2.gz GYESLZXNTMHOPA-OAHLLOKOSA-N 0 3 310.422 4.169 20 0 DIADHN FC(F)Oc1cccc(CN[C@@H](c2cccnc2)C2CCC2)c1 ZINC000360657681 1044296352 /nfs/dbraw/zinc/29/63/52/1044296352.db2.gz PEVYRWOBJQRVJT-QGZVFWFLSA-N 0 3 318.367 4.314 20 0 DIADHN CC1(C)CC[C@H](N[C@@H](CO)c2ccc(F)cc2)c2ccccc21 ZINC000360662517 1044297316 /nfs/dbraw/zinc/29/73/16/1044297316.db2.gz GLFKFSJLLXZKBB-OALUTQOASA-N 0 3 313.416 4.261 20 0 DIADHN C[C@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc(-n2cccn2)cc1 ZINC000360687365 1044298346 /nfs/dbraw/zinc/29/83/46/1044298346.db2.gz CASITFMOWOJAJM-BBRMVZONSA-N 0 3 323.362 4.035 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](Cn2ccnc2)c2ccccc2)c1 ZINC000883386915 1044299210 /nfs/dbraw/zinc/29/92/10/1044299210.db2.gz OPDYEOKTOWHRAK-XLIONFOSSA-N 0 3 305.425 4.284 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883387559 1044299256 /nfs/dbraw/zinc/29/92/56/1044299256.db2.gz ZQHVKWJLBROTFP-PMACEKPBSA-N 0 3 321.399 4.041 20 0 DIADHN CC[C@@H](N[C@H](Cn1ccnc1)c1ccccc1)c1ccccc1 ZINC000883386163 1044301429 /nfs/dbraw/zinc/30/14/29/1044301429.db2.gz ASIKYTDOEXNHQN-WOJBJXKFSA-N 0 3 305.425 4.365 20 0 DIADHN c1cn(C[C@@H](NCc2cccc(C3CC3)c2)c2ccccc2)cn1 ZINC000883397501 1044304147 /nfs/dbraw/zinc/30/41/47/1044304147.db2.gz OBPBFGRYJBKQMJ-OAQYLSRUSA-N 0 3 317.436 4.292 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(C(C)(F)F)cc2)cc1O ZINC000293620963 1044307574 /nfs/dbraw/zinc/30/75/74/1044307574.db2.gz FSQGMHGQXODDRH-GFCCVEGCSA-N 0 3 321.367 4.363 20 0 DIADHN CC1(C)Nc2ccccc2[C@@H]1NCc1cc(F)cc2cccnc21 ZINC000883463709 1044307626 /nfs/dbraw/zinc/30/76/26/1044307626.db2.gz WSAMZWDZYIORMI-IBGZPJMESA-N 0 3 321.399 4.409 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(C(C)(F)F)cc2)cc1O ZINC000293620967 1044308298 /nfs/dbraw/zinc/30/82/98/1044308298.db2.gz FSQGMHGQXODDRH-LBPRGKRZSA-N 0 3 321.367 4.363 20 0 DIADHN CCc1cnc(CN[C@H]2CC[C@H](c3ccccc3F)C2)s1 ZINC000360773968 1044310015 /nfs/dbraw/zinc/31/00/15/1044310015.db2.gz VXHHAGCSMQBHNC-STQMWFEESA-N 0 3 304.434 4.271 20 0 DIADHN Fc1ccccc1[C@@H]1CC[C@@H](NCc2ncc(Cl)s2)C1 ZINC000360771759 1044310371 /nfs/dbraw/zinc/31/03/71/1044310371.db2.gz JXXOVWMYFYCEMV-GHMZBOCLSA-N 0 3 310.825 4.362 20 0 DIADHN Clc1cnn([C@@H]2CCN(Cc3cccc4ccccc43)C2)c1 ZINC000563752411 1044311233 /nfs/dbraw/zinc/31/12/33/1044311233.db2.gz GJRVYJMFJZQMNS-QGZVFWFLSA-N 0 3 311.816 4.137 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cnc3cccc(C)n23)c1 ZINC001137190855 1044314665 /nfs/dbraw/zinc/31/46/65/1044314665.db2.gz GKVPPJGTHZXQHB-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN C[C@@H]1CC(O)C[C@@H](C)N1Cc1cccc(SC(F)(F)F)c1 ZINC000759490317 1044314957 /nfs/dbraw/zinc/31/49/57/1044314957.db2.gz MMWLLHLWSWFIQE-GHMZBOCLSA-N 0 3 319.392 4.032 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1Cl)c1ccc(F)cc1F ZINC000132161803 1044315963 /nfs/dbraw/zinc/31/59/63/1044315963.db2.gz MBQWCXASGIRRQD-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN CSCc1cnc(CNC[C@H](C)Cc2cccs2)s1 ZINC000293724511 1044321112 /nfs/dbraw/zinc/32/11/12/1044321112.db2.gz YSTDMRAWCGBBNU-LLVKDONJSA-N 0 3 312.529 4.036 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2ccccc2c1)c1cccnc1 ZINC000293746518 1044322047 /nfs/dbraw/zinc/32/20/47/1044322047.db2.gz WHVJKOZVXPNQTQ-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1ccc(C(C)C)nc1C ZINC000293748464 1044322259 /nfs/dbraw/zinc/32/22/59/1044322259.db2.gz ILVJNIDWGWKODO-GOSISDBHSA-N 0 3 312.461 4.190 20 0 DIADHN CC[C@@H](NCc1ccnc(Br)c1)c1ccccc1 ZINC000293752722 1044322827 /nfs/dbraw/zinc/32/28/27/1044322827.db2.gz JAWBUWUWUIERRH-CQSZACIVSA-N 0 3 305.219 4.085 20 0 DIADHN FC(F)Oc1ccc(Cl)cc1CNCC1(C(F)F)CC1 ZINC000475208661 1044324975 /nfs/dbraw/zinc/32/49/75/1044324975.db2.gz DUDDCXKCUNFQNU-UHFFFAOYSA-N 0 3 311.706 4.076 20 0 DIADHN C[C@@H](NCc1ccc2c[nH]nc2c1)c1cccc(OC(F)F)c1 ZINC000237446133 1044329015 /nfs/dbraw/zinc/32/90/15/1044329015.db2.gz HRZZJDQGTJBJMD-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(O)c1)c1ccc(OC(F)F)cc1 ZINC000192845137 1044329940 /nfs/dbraw/zinc/32/99/40/1044329940.db2.gz ZDWHQUAQHJBWKA-NEPJUHHUSA-N 0 3 307.340 4.405 20 0 DIADHN COc1ccc([C@@H](NCc2ccccc2SC)C2CC2)cn1 ZINC000626180555 1044331070 /nfs/dbraw/zinc/33/10/70/1044331070.db2.gz WSRGKMBEVLGVJY-SFHVURJKSA-N 0 3 314.454 4.053 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2c1cccc2F)c1ccc(Cl)cc1 ZINC000348234432 1044335468 /nfs/dbraw/zinc/33/54/68/1044335468.db2.gz WOGWDLSHZMGOKM-ZWKOTPCHSA-N 0 3 319.807 4.180 20 0 DIADHN CCCOc1cccc(CN2CC[C@H](C(F)(F)F)[C@H]2C)c1 ZINC000475274274 1044337164 /nfs/dbraw/zinc/33/71/64/1044337164.db2.gz NCRSRHSUDQQNJG-DOMZBBRYSA-N 0 3 301.352 4.248 20 0 DIADHN c1[nH]c2ccccc2c1C1=CCN(CCOc2ccccc2)CC1 ZINC000935488245 1044337649 /nfs/dbraw/zinc/33/76/49/1044337649.db2.gz MIGLCPBLJOVLKW-UHFFFAOYSA-N 0 3 318.420 4.336 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H](C(F)(F)F)[C@@H]2C)cc1 ZINC000475281330 1044345064 /nfs/dbraw/zinc/34/50/64/1044345064.db2.gz CTRMTBVJYYHQMH-WFASDCNBSA-N 0 3 323.362 4.158 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3ccc(O)cc32)cc1Cl ZINC000361001409 1044345115 /nfs/dbraw/zinc/34/51/15/1044345115.db2.gz FNXKWWCXZAKFOC-QGZVFWFLSA-N 0 3 317.816 4.221 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000475285140 1044345568 /nfs/dbraw/zinc/34/55/68/1044345568.db2.gz XYNPEZZWJBJZKZ-SJLPKXTDSA-N 0 3 316.489 4.012 20 0 DIADHN OCC[C@H](N[C@@H]1CCCc2sccc21)c1ccc(Cl)cc1 ZINC000348245594 1044348144 /nfs/dbraw/zinc/34/81/44/1044348144.db2.gz POMZDGHGWZOLIH-JKSUJKDBSA-N 0 3 321.873 4.492 20 0 DIADHN CC/C=C\CNCc1c(C)nn(Cc2ccccc2Cl)c1C ZINC001202560495 1044349849 /nfs/dbraw/zinc/34/98/49/1044349849.db2.gz IUJNTVOCCYSTIX-YVMONPNESA-N 0 3 317.864 4.257 20 0 DIADHN Cc1cc(Br)c(CNCC[C@@H](C)F)cc1Cl ZINC001202602597 1044366856 /nfs/dbraw/zinc/36/68/56/1044366856.db2.gz HSYMBFOZXKAWPY-SECBINFHSA-N 0 3 308.622 4.249 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC(=Cc3ccc(F)c(F)c3)CC2)O1 ZINC000475316151 1044358552 /nfs/dbraw/zinc/35/85/52/1044358552.db2.gz LOOVGQBRPHKMBF-CZUORRHYSA-N 0 3 307.384 4.012 20 0 DIADHN Cc1csc(CN[C@@H](C)c2cncc(Br)c2)c1 ZINC000564227124 1044360146 /nfs/dbraw/zinc/36/01/46/1044360146.db2.gz KNSSWDMQMREWCS-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000475332699 1044364516 /nfs/dbraw/zinc/36/45/16/1044364516.db2.gz MOEIREHEVDZICW-NUJGCVRESA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](CC(C)(C)C)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC000475332706 1044364847 /nfs/dbraw/zinc/36/48/47/1044364847.db2.gz MOEIREHEVDZICW-SOLBZPMBSA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccc([C@@H]2CC[C@H](NCc3ncc(Cl)s3)C2)cc1 ZINC000475333699 1044365508 /nfs/dbraw/zinc/36/55/08/1044365508.db2.gz OFTLTOHXLZSAAD-YPMHNXCESA-N 0 3 310.825 4.362 20 0 DIADHN CC(C)(C)c1csc(CNCCc2ccc(F)cc2F)n1 ZINC000783568723 1044375622 /nfs/dbraw/zinc/37/56/22/1044375622.db2.gz DJFPNUXKPCGBSF-UHFFFAOYSA-N 0 3 310.413 4.051 20 0 DIADHN COC1(CCN[C@H](c2ccc(C)cc2)c2ccccn2)CCC1 ZINC000294081838 1044376224 /nfs/dbraw/zinc/37/62/24/1044376224.db2.gz LJHJWOSZHMZVFM-LJQANCHMSA-N 0 3 310.441 4.028 20 0 DIADHN CC[C@H](NCc1ccc(OCCOC)cc1)c1ccc(F)cc1 ZINC000107316538 1044378057 /nfs/dbraw/zinc/37/80/57/1044378057.db2.gz ZTUDNBTXJCFPII-IBGZPJMESA-N 0 3 317.404 4.092 20 0 DIADHN Cc1nn(-c2ccc(C)cc2)cc1CNCc1ccccc1C ZINC000655712182 1044378576 /nfs/dbraw/zinc/37/85/76/1044378576.db2.gz HBCPBDMINZBOSJ-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CN(CCC(=O)Nc1cccc2ccccc21)Cc1ccsc1 ZINC000028900167 1044383194 /nfs/dbraw/zinc/38/31/94/1044383194.db2.gz XASUWMQKRORRBD-UHFFFAOYSA-N 0 3 324.449 4.362 20 0 DIADHN CC(C)=CCC[C@H](C)CCNCc1nc2c(s1)COCC2 ZINC000897237699 1044385914 /nfs/dbraw/zinc/38/59/14/1044385914.db2.gz AKDFLLPWBPBDHR-AWEZNQCLSA-N 0 3 308.491 4.078 20 0 DIADHN Cc1n[nH]cc1CNCc1sc2c(cccc2Cl)c1C ZINC000294171857 1044388267 /nfs/dbraw/zinc/38/82/67/1044388267.db2.gz PYYBIQQWBXAHQH-UHFFFAOYSA-N 0 3 305.834 4.184 20 0 DIADHN CC(C)CC[C@@H](O)CN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000361306911 1044391287 /nfs/dbraw/zinc/39/12/87/1044391287.db2.gz ZNKBNIAUUHABBW-CABCVRRESA-N 0 3 321.358 4.206 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000564670178 1044396988 /nfs/dbraw/zinc/39/69/88/1044396988.db2.gz CMECQVROLAHCDP-IAGOWNOFSA-N 0 3 315.848 4.118 20 0 DIADHN Cc1ccncc1CN(C)CCCSCc1ccccc1 ZINC000361423576 1044401528 /nfs/dbraw/zinc/40/15/28/1044401528.db2.gz UZVPVJKLGWFWLL-UHFFFAOYSA-N 0 3 300.471 4.145 20 0 DIADHN CCOc1ccc(CN[C@@H]2C[C@@H](C)c3ccccc32)cc1OC ZINC000564745167 1044402063 /nfs/dbraw/zinc/40/20/63/1044402063.db2.gz JTSNYCUSDVOIRH-RDTXWAMCSA-N 0 3 311.425 4.432 20 0 DIADHN CC1=C[C@H](C)C[C@H](CNCc2nnc(-c3ccccc3)s2)C1 ZINC000564760178 1044402184 /nfs/dbraw/zinc/40/21/84/1044402184.db2.gz MCZCGOFMCDPZIU-ZFWWWQNUSA-N 0 3 313.470 4.287 20 0 DIADHN CC(C)C[C@H](NCc1cccc(-n2ccnc2)c1)c1ccccn1 ZINC000361483117 1044408121 /nfs/dbraw/zinc/40/81/21/1044408121.db2.gz CKNNRLSDTLPMCZ-FQEVSTJZSA-N 0 3 320.440 4.144 20 0 DIADHN CC(C)N(C)c1ccc(CN(C)[C@H]2CCc3ccccc32)cn1 ZINC000361502277 1044410587 /nfs/dbraw/zinc/41/05/87/1044410587.db2.gz WAULAYOURPAHBV-IBGZPJMESA-N 0 3 309.457 4.046 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cc(Cl)ccc1F ZINC000565140839 1044416820 /nfs/dbraw/zinc/41/68/20/1044416820.db2.gz XPQAREPXXZWGIN-UHFFFAOYSA-N 0 3 306.812 4.329 20 0 DIADHN CCN(C)c1ccc(CN2CCC[C@H]2c2ccc(C)s2)cn1 ZINC000361551528 1044416733 /nfs/dbraw/zinc/41/67/33/1044416733.db2.gz VWVDGUXFXHBHTL-INIZCTEOSA-N 0 3 315.486 4.245 20 0 DIADHN OC1(CN[C@@H](c2cc3ccccc3o2)c2ccccc2)CCCC1 ZINC000565319912 1044427582 /nfs/dbraw/zinc/42/75/82/1044427582.db2.gz CIQXRTQHJRGBTQ-HXUWFJFHSA-N 0 3 321.420 4.417 20 0 DIADHN C[C@@H](NC[C@H]1CCC=CO1)c1cc(F)c(Cl)cc1Cl ZINC000379980519 1044428650 /nfs/dbraw/zinc/42/86/50/1044428650.db2.gz XEAUQACMRKQDBW-NXEZZACHSA-N 0 3 304.192 4.476 20 0 DIADHN C[C@H](NC[C@@H]1SCCc2ccccc21)c1cn2ccccc2n1 ZINC000565491658 1044437692 /nfs/dbraw/zinc/43/76/92/1044437692.db2.gz MLHPFZSBCPBESL-KSSFIOAISA-N 0 3 323.465 4.016 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4cc(F)ccc43)CC2)c1 ZINC000565552978 1044441928 /nfs/dbraw/zinc/44/19/28/1044441928.db2.gz OKJHMVKBQHPRMF-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN Cc1ccc(CCNCc2ccc(F)c(Cl)c2Cl)cn1 ZINC000565576144 1044443735 /nfs/dbraw/zinc/44/37/35/1044443735.db2.gz BHIQKLHDBZVJPV-UHFFFAOYSA-N 0 3 313.203 4.168 20 0 DIADHN c1coc([C@H](NCCc2ccc3c(c2)CCO3)c2ccccc2)c1 ZINC000565567478 1044444169 /nfs/dbraw/zinc/44/41/69/1044444169.db2.gz SWCDWRKXJFLGKP-OAQYLSRUSA-N 0 3 319.404 4.136 20 0 DIADHN CC[C@H](CC(=O)Nc1ccccc1CN(C)C)c1ccccc1 ZINC000565612892 1044446057 /nfs/dbraw/zinc/44/60/57/1044446057.db2.gz DGMIQXHGAPOUKY-MRXNPFEDSA-N 0 3 310.441 4.271 20 0 DIADHN C[C@@H](NCCCC(=O)OC(C)(C)C)c1ccc2ccccc2n1 ZINC000361810469 1044448003 /nfs/dbraw/zinc/44/80/03/1044448003.db2.gz DPJMNESGKNSUJK-CQSZACIVSA-N 0 3 314.429 4.007 20 0 DIADHN Brc1cc(CN[C@H](c2ccccc2)C2CC2)ccn1 ZINC000294680433 1044448532 /nfs/dbraw/zinc/44/85/32/1044448532.db2.gz SNPDGBDCMHUUAH-MRXNPFEDSA-N 0 3 317.230 4.085 20 0 DIADHN CCN(CC)C(=O)[C@H](C)N[C@@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000361835057 1044450055 /nfs/dbraw/zinc/45/00/55/1044450055.db2.gz SOFBEKGUQGDEPS-YOEHRIQHSA-N 0 3 322.468 4.150 20 0 DIADHN CC[C@@H](CCO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361888178 1044455314 /nfs/dbraw/zinc/45/53/14/1044455314.db2.gz IEFDKDDKTNJALG-WPRPVWTQSA-N 0 3 308.274 4.183 20 0 DIADHN CC[C@H](CCO)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361888173 1044456111 /nfs/dbraw/zinc/45/61/11/1044456111.db2.gz IEFDKDDKTNJALG-PSASIEDQSA-N 0 3 308.274 4.183 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(SC)s2)cc1F ZINC000566038926 1044470537 /nfs/dbraw/zinc/47/05/37/1044470537.db2.gz RFQFDGFVQOCRHT-SNVBAGLBSA-N 0 3 311.447 4.469 20 0 DIADHN COc1ccsc1CN1C[C@H](C)C[C@@H]1c1cccc(OC)c1 ZINC000566034972 1044471526 /nfs/dbraw/zinc/47/15/26/1044471526.db2.gz MGOMQAMCCXKKEG-CZUORRHYSA-N 0 3 317.454 4.348 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@H]1COc2ccccc21 ZINC000566032128 1044471548 /nfs/dbraw/zinc/47/15/48/1044471548.db2.gz SZSDXUYVBTVVCY-NSHDSACASA-N 0 3 308.208 4.259 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](C)c2ccc(C)c(C)c2)c2ccccc21 ZINC000362033853 1044472228 /nfs/dbraw/zinc/47/22/28/1044472228.db2.gz VZOGMAQGMLIHRD-OXJNMPFZSA-N 0 3 322.452 4.452 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H]2CCc3ccc(C)cc32)c2ccccc21 ZINC000362032460 1044472343 /nfs/dbraw/zinc/47/23/43/1044472343.db2.gz MIQSQYWHWCMBHT-WOJBJXKFSA-N 0 3 320.436 4.070 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@H]1CC[C@@H](C)O1 ZINC001202691222 1044472865 /nfs/dbraw/zinc/47/28/65/1044472865.db2.gz GQIIZDPLGYYHDA-CRAIPNDOSA-N 0 3 322.468 4.109 20 0 DIADHN c1ccc(C2CCC(NCc3noc4c3CCCC4)CC2)cc1 ZINC000566060680 1044473107 /nfs/dbraw/zinc/47/31/07/1044473107.db2.gz IXTXMTYMKADFOE-UHFFFAOYSA-N 0 3 310.441 4.369 20 0 DIADHN c1sc(C2CCCC2)nc1CN1CCC[C@H]1[C@H]1CCCOC1 ZINC000566172764 1044475936 /nfs/dbraw/zinc/47/59/36/1044475936.db2.gz FYZFJONZFGYUOK-RDJZCZTQSA-N 0 3 320.502 4.192 20 0 DIADHN CCC[C@H](NC[C@@H]1CSc2ccccc2O1)c1ccccn1 ZINC000566220006 1044478381 /nfs/dbraw/zinc/47/83/81/1044478381.db2.gz HMFYQLYGRJQNNV-CABCVRRESA-N 0 3 314.454 4.066 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccnc(Cl)c2)cc1 ZINC000134033052 1044483368 /nfs/dbraw/zinc/48/33/68/1044483368.db2.gz ASXIKWPDQZGKHI-CYBMUJFWSA-N 0 3 304.821 4.373 20 0 DIADHN Fc1ccc(C2CC(NCc3cc(F)cnc3Cl)C2)cc1 ZINC000295061591 1044486075 /nfs/dbraw/zinc/48/60/75/1044486075.db2.gz GOPJSRAACKTQKN-UHFFFAOYSA-N 0 3 308.759 4.049 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc(OC(F)F)cc2)CC1 ZINC000118972302 1044487033 /nfs/dbraw/zinc/48/70/33/1044487033.db2.gz IBDKGVATRCPYBK-UHFFFAOYSA-N 0 3 313.388 4.068 20 0 DIADHN Cc1ccccc1C1CC(N(Cc2cncc(F)c2)C2CC2)C1 ZINC000566410875 1044489128 /nfs/dbraw/zinc/48/91/28/1044489128.db2.gz MYMFUWACAAYDIR-UHFFFAOYSA-N 0 3 310.416 4.440 20 0 DIADHN COCCOc1ccc([C@H](C)NCc2ccc(F)c(C)c2)cc1 ZINC000119400291 1044495802 /nfs/dbraw/zinc/49/58/02/1044495802.db2.gz IEYZXLWKUZSOMZ-HNNXBMFYSA-N 0 3 317.404 4.010 20 0 DIADHN COCCOc1ccc([C@@H](C)NCc2ccc(F)c(C)c2)cc1 ZINC000119400417 1044496120 /nfs/dbraw/zinc/49/61/20/1044496120.db2.gz IEYZXLWKUZSOMZ-OAHLLOKOSA-N 0 3 317.404 4.010 20 0 DIADHN COc1ccc2nc(CN([C@H](C)C3CC3)C3CC3)sc2c1 ZINC000475557772 1044498418 /nfs/dbraw/zinc/49/84/18/1044498418.db2.gz DRFVXLHYSREWHA-LLVKDONJSA-N 0 3 302.443 4.068 20 0 DIADHN Cc1cc(CN2CCC[C@H]2c2ccccn2)cc2cccnc12 ZINC000566586419 1044499957 /nfs/dbraw/zinc/49/99/57/1044499957.db2.gz QCZGQUUPUUOHLO-IBGZPJMESA-N 0 3 303.409 4.275 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1noc2c1CCCC2 ZINC000121424635 1044514436 /nfs/dbraw/zinc/51/44/36/1044514436.db2.gz PHVBOGGQYDFVIM-HIFRSBDPSA-N 0 3 314.404 4.071 20 0 DIADHN CC(C)COc1ccccc1CN1CC[C@H](Nc2ccccc2)C1 ZINC000566753424 1044514766 /nfs/dbraw/zinc/51/47/66/1044514766.db2.gz XWYMHFXXYYRUJW-FQEVSTJZSA-N 0 3 324.468 4.408 20 0 DIADHN Clc1sccc1CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000566769763 1044515823 /nfs/dbraw/zinc/51/58/23/1044515823.db2.gz RZCQGMTWDODGHE-OAHLLOKOSA-N 0 3 318.873 4.039 20 0 DIADHN Cc1ccncc1CCCN(C)Cc1cc(Cl)ccc1F ZINC000566822841 1044519735 /nfs/dbraw/zinc/51/97/35/1044519735.db2.gz FGPDLCAKOAIFMX-UHFFFAOYSA-N 0 3 306.812 4.247 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)CCCC1CCCC1)c1ccco1 ZINC000566934428 1044527201 /nfs/dbraw/zinc/52/72/01/1044527201.db2.gz HTWDKOZZHYDZTM-NVXWUHKLSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ccc2nc(CN3C[C@H]4CC[C@@H](C3)C4(F)F)ccc2c1 ZINC001137211669 1044533531 /nfs/dbraw/zinc/53/35/31/1044533531.db2.gz WSZZGEYSTUMEGD-GASCZTMLSA-N 0 3 302.368 4.020 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000567065670 1044539863 /nfs/dbraw/zinc/53/98/63/1044539863.db2.gz HBGAZNLGKYUUFI-WMZOPIPTSA-N 0 3 314.473 4.259 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2ncc(C)s2)cc1 ZINC000135161725 1044541212 /nfs/dbraw/zinc/54/12/12/1044541212.db2.gz DARMYGXSSIQPBJ-GXTWGEPZSA-N 0 3 304.459 4.132 20 0 DIADHN CCCC[C@@H](CCC)C[NH2+][C@H](c1nnc[n-]1)c1ccccc1 ZINC000567142187 1044546274 /nfs/dbraw/zinc/54/62/74/1044546274.db2.gz HVHUUNWULCFCSQ-WBVHZDCISA-N 0 3 300.450 4.090 20 0 DIADHN Fc1ccc(CNCc2cncc3ccccc32)cc1Cl ZINC000135377569 1044548875 /nfs/dbraw/zinc/54/88/75/1044548875.db2.gz KBRYNARFILMBCX-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)CCC(C)(C)C)c1ccsc1 ZINC000523121566 1044550006 /nfs/dbraw/zinc/55/00/06/1044550006.db2.gz GFPDRJHDBCNNGY-HNNXBMFYSA-N 0 3 310.507 4.074 20 0 DIADHN Cc1cccc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)c1F ZINC000295739007 1044554414 /nfs/dbraw/zinc/55/44/14/1044554414.db2.gz ORDAMAXFBMYUGO-QFBILLFUSA-N 0 3 313.416 4.392 20 0 DIADHN CC[C@H](NCc1ccc(N(CC)CC)nc1)c1ccsc1 ZINC000295771382 1044557817 /nfs/dbraw/zinc/55/78/17/1044557817.db2.gz AGPAKQZBWRNHSU-INIZCTEOSA-N 0 3 303.475 4.230 20 0 DIADHN COC[C@H](NCCc1ccsc1)c1cccc(Cl)c1F ZINC000567368687 1044560250 /nfs/dbraw/zinc/56/02/50/1044560250.db2.gz CDKXPJKBGRRUOW-AWEZNQCLSA-N 0 3 313.825 4.060 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1cccc2cccnc21 ZINC001648973113 1044560499 /nfs/dbraw/zinc/56/04/99/1044560499.db2.gz CXWISTVMDDYFRQ-UHFFFAOYSA-N 0 3 322.456 4.093 20 0 DIADHN C[C@@H]1C[C@@H](NCCCc2cccc(C(F)(F)F)c2)c2nccn21 ZINC000295812230 1044560777 /nfs/dbraw/zinc/56/07/77/1044560777.db2.gz CUVLIJRTVWOUOV-IUODEOHRSA-N 0 3 323.362 4.130 20 0 DIADHN C[C@H]1C[C@@H](NCCCc2cccc(C(F)(F)F)c2)c2nccn21 ZINC000295812231 1044561890 /nfs/dbraw/zinc/56/18/90/1044561890.db2.gz CUVLIJRTVWOUOV-SWLSCSKDSA-N 0 3 323.362 4.130 20 0 DIADHN CCOc1ccc(CN[C@@H]2CCCc3nc(Cl)ccc32)cc1 ZINC000295812266 1044562089 /nfs/dbraw/zinc/56/20/89/1044562089.db2.gz CWHDDDRZJALYRT-MRXNPFEDSA-N 0 3 316.832 4.301 20 0 DIADHN Cc1cc2cc(CNCc3c[nH]nc3-c3ccsc3)ccc2[nH]1 ZINC000353128171 1044562838 /nfs/dbraw/zinc/56/28/38/1044562838.db2.gz QUXFYALTIWLQOA-UHFFFAOYSA-N 0 3 322.437 4.218 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000295822730 1044562849 /nfs/dbraw/zinc/56/28/49/1044562849.db2.gz BYPYGXGFWYNVPA-KBXCAEBGSA-N 0 3 301.434 4.104 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2CCCc3c4cc(C)ccc4[nH]c32)cn1 ZINC000295830000 1044563034 /nfs/dbraw/zinc/56/30/34/1044563034.db2.gz CLRSLNGRHLYERW-KUHUBIRLSA-N 0 3 322.456 4.421 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1nc(-c2ccccc2F)no1 ZINC000444591655 1044570338 /nfs/dbraw/zinc/57/03/38/1044570338.db2.gz DGLZMOGZQXAVGJ-WWGRRREGSA-N 0 3 315.392 4.029 20 0 DIADHN CC[C@H](NCc1ccc(Cn2cccn2)cc1)c1ccsc1 ZINC000295944716 1044575908 /nfs/dbraw/zinc/57/59/08/1044575908.db2.gz LARYHESPRFNCPX-SFHVURJKSA-N 0 3 311.454 4.234 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1ccc(C2CCC2)cc1 ZINC000567712575 1044580458 /nfs/dbraw/zinc/58/04/58/1044580458.db2.gz CHGLRFWKAZRNKP-KRWDZBQOSA-N 0 3 301.455 4.147 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)c2ccsc2)cc1OC ZINC000296033860 1044584847 /nfs/dbraw/zinc/58/48/47/1044584847.db2.gz QHCZLUKIGWGUGY-HNNXBMFYSA-N 0 3 305.443 4.396 20 0 DIADHN COc1ccc(NC(=O)NCCP(C(C)C)C(C)C)c(C)c1 ZINC001202732965 1044584887 /nfs/dbraw/zinc/58/48/87/1044584887.db2.gz HIQRHFDJZHEIDM-UHFFFAOYSA-N 0 3 324.405 4.424 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)Cc2ccc3c(c2)CCC3)s1 ZINC000353641025 1044588629 /nfs/dbraw/zinc/58/86/29/1044588629.db2.gz DUYUONPTCSMXIS-CYBMUJFWSA-N 0 3 300.471 4.442 20 0 DIADHN Cc1ccc([C@H](NCC2CC(F)(F)C2)c2ccccn2)cc1 ZINC000296160861 1044593335 /nfs/dbraw/zinc/59/33/35/1044593335.db2.gz YRHIIODNMKWMBS-KRWDZBQOSA-N 0 3 302.368 4.114 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)CC(=O)Nc1c(C)cccc1Cl ZINC000444664211 1044593589 /nfs/dbraw/zinc/59/35/89/1044593589.db2.gz QEPXOJKOCSECES-GDBMZVCRSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1cc(CN(C)Cc2ccccc2N(C)C)cc2cccnc12 ZINC000567966190 1044593914 /nfs/dbraw/zinc/59/39/14/1044593914.db2.gz SUWYAZGLZJHWBT-UHFFFAOYSA-N 0 3 319.452 4.241 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)CC(=O)Nc1c(C)cccc1Cl ZINC000444664220 1044595639 /nfs/dbraw/zinc/59/56/39/1044595639.db2.gz QEPXOJKOCSECES-HOCLYGCPSA-N 0 3 322.880 4.488 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2C[C@]23CCOC3)s1 ZINC000885966755 1044598316 /nfs/dbraw/zinc/59/83/16/1044598316.db2.gz CNBXGUVLCVPQTI-YJBOKZPZSA-N 0 3 317.454 4.078 20 0 DIADHN CC(C)c1csc(CN[C@H]2CCOC3(CCCCC3)C2)n1 ZINC000886022616 1044599779 /nfs/dbraw/zinc/59/97/79/1044599779.db2.gz HEAAMGICGBHAHH-AWEZNQCLSA-N 0 3 308.491 4.238 20 0 DIADHN CCN(Cc1nccn1Cc1ccccc1)[C@@H]1CCCC[C@@H]1C ZINC000444679490 1044600324 /nfs/dbraw/zinc/60/03/24/1044600324.db2.gz VCQRXYYXRIUVRL-PKOBYXMFSA-N 0 3 311.473 4.332 20 0 DIADHN CCOc1ccccc1[C@H](CC(C)C)NCc1n[nH]c(C)c1C ZINC000886005420 1044600532 /nfs/dbraw/zinc/60/05/32/1044600532.db2.gz ALSZGIIRYYPJKB-KRWDZBQOSA-N 0 3 315.461 4.302 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](CC(F)(F)F)C2)c1 ZINC000444714182 1044602446 /nfs/dbraw/zinc/60/24/46/1044602446.db2.gz JFVOKJJFXSINDA-CQSZACIVSA-N 0 3 301.352 4.248 20 0 DIADHN CC(C)(C)[C@H](CCO)NCc1nc(C2CCCCC2)cs1 ZINC000444684745 1044602902 /nfs/dbraw/zinc/60/29/02/1044602902.db2.gz LUCXXQBUJFQVNF-HNNXBMFYSA-N 0 3 310.507 4.078 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000296261037 1044603001 /nfs/dbraw/zinc/60/30/01/1044603001.db2.gz BQUSUNKRFJBTFN-JLJPHGGASA-N 0 3 301.409 4.029 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccnc(C(F)(F)F)c2)cc1C ZINC000296286315 1044604577 /nfs/dbraw/zinc/60/45/77/1044604577.db2.gz FGCPRCVFGQWTKM-GFCCVEGCSA-N 0 3 324.346 4.268 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@@H](CC(F)(F)F)C2)cc1 ZINC000444693890 1044605794 /nfs/dbraw/zinc/60/57/94/1044605794.db2.gz AWNDUGXRRYMSHR-NSHDSACASA-N 0 3 309.278 4.062 20 0 DIADHN Fc1ccc(CN2CC[C@H](CC(F)(F)F)C2)c2ncccc12 ZINC000444705767 1044608725 /nfs/dbraw/zinc/60/87/25/1044608725.db2.gz NCMOFBCHJSPDFF-LLVKDONJSA-N 0 3 312.310 4.148 20 0 DIADHN COCc1cccc(CNCc2ccc(-c3ccncc3)cc2)c1 ZINC000363415892 1044613924 /nfs/dbraw/zinc/61/39/24/1044613924.db2.gz YUUCGKYBRBWEED-UHFFFAOYSA-N 0 3 318.420 4.185 20 0 DIADHN Cc1cc(CN[C@H](c2ccc3c(c2)OCCO3)C2CC2)cs1 ZINC000296441359 1044619296 /nfs/dbraw/zinc/61/92/96/1044619296.db2.gz NUQUBCYYOPCGJA-SFHVURJKSA-N 0 3 315.438 4.069 20 0 DIADHN COc1cc2ccccc2cc1CN1CCC(OC(C)C)CC1 ZINC000568354217 1044619685 /nfs/dbraw/zinc/61/96/85/1044619685.db2.gz XNLUEMMZBBEAFK-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN COc1ccc(-c2nc(CN3CCCC34CCC4)cs2)cc1 ZINC000444780728 1044620201 /nfs/dbraw/zinc/62/02/01/1044620201.db2.gz FJPIEDFKNXYGES-UHFFFAOYSA-N 0 3 314.454 4.337 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CCC[C@H](C(C)C)C3)o2)cc1 ZINC000444772544 1044622921 /nfs/dbraw/zinc/62/29/21/1044622921.db2.gz NXPBFUOHXSSTQR-WBVHZDCISA-N 0 3 313.445 4.474 20 0 DIADHN CC(C)N(Cc1coc(-c2cccc(F)c2)n1)CC1CCC1 ZINC000444768696 1044623608 /nfs/dbraw/zinc/62/36/08/1044623608.db2.gz XKWQSRWLIUSCJT-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN FC(F)(F)CC1CCN(C[C@H]2CCC3(CCC3)CO2)CC1 ZINC000886193990 1044624279 /nfs/dbraw/zinc/62/42/79/1044624279.db2.gz GVCIUGODPLQDMO-CQSZACIVSA-N 0 3 305.384 4.000 20 0 DIADHN CC(C)c1nc(CN[C@H]2CC(C)(C)CCc3ccccc32)n[nH]1 ZINC000296531049 1044624558 /nfs/dbraw/zinc/62/45/58/1044624558.db2.gz QLPBNVGXXDGHNO-INIZCTEOSA-N 0 3 312.461 4.122 20 0 DIADHN CC[C@@H](NC[C@H](C)Oc1ccccc1)c1ccc(F)cc1F ZINC000523388623 1044626199 /nfs/dbraw/zinc/62/61/99/1044626199.db2.gz SJDPBEDUCGRSBV-SCLBCKFNSA-N 0 3 305.368 4.473 20 0 DIADHN CC[C@H](NCCOc1cccc(F)c1)c1ccc(F)cc1F ZINC000523386785 1044626272 /nfs/dbraw/zinc/62/62/72/1044626272.db2.gz GHVOEEFEJJLSAR-KRWDZBQOSA-N 0 3 309.331 4.224 20 0 DIADHN CC(C)N(Cc1coc(-c2ccc(F)cc2)n1)CC1CCC1 ZINC000444778311 1044628058 /nfs/dbraw/zinc/62/80/58/1044628058.db2.gz SQTZAOUAMBTGDP-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN CCCCOCCN[C@@H](CC(F)(F)F)c1ccccc1C ZINC000444787808 1044631112 /nfs/dbraw/zinc/63/11/12/1044631112.db2.gz SHZGHEUURXDKJO-HNNXBMFYSA-N 0 3 303.368 4.395 20 0 DIADHN C[C@@H](NCCOC1CCCCC1)c1ccc(C(F)(F)F)nc1 ZINC000886315451 1044631277 /nfs/dbraw/zinc/63/12/77/1044631277.db2.gz MSNKSTJGZWSWCP-GFCCVEGCSA-N 0 3 316.367 4.100 20 0 DIADHN Clc1ccsc1CNCCc1nc2ccccc2s1 ZINC000568564730 1044635610 /nfs/dbraw/zinc/63/56/10/1044635610.db2.gz AJZKBMQJPGOUPI-UHFFFAOYSA-N 0 3 308.859 4.344 20 0 DIADHN C[C@@H](NCc1cc[nH]c1)c1cccc(SC(F)(F)F)c1 ZINC000886365985 1044636867 /nfs/dbraw/zinc/63/68/67/1044636867.db2.gz UUQFTRORSSZMNO-SNVBAGLBSA-N 0 3 300.349 4.477 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N([C@@H](C)C(=O)Nc2ccccc2)C1 ZINC000523441589 1044638119 /nfs/dbraw/zinc/63/81/19/1044638119.db2.gz ZUQFMXXARIHQAZ-OKZBNKHCSA-N 0 3 322.452 4.200 20 0 DIADHN C[C@H](CC(C)(C)O)N[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000296646425 1044638984 /nfs/dbraw/zinc/63/89/84/1044638984.db2.gz YMYUADBZZUUDFA-CABCVRRESA-N 0 3 314.429 4.074 20 0 DIADHN CCCCN(CC(=O)N1CCC[C@@H](C)C1)[C@H](C)c1ccccc1 ZINC000523450228 1044640690 /nfs/dbraw/zinc/64/06/90/1044640690.db2.gz MZGXSZJXEMNYEB-QZTJIDSGSA-N 0 3 316.489 4.108 20 0 DIADHN CC(C)c1nc(CN[C@@H](c2cccs2)C2CCCC2)n[nH]1 ZINC000296650508 1044640748 /nfs/dbraw/zinc/64/07/48/1044640748.db2.gz YVWPMWCIFXJRSB-OAHLLOKOSA-N 0 3 304.463 4.011 20 0 DIADHN C[C@H](N[C@H]1CCCc2c3cc(F)ccc3[nH]c21)c1cccnc1 ZINC000886491935 1044646657 /nfs/dbraw/zinc/64/66/57/1044646657.db2.gz XAISVUFNKOJRKW-SGTLLEGYSA-N 0 3 309.388 4.430 20 0 DIADHN C[C@@H]1C[C@@H](NCC2(c3ccccc3)CCCCC2)c2nccn21 ZINC000568717169 1044647195 /nfs/dbraw/zinc/64/71/95/1044647195.db2.gz OXLHSZPCQMQVKE-SJLPKXTDSA-N 0 3 309.457 4.381 20 0 DIADHN CC(C)(C)c1cnc(S[C@@H]2CCN(Cc3ccccc3)C2)o1 ZINC000568772042 1044648214 /nfs/dbraw/zinc/64/82/14/1044648214.db2.gz MLNNUELHBVQYBL-OAHLLOKOSA-N 0 3 316.470 4.339 20 0 DIADHN Cc1noc(C)c1CN1CCC(C)(c2cccc(Cl)c2)CC1 ZINC000475616788 1044651236 /nfs/dbraw/zinc/65/12/36/1044651236.db2.gz HSSRBJXEZCMHON-UHFFFAOYSA-N 0 3 318.848 4.499 20 0 DIADHN CCCNc1ccc(CNCc2ccccc2OC(F)F)cc1 ZINC000193152879 1044652747 /nfs/dbraw/zinc/65/27/47/1044652747.db2.gz QTCRIKITCWOWNB-UHFFFAOYSA-N 0 3 320.383 4.400 20 0 DIADHN O[C@H](CN1CCCC[C@@H]1c1nc2ccccc2o1)c1ccccc1 ZINC000363857300 1044653459 /nfs/dbraw/zinc/65/34/59/1044653459.db2.gz KQGANFDKGJIPFA-QZTJIDSGSA-N 0 3 322.408 4.088 20 0 DIADHN CCCNc1ccc(CNCc2cc(F)cc3cccnc32)cc1 ZINC000193152725 1044653923 /nfs/dbraw/zinc/65/39/23/1044653923.db2.gz AZYFGQAYGIFMIG-UHFFFAOYSA-N 0 3 323.415 4.486 20 0 DIADHN CCN(Cc1ccc(OCC2CC2)cc1)[C@H](C)c1cccnc1 ZINC000568918189 1044658585 /nfs/dbraw/zinc/65/85/85/1044658585.db2.gz VPRHBXRAULUACA-MRXNPFEDSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1n[nH]cc1CN[C@H]1CCCc2c3cccc(Cl)c3[nH]c21 ZINC000886550412 1044659124 /nfs/dbraw/zinc/65/91/24/1044659124.db2.gz ZKMUXWKVAOJGMJ-HNNXBMFYSA-N 0 3 314.820 4.020 20 0 DIADHN CCCCOc1cccc(CNCc2cc(C)c(O)c(C)c2)c1 ZINC000568924896 1044659992 /nfs/dbraw/zinc/65/99/92/1044659992.db2.gz DKBXTLKPONNAKE-UHFFFAOYSA-N 0 3 313.441 4.478 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)CC1(C)CCCCC1)c1ccco1 ZINC000568921884 1044660701 /nfs/dbraw/zinc/66/07/01/1044660701.db2.gz HFFQSFFBTFAOJE-JKSUJKDBSA-N 0 3 320.477 4.186 20 0 DIADHN COC(=O)c1cncc([C@H](C)N[C@H](CC2CC2)c2ccccc2)c1 ZINC000886574244 1044663051 /nfs/dbraw/zinc/66/30/51/1044663051.db2.gz WTBLGSMOOPUCBF-IFXJQAMLSA-N 0 3 324.424 4.060 20 0 DIADHN CCC(CC)N(Cc1csc(-c2ccccn2)n1)C1CC1 ZINC000523611972 1044666862 /nfs/dbraw/zinc/66/68/62/1044666862.db2.gz LJTVTNZWRKRHHC-UHFFFAOYSA-N 0 3 301.459 4.358 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)CC(=O)NCc1cccc(C)c1 ZINC000523612077 1044667304 /nfs/dbraw/zinc/66/73/04/1044667304.db2.gz WNWARKRAWPCFND-FQEVSTJZSA-N 0 3 324.468 4.084 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)CC(=O)NCc1cccc(C)c1 ZINC000523612078 1044667398 /nfs/dbraw/zinc/66/73/98/1044667398.db2.gz WNWARKRAWPCFND-HXUWFJFHSA-N 0 3 324.468 4.084 20 0 DIADHN CC(C)[C@H](NCc1ccc(C(C)(F)F)cc1)c1cnn(C)c1 ZINC000886614253 1044668679 /nfs/dbraw/zinc/66/86/79/1044668679.db2.gz QTSFWKOCYXGEQA-INIZCTEOSA-N 0 3 307.388 4.019 20 0 DIADHN CC(C)[C@H](N[C@H]1CCCc2c(F)cc(F)cc21)c1cnn(C)c1 ZINC000886615342 1044668816 /nfs/dbraw/zinc/66/88/16/1044668816.db2.gz DOXKXIPOBNLYLU-ROUUACIJSA-N 0 3 319.399 4.063 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCCc3nc(C)sc32)cc1C ZINC000364688501 1044674606 /nfs/dbraw/zinc/67/46/06/1044674606.db2.gz BDJJJHARPZXERD-WFASDCNBSA-N 0 3 316.470 4.497 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3nc(C)sc32)cc1C ZINC000364688498 1044674765 /nfs/dbraw/zinc/67/47/65/1044674765.db2.gz BDJJJHARPZXERD-DOMZBBRYSA-N 0 3 316.470 4.497 20 0 DIADHN CC(C)[C@H]([NH2+]Cc1ncccc1[O-])c1cccc(C(F)(F)F)c1 ZINC000569172622 1044680683 /nfs/dbraw/zinc/68/06/83/1044680683.db2.gz YDVJCTAMQNGUKH-INIZCTEOSA-N 0 3 324.346 4.293 20 0 DIADHN C[C@@H]1C[C@@H](NC2(c3ccc(Cl)cc3Cl)CC2)CCO1 ZINC001168083074 1044681881 /nfs/dbraw/zinc/68/18/81/1044681881.db2.gz HCNDDDKMQCFMEO-PWSUYJOCSA-N 0 3 300.229 4.140 20 0 DIADHN CC(C)[C@@H]([NH2+]Cc1ncccc1[O-])c1cccc(C(F)(F)F)c1 ZINC000569172623 1044681964 /nfs/dbraw/zinc/68/19/64/1044681964.db2.gz YDVJCTAMQNGUKH-MRXNPFEDSA-N 0 3 324.346 4.293 20 0 DIADHN c1ccc(C2=CCCN(CCSC3CCCCC3)C2)nc1 ZINC000569183641 1044681990 /nfs/dbraw/zinc/68/19/90/1044681990.db2.gz GEQSDEZIJRJAJS-UHFFFAOYSA-N 0 3 302.487 4.237 20 0 DIADHN FC(F)c1cccc(CN2CC[C@@]3(C2)OCc2ccccc23)c1 ZINC000365302465 1044685004 /nfs/dbraw/zinc/68/50/04/1044685004.db2.gz BWJPQYZTSPZFDG-IBGZPJMESA-N 0 3 315.363 4.256 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000569259293 1044687440 /nfs/dbraw/zinc/68/74/40/1044687440.db2.gz YEKIWVGOLQRFAX-YVEFUNNKSA-N 0 3 301.821 4.240 20 0 DIADHN C[C@@H](CCc1cccc(F)c1)N1CCCC[C@H]1c1cc[nH]n1 ZINC000569273047 1044688301 /nfs/dbraw/zinc/68/83/01/1044688301.db2.gz PRDAXACKTKQSKE-KSSFIOAISA-N 0 3 301.409 4.097 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N1CCCC[C@@H]1c1cc[nH]n1 ZINC000569273050 1044689314 /nfs/dbraw/zinc/68/93/14/1044689314.db2.gz PRDAXACKTKQSKE-RDTXWAMCSA-N 0 3 301.409 4.097 20 0 DIADHN Cc1nc([C@@H](C)NC[C@]2(C)CCOC3(CCC3)C2)c(C)s1 ZINC000886774613 1044697204 /nfs/dbraw/zinc/69/72/04/1044697204.db2.gz RBDMEEBNVAFZHD-MLGOLLRUSA-N 0 3 308.491 4.150 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H](C)CCc3ccco3)co2)cc1 ZINC000155388002 1044699518 /nfs/dbraw/zinc/69/95/18/1044699518.db2.gz KTXWMRHDNXNRGF-HNNXBMFYSA-N 0 3 310.397 4.354 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C)[C@@H]1C ZINC000365787390 1044703106 /nfs/dbraw/zinc/70/31/06/1044703106.db2.gz OBSYCDNOLPBZOS-GRYCIOLGSA-N 0 3 305.809 4.181 20 0 DIADHN Cc1ccc(CNCc2cccc(COc3ccccc3)c2)cn1 ZINC000155534518 1044704413 /nfs/dbraw/zinc/70/44/13/1044704413.db2.gz QISIZYLEIYHHTR-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN C[C@H]([NH2+]Cc1ncccc1[O-])c1ccc(Oc2ccccc2)cc1 ZINC000569741901 1044709482 /nfs/dbraw/zinc/70/94/82/1044709482.db2.gz YAMLBLHWEQPDMB-HNNXBMFYSA-N 0 3 320.392 4.430 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H]([C@@H](C)O)C1 ZINC000445412987 1044711805 /nfs/dbraw/zinc/71/18/05/1044711805.db2.gz RTKVLAVRZRBRCM-JRPNMDOOSA-N 0 3 302.245 4.147 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@@H](C)c1ccc(C)s1 ZINC000366210639 1044713357 /nfs/dbraw/zinc/71/33/57/1044713357.db2.gz OUKQDGSUJDSDRX-WFASDCNBSA-N 0 3 303.427 4.240 20 0 DIADHN CC[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cccc(F)c1 ZINC000569837865 1044714057 /nfs/dbraw/zinc/71/40/57/1044714057.db2.gz HNGQDSQDEFFLPA-RTBURBONSA-N 0 3 318.411 4.308 20 0 DIADHN CC[C@H](NCc1cc(=O)c(OC)co1)c1cccc2ccccc21 ZINC000886917801 1044717293 /nfs/dbraw/zinc/71/72/93/1044717293.db2.gz KTMYUBYDTFOGRQ-SFHVURJKSA-N 0 3 323.392 4.043 20 0 DIADHN FC(F)(F)c1cccc(Cl)c1CN[C@@H]1CCCSC1 ZINC001257658912 1044727703 /nfs/dbraw/zinc/72/77/03/1044727703.db2.gz BNPFENQYRKMYTR-SECBINFHSA-N 0 3 309.784 4.344 20 0 DIADHN CC1(C)CN([C@@H]2CCCc3ccc(F)cc32)[C@H]1[C@H]1CCCO1 ZINC000570087993 1044730700 /nfs/dbraw/zinc/73/07/00/1044730700.db2.gz KXARGLAXFBSFQW-KURKYZTESA-N 0 3 303.421 4.093 20 0 DIADHN c1cc2cc(CN3CC[C@]4(C3)OCc3ccccc34)ccc2o1 ZINC000367373152 1044738631 /nfs/dbraw/zinc/73/86/31/1044738631.db2.gz WJIQWGVHAQMCIT-HXUWFJFHSA-N 0 3 305.377 4.064 20 0 DIADHN CC[C@@H](C)C(=O)Nc1cccc(CN[C@@H]2CCc3ccccc32)c1 ZINC000089513692 1044740550 /nfs/dbraw/zinc/74/05/50/1044740550.db2.gz FCRKWHKOLYRPIL-FOIQADDNSA-N 0 3 322.452 4.448 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)c1cccc(N2CCCC2=O)c1 ZINC000089552928 1044741341 /nfs/dbraw/zinc/74/13/41/1044741341.db2.gz KYCKDMZFLWZWSG-JKSUJKDBSA-N 0 3 308.425 4.225 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000089553286 1044742224 /nfs/dbraw/zinc/74/22/24/1044742224.db2.gz KYCKDMZFLWZWSG-CVEARBPZSA-N 0 3 308.425 4.225 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2cc(C)cc(C)c2)c2ccccc21 ZINC000367572105 1044747145 /nfs/dbraw/zinc/74/71/45/1044747145.db2.gz ZHVVYLYQAXDADS-OXQOHEQNSA-N 0 3 322.452 4.452 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2ccc3occc3c2)c2ccccc21 ZINC000367604236 1044747344 /nfs/dbraw/zinc/74/73/44/1044747344.db2.gz SXOOGRUGPXUCMR-GOSISDBHSA-N 0 3 320.392 4.020 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)N[C@@H](C)c2cc(C)ccn2)cc1 ZINC000367601859 1044748146 /nfs/dbraw/zinc/74/81/46/1044748146.db2.gz QADRMQAZVHQKRW-HOTGVXAUSA-N 0 3 320.440 4.296 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2cccs2)c1 ZINC000090719006 1044750210 /nfs/dbraw/zinc/75/02/10/1044750210.db2.gz CKWVECXEDXCMCL-AWEZNQCLSA-N 0 3 305.443 4.014 20 0 DIADHN Fc1cccc(F)c1-c1nc(CNC2(C3CC3)CCC2)co1 ZINC000887147598 1044754820 /nfs/dbraw/zinc/75/48/20/1044754820.db2.gz SZBLMDKTEVUQPL-UHFFFAOYSA-N 0 3 304.340 4.042 20 0 DIADHN CCN(CCc1cccs1)Cc1cn2cc(Cl)ccc2n1 ZINC000524166994 1044757320 /nfs/dbraw/zinc/75/73/20/1044757320.db2.gz IPITXVBZQGVJCA-UHFFFAOYSA-N 0 3 319.861 4.114 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCCc3ccc(O)cc31)CCC2 ZINC000367893875 1044758766 /nfs/dbraw/zinc/75/87/66/1044758766.db2.gz ATSAGWCQMVHROV-WBVHZDCISA-N 0 3 314.454 4.202 20 0 DIADHN CCCCC[C@H](O)CNCc1c(Cl)cccc1C(F)(F)F ZINC001253553896 1044761377 /nfs/dbraw/zinc/76/13/77/1044761377.db2.gz MNAHMICWALZKJG-NSHDSACASA-N 0 3 323.786 4.390 20 0 DIADHN CCCCN(Cc1nnc(C)n1CCC)[C@H]1CCC[C@@H](C)C1 ZINC000570499751 1044762156 /nfs/dbraw/zinc/76/21/56/1044762156.db2.gz LNYZEEUOTWXZIJ-WBVHZDCISA-N 0 3 306.498 4.177 20 0 DIADHN O=c1cc(C[NH2+]C2(c3ccccc3)CCCCC2)nc(C2CC2)[n-]1 ZINC000570536123 1044764960 /nfs/dbraw/zinc/76/49/60/1044764960.db2.gz GCRMCGREOGXBOG-UHFFFAOYSA-N 0 3 323.440 4.009 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccccc2COC)s1 ZINC000122020990 1044770164 /nfs/dbraw/zinc/77/01/64/1044770164.db2.gz OPXJHRRALONCHL-GFCCVEGCSA-N 0 3 304.459 4.011 20 0 DIADHN C[C@@H](NCc1ccn(-c2ccccc2)n1)[C@@H]1C[C@H]1c1ccccc1 ZINC000570690658 1044774329 /nfs/dbraw/zinc/77/43/29/1044774329.db2.gz VOFHVDWBXFVEBT-CZAAIQMYSA-N 0 3 317.436 4.154 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1sc(CC)nc1C ZINC000122612872 1044776355 /nfs/dbraw/zinc/77/63/55/1044776355.db2.gz GNNLWAYFEJSGDX-ZDUSSCGKSA-N 0 3 318.486 4.401 20 0 DIADHN Cc1cc([C@H](C)NCc2ccccc2-c2cnn(C)c2)oc1C ZINC000368522587 1044779351 /nfs/dbraw/zinc/77/93/51/1044779351.db2.gz PSCLJDXPPMPNLQ-AWEZNQCLSA-N 0 3 309.413 4.148 20 0 DIADHN Cc1ccccc1[C@H](NCc1n[nH]c(C2CC2)n1)C1CCCC1 ZINC000570811721 1044782728 /nfs/dbraw/zinc/78/27/28/1044782728.db2.gz KWEKFOUNUSJGFK-GOSISDBHSA-N 0 3 310.445 4.012 20 0 DIADHN C[C@@H](NCCSC(F)(F)F)c1ccccc1OC(F)F ZINC000222537127 1044787999 /nfs/dbraw/zinc/78/79/99/1044787999.db2.gz QGCRWOWOZRJYNT-MRVPVSSYSA-N 0 3 315.307 4.192 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@H](C)N2CCC3(C2)CCCCC3)c1 ZINC000571132859 1044799228 /nfs/dbraw/zinc/79/92/28/1044799228.db2.gz FTLXSCWIDXROJJ-KRWDZBQOSA-N 0 3 314.473 4.287 20 0 DIADHN CSc1ccc(OCCN2CCC[C@H]2c2ccncc2)cc1 ZINC000125380797 1044801867 /nfs/dbraw/zinc/80/18/67/1044801867.db2.gz OVPWVKOJNIOMBH-SFHVURJKSA-N 0 3 314.454 4.019 20 0 DIADHN Cc1ncsc1CCN1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000369547961 1044806671 /nfs/dbraw/zinc/80/66/71/1044806671.db2.gz HLIVILVICLKPDE-OAHLLOKOSA-N 0 3 308.397 4.109 20 0 DIADHN Cc1csc(CN[C@H](C)[C@H](C)c2nc3ccccc3s2)n1 ZINC000126246108 1044811098 /nfs/dbraw/zinc/81/10/98/1044811098.db2.gz LJDVHWDPVRKIPP-NWDGAFQWSA-N 0 3 317.483 4.343 20 0 DIADHN OC1(CCN2CCC(c3c(Cl)cccc3Cl)CC2)CC1 ZINC000571456027 1044814277 /nfs/dbraw/zinc/81/42/77/1044814277.db2.gz BDNNHVSYMXBSRV-UHFFFAOYSA-N 0 3 314.256 4.088 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2nc3ccccc3s2)C1(C)C ZINC000300412755 1044823162 /nfs/dbraw/zinc/82/31/62/1044823162.db2.gz VONNFNQKWRIVJR-KCPJHIHWSA-N 0 3 304.459 4.151 20 0 DIADHN C[C@@H](NC[C@H]1COc2ccccc21)c1ccc(F)cc1Cl ZINC000571717940 1044823824 /nfs/dbraw/zinc/82/38/24/1044823824.db2.gz AYTIHSGBVKXTTA-NEPJUHHUSA-N 0 3 305.780 4.306 20 0 DIADHN CCCC(=O)NC[C@@H]1CCCN([C@H](C)c2cccc(Cl)c2)C1 ZINC000263801588 1044827198 /nfs/dbraw/zinc/82/71/98/1044827198.db2.gz ZMWDHUJYCUUWMF-CABCVRRESA-N 0 3 322.880 4.029 20 0 DIADHN c1csc([C@H](NCc2cncn2Cc2ccccc2)C2CC2)c1 ZINC000445822864 1044830306 /nfs/dbraw/zinc/83/03/06/1044830306.db2.gz XQFGXCWVRDFVLM-LJQANCHMSA-N 0 3 323.465 4.234 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C1CCC(C)(C)CC1)c1ccco1 ZINC000571988305 1044837672 /nfs/dbraw/zinc/83/76/72/1044837672.db2.gz AIZYDODJKFQXDV-GOEBONIOSA-N 0 3 320.477 4.041 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CCCC2(CCCC2)C1 ZINC000445847037 1044837853 /nfs/dbraw/zinc/83/78/53/1044837853.db2.gz AESZDYUZRRXPMX-HNNXBMFYSA-N 0 3 311.429 4.454 20 0 DIADHN Fc1cccc([C@H](NCc2cncc(F)c2)C2CCCC2)c1 ZINC000572068359 1044839482 /nfs/dbraw/zinc/83/94/82/1044839482.db2.gz YWGIRCNHQDUSBW-GOSISDBHSA-N 0 3 302.368 4.381 20 0 DIADHN Cc1ccccc1[C@@H](CO)N[C@H]1CCCc2c3ccccc3[nH]c21 ZINC000572201018 1044846851 /nfs/dbraw/zinc/84/68/51/1044846851.db2.gz QEGHHZMXIIPOBL-VQTJNVASSA-N 0 3 320.436 4.177 20 0 DIADHN CCC1CCC(CNC(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC000524540045 1044861069 /nfs/dbraw/zinc/86/10/69/1044861069.db2.gz FEAVAUFGKLOFCN-UHFFFAOYSA-N 0 3 317.477 4.086 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC(F)(F)[C@H](C)C1 ZINC000572450050 1044860902 /nfs/dbraw/zinc/86/09/02/1044860902.db2.gz PHWBXXYQEZNHRI-CYBMUJFWSA-N 0 3 305.368 4.326 20 0 DIADHN CO[C@@H](CN(Cc1ccccn1)C(C)C)c1ccc(Cl)cc1 ZINC000572500149 1044861031 /nfs/dbraw/zinc/86/10/31/1044861031.db2.gz ZBTSDSDVHRREMP-SFHVURJKSA-N 0 3 318.848 4.333 20 0 DIADHN COc1cc(Nc2ccc3nc(C)[nH]c3c2)ccc1N1CCCC1 ZINC001213076152 1044871511 /nfs/dbraw/zinc/87/15/11/1044871511.db2.gz HYHHTXDISUJUGO-UHFFFAOYSA-N 0 3 322.412 4.224 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@H]3C3CC3)c(Cl)nc21 ZINC000371418365 1044874657 /nfs/dbraw/zinc/87/46/57/1044874657.db2.gz CJJPEFIUTGTBPW-HNNXBMFYSA-N 0 3 318.852 4.040 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@H](O)c2ccccc2Cl)s1 ZINC000264467338 1044880430 /nfs/dbraw/zinc/88/04/30/1044880430.db2.gz WIADJMNECQLORK-ABAIWWIYSA-N 0 3 324.877 4.140 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(C(=O)OC(C)(C)C)CC1 ZINC000524594435 1044882946 /nfs/dbraw/zinc/88/29/46/1044882946.db2.gz LYPFRUARJBDGRE-QGZVFWFLSA-N 0 3 321.436 4.331 20 0 DIADHN CC(C)N(C/C=C/c1ccc(F)cc1F)Cc1cccnc1 ZINC000572921376 1044885282 /nfs/dbraw/zinc/88/52/82/1044885282.db2.gz IHBMOBYOWPIAMY-GQCTYLIASA-N 0 3 302.368 4.284 20 0 DIADHN Cc1cccc(NC(=O)CN2C[C@@H](c3ccccc3C)C[C@H]2C)c1 ZINC000524596718 1044885894 /nfs/dbraw/zinc/88/58/94/1044885894.db2.gz QNHQESSAXMMLKV-MSOLQXFVSA-N 0 3 322.452 4.120 20 0 DIADHN C[C@H](c1ncc(-c2ccccc2)o1)N1CC[C@@H](c2ccco2)C1 ZINC000371765976 1044889575 /nfs/dbraw/zinc/88/95/75/1044889575.db2.gz NNNMWPUPNHFAIB-GDBMZVCRSA-N 0 3 308.381 4.485 20 0 DIADHN Fc1cccc([C@H](N[C@@H]2CCCOC2)c2cccc(Cl)c2)c1 ZINC000573041510 1044890399 /nfs/dbraw/zinc/89/03/99/1044890399.db2.gz QUNZIPVPKZXBIA-QZTJIDSGSA-N 0 3 319.807 4.337 20 0 DIADHN COc1ccc(CNCc2ccc(-c3ccncc3)cc2)cc1F ZINC000371763043 1044890729 /nfs/dbraw/zinc/89/07/29/1044890729.db2.gz SLKYAJQIHVQEND-UHFFFAOYSA-N 0 3 322.383 4.186 20 0 DIADHN C[C@@H](CSC(C)(C)C)N[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000371808776 1044891350 /nfs/dbraw/zinc/89/13/50/1044891350.db2.gz KTQPZXQUVPFDDP-WMLDXEAASA-N 0 3 317.502 4.019 20 0 DIADHN C[C@H](CSC(C)(C)C)N[C@@H](c1cnn(C)c1)c1ccccc1 ZINC000371808775 1044891554 /nfs/dbraw/zinc/89/15/54/1044891554.db2.gz KTQPZXQUVPFDDP-RHSMWYFYSA-N 0 3 317.502 4.019 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCOCC(C)C ZINC000337442238 1044901766 /nfs/dbraw/zinc/90/17/66/1044901766.db2.gz AMVDJHAGOVOKSU-HNNXBMFYSA-N 0 3 303.368 4.251 20 0 DIADHN C[C@@H](N[C@@H]1CCc2ccc(Br)cc21)c1cccnc1 ZINC000193157650 1044903586 /nfs/dbraw/zinc/90/35/86/1044903586.db2.gz TYYXKUJROZWXEH-BDJLRTHQSA-N 0 3 317.230 4.182 20 0 DIADHN COC[C@H]1CCCCN(Cc2csc(-c3ccsc3)n2)C1 ZINC000372093656 1044904272 /nfs/dbraw/zinc/90/42/72/1044904272.db2.gz GTOHKLBSEATENL-ZDUSSCGKSA-N 0 3 322.499 4.120 20 0 DIADHN C[C@H](C(=O)Nc1cccc2ccsc21)N1[C@H](C)CC[C@@H]1C ZINC000337469074 1044905475 /nfs/dbraw/zinc/90/54/75/1044905475.db2.gz UIAWHWSSPLYJAZ-FRRDWIJNSA-N 0 3 302.443 4.101 20 0 DIADHN Cc1ncc([C@@H](C)NCc2cccc(-c3cccnc3)c2)c(C)n1 ZINC000372094935 1044905546 /nfs/dbraw/zinc/90/55/46/1044905546.db2.gz MHJLIXCCNFJJTJ-CQSZACIVSA-N 0 3 318.424 4.006 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc(C(C)(C)C)nc2)cc1 ZINC000573465608 1044908427 /nfs/dbraw/zinc/90/84/27/1044908427.db2.gz FXLVMTNPQCJAAL-UHFFFAOYSA-N 0 3 311.473 4.361 20 0 DIADHN Cc1cc(CN[C@H](CN(C)C)c2ccc(Cl)cc2)ccc1F ZINC000573462265 1044908608 /nfs/dbraw/zinc/90/86/08/1044908608.db2.gz ZOIXWZWVVTZWEH-GOSISDBHSA-N 0 3 320.839 4.180 20 0 DIADHN Cc1nc(CN(C)[C@H](Cc2ccc(F)cc2)C(C)C)cs1 ZINC000524752940 1044911647 /nfs/dbraw/zinc/91/16/47/1044911647.db2.gz LBZGHTHRBCQUGT-QGZVFWFLSA-N 0 3 306.450 4.290 20 0 DIADHN CC(C)[C@H]1CN(Cc2ccc(Cl)c3cccnc23)CCCO1 ZINC000573584038 1044912105 /nfs/dbraw/zinc/91/21/05/1044912105.db2.gz MMWFSWZHFHVCDO-QGZVFWFLSA-N 0 3 318.848 4.135 20 0 DIADHN CCc1cnc(CN(CC)[C@@H](Cc2ccc(F)cc2)C(C)C)o1 ZINC000524752702 1044912513 /nfs/dbraw/zinc/91/25/13/1044912513.db2.gz BCOFDDKIVWPSOM-SFHVURJKSA-N 0 3 318.436 4.465 20 0 DIADHN CCc1ccc(-c2noc(CN3CCC[C@H]3C(C)(C)C)n2)cc1 ZINC000524756865 1044917647 /nfs/dbraw/zinc/91/76/47/1044917647.db2.gz MMIODRVCLUCGEZ-INIZCTEOSA-N 0 3 313.445 4.310 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCC[C@H]1C(C)(C)C ZINC000524756805 1044918084 /nfs/dbraw/zinc/91/80/84/1044918084.db2.gz WODCRNZSFSWOBL-HNNXBMFYSA-N 0 3 308.853 4.097 20 0 DIADHN CCC[C@@H](NC[C@@](C)(O)c1ccccc1Cl)c1ccccn1 ZINC000157580307 1044920387 /nfs/dbraw/zinc/92/03/87/1044920387.db2.gz RPQARBHVPQXSIJ-SJLPKXTDSA-N 0 3 318.848 4.074 20 0 DIADHN CCC[C@H](NC[C@@](C)(O)c1ccccc1Cl)c1ccccn1 ZINC000157580153 1044920532 /nfs/dbraw/zinc/92/05/32/1044920532.db2.gz RPQARBHVPQXSIJ-FUHWJXTLSA-N 0 3 318.848 4.074 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2ccccc2Cl)cn1 ZINC000372862930 1044929222 /nfs/dbraw/zinc/92/92/22/1044929222.db2.gz KLRPHWZUSVWCQU-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN Cn1cc(-c2ccccc2CNCc2ccc(Cl)s2)cn1 ZINC000372863834 1044930498 /nfs/dbraw/zinc/93/04/98/1044930498.db2.gz QYDXJIWEPCQLTN-UHFFFAOYSA-N 0 3 317.845 4.092 20 0 DIADHN C[C@H](NCCc1nc(C(C)(C)C)cs1)c1cccnc1Cl ZINC000133364357 1044933517 /nfs/dbraw/zinc/93/35/17/1044933517.db2.gz QPLRRIKCABIULG-NSHDSACASA-N 0 3 323.893 4.382 20 0 DIADHN CC(=O)Nc1cccc(CN(Cc2ccc(F)cc2)C(C)C)c1 ZINC000337660935 1044936940 /nfs/dbraw/zinc/93/69/40/1044936940.db2.gz LJRFEUDYNZZYCP-UHFFFAOYSA-N 0 3 314.404 4.195 20 0 DIADHN CCCN(Cc1nc2ccccc2o1)[C@@H]1C[C@@H](OCC)C1(C)C ZINC000524894466 1044944005 /nfs/dbraw/zinc/94/40/05/1044944005.db2.gz LDOJKPAGFKYNNS-IAGOWNOFSA-N 0 3 316.445 4.243 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(Cl)nc1 ZINC000373168506 1044946893 /nfs/dbraw/zinc/94/68/93/1044946893.db2.gz ZHPVSNZGVZEKCH-FDQGKXFDSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(Cl)nc1 ZINC000373168514 1044949696 /nfs/dbraw/zinc/94/96/96/1044949696.db2.gz ZHPVSNZGVZEKCH-XCRHUMRWSA-N 0 3 316.832 4.163 20 0 DIADHN Cn1cc(-c2ccc(CNCc3coc4ccccc34)s2)cn1 ZINC000337814987 1044951687 /nfs/dbraw/zinc/95/16/87/1044951687.db2.gz OAUQCLYPECANMZ-UHFFFAOYSA-N 0 3 323.421 4.185 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCCOCC1CCC1)CCS2 ZINC000574676031 1044964985 /nfs/dbraw/zinc/96/49/85/1044964985.db2.gz RMMCOBKTSLAHBF-OAHLLOKOSA-N 0 3 311.878 4.283 20 0 DIADHN CCSCC[C@@H](C)NCc1nc(C(C)C)n2ccccc12 ZINC000574761493 1044968686 /nfs/dbraw/zinc/96/86/86/1044968686.db2.gz IWSAKPXCSKYGDT-CQSZACIVSA-N 0 3 305.491 4.079 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)N(Cc2cnc(C(C)(C)C)nc2)C1 ZINC000574847245 1044976107 /nfs/dbraw/zinc/97/61/07/1044976107.db2.gz YIUIEAABGPHNMC-CRAIPNDOSA-N 0 3 309.457 4.357 20 0 DIADHN c1ncc(CCN2CCC[C@@H]2c2nc3ccccc3s2)s1 ZINC000411147018 1044978828 /nfs/dbraw/zinc/97/88/28/1044978828.db2.gz HMINBSFAXKCBNU-CQSZACIVSA-N 0 3 315.467 4.132 20 0 DIADHN CN(CCc1cncs1)Cc1cc(Cl)cc(Cl)c1 ZINC000411172986 1044980439 /nfs/dbraw/zinc/98/04/39/1044980439.db2.gz OBLGVAYJWDRNNL-UHFFFAOYSA-N 0 3 301.242 4.124 20 0 DIADHN CC(C)CCOC1CCN([C@H]2C[C@H]2c2cccc(F)c2)CC1 ZINC000411171345 1044980599 /nfs/dbraw/zinc/98/05/99/1044980599.db2.gz DFSXKAKWCDUWRK-OALUTQOASA-N 0 3 305.437 4.209 20 0 DIADHN CC1(C)CCCN(Cc2coc(-c3cccc(F)c3)n2)CC1 ZINC000525126217 1044992149 /nfs/dbraw/zinc/99/21/49/1044992149.db2.gz UCYHAEALWKATPR-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2ncc(-c3ccccc3F)o2)C1 ZINC000525123792 1044993890 /nfs/dbraw/zinc/99/38/90/1044993890.db2.gz ANOAEVPJRWYKPS-KBPBESRZSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2nc(-c3ccc(Cl)cc3)no2)C1 ZINC000525127377 1044995776 /nfs/dbraw/zinc/99/57/76/1044995776.db2.gz BYDWSJNXDMERNR-CHWSQXEVSA-N 0 3 319.836 4.401 20 0 DIADHN COC(=O)c1cc(CN[C@H](c2ccccc2)C2CCC2)cs1 ZINC000411361969 1044999536 /nfs/dbraw/zinc/99/95/36/1044999536.db2.gz IBCQTKRPPHRFEI-QGZVFWFLSA-N 0 3 315.438 4.166 20 0 DIADHN CCCN(C[C@H]1CCCCO1)[C@H](C)c1nc2ccccc2o1 ZINC000411362183 1045000168 /nfs/dbraw/zinc/00/01/68/1045000168.db2.gz BAZRCNAWSIROQS-HUUCEWRRSA-N 0 3 302.418 4.170 20 0 DIADHN c1cc(CN[C@H](CCc2ccccc2)Cc2ccccc2)no1 ZINC000158342525 1045002232 /nfs/dbraw/zinc/00/22/32/1045002232.db2.gz CKWVMMRDOZEFMO-LJQANCHMSA-N 0 3 306.409 4.008 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(CCc2cncs2)CC1 ZINC000411392823 1045003682 /nfs/dbraw/zinc/00/36/82/1045003682.db2.gz RXVSYVJKSFLQAL-UHFFFAOYSA-N 0 3 323.465 4.265 20 0 DIADHN FC(F)(F)c1cccc(C2(NCc3cccnc3)CCC2)c1 ZINC000148939926 1045004337 /nfs/dbraw/zinc/00/43/37/1045004337.db2.gz ZEHXRIDDSVYUQA-UHFFFAOYSA-N 0 3 306.331 4.269 20 0 DIADHN Cc1ccoc1CNC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000525181057 1045005241 /nfs/dbraw/zinc/00/52/41/1045005241.db2.gz HFVRLNHNIKPXEL-KRWDZBQOSA-N 0 3 318.848 4.168 20 0 DIADHN CN(C)C[C@H](NCc1ccc(F)c(Cl)c1)c1ccc(F)cc1 ZINC000575781527 1045006997 /nfs/dbraw/zinc/00/69/97/1045006997.db2.gz NSAKHWIAFJOYDR-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1c2ccc(F)cc2O[C@H]1C ZINC000575772821 1045007257 /nfs/dbraw/zinc/00/72/57/1045007257.db2.gz HWARXFGARXPYDQ-WXPXUSHHSA-N 0 3 315.388 4.014 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@H](c2cccnc2)C1 ZINC000575803827 1045009038 /nfs/dbraw/zinc/00/90/38/1045009038.db2.gz KYSJJEIVZISDHD-AWEZNQCLSA-N 0 3 312.800 4.471 20 0 DIADHN Cc1ccc2ncc(CN[C@H](c3cnn(C)c3)C(C)(C)C)cc2c1 ZINC000411471422 1045010766 /nfs/dbraw/zinc/01/07/66/1045010766.db2.gz FECCWLMUWVAILU-LJQANCHMSA-N 0 3 322.456 4.154 20 0 DIADHN COCC1(CCN[C@H](C)c2csc(-c3ccccc3)n2)CC1 ZINC000575868270 1045013418 /nfs/dbraw/zinc/01/34/18/1045013418.db2.gz ZGPPNRWDQLDVTB-CQSZACIVSA-N 0 3 316.470 4.277 20 0 DIADHN CC1(C)Cc2occc2[C@H](NCc2ccc(-n3ccnc3)cc2)C1 ZINC000158411048 1045013522 /nfs/dbraw/zinc/01/35/22/1045013522.db2.gz RTXPUMATIBANCS-GOSISDBHSA-N 0 3 321.424 4.269 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1NCc1scnc1C1CC1 ZINC000459671613 1045013692 /nfs/dbraw/zinc/01/36/92/1045013692.db2.gz WZVPHVCILYZLML-ZWNOBZJWSA-N 0 3 322.836 4.459 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@@H]1CCCC[C@@H]1C1CC1 ZINC000575870522 1045014673 /nfs/dbraw/zinc/01/46/73/1045014673.db2.gz FTMBEAIBLYMRAV-QZTJIDSGSA-N 0 3 314.473 4.212 20 0 DIADHN CC[C@H](C[C@@H](C)CO)NCc1csc(-c2ccc(C)cc2)n1 ZINC000525247203 1045014675 /nfs/dbraw/zinc/01/46/75/1045014675.db2.gz SISPKEOHXJLWJU-GDBMZVCRSA-N 0 3 318.486 4.005 20 0 DIADHN COCc1ccc([C@H]2CCCN2[C@@H]2C[C@H]2c2cccc(F)c2)o1 ZINC000411536485 1045014969 /nfs/dbraw/zinc/01/49/69/1045014969.db2.gz BQIBNLAWBVEBFG-RCCFBDPRSA-N 0 3 315.388 4.258 20 0 DIADHN CC[C@@H](NCc1cccnc1)c1ccc2cc(OC)ccc2c1 ZINC000151086432 1045016737 /nfs/dbraw/zinc/01/67/37/1045016737.db2.gz NBNMPBAIUFKXNO-HXUWFJFHSA-N 0 3 306.409 4.484 20 0 DIADHN CC(C)[C@@H]1CCN([C@@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000446714976 1045017608 /nfs/dbraw/zinc/01/76/08/1045017608.db2.gz PJEAWFWTCAOXGH-GXTWGEPZSA-N 0 3 319.836 4.429 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(F)c(COC)c2)cc1 ZINC000151225508 1045017881 /nfs/dbraw/zinc/01/78/81/1045017881.db2.gz ILKPUVXIMZQBBL-CQSZACIVSA-N 0 3 317.404 4.222 20 0 DIADHN Cc1nc([C@@H](C)N[C@@H](C)c2ccc(Cl)c3ccccc32)n[nH]1 ZINC000411552516 1045019220 /nfs/dbraw/zinc/01/92/20/1045019220.db2.gz NUBOHAYNHCSPLW-WDEREUQCSA-N 0 3 314.820 4.332 20 0 DIADHN Cc1nn(C)c(C)c1CN[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000151164153 1045019541 /nfs/dbraw/zinc/01/95/41/1045019541.db2.gz CWYVBVUWRFNIMZ-ZDUSSCGKSA-N 0 3 317.502 4.388 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1CC[C@H](C(C)C)C1 ZINC000446731782 1045020235 /nfs/dbraw/zinc/02/02/35/1045020235.db2.gz LAKHEUZPUIMYLA-IRXDYDNUSA-N 0 3 316.489 4.423 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(Cl)s1 ZINC000575977120 1045024114 /nfs/dbraw/zinc/02/41/14/1045024114.db2.gz RPICXZUFQROMDI-GFCCVEGCSA-N 0 3 311.809 4.326 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1CC[C@@H](CC)C1 ZINC000446724379 1045025342 /nfs/dbraw/zinc/02/53/42/1045025342.db2.gz CQIUUQLPVIJQSY-HRCADAONSA-N 0 3 302.462 4.259 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N1CC[C@H](C(C)C)C1 ZINC000446726649 1045025465 /nfs/dbraw/zinc/02/54/65/1045025465.db2.gz PTXXVLHGMQZRSH-QAPCUYQASA-N 0 3 316.489 4.116 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N1CC[C@@H](C(C)C)C1 ZINC000446726648 1045025525 /nfs/dbraw/zinc/02/55/25/1045025525.db2.gz PTXXVLHGMQZRSH-MAUKXSAKSA-N 0 3 316.489 4.116 20 0 DIADHN Cc1cc(-c2noc([C@@H](C)N3CC[C@H](C(C)C)C3)n2)ccc1F ZINC000446736694 1045030458 /nfs/dbraw/zinc/03/04/58/1045030458.db2.gz WGRHIENOGRHGGH-HIFRSBDPSA-N 0 3 317.408 4.223 20 0 DIADHN CCOCc1ccc(CN[C@@H]2CCCc3nc(C)sc32)cc1 ZINC000151639889 1045031213 /nfs/dbraw/zinc/03/12/13/1045031213.db2.gz BFYOPIKMHJVPRS-MRXNPFEDSA-N 0 3 316.470 4.155 20 0 DIADHN CC1=C[C@H](C)C[C@@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000576152049 1045037790 /nfs/dbraw/zinc/03/77/90/1045037790.db2.gz ZNZKNZQFXDXTHC-DZGCQCFKSA-N 0 3 306.450 4.072 20 0 DIADHN COCCOc1ccc([C@H](C)N[C@H]2CCCc3occc32)cc1 ZINC000152246813 1045038507 /nfs/dbraw/zinc/03/85/07/1045038507.db2.gz RQNYVOJYBCINTQ-KSSFIOAISA-N 0 3 315.413 4.033 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccccc1-n1ccnc1 ZINC000152237362 1045038971 /nfs/dbraw/zinc/03/89/71/1045038971.db2.gz AGPAESHHGJFRIJ-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3ccncc3)c2)[C@@H]1[C@H]1CCCO1 ZINC000576156243 1045039111 /nfs/dbraw/zinc/03/91/11/1045039111.db2.gz FPNUAKVGHJCVCS-WOJBJXKFSA-N 0 3 322.452 4.138 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nc(-c2ccsc2)no1 ZINC000525328815 1045039168 /nfs/dbraw/zinc/03/91/68/1045039168.db2.gz NKVHGCKUNSZLTF-GXTWGEPZSA-N 0 3 305.447 4.199 20 0 DIADHN COc1cc(CN2CCC[C@@H](C(F)(F)F)[C@H]2C)ccc1C ZINC000446797103 1045039218 /nfs/dbraw/zinc/03/92/18/1045039218.db2.gz PBZYJBGPDPFONY-TZMCWYRMSA-N 0 3 301.352 4.166 20 0 DIADHN COCCOc1ccc([C@H](C)N[C@@H]2CCCc3occc32)cc1 ZINC000152246649 1045039641 /nfs/dbraw/zinc/03/96/41/1045039641.db2.gz RQNYVOJYBCINTQ-KBXCAEBGSA-N 0 3 315.413 4.033 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCC[C@H]2NCc2cocn2)c1 ZINC000576190956 1045040359 /nfs/dbraw/zinc/04/03/59/1045040359.db2.gz JITMHUKCLAPMLI-HUUCEWRRSA-N 0 3 310.319 4.119 20 0 DIADHN CC(C)N(Cc1nnc(-c2cccc(Cl)c2)o1)CC1CCC1 ZINC000193203090 1045045139 /nfs/dbraw/zinc/04/51/39/1045045139.db2.gz TUQXODJJFJKOKP-UHFFFAOYSA-N 0 3 319.836 4.401 20 0 DIADHN Cc1cc(CN2CCC[C@@H](C(F)(F)F)[C@H]2C)cc(C)c1O ZINC000576235766 1045045630 /nfs/dbraw/zinc/04/56/30/1045045630.db2.gz BMMJCPXYMVCIBD-TZMCWYRMSA-N 0 3 301.352 4.172 20 0 DIADHN CC(C)(C)c1ccncc1CNCc1ccnc2ccccc12 ZINC000576249781 1045046659 /nfs/dbraw/zinc/04/66/59/1045046659.db2.gz SCQPXKOXXZPJKM-UHFFFAOYSA-N 0 3 305.425 4.217 20 0 DIADHN C[C@H](NCc1ccnc(OC2CCCC2)c1)c1cccs1 ZINC000153349059 1045055552 /nfs/dbraw/zinc/05/55/52/1045055552.db2.gz ILBYEDLPZFVFOJ-ZDUSSCGKSA-N 0 3 302.443 4.315 20 0 DIADHN CC(C)CC1(CN[C@H](c2nnc[nH]2)c2ccccc2)CCCC1 ZINC000576427040 1045056769 /nfs/dbraw/zinc/05/67/69/1045056769.db2.gz KQWMINFPGDLMFW-KRWDZBQOSA-N 0 3 312.461 4.090 20 0 DIADHN Cc1ccncc1CCCN1CC(C)(C)[C@@H]1c1cccs1 ZINC000576500218 1045061187 /nfs/dbraw/zinc/06/11/87/1045061187.db2.gz QHLDPWJFXKPZGT-KRWDZBQOSA-N 0 3 300.471 4.467 20 0 DIADHN COc1ccccc1[C@H](C)N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000374503017 1045064647 /nfs/dbraw/zinc/06/46/47/1045064647.db2.gz KCKHSQNEJBLNCE-XAUMDUMWSA-N 0 3 311.425 4.124 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000374503016 1045064947 /nfs/dbraw/zinc/06/49/47/1045064947.db2.gz KCKHSQNEJBLNCE-VPWXQRGCSA-N 0 3 311.425 4.124 20 0 DIADHN Fc1cc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)ccc1Cl ZINC000374495428 1045065233 /nfs/dbraw/zinc/06/52/33/1045065233.db2.gz IQBRQDWELQTGPK-CRAIPNDOSA-N 0 3 319.807 4.347 20 0 DIADHN C[C@@H](N[C@@H](CO)c1cccc(F)c1)c1cc2ccccc2s1 ZINC000446924843 1045070625 /nfs/dbraw/zinc/07/06/25/1045070625.db2.gz JQIXHKHPQCRBPG-WBMJQRKESA-N 0 3 315.413 4.425 20 0 DIADHN CC[C@@H](NCc1ccnc(OC2CCC2)c1)c1cccc(F)c1 ZINC000446921711 1045071239 /nfs/dbraw/zinc/07/12/39/1045071239.db2.gz IKVQMQFNMHNUAY-GOSISDBHSA-N 0 3 314.404 4.393 20 0 DIADHN C[C@@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cncs1 ZINC000576672705 1045072092 /nfs/dbraw/zinc/07/20/92/1045072092.db2.gz AVDQTBBNQOQQJV-DGCLKSJQSA-N 0 3 314.376 4.215 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nnc(-c4ccccc4)o3)C2)cc1 ZINC000374613900 1045076042 /nfs/dbraw/zinc/07/60/42/1045076042.db2.gz PVJUIXBFMKYQOR-GOSISDBHSA-N 0 3 319.408 4.035 20 0 DIADHN CC[C@@H](N[C@H]1CCC[C@H]2C[C@H]21)c1nc(C(F)(F)F)cs1 ZINC000576726015 1045076890 /nfs/dbraw/zinc/07/68/90/1045076890.db2.gz YTOSPGHZUBFOGL-ZDCRXTMVSA-N 0 3 304.381 4.391 20 0 DIADHN CCCn1ccnc1CCCN(C)Cc1c(F)cccc1CC ZINC000576789091 1045080543 /nfs/dbraw/zinc/08/05/43/1045080543.db2.gz AZXHVMUGHDVDCN-UHFFFAOYSA-N 0 3 317.452 4.059 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CC[C@H](CC(F)(F)F)C2)cc1 ZINC000446973525 1045082614 /nfs/dbraw/zinc/08/26/14/1045082614.db2.gz DJRUBVLWUMXICE-CYBMUJFWSA-N 0 3 323.362 4.159 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC[C@@H]1/C=C/c1ccccc1 ZINC000374716292 1045085502 /nfs/dbraw/zinc/08/55/02/1045085502.db2.gz LGZPJYMMDFYLTN-FBRRREGBSA-N 0 3 320.436 4.323 20 0 DIADHN CCOc1cc(CN2CC[C@H]3CCC[C@H]32)cc(Cl)c1OC ZINC000428463285 1045085894 /nfs/dbraw/zinc/08/58/94/1045085894.db2.gz SMTYOQUVFLIXBJ-UKRRQHHQSA-N 0 3 309.837 4.122 20 0 DIADHN C[C@H](CN(C)Cc1cc(C(C)(C)C)n[nH]1)c1ccc(F)cc1 ZINC000447055562 1045089671 /nfs/dbraw/zinc/08/96/71/1045089671.db2.gz GXNPDTFEQFHUAX-CYBMUJFWSA-N 0 3 303.425 4.082 20 0 DIADHN Clc1cccc(Cl)c1C1(NC[C@H]2CCCOC2)CCC1 ZINC000447060014 1045090401 /nfs/dbraw/zinc/09/04/01/1045090401.db2.gz ZXLCWGLGWKNRDS-GFCCVEGCSA-N 0 3 314.256 4.389 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CCCC2CCCC2)c(F)c1 ZINC000412561064 1045091066 /nfs/dbraw/zinc/09/10/66/1045091066.db2.gz RQPGQQCVIYTDGV-UHFFFAOYSA-N 0 3 306.425 4.186 20 0 DIADHN CC(C)C[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000577145695 1045102292 /nfs/dbraw/zinc/10/22/92/1045102292.db2.gz GWRRCIFRWFMNSA-OAHLLOKOSA-N 0 3 304.821 4.318 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)[C@@H]1CCC(C)(C)C1 ZINC000577160572 1045105625 /nfs/dbraw/zinc/10/56/25/1045105625.db2.gz ZEBZHKFXCSDOQT-OAHLLOKOSA-N 0 3 302.393 4.491 20 0 DIADHN c1cc2cc(CN3CC[C@@]4(C3)OCc3ccccc34)ccc2o1 ZINC000367373151 1045109500 /nfs/dbraw/zinc/10/95/00/1045109500.db2.gz WJIQWGVHAQMCIT-FQEVSTJZSA-N 0 3 305.377 4.064 20 0 DIADHN Cc1ccc(F)cc1CN1CCC([C@@H](O)c2ccccc2)CC1 ZINC000778995307 1045110393 /nfs/dbraw/zinc/11/03/93/1045110393.db2.gz UGMOSCPESWCBMR-FQEVSTJZSA-N 0 3 313.416 4.080 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000577299499 1045113733 /nfs/dbraw/zinc/11/37/33/1045113733.db2.gz WBWZBMAMSNIMJF-HOTGVXAUSA-N 0 3 303.352 4.105 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nccs3)C2)c(Cl)c1 ZINC000447348648 1045120421 /nfs/dbraw/zinc/12/04/21/1045120421.db2.gz KGFWZARQHGGDRX-AWEZNQCLSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC000447392766 1045127239 /nfs/dbraw/zinc/12/72/39/1045127239.db2.gz BYZZYQKKACFCFK-INIZCTEOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1)N1CCCC2(CCCCC2)C1 ZINC000600747227 1045135797 /nfs/dbraw/zinc/13/57/97/1045135797.db2.gz MJDSVIPVKVVZIK-INIZCTEOSA-N 0 3 300.446 4.060 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ccc3c(c2)OCO3)c2ccccc21 ZINC000447515120 1045136110 /nfs/dbraw/zinc/13/61/10/1045136110.db2.gz JKKHLNLRSIMTFX-MSOLQXFVSA-N 0 3 309.409 4.390 20 0 DIADHN Cc1cnn(C)c1CN1CCCCC[C@@H]1/C=C\c1cccs1 ZINC000577561505 1045136441 /nfs/dbraw/zinc/13/64/41/1045136441.db2.gz RTTLFHLGPUXURV-ZBTGYSDGSA-N 0 3 315.486 4.248 20 0 DIADHN C[C@@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)c1ccnn1C ZINC000447528823 1045137615 /nfs/dbraw/zinc/13/76/15/1045137615.db2.gz IPKXGLBVJXTTIK-CZUORRHYSA-N 0 3 303.425 4.387 20 0 DIADHN COc1ccc([C@H](CN(C)C)N[C@@H]2C[C@@H](C)c3ccccc32)cc1 ZINC000577557418 1045137931 /nfs/dbraw/zinc/13/79/31/1045137931.db2.gz DQJIORCLZOYAEQ-LPTQZCDUSA-N 0 3 324.468 4.136 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1sccc1OC)c1ccccc1 ZINC000447554818 1045138867 /nfs/dbraw/zinc/13/88/67/1045138867.db2.gz ZLIORCQJXNJCQB-CJNGLKHVSA-N 0 3 305.443 4.185 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1sccc1OC)c1ccccc1 ZINC000447554820 1045139694 /nfs/dbraw/zinc/13/96/94/1045139694.db2.gz ZLIORCQJXNJCQB-XJKSGUPXSA-N 0 3 305.443 4.185 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc(C(F)F)c1)c1ccccc1 ZINC000577598281 1045141587 /nfs/dbraw/zinc/14/15/87/1045141587.db2.gz OMNMVDQFTYFLOL-QGZVFWFLSA-N 0 3 319.395 4.474 20 0 DIADHN C[C@@H](NC1CCC2(CC2)CC1)c1ncc(Br)s1 ZINC000447593205 1045155267 /nfs/dbraw/zinc/15/52/67/1045155267.db2.gz QIACHIIMACGDDK-SECBINFHSA-N 0 3 315.280 4.279 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H](C)[C@H]1CCCO1 ZINC000447576372 1045156483 /nfs/dbraw/zinc/15/64/83/1045156483.db2.gz AZJPSEHALMUAPG-AEGPPILISA-N 0 3 301.352 4.146 20 0 DIADHN COC[C@@H](N[C@@H](CC(F)(F)F)c1ccccc1C)C1CC1 ZINC000447579772 1045159154 /nfs/dbraw/zinc/15/91/54/1045159154.db2.gz OKTPKPQLPXDTPL-LSDHHAIUSA-N 0 3 301.352 4.003 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2scnc2C)cc1 ZINC000526041202 1045162449 /nfs/dbraw/zinc/16/24/49/1045162449.db2.gz JHMADPFZMNCMIL-UHFFFAOYSA-N 0 3 304.459 4.265 20 0 DIADHN C[C@H](N[C@H](CO)c1ccc(F)cc1)c1cccc2ccccc21 ZINC000557269570 1045163681 /nfs/dbraw/zinc/16/36/81/1045163681.db2.gz DAHNQBFEMBOHAP-VBKZILBWSA-N 0 3 309.384 4.363 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C1(CC)CC1)c1ccc(Cl)cc1 ZINC000557334240 1045168258 /nfs/dbraw/zinc/16/82/58/1045168258.db2.gz ZJPGMIRHFQVZLS-MRXNPFEDSA-N 0 3 322.880 4.029 20 0 DIADHN CCCN(CCC)[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000557400775 1045171416 /nfs/dbraw/zinc/17/14/16/1045171416.db2.gz PTCQNQCNRISCPI-LBPRGKRZSA-N 0 3 316.367 4.154 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nccs3)C2)cc1Cl ZINC000447747327 1045173393 /nfs/dbraw/zinc/17/33/93/1045173393.db2.gz SCAZOMUKRQWKOL-AWEZNQCLSA-N 0 3 306.862 4.485 20 0 DIADHN CC[C@H](N[C@H](C)c1ccnn1C1CCC1)c1ccc(OC)cc1 ZINC000924568174 1045178587 /nfs/dbraw/zinc/17/85/87/1045178587.db2.gz WXNYJJKJJFCHOS-KDOFPFPSSA-N 0 3 313.445 4.419 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)O[C@]3(CCO[C@@H]3C)C2)cc1 ZINC000447823362 1045179153 /nfs/dbraw/zinc/17/91/53/1045179153.db2.gz UFYNJRFSCJZUEH-WOCWXWTJSA-N 0 3 317.473 4.139 20 0 DIADHN CC(C)n1cc([C@@H](C)NC2CCC(c3ccccc3)CC2)nn1 ZINC000924603361 1045181872 /nfs/dbraw/zinc/18/18/72/1045181872.db2.gz RWWSMJBELIXMFJ-FAEJEUNOSA-N 0 3 312.461 4.236 20 0 DIADHN C[C@H](N[C@H](c1cccs1)C1CC1)c1cccc2c1OCO2 ZINC000924592633 1045182195 /nfs/dbraw/zinc/18/21/95/1045182195.db2.gz SMPYRKBWVWKMPW-ZBEGNZNMSA-N 0 3 301.411 4.279 20 0 DIADHN CC(C)(CNCc1nc(-c2ccccc2)c[nH]1)Oc1ccccc1 ZINC000447852303 1045184100 /nfs/dbraw/zinc/18/41/00/1045184100.db2.gz ZPULWIUESMWUBP-UHFFFAOYSA-N 0 3 321.424 4.024 20 0 DIADHN COc1cc(CN[C@@H](C)c2cc3ccccc3c(OC)c2)ccn1 ZINC000924629540 1045184985 /nfs/dbraw/zinc/18/49/85/1045184985.db2.gz UNDPZWCTWPQAOD-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CCC[C@H](NCCc1csc(C)n1)c1ncccc1Cl ZINC000924628809 1045186005 /nfs/dbraw/zinc/18/60/05/1045186005.db2.gz MBJLBUMIPBBKEM-AWEZNQCLSA-N 0 3 309.866 4.173 20 0 DIADHN CCC[C@@H](NCCCOCC(F)(F)F)c1ncccc1Cl ZINC000924643484 1045187111 /nfs/dbraw/zinc/18/71/11/1045187111.db2.gz NYQIVGRMKHQHQC-GFCCVEGCSA-N 0 3 324.774 4.135 20 0 DIADHN CCc1cccc(CN[C@H](c2cccs2)C(C)(C)CO)c1 ZINC000577918532 1045187217 /nfs/dbraw/zinc/18/72/17/1045187217.db2.gz XXAQBTIYSTXKHA-QGZVFWFLSA-N 0 3 303.471 4.160 20 0 DIADHN CC(C)c1cccc(CNCc2ccnn2-c2ccccc2)c1 ZINC000447894349 1045188328 /nfs/dbraw/zinc/18/83/28/1045188328.db2.gz SOPZJGBLDQDDPY-UHFFFAOYSA-N 0 3 305.425 4.286 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2ncc(C(C)(C)C)s2)CCO1 ZINC000447893378 1045188368 /nfs/dbraw/zinc/18/83/68/1045188368.db2.gz MDSJTVQSXKYGMC-KBPBESRZSA-N 0 3 310.507 4.124 20 0 DIADHN CCOc1cccc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000924690994 1045188663 /nfs/dbraw/zinc/18/86/63/1045188663.db2.gz XQDFFOBEGLXLRQ-HNNXBMFYSA-N 0 3 313.441 4.164 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccnn2CC2CCC2)s1 ZINC000924670463 1045188848 /nfs/dbraw/zinc/18/88/48/1045188848.db2.gz GFDAODRXSOZLKV-CYBMUJFWSA-N 0 3 303.475 4.158 20 0 DIADHN CCOc1cccc(CN[C@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000924690995 1045189212 /nfs/dbraw/zinc/18/92/12/1045189212.db2.gz XQDFFOBEGLXLRQ-OAHLLOKOSA-N 0 3 313.441 4.164 20 0 DIADHN CSCc1cccc(CNCc2ccc(C(F)F)nc2)c1 ZINC000601116509 1045189529 /nfs/dbraw/zinc/18/95/29/1045189529.db2.gz LYOKVHGPNBLWDE-UHFFFAOYSA-N 0 3 308.397 4.172 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1ncc(C(C)(C)C)s1 ZINC000447939008 1045189554 /nfs/dbraw/zinc/18/95/54/1045189554.db2.gz DDDYPPXASPDHNY-GFCCVEGCSA-N 0 3 306.450 4.301 20 0 DIADHN Cc1cccc2c1[C@@H](NCc1ccc(N3CCCCC3)nc1)CC2 ZINC000924688107 1045189626 /nfs/dbraw/zinc/18/96/26/1045189626.db2.gz LVANNCDRBPHHRO-IBGZPJMESA-N 0 3 321.468 4.157 20 0 DIADHN Fc1ccc2oc(CN3CC[C@H](CC(F)(F)F)C3)cc2c1 ZINC000577932274 1045190160 /nfs/dbraw/zinc/19/01/60/1045190160.db2.gz ACDYMDOZAGANQV-SNVBAGLBSA-N 0 3 301.283 4.346 20 0 DIADHN CC(C)[C@H](CNCc1ncc(C(C)(C)C)s1)c1cccnc1 ZINC000447944712 1045190793 /nfs/dbraw/zinc/19/07/93/1045190793.db2.gz NRWMQDQDZDNHQA-HNNXBMFYSA-N 0 3 317.502 4.365 20 0 DIADHN Cc1cccc2c1[C@@H](NCc1ccnc(N3CCCCC3)c1)CC2 ZINC000924701597 1045191349 /nfs/dbraw/zinc/19/13/49/1045191349.db2.gz KMLSCWGHWFVVAM-IBGZPJMESA-N 0 3 321.468 4.157 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1cc2cc(Cl)cnc2o1 ZINC000648423731 1045191952 /nfs/dbraw/zinc/19/19/52/1045191952.db2.gz XYVILKIQEFZXOO-LRDDRELGSA-N 0 3 322.836 4.166 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@@H]2CCc3ccccc32)s1 ZINC000447935722 1045192074 /nfs/dbraw/zinc/19/20/74/1045192074.db2.gz JGIJDARGUUPSCJ-AWEZNQCLSA-N 0 3 300.471 4.260 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1Cl)c1ccccc1Cl ZINC000048330945 1045192487 /nfs/dbraw/zinc/19/24/87/1045192487.db2.gz SZPOGVISGRHGJA-ZBEGNZNMSA-N 0 3 310.224 4.378 20 0 DIADHN C[C@H](NC[C@H](O)c1cc(F)ccc1F)c1ccccc1Cl ZINC000048330957 1045192593 /nfs/dbraw/zinc/19/25/93/1045192593.db2.gz QBQHCKBOUMSKFH-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN CCOCCCCN[C@@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000924710013 1045193121 /nfs/dbraw/zinc/19/31/21/1045193121.db2.gz FIKJFVWRSSKDEO-SFHVURJKSA-N 0 3 318.848 4.231 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H]1CCC(C)=C(C)C1 ZINC000577955015 1045193763 /nfs/dbraw/zinc/19/37/63/1045193763.db2.gz ZJRFRZUVRYSOJV-KRWDZBQOSA-N 0 3 300.446 4.132 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H]2CCC[C@@H](O)C2)c2cccnc2)cc1 ZINC000924758892 1045196873 /nfs/dbraw/zinc/19/68/73/1045196873.db2.gz AMNJQHYDZLVYLL-NJYVYQBISA-N 0 3 324.468 4.188 20 0 DIADHN Cc1cc(C)n(CCN[C@H](c2ccccc2Cl)C(C)C)n1 ZINC000557542792 1045198531 /nfs/dbraw/zinc/19/85/31/1045198531.db2.gz CJTOHHBUUYVCND-KRWDZBQOSA-N 0 3 305.853 4.140 20 0 DIADHN C[C@@H](Cc1ccccn1)NCc1nc2cc(Cl)ccc2s1 ZINC000924790041 1045199457 /nfs/dbraw/zinc/19/94/57/1045199457.db2.gz DJHXVDFRTQLGPJ-NSHDSACASA-N 0 3 317.845 4.066 20 0 DIADHN CCc1nc(CNC[C@H]2CCCC[C@H]2C(F)(F)F)cs1 ZINC000578044347 1045201187 /nfs/dbraw/zinc/20/11/87/1045201187.db2.gz GDFKDBLHQIPWRV-ZYHUDNBSSA-N 0 3 306.397 4.164 20 0 DIADHN C[C@@H](c1nc(-c2cnc3ccccc3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000527198308 1045206554 /nfs/dbraw/zinc/20/65/54/1045206554.db2.gz MULWGVKKQSUCMJ-MJBXVCDLSA-N 0 3 322.412 4.219 20 0 DIADHN COc1cc(F)cc(CNCc2ccccc2COC(C)C)c1 ZINC000578113242 1045210620 /nfs/dbraw/zinc/21/06/20/1045210620.db2.gz RRBDIFVXPHXXHG-UHFFFAOYSA-N 0 3 317.404 4.049 20 0 DIADHN C[C@@H](N[C@H](c1ccc(Cl)cc1)C1CCC1)c1ccnnc1 ZINC000924969863 1045215753 /nfs/dbraw/zinc/21/57/53/1045215753.db2.gz LNHVMLAMZVLHGP-PXAZEXFGSA-N 0 3 301.821 4.322 20 0 DIADHN CC(C)COc1ccc(CN2CCC[C@H]2c2ccncc2)cc1 ZINC000578175785 1045218032 /nfs/dbraw/zinc/21/80/32/1045218032.db2.gz XLMGXRCFWAULPG-FQEVSTJZSA-N 0 3 310.441 4.454 20 0 DIADHN FC1(CCNCc2ccc(Sc3ccccn3)o2)CCC1 ZINC000578177671 1045218431 /nfs/dbraw/zinc/21/84/31/1045218431.db2.gz BNQJCRIVYHWWAM-UHFFFAOYSA-N 0 3 306.406 4.198 20 0 DIADHN CC(C)c1ccc([C@H](NC[C@](C)(O)C2CC2)c2cccnc2)cc1 ZINC000925036467 1045220869 /nfs/dbraw/zinc/22/08/69/1045220869.db2.gz DBVMNQSIFXNQDB-SFTDATJTSA-N 0 3 324.468 4.045 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)CC1CCC(C)CC1)c1ccco1 ZINC000578256294 1045223864 /nfs/dbraw/zinc/22/38/64/1045223864.db2.gz CAIXEZJHONGJED-MNAZLIDISA-N 0 3 320.477 4.041 20 0 DIADHN CC[C@H](NC[C@@H]1Cc2ccccc2O1)c1ccc(F)cc1F ZINC000578263984 1045225791 /nfs/dbraw/zinc/22/57/91/1045225791.db2.gz CKYMTVRPENXASW-YOEHRIQHSA-N 0 3 303.352 4.009 20 0 DIADHN CC(C)CCNC(=O)[C@@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000527484759 1045225956 /nfs/dbraw/zinc/22/59/56/1045225956.db2.gz WNBFDBAYCSRMHE-ZIAGYGMSSA-N 0 3 318.486 4.103 20 0 DIADHN CC(C)CCNC(=O)[C@H](C)N[C@H](C)c1cc2ccccc2s1 ZINC000527484757 1045226337 /nfs/dbraw/zinc/22/63/37/1045226337.db2.gz WNBFDBAYCSRMHE-KGLIPLIRSA-N 0 3 318.486 4.103 20 0 DIADHN CCC(CC)c1cc(CNC2CC3(C2)COC(C)(C)C3)on1 ZINC000925159501 1045231044 /nfs/dbraw/zinc/23/10/44/1045231044.db2.gz XQGBVBQLKKYCRC-UHFFFAOYSA-N 0 3 306.450 4.016 20 0 DIADHN Cc1c2ccccc2n(C)c1CNCc1cnc(C2CC2)s1 ZINC000527528414 1045235192 /nfs/dbraw/zinc/23/51/92/1045235192.db2.gz PWDHOFWUHHRWBV-UHFFFAOYSA-N 0 3 311.454 4.110 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCN1Cc1c(Cl)oc2ccccc21 ZINC000448361088 1045237473 /nfs/dbraw/zinc/23/74/73/1045237473.db2.gz VTUDHDSOPAJWIZ-CHWSQXEVSA-N 0 3 307.821 4.212 20 0 DIADHN C[C@@H](NC1CC(OC(C)(C)C)C1)c1nc2ccccc2s1 ZINC000779063971 1045237637 /nfs/dbraw/zinc/23/76/37/1045237637.db2.gz GCKTYYBAXOTUHU-PNESKVBLSA-N 0 3 304.459 4.293 20 0 DIADHN CCCOc1ccc([C@H](C)NCc2ccnc(C)c2)cc1OC ZINC000527539160 1045238637 /nfs/dbraw/zinc/23/86/37/1045238637.db2.gz OSBQOYOSJMAASE-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN FCc1ccc(CN(Cc2cccs2)C[C@H]2CCCO2)cc1 ZINC000777551632 1045239372 /nfs/dbraw/zinc/23/93/72/1045239372.db2.gz SMXYPLCLPOXDTQ-QGZVFWFLSA-N 0 3 319.445 4.399 20 0 DIADHN COc1ccc(CN2C[C@@H](C)[C@@H]2c2ccccc2)c(C)c1OC ZINC000578400741 1045240146 /nfs/dbraw/zinc/24/01/46/1045240146.db2.gz NLZKGRRZZSEEAU-AUUYWEPGSA-N 0 3 311.425 4.205 20 0 DIADHN CC(C)OCc1ccccc1CNCc1ccc2cc[nH]c2c1 ZINC000527634707 1045242687 /nfs/dbraw/zinc/24/26/87/1045242687.db2.gz PGRVMCHYBQPOFR-UHFFFAOYSA-N 0 3 308.425 4.383 20 0 DIADHN COc1cc(CNCc2ccc(C)s2)cc(C(F)(F)F)c1 ZINC000527637657 1045242703 /nfs/dbraw/zinc/24/27/03/1045242703.db2.gz KQBKYANGOQYODN-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN FC(F)(F)c1ccccc1CCNCc1ccncc1Cl ZINC000527643875 1045244796 /nfs/dbraw/zinc/24/47/96/1045244796.db2.gz XDJZQDFTILOYLB-UHFFFAOYSA-N 0 3 314.738 4.086 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1ncc(Cl)cc1Br ZINC000527645198 1045245371 /nfs/dbraw/zinc/24/53/71/1045245371.db2.gz KVTCDFCDHPRIFP-BDAKNGLRSA-N 0 3 305.647 4.022 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc3cc[nH]c3c2)[C@@H]2CCCO2)cc1 ZINC000527649937 1045247089 /nfs/dbraw/zinc/24/70/89/1045247089.db2.gz RHYMEXDXTOTJPD-VQTJNVASSA-N 0 3 324.399 4.317 20 0 DIADHN Fc1ccc(F)c(CN[C@H]2CCOC3(CCCC3)C2)c1Cl ZINC000527677719 1045248383 /nfs/dbraw/zinc/24/83/83/1045248383.db2.gz WRPJBALIMFFXGY-NSHDSACASA-N 0 3 315.791 4.200 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](c2ccccc2)c2ccc(C)cc2)o1 ZINC000779074746 1045248726 /nfs/dbraw/zinc/24/87/26/1045248726.db2.gz RFSKBUCIGVQJPQ-TZIWHRDSSA-N 0 3 321.420 4.309 20 0 DIADHN Cc1nnc(CN[C@H]2CCC[C@H](c3ccccc3)CC2)s1 ZINC000527691503 1045249628 /nfs/dbraw/zinc/24/96/28/1045249628.db2.gz NDOCNXHZNCCJPN-HOTGVXAUSA-N 0 3 301.459 4.053 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1cccnc1Br ZINC001258059986 1045250274 /nfs/dbraw/zinc/25/02/74/1045250274.db2.gz GOTQHNIPEYJNQF-QWHCGFSZSA-N 0 3 313.283 4.395 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC000527687375 1045253512 /nfs/dbraw/zinc/25/35/12/1045253512.db2.gz JUOAPPOKIQRNAL-QMTHXVAHSA-N 0 3 317.807 4.302 20 0 DIADHN Clc1cc2c(s1)CC[C@H]2NCc1ccc2c[nH]nc2c1 ZINC000578581654 1045256622 /nfs/dbraw/zinc/25/66/22/1045256622.db2.gz ABXSYHYBXCOWDK-GFCCVEGCSA-N 0 3 303.818 4.055 20 0 DIADHN Cn1cc2ccc(CNCc3ccc(C(C)(C)C)s3)cc2n1 ZINC000527765520 1045257486 /nfs/dbraw/zinc/25/74/86/1045257486.db2.gz YGIYMEFXRFXQCX-UHFFFAOYSA-N 0 3 313.470 4.222 20 0 DIADHN COc1ccc(C(C)(C)NCc2cccc3cc[nH]c32)cc1F ZINC000527780038 1045258955 /nfs/dbraw/zinc/25/89/55/1045258955.db2.gz AUDQNACLCKGUGK-UHFFFAOYSA-N 0 3 312.388 4.341 20 0 DIADHN COc1ccc(C(C)(C)NCc2cccc3cccnc32)cc1F ZINC000527782080 1045261467 /nfs/dbraw/zinc/26/14/67/1045261467.db2.gz SFWHLXDDFGQRAD-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C3CC3)cc2)C2CC2)cn1 ZINC000527841396 1045267372 /nfs/dbraw/zinc/26/73/72/1045267372.db2.gz HOOBMBVMUYWDHY-HXUWFJFHSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc([C@H](NCc2ccc(F)c(Cl)c2)C2CC2)cn1 ZINC000527840048 1045267473 /nfs/dbraw/zinc/26/74/73/1045267473.db2.gz BQRWRJYAMAOVDX-QGZVFWFLSA-N 0 3 320.795 4.124 20 0 DIADHN C[C@H](NCC[C@@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000779086958 1045267782 /nfs/dbraw/zinc/26/77/82/1045267782.db2.gz RTVKDCWWLZHJHT-SCLBCKFNSA-N 0 3 321.823 4.428 20 0 DIADHN C[C@H](N[C@@H](C(=O)Nc1ccccc1)c1ccccc1)[C@@H]1CC12CC2 ZINC000527851875 1045268099 /nfs/dbraw/zinc/26/80/99/1045268099.db2.gz BLWDXKXJEFWKNK-ZYSHUDEJSA-N 0 3 320.436 4.145 20 0 DIADHN CCc1ccccc1-c1nc(CN[C@@H]2CCO[C@@H](C)C2)cs1 ZINC001168100640 1045268814 /nfs/dbraw/zinc/26/88/14/1045268814.db2.gz CZMZKYRUFDEHFI-DZGCQCFKSA-N 0 3 316.470 4.030 20 0 DIADHN COc1cccc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)c1 ZINC000527847790 1045270887 /nfs/dbraw/zinc/27/08/87/1045270887.db2.gz PKZTXYHZVXTPRB-QGZVFWFLSA-N 0 3 305.780 4.265 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000578782642 1045271775 /nfs/dbraw/zinc/27/17/75/1045271775.db2.gz MKYBLODKJUOSCR-SUMWQHHRSA-N 0 3 324.896 4.320 20 0 DIADHN c1ccc([C@H]2C[C@H]3CC[C@@H](C2)N3Cc2nc3ccccc3[nH]2)cc1 ZINC000578859998 1045277006 /nfs/dbraw/zinc/27/70/06/1045277006.db2.gz NNOWIEGWUOTEJY-BCDXTJNWSA-N 0 3 317.436 4.474 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCOC1)c1csc(-c2ccccc2)n1 ZINC000527927098 1045278794 /nfs/dbraw/zinc/27/87/94/1045278794.db2.gz RQXKHDOKNOCQDI-CABCVRRESA-N 0 3 316.470 4.277 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000527901977 1045279714 /nfs/dbraw/zinc/27/97/14/1045279714.db2.gz DOVHXEJZKIHCKJ-HIFRSBDPSA-N 0 3 319.399 4.173 20 0 DIADHN C[C@H](NCCC1CC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527913610 1045281963 /nfs/dbraw/zinc/28/19/63/1045281963.db2.gz KSIAJBJLSLXDHR-ZDUSSCGKSA-N 0 3 319.399 4.173 20 0 DIADHN FC(F)(F)[C@H](CN[C@@H]1CCCCc2ccccc21)n1cccn1 ZINC000527939435 1045283304 /nfs/dbraw/zinc/28/33/04/1045283304.db2.gz OZYIGIQPKIZBPT-CVEARBPZSA-N 0 3 323.362 4.044 20 0 DIADHN COc1cccc([C@H](CN[C@@H](C)c2cc(F)cc(F)c2)OC)c1 ZINC000527920604 1045283945 /nfs/dbraw/zinc/28/39/45/1045283945.db2.gz OEFBLSKZPCAPOP-SGTLLEGYSA-N 0 3 321.367 4.012 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2c(C)nsc2C)c1 ZINC000578949878 1045284652 /nfs/dbraw/zinc/28/46/52/1045284652.db2.gz UHIIPVBHAFMRDO-KRWDZBQOSA-N 0 3 302.443 4.106 20 0 DIADHN C[C@H](CN1CCc2ccc(O)cc2C1)c1cccc(Cl)c1 ZINC000579059306 1045292275 /nfs/dbraw/zinc/29/22/75/1045292275.db2.gz JDWZKNHLADVKNM-CYBMUJFWSA-N 0 3 301.817 4.207 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)NCc1cccnc1C ZINC000527988704 1045294530 /nfs/dbraw/zinc/29/45/30/1045294530.db2.gz UDDRISJFNVFXCW-ZDUSSCGKSA-N 0 3 324.453 4.068 20 0 DIADHN CC[C@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1cccc(F)c1 ZINC000528042460 1045296858 /nfs/dbraw/zinc/29/68/58/1045296858.db2.gz FJHVJENCEGDKJT-HKUYNNGSSA-N 0 3 314.404 4.421 20 0 DIADHN CC[C@@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1ccc(F)cc1 ZINC000528043108 1045296915 /nfs/dbraw/zinc/29/69/15/1045296915.db2.gz KJJBKYKPQIJCEY-IEBWSBKVSA-N 0 3 314.404 4.421 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2ccc(F)cc2)C2CC2)cn1 ZINC000528044241 1045299155 /nfs/dbraw/zinc/29/91/55/1045299155.db2.gz SKYWNWOXAIRVON-KZULUSFZSA-N 0 3 300.377 4.031 20 0 DIADHN CC(C)=CCC[C@@H](C)NCC(=O)c1ccc(Br)cc1 ZINC001258078427 1045310718 /nfs/dbraw/zinc/31/07/18/1045310718.db2.gz WMYJHDPYLGWLRY-CYBMUJFWSA-N 0 3 324.262 4.356 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)n1C ZINC000579496770 1045318620 /nfs/dbraw/zinc/31/86/20/1045318620.db2.gz QXWYHLFDWQXCLM-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN CSCc1cnc(CNCCc2ccc(C(C)C)cc2)s1 ZINC000579620301 1045326249 /nfs/dbraw/zinc/32/62/49/1045326249.db2.gz DAIRFEVZPNEKOL-UHFFFAOYSA-N 0 3 320.527 4.462 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000579621418 1045326314 /nfs/dbraw/zinc/32/63/14/1045326314.db2.gz IOMUNOLJHUZKIP-DLBZAZTESA-N 0 3 314.473 4.006 20 0 DIADHN CCCCCc1ccc([C@H](NCc2cncn2C)C2CC2)cc1 ZINC000579651679 1045328972 /nfs/dbraw/zinc/32/89/72/1045328972.db2.gz OULKIAGRUZOLAE-FQEVSTJZSA-N 0 3 311.473 4.394 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2cc3cccnc3o2)c1 ZINC000449008332 1045332605 /nfs/dbraw/zinc/33/26/05/1045332605.db2.gz JJDCGSGQIGKMIW-SFHVURJKSA-N 0 3 308.381 4.174 20 0 DIADHN CC(C)OCCCN(C)Cc1cnc(-c2ccccc2F)s1 ZINC000449031476 1045335106 /nfs/dbraw/zinc/33/51/06/1045335106.db2.gz VLLBEICPDKUVDL-UHFFFAOYSA-N 0 3 322.449 4.196 20 0 DIADHN c1c2cccnc2oc1CN(Cc1ccccc1)C[C@H]1CCCO1 ZINC000449022472 1045337654 /nfs/dbraw/zinc/33/76/54/1045337654.db2.gz XSDYNVTZAZORJC-GOSISDBHSA-N 0 3 322.408 4.009 20 0 DIADHN Cc1cc(CNc2cccc(CN3CCSCC3)c2)cs1 ZINC000449051765 1045340882 /nfs/dbraw/zinc/34/08/82/1045340882.db2.gz BHWPHEGOPVHMSN-UHFFFAOYSA-N 0 3 318.511 4.217 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc(F)nc2)C2CCCC2)cc1 ZINC000189016149 1045341506 /nfs/dbraw/zinc/34/15/06/1045341506.db2.gz NHCNRESNRIKOPM-SFHVURJKSA-N 0 3 302.368 4.381 20 0 DIADHN CCCC[C@@H](NCc1ccc(F)nc1)c1ccc(OC)cc1 ZINC000189043188 1045341904 /nfs/dbraw/zinc/34/19/04/1045341904.db2.gz TUEUTIBQUWSDJC-QGZVFWFLSA-N 0 3 302.393 4.250 20 0 DIADHN Clc1ccc(C[C@@H]2CCC[C@H]2NCc2nccs2)cc1 ZINC000449085521 1045342142 /nfs/dbraw/zinc/34/21/42/1045342142.db2.gz OBUNWWZYJQVASD-DZGCQCFKSA-N 0 3 306.862 4.298 20 0 DIADHN Fc1ccc(CNC2(c3cccc(Cl)c3)CCCC2)cn1 ZINC000189148595 1045342628 /nfs/dbraw/zinc/34/26/28/1045342628.db2.gz HRKFWNIJIDLGIN-UHFFFAOYSA-N 0 3 304.796 4.433 20 0 DIADHN COc1ccc([C@H](NCc2cc3cccnc3o2)C2CC2)cc1 ZINC000449069142 1045343151 /nfs/dbraw/zinc/34/31/51/1045343151.db2.gz WIQDWFXVQPROHD-GOSISDBHSA-N 0 3 308.381 4.077 20 0 DIADHN COc1ccc([C@@H](NCc2cc3cccnc3o2)C2CC2)cc1 ZINC000449069143 1045343299 /nfs/dbraw/zinc/34/32/99/1045343299.db2.gz WIQDWFXVQPROHD-SFHVURJKSA-N 0 3 308.381 4.077 20 0 DIADHN Cc1cnc(CN[C@H](C)CCc2ccc(OC(C)C)cc2)s1 ZINC000449090988 1045348108 /nfs/dbraw/zinc/34/81/08/1045348108.db2.gz OXNBIEYCFKQGGP-CQSZACIVSA-N 0 3 318.486 4.350 20 0 DIADHN Cc1csc([C@@H](C)N[C@@H](C)Cc2coc3ccccc23)n1 ZINC000449119295 1045348843 /nfs/dbraw/zinc/34/88/43/1045348843.db2.gz XYHMAWYWFCDXFQ-WCQYABFASA-N 0 3 300.427 4.480 20 0 DIADHN Cc1csc(C(C)(C)NC[C@H](C)c2cc(F)cc(F)c2)n1 ZINC000449138608 1045350641 /nfs/dbraw/zinc/35/06/41/1045350641.db2.gz CPUHIVPSJWSUQX-JTQLQIEISA-N 0 3 310.413 4.358 20 0 DIADHN CCn1nnc(C)c1CNC(CC)(CC)c1ccc(Cl)cc1 ZINC000449140660 1045352486 /nfs/dbraw/zinc/35/24/86/1045352486.db2.gz JQFJBIOSSLLECP-UHFFFAOYSA-N 0 3 320.868 4.065 20 0 DIADHN CC[C@](C)(NC[C@H](C)c1cc(F)cc(F)c1)c1nccs1 ZINC000449157596 1045353380 /nfs/dbraw/zinc/35/33/80/1045353380.db2.gz QQITUPYXPXVVNH-ZBEGNZNMSA-N 0 3 310.413 4.440 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2NCc1ccc(OC(C)(C)C)nc1 ZINC000779183280 1045353730 /nfs/dbraw/zinc/35/37/30/1045353730.db2.gz UMRZOBORZURFIS-SFHVURJKSA-N 0 3 310.441 4.344 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)CC(C)(C)C)c1nc(-c2ccncc2)no1 ZINC000449224173 1045364096 /nfs/dbraw/zinc/36/40/96/1045364096.db2.gz KZEBDLKSNLJNBY-DZGCQCFKSA-N 0 3 316.449 4.243 20 0 DIADHN C/C(Cl)=C/CN[C@@H](c1cncc(Br)c1)C1CC1 ZINC000528496458 1045366437 /nfs/dbraw/zinc/36/64/37/1045366437.db2.gz HNRCLUPIPSZYFA-VVOKDJNJSA-N 0 3 315.642 4.027 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(Cc2cc3cccnc3o2)C1 ZINC000449249359 1045366602 /nfs/dbraw/zinc/36/66/02/1045366602.db2.gz LSORUTGPHXYLDS-ZDUSSCGKSA-N 0 3 314.335 4.096 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2CC[C@H](c3ccc(Cl)cc3)C2)s1 ZINC000449240162 1045368611 /nfs/dbraw/zinc/36/86/11/1045368611.db2.gz XXCKFJNQWYPFII-VZJVUDMVSA-N 0 3 321.877 4.487 20 0 DIADHN CC(C)[C@@H](NCc1ccc(Br)cc1)c1ccccn1 ZINC000076903193 1045376247 /nfs/dbraw/zinc/37/62/47/1045376247.db2.gz VZXDSFQXYBKOOB-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cccc2ccoc21 ZINC000449313841 1045376344 /nfs/dbraw/zinc/37/63/44/1045376344.db2.gz PNYGFWRRNVWDOA-KRWDZBQOSA-N 0 3 311.356 4.358 20 0 DIADHN OCC1([C@@H](NCc2cccc3ccoc32)c2ccccc2)CCC1 ZINC000449340116 1045377692 /nfs/dbraw/zinc/37/76/92/1045377692.db2.gz MQIAGEUBLMSKFF-FQEVSTJZSA-N 0 3 321.420 4.426 20 0 DIADHN C[C@@H](CN[C@@H](C)c1c(F)cncc1F)c1cc(F)cc(F)c1 ZINC000449322603 1045378300 /nfs/dbraw/zinc/37/83/00/1045378300.db2.gz YENCGAFRQZGSII-UWVGGRQHSA-N 0 3 312.310 4.092 20 0 DIADHN Cc1cnc(CNCC[C@H](c2ccccc2)C(F)(F)F)s1 ZINC000449341895 1045378338 /nfs/dbraw/zinc/37/83/38/1045378338.db2.gz XGMMVRJMDBXCOS-CYBMUJFWSA-N 0 3 314.376 4.277 20 0 DIADHN Cc1nn(C(C)C)cc1CN[C@@H]1CCCc2c(F)cccc21 ZINC000449348426 1045378879 /nfs/dbraw/zinc/37/88/79/1045378879.db2.gz GGXVBXCYIIRBSQ-GOSISDBHSA-N 0 3 301.409 4.079 20 0 DIADHN C[C@H](CN1CC[C@@]2(C)[C@@H](C1)C2(F)F)c1cc(F)cc(F)c1 ZINC000449336237 1045380763 /nfs/dbraw/zinc/38/07/63/1045380763.db2.gz MERZSKVNMZZDNF-KMUNFCNLSA-N 0 3 301.327 4.045 20 0 DIADHN CCc1ccc(CN2[C@H](C)[C@H](CC(F)(F)F)C[C@@H]2C)cn1 ZINC000449364530 1045382051 /nfs/dbraw/zinc/38/20/51/1045382051.db2.gz RJFKIKKTEFMMTE-SCRDCRAPSA-N 0 3 300.368 4.195 20 0 DIADHN Cc1ccccc1CCN(C)Cc1cnc(-c2ccncc2)s1 ZINC000449381257 1045387422 /nfs/dbraw/zinc/38/74/22/1045387422.db2.gz RFQWCDJPHCXXBL-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CCC(CF)CC2)cn1 ZINC000449450999 1045394721 /nfs/dbraw/zinc/39/47/21/1045394721.db2.gz CKJYURGDNBSLNS-UHFFFAOYSA-N 0 3 313.420 4.031 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1csc(-c2ncccn2)n1 ZINC000449437351 1045396709 /nfs/dbraw/zinc/39/67/09/1045396709.db2.gz YYFIEUYWNAATDI-KRWDZBQOSA-N 0 3 316.474 4.001 20 0 DIADHN CCCc1cccc(CNCc2ccnc(C(F)(F)F)c2)c1 ZINC000449436071 1045396725 /nfs/dbraw/zinc/39/67/25/1045396725.db2.gz CPEJCYLPHYEOSF-UHFFFAOYSA-N 0 3 308.347 4.343 20 0 DIADHN C[C@H](N[C@@H](CCO)c1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000449439521 1045396776 /nfs/dbraw/zinc/39/67/76/1045396776.db2.gz VYQKIUFJKHWGPI-GUYCJALGSA-N 0 3 323.358 4.480 20 0 DIADHN C[C@H](CNC(C)(C)c1nnc2n1CCCC2)CC1CCCCC1 ZINC000449461195 1045399632 /nfs/dbraw/zinc/39/96/32/1045399632.db2.gz OXSMLSUQXZFLOY-HNNXBMFYSA-N 0 3 318.509 4.046 20 0 DIADHN Cc1csc(CNCc2ccc(OCC(F)(F)F)cc2)c1 ZINC000449481071 1045400618 /nfs/dbraw/zinc/40/06/18/1045400618.db2.gz QSGNVUMCRCCLFK-UHFFFAOYSA-N 0 3 315.360 4.287 20 0 DIADHN CCO[C@H]1CCN(Cc2ccc(Cl)c3cccnc23)C[C@H]1C ZINC000449490090 1045402452 /nfs/dbraw/zinc/40/24/52/1045402452.db2.gz BMBDEERYQFFLMO-DYVFJYSZSA-N 0 3 318.848 4.135 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@]2(CCc3ccccc3O2)C1 ZINC000528733448 1045406009 /nfs/dbraw/zinc/40/60/09/1045406009.db2.gz IKLTWYHZMDLWRJ-JXFKEZNVSA-N 0 3 308.425 4.002 20 0 DIADHN CO[C@@H](CN1CCC(F)(F)C[C@@H]1C)c1ccc(Cl)cc1 ZINC000528773020 1045413117 /nfs/dbraw/zinc/41/31/17/1045413117.db2.gz NVFULHUVJBCXHR-FZMZJTMJSA-N 0 3 303.780 4.147 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cc(C)oc1C)c1ccc2c(c1)OCCO2 ZINC000119381646 1045421015 /nfs/dbraw/zinc/42/10/15/1045421015.db2.gz RHOMZLYCXLPCKZ-SUMWQHHRSA-N 0 3 315.413 4.470 20 0 DIADHN CC[C@@H](CC(=O)Nc1ccc2c(c1)CNC2)c1ccc(C)cc1 ZINC000449670572 1045425310 /nfs/dbraw/zinc/42/53/10/1045425310.db2.gz JAXLNGDUSXDBFJ-HNNXBMFYSA-N 0 3 308.425 4.121 20 0 DIADHN CC1(C2(NCc3ccc(Sc4ccccn4)o3)CC2)CC1 ZINC000449665596 1045425381 /nfs/dbraw/zinc/42/53/81/1045425381.db2.gz FOHQHSZWWVVMFP-UHFFFAOYSA-N 0 3 300.427 4.248 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3cnc(C4CC4)o3)CC2)cc1 ZINC000449708803 1045429252 /nfs/dbraw/zinc/42/92/52/1045429252.db2.gz WQUSTRCRNYBNMV-KRWDZBQOSA-N 0 3 312.388 4.417 20 0 DIADHN Cc1[nH]nc2ncc(CN3CCC[C@H]3c3ccc(F)cc3C)cc12 ZINC000449729863 1045429874 /nfs/dbraw/zinc/42/98/74/1045429874.db2.gz BZSRVEBNAZJQSA-SFHVURJKSA-N 0 3 324.403 4.051 20 0 DIADHN COc1cc([C@@H](C)N2CCC[C@@]3(CCSC3)C2)ccc1F ZINC000449731172 1045430159 /nfs/dbraw/zinc/43/01/59/1045430159.db2.gz HQJUNVBXRBJELE-CXAGYDPISA-N 0 3 309.450 4.114 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2cnc(C)cc2C)cc1 ZINC000449734810 1045431893 /nfs/dbraw/zinc/43/18/93/1045431893.db2.gz OIOFIGQGKLAFAE-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN COc1cccnc1CNC[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000449748802 1045434384 /nfs/dbraw/zinc/43/43/84/1045434384.db2.gz NLLXURPCSQVTKH-GFCCVEGCSA-N 0 3 324.346 4.002 20 0 DIADHN Cc1cccc(CN[C@H](c2cccs2)C2(CO)CCC2)c1C ZINC000449764802 1045434480 /nfs/dbraw/zinc/43/44/80/1045434480.db2.gz DPCNHGNMFZBAIZ-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN COC[C@@H]1CCCN(Cc2nc(-c3ccccc3)cs2)CC1 ZINC000449771172 1045435183 /nfs/dbraw/zinc/43/51/83/1045435183.db2.gz FJZXXPDWCBBZMG-OAHLLOKOSA-N 0 3 316.470 4.059 20 0 DIADHN COC[C@H]1CCCN(Cc2cnc(C3CCCC3)s2)CC1 ZINC000449781708 1045437623 /nfs/dbraw/zinc/43/76/23/1045437623.db2.gz XPXXEIHYXILFNT-AWEZNQCLSA-N 0 3 308.491 4.049 20 0 DIADHN CCC[C@H]1CCC[C@@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000449860956 1045445183 /nfs/dbraw/zinc/44/51/83/1045445183.db2.gz MMHOECCRWYMPNL-RDJZCZTQSA-N 0 3 302.462 4.212 20 0 DIADHN CCc1nc(CNCC2CCC(C(F)(F)F)CC2)cs1 ZINC000072928472 1045452452 /nfs/dbraw/zinc/45/24/52/1045452452.db2.gz SIYHOVQRADEYKO-UHFFFAOYSA-N 0 3 306.397 4.164 20 0 DIADHN CC(C)(C)[NH2+]Cc1ccc(Nc2ccc([O-])c(F)c2F)cc1 ZINC001204691008 1045455372 /nfs/dbraw/zinc/45/53/72/1045455372.db2.gz QVZAIECRJPYEFF-UHFFFAOYSA-N 0 3 306.356 4.302 20 0 DIADHN CCCC[C@H](CC)COCCCNc1ccc(CN(C)C)cn1 ZINC001168115629 1045473095 /nfs/dbraw/zinc/47/30/95/1045473095.db2.gz WPFXSPZEZNANGR-KRWDZBQOSA-N 0 3 321.509 4.178 20 0 DIADHN CCCC[C@@H](CC)COCCCNc1ccc(CN(C)C)cn1 ZINC001168115633 1045473183 /nfs/dbraw/zinc/47/31/83/1045473183.db2.gz WPFXSPZEZNANGR-QGZVFWFLSA-N 0 3 321.509 4.178 20 0 DIADHN CCc1ccc2nccc(N(C)Cc3nccn3C(F)F)c2c1 ZINC000450244327 1045477742 /nfs/dbraw/zinc/47/77/42/1045477742.db2.gz DWHQIUOOSNXAEU-UHFFFAOYSA-N 0 3 316.355 4.025 20 0 DIADHN FC(F)(F)c1sccc1CN1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000529538294 1045495220 /nfs/dbraw/zinc/49/52/20/1045495220.db2.gz ZLKYQZFQIYEDJO-YPMHNXCESA-N 0 3 319.392 4.015 20 0 DIADHN C[C@]12CCN(Cc3ccc4cc[nH]c4c3)C[C@H]1C2(Cl)Cl ZINC000529553853 1045496760 /nfs/dbraw/zinc/49/67/60/1045496760.db2.gz HCGRXMIYAZBVPZ-CABCVRRESA-N 0 3 309.240 4.184 20 0 DIADHN CO[C@H](Cc1ccccc1)CN(C)[C@@H](C)c1sc(C)nc1C ZINC000450386739 1045499987 /nfs/dbraw/zinc/49/99/87/1045499987.db2.gz FYBDOAYJKMKDGS-WMLDXEAASA-N 0 3 318.486 4.010 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc2cc[nH]c2c1)c1cccs1 ZINC000529600079 1045501076 /nfs/dbraw/zinc/50/10/76/1045501076.db2.gz HZPLMIQAWQETJT-QGZVFWFLSA-N 0 3 314.454 4.079 20 0 DIADHN COCc1ccc(CN[C@H]2C[C@H](c3ccc(Cl)cc3)C2)o1 ZINC000475667003 1045506793 /nfs/dbraw/zinc/50/67/93/1045506793.db2.gz FBULKGHRJWHUFV-CTYIDZIISA-N 0 3 305.805 4.115 20 0 DIADHN c1coc(CC2CN(Cc3cccc(-c4ccccn4)c3)C2)c1 ZINC000529648349 1045506854 /nfs/dbraw/zinc/50/68/54/1045506854.db2.gz ADKXQCIGJBUTBJ-UHFFFAOYSA-N 0 3 304.393 4.016 20 0 DIADHN CC[C@@H](NCc1coc2ccccc12)c1ccc2c(c1)OCCO2 ZINC000121089435 1045509676 /nfs/dbraw/zinc/50/96/76/1045509676.db2.gz BTQXLEUCUZGFTC-QGZVFWFLSA-N 0 3 323.392 4.445 20 0 DIADHN CC(C)c1ccsc1C(=O)NC[C@H]1CCN1C1CCCCC1 ZINC000529720909 1045518357 /nfs/dbraw/zinc/51/83/57/1045518357.db2.gz STPPFOUMARXTNK-OAHLLOKOSA-N 0 3 320.502 4.008 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)CCCC(=O)c1ccc(F)cc1 ZINC000450551477 1045522193 /nfs/dbraw/zinc/52/21/93/1045522193.db2.gz DYTQVULXODZQED-AWEZNQCLSA-N 0 3 315.388 4.187 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCc1ccns1 ZINC001119771780 1045525428 /nfs/dbraw/zinc/52/54/28/1045525428.db2.gz OYGSAWQXVBVRGT-AWEZNQCLSA-N 0 3 314.376 4.277 20 0 DIADHN c1nc(C2CC2)oc1CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000628126636 1045526141 /nfs/dbraw/zinc/52/61/41/1045526141.db2.gz ACANFRRIYXJFMC-UHFFFAOYSA-N 0 3 321.424 4.413 20 0 DIADHN COc1ccc([C@@H]2CCCCCN2Cc2cnc(C)o2)cc1 ZINC000628124300 1045526288 /nfs/dbraw/zinc/52/62/88/1045526288.db2.gz APOXYVBZRDIJFV-SFHVURJKSA-N 0 3 300.402 4.109 20 0 DIADHN C[C@@H]1CC(NCC(=O)c2cccc(Br)c2)C[C@@H](C)C1 ZINC001258151238 1045530453 /nfs/dbraw/zinc/53/04/53/1045530453.db2.gz HIJABIGUYLPUPI-RYUDHWBXSA-N 0 3 324.262 4.046 20 0 DIADHN Cc1ncc(CNCCC(c2ccccc2)c2ccccc2)o1 ZINC000628199887 1045542761 /nfs/dbraw/zinc/54/27/61/1045542761.db2.gz NDDMGPKRQLWBKZ-UHFFFAOYSA-N 0 3 306.409 4.295 20 0 DIADHN Clc1ccc(CCNCc2cnc(C3CC3)o2)c(Cl)c1 ZINC000628200055 1045543012 /nfs/dbraw/zinc/54/30/12/1045543012.db2.gz VTHWVTBEFVJRKU-UHFFFAOYSA-N 0 3 311.212 4.191 20 0 DIADHN Clc1ccc(OCCCCNCc2cnc(C3CC3)o2)cc1 ZINC000628209562 1045544141 /nfs/dbraw/zinc/54/41/41/1045544141.db2.gz KNXPFCSVARSRGR-UHFFFAOYSA-N 0 3 320.820 4.154 20 0 DIADHN CC[C@H](NCc1nnc(C2CC2)s1)C(C)(C)c1ccccc1 ZINC000450683769 1045545947 /nfs/dbraw/zinc/54/59/47/1045545947.db2.gz HSBNIOBAOLDURM-HNNXBMFYSA-N 0 3 315.486 4.262 20 0 DIADHN Cc1ccc([C@H](C)CNCc2nc(C(F)(F)F)cs2)cc1 ZINC000628218826 1045547099 /nfs/dbraw/zinc/54/70/99/1045547099.db2.gz RVAAIJACNPDJPJ-LLVKDONJSA-N 0 3 314.376 4.364 20 0 DIADHN Cc1nc(C)c(CNCC(C)(C)c2ccc(F)cc2Cl)o1 ZINC000628216896 1045547743 /nfs/dbraw/zinc/54/77/43/1045547743.db2.gz DLZBPNYHXYLGBK-UHFFFAOYSA-N 0 3 310.800 4.151 20 0 DIADHN Cc1nc(C)c(CNCCCOc2ccc3ccccc3c2)o1 ZINC000628228623 1045548913 /nfs/dbraw/zinc/54/89/13/1045548913.db2.gz UYYICVYTEJAODZ-UHFFFAOYSA-N 0 3 310.397 4.003 20 0 DIADHN Cc1nc(C)c(CN[C@@H](C)Cc2ccccc2C(F)(F)F)o1 ZINC000628236312 1045552721 /nfs/dbraw/zinc/55/27/21/1045552721.db2.gz XKVJLWGPUQRCMZ-JTQLQIEISA-N 0 3 312.335 4.031 20 0 DIADHN Cc1ncc(CN2CCC(c3ccc(C(F)(F)F)cc3)CC2)o1 ZINC000628235653 1045552780 /nfs/dbraw/zinc/55/27/80/1045552780.db2.gz XLDCESRCFZPEPF-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN C[C@@H](N[C@@H](C)c1nc(C(F)(F)F)cs1)C1CC(F)(F)C1 ZINC000628254068 1045556180 /nfs/dbraw/zinc/55/61/80/1045556180.db2.gz LBJSTHRAYLRWLZ-RQJHMYQMSA-N 0 3 314.323 4.246 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2ccccc2OC2CC2)C1 ZINC000450749078 1045556605 /nfs/dbraw/zinc/55/66/05/1045556605.db2.gz YCVYBJZTECEZKF-HNAYVOBHSA-N 0 3 308.425 4.206 20 0 DIADHN CCC[C@@H](NCC/C=C/c1ccccc1)c1nnc2ccccn21 ZINC000450724217 1045557292 /nfs/dbraw/zinc/55/72/92/1045557292.db2.gz FUXRJAQGTMYGCI-QPEODSHDSA-N 0 3 320.440 4.264 20 0 DIADHN Clc1cccc([C@H]2C[C@H](NCc3cnc(C4CC4)o3)C2)c1 ZINC000628258610 1045558566 /nfs/dbraw/zinc/55/85/66/1045558566.db2.gz KPNJUQGCSRYPDQ-CTYIDZIISA-N 0 3 302.805 4.241 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2ccc(Cl)cc2Cl)C1(C)C ZINC001460116796 1045559087 /nfs/dbraw/zinc/55/90/87/1045559087.db2.gz BPPZSLOAIHHQHF-UKRRQHHQSA-N 0 3 302.245 4.287 20 0 DIADHN O[C@@H](C[C@@H]1CCCN1Cc1cccc(Cl)c1)c1cccs1 ZINC000750834884 1045559372 /nfs/dbraw/zinc/55/93/72/1045559372.db2.gz XHRMNNGWQMEVSD-HOTGVXAUSA-N 0 3 321.873 4.490 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1ccc(Cl)cc1)c1cccs1 ZINC000750838535 1045561229 /nfs/dbraw/zinc/56/12/29/1045561229.db2.gz KXJNSZWNWNIZCT-HZPDHXFCSA-N 0 3 321.873 4.490 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1cccc(Cl)c1)c1cccs1 ZINC000750834886 1045562399 /nfs/dbraw/zinc/56/23/99/1045562399.db2.gz XHRMNNGWQMEVSD-HZPDHXFCSA-N 0 3 321.873 4.490 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NC[C@H]1CCCCC1(F)F ZINC000450758252 1045563750 /nfs/dbraw/zinc/56/37/50/1045563750.db2.gz OBJOLBKJHNXUTP-CYBMUJFWSA-N 0 3 321.415 4.224 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2C[C@@H](C)C(F)(F)[C@H](C)C2)c1 ZINC000628390690 1045574354 /nfs/dbraw/zinc/57/43/54/1045574354.db2.gz MNDBVYRMPXLIIA-ZIAGYGMSSA-N 0 3 319.399 4.108 20 0 DIADHN O=C(CCCN1CC[C@@H](CC(F)(F)F)C1)c1ccc(F)cc1 ZINC000450861049 1045581603 /nfs/dbraw/zinc/58/16/03/1045581603.db2.gz PGCREFNYMBRYTL-LBPRGKRZSA-N 0 3 317.326 4.063 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@H]4CC[C@@H](C3)S4)s2)c1 ZINC000628422592 1045582387 /nfs/dbraw/zinc/58/23/87/1045582387.db2.gz ATRKCEIEUPOTRD-NEPJUHHUSA-N 0 3 322.524 4.342 20 0 DIADHN CC[C@H](NCc1ccc(N2CCCC2=O)cc1)c1ccsc1 ZINC000382148671 1045587454 /nfs/dbraw/zinc/58/74/54/1045587454.db2.gz RXHYSZWPIGBQML-KRWDZBQOSA-N 0 3 314.454 4.116 20 0 DIADHN COCCN(CC/C=C\c1ccccc1)Cc1scnc1C ZINC000450884644 1045589010 /nfs/dbraw/zinc/58/90/10/1045589010.db2.gz SLDBVGIPQSHFDD-POHAHGRESA-N 0 3 316.470 4.003 20 0 DIADHN CCc1cc(CNCC(C)=Cc2ccccc2C(F)(F)F)[nH]n1 ZINC000800239010 1045591243 /nfs/dbraw/zinc/59/12/43/1045591243.db2.gz CMDQQCJIHZHLTB-XYOKQWHBSA-N 0 3 323.362 4.184 20 0 DIADHN CC(C)c1cnc(CN[C@H]2Cc3ccc(Cl)cc3C2)s1 ZINC000800286165 1045605579 /nfs/dbraw/zinc/60/55/79/1045605579.db2.gz IVDRHHSKZCFKPE-AWEZNQCLSA-N 0 3 306.862 4.177 20 0 DIADHN Fc1ccc2[nH]cc(CCCNCc3cccnc3Cl)c2c1 ZINC000800300359 1045608114 /nfs/dbraw/zinc/60/81/14/1045608114.db2.gz PHJULTCQVPTXOU-UHFFFAOYSA-N 0 3 317.795 4.078 20 0 DIADHN COc1cc(CN2CCC[C@@H](c3ccncc3)C2)ccc1Cl ZINC000451002513 1045608744 /nfs/dbraw/zinc/60/87/44/1045608744.db2.gz LUVIWWJWGMTRLA-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN CSc1ccccc1[C@@H](C)NC[C@H](O)c1cc(F)ccc1F ZINC000800394497 1045615417 /nfs/dbraw/zinc/61/54/17/1045615417.db2.gz HDVRERYSCXMPJT-BZNIZROVSA-N 0 3 323.408 4.071 20 0 DIADHN CC[C@@H](NC(=O)[C@H](C)N1CCCCCC1)c1cccc(Cl)c1 ZINC000303608520 1045626270 /nfs/dbraw/zinc/62/62/70/1045626270.db2.gz YMLGXOXVYGTJSW-WMLDXEAASA-N 0 3 322.880 4.172 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2cc(F)cc(Cl)c2)oc1C ZINC000800491058 1045630291 /nfs/dbraw/zinc/63/02/91/1045630291.db2.gz NFQIHWKNWUQJDP-ZUZCIYMTSA-N 0 3 311.784 4.073 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCC2(CCC(O)CC2)CC1 ZINC000451145715 1045633356 /nfs/dbraw/zinc/63/33/56/1045633356.db2.gz LMRGKPCXKUWTLI-CYBMUJFWSA-N 0 3 309.400 4.043 20 0 DIADHN CN(C/C=C\c1ccc(Cl)cc1)CCc1ccccc1O ZINC000530336495 1045645477 /nfs/dbraw/zinc/64/54/77/1045645477.db2.gz XQHMGEDKBGSFED-PLNGDYQASA-N 0 3 301.817 4.233 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1ccc(F)cc1)c1ccccc1F ZINC000752089805 1045658494 /nfs/dbraw/zinc/65/84/94/1045658494.db2.gz QANPNIDZHKFQHG-MOPGFXCFSA-N 0 3 317.379 4.225 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCC[C@H](C(C)(C)C)CC2)c1 ZINC000303871604 1045660758 /nfs/dbraw/zinc/66/07/58/1045660758.db2.gz NPXFBPHUWMJEHS-SFHVURJKSA-N 0 3 316.489 4.037 20 0 DIADHN Cc1nc(F)ccc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000785412222 1045662706 /nfs/dbraw/zinc/66/27/06/1045662706.db2.gz CBWYFTXAUQSOLH-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN C[C@H](c1cc(F)ccc1F)N1CCCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000451343399 1045664209 /nfs/dbraw/zinc/66/42/09/1045664209.db2.gz OFJSFMUEYRZJFW-KBEZCGTCSA-N 0 3 309.400 4.041 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000451348617 1045665540 /nfs/dbraw/zinc/66/55/40/1045665540.db2.gz WCQHYVPTACCAFQ-DLTLXFJOSA-N 0 3 309.400 4.041 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1ccnc2ccccc12 ZINC000530439923 1045667386 /nfs/dbraw/zinc/66/73/86/1045667386.db2.gz JRKLDVBDLGVRAC-LJQANCHMSA-N 0 3 304.393 4.157 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@@H]2CCC3(CCCC3)O2)s1 ZINC000530462874 1045668854 /nfs/dbraw/zinc/66/88/54/1045668854.db2.gz NTRBXWOEDWMMNF-CABCVRRESA-N 0 3 322.518 4.499 20 0 DIADHN O[C@H](CN1CC=C(c2ccc(Cl)cc2)CC1)c1ccccc1 ZINC000752339890 1045675073 /nfs/dbraw/zinc/67/50/73/1045675073.db2.gz ALWFTWQHEPRBHU-LJQANCHMSA-N 0 3 313.828 4.163 20 0 DIADHN Fc1cccc(-c2nc(CN[C@@H]3CCC34CCCC4)co2)c1 ZINC000451466977 1045688108 /nfs/dbraw/zinc/68/81/08/1045688108.db2.gz IUNIUKPFVURUMU-MRXNPFEDSA-N 0 3 300.377 4.293 20 0 DIADHN Fc1cccc(-c2nc(CN[C@H]3CCC34CCCC4)co2)c1 ZINC000451466975 1045688936 /nfs/dbraw/zinc/68/89/36/1045688936.db2.gz IUNIUKPFVURUMU-INIZCTEOSA-N 0 3 300.377 4.293 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(OC2CCCC2)cc1)N(CC)CC ZINC000530573413 1045693214 /nfs/dbraw/zinc/69/32/14/1045693214.db2.gz ZSEVJWFJBYRHGF-GOSISDBHSA-N 0 3 318.461 4.067 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2cscc2Cl)C1(C)C ZINC001461281349 1045697119 /nfs/dbraw/zinc/69/71/19/1045697119.db2.gz AJLINBQBDDJRJH-KGLIPLIRSA-N 0 3 301.883 4.475 20 0 DIADHN CO[C@@H]1CCN(Cc2c(C)cc(C)c(C(C)=O)c2C)CC1(C)C ZINC000451552474 1045697755 /nfs/dbraw/zinc/69/77/55/1045697755.db2.gz NDWDXISYUPZRLL-GOSISDBHSA-N 0 3 317.473 4.061 20 0 DIADHN FC(F)(F)Cc1ccccc1-c1ccc([C@H]2CNCCO2)cc1 ZINC000629754410 1045708826 /nfs/dbraw/zinc/70/88/26/1045708826.db2.gz QVDJPOJCQDDCNY-QGZVFWFLSA-N 0 3 321.342 4.119 20 0 DIADHN CC[C@H](F)CN[C@@H](CCOC)c1cccc(C(F)(F)F)c1 ZINC000451689569 1045714445 /nfs/dbraw/zinc/71/44/45/1045714445.db2.gz JKNGWIVLTKNCPR-KBPBESRZSA-N 0 3 307.331 4.121 20 0 DIADHN C[C@@H](c1cccc(Br)c1)N1CCC[C@]2(CCOC2)C1 ZINC000451903125 1045745028 /nfs/dbraw/zinc/74/50/28/1045745028.db2.gz PHLAHITURYPJHL-BBRMVZONSA-N 0 3 324.262 4.013 20 0 DIADHN CC[C@@H](Cc1ccccc1)C(=O)Nc1cc(CN(C)C)ccc1C ZINC000530898066 1045747148 /nfs/dbraw/zinc/74/71/48/1045747148.db2.gz UHHZKFVLKDFKGV-IBGZPJMESA-N 0 3 324.468 4.264 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000451963506 1045753257 /nfs/dbraw/zinc/75/32/57/1045753257.db2.gz JBBBQGBBVXEMLD-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN CCc1c(-c2csc([C@H]3CCCCN3)n2)[nH]c(C)c1C(C)=O ZINC000630381773 1045756121 /nfs/dbraw/zinc/75/61/21/1045756121.db2.gz WRCWGRKDKHUUPE-CYBMUJFWSA-N 0 3 317.458 4.026 20 0 DIADHN COc1ccc(CN(C)Cc2cc(C)c3ncccc3c2)cc1F ZINC000753540972 1045760064 /nfs/dbraw/zinc/76/00/64/1045760064.db2.gz WTJXEEJHVFLHIK-UHFFFAOYSA-N 0 3 324.399 4.323 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](CNCc2csc(C3CC3)n2)C1 ZINC000530974756 1045764721 /nfs/dbraw/zinc/76/47/21/1045764721.db2.gz PGNPMEWTJOZIBB-JQWIXIFHSA-N 0 3 318.408 4.479 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H]2CCc3c2cccc3O)cc1C ZINC000753655642 1045783910 /nfs/dbraw/zinc/78/39/10/1045783910.db2.gz VNWYKPHMWZQPAI-RDTXWAMCSA-N 0 3 311.425 4.356 20 0 DIADHN COc1cc(C)c([C@H](C)NCc2ccc(C)cc2OC)cc1C ZINC000753669930 1045786777 /nfs/dbraw/zinc/78/67/77/1045786777.db2.gz QKMDFTQPQPHDCT-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](CO)c2ccccc2C)cc1C ZINC000753671711 1045787832 /nfs/dbraw/zinc/78/78/32/1045787832.db2.gz DJOSCVOKISGFLD-VQIMIIECSA-N 0 3 313.441 4.005 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1C ZINC000753688599 1045791932 /nfs/dbraw/zinc/79/19/32/1045791932.db2.gz JXXMFJUIJIXDHC-JXFKEZNVSA-N 0 3 324.468 4.149 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H]1CCc2c1cccc2Cl ZINC000901702593 1045795621 /nfs/dbraw/zinc/79/56/21/1045795621.db2.gz WYEDCYVXHOREFS-MRXNPFEDSA-N 0 3 311.816 4.073 20 0 DIADHN CC(C)OC1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC000531165103 1045796059 /nfs/dbraw/zinc/79/60/59/1045796059.db2.gz TVUVMPXSMGNPEF-UHFFFAOYSA-N 0 3 318.848 4.278 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2csc(C)c2C)cc1 ZINC000631043335 1045799336 /nfs/dbraw/zinc/79/93/36/1045799336.db2.gz RTVDUDRFGKLSLR-UHFFFAOYSA-N 0 3 316.470 4.393 20 0 DIADHN FC(F)c1ccc(CN[C@@H]2CCc3c2cccc3Cl)cn1 ZINC000631054379 1045803303 /nfs/dbraw/zinc/80/33/03/1045803303.db2.gz NSNODHLZUAESBR-CQSZACIVSA-N 0 3 308.759 4.450 20 0 DIADHN Cc1scc(CNCc2ccc(N3CCCCC3)nc2)c1C ZINC000631048374 1045805589 /nfs/dbraw/zinc/80/55/89/1045805589.db2.gz YWDGESPUNGETSX-UHFFFAOYSA-N 0 3 315.486 4.040 20 0 DIADHN CCC[C@H](NC[C@H]1CSCCS1)c1ncccc1Cl ZINC000925242806 1045805854 /nfs/dbraw/zinc/80/58/54/1045805854.db2.gz MEESYTSHRRVASP-AAEUAGOBSA-N 0 3 316.923 4.014 20 0 DIADHN COc1cc(Cl)c(CN[C@@H]2CCCC(F)(F)C2)cc1OC ZINC000631089502 1045816130 /nfs/dbraw/zinc/81/61/30/1045816130.db2.gz CAHRAYNWFAQCIP-LLVKDONJSA-N 0 3 319.779 4.025 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000631108884 1045819077 /nfs/dbraw/zinc/81/90/77/1045819077.db2.gz WGJFQYSJYSSRJT-BZNIZROVSA-N 0 3 324.346 4.024 20 0 DIADHN Fc1cccc2c1CCC[C@@H]2NCc1ccc(C(F)F)nc1 ZINC000631148837 1045825840 /nfs/dbraw/zinc/82/58/40/1045825840.db2.gz VZIVNYINTDKBFF-HNNXBMFYSA-N 0 3 306.331 4.326 20 0 DIADHN C[C@H](O)[C@H](NCc1ccc(CC(F)(F)F)cc1)c1ccccc1 ZINC000631178844 1045829051 /nfs/dbraw/zinc/82/90/51/1045829051.db2.gz NTGZYPWXAMGKRG-GUYCJALGSA-N 0 3 323.358 4.003 20 0 DIADHN CCCN(Cc1nnc(-c2ccc(Cl)cc2)o1)CC(C)C ZINC000531344536 1045829617 /nfs/dbraw/zinc/82/96/17/1045829617.db2.gz AYDVLOKPVPRVLB-UHFFFAOYSA-N 0 3 307.825 4.258 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](CCc1ccccc1)c1ccccn1 ZINC000631199097 1045833249 /nfs/dbraw/zinc/83/32/49/1045833249.db2.gz ICNQBHMFMZWOQC-FXAWDEMLSA-N 0 3 312.457 4.159 20 0 DIADHN Brc1ccsc1CN(CC1CC1)C1CCC1 ZINC000475678680 1045835281 /nfs/dbraw/zinc/83/52/81/1045835281.db2.gz QFHQRWBEAPURJQ-UHFFFAOYSA-N 0 3 300.265 4.275 20 0 DIADHN Cc1scc(CNCc2cn(C)nc2-c2cccs2)c1C ZINC000631207537 1045837267 /nfs/dbraw/zinc/83/72/67/1045837267.db2.gz VJWZRMIOVAAPKL-UHFFFAOYSA-N 0 3 317.483 4.117 20 0 DIADHN CCc1cc(CNCc2ccc(Sc3ccccc3)cc2)n[nH]1 ZINC000754060079 1045841181 /nfs/dbraw/zinc/84/11/81/1045841181.db2.gz NRPGILJMBIVEOI-UHFFFAOYSA-N 0 3 323.465 4.413 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC[C@H]2c2ccccn2)cc1 ZINC000531440060 1045846396 /nfs/dbraw/zinc/84/63/96/1045846396.db2.gz NOQPGUUJEURUIY-INIZCTEOSA-N 0 3 306.331 4.438 20 0 DIADHN CC[C@H](NCc1ccc(C)s1)c1cccc(OC)c1OC ZINC000631265005 1045846698 /nfs/dbraw/zinc/84/66/98/1045846698.db2.gz RUCOPIFIGQQRDV-HNNXBMFYSA-N 0 3 305.443 4.315 20 0 DIADHN CCn1c(CN[C@@H]2CS[C@@H](C(C)(C)C)C2)nc2ccccc21 ZINC000754151422 1045857472 /nfs/dbraw/zinc/85/74/72/1045857472.db2.gz YXZNTCRXXSHHIG-XJKSGUPXSA-N 0 3 317.502 4.066 20 0 DIADHN FC(F)(F)c1cccc(CN[C@@H]2CCCc3ncccc32)c1 ZINC000631560973 1045868181 /nfs/dbraw/zinc/86/81/81/1045868181.db2.gz JOCMHYYBWBBMQG-MRXNPFEDSA-N 0 3 306.331 4.268 20 0 DIADHN CCC[C@H](NCc1ccc(-n2cccn2)cc1)c1cc(C)ccn1 ZINC000631569174 1045871526 /nfs/dbraw/zinc/87/15/26/1045871526.db2.gz JWIYOXMGICGCEQ-IBGZPJMESA-N 0 3 320.440 4.207 20 0 DIADHN COC[C@@H](N[C@@H](C)CCc1c[nH]c2ccccc12)c1ccco1 ZINC000631585721 1045879547 /nfs/dbraw/zinc/87/95/47/1045879547.db2.gz UGUMLKASJIZABJ-KBXCAEBGSA-N 0 3 312.413 4.059 20 0 DIADHN CC(C)(C)OC(=O)C[C@H]1CCCN(C/C=C/c2ccccc2)C1 ZINC000531616316 1045886253 /nfs/dbraw/zinc/88/62/53/1045886253.db2.gz LMGIOSZBRIYRBU-JGZYNSJSSA-N 0 3 315.457 4.144 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@@H]1CCOc2c(C)cccc21 ZINC000631620623 1045889221 /nfs/dbraw/zinc/88/92/21/1045889221.db2.gz ISYNNNYHKCDRKT-RTBURBONSA-N 0 3 309.409 4.104 20 0 DIADHN CCc1ccc2nccc(N3CC[C@H](c4ccccn4)C3)c2c1 ZINC000828080607 1045890892 /nfs/dbraw/zinc/89/08/92/1045890892.db2.gz FXTALYBSDHEICO-INIZCTEOSA-N 0 3 303.409 4.186 20 0 DIADHN CCN(Cc1ccc(Cl)cc1Cl)[C@@H]1CCO[C@@H](C)C1 ZINC001168141422 1045894871 /nfs/dbraw/zinc/89/48/71/1045894871.db2.gz JGMXKGIJXLPOII-SMDDNHRTSA-N 0 3 302.245 4.383 20 0 DIADHN Cc1ccsc1[C@H](C)NCC(=O)Nc1ccc(C)cc1Cl ZINC000631647827 1045897024 /nfs/dbraw/zinc/89/70/24/1045897024.db2.gz IPFHOSVNKNTYHK-LBPRGKRZSA-N 0 3 322.861 4.308 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccccc1)c1cc2cnccc2o1 ZINC000631653828 1045898009 /nfs/dbraw/zinc/89/80/09/1045898009.db2.gz OMCGSIMHUFXCHD-NRXGSXMXSA-N 0 3 308.381 4.009 20 0 DIADHN CNc1ccccc1CN[C@H](C)c1ccc(OC(F)F)cc1 ZINC000902051385 1045898337 /nfs/dbraw/zinc/89/83/37/1045898337.db2.gz MYQTVVKOUNPNED-GFCCVEGCSA-N 0 3 306.356 4.181 20 0 DIADHN CCNc1ccccc1CNCc1ccccc1COC(C)C ZINC000902052639 1045899852 /nfs/dbraw/zinc/89/98/52/1045899852.db2.gz LSMGORRNIXYTMB-UHFFFAOYSA-N 0 3 312.457 4.333 20 0 DIADHN COCC1(NCc2ccc(Oc3cc(F)cc(F)c3)cc2)CC1 ZINC000531701608 1045900621 /nfs/dbraw/zinc/90/06/21/1045900621.db2.gz JQILZSHHASBERZ-UHFFFAOYSA-N 0 3 319.351 4.026 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@@]1(C)CC1(Cl)Cl)CCC2 ZINC000828300540 1045901385 /nfs/dbraw/zinc/90/13/85/1045901385.db2.gz NBCBTUXEVHPJBX-WCQYABFASA-N 0 3 319.301 4.345 20 0 DIADHN OC[C@@H](N[C@H]1CCc2ccccc21)c1cccc(C(F)(F)F)c1 ZINC000631659442 1045901384 /nfs/dbraw/zinc/90/13/84/1045901384.db2.gz NAFCUVOYNAKXSV-DLBZAZTESA-N 0 3 321.342 4.016 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@]1(C)CC1(Cl)Cl)CCC2 ZINC000828300535 1045901739 /nfs/dbraw/zinc/90/17/39/1045901739.db2.gz NBCBTUXEVHPJBX-AAEUAGOBSA-N 0 3 319.301 4.345 20 0 DIADHN CNc1ccccc1CN[C@@H](C)c1cccc(OC(F)F)c1 ZINC000902065900 1045902938 /nfs/dbraw/zinc/90/29/38/1045902938.db2.gz ALGDBHWLRJSCTN-LBPRGKRZSA-N 0 3 306.356 4.181 20 0 DIADHN CC[C@@](C)(NC[C@]1(C)CC1(Cl)Cl)c1nc(C)cs1 ZINC000828352385 1045904261 /nfs/dbraw/zinc/90/42/61/1045904261.db2.gz IBTTXCOCJYKDPM-NWDGAFQWSA-N 0 3 307.290 4.250 20 0 DIADHN Cc1ccccc1[C@@H](C)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000531713926 1045904630 /nfs/dbraw/zinc/90/46/30/1045904630.db2.gz JDAAXVGJUJUCJD-KGLIPLIRSA-N 0 3 301.352 4.099 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2csc(C)c2C)cc1 ZINC000631682727 1045905363 /nfs/dbraw/zinc/90/53/63/1045905363.db2.gz NYYAVYZOUQOABJ-GFCCVEGCSA-N 0 3 302.443 4.174 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1ccccc1N(C)C ZINC000631684193 1045905390 /nfs/dbraw/zinc/90/53/90/1045905390.db2.gz PNRSUWRTAXMEQV-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccccc2C)s1 ZINC000754660333 1045905526 /nfs/dbraw/zinc/90/55/26/1045905526.db2.gz UFJQXXPJSQUQHS-ZIAGYGMSSA-N 0 3 302.443 4.043 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2c(C)cccc2C)s1 ZINC000754667551 1045907104 /nfs/dbraw/zinc/90/71/04/1045907104.db2.gz JKHYUNCABDALCS-LSDHHAIUSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2cc(F)ccc2F)s1 ZINC000754668417 1045907431 /nfs/dbraw/zinc/90/74/31/1045907431.db2.gz DLGPEGIGNHGFGQ-MNOVXSKESA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(C)cc(C)c2)s1 ZINC000754667962 1045907923 /nfs/dbraw/zinc/90/79/23/1045907923.db2.gz MTXMCNSKGFSASF-HUUCEWRRSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2C)s1 ZINC000754670810 1045909528 /nfs/dbraw/zinc/90/95/28/1045909528.db2.gz OPSNSBZCZKEUDX-CABCVRRESA-N 0 3 316.470 4.351 20 0 DIADHN Cc1nc([C@H](C)NCC2([C@@H]3CCCCO3)CCC2)c(C)s1 ZINC000631730920 1045913184 /nfs/dbraw/zinc/91/31/84/1045913184.db2.gz FFINZIDSQYDQDZ-WFASDCNBSA-N 0 3 308.491 4.150 20 0 DIADHN CCC[C@@H](NCc1cccc2c1CCOC2)c1cc(C)ccn1 ZINC000631733166 1045913246 /nfs/dbraw/zinc/91/32/46/1045913246.db2.gz YIZGAPYTBXXZEB-LJQANCHMSA-N 0 3 310.441 4.094 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)cs1 ZINC000631737477 1045916073 /nfs/dbraw/zinc/91/60/73/1045916073.db2.gz PODQWXDMBRSQGD-MNOVXSKESA-N 0 3 302.399 4.315 20 0 DIADHN FC[C@H](N[C@@H]1CCC[C@H]([C@@H]2CCOC2)C1)c1ccc(F)cc1 ZINC000631797839 1045919237 /nfs/dbraw/zinc/91/92/37/1045919237.db2.gz IFAMWAHNYQNECP-IDCNUPLLSA-N 0 3 309.400 4.021 20 0 DIADHN FC[C@H](NC1CCC2(CCOCC2)CC1)c1ccc(F)cc1 ZINC000631797790 1045920587 /nfs/dbraw/zinc/92/05/87/1045920587.db2.gz HJGZHLMLGXNPBT-KRWDZBQOSA-N 0 3 309.400 4.165 20 0 DIADHN COc1ccc(CN[C@H]2CC[C@H](C)c3ccsc32)c(OC)c1 ZINC000453061394 1045922234 /nfs/dbraw/zinc/92/22/34/1045922234.db2.gz OAIXVWCXVXXVFY-LRDDRELGSA-N 0 3 317.454 4.494 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc(-c3ccccc3)nn2C)cc1 ZINC000453060501 1045922616 /nfs/dbraw/zinc/92/26/16/1045922616.db2.gz RFSIXIREDITHMY-INIZCTEOSA-N 0 3 305.425 4.246 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc3[nH]ccc31)CCCO2 ZINC000631806650 1045922870 /nfs/dbraw/zinc/92/28/70/1045922870.db2.gz BRSOMRQSAWAFEO-LJQANCHMSA-N 0 3 322.408 4.180 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1cccc2[nH]ccc21 ZINC000631806880 1045922913 /nfs/dbraw/zinc/92/29/13/1045922913.db2.gz DQYDPNIEDJREIN-GOSISDBHSA-N 0 3 322.408 4.180 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@H]1CCCn3nccc31)CCC2 ZINC000631809660 1045922999 /nfs/dbraw/zinc/92/29/99/1045922999.db2.gz LUYBHDXFJZDBPN-IRXDYDNUSA-N 0 3 313.470 4.107 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCCc3nc(C)ncc31)CCC2 ZINC000754795898 1045928213 /nfs/dbraw/zinc/92/82/13/1045928213.db2.gz QHRXGNQTKVLVGA-QUCCMNQESA-N 0 3 307.441 4.138 20 0 DIADHN CCCCCC[C@H](C)NC(=O)CNC(C)(C)c1cccs1 ZINC000754828889 1045929942 /nfs/dbraw/zinc/92/99/42/1045929942.db2.gz DHVPTLPALXLKEF-AWEZNQCLSA-N 0 3 310.507 4.048 20 0 DIADHN Cc1ccc2c(CNC(C)(C)c3cccs3)cc(=O)oc2c1 ZINC000754829630 1045930252 /nfs/dbraw/zinc/93/02/52/1045930252.db2.gz HLSVOCXQZFRZEP-UHFFFAOYSA-N 0 3 313.422 4.188 20 0 DIADHN Cc1cccc(CN2CCC(n3cnc4ccccc43)CC2)c1F ZINC001137680206 1045932894 /nfs/dbraw/zinc/93/28/94/1045932894.db2.gz RJIHYIZVWAYBCP-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN COCc1ccc([C@H]2CCCN2C[C@]2(C)CC2(Cl)Cl)o1 ZINC000828911726 1045944113 /nfs/dbraw/zinc/94/41/13/1045944113.db2.gz REXQTNFGDDENES-OCCSQVGLSA-N 0 3 318.244 4.147 20 0 DIADHN CCC[C@@](C)(O)CN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453115808 1045944500 /nfs/dbraw/zinc/94/45/00/1045944500.db2.gz IYLDUXNJRMHYQS-HNAYVOBHSA-N 0 3 314.429 4.076 20 0 DIADHN COc1cccc(F)c1[C@@H](C)N[C@H](C)CCCc1cccnc1 ZINC000453125915 1045945919 /nfs/dbraw/zinc/94/59/19/1045945919.db2.gz XIPFRDNBYIZGNQ-HUUCEWRRSA-N 0 3 316.420 4.291 20 0 DIADHN C[C@H](NC[C@]1(C)CCCO1)c1ccc(Oc2ccccc2)cn1 ZINC000453124864 1045946126 /nfs/dbraw/zinc/94/61/26/1045946126.db2.gz IQGMRCXXPZKRRE-KXBFYZLASA-N 0 3 312.413 4.094 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@H](C)CCCc1cccnc1 ZINC000453125913 1045946217 /nfs/dbraw/zinc/94/62/17/1045946217.db2.gz XIPFRDNBYIZGNQ-CABCVRRESA-N 0 3 316.420 4.291 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(Cl)cc1)c1cc(F)ccc1F ZINC000192930967 1045954943 /nfs/dbraw/zinc/95/49/43/1045954943.db2.gz ZHHHMMKGHONPJZ-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H](C)c2csc(C)c2)n1 ZINC000453158787 1045961180 /nfs/dbraw/zinc/96/11/80/1045961180.db2.gz KHRKZHHADMOEOJ-HNNXBMFYSA-N 0 3 311.454 4.401 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1cccc(-c2cncnc2)c1 ZINC001137769393 1045961906 /nfs/dbraw/zinc/96/19/06/1045961906.db2.gz YRVDAEXJWBVESH-UHFFFAOYSA-N 0 3 323.827 4.429 20 0 DIADHN Cc1ccnc(C2CCN([C@@H](C)c3ccc(Cl)cc3)CC2)n1 ZINC000755219524 1045963839 /nfs/dbraw/zinc/96/38/39/1045963839.db2.gz YGMRRCMGODONTJ-AWEZNQCLSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1ccnc(C2CCN([C@@H](C)c3ccccc3Cl)CC2)n1 ZINC000755214131 1045964274 /nfs/dbraw/zinc/96/42/74/1045964274.db2.gz NQKVEUGPTILZBI-AWEZNQCLSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1ccnc(C2CCN([C@H](C)c3ccccc3Cl)CC2)n1 ZINC000755214136 1045964935 /nfs/dbraw/zinc/96/49/35/1045964935.db2.gz NQKVEUGPTILZBI-CQSZACIVSA-N 0 3 315.848 4.379 20 0 DIADHN CCNc1ccccc1CN[C@H](C)c1ccc(OC)c(F)c1 ZINC000902301384 1045968843 /nfs/dbraw/zinc/96/88/43/1045968843.db2.gz UMIHRRVJQDADPL-CYBMUJFWSA-N 0 3 302.393 4.117 20 0 DIADHN CC[C@H](N[C@@H]1CCN(C(C)=O)c2ccccc21)c1ccsc1 ZINC000453181904 1045969758 /nfs/dbraw/zinc/96/97/58/1045969758.db2.gz QWJCJZGNPYSBKZ-DLBZAZTESA-N 0 3 314.454 4.287 20 0 DIADHN O[C@@H](CNCc1cc(Cl)cs1)c1cc2ccccc2s1 ZINC000193148786 1045970768 /nfs/dbraw/zinc/97/07/68/1045970768.db2.gz MNVJHISAXUOYCB-ZDUSSCGKSA-N 0 3 323.870 4.439 20 0 DIADHN CCNc1ccccc1CNCc1ccc(OC)c(Cl)c1 ZINC000902331514 1045976725 /nfs/dbraw/zinc/97/67/25/1045976725.db2.gz BEJGNQLVUWXYTP-UHFFFAOYSA-N 0 3 304.821 4.070 20 0 DIADHN O[C@H](CCNC1(c2ccccc2Cl)CC1)c1ccccc1 ZINC000829483721 1045977258 /nfs/dbraw/zinc/97/72/58/1045977258.db2.gz KVSYCGJVALAPDG-QGZVFWFLSA-N 0 3 301.817 4.042 20 0 DIADHN O[C@@H](CNCc1sccc1Cl)c1ccc(Cl)c(F)c1 ZINC000193193858 1045977488 /nfs/dbraw/zinc/97/74/88/1045977488.db2.gz XAGNUJNLMMXDSX-LBPRGKRZSA-N 0 3 320.216 4.017 20 0 DIADHN C[C@@H]1CC[C@H](N[C@@H]2CCCc3nn(C)cc32)c2sccc21 ZINC000453204470 1045981991 /nfs/dbraw/zinc/98/19/91/1045981991.db2.gz BUSQNAUMYNDBEZ-XFJVYGCCSA-N 0 3 301.459 4.087 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)n(C)n1 ZINC000453206989 1045983238 /nfs/dbraw/zinc/98/32/38/1045983238.db2.gz HKPACGKZXDGCPV-KRWDZBQOSA-N 0 3 303.837 4.013 20 0 DIADHN Cc1ccc(C2(CN[C@@H]3C[C@@H](C)n4ccnc43)CCC2)cc1C ZINC000453213762 1045987891 /nfs/dbraw/zinc/98/78/91/1045987891.db2.gz JFRZWMVBUZQBLH-SJLPKXTDSA-N 0 3 309.457 4.217 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@@H]2C[C@@H](C)n3ccnc32)c2ccccc21 ZINC000453218899 1045990773 /nfs/dbraw/zinc/99/07/73/1045990773.db2.gz NXTUIMVLQRFPPX-LVFSCSRESA-N 0 3 309.457 4.406 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@@H](C)Oc3ccccc31)CC2 ZINC000453268372 1046008969 /nfs/dbraw/zinc/00/89/69/1046008969.db2.gz JTLOLCYWDACBQP-ZNZDAUKMSA-N 0 3 309.409 4.184 20 0 DIADHN CC[C@@H](NCc1nc(C)c(Br)s1)C(C)(C)C ZINC000453266081 1046009714 /nfs/dbraw/zinc/00/97/14/1046009714.db2.gz KJKMQOOKAQXSJK-SECBINFHSA-N 0 3 305.285 4.128 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc2cc(Cl)ccc2o1)[C@H](O)C(F)F ZINC000453268546 1046010539 /nfs/dbraw/zinc/01/05/39/1046010539.db2.gz KNGYHCIVANNWNI-PSEJXSGSSA-N 0 3 317.763 4.141 20 0 DIADHN CC[C@@H](NCc1ccnc(C)c1)c1ccc(OC(F)F)cc1 ZINC000453280729 1046014233 /nfs/dbraw/zinc/01/42/33/1046014233.db2.gz GMVIWEKEQRUIMT-MRXNPFEDSA-N 0 3 306.356 4.232 20 0 DIADHN C[C@H](NCCOc1ccc(Cl)c(Cl)c1)c1cncs1 ZINC000453281464 1046014799 /nfs/dbraw/zinc/01/47/99/1046014799.db2.gz GSBXPVOZQNKWGM-VIFPVBQESA-N 0 3 317.241 4.180 20 0 DIADHN COc1ncc(CN[C@@H]2CC(C)(C)c3ccccc32)cc1Cl ZINC000453296811 1046021181 /nfs/dbraw/zinc/02/11/81/1046021181.db2.gz QVRCATXDIJDQAE-MRXNPFEDSA-N 0 3 316.832 4.256 20 0 DIADHN CC(=O)Oc1ccc(CNC2(c3cccc(C)c3)CCC2)cc1 ZINC000829874193 1046021788 /nfs/dbraw/zinc/02/17/88/1046021788.db2.gz SEUYOEMDWIRZAH-UHFFFAOYSA-N 0 3 309.409 4.089 20 0 DIADHN COc1ncc(CN[C@H]2C[C@@H](C)c3c2cccc3C)cc1Cl ZINC000453299170 1046021903 /nfs/dbraw/zinc/02/19/03/1046021903.db2.gz UENGJJQKKWLDBF-WBMJQRKESA-N 0 3 316.832 4.390 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000453303825 1046025936 /nfs/dbraw/zinc/02/59/36/1046025936.db2.gz AWIXDADKMNYNAF-BMLIUANNSA-N 0 3 321.827 4.323 20 0 DIADHN Cc1csc(CN[C@@H](C)c2cnn(Cc3ccccc3)c2)c1 ZINC000453314008 1046031647 /nfs/dbraw/zinc/03/16/47/1046031647.db2.gz IBUSQEQASYJYRF-HNNXBMFYSA-N 0 3 311.454 4.152 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1ncc(-c2ccccc2)n1C ZINC000453313414 1046031999 /nfs/dbraw/zinc/03/19/99/1046031999.db2.gz DCKAXIGVSTUKDR-HIFRSBDPSA-N 0 3 319.399 4.173 20 0 DIADHN Cc1csc(CN[C@H](C)c2cc(-c3ccccc3)nn2C)c1 ZINC000453313112 1046032436 /nfs/dbraw/zinc/03/24/36/1046032436.db2.gz HKPOKFWBDLQIJX-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN CN(Cc1ccc(SC(F)(F)F)cc1)C[C@@H]1CCCCO1 ZINC001137955348 1046032568 /nfs/dbraw/zinc/03/25/68/1046032568.db2.gz VGWKCDNJRBOIOP-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN COc1cccc(CCN(C)Cc2cccc(Cl)c2F)c1 ZINC001137961503 1046035183 /nfs/dbraw/zinc/03/51/83/1046035183.db2.gz NUZCIBRXXNXCSD-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN C[C@H](N[C@@H](c1ccc(F)c(Cl)c1)C1CC1)c1cnn(C)c1 ZINC000453321731 1046035398 /nfs/dbraw/zinc/03/53/98/1046035398.db2.gz OPVIRBOEGDRWLH-MGPLVRAMSA-N 0 3 307.800 4.015 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccccc1CC(F)(F)F ZINC000902626547 1046037486 /nfs/dbraw/zinc/03/74/86/1046037486.db2.gz FTXIXQWARIADDP-UHFFFAOYSA-N 0 3 322.374 4.431 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@H](O)c3ccccc3F)oc2c1 ZINC000756031009 1046039204 /nfs/dbraw/zinc/03/92/04/1046039204.db2.gz IXVBZQVCYWROMF-DYVFJYSZSA-N 0 3 313.372 4.265 20 0 DIADHN CO[C@@H]1CCC[C@H]1N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000453326193 1046039794 /nfs/dbraw/zinc/03/97/94/1046039794.db2.gz NGVORCVJSFCWAV-XNRPHZJLSA-N 0 3 302.443 4.028 20 0 DIADHN CC[C@](C)(NCc1cnc([C@@H]2CCCO2)s1)c1ccccc1 ZINC000756025508 1046040724 /nfs/dbraw/zinc/04/07/24/1046040724.db2.gz KBNQSJLTRREHOU-WMZOPIPTSA-N 0 3 316.470 4.410 20 0 DIADHN CCCN(Cc1cc2ccccc2nc1Cl)C1CCOCC1 ZINC001137978790 1046042377 /nfs/dbraw/zinc/04/23/77/1046042377.db2.gz PMTRDQCKFXKCDF-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN COCCC[C@@H](NCc1cnc(C)cc1C)c1ccc(F)cc1 ZINC000453343149 1046048811 /nfs/dbraw/zinc/04/88/11/1046048811.db2.gz DLYQKMQGPQBPSJ-LJQANCHMSA-N 0 3 316.420 4.095 20 0 DIADHN CCC1(CN[C@@H](C)c2csc(-c3ccccc3F)n2)COC1 ZINC000453350238 1046052778 /nfs/dbraw/zinc/05/27/78/1046052778.db2.gz GDIJELCHYQUNHV-LBPRGKRZSA-N 0 3 320.433 4.026 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCc3c1cc(F)cc3F)CCC2 ZINC000779753024 1046056447 /nfs/dbraw/zinc/05/64/47/1046056447.db2.gz AKLWRNAJMAVOJK-ZBFHGGJFSA-N 0 3 320.408 4.384 20 0 DIADHN CCCNC(=O)[C@@H]1CCCCN1Cc1ccc(C)c2ccccc12 ZINC001138017145 1046060528 /nfs/dbraw/zinc/06/05/28/1046060528.db2.gz ZIOOGWCFZXEALH-FQEVSTJZSA-N 0 3 324.468 4.029 20 0 DIADHN COc1ccc([C@H](C)N2CCC[C@@]3(CCSC3)C2)cc1F ZINC000453384605 1046060779 /nfs/dbraw/zinc/06/07/79/1046060779.db2.gz ZWXJAKXWDXCEAS-SUMWQHHRSA-N 0 3 309.450 4.114 20 0 DIADHN COc1ccc([C@@H](C)N2CCC[C@@]3(CCSC3)C2)cc1F ZINC000453384595 1046061446 /nfs/dbraw/zinc/06/14/46/1046061446.db2.gz ZWXJAKXWDXCEAS-CXAGYDPISA-N 0 3 309.450 4.114 20 0 DIADHN C[C@@H]1C[C@H](NCc2c(F)cccc2-n2cccn2)c2ccccc21 ZINC000453371803 1046071407 /nfs/dbraw/zinc/07/14/07/1046071407.db2.gz UYRILIFPDIKXIM-KUHUBIRLSA-N 0 3 321.399 4.350 20 0 DIADHN C[C@H](NCCCNc1ccccc1)c1ccc(C(F)(F)F)cn1 ZINC000902809931 1046076633 /nfs/dbraw/zinc/07/66/33/1046076633.db2.gz XQSGXYDLOPZSLR-ZDUSSCGKSA-N 0 3 323.362 4.253 20 0 DIADHN FC1(F)CC(CCNCc2csc(-c3ccccc3)n2)C1 ZINC000453585570 1046096124 /nfs/dbraw/zinc/09/61/24/1046096124.db2.gz GWWICXUSPZOPRE-UHFFFAOYSA-N 0 3 308.397 4.335 20 0 DIADHN CON1CCC(N(C)Cc2sc3ccccc3c2Cl)CC1 ZINC000453609367 1046098723 /nfs/dbraw/zinc/09/87/23/1046098723.db2.gz WDKBFKOQPKUTRP-UHFFFAOYSA-N 0 3 324.877 4.012 20 0 DIADHN OCC1([C@H](NCc2cccs2)c2ccccc2)CCCC1 ZINC000453609555 1046099228 /nfs/dbraw/zinc/09/92/28/1046099228.db2.gz GBNDNZFEVHHNHN-QGZVFWFLSA-N 0 3 301.455 4.132 20 0 DIADHN OCC1([C@H](NCc2ccc(F)cc2)c2ccccc2)CCCC1 ZINC000453614289 1046100407 /nfs/dbraw/zinc/10/04/07/1046100407.db2.gz LWUGVYKOWYDDGQ-LJQANCHMSA-N 0 3 313.416 4.209 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1ccc(C(F)(F)F)cn1 ZINC000902882750 1046100754 /nfs/dbraw/zinc/10/07/54/1046100754.db2.gz WIZWMIXSKGLAJN-ONGXEEELSA-N 0 3 308.294 4.329 20 0 DIADHN CCC(C)(C)OCCNCc1cnc(-c2ccc(C)o2)s1 ZINC000453620924 1046101131 /nfs/dbraw/zinc/10/11/31/1046101131.db2.gz WIZONKUVEFHBJD-UHFFFAOYSA-N 0 3 308.447 4.006 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]nc1-c1cccs1)CC2 ZINC001138157748 1046107778 /nfs/dbraw/zinc/10/77/78/1046107778.db2.gz LOXQJIWMOIPLTB-AWEZNQCLSA-N 0 3 319.449 4.242 20 0 DIADHN C[C@H](NC1(c2cccc(F)c2)CC1)c1cccc(-n2ccnc2)c1 ZINC000902913850 1046109515 /nfs/dbraw/zinc/10/95/15/1046109515.db2.gz BDZDBXGWSJZDGY-HNNXBMFYSA-N 0 3 321.399 4.351 20 0 DIADHN COCc1ccccc1CN[C@@H]1CCc2c1cccc2Cl ZINC000532198401 1046112080 /nfs/dbraw/zinc/11/20/80/1046112080.db2.gz RJAOEOWGJLLQKA-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCCOC2CCCC2)c1 ZINC000532204582 1046114776 /nfs/dbraw/zinc/11/47/76/1046114776.db2.gz OLMGWWXWXURTQC-CYBMUJFWSA-N 0 3 313.388 4.206 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000532204614 1046115266 /nfs/dbraw/zinc/11/52/66/1046115266.db2.gz RIFWSRJTLKVBJK-LSDHHAIUSA-N 0 3 316.445 4.005 20 0 DIADHN COCc1cc(CN[C@@H](C)c2cc(C)ccc2C)ccc1F ZINC000532224301 1046118572 /nfs/dbraw/zinc/11/85/72/1046118572.db2.gz FSJMYYDQULLPHY-HNNXBMFYSA-N 0 3 301.405 4.440 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCS1)c1ccc(C(F)(F)F)cn1 ZINC000902947222 1046123582 /nfs/dbraw/zinc/12/35/82/1046123582.db2.gz FIKPPOUOWWXDHC-PWSUYJOCSA-N 0 3 304.381 4.037 20 0 DIADHN OCC[C@@H](N[C@@H]1CCc2c1cccc2Cl)c1ccccc1 ZINC000532234119 1046124385 /nfs/dbraw/zinc/12/43/85/1046124385.db2.gz HBJXYQAZKBJSHJ-QZTJIDSGSA-N 0 3 301.817 4.041 20 0 DIADHN Cc1ccc([C@H](NC[C@@H](O)c2ccccc2Cl)C2CCC2)o1 ZINC000756741893 1046126481 /nfs/dbraw/zinc/12/64/81/1046126481.db2.gz YOKWNCGYSNHGSR-SJLPKXTDSA-N 0 3 319.832 4.406 20 0 DIADHN Cc1ncccc1CNCc1cccc(Oc2ccccc2)c1 ZINC000237611130 1046128063 /nfs/dbraw/zinc/12/80/63/1046128063.db2.gz WCEBTJSQJQLXJB-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN CCO[C@@H]1CCC[C@H](N[C@@H](C)c2nc(C(F)(F)F)cs2)C1 ZINC000902976085 1046136098 /nfs/dbraw/zinc/13/60/98/1046136098.db2.gz MNHRVDLIJFLGHC-GARJFASQSA-N 0 3 322.396 4.160 20 0 DIADHN COC(=O)/C(C)=C\CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001168144074 1046137752 /nfs/dbraw/zinc/13/77/52/1046137752.db2.gz AXEDHOXUIHXVTO-VHVLIWOJSA-N 0 3 313.441 4.064 20 0 DIADHN COC(=O)/C(C)=C/CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001168144072 1046138276 /nfs/dbraw/zinc/13/82/76/1046138276.db2.gz AXEDHOXUIHXVTO-LKXRZECHSA-N 0 3 313.441 4.064 20 0 DIADHN CN(CCc1ccccc1F)Cc1cccc(OC(C)(C)C)n1 ZINC001138284873 1046138501 /nfs/dbraw/zinc/13/85/01/1046138501.db2.gz GZYVWGDWGVSJLH-UHFFFAOYSA-N 0 3 316.420 4.073 20 0 DIADHN C[C@@H](CCNCc1c(Cl)nc(Cl)n1C)c1ccccc1 ZINC000084019307 1046139269 /nfs/dbraw/zinc/13/92/69/1046139269.db2.gz PMLZUQZKLCVMRF-NSHDSACASA-N 0 3 312.244 4.010 20 0 DIADHN CN(C)C(=O)Sc1ccc(CN(C)Cc2ccccc2)cc1 ZINC000084061527 1046139824 /nfs/dbraw/zinc/13/98/24/1046139824.db2.gz DFWDYDKGAPTTTR-UHFFFAOYSA-N 0 3 314.454 4.092 20 0 DIADHN Fc1ccc([C@H]2CCCN2Cc2cccc3nccnc23)cc1 ZINC001138298963 1046142237 /nfs/dbraw/zinc/14/22/37/1046142237.db2.gz JZSGFSJTTPMUKB-GOSISDBHSA-N 0 3 307.372 4.106 20 0 DIADHN COc1ccc2c(c1)C[C@@H](C)C[C@H]2N[C@@H](C)c1cncc(F)c1 ZINC000903017369 1046143555 /nfs/dbraw/zinc/14/35/55/1046143555.db2.gz NBMMWFNBADSLNR-QHRIQVFBSA-N 0 3 314.404 4.204 20 0 DIADHN C[C@H](NC[C@@H]1C(C)(C)C1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903054466 1046148618 /nfs/dbraw/zinc/14/86/18/1046148618.db2.gz LNVWDALMYMWXGT-GZMMTYOYSA-N 0 3 308.294 4.042 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNCCOC1CCC1 ZINC000756898053 1046148744 /nfs/dbraw/zinc/14/87/44/1046148744.db2.gz DQLVPNJFYFCCFB-UHFFFAOYSA-N 0 3 311.425 4.021 20 0 DIADHN CCSC1(CN[C@H](C)c2ccc(C(F)(F)F)cn2)CC1 ZINC000903054978 1046148963 /nfs/dbraw/zinc/14/89/63/1046148963.db2.gz VHAMDBSJBFGUGE-SNVBAGLBSA-N 0 3 304.381 4.037 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]c3ccc(Br)cc23)C2(CC2)C1 ZINC001138322057 1046150933 /nfs/dbraw/zinc/15/09/33/1046150933.db2.gz USCJKYYLCWSPNV-NSHDSACASA-N 0 3 319.246 4.305 20 0 DIADHN CC(C)=CCN(Cc1ccccc1)C[C@H](O)c1ccsc1 ZINC000756905541 1046151369 /nfs/dbraw/zinc/15/13/69/1046151369.db2.gz ZVPBJALYHUKDKR-SFHVURJKSA-N 0 3 301.455 4.250 20 0 DIADHN Fc1cccc(CN[C@@H](c2ccccc2F)C2CCOCC2)c1 ZINC000903062692 1046153471 /nfs/dbraw/zinc/15/34/71/1046153471.db2.gz VRHDRKAGUZEBAP-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@@H](C)c1cnc(C)s1 ZINC000903077531 1046157165 /nfs/dbraw/zinc/15/71/65/1046157165.db2.gz KZJYUIXCHNYIJI-NVGCLXPQSA-N 0 3 316.470 4.434 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(CC3CCC3)c2)cc1 ZINC000903083980 1046158560 /nfs/dbraw/zinc/15/85/60/1046158560.db2.gz SBYSHYRONXGNIW-LSDHHAIUSA-N 0 3 313.445 4.104 20 0 DIADHN C[C@H](NC[C@H](O)c1ccsc1)c1ccc(C(F)(F)F)s1 ZINC000717956383 1046161442 /nfs/dbraw/zinc/16/14/42/1046161442.db2.gz KHTGNVVCHQIIKC-WPRPVWTQSA-N 0 3 321.389 4.213 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccsc1)c1ccc(C(F)(F)F)s1 ZINC000717956381 1046161599 /nfs/dbraw/zinc/16/15/99/1046161599.db2.gz KHTGNVVCHQIIKC-SCZZXKLOSA-N 0 3 321.389 4.213 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@H](O)c1cccc(Cl)c1)C1CC1 ZINC000086233081 1046161734 /nfs/dbraw/zinc/16/17/34/1046161734.db2.gz LUEBVDQGAGAKSW-LRDDRELGSA-N 0 3 305.805 4.192 20 0 DIADHN CN(Cc1nc2ccccc2n1C)C(C)(C)Cc1ccccc1 ZINC001138381432 1046162619 /nfs/dbraw/zinc/16/26/19/1046162619.db2.gz WJVRHEUKESWAEP-UHFFFAOYSA-N 0 3 307.441 4.026 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)Cc1ccc(Cl)cc1 ZINC001168152130 1046163190 /nfs/dbraw/zinc/16/31/90/1046163190.db2.gz IHPWUDZABUWSHP-LBPRGKRZSA-N 0 3 307.796 4.209 20 0 DIADHN Cc1c(CN2CC[C@@H](Oc3ccc(F)cc3)C2)[nH]c2ccccc12 ZINC001138400049 1046164017 /nfs/dbraw/zinc/16/40/17/1046164017.db2.gz GRZBBXZJOIJGHR-QGZVFWFLSA-N 0 3 324.399 4.269 20 0 DIADHN CC[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nc(C(F)(F)F)cs1 ZINC000903106521 1046165147 /nfs/dbraw/zinc/16/51/47/1046165147.db2.gz YTOSPGHZUBFOGL-LMLFDSFASA-N 0 3 304.381 4.391 20 0 DIADHN CC(C)Oc1cccc([C@@H](C)NCc2ccc3nccnc3c2)c1 ZINC000634952548 1046165132 /nfs/dbraw/zinc/16/51/32/1046165132.db2.gz BUWLMOUXBDZCBO-OAHLLOKOSA-N 0 3 321.424 4.268 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138407651 1046167310 /nfs/dbraw/zinc/16/73/10/1046167310.db2.gz ATTZZMLGNMOYRR-INIZCTEOSA-N 0 3 319.449 4.049 20 0 DIADHN Cc1c2ccccc2[nH]c1CN1CC[C@H](Oc2ccccc2)C1 ZINC001138404058 1046167683 /nfs/dbraw/zinc/16/76/83/1046167683.db2.gz XXBSKQNTMGGKHX-KRWDZBQOSA-N 0 3 306.409 4.130 20 0 DIADHN C[C@H]1CCCCN(Cc2ncccc2NC(=O)OC(C)(C)C)C1 ZINC001138402485 1046167783 /nfs/dbraw/zinc/16/77/83/1046167783.db2.gz QFLUVONGDRWXAK-AWEZNQCLSA-N 0 3 319.449 4.051 20 0 DIADHN COCCC1(CNCc2nc3cc(F)ccc3o2)CCCCC1 ZINC000634977326 1046168853 /nfs/dbraw/zinc/16/88/53/1046168853.db2.gz XDBJRPHWKZCHPK-UHFFFAOYSA-N 0 3 320.408 4.044 20 0 DIADHN CC[C@@H]1CCCCN1Cc1ncccc1NC(=O)OC(C)(C)C ZINC001138408879 1046169108 /nfs/dbraw/zinc/16/91/08/1046169108.db2.gz YGJGDXWAXUGAKR-CQSZACIVSA-N 0 3 319.449 4.193 20 0 DIADHN CN(Cc1ncccc1NC(=O)OC(C)(C)C)CC1CCCC1 ZINC001138408335 1046169201 /nfs/dbraw/zinc/16/92/01/1046169201.db2.gz FASSYIXVKJMQNQ-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN CO[C@H](CN[C@@H](c1ccccn1)C1CC1)c1ccccc1Cl ZINC000903126975 1046170229 /nfs/dbraw/zinc/17/02/29/1046170229.db2.gz VKTMEXRTKYFALP-QZTJIDSGSA-N 0 3 316.832 4.163 20 0 DIADHN Cc1ccc2[nH]c(CN3CC[C@@H](Oc4ccccc4)C3)cc2c1 ZINC001138415804 1046172750 /nfs/dbraw/zinc/17/27/50/1046172750.db2.gz MSRXYTRYBYQTRR-LJQANCHMSA-N 0 3 306.409 4.130 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1cnn(CC2CCC2)c1 ZINC000903152659 1046176619 /nfs/dbraw/zinc/17/66/19/1046176619.db2.gz OOPFSDRIWPWBAQ-SUMWQHHRSA-N 0 3 315.486 4.473 20 0 DIADHN COc1c(C)cc(CN2CC[C@@](CF)(C(F)(F)F)C2)cc1C ZINC001138425676 1046177010 /nfs/dbraw/zinc/17/70/10/1046177010.db2.gz IOKUTXDVUIOGGF-HNNXBMFYSA-N 0 3 319.342 4.036 20 0 DIADHN COc1cc(C)c(CN2CCc3ccccc3[C@H]2C)cc1OC ZINC001138435881 1046180336 /nfs/dbraw/zinc/18/03/36/1046180336.db2.gz PAIHAKPQLLWUQJ-OAHLLOKOSA-N 0 3 311.425 4.132 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCc3cccc(Cl)c3C2)n1 ZINC000666087316 1046180616 /nfs/dbraw/zinc/18/06/16/1046180616.db2.gz CWQGIIUOLCUSFV-WCQYABFASA-N 0 3 306.862 4.313 20 0 DIADHN CC(C)c1cc(CN[C@H]2CCc3cccc(Cl)c3C2)on1 ZINC000666090787 1046184013 /nfs/dbraw/zinc/18/40/13/1046184013.db2.gz JGZSQYPGSHVJSS-ZDUSSCGKSA-N 0 3 304.821 4.099 20 0 DIADHN CCOc1ccc2ccccc2c1CN1CC(c2cccnc2)C1 ZINC001138444587 1046184789 /nfs/dbraw/zinc/18/47/89/1046184789.db2.gz XUOFPFBBBQYSAI-UHFFFAOYSA-N 0 3 318.420 4.233 20 0 DIADHN CCOc1ccc2ccccc2c1CN1CCC[C@H](C(C)=O)C1 ZINC001138444496 1046185118 /nfs/dbraw/zinc/18/51/18/1046185118.db2.gz UKWWJBKJUMUEMD-KRWDZBQOSA-N 0 3 311.425 4.040 20 0 DIADHN C[C@](O)(CNCc1ccc(Oc2ccccc2)o1)c1ccccc1 ZINC000779979956 1046185711 /nfs/dbraw/zinc/18/57/11/1046185711.db2.gz XALMTBMSDCYOEQ-FQEVSTJZSA-N 0 3 323.392 4.069 20 0 DIADHN Cc1nc([C@@H](C)NCCc2ccc3ncccc3c2)c(C)s1 ZINC000903191865 1046190016 /nfs/dbraw/zinc/19/00/16/1046190016.db2.gz CJJFQVVANBZODZ-GFCCVEGCSA-N 0 3 311.454 4.201 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1cccc(C(F)(F)F)c1 ZINC000903202622 1046191868 /nfs/dbraw/zinc/19/18/68/1046191868.db2.gz GUFSUIWTWINELH-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN C[C@@H]1C[C@H](C)[C@@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000475742122 1046192822 /nfs/dbraw/zinc/19/28/22/1046192822.db2.gz LNPVXSPIQITLHF-FRRDWIJNSA-N 0 3 319.836 4.256 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)ncn2C)c1cc(C)ccc1OC ZINC000903204690 1046193140 /nfs/dbraw/zinc/19/31/40/1046193140.db2.gz ULMOFGRHKWOLFK-KRWDZBQOSA-N 0 3 323.440 4.131 20 0 DIADHN Cn1cnc2cc(CN[C@H]3CCc4c3cccc4Cl)ccc21 ZINC000903206741 1046193496 /nfs/dbraw/zinc/19/34/96/1046193496.db2.gz KWRRBUZVORABKK-INIZCTEOSA-N 0 3 311.816 4.004 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3c(c2)ncn3C)C2CCC2)o1 ZINC000903203874 1046194830 /nfs/dbraw/zinc/19/48/30/1046194830.db2.gz GEFREVMXHZWOKH-LJQANCHMSA-N 0 3 309.413 4.106 20 0 DIADHN COc1cccc(CCN(C)Cc2cc(Cl)ccc2OC)c1 ZINC001138481539 1046196813 /nfs/dbraw/zinc/19/68/13/1046196813.db2.gz CHSQWKLPADDBPB-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CSc1cc(NC(=O)c2cccc(CN(C)C)c2)ccc1C ZINC000653010603 1046197454 /nfs/dbraw/zinc/19/74/54/1046197454.db2.gz CJZAXVCAWYVCEH-UHFFFAOYSA-N 0 3 314.454 4.031 20 0 DIADHN CN(C)c1ccc(CN2CCC[C@@H]2c2ccccc2F)s1 ZINC001138494073 1046199631 /nfs/dbraw/zinc/19/96/31/1046199631.db2.gz JFSCFJSDEJKPJE-MRXNPFEDSA-N 0 3 304.434 4.290 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(Cl)nc2)cc1C ZINC000903235222 1046201782 /nfs/dbraw/zinc/20/17/82/1046201782.db2.gz VIBKYFTUTJPLLW-OLZOCXBDSA-N 0 3 304.821 4.464 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(Cl)nc2)cc1C ZINC000903235221 1046202033 /nfs/dbraw/zinc/20/20/33/1046202033.db2.gz VIBKYFTUTJPLLW-CHWSQXEVSA-N 0 3 304.821 4.464 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN1CC[C@H]2CCC[C@H]2C1 ZINC001138502874 1046202497 /nfs/dbraw/zinc/20/24/97/1046202497.db2.gz JREIRZFFSXSNDQ-UXHICEINSA-N 0 3 323.484 4.170 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)c1ccc2c(c1)CCO2 ZINC000903238374 1046203994 /nfs/dbraw/zinc/20/39/94/1046203994.db2.gz ITHBFINMWAJLEF-NEPJUHHUSA-N 0 3 303.427 4.103 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)c2ccc3c(c2)CCO3)cnn1C(C)C ZINC000903238600 1046204839 /nfs/dbraw/zinc/20/48/39/1046204839.db2.gz QBIZICOQCADHFJ-ZIAGYGMSSA-N 0 3 313.445 4.119 20 0 DIADHN CC(C)(NC[C@H](O)c1ccccc1Cl)c1ccccc1F ZINC000795231600 1046209289 /nfs/dbraw/zinc/20/92/89/1046209289.db2.gz FXGVIHQIVYCMLV-INIZCTEOSA-N 0 3 307.796 4.037 20 0 DIADHN CCCc1ccc(CN2CCC[C@H](Oc3cccnc3)C2)s1 ZINC001138525648 1046211189 /nfs/dbraw/zinc/21/11/89/1046211189.db2.gz IAGKWSOJSBGIGQ-INIZCTEOSA-N 0 3 316.470 4.139 20 0 DIADHN CCCc1ccc(CN2CCC(c3nc(CC)no3)CC2)s1 ZINC001138528667 1046211415 /nfs/dbraw/zinc/21/14/15/1046211415.db2.gz ZCEINOBJGJWHAZ-UHFFFAOYSA-N 0 3 319.474 4.026 20 0 DIADHN CC[C@]1(C)CCN([C@H](C)C(=O)Nc2ccc3ccccc3c2)C1 ZINC001168163161 1046217004 /nfs/dbraw/zinc/21/70/04/1046217004.db2.gz GJXHAMSDMNQLIG-FOIQADDNSA-N 0 3 310.441 4.289 20 0 DIADHN CC(C)(C)C(=O)Nc1ncccc1CN1CCCCCCCC1 ZINC001138545087 1046218988 /nfs/dbraw/zinc/21/89/88/1046218988.db2.gz ILRBTVYQHFTHKU-UHFFFAOYSA-N 0 3 317.477 4.222 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000757859863 1046221131 /nfs/dbraw/zinc/22/11/31/1046221131.db2.gz GSUVKUBZBYITCK-MRXNPFEDSA-N 0 3 317.408 4.276 20 0 DIADHN Clc1cnc2oc(CNCCOC3CCCCCC3)cc2c1 ZINC000648453835 1046221473 /nfs/dbraw/zinc/22/14/73/1046221473.db2.gz HLNZNDDVOKRSFV-UHFFFAOYSA-N 0 3 322.836 4.310 20 0 DIADHN CC[C@@H](NCc1cccc2c1NCCC2)c1ccc(OC)cc1 ZINC000321249786 1046222606 /nfs/dbraw/zinc/22/26/06/1046222606.db2.gz JYMXELBCWZXDQA-LJQANCHMSA-N 0 3 310.441 4.294 20 0 DIADHN CC(C)CC[C@H](C)N[C@H](CO)c1ccc(Cl)cc1Cl ZINC001256355662 1046223188 /nfs/dbraw/zinc/22/31/88/1046223188.db2.gz ARZNMGQRIKAENY-XHDPSFHLSA-N 0 3 304.261 4.441 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC1CCCCCCC1 ZINC000035054576 1046226096 /nfs/dbraw/zinc/22/60/96/1046226096.db2.gz CXEKGXAYNJZHEQ-UHFFFAOYSA-N 0 3 301.459 4.408 20 0 DIADHN CC[C@@H](NCc1n[nH]c(C(C)(C)C)n1)c1cccc2ccccc21 ZINC000666161463 1046227174 /nfs/dbraw/zinc/22/71/74/1046227174.db2.gz ZOEILOSMVQSAIV-QGZVFWFLSA-N 0 3 322.456 4.496 20 0 DIADHN Cc1ccsc1[C@@H](C)NC1(c2ccc3c(c2)OCCO3)CC1 ZINC000903293606 1046227321 /nfs/dbraw/zinc/22/73/21/1046227321.db2.gz DQIFUCISZJRHNV-CYBMUJFWSA-N 0 3 315.438 4.168 20 0 DIADHN COc1ccccc1O[C@@H]1CCN(Cc2cc(C)sc2C)C1 ZINC001138568034 1046228876 /nfs/dbraw/zinc/22/88/76/1046228876.db2.gz QVDSTUZHCLOFSK-MRXNPFEDSA-N 0 3 317.454 4.027 20 0 DIADHN CC[C@@H](C)Oc1cc(C)ccc1CN[C@H](C)c1cc[nH]c(=O)c1 ZINC000925264725 1046229310 /nfs/dbraw/zinc/22/93/10/1046229310.db2.gz VQZCWYNONAJKLI-HUUCEWRRSA-N 0 3 314.429 4.124 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2N[C@@H](C)c1cncs1 ZINC000903305594 1046230068 /nfs/dbraw/zinc/23/00/68/1046230068.db2.gz OBAUFTDEKLTMRE-MKBNYLNASA-N 0 3 302.443 4.126 20 0 DIADHN Cc1cc(CN2CCC[C@@H](Oc3cccc(C)n3)C2)c(C)s1 ZINC001138569227 1046230369 /nfs/dbraw/zinc/23/03/69/1046230369.db2.gz CIZQLLOGCHWMTH-QGZVFWFLSA-N 0 3 316.470 4.112 20 0 DIADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1csc(C(F)(F)F)c1 ZINC000903307162 1046233317 /nfs/dbraw/zinc/23/33/17/1046233317.db2.gz TZBCRUZIDRPKSE-IUCAKERBSA-N 0 3 316.348 4.279 20 0 DIADHN COc1ccc2c(CN3CCSc4ccccc4C3)c[nH]c2c1 ZINC001138592541 1046235751 /nfs/dbraw/zinc/23/57/51/1046235751.db2.gz UQKMJOJMNIRAMB-UHFFFAOYSA-N 0 3 324.449 4.284 20 0 DIADHN C[C@H](N[C@H](c1ncccn1)C1CC1)[C@@H](C)c1ccc(Cl)cc1 ZINC000903321560 1046237655 /nfs/dbraw/zinc/23/76/55/1046237655.db2.gz AALXOQUWHRKKTP-IGCXYCKISA-N 0 3 315.848 4.363 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cnn(-c2ccccc2)c1 ZINC001138602916 1046238398 /nfs/dbraw/zinc/23/83/98/1046238398.db2.gz PYIVISBKCYSZBA-FQEVSTJZSA-N 0 3 305.425 4.455 20 0 DIADHN C[C@]1(c2ccccc2)CC[C@H](N[C@H](c2ncccn2)C2CC2)C1 ZINC000903326946 1046239853 /nfs/dbraw/zinc/23/98/53/1046239853.db2.gz NYSIWOUVDSDJFG-BJLQDIEVSA-N 0 3 307.441 4.028 20 0 DIADHN CCN(Cc1cccs1)Cc1ccccc1N1CCCC1 ZINC001138613116 1046242774 /nfs/dbraw/zinc/24/27/74/1046242774.db2.gz GYMZIONBVKIEMI-UHFFFAOYSA-N 0 3 300.471 4.370 20 0 DIADHN COC(C)(C)[C@H](C)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000903330940 1046244291 /nfs/dbraw/zinc/24/42/91/1046244291.db2.gz MAJBLZIFIWKHNN-OLZOCXBDSA-N 0 3 304.459 4.274 20 0 DIADHN C[C@H](N[C@@H](c1ccccn1)C1CC1)c1ccc(-n2ccnc2)cc1 ZINC000903337010 1046248172 /nfs/dbraw/zinc/24/81/72/1046248172.db2.gz ATFOGDDZCBUYCD-MGPUTAFESA-N 0 3 318.424 4.069 20 0 DIADHN C[C@H](NC[C@H]1CCCC(C)(C)O1)c1ccc(C(F)(F)F)cn1 ZINC000903357450 1046255174 /nfs/dbraw/zinc/25/51/74/1046255174.db2.gz ZEQCCCQRGDQWKY-WCQYABFASA-N 0 3 316.367 4.099 20 0 DIADHN Fc1ccc(-n2cc(CN3CCC[C@@H]4CCCC[C@@H]43)cn2)cc1 ZINC001138653278 1046256341 /nfs/dbraw/zinc/25/63/41/1046256341.db2.gz XUHJYZWJRCRBDO-LPHOPBHVSA-N 0 3 313.420 4.166 20 0 DIADHN COc1cccc(CN[C@H]2CCCOc3ccc(C)cc32)c1C ZINC000658354837 1046257852 /nfs/dbraw/zinc/25/78/52/1046257852.db2.gz XJWNUDITCPNLHG-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN c1c(CN2CCC[C@@H]2CCC2CCCCC2)nn2c1CCC2 ZINC001168174873 1046260789 /nfs/dbraw/zinc/26/07/89/1046260789.db2.gz JMIKDZIACDFJCV-GOSISDBHSA-N 0 3 301.478 4.154 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@H](C)c1ccc(F)cc1F ZINC000245714308 1046261758 /nfs/dbraw/zinc/26/17/58/1046261758.db2.gz UNGXEYBIJRRHMP-SEDUGSJDSA-N 0 3 323.358 4.267 20 0 DIADHN COc1ccc([C@H](N[C@H](C)[C@@H]2CC2(F)F)c2ccccc2)cc1 ZINC000666229220 1046264307 /nfs/dbraw/zinc/26/43/07/1046264307.db2.gz DEHGLYBHVTZYFN-JEBQAFNWSA-N 0 3 317.379 4.418 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1ccc(CCO)cc1 ZINC000903397678 1046264611 /nfs/dbraw/zinc/26/46/11/1046264611.db2.gz QSYBQGLPNCMTMJ-OAHLLOKOSA-N 0 3 315.482 4.184 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)[C@@H]2CC2(F)F)c2ccccc2)cc1 ZINC000666229218 1046264623 /nfs/dbraw/zinc/26/46/23/1046264623.db2.gz DEHGLYBHVTZYFN-DOPJRALCSA-N 0 3 317.379 4.418 20 0 DIADHN Cc1ccsc1[C@H](C)N[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000903403878 1046267785 /nfs/dbraw/zinc/26/77/85/1046267785.db2.gz HTYGYRXPDVFFIL-KBPBESRZSA-N 0 3 303.475 4.465 20 0 DIADHN COc1cc([C@@H](C)N[C@@H](C)c2c[nH]nc2C)cc2ccccc21 ZINC000903414738 1046271418 /nfs/dbraw/zinc/27/14/18/1046271418.db2.gz XLLMOBIFPHXVPW-OLZOCXBDSA-N 0 3 309.413 4.292 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2c[nH]nc2C)cc2ccccc21 ZINC000903414739 1046271551 /nfs/dbraw/zinc/27/15/51/1046271551.db2.gz XLLMOBIFPHXVPW-QWHCGFSZSA-N 0 3 309.413 4.292 20 0 DIADHN CNc1ncccc1CN(C)[C@H](C)c1cccc2ccccc21 ZINC001138694778 1046271548 /nfs/dbraw/zinc/27/15/48/1046271548.db2.gz KWMFXQVGWPQLFL-OAHLLOKOSA-N 0 3 305.425 4.470 20 0 DIADHN Clc1[nH]c2ccccc2c1CN1CCC2(CCOCC2)CC1 ZINC001138689528 1046271842 /nfs/dbraw/zinc/27/18/42/1046271842.db2.gz RTTFZRUGOBCBMV-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN CNc1ncccc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC001138689041 1046271923 /nfs/dbraw/zinc/27/19/23/1046271923.db2.gz CCTIHTWLHWYFSS-UHFFFAOYSA-N 0 3 315.848 4.156 20 0 DIADHN CCC[C@@H](NCc1c(C)nc2ccccn21)c1ccc(OC)cc1 ZINC000903420360 1046272987 /nfs/dbraw/zinc/27/29/87/1046272987.db2.gz AOFNLYGDTLIEBI-GOSISDBHSA-N 0 3 323.440 4.282 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000903428262 1046276493 /nfs/dbraw/zinc/27/64/93/1046276493.db2.gz FKTBTDPKTHIAMB-BDJLRTHQSA-N 0 3 303.356 4.216 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](c2ccncc2)C2CC2)cnn1C(C)(C)C ZINC000903427498 1046277409 /nfs/dbraw/zinc/27/74/09/1046277409.db2.gz GYZWWSAYXRGVNN-FZKQIMNGSA-N 0 3 312.461 4.143 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc3c(c2)CCCO3)c1 ZINC001138719080 1046277718 /nfs/dbraw/zinc/27/77/18/1046277718.db2.gz PIDIRSHYHRVBRG-FQEVSTJZSA-N 0 3 323.436 4.357 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1cnc2ccsc2c1 ZINC000903428630 1046278049 /nfs/dbraw/zinc/27/80/49/1046278049.db2.gz PVYOCLZGLQCJNE-KPZWWZAWSA-N 0 3 309.438 4.493 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@@H]2N[C@@H](c1ccncc1)C1CC1 ZINC000903429789 1046280263 /nfs/dbraw/zinc/28/02/63/1046280263.db2.gz WQFITVFZJDTPCN-ZWKOTPCHSA-N 0 3 300.352 4.088 20 0 DIADHN Cc1nc2c(s1)CN([C@@H](C)Cc1ccc(Cl)cc1)CC2 ZINC001168179303 1046281325 /nfs/dbraw/zinc/28/13/25/1046281325.db2.gz IWSMNFBZCLOVBO-NSHDSACASA-N 0 3 306.862 4.094 20 0 DIADHN Cc1cccc(CN(Cc2cnc3[nH]ccc3c2)C2CC2)c1C ZINC001138740221 1046284695 /nfs/dbraw/zinc/28/46/95/1046284695.db2.gz QGQCRPSNVVFLJM-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN COc1ccc(CN2C[C@@H](C)C[C@H]2CF)c2c(OC)cccc12 ZINC001138748646 1046285791 /nfs/dbraw/zinc/28/57/91/1046285791.db2.gz PLVXMAMHBHQWAC-ZFWWWQNUSA-N 0 3 317.404 4.037 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cnc3[nH]ccc3c2)cc1 ZINC001138740956 1046286436 /nfs/dbraw/zinc/28/64/36/1046286436.db2.gz QMOQUIKOBIATOA-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cnc3[nH]ccc3c2)c1 ZINC001138740889 1046286856 /nfs/dbraw/zinc/28/68/56/1046286856.db2.gz NWBYIQHHONDDDS-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1cnc(CCOC)s1 ZINC000637928132 1046287610 /nfs/dbraw/zinc/28/76/10/1046287610.db2.gz RQVBXJSSHFKERM-KRWDZBQOSA-N 0 3 318.486 4.305 20 0 DIADHN CC(C)N(Cc1cnn(Cc2ccccc2)c1)Cc1ccccc1 ZINC001138763498 1046288481 /nfs/dbraw/zinc/28/84/81/1046288481.db2.gz QZSNJZPBWGPXAI-UHFFFAOYSA-N 0 3 319.452 4.342 20 0 DIADHN CCCCCC(=O)NC1CCN(Cc2csc(C)c2C)CC1 ZINC000637994673 1046289596 /nfs/dbraw/zinc/28/95/96/1046289596.db2.gz UJUJJOSEENXSKA-UHFFFAOYSA-N 0 3 322.518 4.026 20 0 DIADHN CCCCc1ccc(CN2CCC(c3nc(C)no3)CC2)s1 ZINC001138754624 1046289961 /nfs/dbraw/zinc/28/99/61/1046289961.db2.gz HLNMXBATELARTG-UHFFFAOYSA-N 0 3 319.474 4.162 20 0 DIADHN CCCCc1ccc(CN2CC[C@@]3(C2)CC(F)(F)CO3)s1 ZINC001138754268 1046290040 /nfs/dbraw/zinc/29/00/40/1046290040.db2.gz DNQUTQORNMRUFA-HNNXBMFYSA-N 0 3 315.429 4.091 20 0 DIADHN CCCCc1ccc(CN2CC[C@@H](Oc3cccnc3)C2)s1 ZINC001138755459 1046290070 /nfs/dbraw/zinc/29/00/70/1046290070.db2.gz PRVHRSZUWXENJF-MRXNPFEDSA-N 0 3 316.470 4.139 20 0 DIADHN Fc1ccc(CCN(Cc2ccc(C(F)F)nc2)C2CC2)cc1 ZINC000638075951 1046290933 /nfs/dbraw/zinc/29/09/33/1046290933.db2.gz IHBGBVNSYVCMOJ-UHFFFAOYSA-N 0 3 320.358 4.365 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000638061040 1046291329 /nfs/dbraw/zinc/29/13/29/1046291329.db2.gz XOHUXOXDKAYRES-CYBMUJFWSA-N 0 3 306.331 4.148 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(C(F)F)nc2)C1 ZINC000638108073 1046295372 /nfs/dbraw/zinc/29/53/72/1046295372.db2.gz IKGAJLFBXBOMFM-AWEZNQCLSA-N 0 3 318.367 4.017 20 0 DIADHN CCN(CC)c1ncc(CN2CCCC3(CCCC3)C2)s1 ZINC001138798706 1046296590 /nfs/dbraw/zinc/29/65/90/1046296590.db2.gz WMELIBQYRSUXLJ-UHFFFAOYSA-N 0 3 307.507 4.146 20 0 DIADHN CC[C@H](N[C@H](COC)c1ccccc1)c1ccc2c(c1)CCO2 ZINC000089667990 1046296701 /nfs/dbraw/zinc/29/67/01/1046296701.db2.gz AIIOWLXGDPCKOW-RBUKOAKNSA-N 0 3 311.425 4.050 20 0 DIADHN Clc1cc(CN2CCC3(C=Cc4ccccc43)CC2)ccn1 ZINC001138816375 1046298900 /nfs/dbraw/zinc/29/89/00/1046298900.db2.gz PFISZPOHOFIGJI-UHFFFAOYSA-N 0 3 310.828 4.296 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@@H](C)c2ccc(OC)cc2)c1 ZINC000089725334 1046302876 /nfs/dbraw/zinc/30/28/76/1046302876.db2.gz WEZJTYXTCKUOMH-YJBOKZPZSA-N 0 3 324.424 4.227 20 0 DIADHN Cc1cccnc1O[C@H]1CCCN(Cc2ccc3occc3c2)C1 ZINC001138825874 1046304045 /nfs/dbraw/zinc/30/40/45/1046304045.db2.gz GOJYCVKVOYFCCM-SFHVURJKSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@H](c1ccco1)N(C[C@@H](O)c1ccc(Cl)cc1)C1CC1 ZINC000246108785 1046309911 /nfs/dbraw/zinc/30/99/11/1046309911.db2.gz RMHRHIZIAUEWBC-MLGOLLRUSA-N 0 3 305.805 4.192 20 0 DIADHN C[C@@](CO)(NCc1ccc(-c2ccccc2)cc1)c1ccccc1 ZINC000237654777 1046312044 /nfs/dbraw/zinc/31/20/44/1046312044.db2.gz LJFFQALRNQWVOV-QFIPXVFZSA-N 0 3 317.432 4.351 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccccc3n2C)cc1F ZINC000638307248 1046312926 /nfs/dbraw/zinc/31/29/26/1046312926.db2.gz IFHXXSJQKXCSCT-ZDUSSCGKSA-N 0 3 312.388 4.177 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1cnc2ccccc2n1 ZINC001138863647 1046313173 /nfs/dbraw/zinc/31/31/73/1046313173.db2.gz USDPRZWHWHQXHM-UHFFFAOYSA-N 0 3 305.425 4.431 20 0 DIADHN c1nc([C@@H](N[C@@H](c2ccccc2)C2CC2)C2CCCCC2)n[nH]1 ZINC000638319929 1046314068 /nfs/dbraw/zinc/31/40/68/1046314068.db2.gz TXVWZOCFBSNYMC-ROUUACIJSA-N 0 3 310.445 4.167 20 0 DIADHN c1nnc([C@@H]([NH2+][C@@H](c2ccccc2)C2CC2)C2CCCCC2)[n-]1 ZINC000638319929 1046314085 /nfs/dbraw/zinc/31/40/85/1046314085.db2.gz TXVWZOCFBSNYMC-ROUUACIJSA-N 0 3 310.445 4.167 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccn(C(F)F)c1=O)c1ccccc1 ZINC000638358379 1046317398 /nfs/dbraw/zinc/31/73/98/1046317398.db2.gz WQGFMFKTJLDQGF-HNNXBMFYSA-N 0 3 320.383 4.120 20 0 DIADHN Cc1ccc(CN(C)Cc2c[nH]nc2-c2ccc(F)cc2)cc1C ZINC001138884591 1046319017 /nfs/dbraw/zinc/31/90/17/1046319017.db2.gz OXGQVBAQCYZZPH-UHFFFAOYSA-N 0 3 323.415 4.465 20 0 DIADHN c1ccc([C@@H]2OCCC[C@@H]2CNCc2nc3ccccc3o2)cc1 ZINC000638416385 1046321995 /nfs/dbraw/zinc/32/19/95/1046321995.db2.gz WHRNQSWAPARFCN-UZLBHIALSA-N 0 3 322.408 4.085 20 0 DIADHN Fc1ccc([C@H]2CCN(Cc3ccccc3OC(F)F)C2)cc1 ZINC001138910841 1046324798 /nfs/dbraw/zinc/32/47/98/1046324798.db2.gz BGLFTJZWODKQHQ-AWEZNQCLSA-N 0 3 321.342 4.417 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2ccccc2OC(F)F)C1 ZINC001138908578 1046325025 /nfs/dbraw/zinc/32/50/25/1046325025.db2.gz IUKLPQWODIEYOD-ZDUSSCGKSA-N 0 3 321.342 4.417 20 0 DIADHN CN(CCc1cccc(F)c1)Cc1ccccc1OC(F)F ZINC001138912406 1046325901 /nfs/dbraw/zinc/32/59/01/1046325901.db2.gz OUOXEQWDOYCJHK-UHFFFAOYSA-N 0 3 309.331 4.102 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2cccc(F)c2N)c1C ZINC000638566098 1046329258 /nfs/dbraw/zinc/32/92/58/1046329258.db2.gz ADRFHHAIVQTWCG-UHFFFAOYSA-N 0 3 311.404 4.104 20 0 DIADHN CC[C@@H](NCC1CCSCC1)c1nc(C(F)(F)F)cs1 ZINC000638701605 1046335879 /nfs/dbraw/zinc/33/58/79/1046335879.db2.gz RJTXNOUDIYMRAI-SNVBAGLBSA-N 0 3 324.437 4.346 20 0 DIADHN CC(C)Oc1ccc(Cl)cc1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC001138957839 1046337387 /nfs/dbraw/zinc/33/73/87/1046337387.db2.gz OUXMJUKLGJNZHL-YOEHRIQHSA-N 0 3 323.864 4.128 20 0 DIADHN CCCCOc1cccc(CN2CCC(OC3CCC3)CC2)c1 ZINC001138952670 1046338032 /nfs/dbraw/zinc/33/80/32/1046338032.db2.gz UQPPHYOAFCWVML-UHFFFAOYSA-N 0 3 317.473 4.399 20 0 DIADHN Fc1cccc([C@H](NC2CC(n3cccn3)C2)c2ccccc2)c1 ZINC000638762575 1046340602 /nfs/dbraw/zinc/34/06/02/1046340602.db2.gz HUFHLYUBMSPNMD-SOAGJPPSSA-N 0 3 321.399 4.105 20 0 DIADHN C[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)[C@@H]1CC1(F)F ZINC000638800365 1046343136 /nfs/dbraw/zinc/34/31/36/1046343136.db2.gz LNYYVGGDXJUJSD-UWJYBYFXSA-N 0 3 311.269 4.453 20 0 DIADHN C[C@@H](NCc1cc(Cl)ccc1OC(F)F)[C@@H]1CC1(F)F ZINC000666444205 1046346674 /nfs/dbraw/zinc/34/66/74/1046346674.db2.gz DAAKVGQNFKRVGG-XCBNKYQSSA-N 0 3 311.706 4.075 20 0 DIADHN OCC[C@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000051917208 1046348376 /nfs/dbraw/zinc/34/83/76/1046348376.db2.gz UKMBVFMAGVWEIB-GFCCVEGCSA-N 0 3 309.862 4.149 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001138985608 1046348823 /nfs/dbraw/zinc/34/88/23/1046348823.db2.gz VIDWIMZMAOMPSY-OAHLLOKOSA-N 0 3 301.352 4.043 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](F)C(F)(F)CC2)c1 ZINC001138985607 1046350177 /nfs/dbraw/zinc/35/01/77/1046350177.db2.gz VIDWIMZMAOMPSY-HNNXBMFYSA-N 0 3 301.352 4.043 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3cc(C4CC4)no3)CC2)cc1 ZINC000638910459 1046350438 /nfs/dbraw/zinc/35/04/38/1046350438.db2.gz RHZNZPOIJZNKOQ-KRWDZBQOSA-N 0 3 312.388 4.417 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](c1nc[nH]n1)C1CCCCC1)[C@H](C)C2 ZINC000638960539 1046351964 /nfs/dbraw/zinc/35/19/64/1046351964.db2.gz HXFOHAGSVQBBQM-ZMYBRWDISA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)[C@H](C)C2 ZINC000638960539 1046351974 /nfs/dbraw/zinc/35/19/74/1046351974.db2.gz HXFOHAGSVQBBQM-ZMYBRWDISA-N 0 3 324.472 4.258 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cc2ccccc2n1C ZINC000639051720 1046353100 /nfs/dbraw/zinc/35/31/00/1046353100.db2.gz WTEKLWYVTLYUDX-AWEZNQCLSA-N 0 3 324.472 4.289 20 0 DIADHN OC[C@H](CC1CCCCC1)NCc1ccc(Cl)cc1Cl ZINC001202883740 1046353553 /nfs/dbraw/zinc/35/35/53/1046353553.db2.gz MENCCQHRRHEVDY-HNNXBMFYSA-N 0 3 316.272 4.414 20 0 DIADHN CC(C)CN(C(=O)c1ccc(C(C)C)cc1)C1CCN(C)CC1 ZINC000053222894 1046355554 /nfs/dbraw/zinc/35/55/54/1046355554.db2.gz DGONTZPPVSJZRU-UHFFFAOYSA-N 0 3 316.489 4.002 20 0 DIADHN CC1=C(C)C[C@H]([C@H](C)N[C@@H](c2nc[nH]n2)c2ccccc2)CC1 ZINC000639062621 1046356124 /nfs/dbraw/zinc/35/61/24/1046356124.db2.gz RNFAQEQCDDBULP-CGTJXYLNSA-N 0 3 310.445 4.009 20 0 DIADHN CC1=C(C)C[C@H]([C@H](C)[NH2+][C@@H](c2nnc[n-]2)c2ccccc2)CC1 ZINC000639062621 1046356131 /nfs/dbraw/zinc/35/61/31/1046356131.db2.gz RNFAQEQCDDBULP-CGTJXYLNSA-N 0 3 310.445 4.009 20 0 DIADHN O[C@@H](C[C@@H]1CCCCCN1Cc1ccccc1F)c1ccco1 ZINC000759449629 1046356422 /nfs/dbraw/zinc/35/64/22/1046356422.db2.gz PYORFUFZAMARJC-WMZOPIPTSA-N 0 3 317.404 4.287 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC1CC(n2cccn2)C1 ZINC000639128052 1046361988 /nfs/dbraw/zinc/36/19/88/1046361988.db2.gz VYIKTKSHEFVZMQ-XUJLQICISA-N 0 3 323.362 4.178 20 0 DIADHN Fc1cccc([C@H]2CC[C@H](NCc3cc(C4CC4)no3)C2)c1 ZINC000639127564 1046362355 /nfs/dbraw/zinc/36/23/55/1046362355.db2.gz QYRHFVIMAHTWPO-HOCLYGCPSA-N 0 3 300.377 4.117 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1ccc(C(F)F)nc1 ZINC000639135993 1046364158 /nfs/dbraw/zinc/36/41/58/1046364158.db2.gz MLVXZBBULXXTGG-UHFFFAOYSA-N 0 3 320.383 4.058 20 0 DIADHN C[C@H]1CCN1Cc1ccc(-c2ccc(Br)cc2)o1 ZINC001139030112 1046365732 /nfs/dbraw/zinc/36/57/32/1046365732.db2.gz BZABPGTXVWUVLX-NSHDSACASA-N 0 3 306.203 4.303 20 0 DIADHN COCCc1ncc(CN(C(C)C)[C@@H](C)c2ccccc2)s1 ZINC000639200225 1046368112 /nfs/dbraw/zinc/36/81/12/1046368112.db2.gz WXFWVQUSHHBMSL-HNNXBMFYSA-N 0 3 318.486 4.304 20 0 DIADHN CCCn1cc(CN2CCC3(CCCc4ccccc43)CC2)cn1 ZINC001139039776 1046368305 /nfs/dbraw/zinc/36/83/05/1046368305.db2.gz XXPPLGBMYHXYJS-UHFFFAOYSA-N 0 3 323.484 4.163 20 0 DIADHN C[C@@H](CCc1c[nH]c2ccccc12)NCc1noc2c1CCCC2 ZINC000639187234 1046368291 /nfs/dbraw/zinc/36/82/91/1046368291.db2.gz JLLCOVMDEOEVMM-AWEZNQCLSA-N 0 3 323.440 4.146 20 0 DIADHN O[C@H](C[C@H]1CCCN1Cc1cccc(Cl)c1)c1ccco1 ZINC000246513418 1046368359 /nfs/dbraw/zinc/36/83/59/1046368359.db2.gz IENGMYJGYWJNLF-HZPDHXFCSA-N 0 3 305.805 4.021 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2Oc2ccccc2)CC[C@]1(C)O ZINC001139052481 1046369368 /nfs/dbraw/zinc/36/93/68/1046369368.db2.gz AWOMQCAFFOZZRW-UZLBHIALSA-N 0 3 311.425 4.072 20 0 DIADHN COc1cc(CN(C)[C@H](C)c2ccc(F)c(Cl)c2)ccc1O ZINC000487541158 1046369594 /nfs/dbraw/zinc/36/95/94/1046369594.db2.gz YMMJCSJDVZNMQR-LLVKDONJSA-N 0 3 323.795 4.386 20 0 DIADHN O=C1CCC[C@@H]2CN(Cc3ccccc3Oc3ccccc3)C[C@@H]12 ZINC001139053190 1046370073 /nfs/dbraw/zinc/37/00/73/1046370073.db2.gz VFZRJHOUZYDPLH-VQIMIIECSA-N 0 3 321.420 4.280 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccccc2CC(F)(F)F)C[C@@H]1C ZINC000639231204 1046371177 /nfs/dbraw/zinc/37/11/77/1046371177.db2.gz VAXDPMIAFDBJTP-XJKSGUPXSA-N 0 3 315.379 4.038 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(Oc3ncccn3)cc2)c1 ZINC001139076477 1046382522 /nfs/dbraw/zinc/38/25/22/1046382522.db2.gz MYEUBSKFSIEKCN-UHFFFAOYSA-N 0 3 319.408 4.209 20 0 DIADHN CSc1ccc(CN2CC[C@@H](O)C[C@H]2c2ccccc2)cc1 ZINC000639366403 1046384062 /nfs/dbraw/zinc/38/40/62/1046384062.db2.gz KJXBFWHQSDAGIQ-MJGOQNOKSA-N 0 3 313.466 4.107 20 0 DIADHN COc1cc(O)ccc1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001139142871 1046392846 /nfs/dbraw/zinc/39/28/46/1046392846.db2.gz YOKJTKYVWKJBJB-CYBMUJFWSA-N 0 3 317.816 4.044 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC[C@H]2CCC=CO2)o1 ZINC000780567377 1046393477 /nfs/dbraw/zinc/39/34/77/1046393477.db2.gz SAMMCBRDRIAWIU-OAHLLOKOSA-N 0 3 301.361 4.176 20 0 DIADHN CCn1ccc2c1cccc2CN1CCc2ccc(OC)cc2C1 ZINC001139152171 1046396135 /nfs/dbraw/zinc/39/61/35/1046396135.db2.gz RCWDEZMYAKVDBM-UHFFFAOYSA-N 0 3 320.436 4.228 20 0 DIADHN Cc1cnccc1CN[C@H](C)c1ccc(Br)s1 ZINC000228660916 1046398695 /nfs/dbraw/zinc/39/86/95/1046398695.db2.gz BOIMPJJKEQBNGJ-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1ccc(Br)s1 ZINC000228660926 1046398902 /nfs/dbraw/zinc/39/89/02/1046398902.db2.gz BOIMPJJKEQBNGJ-JTQLQIEISA-N 0 3 311.248 4.065 20 0 DIADHN CCC[C@H]1CN(C[C@H](C)CSc2ccccc2C)CCO1 ZINC001168201678 1046400263 /nfs/dbraw/zinc/40/02/63/1046400263.db2.gz LJRHELODTGYUIO-RDJZCZTQSA-N 0 3 307.503 4.224 20 0 DIADHN COc1ccc(C[C@H](C)CN(C)Cc2cnc(Cl)s2)cc1 ZINC000090616993 1046400922 /nfs/dbraw/zinc/40/09/22/1046400922.db2.gz NBPGPADYBDSJJS-LBPRGKRZSA-N 0 3 324.877 4.116 20 0 DIADHN COc1ccc(C[C@@H](C)CN(C)Cc2cnc(Cl)s2)cc1 ZINC000090616995 1046401749 /nfs/dbraw/zinc/40/17/49/1046401749.db2.gz NBPGPADYBDSJJS-GFCCVEGCSA-N 0 3 324.877 4.116 20 0 DIADHN Cc1noc(C)c1CCNCc1ccc(-c2ccc(F)cc2)o1 ZINC000170961341 1046405016 /nfs/dbraw/zinc/40/50/16/1046405016.db2.gz NBBLDMOEIWKBNJ-UHFFFAOYSA-N 0 3 314.360 4.023 20 0 DIADHN C[C@@H](c1nnc(-c2ccc3ccccc3c2)o1)N1CC[C@H](C)C1 ZINC000639468575 1046405269 /nfs/dbraw/zinc/40/52/69/1046405269.db2.gz FCNLKIITPDCQME-KBPBESRZSA-N 0 3 307.397 4.293 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1c[nH]c3cccc(C)c13)C2 ZINC001139192512 1046405909 /nfs/dbraw/zinc/40/59/09/1046405909.db2.gz SIXQFMNGNJUZRP-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN COc1ccc(CN(C)[C@@H]2CCc3ccc(F)cc32)cc1F ZINC000748809450 1046406445 /nfs/dbraw/zinc/40/64/45/1046406445.db2.gz ALVLGHZUFHYYQU-QGZVFWFLSA-N 0 3 303.352 4.093 20 0 DIADHN Cn1ccc2cc(CN3CCSC[C@H]3c3ccccc3)ccc21 ZINC001139189466 1046407118 /nfs/dbraw/zinc/40/71/18/1046407118.db2.gz DGLPBFOIFLMKCW-FQEVSTJZSA-N 0 3 322.477 4.468 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN2C[C@H]3CCC[C@@H]3C2)o1 ZINC000639514209 1046407650 /nfs/dbraw/zinc/40/76/50/1046407650.db2.gz CNNDANNKQRGPHZ-HZPDHXFCSA-N 0 3 319.408 4.122 20 0 DIADHN C[C@@H]1C[C@@H](C)N(Cc2nnc(-c3cccc4ccccc43)o2)C1 ZINC000639495680 1046407718 /nfs/dbraw/zinc/40/77/18/1046407718.db2.gz SQVFUHLCKXKRAO-ZIAGYGMSSA-N 0 3 307.397 4.120 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CC2)OCc2ccccc23)c(C)n1 ZINC000639517385 1046408964 /nfs/dbraw/zinc/40/89/64/1046408964.db2.gz IWUNPKIUEKCJHR-UHFFFAOYSA-N 0 3 322.452 4.028 20 0 DIADHN Cc1cccc2[nH]cc(CN3CCC(=O)[C@@H](C)C34CCCC4)c21 ZINC001139198124 1046408997 /nfs/dbraw/zinc/40/89/97/1046408997.db2.gz HLBUNWNAVKIHHQ-OAHLLOKOSA-N 0 3 310.441 4.200 20 0 DIADHN CC(=O)[C@@H]1CCCN1Cc1c2ccccc2[nH]c1-c1ccccc1 ZINC001139204972 1046412337 /nfs/dbraw/zinc/41/23/37/1046412337.db2.gz UDYZHUFMOCJFQJ-FQEVSTJZSA-N 0 3 318.420 4.388 20 0 DIADHN C(=C\[C@@H]1CCCCCN1CCc1cnccn1)\c1cccs1 ZINC000639584948 1046415621 /nfs/dbraw/zinc/41/56/21/1046415621.db2.gz XJNVBYCUXACAGQ-QWPQOLDESA-N 0 3 313.470 4.039 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1ccc2ncsc2c1 ZINC000639596814 1046417115 /nfs/dbraw/zinc/41/71/15/1046417115.db2.gz YBNDFTZIUMLCGI-CYBMUJFWSA-N 0 3 324.449 4.220 20 0 DIADHN CC1CCC(NCC(=O)c2ccc(F)c(C(F)(F)F)c2)CC1 ZINC001168203643 1046418932 /nfs/dbraw/zinc/41/89/32/1046418932.db2.gz ZRENSTNTEBYGCG-UHFFFAOYSA-N 0 3 317.326 4.196 20 0 DIADHN c1ccc2c(c1)cccc2-c1nnc(CN[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000639670509 1046419900 /nfs/dbraw/zinc/41/99/00/1046419900.db2.gz CJTQELVARWIRKQ-JLSDUUJJSA-N 0 3 319.408 4.168 20 0 DIADHN C[C@@H]1C[C@H](O)CN1Cc1ccccc1Oc1ccc(Cl)cc1 ZINC001139262239 1046419932 /nfs/dbraw/zinc/41/99/32/1046419932.db2.gz OOAKCLWAALUQGO-CJNGLKHVSA-N 0 3 317.816 4.087 20 0 DIADHN CO[C@@H]1CCN(Cc2ccccc2Oc2ccc(Cl)cc2)C1 ZINC001139263183 1046420087 /nfs/dbraw/zinc/42/00/87/1046420087.db2.gz ZGASPNLXQMMEBG-QGZVFWFLSA-N 0 3 317.816 4.353 20 0 DIADHN O=c1cc(CN2CCCC[C@@H]2c2ccc(Cl)cc2)cc[nH]1 ZINC000639662110 1046420522 /nfs/dbraw/zinc/42/05/22/1046420522.db2.gz AQKYOQGKUAMFPZ-MRXNPFEDSA-N 0 3 302.805 4.168 20 0 DIADHN C[C@]1(O)CCN(Cc2ccccc2Oc2ccc(Cl)cc2)C1 ZINC001139264122 1046420595 /nfs/dbraw/zinc/42/05/95/1046420595.db2.gz KYRRINASSWTJCV-SFHVURJKSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@]1(O)CCN(Cc2ccccc2Oc2cccc(Cl)c2)C1 ZINC001139259479 1046420878 /nfs/dbraw/zinc/42/08/78/1046420878.db2.gz COKFZBXNZNCIHS-SFHVURJKSA-N 0 3 317.816 4.089 20 0 DIADHN C[C@@H]1C[C@@H](O)CN1Cc1ccccc1Oc1cccc(Cl)c1 ZINC001139260080 1046420892 /nfs/dbraw/zinc/42/08/92/1046420892.db2.gz MMFRRHMCOTUETC-CZUORRHYSA-N 0 3 317.816 4.087 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc(C)c1O ZINC001211918560 1046422459 /nfs/dbraw/zinc/42/24/59/1046422459.db2.gz IGBFBALQEFOKRI-KRWDZBQOSA-N 0 3 312.413 4.138 20 0 DIADHN C[C@H](NCC1([C@@H](O)c2ccccc2)CC1)c1cc(F)ccc1F ZINC000090771012 1046422511 /nfs/dbraw/zinc/42/25/11/1046422511.db2.gz IVWSONGSCJKIOE-UGSOOPFHSA-N 0 3 317.379 4.129 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC[C@@H](OC(C)C)C1 ZINC000639719382 1046423502 /nfs/dbraw/zinc/42/35/02/1046423502.db2.gz DLHONOAEUNBDOC-QGZVFWFLSA-N 0 3 314.429 4.039 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@H](c2cccnc2)C1 ZINC000639697127 1046424187 /nfs/dbraw/zinc/42/41/87/1046424187.db2.gz XDDPCITVWCJCBY-HOCLYGCPSA-N 0 3 307.397 4.163 20 0 DIADHN Brc1ccsc1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001139311178 1046428998 /nfs/dbraw/zinc/42/89/98/1046428998.db2.gz MMYZQMAUIHSBLV-WDEREUQCSA-N 0 3 300.265 4.133 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC(c2ccon2)CC1 ZINC000639862816 1046432708 /nfs/dbraw/zinc/43/27/08/1046432708.db2.gz OVRJPSKCLFFRBD-UHFFFAOYSA-N 0 3 323.396 4.018 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccncc2)C2(CO)CCC2)c(C)c1 ZINC000639875114 1046433475 /nfs/dbraw/zinc/43/34/75/1046433475.db2.gz BNIJKVAYZVFGPV-FQEVSTJZSA-N 0 3 324.468 4.000 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1Cc1ccc(-n2ccnc2)cc1 ZINC001139360164 1046436766 /nfs/dbraw/zinc/43/67/66/1046436766.db2.gz JAELHJAEPCYRLP-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN C[C@@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)[C@@H]1C ZINC001139366132 1046438300 /nfs/dbraw/zinc/43/83/00/1046438300.db2.gz UNWJQQDFFFRLJK-CHWSQXEVSA-N 0 3 323.893 4.267 20 0 DIADHN C[C@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)[C@H]1C ZINC001139366136 1046439033 /nfs/dbraw/zinc/43/90/33/1046439033.db2.gz UNWJQQDFFFRLJK-STQMWFEESA-N 0 3 323.893 4.267 20 0 DIADHN C[C@@H](c1ccc(C(F)(F)F)cc1)N1CCC[C@H](OC(F)F)C1 ZINC000781354147 1046441387 /nfs/dbraw/zinc/44/13/87/1046441387.db2.gz OKCWQYSVCFWVAB-GWCFXTLKSA-N 0 3 323.305 4.470 20 0 DIADHN Cc1ccc(CNCc2ccc(C)c(Br)c2C)cn1 ZINC000719633970 1046446014 /nfs/dbraw/zinc/44/60/14/1046446014.db2.gz PZEMCWYEFCMRTJ-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN c1n[nH]cc1CN[C@@H](c1ccccc1)[C@@H]1CCCc2ccccc21 ZINC000676903407 1046446368 /nfs/dbraw/zinc/44/63/68/1046446368.db2.gz DFZAFKOJIYECDD-RTWAWAEBSA-N 0 3 317.436 4.361 20 0 DIADHN C[C@@H]1CO[C@@H]2CN(Cc3ccc(-c4ccc(Cl)cc4)o3)C[C@H]12 ZINC001139418790 1046448412 /nfs/dbraw/zinc/44/84/12/1046448412.db2.gz WXZQXHVTYLJAIT-XHBKTUGNSA-N 0 3 317.816 4.067 20 0 DIADHN CC1(C(=O)OCC2CCN(Cc3ccco3)CC2)CCCCC1 ZINC000781518549 1046452716 /nfs/dbraw/zinc/45/27/16/1046452716.db2.gz GDIFYQUNJQEQFY-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN c1cc2n(n1)CCC[C@H]2NCc1ccc(-c2ccsc2)cc1 ZINC000640220255 1046453675 /nfs/dbraw/zinc/45/36/75/1046453675.db2.gz KXSDIPZLUHGJGU-QGZVFWFLSA-N 0 3 309.438 4.236 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1c(Cl)ccnc1Cl)CC2 ZINC001139475648 1046454892 /nfs/dbraw/zinc/45/48/92/1046454892.db2.gz VTYBNKZUEPWOPR-UHFFFAOYSA-N 0 3 321.198 4.400 20 0 DIADHN COc1cc(CN[C@@H]2CCc3c2cc(F)cc3F)ccc1C ZINC000677042446 1046456959 /nfs/dbraw/zinc/45/69/59/1046456959.db2.gz KAOYETPVYALMTI-QGZVFWFLSA-N 0 3 303.352 4.059 20 0 DIADHN Fc1ccc(-c2cnc(CN3CCC[C@@H](C4CC4)C3)o2)cc1 ZINC000677051659 1046457680 /nfs/dbraw/zinc/45/76/80/1046457680.db2.gz TZWFMRNIFMJFQG-OAHLLOKOSA-N 0 3 300.377 4.103 20 0 DIADHN CC(C)(C)OC(=O)n1cc(CN2CCCC2)c2ccccc21 ZINC001139523587 1046461667 /nfs/dbraw/zinc/46/16/67/1046461667.db2.gz CIFFRNPPBXWYDL-UHFFFAOYSA-N 0 3 300.402 4.020 20 0 DIADHN c1ccc(-c2ccc(CN3CCC(OC4CCC4)CC3)cn2)cc1 ZINC001139520665 1046461955 /nfs/dbraw/zinc/46/19/55/1046461955.db2.gz NIVNVGKNXFLTKD-UHFFFAOYSA-N 0 3 322.452 4.282 20 0 DIADHN CC(=O)Oc1ccc(CN2CCC[C@H]2c2cccs2)cc1 ZINC000125532555 1046470609 /nfs/dbraw/zinc/47/06/09/1046470609.db2.gz SWLZPSVKRVYPAU-INIZCTEOSA-N 0 3 301.411 4.011 20 0 DIADHN Cc1ccc(CNc2cccc(CN3CCSCC3)c2C)o1 ZINC000125605680 1046470654 /nfs/dbraw/zinc/47/06/54/1046470654.db2.gz SQBHWNXOIQGCLL-UHFFFAOYSA-N 0 3 316.470 4.057 20 0 DIADHN COc1ccc(CN2CCC[C@H]2C)c(OCc2ccccc2)c1 ZINC001139558504 1046471010 /nfs/dbraw/zinc/47/10/10/1046471010.db2.gz POYTWEPVJRXLBZ-MRXNPFEDSA-N 0 3 311.425 4.259 20 0 DIADHN CC1(O)CCN(Cc2ccccc2-c2ccccc2Cl)CC1 ZINC001139572909 1046475135 /nfs/dbraw/zinc/47/51/35/1046475135.db2.gz VYPVYEYBYSICLG-UHFFFAOYSA-N 0 3 315.844 4.354 20 0 DIADHN C[C@H](NC1CCC(C)CC1)c1ncc(Br)s1 ZINC000126394393 1046475688 /nfs/dbraw/zinc/47/56/88/1046475688.db2.gz LKJCHMJILOJBKD-KYHHOPLUSA-N 0 3 303.269 4.135 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC[C@@H]2c2ccccc2)c1 ZINC000044277273 1046476970 /nfs/dbraw/zinc/47/69/70/1046476970.db2.gz GMWZUMMJNHMIPO-LJQANCHMSA-N 0 3 323.440 4.117 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC3(CC(F)(F)C3)CC2)c1 ZINC001139589826 1046478274 /nfs/dbraw/zinc/47/82/74/1046478274.db2.gz SLZNVGPNYUBVMG-UHFFFAOYSA-N 0 3 300.780 4.055 20 0 DIADHN Cc1cnc(Cl)c(CN2CCC[C@@H]2Cc2ccc(F)cc2)c1 ZINC001139592851 1046480574 /nfs/dbraw/zinc/48/05/74/1046480574.db2.gz JHWKWEULUUZJTB-QGZVFWFLSA-N 0 3 318.823 4.390 20 0 DIADHN CCOc1ccc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)cc1Cl ZINC001139596622 1046481181 /nfs/dbraw/zinc/48/11/81/1046481181.db2.gz SBLUZLQCIHOHDN-BETUJISGSA-N 0 3 315.791 4.216 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C)nc1)c1ccc(Cl)s1 ZINC000677321257 1046483771 /nfs/dbraw/zinc/48/37/71/1046483771.db2.gz SHBQIOKTEGZBMN-LBPRGKRZSA-N 0 3 309.866 4.103 20 0 DIADHN CCOCOc1ccc(CN2CCC(c3ccco3)CC2)cc1 ZINC000826877567 1046487287 /nfs/dbraw/zinc/48/72/87/1046487287.db2.gz JEWICYFGVMTVHL-UHFFFAOYSA-N 0 3 315.413 4.032 20 0 DIADHN C[C@H](CCSc1ccccc1)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000641140720 1046489217 /nfs/dbraw/zinc/48/92/17/1046489217.db2.gz UDOKZWJMCONGAG-CZUORRHYSA-N 0 3 318.490 4.067 20 0 DIADHN CCOc1ccncc1CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC001139629271 1046490870 /nfs/dbraw/zinc/49/08/70/1046490870.db2.gz NCUAXUYSEFFQQS-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN CC1(C)OCc2cc(CNCc3ccc4occc4c3)ccc2O1 ZINC000826915651 1046494665 /nfs/dbraw/zinc/49/46/65/1046494665.db2.gz RSTXOCWRJUIBNF-UHFFFAOYSA-N 0 3 323.392 4.368 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN(C)[C@H]1CCc2ccccc21 ZINC001139678970 1046496685 /nfs/dbraw/zinc/49/66/85/1046496685.db2.gz HGJAXKGYWZERAE-SFHVURJKSA-N 0 3 305.425 4.070 20 0 DIADHN CCCN(Cc1c(C)nc2cc(C)ccn21)Cc1ccccc1 ZINC001139680511 1046498728 /nfs/dbraw/zinc/49/87/28/1046498728.db2.gz GYHRJWYHWZHFEE-UHFFFAOYSA-N 0 3 307.441 4.363 20 0 DIADHN CC(C)C[C@H](NCc1ccncc1N)c1ccc(Cl)cc1 ZINC000641729282 1046508415 /nfs/dbraw/zinc/50/84/15/1046508415.db2.gz XXVSXJDBGLXQIL-KRWDZBQOSA-N 0 3 303.837 4.194 20 0 DIADHN CSc1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)o1 ZINC000641754458 1046510689 /nfs/dbraw/zinc/51/06/89/1046510689.db2.gz AXTFHESHPVRPHD-ZBFHGGJFSA-N 0 3 303.427 4.011 20 0 DIADHN Cc1ccc2ncc(CN3CCC[C@@H]3c3ccccc3C)n2c1 ZINC001137306515 1046511830 /nfs/dbraw/zinc/51/18/30/1046511830.db2.gz XJJVJMYNDRKNQR-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN Fc1ccc(CC2CN(Cc3ccc(OC(F)F)cc3)C2)cc1 ZINC000677699540 1046513803 /nfs/dbraw/zinc/51/38/03/1046513803.db2.gz JCAWEXDXUOFLHH-UHFFFAOYSA-N 0 3 321.342 4.102 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc3oc4ccccc4c3c2)C1 ZINC001139753936 1046514912 /nfs/dbraw/zinc/51/49/12/1046514912.db2.gz FMBNIFFSHLKMPF-MRXNPFEDSA-N 0 3 307.393 4.387 20 0 DIADHN Clc1ccc(-c2cc(CN3CCC4(CC4)CC3)on2)cc1 ZINC000677717466 1046516039 /nfs/dbraw/zinc/51/60/39/1046516039.db2.gz VFWXBJDUKOLAHQ-UHFFFAOYSA-N 0 3 302.805 4.371 20 0 DIADHN FC(F)c1ccccc1CN1CC[C@H](Oc2ccccc2)C1 ZINC001139801240 1046522141 /nfs/dbraw/zinc/52/21/41/1046522141.db2.gz NLPNWXJXRLQULZ-INIZCTEOSA-N 0 3 303.352 4.278 20 0 DIADHN CO[C@H](CN[C@H](C)c1cnc(C)s1)c1ccc(Cl)cc1 ZINC000677756054 1046523089 /nfs/dbraw/zinc/52/30/89/1046523089.db2.gz FHISALZTTIMMHA-QMTHXVAHSA-N 0 3 310.850 4.143 20 0 DIADHN COc1ccc(-c2nc(CN(C)CC3CCCCC3)co2)cc1 ZINC000641958882 1046532225 /nfs/dbraw/zinc/53/22/25/1046532225.db2.gz PLOOKMGAMIJFGK-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN CSCc1cccc(CNCc2cnc3ccccc3c2)c1 ZINC000782536390 1046533969 /nfs/dbraw/zinc/53/39/69/1046533969.db2.gz YQBDWMCEEJSZGL-UHFFFAOYSA-N 0 3 308.450 4.388 20 0 DIADHN C[C@@]1(CO)CCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000093341054 1046536215 /nfs/dbraw/zinc/53/62/15/1046536215.db2.gz YKCLQMNTIGZSOK-GOSISDBHSA-N 0 3 319.832 4.194 20 0 DIADHN Cc1ccccc1O[C@H]1CCN(Cc2cc3ccccc3n2C)C1 ZINC001139870495 1046537840 /nfs/dbraw/zinc/53/78/40/1046537840.db2.gz KJKSEBGSFHHHDO-IBGZPJMESA-N 0 3 320.436 4.140 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cnn(CC(F)(F)F)c1 ZINC000536625998 1046538482 /nfs/dbraw/zinc/53/84/82/1046538482.db2.gz UXMVCHDXFPRJTJ-DOMZBBRYSA-N 0 3 323.362 4.092 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2ccccc2C2CC2)cc1 ZINC001139882052 1046542030 /nfs/dbraw/zinc/54/20/30/1046542030.db2.gz BQTAWVZTXKCEQL-FQEVSTJZSA-N 0 3 307.437 4.355 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CC[C@H](c2cccnc2)C1 ZINC001139885137 1046545622 /nfs/dbraw/zinc/54/56/22/1046545622.db2.gz MMMNSWDTJSWALF-HNNXBMFYSA-N 0 3 320.358 4.398 20 0 DIADHN CSC1CCN(Cc2cc(C(F)(F)F)ccc2C)CC1 ZINC001139885703 1046546131 /nfs/dbraw/zinc/54/61/31/1046546131.db2.gz UUWOLUHTBQDKFL-UHFFFAOYSA-N 0 3 303.393 4.341 20 0 DIADHN CC(C)c1noc([C@H]2CCCN(Cc3cc4ccccc4[nH]3)C2)n1 ZINC001137319712 1046547218 /nfs/dbraw/zinc/54/72/18/1046547218.db2.gz LVHJERAAXJJQBO-HNNXBMFYSA-N 0 3 324.428 4.054 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3cncc4ccccc43)C2)cc1 ZINC001139895199 1046547479 /nfs/dbraw/zinc/54/74/79/1046547479.db2.gz DKOHWUIADFYXLZ-IBGZPJMESA-N 0 3 322.383 4.027 20 0 DIADHN CC(C)Oc1cc(CN(CCCOC(C)(C)C)C2CC2)ccn1 ZINC000678081757 1046552489 /nfs/dbraw/zinc/55/24/89/1046552489.db2.gz GPQWBIRXUZEZJK-UHFFFAOYSA-N 0 3 320.477 4.038 20 0 DIADHN Cc1ccc(CN2CCC3(CCCc4ccccc43)CC2)cn1 ZINC001139928995 1046552708 /nfs/dbraw/zinc/55/27/08/1046552708.db2.gz JHCALUGKRSWJLK-UHFFFAOYSA-N 0 3 306.453 4.260 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1c[nH]c2nccc(Br)c12 ZINC001139943633 1046555165 /nfs/dbraw/zinc/55/51/65/1046555165.db2.gz GKCISOUOLSUDDY-PHIMTYICSA-N 0 3 322.250 4.088 20 0 DIADHN C[C@H](CN[C@@H](C)c1sccc1Br)C(F)(F)F ZINC000309541640 1046555193 /nfs/dbraw/zinc/55/51/93/1046555193.db2.gz GAJCMPCIZQDCID-RQJHMYQMSA-N 0 3 316.186 4.360 20 0 DIADHN Cn1ncc2cc(CN3CCC[C@@H]3c3ccc(F)cc3)ccc21 ZINC001139948439 1046557694 /nfs/dbraw/zinc/55/76/94/1046557694.db2.gz DBHVWIMCJCHISA-LJQANCHMSA-N 0 3 309.388 4.050 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2C2CC2)cc1OC ZINC000678123573 1046557897 /nfs/dbraw/zinc/55/78/97/1046557897.db2.gz XRSRXBMFYLMSBZ-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN Cn1ncc2cc(CN3CCC[C@@H]3c3ccccc3F)ccc21 ZINC001139950661 1046558628 /nfs/dbraw/zinc/55/86/28/1046558628.db2.gz KIVLFLNRHWZIDP-LJQANCHMSA-N 0 3 309.388 4.050 20 0 DIADHN c1ccc2cc(C3CCN(Cc4cccnn4)CC3)ccc2c1 ZINC001139970786 1046560486 /nfs/dbraw/zinc/56/04/86/1046560486.db2.gz HBKUYOQVMREHEC-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN Brc1cccc2[nH]cc(CN3CC4CCC3CC4)c21 ZINC001139966240 1046560912 /nfs/dbraw/zinc/56/09/12/1046560912.db2.gz QEHKYEOQENILPX-UHFFFAOYSA-N 0 3 319.246 4.305 20 0 DIADHN COc1ccc(CN(Cc2nccc3ccccc32)C2CC2)cc1 ZINC000678126360 1046562017 /nfs/dbraw/zinc/56/20/17/1046562017.db2.gz HHGIFVPEPIQRLU-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN c1ccc2c(c1)[nH]c1c2CN(C[C@H]2CCOc3ccccc32)CC1 ZINC000678129419 1046563434 /nfs/dbraw/zinc/56/34/34/1046563434.db2.gz OEGXCFRLPXNVFS-OAHLLOKOSA-N 0 3 318.420 4.092 20 0 DIADHN CN(Cc1ccc(OCc2ccccc2)cc1)CC1=CCCOC1 ZINC000678137968 1046564682 /nfs/dbraw/zinc/56/46/82/1046564682.db2.gz XRDBEFINBODELD-UHFFFAOYSA-N 0 3 323.436 4.044 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1cc3ccccc3cn1)CC2 ZINC001139996129 1046566096 /nfs/dbraw/zinc/56/60/96/1046566096.db2.gz YMNPHPYFEVBRDD-UHFFFAOYSA-N 0 3 302.368 4.246 20 0 DIADHN CCCN(Cc1sccc1OC)Cc1ccc(OC)cc1 ZINC000678146381 1046570086 /nfs/dbraw/zinc/57/00/86/1046570086.db2.gz SRGVVWJBKLJLMU-UHFFFAOYSA-N 0 3 305.443 4.178 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CC[C@H]2C)c2ccccc2OC)cc1 ZINC000783264332 1046570845 /nfs/dbraw/zinc/57/08/45/1046570845.db2.gz MXKHOWFTWNZQQU-DJKXOVBDSA-N 0 3 311.425 4.181 20 0 DIADHN CCN(Cc1n[nH]c2ccccc21)[C@H](C)c1cccc(OC)c1 ZINC000678150787 1046571144 /nfs/dbraw/zinc/57/11/44/1046571144.db2.gz LNHCOTJBYPAQCC-CQSZACIVSA-N 0 3 309.413 4.155 20 0 DIADHN CCN(Cc1[nH]nc2ccccc21)[C@H](C)c1cccc(OC)c1 ZINC000678150787 1046571159 /nfs/dbraw/zinc/57/11/59/1046571159.db2.gz LNHCOTJBYPAQCC-CQSZACIVSA-N 0 3 309.413 4.155 20 0 DIADHN c1ccc([C@H]2CCN2Cc2ccccc2-c2cncnc2)cc1 ZINC001140022708 1046571849 /nfs/dbraw/zinc/57/18/49/1046571849.db2.gz QTNCKRSRPKQRJN-HXUWFJFHSA-N 0 3 301.393 4.091 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1Cl)N1CC[C@H](CCO)C1 ZINC000445317083 1046572407 /nfs/dbraw/zinc/57/24/07/1046572407.db2.gz GXECYHALCGWKBU-IAQYHMDHSA-N 0 3 302.245 4.149 20 0 DIADHN CCCCN(CC(=O)N[C@H](C)c1cccc2ccccc21)C1CC1 ZINC000642189505 1046576992 /nfs/dbraw/zinc/57/69/92/1046576992.db2.gz HKKKLBGGNJQBTG-MRXNPFEDSA-N 0 3 324.468 4.282 20 0 DIADHN COc1c(Cl)cccc1CN1CC[C@H](c2ccc(F)cc2)C1 ZINC001140061925 1046577160 /nfs/dbraw/zinc/57/71/60/1046577160.db2.gz BZKPNQMOHLZTHB-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN Fc1ccc(C(NC[C@H]2CCC=CO2)c2ccc(F)cc2)cc1 ZINC000783305994 1046577552 /nfs/dbraw/zinc/57/75/52/1046577552.db2.gz VTBXVCOZKBTUNO-GOSISDBHSA-N 0 3 315.363 4.337 20 0 DIADHN CN(CCCCc1ccccc1)Cc1nn(C)c2ccccc12 ZINC000678181121 1046578963 /nfs/dbraw/zinc/57/89/63/1046578963.db2.gz LRNOCBDOTQTXSB-UHFFFAOYSA-N 0 3 307.441 4.028 20 0 DIADHN CSCc1cccc(CN[C@H](C)c2ccnc(Cl)c2)c1 ZINC000783316761 1046581401 /nfs/dbraw/zinc/58/14/01/1046581401.db2.gz LQAPVLBJJIDQHI-GFCCVEGCSA-N 0 3 306.862 4.449 20 0 DIADHN Oc1ccc(CN2CC[C@H](c3ccccc3F)C2)c(Cl)c1 ZINC001140084699 1046585685 /nfs/dbraw/zinc/58/56/85/1046585685.db2.gz DWBUQPXALVPAJV-LBPRGKRZSA-N 0 3 305.780 4.174 20 0 DIADHN FC(F)(F)c1cccnc1CN1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000678211189 1046586467 /nfs/dbraw/zinc/58/64/67/1046586467.db2.gz OQCMEEGUBNFMNW-VTXSZYRJSA-N 0 3 310.363 4.111 20 0 DIADHN Oc1ccc(CN2CC[C@@H](c3ccccc3F)C2)c(Cl)c1 ZINC001140084698 1046586561 /nfs/dbraw/zinc/58/65/61/1046586561.db2.gz DWBUQPXALVPAJV-GFCCVEGCSA-N 0 3 305.780 4.174 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(O)cc3Cl)C2)cc1 ZINC001140083086 1046586791 /nfs/dbraw/zinc/58/67/91/1046586791.db2.gz HPDXSZOUFJCYOW-AWEZNQCLSA-N 0 3 317.816 4.044 20 0 DIADHN FCC1CCN(Cc2cnc(-c3ccc(F)cc3)s2)CC1 ZINC001140096635 1046587464 /nfs/dbraw/zinc/58/74/64/1046587464.db2.gz XSFJDTXLQDQWRS-UHFFFAOYSA-N 0 3 308.397 4.131 20 0 DIADHN CCOCOc1ccc(CN(CC)Cc2cccs2)cc1 ZINC000783391179 1046587426 /nfs/dbraw/zinc/58/74/26/1046587426.db2.gz WHVHLZODKZVSSX-UHFFFAOYSA-N 0 3 305.443 4.143 20 0 DIADHN Oc1ccc([C@H]2CCCN2Cc2cnc(-c3ccccc3)[nH]2)cc1 ZINC000678212766 1046587749 /nfs/dbraw/zinc/58/77/49/1046587749.db2.gz MHPFMYAGKBDMQZ-LJQANCHMSA-N 0 3 319.408 4.119 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCOc3ccccc32)C[C@H](c2ccccc2)O1 ZINC000678214249 1046591668 /nfs/dbraw/zinc/59/16/68/1046591668.db2.gz ORKPXCARRAUFSH-HGHGUNKESA-N 0 3 323.436 4.015 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cscc3Cl)C2)cc1 ZINC000678226031 1046592178 /nfs/dbraw/zinc/59/21/78/1046592178.db2.gz WTWHSJODOXVEEM-ZDUSSCGKSA-N 0 3 307.846 4.400 20 0 DIADHN COc1c(C)cc(CN(C)Cc2nccc3ccccc32)cc1C ZINC000678225357 1046593862 /nfs/dbraw/zinc/59/38/62/1046593862.db2.gz GHUZKTPCCWDGQX-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN Oc1ccc(CN2CCC(n3ccc4ccccc43)CC2)c(F)c1 ZINC001140128114 1046594814 /nfs/dbraw/zinc/59/48/14/1046594814.db2.gz HNGFXMOBHCSJHC-UHFFFAOYSA-N 0 3 324.399 4.323 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCCO[C@@H](c3ccccc3)C2)o1 ZINC000678238281 1046596618 /nfs/dbraw/zinc/59/66/18/1046596618.db2.gz FEZZHMRLZJIPRY-XFQXTVEOSA-N 0 3 311.425 4.367 20 0 DIADHN OCCCN(Cc1ccc(Cl)cc1)Cc1cccc(Cl)c1 ZINC001168265940 1046596511 /nfs/dbraw/zinc/59/65/11/1046596511.db2.gz YDYGFFVZCFCJIP-UHFFFAOYSA-N 0 3 324.251 4.378 20 0 DIADHN Oc1cccc(CN2CCC(c3ccc(F)c(F)c3)CC2)c1 ZINC000678238715 1046596942 /nfs/dbraw/zinc/59/69/42/1046596942.db2.gz FYMGIDRGADDNJH-UHFFFAOYSA-N 0 3 303.352 4.050 20 0 DIADHN CC[C@@](C)(COc1ncccc1Cl)NCC=C(Cl)Cl ZINC001168266005 1046599213 /nfs/dbraw/zinc/59/92/13/1046599213.db2.gz ZQNKSQDZPMBKPG-ZDUSSCGKSA-N 0 3 323.651 4.191 20 0 DIADHN c1ccc2c(c1)CN(Cc1cccc3cnccc31)CCS2 ZINC001140145256 1046600288 /nfs/dbraw/zinc/60/02/88/1046600288.db2.gz HEEGKUQQKPZGCB-UHFFFAOYSA-N 0 3 306.434 4.343 20 0 DIADHN CSc1ccccc1C[C@H](C)N[C@@H](C)c1nc(C)cs1 ZINC000783506049 1046601892 /nfs/dbraw/zinc/60/18/92/1046601892.db2.gz OBCSIEDKRZOZBG-AAEUAGOBSA-N 0 3 306.500 4.455 20 0 DIADHN COc1c(Br)cccc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC001140155102 1046602291 /nfs/dbraw/zinc/60/22/91/1046602291.db2.gz LZPRCEVEYPVHEA-WFASDCNBSA-N 0 3 324.262 4.222 20 0 DIADHN c1cc2c(s1)CCCN(Cc1c[nH]nc1-c1ccccc1)C2 ZINC000678259885 1046603100 /nfs/dbraw/zinc/60/31/00/1046603100.db2.gz ZZSNXGZWMOBQTP-UHFFFAOYSA-N 0 3 309.438 4.087 20 0 DIADHN COc1cc(CN2CCC[C@@H](Oc3ccccc3)C2)ccc1C ZINC001140159724 1046604003 /nfs/dbraw/zinc/60/40/03/1046604003.db2.gz VGZHKJOYKFPORJ-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN c1cc2c(s1)CN(Cc1ccc(-c3ccncc3)cc1)CC2 ZINC001140172792 1046607088 /nfs/dbraw/zinc/60/70/88/1046607088.db2.gz BQKOQRDQZGFTFT-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN CCOC(=O)CC[C@@H](C)NCc1c(C)cc(Cl)cc1Cl ZINC001258477860 1046607165 /nfs/dbraw/zinc/60/71/65/1046607165.db2.gz MWVKWFJBOGPMSC-LLVKDONJSA-N 0 3 318.244 4.123 20 0 DIADHN Fc1ccc(CN(Cc2n[nH]c3ccccc32)CC2CC2)cc1 ZINC000678270792 1046607350 /nfs/dbraw/zinc/60/73/50/1046607350.db2.gz UDFBAIYQKYCMOJ-UHFFFAOYSA-N 0 3 309.388 4.114 20 0 DIADHN Fc1ccc(CN(Cc2[nH]nc3ccccc32)CC2CC2)cc1 ZINC000678270792 1046607361 /nfs/dbraw/zinc/60/73/61/1046607361.db2.gz UDFBAIYQKYCMOJ-UHFFFAOYSA-N 0 3 309.388 4.114 20 0 DIADHN Fc1cccc([C@H](N[C@H]2CCCSC2)c2ccccc2)c1 ZINC000783576400 1046610443 /nfs/dbraw/zinc/61/04/43/1046610443.db2.gz LNRMOKDYYGIAOZ-ZWKOTPCHSA-N 0 3 301.430 4.400 20 0 DIADHN CC(=O)Nc1ccccc1CN1CCC(C2CCCC2)CC1 ZINC000678282723 1046610713 /nfs/dbraw/zinc/61/07/13/1046610713.db2.gz FWPBUTZRTFFVGU-UHFFFAOYSA-N 0 3 300.446 4.047 20 0 DIADHN Cc1cc(CN2CCC(C(=O)c3ccccc3)CC2)c(C)cc1O ZINC001140197163 1046611869 /nfs/dbraw/zinc/61/18/69/1046611869.db2.gz IRPJJVOEUOTOTH-UHFFFAOYSA-N 0 3 323.436 4.104 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@@H](O)c1ccc(F)cc1Cl ZINC000248422963 1046612091 /nfs/dbraw/zinc/61/20/91/1046612091.db2.gz FIUFAVGBQNCOKH-QMTHXVAHSA-N 0 3 313.825 4.267 20 0 DIADHN C[C@H]1C[C@@H]1c1ccc(CN2CCC3(CC2)OCc2ccccc23)o1 ZINC000678285104 1046612991 /nfs/dbraw/zinc/61/29/91/1046612991.db2.gz OYHNINUSHBJBFQ-YJBOKZPZSA-N 0 3 323.436 4.425 20 0 DIADHN Cc1cc(CN2CCC3(CC2)OCc2ccccc23)ccc1F ZINC000678284887 1046613091 /nfs/dbraw/zinc/61/30/91/1046613091.db2.gz INHKZJATNSAMMQ-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN Cc1cc(CN2CCSC[C@@H]2c2ccccc2)c(C)cc1O ZINC001140200965 1046613980 /nfs/dbraw/zinc/61/39/80/1046613980.db2.gz AOGHRNHTNWZLBF-GOSISDBHSA-N 0 3 313.466 4.299 20 0 DIADHN CCc1cccc(CNCc2cccc(OC(F)(F)F)c2)c1 ZINC001203012888 1046616936 /nfs/dbraw/zinc/61/69/36/1046616936.db2.gz WTEZXLLTFIMBCB-UHFFFAOYSA-N 0 3 309.331 4.437 20 0 DIADHN C[C@H](NC[C@@]1(C)CC1(Cl)Cl)c1cc(F)c(F)c(F)c1 ZINC000828687129 1046617942 /nfs/dbraw/zinc/61/79/42/1046617942.db2.gz DUIYLGGPNPMPQW-JVXZTZIISA-N 0 3 312.162 4.338 20 0 DIADHN CC(C)(C)OC1CC(N2CCS[C@@H](c3ccccc3)C2)C1 ZINC000783651363 1046618056 /nfs/dbraw/zinc/61/80/56/1046618056.db2.gz JSSJRFQAFFSHRB-OFLPRAFFSA-N 0 3 305.487 4.123 20 0 DIADHN Oc1cccc2[nH]cc(CN3CCC[C@@H]3c3cccc(F)c3)c21 ZINC001140233028 1046621442 /nfs/dbraw/zinc/62/14/42/1046621442.db2.gz BMHWXHQEXSTFMO-QGZVFWFLSA-N 0 3 310.372 4.350 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc3c(c2)CCC(=O)N3)cs1 ZINC000834513122 1046624723 /nfs/dbraw/zinc/62/47/23/1046624723.db2.gz HFPOMXJPFZWLID-OLZOCXBDSA-N 0 3 314.454 4.353 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCC(=O)N3)cs1 ZINC000834513121 1046625211 /nfs/dbraw/zinc/62/52/11/1046625211.db2.gz HFPOMXJPFZWLID-CHWSQXEVSA-N 0 3 314.454 4.353 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc2c(c1)CCC(=O)N2)c1ccsc1 ZINC000834514368 1046625598 /nfs/dbraw/zinc/62/55/98/1046625598.db2.gz UXQRJJUZBLDOLI-WBMJQRKESA-N 0 3 314.454 4.435 20 0 DIADHN COc1cc(CN[C@H](C)c2cnccc2C)ccc1OC(C)C ZINC000678361938 1046625818 /nfs/dbraw/zinc/62/58/18/1046625818.db2.gz OABDVRFFENENGX-OAHLLOKOSA-N 0 3 314.429 4.037 20 0 DIADHN Clc1cccc(Cl)c1C1CCN(C2CCOCC2)CC1 ZINC001255039045 1046626580 /nfs/dbraw/zinc/62/65/80/1046626580.db2.gz RZYKQOLEZCYTTL-UHFFFAOYSA-N 0 3 314.256 4.352 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N(C)CC1CCCC1 ZINC000532939174 1046628628 /nfs/dbraw/zinc/62/86/28/1046628628.db2.gz SBLYGQPNEUUVGB-HNNXBMFYSA-N 0 3 302.462 4.259 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(OC(F)(F)F)cc2)c(C)n1 ZINC000678411753 1046630723 /nfs/dbraw/zinc/63/07/23/1046630723.db2.gz NHTUGTZVCSMUAI-GFCCVEGCSA-N 0 3 324.346 4.448 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC[C@H]3c3ccccn3)c2c1 ZINC001140270260 1046635928 /nfs/dbraw/zinc/63/59/28/1046635928.db2.gz DYYGRHUDTYTUAU-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cnccc2C)cc1Cl ZINC000678426979 1046636234 /nfs/dbraw/zinc/63/62/34/1046636234.db2.gz LSZQOUSDQUFCFM-CHWSQXEVSA-N 0 3 304.821 4.464 20 0 DIADHN [O-]c1cnccc1C[N@@H+]1CC[C@@H](c2cccc(Cl)c2Cl)C1 ZINC001140276984 1046637521 /nfs/dbraw/zinc/63/75/21/1046637521.db2.gz ORIBVDDWURLSAZ-LLVKDONJSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnccc1C[N@H+]1CC[C@@H](c2cccc(Cl)c2Cl)C1 ZINC001140276984 1046637530 /nfs/dbraw/zinc/63/75/30/1046637530.db2.gz ORIBVDDWURLSAZ-LLVKDONJSA-N 0 3 323.223 4.084 20 0 DIADHN [O-]c1cnccc1C[N@@H+]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001140281426 1046637762 /nfs/dbraw/zinc/63/77/62/1046637762.db2.gz BPYXGJDOSBOHGZ-HNNXBMFYSA-N 0 3 322.330 4.143 20 0 DIADHN [O-]c1cnccc1C[N@H+]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001140281426 1046637774 /nfs/dbraw/zinc/63/77/74/1046637774.db2.gz BPYXGJDOSBOHGZ-HNNXBMFYSA-N 0 3 322.330 4.143 20 0 DIADHN C[C@H](N[C@@H]1CCCC1(C)C)c1nc(Br)cs1 ZINC000834616250 1046638163 /nfs/dbraw/zinc/63/81/63/1046638163.db2.gz MSLPBHGSBGVVNG-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN CCn1ccnc1CN(CCc1cccc(F)c1)C1CCCC1 ZINC000533023836 1046640475 /nfs/dbraw/zinc/64/04/75/1046640475.db2.gz KJCZYNUAFNCWGV-UHFFFAOYSA-N 0 3 315.436 4.029 20 0 DIADHN Cc1cnc([C@H](C)N2CCC[C@H](c3cc4ccccc4[nH]3)C2)o1 ZINC000678463733 1046644146 /nfs/dbraw/zinc/64/41/46/1046644146.db2.gz WMNPITLBDXKWCZ-HOCLYGCPSA-N 0 3 309.413 4.405 20 0 DIADHN CC(=O)Nc1ccc(CN2CC[C@@H](C)C[C@@H]2c2ccco2)cc1 ZINC000245743593 1046644593 /nfs/dbraw/zinc/64/45/93/1046644593.db2.gz MHVBZBSNLLUKIR-RDTXWAMCSA-N 0 3 312.413 4.211 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CCC1)C(=O)Nc1ccccc1 ZINC000533046401 1046644611 /nfs/dbraw/zinc/64/46/11/1046644611.db2.gz SATCCHLLGXQZLN-BEFAXECRSA-N 0 3 308.425 4.145 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCSc1ccccc1F ZINC000533055863 1046645622 /nfs/dbraw/zinc/64/56/22/1046645622.db2.gz TWBVFZRRLIRYMO-CYBMUJFWSA-N 0 3 305.418 4.316 20 0 DIADHN C[C@@H](NCCCC(C)(C)C)c1nc(Br)cs1 ZINC000834662610 1046646694 /nfs/dbraw/zinc/64/66/94/1046646694.db2.gz AMZCZEYJVSPLKX-SECBINFHSA-N 0 3 305.285 4.383 20 0 DIADHN c1cc(-c2nc(CN(CC3CC3)CC3CC3)cs2)cs1 ZINC000533061222 1046647946 /nfs/dbraw/zinc/64/79/46/1046647946.db2.gz QEWYOSRRWOUYSE-UHFFFAOYSA-N 0 3 304.484 4.494 20 0 DIADHN CCN(CCC1CCCC1)CC(=O)Nc1c(C)cccc1C ZINC000533074419 1046650101 /nfs/dbraw/zinc/65/01/01/1046650101.db2.gz DDWSVSHDEXPRKG-UHFFFAOYSA-N 0 3 302.462 4.144 20 0 DIADHN Fc1ccc2[nH]cc(CN3CCC(c4ccccn4)CC3)c2c1 ZINC001137339694 1046653101 /nfs/dbraw/zinc/65/31/01/1046653101.db2.gz ACULBBXSBBSHHP-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN CO[C@H]1CCOc2c(Nc3ccc4nc(C)[nH]c4c3)cccc21 ZINC001213076658 1046657930 /nfs/dbraw/zinc/65/79/30/1046657930.db2.gz WYIOVSUFRVKEAP-KRWDZBQOSA-N 0 3 309.369 4.085 20 0 DIADHN CCN(Cc1ccncc1)Cc1cccc(-c2nccs2)c1 ZINC001140335790 1046662139 /nfs/dbraw/zinc/66/21/39/1046662139.db2.gz MBZBVAFGOYFCFB-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](N[C@H]1CCc3ccc(C)cc31)CCO2 ZINC000678584473 1046662482 /nfs/dbraw/zinc/66/24/82/1046662482.db2.gz QSXJZLKLTUEJQC-PMACEKPBSA-N 0 3 323.436 4.494 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1C[C@@H](C)c2ccccc21 ZINC000678582764 1046662530 /nfs/dbraw/zinc/66/25/30/1046662530.db2.gz NYHMFBYPVVBNJE-JEBQAFNWSA-N 0 3 309.409 4.357 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cccc(OCC(F)F)c2)c(C)n1 ZINC000678583514 1046662846 /nfs/dbraw/zinc/66/28/46/1046662846.db2.gz UWMXRBLAZANYRH-CYBMUJFWSA-N 0 3 320.383 4.193 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1C[C@H](C)c2ccccc21 ZINC000678582763 1046663072 /nfs/dbraw/zinc/66/30/72/1046663072.db2.gz NYHMFBYPVVBNJE-DOPJRALCSA-N 0 3 309.409 4.357 20 0 DIADHN CCOc1ccc2c(c1)[C@H](N[C@@H]1c3ccccc3C[C@H]1C)CCO2 ZINC000678585795 1046664462 /nfs/dbraw/zinc/66/44/62/1046664462.db2.gz LTMUAVQTJWHMLU-MMACOIQUSA-N 0 3 323.436 4.432 20 0 DIADHN CC[C@@H](NC[C@H]1OCCc2ccccc21)c1cc(F)ccc1F ZINC000678585749 1046664673 /nfs/dbraw/zinc/66/46/73/1046664673.db2.gz KLRJQFGTORYRCS-RTBURBONSA-N 0 3 317.379 4.320 20 0 DIADHN C[C@H](NC[C@@H]1OCCc2ccccc21)c1ccc(F)cc1Cl ZINC000678586209 1046664718 /nfs/dbraw/zinc/66/47/18/1046664718.db2.gz WKXAPRKZNNEVDO-SGTLLEGYSA-N 0 3 319.807 4.444 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1c2ccccc2C[C@H]1C ZINC000678585707 1046664731 /nfs/dbraw/zinc/66/47/31/1046664731.db2.gz JHVNAPHGGXDFHC-XVGQJIODSA-N 0 3 309.409 4.042 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1CCCc2ccc(C)cc21 ZINC000678587492 1046664857 /nfs/dbraw/zinc/66/48/57/1046664857.db2.gz CZJOLYGIXHCAJT-OALUTQOASA-N 0 3 323.436 4.494 20 0 DIADHN C[C@H](NC[C@H]1OCCc2ccccc21)c1ccc(F)cc1Cl ZINC000678586207 1046664973 /nfs/dbraw/zinc/66/49/73/1046664973.db2.gz WKXAPRKZNNEVDO-KPZWWZAWSA-N 0 3 319.807 4.444 20 0 DIADHN Cc1nc2ccccc2n1CCCCCN1CC[C@@H](C)[C@@H](F)C1 ZINC000643376260 1046665074 /nfs/dbraw/zinc/66/50/74/1046665074.db2.gz KJCARBMBKKSYTD-WBVHZDCISA-N 0 3 317.452 4.195 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](CO)N[C@@H](C)c1ccc(F)cc1Cl ZINC000678591015 1046665775 /nfs/dbraw/zinc/66/57/75/1046665775.db2.gz LZANRLFAKDOQBH-FHSNZYRGSA-N 0 3 321.823 4.294 20 0 DIADHN Clc1cccc(C2CCN([C@@H]3CCCOC3)CC2)c1Cl ZINC000643407848 1046665907 /nfs/dbraw/zinc/66/59/07/1046665907.db2.gz BJOQWFULPSVGCB-CYBMUJFWSA-N 0 3 314.256 4.352 20 0 DIADHN C[C@H](N[C@H](CO)[C@@H](C)c1ccccc1)c1ccc(F)cc1Cl ZINC000678591018 1046666106 /nfs/dbraw/zinc/66/61/06/1046666106.db2.gz LZANRLFAKDOQBH-ZJNRKIDTSA-N 0 3 321.823 4.294 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H](C)c1sccc1C ZINC000678589769 1046666396 /nfs/dbraw/zinc/66/63/96/1046666396.db2.gz RDDFVFUDGLQWLI-GXTWGEPZSA-N 0 3 303.427 4.240 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cnc(C)s1)CCC2 ZINC000678594591 1046668841 /nfs/dbraw/zinc/66/88/41/1046668841.db2.gz MPPRWKDPPNXKFR-ZBEGNZNMSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000533239308 1046673550 /nfs/dbraw/zinc/67/35/50/1046673550.db2.gz UDPODKZHYKIIHA-WBAXXEDZSA-N 0 3 314.473 4.143 20 0 DIADHN CCOc1cccc(CCN[C@@H](C)c2nc(C)sc2C)c1 ZINC000678602242 1046674564 /nfs/dbraw/zinc/67/45/64/1046674564.db2.gz KWWZHLTYYCSERD-LBPRGKRZSA-N 0 3 304.459 4.052 20 0 DIADHN OC[C@@H](CC1CC1)N[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000678608828 1046676657 /nfs/dbraw/zinc/67/66/57/1046676657.db2.gz YRNYGYNRSUIOCW-MWLCHTKSSA-N 0 3 320.285 4.183 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)C2CCC2)nnn1-c1ccc(Cl)cc1 ZINC000678607709 1046676787 /nfs/dbraw/zinc/67/67/87/1046676787.db2.gz QNPWTEFWYPQFBF-NEPJUHHUSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)C2CCC2)nnn1-c1cccc(Cl)c1 ZINC000678608120 1046677131 /nfs/dbraw/zinc/67/71/31/1046677131.db2.gz YSFVVCDUZXGEHX-NWDGAFQWSA-N 0 3 318.852 4.068 20 0 DIADHN CN1Cc2ccccc2[C@@H](N[C@H]2CCc3cc(Cl)ccc32)C1 ZINC000678606904 1046677270 /nfs/dbraw/zinc/67/72/70/1046677270.db2.gz XTZBNVOHDYFYRR-OALUTQOASA-N 0 3 312.844 4.104 20 0 DIADHN Cc1c([C@@H](C)N[C@H](C)C2CCC2)nnn1-c1ccc(Cl)cc1 ZINC000678607712 1046677401 /nfs/dbraw/zinc/67/74/01/1046677401.db2.gz QNPWTEFWYPQFBF-VXGBXAGGSA-N 0 3 318.852 4.068 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)N[C@@H](C)c1cnc(C)s1 ZINC000678611616 1046678453 /nfs/dbraw/zinc/67/84/53/1046678453.db2.gz NAJWOFZCZPCDNJ-USBNGQNGSA-N 0 3 308.422 4.017 20 0 DIADHN CC(C)Oc1cccc(CN[C@@H](c2cccnc2)C2CCC2)c1 ZINC000795777525 1046678785 /nfs/dbraw/zinc/67/87/85/1046678785.db2.gz HPVUEJZTFLXMNI-HXUWFJFHSA-N 0 3 310.441 4.500 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)N(C)CC3CCCC3)n2)cc1F ZINC000533260390 1046680981 /nfs/dbraw/zinc/68/09/81/1046680981.db2.gz OUJREAISXIYVOQ-CYBMUJFWSA-N 0 3 317.408 4.367 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccc(-c3ccccn3)cc2)C1 ZINC001140381353 1046681104 /nfs/dbraw/zinc/68/11/04/1046681104.db2.gz WBPOEJYCLBHVIS-OAHLLOKOSA-N 0 3 306.331 4.133 20 0 DIADHN CCC[C@H](CCO)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000152520305 1046681407 /nfs/dbraw/zinc/68/14/07/1046681407.db2.gz MENDGTSKTRGQHB-HUUCEWRRSA-N 0 3 318.486 4.259 20 0 DIADHN Cc1nc(CNC(C)(C)CCc2ccccc2)nc2ccccc12 ZINC000533274468 1046682646 /nfs/dbraw/zinc/68/26/46/1046682646.db2.gz QVWULADNHOTEAK-UHFFFAOYSA-N 0 3 319.452 4.439 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3C[C@H](C)CC(C)(C)C3)o2)c1 ZINC000784347177 1046682742 /nfs/dbraw/zinc/68/27/42/1046682742.db2.gz ADMDNJIPBIPZFI-CABCVRRESA-N 0 3 313.445 4.474 20 0 DIADHN Cc1cccc(-c2nnc([C@@H](C)N3C[C@H](C)CC(C)(C)C3)o2)c1 ZINC000784347179 1046683082 /nfs/dbraw/zinc/68/30/82/1046683082.db2.gz ADMDNJIPBIPZFI-HUUCEWRRSA-N 0 3 313.445 4.474 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc2n[nH]cc2c1 ZINC001140386898 1046685038 /nfs/dbraw/zinc/68/50/38/1046685038.db2.gz WTRXAGPMKBYMSW-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN C[C@H]1C[C@H](C)N(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000533294585 1046689045 /nfs/dbraw/zinc/68/90/45/1046689045.db2.gz AJSBGCWINLMYSQ-HOTGVXAUSA-N 0 3 308.425 4.022 20 0 DIADHN C[C@@H]1C[C@H](C)N(CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000533294579 1046690003 /nfs/dbraw/zinc/69/00/03/1046690003.db2.gz AJSBGCWINLMYSQ-CVEARBPZSA-N 0 3 308.425 4.022 20 0 DIADHN CC[C@@H](OC(=O)C1CCN(C2CC2)CC1)c1ccc(Cl)cc1 ZINC000784529118 1046694828 /nfs/dbraw/zinc/69/48/28/1046694828.db2.gz FWJVBDFZEYBRBI-QGZVFWFLSA-N 0 3 321.848 4.209 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)[C@@H](C)C(C)C)co2)cc1 ZINC000533324544 1046695704 /nfs/dbraw/zinc/69/57/04/1046695704.db2.gz RKYWSHPJSYOVJW-AWEZNQCLSA-N 0 3 302.418 4.217 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H]1c2ccccc2CC[C@H]1C ZINC000678767838 1046696668 /nfs/dbraw/zinc/69/66/68/1046696668.db2.gz DUBGYJRPEBPOOB-YJYMSZOUSA-N 0 3 310.441 4.120 20 0 DIADHN C[C@@H](NCC(C)(C)C1CCC1)c1nc(Br)cs1 ZINC000834883038 1046696928 /nfs/dbraw/zinc/69/69/28/1046696928.db2.gz GYDPOSRPMNOBSN-SECBINFHSA-N 0 3 317.296 4.383 20 0 DIADHN Cc1csc(CN[C@H](C)c2cnn(-c3ccccc3)c2C)c1 ZINC000834882246 1046697390 /nfs/dbraw/zinc/69/73/90/1046697390.db2.gz GYDPJJYAJHPCKZ-CQSZACIVSA-N 0 3 311.454 4.401 20 0 DIADHN CN(C)c1cccc(CNC2(c3ccc(Cl)cc3)CC2)c1 ZINC000678774819 1046700218 /nfs/dbraw/zinc/70/02/18/1046700218.db2.gz SIAMGUXNMFXAPT-UHFFFAOYSA-N 0 3 300.833 4.185 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)c(C)c1 ZINC000678776411 1046701130 /nfs/dbraw/zinc/70/11/30/1046701130.db2.gz LQXNEWXPJJGCKE-FQEVSTJZSA-N 0 3 322.452 4.373 20 0 DIADHN C[C@@H](NCCC[C@@H]1CCCN1C(=O)OC(C)(C)C)c1ccco1 ZINC000834893488 1046705902 /nfs/dbraw/zinc/70/59/02/1046705902.db2.gz OEZWEYDMMDARGI-HUUCEWRRSA-N 0 3 322.449 4.110 20 0 DIADHN c1ccc(CC[C@H]2CCCN2Cc2nc3c(s2)CCC3)cc1 ZINC000678813717 1046706050 /nfs/dbraw/zinc/70/60/50/1046706050.db2.gz HFNMWKYYGFSYGC-MRXNPFEDSA-N 0 3 312.482 4.229 20 0 DIADHN FC[C@H]1CCCN(Cc2cnc(-c3cccc(F)c3)s2)C1 ZINC001140419240 1046707752 /nfs/dbraw/zinc/70/77/52/1046707752.db2.gz FWMHPLJIEPTWOH-GFCCVEGCSA-N 0 3 308.397 4.131 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N(C)C[C@H](C)c1ccccc1 ZINC000533400394 1046712140 /nfs/dbraw/zinc/71/21/40/1046712140.db2.gz RPCPJVMFKSBRMG-DLBZAZTESA-N 0 3 324.468 4.312 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(C)c2ccccc21)c1ccc(Cl)nc1 ZINC000834902579 1046712554 /nfs/dbraw/zinc/71/25/54/1046712554.db2.gz HGOSJRWGDZSQPQ-CZUORRHYSA-N 0 3 315.848 4.357 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1nc2c(s1)CCC2 ZINC000678832774 1046713516 /nfs/dbraw/zinc/71/35/16/1046713516.db2.gz PEYNDTNNEHWCQE-TZMCWYRMSA-N 0 3 316.445 4.149 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1nc2c(s1)CCC2 ZINC000678832770 1046713668 /nfs/dbraw/zinc/71/36/68/1046713668.db2.gz PEYNDTNNEHWCQE-JSGCOSHPSA-N 0 3 316.445 4.149 20 0 DIADHN C[C@H]1CN(Cc2nc3c(s2)CCC3)CC[C@@H]1c1ccccc1 ZINC000678835755 1046717473 /nfs/dbraw/zinc/71/74/73/1046717473.db2.gz ZUVLGHWPMWHKGQ-HOCLYGCPSA-N 0 3 312.482 4.257 20 0 DIADHN CCC(C)(C)OCCN[C@H](C)c1cnn(-c2ccccc2)c1C ZINC000834916413 1046720144 /nfs/dbraw/zinc/72/01/44/1046720144.db2.gz XXNSGTXASNEUFY-OAHLLOKOSA-N 0 3 315.461 4.037 20 0 DIADHN CC(C)CC[C@H](NC[C@@H](O)c1ccccc1F)c1ccoc1 ZINC000796703785 1046721465 /nfs/dbraw/zinc/72/14/65/1046721465.db2.gz WBBMEGKFBKKFMV-ZWKOTPCHSA-N 0 3 305.393 4.219 20 0 DIADHN CC[C@H](NCc1nc(-c2ccsc2)no1)C1CCCCC1 ZINC000678896723 1046727372 /nfs/dbraw/zinc/72/73/72/1046727372.db2.gz QAKGOTRXFHRPAH-AWEZNQCLSA-N 0 3 305.447 4.247 20 0 DIADHN CC[C@@H](NCc1nnc(-c2ccc(C)cc2)o1)C1CCCCC1 ZINC000678895981 1046728625 /nfs/dbraw/zinc/72/86/25/1046728625.db2.gz WIMVAFFORGTTKE-QGZVFWFLSA-N 0 3 313.445 4.494 20 0 DIADHN CC[C@H]1CCCCN1Cc1c[nH]c2ncc(Br)cc12 ZINC001140459774 1046733718 /nfs/dbraw/zinc/73/37/18/1046733718.db2.gz NQFJPAIUYVLWAR-ZDUSSCGKSA-N 0 3 322.250 4.090 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnn(-c3ccc(F)cc3)c1)CC2 ZINC000678931043 1046735863 /nfs/dbraw/zinc/73/58/63/1046735863.db2.gz IMRNIOYDDAFBAZ-FQEVSTJZSA-N 0 3 321.399 4.097 20 0 DIADHN CC(C)CC[C@@H](NC[C@H](O)c1c(F)cccc1F)c1ccoc1 ZINC000796814964 1046738714 /nfs/dbraw/zinc/73/87/14/1046738714.db2.gz BHMDAKTZOVADLO-SJORKVTESA-N 0 3 323.383 4.358 20 0 DIADHN CC[C@H](NCc1ccc(O)cc1)c1ccccc1OC(F)F ZINC000236938157 1046738933 /nfs/dbraw/zinc/73/89/33/1046738933.db2.gz IXNCNNJXCJFHJL-HNNXBMFYSA-N 0 3 307.340 4.235 20 0 DIADHN CC1(C)CN(C[C@H]2CCOc3ccccc32)[C@H]1c1cccnc1 ZINC000644835490 1046743587 /nfs/dbraw/zinc/74/35/87/1046743587.db2.gz OKEAJPYFQMSCDU-APWZRJJASA-N 0 3 308.425 4.031 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1c(C)noc1C ZINC000644885306 1046745835 /nfs/dbraw/zinc/74/58/35/1046745835.db2.gz OPFMTGPMYCRHNR-ZVHGMHCTSA-N 0 3 314.429 4.192 20 0 DIADHN CCc1nn(C)cc1CN[C@@H]1C[C@H]2CCC(C)(C)c3cccc1c32 ZINC000678971337 1046750016 /nfs/dbraw/zinc/75/00/16/1046750016.db2.gz TYUKOOBOZDAICL-AUUYWEPGSA-N 0 3 323.484 4.372 20 0 DIADHN CCCOc1c(CN[C@H]2CCC(F)(F)C2)cccc1OCC ZINC000678994647 1046751405 /nfs/dbraw/zinc/75/14/05/1046751405.db2.gz QUYACVUAEJNXFZ-AWEZNQCLSA-N 0 3 313.388 4.152 20 0 DIADHN CCN(Cc1cccc(Br)c1OC(F)F)C1CC1 ZINC000533558620 1046754223 /nfs/dbraw/zinc/75/42/23/1046754223.db2.gz UCKLLTREGQIEHL-UHFFFAOYSA-N 0 3 320.177 4.035 20 0 DIADHN C[C@H](N[C@H]1CC2CCC1CC2)c1nnc(-c2cccs2)o1 ZINC000645015525 1046757263 /nfs/dbraw/zinc/75/72/63/1046757263.db2.gz OSXUQAMHFVRESO-WTIISPKJSA-N 0 3 303.431 4.027 20 0 DIADHN CCc1ccc(CN2CCC[C@H](c3nc4ccccc4o3)C2)nc1 ZINC000645092295 1046765221 /nfs/dbraw/zinc/76/52/21/1046765221.db2.gz FQBZMEPDMUBKRF-INIZCTEOSA-N 0 3 321.424 4.165 20 0 DIADHN COc1cccc(C2(N[C@H]3CCCc4ccc(F)cc43)CC2)c1 ZINC000679064800 1046766129 /nfs/dbraw/zinc/76/61/29/1046766129.db2.gz WJIFAGOJQYZAFD-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN c1cc(CN2CCC(c3ccccc3)CC2)n(-c2ccccc2)n1 ZINC001140525888 1046767318 /nfs/dbraw/zinc/76/73/18/1046767318.db2.gz BYQACVMJWASKFF-UHFFFAOYSA-N 0 3 317.436 4.252 20 0 DIADHN C[C@@H](CSC(C)(C)C)NCc1c(F)cccc1N1CCCC1 ZINC000797002610 1046768671 /nfs/dbraw/zinc/76/86/71/1046768671.db2.gz PJYFZNYDRSALDH-AWEZNQCLSA-N 0 3 324.509 4.436 20 0 DIADHN CCOC[C@@]12CCC[C@@H]1CN(Cc1cc(F)cc(Cl)c1)C2 ZINC001140537370 1046771124 /nfs/dbraw/zinc/77/11/24/1046771124.db2.gz KIYUZHSZIRFBQY-PBHICJAKSA-N 0 3 311.828 4.118 20 0 DIADHN CCCC[C@H](C)N(CCCC)Cc1nc(-c2ccccn2)no1 ZINC000645236624 1046780391 /nfs/dbraw/zinc/78/03/91/1046780391.db2.gz DTDIJWGXLMUPAJ-HNNXBMFYSA-N 0 3 316.449 4.312 20 0 DIADHN Cc1c([C@@H](C)NCC2(C(F)(F)F)CC2)cnn1-c1ccccc1 ZINC000797071123 1046786326 /nfs/dbraw/zinc/78/63/26/1046786326.db2.gz QZAPWEHDEIESED-GFCCVEGCSA-N 0 3 323.362 4.174 20 0 DIADHN Cc1c([C@H](C)NCC2(C(F)(F)F)CC2)cnn1-c1ccccc1 ZINC000797071124 1046787214 /nfs/dbraw/zinc/78/72/14/1046787214.db2.gz QZAPWEHDEIESED-LBPRGKRZSA-N 0 3 323.362 4.174 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CCC[C@H](C)CC2)c(C)c1 ZINC000068838052 1046789109 /nfs/dbraw/zinc/78/91/09/1046789109.db2.gz PMUWWBYTUBWMPO-SUMWQHHRSA-N 0 3 302.462 4.061 20 0 DIADHN C[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1cccc(C2CC2)c1 ZINC000645428765 1046790500 /nfs/dbraw/zinc/79/05/00/1046790500.db2.gz ZINVYHOGRVYZBZ-YCRPNKLZSA-N 0 3 306.453 4.401 20 0 DIADHN C[C@H](c1ccco1)N(C)CCC(=O)Nc1ccc(Cl)cc1F ZINC000069067354 1046792106 /nfs/dbraw/zinc/79/21/06/1046792106.db2.gz ISDDTHNXYALYIN-LLVKDONJSA-N 0 3 324.783 4.094 20 0 DIADHN Clc1cc(CN2CCN(C3CCCC3)CC2)c(Cl)s1 ZINC001140588318 1046798466 /nfs/dbraw/zinc/79/84/66/1046798466.db2.gz BLCYFFAQHCXOOQ-UHFFFAOYSA-N 0 3 319.301 4.115 20 0 DIADHN Cc1ccc(F)cc1CN1CCC(Sc2ccncc2)CC1 ZINC001140594763 1046800283 /nfs/dbraw/zinc/80/02/83/1046800283.db2.gz NODQMQZVXYMZSI-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)NCc1cccc(COc2ccccc2)c1 ZINC000679333534 1046812096 /nfs/dbraw/zinc/81/20/96/1046812096.db2.gz OCBZXOSNSBFKJJ-OAHLLOKOSA-N 0 3 321.424 4.148 20 0 DIADHN CN(C)Cc1cccc(C(=O)OCc2cccc(C(F)F)c2)c1 ZINC000835377260 1046812986 /nfs/dbraw/zinc/81/29/86/1046812986.db2.gz RNABINGANVOXGN-UHFFFAOYSA-N 0 3 319.351 4.043 20 0 DIADHN C[C@H]1C[C@@H](NCc2nc(Br)cs2)CC(C)(C)C1 ZINC001258689462 1046814473 /nfs/dbraw/zinc/81/44/73/1046814473.db2.gz CPCBHFLOHYINKB-VHSXEESVSA-N 0 3 317.296 4.210 20 0 DIADHN c1ccc2sc([C@H]3CCCN3CCC[C@@H]3CCOC3)nc2c1 ZINC000645772243 1046816744 /nfs/dbraw/zinc/81/67/44/1046816744.db2.gz LMTRTSFVUYEGQF-GDBMZVCRSA-N 0 3 316.470 4.250 20 0 DIADHN CC[C@H](NCCC[C@@H]1CCOC1)c1nc(C(F)(F)F)cs1 ZINC000645853727 1046824852 /nfs/dbraw/zinc/82/48/52/1046824852.db2.gz DYGNBXHFHYVICW-MNOVXSKESA-N 0 3 322.396 4.019 20 0 DIADHN O[C@H](CNCc1sccc1Cl)c1ccc(Cl)c(F)c1 ZINC000193193847 1046829041 /nfs/dbraw/zinc/82/90/41/1046829041.db2.gz XAGNUJNLMMXDSX-GFCCVEGCSA-N 0 3 320.216 4.017 20 0 DIADHN COc1ncc(CNC2(c3cccc(C)c3)CCC2)cc1Cl ZINC000646022060 1046843498 /nfs/dbraw/zinc/84/34/98/1046843498.db2.gz XIOQKAFNBUCCCB-UHFFFAOYSA-N 0 3 316.832 4.221 20 0 DIADHN COc1ccc(C2=CCN(Cc3ccc(OC)cc3C)CC2)cc1 ZINC001140677135 1046844418 /nfs/dbraw/zinc/84/44/18/1046844418.db2.gz AZPFMMUQDUXCCB-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN CCCCCCN(C)CC(=O)NCC1CCC(C(C)C)CC1 ZINC001137376180 1046848409 /nfs/dbraw/zinc/84/84/09/1046848409.db2.gz RSICUUKZWOCTJB-UHFFFAOYSA-N 0 3 310.526 4.077 20 0 DIADHN CCC[C@H](NC1CCC(O)(CC)CC1)c1ncccc1Cl ZINC000925294506 1046852758 /nfs/dbraw/zinc/85/27/58/1046852758.db2.gz FDIUKIVPCLIHMI-GULBITTBSA-N 0 3 310.869 4.250 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC2(C[C@H]1C)CCOCC2 ZINC000646099600 1046855192 /nfs/dbraw/zinc/85/51/92/1046855192.db2.gz ADLCANVYEGUFAK-CHWSQXEVSA-N 0 3 311.828 4.431 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)cs1 ZINC000248992907 1046855714 /nfs/dbraw/zinc/85/57/14/1046855714.db2.gz LLWBEYMEBYNVRQ-WMHQRMGPSA-N 0 3 320.502 4.265 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC2(C[C@H]1C)CCOCC2 ZINC000646096087 1046857385 /nfs/dbraw/zinc/85/73/85/1046857385.db2.gz ILIARPPPXGLXQV-CHWSQXEVSA-N 0 3 311.828 4.431 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cc(C)nc(Cl)c3)C2)cc1 ZINC000797590340 1046858223 /nfs/dbraw/zinc/85/82/23/1046858223.db2.gz VIAORKSZIJNMOA-MRXNPFEDSA-N 0 3 316.832 4.042 20 0 DIADHN CCCOc1ccc(CNCc2cc(C)nc(Cl)c2)cc1 ZINC000797595773 1046863152 /nfs/dbraw/zinc/86/31/52/1046863152.db2.gz GSBUVAFZOXUQMU-UHFFFAOYSA-N 0 3 304.821 4.122 20 0 DIADHN C[C@@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc(-n2cccn2)cc1 ZINC000679544693 1046863301 /nfs/dbraw/zinc/86/33/01/1046863301.db2.gz HLIKLFVUZDQIHK-UKRRQHHQSA-N 0 3 323.362 4.035 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)nc(Cl)c2)C2CC2)cc1 ZINC000797594197 1046863648 /nfs/dbraw/zinc/86/36/48/1046863648.db2.gz MJQQLKOVGXEMEG-SFHVURJKSA-N 0 3 316.832 4.293 20 0 DIADHN Cc1cc(CNCc2ccc(OC(C)C)cc2)cc(Cl)n1 ZINC000797595167 1046864057 /nfs/dbraw/zinc/86/40/57/1046864057.db2.gz MVSGDLKKSAYNSM-UHFFFAOYSA-N 0 3 304.821 4.120 20 0 DIADHN Cc1ccccc1C[C@H](C)NCc1csc(-c2ccccn2)n1 ZINC000237358393 1046865000 /nfs/dbraw/zinc/86/50/00/1046865000.db2.gz GHIDSNZHSHRBIC-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN Cc1cccc(OCCN2C[C@@H](C(F)(F)F)CC[C@@H]2C)c1 ZINC000679544876 1046866420 /nfs/dbraw/zinc/86/64/20/1046866420.db2.gz IQCJJRQHEQVCBT-KBPBESRZSA-N 0 3 301.352 4.037 20 0 DIADHN CCOc1ccc(CN2C[C@H](C(F)(F)F)CC[C@H]2C)cc1 ZINC000679546619 1046866808 /nfs/dbraw/zinc/86/68/08/1046866808.db2.gz RFKDFUGYWHKMLQ-TZMCWYRMSA-N 0 3 301.352 4.248 20 0 DIADHN FC(F)(F)c1ccc(O[C@@H]2CCCN(Cc3cc[nH]c3)C2)cc1 ZINC001140706015 1046867572 /nfs/dbraw/zinc/86/75/72/1046867572.db2.gz ITPKKSHSCNGCEM-MRXNPFEDSA-N 0 3 324.346 4.077 20 0 DIADHN CCOc1ccc(CN2C[C@@H](C(F)(F)F)CC[C@H]2C)cc1 ZINC000679546618 1046867744 /nfs/dbraw/zinc/86/77/44/1046867744.db2.gz RFKDFUGYWHKMLQ-OCCSQVGLSA-N 0 3 301.352 4.248 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCCc3sccc32)c1 ZINC000237361839 1046872134 /nfs/dbraw/zinc/87/21/34/1046872134.db2.gz GANGHTYALDULBF-SJKOYZFVSA-N 0 3 314.454 4.435 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CC([C@@H]2CCOC2)C1 ZINC000646182327 1046873245 /nfs/dbraw/zinc/87/32/45/1046873245.db2.gz XFJMFVDLXZTJBT-GFCCVEGCSA-N 0 3 321.873 4.331 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3n[nH]c4ccccc43)C2)c1 ZINC000646230085 1046874491 /nfs/dbraw/zinc/87/44/91/1046874491.db2.gz BQOQMDSLDMHTNE-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3[nH]nc4ccccc43)C2)c1 ZINC000646230085 1046874517 /nfs/dbraw/zinc/87/45/17/1046874517.db2.gz BQOQMDSLDMHTNE-CQSZACIVSA-N 0 3 311.816 4.206 20 0 DIADHN CN(Cc1c(Cl)ncc2ccccc21)CC1CC(F)(F)C1 ZINC001140724858 1046878520 /nfs/dbraw/zinc/87/85/20/1046878520.db2.gz RIZGCNAFHULZAK-UHFFFAOYSA-N 0 3 310.775 4.365 20 0 DIADHN COc1cccc(Cl)c1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001140730506 1046880198 /nfs/dbraw/zinc/88/01/98/1046880198.db2.gz LYNSZAZJPQQBPS-CYBMUJFWSA-N 0 3 311.828 4.453 20 0 DIADHN CCOc1cccc(CN2C[C@@H](C(F)(F)F)CC[C@H]2C)c1 ZINC000679584391 1046880394 /nfs/dbraw/zinc/88/03/94/1046880394.db2.gz NPUDUGMMWSEOTA-OCCSQVGLSA-N 0 3 301.352 4.248 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC3(CCOC3(C)C)CC2)[nH]1 ZINC001137379152 1046881811 /nfs/dbraw/zinc/88/18/11/1046881811.db2.gz MORLGTLSFXZEQU-UHFFFAOYSA-N 0 3 304.478 4.093 20 0 DIADHN COc1ccc2cc(CN[C@H](CCO)c3ccccc3)ccc2c1 ZINC000077467992 1046883902 /nfs/dbraw/zinc/88/39/02/1046883902.db2.gz UUWCSWOYAYAQNE-OAQYLSRUSA-N 0 3 321.420 4.062 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(C)nc2N2CCCC2)s1 ZINC000679723151 1046893731 /nfs/dbraw/zinc/89/37/31/1046893731.db2.gz IYLAOGMWMRRFQN-OAHLLOKOSA-N 0 3 315.486 4.211 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(C)c(C)c2)c(N2CCCC2)n1 ZINC000679723391 1046893984 /nfs/dbraw/zinc/89/39/84/1046893984.db2.gz MWIKYKLJXNKVKM-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2coc3ccccc23)C1 ZINC001140785072 1046897487 /nfs/dbraw/zinc/89/74/87/1046897487.db2.gz MEXNBLINQKTARX-MRXNPFEDSA-N 0 3 305.377 4.138 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C(C)C)c(N2CCCC2)n1 ZINC000679723984 1046897907 /nfs/dbraw/zinc/89/79/07/1046897907.db2.gz PEJTYAFEHQVDEF-FQEVSTJZSA-N 0 3 323.484 4.477 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(O)cc(Cl)c2)C1 ZINC001140785900 1046898229 /nfs/dbraw/zinc/89/82/29/1046898229.db2.gz AWJWTVHMJBQOGZ-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(C)nc1N1CCCC1)CC2 ZINC000679724055 1046898387 /nfs/dbraw/zinc/89/83/87/1046898387.db2.gz QLIMCORZPPYGGT-HXUWFJFHSA-N 0 3 321.468 4.076 20 0 DIADHN COc1ccc2cc(CN3CCC[C@H](OC(F)F)C3)ccc2c1 ZINC000679740785 1046899092 /nfs/dbraw/zinc/89/90/92/1046899092.db2.gz REMSZAJHSWLZIK-KRWDZBQOSA-N 0 3 321.367 4.052 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(O)cc(Cl)c3)C2)c1 ZINC001140790772 1046901899 /nfs/dbraw/zinc/90/18/99/1046901899.db2.gz LJCATBSEBAPZDH-HNNXBMFYSA-N 0 3 317.816 4.044 20 0 DIADHN Oc1ccc2c(c1)CCN(Cc1cccc(-c3ccncc3)c1)C2 ZINC001137388652 1046901992 /nfs/dbraw/zinc/90/19/92/1046901992.db2.gz LVVQJAJAZJSMSN-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN C[C@@]1(CN2CC=C(c3ccc(O)cc3)CC2)CC1(Cl)Cl ZINC000827333052 1046905912 /nfs/dbraw/zinc/90/59/12/1046905912.db2.gz XBSOJIFUMHUXCK-HNNXBMFYSA-N 0 3 312.240 4.065 20 0 DIADHN Cc1ccc(CCN[C@H](C)c2nc(-c3ccccc3)cs2)cn1 ZINC000161632446 1046910237 /nfs/dbraw/zinc/91/02/37/1046910237.db2.gz MGKIPOYNVRGGOF-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2cccc(-c3ccncc3)c2)C1 ZINC001137393458 1046912876 /nfs/dbraw/zinc/91/28/76/1046912876.db2.gz PMOSSZWFLMEYDL-INIZCTEOSA-N 0 3 306.331 4.133 20 0 DIADHN CCC(CC)NCc1csc(COc2ccc(C)cc2)n1 ZINC000078352552 1046913698 /nfs/dbraw/zinc/91/36/98/1046913698.db2.gz RLECHLLDOQGCCC-UHFFFAOYSA-N 0 3 304.459 4.309 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1ccc(Cl)c2cccnc12 ZINC000679945472 1046915846 /nfs/dbraw/zinc/91/58/46/1046915846.db2.gz UIHCTKRWTHVQQP-ZDUSSCGKSA-N 0 3 311.816 4.442 20 0 DIADHN CC[C@](C)(O)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000087012861 1046917975 /nfs/dbraw/zinc/91/79/75/1046917975.db2.gz VIVYIABDMWGUHE-OQPBUACISA-N 0 3 310.652 4.458 20 0 DIADHN CCOCCN[C@H](C)c1ccc2ccccc2c1OC(F)F ZINC000161893610 1046918543 /nfs/dbraw/zinc/91/85/43/1046918543.db2.gz CPLJRNQLOCDZRK-GFCCVEGCSA-N 0 3 309.356 4.128 20 0 DIADHN C[C@H](CN[C@H]1COCc2cccc(Cl)c21)Cc1cccs1 ZINC000798183653 1046934613 /nfs/dbraw/zinc/93/46/13/1046934613.db2.gz OTTKMYDGKSUCHZ-LRDDRELGSA-N 0 3 321.873 4.441 20 0 DIADHN Cc1c[nH]c(CN2CCC(c3ccc(F)cc3F)CC2)c1C ZINC001140867022 1046934795 /nfs/dbraw/zinc/93/47/95/1046934795.db2.gz NPENICBAOKKFHO-UHFFFAOYSA-N 0 3 304.384 4.289 20 0 DIADHN C[C@H](CN[C@@H]1COCc2cccc(Cl)c21)Cc1cccs1 ZINC000798183649 1046934735 /nfs/dbraw/zinc/93/47/35/1046934735.db2.gz OTTKMYDGKSUCHZ-BLLLJJGKSA-N 0 3 321.873 4.441 20 0 DIADHN Clc1cccc2c1[C@@H](NCC1(c3ccccc3)CC1)COC2 ZINC000798180366 1046934772 /nfs/dbraw/zinc/93/47/72/1046934772.db2.gz FHUQQALVLIBPEH-KRWDZBQOSA-N 0 3 313.828 4.233 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC(F)(F)F)c(F)c2)C2(CC2)C1 ZINC001140889154 1046944205 /nfs/dbraw/zinc/94/42/05/1046944205.db2.gz ZTKNZOJBWSSTAQ-SNVBAGLBSA-N 0 3 303.299 4.099 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCC([C@@H]2CCOC2)CC1 ZINC000680090740 1046948261 /nfs/dbraw/zinc/94/82/61/1046948261.db2.gz QBRDBWVHIOEFAF-RDTXWAMCSA-N 0 3 309.400 4.164 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@@H](c2ccccc2)C2CC2)cn1 ZINC000647169675 1046949051 /nfs/dbraw/zinc/94/90/51/1046949051.db2.gz WTNLXNPVIUGPKV-NRFANRHFSA-N 0 3 317.436 4.422 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@@H]3C[C@@H]32)cc1OCC1CC1 ZINC001140909500 1046949207 /nfs/dbraw/zinc/94/92/07/1046949207.db2.gz WWPRKQOXWCHPHV-CABCVRRESA-N 0 3 323.383 4.061 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1cnccc1C(F)(F)F)CC2 ZINC001140920519 1046950902 /nfs/dbraw/zinc/95/09/02/1046950902.db2.gz VQAYYPZNNSCYOW-CYBMUJFWSA-N 0 3 316.342 4.205 20 0 DIADHN CC(C)Cn1nccc1CN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC000647190121 1046951241 /nfs/dbraw/zinc/95/12/41/1046951241.db2.gz JELXBTJUTVQZCI-AUUYWEPGSA-N 0 3 315.436 4.091 20 0 DIADHN Fc1ccc(CCNCc2cscc2Cl)c(F)c1F ZINC000680140099 1046952438 /nfs/dbraw/zinc/95/24/38/1046952438.db2.gz VFTMLNQQAAYYHE-UHFFFAOYSA-N 0 3 305.752 4.151 20 0 DIADHN Cc1ccccc1-n1cc(CNC(C)(C)CC(F)(F)F)cn1 ZINC000647204430 1046953285 /nfs/dbraw/zinc/95/32/85/1046953285.db2.gz MMLULOJJFJUHLV-UHFFFAOYSA-N 0 3 311.351 4.001 20 0 DIADHN CC(C)c1ncc(CN2CCC[C@H]([C@H]3CCCCO3)C2)s1 ZINC000827377468 1046953384 /nfs/dbraw/zinc/95/33/84/1046953384.db2.gz YUZSQEJPRQQLTC-GOEBONIOSA-N 0 3 308.491 4.048 20 0 DIADHN Cc1nn(C)cc1CN[C@H](c1oc2ccccc2c1C)C(C)C ZINC000162300361 1046955904 /nfs/dbraw/zinc/95/59/04/1046955904.db2.gz QSGYGMJQEYJIFV-SFHVURJKSA-N 0 3 311.429 4.270 20 0 DIADHN CCN(C)c1ccc(CNC2(c3ccc(C)cc3)CCC2)cn1 ZINC000647255291 1046962926 /nfs/dbraw/zinc/96/29/26/1046962926.db2.gz QZZRMAFTXLXLOF-UHFFFAOYSA-N 0 3 309.457 4.015 20 0 DIADHN C[C@H](NCCCSc1ccccc1)c1cc2n(n1)CCCC2 ZINC000647280901 1046966516 /nfs/dbraw/zinc/96/65/16/1046966516.db2.gz LCNKFMVCGHWLMY-HNNXBMFYSA-N 0 3 315.486 4.052 20 0 DIADHN C[C@@H](CN[C@H](c1cccnc1)c1ccc(F)cc1F)C1CC1 ZINC000680338515 1046966672 /nfs/dbraw/zinc/96/66/72/1046966672.db2.gz DCMCYLIBRJXTEY-KPZWWZAWSA-N 0 3 302.368 4.085 20 0 DIADHN C[C@H](CN[C@@H](c1ccc(F)cc1)c1ccccn1)c1ccncc1 ZINC000680341659 1046967492 /nfs/dbraw/zinc/96/74/92/1046967492.db2.gz ATDVLDDLYPWRGA-QRWLVFNGSA-N 0 3 321.399 4.098 20 0 DIADHN c1ccc([C@@H]2CCN2Cc2cccc(-c3ncccn3)c2)cc1 ZINC001140981826 1046968049 /nfs/dbraw/zinc/96/80/49/1046968049.db2.gz XQVVJDCOPSHBQG-IBGZPJMESA-N 0 3 301.393 4.091 20 0 DIADHN Fc1cccc([C@@H](NCC2CCSCC2)c2ccccn2)c1 ZINC000680340619 1046968547 /nfs/dbraw/zinc/96/85/47/1046968547.db2.gz NMGZMMORXRHTRH-GOSISDBHSA-N 0 3 316.445 4.043 20 0 DIADHN CCN(Cc1cccs1)Cc1cccc(-c2ncccn2)c1 ZINC001140980655 1046968815 /nfs/dbraw/zinc/96/88/15/1046968815.db2.gz GQAJFBKIPOBYQA-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN CC[C@@H](NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccc(Cl)s1 ZINC000680349947 1046969635 /nfs/dbraw/zinc/96/96/35/1046969635.db2.gz BWOCPDUWVQQHMU-SNVBAGLBSA-N 0 3 321.833 4.224 20 0 DIADHN C[C@H](NCCCc1cccc(Cl)c1)c1cc2n(n1)CCCC2 ZINC000647292495 1046970620 /nfs/dbraw/zinc/97/06/20/1046970620.db2.gz RICJBAHDFPFSOO-AWEZNQCLSA-N 0 3 317.864 4.156 20 0 DIADHN Cc1nc([C@H](C)NCCCNc2cccc(F)c2)c(C)s1 ZINC000680353776 1046971295 /nfs/dbraw/zinc/97/12/95/1046971295.db2.gz NPHALPIDPKUIHB-NSHDSACASA-N 0 3 307.438 4.052 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NC2(c3ccccc3C)CC2)c1 ZINC000647315948 1046974861 /nfs/dbraw/zinc/97/48/61/1046974861.db2.gz HFKKEBKBHUIASC-OAHLLOKOSA-N 0 3 308.425 4.293 20 0 DIADHN CN(CCCOCc1ccccc1)Cc1scnc1C1CC1 ZINC000343682596 1046975285 /nfs/dbraw/zinc/97/52/85/1046975285.db2.gz RMUCKUUWGATMOR-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NC1(c2ccccc2C)CC1 ZINC000647316368 1046975983 /nfs/dbraw/zinc/97/59/83/1046975983.db2.gz BTVYPXUIOIQMOX-SFHVURJKSA-N 0 3 311.400 4.409 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2cc(F)c(C(F)(F)F)cc2F)CCO1 ZINC000648588297 1046976618 /nfs/dbraw/zinc/97/66/18/1046976618.db2.gz GBSRDMBKHNAMQI-WDEREUQCSA-N 0 3 323.305 4.031 20 0 DIADHN CC[C@H]1C[C@@H](NCc2cc(F)c(C(F)(F)F)cc2F)CCO1 ZINC000648588296 1046977172 /nfs/dbraw/zinc/97/71/72/1046977172.db2.gz GBSRDMBKHNAMQI-QWRGUYRKSA-N 0 3 323.305 4.031 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccc2cccc(O)c2n1 ZINC001141021335 1046981318 /nfs/dbraw/zinc/98/13/18/1046981318.db2.gz CPFOITBMASIYCD-UHFFFAOYSA-N 0 3 310.372 4.102 20 0 DIADHN Oc1cccc2ccc(CN3CC[C@H](c4ccccc4F)C3)nc12 ZINC001141023765 1046981394 /nfs/dbraw/zinc/98/13/94/1046981394.db2.gz WJVZQXYMPMZRBC-HNNXBMFYSA-N 0 3 322.383 4.069 20 0 DIADHN C[C@H](NCc1ccc(CO)cc1)c1cc2cc(Cl)ccc2o1 ZINC000680423529 1046982524 /nfs/dbraw/zinc/98/25/24/1046982524.db2.gz JOLFKDYSTUPPKN-LBPRGKRZSA-N 0 3 315.800 4.429 20 0 DIADHN CC[C@H](C)C[C@@H](CO)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000647336635 1046982588 /nfs/dbraw/zinc/98/25/88/1046982588.db2.gz DIQRFDSZNYIRLK-LZWOXQAQSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1ccc([C@@H](NC[C@H]2OCCc3ccccc32)C2CCC2)o1 ZINC000680463765 1046983787 /nfs/dbraw/zinc/98/37/87/1046983787.db2.gz FNATUPRRRIHWDH-UXHICEINSA-N 0 3 311.425 4.333 20 0 DIADHN COc1ccc2cc(CN[C@@H]3CCCc4cccnc43)ccc2c1 ZINC000798925453 1046987451 /nfs/dbraw/zinc/98/74/51/1046987451.db2.gz APIHCLOYIJCEOL-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccn3ccnc3c2)c1 ZINC001141045906 1046989169 /nfs/dbraw/zinc/98/91/69/1046989169.db2.gz DPSURSNZDQNJOK-IBGZPJMESA-N 0 3 321.424 4.070 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1ccc2c(c1)OCCO2 ZINC001141041901 1046989375 /nfs/dbraw/zinc/98/93/75/1046989375.db2.gz PUYGCQKHCXNLEX-UHFFFAOYSA-N 0 3 317.816 4.133 20 0 DIADHN C[C@H](N[C@H]1CCS[C@@H]1C)c1csc(-c2ccccc2)n1 ZINC000647362289 1046992222 /nfs/dbraw/zinc/99/22/22/1046992222.db2.gz ZIMJQLSMWFUOHR-SCRDCRAPSA-N 0 3 304.484 4.355 20 0 DIADHN c1nc(C2CCCC2)sc1CN1CC[C@H](c2ccccn2)C1 ZINC000798964584 1046995133 /nfs/dbraw/zinc/99/51/33/1046995133.db2.gz SCGXHJCVNNKRPM-HNNXBMFYSA-N 0 3 313.470 4.185 20 0 DIADHN Cc1ccc2nccc(N3CCC(c4ccccn4)CC3)c2c1 ZINC000680623761 1046995401 /nfs/dbraw/zinc/99/54/01/1046995401.db2.gz MCYXGLVKQJXMFS-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN C[C@@H]1CCCCN(Cc2ccncc2NC(=O)OC(C)(C)C)C1 ZINC001141082357 1047000585 /nfs/dbraw/zinc/00/05/85/1047000585.db2.gz GMSIQPAWMNDJII-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141082471 1047001146 /nfs/dbraw/zinc/00/11/46/1047001146.db2.gz KYJURXICQJSTMP-OKILXGFUSA-N 0 3 319.449 4.192 20 0 DIADHN CCCN(Cc1cnc(Cl)cn1)[C@@H]1CCc2ccccc21 ZINC000799117729 1047001302 /nfs/dbraw/zinc/00/13/02/1047001302.db2.gz PAHQXFXCKFANNK-MRXNPFEDSA-N 0 3 301.821 4.030 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141082472 1047001907 /nfs/dbraw/zinc/00/19/07/1047001907.db2.gz KYJURXICQJSTMP-ZIAGYGMSSA-N 0 3 319.449 4.192 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cnc(Cl)cn1)CC1CCC1 ZINC000799124993 1047004163 /nfs/dbraw/zinc/00/41/63/1047004163.db2.gz XPKNUJWQBQCYOU-AWEZNQCLSA-N 0 3 315.848 4.493 20 0 DIADHN Cc1cccc(CN2CCC[C@H](F)CC2)c1Br ZINC001141089423 1047005010 /nfs/dbraw/zinc/00/50/10/1047005010.db2.gz VWSSLHRTEOITSM-ZDUSSCGKSA-N 0 3 300.215 4.082 20 0 DIADHN Clc1cc(Cl)cc(CCN2CC[C@H](c3ccccn3)C2)c1 ZINC000799192958 1047007654 /nfs/dbraw/zinc/00/76/54/1047007654.db2.gz JIFORVZXIRVHMO-AWEZNQCLSA-N 0 3 321.251 4.420 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CC[C@@H](c2ccccn2)C1 ZINC000799190950 1047009216 /nfs/dbraw/zinc/00/92/16/1047009216.db2.gz VTPQRNGBVAAIGJ-FZKQIMNGSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1nc([C@@H]2CCCN(Cc3cccc(C(C)(C)C)c3)C2)no1 ZINC001141103017 1047012201 /nfs/dbraw/zinc/01/22/01/1047012201.db2.gz ZAIARYRIWXXKEB-MRXNPFEDSA-N 0 3 313.445 4.055 20 0 DIADHN CC(C)(C)c1cccc(CN2CCc3cc(CO)ccc3C2)c1 ZINC001141102470 1047013226 /nfs/dbraw/zinc/01/32/26/1047013226.db2.gz BQWSDHHPELLGHT-UHFFFAOYSA-N 0 3 309.453 4.035 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2NCc1ccc(OC2CCCC2)nc1 ZINC000162623243 1047014635 /nfs/dbraw/zinc/01/46/35/1047014635.db2.gz WDXSTMMGFGBKQC-IBGZPJMESA-N 0 3 308.425 4.180 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC[C@@H](C(F)F)C3)ccn2)cc1 ZINC001141118253 1047019964 /nfs/dbraw/zinc/01/99/64/1047019964.db2.gz QOUGXFUAQOJJJC-OAHLLOKOSA-N 0 3 320.358 4.365 20 0 DIADHN Cn1c(Cl)cnc1CNC1CCC(c2ccc(F)cc2)CC1 ZINC000799341136 1047020146 /nfs/dbraw/zinc/02/01/46/1047020146.db2.gz PSVOJQXTXGULQL-UHFFFAOYSA-N 0 3 321.827 4.029 20 0 DIADHN CSc1ccccc1[C@H](C)NC[C@H](O)c1c(F)cccc1F ZINC000799341225 1047020350 /nfs/dbraw/zinc/02/03/50/1047020350.db2.gz XUHGDYZGMKQVDY-NHYWBVRUSA-N 0 3 323.408 4.071 20 0 DIADHN C[C@@H](c1nc2ccccc2o1)N1CCC[C@H](c2ccccn2)C1 ZINC000647950322 1047022807 /nfs/dbraw/zinc/02/28/07/1047022807.db2.gz STDVGYYNORFUBH-GJZGRUSLSA-N 0 3 307.397 4.163 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2cccs2)cc1OC ZINC000162703037 1047022745 /nfs/dbraw/zinc/02/27/45/1047022745.db2.gz SXPSNALQFWLCPD-CQSZACIVSA-N 0 3 303.427 4.102 20 0 DIADHN COCCOc1ccccc1CN1CCC[C@@H]1c1cccs1 ZINC000162705942 1047022951 /nfs/dbraw/zinc/02/29/51/1047022951.db2.gz LEERNOMZYVCWSA-MRXNPFEDSA-N 0 3 317.454 4.110 20 0 DIADHN Fc1cccc(Cl)c1CCN1CCC[C@@H](c2ccccn2)C1 ZINC000647951574 1047023634 /nfs/dbraw/zinc/02/36/34/1047023634.db2.gz ZYWZRHUSPJMLLR-CQSZACIVSA-N 0 3 318.823 4.296 20 0 DIADHN C[C@H]([C@H](Oc1ccccc1)c1ccccc1)N(C)Cc1cn[nH]c1 ZINC001203099141 1047029387 /nfs/dbraw/zinc/02/93/87/1047029387.db2.gz HSEVHEAKSVVOSF-UZLBHIALSA-N 0 3 321.424 4.050 20 0 DIADHN Cc1ccc(CN(Cc2cc3ccccc3[nH]2)CC2CC2)cn1 ZINC000648057701 1047030783 /nfs/dbraw/zinc/03/07/83/1047030783.db2.gz JAWMXLNZJXPGNR-UHFFFAOYSA-N 0 3 305.425 4.284 20 0 DIADHN C[C@@H]1CC2(CN1Cc1cscc1C(F)(F)F)CCOCC2 ZINC000648071375 1047033572 /nfs/dbraw/zinc/03/35/72/1047033572.db2.gz ZKNBBZRHOOFIIY-LLVKDONJSA-N 0 3 319.392 4.158 20 0 DIADHN Cc1sccc1CN[C@H](c1ccccc1)C1(CO)CC=CC1 ZINC000648069085 1047033687 /nfs/dbraw/zinc/03/36/87/1047033687.db2.gz NYWCHWGXLJTNSY-GOSISDBHSA-N 0 3 313.466 4.216 20 0 DIADHN C[C@H]1CC2(CN1Cc1ccc(C(F)(F)F)s1)CCOCC2 ZINC000648071139 1047033817 /nfs/dbraw/zinc/03/38/17/1047033817.db2.gz SINXFKYVHAABBA-NSHDSACASA-N 0 3 319.392 4.158 20 0 DIADHN CCc1nn(C)c(CC)c1CNC1(c2cccc(C)c2)CCC1 ZINC000648058201 1047034403 /nfs/dbraw/zinc/03/44/03/1047034403.db2.gz YGJPDTDATPNRAG-UHFFFAOYSA-N 0 3 311.473 4.022 20 0 DIADHN CN(Cc1cccs1)Cc1ccc2c(c1)CCC(C)(C)O2 ZINC001141184028 1047039120 /nfs/dbraw/zinc/03/91/20/1047039120.db2.gz ZNMOKSRIIFVUDY-UHFFFAOYSA-N 0 3 301.455 4.484 20 0 DIADHN Cc1ccc(CN2CCC3(Cc4ccccc4O3)CC2)c(F)c1 ZINC001141195469 1047039821 /nfs/dbraw/zinc/03/98/21/1047039821.db2.gz OXFWFSFLSPQNRB-UHFFFAOYSA-N 0 3 311.400 4.104 20 0 DIADHN C[C@@H]1CN(Cc2c[nH]nc2-c2ccc(Cl)cc2)C[C@H](C)S1 ZINC000536655798 1047048034 /nfs/dbraw/zinc/04/80/34/1047048034.db2.gz SEGRVIQNUCCVSR-TXEJJXNPSA-N 0 3 321.877 4.056 20 0 DIADHN CN(CCC1CCOCC1)Cc1cccc(Cl)c1Cl ZINC000536659709 1047054806 /nfs/dbraw/zinc/05/48/06/1047054806.db2.gz HCEHVFLYPBSDLC-UHFFFAOYSA-N 0 3 302.245 4.242 20 0 DIADHN Cc1n[nH]cc1CN1C[C@@H](C)C[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000536663636 1047058324 /nfs/dbraw/zinc/05/83/24/1047058324.db2.gz RHTJSLOLSBKDIQ-ZBEGNZNMSA-N 0 3 323.362 4.320 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cnc(C3CCCCC3)s2)C1 ZINC000536672606 1047062700 /nfs/dbraw/zinc/06/27/00/1047062700.db2.gz WQALYEWHZXJWLU-OAHLLOKOSA-N 0 3 322.518 4.439 20 0 DIADHN CSCCN(C)Cc1ccc(-c2nc3ccccc3s2)o1 ZINC000536671120 1047063171 /nfs/dbraw/zinc/06/31/71/1047063171.db2.gz ZHDWURBGEKNCPB-UHFFFAOYSA-N 0 3 318.467 4.351 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1CC[C@@H](Cc2ccncc2)C1 ZINC000680892348 1047063971 /nfs/dbraw/zinc/06/39/71/1047063971.db2.gz XOORDJSXMAHXQW-HIFRSBDPSA-N 0 3 318.823 4.500 20 0 DIADHN CN(C)[C@H](CNc1ncc(Cl)s1)c1ccc(Cl)cc1 ZINC000680895333 1047065207 /nfs/dbraw/zinc/06/52/07/1047065207.db2.gz LESHJTXSNRKLKO-LLVKDONJSA-N 0 3 316.257 4.165 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCCCCO2)c1ccsc1 ZINC000648571137 1047069059 /nfs/dbraw/zinc/06/90/59/1047069059.db2.gz NQAPBIJKKKJECO-ZDUSSCGKSA-N 0 3 303.427 4.150 20 0 DIADHN CC[C@@H](C)Oc1cc(CN[C@H](C)CC(F)(F)F)ccc1OC ZINC000680973494 1047073230 /nfs/dbraw/zinc/07/32/30/1047073230.db2.gz GUMCFCFSCJIIDE-VXGBXAGGSA-N 0 3 319.367 4.303 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccsc1Cl ZINC000611981212 1047073825 /nfs/dbraw/zinc/07/38/25/1047073825.db2.gz FRWAVNRHPFPZTL-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN CN(CCc1cccs1)Cc1c(F)cccc1N1CCCC1 ZINC000536696647 1047074007 /nfs/dbraw/zinc/07/40/07/1047074007.db2.gz AWDBXZBOJRZWIW-UHFFFAOYSA-N 0 3 318.461 4.162 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cc(C)cc(Cl)n1 ZINC000799965251 1047076939 /nfs/dbraw/zinc/07/69/39/1047076939.db2.gz BXJLXUSBMRWZGS-UHFFFAOYSA-N 0 3 313.788 4.212 20 0 DIADHN CCC(C)(CC)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC000680996956 1047077759 /nfs/dbraw/zinc/07/77/59/1047077759.db2.gz GMORITFZNFEWGE-GOSISDBHSA-N 0 3 316.489 4.074 20 0 DIADHN CC[C@H](CSC)N(C)Cc1csc(Br)c1 ZINC000536885585 1047120261 /nfs/dbraw/zinc/12/02/61/1047120261.db2.gz LICZBDDYBKFVSY-SNVBAGLBSA-N 0 3 308.310 4.084 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(Oc3ccc(F)cc3)cc2)C1 ZINC001141316905 1047086394 /nfs/dbraw/zinc/08/63/94/1047086394.db2.gz BRLCETTXIDXVJZ-CQSZACIVSA-N 0 3 315.388 4.085 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3cc(C)c(Cl)cc3O)C2)cn1 ZINC000827506881 1047090717 /nfs/dbraw/zinc/09/07/17/1047090717.db2.gz NJECJLWSFNHXFW-HNNXBMFYSA-N 0 3 316.832 4.047 20 0 DIADHN COc1ccsc1CN1CCC[C@H](Oc2ccccc2C)C1 ZINC001141326884 1047091944 /nfs/dbraw/zinc/09/19/44/1047091944.db2.gz ULNTWENIGVJUHH-HNNXBMFYSA-N 0 3 317.454 4.109 20 0 DIADHN CC(C)(C)c1cnc(CNC2CCC(OC(F)F)CC2)s1 ZINC000648818085 1047094716 /nfs/dbraw/zinc/09/47/16/1047094716.db2.gz KOGRFUNPOOSMNS-UHFFFAOYSA-N 0 3 318.433 4.081 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@H]4CCCC[C@@H]4C3)n2)c1 ZINC001116021464 1047102147 /nfs/dbraw/zinc/10/21/47/1047102147.db2.gz NVBUGABKAZRUSO-HUUCEWRRSA-N 0 3 301.459 4.081 20 0 DIADHN COc1ccc(COCCN[C@H](C)c2ccccc2Cl)cc1 ZINC000536826120 1047104647 /nfs/dbraw/zinc/10/46/47/1047104647.db2.gz JRGLKJIIYLKXHO-CQSZACIVSA-N 0 3 319.832 4.216 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCc3c2cccc3Cl)c1 ZINC000536842400 1047108658 /nfs/dbraw/zinc/10/86/58/1047108658.db2.gz ZNTDTAZSSYPQAP-SFHVURJKSA-N 0 3 301.817 4.434 20 0 DIADHN CCCCCn1cc(CN[C@@H]2CCc3ccc(C)cc32)c(C)n1 ZINC000536848116 1047109968 /nfs/dbraw/zinc/10/99/68/1047109968.db2.gz WRRJCRXQNOOFNC-HXUWFJFHSA-N 0 3 311.473 4.467 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H]2CCN(c3ccc(Cl)c(F)c3)C2)o1 ZINC000536850896 1047111630 /nfs/dbraw/zinc/11/16/30/1047111630.db2.gz HHLPMJNDJSUPPP-CHWSQXEVSA-N 0 3 322.811 4.310 20 0 DIADHN C[C@@H](NCC1(CCO)CCC1)c1cc(F)c(Cl)cc1Cl ZINC000536848884 1047111942 /nfs/dbraw/zinc/11/19/42/1047111942.db2.gz ZZUGNZFPIJWEMI-SNVBAGLBSA-N 0 3 320.235 4.336 20 0 DIADHN Cc1cccc(Cl)c1CN1CCC[C@@H](c2ccnc(C)n2)C1 ZINC000649251932 1047119481 /nfs/dbraw/zinc/11/94/81/1047119481.db2.gz IUQNVVWFVVSPBA-OAHLLOKOSA-N 0 3 315.848 4.126 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)c1 ZINC000681398465 1047120405 /nfs/dbraw/zinc/12/04/05/1047120405.db2.gz FUSMNIJEJYFPCJ-ZDUSSCGKSA-N 0 3 309.413 4.243 20 0 DIADHN COc1ccc2nc(CN3C4CCCC3CCC4)sc2c1 ZINC000681403135 1047122207 /nfs/dbraw/zinc/12/22/07/1047122207.db2.gz CXXSHVOGLDCYEG-UHFFFAOYSA-N 0 3 302.443 4.212 20 0 DIADHN Cc1ccc(CN[C@@H](CN2CCCCC2)c2ccccc2)c(C)n1 ZINC000681409947 1047123044 /nfs/dbraw/zinc/12/30/44/1047123044.db2.gz IUHYXSFOOQEZDX-NRFANRHFSA-N 0 3 323.484 4.015 20 0 DIADHN c1cc(OC2CCOCC2)ccc1CN1CC2(C1)CCCCC2 ZINC001141414598 1047123365 /nfs/dbraw/zinc/12/33/65/1047123365.db2.gz NKHZCJGZUUPGQR-UHFFFAOYSA-N 0 3 315.457 4.011 20 0 DIADHN CC(C)[C@H](NCc1ccc(OC(F)F)cc1)c1cccnc1 ZINC000536951935 1047125991 /nfs/dbraw/zinc/12/59/91/1047125991.db2.gz XDPUCILVBBXBCT-INIZCTEOSA-N 0 3 306.356 4.170 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC[C@@H](OCC(F)(F)F)C1 ZINC001116269532 1047126342 /nfs/dbraw/zinc/12/63/42/1047126342.db2.gz RZNCKGAXWZZPEX-CYBMUJFWSA-N 0 3 324.346 4.091 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(F)cc2)C2CCCC2)ccn1 ZINC000536948932 1047126627 /nfs/dbraw/zinc/12/66/27/1047126627.db2.gz GQNLXTXUBNPKQZ-LJQANCHMSA-N 0 3 314.404 4.250 20 0 DIADHN Cc1cc(C2CCN([C@H](C)c3cccc(Cl)c3)CC2)n[nH]1 ZINC000536996528 1047128293 /nfs/dbraw/zinc/12/82/93/1047128293.db2.gz LWGWPBOLVQVEJW-CYBMUJFWSA-N 0 3 303.837 4.312 20 0 DIADHN C[C@@H](NCC(C)(C)Oc1ccccc1)c1nc2ccccc2n1C ZINC000536998016 1047129631 /nfs/dbraw/zinc/12/96/31/1047129631.db2.gz AOWPJZTZORLNTL-OAHLLOKOSA-N 0 3 323.440 4.082 20 0 DIADHN COC(=O)c1oc([C@@H](C)N(C)CCCc2ccccc2)cc1C ZINC000537035771 1047133156 /nfs/dbraw/zinc/13/31/56/1047133156.db2.gz VLAYFFODWCAMGA-OAHLLOKOSA-N 0 3 315.413 4.000 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc12)c1ccc2ncsc2c1 ZINC000681595033 1047133719 /nfs/dbraw/zinc/13/37/19/1047133719.db2.gz JZPQQPAJVNWGHY-LLVKDONJSA-N 0 3 308.410 4.023 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1ccc2ncsc2c1 ZINC000681595033 1047133723 /nfs/dbraw/zinc/13/37/23/1047133723.db2.gz JZPQQPAJVNWGHY-LLVKDONJSA-N 0 3 308.410 4.023 20 0 DIADHN CC[C@@H](C)CN(CC)[C@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC000537047374 1047134818 /nfs/dbraw/zinc/13/48/18/1047134818.db2.gz HLHUQRBDKMHYPD-ZYHUDNBSSA-N 0 3 320.506 4.319 20 0 DIADHN C[C@H](NCC1(c2cccc(Cl)c2)CCCC1)c1nccn1C ZINC000537104671 1047136223 /nfs/dbraw/zinc/13/62/23/1047136223.db2.gz NDSARCRQMIISHB-AWEZNQCLSA-N 0 3 317.864 4.236 20 0 DIADHN O=C(Nc1cccc(Cl)c1)C(C[C@H]1CCCO1)C(F)(F)F ZINC000649476960 1047136329 /nfs/dbraw/zinc/13/63/29/1047136329.db2.gz XQNGJTIVMWGVLT-VXGBXAGGSA-N 0 3 321.726 4.026 20 0 DIADHN O=C(Nc1ccccc1Cl)C(C[C@H]1CCCO1)C(F)(F)F ZINC000649476566 1047136340 /nfs/dbraw/zinc/13/63/40/1047136340.db2.gz KNKGLEBIQRFIEN-NXEZZACHSA-N 0 3 321.726 4.026 20 0 DIADHN CCOc1ccc(CN2CCC(Nc3ccccc3)CC2)cc1 ZINC001116437245 1047140223 /nfs/dbraw/zinc/14/02/23/1047140223.db2.gz UWDXYOXTLBWQCF-UHFFFAOYSA-N 0 3 310.441 4.162 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1cc2n(n1)CCC2 ZINC000649547109 1047141046 /nfs/dbraw/zinc/14/10/46/1047141046.db2.gz LOFLJERXEUVYKO-KGLIPLIRSA-N 0 3 317.864 4.107 20 0 DIADHN CCc1ccc(C(C)(C)NCc2ccc(C(=O)OC)s2)cc1 ZINC000537158986 1047142850 /nfs/dbraw/zinc/14/28/50/1047142850.db2.gz XZLZZLSTTPLYNG-UHFFFAOYSA-N 0 3 317.454 4.122 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC2)c1cnn(-c2ccccc2)c1 ZINC001116467381 1047144728 /nfs/dbraw/zinc/14/47/28/1047144728.db2.gz ATSAYGAHPNAVSX-MRXNPFEDSA-N 0 3 317.436 4.212 20 0 DIADHN CCN(Cc1nn(C)c2ccccc12)[C@H](C)Cc1ccsc1 ZINC000681755170 1047145272 /nfs/dbraw/zinc/14/52/72/1047145272.db2.gz PKQYYLKLVIXXIO-CQSZACIVSA-N 0 3 313.470 4.088 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@@H]1CCN(C)[C@H]1C ZINC000925309302 1047146517 /nfs/dbraw/zinc/14/65/17/1047146517.db2.gz XPTBGSFCEKOBKL-WMLDXEAASA-N 0 3 317.477 4.148 20 0 DIADHN CCOc1ccc([C@H]2CCCN2C[C@H]2CC2(Cl)Cl)cc1 ZINC000838527303 1047147360 /nfs/dbraw/zinc/14/73/60/1047147360.db2.gz OZNQZHRXCVLRJJ-UKRRQHHQSA-N 0 3 314.256 4.416 20 0 DIADHN Cc1ccc([C@H](C)NC(=O)c2ccc(NC3=NCCC3)cc2)cc1 ZINC001141499620 1047149061 /nfs/dbraw/zinc/14/90/61/1047149061.db2.gz LRJQYAPCIAYNTK-HNNXBMFYSA-N 0 3 321.424 4.090 20 0 DIADHN CCCCCC[C@H](C)CC(=O)N1CCN(C2CCCC2)CC1 ZINC001141497458 1047149645 /nfs/dbraw/zinc/14/96/45/1047149645.db2.gz QCNNXGYUSXCOFR-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN CC[C@@H](OCCN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000649583909 1047150269 /nfs/dbraw/zinc/15/02/69/1047150269.db2.gz CNZRQZBSDMUYOA-QFBILLFUSA-N 0 3 315.461 4.283 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@H](C)C[C@H](O)c1ccco1 ZINC000305715124 1047151817 /nfs/dbraw/zinc/15/18/17/1047151817.db2.gz GSFHEZAPWZVZFN-XUJVJEKNSA-N 0 3 323.820 4.104 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1ccc2c(c1)ncn2C ZINC000537206103 1047152969 /nfs/dbraw/zinc/15/29/69/1047152969.db2.gz RRYVZYAEVPKKNQ-MRXNPFEDSA-N 0 3 311.454 4.144 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)CC(=O)Nc2cccc(C)c2)c(C)o1 ZINC001116540704 1047154729 /nfs/dbraw/zinc/15/47/29/1047154729.db2.gz QLDXIIFJHCISAF-DZGCQCFKSA-N 0 3 314.429 4.273 20 0 DIADHN Cc1cncc(CCN2CCCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000649622715 1047155295 /nfs/dbraw/zinc/15/52/95/1047155295.db2.gz SFNLKFYJBUIPHJ-SFHVURJKSA-N 0 3 315.848 4.208 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1cnc(-c2ccccn2)s1 ZINC000681989005 1047159700 /nfs/dbraw/zinc/15/97/00/1047159700.db2.gz ODPVFKMOZGBYSH-UHFFFAOYSA-N 0 3 315.364 4.026 20 0 DIADHN COc1ccc(CN2C3CCC2CC3)cc1OC1CCCC1 ZINC001141521051 1047160136 /nfs/dbraw/zinc/16/01/36/1047160136.db2.gz LKTBKUVUKBLHGM-UHFFFAOYSA-N 0 3 301.430 4.143 20 0 DIADHN COc1ccc(CN2CCC[C@@H](CF)C2)cc1OC1CCCC1 ZINC001141521067 1047160187 /nfs/dbraw/zinc/16/01/87/1047160187.db2.gz MAUVPOMXAWIYEH-INIZCTEOSA-N 0 3 321.436 4.198 20 0 DIADHN Cc1ccc([C@H](N[C@H](C)CC(=O)OC(C)(C)C)C2CCC2)o1 ZINC001116588681 1047160541 /nfs/dbraw/zinc/16/05/41/1047160541.db2.gz KACFMUHBFRARML-SJKOYZFVSA-N 0 3 307.434 4.139 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN(c2ccnc3ccc(C)cc32)C1 ZINC000682003022 1047160742 /nfs/dbraw/zinc/16/07/42/1047160742.db2.gz RSEVMYHJLHHEGF-MRXNPFEDSA-N 0 3 324.346 4.091 20 0 DIADHN Cc1nccc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)n1 ZINC000649684840 1047162918 /nfs/dbraw/zinc/16/29/18/1047162918.db2.gz DISISJPHHQTPHU-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN Cc1ncc(CN2CCC[C@]2(C)Cc2cccc(Cl)c2)cn1 ZINC000649685114 1047162985 /nfs/dbraw/zinc/16/29/85/1047162985.db2.gz ORGRZFSFGMDFPE-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CC(C)n1cc2c(n1)[C@H](N[C@H](C)CSC(C)(C)C)CCC2 ZINC001116629141 1047163759 /nfs/dbraw/zinc/16/37/59/1047163759.db2.gz JMFSWEXBZGDOGH-UKRRQHHQSA-N 0 3 309.523 4.351 20 0 DIADHN CC(C)O[C@H]1CCCN(Cc2cnc(-c3ccccc3)s2)C1 ZINC000649697411 1047164760 /nfs/dbraw/zinc/16/47/60/1047164760.db2.gz HNRSXRQRRQHYKC-INIZCTEOSA-N 0 3 316.470 4.200 20 0 DIADHN FC1(F)C(F)(F)C12CCN(CCc1ccc(Cl)cc1)CC2 ZINC000649708809 1047166502 /nfs/dbraw/zinc/16/65/02/1047166502.db2.gz OANSPMKMMMPPSD-UHFFFAOYSA-N 0 3 321.745 4.249 20 0 DIADHN Cc1nc(C)c(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)o1 ZINC000649747241 1047170776 /nfs/dbraw/zinc/17/07/76/1047170776.db2.gz UDJFPLQWVVGBPC-NSHDSACASA-N 0 3 304.821 4.107 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@H](C)c2cccc(F)c2F)cc1 ZINC000537345099 1047173464 /nfs/dbraw/zinc/17/34/64/1047173464.db2.gz VPYFEBNLVBKGSM-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN CCn1cc(CN(Cc2cc(C)nc3ccccc23)C(C)C)cn1 ZINC000649812555 1047178040 /nfs/dbraw/zinc/17/80/40/1047178040.db2.gz AONYQMNEIPZYHN-UHFFFAOYSA-N 0 3 322.456 4.170 20 0 DIADHN CC(C)c1cc(CNCCCCCOc2ccccc2)on1 ZINC000682158405 1047179729 /nfs/dbraw/zinc/17/97/29/1047179729.db2.gz CJXLNIMKJAXQSA-UHFFFAOYSA-N 0 3 302.418 4.137 20 0 DIADHN FC1(F)C[C@]12CCN(Cc1ccc(Cl)c3cccnc13)C2 ZINC000649902773 1047186228 /nfs/dbraw/zinc/18/62/28/1047186228.db2.gz FOGJKMIRZWJISU-HNNXBMFYSA-N 0 3 308.759 4.119 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN([C@@H](C)C1CC1)C1CC1 ZINC000031653967 1047187456 /nfs/dbraw/zinc/18/74/56/1047187456.db2.gz MMSCJBJTMAUMFA-AWEZNQCLSA-N 0 3 300.446 4.011 20 0 DIADHN FC1(F)C[C@@]12CCN(Cc1ccc(Cl)c3cccnc13)C2 ZINC000649902775 1047189608 /nfs/dbraw/zinc/18/96/08/1047189608.db2.gz FOGJKMIRZWJISU-OAHLLOKOSA-N 0 3 308.759 4.119 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC[C@@H](OC(F)F)C1 ZINC000682407061 1047192590 /nfs/dbraw/zinc/19/25/90/1047192590.db2.gz NFZDYLXNNMKQLX-CYBMUJFWSA-N 0 3 323.305 4.217 20 0 DIADHN FC(F)(F)[C@H]1CCN(Cc2c[nH]c3cc(Cl)ccc23)C1 ZINC001141586254 1047204750 /nfs/dbraw/zinc/20/47/50/1047204750.db2.gz YTJVKUNRJWEQQM-JTQLQIEISA-N 0 3 302.727 4.206 20 0 DIADHN C[C@@H](CN[C@H](c1ccccc1)c1ccccn1)CC(F)(F)F ZINC000396983518 1047204897 /nfs/dbraw/zinc/20/48/97/1047204897.db2.gz XNGIFKKGRSOQHI-CZUORRHYSA-N 0 3 308.347 4.349 20 0 DIADHN O[C@@H](CN[C@H]1CCc2cc(Cl)cc(Cl)c21)c1ccccc1 ZINC000760315698 1047208401 /nfs/dbraw/zinc/20/84/01/1047208401.db2.gz JHHQYGDHLJFOTI-HOTGVXAUSA-N 0 3 322.235 4.304 20 0 DIADHN O[C@H](CN[C@@H]1CCc2cc(Cl)cc(Cl)c21)c1ccccc1 ZINC000760315700 1047208674 /nfs/dbraw/zinc/20/86/74/1047208674.db2.gz JHHQYGDHLJFOTI-HZPDHXFCSA-N 0 3 322.235 4.304 20 0 DIADHN C[C@@H](NCc1csc(C2CC2)n1)C1CCC(F)(F)CC1 ZINC000682733346 1047218295 /nfs/dbraw/zinc/21/82/95/1047218295.db2.gz WTDFGDZLNBBXBL-SNVBAGLBSA-N 0 3 300.418 4.324 20 0 DIADHN Cc1ccccc1[C@@H](O)C1CCN(C/C=C\c2ccccc2)CC1 ZINC000796418688 1047225746 /nfs/dbraw/zinc/22/57/46/1047225746.db2.gz PATAGDIJOKXNDO-KCYOGNPLSA-N 0 3 321.464 4.454 20 0 DIADHN Cc1c(Cl)ccc(CNCCc2nccs2)c1Cl ZINC001648990636 1047227465 /nfs/dbraw/zinc/22/74/65/1047227465.db2.gz DHOHSPSLHMONSO-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CN1CC(C)(C)[C@H]1C1CC1 ZINC001117682189 1047235638 /nfs/dbraw/zinc/23/56/38/1047235638.db2.gz FFJZSQRMSSRLIZ-MRXNPFEDSA-N 0 3 319.518 4.062 20 0 DIADHN Cc1cnccc1CCN1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000827920147 1047236301 /nfs/dbraw/zinc/23/63/01/1047236301.db2.gz UWXJITDCYRVRKB-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN C[C@H](NCC1=Cc2cc(Cl)cc(Cl)c2OC1)c1ccc[nH]1 ZINC000760444039 1047236687 /nfs/dbraw/zinc/23/66/87/1047236687.db2.gz DCTBBQHXIWUIRT-JTQLQIEISA-N 0 3 323.223 4.448 20 0 DIADHN CC(C)=CCN[C@@H](c1ccc(Br)cc1)[C@H]1CCCO1 ZINC000682909784 1047238624 /nfs/dbraw/zinc/23/86/24/1047238624.db2.gz JCGREIOTZMWZPZ-CVEARBPZSA-N 0 3 324.262 4.225 20 0 DIADHN COc1ccc(CNCc2cccn2C2CC2)c(C(F)(F)F)c1 ZINC001117743356 1047238658 /nfs/dbraw/zinc/23/86/58/1047238658.db2.gz DGCXQDWEMOSNGI-UHFFFAOYSA-N 0 3 324.346 4.140 20 0 DIADHN Cc1cccc(CCN(C)Cc2cc3cccnc3cc2F)c1 ZINC001141631177 1047238730 /nfs/dbraw/zinc/23/87/30/1047238730.db2.gz KZEDHWTXEXIVGZ-UHFFFAOYSA-N 0 3 308.400 4.357 20 0 DIADHN COc1ccc(CCN(C)Cc2cc3cccnc3cc2F)cc1 ZINC001141631454 1047239262 /nfs/dbraw/zinc/23/92/62/1047239262.db2.gz QYMMODUYUSZYSA-UHFFFAOYSA-N 0 3 324.399 4.057 20 0 DIADHN Cc1ccc(CCN(C)Cc2cc3cccnc3cc2F)cc1 ZINC001141630810 1047239596 /nfs/dbraw/zinc/23/95/96/1047239596.db2.gz CAYAPBDSBMLXOR-UHFFFAOYSA-N 0 3 308.400 4.357 20 0 DIADHN Fc1cc2ncccc2cc1CN1CCC(c2cccnc2)CC1 ZINC001141634753 1047240583 /nfs/dbraw/zinc/24/05/83/1047240583.db2.gz PUOKBGINSANCKA-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN O=C(c1cccc(CN2CC[C@@H](c3ccco3)C2)c1)C(F)(F)F ZINC000839491900 1047241051 /nfs/dbraw/zinc/24/10/51/1047241051.db2.gz RMWCJOLBLIKBSL-CQSZACIVSA-N 0 3 323.314 4.014 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc(N(C)C(C)C)nc1)CC2 ZINC000682940857 1047241294 /nfs/dbraw/zinc/24/12/94/1047241294.db2.gz LCVOBYIJVBXBNK-IBGZPJMESA-N 0 3 309.457 4.012 20 0 DIADHN CC1(C)CN(Cc2ccc3cc(F)ccc3n2)CCC1(F)F ZINC001141640255 1047243106 /nfs/dbraw/zinc/24/31/06/1047243106.db2.gz SMUXWJARVOOGRH-UHFFFAOYSA-N 0 3 308.347 4.241 20 0 DIADHN O=C(Nc1ccc(CNCc2cccc3ccoc32)cc1)C1CC1 ZINC001117784546 1047245171 /nfs/dbraw/zinc/24/51/71/1047245171.db2.gz SGVJOZWMTUUHKN-UHFFFAOYSA-N 0 3 320.392 4.071 20 0 DIADHN CC(C)N(Cc1ccc(OCC2CC2)cc1)Cc1ccccn1 ZINC000682996076 1047248153 /nfs/dbraw/zinc/24/81/53/1047248153.db2.gz XUKCRCZAQBTLFR-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN Fc1ccc2nc(CN3CCC(c4ccccn4)CC3)ccc2c1 ZINC001141649582 1047248474 /nfs/dbraw/zinc/24/84/74/1047248474.db2.gz WSARZYRENBPJQI-UHFFFAOYSA-N 0 3 321.399 4.149 20 0 DIADHN Cc1cccc(-c2nnc([C@H](C)N3CC[C@H](C4CCC4)C3)o2)c1 ZINC000839677268 1047257800 /nfs/dbraw/zinc/25/78/00/1047257800.db2.gz RBQZSCCEIIPVBK-YOEHRIQHSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccnc(CN[C@@H](COc2ccccc2F)C(C)(C)C)c1 ZINC000683020976 1047257955 /nfs/dbraw/zinc/25/79/55/1047257955.db2.gz ZWJMMJJZQOBZCP-SFHVURJKSA-N 0 3 316.420 4.112 20 0 DIADHN CCn1ccc(CN(C)[C@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000683029714 1047260159 /nfs/dbraw/zinc/26/01/59/1047260159.db2.gz POVJIWGEVORUGT-CYBMUJFWSA-N 0 3 308.372 4.302 20 0 DIADHN CC[C@H]1CCCC[C@@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000476258038 1047268208 /nfs/dbraw/zinc/26/82/08/1047268208.db2.gz XAVFYMZPXWFGHQ-IRXDYDNUSA-N 0 3 302.462 4.212 20 0 DIADHN C[C@@H](N[C@@H](Cn1ccnc1)C(C)(C)C)c1ccc(F)cc1Cl ZINC000385952174 1047277663 /nfs/dbraw/zinc/27/76/63/1047277663.db2.gz FMUCJEIEFDBVPB-WBMJQRKESA-N 0 3 323.843 4.441 20 0 DIADHN Cc1ccccc1-c1cccc(CNCc2cnn(CCF)c2)c1 ZINC000897737027 1047288841 /nfs/dbraw/zinc/28/88/41/1047288841.db2.gz HMQRPFJGOWXMGC-UHFFFAOYSA-N 0 3 323.415 4.118 20 0 DIADHN CN(Cn1cccnc1=S)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000840179553 1047290870 /nfs/dbraw/zinc/29/08/70/1047290870.db2.gz CRBNHSWRVIKCQE-DLBZAZTESA-N 0 3 313.470 4.228 20 0 DIADHN COCc1cc(CN[C@H]2CCC[C@@H](c3ccccc3)CC2)no1 ZINC000897833330 1047292176 /nfs/dbraw/zinc/29/21/76/1047292176.db2.gz FMMJHMPEDWYFBD-SJORKVTESA-N 0 3 314.429 4.027 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000390192662 1047295156 /nfs/dbraw/zinc/29/51/56/1047295156.db2.gz JXSMENCTDGARMV-AWEZNQCLSA-N 0 3 311.425 4.260 20 0 DIADHN CCC[C@@](C)(NCc1cnc(CCOC)s1)c1ccccc1 ZINC000897925863 1047299130 /nfs/dbraw/zinc/29/91/30/1047299130.db2.gz MDLWERDECJFSIE-GOSISDBHSA-N 0 3 318.486 4.137 20 0 DIADHN Cc1cccnc1[C@@H](NCc1ccc2nccnc2c1)C(C)(C)C ZINC000897993831 1047306020 /nfs/dbraw/zinc/30/60/20/1047306020.db2.gz KAOKZTQZJLLBBM-LJQANCHMSA-N 0 3 320.440 4.210 20 0 DIADHN COCc1csc(CN[C@H](c2ncccc2C)C(C)(C)C)c1 ZINC000897994985 1047306658 /nfs/dbraw/zinc/30/66/58/1047306658.db2.gz KTZSSQODFVTSKK-QGZVFWFLSA-N 0 3 318.486 4.475 20 0 DIADHN CC[C@H](NCc1ccnc2ccccc12)c1ccccc1OC ZINC000393374215 1047310625 /nfs/dbraw/zinc/31/06/25/1047310625.db2.gz RYRKOPHGSRQFFO-SFHVURJKSA-N 0 3 306.409 4.484 20 0 DIADHN COc1ccc(Cl)cc1CCN[C@@H]1CCCc2scnc21 ZINC001118863124 1047313886 /nfs/dbraw/zinc/31/38/86/1047313886.db2.gz HWXHGGUKYHHHRO-CYBMUJFWSA-N 0 3 322.861 4.015 20 0 DIADHN FC1(F)CC[C@H](CCNCc2csc(-c3ccccn3)n2)C1 ZINC000898019442 1047314048 /nfs/dbraw/zinc/31/40/48/1047314048.db2.gz MCKHMQMYIAPNBJ-GFCCVEGCSA-N 0 3 323.412 4.120 20 0 DIADHN Cc1ccc2c(CNC(C)(C)c3ccccc3)cc(=O)oc2c1C ZINC000725800308 1047316167 /nfs/dbraw/zinc/31/61/67/1047316167.db2.gz INEDGRSBVWRYGW-UHFFFAOYSA-N 0 3 321.420 4.435 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N1CCC(Cc2cccc(F)c2F)CC1 ZINC000651472551 1047316390 /nfs/dbraw/zinc/31/63/90/1047316390.db2.gz XWTQELDTTSXSGW-CYBMUJFWSA-N 0 3 319.399 4.012 20 0 DIADHN CC(C)CCc1ccccc1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC001142531899 1047316944 /nfs/dbraw/zinc/31/69/44/1047316944.db2.gz PGSMXUUJKOMKET-BBWFWOEESA-N 0 3 316.489 4.475 20 0 DIADHN c1ccc2c(c1)CCCC[C@H]2NCc1cccc2c1OCCO2 ZINC000725852465 1047322194 /nfs/dbraw/zinc/32/21/94/1047322194.db2.gz OGHGUUHXKXMBHJ-GOSISDBHSA-N 0 3 309.409 4.015 20 0 DIADHN C[C@@H](N[C@@H](CO)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651741300 1047324705 /nfs/dbraw/zinc/32/47/05/1047324705.db2.gz FHWXCKUCACGLQC-CRAIPNDOSA-N 0 3 312.457 4.196 20 0 DIADHN CC(C)(C)C1CCC(N2CCC(C(=O)C(F)(F)F)CC2)CC1 ZINC001255502126 1047325765 /nfs/dbraw/zinc/32/57/65/1047325765.db2.gz SVDZBUMWGFDCFV-UHFFFAOYSA-N 0 3 319.411 4.435 20 0 DIADHN C[C@@H](NCc1ccc2[nH]ccc2c1)c1cnn(CC2CCC2)c1 ZINC000898181103 1047326771 /nfs/dbraw/zinc/32/67/71/1047326771.db2.gz AYHVNPFTONQAJB-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN Cc1cccc(CCN[C@@H]2CCc3ccc(F)c(Cl)c32)n1 ZINC000651789972 1047326772 /nfs/dbraw/zinc/32/67/72/1047326772.db2.gz GSNXDPSIHJSPNL-OAHLLOKOSA-N 0 3 304.796 4.002 20 0 DIADHN COC1([C@H](C)N[C@H](C)c2ccc(-c3ccccc3)cn2)CCC1 ZINC000651831280 1047328930 /nfs/dbraw/zinc/32/89/30/1047328930.db2.gz KGFQNBSWXZSDGR-CVEARBPZSA-N 0 3 310.441 4.357 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2c1CCC2)c1cccs1 ZINC000651828017 1047329978 /nfs/dbraw/zinc/32/99/78/1047329978.db2.gz TYNJMDNZJJITPR-GOSISDBHSA-N 0 3 315.482 4.086 20 0 DIADHN CC[C@@H](OCCNCc1cc2cccnc2o1)c1ccccc1 ZINC000651908531 1047334324 /nfs/dbraw/zinc/33/43/24/1047334324.db2.gz HIXICDQVKLVEJL-GOSISDBHSA-N 0 3 310.397 4.085 20 0 DIADHN COc1ccc2ccccc2c1CN1CCC2(CC2(F)F)CC1 ZINC000651953733 1047334841 /nfs/dbraw/zinc/33/48/41/1047334841.db2.gz UIPSYNKVTVVWPX-UHFFFAOYSA-N 0 3 317.379 4.470 20 0 DIADHN C[C@@H]1CCCN(Cc2sccc2Oc2ccccc2)[C@@H]1CO ZINC001141994236 1047335688 /nfs/dbraw/zinc/33/56/88/1047335688.db2.gz DIXOFCRZOPEAHX-GDBMZVCRSA-N 0 3 317.454 4.133 20 0 DIADHN COc1cc([C@H](C)N[C@@H](c2ccncc2)C2CC2)ccc1F ZINC000898321806 1047336068 /nfs/dbraw/zinc/33/60/68/1047336068.db2.gz VWRYYSHQMVINPE-KPZWWZAWSA-N 0 3 300.377 4.031 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@@H]1CCc2ccc(C)cc21 ZINC000651959516 1047336503 /nfs/dbraw/zinc/33/65/03/1047336503.db2.gz PVFYWPWFRDJTLD-LJQANCHMSA-N 0 3 311.473 4.340 20 0 DIADHN Fc1ccc(CN[C@@H](c2ccncc2)C2CC2)c(C(F)(F)F)c1 ZINC000898325405 1047336669 /nfs/dbraw/zinc/33/66/69/1047336669.db2.gz PVGPULLUFWNVAD-MRXNPFEDSA-N 0 3 324.321 4.480 20 0 DIADHN Fc1ccc(CN[C@H](c2ccncc2)C2CC2)c(C(F)(F)F)c1 ZINC000898325404 1047337008 /nfs/dbraw/zinc/33/70/08/1047337008.db2.gz PVGPULLUFWNVAD-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN COc1ccc2oc(CN[C@H](c3ccncc3)C3CC3)cc2c1 ZINC000898325334 1047338231 /nfs/dbraw/zinc/33/82/31/1047338231.db2.gz ONJKUPCKZPVBFE-IBGZPJMESA-N 0 3 308.381 4.077 20 0 DIADHN CCCc1ccc(CNCc2cccc(NC(=O)OC)c2)cc1 ZINC000796490065 1047338450 /nfs/dbraw/zinc/33/84/50/1047338450.db2.gz ICFPHZWXRPCUMM-UHFFFAOYSA-N 0 3 312.413 4.107 20 0 DIADHN C[C@@H]1CO[C@@H]2CN(Cc3sccc3Oc3ccccc3)C[C@H]12 ZINC001142001611 1047338796 /nfs/dbraw/zinc/33/87/96/1047338796.db2.gz WUDWZYKPLKWXHF-FRFSOERESA-N 0 3 315.438 4.007 20 0 DIADHN CC(C)[C@H](O)C1(CN[C@@H](C)c2ccc(-c3ccccc3)cn2)CC1 ZINC000652173333 1047343253 /nfs/dbraw/zinc/34/32/53/1047343253.db2.gz FVOISMIBJCVYDS-JXFKEZNVSA-N 0 3 324.468 4.196 20 0 DIADHN COc1ccc([C@@H](NC[C@@H]2CC=CCC2)c2ccccn2)cc1 ZINC000652143560 1047344839 /nfs/dbraw/zinc/34/48/39/1047344839.db2.gz DDWILRBEUUWELZ-OXQOHEQNSA-N 0 3 308.425 4.126 20 0 DIADHN COc1ccc([C@@H](NCC2(C)CCCC2)c2ccccn2)cc1 ZINC000652165455 1047345101 /nfs/dbraw/zinc/34/51/01/1047345101.db2.gz QGCGHVHEXCPUHI-LJQANCHMSA-N 0 3 310.441 4.350 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1ccccc1Cl ZINC000105876377 1047346761 /nfs/dbraw/zinc/34/67/61/1047346761.db2.gz GORQLHBNFNFPKE-ZDUSSCGKSA-N 0 3 305.805 4.078 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001142027039 1047350167 /nfs/dbraw/zinc/35/01/67/1047350167.db2.gz WBUDDTGZQOEHMZ-GOSISDBHSA-N 0 3 322.537 4.339 20 0 DIADHN CC[C@@H](C)NC(=S)Nc1ccc2c(c1)CN([C@H](C)C(C)C)C2 ZINC000726495273 1047362133 /nfs/dbraw/zinc/36/21/33/1047362133.db2.gz PSLPOCUXGDMBTA-ZIAGYGMSSA-N 0 3 319.518 4.132 20 0 DIADHN C[C@@H](NCc1cc(Br)ccc1Cl)[C@@H]1CC1(F)F ZINC000840969178 1047365696 /nfs/dbraw/zinc/36/56/96/1047365696.db2.gz YVKCGJYEQVVBCL-XCBNKYQSSA-N 0 3 324.596 4.236 20 0 DIADHN CC[C@H](NCC1=Cc2ccccc2OC1)c1ccccc1OC ZINC000726607742 1047371871 /nfs/dbraw/zinc/37/18/71/1047371871.db2.gz YTGNHXCWCKTURJ-SFHVURJKSA-N 0 3 309.409 4.212 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN2CCC(F)(F)CC2)c(C)c1 ZINC000796541341 1047383357 /nfs/dbraw/zinc/38/33/57/1047383357.db2.gz QUOBWDPMJRGIKL-UHFFFAOYSA-N 0 3 324.415 4.062 20 0 DIADHN FC(F)(F)c1cccc(OCCCN2CCC(F)(F)CC2)c1 ZINC000796536388 1047383875 /nfs/dbraw/zinc/38/38/75/1047383875.db2.gz AZBLIIKIJGQKQT-UHFFFAOYSA-N 0 3 323.305 4.205 20 0 DIADHN Cc1ccc(CN(C)C(C)(C)Cc2ccccc2)c(Cl)n1 ZINC001142144100 1047388176 /nfs/dbraw/zinc/38/81/76/1047388176.db2.gz VRDZSNNLSFJJDI-UHFFFAOYSA-N 0 3 302.849 4.497 20 0 DIADHN Cc1ccc(CNCc2cc(F)cc(Br)c2)cc1 ZINC000108163821 1047392773 /nfs/dbraw/zinc/39/27/73/1047392773.db2.gz SFCXAXQBJGHGEN-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN COc1ccc(OCCCN2Cc3ccccc3[C@H](C)C2)cc1 ZINC000726955489 1047395251 /nfs/dbraw/zinc/39/52/51/1047395251.db2.gz OMORSBXVDLLBJO-MRXNPFEDSA-N 0 3 311.425 4.083 20 0 DIADHN Brc1ccc(CN[C@H](c2cccnc2)C2CC2)cc1 ZINC000533922195 1047395501 /nfs/dbraw/zinc/39/55/01/1047395501.db2.gz LTLJVGNPSYXCNT-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN CN(Cc1ccccc1)Cc1ccc(C(=O)OC(C)(C)C)cc1 ZINC001142187521 1047396447 /nfs/dbraw/zinc/39/64/47/1047396447.db2.gz BLMBYOWTDWZTOF-UHFFFAOYSA-N 0 3 311.425 4.274 20 0 DIADHN OC[C@H](NC/C=C\c1ccccc1)c1ccc(C(F)(F)F)cc1 ZINC000533922256 1047398543 /nfs/dbraw/zinc/39/85/43/1047398543.db2.gz KPFPZBJNCRIXSZ-IMXKFXGHSA-N 0 3 321.342 4.042 20 0 DIADHN c1ccc([C@@H]2CSCCN2CCc2noc3ccccc23)cc1 ZINC000653556701 1047398749 /nfs/dbraw/zinc/39/87/49/1047398749.db2.gz DOCBWSGIPCBCPT-SFHVURJKSA-N 0 3 324.449 4.160 20 0 DIADHN FC(F)(F)CCN(CCc1noc2ccccc21)CC1CC1 ZINC000653567398 1047400831 /nfs/dbraw/zinc/40/08/31/1047400831.db2.gz FXJMHUIQSHRVJY-UHFFFAOYSA-N 0 3 312.335 4.035 20 0 DIADHN CC[C@](C)(NCCCc1c(F)cccc1F)c1nccs1 ZINC000653581559 1047402899 /nfs/dbraw/zinc/40/28/99/1047402899.db2.gz WFUOYAHYDQVIQL-INIZCTEOSA-N 0 3 310.413 4.269 20 0 DIADHN CCCCC1CCC(CNC(=O)[C@H](C)N2CCCCCC2)CC1 ZINC001142228893 1047408799 /nfs/dbraw/zinc/40/87/99/1047408799.db2.gz DIVYNAUVIFZKHE-VIQWUECVSA-N 0 3 322.537 4.364 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001142230386 1047409252 /nfs/dbraw/zinc/40/92/52/1047409252.db2.gz IDUQVXTZWZNOFC-CQSZACIVSA-N 0 3 317.864 4.350 20 0 DIADHN O=C(CN(CC1CC1)C1CCC1)Nc1ccc2ccccc2c1 ZINC000796580567 1047411055 /nfs/dbraw/zinc/41/10/55/1047411055.db2.gz QVFGDCNZGMTVAV-UHFFFAOYSA-N 0 3 308.425 4.043 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC([C@@H]2CCCO2)CC1 ZINC000653634796 1047411535 /nfs/dbraw/zinc/41/15/35/1047411535.db2.gz JIYQUHFPVUBJDY-FQEVSTJZSA-N 0 3 317.473 4.210 20 0 DIADHN CCCCCC(C)(C)CNC(=O)Nc1ccc2c(c1)CNC2 ZINC000841331978 1047412508 /nfs/dbraw/zinc/41/25/08/1047412508.db2.gz OKDGJAATOIBQHY-UHFFFAOYSA-N 0 3 303.450 4.018 20 0 DIADHN CCOC1(C)CCN(Cc2cc(C(C)C)ccc2OC)CC1 ZINC000653693593 1047416624 /nfs/dbraw/zinc/41/66/24/1047416624.db2.gz GILBSJMKJNIMDY-UHFFFAOYSA-N 0 3 305.462 4.210 20 0 DIADHN Cc1cc(CNCc2ccc(OC(C)(C)C)nc2)c(C)s1 ZINC000537602179 1047417878 /nfs/dbraw/zinc/41/78/78/1047417878.db2.gz GWEFUNNQZDAZRD-UHFFFAOYSA-N 0 3 304.459 4.227 20 0 DIADHN C[C@H]1C[C@H](C(F)(F)F)CCN1CCc1noc2ccccc21 ZINC000653732174 1047418171 /nfs/dbraw/zinc/41/81/71/1047418171.db2.gz QXBBDENRHHSNDS-NWDGAFQWSA-N 0 3 312.335 4.033 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000117347364 1047421112 /nfs/dbraw/zinc/42/11/12/1047421112.db2.gz ATWBSSPMCJWVNC-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN CCc1csc(CC2CCN([C@H](C)c3cccnc3)CC2)n1 ZINC000653802664 1047423961 /nfs/dbraw/zinc/42/39/61/1047423961.db2.gz OOEMWNKPNOPUIY-CQSZACIVSA-N 0 3 315.486 4.116 20 0 DIADHN FC(F)(F)Oc1cccc(C2(NCc3cccs3)CC2)c1 ZINC000653847974 1047426576 /nfs/dbraw/zinc/42/65/76/1047426576.db2.gz LCAIHXNOLYNKPG-UHFFFAOYSA-N 0 3 313.344 4.426 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc2c(c1)oc1ccccc12 ZINC000749571449 1047427515 /nfs/dbraw/zinc/42/75/15/1047427515.db2.gz WTFOPJLIQKJMSF-KRWDZBQOSA-N 0 3 322.408 4.399 20 0 DIADHN CC(C)C(=O)N1CCCN([C@H](C)c2ccc3ccccc3c2)CC1 ZINC000118830208 1047436061 /nfs/dbraw/zinc/43/60/61/1047436061.db2.gz TUIUIOYWIUCMCJ-QGZVFWFLSA-N 0 3 324.468 4.091 20 0 DIADHN CC(C)(C)[C@H](NCN1C(=O)[C@H]2CCCCC[C@H]21)c1ccccc1 ZINC000749942502 1047450951 /nfs/dbraw/zinc/45/09/51/1047450951.db2.gz WDHLNAPTIQPBFV-RCCFBDPRSA-N 0 3 314.473 4.112 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)s1 ZINC000537616447 1047451311 /nfs/dbraw/zinc/45/13/11/1047451311.db2.gz AFZBISKRRUVOTK-OAHLLOKOSA-N 0 3 317.429 4.291 20 0 DIADHN Cc1ccc([C@H](CNC(=O)[C@@H](C)CCC(C)(C)C)N(C)C)cc1 ZINC001142539498 1047466854 /nfs/dbraw/zinc/46/68/54/1047466854.db2.gz RDWNKDCJYKXXPU-WMZOPIPTSA-N 0 3 318.505 4.176 20 0 DIADHN CC[C@@H](C)CCCCC(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001142539838 1047466983 /nfs/dbraw/zinc/46/69/83/1047466983.db2.gz ZNFARVYROPJYKR-VQIMIIECSA-N 0 3 318.505 4.320 20 0 DIADHN C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)[C@@H]1c1ccccc1 ZINC000537622507 1047467366 /nfs/dbraw/zinc/46/73/66/1047467366.db2.gz ZXSBJEPXRKRQMI-BEFAXECRSA-N 0 3 303.409 4.270 20 0 DIADHN C[C@H]1Cc2ccccc2[C@H]1NCc1ccccc1OC(F)F ZINC000119777588 1047471447 /nfs/dbraw/zinc/47/14/47/1047471447.db2.gz DDLLISROSJXRFN-SJCJKPOMSA-N 0 3 303.352 4.311 20 0 DIADHN CC[C@@H]1CN(Cc2c[nH]nc2-c2ccc(Cl)cc2)CCS1 ZINC000537623184 1047473858 /nfs/dbraw/zinc/47/38/58/1047473858.db2.gz XLLYMFHIMGMPLP-OAHLLOKOSA-N 0 3 321.877 4.058 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccnc3ccccc23)n1 ZINC000537623917 1047476183 /nfs/dbraw/zinc/47/61/83/1047476183.db2.gz DLGNFSQFCBSCPK-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Fc1ccc(F)c([C@@H]2CCCN2Cc2cccc3nc[nH]c32)c1 ZINC001142687116 1047479197 /nfs/dbraw/zinc/47/91/97/1047479197.db2.gz MIGKWURBDAUXDW-KRWDZBQOSA-N 0 3 313.351 4.178 20 0 DIADHN CCCC[C@H](C)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001142709457 1047485243 /nfs/dbraw/zinc/48/52/43/1047485243.db2.gz VIEBVGCJLXDGJK-PKOBYXMFSA-N 0 3 316.489 4.074 20 0 DIADHN Cc1cc(-c2nnc(CN3CCC[C@H]3CCC(C)C)o2)c(C)o1 ZINC000089910151 1047494009 /nfs/dbraw/zinc/49/40/09/1047494009.db2.gz FDPWJYBKVWTXGB-HNNXBMFYSA-N 0 3 317.433 4.347 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1nc(C2CC2)no1 ZINC000058523929 1047495513 /nfs/dbraw/zinc/49/55/13/1047495513.db2.gz IJECRIIKACEOMS-IAGOWNOFSA-N 0 3 311.429 4.105 20 0 DIADHN CC(C)C[C@@H](C)NCc1cc(Br)cnc1Cl ZINC000842695238 1047498649 /nfs/dbraw/zinc/49/86/49/1047498649.db2.gz YJRHSKMUJIDDHY-SECBINFHSA-N 0 3 305.647 4.022 20 0 DIADHN CCOCOc1ccc(CNC2(c3ccccc3C)CC2)cc1 ZINC000842732241 1047500629 /nfs/dbraw/zinc/50/06/29/1047500629.db2.gz JWGXCJXAUNYTOH-UHFFFAOYSA-N 0 3 311.425 4.147 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2ccccc2-n2cccn2)s1 ZINC000090419405 1047503341 /nfs/dbraw/zinc/50/33/41/1047503341.db2.gz CPDGSMCFABRXTM-QWHCGFSZSA-N 0 3 312.442 4.049 20 0 DIADHN CCn1c2ccccc2c2cc(CN3CC[C@@]34CCOC4)ccc21 ZINC001142863094 1047505148 /nfs/dbraw/zinc/50/51/48/1047505148.db2.gz LRFUOFFESWTWBC-NRFANRHFSA-N 0 3 320.436 4.179 20 0 DIADHN CN(Cc1ccnc2[nH]ccc21)Cc1ccccc1C(F)(F)F ZINC001142879380 1047507765 /nfs/dbraw/zinc/50/77/65/1047507765.db2.gz TWWMDBWISUDHOL-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCn1nc(C)c(CN2C[C@@H](C)C[C@@H]2c2ccccc2F)c1C ZINC000537633487 1047508040 /nfs/dbraw/zinc/50/80/40/1047508040.db2.gz OYPFHPAQOOMZLA-ORAYPTAESA-N 0 3 315.436 4.242 20 0 DIADHN C[C@@H]1CN(C2CCC(c3ccc(Cl)cc3)CC2)CCC1=O ZINC001168341454 1047508264 /nfs/dbraw/zinc/50/82/64/1047508264.db2.gz LBTSYNDSQFQAJJ-BZOOQXSSSA-N 0 3 305.849 4.277 20 0 DIADHN CC(C)C[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccncc1 ZINC000842869229 1047510205 /nfs/dbraw/zinc/51/02/05/1047510205.db2.gz CLMVZVSLMIIKEY-IBGZPJMESA-N 0 3 312.457 4.186 20 0 DIADHN CC[C@@H](N[C@H]1CCCc2cc(OC)ccc21)c1c(C)noc1C ZINC000090886382 1047512151 /nfs/dbraw/zinc/51/21/51/1047512151.db2.gz RFDZBNNZKBKAGV-MSOLQXFVSA-N 0 3 314.429 4.418 20 0 DIADHN COc1c(C)cc([C@H](C)NCc2ccc(CO)cc2)cc1Cl ZINC000842894043 1047512650 /nfs/dbraw/zinc/51/26/50/1047512650.db2.gz FGBJOKCTBPJSFO-ZDUSSCGKSA-N 0 3 319.832 4.000 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000090911286 1047513064 /nfs/dbraw/zinc/51/30/64/1047513064.db2.gz QKPLCETYYBAHKY-TZMCWYRMSA-N 0 3 311.385 4.092 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCCc3cc(F)ccc32)cn1 ZINC000090964436 1047513645 /nfs/dbraw/zinc/51/36/45/1047513645.db2.gz GWDFSOOXLNWLRI-FZKQIMNGSA-N 0 3 301.409 4.331 20 0 DIADHN CCn1nccc1CN(C1CC1)C1CC(c2ccccc2C)C1 ZINC000537636103 1047518291 /nfs/dbraw/zinc/51/82/91/1047518291.db2.gz LJACEQZGNBLHFV-UHFFFAOYSA-N 0 3 309.457 4.122 20 0 DIADHN CCn1nc(C)c(CN2C[C@@H](C)C[C@H]2c2cccc(F)c2)c1C ZINC000537635634 1047518597 /nfs/dbraw/zinc/51/85/97/1047518597.db2.gz GZWXLPAIQAPFFJ-DJJJIMSYSA-N 0 3 315.436 4.242 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H]2c2ccc(C)nc2)c(C)c1 ZINC001142941867 1047519198 /nfs/dbraw/zinc/51/91/98/1047519198.db2.gz LCEFJNXPUDMLTR-HXUWFJFHSA-N 0 3 310.441 4.353 20 0 DIADHN CN(Cc1cccn2ccnc12)Cc1cccc2ccccc21 ZINC001142954657 1047519796 /nfs/dbraw/zinc/51/97/96/1047519796.db2.gz BJRCVCOUQCHOBR-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN F[C@@H]1CCCN(Cc2nccnc2-c2ccc(Cl)cc2)CC1 ZINC001142965384 1047520121 /nfs/dbraw/zinc/52/01/21/1047520121.db2.gz AQJXVXZKIRBHJA-OAHLLOKOSA-N 0 3 319.811 4.121 20 0 DIADHN Cc1nn(C)cc1CN(C)Cc1ccccc1-c1ccccc1 ZINC000092035715 1047527010 /nfs/dbraw/zinc/52/70/10/1047527010.db2.gz OFHNHSSMDHRMBS-UHFFFAOYSA-N 0 3 305.425 4.028 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2ccc3nccn3c2)c1 ZINC001143029200 1047527198 /nfs/dbraw/zinc/52/71/98/1047527198.db2.gz RUUXLKSGAUPKPC-IBGZPJMESA-N 0 3 321.424 4.070 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@@H](C)N(C)CC1CCCC1 ZINC000064020562 1047528692 /nfs/dbraw/zinc/52/86/92/1047528692.db2.gz RTHNNDCBABDXRX-OAHLLOKOSA-N 0 3 316.489 4.260 20 0 DIADHN Oc1ccc(CNCc2ccc(Oc3ccccc3)cc2)cc1 ZINC000237970255 1047529788 /nfs/dbraw/zinc/52/97/88/1047529788.db2.gz LLDUCQYUPLYBDC-UHFFFAOYSA-N 0 3 305.377 4.474 20 0 DIADHN Fc1ccccc1[C@@H]1CCCCN1Cc1cccc2n[nH]cc21 ZINC001143095605 1047530845 /nfs/dbraw/zinc/53/08/45/1047530845.db2.gz QLRCWKKIYCPCMO-IBGZPJMESA-N 0 3 309.388 4.429 20 0 DIADHN C[C@@H](c1ccco1)N(Cc1cccc(-n2cccn2)c1)C1CC1 ZINC000092483331 1047534808 /nfs/dbraw/zinc/53/48/08/1047534808.db2.gz KFZNWMHYKUDULV-HNNXBMFYSA-N 0 3 307.397 4.191 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccc(C)nc1N ZINC001143207722 1047541336 /nfs/dbraw/zinc/54/13/36/1047541336.db2.gz DNQSUHBRJKQAAT-INIZCTEOSA-N 0 3 313.470 4.031 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cc4cccnc4[nH]3)C2)c1 ZINC001143223631 1047542518 /nfs/dbraw/zinc/54/25/18/1047542518.db2.gz LJAVSAWCUUXYCT-OAHLLOKOSA-N 0 3 311.816 4.206 20 0 DIADHN CN(Cc1cc2cccnc2[nH]1)Cc1ccc2ccccc2c1 ZINC001143228827 1047543903 /nfs/dbraw/zinc/54/39/03/1047543903.db2.gz JSJIMBQWYOAXEP-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cnc(C(C)(C)C)s2)CC1 ZINC000093317474 1047545061 /nfs/dbraw/zinc/54/50/61/1047545061.db2.gz PFMXTSFXPJDJBV-CQSZACIVSA-N 0 3 310.507 4.222 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cnc(C3CCC3)s2)CC1 ZINC000093317645 1047545511 /nfs/dbraw/zinc/54/55/11/1047545511.db2.gz SNCQDQDBJAMNIV-OAHLLOKOSA-N 0 3 308.491 4.192 20 0 DIADHN FC(F)SCc1ccc(CN2CC[C@@H](c3cccnc3)C2)o1 ZINC000843419237 1047548914 /nfs/dbraw/zinc/54/89/14/1047548914.db2.gz GUVAGBSRLZGDPV-CYBMUJFWSA-N 0 3 324.396 4.120 20 0 DIADHN COc1ccc(F)c(C(F)(F)F)c1CN(C)CC1CCC1 ZINC001143310604 1047551147 /nfs/dbraw/zinc/55/11/47/1047551147.db2.gz LFOWTPKOJBZFFZ-UHFFFAOYSA-N 0 3 305.315 4.085 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cccc(Cl)c1F ZINC000537647586 1047558889 /nfs/dbraw/zinc/55/88/89/1047558889.db2.gz QUPRGWQOLGVHNC-UHFFFAOYSA-N 0 3 312.841 4.389 20 0 DIADHN Fc1ccc([C@H]2CCCCN2Cc2ccc3[nH]cnc3c2)cc1 ZINC000537647292 1047559187 /nfs/dbraw/zinc/55/91/87/1047559187.db2.gz JFHUZHMPFLWRPK-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN Fc1ccc([C@H]2CCCCN2Cc2ccc3nc[nH]c3c2)cc1 ZINC000537647292 1047559201 /nfs/dbraw/zinc/55/92/01/1047559201.db2.gz JFHUZHMPFLWRPK-LJQANCHMSA-N 0 3 309.388 4.429 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1ncc(-c2cccc(F)c2)o1 ZINC000476666075 1047563436 /nfs/dbraw/zinc/56/34/36/1047563436.db2.gz IQSPZJGXTYXLBD-CJNGLKHVSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@H](NCc1ccc(OC(F)(F)F)cc1)c1ccsc1 ZINC000061360653 1047566130 /nfs/dbraw/zinc/56/61/30/1047566130.db2.gz VAMQVGUJJCGLDD-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN CC(C)Cc1ncc(CN2CCS[C@H]3CCCC[C@H]32)s1 ZINC000537649190 1047574304 /nfs/dbraw/zinc/57/43/04/1047574304.db2.gz SBZHLOXABNZMJC-CABCVRRESA-N 0 3 310.532 4.202 20 0 DIADHN CC(C)Cc1ncc(CN2CCS[C@H]3CCCC[C@@H]32)s1 ZINC000537649191 1047574839 /nfs/dbraw/zinc/57/48/39/1047574839.db2.gz SBZHLOXABNZMJC-GJZGRUSLSA-N 0 3 310.532 4.202 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C(C)C)c2)cc1C ZINC000926904163 1047578047 /nfs/dbraw/zinc/57/80/47/1047578047.db2.gz VXFVRLUWLXAOBD-LSDHHAIUSA-N 0 3 301.434 4.193 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2ccc(OC)c(O)c2)cc1C ZINC000926903572 1047578754 /nfs/dbraw/zinc/57/87/54/1047578754.db2.gz WYNPBOYCBBSMBU-UONOGXRCSA-N 0 3 315.413 4.130 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1cnc(C)s1 ZINC000678611612 1047580273 /nfs/dbraw/zinc/58/02/73/1047580273.db2.gz NAJWOFZCZPCDNJ-GDLVEWKHSA-N 0 3 308.422 4.017 20 0 DIADHN Clc1cc(Cl)cc(CN2CCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000537696062 1047583018 /nfs/dbraw/zinc/58/30/18/1047583018.db2.gz DXZDFYXLUDRLQT-UONOGXRCSA-N 0 3 314.256 4.242 20 0 DIADHN C[C@H](N[C@@H](C)c1cccs1)C(=O)Nc1ccc(Cl)cc1 ZINC000192678493 1047586231 /nfs/dbraw/zinc/58/62/31/1047586231.db2.gz MGXVYMGPDCWHNY-QWRGUYRKSA-N 0 3 308.834 4.079 20 0 DIADHN CC(C)=C[C@H]1[C@@H](C(=O)Nc2cc(C)cc(CN(C)C)c2)C1(C)C ZINC001151013199 1047590402 /nfs/dbraw/zinc/59/04/02/1047590402.db2.gz ZBFNZPHKDJFMOX-ROUUACIJSA-N 0 3 314.473 4.234 20 0 DIADHN c1ccc(N[C@@H]2CCCN(c3ccnc4ccccc43)C2)cc1 ZINC000477125028 1047596954 /nfs/dbraw/zinc/59/69/54/1047596954.db2.gz GPVXSJDDAOWEBD-QGZVFWFLSA-N 0 3 303.409 4.316 20 0 DIADHN Clc1ccc([C@@H](NCc2ccc3c(c2)OCO3)C2CC2)cc1 ZINC000315364820 1047604707 /nfs/dbraw/zinc/60/47/07/1047604707.db2.gz CALBFJTYVABLST-SFHVURJKSA-N 0 3 315.800 4.310 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1nc(C(C)C)no1 ZINC000477222321 1047606366 /nfs/dbraw/zinc/60/63/66/1047606366.db2.gz OSFSYJUZZDYWEN-IRXDYDNUSA-N 0 3 313.445 4.351 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc(C(=O)N(C)C)c2)cc1 ZINC000060467217 1047624577 /nfs/dbraw/zinc/62/45/77/1047624577.db2.gz UWXSJCABLJTEFK-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN Cc1nc(CN2C[C@@H](c3ccc(F)cc3)C[C@H]2C)sc1C ZINC000477761481 1047634931 /nfs/dbraw/zinc/63/49/31/1047634931.db2.gz ICCGLRVNGWXDFV-ABAIWWIYSA-N 0 3 304.434 4.277 20 0 DIADHN Cc1csc(CCN[C@@H](c2ccc(C)cc2)c2cccnc2)n1 ZINC000924644660 1047638361 /nfs/dbraw/zinc/63/83/61/1047638361.db2.gz QPUUZYNOISJWND-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1CCC[C@@H](C)C1 ZINC000537811467 1047638622 /nfs/dbraw/zinc/63/86/22/1047638622.db2.gz WOGYHMRZHGSLEW-PBHICJAKSA-N 0 3 302.462 4.000 20 0 DIADHN FC1(F)CCC[C@@H](NCc2ncc(-c3ccccc3)s2)C1 ZINC000537775627 1047638556 /nfs/dbraw/zinc/63/85/56/1047638556.db2.gz KGEWAUHRTSREGR-CYBMUJFWSA-N 0 3 308.397 4.478 20 0 DIADHN C[C@@H](Cc1ccccc1F)N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000537884906 1047642282 /nfs/dbraw/zinc/64/22/82/1047642282.db2.gz QFCTXZJJCXAGRZ-STQMWFEESA-N 0 3 318.461 4.443 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1coc(-c2c(F)cccc2F)n1 ZINC000537856713 1047642324 /nfs/dbraw/zinc/64/23/24/1047642324.db2.gz IFDAGVJOXISZOX-WCQYABFASA-N 0 3 306.356 4.383 20 0 DIADHN C[C@H](NCCOc1ncccc1Cl)c1ccccc1Cl ZINC000537963592 1047647996 /nfs/dbraw/zinc/64/79/96/1047647996.db2.gz PQDBCWYLFTYMPF-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN Cc1coc2ccc(NC(=O)[C@H](C(C)C)N3CCCCC3)cc12 ZINC001154777814 1047656573 /nfs/dbraw/zinc/65/65/73/1047656573.db2.gz WPQVAODMKHVQDI-SFHVURJKSA-N 0 3 314.429 4.190 20 0 DIADHN Cc1ncc(CNc2nc(N3CCCC3)cc3ccccc32)s1 ZINC001154797947 1047657103 /nfs/dbraw/zinc/65/71/03/1047657103.db2.gz SQESDMIMODSLOY-UHFFFAOYSA-N 0 3 324.453 4.212 20 0 DIADHN CC(C)C[C@H](NCC(=O)Nc1ccccc1F)c1ccccc1 ZINC000538141901 1047659659 /nfs/dbraw/zinc/65/96/59/1047659659.db2.gz XQKDFUIMHBXLDL-SFHVURJKSA-N 0 3 314.404 4.141 20 0 DIADHN CCCOc1ccc([C@@H](C)NCCC(F)(F)F)cc1OC ZINC000538151486 1047660271 /nfs/dbraw/zinc/66/02/71/1047660271.db2.gz MHVXLEWMJJODOR-LLVKDONJSA-N 0 3 305.340 4.087 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](c1ccccc1C)C(C)C ZINC000538159432 1047660743 /nfs/dbraw/zinc/66/07/43/1047660743.db2.gz FXZCICRECWGSLJ-FQEVSTJZSA-N 0 3 310.441 4.229 20 0 DIADHN CC[C@@H](C)[C@H](NCC(=O)N1CCCCC1)c1ccc(Cl)cc1 ZINC000538196144 1047662743 /nfs/dbraw/zinc/66/27/43/1047662743.db2.gz ZOAMRSFIWNOANR-KDOFPFPSSA-N 0 3 322.880 4.029 20 0 DIADHN Cc1ccc([C@H](NCCOc2ncccc2F)C2CCCC2)o1 ZINC000538295687 1047667383 /nfs/dbraw/zinc/66/73/83/1047667383.db2.gz CWGSUHIYTDWCBO-QGZVFWFLSA-N 0 3 318.392 4.022 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1cn(C)nc1-c1ccccc1 ZINC000538305582 1047668191 /nfs/dbraw/zinc/66/81/91/1047668191.db2.gz SWYGSWDKSYDMFU-MRXNPFEDSA-N 0 3 317.436 4.206 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCC(F)(F)F)oc21 ZINC000538407246 1047672970 /nfs/dbraw/zinc/67/29/70/1047672970.db2.gz QEDAZNYHIXJUMU-JTQLQIEISA-N 0 3 301.308 4.435 20 0 DIADHN Cc1c(Cl)cnc(Cl)c1-n1c2ccccc2nc1[C@H](C)N ZINC001155257624 1047673870 /nfs/dbraw/zinc/67/38/70/1047673870.db2.gz HQZCKNRUHYQGMV-VIFPVBQESA-N 0 3 321.211 4.055 20 0 DIADHN Cc1nc(-n2c3ccccc3nc2[C@H](C)N)c(Cl)cc1Cl ZINC001155257783 1047674428 /nfs/dbraw/zinc/67/44/28/1047674428.db2.gz JKHPZUHUEGZFLD-QMMMGPOBSA-N 0 3 321.211 4.055 20 0 DIADHN C[C@@H](NCCc1nc2c(s1)CCCC2)c1cccc(F)c1F ZINC000538457054 1047679569 /nfs/dbraw/zinc/67/95/69/1047679569.db2.gz LYCSETLOOFPCIP-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc2[nH]ccc2c1F)C(C)C ZINC001155422636 1047679968 /nfs/dbraw/zinc/67/99/68/1047679968.db2.gz WDNNHDOMNTYVDX-UHFFFAOYSA-N 0 3 322.364 4.336 20 0 DIADHN Clc1cccc2ccnc(N[C@H]3CNCc4ccsc43)c12 ZINC001155560461 1047684107 /nfs/dbraw/zinc/68/41/07/1047684107.db2.gz DYHYNJCTOHUAJJ-ZDUSSCGKSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1ccc2nc(N[C@@H]3CNCc4ccsc43)ccc2c1 ZINC001155559742 1047684424 /nfs/dbraw/zinc/68/44/24/1047684424.db2.gz QMBSIFJCIUSJFH-CQSZACIVSA-N 0 3 315.829 4.206 20 0 DIADHN Clc1cc(N[C@H]2CNCc3ccsc32)c2sccc2n1 ZINC001155562106 1047685038 /nfs/dbraw/zinc/68/50/38/1047685038.db2.gz QLOJNGGOLIXHDC-NSHDSACASA-N 0 3 321.858 4.268 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2coc(-c3ccccc3)n2)CCO1 ZINC000538533870 1047686363 /nfs/dbraw/zinc/68/63/63/1047686363.db2.gz CUXMHCSYSVXFQR-FUHWJXTLSA-N 0 3 314.429 4.025 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(Cl)s2)C1 ZINC000538716865 1047699584 /nfs/dbraw/zinc/69/95/84/1047699584.db2.gz IIXWELSJHIYUPB-GFCCVEGCSA-N 0 3 307.846 4.400 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2c(Cl)cccc2OC)CC1 ZINC000538733165 1047703872 /nfs/dbraw/zinc/70/38/72/1047703872.db2.gz VWKBNLDJQSXSSK-CQSZACIVSA-N 0 3 311.853 4.130 20 0 DIADHN COc1ccc2oc(CN(C)Cc3cccc(N(C)C)c3)cc2c1 ZINC000538763370 1047710235 /nfs/dbraw/zinc/71/02/35/1047710235.db2.gz ZRSISWKHWYHHFK-UHFFFAOYSA-N 0 3 324.424 4.139 20 0 DIADHN Clc1ccccc1OC[C@@H]1CCCN(Cc2ccco2)C1 ZINC000538761430 1047710330 /nfs/dbraw/zinc/71/03/30/1047710330.db2.gz CYGBHGCEUDXZNS-CQSZACIVSA-N 0 3 305.805 4.224 20 0 DIADHN C[C@@H]1CN(c2nc(N3CCCC3)cc3ccccc32)C2(CC2)C1 ZINC001156426247 1047712077 /nfs/dbraw/zinc/71/20/77/1047712077.db2.gz QMPZPCRFLCWONT-HNNXBMFYSA-N 0 3 307.441 4.214 20 0 DIADHN Fc1cnc(NC[C@@H](c2ccccc2)N2CCCC2)c(Cl)c1 ZINC001156532631 1047716106 /nfs/dbraw/zinc/71/61/06/1047716106.db2.gz YRJBXPYWFJYCEV-INIZCTEOSA-N 0 3 319.811 4.123 20 0 DIADHN Fc1cnc(Cl)cc1NC[C@@H](c1ccccc1)N1CCCC1 ZINC001156531095 1047716280 /nfs/dbraw/zinc/71/62/80/1047716280.db2.gz NBMCHQLURYAQAQ-INIZCTEOSA-N 0 3 319.811 4.123 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccnc(SC)c2)cc1 ZINC000539038678 1047725793 /nfs/dbraw/zinc/72/57/93/1047725793.db2.gz JIFYUILREZTZHW-CQSZACIVSA-N 0 3 316.470 4.443 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1Br)[C@H]1CCCO1 ZINC000539041113 1047726614 /nfs/dbraw/zinc/72/66/14/1047726614.db2.gz RDDRCYCSEFUZIZ-TUKIKUTGSA-N 0 3 312.251 4.057 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C(C)(C)C)C1)c1nc2c(s1)CCCC2 ZINC000539042732 1047726721 /nfs/dbraw/zinc/72/67/21/1047726721.db2.gz HZAAGPAHUMZHAE-XEZPLFJOSA-N 0 3 322.518 4.266 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@H](CO)CC(F)(F)F)cc1 ZINC000539052763 1047727958 /nfs/dbraw/zinc/72/79/58/1047727958.db2.gz MHJLFSRDSSNCSH-YPMHNXCESA-N 0 3 321.408 4.151 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](CO)c2ccc(C(F)(F)F)cc2)cc1 ZINC000539055701 1047728032 /nfs/dbraw/zinc/72/80/32/1047728032.db2.gz GFCSTMYJXVBMAD-SUMWQHHRSA-N 0 3 323.358 4.398 20 0 DIADHN CN(C)c1cccc(NC2c3ccccc3-c3ccccc32)n1 ZINC001156967324 1047731184 /nfs/dbraw/zinc/73/11/84/1047731184.db2.gz YXXPHTAMGJVYNN-UHFFFAOYSA-N 0 3 301.393 4.330 20 0 DIADHN CCc1ccc([C@H](C)NCCc2csc(C(C)(C)C)n2)o1 ZINC000539046217 1047732243 /nfs/dbraw/zinc/73/22/43/1047732243.db2.gz FVMYSYLUFGFBEW-LBPRGKRZSA-N 0 3 306.475 4.489 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@@H](OC)c1ccc(F)cc1 ZINC000539068040 1047734332 /nfs/dbraw/zinc/73/43/32/1047734332.db2.gz ZSDBIYBGXVQPQZ-CKFHNAJUSA-N 0 3 317.404 4.261 20 0 DIADHN CCCCCCN(C)CC(=O)N1C[C@H](C)C[C@H]1c1ccccc1 ZINC001157230776 1047737408 /nfs/dbraw/zinc/73/74/08/1047737408.db2.gz BZWBPFYFGQDSMW-MJGOQNOKSA-N 0 3 316.489 4.108 20 0 DIADHN Cc1ccc([C@H](NCc2nc3ccc(C)cc3[nH]2)C2CC2)cc1 ZINC000539215148 1047741341 /nfs/dbraw/zinc/74/13/41/1047741341.db2.gz JHGJOJVBZXYAJF-FQEVSTJZSA-N 0 3 305.425 4.421 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](c1ccccc1C)C(C)C ZINC000539263487 1047745127 /nfs/dbraw/zinc/74/51/27/1047745127.db2.gz VZFPABZBOSXUAJ-OAQYLSRUSA-N 0 3 324.468 4.483 20 0 DIADHN CCOC(=O)CCCCCN[C@H](C)c1c(F)cccc1Cl ZINC000539376327 1047750347 /nfs/dbraw/zinc/75/03/47/1047750347.db2.gz BYEZOJVXLYPYSC-GFCCVEGCSA-N 0 3 315.816 4.253 20 0 DIADHN C[C@H]1CCN(Cc2cccc3nccn32)[C@H]1c1cccc(F)c1 ZINC000539381702 1047751097 /nfs/dbraw/zinc/75/10/97/1047751097.db2.gz NUQRBYJOLMRTKH-IFXJQAMLSA-N 0 3 309.388 4.057 20 0 DIADHN Clc1cccc2ccc(N3CCN[C@H](c4ccccc4)C3)nc12 ZINC001157759363 1047752956 /nfs/dbraw/zinc/75/29/56/1047752956.db2.gz OGZUFULJOOVVGB-KRWDZBQOSA-N 0 3 323.827 4.039 20 0 DIADHN Clc1ccc2nc(N3CCN[C@H](c4ccccc4)C3)ccc2c1 ZINC001157759947 1047753064 /nfs/dbraw/zinc/75/30/64/1047753064.db2.gz YRVHCNRDCMFKAC-SFHVURJKSA-N 0 3 323.827 4.039 20 0 DIADHN CCC[C@@H](O)CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000927031071 1047754754 /nfs/dbraw/zinc/75/47/54/1047754754.db2.gz YNGNDSFNLYLZDZ-UKRRQHHQSA-N 0 3 304.459 4.012 20 0 DIADHN CC[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477852645 1047755880 /nfs/dbraw/zinc/75/58/80/1047755880.db2.gz UAIVQFZSKHYMAZ-HZPDHXFCSA-N 0 3 306.425 4.143 20 0 DIADHN CC[C@@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc(F)cn1 ZINC000477852646 1047755940 /nfs/dbraw/zinc/75/59/40/1047755940.db2.gz UAIVQFZSKHYMAZ-JKSUJKDBSA-N 0 3 306.425 4.143 20 0 DIADHN CCCc1ccc(CNc2ncccc2CN2CCCC2)cc1 ZINC001157831052 1047757174 /nfs/dbraw/zinc/75/71/74/1047757174.db2.gz MPGKEZNKCTWQEK-UHFFFAOYSA-N 0 3 309.457 4.242 20 0 DIADHN C[C@H](N)c1ccn(-c2cc(-c3ccccc3)c3ccccc3n2)n1 ZINC001158350579 1047776588 /nfs/dbraw/zinc/77/65/88/1047776588.db2.gz MISDCUAYAHGZRC-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN C[C@@H]1C[C@@H](Nc2ncccc2CN2CCCC2)c2ccccc21 ZINC001158477541 1047784716 /nfs/dbraw/zinc/78/47/16/1047784716.db2.gz SHNFJDTWFBTHMA-DNVCBOLYSA-N 0 3 307.441 4.338 20 0 DIADHN CN1CCC(N(c2cc3ccsc3cn2)C2CCCC2)CC1 ZINC001158487700 1047786294 /nfs/dbraw/zinc/78/62/94/1047786294.db2.gz NJALHGYFAICDDK-UHFFFAOYSA-N 0 3 315.486 4.140 20 0 DIADHN Cc1cccc2ccc(N(C3CCCC3)C3CCN(C)CC3)nc12 ZINC001158485677 1047786509 /nfs/dbraw/zinc/78/65/09/1047786509.db2.gz OZAIBCLPKCTEPD-UHFFFAOYSA-N 0 3 323.484 4.386 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000028918530 1047792241 /nfs/dbraw/zinc/79/22/41/1047792241.db2.gz MFVFCPYTPFVBEW-QZTJIDSGSA-N 0 3 319.807 4.350 20 0 DIADHN CC[C@H](N[C@H](c1cnn(C)c1)C(C)C)c1cc(C)ccc1OC ZINC000927067867 1047792751 /nfs/dbraw/zinc/79/27/51/1047792751.db2.gz IHRVOFDGQKRBPA-HKUYNNGSSA-N 0 3 315.461 4.175 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1Cl ZINC000927068150 1047793765 /nfs/dbraw/zinc/79/37/65/1047793765.db2.gz RBKAMECZOCOJBI-YVEFUNNKSA-N 0 3 321.852 4.130 20 0 DIADHN CCCC[C@@H](CC)CC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001158703858 1047795151 /nfs/dbraw/zinc/79/51/51/1047795151.db2.gz ZVFGRJDLJKRHGA-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN C[C@@H](CC(=O)N1CCN(C)C2(CCCCC2)C1)C1CCCCC1 ZINC001158702586 1047795250 /nfs/dbraw/zinc/79/52/50/1047795250.db2.gz JYEJSDVDWYVMPZ-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN CSc1ccc([C@@H](C)N[C@@H](c2cnn(C)c2)C(C)C)cc1F ZINC000927070882 1047796257 /nfs/dbraw/zinc/79/62/57/1047796257.db2.gz QDFVFYZVIOVSJI-SJKOYZFVSA-N 0 3 321.465 4.329 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1F ZINC000927070881 1047796592 /nfs/dbraw/zinc/79/65/92/1047796592.db2.gz QDFVFYZVIOVSJI-SJCJKPOMSA-N 0 3 321.465 4.329 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)OC)c1)c1ccc(Cl)cc1 ZINC000552888290 1047802072 /nfs/dbraw/zinc/80/20/72/1047802072.db2.gz RFPDVJJYCAJHJA-QGZVFWFLSA-N 0 3 317.816 4.368 20 0 DIADHN CC[C@H](NCc1cccc(C(=O)OC)c1)c1ccc(Cl)cc1 ZINC000552888284 1047802595 /nfs/dbraw/zinc/80/25/95/1047802595.db2.gz RFPDVJJYCAJHJA-KRWDZBQOSA-N 0 3 317.816 4.368 20 0 DIADHN Cc1n[nH]cc1CN[C@@H](Cc1ccc(C)cc1)c1ccc(C)cc1 ZINC000552911555 1047805029 /nfs/dbraw/zinc/80/50/29/1047805029.db2.gz GOODATPFKIYSSH-NRFANRHFSA-N 0 3 319.452 4.409 20 0 DIADHN Cc1ccc(Oc2ccc(Nc3ccnc(CN)c3)cc2)cc1 ZINC001159296991 1047827043 /nfs/dbraw/zinc/82/70/43/1047827043.db2.gz VWRPBVYZTNOTCX-UHFFFAOYSA-N 0 3 305.381 4.385 20 0 DIADHN NCc1cc(Nc2ccc(OCc3ccccc3)c(F)c2)ccn1 ZINC001159296994 1047827098 /nfs/dbraw/zinc/82/70/98/1047827098.db2.gz WAWXSRIUGWGLRX-UHFFFAOYSA-N 0 3 323.371 4.002 20 0 DIADHN COC[C@H](NCCSc1ccc(C)cc1)c1ccccc1 ZINC000553286282 1047836991 /nfs/dbraw/zinc/83/69/91/1047836991.db2.gz LRWJKUNEIREGHD-SFHVURJKSA-N 0 3 301.455 4.064 20 0 DIADHN CC(C)CCCCN[C@@H](CO)c1cccc(Cl)c1Cl ZINC001182968198 1047870539 /nfs/dbraw/zinc/87/05/39/1047870539.db2.gz BQDWJGLHBPCLFM-AWEZNQCLSA-N 0 3 304.261 4.443 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cc1OC ZINC000479118686 1047840365 /nfs/dbraw/zinc/84/03/65/1047840365.db2.gz MLPAEKYLWGHXTB-INIZCTEOSA-N 0 3 317.816 4.134 20 0 DIADHN CCOc1cc(CN[C@@H]2C[C@H](C)c3ccccc32)ccc1OC ZINC000553421963 1047847739 /nfs/dbraw/zinc/84/77/39/1047847739.db2.gz NQHADGSBWKMRLX-KBXCAEBGSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccc(-c2cc(CNC3CC4(C3)CCCC4)on2)cc1 ZINC001182826009 1047849072 /nfs/dbraw/zinc/84/90/72/1047849072.db2.gz NEGIGADCQDHWNU-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN Cc1cnccc1Nc1cnc2ccccc2c1C(F)(F)F ZINC001174537731 1047865941 /nfs/dbraw/zinc/86/59/41/1047865941.db2.gz PWONXOCHPREUCM-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN Cc1cnccc1Nc1cccc(F)c1NC(=O)OC(C)(C)C ZINC001174539231 1047866768 /nfs/dbraw/zinc/86/67/68/1047866768.db2.gz YWXXIULTKYSQMN-UHFFFAOYSA-N 0 3 317.364 4.042 20 0 DIADHN COCc1csc(CN2CCCCC[C@H]2c2ccncc2)c1 ZINC000667470680 1047870866 /nfs/dbraw/zinc/87/08/66/1047870866.db2.gz GOTUKNYRGXSGLE-SFHVURJKSA-N 0 3 316.470 4.407 20 0 DIADHN CC[C@H]1CN(Cc2cnc(OC)s2)CC[C@@H]1c1ccccc1 ZINC001204358902 1047872885 /nfs/dbraw/zinc/87/28/85/1047872885.db2.gz MWXHTLLKHQILND-YOEHRIQHSA-N 0 3 316.470 4.167 20 0 DIADHN CC(C)c1ccc(-n2c(-c3ccccc3)cnc2[C@H](C)N)nc1 ZINC001159596251 1047876488 /nfs/dbraw/zinc/87/64/88/1047876488.db2.gz VESGHNRQOIQIEF-AWEZNQCLSA-N 0 3 306.413 4.077 20 0 DIADHN CCSc1ccnc(-n2c(-c3ccccc3)cnc2[C@H](C)N)c1 ZINC001159597461 1047877198 /nfs/dbraw/zinc/87/71/98/1047877198.db2.gz JPCSSBHDZAMCTB-ZDUSSCGKSA-N 0 3 324.453 4.066 20 0 DIADHN [O-]c1ccc(Cl)c(Nc2ccc(C3CC[NH2+]CC3)cc2)c1F ZINC001159598437 1047877454 /nfs/dbraw/zinc/87/74/54/1047877454.db2.gz MEUZITVWSPZEOL-UHFFFAOYSA-N 0 3 320.795 4.395 20 0 DIADHN Cc1ccc(Br)c(F)c1CN(C)C[C@@H]1CC1(C)C ZINC001183074720 1047881518 /nfs/dbraw/zinc/88/15/18/1047881518.db2.gz KAHADPDIZVBPRA-NSHDSACASA-N 0 3 314.242 4.375 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)C[C@@H](C)c1ccc(F)cc1 ZINC001183065677 1047887025 /nfs/dbraw/zinc/88/70/25/1047887025.db2.gz OAFBZBRIHTVFMV-CQSZACIVSA-N 0 3 316.420 4.082 20 0 DIADHN COc1cc(F)cc(CNCc2cc3c(cc(C)cc3C)[nH]2)c1 ZINC000479439914 1047888890 /nfs/dbraw/zinc/88/88/90/1047888890.db2.gz RDURIWJMPUVRNY-UHFFFAOYSA-N 0 3 312.388 4.222 20 0 DIADHN COC[C@H](NCC1(C(F)F)CC1)c1cccc(C(F)(F)F)c1 ZINC000479455714 1047898791 /nfs/dbraw/zinc/89/87/91/1047898791.db2.gz SNFGSNYQZCTGBX-LBPRGKRZSA-N 0 3 323.305 4.028 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2cc(OC)c(O)cc2Cl)C1 ZINC001183169950 1047900265 /nfs/dbraw/zinc/90/02/65/1047900265.db2.gz GKHRRQUXMNBMQO-DHCBQETCSA-N 0 3 309.837 4.232 20 0 DIADHN Fc1ccc(CCNC2(c3c(F)cccc3F)CCC2)c(F)c1 ZINC001183188883 1047901212 /nfs/dbraw/zinc/90/12/12/1047901212.db2.gz ODERFQWVYMAHQG-UHFFFAOYSA-N 0 3 323.333 4.455 20 0 DIADHN Cn1ncc(C2CCC2)c1CN1CCC(c2ccccc2)CC1 ZINC000667493966 1047902215 /nfs/dbraw/zinc/90/22/15/1047902215.db2.gz JJRHQROIYONHOT-UHFFFAOYSA-N 0 3 309.457 4.067 20 0 DIADHN COC1CCC(C)(CN[C@H](c2ccccc2)c2ccccn2)CC1 ZINC000479448840 1047902388 /nfs/dbraw/zinc/90/23/88/1047902388.db2.gz FOGMQRFYKKCNDO-VEDCXYSMSA-N 0 3 324.468 4.356 20 0 DIADHN Cc1cc2[nH]c(CNCc3ccc4c(c3)COC4)cc2c(C)c1 ZINC000479445990 1047902495 /nfs/dbraw/zinc/90/24/95/1047902495.db2.gz NAQNYGJKSWRCEH-UHFFFAOYSA-N 0 3 306.409 4.105 20 0 DIADHN CC(C)Cc1ccc(CN2CCC3(CCSCC3)CC2)cn1 ZINC001183197437 1047902793 /nfs/dbraw/zinc/90/27/93/1047902793.db2.gz VCMUJXWSJSNJGP-UHFFFAOYSA-N 0 3 318.530 4.389 20 0 DIADHN Oc1ccc([C@@H]2CCN(C/C=C\c3ccc(F)cc3F)C2)cc1 ZINC001183157792 1047903996 /nfs/dbraw/zinc/90/39/96/1047903996.db2.gz UFDGJGZMZZWTRO-STOZHHMFSA-N 0 3 315.363 4.173 20 0 DIADHN [O-]c1ccc(Cl)c(Nc2cccc(C3CC[NH2+]CC3)c2)c1F ZINC001159765385 1047919037 /nfs/dbraw/zinc/91/90/37/1047919037.db2.gz XPAWMBAUHHHXPM-UHFFFAOYSA-N 0 3 320.795 4.395 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCCC12CCC2 ZINC001198020275 1047919199 /nfs/dbraw/zinc/91/91/99/1047919199.db2.gz NLCKSHSUYJVGEG-UHFFFAOYSA-N 0 3 313.397 4.128 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1CCOC[C@@H]1CC1CC1 ZINC001198053739 1047920739 /nfs/dbraw/zinc/92/07/39/1047920739.db2.gz ZRMUQVABVZZVFR-YJBOKZPZSA-N 0 3 319.514 4.224 20 0 DIADHN CC(C)(C)c1cc(Nc2cnn([C@@H]3CCCCO3)c2)ccn1 ZINC001174599018 1047920914 /nfs/dbraw/zinc/92/09/14/1047920914.db2.gz OFJXKDWOSDCWOL-INIZCTEOSA-N 0 3 300.406 4.018 20 0 DIADHN [O-]c1ccc(Cl)c(Nc2ccc([C@@H]3CC[NH2+]C3)cc2)c1F ZINC001159777167 1047922853 /nfs/dbraw/zinc/92/28/53/1047922853.db2.gz KFCBRZIDKFMJAY-LLVKDONJSA-N 0 3 306.768 4.005 20 0 DIADHN F[C@]1(c2ccccc2)CCCN(Cc2cccc3n[nH]cc32)C1 ZINC001183410918 1047924004 /nfs/dbraw/zinc/92/40/04/1047924004.db2.gz XONIDJJYPRVHMX-LJQANCHMSA-N 0 3 309.388 4.024 20 0 DIADHN C[C@H]1C[C@H](NCC2(c3cccc(Cl)c3)CCC2)c2nccn21 ZINC001183370692 1047924690 /nfs/dbraw/zinc/92/46/90/1047924690.db2.gz JQRYSNQQPWWQGO-BBRMVZONSA-N 0 3 315.848 4.254 20 0 DIADHN Cc1cc2[nH]c(CN[C@@H](C)c3ccc4c(c3)OCO4)cc2c(C)c1 ZINC000479547877 1047925580 /nfs/dbraw/zinc/92/55/80/1047925580.db2.gz YYQLTTSHXGYSHI-AWEZNQCLSA-N 0 3 322.408 4.364 20 0 DIADHN C[C@@H]1CC[C@H](CN[C@@H](c2cccnc2)c2ccc(F)cc2F)C1 ZINC000479532930 1047925970 /nfs/dbraw/zinc/92/59/70/1047925970.db2.gz YFSSPBLSIOCSQM-TYILLQQXSA-N 0 3 316.395 4.475 20 0 DIADHN COC[C@H](C)Oc1ccc(CN(C)[C@@H](C)c2cccs2)cc1 ZINC000667510473 1047926074 /nfs/dbraw/zinc/92/60/74/1047926074.db2.gz JWRFZNNIBVVDAD-GJZGRUSLSA-N 0 3 319.470 4.355 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1ccc(O[C@@H]2CCOC2)cc1 ZINC000667510890 1047926125 /nfs/dbraw/zinc/92/61/25/1047926125.db2.gz XBTZECBADZYXHO-WMLDXEAASA-N 0 3 317.454 4.109 20 0 DIADHN CC(C)N(Cc1ccc(-n2cccn2)cc1)Cc1ccccc1F ZINC000479555030 1047929749 /nfs/dbraw/zinc/92/97/49/1047929749.db2.gz HRHONPODIJUVRI-UHFFFAOYSA-N 0 3 323.415 4.422 20 0 DIADHN CCCN(Cc1c(C2CC2)cnn1C)[C@H]1CCc2ccccc21 ZINC000667514671 1047929850 /nfs/dbraw/zinc/92/98/50/1047929850.db2.gz HATLPTXXISNSLX-IBGZPJMESA-N 0 3 309.457 4.197 20 0 DIADHN CN(Cc1ccc2cc[nH]c2c1)Cc1cncc2ccccc21 ZINC001183472502 1047931242 /nfs/dbraw/zinc/93/12/42/1047931242.db2.gz NRLXVSDAXPQDFX-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN C(c1nc2c(s1)CCCC2)N1CCC[C@@H](C2CCC2)CC1 ZINC001183470839 1047931691 /nfs/dbraw/zinc/93/16/91/1047931691.db2.gz DDXGIRSURUJMHR-OAHLLOKOSA-N 0 3 304.503 4.424 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H](c2ncccn2)C1 ZINC001198164882 1047932072 /nfs/dbraw/zinc/93/20/72/1047932072.db2.gz NEHLTEJHTRYJPP-GDBMZVCRSA-N 0 3 301.821 4.071 20 0 DIADHN Nc1ccc(Nc2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001159836873 1047934336 /nfs/dbraw/zinc/93/43/36/1047934336.db2.gz JHCKRELTVYBGQS-UHFFFAOYSA-N 0 3 317.383 4.277 20 0 DIADHN Nc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(F)c1 ZINC001159837556 1047934533 /nfs/dbraw/zinc/93/45/33/1047934533.db2.gz QWVBAZCOOVRVHK-MSOLQXFVSA-N 0 3 323.415 4.141 20 0 DIADHN COCCN(Cc1cc(COC)cs1)[C@@H](C)c1ccccc1 ZINC000667519779 1047934381 /nfs/dbraw/zinc/93/43/81/1047934381.db2.gz CYXDQYSRTHODTE-HNNXBMFYSA-N 0 3 319.470 4.104 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc4[nH]ccc43)cc2)CC1 ZINC001174649023 1047935635 /nfs/dbraw/zinc/93/56/35/1047935635.db2.gz ROQTVPFWEFIVNI-UHFFFAOYSA-N 0 3 320.440 4.053 20 0 DIADHN CCCCOc1cc(CNC[C@H](C)C(F)(F)F)ccc1OC ZINC000479606484 1047938409 /nfs/dbraw/zinc/93/84/09/1047938409.db2.gz AQSKQBMYWGMXFJ-LBPRGKRZSA-N 0 3 319.367 4.162 20 0 DIADHN C[C@@H](CNCc1ccc(-c2ccncc2)cc1F)C(F)(F)F ZINC000479602082 1047938906 /nfs/dbraw/zinc/93/89/06/1047938906.db2.gz PFUHBXYWMXREAK-NSHDSACASA-N 0 3 312.310 4.176 20 0 DIADHN CCCCOc1cc(CNC[C@@H](C)C(F)(F)F)ccc1OC ZINC000479606482 1047938964 /nfs/dbraw/zinc/93/89/64/1047938964.db2.gz AQSKQBMYWGMXFJ-GFCCVEGCSA-N 0 3 319.367 4.162 20 0 DIADHN C[C@H](c1cccnc1)N1CCC[C@]2(C=Cc3ccccc3O2)C1 ZINC001198241498 1047939255 /nfs/dbraw/zinc/93/92/55/1047939255.db2.gz NOZVQMINBZVVLV-UZLBHIALSA-N 0 3 306.409 4.083 20 0 DIADHN CCCCOc1ccc(CNC[C@@H](C)C(F)(F)F)cc1OC ZINC000479609716 1047940422 /nfs/dbraw/zinc/94/04/22/1047940422.db2.gz HBFVBRUGBCQWLK-GFCCVEGCSA-N 0 3 319.367 4.162 20 0 DIADHN CCc1cc(CN(Cc2ccccc2)C2CCSCC2)on1 ZINC001203229670 1047940620 /nfs/dbraw/zinc/94/06/20/1047940620.db2.gz ZKIOPMPDKYOVEO-UHFFFAOYSA-N 0 3 316.470 4.135 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CNC[C@H](C)C(F)(F)F ZINC000479595108 1047941594 /nfs/dbraw/zinc/94/15/94/1047941594.db2.gz UDJBFVOGSHZMRN-LBPRGKRZSA-N 0 3 324.346 4.045 20 0 DIADHN Cc1oncc1CN1CCC[C@]1(C)Cc1ccc(Cl)s1 ZINC001198299520 1047943896 /nfs/dbraw/zinc/94/38/96/1047943896.db2.gz FURIRXXAGGASNV-OAHLLOKOSA-N 0 3 310.850 4.295 20 0 DIADHN CC[C@@H](Cc1cccs1)NCc1noc2c1CC(C)(C)CC2 ZINC001183643757 1047952050 /nfs/dbraw/zinc/95/20/50/1047952050.db2.gz WTWAKAONRMDDOC-ZDUSSCGKSA-N 0 3 318.486 4.362 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc(C(C)(C)O)cc2)c1 ZINC000924725531 1047952898 /nfs/dbraw/zinc/95/28/98/1047952898.db2.gz XJJTZRXXRZLQOU-HUUCEWRRSA-N 0 3 313.441 4.334 20 0 DIADHN c1cc2c(s1)CN(CCOc1cccc3ccccc31)CC2 ZINC001198397922 1047956778 /nfs/dbraw/zinc/95/67/78/1047956778.db2.gz UIPATECJNTWBBD-UHFFFAOYSA-N 0 3 309.434 4.338 20 0 DIADHN Fc1cc(OCCN2CCC[C@@H]2c2cccnc2)ccc1Cl ZINC000553890951 1047960463 /nfs/dbraw/zinc/96/04/63/1047960463.db2.gz SJPBMTPVBYHUCX-QGZVFWFLSA-N 0 3 320.795 4.090 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2noc3ccccc23)C12CCC2 ZINC001183767945 1047961248 /nfs/dbraw/zinc/96/12/48/1047961248.db2.gz FCIUKRXUAVVYQY-SJORKVTESA-N 0 3 314.429 4.044 20 0 DIADHN C[C@]1(CNCc2c(F)cc(F)cc2F)C[C@@H]1c1ccccc1 ZINC001183741247 1047963314 /nfs/dbraw/zinc/96/33/14/1047963314.db2.gz SKLTZPRSVCPEQL-CRAIPNDOSA-N 0 3 305.343 4.387 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000553908913 1047965022 /nfs/dbraw/zinc/96/50/22/1047965022.db2.gz BMZVHENHXBRAFB-PBHICJAKSA-N 0 3 324.896 4.322 20 0 DIADHN Clc1cccc(CN[C@@H]2CCOC23CCCC3)c1Cl ZINC001198506692 1047968572 /nfs/dbraw/zinc/96/85/72/1047968572.db2.gz DPNFIXXAOZMXCN-CYBMUJFWSA-N 0 3 300.229 4.185 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H]1CCCCC12CCCC2 ZINC001160022559 1047971270 /nfs/dbraw/zinc/97/12/70/1047971270.db2.gz KSUQDILCSQIIEX-KRWDZBQOSA-N 0 3 308.510 4.118 20 0 DIADHN COc1ncc(CN2CCCC[C@H]2CC2CCC2)cc1Cl ZINC001174780832 1047971875 /nfs/dbraw/zinc/97/18/75/1047971875.db2.gz RVDZJWXSWLZAOU-HNNXBMFYSA-N 0 3 308.853 4.288 20 0 DIADHN Fc1cc(Cl)ccc1CN1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC001198563188 1047972177 /nfs/dbraw/zinc/97/21/77/1047972177.db2.gz JCZCVSNQJORTAE-UKRRQHHQSA-N 0 3 311.828 4.118 20 0 DIADHN Fc1cc(Cl)ccc1CN1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC001198563187 1047972660 /nfs/dbraw/zinc/97/26/60/1047972660.db2.gz JCZCVSNQJORTAE-HIFRSBDPSA-N 0 3 311.828 4.118 20 0 DIADHN Clc1ccc2c(c1)CC[C@@H]2N1CC[C@H](Cc2nccs2)C1 ZINC001198572296 1047974535 /nfs/dbraw/zinc/97/45/35/1047974535.db2.gz CRPVDMRXRGLVOW-WBMJQRKESA-N 0 3 318.873 4.348 20 0 DIADHN Cc1cccc([C@H](O)CN2CC=C(c3cccc(C)c3C)CC2)c1 ZINC001198575454 1047975828 /nfs/dbraw/zinc/97/58/28/1047975828.db2.gz WUUVUMLPIXTHBB-JOCHJYFZSA-N 0 3 321.464 4.435 20 0 DIADHN Cc1cccc(Cl)c1CN1CC[C@H](Cc2nccs2)C1 ZINC001198575897 1047976942 /nfs/dbraw/zinc/97/69/42/1047976942.db2.gz ZDPWYICTIGDLCI-CYBMUJFWSA-N 0 3 306.862 4.170 20 0 DIADHN CCCc1ccc(CN2C[C@@H]3C[C@H]2CN3c2ccccc2)s1 ZINC001183908931 1047980616 /nfs/dbraw/zinc/98/06/16/1047980616.db2.gz GXKCBXDOVRRASJ-IRXDYDNUSA-N 0 3 312.482 4.164 20 0 DIADHN CC[C@@H](c1ccccc1)[C@@H]1CCCN1Cc1cn(C2CCC2)nn1 ZINC000667563600 1047985970 /nfs/dbraw/zinc/98/59/70/1047985970.db2.gz JDECHVRMJRTVPJ-PMACEKPBSA-N 0 3 324.472 4.161 20 0 DIADHN CCc1cnc(CNC2(Cc3cccc(F)c3)CCC2)s1 ZINC000479871216 1047988808 /nfs/dbraw/zinc/98/88/08/1047988808.db2.gz HXTWFINSYBLXLY-UHFFFAOYSA-N 0 3 304.434 4.100 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001203243812 1047990416 /nfs/dbraw/zinc/99/04/16/1047990416.db2.gz RVTKIZJZGOXIHH-SFTDATJTSA-N 0 3 309.453 4.412 20 0 DIADHN CC[C@@H]1CC[C@H]1NCc1csc(CCc2ccccc2)n1 ZINC000554047848 1047991697 /nfs/dbraw/zinc/99/16/97/1047991697.db2.gz JJKIUVJTPATQNE-NVXWUHKLSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1nn(C)c2sc([C@@H](C)NCc3cc(F)cc(F)c3)cc12 ZINC000554048727 1047991788 /nfs/dbraw/zinc/99/17/88/1047991788.db2.gz AVUCCHDCJAHPRA-SNVBAGLBSA-N 0 3 321.396 4.072 20 0 DIADHN COc1cc(CN(C)[C@@H]2CC[C@@](C)(c3ccccc3)C2)sn1 ZINC001183991585 1047992194 /nfs/dbraw/zinc/99/21/94/1047992194.db2.gz LUUSIIOQZWGWGT-CRAIPNDOSA-N 0 3 316.470 4.094 20 0 DIADHN CC1(C)CCN(Cc2nccn2C2CC2)C[C@H]1c1ccccc1 ZINC001184035225 1047993384 /nfs/dbraw/zinc/99/33/84/1047993384.db2.gz MILOAFDYRRUNLZ-SFHVURJKSA-N 0 3 309.457 4.234 20 0 DIADHN C[C@@H](NC[C@@H](CO)c1ccccc1Cl)c1ccccc1Cl ZINC000554067362 1047994824 /nfs/dbraw/zinc/99/48/24/1047994824.db2.gz KPTKOXQLNZETEK-OLZOCXBDSA-N 0 3 324.251 4.420 20 0 DIADHN CCN1CCN(c2ccc(Nc3cc(F)ccc3C)cc2)CC1 ZINC001174885072 1047995690 /nfs/dbraw/zinc/99/56/90/1047995690.db2.gz YUXKOHRXMPYOOG-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN CCOC(=O)[C@@H]1[C@H](C)C[C@@H](C)N(Cc2ccccc2Cl)[C@@H]1C ZINC001198667477 1047995524 /nfs/dbraw/zinc/99/55/24/1047995524.db2.gz MWKSZMCYJISDAU-VMUDFCTBSA-N 0 3 323.864 4.138 20 0 DIADHN Fc1ccc([C@@H]2CCCN(CCOC3CCCCC3)C2)cc1F ZINC001174846109 1047996276 /nfs/dbraw/zinc/99/62/76/1047996276.db2.gz STMOOROZVAXBNL-MRXNPFEDSA-N 0 3 323.427 4.494 20 0 DIADHN Fc1ccc([C@H]2CCCN(CCOC3CCCCC3)C2)cc1F ZINC001174846107 1047997304 /nfs/dbraw/zinc/99/73/04/1047997304.db2.gz STMOOROZVAXBNL-INIZCTEOSA-N 0 3 323.427 4.494 20 0 DIADHN CCCCOc1ccccc1CN1CCC(CSC)CC1 ZINC001184098364 1047997407 /nfs/dbraw/zinc/99/74/07/1047997407.db2.gz WHKQQQQXQUDJFD-UHFFFAOYSA-N 0 3 307.503 4.441 20 0 DIADHN Fc1ccc([C@@H]2CCN2C[C@@H]2CCN(c3ccccc3)C2)cc1 ZINC001184143114 1047998797 /nfs/dbraw/zinc/99/87/97/1047998797.db2.gz OHIBWJBCAYSWRG-UZLBHIALSA-N 0 3 310.416 4.099 20 0 DIADHN C[C@@H](C(=O)Nc1cccc(F)c1)N1CCC[C@H](C2CCCC2)C1 ZINC001198705852 1047999077 /nfs/dbraw/zinc/99/90/77/1047999077.db2.gz MUYCNWNHFITAJW-HOCLYGCPSA-N 0 3 318.436 4.055 20 0 DIADHN Cc1cc(CN2CCSCC2)ccc1N[C@@H]1CC[C@@H]1C1CC1 ZINC000554088798 1048006816 /nfs/dbraw/zinc/00/68/16/1048006816.db2.gz NSWBBHPXCWCBMG-IEBWSBKVSA-N 0 3 316.514 4.144 20 0 DIADHN CC(C)SCCN[C@H](C)c1ccc(F)cc1OC(F)F ZINC000554096477 1048007835 /nfs/dbraw/zinc/00/78/35/1048007835.db2.gz YVEAXJJWXWWKQP-SNVBAGLBSA-N 0 3 307.381 4.219 20 0 DIADHN CCc1cccc2cc(CN3CCC[C@H](n4ccnc4)C3)oc21 ZINC000667587376 1048019538 /nfs/dbraw/zinc/01/95/38/1048019538.db2.gz PNDKIDOUVFJJQX-KRWDZBQOSA-N 0 3 309.413 4.029 20 0 DIADHN CCN(CCNCc1sccc1Cl)c1cccc(C)c1 ZINC001199002493 1048026844 /nfs/dbraw/zinc/02/68/44/1048026844.db2.gz YRWLRSHRBFXGLJ-UHFFFAOYSA-N 0 3 308.878 4.326 20 0 DIADHN Cc1cccc(Nc2cccc(CN3CCCCC3)c2F)c1N ZINC001160302403 1048027294 /nfs/dbraw/zinc/02/72/94/1048027294.db2.gz ATFJJDVXVFSGOD-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN COc1cc(F)c(CN2CCC[C@H](C3CCCC3)C2)cc1OC ZINC001184339382 1048030296 /nfs/dbraw/zinc/03/02/96/1048030296.db2.gz QDXWUGDWGDIHGC-HNNXBMFYSA-N 0 3 321.436 4.245 20 0 DIADHN COc1ccc(CNCc2ccc(F)c3ccccc23)cc1F ZINC001199078306 1048033461 /nfs/dbraw/zinc/03/34/61/1048033461.db2.gz NSPBYWHXKMBRIN-UHFFFAOYSA-N 0 3 313.347 4.416 20 0 DIADHN Cc1ccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cc1N ZINC001160329445 1048033611 /nfs/dbraw/zinc/03/36/11/1048033611.db2.gz REXRPUOXQARMBN-UXHICEINSA-N 0 3 319.452 4.310 20 0 DIADHN C[C@@H](NCc1ccc2oc(=O)oc2c1)c1cccc2ccccc21 ZINC001199069817 1048033963 /nfs/dbraw/zinc/03/39/63/1048033963.db2.gz WMXMPSLJCQTPRR-CYBMUJFWSA-N 0 3 319.360 4.390 20 0 DIADHN O=c1[nH]c2cc(F)ccc2cc1CN[C@@H](c1ccccc1)C1CC1 ZINC001199100581 1048036805 /nfs/dbraw/zinc/03/68/05/1048036805.db2.gz KKIIFVFZWSSHAX-IBGZPJMESA-N 0 3 322.383 4.320 20 0 DIADHN Cc1ccc(Nc2cccc(CN3CCCCC3)c2F)c(N)c1 ZINC001160340442 1048037725 /nfs/dbraw/zinc/03/77/25/1048037725.db2.gz MVFSYIBAHJYBKT-UHFFFAOYSA-N 0 3 313.420 4.446 20 0 DIADHN C[C@@H](c1ccco1)N(C[C@@H](O)Cc1cccc(Cl)c1)C1CC1 ZINC000554245452 1048046773 /nfs/dbraw/zinc/04/67/73/1048046773.db2.gz BZECOJWHUORDFV-GUYCJALGSA-N 0 3 319.832 4.062 20 0 DIADHN CC(C)c1nn(-c2ccccc2)c(Cl)c1CNC[C@H]1C[C@@H]1C ZINC001199276912 1048053498 /nfs/dbraw/zinc/05/34/98/1048053498.db2.gz VVXJSDIIBGYYSC-UONOGXRCSA-N 0 3 317.864 4.395 20 0 DIADHN CC(C)P(CCNC(=O)c1cc(C(C)(C)C)ccn1)C(C)C ZINC001184569843 1048058888 /nfs/dbraw/zinc/05/88/88/1048058888.db2.gz VILBVCNJEGBNNG-UHFFFAOYSA-N 0 3 322.433 4.408 20 0 DIADHN CCc1ccccc1CNCc1cccc(C(=O)N[C@H](C)CC)c1 ZINC001199365525 1048061474 /nfs/dbraw/zinc/06/14/74/1048061474.db2.gz KLLCSYCGOWGWOP-MRXNPFEDSA-N 0 3 324.468 4.067 20 0 DIADHN Clc1ccc(CNCCOc2cccc3ccccc32)nc1 ZINC001199420099 1048062171 /nfs/dbraw/zinc/06/21/71/1048062171.db2.gz HSPNKSRWDNHCSH-UHFFFAOYSA-N 0 3 312.800 4.057 20 0 DIADHN C[C@@H](NC[C@H](C)O)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480152930 1048069405 /nfs/dbraw/zinc/06/94/05/1048069405.db2.gz ZMZYGCCSFSHUCA-NWDGAFQWSA-N 0 3 323.795 4.303 20 0 DIADHN C[C@@H](O)CN[C@@H](C)c1ccc(Oc2ccc(F)cc2)cc1Cl ZINC000480165555 1048073695 /nfs/dbraw/zinc/07/36/95/1048073695.db2.gz ZMZYGCCSFSHUCA-NEPJUHHUSA-N 0 3 323.795 4.303 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@H](C)c2ccc(Cl)cn2)C12CCCC2 ZINC000480185227 1048083915 /nfs/dbraw/zinc/08/39/15/1048083915.db2.gz VNEUEZFQLMEYBJ-DAXOMENPSA-N 0 3 308.853 4.123 20 0 DIADHN CCc1cccc2cc(CNC[C@@H](OC)c3ccccc3)oc21 ZINC001199658523 1048084815 /nfs/dbraw/zinc/08/48/15/1048084815.db2.gz PQNNQRXOZNJECN-LJQANCHMSA-N 0 3 309.409 4.473 20 0 DIADHN CCn1ccc(CNCC2(c3cccc(F)c3)CCCCC2)n1 ZINC001199678111 1048087815 /nfs/dbraw/zinc/08/78/15/1048087815.db2.gz JQPREPJHSZMREE-UHFFFAOYSA-N 0 3 315.436 4.034 20 0 DIADHN Fc1ccc(CNCc2ccc(CC(F)(F)F)cc2)cc1F ZINC001199751231 1048097653 /nfs/dbraw/zinc/09/76/53/1048097653.db2.gz MNKINBQCEZDLMO-UHFFFAOYSA-N 0 3 315.285 4.359 20 0 DIADHN CCCCC[C@@H](NCc1nc(-c2ccccn2)no1)C(C)(C)C ZINC000776023469 1048099239 /nfs/dbraw/zinc/09/92/39/1048099239.db2.gz MXDDTDZLHFFGMN-OAHLLOKOSA-N 0 3 316.449 4.216 20 0 DIADHN CCCCC[C@@H](NCc1nnc2n1CCCCC2)C(C)(C)C ZINC000776023750 1048100101 /nfs/dbraw/zinc/10/01/01/1048100101.db2.gz OSXLBUWYFNHCRP-OAHLLOKOSA-N 0 3 306.498 4.089 20 0 DIADHN CCCn1cncc1CN[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC001199784796 1048102345 /nfs/dbraw/zinc/10/23/45/1048102345.db2.gz XGZGHHMNHHKRPQ-LJQANCHMSA-N 0 3 315.436 4.453 20 0 DIADHN C[C@H](NC[C@H](c1ccsc1)N(C)C)c1ccccc1Cl ZINC000480249825 1048103752 /nfs/dbraw/zinc/10/37/52/1048103752.db2.gz QKQNYPRIQACYJC-BLLLJJGKSA-N 0 3 308.878 4.355 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2)C1)c1ccc(Cl)cn1 ZINC000480253608 1048105401 /nfs/dbraw/zinc/10/54/01/1048105401.db2.gz LVPKWLLDDSWFNW-GDBMZVCRSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccc(F)c2F)o1)[C@@H]1CCCCO1 ZINC000480290580 1048110254 /nfs/dbraw/zinc/11/02/54/1048110254.db2.gz HJUDAVVZLVWCRX-WBMJQRKESA-N 0 3 321.367 4.272 20 0 DIADHN Clc1ccc(OCCCNCc2ccns2)c(Cl)c1 ZINC001199884181 1048115255 /nfs/dbraw/zinc/11/52/55/1048115255.db2.gz OTSBCWJMWLILKH-UHFFFAOYSA-N 0 3 317.241 4.009 20 0 DIADHN CCN(Cc1nc2ccc(Br)cc2s1)C(C)C ZINC000774825508 1048124055 /nfs/dbraw/zinc/12/40/55/1048124055.db2.gz GFLBFBYXSUKNBO-UHFFFAOYSA-N 0 3 313.264 4.289 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2CCC23CCOCC3)c2ccccn2)cc1 ZINC000554558025 1048129139 /nfs/dbraw/zinc/12/91/39/1048129139.db2.gz UGXKGAMRPVXUKM-VQTJNVASSA-N 0 3 322.452 4.028 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccsc1Cl)c1ccco1 ZINC000480372260 1048134713 /nfs/dbraw/zinc/13/47/13/1048134713.db2.gz PVRQUDXWVAKVSD-ZDUSSCGKSA-N 0 3 312.866 4.167 20 0 DIADHN CCc1noc(C)c1CN1CCC(c2ccc(CC)cc2)CC1 ZINC001175088945 1048138163 /nfs/dbraw/zinc/13/81/63/1048138163.db2.gz WCRKTKSKFXLBSL-UHFFFAOYSA-N 0 3 312.457 4.487 20 0 DIADHN FC(F)(F)c1ccccc1CN(C[C@@H]1CCC=CO1)C1CC1 ZINC000774837497 1048140322 /nfs/dbraw/zinc/14/03/22/1048140322.db2.gz YMNSVQYUHPSMIX-HNNXBMFYSA-N 0 3 311.347 4.363 20 0 DIADHN CC(C)Cc1ncc(CNC[C@@H]2CCC3(CCCCC3)O2)s1 ZINC000480479126 1048150853 /nfs/dbraw/zinc/15/08/53/1048150853.db2.gz UMNNJVLCVJFNPU-HNNXBMFYSA-N 0 3 322.518 4.313 20 0 DIADHN CC(C)n1ccc(C[C@H](C)N[C@@H](C)c2ccc(F)cc2F)n1 ZINC000554699597 1048155531 /nfs/dbraw/zinc/15/55/31/1048155531.db2.gz XLUJLMVYJXTLJP-STQMWFEESA-N 0 3 307.388 4.024 20 0 DIADHN CCCc1ccccc1C(=O)Nc1ccc(CN(C)C)cc1F ZINC001161700029 1048157049 /nfs/dbraw/zinc/15/70/49/1048157049.db2.gz PCBRBVHHMQRKCO-UHFFFAOYSA-N 0 3 314.404 4.092 20 0 DIADHN CS[C@H]1CCC[C@@H](NCc2c(F)c(F)cc(F)c2F)C1 ZINC001200931786 1048159358 /nfs/dbraw/zinc/15/93/58/1048159358.db2.gz DMNRATCOLZTFOY-BDAKNGLRSA-N 0 3 307.356 4.007 20 0 DIADHN COc1ncccc1CN[C@@H]1CCCc2c3ccccc3sc21 ZINC000480521343 1048161796 /nfs/dbraw/zinc/16/17/96/1048161796.db2.gz DUMCPDLBDSDNCP-MRXNPFEDSA-N 0 3 324.449 4.472 20 0 DIADHN Fc1ccc(NC2Cc3ccccc3C2)cc1CN1CCCC1 ZINC001161760582 1048165726 /nfs/dbraw/zinc/16/57/26/1048165726.db2.gz YLWOOAVIQXPWCA-UHFFFAOYSA-N 0 3 310.416 4.001 20 0 DIADHN CCOC(=O)c1ccc(CN(Cc2ccccc2)C2CC2)cc1 ZINC001142599785 1048170005 /nfs/dbraw/zinc/17/00/05/1048170005.db2.gz TXZAVSPBMCRLAG-UHFFFAOYSA-N 0 3 309.409 4.028 20 0 DIADHN CC(C)OC1(CNCc2ccc(F)c(F)c2F)CCCCC1 ZINC001201017263 1048171849 /nfs/dbraw/zinc/17/18/49/1048171849.db2.gz ASIOYIOGBNPCBK-UHFFFAOYSA-N 0 3 315.379 4.321 20 0 DIADHN CSCc1cc(F)ccc1CNCc1cccn1C1CC1 ZINC001200999402 1048176757 /nfs/dbraw/zinc/17/67/57/1048176757.db2.gz VNZREWJPUJSSFV-UHFFFAOYSA-N 0 3 304.434 4.115 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2ccc(Oc3ccccc3)nn2)C1 ZINC000554746678 1048177091 /nfs/dbraw/zinc/17/70/91/1048177091.db2.gz BVTJUNHIJYXWIL-OAHLLOKOSA-N 0 3 311.429 4.137 20 0 DIADHN FCCC1CCN(Cc2ccc(Cl)c3cccnc23)CC1 ZINC001175184163 1048187135 /nfs/dbraw/zinc/18/71/35/1048187135.db2.gz NQOOKCBJXDDTHP-UHFFFAOYSA-N 0 3 306.812 4.460 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N(C)C[C@@H]1CCC2(CCCC2)O1 ZINC000554808974 1048193710 /nfs/dbraw/zinc/19/37/10/1048193710.db2.gz UMDSRXBVOZKDBH-HIFRSBDPSA-N 0 3 309.400 4.449 20 0 DIADHN CCOc1ccccc1[C@H](C)NC[C@H](O)c1cc(C)cc(C)c1 ZINC000774895810 1048200021 /nfs/dbraw/zinc/20/00/21/1048200021.db2.gz VYZFSWYKRADYJY-LPHOPBHVSA-N 0 3 313.441 4.086 20 0 DIADHN CCN(C)c1ccc(CN[C@@H](CC(C)C)c2cccs2)cn1 ZINC000480658462 1048209449 /nfs/dbraw/zinc/20/94/49/1048209449.db2.gz CZLBQTHOQQXMAG-INIZCTEOSA-N 0 3 317.502 4.476 20 0 DIADHN Oc1cccc(CN2CCC([C@@H](F)c3ccccc3F)CC2)c1 ZINC000554873326 1048214020 /nfs/dbraw/zinc/21/40/20/1048214020.db2.gz XGNBNLNGLSSOBG-LJQANCHMSA-N 0 3 317.379 4.454 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1Cc1cc2cc(F)ccc2o1 ZINC000554889455 1048217109 /nfs/dbraw/zinc/21/71/09/1048217109.db2.gz WKUSRGZSTYSXTN-MRXNPFEDSA-N 0 3 313.376 4.249 20 0 DIADHN C[C@H](NCCCNc1cccc(F)c1)c1ccc(Cl)cn1 ZINC000480703490 1048224094 /nfs/dbraw/zinc/22/40/94/1048224094.db2.gz ZISAWZYLBOQGSL-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000480696304 1048225552 /nfs/dbraw/zinc/22/55/52/1048225552.db2.gz WNNRXHGATHSKHX-OYHNWAKOSA-N 0 3 315.482 4.398 20 0 DIADHN CSCCCCCN[C@@H](c1ccc(F)cc1)c1cccnc1 ZINC000480733426 1048230409 /nfs/dbraw/zinc/23/04/09/1048230409.db2.gz SZZNYQVQBKXZEW-SFHVURJKSA-N 0 3 318.461 4.433 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@H]1N[C@H](C)c1nc2c(s1)CCCC2 ZINC000480739060 1048230806 /nfs/dbraw/zinc/23/08/06/1048230806.db2.gz ZBGYVPBIZXJJLA-FMKPAKJESA-N 0 3 322.518 4.266 20 0 DIADHN COc1ccc(CN(C)C[C@H]2[C@H](c3ccccc3)C2(F)F)cc1 ZINC001175284759 1048231818 /nfs/dbraw/zinc/23/18/18/1048231818.db2.gz SCICLIHXRNKHBQ-ROUUACIJSA-N 0 3 317.379 4.176 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccco1 ZINC000480742221 1048235817 /nfs/dbraw/zinc/23/58/17/1048235817.db2.gz CDAQWDBAEPXRDV-STQMWFEESA-N 0 3 324.346 4.228 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1ccnc(OC2CCCC2)c1 ZINC000480746942 1048236649 /nfs/dbraw/zinc/23/66/49/1048236649.db2.gz SPBGJZZZIXYBLV-QVKFZJNVSA-N 0 3 322.452 4.426 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000480779144 1048240437 /nfs/dbraw/zinc/24/04/37/1048240437.db2.gz PFHCAARWNDQDOI-RCCFBDPRSA-N 0 3 301.430 4.399 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)OCO2)c1nccc2ccccc21 ZINC000554988547 1048243984 /nfs/dbraw/zinc/24/39/84/1048243984.db2.gz NUWTZVVZRCUCMK-UONOGXRCSA-N 0 3 320.392 4.375 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3c(s2)CCCC3)cc1O ZINC000554987998 1048244587 /nfs/dbraw/zinc/24/45/87/1048244587.db2.gz HVQNDWPJCXHWFD-LBPRGKRZSA-N 0 3 317.454 4.192 20 0 DIADHN C[C@@H](N[C@@H](C)c1nccc2ccccc21)c1ccc2c(c1)OCO2 ZINC000554988546 1048244734 /nfs/dbraw/zinc/24/47/34/1048244734.db2.gz NUWTZVVZRCUCMK-KGLIPLIRSA-N 0 3 320.392 4.375 20 0 DIADHN CCc1c2ccccc2oc1[C@@H](C)NC[C@@H](O)c1ccc(C)o1 ZINC000776162018 1048247536 /nfs/dbraw/zinc/24/75/36/1048247536.db2.gz BAYJQQNIHZWROA-CZUORRHYSA-N 0 3 313.397 4.281 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNC[C@@]2(C)OCCc3sccc32)o1 ZINC000554982764 1048247587 /nfs/dbraw/zinc/24/75/87/1048247587.db2.gz ZRFYMPBFATYNJA-RVZJWNSFSA-N 0 3 317.454 4.042 20 0 DIADHN CC(=O)c1cc(Cl)c(F)cc1Nc1cccc(CN(C)C)c1 ZINC001201403211 1048252283 /nfs/dbraw/zinc/25/22/83/1048252283.db2.gz SJPKVAFJFGKLAK-UHFFFAOYSA-N 0 3 320.795 4.487 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](Nc2nc(Cl)cs2)C1 ZINC001162272321 1048254667 /nfs/dbraw/zinc/25/46/67/1048254667.db2.gz DKFKDTDBTFFCMD-YPMHNXCESA-N 0 3 307.850 4.044 20 0 DIADHN Cc1nc(CNC2CCC(C)(c3ccccc3)CC2)cs1 ZINC001201381083 1048256042 /nfs/dbraw/zinc/25/60/42/1048256042.db2.gz ZCGAJSWGJLBMPO-UHFFFAOYSA-N 0 3 300.471 4.442 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2cc3cc(Cl)ccc3o2)o1 ZINC000776162718 1048259116 /nfs/dbraw/zinc/25/91/16/1048259116.db2.gz JSEUWRIKJSIHMS-RISCZKNCSA-N 0 3 319.788 4.372 20 0 DIADHN CC(C)COc1ccc(-c2ccc(-n3cnc(CN)c3)cc2)cc1 ZINC001162304937 1048259278 /nfs/dbraw/zinc/25/92/78/1048259278.db2.gz ZRBUPUPWXFOPCT-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@@H]1CCCC(F)(F)C1 ZINC001201417548 1048261533 /nfs/dbraw/zinc/26/15/33/1048261533.db2.gz YVCYEJSRXKLFSY-CYBMUJFWSA-N 0 3 303.780 4.406 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2ccccc2OC(C)C)cc1 ZINC000774973953 1048263282 /nfs/dbraw/zinc/26/32/82/1048263282.db2.gz YYIIQXHTZCPCLN-QFBILLFUSA-N 0 3 313.441 4.166 20 0 DIADHN C[C@H](NCCO[C@H]1CCCC[C@H]1C)c1ccc2ccccc2n1 ZINC000480880766 1048265102 /nfs/dbraw/zinc/26/51/02/1048265102.db2.gz JHEYSDPVJXTLAW-GUXCAODWSA-N 0 3 312.457 4.481 20 0 DIADHN CO[C@H](c1ccccc1F)[C@H](C)N[C@H](C)c1ccnc(Cl)c1 ZINC000776171198 1048267470 /nfs/dbraw/zinc/26/74/70/1048267470.db2.gz ZAKNJZVEULKXSI-PEBVRCNWSA-N 0 3 322.811 4.301 20 0 DIADHN CC(C)P(CCNC(F)(F)c1ccc(Cl)nn1)C(C)C ZINC001162358392 1048268523 /nfs/dbraw/zinc/26/85/23/1048268523.db2.gz OSGWACJGZKHRSL-UHFFFAOYSA-N 0 3 323.755 4.068 20 0 DIADHN CC(C)P(CCNc1nccc(OC(C)(C)C)n1)C(C)C ZINC001162361893 1048269079 /nfs/dbraw/zinc/26/90/79/1048269079.db2.gz JSBASLJZYJAVFG-UHFFFAOYSA-N 0 3 311.410 4.365 20 0 DIADHN CCN(CC)c1ccc(CN[C@@H](C)c2ccc(C)cc2C)cn1 ZINC000480899725 1048269227 /nfs/dbraw/zinc/26/92/27/1048269227.db2.gz LXSYWCMYZPTPEN-KRWDZBQOSA-N 0 3 311.473 4.395 20 0 DIADHN CC(C)P(CCNc1nccnc1OC1CCC1)C(C)C ZINC001162363600 1048269738 /nfs/dbraw/zinc/26/97/38/1048269738.db2.gz USVQBGZLAMBIHM-UHFFFAOYSA-N 0 3 309.394 4.119 20 0 DIADHN Cc1cncc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201469815 1048270798 /nfs/dbraw/zinc/27/07/98/1048270798.db2.gz BROXQLQBTJGWSG-UXHICEINSA-N 0 3 305.425 4.123 20 0 DIADHN CC(C)(C)CN1CC(c2ncn3cc(-c4ccoc4)ccc23)C1 ZINC001201435915 1048273595 /nfs/dbraw/zinc/27/35/95/1048273595.db2.gz PGFJYYYTKZESFZ-UHFFFAOYSA-N 0 3 309.413 4.040 20 0 DIADHN CCOCc1ncn2c1CN(C[C@@H](C)CCC=C(C)C)CCC2 ZINC001201486867 1048279468 /nfs/dbraw/zinc/27/94/68/1048279468.db2.gz NOEAHGNTYPRCKL-KRWDZBQOSA-N 0 3 319.493 4.008 20 0 DIADHN CC1(C)CC[C@@H](NCc2ncc(Br)cc2Cl)C1 ZINC001201492827 1048285445 /nfs/dbraw/zinc/28/54/45/1048285445.db2.gz TUWODBOMICDPGX-SNVBAGLBSA-N 0 3 317.658 4.166 20 0 DIADHN Oc1cc(F)ccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201474359 1048294647 /nfs/dbraw/zinc/29/46/47/1048294647.db2.gz DQJNBTKTKQEORE-MSOLQXFVSA-N 0 3 324.399 4.264 20 0 DIADHN Cc1cccc(O)c1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477562 1048295645 /nfs/dbraw/zinc/29/56/45/1048295645.db2.gz IHRKASAXZMBRLM-MOPGFXCFSA-N 0 3 320.436 4.433 20 0 DIADHN Cc1cc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)ccc1O ZINC001201475695 1048297879 /nfs/dbraw/zinc/29/78/79/1048297879.db2.gz XZTLDGIRXMNGAG-UXHICEINSA-N 0 3 320.436 4.433 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CO[C@@H](C3CC3)C2)c2ccccc2)cc1 ZINC000667812699 1048305118 /nfs/dbraw/zinc/30/51/18/1048305118.db2.gz UKZZCFYQNRMMAA-VAMGGRTRSA-N 0 3 311.400 4.072 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@@H]3CCCCC3(C)C)CCO2)cc1 ZINC001175437516 1048306960 /nfs/dbraw/zinc/30/69/60/1048306960.db2.gz YVYKKYKSYAUYEE-HKUYNNGSSA-N 0 3 317.473 4.285 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1nc(-c2ccsc2)no1 ZINC000481117145 1048309088 /nfs/dbraw/zinc/30/90/88/1048309088.db2.gz QSPBCYFAODFAKV-AAEUAGOBSA-N 0 3 305.447 4.103 20 0 DIADHN CC(C)c1cc(OCc2ccccc2)ccc1-n1nccc1CN ZINC001162597005 1048309290 /nfs/dbraw/zinc/30/92/90/1048309290.db2.gz IXDBAMVDZUQOHN-UHFFFAOYSA-N 0 3 321.424 4.033 20 0 DIADHN CCN1CCC(C(=O)c2ccc(OCc3ccccc3)cc2)CC1 ZINC001201573526 1048322791 /nfs/dbraw/zinc/32/27/91/1048322791.db2.gz VQBTUUUGZGWVJQ-UHFFFAOYSA-N 0 3 323.436 4.180 20 0 DIADHN Cc1noc(C)c1[C@H](C)CNCc1cc(Cl)ccc1Cl ZINC001201587603 1048323395 /nfs/dbraw/zinc/32/33/95/1048323395.db2.gz QQYPJCMYMHMZFR-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN CC1(C)CC2(CO1)CCC(NCc1nc(C3CC3)cs1)CC2 ZINC001201586936 1048323875 /nfs/dbraw/zinc/32/38/75/1048323875.db2.gz JBIZORMPMJJLNB-UHFFFAOYSA-N 0 3 320.502 4.238 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NC[C@H]2CCC(F)(F)C2)n1 ZINC001162647231 1048325937 /nfs/dbraw/zinc/32/59/37/1048325937.db2.gz NKUSDJIHWIHTDW-KBPBESRZSA-N 0 3 309.404 4.308 20 0 DIADHN C[C@H]1CCCCN1c1cccc(NC[C@@H]2CCC(F)(F)C2)n1 ZINC001162647235 1048326070 /nfs/dbraw/zinc/32/60/70/1048326070.db2.gz NKUSDJIHWIHTDW-UONOGXRCSA-N 0 3 309.404 4.308 20 0 DIADHN CSc1ccc(CN[C@@H]2CCN(c3ccc(Cl)cc3)C2)o1 ZINC001201634878 1048326969 /nfs/dbraw/zinc/32/69/69/1048326969.db2.gz VRCZLNFUIKTBOJ-CYBMUJFWSA-N 0 3 322.861 4.023 20 0 DIADHN CC(C)n1c(CNCCSC(C)(C)C)nc2ccccc21 ZINC001201595760 1048327778 /nfs/dbraw/zinc/32/77/78/1048327778.db2.gz SXRSQLXNWMIWED-UHFFFAOYSA-N 0 3 305.491 4.239 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@H]1CCCCS1 ZINC001201627148 1048339335 /nfs/dbraw/zinc/33/93/35/1048339335.db2.gz SMYIQSAPQUJGIC-LBPRGKRZSA-N 0 3 320.285 4.377 20 0 DIADHN c1nc2ccc(NC3=CCN(Cc4ccccc4)CC3)cc2o1 ZINC001175505394 1048339587 /nfs/dbraw/zinc/33/95/87/1048339587.db2.gz OPRKVKFNXOJZBC-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN Fc1ccc(F)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506198 1048341000 /nfs/dbraw/zinc/34/10/00/1048341000.db2.gz YHGMIVBENDPMIB-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN COc1c(F)cccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175506175 1048341045 /nfs/dbraw/zinc/34/10/45/1048341045.db2.gz XKAIHHIIPIYNHL-UHFFFAOYSA-N 0 3 312.388 4.036 20 0 DIADHN Cc1nc2c(cccc2NC2=CCN(Cc3ccccc3)CC2)o1 ZINC001175505507 1048342027 /nfs/dbraw/zinc/34/20/27/1048342027.db2.gz FUNASEYKHRNQLP-UHFFFAOYSA-N 0 3 319.408 4.338 20 0 DIADHN CSc1cc(NC2=CCN(Cc3ccccc3)CC2)ccn1 ZINC001175505817 1048342268 /nfs/dbraw/zinc/34/22/68/1048342268.db2.gz SOFBYBQAYOKFRW-UHFFFAOYSA-N 0 3 311.454 4.005 20 0 DIADHN c1nc(C2CC2)sc1N=C1CCN(Cc2ccccc2)CC1 ZINC001175506142 1048343244 /nfs/dbraw/zinc/34/32/44/1048343244.db2.gz WASNOYAYQGYWDA-UHFFFAOYSA-N 0 3 311.454 4.222 20 0 DIADHN Fc1cc(F)cc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175505764 1048343452 /nfs/dbraw/zinc/34/34/52/1048343452.db2.gz RGTKKCZFYCIAEX-UHFFFAOYSA-N 0 3 300.352 4.167 20 0 DIADHN FC(F)c1ccc(NC2=CCN(Cc3ccccc3)CC2)nc1 ZINC001175506162 1048343725 /nfs/dbraw/zinc/34/37/25/1048343725.db2.gz WURSWSKCSLRSPW-UHFFFAOYSA-N 0 3 315.367 4.221 20 0 DIADHN CC(C)(NCc1cncc2ccccc21)c1ccnc(Cl)c1 ZINC001162752508 1048347721 /nfs/dbraw/zinc/34/77/21/1048347721.db2.gz MGHSDAPCIWOEDF-UHFFFAOYSA-N 0 3 311.816 4.308 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ncc(Cl)c2ccccc21 ZINC001162759345 1048349800 /nfs/dbraw/zinc/34/98/00/1048349800.db2.gz CVRPVKACZITLHB-LLVKDONJSA-N 0 3 322.799 4.247 20 0 DIADHN CCc1ccc(CN[C@@H]2CCN(c3ccc(F)c(Cl)c3)C2)o1 ZINC001201705282 1048351004 /nfs/dbraw/zinc/35/10/04/1048351004.db2.gz XKVSKVFPXHKIDX-GFCCVEGCSA-N 0 3 322.811 4.003 20 0 DIADHN CC(C)c1cc(-n2c3ccccc3nc2[C@@H](C)N)nc(C(C)C)n1 ZINC001162762761 1048351075 /nfs/dbraw/zinc/35/10/75/1048351075.db2.gz JWXLPRRQGQOVLE-CYBMUJFWSA-N 0 3 323.444 4.082 20 0 DIADHN Cc1ccc2n[nH]cc2c1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001175526982 1048355112 /nfs/dbraw/zinc/35/51/12/1048355112.db2.gz FGJIYPHSACHVHU-QGZVFWFLSA-N 0 3 322.412 4.000 20 0 DIADHN C[C@@H](N[C@H]1C=CCCCCC1)c1ccc(Br)cn1 ZINC000555462481 1048355018 /nfs/dbraw/zinc/35/50/18/1048355018.db2.gz KZPWAJIXDBEMNU-OCCSQVGLSA-N 0 3 309.251 4.384 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1cc(F)c(F)c(C(F)F)c1 ZINC001162778905 1048356351 /nfs/dbraw/zinc/35/63/51/1048356351.db2.gz QLXRHQPTFISTLN-MRVPVSSYSA-N 0 3 323.293 4.261 20 0 DIADHN CSc1ccc(F)c(C)c1-n1c2ccccc2nc1[C@H](C)N ZINC001162777458 1048356819 /nfs/dbraw/zinc/35/68/19/1048356819.db2.gz CFPCGRYXULWPII-NSHDSACASA-N 0 3 315.417 4.215 20 0 DIADHN COc1ccc(-n2c3ccccc3nc2[C@@H](C)N)c2ccccc12 ZINC001162776777 1048356963 /nfs/dbraw/zinc/35/69/63/1048356963.db2.gz CILAVAIJSUXTSA-CYBMUJFWSA-N 0 3 317.392 4.207 20 0 DIADHN CCN(CC)c1ccc(C)c(-n2c3ccccc3nc2[C@@H](C)N)c1 ZINC001162779131 1048357111 /nfs/dbraw/zinc/35/71/11/1048357111.db2.gz UYLKYVQEDBSPOJ-OAHLLOKOSA-N 0 3 322.456 4.200 20 0 DIADHN CCCOc1ccc(C)c(-n2c3ccccc3nc2[C@H](C)N)c1 ZINC001162777375 1048357629 /nfs/dbraw/zinc/35/76/29/1048357629.db2.gz AIILEBFDVSRIAR-AWEZNQCLSA-N 0 3 309.413 4.142 20 0 DIADHN Cc1cc(Br)cc(CN(C)[C@@H](C)c2ccncc2)c1 ZINC000775060269 1048357884 /nfs/dbraw/zinc/35/78/84/1048357884.db2.gz IIBBZQKBRYUQKQ-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1ccncc1-c1ccccc1 ZINC001162777161 1048357877 /nfs/dbraw/zinc/35/78/77/1048357877.db2.gz MMDSWUPCZVOSFR-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN CC(=O)c1ccc(Oc2ccc(NC3=CCCN(C)C3)cc2)cc1 ZINC001175582466 1048359036 /nfs/dbraw/zinc/35/90/36/1048359036.db2.gz DDBIKGAYVMLIQK-UHFFFAOYSA-N 0 3 322.408 4.313 20 0 DIADHN Cc1ccc2c(c1)[C@@H](Nc1ncccc1CN1CCCC1)CC2 ZINC001162825302 1048366011 /nfs/dbraw/zinc/36/60/11/1048366011.db2.gz QHDCARBEAQYSFY-IBGZPJMESA-N 0 3 307.441 4.085 20 0 DIADHN Cc1cncc([C@H]2CCCN2Cc2cccc(OC(F)F)c2)c1 ZINC001175552347 1048371648 /nfs/dbraw/zinc/37/16/48/1048371648.db2.gz SZCREIVTTHLVLF-QGZVFWFLSA-N 0 3 318.367 4.329 20 0 DIADHN CC(C)(C)CCN1CCC[C@@H]1c1ccc(Br)cn1 ZINC000555512173 1048373648 /nfs/dbraw/zinc/37/36/48/1048373648.db2.gz VEPHZNHAICBVJA-CQSZACIVSA-N 0 3 311.267 4.417 20 0 DIADHN CN1CCC=C(N(c2ccc(Cl)cc2)c2ccccc2N)C1 ZINC001175577982 1048375219 /nfs/dbraw/zinc/37/52/19/1048375219.db2.gz BBEUWRIIKOKIRI-UHFFFAOYSA-N 0 3 313.832 4.280 20 0 DIADHN CN1CCC=C(Nc2ccc(C(C)(C)c3ccc(N)cc3)cc2)C1 ZINC001175579037 1048375353 /nfs/dbraw/zinc/37/53/53/1048375353.db2.gz MROKVUPMLUBEFU-UHFFFAOYSA-N 0 3 321.468 4.226 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000481349931 1048378208 /nfs/dbraw/zinc/37/82/08/1048378208.db2.gz PEHYKDCRJSSZGP-GWCFXTLKSA-N 0 3 312.335 4.467 20 0 DIADHN C[C@H](N[C@H]1CO[C@@H](C2CC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000667872773 1048383505 /nfs/dbraw/zinc/38/35/05/1048383505.db2.gz KCBZBFQZOFADCK-NCAQKEMTSA-N 0 3 305.805 4.304 20 0 DIADHN C[C@H](NCc1cccc2ncccc12)c1cc2cnccc2o1 ZINC001175637886 1048385948 /nfs/dbraw/zinc/38/59/48/1048385948.db2.gz DVNKRMXSIUOHPK-ZDUSSCGKSA-N 0 3 303.365 4.227 20 0 DIADHN C[C@@H]1CCN(Cc2ccccc2)C[C@@H]1Nc1ncc(Cl)s1 ZINC001187326059 1048388300 /nfs/dbraw/zinc/38/83/00/1048388300.db2.gz LBVGFLDLJKJLND-OCCSQVGLSA-N 0 3 321.877 4.119 20 0 DIADHN CSc1ccc(CN[C@H]2CCCc3cnn(C(C)C)c32)cc1 ZINC001201888143 1048389106 /nfs/dbraw/zinc/38/91/06/1048389106.db2.gz GBZWMYILNOZJIH-KRWDZBQOSA-N 0 3 315.486 4.353 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](OC(C)C)C2(C)C)c(Cl)c1 ZINC001201888870 1048389747 /nfs/dbraw/zinc/38/97/47/1048389747.db2.gz KGIHEMLGABQCIJ-HOTGVXAUSA-N 0 3 311.853 4.030 20 0 DIADHN COC[C@@H](N[C@H]1CCC(F)(F)C1)c1cccc(C(F)(F)F)c1 ZINC000679072471 1048390288 /nfs/dbraw/zinc/39/02/88/1048390288.db2.gz WOJMAJIASZKMIU-QWHCGFSZSA-N 0 3 323.305 4.170 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000481405713 1048394444 /nfs/dbraw/zinc/39/44/44/1048394444.db2.gz XNWIDQXYVLVPCJ-NHYWBVRUSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1cnc(CN[C@@H]2CCC(C)(C)C[C@H]2c2ccccc2)nc1 ZINC001175610620 1048394571 /nfs/dbraw/zinc/39/45/71/1048394571.db2.gz HFESNTUYABDZKY-ZWKOTPCHSA-N 0 3 309.457 4.237 20 0 DIADHN Cc1cnc(CN[C@H]2CCC(C)(C)C[C@H]2c2ccccc2)nc1 ZINC001175610619 1048394730 /nfs/dbraw/zinc/39/47/30/1048394730.db2.gz HFESNTUYABDZKY-ROUUACIJSA-N 0 3 309.457 4.237 20 0 DIADHN Clc1cccc(Cl)c1CNCCC[C@@H]1CCCCO1 ZINC001201910141 1048399158 /nfs/dbraw/zinc/39/91/58/1048399158.db2.gz FCKMRKXEMRKBPY-LBPRGKRZSA-N 0 3 302.245 4.432 20 0 DIADHN CCc1nocc1CNC1CC(F)(c2cccc(Cl)c2)C1 ZINC000555585176 1048400041 /nfs/dbraw/zinc/40/00/41/1048400041.db2.gz AASQICVMBRZXTC-UHFFFAOYSA-N 0 3 308.784 4.007 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc(OC(F)F)c(F)c1 ZINC000555619968 1048409443 /nfs/dbraw/zinc/40/94/43/1048409443.db2.gz STIBPXZYEAFIPS-UHFFFAOYSA-N 0 3 309.331 4.334 20 0 DIADHN Cc1nnc(CNC[C@H](C)Cc2ccc(C(C)(C)C)cc2)s1 ZINC001201954226 1048410181 /nfs/dbraw/zinc/41/01/81/1048410181.db2.gz IZBXRNLDAXLMAV-CYBMUJFWSA-N 0 3 317.502 4.112 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1cc(C)c(F)c(F)c1 ZINC001143609622 1048423556 /nfs/dbraw/zinc/42/35/56/1048423556.db2.gz FZQMICRZOJTBPV-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN Cc1ccc(-c2noc(CN3CC(C)(C)[C@H]4CCC[C@@H]43)n2)cc1 ZINC000555712654 1048425210 /nfs/dbraw/zinc/42/52/10/1048425210.db2.gz QIJJSASXPWHBLF-HOTGVXAUSA-N 0 3 311.429 4.056 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cc(C)c(F)c(F)c1 ZINC001143609879 1048425419 /nfs/dbraw/zinc/42/54/19/1048425419.db2.gz MQRVIUZAYANUBL-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN Cc1ccccc1OCCCN1CCc2cc(F)c(F)cc2C1 ZINC001175742298 1048431903 /nfs/dbraw/zinc/43/19/03/1048431903.db2.gz XCAZPSASQPIINT-UHFFFAOYSA-N 0 3 317.379 4.100 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cc(C)c(F)c(F)c3)C2)c1 ZINC001143610999 1048438519 /nfs/dbraw/zinc/43/85/19/1048438519.db2.gz MEKHFIXINHDRGU-INIZCTEOSA-N 0 3 317.379 4.271 20 0 DIADHN COc1cc(F)c(C(C)C)cc1N[C@H]1CNCc2ccsc21 ZINC001163229995 1048440580 /nfs/dbraw/zinc/44/05/80/1048440580.db2.gz SQFYYHOGXRQPSN-HNNXBMFYSA-N 0 3 320.433 4.276 20 0 DIADHN CCCOc1ccc(C)c(N[C@H]2CNCc3ccsc32)c1 ZINC001163229928 1048440651 /nfs/dbraw/zinc/44/06/51/1048440651.db2.gz MEYPJIRLTVXZLH-INIZCTEOSA-N 0 3 302.443 4.102 20 0 DIADHN COc1cc2ccccc2cc1N[C@@H]1CNCc2ccsc21 ZINC001163230832 1048440716 /nfs/dbraw/zinc/44/07/16/1048440716.db2.gz ROTMHABPEAVLEF-MRXNPFEDSA-N 0 3 310.422 4.166 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1N[C@@H]1CNCc2ccsc21 ZINC001163230007 1048440809 /nfs/dbraw/zinc/44/08/09/1048440809.db2.gz SVTHIKVZEUEWJI-CYBMUJFWSA-N 0 3 312.360 4.332 20 0 DIADHN COc1cc(F)c(C(C)C)cc1N[C@@H]1CNCc2ccsc21 ZINC001163229997 1048440857 /nfs/dbraw/zinc/44/08/57/1048440857.db2.gz SQFYYHOGXRQPSN-OAHLLOKOSA-N 0 3 320.433 4.276 20 0 DIADHN Cc1cc(N[C@@H]2CNCc3ccsc32)ccc1C(F)(F)F ZINC001163228625 1048440910 /nfs/dbraw/zinc/44/09/10/1048440910.db2.gz MGZIJGYOOBGNDZ-CYBMUJFWSA-N 0 3 312.360 4.332 20 0 DIADHN COCc1cccc(CNC2(c3ccc(Cl)cc3)CC2)c1 ZINC000557539869 1048445636 /nfs/dbraw/zinc/44/56/36/1048445636.db2.gz SYINPXILNVVGRA-UHFFFAOYSA-N 0 3 301.817 4.265 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1CC1CCCC1 ZINC001202120263 1048455055 /nfs/dbraw/zinc/45/50/55/1048455055.db2.gz PMVKGURDGKKASA-SFHVURJKSA-N 0 3 314.473 4.287 20 0 DIADHN CCOc1ccccc1CN1CCC[C@]2(C1)OCc1ccccc12 ZINC000481685768 1048460997 /nfs/dbraw/zinc/46/09/97/1048460997.db2.gz JWEPPEWBHLLFQM-OAQYLSRUSA-N 0 3 323.436 4.107 20 0 DIADHN Cc1cccc([C@H](NC2C[C@H](C)O[C@@H](C)C2)c2ccccn2)c1 ZINC000776252798 1048465638 /nfs/dbraw/zinc/46/56/38/1048465638.db2.gz FWVOUBWTWINRLO-FTRWYGJKSA-N 0 3 310.441 4.025 20 0 DIADHN CC(C)(C)CC[C@@H](CO)NCc1c(Cl)ccc2cccnc21 ZINC001202157837 1048466712 /nfs/dbraw/zinc/46/67/12/1048466712.db2.gz FRDHWYGAALQZDY-AWEZNQCLSA-N 0 3 320.864 4.165 20 0 DIADHN CC(C)C[C@H]1OCCC[C@H]1NCc1cc(-c2ccccc2)no1 ZINC000481730629 1048470149 /nfs/dbraw/zinc/47/01/49/1048470149.db2.gz TWLWYPALJAQOLO-IEBWSBKVSA-N 0 3 314.429 4.025 20 0 DIADHN C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)N1CCC[C@@H]1c1cccs1 ZINC000192875744 1048484081 /nfs/dbraw/zinc/48/40/81/1048484081.db2.gz GKQXLDXQBCHAAF-KLHDSHLOSA-N 0 3 320.502 4.063 20 0 DIADHN Cc1cccc(OCCC[NH2+]Cc2cc([O-])cc(Cl)c2)c1C ZINC001202251582 1048490171 /nfs/dbraw/zinc/49/01/71/1048490171.db2.gz SKADXAANPLHVMO-UHFFFAOYSA-N 0 3 319.832 4.221 20 0 DIADHN CCC1(CC)CCCN1CC(=O)Nc1sc(C)c(C)c1C ZINC001175898670 1048493625 /nfs/dbraw/zinc/49/36/25/1048493625.db2.gz UZCMNCJDZADSEF-UHFFFAOYSA-N 0 3 308.491 4.266 20 0 DIADHN CCn1cnc(Cl)c1CN[C@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC001202259900 1048494510 /nfs/dbraw/zinc/49/45/10/1048494510.db2.gz ZRLILPPSPJQNIS-ZFWWWQNUSA-N 0 3 321.827 4.121 20 0 DIADHN COCc1c(C)cc(C)c(CNCc2cscc2C)c1C ZINC001202234661 1048499145 /nfs/dbraw/zinc/49/91/45/1048499145.db2.gz VTRCZTIHIWYNJM-UHFFFAOYSA-N 0 3 303.471 4.418 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC001175924019 1048502555 /nfs/dbraw/zinc/50/25/55/1048502555.db2.gz BTXNYVQCFVQCQE-IBGZPJMESA-N 0 3 322.383 4.027 20 0 DIADHN Cc1csc([C@@H]2CCN([C@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001175934407 1048503936 /nfs/dbraw/zinc/50/39/36/1048503936.db2.gz BCRMZDLNNUCGBR-DGCLKSJQSA-N 0 3 308.397 4.280 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)C[C@H](C)C(C)(C)C ZINC001175982469 1048515092 /nfs/dbraw/zinc/51/50/92/1048515092.db2.gz GNDCCEVKGUKIJI-ZDUSSCGKSA-N 0 3 310.869 4.201 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CN[C@H]1CC[C@H]1C ZINC001202314783 1048521302 /nfs/dbraw/zinc/52/13/02/1048521302.db2.gz KKFQQYDDIORFIJ-BMIGLBTASA-N 0 3 324.255 4.376 20 0 DIADHN FC(F)(F)CCN1CCC2(CC1)OCc1cc(Cl)ccc12 ZINC001202352506 1048527886 /nfs/dbraw/zinc/52/78/86/1048527886.db2.gz IMGQYAPEYCAHFF-UHFFFAOYSA-N 0 3 319.754 4.114 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000481944133 1048530106 /nfs/dbraw/zinc/53/01/06/1048530106.db2.gz GVFZYJZEESTKFY-SUMWQHHRSA-N 0 3 322.399 4.292 20 0 DIADHN CC(C)c1ccc(CNc2ccc(N3CCN(C)CC3)cc2)cc1 ZINC001163822863 1048531927 /nfs/dbraw/zinc/53/19/27/1048531927.db2.gz RLZWGDPYONLXTM-UHFFFAOYSA-N 0 3 323.484 4.174 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cc(F)cc(F)c1)c1ccco1 ZINC000481958345 1048535825 /nfs/dbraw/zinc/53/58/25/1048535825.db2.gz IYUHLCOJASGSOW-GUYCJALGSA-N 0 3 322.399 4.292 20 0 DIADHN N=C(Nc1cccc2ccc(=O)oc21)C12CC3CC(CC(C3)C1)C2 ZINC001163847791 1048536416 /nfs/dbraw/zinc/53/64/16/1048536416.db2.gz FRZOXTTZWDPLQA-UHFFFAOYSA-N 0 3 322.408 4.399 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000481947688 1048536853 /nfs/dbraw/zinc/53/68/53/1048536853.db2.gz NKCGNQDWZGXSEK-SUMWQHHRSA-N 0 3 322.399 4.292 20 0 DIADHN Cc1cc(NC(=N)C23CC4CC(CC(C4)C2)C3)nc2cc[nH]c21 ZINC001163849021 1048537550 /nfs/dbraw/zinc/53/75/50/1048537550.db2.gz WJQQFKFNNDFQTI-UHFFFAOYSA-N 0 3 308.429 4.477 20 0 DIADHN Cc1cc(Br)c(Nc2ncc[nH]2)cc1C(F)(F)F ZINC001176091348 1048549618 /nfs/dbraw/zinc/54/96/18/1048549618.db2.gz YIONIRRRNFAWEW-UHFFFAOYSA-N 0 3 320.112 4.243 20 0 DIADHN Cc1ccc(O[C@H]2CCCN(CCCC(F)(F)F)C2)cc1 ZINC001202415608 1048543603 /nfs/dbraw/zinc/54/36/03/1048543603.db2.gz MOLDPXNCVOSJTE-HNNXBMFYSA-N 0 3 301.352 4.181 20 0 DIADHN Fc1cncc(Br)c1CNCC1CCCCCC1 ZINC001202416815 1048544011 /nfs/dbraw/zinc/54/40/11/1048544011.db2.gz IEMFWPQPCXTOMQ-UHFFFAOYSA-N 0 3 315.230 4.043 20 0 DIADHN FC1(F)C[C@]2(CCN(CCc3ccccc3Cl)C2)C1(F)F ZINC001176088134 1048549107 /nfs/dbraw/zinc/54/91/07/1048549107.db2.gz TUIDPPNZQRGHQG-ZDUSSCGKSA-N 0 3 321.745 4.249 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(Cc2cc3ccncc3s2)C1 ZINC000667985743 1048549384 /nfs/dbraw/zinc/54/93/84/1048549384.db2.gz GQISBKFPPPFAQG-SUMWQHHRSA-N 0 3 309.438 4.274 20 0 DIADHN COc1ccc(NC(=O)NCCP(C(C)C)C(C)C)cc1 ZINC001202401998 1048550293 /nfs/dbraw/zinc/55/02/93/1048550293.db2.gz ZTMDXOSJZVYLML-UHFFFAOYSA-N 0 3 310.378 4.115 20 0 DIADHN COc1ccc(CN2Cc3ccccc3OC3(CCC3)C2)cc1C ZINC001176051127 1048554775 /nfs/dbraw/zinc/55/47/75/1048554775.db2.gz BVIUTZGFDKEODE-UHFFFAOYSA-N 0 3 323.436 4.321 20 0 DIADHN Fc1cccc2c1CC[C@]21CCCN(CCCC(F)(F)F)C1 ZINC001202444718 1048555329 /nfs/dbraw/zinc/55/53/29/1048555329.db2.gz MRVWDPHUWLLPKD-INIZCTEOSA-N 0 3 315.354 4.448 20 0 DIADHN Cc1ccc2cc(CN3C[C@@H](C)C[C@@H]3c3cccnc3)[nH]c2c1 ZINC000667986234 1048556658 /nfs/dbraw/zinc/55/66/58/1048556658.db2.gz VEPJUMNNVYQDBP-MGPUTAFESA-N 0 3 305.425 4.454 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc(OCC3CC3)nc2)c1C ZINC000482117125 1048558543 /nfs/dbraw/zinc/55/85/43/1048558543.db2.gz BKIWOGKLLGWZCM-MRXNPFEDSA-N 0 3 310.441 4.338 20 0 DIADHN CC[C@H](NCc1ccc(OCC2CC2)nc1)c1ccc(C)cc1 ZINC000482113333 1048560326 /nfs/dbraw/zinc/56/03/26/1048560326.db2.gz IWZWKLSJGNYSKW-IBGZPJMESA-N 0 3 310.441 4.420 20 0 DIADHN C[C@@H](NCCCOc1ccccc1F)c1cccc(F)c1F ZINC000776305305 1048562467 /nfs/dbraw/zinc/56/24/67/1048562467.db2.gz GFZPAPYBNLXNLQ-GFCCVEGCSA-N 0 3 309.331 4.224 20 0 DIADHN Cc1cncc(CN2CCC(c3ccc4ccccc4c3)CC2)n1 ZINC001203169749 1048566185 /nfs/dbraw/zinc/56/61/85/1048566185.db2.gz BHCHYGOOVZMDQS-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN Cc1ccc(CN2CCCCC2)cc1NCC(=O)C(C)(C)C ZINC001164008920 1048569750 /nfs/dbraw/zinc/56/97/50/1048569750.db2.gz YTSQKXUOPTYNIP-UHFFFAOYSA-N 0 3 302.462 4.008 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc3c(c2)OCCCO3)cc1C ZINC000482235779 1048574003 /nfs/dbraw/zinc/57/40/03/1048574003.db2.gz FHLHAZWHHSFXGP-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN COc1ccc(O[C@H](C)CNc2ccc3cnc(C)cc3c2)cc1 ZINC001164052907 1048574146 /nfs/dbraw/zinc/57/41/46/1048574146.db2.gz IOXREOXREIFTIE-OAHLLOKOSA-N 0 3 322.408 4.431 20 0 DIADHN CCCC[C@@H](O)CNCc1cc(Cl)cc(Cl)c1Cl ZINC001252118756 1048576107 /nfs/dbraw/zinc/57/61/07/1048576107.db2.gz KPNFPHPYZMHKPJ-LLVKDONJSA-N 0 3 310.652 4.288 20 0 DIADHN COCc1csc(CN2CC[C@@H](c3c(F)cccc3F)C2)c1 ZINC000825536823 1048579366 /nfs/dbraw/zinc/57/93/66/1048579366.db2.gz OBZIHNJCASMSKI-CYBMUJFWSA-N 0 3 323.408 4.162 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)C[C@@H]1CCCO1 ZINC000482280761 1048582659 /nfs/dbraw/zinc/58/26/59/1048582659.db2.gz ZPQFNKAYQPNMGZ-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN C[C@@H](Nc1ccc(CN(C)C)cn1)c1ccc2ccccc2c1 ZINC001164145407 1048590498 /nfs/dbraw/zinc/59/04/98/1048590498.db2.gz NJRGOYGQSWBMDC-OAHLLOKOSA-N 0 3 305.425 4.470 20 0 DIADHN CC[C@@](C)(NCc1cccc2c1OCCCO2)c1ccccc1 ZINC000107717444 1048591888 /nfs/dbraw/zinc/59/18/88/1048591888.db2.gz UMSBEWYIGBHFJS-HXUWFJFHSA-N 0 3 311.425 4.263 20 0 DIADHN CCN(CC)Cc1cccc(NCc2ccc(NC)cc2)c1F ZINC001164156284 1048594872 /nfs/dbraw/zinc/59/48/72/1048594872.db2.gz YFRIVCTVIUZVTB-UHFFFAOYSA-N 0 3 315.436 4.321 20 0 DIADHN Fc1cccc(CN2CCC(c3nc4ccccc4o3)CC2)c1 ZINC000058829406 1048595184 /nfs/dbraw/zinc/59/51/84/1048595184.db2.gz REWFIYVYEZECLM-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2sc(C)nc2C)cc1 ZINC000158437442 1048597219 /nfs/dbraw/zinc/59/72/19/1048597219.db2.gz QUPAGZZTAVFFRC-MRXNPFEDSA-N 0 3 302.443 4.106 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ncccn2)C1 ZINC001176218235 1048608022 /nfs/dbraw/zinc/60/80/22/1048608022.db2.gz OCFBFJONODASPR-DOTOQJQBSA-N 0 3 315.848 4.461 20 0 DIADHN Cc1cccc(CCN(C)Cc2ccc(C(F)(F)F)nc2)c1 ZINC001205441181 1048614650 /nfs/dbraw/zinc/61/46/50/1048614650.db2.gz LMJPODXFBQOBPM-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN [O-]c1ccc(Nc2cccc(C[NH+]3CCCC3)c2)cc1Cl ZINC001176199003 1048616052 /nfs/dbraw/zinc/61/60/52/1048616052.db2.gz QHLHHRSGUSHCNQ-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN Cc1cc(F)cc(C)c1C(=O)NCCP(C(C)C)C(C)C ZINC001189304925 1048616793 /nfs/dbraw/zinc/61/67/93/1048616793.db2.gz SMVGWHQLWPZKFN-UHFFFAOYSA-N 0 3 311.381 4.471 20 0 DIADHN CCOC(C)(C)CNCc1ccc(Cl)cc1Br ZINC000159153054 1048623463 /nfs/dbraw/zinc/62/34/63/1048623463.db2.gz SZOJGBPBPFBYBG-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CCC(c2ncccn2)CC1 ZINC001176296054 1048623503 /nfs/dbraw/zinc/62/35/03/1048623503.db2.gz RTKMDHZMIHICEH-CYBMUJFWSA-N 0 3 301.821 4.071 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCC[C@H](Cc3nccs3)C2)c1 ZINC001176272957 1048626830 /nfs/dbraw/zinc/62/68/30/1048626830.db2.gz LDKRTWYASBFNLV-COXVUDFISA-N 0 3 316.445 4.093 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1CO[C@H](C2CC2)C1 ZINC000668071682 1048631483 /nfs/dbraw/zinc/63/14/83/1048631483.db2.gz QTUKFJJVYCJDCW-KBMXLJTQSA-N 0 3 313.363 4.146 20 0 DIADHN C1=C[C@H](NCc2cc3ccccc3nc2N2CCCCC2)CC1 ZINC000850358150 1048637965 /nfs/dbraw/zinc/63/79/65/1048637965.db2.gz IFROVWOMYDAJEN-SFHVURJKSA-N 0 3 307.441 4.033 20 0 DIADHN C1=C[C@@H](NCc2cc3ccccc3nc2N2CCCCC2)CC1 ZINC000850358149 1048638176 /nfs/dbraw/zinc/63/81/76/1048638176.db2.gz IFROVWOMYDAJEN-GOSISDBHSA-N 0 3 307.441 4.033 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN[C@@H]1C=CCC1 ZINC000850358694 1048639129 /nfs/dbraw/zinc/63/91/29/1048639129.db2.gz UMSMPRSGOCPDLC-MRXNPFEDSA-N 0 3 315.848 4.010 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccccc1)c1cc(F)ccc1OC ZINC001176304798 1048641587 /nfs/dbraw/zinc/64/15/87/1048641587.db2.gz PIIXADDWQSPJPU-IEBWSBKVSA-N 0 3 317.404 4.263 20 0 DIADHN CC(C)(C)c1ccc(CN[C@H]2C[C@H](O)c3ccccc32)s1 ZINC000850372308 1048642933 /nfs/dbraw/zinc/64/29/33/1048642933.db2.gz SLQJQWVYCPHOTJ-HOTGVXAUSA-N 0 3 301.455 4.314 20 0 DIADHN CC1(C)CCc2cc(CN[C@@H]3CCc4ccc(O)cc43)ccc2O1 ZINC000850386480 1048646549 /nfs/dbraw/zinc/64/65/49/1048646549.db2.gz JPEUQMVPTYDLCF-LJQANCHMSA-N 0 3 323.436 4.273 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H]1CCC[C@@H](C)C1 ZINC000482614047 1048646892 /nfs/dbraw/zinc/64/68/92/1048646892.db2.gz VVOYJEZZUXZUIU-ZBFHGGJFSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)CCC1CCCCC1 ZINC000482621245 1048647006 /nfs/dbraw/zinc/64/70/06/1048647006.db2.gz GJFHBZOIPIDLOH-UHFFFAOYSA-N 0 3 302.462 4.356 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850426726 1048651591 /nfs/dbraw/zinc/65/15/91/1048651591.db2.gz YQWJYMBLJGFCMV-HUUCEWRRSA-N 0 3 311.425 4.432 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)c1ccc2c(c1)CCCO2 ZINC000850421459 1048652205 /nfs/dbraw/zinc/65/22/05/1048652205.db2.gz VRHIHXUFNOIDIH-GJZGRUSLSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@H](O)[C@@H](NCc1ccc(SC(F)F)cc1)c1ccccc1 ZINC000850420944 1048652475 /nfs/dbraw/zinc/65/24/75/1048652475.db2.gz USDZRORTOWVXFV-BLLLJJGKSA-N 0 3 323.408 4.213 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc2c(c1)CCCO2 ZINC000850429355 1048653444 /nfs/dbraw/zinc/65/34/44/1048653444.db2.gz BRVRRVLHSGZHPR-ORAYPTAESA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](NCc1nccc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000850430225 1048653525 /nfs/dbraw/zinc/65/35/25/1048653525.db2.gz WNQSKYDKDNNZGL-HNNXBMFYSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccc2c(c1)CCCO2 ZINC000850430715 1048653910 /nfs/dbraw/zinc/65/39/10/1048653910.db2.gz OUIYXMPRZYZOHW-BFUOFWGJSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2c(c1)CCO2)c1cc2cnccc2o1 ZINC000850430774 1048653957 /nfs/dbraw/zinc/65/39/57/1048653957.db2.gz PRMKLEFSYHMCKV-STQMWFEESA-N 0 3 308.381 4.175 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc3c(c2)CCCO3)ccc1C ZINC000850428018 1048654377 /nfs/dbraw/zinc/65/43/77/1048654377.db2.gz AVQQSDCAPJFKCQ-OAHLLOKOSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cc(CN2CCC([C@@H]3CCCCO3)CC2)ccc1Cl ZINC001206691812 1048655406 /nfs/dbraw/zinc/65/54/06/1048655406.db2.gz PWPNLSRDYASADR-SFHVURJKSA-N 0 3 307.865 4.430 20 0 DIADHN Cc1cc(CN2CCC([C@H]3CCCCO3)CC2)ccc1Cl ZINC001206691811 1048655566 /nfs/dbraw/zinc/65/55/66/1048655566.db2.gz PWPNLSRDYASADR-GOSISDBHSA-N 0 3 307.865 4.430 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCCO2 ZINC000850443942 1048658673 /nfs/dbraw/zinc/65/86/73/1048658673.db2.gz ULVLQCMUOBZGGW-ORAYPTAESA-N 0 3 311.400 4.489 20 0 DIADHN c1cc(N2CC[C@@H](C3CCCCC3)C2)ncc1CN1CCCC1 ZINC001165145507 1048661463 /nfs/dbraw/zinc/66/14/63/1048661463.db2.gz ZVZNLTPTPGBQRI-LJQANCHMSA-N 0 3 313.489 4.084 20 0 DIADHN C[C@@H](NC1(c2cccc(F)c2)CC1)c1ccc2c(c1)CCCO2 ZINC000850452887 1048662570 /nfs/dbraw/zinc/66/25/70/1048662570.db2.gz QOOIZTNAXUEJJX-CQSZACIVSA-N 0 3 311.400 4.491 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)c1ncccc1CN1CCCC1 ZINC001165159973 1048662709 /nfs/dbraw/zinc/66/27/09/1048662709.db2.gz PWDDCCKYGTXGKZ-IBGZPJMESA-N 0 3 309.457 4.265 20 0 DIADHN CC(N[C@H]1COC2(CCC2)C1)(c1ccccc1)c1ccccc1 ZINC000850455160 1048663466 /nfs/dbraw/zinc/66/34/66/1048663466.db2.gz XGCOCTWLGCLTIZ-LJQANCHMSA-N 0 3 307.437 4.251 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Cl)nc1)c1ccc2c(c1)CCCO2 ZINC000850464708 1048665098 /nfs/dbraw/zinc/66/50/98/1048665098.db2.gz VSUCIQYVJHZBOE-OLZOCXBDSA-N 0 3 316.832 4.472 20 0 DIADHN COC1(CNCc2ccc(CSC(F)F)o2)CCCCC1 ZINC000775321128 1048665390 /nfs/dbraw/zinc/66/53/90/1048665390.db2.gz OMBPBEUBOWSRGJ-UHFFFAOYSA-N 0 3 319.417 4.174 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)CCCO2)c1ccc(Cl)nc1 ZINC000850464707 1048665551 /nfs/dbraw/zinc/66/55/51/1048665551.db2.gz VSUCIQYVJHZBOE-CHWSQXEVSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1Br ZINC000160326197 1048668643 /nfs/dbraw/zinc/66/86/43/1048668643.db2.gz BDNBOVOSSOSENH-RYUDHWBXSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1csc(C[C@H]2CCN([C@@H](C)c3ccccc3F)C2)n1 ZINC001176431663 1048669656 /nfs/dbraw/zinc/66/96/56/1048669656.db2.gz IEJMQUUYLPICSE-UONOGXRCSA-N 0 3 304.434 4.216 20 0 DIADHN Cc1csc(C[C@H]2CCN(Cc3ccc(Cl)cc3C)C2)n1 ZINC001176431383 1048669848 /nfs/dbraw/zinc/66/98/48/1048669848.db2.gz CKSPPUJKWGDZMS-CQSZACIVSA-N 0 3 320.889 4.478 20 0 DIADHN FC(F)(F)CC1CCN(Cc2cc3ccncc3s2)CC1 ZINC000668114954 1048671199 /nfs/dbraw/zinc/67/11/99/1048671199.db2.gz KOUJIEJPKWRIJD-UHFFFAOYSA-N 0 3 314.376 4.461 20 0 DIADHN c1ccc2c(c1)cc(N1CCCC1)nc2N1CCC12CCCC2 ZINC001165279676 1048675529 /nfs/dbraw/zinc/67/55/29/1048675529.db2.gz BCSVCRWATKTTJG-UHFFFAOYSA-N 0 3 307.441 4.358 20 0 DIADHN Cc1cc(C)cc(CN[C@H](C)c2ccc(Br)nc2)c1 ZINC000850522087 1048677176 /nfs/dbraw/zinc/67/71/76/1048677176.db2.gz BISDUCFGQRAEMD-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CC[C@@H](N[C@H]1COC2(CCC2)C1)c1cc(Cl)ccc1OC ZINC000850526552 1048679402 /nfs/dbraw/zinc/67/94/02/1048679402.db2.gz VZMPFKNWXRVQBS-UKRRQHHQSA-N 0 3 309.837 4.101 20 0 DIADHN Fc1ccc(CN2CCC[C@H](C3CCOCC3)C2)c(Cl)c1 ZINC001176412702 1048679888 /nfs/dbraw/zinc/67/98/88/1048679888.db2.gz QMXZWTDLCINJPC-AWEZNQCLSA-N 0 3 311.828 4.118 20 0 DIADHN O[C@@H]1C[C@H](N[C@H]2CCCc3ccc(Cl)cc32)c2ccccc21 ZINC000850527875 1048680758 /nfs/dbraw/zinc/68/07/58/1048680758.db2.gz IBYNJWMRFVEBRL-GBESFXJTSA-N 0 3 313.828 4.485 20 0 DIADHN CC(C)Oc1ccc([C@H](C)N[C@H]2C[C@H](O)c3ccccc32)cc1 ZINC000850528502 1048681440 /nfs/dbraw/zinc/68/14/40/1048681440.db2.gz IKLBYGQDFQBZFI-GKCIPKSASA-N 0 3 311.425 4.303 20 0 DIADHN COc1cc(Cl)ccc1CN(CCc1nccs1)C(C)C ZINC000482808046 1048682125 /nfs/dbraw/zinc/68/21/25/1048682125.db2.gz BURASVRQRMUQFS-UHFFFAOYSA-N 0 3 324.877 4.258 20 0 DIADHN C[C@H](C(=O)Nc1ccccc1-c1ccccc1)N1CC[C@@H](C)[C@@H]1C ZINC000482862178 1048690638 /nfs/dbraw/zinc/69/06/38/1048690638.db2.gz CVIPZNSWBYWDNU-IXDOHACOSA-N 0 3 322.452 4.411 20 0 DIADHN Cc1cc(NCC2CN(Cc3ccccc3)C2)cc2cccnc12 ZINC001165468033 1048690734 /nfs/dbraw/zinc/69/07/34/1048690734.db2.gz AFWPIMJDEAFNML-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCC2SCCS2)c1 ZINC000850561502 1048692687 /nfs/dbraw/zinc/69/26/87/1048692687.db2.gz MXGYBHJJBIHMKO-SNVBAGLBSA-N 0 3 319.442 4.053 20 0 DIADHN CC[C@H](NCC1SCCS1)c1ccccc1OC(F)F ZINC000850561385 1048692762 /nfs/dbraw/zinc/69/27/62/1048692762.db2.gz RNZCXDLLPXCDNX-NSHDSACASA-N 0 3 319.442 4.135 20 0 DIADHN CC(C)[C@@H](NCC1SCCS1)c1cc(F)ccc1F ZINC000850561733 1048693159 /nfs/dbraw/zinc/69/31/59/1048693159.db2.gz QWLGQYNPLZYQGJ-CQSZACIVSA-N 0 3 303.443 4.058 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cn(C(C)(C)C)nc2C)c1C ZINC000850577402 1048699987 /nfs/dbraw/zinc/69/99/87/1048699987.db2.gz CPYUMISYNXAQHG-AWEZNQCLSA-N 0 3 315.461 4.114 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H](c2ccccc2)[C@H](C)O)cc1C ZINC000850583209 1048701610 /nfs/dbraw/zinc/70/16/10/1048701610.db2.gz JLLDITXEJXTJPO-TWOQFEAHSA-N 0 3 313.441 4.085 20 0 DIADHN Cc1ccc2cc(CN3CCC(c4ccncc4)CC3)[nH]c2c1 ZINC000668156408 1048705286 /nfs/dbraw/zinc/70/52/86/1048705286.db2.gz PLBLKZCACHDTJR-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CCC[C@@H](O)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000482948812 1048706055 /nfs/dbraw/zinc/70/60/55/1048706055.db2.gz SJTTWTHFGYMCSP-PSASIEDQSA-N 0 3 308.274 4.183 20 0 DIADHN CC[C@H](C(=O)Nc1ccc2ccn(C(F)F)c2c1)N(CC)CC ZINC001165667908 1048707340 /nfs/dbraw/zinc/70/73/40/1048707340.db2.gz TVIGYYOGFQAOIJ-CQSZACIVSA-N 0 3 323.387 4.095 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1CCCc1ccccc1 ZINC001176534157 1048709985 /nfs/dbraw/zinc/70/99/85/1048709985.db2.gz WSQCENHLGJTDLY-OPYAIIAOSA-N 0 3 309.453 4.470 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cnc2ccccc2c1 ZINC000776496605 1048718797 /nfs/dbraw/zinc/71/87/97/1048718797.db2.gz AWPFDESVHUSGSE-VLIAUNLRSA-N 0 3 324.399 4.240 20 0 DIADHN CC[C@H]1CO[C@@H](C)CN1CCCc1ccc(C(F)(F)F)cc1 ZINC001190340201 1048721180 /nfs/dbraw/zinc/72/11/80/1048721180.db2.gz PLRKDTYBMMHNNL-BBRMVZONSA-N 0 3 315.379 4.137 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N(CC)CCc1ccccc1 ZINC001190356997 1048723220 /nfs/dbraw/zinc/72/32/20/1048723220.db2.gz ZQUMCKMYFFCRTQ-HXUWFJFHSA-N 0 3 324.468 4.277 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(C)cc1)N(CC)CCc1ccccc1 ZINC001190356996 1048723687 /nfs/dbraw/zinc/72/36/87/1048723687.db2.gz ZQUMCKMYFFCRTQ-FQEVSTJZSA-N 0 3 324.468 4.277 20 0 DIADHN COc1ncc(CN(C)[C@@H](C)c2cccs2)c2ccccc21 ZINC001190367272 1048728815 /nfs/dbraw/zinc/72/88/15/1048728815.db2.gz XQLQOPGDDSSAFD-ZDUSSCGKSA-N 0 3 312.438 4.498 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@H]1CC[C@@H](C2CC2)O1 ZINC001190407141 1048731117 /nfs/dbraw/zinc/73/11/17/1048731117.db2.gz LMVRABYQCQGYSM-JLSDUUJJSA-N 0 3 305.849 4.435 20 0 DIADHN CC[C@](C)(NCC(=O)Nc1c(C)cccc1C)c1ccccc1 ZINC000775386946 1048735104 /nfs/dbraw/zinc/73/51/04/1048735104.db2.gz HUXIOOMGRGDOHD-FQEVSTJZSA-N 0 3 310.441 4.157 20 0 DIADHN CCN(CC1CCC1)[C@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000483119846 1048738776 /nfs/dbraw/zinc/73/87/76/1048738776.db2.gz MXAQLVIAUKGTNT-CQSZACIVSA-N 0 3 322.880 4.406 20 0 DIADHN CN(Cc1nc2ccccc2n1C(F)F)[C@@H]1CCC(C)(C)C1 ZINC000483118477 1048739254 /nfs/dbraw/zinc/73/92/54/1048739254.db2.gz QOIRZTWVXHMNCE-GFCCVEGCSA-N 0 3 307.388 4.442 20 0 DIADHN COc1ccc(CCCN(C)Cc2ccccc2Cl)cc1 ZINC001190474033 1048744158 /nfs/dbraw/zinc/74/41/58/1048744158.db2.gz CDUCNYWLVRWOJY-UHFFFAOYSA-N 0 3 303.833 4.413 20 0 DIADHN C[C@@H](NC[C@H](c1c(F)cccc1F)N(C)C)c1ccccc1F ZINC000483133634 1048745824 /nfs/dbraw/zinc/74/58/24/1048745824.db2.gz ISYUAEFDIWEFCN-SJKOYZFVSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)N(C)c1ccc(CN2CCC[C@H]2c2ccccc2)cn1 ZINC000483153949 1048747281 /nfs/dbraw/zinc/74/72/81/1048747281.db2.gz JSJYXYNNVYOURY-IBGZPJMESA-N 0 3 309.457 4.263 20 0 DIADHN CN(C)c1c(CN2CCC[C@@H](C3CCC3)C2)ccc(F)c1F ZINC001206714602 1048747354 /nfs/dbraw/zinc/74/73/54/1048747354.db2.gz IULSWSGLLPGQDB-CQSZACIVSA-N 0 3 308.416 4.043 20 0 DIADHN CCN(C)c1ccc(CN(Cc2ccc(F)cc2)C(C)C)cn1 ZINC000483173482 1048751557 /nfs/dbraw/zinc/75/15/57/1048751557.db2.gz HDXZITNBTISOAQ-UHFFFAOYSA-N 0 3 315.436 4.087 20 0 DIADHN CC[C@@](C)(NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000775394708 1048752317 /nfs/dbraw/zinc/75/23/17/1048752317.db2.gz MGCZOLLDCOJEMQ-LAUBAEHRSA-N 0 3 324.468 4.121 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nc2cc(C(F)(F)F)ccc2o1 ZINC000483217113 1048758837 /nfs/dbraw/zinc/75/88/37/1048758837.db2.gz MNZYDZYPBNTJFB-CMPLNLGQSA-N 0 3 312.335 4.467 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2nc(-c3ccsc3)no2)C1 ZINC000483244521 1048761205 /nfs/dbraw/zinc/76/12/05/1048761205.db2.gz ZZRULGBCLFUFCQ-CYBMUJFWSA-N 0 3 305.447 4.056 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2CC2CCCCC2)cc1 ZINC001190644062 1048773102 /nfs/dbraw/zinc/77/31/02/1048773102.db2.gz SQSIUOVTKLESPD-IBGZPJMESA-N 0 3 314.473 4.368 20 0 DIADHN CCN(C)c1ccc(CN(C2CC2)[C@@H]2CCc3ccccc32)cn1 ZINC000483296905 1048775813 /nfs/dbraw/zinc/77/58/13/1048775813.db2.gz NVJRGDMPGNAGML-HXUWFJFHSA-N 0 3 321.468 4.190 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(CC1CC1)C1CCC1 ZINC000483303546 1048776133 /nfs/dbraw/zinc/77/61/33/1048776133.db2.gz NIQUVGCUHBTAIK-UHFFFAOYSA-N 0 3 314.473 4.014 20 0 DIADHN C[C@H](NC1CCC(C)(C)CC1)c1nc(-c2cccc(F)c2)no1 ZINC000483336994 1048777289 /nfs/dbraw/zinc/77/72/89/1048777289.db2.gz IKAXSGVTLMLNFR-LBPRGKRZSA-N 0 3 317.408 4.495 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2ccc(OCC3CC3)cc2)C1 ZINC000483308370 1048777478 /nfs/dbraw/zinc/77/74/78/1048777478.db2.gz JLMOCKNITJKHMM-KKSFZXQISA-N 0 3 322.452 4.454 20 0 DIADHN C[C@H](N[C@@H]1CCCC(C)(C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483334904 1048778781 /nfs/dbraw/zinc/77/87/81/1048778781.db2.gz BOICMPDMMUOSOU-SWLSCSKDSA-N 0 3 317.408 4.495 20 0 DIADHN C[C@@H](NC1C[C@H](C)C[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000483340353 1048783164 /nfs/dbraw/zinc/78/31/64/1048783164.db2.gz RNVSEYNROFRSDW-JHJVBQTASA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)c1ccc([C@@H](C)N2C[C@@H](C)O[C@@]3(CCCOC3)C2)cc1 ZINC000483369662 1048785076 /nfs/dbraw/zinc/78/50/76/1048785076.db2.gz DUCITUAPGLCVBJ-HLIPFELVSA-N 0 3 317.473 4.141 20 0 DIADHN COCCN(Cc1cnc(C(C)(C)C)s1)[C@H]1CC[C@@H](C)C1 ZINC000483406790 1048788865 /nfs/dbraw/zinc/78/88/65/1048788865.db2.gz MJPVIXKYAKVMCM-KGLIPLIRSA-N 0 3 310.507 4.078 20 0 DIADHN C[C@@H](N[C@@H]1CCOC12CCCC2)c1ccccc1Br ZINC001176823603 1048792198 /nfs/dbraw/zinc/79/21/98/1048792198.db2.gz KCPZCUCNVFTLMK-IUODEOHRSA-N 0 3 324.262 4.201 20 0 DIADHN CC(C)(C)c1ccccc1NC(=O)C[C@H]1NCc2ccccc21 ZINC000851628718 1048792597 /nfs/dbraw/zinc/79/25/97/1048792597.db2.gz JLTKXWUJYVCOFI-GOSISDBHSA-N 0 3 308.425 4.157 20 0 DIADHN COc1cccc(CN2CC[C@@H](C(F)(F)F)C(C)(C)C2)c1 ZINC000483428385 1048795113 /nfs/dbraw/zinc/79/51/13/1048795113.db2.gz NIOOHBKQGUGDSH-CQSZACIVSA-N 0 3 301.352 4.106 20 0 DIADHN C[C@H](c1cccs1)N(Cc1ccccc1)C[C@@H]1CCC(=O)O1 ZINC000851739664 1048798088 /nfs/dbraw/zinc/79/80/88/1048798088.db2.gz NZTLIYGMSGMVFH-ZBFHGGJFSA-N 0 3 315.438 4.017 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)C(=O)CN1CCCC2(CCCCC2)C1 ZINC001190874016 1048798854 /nfs/dbraw/zinc/79/88/54/1048798854.db2.gz ZLFONURLURRZJG-ZWKOTPCHSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cccc(C2=CCN(CCOCCC(C)C)CC2)c1C ZINC001176855750 1048800304 /nfs/dbraw/zinc/80/03/04/1048800304.db2.gz HQYMLNGJTHNIRH-UHFFFAOYSA-N 0 3 301.474 4.455 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCCC[C@H]1[C@@H]1CCCO1 ZINC001176926890 1048802114 /nfs/dbraw/zinc/80/21/14/1048802114.db2.gz LGRHASBCZPDXEB-HOTGVXAUSA-N 0 3 313.363 4.239 20 0 DIADHN CO[C@H]1CC[C@@H]1N(C)Cc1ccc(Oc2ccccc2)c(F)c1 ZINC001176916615 1048811120 /nfs/dbraw/zinc/81/11/20/1048811120.db2.gz ZBBXOFIWCKLBAO-HKUYNNGSSA-N 0 3 315.388 4.227 20 0 DIADHN Oc1ccc2c(c1)CCN(C[C@@H]1CCC3(CCCCCC3)O1)C2 ZINC001177015429 1048812940 /nfs/dbraw/zinc/81/29/40/1048812940.db2.gz IKZANQSVKPPUTR-IBGZPJMESA-N 0 3 315.457 4.022 20 0 DIADHN Oc1ccc2c(c1)CCN(C[C@H]1CCC3(CCCCCC3)O1)C2 ZINC001177015430 1048813477 /nfs/dbraw/zinc/81/34/77/1048813477.db2.gz IKZANQSVKPPUTR-LJQANCHMSA-N 0 3 315.457 4.022 20 0 DIADHN Cc1ccc(CN2C[C@H](c3ccccc3)C[C@@H]2C)nc1Cl ZINC001191005326 1048817011 /nfs/dbraw/zinc/81/70/11/1048817011.db2.gz SDGCFEOBVNJDJL-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(F)c(CNCCSCc2ccccc2F)c1 ZINC001177061554 1048820064 /nfs/dbraw/zinc/82/00/64/1048820064.db2.gz POAZOWMVMYLDEO-UHFFFAOYSA-N 0 3 307.409 4.296 20 0 DIADHN c1nn(-c2ccccc2)cc1CNC1(c2ccccc2)CCC1 ZINC000065275647 1048821567 /nfs/dbraw/zinc/82/15/67/1048821567.db2.gz QPTQXHHXBRQCNH-UHFFFAOYSA-N 0 3 303.409 4.041 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc3c(c2)C=CCCC3)c1 ZINC001166973913 1048821871 /nfs/dbraw/zinc/82/18/71/1048821871.db2.gz IMNUBEQNYRJMOK-UHFFFAOYSA-N 0 3 320.436 4.350 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1ccn(-c2c(F)cccc2F)n1 ZINC001191022172 1048823114 /nfs/dbraw/zinc/82/31/14/1048823114.db2.gz PZTFMNLFQFNLPN-KRWDZBQOSA-N 0 3 319.399 4.161 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](C)Oc2ccccc2F)c(F)c1 ZINC000483605473 1048824640 /nfs/dbraw/zinc/82/46/40/1048824640.db2.gz KTCNFIMYGCPLGO-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1cc2c(cc1OC)CN(CC/C=C/c1ccccc1)CC2 ZINC001166990533 1048825374 /nfs/dbraw/zinc/82/53/74/1048825374.db2.gz NLSQPQYZWMPZTA-UXBLZVDNSA-N 0 3 323.436 4.165 20 0 DIADHN O[C@H](CN1CC2(CCCCC2)[C@H]1c1ccccc1)c1ccccn1 ZINC000852160466 1048827049 /nfs/dbraw/zinc/82/70/49/1048827049.db2.gz CYKDKTRMQMKLPH-WOJBJXKFSA-N 0 3 322.452 4.122 20 0 DIADHN Fc1ccc(SCCN2CCC[C@]3(CC3(F)F)C2)c(F)c1 ZINC000852214122 1048830063 /nfs/dbraw/zinc/83/00/63/1048830063.db2.gz SSTZFLDDKYTLKY-AWEZNQCLSA-N 0 3 319.367 4.178 20 0 DIADHN COc1ccc(O[C@@H](C)CN[C@H](C)c2cc(F)ccc2F)cc1 ZINC000483649564 1048831879 /nfs/dbraw/zinc/83/18/79/1048831879.db2.gz WQYITLPBAGYPKB-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN CCOc1cccc(F)c1CNCCc1ccc(Cl)cc1 ZINC001177108682 1048833658 /nfs/dbraw/zinc/83/36/58/1048833658.db2.gz ITRFGDSEMMQBAL-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN CN(C)c1cc(CN(Cc2ccsc2)C(C)(C)C)ccn1 ZINC000852312221 1048835513 /nfs/dbraw/zinc/83/55/13/1048835513.db2.gz ZBEWEFOACAJFBZ-UHFFFAOYSA-N 0 3 303.475 4.010 20 0 DIADHN Fc1cccc(/C=C/CNCc2ccccc2OC(F)F)c1 ZINC001177165973 1048836152 /nfs/dbraw/zinc/83/61/52/1048836152.db2.gz CHWYTSQOBLQLPQ-GQCTYLIASA-N 0 3 307.315 4.230 20 0 DIADHN Cc1cccc(OCCCNCc2cc(Cl)ccc2F)c1 ZINC001177143065 1048838738 /nfs/dbraw/zinc/83/87/38/1048838738.db2.gz OPXXTMZVLJZQMT-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CCCCCCC1 ZINC000008338892 1048840009 /nfs/dbraw/zinc/84/00/09/1048840009.db2.gz WIUVRYIZVHWUKS-MRXNPFEDSA-N 0 3 302.462 4.403 20 0 DIADHN CC(C)[C@H](NCc1cccc2nsnc21)c1ccccc1F ZINC000852446811 1048840270 /nfs/dbraw/zinc/84/02/70/1048840270.db2.gz NEYMNVIZXOPPTL-INIZCTEOSA-N 0 3 315.417 4.317 20 0 DIADHN CC(=O)Nc1ccc(CNCc2ccc(CC(C)C)cc2)cc1 ZINC001177162704 1048840788 /nfs/dbraw/zinc/84/07/88/1048840788.db2.gz PFHZBGJUOXVPQZ-UHFFFAOYSA-N 0 3 310.441 4.133 20 0 DIADHN Cc1cc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)cnc1Br ZINC001191079656 1048842715 /nfs/dbraw/zinc/84/27/15/1048842715.db2.gz ZGHUZNNKIWBNSD-VHDGCEQUSA-N 0 3 323.278 4.306 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1ccc(F)cc1OC(F)F ZINC000483718005 1048844391 /nfs/dbraw/zinc/84/43/91/1048844391.db2.gz ZZBOFQTZPIPJTF-LEWSCRJBSA-N 0 3 317.351 4.035 20 0 DIADHN Cc1cc(C)cc(CNCc2cccc(OC(F)(F)F)c2)c1 ZINC001203215274 1048847614 /nfs/dbraw/zinc/84/76/14/1048847614.db2.gz JTDOUTQMAIYHKB-UHFFFAOYSA-N 0 3 309.331 4.492 20 0 DIADHN CC(C)(C)[C@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccccc1 ZINC001177222431 1048848829 /nfs/dbraw/zinc/84/88/29/1048848829.db2.gz JWYPOAANDKJMSS-LJQANCHMSA-N 0 3 320.440 4.349 20 0 DIADHN O=C1CCCC12CCN([C@H]1CCc3cc(Cl)ccc31)CC2 ZINC000852631442 1048850295 /nfs/dbraw/zinc/85/02/95/1048850295.db2.gz SBWZLBQPZMGXLQ-INIZCTEOSA-N 0 3 303.833 4.163 20 0 DIADHN CCn1cc(CNCc2ccc(-c3ccccc3)s2)c(C)n1 ZINC001203228858 1048850878 /nfs/dbraw/zinc/85/08/78/1048850878.db2.gz YVXYQKSJXRLPCE-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1Cc1cc(C(F)(F)F)nn1C ZINC001191110655 1048851106 /nfs/dbraw/zinc/85/11/06/1048851106.db2.gz CWZPPCIFXBSZIY-ZDUSSCGKSA-N 0 3 317.399 4.230 20 0 DIADHN CC(C)(C)OC(=O)C1(CNCc2sccc2Cl)CCC1 ZINC001203254867 1048851877 /nfs/dbraw/zinc/85/18/77/1048851877.db2.gz NZQKISHUEGENSC-UHFFFAOYSA-N 0 3 315.866 4.003 20 0 DIADHN CC[C@H](c1ccccc1)N(Cc1cnc(Cl)cn1)C(C)C ZINC000852690494 1048854663 /nfs/dbraw/zinc/85/46/63/1048854663.db2.gz GQJAOGOTCPTEGU-MRXNPFEDSA-N 0 3 303.837 4.492 20 0 DIADHN CC[C@@H](c1ccccc1)N(Cc1cnc(Cl)cn1)C(C)C ZINC000852690493 1048854862 /nfs/dbraw/zinc/85/48/62/1048854862.db2.gz GQJAOGOTCPTEGU-INIZCTEOSA-N 0 3 303.837 4.492 20 0 DIADHN CCCOCc1ccccc1CNCc1cc(C)nc(Cl)c1 ZINC000797596103 1048856508 /nfs/dbraw/zinc/85/65/08/1048856508.db2.gz QSVUMBBYTAJSQE-UHFFFAOYSA-N 0 3 318.848 4.260 20 0 DIADHN O=C(Nc1ccc(Nc2ccccc2)cc1)C1(NC2CC2)CCC1 ZINC000852800802 1048861106 /nfs/dbraw/zinc/86/11/06/1048861106.db2.gz DBBHWLIMKZWTGY-UHFFFAOYSA-N 0 3 321.424 4.043 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@@H]2CCCC(C)(C)O2)s1 ZINC001191146686 1048862542 /nfs/dbraw/zinc/86/25/42/1048862542.db2.gz XNBQDKWCSUOIAB-KGLIPLIRSA-N 0 3 310.507 4.354 20 0 DIADHN CC(C)c1cccc(CNCc2cccc(N3CCCC3=O)c2)c1 ZINC001177272434 1048862974 /nfs/dbraw/zinc/86/29/74/1048862974.db2.gz ANMQZCWYRHDKEH-UHFFFAOYSA-N 0 3 322.452 4.227 20 0 DIADHN C[C@H](CN[C@H](C)c1ccco1)Oc1cccc(Br)c1 ZINC000483814871 1048864093 /nfs/dbraw/zinc/86/40/93/1048864093.db2.gz GLTGKZCOWLDSTM-VXGBXAGGSA-N 0 3 324.218 4.160 20 0 DIADHN COCc1cc([C@H](C)NCc2cccc(Cl)c2)ccc1OC ZINC000194697601 1048865705 /nfs/dbraw/zinc/86/57/05/1048865705.db2.gz IDOYDTNGKMUASQ-ZDUSSCGKSA-N 0 3 319.832 4.346 20 0 DIADHN C[C@@]1(F)CCCN(Cc2ccccc2Br)CC1 ZINC001203319067 1048867998 /nfs/dbraw/zinc/86/79/98/1048867998.db2.gz RCBUTZVTCVTXRC-CQSZACIVSA-N 0 3 300.215 4.163 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccco1)Oc1ccc(Br)cc1 ZINC000483842823 1048869029 /nfs/dbraw/zinc/86/90/29/1048869029.db2.gz TUJUXCVIPNDAKE-RYUDHWBXSA-N 0 3 324.218 4.160 20 0 DIADHN CC[C@@H](CNCc1ccc(SC)o1)Oc1cccc(C)c1 ZINC001177315301 1048868805 /nfs/dbraw/zinc/86/88/05/1048868805.db2.gz SZNFSRUQIQCLKP-AWEZNQCLSA-N 0 3 305.443 4.257 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3ccc(F)cc3[nH]c2=O)cc1 ZINC001177341803 1048871630 /nfs/dbraw/zinc/87/16/30/1048871630.db2.gz RGVMOVUNZLBJBV-CYBMUJFWSA-N 0 3 310.372 4.239 20 0 DIADHN CCCN1CCN(c2ccccc2CNc2ccc(C)cc2)CC1 ZINC001177366655 1048875563 /nfs/dbraw/zinc/87/55/63/1048875563.db2.gz RNFPHWQDCMTYHZ-UHFFFAOYSA-N 0 3 323.484 4.139 20 0 DIADHN CCc1nc(C)c([C@@H](C)N(C)C[C@H]2CCC3(CCC3)CO2)s1 ZINC001191146081 1048877764 /nfs/dbraw/zinc/87/77/64/1048877764.db2.gz TVPQAYKAZWRYPN-HUUCEWRRSA-N 0 3 322.518 4.356 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1CCC2(CCCC2)C1 ZINC001191193664 1048880032 /nfs/dbraw/zinc/88/00/32/1048880032.db2.gz NQUNMMZNJLONBU-AWEZNQCLSA-N 0 3 320.864 4.242 20 0 DIADHN CC[C@](C)(NCc1ccc(OC)c(F)c1)c1ccc(F)cc1 ZINC001203356644 1048880448 /nfs/dbraw/zinc/88/04/48/1048880448.db2.gz BPNPYTJGNDXVLB-SFHVURJKSA-N 0 3 305.368 4.388 20 0 DIADHN Fc1ccccc1CN1CCC2(CCc3ccccc3O2)CC1 ZINC001203357334 1048880552 /nfs/dbraw/zinc/88/05/52/1048880552.db2.gz LELXOZCDVWILKW-UHFFFAOYSA-N 0 3 311.400 4.186 20 0 DIADHN OCCCCCCN(Cc1ccccc1)Cc1ccccc1F ZINC001203357890 1048882037 /nfs/dbraw/zinc/88/20/37/1048882037.db2.gz ZMMLHUUEBCHTGK-UHFFFAOYSA-N 0 3 315.432 4.381 20 0 DIADHN CC(C)(CNCc1occc1Br)CC(F)(F)F ZINC000853096272 1048883845 /nfs/dbraw/zinc/88/38/45/1048883845.db2.gz VMSRGCLVDWOPAS-UHFFFAOYSA-N 0 3 314.145 4.110 20 0 DIADHN Cc1ccc([C@H]2CCC[C@@H]2N(C)Cc2cc(Cl)n(C)n2)cc1 ZINC001191216011 1048890782 /nfs/dbraw/zinc/89/07/82/1048890782.db2.gz XLIDHWQEJQARFA-SJORKVTESA-N 0 3 317.864 4.150 20 0 DIADHN c1cn(-c2ccccc2)nc1CNC1CCC(C2CCC2)CC1 ZINC001177525227 1048899985 /nfs/dbraw/zinc/89/99/85/1048899985.db2.gz PZXMLFQOGJAKOD-UHFFFAOYSA-N 0 3 309.457 4.321 20 0 DIADHN COc1ccccc1CN1CCC(c2ccc(F)cc2F)CC1 ZINC001203439304 1048901451 /nfs/dbraw/zinc/90/14/51/1048901451.db2.gz BIRQBBKKPOEMRC-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1oc2ccccc2c1CNCc1cc2c([nH]c1=O)CCCC2 ZINC001203429268 1048901550 /nfs/dbraw/zinc/90/15/50/1048901550.db2.gz XDGRICAOELXPAR-UHFFFAOYSA-N 0 3 322.408 4.010 20 0 DIADHN COc1ccccc1CN1CCC[C@H](Oc2ccccc2C)C1 ZINC001203432630 1048901683 /nfs/dbraw/zinc/90/16/83/1048901683.db2.gz XLKMVVSVYHHLDU-SFHVURJKSA-N 0 3 311.425 4.047 20 0 DIADHN Cc1ccsc1CN[C@H](c1ccc(F)cc1)[C@H]1CCCO1 ZINC001177519833 1048905240 /nfs/dbraw/zinc/90/52/40/1048905240.db2.gz OZBYTAQRNMXUCE-NVXWUHKLSA-N 0 3 305.418 4.206 20 0 DIADHN CC[C@H](c1ccccc1)[C@H]1CCCN1Cc1cc(Cl)n(C)n1 ZINC001191233699 1048906026 /nfs/dbraw/zinc/90/60/26/1048906026.db2.gz POZKDVBKYGGJFD-IAGOWNOFSA-N 0 3 317.864 4.232 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cnn(Cc2ccccc2)c1 ZINC000484139142 1048905740 /nfs/dbraw/zinc/90/57/40/1048905740.db2.gz WFPSOICPGIVPND-QGZVFWFLSA-N 0 3 319.452 4.345 20 0 DIADHN COc1ccc(CN2CCC(c3ccccc3)CC2)c(OC)c1 ZINC001203474965 1048908474 /nfs/dbraw/zinc/90/84/74/1048908474.db2.gz AQPZJEBOCZFWNV-UHFFFAOYSA-N 0 3 311.425 4.083 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccccc1OCC1CC1 ZINC000484179319 1048912808 /nfs/dbraw/zinc/91/28/08/1048912808.db2.gz YCLLMUKTPUUBKC-VFNWGFHPSA-N 0 3 307.437 4.499 20 0 DIADHN c1ccc(CCCN[C@H](c2ccccc2)c2ccccn2)cc1 ZINC000194778818 1048914770 /nfs/dbraw/zinc/91/47/70/1048914770.db2.gz XFRKLGQGRFTWRS-OAQYLSRUSA-N 0 3 302.421 4.393 20 0 DIADHN CCCCOc1ccccc1CNC[C@H]1CCC(C)(C)CO1 ZINC001203491495 1048915099 /nfs/dbraw/zinc/91/50/99/1048915099.db2.gz LYYVGKDJFUFHHC-QGZVFWFLSA-N 0 3 305.462 4.160 20 0 DIADHN COc1ccc(OC)c(CN2CCC[C@@H]2c2cccc(C)c2)c1 ZINC001203519012 1048919367 /nfs/dbraw/zinc/91/93/67/1048919367.db2.gz WYTCLRIJIIGGSQ-LJQANCHMSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1cnc(CNCC2(c3cccc(C)c3)CCCC2)s1 ZINC001177586745 1048920776 /nfs/dbraw/zinc/92/07/76/1048920776.db2.gz JKGOPMURDPRNNU-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN CC[C@H](NCc1csc(CCc2ccccc2)n1)C1CC1 ZINC000484294323 1048921151 /nfs/dbraw/zinc/92/11/51/1048921151.db2.gz LXSKESDSACIIIC-KRWDZBQOSA-N 0 3 300.471 4.207 20 0 DIADHN COc1ccc(OC)c(CN(C)CCc2cccc(Cl)c2)c1 ZINC001203527594 1048922036 /nfs/dbraw/zinc/92/20/36/1048922036.db2.gz VQHQIHFTPDDJQE-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1ccc2c(c1)nccc2-n1cc(C)c2ccc(N)cc21 ZINC001203528433 1048922189 /nfs/dbraw/zinc/92/21/89/1048922189.db2.gz OOUCFUJSRQFWLJ-UHFFFAOYSA-N 0 3 303.365 4.078 20 0 DIADHN COc1c(C)cnc(CN2CCC(C3CCCC3)CC2)c1C ZINC001191351163 1048923093 /nfs/dbraw/zinc/92/30/93/1048923093.db2.gz XQBVSVHDGIGQAU-UHFFFAOYSA-N 0 3 302.462 4.109 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@H](O)C3CCCCC3)o2)cc1 ZINC001177624117 1048923198 /nfs/dbraw/zinc/92/31/98/1048923198.db2.gz BWRNQZGYPBRIGB-IBGZPJMESA-N 0 3 313.441 4.286 20 0 DIADHN Cc1[nH]c2ccccc2c1CC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001177607805 1048923983 /nfs/dbraw/zinc/92/39/83/1048923983.db2.gz AZKIJYSMQYDKDN-UHFFFAOYSA-N 0 3 314.816 4.168 20 0 DIADHN CCOc1ccccc1CN1CC[C@@H](Oc2ccccc2C)C1 ZINC001203540506 1048924813 /nfs/dbraw/zinc/92/48/13/1048924813.db2.gz ADVWTZOCTBBYNJ-GOSISDBHSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cc(F)ccc1F ZINC000192930980 1048925493 /nfs/dbraw/zinc/92/54/93/1048925493.db2.gz ZHHHMMKGHONPJZ-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3ccc(F)cc32)cc(Cl)n1 ZINC000797605824 1048928569 /nfs/dbraw/zinc/92/85/69/1048928569.db2.gz WMROUXFYVYFHBF-MRXNPFEDSA-N 0 3 304.796 4.350 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@@H](CN(C)C)c1ccccc1 ZINC000194837898 1048931734 /nfs/dbraw/zinc/93/17/34/1048931734.db2.gz NUWJASVDKRSFOC-QAPCUYQASA-N 0 3 314.498 4.362 20 0 DIADHN c1sc2ccccc2c1CCNCc1nc2c(s1)CCC2 ZINC001177729335 1048933323 /nfs/dbraw/zinc/93/33/23/1048933323.db2.gz LAJGIBVMODOVLT-UHFFFAOYSA-N 0 3 314.479 4.179 20 0 DIADHN Oc1ccc(CN[C@@H](c2ccc(F)c(Cl)c2)C2CC2)cc1 ZINC000648715588 1048933817 /nfs/dbraw/zinc/93/38/17/1048933817.db2.gz MPZAZPHGKIFSBC-QGZVFWFLSA-N 0 3 305.780 4.426 20 0 DIADHN C[C@H](COc1ccc(F)cc1)NCc1ccc(F)cc1Cl ZINC001177775321 1048936985 /nfs/dbraw/zinc/93/69/85/1048936985.db2.gz YCNFLNJBGUDPFG-LLVKDONJSA-N 0 3 311.759 4.175 20 0 DIADHN COCC[C@H](c1ccccc1)N(C)Cc1cc2ccccc2[nH]1 ZINC001191409058 1048938102 /nfs/dbraw/zinc/93/81/02/1048938102.db2.gz RCRHSOSYYOTDAF-HXUWFJFHSA-N 0 3 308.425 4.378 20 0 DIADHN CCOc1cncc(CNCc2c(CC)ccc3ccccc32)c1 ZINC001203602708 1048939361 /nfs/dbraw/zinc/93/93/61/1048939361.db2.gz JKNJIXCBIZHSGI-UHFFFAOYSA-N 0 3 320.436 4.486 20 0 DIADHN FC(F)COc1cccc(CNCc2ccc3c(c2)CCC3)c1 ZINC001177758122 1048940788 /nfs/dbraw/zinc/94/07/88/1048940788.db2.gz NQRBBBWMSYLTEX-UHFFFAOYSA-N 0 3 317.379 4.109 20 0 DIADHN CCc1ccc(CN[C@H]2CCOc3c(CC)cccc32)s1 ZINC001177757725 1048941366 /nfs/dbraw/zinc/94/13/66/1048941366.db2.gz AHZTWYNTXYMEAA-KRWDZBQOSA-N 0 3 301.455 4.486 20 0 DIADHN CC[C@@H]1CN([C@@H](CC(C)C)C(=O)OC)CC[C@@H]1c1ccccc1 ZINC001191453992 1048941877 /nfs/dbraw/zinc/94/18/77/1048941877.db2.gz KHXYSPLAXXDOPS-NEWSRXKRSA-N 0 3 317.473 4.090 20 0 DIADHN C[C@@H](NC[C@@H](CO)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000484483075 1048942025 /nfs/dbraw/zinc/94/20/25/1048942025.db2.gz LISCEKFEYAUZAR-OCCSQVGLSA-N 0 3 324.251 4.420 20 0 DIADHN C[C@H](NC[C@H](CO)c1ccccc1)c1cccc(Cl)c1Cl ZINC000484487579 1048944645 /nfs/dbraw/zinc/94/46/45/1048944645.db2.gz DLNGBDWMJPDUSJ-GXTWGEPZSA-N 0 3 324.251 4.420 20 0 DIADHN CCNc1nc(CN2CC[C@H](Cc3ccccc3)[C@@H]2C)cs1 ZINC001191475962 1048948462 /nfs/dbraw/zinc/94/84/62/1048948462.db2.gz QKCFYIQLPYEERP-GOEBONIOSA-N 0 3 315.486 4.028 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccco1)Oc1ccccc1Br ZINC000484481544 1048950135 /nfs/dbraw/zinc/95/01/35/1048950135.db2.gz FKXZKZDEAHVLSN-RYUDHWBXSA-N 0 3 324.218 4.160 20 0 DIADHN CN1CCc2ccc(Nc3cccnc3-c3ccccc3)cc2C1 ZINC001203653450 1048950746 /nfs/dbraw/zinc/95/07/46/1048950746.db2.gz OQPIMRZAYYJATO-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN CSc1ccccc1CN1CCCC[C@@H]1c1cccn1C ZINC001191485191 1048952004 /nfs/dbraw/zinc/95/20/04/1048952004.db2.gz CXCAMKZMYHHLBG-QGZVFWFLSA-N 0 3 300.471 4.474 20 0 DIADHN Cc1n[nH]c(C)c1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC001177850636 1048953241 /nfs/dbraw/zinc/95/32/41/1048953241.db2.gz UPBHSAJVQGDIRQ-TYFHEEPYSA-N 0 3 303.837 4.167 20 0 DIADHN CC[C@H]1CN(Cc2cccnc2OC)CC[C@H]1c1ccccc1 ZINC001191455083 1048955022 /nfs/dbraw/zinc/95/50/22/1048955022.db2.gz UEBOBJDJDUKOBQ-QFBILLFUSA-N 0 3 310.441 4.106 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC)c2nc(C)cs2)C1(CC)CC ZINC000776724245 1048956774 /nfs/dbraw/zinc/95/67/74/1048956774.db2.gz ZVOXSCVKDRGAQV-RRFJBIMHSA-N 0 3 310.507 4.476 20 0 DIADHN CCC1(CC)CCN(Cc2ncc(-c3ccc(OC)cc3)o2)C1 ZINC001191538467 1048960312 /nfs/dbraw/zinc/96/03/12/1048960312.db2.gz AUYPJGZRDIGTFR-UHFFFAOYSA-N 0 3 314.429 4.362 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1ccccc1-n1cccn1 ZINC000484513937 1048961598 /nfs/dbraw/zinc/96/15/98/1048961598.db2.gz WAEUWPCQLCUMAW-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CC(C)N(Cc1ccc(Br)c(F)c1F)C1CCC1 ZINC001191591372 1048963436 /nfs/dbraw/zinc/96/34/36/1048963436.db2.gz KVOYGNNHUZLFGP-UHFFFAOYSA-N 0 3 318.205 4.490 20 0 DIADHN Cc1cccc(C)c1CCNCc1coc(-c2ccccc2)n1 ZINC001178003046 1048972247 /nfs/dbraw/zinc/97/22/47/1048972247.db2.gz KEWMVMMAAKBXLF-UHFFFAOYSA-N 0 3 306.409 4.291 20 0 DIADHN CCn1cnc(Cl)c1CNCC1(Cc2ccccc2)CCC1 ZINC001178060477 1048980821 /nfs/dbraw/zinc/98/08/21/1048980821.db2.gz RQEHFLKXNJZXHO-UHFFFAOYSA-N 0 3 317.864 4.059 20 0 DIADHN c1cc(CNCc2ccc3c(c2)COC3)cc(CC2CCC2)c1 ZINC001178025565 1048983190 /nfs/dbraw/zinc/98/31/90/1048983190.db2.gz PACLOLOYZKZZQY-UHFFFAOYSA-N 0 3 307.437 4.349 20 0 DIADHN COC[C@H](N[C@H](c1ccccc1)c1cccc(F)c1)C(C)C ZINC000484602206 1048989903 /nfs/dbraw/zinc/98/99/03/1048989903.db2.gz GODAXTUHVNZJGM-RBUKOAKNSA-N 0 3 301.405 4.176 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](C(=O)c3ccccc3)C2)cc1C ZINC001203757266 1048990946 /nfs/dbraw/zinc/99/09/46/1048990946.db2.gz DKBDEGKMSONDNE-SFHVURJKSA-N 0 3 323.436 4.017 20 0 DIADHN COc1cc(C)c(CN2CCC[C@@H](C(F)(F)F)C2)cc1C ZINC001203754463 1048991649 /nfs/dbraw/zinc/99/16/49/1048991649.db2.gz MTCNSVDQVJWVBC-CQSZACIVSA-N 0 3 301.352 4.086 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H](C(F)(F)F)C2)cc1C ZINC001203754461 1048991846 /nfs/dbraw/zinc/99/18/46/1048991846.db2.gz MTCNSVDQVJWVBC-AWEZNQCLSA-N 0 3 301.352 4.086 20 0 DIADHN CCO[C@H](CN1CCCC[C@H]1c1ccc(F)cc1F)C1CC1 ZINC001191741884 1048995473 /nfs/dbraw/zinc/99/54/73/1048995473.db2.gz LKPGEVOYHVEUOA-ZWKOTPCHSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H]1OC2(CCN(Cc3cccc(F)c3)CC2)c2ccccc21 ZINC001203788530 1048996932 /nfs/dbraw/zinc/99/69/32/1048996932.db2.gz NLFPHDHNZAHZBW-OAHLLOKOSA-N 0 3 311.400 4.408 20 0 DIADHN Fc1cccc(CN2CCC(n3ncc4ccccc43)CC2)c1 ZINC001203785352 1048997634 /nfs/dbraw/zinc/99/76/34/1048997634.db2.gz BQPUBPNVTZFKRK-UHFFFAOYSA-N 0 3 309.388 4.013 20 0 DIADHN c1coc(-c2nnc(CN[C@@H]3CCCC[C@H]3c3ccccc3)o2)c1 ZINC000484646219 1048999020 /nfs/dbraw/zinc/99/90/20/1048999020.db2.gz ICEXWDCRVHVIJG-JKSUJKDBSA-N 0 3 323.396 4.146 20 0 DIADHN [O-]c1cc(Nc2ccc(C[NH+]3CCCC3)cc2)c(F)cc1F ZINC001203807605 1049002750 /nfs/dbraw/zinc/00/27/50/1049002750.db2.gz ZPISYUAKMNSHEF-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2cc(C)cc(C)n2)C1(CC)CC ZINC001191821877 1049005578 /nfs/dbraw/zinc/00/55/78/1049005578.db2.gz RGJOPUXGVPIRPL-MSOLQXFVSA-N 0 3 304.478 4.114 20 0 DIADHN c1ccc(Oc2cccc(CN3CCC[C@]4(CCO4)C3)c2)cc1 ZINC001203815584 1049005953 /nfs/dbraw/zinc/00/59/53/1049005953.db2.gz QHROERKROCGRSA-FQEVSTJZSA-N 0 3 309.409 4.234 20 0 DIADHN C[C@H](NCc1cc(-n2ccnc2)cs1)c1cccc(F)c1F ZINC001178237816 1049007195 /nfs/dbraw/zinc/00/71/95/1049007195.db2.gz IZZALROHDFSSEK-NSHDSACASA-N 0 3 319.380 4.063 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)C[C@@H]1CCCC(C)(C)O1)CCC2 ZINC001191862571 1049007463 /nfs/dbraw/zinc/00/74/63/1049007463.db2.gz XRAJWCQQPCFKQU-ZFWWWQNUSA-N 0 3 308.491 4.108 20 0 DIADHN O[C@@H]1CCc2ccccc2[C@@H]1NCc1cccc(CC2CCC2)c1 ZINC001203819830 1049008969 /nfs/dbraw/zinc/00/89/69/1049008969.db2.gz IVLXGKJXHOMSEL-YADHBBJMSA-N 0 3 321.464 4.167 20 0 DIADHN COc1ccc(Oc2cccc(CN(C)CCSC)c2)cc1 ZINC001203821107 1049009814 /nfs/dbraw/zinc/00/98/14/1049009814.db2.gz ZSXAGCKSJRVXLC-UHFFFAOYSA-N 0 3 317.454 4.282 20 0 DIADHN Cc1nc2c(s1)[C@@H](NCc1ccc(OC(F)F)cc1)CCC2 ZINC001178286086 1049010862 /nfs/dbraw/zinc/01/08/62/1049010862.db2.gz WHRUGEWYPDYJFA-ZDUSSCGKSA-N 0 3 324.396 4.220 20 0 DIADHN CCOc1cccc(CN[C@H]2CCCc3nc(C)sc32)c1 ZINC001178284993 1049010974 /nfs/dbraw/zinc/01/09/74/1049010974.db2.gz GWODUZGUESSLGI-HNNXBMFYSA-N 0 3 302.443 4.017 20 0 DIADHN CCCCC1CCN(Cc2cc(OC)c(OC)c(OC)c2)CC1 ZINC001203843484 1049014853 /nfs/dbraw/zinc/01/48/53/1049014853.db2.gz KLWFALLUXPROEV-UHFFFAOYSA-N 0 3 321.461 4.115 20 0 DIADHN Oc1cccc(CN2CCC[C@H](Oc3ccc(Cl)cc3)C2)c1 ZINC001203863245 1049017380 /nfs/dbraw/zinc/01/73/80/1049017380.db2.gz HGRYKVZGGOPJGY-SFHVURJKSA-N 0 3 317.816 4.089 20 0 DIADHN c1c(CNCC2(Cc3ccccc3)CCCC2)nn2c1CCCC2 ZINC001178344162 1049017595 /nfs/dbraw/zinc/01/75/95/1049017595.db2.gz YDLQYPGXZYFFBO-UHFFFAOYSA-N 0 3 323.484 4.112 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NCc2ccc(C)cc2F)cc1 ZINC001178331829 1049017762 /nfs/dbraw/zinc/01/77/62/1049017762.db2.gz XOKFNTHJVABXNC-AUUYWEPGSA-N 0 3 317.404 4.009 20 0 DIADHN CC1(C)OC2(CCN(Cc3cccc(O)c3)CC2)c2ccccc21 ZINC001203864223 1049018740 /nfs/dbraw/zinc/01/87/40/1049018740.db2.gz RVIUWXFHQWMFNQ-UHFFFAOYSA-N 0 3 323.436 4.149 20 0 DIADHN CCN(Cc1cccc(O)c1)Cc1cccc(C(F)(F)F)c1 ZINC001203868086 1049019371 /nfs/dbraw/zinc/01/93/71/1049019371.db2.gz XDOVGDADXUBPMT-UHFFFAOYSA-N 0 3 309.331 4.433 20 0 DIADHN Fc1ccc(CNC[C@@H]2CC[C@@H](C3CC3)O2)c(Cl)c1Cl ZINC001203873078 1049020980 /nfs/dbraw/zinc/02/09/80/1049020980.db2.gz WRDHUMKNQZRVMR-AAEUAGOBSA-N 0 3 318.219 4.180 20 0 DIADHN COCC[C@H]1CCCCN1Cc1cccc(C(F)(F)F)c1 ZINC001203886278 1049022526 /nfs/dbraw/zinc/02/25/26/1049022526.db2.gz ZOINTANYJVIERY-OAHLLOKOSA-N 0 3 301.352 4.096 20 0 DIADHN C[C@@]1(CNCc2nc3cc(F)ccc3o2)CCCc2ccccc21 ZINC001178393357 1049024612 /nfs/dbraw/zinc/02/46/12/1049024612.db2.gz NSMGRNRJESMFJF-FQEVSTJZSA-N 0 3 324.399 4.351 20 0 DIADHN CC[C@@H](CSC)NCc1cc(Cl)cc(Cl)c1OC ZINC001178365692 1049028703 /nfs/dbraw/zinc/02/87/03/1049028703.db2.gz OZERSNVHTDGWQL-NSHDSACASA-N 0 3 308.274 4.233 20 0 DIADHN Fc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)cc1 ZINC001203915167 1049029818 /nfs/dbraw/zinc/02/98/18/1049029818.db2.gz MRUSLMOBZUSZJK-KRWDZBQOSA-N 0 3 305.393 4.331 20 0 DIADHN Cc1ccc(OC[C@@H](C)N[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000775497842 1049031040 /nfs/dbraw/zinc/03/10/40/1049031040.db2.gz WHFMFFHNMRAMOO-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1cc3ccccc3[nH]1)CCO2 ZINC001178445323 1049032893 /nfs/dbraw/zinc/03/28/93/1049032893.db2.gz UJILYBMACULGNY-IBGZPJMESA-N 0 3 306.409 4.344 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1cccc3cc[nH]c31)CCO2 ZINC001178445154 1049033518 /nfs/dbraw/zinc/03/35/18/1049033518.db2.gz QSIJHOVLXIQWLY-SFHVURJKSA-N 0 3 306.409 4.344 20 0 DIADHN c1ccc(Oc2ccc(CN3CC[C@H]4CCCO[C@@H]4C3)cc2)cc1 ZINC001203941040 1049038416 /nfs/dbraw/zinc/03/84/16/1049038416.db2.gz FVVYBYDWIBWLJR-WIYYLYMNSA-N 0 3 323.436 4.480 20 0 DIADHN Fc1cc(CNCc2cccc3c2CCCN3)ccc1C(F)F ZINC000904355890 1049041993 /nfs/dbraw/zinc/04/19/93/1049041993.db2.gz XPVXZFGFJZJIDC-UHFFFAOYSA-N 0 3 320.358 4.411 20 0 DIADHN CCc1ccc(NC(=O)CN2CCC[C@H]2CCC(C)C)cc1 ZINC001192159667 1049044408 /nfs/dbraw/zinc/04/44/08/1049044408.db2.gz SELXEXSTSCMGJW-SFHVURJKSA-N 0 3 302.462 4.088 20 0 DIADHN FC(F)(F)[C@H](CNCc1ccc(Cl)nc1)c1ccccc1 ZINC000776816831 1049044870 /nfs/dbraw/zinc/04/48/70/1049044870.db2.gz RQPDWNVNWLXOAR-CYBMUJFWSA-N 0 3 314.738 4.171 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2ccc(OCc3ccccc3)cc2)C1 ZINC001203962683 1049046877 /nfs/dbraw/zinc/04/68/77/1049046877.db2.gz NVBRGIYMNPRRBR-HXUWFJFHSA-N 0 3 323.436 4.067 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001192173222 1049047945 /nfs/dbraw/zinc/04/79/45/1049047945.db2.gz HGGWFDAJUYWUTH-YOEHRIQHSA-N 0 3 320.502 4.248 20 0 DIADHN Fc1cccc2c(CN3CCC4(CC(F)(F)C4)CC3)c[nH]c21 ZINC001137051339 1049050830 /nfs/dbraw/zinc/05/08/30/1049050830.db2.gz NJRUGIZUBQTYTG-UHFFFAOYSA-N 0 3 308.347 4.318 20 0 DIADHN CCc1cnc(CNCCCCCc2ccc(F)cc2)s1 ZINC001178529514 1049051356 /nfs/dbraw/zinc/05/13/56/1049051356.db2.gz YZRAKWQFUBGMRA-UHFFFAOYSA-N 0 3 306.450 4.347 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1Cc2ccc(Cl)cc2C1 ZINC001203987407 1049051436 /nfs/dbraw/zinc/05/14/36/1049051436.db2.gz SFQSAOVZMFIIOS-HNNXBMFYSA-N 0 3 319.807 4.135 20 0 DIADHN COc1ccc2ccccc2c1CN1CC[C@H](C(F)(F)F)C1 ZINC001203989534 1049052787 /nfs/dbraw/zinc/05/27/87/1049052787.db2.gz BWGOMRJVDGIQJG-ZDUSSCGKSA-N 0 3 309.331 4.233 20 0 DIADHN CC1(C)CC(CNCc2ncc(Br)cc2Cl)C1 ZINC001178504604 1049055681 /nfs/dbraw/zinc/05/56/81/1049055681.db2.gz BAEHQFLFNLEABD-UHFFFAOYSA-N 0 3 317.658 4.023 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H]3C[C@@H]4CCC[C@H]34)n2)c1 ZINC001204002990 1049059258 /nfs/dbraw/zinc/05/92/58/1049059258.db2.gz ZPCLIRZNHRBEHL-VBNZEHGJSA-N 0 3 314.454 4.097 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2c(Cl)n[nH]c2C2CC2)C1 ZINC001178595037 1049059356 /nfs/dbraw/zinc/05/93/56/1049059356.db2.gz MBSYICQTQYTCBQ-HDJSIYSDSA-N 0 3 315.848 4.285 20 0 DIADHN CC(C)c1ccccc1NC(=O)N(C(C)C)C1CCN(C)CC1 ZINC001192248675 1049059629 /nfs/dbraw/zinc/05/96/29/1049059629.db2.gz SNQUYISFORKJOD-UHFFFAOYSA-N 0 3 317.477 4.147 20 0 DIADHN C[C@@H]1CCC[C@H]1CNCc1ncc(Br)cc1Cl ZINC001178557717 1049061397 /nfs/dbraw/zinc/06/13/97/1049061397.db2.gz SHGIVEMTWXBPNH-ZJUUUORDSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@@H]1CCC[C@@H]1CNCc1ncc(Br)cc1Cl ZINC001178557714 1049060967 /nfs/dbraw/zinc/06/09/67/1049060967.db2.gz SHGIVEMTWXBPNH-NXEZZACHSA-N 0 3 317.658 4.023 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCO[C@]3(CCc4ccccc43)C2)C1 ZINC001192253446 1049061516 /nfs/dbraw/zinc/06/15/16/1049061516.db2.gz TUVQEVPSFSDBKQ-LVCYWYKZSA-N 0 3 313.485 4.377 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCO[C@@]3(CCc4ccccc43)C2)C1 ZINC001192253443 1049061545 /nfs/dbraw/zinc/06/15/45/1049061545.db2.gz TUVQEVPSFSDBKQ-LQWHRVPQSA-N 0 3 313.485 4.377 20 0 DIADHN COc1ccccc1S[C@@H]1CCN(Cc2cccs2)C1 ZINC001204018762 1049062764 /nfs/dbraw/zinc/06/27/64/1049062764.db2.gz RXJADZKJYJMLOK-CQSZACIVSA-N 0 3 305.468 4.123 20 0 DIADHN CCOc1cccc(F)c1CNCCc1ccc(SC)cc1 ZINC001178601448 1049064766 /nfs/dbraw/zinc/06/47/66/1049064766.db2.gz RZXFGLDLUFVHSV-UHFFFAOYSA-N 0 3 319.445 4.279 20 0 DIADHN Cc1ccsc1CN1C[C@@H]2C[C@H](C1)c1cc3nccnc3cc12 ZINC001204023099 1049064844 /nfs/dbraw/zinc/06/48/44/1049064844.db2.gz ASYVDSVXTMGONI-OKILXGFUSA-N 0 3 321.449 4.086 20 0 DIADHN COC[C@H](C)N(Cc1ccc(C)s1)Cc1cccc(OC)c1 ZINC001204033504 1049065011 /nfs/dbraw/zinc/06/50/11/1049065011.db2.gz DEOHEPJVJDIXTH-AWEZNQCLSA-N 0 3 319.470 4.102 20 0 DIADHN Brc1csc(CN2CC3CCC(CC3)C2)c1 ZINC001204028144 1049065124 /nfs/dbraw/zinc/06/51/24/1049065124.db2.gz NPMRGRHZZRSLNB-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN Cc1ccc(CN2CCC(Oc3cccc(C)c3)CC2)s1 ZINC001204033734 1049066270 /nfs/dbraw/zinc/06/62/70/1049066270.db2.gz SAHBQNIVEZGOCF-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN Cc1ccc(CN2CCC(Oc3cccc(F)c3)CC2)s1 ZINC001204035507 1049067529 /nfs/dbraw/zinc/06/75/29/1049067529.db2.gz ZPRRORSOGDHYDV-UHFFFAOYSA-N 0 3 305.418 4.239 20 0 DIADHN C[C@H](CNCc1ncccc1F)Cc1ccc(C(C)(C)C)cc1 ZINC001178629210 1049069387 /nfs/dbraw/zinc/06/93/87/1049069387.db2.gz KTAAPKXLSMNAEX-HNNXBMFYSA-N 0 3 314.448 4.487 20 0 DIADHN O=C(c1ccccc1)C1CCN(Cc2c[nH]c3ccccc23)CC1 ZINC001204041744 1049069477 /nfs/dbraw/zinc/06/94/77/1049069477.db2.gz YCYIBOBRUJSZAM-UHFFFAOYSA-N 0 3 318.420 4.263 20 0 DIADHN CC(C)(C)CNC(=O)CN[C@@H](c1ccccc1)C1CCCCC1 ZINC001192272342 1049071451 /nfs/dbraw/zinc/07/14/51/1049071451.db2.gz SOSXHHWOHLSJRQ-IBGZPJMESA-N 0 3 316.489 4.060 20 0 DIADHN CC(C)(C)CNC(=O)CN[C@H](c1ccccc1)C1CCCCC1 ZINC001192272343 1049071555 /nfs/dbraw/zinc/07/15/55/1049071555.db2.gz SOSXHHWOHLSJRQ-LJQANCHMSA-N 0 3 316.489 4.060 20 0 DIADHN CO[C@@H]([C@@H](C)NCc1ccc(Cl)c(C)c1Cl)C1CC1 ZINC001178723949 1049075015 /nfs/dbraw/zinc/07/50/15/1049075015.db2.gz PWYGSVKTGIKICI-BMIGLBTASA-N 0 3 302.245 4.205 20 0 DIADHN Cc1cccc(CN2CCC(Cc3cccc(Cl)c3)CC2)n1 ZINC001204067194 1049076167 /nfs/dbraw/zinc/07/61/67/1049076167.db2.gz NCBRLDIFEDSDBE-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN FC(F)(F)Oc1ccc([C@@H]2CCCN2Cc2ccncc2)cc1 ZINC001204081832 1049079350 /nfs/dbraw/zinc/07/93/50/1049079350.db2.gz LXFYYZRXSVWSOT-INIZCTEOSA-N 0 3 322.330 4.317 20 0 DIADHN Cc1cccc([C@H](O)CN2[C@H](C)c3ccc(F)cc3C[C@@H]2C)c1 ZINC001192302762 1049082890 /nfs/dbraw/zinc/08/28/90/1049082890.db2.gz LNYXSWMCTYUQPE-BXTJHSDWSA-N 0 3 313.416 4.175 20 0 DIADHN Clc1ccc(OCC2CC2)c(CN2CC[C@H](C3CC3)C2)c1 ZINC001192301911 1049083081 /nfs/dbraw/zinc/08/30/81/1049083081.db2.gz DLIRZPMGOYUDSD-HNNXBMFYSA-N 0 3 305.849 4.361 20 0 DIADHN COc1cc(CN2CC[C@@H](c3ccccc3Cl)C2)ccc1O ZINC001204114417 1049085401 /nfs/dbraw/zinc/08/54/01/1049085401.db2.gz JTCRCLZGIAMPDW-CQSZACIVSA-N 0 3 317.816 4.044 20 0 DIADHN CCC1(NCc2cc(OC(F)F)ccc2Br)CC1 ZINC001178768772 1049085780 /nfs/dbraw/zinc/08/57/80/1049085780.db2.gz CFNYWZIVRCPFDJ-UHFFFAOYSA-N 0 3 320.177 4.083 20 0 DIADHN CCOc1cc(CN2CCC(c3cccs3)CC2)ccc1O ZINC001204130521 1049091164 /nfs/dbraw/zinc/09/11/64/1049091164.db2.gz IREZQIQKXNPOSG-UHFFFAOYSA-N 0 3 317.454 4.232 20 0 DIADHN Cn1nccc1C1C[C@H]2CC[C@@H](C1)N2Cc1cc(Cl)cs1 ZINC000338995170 1049092591 /nfs/dbraw/zinc/09/25/91/1049092591.db2.gz DQSHMAHAQDGEEB-QXMXGUDHSA-N 0 3 321.877 4.046 20 0 DIADHN Clc1ccc(CC2(NCc3nccn3C3CC3)CCC2)cc1 ZINC001178823792 1049094652 /nfs/dbraw/zinc/09/46/52/1049094652.db2.gz MMOGYBYCJJANLE-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2ccc3c(c2)C[C@H](C)O3)cc1 ZINC001204145009 1049096229 /nfs/dbraw/zinc/09/62/29/1049096229.db2.gz MDTOBGMBZDOIHK-MGPUTAFESA-N 0 3 323.436 4.356 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC001204164398 1049099557 /nfs/dbraw/zinc/09/95/57/1049099557.db2.gz JJMWUGYGMRYKCV-UHFFFAOYSA-N 0 3 321.342 4.410 20 0 DIADHN Cc1cccc2c1OC[C@@H](NCc1ccc(-c3ccccc3)o1)C2 ZINC001178851714 1049100782 /nfs/dbraw/zinc/10/07/82/1049100782.db2.gz NVBUSNZSGJBYNP-SFHVURJKSA-N 0 3 319.404 4.348 20 0 DIADHN Cc1ccc(CN2CCC3(CC(=O)c4ccc(F)cc43)CC2)cc1 ZINC001204168301 1049102658 /nfs/dbraw/zinc/10/26/58/1049102658.db2.gz MTALFAPAMZNKON-UHFFFAOYSA-N 0 3 323.411 4.254 20 0 DIADHN CC(C)c1ccc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)cc1 ZINC001204168909 1049102731 /nfs/dbraw/zinc/10/27/31/1049102731.db2.gz ADYYMSQYLYALQC-PMACEKPBSA-N 0 3 318.480 4.208 20 0 DIADHN COc1ccccc1O[C@@H]1CCCN(Cc2ccc(C)cc2)C1 ZINC001204171450 1049102894 /nfs/dbraw/zinc/10/28/94/1049102894.db2.gz IYWLAHASXREDAA-GOSISDBHSA-N 0 3 311.425 4.047 20 0 DIADHN CCC(=O)N(CC)C1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC001204169612 1049103113 /nfs/dbraw/zinc/10/31/13/1049103113.db2.gz YQSPHCCZQPUISD-UHFFFAOYSA-N 0 3 316.489 4.033 20 0 DIADHN CC[C@@H](Cc1nc(-c2ccc(CN(C)C)cc2)no1)C(C)C ZINC000904621104 1049105782 /nfs/dbraw/zinc/10/57/82/1049105782.db2.gz NXLKXRDEFGIHOQ-HNNXBMFYSA-N 0 3 301.434 4.023 20 0 DIADHN CSCCCN[C@@H](C)c1ccc(C(F)(F)F)nc1Cl ZINC000856777941 1049106146 /nfs/dbraw/zinc/10/61/46/1049106146.db2.gz FOEKYIQRJHKVSL-QMMMGPOBSA-N 0 3 312.788 4.158 20 0 DIADHN Cc1cc(C)cc(-c2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC000904620056 1049106392 /nfs/dbraw/zinc/10/63/92/1049106392.db2.gz FCZPRNBPIIWZMX-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@@H]1NCc1csc(C(F)(F)F)n1 ZINC001178930764 1049106594 /nfs/dbraw/zinc/10/65/94/1049106594.db2.gz GRGGELYWBALSPN-QWRGUYRKSA-N 0 3 306.397 4.466 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623221 1049108038 /nfs/dbraw/zinc/10/80/38/1049108038.db2.gz NGQMXTYMFQCQJV-WBVHZDCISA-N 0 3 313.445 4.482 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@H]3C[C@@H]3C3CCCC3)n2)cc1 ZINC000904623978 1049108447 /nfs/dbraw/zinc/10/84/47/1049108447.db2.gz GOSCYGBRKPCOCD-SJORKVTESA-N 0 3 311.429 4.092 20 0 DIADHN CC(C)CCCCc1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904624008 1049108540 /nfs/dbraw/zinc/10/85/40/1049108540.db2.gz RNBWQEDLZAHPAR-UHFFFAOYSA-N 0 3 301.434 4.167 20 0 DIADHN C[C@@H]1CC[C@@H](c2nc(-c3ccc(CN(C)C)cc3)no2)C[C@H]1C ZINC000904622566 1049108651 /nfs/dbraw/zinc/10/86/51/1049108651.db2.gz JDRKTCDDHSUFGC-CKEIUWERSA-N 0 3 313.445 4.338 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3C[C@H]3C3CCCC3)n2)cc1 ZINC000904623973 1049108739 /nfs/dbraw/zinc/10/87/39/1049108739.db2.gz GOSCYGBRKPCOCD-DLBZAZTESA-N 0 3 311.429 4.092 20 0 DIADHN CC(C)O[C@H]1C[C@@H](NCc2ccc(-c3cccc(F)c3F)o2)C1 ZINC001178916686 1049109424 /nfs/dbraw/zinc/10/94/24/1049109424.db2.gz MMGOJAMNQHVULS-XBXGTLAGSA-N 0 3 321.367 4.270 20 0 DIADHN CO[C@](C)([C@H](C)NCc1ccc(F)c(Cl)c1Cl)C1CC1 ZINC001178949103 1049112748 /nfs/dbraw/zinc/11/27/48/1049112748.db2.gz DJNGLYMAXBWQGH-BJOHPYRUSA-N 0 3 320.235 4.426 20 0 DIADHN Cc1ccc(CNCc2c3c(nn2C)CCCC3)c2ccccc12 ZINC001178958157 1049114406 /nfs/dbraw/zinc/11/44/06/1049114406.db2.gz HZJSGYBZAZSQGO-UHFFFAOYSA-N 0 3 319.452 4.050 20 0 DIADHN CCN(CCc1ccc(OC)cc1)Cc1cc(F)ccc1F ZINC001204221482 1049114732 /nfs/dbraw/zinc/11/47/32/1049114732.db2.gz ULTBIOOSIBLWMI-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN CCCC[C@@](C)(F)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904626704 1049115330 /nfs/dbraw/zinc/11/53/30/1049115330.db2.gz NAFFHLHSZKKZQR-QGZVFWFLSA-N 0 3 305.397 4.173 20 0 DIADHN CC[C@H]1CCC[C@]1(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904625787 1049116251 /nfs/dbraw/zinc/11/62/51/1049116251.db2.gz QPOAYJJEFGWXKM-LPHOPBHVSA-N 0 3 313.445 4.266 20 0 DIADHN C[C@@H](NC[C@@H](O)[C@H](C)c1ccccc1)c1cc(Cl)ccc1F ZINC001192574978 1049118834 /nfs/dbraw/zinc/11/88/34/1049118834.db2.gz LSIVPXDCHVDIQE-SNUQEOBHSA-N 0 3 321.823 4.294 20 0 DIADHN CC(C)c1cccc(CNC2(c3ccc4c(c3)OCO4)CC2)c1 ZINC001192636716 1049127117 /nfs/dbraw/zinc/12/71/17/1049127117.db2.gz ZUGJJAOCAGCORH-UHFFFAOYSA-N 0 3 309.409 4.318 20 0 DIADHN COC[C@H](CC(C)(C)C)NCc1nc2ccccc2n1C(C)C ZINC001179035160 1049127413 /nfs/dbraw/zinc/12/74/13/1049127413.db2.gz ZIXVKJQCVGTJMH-HNNXBMFYSA-N 0 3 317.477 4.158 20 0 DIADHN Fc1ccccc1Oc1cccc(CNCc2ccncc2)c1 ZINC001179082410 1049128611 /nfs/dbraw/zinc/12/86/11/1049128611.db2.gz YMGFLEGXSGDION-UHFFFAOYSA-N 0 3 308.356 4.303 20 0 DIADHN CC[C@@H]1CCC[C@H](CN(C)Cc2cn(C)nc2C(F)(F)F)C1 ZINC001204286470 1049128510 /nfs/dbraw/zinc/12/85/10/1049128510.db2.gz AIXVQJIVQUVAHC-OLZOCXBDSA-N 0 3 317.399 4.087 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(F)c(Cl)c3)C2)cc1 ZINC001204285081 1049128631 /nfs/dbraw/zinc/12/86/31/1049128631.db2.gz LEXKVDPIVSGEQB-OAHLLOKOSA-N 0 3 319.807 4.477 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1ccccc1F)c1ccc(F)cc1F ZINC000775541905 1049129377 /nfs/dbraw/zinc/12/93/77/1049129377.db2.gz FZCKHVBAKLLNMT-QZTJIDSGSA-N 0 3 323.358 4.124 20 0 DIADHN C/C(=C/C(=O)Nc1ccc(C)cc1CN(C)C)c1cccs1 ZINC000857125734 1049129655 /nfs/dbraw/zinc/12/96/55/1049129655.db2.gz MQPMGNZHZFQWGV-KAMYIIQDSA-N 0 3 314.454 4.160 20 0 DIADHN CC(C)(C)[C@@H](NC[C@@H](O)c1ccccc1)c1ccc(F)cc1F ZINC000775542675 1049129895 /nfs/dbraw/zinc/12/98/95/1049129895.db2.gz NTGDZPBAKNHPII-MSOLQXFVSA-N 0 3 319.395 4.375 20 0 DIADHN CC(C)(C)C[C@H](NC[C@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001192689372 1049130525 /nfs/dbraw/zinc/13/05/25/1049130525.db2.gz GZAWWPFDTBMLIG-STQMWFEESA-N 0 3 323.786 4.330 20 0 DIADHN CC(C)[C@@H](NC[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775543081 1049130722 /nfs/dbraw/zinc/13/07/22/1049130722.db2.gz RHUSJHPJAVOSJT-QZTJIDSGSA-N 0 3 323.358 4.124 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@@H](O)C(F)(F)F)c1ccc(Cl)cc1 ZINC001192689366 1049131176 /nfs/dbraw/zinc/13/11/76/1049131176.db2.gz GZAWWPFDTBMLIG-CHWSQXEVSA-N 0 3 323.786 4.330 20 0 DIADHN CCCCCCOc1ccccc1CN1CC[C@@H]2OCC[C@H]2C1 ZINC001204294523 1049131913 /nfs/dbraw/zinc/13/19/13/1049131913.db2.gz RVFONTIVJUISHR-ICSRJNTNSA-N 0 3 317.473 4.257 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@@H]1CC2(CO1)CCCCC2 ZINC001192663049 1049132741 /nfs/dbraw/zinc/13/27/41/1049132741.db2.gz SRDOSTZVOSCBBE-ZBFHGGJFSA-N 0 3 321.436 4.224 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1c(C)cc(OC)nc1C ZINC001204299365 1049133875 /nfs/dbraw/zinc/13/38/75/1049133875.db2.gz WFGPODVYPIZIMX-LJQANCHMSA-N 0 3 310.441 4.216 20 0 DIADHN CSc1ccc(CN(CCc2cccc(F)c2)C2CC2)o1 ZINC001204299244 1049134175 /nfs/dbraw/zinc/13/41/75/1049134175.db2.gz SMIPGUXLUYDJLR-UHFFFAOYSA-N 0 3 305.418 4.348 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC2(COC2)CC1 ZINC001204298993 1049134294 /nfs/dbraw/zinc/13/42/94/1049134294.db2.gz ZNVLUFHKWKEOQG-UHFFFAOYSA-N 0 3 317.473 4.258 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(Cc2cccc3c2OCC3)C1 ZINC001204305543 1049136899 /nfs/dbraw/zinc/13/68/99/1049136899.db2.gz CXJFSMVIHXQCGU-KUHUBIRLSA-N 0 3 311.400 4.344 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@H](C)c2cc(C)cc(C)c2)cc1 ZINC001192780679 1049138215 /nfs/dbraw/zinc/13/82/15/1049138215.db2.gz JZLOQLNRQUQTTC-INIZCTEOSA-N 0 3 310.441 4.155 20 0 DIADHN Fc1ccc(CN[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)c(F)c1 ZINC001179205101 1049141352 /nfs/dbraw/zinc/14/13/52/1049141352.db2.gz JJSARPAUUYXRDC-USXIJHARSA-N 0 3 305.755 4.046 20 0 DIADHN Cn1cc(CN2CCC3(CC[C@@H](F)C3)CC2)c2ccccc21 ZINC001204324195 1049142311 /nfs/dbraw/zinc/14/23/11/1049142311.db2.gz JLLQPHOEGRIHOT-MRXNPFEDSA-N 0 3 300.421 4.283 20 0 DIADHN COc1cccc([C@H](CNCc2ccc(C)cc2Cl)OC)c1 ZINC001179222489 1049142446 /nfs/dbraw/zinc/14/24/46/1049142446.db2.gz IOMNSFGSEBGRMD-SFHVURJKSA-N 0 3 319.832 4.134 20 0 DIADHN COc1ccc([C@H](C)NC/C=C/c2ccc(F)cc2)c(OC)c1 ZINC001179188800 1049144229 /nfs/dbraw/zinc/14/42/29/1049144229.db2.gz XITXELOVHAZWBA-NNTXTVRGSA-N 0 3 315.388 4.207 20 0 DIADHN COc1ccc([C@H](C)NC/C=C\c2ccc(F)cc2)c(OC)c1 ZINC001179188802 1049144428 /nfs/dbraw/zinc/14/44/28/1049144428.db2.gz XITXELOVHAZWBA-WSNITJDQSA-N 0 3 315.388 4.207 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1cccc(-c3ccccn3)c1)CC2 ZINC001179193108 1049144567 /nfs/dbraw/zinc/14/45/67/1049144567.db2.gz DNDCFJNNXMSXSJ-OAQYLSRUSA-N 0 3 316.404 4.231 20 0 DIADHN FCC1CCN(Cc2ccccc2OCc2ccccc2)CC1 ZINC001204332078 1049144957 /nfs/dbraw/zinc/14/49/57/1049144957.db2.gz VOTVYKHVDZLNSY-UHFFFAOYSA-N 0 3 313.416 4.447 20 0 DIADHN c1ccc(COc2ccccc2CN2CC[C@]3(CCCO3)C2)cc1 ZINC001204331439 1049145179 /nfs/dbraw/zinc/14/51/79/1049145179.db2.gz TVPLVJXKRYYQEE-OAQYLSRUSA-N 0 3 323.436 4.021 20 0 DIADHN C[C@@H](O)[C@H](NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001179252397 1049147218 /nfs/dbraw/zinc/14/72/18/1049147218.db2.gz HQQDYZLBBSLLSP-IERDGZPVSA-N 0 3 309.453 4.241 20 0 DIADHN C[C@@H](NCCOCC(F)F)c1ccccc1Oc1ccccc1 ZINC001192908979 1049147367 /nfs/dbraw/zinc/14/73/67/1049147367.db2.gz AWJRNFHNDKQINR-CQSZACIVSA-N 0 3 321.367 4.411 20 0 DIADHN Brc1ccc(/C=C/CCNCc2nccs2)cc1 ZINC001179244138 1049148038 /nfs/dbraw/zinc/14/80/38/1049148038.db2.gz WXBVMOFBJWUKKN-HNQUOIGGSA-N 0 3 323.259 4.099 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ncccc3C)CC2)cc1C ZINC001204357281 1049149316 /nfs/dbraw/zinc/14/93/16/1049149316.db2.gz RIBVXEYEAIMESC-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN CCCCCCOc1ccc(CN2CCOC[C@H](C)C2)cc1 ZINC001204365144 1049151004 /nfs/dbraw/zinc/15/10/04/1049151004.db2.gz OLUSGIOWZOPIQO-QGZVFWFLSA-N 0 3 305.462 4.114 20 0 DIADHN CCCCCCOc1ccc(CN2CCOC[C@@H](C)C2)cc1 ZINC001204365142 1049151217 /nfs/dbraw/zinc/15/12/17/1049151217.db2.gz OLUSGIOWZOPIQO-KRWDZBQOSA-N 0 3 305.462 4.114 20 0 DIADHN C[C@H](CC1CCC1)NCc1nc2cc(Br)ccc2o1 ZINC001179285592 1049151241 /nfs/dbraw/zinc/15/12/41/1049151241.db2.gz NEJLFHVCRZOVSK-SNVBAGLBSA-N 0 3 323.234 4.259 20 0 DIADHN CCCc1ccc(CN2CCN(CCC(C)(C)C)CC2)s1 ZINC001204374353 1049153587 /nfs/dbraw/zinc/15/35/87/1049153587.db2.gz IVNOBADSMYYLQS-UHFFFAOYSA-N 0 3 308.535 4.254 20 0 DIADHN CC(=O)n1cc(CN2CC[C@@H](C)C(F)(F)CC2)c2ccccc21 ZINC001204404061 1049155690 /nfs/dbraw/zinc/15/56/90/1049155690.db2.gz CPIUUAJHYNMQAD-CYBMUJFWSA-N 0 3 320.383 4.169 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@@H](Oc3cccnc3)C2)cc1 ZINC001204398245 1049155759 /nfs/dbraw/zinc/15/57/59/1049155759.db2.gz WKQMPOPRFCTRNT-LJQANCHMSA-N 0 3 310.441 4.032 20 0 DIADHN CC(=O)n1cc(CN2CCC3(CC(F)C3)CC2)c2ccccc21 ZINC001204405298 1049155933 /nfs/dbraw/zinc/15/59/33/1049155933.db2.gz RJRXPOLLUHUIDD-UHFFFAOYSA-N 0 3 314.404 4.016 20 0 DIADHN Cc1scc(CNCc2cc(F)cc3cccnc32)c1C ZINC001179333325 1049157534 /nfs/dbraw/zinc/15/75/34/1049157534.db2.gz ULBVXXKRNXWQFH-UHFFFAOYSA-N 0 3 300.402 4.342 20 0 DIADHN C[C@H](c1ccco1)N(C)C[C@H](O)c1cccc(C(F)(F)F)c1 ZINC000069066312 1049157688 /nfs/dbraw/zinc/15/76/88/1049157688.db2.gz XWGQMSGXGPUODZ-RISCZKNCSA-N 0 3 313.319 4.025 20 0 DIADHN CC[C@H](C[C@H](C)O)NCc1c(Cl)cccc1SC(C)C ZINC001179401537 1049159793 /nfs/dbraw/zinc/15/97/93/1049159793.db2.gz GYWOLHXGMVCNNN-QWHCGFSZSA-N 0 3 315.910 4.480 20 0 DIADHN CO[C@@H](Cc1ccccc1)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001193003248 1049160814 /nfs/dbraw/zinc/16/08/14/1049160814.db2.gz QVUSUZATGXXOLE-INIZCTEOSA-N 0 3 321.823 4.169 20 0 DIADHN Clc1c(CNCCCCC2CC2)cnn1Cc1ccccc1 ZINC001179366527 1049161476 /nfs/dbraw/zinc/16/14/76/1049161476.db2.gz ZVSIQAUGPZJQIS-UHFFFAOYSA-N 0 3 317.864 4.255 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@@H]2C[C@H]2C(F)(F)F)cc1 ZINC001179418736 1049167226 /nfs/dbraw/zinc/16/72/26/1049167226.db2.gz BDJWGNOAXRZUGT-HZPDHXFCSA-N 0 3 321.342 4.403 20 0 DIADHN CCCCC[C@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000775569337 1049173122 /nfs/dbraw/zinc/17/31/22/1049173122.db2.gz UFPDDYGQQXGZBS-KSSFIOAISA-N 0 3 303.425 4.207 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNCCC1CCC1 ZINC001179502585 1049175378 /nfs/dbraw/zinc/17/53/78/1049175378.db2.gz TYCAHKCLZIFDRB-UHFFFAOYSA-N 0 3 303.837 4.114 20 0 DIADHN CC[C@@H]1CCC[C@H](CNCc2noc3c2CC(C)(C)CC3)C1 ZINC001179501712 1049176040 /nfs/dbraw/zinc/17/60/40/1049176040.db2.gz DONOGIPSSNUORK-CABCVRRESA-N 0 3 304.478 4.496 20 0 DIADHN COc1c(C)cnc(CN2CCCC[C@@H]2c2ccccc2)c1C ZINC001193054500 1049176332 /nfs/dbraw/zinc/17/63/32/1049176332.db2.gz MILVEWUQLPYBIM-LJQANCHMSA-N 0 3 310.441 4.434 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC(C)(C)O1)c1nc2ccccc2s1 ZINC001193083496 1049176466 /nfs/dbraw/zinc/17/64/66/1049176466.db2.gz WCXFMHIKORRJSN-OLZOCXBDSA-N 0 3 304.459 4.295 20 0 DIADHN CCN(Cc1cccc(OC)c1)Cc1ccc2ccccc2n1 ZINC001204505749 1049177138 /nfs/dbraw/zinc/17/71/38/1049177138.db2.gz SLXMZEWQYUFGLG-UHFFFAOYSA-N 0 3 306.409 4.266 20 0 DIADHN Clc1ccc(-c2nc(CNCC[C@H]3CCCO3)cs2)cc1 ZINC001179588371 1049181002 /nfs/dbraw/zinc/18/10/02/1049181002.db2.gz BDICDXBJZHBNDT-OAHLLOKOSA-N 0 3 322.861 4.122 20 0 DIADHN Cc1cc(CN2CCC(n3cnc4ccccc43)CC2)oc1C ZINC001204541782 1049184178 /nfs/dbraw/zinc/18/41/78/1049184178.db2.gz ONUJBDJGEVSBBM-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN Cc1ncc(CN[C@@H](CC(C)C)c2ccc(Cl)cc2)n1C ZINC000568770037 1049184716 /nfs/dbraw/zinc/18/47/16/1049184716.db2.gz NXZGUEIUHHQLDJ-KRWDZBQOSA-N 0 3 305.853 4.259 20 0 DIADHN C[C@H]1Cc2cc(CN3CCC[C@@H]3c3ccc(O)cc3)ccc2O1 ZINC001204565910 1049189463 /nfs/dbraw/zinc/18/94/63/1049189463.db2.gz RBIHGGODFIQGCV-IFXJQAMLSA-N 0 3 309.409 4.053 20 0 DIADHN CCCC[C@@H](NCc1sncc1Br)C1CCC1 ZINC001193239699 1049190794 /nfs/dbraw/zinc/19/07/94/1049190794.db2.gz RKJQTSJRMZVISO-GFCCVEGCSA-N 0 3 317.296 4.354 20 0 DIADHN COc1ccc(CN2[C@H](C)Cc3cc(OC)ccc3[C@H]2C)cc1 ZINC001193272446 1049191849 /nfs/dbraw/zinc/19/18/49/1049191849.db2.gz MTXANPCNOKTZJF-HUUCEWRRSA-N 0 3 311.425 4.212 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H]1CCCC2(CCC2)O1 ZINC001179695943 1049193584 /nfs/dbraw/zinc/19/35/84/1049193584.db2.gz NMPAWEFQCDNNMT-OAHLLOKOSA-N 0 3 323.864 4.320 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H]1CCCC2(CCC2)O1 ZINC001179695942 1049193941 /nfs/dbraw/zinc/19/39/41/1049193941.db2.gz NMPAWEFQCDNNMT-HNNXBMFYSA-N 0 3 323.864 4.320 20 0 DIADHN C[C@]1(CNCc2cc(C(F)(F)F)ccc2Cl)CCCCO1 ZINC001179700505 1049194108 /nfs/dbraw/zinc/19/41/08/1049194108.db2.gz OGHPVXWYGNVAIB-CQSZACIVSA-N 0 3 321.770 4.408 20 0 DIADHN Fc1ccc(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)c(F)c1 ZINC001179670583 1049195466 /nfs/dbraw/zinc/19/54/66/1049195466.db2.gz XFIYGCDFEYLMHK-YEORSEQZSA-N 0 3 309.306 4.279 20 0 DIADHN CCOc1cccc([C@H](C)NC2(c3ccc(OC)cc3)CC2)c1 ZINC000776960384 1049195750 /nfs/dbraw/zinc/19/57/50/1049195750.db2.gz PGPLFVHHQUZQGN-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@@H]2C(C)=CCC[C@@H]2C)c1C ZINC001204601010 1049196202 /nfs/dbraw/zinc/19/62/02/1049196202.db2.gz AQCLWUAPCBPRLY-FUHWJXTLSA-N 0 3 314.473 4.166 20 0 DIADHN CCOc1cccc([C@@H](C)NC2(c3ccc(OC)cc3)CC2)c1 ZINC000776960385 1049196416 /nfs/dbraw/zinc/19/64/16/1049196416.db2.gz PGPLFVHHQUZQGN-OAHLLOKOSA-N 0 3 311.425 4.434 20 0 DIADHN Brc1ccc2c(c1)CCN(C[C@@H]1CC[C@@H]3C[C@@H]3C1)C2 ZINC001193346347 1049198976 /nfs/dbraw/zinc/19/89/76/1049198976.db2.gz QGMLVKXFDVLMEA-IOASZLSFSA-N 0 3 320.274 4.243 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1ccc(C)cc1 ZINC001204618882 1049202828 /nfs/dbraw/zinc/20/28/28/1049202828.db2.gz YLTZDLJASNTKNS-DLBZAZTESA-N 0 3 302.462 4.082 20 0 DIADHN FC1(c2cccc(Cl)c2)CC(N[C@@H]2CCCc3c[nH]nc32)C1 ZINC000857865550 1049203898 /nfs/dbraw/zinc/20/38/98/1049203898.db2.gz NCYCULFOBIYGMD-ISXOHVOVSA-N 0 3 319.811 4.058 20 0 DIADHN Cc1ccc(COc2ccc(CNC/C=C/Cl)cc2)cc1 ZINC001179747896 1049203869 /nfs/dbraw/zinc/20/38/69/1049203869.db2.gz QRIJFHMRYQLULF-BIIKFXOESA-N 0 3 301.817 4.416 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN[C@@H]1CCOC12CCCC2 ZINC001179755760 1049205640 /nfs/dbraw/zinc/20/56/40/1049205640.db2.gz RZMATVBGZHLHGP-QGZVFWFLSA-N 0 3 313.397 4.263 20 0 DIADHN COc1ccc(C[C@H]2CCN2C/C=C\c2ccc(F)cc2)cc1 ZINC001204633735 1049206917 /nfs/dbraw/zinc/20/69/17/1049206917.db2.gz YESHABMAZQMHKJ-FFPCURRISA-N 0 3 311.400 4.165 20 0 DIADHN CC(C)(C)C1CCN(Cc2cnn(Cc3ccccc3)c2)CC1 ZINC001193438168 1049207533 /nfs/dbraw/zinc/20/75/33/1049207533.db2.gz RLJPZHZSRJSEJC-UHFFFAOYSA-N 0 3 311.473 4.190 20 0 DIADHN C[C@@H](CN[C@@H]1CCc2ccc(Cl)nc21)Sc1ccccc1 ZINC000857907480 1049208923 /nfs/dbraw/zinc/20/89/23/1049208923.db2.gz PWOXHEYEJYPGTE-SWLSCSKDSA-N 0 3 318.873 4.493 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857907045 1049209492 /nfs/dbraw/zinc/20/94/92/1049209492.db2.gz KYSIZSQEPZMYGM-XJKSGUPXSA-N 0 3 316.832 4.088 20 0 DIADHN Clc1cncc(Cl)c1CNCCCc1ccsc1 ZINC001179818727 1049210937 /nfs/dbraw/zinc/21/09/37/1049210937.db2.gz ZYUIZKUXYFPXMG-UHFFFAOYSA-N 0 3 301.242 4.172 20 0 DIADHN FC1(F)CCCC[C@@H]1CN[C@H]1CCc2ccc(Cl)nc21 ZINC000857913685 1049210909 /nfs/dbraw/zinc/21/09/09/1049210909.db2.gz MRGZAMLZRNJLRB-NEPJUHHUSA-N 0 3 300.780 4.137 20 0 DIADHN Cc1cc(CN(Cc2ccc(F)cc2)CC2CC2)cnc1F ZINC001204650400 1049211920 /nfs/dbraw/zinc/21/19/20/1049211920.db2.gz DNQPDILVLRRTCH-UHFFFAOYSA-N 0 3 302.368 4.080 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC3(CCCCC3)CC2)cc1 ZINC001193430421 1049212013 /nfs/dbraw/zinc/21/20/13/1049212013.db2.gz ITQOSMBEXZWGCU-UHFFFAOYSA-N 0 3 314.473 4.370 20 0 DIADHN CN(Cc1cccnc1C(F)(F)F)CC1(c2ccccc2)CC1 ZINC001193421351 1049212158 /nfs/dbraw/zinc/21/21/58/1049212158.db2.gz DCOYHPBCCSDTOC-UHFFFAOYSA-N 0 3 320.358 4.264 20 0 DIADHN Nc1c(F)cccc1CN1CC[C@@H](CSc2ccccc2)C1 ZINC001179855079 1049216397 /nfs/dbraw/zinc/21/63/97/1049216397.db2.gz NQSKJPFYXJORKZ-CQSZACIVSA-N 0 3 316.445 4.022 20 0 DIADHN Fc1ccc([C@H](NCc2ccnc(F)c2)C2CCCC2)cc1 ZINC000858115158 1049219562 /nfs/dbraw/zinc/21/95/62/1049219562.db2.gz FRZBOMVLUPYURR-GOSISDBHSA-N 0 3 302.368 4.381 20 0 DIADHN C[C@@H]1C[C@H]1[C@H](NCc1ccnc(F)c1)c1ccc(Cl)cc1 ZINC000858146301 1049221599 /nfs/dbraw/zinc/22/15/99/1049221599.db2.gz CCEOBFKFOFVGJC-RGYTYGDFSA-N 0 3 304.796 4.361 20 0 DIADHN COc1cc2ccccc2cc1CN1CCS[C@@H](C(C)C)C1 ZINC001204681387 1049222379 /nfs/dbraw/zinc/22/23/79/1049222379.db2.gz KADFXLXAWLUMBJ-LJQANCHMSA-N 0 3 315.482 4.422 20 0 DIADHN CC[C@H](NC1(c2ccc(F)cc2)CC1)c1ccc2c(c1)CCO2 ZINC000777007250 1049226387 /nfs/dbraw/zinc/22/63/87/1049226387.db2.gz KBNIPBHOVHEFJY-SFHVURJKSA-N 0 3 311.400 4.491 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2ccc(CC(C)C)cc2)cc1 ZINC000858250219 1049231008 /nfs/dbraw/zinc/23/10/08/1049231008.db2.gz KKKFOIUWCZHQGC-UHFFFAOYSA-N 0 3 324.468 4.158 20 0 DIADHN CC(=O)N(C)c1ccc(CNCc2c(C)oc3ccccc32)cc1 ZINC000858250119 1049231764 /nfs/dbraw/zinc/23/17/64/1049231764.db2.gz IPKOPEPQOYGKRF-UHFFFAOYSA-N 0 3 322.408 4.014 20 0 DIADHN C[C@H]1CCN(C[C@@H](O)c2c(F)cccc2F)CC12CCCCC2 ZINC001193637238 1049235048 /nfs/dbraw/zinc/23/50/48/1049235048.db2.gz SKFDSEOUJJYVJD-WMLDXEAASA-N 0 3 323.427 4.291 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](C)C3(CCCCC3)C2)cc1 ZINC001193637068 1049235963 /nfs/dbraw/zinc/23/59/63/1049235963.db2.gz QIQPZMCORBQMPQ-KRWDZBQOSA-N 0 3 314.473 4.226 20 0 DIADHN Cc1cccc([C@@H](NCc2ncc(CN(C)C)s2)C(C)C)c1 ZINC000858286833 1049235987 /nfs/dbraw/zinc/23/59/87/1049235987.db2.gz HYBBBPYRMUQMBS-SFHVURJKSA-N 0 3 317.502 4.000 20 0 DIADHN CNc1ccc(C)cc1CN1CCC(C(=O)c2ccccc2)CC1 ZINC001179951527 1049236056 /nfs/dbraw/zinc/23/60/56/1049236056.db2.gz KLMVYVNBYIFMII-UHFFFAOYSA-N 0 3 322.452 4.132 20 0 DIADHN Cc1cccc(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)c1F ZINC001179952011 1049236095 /nfs/dbraw/zinc/23/60/95/1049236095.db2.gz NQAXNPFTCNRWLJ-HZPDHXFCSA-N 0 3 319.445 4.284 20 0 DIADHN CCCCCCOc1cccc(CNCc2ccc[nH]c2=O)c1 ZINC000858304420 1049236936 /nfs/dbraw/zinc/23/69/36/1049236936.db2.gz RQKNLPACUYBANP-UHFFFAOYSA-N 0 3 314.429 4.036 20 0 DIADHN Cc1cccc(C)c1CN1CCN([C@H](C)c2ccsc2)CC1 ZINC001193637428 1049237264 /nfs/dbraw/zinc/23/72/64/1049237264.db2.gz WTDIESZEZKYBJG-QGZVFWFLSA-N 0 3 314.498 4.244 20 0 DIADHN Cc1ccccc1OCCCN(C)Cc1ccc(C(F)F)nc1 ZINC001204713746 1049237556 /nfs/dbraw/zinc/23/75/56/1049237556.db2.gz HDBIPROJEWXMMU-UHFFFAOYSA-N 0 3 320.383 4.229 20 0 DIADHN CC[C@@H](NCc1cc(C(F)(F)F)n[nH]1)c1cc(C)ccc1C ZINC000858296538 1049238058 /nfs/dbraw/zinc/23/80/58/1049238058.db2.gz WITVBVOWKZETLA-CQSZACIVSA-N 0 3 311.351 4.286 20 0 DIADHN c1[nH]nc(-c2cccnc2)c1CN1CCCC2(CCCC2)CC1 ZINC000668478763 1049238919 /nfs/dbraw/zinc/23/89/19/1049238919.db2.gz QMGHXIIQYZEXRC-UHFFFAOYSA-N 0 3 310.445 4.018 20 0 DIADHN CO[C@@H](C)C1CN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204717334 1049239292 /nfs/dbraw/zinc/23/92/92/1049239292.db2.gz IBFSAWPFPLFCJM-LBPRGKRZSA-N 0 3 305.805 4.067 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1sncc1Br ZINC001193648541 1049240534 /nfs/dbraw/zinc/24/05/34/1049240534.db2.gz GXTKPGGPYOBHDI-ZYHUDNBSSA-N 0 3 317.296 4.354 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H](c1ccco1)N(C)C ZINC000195615624 1049241320 /nfs/dbraw/zinc/24/13/20/1049241320.db2.gz WZUYSLOOHFWJLQ-HOCLYGCPSA-N 0 3 312.413 4.288 20 0 DIADHN C/C(=C/c1ccccc1OC(F)F)CN[C@H](C)c1ccns1 ZINC000858318218 1049241631 /nfs/dbraw/zinc/24/16/31/1049241631.db2.gz MMFHMLJUTLTUQT-UCQJPZFISA-N 0 3 324.396 4.499 20 0 DIADHN CC1(C)CN(Cc2ccc3c(c2)CCCO3)Cc2ccccc21 ZINC001179957624 1049248697 /nfs/dbraw/zinc/24/86/97/1049248697.db2.gz PQFOAFBUHRUVGL-UHFFFAOYSA-N 0 3 307.437 4.305 20 0 DIADHN Fc1ccc(OC2CCN(Cc3ccccc3)CC2)c(F)c1 ZINC000339011318 1049250975 /nfs/dbraw/zinc/25/09/75/1049250975.db2.gz FJDLLHSNEXHCLA-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cn(C(C)C)nc2C)C1(C)C ZINC001179963228 1049253119 /nfs/dbraw/zinc/25/31/19/1049253119.db2.gz XZQPHGVQSPTDPP-QZTJIDSGSA-N 0 3 321.509 4.188 20 0 DIADHN ClC1(Cl)C[C@@H]1CCCN[C@@H]1CCCc2scnc21 ZINC000858429085 1049256134 /nfs/dbraw/zinc/25/61/34/1049256134.db2.gz BNQIQZNJNVECNL-VHSXEESVSA-N 0 3 305.274 4.084 20 0 DIADHN CCc1noc(C)c1[C@H](C)N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21 ZINC000858457665 1049259812 /nfs/dbraw/zinc/25/98/12/1049259812.db2.gz LJLDQBGDKOYSEI-JRXCTEAYSA-N 0 3 316.832 4.188 20 0 DIADHN CCc1ccccc1CN1CCN(C/C=C\c2ccccc2)CC1 ZINC001180042675 1049260091 /nfs/dbraw/zinc/26/00/91/1049260091.db2.gz HDEROPXJWFDZJM-FLIBITNWSA-N 0 3 320.480 4.080 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@H](C)c2ccc(Cl)s2)cc1 ZINC000858495113 1049263167 /nfs/dbraw/zinc/26/31/67/1049263167.db2.gz VBMNNQQVHLWEAU-LLVKDONJSA-N 0 3 322.861 4.235 20 0 DIADHN CCc1cccc(F)c1CN(CC)C[C@@H](O)c1ccc(C)cc1 ZINC001180091727 1049264757 /nfs/dbraw/zinc/26/47/57/1049264757.db2.gz FERBXONRDXSGLV-HXUWFJFHSA-N 0 3 315.432 4.252 20 0 DIADHN c1nc2cc(CN3CCS[C@@H]4CCCC[C@@H]43)ccc2s1 ZINC001180051410 1049265601 /nfs/dbraw/zinc/26/56/01/1049265601.db2.gz GPEMTERDDMXGLL-GOEBONIOSA-N 0 3 304.484 4.156 20 0 DIADHN CC(=O)N(C)c1ccc(CN[C@@H](C)c2ccc(Cl)s2)cc1 ZINC000858495114 1049265914 /nfs/dbraw/zinc/26/59/14/1049265914.db2.gz VBMNNQQVHLWEAU-NSHDSACASA-N 0 3 322.861 4.235 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1cc(Cl)c(N)c(Cl)c1 ZINC000858519248 1049270197 /nfs/dbraw/zinc/27/01/97/1049270197.db2.gz XKCZPZVKJNOBNV-JTQLQIEISA-N 0 3 310.228 4.130 20 0 DIADHN CCCn1cncc1CN1CC[C@@](C)(CCc2ccccc2)C1 ZINC001180081386 1049273310 /nfs/dbraw/zinc/27/33/10/1049273310.db2.gz JYTJIOBMTKJXTI-HXUWFJFHSA-N 0 3 311.473 4.138 20 0 DIADHN CCOc1ccc(CN(C)[C@H]2C[C@H](OCC)C23CCCCC3)o1 ZINC001180097399 1049273881 /nfs/dbraw/zinc/27/38/81/1049273881.db2.gz DDEDTSYEUAPUFO-IRXDYDNUSA-N 0 3 321.461 4.238 20 0 DIADHN CCOc1ccc(CN(C)[C@H]2C[C@@H](OCC)C23CCCCC3)o1 ZINC001180097397 1049274098 /nfs/dbraw/zinc/27/40/98/1049274098.db2.gz DDEDTSYEUAPUFO-DLBZAZTESA-N 0 3 321.461 4.238 20 0 DIADHN Cc1ccc(-c2ccccc2CN(C)Cc2cn[nH]c2)c(C)c1 ZINC001180132440 1049281499 /nfs/dbraw/zinc/28/14/99/1049281499.db2.gz FHBQORLRYJVEPK-UHFFFAOYSA-N 0 3 305.425 4.326 20 0 DIADHN CC(C)[C@H]1CN(Cc2cn(C)nc2C2CCCCC2)CCS1 ZINC001180142045 1049282121 /nfs/dbraw/zinc/28/21/21/1049282121.db2.gz KMADTQPIDMPWJU-QGZVFWFLSA-N 0 3 321.534 4.041 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@@H]1CCCc3n[nH]cc31)CCC2 ZINC000858601549 1049282468 /nfs/dbraw/zinc/28/24/68/1049282468.db2.gz WRAKQLVROLPOMX-HZPDHXFCSA-N 0 3 301.821 4.108 20 0 DIADHN CCOc1cc(CN[C@H](c2ccccc2F)C(C)C)ccc1O ZINC000668515500 1049283218 /nfs/dbraw/zinc/28/32/18/1049283218.db2.gz BXAMJLOWNSJIJW-IBGZPJMESA-N 0 3 317.404 4.417 20 0 DIADHN C[C@@H](NC[C@H]1CCCC12CC2)c1nc(Br)cs1 ZINC000858594163 1049283381 /nfs/dbraw/zinc/28/33/81/1049283381.db2.gz MVJCDFIZNQZOHU-NXEZZACHSA-N 0 3 315.280 4.137 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)c2ccc(F)cc2C)C2CC2)cn1 ZINC000858604682 1049284504 /nfs/dbraw/zinc/28/45/04/1049284504.db2.gz OCRGKRUPBRZGKO-ORAYPTAESA-N 0 3 314.404 4.340 20 0 DIADHN Clc1cccc(C2=CCN(C[C@@H]3C[C@@H]4CCC[C@H]4O3)CC2)c1 ZINC001193990648 1049286279 /nfs/dbraw/zinc/28/62/79/1049286279.db2.gz PBAQAKQCKOBYGG-YTQUADARSA-N 0 3 317.860 4.387 20 0 DIADHN CCO[C@H]1C[C@@H]([N@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098625 1049286470 /nfs/dbraw/zinc/28/64/70/1049286470.db2.gz NNYNMZMGRZEHEC-MSOLQXFVSA-N 0 3 321.436 4.091 20 0 DIADHN CCO[C@H]1C[C@@H]([N@@H+](C)Cc2ccc([O-])c(F)c2)C12CCCCC2 ZINC001180098625 1049286484 /nfs/dbraw/zinc/28/64/84/1049286484.db2.gz NNYNMZMGRZEHEC-MSOLQXFVSA-N 0 3 321.436 4.091 20 0 DIADHN C[C@H](CC1CCCCC1)NC(=O)Nc1cccc(CN(C)C)c1 ZINC000485616869 1049289952 /nfs/dbraw/zinc/28/99/52/1049289952.db2.gz SXPOAJCTSQMJSL-OAHLLOKOSA-N 0 3 317.477 4.229 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2cc3c(cccc3C)[nH]2)cc1 ZINC001180115935 1049292356 /nfs/dbraw/zinc/29/23/56/1049292356.db2.gz DOVHCMFHPMPFGZ-SFHVURJKSA-N 0 3 320.436 4.302 20 0 DIADHN Fc1cc(CN(CCc2ccccc2)C2CCCC2)c(F)cn1 ZINC001180178669 1049293579 /nfs/dbraw/zinc/29/35/79/1049293579.db2.gz YZELYCMQZRUIMC-UHFFFAOYSA-N 0 3 316.395 4.347 20 0 DIADHN CCN(Cc1ccccn1)Cc1c(C)cc(C)c(COC)c1C ZINC001204881322 1049295338 /nfs/dbraw/zinc/29/53/38/1049295338.db2.gz OOSKYWNCRSHHML-UHFFFAOYSA-N 0 3 312.457 4.175 20 0 DIADHN Cc1cc(CN2CCCC[C@H]2Cc2ccc(F)cc2)cnc1F ZINC001180183777 1049297377 /nfs/dbraw/zinc/29/73/77/1049297377.db2.gz HADBGTUGCYXXED-SFHVURJKSA-N 0 3 316.395 4.265 20 0 DIADHN CCCn1cncc1CN1CCCC[C@H]1Cc1ccc(F)cc1 ZINC001180183419 1049298112 /nfs/dbraw/zinc/29/81/12/1049298112.db2.gz DHQLZQVXNLWRSI-SFHVURJKSA-N 0 3 315.436 4.029 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC001204888131 1049299376 /nfs/dbraw/zinc/29/93/76/1049299376.db2.gz DMRPIQCKKUXEIH-YSVLISHTSA-N 0 3 321.436 4.244 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC001204888126 1049300036 /nfs/dbraw/zinc/30/00/36/1049300036.db2.gz DMRPIQCKKUXEIH-JLJPHGGASA-N 0 3 321.436 4.244 20 0 DIADHN CC[C@@H]1CN(C[C@@H](C)c2ccc(C(F)(F)F)cc2)C[C@H](C)O1 ZINC001204899539 1049303304 /nfs/dbraw/zinc/30/33/04/1049303304.db2.gz RHVKLTBZCQKMTL-DVOMOZLQSA-N 0 3 315.379 4.308 20 0 DIADHN CCc1oc(CN2CCCC3(CCCCC3)C2)cc1C(=O)OC ZINC001204923241 1049310151 /nfs/dbraw/zinc/31/01/51/1049310151.db2.gz JVOTUPJONKDMLB-UHFFFAOYSA-N 0 3 319.445 4.175 20 0 DIADHN CCOc1cc(C[NH2+]Cc2cc(C)cc(C)c2)cc(Cl)c1[O-] ZINC000668531755 1049312492 /nfs/dbraw/zinc/31/24/92/1049312492.db2.gz ZGBJZTYYTIPRJX-UHFFFAOYSA-N 0 3 319.832 4.351 20 0 DIADHN CCOc1cc(CNCc2cc(C)cc(C)c2)cc(Cl)c1O ZINC000668531755 1049312502 /nfs/dbraw/zinc/31/25/02/1049312502.db2.gz ZGBJZTYYTIPRJX-UHFFFAOYSA-N 0 3 319.832 4.351 20 0 DIADHN C[C@@H](CCN1CCO[C@](C)(c2ccccc2)C1)c1ccccc1 ZINC001180230163 1049312892 /nfs/dbraw/zinc/31/28/92/1049312892.db2.gz ZFWAVMURGHGPNA-RXVVDRJESA-N 0 3 309.453 4.428 20 0 DIADHN COc1ccc(F)c(CN2CC[C@H](c3ccc(Cl)cc3)C2)c1 ZINC001204923717 1049313125 /nfs/dbraw/zinc/31/31/25/1049313125.db2.gz NQFAWUAIWALSCJ-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001180199424 1049316136 /nfs/dbraw/zinc/31/61/36/1049316136.db2.gz SZDJFIIZVNTLNO-RISCZKNCSA-N 0 3 301.352 4.334 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4c(o3)CCCC4)C2)c(C)c1 ZINC001194074216 1049316796 /nfs/dbraw/zinc/31/67/96/1049316796.db2.gz QKJLKDFPDJFLOU-MRXNPFEDSA-N 0 3 310.441 4.160 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000485708562 1049318718 /nfs/dbraw/zinc/31/87/18/1049318718.db2.gz ASFRQFGDRHMPJQ-PBHICJAKSA-N 0 3 308.466 4.438 20 0 DIADHN COc1cccc(CN(C)CCCCc2ccccc2)c1F ZINC001180240498 1049319287 /nfs/dbraw/zinc/31/92/87/1049319287.db2.gz ZHIBIFKXKMPLMX-UHFFFAOYSA-N 0 3 301.405 4.289 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCCN(c2ccccc2F)CC1 ZINC001194116379 1049320475 /nfs/dbraw/zinc/32/04/75/1049320475.db2.gz QGXNHEJVNKSJOX-HNNXBMFYSA-N 0 3 316.395 4.238 20 0 DIADHN CO[C@](C)(CN(C)[C@@H](C)c1nc2ccccc2s1)C1CC1 ZINC001180249577 1049321097 /nfs/dbraw/zinc/32/10/97/1049321097.db2.gz RWMDUXCNEUBJPR-YVEFUNNKSA-N 0 3 304.459 4.104 20 0 DIADHN Fc1cc(Cl)cc(CN[C@H](c2ccccc2)[C@H]2CCOC2)c1 ZINC000485697594 1049321310 /nfs/dbraw/zinc/32/13/10/1049321310.db2.gz SWINJCQMGRNRKS-MAUKXSAKSA-N 0 3 319.807 4.347 20 0 DIADHN CC[C@H]1CCC[C@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000485697084 1049322028 /nfs/dbraw/zinc/32/20/28/1049322028.db2.gz OPXIJSUYAYMNPU-GJZGRUSLSA-N 0 3 308.466 4.296 20 0 DIADHN CSCCCCCCC(=O)Nc1ccc(C)cc1CN(C)C ZINC000859386355 1049330905 /nfs/dbraw/zinc/33/09/05/1049330905.db2.gz MHASEUHWTHEXTG-UHFFFAOYSA-N 0 3 322.518 4.309 20 0 DIADHN C[C@@H](NCc1cc(F)cc(F)c1)c1cccc(-n2cccn2)c1 ZINC000163017099 1049338716 /nfs/dbraw/zinc/33/87/16/1049338716.db2.gz DWHIJKRUKSAIQC-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN C[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1sc(N(C)C)nc1Cl ZINC001180297394 1049340168 /nfs/dbraw/zinc/34/01/68/1049340168.db2.gz WBVNVBNUWKJLDN-IJLUTSLNSA-N 0 3 313.898 4.016 20 0 DIADHN O[C@@]1(c2ccccc2)CCCN(Cc2cccc(C(F)F)c2)C1 ZINC000859589788 1049340389 /nfs/dbraw/zinc/34/03/89/1049340389.db2.gz PHTBNXXQMQHBMG-IBGZPJMESA-N 0 3 317.379 4.108 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1sc(N(C)C)nc1Cl ZINC001180297396 1049340633 /nfs/dbraw/zinc/34/06/33/1049340633.db2.gz WBVNVBNUWKJLDN-WOPDTQHZSA-N 0 3 313.898 4.016 20 0 DIADHN CCOC[C@@H](NCc1cc(-c2ccc(Cl)cc2)no1)C(C)C ZINC001194193521 1049341047 /nfs/dbraw/zinc/34/10/47/1049341047.db2.gz URKGSXCODWEJPJ-QGZVFWFLSA-N 0 3 322.836 4.146 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc(CF)cc2)cc1F ZINC000859644594 1049342081 /nfs/dbraw/zinc/34/20/81/1049342081.db2.gz LWNSSAZTBGGQHS-UHFFFAOYSA-N 0 3 305.368 4.329 20 0 DIADHN C[C@H](CCN1CCN(Cc2ccsc2)CC1)c1ccccc1 ZINC001180359362 1049342616 /nfs/dbraw/zinc/34/26/16/1049342616.db2.gz JHHLOXVSHCZLHD-QGZVFWFLSA-N 0 3 314.498 4.060 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001180593086 1049388526 /nfs/dbraw/zinc/38/85/26/1049388526.db2.gz KQKNXXXVUKBKQK-BFHYXJOUSA-N 0 3 303.393 4.472 20 0 DIADHN CC(C)[C@@H]1CCN1Cc1cnc(CCc2ccccc2)s1 ZINC001180327629 1049345246 /nfs/dbraw/zinc/34/52/46/1049345246.db2.gz ZIACXKMJGBXPAR-KRWDZBQOSA-N 0 3 300.471 4.159 20 0 DIADHN C[C@@H](NCCOC1CCC1)c1cc(Cl)ccc1OC(F)F ZINC000777120028 1049349620 /nfs/dbraw/zinc/34/96/20/1049349620.db2.gz SJHMKEGBXWVIOQ-SNVBAGLBSA-N 0 3 319.779 4.161 20 0 DIADHN Cc1ccc(C)c(N2CCN(Cc3cccc4c3CCC4)CC2)c1 ZINC001180379007 1049349814 /nfs/dbraw/zinc/34/98/14/1049349814.db2.gz PLDLHLDPWGKNAA-UHFFFAOYSA-N 0 3 320.480 4.114 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN2CCC[C@@H]2c2ccccc2)o1 ZINC001205040167 1049350929 /nfs/dbraw/zinc/35/09/29/1049350929.db2.gz UOJLEWPTYGWROY-GOSISDBHSA-N 0 3 321.424 4.326 20 0 DIADHN C[C@@H](NCc1ccc(-c2cnco2)cc1)c1ccc2c(c1)COC2 ZINC000906523920 1049351348 /nfs/dbraw/zinc/35/13/48/1049351348.db2.gz AEYVXZLPTZMAGO-CQSZACIVSA-N 0 3 320.392 4.223 20 0 DIADHN C[C@@H](NCc1ccc(-c2cnco2)cc1)c1ccccc1N(C)C ZINC000906525830 1049351983 /nfs/dbraw/zinc/35/19/83/1049351983.db2.gz PVIAAIVDWYVISL-OAHLLOKOSA-N 0 3 321.424 4.258 20 0 DIADHN COc1cc(CN2CCC[C@@](C)(c3ccccc3)CC2)sn1 ZINC001194263237 1049352244 /nfs/dbraw/zinc/35/22/44/1049352244.db2.gz VMDXVKGHOFNRQV-GOSISDBHSA-N 0 3 316.470 4.096 20 0 DIADHN CO[C@H](CC(C)C)CN(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC001180404689 1049352256 /nfs/dbraw/zinc/35/22/56/1049352256.db2.gz WVAQSRHSZJNZFU-OLMNPRSZSA-N 0 3 305.462 4.429 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCc2ccc(-c3cnco3)cc2)s1 ZINC000906525840 1049352654 /nfs/dbraw/zinc/35/26/54/1049352654.db2.gz QATBCGBXBIIQQT-LLVKDONJSA-N 0 3 313.426 4.266 20 0 DIADHN CCCCN(Cc1ccncc1Cl)[C@@H]1CCCC[C@H]1OC ZINC001194348236 1049359067 /nfs/dbraw/zinc/35/90/67/1049359067.db2.gz IKCMOOCEUXCJNH-IAGOWNOFSA-N 0 3 310.869 4.295 20 0 DIADHN Fc1ccc2oc(CN3C[C@@H]4C[C@@H](c5ccccc5)[C@@H]4C3)nc2c1 ZINC000859929786 1049361924 /nfs/dbraw/zinc/36/19/24/1049361924.db2.gz KRUAOLBXHXBQFT-BHYGNILZSA-N 0 3 322.383 4.202 20 0 DIADHN CC(C)(C)COC(=O)Nc1ccccc1CN1CCCCC1 ZINC001194386776 1049366424 /nfs/dbraw/zinc/36/64/24/1049366424.db2.gz LWYRUFRNWBIQSS-UHFFFAOYSA-N 0 3 304.434 4.267 20 0 DIADHN Cc1ccc(CN2CCCc3ccccc3CC2)nc1Cl ZINC001194401199 1049368493 /nfs/dbraw/zinc/36/84/93/1049368493.db2.gz QAKHYBGPVCWZQO-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1)N1CCCCCCCC1 ZINC001194400559 1049368983 /nfs/dbraw/zinc/36/89/83/1049368983.db2.gz JUKAYKIGSTXYIL-CQSZACIVSA-N 0 3 308.853 4.323 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@@H]4CCCC[C@@H]4C3)sc2C1 ZINC001205083138 1049369448 /nfs/dbraw/zinc/36/94/48/1049369448.db2.gz UMTSZWOGGZMBEI-QLFBSQMISA-N 0 3 304.503 4.280 20 0 DIADHN c1cn(-c2ccccc2)c(CN2CCC[C@H]2Cc2ccccc2)n1 ZINC001180520334 1049370642 /nfs/dbraw/zinc/37/06/42/1049370642.db2.gz FRFNFEOYPUXQMI-FQEVSTJZSA-N 0 3 317.436 4.079 20 0 DIADHN Cc1ccccc1CN1CCN(Cc2ccc(C3CC3)cc2)CC1 ZINC001205088556 1049371107 /nfs/dbraw/zinc/37/11/07/1049371107.db2.gz KZTAIDPFABIQLK-UHFFFAOYSA-N 0 3 320.480 4.190 20 0 DIADHN Cc1cccc(CN2CCC3(Cc4ccccc4O3)CC2)c1C ZINC001205095412 1049372861 /nfs/dbraw/zinc/37/28/61/1049372861.db2.gz GLIHINWNNPVSNN-UHFFFAOYSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccccc1CN(C)Cc1cc2c(ccnc2Cl)o1 ZINC001180497767 1049377077 /nfs/dbraw/zinc/37/70/77/1049377077.db2.gz RUUNROMAICYJBV-UHFFFAOYSA-N 0 3 300.789 4.422 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N1C[C@@H]2CCCC[C@@H]2C1 ZINC001194436746 1049377255 /nfs/dbraw/zinc/37/72/55/1049377255.db2.gz XOSFVUVNEMLWIN-SOUVJXGZSA-N 0 3 320.864 4.097 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2ccc(Cl)cc2Cl)C12CCC2 ZINC001194444435 1049378937 /nfs/dbraw/zinc/37/89/37/1049378937.db2.gz BKNVWDVFSCNWKR-CABCVRRESA-N 0 3 314.256 4.431 20 0 DIADHN CO[C@]1(C)C[C@H](NCc2c(Cl)oc3ccccc32)C1(C)C ZINC001194444531 1049379677 /nfs/dbraw/zinc/37/96/77/1049379677.db2.gz BWMPREIASKEREY-WMLDXEAASA-N 0 3 307.821 4.379 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H]2CCO[C@@H](C(C)C)C2)cs1 ZINC000486049770 1049380790 /nfs/dbraw/zinc/38/07/90/1049380790.db2.gz FQNRRKFEEULUMI-SQWLQELKSA-N 0 3 310.507 4.368 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCN(C)C2(CCCCC2)C1 ZINC001194476732 1049381247 /nfs/dbraw/zinc/38/12/47/1049381247.db2.gz BXJKFNGDHBNVDA-OAHLLOKOSA-N 0 3 306.881 4.351 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OCCCc2ccccc2)C1 ZINC001205120076 1049384904 /nfs/dbraw/zinc/38/49/04/1049384904.db2.gz DBVXEEJHAFQRSN-UYAOXDASSA-N 0 3 324.468 4.256 20 0 DIADHN CC1=C(C)C[C@@H]([C@@H](C)NCc2nc(-c3ccccc3)no2)CC1 ZINC001180672101 1049391957 /nfs/dbraw/zinc/39/19/57/1049391957.db2.gz FANNIHJVFMIPNE-WBVHZDCISA-N 0 3 311.429 4.351 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CC[C@H](c2ccccc2F)C1 ZINC001194599108 1049398309 /nfs/dbraw/zinc/39/83/09/1049398309.db2.gz JTZVKVDLOJCKCS-GJYPPUQNSA-N 0 3 305.437 4.210 20 0 DIADHN FCC[C@@H]1CCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000668592639 1049398669 /nfs/dbraw/zinc/39/86/69/1049398669.db2.gz NXEOVMFVGIQQGA-LBPRGKRZSA-N 0 3 310.463 4.443 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCCC(F)(F)F)[C@H]2C ZINC001180750707 1049401749 /nfs/dbraw/zinc/40/17/49/1049401749.db2.gz FQIKERCXAGJCQP-RYUDHWBXSA-N 0 3 301.352 4.345 20 0 DIADHN Cc1ccccc1CN(CCc1cccnc1)Cc1ccccn1 ZINC001194649328 1049402959 /nfs/dbraw/zinc/40/29/59/1049402959.db2.gz RAIXPLWQOYJUNZ-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN Cc1cccc(C2=CCN(C[C@H]3C[C@@]3(C)Br)CC2)c1 ZINC001180768543 1049405049 /nfs/dbraw/zinc/40/50/49/1049405049.db2.gz GRWCIJSUDGSDKE-IAGOWNOFSA-N 0 3 320.274 4.258 20 0 DIADHN Cc1cc(CN2CCC(n3cnc4ccccc43)CC2)ccc1F ZINC001205205578 1049411398 /nfs/dbraw/zinc/41/13/98/1049411398.db2.gz AFJMJBGKDWZILA-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN CCCN(C[C@H](CC)c1ccccc1)[C@H](CC)C(=O)OCC ZINC001180859459 1049411677 /nfs/dbraw/zinc/41/16/77/1049411677.db2.gz XRJINBGJJXWZSV-FUHWJXTLSA-N 0 3 305.462 4.234 20 0 DIADHN CC[C@@H]1CCN(Cc2cccc(Br)c2OC)[C@@H]1C ZINC001181041643 1049429330 /nfs/dbraw/zinc/42/93/30/1049429330.db2.gz AOSBVLCYCVGBOC-VXGBXAGGSA-N 0 3 312.251 4.078 20 0 DIADHN CCC[C@H](C)CCCN1C[C@@H](c2ccccc2)[C@](F)(CO)C1 ZINC001194731527 1049413999 /nfs/dbraw/zinc/41/39/99/1049413999.db2.gz DRZCGQWRXQAZMK-YTQUADARSA-N 0 3 307.453 4.003 20 0 DIADHN Cc1cc(Cl)ccc1-c1noc(C2CCN(C3CC3)CC2)n1 ZINC000867108440 1049417823 /nfs/dbraw/zinc/41/78/23/1049417823.db2.gz QHOGHTIIZVWFMW-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@H](c2ccc(CC)cc2)C1 ZINC001194715430 1049420697 /nfs/dbraw/zinc/42/06/97/1049420697.db2.gz OFUOCJDAEWUQNM-OALUTQOASA-N 0 3 317.473 4.016 20 0 DIADHN CC[C@@H](NC1(c2cccc(OC)c2)CC1)c1ccc2c(c1)CCO2 ZINC000777155876 1049423449 /nfs/dbraw/zinc/42/34/49/1049423449.db2.gz OHVAFFLTLMNNME-LJQANCHMSA-N 0 3 323.436 4.360 20 0 DIADHN C[C@@H](CCC1CCCCC1)NC(=O)c1ccccc1CN(C)C ZINC000486456755 1049427307 /nfs/dbraw/zinc/42/73/07/1049427307.db2.gz DMQOONWGEPZDCQ-INIZCTEOSA-N 0 3 316.489 4.227 20 0 DIADHN CC[C@H]1CCC[C@@H](CN2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1 ZINC001180995166 1049429979 /nfs/dbraw/zinc/42/99/79/1049429979.db2.gz JISHUHQZTMXPBB-YESZJQIVSA-N 0 3 324.509 4.144 20 0 DIADHN CC[C@H](CCc1ccccc1)NCc1nc(-c2ccccc2)no1 ZINC001205299908 1049432918 /nfs/dbraw/zinc/43/29/18/1049432918.db2.gz SBLBIIZAEHEBGA-GOSISDBHSA-N 0 3 321.424 4.238 20 0 DIADHN Cc1ccc2cc(CN3CCC([C@@H]4CCCCO4)CC3)[nH]c2c1 ZINC000668627152 1049433150 /nfs/dbraw/zinc/43/31/50/1049433150.db2.gz DZNXJIOYVROEPJ-FQEVSTJZSA-N 0 3 312.457 4.257 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(F)c(F)c1 ZINC000173419466 1049433899 /nfs/dbraw/zinc/43/38/99/1049433899.db2.gz PMUSCISHWLILJC-GFCCVEGCSA-N 0 3 322.374 4.016 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1cccc(Br)c1OC ZINC001181102879 1049438530 /nfs/dbraw/zinc/43/85/30/1049438530.db2.gz TWSAFLQWXRFPGY-BXUZGUMPSA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)Cc1ccccc1CN1CC[C@@H](n2cc(Cl)cn2)C1 ZINC001194865292 1049439121 /nfs/dbraw/zinc/43/91/21/1049439121.db2.gz SWPSLHIYESDMOE-GOSISDBHSA-N 0 3 317.864 4.182 20 0 DIADHN C[C@H]1CN(c2ccc(Cl)c(F)c2)CCN1[C@H]1C=CCCC1 ZINC001194874767 1049440927 /nfs/dbraw/zinc/44/09/27/1049440927.db2.gz UVWRKOZZKWBHFW-KBPBESRZSA-N 0 3 308.828 4.098 20 0 DIADHN COc1c(C)cnc(CN[C@H](c2ccc(C)cc2)C2CC2)c1C ZINC000775618857 1049443653 /nfs/dbraw/zinc/44/36/53/1049443653.db2.gz YXYSANIJHLJVLX-LJQANCHMSA-N 0 3 310.441 4.256 20 0 DIADHN C[C@@H](NCC1CCCCC1)c1nc(Br)cs1 ZINC000834487716 1049447827 /nfs/dbraw/zinc/44/78/27/1049447827.db2.gz JRBSOPNKALXVKV-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN C[C@H](C[C@@H]1CCOC1)NC1(c2c(Cl)cccc2Cl)CC1 ZINC000777190930 1049449993 /nfs/dbraw/zinc/44/99/93/1049449993.db2.gz ATFMXVUECZMGKH-NEPJUHHUSA-N 0 3 314.256 4.387 20 0 DIADHN C[C@]1(NCc2cc(O)cc(Cl)c2)CCCc2ccccc21 ZINC001205366942 1049452490 /nfs/dbraw/zinc/45/24/90/1049452490.db2.gz MSQHJFCLUXRBOE-SFHVURJKSA-N 0 3 301.817 4.387 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCO2)c1cc2ccccc2[nH]1 ZINC000775626275 1049454479 /nfs/dbraw/zinc/45/44/79/1049454479.db2.gz ITLXKMYJTLPHBJ-CHWSQXEVSA-N 0 3 308.381 4.308 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC[C@]12CCOC2 ZINC001204294194 1049457303 /nfs/dbraw/zinc/45/73/03/1049457303.db2.gz OHJMJBJJDMTCRD-HXUWFJFHSA-N 0 3 317.473 4.401 20 0 DIADHN COc1cccc2c1CCN(Cc1c(C)oc3ccccc31)C2 ZINC001205388266 1049457471 /nfs/dbraw/zinc/45/74/71/1049457471.db2.gz GJVZNBRHAZOJLL-UHFFFAOYSA-N 0 3 307.393 4.308 20 0 DIADHN C[C@@H](NCc1cc2ccc(F)cc2[nH]1)c1ccc(F)c(F)c1 ZINC000486706444 1049458005 /nfs/dbraw/zinc/45/80/05/1049458005.db2.gz GAHUZJBTJVQEAM-SNVBAGLBSA-N 0 3 304.315 4.436 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@@H]2COc3cc(F)cc(F)c32)cc1 ZINC001205394012 1049458761 /nfs/dbraw/zinc/45/87/61/1049458761.db2.gz HFVBODAGIRVFMP-BLLLJJGKSA-N 0 3 303.352 4.100 20 0 DIADHN CSCc1cccc(CNCc2cc3ccc(F)cc3[nH]2)c1 ZINC000486719889 1049459782 /nfs/dbraw/zinc/45/97/82/1049459782.db2.gz BWVVEMWBYYOJLF-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN CCn1ccnc1[C@@H]1CCCCN1CCc1ccc(C)c(C)c1 ZINC001181254619 1049461429 /nfs/dbraw/zinc/46/14/29/1049461429.db2.gz JKRGAGWDNISIRG-IBGZPJMESA-N 0 3 311.473 4.290 20 0 DIADHN CN(Cc1cn(C)nc1C1CCCC1)CC1(c2ccccc2)CC1 ZINC001205412039 1049463527 /nfs/dbraw/zinc/46/35/27/1049463527.db2.gz WKWFKSSTDXEBLE-UHFFFAOYSA-N 0 3 323.484 4.241 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1nccc2c1CCCC2 ZINC001205423429 1049467313 /nfs/dbraw/zinc/46/73/13/1049467313.db2.gz QOKJRRHZOWQKOI-UHFFFAOYSA-N 0 3 319.452 4.464 20 0 DIADHN CC(C)n1ccnc1CN1CCC[C@@H]1CCCc1ccccc1 ZINC000486772098 1049469782 /nfs/dbraw/zinc/46/97/82/1049469782.db2.gz BHHMECROIRVSEK-IBGZPJMESA-N 0 3 311.473 4.451 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc2ccccc2[nH]1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000775635067 1049472551 /nfs/dbraw/zinc/47/25/51/1049472551.db2.gz SZQUOLLWLVNMJM-NEPJUHHUSA-N 0 3 320.396 4.162 20 0 DIADHN CCC[C@H](C)[C@@H]1CCCN1CC(=O)Nc1ccccc1CC ZINC000486799201 1049474122 /nfs/dbraw/zinc/47/41/22/1049474122.db2.gz DXNIZLWAMYNMTD-YJBOKZPZSA-N 0 3 302.462 4.088 20 0 DIADHN C[C@@H]1CCCCCN1Cc1ccc(C(=O)OC(C)(C)C)s1 ZINC001205456737 1049474689 /nfs/dbraw/zinc/47/46/89/1049474689.db2.gz HLONHSRZEISDFE-CYBMUJFWSA-N 0 3 309.475 4.468 20 0 DIADHN C[C@H]1CCCCCN1Cc1ccc(C(=O)OC(C)(C)C)s1 ZINC001205456738 1049474859 /nfs/dbraw/zinc/47/48/59/1049474859.db2.gz HLONHSRZEISDFE-ZDUSSCGKSA-N 0 3 309.475 4.468 20 0 DIADHN CC(C)C[C@]1(CO)CCCN1Cc1csc(C(F)(F)F)c1 ZINC001181391094 1049475939 /nfs/dbraw/zinc/47/59/39/1049475939.db2.gz SONZMPXRZKCRLO-AWEZNQCLSA-N 0 3 321.408 4.140 20 0 DIADHN CC(C)CC[C@@H]1CCCN1Cc1nc(-c2ccsc2)no1 ZINC000486802632 1049478525 /nfs/dbraw/zinc/47/85/25/1049478525.db2.gz UFVXEKHDEKJHFT-AWEZNQCLSA-N 0 3 305.447 4.199 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)Cc1ccnc(F)c1C ZINC000862218989 1049478829 /nfs/dbraw/zinc/47/88/29/1049478829.db2.gz FUCYQZXEDOGZFT-GOSISDBHSA-N 0 3 314.404 4.047 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc3cc[nH]c3c2)cc1OC ZINC000862213772 1049479572 /nfs/dbraw/zinc/47/95/72/1049479572.db2.gz VAVLJZZYUMHKJZ-UHFFFAOYSA-N 0 3 324.424 4.207 20 0 DIADHN Fc1cccc([C@@H]2CC[C@@H](NCc3nc(Cl)cs3)C2)c1 ZINC001181436254 1049482131 /nfs/dbraw/zinc/48/21/31/1049482131.db2.gz NUPDXPBPZHXCMX-DGCLKSJQSA-N 0 3 310.825 4.362 20 0 DIADHN CCN1CCN(CC2CCC3(CCCCC3)CC2)C(C)(C)C1=O ZINC001205496207 1049485965 /nfs/dbraw/zinc/48/59/65/1049485965.db2.gz RTWURRGNJGONHN-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN Cc1cccc(CN(C)CCCOCc2ccccc2)c1F ZINC001205500949 1049486039 /nfs/dbraw/zinc/48/60/39/1049486039.db2.gz FXQYDTLDNSORQB-UHFFFAOYSA-N 0 3 301.405 4.173 20 0 DIADHN COc1ccc2ccccc2c1CNC/C=C/c1ccncc1 ZINC000486930003 1049492674 /nfs/dbraw/zinc/49/26/74/1049492674.db2.gz QMRUXTNHBDGOCR-SNAWJCMRSA-N 0 3 304.393 4.046 20 0 DIADHN CC(C)Cc1ccc(CN2CCC(c3ccncc3)CC2)cn1 ZINC001181565888 1049493585 /nfs/dbraw/zinc/49/35/85/1049493585.db2.gz USCBDRPYCMRNDO-UHFFFAOYSA-N 0 3 309.457 4.055 20 0 DIADHN CC[C@@H](NC/C=C\c1ccncc1)c1ccccc1OC(F)F ZINC000486929283 1049493529 /nfs/dbraw/zinc/49/35/29/1049493529.db2.gz OXSTWOKBVWFODT-OGZRUICASA-N 0 3 318.367 4.437 20 0 DIADHN C[C@H]1CN(Cc2cn(C)nc2-c2ccccc2)Cc2ccccc21 ZINC000775647312 1049494802 /nfs/dbraw/zinc/49/48/02/1049494802.db2.gz UXROCAOGQZKNJV-INIZCTEOSA-N 0 3 317.436 4.206 20 0 DIADHN CC(C)COc1cccc(CN2CCC(c3ccon3)CC2)c1 ZINC000668714063 1049495587 /nfs/dbraw/zinc/49/55/87/1049495587.db2.gz JEWCKRATOKYYPH-UHFFFAOYSA-N 0 3 314.429 4.089 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN1CCC(c2ccon2)CC1 ZINC000668713950 1049495682 /nfs/dbraw/zinc/49/56/82/1049495682.db2.gz FUJODJHLYXCBBJ-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN COc1cccc(OC)c1CN1C[C@@H](C)C[C@@H]1c1ccccc1 ZINC001181622089 1049497669 /nfs/dbraw/zinc/49/76/69/1049497669.db2.gz OXZXUSFXDNUZDX-MAUKXSAKSA-N 0 3 311.425 4.287 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)cc1C ZINC001205563668 1049499008 /nfs/dbraw/zinc/49/90/08/1049499008.db2.gz VGNVTSFJWXJHOG-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCOc2cc(O)ccc2C1 ZINC001181689105 1049499359 /nfs/dbraw/zinc/49/93/59/1049499359.db2.gz VIGJWLFXFOVBNZ-INIZCTEOSA-N 0 3 303.446 4.359 20 0 DIADHN CCN(Cc1occc1C)C[C@H]1[C@H](c2ccccc2)C1(F)F ZINC001195225260 1049499954 /nfs/dbraw/zinc/49/99/54/1049499954.db2.gz QYSMSWLXNAPIHU-RDJZCZTQSA-N 0 3 305.368 4.459 20 0 DIADHN C[C@@H](NC/C=C/c1ccncc1)c1ccccc1OC(F)F ZINC000486960237 1049499939 /nfs/dbraw/zinc/49/99/39/1049499939.db2.gz YOYCZZRNTBBGRN-MUBLQREKSA-N 0 3 304.340 4.047 20 0 DIADHN CCOc1cncc(CN2C[C@H](C)CC[C@H]2c2ccccc2)c1 ZINC001181673029 1049502510 /nfs/dbraw/zinc/50/25/10/1049502510.db2.gz KWKOGAWHVMURDG-UZLBHIALSA-N 0 3 310.441 4.454 20 0 DIADHN Cc1c(F)cccc1CC(=O)NCCP(C(C)C)C(C)C ZINC001181708949 1049504379 /nfs/dbraw/zinc/50/43/79/1049504379.db2.gz CPEMOQPNVGIFBZ-UHFFFAOYSA-N 0 3 311.381 4.092 20 0 DIADHN C[C@@H](C1CC1)N(CC(=O)Nc1ccc2ccccc2c1)C1CC1 ZINC000031653979 1049505419 /nfs/dbraw/zinc/50/54/19/1049505419.db2.gz GSXMIGXBUPYEGE-AWEZNQCLSA-N 0 3 308.425 4.041 20 0 DIADHN CC1CCN(Cc2c(-c3ccccc3)nc3sccn23)CC1 ZINC000014206818 1049506796 /nfs/dbraw/zinc/50/67/96/1049506796.db2.gz WMHBNADOFMFUNJ-UHFFFAOYSA-N 0 3 311.454 4.295 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)c(C)c1 ZINC001205600094 1049507207 /nfs/dbraw/zinc/50/72/07/1049507207.db2.gz XIGBGGAPGCCNMJ-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cc(F)c(C(F)(F)F)cc1F ZINC001195290671 1049513874 /nfs/dbraw/zinc/51/38/74/1049513874.db2.gz XQQQUOOKBPHELD-KXUCPTDWSA-N 0 3 307.306 4.460 20 0 DIADHN COCc1cc([C@H](C)NCc2ccc(C)c(F)c2)ccc1OC ZINC000119095594 1049514016 /nfs/dbraw/zinc/51/40/16/1049514016.db2.gz WEJONGAHLQPIQC-AWEZNQCLSA-N 0 3 317.404 4.140 20 0 DIADHN Brc1ccnc2c1CN(CCC1=CCCCC1)CC2 ZINC001195413276 1049522539 /nfs/dbraw/zinc/52/25/39/1049522539.db2.gz OAGZVBWQBDRXQJ-UHFFFAOYSA-N 0 3 321.262 4.093 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)c(CN(C)C)c1 ZINC000668751136 1049524925 /nfs/dbraw/zinc/52/49/25/1049524925.db2.gz MTDAPAWBBPGZLY-DZFIZOCASA-N 0 3 314.473 4.212 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(C)c1CNCC1=CCCC1 ZINC001203188641 1049526906 /nfs/dbraw/zinc/52/69/06/1049526906.db2.gz VKZVNRSASLVIGS-UHFFFAOYSA-N 0 3 315.848 4.342 20 0 DIADHN C[C@H](c1ccco1)N(C[C@H](O)c1ccccc1Cl)C1CC1 ZINC000119220324 1049527392 /nfs/dbraw/zinc/52/73/92/1049527392.db2.gz WBAFBOPRBMSQGQ-WBMJQRKESA-N 0 3 305.805 4.192 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001181844614 1049531972 /nfs/dbraw/zinc/53/19/72/1049531972.db2.gz XHKJOCUBOLDNEG-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN Clc1ccc(CN2CCC[C@@H]2[C@H]2CCCOC2)c(Cl)c1 ZINC001195487737 1049535946 /nfs/dbraw/zinc/53/59/46/1049535946.db2.gz KHRYXILUABZHRH-XJKSGUPXSA-N 0 3 314.256 4.384 20 0 DIADHN CCCCCOc1ccc(CN2CC[C@H]3CCCO[C@@H]3C2)cc1 ZINC001204311042 1049543681 /nfs/dbraw/zinc/54/36/81/1049543681.db2.gz RKPYKWCHRIAEJB-UYAOXDASSA-N 0 3 317.473 4.257 20 0 DIADHN Fc1ccc(-c2cncc(CN3CCCC3)c2)c(Cl)c1F ZINC001205727092 1049544528 /nfs/dbraw/zinc/54/45/28/1049544528.db2.gz SOQIJJUMFGGSND-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN COCCC1(CNCc2csc(-c3cccs3)n2)CCC1 ZINC000151960593 1049549187 /nfs/dbraw/zinc/54/91/87/1049549187.db2.gz CSWXBSLYSJUAHZ-UHFFFAOYSA-N 0 3 322.499 4.168 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1n[nH]c(C)c1C ZINC001205750215 1049550863 /nfs/dbraw/zinc/55/08/63/1049550863.db2.gz IYAPJBPFBRRHND-FZKQIMNGSA-N 0 3 313.445 4.008 20 0 DIADHN Cc1ccccc1CN1CCN(Cc2ccc(C(C)C)cc2)CC1 ZINC001181946016 1049551049 /nfs/dbraw/zinc/55/10/49/1049551049.db2.gz RLOMORFRACXNEU-UHFFFAOYSA-N 0 3 322.496 4.436 20 0 DIADHN Cc1cc(C)c(/C=C\CN(C)[C@H](CO)c2ccccc2)cc1C ZINC001181958118 1049553092 /nfs/dbraw/zinc/55/30/92/1049553092.db2.gz NFFBGOXWARXWFQ-ZRKSWWEUSA-N 0 3 309.453 4.290 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)[C@@H](CO)c2ccccc2)cc1C ZINC001181958115 1049553566 /nfs/dbraw/zinc/55/35/66/1049553566.db2.gz NFFBGOXWARXWFQ-MAIVGLDJSA-N 0 3 309.453 4.290 20 0 DIADHN CCN1CCN(Cc2ccc3oc4ccccc4c3c2)[C@@H](C)C1 ZINC001205758410 1049557267 /nfs/dbraw/zinc/55/72/67/1049557267.db2.gz PYRBZTOQFBQPKD-HNNXBMFYSA-N 0 3 308.425 4.112 20 0 DIADHN Cc1ncsc1CN(C)CCc1cc(Cl)ccc1Cl ZINC000487900325 1049581315 /nfs/dbraw/zinc/58/13/15/1049581315.db2.gz YLFHQRGDEWLGJG-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN Cc1ccccc1CCN(C)Cc1ccnn1-c1ccccc1C ZINC001182020679 1049563929 /nfs/dbraw/zinc/56/39/29/1049563929.db2.gz MNSJPMHKGWKPJF-UHFFFAOYSA-N 0 3 319.452 4.164 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H](c1ccccc1)[C@H](C)O ZINC000668788259 1049565994 /nfs/dbraw/zinc/56/59/94/1049565994.db2.gz YAOSEEJFRZVFOM-KSSFIOAISA-N 0 3 303.833 4.169 20 0 DIADHN Brc1ccc2nc(CN[C@H]3CCCC34CC4)oc2c1 ZINC001182063097 1049568490 /nfs/dbraw/zinc/56/84/90/1049568490.db2.gz RYWCOYMRLGDMCN-ZDUSSCGKSA-N 0 3 321.218 4.013 20 0 DIADHN COc1cccc(COc2ccc(CN3CC(C4CC4)C3)cc2)c1 ZINC001182071941 1049568769 /nfs/dbraw/zinc/56/87/69/1049568769.db2.gz KTDFRWDQUYDBIF-UHFFFAOYSA-N 0 3 323.436 4.116 20 0 DIADHN CC(C)P(CCNC(=S)Nc1ccccc1F)C(C)C ZINC001195801066 1049571450 /nfs/dbraw/zinc/57/14/50/1049571450.db2.gz GIDAZGMCBPTAMX-UHFFFAOYSA-N 0 3 314.410 4.411 20 0 DIADHN C[C@@]1(c2cccc(F)c2)CCN(C[C@H]2CCC3(CCC3)CO2)C1 ZINC001195851656 1049575513 /nfs/dbraw/zinc/57/55/13/1049575513.db2.gz MSEOYWLCHYWKES-RTBURBONSA-N 0 3 317.448 4.138 20 0 DIADHN Cc1ccc(CN2CCc3ccccc3[C@H](C)C2)c(Cl)n1 ZINC001195809145 1049575629 /nfs/dbraw/zinc/57/56/29/1049575629.db2.gz IHXSDWHNWCBAAM-CYBMUJFWSA-N 0 3 300.833 4.205 20 0 DIADHN C[C@H](c1cccc(Br)c1)N1CCSC[C@H](C)C1 ZINC000488158883 1049605252 /nfs/dbraw/zinc/60/52/52/1049605252.db2.gz GUQSXEHEPVKUDX-VXGBXAGGSA-N 0 3 314.292 4.195 20 0 DIADHN COC1(C[C@@H](C)NCc2nc(-c3ccccc3)cs2)CCC1 ZINC001182160757 1049579881 /nfs/dbraw/zinc/57/98/81/1049579881.db2.gz LZLYYPBMTSVKAG-CQSZACIVSA-N 0 3 316.470 4.247 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CN(CC(=O)OC(C)(C)C)C1CCC1 ZINC001206485048 1049580281 /nfs/dbraw/zinc/58/02/81/1049580281.db2.gz QHRBWGUPKAHTCZ-NVXWUHKLSA-N 0 3 307.478 4.175 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(C)(C)C)C[C@@H]2C)c(F)c1OC ZINC001182185212 1049584185 /nfs/dbraw/zinc/58/41/85/1049584185.db2.gz ZUQZMXHFNPSULV-DZGCQCFKSA-N 0 3 323.452 4.490 20 0 DIADHN COc1ccc(CN2CC[C@H](C(C)(C)C)C[C@@H]2C)c(F)c1OC ZINC001182185215 1049584530 /nfs/dbraw/zinc/58/45/30/1049584530.db2.gz ZUQZMXHFNPSULV-ZFWWWQNUSA-N 0 3 323.452 4.490 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(C)(C)C)C[C@H]2C)c(F)c1OC ZINC001182185214 1049585138 /nfs/dbraw/zinc/58/51/38/1049585138.db2.gz ZUQZMXHFNPSULV-UKRRQHHQSA-N 0 3 323.452 4.490 20 0 DIADHN Cc1cnccc1CN1CCc2ccc(Cl)cc2[C@@H](C)C1 ZINC001205867626 1049587252 /nfs/dbraw/zinc/58/72/52/1049587252.db2.gz KUUMDYCXXFUYIA-AWEZNQCLSA-N 0 3 300.833 4.205 20 0 DIADHN CC(C)c1cccc(CN[C@H](c2ccncc2)C2(CO)CCC2)c1 ZINC000668813750 1049590221 /nfs/dbraw/zinc/59/02/21/1049590221.db2.gz QJCLMATYGRBTPS-HXUWFJFHSA-N 0 3 324.468 4.199 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC[C@H](c2ccccc2)C1 ZINC001205907319 1049596348 /nfs/dbraw/zinc/59/63/48/1049596348.db2.gz FYTDHFNNSBDASS-HNNXBMFYSA-N 0 3 315.848 4.320 20 0 DIADHN CCOC(=O)CCCCCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC001196005849 1049601632 /nfs/dbraw/zinc/60/16/32/1049601632.db2.gz OWAGPAZQSUOSRM-GOSISDBHSA-N 0 3 319.445 4.320 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000775680267 1049603118 /nfs/dbraw/zinc/60/31/18/1049603118.db2.gz BAIBLGIFCLFWDM-CZUORRHYSA-N 0 3 317.408 4.324 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2ncc(-c3ccccc3C)o2)CC1 ZINC000569989302 1049603503 /nfs/dbraw/zinc/60/35/03/1049603503.db2.gz ZBHNGZGXQAYBIO-IBGZPJMESA-N 0 3 314.429 4.041 20 0 DIADHN C[C@H](c1ccccc1Br)N1CCSC[C@@H](C)C1 ZINC000488190776 1049608474 /nfs/dbraw/zinc/60/84/74/1049608474.db2.gz CRKBHGWEACUODC-NWDGAFQWSA-N 0 3 314.292 4.195 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1nc(Br)cs1 ZINC001182298590 1049610011 /nfs/dbraw/zinc/61/00/11/1049610011.db2.gz NTQRMSGTWPBACS-VHSXEESVSA-N 0 3 317.296 4.210 20 0 DIADHN CC1(C)CC[C@H](CN(Cc2ccccc2)[C@@H]2COC(C)(C)C2)O1 ZINC001196083679 1049610901 /nfs/dbraw/zinc/61/09/01/1049610901.db2.gz RVSWCRFUVWBVQT-ZWKOTPCHSA-N 0 3 317.473 4.014 20 0 DIADHN Cc1ccc(CN2CCN(C)Cc3ccccc32)cc1Cl ZINC001205960520 1049611244 /nfs/dbraw/zinc/61/12/44/1049611244.db2.gz SJSVWAGNGDPMJQ-UHFFFAOYSA-N 0 3 300.833 4.100 20 0 DIADHN CC[C@H](NCC[C@H]1CCC[C@@H](C)C1)c1nnc2n1CCCCC2 ZINC001196092254 1049613316 /nfs/dbraw/zinc/61/33/16/1049613316.db2.gz GQJOTONBGOSIBX-ZACQAIPSSA-N 0 3 318.509 4.262 20 0 DIADHN CC[C@@H](NC[C@H](C)CC(C)(C)C)c1nnc2n1CCCCC2 ZINC001196095679 1049614169 /nfs/dbraw/zinc/61/41/69/1049614169.db2.gz ZZBYRFLORAVPOD-HUUCEWRRSA-N 0 3 306.498 4.118 20 0 DIADHN CCN(Cc1cccc(Br)c1F)CC(C)(C)C ZINC001205980477 1049617090 /nfs/dbraw/zinc/61/70/90/1049617090.db2.gz LYZOYDLRMURARQ-UHFFFAOYSA-N 0 3 302.231 4.456 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC([C@@H]2CCCCO2)CC1 ZINC001196185656 1049625235 /nfs/dbraw/zinc/62/52/35/1049625235.db2.gz CXBSTLKHFJCPPS-SFHVURJKSA-N 0 3 307.865 4.430 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2ccc(C(=O)OC)c(Cl)c2)C1 ZINC001196175017 1049627737 /nfs/dbraw/zinc/62/77/37/1049627737.db2.gz DUKDDBDHHUYZMJ-CHWSQXEVSA-N 0 3 309.837 4.137 20 0 DIADHN CC(C)[C@H]1N(Cc2ccnn2CC2CCC2)CC12CCCC2 ZINC001182332509 1049628084 /nfs/dbraw/zinc/62/80/84/1049628084.db2.gz CTQQKDQRLLQZHI-GOSISDBHSA-N 0 3 301.478 4.084 20 0 DIADHN CC1C[C@H]2CC[C@@H](C1)N2Cc1coc(-c2ccc(F)c(F)c2)n1 ZINC001196262215 1049633570 /nfs/dbraw/zinc/63/35/70/1049633570.db2.gz CRNZATQDEFSSOE-PHTAMDTFSA-N 0 3 318.367 4.383 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2c(F)cccc2Cl)c(C)o1 ZINC000774251380 1049635449 /nfs/dbraw/zinc/63/54/49/1049635449.db2.gz JDJCTGVHICFXDO-ZUZCIYMTSA-N 0 3 311.784 4.073 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C)oc1C)[C@@H](O)c1c(F)cccc1F ZINC000774257751 1049638462 /nfs/dbraw/zinc/63/84/62/1049638462.db2.gz KWGNGLGNSCLZRN-DPZKZMLUSA-N 0 3 323.383 4.337 20 0 DIADHN CC(C)(CCN[C@H](CO)c1cccc(Cl)c1Cl)C1CC1 ZINC001196285740 1049638646 /nfs/dbraw/zinc/63/86/46/1049638646.db2.gz RHYPLEXUCYFVTB-CQSZACIVSA-N 0 3 316.272 4.443 20 0 DIADHN C[C@@](Cc1ccccc1)(NCc1nc(Cl)cs1)C1CC1 ZINC001182410688 1049639721 /nfs/dbraw/zinc/63/97/21/1049639721.db2.gz SKBBBMUOYFLOLP-INIZCTEOSA-N 0 3 306.862 4.298 20 0 DIADHN CO[C@]1(C)CCCN(Cc2cc3ccccc3c(Cl)n2)CC1 ZINC000794584127 1049641178 /nfs/dbraw/zinc/64/11/78/1049641178.db2.gz XCOCZNMEJGWQQL-GOSISDBHSA-N 0 3 318.848 4.279 20 0 DIADHN COc1ccc([C@H](N[C@@H](C)[C@@H]2C[C@H]2C2CC2)c2ccco2)cc1 ZINC001182396379 1049642175 /nfs/dbraw/zinc/64/21/75/1049642175.db2.gz GDWVUTBAXHMFAX-RMXWXBJUSA-N 0 3 311.425 4.402 20 0 DIADHN Fc1cccc(/C=C/CN2CC[C@]3(C2)CCc2ccccc2O3)c1 ZINC001206064046 1049645601 /nfs/dbraw/zinc/64/56/01/1049645601.db2.gz UREVFMKJCDJQFD-LWQICCLCSA-N 0 3 323.411 4.309 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1nc(-c2cccs2)no1 ZINC000488406455 1049646343 /nfs/dbraw/zinc/64/63/43/1049646343.db2.gz XLBPLRCGKIGYGM-LBPRGKRZSA-N 0 3 305.447 4.199 20 0 DIADHN C[C@H](NC[C@@H](O)c1cc2ccccc2s1)c1ccccc1F ZINC000120076278 1049657315 /nfs/dbraw/zinc/65/73/15/1049657315.db2.gz SLWDSWDZOPYCTR-BLLLJJGKSA-N 0 3 315.413 4.425 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)C[C@H](C)C1CCCCC1 ZINC001196450925 1049660317 /nfs/dbraw/zinc/66/03/17/1049660317.db2.gz SKSCWMFYSYTTFW-HNNXBMFYSA-N 0 3 304.478 4.355 20 0 DIADHN CC[C@@H](c1ccccc1)N(C)Cc1cncc(Br)c1 ZINC001206126495 1049660358 /nfs/dbraw/zinc/66/03/58/1049660358.db2.gz ZVGYZDZJHDZMLR-INIZCTEOSA-N 0 3 319.246 4.427 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](c2ccccc2F)C2CCCC2)o1 ZINC000774429843 1049661272 /nfs/dbraw/zinc/66/12/72/1049661272.db2.gz AUTXPKMQHZQHKJ-IEBWSBKVSA-N 0 3 317.404 4.282 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(OCC3CC3)nc1)CCC2 ZINC000339069092 1049662641 /nfs/dbraw/zinc/66/26/41/1049662641.db2.gz VGPOENQCHGECFJ-HXUWFJFHSA-N 0 3 322.452 4.346 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CCC[C@@H](C(F)(F)F)C2)[n-]1 ZINC000488504198 1049663430 /nfs/dbraw/zinc/66/34/30/1049663430.db2.gz VWWQWOYARZIOAT-YFKTTZPYSA-N 0 3 318.387 4.090 20 0 DIADHN CC(C)CCO[C@@H]1CCN(Cc2cccc(OC(C)C)c2)C1 ZINC001196517979 1049663261 /nfs/dbraw/zinc/66/32/61/1049663261.db2.gz ISEVZKTWEFJBQW-LJQANCHMSA-N 0 3 305.462 4.111 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)CCc2cccc(C)c2)[n-]1 ZINC000488504976 1049664274 /nfs/dbraw/zinc/66/42/74/1049664274.db2.gz LXZRYFAROJBQDC-HRCADAONSA-N 0 3 314.477 4.299 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(Cl)c1)c1ccc([S@@](C)=O)cc1 ZINC000488512126 1049666164 /nfs/dbraw/zinc/66/61/64/1049666164.db2.gz YYOFQFAUWXJAQG-SQHYZVFZSA-N 0 3 321.873 4.489 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(CCCOc2cccc(Cl)c2)C1 ZINC001196583526 1049675718 /nfs/dbraw/zinc/67/57/18/1049675718.db2.gz QFBWHMLHNXQFTP-GFCCVEGCSA-N 0 3 321.770 4.383 20 0 DIADHN COc1cccc(C2CC(N[C@@H]3C[C@@]3(F)c3ccccc3)C2)c1 ZINC001182498613 1049678959 /nfs/dbraw/zinc/67/89/59/1049678959.db2.gz JUXGBYVSYBQKBP-LYBGUNNDSA-N 0 3 311.400 4.168 20 0 DIADHN CCOc1cccc(CN2CC(Cc3ccc(Cl)cc3)C2)c1 ZINC001206186992 1049678883 /nfs/dbraw/zinc/67/88/83/1049678883.db2.gz PAWUESGWTUIHJZ-UHFFFAOYSA-N 0 3 315.844 4.413 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001182499912 1049679126 /nfs/dbraw/zinc/67/91/26/1049679126.db2.gz QRDHUJFFLKUCOH-KURKYZTESA-N 0 3 301.792 4.034 20 0 DIADHN OCC[C@H](N[C@H]1C=CCCC1)c1cc(Cl)cc(Cl)c1 ZINC001196659485 1049679657 /nfs/dbraw/zinc/67/96/57/1049679657.db2.gz XVBJJXROIZONFT-GJZGRUSLSA-N 0 3 300.229 4.115 20 0 DIADHN CCNc1ccccc1CN1CC(Cc2ccc(Cl)cc2)C1 ZINC001206187120 1049679800 /nfs/dbraw/zinc/67/98/00/1049679800.db2.gz QXNXWBWKPJCCMV-UHFFFAOYSA-N 0 3 314.860 4.446 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccc3c(c2)CCC3)C2CC2)cn1 ZINC001196667818 1049680832 /nfs/dbraw/zinc/68/08/32/1049680832.db2.gz YVZWXBMVPBXXKZ-SZNDQCEHSA-N 0 3 322.452 4.381 20 0 DIADHN COc1ccc([C@@H](NCc2cccc(C(C)C)c2)C2CC2)cn1 ZINC001196667849 1049681904 /nfs/dbraw/zinc/68/19/04/1049681904.db2.gz ZVZKPKIRJHKNIC-FQEVSTJZSA-N 0 3 310.441 4.455 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@]1(C)Cc1ccccc1F ZINC001182542183 1049685473 /nfs/dbraw/zinc/68/54/73/1049685473.db2.gz CKJOHTMINJGSIA-LJQANCHMSA-N 0 3 315.436 4.200 20 0 DIADHN Cc1cc(CN2CCC[C@@]2(C)Cc2ccccc2F)cnc1F ZINC001182542180 1049685888 /nfs/dbraw/zinc/68/58/88/1049685888.db2.gz CJFZKVTXRZBOCH-IBGZPJMESA-N 0 3 316.395 4.265 20 0 DIADHN C[C@H](NCc1ccn(CCc2ccccc2)n1)[C@]12C[C@H]1CCCC2 ZINC001196879998 1049694391 /nfs/dbraw/zinc/69/43/91/1049694391.db2.gz ZBLPQYRCJKHAQC-DSKINZAPSA-N 0 3 323.484 4.184 20 0 DIADHN CC(C)[C@@H](NC[C@H](O)c1ccccc1F)c1cc(F)ccc1F ZINC000794797674 1049697218 /nfs/dbraw/zinc/69/72/18/1049697218.db2.gz PPUOSKGPRXYEFX-ZWKOTPCHSA-N 0 3 323.358 4.124 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)CC(=O)N(C)c1ccccc1 ZINC000488803735 1049698196 /nfs/dbraw/zinc/69/81/96/1049698196.db2.gz ZOLKEJSQEWEAQY-OAQYLSRUSA-N 0 3 324.468 4.287 20 0 DIADHN COC(=O)c1coc(CN(C)[C@H](c2ccccc2C)C(C)C)c1 ZINC000488809274 1049700033 /nfs/dbraw/zinc/70/00/33/1049700033.db2.gz XDKHLTPGMNFBDG-SFHVURJKSA-N 0 3 315.413 4.204 20 0 DIADHN Fc1ccccc1C[C@@H]1CCCCN1C[C@@H]1CC1(Cl)Cl ZINC001182765741 1049713823 /nfs/dbraw/zinc/71/38/23/1049713823.db2.gz WCJUSWOWAMTUKJ-KBPBESRZSA-N 0 3 316.247 4.417 20 0 DIADHN Fc1ccccc1C[C@H]1CCCCN1C[C@@H]1CC1(Cl)Cl ZINC001182765745 1049714164 /nfs/dbraw/zinc/71/41/64/1049714164.db2.gz WCJUSWOWAMTUKJ-UONOGXRCSA-N 0 3 316.247 4.417 20 0 DIADHN COc1ccc([C@H]2CN(CC3CCCCCC3)CCO2)cc1 ZINC001197013189 1049714112 /nfs/dbraw/zinc/71/41/12/1049714112.db2.gz NQLQZCCCRNUQOA-LJQANCHMSA-N 0 3 303.446 4.039 20 0 DIADHN Fc1cccc(N2CCN(Cc3ccc4ccccc4c3)CC2)c1 ZINC001197041318 1049714863 /nfs/dbraw/zinc/71/48/63/1049714863.db2.gz LKELKEOLIUHFBO-UHFFFAOYSA-N 0 3 320.411 4.301 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@@H]2CCC[C@H](CC(C)C)C2)s1 ZINC001206356944 1049720027 /nfs/dbraw/zinc/72/00/27/1049720027.db2.gz BXSJSTRWRFUPFL-BFHYXJOUSA-N 0 3 324.490 4.185 20 0 DIADHN CC(C)[C@@H]1CCN1Cc1c(-c2ccccc2)nc2sccn12 ZINC000775757720 1049720748 /nfs/dbraw/zinc/72/07/48/1049720748.db2.gz PRVANNABCZUMPG-HNNXBMFYSA-N 0 3 311.454 4.293 20 0 DIADHN Cc1c(Cl)ccc2c1CN(CCN(C)c1ccccc1)CC2 ZINC001197177955 1049727217 /nfs/dbraw/zinc/72/72/17/1049727217.db2.gz ZTJSIAPOEMKQKI-UHFFFAOYSA-N 0 3 314.860 4.143 20 0 DIADHN C[C@@H](c1cccc2ccccc21)N1CCN(C)c2ncccc2C1 ZINC001197212576 1049728534 /nfs/dbraw/zinc/72/85/34/1049728534.db2.gz SZXAZFIIVSYMSI-INIZCTEOSA-N 0 3 317.436 4.248 20 0 DIADHN CCN(Cc1ccc(F)c(Cl)c1)[C@H](CO)c1ccccc1 ZINC001197253975 1049731350 /nfs/dbraw/zinc/73/13/50/1049731350.db2.gz DNWADTJWPAAGAE-QGZVFWFLSA-N 0 3 307.796 4.035 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCN(c2c(F)cccc2F)CC1 ZINC001206418235 1049731902 /nfs/dbraw/zinc/73/19/02/1049731902.db2.gz ATNHQGJDIXCDPQ-CVEARBPZSA-N 0 3 322.443 4.303 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1cc(C)nc(C)c1)CC2 ZINC001206498689 1049744140 /nfs/dbraw/zinc/74/41/40/1049744140.db2.gz PDLSBRNRNMNPDH-UHFFFAOYSA-N 0 3 319.452 4.338 20 0 DIADHN CCCCC[C@@H](C)CCC(=O)N1CC2(C1)CN(C1CCCC1)C2 ZINC001273742322 1049744786 /nfs/dbraw/zinc/74/47/86/1049744786.db2.gz QKZYGQWHMWTVIF-QGZVFWFLSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H](C)CN(CC)[C@@H](C)c1nc(-c2ccc(OC)cc2)no1 ZINC000489334256 1049745182 /nfs/dbraw/zinc/74/51/82/1049745182.db2.gz SUCHFAAUZDBZRS-KGLIPLIRSA-N 0 3 317.433 4.174 20 0 DIADHN CC[C@H](C)CN(CC)[C@@H](C)c1nc(-c2ccccc2C)no1 ZINC000489329899 1049745776 /nfs/dbraw/zinc/74/57/76/1049745776.db2.gz QDTCFTLPTHUWBZ-ZFWWWQNUSA-N 0 3 301.434 4.474 20 0 DIADHN C[C@@]1(c2ccccc2)CCCN1C[C@H](O)c1c(F)cccc1F ZINC001197342159 1049746144 /nfs/dbraw/zinc/74/61/44/1049746144.db2.gz NOQWIYYNSOBXJI-HKUYNNGSSA-N 0 3 317.379 4.009 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(-c2ccco2)cc1F)N(CC)CC ZINC000489365202 1049748712 /nfs/dbraw/zinc/74/87/12/1049748712.db2.gz CIOAEUVKWCEGIO-INIZCTEOSA-N 0 3 318.392 4.145 20 0 DIADHN C[C@@H]1CN(Cc2ccccc2C2CC2)CC[C@H](C(F)(F)F)O1 ZINC001197497400 1049757610 /nfs/dbraw/zinc/75/76/10/1049757610.db2.gz RMSXDBVUTXCHLU-MLGOLLRUSA-N 0 3 313.363 4.106 20 0 DIADHN C[C@H]1CN(Cc2ccccc2C2CC2)CC[C@@H](C(F)(F)F)O1 ZINC001197497399 1049757743 /nfs/dbraw/zinc/75/77/43/1049757743.db2.gz RMSXDBVUTXCHLU-LRDDRELGSA-N 0 3 313.363 4.106 20 0 DIADHN COc1cc(C)c(CN2CCC3(CCCCC3)CC2)c(C)n1 ZINC001206591514 1049763686 /nfs/dbraw/zinc/76/36/86/1049763686.db2.gz RUONTOXCNCMVBH-UHFFFAOYSA-N 0 3 302.462 4.253 20 0 DIADHN Fc1cc(-c2ccc(CN3CCCC3)c(F)c2)cc2n[nH]cc21 ZINC001206609584 1049768424 /nfs/dbraw/zinc/76/84/24/1049768424.db2.gz XYAUWTUUOXWMFP-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN COc1ccc(-c2nc(CN(C)C3(C)CCCC3)co2)cc1 ZINC000489877040 1049786107 /nfs/dbraw/zinc/78/61/07/1049786107.db2.gz WIZYQECCJQIQFL-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN C[C@@H](CNCc1ncc(C(F)(F)F)s1)C1CCCCC1 ZINC000570579769 1049789160 /nfs/dbraw/zinc/78/91/60/1049789160.db2.gz FXGPTVUCDQINCD-JTQLQIEISA-N 0 3 306.397 4.468 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc(C)cc2OC)c1 ZINC001206771239 1049797093 /nfs/dbraw/zinc/79/70/93/1049797093.db2.gz ZTYHHCDAGNHWLT-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc([C@H]2C[C@@H](C)N(Cc3nc(-c4ccoc4)no3)C2)cc1 ZINC000490059079 1049797270 /nfs/dbraw/zinc/79/72/70/1049797270.db2.gz VUEHTJKBAZACSG-PBHICJAKSA-N 0 3 323.396 4.016 20 0 DIADHN C[Si](C)(C)CN(Cc1ccccc1)Cc1ccncc1F ZINC001206785306 1049799607 /nfs/dbraw/zinc/79/96/07/1049799607.db2.gz CTNUQKRNYNFGGJ-UHFFFAOYSA-N 0 3 302.469 4.100 20 0 DIADHN CC[C@@H]1CCCCN1Cc1cc(-c2ccc(OC)cc2)on1 ZINC001206828953 1049808595 /nfs/dbraw/zinc/80/85/95/1049808595.db2.gz HJNXAAGGIKOQJP-MRXNPFEDSA-N 0 3 300.402 4.115 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@H]4CCC[C@@H]4C3)no2)cc1 ZINC001206830803 1049808780 /nfs/dbraw/zinc/80/87/80/1049808780.db2.gz GCBATLAFXJZPDV-UKRRQHHQSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccc(-c2cc(CN3CCC4(CCCC4)C3)no2)cc1 ZINC001206835376 1049810487 /nfs/dbraw/zinc/81/04/87/1049810487.db2.gz YYGQZPPUKROZMN-UHFFFAOYSA-N 0 3 300.377 4.247 20 0 DIADHN c1c(CN2CC[C@H](Cc3ccccc3)C2)noc1-c1ccccc1 ZINC001206843977 1049812054 /nfs/dbraw/zinc/81/20/54/1049812054.db2.gz MNVATYOXNSVJQV-GOSISDBHSA-N 0 3 318.420 4.406 20 0 DIADHN Cc1ncsc1CN1CCC2(CC1)O[C@H](C)c1ccccc12 ZINC001206989003 1049817959 /nfs/dbraw/zinc/81/79/59/1049817959.db2.gz JDCGRBNSHUMLRQ-CQSZACIVSA-N 0 3 314.454 4.034 20 0 DIADHN C[C@H]1C[C@H](C)N(Cc2ccc(Br)c3cccnc23)C1 ZINC000490542454 1049841194 /nfs/dbraw/zinc/84/11/94/1049841194.db2.gz JPTVUKDQAPAYLR-RYUDHWBXSA-N 0 3 319.246 4.228 20 0 DIADHN C[C@@H]1C[C@H](C)N(Cc2ccc(Br)c3cccnc23)C1 ZINC000490542437 1049842137 /nfs/dbraw/zinc/84/21/37/1049842137.db2.gz JPTVUKDQAPAYLR-NEPJUHHUSA-N 0 3 319.246 4.228 20 0 DIADHN Cc1cncc(CN2CCC3(CCCc4ccccc43)CC2)c1 ZINC001207155515 1049845255 /nfs/dbraw/zinc/84/52/55/1049845255.db2.gz RGDDHQILBNQZCT-UHFFFAOYSA-N 0 3 306.453 4.260 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cncc(C)c2)CC1 ZINC001207156685 1049845790 /nfs/dbraw/zinc/84/57/90/1049845790.db2.gz DPGWGOCPAZDBLL-UHFFFAOYSA-N 0 3 306.453 4.178 20 0 DIADHN Cc1ncccc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001207147772 1049846008 /nfs/dbraw/zinc/84/60/08/1049846008.db2.gz JTWDTPMFAZSUCF-UHFFFAOYSA-N 0 3 306.453 4.260 20 0 DIADHN FC(F)Oc1cccc(Cl)c1CN[C@H]1C[C@@H]2CCC[C@@H]21 ZINC001207160673 1049847382 /nfs/dbraw/zinc/84/73/82/1049847382.db2.gz SCMWMOCYHYXUAF-KWBADKCTSA-N 0 3 301.764 4.220 20 0 DIADHN Cc1cnc2c(c1)CN(C[C@H]1C[C@H]1c1ccc(Cl)cc1)CC2 ZINC001207194857 1049856639 /nfs/dbraw/zinc/85/66/39/1049856639.db2.gz CGWARFVTPMUALC-QAPCUYQASA-N 0 3 312.844 4.205 20 0 DIADHN Fc1ccccc1C1CCN(CCOCc2ccccc2)CC1 ZINC001207235125 1049866401 /nfs/dbraw/zinc/86/64/01/1049866401.db2.gz FDYDANBKOQWPKS-UHFFFAOYSA-N 0 3 313.416 4.222 20 0 DIADHN CN(CCOCc1ccccc1)Cc1ccc(C(F)(F)F)cc1 ZINC001207235818 1049867113 /nfs/dbraw/zinc/86/71/13/1049867113.db2.gz ONDMRUXWOFQJEU-UHFFFAOYSA-N 0 3 323.358 4.354 20 0 DIADHN FC(F)(F)c1cnc2c(c1)CN(CCC1CCCCC1)CC2 ZINC001207261656 1049871341 /nfs/dbraw/zinc/87/13/41/1049871341.db2.gz CBTUSRMMECEPOS-UHFFFAOYSA-N 0 3 312.379 4.429 20 0 DIADHN CC1=CC[C@H](CCN2CCc3cnc(C4CC4)nc3C2)C1(C)C ZINC001207274553 1049872385 /nfs/dbraw/zinc/87/23/85/1049872385.db2.gz CPVXOYOGWZAVGF-QGZVFWFLSA-N 0 3 311.473 4.095 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC2(CCCCO2)CC1 ZINC001207283214 1049873669 /nfs/dbraw/zinc/87/36/69/1049873669.db2.gz NPESUGFDLJARSC-UHFFFAOYSA-N 0 3 307.865 4.438 20 0 DIADHN CC1(C)C[C@H](CN2CCn3cccc3[C@H]2c2ccccc2)CCO1 ZINC001207352059 1049884021 /nfs/dbraw/zinc/88/40/21/1049884021.db2.gz CUSWPURXHWEANO-YLJYHZDGSA-N 0 3 324.468 4.098 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)C[C@H]1CCOC(C)(C)C1 ZINC001207365355 1049884510 /nfs/dbraw/zinc/88/45/10/1049884510.db2.gz JYXQDXKFKUQCAC-FUHWJXTLSA-N 0 3 317.473 4.175 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@H](C4CC4)C3)cs2)cc1 ZINC000491109290 1049884794 /nfs/dbraw/zinc/88/47/94/1049884794.db2.gz JGBYAGCPVQIDKB-AWEZNQCLSA-N 0 3 302.418 4.181 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)C[C@@H]1CCOC(C)(C)C1 ZINC001207365354 1049884953 /nfs/dbraw/zinc/88/49/53/1049884953.db2.gz JYXQDXKFKUQCAC-AEFFLSMTSA-N 0 3 317.473 4.175 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)C[C@@H]1CCOC(C)(C)C1 ZINC001207365356 1049885523 /nfs/dbraw/zinc/88/55/23/1049885523.db2.gz JYXQDXKFKUQCAC-SJLPKXTDSA-N 0 3 317.473 4.175 20 0 DIADHN Clc1cccc(CCN2CCC(c3ccccn3)CC2)c1 ZINC001207422480 1049890868 /nfs/dbraw/zinc/89/08/68/1049890868.db2.gz GVNLSBQKPWFSPH-UHFFFAOYSA-N 0 3 300.833 4.157 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@@H]1NCc1cc(O)cc(C(F)(F)F)c1 ZINC000570889593 1049891496 /nfs/dbraw/zinc/89/14/96/1049891496.db2.gz QZXPFOFBRNWLBH-PIGZYNQJSA-N 0 3 321.342 4.434 20 0 DIADHN Cc1ccc([C@H]2CCCN2CCc2cccc(Cl)c2)cn1 ZINC001207425428 1049892578 /nfs/dbraw/zinc/89/25/78/1049892578.db2.gz HEGCNVMGVCZLHE-GOSISDBHSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@@]1(C(F)(F)F)CCN(Cc2ccc(OCC3CC3)cc2)C1 ZINC001207439498 1049893531 /nfs/dbraw/zinc/89/35/31/1049893531.db2.gz FKMKMKMXMKWFSR-MRXNPFEDSA-N 0 3 313.363 4.250 20 0 DIADHN C[C@]1(C(F)(F)F)CCN(Cc2cc3c(cccc3O)s2)C1 ZINC001207440305 1049894260 /nfs/dbraw/zinc/89/42/60/1049894260.db2.gz YWVCLWZNSGCSEN-AWEZNQCLSA-N 0 3 315.360 4.381 20 0 DIADHN COc1ccccc1CCN1CCC[C@H]1c1ccc(F)cc1F ZINC001207460068 1049895187 /nfs/dbraw/zinc/89/51/87/1049895187.db2.gz GRFSIRKVXXVVHO-SFHVURJKSA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccccc1CCN1CCSC[C@H]1c1ccccc1 ZINC001207502246 1049900453 /nfs/dbraw/zinc/90/04/53/1049900453.db2.gz QSBBZHWXHBBZQH-SFHVURJKSA-N 0 3 301.430 4.158 20 0 DIADHN Fc1ccc(CCN(Cc2ccccc2)Cc2cccnc2)cc1 ZINC001207513769 1049901475 /nfs/dbraw/zinc/90/14/75/1049901475.db2.gz RDSSXGDIAIZVQQ-UHFFFAOYSA-N 0 3 320.411 4.466 20 0 DIADHN CC(C)c1ccc(CCN2CCc3nc(Cl)ccc3C2)cc1 ZINC001207521336 1049902210 /nfs/dbraw/zinc/90/22/10/1049902210.db2.gz TWCGVAYRWNDHHC-UHFFFAOYSA-N 0 3 314.860 4.459 20 0 DIADHN Cc1ncc(CN(C)CC(c2ccccc2)c2ccccc2)cn1 ZINC001207525578 1049903905 /nfs/dbraw/zinc/90/39/05/1049903905.db2.gz DZVOZXJSGILTOT-UHFFFAOYSA-N 0 3 317.436 4.049 20 0 DIADHN CC1(C)CN(CC(c2ccccc2)c2ccccc2)CCC1=O ZINC001207526935 1049904572 /nfs/dbraw/zinc/90/45/72/1049904572.db2.gz RIDMXGHIXSIPHI-UHFFFAOYSA-N 0 3 307.437 4.120 20 0 DIADHN COc1ccc(CCCN(C)Cc2ccc(F)cc2F)cc1 ZINC001207585134 1049913029 /nfs/dbraw/zinc/91/30/29/1049913029.db2.gz NZHUDKKCKKKECA-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN Cc1cnc2c(c1)CN(CCCc1cccc(Cl)c1)CC2 ZINC001207593956 1049913998 /nfs/dbraw/zinc/91/39/98/1049913998.db2.gz PGPUZMBQBCQKMF-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN1CCOC[C@H](C)C1 ZINC001207631304 1049917865 /nfs/dbraw/zinc/91/78/65/1049917865.db2.gz MQQXVRIJOYFXNQ-LLVKDONJSA-N 0 3 309.862 4.331 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@]1(c2ccccc2)CCCO1 ZINC001207638593 1049919279 /nfs/dbraw/zinc/91/92/79/1049919279.db2.gz GTUCVYCWODPAMQ-SFHVURJKSA-N 0 3 319.807 4.275 20 0 DIADHN CCCCCC[C@H]1CCC[C@@H]1NCc1nc2c(s1)COCC2 ZINC001207638256 1049919920 /nfs/dbraw/zinc/91/99/20/1049919920.db2.gz CBMOPWVQKGZUSC-GJZGRUSLSA-N 0 3 322.518 4.445 20 0 DIADHN C[C@H](CCCC(C)(C)O)CCN(C)Cc1nc2ccccc2o1 ZINC001207678205 1049925776 /nfs/dbraw/zinc/92/57/76/1049925776.db2.gz GRPCYIAJAJUCTC-OAHLLOKOSA-N 0 3 318.461 4.227 20 0 DIADHN COc1cccc(F)c1CNC[C@@H](C)c1cc(F)cc(F)c1 ZINC001207685275 1049927751 /nfs/dbraw/zinc/92/77/51/1049927751.db2.gz OFTLKSJUHLWLIE-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CN(C)C[C@H](NCc1ccc(Cl)c(F)c1)c1ccc(F)cc1 ZINC000571319671 1049929650 /nfs/dbraw/zinc/92/96/50/1049929650.db2.gz ZJVBCBLIPWSLEJ-KRWDZBQOSA-N 0 3 324.802 4.011 20 0 DIADHN Cc1cccc2[nH]c(CN(C)CCCC(=O)OC(C)(C)C)cc21 ZINC001207732123 1049931922 /nfs/dbraw/zinc/93/19/22/1049931922.db2.gz BSUZVNDANSAATN-UHFFFAOYSA-N 0 3 316.445 4.030 20 0 DIADHN FC(F)(F)c1cccc2c1CN(CC1CCSCC1)CC2 ZINC001207755243 1049935072 /nfs/dbraw/zinc/93/50/72/1049935072.db2.gz CPXPXSMXRGKWJH-UHFFFAOYSA-N 0 3 315.404 4.207 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)CC1CCSCC1 ZINC001207756333 1049935125 /nfs/dbraw/zinc/93/51/25/1049935125.db2.gz GHTDDUCYKJRDAA-KRWDZBQOSA-N 0 3 305.487 4.113 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H](N[C@H](C(N)=O)c2ccc(Cl)cc2)C1 ZINC001207793799 1049939031 /nfs/dbraw/zinc/93/90/31/1049939031.db2.gz VHJHFDIJCHGWTM-XYPHTWIQSA-N 0 3 322.880 4.061 20 0 DIADHN C[C@@]1(F)CCCN(CCCc2cccc(C(F)(F)F)c2)C1 ZINC001207841896 1049942874 /nfs/dbraw/zinc/94/28/74/1049942874.db2.gz LJGLINHYRBPVKS-OAHLLOKOSA-N 0 3 303.343 4.462 20 0 DIADHN Cc1cccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(F)c2)c1 ZINC001168381939 1049965483 /nfs/dbraw/zinc/96/54/83/1049965483.db2.gz KIZOCKYLJQAXCP-LSDHHAIUSA-N 0 3 314.404 4.120 20 0 DIADHN Clc1cccc([C@@H]2CCN(CCCn3cccn3)C2)c1Cl ZINC001208078037 1049970714 /nfs/dbraw/zinc/97/07/14/1049970714.db2.gz BJLFWJGMRPJMSY-CYBMUJFWSA-N 0 3 324.255 4.070 20 0 DIADHN Fc1cc(Br)cc(CNC/C=C\c2ccccc2)c1 ZINC000588178049 1049972971 /nfs/dbraw/zinc/97/29/71/1049972971.db2.gz GRLGERBVEBUYFX-DAXSKMNVSA-N 0 3 320.205 4.391 20 0 DIADHN C(=C\c1ccccc1)\CNCc1ccc(OC2CCCC2)nc1 ZINC000588177806 1049973437 /nfs/dbraw/zinc/97/34/37/1049973437.db2.gz KIXQTQOIUIGURD-TWGQIWQCSA-N 0 3 308.425 4.206 20 0 DIADHN Fc1ccc(C2(NCC3=Cc4ccccc4OC3)CCC2)cc1 ZINC000588179187 1049974441 /nfs/dbraw/zinc/97/44/41/1049974441.db2.gz JVOYUOCPFCTHJW-UHFFFAOYSA-N 0 3 309.384 4.271 20 0 DIADHN CC/C=C\CCCN1C[C@@H]2C[C@H](C1)c1cc3nccnc3cc12 ZINC001208137677 1049977212 /nfs/dbraw/zinc/97/72/12/1049977212.db2.gz IYLVBJXDYJVCCU-SSGMOAHOSA-N 0 3 307.441 4.263 20 0 DIADHN CCCN(CC[C@H](C)SC)[C@H](C)C(=O)Nc1ccccc1C ZINC001208152057 1049977960 /nfs/dbraw/zinc/97/79/60/1049977960.db2.gz FBGIUSPGWSOWON-JKSUJKDBSA-N 0 3 322.518 4.176 20 0 DIADHN CCCN(CC[C@@H](C)SC)[C@H](C)C(=O)Nc1ccccc1C ZINC001208152056 1049978205 /nfs/dbraw/zinc/97/82/05/1049978205.db2.gz FBGIUSPGWSOWON-HZPDHXFCSA-N 0 3 322.518 4.176 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCC(C(=O)OC(C)(C)C)CC2)o1 ZINC001208156145 1049978624 /nfs/dbraw/zinc/97/86/24/1049978624.db2.gz PDGVIVDFAIIFBA-AWEZNQCLSA-N 0 3 321.461 4.135 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCN(C)[C@@H](c3ccccc3)C2)o1 ZINC001208157143 1049978873 /nfs/dbraw/zinc/97/88/73/1049978873.db2.gz TZIOTVYIVPXDIW-QFBILLFUSA-N 0 3 312.457 4.070 20 0 DIADHN Cc1ccc([C@H](C)CCN2CCN(C)[C@@H](c3ccccc3)C2)o1 ZINC001208157144 1049979078 /nfs/dbraw/zinc/97/90/78/1049979078.db2.gz TZIOTVYIVPXDIW-VQIMIIECSA-N 0 3 312.457 4.070 20 0 DIADHN COc1cc2c(cc1OC)CN(C[C@@H]1CCC(C)=C[C@H]1C)C2 ZINC001208170031 1049980915 /nfs/dbraw/zinc/98/09/15/1049980915.db2.gz RLWQETRBBZBHDK-CABCVRRESA-N 0 3 301.430 4.012 20 0 DIADHN CC1=C[C@@H](C)[C@H](CN(Cc2cccnc2)Cc2cccnc2)CC1 ZINC001208173157 1049981380 /nfs/dbraw/zinc/98/13/80/1049981380.db2.gz GMLQLGLKDMSBLR-NQIIRXRSSA-N 0 3 321.468 4.471 20 0 DIADHN COc1cc2c(cc1OC)CN(C[C@H]1CCC(C)=C[C@H]1C)C2 ZINC001208170038 1049981471 /nfs/dbraw/zinc/98/14/71/1049981471.db2.gz RLWQETRBBZBHDK-HUUCEWRRSA-N 0 3 301.430 4.012 20 0 DIADHN CC1=C[C@H](C)[C@H](CN2CCc3ncc(C(F)(F)F)cc3C2)CC1 ZINC001208181641 1049982007 /nfs/dbraw/zinc/98/20/07/1049982007.db2.gz OTORUZFSMJUWHB-KBPBESRZSA-N 0 3 324.390 4.451 20 0 DIADHN COC(C)(C)CCC[C@H](C)CCN1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001208202162 1049984390 /nfs/dbraw/zinc/98/43/90/1049984390.db2.gz KUNVDXUWZOKAHO-RCCFBDPRSA-N 0 3 323.521 4.442 20 0 DIADHN Cc1nsc(C)c1CN[C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000572011348 1049990850 /nfs/dbraw/zinc/99/08/50/1049990850.db2.gz FDFVMZQCNCWMBH-QGZVFWFLSA-N 0 3 304.434 4.448 20 0 DIADHN Fc1ccc(CCNCc2ccn(C3CCCC3)n2)c(Cl)c1 ZINC000572211323 1049998735 /nfs/dbraw/zinc/99/87/35/1049998735.db2.gz PDLPBBQOTZPDHF-UHFFFAOYSA-N 0 3 321.827 4.123 20 0 DIADHN OC[C@@H](NCc1nc(C2CCCCC2)cs1)C1CCCCC1 ZINC000572326657 1049999504 /nfs/dbraw/zinc/99/95/04/1049999504.db2.gz OHIYXIQUBSAOQR-MRXNPFEDSA-N 0 3 322.518 4.222 20 0 DIADHN C[C@@H](CN1CCSc2ccccc2C1)OCc1ccccc1 ZINC001208705186 1050003173 /nfs/dbraw/zinc/00/31/73/1050003173.db2.gz ULSYVUODJQKATN-INIZCTEOSA-N 0 3 313.466 4.200 20 0 DIADHN C[C@H](CN1CCc2ncccc2C1)c1cccc2ccccc21 ZINC001208713725 1050005600 /nfs/dbraw/zinc/00/56/00/1050005600.db2.gz DDHJHHADDKPIDA-MRXNPFEDSA-N 0 3 302.421 4.397 20 0 DIADHN C[C@@H](CN1CCc2ncccc2C1)c1cccc2ccccc21 ZINC001208713721 1050005953 /nfs/dbraw/zinc/00/59/53/1050005953.db2.gz DDHJHHADDKPIDA-INIZCTEOSA-N 0 3 302.421 4.397 20 0 DIADHN C[C@@H]1CCCCN1C[C@@H](NC(=O)OC(C)(C)C)c1ccccc1 ZINC001208762430 1050007895 /nfs/dbraw/zinc/00/78/95/1050007895.db2.gz PWYWJSQTPMVFJA-NVXWUHKLSA-N 0 3 318.461 4.127 20 0 DIADHN C[C@@H]1C(=O)CCN(CCc2ccc(Cl)cc2)C12CCCC2 ZINC001208741366 1050007985 /nfs/dbraw/zinc/00/79/85/1050007985.db2.gz CTSMTEIHVMAYPX-CQSZACIVSA-N 0 3 305.849 4.106 20 0 DIADHN Clc1ccc(CCN2CC[C@@H]2COCc2ccccc2)cc1 ZINC001208741126 1050008385 /nfs/dbraw/zinc/00/83/85/1050008385.db2.gz QMUZLKPSMYXZAW-LJQANCHMSA-N 0 3 315.844 4.174 20 0 DIADHN FC(F)(F)c1ccccc1CCCN1CCc2ncccc2C1 ZINC001208831474 1050014209 /nfs/dbraw/zinc/01/42/09/1050014209.db2.gz MILKVXFVNMIENE-UHFFFAOYSA-N 0 3 320.358 4.091 20 0 DIADHN FC1(F)CC12CC(NCc1csc(-c3cccs3)n1)C2 ZINC001329559359 1050019102 /nfs/dbraw/zinc/01/91/02/1050019102.db2.gz ZWOHQWSTRWLZAW-UHFFFAOYSA-N 0 3 312.410 4.149 20 0 DIADHN Clc1ccc([C@@H]2CCN(C[C@H]3CCC=CO3)C2)cc1Cl ZINC001208880498 1050019635 /nfs/dbraw/zinc/01/96/35/1050019635.db2.gz MJSODEYCHANVLS-ZIAGYGMSSA-N 0 3 312.240 4.475 20 0 DIADHN Clc1ccc([C@H]2CCN(C[C@@H]3CCC=CO3)C2)cc1Cl ZINC001208880491 1050020217 /nfs/dbraw/zinc/02/02/17/1050020217.db2.gz MJSODEYCHANVLS-KBPBESRZSA-N 0 3 312.240 4.475 20 0 DIADHN COc1ccccc1C1CCN(C[C@@H](C)C(F)(F)F)CC1 ZINC001208904311 1050022061 /nfs/dbraw/zinc/02/20/61/1050022061.db2.gz DNQFSFBNCDXHEI-GFCCVEGCSA-N 0 3 301.352 4.073 20 0 DIADHN CN1CC=C(Nc2cc(Br)c3ccccc3c2)CC1 ZINC001208929629 1050025739 /nfs/dbraw/zinc/02/57/39/1050025739.db2.gz CRYOJSYWHYCVCL-UHFFFAOYSA-N 0 3 317.230 4.234 20 0 DIADHN CC(C)O[C@H]1CCN(CCc2ccc(Cl)cc2Cl)C1 ZINC001209083042 1050032944 /nfs/dbraw/zinc/03/29/44/1050032944.db2.gz NQZARRCNARTDES-AWEZNQCLSA-N 0 3 302.245 4.035 20 0 DIADHN Clc1ccc(CCN2CCC(n3cccn3)CC2)c(Cl)c1 ZINC001209083459 1050033478 /nfs/dbraw/zinc/03/34/78/1050033478.db2.gz WCBPRTVSNBHEGW-UHFFFAOYSA-N 0 3 324.255 4.070 20 0 DIADHN C[C@@]1(CO)CCCN(CCc2c(Cl)cccc2Cl)CC1 ZINC001209104842 1050035543 /nfs/dbraw/zinc/03/55/43/1050035543.db2.gz IUWIVYHJNOHQBF-MRXNPFEDSA-N 0 3 316.272 4.020 20 0 DIADHN COC1C[C@H]2CC[C@@H](C1)N2CCc1c(Cl)cccc1Cl ZINC001209108391 1050036462 /nfs/dbraw/zinc/03/64/62/1050036462.db2.gz HWDMXSZTNWKXPQ-FUNVUKJBSA-N 0 3 314.256 4.178 20 0 DIADHN O=C1CCC2(CC1)CCN(CCc1ccc(F)cc1Cl)CC2 ZINC001209114268 1050037813 /nfs/dbraw/zinc/03/78/13/1050037813.db2.gz FIOYHGNKOSWRAT-UHFFFAOYSA-N 0 3 323.839 4.247 20 0 DIADHN FC(F)(F)c1ccc(CCN2CC[C@@H](C(F)(F)F)C2)cc1 ZINC001209126425 1050038090 /nfs/dbraw/zinc/03/80/90/1050038090.db2.gz XHISYCBWEJQXIK-GFCCVEGCSA-N 0 3 311.269 4.132 20 0 DIADHN Oc1cccc2c1CCN(CCc1ccc(C(F)(F)F)cc1)C2 ZINC001209125217 1050038171 /nfs/dbraw/zinc/03/81/71/1050038171.db2.gz ZBVLOZZMWWHIGP-UHFFFAOYSA-N 0 3 321.342 4.012 20 0 DIADHN FC(F)(F)c1ccc(CCN2CC[C@H](C(F)(F)F)C2)cc1 ZINC001209126426 1050038549 /nfs/dbraw/zinc/03/85/49/1050038549.db2.gz XHISYCBWEJQXIK-LBPRGKRZSA-N 0 3 311.269 4.132 20 0 DIADHN Cc1ccc([C@@H]2CCCN2CCc2cc(F)cc(F)c2)cn1 ZINC001209133170 1050038749 /nfs/dbraw/zinc/03/87/49/1050038749.db2.gz NXIUMDRLHZZLJZ-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN COc1cccc([C@@H]2CCCN2CCc2cc(F)cc(F)c2)c1 ZINC001209139667 1050040103 /nfs/dbraw/zinc/04/01/03/1050040103.db2.gz DBSBJNZAZPAIQC-IBGZPJMESA-N 0 3 317.379 4.353 20 0 DIADHN Fc1ccc(CCN2CCC3(CC(F)(F)C3)CC2)cc1F ZINC001209238998 1050046887 /nfs/dbraw/zinc/04/68/87/1050046887.db2.gz BVEASYKJBLPSIO-UHFFFAOYSA-N 0 3 301.327 4.019 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@H]1COc2ccccc21 ZINC000572877997 1050047120 /nfs/dbraw/zinc/04/71/20/1050047120.db2.gz LIHAUIHNDXXCCK-LBPRGKRZSA-N 0 3 313.784 4.352 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCC[C@@H]1c1cccnc1 ZINC001209279032 1050049362 /nfs/dbraw/zinc/04/93/62/1050049362.db2.gz BLXIDDRJMYRIIX-QGZVFWFLSA-N 0 3 320.358 4.480 20 0 DIADHN CN(CCCCOCc1ccccc1)Cc1ccc(Cl)nc1 ZINC001209265988 1050049742 /nfs/dbraw/zinc/04/97/42/1050049742.db2.gz XNPSLKVUCUHLTJ-UHFFFAOYSA-N 0 3 318.848 4.164 20 0 DIADHN C[C@@H](NCc1n[nH]c2ccccc12)c1ccc(OC(F)F)cc1 ZINC000589638930 1050051411 /nfs/dbraw/zinc/05/14/11/1050051411.db2.gz HFCQMXKPRJCNET-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN C[C@@H](NCc1[nH]nc2ccccc21)c1ccc(OC(F)F)cc1 ZINC000589638930 1050051415 /nfs/dbraw/zinc/05/14/15/1050051415.db2.gz HFCQMXKPRJCNET-LLVKDONJSA-N 0 3 317.339 4.015 20 0 DIADHN C[C@H]1CCN1CCCN(C(=O)OC(C)(C)C)C1CCCCC1 ZINC001209312552 1050051731 /nfs/dbraw/zinc/05/17/31/1050051731.db2.gz OSIBBQSVEFAUDJ-HNNXBMFYSA-N 0 3 310.482 4.041 20 0 DIADHN Cc1cccc(C2(CNCc3nccs3)CCCCC2)c1 ZINC000589641372 1050052756 /nfs/dbraw/zinc/05/27/56/1050052756.db2.gz FYWAAIUBRFRNKB-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccccc3N(C)C)cc2c1C ZINC000589645237 1050052777 /nfs/dbraw/zinc/05/27/77/1050052777.db2.gz DWBGFZNKGFCUCZ-UHFFFAOYSA-N 0 3 307.441 4.141 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)CC1C[C@@H](C)O[C@H](C)C1 ZINC001209400813 1050056614 /nfs/dbraw/zinc/05/66/14/1050056614.db2.gz CHHLCUXLRMCITE-GPMSIDNRSA-N 0 3 317.473 4.173 20 0 DIADHN COc1cc(CN(C)Cc2c[nH]c3c2cccc3C)cc(OC)c1 ZINC001209491545 1050062338 /nfs/dbraw/zinc/06/23/38/1050062338.db2.gz WKPUBWUIYRYFCG-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN Cc1cccc2c(CN3CCN(c4ccccc4C)CC3)c[nH]c21 ZINC001209482872 1050062498 /nfs/dbraw/zinc/06/24/98/1050062498.db2.gz UWLZMRPWIAABFH-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c3c2cccc3C)cc1OC ZINC001209490971 1050062514 /nfs/dbraw/zinc/06/25/14/1050062514.db2.gz SBNBNVRLPQUZHU-UHFFFAOYSA-N 0 3 324.424 4.126 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3c1cccc3C)CC2 ZINC001209483048 1050062857 /nfs/dbraw/zinc/06/28/57/1050062857.db2.gz WXUXGTHTAZDLRM-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN Cc1ccc2[nH]cc(CN(C)CCC(=O)c3ccccc3)c2c1 ZINC001209496003 1050063927 /nfs/dbraw/zinc/06/39/27/1050063927.db2.gz ZAFOMXZMPFEZON-UHFFFAOYSA-N 0 3 306.409 4.181 20 0 DIADHN Cc1ccc(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)cc1C ZINC001209507829 1050064510 /nfs/dbraw/zinc/06/45/10/1050064510.db2.gz RPKKHPWVSFKDKN-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1c2ccccc2nn1C ZINC001209539680 1050067166 /nfs/dbraw/zinc/06/71/66/1050067166.db2.gz JEHKRAAJFZRPTE-LJQANCHMSA-N 0 3 305.425 4.083 20 0 DIADHN CCc1ccc([C@@H](C)C(=O)Nc2ccccc2CN(C)C)cc1 ZINC000589943512 1050069373 /nfs/dbraw/zinc/06/93/73/1050069373.db2.gz DPDWDQVBSVZPRW-OAHLLOKOSA-N 0 3 310.441 4.053 20 0 DIADHN CCN(Cc1cccs1)Cc1c[nH]c2ncc(Cl)cc12 ZINC001209603894 1050071294 /nfs/dbraw/zinc/07/12/94/1050071294.db2.gz ZUNXIEMHUMNKTM-UHFFFAOYSA-N 0 3 305.834 4.300 20 0 DIADHN Clc1cnc2[nH]cc(CN3CCC4(CCCC4)CC3)c2c1 ZINC001209600024 1050071517 /nfs/dbraw/zinc/07/15/17/1050071517.db2.gz YLNIXSNYKBKMIR-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Cc1cc(Cl)ncc1CN(C)CCc1ccc(Cl)cc1 ZINC001209636656 1050072345 /nfs/dbraw/zinc/07/23/45/1050072345.db2.gz ZFYXTIGGRGNENI-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3cnc(Cl)cc3C)C2)cc1 ZINC001209634755 1050072401 /nfs/dbraw/zinc/07/24/01/1050072401.db2.gz UZSPHUBAJODLIQ-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN Fc1ccccc1CN[C@H](CN1CCCCC1)c1ccccc1 ZINC000590075078 1050074014 /nfs/dbraw/zinc/07/40/14/1050074014.db2.gz OOFMKJWQSQXRBF-HXUWFJFHSA-N 0 3 312.432 4.143 20 0 DIADHN Cn1cc(CN2CCCC[C@H]2c2ccccc2F)c2cccnc21 ZINC001209748286 1050080183 /nfs/dbraw/zinc/08/01/83/1050080183.db2.gz DKYRYRZLXZCEIA-IBGZPJMESA-N 0 3 323.415 4.440 20 0 DIADHN Cn1cc(CN2CCCC[C@@H]2c2ccccc2F)c2cccnc21 ZINC001209748289 1050080416 /nfs/dbraw/zinc/08/04/16/1050080416.db2.gz DKYRYRZLXZCEIA-LJQANCHMSA-N 0 3 323.415 4.440 20 0 DIADHN CSCc1cnc(CNCC(C)(C)c2ccccc2)s1 ZINC000590182144 1050080367 /nfs/dbraw/zinc/08/03/67/1050080367.db2.gz JTDUCEXRUIDTDJ-UHFFFAOYSA-N 0 3 306.500 4.074 20 0 DIADHN CC(C)Oc1ccc(Nc2ccc3c(c2)CN(C)CC3)c(F)c1 ZINC001209838690 1050091891 /nfs/dbraw/zinc/09/18/91/1050091891.db2.gz XTCSQGWHHDDYOX-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN CCC(CC)(CN[C@@H](C)c1ccco1)NC(=O)OC(C)(C)C ZINC000224405351 1050097022 /nfs/dbraw/zinc/09/70/22/1050097022.db2.gz SUZDTTZOEALCCW-ZDUSSCGKSA-N 0 3 310.438 4.014 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@H]2C2CC2)cs1 ZINC000590788229 1050107330 /nfs/dbraw/zinc/10/73/30/1050107330.db2.gz WVWDBYFARKQEHP-INIZCTEOSA-N 0 3 302.418 4.324 20 0 DIADHN CC(C)Oc1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1F ZINC001209997562 1050119283 /nfs/dbraw/zinc/11/92/83/1050119283.db2.gz WVVZSFZZIIDNBR-UHFFFAOYSA-N 0 3 314.404 4.344 20 0 DIADHN C[C@H](NC(=O)CN1[C@@H](C)CCC[C@@H]1C)c1cccc2ccccc21 ZINC000591083458 1050122459 /nfs/dbraw/zinc/12/24/59/1050122459.db2.gz VKPCORCGQMQSHJ-ULQDDVLXSA-N 0 3 324.468 4.280 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C(C)C)N1CCCCC1 ZINC000591105049 1050124656 /nfs/dbraw/zinc/12/46/56/1050124656.db2.gz WBRJMSUHVDBNGQ-INIZCTEOSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@H](CC(=O)N[C@H](CN1CCCC1)c1ccccc1)C(C)C ZINC000591123682 1050125444 /nfs/dbraw/zinc/12/54/44/1050125444.db2.gz JIKKCYLLEUZIDS-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN CC[C@H](CC(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1)C(C)C ZINC000591154042 1050126907 /nfs/dbraw/zinc/12/69/07/1050126907.db2.gz GOMYLIZQXDZESE-SCTDSRPQSA-N 0 3 316.489 4.010 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4cccnc4c3)cccc21 ZINC001210057887 1050131679 /nfs/dbraw/zinc/13/16/79/1050131679.db2.gz LLPKJUKKOYBBQA-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN Cc1ncc(CN[C@@H](CCc2ccccc2)c2ccccc2)n1C ZINC000573103982 1050133716 /nfs/dbraw/zinc/13/37/16/1050133716.db2.gz IRAMKWXUFFSIOP-NRFANRHFSA-N 0 3 319.452 4.192 20 0 DIADHN Cc1c(F)cc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc1F ZINC001210109843 1050138193 /nfs/dbraw/zinc/13/81/93/1050138193.db2.gz GQKQBYSNKAJFND-KRWDZBQOSA-N 0 3 318.367 4.402 20 0 DIADHN CC(C)(C)[C@@H]1CCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000591378419 1050143572 /nfs/dbraw/zinc/14/35/72/1050143572.db2.gz YUTBNAYFLCTNOB-AWEZNQCLSA-N 0 3 319.836 4.401 20 0 DIADHN Fc1ccc(C2CCN(Cc3ccccc3F)CC2)cc1F ZINC000591378956 1050143922 /nfs/dbraw/zinc/14/39/22/1050143922.db2.gz KKCJQAJIPRXOHQ-UHFFFAOYSA-N 0 3 305.343 4.484 20 0 DIADHN Cc1ccc(NC(=S)Nc2ccccc2C)c(CN(C)C)c1 ZINC000733751890 1050152668 /nfs/dbraw/zinc/15/26/68/1050152668.db2.gz NGSMSKBJWQPLOM-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN CSc1ccc(CN[C@H](c2ccccc2)C(C)(C)CO)cc1 ZINC000573253362 1050153989 /nfs/dbraw/zinc/15/39/89/1050153989.db2.gz KAMUKVKFBHVVPT-GOSISDBHSA-N 0 3 315.482 4.258 20 0 DIADHN Fc1cccc(CCN(C[C@@H]2CC2(Cl)Cl)C2CC2)c1 ZINC000733841873 1050157591 /nfs/dbraw/zinc/15/75/91/1050157591.db2.gz LNHICCOIVDRCFQ-LBPRGKRZSA-N 0 3 302.220 4.026 20 0 DIADHN CC1(C)CN(C[C@@H](O)c2ccc(F)cc2)[C@H]1c1cccs1 ZINC000805840797 1050157676 /nfs/dbraw/zinc/15/76/76/1050157676.db2.gz VYRXPRCAAGKZFQ-ZBFHGGJFSA-N 0 3 305.418 4.004 20 0 DIADHN C[C@H](C(=O)N(C)c1ccc2ccccc2c1)N1CCCCCC1 ZINC000733873967 1050159088 /nfs/dbraw/zinc/15/90/88/1050159088.db2.gz AYSWSJKWQFVBCX-MRXNPFEDSA-N 0 3 310.441 4.067 20 0 DIADHN Cc1cccc(CN[C@@H](c2ccccc2)C2CCOCC2)c1F ZINC000823876618 1050165044 /nfs/dbraw/zinc/16/50/44/1050165044.db2.gz PXJRLURHRBFEFB-FQEVSTJZSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1cnccc1CCN1CCC[C@H]1c1nc2ccccc2s1 ZINC000573320222 1050165917 /nfs/dbraw/zinc/16/59/17/1050165917.db2.gz PJBVDTCGCPOBLF-KRWDZBQOSA-N 0 3 323.465 4.379 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2coc(-c3ccccc3)n2)C[C@H](C)O1 ZINC000573388708 1050174801 /nfs/dbraw/zinc/17/48/01/1050174801.db2.gz VENWFMDZAORTGG-MUJYYYPQSA-N 0 3 314.429 4.025 20 0 DIADHN C[C@H](NCCCc1c[nH]c2ccc(F)cc12)c1cscn1 ZINC000282684186 1050174994 /nfs/dbraw/zinc/17/49/94/1050174994.db2.gz JXJMBRVJNKHTPF-NSHDSACASA-N 0 3 303.406 4.047 20 0 DIADHN F[C@H]1CCN([C@H]2CCc3c(Cl)cccc3C2)CCC1(F)F ZINC001171038397 1050176323 /nfs/dbraw/zinc/17/63/23/1050176323.db2.gz WYSWVBTWRJEHEJ-WFASDCNBSA-N 0 3 317.782 4.267 20 0 DIADHN Fc1ccc(C2=CC[C@H](NCc3cc(F)ncc3F)CC2)cc1 ZINC000824113739 1050185236 /nfs/dbraw/zinc/18/52/36/1050185236.db2.gz LETQXXPIUODYHV-INIZCTEOSA-N 0 3 318.342 4.225 20 0 DIADHN O[C@@H]1C[C@H](c2ccccc2)N(C/C=C\c2ccc(Cl)cc2)C1 ZINC001171049554 1050187978 /nfs/dbraw/zinc/18/79/78/1050187978.db2.gz ONDZDINRJLSWDT-XOIGSVRCSA-N 0 3 313.828 4.161 20 0 DIADHN Fc1cnccc1CN1CC[C@@H](c2ccc(C(F)(F)F)cc2)C1 ZINC000824181879 1050188223 /nfs/dbraw/zinc/18/82/23/1050188223.db2.gz XSTSKPJCLBIBIL-CYBMUJFWSA-N 0 3 324.321 4.229 20 0 DIADHN FC(F)Oc1cccc(CNCc2ccc3cccnc3c2)c1 ZINC000591717171 1050192876 /nfs/dbraw/zinc/19/28/76/1050192876.db2.gz OPVXKWASNZMJPP-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@@H](C)NCc1cnc(Cl)c(C)c1 ZINC000770745912 1050192894 /nfs/dbraw/zinc/19/28/94/1050192894.db2.gz IIMHREFVVJIIFF-WBMJQRKESA-N 0 3 322.811 4.048 20 0 DIADHN Fc1cc(CN[C@H]2C[C@H](c3cccc(Cl)c3)C2)c(F)cn1 ZINC000824326355 1050198907 /nfs/dbraw/zinc/19/89/07/1050198907.db2.gz CQXHACGIVDYRJX-YEORSEQZSA-N 0 3 308.759 4.049 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2n[nH]c3ccccc23)c1C ZINC000591711656 1050202135 /nfs/dbraw/zinc/20/21/35/1050202135.db2.gz FBWBQULCDHJWSL-UHFFFAOYSA-N 0 3 318.424 4.259 20 0 DIADHN Cc1[nH]c2c(cc(C)cc2CNCc2[nH]nc3ccccc32)c1C ZINC000591711656 1050202151 /nfs/dbraw/zinc/20/21/51/1050202151.db2.gz FBWBQULCDHJWSL-UHFFFAOYSA-N 0 3 318.424 4.259 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1ccc(F)c2ccccc21 ZINC000824401116 1050206244 /nfs/dbraw/zinc/20/62/44/1050206244.db2.gz CBPINZCOUXVYNE-ZDUSSCGKSA-N 0 3 323.415 4.441 20 0 DIADHN CC1(C)Cc2cc(CNCc3coc4ccccc34)ccc2O1 ZINC000734004124 1050212467 /nfs/dbraw/zinc/21/24/67/1050212467.db2.gz PBONOBYODYKOBN-UHFFFAOYSA-N 0 3 307.393 4.436 20 0 DIADHN Cc1ccn2c(CN3CCC(C)(c4ccccc4)CC3)cnc2c1 ZINC001136892706 1050219481 /nfs/dbraw/zinc/21/94/81/1050219481.db2.gz PHZZUSLHOFVTAE-UHFFFAOYSA-N 0 3 319.452 4.196 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3cc(C)ccn23)cc1 ZINC001136888588 1050219956 /nfs/dbraw/zinc/21/99/56/1050219956.db2.gz AUKFFPVCLJZVRW-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN Fc1cnc(Cl)c(CN[C@@H]2CC[C@H](c3ccccc3)C2)c1 ZINC000824544604 1050226634 /nfs/dbraw/zinc/22/66/34/1050226634.db2.gz ZOCGBIWMNMPRSJ-XJKSGUPXSA-N 0 3 304.796 4.300 20 0 DIADHN CCCN(Cc1nc(-c2ccoc2)no1)CC1CCCCC1 ZINC000591808716 1050227235 /nfs/dbraw/zinc/22/72/35/1050227235.db2.gz JYIYFSMLBLINDV-UHFFFAOYSA-N 0 3 303.406 4.122 20 0 DIADHN CCOc1ccc(CNCc2ccc(C(C)(F)F)cc2)cc1 ZINC000573628431 1050231892 /nfs/dbraw/zinc/23/18/92/1050231892.db2.gz KPTRMOFNRAXUMY-UHFFFAOYSA-N 0 3 305.368 4.487 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cnn(-c2ccccc2)c1 ZINC000760903261 1050235430 /nfs/dbraw/zinc/23/54/30/1050235430.db2.gz PVNPKDWLDUEXRF-TZMCWYRMSA-N 0 3 311.351 4.254 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@]2(C)CC2(Cl)Cl)s1 ZINC000824765854 1050241580 /nfs/dbraw/zinc/24/15/80/1050241580.db2.gz PTNYLNSKWQSBLY-LBPRGKRZSA-N 0 3 307.290 4.114 20 0 DIADHN C[C@]1(CN[C@H]2CCc3cc(F)c(F)c(F)c32)CC1(Cl)Cl ZINC000824780506 1050242989 /nfs/dbraw/zinc/24/29/89/1050242989.db2.gz QUNJTOALRJIJDV-TVQRCGJNSA-N 0 3 324.173 4.265 20 0 DIADHN Cc1cc(Nc2ccc(-c3nccn3C)cc2)c2ccccc2n1 ZINC001210430843 1050243817 /nfs/dbraw/zinc/24/38/17/1050243817.db2.gz VNEFWFKWYSYRBT-UHFFFAOYSA-N 0 3 314.392 4.109 20 0 DIADHN CCCCn1nc(C)c(CNC[C@@H]2CCCC2(F)F)c1Cl ZINC000824800418 1050245093 /nfs/dbraw/zinc/24/50/93/1050245093.db2.gz XJYDGSVOMWARKS-LBPRGKRZSA-N 0 3 319.827 4.170 20 0 DIADHN C[C@@]1(F)CCCCN(Cc2ccc(OCC(F)F)cc2)C1 ZINC001136935460 1050245759 /nfs/dbraw/zinc/24/57/59/1050245759.db2.gz FLVBVYFAOLHUSA-MRXNPFEDSA-N 0 3 301.352 4.045 20 0 DIADHN C[C@@H](N[C@@H](c1ccccc1)C1CCOCC1)c1ccc(F)cn1 ZINC000771392553 1050247898 /nfs/dbraw/zinc/24/78/98/1050247898.db2.gz XCBJIRUJWBBQMP-KUHUBIRLSA-N 0 3 314.404 4.039 20 0 DIADHN C[C@H](NC[C@@H](O)c1cccc(F)c1)c1ccc(F)cc1Cl ZINC000157619103 1050254532 /nfs/dbraw/zinc/25/45/32/1050254532.db2.gz WQFNEDIHIPTDBC-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@H]3C[C@H](O)c3ccco3)[nH]c2c1 ZINC000824928318 1050257402 /nfs/dbraw/zinc/25/74/02/1050257402.db2.gz WBGCRYHTQDLKCH-HKUYNNGSSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cnc3c(C)cccn23)cc1 ZINC001136964328 1050258717 /nfs/dbraw/zinc/25/87/17/1050258717.db2.gz JLDKCEBOCUHETL-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1ccc2c(CN(C)CCC(=O)c3cccs3)c[nH]c2c1 ZINC001136975532 1050260764 /nfs/dbraw/zinc/26/07/64/1050260764.db2.gz KYZQUQOBFHQSGO-UHFFFAOYSA-N 0 3 312.438 4.243 20 0 DIADHN C[C@]1(CCNCc2csc(C3CC3)n2)CC1(Cl)Cl ZINC000824969732 1050262623 /nfs/dbraw/zinc/26/26/23/1050262623.db2.gz IPNGMIQWECWORE-LBPRGKRZSA-N 0 3 305.274 4.084 20 0 DIADHN CC(C)c1cnc(CNCC[C@@]2(C)CC2(Cl)Cl)s1 ZINC000824968161 1050263662 /nfs/dbraw/zinc/26/36/62/1050263662.db2.gz ATWFPBAYZDTGNK-LBPRGKRZSA-N 0 3 307.290 4.330 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@@]2(C)CC2(Cl)Cl)c(C)s1 ZINC000824968713 1050263956 /nfs/dbraw/zinc/26/39/56/1050263956.db2.gz DKDQMSPVPGAUGT-PELKAZGASA-N 0 3 307.290 4.385 20 0 DIADHN CC1(C)CCC2(CCN(Cc3c[nH]c4cccc(F)c34)CC2)O1 ZINC001136987151 1050272076 /nfs/dbraw/zinc/27/20/76/1050272076.db2.gz LDDAAOXOWFAMHW-UHFFFAOYSA-N 0 3 316.420 4.231 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2cncc(Cl)c2)cc1 ZINC001136998861 1050283185 /nfs/dbraw/zinc/28/31/85/1050283185.db2.gz HCFVUFGKWHKEGZ-KRWDZBQOSA-N 0 3 304.796 4.081 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc([S@@](C)=O)cc2)CC1 ZINC000592391712 1050297825 /nfs/dbraw/zinc/29/78/25/1050297825.db2.gz CQQCYZBEGQAYLW-IVZQSRNASA-N 0 3 313.466 4.072 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)/C(C)=C/C(C)(C)C)c1ccsc1 ZINC000771913845 1050299708 /nfs/dbraw/zinc/29/97/08/1050299708.db2.gz ANYVOTSLULDHMX-UKYUDJEDSA-N 0 3 322.518 4.240 20 0 DIADHN CCN(CC)[C@@H](CNC(=O)/C(C)=C\C(C)(C)C)c1ccsc1 ZINC000771913837 1050300934 /nfs/dbraw/zinc/30/09/34/1050300934.db2.gz ANYVOTSLULDHMX-QBOMHBFWSA-N 0 3 322.518 4.240 20 0 DIADHN Cc1ccsc1CN1CCC(C)(C(=O)OC(C)(C)C)CC1 ZINC000592523778 1050314204 /nfs/dbraw/zinc/31/42/04/1050314204.db2.gz PYBXKAGOPHGPIA-UHFFFAOYSA-N 0 3 309.475 4.000 20 0 DIADHN CC(C)(C)[C@H]1CCCN(C[C@@H](O)c2c(F)cccc2F)CC1 ZINC000734836301 1050324114 /nfs/dbraw/zinc/32/41/14/1050324114.db2.gz DWLHFWRKWWWASY-XJKSGUPXSA-N 0 3 311.416 4.146 20 0 DIADHN CC(C)(C)[C@H]1CCCN(C[C@H](O)c2cc(F)ccc2F)CC1 ZINC000734836933 1050324414 /nfs/dbraw/zinc/32/44/14/1050324414.db2.gz MAASZDCGSPBPJM-GUYCJALGSA-N 0 3 311.416 4.146 20 0 DIADHN CC(C)(C)c1ccc([C@@H](O)CNCc2cc(F)ccc2F)cc1 ZINC000734849212 1050326909 /nfs/dbraw/zinc/32/69/09/1050326909.db2.gz ZTJHYLGBCVPWFV-SFHVURJKSA-N 0 3 319.395 4.086 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H](C)c1ccc(Cl)cc1 ZINC000734851229 1050326989 /nfs/dbraw/zinc/32/69/89/1050326989.db2.gz SQDBKFOAEGCYEZ-MEDUHNTESA-N 0 3 301.821 4.167 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN(C)C[C@@H]2CCCCO2)c1 ZINC001143676425 1050332405 /nfs/dbraw/zinc/33/24/05/1050332405.db2.gz LWYNCJUFNYIOAE-AWEZNQCLSA-N 0 3 301.352 4.015 20 0 DIADHN COC[C@@H]1CCCCN1Cc1cc(C)ccc1C(F)(F)F ZINC001143672877 1050334122 /nfs/dbraw/zinc/33/41/22/1050334122.db2.gz TZKJTIKQRIJNJT-AWEZNQCLSA-N 0 3 301.352 4.015 20 0 DIADHN COCCC1CCN(Cc2cc(C)ccc2C(F)(F)F)CC1 ZINC001143676670 1050334195 /nfs/dbraw/zinc/33/41/95/1050334195.db2.gz NCMUKQSYXJUHMX-UHFFFAOYSA-N 0 3 315.379 4.262 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@H](CC(C)C)C(=O)OC)C2)cc1 ZINC001170040940 1050349550 /nfs/dbraw/zinc/34/95/50/1050349550.db2.gz ZQSSGXXHANMETL-RTBURBONSA-N 0 3 317.473 4.016 20 0 DIADHN Cc1cccc(OC[C@@H](C)N[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480252916 1050350845 /nfs/dbraw/zinc/35/08/45/1050350845.db2.gz KXDGEISPTJFPTA-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN CC(=O)[C@@H](N[C@H]1CCc2cc(Cl)ccc2C1)c1ccccc1 ZINC001171099676 1050350988 /nfs/dbraw/zinc/35/09/88/1050350988.db2.gz JZKUQAOYEYKHRW-RBUKOAKNSA-N 0 3 313.828 4.117 20 0 DIADHN FC[C@@H](N[C@@H]1CCc2cc(Cl)ccc2C1)c1ccccc1 ZINC001171100986 1050351514 /nfs/dbraw/zinc/35/15/14/1050351514.db2.gz QWRJXAZTPDZKKC-QZTJIDSGSA-N 0 3 303.808 4.498 20 0 DIADHN CC[C@@H]1CCCN(C[C@@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000735311282 1050372822 /nfs/dbraw/zinc/37/28/22/1050372822.db2.gz MWMLKILBGZXNPF-CZUORRHYSA-N 0 3 315.379 4.251 20 0 DIADHN CC(C)c1ccccc1CN[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000783317507 1050378332 /nfs/dbraw/zinc/37/83/32/1050378332.db2.gz VVERTQFPHYSNFS-IVZQSRNASA-N 0 3 315.482 4.398 20 0 DIADHN CC(C)=CC(=O)Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001143925978 1050402117 /nfs/dbraw/zinc/40/21/17/1050402117.db2.gz HPFIAFPCSJYKHU-SFHVURJKSA-N 0 3 320.436 4.169 20 0 DIADHN Cc1c(CN2CC[C@H](c3cccnc3)C2)cccc1C(F)(F)F ZINC001143924753 1050408798 /nfs/dbraw/zinc/40/87/98/1050408798.db2.gz LVAOSWOXWYQFAS-INIZCTEOSA-N 0 3 320.358 4.398 20 0 DIADHN Cc1c(CN2CC[C@@H](c3ccccn3)C2)cccc1C(F)(F)F ZINC001143924599 1050408823 /nfs/dbraw/zinc/40/88/23/1050408823.db2.gz JYCOHXFXFUEZHT-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN C/C=C(\C)C(=O)Nc1cccc2c1CN(C)C[C@@H]2c1ccccc1 ZINC001143943898 1050412259 /nfs/dbraw/zinc/41/22/59/1050412259.db2.gz QQDFMOSLNHNICD-FXZAEMTOSA-N 0 3 320.436 4.169 20 0 DIADHN Cc1c(CN2CC[C@@]3(CC3(F)F)C2)cccc1C(F)(F)F ZINC001143930395 1050412625 /nfs/dbraw/zinc/41/26/25/1050412625.db2.gz PHRBPMHJYGJMMF-CYBMUJFWSA-N 0 3 305.290 4.245 20 0 DIADHN CN(C)CCN(C(=O)/C(F)=C/C1CCCCC1)C1CCCCC1 ZINC001143965926 1050419217 /nfs/dbraw/zinc/41/92/17/1050419217.db2.gz WGZJWLNMMZVZEK-SDXDJHTJSA-N 0 3 324.484 4.143 20 0 DIADHN COc1ccc2c(c1)nccc2N[C@H]1CCOc2c(F)cccc21 ZINC000785845443 1050422296 /nfs/dbraw/zinc/42/22/96/1050422296.db2.gz NBMOZZJYYHUQAT-KRWDZBQOSA-N 0 3 324.355 4.318 20 0 DIADHN C[C@@H]([NH2+]Cc1ccc([O-])c(F)c1)c1ccc(Br)cc1 ZINC000226324803 1050426879 /nfs/dbraw/zinc/42/68/79/1050426879.db2.gz METXOZSEXJBCEH-SNVBAGLBSA-N 0 3 324.193 4.145 20 0 DIADHN C[C@@H](NCc1ccc(O)c(F)c1)c1ccc(Br)cc1 ZINC000226324803 1050426887 /nfs/dbraw/zinc/42/68/87/1050426887.db2.gz METXOZSEXJBCEH-SNVBAGLBSA-N 0 3 324.193 4.145 20 0 DIADHN CC(C)O[C@H](CN1CCC[C@]2(CC2(F)F)C1)c1ccccc1 ZINC000844440138 1050427394 /nfs/dbraw/zinc/42/73/94/1050427394.db2.gz BVVCRYOJYVCUKW-SJORKVTESA-N 0 3 309.400 4.274 20 0 DIADHN FC(F)(F)c1ccc(C2(NC[C@@H]3CC3(Cl)Cl)CC2)cc1 ZINC000844461186 1050433292 /nfs/dbraw/zinc/43/32/92/1050433292.db2.gz CLIKELZFAMPBCT-NSHDSACASA-N 0 3 324.173 4.478 20 0 DIADHN COc1ccc(OC(F)(F)F)cc1CN(C)CC(C)(C)C ZINC001144002046 1050437832 /nfs/dbraw/zinc/43/78/32/1050437832.db2.gz CPBNDIGHSQEBBE-UHFFFAOYSA-N 0 3 305.340 4.072 20 0 DIADHN Cc1cccc([C@H](O)CN2CCC[C@H]2c2ccc(F)cc2F)c1 ZINC001171132064 1050449066 /nfs/dbraw/zinc/44/90/66/1050449066.db2.gz CBUDOQKPSXOPMS-RBUKOAKNSA-N 0 3 317.379 4.144 20 0 DIADHN CCOc1c(F)cc(CN(C)[C@@H]2CCc3ccccc32)cc1F ZINC001144036729 1050449443 /nfs/dbraw/zinc/44/94/43/1050449443.db2.gz RMNVBEKOXFGNAS-GOSISDBHSA-N 0 3 317.379 4.483 20 0 DIADHN Clc1ccc2c(c1)CC[C@H](N1CCC[C@H]1c1nccs1)C2 ZINC001171132584 1050450812 /nfs/dbraw/zinc/45/08/12/1050450812.db2.gz BXFMZERHFUYHGF-HOTGVXAUSA-N 0 3 318.873 4.491 20 0 DIADHN CCCCN(C(=O)c1ccc(NC2=NCCC2)cc1)[C@@H](C)CC ZINC001144061745 1050450911 /nfs/dbraw/zinc/45/09/11/1050450911.db2.gz QDAJUJCBUCBUJD-HNNXBMFYSA-N 0 3 315.461 4.332 20 0 DIADHN CCCCN(C(=O)c1ccc(NC2=NCCC2)cc1)[C@H](C)CC ZINC001144061746 1050451115 /nfs/dbraw/zinc/45/11/15/1050451115.db2.gz QDAJUJCBUCBUJD-OAHLLOKOSA-N 0 3 315.461 4.332 20 0 DIADHN CC(C)n1ccc(CNC2CC(c3ccccc3Cl)C2)n1 ZINC000574420855 1050455731 /nfs/dbraw/zinc/45/57/31/1050455731.db2.gz VLLFFIPCVLQJND-UHFFFAOYSA-N 0 3 303.837 4.153 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc2[nH]cnc2c1 ZINC000574378832 1050455984 /nfs/dbraw/zinc/45/59/84/1050455984.db2.gz BEFVBKJDZCHQMW-ZDUSSCGKSA-N 0 3 305.381 4.468 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NCc1ccc2nc[nH]c2c1 ZINC000574378832 1050455986 /nfs/dbraw/zinc/45/59/86/1050455986.db2.gz BEFVBKJDZCHQMW-ZDUSSCGKSA-N 0 3 305.381 4.468 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3cccc(Cl)n3)C2)cc1 ZINC000786124202 1050456356 /nfs/dbraw/zinc/45/63/56/1050456356.db2.gz DGDCGZNDCHHXLR-GOEBONIOSA-N 0 3 300.833 4.421 20 0 DIADHN Clc1cc2c(cc1Cl)C[C@H](NCc1nccs1)CC2 ZINC001171134783 1050457092 /nfs/dbraw/zinc/45/70/92/1050457092.db2.gz ZTIVLXYPXKKEIK-LLVKDONJSA-N 0 3 313.253 4.097 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2cccc(Oc3ccc(C)cc3)c2)C1 ZINC001144090439 1050458319 /nfs/dbraw/zinc/45/83/19/1050458319.db2.gz JBASPRCIPKHFPB-SFHVURJKSA-N 0 3 309.409 4.198 20 0 DIADHN C[C@H](NC[C@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000736348148 1050469200 /nfs/dbraw/zinc/46/92/00/1050469200.db2.gz NCELNSBIVUKSON-SJCJKPOMSA-N 0 3 307.796 4.037 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(Cc2cccc(O)c2C)C1 ZINC001144118965 1050470288 /nfs/dbraw/zinc/47/02/88/1050470288.db2.gz NEBQEOGHUKPCIT-SFHVURJKSA-N 0 3 311.425 4.052 20 0 DIADHN C[N@H+](Cc1ccc(C#N)cc1)Cc1c([O-])ccc2ccccc21 ZINC000046477133 1050475858 /nfs/dbraw/zinc/47/58/58/1050475858.db2.gz MMENYWBIFRSLSR-UHFFFAOYSA-N 0 3 302.377 4.049 20 0 DIADHN C[N@@H+](Cc1ccc(C#N)cc1)Cc1c([O-])ccc2ccccc21 ZINC000046477133 1050475865 /nfs/dbraw/zinc/47/58/65/1050475865.db2.gz MMENYWBIFRSLSR-UHFFFAOYSA-N 0 3 302.377 4.049 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCCC[C@@H]1c1ccco1 ZINC000736581319 1050483569 /nfs/dbraw/zinc/48/35/69/1050483569.db2.gz NCMJRZTWUNQTAB-QGZVFWFLSA-N 0 3 320.477 4.235 20 0 DIADHN C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccnc(Cl)c1 ZINC000736626746 1050484823 /nfs/dbraw/zinc/48/48/23/1050484823.db2.gz BIUZSRORKJYAOB-SWLSCSKDSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cccnc1Cl ZINC000736630165 1050485757 /nfs/dbraw/zinc/48/57/57/1050485757.db2.gz XLFAZUZEMZKXGB-IUODEOHRSA-N 0 3 304.796 4.252 20 0 DIADHN Fc1ccccc1C1CCN(Cc2ccc3cc[nH]c3n2)CC1 ZINC001144176708 1050487022 /nfs/dbraw/zinc/48/70/22/1050487022.db2.gz CDNOAKCDZGSRGN-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Fc1ccc2[nH]nc(CN3CCC(c4ccccc4)CC3)c2c1 ZINC001144193078 1050489634 /nfs/dbraw/zinc/48/96/34/1050489634.db2.gz PGACJGHMAWNLCA-UHFFFAOYSA-N 0 3 309.388 4.082 20 0 DIADHN Cc1cccc(CN(Cc2ccc3cc[nH]c3n2)C2CC2)c1C ZINC001144187200 1050494213 /nfs/dbraw/zinc/49/42/13/1050494213.db2.gz XNKFCYINAOXCHR-UHFFFAOYSA-N 0 3 305.425 4.344 20 0 DIADHN c1csc(-c2nc(CNC[C@@H]3CCCSC3)cs2)c1 ZINC000574550950 1050495112 /nfs/dbraw/zinc/49/51/12/1050495112.db2.gz FXWOZYJPSHTJIC-NSHDSACASA-N 0 3 310.513 4.104 20 0 DIADHN COc1cc(CN2C[C@H]3CCCC[C@@H]3C2)ccc1Br ZINC001144223939 1050505501 /nfs/dbraw/zinc/50/55/01/1050505501.db2.gz CBMDWXYCTSPTRV-ZIAGYGMSSA-N 0 3 324.262 4.080 20 0 DIADHN Oc1ccc(CN2CCC(c3ccc4ccccc4c3)CC2)nc1 ZINC001144259209 1050519608 /nfs/dbraw/zinc/51/96/08/1050519608.db2.gz NDFYWBYLGZHQHL-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN [O-]c1ccc(C[NH+]2CCC(c3ccc4ccccc4c3)CC2)nc1 ZINC001144259209 1050519622 /nfs/dbraw/zinc/51/96/22/1050519622.db2.gz NDFYWBYLGZHQHL-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN C[C@@H]1C(=O)CCN(Cc2ccc(-n3cccc3)cc2)C12CCCC2 ZINC001144253406 1050519934 /nfs/dbraw/zinc/51/99/34/1050519934.db2.gz WFQKMSWMODUQEW-QGZVFWFLSA-N 0 3 322.452 4.201 20 0 DIADHN CCS[C@@H]1CCCCN(Cn2ccc(-c3ccsc3)n2)C1 ZINC000738218307 1050523297 /nfs/dbraw/zinc/52/32/97/1050523297.db2.gz DIIABLLZWFEBCF-OAHLLOKOSA-N 0 3 321.515 4.177 20 0 DIADHN CC(C)(C)[C@H]1CCCN(CN2C(=O)C[C@@H]2c2ccccc2)CC1 ZINC000738239077 1050526185 /nfs/dbraw/zinc/52/61/85/1050526185.db2.gz IGHNXLJHHSEXQR-ZWKOTPCHSA-N 0 3 314.473 4.066 20 0 DIADHN COC(=O)c1ccc2c(c1)[C@H](NC1(c3ccccc3)CC1)CCC2 ZINC000594513247 1050526552 /nfs/dbraw/zinc/52/65/52/1050526552.db2.gz LQTORBQOXLNTAF-LJQANCHMSA-N 0 3 321.420 4.130 20 0 DIADHN Cc1ncc([C@@H](C)NCCOc2ccc3ccccc3c2)s1 ZINC000179131890 1050527410 /nfs/dbraw/zinc/52/74/10/1050527410.db2.gz OIXDFFLIBOFLQP-CYBMUJFWSA-N 0 3 312.438 4.334 20 0 DIADHN Cc1ncc([C@H](C)NCCOc2ccc3ccccc3c2)s1 ZINC000179131900 1050528316 /nfs/dbraw/zinc/52/83/16/1050528316.db2.gz OIXDFFLIBOFLQP-ZDUSSCGKSA-N 0 3 312.438 4.334 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(Cl)c1)[C@H](O)c1cccc(F)c1 ZINC000786924178 1050529227 /nfs/dbraw/zinc/52/92/27/1050529227.db2.gz XBWIWWJAHQUEJA-WMFXKJRFSA-N 0 3 313.825 4.313 20 0 DIADHN C[C@H](N[C@@H](C)[C@H](O)c1cccc(F)c1)c1csc(Cl)c1 ZINC000786924179 1050529751 /nfs/dbraw/zinc/52/97/51/1050529751.db2.gz XBWIWWJAHQUEJA-YHAQOWFVSA-N 0 3 313.825 4.313 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1F)c1csc(Cl)c1 ZINC000786917372 1050529816 /nfs/dbraw/zinc/52/98/16/1050529816.db2.gz OVXKJFLXYCWUKA-PELKAZGASA-N 0 3 317.788 4.064 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cn2nccc2-c2cccnc2)CC1 ZINC000738242485 1050531070 /nfs/dbraw/zinc/53/10/70/1050531070.db2.gz XMKKVJJLIYBRSG-QGZVFWFLSA-N 0 3 312.461 4.051 20 0 DIADHN C[C@H](NC[C@H](O)c1cc(F)cc(Cl)c1)c1ccccc1F ZINC000787023567 1050538272 /nfs/dbraw/zinc/53/82/72/1050538272.db2.gz CYKABTNOWJKGGE-QFYYESIMSA-N 0 3 311.759 4.002 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@H](O)c2cc(F)cc(Cl)c2)o1 ZINC000787023436 1050538713 /nfs/dbraw/zinc/53/87/13/1050538713.db2.gz ODPHGFPICQSYIC-MEBBXXQBSA-N 0 3 311.784 4.019 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H](O)c2cc(F)cc(Cl)c2)o1 ZINC000787023435 1050539018 /nfs/dbraw/zinc/53/90/18/1050539018.db2.gz ODPHGFPICQSYIC-BONVTDFDSA-N 0 3 311.784 4.019 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](O)c2cc(F)cc(Cl)c2)o1 ZINC000787023437 1050539157 /nfs/dbraw/zinc/53/91/57/1050539157.db2.gz ODPHGFPICQSYIC-ZUZCIYMTSA-N 0 3 311.784 4.019 20 0 DIADHN C[C@H](NC[C@@H](O)c1cc(F)cc(Cl)c1)c1ccccc1F ZINC000787023565 1050539266 /nfs/dbraw/zinc/53/92/66/1050539266.db2.gz CYKABTNOWJKGGE-MGPLVRAMSA-N 0 3 311.759 4.002 20 0 DIADHN CCC[C@H](NC[C@H](O)c1cc(F)cc(Cl)c1)c1ccccn1 ZINC000787039972 1050545644 /nfs/dbraw/zinc/54/56/44/1050545644.db2.gz YEYIJXVCQSEOMK-RDJZCZTQSA-N 0 3 322.811 4.039 20 0 DIADHN COc1ccc(CN(C)Cc2ccc3cnccc3c2)cc1F ZINC000787064397 1050546114 /nfs/dbraw/zinc/54/61/14/1050546114.db2.gz UQJVWNDHPOXMLG-UHFFFAOYSA-N 0 3 310.372 4.015 20 0 DIADHN CCCC1CCN(Cc2ccc(OCC(F)(F)F)nc2)CC1 ZINC001144351677 1050549627 /nfs/dbraw/zinc/54/96/27/1050549627.db2.gz ADBFJGNQSJMLGQ-UHFFFAOYSA-N 0 3 316.367 4.035 20 0 DIADHN C[C@@H](NCc1cccc2[nH]ccc21)c1cc(F)c(F)c(F)c1 ZINC000594784340 1050552333 /nfs/dbraw/zinc/55/23/33/1050552333.db2.gz FGAORPWPEYDOFV-SNVBAGLBSA-N 0 3 304.315 4.436 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@H]1CC=CCC1 ZINC001168405692 1050557559 /nfs/dbraw/zinc/55/75/59/1050557559.db2.gz ZSBVWLXCFNZUAT-IRXDYDNUSA-N 0 3 322.452 4.455 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(O)cc2C(F)(F)F)c1 ZINC001144382461 1050558603 /nfs/dbraw/zinc/55/86/03/1050558603.db2.gz XBXQHDLQMOVULT-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN Cc1nc(F)ccc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000787174147 1050566791 /nfs/dbraw/zinc/56/67/91/1050566791.db2.gz DMGVRLAOFSSHLM-OAHLLOKOSA-N 0 3 304.796 4.172 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c[nH]c3nc(Cl)ccc13)CC2 ZINC001144416351 1050569605 /nfs/dbraw/zinc/56/96/05/1050569605.db2.gz GOOYKVVMZPAJNP-ZDUSSCGKSA-N 0 3 321.827 4.321 20 0 DIADHN CCOc1ccc(CN(C[C@H]2CC2(Cl)Cl)C(C)C)cc1 ZINC000746660529 1050570686 /nfs/dbraw/zinc/57/06/86/1050570686.db2.gz XHFDDSDXTOLFNN-CQSZACIVSA-N 0 3 316.272 4.490 20 0 DIADHN COCc1csc(CNCc2cccc(CSC)c2)c1 ZINC000594940885 1050578232 /nfs/dbraw/zinc/57/82/32/1050578232.db2.gz USPAFENQPNCTEC-UHFFFAOYSA-N 0 3 307.484 4.047 20 0 DIADHN Cc1nc2ccc(Nc3cc(C)nc(Br)c3)cc2[nH]1 ZINC001213071132 1050578342 /nfs/dbraw/zinc/57/83/42/1050578342.db2.gz AWGYCQGULZJAGS-UHFFFAOYSA-N 0 3 317.190 4.081 20 0 DIADHN COc1ccc2cc(Nc3ccc4nc(C)[nH]c4c3)cnc2c1 ZINC001213071364 1050578792 /nfs/dbraw/zinc/57/87/92/1050578792.db2.gz NTXAXAHWFIUDKS-UHFFFAOYSA-N 0 3 304.353 4.172 20 0 DIADHN COc1ccc(CN(C)[C@H](C)c2cccc3ccccc32)nc1 ZINC001144433783 1050580166 /nfs/dbraw/zinc/58/01/66/1050580166.db2.gz SFOYXPSUYKFCRN-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN Cc1nc2ccc(Nc3ccc(-c4ccncn4)cc3)cc2[nH]1 ZINC001213075413 1050581208 /nfs/dbraw/zinc/58/12/08/1050581208.db2.gz RUDIXJHLECYWBA-UHFFFAOYSA-N 0 3 301.353 4.072 20 0 DIADHN CCSC1(CNCc2csc(-c3ccsc3)n2)CC1 ZINC000594986515 1050587272 /nfs/dbraw/zinc/58/72/72/1050587272.db2.gz DWYLYUQRMNEYER-UHFFFAOYSA-N 0 3 310.513 4.247 20 0 DIADHN CC[C@@H](c1ccncc1)N(CC)Cn1cnc2cc(C)c(C)cc21 ZINC000739888881 1050591903 /nfs/dbraw/zinc/59/19/03/1050591903.db2.gz XASBNHMRYUVGOF-IBGZPJMESA-N 0 3 322.456 4.479 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1C[C@@H](O)c1ccc(Cl)cc1 ZINC000787327461 1050592486 /nfs/dbraw/zinc/59/24/86/1050592486.db2.gz MXPFEGPLDAHNFS-OLZOCXBDSA-N 0 3 321.770 4.036 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1ccnc(Cl)c1 ZINC000746677649 1050594010 /nfs/dbraw/zinc/59/40/10/1050594010.db2.gz RWJMCHLIUDPIQZ-YOEHRIQHSA-N 0 3 300.833 4.188 20 0 DIADHN C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1ccnc(Cl)c1 ZINC000746677644 1050595579 /nfs/dbraw/zinc/59/55/79/1050595579.db2.gz RWJMCHLIUDPIQZ-PBHICJAKSA-N 0 3 300.833 4.188 20 0 DIADHN CC(C)(C)c1cc(Nc2cncc(Br)c2)ccn1 ZINC001213160771 1050603132 /nfs/dbraw/zinc/60/31/32/1050603132.db2.gz KVRAUNLXUVKCAV-UHFFFAOYSA-N 0 3 306.207 4.280 20 0 DIADHN CC(C)(C)c1cc2ncc(Nc3ccnc(C(C)(C)C)c3)cn2n1 ZINC001213164112 1050604848 /nfs/dbraw/zinc/60/48/48/1050604848.db2.gz IPHLLJQXCRAJFU-UHFFFAOYSA-N 0 3 323.444 4.463 20 0 DIADHN CC(C)(C)c1cnn2cc(Nc3ccnc(C(C)(C)C)c3)cnc12 ZINC001213163932 1050605136 /nfs/dbraw/zinc/60/51/36/1050605136.db2.gz CYIGNAWSMIRHDG-UHFFFAOYSA-N 0 3 323.444 4.463 20 0 DIADHN COC(=O)c1c(F)cc(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001213168265 1050605499 /nfs/dbraw/zinc/60/54/99/1050605499.db2.gz BTRNZJPYGNEIFC-UHFFFAOYSA-N 0 3 320.339 4.188 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3nnc(C4CC4)n3c2)ccn1 ZINC001213164038 1050605525 /nfs/dbraw/zinc/60/55/25/1050605525.db2.gz GPVIVYSNYWMWNE-UHFFFAOYSA-N 0 3 307.401 4.043 20 0 DIADHN CC(=O)Oc1ccc(F)c(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001213168613 1050607469 /nfs/dbraw/zinc/60/74/69/1050607469.db2.gz NJIFWUSOAFCQNC-UHFFFAOYSA-N 0 3 302.349 4.187 20 0 DIADHN COC(=O)CCc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001213168695 1050608229 /nfs/dbraw/zinc/60/82/29/1050608229.db2.gz PIEZXUPMROSIKF-UHFFFAOYSA-N 0 3 312.413 4.228 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(F)ccc2OC2COC2)ccn1 ZINC001213168299 1050608257 /nfs/dbraw/zinc/60/82/57/1050608257.db2.gz DGFOAVVUFIZONE-UHFFFAOYSA-N 0 3 316.376 4.039 20 0 DIADHN CC(C)Oc1ccc(CN[C@@H](CC2CC2)c2ccccc2)cn1 ZINC000575041979 1050627054 /nfs/dbraw/zinc/62/70/54/1050627054.db2.gz XXLMCIYBCBULHI-IBGZPJMESA-N 0 3 310.441 4.500 20 0 DIADHN Fc1cccc(F)c1[C@H]1CCN(Cc2cc3cnccc3o2)C1 ZINC000845955824 1050635807 /nfs/dbraw/zinc/63/58/07/1050635807.db2.gz SFDOGCNORBWXRB-LBPRGKRZSA-N 0 3 314.335 4.096 20 0 DIADHN CCCN(Cc1noc2c1CCCC2)[C@@H]1CCc2ccccc2C1 ZINC000595564159 1050639935 /nfs/dbraw/zinc/63/99/35/1050639935.db2.gz JGHGGVKVOSZIHB-GOSISDBHSA-N 0 3 324.468 4.323 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1noc2c1CCCC2 ZINC000595563957 1050640047 /nfs/dbraw/zinc/64/00/47/1050640047.db2.gz BSQZAEAZMQYUGT-UHFFFAOYSA-N 0 3 312.457 4.254 20 0 DIADHN C[C@@H]1CC(C)(C)CN1CC(=O)Nc1ccccc1-c1ccccc1 ZINC001171207446 1050651236 /nfs/dbraw/zinc/65/12/36/1050651236.db2.gz ANZYTBKDQSOMFW-MRXNPFEDSA-N 0 3 322.452 4.413 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@]2(C)C[C@@]2(F)Cl)cs1 ZINC000846035632 1050654104 /nfs/dbraw/zinc/65/41/04/1050654104.db2.gz KMPRUPVJHXBCPF-KGLIPLIRSA-N 0 3 304.862 4.235 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@]2(C)C[C@@]2(F)Cl)s1 ZINC000846038712 1050655774 /nfs/dbraw/zinc/65/57/74/1050655774.db2.gz QUOIVEVMEXGFRT-KGLIPLIRSA-N 0 3 304.862 4.235 20 0 DIADHN FC1(F)CC12CCN(Cc1ccnc(Cl)c1Cl)CC2 ZINC000846055650 1050659824 /nfs/dbraw/zinc/65/98/24/1050659824.db2.gz VNKNFZNUFIXICK-UHFFFAOYSA-N 0 3 307.171 4.010 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC[C@@]3(CC3(F)F)C2)cc1 ZINC000846107968 1050662180 /nfs/dbraw/zinc/66/21/80/1050662180.db2.gz MNZJLHHZPWLHML-QGZVFWFLSA-N 0 3 317.383 4.006 20 0 DIADHN Fc1ccc(-c2ccc(CN3C[C@H]4[C@@H](C3)C4(F)F)s2)cc1 ZINC000846113078 1050666304 /nfs/dbraw/zinc/66/63/04/1050666304.db2.gz YVUZPOHTLNQNIC-OKILXGFUSA-N 0 3 309.356 4.251 20 0 DIADHN Cc1nc2ccc(CN3CCC[C@]4(CC4(F)F)C3)cc2s1 ZINC000846105751 1050670191 /nfs/dbraw/zinc/67/01/91/1050670191.db2.gz XPVCPRXARSMOSW-HNNXBMFYSA-N 0 3 308.397 4.226 20 0 DIADHN C[C@@H](CN1CCC[C@@]2(CC2(F)F)C1)c1cc(F)cc(F)c1 ZINC000846105989 1050671006 /nfs/dbraw/zinc/67/10/06/1050671006.db2.gz ZZLOAMOMUPRBMR-XHDPSFHLSA-N 0 3 301.327 4.190 20 0 DIADHN COc1ccc2oc(CN3CCC[C@]4(CC4(F)F)C3)cc2c1 ZINC000846106358 1050672472 /nfs/dbraw/zinc/67/24/72/1050672472.db2.gz AEFVWIGILVMDNY-INIZCTEOSA-N 0 3 307.340 4.063 20 0 DIADHN C[C@]1(CCN[C@@H](c2ccccc2)c2ccccn2)C[C@@]1(F)Cl ZINC000846184973 1050688090 /nfs/dbraw/zinc/68/80/90/1050688090.db2.gz PLUUQXDEMSPGPW-BZSNNMDCSA-N 0 3 318.823 4.465 20 0 DIADHN C[C@]1(CCN[C@H](c2ccccc2)c2ccccn2)C[C@]1(F)Cl ZINC000846184974 1050688986 /nfs/dbraw/zinc/68/89/86/1050688986.db2.gz PLUUQXDEMSPGPW-FGTMMUONSA-N 0 3 318.823 4.465 20 0 DIADHN COc1ccccc1C(C)(C)NCc1ccnc2ccccc12 ZINC000596245064 1050696886 /nfs/dbraw/zinc/69/68/86/1050696886.db2.gz MVZDEGKKDVFVRZ-UHFFFAOYSA-N 0 3 306.409 4.268 20 0 DIADHN CC(C)[C@H](NCc1ccsc1Cl)[C@@H](O)c1ccccc1 ZINC000788534545 1050705389 /nfs/dbraw/zinc/70/53/89/1050705389.db2.gz BBWJYLIPKKBSQV-GJZGRUSLSA-N 0 3 309.862 4.249 20 0 DIADHN Cc1cccc(CCN[C@@H]2COCc3cccc(Cl)c32)c1 ZINC000788584770 1050707671 /nfs/dbraw/zinc/70/76/71/1050707671.db2.gz QHKNZGOSJZJDNV-QGZVFWFLSA-N 0 3 301.817 4.052 20 0 DIADHN CCc1ccc(NC(=O)CN2C[C@H](C)C[C@H]2c2ccccc2)cc1 ZINC001170086900 1050714593 /nfs/dbraw/zinc/71/45/93/1050714593.db2.gz ZHFSICBEXJOAQN-UZLBHIALSA-N 0 3 322.452 4.271 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788652573 1050722360 /nfs/dbraw/zinc/72/23/60/1050722360.db2.gz RPZWXSPPRQZCRC-GMTAPVOTSA-N 0 3 315.230 4.213 20 0 DIADHN CCC[C@H](CC)N[C@H](C)c1nc(Br)ccc1F ZINC000788642821 1050723069 /nfs/dbraw/zinc/72/30/69/1050723069.db2.gz DRDVDRTVUMLWKJ-ZJUUUORDSA-N 0 3 303.219 4.213 20 0 DIADHN CCC[C@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccc(F)cc1F ZINC000788631290 1050723661 /nfs/dbraw/zinc/72/36/61/1050723661.db2.gz LFGZFXMESJUCGS-BZNIZROVSA-N 0 3 306.356 4.257 20 0 DIADHN CCOCCC1(CN[C@@H]2COCc3cccc(Cl)c32)CCC1 ZINC000788666437 1050726500 /nfs/dbraw/zinc/72/65/00/1050726500.db2.gz BYSBSNZVVAJWFZ-MRXNPFEDSA-N 0 3 323.864 4.098 20 0 DIADHN Clc1cccc2c1[C@@H](NC1CC(c3ccccc3)C1)COC2 ZINC000788816202 1050755038 /nfs/dbraw/zinc/75/50/38/1050755038.db2.gz REMDXLGRBQOCOE-HTWSVDAQSA-N 0 3 313.828 4.447 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@H]1c1ccc(C)c(C)c1 ZINC000491205549 1050768599 /nfs/dbraw/zinc/76/85/99/1050768599.db2.gz PYPZNKBLYBEUSX-SFHVURJKSA-N 0 3 311.425 4.355 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(Cl)c(F)c2)C2CC2)cc1 ZINC000846850209 1050772500 /nfs/dbraw/zinc/77/25/00/1050772500.db2.gz PMIHGLQZHMRGMX-INIZCTEOSA-N 0 3 320.795 4.124 20 0 DIADHN CCOc1cc(CN[C@H]2CCc3ccc(Cl)cc32)ccc1O ZINC000772957229 1050773726 /nfs/dbraw/zinc/77/37/26/1050773726.db2.gz CUCZGAIFWNTYSS-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN COC(=O)CC[C@H](N[C@H]1CCc2cc(C)ccc21)c1ccccc1 ZINC000772956993 1050783319 /nfs/dbraw/zinc/78/33/19/1050783319.db2.gz DHYNLEJAPUJPCL-PMACEKPBSA-N 0 3 323.436 4.266 20 0 DIADHN Clc1nc(CN2CC[C@H](c3ccncc3)C2)cc2ccccc21 ZINC000846908644 1050784135 /nfs/dbraw/zinc/78/41/35/1050784135.db2.gz WFHHFRMPWOHITF-INIZCTEOSA-N 0 3 323.827 4.273 20 0 DIADHN CCC[C@H](C)N1Cc2ccc(NC(=S)NC3(C)CC3)cc2C1 ZINC000789216747 1050801142 /nfs/dbraw/zinc/80/11/42/1050801142.db2.gz XTKKMURDSXAWNI-ZDUSSCGKSA-N 0 3 317.502 4.030 20 0 DIADHN c1csc(-c2ccn(CN3CC[C@H](Cc4ccccc4)C3)n2)c1 ZINC000742153410 1050806229 /nfs/dbraw/zinc/80/62/29/1050806229.db2.gz YXNJJFSWSYSUCT-QGZVFWFLSA-N 0 3 323.465 4.134 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cn2c3ccccc3oc2=O)c1C ZINC000742153606 1050806421 /nfs/dbraw/zinc/80/64/21/1050806421.db2.gz VSSZBVVFAOQHPG-KRWDZBQOSA-N 0 3 322.408 4.006 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H]1CCCc2cc(OC(F)F)ccc21 ZINC000180331717 1050809738 /nfs/dbraw/zinc/80/97/38/1050809738.db2.gz IVVRLLFPCUIGTP-QFYYESIMSA-N 0 3 321.371 4.048 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000180322568 1050809912 /nfs/dbraw/zinc/80/99/12/1050809912.db2.gz WASJJEVKXUFYPW-KZULUSFZSA-N 0 3 301.409 4.439 20 0 DIADHN COc1ccc(CN[C@@H]2CCCOc3ccccc32)cc1Cl ZINC000742369216 1050822695 /nfs/dbraw/zinc/82/26/95/1050822695.db2.gz YZFVECWSBQHELV-MRXNPFEDSA-N 0 3 317.816 4.352 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000789363870 1050823238 /nfs/dbraw/zinc/82/32/38/1050823238.db2.gz ZMBHHGWTIAGINE-DIFFPNOSSA-N 0 3 323.358 4.051 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@@H](C)c2cc(F)c(F)c(F)c2)cc1 ZINC000789363871 1050823689 /nfs/dbraw/zinc/82/36/89/1050823689.db2.gz ZMBHHGWTIAGINE-GTNSWQLSSA-N 0 3 323.358 4.051 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N[C@H](CC(C)C)c1ccccc1C ZINC001146489148 1050826161 /nfs/dbraw/zinc/82/61/61/1050826161.db2.gz RDODSPYDQAKHEU-MOPGFXCFSA-N 0 3 316.489 4.073 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NCC1CC(C)(C)CC(C)(C)C1 ZINC001146530098 1050829024 /nfs/dbraw/zinc/82/90/24/1050829024.db2.gz PMWLCMHWDYVSSF-QGZVFWFLSA-N 0 3 322.537 4.220 20 0 DIADHN C[C@H](NCc1cc2ccccc2o1)c1cccc(-n2ccnc2)c1 ZINC000742391886 1050830538 /nfs/dbraw/zinc/83/05/38/1050830538.db2.gz MZMDQCNGALUAOR-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CCCC[C@H](N[C@H](C)c1c[nH]nc1C)c1ccc(OC)cc1 ZINC000180424660 1050834246 /nfs/dbraw/zinc/83/42/46/1050834246.db2.gz HJEGYCKPCVICIT-ACJLOTCBSA-N 0 3 301.434 4.309 20 0 DIADHN FC(F)(F)c1cccc(C2CCN(Cc3ccccn3)CC2)c1 ZINC001204062147 1050835189 /nfs/dbraw/zinc/83/51/89/1050835189.db2.gz VZDBOBAMAPIBFJ-UHFFFAOYSA-N 0 3 320.358 4.480 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000742375434 1050837227 /nfs/dbraw/zinc/83/72/27/1050837227.db2.gz NHRQFNKOIJNUDK-ZFWWWQNUSA-N 0 3 309.885 4.255 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CN[C@@H]1C[C@H]1C1CCCCC1 ZINC000742375431 1050837450 /nfs/dbraw/zinc/83/74/50/1050837450.db2.gz NHRQFNKOIJNUDK-DZGCQCFKSA-N 0 3 309.885 4.255 20 0 DIADHN CC(C)CC[C@H](NC(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C)C(C)(C)C ZINC001146764726 1050840234 /nfs/dbraw/zinc/84/02/34/1050840234.db2.gz GRZHDWZGRTWHGK-TWMKSMIVSA-N 0 3 310.526 4.215 20 0 DIADHN CC[C@H](NCc1ncc(-c2ccc(OC)cc2)o1)[C@@H]1CC1(C)C ZINC001171286255 1050843457 /nfs/dbraw/zinc/84/34/57/1050843457.db2.gz HDJOSVDPIFQHCD-HOTGVXAUSA-N 0 3 314.429 4.265 20 0 DIADHN CN(CC(=O)Nc1ccccc1Cl)[C@H]1CCC[C@@H]1C(C)(C)C ZINC001171298215 1050864231 /nfs/dbraw/zinc/86/42/31/1050864231.db2.gz RIHPPMDTTFHDMF-BBRMVZONSA-N 0 3 322.880 4.425 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCOc1ccccc1C(C)C ZINC000772979222 1050864873 /nfs/dbraw/zinc/86/48/73/1050864873.db2.gz HTQICLLBMAFXOK-CQSZACIVSA-N 0 3 316.445 4.398 20 0 DIADHN Clc1ccc(OCC2CCN(Cc3ccsc3)CC2)cn1 ZINC000789757590 1050880073 /nfs/dbraw/zinc/88/00/73/1050880073.db2.gz OODXVCXAOYMQSB-UHFFFAOYSA-N 0 3 322.861 4.088 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N(c1ccccc1)C1CCN(C)CC1 ZINC000601960999 1050881791 /nfs/dbraw/zinc/88/17/91/1050881791.db2.gz BKUIYQHHVJCHIF-KRWDZBQOSA-N 0 3 316.489 4.186 20 0 DIADHN CC[C@@H](CC(=O)N(c1ccccc1)C1CCN(C)CC1)C(C)C ZINC000601964010 1050887058 /nfs/dbraw/zinc/88/70/58/1050887058.db2.gz ZSULLJBWVZAGRF-KRWDZBQOSA-N 0 3 316.489 4.186 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CC[C@H](C)C3)cs2)c1 ZINC001170122887 1050901440 /nfs/dbraw/zinc/90/14/40/1050901440.db2.gz AOSXNNANXQKTBW-GXTWGEPZSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@H](NCCc1ccc(F)cc1Cl)c1cccnc1Cl ZINC000772984005 1050902422 /nfs/dbraw/zinc/90/24/22/1050902422.db2.gz BYWKWYWNCDKUFD-JTQLQIEISA-N 0 3 313.203 4.421 20 0 DIADHN Cc1c(F)c(F)ccc1C(=O)NCCP(C(C)C)C(C)C ZINC001148607532 1050954195 /nfs/dbraw/zinc/95/41/95/1050954195.db2.gz HIMIBOBWOGZFHD-UHFFFAOYSA-N 0 3 315.344 4.302 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000742661593 1050911930 /nfs/dbraw/zinc/91/19/30/1050911930.db2.gz AVCJEJIYHRSHFH-MJGOQNOKSA-N 0 3 308.510 4.068 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC[C@@H](CC(F)(F)F)C1)CC2 ZINC001171319026 1050916046 /nfs/dbraw/zinc/91/60/46/1050916046.db2.gz FJQPIRBKVJJCRX-NHYWBVRUSA-N 0 3 301.327 4.477 20 0 DIADHN COc1cc(CN2CCC[C@H](CC(F)(F)F)C2)ccc1C ZINC001171324093 1050924992 /nfs/dbraw/zinc/92/49/92/1050924992.db2.gz XKBRLCPUKAQWNX-CQSZACIVSA-N 0 3 301.352 4.168 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H](C)C3(C)CC3)cs2)cc1 ZINC000742724867 1050927089 /nfs/dbraw/zinc/92/70/89/1050927089.db2.gz DQYFPNAEGOOFTD-LBPRGKRZSA-N 0 3 302.443 4.097 20 0 DIADHN CC[C@@H](C(=O)N(C)[C@@H](C)c1ccc(-c2ccccc2)cc1)N(C)C ZINC001148294809 1050930386 /nfs/dbraw/zinc/93/03/86/1050930386.db2.gz OEMZJBAFCSHDKH-JXFKEZNVSA-N 0 3 324.468 4.213 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](C)c1ccc(-c2ccncc2)cc1 ZINC000180695125 1050958244 /nfs/dbraw/zinc/95/82/44/1050958244.db2.gz LMXGBBBFWUYAJD-KGLIPLIRSA-N 0 3 306.413 4.192 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000180695072 1050959009 /nfs/dbraw/zinc/95/90/09/1050959009.db2.gz LMXGBBBFWUYAJD-UONOGXRCSA-N 0 3 306.413 4.192 20 0 DIADHN CS[C@H]1CC[C@H](NCc2c(F)cccc2OC(F)(F)F)C1 ZINC000790549750 1050970245 /nfs/dbraw/zinc/97/02/45/1050970245.db2.gz ZTYDZMGQSFLSCE-UWVGGRQHSA-N 0 3 323.355 4.098 20 0 DIADHN Cc1n[nH]cc1[C@H](C)NCc1ccc(COc2ccccc2)cc1 ZINC000180824217 1050996822 /nfs/dbraw/zinc/99/68/22/1050996822.db2.gz GZJMTLPSAVKJAQ-HNNXBMFYSA-N 0 3 321.424 4.148 20 0 DIADHN CN(Cc1cccc(Cl)c1)C[C@H](O)c1cccc(Cl)c1 ZINC000743249429 1051004801 /nfs/dbraw/zinc/00/48/01/1051004801.db2.gz BLFVTPUUACULJY-INIZCTEOSA-N 0 3 310.224 4.159 20 0 DIADHN O[C@H](CN(Cc1ccc(F)cc1)C1CC1)c1cccc(Cl)c1 ZINC000743256035 1051006078 /nfs/dbraw/zinc/00/60/78/1051006078.db2.gz MWTPJCZDZGMYRZ-GOSISDBHSA-N 0 3 319.807 4.177 20 0 DIADHN FC[C@@H](N[C@@H]1CCc2c(F)cc(F)cc2C1)c1ccccc1 ZINC001171348068 1051008941 /nfs/dbraw/zinc/00/89/41/1051008941.db2.gz ZNCJUFBSEZDKGF-CRAIPNDOSA-N 0 3 305.343 4.123 20 0 DIADHN Cc1ccc([C@@H](O)CN(C)Cc2cc(Cl)cc(Cl)c2)cc1 ZINC000743264286 1051010495 /nfs/dbraw/zinc/01/04/95/1051010495.db2.gz KTMOJRPNIHWYTP-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN OCc1cc(Nc2ccnc(C3CC3)c2)ccc1OC(F)(F)F ZINC001214828806 1051011625 /nfs/dbraw/zinc/01/16/25/1051011625.db2.gz MSTGPYCHYBRLKX-UHFFFAOYSA-N 0 3 324.302 4.094 20 0 DIADHN COCOc1c(C)cccc1Nc1ccnc(C(C)(C)C)c1 ZINC001214846699 1051014323 /nfs/dbraw/zinc/01/43/23/1051014323.db2.gz LDNXGIDORWMHKC-UHFFFAOYSA-N 0 3 300.402 4.414 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](Cc1ccccc1)c1cccs1 ZINC000180903824 1051016428 /nfs/dbraw/zinc/01/64/28/1051016428.db2.gz KGFBUHHPYVBECN-DYVFJYSZSA-N 0 3 311.454 4.414 20 0 DIADHN CC1(C)CCN(Cn2c3ccccc3nc2SC(F)F)C1 ZINC000743390890 1051020719 /nfs/dbraw/zinc/02/07/19/1051020719.db2.gz LLHWZVHQRCBMPK-UHFFFAOYSA-N 0 3 311.401 4.040 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)NCc1ccsc1Cl ZINC000790981185 1051020747 /nfs/dbraw/zinc/02/07/47/1051020747.db2.gz SRQKRGUQGOOPIR-YGRLFVJLSA-N 0 3 313.825 4.142 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1Cl)c1ccc(F)cc1 ZINC000773010854 1051021111 /nfs/dbraw/zinc/02/11/11/1051021111.db2.gz GRODEJAUWCXPDH-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@@H]1OCc2ccccc21 ZINC001204064190 1051022729 /nfs/dbraw/zinc/02/27/29/1051022729.db2.gz SHORVGIEPMIHAD-SFHVURJKSA-N 0 3 301.817 4.264 20 0 DIADHN OCC[C@H](N[C@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000773018213 1051023656 /nfs/dbraw/zinc/02/36/56/1051023656.db2.gz VRMYZQKWMCEXPS-QWRGUYRKSA-N 0 3 320.285 4.183 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H](C)Oc1cccc(Cl)c1 ZINC000773029681 1051029160 /nfs/dbraw/zinc/02/91/60/1051029160.db2.gz ZLYYPKFXOKDQSU-NEPJUHHUSA-N 0 3 322.836 4.317 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](OC)c1cccc(Cl)c1 ZINC000773036931 1051031790 /nfs/dbraw/zinc/03/17/90/1051031790.db2.gz YWIUGDGHEIAEDH-ZBEGNZNMSA-N 0 3 322.836 4.237 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1c(F)cccc1Cl)c1ccsc1 ZINC000773041478 1051034276 /nfs/dbraw/zinc/03/42/76/1051034276.db2.gz KUJZPYLJUPQWQF-AMJWSMQMSA-N 0 3 313.825 4.313 20 0 DIADHN CC[C@H](N[C@@H](C)[C@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000773045289 1051038383 /nfs/dbraw/zinc/03/83/83/1051038383.db2.gz IAQCUGAZZDQHJJ-NBHSMZAVSA-N 0 3 323.358 4.267 20 0 DIADHN COc1c(F)cc(Nc2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001214975216 1051041373 /nfs/dbraw/zinc/04/13/73/1051041373.db2.gz UYRIAEAWWOIUPH-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)NC[C@H](O)c1cccc(C)c1 ZINC000773066077 1051046247 /nfs/dbraw/zinc/04/62/47/1051046247.db2.gz USFHRYSTUDUUFL-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN(C[C@@H](O)c2ccccc2)C2CC2)o1 ZINC000791441565 1051049238 /nfs/dbraw/zinc/04/92/38/1051049238.db2.gz DDNRISAHKHWCFH-NIKGAXFTSA-N 0 3 311.425 4.101 20 0 DIADHN C[C@H](NCCOc1ccccc1Cl)c1ccc(Cl)nc1 ZINC000773101119 1051064170 /nfs/dbraw/zinc/06/41/70/1051064170.db2.gz XDJBZINAEHXCCY-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2ccc[nH]2)cc1OCC ZINC000773115566 1051064289 /nfs/dbraw/zinc/06/42/89/1051064289.db2.gz GHCXCJSDVHRECM-KGLIPLIRSA-N 0 3 302.418 4.224 20 0 DIADHN C[C@@H](N[C@H]1CCc2c1cc(Br)cc2F)c1ccc[nH]1 ZINC000773114931 1051064341 /nfs/dbraw/zinc/06/43/41/1051064341.db2.gz DHTSNIZLIKCBEJ-PSLIRLAXSA-N 0 3 323.209 4.254 20 0 DIADHN CSc1ccccc1CN(C)C[C@@H](O)c1cccc(Cl)c1 ZINC000791600024 1051065837 /nfs/dbraw/zinc/06/58/37/1051065837.db2.gz HTEBELWZDBTHFQ-MRXNPFEDSA-N 0 3 321.873 4.227 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@@H](C)c1ccc[nH]1 ZINC000773116315 1051067511 /nfs/dbraw/zinc/06/75/11/1051067511.db2.gz JEBUQGOLCDXODP-RYUDHWBXSA-N 0 3 312.442 4.253 20 0 DIADHN C[C@H](N[C@H]1CCc2cc(Br)ccc21)c1ccc[nH]1 ZINC000773116286 1051067813 /nfs/dbraw/zinc/06/78/13/1051067813.db2.gz IRCRERRFLGBYDO-BONVTDFDSA-N 0 3 305.219 4.115 20 0 DIADHN Cc1cccc([C@@H](C)N2CCC[C@@H](NC(=O)OC(C)(C)C)C2)c1 ZINC000743842159 1051069193 /nfs/dbraw/zinc/06/91/93/1051069193.db2.gz AMVZBVIEHLJFTR-NVXWUHKLSA-N 0 3 318.461 4.045 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc[nH]1)c1ccc(Br)c(F)c1 ZINC000773121581 1051069490 /nfs/dbraw/zinc/06/94/90/1051069490.db2.gz RYPLWWPDAUBJDM-ZJUUUORDSA-N 0 3 311.198 4.328 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(Br)c(F)c1)c1ccc[nH]1 ZINC000773121578 1051069556 /nfs/dbraw/zinc/06/95/56/1051069556.db2.gz RYPLWWPDAUBJDM-UWVGGRQHSA-N 0 3 311.198 4.328 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccc(OC(F)(F)F)cc21)c1ccc[nH]1 ZINC000773125258 1051069667 /nfs/dbraw/zinc/06/96/67/1051069667.db2.gz YWJNKYIFTMPNLV-BMIGLBTASA-N 0 3 310.319 4.251 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccc[nH]1 ZINC000773118876 1051070138 /nfs/dbraw/zinc/07/01/38/1051070138.db2.gz NRPCLFUQANRUEL-NWDGAFQWSA-N 0 3 312.335 4.368 20 0 DIADHN Cc1ccc([C@H](NCCCCCCCO)c2ccccn2)cc1 ZINC000791633375 1051071628 /nfs/dbraw/zinc/07/16/28/1051071628.db2.gz JDBGSOFQYASBCQ-FQEVSTJZSA-N 0 3 312.457 4.012 20 0 DIADHN Cc1ccc([C@@H](NCCc2ccsc2)c2ccccn2)cc1 ZINC000791632459 1051072052 /nfs/dbraw/zinc/07/20/52/1051072052.db2.gz BBJWZPULAFETRS-LJQANCHMSA-N 0 3 308.450 4.373 20 0 DIADHN CCOc1ccccc1OCCN1CCc2ccccc2[C@H]1C ZINC000743847311 1051072499 /nfs/dbraw/zinc/07/24/99/1051072499.db2.gz GZQXGUPVVSAXKA-MRXNPFEDSA-N 0 3 311.425 4.083 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cccc(Cl)n2)C12CCCCC2 ZINC000743850703 1051073570 /nfs/dbraw/zinc/07/35/70/1051073570.db2.gz SCCZWXFLGSTFAB-JKSUJKDBSA-N 0 3 322.880 4.295 20 0 DIADHN ClC1(Cl)C[C@@H]1CN1CCC(OCC2CCCCC2)CC1 ZINC000743854030 1051076254 /nfs/dbraw/zinc/07/62/54/1051076254.db2.gz JNOOOBHIIYFHQF-CQSZACIVSA-N 0 3 320.304 4.242 20 0 DIADHN C[C@@H]1C[C@@H](c2cccc(F)c2)N(C[C@H](O)c2ccccc2F)C1 ZINC000743854781 1051076409 /nfs/dbraw/zinc/07/64/09/1051076409.db2.gz MGQWABWTABHORL-VMDGZTHMSA-N 0 3 317.379 4.081 20 0 DIADHN CC(C)C1CCN(C[C@@H](O)c2ccccc2C(F)(F)F)CC1 ZINC000743851756 1051076504 /nfs/dbraw/zinc/07/65/04/1051076504.db2.gz LDBJCNQDTPFHTQ-MRXNPFEDSA-N 0 3 315.379 4.107 20 0 DIADHN CCCCCn1cc(CN[C@H]2CCc3cc(C)ccc32)c(C)n1 ZINC000773139762 1051077264 /nfs/dbraw/zinc/07/72/64/1051077264.db2.gz QIPKIZPDSFNUPT-FQEVSTJZSA-N 0 3 311.473 4.467 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(C[C@@H]2CC2(Cl)Cl)C1 ZINC000743857392 1051079680 /nfs/dbraw/zinc/07/96/80/1051079680.db2.gz ULBGAOQMSVLPOZ-SUNKGSAMSA-N 0 3 302.220 4.402 20 0 DIADHN CN(Cc1nnc(-c2cccc(F)c2)o1)C1CCCCCCC1 ZINC000743842996 1051081820 /nfs/dbraw/zinc/08/18/20/1051081820.db2.gz KBSJPVMHIWLTQR-UHFFFAOYSA-N 0 3 317.408 4.420 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCC2(CCCC2)C1 ZINC000743863612 1051087904 /nfs/dbraw/zinc/08/79/04/1051087904.db2.gz KOAFRBAOKIBVCZ-CQSZACIVSA-N 0 3 320.864 4.242 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ncc(Br)s1 ZINC000714945514 1051097793 /nfs/dbraw/zinc/09/77/93/1051097793.db2.gz JNAFIGCQXPHSPS-NXEZZACHSA-N 0 3 317.296 4.210 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000792181322 1051123649 /nfs/dbraw/zinc/12/36/49/1051123649.db2.gz NQBFADRMQUAUBB-SUNKGSAMSA-N 0 3 305.809 4.229 20 0 DIADHN Fc1cccc2c1CC[C@H](N1Cc3cccc(Cl)c3C1)C2 ZINC001171441667 1051125658 /nfs/dbraw/zinc/12/56/58/1051125658.db2.gz MYFZLNWTLCTKLR-AWEZNQCLSA-N 0 3 301.792 4.352 20 0 DIADHN CC/C=C/CCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@H]1C ZINC001171455549 1051137527 /nfs/dbraw/zinc/13/75/27/1051137527.db2.gz BWACYCJZJKAWST-OUUZNBFFSA-N 0 3 310.482 4.061 20 0 DIADHN CCNc1nc(CN2CC[C@@H]([C@H]3CCCC3(C)C)C2)cs1 ZINC001171460921 1051141239 /nfs/dbraw/zinc/14/12/39/1051141239.db2.gz YKOVABLWQYGVLI-UKRRQHHQSA-N 0 3 307.507 4.223 20 0 DIADHN CC[C@H]1C[C@@H](n2c3ccccc3nc2[C@H](N)CC(C)C)CCO1 ZINC001170181859 1051145956 /nfs/dbraw/zinc/14/59/56/1051145956.db2.gz JYFROZPDKZBVDC-HRCADAONSA-N 0 3 315.461 4.212 20 0 DIADHN Cc1cc(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)c(C)s1 ZINC001171480379 1051151471 /nfs/dbraw/zinc/15/14/71/1051151471.db2.gz RKIBBBOQXFEBAB-UHFFFAOYSA-N 0 3 323.502 4.309 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CCC[C@](C)(c2ccccc2)CC1 ZINC001170196362 1051153857 /nfs/dbraw/zinc/15/38/57/1051153857.db2.gz ZTOGMSHRPBXPEW-QUCCMNQESA-N 0 3 317.473 4.162 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1noc2ccccc12 ZINC001170259460 1051165503 /nfs/dbraw/zinc/16/55/03/1051165503.db2.gz CSRCWPDKSHIOGC-GFCCVEGCSA-N 0 3 300.789 4.202 20 0 DIADHN CC(C)COc1ccc(-c2ccc(NCC3CN(C)C3)cc2)cc1 ZINC001170259715 1051165517 /nfs/dbraw/zinc/16/55/17/1051165517.db2.gz ZQRPCATYDTXZSJ-UHFFFAOYSA-N 0 3 324.468 4.362 20 0 DIADHN COc1cc(CN2CCC[C@@H](c3cccnc3)C2)ccc1Cl ZINC001171559898 1051166919 /nfs/dbraw/zinc/16/69/19/1051166919.db2.gz AMSRQVWQPCHYHC-MRXNPFEDSA-N 0 3 316.832 4.123 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1CC[C@@](CF)(C(F)(F)F)C1 ZINC001170265018 1051167838 /nfs/dbraw/zinc/16/78/38/1051167838.db2.gz CUXBMWYKGBRVIH-RISCZKNCSA-N 0 3 323.761 4.495 20 0 DIADHN Cc1cccc2c1CC[C@H](N(C)Cc1cnc(Cl)s1)C2 ZINC001171565038 1051170616 /nfs/dbraw/zinc/17/06/16/1051170616.db2.gz JIJKWFNGPCOLJR-ZDUSSCGKSA-N 0 3 306.862 4.094 20 0 DIADHN CCOc1ccccc1OCCN1Cc2ccccc2[C@H](C)C1 ZINC000744384758 1051171547 /nfs/dbraw/zinc/17/15/47/1051171547.db2.gz HLBLFMZAOICYSC-MRXNPFEDSA-N 0 3 311.425 4.083 20 0 DIADHN COc1cccc(OC)c1CN[C@@H](C)Cc1ccccc1Cl ZINC001170250568 1051173147 /nfs/dbraw/zinc/17/31/47/1051173147.db2.gz NVAOGGDWLRRRDC-ZDUSSCGKSA-N 0 3 319.832 4.078 20 0 DIADHN CCCN(CC(=O)Nc1ccc(SC(F)F)cc1)C(C)C ZINC001171599160 1051174397 /nfs/dbraw/zinc/17/43/97/1051174397.db2.gz OBJDECQUWPMSPW-UHFFFAOYSA-N 0 3 316.417 4.060 20 0 DIADHN CCCCCN1CCN([C@@H](C)Cc2ccccc2Cl)CC1 ZINC001170284334 1051181914 /nfs/dbraw/zinc/18/19/14/1051181914.db2.gz MIORKEBPCNUQNL-INIZCTEOSA-N 0 3 308.897 4.079 20 0 DIADHN COc1cccc2c1CN(CCSC1CCCCC1)CC2 ZINC001170343089 1051193138 /nfs/dbraw/zinc/19/31/38/1051193138.db2.gz WGXAQGGTLJFTBX-UHFFFAOYSA-N 0 3 305.487 4.119 20 0 DIADHN Cc1ccc(CN2CCC3(CCc4ccccc4C3)CC2)cn1 ZINC001171718409 1051199265 /nfs/dbraw/zinc/19/92/65/1051199265.db2.gz FPYAFXFBPZGDKV-UHFFFAOYSA-N 0 3 306.453 4.161 20 0 DIADHN Cc1sc(NC(=O)CN2CCCC[C@H]2C2CCC2)c(C)c1C ZINC001171752383 1051208363 /nfs/dbraw/zinc/20/83/63/1051208363.db2.gz TZGJWDSYQDOZJW-INIZCTEOSA-N 0 3 320.502 4.266 20 0 DIADHN CSc1ccc(F)cc1Nc1ccc2c(c1)CN(C)CC2 ZINC001215455397 1051209276 /nfs/dbraw/zinc/20/92/76/1051209276.db2.gz NODUKMPVEKMKAJ-UHFFFAOYSA-N 0 3 302.418 4.279 20 0 DIADHN Cc1ccc(Cl)c(CN[C@H]2Cc3cccc(F)c3C2)c1F ZINC001171774132 1051210104 /nfs/dbraw/zinc/21/01/04/1051210104.db2.gz VTJFBXYBOSWDIW-LBPRGKRZSA-N 0 3 307.771 4.184 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2Cc3cccc(F)c3C2)c1Cl ZINC001171774035 1051211576 /nfs/dbraw/zinc/21/15/76/1051211576.db2.gz TZGPGKAQTGCVAF-GFCCVEGCSA-N 0 3 307.771 4.184 20 0 DIADHN CC[C@H]1c2ccc(OC)c(OC)c2CCN1[C@@H]1C=CCCC1 ZINC001171734413 1051212919 /nfs/dbraw/zinc/21/29/19/1051212919.db2.gz HCERVAYEWVNXEF-PBHICJAKSA-N 0 3 301.430 4.122 20 0 DIADHN CCc1cccc(F)c1CN1CCC[C@@H]1C[C@H](O)c1ccco1 ZINC000792839033 1051219784 /nfs/dbraw/zinc/21/97/84/1051219784.db2.gz JFOFZKJEJPGYGD-QAPCUYQASA-N 0 3 317.404 4.069 20 0 DIADHN Fc1ccc(F)c([C@H]2CCCN2[C@@H]2Cc3cccc(F)c3C2)c1 ZINC001171804718 1051222301 /nfs/dbraw/zinc/22/23/01/1051222301.db2.gz HTKCODPUPYCALX-AUUYWEPGSA-N 0 3 317.354 4.408 20 0 DIADHN C[C@]12CCN(Cc3ccc4ncccc4c3)C[C@H]1C2(Cl)Cl ZINC000792902599 1051224247 /nfs/dbraw/zinc/22/42/47/1051224247.db2.gz LSSHHXDSFPHOOJ-CVEARBPZSA-N 0 3 321.251 4.251 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)CCc2ccc(O)cc2)c(C)s1 ZINC000037140128 1051224781 /nfs/dbraw/zinc/22/47/81/1051224781.db2.gz BTGGNIUQJLPVDT-NEPJUHHUSA-N 0 3 304.459 4.137 20 0 DIADHN CCN(Cc1cccc(F)c1F)[C@H]1Cc2cccc(F)c2C1 ZINC001171810224 1051224886 /nfs/dbraw/zinc/22/48/86/1051224886.db2.gz QERGHAHZPCUGJP-AWEZNQCLSA-N 0 3 305.343 4.093 20 0 DIADHN C[C@@]12CCN(CCSc3ccccc3)C[C@@H]1C2(Cl)Cl ZINC000792900943 1051225187 /nfs/dbraw/zinc/22/51/87/1051225187.db2.gz FODKGFBYOCKQMM-UONOGXRCSA-N 0 3 316.297 4.294 20 0 DIADHN Clc1cc(CN2CCC[C@@H]2[C@H]2CCCO2)c2ccccc2n1 ZINC000792927343 1051230506 /nfs/dbraw/zinc/23/05/06/1051230506.db2.gz QIMGGKBEAHXURT-IAGOWNOFSA-N 0 3 316.832 4.032 20 0 DIADHN COCC[C@H](NC/C=C/Cl)c1ccc(Cl)c(Cl)c1 ZINC000792926739 1051231626 /nfs/dbraw/zinc/23/16/26/1051231626.db2.gz NFRUERULEVBBMO-NHEDYWLLSA-N 0 3 308.636 4.413 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC(C)(C)CC(C)(C)C2)c(C)c1 ZINC001171882450 1051235256 /nfs/dbraw/zinc/23/52/56/1051235256.db2.gz SWFNZUDBURRZEA-UHFFFAOYSA-N 0 3 316.489 4.390 20 0 DIADHN CC(C)[C@H]1N(C[C@H](O)c2ccc(Cl)c(F)c2)CC12CCC2 ZINC000793005564 1051236267 /nfs/dbraw/zinc/23/62/67/1051236267.db2.gz WXSFDMJMJIEWEN-JKSUJKDBSA-N 0 3 311.828 4.023 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1ccn(-c2c(F)cccc2F)n1 ZINC001171915358 1051238727 /nfs/dbraw/zinc/23/87/27/1051238727.db2.gz CYDBIOYVVCLCER-LBPRGKRZSA-N 0 3 307.388 4.065 20 0 DIADHN CCc1ccc(C2=CCN(C[C@H]3CC[C@@H](C4CC4)O3)CC2)cc1 ZINC001168775293 1051246499 /nfs/dbraw/zinc/24/64/99/1051246499.db2.gz OLSXJOFOFIWOLW-RTWAWAEBSA-N 0 3 311.469 4.296 20 0 DIADHN C[C@H](c1ccc(Cl)cc1Cl)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC001171936492 1051254776 /nfs/dbraw/zinc/25/47/76/1051254776.db2.gz APWKGZXYRSRCGZ-NRWUCQMLSA-N 0 3 314.256 4.147 20 0 DIADHN C[C@@H](N[C@H](C)C1CCC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000793167272 1051256118 /nfs/dbraw/zinc/25/61/18/1051256118.db2.gz GMUXWUBWRBDFGI-GHMZBOCLSA-N 0 3 305.809 4.229 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2occc2C1 ZINC001171969059 1051258260 /nfs/dbraw/zinc/25/82/60/1051258260.db2.gz YJVKFICZIKKBER-GFCCVEGCSA-N 0 3 309.331 4.288 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2ncccc2C1 ZINC001171973471 1051265685 /nfs/dbraw/zinc/26/56/85/1051265685.db2.gz JEXIIOIQMDSDLL-ZDUSSCGKSA-N 0 3 320.358 4.090 20 0 DIADHN CCCCCCOc1cccc(CN2CC([C@H]3CCOC3)C2)c1 ZINC000793258903 1051273076 /nfs/dbraw/zinc/27/30/76/1051273076.db2.gz PVRQXJIEGQSYIV-SFHVURJKSA-N 0 3 317.473 4.114 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cccn2ccnc12 ZINC001215675145 1051292796 /nfs/dbraw/zinc/29/27/96/1051292796.db2.gz AKNIAIFVOYDVHP-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1noc(Nc2cccc(CN3CCCCC3)c2F)c1C ZINC001215676015 1051293837 /nfs/dbraw/zinc/29/38/37/1051293837.db2.gz LVXDRJAIVIRPGY-UHFFFAOYSA-N 0 3 303.381 4.160 20 0 DIADHN Oc1ccccc1Nc1cccc(CN2CCCCC2)c1F ZINC001215676556 1051293892 /nfs/dbraw/zinc/29/38/92/1051293892.db2.gz DLDAAQMBCYROKC-UHFFFAOYSA-N 0 3 300.377 4.261 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cccn2nccc12 ZINC001215677441 1051296690 /nfs/dbraw/zinc/29/66/90/1051296690.db2.gz PUNQOLFLJJHHKN-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cnn(C(F)F)c1 ZINC001215677419 1051296852 /nfs/dbraw/zinc/29/68/52/1051296852.db2.gz OSSJNOHRMGUBPO-UHFFFAOYSA-N 0 3 324.350 4.147 20 0 DIADHN Fc1ccc(Cl)cc1CN[C@H]1CSc2ccccc2C1 ZINC001172186627 1051308646 /nfs/dbraw/zinc/30/86/46/1051308646.db2.gz AVSDUMRBVHNTEM-CQSZACIVSA-N 0 3 307.821 4.286 20 0 DIADHN Fc1ccc(Cl)cc1CN[C@@H]1CSc2ccccc2C1 ZINC001172186625 1051308759 /nfs/dbraw/zinc/30/87/59/1051308759.db2.gz AVSDUMRBVHNTEM-AWEZNQCLSA-N 0 3 307.821 4.286 20 0 DIADHN Fc1cc(Cl)ccc1CN[C@H]1CSc2ccccc2C1 ZINC001172187379 1051309256 /nfs/dbraw/zinc/30/92/56/1051309256.db2.gz LHPAVTWFVCGMGI-CQSZACIVSA-N 0 3 307.821 4.286 20 0 DIADHN Cc1noc(Nc2ccc(CN3CCCCC3)c(F)c2)c1C ZINC001215709672 1051316632 /nfs/dbraw/zinc/31/66/32/1051316632.db2.gz ARLUZNJIDOKUFW-UHFFFAOYSA-N 0 3 303.381 4.160 20 0 DIADHN CCn1cc(Nc2ccc(CN3CCCCC3)c(F)c2)c(C)n1 ZINC001215709883 1051316655 /nfs/dbraw/zinc/31/66/55/1051316655.db2.gz HLETUEAHTIDFMT-UHFFFAOYSA-N 0 3 316.424 4.080 20 0 DIADHN Fc1cc(Nc2cccn3ccnc23)ccc1CN1CCCCC1 ZINC001215709709 1051317362 /nfs/dbraw/zinc/31/73/62/1051317362.db2.gz CXJMQLKZJCQAGN-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Oc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)cc1F ZINC001215711552 1051318549 /nfs/dbraw/zinc/31/85/49/1051318549.db2.gz DBZLSWOEUSHMCF-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Cc1cc([C@@H](C)NC2CC(F)(c3cccc(Cl)c3)C2)n(C)n1 ZINC000793559038 1051319978 /nfs/dbraw/zinc/31/99/78/1051319978.db2.gz ABSCSCWALRCGHK-OLJMKKDRSA-N 0 3 321.827 4.060 20 0 DIADHN Clc1ccc2c(c1)OC[C@H]2N[C@H]1CSc2ccccc2C1 ZINC001172196468 1051324129 /nfs/dbraw/zinc/32/41/29/1051324129.db2.gz FVNWTAJQGKDOKI-UKRRQHHQSA-N 0 3 317.841 4.080 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1ccsc1Br ZINC001172330761 1051357807 /nfs/dbraw/zinc/35/78/07/1051357807.db2.gz WIUWOPWPSXMURK-MNOVXSKESA-N 0 3 320.296 4.194 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2Cc3ccccc32)c2ccccc2)nc1 ZINC000183554182 1051333072 /nfs/dbraw/zinc/33/30/72/1051333072.db2.gz TXOLWHOBSOGLRP-LAUBAEHRSA-N 0 3 318.395 4.240 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@@H](O)c1cccc(F)c1 ZINC000746166168 1051334119 /nfs/dbraw/zinc/33/41/19/1051334119.db2.gz SMFOMGFYEAUXSK-LJQANCHMSA-N 0 3 319.395 4.301 20 0 DIADHN CC[C@H](NCc1cc(=O)oc2cc(OC)ccc12)c1ccccc1 ZINC000746188596 1051337197 /nfs/dbraw/zinc/33/71/97/1051337197.db2.gz CTEAPAAJXFVZGA-SFHVURJKSA-N 0 3 323.392 4.043 20 0 DIADHN CC(C)C[NH2+]Cc1ccc(Nc2cc(F)c([O-])c(F)c2)cc1 ZINC001215745490 1051341159 /nfs/dbraw/zinc/34/11/59/1051341159.db2.gz RQEWRUQGIWOTMU-UHFFFAOYSA-N 0 3 306.356 4.160 20 0 DIADHN CCOc1ccc2c(c1)[C@H](NCc1cccc3[nH]ccc31)CCO2 ZINC000187545435 1051351962 /nfs/dbraw/zinc/35/19/62/1051351962.db2.gz LPTMNUWUAQCVBR-LJQANCHMSA-N 0 3 322.408 4.180 20 0 DIADHN C[C@@H]1CC[C@@H](N(Cc2cccnc2)Cc2cccnc2)C[C@@H]1C ZINC001172374397 1051353274 /nfs/dbraw/zinc/35/32/74/1051353274.db2.gz QGIOYKBIAKRZRK-FUHIMQAGSA-N 0 3 309.457 4.304 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1cccc2cnccc21 ZINC000188882216 1051355616 /nfs/dbraw/zinc/35/56/16/1051355616.db2.gz FTNKCQZURXXQPC-LJQANCHMSA-N 0 3 304.393 4.157 20 0 DIADHN Cn1c(CNC(C)(C)c2ccnc(Cl)c2)cc2ccccc21 ZINC001156019394 1051358780 /nfs/dbraw/zinc/35/87/80/1051358780.db2.gz OANAMJQMGUAJFD-UHFFFAOYSA-N 0 3 313.832 4.252 20 0 DIADHN C[C@@H](CCNCc1nc(C(F)(F)F)cs1)c1ccccc1 ZINC000194477596 1051376306 /nfs/dbraw/zinc/37/63/06/1051376306.db2.gz NHWMLTIGFBRWSF-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN CCOCC[C@H](C)N[C@@H](C)c1ccc(F)cc1Br ZINC001172411005 1051382527 /nfs/dbraw/zinc/38/25/27/1051382527.db2.gz CZQNMYPKOYFGQE-QWRGUYRKSA-N 0 3 318.230 4.054 20 0 DIADHN FC1(F)CC[C@H](NCc2ccc(Cl)cc2Br)C1 ZINC000383959562 1051383992 /nfs/dbraw/zinc/38/39/92/1051383992.db2.gz HNKFEYWULINNJV-JTQLQIEISA-N 0 3 324.596 4.380 20 0 DIADHN CCOCC[C@H](C)NCc1csc(-c2ccccc2CC)n1 ZINC001172424545 1051384104 /nfs/dbraw/zinc/38/41/04/1051384104.db2.gz SXSGQNZMVUHRLJ-AWEZNQCLSA-N 0 3 318.486 4.277 20 0 DIADHN CCCCCC[C@@H](CCC)N[C@@H]1c2ccccc2CNC1=O ZINC001172391641 1051394716 /nfs/dbraw/zinc/39/47/16/1051394716.db2.gz SAZVODXXEAGCFD-SJLPKXTDSA-N 0 3 302.462 4.086 20 0 DIADHN CC[C@H](CC(C)C)NCc1ncc(Cl)cc1Br ZINC001172395363 1051397013 /nfs/dbraw/zinc/39/70/13/1051397013.db2.gz LNVQNWGPVVCPQI-LLVKDONJSA-N 0 3 319.674 4.412 20 0 DIADHN CSc1ccc(F)c(Nc2ccccc2CN(C)C)c1F ZINC001215841026 1051404828 /nfs/dbraw/zinc/40/48/28/1051404828.db2.gz IYNZOTWLFWSEQZ-UHFFFAOYSA-N 0 3 308.397 4.492 20 0 DIADHN CC(C)OCC[C@H](C)NCc1csc(-c2ccc(F)cc2)n1 ZINC001172440224 1051408183 /nfs/dbraw/zinc/40/81/83/1051408183.db2.gz GSTDGDAFWVCJQM-ZDUSSCGKSA-N 0 3 322.449 4.242 20 0 DIADHN Cc1ccc(Cl)c(CN[C@H](C)CCOCC(C)C)c1F ZINC001172457494 1051411623 /nfs/dbraw/zinc/41/16/23/1051411623.db2.gz PPQIZDKNFFHLFQ-CYBMUJFWSA-N 0 3 301.833 4.328 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC000766409826 1051416453 /nfs/dbraw/zinc/41/64/53/1051416453.db2.gz SPZUIWLRQLXCGX-ULQDDVLXSA-N 0 3 320.440 4.110 20 0 DIADHN Cc1cn(-c2ccc(Nc3cc(Cl)cc4[nH]ncc43)cc2)cn1 ZINC001215859705 1051417576 /nfs/dbraw/zinc/41/75/76/1051417576.db2.gz RNRRNXDRVBKYFI-UHFFFAOYSA-N 0 3 323.787 4.454 20 0 DIADHN CC[C@H](NCc1cnn(C(C)(C)C)c1)c1ccc(C)c(F)c1 ZINC001168792286 1051423071 /nfs/dbraw/zinc/42/30/71/1051423071.db2.gz AIUCPLICZQNBFP-KRWDZBQOSA-N 0 3 303.425 4.327 20 0 DIADHN CCCCCC[C@@H](CCCC)N1CCC[C@H](S(C)(=O)=O)C1 ZINC001172512205 1051427944 /nfs/dbraw/zinc/42/79/44/1051427944.db2.gz NSAFKWVXOSAWPF-SJORKVTESA-N 0 3 317.539 4.025 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N(CC(C)C)C1CCN(C)CC1 ZINC001129572898 1051437971 /nfs/dbraw/zinc/43/79/71/1051437971.db2.gz PVVBPKMGNRUNFG-GOSISDBHSA-N 0 3 322.537 4.338 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](N3CCOC[C@@H]3c3ccccc3)C2)cc1 ZINC001172564044 1051442819 /nfs/dbraw/zinc/44/28/19/1051442819.db2.gz SURBPDJKQMDSGE-NJDAHSKKSA-N 0 3 307.437 4.396 20 0 DIADHN CC[C@H](OCCN[C@@H](COC)c1ccccc1)c1ccccc1 ZINC001168812379 1051445067 /nfs/dbraw/zinc/44/50/67/1051445067.db2.gz KBFVSFCDSWXSRL-PMACEKPBSA-N 0 3 313.441 4.132 20 0 DIADHN C[C@@H](N)c1ccn([C@@H](C)CCc2c(F)cc(Cl)cc2F)n1 ZINC001172567642 1051445123 /nfs/dbraw/zinc/44/51/23/1051445123.db2.gz KYNCVGDHRMIGQL-VHSXEESVSA-N 0 3 313.779 4.028 20 0 DIADHN CC[C@@H](N[C@H]1C[C@@H](C)N(C(=O)OC(C)(C)C)C1)c1ccc(C)o1 ZINC001168824280 1051445943 /nfs/dbraw/zinc/44/59/43/1051445943.db2.gz ONLABPHIINNLMW-VHDGCEQUSA-N 0 3 322.449 4.027 20 0 DIADHN C[C@H](NCCNc1ccccc1)c1ccccc1OC(F)F ZINC000766513571 1051451833 /nfs/dbraw/zinc/45/18/33/1051451833.db2.gz BLYHCNCPZZVBBN-ZDUSSCGKSA-N 0 3 306.356 4.051 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@H]1CCC[C@@H](c3ccccc3)C1)C(=O)N2 ZINC001172538484 1051451946 /nfs/dbraw/zinc/45/19/46/1051451946.db2.gz OSEUIXBFTODOIF-FUHIMQAGSA-N 0 3 320.436 4.304 20 0 DIADHN O=C(CN[C@H]1CCC[C@@H](c2ccccc2)C1)c1cccc(F)c1 ZINC001172547452 1051457236 /nfs/dbraw/zinc/45/72/36/1051457236.db2.gz WVFOPEBPKAERRI-APWZRJJASA-N 0 3 311.400 4.324 20 0 DIADHN CSc1c(F)cc(Nc2ccc3c(c2)CN(C)CC3)cc1F ZINC001215926084 1051461682 /nfs/dbraw/zinc/46/16/82/1051461682.db2.gz HLVWHHMXQGEKMH-UHFFFAOYSA-N 0 3 320.408 4.418 20 0 DIADHN CC[C@H](CN[C@H](C)c1cccnc1Cl)Oc1cccc(F)c1 ZINC000766528329 1051466319 /nfs/dbraw/zinc/46/63/19/1051466319.db2.gz LFDWSGXRPHWKPC-TZMCWYRMSA-N 0 3 322.811 4.382 20 0 DIADHN Cc1cccc([C@H](C)N2CCN(c3ccc(C)c(C)c3)CC2)c1 ZINC001168919281 1051468187 /nfs/dbraw/zinc/46/81/87/1051468187.db2.gz XUDNJZQJPSTBAA-IBGZPJMESA-N 0 3 308.469 4.495 20 0 DIADHN C[C@@H](CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C)C1CCCCC1 ZINC001168919418 1051468239 /nfs/dbraw/zinc/46/82/39/1051468239.db2.gz ZMKJYHXKPRMVQV-HOTGVXAUSA-N 0 3 324.509 4.144 20 0 DIADHN COC(=O)[C@@H]1CCC[C@H](N(C)[C@@H](C)c2ccccc2Cl)C1 ZINC001172625381 1051476521 /nfs/dbraw/zinc/47/65/21/1051476521.db2.gz WAEYBPATUVTHIG-MJBXVCDLSA-N 0 3 309.837 4.065 20 0 DIADHN FC[C@@]1(C(F)(F)F)CCN([C@H]2Cc3ccc(Cl)cc3C2)C1 ZINC001172720185 1051482315 /nfs/dbraw/zinc/48/23/15/1051482315.db2.gz WSQUVBWTRZHWQP-KBPBESRZSA-N 0 3 321.745 4.031 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172726951 1051486509 /nfs/dbraw/zinc/48/65/09/1051486509.db2.gz ZIPWDQCGCNHGKZ-MRXNPFEDSA-N 0 3 307.771 4.217 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2C1CCC2(CCCC2)CC1 ZINC001172728205 1051487583 /nfs/dbraw/zinc/48/75/83/1051487583.db2.gz DGARXJPUJREXOE-UHFFFAOYSA-N 0 3 313.445 4.179 20 0 DIADHN O=C(CNC1CCC2(CCCC2)CC1)c1cc(F)ccc1F ZINC001172727925 1051488776 /nfs/dbraw/zinc/48/87/76/1051488776.db2.gz TWQLEMSGJPUHSM-UHFFFAOYSA-N 0 3 307.384 4.240 20 0 DIADHN CSc1cc(F)c(Nc2ccccc2CN(C)C)cc1F ZINC001215968014 1051491604 /nfs/dbraw/zinc/49/16/04/1051491604.db2.gz XFOXCOLGDCCJAW-UHFFFAOYSA-N 0 3 308.397 4.492 20 0 DIADHN Fc1ccccc1C1(N[C@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172710482 1051493245 /nfs/dbraw/zinc/49/32/45/1051493245.db2.gz DFEFOKXCGVQODR-HNNXBMFYSA-N 0 3 301.792 4.225 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@H]1Cc2ccc(Cl)cc2C1 ZINC001172709928 1051494236 /nfs/dbraw/zinc/49/42/36/1051494236.db2.gz OAYJXQVQMQZJSF-KRWDZBQOSA-N 0 3 323.827 4.002 20 0 DIADHN Fc1cccnc1[C@@H](N[C@H]1Cc2ccc(Cl)cc2C1)C1CC1 ZINC001172712582 1051495676 /nfs/dbraw/zinc/49/56/76/1051495676.db2.gz OQFXDSQDSQZGPM-RDJZCZTQSA-N 0 3 316.807 4.082 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccc(F)cc1 ZINC001172754869 1051497117 /nfs/dbraw/zinc/49/71/17/1051497117.db2.gz JTHGDXHERYTATE-WMLDXEAASA-N 0 3 307.409 4.083 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CCCC[C@@H]1c1ccccc1F ZINC001172758606 1051498128 /nfs/dbraw/zinc/49/81/28/1051498128.db2.gz BAFWXQCWPJQVGW-CRAIPNDOSA-N 0 3 321.436 4.473 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N1CC[C@@H](c2ccccc2Cl)C1 ZINC001172758373 1051498525 /nfs/dbraw/zinc/49/85/25/1051498525.db2.gz GTEJDXXOBWJYRL-HUUCEWRRSA-N 0 3 323.864 4.250 20 0 DIADHN Cc1cnc(CNC2CCC(Cc3ccccc3)CC2)s1 ZINC001172819410 1051511482 /nfs/dbraw/zinc/51/14/82/1051511482.db2.gz HVFCZDSUWVBGQV-UHFFFAOYSA-N 0 3 300.471 4.343 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCO2)c1ccc(Cl)s1 ZINC001169102542 1051512239 /nfs/dbraw/zinc/51/22/39/1051512239.db2.gz OMAMZEXJYSAADS-LBPRGKRZSA-N 0 3 323.845 4.414 20 0 DIADHN c1ccc(CC2CCC(N3CCc4ncccc4C3)CC2)cc1 ZINC001172823298 1051513513 /nfs/dbraw/zinc/51/35/13/1051513513.db2.gz ZKLDXDJSIFGMLP-UHFFFAOYSA-N 0 3 306.453 4.241 20 0 DIADHN c1ccc(CC2CCC(N3CCc4cnccc4C3)CC2)cc1 ZINC001172823567 1051514113 /nfs/dbraw/zinc/51/41/13/1051514113.db2.gz WMFZXBFTGNSNAG-UHFFFAOYSA-N 0 3 306.453 4.241 20 0 DIADHN c1ccc(CC2CCC(N3CCC[C@H]3c3ncccn3)CC2)cc1 ZINC001172824274 1051514621 /nfs/dbraw/zinc/51/46/21/1051514621.db2.gz TUHRFPIUGREEJA-MHJFOBGBSA-N 0 3 321.468 4.415 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](n1nc(-c3ccccc3)cc1CN)CC2 ZINC001172826032 1051516260 /nfs/dbraw/zinc/51/62/60/1051516260.db2.gz NNVUBWHWSPXMMX-IBGZPJMESA-N 0 3 317.436 4.047 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N1C[C@H](O)c1ccc(Cl)cc1Cl ZINC000062350824 1051523079 /nfs/dbraw/zinc/52/30/79/1051523079.db2.gz ZTRZNCKJGHJZLL-HFAKWTLXSA-N 0 3 302.245 4.290 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)Nc1cccc(F)c1CN ZINC001172837518 1051523107 /nfs/dbraw/zinc/52/31/07/1051523107.db2.gz NRJRYAHTTIZAEH-SNVBAGLBSA-N 0 3 310.775 4.120 20 0 DIADHN Nc1ccc(OC(F)(F)F)cc1Nc1ccnc(C2CC2)c1 ZINC001216015567 1051525063 /nfs/dbraw/zinc/52/50/63/1051525063.db2.gz RARAVABUXMZMOQ-UHFFFAOYSA-N 0 3 309.291 4.183 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N1CCC[C@H](C(F)F)C1 ZINC001172867795 1051527002 /nfs/dbraw/zinc/52/70/02/1051527002.db2.gz AHGIZDJPTWXSCK-JQWIXIFHSA-N 0 3 305.771 4.387 20 0 DIADHN CCc1ccc([C@H](COC)NCC[C@@H]2CCc3ccccc32)o1 ZINC001169110703 1051531812 /nfs/dbraw/zinc/53/18/12/1051531812.db2.gz DBTDKHQJVBUMJV-LPHOPBHVSA-N 0 3 313.441 4.239 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)NCc1cccc(Cl)n1 ZINC001172844045 1051532854 /nfs/dbraw/zinc/53/28/54/1051532854.db2.gz GGVJENDKVBWECW-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)NCc1nc2ccccc2o1 ZINC001172853994 1051538978 /nfs/dbraw/zinc/53/89/78/1051538978.db2.gz FNNUNWOXTIYGIC-LLVKDONJSA-N 0 3 318.779 4.341 20 0 DIADHN COCCC1CCN([C@@H](C)Cc2c(F)cccc2Cl)CC1 ZINC001172852562 1051539732 /nfs/dbraw/zinc/53/97/32/1051539732.db2.gz GYEWAEPHBBYUAG-ZDUSSCGKSA-N 0 3 313.844 4.159 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1CCC2(CCCO2)CC1 ZINC001172855557 1051541813 /nfs/dbraw/zinc/54/18/13/1051541813.db2.gz GGHLIXYHYXDMQU-ZDUSSCGKSA-N 0 3 311.828 4.055 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1ccncc1Cl ZINC001172861529 1051546746 /nfs/dbraw/zinc/54/67/46/1051546746.db2.gz SQFQQJLPXMQXJS-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN C[C@H](Cc1ccc(F)cc1Cl)N[C@H]1COc2ccc(F)cc21 ZINC001172862210 1051547462 /nfs/dbraw/zinc/54/74/62/1051547462.db2.gz XVXJJXMKZUDHLL-HWPZZCPQSA-N 0 3 323.770 4.273 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)N[C@H]1COc2ccc(F)cc21 ZINC001172862215 1051547536 /nfs/dbraw/zinc/54/75/36/1051547536.db2.gz XVXJJXMKZUDHLL-QFYYESIMSA-N 0 3 323.770 4.273 20 0 DIADHN Cc1cc(Nc2ccc(N)c(C(F)(F)F)c2)c2ccccc2n1 ZINC001216048977 1051547738 /nfs/dbraw/zinc/54/77/38/1051547738.db2.gz KSBADKUFXRONAU-UHFFFAOYSA-N 0 3 317.314 4.310 20 0 DIADHN FC1(F)CCC[C@@H](Nc2cc(CN3CCCCC3)ccn2)C1 ZINC001169214516 1051552999 /nfs/dbraw/zinc/55/29/99/1051552999.db2.gz CPQXPCSGZNCGTF-OAHLLOKOSA-N 0 3 309.404 4.057 20 0 DIADHN C[C@]12CCN(c3ccnc4ccccc43)C[C@H]1C2(Cl)Cl ZINC000804707371 1051555370 /nfs/dbraw/zinc/55/53/70/1051555370.db2.gz YFPBUAVOVSJFGW-CABCVRRESA-N 0 3 307.224 4.255 20 0 DIADHN Fc1ccc2c(c1)CC[C@H](N1CCc3cc(F)c(F)cc3C1)C2 ZINC001168448769 1051558045 /nfs/dbraw/zinc/55/80/45/1051558045.db2.gz PXTGOCBGTHBINP-KRWDZBQOSA-N 0 3 317.354 4.020 20 0 DIADHN CC1=Nc2ccc3cc(-n4ccc([C@H](C)N)n4)ccc3c2C1(C)C ZINC001169233899 1051558666 /nfs/dbraw/zinc/55/86/66/1051558666.db2.gz UHLLUADPHBANFN-LBPRGKRZSA-N 0 3 318.424 4.429 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)NCc1cccnc1F ZINC001172874961 1051559633 /nfs/dbraw/zinc/55/96/33/1051559633.db2.gz JSSKMNBJGXPJDJ-NSHDSACASA-N 0 3 310.825 4.145 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N1CCC[C@@]2(CCO2)C1 ZINC001172880993 1051563132 /nfs/dbraw/zinc/56/31/32/1051563132.db2.gz DHGWLKYHBISWIF-CZUORRHYSA-N 0 3 311.878 4.076 20 0 DIADHN COc1cccc(CN[C@@H](C)CSc2ccc(Cl)cc2)n1 ZINC001172880538 1051563422 /nfs/dbraw/zinc/56/34/22/1051563422.db2.gz SMGFKXDLGHSHLC-LBPRGKRZSA-N 0 3 322.861 4.014 20 0 DIADHN COc1cccc(CN[C@H](C)CSc2ccc(Cl)cc2)n1 ZINC001172880537 1051564339 /nfs/dbraw/zinc/56/43/39/1051564339.db2.gz SMGFKXDLGHSHLC-GFCCVEGCSA-N 0 3 322.861 4.014 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)N1CCC[C@]2(CCO2)C1 ZINC001172880992 1051564912 /nfs/dbraw/zinc/56/49/12/1051564912.db2.gz DHGWLKYHBISWIF-CJNGLKHVSA-N 0 3 311.878 4.076 20 0 DIADHN CN(C)C[C@@H](NCc1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000669999497 1051566121 /nfs/dbraw/zinc/56/61/21/1051566121.db2.gz AAAQDMNGVSKRIH-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN Cc1nc(C)c(CN2CCC(Oc3cccc(C)c3)CC2)s1 ZINC001206179728 1051566370 /nfs/dbraw/zinc/56/63/70/1051566370.db2.gz ZRBSVMQAFIBESJ-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](Cc1ccccc1)c1ccccc1 ZINC000178297064 1051567049 /nfs/dbraw/zinc/56/70/49/1051567049.db2.gz KMVQVDQVLJODNV-IERDGZPVSA-N 0 3 319.452 4.363 20 0 DIADHN Cc1ccc(-c2noc(-c3ccc(CN(C)C)cc3)n2)cc1C ZINC001216084060 1051570838 /nfs/dbraw/zinc/57/08/38/1051570838.db2.gz ICGHELPRMBXROL-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN Cc1nc2ccc(Nc3ccc(N)cc3C(F)(F)F)cc2[nH]1 ZINC001213074353 1051571267 /nfs/dbraw/zinc/57/12/67/1051571267.db2.gz QIVGONNGVCTIAG-UHFFFAOYSA-N 0 3 306.291 4.216 20 0 DIADHN CN(C)Cc1ccc(-c2nc(-c3cccc4occc43)no2)cc1 ZINC001216084264 1051571584 /nfs/dbraw/zinc/57/15/84/1051571584.db2.gz LDFREDKIFWMDIJ-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CO[C@H]1CCC[C@H](n2c3ccccc3nc2[C@H](N)CC(C)C)C1 ZINC001172924175 1051573515 /nfs/dbraw/zinc/57/35/15/1051573515.db2.gz SSYFLCFTSVPWCO-HRCADAONSA-N 0 3 315.461 4.212 20 0 DIADHN CO[C@H]1CCC[C@@H](n2c3ccccc3nc2[C@H](N)CC(C)C)C1 ZINC001172924176 1051573762 /nfs/dbraw/zinc/57/37/62/1051573762.db2.gz SSYFLCFTSVPWCO-OWCLPIDISA-N 0 3 315.461 4.212 20 0 DIADHN Cc1nc2ccc(Nc3ccc(C(=O)C(F)(F)F)cc3)cc2[nH]1 ZINC001213074401 1051573915 /nfs/dbraw/zinc/57/39/15/1051573915.db2.gz SPQBNTNTCDEFMY-UHFFFAOYSA-N 0 3 319.286 4.360 20 0 DIADHN C[C@@H](N[C@@H](CN1CCCC1)c1ccccc1)c1ccsc1 ZINC000178500130 1051575309 /nfs/dbraw/zinc/57/53/09/1051575309.db2.gz MWSDWGAZAJLBBX-QAPCUYQASA-N 0 3 300.471 4.236 20 0 DIADHN CC[C@@H]1CCC[C@@H]1N[C@@H](C)c1nc2sc(C)c(C)c2c(N)n1 ZINC001169270409 1051576953 /nfs/dbraw/zinc/57/69/53/1051576953.db2.gz FVNCYLHMPMSPJJ-UHTWSYAYSA-N 0 3 318.490 4.120 20 0 DIADHN CO[C@H]1CCC[C@H](N2CCc3cccc(C(F)(F)F)c3C2)C1 ZINC001172942231 1051577377 /nfs/dbraw/zinc/57/73/77/1051577377.db2.gz IRQMTIOKMFLSQV-KBPBESRZSA-N 0 3 313.363 4.021 20 0 DIADHN CO[C@@H]1CCC[C@@H](N2CCc3ccc(C(F)(F)F)cc3C2)C1 ZINC001172940142 1051579032 /nfs/dbraw/zinc/57/90/32/1051579032.db2.gz VMJWLEDKOOFDFP-HZPDHXFCSA-N 0 3 313.363 4.021 20 0 DIADHN COc1ccc(C(C)=O)c(Nc2ccc3nc(C)[nH]c3c2)c1C ZINC001213074443 1051579839 /nfs/dbraw/zinc/57/98/39/1051579839.db2.gz UUSNUZRBZISJAB-UHFFFAOYSA-N 0 3 309.369 4.135 20 0 DIADHN CC(=O)Nc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1F ZINC001212702036 1051593054 /nfs/dbraw/zinc/59/30/54/1051593054.db2.gz YBVXLESAISKLSS-UHFFFAOYSA-N 0 3 301.365 4.220 20 0 DIADHN CC(C)[C@@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001169382732 1051598892 /nfs/dbraw/zinc/59/88/92/1051598892.db2.gz MCPLKBLDZRFNJN-UONOGXRCSA-N 0 3 308.853 4.035 20 0 DIADHN CN1CCc2ccc(Nc3cccc(-c4ccncc4)c3)cc2C1 ZINC001216148064 1051603028 /nfs/dbraw/zinc/60/30/28/1051603028.db2.gz KEJQCGROKYLELI-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN CC[C@@H](NCc1ccc2cnccc2c1)c1ccccc1OC ZINC000324167644 1051606492 /nfs/dbraw/zinc/60/64/92/1051606492.db2.gz DWFCSPMUKCDBHW-LJQANCHMSA-N 0 3 306.409 4.484 20 0 DIADHN COc1ccc(CN[C@@H](C)c2ccc(C)c(F)c2)cc1OC ZINC000088254656 1051619281 /nfs/dbraw/zinc/61/92/81/1051619281.db2.gz AYZBHUZJBJRTAB-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN Fc1ccc([C@H]2CCCN2[C@@H]2CCc3ncsc3C2)c(F)c1 ZINC001173141183 1051623258 /nfs/dbraw/zinc/62/32/58/1051623258.db2.gz NCOCUKJIBHJOIH-MLGOLLRUSA-N 0 3 320.408 4.116 20 0 DIADHN Clc1cccnc1CNC1CCC(Oc2ccccc2)CC1 ZINC001173196702 1051629238 /nfs/dbraw/zinc/62/92/38/1051629238.db2.gz UGIWUNFMOBRIHI-UHFFFAOYSA-N 0 3 316.832 4.215 20 0 DIADHN CC(C)CC[C@@H]1CCC[C@@H]1NCc1nc(-c2ccoc2)no1 ZINC000493573284 1051636202 /nfs/dbraw/zinc/63/62/02/1051636202.db2.gz OMHATZSUAMITEO-ZFWWWQNUSA-N 0 3 303.406 4.024 20 0 DIADHN C[C@H](Cc1cccc(F)c1)NCc1cnc(Cl)cc1Cl ZINC001168459436 1051636556 /nfs/dbraw/zinc/63/65/56/1051636556.db2.gz MDRPSYFKBYDGCU-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN Cc1ccc2sc(CN[C@H]3CC(C)(C)OC3(C)C)nc2c1 ZINC001169568040 1051645834 /nfs/dbraw/zinc/64/58/34/1051645834.db2.gz PTEHZUMKPZOALP-AWEZNQCLSA-N 0 3 304.459 4.040 20 0 DIADHN Cc1cc(Cl)c(N2CCCc3ccc(CN)nc32)c(Cl)c1 ZINC001169590974 1051652011 /nfs/dbraw/zinc/65/20/11/1051652011.db2.gz SNDHLAAWFUPPBU-UHFFFAOYSA-N 0 3 322.239 4.240 20 0 DIADHN CCc1ccc(C[C@H](C)N[C@H](CO)c2ccccc2Cl)cc1 ZINC001173247360 1051651981 /nfs/dbraw/zinc/65/19/81/1051651981.db2.gz BDYTVGCJULWYPK-IFXJQAMLSA-N 0 3 317.860 4.157 20 0 DIADHN CCc1ccc(C[C@@H](C)N[C@@H](c2ncccc2F)C2CC2)cc1 ZINC001173249790 1051653860 /nfs/dbraw/zinc/65/38/60/1051653860.db2.gz LJJONVLWHUIYMM-AUUYWEPGSA-N 0 3 312.432 4.455 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H](C)c1ccc(F)cc1F ZINC000493841839 1051655690 /nfs/dbraw/zinc/65/56/90/1051655690.db2.gz GPFNHZUPWFPILW-VFVRVIDISA-N 0 3 305.368 4.392 20 0 DIADHN CCCC[C@H](CC(C)C)n1nc(CN)c2cc(OC)ccc21 ZINC001173258091 1051658559 /nfs/dbraw/zinc/65/85/59/1051658559.db2.gz NYNHWOLETPSQPD-CQSZACIVSA-N 0 3 303.450 4.281 20 0 DIADHN CCCC[C@H](CC(C)C)N1CCN(C(=O)c2cccs2)CC1 ZINC001173259500 1051661071 /nfs/dbraw/zinc/66/10/71/1051661071.db2.gz JYMPBDGHVDXZEX-MRXNPFEDSA-N 0 3 322.518 4.111 20 0 DIADHN CCCC[C@H](CC(C)C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001173260689 1051661878 /nfs/dbraw/zinc/66/18/78/1051661878.db2.gz STWNOUDZQWHOEX-GFCCVEGCSA-N 0 3 317.399 4.325 20 0 DIADHN Cc1cc(Cl)ncc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001216282537 1051673551 /nfs/dbraw/zinc/67/35/51/1051673551.db2.gz QJVWUTAULNFJLA-HNNXBMFYSA-N 0 3 317.820 4.172 20 0 DIADHN CC[C@H](O)CCCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000493995673 1051674619 /nfs/dbraw/zinc/67/46/19/1051674619.db2.gz GDQXHZZAXUEQNS-SFHVURJKSA-N 0 3 319.395 4.195 20 0 DIADHN CC[C@H](NC[C@@H](OC)c1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494005493 1051675212 /nfs/dbraw/zinc/67/52/12/1051675212.db2.gz ZYIBKOBWSQOTES-DOTOQJQBSA-N 0 3 322.811 4.303 20 0 DIADHN CC(C)[C@H](NC[C@@H](O)C(F)(F)F)c1ccc(C(C)(C)C)cc1 ZINC001169675424 1051677260 /nfs/dbraw/zinc/67/72/60/1051677260.db2.gz HXMAVNFMDQLXKY-CABCVRRESA-N 0 3 317.395 4.194 20 0 DIADHN CCSC[C@H](C)N[C@@H](C)c1cc(Cl)cc(OC)c1OC ZINC001173294833 1051678387 /nfs/dbraw/zinc/67/83/87/1051678387.db2.gz FDWSYFUFIOEOJQ-QWRGUYRKSA-N 0 3 317.882 4.149 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CCC[C@H](C(C)(C)C)C2)c(C)c1 ZINC001169724703 1051684206 /nfs/dbraw/zinc/68/42/06/1051684206.db2.gz UBSLPCGXUNIWMT-IRXDYDNUSA-N 0 3 316.489 4.389 20 0 DIADHN CCSC[C@@H](C)N[C@@H](c1ccncc1)c1ccccc1C ZINC001173285209 1051688370 /nfs/dbraw/zinc/68/83/70/1051688370.db2.gz QRBDYCXXXMQECA-QAPCUYQASA-N 0 3 300.471 4.211 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN1CC(C)(C)[C@H]1c1cccs1 ZINC000805838492 1051688845 /nfs/dbraw/zinc/68/88/45/1051688845.db2.gz COGOEBBXKZYNOB-NVXWUHKLSA-N 0 3 319.445 4.312 20 0 DIADHN CC(C)c1ccc(NC(=O)CN(C)C2CCC(F)(F)CC2)cc1 ZINC000805839592 1051688873 /nfs/dbraw/zinc/68/88/73/1051688873.db2.gz KHRCDCKJSCRJJP-UHFFFAOYSA-N 0 3 324.415 4.258 20 0 DIADHN Cc1ccc([C@@H](O)CN2CC(C)(C)[C@H]2c2cccs2)cc1 ZINC000805839239 1051689694 /nfs/dbraw/zinc/68/96/94/1051689694.db2.gz OVLMMJZBBLPHLF-DOTOQJQBSA-N 0 3 301.455 4.173 20 0 DIADHN CCCc1csc(CNCC[C@H](OCC)C2CCCC2)n1 ZINC000494116104 1051690844 /nfs/dbraw/zinc/69/08/44/1051690844.db2.gz KDDSAQDUHYUDQF-INIZCTEOSA-N 0 3 310.507 4.171 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(CF)cc2)c(C)c1OC ZINC000805830718 1051693394 /nfs/dbraw/zinc/69/33/94/1051693394.db2.gz OBBJYYZQSQFHDN-CQSZACIVSA-N 0 3 317.404 4.333 20 0 DIADHN Cc1nc2ccc(CN[C@H](c3cccnc3)C3CC3)cc2s1 ZINC000494152124 1051696374 /nfs/dbraw/zinc/69/63/74/1051696374.db2.gz HSMOIRMSPPAOQP-SFHVURJKSA-N 0 3 309.438 4.241 20 0 DIADHN Cc1ccc(CN)nc1N(C)[C@H]1CCCC2(CCCCC2)C1 ZINC001173339572 1051699576 /nfs/dbraw/zinc/69/95/76/1051699576.db2.gz OVGZIULSNNOZBQ-KRWDZBQOSA-N 0 3 301.478 4.178 20 0 DIADHN CCCN([C@H](C)C(=O)Nc1ccccc1C)[C@@H](C)CSCC ZINC001173315294 1051708678 /nfs/dbraw/zinc/70/86/78/1051708678.db2.gz PETMRIBRWJJTLO-JKSUJKDBSA-N 0 3 322.518 4.176 20 0 DIADHN COC(=O)CCCC[C@H](C)NCc1c(Cl)cccc1Cl ZINC001173436782 1051716972 /nfs/dbraw/zinc/71/69/72/1051716972.db2.gz WVHFYLHKHGWRQT-NSHDSACASA-N 0 3 318.244 4.205 20 0 DIADHN COC[C@H](NCC1CCC(C(F)(F)F)CC1)c1ccc(C)o1 ZINC000183724994 1051718903 /nfs/dbraw/zinc/71/89/03/1051718903.db2.gz LDOMPSZWEOVCTC-RUXDESIVSA-N 0 3 319.367 4.234 20 0 DIADHN Cc1ccc(CN2CCC(Oc3ccccc3C)CC2)s1 ZINC001204029694 1051723833 /nfs/dbraw/zinc/72/38/33/1051723833.db2.gz BQNNUEJDVVKFSN-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806133885 1051732359 /nfs/dbraw/zinc/73/23/59/1051732359.db2.gz DSDCKTRCHLNNJM-LSDHHAIUSA-N 0 3 302.780 4.139 20 0 DIADHN C[C@@H](N)c1nccn1[C@H](C)CCc1ccc(Cl)c(Cl)c1 ZINC001173544180 1051738452 /nfs/dbraw/zinc/73/84/52/1051738452.db2.gz PYSMLEKYKQXVNQ-GHMZBOCLSA-N 0 3 312.244 4.403 20 0 DIADHN COc1ccc(CCN[C@H](C)c2csc(C(C)C)n2)cc1 ZINC000184725614 1051746486 /nfs/dbraw/zinc/74/64/86/1051746486.db2.gz OKHZBKIWWXDNON-CYBMUJFWSA-N 0 3 304.459 4.168 20 0 DIADHN C[C@@H](CCc1ccc(Cl)c(Cl)c1)n1ncc2c1CCNC2 ZINC001173548967 1051748480 /nfs/dbraw/zinc/74/84/80/1051748480.db2.gz FFCSHRVWSCYENL-NSHDSACASA-N 0 3 324.255 4.029 20 0 DIADHN C[C@H](CCc1ccc(Cl)c(Cl)c1)Nc1ccc(CN)nc1 ZINC001173550543 1051752211 /nfs/dbraw/zinc/75/22/11/1051752211.db2.gz MEQSBGNHVSREFF-LLVKDONJSA-N 0 3 324.255 4.280 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H]1CCc2nc(Cl)ccc21 ZINC000806143274 1051752602 /nfs/dbraw/zinc/75/26/02/1051752602.db2.gz IRBYRQRPFVCXEP-CABCVRRESA-N 0 3 314.816 4.008 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCc4nc(Cl)ccc43)ccc2[nH]1 ZINC000806165055 1051766466 /nfs/dbraw/zinc/76/64/66/1051766466.db2.gz CSFQBOGZLKNCCV-INIZCTEOSA-N 0 3 311.816 4.302 20 0 DIADHN CCSc1cccc(CNCc2ccc(COC)cc2)c1 ZINC000185457937 1051769113 /nfs/dbraw/zinc/76/91/13/1051769113.db2.gz DFNUTXNHLATPEK-UHFFFAOYSA-N 0 3 301.455 4.235 20 0 DIADHN CCSc1cccc(CNCc2ccc(-n3ccnc3)cc2)c1 ZINC000185493679 1051769330 /nfs/dbraw/zinc/76/93/30/1051769330.db2.gz FZKAFGPJVCGJTP-UHFFFAOYSA-N 0 3 323.465 4.274 20 0 DIADHN CC(C)c1cnc(CN[C@H](C)[C@@H](c2ccccc2)N(C)C)s1 ZINC000185677255 1051778309 /nfs/dbraw/zinc/77/83/09/1051778309.db2.gz GXGHQMAEJUWDIC-KDOFPFPSSA-N 0 3 317.502 4.048 20 0 DIADHN CCOC(=O)/C=C/c1ccc(Nc2ccnc(C3CC3)c2)cc1 ZINC001216475989 1051780296 /nfs/dbraw/zinc/78/02/96/1051780296.db2.gz WDFBNYGUNYFZET-BJMVGYQFSA-N 0 3 308.381 4.279 20 0 DIADHN Cc1oc2ccccc2c1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000185778061 1051782874 /nfs/dbraw/zinc/78/28/74/1051782874.db2.gz FHBLDPBHQCHHCW-UHFFFAOYSA-N 0 3 322.408 4.364 20 0 DIADHN Cc1cc(CN[C@@H](CN2CCCCC2)c2ccccc2)c(C)o1 ZINC000767354863 1051791784 /nfs/dbraw/zinc/79/17/84/1051791784.db2.gz UNERGTVWHUSFPJ-FQEVSTJZSA-N 0 3 312.457 4.213 20 0 DIADHN Cc1cnc([C@H](NCCSCc2ccccc2)C2CC2)s1 ZINC000186422718 1051815909 /nfs/dbraw/zinc/81/59/09/1051815909.db2.gz YVMMWLALRLZMLO-MRXNPFEDSA-N 0 3 318.511 4.426 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CCC[C@@H](C3CC3)C2)no1 ZINC000186820287 1051830782 /nfs/dbraw/zinc/83/07/82/1051830782.db2.gz LOWSWZYGLUHWCU-HUUCEWRRSA-N 0 3 306.450 4.048 20 0 DIADHN CC(C)COC[C@H](N[C@H](C)C1CCSCC1)c1ccco1 ZINC000187129371 1051839027 /nfs/dbraw/zinc/83/90/27/1051839027.db2.gz LFKNXFMIRVEOCO-ZBFHGGJFSA-N 0 3 311.491 4.115 20 0 DIADHN CCc1ccc(Nc2ccc(N3CCN(C)CC3)cc2C)cc1 ZINC001173868237 1051839354 /nfs/dbraw/zinc/83/93/54/1051839354.db2.gz DDWWMFDXCMSPPF-UHFFFAOYSA-N 0 3 309.457 4.053 20 0 DIADHN Cc1cc2cc(CNC[C@@H](O)c3ccc(F)cc3)oc2cc1C ZINC000767723900 1051841746 /nfs/dbraw/zinc/84/17/46/1051841746.db2.gz ZNGYEYOHIDWGBN-GOSISDBHSA-N 0 3 313.372 4.012 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccc(OC(F)(F)F)c2)c(C)n1 ZINC000187444192 1051846251 /nfs/dbraw/zinc/84/62/51/1051846251.db2.gz QJBJFLQSWFUVFR-LBPRGKRZSA-N 0 3 324.346 4.448 20 0 DIADHN CCOC(CN1CC[C@@H](C)C[C@H]1c1ccc(CC)o1)OCC ZINC000767791255 1051853051 /nfs/dbraw/zinc/85/30/51/1051853051.db2.gz CVURRHHIVGSFIV-ZBFHGGJFSA-N 0 3 309.450 4.014 20 0 DIADHN CCOC(CN[C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C)OCC ZINC000767818128 1051854321 /nfs/dbraw/zinc/85/43/21/1051854321.db2.gz NPJVKHSJSQECLP-SRCQZFHVSA-N 0 3 311.853 4.026 20 0 DIADHN CCOC(CN[C@@H](c1ccc(Cl)cc1)C1CCC1)OCC ZINC000767816154 1051854861 /nfs/dbraw/zinc/85/48/61/1051854861.db2.gz CQWKRKSNHQNCRS-QGZVFWFLSA-N 0 3 311.853 4.170 20 0 DIADHN CCOC(CN[C@@H](c1ccc(F)cc1)C1CCCC1)OCC ZINC000767817660 1051854985 /nfs/dbraw/zinc/85/49/85/1051854985.db2.gz VPCHBWMJROWSQU-GOSISDBHSA-N 0 3 309.425 4.046 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC(O)(c2ccccc2)CC1 ZINC000767848819 1051856079 /nfs/dbraw/zinc/85/60/79/1051856079.db2.gz JDZQBHOAVSCECB-OAHLLOKOSA-N 0 3 315.844 4.385 20 0 DIADHN CCOC(CN[C@@H](CC(C)(C)C)c1ccc(F)cc1)OCC ZINC000767818688 1051856805 /nfs/dbraw/zinc/85/68/05/1051856805.db2.gz ZVAIJDMXVKVCKQ-INIZCTEOSA-N 0 3 311.441 4.292 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1ccnn1-c1ccccc1 ZINC000467351041 1051860184 /nfs/dbraw/zinc/86/01/84/1051860184.db2.gz XDBATSCQUZTWGX-NLZINVLXSA-N 0 3 303.409 4.236 20 0 DIADHN Cc1ncc(Nc2ccc(-c3nc4ccccc4o3)cc2)n1C ZINC001216686872 1051864133 /nfs/dbraw/zinc/86/41/33/1051864133.db2.gz SGFBWONTWZRJHB-UHFFFAOYSA-N 0 3 304.353 4.280 20 0 DIADHN C[C@@]1(Cc2ccccc2)CCCN1Cc1cnc(Cl)s1 ZINC000767936042 1051867108 /nfs/dbraw/zinc/86/71/08/1051867108.db2.gz ONQFNXJLONHDES-INIZCTEOSA-N 0 3 306.862 4.394 20 0 DIADHN C[C@H]1C[C@@H](Nc2nc(Cl)cs2)CCN1Cc1ccccc1 ZINC000307600129 1051872341 /nfs/dbraw/zinc/87/23/41/1051872341.db2.gz SEJHBCSETWTIAU-JSGCOSHPSA-N 0 3 321.877 4.262 20 0 DIADHN Cc1ccnc(-c2nnc([C@H](C)[NH2+][C@H](C)CCCC(C)C)[n-]2)c1 ZINC000494367712 1051878399 /nfs/dbraw/zinc/87/83/99/1051878399.db2.gz NXSTZBVUBVRQCX-CABCVRRESA-N 0 3 315.465 4.041 20 0 DIADHN C[C@H](NCCc1ccc(N2CCCCC2)cc1)c1cscn1 ZINC000768092772 1051879264 /nfs/dbraw/zinc/87/92/64/1051879264.db2.gz HNRAAWOFSPBKIY-HNNXBMFYSA-N 0 3 315.486 4.027 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H]1CCOc2c(F)cccc21 ZINC000494457176 1051896963 /nfs/dbraw/zinc/89/69/63/1051896963.db2.gz YOGCURHGOYPPQZ-VHSSKADRSA-N 0 3 315.388 4.015 20 0 DIADHN Cc1cscc1CN[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000494455194 1051897637 /nfs/dbraw/zinc/89/76/37/1051897637.db2.gz MDXGUNVGSSVNAH-GFCCVEGCSA-N 0 3 314.454 4.137 20 0 DIADHN Cc1cc(F)ccc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001173967247 1051897932 /nfs/dbraw/zinc/89/79/32/1051897932.db2.gz AWRYHGNKTPPDFD-KRWDZBQOSA-N 0 3 300.377 4.263 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(F)cc3F)cccc21 ZINC001173927979 1051899716 /nfs/dbraw/zinc/89/97/16/1051899716.db2.gz ISRGJVWQNIRFKF-MRXNPFEDSA-N 0 3 304.340 4.094 20 0 DIADHN COc1cccc(-c2cc(Nc3ccccc3CN(C)C)on2)c1 ZINC001173929063 1051900968 /nfs/dbraw/zinc/90/09/68/1051900968.db2.gz KAXBJRJLUUBQQS-UHFFFAOYSA-N 0 3 323.396 4.155 20 0 DIADHN Cc1cn(-c2ccc(Nc3ccccc3CN(C)C)cc2F)cn1 ZINC001173930508 1051901512 /nfs/dbraw/zinc/90/15/12/1051901512.db2.gz HLRJVHMARRACKP-UHFFFAOYSA-N 0 3 324.403 4.125 20 0 DIADHN CCc1cc(Nc2ccccc2CN(C)C)n(-c2ccccc2)n1 ZINC001173929286 1051902282 /nfs/dbraw/zinc/90/22/82/1051902282.db2.gz OFWXISVOSKEGLD-UHFFFAOYSA-N 0 3 320.440 4.240 20 0 DIADHN Oc1ccc(C2=CCN(Cc3cncc4ccccc43)CC2)cc1 ZINC000768216252 1051904843 /nfs/dbraw/zinc/90/48/43/1051904843.db2.gz UPIACVJLABGRQQ-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cncc3ccccc32)cc1 ZINC000768237388 1051907687 /nfs/dbraw/zinc/90/76/87/1051907687.db2.gz MIXJFMASRGHRIV-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN COc1ccc(C)cc1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC001173987268 1051921150 /nfs/dbraw/zinc/92/11/50/1051921150.db2.gz YZXYLFLYZUMYSU-KRWDZBQOSA-N 0 3 312.413 4.132 20 0 DIADHN COc1ccc(CN[C@H]2CCc3ccc(C(C)C)cc32)cc1O ZINC000189615854 1051921889 /nfs/dbraw/zinc/92/18/89/1051921889.db2.gz UXANEGCZOHDEPB-SFHVURJKSA-N 0 3 311.425 4.301 20 0 DIADHN CC(C)c1ccc2c(c1)[C@@H](NCc1cnn3ccccc13)CC2 ZINC000189616697 1051922859 /nfs/dbraw/zinc/92/28/59/1051922859.db2.gz UCSPPKCHFPEAFG-IBGZPJMESA-N 0 3 305.425 4.235 20 0 DIADHN CCN(Cc1ccc2c(c1)COC2)Cc1cccc(Cl)c1 ZINC000768343604 1051924075 /nfs/dbraw/zinc/92/40/75/1051924075.db2.gz YVJBJCIMZWVAKS-UHFFFAOYSA-N 0 3 301.817 4.392 20 0 DIADHN c1ccc(OC2CCN(Cc3cncc4ccccc43)CC2)cc1 ZINC000768528842 1051937772 /nfs/dbraw/zinc/93/77/72/1051937772.db2.gz ZDCPZVRPAOZTKH-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN CC[C@H](NCc1ccc(N(C)C(C)C)nc1)c1cccs1 ZINC000190842183 1051952680 /nfs/dbraw/zinc/95/26/80/1051952680.db2.gz GOOVPQGSPYIKGC-HNNXBMFYSA-N 0 3 303.475 4.229 20 0 DIADHN COc1ccccc1[C@H](NC1CC(C(F)F)C1)c1ccccc1 ZINC000768691683 1051953919 /nfs/dbraw/zinc/95/39/19/1051953919.db2.gz VWEJHUORZNBTST-JTTJXQCZSA-N 0 3 317.379 4.418 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc2c(c1)COC(C)(C)O2 ZINC000768705905 1051954378 /nfs/dbraw/zinc/95/43/78/1051954378.db2.gz KXQTVMASYXDKJP-UHFFFAOYSA-N 0 3 311.425 4.238 20 0 DIADHN CC[C@@H](CSC)N[C@@H](C)c1ccc(F)cc1Br ZINC000223826600 1051955364 /nfs/dbraw/zinc/95/53/64/1051955364.db2.gz ONDASCKAKUNGDH-ONGXEEELSA-N 0 3 320.271 4.380 20 0 DIADHN CC[C@@H](CSC)N[C@H](C)c1ccc(F)cc1Br ZINC000223826719 1051955474 /nfs/dbraw/zinc/95/54/74/1051955474.db2.gz ONDASCKAKUNGDH-KOLCDFICSA-N 0 3 320.271 4.380 20 0 DIADHN COCCCCNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000191249114 1051965714 /nfs/dbraw/zinc/96/57/14/1051965714.db2.gz HLUBFDBJFCRROM-UHFFFAOYSA-N 0 3 321.770 4.364 20 0 DIADHN Cc1ncccc1CN1CCC[C@H]1COc1ccc(Cl)cc1 ZINC000768791962 1051966957 /nfs/dbraw/zinc/96/69/57/1051966957.db2.gz IYHRUXWOBMZZPW-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN CC(C)(C)[C@H](NCN1CC2(CCCC2)CC1=O)c1ccccc1 ZINC000747451620 1051972676 /nfs/dbraw/zinc/97/26/76/1051972676.db2.gz IRAWGHDLWREVKC-GOSISDBHSA-N 0 3 314.473 4.114 20 0 DIADHN C[C@@H](N[C@H]1CCCSC1)c1ccc(F)cc1Br ZINC000224431001 1051976037 /nfs/dbraw/zinc/97/60/37/1051976037.db2.gz NDRGDEYBECHQHX-KOLCDFICSA-N 0 3 318.255 4.134 20 0 DIADHN FC(F)Oc1cccc(CNCc2ccc(C3CC3)cc2)c1 ZINC000191684449 1051983253 /nfs/dbraw/zinc/98/32/53/1051983253.db2.gz KCLNVIQVYWHOHW-UHFFFAOYSA-N 0 3 303.352 4.455 20 0 DIADHN CCN(CCc1ccccn1)Cc1ccccc1OC(F)(F)F ZINC000769034103 1051991815 /nfs/dbraw/zinc/99/18/15/1051991815.db2.gz BGVUSOAOFSNADO-UHFFFAOYSA-N 0 3 324.346 4.045 20 0 DIADHN COc1cccc2cc(CN3CCC(c4ccccn4)CC3)oc21 ZINC000769104061 1051996106 /nfs/dbraw/zinc/99/61/06/1051996106.db2.gz IIHQRLWXGBPCEZ-UHFFFAOYSA-N 0 3 322.408 4.216 20 0 DIADHN CCN(Cc1cnn(C)c1)Cc1ccccc1-c1ccccc1 ZINC000769051343 1051996843 /nfs/dbraw/zinc/99/68/43/1051996843.db2.gz WDIXETIQYSUIQR-UHFFFAOYSA-N 0 3 305.425 4.109 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1ccc(SC(C)C)cc1 ZINC000494684483 1052001842 /nfs/dbraw/zinc/00/18/42/1052001842.db2.gz FHTGDORELOOCPU-INIZCTEOSA-N 0 3 321.511 4.380 20 0 DIADHN C[C@]1(CCCO)CCCN1Cc1cc2ccccc2nc1Cl ZINC000769160159 1052005324 /nfs/dbraw/zinc/00/53/24/1052005324.db2.gz CLFGUOMKOOPAFI-GOSISDBHSA-N 0 3 318.848 4.015 20 0 DIADHN COc1c(C)cc(CN(C)Cc2cncc3ccccc32)cc1C ZINC000769234939 1052011498 /nfs/dbraw/zinc/01/14/98/1052011498.db2.gz ZCIKETOHAUYGAR-UHFFFAOYSA-N 0 3 320.436 4.492 20 0 DIADHN Cn1cnc(CNCC2(c3ccccc3Cl)CCCCC2)c1 ZINC000808400930 1052017079 /nfs/dbraw/zinc/01/70/79/1052017079.db2.gz BKDGCGHZYNCGRW-UHFFFAOYSA-N 0 3 317.864 4.065 20 0 DIADHN C[C@@H](NCc1c(Cl)oc2ccccc21)[C@@H](O)c1ccccc1 ZINC000808445743 1052024635 /nfs/dbraw/zinc/02/46/35/1052024635.db2.gz RHAMSOZXNPGIAB-SJKOYZFVSA-N 0 3 315.800 4.298 20 0 DIADHN C[C@@H](NCc1c(Cl)oc2ccccc21)[C@H](O)c1ccccc1 ZINC000808445741 1052024725 /nfs/dbraw/zinc/02/47/25/1052024725.db2.gz RHAMSOZXNPGIAB-PXAZEXFGSA-N 0 3 315.800 4.298 20 0 DIADHN FC(F)Oc1cccc(CN[C@@H]2CCCNc3ccccc32)c1 ZINC000192979223 1052026087 /nfs/dbraw/zinc/02/60/87/1052026087.db2.gz OIXMVRSVKYGFKF-QGZVFWFLSA-N 0 3 318.367 4.325 20 0 DIADHN CC(C)CC1(C)CCN(C(=O)c2ccccc2CN(C)C)CC1 ZINC000494739961 1052026156 /nfs/dbraw/zinc/02/61/56/1052026156.db2.gz YQZNZXIDDFVYGX-UHFFFAOYSA-N 0 3 316.489 4.037 20 0 DIADHN CCN(CC)C(=O)c1cccc(Nc2ccnc(C3CC3)c2)c1 ZINC001174165237 1052026843 /nfs/dbraw/zinc/02/68/43/1052026843.db2.gz MHBCDWGDPPPJKC-UHFFFAOYSA-N 0 3 309.413 4.185 20 0 DIADHN FC(F)COc1cccc(CNCc2cc(Cl)cs2)c1 ZINC000193089175 1052027349 /nfs/dbraw/zinc/02/73/49/1052027349.db2.gz LDUVSTLHTUHSFM-UHFFFAOYSA-N 0 3 317.788 4.335 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C(C)(C)C)s2)ccn1 ZINC000808432547 1052032597 /nfs/dbraw/zinc/03/25/97/1052032597.db2.gz QCEOUVFGEMVIPJ-LBPRGKRZSA-N 0 3 304.459 4.300 20 0 DIADHN CC(C)CNC(=O)c1cccc(Nc2ccnc(C3CC3)c2)c1 ZINC001174192284 1052042101 /nfs/dbraw/zinc/04/21/01/1052042101.db2.gz CCIRMFDHYUNBPB-UHFFFAOYSA-N 0 3 309.413 4.088 20 0 DIADHN CCC[C@@H](NCC(=O)Nc1cc(F)ccc1F)c1ccccc1 ZINC000193630556 1052056990 /nfs/dbraw/zinc/05/69/90/1052056990.db2.gz QMCXOOKPHKMGSI-MRXNPFEDSA-N 0 3 318.367 4.034 20 0 DIADHN C[C@@H]1COCCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC000769455637 1052057263 /nfs/dbraw/zinc/05/72/63/1052057263.db2.gz FYAKPNMPSULJEI-ZDUSSCGKSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)N2CCCc3ccccc32)cc1 ZINC000195539068 1052058729 /nfs/dbraw/zinc/05/87/29/1052058729.db2.gz DCYYNEHUZICHPN-IRXDYDNUSA-N 0 3 322.452 4.014 20 0 DIADHN COc1cccc(F)c1[C@H](C)N[C@@H](C)Cc1cccc(F)c1 ZINC001168468775 1052062645 /nfs/dbraw/zinc/06/26/45/1052062645.db2.gz OGZVEUUQJOQIDF-STQMWFEESA-N 0 3 305.368 4.255 20 0 DIADHN CC(C)C(=O)CCN1CCC(c2nc3ccccc3s2)CC1 ZINC000808710420 1052070941 /nfs/dbraw/zinc/07/09/41/1052070941.db2.gz PYMBVZOOHHVFOJ-UHFFFAOYSA-N 0 3 316.470 4.091 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000808720937 1052080448 /nfs/dbraw/zinc/08/04/48/1052080448.db2.gz FAMDMYYOCIMHFL-UYHISHBKSA-N 0 3 305.368 4.128 20 0 DIADHN CN(C)Cc1ccc(-c2noc(-c3cccc4ccoc43)n2)cc1 ZINC001217531608 1052082663 /nfs/dbraw/zinc/08/26/63/1052082663.db2.gz YSJJLVKFYFXELE-UHFFFAOYSA-N 0 3 319.364 4.211 20 0 DIADHN CN1CCc2ccc(Nc3cccc(N4CCCCC4)c3)cc2C1 ZINC001174304831 1052088396 /nfs/dbraw/zinc/08/83/96/1052088396.db2.gz AHMCBDZVXUOVAE-UHFFFAOYSA-N 0 3 321.468 4.408 20 0 DIADHN Cc1ncc(Nc2ccccc2OCc2ccc(F)cc2)n1C ZINC001174308748 1052089396 /nfs/dbraw/zinc/08/93/96/1052089396.db2.gz OJBFJFMPGUNNGX-UHFFFAOYSA-N 0 3 311.360 4.190 20 0 DIADHN CCN(C)C(=O)c1ccc(Nc2ccnc(C(C)(C)C)c2)cc1 ZINC001174319323 1052096995 /nfs/dbraw/zinc/09/69/95/1052096995.db2.gz DXXUYCHVCDKDQM-UHFFFAOYSA-N 0 3 311.429 4.215 20 0 DIADHN CC(C)[C@H]1C[C@H](N[C@@H](C)c2nc3ccccc3s2)CS1 ZINC000754122685 1052104077 /nfs/dbraw/zinc/10/40/77/1052104077.db2.gz OXWWQLDZEQQSNR-SLEUVZQESA-N 0 3 306.500 4.477 20 0 DIADHN C=Cn1cc(CNC(C)(C)c2ccc(OCC(C)C)cc2)cn1 ZINC000194301775 1052105836 /nfs/dbraw/zinc/10/58/36/1052105836.db2.gz UWTQNFHZTKHKAA-UHFFFAOYSA-N 0 3 313.445 4.043 20 0 DIADHN CS[C@H]1CCCCN(Cc2cnc(-c3ccco3)s2)C1 ZINC000194435751 1052114968 /nfs/dbraw/zinc/11/49/68/1052114968.db2.gz IZEKBFXFCACVMB-LBPRGKRZSA-N 0 3 308.472 4.121 20 0 DIADHN COCc1ccc(NC2=CCCN(Cc3ccccc3)C2)cc1 ZINC001174394141 1052115552 /nfs/dbraw/zinc/11/55/52/1052115552.db2.gz GPUMJSOKICUYHU-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN c1cc2cc(NC3=CCCN(Cc4ccccc4)C3)cnc2o1 ZINC001174395033 1052117617 /nfs/dbraw/zinc/11/76/17/1052117617.db2.gz QICLOSMCIXSVDN-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN Cc1cc(Cl)ccc1-c1nnc(C[C@@H](N)c2cccs2)o1 ZINC001217693252 1052117768 /nfs/dbraw/zinc/11/77/68/1052117768.db2.gz SCKOMMZGTXYMEQ-GFCCVEGCSA-N 0 3 319.817 4.002 20 0 DIADHN CCc1ccc(N)c(NC2=CCCN(Cc3ccccc3)C2)c1 ZINC001174395006 1052118300 /nfs/dbraw/zinc/11/83/00/1052118300.db2.gz ZYLNEUADJKTECC-UHFFFAOYSA-N 0 3 307.441 4.033 20 0 DIADHN CCc1noc(C)c1[C@H](C)NCCc1csc(C(C)C)n1 ZINC000769875593 1052124393 /nfs/dbraw/zinc/12/43/93/1052124393.db2.gz WSDPSZLBLSMGHM-NSHDSACASA-N 0 3 307.463 4.019 20 0 DIADHN CCCCOc1ccc(-c2nnc([C@H](NC)[C@@H](C)CC)o2)cc1 ZINC001217735101 1052128350 /nfs/dbraw/zinc/12/83/50/1052128350.db2.gz GZPWIUAUPHJASU-XJKSGUPXSA-N 0 3 317.433 4.222 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@@H]1CCCc2nc(C)ncc21 ZINC000769972163 1052133714 /nfs/dbraw/zinc/13/37/14/1052133714.db2.gz ZNUWSDAMPMDNNC-UXHICEINSA-N 0 3 321.468 4.446 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC[C@@H](O)c1ccccc1 ZINC000769990299 1052137332 /nfs/dbraw/zinc/13/73/32/1052137332.db2.gz LJUIACBVTLXCCT-DLBZAZTESA-N 0 3 323.358 4.312 20 0 DIADHN Cc1cccn2c(CNCc3ccc(C4CCC4)cc3)cnc12 ZINC000494842470 1052147999 /nfs/dbraw/zinc/14/79/99/1052147999.db2.gz PKMBXMBYLKKRNQ-UHFFFAOYSA-N 0 3 305.425 4.200 20 0 DIADHN COc1cc(C)c(CNCc2ccc(C3CCC3)cc2)c(C)n1 ZINC000494846888 1052150052 /nfs/dbraw/zinc/15/00/52/1052150052.db2.gz RSRDJFUIFYKZGD-UHFFFAOYSA-N 0 3 310.441 4.264 20 0 DIADHN COc1cc(Nc2ccncc2C)ccc1OCc1ccccc1 ZINC001174443360 1052158977 /nfs/dbraw/zinc/15/89/77/1052158977.db2.gz RFPOZKSCNXPCQR-UHFFFAOYSA-N 0 3 320.392 4.143 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccsc3C)c2C1 ZINC001174460420 1052179449 /nfs/dbraw/zinc/17/94/49/1052179449.db2.gz RIPJJPYDLHQOEB-UHFFFAOYSA-N 0 3 307.488 4.212 20 0 DIADHN C[C@@H]1SCCN(C/C=C\c2ccncc2)[C@H]1c1ccccc1 ZINC000494962760 1052183974 /nfs/dbraw/zinc/18/39/74/1052183974.db2.gz ZKCCCVNMBIHAMA-JEVUSQKCSA-N 0 3 310.466 4.273 20 0 DIADHN CC(C)(C)c1cc(O)c(O[C@@H]2CCNC[C@@H]2F)c(C(C)(C)C)c1 ZINC001218065594 1052191990 /nfs/dbraw/zinc/19/19/90/1052191990.db2.gz GDYGJIPWZGIMEX-GOEBONIOSA-N 0 3 323.452 4.066 20 0 DIADHN CSC1(CNCc2ccc(Cl)cc2Br)CC1 ZINC000227949871 1052192816 /nfs/dbraw/zinc/19/28/16/1052192816.db2.gz YAIZBIRTRWVOFZ-UHFFFAOYSA-N 0 3 320.683 4.088 20 0 DIADHN Cc1ccccc1[C@H](NCc1ncccc1N(C)C)C1CCCC1 ZINC000495010067 1052195536 /nfs/dbraw/zinc/19/55/36/1052195536.db2.gz VXDITAIZJGKMRV-OAQYLSRUSA-N 0 3 323.484 4.477 20 0 DIADHN Cc1ccccc1[C@@H](NCc1ncccc1N(C)C)C1CCCC1 ZINC000495010065 1052196243 /nfs/dbraw/zinc/19/62/43/1052196243.db2.gz VXDITAIZJGKMRV-NRFANRHFSA-N 0 3 323.484 4.477 20 0 DIADHN O[C@@H]1CCC[C@@H]1[C@@H]1CCCN1Cc1cccc(Cl)c1Cl ZINC000228968687 1052206692 /nfs/dbraw/zinc/20/66/92/1052206692.db2.gz QMROYCAAXPIQJL-VHDGCEQUSA-N 0 3 314.256 4.119 20 0 DIADHN CN(C/C=C/c1ccncc1)Cc1ccccc1C(F)(F)F ZINC000495068841 1052208922 /nfs/dbraw/zinc/20/89/22/1052208922.db2.gz QZIDFOVBRDTGCE-SNAWJCMRSA-N 0 3 306.331 4.246 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cccc(N(C)C)n1)C1CCCC1 ZINC000495076710 1052210260 /nfs/dbraw/zinc/21/02/60/1052210260.db2.gz AXZXUALTHABWIK-NRFANRHFSA-N 0 3 323.484 4.477 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1CCO)c1cc(Cl)sc1Cl ZINC000250114737 1052218898 /nfs/dbraw/zinc/21/88/98/1052218898.db2.gz UGVZDTLCBLRJGF-QXEWZRGKSA-N 0 3 308.274 4.257 20 0 DIADHN CCn1c2ccccc2c2cc(CN[C@H](C)c3cn[nH]c3)ccc21 ZINC000270176098 1052229565 /nfs/dbraw/zinc/22/95/65/1052229565.db2.gz INKCHGHKTRFCQT-CQSZACIVSA-N 0 3 318.424 4.388 20 0 DIADHN Clc1ccccc1C[C@H]1CCCN1C/C=C/c1ccncc1 ZINC000495162719 1052239482 /nfs/dbraw/zinc/23/94/82/1052239482.db2.gz CJKNBECYHFEOQV-YWPBOTNQSA-N 0 3 312.844 4.455 20 0 DIADHN Cc1cc(OC(C)C)cc(C)c1COc1cccc2c1CNC2 ZINC001218443843 1052240123 /nfs/dbraw/zinc/24/01/23/1052240123.db2.gz YBMULCDDULTGCO-UHFFFAOYSA-N 0 3 311.425 4.273 20 0 DIADHN FC1(F)CCNC[C@H]1Oc1c(Cl)ccc(Cl)c1Cl ZINC001218453117 1052241308 /nfs/dbraw/zinc/24/13/08/1052241308.db2.gz RLTATFKBXBUIGB-MRVPVSSYSA-N 0 3 316.562 4.023 20 0 DIADHN Oc1ccc(-c2ccc(O[C@@H]3CNCc4ccccc43)cc2)cc1 ZINC001218485402 1052245734 /nfs/dbraw/zinc/24/57/34/1052245734.db2.gz IZPCKBNQJDRTID-OAQYLSRUSA-N 0 3 317.388 4.283 20 0 DIADHN Fc1ccc(O[C@@H]2CNCc3ccccc32)cc1C(F)(F)F ZINC001218484876 1052246476 /nfs/dbraw/zinc/24/64/76/1052246476.db2.gz ZETBZEJMRMEALL-OAHLLOKOSA-N 0 3 311.278 4.068 20 0 DIADHN COc1ccc(O[C@@H]2CNCc3ccccc32)cc1C(C)(C)C ZINC001218487005 1052247026 /nfs/dbraw/zinc/24/70/26/1052247026.db2.gz GVGUNDZWDMKPOF-LJQANCHMSA-N 0 3 311.425 4.216 20 0 DIADHN COc1ccc2cccc(O[C@@H]3CNCc4ccccc43)c2c1 ZINC001218488567 1052247666 /nfs/dbraw/zinc/24/76/66/1052247666.db2.gz YKIGJBSFLWIYTG-HXUWFJFHSA-N 0 3 305.377 4.072 20 0 DIADHN Clc1ccc2cccnc2c1O[C@H]1CNCc2ccccc21 ZINC001218486866 1052247729 /nfs/dbraw/zinc/24/77/29/1052247729.db2.gz LPVWLUKVYIYCAH-INIZCTEOSA-N 0 3 310.784 4.112 20 0 DIADHN Oc1ccc(-c2ccccc2)c(O[C@H]2CNCc3ccccc32)c1 ZINC001218486328 1052247824 /nfs/dbraw/zinc/24/78/24/1052247824.db2.gz DJCUOWOTGIHUJU-NRFANRHFSA-N 0 3 317.388 4.283 20 0 DIADHN Clc1ccc2ncc(O[C@@H]3CNCc4ccccc43)cc2c1 ZINC001218486913 1052248072 /nfs/dbraw/zinc/24/80/72/1052248072.db2.gz DYNJVYGXFSRCEH-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN COc1ccc(O[C@H]2CNCc3ccccc32)c2ccccc12 ZINC001218488879 1052248485 /nfs/dbraw/zinc/24/84/85/1052248485.db2.gz RRUYUMSONUWSPF-FQEVSTJZSA-N 0 3 305.377 4.072 20 0 DIADHN CCSc1cc(CNCc2ccc3ncccc3c2)ccn1 ZINC000495179025 1052248603 /nfs/dbraw/zinc/24/86/03/1052248603.db2.gz XQFVAQZNBNRZCC-UHFFFAOYSA-N 0 3 309.438 4.032 20 0 DIADHN Clc1nc2cccc(O[C@H]3CNCc4ccccc43)c2s1 ZINC001218492844 1052249022 /nfs/dbraw/zinc/24/90/22/1052249022.db2.gz DNRMKKJRUPRPNA-AWEZNQCLSA-N 0 3 316.813 4.173 20 0 DIADHN Oc1ccccc1-c1ccccc1O[C@@H]1CNCc2ccccc21 ZINC001218490196 1052249149 /nfs/dbraw/zinc/24/91/49/1052249149.db2.gz XPNWZKTVPCISAY-OAQYLSRUSA-N 0 3 317.388 4.283 20 0 DIADHN CCCc1ccc(O[C@@H]2CNCc3ccccc32)c(C(C)=O)c1 ZINC001218492509 1052249523 /nfs/dbraw/zinc/24/95/23/1052249523.db2.gz CJNBMELDGLMXTI-HXUWFJFHSA-N 0 3 309.409 4.065 20 0 DIADHN Clc1ccc(O[C@@H]2CNCc3ccccc32)c2cccnc12 ZINC001218493517 1052249703 /nfs/dbraw/zinc/24/97/03/1052249703.db2.gz QLKWZRNYNQTEIQ-QGZVFWFLSA-N 0 3 310.784 4.112 20 0 DIADHN CC(C)(C)CCCCCC(=O)Nc1cc([C@@H]2CCCN2)no1 ZINC001218710104 1052253683 /nfs/dbraw/zinc/25/36/83/1052253683.db2.gz DYPFHDPOAXPGJU-ZDUSSCGKSA-N 0 3 307.438 4.034 20 0 DIADHN C=Cc1ccc(NC(=O)[C@H](N)Cc2ccc(C(C)(C)C)cc2)cc1 ZINC001218841400 1052258390 /nfs/dbraw/zinc/25/83/90/1052258390.db2.gz NCZPATRHJZCYMH-LJQANCHMSA-N 0 3 322.452 4.136 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C=C/c2ccc(Cl)cc2)c1 ZINC000914844123 1052265721 /nfs/dbraw/zinc/26/57/21/1052265721.db2.gz LAIUMKVPUIJWFH-DHZHZOJOSA-N 0 3 314.816 4.054 20 0 DIADHN Cc1cccc(C2(CC(=O)Nc3cccc4c3CNC4)CCC2)c1 ZINC001218993959 1052266985 /nfs/dbraw/zinc/26/69/85/1052266985.db2.gz YYHCHIWVIHQOOP-UHFFFAOYSA-N 0 3 320.436 4.049 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@H](C)c1csc(Cl)c1 ZINC000230407475 1052268087 /nfs/dbraw/zinc/26/80/87/1052268087.db2.gz WFXSAHVKQKYXCJ-LLVKDONJSA-N 0 3 319.861 4.410 20 0 DIADHN COc1ccc(OC)c([C@@H]2CCCN2Cc2cccc(C)c2)c1 ZINC000302737599 1052303594 /nfs/dbraw/zinc/30/35/94/1052303594.db2.gz KHQFDUOPISSSIW-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN CCOC[C@@H](N[C@H](C)c1ccccc1Br)C(C)C ZINC000232350451 1052307053 /nfs/dbraw/zinc/30/70/53/1052307053.db2.gz DLKDQPLWQHMKIC-IUODEOHRSA-N 0 3 314.267 4.161 20 0 DIADHN CCCCN1CCC[C@H]1c1cc(Br)ccc1OC ZINC000303173115 1052317575 /nfs/dbraw/zinc/31/75/75/1052317575.db2.gz WANFBIMOELTCRL-AWEZNQCLSA-N 0 3 312.251 4.395 20 0 DIADHN CC[C@@H](NCc1ccc(F)c(F)c1)c1ccc2c(c1)CCO2 ZINC000315062162 1052329772 /nfs/dbraw/zinc/32/97/72/1052329772.db2.gz ZSQFZVPNRLXMFV-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN CCCCN(CC(=O)N1CCCCC1)[C@H](CC)c1ccccc1 ZINC000303654090 1052337317 /nfs/dbraw/zinc/33/73/17/1052337317.db2.gz UYSFXONQCBGTKJ-LJQANCHMSA-N 0 3 316.489 4.252 20 0 DIADHN C[C@@H](NC(=O)CN1CCC[C@@H](C(C)(C)C)CC1)c1cccs1 ZINC000303703089 1052338053 /nfs/dbraw/zinc/33/80/53/1052338053.db2.gz XQHUAVATSBEGMR-HUUCEWRRSA-N 0 3 322.518 4.074 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC/C=C/c1ccccc1)CCCO2 ZINC000495409884 1052338481 /nfs/dbraw/zinc/33/84/81/1052338481.db2.gz QRQFDZAFWLXEBL-SQUITACLSA-N 0 3 309.409 4.212 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(F)cc2F)C12CCCCC2 ZINC000316081072 1052361563 /nfs/dbraw/zinc/36/15/63/1052361563.db2.gz QLWKBWFWJCRDJF-DLBZAZTESA-N 0 3 309.400 4.182 20 0 DIADHN Cc1nn(C)c(Cl)c1CNCCc1ccc(C(C)(C)C)cc1 ZINC000915229546 1052371899 /nfs/dbraw/zinc/37/18/99/1052371899.db2.gz GJLZUHLULDFZGK-UHFFFAOYSA-N 0 3 319.880 4.012 20 0 DIADHN CCOCc1ccccc1CNCc1ccc(C)c(Cl)c1 ZINC000233737550 1052374483 /nfs/dbraw/zinc/37/44/83/1052374483.db2.gz NVNKLRLQMFNIPY-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN Cc1noc(C)c1CCCNCc1csc(Cl)c1Cl ZINC000762448241 1052383039 /nfs/dbraw/zinc/38/30/39/1052383039.db2.gz KBWZFYCVIWZSPD-UHFFFAOYSA-N 0 3 319.257 4.382 20 0 DIADHN C[C@@H]1CCCC[C@]1(C)NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000308691136 1052403386 /nfs/dbraw/zinc/40/33/86/1052403386.db2.gz GZTCKOFGOYSREL-DIFFPNOSSA-N 0 3 319.836 4.047 20 0 DIADHN CN1CCN(Cc2cc(-c3ccsc3)cs2)CC1(C)C ZINC001235190208 1052412863 /nfs/dbraw/zinc/41/28/63/1052412863.db2.gz VPCQSAYHIZZHAX-UHFFFAOYSA-N 0 3 306.500 4.003 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN(Cc2ccccn2)CC1 ZINC000053743489 1052420032 /nfs/dbraw/zinc/42/00/32/1052420032.db2.gz MYOZKKTWGIKTTP-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN CC(C)C[C@H](NC(=O)C[C@@H](N)c1ccccc1)c1cccs1 ZINC000236595247 1052427420 /nfs/dbraw/zinc/42/74/20/1052427420.db2.gz OBLXLUOGOMZROO-CVEARBPZSA-N 0 3 316.470 4.042 20 0 DIADHN CC(C)COc1cccc(CNCc2cccc3cc[nH]c32)c1 ZINC000236726533 1052430189 /nfs/dbraw/zinc/43/01/89/1052430189.db2.gz SODHBBPITYNHAY-UHFFFAOYSA-N 0 3 308.425 4.493 20 0 DIADHN Cc1cc([C@H](C)NCCc2nc(C(F)(F)F)cs2)oc1C ZINC000282111658 1052430255 /nfs/dbraw/zinc/43/02/55/1052430255.db2.gz JATCSUGTZMWNDY-VIFPVBQESA-N 0 3 318.364 4.265 20 0 DIADHN CC(C)C[C@@H](NC(=O)C[C@@H](N)c1ccccc1)c1cccs1 ZINC000236774252 1052430761 /nfs/dbraw/zinc/43/07/61/1052430761.db2.gz OBLXLUOGOMZROO-HZPDHXFCSA-N 0 3 316.470 4.042 20 0 DIADHN CCOCCNCc1ccc(-c2cccc(Cl)c2Cl)o1 ZINC000236906805 1052433504 /nfs/dbraw/zinc/43/35/04/1052433504.db2.gz QROSWYVYWMRADA-UHFFFAOYSA-N 0 3 314.212 4.380 20 0 DIADHN C[C@H](CN(C)[C@@H](C)c1ccccc1Cl)C(=O)OC(C)(C)C ZINC000319841339 1052434177 /nfs/dbraw/zinc/43/41/77/1052434177.db2.gz OSIZEMBVMWYHFG-OLZOCXBDSA-N 0 3 311.853 4.311 20 0 DIADHN Fc1ccc(NC2CCN(C/C=C/c3ccccc3)CC2)cc1 ZINC000237852988 1052445891 /nfs/dbraw/zinc/44/58/91/1052445891.db2.gz ZYEXLJGTXCRMCO-QPJJXVBHSA-N 0 3 310.416 4.415 20 0 DIADHN Cc1cc(CNCc2ccccc2C(F)(F)F)cc(C)c1O ZINC000315743227 1052452253 /nfs/dbraw/zinc/45/22/53/1052452253.db2.gz QKNVJSYALKIMGG-UHFFFAOYSA-N 0 3 309.331 4.318 20 0 DIADHN Cc1nc(CNCCc2c[nH]c3cc(F)c(Cl)cc23)cs1 ZINC000623799693 1052457135 /nfs/dbraw/zinc/45/71/35/1052457135.db2.gz IMQXNNGAULJRHM-UHFFFAOYSA-N 0 3 323.824 4.058 20 0 DIADHN CCCCOc1ccc(-c2cncc(CN(C)C)c2)c(F)c1F ZINC001222210100 1052462059 /nfs/dbraw/zinc/46/20/59/1052462059.db2.gz IVBQOCONBLLAGP-UHFFFAOYSA-N 0 3 320.383 4.267 20 0 DIADHN Cc1cc(-c2ccc(CN(C)C3CC3)cn2)c(F)cc1Cl ZINC001222226045 1052464672 /nfs/dbraw/zinc/46/46/72/1052464672.db2.gz MRSBVLQCQXSLQL-UHFFFAOYSA-N 0 3 304.796 4.444 20 0 DIADHN FC(F)Oc1ccc(CNCc2cccc(C3CC3)c2)cc1 ZINC000316921839 1052474797 /nfs/dbraw/zinc/47/47/97/1052474797.db2.gz FGUSZDJSFOMCGL-UHFFFAOYSA-N 0 3 303.352 4.455 20 0 DIADHN CCCCCC[C@H](O)CN[C@H](C)c1cc(Cl)ccc1F ZINC001168498770 1052476061 /nfs/dbraw/zinc/47/60/61/1052476061.db2.gz UATYSTNVBQWVAY-OCCSQVGLSA-N 0 3 301.833 4.461 20 0 DIADHN CC[C@H](O)CN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626112913 1052490209 /nfs/dbraw/zinc/49/02/09/1052490209.db2.gz VOSJOQNEAKPOCJ-INIZCTEOSA-N 0 3 314.454 4.003 20 0 DIADHN CCCC[C@H]1CCC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763037599 1052493508 /nfs/dbraw/zinc/49/35/08/1052493508.db2.gz SXFPZIOHXXOWCR-XJKSGUPXSA-N 0 3 317.408 4.324 20 0 DIADHN Cc1cc(Br)cc(C)c1CN1CC[C@](C)(CF)C1 ZINC001235780518 1052512438 /nfs/dbraw/zinc/51/24/38/1052512438.db2.gz OJKWZJJZIKQAIX-OAHLLOKOSA-N 0 3 314.242 4.247 20 0 DIADHN CCOC(CN(C)C1c2ccccc2-c2ccccc21)OCC ZINC000763166217 1052519176 /nfs/dbraw/zinc/51/91/76/1052519176.db2.gz TVTHHKKMFTVMII-UHFFFAOYSA-N 0 3 311.425 4.087 20 0 DIADHN C[C@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000106859731 1052523817 /nfs/dbraw/zinc/52/38/17/1052523817.db2.gz AVIKZJICSGUYOL-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN c1coc(-c2cc(CN3CCC[C@@H]3Cc3ccccc3)no2)c1 ZINC000055505292 1052533021 /nfs/dbraw/zinc/53/30/21/1052533021.db2.gz OWZJRTVBDUJDPB-QGZVFWFLSA-N 0 3 308.381 4.142 20 0 DIADHN Cc1cc(C)cc(CO[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001222775090 1052533924 /nfs/dbraw/zinc/53/39/24/1052533924.db2.gz MAXFSIAOZMHBPQ-AZUAARDMSA-N 0 3 324.468 4.441 20 0 DIADHN Cc1cc(C)cc(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)c1 ZINC001222774251 1052534084 /nfs/dbraw/zinc/53/40/84/1052534084.db2.gz DXKDMJUQMGKDFO-IEBWSBKVSA-N 0 3 310.441 4.051 20 0 DIADHN Cc1cc(C)cc(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001222774256 1052534621 /nfs/dbraw/zinc/53/46/21/1052534621.db2.gz DXKDMJUQMGKDFO-PKOBYXMFSA-N 0 3 310.441 4.051 20 0 DIADHN Cc1ccc(CO[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc1C ZINC001222850652 1052538888 /nfs/dbraw/zinc/53/88/88/1052538888.db2.gz DBOCUCOVFJQKPR-IEBWSBKVSA-N 0 3 310.441 4.051 20 0 DIADHN CCC[C@H](C(=O)N(CCN(C)C)C(C)C)c1cccc(Cl)c1 ZINC001127399233 1052540878 /nfs/dbraw/zinc/54/08/78/1052540878.db2.gz LLVBYYPWSGVIAI-KRWDZBQOSA-N 0 3 324.896 4.022 20 0 DIADHN CCc1cccc(CC)c1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000107070198 1052543592 /nfs/dbraw/zinc/54/35/92/1052543592.db2.gz ZMZRBROLNVFTPL-HOTGVXAUSA-N 0 3 324.468 4.489 20 0 DIADHN CC(C)[C@H](NC[C@H](C)C(C)(C)C)c1nc(-c2cccnc2)no1 ZINC000670268630 1052543752 /nfs/dbraw/zinc/54/37/52/1052543752.db2.gz VRIUSJUFGMSIFU-ZFWWWQNUSA-N 0 3 316.449 4.101 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1cc(Cl)ccc1F)c1ccccc1 ZINC000107071200 1052544081 /nfs/dbraw/zinc/54/40/81/1052544081.db2.gz XBPCRLJVNILAOK-NEPJUHHUSA-N 0 3 320.795 4.157 20 0 DIADHN COc1cccc(-c2noc([C@@H](C)NC[C@H](C)C(C)(C)C)n2)c1 ZINC000670270142 1052545215 /nfs/dbraw/zinc/54/52/15/1052545215.db2.gz ZXLOSUMPPHINRS-QWHCGFSZSA-N 0 3 317.433 4.078 20 0 DIADHN CN1CCC[C@@H](OC2(Cl)c3ccccc3-c3ccccc32)C1 ZINC001223116393 1052569220 /nfs/dbraw/zinc/56/92/20/1052569220.db2.gz BQPHFWDRIUXIPZ-CQSZACIVSA-N 0 3 313.828 4.218 20 0 DIADHN Cc1ccccc1[C@H](C)NCC(=O)Nc1ccc2ccccc2c1 ZINC000916148882 1052594244 /nfs/dbraw/zinc/59/42/44/1052594244.db2.gz FUHAZVNHQXZCGI-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](O[C@@H]2CCc3ccccc32)C1 ZINC001223419716 1052599012 /nfs/dbraw/zinc/59/90/12/1052599012.db2.gz JEVNJGGCBYSDOK-HGHGUNKESA-N 0 3 322.452 4.311 20 0 DIADHN C[C@H](O[C@H]1CCCN([C@@H](C)c2ccccn2)C1)c1ccccc1 ZINC001223446308 1052602811 /nfs/dbraw/zinc/60/28/11/1052602811.db2.gz IYYMSHLHUKZQBJ-LNLFQRSKSA-N 0 3 310.441 4.385 20 0 DIADHN CCN1CC[C@@H](OC2=Nc3ccccc3Sc3ccccc32)C1 ZINC001223469729 1052605980 /nfs/dbraw/zinc/60/59/80/1052605980.db2.gz RGKNAUQZEYPXPF-CQSZACIVSA-N 0 3 324.449 4.340 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1ccc(F)c(Cl)c1 ZINC000037762765 1052628813 /nfs/dbraw/zinc/62/88/13/1052628813.db2.gz LMTNZMMZZNHYIW-LBPRGKRZSA-N 0 3 307.796 4.476 20 0 DIADHN COc1cccc([C@@H](C)NCc2cccc(Br)c2)c1 ZINC000037771420 1052628864 /nfs/dbraw/zinc/62/88/64/1052628864.db2.gz BKNWHLYHEAJCGB-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN COc1ccc([C@H](C)NCCSc2ccccc2)c(F)c1 ZINC000037904216 1052629796 /nfs/dbraw/zinc/62/97/96/1052629796.db2.gz OBYWOCQTNDNIGX-ZDUSSCGKSA-N 0 3 305.418 4.277 20 0 DIADHN C[C@H](NCC[C@@H]1CCCO1)c1cc(F)c(Cl)cc1Cl ZINC000038096339 1052631036 /nfs/dbraw/zinc/63/10/36/1052631036.db2.gz ORUBQZNHEYCZKD-UWVGGRQHSA-N 0 3 306.208 4.352 20 0 DIADHN COc1ccc([C@H](C)NCc2cc(OC)nc3ccccc23)cc1 ZINC000124974380 1052644501 /nfs/dbraw/zinc/64/45/01/1052644501.db2.gz RTIOIEXZAYLTLI-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN C/C=C/COc1ccc(CC[C@@H](C)NCc2cc(C)no2)cc1 ZINC000125574783 1052651008 /nfs/dbraw/zinc/65/10/08/1052651008.db2.gz VPYRWWSKUHACSI-MBVDDHJVSA-N 0 3 314.429 4.049 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](OC(C)(C)c2ccccc2)C1 ZINC001223956688 1052655397 /nfs/dbraw/zinc/65/53/97/1052655397.db2.gz UPENAKQYHZHRAO-SJLPKXTDSA-N 0 3 310.441 4.169 20 0 DIADHN C[C@H](O[C@H]1CC[C@H](N2CCOCC2)CC1)c1ccc(Cl)cc1 ZINC001223965160 1052656473 /nfs/dbraw/zinc/65/64/73/1052656473.db2.gz YMTXHBKDQWEERI-WBAXXEDZSA-N 0 3 323.864 4.061 20 0 DIADHN COc1ccc(Cl)cc1CNCCO[C@@H]1CCCC[C@H]1C ZINC000916838679 1052658306 /nfs/dbraw/zinc/65/83/06/1052658306.db2.gz QPGQCICCVGHNRR-CZUORRHYSA-N 0 3 311.853 4.034 20 0 DIADHN C[C@H](O[C@@H]1CN(Cc2ccccc2)CC[C@H]1C)c1nccs1 ZINC001224004539 1052658730 /nfs/dbraw/zinc/65/87/30/1052658730.db2.gz UXZOUVXJKZFZNC-HLLBOEOZSA-N 0 3 316.470 4.131 20 0 DIADHN Cn1ccc(CNC2(c3cccc(C(F)(F)F)c3)CCC2)c1 ZINC000126071772 1052664611 /nfs/dbraw/zinc/66/46/11/1052664611.db2.gz QHXJDROTCDYJPZ-UHFFFAOYSA-N 0 3 308.347 4.213 20 0 DIADHN CCN(CC(=O)Nc1ccccc1C(F)(F)F)[C@@H](C)C(C)C ZINC000065299349 1052665890 /nfs/dbraw/zinc/66/58/90/1052665890.db2.gz MCWCLFXDYKHCBY-LBPRGKRZSA-N 0 3 316.367 4.010 20 0 DIADHN CC[C@@H](N[C@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000126521056 1052671462 /nfs/dbraw/zinc/67/14/62/1052671462.db2.gz KAKUQPGOHQFMHU-RKDXNWHRSA-N 0 3 305.285 4.381 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)C[C@@H](O)c1ccccc1F ZINC000917013006 1052680030 /nfs/dbraw/zinc/68/00/30/1052680030.db2.gz NNWPJUMWLKNCJU-YVEFUNNKSA-N 0 3 307.796 4.206 20 0 DIADHN CC[C@@H](NCc1ccc(N(CC)CC)nc1)c1cccs1 ZINC000126821576 1052681309 /nfs/dbraw/zinc/68/13/09/1052681309.db2.gz ZYNAIMDGKNXZOZ-OAHLLOKOSA-N 0 3 303.475 4.230 20 0 DIADHN Cc1ccc(CN(CC(C)C)[C@H]2CCCc3cccnc32)nc1 ZINC000126885142 1052683385 /nfs/dbraw/zinc/68/33/85/1052683385.db2.gz RWUXBZIKXRATQX-IBGZPJMESA-N 0 3 309.457 4.321 20 0 DIADHN C[C@@H](c1ccccn1)N1CC[C@@H](OCC2CCC(C)CC2)C1 ZINC001224314828 1052688189 /nfs/dbraw/zinc/68/81/89/1052688189.db2.gz PRTAXRQETKAZJN-JYSRZZCRSA-N 0 3 302.462 4.060 20 0 DIADHN O[C@H](CN(Cc1ccc(Cl)cc1)C1CC1)c1ccccc1F ZINC000917063791 1052688585 /nfs/dbraw/zinc/68/85/85/1052688585.db2.gz SPYXFMGCRSVZQN-GOSISDBHSA-N 0 3 319.807 4.177 20 0 DIADHN Cc1cc([C@@H]2CCCN2C[C@@H](O)c2ccc3ccccc3c2)on1 ZINC000917063441 1052688967 /nfs/dbraw/zinc/68/89/67/1052688967.db2.gz DMQCOHMCTMYULI-RBUKOAKNSA-N 0 3 322.408 4.007 20 0 DIADHN CC(=O)Nc1ccccc1CN(C)Cc1cccc2ccccc21 ZINC000127289446 1052691852 /nfs/dbraw/zinc/69/18/52/1052691852.db2.gz OWLHVEWIWLRDMK-UHFFFAOYSA-N 0 3 318.420 4.430 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)c1 ZINC000127303926 1052692577 /nfs/dbraw/zinc/69/25/77/1052692577.db2.gz RUUJXIKWEKANTG-KBPBESRZSA-N 0 3 313.397 4.226 20 0 DIADHN C/C=C/COc1ccc(CC[C@H](C)NCc2cscn2)cc1 ZINC000127338347 1052693509 /nfs/dbraw/zinc/69/35/09/1052693509.db2.gz OODFBJDLPGLSKI-BWPKMQGJSA-N 0 3 316.470 4.209 20 0 DIADHN COc1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1OC ZINC000067501673 1052705554 /nfs/dbraw/zinc/70/55/54/1052705554.db2.gz AWCQWTUIVUCBFW-INIZCTEOSA-N 0 3 317.816 4.134 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@H](C)c2cccs2)c1 ZINC000089725108 1052718426 /nfs/dbraw/zinc/71/84/26/1052718426.db2.gz ZULZDEMZEDMYMV-UKRRQHHQSA-N 0 3 300.427 4.280 20 0 DIADHN CN(C)c1ncccc1CNCc1ccccc1-c1ccccc1 ZINC000917394835 1052723916 /nfs/dbraw/zinc/72/39/16/1052723916.db2.gz QWFBECOAXXILLE-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN Cc1occc1CN[C@](C)(CNC(=O)OC(C)(C)C)CC(C)C ZINC000321673589 1052734106 /nfs/dbraw/zinc/73/41/06/1052734106.db2.gz YFIMMOMTGZVINH-SFHVURJKSA-N 0 3 324.465 4.007 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC=C(c2c(C)[nH]c3ccccc32)CC1 ZINC000128649283 1052740294 /nfs/dbraw/zinc/74/02/94/1052740294.db2.gz VDHJBGXDNJWHDP-UHFFFAOYSA-N 0 3 320.440 4.106 20 0 DIADHN O=C1c2cccc3cccc(c23)N1CN1CCC[C@H]1C1CCCC1 ZINC000917619541 1052745233 /nfs/dbraw/zinc/74/52/33/1052745233.db2.gz JTJRQAZXKHBGKP-SFHVURJKSA-N 0 3 320.436 4.412 20 0 DIADHN CC[C@H]1CCC[C@H](NCc2csc(-c3ccccn3)n2)C1 ZINC000068589862 1052754466 /nfs/dbraw/zinc/75/44/66/1052754466.db2.gz AWJFFKVCCLDEKE-KBPBESRZSA-N 0 3 301.459 4.264 20 0 DIADHN CCOc1ccccc1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001225213321 1052756127 /nfs/dbraw/zinc/75/61/27/1052756127.db2.gz ULWXRRCMPRJVSF-GOSISDBHSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1ccc([C@H](NCCCc2nccs2)c2ccccn2)cc1 ZINC000925442799 1052756508 /nfs/dbraw/zinc/75/65/08/1052756508.db2.gz LGTSWQWXEMDKPA-IBGZPJMESA-N 0 3 323.465 4.158 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1cc2ccccc2o1 ZINC000128918548 1052761441 /nfs/dbraw/zinc/76/14/41/1052761441.db2.gz JBWAQZJNILGJFD-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CC(C)(C)c1cccc(O[C@H]2CC[C@H](N3CCOCC3)CC2)c1 ZINC001225244095 1052761811 /nfs/dbraw/zinc/76/18/11/1052761811.db2.gz PJYFMMJUMDFTOZ-IYARVYRRSA-N 0 3 317.473 4.006 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1C ZINC001225246380 1052762898 /nfs/dbraw/zinc/76/28/98/1052762898.db2.gz WXWRPZDBHBUPLO-HKUYNNGSSA-N 0 3 310.441 4.303 20 0 DIADHN CCC(CC)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937595 1052765722 /nfs/dbraw/zinc/76/57/22/1052765722.db2.gz HHVDVIDUBQXXCG-LSDHHAIUSA-N 0 3 318.505 4.328 20 0 DIADHN COc1ccc(C)cc1O[C@H]1CCCN(Cc2ccccc2)C1 ZINC001225322224 1052773926 /nfs/dbraw/zinc/77/39/26/1052773926.db2.gz BVSLUHKIIKXDOM-SFHVURJKSA-N 0 3 311.425 4.047 20 0 DIADHN CN(C)Cc1cccc(NC(=O)NCC2CCCCCCC2)c1 ZINC000918032292 1052774250 /nfs/dbraw/zinc/77/42/50/1052774250.db2.gz UZLPQLBVEGEHEM-UHFFFAOYSA-N 0 3 317.477 4.230 20 0 DIADHN Cc1cc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)ccc1F ZINC001225325923 1052774696 /nfs/dbraw/zinc/77/46/96/1052774696.db2.gz UNZFGSJUWPIVRB-NVXWUHKLSA-N 0 3 314.404 4.134 20 0 DIADHN C[C@H](NCC1(C)CCCC1)c1ncc(Br)s1 ZINC000129497752 1052792264 /nfs/dbraw/zinc/79/22/64/1052792264.db2.gz QEZIKQUOJOHMLZ-VIFPVBQESA-N 0 3 303.269 4.137 20 0 DIADHN COc1cc(CN[C@H](C)c2cccc(C3CC3)c2)cc(OC)c1 ZINC000556059668 1052794073 /nfs/dbraw/zinc/79/40/73/1052794073.db2.gz VHVKGNMAUJQFHW-CQSZACIVSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1cc(Cl)ccc1OCCCN[C@@H](C)c1ccncc1F ZINC000556060914 1052795600 /nfs/dbraw/zinc/79/56/00/1052795600.db2.gz OVTNTZRJKGWUFT-ZDUSSCGKSA-N 0 3 322.811 4.302 20 0 DIADHN CCc1nc(CN[C@@H](Cc2ccccc2Cl)C2CC2)cs1 ZINC000556077039 1052796768 /nfs/dbraw/zinc/79/67/68/1052796768.db2.gz SDEOBCTXBAYVRZ-INIZCTEOSA-N 0 3 320.889 4.470 20 0 DIADHN Cc1cnc(CN[C@@H](Cc2ccccc2Cl)C2CC2)s1 ZINC000556093517 1052796975 /nfs/dbraw/zinc/79/69/75/1052796975.db2.gz JOENKNJNPKSNJY-HNNXBMFYSA-N 0 3 306.862 4.216 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NC[C@@H]2CC(C)(C)CO2)cc1 ZINC001307655010 1052798546 /nfs/dbraw/zinc/79/85/46/1052798546.db2.gz IWNBLPZHXGZHLX-LSDHHAIUSA-N 0 3 315.379 4.393 20 0 DIADHN CCCOc1cccc(CN[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC000069675192 1052798893 /nfs/dbraw/zinc/79/88/93/1052798893.db2.gz BWJWMERAMFDLMX-CQSZACIVSA-N 0 3 313.397 4.055 20 0 DIADHN CC(=O)c1ccccc1O[C@H]1CN(Cc2ccccc2)CC[C@@H]1C ZINC001225570168 1052803476 /nfs/dbraw/zinc/80/34/76/1052803476.db2.gz UWKHSKCUWUDIPU-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN CCCN(Cc1ccccc1)[C@@H](C)C(=O)N1CCCC[C@H]1CC ZINC000556136750 1052809371 /nfs/dbraw/zinc/80/93/71/1052809371.db2.gz RJZZFVAUTOGHJU-PKOBYXMFSA-N 0 3 316.489 4.078 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)c1ccc2c(c1)CCC(=O)N2 ZINC000556155069 1052810337 /nfs/dbraw/zinc/81/03/37/1052810337.db2.gz TXEGRKLTTFRKJG-CYBMUJFWSA-N 0 3 320.392 4.168 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1ccncc1F ZINC000556178445 1052817048 /nfs/dbraw/zinc/81/70/48/1052817048.db2.gz HKBZGDUVEKMDIZ-WHOFXGATSA-N 0 3 304.796 4.471 20 0 DIADHN Cc1cc([C@@H](C)NCC2(c3ccc(F)cc3)CCCC2)no1 ZINC000556233436 1052824155 /nfs/dbraw/zinc/82/41/55/1052824155.db2.gz IMAPVLZOAMCFAV-CQSZACIVSA-N 0 3 302.393 4.285 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1F ZINC001225774394 1052828686 /nfs/dbraw/zinc/82/86/86/1052828686.db2.gz KIKLPILQZVNFGF-DOTOQJQBSA-N 0 3 314.404 4.134 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2ccccc2)c(F)c1 ZINC001225873501 1052841184 /nfs/dbraw/zinc/84/11/84/1052841184.db2.gz RYTKCFWKOJFBJS-SFHVURJKSA-N 0 3 315.388 4.100 20 0 DIADHN Fc1cccc(OC2CCN(Cc3ccccc3)CC2)c1F ZINC001225923214 1052847749 /nfs/dbraw/zinc/84/77/49/1052847749.db2.gz VQSHAHGTWYGSEX-UHFFFAOYSA-N 0 3 303.352 4.008 20 0 DIADHN CC(C)N1CCC[C@H](Oc2cccc(C(=O)c3ccccc3)c2)C1 ZINC001225999228 1052857612 /nfs/dbraw/zinc/85/76/12/1052857612.db2.gz RBMWTDBJUNMKIG-FQEVSTJZSA-N 0 3 323.436 4.169 20 0 DIADHN c1nc(C2CCCCC2)sc1CN1CCC[C@H]1[C@H]1CCCO1 ZINC000556609854 1052871171 /nfs/dbraw/zinc/87/11/71/1052871171.db2.gz VLWUFXJGCUTQME-DLBZAZTESA-N 0 3 320.502 4.334 20 0 DIADHN Clc1oc2ccccc2c1CN1CCC(c2cn[nH]c2)CC1 ZINC000352654357 1052872914 /nfs/dbraw/zinc/87/29/14/1052872914.db2.gz KPULVNCQJSQADI-UHFFFAOYSA-N 0 3 315.804 4.189 20 0 DIADHN C[C@H](N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C)c1ccnnc1 ZINC000925469766 1052880737 /nfs/dbraw/zinc/88/07/37/1052880737.db2.gz FPDVWVJLASTHFN-MWDDYTRKSA-N 0 3 301.821 4.178 20 0 DIADHN CC(C)c1ccc([C@H](N[C@H]2CCSC2)c2cccnc2)cc1 ZINC000925471962 1052887797 /nfs/dbraw/zinc/88/77/97/1052887797.db2.gz FOXQYAOBCYMRDG-OALUTQOASA-N 0 3 312.482 4.390 20 0 DIADHN CCN(C[C@@H]1CC1(Cl)Cl)[C@H](C)c1cccc(OC)c1 ZINC000919770610 1052888336 /nfs/dbraw/zinc/88/83/36/1052888336.db2.gz LVJPGEOORYMRFN-YPMHNXCESA-N 0 3 302.245 4.272 20 0 DIADHN OCC1([C@H](NCc2ccc(Cl)cc2)c2ccccc2)CCC1 ZINC000556908003 1052896421 /nfs/dbraw/zinc/89/64/21/1052896421.db2.gz ABLNSSVOTKGBSM-GOSISDBHSA-N 0 3 315.844 4.334 20 0 DIADHN C[C@H](CCc1cccc(F)c1)N[C@H](C)c1c(F)cncc1F ZINC000556917356 1052898298 /nfs/dbraw/zinc/89/82/98/1052898298.db2.gz QMOJXJJQHOYXCB-VXGBXAGGSA-N 0 3 308.347 4.171 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000556921681 1052899657 /nfs/dbraw/zinc/89/96/57/1052899657.db2.gz QWSPIKWZXYNANG-UONOGXRCSA-N 0 3 309.413 4.292 20 0 DIADHN Cc1nn(Cc2ccc(C)cc2)c(Cl)c1CNCC(C)(C)C ZINC000920182340 1052926214 /nfs/dbraw/zinc/92/62/14/1052926214.db2.gz LFCLOHAOBATMRW-UHFFFAOYSA-N 0 3 319.880 4.337 20 0 DIADHN CCCCCCC(=O)c1ccc(O[C@@H]2CCN(CC)C2)cc1 ZINC001226781256 1052931001 /nfs/dbraw/zinc/93/10/01/1052931001.db2.gz YZXIOVCAJPTIIM-GOSISDBHSA-N 0 3 303.446 4.313 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cccc3c2CCC3)C1 ZINC001226796200 1052932352 /nfs/dbraw/zinc/93/23/52/1052932352.db2.gz VFTZRSDCSFTBMA-FUHWJXTLSA-N 0 3 322.452 4.175 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc(Nc2ccccc2)cc1 ZINC000920267621 1052933319 /nfs/dbraw/zinc/93/33/19/1052933319.db2.gz BYCXQGOMGKKMDH-IBGZPJMESA-N 0 3 323.440 4.243 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNCc1ccccc1 ZINC000920413136 1052938848 /nfs/dbraw/zinc/93/88/48/1052938848.db2.gz NEKIBNBXCDYCDZ-UHFFFAOYSA-N 0 3 305.425 4.087 20 0 DIADHN CC(C)[C@H]1CCCN1Cn1ccn(Cc2ccccc2)c1=S ZINC000920485557 1052942553 /nfs/dbraw/zinc/94/25/53/1052942553.db2.gz TWDNAVZDLMQEKL-QGZVFWFLSA-N 0 3 315.486 4.145 20 0 DIADHN CCC(=O)c1ccc(O[C@H]2CN(Cc3ccccc3)C[C@@H]2C)cc1 ZINC001226958756 1052948312 /nfs/dbraw/zinc/94/83/12/1052948312.db2.gz KLKAUNTZMYRUEL-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN COc1cccc(C)c1O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001227164593 1052967299 /nfs/dbraw/zinc/96/72/99/1052967299.db2.gz KMKLBIHBMTWHJB-GOSISDBHSA-N 0 3 311.425 4.047 20 0 DIADHN Clc1cc(O[C@H]2CCN(Cc3ccccc3)C2)cnc1Cl ZINC001227226645 1052974483 /nfs/dbraw/zinc/97/44/83/1052974483.db2.gz UDTBYRBVPCOKGB-ZDUSSCGKSA-N 0 3 323.223 4.042 20 0 DIADHN Cc1ccc2c(CNC3(c4ccccc4)CCC3)cc(=O)oc2c1 ZINC000064343716 1052977685 /nfs/dbraw/zinc/97/76/85/1052977685.db2.gz LCJODHGBFUXOEZ-UHFFFAOYSA-N 0 3 319.404 4.270 20 0 DIADHN CCN1CCC[C@@H](Oc2ccc(-c3ccc(O)cc3)cc2F)C1 ZINC001227364524 1052985196 /nfs/dbraw/zinc/98/51/96/1052985196.db2.gz SUUNRUCJOAVJNR-QGZVFWFLSA-N 0 3 315.388 4.061 20 0 DIADHN C[C@@H]([C@H](Oc1cc(Cl)sn1)c1ccccc1)N1CCCC1 ZINC001227378134 1052987123 /nfs/dbraw/zinc/98/71/23/1052987123.db2.gz QAJWKPXSQFWTQU-LRDDRELGSA-N 0 3 322.861 4.401 20 0 DIADHN C/C=C/c1ccc(O[C@@H]2CCN(Cc3ccccc3)C2)c(OC)c1 ZINC001227409291 1052988798 /nfs/dbraw/zinc/98/87/98/1052988798.db2.gz BBLIILTVSLYDRH-SXQCNTSWSA-N 0 3 323.436 4.382 20 0 DIADHN COC(=O)CCCN1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000609766806 1052990344 /nfs/dbraw/zinc/99/03/44/1052990344.db2.gz SGMFRLDYIWUDQC-INIZCTEOSA-N 0 3 309.837 4.210 20 0 DIADHN CCCCN(CC)CC[S@](=O)c1cc(Cl)ccc1Cl ZINC000609798261 1052992951 /nfs/dbraw/zinc/99/29/51/1052992951.db2.gz NDRIVPJGVXSWNK-IBGZPJMESA-N 0 3 322.301 4.223 20 0 DIADHN CCSCCN1CCC[C@H]1c1cccc(Br)c1 ZINC000609850539 1052995087 /nfs/dbraw/zinc/99/50/87/1052995087.db2.gz XDMVDAWMLATGAE-AWEZNQCLSA-N 0 3 314.292 4.339 20 0 DIADHN CCc1ccccc1O[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001227677955 1053007703 /nfs/dbraw/zinc/00/77/03/1053007703.db2.gz AWIIFVPUAFRRIV-FUHWJXTLSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1ccccc1O[C@H]1CCCN([C@H](C)c2ccccn2)C1 ZINC001227677953 1053007798 /nfs/dbraw/zinc/00/77/98/1053007798.db2.gz AWIIFVPUAFRRIV-AEFFLSMTSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N[C@@H](C)c2ccc(C)cc2)cc1 ZINC000615329787 1053013162 /nfs/dbraw/zinc/01/31/62/1053013162.db2.gz LRAGAFIEGRMRDV-HOTGVXAUSA-N 0 3 310.441 4.235 20 0 DIADHN CCc1ccc(NC(=O)[C@@H](C)N[C@H](C)c2ccc(C)cc2)cc1 ZINC000615329789 1053013282 /nfs/dbraw/zinc/01/32/82/1053013282.db2.gz LRAGAFIEGRMRDV-HZPDHXFCSA-N 0 3 310.441 4.235 20 0 DIADHN C[C@H](C#N)Oc1ccc(CNCc2ccc(F)c(Cl)c2)cc1 ZINC000237577299 1053013369 /nfs/dbraw/zinc/01/33/69/1053013369.db2.gz ANDYKQXHJCHGNI-GFCCVEGCSA-N 0 3 318.779 4.060 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(C)cc(C)c2)cc1 ZINC000615328921 1053013441 /nfs/dbraw/zinc/01/34/41/1053013441.db2.gz FSIBJNRCBWXWCI-IAGOWNOFSA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@@H](C)c1ccccc1O[C@H]1CC[C@@H](N2CCOCC2)CC1 ZINC001227759088 1053014180 /nfs/dbraw/zinc/01/41/80/1053014180.db2.gz GAONXFSTIMHTIO-KURKYZTESA-N 0 3 317.473 4.222 20 0 DIADHN CC(=O)Oc1ccc(CNC(C)(C)c2cccc(Cl)c2)cc1 ZINC000179608537 1053018817 /nfs/dbraw/zinc/01/88/17/1053018817.db2.gz NLSLJMRZCJXARQ-UHFFFAOYSA-N 0 3 317.816 4.290 20 0 DIADHN Cc1ccc(-c2nc(CN[C@H]3CCc4ccccc4C3)co2)cc1 ZINC000237762616 1053025530 /nfs/dbraw/zinc/02/55/30/1053025530.db2.gz FJXBUXIYMBAUCM-IBGZPJMESA-N 0 3 318.420 4.297 20 0 DIADHN Cc1c[nH]c2ccc(O[C@@H]3CCN([C@H](C)c4ccccn4)C3)cc12 ZINC001228014145 1053036340 /nfs/dbraw/zinc/03/63/40/1053036340.db2.gz FHOAIERNADFSOO-NVXWUHKLSA-N 0 3 321.424 4.086 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1ccc(Cl)nc1Cl ZINC000801544573 1053044441 /nfs/dbraw/zinc/04/44/41/1053044441.db2.gz NVRAPZHAJRANQL-STQMWFEESA-N 0 3 317.260 4.072 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@H](Oc2cccc3[nH]ccc32)C1 ZINC001228179577 1053050795 /nfs/dbraw/zinc/05/07/95/1053050795.db2.gz JYDSHKCDFWSBEK-HOTGVXAUSA-N 0 3 321.424 4.167 20 0 DIADHN CC(=O)c1ccc(O[C@@H](CCN(C)C)c2cccs2)cc1C ZINC001228216022 1053053685 /nfs/dbraw/zinc/05/36/85/1053053685.db2.gz CMTATMRJZSHADP-KRWDZBQOSA-N 0 3 317.454 4.331 20 0 DIADHN CC(=O)c1c(O[C@H]2C[C@H]3CC[C@@H](C2)N3C)ccc2ccccc21 ZINC001228248325 1053056939 /nfs/dbraw/zinc/05/69/39/1053056939.db2.gz VNUREIGXGXRVCS-FVQHAEBGSA-N 0 3 309.409 4.046 20 0 DIADHN CCc1ccc(O[C@@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001228250870 1053057727 /nfs/dbraw/zinc/05/77/27/1053057727.db2.gz GGJJCJTXYHAXPX-VQIMIIECSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1ccc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)cc1 ZINC001228250866 1053057952 /nfs/dbraw/zinc/05/79/52/1053057952.db2.gz GGJJCJTXYHAXPX-LPHOPBHVSA-N 0 3 310.441 4.248 20 0 DIADHN O=C(NNc1ccncc1)c1cc(-c2ccccc2)ccc1Cl ZINC000180526774 1053064730 /nfs/dbraw/zinc/06/47/30/1053064730.db2.gz WIBALPVKSKPSAX-UHFFFAOYSA-N 0 3 323.783 4.159 20 0 DIADHN CN(C)Cc1c(O[C@H]2CCc3cccnc32)ccc2ccccc21 ZINC001228440349 1053104237 /nfs/dbraw/zinc/10/42/37/1053104237.db2.gz COOFGKMVFMHJCH-FQEVSTJZSA-N 0 3 318.420 4.363 20 0 DIADHN CCC(=O)c1ccccc1O[C@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001228455883 1053108674 /nfs/dbraw/zinc/10/86/74/1053108674.db2.gz UUWXLKZDOOMKBR-KKSFZXQISA-N 0 3 323.436 4.179 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3nc(Cl)ccc32)cc1C ZINC001350976477 1053125758 /nfs/dbraw/zinc/12/57/58/1053125758.db2.gz AOOZEIJODKPZKX-WFASDCNBSA-N 0 3 316.832 4.390 20 0 DIADHN C[C@@H]1C[C@H](Nc2nc(-c3ccccc3Cl)cs2)CCN1C ZINC000543209998 1053129365 /nfs/dbraw/zinc/12/93/65/1053129365.db2.gz HNLTXZZTPXPQLV-VXGBXAGGSA-N 0 3 321.877 4.358 20 0 DIADHN CCOc1ccc(CN(C)Cc2cc(C)cc(C)c2)cc1OC ZINC001317799032 1053132284 /nfs/dbraw/zinc/13/22/84/1053132284.db2.gz ZTRASLRTGCTLDO-UHFFFAOYSA-N 0 3 313.441 4.343 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CC[C@H]2CCCC[C@H]2C1 ZINC001317772553 1053132664 /nfs/dbraw/zinc/13/26/64/1053132664.db2.gz RFENOTVRNCZEQA-JQHSSLGASA-N 0 3 314.473 4.088 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)N2CC[C@H]3CCCC[C@@H]3C2)c(C)c1 ZINC001317889381 1053138791 /nfs/dbraw/zinc/13/87/91/1053138791.db2.gz JZTHTQGGINFVBJ-RCCFBDPRSA-N 0 3 314.473 4.143 20 0 DIADHN COCCCCN(Cc1ccccc1)Cc1ccc(Cl)nc1 ZINC000069221372 1053140612 /nfs/dbraw/zinc/14/06/12/1053140612.db2.gz YHDJOISOPFZJNE-UHFFFAOYSA-N 0 3 318.848 4.164 20 0 DIADHN CCCc1ccc(CNCc2ccc(NC(=O)C3CC3)cc2)cc1 ZINC001318338671 1053162718 /nfs/dbraw/zinc/16/27/18/1053162718.db2.gz CJUSZRXIXAPTOP-UHFFFAOYSA-N 0 3 322.452 4.277 20 0 DIADHN Cc1cnc(C2CCN(Cc3ccccc3CF)CC2)s1 ZINC001351398155 1053175244 /nfs/dbraw/zinc/17/52/44/1053175244.db2.gz FKBMKTBTAISSKC-UHFFFAOYSA-N 0 3 304.434 4.301 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H]2CCCc3n[nH]cc32)cc1 ZINC000353498635 1053176266 /nfs/dbraw/zinc/17/62/66/1053176266.db2.gz ASBUPHHHFNUHKV-BLLLJJGKSA-N 0 3 301.459 4.250 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2c(Cl)ccc3cccnc32)C1 ZINC001228793866 1053183483 /nfs/dbraw/zinc/18/34/83/1053183483.db2.gz XOXIISXGHGRSKI-CQSZACIVSA-N 0 3 304.821 4.140 20 0 DIADHN COc1cccc(Br)c1CN1CC[C@@H]2CCC[C@@H]2C1 ZINC001236675526 1053184653 /nfs/dbraw/zinc/18/46/53/1053184653.db2.gz YFONKWPULZWRIU-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@@H]3CCCC[C@H]3C2)o1 ZINC001318813818 1053195691 /nfs/dbraw/zinc/19/56/91/1053195691.db2.gz HHVAHNNGQXMFSI-GJZGRUSLSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2cccc3cc[nH]c32)C1 ZINC001228889884 1053200533 /nfs/dbraw/zinc/20/05/33/1053200533.db2.gz FULGHPLAMNMIJZ-DOTOQJQBSA-N 0 3 321.424 4.167 20 0 DIADHN CC(C)c1ccccc1OCCN[C@@H](C)c1cncc(F)c1 ZINC000089597301 1053205798 /nfs/dbraw/zinc/20/57/98/1053205798.db2.gz ZNROMGBTYJMNIM-AWEZNQCLSA-N 0 3 302.393 4.074 20 0 DIADHN C[C@@H](Oc1ccc2c(c1)CCN(C)C2)c1cccc(Cl)c1 ZINC001228943692 1053209289 /nfs/dbraw/zinc/20/92/89/1053209289.db2.gz INAQCDROXQYTJM-CYBMUJFWSA-N 0 3 301.817 4.468 20 0 DIADHN Cc1ccc(C)c(CN2CCC(C(=O)c3cccs3)CC2)c1 ZINC001318994364 1053209490 /nfs/dbraw/zinc/20/94/90/1053209490.db2.gz WTRRREUHMRAUCP-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN CCCC(=CC(=O)N1CCN(C2CCC(C)CC2)CC1)CCC ZINC001360294409 1053211594 /nfs/dbraw/zinc/21/15/94/1053211594.db2.gz IXDBZFLCGJRKPD-UHFFFAOYSA-N 0 3 320.521 4.236 20 0 DIADHN COc1ccc(OCCCN(C)Cc2cc3ccccc3[nH]2)cc1 ZINC001319076398 1053214802 /nfs/dbraw/zinc/21/48/02/1053214802.db2.gz ACPGXXAMUVHYBH-UHFFFAOYSA-N 0 3 324.424 4.077 20 0 DIADHN CC(=O)c1c(C)cccc1O[C@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001228987751 1053218073 /nfs/dbraw/zinc/21/80/73/1053218073.db2.gz IPWNGWFYGZOVDC-JXFKEZNVSA-N 0 3 323.436 4.097 20 0 DIADHN CCC(CC)CN(CC)Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089885770 1053218735 /nfs/dbraw/zinc/21/87/35/1053218735.db2.gz SCTYTQKFICHAGZ-UHFFFAOYSA-N 0 3 305.422 4.205 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000090086049 1053224089 /nfs/dbraw/zinc/22/40/89/1053224089.db2.gz OILFBGWYZBUREG-KBPBESRZSA-N 0 3 318.486 4.258 20 0 DIADHN CCc1ccc([C@H](C)NCCc2coc(-c3ccccc3)n2)o1 ZINC001319207314 1053228307 /nfs/dbraw/zinc/22/83/07/1053228307.db2.gz KVRLOYMUCBJHRP-AWEZNQCLSA-N 0 3 310.397 4.390 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cs1 ZINC000381683297 1053231651 /nfs/dbraw/zinc/23/16/51/1053231651.db2.gz DKVHAWGOBBKGJI-GHMZBOCLSA-N 0 3 301.415 4.050 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N1CCC(Nc2ccccc2)CC1 ZINC001319387063 1053239349 /nfs/dbraw/zinc/23/93/49/1053239349.db2.gz ORYHPOUNLZKZQN-FQEVSTJZSA-N 0 3 310.416 4.390 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(Nc2ccccc2Cl)CC1 ZINC001319470638 1053244959 /nfs/dbraw/zinc/24/49/59/1053244959.db2.gz IVOMSOAUJZGHDL-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCc1nc(C(C)(C)C)cs1 ZINC001319470690 1053245341 /nfs/dbraw/zinc/24/53/41/1053245341.db2.gz BBWMMKNMUYYJHA-LLVKDONJSA-N 0 3 321.490 4.193 20 0 DIADHN CCOc1ccc(Cl)cc1CCN[C@H](C)c1nccs1 ZINC000090881640 1053246307 /nfs/dbraw/zinc/24/63/07/1053246307.db2.gz FTNLGJICFHCSOV-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN COc1ccccc1[C@H](N[C@H]1CCCC[C@H]1C)c1ccccn1 ZINC000090913616 1053248404 /nfs/dbraw/zinc/24/84/04/1053248404.db2.gz RMDVXSCUQZGUHT-SYNHAJSKSA-N 0 3 310.441 4.348 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@H](C)c1ncc(Br)s1 ZINC000252726211 1053275720 /nfs/dbraw/zinc/27/57/20/1053275720.db2.gz QAYSBIDKGMOQKI-OPRDCNLKSA-N 0 3 303.269 4.135 20 0 DIADHN O=C(Oc1cccc(C2CCCC2)c1)C1CCN(C2CC2)CC1 ZINC000847125389 1053253667 /nfs/dbraw/zinc/25/36/67/1053253667.db2.gz RMFVJLCDSNOUFU-UHFFFAOYSA-N 0 3 313.441 4.124 20 0 DIADHN CC(C)[C@@H](NCc1ccnn1C)c1ccc(Cl)c(Cl)c1 ZINC000091284382 1053258614 /nfs/dbraw/zinc/25/86/14/1053258614.db2.gz LHVKHRDXLWAEMJ-OAHLLOKOSA-N 0 3 312.244 4.214 20 0 DIADHN FC(F)(F)CCCN1CCC[C@@H]2O[C@H](c3ccccc3)C[C@@H]21 ZINC001352029155 1053261887 /nfs/dbraw/zinc/26/18/87/1053261887.db2.gz MPNHGWGQNPCJSZ-JYJNAYRXSA-N 0 3 313.363 4.324 20 0 DIADHN COc1ccc([C@@H](NCc2cc(F)cc(OC)c2)C2CC2)cc1 ZINC000091410206 1053262799 /nfs/dbraw/zinc/26/27/99/1053262799.db2.gz TUIRGTCAJSYPDR-IBGZPJMESA-N 0 3 315.388 4.084 20 0 DIADHN C[C@H](NCCc1cc2ccccc2o1)c1nc2ccccc2n1C ZINC000091375817 1053263438 /nfs/dbraw/zinc/26/34/38/1053263438.db2.gz GMMBJTOWRZAZHX-AWEZNQCLSA-N 0 3 319.408 4.213 20 0 DIADHN CCC[C@@H](C(=O)N(CCC)CCN(C)C)c1ccc(Cl)cc1 ZINC001360768870 1053269871 /nfs/dbraw/zinc/26/98/71/1053269871.db2.gz YOPGFJKNIDAFCY-QGZVFWFLSA-N 0 3 324.896 4.024 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(F)cc(F)c1)c1ccc(F)cc1 ZINC001319775877 1053273269 /nfs/dbraw/zinc/27/32/69/1053273269.db2.gz DAKBSISEONYALX-DIFFPNOSSA-N 0 3 309.331 4.142 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(F)cc(F)c1)c1ccc(F)cc1 ZINC001319775879 1053273787 /nfs/dbraw/zinc/27/37/87/1053273787.db2.gz DAKBSISEONYALX-PIGZYNQJSA-N 0 3 309.331 4.142 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC001319783651 1053274166 /nfs/dbraw/zinc/27/41/66/1053274166.db2.gz TZMNIOPHJPSOSD-IAGOWNOFSA-N 0 3 310.441 4.186 20 0 DIADHN C[C@H](c1ccncc1)N(C)CCOc1ccccc1C(F)(F)F ZINC000091990076 1053278498 /nfs/dbraw/zinc/27/84/98/1053278498.db2.gz GIRMTJYGVRPACZ-CYBMUJFWSA-N 0 3 324.346 4.172 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccccc3OC)CCC2)cc1 ZINC001319856494 1053280834 /nfs/dbraw/zinc/28/08/34/1053280834.db2.gz JYDCSJUTDPDZQC-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN CN(Cc1ccc(CO)o1)Cc1ccccc1-c1ccccc1 ZINC000092035776 1053284290 /nfs/dbraw/zinc/28/42/90/1053284290.db2.gz TVUIXECMMGIVDJ-UHFFFAOYSA-N 0 3 307.393 4.071 20 0 DIADHN Cc1nc2c(s1)[C@@H](Nc1c(C)cnc3ccccc31)CCC2 ZINC001319927961 1053286071 /nfs/dbraw/zinc/28/60/71/1053286071.db2.gz MKLXDWICKWTKHO-INIZCTEOSA-N 0 3 309.438 4.220 20 0 DIADHN Cc1cc(CN2CCc3ccccc3[C@H]2Cc2ccccc2)n[nH]1 ZINC001319972025 1053290208 /nfs/dbraw/zinc/29/02/08/1053290208.db2.gz DVJAXCRFFKFMNR-OAQYLSRUSA-N 0 3 317.436 4.060 20 0 DIADHN Cc1cc(CN2CCc3ccccc3[C@@H]2Cc2ccccc2)n[nH]1 ZINC001319972024 1053291030 /nfs/dbraw/zinc/29/10/30/1053291030.db2.gz DVJAXCRFFKFMNR-NRFANRHFSA-N 0 3 317.436 4.060 20 0 DIADHN Cc1ncc(CN2CCC(Cc3ccc(C)cc3)CC2)s1 ZINC001320018191 1053294629 /nfs/dbraw/zinc/29/46/29/1053294629.db2.gz RPZHNVJSPXWAPX-UHFFFAOYSA-N 0 3 300.471 4.215 20 0 DIADHN C[C@@H](NCCc1ccc(OC(C)(C)C)cc1)c1nccs1 ZINC001320027669 1053295884 /nfs/dbraw/zinc/29/58/84/1053295884.db2.gz ORZSWDYMDURNTF-CYBMUJFWSA-N 0 3 304.459 4.214 20 0 DIADHN C[C@@H](Oc1ccc(C(=O)CCN(C)C)cc1)c1cccc(F)c1 ZINC001229302101 1053295940 /nfs/dbraw/zinc/29/59/40/1053295940.db2.gz FXIWTRDVLRXQPT-CQSZACIVSA-N 0 3 315.388 4.100 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)Cc1ccccc1)CC(C)(C)C ZINC000618372556 1053297573 /nfs/dbraw/zinc/29/75/73/1053297573.db2.gz URPXQXYIOLKFSX-QGZVFWFLSA-N 0 3 318.505 4.039 20 0 DIADHN CS[C@H](CNCc1nc2c(s1)C[C@H](C)CC2)C(C)(C)C ZINC001320084516 1053302224 /nfs/dbraw/zinc/30/22/24/1053302224.db2.gz AETHMFKMLPMQLY-BXUZGUMPSA-N 0 3 312.548 4.135 20 0 DIADHN Cc1cccnc1CNCCn1c2ccccc2c2ccccc21 ZINC001320092846 1053305122 /nfs/dbraw/zinc/30/51/22/1053305122.db2.gz WMVPOYBHWRJWSN-UHFFFAOYSA-N 0 3 315.420 4.288 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc3ccccc3c2)c1 ZINC000069831055 1053306865 /nfs/dbraw/zinc/30/68/65/1053306865.db2.gz YTQYJPFCKHCTRO-UHFFFAOYSA-N 0 3 304.393 4.088 20 0 DIADHN Cc1nccc([C@@H]2CCCN([C@@H](C)c3cccc(Cl)c3)C2)n1 ZINC000618442928 1053308938 /nfs/dbraw/zinc/30/89/38/1053308938.db2.gz QBYKVOJAJFZCMH-XJKSGUPXSA-N 0 3 315.848 4.379 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@H]1CCCN1Cc1ccc(Cl)c(Cl)c1 ZINC000253098754 1053317976 /nfs/dbraw/zinc/31/79/76/1053317976.db2.gz UDFJOWYFJILVNU-APHBMKBZSA-N 0 3 314.256 4.119 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@H]1CCCN1Cc1ccc(Cl)cc1Cl ZINC000253099770 1053318792 /nfs/dbraw/zinc/31/87/92/1053318792.db2.gz VNMKQWYVVBFJJJ-NUEKZKHPSA-N 0 3 314.256 4.119 20 0 DIADHN CC(C)c1cccc(CNCc2ccc3c(c2)OCCCO3)c1 ZINC001200022952 1053318525 /nfs/dbraw/zinc/31/85/25/1053318525.db2.gz KIMFMXVZPAUDBR-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN FC(F)c1cccc(CNCC2=Cc3ccccc3OC2)c1 ZINC001320519600 1053341602 /nfs/dbraw/zinc/34/16/02/1053341602.db2.gz WTFLGYGPWRXRHM-UHFFFAOYSA-N 0 3 301.336 4.190 20 0 DIADHN CC(C)CN(C(=O)C[C@H](C)CC(C)(C)C)C1CCN(C)CC1 ZINC000618678184 1053347925 /nfs/dbraw/zinc/34/79/25/1053347925.db2.gz HVYCMVKWSIMATR-INIZCTEOSA-N 0 3 310.526 4.028 20 0 DIADHN COCc1ccc(CN[C@@H]2CCc3c2cccc3Cl)cc1 ZINC001200067249 1053348181 /nfs/dbraw/zinc/34/81/81/1053348181.db2.gz CBKIRGVWZJOTOE-GOSISDBHSA-N 0 3 301.817 4.264 20 0 DIADHN CC(C)c1nc(CN[C@H](C)[C@H](c2ccccc2)N(C)C)cs1 ZINC001320638942 1053351214 /nfs/dbraw/zinc/35/12/14/1053351214.db2.gz USOBRWXYQCSRRR-RHSMWYFYSA-N 0 3 317.502 4.048 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC[C@@H]3CCCC[C@@H]3C2)cs1 ZINC001320877595 1053371490 /nfs/dbraw/zinc/37/14/90/1053371490.db2.gz JYIKBKLOWVYEJD-UONOGXRCSA-N 0 3 301.459 4.150 20 0 DIADHN C[C@@H](c1cccnc1)N(C)CCOc1ccccc1C(F)(F)F ZINC000093234547 1053374038 /nfs/dbraw/zinc/37/40/38/1053374038.db2.gz XPJOYEUZZJPDHR-ZDUSSCGKSA-N 0 3 324.346 4.172 20 0 DIADHN CN(C)c1ccncc1NC(=S)Nc1cccc2ccccc21 ZINC001200094781 1053374147 /nfs/dbraw/zinc/37/41/47/1053374147.db2.gz FNOOIBQSLLQWRS-UHFFFAOYSA-N 0 3 322.437 4.110 20 0 DIADHN CCC[C@@H](NCc1ccc(NC(=O)C2CC2)cc1)c1cccnc1 ZINC001321024287 1053382156 /nfs/dbraw/zinc/38/21/56/1053382156.db2.gz RNHXCRDXBHHVHZ-LJQANCHMSA-N 0 3 323.440 4.061 20 0 DIADHN C[C@H](c1ccccc1)c1ccc(O)cc1O[C@@H]1CCCN(C)C1 ZINC001229688629 1053383179 /nfs/dbraw/zinc/38/31/79/1053383179.db2.gz KDSZZORLWVSCLD-CRAIPNDOSA-N 0 3 311.425 4.017 20 0 DIADHN COc1ccc2oc(CN(C)[C@@H](C)c3cccc(O)c3)cc2c1 ZINC000093316298 1053383096 /nfs/dbraw/zinc/38/30/96/1053383096.db2.gz BPGMUOISILIPHM-ZDUSSCGKSA-N 0 3 311.381 4.340 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)Cc1ccc(OCC(F)F)cc1 ZINC000093315413 1053383468 /nfs/dbraw/zinc/38/34/68/1053383468.db2.gz PTHDBKUFDIJGLY-CYBMUJFWSA-N 0 3 321.367 4.229 20 0 DIADHN C[C@H](c1ccccc1)c1ccc(O)cc1O[C@H]1CN2CCC1CC2 ZINC001229687667 1053383616 /nfs/dbraw/zinc/38/36/16/1053383616.db2.gz GUVAAXDITMJKHL-VFNWGFHPSA-N 0 3 323.436 4.017 20 0 DIADHN CCCc1cccc(CNCc2ccnc(OCC3CC3)c2)c1 ZINC001200105734 1053384210 /nfs/dbraw/zinc/38/42/10/1053384210.db2.gz NXNIRBRUIMKVSM-UHFFFAOYSA-N 0 3 310.441 4.113 20 0 DIADHN CN(C)Cc1ccccc1OC1CCC(C(F)(F)F)CC1 ZINC001229700320 1053387667 /nfs/dbraw/zinc/38/76/67/1053387667.db2.gz YGDCVTRSJRKSBB-UHFFFAOYSA-N 0 3 301.352 4.248 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc(C(F)F)cc2)C1 ZINC001229710780 1053389341 /nfs/dbraw/zinc/38/93/41/1053389341.db2.gz UFCBPOMKTTWAKS-CZUORRHYSA-N 0 3 318.367 4.234 20 0 DIADHN C[C@@H](NCCc1ccc(F)cc1)c1nc2c(s1)CCCC2 ZINC000093368267 1053395416 /nfs/dbraw/zinc/39/54/16/1053395416.db2.gz FXQANSOBPHUSQR-GFCCVEGCSA-N 0 3 304.434 4.054 20 0 DIADHN C[C@H](NCCc1cccc(F)c1)c1nc2c(s1)CCCC2 ZINC000093370542 1053398499 /nfs/dbraw/zinc/39/84/99/1053398499.db2.gz LDEJIZUSDBWGAL-LBPRGKRZSA-N 0 3 304.434 4.054 20 0 DIADHN COc1cccc2cc(CNCc3ccc4cnccc4c3)oc21 ZINC001321323955 1053408953 /nfs/dbraw/zinc/40/89/53/1053408953.db2.gz XAZFBIBZVFQIQA-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc(F)cc2Cl)c(C)o1 ZINC001321393864 1053410937 /nfs/dbraw/zinc/41/09/37/1053410937.db2.gz ISCVYBOKBAUDMY-QFYYESIMSA-N 0 3 311.784 4.073 20 0 DIADHN CC[C@@]1(C)CCCN(Cc2cnc(-c3ccccn3)s2)C1 ZINC000093459078 1053411022 /nfs/dbraw/zinc/41/10/22/1053411022.db2.gz FDSKGNBKLIHTCK-KRWDZBQOSA-N 0 3 301.459 4.217 20 0 DIADHN Cn1cc(-c2ccc(CN[C@@H]3CCc4ccc(F)cc43)cc2)cn1 ZINC001321500357 1053416121 /nfs/dbraw/zinc/41/61/21/1053416121.db2.gz HNADLMAWLMOOPH-HXUWFJFHSA-N 0 3 321.399 4.003 20 0 DIADHN CCOc1cc(CNCc2cccc(C(F)F)c2)ccc1OC ZINC001321545385 1053420072 /nfs/dbraw/zinc/42/00/72/1053420072.db2.gz WKTPOBREWVAVHF-UHFFFAOYSA-N 0 3 321.367 4.321 20 0 DIADHN c1ccc([C@H]2Cc3ccccc3CN2CC2=CCCOC2)cc1 ZINC000093614629 1053427952 /nfs/dbraw/zinc/42/79/52/1053427952.db2.gz QLSBFDDBNDPOIA-OAQYLSRUSA-N 0 3 305.421 4.133 20 0 DIADHN CCCCCC[C@H](CC)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC001300140300 1053428262 /nfs/dbraw/zinc/42/82/62/1053428262.db2.gz DBLBSOXGAWHHFM-KRWDZBQOSA-N 0 3 310.526 4.316 20 0 DIADHN CCCCCCC(=O)N(CCN(C)C)Cc1cccc(Cl)c1 ZINC001435064484 1053429866 /nfs/dbraw/zinc/42/98/66/1053429866.db2.gz LAUWLOIRODZQDR-UHFFFAOYSA-N 0 3 324.896 4.201 20 0 DIADHN CC(C)(C)OCCCCN1CCC(C(=O)c2cccs2)CC1 ZINC001321803957 1053433540 /nfs/dbraw/zinc/43/35/40/1053433540.db2.gz NYPGRCDHWRBYBC-UHFFFAOYSA-N 0 3 323.502 4.238 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)N(C)CCN1CCCCC1 ZINC001300155773 1053441114 /nfs/dbraw/zinc/44/11/14/1053441114.db2.gz WXSXODQUSMJRJA-LJQANCHMSA-N 0 3 310.526 4.317 20 0 DIADHN Cc1cnc(C2CCN([C@@H]3CCc4c3cccc4F)CC2)s1 ZINC001321967701 1053444352 /nfs/dbraw/zinc/44/43/52/1053444352.db2.gz PCJUNIODBKCGOD-QGZVFWFLSA-N 0 3 316.445 4.458 20 0 DIADHN C[C@@H](C[C@H](O)c1ccco1)NCc1cc(Cl)ccc1Cl ZINC000763528890 1053445488 /nfs/dbraw/zinc/44/54/88/1053445488.db2.gz XBHWQNCYMHBSRU-HZMBPMFUSA-N 0 3 314.212 4.188 20 0 DIADHN Cc1ccc(NC(=O)CN(CCc2ccccc2)C(C)C)c(C)c1 ZINC001322122097 1053460346 /nfs/dbraw/zinc/46/03/46/1053460346.db2.gz WNPUGFCAXNAYIP-UHFFFAOYSA-N 0 3 324.468 4.195 20 0 DIADHN CNc1ccccc1CNCc1cc(C)cc2c(C)c(C)[nH]c21 ZINC001200244666 1053493459 /nfs/dbraw/zinc/49/34/59/1053493459.db2.gz WIUHHYYRDMUFEB-UHFFFAOYSA-N 0 3 307.441 4.425 20 0 DIADHN CCOc1cncc(CN[C@@H]2CCC(C)(C)c3ccccc32)c1 ZINC001200253649 1053500255 /nfs/dbraw/zinc/50/02/55/1053500255.db2.gz DKBHNBQIYFRWTR-LJQANCHMSA-N 0 3 310.441 4.383 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC=C(c2ccncc2)C1 ZINC001322532059 1053519832 /nfs/dbraw/zinc/51/98/32/1053519832.db2.gz FBGKDJPZEZBGGR-UHFFFAOYSA-N 0 3 301.393 4.232 20 0 DIADHN C[C@H](COc1ccccc1F)N[C@H](C)c1ccc(F)cc1F ZINC001322630298 1053528894 /nfs/dbraw/zinc/52/88/94/1053528894.db2.gz PTCJCEFSINNZBO-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN C[C@H](c1ccccn1)N1CCC(Nc2ccccc2Cl)CC1 ZINC001322853500 1053545818 /nfs/dbraw/zinc/54/58/18/1053545818.db2.gz ZEESDZYNKPIFBV-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN C[C@@]1(CO)CCCN(Cc2ccccc2Oc2ccccc2)C1 ZINC001322911921 1053551007 /nfs/dbraw/zinc/55/10/07/1053551007.db2.gz NTQCTOJVIAQHTE-HXUWFJFHSA-N 0 3 311.425 4.073 20 0 DIADHN Cc1ccc(CNCCOc2cccc(Cl)c2Cl)o1 ZINC000619776326 1053556073 /nfs/dbraw/zinc/55/60/73/1053556073.db2.gz WRVCVLCVCNMAEP-UHFFFAOYSA-N 0 3 300.185 4.063 20 0 DIADHN COC(=O)c1cc2cc(CN[C@@H](C)c3ccsc3)ccc2o1 ZINC000619782551 1053558589 /nfs/dbraw/zinc/55/85/89/1053558589.db2.gz CMCBCHLOQMKOMB-NSHDSACASA-N 0 3 315.394 4.132 20 0 DIADHN CCCCN(CCCC)Cc1nnc(-c2cc(C)oc2C)o1 ZINC000153053029 1053573479 /nfs/dbraw/zinc/57/34/79/1053573479.db2.gz SYSAMSOMRYMQDA-UHFFFAOYSA-N 0 3 305.422 4.349 20 0 DIADHN CN(C)c1cccc(Cl)c1CN[C@@H]1CCCC(F)(F)C1 ZINC001323351262 1053574853 /nfs/dbraw/zinc/57/48/53/1053574853.db2.gz ZHVMQJUZLFBCME-LLVKDONJSA-N 0 3 302.796 4.074 20 0 DIADHN CC(C)N(CC(=O)Nc1ccccc1SC(F)F)C(C)C ZINC000183060044 1053574880 /nfs/dbraw/zinc/57/48/80/1053574880.db2.gz MYQWZWJZZRUWCE-UHFFFAOYSA-N 0 3 316.417 4.059 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2cc(Cl)ncc2C(F)(F)F)C1 ZINC001230754769 1053594208 /nfs/dbraw/zinc/59/42/08/1053594208.db2.gz RTYVWTHCXQQPQP-SNVBAGLBSA-N 0 3 322.758 4.005 20 0 DIADHN Cn1cc(CN[C@@H](CCCc2ccccc2)c2ccccc2)cn1 ZINC000071011389 1053597953 /nfs/dbraw/zinc/59/79/53/1053597953.db2.gz DLLZQIULXQGZEP-NRFANRHFSA-N 0 3 319.452 4.274 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2NCc1ccnc(OC2CCCC2)c1 ZINC000153344396 1053604690 /nfs/dbraw/zinc/60/46/90/1053604690.db2.gz PAHMZJHXOWVFKV-IBGZPJMESA-N 0 3 308.425 4.180 20 0 DIADHN COc1cc(CN[C@H](CC(C)C)c2ccccc2C)sn1 ZINC000660198046 1053627015 /nfs/dbraw/zinc/62/70/15/1053627015.db2.gz SKUVEFXYLGHERG-MRXNPFEDSA-N 0 3 304.459 4.337 20 0 DIADHN FC(F)c1cccc(CN[C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC001324127305 1053628354 /nfs/dbraw/zinc/62/83/54/1053628354.db2.gz CFCCKLYZZZHTMM-HNNXBMFYSA-N 0 3 320.387 4.154 20 0 DIADHN C[C@H](c1nc(-c2ccc(Cl)cc2F)no1)N1[C@H](C)CC[C@@H]1C ZINC001324245620 1053638590 /nfs/dbraw/zinc/63/85/90/1053638590.db2.gz OERHMLRVSVIKAB-OUAUKWLOSA-N 0 3 323.799 4.463 20 0 DIADHN C[C@H](c1ccncc1)N1CCC(Nc2ccccc2Cl)CC1 ZINC001324270731 1053640543 /nfs/dbraw/zinc/64/05/43/1053640543.db2.gz RSQJIQIAYOIPOE-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN Cc1cnc2ccccc2c1N[C@H]1CCCc2cn(C(C)C)nc21 ZINC001324394276 1053649958 /nfs/dbraw/zinc/64/99/58/1053649958.db2.gz VQWBWEYHLWBGMI-SFHVURJKSA-N 0 3 320.440 4.232 20 0 DIADHN CC(C)(C)c1ccc(C[NH2+]Cc2nnc(-c3ccccc3)[n-]2)cc1 ZINC001200438976 1053662832 /nfs/dbraw/zinc/66/28/32/1053662832.db2.gz KJPBZWGBTRGJBU-UHFFFAOYSA-N 0 3 320.440 4.059 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(c2ccncc2)CC1 ZINC001324589948 1053665875 /nfs/dbraw/zinc/66/58/75/1053665875.db2.gz SXDHVIWWZXOIJH-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN Cc1cccnc1CN1CC(Cc2cccc(C(F)(F)F)c2)C1 ZINC001324711623 1053674028 /nfs/dbraw/zinc/67/40/28/1053674028.db2.gz WGMJGMAPEQODRI-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN CCOc1cc(CNCc2cccc(C(F)F)c2)ccc1O ZINC001324747094 1053678766 /nfs/dbraw/zinc/67/87/66/1053678766.db2.gz LPNSIOFXZNCYLA-UHFFFAOYSA-N 0 3 307.340 4.018 20 0 DIADHN CCC[C@@H](NCCOc1ccc2c(c1)CCC2)c1ccccn1 ZINC001324840423 1053683167 /nfs/dbraw/zinc/68/31/67/1053683167.db2.gz BEMDKKMQBDMJPI-LJQANCHMSA-N 0 3 310.441 4.080 20 0 DIADHN CO[C@@H](C[NH2+][C@@H](C)c1ccccc1[O-])c1cccc(Cl)c1 ZINC000183613529 1053691419 /nfs/dbraw/zinc/69/14/19/1053691419.db2.gz UVICHYVMAKUYHO-SJCJKPOMSA-N 0 3 305.805 4.084 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCc2ccc(F)cc2F)n1 ZINC001325159726 1053702856 /nfs/dbraw/zinc/70/28/56/1053702856.db2.gz XKZFOXQHXDCBSW-GOSISDBHSA-N 0 3 302.368 4.048 20 0 DIADHN CCCCCC(=O)c1ccc(O[C@@H]2CCCN(C)CC2)cc1 ZINC001231257176 1053711223 /nfs/dbraw/zinc/71/12/23/1053711223.db2.gz NAYWPHUJBFKGNX-QGZVFWFLSA-N 0 3 303.446 4.313 20 0 DIADHN CCN(CC(C)C)[C@@H](C)c1nnc(-c2cccc(Cl)c2)o1 ZINC000153766151 1053712906 /nfs/dbraw/zinc/71/29/06/1053712906.db2.gz HRGNEFSBDSKTDZ-LBPRGKRZSA-N 0 3 307.825 4.429 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@@H](C)c2cnn(C)c2)C2CC2)cc1 ZINC000153793812 1053713282 /nfs/dbraw/zinc/71/32/82/1053713282.db2.gz QXJIKKGFUBUQIM-YWZLYKJASA-N 0 3 311.473 4.421 20 0 DIADHN Fc1ccc(SCCN2CCC[C@H]2c2ccncc2)cc1 ZINC000153856852 1053718286 /nfs/dbraw/zinc/71/82/86/1053718286.db2.gz WLFSGMBCNRCMOT-KRWDZBQOSA-N 0 3 302.418 4.150 20 0 DIADHN O=Nc1ccc(O[C@H]2[C@@H]3CC[C@H]2CN(Cc2ccccc2)C3)cc1 ZINC001231290597 1053719253 /nfs/dbraw/zinc/71/92/53/1053719253.db2.gz ZSCRDMIDLJUARP-YRWFTTLQSA-N 0 3 322.408 4.374 20 0 DIADHN CN1CC[C@@H](NCc2ccccc2Cl)[C@@H]1c1ccc(F)cc1 ZINC001325857227 1053737393 /nfs/dbraw/zinc/73/73/93/1053737393.db2.gz IENFWRYQPHVGMZ-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001435970171 1053740456 /nfs/dbraw/zinc/74/04/56/1053740456.db2.gz GGXTXIAAHRWQDU-UHOSZYNNSA-N 0 3 318.505 4.256 20 0 DIADHN CCCC(=CC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C)CCC ZINC001435971811 1053742341 /nfs/dbraw/zinc/74/23/41/1053742341.db2.gz ZTJMUIIHWXMFJR-UZLBHIALSA-N 0 3 316.489 4.321 20 0 DIADHN CC(C)n1ncc2cc(CN(C)[C@H](C)c3ccccc3)cnc21 ZINC000154727451 1053746561 /nfs/dbraw/zinc/74/65/61/1053746561.db2.gz OXGRGIBOZVLJLX-OAHLLOKOSA-N 0 3 308.429 4.205 20 0 DIADHN CC/C(C)=C(\C)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001435993178 1053746509 /nfs/dbraw/zinc/74/65/09/1053746509.db2.gz BIFYJRAAALJBAM-PIOKWQJESA-N 0 3 316.489 4.006 20 0 DIADHN OCCN(Cc1ccccc1)Cc1ccc(-c2cccs2)cc1 ZINC001231429387 1053748547 /nfs/dbraw/zinc/74/85/47/1053748547.db2.gz IQEMOLSZZAYQHE-UHFFFAOYSA-N 0 3 323.461 4.410 20 0 DIADHN CN(Cc1ccc(-c2cccs2)cc1)C[C@H]1CCCCO1 ZINC001231429905 1053749920 /nfs/dbraw/zinc/74/99/20/1053749920.db2.gz ACZXWGFACSRODY-QGZVFWFLSA-N 0 3 301.455 4.416 20 0 DIADHN CC[C@H](NCc1ccnc(Br)c1)c1ccc(F)cc1 ZINC001326248051 1053766971 /nfs/dbraw/zinc/76/69/71/1053766971.db2.gz LHNAGTCWACMEFT-AWEZNQCLSA-N 0 3 323.209 4.224 20 0 DIADHN CN(C)c1ccc2cc(CN3CCC[C@](C)(F)C3)ccc2c1 ZINC001231438799 1053753907 /nfs/dbraw/zinc/75/39/07/1053753907.db2.gz HRWMALSTBZDNMS-IBGZPJMESA-N 0 3 300.421 4.230 20 0 DIADHN CN(Cc1ccc(Cl)nc1NC(=O)C(C)(C)C)C1CCCC1 ZINC001231443665 1053754007 /nfs/dbraw/zinc/75/40/07/1053754007.db2.gz AWAVKCDYJCBRER-UHFFFAOYSA-N 0 3 323.868 4.094 20 0 DIADHN CC1(C)[C@H](C(F)(F)F)CCN1CCc1ccc(F)cc1F ZINC001326162595 1053759885 /nfs/dbraw/zinc/75/98/85/1053759885.db2.gz MLEFKBNZQJZMBA-CYBMUJFWSA-N 0 3 307.306 4.170 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(F)c(C)c3F)C2)cc1 ZINC001231481262 1053761014 /nfs/dbraw/zinc/76/10/14/1053761014.db2.gz WUWOANKZSNFXAX-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN CC(C)(CCCc1ccccc1)CNCc1nccn1C1CC1 ZINC001200563483 1053765680 /nfs/dbraw/zinc/76/56/80/1053765680.db2.gz XAAWFNHGUWSJRP-UHFFFAOYSA-N 0 3 311.473 4.357 20 0 DIADHN COc1ccccc1[C@@H](C)N[C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000268693824 1053767207 /nfs/dbraw/zinc/76/72/07/1053767207.db2.gz AIKKMIYCEHTZQS-HZPDHXFCSA-N 0 3 321.424 4.293 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](C)c1sc(C)nc1C ZINC000268773593 1053770603 /nfs/dbraw/zinc/77/06/03/1053770603.db2.gz GAOFMGNCAMJLNH-YVEFUNNKSA-N 0 3 316.470 4.497 20 0 DIADHN CC(C)(C)C[C@@H](NCc1cc(C(N)=O)cs1)c1ccccc1 ZINC000269134510 1053776937 /nfs/dbraw/zinc/77/69/37/1053776937.db2.gz JMJGBTVIQIXUDE-MRXNPFEDSA-N 0 3 316.470 4.114 20 0 DIADHN CSCCN(C)Cc1ccc(F)c(Oc2ccccc2)c1 ZINC001231539683 1053783364 /nfs/dbraw/zinc/78/33/64/1053783364.db2.gz QHXIRBDKHRAUSX-UHFFFAOYSA-N 0 3 305.418 4.413 20 0 DIADHN c1oc(-c2ccccc2)nc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000156057359 1053794159 /nfs/dbraw/zinc/79/41/59/1053794159.db2.gz YKGAPRKPLLDNEV-IEBWSBKVSA-N 0 3 304.393 4.235 20 0 DIADHN Clc1ccc2c(c1)nccc2NCCN1Cc2ccccc2C1 ZINC000620932421 1053794238 /nfs/dbraw/zinc/79/42/38/1053794238.db2.gz PIGBUURKGXBWDT-UHFFFAOYSA-N 0 3 323.827 4.316 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccccc1N1CCCC1)CC2 ZINC001326740918 1053803158 /nfs/dbraw/zinc/80/31/58/1053803158.db2.gz LAPOVHJMZYRTHT-HXUWFJFHSA-N 0 3 306.453 4.372 20 0 DIADHN CCc1cccc(F)c1CNCCc1coc(-c2ccccc2)n1 ZINC001326829251 1053804313 /nfs/dbraw/zinc/80/43/13/1053804313.db2.gz YKSOTOZTRZFKEV-UHFFFAOYSA-N 0 3 324.399 4.375 20 0 DIADHN COc1cc([C@@H](C)N[C@H](C)c2cccc(OC(F)F)c2)ccn1 ZINC000671099071 1053804580 /nfs/dbraw/zinc/80/45/80/1053804580.db2.gz JZGHAXGXBFRGET-VXGBXAGGSA-N 0 3 322.355 4.103 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cccc(OC(F)F)c2)ccn1 ZINC000671099068 1053804986 /nfs/dbraw/zinc/80/49/86/1053804986.db2.gz JZGHAXGXBFRGET-NEPJUHHUSA-N 0 3 322.355 4.103 20 0 DIADHN CC(C)(C)OC1CC(N[C@H](c2ccccc2)c2ccncc2)C1 ZINC000156353779 1053805899 /nfs/dbraw/zinc/80/58/99/1053805899.db2.gz MYRJVOYJIYARSY-CTWPCTMYSA-N 0 3 310.441 4.107 20 0 DIADHN FC1CC2(C1)CCCN(Cc1coc(-c3ccccc3)n1)CC2 ZINC001231631751 1053808626 /nfs/dbraw/zinc/80/86/26/1053808626.db2.gz IAYZWQOILUHULG-UHFFFAOYSA-N 0 3 314.404 4.446 20 0 DIADHN Cc1cccc2cc(CN3C[C@@H]4CCC[C@H]4C3)c(Cl)nc12 ZINC001231644775 1053814675 /nfs/dbraw/zinc/81/46/75/1053814675.db2.gz BOQNMBCNPSONMC-GJZGRUSLSA-N 0 3 300.833 4.429 20 0 DIADHN CCc1ccc(CN2CCC(Cc3cccc(F)c3)CC2)nc1 ZINC001231642710 1053814742 /nfs/dbraw/zinc/81/47/42/1053814742.db2.gz NLKWFIDUBIPHNK-UHFFFAOYSA-N 0 3 312.432 4.238 20 0 DIADHN CC[C@@H](N[C@H](C)c1cnc2cc(C)nn2c1C)c1ccccc1 ZINC000271596620 1053818171 /nfs/dbraw/zinc/81/81/71/1053818171.db2.gz UTWREJGQNWATEP-RDTXWAMCSA-N 0 3 308.429 4.148 20 0 DIADHN CSC[C@@H](C)NCc1cc(Cl)c(C)cc1Br ZINC001200623184 1053824770 /nfs/dbraw/zinc/82/47/70/1053824770.db2.gz WLWRZWUNEDPQIW-SECBINFHSA-N 0 3 322.699 4.252 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cc3cc[nH]c3cn2)c1 ZINC001231669196 1053828490 /nfs/dbraw/zinc/82/84/90/1053828490.db2.gz ROILLAPDXXIPKH-FQEVSTJZSA-N 0 3 321.424 4.299 20 0 DIADHN Clc1ccccc1[C@H]1CCN(Cc2cc3cc[nH]c3cn2)C1 ZINC001231668885 1053828680 /nfs/dbraw/zinc/82/86/80/1053828680.db2.gz MTJWVQTYTXEASP-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2ccc(Cl)cc2)ccc1O ZINC000156931270 1053829687 /nfs/dbraw/zinc/82/96/87/1053829687.db2.gz PNABRQRQLJRFPB-LBPRGKRZSA-N 0 3 305.805 4.247 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(F)cc2)C(C)C)ccc1F ZINC001327068556 1053832116 /nfs/dbraw/zinc/83/21/16/1053832116.db2.gz WFIGQGPRHZYLMQ-GOSISDBHSA-N 0 3 305.368 4.460 20 0 DIADHN COCc1ccc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)o1 ZINC001231693785 1053832538 /nfs/dbraw/zinc/83/25/38/1053832538.db2.gz UIFZXNYSJJMPCY-ZDUSSCGKSA-N 0 3 319.832 4.241 20 0 DIADHN COc1ccc(O)c([C@@H](C)NCc2cc(F)ccc2Cl)c1 ZINC000189332161 1053833697 /nfs/dbraw/zinc/83/36/97/1053833697.db2.gz TYLTYQMFOYNIQH-SNVBAGLBSA-N 0 3 309.768 4.044 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccccc1)c1ccc(OC(C)(C)C)cc1 ZINC000157103115 1053838894 /nfs/dbraw/zinc/83/88/94/1053838894.db2.gz PVGYKSMHVCYEQR-KXBFYZLASA-N 0 3 313.441 4.248 20 0 DIADHN CCN(CCOC)Cc1c(OC(C)C)ccc2ccccc21 ZINC001231734912 1053843468 /nfs/dbraw/zinc/84/34/68/1053843468.db2.gz DVNBNODCDXFOMK-UHFFFAOYSA-N 0 3 301.430 4.095 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001231738120 1053844294 /nfs/dbraw/zinc/84/42/94/1053844294.db2.gz XRYHQFUWPLIBGM-CALCHBBNSA-N 0 3 323.436 4.323 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2c(OC(C)C)ccc3ccccc32)C1 ZINC001231739646 1053846252 /nfs/dbraw/zinc/84/62/52/1053846252.db2.gz XBXXDAWAKNODMA-QGZVFWFLSA-N 0 3 311.425 4.038 20 0 DIADHN CSc1ccccc1CN1CC[C@@H](OCc2ccccc2)C1 ZINC001231785454 1053858209 /nfs/dbraw/zinc/85/82/09/1053858209.db2.gz OTPYWOADBQMFME-GOSISDBHSA-N 0 3 313.466 4.200 20 0 DIADHN CSc1ccccc1CN1CC[C@@](CF)(C(F)(F)F)C1 ZINC001231781646 1053858780 /nfs/dbraw/zinc/85/87/80/1053858780.db2.gz BKPBMRLOHVLRSX-ZDUSSCGKSA-N 0 3 307.356 4.132 20 0 DIADHN Cc1cc(Cl)cc(C)c1NC(=O)[C@H](C)N1[C@H](C)CC[C@@H]1C ZINC001327376213 1053859350 /nfs/dbraw/zinc/85/93/50/1053859350.db2.gz LYTVCKIWNWBDAZ-MJBXVCDLSA-N 0 3 308.853 4.157 20 0 DIADHN CSc1ccccc1CN1CC[C@H](Oc2cccc(F)c2)C1 ZINC001231788012 1053859579 /nfs/dbraw/zinc/85/95/79/1053859579.db2.gz SUTGAVIHSDWFSE-KRWDZBQOSA-N 0 3 317.429 4.201 20 0 DIADHN Cc1nc(-c2ccccc2)ncc1CN1CC[C@@H]2CCC[C@H]2C1 ZINC001231798041 1053859800 /nfs/dbraw/zinc/85/98/00/1053859800.db2.gz JEBWYBUQZIZTBI-WMZOPIPTSA-N 0 3 307.441 4.074 20 0 DIADHN CC(C)N(Cc1coc(-c2c(F)cccc2F)n1)C1CCC1 ZINC000157620889 1053861444 /nfs/dbraw/zinc/86/14/44/1053861444.db2.gz BSGRYAGUZKSXCA-UHFFFAOYSA-N 0 3 306.356 4.383 20 0 DIADHN Cc1cccc(C)c1[C@H]1CCCN1Cc1cn(C)nc1C(F)F ZINC001327552862 1053863508 /nfs/dbraw/zinc/86/35/08/1053863508.db2.gz QSHLDVSGMNPRSD-OAHLLOKOSA-N 0 3 319.399 4.312 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CCOC[C@H]1C1CC1 ZINC001231808995 1053866789 /nfs/dbraw/zinc/86/67/89/1053866789.db2.gz CFQZHJKHHPJAQC-NRFANRHFSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CCOC[C@@H]1C1CC1 ZINC001231808996 1053868189 /nfs/dbraw/zinc/86/81/89/1053868189.db2.gz CFQZHJKHHPJAQC-OAQYLSRUSA-N 0 3 307.437 4.273 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@@H](C)C3CCC3)n2)cc1F ZINC000157713155 1053868981 /nfs/dbraw/zinc/86/89/81/1053868981.db2.gz DFSWXYOIDMJNSZ-RYUDHWBXSA-N 0 3 303.381 4.023 20 0 DIADHN Cc1ccccc1-c1ccccc1CN1CCCC(=O)[C@@H](C)C1 ZINC001231812076 1053871644 /nfs/dbraw/zinc/87/16/44/1053871644.db2.gz JFWAVZSHMKKQPL-KRWDZBQOSA-N 0 3 307.437 4.463 20 0 DIADHN COCCCOc1cccc(CN(C)[C@H](C)c2cccs2)c1 ZINC000157870025 1053871917 /nfs/dbraw/zinc/87/19/17/1053871917.db2.gz KWPVYSNTJURQFH-OAHLLOKOSA-N 0 3 319.470 4.356 20 0 DIADHN c1cc(-c2ccccc2)oc1CN1CCC(c2ncccn2)CC1 ZINC001231813312 1053873045 /nfs/dbraw/zinc/87/30/45/1053873045.db2.gz ZIAFFKCKSNCYRC-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN CCN(CC1CC1)[C@@H](C)C(=O)Nc1c(C)cc(C)cc1Cl ZINC000157976757 1053877537 /nfs/dbraw/zinc/87/75/37/1053877537.db2.gz GMTKQGJLFLJDLM-ZDUSSCGKSA-N 0 3 308.853 4.016 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1cccc(N2CCCC2=O)c1 ZINC000157972021 1053878051 /nfs/dbraw/zinc/87/80/51/1053878051.db2.gz IKCMLJVMUSWMMQ-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(C(F)F)c2)cc1OC ZINC000275676051 1053890212 /nfs/dbraw/zinc/89/02/12/1053890212.db2.gz HOKYWNCEVFHFMQ-LBPRGKRZSA-N 0 3 321.367 4.492 20 0 DIADHN Clc1ccc([C@H](N[C@H]2CCCOC2)c2ccccc2)cc1 ZINC000158405581 1053902422 /nfs/dbraw/zinc/90/24/22/1053902422.db2.gz XMZJIMIZJUZRAC-ZWKOTPCHSA-N 0 3 301.817 4.198 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1cccc2c1OCCO2 ZINC001231883688 1053904539 /nfs/dbraw/zinc/90/45/39/1053904539.db2.gz NFKFPSMNISURFY-UHFFFAOYSA-N 0 3 311.425 4.259 20 0 DIADHN FC(F)c1cc(CN2CCC[C@H](Cc3ccccc3)C2)ccn1 ZINC001231890118 1053905650 /nfs/dbraw/zinc/90/56/50/1053905650.db2.gz UIVZFMAJOPOORP-MRXNPFEDSA-N 0 3 316.395 4.474 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccnc(C(F)F)c2)C1 ZINC001231887807 1053906143 /nfs/dbraw/zinc/90/61/43/1053906143.db2.gz XZDFROQTHLNMAU-CQSZACIVSA-N 0 3 318.367 4.017 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ccnc(C(F)F)c3)C2)c1 ZINC001231893701 1053910315 /nfs/dbraw/zinc/91/03/15/1053910315.db2.gz LVEGYFWKZUNLIF-OAHLLOKOSA-N 0 3 318.367 4.017 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc3c[nH]nc3c2)c1 ZINC001231906916 1053912604 /nfs/dbraw/zinc/91/26/04/1053912604.db2.gz JGERAJGNEGCPDB-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1cnc(C(F)(F)F)cc1Cl ZINC001231905199 1053912689 /nfs/dbraw/zinc/91/26/89/1053912689.db2.gz XJYIYGSWYLKMEW-LBPRGKRZSA-N 0 3 306.759 4.374 20 0 DIADHN COc1cc(C)c(CN(C)[C@@H](C)c2cccs2)cc1OC ZINC000158654332 1053914716 /nfs/dbraw/zinc/91/47/16/1053914716.db2.gz DMIXDQFXSKGRAE-ZDUSSCGKSA-N 0 3 305.443 4.267 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(SC)c(F)c2)c1 ZINC000158641816 1053915025 /nfs/dbraw/zinc/91/50/25/1053915025.db2.gz DNSJYTNXZFLKQZ-GFCCVEGCSA-N 0 3 305.418 4.407 20 0 DIADHN CN(Cc1cc(Cl)ncc1C(F)(F)F)CC1CCCC1 ZINC001231934919 1053920647 /nfs/dbraw/zinc/92/06/47/1053920647.db2.gz KQNLYCDYAPUXFC-UHFFFAOYSA-N 0 3 306.759 4.376 20 0 DIADHN CC[C@@H](CO)N[C@@H](C)c1nc(-c2ccc(Cl)cc2)c(C)s1 ZINC001354603621 1053923036 /nfs/dbraw/zinc/92/30/36/1053923036.db2.gz LIBTVDPDSLDJNC-HZMBPMFUSA-N 0 3 324.877 4.193 20 0 DIADHN C[C@H]1CC2(CCC2)CN1Cc1ccc(CSC(F)F)o1 ZINC000763648158 1053925572 /nfs/dbraw/zinc/92/55/72/1053925572.db2.gz QUUDDABDSVHPMR-NSHDSACASA-N 0 3 301.402 4.500 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)cc(C)n1 ZINC001232003691 1053935292 /nfs/dbraw/zinc/93/52/92/1053935292.db2.gz UPMJMXKSQNXAIU-UHFFFAOYSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN2CC[C@H](Sc3ccc(F)cc3)C2)cc(C)n1 ZINC001232002601 1053937338 /nfs/dbraw/zinc/93/73/38/1053937338.db2.gz FUDCKLVCXGOIEN-SFHVURJKSA-N 0 3 316.445 4.204 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2cc3c[nH]ccc-3n2)c1 ZINC001232013844 1053941308 /nfs/dbraw/zinc/94/13/08/1053941308.db2.gz GXGPELQJVPINJC-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2cc3c[nH]ccc-3n2)c(F)c1 ZINC001232015075 1053944589 /nfs/dbraw/zinc/94/45/89/1053944589.db2.gz AEGNKJCHMMBTBJ-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN Fc1ccc([C@@H]2CCCN2Cc2cc3cnccc3[nH]2)c(F)c1 ZINC001232015075 1053944601 /nfs/dbraw/zinc/94/46/01/1053944601.db2.gz AEGNKJCHMMBTBJ-SFHVURJKSA-N 0 3 313.351 4.178 20 0 DIADHN COc1cccc2[nH]cc(CN3CCc4ccc(C)cc4C3)c21 ZINC001232034592 1053944713 /nfs/dbraw/zinc/94/47/13/1053944713.db2.gz LYQGJLPINDNOML-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CCCc1ccc(CNCc2ccc(F)c(COC)c2)s1 ZINC000621334669 1053949999 /nfs/dbraw/zinc/94/99/99/1053949999.db2.gz NOOKJWPCLUOCFC-UHFFFAOYSA-N 0 3 307.434 4.276 20 0 DIADHN CCCc1ccc(CN[C@H](C)c2ccc3[nH]c(=O)[nH]c3c2)s1 ZINC000621335771 1053951945 /nfs/dbraw/zinc/95/19/45/1053951945.db2.gz YSZJZEDGSKYRKX-LLVKDONJSA-N 0 3 315.442 4.133 20 0 DIADHN CCOc1cncc(CN[C@@H]2CCc3ccc(C(C)C)cc32)c1 ZINC000621345494 1053954156 /nfs/dbraw/zinc/95/41/56/1053954156.db2.gz NRDDRYSHVHSIGP-HXUWFJFHSA-N 0 3 310.441 4.381 20 0 DIADHN COC1([C@@H](C)N[C@@H]2CCCc3cn(C(C)C)nc32)CCCCC1 ZINC001328808530 1053956679 /nfs/dbraw/zinc/95/66/79/1053956679.db2.gz CHAMLOAYBFALTE-NVXWUHKLSA-N 0 3 319.493 4.169 20 0 DIADHN Cc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)c(C)n1 ZINC001232084981 1053959489 /nfs/dbraw/zinc/95/94/89/1053959489.db2.gz WTNBDGDVPRJCCY-SFHVURJKSA-N 0 3 316.445 4.204 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3cc4ccncc4[nH]3)C2)c1 ZINC001232095273 1053961186 /nfs/dbraw/zinc/96/11/86/1053961186.db2.gz ANXIBOGMNDPIQH-OAHLLOKOSA-N 0 3 311.816 4.206 20 0 DIADHN CC[C@H](NCc1ccnn1CCc1ccccc1)c1ccccc1 ZINC000621361787 1053966541 /nfs/dbraw/zinc/96/65/41/1053966541.db2.gz IEXFSYPISRSDEX-NRFANRHFSA-N 0 3 319.452 4.367 20 0 DIADHN FC[C@H]1CCCN1Cc1ccc(-c2cnc(Cl)c(F)c2)cc1 ZINC001232139439 1053968314 /nfs/dbraw/zinc/96/83/14/1053968314.db2.gz GTHIKKNMDRFYJK-OAHLLOKOSA-N 0 3 322.786 4.475 20 0 DIADHN CCN(Cc1cnc(-c2cccnc2)o1)Cc1ccc(C)cc1 ZINC001232138578 1053968911 /nfs/dbraw/zinc/96/89/11/1053968911.db2.gz VTEKJYVESDWMNC-UHFFFAOYSA-N 0 3 307.397 4.067 20 0 DIADHN CC1(C)CCN(Cc2c(Br)[nH]c3ccccc32)C1 ZINC001232142506 1053969744 /nfs/dbraw/zinc/96/97/44/1053969744.db2.gz JRSJUXZYCZZHCY-UHFFFAOYSA-N 0 3 307.235 4.162 20 0 DIADHN CC(C)c1cccc(CN[C@@H]2C[C@H](C)N(c3ccccc3)C2=O)c1 ZINC001200784733 1053972384 /nfs/dbraw/zinc/97/23/84/1053972384.db2.gz RUHLFSYXMMGDTB-OXJNMPFZSA-N 0 3 322.452 4.094 20 0 DIADHN C[C@H]1CN(Cc2c(Br)[nH]c3ccccc32)C2(CC2)C1 ZINC001232144889 1053973697 /nfs/dbraw/zinc/97/36/97/1053973697.db2.gz ZZUHMOMAZGOZAH-LLVKDONJSA-N 0 3 319.246 4.305 20 0 DIADHN CC(C)Cc1ccc(C(=O)N(CCN(C)C)c2ccccc2)cc1 ZINC000621469819 1053978309 /nfs/dbraw/zinc/97/83/09/1053978309.db2.gz ZCEDYPNTLBCRPG-UHFFFAOYSA-N 0 3 324.468 4.094 20 0 DIADHN CCCCn1nc(C)c(CN[C@H]2CCC[C@H](F)C2)c1Cl ZINC001329415999 1053988688 /nfs/dbraw/zinc/98/86/88/1053988688.db2.gz ZKAFSOQZCPYHRW-STQMWFEESA-N 0 3 301.837 4.015 20 0 DIADHN CCC(CC)c1cc(CNC[C@@H]2CCOc3ccccc32)on1 ZINC001200803928 1053989909 /nfs/dbraw/zinc/98/99/09/1053989909.db2.gz VZPDCNZUQIDBNP-HNNXBMFYSA-N 0 3 314.429 4.234 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccnc2c(F)cccc12 ZINC001232211786 1053990139 /nfs/dbraw/zinc/99/01/39/1053990139.db2.gz JTOWGPCFWRYNFD-UHFFFAOYSA-N 0 3 322.383 4.079 20 0 DIADHN C[C@H]1CCN(Cc2cccn2Cc2ccccc2)CCC1(F)F ZINC001232232396 1053998343 /nfs/dbraw/zinc/99/83/43/1053998343.db2.gz QGPVTJDMABDSTR-INIZCTEOSA-N 0 3 318.411 4.404 20 0 DIADHN FC(F)(F)c1cc(CN2CCC3(CC(F)(F)C3)CC2)ccn1 ZINC001232237141 1053999794 /nfs/dbraw/zinc/99/97/94/1053999794.db2.gz AFGKZLADEIDYIK-UHFFFAOYSA-N 0 3 320.305 4.112 20 0 DIADHN COc1ccc2c(c1)CN(Cc1nccc3ccccc31)CCC2 ZINC001232249523 1054001487 /nfs/dbraw/zinc/00/14/87/1054001487.db2.gz KUNOCWPNGZJYTQ-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2nccc3ccccc32)cn1 ZINC001232250714 1054001553 /nfs/dbraw/zinc/00/15/53/1054001553.db2.gz CDFYTMJIAPYWFV-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3nccc4ccccc43)C2)cc1 ZINC001232249276 1054001791 /nfs/dbraw/zinc/00/17/91/1054001791.db2.gz JWNWPQFRYGOXQO-GOSISDBHSA-N 0 3 322.383 4.027 20 0 DIADHN CCCN(Cc1cn2ccccc2n1)[C@@H]1CCc2ccccc21 ZINC000621733059 1054003941 /nfs/dbraw/zinc/00/39/41/1054003941.db2.gz ZDXAJTYLUKGFOO-LJQANCHMSA-N 0 3 305.425 4.234 20 0 DIADHN CCOCCN(Cc1ccc(F)cc1)[C@@H]1CCc2ccccc21 ZINC000621739089 1054006882 /nfs/dbraw/zinc/00/68/82/1054006882.db2.gz GMNADFKWHSFWMI-HXUWFJFHSA-N 0 3 313.416 4.352 20 0 DIADHN CC(=O)N1CC[C@@H](NC2(c3ccccc3)CCC2)c2ccccc21 ZINC000621757830 1054009088 /nfs/dbraw/zinc/00/90/88/1054009088.db2.gz PIRWVDFQIZYJEH-LJQANCHMSA-N 0 3 320.436 4.153 20 0 DIADHN CC(C)(O)CN(Cc1cc(Cl)cs1)Cc1ccccc1 ZINC001232280301 1054012522 /nfs/dbraw/zinc/01/25/22/1054012522.db2.gz LYZQRQXBMWRMES-UHFFFAOYSA-N 0 3 309.862 4.175 20 0 DIADHN Cc1nc(Br)ccc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001232323926 1054020610 /nfs/dbraw/zinc/02/06/10/1054020610.db2.gz NPQOWARZLCFMMO-HIFRSBDPSA-N 0 3 323.278 4.165 20 0 DIADHN CSc1cc(C)c(CN(C)CCc2ccccc2Cl)cn1 ZINC001232346859 1054028327 /nfs/dbraw/zinc/02/83/27/1054028327.db2.gz AMJAZHAMZSPYIZ-UHFFFAOYSA-N 0 3 320.889 4.440 20 0 DIADHN CSc1cc(C)c(CN2CC[C@H](c3ccc(F)cc3)C2)cn1 ZINC001232347922 1054028395 /nfs/dbraw/zinc/02/83/95/1054028395.db2.gz IMOBARSPNLGXJJ-HNNXBMFYSA-N 0 3 316.445 4.241 20 0 DIADHN CC[C@H]1CCCN(Cc2ccc(OCc3ccccc3)nc2)C1 ZINC001232358787 1054032173 /nfs/dbraw/zinc/03/21/73/1054032173.db2.gz RKMAJCKNBXQUPU-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN CCOc1ccc(OC)cc1CN(C)CCCc1ccccc1 ZINC001232384761 1054032781 /nfs/dbraw/zinc/03/27/81/1054032781.db2.gz UYLUATWEMJAVAE-UHFFFAOYSA-N 0 3 313.441 4.159 20 0 DIADHN FC1(F)CC12CC(NCc1ncc(-c3ccccc3)s1)C2 ZINC001330302709 1054033305 /nfs/dbraw/zinc/03/33/05/1054033305.db2.gz SGKUBLYNRCJNPB-UHFFFAOYSA-N 0 3 306.381 4.088 20 0 DIADHN CCOc1ccc(OC)cc1CN(C)[C@H]1CCc2ccccc21 ZINC001232387098 1054034144 /nfs/dbraw/zinc/03/41/44/1054034144.db2.gz DIBQFEBNEUTAPF-IBGZPJMESA-N 0 3 311.425 4.213 20 0 DIADHN Cc1c(Br)cncc1CN1CCCCCCCC1 ZINC001232531780 1054064639 /nfs/dbraw/zinc/06/46/39/1054064639.db2.gz RJLOTRJEXFXJBA-UHFFFAOYSA-N 0 3 311.267 4.309 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCCCN1Cc1cccn1C1CC1 ZINC001330536214 1054040207 /nfs/dbraw/zinc/04/02/07/1054040207.db2.gz MKWGPDCDOLTVIC-GOSISDBHSA-N 0 3 312.461 4.280 20 0 DIADHN C[C@@H](NCc1cccnc1Br)[C@H](C)c1ccccc1 ZINC001200854629 1054042802 /nfs/dbraw/zinc/04/28/02/1054042802.db2.gz QKWYTUCPUVJWAS-QWHCGFSZSA-N 0 3 319.246 4.126 20 0 DIADHN CC(C)N(Cc1cn2cc(F)ccc2n1)[C@@H](C)c1ccccc1 ZINC001232415679 1054044357 /nfs/dbraw/zinc/04/43/57/1054044357.db2.gz MPPALNYYABYXOT-HNNXBMFYSA-N 0 3 311.404 4.445 20 0 DIADHN CCCN(Cc1cc(OCc2ccccc2)no1)[C@@H](C)CC ZINC001232449347 1054045984 /nfs/dbraw/zinc/04/59/84/1054045984.db2.gz XUSVXQXNTHZXQL-HNNXBMFYSA-N 0 3 302.418 4.264 20 0 DIADHN c1c(CN2CCCCCCC2)onc1OCc1ccccc1 ZINC001232450951 1054046335 /nfs/dbraw/zinc/04/63/35/1054046335.db2.gz CLCPWBJGGKGOTN-UHFFFAOYSA-N 0 3 300.402 4.020 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CCC[C@@H]4CCCC[C@H]43)c21 ZINC001232461017 1054049133 /nfs/dbraw/zinc/04/91/33/1054049133.db2.gz XMIZZKPKCLNRQK-SWLSCSKDSA-N 0 3 303.837 4.371 20 0 DIADHN Cc1ncc(CN(Cc2ccccc2)[C@@H](C)c2ccccc2)[nH]1 ZINC001232460520 1054049316 /nfs/dbraw/zinc/04/93/16/1054049316.db2.gz SSYBJVVIGPYMHN-INIZCTEOSA-N 0 3 305.425 4.482 20 0 DIADHN Cc1ncc(CN2CCC(Sc3ccc(Cl)cc3)CC2)[nH]1 ZINC001232465183 1054050036 /nfs/dbraw/zinc/05/00/36/1054050036.db2.gz XSFFPIPRIKROCL-UHFFFAOYSA-N 0 3 321.877 4.128 20 0 DIADHN Cc1ncc(CN2CCSC[C@@H]2c2cccc3ccccc32)[nH]1 ZINC001232467157 1054053643 /nfs/dbraw/zinc/05/36/43/1054053643.db2.gz CQMBHANTMSTJGO-LJQANCHMSA-N 0 3 323.465 4.161 20 0 DIADHN Cc1cccc(F)c1CN1CCC[C@H](c2nc(C(C)C)no2)C1 ZINC001232483479 1054056175 /nfs/dbraw/zinc/05/61/75/1054056175.db2.gz JSYIQTMOMKWHAT-AWEZNQCLSA-N 0 3 317.408 4.020 20 0 DIADHN COc1cc(Cl)ccc1CN1CC[C@H](c2ccccc2)C1 ZINC001232496813 1054056386 /nfs/dbraw/zinc/05/63/86/1054056386.db2.gz ZOKXMZGCLVMBIW-HNNXBMFYSA-N 0 3 301.817 4.338 20 0 DIADHN Cc1cccc(F)c1CN(Cc1ccccc1)C1CCOCC1 ZINC001232483135 1054057469 /nfs/dbraw/zinc/05/74/69/1054057469.db2.gz CDKZYBZUXCBPKZ-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN Cc1cccc(F)c1CN1CCC2(CC1)OCc1ccccc12 ZINC001232484301 1054057699 /nfs/dbraw/zinc/05/76/99/1054057699.db2.gz DHWKAMKGGDOASQ-UHFFFAOYSA-N 0 3 311.400 4.156 20 0 DIADHN COc1cccc(CCN(C)Cc2ccc(Cl)cc2OC)c1 ZINC001232501289 1054061089 /nfs/dbraw/zinc/06/10/89/1054061089.db2.gz QRFXOJHKKLUZFR-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN COc1ccc(CCN(C)Cc2ccc(Cl)cc2OC)cc1 ZINC001232501224 1054061771 /nfs/dbraw/zinc/06/17/71/1054061771.db2.gz NGFRFEBJBBGUPX-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN Nc1c(Br)cccc1CN1CCC2(CCCC2)CC1 ZINC001232521596 1054063729 /nfs/dbraw/zinc/06/37/29/1054063729.db2.gz KRLCIPVRAIZICU-UHFFFAOYSA-N 0 3 323.278 4.188 20 0 DIADHN Nc1c(Br)cccc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001232525458 1054063800 /nfs/dbraw/zinc/06/38/00/1054063800.db2.gz MHBZALQSVIQHPU-WFASDCNBSA-N 0 3 323.278 4.186 20 0 DIADHN C[C@H](NCc1cnn(CC(F)F)c1)c1cccc2ccccc21 ZINC000293000733 1054065217 /nfs/dbraw/zinc/06/52/17/1054065217.db2.gz NUQKJEAQGUGULP-ZDUSSCGKSA-N 0 3 315.367 4.152 20 0 DIADHN CCCCN(CC)Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232538384 1054067253 /nfs/dbraw/zinc/06/72/53/1054067253.db2.gz WFWMGWPLQHALPU-UHFFFAOYSA-N 0 3 307.438 4.051 20 0 DIADHN c1cc(CNCc2ccccc2N2CCCCC2)n(C2CC2)c1 ZINC001331258866 1054068669 /nfs/dbraw/zinc/06/86/69/1054068669.db2.gz VRICTOHDJBLTRO-UHFFFAOYSA-N 0 3 309.457 4.103 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1)c1cc2ccccc2o1 ZINC000165027090 1054068652 /nfs/dbraw/zinc/06/86/52/1054068652.db2.gz OHQDYVLPFLHWIJ-CQSZACIVSA-N 0 3 308.381 4.112 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN(Cc3nc4ccccc4o3)C2)cc1 ZINC001232558585 1054073715 /nfs/dbraw/zinc/07/37/15/1054073715.db2.gz NEWRSBPRIAGQSL-INIZCTEOSA-N 0 3 324.399 4.422 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(N3CCCC3=O)cc2)cs1 ZINC000294249367 1054073955 /nfs/dbraw/zinc/07/39/55/1054073955.db2.gz PVSJGXTUKFJBGL-AWEZNQCLSA-N 0 3 314.454 4.034 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccccc1-n1cccn1 ZINC000325124518 1054074799 /nfs/dbraw/zinc/07/47/99/1054074799.db2.gz ANRYENITOHZHQS-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2cc(OCc3ccccc3)ccn2)C1 ZINC001232588468 1054076024 /nfs/dbraw/zinc/07/60/24/1054076024.db2.gz TUDGRCPKAFMGSM-IAGOWNOFSA-N 0 3 310.441 4.139 20 0 DIADHN CC[C@H](NCc1cc(OC)c(OC)c(OC)c1)c1ccsc1 ZINC000294394510 1054076778 /nfs/dbraw/zinc/07/67/78/1054076778.db2.gz KFEGTTSDPQVCOZ-AWEZNQCLSA-N 0 3 321.442 4.015 20 0 DIADHN Clc1cc(-n2cccn2)ccc1CN1CCC12CCCC2 ZINC001232594494 1054076890 /nfs/dbraw/zinc/07/68/90/1054076890.db2.gz PADFNANYHDIFQS-UHFFFAOYSA-N 0 3 301.821 4.044 20 0 DIADHN Cc1cccnc1O[C@@H]1CCN(Cc2ccc3ccsc3c2)C1 ZINC001232615570 1054083376 /nfs/dbraw/zinc/08/33/76/1054083376.db2.gz XCUCTURBFACBJB-QGZVFWFLSA-N 0 3 324.449 4.258 20 0 DIADHN c1cc2ccc(CN3CCC(Oc4ccccn4)CC3)cc2s1 ZINC001232612524 1054083620 /nfs/dbraw/zinc/08/36/20/1054083620.db2.gz MGMGWHKSEMGPBF-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@H](NC[C@@H]1CCCCC1(F)F)c1nc2ccccc2n1C ZINC000295706249 1054083609 /nfs/dbraw/zinc/08/36/09/1054083609.db2.gz JTLMKNJQNIADQS-STQMWFEESA-N 0 3 307.388 4.049 20 0 DIADHN COc1cccc(CN[C@H](C)c2cc3c(s2)CCC3)c1OC ZINC000165962962 1054083829 /nfs/dbraw/zinc/08/38/29/1054083829.db2.gz OVWNXHFOCDIBPA-GFCCVEGCSA-N 0 3 317.454 4.105 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(-c2ccccc2)cc1)c1ccco1 ZINC000184583234 1054087751 /nfs/dbraw/zinc/08/77/51/1054087751.db2.gz BKTKOWPYIHEUHK-HNAYVOBHSA-N 0 3 307.393 4.331 20 0 DIADHN CC(C)c1ocnc1CN[C@H](Cc1cccnc1)C1CCCC1 ZINC001331806724 1054089741 /nfs/dbraw/zinc/08/97/41/1054089741.db2.gz JNPPQFUCONEFHB-QGZVFWFLSA-N 0 3 313.445 4.084 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cccc2ccccc21 ZINC000166557561 1054092611 /nfs/dbraw/zinc/09/26/11/1054092611.db2.gz FLFDHLBCCACOCU-WVFSVQOHSA-N 0 3 303.405 4.149 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc2ccccc2c1 ZINC000166558111 1054093336 /nfs/dbraw/zinc/09/33/36/1054093336.db2.gz MKJZYPWLOOWXTQ-SZFUDVHCSA-N 0 3 303.405 4.149 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cncc2cnccc21 ZINC001232652958 1054095433 /nfs/dbraw/zinc/09/54/33/1054095433.db2.gz PBNBHBSOSLGGBN-HXUWFJFHSA-N 0 3 303.409 4.139 20 0 DIADHN CNc1ccccc1CN1CCC(Oc2cccc(C)c2)CC1 ZINC001232673350 1054097810 /nfs/dbraw/zinc/09/78/10/1054097810.db2.gz ZWHLBHZUYXONOJ-UHFFFAOYSA-N 0 3 310.441 4.080 20 0 DIADHN c1ccc(N2CCCCC2)c(CNCc2ccc3c(c2)CCC3)c1 ZINC001355290053 1054103088 /nfs/dbraw/zinc/10/30/88/1054103088.db2.gz NPIRBZMSIDHDCK-UHFFFAOYSA-N 0 3 320.480 4.455 20 0 DIADHN CC[C@@H](NCc1ccc(C)cc1OCCOC)c1cccs1 ZINC000167634048 1054106419 /nfs/dbraw/zinc/10/64/19/1054106419.db2.gz JSVIWTBOYJHLHA-MRXNPFEDSA-N 0 3 319.470 4.323 20 0 DIADHN CC(C)(C)OC(=O)Nc1cccc(CN2CC3(CCC3)C2)c1 ZINC001232719495 1054106982 /nfs/dbraw/zinc/10/69/82/1054106982.db2.gz MORVPOBNXPUOTR-UHFFFAOYSA-N 0 3 302.418 4.020 20 0 DIADHN Clc1ccc2cc(CN3CC[C@H](c4ccccn4)C3)[nH]c2c1 ZINC001232732211 1054107011 /nfs/dbraw/zinc/10/70/11/1054107011.db2.gz PXZRGXCQWQQVIT-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN c1nc2ccc(CN3CCC[C@@H](Oc4ccccc4)C3)cc2s1 ZINC001232742476 1054112107 /nfs/dbraw/zinc/11/21/07/1054112107.db2.gz WPNKHICMWNCHRX-QGZVFWFLSA-N 0 3 324.449 4.340 20 0 DIADHN c1nc2cccc(CN3CCOC[C@H]3C3CCCCC3)c2s1 ZINC001232738148 1054112624 /nfs/dbraw/zinc/11/26/24/1054112624.db2.gz UNZCBHNCICZWLN-KRWDZBQOSA-N 0 3 316.470 4.077 20 0 DIADHN Cc1c(CN2CCC[C@@H](c3ccccc3)C2)ccnc1Cl ZINC001232752504 1054116625 /nfs/dbraw/zinc/11/66/25/1054116625.db2.gz JVHQHOMKBBHWMD-QGZVFWFLSA-N 0 3 300.833 4.423 20 0 DIADHN OCCc1ccc(CN2CCC[C@H]2c2ccccc2Cl)cc1 ZINC001232777300 1054119583 /nfs/dbraw/zinc/11/95/83/1054119583.db2.gz KDXKAWRNHPGPEC-IBGZPJMESA-N 0 3 315.844 4.212 20 0 DIADHN COc1cc(Cl)cc(C[N@H+](C)[C@H](C)c2cccs2)c1[O-] ZINC000184680059 1054122168 /nfs/dbraw/zinc/12/21/68/1054122168.db2.gz UDGLIXOLCXYPAA-SNVBAGLBSA-N 0 3 311.834 4.309 20 0 DIADHN COc1cc(Cl)cc(C[N@@H+](C)[C@H](C)c2cccs2)c1[O-] ZINC000184680059 1054122172 /nfs/dbraw/zinc/12/21/72/1054122172.db2.gz UDGLIXOLCXYPAA-SNVBAGLBSA-N 0 3 311.834 4.309 20 0 DIADHN Clc1cc2[nH]cc(CN3CCC[C@@H]4CCCC[C@H]43)c2cn1 ZINC001232822331 1054124345 /nfs/dbraw/zinc/12/43/45/1054124345.db2.gz OIIKRKVTBLABIP-BLLLJJGKSA-N 0 3 303.837 4.371 20 0 DIADHN C[C@@H]1c2ccccc2CCN1Cc1c[nH]c2cc(Cl)ncc12 ZINC001232818606 1054124483 /nfs/dbraw/zinc/12/44/83/1054124483.db2.gz NJCWHTKJNSGWDI-GFCCVEGCSA-N 0 3 311.816 4.336 20 0 DIADHN C[C@H]1CN(Cc2c[nH]c3cc(Cl)ncc23)Cc2ccccc21 ZINC001232819727 1054124724 /nfs/dbraw/zinc/12/47/24/1054124724.db2.gz XZNGCLRXWQBLHT-LBPRGKRZSA-N 0 3 311.816 4.336 20 0 DIADHN COc1cc(O)cc(CN2CC[C@@H](c3cccc(Cl)c3)C2)c1 ZINC001232804101 1054126046 /nfs/dbraw/zinc/12/60/46/1054126046.db2.gz CAWBLYLDOLLARW-OAHLLOKOSA-N 0 3 317.816 4.044 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCc3ccccc32)c(OC)cc1C ZINC001232835236 1054126709 /nfs/dbraw/zinc/12/67/09/1054126709.db2.gz ZZEOMAHIWYWZCK-GOSISDBHSA-N 0 3 311.425 4.132 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](CC(C)(C)C)c1ccc(F)cc1 ZINC000848528156 1054126989 /nfs/dbraw/zinc/12/69/89/1054126989.db2.gz JDTOMRYRDLOXRG-OAHLLOKOSA-N 0 3 323.843 4.480 20 0 DIADHN COc1cc(CN2CCC3(CC[C@H](F)C3)CC2)c(OC)cc1C ZINC001232837924 1054127394 /nfs/dbraw/zinc/12/73/94/1054127394.db2.gz ZUAIWXMDKHORSJ-INIZCTEOSA-N 0 3 321.436 4.116 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NC/C=C(\C)COC)oc21 ZINC001332853888 1054129799 /nfs/dbraw/zinc/12/97/99/1054129799.db2.gz PDDQFVHEXVMHIL-KADHNRKRSA-N 0 3 303.402 4.075 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(CCc2cccs2)CC1 ZINC000622260949 1054130911 /nfs/dbraw/zinc/13/09/11/1054130911.db2.gz USWPJISIOIEIIY-LJQANCHMSA-N 0 3 314.498 4.060 20 0 DIADHN Cn1c(Cl)ncc1CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000848532777 1054130965 /nfs/dbraw/zinc/13/09/65/1054130965.db2.gz DVQVLWJRBJMRDB-OAHLLOKOSA-N 0 3 324.255 4.358 20 0 DIADHN CCCC(=O)NC1CCN([C@@H](CC)c2ccc(Cl)cc2)CC1 ZINC000622260865 1054131089 /nfs/dbraw/zinc/13/10/89/1054131089.db2.gz HKVSJJCTXGKRJG-KRWDZBQOSA-N 0 3 322.880 4.172 20 0 DIADHN COCc1cc(CNCc2ccccc2C(C)C)ccc1F ZINC000848536021 1054131092 /nfs/dbraw/zinc/13/10/92/1054131092.db2.gz MTOVZAZNCWLNJR-UHFFFAOYSA-N 0 3 301.405 4.385 20 0 DIADHN CN(CCCc1ccccc1)Cc1cc(Br)co1 ZINC001232855003 1054133687 /nfs/dbraw/zinc/13/36/87/1054133687.db2.gz UJDCUIVFRCTFAP-UHFFFAOYSA-N 0 3 308.219 4.107 20 0 DIADHN C[C@@H]1C[C@H]1[C@@H](NCc1cnc(Cl)n1C)c1ccc(Cl)cc1 ZINC000848539558 1054135594 /nfs/dbraw/zinc/13/55/94/1054135594.db2.gz BKIABWBVSJZGMX-KMUNFCNLSA-N 0 3 324.255 4.214 20 0 DIADHN c1ccc(N2CCCC2)c(CNCc2ccc3cccnc3c2)c1 ZINC001333000893 1054136113 /nfs/dbraw/zinc/13/61/13/1054136113.db2.gz QNVQOTAQMSTOEP-UHFFFAOYSA-N 0 3 317.436 4.125 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2Cc2cc(C)cc(O)c2)cc1 ZINC001232885229 1054138067 /nfs/dbraw/zinc/13/80/67/1054138067.db2.gz ZUXNGLRXALRSTQ-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1ccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)cc1C ZINC000622272900 1054139498 /nfs/dbraw/zinc/13/94/98/1054139498.db2.gz ODJXDELHAOINII-SFHVURJKSA-N 0 3 300.446 4.039 20 0 DIADHN CCc1ccc(NC(=O)c2cccc(CN3CCCCC3)c2)cc1 ZINC000622275955 1054140431 /nfs/dbraw/zinc/14/04/31/1054140431.db2.gz YTNYBWUNJMQSPS-UHFFFAOYSA-N 0 3 322.452 4.487 20 0 DIADHN Cn1c(Cl)ncc1CN[C@H](CC1CCC1)c1ccccc1 ZINC000848547443 1054141158 /nfs/dbraw/zinc/14/11/58/1054141158.db2.gz OFNVNACMXSSMAH-MRXNPFEDSA-N 0 3 303.837 4.095 20 0 DIADHN CO[C@H](CN[C@@H](C)c1ccc(C(F)F)nc1)c1ccc(F)cc1 ZINC001333322484 1054150294 /nfs/dbraw/zinc/15/02/94/1054150294.db2.gz XUAVTWMEDYCNDR-MEDUHNTESA-N 0 3 324.346 4.197 20 0 DIADHN CC[C@@H](NCc1cccc(C)c1OC)c1ccc2c(c1)CCO2 ZINC000848560961 1054151756 /nfs/dbraw/zinc/15/17/56/1054151756.db2.gz STXLVXYCACCIJX-GOSISDBHSA-N 0 3 311.425 4.179 20 0 DIADHN Clc1cccc([C@@H]2CCN(Cc3ccc4ncoc4c3)C2)c1 ZINC001232945421 1054155691 /nfs/dbraw/zinc/15/56/91/1054155691.db2.gz RWQAHVVWHAXUJP-OAHLLOKOSA-N 0 3 312.800 4.471 20 0 DIADHN COc1ncc(CN(C)C/C=C/c2ccccc2)c2ccccc21 ZINC001232951252 1054155760 /nfs/dbraw/zinc/15/57/60/1054155760.db2.gz BMOXSFRMMRSDIT-DHZHZOJOSA-N 0 3 318.420 4.389 20 0 DIADHN COc1ncc(CN(C)Cc2ccccc2C)c2ccccc21 ZINC001232948863 1054155986 /nfs/dbraw/zinc/15/59/86/1054155986.db2.gz ACWLSRYGLLRFNE-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN FCC1CCN(Cc2cccc(SC(F)(F)F)c2)CC1 ZINC001232947749 1054156312 /nfs/dbraw/zinc/15/63/12/1054156312.db2.gz IUQDGZQMWAOPFM-UHFFFAOYSA-N 0 3 307.356 4.480 20 0 DIADHN FC(F)Oc1ccc(CN2CCC[C@@H]2c2ccccc2)cn1 ZINC001232955098 1054158790 /nfs/dbraw/zinc/15/87/90/1054158790.db2.gz VKPDQVMFEWAPFH-OAHLLOKOSA-N 0 3 304.340 4.020 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccc(OC(F)F)nc1 ZINC001232955173 1054159095 /nfs/dbraw/zinc/15/90/95/1054159095.db2.gz KMPRWLSPEUDRMA-INIZCTEOSA-N 0 3 318.367 4.329 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2cccc(F)c2OC(F)F)C1 ZINC001333581205 1054160440 /nfs/dbraw/zinc/16/04/40/1054160440.db2.gz CEGQYZQYBYTVRA-NWDGAFQWSA-N 0 3 319.392 4.143 20 0 DIADHN C[C@@H](NC1CC2(C1)COC(C)(C)C2)c1nc(C(C)(C)C)cs1 ZINC001333560559 1054160456 /nfs/dbraw/zinc/16/04/56/1054160456.db2.gz VEPCBQQJTXOQIN-WHENESEISA-N 0 3 322.518 4.439 20 0 DIADHN CC(C)OCCN(CCOC(C)C)Cc1ccc(C2CC2)cc1 ZINC001232982350 1054166536 /nfs/dbraw/zinc/16/65/36/1054166536.db2.gz ATQRRKLVBFSLEL-UHFFFAOYSA-N 0 3 319.489 4.216 20 0 DIADHN Cc1cc(C)c(CN2CCC3(CCc4ccccc4O3)CC2)cn1 ZINC001233013895 1054171061 /nfs/dbraw/zinc/17/10/61/1054171061.db2.gz YTCKKYCQXDAJDW-UHFFFAOYSA-N 0 3 322.452 4.058 20 0 DIADHN COc1cc(Cl)c(CNC2CC3(CC3(F)F)C2)cc1Cl ZINC001333847137 1054173175 /nfs/dbraw/zinc/17/31/75/1054173175.db2.gz PYUUJPRPWOFMHQ-UHFFFAOYSA-N 0 3 322.182 4.279 20 0 DIADHN COCc1csc(CN2CCC[C@@H]2c2cccc(OC)c2)c1 ZINC001333924554 1054176064 /nfs/dbraw/zinc/17/60/64/1054176064.db2.gz RNRHIWBHMJIFHR-GOSISDBHSA-N 0 3 317.454 4.240 20 0 DIADHN Cn1cc(-c2ccc(CN[C@H]3CCc4c3cccc4F)cc2)cn1 ZINC001334034323 1054177876 /nfs/dbraw/zinc/17/78/76/1054177876.db2.gz WXBDVIXUYKLJAP-FQEVSTJZSA-N 0 3 321.399 4.003 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cc(Cl)ncc1[O-] ZINC001233039258 1054177949 /nfs/dbraw/zinc/17/79/49/1054177949.db2.gz RMRSRXUFKCEFMX-SNVBAGLBSA-N 0 3 311.212 4.287 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cc(Cl)ncc1[O-] ZINC001233039258 1054177952 /nfs/dbraw/zinc/17/79/52/1054177952.db2.gz RMRSRXUFKCEFMX-SNVBAGLBSA-N 0 3 311.212 4.287 20 0 DIADHN C[C@@H]1CCCCN1Cc1cnn(-c2cccc(C(F)(F)F)c2)c1 ZINC001233039768 1054178385 /nfs/dbraw/zinc/17/83/85/1054178385.db2.gz FWAPHESHVDAULN-CYBMUJFWSA-N 0 3 323.362 4.266 20 0 DIADHN CC(F)(F)CCCN1CCC(C(=O)c2ccc(F)cc2)CC1 ZINC001334223688 1054184606 /nfs/dbraw/zinc/18/46/06/1054184606.db2.gz CUFWYQIQYAFMTM-UHFFFAOYSA-N 0 3 313.363 4.156 20 0 DIADHN Fc1ccc2c(c1)[nH]nc2CN1CCC[C@@H]1c1cccs1 ZINC001233124741 1054187449 /nfs/dbraw/zinc/18/74/49/1054187449.db2.gz ORCJHAFJHXAOCD-OAHLLOKOSA-N 0 3 301.390 4.101 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2[nH]nc3cc(F)ccc32)cc1 ZINC001233125408 1054188044 /nfs/dbraw/zinc/18/80/44/1054188044.db2.gz VRNSUBXTUXIMLI-LJQANCHMSA-N 0 3 309.388 4.348 20 0 DIADHN Fc1ccc2c(c1)[nH]nc2CN1CCC(c2cccs2)CC1 ZINC001233125574 1054189212 /nfs/dbraw/zinc/18/92/12/1054189212.db2.gz XHQJPJKBDUBHJR-UHFFFAOYSA-N 0 3 315.417 4.143 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4o3)CC2)cnc1C ZINC001233155088 1054190221 /nfs/dbraw/zinc/19/02/21/1054190221.db2.gz BAHWEJITGYVRHG-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN C[C@@H](c1ccc(F)nc1)N1CCC[C@H](c2nc3ccccc3[nH]2)C1 ZINC001334613060 1054194713 /nfs/dbraw/zinc/19/47/13/1054194713.db2.gz DRLKIZIKKXCXMR-ZFWWWQNUSA-N 0 3 324.403 4.038 20 0 DIADHN C/C(=C\c1ccc(Cl)cc1)CNCc1cnc2n1CCCC2 ZINC000671195006 1054199461 /nfs/dbraw/zinc/19/94/61/1054199461.db2.gz VZLHUXHVKZMQPF-GXDHUFHOSA-N 0 3 315.848 4.066 20 0 DIADHN Cc1cc([C@@H](NCCCC(F)F)c2cccnc2)ccc1F ZINC001334814431 1054200955 /nfs/dbraw/zinc/20/09/55/1054200955.db2.gz VDOJXJLFTJUIRK-QGZVFWFLSA-N 0 3 308.347 4.253 20 0 DIADHN COc1cc(Br)cc(CN2C[C@@H]3CCCC[C@H]3C2)c1 ZINC001233213519 1054203130 /nfs/dbraw/zinc/20/31/30/1054203130.db2.gz SGHNOUIKTHEQCO-KBPBESRZSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc([C@@H](NCCCC(F)(F)F)c2ccco2)cc1 ZINC001335001108 1054206836 /nfs/dbraw/zinc/20/68/36/1054206836.db2.gz MICIBDZYXHEPHI-OAHLLOKOSA-N 0 3 313.319 4.310 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(O)c(OCC3CC3)c2)cc1 ZINC001233227301 1054210399 /nfs/dbraw/zinc/21/03/99/1054210399.db2.gz LXWYALCJWVBXCU-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN Cc1ccc(CN2CC[C@H](Sc3ccc(F)cc3)C2)cc1O ZINC001233234551 1054212122 /nfs/dbraw/zinc/21/21/22/1054212122.db2.gz FOSSVWAXIFCLAA-KRWDZBQOSA-N 0 3 317.429 4.206 20 0 DIADHN Cc1ccc(Cl)cc1CN1CCC(c2ccncc2)CC1 ZINC001233249560 1054215801 /nfs/dbraw/zinc/21/58/01/1054215801.db2.gz AFVVQFJAKOEPRB-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(Cl)cc1CN1CC[C@@H](N2CCCCC2)[C@@H](F)C1 ZINC001233250800 1054217233 /nfs/dbraw/zinc/21/72/33/1054217233.db2.gz AILMEZWPHTVENQ-ZWKOTPCHSA-N 0 3 324.871 4.047 20 0 DIADHN COCOc1cccc(CN2CCC[C@@H]2c2cccc(F)c2)c1 ZINC001233281686 1054223053 /nfs/dbraw/zinc/22/30/53/1054223053.db2.gz MKWIVBGORDYDCR-LJQANCHMSA-N 0 3 315.388 4.146 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)C2CCSCC2)sn1 ZINC001233313348 1054229675 /nfs/dbraw/zinc/22/96/75/1054229675.db2.gz SDZUKSHLGSZAFK-UHFFFAOYSA-N 0 3 318.511 4.349 20 0 DIADHN Cc1cnsc1CN1CCC(Cc2ccccc2F)CC1 ZINC001233321640 1054231472 /nfs/dbraw/zinc/23/14/72/1054231472.db2.gz XMLWYPSWFBBEHZ-UHFFFAOYSA-N 0 3 304.434 4.045 20 0 DIADHN O=C(Nc1cc(F)cc(Cl)c1)[C@@H]1CCCCN1C1CCCC1 ZINC000622444526 1054236793 /nfs/dbraw/zinc/23/67/93/1054236793.db2.gz LFLXBFVWLQWQER-INIZCTEOSA-N 0 3 324.827 4.215 20 0 DIADHN CCN(CC)CC(=O)N1CCCC[C@@H]1c1ccc(C(C)C)cc1 ZINC000622471721 1054242725 /nfs/dbraw/zinc/24/27/25/1054242725.db2.gz HSEZIKAEDJGPDJ-LJQANCHMSA-N 0 3 316.489 4.205 20 0 DIADHN CCN(Cc1cc2cccnc2s1)Cc1cccc(F)c1 ZINC001233349055 1054243293 /nfs/dbraw/zinc/24/32/93/1054243293.db2.gz BQCDNBPOKXMLSB-UHFFFAOYSA-N 0 3 300.402 4.458 20 0 DIADHN CSc1cccc(Cl)c1CN1CC[C@@H]2OCCC[C@H]2C1 ZINC001233350398 1054245977 /nfs/dbraw/zinc/24/59/77/1054245977.db2.gz GAXPAOPLGVKTMC-WFASDCNBSA-N 0 3 311.878 4.063 20 0 DIADHN Cn1cc([C@@H](NCCCSC(C)(C)C)c2ccccc2)cn1 ZINC001336776027 1054247643 /nfs/dbraw/zinc/24/76/43/1054247643.db2.gz RDVVJVPCNGUNGT-KRWDZBQOSA-N 0 3 317.502 4.021 20 0 DIADHN Nc1cccc(CN2CCC(c3csc4ccccc43)CC2)n1 ZINC001233372724 1054254176 /nfs/dbraw/zinc/25/41/76/1054254176.db2.gz BTBNVLNPSWSNHT-UHFFFAOYSA-N 0 3 323.465 4.258 20 0 DIADHN CCCO[C@H]1CCCN(Cc2c(Cl)cccc2N(C)C)CC1 ZINC001337239812 1054260211 /nfs/dbraw/zinc/26/02/11/1054260211.db2.gz RQLMUOHKBJPJGU-HNNXBMFYSA-N 0 3 324.896 4.187 20 0 DIADHN Brc1cc(CN2C[C@H]3CCCC[C@@H]3C2)cs1 ZINC001233412920 1054266686 /nfs/dbraw/zinc/26/66/86/1054266686.db2.gz ZNANNNMINPEFNO-VXGBXAGGSA-N 0 3 300.265 4.133 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CC[C@H]3CCCC[C@H]3C2)c1 ZINC001233432253 1054269042 /nfs/dbraw/zinc/26/90/42/1054269042.db2.gz CXSCKPBIXIUWAL-SJORKVTESA-N 0 3 318.461 4.283 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCCC3(CCCC3)C2)c1 ZINC001233430283 1054269459 /nfs/dbraw/zinc/26/94/59/1054269459.db2.gz GZIVWLLQBYUQTA-UHFFFAOYSA-N 0 3 318.461 4.428 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC001233432278 1054270732 /nfs/dbraw/zinc/27/07/32/1054270732.db2.gz DRUHFVMGSSQZDM-CVEARBPZSA-N 0 3 304.434 4.036 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CCC3(CCC3)CC2)c1 ZINC001233430706 1054271051 /nfs/dbraw/zinc/27/10/51/1054271051.db2.gz WRRAMJTYRDGWBY-UHFFFAOYSA-N 0 3 304.434 4.037 20 0 DIADHN Fc1ccccc1CCN1CC=C(c2ccc(Cl)nc2)CC1 ZINC000763737960 1054274816 /nfs/dbraw/zinc/27/48/16/1054274816.db2.gz SYDCCXJIQAOAGX-UHFFFAOYSA-N 0 3 316.807 4.206 20 0 DIADHN c1ccc(CN2CC[C@H](Oc3ccccc3OCC3CC3)C2)cc1 ZINC001233512668 1054286998 /nfs/dbraw/zinc/28/69/98/1054286998.db2.gz PROONZZPTPLYGX-IBGZPJMESA-N 0 3 323.436 4.129 20 0 DIADHN Cc1csc(C(=O)N2CCN(C(C)(C)CC(C)(C)C)CC2)c1 ZINC000622663324 1054320994 /nfs/dbraw/zinc/32/09/94/1054320994.db2.gz GPQWPPIQHPIOHV-UHFFFAOYSA-N 0 3 322.518 4.029 20 0 DIADHN Cc1cc(CN2CC[C@H]2COCc2ccccc2)sc1C ZINC001233683996 1054332937 /nfs/dbraw/zinc/33/29/37/1054332937.db2.gz CPUGXNUUIWSJIU-KRWDZBQOSA-N 0 3 301.455 4.156 20 0 DIADHN CC(C)(NCc1nc(-c2ccc(F)cc2)no1)C1CCCCC1 ZINC001339358234 1054336044 /nfs/dbraw/zinc/33/60/44/1054336044.db2.gz ITIINGLBYARYQP-UHFFFAOYSA-N 0 3 317.408 4.324 20 0 DIADHN c1nc(C2CC2)c(CN2CCC(Nc3ccccc3)CC2)s1 ZINC001339381655 1054336259 /nfs/dbraw/zinc/33/62/59/1054336259.db2.gz HJSUYDOOMRTOFJ-UHFFFAOYSA-N 0 3 313.470 4.097 20 0 DIADHN CS[C@H]1CC[C@@H](N(C)Cn2cc(-c3ccc(C)cc3)cn2)C1 ZINC001339605036 1054342057 /nfs/dbraw/zinc/34/20/57/1054342057.db2.gz OOADPTHYYNSYLX-MSOLQXFVSA-N 0 3 315.486 4.032 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC=C(Br)C1 ZINC001339875658 1054350696 /nfs/dbraw/zinc/35/06/96/1054350696.db2.gz RYBSJKSWEAKIMP-UHFFFAOYSA-N 0 3 303.203 4.032 20 0 DIADHN CCCCCc1ccc(NC(=O)[C@@H]2CCCN2CCC)cc1 ZINC001440439662 1054353837 /nfs/dbraw/zinc/35/38/37/1054353837.db2.gz QPKZXMQMLMHONS-SFHVURJKSA-N 0 3 302.462 4.232 20 0 DIADHN C[C@@H](NCCCC(F)F)c1ncc(C(F)(F)F)cc1Cl ZINC001340134979 1054357706 /nfs/dbraw/zinc/35/77/06/1054357706.db2.gz YNNSBPWNWWJHGJ-SSDOTTSWSA-N 0 3 316.701 4.450 20 0 DIADHN C[C@H](C(=O)Nc1cc(Cl)cc(Cl)c1)N1[C@H](C)CC[C@@H]1C ZINC001440753635 1054366536 /nfs/dbraw/zinc/36/65/36/1054366536.db2.gz IAFXPILVNOCNNQ-OUAUKWLOSA-N 0 3 315.244 4.193 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NC(=O)CN(CC)CC)C(C)C)cc1 ZINC001440945157 1054375606 /nfs/dbraw/zinc/37/56/06/1054375606.db2.gz MSPQDEGYEPLWKL-JXFKEZNVSA-N 0 3 318.505 4.355 20 0 DIADHN CCC(CC)[C@H](C)C(=O)NC[C@H](c1ccsc1)N(CC)CC ZINC001441321423 1054389404 /nfs/dbraw/zinc/38/94/04/1054389404.db2.gz VHJFEAXJWSKCNB-WMLDXEAASA-N 0 3 324.534 4.320 20 0 DIADHN Cc1sccc1CNCc1ccc(Br)s1 ZINC000379787972 1054404600 /nfs/dbraw/zinc/40/46/00/1054404600.db2.gz IKIUEZZLGSXVEY-UHFFFAOYSA-N 0 3 302.262 4.170 20 0 DIADHN O=c1[nH]cc(CN2CCC[C@@H]2c2cccs2)c2ccccc12 ZINC001233989503 1054407431 /nfs/dbraw/zinc/40/74/31/1054407431.db2.gz WJTVEIGZPWGRNV-MRXNPFEDSA-N 0 3 310.422 4.339 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCC(C(C)(C)C)CC2)c1 ZINC000172102295 1054411352 /nfs/dbraw/zinc/41/13/52/1054411352.db2.gz KSPXMOLHLMEBEF-UHFFFAOYSA-N 0 3 317.477 4.038 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2c[nH]c(=O)c3ccccc23)cc1 ZINC001234007973 1054411898 /nfs/dbraw/zinc/41/18/98/1054411898.db2.gz NXRIAFNSYHLDCH-AWEZNQCLSA-N 0 3 322.408 4.142 20 0 DIADHN CC[C@@H](C)Sc1ccc(NC(=O)[C@H](C(C)C)N(C)C)c(C)c1 ZINC001442586443 1054425945 /nfs/dbraw/zinc/42/59/45/1054425945.db2.gz NCFLJMUBJDCQIA-PBHICJAKSA-N 0 3 322.518 4.410 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CNC/C=C/C1CC1 ZINC001343190149 1054438872 /nfs/dbraw/zinc/43/88/72/1054438872.db2.gz XXYFMYWGFGXMGY-SNAWJCMRSA-N 0 3 308.447 4.151 20 0 DIADHN CCCCC[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001443160011 1054440745 /nfs/dbraw/zinc/44/07/45/1054440745.db2.gz JRMXKBUQBRJLPL-SCTDSRPQSA-N 0 3 316.489 4.297 20 0 DIADHN CC[C@@H](NC[C@H](O)c1cccc2ccccc21)c1ccccn1 ZINC001357241957 1054443451 /nfs/dbraw/zinc/44/34/51/1054443451.db2.gz MGYKNOQFVUDVCO-QUCCMNQESA-N 0 3 306.409 4.009 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ncc(-c2ccccc2F)o1)C1CC1 ZINC000172749106 1054448431 /nfs/dbraw/zinc/44/84/31/1054448431.db2.gz KAXHUXIUAZMJDV-LBPRGKRZSA-N 0 3 300.377 4.244 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)N(CCN(C)C)c2ccccc2)cc1 ZINC001357343428 1054462083 /nfs/dbraw/zinc/46/20/83/1054462083.db2.gz AVMPJMBUAJBAPO-KRWDZBQOSA-N 0 3 324.468 4.409 20 0 DIADHN c1cc(CN2CCC(OCC3CCCCC3)CC2)n(C2CC2)c1 ZINC001344061395 1054462160 /nfs/dbraw/zinc/46/21/60/1054462160.db2.gz JIEFVPLJEGENFO-UHFFFAOYSA-N 0 3 316.489 4.384 20 0 DIADHN C[C@H](c1ccccc1Br)N1CC[C@]2(C1)CCCOC2 ZINC000671254633 1054463095 /nfs/dbraw/zinc/46/30/95/1054463095.db2.gz FQLWHQVGBIEILA-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H](C)c1ccc(F)cc1 ZINC001234238683 1054467303 /nfs/dbraw/zinc/46/73/03/1054467303.db2.gz NKPGDYABCXXMEE-GFCCVEGCSA-N 0 3 321.367 4.175 20 0 DIADHN CC(C)c1noc(CCN[C@@H](c2cc(F)ccc2F)C(C)C)n1 ZINC001344419807 1054468901 /nfs/dbraw/zinc/46/89/01/1054468901.db2.gz XOPKJHQQLMCUKU-MRXNPFEDSA-N 0 3 323.387 4.001 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1cccs1 ZINC001234239686 1054469172 /nfs/dbraw/zinc/46/91/72/1054469172.db2.gz WYCOAKJSKZMJPG-NSHDSACASA-N 0 3 309.406 4.097 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC001234242306 1054469832 /nfs/dbraw/zinc/46/98/32/1054469832.db2.gz AOZYWRVCXYNUCJ-KCQAQPDRSA-N 0 3 309.425 4.099 20 0 DIADHN CC/C=C(\C)[C@@H](CC)Oc1c(F)cc(CN(C)C)cc1OC ZINC001234240463 1054470371 /nfs/dbraw/zinc/47/03/71/1054470371.db2.gz HBGVXOPCASQLKI-LRFDDAOPSA-N 0 3 309.425 4.410 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001234244747 1054471198 /nfs/dbraw/zinc/47/11/98/1054471198.db2.gz SCPLYVQEGKWJEH-NHAGDIPZSA-N 0 3 309.425 4.099 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1Oc1c(F)cc(CN(C)C)cc1OC ZINC001234245422 1054471818 /nfs/dbraw/zinc/47/18/18/1054471818.db2.gz YJQFTIUZDCKBKP-ZBFHGGJFSA-N 0 3 309.425 4.244 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)NCCN(C)Cc1ccccc1 ZINC001357459196 1054481281 /nfs/dbraw/zinc/48/12/81/1054481281.db2.gz BXBBTSYWGQJXTO-SFHVURJKSA-N 0 3 316.489 4.007 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3ccccc3)C2)c(F)c1Cl ZINC001234310650 1054494984 /nfs/dbraw/zinc/49/49/84/1054494984.db2.gz JTZOEGIIBCPMRV-ZDUSSCGKSA-N 0 3 323.770 4.272 20 0 DIADHN CCN(CC)C(=O)c1ccc(CN[C@H](C)c2cccc(C)c2)cc1 ZINC000173908479 1054498201 /nfs/dbraw/zinc/49/82/01/1054498201.db2.gz WQWYTKMUMUMIGX-QGZVFWFLSA-N 0 3 324.468 4.328 20 0 DIADHN CCOc1ccc([C@@H]2CCCN2C[C@@H](C)C(F)(F)F)cc1 ZINC000441169590 1054505866 /nfs/dbraw/zinc/50/58/66/1054505866.db2.gz KHLFFCRUDGWALU-DOMZBBRYSA-N 0 3 301.352 4.421 20 0 DIADHN C[C@H](Oc1cccc2c1CCN(C)C2)c1ccc(Cl)cc1 ZINC001234419479 1054518352 /nfs/dbraw/zinc/51/83/52/1054518352.db2.gz BSXSYPHMNFHBNF-ZDUSSCGKSA-N 0 3 301.817 4.468 20 0 DIADHN CCC(CC)(CN[C@H](C)c1cccc(Cl)c1F)C(=O)OC ZINC001473297730 1054523624 /nfs/dbraw/zinc/52/36/24/1054523624.db2.gz NIDMRZAMQKNYSC-LLVKDONJSA-N 0 3 315.816 4.109 20 0 DIADHN COc1ncc([C@H](C)N[C@@H]2CCc3ccc(C)cc32)cc1Cl ZINC000671297805 1054530877 /nfs/dbraw/zinc/53/08/77/1054530877.db2.gz MYXJBZAVSMFJTP-YVEFUNNKSA-N 0 3 316.832 4.390 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(OC(C)C)c(Cl)c2)n[nH]1 ZINC000671297391 1054531789 /nfs/dbraw/zinc/53/17/89/1054531789.db2.gz CSQDOARZVNIIPQ-LBPRGKRZSA-N 0 3 307.825 4.010 20 0 DIADHN CC1(C)[C@H](NCc2ccc(Cl)cn2)C[C@@H]1Oc1ccccc1 ZINC000671298549 1054532193 /nfs/dbraw/zinc/53/21/93/1054532193.db2.gz JEKYXZLFUNMYJG-SJORKVTESA-N 0 3 316.832 4.071 20 0 DIADHN CC1(C)[C@H](Oc2ccccc2)C[C@@H]1NCc1ccc(Cl)cn1 ZINC000671298546 1054532365 /nfs/dbraw/zinc/53/23/65/1054532365.db2.gz JEKYXZLFUNMYJG-DLBZAZTESA-N 0 3 316.832 4.071 20 0 DIADHN C[C@@H](CCC(C)(C)C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000671299411 1054536488 /nfs/dbraw/zinc/53/64/88/1054536488.db2.gz QIDQVVPDERFPAF-KBXCAEBGSA-N 0 3 317.452 4.453 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1ccnc(OC(F)F)c1 ZINC000671302687 1054541484 /nfs/dbraw/zinc/54/14/84/1054541484.db2.gz OVRQMWAWOSSURK-SJKOYZFVSA-N 0 3 318.367 4.096 20 0 DIADHN Cc1nc(C)c(CN2CCC(Cc3cccc(Cl)c3)CC2)[nH]1 ZINC001234601554 1054553151 /nfs/dbraw/zinc/55/31/51/1054553151.db2.gz QOJPOVOFOQOGCZ-UHFFFAOYSA-N 0 3 317.864 4.135 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@H](c1ccccc1)C1CC1 ZINC000192598061 1054561342 /nfs/dbraw/zinc/56/13/42/1054561342.db2.gz CVIJHJVBTVDBCW-MGPUTAFESA-N 0 3 322.452 4.317 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCCC[C@H]1c1ccccc1 ZINC001447345289 1054561274 /nfs/dbraw/zinc/56/12/74/1054561274.db2.gz OZRGQBWZRBIACL-IBGZPJMESA-N 0 3 316.489 4.252 20 0 DIADHN Cc1nc(C)c(CN(CCc2ccccc2)Cc2ccccc2)[nH]1 ZINC001234646115 1054565410 /nfs/dbraw/zinc/56/54/10/1054565410.db2.gz ZSDLPHWNXNELBQ-UHFFFAOYSA-N 0 3 319.452 4.271 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CCCC2(CCCCC2)CC1 ZINC001447763644 1054575576 /nfs/dbraw/zinc/57/55/76/1054575576.db2.gz OIWLYVGMOHZODZ-GOSISDBHSA-N 0 3 320.521 4.214 20 0 DIADHN COc1ccc(CN2CCC23CCCCC3)c(OC(C)C)c1 ZINC001234689890 1054576860 /nfs/dbraw/zinc/57/68/60/1054576860.db2.gz ZWOODDHXHFEOIG-UHFFFAOYSA-N 0 3 303.446 4.391 20 0 DIADHN COc1ccc(CN2CC[C@@H]3CCC[C@@H]3C2)c(OC(C)C)c1 ZINC001234695786 1054580353 /nfs/dbraw/zinc/58/03/53/1054580353.db2.gz FNAVNKORWAFQJT-JKSUJKDBSA-N 0 3 303.446 4.104 20 0 DIADHN C[C@H](C(=O)Nc1ccc(C2CCC2)cc1)N1[C@H](C)CC[C@@H]1C ZINC001448618799 1054601116 /nfs/dbraw/zinc/60/11/16/1054601116.db2.gz XLIHGKAKJKGVAQ-QLFBSQMISA-N 0 3 300.446 4.154 20 0 DIADHN CCOC(OCC)c1ccc(CN2CCCC[C@@](C)(F)C2)cc1 ZINC001234869626 1054609411 /nfs/dbraw/zinc/60/94/11/1054609411.db2.gz PBBLLULBMNEPDV-LJQANCHMSA-N 0 3 323.452 4.472 20 0 DIADHN COc1ccc(CNCc2cccc3cc[nH]c32)cc1OC1CC1 ZINC000623503681 1054609729 /nfs/dbraw/zinc/60/97/29/1054609729.db2.gz JIJZATZDAXAMDX-UHFFFAOYSA-N 0 3 322.408 4.008 20 0 DIADHN CCOC(OCC)c1ccc(CN(C)Cc2cccs2)cc1 ZINC001234892614 1054613677 /nfs/dbraw/zinc/61/36/77/1054613677.db2.gz PLMQPBHVBQYFAH-UHFFFAOYSA-N 0 3 319.470 4.452 20 0 DIADHN Fc1cc(F)c2c(c1)[C@H](NCc1cccc3n[nH]cc31)CCC2 ZINC000623534555 1054616631 /nfs/dbraw/zinc/61/66/31/1054616631.db2.gz UHHWPECPHILKRY-QGZVFWFLSA-N 0 3 313.351 4.008 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)/C(F)=C/C1CCCCC1 ZINC001449354166 1054619264 /nfs/dbraw/zinc/61/92/64/1054619264.db2.gz JZVZXOQHAJXASF-VLGSPTGOSA-N 0 3 324.484 4.145 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)/C(F)=C\C1CCCCC1 ZINC001449354142 1054619314 /nfs/dbraw/zinc/61/93/14/1054619314.db2.gz JZVZXOQHAJXASF-FBMGVBCBSA-N 0 3 324.484 4.145 20 0 DIADHN Cc1ccsc1C(=O)N1CCN(C(C)(C)CC(C)(C)C)CC1 ZINC001449561297 1054625372 /nfs/dbraw/zinc/62/53/72/1054625372.db2.gz ABBBEWNCTCRKIP-UHFFFAOYSA-N 0 3 322.518 4.029 20 0 DIADHN CC(C)=CCC[C@H](C)NC(=O)c1cccc(CN2CCCC2)c1 ZINC001449851485 1054631377 /nfs/dbraw/zinc/63/13/77/1054631377.db2.gz WQNLXRDURYINFD-KRWDZBQOSA-N 0 3 314.473 4.147 20 0 DIADHN CCCCC[C@@H](C)CC(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001449904400 1054633518 /nfs/dbraw/zinc/63/35/18/1054633518.db2.gz WZFZNXXKUBFIEL-QGZVFWFLSA-N 0 3 308.510 4.070 20 0 DIADHN FC(F)(F)Oc1ccc2c(c1)[C@@H](NCc1ccsc1)CC2 ZINC000178026177 1054641440 /nfs/dbraw/zinc/64/14/40/1054641440.db2.gz UTKFFLORYOHUKM-AWEZNQCLSA-N 0 3 313.344 4.424 20 0 DIADHN C[C@H]1CN(Cc2c[nH]c(-c3ccccc3F)c2)CCC1(F)F ZINC001235036502 1054643233 /nfs/dbraw/zinc/64/32/33/1054643233.db2.gz UQAIYPJZHIJFFA-LBPRGKRZSA-N 0 3 308.347 4.298 20 0 DIADHN Cc1cccc(CN(CCN(C)C)C(=O)CCCC(C)(C)C)c1 ZINC001358281682 1054643587 /nfs/dbraw/zinc/64/35/87/1054643587.db2.gz FIDXOZZPJKNAEX-UHFFFAOYSA-N 0 3 318.505 4.102 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3c2CCC3)c(OC)c1 ZINC000623673228 1054643921 /nfs/dbraw/zinc/64/39/21/1054643921.db2.gz SPIGUIXTPFBBHQ-AWEZNQCLSA-N 0 3 311.425 4.043 20 0 DIADHN CCn1cc([C@@H](C)N[C@H](c2ccc(Cl)cc2)C2CC2)cn1 ZINC000178291850 1054649925 /nfs/dbraw/zinc/64/99/25/1054649925.db2.gz RMOWDRYCLXNJOM-PXAZEXFGSA-N 0 3 303.837 4.358 20 0 DIADHN C[C@@H](NCCOc1cccc(F)c1)c1ccc2ccccc2n1 ZINC000178474007 1054652511 /nfs/dbraw/zinc/65/25/11/1054652511.db2.gz DPVNYEMIBGKGAV-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN FC(F)(F)[C@@H]1CCCN(Cc2cccc(N3CCCC3)c2)C1 ZINC001235091329 1054654260 /nfs/dbraw/zinc/65/42/60/1054654260.db2.gz FLGMGYONBBBVKW-OAHLLOKOSA-N 0 3 312.379 4.061 20 0 DIADHN c1ccc([C@@H]2CCCN2Cc2cccc(N3CCCC3)c2)nc1 ZINC001235093420 1054654439 /nfs/dbraw/zinc/65/44/39/1054654439.db2.gz TUBUICSIYAXTDZ-FQEVSTJZSA-N 0 3 307.441 4.019 20 0 DIADHN c1cncc([C@@H]2CCCN2Cc2cccc(N3CCCC3)c2)c1 ZINC001235087646 1054654724 /nfs/dbraw/zinc/65/47/24/1054654724.db2.gz DPBLGIPEEKTSHP-FQEVSTJZSA-N 0 3 307.441 4.019 20 0 DIADHN Cc1cccc2c1CCN(Cc1cccc(N3CCCC3)c1)C2 ZINC001235083325 1054654864 /nfs/dbraw/zinc/65/48/64/1054654864.db2.gz BMPLVLRXNAZQQV-UHFFFAOYSA-N 0 3 306.453 4.154 20 0 DIADHN CCC(F)(F)C(C)(C)CNCc1cc(OC)c(OC)cc1F ZINC000623697946 1054657615 /nfs/dbraw/zinc/65/76/15/1054657615.db2.gz FMBRAOMLYGNUJT-UHFFFAOYSA-N 0 3 319.367 4.004 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc(N2CCCC2)c1 ZINC001235095197 1054657600 /nfs/dbraw/zinc/65/76/00/1054657600.db2.gz GCMLHEVNKQIEMB-QGZVFWFLSA-N 0 3 324.468 4.488 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2c(C3CC3)cnn2C)c2ccccc21 ZINC000623706596 1054660150 /nfs/dbraw/zinc/66/01/50/1054660150.db2.gz FGJQOXXDXAEAJS-HKUYNNGSSA-N 0 3 309.457 4.272 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@H](CO)c1ccsc1 ZINC000349413296 1054661656 /nfs/dbraw/zinc/66/16/56/1054661656.db2.gz MVXLHEQOJRDUQE-DLBZAZTESA-N 0 3 301.455 4.066 20 0 DIADHN CCc1ccc([C@@H](COC)NCCCc2ccccc2Cl)o1 ZINC001473366303 1054662021 /nfs/dbraw/zinc/66/20/21/1054662021.db2.gz CSHQVSYEORNJCZ-QGZVFWFLSA-N 0 3 321.848 4.405 20 0 DIADHN Cc1ncsc1CN(C)CCCSCc1ccccc1 ZINC000179213190 1054670711 /nfs/dbraw/zinc/67/07/11/1054670711.db2.gz WSFBHMLRWCWICG-UHFFFAOYSA-N 0 3 306.500 4.207 20 0 DIADHN CN(C)Cc1ccc(NC(=O)/C(F)=C\C2CCCCC2)c(F)c1 ZINC001451378390 1054675293 /nfs/dbraw/zinc/67/52/93/1054675293.db2.gz DPBUEXLURAFJOP-MHWRWJLKSA-N 0 3 322.399 4.260 20 0 DIADHN CN(Cc1cc(-c2ccsc2)cs1)C[C@@H]1CCCCO1 ZINC001235187651 1054677410 /nfs/dbraw/zinc/67/74/10/1054677410.db2.gz YTLDMYZTSREVLG-HNNXBMFYSA-N 0 3 307.484 4.478 20 0 DIADHN CCCc1ccc(CNCc2ccc(OCC3CC3)nc2)cc1 ZINC000623734697 1054678374 /nfs/dbraw/zinc/67/83/74/1054678374.db2.gz YOASVKYXZFFDDM-UHFFFAOYSA-N 0 3 310.441 4.113 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2cc(-c3ccsc3)cs2)C1 ZINC001235189200 1054681201 /nfs/dbraw/zinc/68/12/01/1054681201.db2.gz PXEGOTMKHZTUOB-ZDUSSCGKSA-N 0 3 305.468 4.278 20 0 DIADHN CC(=O)[C@@H]1CCCN(Cc2cc(-c3ccsc3)cs2)C1 ZINC001235189198 1054682021 /nfs/dbraw/zinc/68/20/21/1054682021.db2.gz PXEGOTMKHZTUOB-CYBMUJFWSA-N 0 3 305.468 4.278 20 0 DIADHN CC[C@H](C(=O)N(CC(C)C)c1ccc(C)cc1)N(CC)CC ZINC001451717880 1054684041 /nfs/dbraw/zinc/68/40/41/1054684041.db2.gz BIVNCWSEKCAVTF-GOSISDBHSA-N 0 3 304.478 4.104 20 0 DIADHN CCN1CCN(c2ccccc2N[C@H](C)c2cccs2)CC1 ZINC000179604146 1054689398 /nfs/dbraw/zinc/68/93/98/1054689398.db2.gz OEGVFZXDZXRJPX-OAHLLOKOSA-N 0 3 315.486 4.063 20 0 DIADHN Oc1cccc(C2CCN(Cc3cc4cccc(F)c4[nH]3)CC2)c1 ZINC001235250272 1054691359 /nfs/dbraw/zinc/69/13/59/1054691359.db2.gz DJQNHJUHHVMKGX-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN CCc1cccc(CN2CCC(Sc3ccccc3)CC2)n1 ZINC001235260065 1054695575 /nfs/dbraw/zinc/69/55/75/1054695575.db2.gz CPXUVNVDVFWMAT-UHFFFAOYSA-N 0 3 312.482 4.401 20 0 DIADHN CC[C@H](NCCOC(C)(C)C)c1ccccc1OC(F)F ZINC000179714796 1054699379 /nfs/dbraw/zinc/69/93/79/1054699379.db2.gz VRVGTTVQWPCTIQ-ZDUSSCGKSA-N 0 3 301.377 4.144 20 0 DIADHN Clc1cccc(C2=CCN(C[C@H]3CC[C@@H](C4CC4)O3)CC2)c1 ZINC001473436958 1054715067 /nfs/dbraw/zinc/71/50/67/1054715067.db2.gz VCKLEERKXUITNN-MOPGFXCFSA-N 0 3 317.860 4.387 20 0 DIADHN Clc1cccc(C2=CCN(C[C@@H]3CC[C@H](C4CC4)O3)CC2)c1 ZINC001473436960 1054715919 /nfs/dbraw/zinc/71/59/19/1054715919.db2.gz VCKLEERKXUITNN-RBUKOAKNSA-N 0 3 317.860 4.387 20 0 DIADHN CCC(CC)[C@@H](c1ccc(Cl)cc1)N(C)CC1OCCO1 ZINC001473437194 1054717305 /nfs/dbraw/zinc/71/73/05/1054717305.db2.gz YRPIDNUSCFDKCJ-KRWDZBQOSA-N 0 3 311.853 4.122 20 0 DIADHN Cc1ccc(F)c(CN(C)CCCOCc2ccccc2)c1 ZINC001473429822 1054717358 /nfs/dbraw/zinc/71/73/58/1054717358.db2.gz JZALKZFZYLNTBN-UHFFFAOYSA-N 0 3 301.405 4.173 20 0 DIADHN CC(C)N(Cc1coc(-c2ccccc2F)n1)CC1CCC1 ZINC001473430803 1054718020 /nfs/dbraw/zinc/71/80/20/1054718020.db2.gz PGWMLAMYASGYMY-UHFFFAOYSA-N 0 3 302.393 4.491 20 0 DIADHN CCCn1cc(CN[C@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000623751672 1054720103 /nfs/dbraw/zinc/72/01/03/1054720103.db2.gz IFIGLEKHEGCNCP-KRWDZBQOSA-N 0 3 303.837 4.114 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN[C@H]2CO[C@@H](C3CC3)C2)o1 ZINC000623752511 1054723290 /nfs/dbraw/zinc/72/32/90/1054723290.db2.gz HDOZVHMJMHGBOK-AUUYWEPGSA-N 0 3 315.388 4.051 20 0 DIADHN CC(C)(C)n1cc(CN[C@H]2CCCc3ccc(Cl)cc32)cn1 ZINC000623751196 1054723524 /nfs/dbraw/zinc/72/35/24/1054723524.db2.gz MULJUXRQNJYVQT-KRWDZBQOSA-N 0 3 317.864 4.459 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4cc(Cl)ccc4[nH]c32)[nH]n1 ZINC000623753691 1054725067 /nfs/dbraw/zinc/72/50/67/1054725067.db2.gz CGPPEKLYUVREJQ-MRXNPFEDSA-N 0 3 314.820 4.020 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4cc(Cl)ccc4[nH]c32)n[nH]1 ZINC000623753691 1054725081 /nfs/dbraw/zinc/72/50/81/1054725081.db2.gz CGPPEKLYUVREJQ-MRXNPFEDSA-N 0 3 314.820 4.020 20 0 DIADHN Clc1ccc2[nH]c3c(c2c1)CCC[C@@H]3NCc1ccncc1 ZINC000623754681 1054725632 /nfs/dbraw/zinc/72/56/32/1054725632.db2.gz RFIASHPJPIENTL-KRWDZBQOSA-N 0 3 311.816 4.384 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000180047820 1054729688 /nfs/dbraw/zinc/72/96/88/1054729688.db2.gz LTJWYYYUTGTSJP-IPMKNSEASA-N 0 3 313.828 4.039 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](c2ccccc2C)C(C)(C)C)c1 ZINC000180092992 1054732779 /nfs/dbraw/zinc/73/27/79/1054732779.db2.gz WZPQNLGCFPFYDI-LJQANCHMSA-N 0 3 324.468 4.232 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cc3ccccc3[nH]c2=O)cc1 ZINC000180104915 1054732942 /nfs/dbraw/zinc/73/29/42/1054732942.db2.gz PLPDFGCKOFZLOB-UHFFFAOYSA-N 0 3 320.436 4.115 20 0 DIADHN CC(C)(C)C1CCN(Cc2c[nH]c3cnc(Cl)cc23)CC1 ZINC001235509518 1054734203 /nfs/dbraw/zinc/73/42/03/1054734203.db2.gz YTCUMBPNBLREQS-UHFFFAOYSA-N 0 3 305.853 4.474 20 0 DIADHN Clc1cc2c(CN3CCC4(CCCC4)CC3)c[nH]c2cn1 ZINC001235509536 1054734482 /nfs/dbraw/zinc/73/44/82/1054734482.db2.gz ZFFVUPZGWJUTKV-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Clc1cc2c(CN3CCCc4ccccc4C3)c[nH]c2cn1 ZINC001235522667 1054736673 /nfs/dbraw/zinc/73/66/73/1054736673.db2.gz XUEJKNBJUFXDHX-UHFFFAOYSA-N 0 3 311.816 4.165 20 0 DIADHN CCOC(=O)CCC1CCN(Cc2cc(C)cc(Cl)c2)CC1 ZINC001235529401 1054737060 /nfs/dbraw/zinc/73/70/60/1054737060.db2.gz KFXMHSCQUJHKLW-UHFFFAOYSA-N 0 3 323.864 4.204 20 0 DIADHN Cc1cc(C)cc(CN2CCN(Cc3ccccc3)[C@@H](C)C2)c1 ZINC001473546935 1054737539 /nfs/dbraw/zinc/73/75/39/1054737539.db2.gz CYBBHVJUGGNGJA-IBGZPJMESA-N 0 3 308.469 4.010 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@H](N3CCCCC3)[C@@H](F)C2)c1 ZINC001235539822 1054739785 /nfs/dbraw/zinc/73/97/85/1054739785.db2.gz BUHOPYHXKWIPLP-ROUUACIJSA-N 0 3 324.871 4.047 20 0 DIADHN Cc1ccc(NC(=O)Cc2c(C)cc(C)cc2C)c(CN(C)C)c1 ZINC000180168140 1054740157 /nfs/dbraw/zinc/74/01/57/1054740157.db2.gz QFNLVQSYUHNGPN-UHFFFAOYSA-N 0 3 324.468 4.163 20 0 DIADHN Cc1ccnc(CN2CCC[C@@H](c3ccccc3)C2)c1Cl ZINC001235583723 1054744595 /nfs/dbraw/zinc/74/45/95/1054744595.db2.gz UMTSZQXEFFZTEC-MRXNPFEDSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccnc(CN(C)C23CC4CC(CC(C4)C2)C3)c1Cl ZINC001235583126 1054745155 /nfs/dbraw/zinc/74/51/55/1054745155.db2.gz RNZNJFFCKZJQBX-UHFFFAOYSA-N 0 3 304.865 4.444 20 0 DIADHN CC(C)[C@@H](NCc1ccc(CO)cc1)c1ccc(Cl)cc1F ZINC000180266457 1054745945 /nfs/dbraw/zinc/74/59/45/1054745945.db2.gz VPBGQDHVLXTNHC-GOSISDBHSA-N 0 3 321.823 4.458 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000180247955 1054746204 /nfs/dbraw/zinc/74/62/04/1054746204.db2.gz GCMVGKBEFGVXAM-CQSZACIVSA-N 0 3 303.475 4.040 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000180247973 1054746618 /nfs/dbraw/zinc/74/66/18/1054746618.db2.gz GCMVGKBEFGVXAM-AWEZNQCLSA-N 0 3 303.475 4.040 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)NC1(c2ccc(Cl)cc2)CCC1 ZINC000180352863 1054751496 /nfs/dbraw/zinc/75/14/96/1054751496.db2.gz RJMTYQDRMQGHSI-GFCCVEGCSA-N 0 3 303.837 4.112 20 0 DIADHN CC[C@H](NC[C@@H](O)c1cc2ccccc2o1)c1ccccc1F ZINC001473607161 1054752044 /nfs/dbraw/zinc/75/20/44/1054752044.db2.gz IPUQITNETFKRJH-DLBZAZTESA-N 0 3 313.372 4.346 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC2(C1)CCCCC2 ZINC001235639427 1054759672 /nfs/dbraw/zinc/75/96/72/1054759672.db2.gz WVOAMLCLUJWDFO-UHFFFAOYSA-N 0 3 318.461 4.428 20 0 DIADHN COc1ccc(F)c(CNCC2(C(F)(F)F)CCCC2)c1 ZINC000623788407 1054761722 /nfs/dbraw/zinc/76/17/22/1054761722.db2.gz ZMWIEHFDTJHCMY-UHFFFAOYSA-N 0 3 305.315 4.047 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001235633622 1054761769 /nfs/dbraw/zinc/76/17/69/1054761769.db2.gz ZPIDVQUVBOAZFO-RDJZCZTQSA-N 0 3 318.461 4.426 20 0 DIADHN CCCCc1oc2ccccc2c1CNCc1ccc[nH]c1=O ZINC000623777252 1054761951 /nfs/dbraw/zinc/76/19/51/1054761951.db2.gz WNMSHHBNXBFUDR-UHFFFAOYSA-N 0 3 310.397 4.166 20 0 DIADHN Nc1ccc(F)c(CN2CCC[C@H](Cc3ccccc3)C2)c1F ZINC001235673240 1054768120 /nfs/dbraw/zinc/76/81/20/1054768120.db2.gz MZIDOPDLDWNSCS-OAHLLOKOSA-N 0 3 316.395 4.002 20 0 DIADHN CC(C)(NCc1cnn2c1CCC2)c1ccc2ccccc2c1 ZINC000623803651 1054776494 /nfs/dbraw/zinc/77/64/94/1054776494.db2.gz NCTGKCXNXXZWNV-UHFFFAOYSA-N 0 3 305.425 4.007 20 0 DIADHN CC(C)(C)Oc1cccc(CNCc2cccc3c[nH]nc32)c1 ZINC001349627346 1054777108 /nfs/dbraw/zinc/77/71/08/1054777108.db2.gz ZRGNYHCXFDANJN-UHFFFAOYSA-N 0 3 309.413 4.030 20 0 DIADHN COc1cccc(CNCc2ccccc2CC(F)(F)F)c1 ZINC000623820296 1054779428 /nfs/dbraw/zinc/77/94/28/1054779428.db2.gz RXJBGYPQNQPPPJ-UHFFFAOYSA-N 0 3 309.331 4.090 20 0 DIADHN CN(Cc1nc2ccc(F)cc2[nH]1)Cc1ccc2ccccc2c1 ZINC001235699821 1054782618 /nfs/dbraw/zinc/78/26/18/1054782618.db2.gz HYGZJGQUVXWIQL-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN Cc1nc(C)c(CNCc2ccccc2CC(F)(F)F)s1 ZINC000623821026 1054784292 /nfs/dbraw/zinc/78/42/92/1054784292.db2.gz CBWSLTZPORLTRI-UHFFFAOYSA-N 0 3 314.376 4.155 20 0 DIADHN CCc1nc(CNCCc2c[nH]c3c2cccc3Cl)cs1 ZINC000623833769 1054784975 /nfs/dbraw/zinc/78/49/75/1054784975.db2.gz YPSHNBJXWJNYIJ-UHFFFAOYSA-N 0 3 319.861 4.173 20 0 DIADHN CCOc1cncc(CN[C@@H]2CCCc3ccc(Cl)cc32)c1 ZINC000623833597 1054785575 /nfs/dbraw/zinc/78/55/75/1054785575.db2.gz NIJQDVWJWIRGBW-GOSISDBHSA-N 0 3 316.832 4.301 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NC2(c3c(F)cccc3F)CCC2)CCO1 ZINC000623844508 1054788317 /nfs/dbraw/zinc/78/83/17/1054788317.db2.gz ZHYFBCWDTZONMO-BBRMVZONSA-N 0 3 309.400 4.137 20 0 DIADHN Cc1csc([C@H](C)NCCCCOc2ccc(F)cc2)n1 ZINC000623861429 1054791439 /nfs/dbraw/zinc/79/14/39/1054791439.db2.gz XMJYNWXWPAGDEU-ZDUSSCGKSA-N 0 3 308.422 4.100 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](CC)c2cc(C)ccc2C)c1 ZINC000623876380 1054796785 /nfs/dbraw/zinc/79/67/85/1054796785.db2.gz RYQIDXXEEILJGG-HXUWFJFHSA-N 0 3 324.468 4.294 20 0 DIADHN Cc1csc([C@H](C)NCCCO[C@@H](C)c2ccccc2)n1 ZINC000623854323 1054797390 /nfs/dbraw/zinc/79/73/90/1054797390.db2.gz ZAOACBMRYHJISF-GJZGRUSLSA-N 0 3 304.459 4.270 20 0 DIADHN CCCN(C)Cc1cc(F)c(OCc2ccccc2)c(F)c1 ZINC001235754242 1054797388 /nfs/dbraw/zinc/79/73/88/1054797388.db2.gz PPHBAYFSUVFKIO-UHFFFAOYSA-N 0 3 305.368 4.386 20 0 DIADHN Fc1cc(CN2C[C@H]3CC[C@@H]2C3)ccc1OCc1ccccc1 ZINC001235772402 1054804129 /nfs/dbraw/zinc/80/41/29/1054804129.db2.gz BVXRBAPXGHAZEI-FUHWJXTLSA-N 0 3 311.400 4.389 20 0 DIADHN Clc1ccc([C@@H]2CN(Cc3ccccc3Cl)CCN2)cc1 ZINC001235787350 1054806423 /nfs/dbraw/zinc/80/64/23/1054806423.db2.gz BRNRQHSMWDNNIU-KRWDZBQOSA-N 0 3 321.251 4.140 20 0 DIADHN CCOc1cc(CN2CCC3(CC[C@H](F)C3)CC2)ccc1F ZINC001235806810 1054810309 /nfs/dbraw/zinc/81/03/09/1054810309.db2.gz NIQWMFIPRHDVMM-HNNXBMFYSA-N 0 3 309.400 4.329 20 0 DIADHN c1nc2c(s1)CCC[C@H]2NCC1(Sc2ccccc2)CC1 ZINC000623891022 1054810753 /nfs/dbraw/zinc/81/07/53/1054810753.db2.gz AQPVGPFAOABIDX-CQSZACIVSA-N 0 3 316.495 4.435 20 0 DIADHN c1ccc([C@H]2CSCCN2Cc2ccc3c(c2)CCCN3)cc1 ZINC001235808710 1054812061 /nfs/dbraw/zinc/81/20/61/1054812061.db2.gz DVPRQORZIDUMTK-HXUWFJFHSA-N 0 3 324.493 4.335 20 0 DIADHN Cc1csc([C@@H](C)NC[C@@H]2SCCc3ccccc32)n1 ZINC000623902943 1054812115 /nfs/dbraw/zinc/81/21/15/1054812115.db2.gz UWFVGNLIUPQTGN-DOMZBBRYSA-N 0 3 304.484 4.133 20 0 DIADHN C[C@H](NC[C@]1(C)CCCS1)c1cc(-c2ccccc2)n(C)n1 ZINC000623905051 1054814609 /nfs/dbraw/zinc/81/46/09/1054814609.db2.gz QEMYCJMPZKGOQO-KSSFIOAISA-N 0 3 315.486 4.023 20 0 DIADHN Fc1ccc(CCN[C@@H]2CCCc3scnc32)c(Cl)c1 ZINC000623914040 1054818032 /nfs/dbraw/zinc/81/80/32/1054818032.db2.gz JYPPKWODWFCJNY-CYBMUJFWSA-N 0 3 310.825 4.145 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ccc(N)c(F)c2)cc1 ZINC001235839511 1054818869 /nfs/dbraw/zinc/81/88/69/1054818869.db2.gz CCQLKYQXOVXBJZ-LJQANCHMSA-N 0 3 314.404 4.144 20 0 DIADHN C[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)[C@H]1CCCCO1 ZINC000623927313 1054820474 /nfs/dbraw/zinc/82/04/74/1054820474.db2.gz CTUBZCQIUQFSBI-TUKIKUTGSA-N 0 3 319.342 4.366 20 0 DIADHN Fc1c(CN2CCC[C@@]3(CCSC3)C2)cccc1OC1CC1 ZINC001474576706 1054830450 /nfs/dbraw/zinc/83/04/50/1054830450.db2.gz SMVUIHIIUCXHCK-GOSISDBHSA-N 0 3 321.461 4.086 20 0 DIADHN CC[C@@H](NCc1c2c(nn1C)CCCC2)c1cc(C)ccc1C ZINC000623987178 1054842718 /nfs/dbraw/zinc/84/27/18/1054842718.db2.gz RRHZSNKCZWJXMW-GOSISDBHSA-N 0 3 311.473 4.157 20 0 DIADHN CC[C@@H](N[C@H](CC(=O)NC)c1ccccc1)c1cc(C)ccc1C ZINC000623976735 1054844620 /nfs/dbraw/zinc/84/46/20/1054844620.db2.gz SYVIJFHTIVKJAP-WOJBJXKFSA-N 0 3 324.468 4.222 20 0 DIADHN CC[C@H](N[C@@H](CC(=O)NC)c1ccccc1)c1cc(C)ccc1C ZINC000623976732 1054844937 /nfs/dbraw/zinc/84/49/37/1054844937.db2.gz SYVIJFHTIVKJAP-PMACEKPBSA-N 0 3 324.468 4.222 20 0 DIADHN C[C@H](CCOCc1ccccc1)N[C@H]1CCCc2scnc21 ZINC000623983472 1054846688 /nfs/dbraw/zinc/84/66/88/1054846688.db2.gz BJBUMMGZLVLBGY-ZBFHGGJFSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCO[C@@H](c3cccs3)C2)n1 ZINC000623995622 1054848844 /nfs/dbraw/zinc/84/88/44/1054848844.db2.gz CLGMSVDKJORVTL-YNEHKIRRSA-N 0 3 308.472 4.084 20 0 DIADHN Cc1cccc([C@H](NCc2cnc(C(F)(F)F)nc2)C(C)C)c1 ZINC000624010827 1054855734 /nfs/dbraw/zinc/85/57/34/1054855734.db2.gz YQUOXBFQSPBDCO-OAHLLOKOSA-N 0 3 323.362 4.291 20 0 DIADHN C[C@@H](NCC[C@]1(C)CC1(F)F)c1cc(-c2ccccc2)n(C)n1 ZINC000624013599 1054857973 /nfs/dbraw/zinc/85/79/73/1054857973.db2.gz DTWQLQNJLGXAOQ-CXAGYDPISA-N 0 3 319.399 4.173 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000624062092 1054868548 /nfs/dbraw/zinc/86/85/48/1054868548.db2.gz UBIRGIYWOHPQSS-BBRMVZONSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](c1ccncc1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000624061805 1054869565 /nfs/dbraw/zinc/86/95/65/1054869565.db2.gz KMHQHJPCMQBEQP-CZUORRHYSA-N 0 3 302.368 4.300 20 0 DIADHN Cc1cc(-c2ccc(CN)nc2)cc(C)c1OCc1ccccc1 ZINC001235960868 1054872895 /nfs/dbraw/zinc/87/28/95/1054872895.db2.gz QOCRNABHNVPXHD-UHFFFAOYSA-N 0 3 318.420 4.403 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc2cc[nH]c21 ZINC001236003125 1054888592 /nfs/dbraw/zinc/88/85/92/1054888592.db2.gz RZBTZVFCBYKOSE-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1cnc(-c2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001236002134 1054889020 /nfs/dbraw/zinc/88/90/20/1054889020.db2.gz FRCKPNGXKWQZKB-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cc2cc[nH]c2cn1 ZINC001236003097 1054889396 /nfs/dbraw/zinc/88/93/96/1054889396.db2.gz RIDRPUMAJDGKJF-UHFFFAOYSA-N 0 3 309.388 4.355 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)ncc1F ZINC001236002179 1054889622 /nfs/dbraw/zinc/88/96/22/1054889622.db2.gz GIEYORQTNMHEDU-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2cccnc2n1 ZINC001236004747 1054891773 /nfs/dbraw/zinc/89/17/73/1054891773.db2.gz PAJDVWAKTQHFBT-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cc(-c2cccc(CN3CCCCC3)c2F)ncc1F ZINC001236007239 1054894158 /nfs/dbraw/zinc/89/41/58/1054894158.db2.gz YTBSPLXOHDNJEE-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccnc1C)CCCS2 ZINC000624164048 1054894525 /nfs/dbraw/zinc/89/45/25/1054894525.db2.gz VLQMSEKCWWSCEH-QGZVFWFLSA-N 0 3 314.454 4.115 20 0 DIADHN Cn1cnc2ccc(-c3cccc(CN4CCCCC4)c3F)cc21 ZINC001236011542 1054895153 /nfs/dbraw/zinc/89/51/53/1054895153.db2.gz IZVMVFZSTHABMX-UHFFFAOYSA-N 0 3 323.415 4.365 20 0 DIADHN Cc1c(F)cncc1-c1cccc(CN2CCCCC2)c1F ZINC001236014556 1054897423 /nfs/dbraw/zinc/89/74/23/1054897423.db2.gz GDLKUTORBDCRKE-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccn2ccnc2c1 ZINC001236012966 1054898504 /nfs/dbraw/zinc/89/85/04/1054898504.db2.gz VSOFLUAVNPWMFS-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccoc1 ZINC000181248166 1054907906 /nfs/dbraw/zinc/90/79/06/1054907906.db2.gz OVVDBVUMMHOMFK-WCQYABFASA-N 0 3 308.784 4.002 20 0 DIADHN Cc1c(Br)cccc1CN[C@H](C)c1ccncc1 ZINC000181594087 1054924096 /nfs/dbraw/zinc/92/40/96/1054924096.db2.gz RYSQEXVLCSVDCZ-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@@H](NC[C@]1(C)C[C@H]2C[C@H]2C1)c1nc(C(F)(F)F)cs1 ZINC000624201126 1054926576 /nfs/dbraw/zinc/92/65/76/1054926576.db2.gz YYPASTUYSUBNDT-ORXSELOVSA-N 0 3 304.381 4.249 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)c(F)c1)c1ccc(C)cc1 ZINC000181681382 1054930422 /nfs/dbraw/zinc/93/04/22/1054930422.db2.gz GXIPNSGJVALQRC-GOSISDBHSA-N 0 3 315.388 4.162 20 0 DIADHN Cc1nccn1CCCCN[C@H](C)c1c(F)cccc1Cl ZINC000181733398 1054931645 /nfs/dbraw/zinc/93/16/45/1054931645.db2.gz XVYKTYISUFZBBV-GFCCVEGCSA-N 0 3 309.816 4.115 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000083411409 1054932686 /nfs/dbraw/zinc/93/26/86/1054932686.db2.gz JWKWOAPPPFGPOS-KBXCAEBGSA-N 0 3 323.864 4.061 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)s1)c1ccc(C)cc1 ZINC000181700885 1054932963 /nfs/dbraw/zinc/93/29/63/1054932963.db2.gz RZCMYJOOAGANHJ-OAHLLOKOSA-N 0 3 303.427 4.084 20 0 DIADHN COc1ccc([C@@H](NC[C@]2(C)C[C@H]3C[C@H]3C2)c2ccccn2)cc1 ZINC000624200509 1054935418 /nfs/dbraw/zinc/93/54/18/1054935418.db2.gz NQCDHFWTYPNEMM-HRQSHJORSA-N 0 3 322.452 4.205 20 0 DIADHN CC(C)CC[C@H](NCc1nnc(C2CC2)n1C1CC1)C(C)(C)C ZINC000181764934 1054936268 /nfs/dbraw/zinc/93/62/68/1054936268.db2.gz ZEUHQQMMQOSRAW-INIZCTEOSA-N 0 3 318.509 4.431 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2ccc3oc(=O)n(C)c3c2)cc1 ZINC000624202762 1054937719 /nfs/dbraw/zinc/93/77/19/1054937719.db2.gz JVNJJMLDHCYKNP-AWEZNQCLSA-N 0 3 324.424 4.106 20 0 DIADHN Nc1ccc2c(c1)CCN(Cc1ccc3nc(Cl)ccc3c1)C2 ZINC001236105782 1054948706 /nfs/dbraw/zinc/94/87/06/1054948706.db2.gz NTKODOGBUWSVRP-UHFFFAOYSA-N 0 3 323.827 4.029 20 0 DIADHN CCCCc1ccc(CN2CCc3cc(N)ccc3C2)s1 ZINC001236104368 1054950241 /nfs/dbraw/zinc/95/02/41/1054950241.db2.gz ARSBGVAUKHSESR-UHFFFAOYSA-N 0 3 300.471 4.231 20 0 DIADHN CC(C)[C@H](NC[C@@H](C)Cc1ccccc1)c1nc(C2CC2)no1 ZINC000182076100 1054957656 /nfs/dbraw/zinc/95/76/56/1054957656.db2.gz CBDBTKJWKJPISL-YOEHRIQHSA-N 0 3 313.445 4.113 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000624221187 1054970630 /nfs/dbraw/zinc/97/06/30/1054970630.db2.gz QUAOOGRYSYYYQX-PXAZEXFGSA-N 0 3 301.821 4.167 20 0 DIADHN CCc1nn(C)c(CC)c1CNC1(c2ccccc2)CCCC1 ZINC000182269165 1054976593 /nfs/dbraw/zinc/97/65/93/1054976593.db2.gz KSIMHNFVAUDYQR-UHFFFAOYSA-N 0 3 311.473 4.104 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccccc2C(C)C)cc1 ZINC000182321461 1054979628 /nfs/dbraw/zinc/97/96/28/1054979628.db2.gz VBOMOIAWYSGIKG-INIZCTEOSA-N 0 3 310.441 4.408 20 0 DIADHN COc1cc(C)c(CN2CCC[C@H]2c2c[nH]c3ccccc32)cn1 ZINC001236189200 1054985609 /nfs/dbraw/zinc/98/56/09/1054985609.db2.gz BDEJQEBZDQLXOZ-IBGZPJMESA-N 0 3 321.424 4.217 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)cn1 ZINC001236192314 1054990586 /nfs/dbraw/zinc/99/05/86/1054990586.db2.gz PLNSXHDPMMVJIJ-LJQANCHMSA-N 0 3 321.424 4.299 20 0 DIADHN COc1c(O)cccc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236191370 1054991251 /nfs/dbraw/zinc/99/12/51/1054991251.db2.gz LYEBNJSOZPCILF-GOSISDBHSA-N 0 3 322.408 4.219 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236193337 1054993658 /nfs/dbraw/zinc/99/36/58/1054993658.db2.gz JXWCSGYCOSEUEE-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN CC(C)[C@@H](NCc1ccc[nH]c1=O)c1cccc(C(F)(F)F)c1 ZINC000624258195 1055006884 /nfs/dbraw/zinc/00/68/84/1055006884.db2.gz CAKLCSWJYFMSOQ-OAHLLOKOSA-N 0 3 324.346 4.293 20 0 DIADHN COc1ccc([C@H](CCC(C)C)NCc2ccc[nH]c2=O)cc1 ZINC000624258438 1055015813 /nfs/dbraw/zinc/01/58/13/1055015813.db2.gz JUANURKTHHLDKL-SFHVURJKSA-N 0 3 314.429 4.063 20 0 DIADHN CCCCCOc1ccc(CN2CCc3ccc(N)cc3C2)cc1 ZINC001236233418 1055023572 /nfs/dbraw/zinc/02/35/72/1055023572.db2.gz SANIXZMIEGYIGG-UHFFFAOYSA-N 0 3 324.468 4.396 20 0 DIADHN Cc1ccnc(-c2ccc(CN3CCCCC3)c(F)c2)c1F ZINC001236233411 1055024632 /nfs/dbraw/zinc/02/46/32/1055024632.db2.gz RIYOLRVESMWKQW-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Fc1cc(-c2cc(C3CC3)ncn2)ccc1CN1CCCCC1 ZINC001236243737 1055030321 /nfs/dbraw/zinc/03/03/21/1055030321.db2.gz FTPOLMCVSIYMEY-UHFFFAOYSA-N 0 3 311.404 4.146 20 0 DIADHN Fc1cc(-c2cccc3ncncc32)ccc1CN1CCCCC1 ZINC001236248604 1055030671 /nfs/dbraw/zinc/03/06/71/1055030671.db2.gz ISRJENJNRCJRFA-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1ncc(F)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236248928 1055032275 /nfs/dbraw/zinc/03/22/75/1055032275.db2.gz LYTANZHBQXAWCT-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC(C)CCc1ccc(NC(=O)[C@@H]2CCCN2C(C)C)cc1 ZINC001535943856 1055032715 /nfs/dbraw/zinc/03/27/15/1055032715.db2.gz AWMRWHRROFSDBZ-SFHVURJKSA-N 0 3 302.462 4.087 20 0 DIADHN Fc1cc(-c2cncc3cccnc32)ccc1CN1CCCCC1 ZINC001236249271 1055033355 /nfs/dbraw/zinc/03/33/55/1055033355.db2.gz QUWCKSXDJPJCDY-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Fc1cc(-c2cccc3nccnc32)ccc1CN1CCCCC1 ZINC001236249554 1055034494 /nfs/dbraw/zinc/03/44/94/1055034494.db2.gz UQUZJCSKENPAKU-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cn1ccnc1[C@H](NCC(C)(C)c1ccccc1Cl)C1CC1 ZINC000183268197 1055038204 /nfs/dbraw/zinc/03/82/04/1055038204.db2.gz RVWRBHUKWSJRRA-MRXNPFEDSA-N 0 3 317.864 4.092 20 0 DIADHN Fc1ccc([C@@H](NCCc2ccccc2)c2ccccc2)nc1 ZINC000183290397 1055040276 /nfs/dbraw/zinc/04/02/76/1055040276.db2.gz UWOBQBBIWAUIOO-FQEVSTJZSA-N 0 3 306.384 4.142 20 0 DIADHN COc1ccnc(F)c1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236259470 1055040901 /nfs/dbraw/zinc/04/09/01/1055040901.db2.gz YCYUVYKAMSCIGQ-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1nccn1C ZINC000183402240 1055045012 /nfs/dbraw/zinc/04/50/12/1055045012.db2.gz QNEOSPXRTSQGAL-MNOVXSKESA-N 0 3 312.244 4.009 20 0 DIADHN Cc1csc([C@@H](NCCc2ccc(F)c(F)c2)C2CC2)n1 ZINC000183491370 1055047353 /nfs/dbraw/zinc/04/73/53/1055047353.db2.gz INHXJMHXWQTKQN-HNNXBMFYSA-N 0 3 308.397 4.013 20 0 DIADHN C[C@@H](N[C@@H]1C=C[C@H](CO)C1)c1cc2c(ccc3ccccc23)o1 ZINC000183584508 1055050676 /nfs/dbraw/zinc/05/06/76/1055050676.db2.gz OSYUIZUZYYEASO-IJEWVQPXSA-N 0 3 307.393 4.174 20 0 DIADHN OCCC1(CN[C@@H](c2ccco2)c2ccccc2)CCCCC1 ZINC000183705319 1055053742 /nfs/dbraw/zinc/05/37/42/1055053742.db2.gz LDVIGFMLMSPTCX-LJQANCHMSA-N 0 3 313.441 4.292 20 0 DIADHN COc1ccc(Cl)cc1CN(C)C[C@@H](C)c1nccs1 ZINC000183922914 1055061472 /nfs/dbraw/zinc/06/14/72/1055061472.db2.gz RNSNOAJHTZMCNF-LLVKDONJSA-N 0 3 310.850 4.041 20 0 DIADHN Cc1csc([C@@H](C)NCCCSCc2ccccc2)n1 ZINC000184281907 1055071309 /nfs/dbraw/zinc/07/13/09/1055071309.db2.gz IVESNDHVIMVSQQ-CQSZACIVSA-N 0 3 306.500 4.426 20 0 DIADHN CCN[C@@H](C)c1nc(-c2ccc(Br)cc2)cs1 ZINC000184958205 1055084875 /nfs/dbraw/zinc/08/48/75/1055084875.db2.gz WWWCCHZTHQEPNN-VIFPVBQESA-N 0 3 311.248 4.243 20 0 DIADHN NCc1cc(-c2ccc(C(F)(F)F)cc2C(F)(F)F)ccn1 ZINC001236379405 1055084956 /nfs/dbraw/zinc/08/49/56/1055084956.db2.gz KVUNDQLSFKOKLI-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN Cc1cnc([C@H](NCCc2ccc(F)c(F)c2)C2CC2)s1 ZINC000185277640 1055089599 /nfs/dbraw/zinc/08/95/99/1055089599.db2.gz XIVYUIQKBZKTQK-OAHLLOKOSA-N 0 3 308.397 4.013 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCCN2Cc2n[nH]c3cc(N)ccc32)c1 ZINC001236428851 1055110337 /nfs/dbraw/zinc/11/03/37/1055110337.db2.gz PGPFVEDYMVVGSM-FQEVSTJZSA-N 0 3 320.440 4.099 20 0 DIADHN C=Cn1cc(CNC(CC)(CC)c2ccc(Cl)cc2)cn1 ZINC000194315739 1055119959 /nfs/dbraw/zinc/11/99/59/1055119959.db2.gz JCHFTZQYXMKAHR-UHFFFAOYSA-N 0 3 303.837 4.442 20 0 DIADHN CC(C)c1nccnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236471178 1055128783 /nfs/dbraw/zinc/12/87/83/1055128783.db2.gz OUJPFHLSBXSXBG-MOPGFXCFSA-N 0 3 319.452 4.420 20 0 DIADHN Cc1nnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c(C)c1C ZINC001236472076 1055131545 /nfs/dbraw/zinc/13/15/45/1055131545.db2.gz LNJREYBYBREJHY-UXHICEINSA-N 0 3 319.452 4.222 20 0 DIADHN COc1ccc(C)nc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472155 1055131559 /nfs/dbraw/zinc/13/15/59/1055131559.db2.gz UMSCKNKGNKHSJQ-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN COc1cc(C)cc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236471738 1055131892 /nfs/dbraw/zinc/13/18/92/1055131892.db2.gz JYDIDOAIHACHSU-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN CC(C)c1cncnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236472206 1055132309 /nfs/dbraw/zinc/13/23/09/1055132309.db2.gz XGKRJGDJXNJRIN-MOPGFXCFSA-N 0 3 319.452 4.420 20 0 DIADHN CCCCOc1ccc(-c2ccc3c(n2)CNCC3)cc1Cl ZINC001236474319 1055133584 /nfs/dbraw/zinc/13/35/84/1055133584.db2.gz LNAISUOMTXGVCK-UHFFFAOYSA-N 0 3 316.832 4.227 20 0 DIADHN CCOc1ncccc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236475839 1055135367 /nfs/dbraw/zinc/13/53/67/1055135367.db2.gz UTTOLKGWIZKTTM-MOPGFXCFSA-N 0 3 320.436 4.301 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc(OC)c(F)c2)cc1 ZINC000195039183 1055143491 /nfs/dbraw/zinc/14/34/91/1055143491.db2.gz RUYQNKRAJASOBG-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2ccsc2Br)CS1 ZINC000381127634 1055149527 /nfs/dbraw/zinc/14/95/27/1055149527.db2.gz JUDVICLAYUFVSY-MNOVXSKESA-N 0 3 320.321 4.130 20 0 DIADHN CC[C@H](C)[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)OC ZINC000381125844 1055149652 /nfs/dbraw/zinc/14/96/52/1055149652.db2.gz GCVWCIXQMHJAMH-UGSOOPFHSA-N 0 3 305.393 4.236 20 0 DIADHN NCc1cccc(-c2ccc(OCc3ccccc3)cc2Cl)n1 ZINC001236507005 1055152524 /nfs/dbraw/zinc/15/25/24/1055152524.db2.gz YHPHXMBKWSBOMN-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN COC(=O)c1cccc(CN(C)[C@H]2CCC[C@H](C)C2)c1Cl ZINC000381589244 1055173648 /nfs/dbraw/zinc/17/36/48/1055173648.db2.gz DEHSGLPBOFLNBO-JSGCOSHPSA-N 0 3 309.837 4.137 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)C(C)C ZINC000381762922 1055181914 /nfs/dbraw/zinc/18/19/14/1055181914.db2.gz HSCUBDCOKKKULQ-OALUTQOASA-N 0 3 301.405 4.176 20 0 DIADHN CO[C@@H](CNCc1ccccc1OCc1ccccc1)C(C)C ZINC000381840684 1055187736 /nfs/dbraw/zinc/18/77/36/1055187736.db2.gz BVGVNBJFJLZSIE-FQEVSTJZSA-N 0 3 313.441 4.026 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1ccccc1Br ZINC000382025425 1055196627 /nfs/dbraw/zinc/19/66/27/1055196627.db2.gz BJCXMTOWRFNAOR-LBPRGKRZSA-N 0 3 318.205 4.364 20 0 DIADHN Cc1cc(Cl)nc(Cl)c1CN1CCC2(CC(F)C2)CC1 ZINC001236602341 1055201753 /nfs/dbraw/zinc/20/17/53/1055201753.db2.gz LDQONYRVZVPEKE-UHFFFAOYSA-N 0 3 317.235 4.411 20 0 DIADHN Cc1c(Br)cccc1CN1CCC[C@H](F)CC1 ZINC001236613545 1055206169 /nfs/dbraw/zinc/20/61/69/1055206169.db2.gz QTDJDZDXSLPCQD-ZDUSSCGKSA-N 0 3 300.215 4.082 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@H]3CCC[C@H]3C2)cnc1Cl ZINC001236630593 1055206676 /nfs/dbraw/zinc/20/66/76/1055206676.db2.gz ZIDLYSSLLSBXKL-NEPJUHHUSA-N 0 3 318.770 4.376 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1)c1ccc(-c2ccccc2)o1 ZINC000764557840 1055209230 /nfs/dbraw/zinc/20/92/30/1055209230.db2.gz CENUZPVJNWIZBG-YJBOKZPZSA-N 0 3 307.393 4.331 20 0 DIADHN COc1ccc2sc(CN3CCC(F)(F)[C@H](C)C3)cc2c1 ZINC001236656033 1055214547 /nfs/dbraw/zinc/21/45/47/1055214547.db2.gz MPAXOUIOHIPOQU-LLVKDONJSA-N 0 3 311.397 4.387 20 0 DIADHN CCOc1ncc(CN2CC[C@@H](C3CCCCC3)C2)cc1F ZINC001236699346 1055234126 /nfs/dbraw/zinc/23/41/26/1055234126.db2.gz DLRDIDFPMAMPRA-MRXNPFEDSA-N 0 3 306.425 4.022 20 0 DIADHN CCCCC[C@H](CC)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC001587215227 1055240408 /nfs/dbraw/zinc/24/04/08/1055240408.db2.gz RKPZVTIWVVVZDU-FUHWJXTLSA-N 0 3 304.478 4.012 20 0 DIADHN Cc1cccc(N2CCN(Cc3cccc4occc43)CC2)c1 ZINC001236733621 1055243595 /nfs/dbraw/zinc/24/35/95/1055243595.db2.gz BPWABBXIDYHKPC-UHFFFAOYSA-N 0 3 306.409 4.063 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cccc4occc43)CC2)n1 ZINC001236746927 1055250752 /nfs/dbraw/zinc/25/07/52/1055250752.db2.gz SZERGVPIJMOTBG-UHFFFAOYSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cc(Br)sc1CN(C)Cc1ccccc1 ZINC001236829030 1055279281 /nfs/dbraw/zinc/27/92/81/1055279281.db2.gz LBZQDPMXWSRDFN-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN Cc1cc(Br)sc1CN1C[C@H]2CCC[C@@H]2C1 ZINC001236828475 1055281689 /nfs/dbraw/zinc/28/16/89/1055281689.db2.gz HTNQLGAICFSPPN-GHMZBOCLSA-N 0 3 300.265 4.051 20 0 DIADHN Cc1cccc(CN2CCC(c3ccccn3)CC2)c1Cl ZINC001236853822 1055291545 /nfs/dbraw/zinc/29/15/45/1055291545.db2.gz NJUQAXONXBJMQM-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001587517766 1055316723 /nfs/dbraw/zinc/31/67/23/1055316723.db2.gz SAGVFAIAVGDBAW-CABCVRRESA-N 0 3 304.478 4.313 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccc(OC2CCCC2)nc1 ZINC000765058822 1055321074 /nfs/dbraw/zinc/32/10/74/1055321074.db2.gz FMFVDVWUCMRBEW-HXUWFJFHSA-N 0 3 322.452 4.489 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2NCc1ccnc(N2CCCCC2)c1 ZINC000765059013 1055323966 /nfs/dbraw/zinc/32/39/66/1055323966.db2.gz IQIKFUDNLLVZNU-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN Cc1cccc(-c2ccc(CN3C[C@@H]4C[C@H]3C[C@H]4F)cn2)c1C ZINC001236993563 1055327969 /nfs/dbraw/zinc/32/79/69/1055327969.db2.gz NQUTUVWSOJWAHW-JENIJYKNSA-N 0 3 310.416 4.298 20 0 DIADHN C[C@]1(CF)CCN(Cc2cncc(-c3ccc(F)cc3)c2)C1 ZINC001236996708 1055332122 /nfs/dbraw/zinc/33/21/22/1055332122.db2.gz IZYLCBPYNRJORC-GOSISDBHSA-N 0 3 302.368 4.069 20 0 DIADHN Cc1ccc(-c2cncc(CN3CCCOC(C)(C)C3)c2)cc1 ZINC001236993818 1055332451 /nfs/dbraw/zinc/33/24/51/1055332451.db2.gz OWEYAKBORNJHBY-UHFFFAOYSA-N 0 3 310.441 4.058 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@@]4(CC4(F)F)C3)c2)cc1 ZINC001236994211 1055332949 /nfs/dbraw/zinc/33/29/49/1055332949.db2.gz SOLHZTCFHLAFMP-GOSISDBHSA-N 0 3 314.379 4.288 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@H]4O[C@@H](C)C[C@H]4C3)c2)cc1 ZINC001236999885 1055333710 /nfs/dbraw/zinc/33/37/10/1055333710.db2.gz VABLHTNRZQYZCP-ORYQWCPZSA-N 0 3 322.452 4.056 20 0 DIADHN CC1(F)CCN(Cc2cncc(-c3ccc(F)cc3)c2)CC1 ZINC001236996677 1055334387 /nfs/dbraw/zinc/33/43/87/1055334387.db2.gz IOAIZVXKDSQIMS-UHFFFAOYSA-N 0 3 302.368 4.212 20 0 DIADHN C[C@H]1CN(Cc2ccc(-c3ccc(Cl)cc3)nc2)CCCO1 ZINC001237007000 1055335391 /nfs/dbraw/zinc/33/53/91/1055335391.db2.gz SJPLEFHJIPJJGO-AWEZNQCLSA-N 0 3 316.832 4.013 20 0 DIADHN FC1CCN(Cc2ccc(-c3ccc(Cl)cc3)nc2)CC1 ZINC001237007039 1055336011 /nfs/dbraw/zinc/33/60/11/1055336011.db2.gz UQNPFNFJCOYADH-UHFFFAOYSA-N 0 3 304.796 4.336 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2cnn(-c3ccccc3)c2)cc1 ZINC000325429296 1055336842 /nfs/dbraw/zinc/33/68/42/1055336842.db2.gz ZBUSUBFJTJRSFH-CVEARBPZSA-N 0 3 321.424 4.293 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(-c3ccccc3Cl)nc2)C1 ZINC001237026532 1055343223 /nfs/dbraw/zinc/34/32/23/1055343223.db2.gz OYGVSIBUBZJNTJ-OAHLLOKOSA-N 0 3 316.832 4.013 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC4CCC3CC4)cn2)c(F)c1 ZINC001237018778 1055343716 /nfs/dbraw/zinc/34/37/16/1055343716.db2.gz YSMNXPVTNPOZKZ-UHFFFAOYSA-N 0 3 314.379 4.401 20 0 DIADHN C[C@@H](NCCCN(C)c1ccccc1F)c1cccnc1Cl ZINC000765253676 1055344262 /nfs/dbraw/zinc/34/42/62/1055344262.db2.gz IYRXZVHUGSAHFD-CYBMUJFWSA-N 0 3 321.827 4.051 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc([C@@H](C)O)cc2)cc1Cl ZINC000765297832 1055357268 /nfs/dbraw/zinc/35/72/68/1055357268.db2.gz RISGDJHRIRUCPF-QWHCGFSZSA-N 0 3 319.832 4.253 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(F)c(N3CCCC3)c2)c1 ZINC001237093691 1055367016 /nfs/dbraw/zinc/36/70/16/1055367016.db2.gz VOGLGHSEHJQDMF-UHFFFAOYSA-N 0 3 312.432 4.366 20 0 DIADHN CC(C)OC1CCN(Cc2cccc(OC(C)(C)C)c2)CC1 ZINC001237103992 1055370264 /nfs/dbraw/zinc/37/02/64/1055370264.db2.gz VKDWAYSEPUYASL-UHFFFAOYSA-N 0 3 305.462 4.253 20 0 DIADHN COc1cccc2c(CN3Cc4ccccc4[C@H](C)C3)ccnc12 ZINC001237114333 1055373731 /nfs/dbraw/zinc/37/37/31/1055373731.db2.gz XUGCBIVMDAKRIS-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN c1cc(OC2CCN(Cc3ccc(C4CCC4)cc3)CC2)ccn1 ZINC001237108275 1055375589 /nfs/dbraw/zinc/37/55/89/1055375589.db2.gz JKZDYFBBLCKVGQ-UHFFFAOYSA-N 0 3 322.452 4.393 20 0 DIADHN FC1(F)COC2(C1)CCN(Cc1ccc(C3CCC3)cc1)CC2 ZINC001237107971 1055376514 /nfs/dbraw/zinc/37/65/14/1055376514.db2.gz HRXZEVHMMJMYFB-UHFFFAOYSA-N 0 3 321.411 4.344 20 0 DIADHN CC(C)CN(Cc1sc(=O)[nH]c1Cl)Cc1ccccc1 ZINC001237162014 1055389684 /nfs/dbraw/zinc/38/96/84/1055389684.db2.gz SMZVLYHAYPSXOQ-UHFFFAOYSA-N 0 3 310.850 4.160 20 0 DIADHN CC(C)C[N@H+](Cc1sc(=O)[n-]c1Cl)Cc1ccccc1 ZINC001237162014 1055389704 /nfs/dbraw/zinc/38/97/04/1055389704.db2.gz SMZVLYHAYPSXOQ-UHFFFAOYSA-N 0 3 310.850 4.160 20 0 DIADHN CC(C)C[N@@H+](Cc1sc(=O)[n-]c1Cl)Cc1ccccc1 ZINC001237162014 1055389717 /nfs/dbraw/zinc/38/97/17/1055389717.db2.gz SMZVLYHAYPSXOQ-UHFFFAOYSA-N 0 3 310.850 4.160 20 0 DIADHN CSCC1CCC(NCc2csc(C(C)(C)C)n2)CC1 ZINC000385790441 1055391183 /nfs/dbraw/zinc/39/11/83/1055391183.db2.gz DUDMOXBZRJONJX-UHFFFAOYSA-N 0 3 312.548 4.452 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@H](C)c1cccnc1Cl ZINC000765460195 1055395345 /nfs/dbraw/zinc/39/53/45/1055395345.db2.gz XDBRNOWQHQPZIT-CYBMUJFWSA-N 0 3 318.848 4.372 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H](C)c1ccnc(Cl)c1 ZINC000765469461 1055396692 /nfs/dbraw/zinc/39/66/92/1055396692.db2.gz CSYCECKRKCIZFT-SJKOYZFVSA-N 0 3 316.832 4.472 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1ccc(F)c(F)c1 ZINC000765476288 1055398861 /nfs/dbraw/zinc/39/88/61/1055398861.db2.gz ULUYKCHVPZYSFS-UHFFFAOYSA-N 0 3 300.308 4.134 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cccc2cccnc21 ZINC000765487979 1055403500 /nfs/dbraw/zinc/40/35/00/1055403500.db2.gz JLMZKKIGIWYPCF-UHFFFAOYSA-N 0 3 315.376 4.404 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCCc2sccc2C1 ZINC000765498263 1055407787 /nfs/dbraw/zinc/40/77/87/1055407787.db2.gz BVGKMFHAUPGBKK-UHFFFAOYSA-N 0 3 310.422 4.258 20 0 DIADHN COCCC1CCN(Cc2ccc3nccc(Cl)c3c2)CC1 ZINC001237267569 1055409836 /nfs/dbraw/zinc/40/98/36/1055409836.db2.gz BAYISXSQONBLFU-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN Fc1ccc([C@@H](NC[C@@H]2CCC3(CCCC3)O2)C2CC2)c(F)c1 ZINC001168541777 1055410372 /nfs/dbraw/zinc/41/03/72/1055410372.db2.gz LADIFIXWFHUELG-YJBOKZPZSA-N 0 3 321.411 4.497 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3ccc4nccc(Cl)c4c3)C[C@H]2C1 ZINC001237264689 1055410757 /nfs/dbraw/zinc/41/07/57/1055410757.db2.gz OREIHLGUXLUUQT-BETUJISGSA-N 0 3 322.786 4.365 20 0 DIADHN Cc1cc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@@H]3C2)cs1 ZINC001237248567 1055410951 /nfs/dbraw/zinc/41/09/51/1055410951.db2.gz LUMTUOZFQDROEO-RDJZCZTQSA-N 0 3 318.873 4.494 20 0 DIADHN CC(C)CC(=O)OCc1cocc2c(CN3CC[C@@H]3C)ccc1-2 ZINC001237283260 1055416143 /nfs/dbraw/zinc/41/61/43/1055416143.db2.gz SJFKNEYTUKFBON-AWEZNQCLSA-N 0 3 315.413 4.068 20 0 DIADHN FC(F)Sc1ccc(CN2CCC(n3ccnc3)CC2)cc1 ZINC000171933993 1055417789 /nfs/dbraw/zinc/41/77/89/1055417789.db2.gz GZYYIBQBORPKJU-UHFFFAOYSA-N 0 3 323.412 4.035 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)C(=O)Nc1ccccc1)c1ccc(F)cc1 ZINC000011101490 1055422763 /nfs/dbraw/zinc/42/27/63/1055422763.db2.gz JDTYBGQBWKDMJW-KBXCAEBGSA-N 0 3 314.404 4.140 20 0 DIADHN COc1ccc(-c2ccccc2)c(CN2CCC(C(C)=O)CC2)c1 ZINC001237307271 1055424619 /nfs/dbraw/zinc/42/46/19/1055424619.db2.gz ODIHWWZDXGZCBO-UHFFFAOYSA-N 0 3 323.436 4.163 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)CC(F)(F)F)cnn1-c1ccccc1 ZINC000765523612 1055426015 /nfs/dbraw/zinc/42/60/15/1055426015.db2.gz AFUYJBKBCSXCGD-NWDGAFQWSA-N 0 3 311.351 4.172 20 0 DIADHN Cc1cc(F)cc(C)c1CN1CC[C@@H](C(=O)c2ccccc2)C1 ZINC001237324848 1055426071 /nfs/dbraw/zinc/42/60/71/1055426071.db2.gz FFXGVGFBMKXRIB-QGZVFWFLSA-N 0 3 311.400 4.147 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)CC(F)(F)F)cnn1-c1ccccc1 ZINC000765523616 1055426711 /nfs/dbraw/zinc/42/67/11/1055426711.db2.gz AFUYJBKBCSXCGD-RYUDHWBXSA-N 0 3 311.351 4.172 20 0 DIADHN Cc1cc(CN2CCC3(CCNc4ccccc43)CC2)cc(C)n1 ZINC001237319573 1055427448 /nfs/dbraw/zinc/42/74/48/1055427448.db2.gz DDNVLWJBVSKNHF-UHFFFAOYSA-N 0 3 321.468 4.048 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN(CCO)Cc1cccs1 ZINC001237356578 1055437022 /nfs/dbraw/zinc/43/70/22/1055437022.db2.gz TWPAPCGABKDWRQ-UHFFFAOYSA-N 0 3 309.862 4.013 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccc(-n2ccnc2)cc1 ZINC000015185024 1055439789 /nfs/dbraw/zinc/43/97/89/1055439789.db2.gz LFWKZBXOCJTOOB-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN CC[C@@H]1CCN(Cc2cccc(F)c2NC(=O)OC(C)(C)C)C1 ZINC001237363527 1055441241 /nfs/dbraw/zinc/44/12/41/1055441241.db2.gz QABWTWWCVHJRDE-CYBMUJFWSA-N 0 3 322.424 4.405 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC[C@@H]1c1cccc(C)n1 ZINC000765548149 1055446663 /nfs/dbraw/zinc/44/66/63/1055446663.db2.gz HFVOXFYHFDFEHY-HXUWFJFHSA-N 0 3 319.408 4.288 20 0 DIADHN CN(C/C=C\c1ccc(Cl)cc1)[C@H]1CCCc2c1cnn2C ZINC000255863031 1055451623 /nfs/dbraw/zinc/45/16/23/1055451623.db2.gz NLBASEMFKCFHQE-CHYADLBTSA-N 0 3 315.848 4.096 20 0 DIADHN c1c(CN2CCC[C@@H](c3ccccc3)CC2)nn2ccccc12 ZINC001237449051 1055452978 /nfs/dbraw/zinc/45/29/78/1055452978.db2.gz IYAROIWSXZENPO-GOSISDBHSA-N 0 3 305.425 4.104 20 0 DIADHN CN(CCCc1ccccc1)Cc1c(Cl)[nH]c2cnccc21 ZINC001237449663 1055453585 /nfs/dbraw/zinc/45/35/85/1055453585.db2.gz GMWKODZRIGEQFB-UHFFFAOYSA-N 0 3 313.832 4.281 20 0 DIADHN c1c(CN2CCC[C@H](c3ccccc3)CC2)nn2ccccc12 ZINC001237449058 1055454345 /nfs/dbraw/zinc/45/43/45/1055454345.db2.gz IYAROIWSXZENPO-SFHVURJKSA-N 0 3 305.425 4.104 20 0 DIADHN CC[C@H]1c2ccsc2CCN1Cc1ccc(-n2cccn2)cc1 ZINC000016420601 1055455802 /nfs/dbraw/zinc/45/58/02/1055455802.db2.gz PGSCRQIZOHOPFO-SFHVURJKSA-N 0 3 323.465 4.443 20 0 DIADHN FC(F)(F)c1cc(CN2CC[C@H]3CCC[C@H]3C2)cc(Cl)n1 ZINC001237494372 1055461661 /nfs/dbraw/zinc/46/16/61/1055461661.db2.gz HMEAMSXFNJXDEA-NEPJUHHUSA-N 0 3 318.770 4.376 20 0 DIADHN CN(C)Cc1ccc(NC(=O)CC(C)(C)C)cc1C(F)(F)F ZINC001537871403 1055463371 /nfs/dbraw/zinc/46/33/71/1055463371.db2.gz PJDXITYTSMXTLA-UHFFFAOYSA-N 0 3 316.367 4.142 20 0 DIADHN O=c1[nH]c2ccccc2c(Cl)c1CN1CCC12CCCC2 ZINC001237504127 1055464121 /nfs/dbraw/zinc/46/41/21/1055464121.db2.gz LYVOZXFNLUXZAS-UHFFFAOYSA-N 0 3 302.805 4.112 20 0 DIADHN Cc1cc(CN2C[C@@H]3CCC[C@H]3C2)c(Br)cc1F ZINC001237505574 1055464311 /nfs/dbraw/zinc/46/43/11/1055464311.db2.gz HZKHCJAZJRLYKJ-RYUDHWBXSA-N 0 3 312.226 4.129 20 0 DIADHN CC(C)(C)C(C)(C)CNCc1csc(-c2ccccn2)n1 ZINC000386408112 1055470497 /nfs/dbraw/zinc/47/04/97/1055470497.db2.gz XFCFMNTWIIYTPD-UHFFFAOYSA-N 0 3 303.475 4.367 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(Cl)cc1C ZINC001620841595 1055471391 /nfs/dbraw/zinc/47/13/91/1055471391.db2.gz ORPQOYBNLKRMCD-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN FCCn1cc(CN[C@H](c2ccccc2)C2CCCCC2)cn1 ZINC000921533597 1055471938 /nfs/dbraw/zinc/47/19/38/1055471938.db2.gz QWNHDZARTKBWRC-LJQANCHMSA-N 0 3 315.436 4.264 20 0 DIADHN FCCn1cc(CN[C@@H](c2ccccc2)C2CCCCC2)cn1 ZINC000921533587 1055472612 /nfs/dbraw/zinc/47/26/12/1055472612.db2.gz QWNHDZARTKBWRC-IBGZPJMESA-N 0 3 315.436 4.264 20 0 DIADHN CCCCn1nc(C)c(CNC[C@@]2(C)CCCS2)c1Cl ZINC001620857066 1055485095 /nfs/dbraw/zinc/48/50/95/1055485095.db2.gz ZWGXUIQWIIWOIU-OAHLLOKOSA-N 0 3 315.914 4.020 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccnc(OC(F)F)c2)c1 ZINC001237612000 1055485433 /nfs/dbraw/zinc/48/54/33/1055485433.db2.gz RZCJHSXARHPKEE-MRXNPFEDSA-N 0 3 318.367 4.329 20 0 DIADHN Cc1ccnc2cccc(CN3CCOC4(CCCCC4)C3)c12 ZINC001237628521 1055488279 /nfs/dbraw/zinc/48/82/79/1055488279.db2.gz GKUMLKAWHLGZHE-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN Cc1ccnc2cccc(CN3CCOC[C@@H]3C3CCCCC3)c12 ZINC001237635984 1055490301 /nfs/dbraw/zinc/49/03/01/1055490301.db2.gz KZKPDWGJTCOFTP-HXUWFJFHSA-N 0 3 324.468 4.324 20 0 DIADHN CCCN(CCc1ccccn1)Cc1ccc(OCC)cc1F ZINC001237633541 1055490785 /nfs/dbraw/zinc/49/07/85/1055490785.db2.gz UTDRQAVPJIBADQ-UHFFFAOYSA-N 0 3 316.420 4.074 20 0 DIADHN COc1ccccc1CN(C)Cc1cccc2nccc(C)c12 ZINC001237634660 1055491792 /nfs/dbraw/zinc/49/17/92/1055491792.db2.gz WLTXRXAGRSSURY-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN COc1ccsc1CN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC001620864250 1055492197 /nfs/dbraw/zinc/49/21/97/1055492197.db2.gz UNPIPCCGKHAFOP-GOEBONIOSA-N 0 3 317.454 4.014 20 0 DIADHN CCc1[nH]ncc1CN(CC)Cc1ccc(Cl)cc1Cl ZINC001237651912 1055493263 /nfs/dbraw/zinc/49/32/63/1055493263.db2.gz BCISFQZUHPYICO-UHFFFAOYSA-N 0 3 312.244 4.301 20 0 DIADHN CC(C)c1cnc(CNCC2(Cc3ccccc3)CC2)s1 ZINC001620867954 1055493807 /nfs/dbraw/zinc/49/38/07/1055493807.db2.gz ILUZMUNKBNFAIJ-UHFFFAOYSA-N 0 3 300.471 4.379 20 0 DIADHN CCc1n[nH]cc1CN1CCC[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC001237654128 1055493996 /nfs/dbraw/zinc/49/39/96/1055493996.db2.gz WCOQUEBFBDXPBC-MRXNPFEDSA-N 0 3 323.362 4.328 20 0 DIADHN Cc1nc2ccc(-c3ccccc3)cn2c1CN1CCC(F)CC1 ZINC001237653963 1055494263 /nfs/dbraw/zinc/49/42/63/1055494263.db2.gz BFKAZIMXEPHSRZ-UHFFFAOYSA-N 0 3 323.415 4.244 20 0 DIADHN CCN(Cc1c(C)nc2ccc(-c3ccccc3)cn21)C1CC1 ZINC001237653947 1055495231 /nfs/dbraw/zinc/49/52/31/1055495231.db2.gz ATSOCEFETRWSLP-UHFFFAOYSA-N 0 3 305.425 4.294 20 0 DIADHN Cc1nc(CNCCc2ccc(OC(C)(C)C)cc2)sc1C ZINC001620870735 1055498437 /nfs/dbraw/zinc/49/84/37/1055498437.db2.gz UZYOATUGGUCEIM-UHFFFAOYSA-N 0 3 318.486 4.270 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CNCCN3CCC3)o2)cc1 ZINC001620870761 1055498528 /nfs/dbraw/zinc/49/85/28/1055498528.db2.gz WAHICYZQHRZHTQ-UHFFFAOYSA-N 0 3 312.457 4.039 20 0 DIADHN Cc1cccc([C@H](C)NCc2cnn(-c3ccccc3C)c2)c1 ZINC001620873668 1055499095 /nfs/dbraw/zinc/49/90/95/1055499095.db2.gz MKNXCSAIFYHTBF-KRWDZBQOSA-N 0 3 305.425 4.340 20 0 DIADHN Oc1ccc(-c2ccc(CN3CC(c4cccnc4)C3)cc2)cc1 ZINC001237666045 1055500346 /nfs/dbraw/zinc/50/03/46/1055500346.db2.gz PBHNWRUZGFZZRF-UHFFFAOYSA-N 0 3 316.404 4.054 20 0 DIADHN CCOCCC1(CNCc2nc(-c3cccs3)oc2C)CC1 ZINC001620871462 1055500543 /nfs/dbraw/zinc/50/05/43/1055500543.db2.gz AZZLCDDHFWSNON-UHFFFAOYSA-N 0 3 320.458 4.008 20 0 DIADHN CC[C@@H](NCc1ccc(C(=O)OC)c(C)c1)c1ccc(F)cc1 ZINC001620873176 1055501118 /nfs/dbraw/zinc/50/11/18/1055501118.db2.gz SNJDIPGYSMYSPB-GOSISDBHSA-N 0 3 315.388 4.162 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1cc(O)cc(Cl)c1 ZINC001620877265 1055505456 /nfs/dbraw/zinc/50/54/56/1055505456.db2.gz WLSYFSSFNGNJJA-SGTLLEGYSA-N 0 3 301.817 4.459 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@H](C)NCc1ccc(C)cc1F ZINC001620877145 1055505782 /nfs/dbraw/zinc/50/57/82/1055505782.db2.gz SJVIXMBUFUICKC-SCLBCKFNSA-N 0 3 305.368 4.139 20 0 DIADHN CC[C@@H](NCc1cc(-c2ccccn2)n[nH]1)c1ccc(C)c(F)c1 ZINC001620878975 1055507092 /nfs/dbraw/zinc/50/70/92/1055507092.db2.gz GPLBORPRLQACAS-QGZVFWFLSA-N 0 3 324.403 4.160 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCCOc3cc(F)ccc32)cc1 ZINC001620883231 1055509440 /nfs/dbraw/zinc/50/94/40/1055509440.db2.gz RNMSJACTPKLCKW-ZDBPOJEVSA-N 0 3 315.363 4.482 20 0 DIADHN Cc1cc(CN2CC[C@@H](F)C(F)(F)CC2)ccc1OC(C)C ZINC001237712404 1055511754 /nfs/dbraw/zinc/51/17/54/1055511754.db2.gz CLOLKCQLRUDVLA-MRXNPFEDSA-N 0 3 315.379 4.351 20 0 DIADHN CCCCC/C(=C/c1ccccc1)CNCc1cnn(C)c1C ZINC001620887648 1055512721 /nfs/dbraw/zinc/51/27/21/1055512721.db2.gz MGHAYNYIUXJIEA-UYRXBGFRSA-N 0 3 311.473 4.482 20 0 DIADHN CC[C@H](NCC1(C(F)(F)F)CCCC1)c1ccc(F)cn1 ZINC000624273227 1055517295 /nfs/dbraw/zinc/51/72/95/1055517295.db2.gz YGPKOAMLVAXVKQ-LBPRGKRZSA-N 0 3 304.331 4.384 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(c2ccccn2)CC1 ZINC001237737028 1055518976 /nfs/dbraw/zinc/51/89/76/1055518976.db2.gz BUUQQQXYDNMZFN-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc(Cl)cc(Cl)c1N ZINC001620893287 1055519261 /nfs/dbraw/zinc/51/92/61/1055519261.db2.gz ICKDDPGFCSLDRD-VIFPVBQESA-N 0 3 315.166 4.396 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC(c2ccncc2)CC1 ZINC001237738272 1055519947 /nfs/dbraw/zinc/51/99/47/1055519947.db2.gz ORODKAVVUCYBHW-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN CC[C@@H](C)[C@@H](C(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000386653123 1055520006 /nfs/dbraw/zinc/52/00/06/1055520006.db2.gz QVEYUSDRIOQFCB-AUUYWEPGSA-N 0 3 308.425 4.058 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001237735850 1055520340 /nfs/dbraw/zinc/52/03/40/1055520340.db2.gz QZRCNMVJVBTIHU-UHFFFAOYSA-N 0 3 305.849 4.374 20 0 DIADHN CC(C)C1CCC(CN[C@H]2COc3cc(F)cc(F)c32)CC1 ZINC001620898835 1055526107 /nfs/dbraw/zinc/52/61/07/1055526107.db2.gz GQLKZLHIEDXEGC-ZUEPYMLJSA-N 0 3 309.400 4.450 20 0 DIADHN F[C@H]1CCCN(Cc2cc(-c3cccc(Cl)c3)no2)CC1 ZINC001237765405 1055525950 /nfs/dbraw/zinc/52/59/50/1055525950.db2.gz JHHBEQSGMMBNTG-AWEZNQCLSA-N 0 3 308.784 4.319 20 0 DIADHN Cc1nn(C)c(CNC2CCC3(CCCCC3)CC2)c1Cl ZINC001620902268 1055527135 /nfs/dbraw/zinc/52/71/35/1055527135.db2.gz BVRRFPOODSBEBU-UHFFFAOYSA-N 0 3 309.885 4.365 20 0 DIADHN FC[C@H]1CCCN(Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237772127 1055528541 /nfs/dbraw/zinc/52/85/41/1055528541.db2.gz FLKJUKLJXWEXLL-GFCCVEGCSA-N 0 3 308.784 4.177 20 0 DIADHN COc1cccc([C@H]2CCN(Cc3cnc(C4CC4)s3)C2)c1 ZINC001237791910 1055528728 /nfs/dbraw/zinc/52/87/28/1055528728.db2.gz JWISKMUGQIZQOJ-HNNXBMFYSA-N 0 3 314.454 4.019 20 0 DIADHN Clc1cccc(-c2cc(CN(CC3CC3)C3CC3)on2)c1 ZINC001237779678 1055528881 /nfs/dbraw/zinc/52/88/81/1055528881.db2.gz YLWHUYGRZCWEOM-UHFFFAOYSA-N 0 3 302.805 4.369 20 0 DIADHN Clc1cccc(-c2cc(CN3CCC4(CCC4)C3)on2)c1 ZINC001237775506 1055529809 /nfs/dbraw/zinc/52/98/09/1055529809.db2.gz XUUUFXPVYQGQPR-UHFFFAOYSA-N 0 3 302.805 4.371 20 0 DIADHN COc1ccc(F)cc1CN[C@@H](C)CSc1ccc(C)cc1 ZINC001620905381 1055531587 /nfs/dbraw/zinc/53/15/87/1055531587.db2.gz OIPJLXJZUCWSJA-AWEZNQCLSA-N 0 3 319.445 4.413 20 0 DIADHN CN(CCN[C@@H](c1ccco1)c1ccccc1)Cc1ccccc1 ZINC000386811441 1055532743 /nfs/dbraw/zinc/53/27/43/1055532743.db2.gz DQTOTBNGPKLCKH-OAQYLSRUSA-N 0 3 320.436 4.091 20 0 DIADHN Cc1ccccc1C1(CN[C@@H](C)c2cccnc2Cl)CC1 ZINC000765644471 1055533421 /nfs/dbraw/zinc/53/34/21/1055533421.db2.gz ZUUGGFQUOWMPNK-AWEZNQCLSA-N 0 3 300.833 4.426 20 0 DIADHN Cc1nc(CN2CCC[C@@H](Cc3ccc(F)cc3)C2)ccc1F ZINC001237823115 1055537685 /nfs/dbraw/zinc/53/76/85/1055537685.db2.gz ZJMAHIGIDGBYKX-INIZCTEOSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1nc(CN2CCC3(CCCc4ccccc43)CC2)ccc1F ZINC001237819073 1055537972 /nfs/dbraw/zinc/53/79/72/1055537972.db2.gz JKUBGWDYURIOCR-UHFFFAOYSA-N 0 3 324.443 4.399 20 0 DIADHN Cc1ccc([C@H](C)NC[C@](O)(c2ccccc2)C(F)(F)F)cc1 ZINC000765685665 1055541143 /nfs/dbraw/zinc/54/11/43/1055541143.db2.gz SYCLTZGJEBXHOK-YOEHRIQHSA-N 0 3 323.358 4.096 20 0 DIADHN CC[C@@H](NC[C@@](O)(c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000765684639 1055541653 /nfs/dbraw/zinc/54/16/53/1055541653.db2.gz PSOJJJVYLMJYHI-IAGOWNOFSA-N 0 3 323.358 4.177 20 0 DIADHN COc1cccc(CN2CCCCC[C@@H]2C)c1Br ZINC001237860075 1055543948 /nfs/dbraw/zinc/54/39/48/1055543948.db2.gz DFYNTDVDPLXMDC-LBPRGKRZSA-N 0 3 312.251 4.222 20 0 DIADHN COc1cccc(CN2CCCCC[C@H]2C)c1Br ZINC001237860073 1055545392 /nfs/dbraw/zinc/54/53/92/1055545392.db2.gz DFYNTDVDPLXMDC-GFCCVEGCSA-N 0 3 312.251 4.222 20 0 DIADHN CCc1ccc([C@@H](O)CNCc2ccc(C)cc2Cl)cc1 ZINC001620932215 1055547094 /nfs/dbraw/zinc/54/70/94/1055547094.db2.gz CAOLCSCZLDOJSB-SFHVURJKSA-N 0 3 303.833 4.034 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001237881725 1055547038 /nfs/dbraw/zinc/54/70/38/1055547038.db2.gz HMZGDVLAJROKNM-CABCVRRESA-N 0 3 313.445 4.031 20 0 DIADHN Cc1occc1CNC[C@H](OCC1CC1)c1ccc(Cl)cc1 ZINC001620933322 1055548689 /nfs/dbraw/zinc/54/86/89/1055548689.db2.gz NIIALTQOUVUWNW-SFHVURJKSA-N 0 3 319.832 4.499 20 0 DIADHN COc1cc(Cl)c(CNC2(C3(C)CC3)CC2)cc1Cl ZINC001620935419 1055549527 /nfs/dbraw/zinc/54/95/27/1055549527.db2.gz ORMFYLOZOPDWJS-UHFFFAOYSA-N 0 3 300.229 4.424 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN(C)CCc1ccc(C)cc1 ZINC001237882779 1055549473 /nfs/dbraw/zinc/54/94/73/1055549473.db2.gz QENKRPLSARSOFM-UHFFFAOYSA-N 0 3 323.440 4.001 20 0 DIADHN Cc1ccc(OC(F)F)c(CNC[C@]2(C)CC2(Cl)Cl)c1 ZINC001620941132 1055553124 /nfs/dbraw/zinc/55/31/24/1055553124.db2.gz OJVRBUCJPJRCBU-ZDUSSCGKSA-N 0 3 324.198 4.270 20 0 DIADHN CN(Cc1ccsc1)Cc1ccccc1C1CCOCC1 ZINC001237906197 1055553986 /nfs/dbraw/zinc/55/39/86/1055553986.db2.gz QCSWPNWCWMTKOF-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN CN(Cc1cccs1)Cc1ccccc1C1CCOCC1 ZINC001237906484 1055554808 /nfs/dbraw/zinc/55/48/08/1055554808.db2.gz UFCXINNSLWDGSA-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1ncc(Br)cc1F ZINC001620942750 1055555633 /nfs/dbraw/zinc/55/56/33/1055555633.db2.gz UTSYGKNZGWMNME-LLVKDONJSA-N 0 3 315.230 4.208 20 0 DIADHN CC1(C)OCC[C@@H]1NCc1ccc(-c2ccccc2Cl)o1 ZINC001620942056 1055556167 /nfs/dbraw/zinc/55/61/67/1055556167.db2.gz RICPXLDONHAXJV-INIZCTEOSA-N 0 3 305.805 4.257 20 0 DIADHN CCOc1cc(F)cc(CN(C)[C@H](C)c2ccc(OC)cc2)c1 ZINC001237922352 1055559874 /nfs/dbraw/zinc/55/98/74/1055559874.db2.gz YSXWMAXNDMLBBU-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN CN(Cc1ccc(COc2ccccc2)cc1)C1CC(F)(F)C1 ZINC001237927969 1055560690 /nfs/dbraw/zinc/56/06/90/1055560690.db2.gz SVLNXVQJRKWMQX-UHFFFAOYSA-N 0 3 317.379 4.495 20 0 DIADHN C[C@H]1CCCCN(Cc2csc(-c3ccc(O)cc3)n2)C1 ZINC001237978735 1055572429 /nfs/dbraw/zinc/57/24/29/1055572429.db2.gz ZCTKBRDRAOTQHZ-ZDUSSCGKSA-N 0 3 302.443 4.138 20 0 DIADHN C[C@@H]1CCCCCN1Cc1csc(-c2ccc(O)cc2)n1 ZINC001237980818 1055573778 /nfs/dbraw/zinc/57/37/78/1055573778.db2.gz PRHSGAYDAXRGTM-CYBMUJFWSA-N 0 3 302.443 4.280 20 0 DIADHN Clc1ccc2c(c1)n[nH]c2CN1CCC2(CCCC2)CC1 ZINC001237997970 1055576943 /nfs/dbraw/zinc/57/69/43/1055576943.db2.gz UOAWQQHOAFENKI-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnn(CC3CCC3)c1)CCC2 ZINC001620955284 1055577468 /nfs/dbraw/zinc/57/74/68/1055577468.db2.gz ZDZIKQYHJXPLAJ-HXUWFJFHSA-N 0 3 309.457 4.159 20 0 DIADHN C[C@@H]1CCCN(Cc2csc(-c3ccc(O)cc3)n2)CC1 ZINC001237987877 1055577480 /nfs/dbraw/zinc/57/74/80/1055577480.db2.gz ZTEGIXKAZXCZHB-CYBMUJFWSA-N 0 3 302.443 4.138 20 0 DIADHN FC1CC2(C1)CCN(Cc1cncc(Cl)c1Cl)CC2 ZINC001238006785 1055579969 /nfs/dbraw/zinc/57/99/69/1055579969.db2.gz VDHFCWMLVYVHMQ-UHFFFAOYSA-N 0 3 303.208 4.103 20 0 DIADHN CC(C)c1ccc(CN[C@@H](CN(C)C)c2ccc(F)cc2)cc1 ZINC001620956967 1055581353 /nfs/dbraw/zinc/58/13/53/1055581353.db2.gz PVIUILQYMKCXNC-FQEVSTJZSA-N 0 3 314.448 4.342 20 0 DIADHN Clc1ccc2c(c1)n[nH]c2CN1CCCc2ccccc2C1 ZINC001238001894 1055581786 /nfs/dbraw/zinc/58/17/86/1055581786.db2.gz YWQJKKJWIOMWRW-UHFFFAOYSA-N 0 3 311.816 4.165 20 0 DIADHN CN(Cc1[nH]nc2cc(Cl)ccc21)[C@@H]1CCc2ccccc21 ZINC001237999769 1055582514 /nfs/dbraw/zinc/58/25/14/1055582514.db2.gz WWXHRVLWFQMMMN-GOSISDBHSA-N 0 3 311.816 4.336 20 0 DIADHN CC(C)C1CCN(Cc2ccccc2Oc2cnccn2)CC1 ZINC001238020021 1055584276 /nfs/dbraw/zinc/58/42/76/1055584276.db2.gz PYMJUNMQIUNCDJ-UHFFFAOYSA-N 0 3 311.429 4.137 20 0 DIADHN COc1ncc(C2CC2)cc1CN1CCCC2(CCCC2)C1 ZINC001238024861 1055589127 /nfs/dbraw/zinc/58/91/27/1055589127.db2.gz SWYKKMUJSGIIQR-UHFFFAOYSA-N 0 3 300.446 4.124 20 0 DIADHN Cc1cc(Oc2ccccc2)ccc1CN1CC2(C1)CCOCC2 ZINC001238055434 1055593814 /nfs/dbraw/zinc/59/38/14/1055593814.db2.gz HFXBZDRZOVGZOK-UHFFFAOYSA-N 0 3 323.436 4.400 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@H]2C[C@@H](C)CC(C)(C)C2)o1 ZINC001595398809 1055595423 /nfs/dbraw/zinc/59/54/23/1055595423.db2.gz WDLMMAUYUMKINU-ZBFHGGJFSA-N 0 3 321.461 4.493 20 0 DIADHN CN(Cc1cc(F)cc(Br)c1F)C1CCCC1 ZINC001238077907 1055602642 /nfs/dbraw/zinc/60/26/42/1055602642.db2.gz XQRFJLKAEAFOTQ-UHFFFAOYSA-N 0 3 304.178 4.102 20 0 DIADHN Cc1ccnn1C1CCN(Cc2cc(C)c(Cl)c(C)c2)CC1 ZINC001238088348 1055603230 /nfs/dbraw/zinc/60/32/30/1055603230.db2.gz DATPTMQFNBLRTJ-UHFFFAOYSA-N 0 3 317.864 4.299 20 0 DIADHN Cc1ccc(OC2CCN(Cc3cc(O)ccc3C)CC2)cc1 ZINC001238092978 1055606242 /nfs/dbraw/zinc/60/62/42/1055606242.db2.gz BFPZXBACBAOKBN-UHFFFAOYSA-N 0 3 311.425 4.052 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cc(O)ccc2C)c1 ZINC001238099963 1055606349 /nfs/dbraw/zinc/60/63/49/1055606349.db2.gz VPFWXXNARCKRJU-FQEVSTJZSA-N 0 3 311.425 4.436 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc(O)ccc2C)cc1 ZINC001238105741 1055608708 /nfs/dbraw/zinc/60/87/08/1055608708.db2.gz HDTMENAERJNENX-HXUWFJFHSA-N 0 3 311.425 4.436 20 0 DIADHN C[C@@H](NCCc1c[nH]c2cc(F)c(Cl)cc12)c1nccs1 ZINC000624291710 1055612137 /nfs/dbraw/zinc/61/21/37/1055612137.db2.gz XWPAJHDJZUQTOR-SECBINFHSA-N 0 3 323.824 4.310 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1cccc(-c2cc[nH]n2)c1 ZINC001238205890 1055620636 /nfs/dbraw/zinc/62/06/36/1055620636.db2.gz HDHNJIIMFMFVEK-UHFFFAOYSA-N 0 3 311.816 4.362 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@@H]1CCC[C@H](C)C1 ZINC001595694371 1055621273 /nfs/dbraw/zinc/62/12/73/1055621273.db2.gz HZHBNBGIDGWROF-KSZLIROESA-N 0 3 308.510 4.067 20 0 DIADHN Cc1c(Cl)ccc(CN2CCC(c3ncccn3)CC2)c1C ZINC001238220193 1055622268 /nfs/dbraw/zinc/62/22/68/1055622268.db2.gz UWEWZPGZSXIUIW-UHFFFAOYSA-N 0 3 315.848 4.126 20 0 DIADHN C[C@@H](C1CC1)N(CC(=O)Nc1ccccc1C(C)(C)C)C1CC1 ZINC000029725622 1055622214 /nfs/dbraw/zinc/62/22/14/1055622214.db2.gz FFEMISLIFHSVMZ-AWEZNQCLSA-N 0 3 314.473 4.186 20 0 DIADHN COC(=O)CC1CCN(Cc2ccc(Cl)c(C(C)C)c2)CC1 ZINC001238220073 1055622459 /nfs/dbraw/zinc/62/24/59/1055622459.db2.gz BSLUULRPIAJRIK-UHFFFAOYSA-N 0 3 323.864 4.239 20 0 DIADHN c1csc(-c2cccc(CN3CC[C@H](c4ccccn4)C3)n2)c1 ZINC001238220136 1055623051 /nfs/dbraw/zinc/62/30/51/1055623051.db2.gz OFEPTBNGPRJKSE-HNNXBMFYSA-N 0 3 321.449 4.195 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001595720008 1055623835 /nfs/dbraw/zinc/62/38/35/1055623835.db2.gz LKHATRIILKSVDP-AEFFLSMTSA-N 0 3 320.521 4.068 20 0 DIADHN CCCCCC[C@H](CC)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001595722468 1055623955 /nfs/dbraw/zinc/62/39/55/1055623955.db2.gz YFBWHSLFKMYDSR-ROUUACIJSA-N 0 3 322.537 4.458 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)N1CCN(C2CCCC2)[C@@H](C)C1 ZINC001595720011 1055624835 /nfs/dbraw/zinc/62/48/35/1055624835.db2.gz LKHATRIILKSVDP-WMZOPIPTSA-N 0 3 320.521 4.068 20 0 DIADHN COCCC1CCN(Cc2cccc(-c3cccs3)n2)CC1 ZINC001238221709 1055625315 /nfs/dbraw/zinc/62/53/15/1055625315.db2.gz WWDYBWFVTPMZNG-UHFFFAOYSA-N 0 3 316.470 4.059 20 0 DIADHN Cc1noc([C@H]2CCN(Cc3ccc(Cl)c(C(C)C)c3)C2)n1 ZINC001238226336 1055625493 /nfs/dbraw/zinc/62/54/93/1055625493.db2.gz SYBBICIOVIDBRA-AWEZNQCLSA-N 0 3 319.836 4.144 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@]1(C)CCC[C@@H]1C)c1ccsc1 ZINC001595729068 1055625715 /nfs/dbraw/zinc/62/57/15/1055625715.db2.gz ANBWCQQNZUBHHW-YXJHDRRASA-N 0 3 322.518 4.074 20 0 DIADHN Cc1c(Cl)ccc(CN2CC[C@H](Oc3ccncc3)C2)c1C ZINC001238220894 1055625856 /nfs/dbraw/zinc/62/58/56/1055625856.db2.gz YJSPKEOCMSOUKC-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN CCC[C@H](C(=O)NC[C@@H](c1ccsc1)N(CC)CC)C(C)C ZINC001595731093 1055626114 /nfs/dbraw/zinc/62/61/14/1055626114.db2.gz ULZHIDCLFBDXEX-IRXDYDNUSA-N 0 3 324.534 4.320 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@@](C)(O)[C@@H](C)C1 ZINC001238265426 1055634030 /nfs/dbraw/zinc/63/40/30/1055634030.db2.gz ZELSYLWBRPUVSL-BLLLJJGKSA-N 0 3 316.272 4.285 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@](C)(O)[C@@H](C)C1 ZINC001238265429 1055634400 /nfs/dbraw/zinc/63/44/00/1055634400.db2.gz ZELSYLWBRPUVSL-LRDDRELGSA-N 0 3 316.272 4.285 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cccc(F)c1OC(C)C ZINC001238318397 1055639320 /nfs/dbraw/zinc/63/93/20/1055639320.db2.gz FMADNKTUGNHUMX-INIZCTEOSA-N 0 3 309.425 4.004 20 0 DIADHN Fc1ccc(CN2C[C@H]3CC[C@@H]2C3)cc1OCc1ccccc1 ZINC001238345595 1055643177 /nfs/dbraw/zinc/64/31/77/1055643177.db2.gz UGYOUGWTKWWJMY-FUHWJXTLSA-N 0 3 311.400 4.389 20 0 DIADHN Fc1cc(CN2CCC3(CC3)C2)cc(OCc2ccccc2)c1 ZINC001238379137 1055649262 /nfs/dbraw/zinc/64/92/62/1055649262.db2.gz KOKHMIKLVVORNO-UHFFFAOYSA-N 0 3 311.400 4.391 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2C(C)(C)OC)c(Cl)c1 ZINC001238398371 1055651516 /nfs/dbraw/zinc/65/15/16/1055651516.db2.gz AROKSPXLXKJMHL-MRXNPFEDSA-N 0 3 311.853 4.128 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccccn3)C2)c(Cl)c1 ZINC001238398236 1055651813 /nfs/dbraw/zinc/65/18/13/1055651813.db2.gz AIIJEIWEUQTMIO-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2ncc(C)cc2C)cc1 ZINC001238429969 1055658569 /nfs/dbraw/zinc/65/85/69/1055658569.db2.gz GOWGFVDJPPFFBQ-HXUWFJFHSA-N 0 3 310.441 4.434 20 0 DIADHN Cc1cnc(CN(Cc2ccccn2)C2CCCCC2)c(C)c1 ZINC001238442169 1055659566 /nfs/dbraw/zinc/65/95/66/1055659566.db2.gz STNLCTIFJXBFAS-UHFFFAOYSA-N 0 3 309.457 4.428 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)[C@H]1CC[C@H]2CCCCN21 ZINC001596047580 1055662662 /nfs/dbraw/zinc/66/26/62/1055662662.db2.gz RAODSIFLVKNMGO-GDBMZVCRSA-N 0 3 318.486 4.143 20 0 DIADHN CC(C)Oc1cncc(CN2CCC[C@@H](c3ccccc3)C2)c1 ZINC001238465343 1055665536 /nfs/dbraw/zinc/66/55/36/1055665536.db2.gz KIBCOQMXOPUXHO-LJQANCHMSA-N 0 3 310.441 4.248 20 0 DIADHN CC(C)Oc1cncc(CN2CCC[C@H](c3ccccc3)C2)c1 ZINC001238465339 1055666433 /nfs/dbraw/zinc/66/64/33/1055666433.db2.gz KIBCOQMXOPUXHO-IBGZPJMESA-N 0 3 310.441 4.248 20 0 DIADHN CCCCOc1ncc(CN2C[C@H]3[C@H](CCC3(F)F)C2)cc1C ZINC001238489791 1055671746 /nfs/dbraw/zinc/67/17/46/1055671746.db2.gz LQWBUYIQDCEWQC-CVEARBPZSA-N 0 3 324.415 4.046 20 0 DIADHN Fc1ccc(CN2CCC(c3ccncc3)CC2)cc1C1CC1 ZINC001238501135 1055673489 /nfs/dbraw/zinc/67/34/89/1055673489.db2.gz LMZNCWSZWBSNJR-UHFFFAOYSA-N 0 3 310.416 4.478 20 0 DIADHN c1ccc2cc(CNCc3ccnc(N4CCCC4)c3)ccc2c1 ZINC000042207657 1055676110 /nfs/dbraw/zinc/67/61/10/1055676110.db2.gz SVFPSJBHQPCYCH-UHFFFAOYSA-N 0 3 317.436 4.125 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccc(NC(C)=O)cc2)cc1 ZINC000042202592 1055676854 /nfs/dbraw/zinc/67/68/54/1055676854.db2.gz VCMHVPUFUSLWRH-ZDUSSCGKSA-N 0 3 314.454 4.218 20 0 DIADHN Cc1ccccc1NC(=O)CN[C@H](c1ccccc1)C1CCC1 ZINC000104700991 1055677685 /nfs/dbraw/zinc/67/76/85/1055677685.db2.gz QMFZLSHCQNENHK-HXUWFJFHSA-N 0 3 308.425 4.065 20 0 DIADHN COc1cc([C@H](C)NCc2ccccc2CC(F)(F)F)ccn1 ZINC000624308478 1055678300 /nfs/dbraw/zinc/67/83/00/1055678300.db2.gz NRMDWTJXYUJUIR-LBPRGKRZSA-N 0 3 324.346 4.046 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@@H](c1ccccc1)C1CCC1 ZINC000104700926 1055678372 /nfs/dbraw/zinc/67/83/72/1055678372.db2.gz IRAAFAMTRWMCLZ-NRFANRHFSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1cc(CN2CC[C@H]3OCC[C@H]32)ccc1Oc1ccccc1 ZINC001238525705 1055678627 /nfs/dbraw/zinc/67/86/27/1055678627.db2.gz JEEIFDNXTODJOG-UYAOXDASSA-N 0 3 309.409 4.151 20 0 DIADHN Fc1ccc(CN2CC[C@@]3(CC3(F)F)C2)cc1-c1ccncc1 ZINC001238555292 1055684785 /nfs/dbraw/zinc/68/47/85/1055684785.db2.gz MDTHYFRUDOWABG-QGZVFWFLSA-N 0 3 318.342 4.119 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2cc(C)ccc2Cl)C[C@H]1C ZINC001238562086 1055686820 /nfs/dbraw/zinc/68/68/20/1055686820.db2.gz AWDTZBHZLFTDJT-HUUCEWRRSA-N 0 3 323.864 4.060 20 0 DIADHN CCOC(=O)CCC1CCN(Cc2cc(C)ccc2Cl)CC1 ZINC001238556227 1055687134 /nfs/dbraw/zinc/68/71/34/1055687134.db2.gz JCBWEHOHJLXTPD-UHFFFAOYSA-N 0 3 323.864 4.204 20 0 DIADHN C[C@]1(NCc2ncc(Br)cc2Cl)CC=CCC1 ZINC001620966247 1055692040 /nfs/dbraw/zinc/69/20/40/1055692040.db2.gz QSGXQAHQUCCWKG-ZDUSSCGKSA-N 0 3 315.642 4.086 20 0 DIADHN COc1cc(C)cc(F)c1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001238596592 1055693980 /nfs/dbraw/zinc/69/39/80/1055693980.db2.gz VZTUNXSXIXFQFU-AWEZNQCLSA-N 0 3 309.400 4.247 20 0 DIADHN COc1cc(CN2CC[C@H]3CCC[C@H]3C2)c(-c2ccccc2)cn1 ZINC001238599005 1055694607 /nfs/dbraw/zinc/69/46/07/1055694607.db2.gz DXRPVPFZQAEGMF-AEFFLSMTSA-N 0 3 322.452 4.379 20 0 DIADHN Cc1cc(CN2CCCOC(C)(C)C2)cnc1-c1ccccc1 ZINC001238612362 1055696124 /nfs/dbraw/zinc/69/61/24/1055696124.db2.gz QSHJDEPGLDQDTG-UHFFFAOYSA-N 0 3 310.441 4.058 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC2CCC1CC2 ZINC001238608317 1055696151 /nfs/dbraw/zinc/69/61/51/1055696151.db2.gz RXDMZYAZISMVAI-UHFFFAOYSA-N 0 3 308.425 4.132 20 0 DIADHN CCO[C@H]1CCCN(Cc2cnc(-c3ccccc3)c(C)c2)C1 ZINC001238612262 1055696401 /nfs/dbraw/zinc/69/64/01/1055696401.db2.gz PNJGTVNWDNMSET-IBGZPJMESA-N 0 3 310.441 4.058 20 0 DIADHN Cc1cc(CN2CC[C@@H]3OCCC[C@H]3C2)cnc1-c1ccccc1 ZINC001238606314 1055696826 /nfs/dbraw/zinc/69/68/26/1055696826.db2.gz KEFSPAWLTLWDHN-PMACEKPBSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@H]3OCCC[C@H]3C2)c1 ZINC001238618008 1055698540 /nfs/dbraw/zinc/69/85/40/1055698540.db2.gz FPZGQXGISFLXED-AZUAARDMSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cc(CN2CC[C@H](OC(C)C)C2)cnc1-c1ccccc1 ZINC001238613868 1055699249 /nfs/dbraw/zinc/69/92/49/1055699249.db2.gz CYPMSJSQNGXQLB-IBGZPJMESA-N 0 3 310.441 4.056 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@H](C(F)(F)F)C2)c1 ZINC001238623268 1055700991 /nfs/dbraw/zinc/70/09/91/1055700991.db2.gz DRTHSLLKXOFTOI-INIZCTEOSA-N 0 3 320.358 4.441 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccc3F)C2)cnc1C1CC1 ZINC001238618912 1055702069 /nfs/dbraw/zinc/70/20/69/1055702069.db2.gz XBTMAOWNQJQAKJ-QGZVFWFLSA-N 0 3 310.416 4.396 20 0 DIADHN Cc1cc(CN2CC[C@H](c3cccc(F)c3)C2)cnc1C1CC1 ZINC001238627849 1055703001 /nfs/dbraw/zinc/70/30/01/1055703001.db2.gz MBLHUHXJPQYFJF-SFHVURJKSA-N 0 3 310.416 4.396 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC(c3cccnc3)C2)c1 ZINC001238627017 1055704338 /nfs/dbraw/zinc/70/43/38/1055704338.db2.gz WJCNPSPHYGQMOH-UHFFFAOYSA-N 0 3 315.420 4.051 20 0 DIADHN COc1ccc(-c2ccc(F)cc2OC)cc1CN1CC[C@H]1C ZINC001238645189 1055707350 /nfs/dbraw/zinc/70/73/50/1055707350.db2.gz ORROKWJDXDBSFC-CYBMUJFWSA-N 0 3 315.388 4.104 20 0 DIADHN CCCCN(CCCC)Cc1nnc(-c2ccc(OC)cc2)o1 ZINC000044744195 1055713884 /nfs/dbraw/zinc/71/38/84/1055713884.db2.gz JXZVUHAJPDUGPJ-UHFFFAOYSA-N 0 3 317.433 4.147 20 0 DIADHN CSc1ccc(Cl)cc1CN1CCC[C@]2(CCOC2)C1 ZINC001238672494 1055714380 /nfs/dbraw/zinc/71/43/80/1055714380.db2.gz RPFGXESQDIQNOL-INIZCTEOSA-N 0 3 311.878 4.064 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@@H](c2ccncc2)C1 ZINC001238674526 1055717709 /nfs/dbraw/zinc/71/77/09/1055717709.db2.gz UQXIVYAFMGZGNW-CQSZACIVSA-N 0 3 318.873 4.446 20 0 DIADHN CSc1cc(C)cc(CN2C[C@H]3c4ccccc4C(=O)[C@H]3C2)c1 ZINC001238674043 1055718017 /nfs/dbraw/zinc/71/80/17/1055718017.db2.gz BYQOEYXPXASFTL-OALUTQOASA-N 0 3 323.461 4.129 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NC(=O)C1(N(C)C)CCCC1 ZINC001565728655 1055722941 /nfs/dbraw/zinc/72/29/41/1055722941.db2.gz BBWIELFVDBQMMT-GOSISDBHSA-N 0 3 316.489 4.073 20 0 DIADHN CC(C)CN1CCC[C@@H]1C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001538812931 1055725351 /nfs/dbraw/zinc/72/53/51/1055725351.db2.gz OANHIWWHWMIOKS-CQSZACIVSA-N 0 3 315.244 4.052 20 0 DIADHN CC(C)Oc1cc(F)ccc1CN1CCC2(CC(F)C2)CC1 ZINC001238696196 1055725423 /nfs/dbraw/zinc/72/54/23/1055725423.db2.gz WGELROCEHQZAIT-UHFFFAOYSA-N 0 3 309.400 4.327 20 0 DIADHN CC(C)Oc1cc(F)ccc1CN(C)CCc1cccs1 ZINC001238700462 1055726504 /nfs/dbraw/zinc/72/65/04/1055726504.db2.gz CHHRVWOPEXLPOX-UHFFFAOYSA-N 0 3 307.434 4.349 20 0 DIADHN CCCCCCc1ccc(CN2CCc3nccn3CC2)s1 ZINC001238704278 1055727739 /nfs/dbraw/zinc/72/77/39/1055727739.db2.gz WKQFFSDUYVFSSY-UHFFFAOYSA-N 0 3 317.502 4.126 20 0 DIADHN CCCCOc1ccc(CN[C@H]2CCc3c2cccc3O)cc1 ZINC000105487233 1055729728 /nfs/dbraw/zinc/72/97/28/1055729728.db2.gz NXPRXPRJQRQLMA-IBGZPJMESA-N 0 3 311.425 4.348 20 0 DIADHN CC(C)Oc1c(CN(C)CC2CC(F)(F)C2)ccc(F)c1F ZINC001238750219 1055739565 /nfs/dbraw/zinc/73/95/65/1055739565.db2.gz LFWSPRHRISJYLJ-UHFFFAOYSA-N 0 3 319.342 4.229 20 0 DIADHN COc1c(CN2CCC[C@@H]3C[C@@H]32)cccc1OCc1ccccc1 ZINC001238750956 1055740198 /nfs/dbraw/zinc/74/01/98/1055740198.db2.gz ZSIWDJTYJNZVKA-MJGOQNOKSA-N 0 3 323.436 4.259 20 0 DIADHN Cc1cc(CN2CC[C@H]3CCCO[C@@H]3C2)cc(C)c1OC(C)C ZINC001238753637 1055741406 /nfs/dbraw/zinc/74/14/06/1055741406.db2.gz ONXLJWFUBBPIJN-RTBURBONSA-N 0 3 317.473 4.092 20 0 DIADHN C[C@H]1CCC[C@H](C)N1Cc1cccnc1OCc1ccccc1 ZINC001238773323 1055747143 /nfs/dbraw/zinc/74/71/43/1055747143.db2.gz VLBRZTWANSCNRR-IRXDYDNUSA-N 0 3 310.441 4.424 20 0 DIADHN C[C@@H]1C[C@H](NCc2cc(C(F)(F)F)ccc2Cl)CS1 ZINC001620970593 1055750815 /nfs/dbraw/zinc/75/08/15/1055750815.db2.gz OYYYUPQBANUQCW-KCJUWKMLSA-N 0 3 309.784 4.342 20 0 DIADHN Cc1cc(F)cc(CN2CC[C@@H](c3ccncc3)C2)c1Cl ZINC001238788547 1055753422 /nfs/dbraw/zinc/75/34/22/1055753422.db2.gz WCVFLVWOWIHLFY-CQSZACIVSA-N 0 3 304.796 4.172 20 0 DIADHN CN1CCC(Oc2ccnc(-c3ccc(C4CC4)cc3)c2)CC1 ZINC001238831206 1055758683 /nfs/dbraw/zinc/75/86/83/1055758683.db2.gz IPIJDURJLMFOMC-UHFFFAOYSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)c1 ZINC001566424537 1055771472 /nfs/dbraw/zinc/77/14/72/1055771472.db2.gz VLVALKCMUOKLQZ-YWZLYKJASA-N 0 3 316.489 4.458 20 0 DIADHN CC[C@@H](CC(=O)Nc1cc(C)cc(CN(C)C)c1)C(C)(C)C ZINC001566423912 1055772133 /nfs/dbraw/zinc/77/21/33/1055772133.db2.gz AGNRFHXGQQVGQX-INIZCTEOSA-N 0 3 304.478 4.458 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CCCCc2ccccc2)c1 ZINC001566424621 1055773458 /nfs/dbraw/zinc/77/34/58/1055773458.db2.gz XYOSJTGUZLQDNQ-UHFFFAOYSA-N 0 3 324.468 4.408 20 0 DIADHN Clc1ccc(C2(CNCc3nc4ccccc4o3)CC2)cc1 ZINC001649054706 1055775200 /nfs/dbraw/zinc/77/52/00/1055775200.db2.gz GEHYANXGEDRUJL-UHFFFAOYSA-N 0 3 312.800 4.303 20 0 DIADHN c1sc2ccccc2c1-c1cncc([C@H]2CN3CCC2CC3)n1 ZINC001238888121 1055782239 /nfs/dbraw/zinc/78/22/39/1055782239.db2.gz UUYGYPUWLZHXEB-HNNXBMFYSA-N 0 3 321.449 4.168 20 0 DIADHN COc1ccc(OCCCN2CCc3sccc3[C@H]2C)cc1 ZINC000057645393 1055784285 /nfs/dbraw/zinc/78/42/85/1055784285.db2.gz FPSGNKVZMKFUNM-CQSZACIVSA-N 0 3 317.454 4.145 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)c(C)n1 ZINC000624329214 1055788345 /nfs/dbraw/zinc/78/83/45/1055788345.db2.gz PNYWRLNBJYTQHF-KPZWWZAWSA-N 0 3 315.848 4.475 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1)N1CCC(NC(=O)C(C)C)CC1 ZINC000057861802 1055790367 /nfs/dbraw/zinc/79/03/67/1055790367.db2.gz WBISGKPITKVESZ-KRWDZBQOSA-N 0 3 322.880 4.028 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@@H]1CCCn3nccc31)CCC2 ZINC000624329774 1055792289 /nfs/dbraw/zinc/79/22/89/1055792289.db2.gz HDVPMBKVUHACNG-HZPDHXFCSA-N 0 3 301.821 4.039 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCCc3ccc(Cl)cc32)ccn1 ZINC000624329615 1055792465 /nfs/dbraw/zinc/79/24/65/1055792465.db2.gz CGDJZTFLOKZORE-SJKOYZFVSA-N 0 3 316.832 4.472 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@@H](C)c2ccccc21)N1[C@H](C)CC[C@H]1C ZINC001567385369 1055801114 /nfs/dbraw/zinc/80/11/14/1055801114.db2.gz HMQRQAJHJWIECG-VQHPVUNQSA-N 0 3 314.473 4.178 20 0 DIADHN FC(F)(F)c1cccc(CNCc2cc3ccccc3[nH]2)c1 ZINC000058474431 1055802680 /nfs/dbraw/zinc/80/26/80/1055802680.db2.gz FKQMJAPVENVBPJ-UHFFFAOYSA-N 0 3 304.315 4.477 20 0 DIADHN COc1cc(CN(C)Cc2cccc(C)c2)ccc1SC ZINC000058528220 1055804224 /nfs/dbraw/zinc/80/42/24/1055804224.db2.gz TVNHZYCPSPWOQN-UHFFFAOYSA-N 0 3 301.455 4.358 20 0 DIADHN C[C@@H](NC(=O)CN(C)CC(C)(C)C)c1cc2ccccc2s1 ZINC001567648188 1055810379 /nfs/dbraw/zinc/81/03/79/1055810379.db2.gz NFNANYPUJSOCGB-CYBMUJFWSA-N 0 3 318.486 4.056 20 0 DIADHN CCOc1cc(C)c(-c2cccc(CN3CCCC3)c2F)cn1 ZINC001238980316 1055812779 /nfs/dbraw/zinc/81/27/79/1055812779.db2.gz VGHSXDZAGURZLA-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN COc1cccc(OC)c1CNC1CC(c2ccccc2C)C1 ZINC000671715951 1055818138 /nfs/dbraw/zinc/81/81/38/1055818138.db2.gz FEHJPPONABPPCY-UHFFFAOYSA-N 0 3 311.425 4.048 20 0 DIADHN COc1cccc(CN(C)Cc2cccc(C(F)(F)F)c2)c1 ZINC000058875568 1055818639 /nfs/dbraw/zinc/81/86/39/1055818639.db2.gz WKAKLFUHAQOTJJ-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC(Oc2ccccn2)CC1 ZINC000348509305 1055824253 /nfs/dbraw/zinc/82/42/53/1055824253.db2.gz MSQYNJPDERFRIV-SFHVURJKSA-N 0 3 314.404 4.215 20 0 DIADHN c1oc2ccccc2c1-c1cccc2cc([C@H]3CNCCO3)cn21 ZINC001239064740 1055837550 /nfs/dbraw/zinc/83/75/50/1055837550.db2.gz PNVDPBQHYFDRPN-HXUWFJFHSA-N 0 3 318.376 4.013 20 0 DIADHN Cc1cccc(C2(CNCc3cscn3)CCCCC2)c1 ZINC000060526631 1055839478 /nfs/dbraw/zinc/83/94/78/1055839478.db2.gz AKNAJVDPFJCFDP-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN O=C(Nc1ccc(Cl)cc1)c1cccc(CN2CCCC2)c1 ZINC000060549340 1055841752 /nfs/dbraw/zinc/84/17/52/1055841752.db2.gz BENUXMMHPHATEX-UHFFFAOYSA-N 0 3 314.816 4.188 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1cccc(-c2ccccc2)c1 ZINC001568712586 1055843211 /nfs/dbraw/zinc/84/32/11/1055843211.db2.gz ADQJEFNDHPGVPN-FQEVSTJZSA-N 0 3 322.452 4.413 20 0 DIADHN CCc1ccccc1NC(=O)CN([C@@H](C)[C@H](C)CC)C1CC1 ZINC000060562587 1055844320 /nfs/dbraw/zinc/84/43/20/1055844320.db2.gz SJFHNMNDLVXIRU-CABCVRRESA-N 0 3 302.462 4.087 20 0 DIADHN O=C(Nc1cccc2c1CNC2)Nc1ccc(Cl)cc1Cl ZINC001239190914 1055846272 /nfs/dbraw/zinc/84/62/72/1055846272.db2.gz XLESBZVGWVKUPO-UHFFFAOYSA-N 0 3 322.195 4.241 20 0 DIADHN CCCCc1ccc(NC(=O)N2CCN[C@H](CCCC)C2)cc1 ZINC001239293281 1055860176 /nfs/dbraw/zinc/86/01/76/1055860176.db2.gz DAQGPZLUDMXPBQ-GOSISDBHSA-N 0 3 317.477 4.025 20 0 DIADHN CC(C)(C)OC(=O)C(C)(C)CCNCc1ccccc1Cl ZINC001620978245 1055870767 /nfs/dbraw/zinc/87/07/67/1055870767.db2.gz ARSAAOYRHGTLCU-UHFFFAOYSA-N 0 3 311.853 4.188 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)N(C)[C@@H]1CCCN(C)C1 ZINC001539624206 1055872941 /nfs/dbraw/zinc/87/29/41/1055872941.db2.gz KZQACVLYZXLFHA-MJGOQNOKSA-N 0 3 310.526 4.316 20 0 DIADHN F[C@]1(c2ccccc2)C[C@H]1N[C@@H]1CCOC2(CCCCC2)C1 ZINC001620978997 1055883208 /nfs/dbraw/zinc/88/32/08/1055883208.db2.gz HKZIJCNOOWRRSF-LMMKCTJWSA-N 0 3 303.421 4.095 20 0 DIADHN COc1ccc(-c2cc(CN3CCC4(CCC4)CC3)on2)cc1 ZINC000671729122 1055885570 /nfs/dbraw/zinc/88/55/70/1055885570.db2.gz WXHLSDFADWTTHC-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)CC(C)(C)C)c1ccc(Cl)cc1 ZINC001539701173 1055885616 /nfs/dbraw/zinc/88/56/16/1055885616.db2.gz KVPRFNCYYSSEJJ-INIZCTEOSA-N 0 3 324.896 4.275 20 0 DIADHN C[C@H]1COCC[C@@H]1CNCc1c(Cl)ccc(Cl)c1Cl ZINC000671727107 1055886158 /nfs/dbraw/zinc/88/61/58/1055886158.db2.gz GCAUTOXWUZIBLA-VHSXEESVSA-N 0 3 322.663 4.409 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCN(c2ccccn2)CC1 ZINC000064030641 1055908836 /nfs/dbraw/zinc/90/88/36/1055908836.db2.gz LMQAUFOLBZPYGB-QGZVFWFLSA-N 0 3 317.436 4.118 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CCc2ccccc2C1 ZINC000064030315 1055910014 /nfs/dbraw/zinc/91/00/14/1055910014.db2.gz GSCCQSABSCFFLY-CYBMUJFWSA-N 0 3 303.352 4.407 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCN(c2nccs2)CC1 ZINC000064139884 1055911007 /nfs/dbraw/zinc/91/10/07/1055911007.db2.gz KOZWQPVHQICNIH-OAHLLOKOSA-N 0 3 323.465 4.180 20 0 DIADHN Cc1ccccc1C1(NCc2cnc3c(F)cccc3c2)CC1 ZINC001620981258 1055915752 /nfs/dbraw/zinc/91/57/52/1055915752.db2.gz JPERRSBDQDFLTJ-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN COc1ncc(-c2ccc(F)c(CN3CCCCC3)c2)cc1F ZINC001239517649 1055925063 /nfs/dbraw/zinc/92/50/63/1055925063.db2.gz AHLKAIRLPQGEEU-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CC[C@H](CNCc1ccn(-c2ccccc2)n1)c1ccccc1 ZINC001620751388 1055925291 /nfs/dbraw/zinc/92/52/91/1055925291.db2.gz LCUFDGYXANGGPC-QGZVFWFLSA-N 0 3 305.425 4.156 20 0 DIADHN Fc1ccc(OCCCNCc2ccc(F)cc2Cl)cc1 ZINC001620752066 1055925347 /nfs/dbraw/zinc/92/53/47/1055925347.db2.gz SVQPXSJYKPLXNP-UHFFFAOYSA-N 0 3 311.759 4.177 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cc4cnccc4o3)cc2c1C ZINC001620752300 1055926532 /nfs/dbraw/zinc/92/65/32/1055926532.db2.gz GFMRYWBVGBVZOA-UHFFFAOYSA-N 0 3 305.381 4.216 20 0 DIADHN Cc1nc(C)c([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671742272 1055931424 /nfs/dbraw/zinc/93/14/24/1055931424.db2.gz NCOZBSJDAUOOAD-DTWKUNHWSA-N 0 3 317.414 4.019 20 0 DIADHN C[C@H](CNCc1cc(Cl)ccc1F)N1CCc2ccccc21 ZINC001620760185 1055931565 /nfs/dbraw/zinc/93/15/65/1055931565.db2.gz UMXBIZGNFCMHTP-CYBMUJFWSA-N 0 3 318.823 4.020 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)c(C)c1 ZINC000671744480 1055936328 /nfs/dbraw/zinc/93/63/28/1055936328.db2.gz AUWUJZRJTKQUAJ-AWEZNQCLSA-N 0 3 310.397 4.309 20 0 DIADHN CC(C)N(Cc1ccn(-c2cccc(F)c2)n1)C1CCCC1 ZINC001615886741 1055939149 /nfs/dbraw/zinc/93/91/49/1055939149.db2.gz DURPCTYLJZVJST-UHFFFAOYSA-N 0 3 301.409 4.164 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc2c(c1)CCC2 ZINC000671743030 1055939403 /nfs/dbraw/zinc/93/94/03/1055939403.db2.gz NLPZTXAMLCJOBV-OLZOCXBDSA-N 0 3 322.408 4.434 20 0 DIADHN COc1cc(C)c(CNCc2ccc3ccccc3c2)cc1OC ZINC001620773547 1055940254 /nfs/dbraw/zinc/94/02/54/1055940254.db2.gz JUSAAPASHPNOQV-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN CCOc1c(Cl)cccc1CNCCOC1CCCCC1 ZINC001620775152 1055940393 /nfs/dbraw/zinc/94/03/93/1055940393.db2.gz NVQDSYCZJALZGP-UHFFFAOYSA-N 0 3 311.853 4.178 20 0 DIADHN COc1ccc(-c2ccccc2C(F)(F)F)cc1CN(C)C ZINC001239545116 1055944372 /nfs/dbraw/zinc/94/43/72/1055944372.db2.gz LPABMDOBLNRLOT-UHFFFAOYSA-N 0 3 309.331 4.443 20 0 DIADHN COc1ccccc1-c1nc(CN(C)[C@@H]2CCCC[C@H]2C)co1 ZINC001617217630 1055944963 /nfs/dbraw/zinc/94/49/63/1055944963.db2.gz UAFBTILCMOJTHJ-RHSMWYFYSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1nc(CNCCCCOc2ccc(Cl)cc2)sc1C ZINC001620782921 1055951501 /nfs/dbraw/zinc/95/15/01/1055951501.db2.gz NIBCOGLHFMHIDG-UHFFFAOYSA-N 0 3 324.877 4.362 20 0 DIADHN O=c1cc(CNCc2ccccc2Sc2ccccc2)cc[nH]1 ZINC001620783386 1055952079 /nfs/dbraw/zinc/95/20/79/1055952079.db2.gz JKQMHZRFQPKBAG-UHFFFAOYSA-N 0 3 322.433 4.228 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@@H](CC(C)(C)C)C2)c1 ZINC001618599503 1055952589 /nfs/dbraw/zinc/95/25/89/1055952589.db2.gz MUWIQWBEGPJOEX-ZDUSSCGKSA-N 0 3 323.864 4.385 20 0 DIADHN CC(C)c1cc(CN[C@H](C)CCc2ccc(F)cc2F)on1 ZINC000671747783 1055954097 /nfs/dbraw/zinc/95/40/97/1055954097.db2.gz OLTDZXJQJCSHIW-GFCCVEGCSA-N 0 3 308.372 4.187 20 0 DIADHN Fc1ccc(OCc2ccc(CNCc3ccncc3)cc2)cc1 ZINC001620984881 1055956511 /nfs/dbraw/zinc/95/65/11/1055956511.db2.gz JOYPFHBOMLWWRK-UHFFFAOYSA-N 0 3 322.383 4.090 20 0 DIADHN COC[C@@H](CC(C)(C)C)NCc1cscc1Br ZINC001620986250 1055958698 /nfs/dbraw/zinc/95/86/98/1055958698.db2.gz JFRMACRXQZDDQI-LLVKDONJSA-N 0 3 320.296 4.051 20 0 DIADHN COc1ccc(CN[C@H](COc2ccccc2F)C(C)(C)C)o1 ZINC001620785732 1055960799 /nfs/dbraw/zinc/96/07/99/1055960799.db2.gz KVLDAIIALUAWTG-MRXNPFEDSA-N 0 3 321.392 4.011 20 0 DIADHN CCCCOc1ccccc1CNC[C@H]1CCC2(CCCC2)O1 ZINC001620989985 1055961619 /nfs/dbraw/zinc/96/16/19/1055961619.db2.gz ZXZZQPXWJNIBFZ-GOSISDBHSA-N 0 3 317.473 4.447 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(C(C)(C)C)cc1 ZINC001620788177 1055962696 /nfs/dbraw/zinc/96/26/96/1055962696.db2.gz ZDYAKNXXOCUCDC-GOSISDBHSA-N 0 3 309.457 4.250 20 0 DIADHN CCC[C@H](C)[C@@H](CO)NCc1cc(C(F)(F)F)ccc1Cl ZINC001620994048 1055963567 /nfs/dbraw/zinc/96/35/67/1055963567.db2.gz AWJVKAMHGZDZPC-IINYFYTJSA-N 0 3 323.786 4.246 20 0 DIADHN CCc1cc(CNCc2ccc(-c3ccc(F)cc3)s2)n[nH]1 ZINC001620788587 1055964132 /nfs/dbraw/zinc/96/41/32/1055964132.db2.gz QLQABPKJBYTBAO-UHFFFAOYSA-N 0 3 315.417 4.130 20 0 DIADHN CCc1cccc(CNCc2ccnn2-c2ccccc2C)c1 ZINC001620992294 1055964495 /nfs/dbraw/zinc/96/44/95/1055964495.db2.gz DQJYCCNFXWPCOU-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(F)c(F)c3)c(C)c2)CC1 ZINC001239582441 1055964699 /nfs/dbraw/zinc/96/46/99/1055964699.db2.gz JXYGSIDBNAVLJW-UHFFFAOYSA-N 0 3 314.379 4.444 20 0 DIADHN CC[C@H](CN[C@@H](C)c1cc(C)ccn1)Oc1cccc(F)c1 ZINC000671750246 1055965369 /nfs/dbraw/zinc/96/53/69/1055965369.db2.gz OOUMALHUCWLMCW-GOEBONIOSA-N 0 3 302.393 4.037 20 0 DIADHN CCc1ccc(NC(=O)CN2CC[C@H](C)[C@H]2c2ccccc2)cc1 ZINC001620569855 1055967116 /nfs/dbraw/zinc/96/71/16/1055967116.db2.gz QLFIGQKGZVFIOU-KKSFZXQISA-N 0 3 322.452 4.271 20 0 DIADHN C[C@@H](CN(C)[C@H]1CCN(c2ccc(Cl)cc2)C1=O)C(C)(C)C ZINC001620563921 1055968410 /nfs/dbraw/zinc/96/84/10/1055968410.db2.gz XDRNRMXKLZJTSC-BBRMVZONSA-N 0 3 322.880 4.059 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H](C)c2ccccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671751516 1055969233 /nfs/dbraw/zinc/96/92/33/1055969233.db2.gz ARHJZHCIRPBSFT-PSTGCABASA-N 0 3 308.381 4.433 20 0 DIADHN CC(C)(C)OCCN(Cc1ccccc1C(F)(F)F)C1CC1 ZINC000065950804 1055972522 /nfs/dbraw/zinc/97/25/22/1055972522.db2.gz HZPMNAWDGMVHFH-UHFFFAOYSA-N 0 3 315.379 4.485 20 0 DIADHN Cc1ccc2oc(CN[C@H](C)CC(=O)Nc3ccccc3)cc2c1 ZINC001621006331 1055973199 /nfs/dbraw/zinc/97/31/99/1055973199.db2.gz FVHJZMUXWBEPGP-OAHLLOKOSA-N 0 3 322.408 4.248 20 0 DIADHN CCc1cccc2c(CCNCc3nc4ccccc4o3)c[nH]c21 ZINC001620795493 1055974167 /nfs/dbraw/zinc/97/41/67/1055974167.db2.gz HADXXQDXRPVLSO-UHFFFAOYSA-N 0 3 319.408 4.204 20 0 DIADHN COCc1csc(CNCc2cccc(-c3cccnc3)c2)c1 ZINC001621008059 1055974619 /nfs/dbraw/zinc/97/46/19/1055974619.db2.gz XJIKRFARVILQGR-UHFFFAOYSA-N 0 3 324.449 4.246 20 0 DIADHN OC1(CNCc2c(Cl)cccc2Oc2ccccc2)CCC1 ZINC001620797051 1055975121 /nfs/dbraw/zinc/97/51/21/1055975121.db2.gz HUUYFJMVSNVWGD-UHFFFAOYSA-N 0 3 317.816 4.137 20 0 DIADHN C[C@@H](NCc1coc2ccccc12)c1ccc2[nH]c(=O)oc2c1 ZINC000671752203 1055975562 /nfs/dbraw/zinc/97/55/62/1055975562.db2.gz GZXSEXBCVRUBSM-LLVKDONJSA-N 0 3 308.337 4.130 20 0 DIADHN Cc1ccc(SCCN2CCCC[C@]23CCCOC3)cc1C ZINC001620684609 1055979258 /nfs/dbraw/zinc/97/92/58/1055979258.db2.gz OSZAWZUQAZTYKD-LJQANCHMSA-N 0 3 319.514 4.431 20 0 DIADHN Clc1ccccc1CCCN1CCCC[C@@]12CCCOC2 ZINC001620684736 1055979701 /nfs/dbraw/zinc/97/97/01/1055979701.db2.gz SGATYQPIJGJBMT-SFHVURJKSA-N 0 3 307.865 4.308 20 0 DIADHN C[C@H]1CCc2nc(CNCCc3ccc(Cl)cc3)sc2C1 ZINC001620697183 1055982086 /nfs/dbraw/zinc/98/20/86/1055982086.db2.gz FIRZPCQJSAAJLX-LBPRGKRZSA-N 0 3 320.889 4.254 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccc2cc(OC)ccc2c1 ZINC001620704489 1055982844 /nfs/dbraw/zinc/98/28/44/1055982844.db2.gz OLINFDQHJWQTNE-UHFFFAOYSA-N 0 3 320.436 4.488 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cccc(C2CC2)c1)c1ccccc1 ZINC001621017429 1055982936 /nfs/dbraw/zinc/98/29/36/1055982936.db2.gz QXWYVOZTDPNONZ-RTWAWAEBSA-N 0 3 309.453 4.412 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cc(F)ccc1N(C)C ZINC001621017599 1055983371 /nfs/dbraw/zinc/98/33/71/1055983371.db2.gz WYBAIBRYTXIYPC-LJQANCHMSA-N 0 3 312.432 4.367 20 0 DIADHN Cc1cccc2c1CCC[C@@H]2NCc1cc(F)ccc1N(C)C ZINC001621017598 1055983434 /nfs/dbraw/zinc/98/34/34/1055983434.db2.gz WYBAIBRYTXIYPC-IBGZPJMESA-N 0 3 312.432 4.367 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CNCc1cccc(Cl)c1Cl ZINC001621017042 1055983932 /nfs/dbraw/zinc/98/39/32/1055983932.db2.gz GWENTWVCSYZUQQ-WBMJQRKESA-N 0 3 324.251 4.248 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cc2ccccc2n1C)c1ccccc1 ZINC001621017413 1055984256 /nfs/dbraw/zinc/98/42/56/1055984256.db2.gz QINTYZZISVNULW-SFTDATJTSA-N 0 3 322.452 4.026 20 0 DIADHN Clc1ccc(CNC[C@@H]2Cc3ccccc3CO2)c(Cl)c1 ZINC001621019221 1055984878 /nfs/dbraw/zinc/98/48/78/1055984878.db2.gz BXZGKHHQRQSXIY-INIZCTEOSA-N 0 3 322.235 4.225 20 0 DIADHN Cc1coc(CN[C@H](C(C)C)[C@@H](O)c2ccc(Cl)cc2)c1 ZINC001621019177 1055985145 /nfs/dbraw/zinc/98/51/45/1055985145.db2.gz ADUANXLTFDBJNH-SJORKVTESA-N 0 3 307.821 4.089 20 0 DIADHN CC1(C)C[C@]1(NCC1=Cc2ccccc2OC1)c1ccccc1 ZINC001621018770 1055985616 /nfs/dbraw/zinc/98/56/16/1055985616.db2.gz LEIYIXBHZKVSKB-NRFANRHFSA-N 0 3 305.421 4.377 20 0 DIADHN CC1(C)C[C@@]1(NCC1=Cc2ccccc2OC1)c1ccccc1 ZINC001621018771 1055985900 /nfs/dbraw/zinc/98/59/00/1055985900.db2.gz LEIYIXBHZKVSKB-OAQYLSRUSA-N 0 3 305.421 4.377 20 0 DIADHN COc1cc(Cl)c(CN[C@@H]2CC23CCCCC3)cc1OC ZINC001621020414 1055986849 /nfs/dbraw/zinc/98/68/49/1055986849.db2.gz KFRSFMZFANDSPY-MRXNPFEDSA-N 0 3 309.837 4.170 20 0 DIADHN CC(=O)c1cccc(-c2ccc(CN3CCCC[C@@H]3C)cn2)c1 ZINC001239634520 1055990303 /nfs/dbraw/zinc/99/03/03/1055990303.db2.gz FMSGAVSBMJYKPU-HNNXBMFYSA-N 0 3 308.425 4.326 20 0 DIADHN Cc1cc(Br)cc(CN[C@@H]2CCCC[C@@H]2F)c1 ZINC001621025772 1055990415 /nfs/dbraw/zinc/99/04/15/1055990415.db2.gz GGJJCGBEBMZFPW-UONOGXRCSA-N 0 3 300.215 4.128 20 0 DIADHN CC(C)c1cnc(CNC[C@@H]2CCCO[C@@H]2C(C)(C)C)s1 ZINC001620797822 1055992011 /nfs/dbraw/zinc/99/20/11/1055992011.db2.gz MPUQTGOCFGQVSG-BBRMVZONSA-N 0 3 310.507 4.197 20 0 DIADHN Fc1ccccc1-c1ccc(CNC[C@H]2CC3(CCC3)CO2)o1 ZINC001621072650 1055992376 /nfs/dbraw/zinc/99/23/76/1055992376.db2.gz HFTHDBRIDAHVQR-OAHLLOKOSA-N 0 3 315.388 4.135 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@@H]3CCSC3)cs2)cc1 ZINC001621034087 1055996711 /nfs/dbraw/zinc/99/67/11/1055996711.db2.gz JRMBCQSNSVYXQO-CQSZACIVSA-N 0 3 318.511 4.351 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(F)cc(F)c3)c(C)c2)CC1 ZINC001239654042 1056002681 /nfs/dbraw/zinc/00/26/81/1056002681.db2.gz LRQZCKHWIKQHCB-UHFFFAOYSA-N 0 3 314.379 4.444 20 0 DIADHN COC(OC)[C@@H](C)NCc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001621043456 1056003386 /nfs/dbraw/zinc/00/33/86/1056003386.db2.gz GZMYVZOUTNPIKU-CYBMUJFWSA-N 0 3 319.832 4.104 20 0 DIADHN F[C@@H]1CCc2ccccc2[C@@H]1NC1CCN(c2ccccc2)CC1 ZINC001621043412 1056005166 /nfs/dbraw/zinc/00/51/66/1056005166.db2.gz FXKZLLQMWDXIMV-RTWAWAEBSA-N 0 3 324.443 4.271 20 0 DIADHN CCSc1ccc(CNCc2cnc3ccc(C)cn23)cc1 ZINC001621046963 1056005577 /nfs/dbraw/zinc/00/55/77/1056005577.db2.gz AVMFNBFTKRUYDS-UHFFFAOYSA-N 0 3 311.454 4.045 20 0 DIADHN FC1(F)CCC[C@@H]1CCNCc1ccsc1Br ZINC001621046575 1056007308 /nfs/dbraw/zinc/00/73/08/1056007308.db2.gz KSPFHNTUWBCBHC-SNVBAGLBSA-N 0 3 324.234 4.426 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1Cl)Oc1ccccc1F ZINC001620814381 1056007711 /nfs/dbraw/zinc/00/77/11/1056007711.db2.gz NJXXCZFWXJYWPL-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN C[C@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCCC(C)(C)C ZINC001168603447 1056020684 /nfs/dbraw/zinc/02/06/84/1056020684.db2.gz FUQQAEFFYBDTHQ-GJZGRUSLSA-N 0 3 312.498 4.142 20 0 DIADHN Clc1ccccc1CC1CN(Cc2ccc3[nH]ccc3c2)C1 ZINC001621316792 1056021078 /nfs/dbraw/zinc/02/10/78/1056021078.db2.gz XKYDGVIZXVKBIZ-UHFFFAOYSA-N 0 3 310.828 4.496 20 0 DIADHN C[C@@H]1[C@@H](C)N(C(=O)OC(C)(C)C)CCN1CCCC1CCCC1 ZINC001168603724 1056022620 /nfs/dbraw/zinc/02/26/20/1056022620.db2.gz HZVZXCFCRBZFCH-HZPDHXFCSA-N 0 3 324.509 4.287 20 0 DIADHN CCCCc1ccc([C@@H](NC(=O)[C@H](CC)N(C)C)C(C)C)cc1 ZINC001540430756 1056029755 /nfs/dbraw/zinc/02/97/55/1056029755.db2.gz YQSOBTOCAQMNPL-OALUTQOASA-N 0 3 318.505 4.183 20 0 DIADHN CCC(C)(C)N1CCN(C(=O)C[C@@H]2C[C@@H](C)CC(C)(C)C2)CC1 ZINC001583170150 1056031292 /nfs/dbraw/zinc/03/12/92/1056031292.db2.gz QMQIGAGTTXMKJV-SJORKVTESA-N 0 3 322.537 4.172 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1ccn(Cc2ccccc2)n1 ZINC001621325166 1056031484 /nfs/dbraw/zinc/03/14/84/1056031484.db2.gz IOJZFPHDTCAMPC-ROUUACIJSA-N 0 3 313.489 4.482 20 0 DIADHN Cc1ccc(CCC(=O)Nc2ccc(C)cc2CN(C)C)c(C)c1 ZINC000072840767 1056033756 /nfs/dbraw/zinc/03/37/56/1056033756.db2.gz YPYFVFAMYOZMKK-UHFFFAOYSA-N 0 3 324.468 4.245 20 0 DIADHN Cc1ccc(NC(=O)[C@H]2CCCc3ccccc32)c(CN(C)C)c1 ZINC000072841004 1056034994 /nfs/dbraw/zinc/03/49/94/1056034994.db2.gz WTZVQEGCAPINSM-IBGZPJMESA-N 0 3 322.452 4.115 20 0 DIADHN [O-]c1ccc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)cc1F ZINC001621331445 1056035607 /nfs/dbraw/zinc/03/56/07/1056035607.db2.gz LCBJYUQTJQRMMR-UHFFFAOYSA-N 0 3 305.780 4.354 20 0 DIADHN Oc1ccc(CNC2(c3ccc(Cl)cc3)CCC2)cc1F ZINC001621331445 1056035620 /nfs/dbraw/zinc/03/56/20/1056035620.db2.gz LCBJYUQTJQRMMR-UHFFFAOYSA-N 0 3 305.780 4.354 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2C[C@@H](C(C)C)[C@H]2C(C)C)c1 ZINC001621334406 1056037203 /nfs/dbraw/zinc/03/72/03/1056037203.db2.gz MHQGTPXVMZIZNU-DOTOQJQBSA-N 0 3 323.864 4.239 20 0 DIADHN CC(C)[C@@H]1CN(Cc2ccc(F)c(F)c2N(C)C)[C@@H]1C(C)C ZINC001621334034 1056037929 /nfs/dbraw/zinc/03/79/29/1056037929.db2.gz AOIVVHBMTFWDAI-WMLDXEAASA-N 0 3 310.432 4.143 20 0 DIADHN CC(C)C[C@H](NC(=O)[C@@H](C)N1CCCCCC1)c1ccccc1 ZINC001540618423 1056051116 /nfs/dbraw/zinc/05/11/16/1056051116.db2.gz QTZMEQRZVKHIQZ-MJGOQNOKSA-N 0 3 316.489 4.155 20 0 DIADHN CC(C)C[C@H](NC(=O)[C@H](C)N1CCCCCC1)c1ccccc1 ZINC001540618421 1056052110 /nfs/dbraw/zinc/05/21/10/1056052110.db2.gz QTZMEQRZVKHIQZ-HKUYNNGSSA-N 0 3 316.489 4.155 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Nc1cccc(Cl)c1 ZINC000027355827 1056053224 /nfs/dbraw/zinc/05/32/24/1056053224.db2.gz RFFULVXUVRVQBU-UHFFFAOYSA-N 0 3 303.793 4.046 20 0 DIADHN C[C@H]1CN(Cc2c3ccccc3[nH]c2-c2ccccc2)C[C@H](C)O1 ZINC000005696769 1056055660 /nfs/dbraw/zinc/05/56/60/1056055660.db2.gz DYEGKECCXPFKRG-HOTGVXAUSA-N 0 3 320.436 4.444 20 0 DIADHN CC(=O)Nc1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc1 ZINC001239755588 1056060388 /nfs/dbraw/zinc/06/03/88/1056060388.db2.gz GWSBOJVKGZBYIE-UHFFFAOYSA-N 0 3 306.409 4.313 20 0 DIADHN CC(C)Cc1ccc([C@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540702163 1056063200 /nfs/dbraw/zinc/06/32/00/1056063200.db2.gz HRXHMKSCGJMNQS-HXUWFJFHSA-N 0 3 318.505 4.039 20 0 DIADHN CC[C@H](C)c1ccc([C@H](NC(=O)CN(C)C(C)C)C(C)C)cc1 ZINC001540702678 1056064177 /nfs/dbraw/zinc/06/41/77/1056064177.db2.gz UUHRUZWCFMGAPK-OXJNMPFZSA-N 0 3 318.505 4.354 20 0 DIADHN CC(=O)Nc1ccccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001239782819 1056074457 /nfs/dbraw/zinc/07/44/57/1056074457.db2.gz ZWNBYCPHBDFWNB-UHFFFAOYSA-N 0 3 312.388 4.047 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](CC)c1cccc(Cl)c1 ZINC001540796899 1056077711 /nfs/dbraw/zinc/07/77/11/1056077711.db2.gz CTRKTJMYRMNEPP-QGZVFWFLSA-N 0 3 324.896 4.419 20 0 DIADHN CC(C)[C@H](CC1CCCCC1)C(=O)NCCN1CCC(C)CC1 ZINC001540797679 1056079467 /nfs/dbraw/zinc/07/94/67/1056079467.db2.gz FABLBFOWJWOHNQ-IBGZPJMESA-N 0 3 322.537 4.077 20 0 DIADHN CC(C)(NCc1ccc2c(c1)OC(F)(F)O2)c1cccc(F)c1 ZINC001168613022 1056094361 /nfs/dbraw/zinc/09/43/61/1056094361.db2.gz OCSHTBHFNAFVDV-UHFFFAOYSA-N 0 3 323.314 4.172 20 0 DIADHN CN(C)Cc1cccc(-c2ccccc2OCc2ccccc2)n1 ZINC001239831660 1056096869 /nfs/dbraw/zinc/09/68/69/1056096869.db2.gz OWISXHOWKYRDMB-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN COc1ccc([C@@H](C)NCc2csc(C)c2C)cc1OC ZINC000399907654 1056102161 /nfs/dbraw/zinc/10/21/61/1056102161.db2.gz DMVLRWOLQZIUAQ-GFCCVEGCSA-N 0 3 305.443 4.233 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(C(F)(F)F)cn1)c1ccccc1 ZINC000902758624 1056109872 /nfs/dbraw/zinc/10/98/72/1056109872.db2.gz IGIZZORSHNAMPG-LRDDRELGSA-N 0 3 324.346 4.139 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001542197620 1056109992 /nfs/dbraw/zinc/10/99/92/1056109992.db2.gz HCDDHQYSNZQKHH-KRWDZBQOSA-N 0 3 310.526 4.173 20 0 DIADHN CC(C)c1ccccc1-c1cccc2cc([C@H]3CNCCO3)cn21 ZINC001239865948 1056116972 /nfs/dbraw/zinc/11/69/72/1056116972.db2.gz KZBHXRBRQDFWLQ-OAQYLSRUSA-N 0 3 320.436 4.391 20 0 DIADHN CCCCCCN(C)CC(=O)N(Cc1ccc(F)cc1)C(C)C ZINC001583631633 1056123025 /nfs/dbraw/zinc/12/30/25/1056123025.db2.gz HNWFFAUKUAIHDW-UHFFFAOYSA-N 0 3 322.468 4.075 20 0 DIADHN CN(Cc1ccc(-c2cccnc2-c2ccccc2)nc1)C1CC1 ZINC001239877745 1056125022 /nfs/dbraw/zinc/12/50/22/1056125022.db2.gz LRGROESHGANWJA-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN CN(CC(=O)N[C@H](c1ccc(F)cc1)C(C)(C)C)CC(C)(C)C ZINC001542573691 1056138864 /nfs/dbraw/zinc/13/88/64/1056138864.db2.gz HFCVHCYLCKOKOW-QGZVFWFLSA-N 0 3 322.468 4.007 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@](C)(O)c2cccc(Cl)c2)o1 ZINC000765812419 1056157124 /nfs/dbraw/zinc/15/71/24/1056157124.db2.gz BMHSJBWNRJLWTC-SJKOYZFVSA-N 0 3 307.821 4.054 20 0 DIADHN CC[C@H](C)CCC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001611432860 1056158555 /nfs/dbraw/zinc/15/85/55/1056158555.db2.gz CVYJEQPTNSAYSI-HKUYNNGSSA-N 0 3 316.489 4.156 20 0 DIADHN CCOc1cc(CN[C@H]2C[C@@H]2C2CCCCC2)c(F)cc1OC ZINC001620820168 1056171532 /nfs/dbraw/zinc/17/15/32/1056171532.db2.gz LTEAKQUVGNAJJZ-WBVHZDCISA-N 0 3 321.436 4.291 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(COC)c2)cc1F ZINC001239937745 1056171861 /nfs/dbraw/zinc/17/18/61/1056171861.db2.gz PFTRMWDGOZNSIG-UHFFFAOYSA-N 0 3 301.405 4.481 20 0 DIADHN CCCCCC[C@@H](CC)CN(C)C(=O)[C@H]1CCCCN1CC ZINC001611606422 1056176012 /nfs/dbraw/zinc/17/60/12/1056176012.db2.gz YKDAFXIAZRLLJV-QZTJIDSGSA-N 0 3 310.526 4.316 20 0 DIADHN CCOc1ccc2cc(-c3ccc4[nH]c(N)nc4c3)ccc2c1 ZINC001239957838 1056195610 /nfs/dbraw/zinc/19/56/10/1056195610.db2.gz ZUWYFXSEBKTROQ-UHFFFAOYSA-N 0 3 303.365 4.364 20 0 DIADHN CCOc1ccc2cc(-c3ccc4nc(N)[nH]c4c3)ccc2c1 ZINC001239957838 1056195617 /nfs/dbraw/zinc/19/56/17/1056195617.db2.gz ZUWYFXSEBKTROQ-UHFFFAOYSA-N 0 3 303.365 4.364 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)Nc1ccc(CCC(C)C)cc1 ZINC001583898459 1056198508 /nfs/dbraw/zinc/19/85/08/1056198508.db2.gz PERZPSDCZWGLSC-GOSISDBHSA-N 0 3 302.462 4.088 20 0 DIADHN CC(C)[C@H]1CC[C@@H](C)C[C@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001583966877 1056221429 /nfs/dbraw/zinc/22/14/29/1056221429.db2.gz VXIVUDXKYLYKHG-FGTMMUONSA-N 0 3 322.537 4.076 20 0 DIADHN CCC1(CC)[C@H](N[C@@H]2CCCc3cn(C(C)C)nc32)C[C@H]1OC ZINC000765821395 1056222823 /nfs/dbraw/zinc/22/28/23/1056222823.db2.gz OSRSVMZEAJHUJN-BRWVUGGUSA-N 0 3 319.493 4.025 20 0 DIADHN CCCCNC(=O)c1ccc(-c2ccc3c(N)ccnc3c2)cc1 ZINC001239988552 1056226712 /nfs/dbraw/zinc/22/67/12/1056226712.db2.gz XXTULVHWBWCIIA-UHFFFAOYSA-N 0 3 319.408 4.014 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2ccc(C(=O)NC(C)(C)C)cc2)n1 ZINC001239990038 1056229145 /nfs/dbraw/zinc/22/91/45/1056229145.db2.gz FYZAWRKBMMXNJP-UHFFFAOYSA-N 0 3 307.397 4.067 20 0 DIADHN CC(C)(C)NC(=O)c1ccc(-c2ccc3c(N)ccnc3c2)cc1 ZINC001239993530 1056232221 /nfs/dbraw/zinc/23/22/21/1056232221.db2.gz UCYPFFVKOOWXLG-UHFFFAOYSA-N 0 3 319.408 4.012 20 0 DIADHN Fc1ccc(-c2ccc3cncnc3c2)cc1CN1CCCC1 ZINC001239999979 1056238052 /nfs/dbraw/zinc/23/80/52/1056238052.db2.gz MYEDQTHGCXTOSO-UHFFFAOYSA-N 0 3 307.372 4.032 20 0 DIADHN CC(C)[C@@H](NC(=O)CN(C)CC(C)(C)C)c1ccccc1Cl ZINC001543525065 1056242859 /nfs/dbraw/zinc/24/28/59/1056242859.db2.gz JWZOGOYJJNTXMO-QGZVFWFLSA-N 0 3 324.896 4.131 20 0 DIADHN CCc1ccc([C@H](C)NCC(=O)N(C(C)C)C2CCCC2)cc1 ZINC001168633946 1056267931 /nfs/dbraw/zinc/26/79/31/1056267931.db2.gz WJFARPDRAWEIRO-INIZCTEOSA-N 0 3 316.489 4.079 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000106859803 1056268790 /nfs/dbraw/zinc/26/87/90/1056268790.db2.gz SSBMUBHNCUEUCP-WFASDCNBSA-N 0 3 319.836 4.401 20 0 DIADHN COc1ccc(CN(Cc2ccco2)C(C)C)c(C)c1OC ZINC000347657547 1056272629 /nfs/dbraw/zinc/27/26/29/1056272629.db2.gz UKECBTQBXNRFII-UHFFFAOYSA-N 0 3 303.402 4.016 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H]2CCCc3cn(C(C)C)nc32)C1(C)C ZINC000765826627 1056276650 /nfs/dbraw/zinc/27/66/50/1056276650.db2.gz ZQGDEJJMIUETMY-YESZJQIVSA-N 0 3 319.493 4.023 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2C)cc1 ZINC000056201593 1056300634 /nfs/dbraw/zinc/30/06/34/1056300634.db2.gz UGRVJQGCOBNWGY-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)cc2C)cc1 ZINC000056201595 1056301105 /nfs/dbraw/zinc/30/11/05/1056301105.db2.gz UGRVJQGCOBNWGY-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN CCN(CC)[C@H](CNC(=O)CC1(C)CCCC1)c1ccsc1 ZINC001543821796 1056306754 /nfs/dbraw/zinc/30/67/54/1056306754.db2.gz PFNRGQJDYYJGQX-MRXNPFEDSA-N 0 3 322.518 4.218 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2)C1)c1nc2ccccc2s1 ZINC000765845726 1056308148 /nfs/dbraw/zinc/30/81/48/1056308148.db2.gz TUHGDAYMOGQAPP-GJZGRUSLSA-N 0 3 323.465 4.226 20 0 DIADHN C[C@H](CN1CCC(C)CC1)Nc1nc(C2CCCCC2)ns1 ZINC001612607686 1056310597 /nfs/dbraw/zinc/31/05/97/1056310597.db2.gz WNPKKGIAWAZVQA-CQSZACIVSA-N 0 3 322.522 4.118 20 0 DIADHN CSc1ccc(CN[C@H]2CCN(c3cccc(Cl)c3)C2)o1 ZINC001620823598 1056320581 /nfs/dbraw/zinc/32/05/81/1056320581.db2.gz ARNFXRYURWQZOP-ZDUSSCGKSA-N 0 3 322.861 4.023 20 0 DIADHN CCN(C)c1ccccc1CN[C@@H]1CCCc2nc(C)sc21 ZINC000765849187 1056321872 /nfs/dbraw/zinc/32/18/72/1056321872.db2.gz NNZDXRUOMQNZFW-OAHLLOKOSA-N 0 3 315.486 4.075 20 0 DIADHN COc1ccc(-c2ccc(-c3cncc(CN(C)C)c3)cc2)cc1 ZINC001240103882 1056329346 /nfs/dbraw/zinc/32/93/46/1056329346.db2.gz BIYKHSZXWXYQGI-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN C[C@H](NCc1cncn1C)c1ccc(-c2ccc(F)cc2)s1 ZINC000353572727 1056332967 /nfs/dbraw/zinc/33/29/67/1056332967.db2.gz YVLVHAMZDHZRIY-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN C[C@@H](NCc1cncn1C)c1ccc(-c2ccccc2F)s1 ZINC000353580128 1056336072 /nfs/dbraw/zinc/33/60/72/1056336072.db2.gz HTMBMGNXFMACKV-GFCCVEGCSA-N 0 3 315.417 4.139 20 0 DIADHN COc1ccc(F)c(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001240120459 1056338223 /nfs/dbraw/zinc/33/82/23/1056338223.db2.gz QTGZCZLIWJTKHK-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CN(C)Cc1cncc(-c2cccc(OCc3ccccc3)c2)c1 ZINC001240121688 1056343238 /nfs/dbraw/zinc/34/32/38/1056343238.db2.gz DQDZBJPZKWDZPG-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CN(CC(=O)N[C@@H](CC(C)(C)C)c1ccccc1)CC(C)(C)C ZINC001544013092 1056343739 /nfs/dbraw/zinc/34/37/39/1056343739.db2.gz MFHNHMOGUPRCPD-KRWDZBQOSA-N 0 3 318.505 4.258 20 0 DIADHN CCCC[C@@H](C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C)C(C)C ZINC001544274470 1056399776 /nfs/dbraw/zinc/39/97/76/1056399776.db2.gz ZCRLDRDCSGFBOL-MOPGFXCFSA-N 0 3 318.505 4.176 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)cc1 ZINC000303740739 1056410787 /nfs/dbraw/zinc/41/07/87/1056410787.db2.gz IJXGSFZMPFFOSE-GOSISDBHSA-N 0 3 311.400 4.229 20 0 DIADHN CC(C)[C@H](C)NC(=O)CN(CC1CCC1)[C@@H](C)c1ccccc1 ZINC000303826140 1056424219 /nfs/dbraw/zinc/42/42/19/1056424219.db2.gz KUHGVOIJUOTGHZ-IRXDYDNUSA-N 0 3 316.489 4.010 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2scnc2C2CC2)C1 ZINC000354127929 1056430681 /nfs/dbraw/zinc/43/06/81/1056430681.db2.gz QJNYFENXWGUQLH-CYBMUJFWSA-N 0 3 302.418 4.149 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc(-c2ccccc2)no1 ZINC000303936737 1056441003 /nfs/dbraw/zinc/44/10/03/1056441003.db2.gz RRGRMGQBIGFKNW-GOSISDBHSA-N 0 3 321.424 4.190 20 0 DIADHN COc1cccc(-c2nc(CN3C[C@@H](C)C[C@H](C)C3)cs2)c1 ZINC000057831841 1056449940 /nfs/dbraw/zinc/44/99/40/1056449940.db2.gz UBDIIBDZLPYXGR-KBPBESRZSA-N 0 3 316.470 4.297 20 0 DIADHN CCCCN1CCN(C(c2ccccc2)c2ccccc2)CC1 ZINC000039644482 1056455780 /nfs/dbraw/zinc/45/57/80/1056455780.db2.gz UEPORVPTCYCKAZ-UHFFFAOYSA-N 0 3 308.469 4.194 20 0 DIADHN COc1ccc(-c2ccc3c(c2)ncn3C(C)C)cc1CN(C)C ZINC001240371287 1056458112 /nfs/dbraw/zinc/45/81/12/1056458112.db2.gz UCTCMHGGNHCXCI-UHFFFAOYSA-N 0 3 323.440 4.354 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cccc(N(C)C)c1)CCC2 ZINC000072631359 1056463470 /nfs/dbraw/zinc/46/34/70/1056463470.db2.gz VMFGIGQTXPZVDM-KRWDZBQOSA-N 0 3 315.486 4.027 20 0 DIADHN Cc1ccc2nc(C3=CCCN(Cc4ccccc4)C3)ncc2c1 ZINC001240385731 1056466102 /nfs/dbraw/zinc/46/61/02/1056466102.db2.gz HQIARZWZQAXHQK-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN c1ccc(CN2CCC=C(c3ccnc(C4CCCC4)n3)C2)cc1 ZINC001240386249 1056466487 /nfs/dbraw/zinc/46/64/87/1056466487.db2.gz HGIJYYIXCNRXLY-UHFFFAOYSA-N 0 3 319.452 4.424 20 0 DIADHN Cc1ccc(NC(=O)c2ccc(C)c(Cl)c2)c(CN(C)C)c1 ZINC000072841171 1056471489 /nfs/dbraw/zinc/47/14/89/1056471489.db2.gz QTTMWDJMWNKJQK-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN C[C@H](c1cccs1)N(C)C[C@@H]1CCC[C@H](C(F)(F)F)O1 ZINC001615180905 1056471784 /nfs/dbraw/zinc/47/17/84/1056471784.db2.gz KWPUHAAEMFTSSU-NTZNESFSSA-N 0 3 307.381 4.241 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cccnc3)CC2)c(Cl)c1 ZINC001168666651 1056475802 /nfs/dbraw/zinc/47/58/02/1056475802.db2.gz LLNPHABJBVZVCV-UHFFFAOYSA-N 0 3 314.860 4.498 20 0 DIADHN CC[C@@H](CNCc1cccc(C)c1F)Oc1cccc(F)c1 ZINC001620827264 1056476235 /nfs/dbraw/zinc/47/62/35/1056476235.db2.gz JFIREXIYFDGGCR-INIZCTEOSA-N 0 3 305.368 4.220 20 0 DIADHN COc1ccccc1CN(C[C@@H]1CCCCC1(F)F)C1CC1 ZINC001615200536 1056476619 /nfs/dbraw/zinc/47/66/19/1056476619.db2.gz DFFITWXOGLFAFR-HNNXBMFYSA-N 0 3 309.400 4.485 20 0 DIADHN CN(CCCOc1cccc(C(C)(C)C)c1)Cc1ccoc1 ZINC001615233809 1056480619 /nfs/dbraw/zinc/48/06/19/1056480619.db2.gz LZTQLWXNTHCLTA-UHFFFAOYSA-N 0 3 301.430 4.478 20 0 DIADHN c1coc(CN(CCCCc2ccccc2)C[C@@H]2CCCO2)c1 ZINC001615246141 1056481565 /nfs/dbraw/zinc/48/15/65/1056481565.db2.gz UEOXMJLJOZZHPL-FQEVSTJZSA-N 0 3 313.441 4.284 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN(Cc2ccco2)C[C@@H]2CCCO2)C1 ZINC001615245608 1056482890 /nfs/dbraw/zinc/48/28/90/1056482890.db2.gz LLCHVBLVJHVIFB-AOIWGVFYSA-N 0 3 305.462 4.477 20 0 DIADHN COc1cc(C)nc(CN(Cc2ccccc2)CC2CCC2)c1 ZINC001615246520 1056483115 /nfs/dbraw/zinc/48/31/15/1056483115.db2.gz YERXBXBYLUYHGB-UHFFFAOYSA-N 0 3 310.441 4.201 20 0 DIADHN CN1CCN(Cc2ccc(-c3ccc(C(F)F)cc3)cc2)CC1 ZINC001240401628 1056484242 /nfs/dbraw/zinc/48/42/42/1056484242.db2.gz KHCYRLPIDWDBFQ-UHFFFAOYSA-N 0 3 316.395 4.039 20 0 DIADHN CCCN(CC(=O)Nc1ccc(Cl)c(Cl)c1)CC(C)C ZINC001615338763 1056496949 /nfs/dbraw/zinc/49/69/49/1056496949.db2.gz ZVBCADKNSSWMPW-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN C[C@@H]1CN(CCCc2ccc(C(F)(F)F)cc2)CC(C)(C)O1 ZINC001615303607 1056490062 /nfs/dbraw/zinc/49/00/62/1056490062.db2.gz SWWPOHLZXCPNAJ-CYBMUJFWSA-N 0 3 315.379 4.137 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001615309446 1056491880 /nfs/dbraw/zinc/49/18/80/1056491880.db2.gz XWVUQGMAVNGERX-OCCSQVGLSA-N 0 3 309.837 4.122 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)C[C@H]1COc2ccccc2O1 ZINC001615323334 1056492261 /nfs/dbraw/zinc/49/22/61/1056492261.db2.gz SFAWLVPEAZQXRY-INIZCTEOSA-N 0 3 317.816 4.002 20 0 DIADHN FC(F)C1CCC(NCc2coc(-c3ccccc3)n2)CC1 ZINC000282313413 1056496964 /nfs/dbraw/zinc/49/69/64/1056496964.db2.gz NEBKSTQZUXWSIY-UHFFFAOYSA-N 0 3 306.356 4.255 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@H]1CC3(CCC3)CO1)C2 ZINC001615363430 1056499458 /nfs/dbraw/zinc/49/94/58/1056499458.db2.gz PXUJAAWWDAXDPO-LJQANCHMSA-N 0 3 313.485 4.301 20 0 DIADHN CCN(CCn1ccc2cc(Cl)ccc21)Cc1ccoc1 ZINC001615396972 1056505450 /nfs/dbraw/zinc/50/54/50/1056505450.db2.gz ADGQHSLDGOVEJG-UHFFFAOYSA-N 0 3 302.805 4.410 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2c2cccc3c2OCCO3)cc1C ZINC001615405890 1056505489 /nfs/dbraw/zinc/50/54/89/1056505489.db2.gz NYKDDFNODXNPFI-IBGZPJMESA-N 0 3 323.436 4.412 20 0 DIADHN CCN(Cc1ccoc1)C[C@@H](O)c1ccc(Cl)c(Cl)c1 ZINC001615397874 1056505822 /nfs/dbraw/zinc/50/58/22/1056505822.db2.gz KJAWBCGBQBEBAB-OAHLLOKOSA-N 0 3 314.212 4.142 20 0 DIADHN CC(C)(C)OC(=O)[C@@H](C1CC1)N1CCCC2(CCCCC2)C1 ZINC001615454438 1056512212 /nfs/dbraw/zinc/51/22/12/1056512212.db2.gz XUBZEOUCKPSCCC-MRXNPFEDSA-N 0 3 307.478 4.153 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1ccnn1C1CCC1 ZINC000921816192 1056513944 /nfs/dbraw/zinc/51/39/44/1056513944.db2.gz GHOBTOZNEWAUCN-YJYMSZOUSA-N 0 3 313.420 4.160 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1cnn(C2CCC2)c1 ZINC000921817912 1056514402 /nfs/dbraw/zinc/51/44/02/1056514402.db2.gz QNWATKJBFJXSSM-YJYMSZOUSA-N 0 3 313.420 4.160 20 0 DIADHN Cc1cccc([C@@H](NCc2c3c(nn2C)CCC3)C(C)(C)C)c1 ZINC000921818516 1056514973 /nfs/dbraw/zinc/51/49/73/1056514973.db2.gz OVIRANUMCIKATK-LJQANCHMSA-N 0 3 311.473 4.094 20 0 DIADHN CN(Cc1ccccc1)Cc1cc(Cl)c2c(c1)OCCCO2 ZINC000061745496 1056517473 /nfs/dbraw/zinc/51/74/73/1056517473.db2.gz DZRSDGRKTJZMPK-UHFFFAOYSA-N 0 3 317.816 4.133 20 0 DIADHN CCCN(Cc1ccccc1)Cc1nc2ccccc2nc1C ZINC000061780662 1056520777 /nfs/dbraw/zinc/52/07/77/1056520777.db2.gz VKZLSSYPNPQPNF-UHFFFAOYSA-N 0 3 305.425 4.350 20 0 DIADHN CCC[C@@H](C)CCCN1CCN(Cc2ccc(Cl)nc2)CC1 ZINC001615531180 1056531536 /nfs/dbraw/zinc/53/15/36/1056531536.db2.gz KXRKMUMPHNLUQQ-MRXNPFEDSA-N 0 3 323.912 4.069 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cc(F)cc(F)c1 ZINC001615559490 1056532627 /nfs/dbraw/zinc/53/26/27/1056532627.db2.gz QCCPDINMBPFXCG-WFASDCNBSA-N 0 3 305.343 4.482 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1Cc2cccc(Cl)c2C1 ZINC001168680374 1056537106 /nfs/dbraw/zinc/53/71/06/1056537106.db2.gz SWJBVNMLJQFMHC-WCQYABFASA-N 0 3 311.816 4.049 20 0 DIADHN Fc1cc(F)c(CN[C@H]2Cc3cccc(Cl)c3C2)cc1F ZINC001168683919 1056547193 /nfs/dbraw/zinc/54/71/93/1056547193.db2.gz BZTNMJPXUMOQSQ-NSHDSACASA-N 0 3 311.734 4.014 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@@H](C)CC[C@@H]1C)c1ccc(Cl)cc1 ZINC001615644030 1056552533 /nfs/dbraw/zinc/55/25/33/1056552533.db2.gz KSZLTIJMDYKNGQ-ZQIUZPCESA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](C)[C@@](C)(O)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000765947986 1056560209 /nfs/dbraw/zinc/56/02/09/1056560209.db2.gz WLHAWPJWZOWLNS-IYOUNJFTSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1ccc(C(C)(C)CN(C)CC2(Br)CC2)cc1 ZINC001615665673 1056560227 /nfs/dbraw/zinc/56/02/27/1056560227.db2.gz TYPNJLZTLSKXNJ-UHFFFAOYSA-N 0 3 310.279 4.132 20 0 DIADHN C[C@H](NC/C=C/c1ccccc1)c1cnn(-c2ccccc2)c1 ZINC000765948053 1056562166 /nfs/dbraw/zinc/56/21/66/1056562166.db2.gz YNTXXNFYMNGHTD-YRYLYKBFSA-N 0 3 303.409 4.236 20 0 DIADHN CC[C@H](OCCN1CCC(C(F)(F)F)CC1)c1ccccc1 ZINC001615679204 1056562095 /nfs/dbraw/zinc/56/20/95/1056562095.db2.gz SAWZWZHKKHXMNJ-INIZCTEOSA-N 0 3 315.379 4.429 20 0 DIADHN CCc1ccc([C@H]2C[C@H](C)CCN2CCc2cscn2)o1 ZINC001615669350 1056562930 /nfs/dbraw/zinc/56/29/30/1056562930.db2.gz UHSCRGPFQBGQDR-CZUORRHYSA-N 0 3 304.459 4.314 20 0 DIADHN Cc1ccccc1C1CC(N(Cc2nccnc2C)C2CC2)C1 ZINC001615725905 1056570551 /nfs/dbraw/zinc/57/05/51/1056570551.db2.gz NBFSUWFNRVBUBB-UHFFFAOYSA-N 0 3 307.441 4.004 20 0 DIADHN CC[C@H](C)CCCCC(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001585552932 1056578256 /nfs/dbraw/zinc/57/82/56/1056578256.db2.gz VHESPDYHDVEWRK-ABSDTBQOSA-N 0 3 318.505 4.401 20 0 DIADHN C[C@@H](NC(=O)CC1(C)CCCCC1)[C@@H](c1ccccc1)N(C)C ZINC001585551783 1056578587 /nfs/dbraw/zinc/57/85/87/1056578587.db2.gz DZZDMNPJVABOJT-APWZRJJASA-N 0 3 316.489 4.155 20 0 DIADHN C[C@H](NC(=O)[C@H]1CCCCC1(C)C)[C@@H](c1ccccc1)N(C)C ZINC001585552808 1056579109 /nfs/dbraw/zinc/57/91/09/1056579109.db2.gz QQIWPTAYTJYNLR-JQHSSLGASA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)CC1CCN([C@H](C)C(=O)N[C@H](C)c2ccccc2)CC1 ZINC001615834936 1056584858 /nfs/dbraw/zinc/58/48/58/1056584858.db2.gz VFZAWEBKEQMHMP-IAGOWNOFSA-N 0 3 316.489 4.010 20 0 DIADHN FC1(F)CCC[C@@H]1CCN1CCOC2(C1)CCCCCC2 ZINC001615867166 1056588845 /nfs/dbraw/zinc/58/88/45/1056588845.db2.gz DHOOXXGIKZNNDW-OAHLLOKOSA-N 0 3 301.421 4.237 20 0 DIADHN CC[C@](C)(NC[C@@H](C)COCc1ccccc1)c1nccs1 ZINC001615887158 1056590108 /nfs/dbraw/zinc/59/01/08/1056590108.db2.gz NPRBBVZMKPWTIG-QAPCUYQASA-N 0 3 318.486 4.211 20 0 DIADHN CC[C@@](C)(NC[C@H](C)COCc1ccccc1)c1nccs1 ZINC001615887157 1056590141 /nfs/dbraw/zinc/59/01/41/1056590141.db2.gz NPRBBVZMKPWTIG-MAUKXSAKSA-N 0 3 318.486 4.211 20 0 DIADHN Cc1c(F)cccc1-c1ccc(C2(N3CCN(C)CC3)CC2)cc1 ZINC001240582737 1056590790 /nfs/dbraw/zinc/59/07/90/1056590790.db2.gz PCNBTKKOUOTJIV-UHFFFAOYSA-N 0 3 324.443 4.038 20 0 DIADHN COc1cc(C)nc(CN2C[C@H]3CCCC[C@]32c2ccccc2)c1 ZINC001615910265 1056595284 /nfs/dbraw/zinc/59/52/84/1056595284.db2.gz NXJUDPNQXLXKGW-NQIIRXRSSA-N 0 3 322.452 4.300 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)C[C@H]1CCCC(C)(C)O1)CCC2 ZINC001615961251 1056602490 /nfs/dbraw/zinc/60/24/90/1056602490.db2.gz NBPZLGOOXCVYNZ-HIFRSBDPSA-N 0 3 322.518 4.362 20 0 DIADHN CCC[C@@H]1CCCN(Cc2ccc(C(=O)OC)c(Cl)c2)C1 ZINC001616158250 1056614400 /nfs/dbraw/zinc/61/44/00/1056614400.db2.gz BZRNZIFSZKQBFU-CYBMUJFWSA-N 0 3 309.837 4.139 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cccc(OC(C)(C)C)n1 ZINC001616151028 1056614741 /nfs/dbraw/zinc/61/47/41/1056614741.db2.gz DGYSYZXOMUTRMR-CQSZACIVSA-N 0 3 310.507 4.222 20 0 DIADHN CCC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001616159691 1056616291 /nfs/dbraw/zinc/61/62/91/1056616291.db2.gz RRVUAJKWVRHNDZ-ZIAGYGMSSA-N 0 3 308.853 4.179 20 0 DIADHN COC/C(C)=C\CN(Cc1ccc(F)cc1F)C1CCCC1 ZINC001616180062 1056616765 /nfs/dbraw/zinc/61/67/65/1056616765.db2.gz KLUSUFSWKZGQRG-ZROIWOOFSA-N 0 3 309.400 4.302 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cc(F)cc(F)c2)C1(C)C ZINC001616160533 1056616843 /nfs/dbraw/zinc/61/68/43/1056616843.db2.gz ZULAYEHRDWYZEY-IRXDYDNUSA-N 0 3 311.416 4.380 20 0 DIADHN C[C@@H](Cc1ccc(O)cc1)N(C)Cc1cc(F)ccc1Cl ZINC001616314787 1056633231 /nfs/dbraw/zinc/63/32/31/1056633231.db2.gz SZPHNIJWZOYEAK-LBPRGKRZSA-N 0 3 307.796 4.248 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1cc(C)ccc1C ZINC001616331190 1056634884 /nfs/dbraw/zinc/63/48/84/1056634884.db2.gz HNDZWGKSUIAJDP-QGZVFWFLSA-N 0 3 302.462 4.287 20 0 DIADHN C[C@H]1CC[C@H](NCc2cccc3[nH]c(=O)oc32)c2ccccc21 ZINC000921928546 1056635626 /nfs/dbraw/zinc/63/56/26/1056635626.db2.gz VDLMKPIDDQUARX-LRDDRELGSA-N 0 3 308.381 4.262 20 0 DIADHN CCO[C@@H](CCNCc1ncc(C(C)C)s1)C1CCCC1 ZINC001620830910 1056645961 /nfs/dbraw/zinc/64/59/61/1056645961.db2.gz CTPRXJXNESQRFS-HNNXBMFYSA-N 0 3 310.507 4.342 20 0 DIADHN CCN1CCN([C@@H](C)c2cc(Cl)ccc2Cl)C[C@H]1C ZINC001616381928 1056647427 /nfs/dbraw/zinc/64/74/27/1056647427.db2.gz AMGOTIMGTCRLGV-NEPJUHHUSA-N 0 3 301.261 4.080 20 0 DIADHN CC[C@H](CC(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C)C(C)(C)C ZINC001128188405 1056649113 /nfs/dbraw/zinc/64/91/13/1056649113.db2.gz DPEJRIFKKRBCNN-SZVBFZGTSA-N 0 3 318.505 4.256 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N(C)CCC1CC1)c1cccc2ccccc21 ZINC001616425803 1056654077 /nfs/dbraw/zinc/65/40/77/1056654077.db2.gz FAGILXJOXSBPGD-CVEARBPZSA-N 0 3 324.468 4.137 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CC[C@@H](C(C)(C)C)C1 ZINC001616548635 1056675327 /nfs/dbraw/zinc/67/53/27/1056675327.db2.gz IPEIPOBDBSRHQN-GFCCVEGCSA-N 0 3 305.853 4.095 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)cc1)N1C[C@H](C)CC(C)(C)C1 ZINC001616567581 1056677983 /nfs/dbraw/zinc/67/79/83/1056677983.db2.gz DVKDSTGGCVWOOI-CHWSQXEVSA-N 0 3 308.853 4.035 20 0 DIADHN CCC[C@@H]1CN([C@H](C)c2ccncc2)CCN1Cc1ccccc1 ZINC001616577593 1056681066 /nfs/dbraw/zinc/68/10/66/1056681066.db2.gz YWSFTYKATYKVQC-WIYYLYMNSA-N 0 3 323.484 4.129 20 0 DIADHN CN(CCCc1cccc(F)c1)Cc1cc(F)c(F)c(F)c1 ZINC001616593885 1056682704 /nfs/dbraw/zinc/68/27/04/1056682704.db2.gz ZCJBPTHOHIJJMQ-UHFFFAOYSA-N 0 3 311.322 4.308 20 0 DIADHN CCO[C@@H](C)n1cc(-c2ccc(F)c(CN(CC)CC)c2)cn1 ZINC001240757568 1056684003 /nfs/dbraw/zinc/68/40/03/1056684003.db2.gz BKCZAPMKCROZNJ-AWEZNQCLSA-N 0 3 319.424 4.086 20 0 DIADHN [O-]c1ccc(-c2ccc(-c3c[nH]c([C@@H]4CCC[NH2+]4)n3)cc2)cc1F ZINC001240786403 1056697837 /nfs/dbraw/zinc/69/78/37/1056697837.db2.gz KOJYSWWKKCUCCT-INIZCTEOSA-N 0 3 323.371 4.013 20 0 DIADHN CCC/C(C)=C\C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001128250785 1056703663 /nfs/dbraw/zinc/70/36/63/1056703663.db2.gz DTQAEZQJVBVLHV-LYDJUCFBSA-N 0 3 316.489 4.006 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2ccc(C)c(C)c2)cc1 ZINC001616737881 1056708689 /nfs/dbraw/zinc/70/86/89/1056708689.db2.gz FVZSRUOOZIARMY-UHFFFAOYSA-N 0 3 324.468 4.329 20 0 DIADHN COc1ccc([C@H](CCO)NCc2ccc3ccccc3c2)cc1 ZINC001616752909 1056710952 /nfs/dbraw/zinc/71/09/52/1056710952.db2.gz CFTSFNUUCZFUTL-NRFANRHFSA-N 0 3 321.420 4.062 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2cc(C)sc2C)ccc1C ZINC001616764202 1056713028 /nfs/dbraw/zinc/71/30/28/1056713028.db2.gz RCBHTDGSOJTRIM-CYBMUJFWSA-N 0 3 317.454 4.311 20 0 DIADHN Cc1cccc([C@H](C)NCc2cc3c(c(Cl)c2)OCO3)c1 ZINC000157272073 1056714556 /nfs/dbraw/zinc/71/45/56/1056714556.db2.gz XJROGGWWRUIIEC-LBPRGKRZSA-N 0 3 303.789 4.228 20 0 DIADHN Cc1ccccc1[C@H](NCC1=NOCC1)[C@H]1CCC[C@H](C)C1 ZINC001616786457 1056716779 /nfs/dbraw/zinc/71/67/79/1056716779.db2.gz IIGPKKBVWFIXEX-URLQWDBASA-N 0 3 300.446 4.228 20 0 DIADHN CC(C)[C@@](O)(CN[C@H](C)c1ccc(F)cc1F)c1ccccc1 ZINC001616807951 1056722315 /nfs/dbraw/zinc/72/23/15/1056722315.db2.gz NNFOKJHADXJFQM-KUHUBIRLSA-N 0 3 319.395 4.159 20 0 DIADHN Cc1cnc(Cl)c(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000922002479 1056722640 /nfs/dbraw/zinc/72/26/40/1056722640.db2.gz YZSSSBGNNUINED-IAGOWNOFSA-N 0 3 316.832 4.053 20 0 DIADHN C[C@@H]1Cc2cc(CNCc3ccc(C(F)F)cc3)ccc2O1 ZINC000921999681 1056722758 /nfs/dbraw/zinc/72/27/58/1056722758.db2.gz GFUDWVSOOAGWIE-GFCCVEGCSA-N 0 3 303.352 4.237 20 0 DIADHN COc1ccc([C@H](C)NCCC=C(C)C)c(Br)c1 ZINC001616848731 1056727612 /nfs/dbraw/zinc/72/76/12/1056727612.db2.gz OBGVHMABWIURAC-LBPRGKRZSA-N 0 3 312.251 4.465 20 0 DIADHN FC(F)(F)[C@@H]1CCN(CCSc2cccc(Cl)c2)C1 ZINC001616928248 1056732731 /nfs/dbraw/zinc/73/27/31/1056732731.db2.gz QRGQSUQOSLQRLE-SNVBAGLBSA-N 0 3 309.784 4.316 20 0 DIADHN COC[C@H](NC[C@H]1Cc2ccccc21)c1cccc(Cl)c1F ZINC000672603576 1056734781 /nfs/dbraw/zinc/73/47/81/1056734781.db2.gz QRHVSTYOGRUPJT-DYVFJYSZSA-N 0 3 319.807 4.096 20 0 DIADHN CC(C)Oc1ccc([C@@H](CN[C@H](C)c2ccco2)N(C)C)cc1 ZINC000672617534 1056743274 /nfs/dbraw/zinc/74/32/74/1056743274.db2.gz RAFDATCFGSMYQT-CRAIPNDOSA-N 0 3 316.445 4.020 20 0 DIADHN COC(=O)/C(C)=C\CN1CCC[C@@H]1c1ccc(C(C)C)cc1 ZINC001616935610 1056744109 /nfs/dbraw/zinc/74/41/09/1056744109.db2.gz GCRQVCSSVFBFMY-VHUCWBOISA-N 0 3 301.430 4.066 20 0 DIADHN CCSc1ccccc1CN1CCC[C@H]1c1c(C)n[nH]c1C ZINC001616951584 1056746378 /nfs/dbraw/zinc/74/63/78/1056746378.db2.gz PCZRUSDKYPXSNF-INIZCTEOSA-N 0 3 315.486 4.476 20 0 DIADHN CCN(CC)Cc1cc(-c2cnn(CC(C)C)c2)ccc1F ZINC001240894453 1056748378 /nfs/dbraw/zinc/74/83/78/1056748378.db2.gz YTLRZFZEBXRJQR-UHFFFAOYSA-N 0 3 303.425 4.187 20 0 DIADHN c1ccc2cc(-c3cncc([C@@H]4CN5CCC4CC5)n3)ccc2c1 ZINC001240909613 1056753231 /nfs/dbraw/zinc/75/32/31/1056753231.db2.gz HWAQPYNNVIXPKC-LJQANCHMSA-N 0 3 315.420 4.106 20 0 DIADHN Cc1cc(C)nc(CN[C@H](CN2CCCCC2)c2ccccc2)c1 ZINC001617140089 1056781459 /nfs/dbraw/zinc/78/14/59/1056781459.db2.gz LYRHACRUJXDQAX-OAQYLSRUSA-N 0 3 323.484 4.015 20 0 DIADHN CN(CCSc1ccncc1)Cc1ccc(F)c(Cl)c1 ZINC001617199140 1056792142 /nfs/dbraw/zinc/79/21/42/1056792142.db2.gz XVXOKCWSQJTECC-UHFFFAOYSA-N 0 3 310.825 4.098 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccccc1Cl)c1ccc(F)c(F)c1 ZINC000132172703 1056794970 /nfs/dbraw/zinc/79/49/70/1056794970.db2.gz QNNPRBXEGROVSE-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN Fc1cc(-c2cnccc2CN2CCCCC2)cc(F)c1F ZINC001240981409 1056796994 /nfs/dbraw/zinc/79/69/94/1056796994.db2.gz KMRTUSPMJUOOID-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN O[C@@H](CNCc1sccc1Cl)c1ccc2ccccc2c1 ZINC000785460421 1056806082 /nfs/dbraw/zinc/80/60/82/1056806082.db2.gz JYIHZXRUJAGJPH-INIZCTEOSA-N 0 3 317.841 4.378 20 0 DIADHN COc1ccc(CNCc2cc(C)cc(C)c2)cc1OC(F)F ZINC000065889830 1056811042 /nfs/dbraw/zinc/81/10/42/1056811042.db2.gz QAJZKZGEHMZPAZ-UHFFFAOYSA-N 0 3 321.367 4.203 20 0 DIADHN COc1cccc(F)c1-c1ccc(CN2CCCC2)c(F)c1 ZINC001241013283 1056817503 /nfs/dbraw/zinc/81/75/03/1056817503.db2.gz BBCBPJSDMYGFFR-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CC[C@@H](CC(F)F)CN1CCN([C@H](C)c2ccsc2)CC1 ZINC001617381580 1056822950 /nfs/dbraw/zinc/82/29/50/1056822950.db2.gz HWDQNHPPTPXGAD-KGLIPLIRSA-N 0 3 316.461 4.108 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCN([C@@H](C)c2ccsc2)CC1 ZINC001617381654 1056823462 /nfs/dbraw/zinc/82/34/62/1056823462.db2.gz JPLSKIQCHDTFSQ-IRXDYDNUSA-N 0 3 314.498 4.496 20 0 DIADHN CCC[C@H](CC)C(=O)N[C@@H](CN(C)Cc1ccccc1)C(C)C ZINC001128354077 1056824194 /nfs/dbraw/zinc/82/41/94/1056824194.db2.gz ZCZCWTONANJFPH-OALUTQOASA-N 0 3 318.505 4.086 20 0 DIADHN CC[C@H](NCC(=O)Nc1cccc(Cl)c1C)c1ccccc1 ZINC000066109803 1056828205 /nfs/dbraw/zinc/82/82/05/1056828205.db2.gz DALOZRLMSCHHJV-INIZCTEOSA-N 0 3 316.832 4.328 20 0 DIADHN COc1cc(F)cc(-c2ccc(F)c(CN3CCCC3)c2)c1 ZINC001241031649 1056830921 /nfs/dbraw/zinc/83/09/21/1056830921.db2.gz QVKLXOZROKYDME-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COc1ccc(-c2cc(CN3CCCCC3)ccn2)c(F)c1F ZINC001241040926 1056839279 /nfs/dbraw/zinc/83/92/79/1056839279.db2.gz JRYBDJHXOAHIPY-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCOc1ccc(-c2cc(CN(C)C)ccn2)cc1C(F)(F)F ZINC001241054420 1056851316 /nfs/dbraw/zinc/85/13/16/1056851316.db2.gz FKWVFLGWNUGWKC-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC001587056331 1056854756 /nfs/dbraw/zinc/85/47/56/1056854756.db2.gz LJWWTORTSRKCEG-PKOBYXMFSA-N 0 3 316.489 4.156 20 0 DIADHN CCCCCCOc1ccc(-c2cccc(CN)n2)c(F)c1F ZINC001241067273 1056860266 /nfs/dbraw/zinc/86/02/66/1056860266.db2.gz PHWYFDPPOPNSKX-UHFFFAOYSA-N 0 3 320.383 4.445 20 0 DIADHN O=C(c1ccc(Cl)cc1)C1CCN([C@H]2C=CCCC2)CC1 ZINC000066496421 1056863742 /nfs/dbraw/zinc/86/37/42/1056863742.db2.gz GBGXXMXDVIOPLE-KRWDZBQOSA-N 0 3 303.833 4.343 20 0 DIADHN C[C@H](c1ccccc1)[C@H](CO)N[C@@H](C)c1cccc(Cl)c1F ZINC000681412497 1056870407 /nfs/dbraw/zinc/87/04/07/1056870407.db2.gz OOLRXVZPTDMPCZ-IGCXYCKISA-N 0 3 321.823 4.294 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(F)cc(C(F)(F)F)c1 ZINC001241083671 1056875071 /nfs/dbraw/zinc/87/50/71/1056875071.db2.gz JSXIPLOEPZVUDK-GQCTYLIASA-N 0 3 310.294 4.439 20 0 DIADHN Fc1cc(F)c(F)c(-c2cc(CN3CCCCC3)ccn2)c1 ZINC001241087088 1056878134 /nfs/dbraw/zinc/87/81/34/1056878134.db2.gz BQGDFOBOAMIHCW-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN COc1ccc(-c2cc(N3CCCC3)ccn2)cc1C(F)(F)F ZINC001241090831 1056881577 /nfs/dbraw/zinc/88/15/77/1056881577.db2.gz UFDOHWAYGBVNRN-UHFFFAOYSA-N 0 3 322.330 4.376 20 0 DIADHN Fc1ccccc1C1(N[C@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168703606 1056883709 /nfs/dbraw/zinc/88/37/09/1056883709.db2.gz HOCXFKFJTAVIAH-ZDUSSCGKSA-N 0 3 301.792 4.225 20 0 DIADHN Cc1ncc([C@@H](C)N2CCC(c3ccsc3)CC2)c(C)n1 ZINC000682075450 1056886466 /nfs/dbraw/zinc/88/64/66/1056886466.db2.gz XPDNWTALFBWAQY-CYBMUJFWSA-N 0 3 301.459 4.096 20 0 DIADHN CN(C)C[C@H](NC(=O)CC1CCCCC1)c1ccc(Cl)cc1 ZINC001617818711 1056890035 /nfs/dbraw/zinc/89/00/35/1056890035.db2.gz SUGZYMUWDRAYRC-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1nc(-c2ccccc2)cs1 ZINC000682160383 1056892879 /nfs/dbraw/zinc/89/28/79/1056892879.db2.gz SYHIAIZUMXFYMC-OUCADQQQSA-N 0 3 304.484 4.355 20 0 DIADHN Fc1cc(F)c(-c2cncc(CN3CCCCC3)c2)cc1F ZINC001241112127 1056901433 /nfs/dbraw/zinc/90/14/33/1056901433.db2.gz KRCAIFSFFBRMRT-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN c1csc(-c2cnc(CN3CCCc4ccccc4CC3)o2)c1 ZINC001617969011 1056910053 /nfs/dbraw/zinc/91/00/53/1056910053.db2.gz RDZJWGGKFIQIHZ-UHFFFAOYSA-N 0 3 324.449 4.394 20 0 DIADHN CO[C@@H]1[C@H](C)[C@@H](NCc2nc(C3CCCCC3)cs2)C1(C)C ZINC001618013367 1056913265 /nfs/dbraw/zinc/91/32/65/1056913265.db2.gz WRGUNWCMMANLLV-CSMYWGQOSA-N 0 3 322.518 4.340 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@@H](C)c1cnccc1C ZINC000682733180 1056913711 /nfs/dbraw/zinc/91/37/11/1056913711.db2.gz OYECQDFGZKOMCT-LIRRHRJNSA-N 0 3 310.441 4.472 20 0 DIADHN CC(C)CC[C@@H](O)CN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC001618013584 1056914181 /nfs/dbraw/zinc/91/41/81/1056914181.db2.gz XJNKEEWARBNNQU-TZMCWYRMSA-N 0 3 323.868 4.006 20 0 DIADHN COc1ccc(F)c(-c2cc(CN3CCCCC3)ccn2)c1F ZINC001241139492 1056919238 /nfs/dbraw/zinc/91/92/38/1056919238.db2.gz MYOIGDONTFPJEI-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(C)c(F)c2)cc1OC ZINC000067120528 1056920548 /nfs/dbraw/zinc/92/05/48/1056920548.db2.gz ZGGSVEZBSXMQHJ-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN C[C@H](CCCC(C)(C)O)Nc1ccc(F)c(CN2CCCC2)c1 ZINC001168707460 1056933286 /nfs/dbraw/zinc/93/32/86/1056933286.db2.gz IZFUMTQOZFNWDU-OAHLLOKOSA-N 0 3 322.468 4.163 20 0 DIADHN CCCCN(Cc1nc(COC(C)C)no1)[C@@H]1CCC[C@H](C)C1 ZINC001618213614 1056934085 /nfs/dbraw/zinc/93/40/85/1056934085.db2.gz CBCCIKPXGSXYGN-JKSUJKDBSA-N 0 3 323.481 4.175 20 0 DIADHN c1c2ccncc2sc1CN[C@H]1CCCOc2ccccc21 ZINC000657338922 1056936148 /nfs/dbraw/zinc/93/61/48/1056936148.db2.gz IOSLYTPCHPVEKO-INIZCTEOSA-N 0 3 310.422 4.300 20 0 DIADHN CCOc1cc(-c2cncc(CN(C)C)c2)cc(C(F)(F)F)c1 ZINC001241161869 1056936801 /nfs/dbraw/zinc/93/68/01/1056936801.db2.gz CJUFAVRPDQTSGA-UHFFFAOYSA-N 0 3 324.346 4.228 20 0 DIADHN Clc1cc2ccccc2c(CN2CC[C@@H](n3cccn3)C2)c1 ZINC001618227273 1056937196 /nfs/dbraw/zinc/93/71/96/1056937196.db2.gz DIEABHPAKLXXKN-QGZVFWFLSA-N 0 3 311.816 4.137 20 0 DIADHN CCOc1cc(-c2ccc3[nH]c(N)nc3c2)cc(C(F)(F)F)c1 ZINC001241163480 1056937687 /nfs/dbraw/zinc/93/76/87/1056937687.db2.gz UWDOFBFDIHGCGL-UHFFFAOYSA-N 0 3 321.302 4.230 20 0 DIADHN CCOc1cc(-c2ccc3nc(N)[nH]c3c2)cc(C(F)(F)F)c1 ZINC001241163480 1056937697 /nfs/dbraw/zinc/93/76/97/1056937697.db2.gz UWDOFBFDIHGCGL-UHFFFAOYSA-N 0 3 321.302 4.230 20 0 DIADHN CCN(CC)Cc1cccc(N[C@H](C)CCCC(C)(C)O)c1F ZINC001168708245 1056941072 /nfs/dbraw/zinc/94/10/72/1056941072.db2.gz NGDNTMOMWCCZLS-OAHLLOKOSA-N 0 3 324.484 4.409 20 0 DIADHN COc1ccc(-c2cc(F)cc(OC(C)C)c2)cc1CN(C)C ZINC001241167295 1056941989 /nfs/dbraw/zinc/94/19/89/1056941989.db2.gz KKWWOEIKSGPNDA-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN Clc1ccccc1CCCN1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC001618257854 1056942541 /nfs/dbraw/zinc/94/25/41/1056942541.db2.gz PZXUFDRXGYKQCX-QZTJIDSGSA-N 0 3 319.876 4.306 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1Cc2ccccc2OC(C)(C)C1 ZINC001618287492 1056944533 /nfs/dbraw/zinc/94/45/33/1056944533.db2.gz JWWFVUJNIKWREZ-AEFFLSMTSA-N 0 3 317.473 4.255 20 0 DIADHN Cc1cc(C(C)(C)NC/C=C\Cl)ccc1Br ZINC001618286767 1056944820 /nfs/dbraw/zinc/94/48/20/1056944820.db2.gz FLSKXIXFZMMYKX-DAXSKMNVSA-N 0 3 302.643 4.335 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)NCc2cnc3n2CCC3)cc1 ZINC000657413853 1056954694 /nfs/dbraw/zinc/95/46/94/1056954694.db2.gz FYGRXALAQISTTJ-UHFFFAOYSA-N 0 3 311.473 4.152 20 0 DIADHN Nc1ccnc2cc(-c3cccc(O[C@@H]4CCCCO4)c3)ccc12 ZINC001241215862 1056964922 /nfs/dbraw/zinc/96/49/22/1056964922.db2.gz KMQGJPLDTKAJDS-HXUWFJFHSA-N 0 3 320.392 4.389 20 0 DIADHN Clc1cccc2c1SCC[C@H]2NCCOC1CCCC1 ZINC000358084623 1056969311 /nfs/dbraw/zinc/96/93/11/1056969311.db2.gz SGGYLCOTTAZNBD-OAHLLOKOSA-N 0 3 311.878 4.426 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCCC[C@H]2c2ccccc2)c1 ZINC000358187625 1056978851 /nfs/dbraw/zinc/97/88/51/1056978851.db2.gz QLDLAZWJGFTZHX-FQEVSTJZSA-N 0 3 322.452 4.116 20 0 DIADHN CCN(CC)Cc1cc(-c2cnc(N(C)C)cc2C)ccc1F ZINC001241251349 1056980581 /nfs/dbraw/zinc/98/05/81/1056980581.db2.gz IUHHGTCRXYWEMF-UHFFFAOYSA-N 0 3 315.436 4.104 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2N[C@H](c1cccnc1)C1CC1 ZINC000358369831 1056983533 /nfs/dbraw/zinc/98/35/33/1056983533.db2.gz QYLMLRBUWOWOLX-IRXDYDNUSA-N 0 3 314.429 4.499 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cccc(N3CCCC3=O)c2)cc1 ZINC000068706516 1056984768 /nfs/dbraw/zinc/98/47/68/1056984768.db2.gz DCKBELASWPXNKF-MRXNPFEDSA-N 0 3 322.452 4.227 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cccc(N3CCCC3=O)c2)cc1 ZINC000068706515 1056984912 /nfs/dbraw/zinc/98/49/12/1056984912.db2.gz DCKBELASWPXNKF-INIZCTEOSA-N 0 3 322.452 4.227 20 0 DIADHN FC(F)(F)C1CCN([C@@H]2Cc3cccc(Cl)c3C2)CC1 ZINC001168719735 1056995789 /nfs/dbraw/zinc/99/57/89/1056995789.db2.gz BUWUBYRPGCYFQC-GFCCVEGCSA-N 0 3 303.755 4.082 20 0 DIADHN COc1cc2c(cc1OC)[C@@H](NCc1ccc(C3CC3)cc1)CC2 ZINC000358982275 1056996889 /nfs/dbraw/zinc/99/68/89/1056996889.db2.gz JJKBECNIMBSXKZ-IBGZPJMESA-N 0 3 323.436 4.358 20 0 DIADHN CN(C)c1ccnc(-c2ccc(C(F)(F)F)c(Cl)c2)c1 ZINC001241287029 1056997007 /nfs/dbraw/zinc/99/70/07/1056997007.db2.gz KEVYEPPBUHQMIM-UHFFFAOYSA-N 0 3 300.711 4.487 20 0 DIADHN C[C@H]1Cc2cc(CN[C@H](c3ccccn3)C3CCC3)ccc2O1 ZINC000922286433 1056998809 /nfs/dbraw/zinc/99/88/09/1056998809.db2.gz XSVINQKHUYAEHU-XOBRGWDASA-N 0 3 308.425 4.036 20 0 DIADHN CC[N@@H+]1CCCC[C@H]1c1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241290760 1056998966 /nfs/dbraw/zinc/99/89/66/1056998966.db2.gz FVNKWQRZTHGEHS-HNNXBMFYSA-N 0 3 318.367 4.279 20 0 DIADHN CC[N@H+]1CCCC[C@H]1c1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241290760 1056998970 /nfs/dbraw/zinc/99/89/70/1056998970.db2.gz FVNKWQRZTHGEHS-HNNXBMFYSA-N 0 3 318.367 4.279 20 0 DIADHN FC(F)(F)C1(CNCc2c(Cl)n[nH]c2C2CC2)CCCC1 ZINC000922295119 1057001803 /nfs/dbraw/zinc/00/18/03/1057001803.db2.gz HKYCRBMSMYOZSS-UHFFFAOYSA-N 0 3 321.774 4.153 20 0 DIADHN C[C@]1(CNCc2cc(C(F)(F)F)ccc2Cl)CC1(F)F ZINC000657664838 1057005530 /nfs/dbraw/zinc/00/55/30/1057005530.db2.gz MJMGOQHYIXUFJL-LLVKDONJSA-N 0 3 313.697 4.494 20 0 DIADHN CN1CC=C(c2ncnc3sc(-c4ccccc4)cc32)CC1 ZINC001241309774 1057008037 /nfs/dbraw/zinc/00/80/37/1057008037.db2.gz YZKJQMYCVSCZJE-UHFFFAOYSA-N 0 3 307.422 4.077 20 0 DIADHN CCOc1ccc(F)c(F)c1-c1ccc2c(c1)CN(C)CC2 ZINC001241312134 1057008958 /nfs/dbraw/zinc/00/89/58/1057008958.db2.gz KYZDESBLZBVZIZ-UHFFFAOYSA-N 0 3 303.352 4.018 20 0 DIADHN CC[C@H](NCc1c2c(nn1C)CCC2)c1cccc2ccccc21 ZINC000922342276 1057013378 /nfs/dbraw/zinc/01/33/78/1057013378.db2.gz CBZSLASRRFEMLY-IBGZPJMESA-N 0 3 319.452 4.303 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)[C@@H](C)O)ccc1C(F)(F)F ZINC000657814269 1057017208 /nfs/dbraw/zinc/01/72/08/1057017208.db2.gz BLYOSMYGDGIKSL-DYVFJYSZSA-N 0 3 323.358 4.226 20 0 DIADHN c1cc2c(cccc2CNCc2ccccc2OC2CCC2)[nH]1 ZINC000118454740 1057018940 /nfs/dbraw/zinc/01/89/40/1057018940.db2.gz CHMJDEXFZZCKRA-UHFFFAOYSA-N 0 3 306.409 4.389 20 0 DIADHN CC(C)CCn1cccc1CNCc1c[nH]c(=O)c2ccccc12 ZINC000922497333 1057028216 /nfs/dbraw/zinc/02/82/16/1057028216.db2.gz PYLVQHVSWGHSRV-UHFFFAOYSA-N 0 3 323.440 4.078 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnn(Cc3ccccc3)c1)CC2 ZINC000390429385 1057045945 /nfs/dbraw/zinc/04/59/45/1057045945.db2.gz ZQTCQNRPKFISSI-OAQYLSRUSA-N 0 3 317.436 4.017 20 0 DIADHN CCc1cc(CN[C@H](CC)c2ccc3cc(OC)ccc3c2)[nH]n1 ZINC000765993825 1057045988 /nfs/dbraw/zinc/04/59/88/1057045988.db2.gz NABPEKQBHGMSMU-HXUWFJFHSA-N 0 3 323.440 4.375 20 0 DIADHN CCc1cc(CN[C@H](CC)c2ccc3cc(OC)ccc3c2)n[nH]1 ZINC000765993825 1057045994 /nfs/dbraw/zinc/04/59/94/1057045994.db2.gz NABPEKQBHGMSMU-HXUWFJFHSA-N 0 3 323.440 4.375 20 0 DIADHN CCc1cc(CN[C@H]2CCCCc3ccc(Cl)cc32)n[nH]1 ZINC000765995770 1057046257 /nfs/dbraw/zinc/04/62/57/1057046257.db2.gz WZJSJFJMRYQXKY-KRWDZBQOSA-N 0 3 303.837 4.183 20 0 DIADHN CCc1cc(CN[C@H]2CCCCc3ccc(Cl)cc32)[nH]n1 ZINC000765995770 1057046259 /nfs/dbraw/zinc/04/62/59/1057046259.db2.gz WZJSJFJMRYQXKY-KRWDZBQOSA-N 0 3 303.837 4.183 20 0 DIADHN CO[C@H](CN(C)[C@H](C)c1ccccn1)c1ccc(Cl)cc1 ZINC000282366812 1057047170 /nfs/dbraw/zinc/04/71/70/1057047170.db2.gz ABWUCZJRALGGTI-CXAGYDPISA-N 0 3 304.821 4.116 20 0 DIADHN COCc1csc(CN[C@@H](C)c2ccc(OC)c(C)c2)c1 ZINC000922674807 1057047891 /nfs/dbraw/zinc/04/78/91/1057047891.db2.gz OBWSDKXAKGBMQP-ZDUSSCGKSA-N 0 3 305.443 4.062 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1CNCc1ncc(-c2ccccc2)s1 ZINC000922731848 1057052902 /nfs/dbraw/zinc/05/29/02/1057052902.db2.gz QUFAKIRBKSVZSC-NWDGAFQWSA-N 0 3 312.360 4.098 20 0 DIADHN FC(F)(F)[C@@H]1C[C@H]1CNCc1csc(-c2ccsc2)n1 ZINC000922731662 1057053210 /nfs/dbraw/zinc/05/32/10/1057053210.db2.gz GHADIONEGPMKRT-GXSJLCMTSA-N 0 3 318.389 4.160 20 0 DIADHN CCOC(=O)/C=C\CN[C@H](c1oc2ccccc2c1C)C(C)C ZINC001168733839 1057053435 /nfs/dbraw/zinc/05/34/35/1057053435.db2.gz OVFRWYRMIRVQBK-YFWYWMRJSA-N 0 3 315.413 4.147 20 0 DIADHN OCC[C@H](NCc1cc2ccccc2[nH]1)c1ccc(Cl)cc1 ZINC000673615698 1057060775 /nfs/dbraw/zinc/06/07/75/1057060775.db2.gz ZOSQLOJCEYKZSG-KRWDZBQOSA-N 0 3 314.816 4.035 20 0 DIADHN Cc1ccc(CNC2CC(C(F)(F)F)C2)c(Br)c1 ZINC000673618916 1057065148 /nfs/dbraw/zinc/06/51/48/1057065148.db2.gz YCIXIOMSCATDIR-UHFFFAOYSA-N 0 3 322.168 4.188 20 0 DIADHN C[C@@H]1C[C@H](NCc2csc(-c3cccs3)n2)CCS1 ZINC000922835522 1057065386 /nfs/dbraw/zinc/06/53/86/1057065386.db2.gz GLNNGPOJJSGCHM-GHMZBOCLSA-N 0 3 310.513 4.245 20 0 DIADHN C[C@H]1C[C@H](NCc2csc(-c3ccsc3)n2)CCS1 ZINC000922844036 1057067955 /nfs/dbraw/zinc/06/79/55/1057067955.db2.gz VDVMJJCVQUUMRG-CMPLNLGQSA-N 0 3 310.513 4.245 20 0 DIADHN CC(C)(C)c1nc(CN[C@H]2CCSC3(CCC3)C2)cs1 ZINC000922842646 1057068606 /nfs/dbraw/zinc/06/86/06/1057068606.db2.gz OMNWVPYKRGDNGI-LBPRGKRZSA-N 0 3 310.532 4.349 20 0 DIADHN FC(F)c1ccnc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241502272 1057071183 /nfs/dbraw/zinc/07/11/83/1057071183.db2.gz SDJDTFDHFMZCRD-UHFFFAOYSA-N 0 3 300.352 4.309 20 0 DIADHN Fc1ccc2nc(C3=CCN(Cc4ccccc4)CC3)ncc2c1 ZINC001241502524 1057072165 /nfs/dbraw/zinc/07/21/65/1057072165.db2.gz ZPFITJMNTPSFDZ-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN COC(=O)c1c(C)cccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241503231 1057073221 /nfs/dbraw/zinc/07/32/21/1057073221.db2.gz KQKJUOJNTPVYHR-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN CC(C)Oc1ccc(C2=CCN(Cc3ccccc3)CC2)cn1 ZINC001241503563 1057074158 /nfs/dbraw/zinc/07/41/58/1057074158.db2.gz VFLBOPOQRJMAPE-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN FC(F)(F)c1ccc(C2=CCN(Cc3ccccc3)CC2)cn1 ZINC001241504806 1057074720 /nfs/dbraw/zinc/07/47/20/1057074720.db2.gz ZZPHQNBDBLQUKF-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN OCc1cccc(CN[C@@H]2CCSc3c(Cl)cccc32)c1 ZINC000361173832 1057074890 /nfs/dbraw/zinc/07/48/90/1057074890.db2.gz MZNYXZYSZIEZQX-MRXNPFEDSA-N 0 3 319.857 4.159 20 0 DIADHN COC(=O)c1cc(C)ccc1C1=CCN(Cc2ccccc2)CC1 ZINC001241505477 1057076793 /nfs/dbraw/zinc/07/67/93/1057076793.db2.gz UVBWDFPUTYRMRR-UHFFFAOYSA-N 0 3 321.420 4.071 20 0 DIADHN Cc1nc([C@@H](C)NCCCc2c[nH]c3ccc(F)cc23)cs1 ZINC000282408389 1057077201 /nfs/dbraw/zinc/07/72/01/1057077201.db2.gz CDYOBBFMQXWINU-LLVKDONJSA-N 0 3 317.433 4.355 20 0 DIADHN c1coc(-c2cncc(C3=CCN(Cc4ccccc4)CC3)c2)n1 ZINC001241507596 1057078863 /nfs/dbraw/zinc/07/88/63/1057078863.db2.gz LAOAXGVIENTZLN-UHFFFAOYSA-N 0 3 317.392 4.026 20 0 DIADHN Cc1ncccc1CN[C@@H](C)c1ccc(-c2ccncc2)cc1 ZINC000673629915 1057080326 /nfs/dbraw/zinc/08/03/26/1057080326.db2.gz WVRUFDUHJDBHAR-HNNXBMFYSA-N 0 3 303.409 4.303 20 0 DIADHN COCc1c(C)cc(C)c(CN[C@H]2CCCc3cccnc32)c1C ZINC000922886189 1057084896 /nfs/dbraw/zinc/08/48/96/1057084896.db2.gz GNTNKILYLPOUDW-FQEVSTJZSA-N 0 3 324.468 4.320 20 0 DIADHN COc1ccc([C@H](NCc2ccnn2C(C)C)C2CCC2)cc1 ZINC000922887726 1057088200 /nfs/dbraw/zinc/08/82/00/1057088200.db2.gz FQBOMHFJZYGIPP-LJQANCHMSA-N 0 3 313.445 4.104 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(C(=O)OC(C)(C)C)c1 ZINC001241538788 1057088503 /nfs/dbraw/zinc/08/85/03/1057088503.db2.gz KCROQHSGELWGLI-ZRDIBKRKSA-N 0 3 324.424 4.236 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cncc(F)c2)C2CCC2)cc1 ZINC000922888137 1057089191 /nfs/dbraw/zinc/08/91/91/1057089191.db2.gz KDDNHRRXSCMJDC-BFUOFWGJSA-N 0 3 314.404 4.421 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2cncc(F)c2)C2CCC2)cc1 ZINC000922888142 1057089489 /nfs/dbraw/zinc/08/94/89/1057089489.db2.gz KDDNHRRXSCMJDC-YJYMSZOUSA-N 0 3 314.404 4.421 20 0 DIADHN CCOC(=O)c1cc2c(cc(C)nc2/C=C/C(C)(C)C)n1C ZINC001241562695 1057092840 /nfs/dbraw/zinc/09/28/40/1057092840.db2.gz FFXAZMBNCHLYPN-CMDGGOBGSA-N 0 3 300.402 4.118 20 0 DIADHN COc1ccc([C@@H](NCc2cnn(C(C)C)c2)C2CCC2)cc1 ZINC000922890867 1057096134 /nfs/dbraw/zinc/09/61/34/1057096134.db2.gz IPCFXIZWJQWVTD-IBGZPJMESA-N 0 3 313.445 4.104 20 0 DIADHN Fc1ccccc1-c1nc(CN2CCC[C@H]3CCC[C@H]32)co1 ZINC000625182930 1057096928 /nfs/dbraw/zinc/09/69/28/1057096928.db2.gz JDGIYTXFQMWLTQ-CXAGYDPISA-N 0 3 300.377 4.245 20 0 DIADHN CC1(C)CCCN(CCNC(=O)C2CC(C)(C)CC(C)(C)C2)C1 ZINC001626267615 1057104030 /nfs/dbraw/zinc/10/40/30/1057104030.db2.gz MEAKYDDAVCRNKY-UHFFFAOYSA-N 0 3 322.537 4.077 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H](N[C@H]1CCCn2nccc21)c1ccc(Cl)cc1 ZINC000657923095 1057106916 /nfs/dbraw/zinc/10/69/16/1057106916.db2.gz VMMPNIRHHABFAG-JHMUCCBASA-N 0 3 315.848 4.358 20 0 DIADHN CSC1(CN(Cc2cccc(C)c2)Cc2ccccn2)CC1 ZINC000347794732 1057114738 /nfs/dbraw/zinc/11/47/38/1057114738.db2.gz WSQLMGIUWWAAOO-UHFFFAOYSA-N 0 3 312.482 4.288 20 0 DIADHN CC[C@H](N[C@@H](Cn1cccn1)c1ccccc1)c1ccccc1 ZINC000673715541 1057120180 /nfs/dbraw/zinc/12/01/80/1057120180.db2.gz ZNZFSEYLSMUOAP-PMACEKPBSA-N 0 3 305.425 4.365 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2CCCOC2)c1Cl ZINC000038044992 1057173306 /nfs/dbraw/zinc/17/33/06/1057173306.db2.gz ONQVKMGNHBDTAH-VIFPVBQESA-N 0 3 308.636 4.163 20 0 DIADHN Cc1n[nH]c2ccc(-c3ccc(F)c(CN4CCCC4)c3)cc12 ZINC001241671754 1057131041 /nfs/dbraw/zinc/13/10/41/1057131041.db2.gz NRAXAIRCZRSOCY-UHFFFAOYSA-N 0 3 309.388 4.273 20 0 DIADHN CC[C@H](N[C@@H](C)[C@@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000766030793 1057131697 /nfs/dbraw/zinc/13/16/97/1057131697.db2.gz VWBYQRXPPBFKGJ-FJNPEDAXSA-N 0 3 323.358 4.267 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](O)c2ccc(C(C)(C)C)cc2)o1 ZINC000766037865 1057141418 /nfs/dbraw/zinc/14/14/18/1057141418.db2.gz KNLYWRBPCAVVCQ-WMLDXEAASA-N 0 3 301.430 4.270 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](O)c2ccc(C(C)(C)C)cc2)o1 ZINC000766037862 1057141846 /nfs/dbraw/zinc/14/18/46/1057141846.db2.gz KNLYWRBPCAVVCQ-PBHICJAKSA-N 0 3 301.430 4.270 20 0 DIADHN CCO[C@H](CN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)C1CC1 ZINC000625458179 1057142563 /nfs/dbraw/zinc/14/25/63/1057142563.db2.gz GJNFIJNVPHLRIS-BDJLRTHQSA-N 0 3 321.852 4.024 20 0 DIADHN Cc1cc(-c2nc(-c3ccc(CN(C)C)cc3)no2)c(C)s1 ZINC000673899544 1057145483 /nfs/dbraw/zinc/14/54/83/1057145483.db2.gz ZQPJCQLHYLHQSS-UHFFFAOYSA-N 0 3 313.426 4.144 20 0 DIADHN CN(C)Cc1ccc(-c2noc(/C=C\c3cccs3)n2)cc1 ZINC000673899462 1057145812 /nfs/dbraw/zinc/14/58/12/1057145812.db2.gz WWVMFIZCQCYKSC-KTKRTIGZSA-N 0 3 311.410 4.030 20 0 DIADHN CCN(CC)Cc1cc(-c2cnn(C3CCC3)c2)ccc1F ZINC001241725140 1057148054 /nfs/dbraw/zinc/14/80/54/1057148054.db2.gz XVOHDCHJJMBIKK-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN COc1ccc(-c2ccc(Cl)c(OC)c2)cc1CN(C)C ZINC001241735919 1057151141 /nfs/dbraw/zinc/15/11/41/1057151141.db2.gz GZZAPZNVAJNVSJ-UHFFFAOYSA-N 0 3 305.805 4.086 20 0 DIADHN NCc1cc(-c2ccc(-c3cccc4ccccc43)cc2)ncn1 ZINC001241739463 1057152072 /nfs/dbraw/zinc/15/20/72/1057152072.db2.gz FHMJDJFKDRULOE-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN Cc1cc(C)c([C@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc1C ZINC000766041884 1057153698 /nfs/dbraw/zinc/15/36/98/1057153698.db2.gz BSBLDHRNCBLQSF-HNAYVOBHSA-N 0 3 309.457 4.438 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4[nH]ccc4c3F)cc2)CC1 ZINC001241743418 1057153914 /nfs/dbraw/zinc/15/39/14/1057153914.db2.gz JYKKRPVRYKGIKA-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4cc[nH]c4cc3F)cc2)CC1 ZINC001241744213 1057154066 /nfs/dbraw/zinc/15/40/66/1057154066.db2.gz PKWJNVMELIEJJD-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCOc1cc(C)ccc1-c1ccc(N2CCN(CC)CC2)cc1 ZINC001241746062 1057154848 /nfs/dbraw/zinc/15/48/48/1057154848.db2.gz SISSDUWIZDHJDE-UHFFFAOYSA-N 0 3 324.468 4.203 20 0 DIADHN Cc1cc(Br)cc([C@H](C)[NH2+]Cc2ccccc2)c1[O-] ZINC000186918695 1057156747 /nfs/dbraw/zinc/15/67/47/1057156747.db2.gz MBEKSXZVRNVTHL-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@H](C)c1ccc2c(c1)CCCC2 ZINC000766045105 1057164118 /nfs/dbraw/zinc/16/41/18/1057164118.db2.gz PLBDBTQLYSVEDG-VLIAUNLRSA-N 0 3 321.468 4.392 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@H](C)c1ccc2c(c1)CCC2 ZINC000766045657 1057165865 /nfs/dbraw/zinc/16/58/65/1057165865.db2.gz UHBCLKCCBXKTRC-BFUOFWGJSA-N 0 3 307.441 4.002 20 0 DIADHN CN(CC(=O)Nc1ccc(F)cc1Cl)C1CCCCCC1 ZINC000014705053 1057168095 /nfs/dbraw/zinc/16/80/95/1057168095.db2.gz HBSNKNUHVZQIDM-UHFFFAOYSA-N 0 3 312.816 4.072 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H]1CCc2ccccc21 ZINC000674002027 1057173980 /nfs/dbraw/zinc/17/39/80/1057173980.db2.gz AIYPOPDMJKJXSK-GOSISDBHSA-N 0 3 322.452 4.115 20 0 DIADHN COc1ccc(-c2cnc(CN(C3CCC3)C3CCC3)o2)cc1 ZINC000429193797 1057174971 /nfs/dbraw/zinc/17/49/71/1057174971.db2.gz WMELQYYGQFIPJS-UHFFFAOYSA-N 0 3 312.413 4.257 20 0 DIADHN CC1(C)CN(CCC(=O)Nc2ccccc2)[C@H]1c1ccccc1 ZINC000674009737 1057176824 /nfs/dbraw/zinc/17/68/24/1057176824.db2.gz MNNKHVMDCHCOGA-IBGZPJMESA-N 0 3 308.425 4.098 20 0 DIADHN CC(C)Oc1ccc(-c2cncc(CN(C)C)c2)cc1Cl ZINC001241827310 1057178551 /nfs/dbraw/zinc/17/85/51/1057178551.db2.gz OKUGCNVKFMBSAN-UHFFFAOYSA-N 0 3 304.821 4.251 20 0 DIADHN c1nn(C2CCCCC2)cc1-c1cncc(CN2CCCCC2)c1 ZINC001241841420 1057185638 /nfs/dbraw/zinc/18/56/38/1057185638.db2.gz JTXLEHDFIVCOKZ-UHFFFAOYSA-N 0 3 324.472 4.436 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(-c2cccnc2)cc1 ZINC001241847926 1057189940 /nfs/dbraw/zinc/18/99/40/1057189940.db2.gz MWXNVXIGSQBGOH-JLHYYAGUSA-N 0 3 301.393 4.343 20 0 DIADHN CC[C@H]1c2ccsc2CCN1CCOc1ccccc1OC ZINC000059384339 1057192523 /nfs/dbraw/zinc/19/25/23/1057192523.db2.gz RTBNULOINFCJCS-HNNXBMFYSA-N 0 3 317.454 4.145 20 0 DIADHN CCOC1CCC(N[C@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000657997293 1057204373 /nfs/dbraw/zinc/20/43/73/1057204373.db2.gz AUOYERLDASJAFJ-GZNCHQMQSA-N 0 3 324.468 4.417 20 0 DIADHN OCCCCCN1CCC[C@@H]1c1ccc(Cl)c(Cl)c1 ZINC000171145000 1057213530 /nfs/dbraw/zinc/21/35/30/1057213530.db2.gz DDRDJFUYVOOZPI-OAHLLOKOSA-N 0 3 302.245 4.293 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc3c(c2)CCCC3)C(C)C)n(C)n1 ZINC000658006409 1057213693 /nfs/dbraw/zinc/21/36/93/1057213693.db2.gz SBTGUNRGHJKFNK-HXUWFJFHSA-N 0 3 311.473 4.094 20 0 DIADHN OCCCCCN1CCC[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000171144998 1057213881 /nfs/dbraw/zinc/21/38/81/1057213881.db2.gz DDRDJFUYVOOZPI-HNNXBMFYSA-N 0 3 302.245 4.293 20 0 DIADHN Cc1nnc(-c2cccc(-c3cc(N4CCCCC4)ccn3)c2)o1 ZINC001241934119 1057216712 /nfs/dbraw/zinc/21/67/12/1057216712.db2.gz IOWFXVAUZOREQG-UHFFFAOYSA-N 0 3 320.396 4.097 20 0 DIADHN COc1ccc(-c2cnccc2/C=C/N(C)C)c2ccccc12 ZINC001241980491 1057230057 /nfs/dbraw/zinc/23/00/57/1057230057.db2.gz SNTWMZYEMQXYQF-ACCUITESSA-N 0 3 304.393 4.443 20 0 DIADHN CCC(CC)N(C(=O)[C@H]1CCCN1C(C)C)c1cccc(C)c1 ZINC001128715527 1057240082 /nfs/dbraw/zinc/24/00/82/1057240082.db2.gz XJEMDOSJRQSBMB-LJQANCHMSA-N 0 3 316.489 4.389 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(CN)nc2)c(C(F)(F)F)c1 ZINC001242102928 1057264048 /nfs/dbraw/zinc/26/40/48/1057264048.db2.gz GKLSEMOHLNPVRR-UHFFFAOYSA-N 0 3 310.319 4.013 20 0 DIADHN Cc1ccc(/C=C/CC(=O)Nc2ccccc2CN(C)C)cc1 ZINC000674683861 1057273181 /nfs/dbraw/zinc/27/31/81/1057273181.db2.gz LWYATVXNCLNZFW-VOTSOKGWSA-N 0 3 308.425 4.099 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(-c3ccccn3)s1)CC2 ZINC000674676273 1057273338 /nfs/dbraw/zinc/27/33/38/1057273338.db2.gz QJLQKWOSQMCJTD-QGZVFWFLSA-N 0 3 321.449 4.291 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1c[nH]c(-c3ccccc3)n1)CC2 ZINC000674717234 1057275761 /nfs/dbraw/zinc/27/57/61/1057275761.db2.gz OAYZGQRNXUVDPZ-LJQANCHMSA-N 0 3 303.409 4.162 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(-c3ccccc3)[nH]1)CC2 ZINC000674717234 1057275764 /nfs/dbraw/zinc/27/57/64/1057275764.db2.gz OAYZGQRNXUVDPZ-LJQANCHMSA-N 0 3 303.409 4.162 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1nc(-c2cccc(Cl)c2)no1 ZINC000674767059 1057281832 /nfs/dbraw/zinc/28/18/32/1057281832.db2.gz WTPLHGWLHGJCMX-SMDDNHRTSA-N 0 3 305.809 4.058 20 0 DIADHN CCCN(Cc1nnc(-c2cc(C)c(CC)s2)o1)CC1CC1 ZINC000674787840 1057282867 /nfs/dbraw/zinc/28/28/67/1057282867.db2.gz UMKWLISQKQDUBT-UHFFFAOYSA-N 0 3 319.474 4.291 20 0 DIADHN [NH3+]C1(c2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc2)CCC1 ZINC001242162415 1057284813 /nfs/dbraw/zinc/28/48/13/1057284813.db2.gz PAYZVIPXEAEZLS-UHFFFAOYSA-N 0 3 307.315 4.416 20 0 DIADHN [NH3+]Cc1c[nH]c2ccc(-c3ccc([O-])c(C(F)(F)F)c3)cc12 ZINC001242168636 1057285284 /nfs/dbraw/zinc/28/52/84/1057285284.db2.gz SBIVEOASPHPZKJ-UHFFFAOYSA-N 0 3 306.287 4.018 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)cc1O ZINC001242175837 1057287862 /nfs/dbraw/zinc/28/78/62/1057287862.db2.gz BHNZPINMKOKIDO-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CCOc1ccc(CN2CCC(c3ccccc3C)CC2)nc1 ZINC000674864145 1057292239 /nfs/dbraw/zinc/29/22/39/1057292239.db2.gz XVLSATZGNWDYTO-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN C[N@@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3ccc(F)cc3[O-])cc12 ZINC001242218373 1057296796 /nfs/dbraw/zinc/29/67/96/1057296796.db2.gz BNGOTTULBIJESQ-MRXNPFEDSA-N 0 3 324.399 4.316 20 0 DIADHN C[N@H+]1CCC[C@@H]1Cc1c[nH]c2ccc(-c3ccc(F)cc3[O-])cc12 ZINC001242218373 1057296802 /nfs/dbraw/zinc/29/68/02/1057296802.db2.gz BNGOTTULBIJESQ-MRXNPFEDSA-N 0 3 324.399 4.316 20 0 DIADHN COc1ccc(-c2ccc(F)cc2[O-])cc1C[NH+]1CCCCC1 ZINC001242219764 1057297482 /nfs/dbraw/zinc/29/74/82/1057297482.db2.gz POFNBSMVRDGTPX-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN CN1CCc2ccc(-c3cccnc3OC3CCCCC3)cc2C1 ZINC001242231602 1057304317 /nfs/dbraw/zinc/30/43/17/1057304317.db2.gz UBFNYCBNYPIOLF-UHFFFAOYSA-N 0 3 322.452 4.448 20 0 DIADHN Cc1c(F)cc(-c2cncc(CN3CCCCC3)c2)cc1F ZINC001242288820 1057322468 /nfs/dbraw/zinc/32/24/68/1057322468.db2.gz SZTWOLSAWVAGOF-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1sc(NC(=O)CN(C2CC2)C2CCCC2)c(C)c1C ZINC000173715040 1057331604 /nfs/dbraw/zinc/33/16/04/1057331604.db2.gz WZVMTERYFRZEBP-UHFFFAOYSA-N 0 3 306.475 4.019 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2N[C@H]1CCc2ccc(O)cc21 ZINC000658235929 1057333354 /nfs/dbraw/zinc/33/33/54/1057333354.db2.gz GKNPQVBKLOQIFD-PMACEKPBSA-N 0 3 323.436 4.400 20 0 DIADHN [O-]c1c(F)cccc1-c1ccc(-c2c[nH]c([C@@H]3CCC[NH2+]3)n2)cc1 ZINC001242352532 1057342067 /nfs/dbraw/zinc/34/20/67/1057342067.db2.gz XPHHMAQBVQBRAC-INIZCTEOSA-N 0 3 323.371 4.013 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1cccc(F)c1[O-] ZINC001242352991 1057342375 /nfs/dbraw/zinc/34/23/75/1057342375.db2.gz JTXWIUVVGDSXLB-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN [O-]c1c(F)cccc1-c1ccc2[nH]cc(CC[NH+]3CCCC3)c2c1 ZINC001242351695 1057342471 /nfs/dbraw/zinc/34/24/71/1057342471.db2.gz PCLAOMNNPWIDKX-UHFFFAOYSA-N 0 3 324.399 4.318 20 0 DIADHN FC(F)(F)c1ncccc1-c1cncc(CN2CCCCC2)c1 ZINC001242374344 1057352085 /nfs/dbraw/zinc/35/20/85/1057352085.db2.gz DWXCZGVUTASHQE-UHFFFAOYSA-N 0 3 321.346 4.148 20 0 DIADHN FC(F)(F)c1cccc(-c2ccc([C@H]3CNCCO3)cc2)c1 ZINC000041538817 1057352219 /nfs/dbraw/zinc/35/22/19/1057352219.db2.gz PWBUFEMXEXQUDX-MRXNPFEDSA-N 0 3 307.315 4.033 20 0 DIADHN FC(F)(F)c1ccccc1-c1cccc([C@@H]2CNCCO2)c1 ZINC000041538805 1057352529 /nfs/dbraw/zinc/35/25/29/1057352529.db2.gz BYYWUZYGWNGBDB-INIZCTEOSA-N 0 3 307.315 4.033 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@@H]1CCc2cc(F)ccc21)c1ccccc1 ZINC000658287489 1057353964 /nfs/dbraw/zinc/35/39/64/1057353964.db2.gz HAKMINUCCOUUCT-AABGKKOBSA-N 0 3 313.416 4.161 20 0 DIADHN C[C@@H](NC1CCC(C)(O)CC1)c1cc(F)c(Cl)cc1Cl ZINC000703588966 1057354640 /nfs/dbraw/zinc/35/46/40/1057354640.db2.gz BCGYJOLCMORGFA-SBTGLGLWSA-N 0 3 320.235 4.477 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCCCC3)c(F)c2)cc1CO ZINC001242386320 1057356060 /nfs/dbraw/zinc/35/60/60/1057356060.db2.gz JWAYDGWJFDEKEN-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN FC(F)Oc1ccc(-c2cncc(CN3CCCCC3)c2)cc1 ZINC001242441837 1057368967 /nfs/dbraw/zinc/36/89/67/1057368967.db2.gz QBCIGBQOFAHEMD-UHFFFAOYSA-N 0 3 318.367 4.336 20 0 DIADHN Cc1ccc([C@@H](C)CN[C@@H](C)c2cn(-c3ccccc3)nn2)cc1 ZINC000675446335 1057369773 /nfs/dbraw/zinc/36/97/73/1057369773.db2.gz QQMSECFQOUAQAY-IRXDYDNUSA-N 0 3 320.440 4.030 20 0 DIADHN COc1cccc(C2(N[C@H](C)c3ccccc3N(C)C)CCC2)c1 ZINC000658322967 1057371449 /nfs/dbraw/zinc/37/14/49/1057371449.db2.gz FOINLIADPQKGOA-MRXNPFEDSA-N 0 3 324.468 4.491 20 0 DIADHN CC(C)n1ccc(-c2ccc(F)c(CN3CCCCC3)c2)n1 ZINC001242456593 1057373533 /nfs/dbraw/zinc/37/35/33/1057373533.db2.gz AKMBYQHXPIJURS-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccc(Cl)c(Cl)c2)o1 ZINC000766136255 1057373995 /nfs/dbraw/zinc/37/39/95/1057373995.db2.gz CJQPVDBCITUUKE-QMTHXVAHSA-N 0 3 314.212 4.279 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1c1ccccc1Cl)c1nccn1C ZINC000658335543 1057378034 /nfs/dbraw/zinc/37/80/34/1057378034.db2.gz BIPKMMWFOYFODS-JGGQBBKZSA-N 0 3 303.837 4.060 20 0 DIADHN CC(C)(C)c1ccc(CN2CC[C@@H](OCc3ccccn3)C2)cc1 ZINC000675514927 1057384609 /nfs/dbraw/zinc/38/46/09/1057384609.db2.gz MBMFIMZSKOAWLE-HXUWFJFHSA-N 0 3 324.468 4.170 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc3ncncc3c2)c1F ZINC001242512736 1057389359 /nfs/dbraw/zinc/38/93/59/1057389359.db2.gz OCKCXNJBBLZKOX-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H]2C[C@@H](C)c3ccccc32)c1 ZINC000675660172 1057395341 /nfs/dbraw/zinc/39/53/41/1057395341.db2.gz PUZMCYVMSYSHTE-AUUYWEPGSA-N 0 3 308.425 4.373 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@H]2C[C@@H](C)c3ccccc32)c1 ZINC000675660176 1057395722 /nfs/dbraw/zinc/39/57/22/1057395722.db2.gz PUZMCYVMSYSHTE-KUHUBIRLSA-N 0 3 308.425 4.373 20 0 DIADHN Cc1ccccc1[C@H](C)N[C@H](Cn1cccn1)c1ccccc1 ZINC000675722342 1057404150 /nfs/dbraw/zinc/40/41/50/1057404150.db2.gz GLESFPBSXZMWSS-FXAWDEMLSA-N 0 3 305.425 4.284 20 0 DIADHN CCc1ccc([C@H](C)N[C@H]2CCOc3c(OC)cccc32)cc1 ZINC000675725765 1057404952 /nfs/dbraw/zinc/40/49/52/1057404952.db2.gz GQTGKPXQPWOGKO-KSSFIOAISA-N 0 3 311.425 4.432 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2cccc(C)c2C)cc1C ZINC000675727388 1057405299 /nfs/dbraw/zinc/40/52/99/1057405299.db2.gz WFVNFFACAHCOIC-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2c(C)cccc2C)cc1C ZINC000675727730 1057405432 /nfs/dbraw/zinc/40/54/32/1057405432.db2.gz UYRUOVJVZDNSIP-KRWDZBQOSA-N 0 3 310.441 4.210 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)c1C ZINC000675726998 1057405544 /nfs/dbraw/zinc/40/55/44/1057405544.db2.gz MOGCMRCMDDDWCQ-FQEVSTJZSA-N 0 3 322.452 4.373 20 0 DIADHN COc1ccccc1OCCN[C@@H](C)c1ccc(F)cc1Cl ZINC000675728445 1057405850 /nfs/dbraw/zinc/40/58/50/1057405850.db2.gz XEOBZMBTULVDSL-LBPRGKRZSA-N 0 3 323.795 4.217 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H](C)c1ccc(C)cc1C ZINC000675761721 1057407528 /nfs/dbraw/zinc/40/75/28/1057407528.db2.gz IXCPZJOKMUSZCC-YJBOKZPZSA-N 0 3 311.425 4.486 20 0 DIADHN C[C@H](NCc1ccc(N(C)C)nc1)c1cccc(C(F)(F)F)c1 ZINC000675743660 1057408880 /nfs/dbraw/zinc/40/88/80/1057408880.db2.gz LXHSRVGJTZNFDB-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN COc1ccc(CNCc2ccc3cccnc3c2)cc1Cl ZINC000675765038 1057410372 /nfs/dbraw/zinc/41/03/72/1057410372.db2.gz ZZXANVQDRRNEQK-UHFFFAOYSA-N 0 3 312.800 4.187 20 0 DIADHN CC(C)COC[C@@H](N[C@@H](C)Cc1cccs1)c1ccco1 ZINC000675800613 1057413179 /nfs/dbraw/zinc/41/31/79/1057413179.db2.gz SDHGSJVKPFCPOY-GOEBONIOSA-N 0 3 307.459 4.276 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CSCc3ccccc31)CCC2 ZINC000675782760 1057414387 /nfs/dbraw/zinc/41/43/87/1057414387.db2.gz LTHRLEBVWUQERI-JKSUJKDBSA-N 0 3 316.495 4.407 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000675784184 1057414402 /nfs/dbraw/zinc/41/44/02/1057414402.db2.gz HAVWEIXOBONKNM-PWRODBHTSA-N 0 3 307.437 4.492 20 0 DIADHN CC[C@H](N[C@@H]1CCN(c2ccccc2)C1)c1cc(F)ccc1F ZINC000675829465 1057420672 /nfs/dbraw/zinc/42/06/72/1057420672.db2.gz TZQUQZROTIYDPV-BEFAXECRSA-N 0 3 316.395 4.284 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H](C)c1cc(C)cc(C)c1 ZINC000675830982 1057420776 /nfs/dbraw/zinc/42/07/76/1057420776.db2.gz MVVGTJGBEGKLON-QAPCUYQASA-N 0 3 311.425 4.486 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NC/C=C\c1ccccc1)CCO2 ZINC000675872373 1057425572 /nfs/dbraw/zinc/42/55/72/1057425572.db2.gz FEZFRYQOTGPKNU-QBUQCISBSA-N 0 3 309.409 4.212 20 0 DIADHN CCOc1ccc2c(c1)[C@@H](NC/C=C/c1ccccc1)CCO2 ZINC000675872371 1057426190 /nfs/dbraw/zinc/42/61/90/1057426190.db2.gz FEZFRYQOTGPKNU-AIADIJKESA-N 0 3 309.409 4.212 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(-c3ccccc3F)s2)n[nH]1 ZINC000675891828 1057427024 /nfs/dbraw/zinc/42/70/24/1057427024.db2.gz AQSDVWQULBWKTD-LBPRGKRZSA-N 0 3 315.417 4.437 20 0 DIADHN Cc1cc(CN[C@H](C)c2csc(-c3csc(C)n3)c2)n[nH]1 ZINC000675893497 1057427387 /nfs/dbraw/zinc/42/73/87/1057427387.db2.gz MSHZMYYVTUNFLL-SNVBAGLBSA-N 0 3 318.471 4.062 20 0 DIADHN CC[C@H](N[C@H](Cn1cccn1)c1ccccc1)c1cccs1 ZINC000675899548 1057427732 /nfs/dbraw/zinc/42/77/32/1057427732.db2.gz JGTDTGNUGRPZGH-DLBZAZTESA-N 0 3 311.454 4.427 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@@H](Cn1cccn1)c1ccccc1 ZINC000675899218 1057428182 /nfs/dbraw/zinc/42/81/82/1057428182.db2.gz DEOJNLHZDYBKPR-RTWAWAEBSA-N 0 3 317.436 4.210 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H](Cn1cccn1)c1ccccc1 ZINC000675899217 1057428438 /nfs/dbraw/zinc/42/84/38/1057428438.db2.gz DEOJNLHZDYBKPR-NHCUHLMSSA-N 0 3 317.436 4.210 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccnc2OC(F)F)c1F ZINC001242631909 1057429427 /nfs/dbraw/zinc/42/94/27/1057429427.db2.gz LXUAUPCYZPCINO-UHFFFAOYSA-N 0 3 324.346 4.331 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1cccc(C)c1C ZINC000675886456 1057429804 /nfs/dbraw/zinc/42/98/04/1057429804.db2.gz GYOIFJVFNRMJJI-CRAIPNDOSA-N 0 3 311.425 4.486 20 0 DIADHN CN(C)Cc1cccc(-c2ccccc2Cc2ccccc2)n1 ZINC001242633548 1057430076 /nfs/dbraw/zinc/43/00/76/1057430076.db2.gz OQKHHOZCHREMNT-UHFFFAOYSA-N 0 3 302.421 4.401 20 0 DIADHN C[C@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1cccs1 ZINC000675918252 1057430985 /nfs/dbraw/zinc/43/09/85/1057430985.db2.gz FSSQGLNRQFWZHC-HOCLYGCPSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@H](N[C@@H](Cc1nccn1C)c1ccccc1)c1ccc(F)cc1 ZINC000675921645 1057431134 /nfs/dbraw/zinc/43/11/34/1057431134.db2.gz ZDUHOZCTYKNEEC-KXBFYZLASA-N 0 3 323.415 4.194 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CCC[C@@H]3C3CC3)nc2c1 ZINC000675888674 1057431159 /nfs/dbraw/zinc/43/11/59/1057431159.db2.gz KDWVWRWJNRLTPF-CYBMUJFWSA-N 0 3 310.319 4.221 20 0 DIADHN C[C@H](N[C@H](Cc1nccn1C)c1ccccc1)c1ccc(F)cc1 ZINC000675921643 1057431427 /nfs/dbraw/zinc/43/14/27/1057431427.db2.gz ZDUHOZCTYKNEEC-HNAYVOBHSA-N 0 3 323.415 4.194 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCc2c1cccc2C ZINC000675902910 1057433796 /nfs/dbraw/zinc/43/37/96/1057433796.db2.gz LXKCXTCYMXORBA-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN CC[C@H](N[C@H]1CCOc2cc(OC)ccc21)c1ccc(F)cc1 ZINC000675904170 1057433805 /nfs/dbraw/zinc/43/38/05/1057433805.db2.gz RQYNUTNJGPKVSR-ROUUACIJSA-N 0 3 315.388 4.399 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@H]1CCc2ccc(C)cc21 ZINC000675903296 1057433893 /nfs/dbraw/zinc/43/38/93/1057433893.db2.gz CDMZBRMHDXKVCW-RBUKOAKNSA-N 0 3 309.409 4.104 20 0 DIADHN COc1ccc2c(c1)OCC[C@H]2N[C@@H](C)c1cccc(C)c1C ZINC000675902880 1057433899 /nfs/dbraw/zinc/43/38/99/1057433899.db2.gz KCVRFJNJQQJADF-HNAYVOBHSA-N 0 3 311.425 4.486 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1ccc(C)c(C)c1 ZINC000675903229 1057433925 /nfs/dbraw/zinc/43/39/25/1057433925.db2.gz AXPRDODCYNXMJZ-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccnn2CCc2ccccc2)cc1 ZINC000675930156 1057436238 /nfs/dbraw/zinc/43/62/38/1057436238.db2.gz HJNSOUSZALYVEC-GOSISDBHSA-N 0 3 319.452 4.285 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@@H](C)c3ccccc32)c(N2CCCC2)n1 ZINC000675931664 1057436876 /nfs/dbraw/zinc/43/68/76/1057436876.db2.gz SUHLJENNYHMAAT-FOIQADDNSA-N 0 3 321.468 4.328 20 0 DIADHN CCCc1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1 ZINC000675974901 1057440036 /nfs/dbraw/zinc/44/00/36/1057440036.db2.gz BUGHQHIRTNPIHP-UHFFFAOYSA-N 0 3 305.425 4.115 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CC(c2nc3ccccc3[nH]2)C1 ZINC000675976006 1057441495 /nfs/dbraw/zinc/44/14/95/1057441495.db2.gz FYAZBPQLSDQVRR-SFHVURJKSA-N 0 3 309.388 4.253 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cc(-c3ccccc3)n[nH]1)CC2 ZINC000676045271 1057447100 /nfs/dbraw/zinc/44/71/00/1057447100.db2.gz VGYWGVCDQKFLFS-LJQANCHMSA-N 0 3 303.409 4.162 20 0 DIADHN CC(C)(C)[C@@H](NCc1cnnn1-c1ccccc1)c1ccccc1 ZINC000676270732 1057459849 /nfs/dbraw/zinc/45/98/49/1057459849.db2.gz GSIZQBNJWYNRMR-IBGZPJMESA-N 0 3 320.440 4.144 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1cccc(-c2cccnc2)c1 ZINC000676413955 1057470542 /nfs/dbraw/zinc/47/05/42/1057470542.db2.gz KBOAQRMSXWGRSS-UHFFFAOYSA-N 0 3 304.393 4.086 20 0 DIADHN COc1ccc(CC[C@H](C)N[C@H](C)c2nc(C)sc2C)cc1 ZINC000676491185 1057475769 /nfs/dbraw/zinc/47/57/69/1057475769.db2.gz YGRYGFWHFKODCE-QWHCGFSZSA-N 0 3 318.486 4.440 20 0 DIADHN Cc1nc([C@@H](C)NC2CCN(c3ccccc3)CC2)c(C)s1 ZINC000676491198 1057476264 /nfs/dbraw/zinc/47/62/64/1057476264.db2.gz XWTIJVOLRBGWGE-CYBMUJFWSA-N 0 3 315.486 4.080 20 0 DIADHN COc1ccc(CCN[C@H](C)c2nc(C)sc2C)c(Cl)c1 ZINC000676493385 1057476485 /nfs/dbraw/zinc/47/64/85/1057476485.db2.gz XHPVOHMWNODSRK-SNVBAGLBSA-N 0 3 324.877 4.315 20 0 DIADHN Cc1nc([C@H](C)NCCCN(C)c2ccccc2F)c(C)s1 ZINC000676490683 1057476657 /nfs/dbraw/zinc/47/66/57/1057476657.db2.gz RFRHPJRSGQZYJK-LBPRGKRZSA-N 0 3 321.465 4.076 20 0 DIADHN COc1ccccc1C(C)(C)CN[C@H](C)c1csc(C)n1 ZINC000676488991 1057479417 /nfs/dbraw/zinc/47/94/17/1057479417.db2.gz HKYRDQMPIPFXTB-GFCCVEGCSA-N 0 3 304.459 4.089 20 0 DIADHN CCc1sc(-c2nnc(CN(CC3CC3)C(C)C)o2)cc1C ZINC000676633383 1057489754 /nfs/dbraw/zinc/48/97/54/1057489754.db2.gz BDIWALVPDITBEP-UHFFFAOYSA-N 0 3 319.474 4.289 20 0 DIADHN CCc1cccc(C)c1-c1cc(OC2CCN(C)CC2)ccn1 ZINC001242817099 1057490051 /nfs/dbraw/zinc/49/00/51/1057490051.db2.gz UYWILZQABHUTLJ-UHFFFAOYSA-N 0 3 310.441 4.092 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)Cc1cccc(OC)c1)CCC2 ZINC000676707188 1057496374 /nfs/dbraw/zinc/49/63/74/1057496374.db2.gz MPBHHWMCFGPXSP-INIZCTEOSA-N 0 3 316.470 4.224 20 0 DIADHN CN(C(=O)CCN1CC(C)(C)[C@H]1c1ccccc1)c1ccccc1 ZINC000676715703 1057497392 /nfs/dbraw/zinc/49/73/92/1057497392.db2.gz GKJVLPNFVOJBLA-HXUWFJFHSA-N 0 3 322.452 4.123 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000218861511 1057502140 /nfs/dbraw/zinc/50/21/40/1057502140.db2.gz UQKNRLIKUTTXHX-HRCADAONSA-N 0 3 312.457 4.184 20 0 DIADHN O=C1CCCc2ccc(-c3cnccc3CN3CCCCC3)cc21 ZINC001242858588 1057502735 /nfs/dbraw/zinc/50/27/35/1057502735.db2.gz CFHRXXNSBREKSZ-UHFFFAOYSA-N 0 3 320.436 4.254 20 0 DIADHN CC[C@@H]1CCC[C@H](N[C@H](C)c2nnc3n2CCCCC3)CC1 ZINC000316016277 1057505022 /nfs/dbraw/zinc/50/50/22/1057505022.db2.gz ZPGGPOBYXDPOGQ-OAGGEKHMSA-N 0 3 304.482 4.014 20 0 DIADHN CCOc1ccc(CN2CCCC(F)(F)CC2)cc1OCC ZINC000625766812 1057512577 /nfs/dbraw/zinc/51/25/77/1057512577.db2.gz JPOYZSFPRVARGK-UHFFFAOYSA-N 0 3 313.388 4.105 20 0 DIADHN COCC[C@H](N[C@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000625786322 1057517179 /nfs/dbraw/zinc/51/71/79/1057517179.db2.gz HGOSRDMQWMLZEE-ICSRJNTNSA-N 0 3 310.441 4.290 20 0 DIADHN COc1ccc(CNCc2cc3ccc(C)cc3[nH]2)c(C)c1OC ZINC000922966180 1057517771 /nfs/dbraw/zinc/51/77/71/1057517771.db2.gz JJZLBGLBCGNCAC-UHFFFAOYSA-N 0 3 324.424 4.092 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2N[C@@H]1CCSc2ccc(F)cc21 ZINC000316381934 1057520815 /nfs/dbraw/zinc/52/08/15/1057520815.db2.gz DEXWWMUKOWISFZ-IAGOWNOFSA-N 0 3 314.429 4.222 20 0 DIADHN CCN(CC)Cc1cc(-c2cnc(OC)c(Cl)c2)ccc1F ZINC001242933757 1057522157 /nfs/dbraw/zinc/52/21/57/1057522157.db2.gz DKUXQAWQVBIUQL-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN Cc1ccc2cc(CN[C@@]3(C)CCOc4ccccc43)ccc2n1 ZINC000923024573 1057522300 /nfs/dbraw/zinc/52/23/00/1057522300.db2.gz JFUQNNMDVONDEI-NRFANRHFSA-N 0 3 318.420 4.331 20 0 DIADHN C[C@]1(NCc2cc3ccncc3s2)CCOc2ccccc21 ZINC000923023422 1057522319 /nfs/dbraw/zinc/52/23/19/1057522319.db2.gz ABOQZSPCRYIFCP-SFHVURJKSA-N 0 3 310.422 4.084 20 0 DIADHN Cc1ccc2ccccc2c1-c1ccc2c(c1)COC21CNC1 ZINC001242985320 1057535865 /nfs/dbraw/zinc/53/58/65/1057535865.db2.gz QUVZINRGSQCTKZ-UHFFFAOYSA-N 0 3 301.389 4.144 20 0 DIADHN FCCCCN1CCC[C@@H]1c1ccccc1Br ZINC000671683433 1057537466 /nfs/dbraw/zinc/53/74/66/1057537466.db2.gz JVKGFDVDNDIERH-CQSZACIVSA-N 0 3 300.215 4.336 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNC[C@H]1Cc2ccccc2O1 ZINC001649133777 1057542294 /nfs/dbraw/zinc/54/22/94/1057542294.db2.gz KRSAHERDXSIXLP-GOSISDBHSA-N 0 3 321.376 4.165 20 0 DIADHN c1cncc(-c2cccc(-c3cc(N4CCCC4)ccn3)c2)c1 ZINC001243001724 1057542650 /nfs/dbraw/zinc/54/26/50/1057542650.db2.gz YDMRQOZJFPACHS-UHFFFAOYSA-N 0 3 301.393 4.411 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(CO)c2C)ccc1F ZINC001243017047 1057547748 /nfs/dbraw/zinc/54/77/48/1057547748.db2.gz PNDLXNIAEMSVQS-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN NCc1cccc(-c2cc(C(F)(F)F)ccc2C(F)(F)F)n1 ZINC001243031305 1057551127 /nfs/dbraw/zinc/55/11/27/1057551127.db2.gz COYHSLSGWFSMNA-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@H](C)NCc1scnc1C1CC1 ZINC000487556812 1057574730 /nfs/dbraw/zinc/57/47/30/1057574730.db2.gz ITUSMHAREURTQN-APPDUMDISA-N 0 3 320.433 4.025 20 0 DIADHN CSc1cccc(F)c1-c1ncccc1CN1CCCC1 ZINC001243121471 1057578504 /nfs/dbraw/zinc/57/85/04/1057578504.db2.gz PZVKPYBFPBGOOF-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN Cc1cnc(F)c(-c2ccc(CN3CCCCC3)c(F)c2)c1 ZINC001243166694 1057591716 /nfs/dbraw/zinc/59/17/16/1057591716.db2.gz UHWNCVUCCRJXIX-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN COC(=O)c1cc(CN[C@@H](CC2CC2)c2ccccc2)c(C)o1 ZINC000611395158 1057597268 /nfs/dbraw/zinc/59/72/68/1057597268.db2.gz DSGWAPYPZCZQGT-KRWDZBQOSA-N 0 3 313.397 4.006 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)ccc1CO ZINC001243191430 1057598708 /nfs/dbraw/zinc/59/87/08/1057598708.db2.gz LIJXZNKOFFCZTF-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN CCCCOc1ccc(-c2cccc(CN(C)C)n2)cc1F ZINC001243199547 1057602183 /nfs/dbraw/zinc/60/21/83/1057602183.db2.gz JCWLENLUEXOUJB-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN COc1ccc([C@H](N[C@H]2CC[C@@H]2SC)c2ccccc2)cc1 ZINC000611520571 1057604975 /nfs/dbraw/zinc/60/49/75/1057604975.db2.gz BZFURYOCJMLMKC-GBESFXJTSA-N 0 3 313.466 4.268 20 0 DIADHN COc1ccc([C@H](N[C@@H]2CC[C@@H]2SC)c2ccccc2)cc1 ZINC000611520570 1057605121 /nfs/dbraw/zinc/60/51/21/1057605121.db2.gz BZFURYOCJMLMKC-CEXWTWQISA-N 0 3 313.466 4.268 20 0 DIADHN COCOc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1 ZINC001243211198 1057606238 /nfs/dbraw/zinc/60/62/38/1057606238.db2.gz LXJLHFVDAGRCFK-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN COc1ccc(CCCN(C)Cc2scnc2C2CC2)cc1 ZINC000495151848 1057622295 /nfs/dbraw/zinc/62/22/95/1057622295.db2.gz ADOAAEYIKOKOOT-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@H](NCC(C)(C)c1c(Cl)cccc1Cl)c1ncc[nH]1 ZINC000513655562 1057622516 /nfs/dbraw/zinc/62/25/16/1057622516.db2.gz NFCWPQNMFVLDNZ-JTQLQIEISA-N 0 3 312.244 4.345 20 0 DIADHN COc1c(F)c(F)ccc1-c1cncc(CN2CCCCC2)c1 ZINC001243306184 1057640876 /nfs/dbraw/zinc/64/08/76/1057640876.db2.gz TXUPMZXJYXHPFG-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN Cc1nn(C)c(Cl)c1CN(CCc1ccccc1)CC(C)C ZINC000727221980 1057641819 /nfs/dbraw/zinc/64/18/19/1057641819.db2.gz AUYMDZLLGDVSLK-UHFFFAOYSA-N 0 3 319.880 4.083 20 0 DIADHN C[C@H](CN(C)Cn1cc(-c2ccccc2)cn1)c1ccccc1 ZINC000727429497 1057654027 /nfs/dbraw/zinc/65/40/27/1057654027.db2.gz WSRJDZNJUMYPJZ-QGZVFWFLSA-N 0 3 305.425 4.243 20 0 DIADHN C[C@H](NCc1n[nH]c2ccccc12)c1ccccc1C(F)(F)F ZINC000727468383 1057655718 /nfs/dbraw/zinc/65/57/18/1057655718.db2.gz IEVAVUVIICBGOV-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN C[C@H](NCc1[nH]nc2ccccc21)c1ccccc1C(F)(F)F ZINC000727468383 1057655720 /nfs/dbraw/zinc/65/57/20/1057655720.db2.gz IEVAVUVIICBGOV-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN c1c(CNCc2cnc3ccccc3c2)sc2c1CCCC2 ZINC000727468278 1057655769 /nfs/dbraw/zinc/65/57/69/1057655769.db2.gz HVKQCNSWNYKKMC-UHFFFAOYSA-N 0 3 308.450 4.465 20 0 DIADHN CCC[C@H](NCc1ccnn1C)c1cccc(C(F)(F)F)c1 ZINC000727644399 1057662487 /nfs/dbraw/zinc/66/24/87/1057662487.db2.gz JAQOOGWCUWVFDU-HNNXBMFYSA-N 0 3 311.351 4.070 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCCC3)c(F)c2)cnc1F ZINC001243398372 1057670894 /nfs/dbraw/zinc/67/08/94/1057670894.db2.gz RKGOCXOSZSXPCF-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2ccc3ccccc3c2)C1 ZINC000535266770 1057673797 /nfs/dbraw/zinc/67/37/97/1057673797.db2.gz YIJCVKGLCWBPOK-OAQYLSRUSA-N 0 3 302.421 4.116 20 0 DIADHN CSc1ccc([C@@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000536840379 1057673807 /nfs/dbraw/zinc/67/38/07/1057673807.db2.gz QJTPEBNTIIQANV-KUHUBIRLSA-N 0 3 312.482 4.246 20 0 DIADHN CC(C)OCCN1CCC[C@@H]1c1ccccc1C(F)(F)F ZINC000728058295 1057685755 /nfs/dbraw/zinc/68/57/55/1057685755.db2.gz CGOVYXHRZNABSF-OAHLLOKOSA-N 0 3 301.352 4.267 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCN1C[C@H]1CCCCO1 ZINC000728056289 1057685971 /nfs/dbraw/zinc/68/59/71/1057685971.db2.gz OOHNGFSCOYGXCG-CZUORRHYSA-N 0 3 313.363 4.411 20 0 DIADHN COc1ccc(-c2cc(OC(C)C)ccc2F)cc1CN(C)C ZINC001243445700 1057686643 /nfs/dbraw/zinc/68/66/43/1057686643.db2.gz MYBDIRRZXCHHKR-UHFFFAOYSA-N 0 3 317.404 4.350 20 0 DIADHN Cc1ccc(C[C@H](NCc2cncn2C)c2ccc(C)cc2)cc1 ZINC000289769653 1057690115 /nfs/dbraw/zinc/69/01/15/1057690115.db2.gz MAWSGQAGXJWJCK-NRFANRHFSA-N 0 3 319.452 4.111 20 0 DIADHN CCN(CC(=O)Nc1cccc(SC)c1)C1CCCCC1 ZINC000027284844 1057692380 /nfs/dbraw/zinc/69/23/80/1057692380.db2.gz CVDAXEGDKXBKDX-UHFFFAOYSA-N 0 3 306.475 4.002 20 0 DIADHN CCC(CC)NC(=O)[C@H](C)N[C@H](C)c1csc2ccccc21 ZINC000730020626 1057700990 /nfs/dbraw/zinc/70/09/90/1057700990.db2.gz CUEZIEUBEGBETF-OLZOCXBDSA-N 0 3 318.486 4.245 20 0 DIADHN Oc1cccc(-c2ccc(CN3CCCCC3)c(F)c2)c1F ZINC001243545502 1057709271 /nfs/dbraw/zinc/70/92/71/1057709271.db2.gz CWXNGPLTQCHTLE-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2ccc(C)cc2)s1 ZINC000730265369 1057709472 /nfs/dbraw/zinc/70/94/72/1057709472.db2.gz RKAULNWBZDXSHV-AWEZNQCLSA-N 0 3 304.459 4.140 20 0 DIADHN COc1ccc(-c2cc(F)cc(SC)c2)cc1CN(C)C ZINC001243561320 1057714088 /nfs/dbraw/zinc/71/40/88/1057714088.db2.gz HQGIRUKHAYXURG-UHFFFAOYSA-N 0 3 305.418 4.285 20 0 DIADHN COC(=O)Cc1ccc(-c2ccc3c(c2)C(C(C)C)=NCC3)cc1 ZINC001243601526 1057729568 /nfs/dbraw/zinc/72/95/68/1057729568.db2.gz WMUUXSWZBMCKTF-UHFFFAOYSA-N 0 3 321.420 4.070 20 0 DIADHN CC1CCC(OC2CCN(C[C@@H]3CC3(Cl)Cl)CC2)CC1 ZINC000731103758 1057734626 /nfs/dbraw/zinc/73/46/26/1057734626.db2.gz GYMWUTJGYDYKLF-MOKVOYLWSA-N 0 3 320.304 4.240 20 0 DIADHN CN1CCN(c2cccc(-c3ccc(C(C)(F)F)cc3)c2)CC1 ZINC001243669031 1057749657 /nfs/dbraw/zinc/74/96/57/1057749657.db2.gz MRPQNCVNENPGCE-UHFFFAOYSA-N 0 3 316.395 4.217 20 0 DIADHN CCCCN(Cn1nc(C)c(Br)c1C)[C@@H](C)CC ZINC000731658906 1057749936 /nfs/dbraw/zinc/74/99/36/1057749936.db2.gz RXLUXXBHSWOIBN-NSHDSACASA-N 0 3 316.287 4.121 20 0 DIADHN CCN(CCc1cccs1)Cn1ccc(-c2ccsc2)n1 ZINC000731661407 1057750216 /nfs/dbraw/zinc/75/02/16/1057750216.db2.gz LOAMSMPDRNCCSZ-UHFFFAOYSA-N 0 3 317.483 4.195 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cn2ccc(-c3cccs3)n2)C1 ZINC000731660257 1057750247 /nfs/dbraw/zinc/75/02/47/1057750247.db2.gz TXCFOEYQDDVPPB-MRXNPFEDSA-N 0 3 323.465 4.367 20 0 DIADHN CSc1c(F)cc(-c2ccc(CN(C)C3CC3)cn2)cc1F ZINC001243688643 1057753748 /nfs/dbraw/zinc/75/37/48/1057753748.db2.gz IAVZYXINTVGRLB-UHFFFAOYSA-N 0 3 320.408 4.343 20 0 DIADHN CCN(CC)Cc1cc(-c2cccc(CO)c2Cl)ccc1F ZINC001243705450 1057757820 /nfs/dbraw/zinc/75/78/20/1057757820.db2.gz DPDWFLWXMDMGAA-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@@H]1c1cccc(F)c1 ZINC000732274628 1057771184 /nfs/dbraw/zinc/77/11/84/1057771184.db2.gz JLYFNGOGNHGYAH-GOSISDBHSA-N 0 3 320.452 4.001 20 0 DIADHN CCCCCCN(C)CC(=O)NCc1cc2ccccc2s1 ZINC000732277044 1057772439 /nfs/dbraw/zinc/77/24/39/1057772439.db2.gz CEDWGKBQKZFHFN-UHFFFAOYSA-N 0 3 318.486 4.030 20 0 DIADHN CN1CCC(N(C(=O)C=C2CCCCC2)c2ccccc2)CC1 ZINC000732733211 1057786687 /nfs/dbraw/zinc/78/66/87/1057786687.db2.gz ZZIUNACEASESKQ-UHFFFAOYSA-N 0 3 312.457 4.004 20 0 DIADHN CN(Cc1ccc(-c2cc(F)cc(F)c2Cl)nc1)C1CC1 ZINC001243825549 1057788748 /nfs/dbraw/zinc/78/87/48/1057788748.db2.gz HRKIRABGELIVQY-UHFFFAOYSA-N 0 3 308.759 4.274 20 0 DIADHN COCOc1cccc(-c2ccc(CN3CCCC3)c(F)c2)c1 ZINC001243829698 1057789651 /nfs/dbraw/zinc/78/96/51/1057789651.db2.gz ZMCGHKYTPKRLRG-UHFFFAOYSA-N 0 3 315.388 4.071 20 0 DIADHN Fc1cc(-c2cccc3c2CCN3)ccc1CN1CCCCC1 ZINC001243854653 1057793626 /nfs/dbraw/zinc/79/36/26/1057793626.db2.gz HGBCNKOOCAQPRB-UHFFFAOYSA-N 0 3 310.416 4.447 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCOCC(F)(F)F)cc1F ZINC000733030216 1057797142 /nfs/dbraw/zinc/79/71/42/1057797142.db2.gz RNANZGIPIJIRRN-AWEZNQCLSA-N 0 3 323.305 4.071 20 0 DIADHN CC(C)CN(CCc1ccccc1)Cc1ncc(C(C)C)o1 ZINC000733308501 1057810947 /nfs/dbraw/zinc/81/09/47/1057810947.db2.gz KUKVZCNONBXFJI-UHFFFAOYSA-N 0 3 300.446 4.499 20 0 DIADHN CCN1CC=C(c2cnc(-c3cccc4[nH]cnc43)c(C)c2)CC1 ZINC001243932401 1057811096 /nfs/dbraw/zinc/81/10/96/1057811096.db2.gz ZQQBNORYLPWEOP-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN CC(C)CNCc1c(Cl)n(-c2ccccc2)nc1C(C)C ZINC000733322847 1057811902 /nfs/dbraw/zinc/81/19/02/1057811902.db2.gz ULGOLSAHEONARH-UHFFFAOYSA-N 0 3 305.853 4.395 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(O)cc2OC)ccc1F ZINC001243985346 1057829454 /nfs/dbraw/zinc/82/94/54/1057829454.db2.gz QBXCOETXTOGZEP-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1c(F)ccc(C2CC2)c1F ZINC001244090541 1057865141 /nfs/dbraw/zinc/86/51/41/1057865141.db2.gz OFFBQFCOZQHRFU-CSKARUKUSA-N 0 3 300.352 4.437 20 0 DIADHN COc1cc(-c2nccc3c2CCN3)ccc1-c1ccccc1 ZINC001244138108 1057882986 /nfs/dbraw/zinc/88/29/86/1057882986.db2.gz LLMNSHSOQDJGFY-UHFFFAOYSA-N 0 3 302.377 4.392 20 0 DIADHN Cc1ncc(C(F)(F)F)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001244259380 1057914031 /nfs/dbraw/zinc/91/40/31/1057914031.db2.gz QHHCDCWSHHNOHJ-UHFFFAOYSA-N 0 3 321.346 4.065 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(N2CCCC2)cc1F ZINC001244270714 1057918665 /nfs/dbraw/zinc/91/86/65/1057918665.db2.gz KSDDBEAKWRKRJY-XYOKQWHBSA-N 0 3 311.404 4.020 20 0 DIADHN Fc1cc(-c2cncc(CN3CCCC3)c2)c(F)cc1Cl ZINC001244304841 1057928637 /nfs/dbraw/zinc/92/86/37/1057928637.db2.gz YOVYTSLZFJVKMN-UHFFFAOYSA-N 0 3 308.759 4.276 20 0 DIADHN CCN(CC)Cc1cccc(-c2cc(F)c(CO)c(F)c2)c1F ZINC001244342519 1057937641 /nfs/dbraw/zinc/93/76/41/1057937641.db2.gz HWZNWOFIXULIQY-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN Nc1ccc(-c2cc(N3CCCCC3)ccn2)cc1C(F)(F)F ZINC001244352722 1057940208 /nfs/dbraw/zinc/94/02/08/1057940208.db2.gz NPOBHMZZRVQSKD-UHFFFAOYSA-N 0 3 321.346 4.340 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(N(C)C)c(Cl)c1 ZINC001244423248 1057955687 /nfs/dbraw/zinc/95/56/87/1057955687.db2.gz MCZCDXOQTZCKHF-CSKARUKUSA-N 0 3 301.821 4.000 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(C)cc2CO)ccc1F ZINC001244491005 1057973669 /nfs/dbraw/zinc/97/36/69/1057973669.db2.gz FIRQQRLUWNKKHO-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(C)cc2CO)c1F ZINC001244490927 1057973988 /nfs/dbraw/zinc/97/39/88/1057973988.db2.gz AVJZACVNWANQAQ-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1cc(C)c(F)c([O-])c1 ZINC001244524771 1057985580 /nfs/dbraw/zinc/98/55/80/1057985580.db2.gz FVKBRMVUMYAZKY-UHFFFAOYSA-N 0 3 317.404 4.357 20 0 DIADHN COc1ccc(-c2cc(C)c(O)c(Cl)c2)cc1CN(C)C ZINC001244550367 1057993532 /nfs/dbraw/zinc/99/35/32/1057993532.db2.gz CHPRDAFRCJDSND-UHFFFAOYSA-N 0 3 305.805 4.091 20 0 DIADHN COc1c(F)cc(-c2cncc3cc[nH]c32)cc1C(F)(F)F ZINC001244571413 1057998939 /nfs/dbraw/zinc/99/89/39/1057998939.db2.gz IYPNHXDVBXKSMP-UHFFFAOYSA-N 0 3 310.250 4.396 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(C)cc(C)c3N)c(C)c2)CC1 ZINC001244595962 1058005074 /nfs/dbraw/zinc/00/50/74/1058005074.db2.gz GJDCMDZQCDBOMZ-UHFFFAOYSA-N 0 3 321.468 4.365 20 0 DIADHN CC(=O)c1ccc(-c2ccccc2CN2CCCC2)c(F)c1F ZINC001244702726 1058031121 /nfs/dbraw/zinc/03/11/21/1058031121.db2.gz ITYGTWWBZOKVNW-UHFFFAOYSA-N 0 3 315.363 4.430 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(CO)c(F)c2F)ccc1F ZINC001244722124 1058034930 /nfs/dbraw/zinc/03/49/30/1058034930.db2.gz ZUUXWWXMXIDPOV-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CSc1ncc(C)cc1-c1cncc(CN2CCCCC2)c1 ZINC001244881483 1058063976 /nfs/dbraw/zinc/06/39/76/1058063976.db2.gz VEAIQIWTSXMVNG-UHFFFAOYSA-N 0 3 313.470 4.160 20 0 DIADHN COc1ccc(-c2c(Cl)ccnc2Cl)cc1CN(C)C ZINC001244939804 1058075923 /nfs/dbraw/zinc/07/59/23/1058075923.db2.gz FJKPBEGDHXNTCQ-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN Cc1cc(F)cc(Cl)c1-c1ncccc1CN1CCCC1 ZINC001245059372 1058104783 /nfs/dbraw/zinc/10/47/83/1058104783.db2.gz XYZMLVKUNPWJIW-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCCC3)c2)cnc1F ZINC001245079330 1058107967 /nfs/dbraw/zinc/10/79/67/1058107967.db2.gz CNYDMKPSMZJKIV-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN COc1ccc2cc(C3=CC[C@H](N4CCOCC4)CC3)ccc2c1 ZINC001245427787 1058164554 /nfs/dbraw/zinc/16/45/54/1058164554.db2.gz YIULOPFFTZOQAI-FQEVSTJZSA-N 0 3 323.436 4.117 20 0 DIADHN c1ccc(-c2ccncc2C2=CC[C@@H](N3CCOCC3)CC2)cc1 ZINC001245431036 1058165164 /nfs/dbraw/zinc/16/51/64/1058165164.db2.gz WUOBTPVDENXEBR-LJQANCHMSA-N 0 3 320.436 4.017 20 0 DIADHN Cc1ccc(C2=CC[C@@H](N3CCOCC3)CC2)cc1OC(C)C ZINC001245429997 1058165416 /nfs/dbraw/zinc/16/54/16/1058165416.db2.gz UBAUVXYXIRVYLH-LJQANCHMSA-N 0 3 315.457 4.050 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(Br)c1F ZINC001245486602 1058180881 /nfs/dbraw/zinc/18/08/81/1058180881.db2.gz YBTNXHNDVXUPDQ-VQHVLOKHSA-N 0 3 321.193 4.183 20 0 DIADHN CC[C@H](CC(=O)Nc1cc(CN(C)C)ccc1C)C(C)(C)C ZINC001545231199 1058187566 /nfs/dbraw/zinc/18/75/66/1058187566.db2.gz CAYYQJJJMCAWNX-MRXNPFEDSA-N 0 3 304.478 4.458 20 0 DIADHN CC(C)Cc1ccc(NC(=O)[C@H](C)N2[C@@H](C)CC[C@@H]2C)cc1 ZINC001545565254 1058210948 /nfs/dbraw/zinc/21/09/48/1058210948.db2.gz FGAXTJSYNUZHHS-JYJNAYRXSA-N 0 3 302.462 4.085 20 0 DIADHN Nc1ncc(-c2ccc(F)c(CN3CCCCC3)c2)cc1Cl ZINC001245569136 1058234040 /nfs/dbraw/zinc/23/40/40/1058234040.db2.gz PDGZSRWIDMGWRH-UHFFFAOYSA-N 0 3 319.811 4.109 20 0 DIADHN CC(C)C[C@H](C)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001547468472 1058248614 /nfs/dbraw/zinc/24/86/14/1058248614.db2.gz FMWAYIPVUDBCFA-HKUYNNGSSA-N 0 3 316.489 4.012 20 0 DIADHN CCOC1CC(CN2CCCC[C@@H]2c2nc(C)c(C)s2)C1 ZINC001548559550 1058259556 /nfs/dbraw/zinc/25/95/56/1058259556.db2.gz SDAXDMXVHMURIU-UYSNPLJNSA-N 0 3 308.491 4.102 20 0 DIADHN CC(C)c1nc(CN2CC3(CCOCC3)[C@H]3CCC[C@@H]32)cs1 ZINC001548848678 1058264176 /nfs/dbraw/zinc/26/41/76/1058264176.db2.gz BPPPQEUDZZCLMM-HOTGVXAUSA-N 0 3 320.502 4.048 20 0 DIADHN Cc1nc([C@@H]2CCCCN2CCc2ccncc2C)sc1C ZINC001549017589 1058278720 /nfs/dbraw/zinc/27/87/20/1058278720.db2.gz QXQZSBKHQLQDOS-KRWDZBQOSA-N 0 3 315.486 4.233 20 0 DIADHN COc1ccc(-c2ccc3c(c2)C(=O)CCCC3)c(CN(C)C)c1 ZINC001245601635 1058278754 /nfs/dbraw/zinc/27/87/54/1058278754.db2.gz UZHIWFVFLONJDE-UHFFFAOYSA-N 0 3 323.436 4.333 20 0 DIADHN FC1(F)CC(CN2CCC[C@@H]3O[C@@H](c4ccccc4)C[C@H]32)C1 ZINC001549082036 1058280164 /nfs/dbraw/zinc/28/01/64/1058280164.db2.gz LWGBNNLXVJLIRV-IXDOHACOSA-N 0 3 307.384 4.026 20 0 DIADHN CC(C)N1CCN(c2ccc(-c3cccc4ccoc43)cn2)CC1 ZINC001245615502 1058284876 /nfs/dbraw/zinc/28/48/76/1058284876.db2.gz MCPNQOUZWUGWHS-UHFFFAOYSA-N 0 3 321.424 4.025 20 0 DIADHN c1cc2cccc(-c3cccc4cc([C@@H]5CNCCO5)cn43)c2o1 ZINC001245617489 1058286896 /nfs/dbraw/zinc/28/68/96/1058286896.db2.gz XCXOBVGZEKMNCN-IBGZPJMESA-N 0 3 318.376 4.013 20 0 DIADHN Cc1ccc(CN[C@H]2CCCO[C@H]2c2ccc(Cl)cc2)nc1 ZINC001549766192 1058302701 /nfs/dbraw/zinc/30/27/01/1058302701.db2.gz HRORJBBNDNQJHO-ROUUACIJSA-N 0 3 316.832 4.053 20 0 DIADHN CC(C)c1nnc(CN2[C@H](C)C[C@H](c3ccccc3)C2(C)C)o1 ZINC001550158057 1058321370 /nfs/dbraw/zinc/32/13/70/1058321370.db2.gz XYWZIEXXNAXQFC-GDBMZVCRSA-N 0 3 313.445 4.350 20 0 DIADHN CC(C)c1nnc(CN2[C@@H](C)C[C@H](c3ccccc3)C2(C)C)o1 ZINC001550158058 1058323120 /nfs/dbraw/zinc/32/31/20/1058323120.db2.gz XYWZIEXXNAXQFC-GOEBONIOSA-N 0 3 313.445 4.350 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1c1ccccc1)c1ncc(Cl)n1C ZINC001550348603 1058339823 /nfs/dbraw/zinc/33/98/23/1058339823.db2.gz LFJYAXKEBOIGPW-AEGPPILISA-N 0 3 303.837 4.060 20 0 DIADHN C[C@H](N[C@H]1CCCO[C@@H]1c1ccc(Cl)cc1)c1ccns1 ZINC001550520718 1058346247 /nfs/dbraw/zinc/34/62/47/1058346247.db2.gz FZSUCYUHIAYWIK-HZUKXOBISA-N 0 3 322.861 4.367 20 0 DIADHN Cc1cc(C)nc(CN2CCC[C@@H]3O[C@@H](c4ccccc4)C[C@@H]32)c1 ZINC001550536694 1058346377 /nfs/dbraw/zinc/34/63/77/1058346377.db2.gz KELXCNSNYHCRFD-PCCBWWKXSA-N 0 3 322.452 4.193 20 0 DIADHN CN(C)Cc1cncc(-c2cc(Cl)ccc2C(F)(F)F)c1 ZINC001245695300 1058361033 /nfs/dbraw/zinc/36/10/33/1058361033.db2.gz HDEBCCBAPUZNBE-UHFFFAOYSA-N 0 3 314.738 4.482 20 0 DIADHN CC(C)Oc1cc(F)c(-c2cncc(CN(C)C)c2)cc1Cl ZINC001245709273 1058380905 /nfs/dbraw/zinc/38/09/05/1058380905.db2.gz ZDWAOKWLPCXWHV-UHFFFAOYSA-N 0 3 322.811 4.390 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2N[C@H](C)c1cncc(C)c1 ZINC001551394487 1058392692 /nfs/dbraw/zinc/39/26/92/1058392692.db2.gz UXOJNYRNCGUJCB-XBHMSOGKSA-N 0 3 310.441 4.373 20 0 DIADHN CC(C)CCCCCC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001528334130 1058396639 /nfs/dbraw/zinc/39/66/39/1058396639.db2.gz ATKODMOQIFMNBS-GOSISDBHSA-N 0 3 316.489 4.112 20 0 DIADHN COc1ccc(-c2c(F)ccc(Cl)c2OC)cc1CN(C)C ZINC001245731168 1058409126 /nfs/dbraw/zinc/40/91/26/1058409126.db2.gz PEYGHRLKKXVTHF-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN C[C@@H](CC(=O)N1CCN(CC2CCCCC2)CC1)CC(C)(C)C ZINC001519266184 1058414001 /nfs/dbraw/zinc/41/40/01/1058414001.db2.gz STJNJRFONYNCIL-KRWDZBQOSA-N 0 3 322.537 4.173 20 0 DIADHN CCCCCC[C@@](C)(CC)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001528502898 1058440467 /nfs/dbraw/zinc/44/04/67/1058440467.db2.gz FTUHTCGRBXUZOP-VQIMIIECSA-N 0 3 310.526 4.362 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@H]2NC1(c2ccccn2)CCC1 ZINC001552972616 1058460646 /nfs/dbraw/zinc/46/06/46/1058460646.db2.gz LEOSVRZBQQSZBM-HNAYVOBHSA-N 0 3 322.452 4.383 20 0 DIADHN CCc1cc(-c2ccc(CN)nc2)ccc1OCc1ccccc1 ZINC001245797420 1058478250 /nfs/dbraw/zinc/47/82/50/1058478250.db2.gz ORNBXGZNNAMOGX-UHFFFAOYSA-N 0 3 318.420 4.349 20 0 DIADHN CC(C)(C)N1CC[C@](F)(C(=O)Nc2ccc(C3CCC3)cc2)C1 ZINC001519830254 1058488712 /nfs/dbraw/zinc/48/87/12/1058488712.db2.gz TYYSSVYBCIRZOR-LJQANCHMSA-N 0 3 318.436 4.105 20 0 DIADHN CN(C)Cc1ccnc(-c2cnc(Cl)c(Cl)c2Cl)c1 ZINC001245808685 1058493800 /nfs/dbraw/zinc/49/38/00/1058493800.db2.gz ZNTBNJRFJANQGU-UHFFFAOYSA-N 0 3 316.619 4.165 20 0 DIADHN CCOc1c(F)cc(-c2cccc(CN(C)C)n2)cc1Cl ZINC001245822677 1058516581 /nfs/dbraw/zinc/51/65/81/1058516581.db2.gz SEGNSQDGBKYVPZ-UHFFFAOYSA-N 0 3 308.784 4.001 20 0 DIADHN CC[C@H](C)c1cccc(NC(=O)NC[C@@H]2CCN2C(C)(C)C)c1 ZINC001563083441 1058536431 /nfs/dbraw/zinc/53/64/31/1058536431.db2.gz GRFUGRQNTJOVTC-YOEHRIQHSA-N 0 3 317.477 4.194 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H]1C[C@H](C)C[C@H](C)C1 ZINC001556804591 1058644319 /nfs/dbraw/zinc/64/43/19/1058644319.db2.gz HVXHIYUCIXTJJO-FICVDOATSA-N 0 3 317.477 4.115 20 0 DIADHN C[C@@H](NC(=O)C1(C)CCC(C)CC1)[C@H](c1ccccc1)N(C)C ZINC001529634091 1058776943 /nfs/dbraw/zinc/77/69/43/1058776943.db2.gz YOPYGMYGOMQOLM-URTYCYGZSA-N 0 3 316.489 4.010 20 0 DIADHN C[C@H](NC(=O)C1(C)CCC(C)CC1)[C@H](c1ccccc1)N(C)C ZINC001529634088 1058777025 /nfs/dbraw/zinc/77/70/25/1058777025.db2.gz YOPYGMYGOMQOLM-CAZWYBIWSA-N 0 3 316.489 4.010 20 0 DIADHN CCCCCCN(C)CC(=O)N(C)C[C@@H](C)C1CCCCC1 ZINC001557888669 1058807918 /nfs/dbraw/zinc/80/79/18/1058807918.db2.gz UBPXJWAVLCIVJD-QGZVFWFLSA-N 0 3 310.526 4.173 20 0 DIADHN CCCCCCN(Cc1ccco1)C(=O)[C@@H]1CCCN1CCC ZINC001558726070 1058903708 /nfs/dbraw/zinc/90/37/08/1058903708.db2.gz GXEKBRUFOXHCFE-SFHVURJKSA-N 0 3 320.477 4.063 20 0 DIADHN CC[C@H](N)c1nc2cc(C(F)(F)F)c(C(F)(F)F)cc2[nH]1 ZINC001247014303 1059243661 /nfs/dbraw/zinc/24/36/61/1059243661.db2.gz IULFBXCTLMXKID-ZETCQYMHSA-N 0 3 311.229 4.010 20 0 DIADHN CCCc1ccccc1C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001523468042 1059249610 /nfs/dbraw/zinc/24/96/10/1059249610.db2.gz HHMZYTFXHHRAMH-OXQOHEQNSA-N 0 3 324.468 4.060 20 0 DIADHN CCC[C@@H]1CCC[C@@H]1C(=O)N[C@H](C)[C@H](c1ccccc1)N(C)C ZINC001523468812 1059249750 /nfs/dbraw/zinc/24/97/50/1059249750.db2.gz MTZPTQFQRUSQLP-ZAWLATJESA-N 0 3 316.489 4.010 20 0 DIADHN Cc1ccc(CN(C)CCCOc2cccc(Cl)c2)c(C)n1 ZINC001559490855 1059269869 /nfs/dbraw/zinc/26/98/69/1059269869.db2.gz OMBZAKMOFUCEIO-UHFFFAOYSA-N 0 3 318.848 4.253 20 0 DIADHN Cc1cccc(CCCN2C[C@H](C)O[C@@H](c3ccccc3)C2)c1 ZINC001559496390 1059273431 /nfs/dbraw/zinc/27/34/31/1059273431.db2.gz MJQKNKASAWIAIY-GHTZIAJQSA-N 0 3 309.453 4.390 20 0 DIADHN C[C@@H](c1cccs1)N(CCOC1CC1)Cc1ccccc1 ZINC001559504579 1059291770 /nfs/dbraw/zinc/29/17/70/1059291770.db2.gz QFVVMDVUDCQKMN-HNNXBMFYSA-N 0 3 301.455 4.490 20 0 DIADHN Cc1ccc(CN2CC[C@@H](c3ccccc3C)C2)c(Cl)n1 ZINC001559511948 1059306461 /nfs/dbraw/zinc/30/64/61/1059306461.db2.gz KDLZPZZWEGDIKD-OAHLLOKOSA-N 0 3 300.833 4.341 20 0 DIADHN CCCCN(CCCC(=O)OCC)Cc1ccccc1Cl ZINC001559518580 1059314250 /nfs/dbraw/zinc/31/42/50/1059314250.db2.gz YYYMHVSEGBEBSM-UHFFFAOYSA-N 0 3 311.853 4.285 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1ccn(CCc2ccccc2)n1 ZINC001559519413 1059317951 /nfs/dbraw/zinc/31/79/51/1059317951.db2.gz CCIBWCRJQMJSLG-HXUWFJFHSA-N 0 3 311.473 4.136 20 0 DIADHN CN(CCCC(C)(C)C)[C@H]1CCN(c2ccccc2Cl)C1=O ZINC001559536801 1059333846 /nfs/dbraw/zinc/33/38/46/1059333846.db2.gz GFYJSWMVGRHSDM-INIZCTEOSA-N 0 3 322.880 4.203 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@]3(CC=CCC3)C2)c(C)s1 ZINC001559536796 1059334491 /nfs/dbraw/zinc/33/44/91/1059334491.db2.gz GDHHTCPTWZINDV-SFHVURJKSA-N 0 3 319.470 4.165 20 0 DIADHN Cc1cccc2nc(CN3CCC[C@](C)(c4ccccc4)C3)cn21 ZINC001559534189 1059334737 /nfs/dbraw/zinc/33/47/37/1059334737.db2.gz HEDYSLLMFWZNRY-NRFANRHFSA-N 0 3 319.452 4.196 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@@H](C)CCC[C@H]2C)s1 ZINC001559547406 1059353489 /nfs/dbraw/zinc/35/34/89/1059353489.db2.gz VDPWNJRIJWOVPB-VHSXEESVSA-N 0 3 306.397 4.481 20 0 DIADHN CC[C@H]1CCCCCN1Cc1nc(-c2ccc(F)c(C)c2)no1 ZINC001559552477 1059362441 /nfs/dbraw/zinc/36/24/41/1059362441.db2.gz CWEUKUPQYSYMLW-HNNXBMFYSA-N 0 3 317.408 4.339 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2cc(OC)ccc2Cl)C1 ZINC001559564324 1059385813 /nfs/dbraw/zinc/38/58/13/1059385813.db2.gz NDNBYSRKNRUIAX-UKRRQHHQSA-N 0 3 313.894 4.455 20 0 DIADHN COc1cccc(CN2CCCC[C@H]2c2cccc(C)c2C)n1 ZINC001559562528 1059387895 /nfs/dbraw/zinc/38/78/95/1059387895.db2.gz DOLMOEHFQXFRPW-IBGZPJMESA-N 0 3 310.441 4.434 20 0 DIADHN CCC[C@@]1(C)CCCN(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC001559567863 1059397286 /nfs/dbraw/zinc/39/72/86/1059397286.db2.gz LOFZRBCJVLXOFL-SJORKVTESA-N 0 3 313.844 4.415 20 0 DIADHN CCC[C@]1(C)CCCN([C@H]2CCCN(c3ccccc3)C2=O)C1 ZINC001559568440 1059399479 /nfs/dbraw/zinc/39/94/79/1059399479.db2.gz XDANMUJPBPDTKK-AZUAARDMSA-N 0 3 314.473 4.084 20 0 DIADHN CC(C)C[C@@H]1CCN([C@H](C)C(=O)Nc2cccc(Cl)c2)C1 ZINC001559575662 1059408384 /nfs/dbraw/zinc/40/83/84/1059408384.db2.gz JGSXMGWLMULPRY-KGLIPLIRSA-N 0 3 308.853 4.035 20 0 DIADHN C[C@H]1Cc2ccccc2CN1CCCc1c(F)cccc1F ZINC001559574866 1059408826 /nfs/dbraw/zinc/40/88/26/1059408826.db2.gz NCAPMSOCCWJKFM-AWEZNQCLSA-N 0 3 301.380 4.344 20 0 DIADHN Cc1cc(CN2CCN(c3ccc(C)c(C)c3)C[C@@H]2C)cs1 ZINC001559577594 1059410773 /nfs/dbraw/zinc/41/07/73/1059410773.db2.gz ABCGTOPUKYKIAX-INIZCTEOSA-N 0 3 314.498 4.384 20 0 DIADHN CCCC1CCN([C@@H](CC)C(=O)Nc2ccc(C)cc2)CC1 ZINC001559578074 1059412711 /nfs/dbraw/zinc/41/27/11/1059412711.db2.gz QSJDYUYVPLVKAF-SFHVURJKSA-N 0 3 302.462 4.224 20 0 DIADHN CSc1ccc(OCCN2C[C@H](C)[C@H]2c2ccccc2)cc1 ZINC001559582784 1059415934 /nfs/dbraw/zinc/41/59/34/1059415934.db2.gz OGQGZMIHWRNDQB-KXBFYZLASA-N 0 3 313.466 4.480 20 0 DIADHN Fc1cc(OCCN2CC[C@H]2Cc2ccccc2)ccc1Cl ZINC001559585999 1059419287 /nfs/dbraw/zinc/41/92/87/1059419287.db2.gz NWDISOYTFQUYRE-HNNXBMFYSA-N 0 3 319.807 4.175 20 0 DIADHN CC(C)[C@@H]1c2ccccc2CCN1Cc1cnn(C(C)(C)C)c1 ZINC001559596088 1059428832 /nfs/dbraw/zinc/42/88/32/1059428832.db2.gz JUXUMBIUZCYACC-LJQANCHMSA-N 0 3 311.473 4.394 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(CC)cn2)C1(C)C ZINC001559632187 1059458604 /nfs/dbraw/zinc/45/86/04/1059458604.db2.gz CFSYOWPXVLAUAO-MSOLQXFVSA-N 0 3 304.478 4.060 20 0 DIADHN COC(=O)CN(CC(C)C)CC1CCC(c2ccccc2)CC1 ZINC001559638707 1059465419 /nfs/dbraw/zinc/46/54/19/1059465419.db2.gz KTYOPTHYFNEJBM-UHFFFAOYSA-N 0 3 317.473 4.091 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc3ccncc3c2)no1 ZINC001247417096 1059467305 /nfs/dbraw/zinc/46/73/05/1059467305.db2.gz IZNHHPJQUGMAOW-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc3ccncc32)no1 ZINC001247417569 1059467501 /nfs/dbraw/zinc/46/75/01/1059467501.db2.gz NNUXAUACZBDPHI-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cc(F)ccc2C)no1 ZINC001247417624 1059468813 /nfs/dbraw/zinc/46/88/13/1059468813.db2.gz QDZKQCCLQOMNTC-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2ccc3ncccc3c2)no1 ZINC001247417552 1059468838 /nfs/dbraw/zinc/46/88/38/1059468838.db2.gz MOFYIBULQQNPDN-AWEZNQCLSA-N 0 3 324.428 4.468 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cccc(Br)n1 ZINC001559647256 1059472089 /nfs/dbraw/zinc/47/20/89/1059472089.db2.gz CQPRLAZCRGCZJJ-OCCSQVGLSA-N 0 3 311.267 4.245 20 0 DIADHN COc1ccccc1C(C)(C)NCCO[C@@H]1CCCC[C@H]1C ZINC001559645631 1059473099 /nfs/dbraw/zinc/47/30/99/1059473099.db2.gz NTQYNHZOCHNKHS-NVXWUHKLSA-N 0 3 305.462 4.115 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@H]1CCC(C)C ZINC001559646023 1059473228 /nfs/dbraw/zinc/47/32/28/1059473228.db2.gz AQIKWDOYHNHTHM-INIZCTEOSA-N 0 3 322.880 4.488 20 0 DIADHN CCCN(CCC)[C@@H](C)c1nc(-c2cccc(C)c2F)no1 ZINC001247419606 1059473990 /nfs/dbraw/zinc/47/39/90/1059473990.db2.gz YNSWGJXTHRMZHM-ZDUSSCGKSA-N 0 3 305.397 4.367 20 0 DIADHN CCn1nnc(C)c1CN1CCCCC[C@@H]1/C=C/c1ccccc1 ZINC001559649795 1059475472 /nfs/dbraw/zinc/47/54/72/1059475472.db2.gz OLCADHXKMAGWNX-JKIKYLSZSA-N 0 3 324.472 4.064 20 0 DIADHN CCn1nnc(C)c1CN1CCCCC[C@H]1/C=C\c1ccccc1 ZINC001559649797 1059475865 /nfs/dbraw/zinc/47/58/65/1059475865.db2.gz OLCADHXKMAGWNX-QQBSYFJQSA-N 0 3 324.472 4.064 20 0 DIADHN Fc1ccccc1CN(C[C@H]1CC2(CCC2)CO1)C1CCC1 ZINC001559650835 1059478936 /nfs/dbraw/zinc/47/89/36/1059478936.db2.gz QARFFBDTDPOFTO-QGZVFWFLSA-N 0 3 303.421 4.139 20 0 DIADHN CCOc1ccc(CN2CCC(C)(c3ccccc3)CC2)nc1 ZINC001559657115 1059484957 /nfs/dbraw/zinc/48/49/57/1059484957.db2.gz WNLMNVCOLCGTAG-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN C[C@H]1Cc2cc(F)ccc2[C@H](C)N1CCOC1CCSCC1 ZINC001559658458 1059490485 /nfs/dbraw/zinc/49/04/85/1059490485.db2.gz JSRWXROICUTDBK-KBPBESRZSA-N 0 3 323.477 4.046 20 0 DIADHN CC(F)(F)CCCN1CC[C@@H](Oc2ccccc2Cl)C1 ZINC001559670902 1059495576 /nfs/dbraw/zinc/49/55/76/1059495576.db2.gz WPMDAXPGUJQGJK-GFCCVEGCSA-N 0 3 303.780 4.229 20 0 DIADHN Cc1ccccc1OCCCN1CCc2c(F)cc(F)cc2C1 ZINC001559667749 1059495727 /nfs/dbraw/zinc/49/57/27/1059495727.db2.gz DQWAJTRJTVMHHX-UHFFFAOYSA-N 0 3 317.379 4.100 20 0 DIADHN CC[C@@H](NCc1ccc2c(c1)OC(F)(F)O2)c1ccc(F)cc1 ZINC001559671504 1059500124 /nfs/dbraw/zinc/50/01/24/1059500124.db2.gz SMKKXKKGYJTELQ-CQSZACIVSA-N 0 3 323.314 4.388 20 0 DIADHN Cc1ccc(-c2ccccc2)c(-c2noc([C@H]3CCCN3)n2)c1 ZINC001247499008 1059502719 /nfs/dbraw/zinc/50/27/19/1059502719.db2.gz XPOASZKTAMFMRH-QGZVFWFLSA-N 0 3 305.381 4.137 20 0 DIADHN O[C@@H](CCNC1(c2ccc(F)cc2F)CCC1)c1ccccc1 ZINC001559694562 1059524085 /nfs/dbraw/zinc/52/40/85/1059524085.db2.gz AEZGGHHCJBKMDE-SFHVURJKSA-N 0 3 317.379 4.057 20 0 DIADHN FC1(F)Oc2cccc(CN[C@@H](c3cccs3)C3CC3)c2O1 ZINC001559696693 1059525535 /nfs/dbraw/zinc/52/55/35/1059525535.db2.gz GDCOOJICCLKBJS-CQSZACIVSA-N 0 3 323.364 4.311 20 0 DIADHN CC[C@H](NCC(=O)N(CC(C)C)C1CC1)c1ccc(Cl)cc1 ZINC001559697237 1059527655 /nfs/dbraw/zinc/52/76/55/1059527655.db2.gz XERNJDSCNZUBTH-KRWDZBQOSA-N 0 3 322.880 4.028 20 0 DIADHN COc1cccc(SCCN[C@H](C)c2cccc(F)c2F)c1 ZINC001559702945 1059530302 /nfs/dbraw/zinc/53/03/02/1059530302.db2.gz HUPQIZCCGODLEO-GFCCVEGCSA-N 0 3 323.408 4.416 20 0 DIADHN CC(C)(C)OCCN[C@@H](COc1ccccc1)c1ccccc1 ZINC001559702619 1059530357 /nfs/dbraw/zinc/53/03/57/1059530357.db2.gz WYRSZAFBIQCZMS-IBGZPJMESA-N 0 3 313.441 4.211 20 0 DIADHN c1ccc(C[C@@H]2CN([C@@H]3CCCc4ccccc43)CCO2)cc1 ZINC001559705972 1059534784 /nfs/dbraw/zinc/53/47/84/1059534784.db2.gz DMQVGRCBVDDEGL-TZIWHRDSSA-N 0 3 307.437 4.008 20 0 DIADHN C[C@H]1CCC[C@H](CCN2CCN(Cc3cccc(F)c3)CC2)C1 ZINC001559714950 1059542146 /nfs/dbraw/zinc/54/21/46/1059542146.db2.gz LOXSRFCITJEXIZ-ZWKOTPCHSA-N 0 3 318.480 4.160 20 0 DIADHN CC1(C)CN(Cc2c[nH]c(=O)c3ccccc23)Cc2ccccc21 ZINC001559714437 1059543495 /nfs/dbraw/zinc/54/34/95/1059543495.db2.gz WKKDNBGOUJKIML-UHFFFAOYSA-N 0 3 318.420 4.234 20 0 DIADHN Fc1cccc(CN2CCN([C@@H]3CCCc4ccccc43)CC2)c1 ZINC001559715114 1059543836 /nfs/dbraw/zinc/54/38/36/1059543836.db2.gz REAXWAFXQZCBMG-OAQYLSRUSA-N 0 3 324.443 4.021 20 0 DIADHN Cc1ccc(N2CCN(C[C@@H]3CCCCC3(F)F)CC2)cc1C ZINC001559718676 1059546719 /nfs/dbraw/zinc/54/67/19/1059546719.db2.gz KQXMKCNUXLTDEU-KRWDZBQOSA-N 0 3 322.443 4.251 20 0 DIADHN CC(C)c1ccc([C@H]2CCCN2CCn2cc(Cl)cn2)cc1 ZINC001559718853 1059548684 /nfs/dbraw/zinc/54/86/84/1059548684.db2.gz OACCEVGIRHGEDR-GOSISDBHSA-N 0 3 317.864 4.497 20 0 DIADHN CCN(Cc1ccncc1)C[C@H]1CCC[C@@H](c2ccccc2)O1 ZINC001559721509 1059552283 /nfs/dbraw/zinc/55/22/83/1059552283.db2.gz QWRUUEXRCMLBPW-UXHICEINSA-N 0 3 310.441 4.214 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1ncccc1Br ZINC001559725168 1059554522 /nfs/dbraw/zinc/55/45/22/1059554522.db2.gz PKFHCXSMLIXERI-OCCSQVGLSA-N 0 3 311.267 4.293 20 0 DIADHN Cc1cc([C@@H](C)NCCOc2ccc(F)cc2Cl)c(C)o1 ZINC001559724679 1059556594 /nfs/dbraw/zinc/55/65/94/1059556594.db2.gz DXAPYRLUTNCLSM-LLVKDONJSA-N 0 3 311.784 4.419 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN(C)C[C@H]1CCCO1 ZINC001559726282 1059557541 /nfs/dbraw/zinc/55/75/41/1059557541.db2.gz NZUXXSJNVNCKSB-QGZVFWFLSA-N 0 3 313.441 4.238 20 0 DIADHN CCOC(=O)[C@H](C)[C@@H](C)N[C@H](C)c1ccc(Cl)cc1Cl ZINC001559726743 1059557975 /nfs/dbraw/zinc/55/79/75/1059557975.db2.gz YTWURCQAISIZCJ-GMTAPVOTSA-N 0 3 318.244 4.232 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1nn(-c2ccccc2)c2c1CCC2 ZINC001559751586 1059583421 /nfs/dbraw/zinc/58/34/21/1059583421.db2.gz HNFZWXBMTQLOGS-OXJNMPFZSA-N 0 3 323.484 4.372 20 0 DIADHN CC1(C)CCCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)C1 ZINC001559753199 1059585134 /nfs/dbraw/zinc/58/51/34/1059585134.db2.gz AYTJNQPOVFIPKG-AWEZNQCLSA-N 0 3 302.245 4.149 20 0 DIADHN O=C(CN[C@@H]1CCC[C@H]1Cc1ccccc1)c1ccccc1F ZINC001559758538 1059594480 /nfs/dbraw/zinc/59/44/80/1059594480.db2.gz FCTXJIKFBSTKJD-QFBILLFUSA-N 0 3 311.400 4.009 20 0 DIADHN Cc1cc(C)c2c(c1)CN(CCOC1CCCCCC1)CC2 ZINC001559761613 1059596517 /nfs/dbraw/zinc/59/65/17/1059596517.db2.gz CKQOERPWOPYXQR-UHFFFAOYSA-N 0 3 301.474 4.401 20 0 DIADHN CC[C@@](C)(O)CN(Cc1ccccc1)Cc1cc(F)cc(F)c1 ZINC001559760992 1059597437 /nfs/dbraw/zinc/59/74/37/1059597437.db2.gz GHWGPRCQEHBAAS-LJQANCHMSA-N 0 3 319.395 4.128 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)[C@@H]1CCCN1C(C)C)C(C)(C)C ZINC001532345229 1059598735 /nfs/dbraw/zinc/59/87/35/1059598735.db2.gz SXPZLBBJRCNEDQ-ROUUACIJSA-N 0 3 316.489 4.071 20 0 DIADHN Cc1cc(C)c(CN2CCC[C@]3(C2)OCc2ccccc23)c(C)n1 ZINC001559770755 1059606879 /nfs/dbraw/zinc/60/68/79/1059606879.db2.gz VRUOICPFXSKZPI-OAQYLSRUSA-N 0 3 322.452 4.028 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001559774827 1059612698 /nfs/dbraw/zinc/61/26/98/1059612698.db2.gz KMDITAIYUPCSCC-YOEHRIQHSA-N 0 3 302.462 4.043 20 0 DIADHN CC[C@H]1[C@H](C)CCN1CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001559774824 1059612740 /nfs/dbraw/zinc/61/27/40/1059612740.db2.gz KMDITAIYUPCSCC-PBHICJAKSA-N 0 3 302.462 4.043 20 0 DIADHN CCCC[C@@H](CC)CN(C)CC(=O)N(C)[C@H](C)c1ccccc1 ZINC001559781262 1059615088 /nfs/dbraw/zinc/61/50/88/1059615088.db2.gz AERJSLZTWNFRES-QZTJIDSGSA-N 0 3 318.505 4.354 20 0 DIADHN CN(CCNC(C)(C)c1cccc(Cl)c1F)c1ccccc1 ZINC001559778242 1059616140 /nfs/dbraw/zinc/61/61/40/1059616140.db2.gz MJFGQKSGSQVSPF-UHFFFAOYSA-N 0 3 320.839 4.440 20 0 DIADHN CC1(C)OC[C@@H](CN2CCCC[C@@H]2c2cccc(Cl)c2)O1 ZINC001559795341 1059627756 /nfs/dbraw/zinc/62/77/56/1059627756.db2.gz DUDQWPRZIQARNO-HZPDHXFCSA-N 0 3 309.837 4.019 20 0 DIADHN C[C@@H](CN1CCN(c2cccc(F)c2F)CC1)CC(C)(C)C ZINC001559804799 1059639669 /nfs/dbraw/zinc/63/96/69/1059639669.db2.gz XFSCZHZNPSMVHF-CQSZACIVSA-N 0 3 310.432 4.159 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCN(c2ccccc2F)C[C@@H]1C ZINC001559805227 1059639742 /nfs/dbraw/zinc/63/97/42/1059639742.db2.gz JQVUJBUFVFDHGM-LPHOPBHVSA-N 0 3 312.432 4.488 20 0 DIADHN CCC(CC)[C@H](c1ccc(Cl)cc1)N(C)CC[S@](C)=O ZINC001559802752 1059640425 /nfs/dbraw/zinc/64/04/25/1059640425.db2.gz KBLOZJMEFLHEIO-UZLBHIALSA-N 0 3 315.910 4.128 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccccc1Cl)Cc1ccccc1 ZINC001559808218 1059644180 /nfs/dbraw/zinc/64/41/80/1059644180.db2.gz FWOIJYMJGKCOIW-GDBMZVCRSA-N 0 3 303.833 4.248 20 0 DIADHN CCc1ccc([C@@H]2CCCN([C@@H](C(=O)OC)[C@H](C)CC)C2)cc1 ZINC001559813783 1059650080 /nfs/dbraw/zinc/65/00/80/1059650080.db2.gz ADLNKVPIHDXVPA-ATZDWAIDSA-N 0 3 317.473 4.016 20 0 DIADHN O[C@@H](CN1CCC2(CCC2)CC1)c1ccc(Cl)cc1Cl ZINC001559818211 1059652509 /nfs/dbraw/zinc/65/25/09/1059652509.db2.gz RVDGEDAXPHKDNY-HNNXBMFYSA-N 0 3 314.256 4.293 20 0 DIADHN CCCCO[C@H]1C[C@H](NCc2cc(O)ccc2Cl)C1(C)C ZINC001559823098 1059654697 /nfs/dbraw/zinc/65/46/97/1059654697.db2.gz LZDLKYCJDHGTPC-HOTGVXAUSA-N 0 3 311.853 4.119 20 0 DIADHN COc1cccc(CN2Cc3ccccc3C3(CCC3)C2)c1C ZINC001559827585 1059660845 /nfs/dbraw/zinc/66/08/45/1059660845.db2.gz RNODXRQDMWMPQH-UHFFFAOYSA-N 0 3 307.437 4.441 20 0 DIADHN C[Si](C)(C)c1ccc(CN2CCC=C(c3ccco3)C2)cc1 ZINC001559828693 1059662509 /nfs/dbraw/zinc/66/25/09/1059662509.db2.gz YJQPTVOLBPSBPL-UHFFFAOYSA-N 0 3 311.501 4.114 20 0 DIADHN O[C@H](CN(Cc1ccccc1Cl)CC1CC1)c1ccccc1 ZINC001559830795 1059664323 /nfs/dbraw/zinc/66/43/23/1059664323.db2.gz LPADGYCGJCKHCI-LJQANCHMSA-N 0 3 315.844 4.286 20 0 DIADHN Clc1cccc(Cl)c1CCN1CCC[C@@H]1[C@H]1CCCO1 ZINC001559833935 1059664995 /nfs/dbraw/zinc/66/49/95/1059664995.db2.gz PZEGSWIDMSVWLR-HZPDHXFCSA-N 0 3 314.256 4.179 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cc(Cl)ccc2Cl)C12CCC2 ZINC001559846225 1059673519 /nfs/dbraw/zinc/67/35/19/1059673519.db2.gz SZODVYPLBVHBPY-LSDHHAIUSA-N 0 3 314.256 4.431 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2nc(C)c(C(C)(C)C)s2)C12CCC2 ZINC001559845739 1059674799 /nfs/dbraw/zinc/67/47/99/1059674799.db2.gz QCPIPSUBIPNVKZ-ZIAGYGMSSA-N 0 3 322.518 4.186 20 0 DIADHN CO[C@@H]1C[C@@H](NCc2cc(Cl)ccc2OCC2CC2)C1(C)C ZINC001559844872 1059674839 /nfs/dbraw/zinc/67/48/39/1059674839.db2.gz ADOMGQYVWVSMFO-IAGOWNOFSA-N 0 3 323.864 4.032 20 0 DIADHN Cc1cccc2c1CC(C)(C)N(CCSC(F)(F)F)C2 ZINC001559856737 1059679800 /nfs/dbraw/zinc/67/98/00/1059679800.db2.gz IUCKKZAVYJLVII-UHFFFAOYSA-N 0 3 303.393 4.385 20 0 DIADHN CC(C)[C@@](C)(Cc1ccc(Cl)cc1)N[C@@H](C)c1ncccn1 ZINC001559862154 1059684311 /nfs/dbraw/zinc/68/43/11/1059684311.db2.gz HNUTXBIAWQXYOO-KBXCAEBGSA-N 0 3 317.864 4.438 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)CCSc2ccccc2)nc1 ZINC001559864497 1059686693 /nfs/dbraw/zinc/68/66/93/1059686693.db2.gz IPCDIVLQLKTXKC-INIZCTEOSA-N 0 3 300.471 4.393 20 0 DIADHN Clc1ccccc1C[C@@H]1CCCCCN1Cc1ccno1 ZINC001559870534 1059689275 /nfs/dbraw/zinc/68/92/75/1059689275.db2.gz ZZMKGARCYKSYFK-HNNXBMFYSA-N 0 3 304.821 4.315 20 0 DIADHN CS[C@H]1CCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001559870356 1059689721 /nfs/dbraw/zinc/68/97/21/1059689721.db2.gz VEFJIQBWQFSPGH-LBPRGKRZSA-N 0 3 307.406 4.236 20 0 DIADHN Cc1ccc(CN2CCC[C@H](C3CC3)C2)cc1Br ZINC001559880613 1059701031 /nfs/dbraw/zinc/70/10/31/1059701031.db2.gz NDSXORIWRLDKMK-HNNXBMFYSA-N 0 3 308.263 4.380 20 0 DIADHN CC(C)[C@@H](O)CCN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC001559882692 1059703194 /nfs/dbraw/zinc/70/31/94/1059703194.db2.gz UCJNFXLLSIPIEP-HOCLYGCPSA-N 0 3 316.272 4.441 20 0 DIADHN CC(C)[C@H](O)CCN[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC001559882690 1059704020 /nfs/dbraw/zinc/70/40/20/1059704020.db2.gz UCJNFXLLSIPIEP-GDBMZVCRSA-N 0 3 316.272 4.441 20 0 DIADHN CC(C)CC1(C)CCN(C[C@@H]2CC[C@@H](C(F)(F)F)O2)CC1 ZINC001559884436 1059706078 /nfs/dbraw/zinc/70/60/78/1059706078.db2.gz VGRUYYWBYGGUCI-KBPBESRZSA-N 0 3 307.400 4.245 20 0 DIADHN OCc1ccc(CN2CC3(CCCC3)[C@H]2c2ccccc2)cc1 ZINC001559890753 1059710370 /nfs/dbraw/zinc/71/03/70/1059710370.db2.gz VEVMRYVWVDZVKC-HXUWFJFHSA-N 0 3 307.437 4.296 20 0 DIADHN CCCn1c(C)nnc1CN1CC[C@H](CC(C)(C)C)C1(C)C ZINC001559903315 1059721338 /nfs/dbraw/zinc/72/13/38/1059721338.db2.gz WIFLBKCCFMYOHQ-OAHLLOKOSA-N 0 3 306.498 4.033 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3ccc(F)c(F)c3)n2)[C@@H](C)[C@H]1C ZINC001559922224 1059737015 /nfs/dbraw/zinc/73/70/15/1059737015.db2.gz BRNSAMIOEZPQCN-WOPDTQHZSA-N 0 3 306.356 4.096 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCSC[C@H]1C1CCC1 ZINC001559925801 1059739362 /nfs/dbraw/zinc/73/93/62/1059739362.db2.gz CCUPMOIJKYFAGT-KRWDZBQOSA-N 0 3 314.454 4.059 20 0 DIADHN CC1(C)c2ccccc2CCN1C[C@H](O)c1cccc(Cl)c1 ZINC001559933226 1059745014 /nfs/dbraw/zinc/74/50/14/1059745014.db2.gz ODGFNGAXVXLGKE-SFHVURJKSA-N 0 3 315.844 4.167 20 0 DIADHN C[C@@H](c1ccccc1F)N1CC[C@@H](C)[C@H](C(=O)OC(C)(C)C)C1 ZINC001559940930 1059757086 /nfs/dbraw/zinc/75/70/86/1059757086.db2.gz GDBYMDASDNVCQN-IJEWVQPXSA-N 0 3 321.436 4.186 20 0 DIADHN Cc1ccccc1SC[C@H](C)CN1C[C@@H](C)OCC12CCC2 ZINC001559967888 1059777414 /nfs/dbraw/zinc/77/74/14/1059777414.db2.gz NWQYXHYGYCWMNH-NVXWUHKLSA-N 0 3 319.514 4.367 20 0 DIADHN COC[C@H](NCc1cccc2ccccc21)c1cccc(OC)c1 ZINC001559979231 1059785767 /nfs/dbraw/zinc/78/57/67/1059785767.db2.gz UQJGINCIXOCOPP-NRFANRHFSA-N 0 3 321.420 4.326 20 0 DIADHN CC1(C)CCN(CC(=O)Nc2cccc(Cl)c2)CC(C)(C)C1 ZINC001559987558 1059793008 /nfs/dbraw/zinc/79/30/08/1059793008.db2.gz ONIXZRJLFRRJST-UHFFFAOYSA-N 0 3 322.880 4.427 20 0 DIADHN CCC[C@@H](C)CCCN[C@@H](CC)c1nnc2n1CCCCC2 ZINC001559996372 1059800380 /nfs/dbraw/zinc/80/03/80/1059800380.db2.gz UQIPVUVFJCTBFP-CVEARBPZSA-N 0 3 306.498 4.262 20 0 DIADHN CCCN(CC[C@H](O)c1ccccc1)Cc1ccccc1F ZINC001560003379 1059812212 /nfs/dbraw/zinc/81/22/12/1059812212.db2.gz QPMJNPIRUADNIV-IBGZPJMESA-N 0 3 301.405 4.161 20 0 DIADHN Cc1nc(CN[C@]2(CO)CCCC(C)(C)C2)sc1C(C)(C)C ZINC001560009351 1059816942 /nfs/dbraw/zinc/81/69/42/1059816942.db2.gz WSOANFOHKLFTFH-GOSISDBHSA-N 0 3 324.534 4.170 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC[C@H]2CC2CCC2)cc1 ZINC001560017246 1059821430 /nfs/dbraw/zinc/82/14/30/1059821430.db2.gz DNKSMDTXRRXACF-IBGZPJMESA-N 0 3 314.473 4.232 20 0 DIADHN Cc1cccc(NC(=O)CN2CCCC[C@@H]2CC2CCC2)c1C ZINC001560017551 1059831783 /nfs/dbraw/zinc/83/17/83/1059831783.db2.gz MWKIBLSGSQSXLO-GOSISDBHSA-N 0 3 314.473 4.287 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CCCC1CCCCC1 ZINC001560028242 1059840344 /nfs/dbraw/zinc/84/03/44/1059840344.db2.gz GJLPJGWQXBQHKQ-UHFFFAOYSA-N 0 3 304.478 4.499 20 0 DIADHN Cc1ccc(C[C@@]2(C)CCCN2Cc2ccc(Cl)nn2)cc1 ZINC001560040433 1059849647 /nfs/dbraw/zinc/84/96/47/1059849647.db2.gz PXASHIFIEWPXHY-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CO[C@H]1CCCN(Cc2cccc(-c3ccc(Cl)cc3)n2)C1 ZINC001248504372 1059857680 /nfs/dbraw/zinc/85/76/80/1059857680.db2.gz AOCLSKQSGAKHAH-KRWDZBQOSA-N 0 3 316.832 4.013 20 0 DIADHN Fc1ccc2occc2c1CN1CCC(c2ccncc2)CC1 ZINC001248539013 1059874595 /nfs/dbraw/zinc/87/45/95/1059874595.db2.gz KAWRAALUMAYTCX-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN CN(CCc1ccccc1F)Cc1c2ccoc2ccc1F ZINC001248545459 1059878451 /nfs/dbraw/zinc/87/84/51/1059878451.db2.gz CFMXXPYFHLFTKG-UHFFFAOYSA-N 0 3 301.336 4.386 20 0 DIADHN COc1ccc([C@@H]2CN(C[C@@H](C)C3CCCCC3)CCO2)cc1 ZINC001560076187 1059887100 /nfs/dbraw/zinc/88/71/00/1059887100.db2.gz XRQWPTRNUWBWEN-UZLBHIALSA-N 0 3 317.473 4.285 20 0 DIADHN Cc1nc(CN2CCC[C@H](C3CCC3)CC2)nc2ccccc12 ZINC001560092286 1059903580 /nfs/dbraw/zinc/90/35/80/1059903580.db2.gz VBAPAEQHISJYFG-KRWDZBQOSA-N 0 3 309.457 4.340 20 0 DIADHN COC(=O)c1csc(CN2CC[C@]2(C)C2CCCCC2)c1 ZINC001560095859 1059907565 /nfs/dbraw/zinc/90/75/65/1059907565.db2.gz FREREKFYUPGHTE-QGZVFWFLSA-N 0 3 307.459 4.079 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN(Cc2ccccc2CF)CC1 ZINC001560106176 1059911845 /nfs/dbraw/zinc/91/18/45/1059911845.db2.gz DWDVOTDQXFUYHZ-KRWDZBQOSA-N 0 3 312.432 4.035 20 0 DIADHN CCCCC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001525553893 1059934017 /nfs/dbraw/zinc/93/40/17/1059934017.db2.gz WDSZQRGBMCUILU-INIZCTEOSA-N 0 3 310.869 4.029 20 0 DIADHN CCCCCCc1csc(CN2CC3(C2)CCOCC3)c1 ZINC001248683179 1059935053 /nfs/dbraw/zinc/93/50/53/1059935053.db2.gz MMYQDCKBZDOGEJ-UHFFFAOYSA-N 0 3 307.503 4.483 20 0 DIADHN Cc1noc2ccc(CN(C)Cc3ccc(Cl)cc3)cc12 ZINC001248702527 1059941472 /nfs/dbraw/zinc/94/14/72/1059941472.db2.gz JQUPWHBBSYRDPN-UHFFFAOYSA-N 0 3 300.789 4.422 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2ccc3onc(C)c3c2)c1 ZINC001248706508 1059942470 /nfs/dbraw/zinc/94/24/70/1059942470.db2.gz WHILBDLLXQIABG-IBGZPJMESA-N 0 3 322.408 4.482 20 0 DIADHN COCCN(Cc1ccc(C)c(C)c1)Cc1ccccc1CF ZINC001560149732 1059947503 /nfs/dbraw/zinc/94/75/03/1059947503.db2.gz UNMGENIMWWRVNM-UHFFFAOYSA-N 0 3 315.432 4.422 20 0 DIADHN c1ccc(C2CCC(CN[C@@H](c3ncccn3)C3CC3)CC2)cc1 ZINC001560162095 1059952277 /nfs/dbraw/zinc/95/22/77/1059952277.db2.gz XUKRJUYRWHQIAT-OUNSHVDWSA-N 0 3 321.468 4.491 20 0 DIADHN CC[C@@]1(C(=O)OC(C)(C)C)CCCN(Cc2ccc(F)cc2)C1 ZINC001560191848 1059975202 /nfs/dbraw/zinc/97/52/02/1059975202.db2.gz SQBMACUXTDTTBR-LJQANCHMSA-N 0 3 321.436 4.160 20 0 DIADHN CCN(Cc1cccs1)Cc1ccc(-c2ccn(C)n2)cc1 ZINC001248766902 1059976727 /nfs/dbraw/zinc/97/67/27/1059976727.db2.gz LNFVBYHOVUGKCX-UHFFFAOYSA-N 0 3 311.454 4.171 20 0 DIADHN Cc1ncc(Br)cc1CN1CC[C@H]2CCCC[C@H]2C1 ZINC001248781167 1059976798 /nfs/dbraw/zinc/97/67/98/1059976798.db2.gz YYQIWRMOIBKEAY-KGLIPLIRSA-N 0 3 323.278 4.165 20 0 DIADHN COc1ccc(CN2CCC3(CCC3)CC2)c(OC)c1Cl ZINC001248797190 1059978637 /nfs/dbraw/zinc/97/86/37/1059978637.db2.gz WKGLXBATYIGWMS-UHFFFAOYSA-N 0 3 309.837 4.123 20 0 DIADHN COC(=O)C[C@@H](C)N(Cc1csc(C)c1)Cc1ccccc1 ZINC001248803970 1059988418 /nfs/dbraw/zinc/98/84/18/1059988418.db2.gz FXEXDJIVDUILIS-CQSZACIVSA-N 0 3 317.454 4.010 20 0 DIADHN Cc1cccnc1[C@H](C)NCCOc1ccccc1C(F)(F)F ZINC001560221478 1059995466 /nfs/dbraw/zinc/99/54/66/1059995466.db2.gz WZCWWGSNNGSBKP-ZDUSSCGKSA-N 0 3 324.346 4.138 20 0 DIADHN O[C@@H]1CCCN(Cc2ccc(-c3ccccc3)cc2Cl)CC1 ZINC001248818924 1059996606 /nfs/dbraw/zinc/99/66/06/1059996606.db2.gz GQQSWJNCUXOFBV-GOSISDBHSA-N 0 3 315.844 4.354 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(-c3ccccc3)cc2Cl)C1 ZINC001248819055 1059997928 /nfs/dbraw/zinc/99/79/28/1059997928.db2.gz KSSGIUIKALSROV-OAHLLOKOSA-N 0 3 315.844 4.211 20 0 DIADHN Cc1cccnc1[C@@H](C)NCCOc1cccc2ccccc21 ZINC001560221289 1059998127 /nfs/dbraw/zinc/99/81/27/1059998127.db2.gz QSHZLYQQEPIQNP-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc3n2CCC3)c1 ZINC001248823560 1060003338 /nfs/dbraw/zinc/00/33/38/1060003338.db2.gz BLSMPKJNMOQZNE-HXUWFJFHSA-N 0 3 310.441 4.170 20 0 DIADHN Cn1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c2c1ccnc2Cl ZINC001248839013 1060006022 /nfs/dbraw/zinc/00/60/22/1060006022.db2.gz RZFLIFRNFKXKSG-ZIAGYGMSSA-N 0 3 317.864 4.239 20 0 DIADHN CCn1ccc(CNCCC(c2ccccc2)c2ccccc2)n1 ZINC001560248587 1060012342 /nfs/dbraw/zinc/01/23/42/1060012342.db2.gz YLIJNWWNQXHAPK-UHFFFAOYSA-N 0 3 319.452 4.215 20 0 DIADHN Cc1cc(Cl)ccc1Oc1ccc(F)cc1CNC[C@H](C)O ZINC001560247806 1060012841 /nfs/dbraw/zinc/01/28/41/1060012841.db2.gz AUFWOANKPNVXOD-LBPRGKRZSA-N 0 3 323.795 4.050 20 0 DIADHN Cc1cccc(OCCNCc2ccc(-c3ccccc3)o2)c1 ZINC001560250300 1060014944 /nfs/dbraw/zinc/01/49/44/1060014944.db2.gz LKPCYWFKGQCHDM-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN c1cn(-c2ccccc2)nc1CNCCCSc1ccccc1 ZINC001560256719 1060023981 /nfs/dbraw/zinc/02/39/81/1060023981.db2.gz FNGQCLCEHYDODD-UHFFFAOYSA-N 0 3 323.465 4.144 20 0 DIADHN C[C@H]1CN(Cc2coc(-c3ccc(F)cc3)n2)CCC[C@@H]1C ZINC001248853262 1060023968 /nfs/dbraw/zinc/02/39/68/1060023968.db2.gz ULSRTPMVFXSACN-KBPBESRZSA-N 0 3 302.393 4.349 20 0 DIADHN CCc1cccc2cc(CNCCOc3ccccc3F)oc21 ZINC001560255975 1060024100 /nfs/dbraw/zinc/02/41/00/1060024100.db2.gz SLVHXIRGTQXRMT-UHFFFAOYSA-N 0 3 313.372 4.303 20 0 DIADHN c1ccc(COc2ccc(CN3CCCCC34CC4)nc2)cc1 ZINC001248864063 1060027221 /nfs/dbraw/zinc/02/72/21/1060027221.db2.gz NVUVORKZHYASCG-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc(Cl)c2N)C2CC2)cc1 ZINC001560258544 1060028022 /nfs/dbraw/zinc/02/80/22/1060028022.db2.gz NQFIITYGJLGNJS-GOSISDBHSA-N 0 3 300.833 4.472 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCc3ccccc3)cn2)[C@@H](C)C1 ZINC001248863600 1060028563 /nfs/dbraw/zinc/02/85/63/1060028563.db2.gz UIGZZKJCCYVKSG-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN c1c(CNCC2(c3ccccc3)CCCCC2)nn2c1CCCC2 ZINC001560262634 1060030004 /nfs/dbraw/zinc/03/00/04/1060030004.db2.gz JZYHPOZIQNYBGL-UHFFFAOYSA-N 0 3 323.484 4.211 20 0 DIADHN Cc1nc(CN2CCC[C@H](c3ccccc3)C2)ccc1Cl ZINC001248873752 1060031053 /nfs/dbraw/zinc/03/10/53/1060031053.db2.gz JZHUPLITKZQFLH-INIZCTEOSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1nc(CN2CCC[C@H](Cc3ccccc3)C2)ccc1Cl ZINC001248874084 1060031435 /nfs/dbraw/zinc/03/14/35/1060031435.db2.gz NBLCPIPMBCOIRF-QGZVFWFLSA-N 0 3 314.860 4.498 20 0 DIADHN Cc1nc(CN2CCC[C@@H](Cc3ccccc3)C2)ccc1Cl ZINC001248874083 1060034785 /nfs/dbraw/zinc/03/47/85/1060034785.db2.gz NBLCPIPMBCOIRF-KRWDZBQOSA-N 0 3 314.860 4.498 20 0 DIADHN Cn1nc2c(c1CN[C@@H](c1ccccc1)C1CCCCC1)CCC2 ZINC001560267926 1060037864 /nfs/dbraw/zinc/03/78/64/1060037864.db2.gz LUHHKKREOXCFKX-NRFANRHFSA-N 0 3 323.484 4.320 20 0 DIADHN COc1cc2ccccc2cc1CNCCSC(F)(F)F ZINC001560268626 1060040754 /nfs/dbraw/zinc/04/07/54/1060040754.db2.gz SQAKWKBLMORQSA-UHFFFAOYSA-N 0 3 315.360 4.191 20 0 DIADHN CCCc1ccc(CNCc2ccc(NC(=O)OC)cc2)s1 ZINC001560268323 1060041268 /nfs/dbraw/zinc/04/12/68/1060041268.db2.gz FPJAHUGWQMKYJT-UHFFFAOYSA-N 0 3 318.442 4.169 20 0 DIADHN CCCOc1ccc(CNCc2ccc(SC)cc2)cc1 ZINC001560272046 1060044487 /nfs/dbraw/zinc/04/44/87/1060044487.db2.gz QDJGKSBMOIBJMH-UHFFFAOYSA-N 0 3 301.455 4.487 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC/C=C/c1ccc(F)cc1 ZINC001560272516 1060046492 /nfs/dbraw/zinc/04/64/92/1060046492.db2.gz FSINWZNFDDEPCI-OOPCZODUSA-N 0 3 303.352 4.337 20 0 DIADHN CC[C@@H](CNCc1cccc(F)c1F)Oc1cccc(C)c1 ZINC001560272554 1060046797 /nfs/dbraw/zinc/04/67/97/1060046797.db2.gz LFQDOUDMBLLRAQ-HNNXBMFYSA-N 0 3 305.368 4.220 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC001560272458 1060047095 /nfs/dbraw/zinc/04/70/95/1060047095.db2.gz BGVMUTYAPWSGCH-AAOUONPWSA-N 0 3 321.342 4.477 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2ccc(Cl)cc2C)c1 ZINC001560271445 1060047161 /nfs/dbraw/zinc/04/71/61/1060047161.db2.gz KBYXKCTWOBBULL-UHFFFAOYSA-N 0 3 316.832 4.287 20 0 DIADHN Cc1cc(C)c(/C=C/CNCc2ccc(Cl)nc2)cc1C ZINC001560274659 1060049814 /nfs/dbraw/zinc/04/98/14/1060049814.db2.gz NRUXPGRMGPKWNM-SNAWJCMRSA-N 0 3 300.833 4.463 20 0 DIADHN Clc1ccsc1CNCCOc1ccc2c(c1)CCC2 ZINC001560284416 1060063817 /nfs/dbraw/zinc/06/38/17/1060063817.db2.gz SIWVRSPXPHKMGB-UHFFFAOYSA-N 0 3 307.846 4.059 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNCCOC(C)(C)C ZINC001560297398 1060080274 /nfs/dbraw/zinc/08/02/74/1060080274.db2.gz CMYBRNNFYGFQJS-UHFFFAOYSA-N 0 3 324.484 4.357 20 0 DIADHN Cc1occc1CNCCOc1ccccc1-c1ccccc1 ZINC001560297113 1060081747 /nfs/dbraw/zinc/08/17/47/1060081747.db2.gz XXLWKHMRUUCCJC-UHFFFAOYSA-N 0 3 307.393 4.424 20 0 DIADHN CC(C)CCOCCNCc1ccc(-c2ccncc2)cc1F ZINC001560298241 1060084415 /nfs/dbraw/zinc/08/44/15/1060084415.db2.gz XWAIARNERGUTKM-UHFFFAOYSA-N 0 3 316.420 4.040 20 0 DIADHN Cc1ccnc(CNC[C@H]2CCCC[C@@H]2C)c1Br ZINC001560301725 1060085744 /nfs/dbraw/zinc/08/57/44/1060085744.db2.gz CPZXEAQKTIDASZ-WCQYABFASA-N 0 3 311.267 4.068 20 0 DIADHN Fc1ccc(CNCc2cc3ccncc3s2)cc1Cl ZINC001560304186 1060093130 /nfs/dbraw/zinc/09/31/30/1060093130.db2.gz YJBWXIUEXYPTLD-UHFFFAOYSA-N 0 3 306.793 4.379 20 0 DIADHN CC(C)c1nc(CCNCc2cc(Cl)ccc2F)cs1 ZINC001560306080 1060093429 /nfs/dbraw/zinc/09/34/29/1060093429.db2.gz PONGZXXQEMZWBE-UHFFFAOYSA-N 0 3 312.841 4.391 20 0 DIADHN CC(C)(C)C[C@@H](O)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001560334377 1060119886 /nfs/dbraw/zinc/11/98/86/1060119886.db2.gz BBMNCHYVEWMGIX-GFCCVEGCSA-N 0 3 323.786 4.246 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3)C2)c(Cl)nc1C ZINC001248988273 1060099726 /nfs/dbraw/zinc/09/97/26/1060099726.db2.gz ISFIGJMDTZTMCC-INIZCTEOSA-N 0 3 300.833 4.341 20 0 DIADHN COc1cccc(-c2csc(CN[C@H](C)C3(C)CC3)n2)c1 ZINC001560315342 1060101404 /nfs/dbraw/zinc/10/14/04/1060101404.db2.gz WHNDFUJJDVQRFX-GFCCVEGCSA-N 0 3 302.443 4.097 20 0 DIADHN CCCn1cc(CN[C@@H](c2ccc(F)cc2)C2CCC2)c(C)n1 ZINC001560316529 1060101994 /nfs/dbraw/zinc/10/19/94/1060101994.db2.gz YUYZLFSRGOIXCA-LJQANCHMSA-N 0 3 315.436 4.372 20 0 DIADHN CCCc1ccc([C@H](NCc2cn(C)nc2CC)C(C)C)cc1 ZINC001560315386 1060102065 /nfs/dbraw/zinc/10/20/65/1060102065.db2.gz ZCELHFSYTFXKJW-HXUWFJFHSA-N 0 3 313.489 4.422 20 0 DIADHN COc1cc(CNCc2cccc(N(C)C)c2)cc2ccccc21 ZINC001560317693 1060103865 /nfs/dbraw/zinc/10/38/65/1060103865.db2.gz AXPHITQEMKLSLH-UHFFFAOYSA-N 0 3 320.436 4.204 20 0 DIADHN O=c1[nH]ccc2oc(CNCCc3csc4ccccc34)cc21 ZINC001560319289 1060107537 /nfs/dbraw/zinc/10/75/37/1060107537.db2.gz YLEZTAKVLAVKNM-UHFFFAOYSA-N 0 3 324.405 4.080 20 0 DIADHN Cc1ncc(CNCc2cc3nc(Cl)ccc3s2)s1 ZINC001560320305 1060107689 /nfs/dbraw/zinc/10/76/89/1060107689.db2.gz VWQAWPKWSNEZIZ-UHFFFAOYSA-N 0 3 309.847 4.004 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1ccc(COC)cc1 ZINC001560322039 1060109357 /nfs/dbraw/zinc/10/93/57/1060109357.db2.gz MUTFJRRQLSRPRE-LJQANCHMSA-N 0 3 311.425 4.009 20 0 DIADHN Cn1c(CN[C@@H]2CSCc3ccccc32)cc2ccccc21 ZINC001560324748 1060112173 /nfs/dbraw/zinc/11/21/73/1060112173.db2.gz DAFQFHOZXQCYAK-GOSISDBHSA-N 0 3 308.450 4.256 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnn(CC2CCC2)c1)C1CC1 ZINC001560332517 1060118145 /nfs/dbraw/zinc/11/81/45/1060118145.db2.gz MXNDNIKHHOUTSW-HXUWFJFHSA-N 0 3 309.457 4.233 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2csc(C3CC3)n2)cc1 ZINC001560333623 1060120455 /nfs/dbraw/zinc/12/04/55/1060120455.db2.gz ALLOBIGEOHZWNZ-ZDUSSCGKSA-N 0 3 316.470 4.313 20 0 DIADHN COc1ccc([C@H](C)CCNCc2csc(C3CC3)n2)cc1 ZINC001560333622 1060120709 /nfs/dbraw/zinc/12/07/09/1060120709.db2.gz ALLOBIGEOHZWNZ-CYBMUJFWSA-N 0 3 316.470 4.313 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@H](C)Oc1cccc(C)c1 ZINC001560341575 1060127891 /nfs/dbraw/zinc/12/78/91/1060127891.db2.gz MCWLZYTYYIFQJY-AWEZNQCLSA-N 0 3 319.832 4.214 20 0 DIADHN C[C@@H]1CC[C@H](CO)CN1Cc1sc(Cl)c2ccccc21 ZINC001249041807 1060128100 /nfs/dbraw/zinc/12/81/00/1060128100.db2.gz ANJWRRKZPCKHRU-NEPJUHHUSA-N 0 3 309.862 4.148 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@@H](OC)c2ccc(Cl)cc2)o1 ZINC001560342714 1060128230 /nfs/dbraw/zinc/12/82/30/1060128230.db2.gz DAQVNXIWLMUUJG-YVEFUNNKSA-N 0 3 307.821 4.361 20 0 DIADHN C[C@H]1CC[C@@H](CO)CN1Cc1sc(Cl)c2ccccc21 ZINC001249041846 1060128285 /nfs/dbraw/zinc/12/82/85/1060128285.db2.gz ANJWRRKZPCKHRU-NWDGAFQWSA-N 0 3 309.862 4.148 20 0 DIADHN OCC[C@H](NCc1cccc(-c2cccnc2)c1)c1cccs1 ZINC001560344963 1060130569 /nfs/dbraw/zinc/13/05/69/1060130569.db2.gz PBDNVXKEQCVHSW-SFHVURJKSA-N 0 3 324.449 4.023 20 0 DIADHN CC(C)[C@H](O)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001560350063 1060135602 /nfs/dbraw/zinc/13/56/02/1060135602.db2.gz HGHIPXAYDMNIKH-GOSISDBHSA-N 0 3 315.457 4.351 20 0 DIADHN Cc1ccc(N2CCC[C@@H](NCc3sccc3Cl)C2)cc1 ZINC001560353486 1060140051 /nfs/dbraw/zinc/14/00/51/1060140051.db2.gz MIWMTQJFJXVDFU-CQSZACIVSA-N 0 3 320.889 4.469 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)c1ccc(-n2cccn2)cc1 ZINC001560354324 1060141005 /nfs/dbraw/zinc/14/10/05/1060141005.db2.gz MZUXFXBOIVWAAA-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@@H](CNCc1ccc(F)cc1Cl)Oc1cccc(F)c1 ZINC001560356204 1060143140 /nfs/dbraw/zinc/14/31/40/1060143140.db2.gz HEXUTIBWSBBTGD-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN Cc1nc(C)c(CNCc2ccc(F)c3ccccc23)s1 ZINC001560355658 1060143444 /nfs/dbraw/zinc/14/34/44/1060143444.db2.gz IJGJTRONTGOJGR-UHFFFAOYSA-N 0 3 300.402 4.342 20 0 DIADHN Cc1c(Cl)cccc1-c1noc([C@@H]2CCCN2CC2CC2)n1 ZINC001249073755 1060144987 /nfs/dbraw/zinc/14/49/87/1060144987.db2.gz ZKMXHQBSNLAFTO-HNNXBMFYSA-N 0 3 317.820 4.245 20 0 DIADHN c1ccc2c(c1)cccc2-c1noc([C@@H]2CCCN2CC2CC2)n1 ZINC001249073189 1060146807 /nfs/dbraw/zinc/14/68/07/1060146807.db2.gz KSEOMSWBHWXXOX-SFHVURJKSA-N 0 3 319.408 4.437 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)C[C@H](C)O3)cc1F ZINC001560364676 1060150224 /nfs/dbraw/zinc/15/02/24/1060150224.db2.gz RGOAEEKGCKIYCL-STQMWFEESA-N 0 3 315.388 4.009 20 0 DIADHN CC[C@H](CNCc1ccc(SC)o1)Oc1ccccc1F ZINC001560366749 1060152420 /nfs/dbraw/zinc/15/24/20/1060152420.db2.gz OQXZNRRTLKBMRE-GFCCVEGCSA-N 0 3 309.406 4.088 20 0 DIADHN C[C@]1(CNCc2cc(Cl)sc2Cl)CCCC[C@@H]1O ZINC001560368966 1060154986 /nfs/dbraw/zinc/15/49/86/1060154986.db2.gz JHLLPBVMIZUWFK-GXFFZTMASA-N 0 3 308.274 4.086 20 0 DIADHN c1coc(-c2nc(CN[C@@H]3CCCc4ccccc4C3)cs2)c1 ZINC001560373626 1060160756 /nfs/dbraw/zinc/16/07/56/1060160756.db2.gz ANAHEKNBANVQNI-MRXNPFEDSA-N 0 3 324.449 4.440 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3ccccc3)s2)CC1 ZINC001560394442 1060180136 /nfs/dbraw/zinc/18/01/36/1060180136.db2.gz XWRVITHUHCZWGB-HIFRSBDPSA-N 0 3 301.459 4.264 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2nnc(-c3ccccc3)s2)CC1 ZINC001560394443 1060181288 /nfs/dbraw/zinc/18/12/88/1060181288.db2.gz XWRVITHUHCZWGB-UKRRQHHQSA-N 0 3 301.459 4.264 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1csc(COc2ccccc2)n1 ZINC001560397502 1060183783 /nfs/dbraw/zinc/18/37/83/1060183783.db2.gz AVIPZFBVVAELGJ-PBHICJAKSA-N 0 3 316.470 4.390 20 0 DIADHN CC[C@H]1CCC[C@@H]1NCc1csc(COc2ccccc2)n1 ZINC001560397505 1060183873 /nfs/dbraw/zinc/18/38/73/1060183873.db2.gz AVIPZFBVVAELGJ-YOEHRIQHSA-N 0 3 316.470 4.390 20 0 DIADHN Cc1ccc(-c2noc([C@@H]3CC4(CC4)CN3)n2)c2ccccc12 ZINC001249212961 1060189480 /nfs/dbraw/zinc/18/94/80/1060189480.db2.gz SQXMHJDRTJXGEN-INIZCTEOSA-N 0 3 305.381 4.013 20 0 DIADHN C[C@H](NCc1cccc2nccn21)c1ccc(Cl)c(Cl)c1 ZINC001560412660 1060197222 /nfs/dbraw/zinc/19/72/22/1060197222.db2.gz DRALQQUFMZLWIX-NSHDSACASA-N 0 3 320.223 4.492 20 0 DIADHN Cc1nc2c(s1)[C@H](NCc1c[nH]nc1-c1ccccc1)CCC2 ZINC001560412242 1060197596 /nfs/dbraw/zinc/19/75/96/1060197596.db2.gz NELGTKYGOLWLNO-OAHLLOKOSA-N 0 3 324.453 4.009 20 0 DIADHN CC[C@@H](NCc1ccc(Br)nc1)c1ccc(F)cc1 ZINC001560412427 1060197753 /nfs/dbraw/zinc/19/77/53/1060197753.db2.gz UWFMLZCHXQTFPR-CQSZACIVSA-N 0 3 323.209 4.224 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1ccccc1Cl ZINC001560415051 1060199407 /nfs/dbraw/zinc/19/94/07/1060199407.db2.gz VOXLXYLYYNTRIX-SJKOYZFVSA-N 0 3 307.796 4.345 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@@H](C)c1ccc(C)o1 ZINC001526376013 1060202496 /nfs/dbraw/zinc/20/24/96/1060202496.db2.gz HEDCJVHCRUWOJT-IRXDYDNUSA-N 0 3 320.477 4.151 20 0 DIADHN FC(F)COc1ccccc1CNC/C=C\c1ccccc1 ZINC001560416980 1060203097 /nfs/dbraw/zinc/20/30/97/1060203097.db2.gz MWNFANBOAXQZCD-TWGQIWQCSA-N 0 3 303.352 4.134 20 0 DIADHN CC[C@H](NCc1cc(OC)cc(OC)c1)c1ccc(C)c(F)c1 ZINC001560422352 1060206537 /nfs/dbraw/zinc/20/65/37/1060206537.db2.gz NLICBVCDSWXAJC-IBGZPJMESA-N 0 3 317.404 4.392 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1csc(-c2ccncc2)n1 ZINC001249292717 1060212884 /nfs/dbraw/zinc/21/28/84/1060212884.db2.gz OQXIHQPUUCQUJP-MRXNPFEDSA-N 0 3 301.459 4.360 20 0 DIADHN CCCOc1c(Cl)cc(CN[C@@H](C)c2ccc[nH]2)cc1OC ZINC001560427700 1060215954 /nfs/dbraw/zinc/21/59/54/1060215954.db2.gz LBDFXJYBXSTVME-LBPRGKRZSA-N 0 3 322.836 4.316 20 0 DIADHN CCc1ccc(CN[C@@H]2CCOc3ccc(CC)cc32)s1 ZINC001560433620 1060221589 /nfs/dbraw/zinc/22/15/89/1060221589.db2.gz RWVSNBPQELNMSX-QGZVFWFLSA-N 0 3 301.455 4.486 20 0 DIADHN O[C@@H](CCc1ccccc1)CNCc1ccc(Cl)cc1Cl ZINC001560437618 1060227450 /nfs/dbraw/zinc/22/74/50/1060227450.db2.gz UZIHHTKTEPPUNK-INIZCTEOSA-N 0 3 324.251 4.077 20 0 DIADHN CC(C)(C)c1nc(CNCCC2Cc3ccccc3C2)cs1 ZINC001560439860 1060228227 /nfs/dbraw/zinc/22/82/27/1060228227.db2.gz ARMDMTLSYFAWMK-UHFFFAOYSA-N 0 3 314.498 4.335 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CNC[C@@H]1CCC=CO1 ZINC001560440688 1060229606 /nfs/dbraw/zinc/22/96/06/1060229606.db2.gz CJBPPQOELGEHPW-QAPCUYQASA-N 0 3 320.452 4.090 20 0 DIADHN FC(F)c1cccc(CNCc2cnc3ccc(Cl)cn23)c1 ZINC001560440930 1060229635 /nfs/dbraw/zinc/22/96/35/1060229635.db2.gz LCGSNGKBAIEQSN-UHFFFAOYSA-N 0 3 321.758 4.215 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H](NCc2cn(C(C)(C)C)nn2)CC1 ZINC001560441954 1060231987 /nfs/dbraw/zinc/23/19/87/1060231987.db2.gz IEVSROPXSAFPIF-CABCVRRESA-N 0 3 306.498 4.118 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CC[C@H](c2ccccc2F)C1 ZINC001249408004 1060235942 /nfs/dbraw/zinc/23/59/42/1060235942.db2.gz UYLHSPYFQLCFTL-GJZGRUSLSA-N 0 3 315.436 4.062 20 0 DIADHN Fc1c(CNC2(Cc3ccccc3)CC2)cccc1OC1CC1 ZINC001560445418 1060237832 /nfs/dbraw/zinc/23/78/32/1060237832.db2.gz VDDRBRWZBJWOHS-UHFFFAOYSA-N 0 3 311.400 4.232 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1C[C@@H]2C[C@H](C1)c1ccccc12 ZINC001249408550 1060238647 /nfs/dbraw/zinc/23/86/47/1060238647.db2.gz GOERGRNMGPXYPP-HRCADAONSA-N 0 3 309.457 4.020 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CCc2ccccc2[C@H]1CC ZINC001249409063 1060242185 /nfs/dbraw/zinc/24/21/85/1060242185.db2.gz RKSFVXXZUYAKJC-DNVCBOLYSA-N 0 3 311.473 4.443 20 0 DIADHN CSc1ccc([C@H](C)NCc2cc(-c3ccccn3)n[nH]2)cc1 ZINC001560454734 1060243940 /nfs/dbraw/zinc/24/39/40/1060243940.db2.gz KFZUSLJCTPDWDB-ZDUSSCGKSA-N 0 3 324.453 4.044 20 0 DIADHN CCSc1ccccc1CN1CC[C@@H](F)C(F)(F)CC1 ZINC001249437181 1060248963 /nfs/dbraw/zinc/24/89/63/1060248963.db2.gz CJYKJEORFPETJZ-CQSZACIVSA-N 0 3 303.393 4.368 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CCC(c3ccc(O)cc3)CC2)c1 ZINC001249479605 1060264280 /nfs/dbraw/zinc/26/42/80/1060264280.db2.gz KEBNDVDEUGEOMF-UHFFFAOYSA-N 0 3 312.457 4.397 20 0 DIADHN CCSc1ncc2cccc(CN3CC[C@@H]4CCC[C@H]4C3)n21 ZINC001249498678 1060265074 /nfs/dbraw/zinc/26/50/74/1060265074.db2.gz PDTIBFQARCSVQQ-GJZGRUSLSA-N 0 3 315.486 4.068 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@]1(C)CC1(Cl)Cl ZINC001560477142 1060265819 /nfs/dbraw/zinc/26/58/19/1060265819.db2.gz HAUTUBHFHVIPBG-GFCCVEGCSA-N 0 3 308.636 4.022 20 0 DIADHN O=C(Nc1cccc(-c2cccs2)c1)c1ccc2c(c1)CNC2 ZINC000470376823 1060268023 /nfs/dbraw/zinc/26/80/23/1060268023.db2.gz XVIMPACMXURXQM-UHFFFAOYSA-N 0 3 320.417 4.271 20 0 DIADHN CCSc1ncc2cccc(CN3CCC4(CCCC4)C3)n21 ZINC001249500914 1060268279 /nfs/dbraw/zinc/26/82/79/1060268279.db2.gz YGABHLSAQAIGIX-UHFFFAOYSA-N 0 3 315.486 4.212 20 0 DIADHN CC[C@H](C)[C@@H](CNCc1ccc(-c2cccc(F)c2F)o1)OC ZINC001560479376 1060270278 /nfs/dbraw/zinc/27/02/78/1060270278.db2.gz IWJSMYZVOUCAGM-YVEFUNNKSA-N 0 3 323.383 4.376 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN(C)CCc2cccs2)cn1 ZINC001249514637 1060272689 /nfs/dbraw/zinc/27/26/89/1060272689.db2.gz SMCLCWKSLJJVEC-UHFFFAOYSA-N 0 3 314.376 4.145 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cc(F)cc3cc[nH]c32)cn1 ZINC001249525392 1060277865 /nfs/dbraw/zinc/27/78/65/1060277865.db2.gz MAKILWPRQOMJIN-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN CCCCCN(C)Cc1nc2cc(Br)ccc2o1 ZINC001249534050 1060279530 /nfs/dbraw/zinc/27/95/30/1060279530.db2.gz DLVXMBUPRSJNKP-UHFFFAOYSA-N 0 3 311.223 4.212 20 0 DIADHN C[C@@H]1Oc2ccccc2[C@H]1NC/C=C/c1ccc(F)cc1F ZINC001560492519 1060287154 /nfs/dbraw/zinc/28/71/54/1060287154.db2.gz YSHMRYDFXNMXLQ-SWEDTNHNSA-N 0 3 301.336 4.090 20 0 DIADHN CC(C)(C)c1cccc(NC2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001249557871 1060289948 /nfs/dbraw/zinc/28/99/48/1060289948.db2.gz CMAYGFJCEHTYSR-LJQANCHMSA-N 0 3 314.473 4.165 20 0 DIADHN Cc1cccc2cccc(NC3=CC[C@@H](N4CCOCC4)CC3)c12 ZINC001249561786 1060294077 /nfs/dbraw/zinc/29/40/77/1060294077.db2.gz STEWUVBVUPKZBS-LJQANCHMSA-N 0 3 322.452 4.329 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H]1NCc1ncc(Br)s1 ZINC001560502570 1060297455 /nfs/dbraw/zinc/29/74/55/1060297455.db2.gz SIYZSGDWNJQFEV-VHSXEESVSA-N 0 3 317.296 4.210 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1ccc(Oc2ccccc2)o1)C1CC1 ZINC001560505563 1060301725 /nfs/dbraw/zinc/30/17/25/1060301725.db2.gz YPFCRUREMSIDHO-LIRRHRJNSA-N 0 3 315.413 4.365 20 0 DIADHN C[C@@H](CNCc1cc(Cl)ccc1Cl)C(=O)OC(C)(C)C ZINC001560516394 1060314951 /nfs/dbraw/zinc/31/49/51/1060314951.db2.gz CREIKHMLYNEBJI-JTQLQIEISA-N 0 3 318.244 4.061 20 0 DIADHN F[C@@H]1CCC[C@@H](NCc2cc(Cl)ccc2Br)C1 ZINC001560515758 1060315872 /nfs/dbraw/zinc/31/58/72/1060315872.db2.gz IHQZEGNGLUNKLK-VXGBXAGGSA-N 0 3 320.633 4.473 20 0 DIADHN Clc1ccc(Cl)c(CN[C@H](C2CC2)[C@H]2CCCCO2)c1 ZINC001560519065 1060318377 /nfs/dbraw/zinc/31/83/77/1060318377.db2.gz QTIVJXZSFUJDEI-HZPDHXFCSA-N 0 3 314.256 4.431 20 0 DIADHN CC[C@H](OCCNCc1ccc(C)cc1OC)c1ccccc1 ZINC001560524224 1060324709 /nfs/dbraw/zinc/32/47/09/1060324709.db2.gz DDUVTAFMASATDT-IBGZPJMESA-N 0 3 313.441 4.261 20 0 DIADHN CO[C@@H](C)CNCc1cc(F)ccc1Oc1cccc(Cl)c1 ZINC001560526329 1060324951 /nfs/dbraw/zinc/32/49/51/1060324951.db2.gz MNXNKKGOPLCRTG-LBPRGKRZSA-N 0 3 323.795 4.396 20 0 DIADHN CCOc1ccccc1CNC[C@@H](O)c1cccc2ccccc21 ZINC001560528303 1060329993 /nfs/dbraw/zinc/32/99/93/1060329993.db2.gz NZZMFYQUHOCIGG-HXUWFJFHSA-N 0 3 321.420 4.062 20 0 DIADHN CCC[C@H](C)C(=O)Nc1ccc(CN(C)C)c(C(F)(F)F)c1 ZINC001534680562 1060334848 /nfs/dbraw/zinc/33/48/48/1060334848.db2.gz WKGGZPWXJCKCEZ-NSHDSACASA-N 0 3 316.367 4.142 20 0 DIADHN CC(C)[C@H](NCc1ncc(Br)cc1Cl)C1CC1 ZINC001560539009 1060344798 /nfs/dbraw/zinc/34/47/98/1060344798.db2.gz LMBGPRACNZIDSI-ZDUSSCGKSA-N 0 3 317.658 4.022 20 0 DIADHN C[C@@H](CN[C@@H](CO)c1ccc(F)cc1F)CC1CCCCC1 ZINC001560541301 1060345935 /nfs/dbraw/zinc/34/59/35/1060345935.db2.gz RMQGBPUTMDASGM-ACJLOTCBSA-N 0 3 311.416 4.194 20 0 DIADHN CCCC[C@H](CC)C(=O)N1c2ccccc2CC[C@@H]1CN(C)C ZINC001526812073 1060347220 /nfs/dbraw/zinc/34/72/20/1060347220.db2.gz SHBFPIAQLDROJI-FUHWJXTLSA-N 0 3 316.489 4.112 20 0 DIADHN Clc1ccc(CN[C@H]2C[C@H](Sc3ccccc3)C2)nc1 ZINC001560549743 1060358204 /nfs/dbraw/zinc/35/82/04/1060358204.db2.gz PUYRUGQFAYHEJK-KOMQPUFPSA-N 0 3 304.846 4.148 20 0 DIADHN Brc1ccc2oc(CNCCCCC3CC3)nc2c1 ZINC001560560613 1060369951 /nfs/dbraw/zinc/36/99/51/1060369951.db2.gz OAUNQDCKYNVQDA-UHFFFAOYSA-N 0 3 323.234 4.260 20 0 DIADHN Clc1ccc(-c2ccc(CNCCc3ccns3)o2)cc1 ZINC001560571081 1060382017 /nfs/dbraw/zinc/38/20/17/1060382017.db2.gz GOXVTCROQKOCQF-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN CCCc1ccc(CNCc2ccc3c(c2)C[C@@H](C)O3)s1 ZINC001560581706 1060396506 /nfs/dbraw/zinc/39/65/06/1060396506.db2.gz ITOZMJHAMCQWMV-CYBMUJFWSA-N 0 3 301.455 4.314 20 0 DIADHN Brc1cccc2nc(NC[C@H]3CC=CCC3)[nH]c21 ZINC001560586232 1060399267 /nfs/dbraw/zinc/39/92/67/1060399267.db2.gz CVYCDCVDVVNREX-JTQLQIEISA-N 0 3 306.207 4.094 20 0 DIADHN Cc1ccc(NCCNCc2ccc(Cl)c(C)c2Cl)nc1 ZINC001560599332 1060413421 /nfs/dbraw/zinc/41/34/21/1060413421.db2.gz MNOKDIGRUCQDDL-UHFFFAOYSA-N 0 3 324.255 4.207 20 0 DIADHN O[C@@H]1CCc2ccccc2[C@@H]1NCc1cccc(C2CCCC2)c1 ZINC001560600866 1060415582 /nfs/dbraw/zinc/41/55/82/1060415582.db2.gz DNTKKKSAHIBFDD-YADHBBJMSA-N 0 3 321.464 4.482 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2OCC2CC2)CCCCO1 ZINC001560606149 1060420390 /nfs/dbraw/zinc/42/03/90/1060420390.db2.gz JQIRKDCJECINAL-GOSISDBHSA-N 0 3 323.864 4.178 20 0 DIADHN c1ncc(-c2ccc(CNCc3ccc4cnccc4c3)cc2)o1 ZINC001560610649 1060424549 /nfs/dbraw/zinc/42/45/49/1060424549.db2.gz CJBPSJWEBPYWTR-UHFFFAOYSA-N 0 3 315.376 4.180 20 0 DIADHN C[C@@H]1CCc2nc(CNCC[C@@H]3CCC(F)(F)C3)sc2C1 ZINC001560614473 1060426793 /nfs/dbraw/zinc/42/67/93/1060426793.db2.gz MERPXBQXEBMKCM-NEPJUHHUSA-N 0 3 314.445 4.183 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1ncccc1C ZINC001560617976 1060429006 /nfs/dbraw/zinc/42/90/06/1060429006.db2.gz HBDBYMVAEFPFHP-KSVUCXBSSA-N 0 3 310.441 4.291 20 0 DIADHN Clc1cccc(-c2ccc(CN[C@H]3CCOC3)o2)c1Cl ZINC001560618924 1060431494 /nfs/dbraw/zinc/43/14/94/1060431494.db2.gz AUKOJRXGGDIMQB-JTQLQIEISA-N 0 3 312.196 4.132 20 0 DIADHN C[C@@H](CN[C@H](CO)c1cc(F)ccc1F)CC1CCCCC1 ZINC001560618483 1060432706 /nfs/dbraw/zinc/43/27/06/1060432706.db2.gz SKJRIFAJNHPLNP-FZKQIMNGSA-N 0 3 311.416 4.194 20 0 DIADHN CN1CCN(Cc2ccc(C3CC3)cc2)[C@@H](c2ccccc2)C1 ZINC001560627245 1060436757 /nfs/dbraw/zinc/43/67/57/1060436757.db2.gz UFLDYNDCHGAPBK-OAQYLSRUSA-N 0 3 306.453 4.053 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1cc(OC)c(OC)cc1F ZINC001560627441 1060437099 /nfs/dbraw/zinc/43/70/99/1060437099.db2.gz AVPFHYFZVXQDOE-CZUORRHYSA-N 0 3 309.425 4.244 20 0 DIADHN CCOC(OCC)[C@H](C)NCc1cccc(C2CCCC2)c1 ZINC001560626734 1060438185 /nfs/dbraw/zinc/43/81/85/1060438185.db2.gz YYOSPJMISFIQFZ-HNNXBMFYSA-N 0 3 305.462 4.221 20 0 DIADHN CC(C)C1CCN(Cc2ccc3ccc(F)c(F)c3n2)CC1 ZINC001560631225 1060442101 /nfs/dbraw/zinc/44/21/01/1060442101.db2.gz BDNRCQITAQSCQE-UHFFFAOYSA-N 0 3 304.384 4.381 20 0 DIADHN COc1nc(CN2CCc3ccccc3[C@H]2C(C)C)ccc1C ZINC001560637691 1060452065 /nfs/dbraw/zinc/45/20/65/1060452065.db2.gz LRHSCOLOWBEAKY-LJQANCHMSA-N 0 3 310.441 4.154 20 0 DIADHN CC[C@H]1CCC[C@H](CN(C)CC(=O)Nc2c(C)cccc2C)C1 ZINC001560639606 1060455354 /nfs/dbraw/zinc/45/53/54/1060455354.db2.gz XSOJEBNBFYZTKY-ROUUACIJSA-N 0 3 316.489 4.390 20 0 DIADHN COc1ccc(CN2CCC[C@@]3(CC=CCC3)C2)c(F)c1OC ZINC001560639944 1060455553 /nfs/dbraw/zinc/45/55/53/1060455553.db2.gz HMOVITWCIHABLR-IBGZPJMESA-N 0 3 319.420 4.165 20 0 DIADHN CC(C)[C@H]1CCCN(Cc2nc3ccc(Cl)cc3n2C)CC1 ZINC001560640163 1060457727 /nfs/dbraw/zinc/45/77/27/1060457727.db2.gz MFCBUWUZCMZSPA-AWEZNQCLSA-N 0 3 319.880 4.485 20 0 DIADHN COc1cc(F)c(CN2CCC[C@]3(CC=CCC3)C2)cc1OC ZINC001560639848 1060457785 /nfs/dbraw/zinc/45/77/85/1060457785.db2.gz DRGCZJIHKPUBEJ-LJQANCHMSA-N 0 3 319.420 4.165 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2cccn2C(F)F)c1 ZINC001560645913 1060467849 /nfs/dbraw/zinc/46/78/49/1060467849.db2.gz JGWZKDPBPFNXGL-OAHLLOKOSA-N 0 3 320.383 4.099 20 0 DIADHN CCOc1cc(C[N@@H+]2CCCC[C@H]2C(C)C)cc(Cl)c1[O-] ZINC001560645508 1060468208 /nfs/dbraw/zinc/46/82/08/1060468208.db2.gz WAMMVQLQJJSNCH-HNNXBMFYSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@H+]2CCCC[C@H]2C(C)C)cc(Cl)c1[O-] ZINC001560645508 1060468216 /nfs/dbraw/zinc/46/82/16/1060468216.db2.gz WAMMVQLQJJSNCH-HNNXBMFYSA-N 0 3 311.853 4.455 20 0 DIADHN CCn1nccc1CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001560647833 1060471241 /nfs/dbraw/zinc/47/12/41/1060471241.db2.gz DZVFNAGNVDZYKX-SYGIOELKSA-N 0 3 309.457 4.361 20 0 DIADHN CCN(Cc1ccc(=O)[nH]c1)Cc1ccc(Cl)c(Cl)c1 ZINC001560648631 1060475122 /nfs/dbraw/zinc/47/51/22/1060475122.db2.gz RTLFIROCBDDPOO-UHFFFAOYSA-N 0 3 311.212 4.116 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C(C)C)cnc1Cl ZINC001249911696 1060475213 /nfs/dbraw/zinc/47/52/13/1060475213.db2.gz CBBHKZOZPVRPBO-UHFFFAOYSA-N 0 3 304.821 4.154 20 0 DIADHN Cc1cc(Br)c(CN2CCC[C@H](C)CC2)s1 ZINC001560657538 1060481558 /nfs/dbraw/zinc/48/15/58/1060481558.db2.gz QFWLQCOCSLWALS-JTQLQIEISA-N 0 3 302.281 4.441 20 0 DIADHN Cc1ccc(C)c(OC2CCN(Cc3cc(C)nc(C)c3)CC2)c1 ZINC001560658671 1060484842 /nfs/dbraw/zinc/48/48/42/1060484842.db2.gz GOLCEEGGPNHKMS-UHFFFAOYSA-N 0 3 324.468 4.359 20 0 DIADHN COc1cc(CN2CCC[C@@H]2C2CCCCC2)cnc1Cl ZINC001249931707 1060488957 /nfs/dbraw/zinc/48/89/57/1060488957.db2.gz WQQPUYARVVBTIS-OAHLLOKOSA-N 0 3 308.853 4.288 20 0 DIADHN CCOC(=O)C1(N(C)CC[C@@H](C)c2ccccc2)CCCCC1 ZINC001560662468 1060489698 /nfs/dbraw/zinc/48/96/98/1060489698.db2.gz ZUQBTJSXSOFDHR-QGZVFWFLSA-N 0 3 317.473 4.378 20 0 DIADHN CCCN(Cc1cccnc1N)[C@@H](C)c1cc2ccccc2o1 ZINC001560663576 1060491080 /nfs/dbraw/zinc/49/10/80/1060491080.db2.gz OYDDURDAZOTJST-AWEZNQCLSA-N 0 3 309.413 4.383 20 0 DIADHN C[C@@H]1CN(C[C@@H]2C[C@@H]2c2ccccc2)[C@@H](c2ccccc2)CO1 ZINC001560668638 1060497354 /nfs/dbraw/zinc/49/73/54/1060497354.db2.gz QMJXULPHGPBJOZ-UMSONDCASA-N 0 3 307.437 4.252 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1cc2ccncc2s1 ZINC001560668663 1060498186 /nfs/dbraw/zinc/49/81/86/1060498186.db2.gz QVRKNMOMPRFLLN-UHFFFAOYSA-N 0 3 307.422 4.410 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@@H]1CCC[C@@H](CC)C1 ZINC001560669628 1060499270 /nfs/dbraw/zinc/49/92/70/1060499270.db2.gz VLAXYQVOXWIYIG-IAGOWNOFSA-N 0 3 316.489 4.336 20 0 DIADHN Fc1ccccc1CN(Cc1cccc2n[nH]cc21)C1CCC1 ZINC001560670900 1060500229 /nfs/dbraw/zinc/50/02/29/1060500229.db2.gz PMNZGGAQCUFAFV-UHFFFAOYSA-N 0 3 309.388 4.257 20 0 DIADHN CN(C)c1cc(F)cc(CN2CC[C@H](c3cccc(F)c3)C2)c1 ZINC001249955746 1060500162 /nfs/dbraw/zinc/50/01/62/1060500162.db2.gz SYUJWWOGLRPMHK-INIZCTEOSA-N 0 3 316.395 4.020 20 0 DIADHN CN(C)c1cc(F)cc(CN2CC[C@@H](c3ccccc3F)C2)c1 ZINC001249952117 1060500457 /nfs/dbraw/zinc/50/04/57/1060500457.db2.gz BMYPCCVFXDRKOK-OAHLLOKOSA-N 0 3 316.395 4.020 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(OC(C)C)c2)c(C)c1 ZINC001560674927 1060509650 /nfs/dbraw/zinc/50/96/50/1060509650.db2.gz ANDISYPNZBZNCP-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN CC[C@@H]1CCCN(Cc2ccnc(OCc3ccccc3)c2)C1 ZINC001249976062 1060516680 /nfs/dbraw/zinc/51/66/80/1060516680.db2.gz OIWYVNHXTDPFHR-QGZVFWFLSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2cc(CN3CCC4(CCC4)C3)ccn2)cc1 ZINC001249976019 1060517355 /nfs/dbraw/zinc/51/73/55/1060517355.db2.gz MRUNGEGQPCFPET-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN COc1cccc(CN(Cc2cnc(F)cc2C)CC(C)C)c1 ZINC001560678471 1060519259 /nfs/dbraw/zinc/51/92/59/1060519259.db2.gz FCCXDTSQHXWEJC-UHFFFAOYSA-N 0 3 316.420 4.196 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CC[C@@H](C)[C@H](C)C1 ZINC001560685893 1060530283 /nfs/dbraw/zinc/53/02/83/1060530283.db2.gz YXPIDPBERRXASG-VXGBXAGGSA-N 0 3 303.475 4.060 20 0 DIADHN Clc1cccc(CCN2CCCO[C@@H](c3ccccc3)C2)c1 ZINC001560686922 1060530551 /nfs/dbraw/zinc/53/05/51/1060530551.db2.gz LIYOLDRHPANVEX-LJQANCHMSA-N 0 3 315.844 4.346 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2nc3ccc(Cl)cc3n2C)C1 ZINC001560687668 1060538234 /nfs/dbraw/zinc/53/82/34/1060538234.db2.gz NOGMVDSAVXAJCJ-OLZOCXBDSA-N 0 3 305.853 4.237 20 0 DIADHN C[C@H]1CN(Cc2cccc3ccoc32)C[C@@H](c2ccccc2)O1 ZINC001560699053 1060549096 /nfs/dbraw/zinc/54/90/96/1060549096.db2.gz HNAZCFUGXLBIRB-KXBFYZLASA-N 0 3 307.393 4.395 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CC[C@H](c2ccco2)C1 ZINC001560697322 1060550177 /nfs/dbraw/zinc/55/01/77/1060550177.db2.gz VJFRHEJTRHHIAO-ZDUSSCGKSA-N 0 3 305.805 4.321 20 0 DIADHN CCOc1ccc(Cl)cc1CN1CC[C@@H](c2ccco2)C1 ZINC001560697321 1060550567 /nfs/dbraw/zinc/55/05/67/1060550567.db2.gz VJFRHEJTRHHIAO-CYBMUJFWSA-N 0 3 305.805 4.321 20 0 DIADHN Fc1ccc2ccc(CN3CC[C@H](c4ccco4)C3)nc2c1F ZINC001560697314 1060551825 /nfs/dbraw/zinc/55/18/25/1060551825.db2.gz VDXLSTPOVJJTDG-ZDUSSCGKSA-N 0 3 314.335 4.096 20 0 DIADHN CSCc1ccc(CN(C)[C@@H](C)c2cccc(O)c2)cc1 ZINC001560700522 1060555623 /nfs/dbraw/zinc/55/56/23/1060555623.db2.gz MSSNFNLAKRAACW-AWEZNQCLSA-N 0 3 301.455 4.448 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2nnn(-c3ccccc3)c2C2CC2)C1 ZINC001560705742 1060563225 /nfs/dbraw/zinc/56/32/25/1060563225.db2.gz QEPABQXBQKULHT-MRXNPFEDSA-N 0 3 324.472 4.013 20 0 DIADHN Fc1ccc(/C=C\CN2CC[C@@H](COc3ccccc3)C2)cc1 ZINC001560706189 1060563540 /nfs/dbraw/zinc/56/35/40/1060563540.db2.gz CRGRQSIBJZTHAU-PYTPGESOSA-N 0 3 311.400 4.240 20 0 DIADHN CNc1ccc(C)cc1CN(C)Cc1ccccc1N1CCCC1 ZINC001560713231 1060571647 /nfs/dbraw/zinc/57/16/47/1060571647.db2.gz KQCHZZFBHXLBIO-UHFFFAOYSA-N 0 3 323.484 4.269 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001560716889 1060572421 /nfs/dbraw/zinc/57/24/21/1060572421.db2.gz SHVOQDNRTDABNF-LLVKDONJSA-N 0 3 315.804 4.115 20 0 DIADHN COc1cccc(CN(C)[C@H](C)c2nccc3ccccc32)c1 ZINC001560720366 1060580458 /nfs/dbraw/zinc/58/04/58/1060580458.db2.gz SVFVUNYYUDFZBP-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(CC(F)(F)F)cc2)cc1 ZINC001560719964 1060583199 /nfs/dbraw/zinc/58/31/99/1060583199.db2.gz DJLCXQQMCNNDEJ-UHFFFAOYSA-N 0 3 323.358 4.432 20 0 DIADHN CCOc1cc(OC)ccc1CN(C)[C@@H]1CCc2ccccc21 ZINC001250071063 1060585658 /nfs/dbraw/zinc/58/56/58/1060585658.db2.gz FWSTVMGVZKJCMM-LJQANCHMSA-N 0 3 311.425 4.213 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCc2ccc(F)c(F)c2)cc1 ZINC001560726083 1060588257 /nfs/dbraw/zinc/58/82/57/1060588257.db2.gz BYLMZPDAGVTGDL-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN CC[C@@H](CN1CCN(Cc2cccs2)CC1)c1ccccc1 ZINC001560725808 1060590199 /nfs/dbraw/zinc/59/01/99/1060590199.db2.gz QNQABUUEPSGLND-KRWDZBQOSA-N 0 3 314.498 4.060 20 0 DIADHN c1cc(CN(C2CC2)C2CCCC2)n(CCc2ccccc2)n1 ZINC001560729510 1060597851 /nfs/dbraw/zinc/59/78/51/1060597851.db2.gz AJVOOIJERCADJX-UHFFFAOYSA-N 0 3 309.457 4.033 20 0 DIADHN CCn1ccc(CN(C)Cc2ccc(CC(F)(F)F)cc2)c1 ZINC001560757965 1060624417 /nfs/dbraw/zinc/62/44/17/1060624417.db2.gz HYAQTFZYFOLBQM-UHFFFAOYSA-N 0 3 310.363 4.245 20 0 DIADHN COc1cccc2c(CN3CC(C)(C)OC(C)(C)C3)cccc12 ZINC001560762453 1060627616 /nfs/dbraw/zinc/62/76/16/1060627616.db2.gz VVVSTOJZGWZEMM-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN C[C@@H](CNCc1cc(C2CC2)no1)c1ccc(C(F)(F)F)cc1 ZINC001560764441 1060629111 /nfs/dbraw/zinc/62/91/11/1060629111.db2.gz KHGYYCJMOOLOST-NSHDSACASA-N 0 3 324.346 4.464 20 0 DIADHN CC[C@@](C)(O)CN(Cc1cccn1C(F)F)Cc1ccccc1 ZINC001560763757 1060630280 /nfs/dbraw/zinc/63/02/80/1060630280.db2.gz OLEXALFLLBESBJ-GOSISDBHSA-N 0 3 322.399 4.046 20 0 DIADHN COc1cc(CN2CCCCC[C@@H]2C)cc(C(F)(F)F)c1 ZINC001560769186 1060634610 /nfs/dbraw/zinc/63/46/10/1060634610.db2.gz UBRWMYGZZUJQGN-LBPRGKRZSA-N 0 3 301.352 4.479 20 0 DIADHN O=C(Cc1cccc(CN2CCCCC2)c1)c1ccc(F)cc1 ZINC001250135260 1060638298 /nfs/dbraw/zinc/63/82/98/1060638298.db2.gz ZBUBVGHECADJCC-UHFFFAOYSA-N 0 3 311.400 4.237 20 0 DIADHN CC(C)(CNCc1cc(C2CC2)no1)c1cccc(Cl)c1F ZINC001560775039 1060638475 /nfs/dbraw/zinc/63/84/75/1060638475.db2.gz ZBUPRYDYCGNHKQ-UHFFFAOYSA-N 0 3 322.811 4.412 20 0 DIADHN CCSc1cccc(CN2CCC[C@H](OCC3CC3)C2)c1 ZINC001560775423 1060639049 /nfs/dbraw/zinc/63/90/49/1060639049.db2.gz JHZHAGLWBYZYQK-KRWDZBQOSA-N 0 3 305.487 4.190 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1cc(OC)cc(C(F)(F)F)c1 ZINC001560776584 1060641212 /nfs/dbraw/zinc/64/12/12/1060641212.db2.gz NFYWRSRRZMJLFA-ABAIWWIYSA-N 0 3 301.352 4.334 20 0 DIADHN CSCCCN(C)Cc1cn(-c2ccccc2Cl)nc1C ZINC001560781082 1060643160 /nfs/dbraw/zinc/64/31/60/1060643160.db2.gz UZTUXLDWSISBSB-UHFFFAOYSA-N 0 3 323.893 4.019 20 0 DIADHN Cc1nc(Cl)ccc1Nc1cccc2c1OCC[C@H]2N(C)C ZINC001250159645 1060651393 /nfs/dbraw/zinc/65/13/93/1060651393.db2.gz VTSUCLUOPOTSFY-OAHLLOKOSA-N 0 3 317.820 4.172 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC(c2ccccn2)CC1 ZINC001250181762 1060661740 /nfs/dbraw/zinc/66/17/40/1060661740.db2.gz MKQRJWFHKDMECG-UHFFFAOYSA-N 0 3 310.441 4.168 20 0 DIADHN CCOc1cc(C)ccc1CN1CC[C@@H](Oc2ccccc2)C1 ZINC001250181775 1060661896 /nfs/dbraw/zinc/66/18/96/1060661896.db2.gz MVUOEYPYBACCJA-LJQANCHMSA-N 0 3 311.425 4.047 20 0 DIADHN CCOc1cc(C)ccc1CN(C)CCc1ccccc1OC ZINC001250181786 1060661975 /nfs/dbraw/zinc/66/19/75/1060661975.db2.gz NFXKDPYZHSSKDM-UHFFFAOYSA-N 0 3 313.441 4.077 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC2(CC[C@@H](F)C2)CC1 ZINC001250180459 1060663063 /nfs/dbraw/zinc/66/30/63/1060663063.db2.gz PQNXMMZLFJLLEV-QGZVFWFLSA-N 0 3 305.437 4.498 20 0 DIADHN Cc1ccc(CN(C)CCc2noc(C(C)C)n2)c(C)c1Cl ZINC001250190924 1060668610 /nfs/dbraw/zinc/66/86/10/1060668610.db2.gz VXKUKWLGNTWWCE-UHFFFAOYSA-N 0 3 321.852 4.138 20 0 DIADHN CC[C@@H]1CN(CC)CCN1Cc1ccc(Cl)c(C)c1Cl ZINC001560832213 1060688424 /nfs/dbraw/zinc/68/84/24/1060688424.db2.gz UOMISFZOSGVBTR-CQSZACIVSA-N 0 3 315.288 4.218 20 0 DIADHN Cc1ccc(CN2CCC(c3ccn(C)n3)CC2)c(C)c1Cl ZINC001250203248 1060682606 /nfs/dbraw/zinc/68/26/06/1060682606.db2.gz DWGKRRZZHXMUME-UHFFFAOYSA-N 0 3 317.864 4.070 20 0 DIADHN Cc1nnc([C@@H]2CCCN(Cc3ccc(C)c(Cl)c3C)C2)o1 ZINC001250203651 1060682667 /nfs/dbraw/zinc/68/26/67/1060682667.db2.gz JZOFMEQELPNERR-OAHLLOKOSA-N 0 3 319.836 4.028 20 0 DIADHN C[C@H](Cc1cccc(O)c1)NCc1c(F)ccc(F)c1Cl ZINC001560831285 1060688827 /nfs/dbraw/zinc/68/88/27/1060688827.db2.gz HWVGUAFETDKMHI-SNVBAGLBSA-N 0 3 311.759 4.045 20 0 DIADHN C[C@@H](Cc1coc2ccccc12)NCc1cc(F)cnc1Cl ZINC001560849607 1060708418 /nfs/dbraw/zinc/70/84/18/1060708418.db2.gz YCMGCNFERPKEIC-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN CC(C)[C@H]1N(Cc2cn(C)nc2C2CCCCC2)CC12CCC2 ZINC001560857818 1060714146 /nfs/dbraw/zinc/71/41/46/1060714146.db2.gz GOLZXLYUBNIGNG-LJQANCHMSA-N 0 3 315.505 4.478 20 0 DIADHN CN(C)Cc1ccccc1CC(=O)c1ccc(C(F)(F)F)cc1 ZINC001250236617 1060716300 /nfs/dbraw/zinc/71/63/00/1060716300.db2.gz CPOSLBPNTBGPJE-UHFFFAOYSA-N 0 3 321.342 4.192 20 0 DIADHN C[C@H](CN1CC2(CCC2)[C@H]1[C@@H]1CCCO1)c1cccc(Cl)c1 ZINC001560861007 1060719812 /nfs/dbraw/zinc/71/98/12/1060719812.db2.gz BMLFJHVLZJBYTC-FHLIZLRMSA-N 0 3 319.876 4.477 20 0 DIADHN CCOC(=O)c1ccc(CN(C(C)C)[C@H](C)c2ccccc2)o1 ZINC001560863740 1060723034 /nfs/dbraw/zinc/72/30/34/1060723034.db2.gz BESNNPBIPGJQAT-OAHLLOKOSA-N 0 3 315.413 4.428 20 0 DIADHN COC(=O)c1oc(CN2CCC(C)(CC(C)C)CC2)cc1C ZINC001560870217 1060731448 /nfs/dbraw/zinc/73/14/48/1060731448.db2.gz BPYRYJDOOKMOFG-UHFFFAOYSA-N 0 3 307.434 4.023 20 0 DIADHN CC(C)[C@@H]1N(Cc2c(C3CCC3)cnn2C)CC12CCCCC2 ZINC001560878585 1060737145 /nfs/dbraw/zinc/73/71/45/1060737145.db2.gz ZYJQLERPKMMQFJ-IBGZPJMESA-N 0 3 315.505 4.478 20 0 DIADHN FC1(F)[C@H]2CCN(Cc3cc4nc(Cl)ccc4s3)C[C@H]21 ZINC001560877269 1060738867 /nfs/dbraw/zinc/73/88/67/1060738867.db2.gz OMTWRGFRPQLMDW-VHSXEESVSA-N 0 3 314.788 4.037 20 0 DIADHN CO[C@@H]1C[C@@H](N[C@H](C)c2ccc(-c3ccccc3)cn2)C12CCC2 ZINC001560878051 1060739605 /nfs/dbraw/zinc/73/96/05/1060739605.db2.gz KWJGVSHPIVUSMT-CDHQVMDDSA-N 0 3 322.452 4.357 20 0 DIADHN COc1cccnc1CNCC(c1ccccc1)c1ccccc1 ZINC001560886752 1060748703 /nfs/dbraw/zinc/74/87/03/1060748703.db2.gz AMXUJRIJYJOFTP-UHFFFAOYSA-N 0 3 318.420 4.012 20 0 DIADHN CC(C)COc1ccccc1CN(C)C1CC(OC(C)(C)C)C1 ZINC001560892034 1060754758 /nfs/dbraw/zinc/75/47/58/1060754758.db2.gz BQQPGRASSKFFNZ-UHFFFAOYSA-N 0 3 319.489 4.499 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@@H]1CCc2cccc(Cl)c2C1 ZINC001560897433 1060760355 /nfs/dbraw/zinc/76/03/55/1060760355.db2.gz AORCLZOAJZHLLW-MNEFBYGVSA-N 0 3 315.819 4.424 20 0 DIADHN COc1ccc(F)c(Nc2ccc3c(c2)CN(C)CC3)c1Cl ZINC001250311211 1060773961 /nfs/dbraw/zinc/77/39/61/1060773961.db2.gz LGQABWZKRPBTJJ-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN CC(C)(C)OC(=O)CCc1cccc(CN2CCCCC2)c1F ZINC001250330456 1060783823 /nfs/dbraw/zinc/78/38/23/1060783823.db2.gz PUWKAKDRARAWEJ-UHFFFAOYSA-N 0 3 321.436 4.086 20 0 DIADHN CCc1cccc2cc(CN3CC(COC)(C4CCC4)C3)oc21 ZINC001560921868 1060785258 /nfs/dbraw/zinc/78/52/58/1060785258.db2.gz AUQVSZLEAFMULN-UHFFFAOYSA-N 0 3 313.441 4.244 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CCC2(CCCCC2)CC1 ZINC001560923306 1060789271 /nfs/dbraw/zinc/78/92/71/1060789271.db2.gz YBHYNOJDGCBSJU-UHFFFAOYSA-N 0 3 307.869 4.487 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCCC(C)(C)C2)C[C@@H](CC(F)(F)F)O1 ZINC001560941501 1060805130 /nfs/dbraw/zinc/80/51/30/1060805130.db2.gz QITYBNSQTMHSRT-HZSPNIEDSA-N 0 3 307.400 4.245 20 0 DIADHN c1cc(CC2CN(CCSCc3ccccc3)C2)cs1 ZINC001560946686 1060814301 /nfs/dbraw/zinc/81/43/01/1060814301.db2.gz XKDUGOFIOPAORF-UHFFFAOYSA-N 0 3 303.496 4.156 20 0 DIADHN CCOc1cc(CN[C@@]2(c3ccccc3)CC2(C)C)ccc1O ZINC001560947576 1060814492 /nfs/dbraw/zinc/81/44/92/1060814492.db2.gz BMNXWZYFBJYTNK-HXUWFJFHSA-N 0 3 311.425 4.206 20 0 DIADHN C[C@H](CN1CCc2c(O)cccc2C1)c1cccc(Cl)c1 ZINC001560960681 1060824736 /nfs/dbraw/zinc/82/47/36/1060824736.db2.gz WBAFOHJIQCSUAL-CYBMUJFWSA-N 0 3 301.817 4.207 20 0 DIADHN FCC1(CF)CC(NCc2cc(Cl)cc(Cl)c2F)C1 ZINC001560971727 1060834423 /nfs/dbraw/zinc/83/44/23/1060834423.db2.gz PGMWPGNXNOXLAT-UHFFFAOYSA-N 0 3 312.162 4.310 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@@H]2CSC[C@@H]2C1 ZINC001560972270 1060838490 /nfs/dbraw/zinc/83/84/90/1060838490.db2.gz IEIDBFNTKATCPN-AEFFLSMTSA-N 0 3 313.466 4.033 20 0 DIADHN Cc1ccc(CN[C@H]2C[C@H](OC(C)(C)C)C2(C)C)c(Cl)n1 ZINC001560986356 1060850142 /nfs/dbraw/zinc/85/01/42/1060850142.db2.gz CEOHTDLGGQQEHO-KBPBESRZSA-N 0 3 310.869 4.115 20 0 DIADHN CC[C@H](NCc1ccc(Cl)cc1F)[C@@H](O)c1ccccc1 ZINC001560999550 1060858824 /nfs/dbraw/zinc/85/88/24/1060858824.db2.gz XWOAEXBRJPYATD-IRXDYDNUSA-N 0 3 307.796 4.081 20 0 DIADHN COc1cc(CN(C)C/C=C/c2ccccc2)cc2c1OCC=C2 ZINC001250511164 1060863707 /nfs/dbraw/zinc/86/37/07/1060863707.db2.gz CGAXFAYIXBCBLB-UXBLZVDNSA-N 0 3 321.420 4.246 20 0 DIADHN CCN(Cc1cccs1)Cc1cc2c(c(OC)c1)OCC=C2 ZINC001250512285 1060864825 /nfs/dbraw/zinc/86/48/25/1060864825.db2.gz RPZUALBLBYHQNF-UHFFFAOYSA-N 0 3 315.438 4.184 20 0 DIADHN COc1cccc(CN2CC[C@@H]3CCC[C@H]3C2)c1OCC1CC1 ZINC001250525715 1060872146 /nfs/dbraw/zinc/87/21/46/1060872146.db2.gz VTJVFIYRDNZAGS-IRXDYDNUSA-N 0 3 315.457 4.106 20 0 DIADHN C[C@H]1CCN(Cc2ccc(Oc3cccc(F)c3)cc2)CCO1 ZINC001561016332 1060873096 /nfs/dbraw/zinc/87/30/96/1060873096.db2.gz UXQLQPUALGRPEM-HNNXBMFYSA-N 0 3 315.388 4.229 20 0 DIADHN C[C@H](CCc1ccc(Cl)s1)N[C@@H](c1ncccn1)C1CC1 ZINC001561016979 1060873606 /nfs/dbraw/zinc/87/36/06/1060873606.db2.gz MEZIWGAONBNZHR-IAQYHMDHSA-N 0 3 321.877 4.254 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@H](CO)c1cc(F)ccc1F ZINC001561047679 1060898458 /nfs/dbraw/zinc/89/84/58/1060898458.db2.gz WNJFHRHGQBBNGW-MAUKXSAKSA-N 0 3 311.416 4.337 20 0 DIADHN COC(C)(C)CCC[C@@H](C)Cc1nc2ccc(N(C)C)cc2[nH]1 ZINC001250568229 1060903833 /nfs/dbraw/zinc/90/38/33/1060903833.db2.gz UMNZOFWTZKTXTN-CQSZACIVSA-N 0 3 317.477 4.403 20 0 DIADHN CCCCC[C@@H](C)N1CCN(c2nc3ccccc3s2)CC1 ZINC001561056675 1060905043 /nfs/dbraw/zinc/90/50/43/1060905043.db2.gz STUBSNKMRCGHKR-OAHLLOKOSA-N 0 3 317.502 4.387 20 0 DIADHN COc1ccc2nc([C@@H]3CCCCN3Cc3ccccc3)[nH]c2c1 ZINC001250572176 1060909931 /nfs/dbraw/zinc/90/99/31/1060909931.db2.gz KZOQFFULCKVZCE-IBGZPJMESA-N 0 3 321.424 4.299 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H](C)CC[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC001462121492 1060943738 /nfs/dbraw/zinc/94/37/38/1060943738.db2.gz USXHVBZRJNQYSP-OQMKEHIESA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2ccc(Cl)cc2F)CS1 ZINC001462129756 1060952528 /nfs/dbraw/zinc/95/25/28/1060952528.db2.gz WCSZPFHIZPPWAA-OCCSQVGLSA-N 0 3 301.858 4.489 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2ccc3c(n2)CCCC3)C1(C)C ZINC001462155464 1060977125 /nfs/dbraw/zinc/97/71/25/1060977125.db2.gz OPIBTNKCBRFJJL-RTBURBONSA-N 0 3 316.489 4.034 20 0 DIADHN CC(C)c1ccc(NC(=O)N[C@H]2CCN(C)[C@H]2C)c(C(C)C)c1 ZINC001562127132 1060981170 /nfs/dbraw/zinc/98/11/70/1060981170.db2.gz ZPBZKNLVCIFHGX-YOEHRIQHSA-N 0 3 317.477 4.148 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1CC[C@H](Cc2ccccc2)[C@H]1C ZINC001473215114 1060986088 /nfs/dbraw/zinc/98/60/88/1060986088.db2.gz FYPHHVWZQHLXGI-KBAYOESNSA-N 0 3 317.473 4.060 20 0 DIADHN C[C@@H]1N(CCCSc2ccccc2Cl)CCOC1(C)C ZINC001473222515 1061000950 /nfs/dbraw/zinc/00/09/50/1061000950.db2.gz JXDPHWXNIHJJQF-ZDUSSCGKSA-N 0 3 313.894 4.322 20 0 DIADHN CCc1cnc(CN2C[C@@H](c3ccc(C)cc3)C[C@@H]2C)s1 ZINC001462184736 1061005038 /nfs/dbraw/zinc/00/50/38/1061005038.db2.gz PZCBVGFEHJSYQL-HOCLYGCPSA-N 0 3 300.471 4.392 20 0 DIADHN CC1(C)CN(Cc2ccc(Sc3ccccc3)cc2)C[C@@H]1O ZINC001462188442 1061008079 /nfs/dbraw/zinc/00/80/79/1061008079.db2.gz FWVUKGYRZLNZKY-SFHVURJKSA-N 0 3 313.466 4.041 20 0 DIADHN Cc1ccc(CN[C@@H](Cn2cccn2)c2ccccc2)cc1C ZINC001473228401 1061009859 /nfs/dbraw/zinc/00/98/59/1061009859.db2.gz RCOGOZDFGPZXQW-FQEVSTJZSA-N 0 3 305.425 4.031 20 0 DIADHN CC1(C)CN(Cc2ccc(Sc3ccccc3)cc2)C[C@H]1O ZINC001462188441 1061009864 /nfs/dbraw/zinc/00/98/64/1061009864.db2.gz FWVUKGYRZLNZKY-GOSISDBHSA-N 0 3 313.466 4.041 20 0 DIADHN Cc1cnc(N2CCN(CCC3C[C@H](C)C[C@@H](C)C3)CC2)s1 ZINC001473239170 1061014757 /nfs/dbraw/zinc/01/47/57/1061014757.db2.gz UAYNDXNFKAFEOS-HUUCEWRRSA-N 0 3 321.534 4.036 20 0 DIADHN CCOC(=O)C1(N(C)CCCSC(C)(C)C)CCCCC1 ZINC001473237773 1061016584 /nfs/dbraw/zinc/01/65/84/1061016584.db2.gz MTRCHJHCJCXNJJ-UHFFFAOYSA-N 0 3 315.523 4.106 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001473243514 1061017717 /nfs/dbraw/zinc/01/77/17/1061017717.db2.gz CXIHZFJKIGFQHG-CRAIPNDOSA-N 0 3 316.489 4.451 20 0 DIADHN Oc1ccc2c(c1)CN(CCCc1ccc(Cl)cc1)CC2 ZINC001473243134 1061018528 /nfs/dbraw/zinc/01/85/28/1061018528.db2.gz BEFHXWQUCIZGCP-UHFFFAOYSA-N 0 3 301.817 4.037 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cc(C)nc(Cl)c2)C1(CC)CC ZINC001473244399 1061020812 /nfs/dbraw/zinc/02/08/12/1061020812.db2.gz RZWSZTLAASEBSY-HZPDHXFCSA-N 0 3 324.896 4.459 20 0 DIADHN CCC1(C)CCC(NCc2ccn(Cc3ccccc3)n2)CC1 ZINC001462215480 1061031623 /nfs/dbraw/zinc/03/16/23/1061031623.db2.gz NZBXOZDQERPTCD-UHFFFAOYSA-N 0 3 311.473 4.380 20 0 DIADHN C[C@H](CC1CCCCC1)CN1CCOc2cc(O)ccc2C1 ZINC001462224647 1061042114 /nfs/dbraw/zinc/04/21/14/1061042114.db2.gz XDTROGOAHVHFRB-OAHLLOKOSA-N 0 3 303.446 4.193 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCC[C@@H]2CCC(C)C)c1 ZINC001473271821 1061046092 /nfs/dbraw/zinc/04/60/92/1061046092.db2.gz CAJYIQNSIHKYCZ-MRXNPFEDSA-N 0 3 307.409 4.013 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1cncc(Br)c1 ZINC001473273022 1061046582 /nfs/dbraw/zinc/04/65/82/1061046582.db2.gz MJWBVSDHWOEPDP-DOMZBBRYSA-N 0 3 311.267 4.245 20 0 DIADHN CSC[C@@H]1CCCN1CCc1cccc(Cl)c1Cl ZINC001473276062 1061048930 /nfs/dbraw/zinc/04/89/30/1061048930.db2.gz UWYDOMIOBIVQTQ-LBPRGKRZSA-N 0 3 304.286 4.363 20 0 DIADHN CCOc1c(Cl)cccc1CN1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC001462246525 1061051198 /nfs/dbraw/zinc/05/11/98/1061051198.db2.gz CCQSOMXGJRFWBY-WBVHZDCISA-N 0 3 305.849 4.359 20 0 DIADHN CC[C@@]1(C)CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001473279043 1061052389 /nfs/dbraw/zinc/05/23/89/1061052389.db2.gz QXADSBWKUDGVTR-GJZGRUSLSA-N 0 3 302.245 4.149 20 0 DIADHN CC[C@H](CN1CCc2ccccc2[C@H](c2ccccc2)[C@@H]1C)OC ZINC001473286415 1061054192 /nfs/dbraw/zinc/05/41/92/1061054192.db2.gz ITTNBXWPFDJNKR-WEYGHZABSA-N 0 3 323.480 4.490 20 0 DIADHN CC(C)(C)OC1CC(N[C@H]2CCc3cc(F)c(F)c(F)c32)C1 ZINC001462253971 1061055804 /nfs/dbraw/zinc/05/58/04/1061055804.db2.gz KFYBULXTSBNSPM-XIVSLSHWSA-N 0 3 313.363 4.027 20 0 DIADHN CC(C)C[C@@H](C)CNc1cc(N(C)C)c(Br)cn1 ZINC001462255386 1061056948 /nfs/dbraw/zinc/05/69/48/1061056948.db2.gz RNRZLEIDIOEVNM-LLVKDONJSA-N 0 3 314.271 4.004 20 0 DIADHN Cc1ccc(C2(CNCc3nc(Cl)cs3)CC2)c(C)c1 ZINC001462274793 1061067966 /nfs/dbraw/zinc/06/79/66/1061067966.db2.gz BYGOZUHRWHQTIY-UHFFFAOYSA-N 0 3 306.862 4.235 20 0 DIADHN Cc1cc(C)cc(NC(=O)CN[C@]2(C)CCCc3ccccc32)c1 ZINC001473304542 1061068652 /nfs/dbraw/zinc/06/86/52/1061068652.db2.gz OVHFJKOJDSKRHB-OAQYLSRUSA-N 0 3 322.452 4.083 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(F)c3cccnc23)cc1 ZINC001473327482 1061090478 /nfs/dbraw/zinc/09/04/78/1061090478.db2.gz UVKZTNUZJTVITJ-CYBMUJFWSA-N 0 3 310.372 4.233 20 0 DIADHN CCN1C[C@@H](C)N(Cc2c(Cl)oc3ccccc32)C[C@@H]1C ZINC001473341981 1061100779 /nfs/dbraw/zinc/10/07/79/1061100779.db2.gz KFZWHQXVNCZWQO-QWHCGFSZSA-N 0 3 306.837 4.001 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](C)C(=O)N[C@H](C)c2ccccc2)c1 ZINC001473349781 1061104597 /nfs/dbraw/zinc/10/45/97/1061104597.db2.gz MJEMSJHDZSKAAR-SQNIBIBYSA-N 0 3 324.468 4.220 20 0 DIADHN CCc1ccc(CN2CCN(c3ccc(C)c(C)c3)CC2)cc1 ZINC001473348834 1061106141 /nfs/dbraw/zinc/10/61/41/1061106141.db2.gz FILXPXJBBALGAZ-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN Cc1ccc([C@@H](CC(F)(F)F)NCCc2ccns2)cc1 ZINC001473353869 1061109174 /nfs/dbraw/zinc/10/91/74/1061109174.db2.gz HZSSRXFYKPNXPL-CQSZACIVSA-N 0 3 314.376 4.277 20 0 DIADHN CCN(Cc1ccncc1)C[C@@H]1CCC2(CCCCCC2)O1 ZINC001473352987 1061109567 /nfs/dbraw/zinc/10/95/67/1061109567.db2.gz QQOJYLFLZPTJSQ-SFHVURJKSA-N 0 3 302.462 4.176 20 0 DIADHN CC1(C)CN(Cc2ccc(C(F)(F)F)cn2)CC(C)(C)C1 ZINC001473356627 1061111848 /nfs/dbraw/zinc/11/18/48/1061111848.db2.gz CXPYLNZNPJQCFO-UHFFFAOYSA-N 0 3 300.368 4.359 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1Cc1ccc2nccnc2c1 ZINC001462337107 1061112151 /nfs/dbraw/zinc/11/21/51/1061112151.db2.gz BBODSMZAYNARQF-HXUWFJFHSA-N 0 3 321.399 4.414 20 0 DIADHN CCOc1ccc(-c2nc(CN[C@@H]3CCC[C@@H]3C)co2)cc1 ZINC001473380821 1061124851 /nfs/dbraw/zinc/12/48/51/1061124851.db2.gz KFYQPSFNWJFEEC-SUMWQHHRSA-N 0 3 300.402 4.019 20 0 DIADHN CC(C)Oc1ccnc(CN(C)CC2(c3ccccc3)CC2)c1 ZINC001473385150 1061127965 /nfs/dbraw/zinc/12/79/65/1061127965.db2.gz UNVPCRMWWRJOIH-UHFFFAOYSA-N 0 3 310.441 4.032 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1nc(-c2ccccc2F)no1 ZINC001473389475 1061129404 /nfs/dbraw/zinc/12/94/04/1061129404.db2.gz UEUBKRHJIYLNHZ-CZUORRHYSA-N 0 3 317.408 4.276 20 0 DIADHN CO[C@H](CN1CC[C@@H](C(F)(F)F)C1(C)C)c1ccc(F)cc1 ZINC001473386620 1061130710 /nfs/dbraw/zinc/13/07/10/1061130710.db2.gz XQDUAXFTTKHZBE-ZIAGYGMSSA-N 0 3 319.342 4.176 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2c(F)c(F)c(F)c(F)c2F)[C@@H]1C ZINC001473403994 1061138647 /nfs/dbraw/zinc/13/86/47/1061138647.db2.gz KDBUCINDWNKCGT-NQMVMOMDSA-N 0 3 307.306 4.296 20 0 DIADHN C[C@@H]1CCN(Cc2noc3c2CCCC3)CC12CCCCC2 ZINC001473406743 1061141729 /nfs/dbraw/zinc/14/17/29/1061141729.db2.gz WTEAVOHFECFEJA-OAHLLOKOSA-N 0 3 302.462 4.346 20 0 DIADHN CC(C)COc1cccc(CN2CCC[C@@H](OCC3CC3)C2)c1 ZINC001473411022 1061145322 /nfs/dbraw/zinc/14/53/22/1061145322.db2.gz ZHMKWLWGCQASDH-HXUWFJFHSA-N 0 3 317.473 4.112 20 0 DIADHN CC(C)c1cccc(CN[C@@]2(CO)CCCc3ccccc32)c1 ZINC001473417257 1061150064 /nfs/dbraw/zinc/15/00/64/1061150064.db2.gz ZGJGGIWUPRMQEH-OAQYLSRUSA-N 0 3 309.453 4.124 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H]2CCc3ccccc3OC2)c1 ZINC001473421048 1061151340 /nfs/dbraw/zinc/15/13/40/1061151340.db2.gz GNACTZFDHIQTDR-OAHLLOKOSA-N 0 3 322.235 4.477 20 0 DIADHN C=Cn1cc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)cn1 ZINC001462403587 1061152476 /nfs/dbraw/zinc/15/24/76/1061152476.db2.gz ZRQCLJWXDDDTDG-SFHVURJKSA-N 0 3 315.848 4.234 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CCC[C@@H](C(C)(C)C)C2)c(C)c1 ZINC001473422409 1061152749 /nfs/dbraw/zinc/15/27/49/1061152749.db2.gz RCRDLYBHIPWBEE-QGZVFWFLSA-N 0 3 316.489 4.308 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@@H]1CC(C)(C)CO1 ZINC001473429004 1061155331 /nfs/dbraw/zinc/15/53/31/1061155331.db2.gz LPKVJPZOXHKJOZ-DZGCQCFKSA-N 0 3 315.379 4.393 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(Cc2nccc3c2CCCC3)C1 ZINC001462414571 1061158987 /nfs/dbraw/zinc/15/89/87/1061158987.db2.gz MZRZPIIXBWNNCJ-NRFANRHFSA-N 0 3 324.443 4.263 20 0 DIADHN COCCOc1ccc(CN2CCC(C3CCCCC3)CC2)o1 ZINC001462415981 1061161120 /nfs/dbraw/zinc/16/11/20/1061161120.db2.gz UCYDFABEXCCHFV-UHFFFAOYSA-N 0 3 321.461 4.097 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC(C)(C)CC(C)(C)C1 ZINC001462420102 1061162660 /nfs/dbraw/zinc/16/26/60/1061162660.db2.gz YFKOOUWTWITDOI-UHFFFAOYSA-N 0 3 323.452 4.491 20 0 DIADHN COC[C@H](Cc1ccccc1)N(C)Cc1c(C)cccc1Cl ZINC001473449629 1061165379 /nfs/dbraw/zinc/16/53/79/1061165379.db2.gz SAQXKNLQMYZHLB-KRWDZBQOSA-N 0 3 317.860 4.338 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)CC1(Br)CC1 ZINC001473448850 1061165480 /nfs/dbraw/zinc/16/54/80/1061165480.db2.gz LSKVFFYKSVSKBB-AWEZNQCLSA-N 0 3 312.251 4.006 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1ccncc1C ZINC001473461567 1061172050 /nfs/dbraw/zinc/17/20/50/1061172050.db2.gz AGHNRCKMBRBADD-KXBFYZLASA-N 0 3 310.441 4.372 20 0 DIADHN COc1ccccc1[C@H]1CC[C@@H](C)CN1Cc1ccncc1C ZINC001473461565 1061172620 /nfs/dbraw/zinc/17/26/20/1061172620.db2.gz AGHNRCKMBRBADD-DNVCBOLYSA-N 0 3 310.441 4.372 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC=C(c3ccnn3C)CC2)cc1 ZINC001473462692 1061174834 /nfs/dbraw/zinc/17/48/34/1061174834.db2.gz MFGQCTAWBVHTLH-UHFFFAOYSA-N 0 3 323.484 4.397 20 0 DIADHN CCCCN(Cc1noc2c1CCCC2)[C@@H]1CCCC[C@H]1OC ZINC001473472103 1061179680 /nfs/dbraw/zinc/17/96/80/1061179680.db2.gz LZSHGYPCKVIOBI-IEBWSBKVSA-N 0 3 320.477 4.113 20 0 DIADHN c1csc(CCN2CCCC[C@H]2c2nc3ccccc3[nH]2)c1 ZINC001473479085 1061182025 /nfs/dbraw/zinc/18/20/25/1061182025.db2.gz OHOMQFISKNCFHD-KRWDZBQOSA-N 0 3 311.454 4.394 20 0 DIADHN CC1CCC(N[C@H](CO)c2cccc(Cl)c2Cl)CC1 ZINC001462456535 1061186667 /nfs/dbraw/zinc/18/66/67/1061186667.db2.gz YLFXKXJYXRIUFT-QIMFLAQGSA-N 0 3 302.245 4.195 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@]1(C)CC(C)C ZINC001473492052 1061187409 /nfs/dbraw/zinc/18/74/09/1061187409.db2.gz BWLANPMJKULESD-SFHVURJKSA-N 0 3 322.880 4.488 20 0 DIADHN CC1(C)C[C@]1(NCc1cccc2c1NCCC2)c1ccccc1 ZINC001462463864 1061191119 /nfs/dbraw/zinc/19/11/19/1061191119.db2.gz WWTXCHRMKJCEME-NRFANRHFSA-N 0 3 306.453 4.460 20 0 DIADHN c1ccc([C@H]2c3ccccc3CCN2CCOCC2CCC2)cc1 ZINC001473502665 1061196338 /nfs/dbraw/zinc/19/63/38/1061196338.db2.gz ZTORSHNXLJNBSI-QFIPXVFZSA-N 0 3 321.464 4.451 20 0 DIADHN CC[C@H]1CN(C)CCN1Cc1cc(Cl)cc2ccccc21 ZINC001473507792 1061202481 /nfs/dbraw/zinc/20/24/81/1061202481.db2.gz KQBXHFPBYOZPLP-KRWDZBQOSA-N 0 3 302.849 4.019 20 0 DIADHN CCCCN(Cc1nc(CC2CC2)no1)[C@@H]1CCC[C@H](C)C1 ZINC001473510190 1061203726 /nfs/dbraw/zinc/20/37/26/1061203726.db2.gz OJRQOAAQFGOGJR-GOEBONIOSA-N 0 3 305.466 4.203 20 0 DIADHN COCC1(C2CC2)CN(Cc2ccc(-c3cccs3)cc2)C1 ZINC001462494455 1061211796 /nfs/dbraw/zinc/21/17/96/1061211796.db2.gz CDBFUKPMHSLLRI-UHFFFAOYSA-N 0 3 313.466 4.274 20 0 DIADHN C[C@H]1CN(CCc2nsc3ccccc32)Cc2ccccc2O1 ZINC001473516884 1061213079 /nfs/dbraw/zinc/21/30/79/1061213079.db2.gz TWAIGOTZIRBFRQ-AWEZNQCLSA-N 0 3 324.449 4.122 20 0 DIADHN CN(C)Cc1cc(F)cc(-c2nc3ccccc3n2CC2CC2)c1 ZINC001251070343 1061217126 /nfs/dbraw/zinc/21/71/26/1061217126.db2.gz NXSPSWADXJHKHG-UHFFFAOYSA-N 0 3 323.415 4.314 20 0 DIADHN CN(C)Cc1cc(F)cc(-c2nc3ccccc3n2C2CC2)c1 ZINC001251070635 1061217741 /nfs/dbraw/zinc/21/77/41/1061217741.db2.gz VAWGOWWQPYHGFG-UHFFFAOYSA-N 0 3 309.388 4.239 20 0 DIADHN CC(C)=CCC[C@H](C)CCN1CCO[C@@H](C(F)(F)F)CC1 ZINC001462562059 1061266602 /nfs/dbraw/zinc/26/66/02/1061266602.db2.gz WUAYFEMMVFOUHW-LSDHHAIUSA-N 0 3 307.400 4.412 20 0 DIADHN COc1ccc2c(c1)OC(C)(C)CN(CCSC(C)(C)C)C2 ZINC001473559795 1061244020 /nfs/dbraw/zinc/24/40/20/1061244020.db2.gz LJKBRVQLLCCIRG-UHFFFAOYSA-N 0 3 323.502 4.200 20 0 DIADHN CC[C@@H](NCc1nc(C)c(Br)s1)[C@@H]1CC1(C)C ZINC001473562391 1061247628 /nfs/dbraw/zinc/24/76/28/1061247628.db2.gz IUUGPMHNWWONDR-VHSXEESVSA-N 0 3 317.296 4.128 20 0 DIADHN c1csc(-c2cnc(CN3CC[C@H](C4CCCC4)C3)o2)c1 ZINC001473567168 1061253019 /nfs/dbraw/zinc/25/30/19/1061253019.db2.gz JFIHFIGNFXQRID-AWEZNQCLSA-N 0 3 302.443 4.415 20 0 DIADHN Fc1ccc(-c2cc(CNC[C@@H]3CC[C@@H]4C[C@@H]4C3)no2)c(F)c1 ZINC001462555269 1061255923 /nfs/dbraw/zinc/25/59/23/1061255923.db2.gz CAYZROLXNCVBBK-UPJWGTAASA-N 0 3 318.367 4.146 20 0 DIADHN Clc1cc(Cl)cc(CCNCc2noc3ccccc23)c1 ZINC001462574466 1061270550 /nfs/dbraw/zinc/27/05/50/1061270550.db2.gz VHJCEIQAZWNBHD-UHFFFAOYSA-N 0 3 321.207 4.467 20 0 DIADHN CSc1ccc(NC(=O)CN2CCCC[C@@H]2C2CCC2)cc1 ZINC001473613985 1061287278 /nfs/dbraw/zinc/28/72/78/1061287278.db2.gz QKNPYUPYLCTREY-QGZVFWFLSA-N 0 3 318.486 4.002 20 0 DIADHN C[C@@H](NC[C@@H]1CC1(Cl)Cl)c1cc(Cl)cc2c1OCC2 ZINC001462615792 1061300425 /nfs/dbraw/zinc/30/04/25/1061300425.db2.gz TUNVVQQTWNHIPY-SCZZXKLOSA-N 0 3 320.647 4.119 20 0 DIADHN CC(C)c1ccccc1C(=O)NC[C@H](C)N(C)Cc1ccccc1 ZINC001456404157 1061311124 /nfs/dbraw/zinc/31/11/24/1061311124.db2.gz WUYBBPLYWCIFAU-KRWDZBQOSA-N 0 3 324.468 4.060 20 0 DIADHN COc1nc(CN2CCC(c3cc(C)cc(C)c3)CC2)ccc1C ZINC001462638969 1061312068 /nfs/dbraw/zinc/31/20/68/1061312068.db2.gz ROPNFJRIDJSMLC-UHFFFAOYSA-N 0 3 324.468 4.395 20 0 DIADHN FC1(F)CC[C@@H](CCNCc2c(Cl)ccnc2Cl)C1 ZINC001462647037 1061314932 /nfs/dbraw/zinc/31/49/32/1061314932.db2.gz XHPQBDKLARIAAR-VIFPVBQESA-N 0 3 309.187 4.304 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H](O)c1cc(C)cc(C)c1 ZINC001473649585 1061317852 /nfs/dbraw/zinc/31/78/52/1061317852.db2.gz WDMGEJXEBSIGES-HXUWFJFHSA-N 0 3 315.432 4.388 20 0 DIADHN CCCN(CCSc1ccncc1)Cc1ccccc1F ZINC001473649660 1061319153 /nfs/dbraw/zinc/31/91/53/1061319153.db2.gz XJCVEJPMXUTKQS-UHFFFAOYSA-N 0 3 304.434 4.225 20 0 DIADHN CCCCC[C@@H]1CCCCN1CC(=O)N[C@H](C)c1ccccc1 ZINC001473661977 1061325284 /nfs/dbraw/zinc/32/52/84/1061325284.db2.gz FSJOJTLGIFTTLD-IEBWSBKVSA-N 0 3 316.489 4.299 20 0 DIADHN Cc1ccc([C@H](C)CNCc2ncccc2Br)cc1 ZINC001462662983 1061326271 /nfs/dbraw/zinc/32/62/71/1061326271.db2.gz NPDWJHWVIKOPMS-CYBMUJFWSA-N 0 3 319.246 4.046 20 0 DIADHN Fc1ccccc1-c1nc(CN(CCC2CC2)CC2CC2)co1 ZINC001473667147 1061327387 /nfs/dbraw/zinc/32/73/87/1061327387.db2.gz WVEFONKKTIHTBW-UHFFFAOYSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H](CN1CCc2nc(C(C)(C)C)ncc2C1)C1CCCCC1 ZINC001473664427 1061328228 /nfs/dbraw/zinc/32/82/28/1061328228.db2.gz XAHZBWZKPXFVIT-HNNXBMFYSA-N 0 3 315.505 4.349 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN1CCc2ccnc(N(C)C)c2C1 ZINC001462669275 1061328505 /nfs/dbraw/zinc/32/85/05/1061328505.db2.gz SUBSTWIUEAHHJT-QGZVFWFLSA-N 0 3 315.505 4.278 20 0 DIADHN C[C@H](CN(C)CC(=O)N(C)[C@@H]1CCCC[C@H]1C)C1CCCCC1 ZINC001473706894 1061360622 /nfs/dbraw/zinc/36/06/22/1061360622.db2.gz QLFQVEVKUUBQOC-ZHALLVOQSA-N 0 3 322.537 4.172 20 0 DIADHN COc1cc(CN2CCC[C@@H](OC3CCC3)CC2)ccc1Cl ZINC001473728146 1061377083 /nfs/dbraw/zinc/37/70/83/1061377083.db2.gz UGFZBPNZRURZGP-MRXNPFEDSA-N 0 3 323.864 4.272 20 0 DIADHN COc1ccc([C@H](C)CN[C@@H](CF)c2ccc(F)cc2)cc1 ZINC001473733407 1061379298 /nfs/dbraw/zinc/37/92/98/1061379298.db2.gz AIYCMIIWYXZIIX-ACJLOTCBSA-N 0 3 305.368 4.238 20 0 DIADHN CC[C@@H](C)N1CCOc2cc(NC(=O)OC(C)(C)C)ccc2C1 ZINC001473742979 1061383130 /nfs/dbraw/zinc/38/31/30/1061383130.db2.gz PSAWJKKQXQYEFR-CYBMUJFWSA-N 0 3 320.433 4.027 20 0 DIADHN c1cc2c(s1)CN(C[C@@H]1CCC3(CCCCCC3)O1)CC2 ZINC001473856961 1061434677 /nfs/dbraw/zinc/43/46/77/1061434677.db2.gz YKHOMZQBHKFAKY-INIZCTEOSA-N 0 3 305.487 4.378 20 0 DIADHN CN(CC[C@@H]1CCCC1(F)F)Cc1cc(-c2ccccc2)n[nH]1 ZINC001473869131 1061442235 /nfs/dbraw/zinc/44/22/35/1061442235.db2.gz SKJFKYWGHWTQTC-HNNXBMFYSA-N 0 3 319.399 4.334 20 0 DIADHN CCC[C@](C)(NCC(=O)Nc1ccc(C)cc1)c1ccccc1 ZINC001473877892 1061446870 /nfs/dbraw/zinc/44/68/70/1061446870.db2.gz LLMQRMIAHJALKK-FQEVSTJZSA-N 0 3 310.441 4.239 20 0 DIADHN Clc1cc2cccnc2c(CN2CCCC[C@]23CCOC3)c1 ZINC001473895908 1061452882 /nfs/dbraw/zinc/45/28/82/1061452882.db2.gz ZPSYNBYPHQNGSM-GOSISDBHSA-N 0 3 316.832 4.033 20 0 DIADHN C[C@@H]1CN(Cc2cccc(SC(F)(F)F)c2)[C@H](C)CCO1 ZINC001473895272 1061452998 /nfs/dbraw/zinc/45/29/98/1061452998.db2.gz FRENZHYJSCJFPO-VXGBXAGGSA-N 0 3 319.392 4.298 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCc1ccc(F)cc1 ZINC001473912276 1061460888 /nfs/dbraw/zinc/46/08/88/1061460888.db2.gz JHCNXTKYBVTDGU-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN COc1ccc(CN[C@@H](c2ccccc2)C(C)C)c(F)c1OC ZINC001473919022 1061467735 /nfs/dbraw/zinc/46/77/35/1061467735.db2.gz IWZLRUZBELFTIK-GOSISDBHSA-N 0 3 317.404 4.330 20 0 DIADHN CCOc1ccc(CNCc2ccc(OC(C)C)cc2)c(C)c1 ZINC001473926258 1061475829 /nfs/dbraw/zinc/47/58/29/1061475829.db2.gz ZKKIDMYRFPBSKD-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN Cc1cccc(C)c1CNCc1ccnc(OC2CCCC2)c1 ZINC001473930599 1061478014 /nfs/dbraw/zinc/47/80/14/1061478014.db2.gz NBCUTBFHQHZNFG-UHFFFAOYSA-N 0 3 310.441 4.310 20 0 DIADHN CC(C)COc1ccccc1CNCCOc1cccc(F)c1 ZINC001473948424 1061490464 /nfs/dbraw/zinc/49/04/64/1061490464.db2.gz HTBBHBQPVMNUJS-UHFFFAOYSA-N 0 3 317.404 4.029 20 0 DIADHN CC(C)c1ccc(OCCNCc2ccc(Cl)nc2)cc1 ZINC001473948062 1061490816 /nfs/dbraw/zinc/49/08/16/1061490816.db2.gz ICGDOZQXAFAFLF-UHFFFAOYSA-N 0 3 304.821 4.027 20 0 DIADHN CCOc1cccc(F)c1CN[C@H](C)Cc1ccccc1F ZINC001473952179 1061495222 /nfs/dbraw/zinc/49/52/22/1061495222.db2.gz RVYJVXXGSZIZCM-CYBMUJFWSA-N 0 3 305.368 4.084 20 0 DIADHN CCNc1ccccc1CNCc1cccc(OCC(C)C)c1 ZINC001473954782 1061496226 /nfs/dbraw/zinc/49/62/26/1061496226.db2.gz NAGRSBGQKWJDRE-UHFFFAOYSA-N 0 3 312.457 4.443 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@@H](C)COc2ccccc2)c1 ZINC001473962581 1061504366 /nfs/dbraw/zinc/50/43/66/1061504366.db2.gz NDZAOWNRKOYMSW-AWEZNQCLSA-N 0 3 321.367 4.154 20 0 DIADHN C[C@@H]1Cc2ccccc2N1CCNCc1cc(Cl)ccc1F ZINC001473961893 1061504975 /nfs/dbraw/zinc/50/49/75/1061504975.db2.gz QVDARMOKKUEOQJ-CYBMUJFWSA-N 0 3 318.823 4.020 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1c(C)cc(C)cc1C ZINC001473963631 1061505514 /nfs/dbraw/zinc/50/55/14/1061505514.db2.gz JDVRKZRXJGPASQ-UHFFFAOYSA-N 0 3 313.489 4.470 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)C(C)(C)CC(C)(C)C)c1ccco1 ZINC001457819316 1061507968 /nfs/dbraw/zinc/50/79/68/1061507968.db2.gz ZTRVWWOZRYSNIX-HUUCEWRRSA-N 0 3 322.493 4.287 20 0 DIADHN CC(C)(CNCc1cccnc1Cl)c1cccc(Cl)c1 ZINC001473965019 1061508290 /nfs/dbraw/zinc/50/82/90/1061508290.db2.gz SOLLRRYLMFXBFW-UHFFFAOYSA-N 0 3 309.240 4.456 20 0 DIADHN CC[C@H](C)c1ccc(C(=O)NC[C@H](c2ccccc2)N(C)C)cc1 ZINC001463696509 1061513430 /nfs/dbraw/zinc/51/34/30/1061513430.db2.gz YXJARSDJSXPDMX-OXJNMPFZSA-N 0 3 324.468 4.233 20 0 DIADHN CCc1ccc([C@H](CNC(=O)[C@H](CC)CC(C)C)N(C)C)cc1 ZINC001463758428 1061519124 /nfs/dbraw/zinc/51/91/24/1061519124.db2.gz CILBBGGNEGDFQL-MJGOQNOKSA-N 0 3 318.505 4.040 20 0 DIADHN CNc1ccccc1CN[C@H](CN1CCCCC1)c1ccccc1 ZINC001473977104 1061522247 /nfs/dbraw/zinc/52/22/47/1061522247.db2.gz SDNGGBIADSJYBN-OAQYLSRUSA-N 0 3 323.484 4.045 20 0 DIADHN COc1ccccc1OCCCNCc1ccc(F)cc1Cl ZINC001473984661 1061527058 /nfs/dbraw/zinc/52/70/58/1061527058.db2.gz RQFGMUZBGNXJFB-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CCn1cnc(Cl)c1CNCCc1csc2ccccc12 ZINC001473987485 1061529251 /nfs/dbraw/zinc/52/92/51/1061529251.db2.gz YJSXNLSENKFFRP-UHFFFAOYSA-N 0 3 319.861 4.103 20 0 DIADHN CCc1cccc2c1OCC[C@H]2NCc1ccc(OC)cc1C ZINC001473989807 1061532143 /nfs/dbraw/zinc/53/21/43/1061532143.db2.gz GJBHHCFXUXUQNZ-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN O=c1ccc(CNCc2ccccc2Oc2cccc(F)c2)c[nH]1 ZINC001473990868 1061534349 /nfs/dbraw/zinc/53/43/49/1061534349.db2.gz SQFGCMVMNGFGGR-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN C[C@@H](Cc1cccs1)NCc1nc2c(s1)C[C@H](C)CC2 ZINC001473991678 1061536026 /nfs/dbraw/zinc/53/60/26/1061536026.db2.gz QYXROZMYPUMOFD-NEPJUHHUSA-N 0 3 306.500 4.050 20 0 DIADHN C[C@@H](NCc1ccc(C(C)(C)C)s1)C(=O)Nc1ccccc1 ZINC001473994956 1061536737 /nfs/dbraw/zinc/53/67/37/1061536737.db2.gz CWUKLIVLWFOAGV-CYBMUJFWSA-N 0 3 316.470 4.162 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H](C)C(=O)Nc2ccccc2)c(C)c1 ZINC001473995207 1061537948 /nfs/dbraw/zinc/53/79/48/1061537948.db2.gz FHCRIGVCLYIPBI-YFWYWMRJSA-N 0 3 322.452 4.242 20 0 DIADHN C[C@@H]1CCc2ccccc2N1CCNCc1cscc1Cl ZINC001473999695 1061540394 /nfs/dbraw/zinc/54/03/94/1061540394.db2.gz ZOSHTWYUZPWDRO-CYBMUJFWSA-N 0 3 320.889 4.332 20 0 DIADHN C[C@@H]1CCCC[C@H]1CCNCc1cccnc1Br ZINC001474004308 1061544962 /nfs/dbraw/zinc/54/49/62/1061544962.db2.gz IATINVFJNWXTHE-OLZOCXBDSA-N 0 3 311.267 4.150 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CCNCc1cccnc1Br ZINC001474004307 1061545103 /nfs/dbraw/zinc/54/51/03/1061545103.db2.gz IATINVFJNWXTHE-CHWSQXEVSA-N 0 3 311.267 4.150 20 0 DIADHN CC(C)(C)c1cccc(OCCNCc2ccc(Cl)cn2)c1 ZINC001474010069 1061552580 /nfs/dbraw/zinc/55/25/80/1061552580.db2.gz RCSFPBXFKLCLHU-UHFFFAOYSA-N 0 3 318.848 4.201 20 0 DIADHN COc1cc(CNCc2ccccc2N(C)C)cc2ccccc21 ZINC001474016464 1061557290 /nfs/dbraw/zinc/55/72/90/1061557290.db2.gz REEFPRIXWSSTNX-UHFFFAOYSA-N 0 3 320.436 4.204 20 0 DIADHN Cc1cccc2nc(NCCN3CCc4ccccc4C3)sc21 ZINC001458098954 1061570381 /nfs/dbraw/zinc/57/03/81/1061570381.db2.gz JFAKQLVGUANSOV-UHFFFAOYSA-N 0 3 323.465 4.075 20 0 DIADHN Cc1ccsc1[C@H](CO)NC/C=C/c1c(C)cc(C)cc1C ZINC001474034687 1061576701 /nfs/dbraw/zinc/57/67/01/1061576701.db2.gz DZLCRKFVKWDBFQ-QWNKOJSDSA-N 0 3 315.482 4.318 20 0 DIADHN CC(C)n1cc(CN[C@@H]2c3cccc(F)c3CC[C@H]2C)cn1 ZINC001474033515 1061577490 /nfs/dbraw/zinc/57/74/90/1061577490.db2.gz PSHFUDPIZBBIRE-ACJLOTCBSA-N 0 3 301.409 4.016 20 0 DIADHN CC[C@H](CNCc1ccco1)Oc1ccccc1C(F)(F)F ZINC001474036827 1061579038 /nfs/dbraw/zinc/57/90/38/1061579038.db2.gz OZRHLOWVRJDLAQ-GFCCVEGCSA-N 0 3 313.319 4.246 20 0 DIADHN CC[C@@H](CNCc1ccco1)Oc1ccccc1C(F)(F)F ZINC001474036828 1061580298 /nfs/dbraw/zinc/58/02/98/1061580298.db2.gz OZRHLOWVRJDLAQ-LBPRGKRZSA-N 0 3 313.319 4.246 20 0 DIADHN CCCCC[C@@H](C(=O)N1CCN(CCC(C)(C)C)CC1)C(C)C ZINC001464375824 1061586622 /nfs/dbraw/zinc/58/66/22/1061586622.db2.gz HBVKKORYZJLALB-GOSISDBHSA-N 0 3 324.553 4.419 20 0 DIADHN CCO[C@H](CCNCc1ncc(-c2ccccc2)s1)C(C)C ZINC001474040134 1061587378 /nfs/dbraw/zinc/58/73/78/1061587378.db2.gz RUGAOTMTIHZRPG-MRXNPFEDSA-N 0 3 318.486 4.351 20 0 DIADHN CSCc1cc(F)ccc1CNCc1ccc2[nH]ccc2c1 ZINC001474043496 1061588518 /nfs/dbraw/zinc/58/85/18/1061588518.db2.gz JBYNGDGVQYWQLH-UHFFFAOYSA-N 0 3 314.429 4.460 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@H](Cc4ccccc4)C3)n2)cs1 ZINC001252135329 1061594899 /nfs/dbraw/zinc/59/48/99/1061594899.db2.gz YWPLCDFKONSAKT-QGZVFWFLSA-N 0 3 323.465 4.134 20 0 DIADHN CC[C@@H](C(=O)Nc1cccc(OCCC(C)C)c1)N(CC)CC ZINC001464480871 1061600892 /nfs/dbraw/zinc/60/08/92/1061600892.db2.gz RUQRHURGVHTOLB-SFHVURJKSA-N 0 3 320.477 4.170 20 0 DIADHN CCCCCC[C@H](C)C(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001464539930 1061604406 /nfs/dbraw/zinc/60/44/06/1061604406.db2.gz JRQQETLQOYZJBF-PKOBYXMFSA-N 0 3 318.505 4.320 20 0 DIADHN CCCCCC[C@H](C)C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001464539889 1061605332 /nfs/dbraw/zinc/60/53/32/1061605332.db2.gz JRQQETLQOYZJBF-HKUYNNGSSA-N 0 3 318.505 4.320 20 0 DIADHN COc1cc(F)cc(CNCc2ccc(CC(C)C)cc2)c1 ZINC001474073145 1061619897 /nfs/dbraw/zinc/61/98/97/1061619897.db2.gz HRWBGJCLYFWJFV-UHFFFAOYSA-N 0 3 301.405 4.323 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1CNC(=O)C(C)(C)N1CCCCC1 ZINC001464650029 1061619895 /nfs/dbraw/zinc/61/98/95/1061619895.db2.gz OJXUMQVXQKRIME-DLBZAZTESA-N 0 3 322.537 4.220 20 0 DIADHN CC1(NCc2cscc2Br)Cc2ccccc2C1 ZINC001474074932 1061622245 /nfs/dbraw/zinc/62/22/45/1061622245.db2.gz GVFJTUONTQTPOB-UHFFFAOYSA-N 0 3 322.271 4.158 20 0 DIADHN Cc1nn(-c2ccccc2C)c(C)c1CNc1cc(C)cc(C)n1 ZINC001474077649 1061624230 /nfs/dbraw/zinc/62/42/30/1061624230.db2.gz IHIDUJQWAJSIRR-UHFFFAOYSA-N 0 3 320.440 4.422 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCC1(C2CC2)CC1 ZINC001474080686 1061626584 /nfs/dbraw/zinc/62/65/84/1061626584.db2.gz DQWQDQRBUXVRJD-UHFFFAOYSA-N 0 3 303.299 4.004 20 0 DIADHN C[C@H]1CCc2ccccc2[C@@H]1NCc1c[nH]c(-c2ccccc2)n1 ZINC001474087136 1061633623 /nfs/dbraw/zinc/63/36/23/1061633623.db2.gz AJOQOMMJGCUYPN-MGPUTAFESA-N 0 3 317.436 4.490 20 0 DIADHN CCn1ccc(CNCC(C)(C)c2ccc(C(C)(C)C)cc2)n1 ZINC001474097881 1061643534 /nfs/dbraw/zinc/64/35/34/1061643534.db2.gz ZYICEAKURPPGGT-UHFFFAOYSA-N 0 3 313.489 4.268 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(-n3ccnc3)cc2)c(F)c1 ZINC001474100796 1061647622 /nfs/dbraw/zinc/64/76/22/1061647622.db2.gz ZRWUJXHVCYGORD-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2c(Cl)cccc2N(C)C)C1(C)C ZINC001474102648 1061650980 /nfs/dbraw/zinc/65/09/80/1061650980.db2.gz LBBHFCFQUDOVII-DLBZAZTESA-N 0 3 324.896 4.088 20 0 DIADHN CCc1cccc(Cl)c1CNC[C@H](O)c1ccc(C)cc1 ZINC001474127906 1061674081 /nfs/dbraw/zinc/67/40/81/1061674081.db2.gz TVOQZCUUWLJKEC-SFHVURJKSA-N 0 3 303.833 4.034 20 0 DIADHN COc1cc(CN[C@@H](C)c2cccc(O)c2)cc2ccccc21 ZINC001474129450 1061674271 /nfs/dbraw/zinc/67/42/71/1061674271.db2.gz HIVPRMOUTMILPM-AWEZNQCLSA-N 0 3 307.393 4.405 20 0 DIADHN Cc1ccc(CNC[C@@H](OCC2CC2)c2ccc(Cl)cc2)o1 ZINC001474144229 1061691363 /nfs/dbraw/zinc/69/13/63/1061691363.db2.gz HQSMQQHCQCPIBC-GOSISDBHSA-N 0 3 319.832 4.499 20 0 DIADHN Cc1ccccc1-n1cc(CN[C@@H]2CCCCC23CCCC3)nn1 ZINC001474162461 1061707597 /nfs/dbraw/zinc/70/75/97/1061707597.db2.gz FPCVZSYWFNYIQV-LJQANCHMSA-N 0 3 324.472 4.168 20 0 DIADHN CCC[C@H](O)CN1[C@@H]2Cc3ccccc3[C@@]1(C)c1ccccc12 ZINC001252789135 1061718510 /nfs/dbraw/zinc/71/85/10/1061718510.db2.gz MUROEGMWPARWTH-DQLDELGASA-N 0 3 307.437 4.024 20 0 DIADHN CCC[C@@H](O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC001252787283 1061718822 /nfs/dbraw/zinc/71/88/22/1061718822.db2.gz MHTFTYDYOIENQH-CZUORRHYSA-N 0 3 315.379 4.393 20 0 DIADHN c1cc(CNc2ccc3nc(CC4CCCCC4)[nH]c3c2)n[nH]1 ZINC001474173634 1061719515 /nfs/dbraw/zinc/71/95/15/1061719515.db2.gz SBSHWVNVXAPDBG-UHFFFAOYSA-N 0 3 309.417 4.021 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@@H]2C[C@H](C)C[C@@H](c3ccccc3)C2)n1 ZINC001474179331 1061726620 /nfs/dbraw/zinc/72/66/20/1061726620.db2.gz NXCWNINMDCTSBO-ZTFGCOKTSA-N 0 3 310.441 4.158 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc(Cl)ccc2OCC2CC2)CS1 ZINC001474181064 1061728265 /nfs/dbraw/zinc/72/82/65/1061728265.db2.gz URRVAGSTCLZNFJ-IAQYHMDHSA-N 0 3 311.878 4.112 20 0 DIADHN Cc1ccc(CNCc2cccc3c2OCO3)c2ccccc12 ZINC001474181546 1061730471 /nfs/dbraw/zinc/73/04/71/1061730471.db2.gz JJNURZAFFLIWBE-UHFFFAOYSA-N 0 3 305.377 4.167 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H](C)CC(F)(F)F ZINC001474182231 1061731136 /nfs/dbraw/zinc/73/11/36/1061731136.db2.gz DKPUDVZVGSEUKJ-VIFPVBQESA-N 0 3 318.364 4.390 20 0 DIADHN CC(C)(CNCc1cc(Cl)ccc1Cl)OCC1CC1 ZINC001474182264 1061731918 /nfs/dbraw/zinc/73/19/18/1061731918.db2.gz FPVMNYYQYISZTL-UHFFFAOYSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H]2CC[C@@H](c3cccc(Cl)c3)C2)n1 ZINC001474185046 1061732338 /nfs/dbraw/zinc/73/23/38/1061732338.db2.gz MGYSRLJNWWCQHF-ZBFHGGJFSA-N 0 3 316.832 4.175 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H]2CC[C@H](c3cccc(Cl)c3)C2)n1 ZINC001474185044 1061732454 /nfs/dbraw/zinc/73/24/54/1061732454.db2.gz MGYSRLJNWWCQHF-HOCLYGCPSA-N 0 3 316.832 4.175 20 0 DIADHN O=c1cc(CNCc2cccc(Oc3ccccc3F)c2)cc[nH]1 ZINC001474198812 1061750319 /nfs/dbraw/zinc/75/03/19/1061750319.db2.gz HCJRVGIIWKKGNN-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN CC(C)(C)OCCCNCc1c(Cl)cccc1OC(F)F ZINC001474202082 1061754147 /nfs/dbraw/zinc/75/41/47/1061754147.db2.gz QBTNGDRCNGJKTC-UHFFFAOYSA-N 0 3 321.795 4.236 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@@]2(C)C[C@]2(F)Cl)n1 ZINC001474202511 1061755515 /nfs/dbraw/zinc/75/55/15/1061755515.db2.gz YIRJJEUDRMZCOZ-UONOGXRCSA-N 0 3 304.862 4.235 20 0 DIADHN O=c1[nH]c2cc(F)ccc2cc1CNCc1ccc2occc2c1 ZINC001474203538 1061757760 /nfs/dbraw/zinc/75/77/60/1061757760.db2.gz QPDADUDNKBWOMR-UHFFFAOYSA-N 0 3 322.339 4.116 20 0 DIADHN CC[C@H](COCC1CC1)NCc1ccc(F)c(Cl)c1Cl ZINC001474207343 1061762791 /nfs/dbraw/zinc/76/27/91/1061762791.db2.gz QQHQZMCAYXGLCV-GFCCVEGCSA-N 0 3 320.235 4.427 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2CC[C@H](C)SC2)s1 ZINC001474214721 1061772947 /nfs/dbraw/zinc/77/29/47/1061772947.db2.gz LJVLPCBCAPQYME-WCBMZHEXSA-N 0 3 320.321 4.193 20 0 DIADHN CO[C@@]1(C)C[C@H]1NCc1ccc(-c2ccccc2)c(Cl)c1 ZINC001474216975 1061774283 /nfs/dbraw/zinc/77/42/83/1061774283.db2.gz HUCBJFFLTYPOES-MSOLQXFVSA-N 0 3 301.817 4.274 20 0 DIADHN c1cn2c(cccc2CNCc2ccc(-c3ccccc3)s2)n1 ZINC001474219698 1061778835 /nfs/dbraw/zinc/77/88/35/1061778835.db2.gz JZBVSTGSUSRTNN-UHFFFAOYSA-N 0 3 319.433 4.353 20 0 DIADHN Cc1scc(CN[C@H](c2ccccc2)[C@H](O)C(C)C)c1C ZINC001474220709 1061780455 /nfs/dbraw/zinc/78/04/55/1061780455.db2.gz NTWVHJVXZKAOPO-QZTJIDSGSA-N 0 3 303.471 4.213 20 0 DIADHN COc1ccc(OC)c(CN[C@@H]2CCCc3c(C)cccc32)c1 ZINC001474220639 1061781606 /nfs/dbraw/zinc/78/16/06/1061781606.db2.gz MMEOUZSAOKLDAS-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1cc(Br)cc(CNC2(C)CC(F)(F)C2)c1 ZINC001474255708 1061822888 /nfs/dbraw/zinc/82/28/88/1061822888.db2.gz VLFOVVHABUCAMQ-UHFFFAOYSA-N 0 3 304.178 4.035 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C/C=C\Cc1ccccc1 ZINC001465660963 1061792908 /nfs/dbraw/zinc/79/29/08/1061792908.db2.gz WHQYEBYQSZGKBP-FPLPWBNLSA-N 0 3 322.452 4.184 20 0 DIADHN C[C@](O)(CN1CCC[C@H]1c1ccc(F)cc1F)c1ccccc1 ZINC001253103238 1061801736 /nfs/dbraw/zinc/80/17/36/1061801736.db2.gz BHXGGDNAUFEVSH-OALUTQOASA-N 0 3 317.379 4.009 20 0 DIADHN C[C@@](O)(CN1CCC[C@@H]1c1ccc(F)cc1F)c1ccccc1 ZINC001253103242 1061801887 /nfs/dbraw/zinc/80/18/87/1061801887.db2.gz BHXGGDNAUFEVSH-RTBURBONSA-N 0 3 317.379 4.009 20 0 DIADHN COc1cccc2cc(CN[C@H]3c4ccccc4C[C@@H]3C)oc21 ZINC001253095869 1061803837 /nfs/dbraw/zinc/80/38/37/1061803837.db2.gz ORCGKZDJBHVCPU-ORAYPTAESA-N 0 3 307.393 4.465 20 0 DIADHN CCOc1ccc(Cl)cc1CNC1([C@@H]2CCCCO2)CCC1 ZINC001474245358 1061810392 /nfs/dbraw/zinc/81/03/92/1061810392.db2.gz ZLLCLRJWIAVXRL-KRWDZBQOSA-N 0 3 323.864 4.320 20 0 DIADHN FC(F)COc1ccccc1CNCc1cccc2[nH]ccc21 ZINC001474245699 1061812200 /nfs/dbraw/zinc/81/22/00/1061812200.db2.gz RWPZSONXAVGPGU-UHFFFAOYSA-N 0 3 316.351 4.102 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNCCc2ccns2)o1 ZINC001474249939 1061814249 /nfs/dbraw/zinc/81/42/49/1061814249.db2.gz YTAMNAHGLZOYDR-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)NCC1CCC(C(C)(C)C)CC1 ZINC001465817922 1061817645 /nfs/dbraw/zinc/81/76/45/1061817645.db2.gz NTXVMNKYKLPORK-ABHNRTSZSA-N 0 3 322.537 4.220 20 0 DIADHN C[C@@](O)(CNC1(c2ccccc2Cl)CCC1)c1ccccc1 ZINC001253122880 1061818527 /nfs/dbraw/zinc/81/85/27/1061818527.db2.gz UPOQKSXKJOMOPC-GOSISDBHSA-N 0 3 315.844 4.217 20 0 DIADHN C[C@H](CNCc1nc(Cl)ccc1Br)C(C)(C)C ZINC001474269884 1061835892 /nfs/dbraw/zinc/83/58/92/1061835892.db2.gz OILIUQWAQANVHK-SECBINFHSA-N 0 3 319.674 4.269 20 0 DIADHN CC(C)COc1ccccc1CNC[C@@H]1CCCC2(CCC2)O1 ZINC001474282394 1061850840 /nfs/dbraw/zinc/85/08/40/1061850840.db2.gz CPTVNGZBCLJPTC-SFHVURJKSA-N 0 3 317.473 4.303 20 0 DIADHN COc1cc(Cl)c(CNC[C@]2(C)CCCCO2)cc1Cl ZINC001474284803 1061854914 /nfs/dbraw/zinc/85/49/14/1061854914.db2.gz IGHYARPASNESFC-HNNXBMFYSA-N 0 3 318.244 4.051 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001466009998 1061859581 /nfs/dbraw/zinc/85/95/81/1061859581.db2.gz BXEWLDUSFDSWAN-FCEWJHQRSA-N 0 3 308.510 4.115 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001466010000 1061860365 /nfs/dbraw/zinc/86/03/65/1061860365.db2.gz BXEWLDUSFDSWAN-MDZRGWNJSA-N 0 3 308.510 4.115 20 0 DIADHN CCOc1cccc(F)c1CNCC1(OC)CCCCCC1 ZINC001474291272 1061860783 /nfs/dbraw/zinc/86/07/83/1061860783.db2.gz CQXHKNDLJMZYLZ-UHFFFAOYSA-N 0 3 309.425 4.053 20 0 DIADHN COc1ccc(-c2ccccc2C)cc1CNCCC(F)F ZINC001474297046 1061867918 /nfs/dbraw/zinc/86/79/18/1061867918.db2.gz MGMZJUDZKKKQHO-UHFFFAOYSA-N 0 3 305.368 4.415 20 0 DIADHN CCC[C@@](C)(NCc1cnn(CC2CCC2)c1)c1ccccc1 ZINC001474298334 1061868135 /nfs/dbraw/zinc/86/81/35/1061868135.db2.gz OYGBBJPSYRQKJS-HXUWFJFHSA-N 0 3 311.473 4.488 20 0 DIADHN Cc1cc(C)cc(CN[C@H]2C[C@@]3(CCOC3)Oc3ccccc32)c1 ZINC001474305879 1061874261 /nfs/dbraw/zinc/87/42/61/1061874261.db2.gz XXMVXYUFYVTLOZ-PZJWPPBQSA-N 0 3 323.436 4.076 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C(C)(C)CC(C)(C)C)c1 ZINC001466094375 1061875398 /nfs/dbraw/zinc/87/53/98/1061875398.db2.gz ZVCDHTLUQUUQTE-UHFFFAOYSA-N 0 3 304.478 4.458 20 0 DIADHN C/C(=C\C(=O)Nc1cc(C)cc(CN(C)C)c1)c1cccs1 ZINC001466094074 1061875779 /nfs/dbraw/zinc/87/57/79/1061875779.db2.gz SGLSCUYMLOIYKK-GXDHUFHOSA-N 0 3 314.454 4.160 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1Cc1sc(N(C)C)nc1Cl ZINC001474308916 1061876107 /nfs/dbraw/zinc/87/61/07/1061876107.db2.gz JNVDBTYVYPGYIK-VXGBXAGGSA-N 0 3 315.914 4.263 20 0 DIADHN CCOc1cncc(CN2CCCC3(C2)CCCCCC3)c1 ZINC001474308918 1061877553 /nfs/dbraw/zinc/87/75/53/1061877553.db2.gz JOJIKIRLKDHPFX-UHFFFAOYSA-N 0 3 302.462 4.417 20 0 DIADHN CCCN(Cc1cc(F)ccc1Br)CC1CC1 ZINC001474308743 1061878474 /nfs/dbraw/zinc/87/84/74/1061878474.db2.gz YZJSPECXWQILQK-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN Cc1sc(CN2CCC[C@H](C)[C@@H]2C)cc1Br ZINC001474309163 1061878950 /nfs/dbraw/zinc/87/89/50/1061878950.db2.gz DFBKAFPVCSWYQQ-UWVGGRQHSA-N 0 3 302.281 4.439 20 0 DIADHN c1cn(C2CC2)c(CN2CCC[C@H]2CCCc2ccccc2)n1 ZINC001474311063 1061883080 /nfs/dbraw/zinc/88/30/80/1061883080.db2.gz RQNQGOMBBJDSMH-GOSISDBHSA-N 0 3 309.457 4.205 20 0 DIADHN CSCc1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1 ZINC001474317854 1061890020 /nfs/dbraw/zinc/89/00/20/1061890020.db2.gz MBMCELZMGGHDBK-UHFFFAOYSA-N 0 3 323.465 4.025 20 0 DIADHN CCOc1cc(CN2CCC[C@H](C(C)C)CC2)c(F)cc1OC ZINC001474321572 1061900305 /nfs/dbraw/zinc/90/03/05/1061900305.db2.gz NGYCJLVJGQHZFC-HNNXBMFYSA-N 0 3 323.452 4.491 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1nc2ccc(Cl)cc2n1C ZINC001474328453 1061911193 /nfs/dbraw/zinc/91/11/93/1061911193.db2.gz NWCQVTOZXYHDDL-HNNXBMFYSA-N 0 3 305.853 4.237 20 0 DIADHN CCCCC[C@H](O)CN1CCc2cc(C(F)(F)F)ccc2C1 ZINC001253537732 1061914062 /nfs/dbraw/zinc/91/40/62/1061914062.db2.gz LLTDNIQWUAETIO-INIZCTEOSA-N 0 3 315.379 4.005 20 0 DIADHN CCCCC[C@@H](O)CN1CCC[C@@H]1c1ccc(Cl)s1 ZINC001253536644 1061916178 /nfs/dbraw/zinc/91/61/78/1061916178.db2.gz TVQIUHXQVCGDQN-CHWSQXEVSA-N 0 3 301.883 4.480 20 0 DIADHN CCCCC[C@H](O)CN1CCC[C@@H]1c1ccc(Cl)s1 ZINC001253536649 1061916655 /nfs/dbraw/zinc/91/66/55/1061916655.db2.gz TVQIUHXQVCGDQN-QWHCGFSZSA-N 0 3 301.883 4.480 20 0 DIADHN CC[C@H]1CN(C)c2ccccc2CN1Cc1ccc(C)cc1F ZINC001474332018 1061922520 /nfs/dbraw/zinc/92/25/20/1061922520.db2.gz YZDDHLMFHCLLQN-SFHVURJKSA-N 0 3 312.432 4.365 20 0 DIADHN CC(C)CCN(Cc1ccc(OC(F)F)cc1F)C1CC1 ZINC001474331832 1061923417 /nfs/dbraw/zinc/92/34/17/1061923417.db2.gz NXHRRLWDDMMLPO-UHFFFAOYSA-N 0 3 301.352 4.438 20 0 DIADHN CC(C)c1ccc(CCN(C)Cc2cc(Cl)cn2C)cc1 ZINC001474331997 1061923712 /nfs/dbraw/zinc/92/37/12/1061923712.db2.gz YLZIIMMXPURSCY-UHFFFAOYSA-N 0 3 304.865 4.476 20 0 DIADHN CCCCC[C@H](O)CNCc1cc(-c2cccc(Cl)c2)no1 ZINC001253557687 1061926825 /nfs/dbraw/zinc/92/68/25/1061926825.db2.gz OEOKDLWZXIBPON-HNNXBMFYSA-N 0 3 322.836 4.026 20 0 DIADHN CCCCC[C@H](O)CN[C@H](c1ccccc1)c1ccc(O)cc1 ZINC001253558249 1061926891 /nfs/dbraw/zinc/92/68/91/1061926891.db2.gz UMVFLCXGPMHIRS-VQTJNVASSA-N 0 3 313.441 4.012 20 0 DIADHN CCCCC[C@H](O)CN[C@@H](c1ccccc1)c1ccc(O)cc1 ZINC001253558247 1061927800 /nfs/dbraw/zinc/92/78/00/1061927800.db2.gz UMVFLCXGPMHIRS-PMACEKPBSA-N 0 3 313.441 4.012 20 0 DIADHN CCCCC[C@H](O)CNC(c1cccs1)c1cccs1 ZINC001253564485 1061931048 /nfs/dbraw/zinc/93/10/48/1061931048.db2.gz WHGXWWPDBUTSRH-ZDUSSCGKSA-N 0 3 309.500 4.430 20 0 DIADHN CC(C)(C)[C@H](O)CN1CC[C@@H](c2cccc(Cl)c2Cl)C1 ZINC001253727578 1061961774 /nfs/dbraw/zinc/96/17/74/1061961774.db2.gz FPADIPGVOBRBSR-BXUZGUMPSA-N 0 3 316.272 4.190 20 0 DIADHN COC(=O)c1cnc([C@H](C)N(CC2CC2)C2CCCCC2)s1 ZINC001474342811 1061942006 /nfs/dbraw/zinc/94/20/06/1061942006.db2.gz DUAJESOZPAFJKW-LBPRGKRZSA-N 0 3 322.474 4.035 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CCC(OCC2CC2)CC1 ZINC001474344221 1061945662 /nfs/dbraw/zinc/94/56/62/1061945662.db2.gz DIGNVFPLRXNGDT-UHFFFAOYSA-N 0 3 305.462 4.277 20 0 DIADHN COCCN(Cc1ccc(C)o1)C[C@H](C)c1cc(F)cc(F)c1 ZINC001474345977 1061949185 /nfs/dbraw/zinc/94/91/85/1061949185.db2.gz ZJUILEZDYYEBGP-ZDUSSCGKSA-N 0 3 323.383 4.118 20 0 DIADHN COc1ccc(CN2CCC[C@H](CC(C)C)C2)c(F)c1OC ZINC001474347387 1061952480 /nfs/dbraw/zinc/95/24/80/1061952480.db2.gz QODZHBWAIOROLU-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN COC1(CN2CCc3c(F)cc(F)cc3[C@@H]2C)CCCCC1 ZINC001474353810 1061967236 /nfs/dbraw/zinc/96/72/36/1061967236.db2.gz WHFYRRUTTUETSH-ZDUSSCGKSA-N 0 3 309.400 4.233 20 0 DIADHN CC(C)CCC1CCN(Cc2c(C3CCC3)cnn2C)CC1 ZINC001474354397 1061968077 /nfs/dbraw/zinc/96/80/77/1061968077.db2.gz QUTZQWKLGOWYJC-UHFFFAOYSA-N 0 3 303.494 4.336 20 0 DIADHN Cc1csc(N2CCN(CC3CCC(C(C)C)CC3)CC2)n1 ZINC001474356742 1061976523 /nfs/dbraw/zinc/97/65/23/1061976523.db2.gz SSBWCHLAAFEFKE-UHFFFAOYSA-N 0 3 321.534 4.036 20 0 DIADHN CC[C@H](C(=O)N1CCC[C@H](C)c2ccccc21)N(CC)CC ZINC001466736770 1061993626 /nfs/dbraw/zinc/99/36/26/1061993626.db2.gz HRXVTFBTOFNEMX-DOTOQJQBSA-N 0 3 302.462 4.037 20 0 DIADHN c1cc(CN2CCCCC[C@@H]2c2ccccc2)n(CC2CC2)n1 ZINC001474365235 1061995906 /nfs/dbraw/zinc/99/59/06/1061995906.db2.gz YXEJYLFDSUKMBM-HXUWFJFHSA-N 0 3 309.457 4.410 20 0 DIADHN C[C@@H](NCc1ccc2[nH]cnc2c1)c1ccc(Cl)c(F)c1 ZINC001253900808 1061999022 /nfs/dbraw/zinc/99/90/22/1061999022.db2.gz LIMUQEHYOUSAIX-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN C[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc(Cl)c(F)c1 ZINC001253900808 1061999042 /nfs/dbraw/zinc/99/90/42/1061999042.db2.gz LIMUQEHYOUSAIX-SNVBAGLBSA-N 0 3 303.768 4.206 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001474369099 1062000923 /nfs/dbraw/zinc/00/09/23/1062000923.db2.gz FHJUBPUCYIZYEN-PBHICJAKSA-N 0 3 317.864 4.205 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001474369100 1062003693 /nfs/dbraw/zinc/00/36/93/1062003693.db2.gz FHJUBPUCYIZYEN-RHSMWYFYSA-N 0 3 317.864 4.205 20 0 DIADHN C[C@H]1SCCN(Cc2ccc(-c3ccc(F)cc3)o2)[C@H]1C ZINC001474369814 1062006281 /nfs/dbraw/zinc/00/62/81/1062006281.db2.gz PEXBHBFMKZYGST-QWHCGFSZSA-N 0 3 305.418 4.412 20 0 DIADHN Fc1ccccc1CC1CCN(Cc2ccc3c(n2)CCC3)CC1 ZINC001474373372 1062013765 /nfs/dbraw/zinc/01/37/65/1062013765.db2.gz HQJAZSBKEHKQKA-UHFFFAOYSA-N 0 3 324.443 4.164 20 0 DIADHN CC[C@@H](C)N(CCc1ccccc1F)Cc1cn(C2CC2)cn1 ZINC001474377132 1062017710 /nfs/dbraw/zinc/01/77/10/1062017710.db2.gz WPAJLXOZZJEQID-OAHLLOKOSA-N 0 3 315.436 4.200 20 0 DIADHN CC(C)(C)OC(=O)c1ccc(CN2CCC3(CCCC3)C2)s1 ZINC001474380991 1062022793 /nfs/dbraw/zinc/02/27/93/1062022793.db2.gz JTGUIFCAMYMNNN-UHFFFAOYSA-N 0 3 321.486 4.470 20 0 DIADHN C[C@H]1CN(Cc2ccc(OC(F)F)c(F)c2)CC(C)(C)C1 ZINC001474389029 1062037122 /nfs/dbraw/zinc/03/71/22/1062037122.db2.gz DNJYSRVJZZSSEX-LLVKDONJSA-N 0 3 301.352 4.295 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OC(F)F)c(F)c2)CC(C)(C)C1 ZINC001474389030 1062037983 /nfs/dbraw/zinc/03/79/83/1062037983.db2.gz DNJYSRVJZZSSEX-NSHDSACASA-N 0 3 301.352 4.295 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1F)c1cccc(F)c1F ZINC001254206391 1062046752 /nfs/dbraw/zinc/04/67/52/1062046752.db2.gz MJKGQXNEIYZZNC-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN O=C(CNC1CCC(F)(F)CC1)c1ccc(Cl)c(Cl)c1 ZINC001254213491 1062049984 /nfs/dbraw/zinc/04/99/84/1062049984.db2.gz ZTQNIZUNOGGDSE-UHFFFAOYSA-N 0 3 322.182 4.344 20 0 DIADHN COc1ccc(-c2nc(CNC3CCC(F)(F)CC3)co2)cc1 ZINC001254213714 1062050758 /nfs/dbraw/zinc/05/07/58/1062050758.db2.gz MHNNQPFPJBCUHF-UHFFFAOYSA-N 0 3 322.355 4.018 20 0 DIADHN Cc1ccc(C)c(N2CCN(C3CCC(F)(F)CC3)CC2)c1 ZINC001254216450 1062055336 /nfs/dbraw/zinc/05/53/36/1062055336.db2.gz IHNWXRRTAIZWDQ-UHFFFAOYSA-N 0 3 308.416 4.003 20 0 DIADHN Cc1cccc(CN(C)Cc2cc3c(ccnc3Cl)o2)c1 ZINC001474400897 1062056092 /nfs/dbraw/zinc/05/60/92/1062056092.db2.gz BBAXHRMOJLMIJC-UHFFFAOYSA-N 0 3 300.789 4.422 20 0 DIADHN CC[C@H](C)[C@H](CN(Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1)OC ZINC001474403181 1062063129 /nfs/dbraw/zinc/06/31/29/1062063129.db2.gz ZVBPFAWQMQISNA-PDVMFTSQSA-N 0 3 305.462 4.429 20 0 DIADHN CCOc1cc(CN2CC[C@H]3CCCC[C@@H]3C2)c(F)cc1OC ZINC001474404866 1062063449 /nfs/dbraw/zinc/06/34/49/1062063449.db2.gz OSPIFSPIQTUJOH-HUUCEWRRSA-N 0 3 321.436 4.245 20 0 DIADHN CC[C@H](C)N(Cc1c(C2CCC2)cnn1C)Cc1ccccc1 ZINC001474404353 1062065213 /nfs/dbraw/zinc/06/52/13/1062065213.db2.gz AIYBBFRRYOPFOL-INIZCTEOSA-N 0 3 311.473 4.488 20 0 DIADHN CNc1ccc(C)cc1CN1CC=C(c2ccc(F)cc2)CC1 ZINC001474406484 1062068857 /nfs/dbraw/zinc/06/88/57/1062068857.db2.gz DYUKUGCHFRTATE-UHFFFAOYSA-N 0 3 310.416 4.465 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cc(C)cc(Cl)c1 ZINC001474410232 1062071777 /nfs/dbraw/zinc/07/17/77/1062071777.db2.gz OGMQZEDXHHPICE-UHFFFAOYSA-N 0 3 303.833 4.297 20 0 DIADHN COc1ccc(SCCN(C)Cc2cccc(F)c2)cc1 ZINC001474409455 1062073565 /nfs/dbraw/zinc/07/35/65/1062073565.db2.gz CNPOGYZVSVQVPH-UHFFFAOYSA-N 0 3 305.418 4.058 20 0 DIADHN Fc1cccc(F)c1CCN1CCC(c2ccccc2)CC1 ZINC001459309835 1062080282 /nfs/dbraw/zinc/08/02/82/1062080282.db2.gz VAGKTHCVNDYYHB-UHFFFAOYSA-N 0 3 301.380 4.387 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1coc(-c2ccccc2)n1 ZINC001254374158 1062088065 /nfs/dbraw/zinc/08/80/65/1062088065.db2.gz JJRIWUJMJBLEKR-OAHLLOKOSA-N 0 3 321.424 4.192 20 0 DIADHN CN(CC1CCCCC1)C(=O)CN(CC1CCCCC1)C1CC1 ZINC001459325330 1062089201 /nfs/dbraw/zinc/08/92/01/1062089201.db2.gz MWYYLUVYADFDBO-UHFFFAOYSA-N 0 3 320.521 4.070 20 0 DIADHN CCOC1CC(N[C@@H](CC(F)(F)F)c2ccc(C)cc2)C1 ZINC001474420171 1062089744 /nfs/dbraw/zinc/08/97/44/1062089744.db2.gz OSLAPWQSFUDKRQ-NRXISQOPSA-N 0 3 301.352 4.146 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)C[C@H](O)Cc1ccc(F)cc1 ZINC001459328455 1062093990 /nfs/dbraw/zinc/09/39/90/1062093990.db2.gz DSKALUPVHKZRLI-CZUORRHYSA-N 0 3 321.823 4.076 20 0 DIADHN Fc1ccc(C[C@@H]2CCCN2Cc2ccc(F)c(F)c2)cc1 ZINC001459347016 1062101432 /nfs/dbraw/zinc/10/14/32/1062101432.db2.gz MTUMRELTVVPORC-INIZCTEOSA-N 0 3 305.343 4.311 20 0 DIADHN COc1cccc(-c2nc(CNC3CCC4(CC4)CC3)co2)c1 ZINC001254439173 1062102991 /nfs/dbraw/zinc/10/29/91/1062102991.db2.gz BTXSVTYJLLPNAA-UHFFFAOYSA-N 0 3 312.413 4.163 20 0 DIADHN CCCN(CCCCF)Cc1ccccc1Br ZINC001459385395 1062119081 /nfs/dbraw/zinc/11/90/81/1062119081.db2.gz ZHHSKROWHDSMHQ-UHFFFAOYSA-N 0 3 302.231 4.411 20 0 DIADHN C[C@@H]1CN(CCC2CCCCC2)[C@@H](C)CN1C(=O)OC(C)(C)C ZINC001474434597 1062110486 /nfs/dbraw/zinc/11/04/86/1062110486.db2.gz CZSSBXODAIMLTG-JKSUJKDBSA-N 0 3 324.509 4.287 20 0 DIADHN CC(C)[C@H](N[C@@H]1COC2(CCC2)C1)c1ccc(Cl)cc1F ZINC001474434169 1062110505 /nfs/dbraw/zinc/11/05/05/1062110505.db2.gz PBKZSIUXFHZDCL-BBRMVZONSA-N 0 3 311.828 4.477 20 0 DIADHN Cc1nnc(CN[C@@H](C)[C@@H](C)c2ccc(CC(C)C)cc2)s1 ZINC001474436855 1062114762 /nfs/dbraw/zinc/11/47/62/1062114762.db2.gz ADWJQXRPFYCVKS-KGLIPLIRSA-N 0 3 317.502 4.327 20 0 DIADHN Cc1cccc(CC[C@H](C)N[C@H]2COc3cc(F)cc(F)c32)c1 ZINC001474439321 1062118601 /nfs/dbraw/zinc/11/86/01/1062118601.db2.gz NKDVKVCSFVBOJV-GUYCJALGSA-N 0 3 317.379 4.318 20 0 DIADHN C[C@H]1CCSCCN1Cc1ccc(Oc2ccccc2)o1 ZINC001474442695 1062120602 /nfs/dbraw/zinc/12/06/02/1062120602.db2.gz OUGPJUOQOKXAES-AWEZNQCLSA-N 0 3 303.427 4.399 20 0 DIADHN CCC1(CC)[C@@H](NCc2c(Cl)cccc2OC)C[C@@H]1OC ZINC001474444015 1062121387 /nfs/dbraw/zinc/12/13/87/1062121387.db2.gz HPWFAZASADUUKF-HOTGVXAUSA-N 0 3 311.853 4.032 20 0 DIADHN CCc1ccc([C@H]2CN(C[C@@H](C)c3ccccc3)CCO2)cc1 ZINC001474443741 1062121581 /nfs/dbraw/zinc/12/15/81/1062121581.db2.gz BUDIVPATBOOSGF-DYESRHJHSA-N 0 3 309.453 4.426 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCCN(C(=O)OC(C)(C)C)C1CC1 ZINC001459386689 1062122927 /nfs/dbraw/zinc/12/29/27/1062122927.db2.gz IVNHBEAFJFTQAC-AWEZNQCLSA-N 0 3 322.449 4.062 20 0 DIADHN C[C@H]1Cc2cc(CN3CC[C@@H](C(F)(F)F)C3(C)C)ccc2O1 ZINC001474445630 1062124204 /nfs/dbraw/zinc/12/42/04/1062124204.db2.gz HNBWCSWCEOEHIR-XHDPSFHLSA-N 0 3 313.363 4.173 20 0 DIADHN CCC[C@@H](CCc1ccccc1)n1nc(CN)c2ccccc21 ZINC001254486258 1062127995 /nfs/dbraw/zinc/12/79/95/1062127995.db2.gz XKXVBHCFTQBNNN-KRWDZBQOSA-N 0 3 307.441 4.469 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCC(=O)c1ccc(F)cc1 ZINC001254485412 1062128848 /nfs/dbraw/zinc/12/88/48/1062128848.db2.gz IJCFOSBSWWMUIL-LJQANCHMSA-N 0 3 313.416 4.400 20 0 DIADHN CCCC[C@H](C)[C@@H](C)Nc1cccc(N2CCN(CC)CC2)c1 ZINC001474461355 1062139540 /nfs/dbraw/zinc/13/95/40/1062139540.db2.gz OQQDEPOZFHASEJ-ZWKOTPCHSA-N 0 3 317.521 4.455 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccc(Cl)cc1F ZINC001474464015 1062143521 /nfs/dbraw/zinc/14/35/21/1062143521.db2.gz FATFHMDBFULQMZ-PXAZEXFGSA-N 0 3 307.796 4.345 20 0 DIADHN CN(Cc1n[nH]c(C(C)(C)C)n1)C1CCC(C(C)(C)C)CC1 ZINC001459444026 1062143689 /nfs/dbraw/zinc/14/36/89/1062143689.db2.gz KDOZQQKZSLRQAH-UHFFFAOYSA-N 0 3 306.498 4.139 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCCO[C@H](c3ccccc3)C2)c1 ZINC001459458896 1062152396 /nfs/dbraw/zinc/15/23/96/1062152396.db2.gz RJCJMXJQEYUPTG-UFYCRDLUSA-N 0 3 311.400 4.145 20 0 DIADHN CCS[C@H]1CCCCN(Cc2ccc(Cl)cc2OC)C1 ZINC001459463034 1062155739 /nfs/dbraw/zinc/15/57/39/1062155739.db2.gz HKSQEDKJYVZKDQ-HNNXBMFYSA-N 0 3 313.894 4.456 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1cc2c(ccnc2Cl)o1 ZINC001474479685 1062156968 /nfs/dbraw/zinc/15/69/68/1062156968.db2.gz QEXHPAJCOIHNAM-QGZVFWFLSA-N 0 3 322.836 4.262 20 0 DIADHN CC1=CCCN(Cc2cc3cc(Br)ccc3o2)C1 ZINC001474478444 1062157393 /nfs/dbraw/zinc/15/73/93/1062157393.db2.gz CBQZFCCXFMVYBH-UHFFFAOYSA-N 0 3 306.203 4.347 20 0 DIADHN CCC[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)OC ZINC001474479412 1062157667 /nfs/dbraw/zinc/15/76/67/1062157667.db2.gz IQCDPVVYNCTJCB-ZFWWWQNUSA-N 0 3 303.368 4.393 20 0 DIADHN CCCSC[C@H](C)NCc1sccc1Br ZINC001474482932 1062160314 /nfs/dbraw/zinc/16/03/14/1062160314.db2.gz GGFLNWVPQBLTKW-VIFPVBQESA-N 0 3 308.310 4.132 20 0 DIADHN CC1=C(C)CN(Cc2ccc(N(C)c3ccccc3)nc2)CC1 ZINC001474481646 1062161173 /nfs/dbraw/zinc/16/11/73/1062161173.db2.gz BUSZLIHKUTWBQO-UHFFFAOYSA-N 0 3 307.441 4.392 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@H](C(C)(C)C)CC2)c1C ZINC001459485734 1062162287 /nfs/dbraw/zinc/16/22/87/1062162287.db2.gz GSKDDYCDYMXZBQ-KRWDZBQOSA-N 0 3 316.489 4.390 20 0 DIADHN COc1cccc(-c2noc([C@H](C)N[C@H](C)CC(C)(C)C)n2)c1 ZINC001474496617 1062177455 /nfs/dbraw/zinc/17/74/55/1062177455.db2.gz JODJALLHESPLIF-OLZOCXBDSA-N 0 3 317.433 4.221 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CCC[C@@H]3CCC[C@H]32)cc1 ZINC001459520155 1062178561 /nfs/dbraw/zinc/17/85/61/1062178561.db2.gz HIMZCVCGAKXRJK-MAUKXSAKSA-N 0 3 314.473 4.187 20 0 DIADHN CCCN(Cc1ccns1)[C@@H]1C[C@@H](OCC)C12CCCC2 ZINC001459534763 1062189942 /nfs/dbraw/zinc/18/99/42/1062189942.db2.gz OUGVQDZJSUXZLE-HZPDHXFCSA-N 0 3 308.491 4.093 20 0 DIADHN FC[C@@H](NC1CCN(c2ccc(F)cc2)CC1)c1ccccc1 ZINC001254615221 1062199803 /nfs/dbraw/zinc/19/98/03/1062199803.db2.gz BUCFLOSTGWBGQN-LJQANCHMSA-N 0 3 316.395 4.095 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1ccc(F)c(Cl)c1 ZINC001459561677 1062212423 /nfs/dbraw/zinc/21/24/23/1062212423.db2.gz BUVGDPBHHRONOY-UHFFFAOYSA-N 0 3 314.832 4.320 20 0 DIADHN CCc1ccc([C@H]2C[C@@H](C)CCN2CCOCC2CCC2)o1 ZINC001459571267 1062214776 /nfs/dbraw/zinc/21/47/76/1062214776.db2.gz FHSXDKWPVWLCKN-MAUKXSAKSA-N 0 3 305.462 4.432 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1nnn(-c2ccccc2)c1C1CC1 ZINC001474533745 1062217504 /nfs/dbraw/zinc/21/75/04/1062217504.db2.gz BIRXUWDNYYHUAL-INIZCTEOSA-N 0 3 324.472 4.369 20 0 DIADHN COC/C(C)=C/CN(CCc1ccc(C)cc1)Cc1ccco1 ZINC001459592212 1062227803 /nfs/dbraw/zinc/22/78/03/1062227803.db2.gz MZNKGGACVZPDNC-VCHYOVAHSA-N 0 3 313.441 4.225 20 0 DIADHN COC/C(C)=C\CN(CCc1ccc(C)cc1)Cc1ccco1 ZINC001459592230 1062229905 /nfs/dbraw/zinc/22/99/05/1062229905.db2.gz MZNKGGACVZPDNC-ZDLGFXPLSA-N 0 3 313.441 4.225 20 0 DIADHN CC(C)C[C@H]1CCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001459589037 1062231162 /nfs/dbraw/zinc/23/11/62/1062231162.db2.gz FDXXQIFUAVHPKA-MRXNPFEDSA-N 0 3 316.489 4.291 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)CC1CCCCCC1 ZINC001467946939 1062235256 /nfs/dbraw/zinc/23/52/56/1062235256.db2.gz LCNKZVZZJOZMKD-UHFFFAOYSA-N 0 3 308.510 4.071 20 0 DIADHN C[C@@H]1[C@@H](C)CCCN1C[C@@H](O)c1ccc(Cl)cc1Cl ZINC001459611985 1062242993 /nfs/dbraw/zinc/24/29/93/1062242993.db2.gz FHWCJFCQICCCCL-FIXISWKDSA-N 0 3 302.245 4.147 20 0 DIADHN COC(=O)c1cc(CN2CCC(CC(C)C)CC2)ccc1C ZINC001459631677 1062267658 /nfs/dbraw/zinc/26/76/58/1062267658.db2.gz PJAOGDVWFHWRSG-UHFFFAOYSA-N 0 3 303.446 4.040 20 0 DIADHN CCCSC[C@H](C)N[C@@H](C)c1nccn1-c1ccccc1 ZINC001474562070 1062269557 /nfs/dbraw/zinc/26/95/57/1062269557.db2.gz RJTIVBSHXDFNFN-GJZGRUSLSA-N 0 3 303.475 4.055 20 0 DIADHN CO[C@H](CN1CC(Cc2c(F)cccc2F)C1)C1CCCCC1 ZINC001474567460 1062271392 /nfs/dbraw/zinc/27/13/92/1062271392.db2.gz FHZJAISHQVBUOF-LJQANCHMSA-N 0 3 323.427 4.034 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001459652433 1062280529 /nfs/dbraw/zinc/28/05/29/1062280529.db2.gz MQOOCPZGKYWXRC-CPUCHLNUSA-N 0 3 322.518 4.072 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H]2CC(=O)C[C@@H]2C1)c1c(Cl)cccc1Cl ZINC001254928713 1062281121 /nfs/dbraw/zinc/28/11/21/1062281121.db2.gz OEEVWYGHCIDSSP-WYUUTHIRSA-N 0 3 312.240 4.402 20 0 DIADHN O=C1C[C@H]2C[C@H](N3CCC[C@H]3c3ccc(Cl)s3)C[C@H]2C1 ZINC001254957216 1062289262 /nfs/dbraw/zinc/28/92/62/1062289262.db2.gz SJWQWWPEZRMWIK-SFTQSGBHSA-N 0 3 309.862 4.296 20 0 DIADHN CCSCCN1CCC[C@H]1c1ccccc1Br ZINC001459669944 1062289850 /nfs/dbraw/zinc/28/98/50/1062289850.db2.gz LDXNORRTMABXLO-AWEZNQCLSA-N 0 3 314.292 4.339 20 0 DIADHN CC(C)CN(C(=O)CN[C@H](c1ccccc1)C(C)(C)C)C1CC1 ZINC001459674757 1062296771 /nfs/dbraw/zinc/29/67/71/1062296771.db2.gz CVLKVRNIKOMWPL-LJQANCHMSA-N 0 3 316.489 4.010 20 0 DIADHN COc1ccc(CN(C2CC2)[C@@H]2CCCc3ccccc32)cn1 ZINC001459678368 1062298517 /nfs/dbraw/zinc/29/85/17/1062298517.db2.gz WBXIUIZFEJMSKY-LJQANCHMSA-N 0 3 308.425 4.132 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(Cc2ccc3scnc3c2)C1 ZINC001474589211 1062301396 /nfs/dbraw/zinc/30/13/96/1062301396.db2.gz RAGXNFMREHEUKS-LBPRGKRZSA-N 0 3 314.376 4.461 20 0 DIADHN c1ccc2c(c1)CC(n1c3ccccc3nc1[C@H]1CCCCN1)C2 ZINC001255027635 1062305409 /nfs/dbraw/zinc/30/54/09/1062305409.db2.gz ZCFFSDDEMHPLCQ-LJQANCHMSA-N 0 3 317.436 4.191 20 0 DIADHN C[C@H](N[C@@H]1C[C@@]1(F)c1ccccc1)[C@@H]1C[C@H]1c1cccs1 ZINC001474591224 1062305453 /nfs/dbraw/zinc/30/54/53/1062305453.db2.gz BALSHWSQRQLEFX-KATVLWTNSA-N 0 3 301.430 4.467 20 0 DIADHN Clc1cccc([C@H]2CSCCN2C2CCSCC2)c1 ZINC001255093935 1062311234 /nfs/dbraw/zinc/31/12/34/1062311234.db2.gz IYIOUVVQWQEWAS-OAHLLOKOSA-N 0 3 313.919 4.326 20 0 DIADHN CCOC(=O)C1(N(C)CCCCc2ccccc2)CCCCC1 ZINC001459696136 1062314818 /nfs/dbraw/zinc/31/48/18/1062314818.db2.gz SUNUGUUHTCYSPL-UHFFFAOYSA-N 0 3 317.473 4.207 20 0 DIADHN Cc1cnccc1CCN1CCCC[C@@H]1c1ccc(F)cc1F ZINC001459696865 1062315595 /nfs/dbraw/zinc/31/55/95/1062315595.db2.gz VMLMCVMEFRDGPJ-LJQANCHMSA-N 0 3 316.395 4.438 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1ccccc1CF)CCC2 ZINC001459707616 1062321818 /nfs/dbraw/zinc/32/18/18/1062321818.db2.gz LDOVTOWGYNRLCL-INIZCTEOSA-N 0 3 304.434 4.430 20 0 DIADHN CN(CCCC1CCCCC1)[C@H]1CCN(c2ccccc2)C1=O ZINC001459706713 1062322670 /nfs/dbraw/zinc/32/26/70/1062322670.db2.gz KYCWBLLKQCJDRY-IBGZPJMESA-N 0 3 314.473 4.084 20 0 DIADHN Cc1coc(CN2C[C@H](C)N(Cc3ccccc3)[C@@H](C)[C@@H]2C)c1 ZINC001474610252 1062324462 /nfs/dbraw/zinc/32/44/62/1062324462.db2.gz VKVFFWPGSQUKTF-BZSNNMDCSA-N 0 3 312.457 4.071 20 0 DIADHN Cc1ccccc1-n1cc(CN2CC3(CC=CC3)[C@@H]2C(C)C)cn1 ZINC001474609838 1062326346 /nfs/dbraw/zinc/32/63/46/1062326346.db2.gz MLJISGCMLBCNCX-FQEVSTJZSA-N 0 3 321.468 4.357 20 0 DIADHN C[C@@H](c1nccc2ccccc21)N1C[C@H](C)OC2(CCCC2)C1 ZINC001474613572 1062331409 /nfs/dbraw/zinc/33/14/09/1062331409.db2.gz GVPDSJNPNQZDHN-HOTGVXAUSA-N 0 3 310.441 4.329 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001468498100 1062333716 /nfs/dbraw/zinc/33/37/16/1062333716.db2.gz JZXIJSNJWLLSKA-HNNXBMFYSA-N 0 3 315.461 4.179 20 0 DIADHN CCOc1ccc(CN2CCCC[C@H]2Cc2ccccc2F)o1 ZINC001474616326 1062336925 /nfs/dbraw/zinc/33/69/25/1062336925.db2.gz MMWCPFGZFVLLCO-INIZCTEOSA-N 0 3 317.404 4.415 20 0 DIADHN COC(=O)c1ccc(F)c(CN2CCCC[C@H]2CC(C)C)c1 ZINC001459724213 1062338033 /nfs/dbraw/zinc/33/80/33/1062338033.db2.gz YBNOSEKSXDQVLW-INIZCTEOSA-N 0 3 307.409 4.013 20 0 DIADHN CCCOc1ccc(CN2CC(COC)(C3CCC3)C2)c(C)c1 ZINC001474618111 1062339597 /nfs/dbraw/zinc/33/95/97/1062339597.db2.gz NECAJNFMUFLYMT-UHFFFAOYSA-N 0 3 317.473 4.032 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2cc(C)cc(C)n2)C1(C)C ZINC001459735094 1062345261 /nfs/dbraw/zinc/34/52/61/1062345261.db2.gz PBNDHAICSOANCD-QZTJIDSGSA-N 0 3 304.478 4.114 20 0 DIADHN Cc1ccc(CC2CN(Cc3ccc4scnc4c3)C2)cc1 ZINC001474631981 1062356266 /nfs/dbraw/zinc/35/62/66/1062356266.db2.gz RBVZZIMZIAIWLP-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN CCCCCC[C@@H](CC)CN(C)Cc1c2c(nn1C)CCC2 ZINC001474643278 1062366604 /nfs/dbraw/zinc/36/66/04/1062366604.db2.gz PAKRYMYINKVRGV-MRXNPFEDSA-N 0 3 305.510 4.337 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)C[C@H](C)c1ccc(C)cc1 ZINC001474646161 1062369488 /nfs/dbraw/zinc/36/94/88/1062369488.db2.gz BZHPZAZVLLYAAX-INIZCTEOSA-N 0 3 312.457 4.251 20 0 DIADHN CC(C)(C)c1nc(CN2CCC[C@@H]2CCC2CCCC2)n[nH]1 ZINC001459772115 1062382404 /nfs/dbraw/zinc/38/24/04/1062382404.db2.gz OPXVSOFTLGJOCM-OAHLLOKOSA-N 0 3 304.482 4.037 20 0 DIADHN COc1ccnc(CN2CCC[C@H]2CCC2CCCC2)c1OC ZINC001459770073 1062385233 /nfs/dbraw/zinc/38/52/33/1062385233.db2.gz IARMCYLNFLHUQY-INIZCTEOSA-N 0 3 318.461 4.034 20 0 DIADHN C[C@H](c1ccc(C(C)(C)C)cc1)N1CCCN(CC(F)F)CC1 ZINC001459780817 1062391385 /nfs/dbraw/zinc/39/13/85/1062391385.db2.gz ZCZYPTITFRQZED-OAHLLOKOSA-N 0 3 324.459 4.318 20 0 DIADHN C[C@@H](NCc1ccc2cccnc2c1)c1cc2cnccc2o1 ZINC001474661863 1062393143 /nfs/dbraw/zinc/39/31/43/1062393143.db2.gz LOEYZNJNUJZACY-CYBMUJFWSA-N 0 3 303.365 4.227 20 0 DIADHN Fc1ccc([C@@H](NCCSC(F)(F)F)C2CC2)c(F)c1 ZINC001459831771 1062432258 /nfs/dbraw/zinc/43/22/58/1062432258.db2.gz ANVBYZFHBJACMT-LBPRGKRZSA-N 0 3 311.319 4.259 20 0 DIADHN O[C@H](CC1CCCC1)CN1CCC(=Cc2cccc(F)c2)CC1 ZINC001459790543 1062403274 /nfs/dbraw/zinc/40/32/74/1062403274.db2.gz GLYYCYIQRJEACY-HXUWFJFHSA-N 0 3 317.448 4.246 20 0 DIADHN CC(C)CC[C@H](O)CN1CCC(=Cc2cccc(F)c2)CC1 ZINC001459791143 1062403369 /nfs/dbraw/zinc/40/33/69/1062403369.db2.gz IXJZFZGJWPJNAU-IBGZPJMESA-N 0 3 305.437 4.102 20 0 DIADHN C[C@H](NCC[C@@H](O)C1CCCC1)c1ccc(-c2ccccc2)cn1 ZINC001474675341 1062405769 /nfs/dbraw/zinc/40/57/69/1062405769.db2.gz OBVXNALDSKGSCR-HRAATJIYSA-N 0 3 324.468 4.340 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2CC2(Br)CC2)c1 ZINC001459814599 1062418960 /nfs/dbraw/zinc/41/89/60/1062418960.db2.gz PHTOLKDAQMIZTQ-IUODEOHRSA-N 0 3 324.262 4.006 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2CC2(Br)CC2)c1 ZINC001459814596 1062419454 /nfs/dbraw/zinc/41/94/54/1062419454.db2.gz PHTOLKDAQMIZTQ-DOMZBBRYSA-N 0 3 324.262 4.006 20 0 DIADHN Fc1ccc(-c2cc(CN[C@@H]3CC[C@@H]3C3CCC3)no2)c(F)c1 ZINC001474693790 1062421526 /nfs/dbraw/zinc/42/15/26/1062421526.db2.gz QNQDDIHWIRBDLO-RHSMWYFYSA-N 0 3 318.367 4.288 20 0 DIADHN Fc1ccc(-c2cc(CN[C@H]3CC[C@@H]3C3CCC3)no2)c(F)c1 ZINC001474693789 1062421876 /nfs/dbraw/zinc/42/18/76/1062421876.db2.gz QNQDDIHWIRBDLO-PBHICJAKSA-N 0 3 318.367 4.288 20 0 DIADHN Cc1cc(CN2CC[C@](C)(C(F)(F)F)C2)c2c(n1)CCCC2 ZINC001474697390 1062426597 /nfs/dbraw/zinc/42/65/97/1062426597.db2.gz WHLMTVNJMNQHLF-INIZCTEOSA-N 0 3 312.379 4.043 20 0 DIADHN C[C@H](CCO)N(Cc1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC001459822200 1062428847 /nfs/dbraw/zinc/42/88/47/1062428847.db2.gz SPOKLNVYKPOFNR-CQSZACIVSA-N 0 3 321.823 4.252 20 0 DIADHN CC(C)[C@H]1CCCCCN1Cc1nccn1Cc1ccccc1 ZINC001459833324 1062435338 /nfs/dbraw/zinc/43/53/38/1062435338.db2.gz XSPXMDMCIBTMQO-LJQANCHMSA-N 0 3 311.473 4.332 20 0 DIADHN CC[C@H](NCCN1CCc2ccccc21)c1cc(F)ccc1F ZINC001459843726 1062443762 /nfs/dbraw/zinc/44/37/62/1062443762.db2.gz KAQZWBXCAIXFQO-SFHVURJKSA-N 0 3 316.395 4.068 20 0 DIADHN Fc1ccc(Oc2ccccc2CNC2CSC2)cc1Cl ZINC001474719086 1062445155 /nfs/dbraw/zinc/44/51/55/1062445155.db2.gz NIRJDDIREMCMNG-UHFFFAOYSA-N 0 3 323.820 4.476 20 0 DIADHN Cc1ccccc1[C@@H](NCC(=O)N(C)[C@H](C)CC(C)C)C(C)C ZINC001459848924 1062446666 /nfs/dbraw/zinc/44/66/66/1062446666.db2.gz KBVHNSVJLHJMDT-XLIONFOSSA-N 0 3 318.505 4.175 20 0 DIADHN CC[C@@](C)(NCC(=O)N(C(C)C)C1CCCC1)c1ccccc1 ZINC001459852201 1062449321 /nfs/dbraw/zinc/44/93/21/1062449321.db2.gz VWTSLXZGSVZWPH-HXUWFJFHSA-N 0 3 316.489 4.081 20 0 DIADHN COc1cccc(F)c1CN[C@@H](C)CCc1ccc(F)cc1 ZINC001474727412 1062450387 /nfs/dbraw/zinc/45/03/87/1062450387.db2.gz BZHQYNKRKRXMQJ-ZDUSSCGKSA-N 0 3 305.368 4.084 20 0 DIADHN Fc1ccc(-c2ccc(CNCC3(Br)CC3)o2)cc1 ZINC001474733835 1062452008 /nfs/dbraw/zinc/45/20/08/1062452008.db2.gz SYGRVZHNRBNIME-UHFFFAOYSA-N 0 3 324.193 4.103 20 0 DIADHN C[C@@H](NCc1cc2ccccc2o1)c1ccc(-n2cccn2)cc1 ZINC001459855090 1062452561 /nfs/dbraw/zinc/45/25/61/1062452561.db2.gz YPOSDPWQXHUKPX-OAHLLOKOSA-N 0 3 317.392 4.469 20 0 DIADHN CCC[C@@H](NCC(=O)N(C)[C@H](C)CC(C)C)c1ccccc1 ZINC001459862037 1062454838 /nfs/dbraw/zinc/45/48/38/1062454838.db2.gz BIZQFGLLEIJZCX-SJLPKXTDSA-N 0 3 304.478 4.010 20 0 DIADHN Cc1ccc(C)c(C2CCN(Cc3cccc4c3OCO4)CC2)c1 ZINC001474739598 1062455943 /nfs/dbraw/zinc/45/59/43/1062455943.db2.gz APSRIRGYEPXMJF-UHFFFAOYSA-N 0 3 323.436 4.412 20 0 DIADHN Cc1cc(C)cc(C2CCN(Cc3cccc4c3OCO4)CC2)c1 ZINC001474740735 1062457983 /nfs/dbraw/zinc/45/79/83/1062457983.db2.gz OJRFDZSRZJASJW-UHFFFAOYSA-N 0 3 323.436 4.412 20 0 DIADHN CN(Cc1sc2ccccc2c1Cl)[C@@H]1CCCN(C)C1 ZINC001255488627 1062459172 /nfs/dbraw/zinc/45/91/72/1062459172.db2.gz FVTLXQLMIPAHFJ-GFCCVEGCSA-N 0 3 308.878 4.081 20 0 DIADHN CC(C)c1ccc([C@H](NCC(=O)NCC(C)(C)C)C(C)C)cc1 ZINC001459867918 1062460477 /nfs/dbraw/zinc/46/04/77/1062460477.db2.gz YQUNEJQHRGUJMZ-LJQANCHMSA-N 0 3 318.505 4.259 20 0 DIADHN CC(C)(C)C1CCC(NCC(=O)c2ccc(F)cc2F)CC1 ZINC001255495562 1062461633 /nfs/dbraw/zinc/46/16/33/1062461633.db2.gz ABYVUMVWFRKIMH-UHFFFAOYSA-N 0 3 309.400 4.342 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1C1CCC(C(F)(F)F)CC1 ZINC001255506834 1062463789 /nfs/dbraw/zinc/46/37/89/1062463789.db2.gz OBJSWTCKQXWAIL-VOMCLLRMSA-N 0 3 311.351 4.350 20 0 DIADHN Fc1ccc(F)c(CNC2CCC(C(F)(F)F)CC2)c1F ZINC001255507106 1062464658 /nfs/dbraw/zinc/46/46/58/1062464658.db2.gz JLCRVFUSWDJUIV-UHFFFAOYSA-N 0 3 311.269 4.315 20 0 DIADHN Cc1ccc(F)c(CNC2CCC(C(F)(F)F)CC2)c1F ZINC001255506822 1062465169 /nfs/dbraw/zinc/46/51/69/1062465169.db2.gz GEKAQKMJEBBZQR-UHFFFAOYSA-N 0 3 307.306 4.484 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1ccc3c(c1)C[C@H](C)O3)C2 ZINC001474760106 1062466682 /nfs/dbraw/zinc/46/66/82/1062466682.db2.gz GVRWJEAZWQXWPB-INIZCTEOSA-N 0 3 307.437 4.185 20 0 DIADHN COc1ccc2c(c1)CN(C1CCC(C(F)(F)F)CC1)CC2 ZINC001255515319 1062469604 /nfs/dbraw/zinc/46/96/04/1062469604.db2.gz HXGBDVDEOFHSFS-UHFFFAOYSA-N 0 3 313.363 4.174 20 0 DIADHN C[C@H](CC(F)(F)F)NC1(c2cccc(Br)c2)CC1 ZINC001255642313 1062495742 /nfs/dbraw/zinc/49/57/42/1062495742.db2.gz XPSOSZQHXLDSTA-SECBINFHSA-N 0 3 322.168 4.369 20 0 DIADHN CCc1ccccc1CN1CCN(c2ccc(C)c(C)c2)CC1 ZINC001459888466 1062472254 /nfs/dbraw/zinc/47/22/54/1062472254.db2.gz AOXDSRGDXFFRAF-UHFFFAOYSA-N 0 3 308.469 4.188 20 0 DIADHN FC(F)(F)C1CCC(N2CCOC[C@H]2C2CCCCC2)CC1 ZINC001255521780 1062472335 /nfs/dbraw/zinc/47/23/35/1062472335.db2.gz IJTIIYZORQXGDF-GPANFISMSA-N 0 3 319.411 4.389 20 0 DIADHN COC/C(C)=C\CN[C@H](Cc1ccccc1)c1ccccc1F ZINC001459885554 1062472923 /nfs/dbraw/zinc/47/29/23/1062472923.db2.gz RRHDNVCDQIOARI-VMTBQTDASA-N 0 3 313.416 4.292 20 0 DIADHN CN1CCN(Cc2ccccc2C2CC2)[C@@H](c2ccccc2)C1 ZINC001459896035 1062478011 /nfs/dbraw/zinc/47/80/11/1062478011.db2.gz WXDPXWNSVNCGBK-OAQYLSRUSA-N 0 3 306.453 4.053 20 0 DIADHN CCCCCC[C@H](O)CN(C)Cc1ccc(Cl)c(F)c1 ZINC001459891712 1062478764 /nfs/dbraw/zinc/47/87/64/1062478764.db2.gz ZSNRWKINYHRCLZ-AWEZNQCLSA-N 0 3 301.833 4.242 20 0 DIADHN CC(C)N1CCCC[C@@H]1CN[C@H](C)c1nc(C(C)(C)C)cs1 ZINC001459902348 1062483430 /nfs/dbraw/zinc/48/34/30/1062483430.db2.gz IXXUMBVBUAFFOY-HUUCEWRRSA-N 0 3 323.550 4.354 20 0 DIADHN C[C@H](c1ccncc1)N(C)C[C@@H]1CCC2(CCCCCC2)O1 ZINC001459902602 1062487057 /nfs/dbraw/zinc/48/70/57/1062487057.db2.gz SDWUQMAMUAWLKI-AEFFLSMTSA-N 0 3 302.462 4.346 20 0 DIADHN C[C@H]1CCN(Cc2cccc(C(=O)C(F)(F)F)c2)CC1(C)C ZINC001459920103 1062495169 /nfs/dbraw/zinc/49/51/69/1062495169.db2.gz CDVKHGHKDWMABJ-LBPRGKRZSA-N 0 3 313.363 4.300 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(OCC2CCC2)c1 ZINC001255641908 1062495449 /nfs/dbraw/zinc/49/54/49/1062495449.db2.gz PKVMZMMFGUDNES-LBPRGKRZSA-N 0 3 301.352 4.296 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CC[C@H](C)C(C)(C)C1 ZINC001459925094 1062496446 /nfs/dbraw/zinc/49/64/46/1062496446.db2.gz SZBFOKASSRQGOK-DOTOQJQBSA-N 0 3 302.462 4.080 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CCC(c2nc3ccccc3o2)CC1 ZINC001255647519 1062497109 /nfs/dbraw/zinc/49/71/09/1062497109.db2.gz VQWHLCZSFZOGNS-NSHDSACASA-N 0 3 312.335 4.348 20 0 DIADHN C[C@@H](CC(F)(F)F)N1CC[C@H](Sc2ccc(F)cc2)C1 ZINC001255659627 1062501102 /nfs/dbraw/zinc/50/11/02/1062501102.db2.gz AQCBUHCEMLMIHG-GWCFXTLKSA-N 0 3 307.356 4.333 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC(OCc2ccccc2F)CC1 ZINC001255658506 1062502766 /nfs/dbraw/zinc/50/27/66/1062502766.db2.gz QYCACDDZZUGNTO-GFCCVEGCSA-N 0 3 319.342 4.148 20 0 DIADHN Cc1ccc(OC2CCN([C@@H](C)CC(F)(F)F)CC2)cc1 ZINC001255656930 1062503717 /nfs/dbraw/zinc/50/37/17/1062503717.db2.gz DAXVWXSYSKLIAF-ZDUSSCGKSA-N 0 3 301.352 4.179 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC2(CC1)O[C@@H](C)c1ccccc12 ZINC001255662369 1062505042 /nfs/dbraw/zinc/50/50/42/1062505042.db2.gz JTOHXFGPPCTKCS-OLZOCXBDSA-N 0 3 313.363 4.410 20 0 DIADHN COc1cccc([C@@H]2CCCN([C@H](C)CC(F)(F)F)C2)c1 ZINC001255664650 1062507189 /nfs/dbraw/zinc/50/71/89/1062507189.db2.gz RSELEJNFVGTLSV-TZMCWYRMSA-N 0 3 301.352 4.216 20 0 DIADHN COc1cccc([C@H]2CCCN([C@@H](C)CC(F)(F)F)C2)c1 ZINC001255664648 1062507790 /nfs/dbraw/zinc/50/77/90/1062507790.db2.gz RSELEJNFVGTLSV-JSGCOSHPSA-N 0 3 301.352 4.216 20 0 DIADHN C[C@@]1(NCc2cc(Cl)cc(Cl)c2F)CCO[C@@H]1C1CC1 ZINC001459939497 1062509993 /nfs/dbraw/zinc/50/99/93/1062509993.db2.gz XGJWRFHXQKCCAX-HUUCEWRRSA-N 0 3 318.219 4.180 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC001255686200 1062514804 /nfs/dbraw/zinc/51/48/04/1062514804.db2.gz DSUYAWUMPGCITP-SDDRHHMPSA-N 0 3 311.351 4.350 20 0 DIADHN COc1cc(F)c(CN[C@@H]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC001255689255 1062518474 /nfs/dbraw/zinc/51/84/74/1062518474.db2.gz LBBBYOWSKFYSGR-VHSXEESVSA-N 0 3 323.305 4.184 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@H]3CCCC[C@H]3C)CCO2)cc1 ZINC001459951877 1062518998 /nfs/dbraw/zinc/51/89/98/1062518998.db2.gz RDPIVVWFXZHVRN-AHRSYUTCSA-N 0 3 301.474 4.449 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1ccn(-c2cccc(F)c2)n1 ZINC001459972051 1062537135 /nfs/dbraw/zinc/53/71/35/1062537135.db2.gz VDSGGOCFJRSOSR-DNVCBOLYSA-N 0 3 315.436 4.412 20 0 DIADHN Fc1cccc2c1CCN([C@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255719396 1062537512 /nfs/dbraw/zinc/53/75/12/1062537512.db2.gz DFUHMXCNKGUPMB-STQMWFEESA-N 0 3 301.327 4.305 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2cccc(F)c2)n1 ZINC001459972056 1062538198 /nfs/dbraw/zinc/53/81/98/1062538198.db2.gz VDSGGOCFJRSOSR-KXBFYZLASA-N 0 3 315.436 4.412 20 0 DIADHN CCC[C@H](C)CCCN[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC001459979950 1062540082 /nfs/dbraw/zinc/54/00/82/1062540082.db2.gz NHGUKEOEFBNOSK-HNAYVOBHSA-N 0 3 317.452 4.455 20 0 DIADHN CC[C@@H]1CCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)[C@@H]1C ZINC001459981957 1062542672 /nfs/dbraw/zinc/54/26/72/1062542672.db2.gz CXCGABXPOZSOQB-HUUCEWRRSA-N 0 3 302.462 4.043 20 0 DIADHN CCSc1ccccc1CN1CCC[C@@H](OCC2CC2)C1 ZINC001459983380 1062542876 /nfs/dbraw/zinc/54/28/76/1062542876.db2.gz CYHWOXDFKMESTM-QGZVFWFLSA-N 0 3 305.487 4.190 20 0 DIADHN CCC[C@@H]1CCCC[C@H]1NCc1ncccc1Br ZINC001459982945 1062545637 /nfs/dbraw/zinc/54/56/37/1062545637.db2.gz KRNGTQUONLDFIF-TZMCWYRMSA-N 0 3 311.267 4.293 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)NCc1ccc(F)cc1F ZINC001255727269 1062545511 /nfs/dbraw/zinc/54/55/11/1062545511.db2.gz ZLNGDXZESVPEBX-NSHDSACASA-N 0 3 311.372 4.374 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1nc(-c2ccccc2F)no1 ZINC001459984618 1062546412 /nfs/dbraw/zinc/54/64/12/1062546412.db2.gz DRDCANLOKRBWBZ-WFASDCNBSA-N 0 3 317.408 4.180 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)CSc2ccc(F)cc2)nc1 ZINC001255728182 1062551352 /nfs/dbraw/zinc/55/13/52/1062551352.db2.gz OHLWKELIAVARGO-KBPBESRZSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@@H]1CCN(Cc2cnnn2-c2ccccc2)CC12CCCCC2 ZINC001459998381 1062555910 /nfs/dbraw/zinc/55/59/10/1062555910.db2.gz ZTQDBFVSHYQYIG-QGZVFWFLSA-N 0 3 324.472 4.060 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CCC(F)(F)[C@H](C)C1 ZINC001255739438 1062565042 /nfs/dbraw/zinc/56/50/42/1062565042.db2.gz XKMLLLKMRDRASO-NEPJUHHUSA-N 0 3 303.393 4.283 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N1CCc2sccc2C1 ZINC001255739194 1062566534 /nfs/dbraw/zinc/56/65/34/1062566534.db2.gz VZUPVFKKLVEMKW-LBPRGKRZSA-N 0 3 307.459 4.426 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N(C)Cc1ccc(Cl)nc1 ZINC001255747744 1062568188 /nfs/dbraw/zinc/56/81/88/1062568188.db2.gz MOLVUNOQEXYRQH-GFCCVEGCSA-N 0 3 324.852 4.487 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)CSc2ccc(F)cc2)cn1 ZINC001255747371 1062568413 /nfs/dbraw/zinc/56/84/13/1062568413.db2.gz JZQYDYMLQCLRIV-CQSZACIVSA-N 0 3 304.434 4.142 20 0 DIADHN C[C@@H](CSc1ccc(F)cc1)N(C)Cc1ccc(Cl)nc1 ZINC001255747745 1062569361 /nfs/dbraw/zinc/56/93/61/1062569361.db2.gz MOLVUNOQEXYRQH-LBPRGKRZSA-N 0 3 324.852 4.487 20 0 DIADHN CCc1ccc2ccccc2c1CN1CCC[C@@H]1c1cc[nH]n1 ZINC001460017477 1062570592 /nfs/dbraw/zinc/57/05/92/1062570592.db2.gz NWBKYJIREUKGQY-HXUWFJFHSA-N 0 3 305.425 4.462 20 0 DIADHN Cc1ccc(SC[C@@H](C)NC(C)(C)c2ccccn2)cc1 ZINC001255754003 1062576897 /nfs/dbraw/zinc/57/68/97/1062576897.db2.gz ARWDVJUXPGIECW-OAHLLOKOSA-N 0 3 300.471 4.396 20 0 DIADHN COc1cccc(CN[C@H](C)CSc2ccc(C)cc2)c1F ZINC001255756003 1062577099 /nfs/dbraw/zinc/57/70/99/1062577099.db2.gz NAAKLVOODCMPBW-CQSZACIVSA-N 0 3 319.445 4.413 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@H]2COc3ccc(F)cc32)cc1 ZINC001255759404 1062581244 /nfs/dbraw/zinc/58/12/44/1062581244.db2.gz TYFVIICOHOSPND-GUYCJALGSA-N 0 3 317.429 4.338 20 0 DIADHN Cc1ccc(SC[C@H](C)N[C@@H]2COc3ccc(F)cc32)cc1 ZINC001255759405 1062581695 /nfs/dbraw/zinc/58/16/95/1062581695.db2.gz TYFVIICOHOSPND-SUMWQHHRSA-N 0 3 317.429 4.338 20 0 DIADHN CCN(Cc1cccnc1)[C@@H](C)CSc1ccc(C)cc1 ZINC001255764785 1062586109 /nfs/dbraw/zinc/58/61/09/1062586109.db2.gz AROFNJQAYLONEK-INIZCTEOSA-N 0 3 300.471 4.393 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2cc(-c3ccccc3)on2)cc1 ZINC001255777590 1062599314 /nfs/dbraw/zinc/59/93/14/1062599314.db2.gz LVOQIGODXOAKBO-MRXNPFEDSA-N 0 3 306.409 4.371 20 0 DIADHN COc1ccc(F)c(F)c1CN[C@H](C)Cc1ccc(C)cc1 ZINC001255778626 1062599492 /nfs/dbraw/zinc/59/94/92/1062599492.db2.gz XRDRJIPFJXCCAS-CYBMUJFWSA-N 0 3 305.368 4.003 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@H](CO)c2cccc(Cl)c2F)cc1 ZINC001255786551 1062609571 /nfs/dbraw/zinc/60/95/71/1062609571.db2.gz YKMQHRNOBBHOSM-SUMWQHHRSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cn3ccc(C)cc3n2)cc1 ZINC001255795501 1062611410 /nfs/dbraw/zinc/61/14/10/1062611410.db2.gz GMKRHYCAAMADLA-KRWDZBQOSA-N 0 3 307.441 4.014 20 0 DIADHN CCO[C@H]1C[C@H](NCc2c(F)ccc(C)c2F)C12CCCCC2 ZINC001460072810 1062618457 /nfs/dbraw/zinc/61/84/57/1062618457.db2.gz ZQYIMAJTGSAYSB-IRXDYDNUSA-N 0 3 323.427 4.491 20 0 DIADHN CCCCO[C@H]1C[C@@H](NCc2cc(C(F)(F)F)co2)C1(C)C ZINC001460076487 1062619471 /nfs/dbraw/zinc/61/94/71/1062619471.db2.gz NUWLUFALZWFPEW-KGLIPLIRSA-N 0 3 319.367 4.372 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1CCOc2c(F)cc(F)cc21 ZINC001255839321 1062625359 /nfs/dbraw/zinc/62/53/59/1062625359.db2.gz UWUZTSVQDJQKAJ-PIGZYNQJSA-N 0 3 321.342 4.148 20 0 DIADHN CCN1CCN(Cc2ccc(C3CCCCC3)cc2)[C@@H](C)C1 ZINC001460088658 1062629085 /nfs/dbraw/zinc/62/90/85/1062629085.db2.gz FOUCKVIQYXLAHU-KRWDZBQOSA-N 0 3 300.490 4.260 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)NCc1cc(F)c(F)cc1Cl ZINC001255860340 1062636268 /nfs/dbraw/zinc/63/62/68/1062636268.db2.gz FTCYRFWKMAAKJK-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@@H]1CCOc2cc(F)cc(F)c21 ZINC001255863816 1062641011 /nfs/dbraw/zinc/64/10/11/1062641011.db2.gz QKBSFGHJWDFQGY-BDJLRTHQSA-N 0 3 321.342 4.148 20 0 DIADHN C[C@H](Cc1ccc(F)cc1)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001255863817 1062641209 /nfs/dbraw/zinc/64/12/09/1062641209.db2.gz QKBSFGHJWDFQGY-BZNIZROVSA-N 0 3 321.342 4.148 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)[C@H]1C[C@@H](OC)C12CCC2 ZINC001460111426 1062643175 /nfs/dbraw/zinc/64/31/75/1062643175.db2.gz NCAGPPGSNDUGMF-RBUKOAKNSA-N 0 3 316.489 4.157 20 0 DIADHN CCCCN(Cc1cccnc1Cl)[C@@H]1CCCC[C@H]1OC ZINC001460106669 1062643552 /nfs/dbraw/zinc/64/35/52/1062643552.db2.gz PHLPWGLEWMROJP-HZPDHXFCSA-N 0 3 310.869 4.295 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2ccc(Cl)nc2Cl)C1(C)C ZINC001460117547 1062645751 /nfs/dbraw/zinc/64/57/51/1062645751.db2.gz BWSIYEHAVHWMRL-NWDGAFQWSA-N 0 3 317.260 4.070 20 0 DIADHN COc1cccc(CN2Cc3ccccc3OC(C)(C)C2)c1C ZINC001460174332 1062677939 /nfs/dbraw/zinc/67/79/39/1062677939.db2.gz IKIYCCXKIBVSMF-UHFFFAOYSA-N 0 3 311.425 4.177 20 0 DIADHN CCCC[C@H](CC)CN(Cc1ccc(C(N)=O)cc1F)C1CC1 ZINC001460180490 1062682165 /nfs/dbraw/zinc/68/21/65/1062682165.db2.gz OEBXVBDUAQGDBN-AWEZNQCLSA-N 0 3 320.452 4.105 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)Cc1ccccc1N(C)C ZINC001460180336 1062682726 /nfs/dbraw/zinc/68/27/26/1062682726.db2.gz FHLARCQGPBDGEU-SFHVURJKSA-N 0 3 312.457 4.344 20 0 DIADHN CCc1ccc(CN(C)[C@@H]2C[C@@H](Oc3ccccc3)C2(C)C)nc1 ZINC001460192821 1062689565 /nfs/dbraw/zinc/68/95/65/1062689565.db2.gz ACQHCUOGKCZHFK-WOJBJXKFSA-N 0 3 324.468 4.322 20 0 DIADHN COc1ccc(C(=O)CN[C@@H]2CCC[C@H](c3ccccc3)C2)cc1 ZINC001256104981 1062704989 /nfs/dbraw/zinc/70/49/89/1062704989.db2.gz AKKJYPNWMRZNNJ-RBUKOAKNSA-N 0 3 323.436 4.194 20 0 DIADHN Cc1ccc(CN)nc1N(C)[C@H]1CCC[C@H](c2ccccc2)C1 ZINC001256106478 1062705129 /nfs/dbraw/zinc/70/51/29/1062705129.db2.gz QCEAURHUAZIWQC-HKUYNNGSSA-N 0 3 309.457 4.011 20 0 DIADHN Fc1cccc(CCCN2CCc3cccc(Cl)c3C2)c1 ZINC001460223114 1062707560 /nfs/dbraw/zinc/70/75/60/1062707560.db2.gz ZQLUYMWLBYUJON-UHFFFAOYSA-N 0 3 303.808 4.470 20 0 DIADHN Cc1ccc(C(=O)CN[C@H]2CCC[C@H](c3ccccc3)C2)cc1 ZINC001256108516 1062710897 /nfs/dbraw/zinc/71/08/97/1062710897.db2.gz LMZIDDYGIGCBEJ-PMACEKPBSA-N 0 3 307.437 4.494 20 0 DIADHN C[C@H]1CN(CCCSC(C)(C)C)CCN1Cc1ccccc1 ZINC001460239331 1062721853 /nfs/dbraw/zinc/72/18/53/1062721853.db2.gz ILVBCZORZSQOQC-KRWDZBQOSA-N 0 3 320.546 4.115 20 0 DIADHN Cc1cccc(CN(C)CCc2c(Cl)cccc2Cl)n1 ZINC001460248410 1062728472 /nfs/dbraw/zinc/72/84/72/1062728472.db2.gz IDXKLROSQNZJPK-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CC(C)(C)C[C@@H]1CCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001460258608 1062734694 /nfs/dbraw/zinc/73/46/94/1062734694.db2.gz RRBJETNOZDCNPZ-ZDUSSCGKSA-N 0 3 323.864 4.369 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CC[C@@H](C2CCCC2)C1 ZINC001460265367 1062740716 /nfs/dbraw/zinc/74/07/16/1062740716.db2.gz MFWAERCVWKZLKQ-CQSZACIVSA-N 0 3 317.864 4.239 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC(CF)CC1 ZINC001256185308 1062741162 /nfs/dbraw/zinc/74/11/62/1062741162.db2.gz ANXPVWVSPFIMJP-LBPRGKRZSA-N 0 3 314.242 4.062 20 0 DIADHN C[C@H](Cc1cccc(Br)c1)N1CCC12CCCC2 ZINC001256182734 1062743197 /nfs/dbraw/zinc/74/31/97/1062743197.db2.gz MSEWFUYXCOZPMU-CYBMUJFWSA-N 0 3 308.263 4.399 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CC[C@H](C2CCCC2)C1 ZINC001460267466 1062745549 /nfs/dbraw/zinc/74/55/49/1062745549.db2.gz WHIUZBZOFDYFIE-HNNXBMFYSA-N 0 3 320.864 4.099 20 0 DIADHN C[C@H](CCc1ccc(Br)cc1)N1CCC[C@@H](F)C1 ZINC001256227467 1062753775 /nfs/dbraw/zinc/75/37/75/1062753775.db2.gz VRTHYWPRNWQXAC-IUODEOHRSA-N 0 3 314.242 4.204 20 0 DIADHN Cc1ccccc1C1(NCc2ccc(F)c3cccnc23)CC1 ZINC001460293253 1062763956 /nfs/dbraw/zinc/76/39/56/1062763956.db2.gz RCYAMMZHLAUKRV-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN Cc1cccc(C2(NCC(=O)Nc3ccc(C)c(C)c3)CCC2)c1 ZINC001460292556 1062765482 /nfs/dbraw/zinc/76/54/82/1062765482.db2.gz QJEKSUMRPSQONK-UHFFFAOYSA-N 0 3 322.452 4.219 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CC[C@H](Oc2ccccc2)C1 ZINC001460299453 1062769508 /nfs/dbraw/zinc/76/95/08/1062769508.db2.gz HOMTZRKHRGFYLU-HNAYVOBHSA-N 0 3 313.828 4.481 20 0 DIADHN CC(C)N(CCNC(=O)OC(C)(C)C)[C@H](C)c1ccsc1 ZINC001460307861 1062774269 /nfs/dbraw/zinc/77/42/69/1062774269.db2.gz YHYFUAIAFNOFJF-CYBMUJFWSA-N 0 3 312.479 4.044 20 0 DIADHN CO[C@@H](CN1CCc2ccc(F)cc2[C@@H](C)C1)C1CCCCC1 ZINC001460330115 1062783914 /nfs/dbraw/zinc/78/39/14/1062783914.db2.gz AWUAHQZWJKCBMF-YWZLYKJASA-N 0 3 319.464 4.383 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)C[C@@H]1CC(C)(C)CO1 ZINC001460333044 1062785022 /nfs/dbraw/zinc/78/50/22/1062785022.db2.gz FNFDEUZYFDCARZ-HNNXBMFYSA-N 0 3 301.430 4.297 20 0 DIADHN CO[C@H](CN1CCc2ccc(F)cc2[C@@H](C)C1)C1CCCCC1 ZINC001460330109 1062786408 /nfs/dbraw/zinc/78/64/08/1062786408.db2.gz AWUAHQZWJKCBMF-MGPUTAFESA-N 0 3 319.464 4.383 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC[C@H]2C2CCC2)cc1Cl ZINC001460342379 1062791903 /nfs/dbraw/zinc/79/19/03/1062791903.db2.gz KRYPHKWGCXRJGV-KRWDZBQOSA-N 0 3 320.864 4.242 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC[C@@H](OC(C)C)C1 ZINC001460340209 1062794440 /nfs/dbraw/zinc/79/44/40/1062794440.db2.gz JLQVTJCPLWQBDS-GOSISDBHSA-N 0 3 305.462 4.208 20 0 DIADHN Cc1ccc(F)c(CN2CCc3ccccc3[C@H](C)C2)c1F ZINC001460346390 1062797776 /nfs/dbraw/zinc/79/77/76/1062797776.db2.gz ZYYWPTREAJMKFT-CQSZACIVSA-N 0 3 301.380 4.435 20 0 DIADHN c1ccc(-c2ncc(CN3CCC(C4CCC4)CC3)cn2)cc1 ZINC001460358290 1062803360 /nfs/dbraw/zinc/80/33/60/1062803360.db2.gz DEYINAOTRFAKQB-UHFFFAOYSA-N 0 3 307.441 4.156 20 0 DIADHN CC(C)C[C@@H](N)c1nc2ccccc2n1[C@@H](C)Cc1ccccn1 ZINC001256402473 1062806211 /nfs/dbraw/zinc/80/62/11/1062806211.db2.gz JCGZAGBYZUZCDO-DOTOQJQBSA-N 0 3 322.456 4.281 20 0 DIADHN CCOc1cccc(Cl)c1CN1CCC2(CC2(F)F)CC1 ZINC001460361551 1062809442 /nfs/dbraw/zinc/80/94/42/1062809442.db2.gz JLEKIGGPKNPWQF-UHFFFAOYSA-N 0 3 315.791 4.360 20 0 DIADHN C[C@@H](Cc1ccccn1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001256421483 1062814368 /nfs/dbraw/zinc/81/43/68/1062814368.db2.gz KJNUQZGPVYNTHJ-MAUKXSAKSA-N 0 3 320.440 4.050 20 0 DIADHN CCOC1CCC(NCc2c(F)ccc(Cl)c2F)CC1 ZINC001256424030 1062816316 /nfs/dbraw/zinc/81/63/16/1062816316.db2.gz AXRKUHHVKVYGIR-UHFFFAOYSA-N 0 3 303.780 4.056 20 0 DIADHN CC1(C)CN(Cc2ccn(C3CCCC3)n2)CCc2ccccc21 ZINC001460405771 1062835314 /nfs/dbraw/zinc/83/53/14/1062835314.db2.gz MHXDBJFJZIWGLI-UHFFFAOYSA-N 0 3 323.484 4.334 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1ccccc1Cc1ccccc1 ZINC001460411340 1062840472 /nfs/dbraw/zinc/84/04/72/1062840472.db2.gz ZWSUPKOMECOFLE-KRWDZBQOSA-N 0 3 324.468 4.336 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC001460406681 1062841173 /nfs/dbraw/zinc/84/11/73/1062841173.db2.gz IAVDWKZGWCCWHL-SFHVURJKSA-N 0 3 324.468 4.340 20 0 DIADHN C[C@@H](N[C@H]1CCCC12CCCCC2)c1cn(-c2ccccc2)nn1 ZINC001460416431 1062845566 /nfs/dbraw/zinc/84/55/66/1062845566.db2.gz MLPNFFUJHDCJIV-APWZRJJASA-N 0 3 324.472 4.421 20 0 DIADHN C=C/C=C/CCN1Cc2ccccc2[C@H](C(=O)OC(C)(C)C)C1 ZINC001460417219 1062849265 /nfs/dbraw/zinc/84/92/65/1062849265.db2.gz LFSZXALWZRBAIM-IPLHWJFFSA-N 0 3 313.441 4.060 20 0 DIADHN c1nnn(-c2ccccc2)c1CN1CCC[C@H](C2CCCCC2)C1 ZINC001460508420 1062887308 /nfs/dbraw/zinc/88/73/08/1062887308.db2.gz DFZDZETWRAHWJJ-SFHVURJKSA-N 0 3 324.472 4.060 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@H]1CC1(C)C ZINC001460520737 1062896498 /nfs/dbraw/zinc/89/64/98/1062896498.db2.gz VUFCFDUMCLLYJR-WMLDXEAASA-N 0 3 310.441 4.145 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@](C)(CC)c1ccc(F)cc1 ZINC001471017122 1062907096 /nfs/dbraw/zinc/90/70/96/1062907096.db2.gz FWZWDBTXLPXDRE-LJQANCHMSA-N 0 3 322.468 4.079 20 0 DIADHN Cc1cccc([C@@H](C)N2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1 ZINC001460548031 1062907467 /nfs/dbraw/zinc/90/74/67/1062907467.db2.gz ZYNICDOFQGKXFU-QMMLZNLJSA-N 0 3 306.453 4.015 20 0 DIADHN CC1(C)[C@@H](c2cccc(F)c2)CCN1Cc1cccc(F)n1 ZINC001460602809 1062930076 /nfs/dbraw/zinc/93/00/76/1062930076.db2.gz JUWIXJQKXAGADF-MRXNPFEDSA-N 0 3 302.368 4.128 20 0 DIADHN COC(=O)c1csc(CN[C@@H](c2cccc(C)c2)C(C)C)c1 ZINC001460607932 1062932001 /nfs/dbraw/zinc/93/20/01/1062932001.db2.gz MGCQIMZGXICZPJ-QGZVFWFLSA-N 0 3 317.454 4.330 20 0 DIADHN COc1ccc(CN(C)C[C@H]2CC[C@@H](c3ccccc3)O2)cc1 ZINC001460620697 1062939374 /nfs/dbraw/zinc/93/93/74/1062939374.db2.gz TZTUECOQDNZEPP-UXHICEINSA-N 0 3 311.425 4.047 20 0 DIADHN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NCc1cc(Cl)cc(Cl)c1F ZINC001460622313 1062940756 /nfs/dbraw/zinc/94/07/56/1062940756.db2.gz NIKJCJYOUDBTNM-FJBRNLEUSA-N 0 3 306.208 4.034 20 0 DIADHN Fc1cccc(N2CCN(CC/C=C\c3ccccc3)CC2)c1 ZINC001460638689 1062955220 /nfs/dbraw/zinc/95/52/20/1062955220.db2.gz OXOMRGLHEHCELD-WTKPLQERSA-N 0 3 310.416 4.051 20 0 DIADHN C[C@@]1(Cc2ccc(F)c(C(F)(F)F)c2)CCCN1CCF ZINC001460646698 1062957585 /nfs/dbraw/zinc/95/75/85/1062957585.db2.gz QPEQRJFHMYMWOT-AWEZNQCLSA-N 0 3 307.306 4.211 20 0 DIADHN C[C@]1(Cc2ccc(F)c(F)c2)CCCN1Cc1ccnc(F)c1 ZINC001460654292 1062965359 /nfs/dbraw/zinc/96/53/59/1062965359.db2.gz PLHUPFPWTNVZCH-GOSISDBHSA-N 0 3 320.358 4.096 20 0 DIADHN C[C@H](c1ccc(C(C)(C)C)cc1)N1CCc2nccc(N)c2C1 ZINC001460663425 1062968239 /nfs/dbraw/zinc/96/82/39/1062968239.db2.gz MCXFYQPFLIQPII-CQSZACIVSA-N 0 3 309.457 4.081 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC001256785967 1062977359 /nfs/dbraw/zinc/97/73/59/1062977359.db2.gz MOYJTRLODCZGIA-GMBSWORKSA-N 0 3 321.424 4.149 20 0 DIADHN C[C@@H](NCc1ccc([Si](C)(C)C)cc1)c1cc2cnccc2o1 ZINC001460675515 1062979065 /nfs/dbraw/zinc/97/90/65/1062979065.db2.gz IUNFXNRWTSYJAH-CQSZACIVSA-N 0 3 324.500 4.224 20 0 DIADHN C[C@H](NCc1nc(C(F)(F)F)cs1)[C@@]12C[C@@H]1CCCC2 ZINC001460677181 1062980596 /nfs/dbraw/zinc/98/05/96/1062980596.db2.gz VBLDAKWCGAQIHT-OUJBWJOFSA-N 0 3 304.381 4.220 20 0 DIADHN Cc1cc(C)c([C@@H](C)N2CCN(C)c3ncccc3C2)c(C)c1 ZINC001460674888 1062980320 /nfs/dbraw/zinc/98/03/20/1062980320.db2.gz GAJRVAFUVVKBKJ-QGZVFWFLSA-N 0 3 309.457 4.020 20 0 DIADHN Cc1nnsc1CN(CCc1ccccc1)CCC(C)(C)C ZINC001460688793 1062986704 /nfs/dbraw/zinc/98/67/04/1062986704.db2.gz DYOLGYFKXRFTKF-UHFFFAOYSA-N 0 3 317.502 4.327 20 0 DIADHN COc1nscc1CN1CC[C@@H](c2ccc(C)cc2)C1(C)C ZINC001460691893 1062990422 /nfs/dbraw/zinc/99/04/22/1062990422.db2.gz BFIVUHVZSBLKDI-INIZCTEOSA-N 0 3 316.470 4.228 20 0 DIADHN CC[C@@H](NCc1csc(C2CCCCC2)n1)[C@H]1CCCOC1 ZINC001460694348 1062990616 /nfs/dbraw/zinc/99/06/16/1062990616.db2.gz UXXCDKDTJGCREL-DOTOQJQBSA-N 0 3 322.518 4.486 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@@H]2CCO[C@@H](c3ccccc3)C2)no1 ZINC001256807032 1062996089 /nfs/dbraw/zinc/99/60/89/1062996089.db2.gz MXCMSSWXIQJGDS-NZSAHSFTSA-N 0 3 312.413 4.040 20 0 DIADHN CCc1ccc(CN2CCN(Cc3cc(C)cc(C)c3)CC2)cc1 ZINC001460699175 1062997011 /nfs/dbraw/zinc/99/70/11/1062997011.db2.gz ILSKTBQCBTUVIL-UHFFFAOYSA-N 0 3 322.496 4.184 20 0 DIADHN CN(Cc1ccc(F)cc1F)[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001256822379 1063001304 /nfs/dbraw/zinc/00/13/04/1063001304.db2.gz QVNHOOGEDCXZFX-IEBWSBKVSA-N 0 3 317.379 4.317 20 0 DIADHN CN(Cc1cccc(F)c1F)[C@H]1CCO[C@H](c2ccccc2)C1 ZINC001256832487 1063006735 /nfs/dbraw/zinc/00/67/35/1063006735.db2.gz ZRIPEAJIJQYPEC-WMZOPIPTSA-N 0 3 317.379 4.317 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](c3ccc(F)c(F)c3)C2(C)C)[nH]1 ZINC001460718185 1063008358 /nfs/dbraw/zinc/00/83/58/1063008358.db2.gz JVRRCLQALKQJNZ-AWEZNQCLSA-N 0 3 319.399 4.073 20 0 DIADHN C[C@H]1c2sccc2CCN1Cc1ccc(-n2cccn2)cc1 ZINC001460717577 1063011853 /nfs/dbraw/zinc/01/18/53/1063011853.db2.gz DJFAURJVGSWOKI-AWEZNQCLSA-N 0 3 309.438 4.053 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CCCCC12CC2 ZINC001256847393 1063012781 /nfs/dbraw/zinc/01/27/81/1063012781.db2.gz KNYDBNJVXNKZRO-CYBMUJFWSA-N 0 3 308.263 4.399 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3ncc(C)s3)C2(C)C)c1 ZINC001460721278 1063015575 /nfs/dbraw/zinc/01/55/75/1063015575.db2.gz UIIFTNMKAKGDFJ-INIZCTEOSA-N 0 3 316.470 4.228 20 0 DIADHN C[C@@H](CN(C)C(=O)c1cccc(CN2CCCC2)c1)C(C)(C)C ZINC001471740262 1063018053 /nfs/dbraw/zinc/01/80/53/1063018053.db2.gz NQSNIUYGXMVNBR-INIZCTEOSA-N 0 3 316.489 4.037 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1Cc1cccc2ncccc12 ZINC001460729415 1063020490 /nfs/dbraw/zinc/02/04/90/1063020490.db2.gz YXLUDSOBIWQKKO-AWEZNQCLSA-N 0 3 306.384 4.493 20 0 DIADHN Cc1ccccc1[C@H](C)N1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC001460732090 1063023991 /nfs/dbraw/zinc/02/39/91/1063023991.db2.gz SGBUOTCGUDJBNX-YDHLFZDLSA-N 0 3 301.352 4.098 20 0 DIADHN COc1ncc(CN2CC[C@](C)(C(F)(F)F)C2)c2ccccc21 ZINC001460734635 1063027192 /nfs/dbraw/zinc/02/71/92/1063027192.db2.gz KXWGVEUXJADJOA-INIZCTEOSA-N 0 3 324.346 4.018 20 0 DIADHN OC1(C2(CNCc3cccc(Cl)c3Cl)CCC2)CCC1 ZINC001460749815 1063036942 /nfs/dbraw/zinc/03/69/42/1063036942.db2.gz UATAAUCYUOGFSI-UHFFFAOYSA-N 0 3 314.256 4.168 20 0 DIADHN COC(=O)c1csc(CN2CC[C@@H](C)[C@@H]2c2ccccc2)c1 ZINC001460750686 1063038964 /nfs/dbraw/zinc/03/89/64/1063038964.db2.gz ZHQIGWZUKAAPHN-CXAGYDPISA-N 0 3 315.438 4.118 20 0 DIADHN C[C@H](COCC1CCCCC1)NCc1ccc2ccccc2n1 ZINC001460812018 1063077292 /nfs/dbraw/zinc/07/72/92/1063077292.db2.gz BKUIDHWEAVOBFL-MRXNPFEDSA-N 0 3 312.457 4.310 20 0 DIADHN C[C@H]1CCC[C@H](CCN(C)CC(=O)NCCC2=CCCCC2)C1 ZINC001460823376 1063085366 /nfs/dbraw/zinc/08/53/66/1063085366.db2.gz IXUGCPSJDFYUGK-PKOBYXMFSA-N 0 3 320.521 4.141 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@@H]2c2ccc(Cl)cc2)C1 ZINC001257062539 1063095131 /nfs/dbraw/zinc/09/51/31/1063095131.db2.gz MBCPYEHUXFXUMC-HYVNUMGLSA-N 0 3 321.848 4.209 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N2CCC[C@H]2c2ccccc2Cl)C1 ZINC001257064139 1063096978 /nfs/dbraw/zinc/09/69/78/1063096978.db2.gz STLOTSGQBWYAIK-KEYYUXOJSA-N 0 3 321.848 4.209 20 0 DIADHN OCC[C@H](NCc1nc(C2CCCCC2)cs1)C1CCCC1 ZINC001460852078 1063099408 /nfs/dbraw/zinc/09/94/08/1063099408.db2.gz NYWOMYFKLRFEQO-INIZCTEOSA-N 0 3 322.518 4.222 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)C1(C)CCC(C)CC1)c1ccco1 ZINC001472561567 1063103888 /nfs/dbraw/zinc/10/38/88/1063103888.db2.gz VXEQKTMXBITSGJ-BHEGOAEISA-N 0 3 320.477 4.041 20 0 DIADHN Fc1ccc(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)cc1 ZINC001460863804 1063106247 /nfs/dbraw/zinc/10/62/47/1063106247.db2.gz XBVNZQATPCWASG-HXUWFJFHSA-N 0 3 309.384 4.266 20 0 DIADHN Cc1ncc(CN2CCC[C@@]2(C)Cc2ccc(Cl)s2)o1 ZINC001460867703 1063109050 /nfs/dbraw/zinc/10/90/50/1063109050.db2.gz AOYNJHWEINJEJY-HNNXBMFYSA-N 0 3 310.850 4.295 20 0 DIADHN C[C@H]1CC(N(C)Cc2ccc(Cl)cc2Cl)C[C@H](C)O1 ZINC001257090818 1063109483 /nfs/dbraw/zinc/10/94/83/1063109483.db2.gz XDCGXENFUFKQDK-QWRGUYRKSA-N 0 3 302.245 4.381 20 0 DIADHN C[C@@]1(CN2CCO[C@@H](c3ccccc3)CC2)CC1(Cl)Cl ZINC001460944562 1063151196 /nfs/dbraw/zinc/15/11/96/1063151196.db2.gz DYPRPDFVQROEFY-CABCVRRESA-N 0 3 314.256 4.034 20 0 DIADHN Clc1oc2ccccc2c1CNC1([C@H]2CCCO2)CCC1 ZINC001460948121 1063154130 /nfs/dbraw/zinc/15/41/30/1063154130.db2.gz QFIGSTIBWKRAKU-OAHLLOKOSA-N 0 3 305.805 4.278 20 0 DIADHN Cc1cc(C)cc(CN[C@]2(CO)CC[C@H](C)c3ccccc32)c1 ZINC001460948433 1063154736 /nfs/dbraw/zinc/15/47/36/1063154736.db2.gz ODSOSGGPXJHIBL-UWJYYQICSA-N 0 3 309.453 4.178 20 0 DIADHN CCC[C@H](CC)NCc1ncc(Cl)cc1Br ZINC001257249695 1063157477 /nfs/dbraw/zinc/15/74/77/1063157477.db2.gz UGXVFUYCLXAQDT-JTQLQIEISA-N 0 3 305.647 4.166 20 0 DIADHN CCC[C@@H](CC)NCC(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC001257251194 1063160546 /nfs/dbraw/zinc/16/05/46/1063160546.db2.gz KYAKIYRBHPEYRI-LLVKDONJSA-N 0 3 305.315 4.196 20 0 DIADHN c1sc(C2CCCC2)nc1CN[C@@H]1CCOCC12CCCC2 ZINC001460967910 1063163018 /nfs/dbraw/zinc/16/30/18/1063163018.db2.gz VIPAVCFMPKQYFF-MRXNPFEDSA-N 0 3 320.502 4.240 20 0 DIADHN CN(c1ccccc1)c1ccc(CNCc2ccccc2)cn1 ZINC001461000330 1063174583 /nfs/dbraw/zinc/17/45/83/1063174583.db2.gz KRWHGJQRASGVAD-UHFFFAOYSA-N 0 3 303.409 4.139 20 0 DIADHN Cc1ncoc1CNCCc1c(C)cc(C(C)(C)C)cc1C ZINC001460999948 1063174684 /nfs/dbraw/zinc/17/46/84/1063174684.db2.gz QGZIAPHZCICDSG-UHFFFAOYSA-N 0 3 300.446 4.230 20 0 DIADHN COc1ccc2cc(CC[C@H](C)NCc3cc(C)no3)ccc2c1 ZINC001257273566 1063176115 /nfs/dbraw/zinc/17/61/15/1063176115.db2.gz RCBUGUVECSBOOV-AWEZNQCLSA-N 0 3 324.424 4.256 20 0 DIADHN CCC(CC)NCc1c(F)c(F)cc(Br)c1F ZINC001257285498 1063176494 /nfs/dbraw/zinc/17/64/94/1063176494.db2.gz INQVRGPQXKWEPD-UHFFFAOYSA-N 0 3 310.157 4.145 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN[C@H](C)c1ccccc1 ZINC001461001465 1063177188 /nfs/dbraw/zinc/17/71/88/1063177188.db2.gz UTOLXDKVYJBCFB-CQSZACIVSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1cc(Oc2ccc(CNC[C@@H](C)O)c(Cl)c2)ccc1F ZINC001461001524 1063177664 /nfs/dbraw/zinc/17/76/64/1063177664.db2.gz XMCJAPKKIPUVQH-GFCCVEGCSA-N 0 3 323.795 4.050 20 0 DIADHN Fc1ccc2oc(CNCCOc3ccc(Cl)cc3)cc2c1 ZINC001461002018 1063178016 /nfs/dbraw/zinc/17/80/16/1063178016.db2.gz RWCKVYZKZHMGAZ-UHFFFAOYSA-N 0 3 319.763 4.394 20 0 DIADHN FC(F)Oc1ccccc1CNCc1cccc2ncccc12 ZINC001461013415 1063188682 /nfs/dbraw/zinc/18/86/82/1063188682.db2.gz IGYPRKMXIIOIOV-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CNCc1cccc(C)c1 ZINC001461019776 1063194056 /nfs/dbraw/zinc/19/40/56/1063194056.db2.gz AJLJTAQPTNHSOB-UHFFFAOYSA-N 0 3 315.486 4.040 20 0 DIADHN c1cn(-c2ccccc2)c(CNCC2(c3ccccc3)CCC2)n1 ZINC001461025987 1063196898 /nfs/dbraw/zinc/19/68/98/1063196898.db2.gz KMOMPNZNKKNQLA-UHFFFAOYSA-N 0 3 317.436 4.084 20 0 DIADHN CCC[C@@H](C)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001257314986 1063199531 /nfs/dbraw/zinc/19/95/31/1063199531.db2.gz RJDREWYOXHYKPP-BEFAXECRSA-N 0 3 307.441 4.441 20 0 DIADHN Cc1coc(CNC[C@@H](c2cccc(Cl)c2)N2CCCC2)c1 ZINC001461043850 1063212971 /nfs/dbraw/zinc/21/29/71/1063212971.db2.gz JYAMAMLYGANQKX-SFHVURJKSA-N 0 3 318.848 4.168 20 0 DIADHN CCOc1ccccc1CNCCOc1cccc2ccccc21 ZINC001461065317 1063227217 /nfs/dbraw/zinc/22/72/17/1063227217.db2.gz FUMLSTUDLBRGFN-UHFFFAOYSA-N 0 3 321.420 4.407 20 0 DIADHN Cc1cc(CNCCOc2ccc(C(F)(F)F)cc2)c(C)o1 ZINC001461072796 1063233625 /nfs/dbraw/zinc/23/36/25/1063233625.db2.gz JYLLHROAUACLOL-UHFFFAOYSA-N 0 3 313.319 4.084 20 0 DIADHN CCCCCC1CCC(N2CCC[C@]3(CCC(=O)O3)C2)CC1 ZINC001257375295 1063242227 /nfs/dbraw/zinc/24/22/27/1063242227.db2.gz JACVNTINRIIZBZ-TVPLGVNVSA-N 0 3 307.478 4.297 20 0 DIADHN CCCCCC1CCC(N2CC(N3CCC(F)CC3)C2)CC1 ZINC001257375931 1063242421 /nfs/dbraw/zinc/24/24/21/1063242421.db2.gz VDTDJFAIVCPLIW-UHFFFAOYSA-N 0 3 310.501 4.244 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1cccc(F)c1Cl ZINC001257383219 1063244999 /nfs/dbraw/zinc/24/49/99/1063244999.db2.gz OJTVHODBQHPIQF-LBPRGKRZSA-N 0 3 315.816 4.081 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)N[C@@H](C)CCC=C(C)C ZINC001478675578 1063245932 /nfs/dbraw/zinc/24/59/32/1063245932.db2.gz YXCJNQXXVHBQIU-SFHVURJKSA-N 0 3 323.525 4.029 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1cc(Cl)ccc1F ZINC001257390444 1063248471 /nfs/dbraw/zinc/24/84/71/1063248471.db2.gz XSWYHVMSCWFVNR-GFCCVEGCSA-N 0 3 315.816 4.081 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)NCc1cccc(Cl)c1F ZINC001257384731 1063248880 /nfs/dbraw/zinc/24/88/80/1063248880.db2.gz AQFAZQRESJGHMI-GFCCVEGCSA-N 0 3 315.816 4.081 20 0 DIADHN Fc1cc(CNCc2ccccc2C2CC2)ccc1-n1ccnc1 ZINC001461092310 1063248878 /nfs/dbraw/zinc/24/88/78/1063248878.db2.gz IBYYNCUJGJIKKP-UHFFFAOYSA-N 0 3 321.399 4.179 20 0 DIADHN CCn1ccnc1CNCC1(c2cccc(F)c2)CCCCC1 ZINC001461097427 1063249820 /nfs/dbraw/zinc/24/98/20/1063249820.db2.gz SJRWSTTVHKNVBT-UHFFFAOYSA-N 0 3 315.436 4.034 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@H]1c1ccc(C)nc1 ZINC001257403638 1063249980 /nfs/dbraw/zinc/24/99/80/1063249980.db2.gz UVNYHZNVFOCIRO-WMZOPIPTSA-N 0 3 318.461 4.039 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccc(F)cc1 ZINC001257393512 1063253640 /nfs/dbraw/zinc/25/36/40/1063253640.db2.gz RRBKHPPCFFTUHC-CRAIPNDOSA-N 0 3 321.436 4.475 20 0 DIADHN Cc1scc(CN[C@@H](c2ccccc2)[C@H]2CCCO2)c1C ZINC001461113954 1063266252 /nfs/dbraw/zinc/26/62/52/1063266252.db2.gz MDNWFBALSJYACI-MSOLQXFVSA-N 0 3 301.455 4.375 20 0 DIADHN COc1ccccc1C(C)(C)CNCc1ccsc1Cl ZINC001461125506 1063273190 /nfs/dbraw/zinc/27/31/90/1063273190.db2.gz HFHSNDYOBDTDGC-UHFFFAOYSA-N 0 3 309.862 4.478 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@@H]1CCCCO1 ZINC001461124802 1063274205 /nfs/dbraw/zinc/27/42/05/1063274205.db2.gz AXJFODGPVONACH-SFHVURJKSA-N 0 3 322.468 4.111 20 0 DIADHN Cc1ccc(CNCCc2coc(-c3ccccc3)n2)c(F)c1 ZINC001461129223 1063279385 /nfs/dbraw/zinc/27/93/85/1063279385.db2.gz QDWJRVUQXZVMIK-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)NCc1nccn1C1CC1 ZINC001461129015 1063279799 /nfs/dbraw/zinc/27/97/99/1063279799.db2.gz CRYJYFGMMJBZKL-NSHDSACASA-N 0 3 324.255 4.246 20 0 DIADHN CC[C@@H](NC[C@H]1CCCC(C)(C)C1)c1nnc2n1CCCCC2 ZINC001461129321 1063280441 /nfs/dbraw/zinc/28/04/41/1063280441.db2.gz QHHGFZQMHUZYFX-JKSUJKDBSA-N 0 3 318.509 4.262 20 0 DIADHN Cc1ccc(CNCc2ccc(Oc3ccccc3)cc2)cn1 ZINC001461131911 1063282022 /nfs/dbraw/zinc/28/20/22/1063282022.db2.gz ZBZVQBMSPLIVIW-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN O[C@@H](CNCc1ccc(Oc2ccccc2)o1)C1CCCCC1 ZINC001461137437 1063285532 /nfs/dbraw/zinc/28/55/32/1063285532.db2.gz DQJXQUBGEGMFLA-SFHVURJKSA-N 0 3 315.413 4.103 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)NCc1cn(Cc2ccccn2)cn1 ZINC001257477020 1063296937 /nfs/dbraw/zinc/29/69/37/1063296937.db2.gz SCMRJLXATVMQPX-SJORKVTESA-N 0 3 314.477 4.021 20 0 DIADHN Cc1cccc2[nH]cc(CC[NH2+]Cc3cc([O-])cc(Cl)c3)c21 ZINC001461151236 1063297069 /nfs/dbraw/zinc/29/70/69/1063297069.db2.gz CUBGAXFZJBGJOV-UHFFFAOYSA-N 0 3 314.816 4.168 20 0 DIADHN Cc1cccn2c(CN[C@H]3CCc4c3cccc4Cl)cnc12 ZINC001461156086 1063298192 /nfs/dbraw/zinc/29/81/92/1063298192.db2.gz OUFNRITZSMKVJO-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN C[C@H](CNCc1csc(C(C)(C)C)n1)c1cccc(F)c1 ZINC001461161515 1063301631 /nfs/dbraw/zinc/30/16/31/1063301631.db2.gz JMJDFQIFIMLEFF-GFCCVEGCSA-N 0 3 306.450 4.473 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)N1CCc2nc(C3CC3)ncc2C1 ZINC001257486401 1063306887 /nfs/dbraw/zinc/30/68/87/1063306887.db2.gz VOMFMGNXRONXAB-LSDHHAIUSA-N 0 3 301.478 4.317 20 0 DIADHN CCC[C@H](C)CC[C@@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001257486687 1063309898 /nfs/dbraw/zinc/30/98/98/1063309898.db2.gz ZSXSTNMMTQQXOB-LSDHHAIUSA-N 0 3 301.478 4.317 20 0 DIADHN CC(C)(C)c1ccccc1OCCNCc1cc2cccnc2o1 ZINC001461178797 1063314718 /nfs/dbraw/zinc/31/47/18/1063314718.db2.gz HSPIQGCNLYHOAU-UHFFFAOYSA-N 0 3 324.424 4.294 20 0 DIADHN Cc1ccc(CNC[C@@H]2OCCc3ccccc32)c(Cl)c1 ZINC001461192435 1063324021 /nfs/dbraw/zinc/32/40/21/1063324021.db2.gz SBNMXTJCOAJJCP-SFHVURJKSA-N 0 3 301.817 4.052 20 0 DIADHN CCc1cc(CNCc2ccc3oc4ccccc4c3c2)n[nH]1 ZINC001461193758 1063324355 /nfs/dbraw/zinc/32/43/55/1063324355.db2.gz ZIURWBQRHWGOTL-UHFFFAOYSA-N 0 3 305.381 4.161 20 0 DIADHN COc1ccc([C@@H](C)CCNCc2nc3ccccc3o2)cc1 ZINC001461201816 1063325716 /nfs/dbraw/zinc/32/57/16/1063325716.db2.gz IGVNXKCFYSIKCK-AWEZNQCLSA-N 0 3 310.397 4.120 20 0 DIADHN CC[C@@H](C)[C@@](C)(O)CNCc1ccc(F)c(Cl)c1Cl ZINC001461198260 1063326111 /nfs/dbraw/zinc/32/61/11/1063326111.db2.gz UJQGFGOKUIIKJA-OTYXRUKQSA-N 0 3 308.224 4.019 20 0 DIADHN Cc1ccc(N2CC[C@H](NCc3ccc(C(C)C)cc3)C2=O)cc1 ZINC001461214732 1063336802 /nfs/dbraw/zinc/33/68/02/1063336802.db2.gz FQOVEHCFZIDEFH-FQEVSTJZSA-N 0 3 322.452 4.014 20 0 DIADHN C[C@H](Cc1ccc(Br)cc1)N1CCc2occc2C1 ZINC001257569025 1063339720 /nfs/dbraw/zinc/33/97/20/1063339720.db2.gz TZPFPXZIWAJPLY-GFCCVEGCSA-N 0 3 320.230 4.031 20 0 DIADHN CCC(CC)SC[C@H]1CN(Cc2ccccc2)CCCO1 ZINC001472986479 1063344705 /nfs/dbraw/zinc/34/47/05/1063344705.db2.gz GBUXPCPKOVGCME-QGZVFWFLSA-N 0 3 307.503 4.199 20 0 DIADHN Cc1ccc(C[C@@H](C)NCc2nc3c(s2)CCCC3)s1 ZINC001461226272 1063345518 /nfs/dbraw/zinc/34/55/18/1063345518.db2.gz XSUNXXFOLGETQT-LLVKDONJSA-N 0 3 306.500 4.113 20 0 DIADHN CN(C)c1ccccc1CNCc1cccc(-c2ccccn2)c1 ZINC001461231925 1063353539 /nfs/dbraw/zinc/35/35/39/1063353539.db2.gz MCZZQXDLSQQLLP-UHFFFAOYSA-N 0 3 317.436 4.104 20 0 DIADHN CO[C@H](CNCc1ccc(Oc2ccccc2)o1)C(C)(C)C ZINC001461245619 1063359690 /nfs/dbraw/zinc/35/96/90/1063359690.db2.gz DVBQNLDIHSEOLW-MRXNPFEDSA-N 0 3 303.402 4.223 20 0 DIADHN CO[C@H]1C[C@@H](NCc2ccc(Cl)c(C)c2Cl)C1(C)C ZINC001461265850 1063376870 /nfs/dbraw/zinc/37/68/70/1063376870.db2.gz PEFNQNHHEUMXDU-OLZOCXBDSA-N 0 3 302.245 4.205 20 0 DIADHN c1ccc(NCCNCc2ccccc2OC2CCCC2)cc1 ZINC001461265976 1063377923 /nfs/dbraw/zinc/37/79/23/1063377923.db2.gz XERJEWZLTVFKCA-UHFFFAOYSA-N 0 3 310.441 4.210 20 0 DIADHN CC[C@@H](CNCc1cc(F)ccc1F)Oc1ccccc1F ZINC001461270181 1063381369 /nfs/dbraw/zinc/38/13/69/1063381369.db2.gz VCRRKAJNKBQYSZ-AWEZNQCLSA-N 0 3 309.331 4.051 20 0 DIADHN CN(CCSC[C@@H]1CC[C@H]2C[C@H]2C1)Cc1ccc(F)cc1 ZINC001473066090 1063383604 /nfs/dbraw/zinc/38/36/04/1063383604.db2.gz IIYLCHMHWJCCFO-IXDOHACOSA-N 0 3 307.478 4.427 20 0 DIADHN C[C@@H](NC1CCC(OCc2ccccc2)CC1)c1nccs1 ZINC001257823175 1063396895 /nfs/dbraw/zinc/39/68/95/1063396895.db2.gz NYYDTYIUSWGWAX-ODIFPOPNSA-N 0 3 316.470 4.322 20 0 DIADHN Clc1ccc(CN(C[C@H]2CC[C@H](C3CC3)O2)C2CC2)cc1 ZINC001473107929 1063404897 /nfs/dbraw/zinc/40/48/97/1063404897.db2.gz DPGKCXHKTULEHA-QZTJIDSGSA-N 0 3 305.849 4.262 20 0 DIADHN Clc1ccc(CN(C[C@H]2CC[C@@H](C3CC3)O2)C2CC2)cc1 ZINC001473107928 1063405136 /nfs/dbraw/zinc/40/51/36/1063405136.db2.gz DPGKCXHKTULEHA-MSOLQXFVSA-N 0 3 305.849 4.262 20 0 DIADHN CN(Cc1cccc2ccccc21)CC1(Br)CC1 ZINC001473108193 1063405651 /nfs/dbraw/zinc/40/56/51/1063405651.db2.gz HUWMMQARXVYSSX-UHFFFAOYSA-N 0 3 304.231 4.199 20 0 DIADHN Cc1cccc([C@@H](O)CN(C)Cc2cc(Cl)cc(Cl)c2)c1 ZINC001473108374 1063405838 /nfs/dbraw/zinc/40/58/38/1063405838.db2.gz IVHKZYHUYJAMJV-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN C[C@H]1CC[C@H](CN(Cc2ccccc2C(F)(F)F)C2CC2)O1 ZINC001473110024 1063408596 /nfs/dbraw/zinc/40/85/96/1063408596.db2.gz JTJBFYIBHLYQJM-SWLSCSKDSA-N 0 3 313.363 4.237 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1C[C@H]1CC2(CCC2)CO1 ZINC001473111474 1063413213 /nfs/dbraw/zinc/41/32/13/1063413213.db2.gz DWBPWDYPFYENNJ-PBHICJAKSA-N 0 3 305.849 4.436 20 0 DIADHN COc1cccc(C[C@@H]2CCCN2Cc2cc(F)cc(F)c2)c1 ZINC001473113487 1063415301 /nfs/dbraw/zinc/41/53/01/1063415301.db2.gz HFBOUTYATSRJQE-SFHVURJKSA-N 0 3 317.379 4.181 20 0 DIADHN Fc1ccc(C[C@H]2CCCN2Cc2cc(F)ccc2F)cc1 ZINC001473116699 1063420561 /nfs/dbraw/zinc/42/05/61/1063420561.db2.gz LDIODXSXLORKBQ-QGZVFWFLSA-N 0 3 305.343 4.311 20 0 DIADHN CC(C)c1nc(CN(Cc2ccccc2)C2CCCCC2)n[nH]1 ZINC001473118124 1063423033 /nfs/dbraw/zinc/42/30/33/1063423033.db2.gz WKLFBRHLIDWOHL-UHFFFAOYSA-N 0 3 312.461 4.263 20 0 DIADHN Cc1nnc(CN(CC2CCCCC2)CC2CCCCC2)[nH]1 ZINC001473119968 1063423376 /nfs/dbraw/zinc/42/33/76/1063423376.db2.gz ZTLIPRUHHXXHKR-UHFFFAOYSA-N 0 3 304.482 4.076 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CCCC[C@@H]1CC ZINC001473119429 1063423627 /nfs/dbraw/zinc/42/36/27/1063423627.db2.gz OUJGOOMNKKJBPM-AWEZNQCLSA-N 0 3 315.413 4.374 20 0 DIADHN c1ccc(CN(Cc2ccc3c(c2)CCC3)C[C@@H]2CCCO2)cc1 ZINC001473123414 1063426228 /nfs/dbraw/zinc/42/62/28/1063426228.db2.gz HHLWUMFFUZXAQC-QFIPXVFZSA-N 0 3 321.464 4.357 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)C[C@@H]1COC(C)(C)O1 ZINC001473127257 1063433581 /nfs/dbraw/zinc/43/35/81/1063433581.db2.gz CSOIPHKXVUMMNA-ZYHUDNBSSA-N 0 3 318.244 4.138 20 0 DIADHN COC[C@@H](C)NCc1ccc(-c2ccc(Cl)c(Cl)c2)o1 ZINC001461351403 1063435503 /nfs/dbraw/zinc/43/55/03/1063435503.db2.gz GINYZDZMQMTFHG-SNVBAGLBSA-N 0 3 314.212 4.378 20 0 DIADHN CC(C)(C)c1csc(CNC2(C)Cc3ccccc3C2)n1 ZINC001461350938 1063435685 /nfs/dbraw/zinc/43/56/85/1063435685.db2.gz AGJHNMRBINUUIF-UHFFFAOYSA-N 0 3 300.471 4.088 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001473133057 1063439718 /nfs/dbraw/zinc/43/97/18/1063439718.db2.gz NXTBPAJGEDHJDV-CWRNSKLLSA-N 0 3 313.363 4.095 20 0 DIADHN CCCN(Cc1cc(F)ccc1Br)CC(C)C ZINC001473132161 1063441091 /nfs/dbraw/zinc/44/10/91/1063441091.db2.gz UPYPDJNWMWGTSE-UHFFFAOYSA-N 0 3 302.231 4.456 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CNCC1(Cc2ccccc2)CC1 ZINC001461358497 1063442807 /nfs/dbraw/zinc/44/28/07/1063442807.db2.gz FXXDFNLXUNIWFM-UHFFFAOYSA-N 0 3 315.848 4.053 20 0 DIADHN CCCOc1cccc(CCNCc2c(F)cc(C)cc2F)c1 ZINC001461359715 1063442939 /nfs/dbraw/zinc/44/29/39/1063442939.db2.gz UWDJIDBFQCBVEK-UHFFFAOYSA-N 0 3 319.395 4.394 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccc(Cl)cc2C1)c1ccccc1F ZINC001257946111 1063444288 /nfs/dbraw/zinc/44/42/88/1063444288.db2.gz BLMUXXGACUPGPH-RISCZKNCSA-N 0 3 305.780 4.133 20 0 DIADHN CC(C)(C)c1ccc2c(c1)CCN(C[C@@H]1C[C@@H]3CCC[C@@H]3O1)C2 ZINC001473137402 1063444673 /nfs/dbraw/zinc/44/46/73/1063444673.db2.gz UOAJNQPNLXPESJ-VDGAXYAQSA-N 0 3 313.485 4.300 20 0 DIADHN Cc1ccccc1[C@@H]1CCN(Cc2noc3c2CC(C)(C)CC3)C1 ZINC001473135248 1063444936 /nfs/dbraw/zinc/44/49/36/1063444936.db2.gz DSPUXWSMZSBREV-MRXNPFEDSA-N 0 3 324.468 4.487 20 0 DIADHN Fc1cccc(C2(N[C@H]3COc4ccc(Cl)cc4C3)CC2)c1 ZINC001257954645 1063446763 /nfs/dbraw/zinc/44/67/63/1063446763.db2.gz PQBIXJJFQCBKNQ-MRXNPFEDSA-N 0 3 317.791 4.062 20 0 DIADHN CC(C)(C)SCCNCc1ccc(OC(F)F)cc1F ZINC001461374552 1063447814 /nfs/dbraw/zinc/44/78/14/1063447814.db2.gz QLXWMIDKTLPTEB-UHFFFAOYSA-N 0 3 307.381 4.048 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(C(F)(F)F)cc1)N(C)CC(C)(C)C ZINC001473141799 1063448719 /nfs/dbraw/zinc/44/87/19/1063448719.db2.gz XARPZTBTHIOBAS-NSHDSACASA-N 0 3 316.367 4.010 20 0 DIADHN COc1ccc(C(=O)CNC2CCC(c3ccccc3)CC2)cc1 ZINC001257987130 1063450688 /nfs/dbraw/zinc/45/06/88/1063450688.db2.gz HEYUTUBGGAONFU-UHFFFAOYSA-N 0 3 323.436 4.194 20 0 DIADHN COc1ccc(CN2CCCC[C@@H]2c2ccccc2OC)cc1 ZINC001473150816 1063456448 /nfs/dbraw/zinc/45/64/48/1063456448.db2.gz VWGLUPOOYDUQQX-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@@H](Cc3ccccc3)C2)c1 ZINC001473150906 1063457184 /nfs/dbraw/zinc/45/71/84/1063457184.db2.gz YRBRZERDKDFRLZ-IBGZPJMESA-N 0 3 324.468 4.324 20 0 DIADHN CCOCCN(CC)Cc1ccccc1OCc1ccccc1 ZINC001473153706 1063458531 /nfs/dbraw/zinc/45/85/31/1063458531.db2.gz GTZXMMGISGDWSY-UHFFFAOYSA-N 0 3 313.441 4.124 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)c(C)c1)C1CC1 ZINC001473155726 1063458654 /nfs/dbraw/zinc/45/86/54/1063458654.db2.gz IOSJGYRDOZNOLY-CJNGLKHVSA-N 0 3 302.462 4.141 20 0 DIADHN CCOCCN(CC)Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC001473154633 1063460258 /nfs/dbraw/zinc/46/02/58/1063460258.db2.gz XICXYGKCPFDAHV-UHFFFAOYSA-N 0 3 309.759 4.217 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCN(CCCC2CC2)CC1 ZINC001473162990 1063466833 /nfs/dbraw/zinc/46/68/33/1063466833.db2.gz GJCSMDOEVISRST-LJQANCHMSA-N 0 3 322.443 4.224 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1cccc2c1CCC2 ZINC001461390085 1063466985 /nfs/dbraw/zinc/46/69/85/1063466985.db2.gz MNQNEESVLUEHKP-IBGZPJMESA-N 0 3 311.400 4.318 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](NCc2nc3c(s2)CCCC3)CCO1 ZINC001461393012 1063469742 /nfs/dbraw/zinc/46/97/42/1063469742.db2.gz PHHIZOYMOKIOGE-ZBFHGGJFSA-N 0 3 322.518 4.095 20 0 DIADHN Clc1cc2ccccc2c(CN2CCN(C3CCC3)CC2)c1 ZINC001473164678 1063469586 /nfs/dbraw/zinc/46/95/86/1063469586.db2.gz YXBPERWENLPUOU-UHFFFAOYSA-N 0 3 314.860 4.163 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H](NCc2nc3c(s2)CCCC3)CCO1 ZINC001461393010 1063470222 /nfs/dbraw/zinc/47/02/22/1063470222.db2.gz PHHIZOYMOKIOGE-HOCLYGCPSA-N 0 3 322.518 4.095 20 0 DIADHN CC[C@@H](C)CN(C)CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC001473169208 1063472426 /nfs/dbraw/zinc/47/24/26/1063472426.db2.gz XHUWQECYIAZMAP-GOSISDBHSA-N 0 3 324.468 4.198 20 0 DIADHN Cc1ccc(Br)cc1CN1CC[C@H](c2ccco2)C1 ZINC001473168685 1063472716 /nfs/dbraw/zinc/47/27/16/1063472716.db2.gz RJVQPYVVTYWNAH-ZDUSSCGKSA-N 0 3 320.230 4.340 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(Cc2ccn(-c3c(F)cccc3F)n2)C1 ZINC001473173724 1063478829 /nfs/dbraw/zinc/47/88/29/1063478829.db2.gz MXJYLSPCRHRJBY-KGLIPLIRSA-N 0 3 319.399 4.161 20 0 DIADHN FC1(F)Oc2ccc(CN[C@H]3CCCc4sccc43)cc2O1 ZINC001461407292 1063480133 /nfs/dbraw/zinc/48/01/33/1063480133.db2.gz HTRWZEHIVDVVTG-LBPRGKRZSA-N 0 3 323.364 4.237 20 0 DIADHN CC(C)C[C@H](C)C[C@@H](C)NCc1ncc(Br)s1 ZINC001258062385 1063490440 /nfs/dbraw/zinc/49/04/40/1063490440.db2.gz PNNLJWOGBABJMC-WDEREUQCSA-N 0 3 319.312 4.456 20 0 DIADHN C[C@H]1CN(Cc2oc3ccccc3c2Br)C[C@@H]1C ZINC001473187160 1063496606 /nfs/dbraw/zinc/49/66/06/1063496606.db2.gz XARGAFPZWJGEBM-QWRGUYRKSA-N 0 3 308.219 4.283 20 0 DIADHN Brc1ccccc1OCCN1CCC2(CCCC2)C1 ZINC001473184756 1063492839 /nfs/dbraw/zinc/49/28/39/1063492839.db2.gz KYALLCAOXCKWMD-UHFFFAOYSA-N 0 3 324.262 4.094 20 0 DIADHN Cc1noc(-c2ccc(CNCc3ccc(C(C)C)cc3)cc2)n1 ZINC001461425506 1063493253 /nfs/dbraw/zinc/49/32/53/1063493253.db2.gz KORMWTPVNDKHLI-UHFFFAOYSA-N 0 3 321.424 4.458 20 0 DIADHN COc1ccc2c(c1)c(CN)nn2[C@@H](C)C[C@H](C)CC(C)C ZINC001258064500 1063494244 /nfs/dbraw/zinc/49/42/44/1063494244.db2.gz WYPVFRLNWWBGLW-KGLIPLIRSA-N 0 3 303.450 4.137 20 0 DIADHN C[C@@H]1CCCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)[C@H]1C ZINC001473188331 1063495778 /nfs/dbraw/zinc/49/57/78/1063495778.db2.gz ZKMGCQNFBOKIRL-RQJABVFESA-N 0 3 305.384 4.045 20 0 DIADHN CCOCc1noc2c1CN([C@H](C)C[C@H](C)CC(C)C)CC2 ZINC001258070627 1063497503 /nfs/dbraw/zinc/49/75/03/1063497503.db2.gz QMLGIZUDKQFMHE-HUUCEWRRSA-N 0 3 308.466 4.030 20 0 DIADHN Fc1cccc(/C=C\CN[C@H]2CCOc3ccc(F)cc32)c1 ZINC001461435053 1063500685 /nfs/dbraw/zinc/50/06/85/1063500685.db2.gz VPFYEZJTWUUHII-MMTGSJLXSA-N 0 3 301.336 4.091 20 0 DIADHN Fc1cccc(/C=C/CN[C@@H]2CCOc3ccc(F)cc32)c1 ZINC001461435054 1063501554 /nfs/dbraw/zinc/50/15/54/1063501554.db2.gz VPFYEZJTWUUHII-NKEDHYFDSA-N 0 3 301.336 4.091 20 0 DIADHN C[C@H](NCc1nccn1C1CC1)C1(c2ccccc2)CCCC1 ZINC001461439679 1063504993 /nfs/dbraw/zinc/50/49/93/1063504993.db2.gz PVJTXDQVNBRSBV-INIZCTEOSA-N 0 3 309.457 4.208 20 0 DIADHN CC1(C)CC(CNCc2csc(-c3ccc(F)cc3)n2)C1 ZINC001461446302 1063510910 /nfs/dbraw/zinc/51/09/10/1063510910.db2.gz WJSOHGZYOYXZII-UHFFFAOYSA-N 0 3 304.434 4.475 20 0 DIADHN CC(C)Cn1nccc1CN[C@@H]1CCc2ccc(C(C)C)cc21 ZINC001461449214 1063512522 /nfs/dbraw/zinc/51/25/22/1063512522.db2.gz UQHXROTWFMXMCY-HXUWFJFHSA-N 0 3 311.473 4.440 20 0 DIADHN COc1ccc(-c2nc(CNC3C[C@H](C)C[C@@H](C)C3)co2)cc1 ZINC001258155124 1063536230 /nfs/dbraw/zinc/53/62/30/1063536230.db2.gz ARDXYDDUKXJUEH-ZIAGYGMSSA-N 0 3 314.429 4.265 20 0 DIADHN Cc1cccc2cc(CNCCSC(C)C)c(Cl)nc12 ZINC001461498516 1063539061 /nfs/dbraw/zinc/53/90/61/1063539061.db2.gz XCSANEUUWJOADL-UHFFFAOYSA-N 0 3 308.878 4.428 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1cccc(C(F)(F)F)c1F ZINC001258172441 1063543706 /nfs/dbraw/zinc/54/37/06/1063543706.db2.gz OALZXCIOTJKDPP-JTQLQIEISA-N 0 3 301.283 4.158 20 0 DIADHN C[C@H]1CC(N(Cc2cccnc2)Cc2ccccn2)C[C@H](C)C1 ZINC001258174103 1063545158 /nfs/dbraw/zinc/54/51/58/1063545158.db2.gz LPGDKOXJECFHDI-IAGOWNOFSA-N 0 3 309.457 4.304 20 0 DIADHN Cc1nn(-c2ccccc2)c(Cl)c1CNC[C@@H]1C[C@H]1C(C)C ZINC001461511977 1063546083 /nfs/dbraw/zinc/54/60/83/1063546083.db2.gz DVBPFOMZFFCFQS-HOCLYGCPSA-N 0 3 317.864 4.216 20 0 DIADHN C[C@H](Cc1ccco1)NCc1c(F)cccc1C(F)(F)F ZINC001258178642 1063546281 /nfs/dbraw/zinc/54/62/81/1063546281.db2.gz FDPRSYHTCOAHGM-SNVBAGLBSA-N 0 3 301.283 4.158 20 0 DIADHN C[C@H](Cc1ccco1)NC(C)(C)c1ccccc1Br ZINC001258176943 1063546615 /nfs/dbraw/zinc/54/66/15/1063546615.db2.gz LICOPVIXPXUDSI-GFCCVEGCSA-N 0 3 322.246 4.498 20 0 DIADHN CCCOc1c(Cl)cc(CNC/C=C/C2CC2)cc1OC ZINC001461520465 1063553422 /nfs/dbraw/zinc/55/34/22/1063553422.db2.gz IUVVZLKCAMUQBE-SNAWJCMRSA-N 0 3 309.837 4.193 20 0 DIADHN C[C@@H](Cc1ccco1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001258195631 1063556758 /nfs/dbraw/zinc/55/67/58/1063556758.db2.gz SNWBKCWJTYYLJB-YOEHRIQHSA-N 0 3 309.413 4.248 20 0 DIADHN CO[C@H](CNCc1ccc(Cl)cc1Cl)Cc1ccccc1 ZINC001461523852 1063558138 /nfs/dbraw/zinc/55/81/38/1063558138.db2.gz WHMSWZZTTLCVOG-INIZCTEOSA-N 0 3 324.251 4.341 20 0 DIADHN CSCC[C@@H](C)n1c2ccccc2nc1[C@H](N)CC(C)C ZINC001258208242 1063561259 /nfs/dbraw/zinc/56/12/59/1063561259.db2.gz LFUHJGIHEQPSEA-ZIAGYGMSSA-N 0 3 305.491 4.396 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1nccc3c1CCCC3)CCC2 ZINC001461539821 1063568768 /nfs/dbraw/zinc/56/87/68/1063568768.db2.gz NKSVJMQVRMNWGD-HXUWFJFHSA-N 0 3 306.453 4.436 20 0 DIADHN COc1cc(F)cc(C[C@@H](C)NCc2c(F)cc(C)cc2F)c1 ZINC001461575239 1063589125 /nfs/dbraw/zinc/58/91/25/1063589125.db2.gz SAKSWHJJCQWRNF-GFCCVEGCSA-N 0 3 323.358 4.142 20 0 DIADHN CC1(C)CCC[C@H](N(Cc2cccnc2)Cc2cccnc2)C1 ZINC001258291061 1063589100 /nfs/dbraw/zinc/58/91/00/1063589100.db2.gz FSCRATJMNUPCIC-IBGZPJMESA-N 0 3 309.457 4.448 20 0 DIADHN C[C@H](NCc1csc(C(F)(F)F)n1)[C@H]1CCC[C@H](C)C1 ZINC001461581421 1063591691 /nfs/dbraw/zinc/59/16/91/1063591691.db2.gz RYOBOPCJGMEXGU-DCAQKATOSA-N 0 3 306.397 4.466 20 0 DIADHN Cc1cccc(CNCc2cccc(Br)c2C)c1 ZINC001461607446 1063593383 /nfs/dbraw/zinc/59/33/83/1063593383.db2.gz XJWPLVPIXDHLFM-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN C[C@@H](CC(C)(C)SCc1ccco1)NCc1nccs1 ZINC001258298369 1063594930 /nfs/dbraw/zinc/59/49/30/1063594930.db2.gz LPMGKRFDYBYGMX-LBPRGKRZSA-N 0 3 310.488 4.316 20 0 DIADHN C[C@H](N)c1nccn1[C@@H](C)CC(C)(C)SCc1ccco1 ZINC001258299048 1063596717 /nfs/dbraw/zinc/59/67/17/1063596717.db2.gz XIBIHELTVKMPBD-STQMWFEESA-N 0 3 307.463 4.159 20 0 DIADHN Cc1ccnc(CN[C@@H]2CCC[C@H](C3CC3)C2)c1Br ZINC001461589290 1063596932 /nfs/dbraw/zinc/59/69/32/1063596932.db2.gz PTQFCLMGSWBTJE-UONOGXRCSA-N 0 3 323.278 4.211 20 0 DIADHN C[C@@H](N[C@@H](C)CC(C)(C)SCc1ccco1)c1ccno1 ZINC001258305773 1063601466 /nfs/dbraw/zinc/60/14/66/1063601466.db2.gz HWHWPLBXMJJECX-QWHCGFSZSA-N 0 3 308.447 4.409 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCOCC1(C)C ZINC001258314156 1063606177 /nfs/dbraw/zinc/60/61/77/1063606177.db2.gz PSFJZHJDIPWYQD-CQSZACIVSA-N 0 3 311.491 4.181 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N1CCOCC12CCC2 ZINC001258313908 1063606918 /nfs/dbraw/zinc/60/69/18/1063606918.db2.gz MCCQIQPQJGBVKN-OAHLLOKOSA-N 0 3 323.502 4.325 20 0 DIADHN CSC(C)(C)C[C@H](C)NCc1nccc(Cl)c1Cl ZINC001258325495 1063610136 /nfs/dbraw/zinc/61/01/36/1063610136.db2.gz CBMASIRQJSEWNP-VIFPVBQESA-N 0 3 307.290 4.398 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1Cl)[C@](C)(O)c1ccccc1 ZINC001461615170 1063612497 /nfs/dbraw/zinc/61/24/97/1063612497.db2.gz GJFVAANEZUKOGK-SJCJKPOMSA-N 0 3 324.251 4.379 20 0 DIADHN CSC(C)(C)C[C@@H](C)NCc1coc(-c2ccc(F)cc2)n1 ZINC001258328373 1063613446 /nfs/dbraw/zinc/61/34/46/1063613446.db2.gz AJWFVSQQIAHMSG-GFCCVEGCSA-N 0 3 322.449 4.491 20 0 DIADHN Nc1c(Cl)cc(Cl)cc1CN[C@@H]1CCc2ccccc21 ZINC001461618724 1063617079 /nfs/dbraw/zinc/61/70/79/1063617079.db2.gz PSWJCBXDCAOPEN-OAHLLOKOSA-N 0 3 307.224 4.353 20 0 DIADHN Cc1cn2c(CNCc3ccc4occc4c3)c(C)nc2s1 ZINC001461623225 1063620922 /nfs/dbraw/zinc/62/09/22/1063620922.db2.gz YYTNKSORTOZYPC-UHFFFAOYSA-N 0 3 311.410 4.049 20 0 DIADHN COc1ccc(CC[C@@H](C)n2c3ccccc3nc2[C@H](C)N)cc1 ZINC001258387658 1063630363 /nfs/dbraw/zinc/63/03/63/1063630363.db2.gz GYBFALJJVKMXCK-CABCVRRESA-N 0 3 323.440 4.258 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H](OC(C)(C)C)C23CCC3)c(F)c1 ZINC001461642911 1063630915 /nfs/dbraw/zinc/63/09/15/1063630915.db2.gz DDBSKSKORSVQDY-SJORKVTESA-N 0 3 321.436 4.050 20 0 DIADHN CCCCC[C@H](CC)NCc1ncc(Br)s1 ZINC001258435091 1063651610 /nfs/dbraw/zinc/65/16/10/1063651610.db2.gz UNXCJGFNUDOCEI-JTQLQIEISA-N 0 3 305.285 4.354 20 0 DIADHN COc1cc(CNCC(C)(C)F)ccc1OCc1cccs1 ZINC001461677686 1063653018 /nfs/dbraw/zinc/65/30/18/1063653018.db2.gz FSOPIYCRTIBSRT-UHFFFAOYSA-N 0 3 323.433 4.173 20 0 DIADHN CC(C)n1c(CNCC(C)(C)C(C)(F)F)nc2ccccc21 ZINC001461689640 1063658718 /nfs/dbraw/zinc/65/87/18/1063658718.db2.gz FQPCKLLPNMGLIA-UHFFFAOYSA-N 0 3 309.404 4.388 20 0 DIADHN C[C@H](CC1CC1)N[C@@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001258455670 1063662741 /nfs/dbraw/zinc/66/27/41/1063662741.db2.gz CFVLSECTLBXTNZ-FOIQADDNSA-N 0 3 319.452 4.441 20 0 DIADHN CCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1cccc(Cl)c1 ZINC001258498647 1063675606 /nfs/dbraw/zinc/67/56/06/1063675606.db2.gz DALGIBGNQLXNHD-XJKSGUPXSA-N 0 3 309.837 4.209 20 0 DIADHN CCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccc(Cl)cc1 ZINC001258496182 1063675626 /nfs/dbraw/zinc/67/56/26/1063675626.db2.gz OVAHZJNMCJFTDJ-CZUORRHYSA-N 0 3 309.837 4.209 20 0 DIADHN COc1cccc(-c2csc(CNC[C@]3(C)CC3(C)C)n2)c1 ZINC001461729869 1063683991 /nfs/dbraw/zinc/68/39/91/1063683991.db2.gz SEWNEFRHRPIADX-SFHVURJKSA-N 0 3 316.470 4.345 20 0 DIADHN CC1(C)CC[C@H](N2Cc3cnc(-c4ccc(F)cc4)nc3C2)C1 ZINC001258606866 1063706892 /nfs/dbraw/zinc/70/68/92/1063706892.db2.gz DJUGQWAEVUWVNM-INIZCTEOSA-N 0 3 311.404 4.177 20 0 DIADHN C[C@@H](NCc1cc(F)cc(Cl)c1)c1ccc2c(c1)CCO2 ZINC001461785949 1063716672 /nfs/dbraw/zinc/71/66/72/1063716672.db2.gz LLUSRYQBOWEXRC-LLVKDONJSA-N 0 3 305.780 4.265 20 0 DIADHN CCOc1ccc(Cl)cc1CN[C@@H]1CCOC12CCCCC2 ZINC001461794109 1063721263 /nfs/dbraw/zinc/72/12/63/1063721263.db2.gz BUIRYDUZYWIKTB-QGZVFWFLSA-N 0 3 323.864 4.320 20 0 DIADHN Cc1cc(CNC2(C)C(C)(C)C2(C)C)ncc1Br ZINC001461796700 1063722800 /nfs/dbraw/zinc/72/28/00/1063722800.db2.gz TXBHJCGNMVDPMZ-UHFFFAOYSA-N 0 3 311.267 4.067 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCC(=O)c1ccc(F)cc1F ZINC001258651220 1063723374 /nfs/dbraw/zinc/72/33/74/1063723374.db2.gz KNKSEQWOZIEABA-MRXNPFEDSA-N 0 3 317.379 4.149 20 0 DIADHN CC1(C)C[C@@H](NCc2ccc(-c3ccc(Cl)cc3)o2)CO1 ZINC001461812618 1063730384 /nfs/dbraw/zinc/73/03/84/1063730384.db2.gz QXOBJECSGHLUKW-CQSZACIVSA-N 0 3 305.805 4.257 20 0 DIADHN CCC[C@@H](Cc1ccccc1)N1Cc2ccc(C(=O)OC)cc2C1 ZINC001258663153 1063730929 /nfs/dbraw/zinc/73/09/29/1063730929.db2.gz PDKUUXKAXANRJQ-FQEVSTJZSA-N 0 3 323.436 4.200 20 0 DIADHN Brc1cnc(CNC[C@@H]2CCC=CCCC2)s1 ZINC001461815581 1063732532 /nfs/dbraw/zinc/73/25/32/1063732532.db2.gz WHETXHAGYVOPDC-LLVKDONJSA-N 0 3 315.280 4.132 20 0 DIADHN Cc1ccc2[nH]cc(CCNCc3ncc(C(C)C)s3)c2c1 ZINC001461815858 1063732761 /nfs/dbraw/zinc/73/27/61/1063732761.db2.gz JCQCMBCTUGMBOC-UHFFFAOYSA-N 0 3 313.470 4.389 20 0 DIADHN C[C@H]1CC[C@@](CO)(NCc2ccc3occc3c2)c2ccccc21 ZINC001461818344 1063735732 /nfs/dbraw/zinc/73/57/32/1063735732.db2.gz HEGYLYHQLXRYGE-BTYIYWSLSA-N 0 3 321.420 4.308 20 0 DIADHN CCCCC[C@@H](CCC)N1CCc2onc(COCC)c2C1 ZINC001258670979 1063736541 /nfs/dbraw/zinc/73/65/41/1063736541.db2.gz KCTWWPDCEZYUDK-OAHLLOKOSA-N 0 3 308.466 4.318 20 0 DIADHN CC(C)COc1ccccc1CNC[C@H]1OCc2ccccc21 ZINC001461823362 1063736703 /nfs/dbraw/zinc/73/67/03/1063736703.db2.gz BIGADAXTKGNXDZ-HXUWFJFHSA-N 0 3 311.425 4.083 20 0 DIADHN Cc1cc(C)c(CNCc2cc3ccccc3[nH]c2=O)cc1C ZINC001461823980 1063736969 /nfs/dbraw/zinc/73/69/69/1063736969.db2.gz DXSJUXWILCQECC-UHFFFAOYSA-N 0 3 306.409 4.155 20 0 DIADHN Cc1scc(CN[C@H](C)c2cc(F)ccc2N(C)C)c1C ZINC001461832573 1063741572 /nfs/dbraw/zinc/74/15/72/1063741572.db2.gz AUQMACDZMUUTHG-GFCCVEGCSA-N 0 3 306.450 4.421 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)NCC(=O)C(C)(C)C ZINC001258673334 1063742116 /nfs/dbraw/zinc/74/21/16/1063742116.db2.gz QQMQEFYVRPFHLV-SNVBAGLBSA-N 0 3 302.245 4.129 20 0 DIADHN Cc1ccc(CN[C@@H]2C[C@]3(CCOC3)Oc3ccccc32)cc1C ZINC001461834520 1063743472 /nfs/dbraw/zinc/74/34/72/1063743472.db2.gz FDUXAXZRCWKRQX-CTNGQTDRSA-N 0 3 323.436 4.076 20 0 DIADHN CCOC(OCC)[C@H](C)NC/C(C)=C\c1ccc(Cl)cc1 ZINC001461838190 1063744331 /nfs/dbraw/zinc/74/43/31/1063744331.db2.gz NBYAQEUKVAUWHZ-FZDNWWAKSA-N 0 3 311.853 4.121 20 0 DIADHN COC1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)CC1 ZINC001258679053 1063745801 /nfs/dbraw/zinc/74/58/01/1063745801.db2.gz ZFHSIDXGAUCYML-NSHDSACASA-N 0 3 302.245 4.035 20 0 DIADHN COc1ccc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)c(F)c1 ZINC001461842203 1063746064 /nfs/dbraw/zinc/74/60/64/1063746064.db2.gz FJNIIAHPAPIDNK-HNAYVOBHSA-N 0 3 313.416 4.460 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CCCO[C@@H](C)C1 ZINC001258678985 1063746855 /nfs/dbraw/zinc/74/68/55/1063746855.db2.gz XPBVPISPKWZVLX-NEPJUHHUSA-N 0 3 302.245 4.035 20 0 DIADHN CCCN(Cc1c(F)cccc1OC(F)(F)F)CC1CC1 ZINC001461845083 1063747247 /nfs/dbraw/zinc/74/72/47/1063747247.db2.gz MKUJRYOHPZKLHX-UHFFFAOYSA-N 0 3 305.315 4.346 20 0 DIADHN CCCO[C@H]1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258681496 1063748514 /nfs/dbraw/zinc/74/85/14/1063748514.db2.gz GDKGEAGIZAYEBC-WFASDCNBSA-N 0 3 316.272 4.425 20 0 DIADHN CCOC(OCC)[C@H](C)NC/C=C\c1cc(C)c(C)cc1C ZINC001461845334 1063748450 /nfs/dbraw/zinc/74/84/50/1063748450.db2.gz QPOPZQYRMZZIOB-IBSYWUHOSA-N 0 3 305.462 4.002 20 0 DIADHN Cc1ncc(CN(C)[C@@H](C)Cc2ccc(Cl)cc2Cl)cn1 ZINC001258684042 1063749093 /nfs/dbraw/zinc/74/90/93/1063749093.db2.gz MGNYYYHRSYVEFE-NSHDSACASA-N 0 3 324.255 4.155 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cccc(OC)c2F)C1(CC)CC ZINC001461868523 1063759458 /nfs/dbraw/zinc/75/94/58/1063759458.db2.gz AKBBCDZOCMHMSU-IAGOWNOFSA-N 0 3 323.452 4.250 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cccc(OC)c2F)C1(CC)CC ZINC001461868521 1063759923 /nfs/dbraw/zinc/75/99/23/1063759923.db2.gz AKBBCDZOCMHMSU-DLBZAZTESA-N 0 3 323.452 4.250 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1[N@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC001461864623 1063760049 /nfs/dbraw/zinc/76/00/49/1063760049.db2.gz RKXGHCKZXKDFIE-HOTGVXAUSA-N 0 3 311.853 4.071 20 0 DIADHN CCC1(CC)[C@@H](OC)C[C@@H]1[N@@H+](C)Cc1cc([O-])cc(Cl)c1 ZINC001461864623 1063760059 /nfs/dbraw/zinc/76/00/59/1063760059.db2.gz RKXGHCKZXKDFIE-HOTGVXAUSA-N 0 3 311.853 4.071 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cccc(OC)c2F)C1(CC)CC ZINC001461868527 1063761581 /nfs/dbraw/zinc/76/15/81/1063761581.db2.gz AKBBCDZOCMHMSU-IRXDYDNUSA-N 0 3 323.452 4.250 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2cccc3c2OCC3)C1(C)C ZINC001461871206 1063762125 /nfs/dbraw/zinc/76/21/25/1063762125.db2.gz IALDJZQEHAVSJY-ZWKOTPCHSA-N 0 3 317.473 4.037 20 0 DIADHN CCCC[C@H](Cc1ccccc1)NCc1nc(C)ccc1F ZINC001258706926 1063764617 /nfs/dbraw/zinc/76/46/17/1063764617.db2.gz YHNPCJCIIPWHDI-QGZVFWFLSA-N 0 3 300.421 4.420 20 0 DIADHN C[C@@H]1COCCCN1Cc1ccc(Oc2cccc(F)c2)cc1 ZINC001461875087 1063765130 /nfs/dbraw/zinc/76/51/30/1063765130.db2.gz RXTAEZQCRVDZOY-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN CN(Cc1cn2ccnc2s1)Cc1cccc2ccccc21 ZINC001461880197 1063769682 /nfs/dbraw/zinc/76/96/82/1063769682.db2.gz CXPOISHWNXHWTE-UHFFFAOYSA-N 0 3 307.422 4.181 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)N1CCO[C@@H](c2ccncc2)C1 ZINC001258715244 1063772977 /nfs/dbraw/zinc/77/29/77/1063772977.db2.gz YTQOZAMJDNEBPI-LEWJYISDSA-N 0 3 324.468 4.256 20 0 DIADHN CC[C@H]1CN(Cc2cnc(C3CC3)nc2)CC[C@H]1c1ccccc1 ZINC001461886714 1063775913 /nfs/dbraw/zinc/77/59/13/1063775913.db2.gz XRKLMJUETWXYKU-FXAWDEMLSA-N 0 3 321.468 4.370 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)NCc1cn(CC2CCC2)cn1 ZINC001258741508 1063790377 /nfs/dbraw/zinc/79/03/77/1063790377.db2.gz LATNEDPPSKCUEE-CQSZACIVSA-N 0 3 317.864 4.057 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2nc3ccc(F)cc3s2)C1 ZINC001461911833 1063794363 /nfs/dbraw/zinc/79/43/63/1063794363.db2.gz FFKVRXMKTYVGCA-VXGBXAGGSA-N 0 3 310.463 4.151 20 0 DIADHN Fc1cccc(CC2CCN(Cc3ccc4c(n3)CCC4)CC2)c1 ZINC001461910277 1063795584 /nfs/dbraw/zinc/79/55/84/1063795584.db2.gz HLMFDTDTPHAJGI-UHFFFAOYSA-N 0 3 324.443 4.164 20 0 DIADHN COc1nc2ccccc2cc1CN1CC[C@H]1Cc1ccccc1 ZINC001461917119 1063802557 /nfs/dbraw/zinc/80/25/57/1063802557.db2.gz ZWYRLZMZNUYENQ-IBGZPJMESA-N 0 3 318.420 4.060 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(Cl)c(C)c2)cc1 ZINC001461925702 1063809221 /nfs/dbraw/zinc/80/92/21/1063809221.db2.gz ILGGBPFJCZHUEG-KRWDZBQOSA-N 0 3 315.844 4.474 20 0 DIADHN CCc1cccc(Cl)c1CN1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC001461928857 1063813914 /nfs/dbraw/zinc/81/39/14/1063813914.db2.gz NMOIMWXEDIJYSY-KSSFIOAISA-N 0 3 317.864 4.182 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccc(Cl)cc2)c(C)o1 ZINC001461931799 1063817128 /nfs/dbraw/zinc/81/71/28/1063817128.db2.gz CSIVCAFDFCBAOQ-UHFFFAOYSA-N 0 3 307.821 4.451 20 0 DIADHN CCN(Cc1cccc(F)c1OCC(F)(F)F)C1CCC1 ZINC001461942256 1063825771 /nfs/dbraw/zinc/82/57/71/1063825771.db2.gz SETKZIDGAPSSHV-UHFFFAOYSA-N 0 3 305.315 4.141 20 0 DIADHN CCOc1cncc(CN2CCC[C@](C)(c3ccccc3)C2)c1 ZINC001461943472 1063826710 /nfs/dbraw/zinc/82/67/10/1063826710.db2.gz VRTPFSGTZQUFSG-FQEVSTJZSA-N 0 3 310.441 4.034 20 0 DIADHN CO[C@H]1CCCN(Cc2cnc(-c3ccc(C)c(C)c3)s2)C1 ZINC001461947442 1063833976 /nfs/dbraw/zinc/83/39/76/1063833976.db2.gz BQBNNRBAIDRQDD-INIZCTEOSA-N 0 3 316.470 4.038 20 0 DIADHN CCCc1ccc(CN(CCCO)Cc2ccccc2)s1 ZINC001461947283 1063834083 /nfs/dbraw/zinc/83/40/83/1063834083.db2.gz AHKKMYNHGHHKRF-UHFFFAOYSA-N 0 3 303.471 4.085 20 0 DIADHN Cc1cc(C)cc(CCN(Cc2ccco2)C[C@H]2CCCO2)c1 ZINC001461952759 1063835005 /nfs/dbraw/zinc/83/50/05/1063835005.db2.gz CQSYQUPHRMUYNV-HXUWFJFHSA-N 0 3 313.441 4.120 20 0 DIADHN CC(C)c1ccccc1CN(Cc1ccc(C(N)=O)cc1)C1CC1 ZINC001461961258 1063840507 /nfs/dbraw/zinc/84/05/07/1063840507.db2.gz BGJCYQKWKKWTHD-UHFFFAOYSA-N 0 3 322.452 4.074 20 0 DIADHN CCc1ncc(CN2CCC[C@H](CCCc3ccccc3)C2)cn1 ZINC001461961093 1063841071 /nfs/dbraw/zinc/84/10/71/1063841071.db2.gz SETCWAWDNSNKKI-IBGZPJMESA-N 0 3 323.484 4.274 20 0 DIADHN C[C@H]1CCC[C@@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001258812987 1063842397 /nfs/dbraw/zinc/84/23/97/1063842397.db2.gz BEKYGYGZTFHWGQ-CMPLNLGQSA-N 0 3 317.326 4.196 20 0 DIADHN C[C@H]1CCC[C@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001258812991 1063843205 /nfs/dbraw/zinc/84/32/05/1063843205.db2.gz BEKYGYGZTFHWGQ-JQWIXIFHSA-N 0 3 317.326 4.196 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC[C@@H](C)C3)co2)cc1 ZINC001258814580 1063845449 /nfs/dbraw/zinc/84/54/49/1063845449.db2.gz LHPAJAXDMGIDLU-HIFRSBDPSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1ccc(CCN2CCO[C@](C)(c3ccccc3)C2)cc1C ZINC001461969452 1063849002 /nfs/dbraw/zinc/84/90/02/1063849002.db2.gz ZHTPVYVJLSDNTA-NRFANRHFSA-N 0 3 309.453 4.094 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCCc2ccc(F)c(F)c2)on1 ZINC001461972034 1063849839 /nfs/dbraw/zinc/84/98/39/1063849839.db2.gz UJYGUXRULOGHDF-INIZCTEOSA-N 0 3 306.356 4.031 20 0 DIADHN CCC1(CC)CCN(Cc2cc(OC)c(OC)cc2Cl)C1 ZINC001461977232 1063852998 /nfs/dbraw/zinc/85/29/98/1063852998.db2.gz PQNMLXMIGZOGAS-UHFFFAOYSA-N 0 3 311.853 4.369 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Br)nc2)[C@@H]2CCCC[C@H]12 ZINC001461975998 1063853504 /nfs/dbraw/zinc/85/35/04/1063853504.db2.gz FFHHEZMDZNLYIQ-BPLDGKMQSA-N 0 3 323.278 4.245 20 0 DIADHN CC[C@@H](Cc1ccccc1)N[C@H]1CCOc2cc(F)cc(F)c21 ZINC001258839576 1063859793 /nfs/dbraw/zinc/85/97/93/1063859793.db2.gz GXZDQDHKXBZUKF-RDJZCZTQSA-N 0 3 317.379 4.399 20 0 DIADHN Cc1ccnc(CN2C[C@H](C)[C@H]3CCCC[C@@H]32)c1Br ZINC001461998091 1063869489 /nfs/dbraw/zinc/86/94/89/1063869489.db2.gz UUGDPDLLBQDWSV-GUTXKFCHSA-N 0 3 323.278 4.163 20 0 DIADHN CC(C)(C)c1ccc(CCN2CCN(c3ccccc3)CC2)cc1 ZINC001462006776 1063876575 /nfs/dbraw/zinc/87/65/75/1063876575.db2.gz GSELVVNUXNHZTE-UHFFFAOYSA-N 0 3 322.496 4.349 20 0 DIADHN CCc1nocc1CN1CCC(CCc2ccc(C)cc2)CC1 ZINC001462010507 1063878242 /nfs/dbraw/zinc/87/82/42/1063878242.db2.gz USEGEBFMODEIFP-UHFFFAOYSA-N 0 3 312.457 4.390 20 0 DIADHN COc1ccc([C@H](C)NC2CC(C)(C)C2)c(Br)c1 ZINC001462011362 1063878658 /nfs/dbraw/zinc/87/86/58/1063878658.db2.gz HGTWNVFKQZJPKX-JTQLQIEISA-N 0 3 312.251 4.297 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc4c(c3)CCC4)CC2)cc1 ZINC000360298795 1063900930 /nfs/dbraw/zinc/90/09/30/1063900930.db2.gz NSKJIDBYYFVQRX-UHFFFAOYSA-N 0 3 307.437 4.219 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3ncccc3F)C2)cc1 ZINC001462049577 1063901155 /nfs/dbraw/zinc/90/11/55/1063901155.db2.gz FXTHGGPYNFGSOO-KRWDZBQOSA-N 0 3 312.432 4.409 20 0 DIADHN CN(C)c1nc(Cl)c(CNCCC2=CCCCCC2)s1 ZINC001462051882 1063904187 /nfs/dbraw/zinc/90/41/87/1063904187.db2.gz PLAIKMLFVNNYHB-UHFFFAOYSA-N 0 3 313.898 4.233 20 0 DIADHN CC[C@@H]1CN(Cc2ccsc2C)CCN1Cc1ccccc1 ZINC001462058084 1063908210 /nfs/dbraw/zinc/90/82/10/1063908210.db2.gz MZLASKRCVLMJEB-LJQANCHMSA-N 0 3 314.498 4.153 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CC[C@@H](C)C(C)(C)C1 ZINC001462060890 1063909513 /nfs/dbraw/zinc/90/95/13/1063909513.db2.gz OPYJMRPRIWSFAG-GFCCVEGCSA-N 0 3 317.502 4.450 20 0 DIADHN COc1cncc(CN2CCC(c3ccccc3C)CC2)c1C ZINC001462059734 1063909656 /nfs/dbraw/zinc/90/96/56/1063909656.db2.gz YBOPYTXMPPRQBM-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN C[C@H]1c2ccsc2CCN1Cc1ccc(-c2cnn(C)c2)cc1 ZINC001261183147 1063910757 /nfs/dbraw/zinc/91/07/57/1063910757.db2.gz IXWMOZJOIGXPSD-AWEZNQCLSA-N 0 3 323.465 4.268 20 0 DIADHN CC(C)C(=O)CCN1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC001261139062 1063911231 /nfs/dbraw/zinc/91/12/31/1063911231.db2.gz FAUVEDDTQADRKX-CQSZACIVSA-N 0 3 316.470 4.091 20 0 DIADHN CCOCCN(C)Cc1ccc(-c2ccccc2)c(Cl)c1 ZINC001462073602 1063915033 /nfs/dbraw/zinc/91/50/33/1063915033.db2.gz URKGHTQWGOWJSO-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCOCC4(CCC4)C3)o2)cc1 ZINC001462073296 1063916108 /nfs/dbraw/zinc/91/61/08/1063916108.db2.gz NQJWWBMOSXFGLQ-UHFFFAOYSA-N 0 3 315.388 4.088 20 0 DIADHN COc1cccc2c1CCN([C@H](C)c1nccc3ccccc31)C2 ZINC001462085484 1063921511 /nfs/dbraw/zinc/92/15/11/1063921511.db2.gz NUTKZNIOGUAEAP-OAHLLOKOSA-N 0 3 318.420 4.363 20 0 DIADHN C[C@H]1CCSCCN1CCCc1c(F)cccc1Cl ZINC001462095964 1063926547 /nfs/dbraw/zinc/92/65/47/1063926547.db2.gz LQFIRXYXJUBWFZ-LBPRGKRZSA-N 0 3 301.858 4.239 20 0 DIADHN CC(C)n1cncc1CN1C[C@@H]2CCCC[C@@]21c1ccccc1 ZINC001261441813 1063926892 /nfs/dbraw/zinc/92/68/92/1063926892.db2.gz WMFCHSRRNOWLET-AZUAARDMSA-N 0 3 309.457 4.365 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1ccc2c(c1)CCCO2 ZINC001462097103 1063927259 /nfs/dbraw/zinc/92/72/59/1063927259.db2.gz XNFXJGOPBUUMIN-HNNXBMFYSA-N 0 3 313.363 4.174 20 0 DIADHN CC(C)n1cncc1CN1C[C@H]2CCCC[C@]21c1ccccc1 ZINC001261441815 1063928088 /nfs/dbraw/zinc/92/80/88/1063928088.db2.gz WMFCHSRRNOWLET-QUCCMNQESA-N 0 3 309.457 4.365 20 0 DIADHN CC(C)c1nc(CNCCC[C@H]2CC2(Cl)Cl)cs1 ZINC001261514937 1063930348 /nfs/dbraw/zinc/93/03/48/1063930348.db2.gz RVMVUKPURFCUIB-JTQLQIEISA-N 0 3 307.290 4.330 20 0 DIADHN C[C@H](N[C@H]1C[C@@H]2CC[C@@H](C2)C1)c1nc(-c2cccc(F)c2)no1 ZINC001462119194 1063937840 /nfs/dbraw/zinc/93/78/40/1063937840.db2.gz HCPXIWYCQZXETP-BCUIYNNISA-N 0 3 315.392 4.105 20 0 DIADHN C[C@@H](N[C@@H]1C[C@@H]2CC[C@@H](C2)C1)c1nc(-c2cccc(F)c2)no1 ZINC001462119212 1063937993 /nfs/dbraw/zinc/93/79/93/1063937993.db2.gz HCPXIWYCQZXETP-NFFDBFGFSA-N 0 3 315.392 4.105 20 0 DIADHN C[C@H](NCc1ccc2c(c1)CCC2)c1ccc(C(F)F)nc1 ZINC001261601603 1063939770 /nfs/dbraw/zinc/93/97/70/1063939770.db2.gz UYSAGHJSYHJSGQ-LBPRGKRZSA-N 0 3 302.368 4.359 20 0 DIADHN Cc1cc(C)nc(CN2CCC(c3nc4ccccc4o3)CC2)c1 ZINC001262223329 1063960183 /nfs/dbraw/zinc/96/01/83/1063960183.db2.gz PTPCWOJNGGFKPK-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2ccc3ncccc3c2)c1 ZINC000378869003 1063978479 /nfs/dbraw/zinc/97/84/79/1063978479.db2.gz IYOLGBQHEXXROH-CQSZACIVSA-N 0 3 319.408 4.044 20 0 DIADHN Cc1ccccc1C1CC(NCc2ccc(Br)o2)C1 ZINC000378955086 1063981356 /nfs/dbraw/zinc/98/13/56/1063981356.db2.gz SYQVWBQHHQSXGG-UHFFFAOYSA-N 0 3 320.230 4.386 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)Nc1ccccc1-c1ccccc1 ZINC001264121295 1064021398 /nfs/dbraw/zinc/02/13/98/1064021398.db2.gz DUAREKHVXNFQDA-LJQANCHMSA-N 0 3 308.425 4.165 20 0 DIADHN OC[C@H](NCc1c(Cl)oc2ccccc21)C1CCCCC1 ZINC000386373315 1064049412 /nfs/dbraw/zinc/04/94/12/1064049412.db2.gz QHVILXQKYYOKRY-HNNXBMFYSA-N 0 3 307.821 4.117 20 0 DIADHN c1ccc([C@@H]2CN(Cc3ccc4ccccc4n3)CCCO2)cc1 ZINC000605574557 1064051623 /nfs/dbraw/zinc/05/16/23/1064051623.db2.gz XDZHMMWJYOHESJ-NRFANRHFSA-N 0 3 318.420 4.198 20 0 DIADHN COc1ccc2cc(CN3C[C@@H]4CCC[C@H]4C3)c(Cl)nc2c1 ZINC000387665624 1064081029 /nfs/dbraw/zinc/08/10/29/1064081029.db2.gz YQURVJGDRINWPC-KBPBESRZSA-N 0 3 316.832 4.129 20 0 DIADHN CC[C@H](C)CCCCC(=O)N1C[C@H](N(C)C)Cc2ccccc21 ZINC001264955907 1064177851 /nfs/dbraw/zinc/17/78/51/1064177851.db2.gz WJDKOTNBALFXCA-FUHWJXTLSA-N 0 3 316.489 4.112 20 0 DIADHN CCN(CCC1CC1)Cc1ccc(Br)c(F)c1F ZINC000701306525 1064245913 /nfs/dbraw/zinc/24/59/13/1064245913.db2.gz GVEBOYSFAGUKNV-UHFFFAOYSA-N 0 3 318.205 4.349 20 0 DIADHN c1ccc2cc(CN3CC4(CCN4C4CCCC4)C3)ccc2c1 ZINC001279176492 1064336578 /nfs/dbraw/zinc/33/65/78/1064336578.db2.gz JURXVBIZFSXACL-UHFFFAOYSA-N 0 3 306.453 4.043 20 0 DIADHN O=C(Nc1ccc(F)c(Cl)c1)[C@@H]1CCCCN1C1CCCC1 ZINC001290071903 1064677850 /nfs/dbraw/zinc/67/78/50/1064677850.db2.gz VWGJOTSVCAGCTK-INIZCTEOSA-N 0 3 324.827 4.215 20 0 DIADHN CC[C@@H](C(=O)N(Cc1ccccc1)c1ccccc1)N(CC)CC ZINC001291896158 1064748905 /nfs/dbraw/zinc/74/89/05/1064748905.db2.gz OBCWMBCPMPCUIN-FQEVSTJZSA-N 0 3 324.468 4.340 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)N(C)c1cccc(C(C)C)c1 ZINC001292037453 1064755836 /nfs/dbraw/zinc/75/58/36/1064755836.db2.gz CUVBJHVXDGAYIM-SFHVURJKSA-N 0 3 302.462 4.037 20 0 DIADHN C[C@H]1CC(C(=O)N(CCN(C)C)CC2CCCCC2)C[C@H](C)C1 ZINC001292112172 1064760482 /nfs/dbraw/zinc/76/04/82/1064760482.db2.gz HSUGAKZJUINLMP-IAGOWNOFSA-N 0 3 322.537 4.029 20 0 DIADHN CCCCN(C(=O)[C@@H](C)N1[C@H](C)CC[C@@H]1C)c1ccccc1 ZINC001294414955 1064867562 /nfs/dbraw/zinc/86/75/62/1064867562.db2.gz SGODMUOXTXAGBB-IXDOHACOSA-N 0 3 302.462 4.081 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@@H](C)N3[C@H](C)CC[C@@H]3C)cc2c1C ZINC001296672714 1064954561 /nfs/dbraw/zinc/95/45/61/1064954561.db2.gz WPNZDNBAIKNIJM-MBNYWOFBSA-N 0 3 314.429 4.249 20 0 DIADHN CCCCCCN(C)CC(=O)NC1CCC(CC)(CC)CC1 ZINC001297297769 1064977655 /nfs/dbraw/zinc/97/76/55/1064977655.db2.gz SCMQMKFNAONXQM-UHFFFAOYSA-N 0 3 310.526 4.364 20 0 DIADHN Cc1cccc2oc(C(=O)Nc3ccccc3CN(C)C)cc21 ZINC001298492065 1065006885 /nfs/dbraw/zinc/00/68/85/1065006885.db2.gz UVGYIYDLUWVAKY-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)[C@H](C)C(CC)CC ZINC001298609136 1065013193 /nfs/dbraw/zinc/01/31/93/1065013193.db2.gz LRNPBTFLBDTCMI-CYBMUJFWSA-N 0 3 305.466 4.115 20 0 DIADHN CC(C(=O)N1c2ccc(C)cc2[C@H]2CN(C)CC[C@H]21)=C1CCCC1 ZINC001298830905 1065023668 /nfs/dbraw/zinc/02/36/68/1065023668.db2.gz AWMTXPRUJNETJP-UYAOXDASSA-N 0 3 324.468 4.020 20 0 DIADHN COc1cc(CN(C)C)ccc1NC(=O)[C@H](C)[C@H](C)C(C)(C)C ZINC001299106254 1065032884 /nfs/dbraw/zinc/03/28/84/1065032884.db2.gz QZPJBOQWEWHWET-KGLIPLIRSA-N 0 3 320.477 4.014 20 0 DIADHN CCCCCSCCCN1CCN(c2ccccc2)CC1 ZINC001307323114 1065340658 /nfs/dbraw/zinc/34/06/58/1065340658.db2.gz NSMIUQPBOUROJA-UHFFFAOYSA-N 0 3 306.519 4.122 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1ccc(Cl)cc1C ZINC001307413520 1065374457 /nfs/dbraw/zinc/37/44/57/1065374457.db2.gz VQVJWEYPYKOIAT-UHFFFAOYSA-N 0 3 310.869 4.345 20 0 DIADHN CC(C)CCN(Cc1cc(F)ccc1OC(F)F)C1CC1 ZINC001307412150 1065375820 /nfs/dbraw/zinc/37/58/20/1065375820.db2.gz CJUYPSCSCWPVMR-UHFFFAOYSA-N 0 3 301.352 4.438 20 0 DIADHN Clc1cccc([C@@H]2CCCN2C[C@@H]2CC[C@H](C3CC3)O2)c1 ZINC001307418221 1065379853 /nfs/dbraw/zinc/37/98/53/1065379853.db2.gz UIYVRUGEZKWLBH-OKZBNKHCSA-N 0 3 305.849 4.435 20 0 DIADHN CN(Cc1cc(Cl)cc(Cl)c1)C[C@H]1CC[C@H](C2CC2)O1 ZINC001307418559 1065380260 /nfs/dbraw/zinc/38/02/60/1065380260.db2.gz WVHHNWNPEDPPET-HZPDHXFCSA-N 0 3 314.256 4.383 20 0 DIADHN Clc1cccc([C@@H]2CCCN2C[C@H]2CC[C@H](C3CC3)O2)c1 ZINC001307418219 1065380722 /nfs/dbraw/zinc/38/07/22/1065380722.db2.gz UIYVRUGEZKWLBH-FGTMMUONSA-N 0 3 305.849 4.435 20 0 DIADHN CN(CCCCCCCNC(=O)OC(C)(C)C)Cc1ccoc1 ZINC001307427021 1065389640 /nfs/dbraw/zinc/38/96/40/1065389640.db2.gz UKXWMVAOUOVPFD-UHFFFAOYSA-N 0 3 324.465 4.187 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2CCO[C@H]2C)s1 ZINC001307437000 1065397613 /nfs/dbraw/zinc/39/76/13/1065397613.db2.gz RCMVRUQMTXJXCA-GJZGRUSLSA-N 0 3 305.443 4.077 20 0 DIADHN COCCN(Cc1ccc(F)cc1C)[C@@H](C)c1ccccc1 ZINC001307436842 1065397984 /nfs/dbraw/zinc/39/79/84/1065397984.db2.gz MKQAAZNTJWNHQY-INIZCTEOSA-N 0 3 301.405 4.344 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3cc(C4CC4)no3)C2)cc1 ZINC001307442218 1065402269 /nfs/dbraw/zinc/40/22/69/1065402269.db2.gz MYFBEIAAKWDYIU-KRWDZBQOSA-N 0 3 310.441 4.315 20 0 DIADHN COc1ccc2c(c1)CN([C@H](C)c1ccc3c(c1)CCC3)CC2 ZINC001307444594 1065405022 /nfs/dbraw/zinc/40/50/22/1065405022.db2.gz FYCVYYXSNWAFDT-OAHLLOKOSA-N 0 3 307.437 4.303 20 0 DIADHN Clc1cnn(CCN2CCCCC[C@@H]2c2ccccc2)c1 ZINC001307444350 1065405224 /nfs/dbraw/zinc/40/52/24/1065405224.db2.gz UBJLBRSLKCSMFO-QGZVFWFLSA-N 0 3 303.837 4.154 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccc2ccccc2c1)C1CC1 ZINC001307447526 1065406696 /nfs/dbraw/zinc/40/66/96/1065406696.db2.gz VZSHDZMLBDJMRR-UHFFFAOYSA-N 0 3 310.441 4.431 20 0 DIADHN CC(C)C[C@H](C)N(C)Cc1cc(Br)ccc1F ZINC001307448967 1065407708 /nfs/dbraw/zinc/40/77/08/1065407708.db2.gz HNIYMAHXEYZXNV-NSHDSACASA-N 0 3 302.231 4.455 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cc3ccccc3o1)C2 ZINC001307456192 1065411588 /nfs/dbraw/zinc/41/15/88/1065411588.db2.gz OVVLAILVHRQTIG-UHFFFAOYSA-N 0 3 307.393 4.390 20 0 DIADHN CC[C@@H](C)[C@H](C)N(CC(=O)Nc1ccc(Cl)cc1C)C1CC1 ZINC001307462828 1065414399 /nfs/dbraw/zinc/41/43/99/1065414399.db2.gz HZJQQEYGYULQKF-OCCSQVGLSA-N 0 3 322.880 4.486 20 0 DIADHN CN(C)c1cccc(CN(Cc2ccccc2)CC(C)(C)C)n1 ZINC001307463677 1065415915 /nfs/dbraw/zinc/41/59/15/1065415915.db2.gz STXHSMVCPZXCBT-UHFFFAOYSA-N 0 3 311.473 4.196 20 0 DIADHN CCCN(CCc1cccc(F)c1)Cc1nc2ccccc2[nH]1 ZINC001307466058 1065416982 /nfs/dbraw/zinc/41/69/82/1065416982.db2.gz XDBHEKRBVFPOBA-UHFFFAOYSA-N 0 3 311.404 4.157 20 0 DIADHN CC[C@@H]1CCCN([C@@H](C)C(=O)Nc2cccc(Cl)c2C)CC1 ZINC001307467397 1065417413 /nfs/dbraw/zinc/41/74/13/1065417413.db2.gz GOFKLHDVRRRRMD-LSDHHAIUSA-N 0 3 322.880 4.488 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCc2ccccc2F)cc1F ZINC001307475954 1065423158 /nfs/dbraw/zinc/42/31/58/1065423158.db2.gz LNRQGXAKUAUPHL-SFHVURJKSA-N 0 3 305.343 4.484 20 0 DIADHN CC(C)C[C@@H]1COCCN1CCn1ccc2cc(Cl)ccc21 ZINC001307477075 1065423761 /nfs/dbraw/zinc/42/37/61/1065423761.db2.gz CDHRRLGITHHNEN-QGZVFWFLSA-N 0 3 320.864 4.042 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCc2ccccc2F)cc1F ZINC001307475953 1065424148 /nfs/dbraw/zinc/42/41/48/1065424148.db2.gz LNRQGXAKUAUPHL-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(CC(=O)Nc2ccc3ccccc3c2)C1 ZINC001307479117 1065425162 /nfs/dbraw/zinc/42/51/62/1065425162.db2.gz OOQHGYOBMFNCFM-CVEARBPZSA-N 0 3 310.441 4.289 20 0 DIADHN CC[C@H]1CCCCCN1Cc1cn(-c2ccc(Cl)cc2)nn1 ZINC001307483964 1065429484 /nfs/dbraw/zinc/42/94/84/1065429484.db2.gz XTGKTVDLEYSKCS-INIZCTEOSA-N 0 3 318.852 4.075 20 0 DIADHN CC[C@H](C(=O)OC(C)(C)C)N1C[C@@H](c2ccccc2C)C[C@@H]1C ZINC001307488033 1065431758 /nfs/dbraw/zinc/43/17/58/1065431758.db2.gz FECHEHLHDJFGDX-XYJFISCASA-N 0 3 317.473 4.293 20 0 DIADHN Cc1ccc(CN2CC[C@@H](Cc3ccccc3)C2)nc1Cl ZINC001307490177 1065434724 /nfs/dbraw/zinc/43/47/24/1065434724.db2.gz MXTSFCHJKDWTFI-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN CCN(CCCC1CCCC1)[C@H]1CCN(c2ccccc2)C1=O ZINC001307491669 1065437301 /nfs/dbraw/zinc/43/73/01/1065437301.db2.gz XTBYLMACGKHJNP-IBGZPJMESA-N 0 3 314.473 4.084 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2cc3n(n2)CCCC3)cc1 ZINC001307495607 1065440536 /nfs/dbraw/zinc/44/05/36/1065440536.db2.gz PAIXXJXTHMDVRC-NHCUHLMSSA-N 0 3 323.484 4.296 20 0 DIADHN CCCCN(CCCC)CC(=O)Nc1cccc(Cl)c1C ZINC001307504944 1065449360 /nfs/dbraw/zinc/44/93/60/1065449360.db2.gz JNPJGBCXUKHDAM-UHFFFAOYSA-N 0 3 310.869 4.489 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1)N1CCCC(C)(C)CC1 ZINC001307508296 1065451459 /nfs/dbraw/zinc/45/14/59/1065451459.db2.gz SKWNHJUDNQTFOU-CYBMUJFWSA-N 0 3 308.853 4.179 20 0 DIADHN Fc1cc(OCCN2CC[C@@H]2c2ccccc2)ccc1Cl ZINC001307512246 1065453420 /nfs/dbraw/zinc/45/34/20/1065453420.db2.gz BESNEBUYQBATQD-QGZVFWFLSA-N 0 3 305.780 4.305 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1cccc(C(F)(F)F)c1 ZINC001307512589 1065453522 /nfs/dbraw/zinc/45/35/22/1065453522.db2.gz SNGHAXQHTBVELJ-LBPRGKRZSA-N 0 3 316.367 4.012 20 0 DIADHN C[C@@H]1CCN(CC(=O)Nc2ccc(-c3ccccc3)cc2)[C@@H]1C ZINC001307524175 1065461580 /nfs/dbraw/zinc/46/15/80/1065461580.db2.gz SSPPBFKVUMELKA-HZPDHXFCSA-N 0 3 308.425 4.022 20 0 DIADHN COc1cccc(CCCN2CCOC3(C2)CCCCCC3)c1 ZINC001307531152 1065466393 /nfs/dbraw/zinc/46/63/93/1065466393.db2.gz SMAGKDJOVWWBNY-UHFFFAOYSA-N 0 3 317.473 4.053 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N(C[C@@H]1COC(C)(C)O1)C1CC1 ZINC001307535261 1065469382 /nfs/dbraw/zinc/46/93/82/1065469382.db2.gz LIWSUTGFXNVLBH-MLGOLLRUSA-N 0 3 309.837 4.017 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1N(C)Cc1cc(C(F)(F)F)co1 ZINC001307542821 1065474699 /nfs/dbraw/zinc/47/46/99/1065474699.db2.gz FXTBCCFVEOHWKJ-UONOGXRCSA-N 0 3 319.367 4.324 20 0 DIADHN COc1cccc(CN(C)[C@@H]2CCCc3nc(C)sc32)c1C ZINC001307551855 1065478301 /nfs/dbraw/zinc/47/83/01/1065478301.db2.gz AJLFTKWPFMKTQF-MRXNPFEDSA-N 0 3 316.470 4.278 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(C)c(Cl)n2)C1(CC)CC ZINC001307552144 1065478958 /nfs/dbraw/zinc/47/89/58/1065478958.db2.gz SDUQMRPHIKXSGS-HOTGVXAUSA-N 0 3 324.896 4.459 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)C[C@@H]1CC[C@H](c2ccccc2)O1 ZINC001307551425 1065479026 /nfs/dbraw/zinc/47/90/26/1065479026.db2.gz IQAFRYFHKOZAHB-UIAACRFSSA-N 0 3 311.425 4.305 20 0 DIADHN C[C@H]1CC(CCN2CC(c3nc4ccccc4[nH]3)C2)C[C@H](C)C1 ZINC001307557186 1065481901 /nfs/dbraw/zinc/48/19/01/1065481901.db2.gz WDBKHYWTXJUQFA-HUUCEWRRSA-N 0 3 311.473 4.425 20 0 DIADHN CCC[C@H](C)[C@H]1CCCN1CC(=O)Nc1c(C)cc(C)cc1C ZINC001307579210 1065493096 /nfs/dbraw/zinc/49/30/96/1065493096.db2.gz MHPZWGJEKQVZPW-MAUKXSAKSA-N 0 3 316.489 4.451 20 0 DIADHN CC(C)CCOCCN(C)Cc1cccc(OC(F)(F)F)c1 ZINC001307578407 1065494194 /nfs/dbraw/zinc/49/41/94/1065494194.db2.gz GRXVCZYWQWAXSY-UHFFFAOYSA-N 0 3 319.367 4.080 20 0 DIADHN Cc1cc(CN2C[C@H](C)N(Cc3ccccc3)C[C@H]2C)cs1 ZINC001307589115 1065502529 /nfs/dbraw/zinc/50/25/29/1065502529.db2.gz KOOZLEODORAWCH-JKSUJKDBSA-N 0 3 314.498 4.151 20 0 DIADHN C[C@@]1(CO)CCCN(Cc2ccc(Cl)c3ccccc23)C1 ZINC001307603660 1065509638 /nfs/dbraw/zinc/50/96/38/1065509638.db2.gz JPUCIGAPXMGEPP-GOSISDBHSA-N 0 3 303.833 4.088 20 0 DIADHN CCCC[C@@H](C(=O)OC)N1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC001307607753 1065512243 /nfs/dbraw/zinc/51/22/43/1065512243.db2.gz OAPDZBOQJJAWOG-QAPCUYQASA-N 0 3 321.436 4.127 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cccc(F)c1OC(F)F ZINC001307617652 1065520843 /nfs/dbraw/zinc/52/08/43/1065520843.db2.gz GZCYAEFELWUBCH-LLVKDONJSA-N 0 3 307.381 4.001 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2ccc(C)c(C)c2)c1 ZINC001307625095 1065524191 /nfs/dbraw/zinc/52/41/91/1065524191.db2.gz NKROFOISMBDSPS-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(C)cc1)C(=O)N(C)c1ccc(C)cc1 ZINC001307631506 1065529086 /nfs/dbraw/zinc/52/90/86/1065529086.db2.gz VMCBQYWRAVKLKG-YLJYHZDGSA-N 0 3 324.468 4.396 20 0 DIADHN CC1(C)CN(C[C@H](O)c2ccc(F)cc2Cl)CC(C)(C)C1 ZINC001307659537 1065546191 /nfs/dbraw/zinc/54/61/91/1065546191.db2.gz QPLLWRINZJACPD-HNNXBMFYSA-N 0 3 313.844 4.271 20 0 DIADHN C[C@@H](NC[C@@H]1CCC2(CCC2)CO1)c1nc2ccccc2s1 ZINC001307659586 1065549604 /nfs/dbraw/zinc/54/96/04/1065549604.db2.gz ZZLRJLSUJQKHMD-KGLIPLIRSA-N 0 3 316.470 4.296 20 0 DIADHN Cc1cc(Br)c(CNC2(C3CC3)CC2)cc1Cl ZINC001307667487 1065552309 /nfs/dbraw/zinc/55/23/09/1065552309.db2.gz MQDFMHKANLKVNV-UHFFFAOYSA-N 0 3 314.654 4.443 20 0 DIADHN CCC(C)(C)CCN(Cc1ccccc1)C[C@H](O)C(F)(F)F ZINC001307672958 1065555500 /nfs/dbraw/zinc/55/55/00/1065555500.db2.gz IWKCGGGTSIRCRD-HNNXBMFYSA-N 0 3 317.395 4.238 20 0 DIADHN Cc1cccc2c1CN(CCCOc1c(C)cccc1C)CC2 ZINC001307680266 1065558173 /nfs/dbraw/zinc/55/81/73/1065558173.db2.gz QMSLCWXBWOQFLU-UHFFFAOYSA-N 0 3 309.453 4.439 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@@H](CC)[C@@H]3C)co2)cc1 ZINC001307702339 1065571998 /nfs/dbraw/zinc/57/19/98/1065571998.db2.gz IINDJKMQLCNUPT-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@H]1NCc1ncccc1Br ZINC001307704214 1065572615 /nfs/dbraw/zinc/57/26/15/1065572615.db2.gz ADFADLPXLREIGR-DGCLKSJQSA-N 0 3 311.267 4.149 20 0 DIADHN CCOc1ccc(-c2nc(CN3CC[C@H](CC)[C@H]3C)co2)cc1 ZINC001307702336 1065573473 /nfs/dbraw/zinc/57/34/73/1065573473.db2.gz IINDJKMQLCNUPT-CABCVRRESA-N 0 3 314.429 4.361 20 0 DIADHN c1ccc(C[C@@H]2CCCC[C@H]2NCc2noc3c2CCCC3)cc1 ZINC001307706839 1065574565 /nfs/dbraw/zinc/57/45/65/1065574565.db2.gz IBZTZHSGIWVLPA-PKOBYXMFSA-N 0 3 324.468 4.445 20 0 DIADHN CC[C@H]1[C@@H](C)CCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307706974 1065575825 /nfs/dbraw/zinc/57/58/25/1065575825.db2.gz UBMWWCBDRUCTNM-GUYCJALGSA-N 0 3 303.837 4.146 20 0 DIADHN CC[C@@H](OCCN1CCC[C@H](OCC2CC2)C1)c1ccccc1 ZINC001307707393 1065576882 /nfs/dbraw/zinc/57/68/82/1065576882.db2.gz WKIHYTQLDWYYNZ-VQTJNVASSA-N 0 3 317.473 4.045 20 0 DIADHN CCOC(=O)/C=C\CN[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC001307707630 1065577858 /nfs/dbraw/zinc/57/78/58/1065577858.db2.gz HHJZLEORHSBDRM-XURPKSDJSA-N 0 3 317.816 4.263 20 0 DIADHN CCOC(=O)CCCN(CC=C(C)C)Cc1ccc(Cl)cc1 ZINC001307715105 1065581017 /nfs/dbraw/zinc/58/10/17/1065581017.db2.gz CECKQHYSXRPIIL-UHFFFAOYSA-N 0 3 323.864 4.452 20 0 DIADHN C[C@H](CO)N(Cc1c(Cl)oc2ccccc21)CC1CCC1 ZINC001307714639 1065581845 /nfs/dbraw/zinc/58/18/45/1065581845.db2.gz AELXLQHYYPXTAM-GFCCVEGCSA-N 0 3 307.821 4.069 20 0 DIADHN CCC[C@H](C(=O)OCC)N1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC001307714487 1065582140 /nfs/dbraw/zinc/58/21/40/1065582140.db2.gz FRZSWZAUAOZARA-FCGDIQPGSA-N 0 3 315.457 4.129 20 0 DIADHN CCN(CC(=O)[C@H]1CC[C@@H](C(F)(F)F)CC1)CC1CCC1 ZINC001307718184 1065583488 /nfs/dbraw/zinc/58/34/88/1065583488.db2.gz BTOCEONDSLBNRT-OKILXGFUSA-N 0 3 305.384 4.046 20 0 DIADHN Clc1cccc(CCCCN2CCC[C@H]2c2cc[nH]n2)c1 ZINC001307723990 1065588875 /nfs/dbraw/zinc/58/88/75/1065588875.db2.gz MRIKFFNZPALUCZ-KRWDZBQOSA-N 0 3 303.837 4.223 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1CCCC1CCCC1 ZINC001307736870 1065593796 /nfs/dbraw/zinc/59/37/96/1065593796.db2.gz RUDKZOGAMKGBKF-INIZCTEOSA-N 0 3 304.453 4.307 20 0 DIADHN CCC1CCC(N(CCO)Cc2cc(Cl)ccc2F)CC1 ZINC001307741060 1065598226 /nfs/dbraw/zinc/59/82/26/1065598226.db2.gz MMLDHSXRYPNQAJ-UHFFFAOYSA-N 0 3 313.844 4.242 20 0 DIADHN CCOC(=O)[C@H](CC(C)C)N1CC[C@H](c2ccc(C)cc2C)C1 ZINC001307742816 1065598763 /nfs/dbraw/zinc/59/87/63/1065598763.db2.gz WFBCQDKSHBERDE-HKUYNNGSSA-N 0 3 317.473 4.071 20 0 DIADHN CCOC(=O)[C@@H](CC(C)C)N1CC[C@@H](c2ccc(C)cc2C)C1 ZINC001307742817 1065599710 /nfs/dbraw/zinc/59/97/10/1065599710.db2.gz WFBCQDKSHBERDE-IEBWSBKVSA-N 0 3 317.473 4.071 20 0 DIADHN Cc1cc(C)cc(CN(C)Cc2ccc3c(c2)OC(F)(F)O3)c1 ZINC001307746171 1065602914 /nfs/dbraw/zinc/60/29/14/1065602914.db2.gz ZKRJZLUQUJDTTQ-UHFFFAOYSA-N 0 3 319.351 4.257 20 0 DIADHN c1coc(C2=CCCN(C[C@H]3CC4(CO3)CCCCC4)C2)c1 ZINC001307759503 1065609043 /nfs/dbraw/zinc/60/90/43/1065609043.db2.gz KLSUTWHKPRBNDV-QGZVFWFLSA-N 0 3 301.430 4.108 20 0 DIADHN CC/C=C/CCN1CCN(c2ccc(CC)c(Cl)c2)CC1 ZINC001307763113 1065609400 /nfs/dbraw/zinc/60/94/00/1065609400.db2.gz RENYYLFZURDUQD-AATRIKPKSA-N 0 3 306.881 4.381 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2coc(-c3cccc(OC)c3)n2)C1 ZINC001307767953 1065611996 /nfs/dbraw/zinc/61/19/96/1065611996.db2.gz JHKWSUAVKWUTJC-LSDHHAIUSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)O[C@@H](CN1CCOCC12CCCCC2)c1ccccc1 ZINC001307770831 1065613705 /nfs/dbraw/zinc/61/37/05/1065613705.db2.gz GHSUGPMVAWUZCM-IBGZPJMESA-N 0 3 317.473 4.188 20 0 DIADHN Cc1ccc(CN2CCC(Cc3cc(F)cc(F)c3)CC2)cn1 ZINC001307770951 1065613887 /nfs/dbraw/zinc/61/38/87/1065613887.db2.gz IUARWSAHWUTVEE-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN CC[C@H](C)N1CCN([C@H](C)c2ccc(Cl)c(Cl)c2)CC1 ZINC001307771542 1065614315 /nfs/dbraw/zinc/61/43/15/1065614315.db2.gz FHCBTOHQGSDQCO-QWHCGFSZSA-N 0 3 315.288 4.471 20 0 DIADHN Oc1ccc(Cl)c(CN2CCCc3ccccc3CC2)c1 ZINC001307774198 1065616084 /nfs/dbraw/zinc/61/60/84/1065616084.db2.gz SNKNUTZILIMRKJ-UHFFFAOYSA-N 0 3 301.817 4.037 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCN(C)C2(CCCCC2)C1 ZINC001307778759 1065617674 /nfs/dbraw/zinc/61/76/74/1065617674.db2.gz GLXSEIVFQXQMAM-UHFFFAOYSA-N 0 3 306.881 4.099 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H]1C[C@H](OC(C)C)C1(C)C ZINC001307777626 1065617958 /nfs/dbraw/zinc/61/79/58/1065617958.db2.gz HSRQVFPFQUYCHY-HOTGVXAUSA-N 0 3 311.853 4.030 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@H](NCc2cc(Cl)sc2Cl)C1(C)C ZINC001307777743 1065618042 /nfs/dbraw/zinc/61/80/42/1065618042.db2.gz IMGZCGZSQYNAHJ-BKDNQFJXSA-N 0 3 308.274 4.204 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@H](C)c1cccc(C2CC2)c1 ZINC001307797628 1065625393 /nfs/dbraw/zinc/62/53/93/1065625393.db2.gz CAMXBXPSUCBDOV-HZPDHXFCSA-N 0 3 316.489 4.108 20 0 DIADHN CO[C@H](CC(C)C)CN1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC001307807426 1065631347 /nfs/dbraw/zinc/63/13/47/1065631347.db2.gz CCWNMSGKQSPQHX-QAPCUYQASA-N 0 3 315.461 4.069 20 0 DIADHN CO[C@H](CC(C)C)CN1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC001307807426 1065631350 /nfs/dbraw/zinc/63/13/50/1065631350.db2.gz CCWNMSGKQSPQHX-QAPCUYQASA-N 0 3 315.461 4.069 20 0 DIADHN CO[C@H](CC(C)C)CN1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC001307807423 1065631649 /nfs/dbraw/zinc/63/16/49/1065631649.db2.gz CCWNMSGKQSPQHX-CRAIPNDOSA-N 0 3 315.461 4.069 20 0 DIADHN CO[C@H](CC(C)C)CN1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC001307807423 1065631655 /nfs/dbraw/zinc/63/16/55/1065631655.db2.gz CCWNMSGKQSPQHX-CRAIPNDOSA-N 0 3 315.461 4.069 20 0 DIADHN C[C@@H](NC(=O)CN1CC[C@@H](C(C)(C)C)C[C@H]1C)c1ccccc1 ZINC001307810019 1065631992 /nfs/dbraw/zinc/63/19/92/1065631992.db2.gz HKVKRZIRFZKEJN-JFIYKMOQSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@@H](C)N1CCC[C@@H](C2CC2)C1 ZINC001307812079 1065634252 /nfs/dbraw/zinc/63/42/52/1065634252.db2.gz DSTAECNDRUVSAO-UKRRQHHQSA-N 0 3 320.864 4.097 20 0 DIADHN c1ccc(COc2cncc(CN3CCC(C4CC4)CC3)c2)cc1 ZINC001307816197 1065636391 /nfs/dbraw/zinc/63/63/91/1065636391.db2.gz ANOADFKYFUTWAY-UHFFFAOYSA-N 0 3 322.452 4.283 20 0 DIADHN C[C@H]1CN(C[C@@H]2CCC3(CCCCCC3)O2)CCC1(F)F ZINC001307818912 1065637723 /nfs/dbraw/zinc/63/77/23/1065637723.db2.gz YXJDNAHPSPXTIC-GJZGRUSLSA-N 0 3 301.421 4.236 20 0 DIADHN CO[C@H](Cc1ccccc1)CN1CC(C)(C)[C@@H]1c1cccs1 ZINC001307820662 1065638082 /nfs/dbraw/zinc/63/80/82/1065638082.db2.gz MCIVMGOWQDHXGX-AEFFLSMTSA-N 0 3 315.482 4.389 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCC3(CCCCCC3)O2)CCC1(F)F ZINC001307818908 1065638325 /nfs/dbraw/zinc/63/83/25/1065638325.db2.gz YXJDNAHPSPXTIC-CABCVRRESA-N 0 3 301.421 4.236 20 0 DIADHN Cc1cc(F)ccc1[C@H]1CCCN1Cc1ccc2nonc2c1 ZINC001307841077 1065648088 /nfs/dbraw/zinc/64/80/88/1065648088.db2.gz WPAVRAYKJNSZTB-GOSISDBHSA-N 0 3 311.360 4.007 20 0 DIADHN Fc1ccc(-c2nc(CN3CC[C@@H](C4CCC4)C3)co2)cc1F ZINC001307842013 1065648527 /nfs/dbraw/zinc/64/85/27/1065648527.db2.gz FCMXNVKNHPPEOL-CQSZACIVSA-N 0 3 318.367 4.242 20 0 DIADHN COc1ccc2c(c1)OC(C)(C)CN([C@@H]1CCc3ccccc31)C2 ZINC001307849869 1065651939 /nfs/dbraw/zinc/65/19/39/1065651939.db2.gz FRJAYKGDDNALSW-LJQANCHMSA-N 0 3 323.436 4.356 20 0 DIADHN CC[C@@H](N[C@@H](CC)C(=O)N(C)c1ccc(C)cc1)c1ccccc1 ZINC001307850548 1065652001 /nfs/dbraw/zinc/65/20/01/1065652001.db2.gz SGPHIKMKGITOQW-UXHICEINSA-N 0 3 324.468 4.477 20 0 DIADHN COc1ccc(CN(C)CCOC2CCCCC2)cc1Cl ZINC001307850213 1065652156 /nfs/dbraw/zinc/65/21/56/1065652156.db2.gz LOHQYWRAZKWABV-UHFFFAOYSA-N 0 3 311.853 4.130 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CC[C@@H](C3CCCC3)C2)c1 ZINC001307858203 1065655347 /nfs/dbraw/zinc/65/53/47/1065655347.db2.gz HXCRPMUFEIVVDN-OAHLLOKOSA-N 0 3 321.848 4.139 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N(C)C[C@](C)(O)c1ccccc1 ZINC001307858641 1065656311 /nfs/dbraw/zinc/65/63/11/1065656311.db2.gz BRKWGWCFWYAJFA-ACJLOTCBSA-N 0 3 321.823 4.380 20 0 DIADHN C[C@@H](c1cccc(Cl)c1F)N(C)C[C@](C)(O)c1ccccc1 ZINC001307858644 1065656370 /nfs/dbraw/zinc/65/63/70/1065656370.db2.gz BRKWGWCFWYAJFA-UGSOOPFHSA-N 0 3 321.823 4.380 20 0 DIADHN CCOc1ccc(CN2CC(C)(C)C[C@H]2c2ccccc2)nc1 ZINC001307863740 1065658161 /nfs/dbraw/zinc/65/81/61/1065658161.db2.gz RUMAAPTUTJAQPD-IBGZPJMESA-N 0 3 310.441 4.454 20 0 DIADHN C[C@]1(Cc2ccccc2F)CCCN1Cc1ccnc(Cl)c1 ZINC001307873286 1065665160 /nfs/dbraw/zinc/66/51/60/1065665160.db2.gz UVQZCPOYVRQFCI-GOSISDBHSA-N 0 3 318.823 4.471 20 0 DIADHN CC1(C)CC[C@@H](CN2CCC(c3cccc(F)c3F)CC2)OC1 ZINC001307875293 1065665294 /nfs/dbraw/zinc/66/52/94/1065665294.db2.gz SFCAKYMORYOTHF-HNNXBMFYSA-N 0 3 323.427 4.349 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCN1CCc2ccccc2[C@H](C)C1 ZINC001307898877 1065671490 /nfs/dbraw/zinc/67/14/90/1065671490.db2.gz FTRJCAXEFMUUSS-SQGPQFPESA-N 0 3 301.474 4.244 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCc2ccccc2[C@H](C)C1 ZINC001307898874 1065671781 /nfs/dbraw/zinc/67/17/81/1065671781.db2.gz FTRJCAXEFMUUSS-HLIPFELVSA-N 0 3 301.474 4.244 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCCC[C@@H]1C1CCC1 ZINC001307903551 1065674271 /nfs/dbraw/zinc/67/42/71/1065674271.db2.gz ZROTUPXRPHLGBZ-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1c(C)c(C)c(CN2CC3(CCC3)OC[C@H]2C)c(C)c1C ZINC001307909526 1065678164 /nfs/dbraw/zinc/67/81/64/1065678164.db2.gz GRIVCOOXLAFJNR-CYBMUJFWSA-N 0 3 301.474 4.372 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@@](O)(c2ccccc2)C1 ZINC001307934455 1065688892 /nfs/dbraw/zinc/68/88/92/1065688892.db2.gz LNPLMSCFFIEUPE-LIRRHRJNSA-N 0 3 317.379 4.009 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(=O)OC(C)(C)C)[C@H](C)C2)c(C)s1 ZINC001307939050 1065691082 /nfs/dbraw/zinc/69/10/82/1065691082.db2.gz NWEBEZVGUURKKT-MLGOLLRUSA-N 0 3 323.502 4.165 20 0 DIADHN CCC[C@H](C)CCCN1CCc2nc(C(C)(C)C)ncc2C1 ZINC001307951117 1065697888 /nfs/dbraw/zinc/69/78/88/1065697888.db2.gz NDMZRKOQQIKQFV-HNNXBMFYSA-N 0 3 303.494 4.349 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2cc(C(=O)OC)sc2C)C1 ZINC001307973647 1065706400 /nfs/dbraw/zinc/70/64/00/1065706400.db2.gz HDJDFQMONOEALC-ISZGNANSSA-N 0 3 307.459 4.021 20 0 DIADHN Cc1cccc(C[C@@]2(C)CCCN2Cc2ncc(C3CC3)o2)c1 ZINC001307972038 1065706537 /nfs/dbraw/zinc/70/65/37/1065706537.db2.gz IMNXWFLKBVUDAH-HXUWFJFHSA-N 0 3 310.441 4.458 20 0 DIADHN Cc1cccc(C[C@@]2(C)CCCN2Cc2ccn(C(C)C)n2)c1 ZINC001307972275 1065708266 /nfs/dbraw/zinc/70/82/66/1065708266.db2.gz KZUCDUNSVQEESY-HXUWFJFHSA-N 0 3 311.473 4.370 20 0 DIADHN CC(C)c1ccccc1CN(C)C[C@@H](C)NC(=O)OC(C)(C)C ZINC001307976972 1065709584 /nfs/dbraw/zinc/70/95/84/1065709584.db2.gz VNAAEJIRUYZBCE-OAHLLOKOSA-N 0 3 320.477 4.155 20 0 DIADHN Cc1cccc([C@@H](NCC(=O)N(C)[C@H](C)CC(C)C)C(C)C)c1 ZINC001307986474 1065711613 /nfs/dbraw/zinc/71/16/13/1065711613.db2.gz AJJZZLZGBKUXLX-XLIONFOSSA-N 0 3 318.505 4.175 20 0 DIADHN COc1ccc(CN2CCC[C@@H](OC3CCC3)CC2)cc1Cl ZINC001308013210 1065724622 /nfs/dbraw/zinc/72/46/22/1065724622.db2.gz SNVXTNXOTNKIFV-MRXNPFEDSA-N 0 3 323.864 4.272 20 0 DIADHN OC1(CN2CCC[C@](F)(c3ccccc3)C2)CCCCCC1 ZINC001308014219 1065725674 /nfs/dbraw/zinc/72/56/74/1065725674.db2.gz FEJBTBCTAHRSOK-LJQANCHMSA-N 0 3 305.437 4.033 20 0 DIADHN COC(=O)c1cc(CN2CC[C@]2(C)C2CCCCC2)cs1 ZINC001308026033 1065730028 /nfs/dbraw/zinc/73/00/28/1065730028.db2.gz HQHOEBADSPMSJT-QGZVFWFLSA-N 0 3 307.459 4.079 20 0 DIADHN CC(C)(C)[C@@H]1CSCCN1Cc1cnc(-c2ccccc2)o1 ZINC001308032847 1065732509 /nfs/dbraw/zinc/73/25/09/1065732509.db2.gz CQYMCJCIJZBVAH-INIZCTEOSA-N 0 3 316.470 4.305 20 0 DIADHN CC(C)(C)[C@@H]1CSCCN1CCCc1c(F)cccc1F ZINC001308033152 1065733532 /nfs/dbraw/zinc/73/35/32/1065733532.db2.gz FZJGFGCFIHQMFK-INIZCTEOSA-N 0 3 313.457 4.361 20 0 DIADHN Cc1nsc(C)c1CN1CCCSC[C@H]1c1ccccc1 ZINC001308039115 1065735905 /nfs/dbraw/zinc/73/59/05/1065735905.db2.gz DAUPUGPHJSTAMO-KRWDZBQOSA-N 0 3 318.511 4.440 20 0 DIADHN Cc1ccc(Cl)cc1Oc1ccccc1CN(C)C[C@H](C)O ZINC001308045157 1065739581 /nfs/dbraw/zinc/73/95/81/1065739581.db2.gz UJXAECXVJGIWBM-AWEZNQCLSA-N 0 3 319.832 4.253 20 0 DIADHN CCc1onc(C)c1CN1CCC(c2cccc(F)c2)CC1 ZINC001308048680 1065742275 /nfs/dbraw/zinc/74/22/75/1065742275.db2.gz BJRWETHKCQXMMS-UHFFFAOYSA-N 0 3 302.393 4.064 20 0 DIADHN CC[C@@H](c1ccccc1)N1CCc2ccc(NC(C)=O)cc2C1 ZINC001308062810 1065747434 /nfs/dbraw/zinc/74/74/34/1065747434.db2.gz WEFUJKNZMGASJK-FQEVSTJZSA-N 0 3 308.425 4.154 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC001308071350 1065751123 /nfs/dbraw/zinc/75/11/23/1065751123.db2.gz RPIPFUHBWNKQML-MFKMUULPSA-N 0 3 305.290 4.253 20 0 DIADHN CCSc1ccccc1CN(C)[C@H](CO)c1ccccc1C ZINC001308081151 1065755922 /nfs/dbraw/zinc/75/59/22/1065755922.db2.gz XURPIVZUJULOQN-GOSISDBHSA-N 0 3 315.482 4.272 20 0 DIADHN CC[C@H](NCCO[C@H]1CCCC[C@H]1C)c1cc(F)ccc1OC ZINC001308090121 1065757956 /nfs/dbraw/zinc/75/79/56/1065757956.db2.gz LMHGLIMDMVBJSS-JLSDUUJJSA-N 0 3 323.452 4.470 20 0 DIADHN COC(=O)C[C@@H](NCc1c(Cl)oc2ccccc21)C(C)(C)C ZINC001308106305 1065764312 /nfs/dbraw/zinc/76/43/12/1065764312.db2.gz PIYOBQJGRVZFMS-CQSZACIVSA-N 0 3 323.820 4.154 20 0 DIADHN CN(CCCc1ccsc1)Cc1cc(-c2ccccc2)n[nH]1 ZINC001308141159 1065777761 /nfs/dbraw/zinc/77/77/61/1065777761.db2.gz HGEXRZTYJFAJSM-UHFFFAOYSA-N 0 3 311.454 4.203 20 0 DIADHN Cc1cccnc1[C@H](C)NCCSc1cccc(Cl)c1 ZINC001308153880 1065782684 /nfs/dbraw/zinc/78/26/84/1065782684.db2.gz VGTBNHDYMWZHBA-ZDUSSCGKSA-N 0 3 306.862 4.486 20 0 DIADHN Cc1ccc(SCCN[C@@H](C)c2ncccc2C)cc1C ZINC001308153999 1065782751 /nfs/dbraw/zinc/78/27/51/1065782751.db2.gz XCHRIOJFALZCCI-INIZCTEOSA-N 0 3 300.471 4.450 20 0 DIADHN ClC1(Cl)C[C@@H]1CCN1CCO[C@@H](c2ccccc2)CC1 ZINC001308160476 1065787032 /nfs/dbraw/zinc/78/70/32/1065787032.db2.gz LSQJOHDLEQFYOW-LSDHHAIUSA-N 0 3 314.256 4.034 20 0 DIADHN COc1cccc(CNCc2ccc(SC(F)F)cc2)c1 ZINC001308181626 1065791362 /nfs/dbraw/zinc/79/13/62/1065791362.db2.gz ZOXIDYDNMRLXIO-UHFFFAOYSA-N 0 3 309.381 4.300 20 0 DIADHN CCc1cccc(Cl)c1CNCCOc1ccccc1F ZINC001308188302 1065798480 /nfs/dbraw/zinc/79/84/80/1065798480.db2.gz ADJFCKDWLXSCBO-UHFFFAOYSA-N 0 3 307.796 4.210 20 0 DIADHN COc1ccc(CNCc2cccc(OC(C)(C)C)c2)cc1F ZINC001308188807 1065799491 /nfs/dbraw/zinc/79/94/91/1065799491.db2.gz LQDOBEXRAJCDHQ-UHFFFAOYSA-N 0 3 317.404 4.301 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1cccc2c1OC(C)(C)C2 ZINC001308200865 1065809869 /nfs/dbraw/zinc/80/98/69/1065809869.db2.gz OYWNKXSHRCANTH-YJBOKZPZSA-N 0 3 317.473 4.085 20 0 DIADHN Cc1ccc(CNCc2cn(C)nc2C2CCCCC2)s1 ZINC001308205513 1065815231 /nfs/dbraw/zinc/81/52/31/1065815231.db2.gz FYACGZNSLSUFBJ-UHFFFAOYSA-N 0 3 303.475 4.128 20 0 DIADHN CC[C@H](CNCc1ccc(OC)cc1F)Oc1cccc(C)c1 ZINC001308206484 1065815347 /nfs/dbraw/zinc/81/53/47/1065815347.db2.gz GUFNVABTOZTYME-MRXNPFEDSA-N 0 3 317.404 4.090 20 0 DIADHN CCc1cccc(CNCc2ccc(N3CCCCCC3)nc2)c1 ZINC001308206822 1065815644 /nfs/dbraw/zinc/81/56/44/1065815644.db2.gz XXWXYVZOZLHDNL-UHFFFAOYSA-N 0 3 323.484 4.314 20 0 DIADHN COc1nsc(CNCC2CCCCCCC2)c1Cl ZINC001308211457 1065819802 /nfs/dbraw/zinc/81/98/02/1065819802.db2.gz ZYNOYEUOQUJPAI-UHFFFAOYSA-N 0 3 302.871 4.255 20 0 DIADHN CCOc1cc(F)cc(CN[C@H](C)c2cccc(OC)c2)c1 ZINC001308215488 1065822554 /nfs/dbraw/zinc/82/25/54/1065822554.db2.gz BBTFNLLLSCJZOO-CYBMUJFWSA-N 0 3 303.377 4.084 20 0 DIADHN CC(=O)Oc1ccc(CN(C)[C@H](C)c2ccc(Cl)cc2)cc1 ZINC000753912835 1065833623 /nfs/dbraw/zinc/83/36/23/1065833623.db2.gz XWUQSUQXCIVWNM-CYBMUJFWSA-N 0 3 317.816 4.458 20 0 DIADHN c1ccc([C@@H](NCc2ccc3c(c2)CCC3)[C@@H]2CCCO2)cc1 ZINC001308228491 1065833912 /nfs/dbraw/zinc/83/39/12/1065833912.db2.gz SBGYJJSOFNKBEB-LEWJYISDSA-N 0 3 307.437 4.185 20 0 DIADHN c1ccc([C@@H](NCc2cccc3c2CCC3)[C@@H]2CCCO2)cc1 ZINC001308228593 1065836586 /nfs/dbraw/zinc/83/65/86/1065836586.db2.gz WKWJZDCYLUDXGC-LEWJYISDSA-N 0 3 307.437 4.185 20 0 DIADHN CC[C@H](C)NC(=O)[C@@H](C)NCc1ccccc1-c1ccccc1C ZINC001308236355 1065843755 /nfs/dbraw/zinc/84/37/55/1065843755.db2.gz XQMMEQQYCUJOMH-DLBZAZTESA-N 0 3 324.468 4.055 20 0 DIADHN COc1nsc(CN[C@@H](C)C(C)(C)c2ccccc2)c1Cl ZINC001308241570 1065847508 /nfs/dbraw/zinc/84/75/08/1065847508.db2.gz ZTWVWQSRXRMBRM-NSHDSACASA-N 0 3 324.877 4.261 20 0 DIADHN COc1nsc(CN[C@H](C)C(C)(C)c2ccccc2)c1Cl ZINC001308241569 1065847950 /nfs/dbraw/zinc/84/79/50/1065847950.db2.gz ZTWVWQSRXRMBRM-LLVKDONJSA-N 0 3 324.877 4.261 20 0 DIADHN COC(=O)CC[C@@H](NCc1ccc(C2CC2)cc1)c1ccccc1 ZINC001308245914 1065849856 /nfs/dbraw/zinc/84/98/56/1065849856.db2.gz QLHBCEKBLQYHMS-HXUWFJFHSA-N 0 3 323.436 4.348 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CNC[C@@H](C)c1cccc(F)c1 ZINC001308251346 1065855026 /nfs/dbraw/zinc/85/50/26/1065855026.db2.gz JJOYUNLTLAJIMY-GFCCVEGCSA-N 0 3 323.843 4.229 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]CCCc2ccc(Cl)cc2)c1 ZINC001308254457 1065857939 /nfs/dbraw/zinc/85/79/39/1065857939.db2.gz HLFRBBNQHQMKON-UHFFFAOYSA-N 0 3 310.224 4.421 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc2ccccc2c1 ZINC001308261140 1065864123 /nfs/dbraw/zinc/86/41/23/1065864123.db2.gz NNPADXRAHQPKLP-LJQANCHMSA-N 0 3 303.409 4.105 20 0 DIADHN Fc1cccc(N2CCC[C@H](NCc3ccsc3Cl)C2)c1 ZINC001308265980 1065865805 /nfs/dbraw/zinc/86/58/05/1065865805.db2.gz HZHWIZXTZQJPAT-AWEZNQCLSA-N 0 3 324.852 4.299 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2CCCO[C@@H]2C(C)(C)C)n1 ZINC001308268664 1065867568 /nfs/dbraw/zinc/86/75/68/1065867568.db2.gz KHHKHAHVZYSSGO-BBRMVZONSA-N 0 3 324.534 4.372 20 0 DIADHN Cn1cc(C(C)(C)NCc2ccc(C3CC3)c(C3CC3)c2)cn1 ZINC001308274369 1065872824 /nfs/dbraw/zinc/87/28/24/1065872824.db2.gz JVTYLWUSEUWPSY-UHFFFAOYSA-N 0 3 309.457 4.200 20 0 DIADHN CSCC[C@@H](C)NCc1cc(C)c(F)cc1Br ZINC001308277444 1065876497 /nfs/dbraw/zinc/87/64/97/1065876497.db2.gz GRLYLGMMRCUJMD-SNVBAGLBSA-N 0 3 320.271 4.128 20 0 DIADHN Cc1cc(C)cc(CCNCc2c(Cl)cncc2Cl)c1 ZINC001308276571 1065876546 /nfs/dbraw/zinc/87/65/46/1065876546.db2.gz UUCWFYPDGQLLFT-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nc2c(s1)C[C@@H](C)CC2 ZINC001308303460 1065899654 /nfs/dbraw/zinc/89/96/54/1065899654.db2.gz OJTPUPDDFFXNIV-GZBFAFLISA-N 0 3 324.559 4.422 20 0 DIADHN COc1ccc(OC)c(CN[C@H]2CC(C)(C)c3ccccc32)c1 ZINC001308319564 1065911789 /nfs/dbraw/zinc/91/17/89/1065911789.db2.gz ZJHAFAFZLCVKBN-SFHVURJKSA-N 0 3 311.425 4.216 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)n1 ZINC001308327513 1065916957 /nfs/dbraw/zinc/91/69/57/1065916957.db2.gz QKJRVNLJXGRBQZ-DAYGRLMNSA-N 0 3 312.482 4.116 20 0 DIADHN C[C@@H](NCc1ccnc(N(C)C)c1)c1ccc(Cl)c(Cl)c1 ZINC000754106114 1065923727 /nfs/dbraw/zinc/92/37/27/1065923727.db2.gz LRMSEMVZMHRWKB-LLVKDONJSA-N 0 3 324.255 4.305 20 0 DIADHN CCOc1ccc(CN[C@H](CC)CSc2ccccc2)o1 ZINC001308341509 1065926394 /nfs/dbraw/zinc/92/63/94/1065926394.db2.gz GMSIVIFRSDDCQR-CQSZACIVSA-N 0 3 305.443 4.339 20 0 DIADHN CCOc1ccc(CN[C@@H](CC)CSc2ccccc2)o1 ZINC001308341508 1065926923 /nfs/dbraw/zinc/92/69/23/1065926923.db2.gz GMSIVIFRSDDCQR-AWEZNQCLSA-N 0 3 305.443 4.339 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2ccc(F)cc2Cl)CC1 ZINC001308342514 1065927019 /nfs/dbraw/zinc/92/70/19/1065927019.db2.gz ODHVNCSTASHHAE-QGZVFWFLSA-N 0 3 319.807 4.083 20 0 DIADHN COc1ccc([C@@H](OC)[C@H](C)NCc2cccc(C)c2F)cc1 ZINC001308348438 1065931274 /nfs/dbraw/zinc/93/12/74/1065931274.db2.gz CXOIVLGDEUJIRD-LIRRHRJNSA-N 0 3 317.404 4.009 20 0 DIADHN CCC(CC)[C@H]1C[C@@H](NCc2csc(C(C)C)n2)CCO1 ZINC001308351973 1065932646 /nfs/dbraw/zinc/93/26/46/1065932646.db2.gz BMQXSAHEFGPDNG-GOEBONIOSA-N 0 3 310.507 4.340 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCOc3cc(F)ccc32)s1 ZINC001308352558 1065934638 /nfs/dbraw/zinc/93/46/38/1065934638.db2.gz OFHAYSREMVDQCU-MRXNPFEDSA-N 0 3 305.418 4.453 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H]2CN(C)Cc3ccccc32)c(C)c1 ZINC001308361963 1065940075 /nfs/dbraw/zinc/94/00/75/1065940075.db2.gz DZYYNGREWPQDNM-FNNXTJRKSA-N 0 3 320.480 4.401 20 0 DIADHN CCc1oc2ccccc2c1CN[C@H]1CN(C)Cc2ccccc21 ZINC001308362010 1065940578 /nfs/dbraw/zinc/94/05/78/1065940578.db2.gz GJUISVZJWBWLSY-IBGZPJMESA-N 0 3 320.436 4.272 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@H]2CN(C)Cc3ccccc32)c(C)c1 ZINC001308361965 1065941119 /nfs/dbraw/zinc/94/11/19/1065941119.db2.gz DZYYNGREWPQDNM-LWAZDOKKSA-N 0 3 320.480 4.401 20 0 DIADHN C[C@H]1CC[C@@H](CNCc2c(F)cc(Br)cc2F)C1 ZINC001308392103 1065958817 /nfs/dbraw/zinc/95/88/17/1065958817.db2.gz IJUOOXHJQSEGFS-VHSXEESVSA-N 0 3 318.205 4.253 20 0 DIADHN CCC(C)(C)CNCc1c(C)nn(-c2ccc(F)cc2)c1Cl ZINC001308371839 1065946564 /nfs/dbraw/zinc/94/65/64/1065946564.db2.gz ZTMSEPFQSIUKBJ-UHFFFAOYSA-N 0 3 323.843 4.499 20 0 DIADHN C[C@](CO)(NCc1cccc(CC2CCC2)c1)c1ccccc1 ZINC001308375237 1065949476 /nfs/dbraw/zinc/94/94/76/1065949476.db2.gz IFHWSXKCBRSLOK-OAQYLSRUSA-N 0 3 309.453 4.027 20 0 DIADHN Clc1ccc(CNCCN2CCC3(CCCCC3)CC2)o1 ZINC001308383837 1065955264 /nfs/dbraw/zinc/95/52/64/1065955264.db2.gz PZWJGUCWBHRJFQ-UHFFFAOYSA-N 0 3 310.869 4.069 20 0 DIADHN CCO[C@@H](CNCc1ccc(F)cc1Cl)c1ccccc1 ZINC001308388155 1065956254 /nfs/dbraw/zinc/95/62/54/1065956254.db2.gz JWMZLKRQOQBPRC-KRWDZBQOSA-N 0 3 307.796 4.347 20 0 DIADHN Cc1nn(C)c(CNCC[C@@H]2C[C@@H](C)CC(C)(C)C2)c1Cl ZINC001308390270 1065957890 /nfs/dbraw/zinc/95/78/90/1065957890.db2.gz HEUXCLYTRZBXAC-TZMCWYRMSA-N 0 3 311.901 4.324 20 0 DIADHN C[C@H]1CC[C@H](CNCc2c(F)cc(Br)cc2F)C1 ZINC001308392102 1065958746 /nfs/dbraw/zinc/95/87/46/1065958746.db2.gz IJUOOXHJQSEGFS-UWVGGRQHSA-N 0 3 318.205 4.253 20 0 DIADHN COc1ccc(F)c(CNCCCOc2cccc(C)c2C)c1 ZINC001308393851 1065961019 /nfs/dbraw/zinc/96/10/19/1065961019.db2.gz YFBDFZSIDJIURH-UHFFFAOYSA-N 0 3 317.404 4.010 20 0 DIADHN CC(C)[C@H]1C[C@@H](CNCc2c(F)ccc(F)c2Cl)CCO1 ZINC001308411540 1065969483 /nfs/dbraw/zinc/96/94/83/1065969483.db2.gz SBEGNGPCKMPNLR-XHDPSFHLSA-N 0 3 317.807 4.159 20 0 DIADHN CC/C=C/CNCc1cccc(NC(=O)c2ccc(OC)cc2)c1 ZINC001308415486 1065973063 /nfs/dbraw/zinc/97/30/63/1065973063.db2.gz BCGAIMMEIMKMPH-SNAWJCMRSA-N 0 3 324.424 4.003 20 0 DIADHN CC[C@@H](COCC(F)(F)F)NCc1ccc(C)cc1Cl ZINC001308417089 1065974709 /nfs/dbraw/zinc/97/47/09/1065974709.db2.gz NSOLKSQHIMQKRZ-LBPRGKRZSA-N 0 3 309.759 4.096 20 0 DIADHN CCSc1cccc(CNCc2cccc3nsnc32)c1 ZINC001308424213 1065979719 /nfs/dbraw/zinc/97/97/19/1065979719.db2.gz PLLADYNGWGTRSB-UHFFFAOYSA-N 0 3 315.467 4.093 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc([Si](C)(C)C)cc2)c1 ZINC001308426821 1065980113 /nfs/dbraw/zinc/98/01/13/1065980113.db2.gz YUFJGOJEYUAROE-UHFFFAOYSA-N 0 3 317.936 4.483 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1ccc([Si](C)(C)C)cc1 ZINC001308424698 1065980192 /nfs/dbraw/zinc/98/01/92/1065980192.db2.gz BNUPZXOGXJINFW-UHFFFAOYSA-N 0 3 317.936 4.483 20 0 DIADHN Cc1ccc(CCNCc2ccc(Oc3ccccc3)o2)nc1 ZINC001308427643 1065981681 /nfs/dbraw/zinc/98/16/81/1065981681.db2.gz JYCPGRHOINIOFR-UHFFFAOYSA-N 0 3 308.381 4.108 20 0 DIADHN Cc1ccc(CNCc2cn(C)nc2C(C)(C)C)c2ccccc12 ZINC001308436130 1065985735 /nfs/dbraw/zinc/98/57/35/1065985735.db2.gz HSNMZHHVKXQDNV-UHFFFAOYSA-N 0 3 321.468 4.469 20 0 DIADHN Cc1ccc(Br)c(CN[C@H]2CC[C@H](C)SC2)c1 ZINC001308440500 1065989032 /nfs/dbraw/zinc/98/90/32/1065989032.db2.gz WHBNJTYJNJLKRY-AAEUAGOBSA-N 0 3 314.292 4.131 20 0 DIADHN CCCCOc1ccccc1CNCCCC(=O)OC(C)(C)C ZINC001308446267 1065993798 /nfs/dbraw/zinc/99/37/98/1065993798.db2.gz NATKCZCXPBUBTP-UHFFFAOYSA-N 0 3 321.461 4.077 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@H]1CCC[C@H](F)C1 ZINC001308447029 1065994093 /nfs/dbraw/zinc/99/40/93/1065994093.db2.gz HYAMGPJYYJMQOF-UWVGGRQHSA-N 0 3 309.278 4.095 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@H]1CCC[C@@H](F)C1 ZINC001308447031 1065994115 /nfs/dbraw/zinc/99/41/15/1065994115.db2.gz HYAMGPJYYJMQOF-ZJUUUORDSA-N 0 3 309.278 4.095 20 0 DIADHN CC(C)COc1ccccc1CNC[C@@H]1CCC2(CCCC2)O1 ZINC001308450581 1065996528 /nfs/dbraw/zinc/99/65/28/1065996528.db2.gz JDYANMLRXQXOHV-SFHVURJKSA-N 0 3 317.473 4.303 20 0 DIADHN CC[C@H](C)CCNCc1cnn(Cc2ccccc2)c1Cl ZINC001308455501 1065999014 /nfs/dbraw/zinc/99/90/14/1065999014.db2.gz LZMDQONRFRLYKF-AWEZNQCLSA-N 0 3 305.853 4.111 20 0 DIADHN Cc1ccc(C)c(CNC[C@@H](OC2CCCC2)C(F)(F)F)c1 ZINC001308455423 1065999182 /nfs/dbraw/zinc/99/91/82/1065999182.db2.gz KEUAEECULZHROM-MRXNPFEDSA-N 0 3 315.379 4.283 20 0 DIADHN COCC1(NCc2ccc(Cl)c(C)c2Cl)CCCC1 ZINC001308461552 1066002909 /nfs/dbraw/zinc/00/29/09/1066002909.db2.gz DOACGJKJCKFMND-UHFFFAOYSA-N 0 3 302.245 4.351 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2ccc(SC)o2)cc1 ZINC001308465422 1066006796 /nfs/dbraw/zinc/00/67/96/1066006796.db2.gz NMEGDTKCXDOAEN-JKSUJKDBSA-N 0 3 303.427 4.046 20 0 DIADHN Fc1ccc2c(c1)C[C@@H]1[C@H](NCc3cc(Cl)ccc3F)[C@H]21 ZINC001308470473 1066009182 /nfs/dbraw/zinc/00/91/82/1066009182.db2.gz AYOXELQAHHBPKO-UAGQMJEPSA-N 0 3 305.755 4.046 20 0 DIADHN Fc1ccc(/C=C/CNCc2cnccc2C(F)(F)F)cc1 ZINC001308472972 1066012296 /nfs/dbraw/zinc/01/22/96/1066012296.db2.gz ZYHAEARDWJDDHF-OWOJBTEDSA-N 0 3 310.294 4.043 20 0 DIADHN Cc1nc2ccccn2c1CNCc1ccccc1CC(C)C ZINC001308473510 1066012548 /nfs/dbraw/zinc/01/25/48/1066012548.db2.gz IFTCVJJLSJQDLN-UHFFFAOYSA-N 0 3 307.441 4.131 20 0 DIADHN CCC[C@@H](CNCc1cccc(F)c1OC(F)(F)F)OCC ZINC001308474158 1066014083 /nfs/dbraw/zinc/01/40/83/1066014083.db2.gz ACCVTZYLACCDNR-LBPRGKRZSA-N 0 3 323.330 4.019 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@]2(C)CCCCO2)c1Cl ZINC001308538486 1066056184 /nfs/dbraw/zinc/05/61/84/1066056184.db2.gz LXQPOADEQURXHU-HNNXBMFYSA-N 0 3 302.245 4.351 20 0 DIADHN Cc1cc(C)c(CN[C@H](c2ccccc2)[C@H](O)C(C)C)cc1C ZINC001308476278 1066015362 /nfs/dbraw/zinc/01/53/62/1066015362.db2.gz MZWKBLHMODIPTQ-NHCUHLMSSA-N 0 3 311.469 4.460 20 0 DIADHN Fc1c(Cl)cccc1CNCCc1nsc2ccccc12 ZINC001308478404 1066017590 /nfs/dbraw/zinc/01/75/90/1066017590.db2.gz YFUIECJXTQSFHN-UHFFFAOYSA-N 0 3 320.820 4.421 20 0 DIADHN Cc1nc(CN[C@H]2C[C@H](Sc3ccccc3)C2)sc1C ZINC001308481815 1066020565 /nfs/dbraw/zinc/02/05/65/1066020565.db2.gz DIZXBXYWWJXEBY-CTYIDZIISA-N 0 3 304.484 4.173 20 0 DIADHN C[C@]1(CNCc2csc(-c3cccs3)n2)C[C@H]2C[C@H]2C1 ZINC001308485013 1066022098 /nfs/dbraw/zinc/02/20/98/1066022098.db2.gz JDTKONUYLPOKMJ-ATCWAGBWSA-N 0 3 304.484 4.397 20 0 DIADHN CC1(C)CCC[C@](O)(CNCc2ccsc2Cl)CC1 ZINC001308487892 1066022579 /nfs/dbraw/zinc/02/25/79/1066022579.db2.gz NKTVHIZSSSTUGL-OAHLLOKOSA-N 0 3 301.883 4.213 20 0 DIADHN Fc1cc(Cl)cc(CNCc2ccc3cccnc3c2)c1 ZINC001308486910 1066023321 /nfs/dbraw/zinc/02/33/21/1066023321.db2.gz CGIWDUZAQWIQPT-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CCC(CC)(CNCc1cccc(OC)c1F)C(F)(F)F ZINC001308495010 1066027199 /nfs/dbraw/zinc/02/71/99/1066027199.db2.gz RYFBJNOUDUWOSF-UHFFFAOYSA-N 0 3 307.331 4.293 20 0 DIADHN CC(C)(C)c1ncc(CNC2([C@@H]3CCCCO3)CCC2)s1 ZINC001308499834 1066030676 /nfs/dbraw/zinc/03/06/76/1066030676.db2.gz MTECJCBLCZPMJT-AWEZNQCLSA-N 0 3 308.491 4.022 20 0 DIADHN C=C/C=C/CCNCc1nc(-c2cccc(OC)c2)cs1 ZINC001308505199 1066034425 /nfs/dbraw/zinc/03/44/25/1066034425.db2.gz DSPNFSAGJNWVGY-SNAWJCMRSA-N 0 3 300.427 4.041 20 0 DIADHN C[C@H]1C[C@@H](NCc2noc3c2CC(C)(C)CC3)CC(C)(C)C1 ZINC001308508491 1066035364 /nfs/dbraw/zinc/03/53/64/1066035364.db2.gz IWUGFUIXVQILTI-UONOGXRCSA-N 0 3 304.478 4.494 20 0 DIADHN Fc1ccc(-c2cc(CNC3CCC4(CC4)CC3)no2)c(F)c1 ZINC001308508971 1066035480 /nfs/dbraw/zinc/03/54/80/1066035480.db2.gz VSRGSVCKWPWPNQ-UHFFFAOYSA-N 0 3 318.367 4.432 20 0 DIADHN Cc1nc(CNCCC[C@@H]2C=CCC2)sc1Br ZINC001308508799 1066035836 /nfs/dbraw/zinc/03/58/36/1066035836.db2.gz NNDQVPRUHDASQS-LLVKDONJSA-N 0 3 315.280 4.050 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(F)cc2C)cc(OC)c1 ZINC001308512347 1066038650 /nfs/dbraw/zinc/03/86/50/1066038650.db2.gz DUFWIISDOSWXTE-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN CCc1ccc(CNc2nc3cccc(Br)c3[nH]2)o1 ZINC001308517669 1066040875 /nfs/dbraw/zinc/04/08/75/1066040875.db2.gz DLRORAWLZZQOGT-UHFFFAOYSA-N 0 3 320.190 4.093 20 0 DIADHN Fc1cccc(CNc2nc3cccc(Br)c3[nH]2)c1 ZINC001308519531 1066042071 /nfs/dbraw/zinc/04/20/71/1066042071.db2.gz SVUBSTFKAZVRIA-UHFFFAOYSA-N 0 3 320.165 4.077 20 0 DIADHN COc1cccc(-c2csc(CNC[C@]34C[C@H]3CCC4)n2)c1 ZINC001308530435 1066048127 /nfs/dbraw/zinc/04/81/27/1066048127.db2.gz QBYYCVNEHLCXLG-KDOFPFPSSA-N 0 3 314.454 4.099 20 0 DIADHN CCOc1cc(F)cc(CN[C@@H](C)COCC2CCCCC2)c1 ZINC001308529457 1066048767 /nfs/dbraw/zinc/04/87/67/1066048767.db2.gz MSBBHOJBRDKILC-HNNXBMFYSA-N 0 3 323.452 4.299 20 0 DIADHN C[C@@]1(NCc2ccc(OCC3CC3)cc2)CCOc2ccccc21 ZINC001308531980 1066051867 /nfs/dbraw/zinc/05/18/67/1066051867.db2.gz QWQIDGXUOVCRSZ-OAQYLSRUSA-N 0 3 323.436 4.263 20 0 DIADHN CC(C)(C)c1csc(CNCC[C@@H]2CCC(C)(C)CO2)n1 ZINC001308535309 1066053376 /nfs/dbraw/zinc/05/33/76/1066053376.db2.gz FFCKQMIMQAGQNT-ZDUSSCGKSA-N 0 3 310.507 4.126 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2ccc(OC)c(C)c2)c1 ZINC001308536166 1066054145 /nfs/dbraw/zinc/05/41/45/1066054145.db2.gz AIKHONPUBXFMIE-CYBMUJFWSA-N 0 3 303.377 4.002 20 0 DIADHN CC1(C)CC[C@H](CCNCc2ccnc(Cl)c2Cl)OC1 ZINC001308535793 1066054394 /nfs/dbraw/zinc/05/43/94/1066054394.db2.gz KAAXMZQCKLKPNR-GFCCVEGCSA-N 0 3 317.260 4.073 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN[C@H](C)c2ccc(F)cc2)c1 ZINC001308540352 1066057300 /nfs/dbraw/zinc/05/73/00/1066057300.db2.gz FLLZFQNBCNNAHB-CQSZACIVSA-N 0 3 309.388 4.375 20 0 DIADHN Cc1ccc(-c2nc(CN[C@@H]3CCS[C@@H](C)C3)cs2)cc1 ZINC001308540293 1066057405 /nfs/dbraw/zinc/05/74/05/1066057405.db2.gz RRZQNXSFYXQVHC-DZGCQCFKSA-N 0 3 318.511 4.492 20 0 DIADHN COc1cncc(CN[C@H](C)c2cccc3ccccc32)c1C ZINC001308544810 1066058418 /nfs/dbraw/zinc/05/84/18/1066058418.db2.gz UGHIRFLUCFQMJE-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1c[nH]c(=O)c(CNCc2ccc(C3CC3)c(C3CC3)c2)c1 ZINC001308544885 1066059434 /nfs/dbraw/zinc/05/94/34/1066059434.db2.gz XDQLGGDZSXKCIJ-UHFFFAOYSA-N 0 3 308.425 4.140 20 0 DIADHN C[C@H](NCc1cccc(F)c1N)c1csc2ccccc21 ZINC001308545827 1066061389 /nfs/dbraw/zinc/06/13/89/1066061389.db2.gz JQMRARPTGFAOIA-NSHDSACASA-N 0 3 300.402 4.473 20 0 DIADHN C[C@@H]1CC[C@](CO)(NCc2cccc3cc[nH]c32)c2ccccc21 ZINC001308552043 1066063437 /nfs/dbraw/zinc/06/34/37/1066063437.db2.gz SHYAGKQCGDAKID-QVKFZJNVSA-N 0 3 320.436 4.043 20 0 DIADHN Cc1cc(C)c(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)cc1C ZINC001308552916 1066066339 /nfs/dbraw/zinc/06/63/39/1066066339.db2.gz ZGCMUDVICFGPCC-IVZQSRNASA-N 0 3 323.480 4.487 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc(F)ccc2N(C)C)c(C)c1 ZINC001308556256 1066067172 /nfs/dbraw/zinc/06/71/72/1066067172.db2.gz YGODUVAIXQQTMU-CQSZACIVSA-N 0 3 316.420 4.060 20 0 DIADHN CCN(Cc1c(F)cccc1F)CC1Cc2ccccc2C1 ZINC001308560007 1066069995 /nfs/dbraw/zinc/06/99/95/1066069995.db2.gz KJUQFILHUFPTEL-UHFFFAOYSA-N 0 3 301.380 4.202 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@@H]2CCC2CCCC2)[nH]1 ZINC001308569079 1066079401 /nfs/dbraw/zinc/07/94/01/1066079401.db2.gz DBCNYOXGWVMWIW-QGZVFWFLSA-N 0 3 318.461 4.126 20 0 DIADHN COc1cc(F)cc(OC)c1CN1CCC[C@@H](C(C)C)CC1 ZINC001308575610 1066089433 /nfs/dbraw/zinc/08/94/33/1066089433.db2.gz VQZCCGFZQNXVPP-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc3c(c2)CCCO3)n1 ZINC001308578113 1066094158 /nfs/dbraw/zinc/09/41/58/1066094158.db2.gz XUGXXPFGFKRYJT-LJQANCHMSA-N 0 3 308.425 4.052 20 0 DIADHN Cc1cnn(C)c1CN(Cc1ccccc1)[C@@H]1CCCC1(C)C ZINC001308581528 1066095526 /nfs/dbraw/zinc/09/55/26/1066095526.db2.gz HYRQNXPXHNHJMM-LJQANCHMSA-N 0 3 311.473 4.309 20 0 DIADHN Cn1c(CN(Cc2cccc(O)c2)CC2CC2)cc2ccccc21 ZINC001308581142 1066097126 /nfs/dbraw/zinc/09/71/26/1066097126.db2.gz HVSRKXWWTNQAAC-UHFFFAOYSA-N 0 3 320.436 4.296 20 0 DIADHN CN(CCCOc1ccc(F)cc1)Cc1cc2ccccc2[nH]1 ZINC001308584262 1066100187 /nfs/dbraw/zinc/10/01/87/1066100187.db2.gz QBDWSGFRKNENLE-UHFFFAOYSA-N 0 3 312.388 4.208 20 0 DIADHN COc1ccc(CN(C)C[C@H](C)c2ccccc2)c(F)c1OC ZINC001308595561 1066106897 /nfs/dbraw/zinc/10/68/97/1066106897.db2.gz BYAYUOYBOHETDS-AWEZNQCLSA-N 0 3 317.404 4.078 20 0 DIADHN C[C@@H]1CCc2nc(CN3CC[C@H](c4ccccc4)C3)sc2C1 ZINC001308597841 1066108631 /nfs/dbraw/zinc/10/86/31/1066108631.db2.gz WQOYXYGZPHRKRT-ZBFHGGJFSA-N 0 3 312.482 4.257 20 0 DIADHN Clc1nc2cc(Cl)ccn2c1CN1CCC[C@H]1C1CCC1 ZINC001308598141 1066108760 /nfs/dbraw/zinc/10/87/60/1066108760.db2.gz BXDDIYCHAZVCCL-ZDUSSCGKSA-N 0 3 324.255 4.406 20 0 DIADHN Cc1ccccc1-n1cc(CN(C)CCCc2ccccc2)cn1 ZINC001308603683 1066111765 /nfs/dbraw/zinc/11/17/65/1066111765.db2.gz MJNYGCFJFXMSQB-UHFFFAOYSA-N 0 3 319.452 4.245 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1c(Cl)n[nH]c1C1CC1 ZINC001308605524 1066113062 /nfs/dbraw/zinc/11/30/62/1066113062.db2.gz ORLRLZZBBOMTPO-IUODEOHRSA-N 0 3 315.848 4.319 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2c(C3CCC3)cnn2C)c1 ZINC001308606225 1066113257 /nfs/dbraw/zinc/11/32/57/1066113257.db2.gz AXSVBJDSYQXRFR-LJQANCHMSA-N 0 3 309.457 4.333 20 0 DIADHN Cc1ccc2ncc(CN(Cc3ccc(F)cc3)CC3CC3)n2c1 ZINC001308605284 1066113298 /nfs/dbraw/zinc/11/32/98/1066113298.db2.gz KNUBYPGHNYSQKA-UHFFFAOYSA-N 0 3 323.415 4.194 20 0 DIADHN Cc1noc2ncc(CN3CCC[C@@H]3c3ccccc3C)cc12 ZINC001308608447 1066114313 /nfs/dbraw/zinc/11/43/13/1066114313.db2.gz TXWBEVYFHMKVPN-GOSISDBHSA-N 0 3 307.397 4.177 20 0 DIADHN CSC(C)(C)CCN1C[C@H](c2ccccc2C)OC[C@H]1C ZINC001308608730 1066114387 /nfs/dbraw/zinc/11/43/87/1066114387.db2.gz HYIWXMYYPJLDJK-NVXWUHKLSA-N 0 3 307.503 4.289 20 0 DIADHN CC[C@H]1CCC[C@@H](CN2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001308610321 1066116390 /nfs/dbraw/zinc/11/63/90/1066116390.db2.gz VQRKVPLAJGKWRW-ZWKOTPCHSA-N 0 3 323.484 4.447 20 0 DIADHN C[C@H]1SCCN(Cc2cccc(Oc3ccccn3)c2)[C@@H]1C ZINC001308618593 1066121896 /nfs/dbraw/zinc/12/18/96/1066121896.db2.gz IRENHBNGMMXGKN-HUUCEWRRSA-N 0 3 314.454 4.200 20 0 DIADHN Cc1ncsc1CN(C)CCc1ccc(C(C)(C)C)cc1 ZINC001308620461 1066121925 /nfs/dbraw/zinc/12/19/25/1066121925.db2.gz VRTZKPMCSBKVLJ-UHFFFAOYSA-N 0 3 302.487 4.424 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN1Cc2ccc(C(=O)OC)cc2C1 ZINC001308629022 1066126316 /nfs/dbraw/zinc/12/63/16/1066126316.db2.gz ZAGPTOGZBUFIEY-GOEBONIOSA-N 0 3 301.430 4.005 20 0 DIADHN Cc1ccc([C@H](C)CN(C)Cc2nc3ccccc3n2C)cc1 ZINC001308633331 1066128713 /nfs/dbraw/zinc/12/87/13/1066128713.db2.gz JXJBRYGRMWQBTI-MRXNPFEDSA-N 0 3 307.441 4.117 20 0 DIADHN CCCN(Cc1cnn(-c2ccc(C(F)(F)F)cc2)c1)C1CC1 ZINC001308638220 1066131421 /nfs/dbraw/zinc/13/14/21/1066131421.db2.gz FSPPNLYCQKPGBS-UHFFFAOYSA-N 0 3 323.362 4.266 20 0 DIADHN COc1c(C)cnc(CN[C@@H]2c3ccccc3CC[C@@H]2C)c1C ZINC001308639628 1066132428 /nfs/dbraw/zinc/13/24/28/1066132428.db2.gz STWZAVSOUNDVHO-DJJJIMSYSA-N 0 3 310.441 4.120 20 0 DIADHN Cc1cccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)c1F ZINC001308647320 1066134851 /nfs/dbraw/zinc/13/48/51/1066134851.db2.gz JGAOSGVYUTVBGA-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CCOc1ccccc1N1CCN(CCC2CCCCC2)CC1 ZINC001308647527 1066134939 /nfs/dbraw/zinc/13/49/39/1066134939.db2.gz OCPYQDSAYIZPMW-UHFFFAOYSA-N 0 3 316.489 4.178 20 0 DIADHN CCCCN(C)Cc1cn(Cc2ccccc2)nc1C(C)(C)C ZINC001308647368 1066135363 /nfs/dbraw/zinc/13/53/63/1066135363.db2.gz LYXHTHKLBMIIRM-UHFFFAOYSA-N 0 3 313.489 4.461 20 0 DIADHN CNc1ccc(C)cc1CN1CCSC[C@@H]1c1ccccc1 ZINC001308651316 1066137795 /nfs/dbraw/zinc/13/77/95/1066137795.db2.gz UBVPVVREEVOMJM-LJQANCHMSA-N 0 3 312.482 4.327 20 0 DIADHN CCOc1cc(CN(C)Cc2ccccc2C)cc(Cl)c1O ZINC001308656929 1066141285 /nfs/dbraw/zinc/14/12/85/1066141285.db2.gz RODRDKFHYQLTPQ-UHFFFAOYSA-N 0 3 319.832 4.385 20 0 DIADHN CCC(CC)CN(C)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001308662190 1066143592 /nfs/dbraw/zinc/14/35/92/1066143592.db2.gz ITLNNFIEWQIZJD-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN Clc1ccc(CN(Cc2ccc3nccnc3c2)C2CC2)cc1 ZINC001308664125 1066144392 /nfs/dbraw/zinc/14/43/92/1066144392.db2.gz XRXXHTWCWWGECV-UHFFFAOYSA-N 0 3 323.827 4.448 20 0 DIADHN CC(C)c1ccc(C[C@@H]2CCN(Cc3cn(C4CC4)cn3)C2)cc1 ZINC001308665014 1066144703 /nfs/dbraw/zinc/14/47/03/1066144703.db2.gz YYYFPIYPLRYIQJ-SFHVURJKSA-N 0 3 323.484 4.406 20 0 DIADHN C[C@H]1CCN(Cc2ccc(OC(F)F)cc2F)CC1(C)C ZINC001308672413 1066148608 /nfs/dbraw/zinc/14/86/08/1066148608.db2.gz POUWXPHPHTUZCF-NSHDSACASA-N 0 3 301.352 4.295 20 0 DIADHN Fc1ccc2c(c1)CCN(CCCCCOc1ccccc1)C2 ZINC001308680443 1066152988 /nfs/dbraw/zinc/15/29/88/1066152988.db2.gz UZFRXKOQVYNODR-UHFFFAOYSA-N 0 3 313.416 4.433 20 0 DIADHN Cc1ccc([C@H]2CCN(Cc3c(Cl)n[nH]c3C3CC3)C2)cc1 ZINC001308684378 1066154884 /nfs/dbraw/zinc/15/48/84/1066154884.db2.gz FYUHVPJHBUIODW-HNNXBMFYSA-N 0 3 315.848 4.238 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@H]1CC[C@H](c3ccccc3)C1)CO2 ZINC001308684662 1066155297 /nfs/dbraw/zinc/15/52/97/1066155297.db2.gz KSVDXVMFJJBZMI-QRTARXTBSA-N 0 3 315.363 4.324 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1CCCCC[C@H]1C ZINC001308701909 1066188738 /nfs/dbraw/zinc/18/87/38/1066188738.db2.gz QKGUTRZAURFBHE-GFCCVEGCSA-N 0 3 303.475 4.346 20 0 DIADHN CC[C@H]1CCC[C@@H](Nc2cccc(N3CCN(CC)CC3)c2)C1 ZINC001308705455 1066189868 /nfs/dbraw/zinc/18/98/68/1066189868.db2.gz XFFLFBCTGILLAI-ZWKOTPCHSA-N 0 3 315.505 4.209 20 0 DIADHN CC[C@H](N[C@@H](C)c1nc(-c2cccc(F)c2)no1)C1CCCC1 ZINC001308705647 1066190359 /nfs/dbraw/zinc/19/03/59/1066190359.db2.gz QHSKKLJXBPJJRV-LRDDRELGSA-N 0 3 317.408 4.495 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN(CCC2CCCCC2)CC1 ZINC001308707655 1066190766 /nfs/dbraw/zinc/19/07/66/1066190766.db2.gz WWJJDVQSPYBFQM-INIZCTEOSA-N 0 3 306.519 4.397 20 0 DIADHN CCOc1cc(CN2CCC[C@@H](C(C)(C)C)C2)c(F)cc1OC ZINC001308717433 1066195956 /nfs/dbraw/zinc/19/59/56/1066195956.db2.gz DXIBRVLVUDKYPN-OAHLLOKOSA-N 0 3 323.452 4.491 20 0 DIADHN COc1ccc(CN2CCC[C@@H](C(C)(C)C)C2)c(F)c1OC ZINC001308719092 1066197786 /nfs/dbraw/zinc/19/77/86/1066197786.db2.gz XAYYQBSVMOHFSH-CQSZACIVSA-N 0 3 309.425 4.101 20 0 DIADHN CSCc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC001308719424 1066197844 /nfs/dbraw/zinc/19/78/44/1066197844.db2.gz AQGNFYHWAIBACK-UHFFFAOYSA-N 0 3 317.502 4.202 20 0 DIADHN CN(C)c1ccc(F)cc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC001308722321 1066199401 /nfs/dbraw/zinc/19/94/01/1066199401.db2.gz YFUXCXOXUZRYEE-HNNXBMFYSA-N 0 3 316.395 4.020 20 0 DIADHN CCOc1cc(CN(C)[C@@H]2CCC(C)(C)C2)c(F)cc1OC ZINC001308734654 1066208630 /nfs/dbraw/zinc/20/86/30/1066208630.db2.gz DVLACFVTLQBJHL-CQSZACIVSA-N 0 3 309.425 4.244 20 0 DIADHN CC(C)[C@@H]1[C@@H](C(C)C)CN1Cc1ccnn1-c1ccc(F)cc1 ZINC001308762525 1066234882 /nfs/dbraw/zinc/23/48/82/1066234882.db2.gz DSWYWCBRZIQWLU-RTBURBONSA-N 0 3 315.436 4.124 20 0 DIADHN CCc1nc(CN[C@@H]2CCC[C@@H]2c2ccc(Cl)cc2)co1 ZINC001308769108 1066240089 /nfs/dbraw/zinc/24/00/89/1066240089.db2.gz FLCLAQURORINHO-HZPDHXFCSA-N 0 3 304.821 4.316 20 0 DIADHN CO[C@@H]1C[C@@H](N(C)Cc2ccccc2OCC(C)C)C12CCC2 ZINC001308766564 1066241791 /nfs/dbraw/zinc/24/17/91/1066241791.db2.gz LXKZIVSVCZKMSS-RTBURBONSA-N 0 3 317.473 4.111 20 0 DIADHN CNc1ccc(C)cc1CN1CC[C@H](C(F)(F)F)C[C@@H]1C ZINC001308778079 1066250641 /nfs/dbraw/zinc/25/06/41/1066250641.db2.gz UBQKFWZUGVSFFT-JSGCOSHPSA-N 0 3 300.368 4.200 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1ccc(-c3cc[nH]n3)o1)C(C)(C)C2 ZINC001308782383 1066254203 /nfs/dbraw/zinc/25/42/03/1066254203.db2.gz MHEHSMLKKIHATC-UHFFFAOYSA-N 0 3 321.424 4.315 20 0 DIADHN Cc1cccc(CN(C)Cc2cc(F)ccc2N(C)C)c1C ZINC001308781513 1066255087 /nfs/dbraw/zinc/25/50/87/1066255087.db2.gz DETWIIQSHRRQBC-UHFFFAOYSA-N 0 3 300.421 4.141 20 0 DIADHN CN(C)c1ccccc1CN(C)C/C=C/c1ccc(F)c(F)c1 ZINC001308795834 1066272248 /nfs/dbraw/zinc/27/22/48/1066272248.db2.gz WUPFECUYRVWXLS-VOTSOKGWSA-N 0 3 316.395 4.176 20 0 DIADHN COC(=O)c1occc1CN1CC[C@@H](CC(C)(C)C)C1(C)C ZINC001308814655 1066288603 /nfs/dbraw/zinc/28/86/03/1066288603.db2.gz GSQZQHBVXIWXQE-AWEZNQCLSA-N 0 3 307.434 4.103 20 0 DIADHN Fc1ccc(CN2CC[C@H](C3CCC3)C2)c(C(F)(F)F)c1 ZINC001308817953 1066294384 /nfs/dbraw/zinc/29/43/84/1066294384.db2.gz NLNBVJJNSZYLQE-LBPRGKRZSA-N 0 3 301.327 4.467 20 0 DIADHN Fc1ccc(CN2CC[C@@H](C3CCC3)C2)c(C(F)(F)F)c1 ZINC001308817952 1066294415 /nfs/dbraw/zinc/29/44/15/1066294415.db2.gz NLNBVJJNSZYLQE-GFCCVEGCSA-N 0 3 301.327 4.467 20 0 DIADHN Fc1cc(CN[C@@H]2CC[C@@H](c3ccc(Cl)cc3)C2)c(F)cn1 ZINC001308819436 1066294484 /nfs/dbraw/zinc/29/44/84/1066294484.db2.gz GPPTXOBNCHMJJG-IUODEOHRSA-N 0 3 322.786 4.439 20 0 DIADHN Fc1cc(CN[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)c(F)cn1 ZINC001308819435 1066294769 /nfs/dbraw/zinc/29/47/69/1066294769.db2.gz GPPTXOBNCHMJJG-DOMZBBRYSA-N 0 3 322.786 4.439 20 0 DIADHN C[C@@H]1[C@@H](C)[C@H](C)CN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001308824360 1066302059 /nfs/dbraw/zinc/30/20/59/1066302059.db2.gz JWTMZALCPQDGQH-QLFBSQMISA-N 0 3 317.864 4.061 20 0 DIADHN C[C@H](CN1CCC[C@@H](C)[C@H]1C(=O)OC(C)(C)C)c1ccccc1 ZINC001308828263 1066305461 /nfs/dbraw/zinc/30/54/61/1066305461.db2.gz BCYXZEYWNRYFLA-NUJGCVRESA-N 0 3 317.473 4.232 20 0 DIADHN C[C@H]1CN(C[C@@H]2CC2(Cl)Cl)CCc2ccc(Cl)cc21 ZINC001308847358 1066322380 /nfs/dbraw/zinc/32/23/80/1066322380.db2.gz IIUASMBTEAVLSR-JQWIXIFHSA-N 0 3 318.675 4.495 20 0 DIADHN Cc1ccc2cc(CN3C[C@H](C)OC4(CCCC4)C3)ccc2n1 ZINC001308847948 1066326483 /nfs/dbraw/zinc/32/64/83/1066326483.db2.gz DYTCQGFOVIORQT-INIZCTEOSA-N 0 3 310.441 4.077 20 0 DIADHN c1onc(Cc2ccccc2)c1CN1CCC(C2CCC2)CC1 ZINC001308856559 1066336179 /nfs/dbraw/zinc/33/61/79/1066336179.db2.gz ZBQYQUCEDMHTHP-UHFFFAOYSA-N 0 3 310.441 4.278 20 0 DIADHN COc1ccnc(CN[C@@H]2CCCC[C@@H]2c2ccccc2)c1F ZINC001308869233 1066348367 /nfs/dbraw/zinc/34/83/67/1066348367.db2.gz KEDQHBGEUWUIIV-HZPDHXFCSA-N 0 3 314.404 4.045 20 0 DIADHN CCCSC[C@H](C)NCc1sc(C)cc1Br ZINC001308869953 1066352153 /nfs/dbraw/zinc/35/21/53/1066352153.db2.gz TVNNLWNGKIZWSD-VIFPVBQESA-N 0 3 322.337 4.440 20 0 DIADHN Clc1cc(CN2CCC3(COC3)C2)ccc1-c1ccccc1 ZINC001308874197 1066353052 /nfs/dbraw/zinc/35/30/52/1066353052.db2.gz QCYSGNHYNDWEAB-UHFFFAOYSA-N 0 3 313.828 4.229 20 0 DIADHN CC(C)CCO[C@@H]1CCN(Cc2ccc(OCC3CC3)cc2)C1 ZINC001308882638 1066363076 /nfs/dbraw/zinc/36/30/76/1066363076.db2.gz MOUUNSAMEKEANO-HXUWFJFHSA-N 0 3 317.473 4.112 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001308885599 1066365784 /nfs/dbraw/zinc/36/57/84/1066365784.db2.gz IWWOGZVVCHNTKQ-QAVQXKDTSA-N 0 3 318.408 4.426 20 0 DIADHN C[C@H](CN(C)Cc1ccnn1CC1CCC1)C1CCCCC1 ZINC001308898093 1066377533 /nfs/dbraw/zinc/37/75/33/1066377533.db2.gz UIOYJAKNBHSUHE-MRXNPFEDSA-N 0 3 303.494 4.331 20 0 DIADHN CC[C@@H]1CCCC[C@H]1CN1CCO[C@H](c2ccc(OC)cc2)C1 ZINC001308900808 1066379523 /nfs/dbraw/zinc/37/95/23/1066379523.db2.gz AJCHUCYHTJJGEK-KPFFTGBYSA-N 0 3 317.473 4.285 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN1CCO[C@H](c2ccc(OC)cc2)C1 ZINC001308900812 1066379719 /nfs/dbraw/zinc/37/97/19/1066379719.db2.gz AJCHUCYHTJJGEK-POAQFYNOSA-N 0 3 317.473 4.285 20 0 DIADHN Fc1ccc(CCCN2CCC[C@H](C(F)(F)F)C2)cc1F ZINC001308900977 1066379971 /nfs/dbraw/zinc/37/99/71/1066379971.db2.gz CJSDSYOMVGCVJA-LBPRGKRZSA-N 0 3 307.306 4.172 20 0 DIADHN c1cc(Oc2ccccc2)oc1CN1CC[C@H]2CSC[C@H]2C1 ZINC001308904741 1066381907 /nfs/dbraw/zinc/38/19/07/1066381907.db2.gz RKBICRBVSHBJKR-LSDHHAIUSA-N 0 3 315.438 4.257 20 0 DIADHN Fc1ccc2onc(CNC3CC(c4cccc(F)c4)C3)c2c1 ZINC001308905163 1066382135 /nfs/dbraw/zinc/38/21/35/1066382135.db2.gz FAUHIBQOPKOJCZ-UHFFFAOYSA-N 0 3 314.335 4.142 20 0 DIADHN CC1(C)CCC[C@@H](CN2CCN(c3ccc(F)cc3F)CC2)C1 ZINC001308906552 1066384883 /nfs/dbraw/zinc/38/48/83/1066384883.db2.gz FBXWAGCFLPQDNC-OAHLLOKOSA-N 0 3 322.443 4.303 20 0 DIADHN CC(C)C[C@H](C)CNCc1cc(-c2ccc(F)cc2F)on1 ZINC001308927495 1066402512 /nfs/dbraw/zinc/40/25/12/1066402512.db2.gz UBBSXNYSWHLNDF-LBPRGKRZSA-N 0 3 308.372 4.392 20 0 DIADHN COc1ccc2nc(CN(C)C[C@H](C)C(C)(C)C)sc2c1 ZINC001308929672 1066404913 /nfs/dbraw/zinc/40/49/13/1066404913.db2.gz AHZGXGAMYWOEBV-LBPRGKRZSA-N 0 3 306.475 4.419 20 0 DIADHN CCOc1ccc(CN2CC[C@@](C)(C(F)(F)F)C2)c(C)c1 ZINC001308929323 1066405114 /nfs/dbraw/zinc/40/51/14/1066405114.db2.gz YUXJDGOBAMSEQX-OAHLLOKOSA-N 0 3 301.352 4.168 20 0 DIADHN Fc1ccc(/C=C/CN2CC[C@H](Oc3ccc(F)cc3)C2)cc1 ZINC001308929058 1066405184 /nfs/dbraw/zinc/40/51/84/1066405184.db2.gz VWLWOYBLRQRLMB-SNDJDQCESA-N 0 3 315.363 4.131 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)o1 ZINC001308929359 1066405394 /nfs/dbraw/zinc/40/53/94/1066405394.db2.gz YHKGMMJYMQEWBK-CYBMUJFWSA-N 0 3 319.474 4.228 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](c3cc(C(C)C)[nH]n3)C2)o1 ZINC001308929359 1066405399 /nfs/dbraw/zinc/40/53/99/1066405399.db2.gz YHKGMMJYMQEWBK-CYBMUJFWSA-N 0 3 319.474 4.228 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2noc3ccccc23)C12CCCCC2 ZINC001308934743 1066406698 /nfs/dbraw/zinc/40/66/98/1066406698.db2.gz KRJCVOPVYMPFAI-ZWKOTPCHSA-N 0 3 314.429 4.045 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2noc3ccccc23)C12CCCCC2 ZINC001308934741 1066407484 /nfs/dbraw/zinc/40/74/84/1066407484.db2.gz KRJCVOPVYMPFAI-QZTJIDSGSA-N 0 3 314.429 4.045 20 0 DIADHN CC(C)Cc1ncc(CN2C[C@H]3C[C@@]3(c3ccccc3)C2)s1 ZINC001308938598 1066408804 /nfs/dbraw/zinc/40/88/04/1066408804.db2.gz NOXJCGMKIBOBMW-APWZRJJASA-N 0 3 312.482 4.115 20 0 DIADHN Fc1cccc(Cl)c1CCCNCc1noc2ccccc12 ZINC001308936792 1066408881 /nfs/dbraw/zinc/40/88/81/1066408881.db2.gz ZNAGTMWZUKXOOW-UHFFFAOYSA-N 0 3 318.779 4.343 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](Cc3nccs3)C2)c(F)c1 ZINC001308946212 1066413526 /nfs/dbraw/zinc/41/35/26/1066413526.db2.gz WYIFCLDERYPSSB-AWEZNQCLSA-N 0 3 304.434 4.045 20 0 DIADHN Cn1ncc(CN2CCC(C)(C)[C@H](c3ccccc3)C2)c1Cl ZINC001308959126 1066419406 /nfs/dbraw/zinc/41/94/06/1066419406.db2.gz RQPFQWPWSMSRHS-INIZCTEOSA-N 0 3 317.864 4.089 20 0 DIADHN CC(C)(C)OC(=O)C1CN(CC2CCC3(CCCCC3)CC2)C1 ZINC001308980601 1066429765 /nfs/dbraw/zinc/42/97/65/1066429765.db2.gz UHBOIYFYOZSXBN-UHFFFAOYSA-N 0 3 321.505 4.401 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)N[C@@H](c1nc(C)no1)C(C)C ZINC001308989547 1066435432 /nfs/dbraw/zinc/43/54/32/1066435432.db2.gz IRYJJPFYDCJXLF-HZPDHXFCSA-N 0 3 321.852 4.339 20 0 DIADHN Cc1nc(-c2cc([C@@H](C)NCC[C@@H](O)C(C)C)cs2)cs1 ZINC000165420202 334925755 /nfs/dbraw/zinc/92/57/55/334925755.db2.gz DISDHUNFXGHXLY-IAQYHMDHSA-N 0 3 324.515 4.238 20 0 DIADHN Fc1ccc(OCCN2CCCCC[C@H]2c2ccco2)cc1 ZINC000078831739 185117868 /nfs/dbraw/zinc/11/78/68/185117868.db2.gz QDFMTQJAGGUMSY-KRWDZBQOSA-N 0 3 303.377 4.415 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2ccc(SC)cc2)cc1 ZINC000088567407 185258132 /nfs/dbraw/zinc/25/81/32/185258132.db2.gz LKUFKKQCOKSHAM-AWEZNQCLSA-N 0 3 301.455 4.406 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc(SC)cc2)cc1 ZINC000088567408 185258167 /nfs/dbraw/zinc/25/81/67/185258167.db2.gz LKUFKKQCOKSHAM-CQSZACIVSA-N 0 3 301.455 4.406 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCCc2cc3ccccc3o2)c1 ZINC000090076588 185281066 /nfs/dbraw/zinc/28/10/66/185281066.db2.gz YSKZYFIMHQKFRW-CQSZACIVSA-N 0 3 322.408 4.285 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCCc2cc3ccccc3o2)c1 ZINC000090076587 185281096 /nfs/dbraw/zinc/28/10/96/185281096.db2.gz YSKZYFIMHQKFRW-AWEZNQCLSA-N 0 3 322.408 4.285 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@H](C)c1nc2c(s1)CCCC2 ZINC000090728433 185311568 /nfs/dbraw/zinc/31/15/68/185311568.db2.gz FMTSNOMKNLFSRT-CMPLNLGQSA-N 0 3 319.474 4.081 20 0 DIADHN CC[C@H](NC[C@H](C)c1c(C)noc1C)c1cc(F)ccc1F ZINC000090728618 185311686 /nfs/dbraw/zinc/31/16/86/185311686.db2.gz QPYYIBKQFYSHLA-QFYYESIMSA-N 0 3 308.372 4.414 20 0 DIADHN Cc1cnc([C@@H](C)NC2CCC(C(=O)OC(C)(C)C)CC2)s1 ZINC000131684373 334937486 /nfs/dbraw/zinc/93/74/86/334937486.db2.gz UYRTVAPMDYXXRU-IYXRBSQSSA-N 0 3 324.490 4.003 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(n3ccnc3)CC2)s1 ZINC000092648768 185331526 /nfs/dbraw/zinc/33/15/26/185331526.db2.gz BTXVLPGLAVRDDB-UHFFFAOYSA-N 0 3 303.475 4.079 20 0 DIADHN CCc1ccc(CN[C@H](C)c2sc(CCOC)nc2C)s1 ZINC000092739220 185337891 /nfs/dbraw/zinc/33/78/91/185337891.db2.gz QQOYOLKNZNWDIU-LLVKDONJSA-N 0 3 324.515 4.115 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCCC[C@H]3C)co2)cc1 ZINC000072141400 191049591 /nfs/dbraw/zinc/04/95/91/191049591.db2.gz UMNCUOPQHGZJSJ-DYVFJYSZSA-N 0 3 300.402 4.019 20 0 DIADHN Cc1ccccc1NC(=O)CCN(Cc1cccs1)C1CC1 ZINC000072559746 191194272 /nfs/dbraw/zinc/19/42/72/191194272.db2.gz FBBQSFTYVYKGMD-UHFFFAOYSA-N 0 3 314.454 4.050 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)[C@H](C)[C@@H](N)c2ccccc2)c1 ZINC000236790067 202010207 /nfs/dbraw/zinc/01/02/07/202010207.db2.gz XBPSDLWZUHAGHQ-DNVCBOLYSA-N 0 3 310.441 4.109 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2cccc3[nH]ccc32)cc1 ZINC000236839502 202034415 /nfs/dbraw/zinc/03/44/15/202034415.db2.gz YYYJLOKAOMZGAM-UHFFFAOYSA-N 0 3 321.424 4.052 20 0 DIADHN CCOc1ccc([C@H](NCc2cc[nH]c2)C(C)C)cc1OCC ZINC000086249731 192556440 /nfs/dbraw/zinc/55/64/40/192556440.db2.gz MEEPETBNPXACSP-LJQANCHMSA-N 0 3 316.445 4.299 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1cccc(F)c1 ZINC000186842233 200019707 /nfs/dbraw/zinc/01/97/07/200019707.db2.gz RDXVANKCMWEJKP-PXAZEXFGSA-N 0 3 315.392 4.118 20 0 DIADHN C[C@@H](N[C@@H](C)C1CCCC1)c1nc(-c2cccc(F)c2)no1 ZINC000187505955 200121438 /nfs/dbraw/zinc/12/14/38/200121438.db2.gz HLSLRXVWHGFWCT-NWDGAFQWSA-N 0 3 303.381 4.105 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](c2ccccc2)C(C)C)cn1 ZINC000091288631 193032056 /nfs/dbraw/zinc/03/20/56/193032056.db2.gz LNLLIFDUCBVVCN-HXUWFJFHSA-N 0 3 320.440 4.063 20 0 DIADHN c1cnn(Cc2cccc(CN[C@H](c3ccccc3)C3CC3)c2)c1 ZINC000091304115 193036335 /nfs/dbraw/zinc/03/63/35/193036335.db2.gz WMKGQAIKPPEDCR-OAQYLSRUSA-N 0 3 317.436 4.172 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1)c1ccccn1 ZINC000091412389 193053466 /nfs/dbraw/zinc/05/34/66/193053466.db2.gz UXDZMSVQPMGWDR-YMRXKLBXSA-N 0 3 320.795 4.055 20 0 DIADHN CC[C@H](N[C@@H](C)Cc1c(C)noc1C)c1cc(C)ccc1OC ZINC000091726829 193098185 /nfs/dbraw/zinc/09/81/85/193098185.db2.gz WIFWVKQBKIKHMC-UGSOOPFHSA-N 0 3 316.445 4.280 20 0 DIADHN O[C@@H](C[C@H]1CCCCCN1Cc1cncs1)c1cccs1 ZINC000091891749 193122762 /nfs/dbraw/zinc/12/27/62/193122762.db2.gz ZAAGYHHTVZQCNQ-HIFRSBDPSA-N 0 3 322.499 4.073 20 0 DIADHN CC[C@H](c1ccncc1)N(C)Cc1cccc(OC(F)F)c1 ZINC000092224044 193176222 /nfs/dbraw/zinc/17/62/22/193176222.db2.gz OGCLNMPJOBCAPS-MRXNPFEDSA-N 0 3 306.356 4.266 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)[C@@H](C)c3cccnc3)cc2)n1 ZINC000093236416 193220091 /nfs/dbraw/zinc/22/00/91/193220091.db2.gz UWNGGEDNEOJWMI-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@H](C)c3cc(C)cc(C)c3)c(C)n2n1 ZINC000093406159 193246101 /nfs/dbraw/zinc/24/61/01/193246101.db2.gz WNQXXGZJUGJOMZ-HZPDHXFCSA-N 0 3 322.456 4.375 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CCc3ccncc3)nc2c1 ZINC000015452126 182002817 /nfs/dbraw/zinc/00/28/17/182002817.db2.gz WDKBZWQOEBRIAO-INIZCTEOSA-N 0 3 309.438 4.071 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000017314578 182082935 /nfs/dbraw/zinc/08/29/35/182082935.db2.gz ZNTHAKNDFSVKQQ-LBPRGKRZSA-N 0 3 302.805 4.149 20 0 DIADHN C[C@@H](NCc1ccc(F)cc1)c1ccc(Br)cc1 ZINC000019943542 182168692 /nfs/dbraw/zinc/16/86/92/182168692.db2.gz WLLFGJFBRCHJOF-LLVKDONJSA-N 0 3 308.194 4.439 20 0 DIADHN Cc1ccc(CNCc2ccc(F)c(Br)c2)cc1 ZINC000019962675 182170742 /nfs/dbraw/zinc/17/07/42/182170742.db2.gz FQNNAJWNDZHHOU-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CCOc1cc(CN[C@H](C)c2cccc(Cl)c2)ccc1O ZINC000020020253 182179215 /nfs/dbraw/zinc/17/92/15/182179215.db2.gz ZHQTVLLFJHNPMN-GFCCVEGCSA-N 0 3 305.805 4.295 20 0 DIADHN C[C@@H](NCc1ccc(Br)s1)c1cccs1 ZINC000020148502 182192239 /nfs/dbraw/zinc/19/22/39/182192239.db2.gz XSPZWCLTQFXMJO-MRVPVSSYSA-N 0 3 302.262 4.423 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1cc(F)ccc1Br ZINC000020555925 182231630 /nfs/dbraw/zinc/23/16/30/182231630.db2.gz HPMSSVUVPVVHJL-QMTHXVAHSA-N 0 3 300.215 4.257 20 0 DIADHN COCC[C@@H](NC[C@@H](C)c1cccc(Cl)c1)c1ccco1 ZINC000525863533 335030157 /nfs/dbraw/zinc/03/01/57/335030157.db2.gz SAOSXZZKKQCBOR-CZUORRHYSA-N 0 3 307.821 4.404 20 0 DIADHN COc1ccc(CN[C@H]2CCSc3ccc(F)cc32)cc1 ZINC000035043468 182748208 /nfs/dbraw/zinc/74/82/08/182748208.db2.gz HQRNEAPXWHPYPQ-INIZCTEOSA-N 0 3 303.402 4.161 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(Br)cc2)c1 ZINC000042265266 183247421 /nfs/dbraw/zinc/24/74/21/183247421.db2.gz RXIWDCMLAWBPLR-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN CCC(CC)N(CCOC)Cc1ccccc1Br ZINC000042681370 183296185 /nfs/dbraw/zinc/29/61/85/183296185.db2.gz UMFOCSUBIVIJJB-UHFFFAOYSA-N 0 3 314.267 4.086 20 0 DIADHN C[C@H]1C[C@@H]1NCc1cccc(NC(=O)c2ccccc2Cl)c1 ZINC000105437811 194104705 /nfs/dbraw/zinc/10/47/05/194104705.db2.gz RQFALVVXGMMTKU-SJCJKPOMSA-N 0 3 314.816 4.090 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2c(C)cccc2C)cc1 ZINC000056201493 184007029 /nfs/dbraw/zinc/00/70/29/184007029.db2.gz YJVNHIVDIBNPNG-SJORKVTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc2c(CN[C@@H](C)c3ccccc3)cc(=O)oc2c1C ZINC000058980188 184133104 /nfs/dbraw/zinc/13/31/04/184133104.db2.gz AXMDGJLQNYMYRL-HNNXBMFYSA-N 0 3 307.393 4.261 20 0 DIADHN CCOCc1ccccc1CN[C@@H]1CCCc2sccc21 ZINC000061328235 184169083 /nfs/dbraw/zinc/16/90/83/184169083.db2.gz HBDAYLPQOAZINA-QGZVFWFLSA-N 0 3 301.455 4.452 20 0 DIADHN CC[C@H](NCc1ccc(C)nc1)c1ccc(Br)cc1 ZINC000062051166 184202671 /nfs/dbraw/zinc/20/26/71/184202671.db2.gz QHNYRVTXJRFBLG-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](CO)c3ccccc3)oc2c1 ZINC000426039137 335045944 /nfs/dbraw/zinc/04/59/44/335045944.db2.gz JCVLBEDWHOGCEU-MAUKXSAKSA-N 0 3 309.409 4.168 20 0 DIADHN c1ccc(CN2CCC[C@H](Oc3ccc4cnccc4c3)C2)cc1 ZINC000066099960 184389346 /nfs/dbraw/zinc/38/93/46/184389346.db2.gz AKUKFSSRYRAGER-NRFANRHFSA-N 0 3 318.420 4.278 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2NCc1ccccc1-n1ccnc1 ZINC000152261532 196797261 /nfs/dbraw/zinc/79/72/61/196797261.db2.gz DAAADGHEIJUSCY-GOSISDBHSA-N 0 3 323.827 4.303 20 0 DIADHN CC[C@@H](N[C@@H]1CCCc2occc21)c1ccc2c(c1)OCCO2 ZINC000152247727 196794719 /nfs/dbraw/zinc/79/47/19/196794719.db2.gz LSHXVOZOJVBXFZ-HZPDHXFCSA-N 0 3 313.397 4.169 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccccc1C(=O)N(C)C ZINC000417697152 335058385 /nfs/dbraw/zinc/05/83/85/335058385.db2.gz CLRQSTFZGPQJHV-FQEVSTJZSA-N 0 3 322.452 4.034 20 0 DIADHN OCCC1(CN[C@H](c2ccccc2)c2ccccn2)CCCCC1 ZINC000272425666 130029523 /nfs/dbraw/zinc/02/95/23/130029523.db2.gz MNXXBFPALDYKDX-HXUWFJFHSA-N 0 3 324.468 4.094 20 0 DIADHN C[C@H](NCc1ccccc1N1CCCCC1)c1cccc(CO)c1 ZINC000272575494 130043156 /nfs/dbraw/zinc/04/31/56/130043156.db2.gz GCYQFOPMGCZENY-KRWDZBQOSA-N 0 3 324.468 4.020 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(NC(C)=O)cc2)c1 ZINC000272757702 130061683 /nfs/dbraw/zinc/06/16/83/130061683.db2.gz PGTMFHBKUFCQKU-KGLIPLIRSA-N 0 3 312.413 4.065 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ccc(CSC(F)F)o2)CCO1 ZINC000273065598 130087128 /nfs/dbraw/zinc/08/71/28/130087128.db2.gz NIYQMQMQTMYAAP-NWDGAFQWSA-N 0 3 319.417 4.030 20 0 DIADHN C[C@H]1C[C@@H](CCNCc2ccc(CSC(F)F)o2)CCO1 ZINC000273065599 130087195 /nfs/dbraw/zinc/08/71/95/130087195.db2.gz NIYQMQMQTMYAAP-RYUDHWBXSA-N 0 3 319.417 4.030 20 0 DIADHN Cn1ncc2c1CCC[C@H]2N[C@H]1CCCc2c(Cl)cccc21 ZINC000273374695 130114563 /nfs/dbraw/zinc/11/45/63/130114563.db2.gz GXTCIALAOMYCCF-DLBZAZTESA-N 0 3 315.848 4.118 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000273586837 130140268 /nfs/dbraw/zinc/14/02/68/130140268.db2.gz NZDWOKDKWQIRPJ-APPDUMDISA-N 0 3 303.837 4.110 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CCCc2c(Cl)cccc21 ZINC000273586846 130140389 /nfs/dbraw/zinc/14/03/89/130140389.db2.gz NZDWOKDKWQIRPJ-GTNSWQLSSA-N 0 3 303.837 4.110 20 0 DIADHN Clc1ccc2ncc(CN[C@H]3CCCc4sccc43)n2c1 ZINC000274263982 130222679 /nfs/dbraw/zinc/22/26/79/130222679.db2.gz OKDZPEQRXSVUGL-AWEZNQCLSA-N 0 3 317.845 4.216 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H](c2ccccc2)C1)c1cccnc1Cl ZINC000274275745 130223232 /nfs/dbraw/zinc/22/32/32/130223232.db2.gz IGKVKHVPBWTJDS-YSVLISHTSA-N 0 3 316.832 4.306 20 0 DIADHN OCC[C@H](N[C@H]1CC=C(c2ccc(F)cc2)CC1)c1ccco1 ZINC000274491265 130240724 /nfs/dbraw/zinc/24/07/24/130240724.db2.gz SPROVDNEOMJKBJ-ROUUACIJSA-N 0 3 315.388 4.068 20 0 DIADHN C[C@@H](NCc1cn(C)nc1C(F)F)c1cccc2ccccc21 ZINC000274497513 130241529 /nfs/dbraw/zinc/24/15/29/130241529.db2.gz QTBFZMQFDNFHHD-GFCCVEGCSA-N 0 3 315.367 4.362 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1nc2c(cccc2C)o1 ZINC000274592660 130247107 /nfs/dbraw/zinc/24/71/07/130247107.db2.gz NTMIXILTGRIRPR-GFCCVEGCSA-N 0 3 316.426 4.100 20 0 DIADHN C[C@H](NC[C@@H]1Cc2ccccc21)c1nc(C(F)(F)F)cs1 ZINC000274589052 130247411 /nfs/dbraw/zinc/24/74/11/130247411.db2.gz JSXYGCYFFXGSSD-ONGXEEELSA-N 0 3 312.360 4.152 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1nc(C(F)(F)F)cs1 ZINC000274609853 130248555 /nfs/dbraw/zinc/24/85/55/130248555.db2.gz NBGZRLKQSWCDIK-VHSXEESVSA-N 0 3 318.364 4.427 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2ccc([S@@](C)=O)cc2)c1 ZINC000274736646 130257192 /nfs/dbraw/zinc/25/71/92/130257192.db2.gz BWGYIWJUNXYVQQ-IVZQSRNASA-N 0 3 315.482 4.227 20 0 DIADHN CC(C)c1ccc(CNCc2ccc3c(c2)CCC(=O)N3C)cc1 ZINC000274753708 130259814 /nfs/dbraw/zinc/25/98/14/130259814.db2.gz FNWRURWMYIBIBU-UHFFFAOYSA-N 0 3 322.452 4.009 20 0 DIADHN Cc1c(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)cnn1C ZINC000275029727 130284590 /nfs/dbraw/zinc/28/45/90/130284590.db2.gz BHQGQZBDMLNFPG-NRFANRHFSA-N 0 3 317.436 4.173 20 0 DIADHN Cc1n[nH]c(C)c1CNCc1ccc(-c2ccccc2)c(C)c1 ZINC000275028354 130285053 /nfs/dbraw/zinc/28/50/53/130285053.db2.gz AYYGMGKKFOZLDF-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN C[C@H](NC[C@@H]1CCc2nccn2C1)c1ccc(Cl)cc1Cl ZINC000275648507 130353227 /nfs/dbraw/zinc/35/32/27/130353227.db2.gz UFMZYAAAFYGALF-RYUDHWBXSA-N 0 3 324.255 4.103 20 0 DIADHN Cc1cc(Cl)ccc1C(=O)Nc1ccccc1CCN(C)C ZINC000275707579 130368092 /nfs/dbraw/zinc/36/80/92/130368092.db2.gz UGRQZPYNWBSAJA-UHFFFAOYSA-N 0 3 316.832 4.005 20 0 DIADHN c1ccc2ncc(CN3CCC(c4ccncc4)CC3)cc2c1 ZINC000281219625 130844295 /nfs/dbraw/zinc/84/42/95/130844295.db2.gz DRCPOXZVSYVEQL-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CO[C@H](CN1CCC(c2ncc(C)s2)CC1)c1ccccc1 ZINC000282708890 130936410 /nfs/dbraw/zinc/93/64/10/130936410.db2.gz BIPYCHXWTNRMBN-QGZVFWFLSA-N 0 3 316.470 4.019 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1ccncc1F)c1ccsc1 ZINC000340178367 131000752 /nfs/dbraw/zinc/00/07/52/131000752.db2.gz ZQGDQTGZNVUODS-GUYCJALGSA-N 0 3 321.465 4.016 20 0 DIADHN Cc1ccsc1[C@H](Cc1ccccc1)N[C@@H](C)c1cn[nH]c1 ZINC000283693722 131013739 /nfs/dbraw/zinc/01/37/39/131013739.db2.gz AMSSFZVLTZKGBE-YOEHRIQHSA-N 0 3 311.454 4.414 20 0 DIADHN Cc1nc(C)c(CN2CC[C@H](Oc3cccc(Cl)c3)C2)s1 ZINC000340190240 131065569 /nfs/dbraw/zinc/06/55/69/131065569.db2.gz FIPBDSWHANWBPR-HNNXBMFYSA-N 0 3 322.861 4.067 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3c4ccccc4sc32)n(C)n1 ZINC000284376832 131075643 /nfs/dbraw/zinc/07/56/43/131075643.db2.gz OMZLGUZMLUJKHT-MRXNPFEDSA-N 0 3 311.454 4.110 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)c2cn(C)nc2C)cc1 ZINC000284400495 131077499 /nfs/dbraw/zinc/07/74/99/131077499.db2.gz PRIFYKDUIQWHMM-GJZGRUSLSA-N 0 3 315.461 4.319 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnc(C(C)(C)C)nc1)[C@H](C)C2 ZINC000284417679 131079025 /nfs/dbraw/zinc/07/90/25/131079025.db2.gz QYFHPZUXDRVZPV-KDOFPFPSSA-N 0 3 309.457 4.106 20 0 DIADHN CC(C)(C)c1ncc(CN[C@H](c2ccccc2)C2CCC2)cn1 ZINC000284432110 131080335 /nfs/dbraw/zinc/08/03/35/131080335.db2.gz SBOAZGNSXUPNPP-GOSISDBHSA-N 0 3 309.457 4.405 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cn[nH]c1)c1ccc(C(F)(F)F)cc1 ZINC000285034321 131132866 /nfs/dbraw/zinc/13/28/66/131132866.db2.gz HVPACUTWTVTWEO-IAQYHMDHSA-N 0 3 311.351 4.476 20 0 DIADHN C[C@H](N[C@@H](CCc1ccccc1)c1ccccc1)c1cn[nH]c1 ZINC000285073310 131136362 /nfs/dbraw/zinc/13/63/62/131136362.db2.gz JIGBDHQKMZZDDY-JXFKEZNVSA-N 0 3 305.425 4.434 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CNCc1cccc(C)c1 ZINC000340203326 131155638 /nfs/dbraw/zinc/15/56/38/131155638.db2.gz RRMMLLIRBHANRC-UHFFFAOYSA-N 0 3 311.454 4.180 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1ccccc1 ZINC000285515996 131176181 /nfs/dbraw/zinc/17/61/81/131176181.db2.gz AXMLTNQZNDDMBE-MAUKXSAKSA-N 0 3 306.413 4.069 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1-n1ccnn1)c1ccccc1 ZINC000285516003 131176339 /nfs/dbraw/zinc/17/63/39/131176339.db2.gz AXMLTNQZNDDMBE-YJBOKZPZSA-N 0 3 306.413 4.069 20 0 DIADHN CSCc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2)cc1 ZINC000285523434 131176908 /nfs/dbraw/zinc/17/69/08/131176908.db2.gz CACPKLGKNDMBEV-AWEZNQCLSA-N 0 3 303.475 4.178 20 0 DIADHN Cc1cnn(C)c1CN[C@H](CCc1ccccc1)c1ccccc1 ZINC000285584675 131183775 /nfs/dbraw/zinc/18/37/75/131183775.db2.gz XGKHCQYCVMLCNY-HXUWFJFHSA-N 0 3 319.452 4.192 20 0 DIADHN COc1ccsc1[C@@H](C)NCc1ccc2[nH]c(C)cc2c1 ZINC000285612076 131186476 /nfs/dbraw/zinc/18/64/76/131186476.db2.gz JGOZNXBCZGUIFQ-GFCCVEGCSA-N 0 3 300.427 4.397 20 0 DIADHN CSCc1ccc(CN[C@H](C)c2ccc3c(c2)COC3)cc1 ZINC000285689373 131193172 /nfs/dbraw/zinc/19/31/72/131193172.db2.gz OAKLQBHGSAASBE-CQSZACIVSA-N 0 3 313.466 4.431 20 0 DIADHN Cc1cc2cc(CN[C@@H](C)c3cnn(C(C)C)c3C)ccc2[nH]1 ZINC000285854728 131205862 /nfs/dbraw/zinc/20/58/62/131205862.db2.gz ZHEZBFJWWILROI-AWEZNQCLSA-N 0 3 310.445 4.413 20 0 DIADHN Cc1ccc(-c2nc(CCN[C@H](C)c3cc(C)ccn3)co2)cc1 ZINC000286029967 131216734 /nfs/dbraw/zinc/21/67/34/131216734.db2.gz KCAOMUOPBAIGNC-MRXNPFEDSA-N 0 3 321.424 4.247 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc2c(c1)CC(=O)N2C)c1cccc(C)c1 ZINC000286292560 131228916 /nfs/dbraw/zinc/22/89/16/131228916.db2.gz WGPKAEQERPPFSP-KXBFYZLASA-N 0 3 322.452 4.316 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2CCCc3sccc32)c1 ZINC000286345315 131231156 /nfs/dbraw/zinc/23/11/56/131231156.db2.gz ZARRIUHEBDTXRB-GXFFZTMASA-N 0 3 321.467 4.324 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000286868433 131253214 /nfs/dbraw/zinc/25/32/14/131253214.db2.gz BOKOGNLMFRJJSV-ACJLOTCBSA-N 0 3 319.832 4.123 20 0 DIADHN COc1ccc([C@H](C)N[C@H](CCO)c2ccc(Cl)cc2)cc1 ZINC000286868437 131253656 /nfs/dbraw/zinc/25/36/56/131253656.db2.gz BOKOGNLMFRJJSV-SCLBCKFNSA-N 0 3 319.832 4.123 20 0 DIADHN C[C@H](N[C@H](CCO)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000287065704 131262309 /nfs/dbraw/zinc/26/23/09/131262309.db2.gz NTNDTNCWWOCPKQ-YVEFUNNKSA-N 0 3 307.796 4.253 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2cncc(C)c2)c(C)c1OC ZINC000287169046 131267568 /nfs/dbraw/zinc/26/75/68/131267568.db2.gz UNEFZROENMGDCT-HUUCEWRRSA-N 0 3 314.429 4.127 20 0 DIADHN OCC[C@@H](N[C@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000287201811 131269046 /nfs/dbraw/zinc/26/90/46/131269046.db2.gz WIGULDQPMSVISP-MSOLQXFVSA-N 0 3 301.817 4.041 20 0 DIADHN Cc1cccc(CCCN[C@H](C)c2nc3c(s2)CCCC3)n1 ZINC000287387463 131278109 /nfs/dbraw/zinc/27/81/09/131278109.db2.gz INLFYKDFKRTMJP-CQSZACIVSA-N 0 3 315.486 4.009 20 0 DIADHN CCc1ccc([C@@H](C)NCc2cccc(NC(=O)OC)c2)cc1 ZINC000287431053 131280066 /nfs/dbraw/zinc/28/00/66/131280066.db2.gz KOAUTKMRRLSXQO-CQSZACIVSA-N 0 3 312.413 4.278 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](C)c2ccc(C)cc2C)c1 ZINC000287459744 131281582 /nfs/dbraw/zinc/28/15/82/131281582.db2.gz LVRZJFDXTZOASS-OAHLLOKOSA-N 0 3 312.413 4.333 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1sccc1Cl ZINC000287486709 131283476 /nfs/dbraw/zinc/28/34/76/131283476.db2.gz NBXQYDDAGNCWKC-UHFFFAOYSA-N 0 3 308.834 4.024 20 0 DIADHN COC(=O)Nc1cccc(CN[C@H](c2ccccc2)C(C)C)c1 ZINC000287545918 131286063 /nfs/dbraw/zinc/28/60/63/131286063.db2.gz POMAUBHVFQQHNM-SFHVURJKSA-N 0 3 312.413 4.352 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cncc(C)c1)c1ccc(OC)c(OC)c1 ZINC000287685201 131294109 /nfs/dbraw/zinc/29/41/09/131294109.db2.gz WKDGBBCCNMJKAC-WMLDXEAASA-N 0 3 314.429 4.209 20 0 DIADHN CC[C@H](N[C@H]1CCO[C@H](C(F)(F)F)C1)c1cc(F)ccc1F ZINC000287704003 131295482 /nfs/dbraw/zinc/29/54/82/131295482.db2.gz NSYVGQVICOYVSC-BPNCWPANSA-N 0 3 323.305 4.115 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCC[C@H](C(F)(F)F)C2)c(F)cn1 ZINC000287832376 131302240 /nfs/dbraw/zinc/30/22/40/131302240.db2.gz VSNNDSURQKACCS-DCAQKATOSA-N 0 3 320.330 4.001 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2CCCc3ccc(C)cc32)cc1 ZINC000288430562 131325356 /nfs/dbraw/zinc/32/53/56/131325356.db2.gz KTNFVPRYTFWLAS-FQEVSTJZSA-N 0 3 308.425 4.121 20 0 DIADHN C[C@H](O)c1ncc(CN[C@H](c2ccccc2)C2CCCC2)s1 ZINC000289044707 131353830 /nfs/dbraw/zinc/35/38/30/131353830.db2.gz AYMSWRZPHFKWEA-SUMWQHHRSA-N 0 3 316.470 4.218 20 0 DIADHN CCCN(Cc1cnc([C@@H](C)O)s1)[C@H]1CCc2ccccc21 ZINC000289060808 131355745 /nfs/dbraw/zinc/35/57/45/131355745.db2.gz JAHKXWFQEYGBSC-DYVFJYSZSA-N 0 3 316.470 4.096 20 0 DIADHN CC(C)(CO)[C@H](NCc1ccc(Cl)cc1)c1cccs1 ZINC000289255997 131369282 /nfs/dbraw/zinc/36/92/82/131369282.db2.gz CTGVQMFKGBFJAM-OAHLLOKOSA-N 0 3 309.862 4.251 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)s1 ZINC000289262745 131370369 /nfs/dbraw/zinc/37/03/69/131370369.db2.gz OMJHGGAMKBQOPT-QGZVFWFLSA-N 0 3 311.454 4.408 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2ccc(OC)c3F)cc1 ZINC000289336915 131375127 /nfs/dbraw/zinc/37/51/27/131375127.db2.gz WJUMECJJFBRGQI-PXAZEXFGSA-N 0 3 315.388 4.181 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000289338968 131375899 /nfs/dbraw/zinc/37/58/99/131375899.db2.gz WQAMXTNVLOMMSO-DJJJIMSYSA-N 0 3 322.456 4.246 20 0 DIADHN CC[C@H](N[C@H](c1cccnc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000340311186 131377886 /nfs/dbraw/zinc/37/78/86/131377886.db2.gz FFZMAXJDNXSVQF-OALUTQOASA-N 0 3 324.424 4.060 20 0 DIADHN FC(F)c1ccc(CN2CC3(CCC3)[C@@H]2[C@H]2CCCO2)cc1 ZINC000289447589 131386866 /nfs/dbraw/zinc/38/68/66/131386866.db2.gz FIBCPHOYRXXJBV-CVEARBPZSA-N 0 3 307.384 4.158 20 0 DIADHN COc1cc(CN[C@H](C)c2nccc3ccccc32)ccc1C ZINC000289540657 131394335 /nfs/dbraw/zinc/39/43/35/131394335.db2.gz BQIDSUAGOYVIEH-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cc(C)nc(N3CCCC3)c1)CC2 ZINC000289809093 131418269 /nfs/dbraw/zinc/41/82/69/131418269.db2.gz OKLJMDCOVZXEQL-HXUWFJFHSA-N 0 3 321.468 4.076 20 0 DIADHN Cc1cccc(C2(NCc3cnc([C@@H](C)O)s3)CCCC2)c1 ZINC000290558202 131498391 /nfs/dbraw/zinc/49/83/91/131498391.db2.gz ZNALQDKJYUQXLT-CQSZACIVSA-N 0 3 316.470 4.064 20 0 DIADHN COC[C@H](N[C@H]1CCOC2(CCCC2)C1)c1cccc(Cl)c1 ZINC000290588875 131501342 /nfs/dbraw/zinc/50/13/42/131501342.db2.gz GKIWNHAXODYMLF-IRXDYDNUSA-N 0 3 323.864 4.109 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](C)[C@H]1OCCc2sccc21 ZINC000291809098 131601415 /nfs/dbraw/zinc/60/14/15/131601415.db2.gz CLLIQDIIBHQHIA-JRPNMDOOSA-N 0 3 323.483 4.171 20 0 DIADHN Cc1ccc(CN[C@@H](c2ccccc2)C2(CO)CCC2)s1 ZINC000293863479 131777132 /nfs/dbraw/zinc/77/71/32/131777132.db2.gz IKRQYISGEDRMBN-KRWDZBQOSA-N 0 3 301.455 4.050 20 0 DIADHN COc1cc(C)cc(CN[C@H]2CCCc3nc(Cl)ccc32)c1 ZINC000297115489 132000531 /nfs/dbraw/zinc/00/05/31/132000531.db2.gz YHKNWLAZWDXFOQ-INIZCTEOSA-N 0 3 316.832 4.219 20 0 DIADHN C[C@@H](NC1CCSCC1)c1ccc(Oc2ccccc2)cn1 ZINC000297140249 132001856 /nfs/dbraw/zinc/00/18/56/132001856.db2.gz ZLOXOXZHNBKMHH-CQSZACIVSA-N 0 3 314.454 4.420 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2c(c1)CC(=O)N2C)c1ccsc1 ZINC000297151379 132002446 /nfs/dbraw/zinc/00/24/46/132002446.db2.gz AWXWGCQWOHDVEQ-BLLLJJGKSA-N 0 3 314.454 4.069 20 0 DIADHN COc1ccc([C@H](Cc2ccccc2)N[C@@H](C)c2cn[nH]c2)cc1 ZINC000297192186 132003575 /nfs/dbraw/zinc/00/35/75/132003575.db2.gz FNJLBVWLKSLOSI-YWZLYKJASA-N 0 3 321.424 4.053 20 0 DIADHN C[C@@H]1C[C@@H](NCC(C)(C)c2cccc(Cl)c2)c2nccn21 ZINC000297657127 132027904 /nfs/dbraw/zinc/02/79/04/132027904.db2.gz KLXVSVVZZPOHPR-IUODEOHRSA-N 0 3 303.837 4.110 20 0 DIADHN C[C@@H](CN[C@H]1CCn2ccnc21)c1c(Cl)cccc1Cl ZINC000297737801 132031747 /nfs/dbraw/zinc/03/17/47/132031747.db2.gz NWTMVEABHISPSM-GWCFXTLKSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@@H]1C[C@H](NCC(C)(C)c2ccccc2Cl)c2nccn21 ZINC000297741256 132031864 /nfs/dbraw/zinc/03/18/64/132031864.db2.gz NYOFYIGXMRXOMD-DOMZBBRYSA-N 0 3 303.837 4.110 20 0 DIADHN CCOc1cc(CNC(C)(C)c2ccc(F)c(F)c2)ccc1O ZINC000297808161 132034814 /nfs/dbraw/zinc/03/48/14/132034814.db2.gz XXIQLVDOFTXDQC-UHFFFAOYSA-N 0 3 321.367 4.094 20 0 DIADHN FC(F)(F)CCc1ccc(NC2CCN(C3CC3)CC2)cc1 ZINC000297874096 132038434 /nfs/dbraw/zinc/03/84/34/132038434.db2.gz PYLANJSVRUQBGL-UHFFFAOYSA-N 0 3 312.379 4.220 20 0 DIADHN CC(C)CN(CC(=O)Nc1ccccc1C(F)(F)F)C(C)C ZINC000298279740 132054190 /nfs/dbraw/zinc/05/41/90/132054190.db2.gz IZDQKFUNSKHOBQ-UHFFFAOYSA-N 0 3 316.367 4.010 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c1 ZINC000298546179 132067396 /nfs/dbraw/zinc/06/73/96/132067396.db2.gz CQPYLRWANHBOSY-HNNXBMFYSA-N 0 3 308.425 4.039 20 0 DIADHN CSc1ccccc1NC(=O)CCN[C@H](C)c1ccccc1 ZINC000298701891 132074024 /nfs/dbraw/zinc/07/40/24/132074024.db2.gz AAPWZTBSSPAMCZ-CQSZACIVSA-N 0 3 314.454 4.088 20 0 DIADHN C[C@@H](NCCC(=O)Nc1ccccc1Cl)c1cccs1 ZINC000298844758 132079955 /nfs/dbraw/zinc/07/99/55/132079955.db2.gz IFIVDWYRSKZFDA-LLVKDONJSA-N 0 3 308.834 4.081 20 0 DIADHN Cc1nc2ccccc2c(N2CCC(c3ccncn3)CC2)c1C ZINC000298865443 132081451 /nfs/dbraw/zinc/08/14/51/132081451.db2.gz JUFYGJOUGOBEFU-UHFFFAOYSA-N 0 3 318.424 4.026 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1cccc(C(=O)N(C)C)c1)C1CC1 ZINC000299381009 132102460 /nfs/dbraw/zinc/10/24/60/132102460.db2.gz NQYMAMRHITWBRV-INIZCTEOSA-N 0 3 322.452 4.114 20 0 DIADHN COCc1ccccc1CN[C@@H](C)c1cccc(OC(F)F)c1 ZINC000119576558 132134383 /nfs/dbraw/zinc/13/43/83/132134383.db2.gz YGHWLOCTWPNASW-ZDUSSCGKSA-N 0 3 321.367 4.285 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(Cl)s2)cc1OC ZINC000040444142 132141463 /nfs/dbraw/zinc/14/14/63/132141463.db2.gz FIWVUILLRMJZQT-JTQLQIEISA-N 0 3 311.834 4.270 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc21)c1ccc2c(c1)OCCCO2 ZINC000120474867 132141693 /nfs/dbraw/zinc/14/16/93/132141693.db2.gz XMUHEVGBJSGDMQ-KBXCAEBGSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(F)c1)c1ccc2c(c1)OCCO2 ZINC000120629596 132148882 /nfs/dbraw/zinc/14/88/82/132148882.db2.gz RDCMHJBMFFXBTR-QWHCGFSZSA-N 0 3 301.361 4.009 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1ccc(-n2ccnc2)cc1 ZINC000120788809 132151117 /nfs/dbraw/zinc/15/11/17/132151117.db2.gz PKQMFDYGAVYRGE-RDTXWAMCSA-N 0 3 307.397 4.194 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2O)c1cccc(OC(F)F)c1 ZINC000121449278 132155748 /nfs/dbraw/zinc/15/57/48/132155748.db2.gz ZPKWRRMMMFBDRZ-BDJLRTHQSA-N 0 3 319.351 4.332 20 0 DIADHN Cc1ccc(C2CCN(c3ccnc4ccccc43)CC2)cn1 ZINC000340788488 132175067 /nfs/dbraw/zinc/17/50/67/132175067.db2.gz VZPSBKLNPKSQJR-UHFFFAOYSA-N 0 3 303.409 4.322 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@H](C)CC1)c1cccc2ccccc21 ZINC000520136291 335065616 /nfs/dbraw/zinc/06/56/16/335065616.db2.gz HCYKFBBMRGLKNT-IRXDYDNUSA-N 0 3 324.468 4.139 20 0 DIADHN COc1ccc2oc(CNCCc3ccc(F)cc3F)cc2c1 ZINC000563865514 335076999 /nfs/dbraw/zinc/07/69/99/335076999.db2.gz VBVCCEPFFMGFKE-UHFFFAOYSA-N 0 3 317.335 4.052 20 0 DIADHN C[C@H](NC1CCC(C)CC1)c1nc(-c2cccc(F)c2)no1 ZINC000184639881 335082342 /nfs/dbraw/zinc/08/23/42/335082342.db2.gz IVIGOUAZTUROIU-AVERBVTBSA-N 0 3 303.381 4.105 20 0 DIADHN Cc1nocc1CN[C@@H]1CCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000570691062 332801569 /nfs/dbraw/zinc/80/15/69/332801569.db2.gz DRDZKBCDEUEZQU-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ccccc1OCCN[C@H](C)c1nccc2ccccc21 ZINC000570763771 332812507 /nfs/dbraw/zinc/81/25/07/332812507.db2.gz KIXRDQPJQIXCBQ-MRXNPFEDSA-N 0 3 306.409 4.273 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1cc(O)cc(C(F)(F)F)c1 ZINC000570889589 332835250 /nfs/dbraw/zinc/83/52/50/332835250.db2.gz QZXPFOFBRNWLBH-APPDUMDISA-N 0 3 321.342 4.434 20 0 DIADHN CSc1cc(CN[C@@H]2CCc3c2cccc3Cl)ccn1 ZINC000537936069 332855836 /nfs/dbraw/zinc/85/58/36/332855836.db2.gz XCJSMOSRLYQKOR-OAHLLOKOSA-N 0 3 304.846 4.234 20 0 DIADHN CS[C@H](CO)[C@H](C)N[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000318518111 332892486 /nfs/dbraw/zinc/89/24/86/332892486.db2.gz RAFVVXCJLTZTBB-YZVOILCLSA-N 0 3 315.482 4.117 20 0 DIADHN CC[C@@H](NCCCn1ccnc1)c1ccc(Cl)cc1Cl ZINC000035625491 331506655 /nfs/dbraw/zinc/50/66/55/331506655.db2.gz LLVRIIBXQSYIRO-OAHLLOKOSA-N 0 3 312.244 4.321 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc(CO)cc2)cc1 ZINC000183105238 331521676 /nfs/dbraw/zinc/52/16/76/331521676.db2.gz DVWMTTJDVZVEGH-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CC=CCC2)c(Br)c1 ZINC000538406681 331522403 /nfs/dbraw/zinc/52/24/03/331522403.db2.gz PIIURAZSNIBZAP-RYUDHWBXSA-N 0 3 310.235 4.217 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2)C2CCC2)c(C)c1 ZINC000533505196 331552333 /nfs/dbraw/zinc/55/23/33/331552333.db2.gz DTUIUQMJUBWVFA-OAQYLSRUSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2)C2CCC2)c(C)c1 ZINC000533505195 331552365 /nfs/dbraw/zinc/55/23/65/331552365.db2.gz DTUIUQMJUBWVFA-NRFANRHFSA-N 0 3 322.452 4.373 20 0 DIADHN c1ccc([C@H](NCC2(c3ccccc3)CC2)c2ccccn2)cc1 ZINC000180053934 331557429 /nfs/dbraw/zinc/55/74/29/331557429.db2.gz JCKXMOVWPVJQMF-NRFANRHFSA-N 0 3 314.432 4.492 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1C(F)(F)F)c1ccccn1 ZINC000180225275 331564198 /nfs/dbraw/zinc/56/41/98/331564198.db2.gz OQYCZNOLDVZQTP-QWHCGFSZSA-N 0 3 308.347 4.382 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccc2ncccc2c1 ZINC000530529292 331572112 /nfs/dbraw/zinc/57/21/12/331572112.db2.gz ROGRKQOFLXLJQW-RNODOKPDSA-N 0 3 306.384 4.397 20 0 DIADHN C[C@@H](CCCO)N[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000180749303 331576678 /nfs/dbraw/zinc/57/66/78/331576678.db2.gz HCENWVFWUGHZDX-SCLBCKFNSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@H](CCCO)N[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000180749327 331576726 /nfs/dbraw/zinc/57/67/26/331576726.db2.gz HCENWVFWUGHZDX-FZKQIMNGSA-N 0 3 321.823 4.319 20 0 DIADHN C[C@H](NCc1cccc2c1OCO2)c1cccc2ccccc21 ZINC000047495950 331581375 /nfs/dbraw/zinc/58/13/75/331581375.db2.gz PWLLFQGJKBMUAA-AWEZNQCLSA-N 0 3 305.377 4.419 20 0 DIADHN C[C@H](N[C@H]1CCCOC1)c1cc2cc(Br)ccc2o1 ZINC000109543397 331582614 /nfs/dbraw/zinc/58/26/14/331582614.db2.gz MHIPBNGUMODBCU-GWCFXTLKSA-N 0 3 324.218 4.025 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccccc1-c1cnn(C)c1 ZINC000537950706 331586632 /nfs/dbraw/zinc/58/66/32/331586632.db2.gz JEIRVLJEECARST-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN Fc1cccc(C2CCN(CC[C@H]3CCCCO3)CC2)c1F ZINC000584028103 331587524 /nfs/dbraw/zinc/58/75/24/331587524.db2.gz SMLOCHHZFBFVSU-OAHLLOKOSA-N 0 3 309.400 4.103 20 0 DIADHN CC(C)C[C@@H](NCc1cc(CO)ccc1F)c1ccccc1 ZINC000528433722 331605419 /nfs/dbraw/zinc/60/54/19/331605419.db2.gz RXYLQZGAWUPWCQ-LJQANCHMSA-N 0 3 301.405 4.195 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1sccc1Br ZINC000087683416 331610163 /nfs/dbraw/zinc/61/01/63/331610163.db2.gz PPAGJIDMPPTCGF-VHSXEESVSA-N 0 3 320.321 4.447 20 0 DIADHN Cc1cc(-c2nc(-c3ccc(CN(C)C)cc3)no2)sc1C ZINC000530633226 331613989 /nfs/dbraw/zinc/61/39/89/331613989.db2.gz DPJWWOHCSJOCGW-UHFFFAOYSA-N 0 3 313.426 4.144 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)NC1(c2ccccc2)CCC1 ZINC000530668226 331616974 /nfs/dbraw/zinc/61/69/74/331616974.db2.gz OKTLZFWHESCSQV-MRXNPFEDSA-N 0 3 308.425 4.073 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CNC(C)(C)C(C)(C)CO)o1 ZINC000530729980 331622264 /nfs/dbraw/zinc/62/22/64/331622264.db2.gz FDJONZOZLUACKB-UHFFFAOYSA-N 0 3 319.420 4.281 20 0 DIADHN Fc1ccc(CNC[C@H]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000530735885 331622800 /nfs/dbraw/zinc/62/28/00/331622800.db2.gz UCRMCMPBXVLROA-JQWIXIFHSA-N 0 3 307.306 4.423 20 0 DIADHN Cc1cccc([C@@H](C)CN[C@@H](C)c2nc3ccccc3n2C)c1 ZINC000538115280 331643230 /nfs/dbraw/zinc/64/32/30/331643230.db2.gz PIIKMQCFKJHGOB-HOTGVXAUSA-N 0 3 307.441 4.336 20 0 DIADHN F[C@H]1CC[C@H](NCc2ccc(Br)cc2Cl)C1 ZINC000309495914 331677372 /nfs/dbraw/zinc/67/73/72/331677372.db2.gz KDCGSWMXFGYCLU-QWRGUYRKSA-N 0 3 306.606 4.083 20 0 DIADHN Cc1ccc(C[C@@H](NCc2nnc(C(C)C)s2)C2CC2)cc1 ZINC000537955528 331688124 /nfs/dbraw/zinc/68/81/24/331688124.db2.gz ABVPDDLYUOQXAN-MRXNPFEDSA-N 0 3 315.486 4.081 20 0 DIADHN C[C@@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(F)cc1N(C)C ZINC000539062118 331688155 /nfs/dbraw/zinc/68/81/55/331688155.db2.gz SNHXEFXSKCEMJK-DGCLKSJQSA-N 0 3 300.368 4.120 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000533787503 331689530 /nfs/dbraw/zinc/68/95/30/331689530.db2.gz ZLUCAXDOQBAGPL-ZNZDAUKMSA-N 0 3 313.466 4.108 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)NCc1nnc(C(C)C)s1 ZINC000537957112 331696960 /nfs/dbraw/zinc/69/69/60/331696960.db2.gz RIKYSSUPJVSEMJ-OAHLLOKOSA-N 0 3 303.475 4.081 20 0 DIADHN COc1ccc([C@H](C)NC/C=C\c2ccccc2)cc1NC(C)=O ZINC000255083539 331700837 /nfs/dbraw/zinc/70/08/37/331700837.db2.gz DTEGAKRWZCXJQS-XMELGKRDSA-N 0 3 324.424 4.018 20 0 DIADHN CN(CCCCNCc1ccccc1Cl)c1ccccc1 ZINC000517533901 331701225 /nfs/dbraw/zinc/70/12/25/331701225.db2.gz FJTDCTGGDODXMV-UHFFFAOYSA-N 0 3 302.849 4.346 20 0 DIADHN Fc1cccc(CCCN[C@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000556868133 331744413 /nfs/dbraw/zinc/74/44/13/331744413.db2.gz HNFPCFQOZPDTTF-SFHVURJKSA-N 0 3 315.436 4.393 20 0 DIADHN C[C@@H](NCC1(c2cccc(F)c2)CCCCC1)c1ncc[nH]1 ZINC000556873313 331746153 /nfs/dbraw/zinc/74/61/53/331746153.db2.gz OUMQMHHPIAWQHJ-CQSZACIVSA-N 0 3 301.409 4.102 20 0 DIADHN CC(C)O[C@@H]1C[C@H](N[C@H](c2ncc[nH]2)C2CCCCC2)C1(C)C ZINC000556891218 331749245 /nfs/dbraw/zinc/74/92/45/331749245.db2.gz CHUZTDPHLBIONO-BBWFWOEESA-N 0 3 319.493 4.213 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](c2ncc[nH]2)C2CCCCC2)C1(C)C ZINC000556891219 331749288 /nfs/dbraw/zinc/74/92/88/331749288.db2.gz CHUZTDPHLBIONO-BRWVUGGUSA-N 0 3 319.493 4.213 20 0 DIADHN Cc1ccncc1[C@H](C)NCCn1ccc2cc(Cl)ccc21 ZINC000556912663 331752859 /nfs/dbraw/zinc/75/28/59/331752859.db2.gz UXNLMCHLMYXOKI-AWEZNQCLSA-N 0 3 313.832 4.349 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1cnn(C2CCCC2)c1 ZINC000556922526 331754052 /nfs/dbraw/zinc/75/40/52/331754052.db2.gz VELDCGCRNURINN-KBPBESRZSA-N 0 3 323.444 4.286 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2n[nH]cc2c1)c1cnn(C2CCCC2)c1 ZINC000556922529 331754351 /nfs/dbraw/zinc/75/43/51/331754351.db2.gz VELDCGCRNURINN-ZIAGYGMSSA-N 0 3 323.444 4.286 20 0 DIADHN CCCN(CCC)[C@@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000557226774 331777583 /nfs/dbraw/zinc/77/75/83/331777583.db2.gz QHJZQMBCESJXIT-NSHDSACASA-N 0 3 317.260 4.442 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@H](OC)c2ccc(OC)cc2)o1 ZINC000557281779 331780670 /nfs/dbraw/zinc/78/06/70/331780670.db2.gz LDJCKMYUXJBTPO-NJSLBKSFSA-N 0 3 317.429 4.277 20 0 DIADHN COCCc1nc(C)c([C@H](C)NC/C=C\c2ccccc2)s1 ZINC000255648437 331783915 /nfs/dbraw/zinc/78/39/15/331783915.db2.gz URMFCRBOMQHEAT-YHAOYDPOSA-N 0 3 316.470 4.004 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@H](c1ccccc1C)C1CC1 ZINC000557383663 331786650 /nfs/dbraw/zinc/78/66/50/331786650.db2.gz KOYYQKCDDMXQRT-IBGZPJMESA-N 0 3 310.441 4.256 20 0 DIADHN Fc1cccc(C[C@H](N[C@@H]2CCCOC2)c2cccc(F)c2)c1 ZINC000557506254 331795858 /nfs/dbraw/zinc/79/58/58/331795858.db2.gz QDRRGTFZJXQKEJ-MOPGFXCFSA-N 0 3 317.379 4.017 20 0 DIADHN C[C@H](NC[C@@H]1COc2ccccc21)c1ccc(F)c(Cl)c1 ZINC000558167533 331881924 /nfs/dbraw/zinc/88/19/24/331881924.db2.gz UUCBFDZAEFVCRL-WCQYABFASA-N 0 3 305.780 4.306 20 0 DIADHN O=C(Nc1ccccc1)[C@H](N[C@@H]1CC[C@H]1C1CC1)c1ccccc1 ZINC000558226744 331885120 /nfs/dbraw/zinc/88/51/20/331885120.db2.gz GMFBBRVUTBHNGV-XUVXKRRUSA-N 0 3 320.436 4.145 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@@H](C)c2cncs2)cc1 ZINC000558256242 331889602 /nfs/dbraw/zinc/88/96/02/331889602.db2.gz JAAXUIPYBPJVPX-KBPBESRZSA-N 0 3 304.459 4.385 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc(Cl)c2)cc1OC ZINC000040444116 331902231 /nfs/dbraw/zinc/90/22/31/331902231.db2.gz KAJPNMSGUFPJPY-LBPRGKRZSA-N 0 3 305.805 4.208 20 0 DIADHN Cc1cc(CN2CCC(C)(c3cccc(Cl)c3)CC2)on1 ZINC000558481362 331911602 /nfs/dbraw/zinc/91/16/02/331911602.db2.gz ORVYDVURBKFLAX-UHFFFAOYSA-N 0 3 304.821 4.190 20 0 DIADHN CC[C@H](N[C@H](CCOC)COC)c1ccc(Cl)c(Cl)c1 ZINC000313209902 331912752 /nfs/dbraw/zinc/91/27/52/331912752.db2.gz PDEHPJHWQQGIDO-DOMZBBRYSA-N 0 3 320.260 4.086 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@H](N[C@H]1CCCC(F)(F)C1)CC2 ZINC000449186833 331940266 /nfs/dbraw/zinc/94/02/66/331940266.db2.gz LLPNWRXWFMPYJT-GXSJLCMTSA-N 0 3 305.290 4.259 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](N[C@H]1CCCC(F)(F)C1)CC2 ZINC000449186836 331940352 /nfs/dbraw/zinc/94/03/52/331940352.db2.gz LLPNWRXWFMPYJT-ONGXEEELSA-N 0 3 305.290 4.259 20 0 DIADHN C[C@@H]1C[C@@H](CCNCc2ncc(C(C)(C)C)s2)C[C@H](C)O1 ZINC000558789355 331943276 /nfs/dbraw/zinc/94/32/76/331943276.db2.gz GWKGDTOCIAVXJP-BTTYYORXSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)CC(C)(C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000530993611 331945254 /nfs/dbraw/zinc/94/52/54/331945254.db2.gz SZPIUOPILWSFCR-UHFFFAOYSA-N 0 3 301.434 4.122 20 0 DIADHN CO[C@H](CN1CCC(Nc2cccc(C)c2)CC1)c1ccccc1 ZINC000558855893 331952460 /nfs/dbraw/zinc/95/24/60/331952460.db2.gz ZYDXLXYURPWXRX-OAQYLSRUSA-N 0 3 324.468 4.259 20 0 DIADHN CCc1ccc([C@H](COC)N[C@H](C)[C@@H]2C[C@H]2c2ccccc2)o1 ZINC000580098925 332939950 /nfs/dbraw/zinc/93/99/50/332939950.db2.gz IMCHKQLHXRMZBH-FCLVOEFKSA-N 0 3 313.441 4.311 20 0 DIADHN Cc1ccc(OC2CCN(CCc3ncc(C)cc3C)CC2)cc1 ZINC000566156038 332000802 /nfs/dbraw/zinc/00/08/02/332000802.db2.gz PJSRSQANFYNCMI-UHFFFAOYSA-N 0 3 324.468 4.093 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@H](C)c1ccc2n[nH]cc2c1 ZINC000559245615 332001588 /nfs/dbraw/zinc/00/15/88/332001588.db2.gz MBKFQSIVGSJQQR-CQSZACIVSA-N 0 3 322.456 4.495 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(F)c(C)c1)c1ccsc1 ZINC000518264367 332002525 /nfs/dbraw/zinc/00/25/25/332002525.db2.gz BDZWVBZTHVZNSC-SFHVURJKSA-N 0 3 320.477 4.368 20 0 DIADHN Fc1ccc(-c2cnc(CN(CC3CC3)CC3CCC3)o2)cc1 ZINC000520924380 332002616 /nfs/dbraw/zinc/00/26/16/332002616.db2.gz PHEBBFSRELRKHJ-UHFFFAOYSA-N 0 3 314.404 4.493 20 0 DIADHN CCCNC(=O)c1cccc(CNC/C(C)=C\c2ccccc2)c1 ZINC000518268037 332003227 /nfs/dbraw/zinc/00/32/27/332003227.db2.gz UIJPYMSJGBABQP-LGMDPLHJSA-N 0 3 322.452 4.020 20 0 DIADHN C[C@H](CC(C)(C)c1ccccc1)NCc1nc2ccccc2n1C ZINC000518276721 332005001 /nfs/dbraw/zinc/00/50/01/332005001.db2.gz LMAVDTCWAVOXEY-MRXNPFEDSA-N 0 3 321.468 4.419 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CNC[C@@H]1CC=CCC1 ZINC000518276832 332005131 /nfs/dbraw/zinc/00/51/31/332005131.db2.gz USJDKWNZAPALBD-LLVKDONJSA-N 0 3 300.229 4.448 20 0 DIADHN COCCC1(CN[C@H](c2ccccc2)c2ccc(F)cc2)CC1 ZINC000090537223 332005412 /nfs/dbraw/zinc/00/54/12/332005412.db2.gz HEFSNBZCIYNZQD-LJQANCHMSA-N 0 3 313.416 4.321 20 0 DIADHN Clc1ccc(-c2ccc(CNC[C@@H]3CCCCO3)o2)cc1 ZINC000518278592 332005905 /nfs/dbraw/zinc/00/59/05/332005905.db2.gz XSZYAEYXUUMEOV-HNNXBMFYSA-N 0 3 305.805 4.259 20 0 DIADHN COCC(C)(C)NCc1cccc(OCC2CCCCC2)c1 ZINC000518278964 332006174 /nfs/dbraw/zinc/00/61/74/332006174.db2.gz NUTVAYZSUCUSAL-UHFFFAOYSA-N 0 3 305.462 4.160 20 0 DIADHN C[C@H](NCc1ccc(C2CCC2)cc1)C(=O)Nc1ccccc1 ZINC000559267051 332009411 /nfs/dbraw/zinc/00/94/11/332009411.db2.gz LNIQFLXNUFQNPY-HNNXBMFYSA-N 0 3 308.425 4.071 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)c2ccc(OC)c(OC)c2)cc1 ZINC000128480296 332012071 /nfs/dbraw/zinc/01/20/71/332012071.db2.gz WEHUOSAMYUIRKE-ZIAGYGMSSA-N 0 3 315.413 4.124 20 0 DIADHN CCOCc1ccccc1CNCc1cccc(OC(C)C)c1 ZINC000129328927 332018539 /nfs/dbraw/zinc/01/85/39/332018539.db2.gz HUKBPFUXRPCCKK-UHFFFAOYSA-N 0 3 313.441 4.300 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000559304478 332021771 /nfs/dbraw/zinc/02/17/71/332021771.db2.gz YXBXAKGDHVCGEI-YESZJQIVSA-N 0 3 314.473 4.293 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(-c2ccccc2)cc1)c1cnn(C)c1 ZINC000130792352 332026174 /nfs/dbraw/zinc/02/61/74/332026174.db2.gz ZDXVFJWWBLJWMX-JKSUJKDBSA-N 0 3 305.425 4.499 20 0 DIADHN CC(C)Cn1cc(CNCCc2cc3ccccc3s2)cn1 ZINC000559330494 332026920 /nfs/dbraw/zinc/02/69/20/332026920.db2.gz FLWIJGVZPONLBH-UHFFFAOYSA-N 0 3 313.470 4.086 20 0 DIADHN Fc1ccc2nc(CNC[C@@H]3C[C@H]3c3ccccc3)sc2c1 ZINC000559333340 332028291 /nfs/dbraw/zinc/02/82/91/332028291.db2.gz KXJJPWIEMNSUCL-ZFWWWQNUSA-N 0 3 312.413 4.329 20 0 DIADHN c1ccc(-c2ncc(CNC[C@@H]3C[C@H]3c3ccccc3)cn2)cc1 ZINC000559334873 332028738 /nfs/dbraw/zinc/02/87/38/332028738.db2.gz PRFFRVVOPWIWNT-PMACEKPBSA-N 0 3 315.420 4.037 20 0 DIADHN Cc1ccc(CNCCc2nc3ccccc3s2)cc1F ZINC000409446657 332032236 /nfs/dbraw/zinc/03/22/36/332032236.db2.gz ALSQJYJNOLCMOB-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN CCC[C@H](NC(=O)c1ccc(CN(C)C)cc1)C1CCCCC1 ZINC000409496401 332034677 /nfs/dbraw/zinc/03/46/77/332034677.db2.gz XCNPXTFKYXATMX-IBGZPJMESA-N 0 3 316.489 4.227 20 0 DIADHN CCn1ccnc1CN[C@@](C)(Cc1ccc(Cl)cc1)C(C)C ZINC000559347559 332036758 /nfs/dbraw/zinc/03/67/58/332036758.db2.gz QQMYKUXKRRNTCI-SFHVURJKSA-N 0 3 319.880 4.303 20 0 DIADHN CC[C@H](CCO)N[C@@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000131827436 332038938 /nfs/dbraw/zinc/03/89/38/332038938.db2.gz XDFKJNZCHSWVMO-DTWKUNHWSA-N 0 3 310.652 4.458 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)[C@@H]2OCCc3sccc32)o1 ZINC000426307411 332041388 /nfs/dbraw/zinc/04/13/88/332041388.db2.gz QSUTTXZAHKKXGA-JKDFXYPNSA-N 0 3 305.443 4.257 20 0 DIADHN Fc1cccc(F)c1CCNCc1cscc1C(F)(F)F ZINC000518445182 332044104 /nfs/dbraw/zinc/04/41/04/332044104.db2.gz BQFYJTWMVGTJJF-UHFFFAOYSA-N 0 3 321.314 4.377 20 0 DIADHN Fc1ccccc1-c1ncc(CNCCC2(F)CCC2)s1 ZINC000449333782 332045861 /nfs/dbraw/zinc/04/58/61/332045861.db2.gz VYATZBJASVXJHN-UHFFFAOYSA-N 0 3 308.397 4.321 20 0 DIADHN CN(CCC(=O)OC(C)(C)C)Cc1ccc(Cl)c(Cl)c1 ZINC000518468614 332058279 /nfs/dbraw/zinc/05/82/79/332058279.db2.gz QFMNJVRMJMDFMB-UHFFFAOYSA-N 0 3 318.244 4.157 20 0 DIADHN COc1cccc2c1CCC[C@H]2NC1(c2ccc(F)cc2)CC1 ZINC000518473147 332060053 /nfs/dbraw/zinc/06/00/53/332060053.db2.gz SWLUIBZFVVHSMU-GOSISDBHSA-N 0 3 311.400 4.491 20 0 DIADHN COc1cccc([C@@H]2C[C@@H](C)CN2C/C=C\c2ccncc2)c1 ZINC000426144662 332064570 /nfs/dbraw/zinc/06/45/70/332064570.db2.gz GBUFXPVUGNXDBN-WZVDUCDXSA-N 0 3 308.425 4.187 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)Nc1ccccc1CN(C)C ZINC000569366347 332064835 /nfs/dbraw/zinc/06/48/35/332064835.db2.gz WORISYWUULWKHJ-MRXNPFEDSA-N 0 3 302.462 4.459 20 0 DIADHN C[C@@H](NCCc1ccc(Cl)s1)c1nc2ccccc2n1C ZINC000091309604 332066554 /nfs/dbraw/zinc/06/65/54/332066554.db2.gz AKIWISHXUPZPNZ-LLVKDONJSA-N 0 3 319.861 4.182 20 0 DIADHN Cc1cccc(CNCc2ccc(Br)c(C)c2)c1 ZINC000091467432 332069705 /nfs/dbraw/zinc/06/97/05/332069705.db2.gz RUTIMTOGMSSSGK-UHFFFAOYSA-N 0 3 304.231 4.356 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cccc(O)c2)ccc1Br ZINC000091469508 332070061 /nfs/dbraw/zinc/07/00/61/332070061.db2.gz SITYEHHGLRPOSO-LBPRGKRZSA-N 0 3 320.230 4.314 20 0 DIADHN C/C(=C/c1cccc(C(F)(F)F)c1)CNC1CC(F)(F)C1 ZINC000569383140 332071347 /nfs/dbraw/zinc/07/13/47/332071347.db2.gz QDECURITGRWYBP-YHYXMXQVSA-N 0 3 305.290 4.496 20 0 DIADHN Cc1cncc([C@@H](C)NC[C@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000569396717 332075529 /nfs/dbraw/zinc/07/55/29/332075529.db2.gz KHYKJMVHPMRDRY-PBHICJAKSA-N 0 3 322.374 4.462 20 0 DIADHN Cc1cncc([C@H](C)NC[C@@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000569396719 332075579 /nfs/dbraw/zinc/07/55/79/332075579.db2.gz KHYKJMVHPMRDRY-WMLDXEAASA-N 0 3 322.374 4.462 20 0 DIADHN CC1(C)Cc2cccc(CN3CCC(c4ccncc4)CC3)c2O1 ZINC000569410713 332078033 /nfs/dbraw/zinc/07/80/33/332078033.db2.gz HMBZBCDQVCVDMZ-UHFFFAOYSA-N 0 3 322.452 4.175 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC1CC(c3cc(F)cc(F)c3)C1)CO2 ZINC000569410430 332078202 /nfs/dbraw/zinc/07/82/02/332078202.db2.gz XUDRFTZMDXUODD-FQRFQQLUSA-N 0 3 319.326 4.073 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1cccc(N2CCOC2=O)c1 ZINC000090468845 332088839 /nfs/dbraw/zinc/08/88/39/332088839.db2.gz USWMYAJFUIPQNW-MRXNPFEDSA-N 0 3 324.424 4.111 20 0 DIADHN CC(C)Cc1ncc(CNC[C@H]2CCCCC2(F)F)s1 ZINC000449375525 332090964 /nfs/dbraw/zinc/09/09/64/332090964.db2.gz ISAKXPFKEAMQGA-GFCCVEGCSA-N 0 3 302.434 4.257 20 0 DIADHN Oc1ccc(C2CCN(Cc3cnc4ccccc4c3)CC2)cc1 ZINC000410297150 332109412 /nfs/dbraw/zinc/10/94/12/332109412.db2.gz JNBBJHKGJVCBQX-UHFFFAOYSA-N 0 3 318.420 4.320 20 0 DIADHN Cc1cccc2oc(CCN[C@H]3CCc4c3cccc4F)nc21 ZINC000410318046 332111695 /nfs/dbraw/zinc/11/16/95/332111695.db2.gz NLNYTPPNZDGGQV-INIZCTEOSA-N 0 3 310.372 4.095 20 0 DIADHN CC(C)n1nccc1CN1CCC[C@@H]1Cc1ccccc1Cl ZINC000410331480 332112189 /nfs/dbraw/zinc/11/21/89/332112189.db2.gz ZNJSDWIZQZNGLV-MRXNPFEDSA-N 0 3 317.864 4.325 20 0 DIADHN CSc1ccc(CNCCc2nc3c(cccc3C)o2)cc1 ZINC000410333829 332113755 /nfs/dbraw/zinc/11/37/55/332113755.db2.gz RNZIRBZKBBGEHY-UHFFFAOYSA-N 0 3 312.438 4.190 20 0 DIADHN Cc1cccc2oc(CCN[C@@H](C)c3cccc(F)c3F)nc21 ZINC000410357757 332116909 /nfs/dbraw/zinc/11/69/09/332116909.db2.gz FYWDADALNIVKDJ-LBPRGKRZSA-N 0 3 316.351 4.308 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCCc1nc2c(cccc2C)o1 ZINC000410359028 332117157 /nfs/dbraw/zinc/11/71/57/332117157.db2.gz LFMIVONRYZLRHQ-HNNXBMFYSA-N 0 3 324.424 4.347 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCCc1nc2c(cccc2C)o1 ZINC000410359029 332117208 /nfs/dbraw/zinc/11/72/08/332117208.db2.gz LFMIVONRYZLRHQ-OAHLLOKOSA-N 0 3 324.424 4.347 20 0 DIADHN Cc1ccsc1CNCc1ccc(Br)c(C)c1 ZINC000092001075 332117249 /nfs/dbraw/zinc/11/72/49/332117249.db2.gz NGUFXJHWMSTWGG-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CCOc1ccccc1[C@H](C)NCCc1nc2c(cccc2C)o1 ZINC000410358099 332117266 /nfs/dbraw/zinc/11/72/66/332117266.db2.gz HJNHCMZDQPSEBN-HNNXBMFYSA-N 0 3 324.424 4.428 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1cccc(C(F)(F)F)c1 ZINC000316678369 332118102 /nfs/dbraw/zinc/11/81/02/332118102.db2.gz FBLANZKQMRITPD-MRXNPFEDSA-N 0 3 306.331 4.065 20 0 DIADHN c1ccc2ncc(CN(C[C@H]3CCCO3)C3CCCC3)cc2c1 ZINC000410386041 332120099 /nfs/dbraw/zinc/12/00/99/332120099.db2.gz YIMBZBMLAZPEBU-LJQANCHMSA-N 0 3 310.441 4.158 20 0 DIADHN CC(C)n1nccc1CN(C)[C@H](C)Cc1ccc(Cl)cc1 ZINC000410382457 332121442 /nfs/dbraw/zinc/12/14/42/332121442.db2.gz ZXGAWOCRZSTJNW-CQSZACIVSA-N 0 3 305.853 4.180 20 0 DIADHN Cc1ccc(SCCNCC2=Cc3ccccc3OC2)cc1 ZINC000520950426 332124508 /nfs/dbraw/zinc/12/45/08/332124508.db2.gz UCKFPPYGIZVCQB-UHFFFAOYSA-N 0 3 311.450 4.153 20 0 DIADHN Fc1cc(F)cc(C2CC(NCc3noc4ccccc43)C2)c1 ZINC000572617042 332124535 /nfs/dbraw/zinc/12/45/35/332124535.db2.gz OQYIAVCYMQTHDH-UHFFFAOYSA-N 0 3 314.335 4.142 20 0 DIADHN CCCN(CCN1CCCCCC1)Cc1scnc1C1CC1 ZINC000569531418 332126408 /nfs/dbraw/zinc/12/64/08/332126408.db2.gz FNBILPNYAGPMDL-UHFFFAOYSA-N 0 3 321.534 4.109 20 0 DIADHN CCO[C@@H](CCN[C@H](COC)c1cccc(Cl)c1)C(C)C ZINC000569534097 332127971 /nfs/dbraw/zinc/12/79/71/332127971.db2.gz VFLCALUHMMVCBB-SJORKVTESA-N 0 3 313.869 4.068 20 0 DIADHN Cc1nc(CN[C@H]2CCCC23CCC3)sc1Br ZINC000449399598 332128080 /nfs/dbraw/zinc/12/80/80/332128080.db2.gz BYLXCAATAKKMHL-JTQLQIEISA-N 0 3 315.280 4.026 20 0 DIADHN CC[C@H](O)CCN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000132763922 332133077 /nfs/dbraw/zinc/13/30/77/332133077.db2.gz NITUXQXQOOSCJQ-BDAKNGLRSA-N 0 3 310.652 4.458 20 0 DIADHN c1cnn(-c2ccccc2CN[C@H](c2ccccc2)C2CC2)c1 ZINC000132887132 332134127 /nfs/dbraw/zinc/13/41/27/332134127.db2.gz NUAYEUSUQYUAMQ-HXUWFJFHSA-N 0 3 303.409 4.113 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@H](C)c1ncc(C)s1 ZINC000186777176 332945569 /nfs/dbraw/zinc/94/55/69/332945569.db2.gz AWEUZXYHIYJYGC-JSGCOSHPSA-N 0 3 304.459 4.223 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000426777610 332135644 /nfs/dbraw/zinc/13/56/44/332135644.db2.gz OVFHTTYDWGRVSA-OKILXGFUSA-N 0 3 302.443 4.334 20 0 DIADHN C[C@H](NCCc1ccccc1F)c1nc2c(s1)CCCC2 ZINC000093369640 332138028 /nfs/dbraw/zinc/13/80/28/332138028.db2.gz JDBADNOEFMAMNN-LBPRGKRZSA-N 0 3 304.434 4.054 20 0 DIADHN Cc1cccc2c1OC[C@H](N[C@@H](C)c1nccc3ccccc31)C2 ZINC000569563923 332139321 /nfs/dbraw/zinc/13/93/21/332139321.db2.gz ZFSIPLWRPUIVGB-MAUKXSAKSA-N 0 3 318.420 4.198 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)C[C@H]1CCOC1 ZINC000093840165 332143013 /nfs/dbraw/zinc/14/30/13/332143013.db2.gz STUQUAOMLICQLZ-CYBMUJFWSA-N 0 3 305.805 4.068 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000426789499 332153498 /nfs/dbraw/zinc/15/34/98/332153498.db2.gz VJHNEMCKVYKQPP-OKILXGFUSA-N 0 3 302.443 4.334 20 0 DIADHN Cc1nc([C@H]2CCCN2C[C@@H]2CCc3ccccc3C2)cs1 ZINC000426802469 332155409 /nfs/dbraw/zinc/15/54/09/332155409.db2.gz YPPIUNOAOCLLBW-DNVCBOLYSA-N 0 3 312.482 4.394 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@H]4CCCC[C@@H]4C3)o2)cc1 ZINC000426813804 332157196 /nfs/dbraw/zinc/15/71/96/332157196.db2.gz ZTWMGWBCLGCSQI-USXIJHARSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@@H]4CCCC[C@H]4C3)o2)cc1 ZINC000426813805 332157198 /nfs/dbraw/zinc/15/71/98/332157198.db2.gz ZTWMGWBCLGCSQI-XIRDDKMYSA-N 0 3 311.429 4.228 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H](CC(F)(F)F)C(C)C)c1 ZINC000426981822 332163906 /nfs/dbraw/zinc/16/39/06/332163906.db2.gz GXNQJUIWQLSRBI-ABAIWWIYSA-N 0 3 316.367 4.273 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@H](CC(F)(F)F)C(C)C)c1 ZINC000426981825 332163958 /nfs/dbraw/zinc/16/39/58/332163958.db2.gz GXNQJUIWQLSRBI-XHDPSFHLSA-N 0 3 316.367 4.273 20 0 DIADHN CCc1nc(C)c(CN(C)CCc2cccc3ccccc32)o1 ZINC000428423017 332169335 /nfs/dbraw/zinc/16/93/35/332169335.db2.gz NRTIEOKWINYDRY-UHFFFAOYSA-N 0 3 308.425 4.373 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2oc(C(C)C)nc2C)C1(CC)CC ZINC000428422351 332169806 /nfs/dbraw/zinc/16/98/06/332169806.db2.gz LENYMBQGQVAWBE-HZPDHXFCSA-N 0 3 308.466 4.180 20 0 DIADHN CCc1nc(C)c(CN(C[C@@H]2CCCO2)C2CCC(C)CC2)o1 ZINC000428251958 332170308 /nfs/dbraw/zinc/17/03/08/332170308.db2.gz CMQGHAOFJSHBKE-PREGVCBESA-N 0 3 320.477 4.105 20 0 DIADHN CCN(Cc1cc(-c2c(OC)ccc3ccccc32)no1)C1CC1 ZINC000180966395 332170335 /nfs/dbraw/zinc/17/03/35/332170335.db2.gz OEZLLNWWAUCVPR-UHFFFAOYSA-N 0 3 322.408 4.488 20 0 DIADHN Fc1ccc([C@H](NCC[C@H]2CCCO2)c2cccs2)cc1 ZINC000526047448 332172442 /nfs/dbraw/zinc/17/24/42/332172442.db2.gz MYVIJCWIVNQLAW-WBVHZDCISA-N 0 3 305.418 4.135 20 0 DIADHN COc1ccc(CCCN(C)[C@H](C)c2nc3ccccc3o2)cc1 ZINC000181008016 332173003 /nfs/dbraw/zinc/17/30/03/332173003.db2.gz VSDJUFMJZVUDFB-OAHLLOKOSA-N 0 3 324.424 4.462 20 0 DIADHN CC(C(=O)Nc1cc(Cl)c(F)c(Cl)c1)C(F)(F)F ZINC000427164007 332174375 /nfs/dbraw/zinc/17/43/75/332174375.db2.gz UOGAXICTJWMOJJ-BYPYZUCNSA-N 0 3 304.070 4.269 20 0 DIADHN CC[C@H](C)[C@H](C)C(=O)Nc1cc(CN2CCCC2)ccc1C ZINC000181111966 332176068 /nfs/dbraw/zinc/17/60/68/332176068.db2.gz GTZIPKSOFQQOQF-HOCLYGCPSA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)Cc1ncc(CN2CC[C@@H](Nc3ccccc3)C2)s1 ZINC000092758481 332187776 /nfs/dbraw/zinc/18/77/76/332187776.db2.gz QRHQVMUEIAVQEC-MRXNPFEDSA-N 0 3 315.486 4.028 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(F)c(Cl)c2)C1)c1ccco1 ZINC000181247903 332188230 /nfs/dbraw/zinc/18/82/30/332188230.db2.gz ABDVHOJAAMOSLB-NEPJUHHUSA-N 0 3 308.784 4.002 20 0 DIADHN CC(C)C[C@]1(C)CCCN1Cc1cnc([C@H]2CCCO2)s1 ZINC000449436338 332189258 /nfs/dbraw/zinc/18/92/58/332189258.db2.gz XJAHPCDQXVYVKI-WBVHZDCISA-N 0 3 308.491 4.395 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCCC2(CCOCC2)C1 ZINC000462493928 332947881 /nfs/dbraw/zinc/94/78/81/332947881.db2.gz YPUOISHPGFMCFP-UHFFFAOYSA-N 0 3 310.441 4.249 20 0 DIADHN C[C@H](NC[C@H]1CCSC1)c1cc(Cl)ccc1OC(F)F ZINC000448025907 332193432 /nfs/dbraw/zinc/19/34/32/332193432.db2.gz GHYVEOPJTTYYCY-VHSXEESVSA-N 0 3 321.820 4.345 20 0 DIADHN Cc1cccc(C)c1OCCCN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000428737171 332196034 /nfs/dbraw/zinc/19/60/34/332196034.db2.gz WXHGUGMEXKVILZ-WBVHZDCISA-N 0 3 309.400 4.049 20 0 DIADHN Cc1n[nH]cc1CN1CCC(c2ccc(C(F)(F)F)cc2)CC1 ZINC000181505171 332197343 /nfs/dbraw/zinc/19/73/43/332197343.db2.gz IVDUOBNQFBJBNI-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@H](c2nccn2C)C2CC2)c2ccccc21 ZINC000448030723 332208269 /nfs/dbraw/zinc/20/82/69/332208269.db2.gz SMBBCBAWJFSWDU-VDGAXYAQSA-N 0 3 323.484 4.388 20 0 DIADHN c1csc([C@@H](NCc2c[nH]c(-c3ccccc3)n2)C2CC2)c1 ZINC000531012124 332209888 /nfs/dbraw/zinc/20/98/88/332209888.db2.gz ZXGPTMLPUDEECC-KRWDZBQOSA-N 0 3 309.438 4.379 20 0 DIADHN c1csc([C@@H](NCc2cnc(-c3ccccc3)[nH]2)C2CC2)c1 ZINC000531012124 332209890 /nfs/dbraw/zinc/20/98/90/332209890.db2.gz ZXGPTMLPUDEECC-KRWDZBQOSA-N 0 3 309.438 4.379 20 0 DIADHN CC(C)(C)[C@@H]1C[C@H](N[C@@H]2CCc3c2c(F)ccc3F)CCO1 ZINC000537958694 332211553 /nfs/dbraw/zinc/21/15/53/332211553.db2.gz DYGFPVBPMDYWHZ-LYRGGWFBSA-N 0 3 309.400 4.135 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)COC3)cc1Cl ZINC000537959095 332215806 /nfs/dbraw/zinc/21/58/06/332215806.db2.gz UYYSYLPTDZKZIG-LBPRGKRZSA-N 0 3 317.816 4.230 20 0 DIADHN COCC[C@H](c1ccccc1)N(C)Cc1ccc(OC)c(C)c1 ZINC000533832118 332216271 /nfs/dbraw/zinc/21/62/71/332216271.db2.gz XSAXUHCGGYEKJU-LJQANCHMSA-N 0 3 313.441 4.213 20 0 DIADHN COc1cc(C)nc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC000533839127 332218321 /nfs/dbraw/zinc/21/83/21/332218321.db2.gz JDTXBAXLAZPTCZ-OAHLLOKOSA-N 0 3 316.832 4.042 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(SC)cc1)c1ccco1 ZINC000062423734 332226163 /nfs/dbraw/zinc/22/61/63/332226163.db2.gz QSGOQVPOIFKBBY-QGZVFWFLSA-N 0 3 318.486 4.174 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2cc(F)ccc2F)cc1 ZINC000531044464 332226415 /nfs/dbraw/zinc/22/64/15/332226415.db2.gz TZFYDJSWAMGZDD-RTBURBONSA-N 0 3 317.379 4.283 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc4ccccc4n3)CC2)cn1 ZINC000363706196 332226465 /nfs/dbraw/zinc/22/64/65/332226465.db2.gz ZOOUEXHUWDEGIQ-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCC(Nc2ccccn2)CC1 ZINC000536370288 332234319 /nfs/dbraw/zinc/23/43/19/332234319.db2.gz NFDRLPXHKBOMHO-GOSISDBHSA-N 0 3 313.420 4.248 20 0 DIADHN CCCOc1ccc([C@@H](C)NCc2ccc3c(c2)COC3)cc1 ZINC000537960288 332240174 /nfs/dbraw/zinc/24/01/74/332240174.db2.gz PDLKLYIRQBYLKW-OAHLLOKOSA-N 0 3 311.425 4.356 20 0 DIADHN C[C@@H](CCC1CCCCC1)NC(=O)c1cccc(CN(C)C)c1 ZINC000531063017 332241859 /nfs/dbraw/zinc/24/18/59/332241859.db2.gz VTSHUSLDSXIRAJ-INIZCTEOSA-N 0 3 316.489 4.227 20 0 DIADHN Cc1cccc(C)c1OCCCN(Cc1cccnc1)C1CC1 ZINC000536454115 332248920 /nfs/dbraw/zinc/24/89/20/332248920.db2.gz QADPMXBGSJLKDI-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCOc2ccccc2Cl)s1 ZINC000358255442 332251572 /nfs/dbraw/zinc/25/15/72/332251572.db2.gz QYWUSAOPOOIHML-SNVBAGLBSA-N 0 3 310.850 4.143 20 0 DIADHN Brc1csc(CNC/C=C\c2ccccc2)c1 ZINC000211958994 332253822 /nfs/dbraw/zinc/25/38/22/332253822.db2.gz AXGIRPZNVOXLDH-DAXSKMNVSA-N 0 3 308.244 4.314 20 0 DIADHN CC(C)[C@@]1(CO)CCCN(C/C=C\c2ccc(Cl)cc2)C1 ZINC000559425676 332257522 /nfs/dbraw/zinc/25/75/22/332257522.db2.gz OWPOJVCDOQFUBF-AJNOYIKESA-N 0 3 307.865 4.084 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@@H](CC3CC3)C2)n1 ZINC000559418113 332257702 /nfs/dbraw/zinc/25/77/02/332257702.db2.gz MHABDQXNOXIEHY-ZBFHGGJFSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccc(-c2nnc(CN3CC(C)(C)[C@@H]4CCC[C@H]43)o2)cc1 ZINC000559426988 332258052 /nfs/dbraw/zinc/25/80/52/332258052.db2.gz MEPXVXZTUDPDSS-HZPDHXFCSA-N 0 3 311.429 4.056 20 0 DIADHN CC(C)(CO)[C@H](NCc1nc(C2CCCCC2)cs1)C1CC1 ZINC000559443721 332259991 /nfs/dbraw/zinc/25/99/91/332259991.db2.gz OWZDDSPHMBRTPI-QGZVFWFLSA-N 0 3 322.518 4.078 20 0 DIADHN C[C@@H](N[C@@H](C)COCC(F)(F)F)c1cc2ccccc2o1 ZINC000537973008 332261264 /nfs/dbraw/zinc/26/12/64/332261264.db2.gz NLRSCNLWCCYPEX-WDEREUQCSA-N 0 3 301.308 4.051 20 0 DIADHN CCC[C@H](N[C@H](CO)CC(F)(F)F)c1ccc(Cl)cc1 ZINC000358349504 332268178 /nfs/dbraw/zinc/26/81/78/332268178.db2.gz HSGVQZMAPWZTAU-STQMWFEESA-N 0 3 309.759 4.084 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(F)c2ccccc21 ZINC000559741390 332278428 /nfs/dbraw/zinc/27/84/28/332278428.db2.gz LMBXROCEMSSNAH-SKOKVVANSA-N 0 3 321.395 4.288 20 0 DIADHN CCCC[C@H](CCC)C[NH2+][C@H](c1nnc[n-]1)c1ccc(F)cc1 ZINC000559774796 332279930 /nfs/dbraw/zinc/27/99/30/332279930.db2.gz YTCOVPCLJKFSHX-YOEHRIQHSA-N 0 3 318.440 4.229 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@@H](CCCC(=O)OC)C1)c1nccs1 ZINC000559767582 332280174 /nfs/dbraw/zinc/28/01/74/332280174.db2.gz WMJWBTOHTAIKCA-ZNMIVQPWSA-N 0 3 324.490 4.086 20 0 DIADHN CC[C@H](N[C@@H]1CCC[C@H](CCCC(=O)OC)C1)c1nccs1 ZINC000559767580 332280182 /nfs/dbraw/zinc/28/01/82/332280182.db2.gz WMJWBTOHTAIKCA-KFWWJZLASA-N 0 3 324.490 4.086 20 0 DIADHN C[C@H](c1cccs1)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000531109635 332282688 /nfs/dbraw/zinc/28/26/88/332282688.db2.gz DQPPODJYWYVMSJ-GFCCVEGCSA-N 0 3 313.426 4.012 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@H](C)c2ccncc2F)cc1 ZINC000559853856 332285352 /nfs/dbraw/zinc/28/53/52/332285352.db2.gz DTRLXDOGMFEVDY-LSDHHAIUSA-N 0 3 316.420 4.462 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccc(Cl)cc2)C(C)C)nn1C ZINC000559858027 332286028 /nfs/dbraw/zinc/28/60/28/332286028.db2.gz RVVUVWNDJKLXMP-WMLDXEAASA-N 0 3 319.880 4.472 20 0 DIADHN CCc1noc(C)c1CN[C@@H](C)C1CCC(C(F)(F)F)CC1 ZINC000559882387 332288020 /nfs/dbraw/zinc/28/80/20/332288020.db2.gz MPAJTDHCEOVGCT-PKSQDBQZSA-N 0 3 318.383 4.392 20 0 DIADHN Cc1nccnc1CCN[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000559898764 332289486 /nfs/dbraw/zinc/28/94/86/332289486.db2.gz FVYNBKNZWKXTKW-IBGZPJMESA-N 0 3 323.440 4.451 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1c1ccccc1)c1cn2ccccc2n1 ZINC000559894469 332290705 /nfs/dbraw/zinc/29/07/05/332290705.db2.gz RPSCHEWGWHYYDQ-RYQLBKOJSA-N 0 3 305.425 4.321 20 0 DIADHN Cc1ccc(C2(CN[C@H](C)c3nccn3C)CCCC2)c(C)c1 ZINC000559913033 332292462 /nfs/dbraw/zinc/29/24/62/332292462.db2.gz BMHNQYYGKFCUKD-QGZVFWFLSA-N 0 3 311.473 4.200 20 0 DIADHN C[C@@H](CC(=O)N1CCCCCC1)N[C@@H](C)c1ccccc1Cl ZINC000559912277 332293592 /nfs/dbraw/zinc/29/35/92/332293592.db2.gz VLHMERLEJQZEAK-GJZGRUSLSA-N 0 3 322.880 4.172 20 0 DIADHN C[C@@H](NCC1(Cc2ccccc2)CC1)c1cn2ccccc2n1 ZINC000559921915 332294063 /nfs/dbraw/zinc/29/40/63/332294063.db2.gz NJDJUMTUJFGNMX-MRXNPFEDSA-N 0 3 305.425 4.008 20 0 DIADHN CN(C)Cc1ccc(-c2noc(C(C)(C)C(C)(C)C)n2)cc1 ZINC000559928438 332294236 /nfs/dbraw/zinc/29/42/36/332294236.db2.gz CVTCWYXSLAQXGR-UHFFFAOYSA-N 0 3 301.434 4.122 20 0 DIADHN CC(C)[C@H](N[C@H](C)C(=O)N(C)Cc1ccccc1)c1ccccc1 ZINC000096967051 332295250 /nfs/dbraw/zinc/29/52/50/332295250.db2.gz ZYAJURFPOGHPPP-XLIONFOSSA-N 0 3 324.468 4.020 20 0 DIADHN CN(CCSc1ccc(F)cc1)Cc1cc(C(C)(C)C)[nH]n1 ZINC000559979156 332298717 /nfs/dbraw/zinc/29/87/17/332298717.db2.gz XMRABLMKVNHCKR-UHFFFAOYSA-N 0 3 321.465 4.070 20 0 DIADHN C[C@H](CCCC(F)(F)F)N[C@H](C)c1nc2ccccc2n1C ZINC000426066237 335099636 /nfs/dbraw/zinc/09/96/36/335099636.db2.gz IBWGMVAQTJUGKV-VXGBXAGGSA-N 0 3 313.367 4.345 20 0 DIADHN CCOc1ccc(CNCc2cccc(Cl)c2)cc1OC ZINC000048241785 332303441 /nfs/dbraw/zinc/30/34/41/332303441.db2.gz CXSWGILNHNPUDZ-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN Cc1ccc2nc(CN[C@@H]3CCC[C@H]3c3ccccc3)cn2c1 ZINC000560048620 332305990 /nfs/dbraw/zinc/30/59/90/332305990.db2.gz NYJMQWRFFBXLIA-RBUKOAKNSA-N 0 3 305.425 4.069 20 0 DIADHN C[C@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1ccc(F)cc1 ZINC000560056074 332306771 /nfs/dbraw/zinc/30/67/71/332306771.db2.gz PYBCAIAUXNFYNL-SCLBCKFNSA-N 0 3 320.839 4.433 20 0 DIADHN C[C@H](NCc1cnc(-c2ccc(Cl)cc2)s1)[C@@H]1CCCO1 ZINC000560059114 332307066 /nfs/dbraw/zinc/30/70/66/332307066.db2.gz CBBOFLYOTNLPGK-NHYWBVRUSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](CC(F)(F)F)c2ccc(F)cc2)C[C@H](C)O1 ZINC000560116666 332312190 /nfs/dbraw/zinc/31/21/90/332312190.db2.gz UGWLBUZDVCVSGA-DRABBMOASA-N 0 3 319.342 4.365 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cn1)[C@@H]1CCc2ccccc2C1 ZINC000560158893 332315517 /nfs/dbraw/zinc/31/55/17/332315517.db2.gz FUMTZCNAOYGGMB-QGZVFWFLSA-N 0 3 320.358 4.090 20 0 DIADHN Cc1n[nH]cc1C1CCN(Cc2ccc3ccccc3c2)CC1 ZINC000560186067 332317626 /nfs/dbraw/zinc/31/76/26/332317626.db2.gz WMQQDQVNTLAHHK-UHFFFAOYSA-N 0 3 305.425 4.251 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@H](C)N[C@@H]2COc3ccc(C)cc32)cc1 ZINC000560243702 332322429 /nfs/dbraw/zinc/32/24/29/332322429.db2.gz FORWGZHIFKVMQJ-LMVHDODDSA-N 0 3 323.436 4.219 20 0 DIADHN Cn1ccc(CN(Cc2cccs2)C2Cc3ccccc3C2)c1 ZINC000560265695 332326216 /nfs/dbraw/zinc/32/62/16/332326216.db2.gz QODBAGGBGXFKJJ-UHFFFAOYSA-N 0 3 322.477 4.256 20 0 DIADHN Fc1ccc([C@@H](NCCC2CCOCC2)c2cccs2)cc1 ZINC000560340452 332331922 /nfs/dbraw/zinc/33/19/22/332331922.db2.gz PBVJQEAVXQZHFT-GOSISDBHSA-N 0 3 319.445 4.383 20 0 DIADHN CC(C)=CCNC1(c2cccc(Br)c2)CCOCC1 ZINC000097270313 332337508 /nfs/dbraw/zinc/33/75/08/332337508.db2.gz QSHWIRYGHKJKSE-UHFFFAOYSA-N 0 3 324.262 4.011 20 0 DIADHN Cc1ncsc1CCN1CCC(c2cccc(F)c2F)CC1 ZINC000560466320 332342003 /nfs/dbraw/zinc/34/20/03/332342003.db2.gz CNWRATXECGPVSH-UHFFFAOYSA-N 0 3 322.424 4.152 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H]2C[C@@H](C)C[C@H](c3ccccc3)C2)s1 ZINC000391792742 335102354 /nfs/dbraw/zinc/10/23/54/335102354.db2.gz YFTORAFEMATLOT-MGBSGCIJSA-N 0 3 315.486 4.470 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H](C)c1cc(C)ccn1)c1ccsc1 ZINC000560517402 332349222 /nfs/dbraw/zinc/34/92/22/332349222.db2.gz VVBUDJIAKFJLHV-YJBOKZPZSA-N 0 3 317.502 4.185 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC[C@@H]1CCCSC1 ZINC000560524492 332350543 /nfs/dbraw/zinc/35/05/43/332350543.db2.gz CSJJBWIZFCVNTL-ZDUSSCGKSA-N 0 3 320.483 4.059 20 0 DIADHN COCc1ccccc1/C=C(/C)CN1CCC[C@@H]1c1cccnc1 ZINC000560524063 332350866 /nfs/dbraw/zinc/35/08/66/332350866.db2.gz NHCFQTRLBYWFDD-WYPWOVKWSA-N 0 3 322.452 4.468 20 0 DIADHN Cc1nc(CN[C@H](C)CCc2ccc(F)cc2F)sc1C ZINC000560527393 332351730 /nfs/dbraw/zinc/35/17/30/332351730.db2.gz KSGBJTWIGOMMLD-SNVBAGLBSA-N 0 3 310.413 4.149 20 0 DIADHN CC1(C)CN(CCCCOc2ccccc2)[C@H]1c1ccncc1 ZINC000560552039 332358564 /nfs/dbraw/zinc/35/85/64/332358564.db2.gz WTYIDKFZKCEWTQ-IBGZPJMESA-N 0 3 310.441 4.324 20 0 DIADHN Cc1ccccc1[C@@H]1CN(Cc2cccc3cc[nH]c32)[C@H](C)CO1 ZINC000560555721 332359317 /nfs/dbraw/zinc/35/93/17/332359317.db2.gz BWFHFHNWWKPMIU-UZLBHIALSA-N 0 3 320.436 4.438 20 0 DIADHN c1[nH]nc2c1cccc2CN1CC2(CCC2)[C@H]1c1ccccc1 ZINC000560569943 332361841 /nfs/dbraw/zinc/36/18/41/332361841.db2.gz XQBKZDVIFBMDBD-LJQANCHMSA-N 0 3 303.409 4.290 20 0 DIADHN c1[nH]nc2c1cccc2CN1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000560569942 332361885 /nfs/dbraw/zinc/36/18/85/332361885.db2.gz XQBKZDVIFBMDBD-IBGZPJMESA-N 0 3 303.409 4.290 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1c(F)cccc1N1CCCC1 ZINC000560573946 332363085 /nfs/dbraw/zinc/36/30/85/332363085.db2.gz MODRESVTIOUGRF-YJBOKZPZSA-N 0 3 322.443 4.434 20 0 DIADHN CC[C@@H](NC1(c2cccc(OC)c2)CC1)c1cccc(OC)c1 ZINC000560575139 332363733 /nfs/dbraw/zinc/36/37/33/332363733.db2.gz VCTOHNVJQHQMQN-LJQANCHMSA-N 0 3 311.425 4.434 20 0 DIADHN C[C@@H](NCC1(C(F)(F)F)CC1)c1ccccc1OC(F)F ZINC000560582771 332364788 /nfs/dbraw/zinc/36/47/88/332364788.db2.gz HNFUOOUMHUDKAP-SECBINFHSA-N 0 3 309.278 4.281 20 0 DIADHN Cc1ccc(CN2CC[C@@H](COCc3ccccc3)C2)cc1F ZINC000560584835 332365370 /nfs/dbraw/zinc/36/53/70/332365370.db2.gz MKMOUEBEVIYDBQ-LJQANCHMSA-N 0 3 313.416 4.173 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(CSC)cc2)c1 ZINC000560584191 332365403 /nfs/dbraw/zinc/36/54/03/332365403.db2.gz IRBRNOFAPXOEBK-AWEZNQCLSA-N 0 3 301.455 4.409 20 0 DIADHN C[C@@H]1C[C@@H]1CNCc1nc2c(s1)C[C@@H](c1ccccc1)CC2 ZINC000560604381 332367217 /nfs/dbraw/zinc/36/72/17/332367217.db2.gz MQTOHKRMSDFHDY-VNQPRFMTSA-N 0 3 312.482 4.161 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2Cn2cccn2)cc1 ZINC000119293359 332369001 /nfs/dbraw/zinc/36/90/01/332369001.db2.gz HCQOUGZJKJVRIG-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN CCCc1cc(N2CC[C@H](n3cc(C)cn3)C2)c2ccccc2n1 ZINC000583374543 332370338 /nfs/dbraw/zinc/37/03/38/332370338.db2.gz UZHYZWRKRKZCOI-KRWDZBQOSA-N 0 3 320.440 4.144 20 0 DIADHN c1csc(-c2ncc(CN3CC[C@H](CC4CC4)C3)s2)c1 ZINC000560619340 332370681 /nfs/dbraw/zinc/37/06/81/332370681.db2.gz RHGXWPYGXHSWFS-CYBMUJFWSA-N 0 3 304.484 4.494 20 0 DIADHN C[C@@H](O)C[C@H]1CCCCCN1Cc1ccc(C(F)(F)F)s1 ZINC000566356041 332372914 /nfs/dbraw/zinc/37/29/14/332372914.db2.gz PGGLKXDTEJKQRJ-VXGBXAGGSA-N 0 3 321.408 4.282 20 0 DIADHN C[C@@H](CC(=O)Nc1ccccc1)NCc1ccc2sccc2c1 ZINC000564499725 332384373 /nfs/dbraw/zinc/38/43/73/332384373.db2.gz WYRYVPCLLYKZTR-AWEZNQCLSA-N 0 3 324.449 4.408 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1N(C)C)c1ccc2n[nH]cc2c1 ZINC000583397066 332385989 /nfs/dbraw/zinc/38/59/89/332385989.db2.gz FWMZQPDNCXQZAW-KBPBESRZSA-N 0 3 308.429 4.041 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc2C1)c1nc2c(s1)CCCC2 ZINC000560875470 332391184 /nfs/dbraw/zinc/39/11/84/332391184.db2.gz PLMOIWNIMRMKLU-BBRMVZONSA-N 0 3 312.482 4.230 20 0 DIADHN Cc1ccc(-c2cc(CN[C@@H]3CC(C)(C)OC3(C)C)on2)cc1 ZINC000560902425 332393464 /nfs/dbraw/zinc/39/34/64/332393464.db2.gz XUQMQOBXKNFGIA-QGZVFWFLSA-N 0 3 314.429 4.086 20 0 DIADHN CCCOc1cccc(CCN[C@@H](C)c2csc(C)n2)c1 ZINC000560921129 332394775 /nfs/dbraw/zinc/39/47/75/332394775.db2.gz XDKAJGMXVJVCAJ-ZDUSSCGKSA-N 0 3 304.459 4.134 20 0 DIADHN Cc1[nH]c2ccccc2c1C1CCN(CCOCC2CC2)CC1 ZINC000525634493 332407922 /nfs/dbraw/zinc/40/79/22/332407922.db2.gz XXOOWWDLQQBURJ-UHFFFAOYSA-N 0 3 312.457 4.082 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)NC[C@H]3CC=CCC3)n2)cc1F ZINC000561134009 332415111 /nfs/dbraw/zinc/41/51/11/332415111.db2.gz AASHYGNZGNYZDY-KBPBESRZSA-N 0 3 315.392 4.191 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000449567080 332421113 /nfs/dbraw/zinc/42/11/13/332421113.db2.gz TVLYMLYJYPFJGA-IHRRRGAJSA-N 0 3 305.853 4.399 20 0 DIADHN CCc1ncc(CNC[C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000449608432 332445886 /nfs/dbraw/zinc/44/58/86/332445886.db2.gz HSNGIXBTHCRLOP-LLVKDONJSA-N 0 3 312.335 4.149 20 0 DIADHN CNCc1cccc(NC(=O)C2CCC(c3ccccc3)CC2)c1 ZINC000428313797 332455115 /nfs/dbraw/zinc/45/51/15/332455115.db2.gz IRMAGEWPMZKAEF-UHFFFAOYSA-N 0 3 322.452 4.319 20 0 DIADHN CSC[C@@H](C)N[C@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000150976448 332466473 /nfs/dbraw/zinc/46/64/73/332466473.db2.gz OUYSOANENMDPBV-VXGBXAGGSA-N 0 3 323.893 4.236 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCCOCC(F)(F)F)CCS2 ZINC000427619047 332468754 /nfs/dbraw/zinc/46/87/54/332468754.db2.gz VEUCPCQOPMJYNO-CYBMUJFWSA-N 0 3 319.392 4.009 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CC(C)(C)c3ccccc32)cc1O ZINC000569629612 332469780 /nfs/dbraw/zinc/46/97/80/332469780.db2.gz RPLMDIQAEUVWFS-GUYCJALGSA-N 0 3 311.425 4.474 20 0 DIADHN C[C@H]1Cc2ccc(F)cc2[C@@H]1NCc1cccc2cccnc21 ZINC000151034502 332488489 /nfs/dbraw/zinc/48/84/89/332488489.db2.gz IWFYDEAOWUTGQM-ORAYPTAESA-N 0 3 306.384 4.397 20 0 DIADHN C[C@H](NCC1(C)CCCC1)c1ncc(Br)cc1F ZINC000427694935 332490722 /nfs/dbraw/zinc/49/07/22/332490722.db2.gz FLENAAKEBXAHDM-JTQLQIEISA-N 0 3 315.230 4.214 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@@H](C)c2ccc(F)cc2)n1 ZINC000151057068 332498168 /nfs/dbraw/zinc/49/81/68/332498168.db2.gz SYZSEWGQSZDJCR-INIZCTEOSA-N 0 3 323.415 4.479 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cnc2ccccc2c1)c1ccccc1 ZINC000561959644 332521693 /nfs/dbraw/zinc/52/16/93/332521693.db2.gz FOFVSHQOFNVAPY-FQEVSTJZSA-N 0 3 320.436 4.084 20 0 DIADHN Cc1cnc([C@H](C)NCC2(c3cccs3)CCCCC2)cn1 ZINC000427831209 332531201 /nfs/dbraw/zinc/53/12/01/332531201.db2.gz WUQJYAPUVJRFLA-HNNXBMFYSA-N 0 3 315.486 4.399 20 0 DIADHN CC[C@H](N[C@H]1CC[C@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000562041398 332535904 /nfs/dbraw/zinc/53/59/04/332535904.db2.gz LQPWHPKXVQGIAH-DCAQKATOSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1CCCF)c1nccn1-c1ccccc1 ZINC000562062440 332539178 /nfs/dbraw/zinc/53/91/78/332539178.db2.gz URPSQJLKXDNBKG-XYJFISCASA-N 0 3 315.436 4.441 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](F)C1)c1ccc(F)cc1Br ZINC000562057186 332539620 /nfs/dbraw/zinc/53/96/20/332539620.db2.gz NUAIQILUKYWWSU-NGZCFLSTSA-N 0 3 304.178 4.129 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](F)C1)c1ccc(F)cc1Br ZINC000562057187 332539687 /nfs/dbraw/zinc/53/96/87/332539687.db2.gz NUAIQILUKYWWSU-QXEWZRGKSA-N 0 3 304.178 4.129 20 0 DIADHN C[C@@H](CCc1ccco1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000562057604 332539917 /nfs/dbraw/zinc/53/99/17/332539917.db2.gz YBSRZSWFRFPKJK-HOTGVXAUSA-N 0 3 309.413 4.137 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccco1)c1nccn1-c1ccccc1 ZINC000562057606 332539919 /nfs/dbraw/zinc/53/99/19/332539919.db2.gz YBSRZSWFRFPKJK-JKSUJKDBSA-N 0 3 309.413 4.137 20 0 DIADHN CCSc1cc(CN[C@@H](c2cccnc2)C(C)C)ccn1 ZINC000427857958 332543610 /nfs/dbraw/zinc/54/36/10/332543610.db2.gz JYFDNBZYDYTUJT-QGZVFWFLSA-N 0 3 301.459 4.076 20 0 DIADHN C[C@@H](N[C@@H](C)COc1cccc(F)c1)c1nccc2ccccc21 ZINC000562095704 332545934 /nfs/dbraw/zinc/54/59/34/332545934.db2.gz JPEAYHYRCAQNBH-LSDHHAIUSA-N 0 3 324.399 4.492 20 0 DIADHN C[C@@H](NCC1(c2cccc(Cl)c2)CCOCC1)c1ccco1 ZINC000151167847 332547074 /nfs/dbraw/zinc/54/70/74/332547074.db2.gz PMLDDDHYZAANCV-CQSZACIVSA-N 0 3 319.832 4.332 20 0 DIADHN CCOC[C@H](C)N[C@@H]1C[C@H](C)c2c1cccc2Br ZINC000427864259 332548775 /nfs/dbraw/zinc/54/87/75/332548775.db2.gz DSNOZWFTRZUARY-COPLHBTASA-N 0 3 312.251 4.012 20 0 DIADHN Cc1cc2c(cc1C)[C@H](N[C@H](C)[C@@H]1CCCCO1)CCS2 ZINC000427871528 332551061 /nfs/dbraw/zinc/55/10/61/332551061.db2.gz KOUXBMANEKLKEV-OIISXLGYSA-N 0 3 305.487 4.388 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1cccc(OC(F)(F)F)c1 ZINC000427962116 332573933 /nfs/dbraw/zinc/57/39/33/332573933.db2.gz ZSJKYPXSVADKOA-RISCZKNCSA-N 0 3 317.351 4.193 20 0 DIADHN Cc1cccc([C@H](C)NCc2cccc(Cn3ccnc3)c2)c1 ZINC000151360894 332574112 /nfs/dbraw/zinc/57/41/12/332574112.db2.gz DAQRHUDPCOHVIQ-KRWDZBQOSA-N 0 3 305.425 4.091 20 0 DIADHN C[C@@H](NC[C@@H]1CCC(C)(C)O1)c1ccccc1OC(F)(F)F ZINC000427974384 332578333 /nfs/dbraw/zinc/57/83/33/332578333.db2.gz BKRLZOSOTKOYKD-NEPJUHHUSA-N 0 3 317.351 4.193 20 0 DIADHN COc1ccc2oc([C@@H](C)NC[C@@H]3CCC(C)(C)O3)c(C)c2c1 ZINC000427981656 332580518 /nfs/dbraw/zinc/58/05/18/332580518.db2.gz WPJCSCJUFXWAMC-HIFRSBDPSA-N 0 3 317.429 4.358 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NC[C@H]2CCC(C)(C)O2)c1 ZINC000427991847 332582591 /nfs/dbraw/zinc/58/25/91/332582591.db2.gz ZWYSDHCAMNGSDH-QWHCGFSZSA-N 0 3 313.388 4.205 20 0 DIADHN Fc1ccc(CNCc2cc3ccccc3[nH]2)c2ncccc12 ZINC000151526511 332584054 /nfs/dbraw/zinc/58/40/54/332584054.db2.gz OBMQAEKPXMGIGT-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2cc(C)ccc2OC)cc1 ZINC000151627783 332595596 /nfs/dbraw/zinc/59/55/96/332595596.db2.gz CUSAXTMBSGSNSR-INIZCTEOSA-N 0 3 313.441 4.391 20 0 DIADHN C[C@@H](NCCc1cn(C)c2cc(Cl)ccc12)c1cscn1 ZINC000562477548 332597210 /nfs/dbraw/zinc/59/72/10/332597210.db2.gz NMIINFTYFQVLKW-LLVKDONJSA-N 0 3 319.861 4.182 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2ccc(OCC)cc2)cc1 ZINC000151647353 332597674 /nfs/dbraw/zinc/59/76/74/332597674.db2.gz OEBCEKFHSFPZHX-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN CCc1ccc(CN[C@@H](c2cccs2)C2(CO)CCC2)s1 ZINC000562519895 332601261 /nfs/dbraw/zinc/60/12/61/332601261.db2.gz YEFOPMURKWISIF-INIZCTEOSA-N 0 3 321.511 4.366 20 0 DIADHN OCc1ccc(CN[C@@H]2CCC[C@@H](c3cccc(Cl)c3)C2)o1 ZINC000562659555 332614131 /nfs/dbraw/zinc/61/41/31/332614131.db2.gz GUPIBXFWGOYDQG-GDBMZVCRSA-N 0 3 319.832 4.241 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@H](C)c1cc(Br)cs1)OC ZINC000381347813 332614826 /nfs/dbraw/zinc/61/48/26/332614826.db2.gz DJRFRACUBQIHJW-HOSYDEDBSA-N 0 3 320.296 4.222 20 0 DIADHN CCCOc1ccc(CNCc2cnccc2C(C)(C)C)cc1 ZINC000562738580 332622008 /nfs/dbraw/zinc/62/20/08/332622008.db2.gz PDQAFXDBXWIHDD-UHFFFAOYSA-N 0 3 312.457 4.458 20 0 DIADHN Cc1ccc(CNC2(c3ccc(Cl)cc3)CCOCC2)cc1 ZINC000525697268 332623392 /nfs/dbraw/zinc/62/33/92/332623392.db2.gz XJXWORKPRKWYGQ-UHFFFAOYSA-N 0 3 315.844 4.444 20 0 DIADHN CC(C)(C)c1nc(CNC23CC4CC(CC(C4)C2)C3)cs1 ZINC000169280818 332627552 /nfs/dbraw/zinc/62/75/52/332627552.db2.gz AVZXTEFZHNZBDY-UHFFFAOYSA-N 0 3 304.503 4.499 20 0 DIADHN CC(C)n1cc([C@H](C)NC[C@@H](C)c2ccc(F)cc2F)cn1 ZINC000151879127 332629567 /nfs/dbraw/zinc/62/95/67/332629567.db2.gz YRKIUNZPTFAXSD-OLZOCXBDSA-N 0 3 307.388 4.197 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H]2CCc3c2cccc3Cl)CC1 ZINC000562846396 332631705 /nfs/dbraw/zinc/63/17/05/332631705.db2.gz YRCFEELGIQORTF-SFHVURJKSA-N 0 3 315.848 4.238 20 0 DIADHN COc1ccc([C@H](C)NCC2(C(F)(F)F)CC2)cc1Cl ZINC000562852460 332632475 /nfs/dbraw/zinc/63/24/75/332632475.db2.gz PYUVQIDYLBBWQL-VIFPVBQESA-N 0 3 307.743 4.342 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H](NCc2cnc(C(F)(F)F)s2)C1 ZINC000443986587 332647535 /nfs/dbraw/zinc/64/75/35/332647535.db2.gz ZOLUCIPBNHMWGQ-GHMZBOCLSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(OCc2ccccc2)cc1 ZINC000171630483 332648290 /nfs/dbraw/zinc/64/82/90/332648290.db2.gz BTZUWWXJBYZQMM-QFBILLFUSA-N 0 3 311.425 4.095 20 0 DIADHN COc1nc2ccccc2cc1CNC1CCC(C(F)F)CC1 ZINC000574902789 332672011 /nfs/dbraw/zinc/67/20/11/332672011.db2.gz KUFJJYNVRYUDIR-UHFFFAOYSA-N 0 3 320.383 4.157 20 0 DIADHN c1cc2cccc(CNC3(c4ccccc4)CCOCC3)c2o1 ZINC000570275685 332733176 /nfs/dbraw/zinc/73/31/76/332733176.db2.gz BSRGQMBQDDSDHS-UHFFFAOYSA-N 0 3 307.393 4.228 20 0 DIADHN Cc1cccnc1C(C)(C)CN[C@H](C)c1ccc(F)cc1F ZINC000570323763 332739304 /nfs/dbraw/zinc/73/93/04/332739304.db2.gz PYQAONFKVVYWIQ-CYBMUJFWSA-N 0 3 304.384 4.297 20 0 DIADHN Cc1ccc(C[C@@H](C)N[C@H](C)c2cn3ccccc3n2)c(C)c1 ZINC000570383995 332747887 /nfs/dbraw/zinc/74/78/87/332747887.db2.gz RQEODVVMAAZVJP-IAGOWNOFSA-N 0 3 307.441 4.233 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C)c1)c1ccc2cc(OC)ccc2c1 ZINC000163878451 332972858 /nfs/dbraw/zinc/97/28/58/332972858.db2.gz ICMRBTLYJCDJJL-XOBRGWDASA-N 0 3 323.440 4.384 20 0 DIADHN C[C@H](N[C@@H]1CCCOC1)c1cccc(OCc2ccccc2)c1 ZINC000177926988 333000009 /nfs/dbraw/zinc/00/00/09/333000009.db2.gz IZSRUBBPEMQPOK-QFBILLFUSA-N 0 3 311.425 4.095 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc3c(c1)OCCO3)CC2 ZINC000178268412 333006160 /nfs/dbraw/zinc/00/61/60/333006160.db2.gz KGUSAUBOVUXNDU-KBXCAEBGSA-N 0 3 309.409 4.104 20 0 DIADHN CC1(C)[C@H](NCc2cc(Cl)sc2Cl)[C@H]2CCCO[C@H]21 ZINC000312785764 333007801 /nfs/dbraw/zinc/00/78/01/333007801.db2.gz UGLWAKKLHDHOFX-YUSALJHKSA-N 0 3 320.285 4.348 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)CCc1ncc(C)cc1C)CCC2 ZINC000518764040 333014221 /nfs/dbraw/zinc/01/42/21/333014221.db2.gz PTAGAFOZNSKDAP-QGZVFWFLSA-N 0 3 315.486 4.015 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)N[C@@H]2CCc3ccc(C)cc32)c1 ZINC000178520084 333014629 /nfs/dbraw/zinc/01/46/29/333014629.db2.gz FBQXSGOFTNBNPG-JLTOFOAXSA-N 0 3 308.425 4.292 20 0 DIADHN Cc1ncncc1[C@@H](C)NCCCOc1ccc2ccccc2c1 ZINC000580215690 333015831 /nfs/dbraw/zinc/01/58/31/333015831.db2.gz ADXAITAMXQUZEH-OAHLLOKOSA-N 0 3 321.424 4.058 20 0 DIADHN CCc1ccc(CNCc2ccc(OC(F)F)c(F)c2)s1 ZINC000168056033 333022589 /nfs/dbraw/zinc/02/25/89/333022589.db2.gz HCPGRGFAFRVBOL-UHFFFAOYSA-N 0 3 315.360 4.341 20 0 DIADHN OCc1cccc(CN[C@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000180098269 333027299 /nfs/dbraw/zinc/02/72/99/333027299.db2.gz QSYXJGIQHNLYJS-SFHVURJKSA-N 0 3 301.817 4.073 20 0 DIADHN CC[C@@H](NCc1ccccc1-n1cccn1)c1ccccc1F ZINC000180327430 333027794 /nfs/dbraw/zinc/02/77/94/333027794.db2.gz PXINKNMQQQJNNR-GOSISDBHSA-N 0 3 309.388 4.252 20 0 DIADHN COCCCCCN[C@H](c1ccccc1)c1ccc(OC)cc1 ZINC000180331022 333027855 /nfs/dbraw/zinc/02/78/55/333027855.db2.gz DAUYGFHLGAVFPW-HXUWFJFHSA-N 0 3 313.441 4.191 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2ccc3[nH]cnc3c2)c1 ZINC000518765509 333028221 /nfs/dbraw/zinc/02/82/21/333028221.db2.gz HJPQVVWNMMQPMZ-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN CC(C)Oc1cccc([C@H](C)NCc2ccc3nc[nH]c3c2)c1 ZINC000518765509 333028223 /nfs/dbraw/zinc/02/82/23/333028223.db2.gz HJPQVVWNMMQPMZ-AWEZNQCLSA-N 0 3 309.413 4.201 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(NC(N)=O)cc1)c1ccccc1F ZINC000180537345 333028260 /nfs/dbraw/zinc/02/82/60/333028260.db2.gz ASFMVRXCANPKKB-SJKOYZFVSA-N 0 3 315.392 4.118 20 0 DIADHN C[C@H](NCc1ccc2[nH]cnc2c1)c1ccccc1OC(F)F ZINC000518766305 333032475 /nfs/dbraw/zinc/03/24/75/333032475.db2.gz UMCIXUHUTVKVQM-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN C[C@H](NCc1ccc2nc[nH]c2c1)c1ccccc1OC(F)F ZINC000518766305 333032476 /nfs/dbraw/zinc/03/24/76/333032476.db2.gz UMCIXUHUTVKVQM-NSHDSACASA-N 0 3 317.339 4.015 20 0 DIADHN C[C@H](N[C@@H]1CCOC(C)(C)C1)c1sccc1Br ZINC000215734507 333033604 /nfs/dbraw/zinc/03/36/04/333033604.db2.gz ZOWDMVVLLVWCJJ-VHSXEESVSA-N 0 3 318.280 4.119 20 0 DIADHN C[C@@H](N[C@@H]1CCOC(C)(C)C1)c1sccc1Br ZINC000215734553 333033533 /nfs/dbraw/zinc/03/35/33/333033533.db2.gz ZOWDMVVLLVWCJJ-NXEZZACHSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccc2ccccc2n1 ZINC000520962840 333036629 /nfs/dbraw/zinc/03/66/29/333036629.db2.gz ULWFAZSUHYKWJU-RMKNXTFCSA-N 0 3 304.393 4.046 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@H]2c2cccs2)c1 ZINC000170643110 333037921 /nfs/dbraw/zinc/03/79/21/333037921.db2.gz RWPDYVHFIGXWHD-INIZCTEOSA-N 0 3 300.427 4.044 20 0 DIADHN CC[C@@H](C)CN(C)c1ccc(F)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000580252029 333041238 /nfs/dbraw/zinc/04/12/38/333041238.db2.gz XWCHQADRTCQQEO-UGFHNGPFSA-N 0 3 314.395 4.051 20 0 DIADHN CC[C@H](C)CN(C)c1ccc(F)cc1CN[C@H]1C[C@@H]1C(F)F ZINC000580252028 333041355 /nfs/dbraw/zinc/04/13/55/333041355.db2.gz XWCHQADRTCQQEO-CQDKDKBSSA-N 0 3 314.395 4.051 20 0 DIADHN Cc1ccccc1NC(=O)CCN[C@H](C)c1cc2ccccc2o1 ZINC000182633923 333043761 /nfs/dbraw/zinc/04/37/61/333043761.db2.gz MMDFRBBOZFKBIU-OAHLLOKOSA-N 0 3 322.408 4.421 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCCO1)c1ccccc1Br ZINC000182756284 333046421 /nfs/dbraw/zinc/04/64/21/333046421.db2.gz ZNXSKTRCRHCLOX-OLZOCXBDSA-N 0 3 312.251 4.059 20 0 DIADHN CCC[C@@H](CNCc1ccc(Cl)cc1Br)OC ZINC000378714380 333046738 /nfs/dbraw/zinc/04/67/38/333046738.db2.gz XFJUIQOMTBMFCN-LBPRGKRZSA-N 0 3 320.658 4.007 20 0 DIADHN CO[C@@H](CN[C@H](C)c1cc(F)c(Cl)cc1Cl)C1CC1 ZINC000378716711 333046797 /nfs/dbraw/zinc/04/67/97/333046797.db2.gz AKDUOOAVBRKKKW-CLAHSXSESA-N 0 3 306.208 4.208 20 0 DIADHN CCC[C@@H](CNCc1ccc(OCc2ccccc2)cc1)OC ZINC000378737727 333047745 /nfs/dbraw/zinc/04/77/45/333047745.db2.gz HIAAVWXSPILURD-FQEVSTJZSA-N 0 3 313.441 4.170 20 0 DIADHN OCc1ccc(CN[C@H](c2ccc(Cl)cc2)C2CC2)cc1 ZINC000183114855 333052471 /nfs/dbraw/zinc/05/24/71/333052471.db2.gz MRPMZVRJUXQWPW-SFHVURJKSA-N 0 3 301.817 4.073 20 0 DIADHN C[C@H](NC[C@@H](c1ccco1)N1CCCC1)c1cccc(F)c1F ZINC000183205532 333053031 /nfs/dbraw/zinc/05/30/31/333053031.db2.gz CUJMNBSOPKKACH-BBRMVZONSA-N 0 3 320.383 4.046 20 0 DIADHN CCC[C@@H](CN[C@H](C)c1ccc(F)c(Br)c1)OC ZINC000378820096 333055509 /nfs/dbraw/zinc/05/55/09/333055509.db2.gz MILAVUDJMXLRLW-PWSUYJOCSA-N 0 3 318.230 4.054 20 0 DIADHN Cc1ncccc1CNC(C)(C)c1ccc(OC(F)(F)F)cc1 ZINC000188899561 333057730 /nfs/dbraw/zinc/05/77/30/333057730.db2.gz MXIYTWONRHCOIS-UHFFFAOYSA-N 0 3 324.346 4.314 20 0 DIADHN COCc1cccc(CN[C@@H](c2ccc(F)cc2)C(C)C)c1 ZINC000378869558 333058679 /nfs/dbraw/zinc/05/86/79/333058679.db2.gz KEXLQVDSIITUTF-LJQANCHMSA-N 0 3 301.405 4.459 20 0 DIADHN C[C@@H](CCNCc1csc(-c2ccccn2)n1)c1ccccc1 ZINC000378911837 333063479 /nfs/dbraw/zinc/06/34/79/333063479.db2.gz JLIOBVMKBIBOCE-HNNXBMFYSA-N 0 3 323.465 4.489 20 0 DIADHN Cc1ccc([C@@H](C)NCCc2cccc(Br)c2)o1 ZINC000313714062 333066276 /nfs/dbraw/zinc/06/62/76/333066276.db2.gz JXTUTDITEQECHD-GFCCVEGCSA-N 0 3 308.219 4.244 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1nc(-c2cccs2)no1 ZINC000460347211 333067037 /nfs/dbraw/zinc/06/70/37/333067037.db2.gz IYPPFRVTBGIXNQ-STQMWFEESA-N 0 3 305.447 4.247 20 0 DIADHN Cc1ccccc1OCCCN1C[C@H](C)C[C@H]1c1cccnc1 ZINC000460350885 333069194 /nfs/dbraw/zinc/06/91/94/333069194.db2.gz LNBJNUAAGHTMDA-APWZRJJASA-N 0 3 310.441 4.242 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(CCOc2ccc(Cl)cc2)C1 ZINC000460353123 333069704 /nfs/dbraw/zinc/06/97/04/333069704.db2.gz PGEFBFJQBYGWJK-KBXCAEBGSA-N 0 3 316.832 4.197 20 0 DIADHN C[C@H]1C[C@H](c2cccnc2)N(CCc2ccccc2Cl)C1 ZINC000460359889 333071219 /nfs/dbraw/zinc/07/12/19/333071219.db2.gz CIKZUBFEYMXKCW-KBXCAEBGSA-N 0 3 300.833 4.361 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cc3c(s2)CCCC3)nc1C ZINC000313883258 333074140 /nfs/dbraw/zinc/07/41/40/333074140.db2.gz CIMMAPMFSBFAOQ-AWEZNQCLSA-N 0 3 300.471 4.490 20 0 DIADHN CCOCC(C)(C)CNCc1cc(Cl)ccc1OC(F)F ZINC000313890387 333075026 /nfs/dbraw/zinc/07/50/26/333075026.db2.gz DTTQKHWQGIINHR-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN Clc1ccccc1[C@@H]1CCCN1CCCO[C@@H]1CCCCO1 ZINC000460389985 333077280 /nfs/dbraw/zinc/07/72/80/333077280.db2.gz UTBZOOXYSCROTA-ZWKOTPCHSA-N 0 3 323.864 4.410 20 0 DIADHN CSc1ccc(NC(=O)[C@@H](C)N2CC[C@H](CC(C)C)C2)cc1 ZINC000466747638 333082677 /nfs/dbraw/zinc/08/26/77/333082677.db2.gz LXMLESGHJAEDOU-HUUCEWRRSA-N 0 3 320.502 4.104 20 0 DIADHN Cc1ccc(CNCc2ccccc2CN(C)Cc2ccco2)o1 ZINC000174452481 333086739 /nfs/dbraw/zinc/08/67/39/333086739.db2.gz KGLFWQTULYUNDE-UHFFFAOYSA-N 0 3 324.424 4.103 20 0 DIADHN Cc1ccc(CN[C@H]2CCCc3c4ccccc4[nH]c32)nc1C ZINC000314096895 333087247 /nfs/dbraw/zinc/08/72/47/333087247.db2.gz BDOOCEBCCIDYIJ-IBGZPJMESA-N 0 3 305.425 4.347 20 0 DIADHN Cc1sc2[n-]c(C[NH2+][C@]3(C)CCCC[C@H]3C)nc(=O)c2c1C ZINC000308688065 333100925 /nfs/dbraw/zinc/10/09/25/333100925.db2.gz BMDFKBIMPJWEQW-BMLIUANNSA-N 0 3 319.474 4.072 20 0 DIADHN CC(C)Oc1cccc(CNC[C@@H](C)Oc2ccc(F)cc2)c1 ZINC000462739826 333102939 /nfs/dbraw/zinc/10/29/39/333102939.db2.gz PAMIQKAFBYEKFV-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN C[C@H](CCc1cccn1C)NCc1cccc(Cl)c1Cl ZINC000462740251 333103764 /nfs/dbraw/zinc/10/37/64/333103764.db2.gz QAYVZAPKSAAWOJ-GFCCVEGCSA-N 0 3 311.256 4.443 20 0 DIADHN C[C@H](O)[C@@](C)(CNCc1sccc1Cl)Cc1ccccc1 ZINC000487175588 333116127 /nfs/dbraw/zinc/11/61/27/333116127.db2.gz VQPIYSBCQSXPBX-SUMWQHHRSA-N 0 3 323.889 4.121 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](F)C1)c1csc(-c2ccccc2F)n1 ZINC000462816000 333118432 /nfs/dbraw/zinc/11/84/32/333118432.db2.gz ZMDPMHKYJVBBGR-QJPTWQEYSA-N 0 3 308.397 4.490 20 0 DIADHN Cc1nccn1CCN[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000323404385 333121753 /nfs/dbraw/zinc/12/17/53/333121753.db2.gz BPDCMZKIOAUYEU-MRXNPFEDSA-N 0 3 305.425 4.209 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1Br)c1ccoc1 ZINC000540164810 333122810 /nfs/dbraw/zinc/12/28/10/333122810.db2.gz XZROOGYKHCYFTC-NWDGAFQWSA-N 0 3 308.219 4.324 20 0 DIADHN Cc1[nH]c2ccccc2c1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000177303447 333126949 /nfs/dbraw/zinc/12/69/49/333126949.db2.gz XQNYAUBWHMTYSH-UHFFFAOYSA-N 0 3 321.424 4.099 20 0 DIADHN CO[C@H](c1ccc(F)cc1)[C@H](C)N[C@H](C)c1ccc(F)cc1 ZINC000540165771 333127255 /nfs/dbraw/zinc/12/72/55/333127255.db2.gz XFRIMDMGDTUCQT-VBHSOAQHSA-N 0 3 305.368 4.392 20 0 DIADHN CSc1ccsc1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000177344173 333127462 /nfs/dbraw/zinc/12/74/62/333127462.db2.gz ONZKVFNOLWYJRI-UHFFFAOYSA-N 0 3 320.483 4.092 20 0 DIADHN C[C@@H](NCC[S@](=O)C(C)(C)C)c1ccc2ccccc2c1 ZINC000177346784 333127618 /nfs/dbraw/zinc/12/76/18/333127618.db2.gz RVFTWIOOMZSDHC-SZNDQCEHSA-N 0 3 303.471 4.038 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C(C)(C)c1cccs1 ZINC000177358637 333127976 /nfs/dbraw/zinc/12/79/76/333127976.db2.gz RNKWBDZRCREMGM-UHFFFAOYSA-N 0 3 316.470 4.034 20 0 DIADHN C[C@H](NCC1(O)CCCCCC1)c1cnc2ccsc2c1 ZINC000087088802 333134920 /nfs/dbraw/zinc/13/49/20/333134920.db2.gz LUHDNAYZKRHQIJ-ZDUSSCGKSA-N 0 3 304.459 4.032 20 0 DIADHN CCc1nc(CCN[C@H](C)c2ccc3ccccc3n2)cs1 ZINC000178459527 333139454 /nfs/dbraw/zinc/13/94/54/333139454.db2.gz PBHILIDQNOXPRQ-CYBMUJFWSA-N 0 3 311.454 4.147 20 0 DIADHN CCN(C/C(C)=C/c1cccc(C(F)(F)F)c1)[C@@H]1CCOC1 ZINC000463032132 333141655 /nfs/dbraw/zinc/14/16/55/333141655.db2.gz IHBDGJMYFXIXBP-LRFDDAOPSA-N 0 3 313.363 4.220 20 0 DIADHN C[C@@H](CN[C@@H]1CCOc2c(F)ccc(F)c21)c1ccc(F)cc1 ZINC000463035123 333142420 /nfs/dbraw/zinc/14/24/20/333142420.db2.gz HCFFWWAZIWHZNG-MEDUHNTESA-N 0 3 321.342 4.321 20 0 DIADHN CO[C@](C)(CN[C@@H](C)c1sc(C(C)(C)C)nc1C)C1CC1 ZINC000314570772 333145086 /nfs/dbraw/zinc/14/50/86/333145086.db2.gz UUUOZLUMXNKLNN-APPDUMDISA-N 0 3 310.507 4.215 20 0 DIADHN CO[C@@H](c1ccc(F)cc1)[C@@H](C)NCc1sccc1Cl ZINC000487562480 333147645 /nfs/dbraw/zinc/14/76/45/333147645.db2.gz SGISCRUJUVJTSZ-MEBBXXQBSA-N 0 3 313.825 4.406 20 0 DIADHN CC(C)Oc1ccc([C@@H](C)NCc2ccnc(Cl)c2)cc1 ZINC000179526912 333152062 /nfs/dbraw/zinc/15/20/62/333152062.db2.gz VACOOTGCUIHLAZ-CYBMUJFWSA-N 0 3 304.821 4.373 20 0 DIADHN Cc1noc(C)c1CN1CCC[C@@]1(C)Cc1cccc(F)c1 ZINC000580322523 333160926 /nfs/dbraw/zinc/16/09/26/333160926.db2.gz SSGMDMSKAVWPBQ-SFHVURJKSA-N 0 3 302.393 4.028 20 0 DIADHN Clc1ccc(C2(CNCc3cc[nH]n3)CCCCC2)cc1 ZINC000518778609 333165141 /nfs/dbraw/zinc/16/51/41/333165141.db2.gz VESFOLMCELQLDK-UHFFFAOYSA-N 0 3 303.837 4.055 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@@H](C)C1)c1ncc(Br)s1 ZINC000228227793 333166203 /nfs/dbraw/zinc/16/62/03/333166203.db2.gz LEANJUUMZZLOSE-BBBLOLIVSA-N 0 3 303.269 4.135 20 0 DIADHN CC[C@@H](C(=O)NC(c1ccccc1)c1ccccc1)N(CC)CC ZINC000460860417 333172276 /nfs/dbraw/zinc/17/22/76/333172276.db2.gz LRDOKUMELSAKHN-IBGZPJMESA-N 0 3 324.468 4.013 20 0 DIADHN Cc1cccc(C)c1OC[C@H](C)NCc1cccc(F)c1F ZINC000518780079 333178463 /nfs/dbraw/zinc/17/84/63/333178463.db2.gz DKNLAWJYXRQHHI-AWEZNQCLSA-N 0 3 305.368 4.139 20 0 DIADHN CC[C@@H](C(=O)N1CCC[C@H]1c1ccc(Cl)cc1)N(CC)CC ZINC000460869066 333179580 /nfs/dbraw/zinc/17/95/80/333179580.db2.gz FKABYMGZYZKGFK-IRXDYDNUSA-N 0 3 322.880 4.124 20 0 DIADHN O=C1CCN1c1cccc(CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000582041591 333182483 /nfs/dbraw/zinc/18/24/83/333182483.db2.gz BJAMPPBCOKIKFZ-FQEVSTJZSA-N 0 3 320.436 4.054 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](CO)C1)c1cccc(Cl)c1Cl ZINC000387965060 333187876 /nfs/dbraw/zinc/18/78/76/333187876.db2.gz SQKZXSIFUHGALM-TUAOUCFPSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](CCC1CC1)N[C@H](C)c1ncc(Br)s1 ZINC000309723140 333192094 /nfs/dbraw/zinc/19/20/94/333192094.db2.gz CIIBZRWPJHRPEB-RKDXNWHRSA-N 0 3 303.269 4.135 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)NCc2ccc(C(F)F)cc2)cc1 ZINC000185358215 333207530 /nfs/dbraw/zinc/20/75/30/333207530.db2.gz QNCSTXPTXURKFX-LBPRGKRZSA-N 0 3 318.367 4.433 20 0 DIADHN CCn1nc(CNCC[C@@H](C)c2ccccc2)c2ccccc21 ZINC000390025488 333217512 /nfs/dbraw/zinc/21/75/12/333217512.db2.gz BFMZJJJJKHJMKB-MRXNPFEDSA-N 0 3 307.441 4.340 20 0 DIADHN Cc1nc(CNCC2(c3cccc(C)c3)CCCC2)cs1 ZINC000518784822 333223608 /nfs/dbraw/zinc/22/36/08/333223608.db2.gz WRTOSOZUURUDGB-UHFFFAOYSA-N 0 3 300.471 4.362 20 0 DIADHN OC1CCC(NC2(c3c(Cl)cccc3Cl)CCC2)CC1 ZINC000582102508 333225037 /nfs/dbraw/zinc/22/50/37/333225037.db2.gz FFZFORPBILMHGC-UHFFFAOYSA-N 0 3 314.256 4.266 20 0 DIADHN CCOC1(CN[C@@H](C)c2ccccc2OC(F)F)CCCC1 ZINC000320699680 335128368 /nfs/dbraw/zinc/12/83/68/335128368.db2.gz NZCINXRHALEFSM-ZDUSSCGKSA-N 0 3 313.388 4.288 20 0 DIADHN Cc1cccc(CCN[C@@H](CC(F)(F)F)c2ccccc2)n1 ZINC000563061928 333226832 /nfs/dbraw/zinc/22/68/32/333226832.db2.gz UXFFULJPVRKBRD-INIZCTEOSA-N 0 3 308.347 4.216 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(-c3ccccc3)cc21)c1cnn(C)c1 ZINC000187244872 333229762 /nfs/dbraw/zinc/22/97/62/333229762.db2.gz GAAASVFATMNDHF-BTYIYWSLSA-N 0 3 317.436 4.425 20 0 DIADHN Fc1cccc(CCN[C@H](c2ncc[nH]2)C2CCCCC2)c1 ZINC000514085080 333235965 /nfs/dbraw/zinc/23/59/65/333235965.db2.gz SRMYPSGAYIPNKM-KRWDZBQOSA-N 0 3 301.409 4.003 20 0 DIADHN Cc1ccc(C[C@H](N[C@@H]2C=C[C@H](CO)C2)c2ccc(C)cc2)cc1 ZINC000497356706 333239366 /nfs/dbraw/zinc/23/93/66/333239366.db2.gz DPEDNAUOMFCLAT-NNWRFLSQSA-N 0 3 321.464 4.114 20 0 DIADHN CC(C)[C@H](c1ccccc1)N(C)CC[S@](=O)c1ccccc1 ZINC000497373091 333241718 /nfs/dbraw/zinc/24/17/18/333241718.db2.gz XMSHYLANYXADHY-KNQAVFIVSA-N 0 3 315.482 4.123 20 0 DIADHN C/C=C/c1ccc(NC(=O)NC[C@H](c2ccccc2)N(C)C)cc1 ZINC000497379531 333242733 /nfs/dbraw/zinc/24/27/33/333242733.db2.gz ABGCRPAGVHQDDY-RRGNZLCHSA-N 0 3 323.440 4.144 20 0 DIADHN CCCCNC(=O)[C@@H]1CCCN([C@@H](C)c2cccc(Cl)c2)C1 ZINC000497388987 333244362 /nfs/dbraw/zinc/24/43/62/333244362.db2.gz ONMIARPULRLEBI-GOEBONIOSA-N 0 3 322.880 4.029 20 0 DIADHN COc1ccc(CN[C@H](C)c2cc(C)c(C)cc2C)cc1OC ZINC000390202905 333247471 /nfs/dbraw/zinc/24/74/71/333247471.db2.gz BIWFNRYUJKRKDY-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN CC[C@@H](NC[C@@H]1CCO[C@H]1c1ccccc1)c1ccc(F)cn1 ZINC000497411721 333248152 /nfs/dbraw/zinc/24/81/52/333248152.db2.gz RJUBOKIBAYUEBG-WDYCEAGBSA-N 0 3 314.404 4.039 20 0 DIADHN COc1c(C)cnc(CN[C@@H](c2ccccc2)C2CCC2)c1C ZINC000110031065 333253490 /nfs/dbraw/zinc/25/34/90/333253490.db2.gz OQZAKSPTOQYAQB-IBGZPJMESA-N 0 3 310.441 4.338 20 0 DIADHN CCOCc1ccccc1CN[C@H](CC)c1ccc(OC)cc1 ZINC000119544623 333254985 /nfs/dbraw/zinc/25/49/85/333254985.db2.gz APTBTXVDVHJJFO-HXUWFJFHSA-N 0 3 313.441 4.473 20 0 DIADHN C[C@@H]1C[C@H]1CN[C@H](c1ccco1)c1ccc(Br)cc1 ZINC000497525618 333259367 /nfs/dbraw/zinc/25/93/67/333259367.db2.gz JZXSXARHEHJTNE-FFSVYQOJSA-N 0 3 320.230 4.377 20 0 DIADHN CC[C@H](NCc1sc(C)nc1C)c1ccc(OC)c(OC)c1 ZINC000119839620 333261240 /nfs/dbraw/zinc/26/12/40/333261240.db2.gz KUJVGKIOKNPGRE-AWEZNQCLSA-N 0 3 320.458 4.018 20 0 DIADHN COCC(C)(C)CN[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000319150717 333265117 /nfs/dbraw/zinc/26/51/17/333265117.db2.gz ZGOMJQUKYCGEOU-SFHVURJKSA-N 0 3 301.405 4.177 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H]1CCC[C@H]1OC(F)F ZINC000503884287 333269401 /nfs/dbraw/zinc/26/94/01/333269401.db2.gz HGGIAXMJSPRBJJ-CYZMBNFOSA-N 0 3 301.402 4.219 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1cc(Br)cs1 ZINC000390417312 333278746 /nfs/dbraw/zinc/27/87/46/333278746.db2.gz HVJWFLPJTQKKLC-QMMMGPOBSA-N 0 3 316.186 4.331 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccccc1C(F)(F)F)C1CC1 ZINC000388358729 333280974 /nfs/dbraw/zinc/28/09/74/333280974.db2.gz RUSIYVVHCPFIGK-HFAKWTLXSA-N 0 3 301.352 4.170 20 0 DIADHN Cc1ccccc1C[C@@H](C)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000502015138 333282806 /nfs/dbraw/zinc/28/28/06/333282806.db2.gz HCOBTHPIDDORCG-KGLIPLIRSA-N 0 3 300.471 4.222 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1ccccc1OC(F)F ZINC000319326018 333284209 /nfs/dbraw/zinc/28/42/09/333284209.db2.gz AMFVAECAIMSLAJ-GFCCVEGCSA-N 0 3 309.331 4.148 20 0 DIADHN CC[C@H](N[C@@H]1CCc2ccccc21)c1ccc(OC)c(OC)c1 ZINC000120477674 333284753 /nfs/dbraw/zinc/28/47/53/333284753.db2.gz FYQFVLZXSUKWLR-ZWKOTPCHSA-N 0 3 311.425 4.432 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cnn(C3CCCC3)c2)C[C@@H]1OC ZINC000502397812 333291696 /nfs/dbraw/zinc/29/16/96/333291696.db2.gz BZKSXSDYHPYWSQ-OLMNPRSZSA-N 0 3 319.493 4.243 20 0 DIADHN CCC1(CC)[C@H](N[C@H](C)c2cnn(C3CCCC3)c2)C[C@H]1OC ZINC000502397814 333291798 /nfs/dbraw/zinc/29/17/98/333291798.db2.gz BZKSXSDYHPYWSQ-ZTFGCOKTSA-N 0 3 319.493 4.243 20 0 DIADHN Cc1ccc(C)c([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000390537486 333292707 /nfs/dbraw/zinc/29/27/07/333292707.db2.gz LNXWWSIZPZCYEW-HOTGVXAUSA-N 0 3 311.425 4.486 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1N[C@H](C)c1cnn(C2CCCC2)c1 ZINC000502431711 333294812 /nfs/dbraw/zinc/29/48/12/333294812.db2.gz ZZYCIICZXDFQNH-MNEFBYGVSA-N 0 3 319.493 4.243 20 0 DIADHN CC(C)c1nc(CCN[C@@H](c2ccc(F)cn2)C(C)C)cs1 ZINC000497809703 333295141 /nfs/dbraw/zinc/29/51/41/333295141.db2.gz VYPUPYUVILWENV-MRXNPFEDSA-N 0 3 321.465 4.330 20 0 DIADHN CC(C)[C@@H](NCCOc1ccc(Cl)cc1)c1ccc(F)cn1 ZINC000497814683 333295980 /nfs/dbraw/zinc/29/59/80/333295980.db2.gz YVICEHOCFDGAPE-QGZVFWFLSA-N 0 3 322.811 4.240 20 0 DIADHN CC(C)[C@H](NCCO[C@H]1CCCC[C@H]1C)c1ccc(F)cn1 ZINC000497830441 333298909 /nfs/dbraw/zinc/29/89/09/333298909.db2.gz YZHVJUWBQMHPDL-JLSDUUJJSA-N 0 3 308.441 4.103 20 0 DIADHN COc1cc([C@@H](C)NC[C@H]2CCCCC2(F)F)ccc1F ZINC000390562531 333300435 /nfs/dbraw/zinc/30/04/35/333300435.db2.gz AVTVSWBGEXXMTD-DGCLKSJQSA-N 0 3 301.352 4.310 20 0 DIADHN COc1ccccc1[C@@H](C)NC[C@@H]1CCCN1Cc1ccccc1 ZINC000390563864 333300445 /nfs/dbraw/zinc/30/04/45/333300445.db2.gz NRAUTFONQURQFV-MJGOQNOKSA-N 0 3 324.468 4.010 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@H]1CCCN1Cc1ccccc1 ZINC000390563865 333300577 /nfs/dbraw/zinc/30/05/77/333300577.db2.gz NRAUTFONQURQFV-PKOBYXMFSA-N 0 3 324.468 4.010 20 0 DIADHN CC(C)[C@@H](NC[C@@H](C)Oc1cccc(F)c1)c1ccc(F)cn1 ZINC000497852650 333301803 /nfs/dbraw/zinc/30/18/03/333301803.db2.gz JWQRCDJCVSLNCP-FZKQIMNGSA-N 0 3 320.383 4.114 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@@H](c2ccc(F)cn2)C(C)C)cc1 ZINC000497854600 333302126 /nfs/dbraw/zinc/30/21/26/333302126.db2.gz AMDCEYYVEKEMAH-HNAYVOBHSA-N 0 3 316.420 4.283 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cn3cc(Cl)ccc3n2)C1 ZINC000525781048 333305333 /nfs/dbraw/zinc/30/53/33/333305333.db2.gz XQRYOSSJHRCBLB-GJZGRUSLSA-N 0 3 323.893 4.094 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2c(C)cc(C)cc2C)c1 ZINC000120670539 333305402 /nfs/dbraw/zinc/30/54/02/333305402.db2.gz DYBQHMHQFARVAU-INIZCTEOSA-N 0 3 310.441 4.421 20 0 DIADHN CC[C@@H](N[C@@H](C)COCc1ccccc1)c1ccccc1OC ZINC000390601135 333306012 /nfs/dbraw/zinc/30/60/12/333306012.db2.gz XCCGVXFWZXXIDE-QFBILLFUSA-N 0 3 313.441 4.341 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2ccccc2OC(F)F)C1 ZINC000525781409 333306520 /nfs/dbraw/zinc/30/65/20/333306520.db2.gz WSTIVQFOILLOHI-ZIAGYGMSSA-N 0 3 315.429 4.394 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1ccccc1OC(F)F ZINC000390623159 333307724 /nfs/dbraw/zinc/30/77/24/333307724.db2.gz HIUKFDAVOJMCCG-QWRGUYRKSA-N 0 3 305.315 4.374 20 0 DIADHN C[C@H](NC(=O)CN1CCC[C@@H]1C(C)(C)C)c1ccccc1Cl ZINC000525785935 333311596 /nfs/dbraw/zinc/31/15/96/333311596.db2.gz QXNZPPXEKXZRQK-XJKSGUPXSA-N 0 3 322.880 4.028 20 0 DIADHN CCCc1cc(C(=O)Nc2cccc(CN(C)C)c2)sc1C ZINC000497932953 333311766 /nfs/dbraw/zinc/31/17/66/333311766.db2.gz AJBOMCGWYIPEDG-UHFFFAOYSA-N 0 3 316.470 4.323 20 0 DIADHN O=C(CC1CCCC1)Nc1cccc(CN2CCCCC2)c1 ZINC000497951325 333313865 /nfs/dbraw/zinc/31/38/65/333313865.db2.gz QBKMURFLQNNUCV-UHFFFAOYSA-N 0 3 300.446 4.191 20 0 DIADHN CC[C@H](CSC)N[C@@H]1CCc2sc(Br)cc21 ZINC000390681303 333314634 /nfs/dbraw/zinc/31/46/34/333314634.db2.gz XOIQZAMMPVUWCN-PSASIEDQSA-N 0 3 320.321 4.229 20 0 DIADHN COc1ccc([C@@H](C)NC[C@H]2CCCCC2(F)F)c(OC)c1 ZINC000390707196 333316564 /nfs/dbraw/zinc/31/65/64/333316564.db2.gz VNXMBJHNGZCGFA-CHWSQXEVSA-N 0 3 313.388 4.180 20 0 DIADHN CCCCCC(=O)N(CC)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000498007647 333319655 /nfs/dbraw/zinc/31/96/55/333319655.db2.gz BZJVRIFXQPUEFC-IEBWSBKVSA-N 0 3 316.489 4.251 20 0 DIADHN CC(C)c1ccc([C@H](C)NC(=O)c2ccccc2CN(C)C)cc1 ZINC000498013700 333320082 /nfs/dbraw/zinc/32/00/82/333320082.db2.gz UVCXSFCGWOICBN-INIZCTEOSA-N 0 3 324.468 4.363 20 0 DIADHN Cc1ccc([C@H](C)N(C)Cc2cc3ccccc3[nH]c2=O)cc1 ZINC000110107409 333326521 /nfs/dbraw/zinc/32/65/21/333326521.db2.gz RXPJKBUXBVBEHC-HNNXBMFYSA-N 0 3 306.409 4.030 20 0 DIADHN CO[C@]1(C)C[C@@H](NCc2cnc(-c3cccs3)s2)C1(C)C ZINC000531199669 333331094 /nfs/dbraw/zinc/33/10/94/333331094.db2.gz FCZINGFSXHIDQS-CZUORRHYSA-N 0 3 322.499 4.165 20 0 DIADHN C[C@H](NCC1=Cc2ccccc2OC1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000531213896 333335341 /nfs/dbraw/zinc/33/53/41/333335341.db2.gz QNLRBLNCWPKDBU-GXZWQRSESA-N 0 3 321.420 4.005 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](CC)c1ccc(CC)cc1 ZINC000537938553 333336952 /nfs/dbraw/zinc/33/69/52/333336952.db2.gz DWIXRVGBSXBCDH-SFHVURJKSA-N 0 3 313.489 4.348 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2-n2cccn2)cc(C)c1F ZINC000537939781 333340767 /nfs/dbraw/zinc/34/07/67/333340767.db2.gz FITJJOWJPXQFIP-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN c1ccc2c(c1)C=C(CNC[C@H]1SCCc3ccccc31)CO2 ZINC000531390952 333349067 /nfs/dbraw/zinc/34/90/67/333349067.db2.gz NFCAJICIFMFKBQ-HXUWFJFHSA-N 0 3 323.461 4.083 20 0 DIADHN CC(C)OC1CCN(CCOc2cccc(C(C)(C)C)c2)CC1 ZINC000531403772 333350073 /nfs/dbraw/zinc/35/00/73/333350073.db2.gz XBWOFNCNSJXBBK-UHFFFAOYSA-N 0 3 319.489 4.252 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC1CCSCC1 ZINC000183058967 333352130 /nfs/dbraw/zinc/35/21/30/333352130.db2.gz OZWSBNIDYXBSDT-UHFFFAOYSA-N 0 3 313.466 4.347 20 0 DIADHN COc1cc(C)c(CN[C@@H](c2cccs2)C2CC2)cc1OC ZINC000531438887 333353266 /nfs/dbraw/zinc/35/32/66/333353266.db2.gz ITQGRMOBSDPZNM-GOSISDBHSA-N 0 3 317.454 4.315 20 0 DIADHN C[C@@H](CNCc1ccc(CSC(F)F)o1)c1nccs1 ZINC000184288712 333354569 /nfs/dbraw/zinc/35/45/69/333354569.db2.gz GMCZKHDJZJOQRL-VIFPVBQESA-N 0 3 318.414 4.085 20 0 DIADHN CC(C(=O)Nc1ccc(Cl)c(C(F)(F)F)c1)C(F)(F)F ZINC000463239090 333356601 /nfs/dbraw/zinc/35/66/01/333356601.db2.gz UKLMOCDKPDARKZ-RXMQYKEDSA-N 0 3 319.632 4.496 20 0 DIADHN CCc1ccc([C@H](NCc2cnn(CC(F)F)c2)C(C)C)cc1 ZINC000323493240 333357348 /nfs/dbraw/zinc/35/73/48/333357348.db2.gz SPOWEAASCIFKRQ-GOSISDBHSA-N 0 3 321.415 4.198 20 0 DIADHN C[C@@H](NC[C@]1(C)CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000397302507 333358547 /nfs/dbraw/zinc/35/85/47/333358547.db2.gz OXEVVNDSNNGTGE-OTYXRUKQSA-N 0 3 306.208 4.210 20 0 DIADHN C[C@H](NC[C@@]1(C)CCOC1)c1cc(F)c(Cl)cc1Cl ZINC000397302505 333358579 /nfs/dbraw/zinc/35/85/79/333358579.db2.gz OXEVVNDSNNGTGE-LKFCYVNXSA-N 0 3 306.208 4.210 20 0 DIADHN C[C@@H](NCCOc1ccc(F)cc1)c1nccc2ccccc21 ZINC000583470092 333360234 /nfs/dbraw/zinc/36/02/34/333360234.db2.gz UCAFCPFXHLJPJF-CQSZACIVSA-N 0 3 310.372 4.104 20 0 DIADHN C[C@@H]1C[C@@H](NCC(=O)Nc2ccc(Cl)cc2)c2ccccc21 ZINC000521502891 333368395 /nfs/dbraw/zinc/36/83/95/333368395.db2.gz HYKOFCIFOXNLFW-SJKOYZFVSA-N 0 3 314.816 4.117 20 0 DIADHN C[C@H](NCCCOCC1CC1)c1cccc(Cl)c1Cl ZINC000221834909 333368911 /nfs/dbraw/zinc/36/89/11/333368911.db2.gz PFULOCXLDVTUGJ-NSHDSACASA-N 0 3 302.245 4.461 20 0 DIADHN C[C@@H](NCCCOCC1CC1)c1cccc(Cl)c1Cl ZINC000221834852 333369000 /nfs/dbraw/zinc/36/90/00/333369000.db2.gz PFULOCXLDVTUGJ-LLVKDONJSA-N 0 3 302.245 4.461 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccc(OC(F)(F)F)cc1 ZINC000221854158 333370469 /nfs/dbraw/zinc/37/04/69/333370469.db2.gz LQHNRGXPDUNGLU-QMMMGPOBSA-N 0 3 301.230 4.016 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(OC(F)(F)F)cc1 ZINC000221854214 333370523 /nfs/dbraw/zinc/37/05/23/333370523.db2.gz LQHNRGXPDUNGLU-MRVPVSSYSA-N 0 3 301.230 4.016 20 0 DIADHN Cc1ccc(N[C@H]2CS[C@H](C(C)(C)C)C2)c(CN(C)C)c1 ZINC000488327097 333372100 /nfs/dbraw/zinc/37/21/00/333372100.db2.gz DJPGWUXLZJRIST-WBVHZDCISA-N 0 3 306.519 4.389 20 0 DIADHN C[C@@H](NCc1c2c(nn1C)CCCC2)c1cccc2ccccc21 ZINC000461103055 333374921 /nfs/dbraw/zinc/37/49/21/333374921.db2.gz QXQVDFYLYAERSF-OAHLLOKOSA-N 0 3 319.452 4.303 20 0 DIADHN CC(C)(O)c1ccc(CN[C@H]2CCSc3ccccc32)cc1 ZINC000186613561 333375379 /nfs/dbraw/zinc/37/53/79/333375379.db2.gz YIXQPDLDMQXMCY-KRWDZBQOSA-N 0 3 313.466 4.241 20 0 DIADHN C[C@H](CN1CCc2c(cnn2-c2ccccc2)C1)c1ccccc1 ZINC000531453104 333375600 /nfs/dbraw/zinc/37/56/00/333375600.db2.gz ZXZMHQICSWYYQO-QGZVFWFLSA-N 0 3 317.436 4.034 20 0 DIADHN CC(C(=O)Nc1ccc(-c2cnc(C3CC3)o2)cc1)C(F)(F)F ZINC000463402881 333376330 /nfs/dbraw/zinc/37/63/30/333376330.db2.gz IHOCLMKOTJETGX-SECBINFHSA-N 0 3 324.302 4.356 20 0 DIADHN COc1ccccc1[C@@H](C)NCc1c(C)c2ccccc2n1C ZINC000323537958 333376680 /nfs/dbraw/zinc/37/66/80/333376680.db2.gz OZNUNASOJCIZRR-OAHLLOKOSA-N 0 3 308.425 4.346 20 0 DIADHN COC[C@H](N[C@H]1CS[C@@H](C(C)(C)C)C1)c1ccc(F)cc1 ZINC000488442680 333377825 /nfs/dbraw/zinc/37/78/25/333377825.db2.gz FKZPPLUXNWVLGE-OWCLPIDISA-N 0 3 311.466 4.023 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCO2)c1cc2ccccc2s1 ZINC000186874521 333380048 /nfs/dbraw/zinc/38/00/48/333380048.db2.gz FRUNFWARZPTARS-GFCCVEGCSA-N 0 3 311.406 4.481 20 0 DIADHN CCC(CC)[C@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000391939062 335137301 /nfs/dbraw/zinc/13/73/01/335137301.db2.gz ZVDCUWMTLITUKU-SFHVURJKSA-N 0 3 321.415 4.415 20 0 DIADHN CCC(CC)[C@@H](NCc1cnn(CC(F)F)c1)c1ccccc1 ZINC000391939061 335137312 /nfs/dbraw/zinc/13/73/12/335137312.db2.gz ZVDCUWMTLITUKU-GOSISDBHSA-N 0 3 321.415 4.415 20 0 DIADHN C[C@H](NC[C@](C)(O)C1CCCCC1)c1ccc2ccccc2n1 ZINC000188662689 333384564 /nfs/dbraw/zinc/38/45/64/333384564.db2.gz QIYZCBBCNJGMAR-YWZLYKJASA-N 0 3 312.457 4.217 20 0 DIADHN C[C@@H](N[C@H]1CCSc2ccccc21)c1ccc2c(c1)OCO2 ZINC000121765383 333388118 /nfs/dbraw/zinc/38/81/18/333388118.db2.gz IYYMIGSVFMMVPZ-DOMZBBRYSA-N 0 3 313.422 4.303 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2cc(Cl)cc3c2OCC3)C1 ZINC000228558226 333389960 /nfs/dbraw/zinc/38/99/60/333389960.db2.gz DNNRLHZLPHLYAZ-LSDHHAIUSA-N 0 3 311.878 4.039 20 0 DIADHN F[C@H]1CCC[C@H](NCc2cnc(CCc3ccccc3)s2)C1 ZINC000563121664 333392870 /nfs/dbraw/zinc/39/28/70/333392870.db2.gz ARGAXEQDAOJJMD-HOTGVXAUSA-N 0 3 318.461 4.299 20 0 DIADHN F[C@@H]1CC[C@H](NCc2ccc(Cl)cc2Br)C1 ZINC000390818254 333396198 /nfs/dbraw/zinc/39/61/98/333396198.db2.gz QJRRNWCGHJCYFE-MNOVXSKESA-N 0 3 306.606 4.083 20 0 DIADHN C[C@@H](NCc1ccsc1)c1ccc(OC(F)(F)F)cc1 ZINC000057359426 333396845 /nfs/dbraw/zinc/39/68/45/333396845.db2.gz RWYKYPXTUDWDQB-SNVBAGLBSA-N 0 3 301.333 4.498 20 0 DIADHN Cc1ncsc1CCNCc1cccc(Cl)c1Cl ZINC000391072646 333419062 /nfs/dbraw/zinc/41/90/62/333419062.db2.gz HNIYRRKSYLBCKP-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CNC(C)(C)c1ccccc1 ZINC000319989211 333420523 /nfs/dbraw/zinc/42/05/23/333420523.db2.gz YCZFHNPBJTVFLP-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN CC[C@@H](NCc1ccnc(C)c1)c1cccc(Br)c1 ZINC000391127052 333420785 /nfs/dbraw/zinc/42/07/85/333420785.db2.gz AGXDACLUQGGNHQ-MRXNPFEDSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](c2ccco2)N2CCCC2)c(C)c1 ZINC000320079990 333423832 /nfs/dbraw/zinc/42/38/32/333423832.db2.gz VXVNLCMVWJJLIS-MJGOQNOKSA-N 0 3 312.457 4.384 20 0 DIADHN c1ccc([C@@H]2CCCC[C@@H]2NCc2nc3ccccc3[nH]2)cc1 ZINC000320093067 333424628 /nfs/dbraw/zinc/42/46/28/333424628.db2.gz DGRPAUMUONGXLF-IRXDYDNUSA-N 0 3 305.425 4.379 20 0 DIADHN CCOCCCNCc1ccc(-c2nc3ccccc3s2)o1 ZINC000061003740 333425546 /nfs/dbraw/zinc/42/55/46/333425546.db2.gz FPFIZJKZMMCRAD-UHFFFAOYSA-N 0 3 316.426 4.073 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H](c1ccccc1)C1CC1)CCO2 ZINC000320118504 333426188 /nfs/dbraw/zinc/42/61/88/333426188.db2.gz XRUUMGDZWKHWPP-AZUAARDMSA-N 0 3 309.409 4.260 20 0 DIADHN CSCc1cccc(CNCc2cccc(-n3cccn3)c2)c1 ZINC000525833485 333436841 /nfs/dbraw/zinc/43/68/41/333436841.db2.gz FLBICPXERYNTPG-UHFFFAOYSA-N 0 3 323.465 4.025 20 0 DIADHN CCc1ccc(CNCCCc2c[nH]c3ccc(F)cc23)o1 ZINC000337291682 333445497 /nfs/dbraw/zinc/44/54/97/333445497.db2.gz OJWNXKGWACGOGD-UHFFFAOYSA-N 0 3 300.377 4.185 20 0 DIADHN C[C@H](NC[C@H](c1cccs1)N(C)C)c1cccc(Cl)c1 ZINC000021792902 333451484 /nfs/dbraw/zinc/45/14/84/333451484.db2.gz YWVXXEPISNAYPF-SWLSCSKDSA-N 0 3 308.878 4.355 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2CCCCO2)sc1Br ZINC000512082709 333518739 /nfs/dbraw/zinc/51/87/39/333518739.db2.gz GUCHBKFFFGQBDF-GHMZBOCLSA-N 0 3 318.280 4.039 20 0 DIADHN CCN(CC)c1ncc(CN[C@H]2CCCc3ccccc32)s1 ZINC000124016522 333486173 /nfs/dbraw/zinc/48/61/73/333486173.db2.gz NLFVFAZSIWOVJT-KRWDZBQOSA-N 0 3 315.486 4.157 20 0 DIADHN Cc1cc(CCN[C@H](C)c2ccc(SC(C)C)cc2)on1 ZINC000563282816 333520459 /nfs/dbraw/zinc/52/04/59/333520459.db2.gz TZEDXNFPJORUHS-CQSZACIVSA-N 0 3 304.459 4.377 20 0 DIADHN Fc1ccc([C@H](NCCC2CCOCC2)c2ccccc2)cc1 ZINC000391981742 335145891 /nfs/dbraw/zinc/14/58/91/335145891.db2.gz RAEXFXCRXJWDQX-HXUWFJFHSA-N 0 3 313.416 4.321 20 0 DIADHN CCc1ccc(CNC[C@H]2CCCO[C@H]2c2ccc(C)cc2)o1 ZINC000531612529 333538044 /nfs/dbraw/zinc/53/80/44/333538044.db2.gz UWMOLVPQQHBIIK-XLIONFOSSA-N 0 3 313.441 4.408 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@H]2CSc3ccccc32)C1 ZINC000531712858 333549459 /nfs/dbraw/zinc/54/94/59/333549459.db2.gz NBNPUWRXHROHBG-AYBZRNKSSA-N 0 3 324.493 4.259 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccoc1)Oc1ccccc1Br ZINC000531737785 333551857 /nfs/dbraw/zinc/55/18/57/333551857.db2.gz VBVICTSLOSHPSM-NEPJUHHUSA-N 0 3 324.218 4.160 20 0 DIADHN C[C@H](CCN[C@H](C)c1nc2ccccc2n1C)c1ccccc1 ZINC000320815504 335147876 /nfs/dbraw/zinc/14/78/76/335147876.db2.gz BEBQUDCQEKOJBP-HZPDHXFCSA-N 0 3 307.441 4.418 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CCCc2ccc(Cl)cc21)c1ccco1 ZINC000534235684 333568349 /nfs/dbraw/zinc/56/83/49/333568349.db2.gz MVVZWGZPKQDIJV-SJORKVTESA-N 0 3 318.848 4.203 20 0 DIADHN OC[C@H](N[C@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000534237348 333569465 /nfs/dbraw/zinc/56/94/65/333569465.db2.gz PYBHXUSFPSNUIK-ROUUACIJSA-N 0 3 301.817 4.041 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC2CCC3(CCOCC3)CC2)o1 ZINC000343346068 335148447 /nfs/dbraw/zinc/14/84/47/335148447.db2.gz HTXCCKIHVMKMJA-PBHICJAKSA-N 0 3 303.446 4.232 20 0 DIADHN Fc1c(Cl)cccc1CNCCc1ccc2ccccc2n1 ZINC000531848303 333576457 /nfs/dbraw/zinc/57/64/57/333576457.db2.gz MDDCGWYXMUKTCD-UHFFFAOYSA-N 0 3 314.791 4.360 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@H](C)c2cccc(C)c2)c2ccccc21 ZINC000339752098 333589222 /nfs/dbraw/zinc/58/92/22/333589222.db2.gz JIMKARFLIVTSLL-DNVCBOLYSA-N 0 3 308.425 4.144 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCCCC1)c1cnccn1 ZINC000152083114 333598826 /nfs/dbraw/zinc/59/88/26/333598826.db2.gz QTVSANIJMYTFOG-HNNXBMFYSA-N 0 3 313.420 4.168 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]1C)c1nnc(-c2ccccc2F)s1 ZINC000563464187 333609459 /nfs/dbraw/zinc/60/94/59/333609459.db2.gz QTEFNIUVKBURRX-COPLHBTASA-N 0 3 305.422 4.183 20 0 DIADHN CC[C@@H](NCc1ccc(Cn2ccnc2)cc1)c1cccs1 ZINC000152103846 333610470 /nfs/dbraw/zinc/61/04/70/333610470.db2.gz GSIMHERYBSLSBZ-QGZVFWFLSA-N 0 3 311.454 4.234 20 0 DIADHN c1onc(Cc2ccccc2)c1CN[C@H]1C[C@H]1C1CCCCC1 ZINC000563500460 333613378 /nfs/dbraw/zinc/61/33/78/333613378.db2.gz HSPKSUVWTFFSDQ-ICSRJNTNSA-N 0 3 310.441 4.324 20 0 DIADHN Cc1ccccc1NC(=O)c1cccc(CN2CCCCC2)c1 ZINC000411127770 333636189 /nfs/dbraw/zinc/63/61/89/333636189.db2.gz CBFQRONXZDUYRE-UHFFFAOYSA-N 0 3 308.425 4.233 20 0 DIADHN C[C@H](NCc1ccccc1-n1ccnc1)c1cc2c(s1)CCC2 ZINC000152244070 333650708 /nfs/dbraw/zinc/65/07/08/333650708.db2.gz TZGIMRQPGBUYCA-AWEZNQCLSA-N 0 3 323.465 4.273 20 0 DIADHN C[C@H](NCCc1cncs1)c1ccc(Cl)cc1Cl ZINC000411319575 333653010 /nfs/dbraw/zinc/65/30/10/333653010.db2.gz DYKBACVZWOEUIW-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN CCOCc1ccc(CNCc2ccc(SC)s2)cc1 ZINC000571092495 333659215 /nfs/dbraw/zinc/65/92/15/333659215.db2.gz RLBHCDSZOBNIIO-UHFFFAOYSA-N 0 3 307.484 4.296 20 0 DIADHN COc1cc([C@@H](C)NC[C@H]2CCC(C)(C)O2)ccc1OC(C)C ZINC000411448474 333671068 /nfs/dbraw/zinc/67/10/68/333671068.db2.gz LBXMHMZCOZIUCZ-GDBMZVCRSA-N 0 3 321.461 4.091 20 0 DIADHN CC[C@@H](NCCC(=O)Nc1cc(F)cc(F)c1)c1cccs1 ZINC000411511849 333686256 /nfs/dbraw/zinc/68/62/56/333686256.db2.gz KATPSSVTEPMWCH-CQSZACIVSA-N 0 3 324.396 4.096 20 0 DIADHN C[C@H](NCCOCC(F)(F)C(F)F)c1cccc(C2CC2)c1 ZINC000571230489 333686404 /nfs/dbraw/zinc/68/64/04/333686404.db2.gz YICFTVCGSFJDEC-NSHDSACASA-N 0 3 319.342 4.132 20 0 DIADHN Cc1ccc(OCCN[C@@H]2CCCNc3ccccc32)c(C)c1 ZINC000571245932 333690031 /nfs/dbraw/zinc/69/00/31/333690031.db2.gz DMBLVNGIQMUUGS-LJQANCHMSA-N 0 3 310.441 4.219 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3CCCC[C@@H]3n3cccn3)cc2c1 ZINC000411556960 333693500 /nfs/dbraw/zinc/69/35/00/333693500.db2.gz JUDXQZQNQZTCOE-PMACEKPBSA-N 0 3 320.440 4.013 20 0 DIADHN CCCOCc1ccccc1CNc1ccnc2ccccc21 ZINC000571290950 333707050 /nfs/dbraw/zinc/70/70/50/333707050.db2.gz CMPHUZDDWFXNKF-UHFFFAOYSA-N 0 3 306.409 4.195 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(c3ccncn3)CC2)cc1 ZINC000411830493 333708556 /nfs/dbraw/zinc/70/85/56/333708556.db2.gz QMMHVKGOZSMUDQ-UHFFFAOYSA-N 0 3 309.457 4.154 20 0 DIADHN CO[C@H]1c2ccccc2C[C@H]1NCc1ccc(C(F)F)cc1 ZINC000411894205 333710405 /nfs/dbraw/zinc/71/04/05/333710405.db2.gz PVPDLNRPOBLLML-SJORKVTESA-N 0 3 303.352 4.026 20 0 DIADHN CC(C)CCOc1ccc(CN[C@@H](C)c2cc[nH]c(=O)c2)cc1 ZINC000571297989 333717624 /nfs/dbraw/zinc/71/76/24/333717624.db2.gz IOSVCLKFTFNSSW-HNNXBMFYSA-N 0 3 314.429 4.063 20 0 DIADHN Cc1cncc(CN[C@H](c2ccccc2)C2(CO)CCCCC2)c1 ZINC000412006539 333722295 /nfs/dbraw/zinc/72/22/95/333722295.db2.gz HMYRCUUWNQWYCJ-HXUWFJFHSA-N 0 3 324.468 4.164 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000412230436 333741079 /nfs/dbraw/zinc/74/10/79/333741079.db2.gz ONNOFGZYRYFQHZ-APWZRJJASA-N 0 3 314.473 4.076 20 0 DIADHN CC[C@@H](C(=O)Nc1ccc(CN(C)C)cc1F)c1ccccc1 ZINC000412562309 333764279 /nfs/dbraw/zinc/76/42/79/333764279.db2.gz WIJDSHLQIDGYOE-MRXNPFEDSA-N 0 3 314.404 4.020 20 0 DIADHN Fc1cccc(C2CCN(CC3CC(F)(F)C3)CC2)c1F ZINC000571392765 333781150 /nfs/dbraw/zinc/78/11/50/333781150.db2.gz FATBOOZCVOPXDF-UHFFFAOYSA-N 0 3 301.327 4.190 20 0 DIADHN CCc1nnc(CN[C@H](C)C2(c3ccccc3)CCCC2)s1 ZINC000571453732 333790968 /nfs/dbraw/zinc/79/09/68/333790968.db2.gz APFUZZGPZKSTIJ-CQSZACIVSA-N 0 3 315.486 4.091 20 0 DIADHN c1ccc2c(CN[C@@H]3CCC[C@H](c4cccnc4)C3)noc2c1 ZINC000571580704 333817545 /nfs/dbraw/zinc/81/75/45/333817545.db2.gz AMWGWVFYYZNEJE-GOEBONIOSA-N 0 3 307.397 4.039 20 0 DIADHN Clc1ccc(CN[C@@H]2CCO[C@H]2c2cccnc2)cc1Cl ZINC000343411498 335162972 /nfs/dbraw/zinc/16/29/72/335162972.db2.gz VMIRXNZYMUWYNZ-CVEARBPZSA-N 0 3 323.223 4.008 20 0 DIADHN C[C@@H](N[C@@H](C)CCCc1cccnc1)c1ccc(Cl)cn1 ZINC000414136636 333901264 /nfs/dbraw/zinc/90/12/64/333901264.db2.gz DFWSRFAXOSPODO-UONOGXRCSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1CCO)c1csc(-c2ccccc2)n1 ZINC000414139389 333901426 /nfs/dbraw/zinc/90/14/26/333901426.db2.gz QJNGAGCWKFBJHA-DZKIICNBSA-N 0 3 316.470 4.012 20 0 DIADHN C[C@@H](N[C@H](C)[C@@H]1C[C@H]1c1ccccc1)c1nc2c(s1)CCC2 ZINC000414141289 333901723 /nfs/dbraw/zinc/90/17/23/333901723.db2.gz IFOAGMSRNIUTCF-VDERGJSUSA-N 0 3 312.482 4.475 20 0 DIADHN Cc1nnc([C@H](C)N[C@H]2CC[C@H](c3cccc(Cl)c3)C2)s1 ZINC000414145460 333902551 /nfs/dbraw/zinc/90/25/51/333902551.db2.gz UOWLFEWDQCYADX-XEGUGMAKSA-N 0 3 321.877 4.487 20 0 DIADHN CC(C)[C@H](N[C@@H]1C[C@H]1c1ccccc1F)c1ccc(F)cn1 ZINC000414182874 333910027 /nfs/dbraw/zinc/91/00/27/333910027.db2.gz NFGQSQGBPUPAPG-QGTPRVQTSA-N 0 3 302.368 4.203 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc([S@](C)=O)cc1)c1ccc(Cl)cc1 ZINC000414184567 333910372 /nfs/dbraw/zinc/91/03/72/333910372.db2.gz FWFUSKIUPZNVBM-ZNLKAECVSA-N 0 3 321.873 4.489 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H]2C[C@H]2c2c(F)cccc2Cl)cn1 ZINC000414190881 333911518 /nfs/dbraw/zinc/91/15/18/333911518.db2.gz TXLMSJBGKGHIRU-NORZTCDRSA-N 0 3 321.827 4.463 20 0 DIADHN COCC[C@@H](C)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000414199976 333913204 /nfs/dbraw/zinc/91/32/04/333913204.db2.gz LEVKNQOJGJSFKY-ZIAGYGMSSA-N 0 3 304.459 4.133 20 0 DIADHN CC[C@H](N[C@H]1CS[C@H](C)C1)c1ccccc1OC(F)F ZINC000414222794 333924260 /nfs/dbraw/zinc/92/42/60/333924260.db2.gz FRTJMPXRICJWDR-WZRBSPASSA-N 0 3 301.402 4.223 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](c2ccc(Cl)cc2)C1)c1cnccn1 ZINC000414232671 333927083 /nfs/dbraw/zinc/92/70/83/333927083.db2.gz IGUNWIRKVNZRDO-BJJXKVORSA-N 0 3 301.821 4.117 20 0 DIADHN C[C@H](NC[C@H]1C[C@@H](C)O[C@@H]1C)c1csc(-c2ccccc2)n1 ZINC000414269050 333931027 /nfs/dbraw/zinc/93/10/27/333931027.db2.gz UYJJHYWOQPIEBW-HLPPOEQASA-N 0 3 316.470 4.274 20 0 DIADHN C[C@H](CN[C@H]1CCc2cc(F)c(F)c(F)c21)CC(F)(F)F ZINC000414277875 333932328 /nfs/dbraw/zinc/93/23/28/333932328.db2.gz ZXNWAFFXIPFJTK-XVKPBYJWSA-N 0 3 311.269 4.269 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@H](C)N[C@@H]2CCCC[C@H]2F)cc1 ZINC000414283551 333933440 /nfs/dbraw/zinc/93/34/40/333933440.db2.gz JKYGRJWBUATULA-IAOVAPTHSA-N 0 3 321.440 4.148 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@H]1N[C@H](c1ccccc1)c1cncnc1 ZINC000414294294 333934853 /nfs/dbraw/zinc/93/48/53/333934853.db2.gz DRNVVXGOUSXYQH-GUDVDZBRSA-N 0 3 309.457 4.370 20 0 DIADHN COc1cccc2cc([C@@H](C)N[C@@H](C)C3(OC)CCC3)oc21 ZINC000414300375 333936058 /nfs/dbraw/zinc/93/60/58/333936058.db2.gz ITXUBBOPVYRYTP-OLZOCXBDSA-N 0 3 303.402 4.050 20 0 DIADHN C[C@H](NCC(C)(C)c1cccnc1)c1cnn(C2CCCC2)c1 ZINC000414327881 333940767 /nfs/dbraw/zinc/94/07/67/333940767.db2.gz GVDHEKYHVDHPPP-HNNXBMFYSA-N 0 3 312.461 4.022 20 0 DIADHN C[C@@H](N[C@@H]1C[C@H]1c1ccco1)c1cc(Br)cs1 ZINC000414352857 333944259 /nfs/dbraw/zinc/94/42/59/333944259.db2.gz UZHXSZCFFNMLJV-FBIMIBRVSA-N 0 3 312.232 4.310 20 0 DIADHN CCc1ncc(CN[C@@H](C)Cc2c(Cl)cccc2Cl)cn1 ZINC000414361447 333945125 /nfs/dbraw/zinc/94/51/25/333945125.db2.gz CLABTYFYIRCFBB-NSHDSACASA-N 0 3 324.255 4.067 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(CC(C)C)cc2)C2CC2)cn1 ZINC000414351180 333946015 /nfs/dbraw/zinc/94/60/15/333946015.db2.gz VHSWNSAOLZQAQM-NRFANRHFSA-N 0 3 323.484 4.478 20 0 DIADHN CN(CC[C@H]1CCCO1)Cc1ccc(Oc2ccccc2)o1 ZINC000343466346 335167163 /nfs/dbraw/zinc/16/71/63/335167163.db2.gz JEMZVAZWZKFOGU-OAHLLOKOSA-N 0 3 301.386 4.073 20 0 DIADHN CCc1ncc(CNC(CC)(CC)c2ccc(Cl)cc2)cn1 ZINC000414393302 333960192 /nfs/dbraw/zinc/96/01/92/333960192.db2.gz NQVXNCCBWQRSJL-UHFFFAOYSA-N 0 3 317.864 4.498 20 0 DIADHN C[C@@H]1OCC[C@]1(C)NCc1cc(Cl)cc(C(F)(F)F)c1 ZINC000436409839 333960363 /nfs/dbraw/zinc/96/03/63/333960363.db2.gz JMIHBOCATHDHQB-ZANVPECISA-N 0 3 307.743 4.016 20 0 DIADHN CCCC[C@H](COC)N[C@H]1CCSc2ccc(OC)cc21 ZINC000343472734 335167743 /nfs/dbraw/zinc/16/77/43/335167743.db2.gz VZFXFYQISRUPKY-CJNGLKHVSA-N 0 3 309.475 4.027 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1C[C@@](C)(OC)C1(C)C)CCS2 ZINC000343470325 335167829 /nfs/dbraw/zinc/16/78/29/335167829.db2.gz RONMYQBTBVKKDB-UWWQBHOKSA-N 0 3 321.486 4.025 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCC[C@H]([C@H]4CCOC4)C3)CCC2)n1 ZINC000343475653 335167944 /nfs/dbraw/zinc/16/79/44/335167944.db2.gz ULYRJUWTVUAXHP-HRCADAONSA-N 0 3 320.502 4.016 20 0 DIADHN Cc1csc(C2(N[C@@H]3CCC[C@@H]([C@@H]4CCOC4)C3)CCC2)n1 ZINC000343475651 335167991 /nfs/dbraw/zinc/16/79/91/335167991.db2.gz ULYRJUWTVUAXHP-BZUAXINKSA-N 0 3 320.502 4.016 20 0 DIADHN CN(C)Cc1ccc(N[C@H]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000414502023 333999422 /nfs/dbraw/zinc/99/94/22/333999422.db2.gz TVOBKPFOWKAXCQ-STQMWFEESA-N 0 3 318.358 4.420 20 0 DIADHN C[C@H]1C[C@H](NCc2cnc(-c3ccc(Cl)cc3)s2)CS1 ZINC000414503826 334000428 /nfs/dbraw/zinc/00/04/28/334000428.db2.gz WXAKKGOEOOJOFR-GWCFXTLKSA-N 0 3 324.902 4.447 20 0 DIADHN CC1(C)CCC(C)(CNCc2nccn2CC(F)(F)F)CC1 ZINC000414505914 334000733 /nfs/dbraw/zinc/00/07/33/334000733.db2.gz RPWBTWPWZGTPJK-UHFFFAOYSA-N 0 3 317.399 4.142 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cnc(C2CCCC2)s1)C1CC1 ZINC000414505878 334000866 /nfs/dbraw/zinc/00/08/66/334000866.db2.gz VOZPISKGUOTEMY-SJKOYZFVSA-N 0 3 308.491 4.094 20 0 DIADHN CC(C)n1cc(CN2C[C@@H](c3ccccc3)C3(CCC3)C2)cn1 ZINC000414507167 334001217 /nfs/dbraw/zinc/00/12/17/334001217.db2.gz ANADWVMDWHFGLF-IBGZPJMESA-N 0 3 309.457 4.234 20 0 DIADHN C[C@H](C[NH2+]Cc1cc([O-])cc(C(F)(F)F)c1)CC(F)(F)F ZINC000414510701 334002874 /nfs/dbraw/zinc/00/28/74/334002874.db2.gz FKOMPCFYJZVQGF-QMMMGPOBSA-N 0 3 315.257 4.089 20 0 DIADHN CC[C@H](CNCc1cnc(C(F)(F)F)s1)CC(F)(F)F ZINC000414516274 334004363 /nfs/dbraw/zinc/00/43/63/334004363.db2.gz NYVCTDNMYBUFLG-ZETCQYMHSA-N 0 3 320.302 4.230 20 0 DIADHN COCC1CCC(NCc2ncc(-c3ccccc3)s2)CC1 ZINC000414517098 334004907 /nfs/dbraw/zinc/00/49/07/334004907.db2.gz YHRDWDWNGKWFOE-UHFFFAOYSA-N 0 3 316.470 4.105 20 0 DIADHN CC(C)Oc1ccc(CN2CC3(CCOCC3)[C@H]2C(C)C)cc1 ZINC000414519200 334005509 /nfs/dbraw/zinc/00/55/09/334005509.db2.gz CDPRGRWKIKKIPA-LJQANCHMSA-N 0 3 317.473 4.111 20 0 DIADHN CN(Cc1ccn(C(F)F)n1)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000414527293 334008292 /nfs/dbraw/zinc/00/82/92/334008292.db2.gz MOJJGJUIEMFMEM-SJORKVTESA-N 0 3 319.399 4.436 20 0 DIADHN CC[C@@H](NCc1cnc(-c2ccc(C)cc2)s1)[C@H](O)C(C)C ZINC000414536748 334012660 /nfs/dbraw/zinc/01/26/60/334012660.db2.gz XWFKQWZJPVRGOJ-IAGOWNOFSA-N 0 3 318.486 4.004 20 0 DIADHN CC(C)[C@@H]1N(C/C=C/c2ccc(F)cc2F)CC12CCOCC2 ZINC000414538718 334012687 /nfs/dbraw/zinc/01/26/87/334012687.db2.gz MLSXHLSTYCOJGM-WHLIDYPQSA-N 0 3 321.411 4.115 20 0 DIADHN CC(C)(C)CN(CCCO)Cc1cnc(-c2cccs2)s1 ZINC000093146226 334014425 /nfs/dbraw/zinc/01/44/25/334014425.db2.gz LKYVIBQKSOAALN-UHFFFAOYSA-N 0 3 324.515 4.102 20 0 DIADHN CC(C)OCC(C)(C)NCc1ccc(-c2ccncc2)cc1F ZINC000414546422 334014996 /nfs/dbraw/zinc/01/49/96/334014996.db2.gz HCQNCBCQXYOETG-UHFFFAOYSA-N 0 3 316.420 4.181 20 0 DIADHN COc1cccc([C@@H]2C[C@H]2CNCc2ncc(C(C)C)s2)c1 ZINC000414551953 334015862 /nfs/dbraw/zinc/01/58/62/334015862.db2.gz FYGLNBBNVZHMNT-HOCLYGCPSA-N 0 3 316.470 4.168 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](C)c2ccccc21)c1ccc([S@@](C)=O)cc1 ZINC000271483504 334023961 /nfs/dbraw/zinc/02/39/61/334023961.db2.gz NSSFRKVCCLNVNQ-OGMTXWBYSA-N 0 3 313.466 4.323 20 0 DIADHN OC[C@H]1CCC[C@@H](NCc2ccc(-c3ccccc3Cl)o2)C1 ZINC000414577684 334025914 /nfs/dbraw/zinc/02/59/14/334025914.db2.gz OZFYKPPOAQFFNG-UONOGXRCSA-N 0 3 319.832 4.241 20 0 DIADHN C[C@H](Cc1ccccc1C(F)(F)F)N[C@@H](C)c1cncs1 ZINC000272498333 334035655 /nfs/dbraw/zinc/03/56/55/334035655.db2.gz DJDOGNCGZMVKBP-MNOVXSKESA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1ccccc1C(F)(F)F)c1cncs1 ZINC000272498338 334035700 /nfs/dbraw/zinc/03/57/00/334035700.db2.gz DJDOGNCGZMVKBP-WDEREUQCSA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H]1C[C@H](NCc2ccc(Cn3ccnc3)cc2)c2ccccc21 ZINC000343526510 335174877 /nfs/dbraw/zinc/17/48/77/335174877.db2.gz JRIFTSPHGMBXHH-IERDGZPVSA-N 0 3 317.436 4.270 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CNCCC1=CCCCCC1 ZINC000274324591 334059811 /nfs/dbraw/zinc/05/98/11/334059811.db2.gz USFZSPQTJPDCKV-INIZCTEOSA-N 0 3 306.475 4.365 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2cnn(C(C)(C)C)c2C)cc1 ZINC000276387085 334076960 /nfs/dbraw/zinc/07/69/60/334076960.db2.gz QVKSZUNJGNFIGD-CQSZACIVSA-N 0 3 315.461 4.196 20 0 DIADHN Cc1c([C@H](C)NCc2ccc(OC(C)C)cc2)cnn1C(C)C ZINC000276411918 334077420 /nfs/dbraw/zinc/07/74/20/334077420.db2.gz UUCOTLNVRHJEGR-HNNXBMFYSA-N 0 3 315.461 4.410 20 0 DIADHN C[C@@H](N[C@@H](C)COCC(F)(F)F)c1csc(Cl)c1 ZINC000276415046 334077741 /nfs/dbraw/zinc/07/77/41/334077741.db2.gz VDHYIHIMFFCQHI-JGVFFNPUSA-N 0 3 301.761 4.020 20 0 DIADHN C[C@@H](COCC(F)(F)F)N[C@@H](C)c1csc(Cl)c1 ZINC000276415057 334077777 /nfs/dbraw/zinc/07/77/77/334077777.db2.gz VDHYIHIMFFCQHI-YUMQZZPRSA-N 0 3 301.761 4.020 20 0 DIADHN CC(C)O[C@@H](CN1CCC(OC(C)C)CC1)c1ccccc1 ZINC000572817409 334084861 /nfs/dbraw/zinc/08/48/61/334084861.db2.gz AOCGGMHPTLFFSZ-IBGZPJMESA-N 0 3 305.462 4.042 20 0 DIADHN COC1(C[C@@H](C)NCc2coc(-c3ccc(C)cc3)n2)CCC1 ZINC000277040658 334086165 /nfs/dbraw/zinc/08/61/65/334086165.db2.gz GAJQODZVJACTNK-OAHLLOKOSA-N 0 3 314.429 4.087 20 0 DIADHN COc1ccc(CNC2CC(F)(F)C2)cc1OCCC(C)C ZINC000277672556 334089994 /nfs/dbraw/zinc/08/99/94/334089994.db2.gz PAHFAVPMIFTRDZ-UHFFFAOYSA-N 0 3 313.388 4.007 20 0 DIADHN OCC[C@H](N[C@@H]1CCCc2c3ccccc3[nH]c21)c1ccccc1 ZINC000343599927 335176169 /nfs/dbraw/zinc/17/61/69/335176169.db2.gz DZBLTQRNTOYLFM-AZUAARDMSA-N 0 3 320.436 4.259 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc(Cn3ccnc3)c2)c2ccccc21 ZINC000343507576 335172655 /nfs/dbraw/zinc/17/26/55/335172655.db2.gz IPMWKRMNJLWXSF-IIBYNOLFSA-N 0 3 317.436 4.270 20 0 DIADHN Fc1ccc([C@@H](CC(F)(F)F)NCCc2ccncc2)cc1 ZINC000438974956 334143984 /nfs/dbraw/zinc/14/39/84/334143984.db2.gz MYYJTZSDSGLELV-OAHLLOKOSA-N 0 3 312.310 4.047 20 0 DIADHN Cc1noc(C)c1CNCC(C)(C)c1cccc(Cl)c1F ZINC000184526888 334147880 /nfs/dbraw/zinc/14/78/80/334147880.db2.gz JUBHJPDEPMXFPN-UHFFFAOYSA-N 0 3 310.800 4.151 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1c(C)cccc1C ZINC000287006472 334155837 /nfs/dbraw/zinc/15/58/37/334155837.db2.gz QCBBQMKTTOMXCJ-UHFFFAOYSA-N 0 3 317.477 4.033 20 0 DIADHN C[C@@H](NCC1=CCCOC1)c1ccccc1OCc1ccccc1 ZINC000287229384 334156788 /nfs/dbraw/zinc/15/67/88/334156788.db2.gz YDHCMVNUSPYTHM-QGZVFWFLSA-N 0 3 323.436 4.263 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](O)c1ccc(F)cc1)c1nccc2ccccc21 ZINC000289801393 334167892 /nfs/dbraw/zinc/16/78/92/334167892.db2.gz OXTDXGRPHZTRND-PJSUUKDQSA-N 0 3 324.399 4.147 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2nccc3ccccc32)cc1 ZINC000289846536 334168709 /nfs/dbraw/zinc/16/87/09/334168709.db2.gz QWYPAAHLHWJHHK-OAHLLOKOSA-N 0 3 306.409 4.484 20 0 DIADHN C[C@H](O)c1ccc(CN[C@@H](C)c2nccc3ccccc32)cc1 ZINC000289873478 334169029 /nfs/dbraw/zinc/16/90/29/334169029.db2.gz SFBIRMHRIWZNSF-GJZGRUSLSA-N 0 3 306.409 4.139 20 0 DIADHN Cc1cnc([C@@H](C)CN[C@@H](C)c2nccc3ccccc32)s1 ZINC000290710491 334174266 /nfs/dbraw/zinc/17/42/66/334174266.db2.gz NWKIWBJQADRCFK-JSGCOSHPSA-N 0 3 311.454 4.454 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)[C@H]1OCCc2sccc21 ZINC000291748484 334181461 /nfs/dbraw/zinc/18/14/61/334181461.db2.gz PWMLSTQBZIVCQI-ORAYPTAESA-N 0 3 324.449 4.088 20 0 DIADHN C[C@@H](NCc1cnc2ccccc2c1)[C@@H]1OCCc2sccc21 ZINC000291748486 334181557 /nfs/dbraw/zinc/18/15/57/334181557.db2.gz PWMLSTQBZIVCQI-YJYMSZOUSA-N 0 3 324.449 4.088 20 0 DIADHN Nc1ccc2c(c1)CCC[C@H]2NCc1cccc(Cl)c1F ZINC000414923494 334183858 /nfs/dbraw/zinc/18/38/58/334183858.db2.gz HIVDDVRZMXSMHZ-MRXNPFEDSA-N 0 3 304.796 4.229 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H]1CCCc2cc(N)ccc21 ZINC000414928293 334191020 /nfs/dbraw/zinc/19/10/20/334191020.db2.gz MSYIVNCZQAUPBO-LJQANCHMSA-N 0 3 306.409 4.491 20 0 DIADHN CC1(C)Cc2cccc(CN[C@H]3CCCc4cc(N)ccc43)c2O1 ZINC000414929117 334192852 /nfs/dbraw/zinc/19/28/52/334192852.db2.gz NQLFROKWTSKZDB-IBGZPJMESA-N 0 3 322.452 4.150 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3cc(N)ccc32)cc2cccnc12 ZINC000414933782 334196552 /nfs/dbraw/zinc/19/65/52/334196552.db2.gz SIQPZETVSPSKAC-HXUWFJFHSA-N 0 3 317.436 4.293 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3cc(N)ccc32)cc2cccnc12 ZINC000414933778 334196665 /nfs/dbraw/zinc/19/66/65/334196665.db2.gz SIQPZETVSPSKAC-FQEVSTJZSA-N 0 3 317.436 4.293 20 0 DIADHN Cc1nc2ccc(CN[C@H]3CCCc4cc(N)ccc43)cc2s1 ZINC000414941572 334202674 /nfs/dbraw/zinc/20/26/74/334202674.db2.gz YQXXQEUFHJFBLR-KRWDZBQOSA-N 0 3 323.465 4.354 20 0 DIADHN Fc1cc(CNCc2ccc3c(c2)CCCN3)ccc1Cl ZINC000414970492 334217318 /nfs/dbraw/zinc/21/73/18/334217318.db2.gz GTAZQXUDYUCPPZ-UHFFFAOYSA-N 0 3 304.796 4.127 20 0 DIADHN CCSc1cc(CN[C@@H]2CCc3cc(F)ccc32)ccn1 ZINC000187796706 334273204 /nfs/dbraw/zinc/27/32/04/334273204.db2.gz MFADSNOYBWOCIV-MRXNPFEDSA-N 0 3 302.418 4.110 20 0 DIADHN CC[C@@H](NCc1ccc(N(CC)CC)nc1)c1cccc(F)c1 ZINC000187804506 334273685 /nfs/dbraw/zinc/27/36/85/334273685.db2.gz FJEVXKQQBYSFAF-GOSISDBHSA-N 0 3 315.436 4.308 20 0 DIADHN Cc1ccc([C@H](N[C@H]2CCOC3(CCC3)C2)c2ccccn2)cc1 ZINC000295924742 334228602 /nfs/dbraw/zinc/22/86/02/334228602.db2.gz AHOUQGCEMGYLQC-ICSRJNTNSA-N 0 3 322.452 4.171 20 0 DIADHN CCSc1cc(CN[C@@H](CC)c2ccc(OC)cc2)ccn1 ZINC000187790296 334275051 /nfs/dbraw/zinc/27/50/51/334275051.db2.gz CUSRMXRJEAWAAB-KRWDZBQOSA-N 0 3 316.470 4.443 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@H](CC)COCC(F)(F)F)s1 ZINC000453249917 334244140 /nfs/dbraw/zinc/24/41/40/334244140.db2.gz DQEOKRFUGIQCSF-GXSJLCMTSA-N 0 3 324.412 4.022 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H]1c1cccnc1)c1cc2ccccc2o1 ZINC000298120691 334246848 /nfs/dbraw/zinc/24/68/48/334246848.db2.gz PFLHCKJBRSXYLG-IYJAJMOOSA-N 0 3 308.381 4.009 20 0 DIADHN CC1(C)C[C@@H](NC/C=C/c2ccccc2)c2cc(N)ccc2O1 ZINC000415020537 334259392 /nfs/dbraw/zinc/25/93/92/334259392.db2.gz GRMJSQCGTSLFEQ-AHKGRUIUSA-N 0 3 308.425 4.174 20 0 DIADHN Cc1cccc(C)c1CN[C@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000415023131 334259623 /nfs/dbraw/zinc/25/96/23/334259623.db2.gz CZMFRSWBXWCBQT-SFHVURJKSA-N 0 3 310.441 4.278 20 0 DIADHN Fc1cc(CNCc2cccc3c2CCCN3)ccc1Cl ZINC000415038064 334260806 /nfs/dbraw/zinc/26/08/06/334260806.db2.gz PJXXRJUNWJFSSS-UHFFFAOYSA-N 0 3 304.796 4.127 20 0 DIADHN CCC(C)(C)OCCN[C@@H](C)c1ccc(SC)c(OC)c1 ZINC000453416624 334276430 /nfs/dbraw/zinc/27/64/30/334276430.db2.gz UWMMAPXGOYGGNX-ZDUSSCGKSA-N 0 3 311.491 4.273 20 0 DIADHN Cc1nccnc1[C@@H](C)NCC(c1ccccc1)c1ccccc1 ZINC000187695008 334268508 /nfs/dbraw/zinc/26/85/08/334268508.db2.gz YBDJGODGPJGXMQ-QGZVFWFLSA-N 0 3 317.436 4.268 20 0 DIADHN CC1=CCN(Cc2c(-c3ccccc3)nc3ccccn32)CC1 ZINC000534472235 334269051 /nfs/dbraw/zinc/26/90/51/334269051.db2.gz MRHMZSMCLQYJRL-UHFFFAOYSA-N 0 3 303.409 4.153 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc(C(N)=O)c2)C2CCCC2)cc1 ZINC000187726045 334269807 /nfs/dbraw/zinc/26/98/07/334269807.db2.gz NPOGLALGAVWZBY-FQEVSTJZSA-N 0 3 322.452 4.115 20 0 DIADHN Cc1nc2ccccc2n1C[C@@H]1CCCN1Cc1ccccc1F ZINC000534472095 334270780 /nfs/dbraw/zinc/27/07/80/334270780.db2.gz GSORSHRGJTYCJG-KRWDZBQOSA-N 0 3 323.415 4.148 20 0 DIADHN COc1ccsc1[C@@H](C)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000582145277 334271073 /nfs/dbraw/zinc/27/10/73/334271073.db2.gz ACTXIZTZKGRYEW-FVQBIDKESA-N 0 3 317.454 4.328 20 0 DIADHN CCC[C@@H](NC[C@H](C)CCO)c1ccc(Cl)cc1Cl ZINC000534495590 334277433 /nfs/dbraw/zinc/27/74/33/334277433.db2.gz FTVBIQBOSJPSJM-IAQYHMDHSA-N 0 3 304.261 4.443 20 0 DIADHN C[C@H](N[C@H]1C[C@H](C)C[C@H](C)C1)c1ncc(Br)s1 ZINC000534495957 334277796 /nfs/dbraw/zinc/27/77/96/334277796.db2.gz BKOZKJKKCNWSQG-ZRUFSTJUSA-N 0 3 317.296 4.381 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](NCCC1CC(F)(F)C1)CC2 ZINC000453428312 334280416 /nfs/dbraw/zinc/28/04/16/334280416.db2.gz PSSKEEQLYBKYHO-NSHDSACASA-N 0 3 305.290 4.116 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCc2ccccc21)c1ccc2c(c1)OCCO2 ZINC000531944618 334300155 /nfs/dbraw/zinc/30/01/55/334300155.db2.gz LGKHBNQJYJKVIR-NQIIRXRSSA-N 0 3 323.436 4.432 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CC1)c1ccc2c(c1)CCC(=O)N2 ZINC000531944269 334300359 /nfs/dbraw/zinc/30/03/59/334300359.db2.gz USKDDKMLQLDYPK-LHSJRXKWSA-N 0 3 320.436 4.373 20 0 DIADHN COc1ccc(OC)c(CN[C@H](CC2CC2)c2ccccc2)c1 ZINC000531943346 334302295 /nfs/dbraw/zinc/30/22/95/334302295.db2.gz YJCXUALPIREYFV-LJQANCHMSA-N 0 3 311.425 4.335 20 0 DIADHN CCOc1ccc([C@H](C)NCCOC2CCCC2)cc1OCC ZINC000531948663 334303145 /nfs/dbraw/zinc/30/31/45/334303145.db2.gz XVVRSCSVXAGKLI-HNNXBMFYSA-N 0 3 321.461 4.094 20 0 DIADHN C[C@@H](NC[C@@H]1CCCCO1)c1ccc(SCC(F)F)cc1 ZINC000531956241 334307884 /nfs/dbraw/zinc/30/78/84/334307884.db2.gz MLOABCSQBIRALN-OCCSQVGLSA-N 0 3 315.429 4.264 20 0 DIADHN COc1cc(CN[C@@H]2CSCCc3ccccc32)ccc1C ZINC000531951930 334309667 /nfs/dbraw/zinc/30/96/67/334309667.db2.gz KJNVFZTUDJPCLU-GOSISDBHSA-N 0 3 313.466 4.124 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@@H]1CCc2c1cccc2O ZINC000443013531 338441998 /nfs/dbraw/zinc/44/19/98/338441998.db2.gz NJAPOVNGRFVODN-MRXNPFEDSA-N 0 3 321.342 4.497 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](OC)c2ccccc2)cc1Cl ZINC000531957531 334313579 /nfs/dbraw/zinc/31/35/79/334313579.db2.gz SCJBVSZSSPPROI-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN COc1ccsc1CN[C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000534647092 334315717 /nfs/dbraw/zinc/31/57/17/334315717.db2.gz VQMDSZABIWOIBG-KRWDZBQOSA-N 0 3 305.418 4.445 20 0 DIADHN C[C@H](CO)CCCNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000534647396 334316115 /nfs/dbraw/zinc/31/61/15/334316115.db2.gz BULVYHLSQONFIH-ZDUSSCGKSA-N 0 3 307.821 4.098 20 0 DIADHN CCCO[C@@H]1CCCN(CCc2c(F)cccc2Cl)CC1 ZINC000303421868 334319419 /nfs/dbraw/zinc/31/94/19/334319419.db2.gz YRUKDNVIKHOUBK-CQSZACIVSA-N 0 3 313.844 4.303 20 0 DIADHN C[C@H](c1cccc(O)c1)N(C)CCOc1ccccc1Cl ZINC000303435938 334320057 /nfs/dbraw/zinc/32/00/57/334320057.db2.gz DLZOETCFAWTDDC-CYBMUJFWSA-N 0 3 305.805 4.117 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)Cc2ccsc2)c(OC)c1 ZINC000049155325 334322609 /nfs/dbraw/zinc/32/26/09/334322609.db2.gz GRDDUAUPWZXKFB-QWHCGFSZSA-N 0 3 305.443 4.047 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)c2ccc3c(c2)OCCO3)c1C ZINC000531966460 334325225 /nfs/dbraw/zinc/32/52/25/334325225.db2.gz GHUPDBBEILZOLN-HOTGVXAUSA-N 0 3 311.425 4.486 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NCc1cccc(-n2ccnc2)c1 ZINC000531967127 334325703 /nfs/dbraw/zinc/32/57/03/334325703.db2.gz YGBWZKDBQXHBIW-INIZCTEOSA-N 0 3 321.424 4.040 20 0 DIADHN C[C@H](CCNCc1ccncc1OCC(F)F)c1ccccc1 ZINC000443063386 338442653 /nfs/dbraw/zinc/44/26/53/338442653.db2.gz ALJZNPRYUOHYPH-CQSZACIVSA-N 0 3 320.383 4.009 20 0 DIADHN CC[C@H](C(=O)Nc1cc(C)cc(CN(C)C)c1)c1ccccc1 ZINC000534707620 334328737 /nfs/dbraw/zinc/32/87/37/334328737.db2.gz VSBZHXGAYWQMCP-IBGZPJMESA-N 0 3 310.441 4.189 20 0 DIADHN CC[C@@H](C(=O)Nc1cc(C)cc(CN(C)C)c1)c1ccccc1 ZINC000534707621 334328824 /nfs/dbraw/zinc/32/88/24/334328824.db2.gz VSBZHXGAYWQMCP-LJQANCHMSA-N 0 3 310.441 4.189 20 0 DIADHN CC(C)N(C(=O)CN1[C@H](C)C[C@H]2CCCC[C@@H]21)c1ccccc1 ZINC000303682004 334329362 /nfs/dbraw/zinc/32/93/62/334329362.db2.gz JIQXUNMGSIBKEK-LMMKCTJWSA-N 0 3 314.473 4.081 20 0 DIADHN CCc1nc(CN2C[C@H](c3ccccc3C)C[C@H]2C)cs1 ZINC000303725913 334329714 /nfs/dbraw/zinc/32/97/14/334329714.db2.gz CDRWHMFEWJBGMQ-HUUCEWRRSA-N 0 3 300.471 4.392 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@H](C)c2ccccc2C)c1 ZINC000534708440 334331516 /nfs/dbraw/zinc/33/15/16/334331516.db2.gz DIQNMOSCRKOCHW-KRWDZBQOSA-N 0 3 324.468 4.497 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)C[C@@H](C)c2ccccc2C)c1 ZINC000534708455 334331601 /nfs/dbraw/zinc/33/16/01/334331601.db2.gz DIQNMOSCRKOCHW-QGZVFWFLSA-N 0 3 324.468 4.497 20 0 DIADHN C[C@H](NCCC(=O)Nc1ccccc1)c1cccc(Cl)c1 ZINC000101586600 334333255 /nfs/dbraw/zinc/33/32/55/334333255.db2.gz DBDWPBAFAHYJPB-ZDUSSCGKSA-N 0 3 302.805 4.019 20 0 DIADHN CCN(CC)[C@H](CNC(=O)[C@H]1CCC[C@H](C)C1)c1ccccc1 ZINC000303839600 334336357 /nfs/dbraw/zinc/33/63/57/334336357.db2.gz IDAWAAQKTJEUMV-YTQUADARSA-N 0 3 316.489 4.012 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CCCC(C)(C)CC1 ZINC000303892689 334339635 /nfs/dbraw/zinc/33/96/35/334339635.db2.gz KKABWGSNBVTJMG-UHFFFAOYSA-N 0 3 302.462 4.261 20 0 DIADHN FC(F)(F)c1cc(CN[C@H]2CCO[C@@H]2C2CC2)ccc1Cl ZINC000534830498 334340737 /nfs/dbraw/zinc/34/07/37/334340737.db2.gz KSDZERLHBAYRGA-UONOGXRCSA-N 0 3 319.754 4.016 20 0 DIADHN CCC(CC)[C@H](C)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000534874903 334343188 /nfs/dbraw/zinc/34/31/88/334343188.db2.gz RZTZBXLIADRSSU-FRQCXROJSA-N 0 3 316.489 4.010 20 0 DIADHN CC(C)(C)OC(=O)CCN(Cc1ccoc1)C1CCCCC1 ZINC000303913357 334343191 /nfs/dbraw/zinc/34/31/91/334343191.db2.gz NGEXCWLKGFFJFS-UHFFFAOYSA-N 0 3 307.434 4.146 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1ccc(N(C)C)nc1)CCC2 ZINC000534911348 334345874 /nfs/dbraw/zinc/34/58/74/334345874.db2.gz BOKXOZDVPBZEAQ-HXUWFJFHSA-N 0 3 323.484 4.438 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1cccc(C(F)(F)F)c1 ZINC000111634062 334348103 /nfs/dbraw/zinc/34/81/03/334348103.db2.gz RGLZZNPHUJGWSN-GFCCVEGCSA-N 0 3 301.352 4.315 20 0 DIADHN C[C@@H](NCCOC1CCCC1)c1ccc(C(F)(F)F)cc1 ZINC000111634068 334348151 /nfs/dbraw/zinc/34/81/51/334348151.db2.gz RQIBLJQTRWYCFJ-GFCCVEGCSA-N 0 3 301.352 4.315 20 0 DIADHN CC(C)OC(=O)CCN1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000303960647 334350188 /nfs/dbraw/zinc/35/01/88/334350188.db2.gz ZJZZEEJIUCEIQP-GOSISDBHSA-N 0 3 314.429 4.037 20 0 DIADHN CCn1nc(C)c(CN[C@@H]2CCCc3ccc(Cl)cc32)c1C ZINC000534972237 334350914 /nfs/dbraw/zinc/35/09/14/334350914.db2.gz LZFKBTHQTPOXOA-GOSISDBHSA-N 0 3 317.864 4.340 20 0 DIADHN Clc1ccc2c(c1)[C@H](NCc1ccc3c(c1)OCO3)CCC2 ZINC000534973977 334351323 /nfs/dbraw/zinc/35/13/23/334351323.db2.gz CJFRRCPXSVOMQJ-MRXNPFEDSA-N 0 3 315.800 4.236 20 0 DIADHN CO[C@H](CN[C@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000531979881 334351431 /nfs/dbraw/zinc/35/14/31/334351431.db2.gz IELMQCLJGVNTLC-ZWKOTPCHSA-N 0 3 301.817 4.305 20 0 DIADHN CO[C@@H](CN[C@@H]1CCc2ccccc21)c1ccc(Cl)cc1 ZINC000531979878 334351605 /nfs/dbraw/zinc/35/16/05/334351605.db2.gz IELMQCLJGVNTLC-MSOLQXFVSA-N 0 3 301.817 4.305 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000534976875 334351612 /nfs/dbraw/zinc/35/16/12/334351612.db2.gz QXNAESCDHOQNLH-OALUTQOASA-N 0 3 315.844 4.349 20 0 DIADHN CC[C@H](c1ccncc1)N(C)CCSc1ccccc1F ZINC000534954650 334352455 /nfs/dbraw/zinc/35/24/55/334352455.db2.gz RHWHENRCYVTFNN-MRXNPFEDSA-N 0 3 304.434 4.396 20 0 DIADHN COc1ccc2oc(CN[C@@H](C)Cc3ccsc3)cc2c1 ZINC000535000647 334353439 /nfs/dbraw/zinc/35/34/39/334353439.db2.gz ZINIIUDWRPPCIF-LBPRGKRZSA-N 0 3 301.411 4.224 20 0 DIADHN COc1ccc2oc(CNCc3ccc(OC)cc3C)cc2c1 ZINC000535001347 334353449 /nfs/dbraw/zinc/35/34/49/334353449.db2.gz SUJQXSCAKFTSAS-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN CC[C@H](N[C@H]1CCCN(C2CCCCC2)C1=O)c1cccs1 ZINC000443093181 338444098 /nfs/dbraw/zinc/44/40/98/338444098.db2.gz LTLWAJHTQGPBFQ-HOTGVXAUSA-N 0 3 320.502 4.113 20 0 DIADHN CC(C)Oc1ccccc1CN(C)[C@@H](C)Cc1ccc(O)cc1 ZINC000531982427 334356197 /nfs/dbraw/zinc/35/61/97/334356197.db2.gz GVLGSHXWCWIICF-INIZCTEOSA-N 0 3 313.441 4.242 20 0 DIADHN Cc1ccc(OCc2nc(CNC(C)(C)C3CC3)cs2)cc1 ZINC000535022253 334356311 /nfs/dbraw/zinc/35/63/11/334356311.db2.gz LGYVFIJCNXPEGD-UHFFFAOYSA-N 0 3 316.470 4.309 20 0 DIADHN COc1ccc(CN[C@H](c2cccs2)C2CC2)cc1OC ZINC000049956771 334359512 /nfs/dbraw/zinc/35/95/12/334359512.db2.gz XDSWZRZHGBVCGC-KRWDZBQOSA-N 0 3 303.427 4.006 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@@H]2c2ccccn2)c1 ZINC000535067271 334363028 /nfs/dbraw/zinc/36/30/28/334363028.db2.gz CQBXXQPHXQWFEG-LJQANCHMSA-N 0 3 318.424 4.117 20 0 DIADHN CCC[C@H](C)N[C@H](Cc1ccccc1)C(=O)Nc1ccccc1 ZINC000443103281 338444696 /nfs/dbraw/zinc/44/46/96/338444696.db2.gz KPLACOVSYXOHOJ-QFBILLFUSA-N 0 3 310.441 4.015 20 0 DIADHN C[C@@H]1CCN(Cc2cnc([C@@H]3CCCO3)s2)[C@H]2CCCC[C@@H]12 ZINC000535073472 334365001 /nfs/dbraw/zinc/36/50/01/334365001.db2.gz ZHCUPEKPXKLRJJ-IWCQGFGOSA-N 0 3 320.502 4.395 20 0 DIADHN COc1cccc(CN(C)[C@@H](C)C(C)(C)C)c1OC(F)F ZINC000535085098 334366932 /nfs/dbraw/zinc/36/69/32/334366932.db2.gz MJGSIIFXVOJWAN-NSHDSACASA-N 0 3 301.377 4.163 20 0 DIADHN c1ccc(-c2ncc(CN3CC4C[C@@H]5CC3C[C@H](C4)C5)cn2)cc1 ZINC000535085211 334367047 /nfs/dbraw/zinc/36/70/47/334367047.db2.gz PKWCTWBMFZUKMK-HLVUACMISA-N 0 3 319.452 4.154 20 0 DIADHN CC[C@H](NCc1ccc(OCC2CC2)nc1)c1cccc(F)c1 ZINC000187909070 334367698 /nfs/dbraw/zinc/36/76/98/334367698.db2.gz LHQKQSZMCTXOGW-SFHVURJKSA-N 0 3 314.404 4.250 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@@H](C)c2cnn(C(C)C)c2)C1(C)C ZINC000531995064 334369270 /nfs/dbraw/zinc/36/92/70/334369270.db2.gz VMGDYFUHRXCHPR-BHYGNILZSA-N 0 3 307.482 4.098 20 0 DIADHN COc1ccc(CN[C@H]2CCOC3(CCCCC3)C2)c(Cl)c1 ZINC000532016010 334372167 /nfs/dbraw/zinc/37/21/67/334372167.db2.gz VLISSGHJBHVHFH-HNNXBMFYSA-N 0 3 323.864 4.320 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1csc(-c2ncccn2)n1 ZINC000532013642 334374308 /nfs/dbraw/zinc/37/43/08/334374308.db2.gz FIQDTSPUVKHKOD-UKRRQHHQSA-N 0 3 316.474 4.001 20 0 DIADHN OCC1(NCc2ccc(-c3ccccc3Cl)s2)CCC1 ZINC000532015617 334375039 /nfs/dbraw/zinc/37/50/39/334375039.db2.gz HNPHXXCFSLLROH-UHFFFAOYSA-N 0 3 307.846 4.073 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@H]1CCCO1 ZINC000535185068 334376273 /nfs/dbraw/zinc/37/62/73/334376273.db2.gz IXJPWKKTHGPOML-FUHWJXTLSA-N 0 3 303.377 4.133 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccc(F)cc2)o1)[C@H]1CCCO1 ZINC000535185071 334376406 /nfs/dbraw/zinc/37/64/06/334376406.db2.gz IXJPWKKTHGPOML-SJLPKXTDSA-N 0 3 303.377 4.133 20 0 DIADHN Cc1nc2ccccc2n1C[C@H]1CCCN1Cc1cccc(F)c1 ZINC000535204303 334380223 /nfs/dbraw/zinc/38/02/23/334380223.db2.gz BBTBJRBVCZAZPO-GOSISDBHSA-N 0 3 323.415 4.148 20 0 DIADHN CC[C@@H](C)[C@@H]1CCCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000340837001 334389113 /nfs/dbraw/zinc/38/91/13/334389113.db2.gz DXYRQZNJSRMWAT-WBMJQRKESA-N 0 3 317.433 4.347 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1cc(F)ccc1C)c1ccccc1 ZINC000341212992 334417742 /nfs/dbraw/zinc/41/77/42/334417742.db2.gz QWDQDSLPAQZTMK-YOEHRIQHSA-N 0 3 314.404 4.202 20 0 DIADHN C[C@@H](CCCC(F)(F)F)N[C@H]1CCOc2c(F)cccc21 ZINC000443208914 338447472 /nfs/dbraw/zinc/44/74/72/338447472.db2.gz CVMBDTIKIUABCS-GWCFXTLKSA-N 0 3 305.315 4.360 20 0 DIADHN COc1ccc(Cl)cc1[C@H](CC(C)C)N[C@H](C)C[C@@H](C)O ZINC000341300191 334433224 /nfs/dbraw/zinc/43/32/24/334433224.db2.gz LDROZAKMJLWXRY-IOASZLSFSA-N 0 3 313.869 4.185 20 0 DIADHN Cc1nccnc1[C@H](C)NC[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000443217017 338447751 /nfs/dbraw/zinc/44/77/51/338447751.db2.gz CVNSXSJXMWTXSZ-YPMHNXCESA-N 0 3 323.362 4.258 20 0 DIADHN Fc1ccccc1C1CC(N[C@H]2CCOc3c(F)cccc32)C1 ZINC000443216634 338447875 /nfs/dbraw/zinc/44/78/75/338447875.db2.gz BCLZFAWDMZKMCD-KJBLDROHSA-N 0 3 315.363 4.324 20 0 DIADHN COc1ccc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000341454986 334442092 /nfs/dbraw/zinc/44/20/92/334442092.db2.gz MHIMEKNFLDTLTN-KRWDZBQOSA-N 0 3 316.420 4.496 20 0 DIADHN COc1c(C)cc(CN2CCC(c3ccc(C)nc3)CC2)cc1C ZINC000341567902 334453387 /nfs/dbraw/zinc/45/33/87/334453387.db2.gz SOADLGFBMYWMEZ-UHFFFAOYSA-N 0 3 324.468 4.395 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN[C@@H]1CCCc2sccc21 ZINC000341548463 334457043 /nfs/dbraw/zinc/45/70/43/334457043.db2.gz JBPDCVUOSUKZGR-MRXNPFEDSA-N 0 3 317.502 4.484 20 0 DIADHN Cc1noc(C)c1CCN[C@H](CC(F)(F)F)c1ccccc1 ZINC000341574322 334463339 /nfs/dbraw/zinc/46/33/39/334463339.db2.gz NRVLAKPDZRPOCD-OAHLLOKOSA-N 0 3 312.335 4.117 20 0 DIADHN CCCC[C@H](NCc1ccnc(OC)c1)c1ccc(OC)cc1 ZINC000341788026 334481180 /nfs/dbraw/zinc/48/11/80/334481180.db2.gz IUZAOZRQFRJJOA-SFHVURJKSA-N 0 3 314.429 4.120 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(OC2CCC(C)CC2)CC1 ZINC000342002963 334500374 /nfs/dbraw/zinc/50/03/74/334500374.db2.gz MIROHDQVYBHEOP-PQUAAJSLSA-N 0 3 302.462 4.202 20 0 DIADHN CCCCN(C(=O)c1ccc(CN2CCCCC2)cc1)C1CC1 ZINC000342770281 334574920 /nfs/dbraw/zinc/57/49/20/334574920.db2.gz FZRNMSPNKJCNPP-UHFFFAOYSA-N 0 3 314.473 4.077 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NC1(c2ccc(Cl)cc2)CCC1 ZINC000342806716 334578562 /nfs/dbraw/zinc/57/85/62/334578562.db2.gz UBSOMSSMQPCBNG-INIZCTEOSA-N 0 3 315.848 4.120 20 0 DIADHN COCC[C@H](N[C@H](C)[C@@H](C)OC)c1ccc(Cl)c(Cl)c1 ZINC000342808108 334578688 /nfs/dbraw/zinc/57/86/88/334578688.db2.gz LBAKEWODUHWUBJ-HFAKWTLXSA-N 0 3 320.260 4.084 20 0 DIADHN CCOc1cc(CN[C@H](CC)c2cccc(F)c2)ccc1OC ZINC000188013865 334607834 /nfs/dbraw/zinc/60/78/34/334607834.db2.gz QJUHRJOHSKWFJH-QGZVFWFLSA-N 0 3 317.404 4.474 20 0 DIADHN Cc1cscc1NC(=O)NC[C@H](N)c1ccc(C(C)C)cc1 ZINC000415327589 334611791 /nfs/dbraw/zinc/61/17/91/334611791.db2.gz OLAWZYNTKAMHSE-HNNXBMFYSA-N 0 3 317.458 4.001 20 0 DIADHN CNCc1cccc(NC(=O)N([C@H](C)c2ccccc2)C2CC2)c1 ZINC000415925382 334632776 /nfs/dbraw/zinc/63/27/76/334632776.db2.gz AJGORNBRAXDYIM-OAHLLOKOSA-N 0 3 323.440 4.164 20 0 DIADHN CC(C)C[C@H](NCc1cc(C(F)(F)F)n[nH]1)c1ccccc1 ZINC000440810881 334633059 /nfs/dbraw/zinc/63/30/59/334633059.db2.gz QKVXFYVLYDQVTA-AWEZNQCLSA-N 0 3 311.351 4.306 20 0 DIADHN CNCc1cccc(NC(=O)N2C[C@@H](C(C)C)c3ccccc32)c1 ZINC000415938196 334634184 /nfs/dbraw/zinc/63/41/84/334634184.db2.gz YNMLIDBQKHUDIX-SFHVURJKSA-N 0 3 323.440 4.198 20 0 DIADHN Cc1ccc(Cl)c(NC(=O)C[C@@H](c2ccccc2)N(C)C)c1 ZINC000416179941 334654088 /nfs/dbraw/zinc/65/40/88/334654088.db2.gz RDLFSQBPPBHUEX-KRWDZBQOSA-N 0 3 316.832 4.280 20 0 DIADHN C[C@@H](NCc1ccc2c(n1)CCCC2)[C@@H]1C[C@H]1c1cccs1 ZINC000417330684 334709294 /nfs/dbraw/zinc/70/92/94/334709294.db2.gz LEVCPPGUYXVPOT-XOKHGSTOSA-N 0 3 312.482 4.304 20 0 DIADHN Cc1nccnc1[C@H](C)NCC1(Cc2ccccc2)CCCC1 ZINC000188439474 334713185 /nfs/dbraw/zinc/71/31/85/334713185.db2.gz LUAONTJSNCGCQF-KRWDZBQOSA-N 0 3 309.457 4.239 20 0 DIADHN CC(=O)Nc1ccc(CCN[C@@H](C)c2ccccc2Cl)cc1 ZINC000112543752 334715283 /nfs/dbraw/zinc/71/52/83/334715283.db2.gz RONZEJNSYLXXKY-ZDUSSCGKSA-N 0 3 316.832 4.192 20 0 DIADHN Cc1cc([C@H](NCc2cnc3cccc(C)n23)C2CC2)ccc1F ZINC000535369252 334721157 /nfs/dbraw/zinc/72/11/57/334721157.db2.gz MGYOYXCIYOUPLV-HXUWFJFHSA-N 0 3 323.415 4.331 20 0 DIADHN COC[C@H](CC(C)C)N[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000537963146 334721804 /nfs/dbraw/zinc/72/18/04/334721804.db2.gz AJOIJYRJDBAYHE-GJZGRUSLSA-N 0 3 321.358 4.470 20 0 DIADHN Clc1ccc2c(c1)C[C@H](NCc1cc(-c3ccccc3)on1)C2 ZINC000425930384 334772531 /nfs/dbraw/zinc/77/25/31/334772531.db2.gz IELPONKQBBQRAD-QGZVFWFLSA-N 0 3 324.811 4.252 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCCn3cnc4ccccc43)oc2c1 ZINC000425937503 334774576 /nfs/dbraw/zinc/77/45/76/334774576.db2.gz MRXWUKVTQYTVDF-OAHLLOKOSA-N 0 3 319.408 4.442 20 0 DIADHN Cc1ccc2cc([C@@H](C)NC[C@@H](CO)Cc3ccccc3)oc2c1 ZINC000425935069 334774615 /nfs/dbraw/zinc/77/46/15/334774615.db2.gz GOVKFLPUANBSDP-AEFFLSMTSA-N 0 3 323.436 4.243 20 0 DIADHN Cc1ccc2cc([C@@H](C)N[C@H](C)COCC(F)(F)F)oc2c1 ZINC000425941564 334787448 /nfs/dbraw/zinc/78/74/48/334787448.db2.gz BXTFRJFVLSABNZ-VXGBXAGGSA-N 0 3 315.335 4.359 20 0 DIADHN FC(F)(F)c1ncc(CN[C@H]2C[C@@H]2C2CCCCC2)s1 ZINC000443984076 338465422 /nfs/dbraw/zinc/46/54/22/338465422.db2.gz FUJAFYXADNCBRB-NEPJUHHUSA-N 0 3 304.381 4.220 20 0 DIADHN Cc1ccc(CN[C@@H](c2cnn(C)c2)C(C)(C)C)cc1Cl ZINC000443990721 338465783 /nfs/dbraw/zinc/46/57/83/338465783.db2.gz RLYANXMYHBEWHR-INIZCTEOSA-N 0 3 305.853 4.259 20 0 DIADHN CC(F)(F)C(C)(C)C(=O)Nc1cccc(CN2CCCCC2)c1 ZINC000448697879 338468052 /nfs/dbraw/zinc/46/80/52/338468052.db2.gz CMSFCDFXYZBUFE-UHFFFAOYSA-N 0 3 324.415 4.292 20 0 DIADHN CCc1cnc(CNC23CCC(C(F)(F)F)(CC2)CC3)s1 ZINC000425966380 334870701 /nfs/dbraw/zinc/87/07/01/334870701.db2.gz KXZMWMPKHGJUJN-UHFFFAOYSA-N 0 3 318.408 4.450 20 0 DIADHN Cc1ccc(CN2CCC(c3nc4cccc(C)c4o3)CC2)o1 ZINC000188883851 334877851 /nfs/dbraw/zinc/87/78/51/334877851.db2.gz KYAQQRZQNLGJON-UHFFFAOYSA-N 0 3 310.397 4.417 20 0 DIADHN CCCCOC1CCN(CCCOc2cc(C)ccc2C)CC1 ZINC000441702056 334878892 /nfs/dbraw/zinc/87/88/92/334878892.db2.gz NAQBNVOAJLRUTG-UHFFFAOYSA-N 0 3 319.489 4.353 20 0 DIADHN COC(C)(C)C[C@@H](C)N[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000343832229 335200518 /nfs/dbraw/zinc/20/05/18/335200518.db2.gz DXURWGDTUOCAFV-RKDXNWHRSA-N 0 3 310.385 4.016 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1cccc(Cl)c1F ZINC000343838181 335201030 /nfs/dbraw/zinc/20/10/30/335201030.db2.gz JMBAEUPBZVMETN-SDNTUTLCSA-N 0 3 323.839 4.477 20 0 DIADHN CC[C@H](N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1cccc(Cl)c1F ZINC000343838180 335201069 /nfs/dbraw/zinc/20/10/69/335201069.db2.gz JMBAEUPBZVMETN-JWZBEHFJSA-N 0 3 323.839 4.477 20 0 DIADHN CCN(CC)[C@H](CNCc1coc2ccccc12)c1ccco1 ZINC000343895110 335204210 /nfs/dbraw/zinc/20/42/10/335204210.db2.gz KLFSQZCZIZOMCV-QGZVFWFLSA-N 0 3 312.413 4.199 20 0 DIADHN Clc1sc(Cl)c2c1CCC[C@@H]2N[C@H]1CCCOC1 ZINC000343974271 335204591 /nfs/dbraw/zinc/20/45/91/335204591.db2.gz FWNLJQIECQKLMK-WPRPVWTQSA-N 0 3 306.258 4.201 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN[C@@H]3CCCOc4ccccc43)O2)cc1 ZINC000343986034 335205814 /nfs/dbraw/zinc/20/58/14/335205814.db2.gz NGQBDVKVAONDFN-SXLOBPIMSA-N 0 3 323.436 4.410 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N(C)C[C@@H]1CC=CCC1 ZINC000344072993 335215401 /nfs/dbraw/zinc/21/54/01/335215401.db2.gz SQYGZRAKRPCFEP-QWHCGFSZSA-N 0 3 303.431 4.147 20 0 DIADHN COCc1cc(CN[C@@H]2CCCc3ccc(F)cc32)ccc1F ZINC000344077829 335215587 /nfs/dbraw/zinc/21/55/87/335215587.db2.gz QUHBYCRGSGJEHD-LJQANCHMSA-N 0 3 317.379 4.278 20 0 DIADHN CC[C@H](COC)N[C@H](CC)c1cc(F)cc(Br)c1 ZINC000344076754 335215683 /nfs/dbraw/zinc/21/56/83/335215683.db2.gz PDPOSNUBCNNWTK-ZIAGYGMSSA-N 0 3 318.230 4.054 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC1CC(c3ccccc3)C1)CCO2 ZINC000344096403 335217875 /nfs/dbraw/zinc/21/78/75/335217875.db2.gz GVVNQNUAUDYODF-RJYAGPCLSA-N 0 3 309.409 4.055 20 0 DIADHN CN(C)c1ccccc1CN(C)Cc1cc(F)cc2cccnc21 ZINC000344099239 335218026 /nfs/dbraw/zinc/21/80/26/335218026.db2.gz AEAQBSFBBVSBDX-UHFFFAOYSA-N 0 3 323.415 4.072 20 0 DIADHN OCCC[C@@H](N[C@H]1CCCc2ccc(F)cc21)c1ccccc1 ZINC000344114924 335218393 /nfs/dbraw/zinc/21/83/93/335218393.db2.gz LRDKBWNTOPOVJN-UXHICEINSA-N 0 3 313.416 4.307 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CCCc3ccc(C)cc31)CCO2 ZINC000344116615 335218500 /nfs/dbraw/zinc/21/85/00/335218500.db2.gz APIAGUSGOZXDPX-PMACEKPBSA-N 0 3 323.436 4.494 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3ccc(C)cc31)CCO2 ZINC000344119714 335218880 /nfs/dbraw/zinc/21/88/80/335218880.db2.gz VIQMDTRMPBPQNH-MOPGFXCFSA-N 0 3 309.409 4.104 20 0 DIADHN C[C@H]1C[C@@H](NCc2cnn(-c3ccccc3)c2)c2ccccc21 ZINC000344120581 335219112 /nfs/dbraw/zinc/21/91/12/335219112.db2.gz RZWJJJGEHISDPV-MGPUTAFESA-N 0 3 303.409 4.210 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc(-n3ccnc3)c1)CCC2 ZINC000344101580 335223008 /nfs/dbraw/zinc/22/30/08/335223008.db2.gz MGBQVWOYDJIJHO-FQEVSTJZSA-N 0 3 321.399 4.179 20 0 DIADHN C[C@@H](NC[C@@H]1CC[C@@H](C)O1)c1ccc(Oc2cccnc2)cc1 ZINC000344105452 335223588 /nfs/dbraw/zinc/22/35/88/335223588.db2.gz PQUPILGFFFOUDQ-CLCXKQKWSA-N 0 3 312.413 4.092 20 0 DIADHN COc1ccc(CN(Cc2cncc(F)c2)C(C)(C)C)cc1 ZINC000344275557 335235245 /nfs/dbraw/zinc/23/52/45/335235245.db2.gz NLEAPIDIIAUTKL-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCC1([C@@H](O)C(C)C)CC1 ZINC000344302092 335238558 /nfs/dbraw/zinc/23/85/58/335238558.db2.gz VNVQFBNBIPAKBP-DOMZBBRYSA-N 0 3 310.507 4.023 20 0 DIADHN CC(C)(C)C[C@@H](NC[C@](C)(O)C(F)(F)F)c1ccccc1 ZINC000344306416 335239112 /nfs/dbraw/zinc/23/91/12/335239112.db2.gz WMTDGHNETAIDBQ-HIFRSBDPSA-N 0 3 303.368 4.067 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CCCc4occc4C3)cc2)n1 ZINC000344312758 335239861 /nfs/dbraw/zinc/23/98/61/335239861.db2.gz ZELUBIMBLMRZJP-UHFFFAOYSA-N 0 3 321.424 4.031 20 0 DIADHN CC(=O)N1CC[C@@H](N[C@@H](CC(C)(C)C)c2ccc(Cl)cc2)C1 ZINC000344313635 335240066 /nfs/dbraw/zinc/24/00/66/335240066.db2.gz ZDBYJIMRUFNSBA-SJORKVTESA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@H](NCc1ccc(Br)s1)c1ccncc1 ZINC000344583599 335260266 /nfs/dbraw/zinc/26/02/66/335260266.db2.gz LSBVGVSTRZYDHL-LBPRGKRZSA-N 0 3 311.248 4.147 20 0 DIADHN CC[C@@H](NCc1ccc(Br)cc1)c1ccncc1 ZINC000344548431 335260788 /nfs/dbraw/zinc/26/07/88/335260788.db2.gz JGGUZGJLNRBDGA-OAHLLOKOSA-N 0 3 305.219 4.085 20 0 DIADHN CC[C@@H](NCc1ccc(F)cc1C(F)(F)F)c1ccncc1 ZINC000344589652 335262149 /nfs/dbraw/zinc/26/21/49/335262149.db2.gz VHBPTPDEQFZCIX-OAHLLOKOSA-N 0 3 312.310 4.480 20 0 DIADHN CO[C@@H](CCNCc1ccc(-c2ccccc2)o1)C(F)(F)F ZINC000344787950 335277434 /nfs/dbraw/zinc/27/74/34/335277434.db2.gz FHPXNTOSPYDMNK-HNNXBMFYSA-N 0 3 313.319 4.004 20 0 DIADHN CC[C@H](CSc1ccc(C)c(C)c1)NCc1cnc(C)nc1 ZINC000344841840 335279433 /nfs/dbraw/zinc/27/94/33/335279433.db2.gz CAWFLXOHCSDKIQ-QGZVFWFLSA-N 0 3 315.486 4.062 20 0 DIADHN CC(C)c1ccc(CN2CC[C@@H](c3nc(C4CC4)no3)C2)cc1 ZINC000344873977 335282069 /nfs/dbraw/zinc/28/20/69/335282069.db2.gz KUCSEXMKMZPBEB-QGZVFWFLSA-N 0 3 311.429 4.060 20 0 DIADHN c1ncc(CN2CCC[C@@H](Cc3csc4ccccc34)C2)[nH]1 ZINC000344876705 335282270 /nfs/dbraw/zinc/28/22/70/335282270.db2.gz DEXYZVBEBYZIBK-AWEZNQCLSA-N 0 3 311.454 4.079 20 0 DIADHN CC(C)COC1CCN(C[C@@H]2CCC3(CCCCC3)O2)CC1 ZINC000190940775 335295473 /nfs/dbraw/zinc/29/54/73/335295473.db2.gz HHSXERPDFATPNM-SFHVURJKSA-N 0 3 309.494 4.005 20 0 DIADHN CN(Cc1ccoc1)Cc1ccc(CN(C)Cc2ccoc2)cc1 ZINC000345094929 335297944 /nfs/dbraw/zinc/29/79/44/335297944.db2.gz ZOTBYFBZOONBDC-UHFFFAOYSA-N 0 3 324.424 4.137 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)N[C@H](C)Cn1cccn1 ZINC000345181823 335305522 /nfs/dbraw/zinc/30/55/22/335305522.db2.gz IPYGHSCKWPXGGK-SJLPKXTDSA-N 0 3 315.461 4.047 20 0 DIADHN COc1cc(CN[C@H](CC2CC2)c2ccc(Cl)cc2)ccn1 ZINC000345295534 335314621 /nfs/dbraw/zinc/31/46/21/335314621.db2.gz QSFPGAWCDRTHFI-QGZVFWFLSA-N 0 3 316.832 4.375 20 0 DIADHN C[C@@H](NCc1cccc(-n2ccnc2)c1)c1csc(Cl)c1 ZINC000345318467 335317025 /nfs/dbraw/zinc/31/70/25/335317025.db2.gz WEEQBPZMMCEKBU-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](CC1CC1)c1ccc(Cl)cc1 ZINC000345278622 335318539 /nfs/dbraw/zinc/31/85/39/335318539.db2.gz JEFJQUOCEKRFBN-GUYCJALGSA-N 0 3 322.880 4.076 20 0 DIADHN CC[C@H](C)[C@](C)(O)CN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000346083868 335377222 /nfs/dbraw/zinc/37/72/22/335377222.db2.gz QONHOTGWZAXAIU-SGIREYDYSA-N 0 3 313.894 4.264 20 0 DIADHN Cc1cc([C@@H]2CCCN2Cc2cc(C)c3ncccc3c2)on1 ZINC000346166983 335384174 /nfs/dbraw/zinc/38/41/74/335384174.db2.gz JODLNXGPONLSLY-KRWDZBQOSA-N 0 3 307.397 4.177 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346219018 335385371 /nfs/dbraw/zinc/38/53/71/335385371.db2.gz VKIJPMZKBOUKSC-DGCLKSJQSA-N 0 3 317.820 4.151 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000346222130 335385542 /nfs/dbraw/zinc/38/55/42/335385542.db2.gz XHKTYLJXLJAHGS-RISCZKNCSA-N 0 3 317.820 4.151 20 0 DIADHN CC(C)N(Cc1cccs1)Cc1cc(-n2ccnc2)cs1 ZINC000346189830 335388196 /nfs/dbraw/zinc/38/81/96/335388196.db2.gz ZQPDXQAYDCPXFH-UHFFFAOYSA-N 0 3 317.483 4.406 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000346189666 335388299 /nfs/dbraw/zinc/38/82/99/335388299.db2.gz JWNPHZFSBUDAPF-BXUZGUMPSA-N 0 3 317.820 4.151 20 0 DIADHN FC(F)(F)c1ccccc1[C@H]1CCCCN1CCn1ccnc1 ZINC000346300875 335396321 /nfs/dbraw/zinc/39/63/21/335396321.db2.gz DDRQGESTTIYBLB-MRXNPFEDSA-N 0 3 323.362 4.129 20 0 DIADHN CCc1ccccc1CCN[C@@H](c1nc(C)cs1)C1CC1 ZINC000444690003 338482818 /nfs/dbraw/zinc/48/28/18/338482818.db2.gz QKLREOSBBCTZGZ-QGZVFWFLSA-N 0 3 300.471 4.297 20 0 DIADHN COc1ccc(C2(N[C@H]3CCCc4nc(C)sc43)CC2)cc1 ZINC000346740580 335433300 /nfs/dbraw/zinc/43/33/00/335433300.db2.gz NQZHKLUVSCMCAT-INIZCTEOSA-N 0 3 314.454 4.116 20 0 DIADHN CC[C@H](N[C@@H](CCOC)Cc1ccco1)c1ccc(F)cc1F ZINC000346838214 335445149 /nfs/dbraw/zinc/44/51/49/335445149.db2.gz RCFLTSKTQBWEEX-KSSFIOAISA-N 0 3 323.383 4.246 20 0 DIADHN CCCCCc1ccc([C@H](CC)N[C@H](C)C[S@](C)=O)cc1 ZINC000346887318 335448560 /nfs/dbraw/zinc/44/85/60/335448560.db2.gz SLCKUPCYRBFSQC-YWMUFLPLSA-N 0 3 309.519 4.227 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCOC1CCC1 ZINC000347114827 335466823 /nfs/dbraw/zinc/46/68/23/335466823.db2.gz AOHOBYQSUSJKRT-HNNXBMFYSA-N 0 3 301.352 4.147 20 0 DIADHN OCC[C@@H](NCc1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000347444456 335497182 /nfs/dbraw/zinc/49/71/82/335497182.db2.gz OQNIEWBPQNWQRQ-MRXNPFEDSA-N 0 3 310.224 4.207 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NCC[C@@H]1CCCO1 ZINC000444772436 338487820 /nfs/dbraw/zinc/48/78/20/338487820.db2.gz AGAYSNNVFONQOK-DZGCQCFKSA-N 0 3 301.352 4.147 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN[C@H]1CCCNc2ccccc21 ZINC000347925852 335539183 /nfs/dbraw/zinc/53/91/83/335539183.db2.gz SGISFFZPWCNOIK-MNEFBYGVSA-N 0 3 302.462 4.118 20 0 DIADHN Clc1ccc(OCCN[C@@H]2CCCNc3ccccc32)cc1 ZINC000347945721 335540900 /nfs/dbraw/zinc/54/09/00/335540900.db2.gz DAXNPLCMMKPZDJ-GOSISDBHSA-N 0 3 316.832 4.255 20 0 DIADHN CCC1(c2ccc(Cl)cc2)CCN(Cc2cncn2C)CC1 ZINC000348171908 335560066 /nfs/dbraw/zinc/56/00/66/335560066.db2.gz WCDQFBMNAUBDQY-UHFFFAOYSA-N 0 3 317.864 4.017 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](CCO)c1ccc(Cl)cc1)CC2 ZINC000348208303 335566005 /nfs/dbraw/zinc/56/60/05/335566005.db2.gz SSTZKVWFHLRJKE-MOPGFXCFSA-N 0 3 315.844 4.349 20 0 DIADHN FC(F)[C@@H]1C[C@H]1N[C@@H]1CCCc2c(Br)cccc21 ZINC000348300284 335574220 /nfs/dbraw/zinc/57/42/20/335574220.db2.gz ITSKUZUSQBQKAP-RAIGVLPGSA-N 0 3 316.189 4.070 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCCCO2)c2ccccn2)cc1 ZINC000348371193 335581323 /nfs/dbraw/zinc/58/13/23/335581323.db2.gz NDCUCCRTXGZDKP-ICSRJNTNSA-N 0 3 310.441 4.028 20 0 DIADHN COCCCC[C@@H](NCc1cccc(F)c1)c1ccccc1 ZINC000348330032 335582094 /nfs/dbraw/zinc/58/20/94/335582094.db2.gz MGSGGNYSKUTEDW-LJQANCHMSA-N 0 3 301.405 4.473 20 0 DIADHN CC[C@H](N[C@H]1CCCCC[C@@H]1O)c1ccccc1OC(F)F ZINC000348648895 335601831 /nfs/dbraw/zinc/60/18/31/335601831.db2.gz WXWBYOFAQNOUHR-KKUMJFAQSA-N 0 3 313.388 4.022 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccnc(OC)c1)c1ccc(OC)cc1 ZINC000348758083 335606689 /nfs/dbraw/zinc/60/66/89/335606689.db2.gz YEVMVGCCCDSCSD-RDTXWAMCSA-N 0 3 314.429 4.291 20 0 DIADHN CCc1cccc(Cl)c1CN[C@@H]1CCCc2[nH]c(=O)ccc21 ZINC000348907137 335617923 /nfs/dbraw/zinc/61/79/23/335617923.db2.gz WDZRELSVQAGPCT-MRXNPFEDSA-N 0 3 316.832 4.170 20 0 DIADHN C[C@@H](c1nc(-c2ccccc2Cl)no1)N1[C@H](C)CC[C@H]1C ZINC000191172096 335627682 /nfs/dbraw/zinc/62/76/82/335627682.db2.gz UQWWBZYEFAWEQM-UTUOFQBUSA-N 0 3 305.809 4.324 20 0 DIADHN C[C@@H](NC1CCN(c2ccccn2)CC1)c1csc(Cl)c1 ZINC000191175918 335629652 /nfs/dbraw/zinc/62/96/52/335629652.db2.gz FGSQUBQVOHWPTL-GFCCVEGCSA-N 0 3 321.877 4.116 20 0 DIADHN Cc1nc(-c2ccc([C@H](C)N[C@@H]3COC(C)(C)C3)cc2)cs1 ZINC000417860859 335644612 /nfs/dbraw/zinc/64/46/12/335644612.db2.gz WLZZLQLJEUATAC-LRDDRELGSA-N 0 3 316.470 4.337 20 0 DIADHN c1sc(-c2ccccc2)nc1CNCC1Cc2ccccc2C1 ZINC000518848692 335652615 /nfs/dbraw/zinc/65/26/15/335652615.db2.gz UKICNIPQGRSOMQ-UHFFFAOYSA-N 0 3 320.461 4.315 20 0 DIADHN C[C@H](c1cccc2ccccc21)N1CCC(O)(C(F)(F)F)CC1 ZINC000535577878 335654739 /nfs/dbraw/zinc/65/47/39/335654739.db2.gz ZMCXUPNSTBIGQP-CYBMUJFWSA-N 0 3 323.358 4.290 20 0 DIADHN Clc1cnc(CNC[C@@H]2CCCO[C@@H]2c2ccccc2)s1 ZINC000349569613 335681556 /nfs/dbraw/zinc/68/15/56/335681556.db2.gz PWABIJYDSBNFER-XJKSGUPXSA-N 0 3 322.861 4.054 20 0 DIADHN CC[C@H](N[C@@H]1CCCc2c(Cl)cccc21)[C@@H](O)C(F)(F)F ZINC000349780428 335699533 /nfs/dbraw/zinc/69/95/33/335699533.db2.gz WQBYNHMNZXSPHD-BFHYXJOUSA-N 0 3 321.770 4.009 20 0 DIADHN CCC(CC)[C@@H](C)C(=O)N(CC)Cc1ccc(CN(C)C)cc1 ZINC000535646339 335705941 /nfs/dbraw/zinc/70/59/41/335705941.db2.gz FCSTVMWENCIXFC-MRXNPFEDSA-N 0 3 318.505 4.169 20 0 DIADHN Fc1ccc(C2=CC[C@@H](NCc3ccn(C(F)F)n3)CC2)cc1 ZINC000350249729 335738682 /nfs/dbraw/zinc/73/86/82/335738682.db2.gz MMTFEMJSVRXNNN-OAHLLOKOSA-N 0 3 321.346 4.143 20 0 DIADHN CC(C)n1ccc(CN[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000583599318 335759500 /nfs/dbraw/zinc/75/95/00/335759500.db2.gz HLOIDQZOEZDLNP-KGLIPLIRSA-N 0 3 305.853 4.399 20 0 DIADHN COc1ccsc1CN1CCC(OCC2CCCCC2)CC1 ZINC000535819603 335787894 /nfs/dbraw/zinc/78/78/94/335787894.db2.gz XRNQLBCTMUQWHK-UHFFFAOYSA-N 0 3 323.502 4.318 20 0 DIADHN CNC(=O)c1cccc(CN[C@@H](C)c2ccccc2C(C)(C)C)c1 ZINC000535838068 335792440 /nfs/dbraw/zinc/79/24/40/335792440.db2.gz GREYXNCNFSJJRZ-HNNXBMFYSA-N 0 3 324.468 4.195 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](C)c2ccccc2C(C)(C)C)c1 ZINC000535838069 335792465 /nfs/dbraw/zinc/79/24/65/335792465.db2.gz GREYXNCNFSJJRZ-OAHLLOKOSA-N 0 3 324.468 4.195 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1ncc(Br)s1 ZINC000535990772 335807415 /nfs/dbraw/zinc/80/74/15/335807415.db2.gz KPVXJTLVEYHNNU-CHWFTXMASA-N 0 3 317.296 4.381 20 0 DIADHN CCc1ccc(CNCc2ccccc2-c2cnn(C)c2)s1 ZINC000536163055 335825534 /nfs/dbraw/zinc/82/55/34/335825534.db2.gz LOFNNQFHCFBYNP-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN Fc1ccc(CNCc2ccc3ncccc3c2)cc1Cl ZINC000053156778 335835354 /nfs/dbraw/zinc/83/53/54/335835354.db2.gz VBOQLSOWQGRKQH-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN C[C@H](CN[C@H](C)c1ccccc1N(C)C)Oc1ccccc1F ZINC000536693703 335862417 /nfs/dbraw/zinc/86/24/17/335862417.db2.gz VFITWDLLUNYUQC-HUUCEWRRSA-N 0 3 316.420 4.010 20 0 DIADHN CSc1ccc(CNC[C@H](C)Oc2ccccc2F)s1 ZINC000519572501 335878524 /nfs/dbraw/zinc/87/85/24/335878524.db2.gz SDKUZNZPNPVVSJ-NSHDSACASA-N 0 3 311.447 4.166 20 0 DIADHN C[C@@H](NCCc1cc(F)ccc1F)c1nc2c(s1)CCCC2 ZINC000536770256 335887208 /nfs/dbraw/zinc/88/72/08/335887208.db2.gz FADCOOHMRCARRG-LLVKDONJSA-N 0 3 322.424 4.193 20 0 DIADHN Cc1ccc(C[C@H](NCc2cn3ccsc3n2)C(C)C)cc1 ZINC000532204995 335912748 /nfs/dbraw/zinc/91/27/48/335912748.db2.gz WOMKVYCSSJOWBM-KRWDZBQOSA-N 0 3 313.470 4.061 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](C)c2ccc(C)s2)c1 ZINC000532194267 335913918 /nfs/dbraw/zinc/91/39/18/335913918.db2.gz PRNCADNWONQRBB-ZDUSSCGKSA-N 0 3 302.443 4.256 20 0 DIADHN CC[C@@H](N[C@H](c1nccn1C)c1ccccc1)C1CCCCC1 ZINC000532197740 335914576 /nfs/dbraw/zinc/91/45/76/335914576.db2.gz QHPFQZUJBDNIPI-MOPGFXCFSA-N 0 3 311.473 4.458 20 0 DIADHN C[C@@H]1CC(C)(C)CC[C@@H]1N[C@@H](c1nccn1C)c1ccccc1 ZINC000532198808 335914633 /nfs/dbraw/zinc/91/46/33/335914633.db2.gz OHLWHQIDRXCYCZ-BPQIPLTHSA-N 0 3 311.473 4.314 20 0 DIADHN Fc1ccccc1-c1ccc(CNCCc2cccs2)o1 ZINC000520211007 335939841 /nfs/dbraw/zinc/93/98/41/335939841.db2.gz OBHHRWJHRRWLBC-UHFFFAOYSA-N 0 3 301.386 4.480 20 0 DIADHN CC[C@H](NC[C@H](CO)C1CC1)c1ccc(Cl)cc1Cl ZINC000520293560 335958905 /nfs/dbraw/zinc/95/89/05/335958905.db2.gz DEDHGDYUIKGYQD-ABAIWWIYSA-N 0 3 302.245 4.053 20 0 DIADHN C[C@@H](NC1(Cc2ccccc2Br)CC1)c1ccoc1 ZINC000582217455 336005457 /nfs/dbraw/zinc/00/54/57/336005457.db2.gz UKKQGLFPQYIVIL-GFCCVEGCSA-N 0 3 320.230 4.468 20 0 DIADHN Cc1cccc(C)c1[C@H](C)NCc1cncn1Cc1ccccc1 ZINC000445792052 338509281 /nfs/dbraw/zinc/50/92/81/338509281.db2.gz YFUJADUEIVGRBT-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cncn1CC)c1ccc(Cl)cc1 ZINC000417953529 336025320 /nfs/dbraw/zinc/02/53/20/336025320.db2.gz DVANIYIQQJFUGA-DYVFJYSZSA-N 0 3 305.853 4.433 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cncn1CC)c1ccc(Cl)cc1 ZINC000417953528 336025482 /nfs/dbraw/zinc/02/54/82/336025482.db2.gz DVANIYIQQJFUGA-CXAGYDPISA-N 0 3 305.853 4.433 20 0 DIADHN CC[C@@H](N[C@H]1CCc2c(cccc2OC)C1)c1nc(C)cs1 ZINC000192442041 336032620 /nfs/dbraw/zinc/03/26/20/336032620.db2.gz BXXOJOBBVAQFMT-GOEBONIOSA-N 0 3 316.470 4.058 20 0 DIADHN CCN(CCn1ccc2cc(Cl)ccc21)Cc1ccncc1 ZINC000351576778 336046557 /nfs/dbraw/zinc/04/65/57/336046557.db2.gz YHILROVOICBXCS-UHFFFAOYSA-N 0 3 313.832 4.212 20 0 DIADHN CCCN(Cc1ccc(F)cc1)Cc1ccc(NC(C)=O)cc1 ZINC000351601501 336048857 /nfs/dbraw/zinc/04/88/57/336048857.db2.gz HTSKIJURVNZTED-UHFFFAOYSA-N 0 3 314.404 4.196 20 0 DIADHN CC(=O)Nc1ccccc1CN(Cc1ccccc1)CC(C)C ZINC000351661009 336055937 /nfs/dbraw/zinc/05/59/37/336055937.db2.gz HAZRREXCORHHLF-UHFFFAOYSA-N 0 3 310.441 4.303 20 0 DIADHN C[C@@H](NCC(=O)N(Cc1ccccc1)C(C)(C)C)c1ccccc1 ZINC000216420339 336059443 /nfs/dbraw/zinc/05/94/43/336059443.db2.gz KUEPMSORDMHPOD-QGZVFWFLSA-N 0 3 324.468 4.165 20 0 DIADHN Cc1ncc(CN2CCCC[C@H]2CCCc2ccccc2)cn1 ZINC000351731595 336063227 /nfs/dbraw/zinc/06/32/27/336063227.db2.gz WNIWEQYWBHCOGD-FQEVSTJZSA-N 0 3 309.457 4.162 20 0 DIADHN C[C@@H](F)CCN1CCC[C@@H](c2nc(C(F)(F)F)cs2)C1 ZINC000351751607 336064611 /nfs/dbraw/zinc/06/46/11/336064611.db2.gz KERCVJBDIOWHDI-NXEZZACHSA-N 0 3 310.360 4.089 20 0 DIADHN CC[C@@H](C)[C@H](NCc1cnc(C)nc1)c1ccc(Cl)cc1 ZINC000351761953 336065976 /nfs/dbraw/zinc/06/59/76/336065976.db2.gz YSBUUQQLHZTLNO-PXAZEXFGSA-N 0 3 303.837 4.315 20 0 DIADHN FC(F)Cn1cc(CN2CCC[C@H]2CCC2CCCC2)cn1 ZINC000351815218 336069857 /nfs/dbraw/zinc/06/98/57/336069857.db2.gz VOGDFPBOIZBGPD-INIZCTEOSA-N 0 3 311.420 4.083 20 0 DIADHN Cc1ncc(CN2CCCCC[C@@H]2/C=C\c2ccccc2)cn1 ZINC000351876622 336075639 /nfs/dbraw/zinc/07/56/39/336075639.db2.gz OTLXPWIXAPYBFG-ZCPJJFRWSA-N 0 3 307.441 4.243 20 0 DIADHN Cc1ncc(CN2CCCCC[C@H]2/C=C\c2ccccc2)cn1 ZINC000351876598 336075718 /nfs/dbraw/zinc/07/57/18/336075718.db2.gz OTLXPWIXAPYBFG-DUQGCJEPSA-N 0 3 307.441 4.243 20 0 DIADHN Cc1n[nH]c(C)c1CN1CCC[C@H]1Cc1c(F)cccc1Cl ZINC000351881077 336078833 /nfs/dbraw/zinc/07/88/33/336078833.db2.gz YVLNJWCSLQFOIS-ZDUSSCGKSA-N 0 3 321.827 4.026 20 0 DIADHN C[C@]12CC3CC(C(=O)Nc4ccc5c(c4)CNC5)(C1)C[C@@](C)(C3)C2 ZINC000395424414 336115476 /nfs/dbraw/zinc/11/54/76/336115476.db2.gz LDDGHRAXFVARDS-DELCKGKKSA-N 0 3 324.468 4.225 20 0 DIADHN C[C@@H]1C[C@@H](NC[C@H](c2ccco2)N2CCCC2)c2ccccc21 ZINC000324283173 336129651 /nfs/dbraw/zinc/12/96/51/336129651.db2.gz QGGYHISSYJHVLK-ATZDWAIDSA-N 0 3 310.441 4.255 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCC[C@H]2C2CCCCC2)c1 ZINC000331986091 336155157 /nfs/dbraw/zinc/15/51/57/336155157.db2.gz WHERHKYRHNYDEP-SFHVURJKSA-N 0 3 315.461 4.030 20 0 DIADHN C[C@H]1CC[C@H](C(N)=O)CN1Cc1c(Cl)ccc2cccnc21 ZINC000332249400 336165049 /nfs/dbraw/zinc/16/50/49/336165049.db2.gz BYFJDKQSIIWCSK-AAEUAGOBSA-N 0 3 317.820 4.024 20 0 DIADHN C[C@@H]1CC[C@H](C(N)=O)CN1Cc1ccc(Cl)c2cccnc12 ZINC000332306483 336167545 /nfs/dbraw/zinc/16/75/45/336167545.db2.gz OHIUEGUZWGEZDO-YPMHNXCESA-N 0 3 317.820 4.024 20 0 DIADHN C=Cn1cc(CNC2(c3cccc(Cl)c3)CCCC2)cn1 ZINC000194373091 338516416 /nfs/dbraw/zinc/51/64/16/338516416.db2.gz RNUQTHWFSYDCCK-UHFFFAOYSA-N 0 3 301.821 4.196 20 0 DIADHN c1ccc(OCc2ccncc2)c(CN2CCCC3(CC3)C2)c1 ZINC000351947603 336182045 /nfs/dbraw/zinc/18/20/45/336182045.db2.gz SZNQNTLKTSTJBR-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN CCn1cncc1CN[C@@H](c1cccc(C(F)(F)F)c1)C1CC1 ZINC000417961969 336201330 /nfs/dbraw/zinc/20/13/30/336201330.db2.gz ZANAUHFNUQXHBC-MRXNPFEDSA-N 0 3 323.362 4.163 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000333375310 336202559 /nfs/dbraw/zinc/20/25/59/336202559.db2.gz VNVTYRKFBGUCFJ-SJORKVTESA-N 0 3 315.461 4.016 20 0 DIADHN CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)Nc1ccc2c(c1)CNC2 ZINC000333375303 336202589 /nfs/dbraw/zinc/20/25/89/336202589.db2.gz VNVTYRKFBGUCFJ-DLBZAZTESA-N 0 3 315.461 4.016 20 0 DIADHN CC[C@H](NCC(=O)N(C)[C@@H](C)c1ccccc1)c1ccc(C)cc1 ZINC000352280671 336209606 /nfs/dbraw/zinc/20/96/06/336209606.db2.gz ITGNFRSUIUTLKJ-PXNSSMCTSA-N 0 3 324.468 4.255 20 0 DIADHN Cc1cnccc1OC1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000352348763 336213494 /nfs/dbraw/zinc/21/34/94/336213494.db2.gz DTPFZZBQYDTGJB-OAHLLOKOSA-N 0 3 314.404 4.134 20 0 DIADHN Cc1ccccc1[C@H](C)N1CCC(Oc2ccncc2C)CC1 ZINC000352365757 336214016 /nfs/dbraw/zinc/21/40/16/336214016.db2.gz WMHQBCPTULZNGN-KRWDZBQOSA-N 0 3 310.441 4.303 20 0 DIADHN CC(C)COC1CCN(Cc2ccc3c(c2)CC(C)(C)O3)CC1 ZINC000352372134 336214641 /nfs/dbraw/zinc/21/46/41/336214641.db2.gz OKLGBEZDZNQEHG-UHFFFAOYSA-N 0 3 317.473 4.037 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cccc3ccccc31)CCO2 ZINC000324429408 336217397 /nfs/dbraw/zinc/21/73/97/336217397.db2.gz PQPAPQWRCZJFJY-FQEVSTJZSA-N 0 3 319.404 4.462 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCC[C@H]1C1CCCC1 ZINC000352645394 336228427 /nfs/dbraw/zinc/22/84/27/336228427.db2.gz STWSJTVKYGAEBR-JKSUJKDBSA-N 0 3 306.475 4.149 20 0 DIADHN CC[C@H](NC[C@H](c1ccsc1)N(C)C)c1ccccc1F ZINC000352701671 336231270 /nfs/dbraw/zinc/23/12/70/336231270.db2.gz MIGVMNRZNWIPPM-DLBZAZTESA-N 0 3 306.450 4.231 20 0 DIADHN CC[C@@H](NCCc1cnn(-c2ccccc2)c1)c1ccccc1F ZINC000352721986 336232496 /nfs/dbraw/zinc/23/24/96/336232496.db2.gz ZVGZLQRYCXLRRY-HXUWFJFHSA-N 0 3 323.415 4.295 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1cccc(-n2ccnc2)c1 ZINC000352761721 336233582 /nfs/dbraw/zinc/23/35/82/336233582.db2.gz YRWQLJJYAPKFBB-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN CC[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1c(C)nn(C)c1C ZINC000352749329 336234597 /nfs/dbraw/zinc/23/45/97/336234597.db2.gz MLIHZRHBPZGILM-DKRLNXSXSA-N 0 3 319.399 4.069 20 0 DIADHN CC[C@H](NC/C=C/c1ccc(F)c(F)c1)c1c(C)nn(C)c1C ZINC000352749332 336234615 /nfs/dbraw/zinc/23/46/15/336234615.db2.gz MLIHZRHBPZGILM-LXXRFIIISA-N 0 3 319.399 4.069 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3occc3c2)cc1OC ZINC000352779120 336235889 /nfs/dbraw/zinc/23/58/89/336235889.db2.gz JSGNXVOWBBKIKK-ZDUSSCGKSA-N 0 3 311.381 4.301 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)OCO3)s1 ZINC000042290414 336238448 /nfs/dbraw/zinc/23/84/48/336238448.db2.gz ZILUDMGEIHINIE-NWDGAFQWSA-N 0 3 303.427 4.451 20 0 DIADHN OCC[C@@H](NCc1ccccc1)c1ccc(Cl)c(Cl)c1 ZINC000352800940 336239045 /nfs/dbraw/zinc/23/90/45/336239045.db2.gz IRZPXFIVUKLSOJ-MRXNPFEDSA-N 0 3 310.224 4.207 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc(Br)cc2)cc1 ZINC000042352290 336239678 /nfs/dbraw/zinc/23/96/78/336239678.db2.gz GTJPZCOQKAOUBX-LBPRGKRZSA-N 0 3 320.230 4.309 20 0 DIADHN COCCSc1ccc([C@@H](C)NCc2cccc(F)c2)cc1 ZINC000103345758 336240099 /nfs/dbraw/zinc/24/00/99/336240099.db2.gz VMFBCPNQANIHPJ-CQSZACIVSA-N 0 3 319.445 4.415 20 0 DIADHN CSCCCNCc1cn(-c2ccccc2)nc1C(C)(C)C ZINC000532328789 336240560 /nfs/dbraw/zinc/24/05/60/336240560.db2.gz LLRIBEXDKYRQLD-UHFFFAOYSA-N 0 3 317.502 4.013 20 0 DIADHN CC(C)[C@@H](NCc1ccc2[nH]cnc2c1)c1ccc(F)cc1F ZINC000532328906 336240649 /nfs/dbraw/zinc/24/06/49/336240649.db2.gz JXFUAZVSQLNLTD-GOSISDBHSA-N 0 3 315.367 4.328 20 0 DIADHN CC(C)[C@@H](NCc1ccc2nc[nH]c2c1)c1ccc(F)cc1F ZINC000532328906 336240650 /nfs/dbraw/zinc/24/06/50/336240650.db2.gz JXFUAZVSQLNLTD-GOSISDBHSA-N 0 3 315.367 4.328 20 0 DIADHN CC(C)Sc1ccc([C@H](C)N[C@@H](C)C(=O)NC(C)(C)C)cc1 ZINC000103499560 336242006 /nfs/dbraw/zinc/24/20/06/336242006.db2.gz IDFNBYRYTARFOZ-KBPBESRZSA-N 0 3 322.518 4.141 20 0 DIADHN Cc1ccc2c(CCNCc3cc(F)c(F)cc3F)c[nH]c2c1 ZINC000526249525 336242019 /nfs/dbraw/zinc/24/20/19/336242019.db2.gz CEVACAUDPZQSGW-UHFFFAOYSA-N 0 3 318.342 4.226 20 0 DIADHN C[C@@H]1CCCC[C@H]1CNCc1csc(-c2ccccn2)n1 ZINC000042859174 336242435 /nfs/dbraw/zinc/24/24/35/336242435.db2.gz WHPUPTUNTPBGMY-KGLIPLIRSA-N 0 3 301.459 4.121 20 0 DIADHN Fc1ccc(CNC[C@H]2CCCCO2)cc1-c1cccs1 ZINC000042817602 336242877 /nfs/dbraw/zinc/24/28/77/336242877.db2.gz PGURVRBLIAIMFT-CQSZACIVSA-N 0 3 305.418 4.213 20 0 DIADHN CC(C)N(Cc1ccc(Cl)cc1Cl)C1CCOCC1 ZINC000077323493 336243667 /nfs/dbraw/zinc/24/36/67/336243667.db2.gz BJYFLEINQSROKQ-UHFFFAOYSA-N 0 3 302.245 4.383 20 0 DIADHN CCc1ccc(CNCC(C)(C)N[C@@H](C)c2ccccc2)o1 ZINC000532376392 336243993 /nfs/dbraw/zinc/24/39/93/336243993.db2.gz ZRBYPOHBXMKIEM-HNNXBMFYSA-N 0 3 300.446 4.061 20 0 DIADHN CCCC(C)(C)NCc1nc2cc(C(F)(F)F)ccc2n1C ZINC000532376391 336244019 /nfs/dbraw/zinc/24/40/19/336244019.db2.gz ZOHZJDKVYBEYRW-UHFFFAOYSA-N 0 3 313.367 4.260 20 0 DIADHN c1cc2cc(CNCc3ccc(N4CCCCC4)nc3)ccc2o1 ZINC000352809445 336244153 /nfs/dbraw/zinc/24/41/53/336244153.db2.gz BVFWMSYGYDFTAG-UHFFFAOYSA-N 0 3 321.424 4.108 20 0 DIADHN CO[C@@H](CNCc1cnc(C2CC2)s1)c1ccc(Cl)cc1 ZINC000532393783 336245190 /nfs/dbraw/zinc/24/51/90/336245190.db2.gz WHGCYJCVSFFXRQ-HNNXBMFYSA-N 0 3 322.861 4.151 20 0 DIADHN CC[C@](C)(CCO)NCc1ccc(-c2cccc(F)c2C)o1 ZINC000532393484 336245252 /nfs/dbraw/zinc/24/52/52/336245252.db2.gz FPZOJHILPHHRMT-GOSISDBHSA-N 0 3 305.393 4.035 20 0 DIADHN C[C@H](NCc1cnc(C2CC2)s1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000532393857 336245255 /nfs/dbraw/zinc/24/52/55/336245255.db2.gz FQRWLDRKOPQOCE-NVGCLXPQSA-N 0 3 314.454 4.063 20 0 DIADHN CCC(CC)(CCO)CNCc1cscc1C(F)(F)F ZINC000352812341 336246046 /nfs/dbraw/zinc/24/60/46/336246046.db2.gz YCJSGUWAKSZKKK-UHFFFAOYSA-N 0 3 309.397 4.045 20 0 DIADHN CCCN(Cc1cn2cccnc2n1)[C@@H](CC)c1ccccc1 ZINC000532407226 336246349 /nfs/dbraw/zinc/24/63/49/336246349.db2.gz KMRGDPCMHYOODU-SFHVURJKSA-N 0 3 308.429 4.093 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000532441276 336247299 /nfs/dbraw/zinc/24/72/99/336247299.db2.gz AKKMUNCGEBTGMN-LBTNJELSSA-N 0 3 303.833 4.442 20 0 DIADHN CCSc1ccccc1[C@H](C)NCc1ccc2c(c1)OCO2 ZINC000532442556 336247487 /nfs/dbraw/zinc/24/74/87/336247487.db2.gz HBKRKPGNXQTKIT-ZDUSSCGKSA-N 0 3 315.438 4.378 20 0 DIADHN C[C@H](NC[C@@H]1CCCO1)c1ccc(Oc2ccccc2)c(F)c1 ZINC000532442560 336247596 /nfs/dbraw/zinc/24/75/96/336247596.db2.gz HLYXHOLPEXHUJM-YOEHRIQHSA-N 0 3 315.388 4.448 20 0 DIADHN C[C@H]1C[C@H](N[C@H]2COc3ccccc3C2)c2ccsc2S1 ZINC000328476740 336247633 /nfs/dbraw/zinc/24/76/33/336247633.db2.gz OTHXUHICKGLIPQ-LNSITVRQSA-N 0 3 317.479 4.267 20 0 DIADHN CCOc1cccc(CN[C@@H]2CSCCc3ccccc32)c1 ZINC000532447203 336247786 /nfs/dbraw/zinc/24/77/86/336247786.db2.gz WEOMSENOAKSIIE-LJQANCHMSA-N 0 3 313.466 4.206 20 0 DIADHN CC[C@@H](N[C@H](C)CC(=O)N(CC)CC)c1cccc(Cl)c1 ZINC000532450625 336247907 /nfs/dbraw/zinc/24/79/07/336247907.db2.gz HRJPWQLWTBRRGG-CZUORRHYSA-N 0 3 310.869 4.028 20 0 DIADHN c1coc([C@@H](CN[C@@H]2CCc3ccccc32)N2CCCCC2)c1 ZINC000532461079 336248774 /nfs/dbraw/zinc/24/87/74/336248774.db2.gz UVWTXHQMJLCBSM-RTBURBONSA-N 0 3 310.441 4.084 20 0 DIADHN C[C@H](NCCCc1cccc(C(F)(F)F)c1)c1cncs1 ZINC000532463049 336248921 /nfs/dbraw/zinc/24/89/21/336248921.db2.gz KIAUPCJBJSTEEL-NSHDSACASA-N 0 3 314.376 4.445 20 0 DIADHN c1ccc([C@H](CC2CC2)NCc2ccnc(N3CCCC3)c2)cc1 ZINC000532452081 336250048 /nfs/dbraw/zinc/25/00/48/336250048.db2.gz OEVSCXCXXXUMQT-FQEVSTJZSA-N 0 3 321.468 4.313 20 0 DIADHN CC(C)CCCN[C@@H](C)c1ccc(-n2cncn2)cc1Cl ZINC000532452116 336250158 /nfs/dbraw/zinc/25/01/58/336250158.db2.gz SJTVKZYFMIEOHB-ZDUSSCGKSA-N 0 3 306.841 4.008 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)cc1 ZINC000532475957 336251228 /nfs/dbraw/zinc/25/12/28/336251228.db2.gz UQGKMYASTHOCDK-CABCVRRESA-N 0 3 308.425 4.292 20 0 DIADHN COCc1ccc(CNCC(c2ccccc2)c2ccccc2)o1 ZINC000532477732 336251301 /nfs/dbraw/zinc/25/13/01/336251301.db2.gz DVECGRXVFYKNKF-UHFFFAOYSA-N 0 3 321.420 4.348 20 0 DIADHN CCC[C@H](CCc1ccccc1)NCc1ccc(COC)o1 ZINC000532479491 336251547 /nfs/dbraw/zinc/25/15/47/336251547.db2.gz UVABQBNCOZNMKM-QGZVFWFLSA-N 0 3 301.430 4.317 20 0 DIADHN COC[C@H](N[C@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000532522242 336252228 /nfs/dbraw/zinc/25/22/28/336252228.db2.gz BMAFBOSGDIINQO-MFKMUULPSA-N 0 3 321.795 4.263 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2cc(F)c(F)c(F)c2)C12CCC2 ZINC000532522645 336252745 /nfs/dbraw/zinc/25/27/45/336252745.db2.gz VOZIECHAVODLOI-NZVBXONLSA-N 0 3 313.363 4.102 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2cc(F)c(F)c(F)c2)C12CCC2 ZINC000532522644 336252765 /nfs/dbraw/zinc/25/27/65/336252765.db2.gz VOZIECHAVODLOI-COLVAYQJSA-N 0 3 313.363 4.102 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccc(F)cc1Cl)C1CC1 ZINC000532527671 336254311 /nfs/dbraw/zinc/25/43/11/336254311.db2.gz NPYNRLCZRJSBGG-UHFFFAOYSA-N 0 3 312.816 4.071 20 0 DIADHN CCC[C@@H](N[C@@H](CO)c1ccccc1C)c1ccc(OC)cc1 ZINC000532548273 336254833 /nfs/dbraw/zinc/25/48/33/336254833.db2.gz NALUPPYOIUMIRQ-UXHICEINSA-N 0 3 313.441 4.168 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)C(=O)N(C)C2CCCCC2)c(C)s1 ZINC000542512001 336255011 /nfs/dbraw/zinc/25/50/11/336255011.db2.gz YLMBJIBQLDNHGS-UONOGXRCSA-N 0 3 322.518 4.195 20 0 DIADHN CCc1cnc(CCN[C@@H](C)c2ccc(OC)c(Cl)c2)s1 ZINC000532537619 336255190 /nfs/dbraw/zinc/25/51/90/336255190.db2.gz KBVQOSFDGYKFLY-NSHDSACASA-N 0 3 324.877 4.261 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H](C)c1cc(C)c(F)c(C)c1 ZINC000532551131 336256113 /nfs/dbraw/zinc/25/61/13/336256113.db2.gz XFOUMAZRCWPECN-QAPCUYQASA-N 0 3 301.405 4.135 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2C[C@H](C)CCC[C@H]2C)c(C)c1 ZINC000532577976 336256297 /nfs/dbraw/zinc/25/62/97/336256297.db2.gz KJSXUTCDWGAGOI-CXAGYDPISA-N 0 3 302.462 4.061 20 0 DIADHN Fc1ccc(Br)cc1CN[C@H]1CCCC(F)(F)C1 ZINC000532627840 336257808 /nfs/dbraw/zinc/25/78/08/336257808.db2.gz MCWHBBXXGAXFAK-NSHDSACASA-N 0 3 322.168 4.256 20 0 DIADHN CCC(CC)[C@H](CCNCc1c[nH]c(-c2ccccc2)n1)OC ZINC000532617844 336257831 /nfs/dbraw/zinc/25/78/31/336257831.db2.gz VTBXBXLEOKFBHU-SFHVURJKSA-N 0 3 315.461 4.008 20 0 DIADHN c1cnn(-c2ccccc2CN[C@@H]2CCCCc3ccccc32)c1 ZINC000324796674 336258599 /nfs/dbraw/zinc/25/85/99/336258599.db2.gz ORAMEILODNMQNM-HXUWFJFHSA-N 0 3 317.436 4.430 20 0 DIADHN CC(C)Cc1ccc([C@H](C)N[C@@H]2CCCc3c2cnn3C)cc1 ZINC000192726242 336259816 /nfs/dbraw/zinc/25/98/16/336259816.db2.gz XSVOYAKOLAIODT-HNAYVOBHSA-N 0 3 311.473 4.347 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](C)c2ccc3c(c2)OCO3)cc1 ZINC000192750268 336260652 /nfs/dbraw/zinc/26/06/52/336260652.db2.gz NDHMOZGTLBUZFB-UONOGXRCSA-N 0 3 313.397 4.226 20 0 DIADHN CSc1ccc(CN[C@H](CCCO)c2ccccc2)s1 ZINC000352825439 336260875 /nfs/dbraw/zinc/26/08/75/336260875.db2.gz SRHLPBNCJIOOMZ-OAHLLOKOSA-N 0 3 307.484 4.073 20 0 DIADHN CC[C@H](NCCSCc1ccccc1)c1nc(C)cs1 ZINC000192777400 336261448 /nfs/dbraw/zinc/26/14/48/336261448.db2.gz XMDZELDCCVQMKY-HNNXBMFYSA-N 0 3 306.500 4.426 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@H](C)c1ccnn1C ZINC000192796605 336262178 /nfs/dbraw/zinc/26/21/78/336262178.db2.gz OURRRXKWSXSHOD-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000192796778 336262260 /nfs/dbraw/zinc/26/22/60/336262260.db2.gz WGTFKGMXCLCAAD-XOBRGWDASA-N 0 3 309.409 4.260 20 0 DIADHN c1csc([C@@H]2c3ccsc3CCN2C[C@H]2CCCOC2)c1 ZINC000532630060 336262544 /nfs/dbraw/zinc/26/25/44/336262544.db2.gz CEUYIZZQVRNUFQ-DYVFJYSZSA-N 0 3 319.495 4.184 20 0 DIADHN Cc1csc(CNCc2ccc(F)c(Br)c2)c1 ZINC000379271147 336262991 /nfs/dbraw/zinc/26/29/91/336262991.db2.gz MEUCCIFSEJHFPY-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN COc1cccc([C@@H](C)NCc2ccc(Br)cc2)c1 ZINC000037499044 336264414 /nfs/dbraw/zinc/26/44/14/336264414.db2.gz YQIWLQBVWZWVKT-GFCCVEGCSA-N 0 3 320.230 4.309 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@@H](C)c2ccnn2C)C2CC2)cc1 ZINC000192798752 336264570 /nfs/dbraw/zinc/26/45/70/336264570.db2.gz AHELQXJCQASNPW-YWZLYKJASA-N 0 3 311.473 4.421 20 0 DIADHN Clc1cc(CNC2(c3ccccc3)CCC2)cc2c1OCO2 ZINC000532658553 336265110 /nfs/dbraw/zinc/26/51/10/336265110.db2.gz IJISIUYTXFVKCI-UHFFFAOYSA-N 0 3 315.800 4.238 20 0 DIADHN CC(C)OCCCN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC000532662408 336265937 /nfs/dbraw/zinc/26/59/37/336265937.db2.gz CUMHVLKAHMDHCD-UHFFFAOYSA-N 0 3 316.420 4.211 20 0 DIADHN Cc1cccnc1[C@H](NCCO[C@H]1CCCC[C@@H]1C)C(C)C ZINC000532709230 336267999 /nfs/dbraw/zinc/26/79/99/336267999.db2.gz VGDZMQVDQYDIOF-RYQLBKOJSA-N 0 3 304.478 4.272 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(NC(N)=O)cc1)c1ccc(Cl)cc1 ZINC000192847643 336269129 /nfs/dbraw/zinc/26/91/29/336269129.db2.gz VIWLEZVSEQLWRR-RYUDHWBXSA-N 0 3 317.820 4.242 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](C)c2ccc(NC(N)=O)cc2)cc1 ZINC000192849156 336270407 /nfs/dbraw/zinc/27/04/07/336270407.db2.gz DYNOUCGTPDJMFB-UONOGXRCSA-N 0 3 311.429 4.151 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2csc(-c3ccccn3)n2)C1 ZINC000532779864 336270947 /nfs/dbraw/zinc/27/09/47/336270947.db2.gz NWAPQHSDAGXBGC-ZIAGYGMSSA-N 0 3 301.459 4.073 20 0 DIADHN Cn1c(CNCCC2=CCCCC2)nc2cc(Cl)ccc21 ZINC000532785771 336271254 /nfs/dbraw/zinc/27/12/54/336271254.db2.gz DYPNPQVBDXDOKZ-UHFFFAOYSA-N 0 3 303.837 4.207 20 0 DIADHN CC[C@@H](NCCCSC)c1ccccc1Br ZINC000167880786 336272775 /nfs/dbraw/zinc/27/27/75/336272775.db2.gz LWQFGGPPNYACEA-CYBMUJFWSA-N 0 3 302.281 4.243 20 0 DIADHN FC1(CNCCOc2c(Cl)cc(Cl)cc2Cl)CC1 ZINC000526981795 336277783 /nfs/dbraw/zinc/27/77/83/336277783.db2.gz GYEJDEYZMJUETE-UHFFFAOYSA-N 0 3 312.599 4.117 20 0 DIADHN Fc1ccc(CCN[C@H](c2ccccc2)c2ccccn2)cc1 ZINC000192866219 336275865 /nfs/dbraw/zinc/27/58/65/336275865.db2.gz PGHNEOYJPHGZIU-HXUWFJFHSA-N 0 3 306.384 4.142 20 0 DIADHN C[C@@H](NCC(=O)NC1CCCCC1)c1cc2ccccc2s1 ZINC000526944768 336276017 /nfs/dbraw/zinc/27/60/17/336276017.db2.gz QMGSENOHGCKDFB-CYBMUJFWSA-N 0 3 316.470 4.001 20 0 DIADHN FC(F)(F)c1cccc(C2(CNCC3(F)CC3)CCC2)c1 ZINC000526988768 336278567 /nfs/dbraw/zinc/27/85/67/336278567.db2.gz SVJWHEKJDAUZTJ-UHFFFAOYSA-N 0 3 301.327 4.219 20 0 DIADHN CCCOc1ccc([C@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000192914588 336284251 /nfs/dbraw/zinc/28/42/51/336284251.db2.gz NNRHLABXSLGJTG-MAUKXSAKSA-N 0 3 316.445 4.022 20 0 DIADHN C[C@H](NCc1ccc2c(c1)OCO2)c1ccccc1C(F)(F)F ZINC000192918284 336284428 /nfs/dbraw/zinc/28/44/28/336284428.db2.gz YHWYAHPZYMIZAU-NSHDSACASA-N 0 3 323.314 4.285 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H]2CCCc3ccccc32)c1 ZINC000192932228 336285185 /nfs/dbraw/zinc/28/51/85/336285185.db2.gz XPPUTKSMYBMEQJ-LIRRHRJNSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1nc2ccccc2n1CCN[C@@H]1CCSc2ccccc21 ZINC000192945955 336285647 /nfs/dbraw/zinc/28/56/47/336285647.db2.gz YOFPGMPNANSMGP-MRXNPFEDSA-N 0 3 323.465 4.171 20 0 DIADHN CC[C@H](NC[C@@H](c1cccs1)N(C)C)c1ccccc1OC ZINC000192948398 336285800 /nfs/dbraw/zinc/28/58/00/336285800.db2.gz PLHNMSPSZNNORP-HOTGVXAUSA-N 0 3 318.486 4.100 20 0 DIADHN c1coc([C@@H](CNc2nc3ccccc3s2)N2CCCC2)c1 ZINC000301055896 336287519 /nfs/dbraw/zinc/28/75/19/336287519.db2.gz DHOISROGWSNYBC-CQSZACIVSA-N 0 3 313.426 4.138 20 0 DIADHN Cc1cc(N[C@@H](C)c2ccc3c(c2)OCO3)c2ccccc2n1 ZINC000301058208 336287673 /nfs/dbraw/zinc/28/76/73/336287673.db2.gz BWLPYXZZJGXYNG-ZDUSSCGKSA-N 0 3 306.365 4.445 20 0 DIADHN Cc1cc(NCc2cn3cc(Cl)ccc3n2)c2ccccc2n1 ZINC000301077506 336288823 /nfs/dbraw/zinc/28/88/23/336288823.db2.gz MAKMWEXQLPUEGN-UHFFFAOYSA-N 0 3 322.799 4.456 20 0 DIADHN CN(C)[C@H](CNc1ccc2ccccc2n1)c1ccc(F)cc1 ZINC000301096739 336289712 /nfs/dbraw/zinc/28/97/12/336289712.db2.gz OTBYFUSVTFXPKT-GOSISDBHSA-N 0 3 309.388 4.089 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2nc3ccccc3o2)CC1 ZINC000301313842 336300345 /nfs/dbraw/zinc/30/03/45/336300345.db2.gz KSYVMKBRFQUSHW-OAHLLOKOSA-N 0 3 321.424 4.465 20 0 DIADHN Cc1cc([C@](C)(O)CNc2cc(C)nc3ccccc32)c(C)o1 ZINC000301407447 336304741 /nfs/dbraw/zinc/30/47/41/336304741.db2.gz HSYJWFRFGSRJPD-LJQANCHMSA-N 0 3 310.397 4.073 20 0 DIADHN C[C@@H](Cc1c(Cl)cccc1Cl)N[C@@H](C)c1ccnn1C ZINC000193055661 336307404 /nfs/dbraw/zinc/30/74/04/336307404.db2.gz LFRSQZXKCBKUHG-QWRGUYRKSA-N 0 3 312.244 4.009 20 0 DIADHN Clc1ccc(NC[C@H]2CCCN(Cc3cccs3)C2)nc1 ZINC000301456240 336307910 /nfs/dbraw/zinc/30/79/10/336307910.db2.gz SCNPSKZYHNDGID-CYBMUJFWSA-N 0 3 321.877 4.121 20 0 DIADHN CCc1ncc(CN2CC[C@](C)(c3ccc(F)cc3)C2)s1 ZINC000482588017 336312429 /nfs/dbraw/zinc/31/24/29/336312429.db2.gz CVBGTCQAYKCAKH-KRWDZBQOSA-N 0 3 304.434 4.008 20 0 DIADHN C[C@@H](c1ccccc1)N1CCC(Nc2ccncc2Cl)CC1 ZINC000301541067 336313430 /nfs/dbraw/zinc/31/34/30/336313430.db2.gz VKURWMAKKJXECO-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN CC(C)(C)c1nc(CCN[C@H]2CCCc3occc32)cs1 ZINC000193063146 336314905 /nfs/dbraw/zinc/31/49/05/336314905.db2.gz SQUZAFBVOQXQGL-AWEZNQCLSA-N 0 3 304.459 4.243 20 0 DIADHN Clc1cccnc1NC[C@H]1CCCN(Cc2cccs2)C1 ZINC000301559223 336314952 /nfs/dbraw/zinc/31/49/52/336314952.db2.gz JQCMTWTXLXVHMD-CYBMUJFWSA-N 0 3 321.877 4.121 20 0 DIADHN Clc1ccc(NC[C@H](c2cccs2)N2CCCC2)nc1 ZINC000301565095 336315332 /nfs/dbraw/zinc/31/53/32/336315332.db2.gz ZABWXBQVDDJFHQ-CYBMUJFWSA-N 0 3 307.850 4.046 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2ncccc2Cl)CC1 ZINC000301570998 336315641 /nfs/dbraw/zinc/31/56/41/336315641.db2.gz OFLMICMBMXFZMW-CQSZACIVSA-N 0 3 315.848 4.373 20 0 DIADHN Cc1nc(C)c(C)c(NC2CCN([C@@H](C)c3ccccc3)CC2)n1 ZINC000301672639 336322519 /nfs/dbraw/zinc/32/25/19/336322519.db2.gz GDTRIVJNHRBFBO-INIZCTEOSA-N 0 3 324.472 4.039 20 0 DIADHN CCc1cc(NC[C@@H](O)c2ccccc2F)c2ccccc2n1 ZINC000301775684 336329064 /nfs/dbraw/zinc/32/90/64/336329064.db2.gz GIEOLLCNHNHCMA-LJQANCHMSA-N 0 3 310.372 4.082 20 0 DIADHN CCc1cc(N2CCO[C@H](c3ccsc3)C2)c2ccccc2n1 ZINC000301787357 336329791 /nfs/dbraw/zinc/32/97/91/336329791.db2.gz LTVAAGYYZLMZBT-IBGZPJMESA-N 0 3 324.449 4.437 20 0 DIADHN CCCc1cc(N2CCC[C@@H](c3ncc[nH]3)C2)c2ccccc2n1 ZINC000301989628 336341686 /nfs/dbraw/zinc/34/16/86/336341686.db2.gz OORZNDYAOGZRBM-OAHLLOKOSA-N 0 3 320.440 4.294 20 0 DIADHN CN1CCC(Nc2ccc3ccc(Cl)c(Cl)c3n2)CC1 ZINC000302008050 336342787 /nfs/dbraw/zinc/34/27/87/336342787.db2.gz WQVARYHCHOBTRP-UHFFFAOYSA-N 0 3 310.228 4.048 20 0 DIADHN CCCCOc1ccc(CCN[C@@H](C)c2cc(C)ccn2)cc1 ZINC000514103848 336348888 /nfs/dbraw/zinc/34/88/88/336348888.db2.gz FSNVLGPNGSSWOI-KRWDZBQOSA-N 0 3 312.457 4.462 20 0 DIADHN CC(=O)c1ccc(CNc2c(C)c(C)nc3ccccc32)nc1C ZINC000302128355 336349821 /nfs/dbraw/zinc/34/98/21/336349821.db2.gz GEJZGJHQAXWEQU-UHFFFAOYSA-N 0 3 319.408 4.370 20 0 DIADHN Cc1ccc2nccc(NCc3ccc(N4CCCC4)nc3)c2c1 ZINC000302140169 336350332 /nfs/dbraw/zinc/35/03/32/336350332.db2.gz DIGSQEKUXFUJOA-UHFFFAOYSA-N 0 3 318.424 4.151 20 0 DIADHN Cc1ccc2nccc(NCc3ccn(C4CCCC4)n3)c2c1 ZINC000302203766 336353779 /nfs/dbraw/zinc/35/37/79/336353779.db2.gz CDXKYFIGNWNBHM-UHFFFAOYSA-N 0 3 306.413 4.467 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@H](c4cccs4)C3)c2c1 ZINC000302208415 336354016 /nfs/dbraw/zinc/35/40/16/336354016.db2.gz FLZWYRRYVCOZMU-KRWDZBQOSA-N 0 3 310.422 4.183 20 0 DIADHN Cc1ccc2nccc(N3CCC(C4CCOCC4)CC3)c2c1 ZINC000302219785 336354598 /nfs/dbraw/zinc/35/45/98/336354598.db2.gz LTIFDKITKKEGNC-UHFFFAOYSA-N 0 3 310.441 4.186 20 0 DIADHN CCOc1c(OC)cc(CN2CCC[C@H]2C(C)(C)C)cc1OC ZINC000514104990 336354764 /nfs/dbraw/zinc/35/47/64/336354764.db2.gz NYYRTYNMGMCITO-KRWDZBQOSA-N 0 3 321.461 4.113 20 0 DIADHN Cc1cnc([C@H](C)CNCc2cscc2C(F)(F)F)s1 ZINC000352892395 336360146 /nfs/dbraw/zinc/36/01/46/336360146.db2.gz LBKPFNBMKIDLIT-MRVPVSSYSA-N 0 3 320.405 4.425 20 0 DIADHN CCc1cc(N2CCC([C@@H]3CCCO3)CC2)c2ccccc2n1 ZINC000302549858 336361416 /nfs/dbraw/zinc/36/14/16/336361416.db2.gz JQLHGBYFYPIVRS-FQEVSTJZSA-N 0 3 310.441 4.193 20 0 DIADHN Cc1cccc2c1ncc(C)c2NCCc1cn2c(n1)CCCC2 ZINC000302641230 336363301 /nfs/dbraw/zinc/36/33/01/336363301.db2.gz IHTPDPFDMDBZMF-UHFFFAOYSA-N 0 3 320.440 4.039 20 0 DIADHN Cc1ccc2nccc(NC[C@@H](c3ccnn3C)C(C)C)c2c1 ZINC000302786706 336369095 /nfs/dbraw/zinc/36/90/95/336369095.db2.gz WFGDXKPULMKLRP-MRXNPFEDSA-N 0 3 308.429 4.128 20 0 DIADHN OCCCN(C/C=C\c1ccccc1)[C@H]1CCc2ccccc21 ZINC000514120815 336373294 /nfs/dbraw/zinc/37/32/94/336373294.db2.gz OIZULBHWJUSOOX-PNWMKBJFSA-N 0 3 307.437 4.072 20 0 DIADHN COc1cccc([C@H](C)NCc2ccc(O)c3nc(C)ccc23)c1 ZINC000514127746 336374434 /nfs/dbraw/zinc/37/44/34/336374434.db2.gz YOVAJBSVOIXLCK-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN COc1cccc([C@H](C)[NH2+]Cc2ccc([O-])c3nc(C)ccc23)c1 ZINC000514127746 336374435 /nfs/dbraw/zinc/37/44/35/336374435.db2.gz YOVAJBSVOIXLCK-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN CO[C@H](CN[C@@H](C)c1cccc(O)c1)c1ccc(Cl)cc1 ZINC000514130254 336374922 /nfs/dbraw/zinc/37/49/22/336374922.db2.gz IGMOYUYTOFNRRG-YVEFUNNKSA-N 0 3 305.805 4.084 20 0 DIADHN CCOc1c(Cl)cccc1CNCCCc1cccc(C)n1 ZINC000352900993 336375432 /nfs/dbraw/zinc/37/54/32/336375432.db2.gz WIHAEWLGPQGSGT-UHFFFAOYSA-N 0 3 318.848 4.165 20 0 DIADHN Cc1nc(C)c(CNCCn2ccc3cc(Cl)ccc32)s1 ZINC000352922586 336377337 /nfs/dbraw/zinc/37/73/37/336377337.db2.gz CAMADDNISNUWJK-UHFFFAOYSA-N 0 3 319.861 4.158 20 0 DIADHN Cc1c(CN2CC=C(c3cccc4ccccc43)CC2)cnn1C ZINC000352946658 336378819 /nfs/dbraw/zinc/37/88/19/336378819.db2.gz NIHGKINZWNNTJA-UHFFFAOYSA-N 0 3 317.436 4.171 20 0 DIADHN COc1ccc(C)cc1CNC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000571677908 336387307 /nfs/dbraw/zinc/38/73/07/336387307.db2.gz ITPLQQXAWAALQT-UYAOXDASSA-N 0 3 311.425 4.014 20 0 DIADHN CC(C)Oc1cccc(CN2CCC(OC3CCC3)CC2)c1 ZINC000418093212 336399230 /nfs/dbraw/zinc/39/92/30/336399230.db2.gz QFDBELITCRYIDV-UHFFFAOYSA-N 0 3 303.446 4.007 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2ccncc2)cc1F)CC(C)C ZINC000418092626 336399276 /nfs/dbraw/zinc/39/92/76/336399276.db2.gz FRXLEBAJFISIOF-GOSISDBHSA-N 0 3 316.420 4.038 20 0 DIADHN CC[C@@H](NCc1ccc(N(CC)CC)nc1)c1cccc(C)c1 ZINC000353436631 336417746 /nfs/dbraw/zinc/41/77/46/336417746.db2.gz PNIRLOKMKGRPHC-LJQANCHMSA-N 0 3 311.473 4.477 20 0 DIADHN CC(C)CC[C@H](NC[C@H](c1ccco1)N(C)C)c1ccoc1 ZINC000353455066 336418804 /nfs/dbraw/zinc/41/88/04/336418804.db2.gz OHRIHZSEVXXTJA-DLBZAZTESA-N 0 3 304.434 4.242 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1csc(-c2csc(C)n2)c1)OC ZINC000353573439 336426060 /nfs/dbraw/zinc/42/60/60/336426060.db2.gz HUOCILKPEGFEOH-GWCFXTLKSA-N 0 3 310.488 4.256 20 0 DIADHN Cc1cc2cc(CN[C@H]3CCCOc4ccccc43)ccc2[nH]1 ZINC000353824891 336442795 /nfs/dbraw/zinc/44/27/95/336442795.db2.gz NVKDQAZHSILMMC-IBGZPJMESA-N 0 3 306.409 4.480 20 0 DIADHN CCCCOC1CCN(Cc2cccc(OC(F)F)c2)CC1 ZINC000353969525 336451676 /nfs/dbraw/zinc/45/16/76/336451676.db2.gz AXUDDDQGFBEBFO-UHFFFAOYSA-N 0 3 313.388 4.069 20 0 DIADHN CC[C@H](NCC1(C(F)(F)F)CC1)c1cc(C)ccc1OC ZINC000353989196 336452934 /nfs/dbraw/zinc/45/29/34/336452934.db2.gz ZZFQMIHVFPDVLV-ZDUSSCGKSA-N 0 3 301.352 4.387 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](C)c2ccc3n[nH]cc3c2)s1 ZINC000353998005 336453378 /nfs/dbraw/zinc/45/33/78/336453378.db2.gz UFIZAQMLXUVOCO-ZJUUUORDSA-N 0 3 300.431 4.048 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)N(C(C)C)C(C)C)c1ccc(C)cc1 ZINC000354461823 336471444 /nfs/dbraw/zinc/47/14/44/336471444.db2.gz TXXUMQMDIFWANP-WMZOPIPTSA-N 0 3 304.478 4.070 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC)c2cccc(Cl)c2)[C@@]12CCCO2 ZINC000354966598 336489182 /nfs/dbraw/zinc/48/91/82/336489182.db2.gz MLWQVYXSAVBVLI-XDNAFOTISA-N 0 3 323.864 4.107 20 0 DIADHN CO[C@H](c1ccccc1Cl)[C@H](C)N[C@@H](C)c1ccccn1 ZINC000354964565 336489283 /nfs/dbraw/zinc/48/92/83/336489283.db2.gz YSCBERWZHQOTIH-DCGLDWPTSA-N 0 3 304.821 4.162 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H](C)c1sc(CCOC)nc1C ZINC000354987202 336489991 /nfs/dbraw/zinc/48/99/91/336489991.db2.gz DBNPPZTZALDLJQ-KOLCDFICSA-N 0 3 324.412 4.022 20 0 DIADHN C[C@H](NCC[C@@H]1CCCCO1)c1nc2ccccc2n1C(F)F ZINC000355313006 336503835 /nfs/dbraw/zinc/50/38/35/336503835.db2.gz GJMVIBBJMYLZHO-STQMWFEESA-N 0 3 323.387 4.041 20 0 DIADHN CCC[C@H](NC(=O)c1cccc(CN(C)C)c1)c1ccccc1 ZINC000355778474 336521876 /nfs/dbraw/zinc/52/18/76/336521876.db2.gz WRYQZTBJETXCJU-IBGZPJMESA-N 0 3 310.441 4.019 20 0 DIADHN FC(F)O[C@H]1CCC[C@@H]1NCc1cscc1C(F)(F)F ZINC000356320253 336546284 /nfs/dbraw/zinc/54/62/84/336546284.db2.gz OHJPOTFQHUULBI-UWVGGRQHSA-N 0 3 315.307 4.017 20 0 DIADHN Clc1cnn(C2CCN(Cc3coc4ccccc34)CC2)c1 ZINC000356949564 336566967 /nfs/dbraw/zinc/56/69/67/336566967.db2.gz SUIOSODZBUSZFL-UHFFFAOYSA-N 0 3 315.804 4.120 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@@H]1C)c1ccc2c(c1)OCCO2 ZINC000358010203 336605812 /nfs/dbraw/zinc/60/58/12/336605812.db2.gz DYIOAYMLKPZOLK-DHUIEDIVSA-N 0 3 323.436 4.432 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@H]1CCCCc2ccc(Cl)cc21 ZINC000358012175 336605919 /nfs/dbraw/zinc/60/59/19/336605919.db2.gz GSNFQLOBKFNQHB-GUYCJALGSA-N 0 3 322.880 4.002 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)N[C@H](C)COCC(F)(F)F ZINC000358014947 336606032 /nfs/dbraw/zinc/60/60/32/336606032.db2.gz DNFFWMJFNUPDQJ-ZJUUUORDSA-N 0 3 324.412 4.193 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@H]2NC[C@@H]1CCCCO1 ZINC000358015844 336606227 /nfs/dbraw/zinc/60/62/27/336606227.db2.gz FQZZCAIQUOXDLN-GOEBONIOSA-N 0 3 309.837 4.021 20 0 DIADHN Clc1cccc2c1OCCC[C@H]2NCCOC1CCCC1 ZINC000358022740 336606968 /nfs/dbraw/zinc/60/69/68/336606968.db2.gz PIPXDLDPJZUWNX-MRXNPFEDSA-N 0 3 309.837 4.103 20 0 DIADHN COC(=O)CC[C@@H](N[C@H]1CCc2c1cccc2C)c1ccccc1 ZINC000358081277 336610389 /nfs/dbraw/zinc/61/03/89/336610389.db2.gz HRTOLUNOCNEFAY-UXHICEINSA-N 0 3 323.436 4.266 20 0 DIADHN COC(=O)CC[C@H](N[C@@H]1CCc2ccc(C)cc21)c1ccccc1 ZINC000358081862 336610443 /nfs/dbraw/zinc/61/04/43/336610443.db2.gz IZOBEGYHGHYATF-VQTJNVASSA-N 0 3 323.436 4.266 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H]1CCSc2ccccc21 ZINC000358088763 336611036 /nfs/dbraw/zinc/61/10/36/336611036.db2.gz QMLATUATYQLTAV-FUHWJXTLSA-N 0 3 313.466 4.071 20 0 DIADHN C[C@@H](CN[C@H]1CCCOc2ccc(F)cc21)Oc1ccccc1 ZINC000358149456 336613260 /nfs/dbraw/zinc/61/32/60/336613260.db2.gz BPJYDINIFQEUKL-KSSFIOAISA-N 0 3 315.388 4.097 20 0 DIADHN COC[C@@H](N[C@@H]1CCCc2ccc(OC)cc21)c1ccccc1 ZINC000358151807 336613404 /nfs/dbraw/zinc/61/34/04/336613404.db2.gz GPGVKCPOIBRKJR-WOJBJXKFSA-N 0 3 311.425 4.050 20 0 DIADHN CC[C@H](O)CCCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000358152622 336613550 /nfs/dbraw/zinc/61/35/50/336613550.db2.gz HBBWGQVQLYLRBT-HIFRSBDPSA-N 0 3 304.459 4.012 20 0 DIADHN CC(C)[C@@H](O)CN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000358162165 336614038 /nfs/dbraw/zinc/61/40/38/336614038.db2.gz XZEQSPVNPHMYAC-UWVGGRQHSA-N 0 3 308.274 4.039 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H]1CCOc2c(-c3ccccc3)cccc21 ZINC000358167029 336614461 /nfs/dbraw/zinc/61/44/61/336614461.db2.gz XTLYJSVKOPPJEV-CLCXKQKWSA-N 0 3 311.425 4.190 20 0 DIADHN CC[C@H](NCCc1ccncc1C)c1cc(Cl)ccc1OC ZINC000358217413 336617346 /nfs/dbraw/zinc/61/73/46/336617346.db2.gz BWFLCZLRRUTBHL-KRWDZBQOSA-N 0 3 318.848 4.335 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)c2ccc([S@](C)=O)cc2)ccc1F ZINC000358224511 336617747 /nfs/dbraw/zinc/61/77/47/336617747.db2.gz KNLRJNYQAOITRU-OKHZJLECSA-N 0 3 319.445 4.283 20 0 DIADHN C[C@H](NCCc1cnc(C2CC2)nc1)c1ccc(F)cc1Cl ZINC000358235040 336618465 /nfs/dbraw/zinc/61/84/65/336618465.db2.gz XWTWULNZVMTVAF-NSHDSACASA-N 0 3 319.811 4.040 20 0 DIADHN COCC[C@H](C)NCc1nc(-c2cc3ccccc3o2)cs1 ZINC000358253870 336619666 /nfs/dbraw/zinc/61/96/66/336619666.db2.gz XNSFDHMVMXAHED-LBPRGKRZSA-N 0 3 316.426 4.071 20 0 DIADHN CC[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)[C@H]1CCOC1 ZINC000358298723 336622447 /nfs/dbraw/zinc/62/24/47/336622447.db2.gz MRGAODCCGJCCEM-QEJZJMRPSA-N 0 3 319.342 4.224 20 0 DIADHN CC[C@H](N[C@@H](CC(F)(F)F)c1ccc(F)cc1)[C@@H]1CCOC1 ZINC000358298724 336622451 /nfs/dbraw/zinc/62/24/51/336622451.db2.gz MRGAODCCGJCCEM-SNPRPXQTSA-N 0 3 319.342 4.224 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1ccc2c(c1)COC2 ZINC000358319209 336623819 /nfs/dbraw/zinc/62/38/19/336623819.db2.gz NUEHEAFVACDALF-LJQANCHMSA-N 0 3 309.409 4.029 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCCOc3cc(C)ccc32)c1 ZINC000358345451 336625129 /nfs/dbraw/zinc/62/51/29/336625129.db2.gz ZOVHUVFTNIZJHW-LJQANCHMSA-N 0 3 311.425 4.316 20 0 DIADHN C[C@@](O)(CN[C@H]1CCCCc2ccc(Cl)cc21)C(F)(F)F ZINC000358351631 336625514 /nfs/dbraw/zinc/62/55/14/336625514.db2.gz HXZBGOMYVXDLTF-UONOGXRCSA-N 0 3 321.770 4.010 20 0 DIADHN CC[C@H](N[C@@H]1CCN(C)[C@H]1c1ccccc1)c1ccccc1OC ZINC000358357436 336625848 /nfs/dbraw/zinc/62/58/48/336625848.db2.gz WFHQOUGFXNOROX-ZVDOUQERSA-N 0 3 324.468 4.181 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000358368212 336626733 /nfs/dbraw/zinc/62/67/33/336626733.db2.gz ODTAAEYGVRIVNU-JLTOFOAXSA-N 0 3 324.424 4.045 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H](c1cccnc1)C1CC1 ZINC000358371964 336626892 /nfs/dbraw/zinc/62/68/92/336626892.db2.gz WKLUVIFANYNFEF-VQTJNVASSA-N 0 3 308.425 4.209 20 0 DIADHN Cc1ccncc1[C@@H](C)NCC1(c2ccc(F)cc2F)CC1 ZINC000358374300 336627068 /nfs/dbraw/zinc/62/70/68/336627068.db2.gz ZLPAQGKESOWXIA-CYBMUJFWSA-N 0 3 302.368 4.051 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2cccnc2)C2CC2)cc1F ZINC000358374998 336627124 /nfs/dbraw/zinc/62/71/24/336627124.db2.gz GNUCBLRBZIQFAA-SGTLLEGYSA-N 0 3 300.377 4.031 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2N[C@@H](c1ccccc1)C1CCCC1 ZINC000358753022 336641860 /nfs/dbraw/zinc/64/18/60/336641860.db2.gz PYPGIMXOGJPFTO-QUCCMNQESA-N 0 3 309.457 4.319 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1N[C@@H]1CCCc2nn(C)cc21 ZINC000358982457 336651172 /nfs/dbraw/zinc/65/11/72/336651172.db2.gz KCEQOUJILNNCKY-LXGCGDOSSA-N 0 3 309.457 4.101 20 0 DIADHN FC(F)C1(CN[C@H]2CCCOc3cc4c(cc32)CCCC4)CC1 ZINC000358998460 336652149 /nfs/dbraw/zinc/65/21/49/336652149.db2.gz HSKACFROYVMFRU-INIZCTEOSA-N 0 3 321.411 4.414 20 0 DIADHN OC[C@H](CC1CCC1)N[C@H]1CCSc2ccc(Cl)cc21 ZINC000359089939 336657257 /nfs/dbraw/zinc/65/72/57/336657257.db2.gz ZCMQEOCQIIMWLF-ZFWWWQNUSA-N 0 3 311.878 4.018 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)ncn2C)c1ccc(C2CC2)cc1 ZINC000418110475 336661824 /nfs/dbraw/zinc/66/18/24/336661824.db2.gz BXNBCMZBLDEKNP-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN CCCCn1cc(CN[C@H](CC)c2ccc(OC)cc2)c(C)n1 ZINC000359296200 336666326 /nfs/dbraw/zinc/66/63/26/336666326.db2.gz BOGAANKNRREOLW-LJQANCHMSA-N 0 3 315.461 4.241 20 0 DIADHN CC[C@@H](NCCSCc1ccccc1)c1c(C)nn(C)c1C ZINC000359522166 336675879 /nfs/dbraw/zinc/67/58/79/336675879.db2.gz ILXSQEFBGRPMLA-QGZVFWFLSA-N 0 3 317.502 4.011 20 0 DIADHN CC[C@H](NCCCOCC1CC1)c1cc(Cl)ccc1OC ZINC000359636285 336681091 /nfs/dbraw/zinc/68/10/91/336681091.db2.gz PROMNAMIBBFKRO-INIZCTEOSA-N 0 3 311.853 4.206 20 0 DIADHN COc1ccc(CN[C@H]2CCCCc3ccc(Cl)cc32)cn1 ZINC000359654932 336681923 /nfs/dbraw/zinc/68/19/23/336681923.db2.gz XQMVPNHSBKWNIJ-KRWDZBQOSA-N 0 3 316.832 4.301 20 0 DIADHN CN(C)[C@@H](CN[C@@H]1CC(C)(C)Cc2occc21)c1ccsc1 ZINC000359686859 336683564 /nfs/dbraw/zinc/68/35/64/336683564.db2.gz NVPBXOQMMMGZNO-CVEARBPZSA-N 0 3 318.486 4.247 20 0 DIADHN CC(C)N(C)c1ccc(CNCc2ccc(C3CC3)cc2)cn1 ZINC000359819567 336688656 /nfs/dbraw/zinc/68/86/56/336688656.db2.gz QLMXPIMHLUYQBB-UHFFFAOYSA-N 0 3 309.457 4.093 20 0 DIADHN C[C@@H](CN[C@@H]1CCCOc2c(Cl)cccc21)C(F)(F)F ZINC000359974940 336696091 /nfs/dbraw/zinc/69/60/91/336696091.db2.gz ITDNBWYRSXKHKC-JOYOIKCWSA-N 0 3 307.743 4.342 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(C)cc1C)CCCO2 ZINC000360434003 336711519 /nfs/dbraw/zinc/71/15/19/336711519.db2.gz RARYTMZMHDNOFT-IBGZPJMESA-N 0 3 311.425 4.316 20 0 DIADHN C[C@H](NCCC(=O)N(C)c1ccccc1)c1cc2ccccc2o1 ZINC000360480972 336712590 /nfs/dbraw/zinc/71/25/90/336712590.db2.gz CMPWGEDNTJXZDE-HNNXBMFYSA-N 0 3 322.408 4.137 20 0 DIADHN C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1cccc(CN(C)C)c1 ZINC000360705859 336719501 /nfs/dbraw/zinc/71/95/01/336719501.db2.gz SZQZCNNUFJRCLG-YJBOKZPZSA-N 0 3 316.489 4.035 20 0 DIADHN CCN(CC)[C@H](CN[C@H]1CCCc2sccc21)c1ccco1 ZINC000360857542 336724583 /nfs/dbraw/zinc/72/45/83/336724583.db2.gz JJSRFHSPQKGVME-JKSUJKDBSA-N 0 3 318.486 4.391 20 0 DIADHN Cc1nccn1CCN[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000360933468 336728195 /nfs/dbraw/zinc/72/81/95/336728195.db2.gz POMBZQYUZIUAKM-AWEZNQCLSA-N 0 3 311.454 4.271 20 0 DIADHN Oc1ccc2c(c1)[C@H](NC[C@H]1CC[C@H](c3ccccc3)O1)CCC2 ZINC000360995193 336731399 /nfs/dbraw/zinc/73/13/99/336731399.db2.gz HUKULQVEZPUYHB-HMXCVIKNSA-N 0 3 323.436 4.280 20 0 DIADHN CCN1CCCC[C@@H]1CN[C@@H]1C[C@H](C)Sc2sccc21 ZINC000361340724 336752379 /nfs/dbraw/zinc/75/23/79/336752379.db2.gz HWAMCIZQZOWLNJ-GZBFAFLISA-N 0 3 310.532 4.138 20 0 DIADHN c1cn(-c2cccc(CN[C@H]3CCSc4ccccc43)c2)cn1 ZINC000361485060 336758754 /nfs/dbraw/zinc/75/87/54/336758754.db2.gz MBSIMHUZEQWHQQ-SFHVURJKSA-N 0 3 321.449 4.199 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1-n1cccn1)c1ccncc1 ZINC000361763955 336772066 /nfs/dbraw/zinc/77/20/66/336772066.db2.gz JXKRFRJYGAVFLW-YJBOKZPZSA-N 0 3 306.413 4.069 20 0 DIADHN CCO[C@H](CCN[C@H]1CCCOc2ccc(F)cc21)C(C)C ZINC000361807384 336773856 /nfs/dbraw/zinc/77/38/56/336773856.db2.gz XQSKTKMAKKVTAW-DLBZAZTESA-N 0 3 309.425 4.080 20 0 DIADHN OCC[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000361880559 336776476 /nfs/dbraw/zinc/77/64/76/336776476.db2.gz NKBYKDKSSDNDCX-ZWKOTPCHSA-N 0 3 301.817 4.041 20 0 DIADHN CCC[C@@H](NC[C@@H](O)C(F)F)c1ccc(Cl)c(Cl)c1 ZINC000361965015 336779976 /nfs/dbraw/zinc/77/99/76/336779976.db2.gz ATTKANGPMAJYOT-VXGBXAGGSA-N 0 3 312.187 4.050 20 0 DIADHN CC(C)[C@H](CO)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361920265 336778040 /nfs/dbraw/zinc/77/80/40/336778040.db2.gz YGMDPYSOGXQXLX-UWVGGRQHSA-N 0 3 308.274 4.039 20 0 DIADHN C[C@@H]1C[C@@H](NCCCNc2ccccn2)c2ccsc2S1 ZINC000361928252 336778571 /nfs/dbraw/zinc/77/85/71/336778571.db2.gz VUJQWDGJAZIHFK-TZMCWYRMSA-N 0 3 319.499 4.160 20 0 DIADHN Fc1ccc(F)c(CCN[C@H]2CCCOc3ccccc32)c1 ZINC000361965553 336780116 /nfs/dbraw/zinc/78/01/16/336780116.db2.gz GXJIKCQMNPFSLU-KRWDZBQOSA-N 0 3 303.352 4.011 20 0 DIADHN CC(C)c1csc(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)n1 ZINC000368516019 336846525 /nfs/dbraw/zinc/84/65/25/336846525.db2.gz AWAQISXVOMCUDK-GDBMZVCRSA-N 0 3 315.486 4.478 20 0 DIADHN Cc1ncsc1CCN1CCC(OC2CCC(C)CC2)CC1 ZINC000369028994 336857347 /nfs/dbraw/zinc/85/73/47/336857347.db2.gz ZOPFQSXLGXTAGQ-UHFFFAOYSA-N 0 3 322.518 4.054 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2ccc(C3CC3)cc2)cn1 ZINC000418119367 336871685 /nfs/dbraw/zinc/87/16/85/336871685.db2.gz XHROAOTWFPEBBK-OAHLLOKOSA-N 0 3 309.457 4.266 20 0 DIADHN CC[C@@H](NCc1ccc(C)c(F)c1)c1ccc(OC)c(OC)c1 ZINC000119081631 336878780 /nfs/dbraw/zinc/87/87/80/336878780.db2.gz IPBBQGZTMIEGPU-QGZVFWFLSA-N 0 3 317.404 4.392 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCc3c(OC)cccc32)c1 ZINC000582280239 336879484 /nfs/dbraw/zinc/87/94/84/336879484.db2.gz SRXNCAIRFPFFOE-KUHUBIRLSA-N 0 3 311.425 4.432 20 0 DIADHN CCC(CC)(CCO)CN[C@@H](C)c1ccc(F)cc1Cl ZINC000483868312 336883570 /nfs/dbraw/zinc/88/35/70/336883570.db2.gz XDAIIAULULQOJI-LBPRGKRZSA-N 0 3 301.833 4.319 20 0 DIADHN C[C@@H](NCc1ccc(Cl)nc1Cl)[C@@H]1COc2ccccc21 ZINC000370599252 336888351 /nfs/dbraw/zinc/88/83/51/336888351.db2.gz WRFREDINSPDFPO-MFKMUULPSA-N 0 3 323.223 4.043 20 0 DIADHN C[C@@H](N[C@H](c1ccccc1)C1CCOCC1)c1cncc(F)c1 ZINC000370619605 336888607 /nfs/dbraw/zinc/88/86/07/336888607.db2.gz CLZHJGDLHCHSSS-AUUYWEPGSA-N 0 3 314.404 4.039 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](CC(F)(F)F)c2ccccc2)C1(C)C ZINC000389781997 336894034 /nfs/dbraw/zinc/89/40/34/336894034.db2.gz ZDBPTNBGQWHUAB-QLFBSQMISA-N 0 3 315.379 4.473 20 0 DIADHN Cc1ccc(C2CCN(Cc3cnc4ccccc4c3)CC2)cn1 ZINC000371685683 336910862 /nfs/dbraw/zinc/91/08/62/336910862.db2.gz NQHPIEGRIQZVRS-UHFFFAOYSA-N 0 3 317.436 4.318 20 0 DIADHN C[C@H](N[C@@H](C)c1ccccc1)C(=O)Nc1ccc(F)cc1Cl ZINC000007379156 336927092 /nfs/dbraw/zinc/92/70/92/336927092.db2.gz LDOWAYQNCDOZAY-RYUDHWBXSA-N 0 3 320.795 4.157 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N2CCCC23CCCCC3)c1 ZINC000372288531 336927118 /nfs/dbraw/zinc/92/71/18/336927118.db2.gz RWDYGYQUOMVKMW-UHFFFAOYSA-N 0 3 315.461 4.079 20 0 DIADHN C[C@@H](NCCCOCc1ccccc1)c1ccc(F)cc1F ZINC000390064822 336933539 /nfs/dbraw/zinc/93/35/39/336933539.db2.gz YNEZTAZNXLWNPT-CQSZACIVSA-N 0 3 305.368 4.222 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@H]1CCCc2nc(C)sc21 ZINC000373283478 336955441 /nfs/dbraw/zinc/95/54/41/336955441.db2.gz HIDQEUOWQQZQPE-NUTKFTJISA-N 0 3 316.470 4.195 20 0 DIADHN CC(C)c1cnc(CNC2CCC3(CCOCC3)CC2)s1 ZINC000373290119 336955672 /nfs/dbraw/zinc/95/56/72/336955672.db2.gz UVQRVBYQZFBWLN-UHFFFAOYSA-N 0 3 308.491 4.096 20 0 DIADHN CN(C)Cc1cccc(C(=O)N2CCC[C@@H](C(C)(C)C)CC2)c1 ZINC000303871601 336977710 /nfs/dbraw/zinc/97/77/10/336977710.db2.gz NPXFBPHUWMJEHS-GOSISDBHSA-N 0 3 316.489 4.037 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)C1CCOCC1)c1cccc(O)c1 ZINC000374238673 336984607 /nfs/dbraw/zinc/98/46/07/336984607.db2.gz PSMBBMRVPCOWSF-MGPUTAFESA-N 0 3 311.425 4.211 20 0 DIADHN c1ccc(COc2ccc(CN3CCC4(CCO4)CC3)cc2)cc1 ZINC000374367843 336988275 /nfs/dbraw/zinc/98/82/75/336988275.db2.gz WXVPOPBXCMQKEB-UHFFFAOYSA-N 0 3 323.436 4.021 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000374486685 336990312 /nfs/dbraw/zinc/99/03/12/336990312.db2.gz IADHMWULAGCIAL-NBHGPNQESA-N 0 3 323.436 4.050 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@@H]1CCOC1)c1ccc(F)c(F)c1 ZINC000374500792 336990857 /nfs/dbraw/zinc/99/08/57/336990857.db2.gz GKAAQJKPUFHPPZ-CRFBAAHOSA-N 0 3 317.379 4.393 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000374503014 336990888 /nfs/dbraw/zinc/99/08/88/336990888.db2.gz KCKHSQNEJBLNCE-KNBMTAEXSA-N 0 3 311.425 4.124 20 0 DIADHN COc1ccsc1[C@H](C)N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000375084986 337002837 /nfs/dbraw/zinc/00/28/37/337002837.db2.gz OFIIHLKRVMLMBT-JLJPHGGASA-N 0 3 317.454 4.185 20 0 DIADHN CC(C)n1ncc2c1[C@@H](N[C@@H]1CCc3cc(F)ccc31)CCC2 ZINC000375185417 337005467 /nfs/dbraw/zinc/00/54/67/337005467.db2.gz YYRZALHWZHWCFD-MSOLQXFVSA-N 0 3 313.420 4.258 20 0 DIADHN Cc1cccc2nc(C3CCN([C@@H](C)c4cccnc4)CC3)oc21 ZINC000375244325 337006387 /nfs/dbraw/zinc/00/63/87/337006387.db2.gz FRPPJOVHJXCOGJ-HNNXBMFYSA-N 0 3 321.424 4.472 20 0 DIADHN CCCc1nc(CN2CCC[C@@H]2[C@@H]2CCCC[C@@]2(C)O)cs1 ZINC000375485328 337011905 /nfs/dbraw/zinc/01/19/05/337011905.db2.gz UQZBRALIKJBRBX-LZLYRXPVSA-N 0 3 322.518 4.001 20 0 DIADHN FC(F)(F)c1cccc([C@@H]2CCC[C@@H]2NCc2ccno2)c1 ZINC000375690856 337016690 /nfs/dbraw/zinc/01/66/90/337016690.db2.gz RIBOXAGWSFKSML-GJZGRUSLSA-N 0 3 310.319 4.119 20 0 DIADHN C[C@@H]1C[C@@H]1[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(Cl)cc1 ZINC000375782964 337019458 /nfs/dbraw/zinc/01/94/58/337019458.db2.gz PIKNWWXNVWQXBK-FRYJOBKFSA-N 0 3 315.848 4.427 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000376125885 337027840 /nfs/dbraw/zinc/02/78/40/337027840.db2.gz ACXYNMJEYUXCQO-HZPDHXFCSA-N 0 3 317.433 4.104 20 0 DIADHN C[C@H](NC1CC(c2ccc(C(F)(F)F)cc2)C1)c1cn[nH]c1 ZINC000376349256 337031843 /nfs/dbraw/zinc/03/18/43/337031843.db2.gz FPWVYSZXGYECJN-VLRKGOCCSA-N 0 3 309.335 4.025 20 0 DIADHN CCSc1ccccc1[C@H](C)N[C@H]1CCCc2cn[nH]c21 ZINC000376488795 337034797 /nfs/dbraw/zinc/03/47/97/337034797.db2.gz ANRJUFKUEHDJOC-WFASDCNBSA-N 0 3 301.459 4.250 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H](CCCO)c1ccccc1)[C@H](C)C2 ZINC000305819412 337038181 /nfs/dbraw/zinc/03/81/81/337038181.db2.gz KSRMQZZJADZQET-MAODMQOUSA-N 0 3 309.453 4.332 20 0 DIADHN Cc1cn2c(n1)[C@H](CN[C@H](C)c1csc(Cl)c1)CCC2 ZINC000376995234 337044800 /nfs/dbraw/zinc/04/48/00/337044800.db2.gz OHTXPHYRIVEOJW-NEPJUHHUSA-N 0 3 309.866 4.135 20 0 DIADHN Cc1cn2c(n1)[C@@H](CN[C@H](C)c1csc(Cl)c1)CCC2 ZINC000376995237 337044814 /nfs/dbraw/zinc/04/48/14/337044814.db2.gz OHTXPHYRIVEOJW-VXGBXAGGSA-N 0 3 309.866 4.135 20 0 DIADHN CC[C@@H](NC1CC(c2cc(F)cc(F)c2)C1)c1nccs1 ZINC000377410467 337052298 /nfs/dbraw/zinc/05/22/98/337052298.db2.gz JTLQEEIHQCTYMK-WDIPEOLASA-N 0 3 308.397 4.408 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccccc2-c2cnn(C)c2)c2ccccc21 ZINC000377522280 337054162 /nfs/dbraw/zinc/05/41/62/337054162.db2.gz CUQWGSWPUJAAFQ-QVKFZJNVSA-N 0 3 317.436 4.425 20 0 DIADHN Cc1ccccc1CN[C@@H]1c2ccccc2CC[C@@H]1n1ccnc1 ZINC000377865832 337062813 /nfs/dbraw/zinc/06/28/13/337062813.db2.gz VAHCIEQGRIECSW-LEWJYISDSA-N 0 3 317.436 4.210 20 0 DIADHN CCCCCC[C@H](C)CC(=O)N1CCCC12CCN(C)CC2 ZINC000378335983 337070161 /nfs/dbraw/zinc/07/01/61/337070161.db2.gz MLZNCTQVWYVSDM-KRWDZBQOSA-N 0 3 308.510 4.070 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)CC2 ZINC000378403253 337071869 /nfs/dbraw/zinc/07/18/69/337071869.db2.gz XDNJRBBQOCSDLP-TYPHKJRUSA-N 0 3 307.437 4.350 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCC3(SC)CC3)oc21 ZINC000193824467 337080373 /nfs/dbraw/zinc/08/03/73/337080373.db2.gz PMRKHBSJSLCYIL-GFCCVEGCSA-N 0 3 305.443 4.378 20 0 DIADHN C[C@H](CN1CCCC1=O)N[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000193918015 337080464 /nfs/dbraw/zinc/08/04/64/337080464.db2.gz OEZWBRNAGIIFOA-IAGOWNOFSA-N 0 3 322.452 4.015 20 0 DIADHN C[C@@H](NCc1ccccc1-n1cccn1)c1ccc2c(c1)CCC2 ZINC000392131048 337082326 /nfs/dbraw/zinc/08/23/26/337082326.db2.gz LONGYLUSZGEUEV-MRXNPFEDSA-N 0 3 317.436 4.212 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@H]1NCc1nnc(-c2ccccc2)o1 ZINC000170986380 337085685 /nfs/dbraw/zinc/08/56/85/337085685.db2.gz HUIDBKLLIAXTPE-HZPDHXFCSA-N 0 3 313.445 4.431 20 0 DIADHN CC(C)(C)[C@@H]1CCCC[C@@H]1NCc1nnc(-c2ccccc2)o1 ZINC000170986376 337085729 /nfs/dbraw/zinc/08/57/29/337085729.db2.gz HUIDBKLLIAXTPE-CVEARBPZSA-N 0 3 313.445 4.431 20 0 DIADHN C=Cn1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)cn1 ZINC000194241096 337086465 /nfs/dbraw/zinc/08/64/65/337086465.db2.gz IRQWKCKFWMMANS-NRFANRHFSA-N 0 3 317.436 4.447 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](NCc2nc(-c3ccccc3)c[nH]2)C1 ZINC000194234339 337086794 /nfs/dbraw/zinc/08/67/94/337086794.db2.gz AIWFQNZQSHZARJ-UONOGXRCSA-N 0 3 323.362 4.287 20 0 DIADHN COc1ccc([C@@H](NCCC(C)(F)F)c2ccccc2)cc1 ZINC000393637933 337093379 /nfs/dbraw/zinc/09/33/79/337093379.db2.gz PFKYBXUZVCEZKB-KRWDZBQOSA-N 0 3 305.368 4.420 20 0 DIADHN CC(C)[C@H](NCC(=O)NC1CCCCC1)c1ccc(Cl)cc1 ZINC000010137334 337094108 /nfs/dbraw/zinc/09/41/08/337094108.db2.gz NRGLZCHKWXASIL-SFHVURJKSA-N 0 3 322.880 4.076 20 0 DIADHN CCC[C@@H](CN[C@@H](c1ccccc1)c1ccc(F)cc1)OC ZINC000393470930 337094673 /nfs/dbraw/zinc/09/46/73/337094673.db2.gz DTXNBIQNNULSEW-OALUTQOASA-N 0 3 301.405 4.320 20 0 DIADHN CSc1ccccc1C[C@H](C)NCc1noc2ccccc12 ZINC000582496381 337100801 /nfs/dbraw/zinc/10/08/01/337100801.db2.gz CQTGROQEGHVLCI-ZDUSSCGKSA-N 0 3 312.438 4.271 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)Cc1cc(C)c(O)c(C)c1)CCC2 ZINC000582538931 337106593 /nfs/dbraw/zinc/10/65/93/337106593.db2.gz KWOAKDFBGWVWBF-MRXNPFEDSA-N 0 3 316.470 4.283 20 0 DIADHN Cc1nc2c(s1)[C@@H](N(C)Cc1cc(C)c(O)c(C)c1)CCC2 ZINC000582538930 337106678 /nfs/dbraw/zinc/10/66/78/337106678.db2.gz KWOAKDFBGWVWBF-INIZCTEOSA-N 0 3 316.470 4.283 20 0 DIADHN CSc1ccc(CN[C@@H](C)COc2cccc(F)c2)s1 ZINC000582574958 337107721 /nfs/dbraw/zinc/10/77/21/337107721.db2.gz NKLJEAQVGVZDIC-NSHDSACASA-N 0 3 311.447 4.166 20 0 DIADHN C[C@@H](N[C@@H](CO)C1CCCC1)c1nc(-c2ccccc2)cs1 ZINC000582637030 337116639 /nfs/dbraw/zinc/11/66/39/337116639.db2.gz SOAGBCQVVBJYPT-CJNGLKHVSA-N 0 3 316.470 4.012 20 0 DIADHN C=Cn1cc(CNC(C)(C)Cc2ccc(Cl)cc2Cl)cn1 ZINC000582646062 337120079 /nfs/dbraw/zinc/12/00/79/337120079.db2.gz NGYZVITWUQGKFA-UHFFFAOYSA-N 0 3 324.255 4.401 20 0 DIADHN C[C@H](CNCc1cc(-c2ccccc2)on1)Cc1ccccc1 ZINC000582691999 337123205 /nfs/dbraw/zinc/12/32/05/337123205.db2.gz HDTNACQONAVTAK-INIZCTEOSA-N 0 3 306.409 4.310 20 0 DIADHN CSc1ccc([C@H](C)NCc2ccccc2-n2ccnc2)cc1 ZINC000173348846 337134706 /nfs/dbraw/zinc/13/47/06/337134706.db2.gz ZZURSKSHBOFEKY-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN Cc1nccn1-c1ccc(CN[C@@H](C)c2ccccc2)cc1F ZINC000173350733 337134743 /nfs/dbraw/zinc/13/47/43/337134743.db2.gz QVAQYLVXKFSUGV-AWEZNQCLSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1cccc(CN(CCc2ccncc2)Cc2ccccn2)c1 ZINC000174675136 337143136 /nfs/dbraw/zinc/14/31/36/337143136.db2.gz FEFICJPNWYWXBS-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1-n1cccn1)c1cccc(F)c1 ZINC000177179186 337154556 /nfs/dbraw/zinc/15/45/56/337154556.db2.gz QRMBFCDDJMDKHW-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000177449285 337156038 /nfs/dbraw/zinc/15/60/38/337156038.db2.gz BSJMYYZLUATWOC-ZBFHGGJFSA-N 0 3 323.864 4.061 20 0 DIADHN c1ccc2nc(CN3CCC(OCC4CCCC4)CC3)ccc2c1 ZINC000177494949 337156239 /nfs/dbraw/zinc/15/62/39/337156239.db2.gz TZPKWTLYQIOFCY-UHFFFAOYSA-N 0 3 324.468 4.406 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@H](C)c2ccccc2-n2cccn2)cn1 ZINC000177329360 337156915 /nfs/dbraw/zinc/15/69/15/337156915.db2.gz LIAMVWAZWCRACX-JKSUJKDBSA-N 0 3 323.444 4.062 20 0 DIADHN COc1cc(CN[C@H](C)c2cccc(-c3ccncc3)c2)ccn1 ZINC000177843084 337160821 /nfs/dbraw/zinc/16/08/21/337160821.db2.gz LNDFRBFGSDIARO-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN CC[C@H](N[C@H](C)CCCOC)c1nc(C(F)(F)F)cs1 ZINC000178210346 337161381 /nfs/dbraw/zinc/16/13/81/337161381.db2.gz BRWQPZZJTGXSFP-ZJUUUORDSA-N 0 3 310.385 4.018 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](C)c1sc(C)nc1C ZINC000178438635 337162838 /nfs/dbraw/zinc/16/28/38/337162838.db2.gz BQMJBGCCLDIAPT-IAQYHMDHSA-N 0 3 302.443 4.107 20 0 DIADHN CC(C)C(=O)Nc1ccc(CN[C@@H](C)c2ccsc2)cc1 ZINC000178480843 337163000 /nfs/dbraw/zinc/16/30/00/337163000.db2.gz TXBJZVCSVUDXHF-ZDUSSCGKSA-N 0 3 302.443 4.193 20 0 DIADHN C[C@H](NC[C@@]1(C)CCCO1)c1nc(-c2ccccc2)cs1 ZINC000178403462 337164521 /nfs/dbraw/zinc/16/45/21/337164521.db2.gz AEKZHQITZSLMOK-SUMWQHHRSA-N 0 3 302.443 4.030 20 0 DIADHN C[C@@H](NCc1nnc(-c2cccc(Cl)c2)o1)C1CCCCC1 ZINC000182697301 337168637 /nfs/dbraw/zinc/16/86/37/337168637.db2.gz NFXMWMJMPSNAHL-GFCCVEGCSA-N 0 3 319.836 4.448 20 0 DIADHN CC[C@H](NCc1ccc(-n2cccn2)cc1)c1ccccc1F ZINC000180129138 337171569 /nfs/dbraw/zinc/17/15/69/337171569.db2.gz SJYQWEVBTVHPRC-IBGZPJMESA-N 0 3 309.388 4.252 20 0 DIADHN CC(C)n1cc(CN2CC[C@H](CSc3ccccc3)C2)cn1 ZINC000180015528 337173054 /nfs/dbraw/zinc/17/30/54/337173054.db2.gz CYQZXVOJMDGVNV-INIZCTEOSA-N 0 3 315.486 4.078 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC(OC(C)C)CC1 ZINC000582798647 337173289 /nfs/dbraw/zinc/17/32/89/337173289.db2.gz BHLHWAYUQMZKCE-UHFFFAOYSA-N 0 3 304.478 4.157 20 0 DIADHN COC1(CN[C@H](C)c2nc(-c3ccccc3)cs2)CCC1 ZINC000180199480 337175206 /nfs/dbraw/zinc/17/52/06/337175206.db2.gz NOQOMFGIRYBDBY-CYBMUJFWSA-N 0 3 302.443 4.030 20 0 DIADHN CC[C@H](NCc1cccc(Cn2cccn2)c1)c1ccccc1F ZINC000180186053 337175236 /nfs/dbraw/zinc/17/52/36/337175236.db2.gz SPPHYYSKRGWLPB-FQEVSTJZSA-N 0 3 323.415 4.311 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCCO1)c1nc(-c2ccccc2)cs1 ZINC000180263430 337175526 /nfs/dbraw/zinc/17/55/26/337175526.db2.gz POIPVZVXQMOTJG-ZBFHGGJFSA-N 0 3 316.470 4.420 20 0 DIADHN Cc1cccc(CN(C)[C@H](C)c2ccc([S@](C)=O)cc2)c1C ZINC000180283004 337175697 /nfs/dbraw/zinc/17/56/97/337175697.db2.gz KJZXJNQFKVTVLW-ZHRRBRCNSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@@H](C)c1nccs1)CC3 ZINC000180491204 337175852 /nfs/dbraw/zinc/17/58/52/337175852.db2.gz YSCAKLIRACEXNO-QWHCGFSZSA-N 0 3 311.454 4.141 20 0 DIADHN C(c1nnc(C2CC2)n1C1CC1)N1CCC[C@H]1C1CCCCC1 ZINC000181111677 337179996 /nfs/dbraw/zinc/17/99/96/337179996.db2.gz XTGDOUUPQFZRBS-KRWDZBQOSA-N 0 3 314.477 4.035 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1sc(C)nc1C)c1cccc(Cl)c1 ZINC000181195592 337180235 /nfs/dbraw/zinc/18/02/35/337180235.db2.gz KDBDMNMLJBWJCY-BONVTDFDSA-N 0 3 324.877 4.452 20 0 DIADHN Fc1ccc(C=C2CCN(CC[C@H]3CCCO3)CC2)cc1F ZINC000469767848 337182937 /nfs/dbraw/zinc/18/29/37/337182937.db2.gz ZXMJXPDYIJWYKU-MRXNPFEDSA-N 0 3 307.384 4.013 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)NCc2ccc(Cl)s2)cc1 ZINC000182013366 337185662 /nfs/dbraw/zinc/18/56/62/337185662.db2.gz AAUNXZOOUZAAHX-SNVBAGLBSA-N 0 3 308.834 4.211 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2ccc(NC(C)=O)cc2)cc1 ZINC000181993296 337185723 /nfs/dbraw/zinc/18/57/23/337185723.db2.gz RGHRATPPMCQTDT-ZDUSSCGKSA-N 0 3 314.454 4.218 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCCCC(=O)OC(C)(C)C)n1 ZINC000182029212 337185872 /nfs/dbraw/zinc/18/58/72/337185872.db2.gz WOJKJXZUUKWIMK-KRWDZBQOSA-N 0 3 318.461 4.039 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc(Cl)c(C)c2)o1 ZINC000184334297 337197900 /nfs/dbraw/zinc/19/79/00/337197900.db2.gz ASECWFXYBFNCKS-UHFFFAOYSA-N 0 3 315.804 4.230 20 0 DIADHN Cc1nccn1-c1ccc([C@@H](C)N[C@@H](C)c2cncc(C)c2)cc1 ZINC000184384587 337198182 /nfs/dbraw/zinc/19/81/82/337198182.db2.gz BGXDEQHZKYDVPZ-CVEARBPZSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1N[C@@H]1CCCc2c1cnn2C ZINC000185284778 337203846 /nfs/dbraw/zinc/20/38/46/337203846.db2.gz MRLYZMAVRGPFOW-LXGCGDOSSA-N 0 3 309.457 4.101 20 0 DIADHN Cc1cc(C)cc(CN(C)Cc2c[nH]nc2-c2ccsc2)c1 ZINC000582957962 337218459 /nfs/dbraw/zinc/21/84/59/337218459.db2.gz YXBFEYPRNPCLED-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN C[C@@H](N[C@H](CO)c1ccc(F)cc1)c1cc2c(s1)CCCC2 ZINC000583040934 337233060 /nfs/dbraw/zinc/23/30/60/337233060.db2.gz MCBOEARCPOBFIA-MLGOLLRUSA-N 0 3 319.445 4.150 20 0 DIADHN C[C@H](NC1CCC(O)(C(F)(F)F)CC1)c1ccccc1Cl ZINC000396341511 337239021 /nfs/dbraw/zinc/23/90/21/337239021.db2.gz YEIYFABLNHIZRB-IFQILLTASA-N 0 3 321.770 4.227 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1cnc([C@H]2CCCO2)s1 ZINC000583170690 337251049 /nfs/dbraw/zinc/25/10/49/337251049.db2.gz IUAMJDLBXNPLIJ-FVQBIDKESA-N 0 3 308.491 4.395 20 0 DIADHN CC[C@@H]1CCCC[C@H]1N(C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000583170689 337251052 /nfs/dbraw/zinc/25/10/52/337251052.db2.gz IUAMJDLBXNPLIJ-BMFZPTHFSA-N 0 3 308.491 4.395 20 0 DIADHN Cc1coc2ccc(NC(=O)c3cccc(CN(C)C)c3)cc12 ZINC000185785128 337251230 /nfs/dbraw/zinc/25/12/30/337251230.db2.gz IVUJDHIJRXEWLA-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)[C@H]1CCCOC1 ZINC000583171964 337252029 /nfs/dbraw/zinc/25/20/29/337252029.db2.gz NANSHKMRKHQOCL-ZDUSSCGKSA-N 0 3 305.805 4.211 20 0 DIADHN COC(=O)c1ccc(CN[C@H](CC(C)(C)C)c2ccccc2)[nH]1 ZINC000321261273 337252783 /nfs/dbraw/zinc/25/27/83/337252783.db2.gz YZJZMLDUYXFKNU-QGZVFWFLSA-N 0 3 314.429 4.068 20 0 DIADHN c1ccc(COc2ccc(CN3CCCC4(CC4)C3)cc2)nc1 ZINC000186612706 337257922 /nfs/dbraw/zinc/25/79/22/337257922.db2.gz ZNHDCRCCXURVHY-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN Cc1nc(CCNCc2cc(Cl)ccc2Cl)cs1 ZINC000229187289 337260259 /nfs/dbraw/zinc/26/02/59/337260259.db2.gz QHTSYCMEQRLESI-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2C[C@H]3OCCC[C@H]23)c2ccccc2)cc1 ZINC000187076624 337261714 /nfs/dbraw/zinc/26/17/14/337261714.db2.gz QZAOPQIJDZCHAY-UAFMIMERSA-N 0 3 311.400 4.072 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2cccc(N(C)C)c2)c(C)n1 ZINC000187084419 337263114 /nfs/dbraw/zinc/26/31/14/337263114.db2.gz LERBNMVPDVTHBE-HXUWFJFHSA-N 0 3 309.457 4.102 20 0 DIADHN COc1cccc(CN[C@@H]2CCCc3c4ccccc4[nH]c32)c1 ZINC000321421579 337263519 /nfs/dbraw/zinc/26/35/19/337263519.db2.gz ZZNQPFMCLGZIKV-LJQANCHMSA-N 0 3 306.409 4.344 20 0 DIADHN Cc1ccc(CN2CCC[C@H](c3nc4ccccc4o3)C2)c(C)n1 ZINC000187201827 337263844 /nfs/dbraw/zinc/26/38/44/337263844.db2.gz BIXACYYZSBXTMP-KRWDZBQOSA-N 0 3 321.424 4.219 20 0 DIADHN CCC(=O)Nc1cccc(CNCc2cccc(C3CC3)c2)c1 ZINC000583197310 337265462 /nfs/dbraw/zinc/26/54/62/337265462.db2.gz ZAVLCWVQMBOTHX-UHFFFAOYSA-N 0 3 308.425 4.202 20 0 DIADHN C[C@@H](NCCC(C)(F)F)c1ccc(Br)cc1F ZINC000393673247 337266389 /nfs/dbraw/zinc/26/63/89/337266389.db2.gz QZKSOKAEKFGVJF-MRVPVSSYSA-N 0 3 310.157 4.284 20 0 DIADHN Cc1nc(-c2ccccc2F)sc1[C@H](C)N[C@H]1CO[C@H](C)C1 ZINC000583203877 337269920 /nfs/dbraw/zinc/26/99/20/337269920.db2.gz XRPVHYGIAKGAPB-NTZNESFSSA-N 0 3 320.433 4.086 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H](C)C1)c1cc(F)c(Cl)cc1Cl ZINC000300414838 337272408 /nfs/dbraw/zinc/27/24/08/337272408.db2.gz PMOPQNGGVPDRRK-UTLUCORTSA-N 0 3 306.208 4.351 20 0 DIADHN O[C@H]1CC[C@H](CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000189302185 337278573 /nfs/dbraw/zinc/27/85/73/337278573.db2.gz IIFOKSKRTDNUFC-ATZCPNFKSA-N 0 3 320.285 4.183 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCCc3ccc(OC)cc32)cc1 ZINC000321638727 337280699 /nfs/dbraw/zinc/28/06/99/337280699.db2.gz OTYNFRSXWXNVTB-VLIAUNLRSA-N 0 3 311.425 4.432 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CC[C@@H](c3ccccc3)O2)o1 ZINC000583232783 337281255 /nfs/dbraw/zinc/28/12/55/337281255.db2.gz BZFPKRGWHNUVOX-JZGRTCEGSA-N 0 3 311.425 4.413 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc2c(c1)OCCO2 ZINC000321647273 337281469 /nfs/dbraw/zinc/28/14/69/337281469.db2.gz ZFUZUCNQFSKGBN-LBPRGKRZSA-N 0 3 319.351 4.246 20 0 DIADHN C[C@H](N[C@@H]1CS[C@H](C)C1)c1sccc1Br ZINC000397803430 337286895 /nfs/dbraw/zinc/28/68/95/337286895.db2.gz MIQFTJLHNXMEOT-VGMNWLOBSA-N 0 3 306.294 4.055 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2cc(C)sc2C)cn1 ZINC000190726387 337289088 /nfs/dbraw/zinc/28/90/88/337289088.db2.gz RWQYFXNCQRUGAV-CYBMUJFWSA-N 0 3 303.475 4.067 20 0 DIADHN CC[C@H](NCc1ccc(N(C)CC)nc1)c1ccc(Cl)cc1 ZINC000190740579 337290529 /nfs/dbraw/zinc/29/05/29/337290529.db2.gz SNUGFUXVJQFGIY-KRWDZBQOSA-N 0 3 317.864 4.432 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NC[C@H](c1ccco1)N1CCCC1)CC2 ZINC000321779990 337290947 /nfs/dbraw/zinc/29/09/47/337290947.db2.gz RIPYCTDDANWYPO-RBUKOAKNSA-N 0 3 310.441 4.002 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CNCc2ccc(C3CC3)cc2)o1 ZINC000191681490 337298741 /nfs/dbraw/zinc/29/87/41/337298741.db2.gz FQZVOYMRVWEBDV-UHFFFAOYSA-N 0 3 307.397 4.145 20 0 DIADHN COCCC(C)(C)NCc1cc(Cl)ccc1Br ZINC000397891067 337299364 /nfs/dbraw/zinc/29/93/64/337299364.db2.gz GGXIQZNQWUCLQW-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@@H](C)c1cc2ccccc2s1 ZINC000525951742 337308230 /nfs/dbraw/zinc/30/82/30/337308230.db2.gz DAVPTCBQSUQXMU-MELADBBJSA-N 0 3 318.486 4.245 20 0 DIADHN Fc1cc2cccnc2c(CNCc2ccc3ncccc3c2)c1 ZINC000192980094 337308716 /nfs/dbraw/zinc/30/87/16/337308716.db2.gz SFUOKUSUBCDCNW-UHFFFAOYSA-N 0 3 317.367 4.212 20 0 DIADHN COc1ccc2oc(CNCc3ccc4ncccc4c3)cc2c1 ZINC000192980971 337308782 /nfs/dbraw/zinc/30/87/82/337308782.db2.gz DWIXHDSNDRYQPI-UHFFFAOYSA-N 0 3 318.376 4.279 20 0 DIADHN CC(C)(C)c1ccc(CN2CC3(CCOCC3)[C@H]2C2CC2)s1 ZINC000583313418 337314019 /nfs/dbraw/zinc/31/40/19/337314019.db2.gz OPNSEQOWHIVCPB-QGZVFWFLSA-N 0 3 319.514 4.437 20 0 DIADHN Cc1nc(CN[C@@H](C)[C@@H]2C[C@H]2c2cccs2)nc2ccccc12 ZINC000583742224 337328065 /nfs/dbraw/zinc/32/80/65/337328065.db2.gz WOZPIOFIFGMISR-VBNZEHGJSA-N 0 3 323.465 4.282 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](CC2CC2)C1 ZINC000583766269 337331876 /nfs/dbraw/zinc/33/18/76/337331876.db2.gz AFJRGQCSIXJNEG-WBVHZDCISA-N 0 3 314.473 4.259 20 0 DIADHN CSCc1cnc(CNC[C@@H](C)c2cccc(C)c2)s1 ZINC000583766666 337331889 /nfs/dbraw/zinc/33/18/89/337331889.db2.gz WHXLYIZTNBFZQK-CYBMUJFWSA-N 0 3 306.500 4.208 20 0 DIADHN CSc1ccc([C@H](CNc2ccc(Cl)cn2)N(C)C)cc1 ZINC000583859697 337352119 /nfs/dbraw/zinc/35/21/19/337352119.db2.gz AZZREHCTDDDDDB-HNNXBMFYSA-N 0 3 321.877 4.172 20 0 DIADHN CCCN(CC(=O)Nc1cccc(Cl)c1Cl)C(C)C ZINC000584662993 337378490 /nfs/dbraw/zinc/37/84/90/337378490.db2.gz QOVNFIVUYLNYAW-UHFFFAOYSA-N 0 3 303.233 4.052 20 0 DIADHN Cn1cc([C@@H](NC2CC(C(C)(C)C)C2)c2cccc(F)c2)cn1 ZINC000583886353 337381580 /nfs/dbraw/zinc/38/15/80/337381580.db2.gz JCSXKWORKUSCNS-VJFUWPCTSA-N 0 3 315.436 4.063 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N(C)[C@H](C)c1cc2ccccc2o1 ZINC000532923459 337385299 /nfs/dbraw/zinc/38/52/99/337385299.db2.gz WDBVXFIQRZRNBM-HUUCEWRRSA-N 0 3 316.445 4.073 20 0 DIADHN CCC(=O)N1CC[C@H](N[C@H](CC(C)C)c2ccc(Cl)cc2)C1 ZINC000126758520 337417747 /nfs/dbraw/zinc/41/77/47/337417747.db2.gz LBAMZUQMJLKMCX-DLBZAZTESA-N 0 3 322.880 4.028 20 0 DIADHN C[C@H](NCc1cccc(-c2ccccn2)c1)c1cccc(O)c1 ZINC000127702886 337422091 /nfs/dbraw/zinc/42/20/91/337422091.db2.gz JRWHJCPLHFASCH-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN c1ccc2c(c1)CCC[C@H]2NCc1ccc(OCC2CC2)nc1 ZINC000131396407 337437652 /nfs/dbraw/zinc/43/76/52/337437652.db2.gz VONRDTRKWVUJHC-LJQANCHMSA-N 0 3 308.425 4.038 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](CC)c2c(C)nn(C)c2C)s1 ZINC000133681162 337446159 /nfs/dbraw/zinc/44/61/59/337446159.db2.gz XSGLKPOJWWYGBN-FZMZJTMJSA-N 0 3 320.506 4.166 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc2c(s1)CCC2)c1c(C)nn(C)c1C ZINC000133763452 337446509 /nfs/dbraw/zinc/44/65/09/337446509.db2.gz MLVGGRMSGREWOZ-NHYWBVRUSA-N 0 3 317.502 4.389 20 0 DIADHN CC[C@H](NCc1ccc(C)cc1C)c1ccc2c(c1)OCCO2 ZINC000236934600 337466719 /nfs/dbraw/zinc/46/67/19/337466719.db2.gz UHDFQSTUUDSGLT-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN Cc1nc2ccccc2n1CCCNCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000237571408 337468967 /nfs/dbraw/zinc/46/89/67/337468967.db2.gz ADZKTINFZFRZOQ-YOEHRIQHSA-N 0 3 323.440 4.241 20 0 DIADHN Fc1ccccc1COc1ccc(CNCc2ccncc2)cc1 ZINC000237833956 337469578 /nfs/dbraw/zinc/46/95/78/337469578.db2.gz LGDKENFFLMDGEN-UHFFFAOYSA-N 0 3 322.383 4.090 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1ccc(OC2CCCC2)c(OC)c1)OC ZINC000419233187 337477449 /nfs/dbraw/zinc/47/74/49/337477449.db2.gz VPUZKIZLRBJDBF-HOCLYGCPSA-N 0 3 321.461 4.092 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC(OC3CCC3)CC1)CCC2 ZINC000419300966 337478800 /nfs/dbraw/zinc/47/88/00/337478800.db2.gz KCWUFHWZXCAWCO-LJQANCHMSA-N 0 3 303.421 4.237 20 0 DIADHN COC1(C(F)(F)F)CCN([C@@H](C)c2cccc(C)c2)CC1 ZINC000419309927 337478895 /nfs/dbraw/zinc/47/88/95/337478895.db2.gz BTDBFUGPGRQSMR-ZDUSSCGKSA-N 0 3 301.352 4.099 20 0 DIADHN CCC[C@@H](CCO)CN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000152520205 337500036 /nfs/dbraw/zinc/50/00/36/337500036.db2.gz MENDGTSKTRGQHB-CABCVRRESA-N 0 3 318.486 4.259 20 0 DIADHN C[C@@H]1CCCC[C@@H]1c1noc(C2CN(C3CCCCC3)C2)n1 ZINC000420506132 337505267 /nfs/dbraw/zinc/50/52/67/337505267.db2.gz RIURUZHFVJRTON-CJNGLKHVSA-N 0 3 303.450 4.095 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3[C@@H](C)CC[C@@H]3C)n2)c(F)c1 ZINC000445386945 337523468 /nfs/dbraw/zinc/52/34/68/337523468.db2.gz UHLDPKFGFKILCU-AVGNSLFASA-N 0 3 303.381 4.118 20 0 DIADHN C[C@@H](NCc1cccc(C2CC2)c1)c1ccc([S@@](C)=O)cc1 ZINC000421342436 337527551 /nfs/dbraw/zinc/52/75/51/337527551.db2.gz NLHGZDVHRUYDJM-JLCFBVMHSA-N 0 3 313.466 4.152 20 0 DIADHN COc1cc(CNCc2cc(C)nc(C)c2)cc2ccccc21 ZINC000421380911 337529247 /nfs/dbraw/zinc/52/92/47/337529247.db2.gz KPACFLKDGADPEP-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN OC1(CCCNCc2cc(Cl)cc(C(F)(F)F)c2)CCC1 ZINC000421381813 337529383 /nfs/dbraw/zinc/52/93/83/337529383.db2.gz KMLNGULTNWNWQW-UHFFFAOYSA-N 0 3 321.770 4.144 20 0 DIADHN CC[C@@H]1C[C@@H](NCc2cc(C(F)(F)F)ccc2Cl)CCO1 ZINC000421386539 337529949 /nfs/dbraw/zinc/52/99/49/337529949.db2.gz OFVYRFHJADJYPS-QWHCGFSZSA-N 0 3 321.770 4.406 20 0 DIADHN C[C@H](CNCc1ccc(F)c(Cl)c1Cl)c1nccs1 ZINC000432259438 337545892 /nfs/dbraw/zinc/54/58/92/337545892.db2.gz SESNRHNQYBAWOJ-MRVPVSSYSA-N 0 3 319.232 4.482 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@H](N[C@H](C)c2cc3ccccc3o2)C1 ZINC000247100565 337557761 /nfs/dbraw/zinc/55/77/61/337557761.db2.gz OOSOWSCMJSSWGU-LYCUHBICSA-N 0 3 319.470 4.163 20 0 DIADHN C[C@H](N[C@H]1CCCc2ccccc21)c1ccc([S@](C)=O)cc1 ZINC000247885278 337561499 /nfs/dbraw/zinc/56/14/99/337561499.db2.gz CWEPKSIIAVHKFX-DVXDUOKCSA-N 0 3 313.466 4.152 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@@H](C)c2sc(CC)nc2C)C12CCC2 ZINC000248200456 337563067 /nfs/dbraw/zinc/56/30/67/337563067.db2.gz YNAPINYXINXHRI-FPMFFAJLSA-N 0 3 308.491 4.012 20 0 DIADHN Brc1cccc(CNCc2cccc3[nH]ccc32)c1 ZINC000070939209 337578777 /nfs/dbraw/zinc/57/87/77/337578777.db2.gz PGFKUZSABBLNIS-UHFFFAOYSA-N 0 3 315.214 4.220 20 0 DIADHN C[C@H](NCc1ccn(C2CCCC2)n1)[C@@H]1C[C@H]1c1ccccc1 ZINC000571897972 337581453 /nfs/dbraw/zinc/58/14/53/337581453.db2.gz CHSOIQFRMJLDPF-YSSFQJQWSA-N 0 3 309.457 4.280 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@H]1CCc2c1ccc(OC)c2F ZINC000571956696 337583320 /nfs/dbraw/zinc/58/33/20/337583320.db2.gz LZOZYOVEDGGEGJ-CABZTGNLSA-N 0 3 305.315 4.142 20 0 DIADHN CCCC1(CN[C@@H](C)c2ccc(Br)cn2)CCC1 ZINC000572185469 337595638 /nfs/dbraw/zinc/59/56/38/337595638.db2.gz MTTFUCMBCBBEAA-LBPRGKRZSA-N 0 3 311.267 4.465 20 0 DIADHN Cc1cccc([C@@H](C)NCc2ccc3c(c2)OCCCO3)c1C ZINC000161840902 337597882 /nfs/dbraw/zinc/59/78/82/337597882.db2.gz RDWIQRMBYYBWOW-MRXNPFEDSA-N 0 3 311.425 4.316 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccc2c(c1)COC2 ZINC000536826610 337604343 /nfs/dbraw/zinc/60/43/43/337604343.db2.gz KZFRSUPHLXRWRT-PAXLWEDBSA-N 0 3 323.436 4.145 20 0 DIADHN COc1cc(CN[C@H](c2ccccc2C)C(C)C)ccc1C#N ZINC000572292287 337606558 /nfs/dbraw/zinc/60/65/58/337606558.db2.gz ZKEDWPLOHCZSDV-FQEVSTJZSA-N 0 3 308.425 4.362 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)Nc1ccc2c(c1F)CCNC2 ZINC000261697146 337608736 /nfs/dbraw/zinc/60/87/36/337608736.db2.gz JCJQOLFANWFWSW-ZDUSSCGKSA-N 0 3 318.436 4.016 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H](C(F)(F)F)C1)c1ccccc1Cl ZINC000286852240 337616160 /nfs/dbraw/zinc/61/61/60/337616160.db2.gz FVSMWZSVRLWGLV-OUJBWJOFSA-N 0 3 307.743 4.101 20 0 DIADHN CC[C@@H](CSC)N[C@H](C)c1sccc1Br ZINC000162354255 337616207 /nfs/dbraw/zinc/61/62/07/337616207.db2.gz OJMUTMZNJBESGP-BDAKNGLRSA-N 0 3 308.310 4.303 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@@H](C)c1ccncc1Cl ZINC000421828541 337646996 /nfs/dbraw/zinc/64/69/96/337646996.db2.gz DFFVWLFFMVCCFW-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@H](NCCSCc1ccccc1F)c1ccncc1Cl ZINC000421813612 337648039 /nfs/dbraw/zinc/64/80/39/337648039.db2.gz HBJUUYFRRKGPOG-LBPRGKRZSA-N 0 3 324.852 4.458 20 0 DIADHN COc1ccc([C@H](NCc2ccc(C)s2)C2CC2)c(F)c1 ZINC000421821245 337648998 /nfs/dbraw/zinc/64/89/98/337648998.db2.gz QJANERVLCPVHHW-QGZVFWFLSA-N 0 3 305.418 4.445 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CC1)c1ccncc1Cl ZINC000421821838 337649206 /nfs/dbraw/zinc/64/92/06/337649206.db2.gz ULFZUHJNNMFGTF-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN OC1(CCCN[C@@H]2CCSc3ccc(Cl)cc32)CCC1 ZINC000421852877 337649917 /nfs/dbraw/zinc/64/99/17/337649917.db2.gz IBESBZRAMDGPLR-CQSZACIVSA-N 0 3 311.878 4.162 20 0 DIADHN C[C@H](NCCCC1(O)CCC1)c1csc(-c2ccccc2)n1 ZINC000421852829 337649986 /nfs/dbraw/zinc/64/99/86/337649986.db2.gz HYAZUQANVZSTOE-AWEZNQCLSA-N 0 3 316.470 4.156 20 0 DIADHN Cc1ccc([C@@H](NCCCC2(O)CCC2)c2ccccc2)cc1 ZINC000421855147 337650321 /nfs/dbraw/zinc/65/03/21/337650321.db2.gz LPRDHLSULPIBLW-FQEVSTJZSA-N 0 3 309.453 4.369 20 0 DIADHN CC(C)[C@@H](CN[C@@H](C)c1ccncc1Cl)c1cccnc1 ZINC000421833234 337651715 /nfs/dbraw/zinc/65/17/15/337651715.db2.gz NKXGSSUGSXPDPC-XJKSGUPXSA-N 0 3 303.837 4.220 20 0 DIADHN Cc1cc(CN[C@H](C)c2cc3c(s2)CCCC3)cc(C)n1 ZINC000421877662 337658180 /nfs/dbraw/zinc/65/81/80/337658180.db2.gz LDGAAACYNMDMJK-CQSZACIVSA-N 0 3 300.471 4.490 20 0 DIADHN FC(F)C[C@@H](N[C@@H]1CCc2ccccc2OC1)c1ccccc1 ZINC000421878844 337658288 /nfs/dbraw/zinc/65/82/88/337658288.db2.gz OBKWACRRYNEGHN-IAGOWNOFSA-N 0 3 317.379 4.366 20 0 DIADHN CON1CCC(N[C@@H](c2ccccc2)c2cc(C)ccc2C)CC1 ZINC000421881875 337658616 /nfs/dbraw/zinc/65/86/16/337658616.db2.gz RONSIGRMQRSQEL-NRFANRHFSA-N 0 3 324.468 4.008 20 0 DIADHN CC[C@H](O)CN[C@@H](Cc1ccccc1Cl)c1ccsc1 ZINC000421893766 337659363 /nfs/dbraw/zinc/65/93/63/337659363.db2.gz ZXHGWJYAZBZUFX-HOCLYGCPSA-N 0 3 309.862 4.046 20 0 DIADHN FC(F)c1ccc(CNC[C@@H](c2ccco2)N2CCCC2)cc1 ZINC000321795532 337661341 /nfs/dbraw/zinc/66/13/41/337661341.db2.gz WGIPILDPCJMANI-INIZCTEOSA-N 0 3 320.383 4.144 20 0 DIADHN CCc1ccc(CN2CCC(c3ncc(C)s3)CC2)cc1 ZINC000433022554 337670145 /nfs/dbraw/zinc/67/01/45/337670145.db2.gz VYRWADGYRHQIKF-UHFFFAOYSA-N 0 3 300.471 4.394 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc(C(C)(F)F)cc2)ccn1 ZINC000580465840 337679577 /nfs/dbraw/zinc/67/95/77/337679577.db2.gz YJXKLTRCOLRKJF-GFCCVEGCSA-N 0 3 306.356 4.053 20 0 DIADHN CNCc1ccccc1NC(=O)CCC(C)(C)c1ccccc1 ZINC000422739961 337684733 /nfs/dbraw/zinc/68/47/33/337684733.db2.gz MJSCUHHGKZPGTF-UHFFFAOYSA-N 0 3 310.441 4.103 20 0 DIADHN Cc1nc(CN)ccc1[C@H](C)N[C@@H](C)c1cc2ccccc2o1 ZINC000422769355 337685765 /nfs/dbraw/zinc/68/57/65/337685765.db2.gz OSUWBTPAJLRAQE-JSGCOSHPSA-N 0 3 309.413 4.007 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)N[C@@H](C)c1ccc(CN)nc1C ZINC000422899813 337693145 /nfs/dbraw/zinc/69/31/45/337693145.db2.gz PGAKCDPMDSAYNQ-ZFWWWQNUSA-N 0 3 323.440 4.315 20 0 DIADHN CC[C@@H](C)Oc1cccc(N[C@H](C)c2ccc(CN)nc2C)c1 ZINC000423139720 337701377 /nfs/dbraw/zinc/70/13/77/337701377.db2.gz WLZMDCADSPZHIE-ZIAGYGMSSA-N 0 3 313.445 4.199 20 0 DIADHN CC[C@@H](C)Oc1cccc(N[C@@H](C)c2ccc(CN)nc2C)c1 ZINC000423139718 337701435 /nfs/dbraw/zinc/70/14/35/337701435.db2.gz WLZMDCADSPZHIE-KGLIPLIRSA-N 0 3 313.445 4.199 20 0 DIADHN C[C@@H](NC[C@@H](N)c1ccccc1)c1cc(Cl)sc1Cl ZINC000423241889 337706826 /nfs/dbraw/zinc/70/68/26/337706826.db2.gz LSCYWYJBJSTALX-BXKDBHETSA-N 0 3 315.269 4.406 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCCc3cc(N)ccc32)cnn1C(C)C ZINC000423337303 337712661 /nfs/dbraw/zinc/71/26/61/337712661.db2.gz ANLYELFTWUGOCA-BFUOFWGJSA-N 0 3 312.461 4.083 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2cc(N)ccc21)c1cc(F)cc(F)c1 ZINC000423339949 337712890 /nfs/dbraw/zinc/71/28/90/337712890.db2.gz BRQVOMIAHWNRLV-ADLMAVQZSA-N 0 3 302.368 4.275 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCc3cc(N)ccc32)c(C)c1 ZINC000423359503 337714370 /nfs/dbraw/zinc/71/43/70/337714370.db2.gz KSHDLKCUVCCCSG-VBKZILBWSA-N 0 3 310.441 4.314 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1N[C@H]1CCCc2cc(N)ccc21 ZINC000423363374 337714472 /nfs/dbraw/zinc/71/44/72/337714472.db2.gz YOOFYGRTGMSJEK-OITMNORJSA-N 0 3 310.416 4.308 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc3c2CCCN3)cc1F ZINC000423410213 337716238 /nfs/dbraw/zinc/71/62/38/337716238.db2.gz CVXXIYJUCFDJGB-CYBMUJFWSA-N 0 3 314.404 4.043 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1cccc3c1CCCN3)CCC2 ZINC000423418930 337716711 /nfs/dbraw/zinc/71/67/11/337716711.db2.gz VHIACWQSLZNHMR-OAQYLSRUSA-N 0 3 322.452 4.221 20 0 DIADHN CC1(C)C[C@H](N[C@H]2CCc3ccccc32)c2cc(N)ccc2O1 ZINC000423426974 337717081 /nfs/dbraw/zinc/71/70/81/337717081.db2.gz PZYOKAYITAZUGC-ROUUACIJSA-N 0 3 308.425 4.148 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3c2CCCN3)c(C)c1 ZINC000423427005 337717092 /nfs/dbraw/zinc/71/70/92/337717092.db2.gz QBWCSIKHBDJLON-HNNXBMFYSA-N 0 3 310.441 4.213 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCSc3ccc(F)cc32)c1 ZINC000423471404 337721098 /nfs/dbraw/zinc/72/10/98/337721098.db2.gz FDNVPCSBHMJOBJ-MRXNPFEDSA-N 0 3 302.418 4.043 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCc3c(Cl)cccc32)c1 ZINC000423479394 337721907 /nfs/dbraw/zinc/72/19/07/337721907.db2.gz NTYWWRCBNYUCJG-SFHVURJKSA-N 0 3 300.833 4.398 20 0 DIADHN Cc1cc(N)cc(CN[C@H](C)c2cc3c(s2)CCCC3)c1 ZINC000423482367 337722035 /nfs/dbraw/zinc/72/20/35/337722035.db2.gz RLCYYHPXCHSOKJ-CYBMUJFWSA-N 0 3 300.471 4.368 20 0 DIADHN Cc1cc(N)cc(CN[C@@H]2CCCOc3cc4c(cc32)CCC4)c1 ZINC000423487851 337722286 /nfs/dbraw/zinc/72/22/86/337722286.db2.gz XWDQVTIGBBROLB-HXUWFJFHSA-N 0 3 322.452 4.069 20 0 DIADHN Cc1cc(N)cc(CN[C@H]2CCCOc3cc4c(cc32)CCC4)c1 ZINC000423487850 337722312 /nfs/dbraw/zinc/72/23/12/337722312.db2.gz XWDQVTIGBBROLB-FQEVSTJZSA-N 0 3 322.452 4.069 20 0 DIADHN CC[C@H](NCc1cc(C)cc(N)c1)c1ccccc1OC(F)F ZINC000423480760 337724895 /nfs/dbraw/zinc/72/48/95/337724895.db2.gz PQEUJDDBUQOBQG-INIZCTEOSA-N 0 3 320.383 4.420 20 0 DIADHN C[C@H](C(=O)N[C@H]1CC[C@@H](C)c2ccccc21)[C@@H](N)c1ccccc1 ZINC000423805107 337728224 /nfs/dbraw/zinc/72/82/24/337728224.db2.gz SDRJCDVQDHYCHY-VVVONTASSA-N 0 3 322.452 4.077 20 0 DIADHN CCc1nn(C)c(CC)c1CN[C@@H](CC1CC1)c1ccccc1 ZINC000536829175 337733715 /nfs/dbraw/zinc/73/37/15/337733715.db2.gz WLUJOQIDTRGSFJ-IBGZPJMESA-N 0 3 311.473 4.176 20 0 DIADHN CCc1ccc([C@@H](CO)N[C@H]2CCc3ccc(Cl)cc32)cc1 ZINC000536834887 337734903 /nfs/dbraw/zinc/73/49/03/337734903.db2.gz SLSTWMQKWSJPMZ-RBUKOAKNSA-N 0 3 315.844 4.213 20 0 DIADHN CC[C@H](N[C@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000536843718 337743242 /nfs/dbraw/zinc/74/32/42/337743242.db2.gz FWYSPUKSAGXLNF-DLBZAZTESA-N 0 3 323.358 4.480 20 0 DIADHN C[C@@H](COCC(F)(F)F)N[C@@H](C)c1ccc(F)cc1Cl ZINC000536861831 337746892 /nfs/dbraw/zinc/74/68/92/337746892.db2.gz DUSVYUDBEHZQDO-IUCAKERBSA-N 0 3 313.722 4.097 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H]1CCc2ccc(F)cc21 ZINC000536863317 337746995 /nfs/dbraw/zinc/74/69/95/337746995.db2.gz KTZMVWOUPMBQKT-PMACEKPBSA-N 0 3 311.400 4.489 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC000536862902 337747004 /nfs/dbraw/zinc/74/70/04/337747004.db2.gz IWBJRGFDRDWICG-XOBRGWDASA-N 0 3 320.436 4.218 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)N[C@@H](C)COCC(F)(F)F ZINC000536865291 337747294 /nfs/dbraw/zinc/74/72/94/337747294.db2.gz XCHMSXQXQZTHJP-CMPLNLGQSA-N 0 3 315.335 4.359 20 0 DIADHN COc1ccc([C@@H](C)[C@H](C)Nc2cccc(CN(C)C)c2)cc1 ZINC000527512448 337748237 /nfs/dbraw/zinc/74/82/37/337748237.db2.gz JWFVYUUQHJOYKG-HOTGVXAUSA-N 0 3 312.457 4.361 20 0 DIADHN COc1cc(CN2[C@H](C)C[C@H]2C)ccc1OCc1cccs1 ZINC000527529729 337749391 /nfs/dbraw/zinc/74/93/91/337749391.db2.gz WYHUNMIQNFJQGL-ZIAGYGMSSA-N 0 3 317.454 4.318 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2ccc3cc[nH]c3c2)cc1 ZINC000527637639 337753504 /nfs/dbraw/zinc/75/35/04/337753504.db2.gz AWSPFBBJQFVOOV-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CCc1nc(CCNCc2ccsc2C(F)(F)F)cs1 ZINC000527651470 337756118 /nfs/dbraw/zinc/75/61/18/337756118.db2.gz FWMGZBSELFPWFL-UHFFFAOYSA-N 0 3 320.405 4.118 20 0 DIADHN CC(C)C(C)(C)NCc1ncc(Cl)cc1Br ZINC000527656545 337756575 /nfs/dbraw/zinc/75/65/75/337756575.db2.gz CMZFXKMLZIELJY-UHFFFAOYSA-N 0 3 305.647 4.022 20 0 DIADHN Fc1ccc([C@@H]2CC[C@@H](NCc3ccncc3Cl)C2)cc1 ZINC000527701836 337757600 /nfs/dbraw/zinc/75/76/00/337757600.db2.gz RYOLVVPHJDMSFU-CZUORRHYSA-N 0 3 304.796 4.300 20 0 DIADHN Cc1nnsc1CN[C@H]1CCC[C@H](c2ccccc2)CC1 ZINC000527710492 337758132 /nfs/dbraw/zinc/75/81/32/337758132.db2.gz PNVDWBGZRCUMCF-HOTGVXAUSA-N 0 3 301.459 4.053 20 0 DIADHN Fc1cccc(-c2ccc(CNCC[C@@H]3CCCOC3)o2)c1F ZINC000527736468 337759860 /nfs/dbraw/zinc/75/98/60/337759860.db2.gz GDYUMQHCIPSHKD-ZDUSSCGKSA-N 0 3 321.367 4.131 20 0 DIADHN Fc1ccc(F)c(CNCCC[C@@H]2CCCCO2)c1Cl ZINC000527689834 337760016 /nfs/dbraw/zinc/76/00/16/337760016.db2.gz AONBIJQZVCNODO-NSHDSACASA-N 0 3 303.780 4.057 20 0 DIADHN CC[C@H](NCc1ccc(-n2ccnc2)nc1)c1cc(C)ccc1C ZINC000527818478 337763497 /nfs/dbraw/zinc/76/34/97/337763497.db2.gz FPVWSHYOPXQTAP-IBGZPJMESA-N 0 3 320.440 4.125 20 0 DIADHN COc1ccc(C(C)(C)NCc2ccc3ncccc3c2)cc1F ZINC000527780017 337763636 /nfs/dbraw/zinc/76/36/36/337763636.db2.gz AEDZYFXXCPNVKS-UHFFFAOYSA-N 0 3 324.399 4.407 20 0 DIADHN Cc1cccn2c(CN[C@H]3CCc4cc(Cl)ccc43)cnc12 ZINC000527794552 337764324 /nfs/dbraw/zinc/76/43/24/337764324.db2.gz DBYOPFVXNXPCRQ-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN C[C@H](NCCc1ccsc1)c1cc(-c2ccccc2)n(C)n1 ZINC000527846058 337768369 /nfs/dbraw/zinc/76/83/69/337768369.db2.gz KDXIEIYHQXNQOJ-AWEZNQCLSA-N 0 3 311.454 4.042 20 0 DIADHN COCc1ccccc1CN[C@H]1CCc2cc(F)c(Cl)cc21 ZINC000527850504 337768894 /nfs/dbraw/zinc/76/88/94/337768894.db2.gz SQLZNZUKBIQHOE-SFHVURJKSA-N 0 3 319.807 4.403 20 0 DIADHN CCNC(=O)c1cccc(CN[C@H](c2cccc(C)c2)C(C)C)c1 ZINC000527851397 337768922 /nfs/dbraw/zinc/76/89/22/337768922.db2.gz KIQFIMADTZMERJ-FQEVSTJZSA-N 0 3 324.468 4.232 20 0 DIADHN Cc1cccc([C@H](NCc2cccnc2-n2cccn2)C(C)C)c1 ZINC000527854849 337769348 /nfs/dbraw/zinc/76/93/48/337769348.db2.gz YGIDINBAKIKVOP-LJQANCHMSA-N 0 3 320.440 4.063 20 0 DIADHN Cc1cccc([C@H](NCc2ccnc(N3CCCC3)c2)C(C)C)c1 ZINC000527854023 337769361 /nfs/dbraw/zinc/76/93/61/337769361.db2.gz VPEYZBZCBPPBDC-OAQYLSRUSA-N 0 3 323.484 4.477 20 0 DIADHN C[C@H](NC[C@@H]1CCC(F)(F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC000527891817 337772027 /nfs/dbraw/zinc/77/20/27/337772027.db2.gz DMCIVWCCUUOXPY-UONOGXRCSA-N 0 3 319.399 4.173 20 0 DIADHN CC[C@H](C)c1ccc([C@H](C)NCCc2nnc(C)s2)cc1 ZINC000527899735 337772658 /nfs/dbraw/zinc/77/26/58/337772658.db2.gz KMMKJDVGQQFAHX-STQMWFEESA-N 0 3 303.475 4.253 20 0 DIADHN C[C@@H](NCC[C@H](C)F)c1cc(F)c(Br)cc1F ZINC000527900369 337772739 /nfs/dbraw/zinc/77/27/39/337772739.db2.gz GUCMSVVGRZLWGY-JGVFFNPUSA-N 0 3 310.157 4.126 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)s1 ZINC000527946008 337773676 /nfs/dbraw/zinc/77/36/76/337773676.db2.gz RLMXZTNUMNUOMY-WXKRBDHGSA-N 0 3 318.873 4.402 20 0 DIADHN C[C@H](NCC[C@@H]1CCCOC1)c1ccc(-c2ccccc2)cn1 ZINC000527924276 337775532 /nfs/dbraw/zinc/77/55/32/337775532.db2.gz IKQBPMNEESSTTE-IRXDYDNUSA-N 0 3 310.441 4.216 20 0 DIADHN CC(C)n1cncc1CNCCCOc1ccc2ccccc2c1 ZINC000424151314 337776168 /nfs/dbraw/zinc/77/61/68/337776168.db2.gz HKYGXFSANLQLQR-UHFFFAOYSA-N 0 3 323.440 4.176 20 0 DIADHN COc1cc([C@@H](C)N[C@@H]2CCc3cc(Cl)ccc32)ccn1 ZINC000527992285 337778451 /nfs/dbraw/zinc/77/84/51/337778451.db2.gz HKBBSBVZHSMDEP-BDJLRTHQSA-N 0 3 302.805 4.082 20 0 DIADHN Cc1ccc([C@H](NCc2ccc3cn(C)nc3c2)C2CCC2)o1 ZINC000527968316 337781104 /nfs/dbraw/zinc/78/11/04/337781104.db2.gz ZKIAQTBJCAMSGE-LJQANCHMSA-N 0 3 309.413 4.106 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)N[C@@H](c1ccccn1)C1CCC1 ZINC000527970171 337781348 /nfs/dbraw/zinc/78/13/48/337781348.db2.gz WKFRKGIOXAELFS-BEVDRBHNSA-N 0 3 310.441 4.289 20 0 DIADHN COc1ccc([C@@H](C)[C@@H](C)N[C@@H](C)c2ncccc2F)cc1 ZINC000528034672 337782197 /nfs/dbraw/zinc/78/21/97/337782197.db2.gz AREKNQBHOVHJJS-MJBXVCDLSA-N 0 3 302.393 4.072 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)N[C@@H](C)c2ncccc2F)cc1 ZINC000528034671 337782269 /nfs/dbraw/zinc/78/22/69/337782269.db2.gz AREKNQBHOVHJJS-MCIONIFRSA-N 0 3 302.393 4.072 20 0 DIADHN CCCC[C@@H](NCc1cncn1C(C)C)c1ccc(OC)cc1 ZINC000424159035 337785270 /nfs/dbraw/zinc/78/52/70/337785270.db2.gz SDVUNEYGXTWXMP-LJQANCHMSA-N 0 3 315.461 4.494 20 0 DIADHN CC[C@@H](N[C@@H](c1ccc(OC)nc1)C1CC1)c1ccsc1 ZINC000528043993 337785763 /nfs/dbraw/zinc/78/57/63/337785763.db2.gz SZSVXDKUNXHOPQ-NVXWUHKLSA-N 0 3 302.443 4.344 20 0 DIADHN CC[C@H](N[C@H](c1ccc(OC)nc1)C1CC1)c1cccs1 ZINC000528044483 337785796 /nfs/dbraw/zinc/78/57/96/337785796.db2.gz UACQKCRIGUEWTL-YOEHRIQHSA-N 0 3 302.443 4.344 20 0 DIADHN COc1ccc([C@@H](N[C@H]2CCCc3ccccc32)C2CC2)cn1 ZINC000528045477 337785906 /nfs/dbraw/zinc/78/59/06/337785906.db2.gz ZGUIFUFGAASLNC-ICSRJNTNSA-N 0 3 308.425 4.209 20 0 DIADHN CCCC[C@H](COC)N[C@@H](c1nc2ccccc2[nH]1)C(C)C ZINC000536876470 337792483 /nfs/dbraw/zinc/79/24/83/337792483.db2.gz LGKHFMYHTHNOPC-RHSMWYFYSA-N 0 3 303.450 4.055 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(Br)c(F)c1 ZINC000536878040 337794392 /nfs/dbraw/zinc/79/43/92/337794392.db2.gz OBVYGOFLSCUATJ-SSDOTTSWSA-N 0 3 314.120 4.191 20 0 DIADHN COc1ccc(Cl)cc1C(C)(C)NC/C=C/c1ccncc1 ZINC000424195065 337805694 /nfs/dbraw/zinc/80/56/94/337805694.db2.gz CCWKPMWUWWMPBV-SNAWJCMRSA-N 0 3 316.832 4.282 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](C)c2c(C)noc2C)c(Cl)c1 ZINC000424275195 337814228 /nfs/dbraw/zinc/81/42/28/337814228.db2.gz AMBFGFUPNAZWJY-GHMZBOCLSA-N 0 3 322.836 4.408 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H](C)c2nccs2)c(Cl)c1 ZINC000424278990 337814509 /nfs/dbraw/zinc/81/45/09/337814509.db2.gz JTMXALXRDVULKW-MNOVXSKESA-N 0 3 310.850 4.259 20 0 DIADHN C[C@@H](CN[C@H](Cc1ccncc1)c1cccs1)C(F)(F)F ZINC000424282824 337815162 /nfs/dbraw/zinc/81/51/62/337815162.db2.gz QUWXQHDOYUAHOO-WCQYABFASA-N 0 3 314.376 4.215 20 0 DIADHN CO[C@H]1C[C@H]2C[C@H](NC3(c4ccc(F)cc4F)CCC3)C[C@H]2C1 ZINC000424287271 337815550 /nfs/dbraw/zinc/81/55/50/337815550.db2.gz XKMCMKMVLYUBLD-WBIXOSHYSA-N 0 3 321.411 4.137 20 0 DIADHN CC(C)CCOCCNCc1cc(Cl)ccc1OC(F)F ZINC000045094173 337818841 /nfs/dbraw/zinc/81/88/41/337818841.db2.gz JICAFVUDDYUZKQ-UHFFFAOYSA-N 0 3 321.795 4.094 20 0 DIADHN Brc1ccc2c(c1)[C@@H](N[C@H]1CC13CC3)CCS2 ZINC000424315274 337819682 /nfs/dbraw/zinc/81/96/82/337819682.db2.gz DMKGJWSQQUPXDH-AAEUAGOBSA-N 0 3 310.260 4.128 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)N[C@@H]1CC12CC2 ZINC000424325567 337821333 /nfs/dbraw/zinc/82/13/33/337821333.db2.gz NWVAQYTVDMODAP-IINYFYTJSA-N 0 3 302.418 4.461 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2ccc(Br)o2)s1 ZINC000080226500 337829364 /nfs/dbraw/zinc/82/93/64/337829364.db2.gz RGDCHUHIZSLKHR-VIFPVBQESA-N 0 3 314.248 4.133 20 0 DIADHN Cc1cc(CN)cc(NC(=O)N2CCCC[C@H]2c2ccccc2)c1 ZINC000424422693 337832485 /nfs/dbraw/zinc/83/24/85/337832485.db2.gz FFFWLIQRHBCIQU-IBGZPJMESA-N 0 3 323.440 4.213 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@H]1C)c1nc(-c2cccs2)no1 ZINC000108419072 337836966 /nfs/dbraw/zinc/83/69/66/337836966.db2.gz OEWZAYZVCQTTKP-FVCCEPFGSA-N 0 3 305.447 4.273 20 0 DIADHN CC[C@H](COC)NCc1ccc(-c2nc3ccccc3s2)o1 ZINC000118168946 337850162 /nfs/dbraw/zinc/85/01/62/337850162.db2.gz WHKSGUDYAMHRNM-GFCCVEGCSA-N 0 3 316.426 4.071 20 0 DIADHN Cc1cnc(CCN[C@@H](CC(F)F)c2ccccc2)c(C)c1 ZINC000520805357 337853491 /nfs/dbraw/zinc/85/34/91/337853491.db2.gz YSIAUPXSJJLEMW-KRWDZBQOSA-N 0 3 304.384 4.227 20 0 DIADHN CC[C@@H](NCc1ccccc1Cn1cccn1)c1cccs1 ZINC000119249456 337856879 /nfs/dbraw/zinc/85/68/79/337856879.db2.gz YHOGOVPVIBUKMM-QGZVFWFLSA-N 0 3 311.454 4.234 20 0 DIADHN C[C@@H](CC(=O)Nc1cccc(CN(C)C)c1)c1ccc(F)cc1 ZINC000057471112 337860938 /nfs/dbraw/zinc/86/09/38/337860938.db2.gz WRRWYTUYNRIPEC-AWEZNQCLSA-N 0 3 314.404 4.020 20 0 DIADHN Cc1ccc([C@H](C)CN[C@@H](C)c2nc3ccccc3n2C)cc1 ZINC000537012543 337864484 /nfs/dbraw/zinc/86/44/84/337864484.db2.gz VTJHSGZIYYGVEB-CVEARBPZSA-N 0 3 307.441 4.336 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2)C2CC2)c2ccccc2n1 ZINC000537016043 337866250 /nfs/dbraw/zinc/86/62/50/337866250.db2.gz USKHZHZIWOZYNX-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NC[C@H](c2ccco2)N(C)C)cc1 ZINC000120267808 337873101 /nfs/dbraw/zinc/87/31/01/337873101.db2.gz DPIBGXSJTCKKPB-MAUKXSAKSA-N 0 3 316.445 4.020 20 0 DIADHN Cc1noc(C)c1[C@@H](C)CN[C@@H](C)c1cnc2ccsc2c1 ZINC000090728895 337885133 /nfs/dbraw/zinc/88/51/33/337885133.db2.gz OOJMQPCWVBKAFJ-QWRGUYRKSA-N 0 3 315.442 4.355 20 0 DIADHN CCOc1ccccc1OCCN[C@H](C)c1ccc(F)cc1F ZINC000537088072 337888239 /nfs/dbraw/zinc/88/82/39/337888239.db2.gz SCESOQRUYLAIHE-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN CC(C)(C)c1ncc(CNCCSc2ccccc2)s1 ZINC000059180853 337888686 /nfs/dbraw/zinc/88/86/86/337888686.db2.gz QOQUNYSHUADLET-UHFFFAOYSA-N 0 3 306.500 4.323 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1nccn1C ZINC000537094094 337889377 /nfs/dbraw/zinc/88/93/77/337889377.db2.gz NKZQETPKJQKFMP-HOTGVXAUSA-N 0 3 317.502 4.258 20 0 DIADHN C[C@@H](NC[C@H](O)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000537114062 337890978 /nfs/dbraw/zinc/89/09/78/337890978.db2.gz XOMVUWNHNQPQGJ-TZMCWYRMSA-N 0 3 324.251 4.248 20 0 DIADHN COc1cccc(CCCN[C@@H](C)c2cccc(F)c2F)c1 ZINC000537127284 337894044 /nfs/dbraw/zinc/89/40/44/337894044.db2.gz IUBGJCJYWPJWEI-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN C[C@@H](CC(F)(F)F)N[C@@H](C)c1cccc(Br)c1 ZINC000121945216 337902265 /nfs/dbraw/zinc/90/22/65/337902265.db2.gz OIXFZJRSGQQMHG-IUCAKERBSA-N 0 3 310.157 4.441 20 0 DIADHN COc1c(C)cc(CN2CCC(OC(C)(C)C)CC2)cc1C ZINC000092454153 337904496 /nfs/dbraw/zinc/90/44/96/337904496.db2.gz KQVKFHMAZQMSQN-UHFFFAOYSA-N 0 3 305.462 4.092 20 0 DIADHN COc1ccccc1CN1CCC(OC2CCCCC2)CC1 ZINC000092736172 337907797 /nfs/dbraw/zinc/90/77/97/337907797.db2.gz IQDUNJKFIWIDFS-UHFFFAOYSA-N 0 3 303.446 4.009 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](c3ccccc3)C3CC3)c(C)n2n1 ZINC000122210990 337909919 /nfs/dbraw/zinc/90/99/19/337909919.db2.gz LGPWISYPVFHOTL-VLIAUNLRSA-N 0 3 320.440 4.148 20 0 DIADHN Cn1cc(CN2CCC3(CCCCC3)CC2)c(-c2cccnc2)n1 ZINC000092969015 337910649 /nfs/dbraw/zinc/91/06/49/337910649.db2.gz WBWUBFKILXDTLV-UHFFFAOYSA-N 0 3 324.472 4.028 20 0 DIADHN C[C@H](N[C@H]1CCCOc2ccccc21)c1ccccc1N(C)C ZINC000093943984 337923094 /nfs/dbraw/zinc/92/30/94/337923094.db2.gz CTEQDGLQCMHGJS-YJBOKZPZSA-N 0 3 310.441 4.317 20 0 DIADHN COCCCCCN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC000122757376 337923443 /nfs/dbraw/zinc/92/34/43/337923443.db2.gz UJRMRIVQIATEQK-NSHDSACASA-N 0 3 321.795 4.409 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC)c(Cl)c2)cc1F ZINC000122906257 337927974 /nfs/dbraw/zinc/92/79/74/337927974.db2.gz RTXNSAYDYGFDMI-LLVKDONJSA-N 0 3 323.795 4.347 20 0 DIADHN O=C(CN[C@@H](c1ccccc1)C1CC1)Nc1ccccc1Cl ZINC000537289719 337928189 /nfs/dbraw/zinc/92/81/89/337928189.db2.gz IESNAPPQCTTWRG-SFHVURJKSA-N 0 3 314.816 4.019 20 0 DIADHN COc1ccccc1-c1ccc(CNCc2cncc(C)c2)cc1 ZINC000122926753 337930590 /nfs/dbraw/zinc/93/05/90/337930590.db2.gz TUQJPVYNSDQPRK-UHFFFAOYSA-N 0 3 318.420 4.355 20 0 DIADHN CO[C@H](c1ccccc1F)[C@@H](C)NCc1cccc2[nH]ccc21 ZINC000537385307 337934501 /nfs/dbraw/zinc/93/45/01/337934501.db2.gz MTUOUPPNIUZNJP-YJYMSZOUSA-N 0 3 312.388 4.173 20 0 DIADHN CO[C@@H]1[C@@H](C)[C@@H](NCc2cnc(-c3ccsc3)s2)C1(C)C ZINC000537386266 337934553 /nfs/dbraw/zinc/93/45/53/337934553.db2.gz IYCFIZPJBXOEOY-ZLKJLUDKSA-N 0 3 322.499 4.021 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2NC1(c2ccc(F)cc2)CC1 ZINC000537449513 337936743 /nfs/dbraw/zinc/93/67/43/337936743.db2.gz JJNMCKGFADYZQY-IBGZPJMESA-N 0 3 311.400 4.491 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H]2Cc3ccccc3O2)cc1Cl ZINC000537466992 337938528 /nfs/dbraw/zinc/93/85/28/337938528.db2.gz ONXNVNLBWUZINS-DOMZBBRYSA-N 0 3 317.816 4.003 20 0 DIADHN Cc1ccc(F)cc1N[C@@H](C)c1cccc(OCCN(C)C)c1 ZINC000537516692 337939454 /nfs/dbraw/zinc/93/94/54/337939454.db2.gz FUVDBMHECAIPTJ-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN CCCC[C@H](CC)CCN[C@H](C)c1nnc2n1CCCCC2 ZINC000537746027 337960442 /nfs/dbraw/zinc/96/04/42/337960442.db2.gz BHGGNCWTOWCRLK-CVEARBPZSA-N 0 3 306.498 4.262 20 0 DIADHN Cc1nc(-c2ccc(CN[C@H](C)c3cccnc3)cc2)cs1 ZINC000537768115 337961794 /nfs/dbraw/zinc/96/17/94/337961794.db2.gz NFAJZAVJPJIHMG-CYBMUJFWSA-N 0 3 309.438 4.364 20 0 DIADHN Cc1ccncc1[C@H](C)NC[C@@H](C)Oc1ccc(Cl)cc1 ZINC000537881200 337964201 /nfs/dbraw/zinc/96/42/01/337964201.db2.gz HIGZVIRFCMXLRB-KGLIPLIRSA-N 0 3 304.821 4.162 20 0 DIADHN CCc1cnccc1[C@H](C)NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000514244810 337967795 /nfs/dbraw/zinc/96/77/95/337967795.db2.gz NLYKDPHYIQMSTM-SCLBCKFNSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cnccc1[C@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000514244811 337967830 /nfs/dbraw/zinc/96/78/30/337967830.db2.gz NLYKDPHYIQMSTM-UGSOOPFHSA-N 0 3 318.848 4.336 20 0 DIADHN CCSc1ccc([C@H](C)NCc2cnn3ccccc23)cc1 ZINC000514828739 337971431 /nfs/dbraw/zinc/97/14/31/337971431.db2.gz SRQDTKQNLLBEOK-AWEZNQCLSA-N 0 3 311.454 4.297 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1ccccc1OC(F)(F)F ZINC000514833988 337972542 /nfs/dbraw/zinc/97/25/42/337972542.db2.gz SBNRYFBTAKKPBF-LBPRGKRZSA-N 0 3 317.351 4.053 20 0 DIADHN Cc1cccc2[nH]cc(CCN[C@@H](C)c3cn4ccccc4n3)c21 ZINC000514873786 337972962 /nfs/dbraw/zinc/97/29/62/337972962.db2.gz WYJPUOAACZSTKX-HNNXBMFYSA-N 0 3 318.424 4.017 20 0 DIADHN C[C@H](NCCOc1ccccc1)c1cccc(OC(F)F)c1 ZINC000315117167 337980888 /nfs/dbraw/zinc/98/08/88/337980888.db2.gz RLHMEYVBBMLKQI-ZDUSSCGKSA-N 0 3 307.340 4.018 20 0 DIADHN Brc1cccc(CNCc2coc3ccccc23)c1 ZINC000083601930 337996713 /nfs/dbraw/zinc/99/67/13/337996713.db2.gz CEKLQRZMKFMNTJ-UHFFFAOYSA-N 0 3 316.198 4.485 20 0 DIADHN C[C@@H](CC1CC1)NCc1cc(Cl)ccc1Br ZINC000085220731 338007590 /nfs/dbraw/zinc/00/75/90/338007590.db2.gz MOUWPLKSHMZMQJ-VIFPVBQESA-N 0 3 302.643 4.381 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H](C)CC(F)(F)F)cc1OC ZINC000516474912 338013204 /nfs/dbraw/zinc/01/32/04/338013204.db2.gz AYRNOMXMIWYTLW-MNOVXSKESA-N 0 3 305.340 4.004 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000516495823 338013792 /nfs/dbraw/zinc/01/37/92/338013792.db2.gz QJGHKMQIGQRRFN-HNNXBMFYSA-N 0 3 302.462 4.293 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1Cl)N(C)CCc1cnccn1 ZINC000445453836 338017143 /nfs/dbraw/zinc/01/71/43/338017143.db2.gz YCHHBFAHBHRZAD-INIZCTEOSA-N 0 3 324.255 4.409 20 0 DIADHN Cc1nc2ccc(CN3CCC[C@@H]3c3cccn3C)cc2s1 ZINC000426047324 338020021 /nfs/dbraw/zinc/02/00/21/338020021.db2.gz ZSAIRXBASSGLNA-QGZVFWFLSA-N 0 3 311.454 4.280 20 0 DIADHN CCN(CCN[C@@H](C)c1ccccc1Cl)CCC(F)(F)F ZINC000426057493 338020214 /nfs/dbraw/zinc/02/02/14/338020214.db2.gz ALMSFJJJWOCTGU-LBPRGKRZSA-N 0 3 322.802 4.265 20 0 DIADHN Cc1nc2ccc(CN(C)Cc3cccc(F)c3)cc2s1 ZINC000426074043 338020301 /nfs/dbraw/zinc/02/03/01/338020301.db2.gz CBWZWPPRRFBAKM-UHFFFAOYSA-N 0 3 300.402 4.376 20 0 DIADHN CCCN(C/C=C\c1ccncc1)[C@H]1CCCc2cccnc21 ZINC000426167256 338022077 /nfs/dbraw/zinc/02/20/77/338022077.db2.gz JSCLBPWGAGVZMK-VOFKWLDRSA-N 0 3 307.441 4.279 20 0 DIADHN CC(C)c1nnc(CCN[C@@H](C)c2cccc(Cl)c2)s1 ZINC000426292454 338024887 /nfs/dbraw/zinc/02/48/87/338024887.db2.gz VBJKMXVFDXMKPO-NSHDSACASA-N 0 3 309.866 4.208 20 0 DIADHN CCCc1nc(C)c(CN[C@@H](C)C2CCC(F)(F)CC2)o1 ZINC000426296815 338025347 /nfs/dbraw/zinc/02/53/47/338025347.db2.gz UIJYJXNTEYEFJY-NSHDSACASA-N 0 3 300.393 4.239 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc3ccccc3n(C)c2=O)c(C)c1 ZINC000426302101 338025737 /nfs/dbraw/zinc/02/57/37/338025737.db2.gz QCGKZMUPTSAPJC-MRXNPFEDSA-N 0 3 320.436 4.006 20 0 DIADHN C[C@@H](CCn1cccn1)NCc1ccc(-c2ccccc2)cc1F ZINC000426302759 338025797 /nfs/dbraw/zinc/02/57/97/338025797.db2.gz UOHXHYTVDKBYBA-INIZCTEOSA-N 0 3 323.415 4.258 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)[C@H]2OCCc3sccc32)cc1 ZINC000426304037 338025810 /nfs/dbraw/zinc/02/58/10/338025810.db2.gz AWABPFXRHWWKQT-SUNYJGFJSA-N 0 3 301.455 4.410 20 0 DIADHN C[C@@H](N[C@@H](C)c1cc(F)cc(F)c1)[C@H]1OCCc2sccc21 ZINC000426310647 338026158 /nfs/dbraw/zinc/02/61/58/338026158.db2.gz OQGQYYVUXDAMPR-WVQJBOLRSA-N 0 3 323.408 4.379 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1OCCc2sccc21)c1cc(F)cc(F)c1 ZINC000426310645 338026202 /nfs/dbraw/zinc/02/62/02/338026202.db2.gz OQGQYYVUXDAMPR-PSVSLLHZSA-N 0 3 323.408 4.379 20 0 DIADHN C[C@H](N[C@@H]1CCN(c2ccccc2F)C1)c1cccc(F)c1F ZINC000426315739 338026596 /nfs/dbraw/zinc/02/65/96/338026596.db2.gz XWQJHQDCKXPEQQ-QWHCGFSZSA-N 0 3 320.358 4.033 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1cccc(F)c1F ZINC000426315738 338026637 /nfs/dbraw/zinc/02/66/37/338026637.db2.gz XWQJHQDCKXPEQQ-OLZOCXBDSA-N 0 3 320.358 4.033 20 0 DIADHN CCc1nc(C)c(CN[C@H](C)[C@@H](C)c2ccc(Cl)cc2)o1 ZINC000426321393 338026910 /nfs/dbraw/zinc/02/69/10/338026910.db2.gz CONMJXXSTWPVID-VXGBXAGGSA-N 0 3 306.837 4.481 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccccc2F)C1)c1cc(F)ccc1F ZINC000426322616 338026954 /nfs/dbraw/zinc/02/69/54/338026954.db2.gz JPECOUWZLJWRJE-OCCSQVGLSA-N 0 3 320.358 4.033 20 0 DIADHN CCc1nc(C)c(CN[C@H]2CCC[C@H]2CCc2ccccc2)o1 ZINC000426332219 338027329 /nfs/dbraw/zinc/02/73/29/338027329.db2.gz CBXRJCSQIWURAH-ROUUACIJSA-N 0 3 312.457 4.437 20 0 DIADHN CCc1nc(C)c(CN[C@@H](Cc2ccc(C)cc2)C(C)C)o1 ZINC000426335531 338027613 /nfs/dbraw/zinc/02/76/13/338027613.db2.gz PTXNEZTZUVOKDD-KRWDZBQOSA-N 0 3 300.446 4.211 20 0 DIADHN CCCc1nc(C)c(CNC2CCC(C(F)(F)F)CC2)o1 ZINC000426339506 338027925 /nfs/dbraw/zinc/02/79/25/338027925.db2.gz WJWXIULVUKSGNB-UHFFFAOYSA-N 0 3 304.356 4.146 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)[C@@H]2OCCc3sccc32)s1 ZINC000426343668 338027998 /nfs/dbraw/zinc/02/79/98/338027998.db2.gz QTURZCYXXFHIIV-MKBNYLNASA-N 0 3 307.484 4.471 20 0 DIADHN CN(Cc1cc2c(cccc2F)[nH]1)Cc1cccc2[nH]ccc21 ZINC000426369875 338029239 /nfs/dbraw/zinc/02/92/39/338029239.db2.gz GZNNCOXHJMWPOZ-UHFFFAOYSA-N 0 3 307.372 4.420 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCS[C@H](C)CC3)s2)o1 ZINC000426375483 338029412 /nfs/dbraw/zinc/02/94/12/338029412.db2.gz DJDUISWEODWBAA-GFCCVEGCSA-N 0 3 308.472 4.039 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(C)Cc2cccc3[nH]ccc32)o1 ZINC000426385172 338029868 /nfs/dbraw/zinc/02/98/68/338029868.db2.gz LRNQVNZDIFOVJZ-UHFFFAOYSA-N 0 3 320.396 4.091 20 0 DIADHN COc1cccc2c1CC[C@H](Nc1ccc(C)cc1CN(C)C)C2 ZINC000426397776 338030394 /nfs/dbraw/zinc/03/03/94/338030394.db2.gz UVFHPZZVQNHDPS-SFHVURJKSA-N 0 3 324.468 4.035 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccsc1)Cc1cccc2[nH]ccc21 ZINC000426401233 338030559 /nfs/dbraw/zinc/03/05/59/338030559.db2.gz FVRFOLMDFPTPNV-UHFFFAOYSA-N 0 3 322.437 4.252 20 0 DIADHN CC(C)c1ccc(-c2noc(CN3CC[C@@H]4CCC[C@@H]43)n2)cc1 ZINC000426466336 338032947 /nfs/dbraw/zinc/03/29/47/338032947.db2.gz FMYFURULCOVXFU-RDJZCZTQSA-N 0 3 311.429 4.234 20 0 DIADHN Clc1ccc(-c2cc(CN3CC[C@@H]4CCC[C@@H]43)on2)cc1 ZINC000426466518 338033030 /nfs/dbraw/zinc/03/30/30/338033030.db2.gz GNGDCUFAMYWDSY-GUYCJALGSA-N 0 3 302.805 4.369 20 0 DIADHN Fc1cccc(F)c1-c1csc(CN2CC[C@@H]3CCC[C@@H]32)n1 ZINC000426473252 338033324 /nfs/dbraw/zinc/03/33/24/338033324.db2.gz DOYXGPRYXJMNKJ-NHYWBVRUSA-N 0 3 320.408 4.463 20 0 DIADHN Fc1ccccc1-c1nc(CN2CC[C@@H]3CCC[C@H]32)cs1 ZINC000426526630 338034086 /nfs/dbraw/zinc/03/40/86/338034086.db2.gz UWENPEMYHTUZQK-BLLLJJGKSA-N 0 3 302.418 4.324 20 0 DIADHN CCc1nc(C)c(CN2CC[C@@](C)(CCc3ccccc3)C2)o1 ZINC000428200953 338042189 /nfs/dbraw/zinc/04/21/89/338042189.db2.gz ADPQDYHTISJTSR-HXUWFJFHSA-N 0 3 312.457 4.390 20 0 DIADHN CC(C)O[C@H](CN1CCC(OCC2CC2)CC1)c1ccccc1 ZINC000428212215 338042602 /nfs/dbraw/zinc/04/26/02/338042602.db2.gz ZONRUZSGAFNIFC-HXUWFJFHSA-N 0 3 317.473 4.044 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)[C@H]2CCCC2(C)C)ncn1 ZINC000428226230 338043186 /nfs/dbraw/zinc/04/31/86/338043186.db2.gz UDKPYWDPVXDWPR-IBGZPJMESA-N 0 3 309.457 4.366 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2n[nH]c(C(C)C)n2)cc1 ZINC000428236767 338043525 /nfs/dbraw/zinc/04/35/25/338043525.db2.gz GVWCBJBWIYLFJY-IRXDYDNUSA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2[N@@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236767 338043526 /nfs/dbraw/zinc/04/35/26/338043526.db2.gz GVWCBJBWIYLFJY-IRXDYDNUSA-N 0 3 312.461 4.005 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2[N@H+](C)Cc2nnc(C(C)C)[n-]2)cc1 ZINC000428236767 338043527 /nfs/dbraw/zinc/04/35/27/338043527.db2.gz GVWCBJBWIYLFJY-IRXDYDNUSA-N 0 3 312.461 4.005 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C[C@@H]1CCCO1)C1CCCC1 ZINC000428240940 338043794 /nfs/dbraw/zinc/04/37/94/338043794.db2.gz COTDVAPQGBFKMN-INIZCTEOSA-N 0 3 306.450 4.030 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1oc(C(C)C)nc1C ZINC000428242944 338044212 /nfs/dbraw/zinc/04/42/12/338044212.db2.gz ICDPHQBHJQBWGY-AWEZNQCLSA-N 0 3 316.445 4.178 20 0 DIADHN c1nc(CN2CCCN(CCCC3CCCCC3)CC2)cs1 ZINC000428281272 338045449 /nfs/dbraw/zinc/04/54/49/338045449.db2.gz KDYLDEPTTWAKJX-UHFFFAOYSA-N 0 3 321.534 4.011 20 0 DIADHN CCC(CC)[C@H](NCc1n[nH]c(C(C)C)n1)c1ccccc1 ZINC000428312958 338046774 /nfs/dbraw/zinc/04/67/74/338046774.db2.gz GVOHSJLTUQQLDG-KRWDZBQOSA-N 0 3 300.450 4.195 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCC(c2ccc(C)nc2)CC1 ZINC000428361984 338048823 /nfs/dbraw/zinc/04/88/23/338048823.db2.gz NKJMVHYXFQADLL-UHFFFAOYSA-N 0 3 313.445 4.189 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](NCc2n[nH]c(C)n2)C(C)C)cc1 ZINC000428387530 338049847 /nfs/dbraw/zinc/04/98/47/338049847.db2.gz GPKSDPYGHZSZDP-UGSOOPFHSA-N 0 3 300.450 4.114 20 0 DIADHN CCCc1nc(C)c(CN2CCC[C@H](Nc3ccccc3)C2)o1 ZINC000428392411 338049976 /nfs/dbraw/zinc/04/99/76/338049976.db2.gz SUAIMUYGUUSXMN-KRWDZBQOSA-N 0 3 313.445 4.012 20 0 DIADHN Cc1cnc([C@H](C)N2CCC(c3ccc(Cl)cc3)CC2)cn1 ZINC000428442669 338051703 /nfs/dbraw/zinc/05/17/03/338051703.db2.gz VBWWTNNPMKFSTG-AWEZNQCLSA-N 0 3 315.848 4.379 20 0 DIADHN COc1ccc(CN(C)C[C@@H](OC(C)C)c2ccccc2)cc1 ZINC000428447537 338052075 /nfs/dbraw/zinc/05/20/75/338052075.db2.gz OPJCVHQASCOITM-HXUWFJFHSA-N 0 3 313.441 4.293 20 0 DIADHN FC(F)Oc1ccc(CN2CC[C@@H]3CCC[C@@H]32)cc1Cl ZINC000428456328 338052715 /nfs/dbraw/zinc/05/27/15/338052715.db2.gz UPLHXGSGXFIDCB-AAEUAGOBSA-N 0 3 301.764 4.316 20 0 DIADHN CCOc1c(Cl)cc(CN2CC[C@@H]3CCC[C@H]32)cc1OC ZINC000428458438 338052927 /nfs/dbraw/zinc/05/29/27/338052927.db2.gz NVJRHEUQYHHORD-DZGCQCFKSA-N 0 3 309.837 4.122 20 0 DIADHN Fc1cc(CN2CC[C@H]3CCC[C@H]32)ccc1OCC(F)(F)F ZINC000428458867 338052975 /nfs/dbraw/zinc/05/29/75/338052975.db2.gz LMXBGLWXWYVKIQ-TZMCWYRMSA-N 0 3 317.326 4.141 20 0 DIADHN CCOc1c(Cl)cc(CN2CC[C@H]3CCC[C@@H]32)cc1OC ZINC000428458439 338053017 /nfs/dbraw/zinc/05/30/17/338053017.db2.gz NVJRHEUQYHHORD-HIFRSBDPSA-N 0 3 309.837 4.122 20 0 DIADHN CC[C@]1(CO)CCCN(Cc2cc3cc(C)c(C)cc3o2)C1 ZINC000428459379 338053120 /nfs/dbraw/zinc/05/31/20/338053120.db2.gz ZKVHTORMQMKEDZ-IBGZPJMESA-N 0 3 301.430 4.034 20 0 DIADHN CCCN(Cc1n[nH]c(C(C)C)n1)[C@H](CC)c1ccccc1 ZINC000428468217 338053794 /nfs/dbraw/zinc/05/37/94/338053794.db2.gz NFTKVEIKUOMZKY-MRXNPFEDSA-N 0 3 300.450 4.291 20 0 DIADHN CCN(Cc1cnc2cccc(C)n12)[C@H](C)Cc1ccsc1 ZINC000428470149 338054007 /nfs/dbraw/zinc/05/40/07/338054007.db2.gz MUFYUZIXWBCODU-OAHLLOKOSA-N 0 3 313.470 4.157 20 0 DIADHN CC[C@@]1(CO)CCCN(Cc2cc(-c3ccccc3)cs2)C1 ZINC000428489734 338054879 /nfs/dbraw/zinc/05/48/79/338054879.db2.gz WWMXADHBSOEDNG-LJQANCHMSA-N 0 3 315.482 4.400 20 0 DIADHN CCOc1c(Cl)cc(CN[C@@H]2CC23CCCC3)cc1OC ZINC000428541105 338055699 /nfs/dbraw/zinc/05/56/99/338055699.db2.gz OYUCKHZPKAOUMN-OAHLLOKOSA-N 0 3 309.837 4.170 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)c(C)c1CN[C@H]1CC12CCCC2 ZINC000428540952 338055795 /nfs/dbraw/zinc/05/57/95/338055795.db2.gz DIANHBKCVQSOPS-SFHVURJKSA-N 0 3 313.420 4.051 20 0 DIADHN C[C@@]12CCN(CCn3ccc4cc(Cl)ccc43)C[C@@H]1C2(F)F ZINC000428706995 338058970 /nfs/dbraw/zinc/05/89/70/338058970.db2.gz FLVOLPRBHQLOBZ-JKSUJKDBSA-N 0 3 324.802 4.272 20 0 DIADHN Cc1cc(C)cc(OCCCN2CC[C@]3(C)[C@H](C2)C3(F)F)c1 ZINC000428717907 338059338 /nfs/dbraw/zinc/05/93/38/338059338.db2.gz DHZOWAUOUXDYTD-DLBZAZTESA-N 0 3 309.400 4.049 20 0 DIADHN C[C@@]12CCN(CCOc3cccc4ccccc43)C[C@@H]1C2(F)F ZINC000428734885 338059937 /nfs/dbraw/zinc/05/99/37/338059937.db2.gz UPJASFANUDOPIO-ZWKOTPCHSA-N 0 3 317.379 4.196 20 0 DIADHN CCc1cnc(CCNCc2ccc(C(F)(F)F)s2)s1 ZINC000428847492 338061930 /nfs/dbraw/zinc/06/19/30/338061930.db2.gz GJELIXQSXRGHQR-UHFFFAOYSA-N 0 3 320.405 4.118 20 0 DIADHN Fc1ccc2nc(CN[C@H]3C[C@@H]3Cc3ccccc3)sc2c1 ZINC000428850225 338062157 /nfs/dbraw/zinc/06/21/57/338062157.db2.gz NEMFHTPQGHLJRI-BBRMVZONSA-N 0 3 312.413 4.156 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3C[C@H]3Cc3ccccc3)sc2c1 ZINC000428850227 338062243 /nfs/dbraw/zinc/06/22/43/338062243.db2.gz NEMFHTPQGHLJRI-CZUORRHYSA-N 0 3 312.413 4.156 20 0 DIADHN Fc1cccc(F)c1CCNCc1ccc(C(F)(F)F)s1 ZINC000428852811 338062313 /nfs/dbraw/zinc/06/23/13/338062313.db2.gz WGKSKCBBWBIGBI-UHFFFAOYSA-N 0 3 321.314 4.377 20 0 DIADHN Fc1ccc2nc(CNC[C@H]3[C@@H]4c5ccccc5C[C@H]34)sc2c1 ZINC000428853845 338062502 /nfs/dbraw/zinc/06/25/02/338062502.db2.gz BTCJUJWDZRSGGW-SPYBWZPUSA-N 0 3 324.424 4.111 20 0 DIADHN Fc1ccc2nc(CNCCc3cccc(F)c3F)sc2c1 ZINC000428867306 338063396 /nfs/dbraw/zinc/06/33/96/338063396.db2.gz KGTOUIUWXZXCMC-UHFFFAOYSA-N 0 3 322.355 4.046 20 0 DIADHN CC(C)N(CC(=O)N(C)Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000428870090 338063540 /nfs/dbraw/zinc/06/35/40/338063540.db2.gz CXTXCMBHUXIYPX-GOSISDBHSA-N 0 3 324.468 4.117 20 0 DIADHN CC(C)N(CC[S@](=O)c1ccccc1)[C@H](C)c1ccccc1 ZINC000428874064 338064039 /nfs/dbraw/zinc/06/40/39/338064039.db2.gz YGRUZUDLXSPOKZ-VGSWGCGISA-N 0 3 315.482 4.266 20 0 DIADHN CC(C)O[C@H](CN[C@@H](C)c1ccc2n[nH]cc2c1)c1ccccc1 ZINC000428975840 338067459 /nfs/dbraw/zinc/06/74/59/338067459.db2.gz IGNSMENYAALHFB-MGPUTAFESA-N 0 3 323.440 4.380 20 0 DIADHN Cc1nc(C(C)C)oc1CN(C)[C@@H](C)Cc1ccccc1C ZINC000428978758 338067579 /nfs/dbraw/zinc/06/75/79/338067579.db2.gz PBYAIDFIKOOEBF-HNNXBMFYSA-N 0 3 300.446 4.478 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(C)[C@@H]1[C@H]1CCCO1)c1ccccc1 ZINC000428997264 338068207 /nfs/dbraw/zinc/06/82/07/338068207.db2.gz SWMAPIRMGQUSPA-QRVBRYPASA-N 0 3 317.473 4.042 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(C)[C@H]1[C@@H]1CCCO1)c1ccccc1 ZINC000428997259 338068237 /nfs/dbraw/zinc/06/82/37/338068237.db2.gz SWMAPIRMGQUSPA-IPMKNSEASA-N 0 3 317.473 4.042 20 0 DIADHN CC(C)CCCN1CCC(C(=O)c2cc(F)ccc2F)CC1 ZINC000429042423 338069791 /nfs/dbraw/zinc/06/97/91/338069791.db2.gz BOXQBXBIJWKRMU-UHFFFAOYSA-N 0 3 309.400 4.296 20 0 DIADHN Clc1cccc(S[C@H]2CCN(CCc3ccncc3)C2)c1 ZINC000429128615 338071420 /nfs/dbraw/zinc/07/14/20/338071420.db2.gz NWSLVOXDBKLIIO-KRWDZBQOSA-N 0 3 318.873 4.144 20 0 DIADHN C[C@H]1CN(Cc2cccc3cccnc32)Cc2ccccc2O1 ZINC000429135493 338071627 /nfs/dbraw/zinc/07/16/27/338071627.db2.gz OAPTVSMXOCEUIA-HNNXBMFYSA-N 0 3 304.393 4.018 20 0 DIADHN Cc1cccc(C)c1CN[C@@H](C)[C@H]1OCCc2sccc21 ZINC000429161698 338072278 /nfs/dbraw/zinc/07/22/78/338072278.db2.gz QSSCBBDTSVWGBR-KBXCAEBGSA-N 0 3 301.455 4.157 20 0 DIADHN Cc1cccc(CN2CCC(c3ncccc3Cl)CC2)c1 ZINC000429210529 338073334 /nfs/dbraw/zinc/07/33/34/338073334.db2.gz HYZGKBASXFMFGF-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN C[C@H](N[C@H]1Cc2cccc(O)c2C1)c1cccc(Cl)c1F ZINC000429248305 338074635 /nfs/dbraw/zinc/07/46/35/338074635.db2.gz YVYQRWASRVYNLR-JQWIXIFHSA-N 0 3 305.780 4.003 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H](CSC)C2)cc1OCC ZINC000429274511 338075530 /nfs/dbraw/zinc/07/55/30/338075530.db2.gz JGKISIMYXJBHEJ-MRXNPFEDSA-N 0 3 323.502 4.059 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccc(Cl)c3cccnc23)C1 ZINC000429271608 338075608 /nfs/dbraw/zinc/07/56/08/338075608.db2.gz ICDXXBPWILBVFY-ZDUSSCGKSA-N 0 3 320.889 4.463 20 0 DIADHN CSC[C@H]1CCCN(Cc2cc(-c3ccc(F)cc3)no2)C1 ZINC000429273156 338075646 /nfs/dbraw/zinc/07/56/46/338075646.db2.gz LUVDZWYBOZAXFF-ZDUSSCGKSA-N 0 3 320.433 4.056 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccccc2C(F)(F)F)C1 ZINC000429294173 338076709 /nfs/dbraw/zinc/07/67/09/338076709.db2.gz NUTKUPFGXOOPGA-GFCCVEGCSA-N 0 3 303.393 4.280 20 0 DIADHN CSC[C@H]1CCCN(Cc2ccccc2C(F)(F)F)C1 ZINC000429294174 338076738 /nfs/dbraw/zinc/07/67/38/338076738.db2.gz NUTKUPFGXOOPGA-LBPRGKRZSA-N 0 3 303.393 4.280 20 0 DIADHN COCCN(Cc1cccnc1)Cc1c(C)ccc2ccccc21 ZINC000429300043 338077013 /nfs/dbraw/zinc/07/70/13/338077013.db2.gz HJECLGSHQXJBPN-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN C[C@@H]1CC(O)C[C@@H](C)N1Cc1ccccc1Oc1ccccc1 ZINC000429312238 338077427 /nfs/dbraw/zinc/07/74/27/338077427.db2.gz DNNRRZPCZWMIAC-HZPDHXFCSA-N 0 3 311.425 4.213 20 0 DIADHN COC1(C[C@@H](C)NCc2ccc(-c3csc(C)n3)o2)CCC1 ZINC000429377498 338078969 /nfs/dbraw/zinc/07/89/69/338078969.db2.gz JFVTZIPYDXUCHU-GFCCVEGCSA-N 0 3 320.458 4.149 20 0 DIADHN COC1(C[C@H](C)N[C@H](c2nc3ccccc3[nH]2)C(C)C)CCC1 ZINC000429413583 338080074 /nfs/dbraw/zinc/08/00/74/338080074.db2.gz VIKFOQMTODTASJ-YOEHRIQHSA-N 0 3 315.461 4.197 20 0 DIADHN COC1(C[C@H](C)N[C@H]2CCOc3c(Cl)cccc32)CCC1 ZINC000429422753 338080369 /nfs/dbraw/zinc/08/03/69/338080369.db2.gz AOUXQLVBLPSREB-WFASDCNBSA-N 0 3 309.837 4.101 20 0 DIADHN C[C@@H](N[C@@H]1CC12CCCC2)c1nc2ccc(Cl)cc2n1C ZINC000429423717 338080590 /nfs/dbraw/zinc/08/05/90/338080590.db2.gz YWNLCHQZLHCPMO-IAQYHMDHSA-N 0 3 303.837 4.210 20 0 DIADHN COC1(C[C@@H](C)NCc2cc(Cl)sc2Cl)CCC1 ZINC000429427592 338080693 /nfs/dbraw/zinc/08/06/93/338080693.db2.gz PFXYSUZGTKHCQB-SECBINFHSA-N 0 3 308.274 4.492 20 0 DIADHN C[C@@H](N[C@H](CO)CC(F)F)c1ccc(C2CCCCC2)cc1 ZINC000429463552 338081879 /nfs/dbraw/zinc/08/18/79/338081879.db2.gz UIIYHJSYVXVJHF-DYVFJYSZSA-N 0 3 311.416 4.401 20 0 DIADHN Cc1ccccc1OCCCN1CCC(c2ccncc2)CC1 ZINC000429545246 338083589 /nfs/dbraw/zinc/08/35/89/338083589.db2.gz CUGPDMJPECCGAI-UHFFFAOYSA-N 0 3 310.441 4.039 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)[C@H]1CC[C@H](C)C1 ZINC000429568574 338084518 /nfs/dbraw/zinc/08/45/18/338084518.db2.gz JKEIICWVGGRXOW-HOCLYGCPSA-N 0 3 302.462 4.177 20 0 DIADHN C[C@H]1CC[C@H](N(C)CC(=O)Nc2cc(Cl)ccc2Cl)C1 ZINC000429568065 338084540 /nfs/dbraw/zinc/08/45/40/338084540.db2.gz NNEJRXXSYUOZCE-JQWIXIFHSA-N 0 3 315.244 4.052 20 0 DIADHN c1cc(C2CCN(C[C@@H]3CCC4(CCCCC4)O3)CC2)ccn1 ZINC000429575705 338084829 /nfs/dbraw/zinc/08/48/29/338084829.db2.gz DVXIMQKNYUFWII-IBGZPJMESA-N 0 3 314.473 4.143 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)[C@@H]3CC[C@@H](C)C3)co2)cc1 ZINC000429598641 338085650 /nfs/dbraw/zinc/08/56/50/338085650.db2.gz PSQSDAWJBVTDJF-RHSMWYFYSA-N 0 3 314.429 4.361 20 0 DIADHN C[C@H]1CC[C@@H](N(C)Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000429597183 338085728 /nfs/dbraw/zinc/08/57/28/338085728.db2.gz JQCGKCWISHYRTM-WCQYABFASA-N 0 3 306.356 4.240 20 0 DIADHN CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H](C)[C@H](N)c1ccccc1 ZINC000429717666 338088273 /nfs/dbraw/zinc/08/82/73/338088273.db2.gz HZICLLQGAKXJAL-DBVUQKKJSA-N 0 3 324.468 4.322 20 0 DIADHN C[C@H]1CCSCCN1Cc1cc(-c2ccc(Cl)cc2)no1 ZINC000429765341 338089278 /nfs/dbraw/zinc/08/92/78/338089278.db2.gz MPLMSINSZSWMQH-LBPRGKRZSA-N 0 3 322.861 4.322 20 0 DIADHN CC[C@@H]1CCC[C@H]1N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000429774773 338089542 /nfs/dbraw/zinc/08/95/42/338089542.db2.gz UVGXGPLFSDSOKO-WOSRLPQWSA-N 0 3 317.408 4.413 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2ccc3c(c2)CC(C)(C)O3)C1 ZINC000430011550 338093361 /nfs/dbraw/zinc/09/33/61/338093361.db2.gz OLFOMSOKFGCLNZ-KXBFYZLASA-N 0 3 322.452 4.378 20 0 DIADHN Fc1cc(Br)ccc1CNC[C@H]1CCC(F)(F)C1 ZINC000430035464 338093967 /nfs/dbraw/zinc/09/39/67/338093967.db2.gz MNAHIARPJGKFRZ-VIFPVBQESA-N 0 3 322.168 4.113 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccco3)C2)cc1Br ZINC000430072716 338094922 /nfs/dbraw/zinc/09/49/22/338094922.db2.gz VETQNTGDKCYXJR-HAQNSBGRSA-N 0 3 324.193 4.217 20 0 DIADHN CC(C)N(Cc1cnc(N(C)C)s1)[C@@H](C)c1ccccc1 ZINC000430084390 338095117 /nfs/dbraw/zinc/09/51/17/338095117.db2.gz KOBOPHYLPRGAIG-AWEZNQCLSA-N 0 3 303.475 4.181 20 0 DIADHN COc1cc(C)c(CN2CC3(CCC3)[C@H]2C(C)C)cc1OC ZINC000430082484 338095236 /nfs/dbraw/zinc/09/52/36/338095236.db2.gz FGYIHVNZXUBFIQ-GOSISDBHSA-N 0 3 303.446 4.023 20 0 DIADHN CCc1oc2ccccc2c1CN1CC[C@@]2(C)[C@@H](C1)C2(F)F ZINC000430086502 338095320 /nfs/dbraw/zinc/09/53/20/338095320.db2.gz BIRYFQUZQVDERZ-SJORKVTESA-N 0 3 305.368 4.472 20 0 DIADHN COc1cc(CN2CC3(CCC3)[C@@H]2C(C)C)ccc1SC ZINC000430084990 338095369 /nfs/dbraw/zinc/09/53/69/338095369.db2.gz LIHTXXABKZZNOM-KRWDZBQOSA-N 0 3 305.487 4.428 20 0 DIADHN CCOC1(C)CCN(Cc2cnc(-c3ccsc3)s2)CC1 ZINC000430086344 338095403 /nfs/dbraw/zinc/09/54/03/338095403.db2.gz DNFOGWURMYXVCT-UHFFFAOYSA-N 0 3 322.499 4.263 20 0 DIADHN COc1ccc(CN2CC3(CCC3)[C@@H]2C(C)C)c(C)c1OC ZINC000430090306 338095613 /nfs/dbraw/zinc/09/56/13/338095613.db2.gz NCXRCRWBHSOYIG-SFHVURJKSA-N 0 3 303.446 4.023 20 0 DIADHN C[C@]12CCN(Cc3ccccc3OC3CCCC3)C[C@H]1C2(F)F ZINC000430093787 338096180 /nfs/dbraw/zinc/09/61/80/338096180.db2.gz ILPXBTQKDASJQV-MSOLQXFVSA-N 0 3 321.411 4.485 20 0 DIADHN CCOC1(C)CCN(Cc2ccc(OCC(C)C)cc2)CC1 ZINC000430098214 338096449 /nfs/dbraw/zinc/09/64/49/338096449.db2.gz IJCXWVADTLFXAL-UHFFFAOYSA-N 0 3 305.462 4.112 20 0 DIADHN CC(C)COc1ccc(CN2CC[C@@]3(C)[C@@H](C2)C3(F)F)cc1 ZINC000430107310 338097044 /nfs/dbraw/zinc/09/70/44/338097044.db2.gz WPPCGQMHRLEZHN-SJORKVTESA-N 0 3 309.400 4.199 20 0 DIADHN Cn1c2cc(Cl)ccc2nc1CN(C1CCC1)C1CCC1 ZINC000430130684 338098354 /nfs/dbraw/zinc/09/83/54/338098354.db2.gz KUFIVWFEDBDHQV-UHFFFAOYSA-N 0 3 303.837 4.134 20 0 DIADHN Cc1ccc([C@H](C)N(C)C(=O)[C@@H](C)[C@H](N)c2ccccc2)c(C)c1 ZINC000430133744 338098559 /nfs/dbraw/zinc/09/85/59/338098559.db2.gz YXLGJXWOOAHUGZ-ZWOKBUDYSA-N 0 3 324.468 4.159 20 0 DIADHN Cc1nn2c(CN(C3CCC3)C3CCC3)c(C(C)C)nc2s1 ZINC000430136788 338098567 /nfs/dbraw/zinc/09/85/67/338098567.db2.gz JSNBOTDVZOFDBJ-UHFFFAOYSA-N 0 3 318.490 4.130 20 0 DIADHN COc1ccc(CN(C2CCC2)C2CCC2)c(Cl)c1OC ZINC000430144419 338098948 /nfs/dbraw/zinc/09/89/48/338098948.db2.gz ZLWWJCYVMGXARJ-UHFFFAOYSA-N 0 3 309.837 4.264 20 0 DIADHN CCSc1cccc(CN2CCOC[C@H](C3CCC3)C2)c1 ZINC000430147394 338099106 /nfs/dbraw/zinc/09/91/06/338099106.db2.gz OOCIYTMQWANAJB-QGZVFWFLSA-N 0 3 305.487 4.047 20 0 DIADHN Cc1n[nH]c(C)c1CN1CC[C@@H](Sc2cccc(Cl)c2)C1 ZINC000430192175 338100397 /nfs/dbraw/zinc/10/03/97/338100397.db2.gz ASFAZHBSIMATMH-OAHLLOKOSA-N 0 3 321.877 4.047 20 0 DIADHN CSC[C@@H]1CCCN(Cc2ccccc2OCC2CC2)C1 ZINC000430196504 338100870 /nfs/dbraw/zinc/10/08/70/338100870.db2.gz RNRXCQNDXSZCRF-MRXNPFEDSA-N 0 3 305.487 4.050 20 0 DIADHN CCCOc1ccc(CN2CCC[C@@H](CSC)C2)cc1OC ZINC000430197236 338100934 /nfs/dbraw/zinc/10/09/34/338100934.db2.gz OGFRHWCXJSYANS-MRXNPFEDSA-N 0 3 323.502 4.059 20 0 DIADHN Cc1cnc(CCN(C)Cc2ccc3c(c2)CCCC3)s1 ZINC000430235970 338102512 /nfs/dbraw/zinc/10/25/12/338102512.db2.gz FYZSDYULFKHJID-UHFFFAOYSA-N 0 3 300.471 4.005 20 0 DIADHN Cc1cccc(CN(CCc2ccccn2)Cc2cccnc2)c1 ZINC000430337499 338105299 /nfs/dbraw/zinc/10/52/99/338105299.db2.gz GCEJEQVAOSVQHC-UHFFFAOYSA-N 0 3 317.436 4.030 20 0 DIADHN Cc1ccc(C)c([C@H](C)N(C)C(=O)[C@@H](C)[C@H](N)c2ccccc2)c1 ZINC000430407407 338106501 /nfs/dbraw/zinc/10/65/01/338106501.db2.gz IRCYJYUIJCYYEX-ZWOKBUDYSA-N 0 3 324.468 4.159 20 0 DIADHN CC(C(=O)N1c2ccccc2S[C@@H](C)[C@H]1C)C(F)(F)F ZINC000430656868 338110643 /nfs/dbraw/zinc/11/06/43/338110643.db2.gz UERGJFOUIQEVEE-BBBLOLIVSA-N 0 3 303.349 4.101 20 0 DIADHN CCSc1cc(CNCCSCc2ccccc2)ccn1 ZINC000430779051 338114636 /nfs/dbraw/zinc/11/46/36/338114636.db2.gz XZHMMGVCRGUYFO-UHFFFAOYSA-N 0 3 318.511 4.217 20 0 DIADHN CC1=C[C@H](C)CN(Cc2ccccc2OCc2ccccn2)C1 ZINC000430877064 338117801 /nfs/dbraw/zinc/11/78/01/338117801.db2.gz IKCDPBMDEMBHAX-INIZCTEOSA-N 0 3 308.425 4.059 20 0 DIADHN COc1ccc(-c2ccncc2)cc1CN1CC(C)=C[C@H](C)C1 ZINC000430877695 338117883 /nfs/dbraw/zinc/11/78/83/338117883.db2.gz DOOWFWHKYTXQQU-HNNXBMFYSA-N 0 3 308.425 4.155 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@H](C)C(C)(C)C ZINC000431114141 338122998 /nfs/dbraw/zinc/12/29/98/338122998.db2.gz XBAQRCNDXBKSEF-HNNXBMFYSA-N 0 3 302.462 4.212 20 0 DIADHN Cc1cccc(CN(C)[C@@H](C)CCSc2ccccc2)n1 ZINC000431597154 338134386 /nfs/dbraw/zinc/13/43/86/338134386.db2.gz SEWBNCHQJFWFGY-INIZCTEOSA-N 0 3 300.471 4.393 20 0 DIADHN Cc1ncc(CN(C)[C@H](C)CCSc2ccccc2)s1 ZINC000431613010 338134748 /nfs/dbraw/zinc/13/47/48/338134748.db2.gz BZAXEOCVGWVIRB-CYBMUJFWSA-N 0 3 306.500 4.454 20 0 DIADHN CC(C)OCCCCNCc1ccc(F)c(Cl)c1Cl ZINC000432231546 338149128 /nfs/dbraw/zinc/14/91/28/338149128.db2.gz IFFLMDPNNZNISP-UHFFFAOYSA-N 0 3 308.224 4.427 20 0 DIADHN Cc1nc(CCNCc2ccc(F)c(Cl)c2Cl)cs1 ZINC000432230032 338149333 /nfs/dbraw/zinc/14/93/33/338149333.db2.gz XBJAIXANXWMWJG-UHFFFAOYSA-N 0 3 319.232 4.230 20 0 DIADHN CCOC1CC(CCNCc2csc(Cl)c2Cl)C1 ZINC000432233619 338149445 /nfs/dbraw/zinc/14/94/45/338149445.db2.gz ALKRWLWATPGVIS-UHFFFAOYSA-N 0 3 308.274 4.350 20 0 DIADHN CC(C)(C)OC1CC(CCNCc2cc(F)c(F)c(F)c2)C1 ZINC000432242586 338150171 /nfs/dbraw/zinc/15/01/71/338150171.db2.gz LYXRGUGAXBIGHD-UHFFFAOYSA-N 0 3 315.379 4.177 20 0 DIADHN CC(C)[C@H](O)C(C)(C)CNCc1csc(Cl)c1Cl ZINC000432254293 338151229 /nfs/dbraw/zinc/15/12/29/338151229.db2.gz NZTSRBQSYGRMPS-NSHDSACASA-N 0 3 310.290 4.188 20 0 DIADHN CCc1cnc(CCNCc2csc(Cl)c2Cl)s1 ZINC000432253919 338151313 /nfs/dbraw/zinc/15/13/13/338151313.db2.gz PYCIPXWHCFJICU-UHFFFAOYSA-N 0 3 321.298 4.406 20 0 DIADHN C[C@H]1C[C@@H](CNCc2ccc(F)c(Cl)c2Cl)CCO1 ZINC000432255147 338151345 /nfs/dbraw/zinc/15/13/45/338151345.db2.gz AIQQIZFKEXGPTA-UWVGGRQHSA-N 0 3 306.208 4.037 20 0 DIADHN CO[C@@H]1CCC[C@H]1CNCc1ccc(F)c(Cl)c1Cl ZINC000432256309 338151398 /nfs/dbraw/zinc/15/13/98/338151398.db2.gz GLNGKHLKMIGGGF-JOYOIKCWSA-N 0 3 306.208 4.037 20 0 DIADHN Cc1cnccc1CCNCc1csc(Cl)c1Cl ZINC000432258116 338151591 /nfs/dbraw/zinc/15/15/91/338151591.db2.gz MKSAUPKOTWNPGF-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN OC1CC(CCN[C@H]2CCCc3c(Cl)sc(Cl)c32)C1 ZINC000432409265 338155276 /nfs/dbraw/zinc/15/52/76/338155276.db2.gz YBODNDAUZCTUJP-AMUVOQDHSA-N 0 3 320.285 4.183 20 0 DIADHN C[C@H](NCCC1CC(OC(C)(C)C)C1)c1ccc(Cl)cn1 ZINC000432410037 338155298 /nfs/dbraw/zinc/15/52/98/338155298.db2.gz LEFHJEASELGLKY-OPFPJEHXSA-N 0 3 310.869 4.369 20 0 DIADHN CC(C)C1CCC(N(C)C(=O)c2ccc(CN(C)C)cc2)CC1 ZINC000432544117 338158562 /nfs/dbraw/zinc/15/85/62/338158562.db2.gz WNKFHCYIXMRKRX-UHFFFAOYSA-N 0 3 316.489 4.035 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)c2ccccc2CCN1Cc1cocn1 ZINC000432721801 338164015 /nfs/dbraw/zinc/16/40/15/338164015.db2.gz FHWCMZGGSYDKDR-IERDGZPVSA-N 0 3 318.420 4.253 20 0 DIADHN Cc1[nH]ncc1CNc1ccc(-c2ccc(Cl)s2)cn1 ZINC000432736738 338165097 /nfs/dbraw/zinc/16/50/97/338165097.db2.gz XZLBTVYSSRFUGI-UHFFFAOYSA-N 0 3 304.806 4.107 20 0 DIADHN CC(C)(C)C[C@H](NCc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC000432785977 338167567 /nfs/dbraw/zinc/16/75/67/338167567.db2.gz WIVVUVSDZMEYLH-HNNXBMFYSA-N 0 3 314.477 4.369 20 0 DIADHN C[C@H]1CC2(CCC2)CN1Cc1c[nH]nc1-c1c(F)cccc1F ZINC000432788312 338167765 /nfs/dbraw/zinc/16/77/65/338167765.db2.gz KNWQIMLHXJEJRT-LBPRGKRZSA-N 0 3 317.383 4.119 20 0 DIADHN C[C@@H]1CC2(CCC2)CN1Cc1c[nH]nc1-c1c(F)cccc1F ZINC000432788311 338167918 /nfs/dbraw/zinc/16/79/18/338167918.db2.gz KNWQIMLHXJEJRT-GFCCVEGCSA-N 0 3 317.383 4.119 20 0 DIADHN CC(C)[C@H](O)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000432793615 338167965 /nfs/dbraw/zinc/16/79/65/338167965.db2.gz POJXUTWOHLNLLK-JKSUJKDBSA-N 0 3 315.379 4.249 20 0 DIADHN Cn1c2ccccc2nc1[C@@H](NCC1(C)CCC1)c1ccccc1 ZINC000432808442 338168702 /nfs/dbraw/zinc/16/87/02/338168702.db2.gz IGFXXHKPIMAZMU-IBGZPJMESA-N 0 3 319.452 4.443 20 0 DIADHN CCC[C@H](NCc1nc(C(=O)OCC)cs1)C1CCCCC1 ZINC000432841109 338170387 /nfs/dbraw/zinc/17/03/87/338170387.db2.gz PGWHVMVNFIPLKN-AWEZNQCLSA-N 0 3 324.490 4.158 20 0 DIADHN Cc1cccc([C@@H](NCc2n[nH]c(C(C)(C)C)n2)C(C)(C)C)c1 ZINC000432850759 338170696 /nfs/dbraw/zinc/17/06/96/338170696.db2.gz LFAQNPBOPFNUPA-MRXNPFEDSA-N 0 3 314.477 4.288 20 0 DIADHN C[C@@H](NCCc1ccccn1)c1cc(Cl)sc1Cl ZINC000151408907 338171520 /nfs/dbraw/zinc/17/15/20/338171520.db2.gz GPQFRPOTLDMPIL-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN Cc1ccc(C)c(CN(C)Cc2c[nH]nc2-c2ccsc2)c1 ZINC000432883305 338171695 /nfs/dbraw/zinc/17/16/95/338171695.db2.gz IHYYCLDJNYDMRV-UHFFFAOYSA-N 0 3 311.454 4.387 20 0 DIADHN COCCN(Cc1nc2ccc(F)cc2s1)[C@H]1CC[C@H](C)C1 ZINC000432928531 338173707 /nfs/dbraw/zinc/17/37/07/338173707.db2.gz SHWKKBIMDZFWHV-JSGCOSHPSA-N 0 3 322.449 4.072 20 0 DIADHN CC1(CN2CCC(C(=O)c3cc(F)ccc3F)CC2)CCC1 ZINC000432927984 338173779 /nfs/dbraw/zinc/17/37/79/338173779.db2.gz PJDVPFXFQGNFCN-UHFFFAOYSA-N 0 3 307.384 4.050 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN(CCC2CCOCC2)C2CC2)o1 ZINC000432939443 338174396 /nfs/dbraw/zinc/17/43/96/338174396.db2.gz OFPDJQDYDNNXER-RDTXWAMCSA-N 0 3 303.446 4.184 20 0 DIADHN Cc1cnc(C2CCN(Cc3cccc4cccnc43)CC2)s1 ZINC000433030934 338177383 /nfs/dbraw/zinc/17/73/83/338177383.db2.gz LTDBUWUOXQZRLG-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN CN(CCSCC(=O)C1CCCCC1)Cc1ccccc1 ZINC000433039474 338177785 /nfs/dbraw/zinc/17/77/85/338177785.db2.gz ODGQGYPKRXJRDJ-UHFFFAOYSA-N 0 3 305.487 4.001 20 0 DIADHN Cc1nn(Cc2ccccc2)c(C)c1CN(C)[C@@H]1CC[C@@H](C)C1 ZINC000433041960 338177979 /nfs/dbraw/zinc/17/79/79/338177979.db2.gz DXGDIEYRRXZDAQ-DNVCBOLYSA-N 0 3 311.473 4.169 20 0 DIADHN COc1sc(CN(C)[C@@H]2CC[C@@H](C)C2)cc1Br ZINC000433040897 338177989 /nfs/dbraw/zinc/17/79/89/338177989.db2.gz BAOVCVCONPBOFJ-NXEZZACHSA-N 0 3 318.280 4.140 20 0 DIADHN CN(CCSCc1nc2ccccc2o1)Cc1ccccc1 ZINC000433046747 338178245 /nfs/dbraw/zinc/17/82/45/338178245.db2.gz IDZMJVMFOXMLLU-UHFFFAOYSA-N 0 3 312.438 4.193 20 0 DIADHN Cc1ccccc1NC(=O)CCN(C)Cc1ccc(Cl)s1 ZINC000433050388 338178520 /nfs/dbraw/zinc/17/85/20/338178520.db2.gz BMOUFKRMQRWMAX-UHFFFAOYSA-N 0 3 322.861 4.171 20 0 DIADHN CCc1ccc([C@H]2CCN(Cc3nc4ccccc4o3)C2)cc1 ZINC000433057170 338179051 /nfs/dbraw/zinc/17/90/51/338179051.db2.gz GHYOIDJKRFBODA-KRWDZBQOSA-N 0 3 306.409 4.380 20 0 DIADHN C[C@H](NCC1(CO)CC2(CCC2)C1)c1ccc(F)cc1Cl ZINC000433059550 338179331 /nfs/dbraw/zinc/17/93/31/338179331.db2.gz UJRDYULWDOPTTN-LBPRGKRZSA-N 0 3 311.828 4.073 20 0 DIADHN Cc1nc(C(C)C)sc1[C@@H](C)NCC1(CO)CC2(CCC2)C1 ZINC000433061824 338179543 /nfs/dbraw/zinc/17/95/43/338179543.db2.gz QSQIUGCOGSFJHX-CYBMUJFWSA-N 0 3 322.518 4.168 20 0 DIADHN COc1ccc2oc([C@@H](C)NCC3(SC)CC3)c(C)c2c1 ZINC000433065481 338179611 /nfs/dbraw/zinc/17/96/11/338179611.db2.gz FKTOZYFJVIBIJO-GFCCVEGCSA-N 0 3 305.443 4.296 20 0 DIADHN CCn1cccc(CN[C@H](CC(C)(C)C)c2ccccc2)c1=O ZINC000433067052 338179761 /nfs/dbraw/zinc/17/97/61/338179761.db2.gz LVHCTUPWBWKHIM-GOSISDBHSA-N 0 3 312.457 4.135 20 0 DIADHN CSC1(CN[C@@H](C)c2ccc(F)cc2OC(F)F)CCC1 ZINC000433066422 338179791 /nfs/dbraw/zinc/17/97/91/338179791.db2.gz JIBBXNWLSVEEDI-JTQLQIEISA-N 0 3 319.392 4.363 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N[C@@H]2CC3CCC2CC3)n1 ZINC000433084973 338180946 /nfs/dbraw/zinc/18/09/46/338180946.db2.gz UUKQXIGZANKDJR-ARAOSMHQSA-N 0 3 311.429 4.274 20 0 DIADHN CN(C)[C@H](CC(=O)Nc1ccc(Cl)cc1F)c1ccccc1 ZINC000433103972 338181857 /nfs/dbraw/zinc/18/18/57/338181857.db2.gz KQLNLHJYHXXEFY-MRXNPFEDSA-N 0 3 320.795 4.111 20 0 DIADHN Cc1cccc(CNc2cccc3c2OCC[C@H]3N(C)C)c1C ZINC000433183374 338184570 /nfs/dbraw/zinc/18/45/70/338184570.db2.gz RCBMFYDQCJVKLI-LJQANCHMSA-N 0 3 310.441 4.301 20 0 DIADHN Cc1ccsc1CNc1cccc2c1OCC[C@@H]2N(C)C ZINC000433185791 338184822 /nfs/dbraw/zinc/18/48/22/338184822.db2.gz GDBKENNXFOSLON-HNNXBMFYSA-N 0 3 302.443 4.054 20 0 DIADHN OCC1(CNCc2ccc(Cl)s2)CC(c2ccccc2)C1 ZINC000433190582 338184998 /nfs/dbraw/zinc/18/49/98/338184998.db2.gz QMSOUBXBOFPWNZ-UHFFFAOYSA-N 0 3 321.873 4.047 20 0 DIADHN CN(C)[C@H]1CCOc2c(NCc3cccc(Cl)c3)cccc21 ZINC000433191202 338185078 /nfs/dbraw/zinc/18/50/78/338185078.db2.gz ZRIDQWZCLZTNOO-KRWDZBQOSA-N 0 3 316.832 4.337 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H]2CCCN(c3ccccc3)C2)c1 ZINC000433199697 338185519 /nfs/dbraw/zinc/18/55/19/338185519.db2.gz MSKGZSFRDIEBIY-KRWDZBQOSA-N 0 3 312.432 4.140 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@H]2CCCN(c3ccccc3)C2)o1 ZINC000433202110 338185539 /nfs/dbraw/zinc/18/55/39/338185539.db2.gz OOFXRCPFSKFKQA-UWVAXJGDSA-N 0 3 324.468 4.409 20 0 DIADHN CC(C)[C@H](O)CN[C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000433202089 338185582 /nfs/dbraw/zinc/18/55/82/338185582.db2.gz ZBJAKUNRXMLCTK-HIFRSBDPSA-N 0 3 302.245 4.051 20 0 DIADHN CCOc1ccccc1CNC[C@H]1CCCN(c2ccccc2)C1 ZINC000433199965 338185621 /nfs/dbraw/zinc/18/56/21/338185621.db2.gz FXZUNRNVMXHIEF-GOSISDBHSA-N 0 3 324.468 4.092 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@H]3N(C)C)c(C)c1 ZINC000433202315 338185704 /nfs/dbraw/zinc/18/57/04/338185704.db2.gz PQEBEJJNGUUCNN-LJQANCHMSA-N 0 3 310.441 4.301 20 0 DIADHN Cc1ccc(CNc2cccc3c2OCC[C@@H]3N(C)C)c(C)c1 ZINC000433202314 338185764 /nfs/dbraw/zinc/18/57/64/338185764.db2.gz PQEBEJJNGUUCNN-IBGZPJMESA-N 0 3 310.441 4.301 20 0 DIADHN C[C@H](O)CCCNCc1c(Cl)cccc1Oc1ccccc1 ZINC000433206253 338185947 /nfs/dbraw/zinc/18/59/47/338185947.db2.gz NJHNCHOAIYGQBZ-AWEZNQCLSA-N 0 3 319.832 4.383 20 0 DIADHN CN(C)[C@@H]1CCOc2c(NC/C=C/c3ccccc3)cccc21 ZINC000433206674 338185986 /nfs/dbraw/zinc/18/59/86/338185986.db2.gz IHXXOPXPCJCLQW-QPGLYAHGSA-N 0 3 308.425 4.197 20 0 DIADHN CC(C)[C@H](NC(=O)C[C@H](c1ccccc1)N(C)C)c1ccccc1 ZINC000433217019 338186235 /nfs/dbraw/zinc/18/62/35/338186235.db2.gz AZLDPJUJAVQTDZ-CTNGQTDRSA-N 0 3 324.468 4.193 20 0 DIADHN CC[C@H](CNCc1ncc(C(F)(F)F)s1)c1ccccc1 ZINC000433302861 338190418 /nfs/dbraw/zinc/19/04/18/338190418.db2.gz JXEPFMFFUVRSNP-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN C[C@H](NCc1nccs1)C(c1ccccc1)c1ccccc1 ZINC000194293834 338190721 /nfs/dbraw/zinc/19/07/21/338190721.db2.gz KBFAIURSRQEJQJ-HNNXBMFYSA-N 0 3 308.450 4.453 20 0 DIADHN Cc1cc(C)cc(C[C@H](C)NCc2ccc(-c3cc[nH]n3)o2)c1 ZINC000433305761 338190754 /nfs/dbraw/zinc/19/07/54/338190754.db2.gz OSDVXTWZIAQQFI-HNNXBMFYSA-N 0 3 309.413 4.007 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2ncc(C(F)(F)F)s2)C1 ZINC000433312439 338191626 /nfs/dbraw/zinc/19/16/26/338191626.db2.gz QRZBUZKIRQBJJL-WDEREUQCSA-N 0 3 306.397 4.466 20 0 DIADHN C[C@H]1CCc2ccccc2[C@H]1NCc1ccc(-c2cc[nH]n2)o1 ZINC000433329311 338192866 /nfs/dbraw/zinc/19/28/66/338192866.db2.gz UAWJQKCQMZGPTH-DJJJIMSYSA-N 0 3 307.397 4.083 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1ncc(C(F)(F)F)s1 ZINC000433336707 338193090 /nfs/dbraw/zinc/19/30/90/338193090.db2.gz ARAHBSMUDRPEPX-GFCCVEGCSA-N 0 3 314.376 4.273 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1ccc(-c2cc[nH]n2)o1 ZINC000433339818 338193241 /nfs/dbraw/zinc/19/32/41/338193241.db2.gz MQEZJCHJCFYILK-UHFFFAOYSA-N 0 3 321.380 4.057 20 0 DIADHN Cc1cccc([C@H](C)NC(=O)C[C@@H](c2ccccc2)N(C)C)c1C ZINC000433355232 338193660 /nfs/dbraw/zinc/19/36/60/338193660.db2.gz OXELHENLEWNIDM-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H](C)c2ccc(F)c(OC)c2)cc1F ZINC000152658636 338209589 /nfs/dbraw/zinc/20/95/89/338209589.db2.gz RYQOZTVDSOEASO-NEPJUHHUSA-N 0 3 321.367 4.394 20 0 DIADHN Clc1cccc(CCN2CCC[C@H]3COCC[C@H]32)c1Cl ZINC000434487565 338217934 /nfs/dbraw/zinc/21/79/34/338217934.db2.gz CKKQYZKJQROLIO-DZGCQCFKSA-N 0 3 314.256 4.037 20 0 DIADHN Cc1ccn(C2CCN(CCCc3ccccc3Cl)CC2)n1 ZINC000434510009 338219028 /nfs/dbraw/zinc/21/90/28/338219028.db2.gz UXEHIOGDCHZXQK-UHFFFAOYSA-N 0 3 317.864 4.115 20 0 DIADHN COc1ccc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000434613823 338220938 /nfs/dbraw/zinc/22/09/38/338220938.db2.gz FLMFSSQOABDZRA-GOSISDBHSA-N 0 3 302.393 4.058 20 0 DIADHN COc1cccc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000434611959 338221104 /nfs/dbraw/zinc/22/11/04/338221104.db2.gz MOSLIXMYNDSQEC-GOSISDBHSA-N 0 3 302.393 4.058 20 0 DIADHN Cc1ccc(-c2cc(CN3CCSC(C)(C)CC3)on2)cc1 ZINC000434679074 338223205 /nfs/dbraw/zinc/22/32/05/338223205.db2.gz MOSYEABVYHYNPE-UHFFFAOYSA-N 0 3 316.470 4.368 20 0 DIADHN CC1(C)CCN(Cc2ccc(F)c3cccnc23)CCS1 ZINC000434690605 338223487 /nfs/dbraw/zinc/22/34/87/338223487.db2.gz IPGDIUVQUKNTQS-UHFFFAOYSA-N 0 3 304.434 4.091 20 0 DIADHN Cc1ccc([C@@]2(C)CCN(Cc3nc4cccnc4s3)C2)cc1 ZINC000434751266 338224849 /nfs/dbraw/zinc/22/48/49/338224849.db2.gz DENXWNRHVWIAAM-IBGZPJMESA-N 0 3 323.465 4.163 20 0 DIADHN C[C@H](NCc1cc[nH]c1)c1cccc(OCc2ccccc2)c1 ZINC000434781268 338225417 /nfs/dbraw/zinc/22/54/17/338225417.db2.gz BITDNHHFUAQAEG-INIZCTEOSA-N 0 3 306.409 4.445 20 0 DIADHN C[C@@H](NCc1cc[nH]c1)c1cccc(OCc2ccccc2)c1 ZINC000434781269 338225456 /nfs/dbraw/zinc/22/54/56/338225456.db2.gz BITDNHHFUAQAEG-MRXNPFEDSA-N 0 3 306.409 4.445 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N1CC[C@@H](c2ccccc2)[C@H]1C ZINC000434903849 338227442 /nfs/dbraw/zinc/22/74/42/338227442.db2.gz MWMZRLOQCXPHRG-ZIFCJYIRSA-N 0 3 322.452 4.200 20 0 DIADHN CC(C)[C@@H]1CCC[C@@H]1Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000435028490 338229982 /nfs/dbraw/zinc/22/99/82/338229982.db2.gz QNJUZJQOIKLBGI-ZVZYQTTQSA-N 0 3 302.462 4.308 20 0 DIADHN C[C@@H](CCC(F)(F)F)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000435029250 338230036 /nfs/dbraw/zinc/23/00/36/338230036.db2.gz UAZDFGVDBAVRNW-SMDDNHRTSA-N 0 3 316.367 4.215 20 0 DIADHN CC1(C)CCN(Cc2cnc(-c3ccco3)s2)CCS1 ZINC000435051701 338230935 /nfs/dbraw/zinc/23/09/35/338230935.db2.gz XVEIUPPJGSRTGQ-UHFFFAOYSA-N 0 3 308.472 4.121 20 0 DIADHN CC(C)N(Cc1ccc(-c2cc[nH]n2)o1)Cc1cccc(F)c1 ZINC000435133727 338232976 /nfs/dbraw/zinc/23/29/76/338232976.db2.gz SKNOFHKNVWTMBK-UHFFFAOYSA-N 0 3 313.376 4.219 20 0 DIADHN CCCn1cc(CN(C)[C@@H](c2ccc(F)cc2)C(C)C)cn1 ZINC000435279305 338236152 /nfs/dbraw/zinc/23/61/52/338236152.db2.gz OVIZBMSQCWFYSQ-GOSISDBHSA-N 0 3 303.425 4.261 20 0 DIADHN CSc1ccc(CCNCc2cc(F)c(F)c(F)c2)cc1 ZINC000435729782 338246480 /nfs/dbraw/zinc/24/64/80/338246480.db2.gz VOUDLGHUNZUJOH-UHFFFAOYSA-N 0 3 311.372 4.158 20 0 DIADHN COc1ccsc1CN[C@H]1C[C@H](c2cc(F)cc(F)c2)C1 ZINC000435736410 338247002 /nfs/dbraw/zinc/24/70/02/338247002.db2.gz XHDHUGYHGUFKPY-YEORSEQZSA-N 0 3 309.381 4.071 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2C[C@@H](c3cc(F)cc(F)c3)C2)c1 ZINC000435747722 338247784 /nfs/dbraw/zinc/24/77/84/338247784.db2.gz KHKQQNKSRFSJAY-SXUIPJSXSA-N 0 3 309.306 4.279 20 0 DIADHN Cc1cccc(CN(C)[C@@H](C)c2ccc(-n3cncn3)cc2)c1C ZINC000435769060 338248430 /nfs/dbraw/zinc/24/84/30/338248430.db2.gz UAMHOOHEJAGKOT-KRWDZBQOSA-N 0 3 320.440 4.077 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000435771042 338248542 /nfs/dbraw/zinc/24/85/42/338248542.db2.gz SHHYWWDVMJBYOU-OAHLLOKOSA-N 0 3 310.319 4.250 20 0 DIADHN CCn1nc(CN[C@H]2C[C@H](c3ccccc3)C2)c2ccccc21 ZINC000435777780 338248741 /nfs/dbraw/zinc/24/87/41/338248741.db2.gz KABLFFVQCPBTKD-QAQDUYKDSA-N 0 3 305.425 4.092 20 0 DIADHN O=c1[nH]c2ccccc2cc1CN[C@H]1C[C@H](c2ccccc2F)C1 ZINC000435788563 338249351 /nfs/dbraw/zinc/24/93/51/338249351.db2.gz UHAYWMJSANALSR-KOMQPUFPSA-N 0 3 322.383 4.115 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN[C@@H]1CCc2ccccc21 ZINC000435933248 338253556 /nfs/dbraw/zinc/25/35/56/338253556.db2.gz DHZSZMGQQIGAMA-MRXNPFEDSA-N 0 3 323.465 4.359 20 0 DIADHN COCC(C)(C)NCc1c(OC(C)C)ccc2ccccc21 ZINC000436091426 338258143 /nfs/dbraw/zinc/25/81/43/338258143.db2.gz OSGRVEOBQPODML-UHFFFAOYSA-N 0 3 301.430 4.142 20 0 DIADHN Fc1cccc2[nH]c(CNCc3cccc(OC(F)F)c3)cc21 ZINC000436229134 338262525 /nfs/dbraw/zinc/26/25/25/338262525.db2.gz DBSLVFIQWFBAFK-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN C[C@H](CNCc1cc2c(cccc2F)[nH]1)Oc1ccccc1F ZINC000436239913 338262929 /nfs/dbraw/zinc/26/29/29/338262929.db2.gz PISWEPKPKQGQKC-GFCCVEGCSA-N 0 3 316.351 4.003 20 0 DIADHN Fc1cccc2[nH]c(CN[C@H](c3ccccc3)[C@@H]3CCCO3)cc21 ZINC000436250201 338263532 /nfs/dbraw/zinc/26/35/32/338263532.db2.gz UQQIIRBJDNMQNH-VQTJNVASSA-N 0 3 324.399 4.317 20 0 DIADHN CCc1nc(CN[C@@H]2CC[C@@H](Cc3ccccc3)C2)cs1 ZINC000436250848 338263631 /nfs/dbraw/zinc/26/36/31/338263631.db2.gz ZEVMYPHJPMFHSZ-JKSUJKDBSA-N 0 3 300.471 4.207 20 0 DIADHN C[C@H](COCc1ccccc1)NCc1cc2c(cccc2F)[nH]1 ZINC000436254984 338263912 /nfs/dbraw/zinc/26/39/12/338263912.db2.gz STMKBFSTMSYYSP-CQSZACIVSA-N 0 3 312.388 4.002 20 0 DIADHN Fc1cccc2[nH]c(CNCc3ccc(OC(F)F)cc3)cc21 ZINC000436268889 338264591 /nfs/dbraw/zinc/26/45/91/338264591.db2.gz IOFKWKQWVRUACQ-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN Fc1cccc2[nH]c(CN[C@H]3CCCOc4ccccc43)cc21 ZINC000436277053 338265273 /nfs/dbraw/zinc/26/52/73/338265273.db2.gz VYLVCTMBXFTAKY-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2cccc(F)c2)C1)c1ccc(F)cn1 ZINC000436282844 338265591 /nfs/dbraw/zinc/26/55/91/338265591.db2.gz KGDDXRUKJVVHPL-KBRIMQKVSA-N 0 3 302.368 4.347 20 0 DIADHN CSc1ccc(CNCc2cc3c(cccc3F)[nH]2)cc1 ZINC000436287916 338266283 /nfs/dbraw/zinc/26/62/83/338266283.db2.gz VEIGILWIZGNNRY-UHFFFAOYSA-N 0 3 300.402 4.319 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H]2C[C@H](c3ccccc3)C2)c1 ZINC000436288366 338266328 /nfs/dbraw/zinc/26/63/28/338266328.db2.gz VOFDAPLRMZYSDV-XIRDDKMYSA-N 0 3 311.425 4.301 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@@H](C)c2ccccc2SCC)C1 ZINC000436290093 338266414 /nfs/dbraw/zinc/26/64/14/338266414.db2.gz YTBJZQCHSAEXTI-ZNMIVQPWSA-N 0 3 321.486 4.181 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@H](N[C@H](C)c2cc3ccc(C)cc3o2)C1 ZINC000436293260 338266775 /nfs/dbraw/zinc/26/67/75/338266775.db2.gz CNKXIQPSLKHJAU-BMFZPTHFSA-N 0 3 315.413 4.124 20 0 DIADHN CC[C@@H](N[C@H]1C[C@H](c2cccc(Cl)c2)C1)c1ccn(C)n1 ZINC000436299991 338267440 /nfs/dbraw/zinc/26/74/40/338267440.db2.gz IFMDUGYUYPYDPC-FVQBIDKESA-N 0 3 303.837 4.060 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CNC[C@H]2CCCS2)c1 ZINC000436302834 338267590 /nfs/dbraw/zinc/26/75/90/338267590.db2.gz AWUNWOUTGIXPAO-GFCCVEGCSA-N 0 3 309.784 4.344 20 0 DIADHN C[C@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1nc2ccccc2n1C ZINC000436305000 338267931 /nfs/dbraw/zinc/26/79/31/338267931.db2.gz GJYHVIKMDJGRNM-KKUMJFAQSA-N 0 3 323.415 4.309 20 0 DIADHN CC(C(=O)N(C)c1nc2cccc(Cl)c2s1)C(F)(F)F ZINC000436383769 338270213 /nfs/dbraw/zinc/27/02/13/338270213.db2.gz CLMRXRLGVHUTAX-LURJTMIESA-N 0 3 322.739 4.111 20 0 DIADHN c1cc(-c2n[nH]cc2CN[C@@H]2CCCc3sccc32)cs1 ZINC000436408036 338271342 /nfs/dbraw/zinc/27/13/42/338271342.db2.gz UWCDVMWAFLCPHS-CQSZACIVSA-N 0 3 315.467 4.367 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H]3CC[C@@H](c4ccccc4)C3)nc21 ZINC000436412130 338271525 /nfs/dbraw/zinc/27/15/25/338271525.db2.gz MYHLIQOPQLMKMN-SJORKVTESA-N 0 3 305.425 4.297 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3CC[C@@H](c4ccccc4)C3)nc21 ZINC000436412128 338271592 /nfs/dbraw/zinc/27/15/92/338271592.db2.gz MYHLIQOPQLMKMN-IAGOWNOFSA-N 0 3 305.425 4.297 20 0 DIADHN FC(F)(F)c1cc(Cl)cc(CN[C@H]2CCO[C@H]2C2CC2)c1 ZINC000436412649 338271688 /nfs/dbraw/zinc/27/16/88/338271688.db2.gz OCZILOYHGBGBOI-KBPBESRZSA-N 0 3 319.754 4.016 20 0 DIADHN COc1ccc(CN[C@H]2c3ccccc3CC[C@@H]2C(C)C)cn1 ZINC000436414022 338271742 /nfs/dbraw/zinc/27/17/42/338271742.db2.gz OYENIDHOSIDTPQ-YLJYHZDGSA-N 0 3 310.441 4.140 20 0 DIADHN COc1ccc(CN[C@@H]2C[C@H]3CCC(C)(C)c4cccc2c43)cn1 ZINC000436415430 338271822 /nfs/dbraw/zinc/27/18/22/338271822.db2.gz KWXOGHSVFRPIOP-CRAIPNDOSA-N 0 3 322.452 4.480 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@H](CC(F)(F)F)C(C)C)CCC2 ZINC000437094176 338300671 /nfs/dbraw/zinc/30/06/71/338300671.db2.gz HTGXARVCRQPPQL-NWDGAFQWSA-N 0 3 306.397 4.396 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC[C@@H](C)C(F)(F)F)CCCS2 ZINC000437009991 338297684 /nfs/dbraw/zinc/29/76/84/338297684.db2.gz AKXASJZMCFPOLF-MFKMUULPSA-N 0 3 319.392 4.410 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@H]1CCC(C)(C)O1)CCCS2 ZINC000437019069 338297948 /nfs/dbraw/zinc/29/79/48/338297948.db2.gz SWILBIRAHWGEJS-GDBMZVCRSA-N 0 3 321.486 4.169 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437072651 338299323 /nfs/dbraw/zinc/29/93/23/338299323.db2.gz BWOWDKPEEOTMDD-KNBMTAEXSA-N 0 3 310.441 4.251 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1cccc(CSC)c1 ZINC000437078305 338299653 /nfs/dbraw/zinc/29/96/53/338299653.db2.gz NEAOAFAJSVNADW-SFHVURJKSA-N 0 3 313.466 4.335 20 0 DIADHN CCOC(C)(C)CN[C@H](C)c1ccc(Br)c(F)c1 ZINC000437079607 338299674 /nfs/dbraw/zinc/29/96/74/338299674.db2.gz QTUYSYXRHUTWSK-SNVBAGLBSA-N 0 3 318.230 4.054 20 0 DIADHN CCOC(C)(C)CN[C@@H](C)c1oc2ccc(OC)cc2c1C ZINC000437079377 338299706 /nfs/dbraw/zinc/29/97/06/338299706.db2.gz STLGQNBFQYZLFO-ZDUSSCGKSA-N 0 3 305.418 4.216 20 0 DIADHN COc1cnccc1[C@H](C)N[C@H]1CC[C@H](Cc2ccccc2)C1 ZINC000437083179 338299858 /nfs/dbraw/zinc/29/98/58/338299858.db2.gz GGARHPNYAPTCJB-JQHSSLGASA-N 0 3 310.441 4.152 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@H](C)c1ccc2c(c1)COC2 ZINC000437086826 338300112 /nfs/dbraw/zinc/30/01/12/338300112.db2.gz SPDBVKRLVBWFKG-IAQYHMDHSA-N 0 3 301.352 4.344 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccc2c(c1)COC2 ZINC000437086828 338300140 /nfs/dbraw/zinc/30/01/40/338300140.db2.gz SPDBVKRLVBWFKG-XHDPSFHLSA-N 0 3 301.352 4.344 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@H](CC(F)(F)F)C(C)C ZINC000437101539 338300862 /nfs/dbraw/zinc/30/08/62/338300862.db2.gz WCYMDIHIMMHYDF-ZIAGYGMSSA-N 0 3 301.352 4.249 20 0 DIADHN Cc1ccc([C@H](N[C@H](CO)Cc2ccccc2)C2CCCC2)o1 ZINC000437110216 338301441 /nfs/dbraw/zinc/30/14/41/338301441.db2.gz KWDQWSXOAMEVAX-AZUAARDMSA-N 0 3 313.441 4.013 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2CCC3(CCC3)C2)cn1 ZINC000437118655 338301894 /nfs/dbraw/zinc/30/18/94/338301894.db2.gz OIOLEBZNUPDZFE-UHFFFAOYSA-N 0 3 307.441 4.226 20 0 DIADHN FC(F)(F)CCN(CCCOc1ccccc1)CC1CC1 ZINC000437517857 338312404 /nfs/dbraw/zinc/31/24/04/338312404.db2.gz VWTMFBYKBZDAOE-UHFFFAOYSA-N 0 3 301.352 4.120 20 0 DIADHN Fc1ccc(CN(CC[C@@H]2CCOC2)Cc2ccccc2)cc1 ZINC000437583407 338314780 /nfs/dbraw/zinc/31/47/80/338314780.db2.gz WOIFCIWKZLWDPP-LJQANCHMSA-N 0 3 313.416 4.255 20 0 DIADHN CCN(Cc1cc2c(cccc2F)[nH]1)Cc1ccc(OC)cc1 ZINC000437584489 338314854 /nfs/dbraw/zinc/31/48/54/338314854.db2.gz WOFBDIKUEKTLQZ-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN Brc1ccc([C@@H]2CCCN2CC[C@@H]2CCOC2)cc1 ZINC000437586941 338315123 /nfs/dbraw/zinc/31/51/23/338315123.db2.gz ZKIKMURHWHLORT-CJNGLKHVSA-N 0 3 324.262 4.013 20 0 DIADHN C[C@@H](c1nc2ccccc2s1)N(C)CC1(O)CCC(C)CC1 ZINC000437640383 338316934 /nfs/dbraw/zinc/31/69/34/338316934.db2.gz LVYVJKROHSUNPH-FVRSWCFKSA-N 0 3 318.486 4.230 20 0 DIADHN C[C@H]1CC[C@@]2(CCN(Cc3c[nH]nc3-c3ccsc3)C2)C1 ZINC000437773265 338320824 /nfs/dbraw/zinc/32/08/24/338320824.db2.gz LQIJHEBSOIMXJJ-SUMWQHHRSA-N 0 3 301.459 4.150 20 0 DIADHN CN(C)CCc1ccccc1NC(=O)Nc1ccc(Cl)cc1 ZINC000437913224 338324963 /nfs/dbraw/zinc/32/49/63/338324963.db2.gz GLHKCICFDMIXQQ-UHFFFAOYSA-N 0 3 317.820 4.088 20 0 DIADHN Cc1ccc2c(c1)nc(CN[C@H]1CC[C@H](c3ccccc3)C1)n2C ZINC000437953534 338326184 /nfs/dbraw/zinc/32/61/84/338326184.db2.gz LAAOJSPBKLEKAP-ROUUACIJSA-N 0 3 319.452 4.308 20 0 DIADHN Cc1ccc2cc(CNCCO[C@@H]3CCCC[C@H]3C)ccc2n1 ZINC000438088241 338330673 /nfs/dbraw/zinc/33/06/73/338330673.db2.gz ONEKMOPLWJAGPL-FOIQADDNSA-N 0 3 312.457 4.228 20 0 DIADHN CCCN(Cc1cc2c(cccc2F)[nH]1)C[C@@H]1CCCCO1 ZINC000438110300 338332245 /nfs/dbraw/zinc/33/22/45/338332245.db2.gz OZMCUBNUYFPBJN-HNNXBMFYSA-N 0 3 304.409 4.088 20 0 DIADHN Cc1ccc2cc(CN(C)[C@@H](CCO)c3ccccc3)ccc2n1 ZINC000438117403 338333034 /nfs/dbraw/zinc/33/30/34/338333034.db2.gz GAILHGDLJWSNHW-NRFANRHFSA-N 0 3 320.436 4.099 20 0 DIADHN Cc1noc(C)c1CCN[C@@H](C)c1cc(Cl)ccc1Cl ZINC000156065925 338333505 /nfs/dbraw/zinc/33/35/05/338333505.db2.gz NMBVZNLCZJXNDX-VIFPVBQESA-N 0 3 313.228 4.492 20 0 DIADHN C[C@@H](CN1CCN(C2CCC(C)CC2)CC1)c1ccc(F)cc1 ZINC000438121431 338333537 /nfs/dbraw/zinc/33/35/37/338333537.db2.gz PGIWORICMDABGC-GNZRSQJKSA-N 0 3 318.480 4.126 20 0 DIADHN Cc1csc([C@@H](NC[C@H](C)c2ccc(F)cc2)C2CC2)n1 ZINC000438180066 338335219 /nfs/dbraw/zinc/33/52/19/338335219.db2.gz SCAZBXIBXDXIKQ-ZBEGNZNMSA-N 0 3 304.434 4.435 20 0 DIADHN Cc1ccc2cc(CN[C@H]3CCc4c3cccc4O)ccc2n1 ZINC000438179859 338335233 /nfs/dbraw/zinc/33/52/33/338335233.db2.gz BINAGSCSKNDSAN-IBGZPJMESA-N 0 3 304.393 4.026 20 0 DIADHN CCC(CC)c1cc(CN[C@@H](C)Cc2ccccc2F)on1 ZINC000438188974 338335751 /nfs/dbraw/zinc/33/57/51/338335751.db2.gz JPIMZQYCSDMSQO-ZDUSSCGKSA-N 0 3 304.409 4.438 20 0 DIADHN C[C@H](N[C@@H]1CC[C@](C)(c2ccccc2)C1)C(=O)Nc1ccccc1 ZINC000438195104 338336213 /nfs/dbraw/zinc/33/62/13/338336213.db2.gz ZHQGOPJDEJGZBD-SCWSEQNSSA-N 0 3 322.452 4.114 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@](C)(c3ccccc3)C2)sc1C ZINC000438201990 338336371 /nfs/dbraw/zinc/33/63/71/338336371.db2.gz ROJVNOYRUPHFRL-WMZOPIPTSA-N 0 3 300.471 4.360 20 0 DIADHN CC1(C)CN(Cc2ccc(-c3ccc(F)cc3)s2)CC[C@H]1O ZINC000438332965 338339949 /nfs/dbraw/zinc/33/99/49/338339949.db2.gz GJGKJYVYZVYBSO-QGZVFWFLSA-N 0 3 319.445 4.147 20 0 DIADHN COc1ccc(CC(C)(C)NCc2csc(C3CC3)n2)cc1 ZINC000438341794 338340301 /nfs/dbraw/zinc/34/03/01/338340301.db2.gz UTQVQFXFYTYZDB-UHFFFAOYSA-N 0 3 316.470 4.140 20 0 DIADHN Cc1cc(F)ccc1CNCc1ccccc1N(C)C(C)C ZINC000438344059 338340608 /nfs/dbraw/zinc/34/06/08/338340608.db2.gz FNHQOVNGNUIZON-UHFFFAOYSA-N 0 3 300.421 4.269 20 0 DIADHN CC(C)n1ncc2cc(CN3CCCC4(CCCC4)C3)cnc21 ZINC000438349776 338340788 /nfs/dbraw/zinc/34/07/88/338340788.db2.gz CEDIQYIXLLTOHW-UHFFFAOYSA-N 0 3 312.461 4.168 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCOc3c(F)cccc32)cc1 ZINC000438481467 338345451 /nfs/dbraw/zinc/34/54/51/338345451.db2.gz IGNPXEPROUZHKX-BAHPRDJBSA-N 0 3 301.336 4.091 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1cc(-c2ccccc2)n[nH]1 ZINC000438498123 338346130 /nfs/dbraw/zinc/34/61/30/338346130.db2.gz XQIBHJAHTHBKFE-HNNXBMFYSA-N 0 3 311.351 4.143 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@H]3CCCCS3)oc21 ZINC000156369260 338355440 /nfs/dbraw/zinc/35/54/40/338355440.db2.gz SITZKJKJCPMZIZ-GXTWGEPZSA-N 0 3 305.443 4.378 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000438906448 338355658 /nfs/dbraw/zinc/35/56/58/338355658.db2.gz BZWBUNPLQHFRPC-QKNQBKEWSA-N 0 3 323.436 4.019 20 0 DIADHN C[C@H](NC[C@H]1CCCCS1)c1ccccc1OC(F)F ZINC000156402925 338357977 /nfs/dbraw/zinc/35/79/77/338357977.db2.gz RWFMYJRKZNJSCT-NWDGAFQWSA-N 0 3 301.402 4.224 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](N[C@@H]1C[C@H]3OCCC[C@H]13)CC2 ZINC000439071548 338360287 /nfs/dbraw/zinc/36/02/87/338360287.db2.gz ZFLXSBYWRPMDOV-FAAHXZRKSA-N 0 3 312.240 4.138 20 0 DIADHN Cc1nc(CCN2CC[C@H](c3ccccc3)C[C@@H]2C)cs1 ZINC000439313665 338366641 /nfs/dbraw/zinc/36/66/41/338366641.db2.gz ICVREGRJHZWRAP-YOEHRIQHSA-N 0 3 300.471 4.262 20 0 DIADHN CC(C)=CCN(CCc1cnn(C)c1)Cc1ccc(Cl)cc1 ZINC000439313739 338366811 /nfs/dbraw/zinc/36/68/11/338366811.db2.gz FTLHXNGNFYRWSS-UHFFFAOYSA-N 0 3 317.864 4.084 20 0 DIADHN COCC[C@@H](c1ccccc1)N1CCC[C@@H](C(F)(F)F)C1 ZINC000439322069 338367102 /nfs/dbraw/zinc/36/71/02/338367102.db2.gz GHDQWKWYQIYZEK-CABCVRRESA-N 0 3 301.352 4.039 20 0 DIADHN FC1(c2cccc(Cl)c2)CCN(CCOCC2CC2)CC1 ZINC000439332406 338367594 /nfs/dbraw/zinc/36/75/94/338367594.db2.gz ROMNZEYVXGIULT-UHFFFAOYSA-N 0 3 311.828 4.027 20 0 DIADHN C[C@H](NC/C=C\c1ccccc1)c1ccc(-n2cccn2)cc1 ZINC000439474190 338370754 /nfs/dbraw/zinc/37/07/54/338370754.db2.gz XAXYFOBPFDJASM-OOYWPUHTSA-N 0 3 303.409 4.236 20 0 DIADHN C[C@H](CCO)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC000439478456 338371048 /nfs/dbraw/zinc/37/10/48/338371048.db2.gz MZZZLMHBJJUNFC-OAHLLOKOSA-N 0 3 315.457 4.352 20 0 DIADHN CC(C(=O)Nc1ccc(CCC(F)(F)F)cc1)C(F)(F)F ZINC000439656216 338375451 /nfs/dbraw/zinc/37/54/51/338375451.db2.gz QYCFFQDCNMRRQI-QMMMGPOBSA-N 0 3 313.241 4.318 20 0 DIADHN CC1(CN2CCCC[C@H]2c2ccccc2C(F)(F)F)COC1 ZINC000439799873 338378616 /nfs/dbraw/zinc/37/86/16/338378616.db2.gz IFQNDWMRCDSXDX-HNNXBMFYSA-N 0 3 313.363 4.269 20 0 DIADHN C[C@H](C(=O)Nc1ccc(OC(C)(C)C)cc1)N1[C@H](C)CC[C@H]1C ZINC000439852952 338379571 /nfs/dbraw/zinc/37/95/71/338379571.db2.gz CTLVYHFMQBABOL-RBSFLKMASA-N 0 3 318.461 4.064 20 0 DIADHN OC[C@]1(NCc2csc3ccccc23)CCCc2ccccc21 ZINC000440474670 338388080 /nfs/dbraw/zinc/38/80/80/338388080.db2.gz BYKMAGKHWLBIDX-HXUWFJFHSA-N 0 3 323.461 4.215 20 0 DIADHN CC(C)(CNCc1cc(C(F)(F)F)n[nH]1)C1=CCCCC1 ZINC000440612233 338392378 /nfs/dbraw/zinc/39/23/78/338392378.db2.gz BNLAGJRQIUEJRY-UHFFFAOYSA-N 0 3 301.356 4.045 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cc(C(F)(F)F)n[nH]1)C(C)C ZINC000440828358 338398362 /nfs/dbraw/zinc/39/83/62/338398362.db2.gz SZHZLOSPFBAEQX-HNNXBMFYSA-N 0 3 311.351 4.224 20 0 DIADHN COCc1nc(CNCC(C)(C)C)c(-c2ccccc2)s1 ZINC000441339633 338409391 /nfs/dbraw/zinc/40/93/91/338409391.db2.gz NFAIQKFHDTYVRV-UHFFFAOYSA-N 0 3 304.459 4.092 20 0 DIADHN COCc1nc(CN[C@H]2CC[C@@H](C)C2)c(-c2ccccc2)s1 ZINC000441369821 338410994 /nfs/dbraw/zinc/41/09/94/338410994.db2.gz FKEYKCAROCBGJY-HIFRSBDPSA-N 0 3 316.470 4.235 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)N[C@@H]1Cc2[nH]c3ccccc3c2C1 ZINC000442715291 338428854 /nfs/dbraw/zinc/42/88/54/338428854.db2.gz ZXXJCLRWXGHCEI-OCCSQVGLSA-N 0 3 324.399 4.134 20 0 DIADHN CO[C@@H](CN[C@H](C)c1ccccc1SC)c1ccccc1 ZINC000442723026 338429160 /nfs/dbraw/zinc/42/91/60/338429160.db2.gz WNJKXTBQGPWZQZ-PBHICJAKSA-N 0 3 301.455 4.447 20 0 DIADHN Cc1cc(CN[C@@H]2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)on1 ZINC000442785015 338431184 /nfs/dbraw/zinc/43/11/84/338431184.db2.gz VBQQBNJJORVWTJ-DZGCQCFKSA-N 0 3 324.346 4.428 20 0 DIADHN CCC(CC)c1cc(CNC2CCC(C(F)F)CC2)on1 ZINC000442790965 338431674 /nfs/dbraw/zinc/43/16/74/338431674.db2.gz KBXJQBQGERTAJV-UHFFFAOYSA-N 0 3 300.393 4.492 20 0 DIADHN COC[C@H](Cc1ccccc1)N[C@@H](C)c1ccccc1SC ZINC000442796253 338432211 /nfs/dbraw/zinc/43/22/11/338432211.db2.gz VRVOSFXPGSJDJT-RDJZCZTQSA-N 0 3 315.482 4.317 20 0 DIADHN C[C@H](NCCc1ccc2[nH]ccc2c1)c1cc(F)c(F)c(F)c1 ZINC000442799906 338432318 /nfs/dbraw/zinc/43/23/18/338432318.db2.gz LMFGAXJCQZHGMO-NSHDSACASA-N 0 3 318.342 4.479 20 0 DIADHN C[C@H](NCCc1ccc2[nH]ccc2c1)c1cccc(F)c1F ZINC000442805919 338432745 /nfs/dbraw/zinc/43/27/45/338432745.db2.gz AUZFRMGPFHEDBF-LBPRGKRZSA-N 0 3 300.352 4.339 20 0 DIADHN COCCC[C@H](NCc1cscc1C)c1ccc(F)cc1 ZINC000442861104 338435639 /nfs/dbraw/zinc/43/56/39/338435639.db2.gz MFQUYOAFCSSHBG-KRWDZBQOSA-N 0 3 307.434 4.453 20 0 DIADHN COCc1nc(CN[C@@H](C)C2(C)CC2)c(-c2ccccc2)s1 ZINC000442865257 338436021 /nfs/dbraw/zinc/43/60/21/338436021.db2.gz SZBZRCHUOWAGCN-ZDUSSCGKSA-N 0 3 316.470 4.235 20 0 DIADHN CSc1ccccc1[C@@H](C)NCCOc1ncccc1Cl ZINC000442866508 338436123 /nfs/dbraw/zinc/43/61/23/338436123.db2.gz WLVLDCQJDYJLJX-GFCCVEGCSA-N 0 3 322.861 4.187 20 0 DIADHN C[C@H](CCC1CCCC1)NCc1ccncc1OCC(F)F ZINC000442868424 338436469 /nfs/dbraw/zinc/43/64/69/338436469.db2.gz NUFZSRHWPXIGAA-CYBMUJFWSA-N 0 3 312.404 4.174 20 0 DIADHN C=Cn1cc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)cn1 ZINC000194426026 338527459 /nfs/dbraw/zinc/52/74/59/338527459.db2.gz QTSDIUGNQRERDZ-NRFANRHFSA-N 0 3 315.420 4.428 20 0 DIADHN C[C@H](CCC(C)(C)C)NC(=O)Nc1ccccc1CCN(C)C ZINC000446569712 338530530 /nfs/dbraw/zinc/53/05/30/338530530.db2.gz RGABATXJHKDQFG-OAHLLOKOSA-N 0 3 319.493 4.127 20 0 DIADHN CCO[C@H](CNCc1ccc(OC(C)C)cc1)c1ccccc1 ZINC000446833346 338537502 /nfs/dbraw/zinc/53/75/02/338537502.db2.gz GVSJBTMJCZFGHY-HXUWFJFHSA-N 0 3 313.441 4.341 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NC1CCSCC1 ZINC000446848123 338538594 /nfs/dbraw/zinc/53/85/94/338538594.db2.gz QGVQTYVXQWZNRU-AWEZNQCLSA-N 0 3 303.393 4.474 20 0 DIADHN CCc1ccc([C@H](CO)N[C@@H](CC)c2cccc(F)c2)cc1 ZINC000446927860 338540839 /nfs/dbraw/zinc/54/08/39/338540839.db2.gz CRTRWNSVMRQBED-OALUTQOASA-N 0 3 301.405 4.162 20 0 DIADHN CC[C@@H](N[C@H](C)COC)c1ccc(Br)cc1Cl ZINC000446928084 338540942 /nfs/dbraw/zinc/54/09/42/338540942.db2.gz DMHNAYKABQCPPS-NOZJJQNGSA-N 0 3 320.658 4.178 20 0 DIADHN Cc1nccnc1[C@@H](C)NC[C@@H]1CCC(C)(C)c2ccccc21 ZINC000446929733 338540978 /nfs/dbraw/zinc/54/09/78/338540978.db2.gz RWCWJRIHQBCFCN-CVEARBPZSA-N 0 3 309.457 4.291 20 0 DIADHN CC[C@@H](NC1(c2ccc3c(c2)OCO3)CC1)c1cccc(F)c1 ZINC000446937449 338541420 /nfs/dbraw/zinc/54/14/20/338541420.db2.gz DIHBSOQFYBVAHE-MRXNPFEDSA-N 0 3 313.372 4.284 20 0 DIADHN CCO[C@H](CN[C@H](C)c1ccc(F)cc1F)c1ccccc1 ZINC000447052809 338543700 /nfs/dbraw/zinc/54/37/00/338543700.db2.gz VPYCIJBIXIQLAI-FZKQIMNGSA-N 0 3 305.368 4.393 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1ccc(F)cc1F)c1ccccc1 ZINC000447052808 338543724 /nfs/dbraw/zinc/54/37/24/338543724.db2.gz VPYCIJBIXIQLAI-ACJLOTCBSA-N 0 3 305.368 4.393 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1cccc(Br)c1 ZINC000160227179 338545791 /nfs/dbraw/zinc/54/57/91/338545791.db2.gz RIVKHDYSHKMYIV-SMDDNHRTSA-N 0 3 312.251 4.057 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2cccs2)N2CCCC2)c(C)o1 ZINC000447516995 338553597 /nfs/dbraw/zinc/55/35/97/338553597.db2.gz IUQWWTPPUMCCPX-WMLDXEAASA-N 0 3 318.486 4.446 20 0 DIADHN CCN(CC)[C@@H](CN[C@@H]1CCCc2occc21)c1ccsc1 ZINC000447527563 338554076 /nfs/dbraw/zinc/55/40/76/338554076.db2.gz FIXUGFMOHGYQQM-SJORKVTESA-N 0 3 318.486 4.391 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H]2CCCc3occc32)cc1 ZINC000447532370 338554582 /nfs/dbraw/zinc/55/45/82/338554582.db2.gz TXDOYHVIBDAESI-BJLQDIEVSA-N 0 3 311.425 4.475 20 0 DIADHN COC(=O)c1coc([C@@H](C)N[C@H](C)C(C)(C)c2ccccc2)c1 ZINC000447531158 338554607 /nfs/dbraw/zinc/55/46/07/338554607.db2.gz QOTFWADAIWUESG-ZIAGYGMSSA-N 0 3 315.413 4.083 20 0 DIADHN CCC[C@H](Cc1ccccc1)N[C@H](C)c1cc(C(=O)OC)co1 ZINC000447532486 338554620 /nfs/dbraw/zinc/55/46/20/338554620.db2.gz WJLUZZSWOOYJQT-RHSMWYFYSA-N 0 3 315.413 4.128 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@@H]2CCC[C@@H]2c2ccccc2)c1 ZINC000447534345 338554857 /nfs/dbraw/zinc/55/48/57/338554857.db2.gz WKMGLCZNTFUNSC-IAOVAPTHSA-N 0 3 313.397 4.053 20 0 DIADHN COC(=O)c1coc([C@H](C)N[C@@H]2CCC[C@H]2c2ccccc2)c1 ZINC000447534347 338554918 /nfs/dbraw/zinc/55/49/18/338554918.db2.gz WKMGLCZNTFUNSC-RRQGHBQHSA-N 0 3 313.397 4.053 20 0 DIADHN COC(=O)c1coc([C@H](C)NCC(C)(C)c2cccc(C)c2)c1 ZINC000447535141 338554988 /nfs/dbraw/zinc/55/49/88/338554988.db2.gz CZBKHRZQFSMEFU-AWEZNQCLSA-N 0 3 315.413 4.003 20 0 DIADHN Clc1ccc(COCCN[C@@H]2CCCc3occc32)cc1 ZINC000447589300 338559937 /nfs/dbraw/zinc/55/99/37/338559937.db2.gz XLHVJVZYNOGBIA-MRXNPFEDSA-N 0 3 305.805 4.117 20 0 DIADHN Clc1ccc(CCNCc2nc(-c3ccccc3)c[nH]2)cc1 ZINC000447850804 338569189 /nfs/dbraw/zinc/56/91/89/338569189.db2.gz XAKIUUCWMGTYJT-UHFFFAOYSA-N 0 3 311.816 4.062 20 0 DIADHN CCn1nnc(C)c1CN[C@H](c1ccc(C(C)C)cc1)C(C)C ZINC000449064365 338589777 /nfs/dbraw/zinc/58/97/77/338589777.db2.gz UDNKCYJLZPYMDN-IBGZPJMESA-N 0 3 314.477 4.217 20 0 DIADHN C[C@@H](NCc1cccc2ccoc21)c1ccc2c(c1)OCCO2 ZINC000449067378 338590043 /nfs/dbraw/zinc/59/00/43/338590043.db2.gz KYALJOPWEDDAPV-CYBMUJFWSA-N 0 3 309.365 4.055 20 0 DIADHN CC[C@@H](NCc1csc(C)c1)c1ccc2c(c1)OCCO2 ZINC000449088549 338592316 /nfs/dbraw/zinc/59/23/16/338592316.db2.gz ZEDBYUGMIPXQMZ-OAHLLOKOSA-N 0 3 303.427 4.069 20 0 DIADHN CC[C@@H]1C[C@H](N[C@H](c2ccccc2)c2ccc(F)cc2)CCO1 ZINC000449102035 338593586 /nfs/dbraw/zinc/59/35/86/338593586.db2.gz ICNNPWLAHMXTDN-VAMGGRTRSA-N 0 3 313.416 4.462 20 0 DIADHN Cc1cnc(CNCCc2cc(Cl)cc(Cl)c2)s1 ZINC000449103248 338593718 /nfs/dbraw/zinc/59/37/18/338593718.db2.gz ABIUZGHUKAGUHA-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Clc1ccc(C[C@H]2CCC[C@H]2NCc2cscn2)cc1 ZINC000449105679 338593944 /nfs/dbraw/zinc/59/39/44/338593944.db2.gz DYQLVMZOIAMCDI-CZUORRHYSA-N 0 3 306.862 4.298 20 0 DIADHN C[C@]1(NCc2ccnn2C2CCCC2)CCCc2ccccc21 ZINC000449142580 338596727 /nfs/dbraw/zinc/59/67/27/338596727.db2.gz OSKSHFZXRQRXQO-FQEVSTJZSA-N 0 3 309.457 4.340 20 0 DIADHN COc1ccccc1[C@H](N[C@H]1CSC[C@@H]1C)c1ccccc1 ZINC000449145773 338596910 /nfs/dbraw/zinc/59/69/10/338596910.db2.gz LXUMOMFDMMBJBJ-UCLAIMLFSA-N 0 3 313.466 4.126 20 0 DIADHN Cc1cnc([C@@H](C)NC2CCC(OC3CCCC3)CC2)s1 ZINC000449180255 338599893 /nfs/dbraw/zinc/59/98/93/338599893.db2.gz ZEABTQILPVKQMR-VQCLRJIVSA-N 0 3 308.491 4.373 20 0 DIADHN Fc1ccc(CN[C@H]2CC[C@@H](c3ccc(Cl)cc3)C2)nc1 ZINC000449188855 338600660 /nfs/dbraw/zinc/60/06/60/338600660.db2.gz GLEKCHQXPHQPMT-CJNGLKHVSA-N 0 3 304.796 4.300 20 0 DIADHN COc1cc(CN[C@H](c2cccnc2)C2CC2)cc2ccccc21 ZINC000449200558 338601829 /nfs/dbraw/zinc/60/18/29/338601829.db2.gz CEAUVHIOCZSHBD-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN Cc1cccc([C@H](N[C@H](C)Cc2ccoc2)c2ccccn2)c1 ZINC000449201156 338601970 /nfs/dbraw/zinc/60/19/70/338601970.db2.gz DERSSYGGGWTGFC-UZLBHIALSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H]2Cc2ccc(Cl)cc2)on1 ZINC000449223374 338603960 /nfs/dbraw/zinc/60/39/60/338603960.db2.gz JLRZVOYIBWDVLS-WMLDXEAASA-N 0 3 304.821 4.137 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2Cc2ccc(Cl)cc2)on1 ZINC000449223195 338604028 /nfs/dbraw/zinc/60/40/28/338604028.db2.gz JLRZVOYIBWDVLS-PBHICJAKSA-N 0 3 304.821 4.137 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnn1C1CCCC1)[C@H](C)C2 ZINC000449233712 338604954 /nfs/dbraw/zinc/60/49/54/338604954.db2.gz ZVAAJCUUNXJHKH-QRWLVFNGSA-N 0 3 309.457 4.330 20 0 DIADHN Cc1cccc(CN[C@H]2CCO[C@@H]2c2ccc(F)c(F)c2)c1F ZINC000449245476 338606267 /nfs/dbraw/zinc/60/62/67/338606267.db2.gz BEXJCHILCCBPAP-FUHWJXTLSA-N 0 3 321.342 4.032 20 0 DIADHN CC(C)COc1ccccc1CN[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000449248504 338606468 /nfs/dbraw/zinc/60/64/68/338606468.db2.gz HSSWXIVHNHJBEW-IEBWSBKVSA-N 0 3 305.462 4.015 20 0 DIADHN CC(C)(CO)[C@@H](NCc1cccc2ccoc21)c1ccccc1 ZINC000449288980 338609851 /nfs/dbraw/zinc/60/98/51/338609851.db2.gz PQPQBVNEOSPLLO-IBGZPJMESA-N 0 3 309.409 4.282 20 0 DIADHN COCC1CCC(N[C@H](C)c2nc(C(F)(F)F)cs2)CC1 ZINC000449293181 338610132 /nfs/dbraw/zinc/61/01/32/338610132.db2.gz ULQHQXFUPJLVAF-KPPDAEKUSA-N 0 3 322.396 4.018 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H](C)c1cc(F)cc(F)c1 ZINC000449318443 338612141 /nfs/dbraw/zinc/61/21/41/338612141.db2.gz GOFDXGMIOYDLON-JTQLQIEISA-N 0 3 308.372 4.198 20 0 DIADHN FC1(CCNCc2cnc(CCc3ccccc3)s2)CCC1 ZINC000449327802 338613101 /nfs/dbraw/zinc/61/31/01/338613101.db2.gz CPTLUCOTPAFRFL-UHFFFAOYSA-N 0 3 318.461 4.300 20 0 DIADHN COC(=O)c1cccc(CN[C@H](C)c2cccc(C3CC3)c2)c1 ZINC000449348515 338616173 /nfs/dbraw/zinc/61/61/73/338616173.db2.gz GZPHDDRYVBEHAT-CQSZACIVSA-N 0 3 309.409 4.201 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1ccc(-n2cccn2)cc1 ZINC000449349468 338616187 /nfs/dbraw/zinc/61/61/87/338616187.db2.gz JSPYLXZUFOVSFN-HXUWFJFHSA-N 0 3 321.399 4.179 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@@H]1CCn2ccnc21 ZINC000449370066 338618474 /nfs/dbraw/zinc/61/84/74/338618474.db2.gz IBIRLVNVJQQUQA-DZGCQCFKSA-N 0 3 324.255 4.246 20 0 DIADHN Fc1ccccc1C1CC(NCc2cccc(C(F)(F)F)n2)C1 ZINC000449400035 338620698 /nfs/dbraw/zinc/62/06/98/338620698.db2.gz GQUWAUPJUSFZAS-UHFFFAOYSA-N 0 3 324.321 4.275 20 0 DIADHN C[C@@H](NCc1cccc(C(F)(F)F)n1)[C@@H](C)c1ccccc1 ZINC000449401329 338621021 /nfs/dbraw/zinc/62/10/21/338621021.db2.gz MHTHCDJMBDSIRY-CHWSQXEVSA-N 0 3 308.347 4.382 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@H]2CCCC[C@H]2CC(F)(F)F)c1 ZINC000449432605 338623729 /nfs/dbraw/zinc/62/37/29/338623729.db2.gz IHCQDLAKAUFKMW-FZMZJTMJSA-N 0 3 305.315 4.132 20 0 DIADHN CO[C@@](C)(CNCc1ccc(SC)s1)c1ccccc1 ZINC000449483499 338629608 /nfs/dbraw/zinc/62/96/08/338629608.db2.gz IZCKKVJDWKMSII-INIZCTEOSA-N 0 3 307.484 4.121 20 0 DIADHN CCn1ccnc1[C@H](C)NC1CC(c2ccccc2Cl)C1 ZINC000449565018 338638189 /nfs/dbraw/zinc/63/81/89/338638189.db2.gz FUBYJAVQKCVMCA-HSBZDZAISA-N 0 3 303.837 4.153 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H]2CCC[C@H]2CCc2ccccc2)c2nccn21 ZINC000449568019 338638533 /nfs/dbraw/zinc/63/85/33/338638533.db2.gz AFUICVHLAPXZMU-LULLPPNCSA-N 0 3 309.457 4.280 20 0 DIADHN C[C@@H]1C[C@@H](NC2CC(c3cccc(Cl)c3)C2)c2nccn21 ZINC000449568925 338638609 /nfs/dbraw/zinc/63/86/09/338638609.db2.gz FAEIKNVOFUGJIP-MLVVQWTHSA-N 0 3 301.821 4.078 20 0 DIADHN C[C@H](N[C@@H]1C[C@H](C)n2ccnc21)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449575246 338639366 /nfs/dbraw/zinc/63/93/66/338639366.db2.gz XGLOGLCEZJTDFP-JZRPKSSGSA-N 0 3 321.877 4.386 20 0 DIADHN CCSCc1ccc(NCc2cnccc2N(C)C)cc1 ZINC000449596497 338640362 /nfs/dbraw/zinc/64/03/62/338640362.db2.gz BNEGHKMRHBYLKU-UHFFFAOYSA-N 0 3 301.459 4.013 20 0 DIADHN CCCc1ncc(CNC2CCC(c3ccc(O)cc3)CC2)o1 ZINC000449609715 338640683 /nfs/dbraw/zinc/64/06/83/338640683.db2.gz CWGGKZUZCKIARS-UHFFFAOYSA-N 0 3 314.429 4.149 20 0 DIADHN CCc1ncc(CN[C@H](C)[C@H](C)c2nc3ccccc3s2)o1 ZINC000449610704 338641010 /nfs/dbraw/zinc/64/10/10/338641010.db2.gz RSSBBSOGBPGODS-NWDGAFQWSA-N 0 3 315.442 4.129 20 0 DIADHN Clc1ccccc1CN[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000449618628 338641786 /nfs/dbraw/zinc/64/17/86/338641786.db2.gz BKUHCTXQAUUHBH-UKRRQHHQSA-N 0 3 307.846 4.411 20 0 DIADHN Fc1cc(F)cc(CN[C@@H]2CCO[C@@H](c3cccs3)C2)c1 ZINC000449631414 338643101 /nfs/dbraw/zinc/64/31/01/338643101.db2.gz WINGKFSLHPJMMG-HUUCEWRRSA-N 0 3 309.381 4.036 20 0 DIADHN COc1ccc(CNC2(C(C)(C)c3ccccc3)CC2)cc1O ZINC000449714018 338647714 /nfs/dbraw/zinc/64/77/14/338647714.db2.gz BBYDHOSLYPDCAZ-UHFFFAOYSA-N 0 3 311.425 4.001 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)cc1C ZINC000449766969 338651100 /nfs/dbraw/zinc/65/11/00/338651100.db2.gz PDOAKEVEHKRBPN-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN CCC[C@H]1CCC[C@H]1C(=O)Nc1cc(CN(C)C)ccc1C ZINC000450101092 338667109 /nfs/dbraw/zinc/66/71/09/338667109.db2.gz BYCRFDQKLMPHPJ-DLBZAZTESA-N 0 3 302.462 4.212 20 0 DIADHN CC(C)Oc1ccc2nccc(N(C)Cc3cscn3)c2c1 ZINC000450280065 338675120 /nfs/dbraw/zinc/67/51/20/338675120.db2.gz XBDUTBVKHMGQCK-UHFFFAOYSA-N 0 3 313.426 4.115 20 0 DIADHN COC[C@H](NCCC(C)(F)F)c1cccc(C(F)(F)F)c1 ZINC000450676206 338698101 /nfs/dbraw/zinc/69/81/01/338698101.db2.gz WRQAOBFIBXSSCZ-LBPRGKRZSA-N 0 3 311.294 4.028 20 0 DIADHN Cc1ccc2nccc(N3CCCC[C@@H]3[C@H]3CCC[C@@H]3O)c2c1 ZINC000450687747 338699198 /nfs/dbraw/zinc/69/91/98/338699198.db2.gz VRQUVHCSRCCKBV-ZTNFWEORSA-N 0 3 310.441 4.063 20 0 DIADHN CO[C@H](CN[C@H](CC(C)C)c1ccccn1)Cc1ccccc1 ZINC000450720432 338701019 /nfs/dbraw/zinc/70/10/19/338701019.db2.gz SZEBYWOQEJYKLV-AZUAARDMSA-N 0 3 312.457 4.016 20 0 DIADHN CC(C)c1ccc(-c2ncc(CN[C@H](C)[C@H](C)CO)s2)cc1 ZINC000450726702 338701812 /nfs/dbraw/zinc/70/18/12/338701812.db2.gz GODQSKMMDQEPSO-ZIAGYGMSSA-N 0 3 318.486 4.040 20 0 DIADHN CCc1cc(CCCN[C@@H](C)c2nc(C(C)(C)C)cs2)on1 ZINC000450729222 338702056 /nfs/dbraw/zinc/70/20/56/338702056.db2.gz ORYRUOINMIQPOJ-LBPRGKRZSA-N 0 3 321.490 4.274 20 0 DIADHN CC(C)(NCC1CC(F)(F)C1)c1nc(C(F)(F)F)cs1 ZINC000450737075 338702578 /nfs/dbraw/zinc/70/25/78/338702578.db2.gz KHTDSVFDOZPSLO-UHFFFAOYSA-N 0 3 314.323 4.032 20 0 DIADHN C[C@@H](NC[C@@H]1CCCC1(F)F)c1nc2c(s1)CCCC2 ZINC000450755677 338703854 /nfs/dbraw/zinc/70/38/54/338703854.db2.gz FPFLRWPVYUGKMD-MNOVXSKESA-N 0 3 300.418 4.108 20 0 DIADHN C[C@H](NC1CCSCC1)c1cc(Br)ccc1F ZINC000163261118 338715926 /nfs/dbraw/zinc/71/59/26/338715926.db2.gz YNWBKVAQLPYXKZ-VIFPVBQESA-N 0 3 318.255 4.134 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000451162246 338723701 /nfs/dbraw/zinc/72/37/01/338723701.db2.gz MRRIHLLJKJPBOP-OSRDXIQISA-N 0 3 301.352 4.144 20 0 DIADHN CCC1(NCc2nnc(-c3cccc(Cl)c3)o2)CCCC1 ZINC000451270718 338727884 /nfs/dbraw/zinc/72/78/84/338727884.db2.gz CPAFLUXYUSDBCZ-UHFFFAOYSA-N 0 3 305.809 4.202 20 0 DIADHN FC(F)(F)c1csc(C2CCN(CCC3CC3)CC2)n1 ZINC000451294027 338728565 /nfs/dbraw/zinc/72/85/65/338728565.db2.gz IUTCRZMYLXNIHY-UHFFFAOYSA-N 0 3 304.381 4.141 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)C(=O)Nc2ccc(C)cc2C)c1 ZINC000452085885 338753306 /nfs/dbraw/zinc/75/33/06/338753306.db2.gz MKMGWYUKSFIGHA-IRXDYDNUSA-N 0 3 310.441 4.290 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cccc(O)c1)c1ccsc1 ZINC000194849006 338766474 /nfs/dbraw/zinc/76/64/74/338766474.db2.gz MPKYEWNWFHVHDL-KDOFPFPSSA-N 0 3 318.486 4.187 20 0 DIADHN CSc1ccccc1[C@@H](C)N[C@H](C)Cc1c(C)noc1C ZINC000194896408 338775627 /nfs/dbraw/zinc/77/56/27/338775627.db2.gz LAYXVUHXTKMJSI-VXGBXAGGSA-N 0 3 304.459 4.295 20 0 DIADHN CCc1cnc(CCN[C@H](C)c2ccccc2SC)s1 ZINC000194904159 338776286 /nfs/dbraw/zinc/77/62/86/338776286.db2.gz FCXMTHVSMSZHOW-GFCCVEGCSA-N 0 3 306.500 4.321 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@@H](C)[C@H](O)Cc1ccccc1 ZINC000194934773 338783006 /nfs/dbraw/zinc/78/30/06/338783006.db2.gz CMCMQAKVCPYYGP-RLFYNMQTSA-N 0 3 315.482 4.051 20 0 DIADHN C[C@H](CCCc1cccnc1)N[C@@H](C)c1ccc(F)cc1F ZINC000453054218 338788118 /nfs/dbraw/zinc/78/81/18/338788118.db2.gz HAEZPQOABPRDMX-KGLIPLIRSA-N 0 3 304.384 4.422 20 0 DIADHN CC[C@H](NC[C@@H](c1ccccc1Cl)N(C)C)c1ccncc1 ZINC000453059525 338788688 /nfs/dbraw/zinc/78/86/88/338788688.db2.gz QNNBWUANSGEFJZ-ROUUACIJSA-N 0 3 317.864 4.079 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccc3c(c2)OCCO3)c2sccc21 ZINC000453060032 338788771 /nfs/dbraw/zinc/78/87/71/338788771.db2.gz RDAAQWTXNMVEAL-IUODEOHRSA-N 0 3 315.438 4.248 20 0 DIADHN Cc1ccsc1CN[C@@H](C)c1cc(-c2ccccc2)nn1C ZINC000453061455 338788874 /nfs/dbraw/zinc/78/88/74/338788874.db2.gz BOUZAASBSFYENI-AWEZNQCLSA-N 0 3 311.454 4.308 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccnc2-n2cccn2)c2sccc21 ZINC000453076587 338790883 /nfs/dbraw/zinc/79/08/83/338790883.db2.gz JEQOKTCZGIBFGK-XJKSGUPXSA-N 0 3 324.453 4.057 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@@H]2CCCc3c2cnn3C)c2sccc21 ZINC000453095871 338793182 /nfs/dbraw/zinc/79/31/82/338793182.db2.gz VESAQYSNYTZPPQ-KCPJHIHWSA-N 0 3 301.459 4.087 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000453102296 338794172 /nfs/dbraw/zinc/79/41/72/338794172.db2.gz XXCKOYOWCACQJY-UEKVPHQBSA-N 0 3 324.255 4.417 20 0 DIADHN CC[C@H](N[C@@H]1CCN(c2ncccc2Cl)C1)c1ccsc1 ZINC000453131121 338797718 /nfs/dbraw/zinc/79/77/18/338797718.db2.gz GGMSWOIGMZTAIX-HIFRSBDPSA-N 0 3 321.877 4.116 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@@H]1CC[C@H](C)c2ccsc21 ZINC000453138937 338798500 /nfs/dbraw/zinc/79/85/00/338798500.db2.gz AAWXUQVMDQSACY-GDLCADMTSA-N 0 3 307.484 4.380 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1)c1ccncc1 ZINC000453164798 338801668 /nfs/dbraw/zinc/80/16/68/338801668.db2.gz OIYFISRHUIXUDL-KSZLIROESA-N 0 3 316.832 4.306 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H](C)c1nccn1CC ZINC000453182019 338803788 /nfs/dbraw/zinc/80/37/88/338803788.db2.gz WYJOLGYVRUCJPD-LSDHHAIUSA-N 0 3 303.475 4.125 20 0 DIADHN C[C@H]1C[C@H](NCC2(Cc3ccccc3)CCCC2)c2nccn21 ZINC000453194765 338805812 /nfs/dbraw/zinc/80/58/12/338805812.db2.gz IAXBIOOXWBLQED-WMZOPIPTSA-N 0 3 309.457 4.282 20 0 DIADHN C[C@H]1C[C@@H](NCC(C)(C)c2cccc(Cl)c2F)c2nccn21 ZINC000453194912 338805877 /nfs/dbraw/zinc/80/58/77/338805877.db2.gz JSWSVMCNLQBQEE-SMDDNHRTSA-N 0 3 321.827 4.249 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)Cc1cnccn1)c1ccc(Cl)cc1F ZINC000453199516 338806801 /nfs/dbraw/zinc/80/68/01/338806801.db2.gz SHDAMNHWNQVWDH-YVEFUNNKSA-N 0 3 321.827 4.187 20 0 DIADHN c1cn2c(n1)[C@H](NCC1(Cc3ccccc3)CCCCC1)CC2 ZINC000453199409 338806809 /nfs/dbraw/zinc/80/68/09/338806809.db2.gz PTLQRERNXKLKLT-GOSISDBHSA-N 0 3 309.457 4.111 20 0 DIADHN C[C@H](NC[C@H]1CCC(F)(F)C1)c1ncc(-c2ccccc2)n1C ZINC000453219316 338809653 /nfs/dbraw/zinc/80/96/53/338809653.db2.gz QMYOSWXQOZTXJW-KBPBESRZSA-N 0 3 319.399 4.173 20 0 DIADHN CSC1(CN[C@H](C)c2ccc(Oc3ccccc3)cn2)CC1 ZINC000453220244 338809693 /nfs/dbraw/zinc/80/96/93/338809693.db2.gz PCAKZQLMBBRWOO-CQSZACIVSA-N 0 3 314.454 4.420 20 0 DIADHN CC(C)n1cc([C@@H](C)N[C@@H]2CCCc3c(F)cccc32)cn1 ZINC000453222735 338810093 /nfs/dbraw/zinc/81/00/93/338810093.db2.gz ARKRBKGGVQZVOW-FZKQIMNGSA-N 0 3 301.409 4.331 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCN(C3CCCCC3)C2=O)cs1 ZINC000453237818 338812230 /nfs/dbraw/zinc/81/22/30/338812230.db2.gz ZUDIRBKXHLQHHI-YOEHRIQHSA-N 0 3 320.502 4.031 20 0 DIADHN COC(=O)c1csc([C@H](C)NC[C@H]2CCCCC2(F)F)c1 ZINC000453248672 338814045 /nfs/dbraw/zinc/81/40/45/338814045.db2.gz LKFZFVRMDUJETQ-CMPLNLGQSA-N 0 3 317.401 4.011 20 0 DIADHN CC(C)(CN[C@H]1CCCOc2ccc(F)cc21)c1ccccn1 ZINC000453254533 338814854 /nfs/dbraw/zinc/81/48/54/338814854.db2.gz ANKQCGDWOULVAM-INIZCTEOSA-N 0 3 314.404 4.002 20 0 DIADHN CC[C@H](N[C@H](C)c1cc2cc(Cl)ccc2o1)[C@@H](O)C(F)F ZINC000453268536 338816520 /nfs/dbraw/zinc/81/65/20/338816520.db2.gz KNGYHCIVANNWNI-FDLBOYPASA-N 0 3 317.763 4.141 20 0 DIADHN Cc1cccc([C@H](N[C@H](C)CCCCO)c2cccc(F)c2)c1 ZINC000453281085 338818072 /nfs/dbraw/zinc/81/80/72/338818072.db2.gz HCMLSQHZQFFDRJ-UZLBHIALSA-N 0 3 315.432 4.364 20 0 DIADHN CCOc1cccc2cc([C@H](C)N[C@@H]3CCOC3(C)C)oc21 ZINC000453280668 338818091 /nfs/dbraw/zinc/81/80/91/338818091.db2.gz HECVLAAIIADKHG-BLLLJJGKSA-N 0 3 303.402 4.050 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@@H]1NCc1ccnc(C(F)(F)F)c1 ZINC000453283499 338818535 /nfs/dbraw/zinc/81/85/35/338818535.db2.gz JUCWRDDZDZYRTI-QLJPJBMISA-N 0 3 324.321 4.263 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1ccnc(C)c1 ZINC000453295754 338820164 /nfs/dbraw/zinc/82/01/64/338820164.db2.gz SAPVGMUUSVGMAK-CYBMUJFWSA-N 0 3 324.453 4.068 20 0 DIADHN COc1ccccc1[C@@H](NCc1ccnc(C)c1)C1CCCC1 ZINC000453300469 338820784 /nfs/dbraw/zinc/82/07/84/338820784.db2.gz XJJIJPLJSCBHJW-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN COc1ncc(CN[C@H]2CCCc3ccc(C)cc32)cc1Cl ZINC000453301186 338820952 /nfs/dbraw/zinc/82/09/52/338820952.db2.gz WXDFWYMRIBYEPE-KRWDZBQOSA-N 0 3 316.832 4.219 20 0 DIADHN COc1ncc(CN[C@@H]2CCCc3ccc(C)cc32)cc1Cl ZINC000453301187 338820971 /nfs/dbraw/zinc/82/09/71/338820971.db2.gz WXDFWYMRIBYEPE-QGZVFWFLSA-N 0 3 316.832 4.219 20 0 DIADHN C[C@@H](NCC1(CF)CCOCC1)c1ccc(Cl)cc1Cl ZINC000453306827 338821731 /nfs/dbraw/zinc/82/17/31/338821731.db2.gz JIVINILGPVXITD-LLVKDONJSA-N 0 3 320.235 4.410 20 0 DIADHN COc1ccc2c(c1)[C@H](NC[C@H]1CCCC1(F)F)CCS2 ZINC000453313841 338823103 /nfs/dbraw/zinc/82/31/03/338823103.db2.gz DKKZUAWFSVUTJK-BXUZGUMPSA-N 0 3 313.413 4.257 20 0 DIADHN Cc1csc(CN[C@@H](C[C@H]2CCOC2)c2ccc(F)cc2)c1 ZINC000453323051 338824771 /nfs/dbraw/zinc/82/47/71/338824771.db2.gz RQHHVWFBUGURIY-KDOFPFPSSA-N 0 3 319.445 4.453 20 0 DIADHN CC[C@@H](NCc1cc(C)cs1)c1ccc(C(=O)OC)cc1 ZINC000453325895 338825198 /nfs/dbraw/zinc/82/51/98/338825198.db2.gz VAAZTYSDGZTQEH-MRXNPFEDSA-N 0 3 303.427 4.084 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1cc(-c2ccccc2)nn1C ZINC000453326542 338825276 /nfs/dbraw/zinc/82/52/76/338825276.db2.gz YFBZOKAPINPNBV-ZFWWWQNUSA-N 0 3 319.399 4.173 20 0 DIADHN CC/C=C/CN[C@H](C)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000453328556 338825784 /nfs/dbraw/zinc/82/57/84/338825784.db2.gz UFJUPDGTGXXDJQ-NFBGWVBBSA-N 0 3 321.486 4.020 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](c2ccc(Cl)cc2)C1)c1nccn1C ZINC000453337107 338827047 /nfs/dbraw/zinc/82/70/47/338827047.db2.gz AAXBYNYDBFTPLK-LXZKKBNFSA-N 0 3 317.864 4.451 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCN(C)c3ccccc32)cc1 ZINC000453344435 338828321 /nfs/dbraw/zinc/82/83/21/338828321.db2.gz DZOVRGQBBIEHAP-HNAYVOBHSA-N 0 3 310.441 4.317 20 0 DIADHN CC(C)(CN[C@H](c1ccccc1)c1cncnc1)C1=CCCC1 ZINC000453386586 338836174 /nfs/dbraw/zinc/83/61/74/338836174.db2.gz DSAPMQZSZPEANP-LJQANCHMSA-N 0 3 307.441 4.292 20 0 DIADHN COc1ccc2oc([C@H](C)N[C@H](C)[C@H](OC)C3CC3)c(C)c2c1 ZINC000453392506 338837020 /nfs/dbraw/zinc/83/70/20/338837020.db2.gz ZMYPSNOTJZKCGO-QUJCMNEKSA-N 0 3 317.429 4.214 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1ccc2c(c1)OCO2 ZINC000453393995 338837349 /nfs/dbraw/zinc/83/73/49/338837349.db2.gz ZUXMTQGOWLQQSN-YOEHRIQHSA-N 0 3 324.424 4.037 20 0 DIADHN CC(=O)NCCc1ccc([C@H](C)N[C@@H](C)c2cccc(C)c2)cc1 ZINC000453399284 338838219 /nfs/dbraw/zinc/83/82/19/338838219.db2.gz NNFIABPQWSNRDJ-IRXDYDNUSA-N 0 3 324.468 4.085 20 0 DIADHN c1ccc([C@H](NCC2(C3CCC3)CCC2)c2cncnc2)cc1 ZINC000453403423 338838991 /nfs/dbraw/zinc/83/89/91/338838991.db2.gz QPLBSTZHTKFBAW-IBGZPJMESA-N 0 3 307.441 4.126 20 0 DIADHN C[C@H](N[C@H]1CC[C@H](c2cccc(Cl)c2)C1)c1nccn1C ZINC000453404951 338839123 /nfs/dbraw/zinc/83/91/23/338839123.db2.gz SCGRFCUCQVBMLT-NOLJZWGESA-N 0 3 303.837 4.060 20 0 DIADHN C[C@@H](NC[C@@H]1CC[C@@H](C)O1)c1ccc(Oc2ccccc2)cn1 ZINC000453416568 338840666 /nfs/dbraw/zinc/84/06/66/338840666.db2.gz UUKYNGSSZXVOJU-INMHGKMJSA-N 0 3 312.413 4.092 20 0 DIADHN Fc1ccc([C@@H](NCCC2CC(F)(F)C2)c2ccccn2)cc1 ZINC000453420485 338840981 /nfs/dbraw/zinc/84/09/81/338840981.db2.gz LOKZRVJJYZQKSQ-QGZVFWFLSA-N 0 3 320.358 4.335 20 0 DIADHN CC[C@]1(C(C)C)C[C@H]1N[C@@H]1CCC(=O)Nc2ccc(F)cc21 ZINC000453458332 338843394 /nfs/dbraw/zinc/84/33/94/338843394.db2.gz VWYPNPBAHMZNAH-QGPMSJSTSA-N 0 3 304.409 4.013 20 0 DIADHN CSCCCCNCc1sc(C)cc1Br ZINC000453588672 338849498 /nfs/dbraw/zinc/84/94/98/338849498.db2.gz LYZHVMDVMDYRRQ-UHFFFAOYSA-N 0 3 308.310 4.052 20 0 DIADHN CCSCc1ccc(NC(=O)[C@@H](C)NC(C)(C)CC)cc1 ZINC000456482892 338931610 /nfs/dbraw/zinc/93/16/10/338931610.db2.gz FVONJAWRKJMFRI-CYBMUJFWSA-N 0 3 308.491 4.045 20 0 DIADHN CCC(CC)(C(=O)Nc1cc(C)cc(CN)c1)c1ccccc1 ZINC000457376388 338960888 /nfs/dbraw/zinc/96/08/88/338960888.db2.gz LZXYVWUGDSPRGI-UHFFFAOYSA-N 0 3 310.441 4.150 20 0 DIADHN CC(C)c1cccc(CC(=O)Nc2ccc(CN(C)C)cc2)c1 ZINC000457425913 338963084 /nfs/dbraw/zinc/96/30/84/338963084.db2.gz VUTGRPHZPMXVDK-UHFFFAOYSA-N 0 3 310.441 4.053 20 0 DIADHN CCOc1cc(CN2CCC[C@@H]2c2cccs2)ccc1O ZINC000459295361 339019864 /nfs/dbraw/zinc/01/98/64/339019864.db2.gz PWNVBWSDXBRWNB-CQSZACIVSA-N 0 3 303.427 4.190 20 0 DIADHN CN(CCc1cccc2ccccc21)Cc1cnc(C2CC2)nc1 ZINC000459324947 339021883 /nfs/dbraw/zinc/02/18/83/339021883.db2.gz LHDXBLUDBOIZCL-UHFFFAOYSA-N 0 3 317.436 4.182 20 0 DIADHN FC1(F)CCC(CN2CCN(CC3CCCCC3)CC2)CC1 ZINC000459333563 339022488 /nfs/dbraw/zinc/02/24/88/339022488.db2.gz ZCECJDBMZKTDPS-UHFFFAOYSA-N 0 3 314.464 4.010 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2cnc(C3CC3)nc2)cc1 ZINC000459357082 339024131 /nfs/dbraw/zinc/02/41/31/339024131.db2.gz CQHOFFNAMFDTRF-PMACEKPBSA-N 0 3 321.468 4.431 20 0 DIADHN Cc1ccc([C@H]2CCC[C@H]2N(C)Cc2cnc(C3CC3)nc2)cc1 ZINC000459357118 339024227 /nfs/dbraw/zinc/02/42/27/339024227.db2.gz CQHOFFNAMFDTRF-WOJBJXKFSA-N 0 3 321.468 4.431 20 0 DIADHN CC1CCC(N2CCN(CC3CCC(F)(F)CC3)CC2)CC1 ZINC000459376810 339025601 /nfs/dbraw/zinc/02/56/01/339025601.db2.gz NRQWXMCPKYIHJK-UHFFFAOYSA-N 0 3 314.464 4.008 20 0 DIADHN Cc1csc([C@H](NCC2CCC(F)(F)CC2)C2CC2)n1 ZINC000459391715 339026573 /nfs/dbraw/zinc/02/65/73/339026573.db2.gz CTKUOQQTFHCFJL-CYBMUJFWSA-N 0 3 300.418 4.318 20 0 DIADHN CC(C)OC1CC(N2CCc3cc(-c4ccccc4)oc3C2)C1 ZINC000459391388 339026616 /nfs/dbraw/zinc/02/66/16/339026616.db2.gz PTKDJUAAORVSCP-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Fc1ccc(C2(NCc3cnc(C4CC4)nc3)CCCC2)cc1 ZINC000459401394 339027213 /nfs/dbraw/zinc/02/72/13/339027213.db2.gz NQGZCPSYKJJSNQ-UHFFFAOYSA-N 0 3 311.404 4.052 20 0 DIADHN Cn1c2ccccc2nc1C(C)(C)NCC1CCC(F)(F)CC1 ZINC000459407400 339027485 /nfs/dbraw/zinc/02/74/85/339027485.db2.gz MBDAZFJERDKAPG-UHFFFAOYSA-N 0 3 321.415 4.224 20 0 DIADHN Cc1cnc([C@@H](NCCc2cccc(Cl)c2)C2CC2)s1 ZINC000459430244 339028793 /nfs/dbraw/zinc/02/87/93/339028793.db2.gz XNVYQPOXSUQXHK-HNNXBMFYSA-N 0 3 306.862 4.388 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1cnc(C2CC2)nc1 ZINC000459472565 339031207 /nfs/dbraw/zinc/03/12/07/339031207.db2.gz CAUOPNUMWUHZJU-AUUYWEPGSA-N 0 3 307.441 4.157 20 0 DIADHN CCn1ccnc1[C@H]1CCCCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC000459509283 339033135 /nfs/dbraw/zinc/03/31/35/339033135.db2.gz KABYHVDMYDMBSQ-GBESFXJTSA-N 0 3 309.457 4.234 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2cnc(C3CC3)nc2)C1 ZINC000459559319 339035631 /nfs/dbraw/zinc/03/56/31/339035631.db2.gz BISQMAOXPCCKSL-SHTZXODSSA-N 0 3 313.832 4.043 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc(C2CC2)cc1)c1cccs1 ZINC000459561065 339035888 /nfs/dbraw/zinc/03/58/88/339035888.db2.gz LXKNUOXQLAQTGP-SFHVURJKSA-N 0 3 315.482 4.475 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@H](c3cccc(Cl)c3)C2)no1 ZINC000459565429 339036225 /nfs/dbraw/zinc/03/62/25/339036225.db2.gz UTZJPCSIEQGVBP-GOEBONIOSA-N 0 3 304.821 4.452 20 0 DIADHN CC1(C)Cc2ccsc2CN1Cc1cc2ccccc2[nH]c1=O ZINC000459579167 339037165 /nfs/dbraw/zinc/03/71/65/339037165.db2.gz JDJGWJIHQWMAMC-UHFFFAOYSA-N 0 3 324.449 4.339 20 0 DIADHN CC(F)(F)c1ccccc1NC1CCN(c2ccncc2)CC1 ZINC000459591085 339037789 /nfs/dbraw/zinc/03/77/89/339037789.db2.gz ZRMQAGVKEYEIEK-UHFFFAOYSA-N 0 3 317.383 4.274 20 0 DIADHN Cc1cc(-c2nnc(CN[C@]3(C)CCCC[C@H]3C)o2)c(C)o1 ZINC000459608041 339038276 /nfs/dbraw/zinc/03/82/76/339038276.db2.gz HNIFZYFXOUBNAN-PIGZYNQJSA-N 0 3 303.406 4.005 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1nnc(-c2ccccc2)s1 ZINC000459618256 339038698 /nfs/dbraw/zinc/03/86/98/339038698.db2.gz SGPIEFSZXRXSDR-CXAGYDPISA-N 0 3 301.459 4.264 20 0 DIADHN Fc1cccc(-c2ccc(CN3CC4(C3)CCOCC4)s2)c1 ZINC000459618604 339038704 /nfs/dbraw/zinc/03/87/04/339038704.db2.gz KZSUEFZUYUQTAM-UHFFFAOYSA-N 0 3 317.429 4.167 20 0 DIADHN COCC1(C)CN(Cc2ccc(-c3ccc(F)cc3)s2)C1 ZINC000459623049 339038835 /nfs/dbraw/zinc/03/88/35/339038835.db2.gz OYFOUIYWODNHMF-UHFFFAOYSA-N 0 3 305.418 4.023 20 0 DIADHN C[C@@H]1CCCC[C@@]1(C)NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000459623153 339038895 /nfs/dbraw/zinc/03/88/95/339038895.db2.gz YUPUELNSGRKNIG-SJKOYZFVSA-N 0 3 319.836 4.448 20 0 DIADHN C[C@H](NC1CC(c2cccc(Cl)c2)C1)c1cncc(F)c1 ZINC000459645774 339040028 /nfs/dbraw/zinc/04/00/28/339040028.db2.gz HLAGSMWGANDGRU-BLQFLSLASA-N 0 3 304.796 4.471 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@@H](C)Cc1ccn(C(C)C)n1 ZINC000459646414 339040201 /nfs/dbraw/zinc/04/02/01/339040201.db2.gz CBVVFVKCIDUOSE-JKSUJKDBSA-N 0 3 315.461 4.063 20 0 DIADHN CSc1ccccc1C[C@@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000459651643 339040558 /nfs/dbraw/zinc/04/05/58/339040558.db2.gz PQMNTVUPWPBWGI-OLZOCXBDSA-N 0 3 304.434 4.224 20 0 DIADHN Cc1nccn1-c1ccc([C@H](C)NCc2ccc(C)c(C)n2)cc1 ZINC000459659547 339041187 /nfs/dbraw/zinc/04/11/87/339041187.db2.gz DIPZQFYWHAUUGK-INIZCTEOSA-N 0 3 320.440 4.043 20 0 DIADHN C[C@@H](NCC1(Cc2cccc(Cl)c2)CC1)c1ccccn1 ZINC000459664499 339041516 /nfs/dbraw/zinc/04/15/16/339041516.db2.gz BNHYNQBCWZHUQQ-CQSZACIVSA-N 0 3 300.833 4.409 20 0 DIADHN CN(Cc1cnc(C2CC2)nc1)[C@H]1CCC[C@H]1c1ccccc1 ZINC000459667894 339041802 /nfs/dbraw/zinc/04/18/02/339041802.db2.gz DKGWYXNGXSWDQQ-OALUTQOASA-N 0 3 307.441 4.122 20 0 DIADHN Cc1ncc(CN[C@@H]2CCC[C@H]2c2ccc(C(F)(F)F)cc2)o1 ZINC000459675449 339042399 /nfs/dbraw/zinc/04/23/99/339042399.db2.gz MLXOXEZUNMEKLS-JKSUJKDBSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1ncc(CN[C@H]2CCC[C@@H]2c2cccc(C(F)(F)F)c2)o1 ZINC000459681836 339042899 /nfs/dbraw/zinc/04/28/99/339042899.db2.gz YBCWTROZAGNFDK-CVEARBPZSA-N 0 3 324.346 4.428 20 0 DIADHN [O-]c1cc(C[NH2+]C2CCC(C(F)F)CC2)cc(C(F)(F)F)c1 ZINC000459713267 339043955 /nfs/dbraw/zinc/04/39/55/339043955.db2.gz OHUQINREGAHEKX-UHFFFAOYSA-N 0 3 323.305 4.325 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000459757238 339046598 /nfs/dbraw/zinc/04/65/98/339046598.db2.gz PTWUNCUGXDQHRN-WDEREUQCSA-N 0 3 312.244 4.009 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCC[C@@H]4C[C@@H]43)cs2)c1 ZINC000459762242 339046887 /nfs/dbraw/zinc/04/68/87/339046887.db2.gz BGPPVRVDJBEVHP-OAUYIBNBSA-N 0 3 314.454 4.097 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2ccccc2F)s1 ZINC000459771282 339047421 /nfs/dbraw/zinc/04/74/21/339047421.db2.gz HPMWXFVWVOCISC-YODMDTAWSA-N 0 3 317.433 4.183 20 0 DIADHN FC(F)(F)c1ccc2oc(CN[C@H]3CCC[C@@H]4C[C@@H]43)nc2c1 ZINC000459775038 339047805 /nfs/dbraw/zinc/04/78/05/339047805.db2.gz JRWDMTHOJNGRQP-USWWRNFRSA-N 0 3 310.319 4.125 20 0 DIADHN C[C@H](c1ccc(CN2C[C@@H]3C[C@H]2CS3)cc1)C(F)(F)F ZINC000459787289 339048582 /nfs/dbraw/zinc/04/85/82/339048582.db2.gz DGRAKECTFAGCMN-SWHYSGLUSA-N 0 3 301.377 4.042 20 0 DIADHN Cc1cccc([C@H](C)NCc2cncc(Br)c2)c1 ZINC000166494754 339053289 /nfs/dbraw/zinc/05/32/89/339053289.db2.gz AHPVHHSROKCVOG-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN c1ccc(-c2cnc(CN[C@@H]3CCCC[C@@H]3C3CC3)nc2)cc1 ZINC000461087854 339058937 /nfs/dbraw/zinc/05/89/37/339058937.db2.gz SRTFJBGOWLYEFH-RTBURBONSA-N 0 3 307.441 4.202 20 0 DIADHN CC[C@H](C(=O)N(C)[C@@H](C)C1CCN(C)CC1)C1CCC(C)CC1 ZINC000461110764 339059536 /nfs/dbraw/zinc/05/95/36/339059536.db2.gz RSULXHQASZQZIG-JZRYZNKLSA-N 0 3 322.537 4.028 20 0 DIADHN C[C@H](CCO)NCc1ccccc1Oc1ccc(F)cc1Cl ZINC000461421322 339063602 /nfs/dbraw/zinc/06/36/02/339063602.db2.gz HICXESUDIOAOLC-GFCCVEGCSA-N 0 3 323.795 4.132 20 0 DIADHN CC[C@H](C(=O)N1CCCCC[C@H]1c1ccc(C)o1)N(CC)CC ZINC000461654281 339065386 /nfs/dbraw/zinc/06/53/86/339065386.db2.gz HCYLGCKASHNLMH-SJORKVTESA-N 0 3 320.477 4.152 20 0 DIADHN CC(C)c1ccc(C[C@H]2CCN(Cc3cncs3)C2)cc1 ZINC000462068501 339071854 /nfs/dbraw/zinc/07/18/54/339071854.db2.gz APGWYHZGUBJWSL-MRXNPFEDSA-N 0 3 300.471 4.331 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1nc2ccccc2n1C1CC1 ZINC000462070025 339071866 /nfs/dbraw/zinc/07/18/66/339071866.db2.gz UORGWMXFZUOVGF-KRWDZBQOSA-N 0 3 319.452 4.482 20 0 DIADHN C[C@H](NCCOCC1CCC1)c1ccc(OC(F)(F)F)cc1 ZINC000462351681 339076410 /nfs/dbraw/zinc/07/64/10/339076410.db2.gz ILXPXDLQFIJUSM-LBPRGKRZSA-N 0 3 317.351 4.053 20 0 DIADHN COc1ccc(CN[C@@H](C)COc2cccc(F)c2)cc1Cl ZINC000462361209 339076646 /nfs/dbraw/zinc/07/66/46/339076646.db2.gz RGTRWLCBFBESBE-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CC[C@@H](C)NC(=O)CN[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462463141 339079459 /nfs/dbraw/zinc/07/94/59/339079459.db2.gz KAIHKMRMZNFRJE-CHWSQXEVSA-N 0 3 318.848 4.059 20 0 DIADHN C[C@H](NCCCc1ccncc1)c1nc(C(C)(C)C)cs1 ZINC000462478826 339079772 /nfs/dbraw/zinc/07/97/72/339079772.db2.gz JZMXUHGVSRFNPL-ZDUSSCGKSA-N 0 3 303.475 4.119 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000462505821 339080280 /nfs/dbraw/zinc/08/02/80/339080280.db2.gz VKWICJOFFZFRSW-IRXDYDNUSA-N 0 3 310.441 4.105 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@@H]1NCc1ccc2c[nH]nc2c1 ZINC000462541623 339080736 /nfs/dbraw/zinc/08/07/36/339080736.db2.gz FSWPLGYZFFYFBN-JLTOFOAXSA-N 0 3 305.425 4.366 20 0 DIADHN CC(C)Cn1cc(CN[C@H]2c3ccccc3CCC[C@H]2C)cn1 ZINC000462542114 339080746 /nfs/dbraw/zinc/08/07/46/339080746.db2.gz BETIKDZNRQRPCB-OXQOHEQNSA-N 0 3 311.473 4.342 20 0 DIADHN Cc1cccc2ncc(CN[C@@H]3c4ccccc4CCC[C@H]3C)n21 ZINC000462543148 339080987 /nfs/dbraw/zinc/08/09/87/339080987.db2.gz RFAQRKCLISMVKU-VFNWGFHPSA-N 0 3 319.452 4.446 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@@H]1NCc1cnn2ccccc12 ZINC000462547526 339081094 /nfs/dbraw/zinc/08/10/94/339081094.db2.gz HMHPVDQKNAZMQO-WIYYLYMNSA-N 0 3 319.452 4.384 20 0 DIADHN Cc1cccn2c(CN[C@H]3c4ccccc4CCC[C@@H]3C)cnc12 ZINC000462550426 339081231 /nfs/dbraw/zinc/08/12/31/339081231.db2.gz XMWYNCCAMBAPBY-MGPUTAFESA-N 0 3 319.452 4.446 20 0 DIADHN C[C@@H](CNCc1cc(F)ccc1F)Oc1cccc(Cl)c1 ZINC000462734652 339085633 /nfs/dbraw/zinc/08/56/33/339085633.db2.gz NSEVHCIZYQJOEJ-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CC(C)Oc1ccc(CNC[C@@H](C)Oc2cccc(F)c2)cc1 ZINC000462735425 339085691 /nfs/dbraw/zinc/08/56/91/339085691.db2.gz DGVWPYQHTLLUQA-OAHLLOKOSA-N 0 3 317.404 4.170 20 0 DIADHN Fc1ccccc1CNCCc1c[nH]c2ccc(Cl)cc12 ZINC000462735677 339085796 /nfs/dbraw/zinc/08/57/96/339085796.db2.gz LOACCWOYSXVINE-UHFFFAOYSA-N 0 3 302.780 4.293 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccc(F)cc2)cc1Cl ZINC000462738451 339085960 /nfs/dbraw/zinc/08/59/60/339085960.db2.gz YNNWPVXJYHEQEL-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@@H]3CCC[C@@H]3C2)c(Cl)c1OC ZINC000462738189 339085978 /nfs/dbraw/zinc/08/59/78/339085978.db2.gz YDVMGDZXPVYUOA-GZBFAFLISA-N 0 3 323.864 4.416 20 0 DIADHN Cc1ccc(CC[C@H](C)NCc2ccccc2Br)o1 ZINC000462738992 339086020 /nfs/dbraw/zinc/08/60/20/339086020.db2.gz MWILGFXRIWTLKP-LBPRGKRZSA-N 0 3 322.246 4.461 20 0 DIADHN CO[C@@H](CNCc1ccc(C)c(C)c1)c1ccc(Cl)cc1 ZINC000462745035 339086510 /nfs/dbraw/zinc/08/65/10/339086510.db2.gz YCVRKFKDTNQLQE-SFHVURJKSA-N 0 3 303.833 4.434 20 0 DIADHN CO[C@H](CNCc1ccc(C)c(F)c1)c1ccc(Cl)cc1 ZINC000462750290 339086950 /nfs/dbraw/zinc/08/69/50/339086950.db2.gz NTGLOWNQBVQUHM-QGZVFWFLSA-N 0 3 307.796 4.265 20 0 DIADHN CCOc1ccccc1CNC[C@@H](C)c1ccc(F)cc1F ZINC000462753705 339087004 /nfs/dbraw/zinc/08/70/04/339087004.db2.gz FAGSLBOIOXMVAD-CYBMUJFWSA-N 0 3 305.368 4.257 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCCCCC1CCCC1 ZINC000462754405 339087080 /nfs/dbraw/zinc/08/70/80/339087080.db2.gz GQGJEJJCRBPSIE-UHFFFAOYSA-N 0 3 321.461 4.163 20 0 DIADHN CO[C@@H](CNC/C=C/c1ccccc1)c1cccc(Cl)c1 ZINC000462752797 339087089 /nfs/dbraw/zinc/08/70/89/339087089.db2.gz IWNODXYCEOFACW-YOCVDRLZSA-N 0 3 301.817 4.331 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(-c3ccccc3)o2)C12CCC2 ZINC000462765401 339087554 /nfs/dbraw/zinc/08/75/54/339087554.db2.gz SOHFNKMGFHGPDS-RBUKOAKNSA-N 0 3 311.425 4.384 20 0 DIADHN Clc1ccc2nc(CN[C@H]3C[C@@H]3C3CCCCC3)cn2c1 ZINC000462768513 339087571 /nfs/dbraw/zinc/08/75/71/339087571.db2.gz YRKDZEFXXFIGJV-CVEARBPZSA-N 0 3 303.837 4.046 20 0 DIADHN OCc1ccc(CNCc2ccc(C3CCCC3)cc2)cc1F ZINC000462776596 339088021 /nfs/dbraw/zinc/08/80/21/339088021.db2.gz JNNPIENJIVGNLM-UHFFFAOYSA-N 0 3 313.416 4.265 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccsc1)c1ccc(C)o1 ZINC000462793922 339089132 /nfs/dbraw/zinc/08/91/32/339089132.db2.gz VKZKSTXCCGZOTI-CZUORRHYSA-N 0 3 307.459 4.338 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](C)c2csc(-c3ccccc3F)n2)C1 ZINC000462796997 339089448 /nfs/dbraw/zinc/08/94/48/339089448.db2.gz ASGPXQDELNODSR-FRRDWIJNSA-N 0 3 320.433 4.167 20 0 DIADHN CCc1ccc([C@@H](NCc2cn(C)nc2C(F)F)C(C)C)cc1 ZINC000462797059 339089453 /nfs/dbraw/zinc/08/94/53/339089453.db2.gz AFCRIMQGGDEWAA-INIZCTEOSA-N 0 3 321.415 4.407 20 0 DIADHN C[C@H](N[C@H]1CSC[C@H]1C)c1csc(-c2ccccc2F)n1 ZINC000462804575 339090123 /nfs/dbraw/zinc/09/01/23/339090123.db2.gz DOJGPKGQMDNTEU-SUNKGSAMSA-N 0 3 322.474 4.351 20 0 DIADHN Cc1cc(C)c([C@H](C)NCc2c3c(nn2C)CCCC3)cc1C ZINC000462806276 339090332 /nfs/dbraw/zinc/09/03/32/339090332.db2.gz JIFWDTLTXASJGQ-INIZCTEOSA-N 0 3 311.473 4.075 20 0 DIADHN CCCC[C@@H](NCc1c2c(nn1C)CCCC2)c1ccccc1 ZINC000462814184 339091263 /nfs/dbraw/zinc/09/12/63/339091263.db2.gz CXDBUKGNAJKKEI-GOSISDBHSA-N 0 3 311.473 4.320 20 0 DIADHN CCCc1ccc([C@H](C)NCc2c3c(nn2C)CCCC3)cc1 ZINC000462815593 339091390 /nfs/dbraw/zinc/09/13/90/339091390.db2.gz XWWUZPMZTQYXCN-HNNXBMFYSA-N 0 3 311.473 4.102 20 0 DIADHN CC[C@H](NC[C@](C)(OC)C1CC1)c1cc(Cl)ccc1OC ZINC000462818185 339091610 /nfs/dbraw/zinc/09/16/10/339091610.db2.gz VEDVUQGNAYMDFF-RDJZCZTQSA-N 0 3 311.853 4.204 20 0 DIADHN CO[C@](C)(CN[C@H](C)c1nc(-c2ccccc2)cs1)C1CC1 ZINC000462819094 339091787 /nfs/dbraw/zinc/09/17/87/339091787.db2.gz WQOXDXBAJMEYSP-FZKQIMNGSA-N 0 3 316.470 4.276 20 0 DIADHN Cn1nc2c(c1CN[C@@H]1CCC(C)(C)c3ccccc31)CCCC2 ZINC000462821133 339092041 /nfs/dbraw/zinc/09/20/41/339092041.db2.gz POEWHKATULKWQY-GOSISDBHSA-N 0 3 323.484 4.201 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCCOC1CCCCCC1 ZINC000462822280 339092122 /nfs/dbraw/zinc/09/21/22/339092122.db2.gz OIHLTUNCWBBJEA-SFHVURJKSA-N 0 3 303.446 4.099 20 0 DIADHN Oc1ccc2c(c1)C1(CC1)CN(C[C@@H]1C[C@@H]1c1ccccc1)C2 ZINC000462829335 339092858 /nfs/dbraw/zinc/09/28/58/339092858.db2.gz BFXOFEUZUPARSO-PKOBYXMFSA-N 0 3 305.421 4.043 20 0 DIADHN C[C@@H](NCCOCC1CCC1)c1cccc(OC(F)(F)F)c1 ZINC000462831791 339093104 /nfs/dbraw/zinc/09/31/04/339093104.db2.gz DBWVBYLWNZNWBY-GFCCVEGCSA-N 0 3 317.351 4.053 20 0 DIADHN CCCN(C)CC(=O)N[C@H](C)c1ccc(Cl)c2ccccc21 ZINC000462834729 339093275 /nfs/dbraw/zinc/09/32/75/339093275.db2.gz BQCAEVXWNHGBCF-CYBMUJFWSA-N 0 3 318.848 4.012 20 0 DIADHN C[C@@H](CN1Cc2ccc(O)cc2C2(CC2)C1)c1ccc(F)cc1 ZINC000462836963 339093459 /nfs/dbraw/zinc/09/34/59/339093459.db2.gz YBLMUCMWCIOFAM-AWEZNQCLSA-N 0 3 311.400 4.182 20 0 DIADHN CCC1(C)CCC(Nc2cccc(CN3CC[C@@H](O)C3)c2)CC1 ZINC000462865540 339094929 /nfs/dbraw/zinc/09/49/29/339094929.db2.gz BDEXQVFSLWDBJB-SKINQDTJSA-N 0 3 316.489 4.024 20 0 DIADHN C[C@H](Nc1cccc(CN2CC[C@H](O)C2)c1)C1CCCCCC1 ZINC000462869859 339095258 /nfs/dbraw/zinc/09/52/58/339095258.db2.gz IOTVCLCEQSDEGW-JXFKEZNVSA-N 0 3 316.489 4.024 20 0 DIADHN c1cc(NC2CCN(Cc3ccncc3)CC2)cc(C2CCC2)c1 ZINC000462870179 339095492 /nfs/dbraw/zinc/09/54/92/339095492.db2.gz JYRPSAGBRMQSHO-UHFFFAOYSA-N 0 3 321.468 4.426 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1Nc1cccc(CN2CC[C@H](O)C2)c1 ZINC000462878349 339096094 /nfs/dbraw/zinc/09/60/94/339096094.db2.gz RFIHBVTYFFAMHS-YZGWKJHDSA-N 0 3 316.489 4.024 20 0 DIADHN COc1ccc(F)cc1CN[C@H]1C[C@H](c2ccccc2F)C1 ZINC000462896292 339096538 /nfs/dbraw/zinc/09/65/38/339096538.db2.gz PFYYLFMMTBJFCD-NNUKFRKNSA-N 0 3 303.352 4.009 20 0 DIADHN C[C@H]1C[C@@H](c2ccccc2)CCN1Cc1cn(C)nc1C(F)F ZINC000462901942 339096881 /nfs/dbraw/zinc/09/68/81/339096881.db2.gz YULBGLIYZWODFF-ZFWWWQNUSA-N 0 3 319.399 4.126 20 0 DIADHN CCC(CC)c1cc(CNc2cc(C)nc3ccccc32)on1 ZINC000462901123 339096885 /nfs/dbraw/zinc/09/68/85/339096885.db2.gz FVCUWEHHIBRMEX-UHFFFAOYSA-N 0 3 309.413 4.469 20 0 DIADHN CO[C@@](C)(CNCc1cnc(-c2ccc(C)cc2)s1)C1CC1 ZINC000462903547 339096992 /nfs/dbraw/zinc/09/69/92/339096992.db2.gz BJDHVJIKPUYMJG-SFHVURJKSA-N 0 3 316.470 4.023 20 0 DIADHN CO[C@](C)(CNCc1cnc(-c2ccc(C)cc2)s1)C1CC1 ZINC000462903546 339097033 /nfs/dbraw/zinc/09/70/33/339097033.db2.gz BJDHVJIKPUYMJG-GOSISDBHSA-N 0 3 316.470 4.023 20 0 DIADHN C=Cc1ccc(CCNCc2cnc(C(F)(F)F)s2)cc1 ZINC000462906193 339097168 /nfs/dbraw/zinc/09/71/68/339097168.db2.gz MSCKQORHVMDDOF-UHFFFAOYSA-N 0 3 312.360 4.137 20 0 DIADHN CO[C@@](C)(CNCc1ccc(-c2ccc(F)cc2C)o1)C1CC1 ZINC000462907958 339097329 /nfs/dbraw/zinc/09/73/29/339097329.db2.gz WTZJDUUUOBQMFU-IBGZPJMESA-N 0 3 317.404 4.299 20 0 DIADHN COC(=O)c1coc([C@@H](C)N[C@H]2CCCCC23CCCC3)c1 ZINC000462941146 339098445 /nfs/dbraw/zinc/09/84/45/339098445.db2.gz POUVUSLJBOHKQG-CJNGLKHVSA-N 0 3 305.418 4.220 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1N[C@@H]1CCOc2c(F)ccc(F)c21 ZINC000462942001 339098666 /nfs/dbraw/zinc/09/86/66/339098666.db2.gz BVHFBYZQTFMWLP-BYCMXARLSA-N 0 3 313.363 4.296 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000463011711 339100277 /nfs/dbraw/zinc/10/02/77/339100277.db2.gz PSIQWWBFYZXWSD-DNVCBOLYSA-N 0 3 315.844 4.037 20 0 DIADHN CC(C)O[C@@H]1C[C@H](NCc2ncoc2-c2ccccc2)C1(C)C ZINC000463023934 339100991 /nfs/dbraw/zinc/10/09/91/339100991.db2.gz JILSYKJPPWYLDV-DLBZAZTESA-N 0 3 314.429 4.023 20 0 DIADHN CC(C)O[C@@H]1C[C@@H](N[C@@H](c2ccccc2)c2cccnc2)C1(C)C ZINC000463026111 339101169 /nfs/dbraw/zinc/10/11/69/339101169.db2.gz YSSYCWCPOPSQTP-AQNXPRMDSA-N 0 3 324.468 4.353 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2C[C@@H](OC(C)C)C2(C)C)s1 ZINC000463025360 339101194 /nfs/dbraw/zinc/10/11/94/339101194.db2.gz UHFSQWIQOMMCAX-LSDHHAIUSA-N 0 3 310.507 4.023 20 0 DIADHN Fc1ccc(F)c2c1OCC[C@H]2NC[C@H]1C[C@H]1c1ccccc1 ZINC000463025235 339101215 /nfs/dbraw/zinc/10/12/15/339101215.db2.gz OTULQUNGBJIHGC-JKIFEVAISA-N 0 3 315.363 4.182 20 0 DIADHN Cc1cccnc1[C@H](N[C@@H]1C[C@@H](OC(C)C)C1(C)C)C(C)C ZINC000463027808 339101231 /nfs/dbraw/zinc/10/12/31/339101231.db2.gz IOIVBYBRYHZGHN-BRWVUGGUSA-N 0 3 304.478 4.269 20 0 DIADHN C[C@@H](NCCNc1ccccn1)c1ccc(OC(C)(C)C)cc1 ZINC000463026687 339101324 /nfs/dbraw/zinc/10/13/24/339101324.db2.gz BJIUXPUWZOENDI-OAHLLOKOSA-N 0 3 313.445 4.022 20 0 DIADHN CCN(Cc1ccc(-c2ccc(F)cc2)s1)[C@@H]1CCOC1 ZINC000463029927 339101670 /nfs/dbraw/zinc/10/16/70/339101670.db2.gz UIHGZECWEBJNKX-OAHLLOKOSA-N 0 3 305.418 4.165 20 0 DIADHN Cc1ccc(-c2ccc(CN3CC[C@@H](n4cccn4)C3)s2)cc1 ZINC000463030746 339101796 /nfs/dbraw/zinc/10/17/96/339101796.db2.gz DMBOPSMWPLTSLV-QGZVFWFLSA-N 0 3 323.465 4.367 20 0 DIADHN COc1ccc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)cc1 ZINC000463045115 339102939 /nfs/dbraw/zinc/10/29/39/339102939.db2.gz VAFJBBNJMNGXNC-CABCVRRESA-N 0 3 301.352 4.248 20 0 DIADHN COc1c(O)cccc1CN[C@H]1c2ccccc2CCC[C@H]1C ZINC000463055456 339103466 /nfs/dbraw/zinc/10/34/66/339103466.db2.gz JQIKHWODHFHGTQ-AUUYWEPGSA-N 0 3 311.425 4.204 20 0 DIADHN CC[C@H]1CCCN(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463074505 339104424 /nfs/dbraw/zinc/10/44/24/339104424.db2.gz FVVOODGGGHWTFK-NSHDSACASA-N 0 3 323.234 4.212 20 0 DIADHN CN(C[C@@H]1C[C@H]1c1ccccc1)C[C@@H]1OCCc2ccccc21 ZINC000463084662 339105228 /nfs/dbraw/zinc/10/52/28/339105228.db2.gz OHIAKQHUVFOZGP-JBACZVJFSA-N 0 3 307.437 4.036 20 0 DIADHN C[C@@H]1CC[C@@H](C)N(Cc2nc3ccc(Br)cc3o2)C1 ZINC000463100293 339105726 /nfs/dbraw/zinc/10/57/26/339105726.db2.gz XYFFINYMHWHNTJ-GHMZBOCLSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@@H](N(C)Cc1nc2ccc(Br)cc2o1)C1(C)CC1 ZINC000463103879 339105855 /nfs/dbraw/zinc/10/58/55/339105855.db2.gz JNWREBHHIACWNU-SNVBAGLBSA-N 0 3 323.234 4.211 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]1c1ccccc1)c1cnn(Cc2ccccc2)c1 ZINC000463126622 339106462 /nfs/dbraw/zinc/10/64/62/339106462.db2.gz JPMBAIXSAGBTGZ-ORYQWCPZSA-N 0 3 317.436 4.138 20 0 DIADHN CCN(CCC1CC1)Cc1nc2ccc(Br)cc2o1 ZINC000463127259 339106624 /nfs/dbraw/zinc/10/66/24/339106624.db2.gz XZQQVJMMVNENBA-UHFFFAOYSA-N 0 3 323.234 4.212 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cncc(C(F)(F)F)c2)c1 ZINC000463163095 339107718 /nfs/dbraw/zinc/10/77/18/339107718.db2.gz QYJFYXLHSXWAEO-UHFFFAOYSA-N 0 3 308.347 4.434 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1nc2ccc(Br)cc2o1 ZINC000463165650 339107788 /nfs/dbraw/zinc/10/77/88/339107788.db2.gz WZIWCONIWAZFSP-CMPLNLGQSA-N 0 3 323.234 4.353 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2Cc2c(F)cccc2F)C1 ZINC000463206703 339109411 /nfs/dbraw/zinc/10/94/11/339109411.db2.gz RZIIQZHUYVAJFY-CFGMGRTJSA-N 0 3 323.427 4.116 20 0 DIADHN CCCn1nccc1C1CCN([C@H]2C[C@H]2c2ccccc2)CC1 ZINC000463215411 339109758 /nfs/dbraw/zinc/10/97/58/339109758.db2.gz PDYJWDMSYGOYTE-ICSRJNTNSA-N 0 3 309.457 4.029 20 0 DIADHN Brc1ccc2nc(CN3CCCC34CCC4)oc2c1 ZINC000463215952 339109886 /nfs/dbraw/zinc/10/98/86/339109886.db2.gz YYZODGHHWYMWCV-UHFFFAOYSA-N 0 3 321.218 4.109 20 0 DIADHN Clc1cccnc1C1CCN([C@H]2C[C@H]2c2ccccc2)CC1 ZINC000463216454 339109970 /nfs/dbraw/zinc/10/99/70/339109970.db2.gz UXWRAWYNQKWZSQ-WMZOPIPTSA-N 0 3 312.844 4.471 20 0 DIADHN C[C@H](c1ccccc1)N(CCn1cccn1)Cc1ccccc1 ZINC000463222160 339110293 /nfs/dbraw/zinc/11/02/93/339110293.db2.gz WIUPASJMJSQSBN-GOSISDBHSA-N 0 3 305.425 4.147 20 0 DIADHN CC(C)NC(=O)CCN(Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000463234383 339110928 /nfs/dbraw/zinc/11/09/28/339110928.db2.gz SZBBJJNRAJXIGW-GOSISDBHSA-N 0 3 324.468 4.165 20 0 DIADHN c1sc(-c2ccccc2)nc1CNCCCC1CCOCC1 ZINC000463289175 339113687 /nfs/dbraw/zinc/11/36/87/339113687.db2.gz FSPANGIBIGMOJF-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN CC(C(=O)Nc1ccccc1Cc1ccccc1)C(F)(F)F ZINC000463347942 339115399 /nfs/dbraw/zinc/11/53/99/339115399.db2.gz DRPJQWMLUMQHIS-GFCCVEGCSA-N 0 3 307.315 4.414 20 0 DIADHN CCN(Cc1coc(-c2ccc(F)c(F)c2)n1)CC(C)(C)C ZINC000463377695 339116091 /nfs/dbraw/zinc/11/60/91/339116091.db2.gz UVRLQDZEUGOFDP-UHFFFAOYSA-N 0 3 308.372 4.488 20 0 DIADHN c1cnc2sc(CN[C@H]3CCCCC34CCCC4)nc2c1 ZINC000463439669 339117790 /nfs/dbraw/zinc/11/77/90/339117790.db2.gz BRGHWRILVCNEGL-AWEZNQCLSA-N 0 3 301.459 4.284 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)N[C@@H]1C[C@@H](OC(C)C)C1(C)C ZINC000463573779 339121858 /nfs/dbraw/zinc/12/18/58/339121858.db2.gz GKADMZJNSJEYEF-IAOVAPTHSA-N 0 3 321.509 4.189 20 0 DIADHN CCC[C@@H]1CCc2nc(NC(=O)C(C)C(F)(F)F)sc2C1 ZINC000463631188 339122956 /nfs/dbraw/zinc/12/29/56/339122956.db2.gz RMHQQUHEOCSRLS-DTWKUNHWSA-N 0 3 320.380 4.185 20 0 DIADHN CC[C@@H](C)C[C@@H](C)NC(=O)c1ccc(CN(CC)C2CC2)cc1 ZINC000463892506 339128104 /nfs/dbraw/zinc/12/81/04/339128104.db2.gz JNBJOLHWSUOSAZ-HZPDHXFCSA-N 0 3 316.489 4.225 20 0 DIADHN Fc1cccc(Cl)c1CN[C@@H]1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000464068277 339132915 /nfs/dbraw/zinc/13/29/15/339132915.db2.gz RGBXSUOSQSTEHE-HZSPNIEDSA-N 0 3 311.828 4.164 20 0 DIADHN CC(C)Cn1ccnc1CN[C@H]1C[C@H](c2ccccc2)C1(C)C ZINC000464079034 339133502 /nfs/dbraw/zinc/13/35/02/339133502.db2.gz SYRRRMJZINOIQO-MSOLQXFVSA-N 0 3 311.473 4.211 20 0 DIADHN C[C@H]1CCC[C@H](C)N(Cc2nnc(-c3ccccc3)s2)C1 ZINC000464682791 339148648 /nfs/dbraw/zinc/14/86/48/339148648.db2.gz SXHIZAVGIOHLRL-KBPBESRZSA-N 0 3 301.459 4.216 20 0 DIADHN c1ccc([C@H]2Cc3ccccc3CN2CCc2cccnc2)cc1 ZINC000464726083 339149988 /nfs/dbraw/zinc/14/99/88/339149988.db2.gz QMSXHZVKSIHYFG-JOCHJYFZSA-N 0 3 314.432 4.424 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000464727267 339150215 /nfs/dbraw/zinc/15/02/15/339150215.db2.gz BKBQXOAECOFLSS-RDJZCZTQSA-N 0 3 313.445 4.446 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CC(=O)Nc1c(C)cccc1C ZINC000464739947 339150756 /nfs/dbraw/zinc/15/07/56/339150756.db2.gz QSKNLBWWJOSDOU-RHSMWYFYSA-N 0 3 302.462 4.143 20 0 DIADHN Fc1ccc(CN2CC[C@@H](CSc3ccccc3)C2)cc1 ZINC000464762238 339151530 /nfs/dbraw/zinc/15/15/30/339151530.db2.gz UHGAPYKKVNSPOH-MRXNPFEDSA-N 0 3 301.430 4.440 20 0 DIADHN CCN(Cc1cc(C)on1)[C@@H](Cc1ccc(F)cc1)C(C)C ZINC000464767009 339151801 /nfs/dbraw/zinc/15/18/01/339151801.db2.gz BOVSRTMDXMPWPA-SFHVURJKSA-N 0 3 304.409 4.211 20 0 DIADHN Cc1cccc(C)c1OCCCN1CCC(C(F)(F)F)CC1 ZINC000464766671 339151833 /nfs/dbraw/zinc/15/18/33/339151833.db2.gz BDRKNKNZGLHFGE-UHFFFAOYSA-N 0 3 315.379 4.347 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(-c3ccccc3)no2)C1 ZINC000464772956 339152243 /nfs/dbraw/zinc/15/22/43/339152243.db2.gz JVRNJBUCUOKTNC-WBVHZDCISA-N 0 3 316.470 4.448 20 0 DIADHN CC(C)[C@H]1CN(Cc2c(Cl)ccc3cccnc32)CCS1 ZINC000464771032 339152365 /nfs/dbraw/zinc/15/23/65/339152365.db2.gz KHSBAJUOVUHPHI-MRXNPFEDSA-N 0 3 320.889 4.462 20 0 DIADHN FC(F)(F)C1CCN(CCOc2cccc3ccccc32)CC1 ZINC000464780654 339152968 /nfs/dbraw/zinc/15/29/68/339152968.db2.gz YFJRWZPBECQVBS-UHFFFAOYSA-N 0 3 323.358 4.493 20 0 DIADHN C[C@@H](C(=O)Nc1ccccc1-c1ccccc1)N1C[C@@H](C)[C@H](C)C1 ZINC000464837492 339156362 /nfs/dbraw/zinc/15/63/62/339156362.db2.gz LUPJTKOKMXHJSR-ZACQAIPSSA-N 0 3 322.452 4.268 20 0 DIADHN Clc1ccc(-c2nc(CN3C[C@H]4CCC[C@@H]4C3)co2)cc1 ZINC000464844548 339157282 /nfs/dbraw/zinc/15/72/82/339157282.db2.gz BDAHWMPVPMPFBD-ZIAGYGMSSA-N 0 3 302.805 4.227 20 0 DIADHN CC[C@H](C)c1ccccc1NC(=O)[C@H](C)N1C[C@@H]2CCC[C@@H]2C1 ZINC000464886022 339160251 /nfs/dbraw/zinc/16/02/51/339160251.db2.gz AJFHKCOXKYUUPB-LUKYLMHMSA-N 0 3 314.473 4.259 20 0 DIADHN Fc1ccccc1-c1nc(CN2C[C@@H]3CCC[C@H]3C2)cs1 ZINC000464895462 339160812 /nfs/dbraw/zinc/16/08/12/339160812.db2.gz PWUBVHFEKDVONN-STQMWFEESA-N 0 3 302.418 4.181 20 0 DIADHN CCc1ccc(-c2ccc(CNCC(O)(CC)CC)o2)cc1 ZINC000465008703 339165396 /nfs/dbraw/zinc/16/53/96/339165396.db2.gz ARFNUOZVISOJKF-UHFFFAOYSA-N 0 3 301.430 4.150 20 0 DIADHN CCc1ccc(-c2ccc(CNC[C@](C)(O)C(C)C)o2)cc1 ZINC000465014128 339165433 /nfs/dbraw/zinc/16/54/33/339165433.db2.gz KAAPYYCMIVYIFH-IBGZPJMESA-N 0 3 301.430 4.006 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1ccc(Cl)c(Cl)c1 ZINC000465065589 339167428 /nfs/dbraw/zinc/16/74/28/339167428.db2.gz NNAVNNYQMUCPJE-UHFFFAOYSA-N 0 3 318.244 4.205 20 0 DIADHN CCC(C)(C)CC(=O)NC[C@H]1CCCN(C)[C@H]1c1cccs1 ZINC000465106999 339168982 /nfs/dbraw/zinc/16/89/82/339168982.db2.gz APXDZLQWPDEEAB-RHSMWYFYSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)CCOc1ccc(NC(=O)C(C)C(F)(F)F)cc1 ZINC000465340249 339174631 /nfs/dbraw/zinc/17/46/31/339174631.db2.gz DFCCTWQWMWJOBP-LLVKDONJSA-N 0 3 303.324 4.248 20 0 DIADHN CC[C@H](CNCc1cn(C(C)C)nc1C)Oc1ccccc1C ZINC000466346637 339200162 /nfs/dbraw/zinc/20/01/62/339200162.db2.gz LOMGJNDFCDGERT-GOSISDBHSA-N 0 3 315.461 4.028 20 0 DIADHN Cc1ccccc1C[C@@H](C)N[C@H](CO)c1cccc(Cl)c1F ZINC000466380373 339201594 /nfs/dbraw/zinc/20/15/94/339201594.db2.gz NHZAEUAGJSEXMZ-CXAGYDPISA-N 0 3 321.823 4.042 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](NCc2nccn2-c2ccccc2)C1 ZINC000466405634 339202844 /nfs/dbraw/zinc/20/28/44/339202844.db2.gz PRFCPYBLGLYNKH-IYARVYRRSA-N 0 3 317.436 4.217 20 0 DIADHN C[C@H](CCNCc1cnc(C(F)(F)F)s1)c1ccccc1 ZINC000466406017 339202865 /nfs/dbraw/zinc/20/28/65/339202865.db2.gz JCWRSDHCFCUUEO-LLVKDONJSA-N 0 3 314.376 4.445 20 0 DIADHN COc1ccc2c(c1)[C@@H](NC/C(C)=C\c1ccccc1)CCO2 ZINC000466450636 339205529 /nfs/dbraw/zinc/20/55/29/339205529.db2.gz XVHGXNYPOKOGRO-RYBIEJRYSA-N 0 3 309.409 4.212 20 0 DIADHN CC(C)n1cc(CNC2CC(c3ccc(F)c(Cl)c3)C2)cn1 ZINC000466474525 339206644 /nfs/dbraw/zinc/20/66/44/339206644.db2.gz PAGQMGSGSNXPEM-UHFFFAOYSA-N 0 3 321.827 4.292 20 0 DIADHN CCC[C@@H]1CCCN([C@H](C)C(=O)Nc2ccccc2CC)C1 ZINC000466485487 339207174 /nfs/dbraw/zinc/20/71/74/339207174.db2.gz VGVGYDSAFLIPHY-HZPDHXFCSA-N 0 3 302.462 4.088 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@@H]3Cc3ccccc3)oc2c1 ZINC000466807368 339217602 /nfs/dbraw/zinc/21/76/02/339217602.db2.gz YJGQBJIUYCAVNR-MRXNPFEDSA-N 0 3 310.372 4.174 20 0 DIADHN CC/C=C\CCN(Cc1nc2ccccc2c(=O)[nH]1)[C@@H](C)CC ZINC000466813752 339217864 /nfs/dbraw/zinc/21/78/64/339217864.db2.gz FMOYPBQCZZYHKA-XHPSBEMXSA-N 0 3 313.445 4.292 20 0 DIADHN CC[C@H](NC(=O)CN1C[C@@H](C)C[C@@H]1CC)c1ccc(Cl)cc1 ZINC000466926408 339221977 /nfs/dbraw/zinc/22/19/77/339221977.db2.gz SALKZVQHRPJKMH-JQFCIGGWSA-N 0 3 322.880 4.028 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1CC(=O)N[C@H](C)c1ccc2ccccc2c1 ZINC000466931552 339222084 /nfs/dbraw/zinc/22/20/84/339222084.db2.gz WQOQNSDVTPDDTK-RZQQEMMASA-N 0 3 324.468 4.137 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1csc(-c2ccc(OC)cc2)n1 ZINC000466932056 339222087 /nfs/dbraw/zinc/22/20/87/339222087.db2.gz FCEJVRFKMFSCNJ-CZUORRHYSA-N 0 3 316.470 4.439 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2c(CC)noc2C)C12CCCCC2 ZINC000466967121 339222974 /nfs/dbraw/zinc/22/29/74/339222974.db2.gz MDVWJFJAKPCZDZ-ROUUACIJSA-N 0 3 320.477 4.105 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc3c(c2)CCC3)o1 ZINC000467033655 339225142 /nfs/dbraw/zinc/22/51/42/339225142.db2.gz KUZUFGAPMUOQEQ-LJQANCHMSA-N 0 3 311.425 4.252 20 0 DIADHN c1cncc([C@@H](NC[C@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467194984 339227766 /nfs/dbraw/zinc/22/77/66/339227766.db2.gz IRVGYXYVGUDGKS-MSOLQXFVSA-N 0 3 300.446 4.004 20 0 DIADHN CCCCc1noc(CN2CCC[C@]2(C)Cc2ccccc2)n1 ZINC000467218706 339228695 /nfs/dbraw/zinc/22/86/95/339228695.db2.gz QSEPXSJZZVOVOU-LJQANCHMSA-N 0 3 313.445 4.009 20 0 DIADHN COC[C@@H]1CCCCN(Cc2ccc(Cl)cc2Cl)C1 ZINC000467265659 339228947 /nfs/dbraw/zinc/22/89/47/339228947.db2.gz XRBCMUQOSPANHR-GFCCVEGCSA-N 0 3 302.245 4.242 20 0 DIADHN Cc1ccc(SCCN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000467304091 339230559 /nfs/dbraw/zinc/23/05/59/339230559.db2.gz CALDKNUOKXLTPP-SFHVURJKSA-N 0 3 316.445 4.362 20 0 DIADHN C[C@@H](Cc1cccc(C(F)(F)F)c1)N[C@@H](C)c1ccccn1 ZINC000467305978 339230757 /nfs/dbraw/zinc/23/07/57/339230757.db2.gz WDJOLAOVOZGELQ-STQMWFEESA-N 0 3 308.347 4.382 20 0 DIADHN Fc1cncc([C@@H](NCc2ccc(OC(F)F)cc2)C2CC2)c1 ZINC000467312163 339231182 /nfs/dbraw/zinc/23/11/82/339231182.db2.gz AYAHAZVIBBVIOO-INIZCTEOSA-N 0 3 322.330 4.063 20 0 DIADHN C[C@H](Cc1cccc(C(F)(F)F)c1)N[C@H]1CCCc2c[nH]nc21 ZINC000467333810 339232068 /nfs/dbraw/zinc/23/20/68/339232068.db2.gz DLFDMPDYHINKLV-ABAIWWIYSA-N 0 3 323.362 4.027 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@@H]1c2ccccc2C[C@@H]1C ZINC000467348212 339233004 /nfs/dbraw/zinc/23/30/04/339233004.db2.gz RDKLTMMHJFJPGQ-OPURJYLOSA-N 0 3 311.400 4.345 20 0 DIADHN CCCCO[C@@H]1C[C@H](N[C@@H](c2cncc(F)c2)C2CC2)C1(C)C ZINC000467361057 339234080 /nfs/dbraw/zinc/23/40/80/339234080.db2.gz YJOOCFKVACCLOF-RCCFBDPRSA-N 0 3 320.452 4.245 20 0 DIADHN Cc1ccccc1O[C@@H](C)CN[C@H](c1cncc(F)c1)C1CC1 ZINC000467368221 339234566 /nfs/dbraw/zinc/23/45/66/339234566.db2.gz GEECSEJGHRZMNZ-LIRRHRJNSA-N 0 3 314.404 4.037 20 0 DIADHN Fc1cncc([C@@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CC2)c1 ZINC000467371453 339234902 /nfs/dbraw/zinc/23/49/02/339234902.db2.gz VNGQSONUUGCNGS-ROUUACIJSA-N 0 3 318.436 4.143 20 0 DIADHN CCCC(O)(CCC)CN[C@H]1CCSc2ccc(OC)cc21 ZINC000467400079 339236724 /nfs/dbraw/zinc/23/67/24/339236724.db2.gz ITNLGFPDXIVJOK-INIZCTEOSA-N 0 3 323.502 4.153 20 0 DIADHN Cc1cccc(C[C@H](CO)N[C@@H](C)c2ccc(F)cc2Cl)c1 ZINC000467405425 339236782 /nfs/dbraw/zinc/23/67/82/339236782.db2.gz GADBJCNHJMVACD-XJKSGUPXSA-N 0 3 321.823 4.042 20 0 DIADHN Cc1cccc(C[C@@H](CO)N[C@@H](C)c2ccc(F)c(Cl)c2)c1 ZINC000467407629 339236868 /nfs/dbraw/zinc/23/68/68/339236868.db2.gz NXNGCOYJRKQTID-BBRMVZONSA-N 0 3 321.823 4.042 20 0 DIADHN CC[C@@H](N[C@H](CO)Cc1ccccc1C)c1ccc(Cl)s1 ZINC000467408913 339236976 /nfs/dbraw/zinc/23/69/76/339236976.db2.gz ROGSQUSSPGGCKH-LSDHHAIUSA-N 0 3 323.889 4.354 20 0 DIADHN CC[C@H](Cc1ccccc1)N[C@@H](CO)c1cccc(Cl)c1F ZINC000467421324 339237810 /nfs/dbraw/zinc/23/78/10/339237810.db2.gz VILHWEIBWDBZPQ-PBHICJAKSA-N 0 3 321.823 4.123 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CCC[C@@H](Cc2ccccc2)C1 ZINC000467433714 339238308 /nfs/dbraw/zinc/23/83/08/339238308.db2.gz KLUWZTUUIHBBFU-IBGZPJMESA-N 0 3 311.473 4.227 20 0 DIADHN Cc1nn(C(C)C)cc1CN1CC[C@@H](c2cc(C)cc(C)c2)C1 ZINC000467487044 339240237 /nfs/dbraw/zinc/24/02/37/339240237.db2.gz UICPWIMAHFTETR-GOSISDBHSA-N 0 3 311.473 4.379 20 0 DIADHN C[C@@H](CCN[C@H](CO)c1cccc(Cl)c1F)c1ccccc1 ZINC000467513374 339240601 /nfs/dbraw/zinc/24/06/01/339240601.db2.gz RBXKTRKVQRAJOA-SUMWQHHRSA-N 0 3 321.823 4.296 20 0 DIADHN C[C@H](CCN[C@H](CO)c1cccc(Cl)c1F)c1ccccc1 ZINC000467513371 339240670 /nfs/dbraw/zinc/24/06/70/339240670.db2.gz RBXKTRKVQRAJOA-CXAGYDPISA-N 0 3 321.823 4.296 20 0 DIADHN FC(F)(F)[C@H]1CCCN(CCSCc2ccccc2)C1 ZINC000467551716 339242130 /nfs/dbraw/zinc/24/21/30/339242130.db2.gz CHAVJRFVFPJOSO-AWEZNQCLSA-N 0 3 303.393 4.194 20 0 DIADHN COc1c(O)cccc1CN1CC[C@H](c2ccccc2)C[C@H]1C ZINC000467550950 339242133 /nfs/dbraw/zinc/24/21/33/339242133.db2.gz PLNLAVHTZJLLFD-WBVHZDCISA-N 0 3 311.425 4.169 20 0 DIADHN C[C@@H](NCCc1cccs1)c1nc(C(F)(F)F)cs1 ZINC000467734298 339245153 /nfs/dbraw/zinc/24/51/53/339245153.db2.gz FVFJYTJRKJBKAH-MRVPVSSYSA-N 0 3 306.378 4.117 20 0 DIADHN CC1CC(N[C@@H](Cn2cnc3ccccc32)c2ccc(F)cc2)C1 ZINC000467742082 339245367 /nfs/dbraw/zinc/24/53/67/339245367.db2.gz FXUMAWKASKFOGR-YVNUBYTISA-N 0 3 323.415 4.305 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2cncc(C)c2)cc1 ZINC000467746856 339245759 /nfs/dbraw/zinc/24/57/59/339245759.db2.gz PMMPXMNNVZTMOC-VDGAXYAQSA-N 0 3 310.441 4.269 20 0 DIADHN Clc1ccc(CN[C@H]2CCCC[C@H]2n2cccn2)c(Cl)c1 ZINC000467764344 339246053 /nfs/dbraw/zinc/24/60/53/339246053.db2.gz OQDMPPNOGSMUOZ-JKSUJKDBSA-N 0 3 324.255 4.463 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@H]2NCc2cc(C)ccc2F)cc1 ZINC000467776920 339246595 /nfs/dbraw/zinc/24/65/95/339246595.db2.gz KPKMUYCDIKVENO-WOJBJXKFSA-N 0 3 313.416 4.452 20 0 DIADHN C[C@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1ccc(C(C)(C)C)cc1 ZINC000467838554 339247394 /nfs/dbraw/zinc/24/73/94/339247394.db2.gz JKTMZFPXBYQMIV-PNHOKKKMSA-N 0 3 309.453 4.293 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H](C)c1cc(C)cc(C)c1)CCO2 ZINC000468028866 339251513 /nfs/dbraw/zinc/25/15/13/339251513.db2.gz JOCFKWPSHYWKDJ-KXBFYZLASA-N 0 3 311.425 4.486 20 0 DIADHN CC[C@H](COC)NCc1c(OC(C)C)ccc2ccccc21 ZINC000468038787 339252224 /nfs/dbraw/zinc/25/22/24/339252224.db2.gz OAHQYMGNTTUFKF-MRXNPFEDSA-N 0 3 301.430 4.142 20 0 DIADHN C[C@H](NC[C@@]1(C)CCO[C@H]1C1CC1)c1ccc(F)cc1Cl ZINC000468039663 339252277 /nfs/dbraw/zinc/25/22/77/339252277.db2.gz RBLGOXWEUZFTCK-MZPVMMEZSA-N 0 3 311.828 4.335 20 0 DIADHN CC[C@]1(C)CCN([C@@H](C)C(=O)Nc2ccccc2C(C)C)C1 ZINC000468111296 339254363 /nfs/dbraw/zinc/25/43/63/339254363.db2.gz QVFVSQSBFVKFTK-HNAYVOBHSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccccc1-c1cccs1 ZINC000468193723 339256268 /nfs/dbraw/zinc/25/62/68/339256268.db2.gz YSWPBGJQSYRWAD-ZIAGYGMSSA-N 0 3 314.454 4.226 20 0 DIADHN C[C@H](CCC1CCCCC1)N[C@H](C)c1nnc2n1CCCCC2 ZINC000468363455 339260630 /nfs/dbraw/zinc/26/06/30/339260630.db2.gz URIPBYLYUXKZNA-HZPDHXFCSA-N 0 3 318.509 4.404 20 0 DIADHN COC[C@@H](N[C@H](C)CCc1cccc(C)c1)c1ccc(C)o1 ZINC000468558753 339266536 /nfs/dbraw/zinc/26/65/36/339266536.db2.gz DQCKUJHKHMBMMV-CRAIPNDOSA-N 0 3 301.430 4.195 20 0 DIADHN COCC[C@@H]1CCCCN(C/C=C/c2ccc(F)cc2F)C1 ZINC000468688062 339268571 /nfs/dbraw/zinc/26/85/71/339268571.db2.gz DFHZWVUHHFFSPJ-DRDHIDPGSA-N 0 3 309.400 4.117 20 0 DIADHN Cc1c([C@H](C)NCC(C)(C)Oc2ccccc2)cnn1C(C)C ZINC000468793880 339271026 /nfs/dbraw/zinc/27/10/26/339271026.db2.gz CPMWPRRJCNHFSE-HNNXBMFYSA-N 0 3 315.461 4.281 20 0 DIADHN C[C@H](NCCN1CCc2ccccc2C1)c1csc(Cl)c1 ZINC000468796855 339271091 /nfs/dbraw/zinc/27/10/91/339271091.db2.gz CVWUZLQXSOWKLP-ZDUSSCGKSA-N 0 3 320.889 4.110 20 0 DIADHN CS[C@H](CN[C@H](C)c1cnn(C(C)(C)C)c1C)C(C)(C)C ZINC000468810668 339271520 /nfs/dbraw/zinc/27/15/20/339271520.db2.gz DWELDWOMZSRBHF-IUODEOHRSA-N 0 3 311.539 4.375 20 0 DIADHN CC1(C)OCc2cc(CN3CCCc4ccccc4C3)ccc2O1 ZINC000468837093 339272167 /nfs/dbraw/zinc/27/21/67/339272167.db2.gz DDTZCAPEYVBLSR-UHFFFAOYSA-N 0 3 323.436 4.280 20 0 DIADHN Cc1c([C@@H](C)NCCOC2CCCCCC2)cnn1C(C)(C)C ZINC000468864226 339273075 /nfs/dbraw/zinc/27/30/75/339273075.db2.gz HMOUWONKDYSCDG-OAHLLOKOSA-N 0 3 321.509 4.337 20 0 DIADHN COc1ccc2c(c1)OC[C@H](N[C@H](C)c1csc(Cl)c1)C2 ZINC000468870391 339273132 /nfs/dbraw/zinc/27/31/32/339273132.db2.gz HKCWJDDBLXPIJE-ZWNOBZJWSA-N 0 3 323.845 4.064 20 0 DIADHN COc1ccc(CN(Cc2ccc(F)cn2)[C@@H](C)C2CC2)cc1 ZINC000468888961 339273799 /nfs/dbraw/zinc/27/37/99/339273799.db2.gz IIRKDAZSPROCBI-AWEZNQCLSA-N 0 3 314.404 4.030 20 0 DIADHN CO[C@H](CCNC1c2ccccc2-c2ccccc21)C(F)(F)F ZINC000468968111 339276250 /nfs/dbraw/zinc/27/62/50/339276250.db2.gz JUCAFAUBIPQRHB-MRXNPFEDSA-N 0 3 321.342 4.313 20 0 DIADHN CO[C@@H](CCNC1c2ccccc2-c2ccccc21)C(F)(F)F ZINC000468967873 339276291 /nfs/dbraw/zinc/27/62/91/339276291.db2.gz JUCAFAUBIPQRHB-INIZCTEOSA-N 0 3 321.342 4.313 20 0 DIADHN CC[C@H](Cc1ccccc1)N(CC)Cc1cn2ccsc2n1 ZINC000469048591 339278554 /nfs/dbraw/zinc/27/85/54/339278554.db2.gz PWCISPSWYIKXID-QGZVFWFLSA-N 0 3 313.470 4.239 20 0 DIADHN Cc1c([C@@H](C)NC2(Cc3ccccc3)CC2)cnn1C(C)(C)C ZINC000469073946 339279341 /nfs/dbraw/zinc/27/93/41/339279341.db2.gz VVKIHGYCWUEOAA-OAHLLOKOSA-N 0 3 311.473 4.372 20 0 DIADHN COC(C)(C)CCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000469078839 339279418 /nfs/dbraw/zinc/27/94/18/339279418.db2.gz QKEFSPHSWCVZIQ-UHFFFAOYSA-N 0 3 319.395 4.459 20 0 DIADHN C[C@H](NCCSCC(F)(F)F)c1csc(Cl)c1 ZINC000469076903 339279491 /nfs/dbraw/zinc/27/94/91/339279491.db2.gz XNOLOPYNUBVEMN-ZETCQYMHSA-N 0 3 303.802 4.348 20 0 DIADHN COCc1ccc(CNC[C@@H](Cc2ccccc2)C(C)C)o1 ZINC000469246076 339284050 /nfs/dbraw/zinc/28/40/50/339284050.db2.gz WKTVEGGKMAXELP-QGZVFWFLSA-N 0 3 301.430 4.031 20 0 DIADHN C[C@@H](N(Cc1ccccc1)Cc1cccc(C(N)=O)c1)C(C)(C)C ZINC000469445943 339289632 /nfs/dbraw/zinc/28/96/32/339289632.db2.gz IWKQZBKVPSWXHT-MRXNPFEDSA-N 0 3 324.468 4.222 20 0 DIADHN Fc1ccc(C=C2CCN(C[C@@H]3CCCCO3)CC2)cc1F ZINC000469446799 339289767 /nfs/dbraw/zinc/28/97/67/339289767.db2.gz SVWXBPYXIMGOMZ-INIZCTEOSA-N 0 3 307.384 4.013 20 0 DIADHN CC(C)(O)CCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000469516973 339292331 /nfs/dbraw/zinc/29/23/31/339292331.db2.gz JVJKHQLWQSOPMZ-OAHLLOKOSA-N 0 3 315.379 4.393 20 0 DIADHN CC(C)(O)CCN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000469516972 339292423 /nfs/dbraw/zinc/29/24/23/339292423.db2.gz JVJKHQLWQSOPMZ-HNNXBMFYSA-N 0 3 315.379 4.393 20 0 DIADHN OCCCCCN1CCCC[C@@H]1c1cccc(C(F)(F)F)c1 ZINC000469524353 339292489 /nfs/dbraw/zinc/29/24/89/339292489.db2.gz YJAUVTGLGRCJTK-MRXNPFEDSA-N 0 3 315.379 4.395 20 0 DIADHN CO[C@H](C)CN1CCCC[C@H]1c1cccc(C(F)(F)F)c1 ZINC000469522802 339292519 /nfs/dbraw/zinc/29/25/19/339292519.db2.gz YNBLJQYVJMVYAE-DOMZBBRYSA-N 0 3 301.352 4.267 20 0 DIADHN Cc1ncsc1CNCC(C)(C)c1cccc(Cl)c1F ZINC000469559402 339293344 /nfs/dbraw/zinc/29/33/44/339293344.db2.gz XRPSIEWBBRGTQK-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N(C)CCOCC(F)F ZINC000469582995 339293607 /nfs/dbraw/zinc/29/36/07/339293607.db2.gz OTEZMLHQYYSNFN-VIFPVBQESA-N 0 3 312.187 4.268 20 0 DIADHN CC(C)[C@H](c1ccccc1Cl)N(C)C[C@@H](O)CC(F)(F)F ZINC000469610874 339294507 /nfs/dbraw/zinc/29/45/07/339294507.db2.gz HWYZOZBKVOPPMW-SMDDNHRTSA-N 0 3 323.786 4.282 20 0 DIADHN CCc1ccc([C@H]2CCCCCN2CCOCC(F)F)o1 ZINC000469614583 339294673 /nfs/dbraw/zinc/29/46/73/339294673.db2.gz BXDBZWPUTXMYSV-CQSZACIVSA-N 0 3 301.377 4.041 20 0 DIADHN CC[C@@H]1CCCCN1CCN[C@H](C)c1csc(C(C)C)n1 ZINC000469776896 339299101 /nfs/dbraw/zinc/29/91/01/339299101.db2.gz TXCUDZPGRCEZDH-HUUCEWRRSA-N 0 3 309.523 4.182 20 0 DIADHN OCC[C@H](N[C@@H]1CCC[C@H]1c1ccccc1Cl)c1ccco1 ZINC000469790959 339300352 /nfs/dbraw/zinc/30/03/52/339300352.db2.gz MRCTWRGFPLQAFJ-UAGQMJEPSA-N 0 3 319.832 4.282 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1cccc2c1NCC2 ZINC000469875115 339303465 /nfs/dbraw/zinc/30/34/65/339303465.db2.gz TTXKOXUULQAHPE-SFHVURJKSA-N 0 3 308.425 4.000 20 0 DIADHN COc1ccc([C@H]2CCCN2Cc2cccc3c2NCC3)cc1 ZINC000469886289 339303780 /nfs/dbraw/zinc/30/37/80/339303780.db2.gz AHETXEQDZXVEDE-LJQANCHMSA-N 0 3 308.425 4.000 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2cccc3c2NCC3)c1 ZINC000469894207 339303950 /nfs/dbraw/zinc/30/39/50/339303950.db2.gz ULJCCVWZTBUMFI-IBGZPJMESA-N 0 3 308.425 4.000 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)C[C@@H]1CCC[C@H]1C ZINC000469981028 339306686 /nfs/dbraw/zinc/30/66/86/339306686.db2.gz HYVJDBIOURLGBP-QAPCUYQASA-N 0 3 314.473 4.356 20 0 DIADHN Clc1ccc(/C=C\CN2CCC([C@H]3CCCO3)CC2)cc1 ZINC000469994817 339307433 /nfs/dbraw/zinc/30/74/33/339307433.db2.gz YEFBLODCDDQHKR-PBMKKYJASA-N 0 3 305.849 4.244 20 0 DIADHN CC1(C)[C@@H](C(F)(F)F)CCN1Cc1cccc2cccnc21 ZINC000469994458 339307613 /nfs/dbraw/zinc/30/76/13/339307613.db2.gz WZKPLPWBTHTWSX-AWEZNQCLSA-N 0 3 308.347 4.398 20 0 DIADHN C[C@@H](Nc1ccnc2ccccc21)c1ccccc1-n1cccn1 ZINC000470059400 339309748 /nfs/dbraw/zinc/30/97/48/339309748.db2.gz RLKTUDCSYAVPCA-OAHLLOKOSA-N 0 3 314.392 4.016 20 0 DIADHN COc1ccc(CN2CC[C@@H](C(F)(F)F)C2(C)C)cc1C ZINC000470131284 339311333 /nfs/dbraw/zinc/31/13/33/339311333.db2.gz GKJDIPUBHFCIRR-CQSZACIVSA-N 0 3 301.352 4.166 20 0 DIADHN CC(C(=O)N1C[C@@H](c2ccccc2)c2ccccc21)C(F)(F)F ZINC000470203537 339314118 /nfs/dbraw/zinc/31/41/18/339314118.db2.gz CUZWYFHCMOHTSC-WFASDCNBSA-N 0 3 319.326 4.364 20 0 DIADHN CC[C@H]1CCN(Cc2cc(Br)cc3cccnc32)C1 ZINC000470221346 339314681 /nfs/dbraw/zinc/31/46/81/339314681.db2.gz YCTQDJUNUQBWPQ-LBPRGKRZSA-N 0 3 319.246 4.229 20 0 DIADHN COc1ccc([C@H](C)NC[C@H]2CCCO[C@H]2C(C)(C)C)c(F)c1 ZINC000470452615 339320044 /nfs/dbraw/zinc/32/00/44/339320044.db2.gz QJOZNPVROHXCMD-PMUMKWKESA-N 0 3 323.452 4.326 20 0 DIADHN COc1ccc([C@H](C)NC[C@@H]2CCCO[C@@H]2C(C)(C)C)c(F)c1 ZINC000470452613 339320114 /nfs/dbraw/zinc/32/01/14/339320114.db2.gz QJOZNPVROHXCMD-DEYYWGMASA-N 0 3 323.452 4.326 20 0 DIADHN Cc1ccc2c(c1)nc(CNC1CC(c3ccccc3F)C1)n2C ZINC000470540783 339321742 /nfs/dbraw/zinc/32/17/42/339321742.db2.gz YUMWEBTURVSQJU-UHFFFAOYSA-N 0 3 323.415 4.057 20 0 DIADHN CC(C(=O)Nc1ccc(-c2ccco2)cc1F)C(F)(F)F ZINC000470542486 339321809 /nfs/dbraw/zinc/32/18/09/339321809.db2.gz OPOLFEKOFLTXKZ-MRVPVSSYSA-N 0 3 301.239 4.223 20 0 DIADHN C[C@@H](NC/C=C\c1ccccc1)c1ccccc1-n1cccn1 ZINC000470686865 339324800 /nfs/dbraw/zinc/32/48/00/339324800.db2.gz XZPLSCGHYUFEDP-CGICMKJESA-N 0 3 303.409 4.236 20 0 DIADHN C[C@@H](c1ccco1)N(C)C[C@@H](O)Cc1ccc2ccccc2c1 ZINC000470727947 339326271 /nfs/dbraw/zinc/32/62/71/339326271.db2.gz XHVIZCSLSSGLQE-KXBFYZLASA-N 0 3 309.409 4.029 20 0 DIADHN CC[C@H](O)CN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000470761994 339327060 /nfs/dbraw/zinc/32/70/60/339327060.db2.gz NTAUPFZROGIKOJ-SFHVURJKSA-N 0 3 319.395 4.397 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1[C@H]1CCCc2ccc(F)cc21 ZINC000470940935 339331582 /nfs/dbraw/zinc/33/15/82/339331582.db2.gz RKGFTGHVHBVBGD-KMUNFCNLSA-N 0 3 301.327 4.476 20 0 DIADHN C[C@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(OC(F)F)cc1 ZINC000470953693 339332441 /nfs/dbraw/zinc/33/24/41/339332441.db2.gz RFCABNKHWQWXRN-JOYOIKCWSA-N 0 3 309.278 4.061 20 0 DIADHN Cc1cc(CN2CC[C@@H](C(F)(F)F)[C@H]2C)c2ccccc2n1 ZINC000470954453 339332569 /nfs/dbraw/zinc/33/25/69/339332569.db2.gz ZFPBYOWHRQYMGT-IUODEOHRSA-N 0 3 308.347 4.316 20 0 DIADHN Cc1ccccc1OCCCN1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000470956111 339332856 /nfs/dbraw/zinc/33/28/56/339332856.db2.gz AUKDCLPYVWZGSK-UONOGXRCSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3nc4cccnc4s3)C2)c(C)c1 ZINC000471012603 339334410 /nfs/dbraw/zinc/33/44/10/339334410.db2.gz RGMKYWMHWVQSRS-OAHLLOKOSA-N 0 3 323.465 4.298 20 0 DIADHN C[C@@]1(O)CCCN(Cc2ccccc2Oc2ccccc2)CC1 ZINC000471069948 339336435 /nfs/dbraw/zinc/33/64/35/339336435.db2.gz RPARDTJXPVSVLN-HXUWFJFHSA-N 0 3 311.425 4.216 20 0 DIADHN Cc1cc(C)c(C(=O)N[C@H](C)[C@@H](c2ccccc2)N(C)C)c(C)c1 ZINC000471118108 339337630 /nfs/dbraw/zinc/33/76/30/339337630.db2.gz ZWROJHSALSIDNV-XLIONFOSSA-N 0 3 324.468 4.033 20 0 DIADHN COc1ccc(CN(C)CCc2ccc3ccccc3c2)cc1O ZINC000471174026 339339456 /nfs/dbraw/zinc/33/94/56/339339456.db2.gz WEWZZLWZZSGFGT-UHFFFAOYSA-N 0 3 321.420 4.229 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000471172619 339339502 /nfs/dbraw/zinc/33/95/02/339339502.db2.gz HWTULFDPBKNAHX-GDBMZVCRSA-N 0 3 303.837 4.394 20 0 DIADHN O=C(CCN1CC[C@@H]1c1ccccc1)Nc1ccccc1Cl ZINC000471176079 339339603 /nfs/dbraw/zinc/33/96/03/339339603.db2.gz FAGHNPDBLOSFBF-QGZVFWFLSA-N 0 3 314.816 4.116 20 0 DIADHN Cc1ccc(-c2ccc(CNCCOCC(F)F)s2)cc1 ZINC000471534943 339346938 /nfs/dbraw/zinc/34/69/38/339346938.db2.gz CUBRTNYFUOYQQD-UHFFFAOYSA-N 0 3 311.397 4.095 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1ccccc1Br ZINC000471533500 339346962 /nfs/dbraw/zinc/34/69/62/339346962.db2.gz WIJOZKGEWNLMPP-GFCCVEGCSA-N 0 3 314.267 4.041 20 0 DIADHN Cc1ccccc1-c1cccc(CNCCOCC(F)F)c1 ZINC000471560284 339347511 /nfs/dbraw/zinc/34/75/11/339347511.db2.gz VIQKLDHJUOKIKN-UHFFFAOYSA-N 0 3 305.368 4.033 20 0 DIADHN COc1ccsc1[C@@H](C)NCCOc1c(C)cccc1C ZINC000471577988 339347943 /nfs/dbraw/zinc/34/79/43/339347943.db2.gz NMEVQFOIBMHRFB-CQSZACIVSA-N 0 3 305.443 4.103 20 0 DIADHN COc1ccsc1[C@H](C)NCCOc1c(C)cccc1C ZINC000471577987 339347967 /nfs/dbraw/zinc/34/79/67/339347967.db2.gz NMEVQFOIBMHRFB-AWEZNQCLSA-N 0 3 305.443 4.103 20 0 DIADHN COc1ccsc1[C@H](C)NCCOc1ccc(Cl)cc1 ZINC000471583004 339348017 /nfs/dbraw/zinc/34/80/17/339348017.db2.gz SKTFXIXZRXPBJS-NSHDSACASA-N 0 3 311.834 4.140 20 0 DIADHN COc1ccsc1[C@@H](C)NCCc1ccc(F)c(F)c1F ZINC000471591750 339348505 /nfs/dbraw/zinc/34/85/05/339348505.db2.gz ZOFIAOCEMBAXNW-SECBINFHSA-N 0 3 315.360 4.067 20 0 DIADHN CC[C@H](CN[C@H](C)c1sccc1OC)Oc1ccccc1F ZINC000471592166 339348554 /nfs/dbraw/zinc/34/85/54/339348554.db2.gz CDQJOAPBOXOJHX-CHWSQXEVSA-N 0 3 323.433 4.404 20 0 DIADHN Cc1cncc([C@H](C)N[C@@H]2C[C@H](OCc3ccccc3)C2(C)C)c1 ZINC000471594656 339348575 /nfs/dbraw/zinc/34/85/75/339348575.db2.gz QVYVZHZEUXJZSV-DBVUQKKJSA-N 0 3 324.468 4.425 20 0 DIADHN COc1ccsc1[C@H](C)NCCOc1ccccc1Cl ZINC000471596757 339348713 /nfs/dbraw/zinc/34/87/13/339348713.db2.gz YEQWVCKQNMUYLH-NSHDSACASA-N 0 3 311.834 4.140 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](C)[C@@H](c2ccccc2)N(C)C)o1 ZINC000471602978 339349112 /nfs/dbraw/zinc/34/91/12/339349112.db2.gz QSONNUBLZWUSLO-DOXZYTNZSA-N 0 3 300.446 4.184 20 0 DIADHN C[C@H](N[C@@H](C)[C@@H](c1ccccc1)N(C)C)c1cc(F)cc(F)c1 ZINC000471604592 339349172 /nfs/dbraw/zinc/34/91/72/339349172.db2.gz YCKPSCNJEZEKBB-NJSLBKSFSA-N 0 3 318.411 4.307 20 0 DIADHN COc1ccc2oc([C@H](C)NC3CC(OC(C)C)C3)c(C)c2c1 ZINC000471605035 339349258 /nfs/dbraw/zinc/34/92/58/339349258.db2.gz DPBAGEVHQKOKOM-HLIUYOAVSA-N 0 3 317.429 4.356 20 0 DIADHN CCN1CCC(NC(=O)C2(C3CCCCC3)CCCCC2)CC1 ZINC000471638263 339350023 /nfs/dbraw/zinc/35/00/23/339350023.db2.gz INEPTODYKHOANF-UHFFFAOYSA-N 0 3 320.521 4.118 20 0 DIADHN FC(F)COCCN[C@H](CC1CC1)c1ccc(Cl)cc1 ZINC000471767138 339353493 /nfs/dbraw/zinc/35/34/93/339353493.db2.gz GSTCPPZDNGDIBB-CQSZACIVSA-N 0 3 303.780 4.053 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCC(O)(CC)CC)oc21 ZINC000471785188 339353932 /nfs/dbraw/zinc/35/39/32/339353932.db2.gz DKIQARZXWAAROH-CQSZACIVSA-N 0 3 319.445 4.423 20 0 DIADHN CCC(O)(CC)CCN[C@H]1CCCOc2cc(C)c(C)cc21 ZINC000471788346 339354078 /nfs/dbraw/zinc/35/40/78/339354078.db2.gz PSTQZFSKICQESP-KRWDZBQOSA-N 0 3 305.462 4.048 20 0 DIADHN CCC(O)(CC)CCN[C@@H](C)c1cc2cccc(OC)c2o1 ZINC000471796627 339354392 /nfs/dbraw/zinc/35/43/92/339354392.db2.gz DWIUMWDUGVYWGE-ZDUSSCGKSA-N 0 3 305.418 4.033 20 0 DIADHN CCC(O)(CC)CCN[C@H](C)c1oc2ccc(OC)cc2c1C ZINC000471796126 339354394 /nfs/dbraw/zinc/35/43/94/339354394.db2.gz BSULWGNBPDGWED-CQSZACIVSA-N 0 3 319.445 4.342 20 0 DIADHN C[C@H]1C[C@H](O)CN1Cc1ccc(-c2ccc(Cl)cc2)s1 ZINC000471800122 339354509 /nfs/dbraw/zinc/35/45/09/339354509.db2.gz UFOKQMXTXROIOZ-FZMZJTMJSA-N 0 3 307.846 4.024 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@H]1CC[C@H](OC)C1 ZINC000471822072 339355419 /nfs/dbraw/zinc/35/54/19/339355419.db2.gz NROFNZXMQIJQFH-OALUTQOASA-N 0 3 311.425 4.019 20 0 DIADHN CO[C@@H]1CC[C@@H](NCc2ccc(-c3cccc(F)c3C)o2)C1 ZINC000471826331 339355486 /nfs/dbraw/zinc/35/54/86/339355486.db2.gz YGUXZRYVCFAYFV-ZIAGYGMSSA-N 0 3 303.377 4.051 20 0 DIADHN c1nc(CNCC2(Cc3ccccc3)CCCCC2)cs1 ZINC000472043335 339360431 /nfs/dbraw/zinc/36/04/31/339360431.db2.gz IBOAKRQUKCEDAY-UHFFFAOYSA-N 0 3 300.471 4.426 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@H]1NCc1cc(O)cc(F)c1 ZINC000472046483 339360565 /nfs/dbraw/zinc/36/05/65/339360565.db2.gz DYHKSEUUKXROAF-ZMZPIMSZSA-N 0 3 303.352 4.084 20 0 DIADHN CCS[C@H]1CCCC[C@H]1NCc1nn(CC)c2ccccc12 ZINC000472045263 339360581 /nfs/dbraw/zinc/36/05/81/339360581.db2.gz RJKDYVHNFBFKBC-QAPCUYQASA-N 0 3 317.502 4.210 20 0 DIADHN CCSc1cccc(CNCc2cc(OC)cc(OC)c2)c1 ZINC000472048993 339360909 /nfs/dbraw/zinc/36/09/09/339360909.db2.gz OLARVXPFHLTZBW-UHFFFAOYSA-N 0 3 317.454 4.106 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+]CCCCCOC2CCCCC2)c1 ZINC000472051539 339361053 /nfs/dbraw/zinc/36/10/53/339361053.db2.gz GMFBXNMOATZSKM-UHFFFAOYSA-N 0 3 309.425 4.141 20 0 DIADHN CCn1nc(CN[C@H](C)Cc2ccccc2C)c2ccccc21 ZINC000472055936 339361297 /nfs/dbraw/zinc/36/12/97/339361297.db2.gz RBJDXKUDJKDNNJ-MRXNPFEDSA-N 0 3 307.441 4.085 20 0 DIADHN CCSc1cccc(CNCc2ccccc2N(C)C)c1 ZINC000472061581 339361678 /nfs/dbraw/zinc/36/16/78/339361678.db2.gz FLHPRKBMLPUXTL-UHFFFAOYSA-N 0 3 300.471 4.154 20 0 DIADHN CCOc1cccc(CNCc2cc3c(ccc(C)c3C)[nH]2)c1 ZINC000472063361 339361706 /nfs/dbraw/zinc/36/17/06/339361706.db2.gz NGFGRGJGBFCXBV-UHFFFAOYSA-N 0 3 308.425 4.473 20 0 DIADHN Cc1ccc2[nH]c(CN[C@@H]3CCc4c3cccc4O)cc2c1C ZINC000472069806 339362197 /nfs/dbraw/zinc/36/21/97/339362197.db2.gz LUCJQHJIRFWHTK-GOSISDBHSA-N 0 3 306.409 4.267 20 0 DIADHN C[C@H](NCc1ccc([C@H]2C[C@H]2C)o1)[C@@H](c1ccccc1)N(C)C ZINC000472072831 339362533 /nfs/dbraw/zinc/36/25/33/339362533.db2.gz HHEHEUCNBXFTLU-QIJLZVCCSA-N 0 3 312.457 4.184 20 0 DIADHN CC(C)[C@@H](Cc1ccc(F)cc1)NCc1ncc(Cl)s1 ZINC000472074837 339362710 /nfs/dbraw/zinc/36/27/10/339362710.db2.gz NVYXYSMKQONBHK-CYBMUJFWSA-N 0 3 312.841 4.293 20 0 DIADHN C[C@H](NCc1cc2ccccc2o1)[C@H](c1ccccc1)N(C)C ZINC000472080965 339362959 /nfs/dbraw/zinc/36/29/59/339362959.db2.gz VYQJVHNBKBXREM-MGPUTAFESA-N 0 3 308.425 4.214 20 0 DIADHN Clc1cnc(CN[C@H]2CCC[C@H]2Cc2ccccc2)s1 ZINC000472080328 339363069 /nfs/dbraw/zinc/36/30/69/339363069.db2.gz NPGVPSHZDOEQJO-KBPBESRZSA-N 0 3 306.862 4.298 20 0 DIADHN Cc1ccccc1C1(C(=O)Nc2cccc(CN(C)C)c2)CCC1 ZINC000472135089 339363898 /nfs/dbraw/zinc/36/38/98/339363898.db2.gz ULKZSFVSTUXRKT-UHFFFAOYSA-N 0 3 322.452 4.117 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2sccc2OC)C12CCCC2 ZINC000472148084 339364352 /nfs/dbraw/zinc/36/43/52/339364352.db2.gz VSJIMRPSFYNFKS-VHDGCEQUSA-N 0 3 309.475 4.145 20 0 DIADHN Cc1ccc(C[C@H]2CCCN2Cc2ccncc2Cl)cc1 ZINC000472251572 339367727 /nfs/dbraw/zinc/36/77/27/339367727.db2.gz NGZSHWWUDGGWND-QGZVFWFLSA-N 0 3 300.833 4.251 20 0 DIADHN CCn1cc(CCN[C@H](c2ccc(Cl)cc2F)C(C)C)cn1 ZINC000472466152 339372590 /nfs/dbraw/zinc/37/25/90/339372590.db2.gz XZAUOXARSNZIGZ-KRWDZBQOSA-N 0 3 323.843 4.225 20 0 DIADHN CCn1cc(CCN[C@H](C)c2ccc(Cl)cc2Cl)cn1 ZINC000472586542 339374424 /nfs/dbraw/zinc/37/44/24/339374424.db2.gz QJEGWYFCGCJNBY-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN CCn1nccc1CCN([C@H](C)c1ccccc1Cl)C1CC1 ZINC000472589113 339374700 /nfs/dbraw/zinc/37/47/00/339374700.db2.gz IBKPQIXBLLPVSK-CQSZACIVSA-N 0 3 317.864 4.325 20 0 DIADHN c1ccc2c(c1)CO[C@@]21CCN(Cc2ccc3ccccc3c2)C1 ZINC000473053649 339380772 /nfs/dbraw/zinc/38/07/72/339380772.db2.gz VKXDHSKGSBMXMJ-JOCHJYFZSA-N 0 3 315.416 4.471 20 0 DIADHN Cc1ccc2[nH]c(CN(C)C[C@H](C)c3nccs3)cc2c1C ZINC000473128075 339381482 /nfs/dbraw/zinc/38/14/82/339381482.db2.gz MILRQMBYWGUJHF-ZDUSSCGKSA-N 0 3 313.470 4.477 20 0 DIADHN CCn1nc(CN2CC[C@H](c3cccc(F)c3)C2)c2ccccc21 ZINC000473134514 339381958 /nfs/dbraw/zinc/38/19/58/339381958.db2.gz XPACQOGTTCEDTN-INIZCTEOSA-N 0 3 323.415 4.185 20 0 DIADHN CCOC[C@@H]1CCCN(Cc2cc3c(ccc(C)c3C)[nH]2)C1 ZINC000473138854 339381993 /nfs/dbraw/zinc/38/19/93/339381993.db2.gz HCMXLUPJMJEHDX-MRXNPFEDSA-N 0 3 300.446 4.033 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2nn(CC)c3ccccc23)C1 ZINC000473134499 339382043 /nfs/dbraw/zinc/38/20/43/339382043.db2.gz XKFOQCZOOOEAMW-LSDHHAIUSA-N 0 3 317.502 4.162 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2nn(CC)c3ccccc23)C1 ZINC000473134496 339382101 /nfs/dbraw/zinc/38/21/01/339382101.db2.gz XKFOQCZOOOEAMW-CABCVRRESA-N 0 3 317.502 4.162 20 0 DIADHN CCn1nc(CN2CC[C@H](c3ccc(F)cc3)C2)c2ccccc21 ZINC000473141246 339382311 /nfs/dbraw/zinc/38/23/11/339382311.db2.gz NDNNRCXCMBYRIF-INIZCTEOSA-N 0 3 323.415 4.185 20 0 DIADHN CCSc1cccc(CN(C)[C@H](CCO)c2ccccc2)c1 ZINC000473145041 339382968 /nfs/dbraw/zinc/38/29/68/339382968.db2.gz JFBDDJPFLDGIPP-LJQANCHMSA-N 0 3 315.482 4.354 20 0 DIADHN CSc1ccccc1CN1CC[C@@]2(C1)OCc1ccccc12 ZINC000473165984 339383439 /nfs/dbraw/zinc/38/34/39/339383439.db2.gz OCZSMVOLJUKFPD-IBGZPJMESA-N 0 3 311.450 4.040 20 0 DIADHN CCC[C@@H](NC(C)C)C(=O)Nc1ccc(SC)c(Cl)c1 ZINC000473209638 339383738 /nfs/dbraw/zinc/38/37/38/339383738.db2.gz VSYZWNKKFQCLPH-CYBMUJFWSA-N 0 3 314.882 4.167 20 0 DIADHN Cc1nc(CCNC(C)(C)c2cccc(Cl)c2F)cs1 ZINC000473436908 339385336 /nfs/dbraw/zinc/38/53/36/339385336.db2.gz RMPZIDWLEVFVOL-UHFFFAOYSA-N 0 3 312.841 4.311 20 0 DIADHN CN1CC[C@H](CSc2ncc(-c3ccc(Cl)cc3)o2)C1 ZINC000473784618 339391990 /nfs/dbraw/zinc/39/19/90/339391990.db2.gz WSSVROJGNLWXHS-NSHDSACASA-N 0 3 308.834 4.039 20 0 DIADHN COc1c2ccccc2oc1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC000473987029 339396207 /nfs/dbraw/zinc/39/62/07/339396207.db2.gz FFHJSGURJUEXGB-NVXWUHKLSA-N 0 3 307.393 4.335 20 0 DIADHN CCOC1CC(CCNCc2ccc(-c3ccc(F)cc3)o2)C1 ZINC000473988599 339396430 /nfs/dbraw/zinc/39/64/30/339396430.db2.gz ILUXMWQBNFREBH-UHFFFAOYSA-N 0 3 317.404 4.381 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2)n1)C1CCC(F)(F)CC1 ZINC000474021847 339397393 /nfs/dbraw/zinc/39/73/93/339397393.db2.gz HXTVOLLZTXCLCS-AWEZNQCLSA-N 0 3 319.399 4.176 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H](C)C2CCC(F)(F)CC2)o1 ZINC000474038222 339398269 /nfs/dbraw/zinc/39/82/69/339398269.db2.gz XEUFLPYWARXVFD-WFASDCNBSA-N 0 3 315.404 4.333 20 0 DIADHN COc1c2ccccc2oc1CNC1CC(c2ccccc2)C1 ZINC000474068494 339400588 /nfs/dbraw/zinc/40/05/88/339400588.db2.gz RBQMHFZWPKRYES-UHFFFAOYSA-N 0 3 307.393 4.477 20 0 DIADHN CC(C)n1nccc1CNCCc1cccc(-c2ccccc2)c1 ZINC000474798820 339426684 /nfs/dbraw/zinc/42/66/84/339426684.db2.gz AKQQZZUPOHUFJB-UHFFFAOYSA-N 0 3 319.452 4.463 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CCC[C@@H](CC(C)C)C1 ZINC000474877362 339429389 /nfs/dbraw/zinc/42/93/89/339429389.db2.gz HGXNPKMCBSYRPI-ROUUACIJSA-N 0 3 316.489 4.436 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H]1CCC[C@@H](C(C)C)C1 ZINC000474882740 339429618 /nfs/dbraw/zinc/42/96/18/339429618.db2.gz WHYJDBZBJWGNFJ-SJORKVTESA-N 0 3 302.462 4.046 20 0 DIADHN Cc1nnc([C@H](C)NC[C@H](CC(C)C)c2ccccc2)s1 ZINC000474948862 339433033 /nfs/dbraw/zinc/43/30/33/339433033.db2.gz SDWSNIWQTGMGHS-BBRMVZONSA-N 0 3 303.475 4.327 20 0 DIADHN C[C@H]1CCCC[C@H]1NCc1coc(-c2ccc(F)c(F)c2)n1 ZINC000475126862 339439469 /nfs/dbraw/zinc/43/94/69/339439469.db2.gz AXANJMJDWXTUPR-MEDUHNTESA-N 0 3 306.356 4.288 20 0 DIADHN FC(F)C1(CNCc2ccc(Cl)cc2Br)CC1 ZINC000475212334 339441801 /nfs/dbraw/zinc/44/18/01/339441801.db2.gz DHTZUYIEIXFOTG-UHFFFAOYSA-N 0 3 324.596 4.237 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNCC2CCN(c3ccccc3)CC2)o1 ZINC000475274753 339443161 /nfs/dbraw/zinc/44/31/61/339443161.db2.gz CXHUHBOIIOJLMT-UZLBHIALSA-N 0 3 324.468 4.409 20 0 DIADHN CCn1cc(CN2CCC(C)(c3ccc(Cl)cc3)CC2)cn1 ZINC000475293458 339444733 /nfs/dbraw/zinc/44/47/33/339444733.db2.gz KOHGFBBNBGTUTQ-UHFFFAOYSA-N 0 3 317.864 4.110 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC(=Cc3ccc(F)c(F)c3)CC2)O1 ZINC000475316149 339445766 /nfs/dbraw/zinc/44/57/66/339445766.db2.gz LOOVGQBRPHKMBF-CJNGLKHVSA-N 0 3 307.384 4.012 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@H](c3cccc(F)c3)C2)c1 ZINC000475555643 339454991 /nfs/dbraw/zinc/45/49/91/339454991.db2.gz RCAJROTYMJYDLA-WMLDXEAASA-N 0 3 303.352 4.096 20 0 DIADHN Fc1ccc2nc(CNCCCc3ccccc3F)sc2c1 ZINC000475561129 339455249 /nfs/dbraw/zinc/45/52/49/339455249.db2.gz KRTMSEPKWCNPJE-UHFFFAOYSA-N 0 3 318.392 4.297 20 0 DIADHN CC(C)Cc1ncc(CNCCCc2ccccc2F)s1 ZINC000475584147 339456491 /nfs/dbraw/zinc/45/64/91/339456491.db2.gz XXXVUIXIGODHCX-UHFFFAOYSA-N 0 3 306.450 4.203 20 0 DIADHN CCC[C@](C)(CC)C(=O)N(CC)Cc1ccc(CN(C)C)cc1 ZINC000475607810 339457001 /nfs/dbraw/zinc/45/70/01/339457001.db2.gz NADUWTAPMLWCLH-FQEVSTJZSA-N 0 3 318.505 4.313 20 0 DIADHN CCCOc1ccc(C(C)(C)NCc2cnc3ccccn23)cc1 ZINC000475674298 339459122 /nfs/dbraw/zinc/45/91/22/339459122.db2.gz KAGNTFPYLPODKE-UHFFFAOYSA-N 0 3 323.440 4.148 20 0 DIADHN CC(C)(NCCC(F)(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000475710845 339460162 /nfs/dbraw/zinc/46/01/62/339460162.db2.gz SQPQAJVUYHAFCT-UHFFFAOYSA-N 0 3 315.257 4.362 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1cccc(C(F)(F)F)c1C ZINC000477356957 339493079 /nfs/dbraw/zinc/49/30/79/339493079.db2.gz SJJHGLIVHUSPRI-LLVKDONJSA-N 0 3 316.367 4.119 20 0 DIADHN Cc1cc(C)n(-c2ccc(CNC3(c4ccccc4)CC3)cc2)n1 ZINC000477486522 339495608 /nfs/dbraw/zinc/49/56/08/339495608.db2.gz CGEHRJJMLKRJLU-UHFFFAOYSA-N 0 3 317.436 4.268 20 0 DIADHN CCC[C@@H](NC[C@H]1CCC2(CCCCC2)O1)c1ccccn1 ZINC000477850227 339502536 /nfs/dbraw/zinc/50/25/36/339502536.db2.gz IPXYCXFWHRLPKX-IAGOWNOFSA-N 0 3 302.462 4.394 20 0 DIADHN CC[C@@H](C)CC(=O)NC[C@H](c1cccs1)N1CCC(C)CC1 ZINC000478284595 339512587 /nfs/dbraw/zinc/51/25/87/339512587.db2.gz PLHADZHYQCYOPJ-GDBMZVCRSA-N 0 3 322.518 4.074 20 0 DIADHN C[C@@H]1CCC[C@H](CN[C@@H](c2cnn(C)c2)c2ccc(F)cc2)C1 ZINC000478318879 339513363 /nfs/dbraw/zinc/51/33/63/339513363.db2.gz YWJADBCWHMLTTP-ZRGWGRIASA-N 0 3 315.436 4.065 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H](C)[C@@H](C)C1)c1nc(-c2cccc(F)c2)no1 ZINC000478444110 339515556 /nfs/dbraw/zinc/51/55/56/339515556.db2.gz LUKMIWZKXFUFSF-WFGGJUAMSA-N 0 3 317.408 4.351 20 0 DIADHN CCC[C@H](C(=O)NC[C@H](c1cccs1)N1CCCC1)C(C)C ZINC000478941162 339525104 /nfs/dbraw/zinc/52/51/04/339525104.db2.gz TUKXSNRTDBAONW-JKSUJKDBSA-N 0 3 322.518 4.074 20 0 DIADHN CC(C)[C@H](NC[C@@H](CO)c1ccccc1)c1cc(F)ccc1F ZINC000479072770 339527001 /nfs/dbraw/zinc/52/70/01/339527001.db2.gz UADWUKGBEOFUQO-KXBFYZLASA-N 0 3 319.395 4.028 20 0 DIADHN Cc1ccccc1[C@@H](N[C@@H]1CCCc2nn(C)cc21)C(C)(C)C ZINC000479076813 339527095 /nfs/dbraw/zinc/52/70/95/339527095.db2.gz LCNJUPIDIZHMDL-IEBWSBKVSA-N 0 3 311.473 4.483 20 0 DIADHN COc1cccc(Cl)c1CN[C@@H]1CCCC[C@@H]1[C@@H]1CCOC1 ZINC000479284181 339530462 /nfs/dbraw/zinc/53/04/62/339530462.db2.gz OAWQZHNFYNSSDA-CKEIUWERSA-N 0 3 323.864 4.034 20 0 DIADHN CC(C)[C@H](NC[C@H](c1ccco1)N(C)C)c1cc(F)ccc1F ZINC000479336288 339531491 /nfs/dbraw/zinc/53/14/91/339531491.db2.gz ODYVRRSGTQRLDA-AEFFLSMTSA-N 0 3 322.399 4.147 20 0 DIADHN CC(C)c1cccc(CNCc2cnc3ccc(Cl)cn23)c1 ZINC000479393010 339532740 /nfs/dbraw/zinc/53/27/40/339532740.db2.gz PJQRNOJRGMCVMS-UHFFFAOYSA-N 0 3 313.832 4.401 20 0 DIADHN CC(C)Cc1ncc(CNC2(Cc3ccccc3)CC2)s1 ZINC000479418631 339534025 /nfs/dbraw/zinc/53/40/25/339534025.db2.gz KCTJSVGDMREEKG-UHFFFAOYSA-N 0 3 300.471 4.207 20 0 DIADHN Cc1ccc(NC(=O)[C@H](NCC2(C)CC2)c2ccccc2)cc1 ZINC000479431577 339534811 /nfs/dbraw/zinc/53/48/11/339534811.db2.gz ZUOSYZHHUWTWSR-GOSISDBHSA-N 0 3 308.425 4.065 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1N[C@@H]1CCCc2nn(C)cc21 ZINC000479435300 339534983 /nfs/dbraw/zinc/53/49/83/339534983.db2.gz GOFYDTOMESQGHC-LDQXTDLNSA-N 0 3 323.484 4.347 20 0 DIADHN CC(C)[C@H]1C[C@@H](N[C@H]2CCCc3nn(C)cc32)c2ccccc21 ZINC000479456050 339535981 /nfs/dbraw/zinc/53/59/81/339535981.db2.gz PECYTTVGRUYFBR-IMFGXOCKSA-N 0 3 309.457 4.272 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000479456793 339536050 /nfs/dbraw/zinc/53/60/50/339536050.db2.gz RECHRHNQMZVAHO-TUFLPTIASA-N 0 3 307.437 4.119 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCC1(C(F)F)CC1 ZINC000479459461 339536205 /nfs/dbraw/zinc/53/62/05/339536205.db2.gz WLZDOUUVXBHQIY-SNVBAGLBSA-N 0 3 303.780 4.435 20 0 DIADHN CCCc1cccc(CNCc2ccc(CN(C)C)c(F)c2)c1 ZINC000479467106 339536704 /nfs/dbraw/zinc/53/67/04/339536704.db2.gz UOUYMZXHKWOKDA-UHFFFAOYSA-N 0 3 314.448 4.130 20 0 DIADHN CC(C)Cc1ncc(CN[C@H]2CCCO[C@@H]2CC(C)C)s1 ZINC000479576233 339541878 /nfs/dbraw/zinc/54/18/78/339541878.db2.gz GHVAJZXVQOUDQQ-JKSUJKDBSA-N 0 3 310.507 4.025 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1cnc(C2CCCC2)s1 ZINC000479582027 339542334 /nfs/dbraw/zinc/54/23/34/339542334.db2.gz CCGGAOGGBIGEQF-DLBZAZTESA-N 0 3 322.518 4.484 20 0 DIADHN Cc1ccc(CNC[C@H](C)C(F)(F)F)cc1Br ZINC000479608715 339543728 /nfs/dbraw/zinc/54/37/28/339543728.db2.gz WTHRCDMSDQNRCJ-VIFPVBQESA-N 0 3 310.157 4.046 20 0 DIADHN COc1cc(F)c([C@H](C)NCCC2=CCCCC2)cc1OC ZINC000479767401 339548120 /nfs/dbraw/zinc/54/81/20/339548120.db2.gz ITNJAOWXLVFDPA-ZDUSSCGKSA-N 0 3 307.409 4.384 20 0 DIADHN CCc1ccc([C@H](C)NC[C@@H](c2ccco2)N2CCCCC2)o1 ZINC000480078790 339558014 /nfs/dbraw/zinc/55/80/14/339558014.db2.gz APFRCGHQYVNRDM-RDJZCZTQSA-N 0 3 316.445 4.313 20 0 DIADHN COc1ccccc1CCN[C@H](C)c1cc(F)c(F)c(F)c1 ZINC000480089038 339558606 /nfs/dbraw/zinc/55/86/06/339558606.db2.gz DZQKBDAIANMVQI-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN COc1ccc(CN[C@H]2CCO[C@@H](C(C)(C)C)C2)c(Cl)c1 ZINC000480101951 339559235 /nfs/dbraw/zinc/55/92/35/339559235.db2.gz XXMNDLCHBRPQSG-XJKSGUPXSA-N 0 3 311.853 4.032 20 0 DIADHN COc1cccc(Cl)c1CN[C@H]1CCO[C@@H](C(C)(C)C)C1 ZINC000480128678 339559898 /nfs/dbraw/zinc/55/98/98/339559898.db2.gz VSCDKUWULZYRIN-BLLLJJGKSA-N 0 3 311.853 4.032 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1cccc(OC(C)(C)C)n1 ZINC000480142147 339560404 /nfs/dbraw/zinc/56/04/04/339560404.db2.gz AKBJTRBXEVIRKS-GFCCVEGCSA-N 0 3 318.383 4.470 20 0 DIADHN CCN(CCCN[C@@H](C)c1cnn(C(C)C)c1)c1ccccc1 ZINC000480160672 339561118 /nfs/dbraw/zinc/56/11/18/339561118.db2.gz PFFORTUJUUSHFB-KRWDZBQOSA-N 0 3 314.477 4.031 20 0 DIADHN COc1cccc(C[C@H](C)N[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000480183491 339562464 /nfs/dbraw/zinc/56/24/64/339562464.db2.gz RSXMFJIWFXBQSB-STQMWFEESA-N 0 3 304.821 4.025 20 0 DIADHN C[C@H](NCCN(C)c1ccccc1)c1ccc2ccccc2n1 ZINC000480195088 339563041 /nfs/dbraw/zinc/56/30/41/339563041.db2.gz JMAOCPVSQCZZNO-INIZCTEOSA-N 0 3 305.425 4.022 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H](CN2CCCC2)c2ccccc2)o1 ZINC000480220478 339563846 /nfs/dbraw/zinc/56/38/46/339563846.db2.gz CUFPHDPLQYPSSG-LPHOPBHVSA-N 0 3 312.457 4.330 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(OCC2CC2)cc1 ZINC000480268750 339566306 /nfs/dbraw/zinc/56/63/06/339566306.db2.gz UMTCINNUXQASKH-HNNXBMFYSA-N 0 3 310.441 4.284 20 0 DIADHN C[C@@H](NCc1ccc(-c2cccc(F)c2F)o1)[C@H]1CCCCO1 ZINC000480290579 339567426 /nfs/dbraw/zinc/56/74/26/339567426.db2.gz HJUDAVVZLVWCRX-MLGOLLRUSA-N 0 3 321.367 4.272 20 0 DIADHN c1cncc([C@@H](NCc2ccc(OCC3CC3)cc2)C2CC2)c1 ZINC000480289014 339567439 /nfs/dbraw/zinc/56/74/39/339567439.db2.gz ZUGSGVLEAIGFMW-FQEVSTJZSA-N 0 3 308.425 4.111 20 0 DIADHN Cc1ccc(C(C)(C)NCc2ccc(OCC3CC3)cc2)cn1 ZINC000480306565 339568309 /nfs/dbraw/zinc/56/83/09/339568309.db2.gz KIUNRQZYKUEYPP-UHFFFAOYSA-N 0 3 310.441 4.204 20 0 DIADHN CC(C)N(C)c1ccc(CN[C@@H]2CC(C)(C)c3ccccc32)cn1 ZINC000480312924 339568656 /nfs/dbraw/zinc/56/86/56/339568656.db2.gz YRBVSLJOUZMCRL-LJQANCHMSA-N 0 3 323.484 4.438 20 0 DIADHN CO[C@@H]1CCC[C@H]1CNCc1ccc(-c2cccc(F)c2F)o1 ZINC000480313131 339568721 /nfs/dbraw/zinc/56/87/21/339568721.db2.gz ZXYZRURQKBHNSR-BLLLJJGKSA-N 0 3 321.367 4.130 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Cc2ccc(C(C)(C)C)cc2)c1 ZINC000480321753 339569006 /nfs/dbraw/zinc/56/90/06/339569006.db2.gz BGDJUIMKAZMWSC-UHFFFAOYSA-N 0 3 324.468 4.227 20 0 DIADHN CCN(CCN[C@@H](C)c1ccc(Cl)cn1)c1cccc(C)c1 ZINC000480332548 339569454 /nfs/dbraw/zinc/56/94/54/339569454.db2.gz KYKRBDFMEMRPAK-HNNXBMFYSA-N 0 3 317.864 4.221 20 0 DIADHN CCN(C)c1ccc(CN[C@H](c2ccc(C)cc2)C2CC2)cn1 ZINC000480342325 339569766 /nfs/dbraw/zinc/56/97/66/339569766.db2.gz GCQNPEUIHNWNAM-HXUWFJFHSA-N 0 3 309.457 4.087 20 0 DIADHN CCS[C@@H]1CCC[C@H](NCc2ccc(Br)o2)C1 ZINC000480383433 339571495 /nfs/dbraw/zinc/57/14/95/339571495.db2.gz KXJWMUOHUKQPJA-CMPLNLGQSA-N 0 3 318.280 4.196 20 0 DIADHN C[C@H](C[C@@H]1CCCO1)NCc1ccc(-c2cccc(F)c2F)o1 ZINC000480389275 339571767 /nfs/dbraw/zinc/57/17/67/339571767.db2.gz KKAFUKIXZZEJDE-OLZOCXBDSA-N 0 3 321.367 4.272 20 0 DIADHN CCc1ccc(CNCc2ccc(OCC3CC3)cc2)s1 ZINC000480656665 339581385 /nfs/dbraw/zinc/58/13/85/339581385.db2.gz BPAOLZJLHJJXTE-UHFFFAOYSA-N 0 3 301.455 4.389 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](CCO)C(C)(C)C ZINC000480668302 339582330 /nfs/dbraw/zinc/58/23/30/339582330.db2.gz SHBZJECPKYXGSL-LRDDRELGSA-N 0 3 313.869 4.186 20 0 DIADHN CC[C@@H](N[C@@H](CO)Cc1ccccc1)c1cccc(Cl)c1F ZINC000480671070 339582559 /nfs/dbraw/zinc/58/25/59/339582559.db2.gz DXFYZRVEJDWQEJ-RHSMWYFYSA-N 0 3 321.823 4.123 20 0 DIADHN Fc1ccc(N2CC[C@H](CNCc3ccsc3Cl)C2)cc1 ZINC000480673000 339582744 /nfs/dbraw/zinc/58/27/44/339582744.db2.gz PQNKONBWTLKHLZ-GFCCVEGCSA-N 0 3 324.852 4.157 20 0 DIADHN Clc1sccc1CNCCCOCCc1ccccc1 ZINC000480679712 339582941 /nfs/dbraw/zinc/58/29/41/339582941.db2.gz WEHZNKFLSHFSFQ-UHFFFAOYSA-N 0 3 309.862 4.141 20 0 DIADHN COCC[C@@H](N[C@H](C)CCCC(F)(F)F)c1ccc(C)o1 ZINC000480678025 339582959 /nfs/dbraw/zinc/58/29/59/339582959.db2.gz RQNZHCKYMKKMDY-DGCLKSJQSA-N 0 3 307.356 4.376 20 0 DIADHN Cn1cc([C@@H](NC2CCC(C)(C)CC2)c2ccc(F)cc2)cn1 ZINC000480684701 339583277 /nfs/dbraw/zinc/58/32/77/339583277.db2.gz UZPWFUWZKABFGZ-SFHVURJKSA-N 0 3 315.436 4.207 20 0 DIADHN CC(C)=CCC[C@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721983 339584771 /nfs/dbraw/zinc/58/47/71/339584771.db2.gz WPRQGSWKEPQIGS-KXBFYZLASA-N 0 3 315.436 4.373 20 0 DIADHN CC(C)=CCC[C@@H](C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000480721980 339584803 /nfs/dbraw/zinc/58/48/03/339584803.db2.gz WPRQGSWKEPQIGS-BEFAXECRSA-N 0 3 315.436 4.373 20 0 DIADHN CO[C@@H](C)[C@@H](C)NCc1ccc(OCc2cccc(C)c2)cc1 ZINC000480761247 339586283 /nfs/dbraw/zinc/58/62/83/339586283.db2.gz SEJFNGILVWQKOG-SJORKVTESA-N 0 3 313.441 4.087 20 0 DIADHN C[C@@H](Cn1ccnc1)N[C@@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000480762684 339586306 /nfs/dbraw/zinc/58/63/06/339586306.db2.gz KTDMYERLOHUFDA-IRXDYDNUSA-N 0 3 321.424 4.415 20 0 DIADHN CCCCCOc1ccc([C@H](C)N[C@H](C)Cn2ccnc2)cc1 ZINC000480772234 339586533 /nfs/dbraw/zinc/58/65/33/339586533.db2.gz TVYRPDQXESXNMN-SJORKVTESA-N 0 3 315.461 4.191 20 0 DIADHN Cc1ccc(C[C@H](NCc2ccnn2C)c2ccc(C)cc2)cc1 ZINC000480774086 339586816 /nfs/dbraw/zinc/58/68/16/339586816.db2.gz LORHNPWCTSSVBS-NRFANRHFSA-N 0 3 319.452 4.111 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000480779141 339587003 /nfs/dbraw/zinc/58/70/03/339587003.db2.gz PFHCAARWNDQDOI-KSZLIROESA-N 0 3 301.430 4.399 20 0 DIADHN CCCCc1ccc([C@H](C)NCc2ccc(N(C)C)nc2)cc1 ZINC000480811725 339588020 /nfs/dbraw/zinc/58/80/20/339588020.db2.gz MPNMROUSUBITHO-INIZCTEOSA-N 0 3 311.473 4.341 20 0 DIADHN COc1ccc([C@@H]2CCCC[C@@H]2NCc2nccs2)cc1F ZINC000480879099 339589329 /nfs/dbraw/zinc/58/93/29/339589329.db2.gz GMDMUGKSAAQEJS-ZFWWWQNUSA-N 0 3 320.433 4.107 20 0 DIADHN CCN(CC)c1ccc(CN[C@H]2CC(C)(C)c3ccccc32)cn1 ZINC000480912060 339590662 /nfs/dbraw/zinc/59/06/62/339590662.db2.gz QYGWRPOIMBORIC-IBGZPJMESA-N 0 3 323.484 4.440 20 0 DIADHN CCc1nc(C)c([C@H](C)N[C@@H](C)COc2cccc(C)c2)s1 ZINC000481018304 339593097 /nfs/dbraw/zinc/59/30/97/339593097.db2.gz DPVWKUFNBKDQRW-KBPBESRZSA-N 0 3 318.486 4.440 20 0 DIADHN COCCOc1ccccc1CN[C@@H](C)c1cccc(C)c1C ZINC000481040503 339593990 /nfs/dbraw/zinc/59/39/90/339593990.db2.gz OXJHQNLJRVKFJH-KRWDZBQOSA-N 0 3 313.441 4.179 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC([C@H](C)NC(C)=O)CC1 ZINC000481145327 339596463 /nfs/dbraw/zinc/59/64/63/339596463.db2.gz VMNHUIBVNLFNNW-SCLBCKFNSA-N 0 3 322.880 4.028 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H]2CCCCC2(C)C)c(Cl)c1 ZINC000481179357 339597369 /nfs/dbraw/zinc/59/73/69/339597369.db2.gz IDEFITMPHUESEZ-HNNXBMFYSA-N 0 3 308.853 4.145 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H]2CCCCC2(C)C)c(Cl)c1 ZINC000481179358 339597444 /nfs/dbraw/zinc/59/74/44/339597444.db2.gz IDEFITMPHUESEZ-OAHLLOKOSA-N 0 3 308.853 4.145 20 0 DIADHN C[C@@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000481186874 339597817 /nfs/dbraw/zinc/59/78/17/339597817.db2.gz YOAGFSGNDHIPOZ-JXFSHQFZSA-N 0 3 317.408 4.351 20 0 DIADHN C[C@H](N[C@H]1CC[C@@H](C)C[C@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000481186872 339597903 /nfs/dbraw/zinc/59/79/03/339597903.db2.gz YOAGFSGNDHIPOZ-FOCJUVANSA-N 0 3 317.408 4.351 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CCCC[C@H]1Cc1ccccc1 ZINC000481195581 339598266 /nfs/dbraw/zinc/59/82/66/339598266.db2.gz WCFFCBQHVVFJSN-OALUTQOASA-N 0 3 311.473 4.355 20 0 DIADHN C[C@H](NCc1cnn(C)c1)c1ccc(-c2ccc(F)cc2)s1 ZINC000481251919 339599256 /nfs/dbraw/zinc/59/92/56/339599256.db2.gz MDRLGTVFZGXYRT-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN COc1cccc(CN[C@@H](C)c2cc(C)c(C)cc2C)c1OC ZINC000481304540 339600388 /nfs/dbraw/zinc/60/03/88/339600388.db2.gz IAYWJTOFDXQPST-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN CCc1ccc([C@@H](C)NCC(=O)Nc2ccc(Cl)cc2)s1 ZINC000481398481 339603334 /nfs/dbraw/zinc/60/33/34/339603334.db2.gz FHXDTPVMUMELFJ-LLVKDONJSA-N 0 3 322.861 4.253 20 0 DIADHN CCc1ccc([C@H](C)NC[C@H]2CCN(c3ccc(F)cc3)C2)o1 ZINC000481557069 339606544 /nfs/dbraw/zinc/60/65/44/339606544.db2.gz LBJPNZSPVRBQQG-LSDHHAIUSA-N 0 3 316.420 4.158 20 0 DIADHN Cc1ccc(CN2CCCC2)cc1NC(=O)[C@@H]1C[C@]1(C)C(C)C ZINC000481788512 339614032 /nfs/dbraw/zinc/61/40/32/339614032.db2.gz NSIGGLHXJBFVCJ-FXAWDEMLSA-N 0 3 314.473 4.212 20 0 DIADHN CCC[C@H](C)[C@H](CC)N[C@H](CC)c1nnc2n1CCCCC2 ZINC000481918990 339616639 /nfs/dbraw/zinc/61/66/39/339616639.db2.gz HKBGLVOZYISXGO-HRCADAONSA-N 0 3 306.498 4.260 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN[C@@H](c1cccnc1)C1CCC1 ZINC000481928898 339617141 /nfs/dbraw/zinc/61/71/41/339617141.db2.gz SWGCMIVTGHYDJV-ATZDWAIDSA-N 0 3 302.462 4.108 20 0 DIADHN CCN(CC)[C@H](CN[C@H](C)c1ccccc1F)c1ccco1 ZINC000481955972 339617906 /nfs/dbraw/zinc/61/79/06/339617906.db2.gz YLIRHEXFNTVRDE-RHSMWYFYSA-N 0 3 304.409 4.152 20 0 DIADHN c1cncc([C@H](NC[C@@H]2CCC3(CCCCC3)O2)C2CCC2)c1 ZINC000482000739 339619186 /nfs/dbraw/zinc/61/91/86/339619186.db2.gz KXYBYYLRFHMJSI-RBUKOAKNSA-N 0 3 314.473 4.394 20 0 DIADHN CC[C@@H](N[C@@H](c1nc2ccccc2n1C)c1ccccc1)C1CC1 ZINC000482030004 339620348 /nfs/dbraw/zinc/62/03/48/339620348.db2.gz FELNDHQCXRIMHF-YLJYHZDGSA-N 0 3 319.452 4.441 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](C)C[C@@H]1CCCO1 ZINC000482093680 339622812 /nfs/dbraw/zinc/62/28/12/339622812.db2.gz JOFASDUZMHMMME-YDHLFZDLSA-N 0 3 311.853 4.347 20 0 DIADHN C[C@H]1C[C@@H](NC[C@H](O)C2CCCCC2)c2ccsc2S1 ZINC000482243493 339627246 /nfs/dbraw/zinc/62/72/46/339627246.db2.gz LZBIRUKHGQANMU-GLQYFDAESA-N 0 3 311.516 4.204 20 0 DIADHN CCc1ccc([C@H](C)NCCCc2nc3c(s2)CCCC3)o1 ZINC000482259262 339627956 /nfs/dbraw/zinc/62/79/56/339627956.db2.gz GIQNCCQJBVHSMG-ZDUSSCGKSA-N 0 3 318.486 4.461 20 0 DIADHN COc1ccc(Cl)cc1[C@@H](C)N[C@H](C)Cc1ccc(O)cc1 ZINC000482516302 339634351 /nfs/dbraw/zinc/63/43/51/339634351.db2.gz ZEPNOCXPBDOJCL-CHWSQXEVSA-N 0 3 319.832 4.336 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@H](C)Cc1ccc(O)cc1 ZINC000482516303 339634376 /nfs/dbraw/zinc/63/43/76/339634376.db2.gz ZEPNOCXPBDOJCL-OLZOCXBDSA-N 0 3 319.832 4.336 20 0 DIADHN COCCNc1ccc(CN[C@H]2CC(C)(C)c3ccccc32)cc1 ZINC000482538156 339635052 /nfs/dbraw/zinc/63/50/52/339635052.db2.gz PLYRQGCFWAAMMN-FQEVSTJZSA-N 0 3 324.468 4.257 20 0 DIADHN COc1ccc2oc(CNCCc3cc(F)ccc3F)cc2c1 ZINC000482560452 339635996 /nfs/dbraw/zinc/63/59/96/339635996.db2.gz IRYHBSUKDMFANG-UHFFFAOYSA-N 0 3 317.335 4.052 20 0 DIADHN CCSc1ccccc1[C@@H](C)NCc1cccc(CO)c1 ZINC000482561890 339636208 /nfs/dbraw/zinc/63/62/08/339636208.db2.gz VXVJCONHSPLAOI-CQSZACIVSA-N 0 3 301.455 4.142 20 0 DIADHN CCc1ccc([C@H](C)NCCc2nc(C(C)(C)C)cs2)o1 ZINC000482561065 339636221 /nfs/dbraw/zinc/63/62/21/339636221.db2.gz UWCYZWZFQGDASL-LBPRGKRZSA-N 0 3 306.475 4.489 20 0 DIADHN Cn1ccc(CN[C@@H](Cc2ccc(F)cc2)c2ccccc2)c1 ZINC000482602606 339637191 /nfs/dbraw/zinc/63/71/91/339637191.db2.gz KCSPAXGABLOCHQ-FQEVSTJZSA-N 0 3 308.400 4.238 20 0 DIADHN Cc1ccc(CNCc2ccn(C)c2)c(Oc2ccccc2)c1 ZINC000482809545 339643047 /nfs/dbraw/zinc/64/30/47/339643047.db2.gz BSWBZDRBDVUAIB-UHFFFAOYSA-N 0 3 306.409 4.416 20 0 DIADHN CC[C@@H](C)[C@](C)(O)CN[C@@H](CC)c1ccccc1OC(F)F ZINC000482948306 339648054 /nfs/dbraw/zinc/64/80/54/339648054.db2.gz SUSAMOXVJGDNTN-HACGYAERSA-N 0 3 315.404 4.126 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)c1ccc(CN(C)C)cc1)C(C)C ZINC000483047851 339650327 /nfs/dbraw/zinc/65/03/27/339650327.db2.gz OGXZSVJOANMAET-FQEVSTJZSA-N 0 3 324.468 4.184 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2cccc(F)c2)C1)c1ccsc1 ZINC000483171850 339655215 /nfs/dbraw/zinc/65/52/15/339655215.db2.gz WTRVIOGVVSOQHB-BBRMVZONSA-N 0 3 304.434 4.207 20 0 DIADHN C[C@H](NCCCNc1ccccc1)c1ccc(F)cc1N(C)C ZINC000483213422 339656430 /nfs/dbraw/zinc/65/64/30/339656430.db2.gz LTBHIIANQVVSGW-HNNXBMFYSA-N 0 3 315.436 4.045 20 0 DIADHN CSC[C@H](C)N[C@H](C)c1sc(Cc2ccccc2)nc1C ZINC000483213184 339656637 /nfs/dbraw/zinc/65/66/37/339656637.db2.gz UXBRBIBLIHACAJ-QWHCGFSZSA-N 0 3 320.527 4.444 20 0 DIADHN CC[C@@H]1CCC[C@H](N[C@@H](C)c2nc(-c3cccc(F)c3)no2)C1 ZINC000483358488 339662588 /nfs/dbraw/zinc/66/25/88/339662588.db2.gz REZMQNXQRIZSJX-ZENOOKHLSA-N 0 3 317.408 4.495 20 0 DIADHN FC(F)C1CCN(CCCOc2cccc(Cl)c2)CC1 ZINC000483520495 339667960 /nfs/dbraw/zinc/66/79/60/339667960.db2.gz SUZDAPXTBQGGKQ-UHFFFAOYSA-N 0 3 303.780 4.086 20 0 DIADHN COc1ccc([C@H](C)NC[C@H](C)Oc2ccccc2F)cc1F ZINC000483613310 339669384 /nfs/dbraw/zinc/66/93/84/339669384.db2.gz KNQBUQVYEBWHMY-STQMWFEESA-N 0 3 321.367 4.092 20 0 DIADHN C[C@@H](NC[C@H](C)Oc1ccccc1F)c1cc(F)cc(F)c1 ZINC000483620212 339669685 /nfs/dbraw/zinc/66/96/85/339669685.db2.gz QPIMLSIBWOCVNO-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN CCc1nc(C)c([C@H](C)NC[C@H](C)Oc2ccc(C)cc2)s1 ZINC000483623451 339669828 /nfs/dbraw/zinc/66/98/28/339669828.db2.gz LRCPGAPGWRWTJS-KBPBESRZSA-N 0 3 318.486 4.440 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccc2ccccc2n1)Oc1ccc(F)cc1 ZINC000483641735 339670354 /nfs/dbraw/zinc/67/03/54/339670354.db2.gz UWGYKQWARDMDFB-GJZGRUSLSA-N 0 3 324.399 4.492 20 0 DIADHN CCC(CC)CN[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000483643165 339670510 /nfs/dbraw/zinc/67/05/10/339670510.db2.gz CABBSIUEVMXZOH-GFCCVEGCSA-N 0 3 305.397 4.271 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCC[C@H](C)C3)n2)ccc1F ZINC000483654748 339670964 /nfs/dbraw/zinc/67/09/64/339670964.db2.gz OPUQGVRSUCSHDT-CORIIIEPSA-N 0 3 317.408 4.413 20 0 DIADHN COc1ccccc1O[C@H](C)CN[C@@H](C)c1ccc(F)cc1F ZINC000483656244 339670974 /nfs/dbraw/zinc/67/09/74/339670974.db2.gz YOXKSWGXKUEUNQ-OLZOCXBDSA-N 0 3 321.367 4.092 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@H](C)CCc2ccc(C)o2)c1 ZINC000483665136 339671178 /nfs/dbraw/zinc/67/11/78/339671178.db2.gz VBRJJYGWAUBXMP-HIFRSBDPSA-N 0 3 317.429 4.277 20 0 DIADHN Cc1nc2c(s1)[C@@H](NC[C@@H](C)Oc1cccc(C)c1)CCC2 ZINC000483711009 339672126 /nfs/dbraw/zinc/67/21/26/339672126.db2.gz ILEYONBQQKGMAH-CJNGLKHVSA-N 0 3 316.470 4.194 20 0 DIADHN C[C@@H](N[C@H]1CCCN(c2ccccc2Cl)C1)c1ccco1 ZINC000483713756 339672384 /nfs/dbraw/zinc/67/23/84/339672384.db2.gz OTTRZSLNDAZNTH-KGLIPLIRSA-N 0 3 304.821 4.253 20 0 DIADHN C[C@H](N[C@H]1CCC[C@]1(C)CO)c1ccc(Cl)cc1Cl ZINC000483738582 339673573 /nfs/dbraw/zinc/67/35/73/339673573.db2.gz BMHVOURXKNMOCS-NZVBXONLSA-N 0 3 302.245 4.195 20 0 DIADHN CC(C)[C@H](O)CCN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000483748604 339674065 /nfs/dbraw/zinc/67/40/65/339674065.db2.gz SDBZYSCADHXURQ-YMTOWFKASA-N 0 3 308.224 4.190 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccco1)Oc1cccc(Br)c1 ZINC000483814868 339675068 /nfs/dbraw/zinc/67/50/68/339675068.db2.gz GLTGKZCOWLDSTM-NEPJUHHUSA-N 0 3 324.218 4.160 20 0 DIADHN CC(C)C[C@H](CCO)CN[C@H](C)c1ccc(F)cc1Cl ZINC000483851341 339675423 /nfs/dbraw/zinc/67/54/23/339675423.db2.gz QIEMHJRUZMBAKQ-OLZOCXBDSA-N 0 3 301.833 4.174 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H](CC)C[C@H](C)CO ZINC000483861329 339677065 /nfs/dbraw/zinc/67/70/65/339677065.db2.gz PUXJCRCNWIBTEH-YDHLFZDLSA-N 0 3 313.869 4.186 20 0 DIADHN CO[C@]1(C)C[C@@H](N[C@H](C)c2cnc3ccsc3c2)C1(C)C ZINC000483868284 339677402 /nfs/dbraw/zinc/67/74/02/339677402.db2.gz FRLPKEVVACTSDD-RGYTYGDFSA-N 0 3 304.459 4.151 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCCCC(C)(C)CO ZINC000484154562 339682235 /nfs/dbraw/zinc/68/22/35/339682235.db2.gz CCQQKTVZHYLTLW-ZDUSSCGKSA-N 0 3 313.869 4.188 20 0 DIADHN C[C@H](Cc1ccoc1)N[C@H]1COc2c1ccc(Cl)c2Cl ZINC000484292233 339683822 /nfs/dbraw/zinc/68/38/22/339683822.db2.gz CALBDQJTHMKIFD-RNCFNFMXSA-N 0 3 312.196 4.241 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H]2CCN(c3cccc(Cl)c3)C2)o1 ZINC000484290543 339683935 /nfs/dbraw/zinc/68/39/35/339683935.db2.gz FGCWWDCGOJHJDG-DZGCQCFKSA-N 0 3 304.821 4.171 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1cccc(OC(F)(F)F)c1 ZINC000484369944 339685672 /nfs/dbraw/zinc/68/56/72/339685672.db2.gz GRYHKVGOOGWRKT-TVYUQYBPSA-N 0 3 305.365 4.130 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000484376681 339685778 /nfs/dbraw/zinc/68/57/78/339685778.db2.gz YCZIOXUMZHMDPB-YNEHKIRRSA-N 0 3 302.270 4.464 20 0 DIADHN C[C@@H](NC[C@@H](CO)c1ccccc1)c1ccc(Cl)cc1Cl ZINC000484479955 339687230 /nfs/dbraw/zinc/68/72/30/339687230.db2.gz ZWXCGOMYIUJAQY-OCCSQVGLSA-N 0 3 324.251 4.420 20 0 DIADHN C[C@@H](Cc1ccncc1)N[C@@H](C)c1ccccc1OC(F)(F)F ZINC000484493793 339687887 /nfs/dbraw/zinc/68/78/87/339687887.db2.gz LWQSYFABEOIRFO-STQMWFEESA-N 0 3 324.346 4.262 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@@H](CO)c1ccccc1 ZINC000484503441 339688546 /nfs/dbraw/zinc/68/85/46/339688546.db2.gz OXUIYWICSJLARZ-RDJZCZTQSA-N 0 3 309.409 4.168 20 0 DIADHN CN1CC[C@@H](NCc2cc(F)cc(Cl)c2)[C@@H]1c1ccccc1 ZINC000485690603 339709462 /nfs/dbraw/zinc/70/94/62/339709462.db2.gz CJTLXEOLGMRQKF-MSOLQXFVSA-N 0 3 318.823 4.014 20 0 DIADHN Cc1ccc(CNCC2(c3ccc(F)cc3F)CCCC2)nc1 ZINC000485706418 339710332 /nfs/dbraw/zinc/71/03/32/339710332.db2.gz AREXRUHZBZQGGE-UHFFFAOYSA-N 0 3 316.395 4.270 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H](C)c1ccc2ncsc2c1 ZINC000485849745 339714418 /nfs/dbraw/zinc/71/44/18/339714418.db2.gz RYBSVUQIRIADRL-LBPRGKRZSA-N 0 3 322.437 4.103 20 0 DIADHN CC(C)[C@@H]1C[C@@H](CN[C@H](c2ccco2)c2ccccc2)CCO1 ZINC000486050710 339719349 /nfs/dbraw/zinc/71/93/49/339719349.db2.gz HYXBNXYEDPTMIM-VDGAXYAQSA-N 0 3 313.441 4.410 20 0 DIADHN COc1ccc([C@H](NCCOC(C)C)c2ccc(C)cc2)cc1 ZINC000486137871 339721958 /nfs/dbraw/zinc/72/19/58/339721958.db2.gz PLMHWPLKZXDXMU-HXUWFJFHSA-N 0 3 313.441 4.108 20 0 DIADHN C[C@H](NCC[C@H]1COc2ccccc2O1)c1ccc(Cl)s1 ZINC000498484613 339723668 /nfs/dbraw/zinc/72/36/68/339723668.db2.gz OCFHCEAHUKYRHV-RYUDHWBXSA-N 0 3 323.845 4.282 20 0 DIADHN CC(C)(C)OCc1cccc(CNC/C=C\c2ccncc2)c1 ZINC000486926876 339738424 /nfs/dbraw/zinc/73/84/24/339738424.db2.gz POUDIFNDYZNBDT-YVMONPNESA-N 0 3 310.441 4.200 20 0 DIADHN Clc1cccc(CCNCc2cnc(-c3ccco3)s2)c1 ZINC000486946542 339739407 /nfs/dbraw/zinc/73/94/07/339739407.db2.gz SDJVUJWLZDBUDO-UHFFFAOYSA-N 0 3 318.829 4.389 20 0 DIADHN C[C@@H](NC/C=C\c1ccncc1)c1cccc(Br)c1 ZINC000486952096 339739707 /nfs/dbraw/zinc/73/97/07/339739707.db2.gz XEMCFANUAZQHAX-DHCBQETCSA-N 0 3 317.230 4.208 20 0 DIADHN C[C@@H](NC/C=C/c1ccncc1)c1cccc(C(F)(F)F)c1 ZINC000486953455 339739783 /nfs/dbraw/zinc/73/97/83/339739783.db2.gz GBZZCHHCRGYIED-ITDFMYJTSA-N 0 3 306.331 4.464 20 0 DIADHN Clc1ccsc1CNC[C@H](c1ccco1)N1CCCCC1 ZINC000486985299 339741484 /nfs/dbraw/zinc/74/14/84/339741484.db2.gz GZNDZGWFVSKPJU-CQSZACIVSA-N 0 3 324.877 4.311 20 0 DIADHN Clc1csc(CNCCc2ccc3ccccc3n2)c1 ZINC000486990439 339741869 /nfs/dbraw/zinc/74/18/69/339741869.db2.gz PRIYRXBKSHTYEL-UHFFFAOYSA-N 0 3 302.830 4.282 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NC(=O)c2ccccc2CN(C)C)CC1 ZINC000487170364 339745112 /nfs/dbraw/zinc/74/51/12/339745112.db2.gz HSUXVBXLFLZEBS-AEFFLSMTSA-N 0 3 316.489 4.083 20 0 DIADHN CCOc1c(Cl)cccc1CN[C@@H]1CCCC(F)(F)C1 ZINC000487276667 339748597 /nfs/dbraw/zinc/74/85/97/339748597.db2.gz JIDDRSPDTYHBKC-GFCCVEGCSA-N 0 3 303.780 4.406 20 0 DIADHN CCOc1ccc(CN[C@@H](CC(F)(F)F)C(C)C)cc1F ZINC000487568794 339757888 /nfs/dbraw/zinc/75/78/88/339757888.db2.gz FMFXTYQIISVZTM-ZDUSSCGKSA-N 0 3 307.331 4.291 20 0 DIADHN CCOc1c(Cl)cccc1CNCCC[C@H]1CCCCO1 ZINC000487547426 339756437 /nfs/dbraw/zinc/75/64/37/339756437.db2.gz GGYYMOPRZFQSHC-OAHLLOKOSA-N 0 3 311.853 4.178 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@@H](C)c1nccs1 ZINC000487551017 339756714 /nfs/dbraw/zinc/75/67/14/339756714.db2.gz SQELVPYJRIDLFK-LLVKDONJSA-N 0 3 310.850 4.089 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000487565522 339757688 /nfs/dbraw/zinc/75/76/88/339757688.db2.gz AJKZUVRZDUDMHG-MSOLQXFVSA-N 0 3 323.864 4.034 20 0 DIADHN CC(C)[C@@H]1CN(C(=O)c2ccc(CN(C)C)cc2)c2ccccc21 ZINC000487734952 339762193 /nfs/dbraw/zinc/76/21/93/339762193.db2.gz UVMFGGTZOZNHFP-IBGZPJMESA-N 0 3 322.452 4.148 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)O1)c1ccc(C(F)(F)F)cc1 ZINC000488130149 339771380 /nfs/dbraw/zinc/77/13/80/339771380.db2.gz VMPIWTWAETUHLI-BXUZGUMPSA-N 0 3 301.352 4.314 20 0 DIADHN C[C@@H](NC[C@@H](C)c1ccccc1)c1nccn1-c1ccccc1 ZINC000488253944 339774554 /nfs/dbraw/zinc/77/45/54/339774554.db2.gz LEZYYDQDAGIVLZ-IAGOWNOFSA-N 0 3 305.425 4.327 20 0 DIADHN C[C@H](CN[C@@H](C)c1nccn1-c1ccccc1)c1ccc(F)cc1 ZINC000488272549 339775231 /nfs/dbraw/zinc/77/52/31/339775231.db2.gz MKGFMZRYPFGEFU-CVEARBPZSA-N 0 3 323.415 4.466 20 0 DIADHN CCOc1cc(CN[C@H]2CS[C@@H](C(C)(C)C)C2)ccc1OC ZINC000488409566 339780239 /nfs/dbraw/zinc/78/02/39/339780239.db2.gz HFXPPOSXARDWPD-RHSMWYFYSA-N 0 3 323.502 4.104 20 0 DIADHN CCOc1ccc(CN[C@H]2CS[C@H](C(C)(C)C)C2)cc1OC ZINC000488409521 339780263 /nfs/dbraw/zinc/78/02/63/339780263.db2.gz HCIKBVCBHGGZHQ-PBHICJAKSA-N 0 3 323.502 4.104 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccc3ccccc3n2)CS1 ZINC000488415410 339780628 /nfs/dbraw/zinc/78/06/28/339780628.db2.gz SNALWBZIJJTWLS-NVXWUHKLSA-N 0 3 300.471 4.245 20 0 DIADHN CC(C)(C)[C@H]1C[C@H](NCc2nccc3ccccc32)CS1 ZINC000488424645 339781315 /nfs/dbraw/zinc/78/13/15/339781315.db2.gz YJHTZFFACNBRKP-WMLDXEAASA-N 0 3 300.471 4.245 20 0 DIADHN Cc1ccc(OC(F)F)c(CN[C@@H]2CS[C@@H](C(C)C)C2)c1 ZINC000488451064 339783318 /nfs/dbraw/zinc/78/33/18/339783318.db2.gz RUWWCBQGCIPWHZ-DZGCQCFKSA-N 0 3 315.429 4.216 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H]2CCCOc3cc(F)ccc32)CS1 ZINC000488454474 339783529 /nfs/dbraw/zinc/78/35/29/339783529.db2.gz DEOSUXSQMGCBPL-LXZKKBNFSA-N 0 3 309.450 4.159 20 0 DIADHN CC(C)n1ccc(C[C@@H](C)N[C@H](C)c2ccccc2Cl)n1 ZINC000488458679 339783780 /nfs/dbraw/zinc/78/37/80/339783780.db2.gz KFIIIQXKDIQGJW-ZIAGYGMSSA-N 0 3 305.853 4.399 20 0 DIADHN CC(C)[C@@H]1C[C@@H](N[C@H]2CCCOc3c(F)cccc32)CS1 ZINC000488536943 339786826 /nfs/dbraw/zinc/78/68/26/339786826.db2.gz XQFMUZBXNAJRGI-KCXAZCMYSA-N 0 3 309.450 4.159 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NC[C@H](c2ccccc2)C(F)(F)F)CS1 ZINC000488586673 339788659 /nfs/dbraw/zinc/78/86/59/339788659.db2.gz WOWDXTOSARSKRI-KFWWJZLASA-N 0 3 317.420 4.452 20 0 DIADHN CC(C)(C)[C@H](COc1ccccc1F)NCc1cccc(O)c1 ZINC000489069766 339801388 /nfs/dbraw/zinc/80/13/88/339801388.db2.gz POJQWEZMJPSJON-SFHVURJKSA-N 0 3 317.404 4.115 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NC[C@@](C)(O)C(C)C)CCCO2 ZINC000489502038 339811079 /nfs/dbraw/zinc/81/10/79/339811079.db2.gz QXOSXYZHTDCWHE-IEBWSBKVSA-N 0 3 305.462 4.020 20 0 DIADHN CCC[C@@H](C)C(=O)NC[C@H](c1ccccc1)N1CCC(C)CC1 ZINC000490245018 339829461 /nfs/dbraw/zinc/82/94/61/339829461.db2.gz OGFJQARAPHJPDO-IEBWSBKVSA-N 0 3 316.489 4.012 20 0 DIADHN CC[C@H](NCC(C)(C)c1ccc(F)cc1)c1ccc(F)cn1 ZINC000491020861 339846159 /nfs/dbraw/zinc/84/61/59/339846159.db2.gz NLOUYGOJAYGBAX-INIZCTEOSA-N 0 3 304.384 4.378 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc3c(c1)OCCCO3)[C@H](C)C2 ZINC000491049647 339847074 /nfs/dbraw/zinc/84/70/74/339847074.db2.gz FWLMAERNSRNLMN-QVKFZJNVSA-N 0 3 323.436 4.179 20 0 DIADHN CC[C@H](NCCCO[C@@H](C)c1ccccc1)c1ccc(F)cn1 ZINC000491368445 339859625 /nfs/dbraw/zinc/85/96/25/339859625.db2.gz PYNHOWDVYLVGMP-YJBOKZPZSA-N 0 3 316.420 4.429 20 0 DIADHN Fc1cncc(CNC2(Cc3ccc(Cl)cc3)CCC2)c1 ZINC000491582071 339867828 /nfs/dbraw/zinc/86/78/28/339867828.db2.gz TWIQZGNNCQEVCE-UHFFFAOYSA-N 0 3 304.796 4.129 20 0 DIADHN C[C@@H](NCc1sccc1Cl)[C@@H]1OCCc2sccc21 ZINC000492112923 339891865 /nfs/dbraw/zinc/89/18/65/339891865.db2.gz XVAYDYXYPKHFAB-OTYXRUKQSA-N 0 3 313.875 4.255 20 0 DIADHN CCC(CC)(CNCc1cc(C(F)(F)F)ccc1F)OC ZINC000492273366 339896076 /nfs/dbraw/zinc/89/60/76/339896076.db2.gz KFSMISWNBWPDHL-UHFFFAOYSA-N 0 3 307.331 4.139 20 0 DIADHN CC[C@H](CN[C@@H](CC)c1ccc(F)cn1)Oc1cccc(F)c1 ZINC000493008541 339914841 /nfs/dbraw/zinc/91/48/41/339914841.db2.gz KXKAXOIGYVYTGD-WBVHZDCISA-N 0 3 320.383 4.258 20 0 DIADHN C[C@@H](CCO)CN[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000493033833 339915531 /nfs/dbraw/zinc/91/55/31/339915531.db2.gz HFVIAOFILXPDCW-KSSFIOAISA-N 0 3 303.833 4.038 20 0 DIADHN CC[C@H](NC[C@@H]1CCCO[C@H]1C(C)(C)C)c1ccc(F)cn1 ZINC000493036415 339915536 /nfs/dbraw/zinc/91/55/36/339915536.db2.gz PAHZJJUWQGSIRK-JLJPHGGASA-N 0 3 308.441 4.103 20 0 DIADHN Oc1ccc(C2CCN(CCc3ccc(F)c(F)c3)CC2)cc1 ZINC000493633734 339929969 /nfs/dbraw/zinc/92/99/69/339929969.db2.gz HXYPVKIMSNTROB-UHFFFAOYSA-N 0 3 317.379 4.093 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccccc1OC(F)F ZINC000493736175 339932303 /nfs/dbraw/zinc/93/23/03/339932303.db2.gz JVJRCIVSKFZAHQ-GUYCJALGSA-N 0 3 321.367 4.154 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494005494 339938688 /nfs/dbraw/zinc/93/86/88/339938688.db2.gz ZYIBKOBWSQOTES-NVXWUHKLSA-N 0 3 322.811 4.303 20 0 DIADHN COc1ccc(C2(NCc3ccc4nc(C)sc4c3)CC2)cc1 ZINC000494090630 339940641 /nfs/dbraw/zinc/94/06/41/339940641.db2.gz SJFCZGUYBIMCJI-UHFFFAOYSA-N 0 3 324.449 4.392 20 0 DIADHN Cc1cscc1CN[C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000494455197 339949746 /nfs/dbraw/zinc/94/97/46/339949746.db2.gz MDXGUNVGSSVNAH-LBPRGKRZSA-N 0 3 314.454 4.137 20 0 DIADHN CO[C@@H](c1ccccc1)[C@H](C)N[C@@H]1CCOc2c(F)cccc21 ZINC000494457175 339949887 /nfs/dbraw/zinc/94/98/87/339949887.db2.gz YOGCURHGOYPPQZ-MORSLUCNSA-N 0 3 315.388 4.015 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCCOc2cccc(C)c2C)s1 ZINC000494498273 339951679 /nfs/dbraw/zinc/95/16/79/339951679.db2.gz UUCLIGCRJYXXFX-CQSZACIVSA-N 0 3 318.486 4.496 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCCOc1ccccc1Cl ZINC000494641038 339956380 /nfs/dbraw/zinc/95/63/80/339956380.db2.gz DFDTWKUPZBTXBB-KSSFIOAISA-N 0 3 319.832 4.085 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1c(C)c(C)c(C)c(C)c1C ZINC000494705339 339958141 /nfs/dbraw/zinc/95/81/41/339958141.db2.gz YQNLYENGKQLAJF-SFHVURJKSA-N 0 3 317.498 4.422 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)N[C@H]1CCCc2sccc21 ZINC000494777848 339959824 /nfs/dbraw/zinc/95/98/24/339959824.db2.gz DPLOMAXQNJCWNW-SKDZVZGDSA-N 0 3 301.455 4.491 20 0 DIADHN Cc1csc(C2(NCCc3c(F)cccc3Cl)CCC2)n1 ZINC000494795446 339960399 /nfs/dbraw/zinc/96/03/99/339960399.db2.gz PMCZRUHMGSBKOP-UHFFFAOYSA-N 0 3 324.852 4.456 20 0 DIADHN Cc1csc(C2(NCCCOCc3ccccc3)CCC2)n1 ZINC000494798897 339960514 /nfs/dbraw/zinc/96/05/14/339960514.db2.gz GPQNJPPMUDEDRP-UHFFFAOYSA-N 0 3 316.470 4.027 20 0 DIADHN Cc1cccc2ncc(CNCc3ccc(C4CCC4)cc3)n21 ZINC000494844542 339962058 /nfs/dbraw/zinc/96/20/58/339962058.db2.gz IHWGOJJTSTWBGY-UHFFFAOYSA-N 0 3 305.425 4.200 20 0 DIADHN Fc1ccccc1CC1CCN(C/C=C/c2ccncc2)CC1 ZINC000494930900 339965368 /nfs/dbraw/zinc/96/53/68/339965368.db2.gz NCUMKUIITVANBO-ONEGZZNKSA-N 0 3 310.416 4.189 20 0 DIADHN CCSc1cc(CNC/C=C\c2ccc(F)cc2F)ccn1 ZINC000495162865 339977126 /nfs/dbraw/zinc/97/71/26/339977126.db2.gz DTWWQYJUKLOTJL-ARJAWSKDSA-N 0 3 320.408 4.275 20 0 DIADHN COCC[C@H](N[C@H](C)Cc1ccccc1C)c1ccc(C)o1 ZINC000495384225 339982230 /nfs/dbraw/zinc/98/22/30/339982230.db2.gz CUYJSOVKPVIQSR-QAPCUYQASA-N 0 3 301.430 4.195 20 0 DIADHN CC[C@H](C)NCc1cc(-c2c(OC)ccc3ccccc32)no1 ZINC000495435402 339984485 /nfs/dbraw/zinc/98/44/85/339984485.db2.gz WIHBJPYLZATDIS-ZDUSSCGKSA-N 0 3 310.397 4.392 20 0 DIADHN C[C@H](NCCCc1nc2c(s1)CCCC2)c1ccccc1F ZINC000495666351 339989643 /nfs/dbraw/zinc/98/96/43/339989643.db2.gz CXXODPXQLGMBDG-ZDUSSCGKSA-N 0 3 318.461 4.444 20 0 DIADHN COC(=O)Nc1cccc(CNCc2cccc(C3CC3)c2)c1 ZINC000496972191 340017315 /nfs/dbraw/zinc/01/73/15/340017315.db2.gz DPPHUHMEHCHMIM-UHFFFAOYSA-N 0 3 310.397 4.032 20 0 DIADHN CCCCCC(=O)NC1CCN([C@H](C)c2ccc(F)cc2)CC1 ZINC000498676825 340021740 /nfs/dbraw/zinc/02/17/40/340021740.db2.gz SULOXYMESBYIPX-OAHLLOKOSA-N 0 3 320.452 4.048 20 0 DIADHN C[C@H](CC[S@@](C)=O)N[C@@H](c1cc2ccccc2o1)C(C)(C)C ZINC000498766182 340022878 /nfs/dbraw/zinc/02/28/78/340022878.db2.gz UDRFZXJYTYVZJK-LEXZLQQCSA-N 0 3 321.486 4.267 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN(Cc1cccc(O)c1)CC1CC1 ZINC000498901051 340025387 /nfs/dbraw/zinc/02/53/87/340025387.db2.gz LLNIZIYLRKQZOT-JXFKEZNVSA-N 0 3 317.473 4.200 20 0 DIADHN C[C@H](CCn1cccn1)N[C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000498929704 340026283 /nfs/dbraw/zinc/02/62/83/340026283.db2.gz OKAKIYFZQTUHOZ-CXAGYDPISA-N 0 3 321.415 4.317 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499052992 340028530 /nfs/dbraw/zinc/02/85/30/340028530.db2.gz HBXBRRAZWZKYQJ-GOSISDBHSA-N 0 3 311.429 4.279 20 0 DIADHN CC[C@H]1CCCN(Cc2ccc(Oc3ccccc3)nn2)CC1 ZINC000499051760 340028597 /nfs/dbraw/zinc/02/85/97/340028597.db2.gz BZFMYQMMTXGJCN-INIZCTEOSA-N 0 3 311.429 4.281 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499051556 340028647 /nfs/dbraw/zinc/02/86/47/340028647.db2.gz ACNBPMNMIDETQF-QGZVFWFLSA-N 0 3 311.429 4.424 20 0 DIADHN c1ccc(Oc2ccc(CN3CCC[C@@H]4CCC[C@@H]43)nn2)cc1 ZINC000499054442 340028752 /nfs/dbraw/zinc/02/87/52/340028752.db2.gz LGJFWGHLCDJLNT-YJBOKZPZSA-N 0 3 309.413 4.033 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1ccc(Oc2ccccc2)nn1 ZINC000499065475 340029017 /nfs/dbraw/zinc/02/90/17/340029017.db2.gz SDCIRRGQOPBGDM-JKSUJKDBSA-N 0 3 311.429 4.279 20 0 DIADHN C[C@H]([C@@H]1Cc2ccccc2O1)N(C)Cc1cnc2ccccc2c1 ZINC000499550681 340039347 /nfs/dbraw/zinc/03/93/47/340039347.db2.gz VJRKRSWWAYFFPD-VFNWGFHPSA-N 0 3 318.420 4.059 20 0 DIADHN C[C@H](NC[C@](C)(O)C(F)(F)F)c1ccc(-c2ccccc2)cc1 ZINC000499601976 340040374 /nfs/dbraw/zinc/04/03/74/340040374.db2.gz HRWCXLJALMVJLZ-GUYCJALGSA-N 0 3 323.358 4.318 20 0 DIADHN CC(C)Sc1ccc([C@H](C)NC[C@@](C)(O)C(F)(F)F)cc1 ZINC000499602531 340040532 /nfs/dbraw/zinc/04/05/32/340040532.db2.gz NPGCZAGQCOXIKN-SMDDNHRTSA-N 0 3 321.408 4.151 20 0 DIADHN CC(C)C[C@H](C)CN[C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000499623822 340041295 /nfs/dbraw/zinc/04/12/95/340041295.db2.gz FRKJLIHTICYOJN-QWHCGFSZSA-N 0 3 305.397 4.209 20 0 DIADHN C[C@H](NCc1cnc2ccccc2c1)[C@H]1Oc2ccccc2[C@H]1C ZINC000499625248 340041538 /nfs/dbraw/zinc/04/15/38/340041538.db2.gz KXMKLEMFMFXBOI-WIEQDCTASA-N 0 3 318.420 4.278 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N(C)CC1CCN(C)CC1 ZINC000499980400 340048058 /nfs/dbraw/zinc/04/80/58/340048058.db2.gz GUAQPHHXHYQHFO-IBGZPJMESA-N 0 3 322.537 4.173 20 0 DIADHN CN1CCN(CCSC2CCCCC2)C2(CCCCC2)C1 ZINC000500074724 340049774 /nfs/dbraw/zinc/04/97/74/340049774.db2.gz LUPGOUHUXDLIRK-UHFFFAOYSA-N 0 3 310.551 4.003 20 0 DIADHN CC[C@H](C)N1CCc2c(cccc2NC(=O)Nc2ccccc2)C1 ZINC000500127476 340050787 /nfs/dbraw/zinc/05/07/87/340050787.db2.gz VQCWGOSRBPKNFY-HNNXBMFYSA-N 0 3 323.440 4.487 20 0 DIADHN C(CN1CCN(C2CCCCC2)CC1)SC1CCCCC1 ZINC000500128092 340050830 /nfs/dbraw/zinc/05/08/30/340050830.db2.gz XSYOMUSRFSNDSQ-UHFFFAOYSA-N 0 3 310.551 4.003 20 0 DIADHN CN(CCSC1CCCCC1)[C@@H](CCO)c1ccccc1 ZINC000500136357 340051091 /nfs/dbraw/zinc/05/10/91/340051091.db2.gz WBTXNNNGFNPEAM-SFHVURJKSA-N 0 3 307.503 4.108 20 0 DIADHN C[C@@H]1CC[C@@H](CN2CCC(F)(c3ccc(Cl)cc3)CC2)O1 ZINC000500141294 340051257 /nfs/dbraw/zinc/05/12/57/340051257.db2.gz SDWWLMXLBHAJMO-CJNGLKHVSA-N 0 3 311.828 4.168 20 0 DIADHN FC1(c2ccc(Cl)cc2)CCN(CCc2ccccn2)CC1 ZINC000500166109 340051739 /nfs/dbraw/zinc/05/17/39/340051739.db2.gz IBZIQSRNYBNNDT-UHFFFAOYSA-N 0 3 318.823 4.238 20 0 DIADHN CSc1ccc([C@H](C)NCCCC(=O)OC(C)(C)C)cc1 ZINC000500231367 340053611 /nfs/dbraw/zinc/05/36/11/340053611.db2.gz MQGXRIFMMIZEHO-ZDUSSCGKSA-N 0 3 309.475 4.181 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1CCOC1)c1ncc(-c2ccc(Cl)cc2)o1 ZINC000500233597 340053672 /nfs/dbraw/zinc/05/36/72/340053672.db2.gz SAZOZKDOHUEJPB-OBJOEFQTSA-N 0 3 320.820 4.071 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H](C)C(=O)N(C(C)C)C(C)C)cc1 ZINC000500239853 340053847 /nfs/dbraw/zinc/05/38/47/340053847.db2.gz WTNSGIDEAXWIBR-GJZGRUSLSA-N 0 3 322.518 4.093 20 0 DIADHN CSc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccccc2)cc1 ZINC000500239197 340053852 /nfs/dbraw/zinc/05/38/52/340053852.db2.gz TVYSZKQQWIXKBZ-UONOGXRCSA-N 0 3 314.454 4.086 20 0 DIADHN COc1ccc(OCCN[C@@H](C)c2ccc(SC)cc2)cc1 ZINC000500248093 340053964 /nfs/dbraw/zinc/05/39/64/340053964.db2.gz FJVFWGPLFMLSGE-AWEZNQCLSA-N 0 3 317.454 4.147 20 0 DIADHN CN(C)CCSc1nc(-c2cccs2)nc2ccccc21 ZINC000500287531 340054611 /nfs/dbraw/zinc/05/46/11/340054611.db2.gz LIFRNABAKWKGCS-UHFFFAOYSA-N 0 3 315.467 4.012 20 0 DIADHN CCc1cc(OC)ccc1CN[C@@H]1CCOc2c(C)cccc21 ZINC000500401209 340056984 /nfs/dbraw/zinc/05/69/84/340056984.db2.gz IKMHCQQLQNKPBB-LJQANCHMSA-N 0 3 311.425 4.179 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](C)c1ccc(OCC2CC2)c(F)c1 ZINC000500435162 340058677 /nfs/dbraw/zinc/05/86/77/340058677.db2.gz UWGIOOGHRYEJCM-KGLIPLIRSA-N 0 3 309.425 4.079 20 0 DIADHN CC(C)(C)c1nc(CNCC2CCC(F)(F)CC2)cs1 ZINC000500442324 340058771 /nfs/dbraw/zinc/05/87/71/340058771.db2.gz UALHGHRWWHRPFK-UHFFFAOYSA-N 0 3 302.434 4.356 20 0 DIADHN CC(C)C[C@H]1CCCN1Cc1nc(-c2cccc(Cl)c2)no1 ZINC000500469541 340059916 /nfs/dbraw/zinc/05/99/16/340059916.db2.gz WQVFARBYYYGJJS-OAHLLOKOSA-N 0 3 319.836 4.401 20 0 DIADHN FC(F)O[C@@H]1CCC[C@H]1NCc1ccc(Cl)cc1Cl ZINC000500475225 340060083 /nfs/dbraw/zinc/06/00/83/340060083.db2.gz LQDOSIQBXNQHJT-VXGBXAGGSA-N 0 3 310.171 4.243 20 0 DIADHN CC(C)[C@H](NCc1ccc2c(c1)ncn2C)c1ccc(F)cc1 ZINC000500485206 340060316 /nfs/dbraw/zinc/06/03/16/340060316.db2.gz IHQAGHSDCNIHHU-IBGZPJMESA-N 0 3 311.404 4.199 20 0 DIADHN CC[C@@H]1C[C@@]1(NCc1cnc2cc(C)ccn12)c1cccc(C)c1 ZINC000500489698 340060394 /nfs/dbraw/zinc/06/03/94/340060394.db2.gz ZTZKNVWHSKVMCZ-UTKZUKDTSA-N 0 3 319.452 4.366 20 0 DIADHN CC[C@@H]1C[C@]1(NCc1ccc2c(c1)OCCO2)c1cccc(C)c1 ZINC000500497394 340060734 /nfs/dbraw/zinc/06/07/34/340060734.db2.gz KAXFWAJKWUVQSC-DYESRHJHSA-N 0 3 323.436 4.181 20 0 DIADHN CC[C@H]1C[C@]1(NCc1ccc(N(C)CC)nc1)c1cccc(C)c1 ZINC000500503020 340060947 /nfs/dbraw/zinc/06/09/47/340060947.db2.gz YUNIUOOQSAXAQD-GHTZIAJQSA-N 0 3 323.484 4.261 20 0 DIADHN C[C@@H](N[C@@H](COc1ccccc1F)C(C)(C)C)c1ccccn1 ZINC000500612651 340063594 /nfs/dbraw/zinc/06/35/94/340063594.db2.gz BIZWGMXFAZGZEE-KDOFPFPSSA-N 0 3 316.420 4.365 20 0 DIADHN C[C@H](N[C@@H](COc1ccccc1F)C(C)(C)C)c1ccccn1 ZINC000500612652 340063610 /nfs/dbraw/zinc/06/36/10/340063610.db2.gz BIZWGMXFAZGZEE-KSSFIOAISA-N 0 3 316.420 4.365 20 0 DIADHN CCC[C@H](NCC[C@H](OCC)C1CCCC1)c1ccccn1 ZINC000500615844 340063771 /nfs/dbraw/zinc/06/37/71/340063771.db2.gz JXBLQXZQWSTRQW-HKUYNNGSSA-N 0 3 304.478 4.498 20 0 DIADHN CCC[C@@H](NCCc1ccc2ccccc2n1)c1ccccn1 ZINC000500618546 340063798 /nfs/dbraw/zinc/06/37/98/340063798.db2.gz PTFJGLATAZCOHC-LJQANCHMSA-N 0 3 305.425 4.303 20 0 DIADHN C[C@@H](NCCCCOc1ccc(Cl)cc1)c1ccccn1 ZINC000500626086 340064115 /nfs/dbraw/zinc/06/41/15/340064115.db2.gz WUCQNDGEIGCOJM-CQSZACIVSA-N 0 3 304.821 4.245 20 0 DIADHN CCC[C@H](NCCc1ccc(OC)cc1Cl)c1ccccn1 ZINC000500637943 340064317 /nfs/dbraw/zinc/06/43/17/340064317.db2.gz VRIOIBBRCFHJAW-KRWDZBQOSA-N 0 3 318.848 4.417 20 0 DIADHN CCC[C@@H](NCCCN(C)c1ccccc1F)c1ccccn1 ZINC000500645287 340064420 /nfs/dbraw/zinc/06/44/20/340064420.db2.gz IMOPWCAUEUGFFL-QGZVFWFLSA-N 0 3 315.436 4.178 20 0 DIADHN COCC1(C)CCN(Cc2ccc3c(c2)CCC(C)(C)O3)CC1 ZINC000500752166 340066451 /nfs/dbraw/zinc/06/64/51/340066451.db2.gz OPHPQCBPEAORKP-UHFFFAOYSA-N 0 3 317.473 4.039 20 0 DIADHN CC(C)N(CCSC1CCCCC1)CCc1nccs1 ZINC000501036679 340073036 /nfs/dbraw/zinc/07/30/36/340073036.db2.gz NSMDJUSDNXGKQU-UHFFFAOYSA-N 0 3 312.548 4.462 20 0 DIADHN CC(C)[C@@H]1CCC[C@H](NCc2cn3cc(Cl)ccc3n2)C1 ZINC000500890169 340069229 /nfs/dbraw/zinc/06/92/29/340069229.db2.gz DFMIWOFUNIRBMT-HIFRSBDPSA-N 0 3 305.853 4.292 20 0 DIADHN C[C@H](NCc1cccc(C(=O)OC(C)(C)C)c1)c1ccccc1 ZINC000500973829 340071204 /nfs/dbraw/zinc/07/12/04/340071204.db2.gz DAHJREUSMUUUCA-HNNXBMFYSA-N 0 3 311.425 4.493 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCCOCC(C)C)oc21 ZINC000501013564 340072317 /nfs/dbraw/zinc/07/23/17/340072317.db2.gz FELZCNNEDXMYDQ-AWEZNQCLSA-N 0 3 305.418 4.155 20 0 DIADHN C[C@H](CN(C)Cc1cccnc1)c1ccc(C(F)(F)F)cc1 ZINC000501050803 340073192 /nfs/dbraw/zinc/07/31/92/340073192.db2.gz HKZIMWVRKYMJNJ-CYBMUJFWSA-N 0 3 308.347 4.336 20 0 DIADHN C[C@H](CN1CCO[C@H]2CCC[C@H]21)c1ccc(C(F)(F)F)cc1 ZINC000501086213 340073992 /nfs/dbraw/zinc/07/39/92/340073992.db2.gz AMBRZOKGVLGSOD-WQVCFCJDSA-N 0 3 313.363 4.062 20 0 DIADHN CN(CCOc1ccc(C(F)(F)F)cc1)Cc1cccs1 ZINC000501224232 340076664 /nfs/dbraw/zinc/07/66/64/340076664.db2.gz YTWFJJGKTYUVET-UHFFFAOYSA-N 0 3 315.360 4.278 20 0 DIADHN FC(F)(F)c1ccc(OCCN2CCC[C@H]2c2ccc[nH]2)cc1 ZINC000501324152 340078617 /nfs/dbraw/zinc/07/86/17/340078617.db2.gz OYFJGXZXESDQJQ-INIZCTEOSA-N 0 3 324.346 4.249 20 0 DIADHN COc1cc([C@H](C)N[C@@H](C)COC(C)C)ccc1OCC(C)C ZINC000501379159 340079480 /nfs/dbraw/zinc/07/94/80/340079480.db2.gz VADRHXJYQHRXBZ-HOTGVXAUSA-N 0 3 323.477 4.194 20 0 DIADHN CC(C)OC[C@H](C)NCc1ccc(COc2ccccc2)cc1 ZINC000501378301 340079524 /nfs/dbraw/zinc/07/95/24/340079524.db2.gz QGCXIBCCDFEPRK-KRWDZBQOSA-N 0 3 313.441 4.169 20 0 DIADHN CCOc1ccc(CNCc2ccc(OC)cc2CC)cc1F ZINC000501416444 340080402 /nfs/dbraw/zinc/08/04/02/340080402.db2.gz FCZZQYIKTHEXIM-UHFFFAOYSA-N 0 3 317.404 4.085 20 0 DIADHN C/C(=C/c1ccccc1C(F)(F)F)CNCCOCC1CC1 ZINC000501425472 340080743 /nfs/dbraw/zinc/08/07/43/340080743.db2.gz ROPDNNRIQUIAMS-RAXLEYEMSA-N 0 3 313.363 4.125 20 0 DIADHN CCOc1cccc2cc([C@@H](C)N[C@H](C)COC(C)C)oc21 ZINC000501449620 340081337 /nfs/dbraw/zinc/08/13/37/340081337.db2.gz KLEATFVZSFBQQZ-ZIAGYGMSSA-N 0 3 305.418 4.296 20 0 DIADHN CC(C)OC[C@H](C)N[C@H](C)c1cccc(OC(F)(F)F)c1 ZINC000501474516 340081923 /nfs/dbraw/zinc/08/19/23/340081923.db2.gz FBBMSZZUUWJYHV-NWDGAFQWSA-N 0 3 305.340 4.049 20 0 DIADHN CC(C)c1cccc(NC(=O)NC[C@@H](C)N2CCC[C@@H](C)C2)c1 ZINC000501504581 340082389 /nfs/dbraw/zinc/08/23/89/340082389.db2.gz QOLFJAYHMIWGBT-HZPDHXFCSA-N 0 3 317.477 4.052 20 0 DIADHN Clc1ccc(CN2CCCN(Cc3ccccc3)CC2)cc1 ZINC000501781606 340088651 /nfs/dbraw/zinc/08/86/51/340088651.db2.gz SWBCCLDJLPVSCK-UHFFFAOYSA-N 0 3 314.860 4.048 20 0 DIADHN COc1ccccc1-c1nc(CN[C@@H]2CCC[C@H]2C)cs1 ZINC000501912213 340090667 /nfs/dbraw/zinc/09/06/67/340090667.db2.gz AVDMWMPDQGITIU-IUODEOHRSA-N 0 3 302.443 4.097 20 0 DIADHN C[C@H](NCCc1c(F)cccc1Cl)c1nc2c(s1)CCC2 ZINC000502027366 340093466 /nfs/dbraw/zinc/09/34/66/340093466.db2.gz BAIVFSMHSFCLCX-JTQLQIEISA-N 0 3 324.852 4.318 20 0 DIADHN CCc1cc(OC)ccc1CNc1ccc(C)cc1CN(C)C ZINC000502253865 340097902 /nfs/dbraw/zinc/09/79/02/340097902.db2.gz LGLSENOGTHOYSU-UHFFFAOYSA-N 0 3 312.457 4.240 20 0 DIADHN C[C@]12C[C@H]1CCC[C@H]2Nc1cccc(CN2CCSCC2)c1 ZINC000502287890 340098923 /nfs/dbraw/zinc/09/89/23/340098923.db2.gz SHUXUWQSTFLDFI-QRQLOZEOSA-N 0 3 316.514 4.226 20 0 DIADHN CCCn1nccc1C1CCN(Cc2ccc(F)c(C)c2)CC1 ZINC000502306557 340099361 /nfs/dbraw/zinc/09/93/61/340099361.db2.gz BLPAGVKBCKZVNW-UHFFFAOYSA-N 0 3 315.436 4.120 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@@H](c2ncc3n2CCCC3)C1 ZINC000502321402 340099628 /nfs/dbraw/zinc/09/96/28/340099628.db2.gz NVANNVCEACZKBP-FUKWUPMWSA-N 0 3 321.468 4.112 20 0 DIADHN CCCc1ccccc1NC(=O)NCCN1CCCC[C@H]1CC ZINC000502368060 340100879 /nfs/dbraw/zinc/10/08/79/340100879.db2.gz QYHDBFLCRDOQNQ-QGZVFWFLSA-N 0 3 317.477 4.025 20 0 DIADHN C[C@H](NCCc1cccc(F)c1)c1cnn(C2CCCC2)c1 ZINC000502412087 340102399 /nfs/dbraw/zinc/10/23/99/340102399.db2.gz BZVALTKELZKKLO-AWEZNQCLSA-N 0 3 301.409 4.031 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CCO[C@@H]2c2ccc(F)c(F)c2)oc1C ZINC000502413157 340102466 /nfs/dbraw/zinc/10/24/66/340102466.db2.gz GIIZDBNFMHZPGC-LIXBPZJASA-N 0 3 321.367 4.355 20 0 DIADHN CC(C)c1nn(-c2ccccc2)cc1CN[C@H]1CCC12CCC2 ZINC000502428396 340103025 /nfs/dbraw/zinc/10/30/25/340103025.db2.gz LSQRMLAHPQHMSM-SFHVURJKSA-N 0 3 309.457 4.418 20 0 DIADHN C[C@H](CN[C@@H](C)c1cnn(C2CCCC2)c1)Oc1ccccc1 ZINC000502446513 340103905 /nfs/dbraw/zinc/10/39/05/340103905.db2.gz QCAUXMATAFPWAC-CVEARBPZSA-N 0 3 313.445 4.116 20 0 DIADHN COc1ccc(C[C@H](C)N[C@H](C)c2cc(C)c(C)o2)cc1OC ZINC000502456698 340104431 /nfs/dbraw/zinc/10/44/31/340104431.db2.gz ZUNJVCLNNSHZCK-UONOGXRCSA-N 0 3 317.429 4.195 20 0 DIADHN CS[C@H](CN[C@@H](C)c1cnn(C2CCCC2)c1)C(C)(C)C ZINC000502457605 340104494 /nfs/dbraw/zinc/10/44/94/340104494.db2.gz APMMAQWVSSYEMY-XJKSGUPXSA-N 0 3 309.523 4.427 20 0 DIADHN CS[C@@H](CN[C@H](C)c1cnn(C2CCCC2)c1)C(C)(C)C ZINC000502457603 340104589 /nfs/dbraw/zinc/10/45/89/340104589.db2.gz APMMAQWVSSYEMY-CJNGLKHVSA-N 0 3 309.523 4.427 20 0 DIADHN COC1(CN[C@@H](C)c2cnn(C3CCCC3)c2)CCC(C)CC1 ZINC000502467369 340104935 /nfs/dbraw/zinc/10/49/35/340104935.db2.gz YHZRKZHZLJITOF-WUJZJPHMSA-N 0 3 319.493 4.244 20 0 DIADHN Cc1cc([C@@H](C)NCC2(Cc3ccccc3)CCCC2)nn1C ZINC000502473492 340105225 /nfs/dbraw/zinc/10/52/25/340105225.db2.gz QUYYVSOYPMREGK-QGZVFWFLSA-N 0 3 311.473 4.182 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CC=C(c3ccc(F)cc3)CC2)nn1C ZINC000502475995 340105267 /nfs/dbraw/zinc/10/52/67/340105267.db2.gz LHDYSSQEECRSPV-KDOFPFPSSA-N 0 3 313.420 4.154 20 0 DIADHN CC[C@H]1C[C@]1(NCc1c(C)nc2ccccn21)c1cccc(C)c1 ZINC000502483548 340105619 /nfs/dbraw/zinc/10/56/19/340105619.db2.gz JDFMXNRFCYTWQC-LAUBAEHRSA-N 0 3 319.452 4.366 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](c2ccc(F)cc2)C(C)C)s1 ZINC000502520618 340106878 /nfs/dbraw/zinc/10/68/78/340106878.db2.gz ITEWITIUGMDFRG-MRXNPFEDSA-N 0 3 321.417 4.161 20 0 DIADHN CCSCCCN[C@H](c1cccnc1)c1ccc(F)c(C)c1 ZINC000502551055 340107539 /nfs/dbraw/zinc/10/75/39/340107539.db2.gz LSKFGZODKIPCGP-SFHVURJKSA-N 0 3 318.461 4.351 20 0 DIADHN CCOc1ccc(CN2CCC[C@H](Oc3ccccc3)C2)cc1 ZINC000502568027 340108032 /nfs/dbraw/zinc/10/80/32/340108032.db2.gz GSBNZXUDYJLVRI-FQEVSTJZSA-N 0 3 311.425 4.129 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](c2ccc(C)cc2)N(C)C)oc1C ZINC000502695003 340110949 /nfs/dbraw/zinc/11/09/49/340110949.db2.gz CLJIGSXDGJHZJM-CRAIPNDOSA-N 0 3 300.446 4.158 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2cccs2)N2CCCC2)oc1C ZINC000502707478 340111535 /nfs/dbraw/zinc/11/15/35/340111535.db2.gz LUVHQVCPSNHQMP-HOCLYGCPSA-N 0 3 318.486 4.446 20 0 DIADHN COc1ccc(OCCCN[C@@H](C)c2cc(C)c(C)o2)cc1 ZINC000502707359 340111540 /nfs/dbraw/zinc/11/15/40/340111540.db2.gz DDKPXGZGXBZKKF-AWEZNQCLSA-N 0 3 303.402 4.025 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2cccs2)N2CCCC2)oc1C ZINC000502707479 340111544 /nfs/dbraw/zinc/11/15/44/340111544.db2.gz LUVHQVCPSNHQMP-ZBFHGGJFSA-N 0 3 318.486 4.446 20 0 DIADHN COCC[C@@H]1CCC[C@@H]1N[C@H](C)c1nc(C(C)(C)C)cs1 ZINC000502709938 340111749 /nfs/dbraw/zinc/11/17/49/340111749.db2.gz AOZRFUKFBPWHAQ-RDBSUJKOSA-N 0 3 310.507 4.296 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H]2CCN(c3ccc(F)cc3)C2)oc1C ZINC000502720295 340112021 /nfs/dbraw/zinc/11/20/21/340112021.db2.gz AIVDOKOQKALKTE-GDBMZVCRSA-N 0 3 316.420 4.213 20 0 DIADHN COc1ccc(CCCN[C@H](C)c2cc(C)c(C)o2)cc1OC ZINC000502725522 340112347 /nfs/dbraw/zinc/11/23/47/340112347.db2.gz XLUJKWRYBVBOMZ-CQSZACIVSA-N 0 3 317.429 4.197 20 0 DIADHN Cc1nc(CCN(C)CCCOc2cccc(Cl)c2)cs1 ZINC000502725877 340112448 /nfs/dbraw/zinc/11/24/48/340112448.db2.gz VQRLACWDKDPBGG-UHFFFAOYSA-N 0 3 324.877 4.048 20 0 DIADHN CCN(CCOc1cccc2ccccc21)Cc1ccncc1 ZINC000502745737 340112863 /nfs/dbraw/zinc/11/28/63/340112863.db2.gz WFWIGOKXNJJPMT-UHFFFAOYSA-N 0 3 306.409 4.136 20 0 DIADHN CCN(CC)CC(=O)N[C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000502791042 340113599 /nfs/dbraw/zinc/11/35/99/340113599.db2.gz OEJZKULAKDABPB-ZDUSSCGKSA-N 0 3 318.848 4.012 20 0 DIADHN CC[C@@H]1CCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000502867635 340115009 /nfs/dbraw/zinc/11/50/09/340115009.db2.gz YMDOILJQPPUZOX-RISCZKNCSA-N 0 3 305.809 4.058 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2cccc3ccsc32)cc1 ZINC000502894872 340115804 /nfs/dbraw/zinc/11/58/04/340115804.db2.gz MSBYDGQWBIXARP-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN c1ccc(-c2nnc(CNC[C@H]3C[C@H]3c3ccccc3)s2)cc1 ZINC000502991461 340118157 /nfs/dbraw/zinc/11/81/57/340118157.db2.gz SPUITXDIJKSOJO-SJORKVTESA-N 0 3 321.449 4.098 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC000502995950 340118243 /nfs/dbraw/zinc/11/82/43/340118243.db2.gz LGPSPBDLTFOACX-LSDHHAIUSA-N 0 3 300.471 4.334 20 0 DIADHN c1c(CNC[C@H]2C[C@@H]2c2ccccc2)noc1-c1ccccc1 ZINC000503057764 340119931 /nfs/dbraw/zinc/11/99/31/340119931.db2.gz ZAQGAGCUMHMJBA-IEBWSBKVSA-N 0 3 304.393 4.235 20 0 DIADHN CCCN(Cc1ccc(C)o1)[C@@H](C)CC(=O)Nc1ccccc1 ZINC000503064155 340119949 /nfs/dbraw/zinc/11/99/49/340119949.db2.gz SPHYCOFBXZIFBK-HNNXBMFYSA-N 0 3 314.429 4.217 20 0 DIADHN c1ccc2c(c1)cccc2OCCN1CCC[C@H]1c1ccncc1 ZINC000503194614 340122973 /nfs/dbraw/zinc/12/29/73/340122973.db2.gz YUKUGSNKXVBADA-FQEVSTJZSA-N 0 3 318.420 4.451 20 0 DIADHN CC(C)(C)Oc1cccc(CNC[C@H]2C[C@H]2c2ccccc2)n1 ZINC000503288276 340124879 /nfs/dbraw/zinc/12/48/79/340124879.db2.gz YAJOQTCZMRHEGD-AEFFLSMTSA-N 0 3 310.441 4.152 20 0 DIADHN CO[C@H]1CCCC[C@H]1NCc1ccc(Oc2ccccc2)o1 ZINC000503577876 340131512 /nfs/dbraw/zinc/13/15/12/340131512.db2.gz ZWKFNRRGPFWLOH-SJORKVTESA-N 0 3 301.386 4.119 20 0 DIADHN CC(C)C[C@H](CCO)CNCc1ccc(Oc2ccccc2)o1 ZINC000503597233 340132115 /nfs/dbraw/zinc/13/21/15/340132115.db2.gz GIFFZDIQULKJPW-INIZCTEOSA-N 0 3 317.429 4.206 20 0 DIADHN C[C@H](NCc1ccc(Oc2ccccc2)o1)[C@@H]1CCCCO1 ZINC000503597219 340132128 /nfs/dbraw/zinc/13/21/28/340132128.db2.gz GFCRTNTVJOTQEX-YOEHRIQHSA-N 0 3 301.386 4.119 20 0 DIADHN Cc1cc([C@H](C)NC2CCC(c3ccc(F)cc3)CC2)no1 ZINC000503852906 340135116 /nfs/dbraw/zinc/13/51/16/340135116.db2.gz AZWBWFHWQGZCNM-KTAKPFMOSA-N 0 3 302.393 4.499 20 0 DIADHN Cc1cc([C@@H](C)NC2CCC(c3ccc(F)cc3)CC2)no1 ZINC000503852905 340135161 /nfs/dbraw/zinc/13/51/61/340135161.db2.gz AZWBWFHWQGZCNM-BZOOQXSSSA-N 0 3 302.393 4.499 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN1CCOC[C@@H](C)C1 ZINC000503868343 340135395 /nfs/dbraw/zinc/13/53/95/340135395.db2.gz AWAVBNVNVYMFKJ-SSUFTNFISA-N 0 3 313.363 4.077 20 0 DIADHN CN(C)Cc1cccc(NC(=O)CCCC2CCCCC2)c1 ZINC000503871102 340135529 /nfs/dbraw/zinc/13/55/29/340135529.db2.gz JDODGBJEJVPDQA-UHFFFAOYSA-N 0 3 302.462 4.437 20 0 DIADHN Cc1cc([C@H](C)N[C@@H]2CC=C(c3ccc(F)cc3)CC2)no1 ZINC000503888789 340135883 /nfs/dbraw/zinc/13/58/83/340135883.db2.gz BUKGQTVDKPADIQ-SUMWQHHRSA-N 0 3 300.377 4.409 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cnc2ccsc2c1 ZINC000503893360 340136077 /nfs/dbraw/zinc/13/60/77/340136077.db2.gz OOKLLKSUGKDXQT-IRUJWGPZSA-N 0 3 312.385 4.107 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1OC(F)F)c1cnc2ccsc2c1 ZINC000503893377 340136099 /nfs/dbraw/zinc/13/60/99/340136099.db2.gz OOKLLKSUGKDXQT-UFGOTCBOSA-N 0 3 312.385 4.107 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCC[C@H]2C)nnn1-c1ccc(Cl)cc1 ZINC000503912554 340136554 /nfs/dbraw/zinc/13/65/54/340136554.db2.gz RUTOZQQQHVKCDG-BFQNTYOBSA-N 0 3 318.852 4.068 20 0 DIADHN COc1ccc([C@H]2C[C@H](N[C@H]3CCCc4occc43)C2)cc1F ZINC000503911554 340136559 /nfs/dbraw/zinc/13/65/59/340136559.db2.gz PLLDMIKCAGKFRP-ZQIUZPCESA-N 0 3 315.388 4.341 20 0 DIADHN C[C@@H](NCCc1cccc2[nH]ccc21)c1cccc(F)c1F ZINC000503914285 340136741 /nfs/dbraw/zinc/13/67/41/340136741.db2.gz VTZUQTVPCAVHGT-GFCCVEGCSA-N 0 3 300.352 4.339 20 0 DIADHN CSc1ccc([C@H](C)N[C@@H]2CCC[C@H]2OC(F)F)cc1F ZINC000503915103 340136752 /nfs/dbraw/zinc/13/67/52/340136752.db2.gz YJBPTKQNSZYRPE-ZWKOPEQDSA-N 0 3 319.392 4.359 20 0 DIADHN C[C@@H](NCC1CCC(F)(F)CC1)c1nc2c(s1)CCCC2 ZINC000503923406 340137040 /nfs/dbraw/zinc/13/70/40/340137040.db2.gz CCAZMZUIUWWQDB-LLVKDONJSA-N 0 3 314.445 4.498 20 0 DIADHN CO[C@@H]1CCCN(C/C(C)=C\c2ccccc2C(F)(F)F)C1 ZINC000503929171 340137197 /nfs/dbraw/zinc/13/71/97/340137197.db2.gz OGDNMLKCMPJOLO-VSKPTYQZSA-N 0 3 313.363 4.220 20 0 DIADHN C/C(=C\c1ccccc1C(F)(F)F)CN1CCCO[C@H](C)C1 ZINC000503937260 340137562 /nfs/dbraw/zinc/13/75/62/340137562.db2.gz LXDXBNSYYRRWFW-JWAFFJSPSA-N 0 3 313.363 4.220 20 0 DIADHN CC[C@H](N[C@@H]1CCCC[C@H]1OC(F)F)c1ccccc1OC ZINC000503939191 340137740 /nfs/dbraw/zinc/13/77/40/340137740.db2.gz YUCCXVLCTWHZST-SQWLQELKSA-N 0 3 313.388 4.286 20 0 DIADHN COC[C@H](NCC1CCC(F)(F)CC1)c1ccc(F)c(F)c1 ZINC000503954746 340138713 /nfs/dbraw/zinc/13/87/13/340138713.db2.gz YDPUAHQEQKZABD-HNNXBMFYSA-N 0 3 319.342 4.067 20 0 DIADHN CNC(=O)c1cccc(CN2CCCCC[C@H]2c2ccccc2)c1 ZINC000504095150 340140747 /nfs/dbraw/zinc/14/07/47/340140747.db2.gz PLJDTLZVNZGPNL-FQEVSTJZSA-N 0 3 322.452 4.164 20 0 DIADHN COCC[C@@H](C)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000504401156 340147117 /nfs/dbraw/zinc/14/71/17/340147117.db2.gz KJIAFQILVRSVSB-RDTXWAMCSA-N 0 3 318.848 4.033 20 0 DIADHN COCC[C@@H](C)N[C@@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000504401154 340147127 /nfs/dbraw/zinc/14/71/27/340147127.db2.gz KJIAFQILVRSVSB-KDOFPFPSSA-N 0 3 318.848 4.033 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3ccc(Cl)cc3)C2)cc1 ZINC000504477417 340148211 /nfs/dbraw/zinc/14/82/11/340148211.db2.gz NMLMBPUKMNGIHA-INIZCTEOSA-N 0 3 301.817 4.338 20 0 DIADHN COc1ccc([C@@H]2CCN(CCO[C@H]3CCCC[C@@H]3C)C2)cc1 ZINC000504489978 340148371 /nfs/dbraw/zinc/14/83/71/340148371.db2.gz RQZAUXXWZLQSGH-HQRMLTQVSA-N 0 3 317.473 4.080 20 0 DIADHN COc1cc([C@H](C)NCCOC2CCCCCC2)ccc1F ZINC000504899251 340155135 /nfs/dbraw/zinc/15/51/35/340155135.db2.gz CVDLEHCBPJPCBJ-AWEZNQCLSA-N 0 3 309.425 4.224 20 0 DIADHN COc1cc([C@@H](C)NC[C@H](C)Oc2ccc(F)cc2)ccc1F ZINC000504899614 340155207 /nfs/dbraw/zinc/15/52/07/340155207.db2.gz JJEDHXKMUWNZRH-QWHCGFSZSA-N 0 3 321.367 4.092 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1ccc2c(c1)OCCCO2 ZINC000504911600 340155755 /nfs/dbraw/zinc/15/57/55/340155755.db2.gz IVCDZDBDUUHMSU-MAUKXSAKSA-N 0 3 323.436 4.494 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@@H]2c2ccccc2C(F)(F)F)on1 ZINC000504930312 340156714 /nfs/dbraw/zinc/15/67/14/340156714.db2.gz OTXJLQIYTQZZTL-ZBFHGGJFSA-N 0 3 324.346 4.428 20 0 DIADHN Cc1c(CN(Cc2ccc(F)cc2)C2CCCCC2)cnn1C ZINC000505264413 340165143 /nfs/dbraw/zinc/16/51/43/340165143.db2.gz COZNJPNDRAGLOD-UHFFFAOYSA-N 0 3 315.436 4.203 20 0 DIADHN Cc1nn(C)cc1CN(Cc1ccc(F)cc1)C1CCCCC1 ZINC000505264499 340165217 /nfs/dbraw/zinc/16/52/17/340165217.db2.gz CREGOQCKXMNNIS-UHFFFAOYSA-N 0 3 315.436 4.203 20 0 DIADHN CCc1ccc2occ(C(=O)Nc3cccc(CN(C)C)c3)c2c1 ZINC000505337618 340166482 /nfs/dbraw/zinc/16/64/82/340166482.db2.gz KLTZELMMZJJUKC-UHFFFAOYSA-N 0 3 322.408 4.309 20 0 DIADHN Brc1ccc(-c2ncc(CN3CCCC3)s2)cc1 ZINC000505355498 340166906 /nfs/dbraw/zinc/16/69/06/340166906.db2.gz JERCZZRKODSKAK-UHFFFAOYSA-N 0 3 323.259 4.168 20 0 DIADHN COc1ccccc1CCCN[C@@H](C)c1ccc(F)cc1F ZINC000505457886 340168938 /nfs/dbraw/zinc/16/89/38/340168938.db2.gz OZBJRGKHHCIPJE-ZDUSSCGKSA-N 0 3 305.368 4.257 20 0 DIADHN Cc1ccc([C@H](NCCOCC(F)(F)F)c2ccccc2)cc1 ZINC000505463098 340168988 /nfs/dbraw/zinc/16/89/88/340168988.db2.gz XDAMWHBEBRYELX-QGZVFWFLSA-N 0 3 323.358 4.253 20 0 DIADHN COCCCOc1cccc(CN(C)Cc2ccc(C)cc2)c1 ZINC000505565657 340171111 /nfs/dbraw/zinc/17/11/11/340171111.db2.gz WGCFYHYTTRXKGQ-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2C)ccc1OC(F)F ZINC000505580783 340171535 /nfs/dbraw/zinc/17/15/35/340171535.db2.gz ASVCBANPSMOXTB-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN COCCN(Cc1ccccc1)Cc1cc(C)nc2ccccc12 ZINC000505577626 340171600 /nfs/dbraw/zinc/17/16/00/340171600.db2.gz ZCQOWPGXHWNTMG-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN CCCC(C)(C)CC(=O)N[C@H](C)[C@@H](c1ccccc1)N(C)C ZINC000505590044 340171977 /nfs/dbraw/zinc/17/19/77/340171977.db2.gz DBZWMJJUDXZAGX-QAPCUYQASA-N 0 3 304.478 4.010 20 0 DIADHN COc1cccc(CN(C)Cc2cccc(-c3ccncc3)c2)c1 ZINC000505659194 340174458 /nfs/dbraw/zinc/17/44/58/340174458.db2.gz JNRNHJXMUUBLMQ-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]nc2-c2cccc(C)c2)c1 ZINC000505661836 340174521 /nfs/dbraw/zinc/17/45/21/340174521.db2.gz LOTFNCCYFQYHNR-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(C)s2)cc1OC ZINC000505708246 340175294 /nfs/dbraw/zinc/17/52/94/340175294.db2.gz XTHIBELYRUTICR-UHFFFAOYSA-N 0 3 305.443 4.096 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(-c3ccccn3)c2)cc1 ZINC000505740286 340176243 /nfs/dbraw/zinc/17/62/43/340176243.db2.gz QNOHPVMFQLXPNP-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC[C@H](COc3ccccc3)C1)CC2 ZINC000505841159 340179333 /nfs/dbraw/zinc/17/93/33/340179333.db2.gz UHTWHZZUKRCJPV-YWZLYKJASA-N 0 3 311.400 4.214 20 0 DIADHN CCCCN(CC)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000505847625 340179549 /nfs/dbraw/zinc/17/95/49/340179549.db2.gz CPBAKBCTJBZEPG-UHFFFAOYSA-N 0 3 313.367 4.214 20 0 DIADHN C(=C/[C@H]1CCCN1Cc1cnc2ccccn12)\c1ccccc1 ZINC000505901624 340181185 /nfs/dbraw/zinc/18/11/85/340181185.db2.gz UMHRDSJBBBIOPA-IENJSVCTSA-N 0 3 303.409 4.012 20 0 DIADHN CC(=O)Nc1cccc(CN2CCC[C@@H]2/C=C\c2ccccc2)c1 ZINC000505908017 340181413 /nfs/dbraw/zinc/18/14/13/340181413.db2.gz WKYXBNCYPDNVNY-BIGNBACZSA-N 0 3 320.436 4.323 20 0 DIADHN Cc1ccc(F)c(CNCCc2nc3ccccc3s2)c1 ZINC000505961895 340182321 /nfs/dbraw/zinc/18/23/21/340182321.db2.gz FIUYGUVNEPCQTO-UHFFFAOYSA-N 0 3 300.402 4.076 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccccc1OCC1CC1 ZINC000506041573 340184117 /nfs/dbraw/zinc/18/41/17/340184117.db2.gz VXQNTFQVLKBFGN-LJQANCHMSA-N 0 3 310.441 4.151 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CN3CCC(O)CC3)o2)cc1 ZINC000506088971 340185348 /nfs/dbraw/zinc/18/53/48/340185348.db2.gz YVLANTWEOBATBT-UHFFFAOYSA-N 0 3 313.441 4.201 20 0 DIADHN CN(Cc1ccc2ccccc2c1)Cc1cccc2c[nH]nc21 ZINC000506114195 340185900 /nfs/dbraw/zinc/18/59/00/340185900.db2.gz QXGIOUYZUAWMJP-UHFFFAOYSA-N 0 3 301.393 4.348 20 0 DIADHN CC[C@@H](C)N(Cc1cnc2nc(C)cc(C)n12)Cc1ccccc1 ZINC000506113040 340186002 /nfs/dbraw/zinc/18/60/02/340186002.db2.gz LIJSUXGMYCHOQM-MRXNPFEDSA-N 0 3 322.456 4.147 20 0 DIADHN Cc1nc2sccn2c1CN(C)[C@@H]1CCCc2ccccc21 ZINC000506125189 340186235 /nfs/dbraw/zinc/18/62/35/340186235.db2.gz KUVDMRSMKFXZDJ-MRXNPFEDSA-N 0 3 311.454 4.214 20 0 DIADHN CCn1cc(CN2CCCCC[C@@H]2c2ccc(OC)cc2)cn1 ZINC000506128852 340186372 /nfs/dbraw/zinc/18/63/72/340186372.db2.gz MGXLNLYNVYULTE-LJQANCHMSA-N 0 3 313.445 4.029 20 0 DIADHN CN(Cc1cnn(-c2ccccc2)c1)C[C@H]1C[C@@H]1c1ccccc1 ZINC000506160794 340187137 /nfs/dbraw/zinc/18/71/37/340187137.db2.gz RXACTINAIBZPOT-TZIWHRDSSA-N 0 3 317.436 4.108 20 0 DIADHN C[C@H]1CCC[C@@H](N(C)Cc2nc(-c3cccc(Cl)c3)no2)C1 ZINC000506175714 340187636 /nfs/dbraw/zinc/18/76/36/340187636.db2.gz IBCBXALFBMXWKF-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN COc1cc(CN(C)[C@@H](C)c2ccc(F)cc2)cc(OC)c1 ZINC000506262115 340190323 /nfs/dbraw/zinc/19/03/23/340190323.db2.gz NJUXBEVXUJIMFJ-ZDUSSCGKSA-N 0 3 303.377 4.036 20 0 DIADHN COCCOc1ccc(CN2CCC[C@@H]2c2ccccc2)cc1 ZINC000506266891 340190530 /nfs/dbraw/zinc/19/05/30/340190530.db2.gz BJYFIQFKQUASKI-HXUWFJFHSA-N 0 3 311.425 4.049 20 0 DIADHN C[C@@H](CNCc1cccc(C(F)(F)F)c1)Oc1ccccc1 ZINC000506286699 340191219 /nfs/dbraw/zinc/19/12/19/340191219.db2.gz WRDGNTQHDFAADG-ZDUSSCGKSA-N 0 3 309.331 4.263 20 0 DIADHN COCCOc1ccccc1CN1CCC[C@@H]1c1ccccc1 ZINC000506295946 340191577 /nfs/dbraw/zinc/19/15/77/340191577.db2.gz VMNNCICABUSYTJ-LJQANCHMSA-N 0 3 311.425 4.049 20 0 DIADHN C[C@@H](CNCc1cccc(Br)c1)Oc1ccccc1 ZINC000506314935 340192036 /nfs/dbraw/zinc/19/20/36/340192036.db2.gz NFXHJMMFCKRIHR-ZDUSSCGKSA-N 0 3 320.230 4.006 20 0 DIADHN c1ccc(C2(NCc3cccc4c3OCCO4)CCCCC2)cc1 ZINC000506319720 340192117 /nfs/dbraw/zinc/19/21/17/340192117.db2.gz FDVQFTBUJFUJEZ-UHFFFAOYSA-N 0 3 323.436 4.407 20 0 DIADHN Cc1ccc2ncc(CN3CCC(c4ccccc4)CC3)n2c1 ZINC000506337538 340192648 /nfs/dbraw/zinc/19/26/48/340192648.db2.gz BBCCCZLXGVSDCS-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN CN(Cc1ccc(-c2ccccc2Cl)o1)C[C@H]1CCCO1 ZINC000506407508 340194151 /nfs/dbraw/zinc/19/41/51/340194151.db2.gz ZPQFNKAYQPNMGZ-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN CNC(=O)c1cccc(CN(C)[C@@H](c2ccccc2C)C(C)C)c1 ZINC000506464725 340194955 /nfs/dbraw/zinc/19/49/55/340194955.db2.gz XPZLDIGRXVDQHH-HXUWFJFHSA-N 0 3 324.468 4.184 20 0 DIADHN CN(Cc1cnn2ccccc12)Cc1cccc2ccccc21 ZINC000506467508 340195166 /nfs/dbraw/zinc/19/51/66/340195166.db2.gz RXSQPWVBECQGSW-UHFFFAOYSA-N 0 3 301.393 4.120 20 0 DIADHN CNC(=O)c1cccc(CN(Cc2ccccc2)CC(C)(C)C)c1 ZINC000506470985 340195295 /nfs/dbraw/zinc/19/52/95/340195295.db2.gz OONUJDOFJFCCHC-UHFFFAOYSA-N 0 3 324.468 4.095 20 0 DIADHN Cc1nc2ccccn2c1CN([C@H](C)c1ccccc1)C1CC1 ZINC000506546932 340196387 /nfs/dbraw/zinc/19/63/87/340196387.db2.gz OHWRTQMCORSLED-MRXNPFEDSA-N 0 3 305.425 4.368 20 0 DIADHN Clc1oc2ccccc2c1CN(C[C@H]1CCOC1)C1CC1 ZINC000506554128 340196654 /nfs/dbraw/zinc/19/66/54/340196654.db2.gz HCQYVHPQLIGITC-GFCCVEGCSA-N 0 3 305.805 4.087 20 0 DIADHN CCc1nn(C)c(CC)c1CN([C@@H](C)c1ccccc1)C1CC1 ZINC000506552781 340196728 /nfs/dbraw/zinc/19/67/28/340196728.db2.gz NZMYJAMFXCYIQO-HNNXBMFYSA-N 0 3 311.473 4.271 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccc(N2CCCC2)nc1)C1CC1 ZINC000506600490 340197547 /nfs/dbraw/zinc/19/75/47/340197547.db2.gz GAEOHIHYFKTTQT-KRWDZBQOSA-N 0 3 321.468 4.407 20 0 DIADHN COc1cccc([C@H]2CCCN2CC2=Cc3ccccc3OC2)c1 ZINC000506617361 340197616 /nfs/dbraw/zinc/19/76/16/340197616.db2.gz IFUGZYWJMGKHLI-HXUWFJFHSA-N 0 3 321.420 4.308 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccccc2C)cc1OC ZINC000506624070 340197791 /nfs/dbraw/zinc/19/77/91/340197791.db2.gz MWFOOGGWWIQNHB-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN CCc1ccc(CN2CCC(C(=O)c3ccccc3)CC2)cc1 ZINC000506629421 340198029 /nfs/dbraw/zinc/19/80/29/340198029.db2.gz AWWYVXNYFKEMFT-UHFFFAOYSA-N 0 3 307.437 4.344 20 0 DIADHN CCCN(Cc1ccc(C)cc1)[C@H](C)C(=O)Nc1ccccc1 ZINC000506639977 340198268 /nfs/dbraw/zinc/19/82/68/340198268.db2.gz REFPLDAQTMMFSA-QGZVFWFLSA-N 0 3 310.441 4.234 20 0 DIADHN Cc1ccc(CN2CCC(c3nc4ccccc4[nH]3)CC2)cc1F ZINC000506647596 340198520 /nfs/dbraw/zinc/19/85/20/340198520.db2.gz WWUOIDXNWQPBST-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccccc1)[C@H]1CCc2ccccc21 ZINC000506687257 340199485 /nfs/dbraw/zinc/19/94/85/340199485.db2.gz DHVASSIZINRBLN-IBGZPJMESA-N 0 3 303.409 4.196 20 0 DIADHN CCOc1cc(CN(C)[C@@H]2CCc3ccccc32)ccc1OC ZINC000506689462 340199600 /nfs/dbraw/zinc/19/96/00/340199600.db2.gz LRJRXNZBEDFRTJ-GOSISDBHSA-N 0 3 311.425 4.213 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)[C@@H]1CCc2ccccc21 ZINC000506688263 340199617 /nfs/dbraw/zinc/19/96/17/340199617.db2.gz GKRHBLHHHKSLFQ-LJQANCHMSA-N 0 3 311.473 4.374 20 0 DIADHN COc1ccc(CN(Cc2ccc3ncccc3c2)C2CC2)cc1 ZINC000506742751 340200732 /nfs/dbraw/zinc/20/07/32/340200732.db2.gz HVUFOTKGAWGKHI-UHFFFAOYSA-N 0 3 318.420 4.408 20 0 DIADHN CC(=O)Nc1cccc(CN(C)[C@H](C)c2ccc(C)cc2C)c1 ZINC000506890439 340203168 /nfs/dbraw/zinc/20/31/68/340203168.db2.gz RBFBQMRGUUPOPN-MRXNPFEDSA-N 0 3 310.441 4.455 20 0 DIADHN CC(=O)Nc1cccc(CN(C)Cc2ccc3ccccc3c2)c1 ZINC000506938880 340204084 /nfs/dbraw/zinc/20/40/84/340204084.db2.gz JTHISVXEBCPJMG-UHFFFAOYSA-N 0 3 318.420 4.430 20 0 DIADHN CCN(Cc1c[nH]nc1-c1ccccc1)Cc1ccc(OC)cc1 ZINC000507003589 340205156 /nfs/dbraw/zinc/20/51/56/340205156.db2.gz WSJMOEKKFMBLLS-UHFFFAOYSA-N 0 3 321.424 4.107 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc(NC(C)=O)cc1 ZINC000507132338 340208771 /nfs/dbraw/zinc/20/87/71/340208771.db2.gz RBZSTXLWGJNYDO-UHFFFAOYSA-N 0 3 316.832 4.321 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC[C@H]1c1cccc(C)c1 ZINC000507151846 340209619 /nfs/dbraw/zinc/20/96/19/340209619.db2.gz LKCZSSBYAPNSIR-SFHVURJKSA-N 0 3 305.425 4.288 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccccc2C)cc1OC ZINC000507162888 340210344 /nfs/dbraw/zinc/21/03/44/340210344.db2.gz WSSHMMKLGRDSDL-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN C[C@@H](CCc1ccccc1)N(C)Cc1nc2ccccc2n1C ZINC000507193086 340212430 /nfs/dbraw/zinc/21/24/30/340212430.db2.gz MYDZPSKHRGQJKX-INIZCTEOSA-N 0 3 307.441 4.026 20 0 DIADHN Cc1nn(C)c2ncc(CN(C)[C@H](C)c3cc(C)ccc3C)cc12 ZINC000507203332 340212886 /nfs/dbraw/zinc/21/28/86/340212886.db2.gz MWSNNYYNVQOPRE-MRXNPFEDSA-N 0 3 322.456 4.087 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(F)c(F)c1)c1ccc2n[nH]cc2c1 ZINC000507232109 340214939 /nfs/dbraw/zinc/21/49/39/340214939.db2.gz RJRMGWGKKGMSJJ-QWRGUYRKSA-N 0 3 301.340 4.253 20 0 DIADHN CCCCN(Cc1ccccc1OCc1cccnc1)C1CC1 ZINC000507245436 340215161 /nfs/dbraw/zinc/21/51/61/340215161.db2.gz ALJFORPKCTWXBF-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN CCCN(CC1=Cc2cc(Br)ccc2OC1)C1CC1 ZINC000507251579 340215378 /nfs/dbraw/zinc/21/53/78/340215378.db2.gz IZAXBJWVBIGDQN-UHFFFAOYSA-N 0 3 322.246 4.099 20 0 DIADHN CC(C)[C@H](C)N(C)Cc1nc2cc(C(F)(F)F)ccc2n1C ZINC000507250665 340215692 /nfs/dbraw/zinc/21/56/92/340215692.db2.gz BQVPBPQMOYWDPP-NSHDSACASA-N 0 3 313.367 4.068 20 0 DIADHN COCC[C@H](N[C@@H](C)CCc1cccc(C)c1)c1ccco1 ZINC000507421171 340218943 /nfs/dbraw/zinc/21/89/43/340218943.db2.gz NGZJPWQKAYFOPJ-WMZOPIPTSA-N 0 3 301.430 4.277 20 0 DIADHN COCC[C@H](N[C@H](C)CCc1cccc(F)c1)c1ccco1 ZINC000507419716 340218953 /nfs/dbraw/zinc/21/89/53/340218953.db2.gz INIGOIPVLJXIHE-PBHICJAKSA-N 0 3 305.393 4.107 20 0 DIADHN COc1ccccc1[C@@H](C)NCCc1cc(F)c(F)c(F)c1 ZINC000507423413 340219131 /nfs/dbraw/zinc/21/91/31/340219131.db2.gz DADHAHDPCMQFEA-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN C[C@@H](C1CC1)N(C)Cc1cc(Cl)ccc1OC[C@@H]1CCCO1 ZINC000507444970 340219921 /nfs/dbraw/zinc/21/99/21/340219921.db2.gz YRQYGRHBEMAYRA-GUYCJALGSA-N 0 3 323.864 4.128 20 0 DIADHN COCC[C@@H](N[C@H](C)CCc1ccc(C)cc1)c1ccco1 ZINC000507452412 340220312 /nfs/dbraw/zinc/22/03/12/340220312.db2.gz WVBYIBNBQMPIJS-SJLPKXTDSA-N 0 3 301.430 4.277 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000507467221 340220966 /nfs/dbraw/zinc/22/09/66/340220966.db2.gz FIVFLLOSOLGBBS-ABSDTBQOSA-N 0 3 324.468 4.463 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1ccc(OC)cc1Cl ZINC000507467481 340221089 /nfs/dbraw/zinc/22/10/89/340221089.db2.gz FNLUGHVHCROLFU-CYBMUJFWSA-N 0 3 318.848 4.199 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](c1ccc(OC)cc1)C1CC1 ZINC000507469457 340221260 /nfs/dbraw/zinc/22/12/60/340221260.db2.gz KKFXGLLLPXTPQZ-VBKZILBWSA-N 0 3 310.441 4.455 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](OC)c1ccc(Cl)cc1 ZINC000507472390 340221432 /nfs/dbraw/zinc/22/14/32/340221432.db2.gz RAXGISHGQTTZEM-DYVFJYSZSA-N 0 3 318.848 4.336 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@H](C)Oc1cccc(Cl)c1 ZINC000507472668 340221446 /nfs/dbraw/zinc/22/14/46/340221446.db2.gz RNXDPKYLOOFCEJ-KGLIPLIRSA-N 0 3 318.848 4.416 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCc1ccc(F)cc1Cl ZINC000507496403 340222784 /nfs/dbraw/zinc/22/27/84/340222784.db2.gz OPYJGFYEEZFYRB-GFCCVEGCSA-N 0 3 306.812 4.330 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@H](C)C(=O)NC(C)(C)CC)cc1 ZINC000507512115 340223440 /nfs/dbraw/zinc/22/34/40/340223440.db2.gz SKFREDCHFJPPNN-ZIAGYGMSSA-N 0 3 322.518 4.143 20 0 DIADHN C[C@H](NC1(c2c(Cl)cccc2Cl)CC1)[C@@H]1CCCOC1 ZINC000507512183 340223541 /nfs/dbraw/zinc/22/35/41/340223541.db2.gz OXJANFPCQNANJN-NWDGAFQWSA-N 0 3 314.256 4.387 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](C)COCc1ccccc1 ZINC000507517195 340223753 /nfs/dbraw/zinc/22/37/53/340223753.db2.gz YRQSVNCHUPUODI-IAGOWNOFSA-N 0 3 312.457 4.148 20 0 DIADHN CCc1cccnc1[C@H](C)NCC(C)(C)c1cccc(OC)c1 ZINC000507517614 340223934 /nfs/dbraw/zinc/22/39/34/340223934.db2.gz YPCBUQUFXINTEQ-HNNXBMFYSA-N 0 3 312.457 4.281 20 0 DIADHN CCc1cccnc1[C@H](C)NCCc1cccc2cccnc21 ZINC000507520229 340224057 /nfs/dbraw/zinc/22/40/57/340224057.db2.gz OFDGRXCYIXJDNO-HNNXBMFYSA-N 0 3 305.425 4.086 20 0 DIADHN C[C@H](N[C@H]1CCO[C@H]1C1CC1)c1cccc(Cl)c1Cl ZINC000300414871 340225399 /nfs/dbraw/zinc/22/53/99/340225399.db2.gz QJBPFCOJSIRNGO-FGEZKYSXSA-N 0 3 300.229 4.212 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)NCC[C@@H]1CCCCO1 ZINC000507605314 340226025 /nfs/dbraw/zinc/22/60/25/340226025.db2.gz JSPTWBFMOHUOFI-ZFWWWQNUSA-N 0 3 311.853 4.349 20 0 DIADHN CC[C@@H]1C[C@@H](CN(C)[C@H](C)c2nc3ccccc3s2)CCO1 ZINC000507611459 340226300 /nfs/dbraw/zinc/22/63/00/340226300.db2.gz PRCTTZPVVQDCAC-QLFBSQMISA-N 0 3 318.486 4.494 20 0 DIADHN C[C@@H](C1CC1)N(Cc1ccc(Br)s1)C1CC1 ZINC000508025544 340237728 /nfs/dbraw/zinc/23/77/28/340237728.db2.gz JLUCNZOPBYIXPF-VIFPVBQESA-N 0 3 300.265 4.274 20 0 DIADHN c1ccc(C(CN2CCO[C@H]3CCC[C@@H]32)c2ccccc2)cc1 ZINC000507660943 340227433 /nfs/dbraw/zinc/22/74/33/340227433.db2.gz QNUKKZDHFXTTRR-SFTDATJTSA-N 0 3 307.437 4.072 20 0 DIADHN Fc1ccc(-n2cc(CN3CC[C@@H](c4ccccc4)C3)cn2)cc1 ZINC000507666617 340227542 /nfs/dbraw/zinc/22/75/42/340227542.db2.gz PZLLFXRJXJZLQW-GOSISDBHSA-N 0 3 321.399 4.001 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)CC1=CCCOC1 ZINC000507664420 340227666 /nfs/dbraw/zinc/22/76/66/340227666.db2.gz GVFLCIHEGIPSNZ-LLVKDONJSA-N 0 3 300.229 4.333 20 0 DIADHN CC(C)N(CCC(=O)Nc1ccccc1)Cc1ccccc1F ZINC000507670995 340227864 /nfs/dbraw/zinc/22/78/64/340227864.db2.gz PHEDWZFTCOPJDH-UHFFFAOYSA-N 0 3 314.404 4.065 20 0 DIADHN CN(Cc1ccccc1)[C@H]1c2cc(Cl)cc(Cl)c2C[C@H]1O ZINC000507686732 340228139 /nfs/dbraw/zinc/22/81/39/340228139.db2.gz HQUPDFHMHCMWJW-SJORKVTESA-N 0 3 322.235 4.084 20 0 DIADHN CC[C@H](C)N(CC(=O)N1[C@@H](C)CCC[C@@H]1C)Cc1ccccc1 ZINC000507691920 340228361 /nfs/dbraw/zinc/22/83/61/340228361.db2.gz GHIYYCQUJLKFCJ-BZSNNMDCSA-N 0 3 316.489 4.077 20 0 DIADHN Cc1cc(C)n2c(CN(Cc3ccccc3)CC(C)C)cnc2n1 ZINC000507783235 340231111 /nfs/dbraw/zinc/23/11/11/340231111.db2.gz ASKKDCGULYVZPW-UHFFFAOYSA-N 0 3 322.456 4.004 20 0 DIADHN COCC1CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000507816362 340232969 /nfs/dbraw/zinc/23/29/69/340232969.db2.gz RHLMODPSDYOALG-UHFFFAOYSA-N 0 3 313.416 4.351 20 0 DIADHN CC[C@@H]1C[C@H](CN2CCn3cccc3[C@H]2c2ccccc2)CCO1 ZINC000507815701 340233085 /nfs/dbraw/zinc/23/30/85/340233085.db2.gz PHYLUKSGZCCGJW-YFVAEKQCSA-N 0 3 324.468 4.098 20 0 DIADHN C[C@H](CN[C@H]1CCc2c1c(F)ccc2F)Oc1ccccc1 ZINC000507831880 340233628 /nfs/dbraw/zinc/23/36/28/340233628.db2.gz UQMUDECJGNMHMG-PXAZEXFGSA-N 0 3 303.352 4.009 20 0 DIADHN COCCC1(CN[C@H]2CCc3c2c(F)ccc3F)CCCC1 ZINC000507856322 340234280 /nfs/dbraw/zinc/23/42/80/340234280.db2.gz NCZFWSIZRWNYFT-INIZCTEOSA-N 0 3 309.400 4.139 20 0 DIADHN COCCC1(CN[C@@H]2CCc3c2c(F)ccc3F)CCCC1 ZINC000507856323 340234304 /nfs/dbraw/zinc/23/43/04/340234304.db2.gz NCZFWSIZRWNYFT-MRXNPFEDSA-N 0 3 309.400 4.139 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2Cl)c1ccc2c(c1)OCO2 ZINC000507866813 340234612 /nfs/dbraw/zinc/23/46/12/340234612.db2.gz UQPNWSGOKYGLHC-MEDUHNTESA-N 0 3 315.800 4.407 20 0 DIADHN CC(C)[C@@H](CN[C@H]1CCc2c1c(F)ccc2F)c1cccnc1 ZINC000507869729 340234782 /nfs/dbraw/zinc/23/47/82/340234782.db2.gz DETGNNHNPPNDGL-QAPCUYQASA-N 0 3 316.395 4.377 20 0 DIADHN CC(=O)Nc1ccc(CN2CCC[C@@H]2/C=C\c2ccccc2)cc1 ZINC000507880423 340235127 /nfs/dbraw/zinc/23/51/27/340235127.db2.gz COZSZTKXYHZBRA-YXAHYSCSSA-N 0 3 320.436 4.323 20 0 DIADHN CC1(C)C[C@@H]1NCc1cc2ccccc2nc1N1CCCCC1 ZINC000507930860 340235952 /nfs/dbraw/zinc/23/59/52/340235952.db2.gz NLTUHTBSROOLMV-SFHVURJKSA-N 0 3 309.457 4.113 20 0 DIADHN CC(C)CN(Cc1ccccc1OCc1ccncc1)C1CC1 ZINC000508014143 340237370 /nfs/dbraw/zinc/23/73/70/340237370.db2.gz KPEJQQWHYVBLEZ-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN COc1ccc(CN([C@H](C)C2CC2)C2CC2)c(Cl)c1OC ZINC000508039034 340238009 /nfs/dbraw/zinc/23/80/09/340238009.db2.gz FNBSIKBZPGIKJL-LLVKDONJSA-N 0 3 309.837 4.120 20 0 DIADHN COc1ccc2ccccc2c1CNCc1cc(C)c(O)c(C)c1 ZINC000508077301 340238903 /nfs/dbraw/zinc/23/89/03/340238903.db2.gz BNVAYRXAPBVEDR-UHFFFAOYSA-N 0 3 321.420 4.461 20 0 DIADHN CN(CCC(=O)OC(C)(C)C)Cc1csc2ccccc12 ZINC000508508591 340245295 /nfs/dbraw/zinc/24/52/95/340245295.db2.gz YYASNWPQXAVYNK-UHFFFAOYSA-N 0 3 305.443 4.065 20 0 DIADHN COCCN(Cc1cccc(COC)c1)[C@H](C)c1ccccc1 ZINC000508517679 340245533 /nfs/dbraw/zinc/24/55/33/340245533.db2.gz AYPZJFWCQSADQT-QGZVFWFLSA-N 0 3 313.441 4.043 20 0 DIADHN CCOc1ccc(CN(Cc2cnc3ccccn23)C(C)C)cc1 ZINC000508534422 340246115 /nfs/dbraw/zinc/24/61/15/340246115.db2.gz ODCXNIXVBOHOTA-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN CCCN(Cc1nc(C)ccc1O)[C@H](C)c1ccccc1OC ZINC000508610671 340248081 /nfs/dbraw/zinc/24/80/81/340248081.db2.gz YNYHCRGJVGNCQD-OAHLLOKOSA-N 0 3 314.429 4.077 20 0 DIADHN Cc1nocc1CN(CCc1ccc(Cl)cc1)CC1CC1 ZINC000508622883 340248505 /nfs/dbraw/zinc/24/85/05/340248505.db2.gz ZHQLVQGLKDBNHJ-UHFFFAOYSA-N 0 3 304.821 4.091 20 0 DIADHN Cc1ccc(-c2ccc(CN(C)CCc3cnccn3)s2)cc1 ZINC000508650692 340249539 /nfs/dbraw/zinc/24/95/39/340249539.db2.gz UJEQUWZXVAAZIQ-UHFFFAOYSA-N 0 3 323.465 4.188 20 0 DIADHN CCCCc1oc2ccccc2c1CN(C)CCc1cnccn1 ZINC000508682293 340250587 /nfs/dbraw/zinc/25/05/87/340250587.db2.gz XJTMQFXATGZUKP-UHFFFAOYSA-N 0 3 323.440 4.240 20 0 DIADHN c1c(CN[C@H]2CCCC[C@H]2c2ccccc2)nc2ccccn12 ZINC000508739037 340252102 /nfs/dbraw/zinc/25/21/02/340252102.db2.gz IXAJJLHZQCDQMB-OALUTQOASA-N 0 3 305.425 4.150 20 0 DIADHN c1c(CN[C@@H]2CCCC[C@H]2c2ccccc2)nc2ccccn12 ZINC000508739040 340252119 /nfs/dbraw/zinc/25/21/19/340252119.db2.gz IXAJJLHZQCDQMB-RBUKOAKNSA-N 0 3 305.425 4.150 20 0 DIADHN CCc1ccc(C2=CCN(CCc3scnc3C)CC2)cc1 ZINC000508962456 340256268 /nfs/dbraw/zinc/25/62/68/340256268.db2.gz FZCFGDURFUGQMG-UHFFFAOYSA-N 0 3 312.482 4.346 20 0 DIADHN C[C@@H]1CCCC[C@H]1NCc1nc2cc(Br)ccc2o1 ZINC000508990936 340256918 /nfs/dbraw/zinc/25/69/18/340256918.db2.gz JKWKUDXBCROMPE-ZYHUDNBSSA-N 0 3 323.234 4.259 20 0 DIADHN C[C@H](F)CCN[C@@H](COc1ccccc1F)c1ccccc1 ZINC000508991602 340257274 /nfs/dbraw/zinc/25/72/74/340257274.db2.gz OBLVQTQLFAZCQA-YOEHRIQHSA-N 0 3 305.368 4.284 20 0 DIADHN CC[C@@H](NCc1nc(-c2ccc(C)cc2)cs1)[C@@H]1CCCO1 ZINC000508993065 340257552 /nfs/dbraw/zinc/25/75/52/340257552.db2.gz WSAGURSUKJMQHY-WBVHZDCISA-N 0 3 316.470 4.166 20 0 DIADHN CC[C@H](C)CN(C)Cc1nc2cc(Br)ccc2o1 ZINC000509006974 340257958 /nfs/dbraw/zinc/25/79/58/340257958.db2.gz NWSLJQPGPBLSDJ-JTQLQIEISA-N 0 3 311.223 4.068 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCOc2cccc(F)c2)cc1 ZINC000509028215 340258793 /nfs/dbraw/zinc/25/87/93/340258793.db2.gz AVZQVYYILFRUAF-GOSISDBHSA-N 0 3 303.352 4.181 20 0 DIADHN CC[C@@H]1CCCN(CC(=O)N[C@H](C)c2ccc3ccccc3c2)C1 ZINC000509038021 340259040 /nfs/dbraw/zinc/25/90/40/340259040.db2.gz GJTINDMDAWKYQV-IAGOWNOFSA-N 0 3 324.468 4.139 20 0 DIADHN CC[C@H]1CCCN(CC(=O)N[C@H](C)c2cccc3ccccc32)C1 ZINC000509052043 340259680 /nfs/dbraw/zinc/25/96/80/340259680.db2.gz IATGODOJKIYJQO-SJORKVTESA-N 0 3 324.468 4.139 20 0 DIADHN CO[C@H]1CCCC[C@@H]1NCc1nc(-c2ccc(C)cc2)cs1 ZINC000509134417 340262076 /nfs/dbraw/zinc/26/20/76/340262076.db2.gz DOZZLDRFBYSFFQ-RDJZCZTQSA-N 0 3 316.470 4.166 20 0 DIADHN CO[C@@H]1CCCN(Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC000509151880 340262496 /nfs/dbraw/zinc/26/24/96/340262496.db2.gz LOSMQTBYUUKULA-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN CCOC1CC(CN(Cc2ccco2)Cc2cccc(C)c2)C1 ZINC000509341196 340266656 /nfs/dbraw/zinc/26/66/56/340266656.db2.gz ILRNKAPSNSDCRZ-UHFFFAOYSA-N 0 3 313.441 4.405 20 0 DIADHN Cc1ncsc1CCN1CCC(=Cc2ccccc2F)CC1 ZINC000509370851 340267593 /nfs/dbraw/zinc/26/75/93/340267593.db2.gz HCHVNICKFZGKHN-UHFFFAOYSA-N 0 3 316.445 4.313 20 0 DIADHN CCOC1CC(CN(C)Cc2cccc(Cl)c2Cl)C1 ZINC000509401411 340268420 /nfs/dbraw/zinc/26/84/20/340268420.db2.gz SHIARMSIQKHDQO-UHFFFAOYSA-N 0 3 302.245 4.240 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2NCc1cc(F)ccc1C ZINC000509415423 340268703 /nfs/dbraw/zinc/26/87/03/340268703.db2.gz MICXEYADZXURCU-KRWDZBQOSA-N 0 3 303.352 4.059 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2NCc1cc(F)ccc1C ZINC000509415424 340268729 /nfs/dbraw/zinc/26/87/29/340268729.db2.gz MICXEYADZXURCU-QGZVFWFLSA-N 0 3 303.352 4.059 20 0 DIADHN Brc1sccc1CNC[C@H]1C[C@H]1c1ccccc1 ZINC000509428377 340269211 /nfs/dbraw/zinc/26/92/11/340269211.db2.gz FFZYHTCZYZAWDH-KGLIPLIRSA-N 0 3 322.271 4.404 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccc(-c2cnn(C)c2)s1 ZINC000509462524 340270294 /nfs/dbraw/zinc/27/02/94/340270294.db2.gz HTASVJMBZMJDDC-YJYMSZOUSA-N 0 3 323.465 4.172 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2OC1)c1cc(F)ccc1F ZINC000509526018 340272303 /nfs/dbraw/zinc/27/23/03/340272303.db2.gz POJNKJZOGITFPI-SWLSCSKDSA-N 0 3 303.352 4.009 20 0 DIADHN Cc1ccc(CN2CCC(c3c[nH]c4ncccc34)CC2)cc1F ZINC000509563826 340273655 /nfs/dbraw/zinc/27/36/55/340273655.db2.gz JDRWJNWJJMEPCH-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN C[C@H]1N(Cc2ccccc2C(F)(F)F)CCC12CCOCC2 ZINC000509606412 340274637 /nfs/dbraw/zinc/27/46/37/340274637.db2.gz CGDDFAHSNUKDMB-CYBMUJFWSA-N 0 3 313.363 4.096 20 0 DIADHN Cc1ncsc1CCN1CCS[C@@H](C)[C@@H]1c1ccccc1 ZINC000509670477 340276405 /nfs/dbraw/zinc/27/64/05/340276405.db2.gz JPNUQHUGXVVYPU-WMLDXEAASA-N 0 3 318.511 4.173 20 0 DIADHN Cc1ncsc1CCN1CCS[C@H](C)[C@@H]1c1ccccc1 ZINC000509670476 340276470 /nfs/dbraw/zinc/27/64/70/340276470.db2.gz JPNUQHUGXVVYPU-RHSMWYFYSA-N 0 3 318.511 4.173 20 0 DIADHN Cc1ncsc1CCN1CCCC[C@@H]1c1ccc(F)cc1 ZINC000509679136 340276755 /nfs/dbraw/zinc/27/67/55/340276755.db2.gz GQPZSBUMJDHXCB-MRXNPFEDSA-N 0 3 304.434 4.360 20 0 DIADHN C[C@@H]1CN(Cc2nc3cc(Br)ccc3o2)C(C)(C)C1 ZINC000509684391 340276861 /nfs/dbraw/zinc/27/68/61/340276861.db2.gz UJXYVLOOPHATGY-JTQLQIEISA-N 0 3 323.234 4.211 20 0 DIADHN CCc1sc(-c2nnc(CN[C@@H]3CCCC[C@@H]3C)o2)cc1C ZINC000510030187 340285645 /nfs/dbraw/zinc/28/56/45/340285645.db2.gz PHAJALBRWHLCQI-WCQYABFASA-N 0 3 319.474 4.337 20 0 DIADHN CCOC(=O)C(C)(C)C1CCN([C@H](C)c2cccc(F)c2)CC1 ZINC000510082618 340288044 /nfs/dbraw/zinc/28/80/44/340288044.db2.gz DUHNURDUHKOXQC-CQSZACIVSA-N 0 3 321.436 4.188 20 0 DIADHN CC[C@@H](CC1CCCC1)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC000510133244 340289931 /nfs/dbraw/zinc/28/99/31/340289931.db2.gz JCLPKACWSLFSGE-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN COCc1ccccc1/C=C(/C)CNCc1ccc(F)c(F)c1 ZINC000510153644 340290837 /nfs/dbraw/zinc/29/08/37/340290837.db2.gz AWFJGVOQOQKWMT-ZROIWOOFSA-N 0 3 317.379 4.304 20 0 DIADHN Cc1cc(Cl)c(C(=O)Nc2ccc(CN(C)C)cc2)cc1C ZINC000510155986 340290980 /nfs/dbraw/zinc/29/09/80/340290980.db2.gz HOAOSXQQUHMIHN-UHFFFAOYSA-N 0 3 316.832 4.271 20 0 DIADHN COc1cccc(C2(NCCSCc3ccccc3)CC2)c1 ZINC000510175676 340291603 /nfs/dbraw/zinc/29/16/03/340291603.db2.gz KNFLVRBGNCTZJR-UHFFFAOYSA-N 0 3 313.466 4.207 20 0 DIADHN C[C@H](NCCc1nc2ccccc2n1C)c1ccccc1Cl ZINC000510221663 340293025 /nfs/dbraw/zinc/29/30/25/340293025.db2.gz IVIOEWKKWRTHKJ-ZDUSSCGKSA-N 0 3 313.832 4.120 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1C[C@@H]1CC[C@H](C)O1 ZINC000510223523 340293173 /nfs/dbraw/zinc/29/31/73/340293173.db2.gz PXUFCWQVCQXETO-OVWQWFNUSA-N 0 3 303.446 4.036 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1C[C@@H]1CC[C@@H](C)O1 ZINC000510223521 340293208 /nfs/dbraw/zinc/29/32/08/340293208.db2.gz PXUFCWQVCQXETO-DFGXFYAUSA-N 0 3 303.446 4.036 20 0 DIADHN COc1ccc(CN(CCSc2ccccc2)C2CC2)cc1 ZINC000510224745 340293237 /nfs/dbraw/zinc/29/32/37/340293237.db2.gz VLAYINAVHDQKLR-UHFFFAOYSA-N 0 3 313.466 4.452 20 0 DIADHN Cc1cnn(C)c1CN[C@H](c1ccc(CC(C)C)cc1)C(C)C ZINC000510230592 340293299 /nfs/dbraw/zinc/29/32/99/340293299.db2.gz SMBYCFJCISGHFO-FQEVSTJZSA-N 0 3 313.489 4.414 20 0 DIADHN c1cc2cc(CNCCc3nc4ccccc4s3)ccc2o1 ZINC000510245690 340293976 /nfs/dbraw/zinc/29/39/76/340293976.db2.gz VKUYWUPKKKKEOZ-UHFFFAOYSA-N 0 3 308.406 4.375 20 0 DIADHN Clc1ccccc1CN(CCc1cccnc1)CC1CC1 ZINC000510247180 340294079 /nfs/dbraw/zinc/29/40/79/340294079.db2.gz ZGVMZMPJRMFKTF-UHFFFAOYSA-N 0 3 300.833 4.190 20 0 DIADHN c1cn(-c2ccccc2)nc1CN1CCC[C@H]1Cc1ccccc1 ZINC000303005753 340294802 /nfs/dbraw/zinc/29/48/02/340294802.db2.gz IRSSNPRPBWHKDI-NRFANRHFSA-N 0 3 317.436 4.079 20 0 DIADHN CCCOc1ccc(OCCN2CCC[C@@H]2c2ccc[nH]2)cc1 ZINC000510298404 340295440 /nfs/dbraw/zinc/29/54/40/340295440.db2.gz LYMCLMBEZPRCOZ-LJQANCHMSA-N 0 3 314.429 4.019 20 0 DIADHN c1cc(Sc2ccccn2)oc1CNC[C@@H]1CC=CCC1 ZINC000510343504 340296599 /nfs/dbraw/zinc/29/65/99/340296599.db2.gz ABAMPNFBWHVAJU-CQSZACIVSA-N 0 3 300.427 4.272 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCc1ccc(F)cc1F ZINC000510347477 340296689 /nfs/dbraw/zinc/29/66/89/340296689.db2.gz SGIVNSUQHOVPIV-NTEUORMPSA-N 0 3 317.379 4.304 20 0 DIADHN Cc1ccc(CN2CCC(OCCc3ccccc3)CC2)cc1 ZINC000510354028 340296750 /nfs/dbraw/zinc/29/67/50/340296750.db2.gz JLUJOCQHYSLUCN-UHFFFAOYSA-N 0 3 309.453 4.219 20 0 DIADHN CCCCCN(CC(=O)N1CCSc2ccccc21)C(C)C ZINC000510394872 340297891 /nfs/dbraw/zinc/29/78/91/340297891.db2.gz ITHJIEMKDWQZAG-UHFFFAOYSA-N 0 3 320.502 4.026 20 0 DIADHN CC(C)n1cc(CN2CCC(Cc3cccc(F)c3)CC2)cn1 ZINC000510394116 340297894 /nfs/dbraw/zinc/29/78/94/340297894.db2.gz ODQPLVGMCYXNTO-UHFFFAOYSA-N 0 3 315.436 4.058 20 0 DIADHN C[C@@H](C(=O)N(C)Cc1cccc2ccccc21)N1CCCCCC1 ZINC000510403973 340298201 /nfs/dbraw/zinc/29/82/01/340298201.db2.gz XZPHJRFYPHWHHJ-KRWDZBQOSA-N 0 3 324.468 4.063 20 0 DIADHN Clc1cccc(CN2CC[C@H](n3ncc4ccccc43)C2)c1 ZINC000510409829 340298454 /nfs/dbraw/zinc/29/84/54/340298454.db2.gz ZCUUFOPSDSNJAG-KRWDZBQOSA-N 0 3 311.816 4.137 20 0 DIADHN COc1ccc(CCCN(C)CC2=Cc3ccccc3OC2)cc1 ZINC000510410935 340298457 /nfs/dbraw/zinc/29/84/57/340298457.db2.gz HERMUFLPWGDOHY-UHFFFAOYSA-N 0 3 323.436 4.036 20 0 DIADHN C[C@H](c1ccc(F)cc1)N1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510418511 340298677 /nfs/dbraw/zinc/29/86/77/340298677.db2.gz XBDKAESXAMXHCG-RDTXWAMCSA-N 0 3 309.388 4.183 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@@H](n2ncc3ccccc32)C1 ZINC000510418478 340298767 /nfs/dbraw/zinc/29/87/67/340298767.db2.gz WTIMWMRGAXSMHE-GDBMZVCRSA-N 0 3 309.388 4.183 20 0 DIADHN C[C@H](c1ccccc1F)N1CC[C@H](n2ncc3ccccc32)C1 ZINC000510418481 340298808 /nfs/dbraw/zinc/29/88/08/340298808.db2.gz WTIMWMRGAXSMHE-ZBFHGGJFSA-N 0 3 309.388 4.183 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC[C@H](n3ncc4ccccc43)C1)CC2 ZINC000510423954 340298955 /nfs/dbraw/zinc/29/89/55/340298955.db2.gz IGJQQUSLTSOCJC-FXAWDEMLSA-N 0 3 321.399 4.110 20 0 DIADHN Cc1noc(C2(C)CCN(Cc3ccc4ccccc4c3)CC2)n1 ZINC000510424222 340299062 /nfs/dbraw/zinc/29/90/62/340299062.db2.gz KBCHUSSWWULYER-UHFFFAOYSA-N 0 3 321.424 4.085 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN(C)CCc1ccc(F)cc1 ZINC000510461381 340300149 /nfs/dbraw/zinc/30/01/49/340300149.db2.gz YYCSWXHODYJMOO-UHFFFAOYSA-N 0 3 317.452 4.069 20 0 DIADHN Cc1ccc(CN(C(=O)[C@H](C)N2CCCCCC2)C(C)C)s1 ZINC000510498636 340301174 /nfs/dbraw/zinc/30/11/74/340301174.db2.gz CZOYMNRHUNMSBD-INIZCTEOSA-N 0 3 322.518 4.058 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC[C@H](C(F)(F)F)C1)CCC2 ZINC000510514152 340301417 /nfs/dbraw/zinc/30/14/17/340301417.db2.gz KVQVOPCHKJYGKV-SWLSCSKDSA-N 0 3 301.327 4.477 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC[C@@H](C(F)(F)F)C1)CCC2 ZINC000510514150 340301497 /nfs/dbraw/zinc/30/14/97/340301497.db2.gz KVQVOPCHKJYGKV-DOMZBBRYSA-N 0 3 301.327 4.477 20 0 DIADHN Cc1ccc(C(=O)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)c(C)c1 ZINC000510527653 340301922 /nfs/dbraw/zinc/30/19/22/340301922.db2.gz UFHROQSNBQTQBL-PXNSSMCTSA-N 0 3 324.468 4.033 20 0 DIADHN CC1(C)CCCN(Cc2ccccc2OCc2ccncc2)C1 ZINC000510539878 340302214 /nfs/dbraw/zinc/30/22/14/340302214.db2.gz SUTTUMIDGIBJJV-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CCCC1(C(=O)N[C@@H](C)[C@H](c2ccccc2C)N(C)C)CCC1 ZINC000510545677 340302290 /nfs/dbraw/zinc/30/22/90/340302290.db2.gz LQNKHYOFKSOTPF-FUHWJXTLSA-N 0 3 316.489 4.073 20 0 DIADHN Cc1cccc(C(=O)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)c1C ZINC000510544357 340302421 /nfs/dbraw/zinc/30/24/21/340302421.db2.gz DKDPJXRGXOLBQP-PXNSSMCTSA-N 0 3 324.468 4.033 20 0 DIADHN COCCOCCN([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000510559443 340302774 /nfs/dbraw/zinc/30/27/74/340302774.db2.gz OJKZUGNELIRJTH-INIZCTEOSA-N 0 3 313.441 4.028 20 0 DIADHN COc1cccc(CN(C2CC2)[C@@H]2CCc3ccccc32)c1OC ZINC000510642373 340304758 /nfs/dbraw/zinc/30/47/58/340304758.db2.gz BBPMMTVVJXXYLK-LJQANCHMSA-N 0 3 323.436 4.356 20 0 DIADHN FCCC[C@H]1CCC[C@@H]1NCc1c(F)cccc1OC(F)F ZINC000510652938 340305188 /nfs/dbraw/zinc/30/51/88/340305188.db2.gz QQJIZUUYDWUNIJ-RISCZKNCSA-N 0 3 319.342 4.435 20 0 DIADHN FCCC[C@H]1CCC[C@H]1NCc1c(F)cccc1OC(F)F ZINC000510652936 340305202 /nfs/dbraw/zinc/30/52/02/340305202.db2.gz QQJIZUUYDWUNIJ-BXUZGUMPSA-N 0 3 319.342 4.435 20 0 DIADHN Cc1cccc([C@H](NCCC[C@H](C)CO)c2cccc(F)c2)c1 ZINC000510655743 340305398 /nfs/dbraw/zinc/30/53/98/340305398.db2.gz JFCRVZXOXFILMK-JXFKEZNVSA-N 0 3 315.432 4.222 20 0 DIADHN CCc1cnc(CN[C@@H](C)CCc2c(F)cccc2F)s1 ZINC000510695025 340306995 /nfs/dbraw/zinc/30/69/95/340306995.db2.gz MBTCWLOFRNHYAQ-NSHDSACASA-N 0 3 310.413 4.095 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1coc(-c2cccs2)n1 ZINC000510708104 340307435 /nfs/dbraw/zinc/30/74/35/340307435.db2.gz ZRQWJPRZEXSWOX-GXTWGEPZSA-N 0 3 308.422 4.411 20 0 DIADHN Cc1csc([C@H](C)N[C@@H](C)CCc2c(F)cccc2F)n1 ZINC000510739665 340308879 /nfs/dbraw/zinc/30/88/79/340308879.db2.gz XLOVYVIQVBMDSQ-JQWIXIFHSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1csc([C@@H](C)N[C@H](C)CCc2c(F)cccc2F)n1 ZINC000510739667 340308910 /nfs/dbraw/zinc/30/89/10/340308910.db2.gz XLOVYVIQVBMDSQ-ZYHUDNBSSA-N 0 3 310.413 4.402 20 0 DIADHN Cc1cccc([C@H](NCC[C@@H](O)C(C)C)c2cccc(F)c2)c1 ZINC000510738451 340308960 /nfs/dbraw/zinc/30/89/60/340308960.db2.gz REVAINHCTZRXRY-UXHICEINSA-N 0 3 315.432 4.220 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCSC2)c2cccc(F)c2)c1 ZINC000510756224 340309826 /nfs/dbraw/zinc/30/98/26/340309826.db2.gz QLSABSWAFNEGJQ-ROUUACIJSA-N 0 3 301.430 4.319 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCSC2)c2cccc(F)c2)c1 ZINC000510756225 340309842 /nfs/dbraw/zinc/30/98/42/340309842.db2.gz QLSABSWAFNEGJQ-ZWKOTPCHSA-N 0 3 301.430 4.319 20 0 DIADHN CC1(C)CCC[C@@H]1NCc1nc2cc(Br)ccc2o1 ZINC000510761407 340310103 /nfs/dbraw/zinc/31/01/03/340310103.db2.gz FHOGJFDKWGJMDY-ZDUSSCGKSA-N 0 3 323.234 4.259 20 0 DIADHN Cc1ncsc1CCN1CC=C(c2cccc(Cl)c2)CC1 ZINC000510761941 340310171 /nfs/dbraw/zinc/31/01/71/340310171.db2.gz JCUTZKJQQKDEEU-UHFFFAOYSA-N 0 3 318.873 4.437 20 0 DIADHN CC(C)N1CCC[C@H](Cc2nc(C3CCCCCC3)no2)C1 ZINC000510765612 340310218 /nfs/dbraw/zinc/31/02/18/340310218.db2.gz SASAROMYZLWQLJ-OAHLLOKOSA-N 0 3 305.466 4.170 20 0 DIADHN CC(C)[C@@H](c1ccccc1)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000510772251 340310443 /nfs/dbraw/zinc/31/04/43/340310443.db2.gz FKHANVIKOSEDFX-HKUYNNGSSA-N 0 3 316.489 4.107 20 0 DIADHN COCCOCCN(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000510859790 340313158 /nfs/dbraw/zinc/31/31/58/340313158.db2.gz NEPVCHXBEVFASR-QGZVFWFLSA-N 0 3 313.441 4.009 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1cccc(O)c1 ZINC000510886696 340313887 /nfs/dbraw/zinc/31/38/87/340313887.db2.gz HWXOLVNGBREPGT-QZFKAFNDSA-N 0 3 311.425 4.293 20 0 DIADHN CC(C)COc1cccc(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000510903749 340314328 /nfs/dbraw/zinc/31/43/28/340314328.db2.gz TXBVWPSRNYKDBE-FQEVSTJZSA-N 0 3 310.441 4.357 20 0 DIADHN C[C@H](N[C@@H]1CC[C@H]1C1CCC1)c1nc(C(F)(F)F)cs1 ZINC000510926557 340314942 /nfs/dbraw/zinc/31/49/42/340314942.db2.gz CLCGGDWCCXOBJG-INTQDDNPSA-N 0 3 304.381 4.391 20 0 DIADHN C[C@H](NC1CC(c2cccc(Cl)c2)C1)c1ccncc1F ZINC000510927742 340315106 /nfs/dbraw/zinc/31/51/06/340315106.db2.gz HKBZGDUVEKMDIZ-ZOODHJKOSA-N 0 3 304.796 4.471 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccc(C(F)(F)F)cc1)c1ccon1 ZINC000510927689 340315144 /nfs/dbraw/zinc/31/51/44/340315144.db2.gz GXAUKWMWKPEXCV-NWDGAFQWSA-N 0 3 312.335 4.365 20 0 DIADHN C[C@H]1C[C@H](N[C@@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000510929976 340315282 /nfs/dbraw/zinc/31/52/82/340315282.db2.gz PVDGHIBGZVIQPH-LNSITVRQSA-N 0 3 311.516 4.204 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCc2ccc(C)cc21 ZINC000510937563 340315559 /nfs/dbraw/zinc/31/55/59/340315559.db2.gz ZBLBJOYUTJCRQB-RTBURBONSA-N 0 3 311.400 4.407 20 0 DIADHN C[C@H](c1ccccc1Cl)N(C)C(=O)[C@H](C)N1CCCCCC1 ZINC000510938670 340315563 /nfs/dbraw/zinc/31/55/63/340315563.db2.gz LYJCIDQRMRZPRE-CABCVRRESA-N 0 3 322.880 4.124 20 0 DIADHN C[C@H](C(=O)N(C)[C@@H](C)c1cccc(Cl)c1)N1CCCCCC1 ZINC000510940125 340315598 /nfs/dbraw/zinc/31/55/98/340315598.db2.gz UUOBTTGXZFPGLF-LSDHHAIUSA-N 0 3 322.880 4.124 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N(C)C(=O)[C@@H](C)N2CCCCCC2)c1 ZINC000510942506 340315718 /nfs/dbraw/zinc/31/57/18/340315718.db2.gz YWOOZWZCBXEFKC-QZTJIDSGSA-N 0 3 316.489 4.087 20 0 DIADHN CO[C@@H]1CC[C@@H](N[C@H](c2cccc(C)c2)c2cccc(F)c2)C1 ZINC000510946014 340315835 /nfs/dbraw/zinc/31/58/35/340315835.db2.gz DJNPWUQUGUZUDA-VAMGGRTRSA-N 0 3 313.416 4.381 20 0 DIADHN COc1cc2c(cc1F)CC[C@@H]2N[C@H]1CCc2c1cccc2C ZINC000510957643 340316158 /nfs/dbraw/zinc/31/61/58/340316158.db2.gz IHVLSHXDMXCXPR-OALUTQOASA-N 0 3 311.400 4.407 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3cc(F)c(OC)cc32)cc1 ZINC000510958444 340316212 /nfs/dbraw/zinc/31/62/12/340316212.db2.gz CENLMWJVGCGIFC-KZULUSFZSA-N 0 3 315.388 4.181 20 0 DIADHN COc1c2ccccc2oc1CN[C@H]1CCC[C@H]1CCCF ZINC000510961547 340316277 /nfs/dbraw/zinc/31/62/77/340316277.db2.gz MIQGGBIWTGGYON-ZFWWWQNUSA-N 0 3 305.393 4.449 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H]1CCc2ccc(F)cc21 ZINC000510980729 340317083 /nfs/dbraw/zinc/31/70/83/340317083.db2.gz QXTXLOFSDBCXDP-QZTJIDSGSA-N 0 3 315.363 4.238 20 0 DIADHN Cc1ccc([C@@H](NCCCOC(F)F)c2ccccc2)cc1 ZINC000511014900 340318220 /nfs/dbraw/zinc/31/82/20/340318220.db2.gz SLSMDTPOFJLWCN-KRWDZBQOSA-N 0 3 305.368 4.303 20 0 DIADHN COCC[C@H](N[C@@H](C)c1nc2c(s1)CCCC2)C(C)(C)C ZINC000511017815 340318281 /nfs/dbraw/zinc/31/82/81/340318281.db2.gz SCXOSHIRXLGUNW-WFASDCNBSA-N 0 3 310.507 4.124 20 0 DIADHN CC(C)CN(C(=O)[C@H](C)CC1CCCCC1)C1CCN(C)CC1 ZINC000511025435 340318674 /nfs/dbraw/zinc/31/86/74/340318674.db2.gz DGWWZIHUKYYFQA-QGZVFWFLSA-N 0 3 322.537 4.172 20 0 DIADHN COCC[C@@H](N[C@H](C)c1nc(C(F)(F)F)cs1)C(C)(C)C ZINC000511035749 340318862 /nfs/dbraw/zinc/31/88/62/340318862.db2.gz TWLKHTMZNOOOIB-NXEZZACHSA-N 0 3 324.412 4.264 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCN(Cc3ccccn3)C2)c1 ZINC000511096485 340320566 /nfs/dbraw/zinc/32/05/66/340320566.db2.gz PKMNVFBEJLIPDW-HNNXBMFYSA-N 0 3 320.358 4.480 20 0 DIADHN CC(C)Sc1ccc(CN(C)[C@H](CO)c2ccccc2)cc1 ZINC000511100130 340320729 /nfs/dbraw/zinc/32/07/29/340320729.db2.gz HMOHMQUWGOIQAX-LJQANCHMSA-N 0 3 315.482 4.353 20 0 DIADHN CNC(=O)c1ccc(CN2CCC[C@@H]2c2ccc(C)c(C)c2)cc1 ZINC000511105378 340320876 /nfs/dbraw/zinc/32/08/76/340320876.db2.gz DUUGUFAKMGRVEM-HXUWFJFHSA-N 0 3 322.452 4.000 20 0 DIADHN FC(F)(F)c1cccc([C@H]2CCCN(Cc3ccncc3)C2)c1 ZINC000511123393 340321451 /nfs/dbraw/zinc/32/14/51/340321451.db2.gz JPDXIAIPUTURDX-INIZCTEOSA-N 0 3 320.358 4.480 20 0 DIADHN C[C@H](CC1CCCCC1)C(=O)N1CCC[C@H]1CN1CCCCC1 ZINC000511131737 340321788 /nfs/dbraw/zinc/32/17/88/340321788.db2.gz RYYQNSZRLJNLQE-MJGOQNOKSA-N 0 3 320.521 4.070 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@@H](c2ccnn2C)C1 ZINC000511132266 340321829 /nfs/dbraw/zinc/32/18/29/340321829.db2.gz RBYXTVZDEAENEM-ZIAGYGMSSA-N 0 3 303.837 4.014 20 0 DIADHN CC(C)c1ccc(CN(C)C(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC000511151479 340322493 /nfs/dbraw/zinc/32/24/93/340322493.db2.gz OBGBEKSXAKKTMY-BBWFWOEESA-N 0 3 316.489 4.030 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000511160911 340322850 /nfs/dbraw/zinc/32/28/50/340322850.db2.gz LAULODUGJOYYFM-MOPGFXCFSA-N 0 3 320.521 4.070 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000511160913 340322897 /nfs/dbraw/zinc/32/28/97/340322897.db2.gz LAULODUGJOYYFM-RBUKOAKNSA-N 0 3 320.521 4.070 20 0 DIADHN CSc1ccccc1CN[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000511182778 340323384 /nfs/dbraw/zinc/32/33/84/340323384.db2.gz JMPZDWHUYNNOMM-HKUYNNGSSA-N 0 3 313.466 4.276 20 0 DIADHN Clc1ccc(C2=CCN(C[C@H]3CCCO3)CC2)cc1Cl ZINC000511184229 340323408 /nfs/dbraw/zinc/32/34/08/340323408.db2.gz MGAGKFCDFOQPTD-CQSZACIVSA-N 0 3 312.240 4.262 20 0 DIADHN Clc1ccc(C2=CCN(C[C@@H]3CCCO3)CC2)cc1Cl ZINC000511184227 340323450 /nfs/dbraw/zinc/32/34/50/340323450.db2.gz MGAGKFCDFOQPTD-AWEZNQCLSA-N 0 3 312.240 4.262 20 0 DIADHN CC(C)Sc1ccc(CN(C)CCc2ccccn2)cc1 ZINC000511195787 340323728 /nfs/dbraw/zinc/32/37/28/340323728.db2.gz BBXMVRZNOBMNKQ-UHFFFAOYSA-N 0 3 300.471 4.257 20 0 DIADHN CCCCCN(C(=O)N[C@@H](C)C1=CCN(C)CC1)[C@@H](C)CCC ZINC000511291048 340324787 /nfs/dbraw/zinc/32/47/87/340324787.db2.gz UXUPLBWDUNYLSE-IRXDYDNUSA-N 0 3 323.525 4.027 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1ccc(Cl)cc1Cl ZINC000303437563 340325489 /nfs/dbraw/zinc/32/54/89/340325489.db2.gz DWTTUBYOSKVLNG-QWRGUYRKSA-N 0 3 317.260 4.298 20 0 DIADHN CN(C)CCN(C(=O)c1ccc2ccccc2c1)C1CCCCC1 ZINC000511404857 340326685 /nfs/dbraw/zinc/32/66/85/340326685.db2.gz MXKSCBWOLIAXQM-UHFFFAOYSA-N 0 3 324.468 4.176 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@@H](C)c1c(F)cccc1Cl ZINC000511526081 340329446 /nfs/dbraw/zinc/32/94/46/340329446.db2.gz YSRCGQJCJZFPED-NEPJUHHUSA-N 0 3 307.796 4.467 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)N[C@H](C)c1c(F)cccc1Cl ZINC000511526084 340329470 /nfs/dbraw/zinc/32/94/70/340329470.db2.gz YSRCGQJCJZFPED-VXGBXAGGSA-N 0 3 307.796 4.467 20 0 DIADHN CC[C@@H]1CCCCN1C(=O)CN(C)[C@H](c1ccccc1)C(C)C ZINC000303523612 340330370 /nfs/dbraw/zinc/33/03/70/340330370.db2.gz PYIWVJISTRIFTK-QUCCMNQESA-N 0 3 316.489 4.107 20 0 DIADHN CCN(Cc1ccc(C)cn1)[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC000511621194 340332783 /nfs/dbraw/zinc/33/27/83/340332783.db2.gz BMHUWJBBYKNTME-WIYYLYMNSA-N 0 3 323.484 4.047 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@@H]2C)cc1 ZINC000511663514 340334367 /nfs/dbraw/zinc/33/43/67/340334367.db2.gz WUAQOODQOIEHQV-FZKCQIBNSA-N 0 3 318.461 4.064 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)[C@H](C)N2[C@H](C)CC[C@H]2C)cc1 ZINC000511663519 340334417 /nfs/dbraw/zinc/33/44/17/340334417.db2.gz WUAQOODQOIEHQV-WCVJEAGWSA-N 0 3 318.461 4.064 20 0 DIADHN CCCCOc1cccc(NC(=O)[C@@H](C)N2[C@H](C)CC[C@@H]2C)c1 ZINC000511701221 340335648 /nfs/dbraw/zinc/33/56/48/340335648.db2.gz GMYUGZBSJDSACE-OWCLPIDISA-N 0 3 318.461 4.065 20 0 DIADHN CC[C@H](C)Sc1ccc(NC(=O)C2CCN(C)CC2)c(C)c1 ZINC000172725735 340338672 /nfs/dbraw/zinc/33/86/72/340338672.db2.gz SNXLUIVXTSRGSX-AWEZNQCLSA-N 0 3 320.502 4.166 20 0 DIADHN Cc1ccc(CN(Cc2cnc3c(C)cccn23)C(C)C)s1 ZINC000511836778 340339600 /nfs/dbraw/zinc/33/96/00/340339600.db2.gz AKZZZJNAYFCHIU-UHFFFAOYSA-N 0 3 313.470 4.423 20 0 DIADHN COc1cc(CN2CCC[C@H]3CCC[C@H]32)ccc1OC(F)F ZINC000511845198 340340150 /nfs/dbraw/zinc/34/01/50/340340150.db2.gz VUCRMXBIJIFEQT-ZIAGYGMSSA-N 0 3 311.372 4.061 20 0 DIADHN COc1cc(CN2CCC[C@@H]3CCC[C@H]32)ccc1OC(F)F ZINC000511845197 340340190 /nfs/dbraw/zinc/34/01/90/340340190.db2.gz VUCRMXBIJIFEQT-UONOGXRCSA-N 0 3 311.372 4.061 20 0 DIADHN CCc1nc(CN2CCC[C@@H]2Cc2ccc(C)cc2)cs1 ZINC000303652554 340340214 /nfs/dbraw/zinc/34/02/14/340340214.db2.gz UNPUQNKKNFBLDF-QGZVFWFLSA-N 0 3 300.471 4.221 20 0 DIADHN c1ccc(OCc2ccncc2)c(CN2CCC[C@H]3CCC[C@@H]32)c1 ZINC000511862268 340340767 /nfs/dbraw/zinc/34/07/67/340340767.db2.gz ZEWQFLXOTRJUMW-QUCCMNQESA-N 0 3 322.452 4.425 20 0 DIADHN c1ccc(OCc2ccncc2)c(CN2CCC[C@H]3CCC[C@H]32)c1 ZINC000511862269 340340864 /nfs/dbraw/zinc/34/08/64/340340864.db2.gz ZEWQFLXOTRJUMW-UYAOXDASSA-N 0 3 322.452 4.425 20 0 DIADHN CN(C)Cc1cnc(CN[C@@H]2CCC[C@@H](C(C)(C)C)CC2)s1 ZINC000511907956 340342617 /nfs/dbraw/zinc/34/26/17/340342617.db2.gz MSMJGTDSIVEVBP-HUUCEWRRSA-N 0 3 323.550 4.289 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NC/C=C\c1ccc(F)c(F)c1)CCO2 ZINC000511955611 340343733 /nfs/dbraw/zinc/34/37/33/340343733.db2.gz GNHOTTBMFBOFNM-YYZONTRBSA-N 0 3 319.326 4.231 20 0 DIADHN Fc1ccc(/C=C\CN[C@@H]2CCOc3c(F)cccc32)cc1F ZINC000511973872 340344126 /nfs/dbraw/zinc/34/41/26/340344126.db2.gz RUBYZAVLKJFWLQ-BAHPRDJBSA-N 0 3 319.326 4.231 20 0 DIADHN COCC[C@@H](NC/C=C/c1ccc(F)c(F)c1)c1ccc(C)o1 ZINC000511975878 340344292 /nfs/dbraw/zinc/34/42/92/340344292.db2.gz XMOQYDQWXIMIEA-REMYHPOOSA-N 0 3 321.367 4.247 20 0 DIADHN C[C@@H](CCC1CCCCC1)NCc1ncc(CN(C)C)s1 ZINC000512013645 340345417 /nfs/dbraw/zinc/34/54/17/340345417.db2.gz DGDDICDKVZZQAY-AWEZNQCLSA-N 0 3 309.523 4.043 20 0 DIADHN CO[C@H](CNC/C=C\c1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000512036733 340346311 /nfs/dbraw/zinc/34/63/11/340346311.db2.gz LFPDWZANSOFDCX-LHRDXKRPSA-N 0 3 321.342 4.094 20 0 DIADHN CCCCC1CCC(NCc2ncc(CN(C)C)s2)CC1 ZINC000512081876 340347891 /nfs/dbraw/zinc/34/78/91/340347891.db2.gz FOMQHKAFCPZGJJ-UHFFFAOYSA-N 0 3 309.523 4.043 20 0 DIADHN COc1ccc([C@H](C)NC/C=C\c2ccc(F)c(F)c2)cc1 ZINC000512102860 340348497 /nfs/dbraw/zinc/34/84/97/340348497.db2.gz CXWAHNZDKPNLFY-SCOBNMCVSA-N 0 3 303.352 4.337 20 0 DIADHN CCOCc1ccc(CNC/C=C\c2ccc(F)c(F)c2)cc1 ZINC000512104164 340348524 /nfs/dbraw/zinc/34/85/24/340348524.db2.gz HWKGBDCZNZNVIO-ARJAWSKDSA-N 0 3 317.379 4.304 20 0 DIADHN c1cc2cc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)ccc2o1 ZINC000512114658 340348943 /nfs/dbraw/zinc/34/89/43/340348943.db2.gz ICNCETGPEWDZTC-VQTJNVASSA-N 0 3 307.393 4.443 20 0 DIADHN c1cc2cc(CN[C@H](c3ccccc3)[C@H]3CCCO3)ccc2o1 ZINC000512114660 340348955 /nfs/dbraw/zinc/34/89/55/340348955.db2.gz ICNCETGPEWDZTC-WOJBJXKFSA-N 0 3 307.393 4.443 20 0 DIADHN CCC[C@@H](CC1CCCCC1)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000512134877 340349516 /nfs/dbraw/zinc/34/95/16/340349516.db2.gz ZMFTWHQGOWWNPM-APWZRJJASA-N 0 3 320.521 4.140 20 0 DIADHN CCC[C@H](CC1CCCCC1)C(=O)N[C@@H](C)C1=CCN(C)CC1 ZINC000512134879 340349519 /nfs/dbraw/zinc/34/95/19/340349519.db2.gz ZMFTWHQGOWWNPM-QFBILLFUSA-N 0 3 320.521 4.140 20 0 DIADHN CCc1ccc(-c2nc(CN[C@@H]3CSC[C@@H]3C)cs2)cc1 ZINC000512148365 340349874 /nfs/dbraw/zinc/34/98/74/340349874.db2.gz NXNKAXPZQRVAEL-BLLLJJGKSA-N 0 3 318.511 4.214 20 0 DIADHN COc1cc(OC)c(OC)cc1CNCC[C@@H]1CCCC[C@@H]1C ZINC000512228938 340353127 /nfs/dbraw/zinc/35/31/27/340353127.db2.gz MZFNNXFNWVSTHQ-GJZGRUSLSA-N 0 3 321.461 4.018 20 0 DIADHN C[C@H](c1ccccc1)N(CCOCC(F)(F)C(F)F)C1CC1 ZINC000512241037 340353741 /nfs/dbraw/zinc/35/37/41/340353741.db2.gz GAVYAVRIVDNFSX-GFCCVEGCSA-N 0 3 319.342 4.129 20 0 DIADHN CCOCCN(CC)Cc1ccc(-c2ccccc2OC)cc1 ZINC000512254854 340354260 /nfs/dbraw/zinc/35/42/60/340354260.db2.gz YVFDSIZNXPXEBT-UHFFFAOYSA-N 0 3 313.441 4.221 20 0 DIADHN COC[C@H](CN[C@@H](C)c1ccc(SC2CCCC2)cc1)OC ZINC000512293916 340355939 /nfs/dbraw/zinc/35/59/39/340355939.db2.gz GWRSPXJPXFBPFM-HOCLYGCPSA-N 0 3 323.502 4.033 20 0 DIADHN COC[C@@H](CN[C@H](C)c1ccc(SC2CCCC2)cc1)OC ZINC000512293914 340355942 /nfs/dbraw/zinc/35/59/42/340355942.db2.gz GWRSPXJPXFBPFM-GDBMZVCRSA-N 0 3 323.502 4.033 20 0 DIADHN C[S@@](=O)c1ccc(CN2CCC[C@H]2CC2CCCCC2)cc1 ZINC000512384236 340359911 /nfs/dbraw/zinc/35/99/11/340359911.db2.gz MHBAANDKJBZIJB-PGRDOPGGSA-N 0 3 319.514 4.359 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@@]1(C)CCCO1 ZINC000512390868 340360287 /nfs/dbraw/zinc/36/02/87/340360287.db2.gz IYKOAKBUKXHIGL-HXUWFJFHSA-N 0 3 311.425 4.021 20 0 DIADHN CC[C@](C)(CCO)NCc1ccc(-c2ccccc2)cc1OC ZINC000512396233 340360506 /nfs/dbraw/zinc/36/05/06/340360506.db2.gz KADWSIBRJASDND-HXUWFJFHSA-N 0 3 313.441 4.003 20 0 DIADHN COCc1ccccc1/C=C(/C)CN(C)[C@H](C)c1ccncc1 ZINC000512426521 340361581 /nfs/dbraw/zinc/36/15/81/340361581.db2.gz HOSBLJISXHZKBB-VZVUQDNOSA-N 0 3 310.441 4.324 20 0 DIADHN CC[C@@H](NCc1ccc(NC(N)=O)cc1)c1cccc(Cl)c1 ZINC000173311845 340362088 /nfs/dbraw/zinc/36/20/88/340362088.db2.gz OGLOZHJVZIBATR-MRXNPFEDSA-N 0 3 317.820 4.072 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CNC2(CCO)CCCC2)o1 ZINC000512492824 340363948 /nfs/dbraw/zinc/36/39/48/340363948.db2.gz YIYGXKOKNHWMNS-UHFFFAOYSA-N 0 3 317.404 4.179 20 0 DIADHN Cc1cccc(CCCNCc2ccc(Cl)cc2Cl)n1 ZINC000512573909 340367533 /nfs/dbraw/zinc/36/75/33/340367533.db2.gz OPXANFXAEPUIBN-UHFFFAOYSA-N 0 3 309.240 4.419 20 0 DIADHN CC(C)(C)c1ccc(-c2ccc(CN3CC[C@H](CO)C3)o2)cc1 ZINC000512604662 340368651 /nfs/dbraw/zinc/36/86/51/340368651.db2.gz NTKLKAKRAGRCFK-HNNXBMFYSA-N 0 3 313.441 4.058 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@]3(C2)OCc2ccccc23)c1 ZINC000512622693 340369548 /nfs/dbraw/zinc/36/95/48/340369548.db2.gz NOQBDQNGWCYXEF-IBGZPJMESA-N 0 3 313.828 4.280 20 0 DIADHN COc1c(C)cc(CN2CCC(OC3CCCC3)CC2)cc1C ZINC000512639449 340370526 /nfs/dbraw/zinc/37/05/26/340370526.db2.gz XEZAMUDSPISLQP-UHFFFAOYSA-N 0 3 317.473 4.236 20 0 DIADHN Cc1ccc(-c2ncc(CN3CCC[C@H]3C3CCC3)s2)o1 ZINC000512652226 340371100 /nfs/dbraw/zinc/37/11/00/340371100.db2.gz KDQVQPJPPXZWDV-HNNXBMFYSA-N 0 3 302.443 4.476 20 0 DIADHN CCn1nc(C)c(CN(C)CCc2cccc3ccccc32)c1C ZINC000512663908 340371940 /nfs/dbraw/zinc/37/19/40/340371940.db2.gz SGDWGTADSTXVOU-UHFFFAOYSA-N 0 3 321.468 4.348 20 0 DIADHN CCOc1cccc(CN(Cc2cccc(O)c2)CC2CC2)c1 ZINC000512676386 340372643 /nfs/dbraw/zinc/37/26/43/340372643.db2.gz BGDOCWQDIKJSFG-UHFFFAOYSA-N 0 3 311.425 4.203 20 0 DIADHN CC[C@@H](CN(CC)Cc1cnn(CC(F)F)c1)c1ccccc1 ZINC000512681898 340372890 /nfs/dbraw/zinc/37/28/90/340372890.db2.gz CGRDDVLLKYDTGK-INIZCTEOSA-N 0 3 321.415 4.164 20 0 DIADHN COc1ccc(CN(Cc2cccc(O)c2)CC2CC2)cc1C ZINC000512690479 340373241 /nfs/dbraw/zinc/37/32/41/340373241.db2.gz NHUVPNBUIRBTGD-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN CN(Cc1c(F)cccc1N1CCCC1)C(C1CC1)C1CC1 ZINC000512710513 340373892 /nfs/dbraw/zinc/37/38/92/340373892.db2.gz UKEZHNCHVIHITK-UHFFFAOYSA-N 0 3 302.437 4.046 20 0 DIADHN Fc1ccc(SCCCNCc2cc(F)ccc2F)cc1 ZINC000512841715 340380208 /nfs/dbraw/zinc/38/02/08/340380208.db2.gz TVJVYZSHXOXFMN-UHFFFAOYSA-N 0 3 311.372 4.376 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@@H]2CSC[C@@H]2C)o1 ZINC000512851712 340380786 /nfs/dbraw/zinc/38/07/86/340380786.db2.gz QCEWSVMOFNOEFF-BLLLJJGKSA-N 0 3 305.418 4.235 20 0 DIADHN C[C@H]1CSC[C@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000512873775 340381898 /nfs/dbraw/zinc/38/18/98/340381898.db2.gz FMRJKDZFNRWUSF-IINYFYTJSA-N 0 3 324.902 4.305 20 0 DIADHN CCn1cc(CNCc2cccc(-c3ccccc3C)c2)cn1 ZINC000512971167 340386192 /nfs/dbraw/zinc/38/61/92/340386192.db2.gz ALADSMOQFMAIKP-UHFFFAOYSA-N 0 3 305.425 4.168 20 0 DIADHN COc1cc(CNCc2ccc(CSC)cc2)ccc1C ZINC000512977505 340386501 /nfs/dbraw/zinc/38/65/01/340386501.db2.gz NGLWIMBZVOAKDJ-UHFFFAOYSA-N 0 3 301.455 4.156 20 0 DIADHN Cc1cc(CCNCc2ccc(F)c(-c3cccs3)c2)on1 ZINC000512983844 340386763 /nfs/dbraw/zinc/38/67/63/340386763.db2.gz XPDDPMIAAQBNQY-UHFFFAOYSA-N 0 3 316.401 4.183 20 0 DIADHN CC(C)(C)c1ncc(CNCC2(C(F)(F)F)CCC2)s1 ZINC000512989028 340387027 /nfs/dbraw/zinc/38/70/27/340387027.db2.gz NOORSMAUINVCDS-UHFFFAOYSA-N 0 3 306.397 4.263 20 0 DIADHN Fc1ccc2cc(CNCC3(C(F)(F)F)CCC3)[nH]c2c1 ZINC000512988662 340387059 /nfs/dbraw/zinc/38/70/59/340387059.db2.gz KLTWEMMRHODLIZ-UHFFFAOYSA-N 0 3 300.299 4.129 20 0 DIADHN C[C@H](NCc1cc(N(C)C)nc2ccccc12)c1cccc(F)c1 ZINC000174388886 340387272 /nfs/dbraw/zinc/38/72/72/340387272.db2.gz ZMUOFMOSLFWALO-AWEZNQCLSA-N 0 3 323.415 4.291 20 0 DIADHN C[C@H](NCc1cc(N(C)C)nc2ccccc12)c1ccccc1 ZINC000174389137 340387284 /nfs/dbraw/zinc/38/72/84/340387284.db2.gz XDGMJKIJKQSVIJ-HNNXBMFYSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@H](NCc1cccc(C(F)(F)F)c1)c1ccc2n[nH]cc2c1 ZINC000513027685 340389249 /nfs/dbraw/zinc/38/92/49/340389249.db2.gz NTFJMYKRWNFILB-NSHDSACASA-N 0 3 319.330 4.433 20 0 DIADHN CCc1cc(OC)ccc1CNCC1(C(F)(F)F)CCC1 ZINC000513027472 340389302 /nfs/dbraw/zinc/38/93/02/340389302.db2.gz LUOFDPUYQLKTPU-UHFFFAOYSA-N 0 3 301.352 4.080 20 0 DIADHN CC(C)CCn1cccc1CNCC1(C(F)(F)F)CCC1 ZINC000513036100 340390082 /nfs/dbraw/zinc/39/00/82/340390082.db2.gz LJHHLEHQHSJAOC-UHFFFAOYSA-N 0 3 302.384 4.356 20 0 DIADHN Cc1ccccc1[C@H]1CCN(Cc2ncccc2C(F)(F)F)C1 ZINC000513041216 340390222 /nfs/dbraw/zinc/39/02/22/340390222.db2.gz NTJYFJJXHVETAF-AWEZNQCLSA-N 0 3 320.358 4.398 20 0 DIADHN COc1ccccc1/C=C/CNCc1ccc2[nH]c(C)cc2c1 ZINC000513039824 340390293 /nfs/dbraw/zinc/39/02/93/340390293.db2.gz DFVLWZKTPKTYNZ-FNORWQNLSA-N 0 3 306.409 4.288 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc2[nH]c(C)cc2c1 ZINC000513070682 340391982 /nfs/dbraw/zinc/39/19/82/340391982.db2.gz UAVPMYWNYVTRNG-UHFFFAOYSA-N 0 3 308.425 4.337 20 0 DIADHN CC(C)CN1CCN(CC(c2ccccc2)c2ccccc2)CC1 ZINC000513071535 340392009 /nfs/dbraw/zinc/39/20/09/340392009.db2.gz UMDZCOMKEYQMGL-UHFFFAOYSA-N 0 3 322.496 4.092 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]nc2-c2ccccc2)cc1C ZINC000513100444 340393356 /nfs/dbraw/zinc/39/33/56/340393356.db2.gz KKGUTOVWOCYECM-UHFFFAOYSA-N 0 3 321.424 4.026 20 0 DIADHN CCCn1cc(CN(Cc2ccc(F)cc2)C(C)(C)C)cn1 ZINC000513106697 340393530 /nfs/dbraw/zinc/39/35/30/340393530.db2.gz QAOCIIVHQYQMSF-UHFFFAOYSA-N 0 3 303.425 4.233 20 0 DIADHN CC(C)(C)N(Cc1cnc2ccccn12)Cc1ccc(F)cc1 ZINC000513105857 340393572 /nfs/dbraw/zinc/39/35/72/340393572.db2.gz CKZIQGFWJRIHOH-UHFFFAOYSA-N 0 3 311.404 4.274 20 0 DIADHN CCc1ccc(-c2ccc(CN3CCC([C@@H](C)O)CC3)o2)cc1 ZINC000513111779 340393711 /nfs/dbraw/zinc/39/37/11/340393711.db2.gz MJRFIOYZAYZIRH-OAHLLOKOSA-N 0 3 313.441 4.102 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC[C@@H](n3cccn3)C2)s1 ZINC000513139389 340394792 /nfs/dbraw/zinc/39/47/92/340394792.db2.gz QNCOHXXMMKPYPK-CQSZACIVSA-N 0 3 303.475 4.079 20 0 DIADHN C[C@@H](NCc1ccccc1C(F)(F)F)c1ccc2n[nH]cc2c1 ZINC000513195687 340396589 /nfs/dbraw/zinc/39/65/89/340396589.db2.gz GHUGTBGRTPKPMS-LLVKDONJSA-N 0 3 319.330 4.433 20 0 DIADHN C[C@H](NCc1cccc(C(F)F)c1)c1ccc2n[nH]cc2c1 ZINC000513197454 340396674 /nfs/dbraw/zinc/39/66/74/340396674.db2.gz WHBVIXPTSHWOPF-NSHDSACASA-N 0 3 301.340 4.351 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2ccccc2Cl)CC1 ZINC000513200996 340396757 /nfs/dbraw/zinc/39/67/57/340396757.db2.gz LSRCGHAILQCQPH-UHFFFAOYSA-N 0 3 308.853 4.179 20 0 DIADHN CN(Cc1nccn1C(F)F)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000513218841 340397752 /nfs/dbraw/zinc/39/77/52/340397752.db2.gz OKUXFWZYPRWOND-CVEARBPZSA-N 0 3 319.399 4.436 20 0 DIADHN COCc1ccc(CN2CCC(Cc3ccccc3F)CC2)o1 ZINC000513473448 340409374 /nfs/dbraw/zinc/40/93/74/340409374.db2.gz YAPAJNRQFLSFFP-UHFFFAOYSA-N 0 3 317.404 4.020 20 0 DIADHN Cc1ccccc1C1CCN(Cc2cnn3ccccc23)CC1 ZINC000513502931 340411212 /nfs/dbraw/zinc/41/12/12/340411212.db2.gz IXZRAGLEHSUJHZ-UHFFFAOYSA-N 0 3 305.425 4.022 20 0 DIADHN COc1ccccc1CNCCc1cccc(Cl)c1Cl ZINC000513603883 340414690 /nfs/dbraw/zinc/41/46/90/340414690.db2.gz DHBCAROPAVCEMY-UHFFFAOYSA-N 0 3 310.224 4.334 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1cccc(C(F)(F)F)c1 ZINC000513643515 340416712 /nfs/dbraw/zinc/41/67/12/340416712.db2.gz PPAHLUUOEQPPIV-XJKSGUPXSA-N 0 3 315.379 4.390 20 0 DIADHN Cc1cc(C)c(CN[C@H](C)c2ccccc2-n2ccnn2)c(C)c1 ZINC000513656954 340417428 /nfs/dbraw/zinc/41/74/28/340417428.db2.gz ZMFYDOARVGPGNY-QGZVFWFLSA-N 0 3 320.440 4.043 20 0 DIADHN Cc1ccc(OCCN[C@@H](c2ncc[nH]2)C2CCCCC2)cc1 ZINC000513668246 340418398 /nfs/dbraw/zinc/41/83/98/340418398.db2.gz WBYJOUCXOPCRBR-GOSISDBHSA-N 0 3 313.445 4.008 20 0 DIADHN COCCNCc1ccc(-c2ccc(Cl)c(Cl)c2)s1 ZINC000404960216 340423679 /nfs/dbraw/zinc/42/36/79/340423679.db2.gz FPWWJAIYNMCFCN-UHFFFAOYSA-N 0 3 316.253 4.458 20 0 DIADHN Cc1cnc(CN[C@H](C)Cc2c(Cl)cccc2Cl)s1 ZINC000513820882 340426563 /nfs/dbraw/zinc/42/65/63/340426563.db2.gz XUXAWINQUKGFRT-SECBINFHSA-N 0 3 315.269 4.479 20 0 DIADHN C[C@H](CN[C@@H]1CCc2c1cccc2F)Oc1ccccc1F ZINC000513858612 340428984 /nfs/dbraw/zinc/42/89/84/340428984.db2.gz BWBGQZFMXRXHAJ-SJKOYZFVSA-N 0 3 303.352 4.009 20 0 DIADHN FC1(F)CCC[C@@H](NCc2cc(Br)cs2)C1 ZINC000309545141 340429897 /nfs/dbraw/zinc/42/98/97/340429897.db2.gz ZLYWDQHTGOCYTB-SECBINFHSA-N 0 3 310.207 4.178 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1cc(Br)cs1 ZINC000309724750 340432317 /nfs/dbraw/zinc/43/23/17/340432317.db2.gz CTRFXMCEYGXWRW-NKWVEPMBSA-N 0 3 316.186 4.360 20 0 DIADHN C[C@@H](CCC(F)(F)F)NCc1ccsc1Br ZINC000309786952 340433168 /nfs/dbraw/zinc/43/31/68/340433168.db2.gz SVXQLCQMPJRNCB-ZETCQYMHSA-N 0 3 316.186 4.331 20 0 DIADHN C[C@H](NCc1cccc(CN(C)C)c1)c1ccccc1Cl ZINC000177199437 340437220 /nfs/dbraw/zinc/43/72/20/340437220.db2.gz PGTTXHLSBPUFNH-AWEZNQCLSA-N 0 3 302.849 4.252 20 0 DIADHN CCCOCc1ccccc1CN[C@H](C)c1cccc(OC)c1 ZINC000177228117 340437828 /nfs/dbraw/zinc/43/78/28/340437828.db2.gz AEYQMAXQGAWHMZ-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN CCOCC(C)(C)CN[C@H](C)c1ccccc1Br ZINC000310956735 340443463 /nfs/dbraw/zinc/44/34/63/340443463.db2.gz PCHXKWDJBAVLGZ-GFCCVEGCSA-N 0 3 314.267 4.162 20 0 DIADHN Cc1nc(C)c([C@@H](C)NC[C@H](C)Oc2ccc(C)cc2)s1 ZINC000178008203 340446195 /nfs/dbraw/zinc/44/61/95/340446195.db2.gz JMMGWVVUQVNBLZ-QWHCGFSZSA-N 0 3 304.459 4.186 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]2OCC[C@@H]21)c1ccccc1Br ZINC000178062250 340446515 /nfs/dbraw/zinc/44/65/15/340446515.db2.gz PKYRXBDFICDMGH-KSZJFAHPSA-N 0 3 324.262 4.057 20 0 DIADHN CCn1nc(C)c(CN[C@H]2CC[C@H](C)c3ccsc32)c1C ZINC000516909051 340458421 /nfs/dbraw/zinc/45/84/21/340458421.db2.gz OCPSXGKOHUBOMW-ZBEGNZNMSA-N 0 3 303.475 4.310 20 0 DIADHN CN(C)Cc1cccc(NC(=O)N(C)C2CCC(C)(C)CC2)c1 ZINC000088353116 340460145 /nfs/dbraw/zinc/46/01/45/340460145.db2.gz SNLVZDLYLZCCEV-UHFFFAOYSA-N 0 3 317.477 4.181 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)c1ccccc1 ZINC000089553191 340470137 /nfs/dbraw/zinc/47/01/37/340470137.db2.gz BYACSDXQUVFQQD-ZIAGYGMSSA-N 0 3 308.425 4.328 20 0 DIADHN Cc1cccc(CCNCc2csc(-c3ccccc3)n2)c1 ZINC000517546099 340471837 /nfs/dbraw/zinc/47/18/37/340471837.db2.gz RXFDZVFOPMHEOT-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN Fc1cccc(CNCC2(Sc3ccccc3)CC2)c1F ZINC000517581752 340472889 /nfs/dbraw/zinc/47/28/89/340472889.db2.gz VYYKEVVWKRVRMZ-UHFFFAOYSA-N 0 3 305.393 4.379 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H]1C(F)F)c1ccc(SCC(F)F)cc1 ZINC000517605982 340473373 /nfs/dbraw/zinc/47/33/73/340473373.db2.gz GPTSVWNWIKZKAZ-ZHAHWJHGSA-N 0 3 307.356 4.348 20 0 DIADHN Fc1ccc(-c2nc(CCNC/C=C\c3ccccc3)co2)cc1 ZINC000520959516 340477996 /nfs/dbraw/zinc/47/79/96/340477996.db2.gz PUCMGGCNJZLLLL-DAXSKMNVSA-N 0 3 322.383 4.326 20 0 DIADHN CC(C)CC[C@](C)(O)CNCc1cscc1C(F)(F)F ZINC000521120900 340480707 /nfs/dbraw/zinc/48/07/07/340480707.db2.gz PFJCBJJWLGEMHL-ZDUSSCGKSA-N 0 3 309.397 4.044 20 0 DIADHN OCc1ccc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)cc1 ZINC000183105885 340486447 /nfs/dbraw/zinc/48/64/47/340486447.db2.gz OQCBYDNNIJTZDR-LJQANCHMSA-N 0 3 315.844 4.463 20 0 DIADHN OCc1ccc(CN[C@H](c2ccc(Cl)cc2)C2CCC2)cc1 ZINC000183105906 340486470 /nfs/dbraw/zinc/48/64/70/340486470.db2.gz OQCBYDNNIJTZDR-IBGZPJMESA-N 0 3 315.844 4.463 20 0 DIADHN COc1ccc2cc(CN[C@@H](C)c3cncc(C)c3)ccc2c1 ZINC000184264649 340491596 /nfs/dbraw/zinc/49/15/96/340491596.db2.gz LOZNIMYULNVATC-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1cncc(C)c1)c1ccsc1 ZINC000184277282 340491714 /nfs/dbraw/zinc/49/17/14/340491714.db2.gz LCCNCFGLVFESBQ-MAUKXSAKSA-N 0 3 317.502 4.185 20 0 DIADHN Cc1ccc([C@H](CN[C@H](C)c2cncc(C)c2)N2CCCC2)cc1 ZINC000184330323 340492034 /nfs/dbraw/zinc/49/20/34/340492034.db2.gz ZSYCCAJYBDZZAY-NQIIRXRSSA-N 0 3 323.484 4.186 20 0 DIADHN COc1ccc(-c2cnc(CN[C@@H]3CCCC[C@@H]3C)o2)cc1 ZINC000184585897 340494060 /nfs/dbraw/zinc/49/40/60/340494060.db2.gz BYGQXHFCBHWWAV-XJKSGUPXSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccc(CCN[C@@H](C)c2csc(C(C)C)n2)cc1 ZINC000184725629 340495406 /nfs/dbraw/zinc/49/54/06/340495406.db2.gz OKHZBKIWWXDNON-ZDUSSCGKSA-N 0 3 304.459 4.168 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000185284563 340499606 /nfs/dbraw/zinc/49/96/06/340499606.db2.gz PBEYSNFCQJUSOJ-NQYLQCIDSA-N 0 3 311.473 4.339 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@H]1NCc1cc(COC(C)(C)C)on1 ZINC000186804127 340516040 /nfs/dbraw/zinc/51/60/40/340516040.db2.gz DPXKQWBDLOBQRK-XJKSGUPXSA-N 0 3 308.466 4.294 20 0 DIADHN CCOC1CC(CCNCc2ccc(Oc3ccccc3)o2)C1 ZINC000188787454 340521908 /nfs/dbraw/zinc/52/19/08/340521908.db2.gz NKYJWBTWAUPRAX-UHFFFAOYSA-N 0 3 315.413 4.367 20 0 DIADHN CC(C)COC[C@H](N[C@@H](C)CCc1ccco1)c1ccco1 ZINC000152588247 340529702 /nfs/dbraw/zinc/52/97/02/340529702.db2.gz XCRLRDKXIOYGEQ-RDJZCZTQSA-N 0 3 305.418 4.197 20 0 DIADHN C[C@H](NCC1(O)CCCCC1)c1cc(Cl)sc1Cl ZINC000223028427 340543010 /nfs/dbraw/zinc/54/30/10/340543010.db2.gz IRPVKGDNUUZKHF-VIFPVBQESA-N 0 3 308.274 4.401 20 0 DIADHN CC(C)(CNCc1cncs1)c1ccc(C(F)(F)F)cc1 ZINC000190335759 340544288 /nfs/dbraw/zinc/54/42/88/340544288.db2.gz VXHBRLAWCWUBRN-UHFFFAOYSA-N 0 3 314.376 4.229 20 0 DIADHN C[C@H]1C[C@@H](NC[C@@H](O)c2ccsc2)c2ccsc2S1 ZINC000223915832 340549114 /nfs/dbraw/zinc/54/91/14/340549114.db2.gz UDOHUNXDHYNQLK-ZWKOPEQDSA-N 0 3 311.497 4.058 20 0 DIADHN CCc1ccc(CNCc2ccc(Br)c(F)c2)cc1 ZINC000224061238 340550709 /nfs/dbraw/zinc/55/07/09/340550709.db2.gz CCQODFWLZHFUKU-UHFFFAOYSA-N 0 3 322.221 4.440 20 0 DIADHN Cc1nn(C)c(C)c1C[C@@H](C)N[C@@H](C)c1csc(Cl)c1 ZINC000191310707 340552582 /nfs/dbraw/zinc/55/25/82/340552582.db2.gz WWAUDVVSNDBOLB-ZJUUUORDSA-N 0 3 311.882 4.034 20 0 DIADHN Cc1c([C@H](C)NC[C@@H](C)Oc2ccccc2)cnn1C(C)(C)C ZINC000191366351 340553377 /nfs/dbraw/zinc/55/33/77/340553377.db2.gz RWHJXLJPQKSSIB-CABCVRRESA-N 0 3 315.461 4.065 20 0 DIADHN C[C@@H](NCCc1ccncc1)c1cc(Cl)sc1Cl ZINC000224587396 340556988 /nfs/dbraw/zinc/55/69/88/340556988.db2.gz FBDJRMYMKHDNEJ-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN COc1ccc(CN[C@@H]2CCCc3c(O)cccc32)cc1Cl ZINC000191655641 340557171 /nfs/dbraw/zinc/55/71/71/340557171.db2.gz BNZUWLKQZUKQOW-MRXNPFEDSA-N 0 3 317.816 4.221 20 0 DIADHN C[C@H](CCc1ccc(O)cc1)NCc1ccc(F)cc1Cl ZINC000225271122 340566130 /nfs/dbraw/zinc/56/61/30/340566130.db2.gz PTVUKNNJYNIYCN-GFCCVEGCSA-N 0 3 307.796 4.296 20 0 DIADHN C[C@H](NCC1(CO)CCCC1)c1cccc(Cl)c1Cl ZINC000225414716 340567305 /nfs/dbraw/zinc/56/73/05/340567305.db2.gz FZAVWNMWGYEGNB-NSHDSACASA-N 0 3 302.245 4.197 20 0 DIADHN C[C@H](Cc1ccccc1F)NCc1cnc(-c2ccco2)s1 ZINC000193035429 340571842 /nfs/dbraw/zinc/57/18/42/340571842.db2.gz XEQFJDFBXGERSA-GFCCVEGCSA-N 0 3 316.401 4.263 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)N2CCCc3ccccc32)cc1 ZINC000195539066 340581125 /nfs/dbraw/zinc/58/11/25/340581125.db2.gz DCYYNEHUZICHPN-SJORKVTESA-N 0 3 322.452 4.014 20 0 DIADHN C[C@H](NCCC(F)(F)F)c1cc(Br)cs1 ZINC000226397043 340584844 /nfs/dbraw/zinc/58/48/44/340584844.db2.gz AHMDAYVWIRDPEK-LURJTMIESA-N 0 3 302.159 4.114 20 0 DIADHN C[C@@H]1CCC[C@H](CCNCc2nccn2Cc2ccccc2)C1 ZINC000522368364 340599987 /nfs/dbraw/zinc/59/99/87/340599987.db2.gz LEKJNIDOUVOTDS-QZTJIDSGSA-N 0 3 311.473 4.237 20 0 DIADHN C[C@@H](CNCc1ccc(Cl)cc1Cl)c1nccs1 ZINC000230693236 340620626 /nfs/dbraw/zinc/62/06/26/340620626.db2.gz RUGNFHIBHIYPFJ-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN C[C@@H](CNCc1cc(F)cc(C(F)(F)F)c1)c1nccs1 ZINC000230692547 340620662 /nfs/dbraw/zinc/62/06/62/340620662.db2.gz RHCPKZQDTARWDD-VIFPVBQESA-N 0 3 318.339 4.194 20 0 DIADHN C[C@@H](CNCc1ccc(F)c(C(F)(F)F)c1)c1nccs1 ZINC000230692806 340620677 /nfs/dbraw/zinc/62/06/77/340620677.db2.gz YHIZIDNRKWSVRG-VIFPVBQESA-N 0 3 318.339 4.194 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(SC)cc2)cc1 ZINC000393367608 340633970 /nfs/dbraw/zinc/63/39/70/340633970.db2.gz AGGCNOVNAGNPSI-CYBMUJFWSA-N 0 3 315.438 4.046 20 0 DIADHN CC(C)C[C@H](C)CC(=O)NCC(C)(C)N[C@H](C)c1ccccc1 ZINC000303372920 340635230 /nfs/dbraw/zinc/63/52/30/340635230.db2.gz KCVZGXIMBQWQHP-DLBZAZTESA-N 0 3 318.505 4.304 20 0 DIADHN Cc1ccc(CCNCc2ccc(-c3c(F)cccc3F)o2)o1 ZINC000523741005 340637029 /nfs/dbraw/zinc/63/70/29/340637029.db2.gz RDFWQXCDAZPEKR-UHFFFAOYSA-N 0 3 317.335 4.459 20 0 DIADHN CCOC[C@H](N[C@@H](C)c1ccc(Br)s1)C(C)C ZINC000233787108 340649005 /nfs/dbraw/zinc/64/90/05/340649005.db2.gz MPRCYRWZPNCGIK-QWRGUYRKSA-N 0 3 320.296 4.222 20 0 DIADHN Cc1ccccc1[C@H](C)CC(=O)Nc1ccc(CN(C)C)cc1 ZINC000524188754 340649575 /nfs/dbraw/zinc/64/95/75/340649575.db2.gz HDVJWSFUIMEGJM-MRXNPFEDSA-N 0 3 310.441 4.189 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@@H](O)C1)c1ccc(Cl)cc1Cl ZINC000234059059 340650748 /nfs/dbraw/zinc/65/07/48/340650748.db2.gz OLAXSCFDYNADMH-NVBFEUDRSA-N 0 3 302.245 4.195 20 0 DIADHN COc1cc(CCNCc2ccccc2OC(C)C)ccc1C ZINC000524636554 340659810 /nfs/dbraw/zinc/65/98/10/340659810.db2.gz GBSALEIKWKOIGW-UHFFFAOYSA-N 0 3 313.441 4.123 20 0 DIADHN CCC(CC)NCc1nc(-c2cccc(Br)c2)c[nH]1 ZINC000236659285 340663468 /nfs/dbraw/zinc/66/34/68/340663468.db2.gz PAGJPZZZFRITEZ-UHFFFAOYSA-N 0 3 322.250 4.117 20 0 DIADHN COc1cc(CNCc2cccn2CCC(C)C)ccc1C ZINC000237125373 340668115 /nfs/dbraw/zinc/66/81/15/340668115.db2.gz JHTDPUKMYZNQOU-UHFFFAOYSA-N 0 3 300.446 4.141 20 0 DIADHN COc1ccc([C@H](C)NC2CC(c3ccccc3)C2)c(OC)c1 ZINC000237314804 340669880 /nfs/dbraw/zinc/66/98/80/340669880.db2.gz VQVITUJQJUCCNI-OOHWJJMZSA-N 0 3 311.425 4.301 20 0 DIADHN C[C@@H](N[C@@H](C)Cn1cccn1)c1ccc(-c2ccccc2)cc1 ZINC000237396663 340670660 /nfs/dbraw/zinc/67/06/60/340670660.db2.gz DMNVPLVUHNUKLR-DLBZAZTESA-N 0 3 305.425 4.289 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)N[C@@H](C)c1ccc(F)cc1F ZINC000237510059 340671670 /nfs/dbraw/zinc/67/16/70/340671670.db2.gz GVRVSABHZWOBRF-OLZOCXBDSA-N 0 3 318.367 4.033 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1)N[C@H](C)c1ccccc1Cl ZINC000237513167 340671757 /nfs/dbraw/zinc/67/17/57/340671757.db2.gz SZLQOEKIWIYLGG-ZIAGYGMSSA-N 0 3 316.832 4.408 20 0 DIADHN CCC(O)(CC)CN[C@H](c1ccccc1)c1ccc(F)cc1 ZINC000315843090 340677055 /nfs/dbraw/zinc/67/70/55/340677055.db2.gz HSWFPTRURBBCLZ-GOSISDBHSA-N 0 3 301.405 4.056 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2NCCOC1CCCCC1 ZINC000316233561 340678822 /nfs/dbraw/zinc/67/88/22/340678822.db2.gz FZTSAEWSEWLDJJ-LJQANCHMSA-N 0 3 303.446 4.012 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCCOC1CCCCC1)CCC2 ZINC000316454538 340685801 /nfs/dbraw/zinc/68/58/01/340685801.db2.gz GQUYHRMQHRIZGZ-IBGZPJMESA-N 0 3 303.446 4.012 20 0 DIADHN O=C(c1cc(F)ccc1F)C1CCN(CCC2CCCC2)CC1 ZINC000525120246 340690304 /nfs/dbraw/zinc/69/03/04/340690304.db2.gz FQXSXDFRYNLWDV-UHFFFAOYSA-N 0 3 321.411 4.440 20 0 DIADHN Cc1cccc(NC(=O)NCCN2[C@@H](C)CCC[C@@H]2C)c1Cl ZINC000525312711 340699880 /nfs/dbraw/zinc/69/98/80/340699880.db2.gz LEXSVDDDSXMJCP-KBPBESRZSA-N 0 3 323.868 4.033 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H](N[C@H](C)c2nnc3n2CCCCC3)C1 ZINC000322561967 340705208 /nfs/dbraw/zinc/70/52/08/340705208.db2.gz IYZQJAIMBZXOLS-IKGGRYGDSA-N 0 3 318.509 4.260 20 0 DIADHN FC(F)C[C@H](NC[C@H]1CCC2(CCCC2)O1)c1ccccc1 ZINC000564198384 340713077 /nfs/dbraw/zinc/71/30/77/340713077.db2.gz IWDCDQLSSANQHG-CVEARBPZSA-N 0 3 309.400 4.464 20 0 DIADHN CC[C@@H](NCC1=CCOCC1)c1ccc(Cl)cc1Cl ZINC000397777604 340714124 /nfs/dbraw/zinc/71/41/24/340714124.db2.gz NGLUJURGLFRKMI-OAHLLOKOSA-N 0 3 300.229 4.381 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2ccc(F)c3ccccc23)c1 ZINC000326436207 340714654 /nfs/dbraw/zinc/71/46/54/340714654.db2.gz YKADFSSEVKDJAU-UHFFFAOYSA-N 0 3 322.383 4.293 20 0 DIADHN C[C@H](Cn1cccn1)N[C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000537917304 340723306 /nfs/dbraw/zinc/72/33/06/340723306.db2.gz MYUUVPKJPHNRPD-CABCVRRESA-N 0 3 311.454 4.351 20 0 DIADHN COc1ccc(OC)c([C@H](C)N[C@@H](C)Cc2cccs2)c1 ZINC000036992962 340729599 /nfs/dbraw/zinc/72/95/99/340729599.db2.gz QYHIMJVVZOHQMI-STQMWFEESA-N 0 3 305.443 4.047 20 0 DIADHN CNCc1ccccc1NC(=O)N(C)C[C@H](C)C1CCCCC1 ZINC000528689759 340729684 /nfs/dbraw/zinc/72/96/84/340729684.db2.gz GJNDVXVPBRJPMP-HNNXBMFYSA-N 0 3 317.477 4.086 20 0 DIADHN C[C@H](NCc1sccc1Br)C1CC(F)(F)C1 ZINC000529539689 340761260 /nfs/dbraw/zinc/76/12/60/340761260.db2.gz WTGLLCRUPBMIGW-ZETCQYMHSA-N 0 3 310.207 4.034 20 0 DIADHN CC(C)(CNCc1ccc(F)cn1)c1c(F)cccc1Cl ZINC000529543485 340761764 /nfs/dbraw/zinc/76/17/64/340761764.db2.gz LCTDFMYAYLSEEQ-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN CC(C)(CNCc1ccc(F)cn1)c1ccc(F)cc1Cl ZINC000529552341 340762720 /nfs/dbraw/zinc/76/27/20/340762720.db2.gz ZFSBYKVIXNHWBD-UHFFFAOYSA-N 0 3 310.775 4.081 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2cc[nH]c2c1)c1ccccc1 ZINC000529557249 340763134 /nfs/dbraw/zinc/76/31/34/340763134.db2.gz VNGPYIBCIHBJTF-IBGZPJMESA-N 0 3 308.425 4.017 20 0 DIADHN O=C(Nc1ccc2occc2c1)c1ccc(CN2CCCC2)cc1 ZINC000530003905 340775737 /nfs/dbraw/zinc/77/57/37/340775737.db2.gz LITQKFYDCDKNEP-UHFFFAOYSA-N 0 3 320.392 4.281 20 0 DIADHN CC(C)[C@@H](CSc1ccccc1)NCc1ncccc1F ZINC000530423738 340791266 /nfs/dbraw/zinc/79/12/66/340791266.db2.gz IVUCMOSXGZJQAK-QGZVFWFLSA-N 0 3 304.434 4.127 20 0 DIADHN CC[C@H](N[C@@H](C)c1oc2ccc(OC)cc2c1C)[C@@H]1CCCO1 ZINC000537939165 340791918 /nfs/dbraw/zinc/79/19/18/340791918.db2.gz GMERPKIQPAQPPP-OWQGQXMQSA-N 0 3 317.429 4.358 20 0 DIADHN C[C@H](N[C@H](CO)c1ccccc1)c1cc2c(s1)CCCC2 ZINC000123589444 340792273 /nfs/dbraw/zinc/79/22/73/340792273.db2.gz XDCQHIWKSQMPFA-XJKSGUPXSA-N 0 3 301.455 4.011 20 0 DIADHN CC(C)=CCC[C@H](C)N[C@H](CC(C)C)C(=O)Nc1nccs1 ZINC000537952923 340793075 /nfs/dbraw/zinc/79/30/75/340793075.db2.gz YJJHVESKIHCXBS-LSDHHAIUSA-N 0 3 323.506 4.221 20 0 DIADHN CO[C@H](C)[C@H](C)NC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000537967182 340794125 /nfs/dbraw/zinc/79/41/25/340794125.db2.gz XLEWOAPDMHTWCF-QWHCGFSZSA-N 0 3 305.368 4.067 20 0 DIADHN C[C@@H](NCC1Cc2ccccc2C1)c1nc2c(s1)CCCC2 ZINC000537970498 340794391 /nfs/dbraw/zinc/79/43/91/340794391.db2.gz XNBHKBSMGISMEB-CYBMUJFWSA-N 0 3 312.482 4.088 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2CN[C@H](C)c1ccc(F)cn1 ZINC000124170693 340797618 /nfs/dbraw/zinc/79/76/18/340797618.db2.gz OKZIOAYKVUJRJL-UKRRQHHQSA-N 0 3 314.404 4.000 20 0 DIADHN Cc1cc(CN[C@H](C)C(c2ccccc2)c2ccccc2)no1 ZINC000124870248 340801194 /nfs/dbraw/zinc/80/11/94/340801194.db2.gz RJSIUCOKBXFMAW-MRXNPFEDSA-N 0 3 306.409 4.293 20 0 DIADHN Cc1nc(C)c([C@@H](C)NCCCOc2c(C)cccc2C)s1 ZINC000537990604 340806056 /nfs/dbraw/zinc/80/60/56/340806056.db2.gz IQBCOQZWPQCHLN-CQSZACIVSA-N 0 3 318.486 4.496 20 0 DIADHN FC(F)(F)c1cccc([C@@H](NCCOCC2CC2)C2CC2)c1 ZINC000538099317 340809269 /nfs/dbraw/zinc/80/92/69/340809269.db2.gz VKDPAQOFRSAVHL-INIZCTEOSA-N 0 3 313.363 4.173 20 0 DIADHN CSc1cc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)ccn1 ZINC000538114392 340809821 /nfs/dbraw/zinc/80/98/21/340809821.db2.gz ICLPJTLCGKTACG-GOSISDBHSA-N 0 3 316.445 4.492 20 0 DIADHN COc1ccccc1[C@H](NCCC(F)(F)F)C1CCCC1 ZINC000538116630 340810146 /nfs/dbraw/zinc/81/01/46/340810146.db2.gz NTJZMMAYAGVEIB-OAHLLOKOSA-N 0 3 301.352 4.469 20 0 DIADHN C[C@H](NCCn1cccn1)c1ccccc1Oc1ccccc1 ZINC000538152522 340811540 /nfs/dbraw/zinc/81/15/40/340811540.db2.gz CVADAEPDAWXWRC-INIZCTEOSA-N 0 3 307.397 4.026 20 0 DIADHN C[C@H](NCC1(c2ccccc2)CCCCCC1)c1nccn1C ZINC000538216413 340813786 /nfs/dbraw/zinc/81/37/86/340813786.db2.gz YTZFWANPACHNJK-KRWDZBQOSA-N 0 3 311.473 4.363 20 0 DIADHN Cc1ccc([C@H](N[C@@H]2C[C@H](C)N(C3CC3)C2)C2CCCC2)o1 ZINC000538299681 340816905 /nfs/dbraw/zinc/81/69/05/340816905.db2.gz MRSQQMLGVBEPJO-URKNILKWSA-N 0 3 302.462 4.034 20 0 DIADHN COc1ccc(CN[C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)cn1 ZINC000538324260 340818286 /nfs/dbraw/zinc/81/82/86/340818286.db2.gz FTSBBTWQDOVYPQ-XHBKTUGNSA-N 0 3 316.832 4.231 20 0 DIADHN CC[C@@H](NCC(=O)Nc1c(C)cc(C)cc1Cl)C(C)(C)C ZINC000538392683 340820216 /nfs/dbraw/zinc/82/02/16/340820216.db2.gz IPVRRWXWMWPZEN-CQSZACIVSA-N 0 3 310.869 4.310 20 0 DIADHN CC(C)(C)[C@H](CC1CCCCC1)NCc1cn2cccnc2n1 ZINC000538392204 340820282 /nfs/dbraw/zinc/82/02/82/340820282.db2.gz ADFMYTVRFGVMIZ-KRWDZBQOSA-N 0 3 314.477 4.204 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccnc(SC)c2)C12CCCCC2 ZINC000538396445 340820869 /nfs/dbraw/zinc/82/08/69/340820869.db2.gz UBIMELRIQGJOJX-HOTGVXAUSA-N 0 3 320.502 4.021 20 0 DIADHN CCOc1cc(CNC2(c3ccccc3)CCC2)ccc1OC ZINC000065275709 340822851 /nfs/dbraw/zinc/82/28/51/340822851.db2.gz MIRFFJXRIBZFSR-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN CC(C)[C@H](NCCC(=O)NC(C)(C)C)c1cc2ccccc2o1 ZINC000538458477 340824648 /nfs/dbraw/zinc/82/46/48/340824648.db2.gz GZNVZSNIIHWETM-SFHVURJKSA-N 0 3 316.445 4.024 20 0 DIADHN CCC(=O)N1CC[C@@H](N[C@@H](C)c2cccc(-c3ccccc3)c2)C1 ZINC000126360098 340826392 /nfs/dbraw/zinc/82/63/92/340826392.db2.gz LPOMWXSNKJMYJW-OXJNMPFZSA-N 0 3 322.452 4.015 20 0 DIADHN CCC(=O)N1CC[C@H](N[C@H](C)c2cccc(-c3ccccc3)c2)C1 ZINC000126360370 340826394 /nfs/dbraw/zinc/82/63/94/340826394.db2.gz LPOMWXSNKJMYJW-UZLBHIALSA-N 0 3 322.452 4.015 20 0 DIADHN C[C@@H](NC1(Cc2ccccc2)CCC1)c1nc2ccccc2n1C ZINC000538554475 340833070 /nfs/dbraw/zinc/83/30/70/340833070.db2.gz UXFRRDKZPIPBSP-MRXNPFEDSA-N 0 3 319.452 4.389 20 0 DIADHN Cc1cccc(N[C@H](C)c2ccc(OCCN(C)C)cc2)c1F ZINC000538578758 340833854 /nfs/dbraw/zinc/83/38/54/340833854.db2.gz KPXRPAVHLVPISD-OAHLLOKOSA-N 0 3 316.420 4.248 20 0 DIADHN COCCNc1ccc(CN[C@H](C)c2cc(C)ccc2C)cc1 ZINC000127051973 340842406 /nfs/dbraw/zinc/84/24/06/340842406.db2.gz QSRCPGPGFIIYRN-QGZVFWFLSA-N 0 3 312.457 4.213 20 0 DIADHN FC(F)C1CCN(Cc2cccc(OC(F)(F)F)c2)CC1 ZINC000538737978 340845362 /nfs/dbraw/zinc/84/53/62/340845362.db2.gz PALNDCJBEOOFGT-UHFFFAOYSA-N 0 3 309.278 4.062 20 0 DIADHN C[C@@H](NCCSc1ccccc1)c1ccccc1N(C)C ZINC000538756510 340848418 /nfs/dbraw/zinc/84/84/18/340848418.db2.gz HYPMAVVPCQDJOW-OAHLLOKOSA-N 0 3 300.471 4.196 20 0 DIADHN C[C@@H](NC[C@H]1CCCS1)c1ccc(Br)cc1F ZINC000127316366 340849157 /nfs/dbraw/zinc/84/91/57/340849157.db2.gz BLDYKPQSSOCARF-MWLCHTKSSA-N 0 3 318.255 4.134 20 0 DIADHN CCSCC[C@@H](C)NCc1csc(Br)c1 ZINC000127496414 340851973 /nfs/dbraw/zinc/85/19/73/340851973.db2.gz FNCHPQKYAVIAQS-SECBINFHSA-N 0 3 308.310 4.132 20 0 DIADHN C[C@@]1(CNCc2nc(-c3ccccc3)cs2)CCCS1 ZINC000127882913 340857523 /nfs/dbraw/zinc/85/75/23/340857523.db2.gz LUWPMOPYSCNVOW-INIZCTEOSA-N 0 3 304.484 4.185 20 0 DIADHN Cc1ccsc1CNCc1ccc(C)c(Br)c1 ZINC000127889652 340857588 /nfs/dbraw/zinc/85/75/88/340857588.db2.gz VDFGZKWDKZUNEM-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN C[C@@]1(CNCc2csc(-c3ccc(F)cc3)n2)CCCS1 ZINC000127902325 340857712 /nfs/dbraw/zinc/85/77/12/340857712.db2.gz IQQASHZUKKTXNK-INIZCTEOSA-N 0 3 322.474 4.325 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H]2CCc3cc(Cl)ccc32)cc1 ZINC000067501634 340858415 /nfs/dbraw/zinc/85/84/15/340858415.db2.gz NUIUGXINSYNFLF-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN C/C=C/COc1ccc(CC[C@H](C)NCc2nccs2)cc1 ZINC000128166778 340861521 /nfs/dbraw/zinc/86/15/21/340861521.db2.gz ZINJJVBTYVMKLC-BWPKMQGJSA-N 0 3 316.470 4.209 20 0 DIADHN CCCOc1ccc([C@H](C)N[C@H]2CN(C)Cc3ccccc32)cc1 ZINC000539046780 340867243 /nfs/dbraw/zinc/86/72/43/340867243.db2.gz MGKQDLZYYBBDBL-KKSFZXQISA-N 0 3 324.468 4.313 20 0 DIADHN Cn1cc(-c2ccccc2CN[C@@H]2CCc3c2cccc3F)cn1 ZINC000539047840 340867257 /nfs/dbraw/zinc/86/72/57/340867257.db2.gz XNWCTTFGINEONV-HXUWFJFHSA-N 0 3 321.399 4.003 20 0 DIADHN C[C@H](N[C@H]1CN(C)Cc2ccccc21)c1cccc(Cl)c1 ZINC000539050473 340867716 /nfs/dbraw/zinc/86/77/16/340867716.db2.gz GDSSAMXREAMEJG-UGSOOPFHSA-N 0 3 300.833 4.177 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)N[C@@H](C)c2cccs2)cc1 ZINC000539053329 340868163 /nfs/dbraw/zinc/86/81/63/340868163.db2.gz SJNWVROIZCVZNP-OGHNNQOOSA-N 0 3 305.443 4.184 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@@H]2NCc1cccc2c1OCCCO2 ZINC000539062673 340869458 /nfs/dbraw/zinc/86/94/58/340869458.db2.gz ZCSWBNMOLJEWEL-QAPCUYQASA-N 0 3 323.436 4.494 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCc3ccc(Cl)cc32)c1 ZINC000539065981 340870006 /nfs/dbraw/zinc/87/00/06/340870006.db2.gz LGCGUVTXJUIOEG-KRWDZBQOSA-N 0 3 305.780 4.265 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1cc2c(s1)CCCC2 ZINC000539068492 340870193 /nfs/dbraw/zinc/87/01/93/340870193.db2.gz SPGXEOFAKUAKLE-QGZVFWFLSA-N 0 3 313.466 4.413 20 0 DIADHN C[C@H](NCCc1cccc(F)c1F)c1cc(F)c(F)c(F)c1 ZINC000539077371 340870965 /nfs/dbraw/zinc/87/09/65/340870965.db2.gz LJSSFDQFCNUHEF-VIFPVBQESA-N 0 3 315.285 4.275 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCCC(=O)NC(C)(C)C)cc1 ZINC000539076668 340871015 /nfs/dbraw/zinc/87/10/15/340871015.db2.gz AZLJKNALBHHUEY-CQSZACIVSA-N 0 3 322.518 4.143 20 0 DIADHN CCc1cccc2c1OCC[C@@H]2N[C@H]1CCc2c1cccc2OC ZINC000539078354 340871190 /nfs/dbraw/zinc/87/11/90/340871190.db2.gz ONGRTTPORYHCJZ-OALUTQOASA-N 0 3 323.436 4.358 20 0 DIADHN C[C@@H](NCCCOc1cccc2cccnc21)c1ccsc1 ZINC000539080211 340871279 /nfs/dbraw/zinc/87/12/79/340871279.db2.gz RLHGIBGJSLNUIU-CQSZACIVSA-N 0 3 312.438 4.416 20 0 DIADHN C[C@@H](NCCOc1cccc(C(C)(C)C)c1)c1ccc(F)cn1 ZINC000539083323 340871550 /nfs/dbraw/zinc/87/15/50/340871550.db2.gz LTBGAMZFTJZGFG-CQSZACIVSA-N 0 3 316.420 4.248 20 0 DIADHN COc1cccc(OCCCN[C@H](C)c2ccc(F)c(F)c2)c1 ZINC000539088234 340872155 /nfs/dbraw/zinc/87/21/55/340872155.db2.gz PEJIDIVPNDFAPA-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN COc1ccc2oc([C@H](C)NCCC(F)(F)F)c(C)c2c1 ZINC000539090125 340872450 /nfs/dbraw/zinc/87/24/50/340872450.db2.gz ZWPYCODKQUJXIM-JTQLQIEISA-N 0 3 301.308 4.353 20 0 DIADHN C[C@@H]1CC[C@@H](N[C@H](C(=O)Nc2ccccc2)c2ccccc2)C1 ZINC000539091404 340872628 /nfs/dbraw/zinc/87/26/28/340872628.db2.gz XVPGDQWCIGRTSY-LZQZEXGQSA-N 0 3 308.425 4.145 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCc2c1cccc2Cl ZINC000539123962 340874507 /nfs/dbraw/zinc/87/45/07/340874507.db2.gz IIBRUXYWHLPNKA-LSDHHAIUSA-N 0 3 307.846 4.020 20 0 DIADHN Cc1ccsc1[C@H](CO)N[C@H]1CCc2c1cccc2Cl ZINC000539123960 340874552 /nfs/dbraw/zinc/87/45/52/340874552.db2.gz IIBRUXYWHLPNKA-GJZGRUSLSA-N 0 3 307.846 4.020 20 0 DIADHN Cc1cccc(CN[C@@H](c2ccc3[nH]c(=O)oc3c2)C(C)C)c1 ZINC000539163617 340875195 /nfs/dbraw/zinc/87/51/95/340875195.db2.gz SMEXVPXAMPHBHZ-GOSISDBHSA-N 0 3 310.397 4.329 20 0 DIADHN C[C@@H](NC[C@H]1CCCc2ccccc21)c1nc2ccccc2n1C ZINC000539163080 340875217 /nfs/dbraw/zinc/87/52/17/340875217.db2.gz BSXIMCHUKQGEPM-NVXWUHKLSA-N 0 3 319.452 4.344 20 0 DIADHN COC(=O)c1ccc(CN[C@H](CC(C)C)c2ccccc2)s1 ZINC000539242989 340878088 /nfs/dbraw/zinc/87/80/88/340878088.db2.gz INKZTRHHALECNM-MRXNPFEDSA-N 0 3 317.454 4.412 20 0 DIADHN Cc1nc(CCN[C@@H](c2ccc(F)cc2F)C(C)C)cs1 ZINC000539325440 340881186 /nfs/dbraw/zinc/88/11/86/340881186.db2.gz LWHCQHZDUORWMW-MRXNPFEDSA-N 0 3 310.413 4.259 20 0 DIADHN CC[C@@H](NCc1csc(CCC(C)C)n1)C1CCOCC1 ZINC000539425416 340885068 /nfs/dbraw/zinc/88/50/68/340885068.db2.gz URPMKIJBZFNHAF-MRXNPFEDSA-N 0 3 310.507 4.027 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc(C(C)(C)C)cs2)C12CCCC2 ZINC000539494189 340887099 /nfs/dbraw/zinc/88/70/99/340887099.db2.gz AVWMFUOUJARUFI-DZGCQCFKSA-N 0 3 322.518 4.268 20 0 DIADHN COc1cccc2cc([C@H](C)NC[C@@]3(C)CCCC[C@@H]3O)oc21 ZINC000539495115 340887204 /nfs/dbraw/zinc/88/72/04/340887204.db2.gz DZKADBHLPIUYOW-SOVGHPHASA-N 0 3 317.429 4.033 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CCCO[C@H]2C(C)(C)C)o1 ZINC000539502431 340888088 /nfs/dbraw/zinc/88/80/88/340888088.db2.gz OBDLPPJPRQENOX-AHEZYBDSSA-N 0 3 305.462 4.334 20 0 DIADHN Cc1cccc(N[C@@H](C)c2cccc(OCCN(C)C)c2)c1F ZINC000539682821 340895928 /nfs/dbraw/zinc/89/59/28/340895928.db2.gz ZBCHQHYJMARVQJ-HNNXBMFYSA-N 0 3 316.420 4.248 20 0 DIADHN CC[C@H](N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)c1cc(F)ccc1F ZINC000539688869 340896067 /nfs/dbraw/zinc/89/60/67/340896067.db2.gz WBCUOPRFPVUIFX-RUGDWHBFSA-N 0 3 321.411 4.353 20 0 DIADHN Cc1cc(C)cc(C(=O)Nc2cc(CN3CCCC3)ccc2C)c1 ZINC000128634992 340900716 /nfs/dbraw/zinc/90/07/16/340900716.db2.gz HWDOLYRSZLBKNZ-UHFFFAOYSA-N 0 3 322.452 4.460 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@@H](C)c2ccc(F)cn2)cc1 ZINC000539969569 340911409 /nfs/dbraw/zinc/91/14/09/340911409.db2.gz XEKOZANASDEXKK-JVPBZIDWSA-N 0 3 314.404 4.100 20 0 DIADHN COc1ccc([C@H](OC)[C@@H](C)NCc2ccccc2Cl)cc1 ZINC000540065961 340914055 /nfs/dbraw/zinc/91/40/55/340914055.db2.gz ARUKEVXTNIEWOJ-FZKQIMNGSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)c1ncc(CN[C@@H](c2ccccc2)[C@@H]2CCOC2)s1 ZINC000540069269 340914246 /nfs/dbraw/zinc/91/42/46/340914246.db2.gz RTZSODYFHTVLRD-WBVHZDCISA-N 0 3 316.470 4.134 20 0 DIADHN Cc1cccc(O[C@@H]2CCCC[C@@H]2N[C@@H](C)c2ccccn2)c1 ZINC000540147470 340917181 /nfs/dbraw/zinc/91/71/81/340917181.db2.gz TVCZYRAVBJKENP-FFZOFVMBSA-N 0 3 310.441 4.431 20 0 DIADHN CC[C@H](CSc1ccc(Cl)cc1)N[C@@H](C)c1cn[nH]c1 ZINC000540155074 340918359 /nfs/dbraw/zinc/91/83/59/340918359.db2.gz HHTMBTJEQYSDTO-SMDDNHRTSA-N 0 3 309.866 4.285 20 0 DIADHN COc1ccccc1[C@H](C)NC[C@H](OC)c1cccc(Cl)c1 ZINC000540186688 340921947 /nfs/dbraw/zinc/92/19/47/340921947.db2.gz IKPMHUYGHCPHPO-UGSOOPFHSA-N 0 3 319.832 4.387 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CCc3c2cccc3Cl)c1 ZINC000540191960 340922515 /nfs/dbraw/zinc/92/25/15/340922515.db2.gz VHUAVLQKPMFLES-SFHVURJKSA-N 0 3 314.816 4.076 20 0 DIADHN COc1ccc([C@@H](C)NCCSc2ccccc2F)cc1F ZINC000540341685 340927782 /nfs/dbraw/zinc/92/77/82/340927782.db2.gz YEEIBOUQPRBWJG-GFCCVEGCSA-N 0 3 323.408 4.416 20 0 DIADHN COc1ccc([C@H](C)NCCSc2ccccc2F)cc1F ZINC000540341686 340927788 /nfs/dbraw/zinc/92/77/88/340927788.db2.gz YEEIBOUQPRBWJG-LBPRGKRZSA-N 0 3 323.408 4.416 20 0 DIADHN CN(CCN[C@@H](CC(F)(F)F)c1ccccc1)c1ccccc1 ZINC000540379440 340928861 /nfs/dbraw/zinc/92/88/61/340928861.db2.gz JHNDDEUQNYCLRB-KRWDZBQOSA-N 0 3 322.374 4.406 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)[C@H]2CCOC2)c(C)c1 ZINC000540386191 340928909 /nfs/dbraw/zinc/92/89/09/340928909.db2.gz PCGTUFUGGNSABX-FPOVZHCZSA-N 0 3 309.453 4.479 20 0 DIADHN CCC(CC)[C@@H](NCc1ccnc(OC)c1)c1cccs1 ZINC000540378967 340928935 /nfs/dbraw/zinc/92/89/35/340928935.db2.gz FLUFKKVCFYDLIE-QGZVFWFLSA-N 0 3 304.459 4.419 20 0 DIADHN CCOC(=O)CCCCN[C@H](C)c1cccc(Cl)c1Cl ZINC000540415305 340930316 /nfs/dbraw/zinc/93/03/16/340930316.db2.gz ZZRAPMFPRNAKBY-LLVKDONJSA-N 0 3 318.244 4.377 20 0 DIADHN CC[C@H](NCC1(c2cccs2)CCCCC1)c1nccn1C ZINC000540415973 340930375 /nfs/dbraw/zinc/93/03/75/340930375.db2.gz SLJWUCKBNRWYBW-HNNXBMFYSA-N 0 3 317.502 4.424 20 0 DIADHN Cc1cccc(C(C)(C)NCC(=O)Nc2ccc(C)cc2C)c1 ZINC000540415954 340930391 /nfs/dbraw/zinc/93/03/91/340930391.db2.gz REFNUNIFUFBPBR-UHFFFAOYSA-N 0 3 310.441 4.075 20 0 DIADHN Cc1ccccc1C1(CN[C@H](C)c2nccn2C)CCCCC1 ZINC000540418805 340930554 /nfs/dbraw/zinc/93/05/54/340930554.db2.gz NTWDOLVDOBGHRQ-QGZVFWFLSA-N 0 3 311.473 4.281 20 0 DIADHN Fc1cc(CNCc2cccc3cccnc32)ccc1Cl ZINC000068840090 340935399 /nfs/dbraw/zinc/93/53/99/340935399.db2.gz DEVINGDZWZWTFQ-UHFFFAOYSA-N 0 3 300.764 4.317 20 0 DIADHN CCOc1cc(Cl)ccc1CN[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC000540609177 340938835 /nfs/dbraw/zinc/93/88/35/340938835.db2.gz GCAUAYAZQRHOOG-UAGQMJEPSA-N 0 3 323.864 4.032 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cc(F)cc(Br)c1 ZINC000129178026 340950273 /nfs/dbraw/zinc/95/02/73/340950273.db2.gz NVXHBMGGRYBEQI-ZETCQYMHSA-N 0 3 314.120 4.019 20 0 DIADHN COc1cccc(OCCCN[C@H](C)c2cccc(F)c2F)c1 ZINC000540657876 340940629 /nfs/dbraw/zinc/94/06/29/340940629.db2.gz WVKZNVWLZVRADW-CYBMUJFWSA-N 0 3 321.367 4.093 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2CCC(C)(C)c3cccc1c32)c1cnn(C)c1 ZINC000540660730 340940740 /nfs/dbraw/zinc/94/07/40/340940740.db2.gz VVKCKKAVNYHOAG-SUNYJGFJSA-N 0 3 309.457 4.371 20 0 DIADHN Cc1ccc2[nH]c3c(c2c1)C[C@H](N[C@H](C)c1cscn1)CC3 ZINC000540917179 340951456 /nfs/dbraw/zinc/95/14/56/340951456.db2.gz VRUVZIVMUDYNCZ-CHWSQXEVSA-N 0 3 311.454 4.141 20 0 DIADHN C[C@H](C[C@H](C)O)N[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000541227902 340962843 /nfs/dbraw/zinc/96/28/43/340962843.db2.gz DDYDVFAZNLESKK-FHSNZYRGSA-N 0 3 321.823 4.318 20 0 DIADHN C[C@]1(NCc2c(Cl)oc3ccccc32)CCO[C@@H]1C1CC1 ZINC000541382487 340969046 /nfs/dbraw/zinc/96/90/46/340969046.db2.gz DKGLJUKRRMLOTC-WBVHZDCISA-N 0 3 305.805 4.133 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1ccc(SC)c(Cl)c1 ZINC000541560946 340974729 /nfs/dbraw/zinc/97/47/29/340974729.db2.gz XOMUWONAQIUPCC-JTQLQIEISA-N 0 3 314.882 4.167 20 0 DIADHN C[C@@H](CNC1(c2nccs2)CCCC1)Cc1ccccc1 ZINC000541588753 340976277 /nfs/dbraw/zinc/97/62/77/340976277.db2.gz JZAGHAOSAAWGBP-OAHLLOKOSA-N 0 3 300.471 4.381 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccco2)n1)Cc1ccccc1 ZINC000541587653 340976279 /nfs/dbraw/zinc/97/62/79/340976279.db2.gz QAEXWMCXSHFMNM-CQSZACIVSA-N 0 3 312.438 4.372 20 0 DIADHN Clc1cnc(CN[C@@H](Cc2ccccc2)C2CC2)c(Cl)c1 ZINC000541618492 340977835 /nfs/dbraw/zinc/97/78/35/340977835.db2.gz LTTMMOTXOGNTJU-INIZCTEOSA-N 0 3 321.251 4.499 20 0 DIADHN Cn1cc([C@@H](NC/C=C\c2ccc(F)cc2F)C(C)(C)C)cn1 ZINC000541776562 340983826 /nfs/dbraw/zinc/98/38/26/340983826.db2.gz ZKZWIWGKRAUKTE-KEGWNNHHSA-N 0 3 319.399 4.089 20 0 DIADHN Cc1cc(CN[C@@H]2CCC[C@@H]2c2ccc(C(F)(F)F)cc2)no1 ZINC000541844557 340985400 /nfs/dbraw/zinc/98/54/00/340985400.db2.gz MTNMPGJRHZYNCR-HZPDHXFCSA-N 0 3 324.346 4.428 20 0 DIADHN c1sc(CNCCOC2CCCC2)cc1-c1ccccc1 ZINC000070167921 340989270 /nfs/dbraw/zinc/98/92/70/340989270.db2.gz YAXCEEFNTXAVBN-UHFFFAOYSA-N 0 3 301.455 4.464 20 0 DIADHN O=C(c1ccccc1)C1CCN(CCCc2ccsc2)CC1 ZINC000542090688 340995136 /nfs/dbraw/zinc/99/51/36/340995136.db2.gz MPUVATZPLRLTBI-UHFFFAOYSA-N 0 3 313.466 4.276 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC(CCCn2ccnc2)CC1 ZINC000542133073 340996577 /nfs/dbraw/zinc/99/65/77/340996577.db2.gz NYWFYTKKFUPJQF-INIZCTEOSA-N 0 3 315.436 4.276 20 0 DIADHN CC(C)COC1CCN([C@@H]2CCc3ccc(Cl)cc32)CC1 ZINC000542203814 340999766 /nfs/dbraw/zinc/99/97/66/340999766.db2.gz WRFZPVUYUSQERT-GOSISDBHSA-N 0 3 307.865 4.464 20 0 DIADHN C[C@H](NCc1cccc(-n2cccn2)c1)c1cc2ccccc2o1 ZINC000130139852 341001864 /nfs/dbraw/zinc/00/18/64/341001864.db2.gz MDVJQWZPKRDQHV-HNNXBMFYSA-N 0 3 317.392 4.469 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N(C)C[C@H]3CC=CCC3)o2)cc1 ZINC000542251723 341002064 /nfs/dbraw/zinc/00/20/64/341002064.db2.gz MODCNHCUQTZTHR-CVEARBPZSA-N 0 3 311.429 4.394 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NCCCC(=O)OC(C)(C)C ZINC000542258182 341002366 /nfs/dbraw/zinc/00/23/66/341002366.db2.gz KSZWZDJIBDQXSE-OAHLLOKOSA-N 0 3 321.461 4.246 20 0 DIADHN Clc1ccccc1CCCN(Cc1cccnc1)C1CC1 ZINC000542266485 341002681 /nfs/dbraw/zinc/00/26/81/341002681.db2.gz CLLRLVYVBZVXEL-UHFFFAOYSA-N 0 3 300.833 4.332 20 0 DIADHN C[C@]1(NCc2cccc(OC3CCCC3)c2)CCO[C@@H]1C1CC1 ZINC000542314947 341004181 /nfs/dbraw/zinc/00/41/81/341004181.db2.gz PZSOEIZGMCCDSD-UXHICEINSA-N 0 3 315.457 4.055 20 0 DIADHN COc1ccccc1-c1ccc(CN[C@]2(C)CCO[C@@H]2C)cc1 ZINC000542313955 341004307 /nfs/dbraw/zinc/00/43/07/341004307.db2.gz BVGNRXMCZSHORQ-FOIQADDNSA-N 0 3 311.425 4.019 20 0 DIADHN O[C@H](CCc1ccccc1)CNCc1cccc(Cl)c1Cl ZINC000542313382 341004317 /nfs/dbraw/zinc/00/43/17/341004317.db2.gz SCHLLJMWJPJDBJ-OAHLLOKOSA-N 0 3 324.251 4.077 20 0 DIADHN C[C@H](N[C@H]1CCc2c1ccc(Cl)c2Cl)[C@@H]1CCCO1 ZINC000542494538 341010279 /nfs/dbraw/zinc/01/02/79/341010279.db2.gz OYUMMLZAVCXSAI-HERUPUMHSA-N 0 3 300.229 4.138 20 0 DIADHN Fc1ccccc1OC[C@@H](N[C@H]1CCSC1)c1ccccc1 ZINC000542470211 341008700 /nfs/dbraw/zinc/00/87/00/341008700.db2.gz MYBMONMJFISLAT-DOTOQJQBSA-N 0 3 317.429 4.041 20 0 DIADHN C[C@H](NCc1ccc(OC2CCCCC2)cc1)[C@H]1CCCO1 ZINC000542472066 341008845 /nfs/dbraw/zinc/00/88/45/341008845.db2.gz YLLMJAMAMSTINT-HNAYVOBHSA-N 0 3 303.446 4.055 20 0 DIADHN CCC(CC)CN[C@H](c1cccnc1)c1ccc(F)cc1F ZINC000542475568 341008949 /nfs/dbraw/zinc/00/89/49/341008949.db2.gz ZTJPZKMQQPNKKD-GOSISDBHSA-N 0 3 304.384 4.475 20 0 DIADHN C[C@H](CN[C@@H](c1ccco1)c1ccccc1)N1CCC(C)CC1 ZINC000542478158 341009113 /nfs/dbraw/zinc/00/91/13/341009113.db2.gz JEXKVRUKAYWJLX-YLJYHZDGSA-N 0 3 312.457 4.079 20 0 DIADHN Fc1ccc([C@@H](NCC23CCC(CC2)C3)c2ccccn2)cc1 ZINC000542493156 341010178 /nfs/dbraw/zinc/01/01/78/341010178.db2.gz GIEJHAYGWPINJS-KHPNHKCMSA-N 0 3 310.416 4.480 20 0 DIADHN Cc1ccc(CCN[C@@H](c2ccccc2)c2ccc(F)cn2)cc1 ZINC000542493483 341010275 /nfs/dbraw/zinc/01/02/75/341010275.db2.gz BFNUGYLNQBZLQI-NRFANRHFSA-N 0 3 320.411 4.451 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccccc2)c2ccc(F)cn2)cc1 ZINC000542493485 341010319 /nfs/dbraw/zinc/01/03/19/341010319.db2.gz BFNUGYLNQBZLQI-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN COc1ccc([C@@H](C)NC[C@@H](SC)C(C)(C)C)c(OC)c1 ZINC000542498214 341010543 /nfs/dbraw/zinc/01/05/43/341010543.db2.gz HGMASAOUGIVVQO-MLGOLLRUSA-N 0 3 311.491 4.132 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NCCCOCc1ccccc1 ZINC000542509432 341011152 /nfs/dbraw/zinc/01/11/52/341011152.db2.gz AVOCGVDCZNOLEN-OAHLLOKOSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCCOCc1ccccc1 ZINC000542509429 341011166 /nfs/dbraw/zinc/01/11/66/341011166.db2.gz AVOCGVDCZNOLEN-HNNXBMFYSA-N 0 3 317.404 4.092 20 0 DIADHN CCc1ccc(CCN[C@@H](c2ncc(C)s2)C2CC2)cc1 ZINC000542531433 341012483 /nfs/dbraw/zinc/01/24/83/341012483.db2.gz MRUWYRLUZFDCRM-QGZVFWFLSA-N 0 3 300.471 4.297 20 0 DIADHN CC(C)[C@H](Cc1ccc(F)cc1)NCc1ccncc1Cl ZINC000542543947 341012778 /nfs/dbraw/zinc/01/27/78/341012778.db2.gz FWIWOAJDKRXDDF-KRWDZBQOSA-N 0 3 306.812 4.231 20 0 DIADHN CC(C)C[C@H](N[C@H](C)C(=O)NC1CCCCC1)c1cccs1 ZINC000542557491 341013388 /nfs/dbraw/zinc/01/33/88/341013388.db2.gz QDMZKLFRYXIIQK-ZBFHGGJFSA-N 0 3 322.518 4.262 20 0 DIADHN CCOc1ccccc1OCCNC(C)(C)c1cccs1 ZINC000542574964 341014326 /nfs/dbraw/zinc/01/43/26/341014326.db2.gz SJFMMEKFTRRBTK-UHFFFAOYSA-N 0 3 305.443 4.051 20 0 DIADHN CCc1nc([C@@H](C)NC[C@H]2CCc3ccccc3C2)cs1 ZINC000542575444 341014377 /nfs/dbraw/zinc/01/43/77/341014377.db2.gz ILBGYKABLGFQBY-KGLIPLIRSA-N 0 3 300.471 4.161 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)[C@H](C)CCC(C)(C)C ZINC000542608521 341015015 /nfs/dbraw/zinc/01/50/15/341015015.db2.gz FOLZSZULXUKDBY-CQSZACIVSA-N 0 3 320.477 4.158 20 0 DIADHN COc1ccc(CN(C)C)cc1NC(=O)[C@@H](C)CCC(C)(C)C ZINC000542608520 341015022 /nfs/dbraw/zinc/01/50/22/341015022.db2.gz FOLZSZULXUKDBY-AWEZNQCLSA-N 0 3 320.477 4.158 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)NCC3CCCC3)n2)cc1F ZINC000542610025 341015053 /nfs/dbraw/zinc/01/50/53/341015053.db2.gz HYUHKPZHXVOAHX-LBPRGKRZSA-N 0 3 303.381 4.025 20 0 DIADHN CC(C)(CN[C@@H](c1ccccc1)c1cncnc1)c1cccs1 ZINC000542664977 341016066 /nfs/dbraw/zinc/01/60/66/341016066.db2.gz YULIVRXMZYMQHD-SFHVURJKSA-N 0 3 323.465 4.195 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN[C@H](c2ccccc2)c2cncnc2)C1 ZINC000542664930 341016115 /nfs/dbraw/zinc/01/61/15/341016115.db2.gz XIIPXMDPMDRNEI-FUHIMQAGSA-N 0 3 309.457 4.372 20 0 DIADHN c1ccc([C@H](N[C@H]2C[C@H]2C2CCCCC2)c2cncnc2)cc1 ZINC000542668985 341016342 /nfs/dbraw/zinc/01/63/42/341016342.db2.gz WPVHQQMZCCBCTF-UFYCRDLUSA-N 0 3 307.441 4.124 20 0 DIADHN Cc1ccc(CCN[C@H](c2ccccc2)c2cncnc2)c(C)c1 ZINC000542668097 341016480 /nfs/dbraw/zinc/01/64/80/341016480.db2.gz FIVHKFWEOFIRFS-OAQYLSRUSA-N 0 3 317.436 4.015 20 0 DIADHN CC[C@H](NCCc1cc(Cl)cc(Cl)c1)c1ccn(C)n1 ZINC000542669753 341016655 /nfs/dbraw/zinc/01/66/55/341016655.db2.gz YPWMBBDPMOPRGW-AWEZNQCLSA-N 0 3 312.244 4.010 20 0 DIADHN Fc1ccc([C@@H]2C[C@@H](NCc3ccccc3Cl)CCO2)cc1 ZINC000542689654 341017208 /nfs/dbraw/zinc/01/72/08/341017208.db2.gz ORYNJMPMGIGZLO-WMZOPIPTSA-N 0 3 319.807 4.489 20 0 DIADHN Cc1c(F)cccc1-c1ccc(CN(C)CCc2ccncc2)o1 ZINC000542692380 341017401 /nfs/dbraw/zinc/01/74/01/341017401.db2.gz KRCUWZATTVRQNZ-UHFFFAOYSA-N 0 3 324.399 4.464 20 0 DIADHN C[C@@H]1N(Cc2cccc(Cl)c2Cl)CCC12CCOCC2 ZINC000542708533 341018477 /nfs/dbraw/zinc/01/84/77/341018477.db2.gz CFIUDCSHNUMUNW-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN COc1ccc(C2(NC/C=C/c3ccc(F)cc3F)CC2)cc1 ZINC000542720857 341018944 /nfs/dbraw/zinc/01/89/44/341018944.db2.gz DCIZJEQZXAJQPC-NSCUHMNNSA-N 0 3 315.363 4.266 20 0 DIADHN CC(=O)Nc1ccc(CN(C)[C@@H](C)c2cccc(Cl)c2)cc1 ZINC000130362008 341019785 /nfs/dbraw/zinc/01/97/85/341019785.db2.gz ACKKLSCHQRPEGW-ZDUSSCGKSA-N 0 3 316.832 4.491 20 0 DIADHN C[C@@H](CC(=O)OC(C)(C)C)NCc1csc2ccccc12 ZINC000542779675 341020386 /nfs/dbraw/zinc/02/03/86/341020386.db2.gz XLHVRUDBGHDTIW-LBPRGKRZSA-N 0 3 305.443 4.111 20 0 DIADHN CC[C@H]1C[C@@H](CNCc2c(Cl)cccc2Cl)CCO1 ZINC000542799689 341020994 /nfs/dbraw/zinc/02/09/94/341020994.db2.gz OVUJSELCNRFUHU-RYUDHWBXSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1oncc1CN[C@@H](C)[C@@H](C)c1ccccc1C(F)(F)F ZINC000130428470 341021470 /nfs/dbraw/zinc/02/14/70/341021470.db2.gz MFXNCRNMTYONAK-MNOVXSKESA-N 0 3 312.335 4.284 20 0 DIADHN C[C@H](N[C@@H](c1cccs1)c1ccc(F)cc1)[C@@H]1CCCOC1 ZINC000542811896 341021667 /nfs/dbraw/zinc/02/16/67/341021667.db2.gz RVZRGSTZXFJJQU-JCKWVBRZSA-N 0 3 319.445 4.381 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cccc3c2OCCCO3)c1 ZINC000130445316 341021903 /nfs/dbraw/zinc/02/19/03/341021903.db2.gz XEBDCPMYJCDPRE-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN CSc1ccccc1CN(C)Cc1cccc(N(C)C)c1 ZINC000542823149 341022223 /nfs/dbraw/zinc/02/22/23/341022223.db2.gz DALWVHFTGUSQQJ-UHFFFAOYSA-N 0 3 300.471 4.107 20 0 DIADHN Clc1ccc(CN2CC[C@H](n3ncc4ccccc43)C2)cc1 ZINC000542825157 341022348 /nfs/dbraw/zinc/02/23/48/341022348.db2.gz GWYUMTWKTODUAN-KRWDZBQOSA-N 0 3 311.816 4.137 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3coc(-c4ccc(F)cc4)n3)C2)C1 ZINC000542878159 341024763 /nfs/dbraw/zinc/02/47/63/341024763.db2.gz DUMBQFSPDDFOGM-AUUYWEPGSA-N 0 3 314.404 4.493 20 0 DIADHN C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2c2ccnc3ccccc32)C1 ZINC000542937062 341026830 /nfs/dbraw/zinc/02/68/30/341026830.db2.gz ZYHXFLOXCMGMNE-CAUGKJMWSA-N 0 3 310.441 4.001 20 0 DIADHN CO[C@H](C)CN[C@H](C)c1ccc(Oc2ccccc2)c(F)c1 ZINC000542980668 341027691 /nfs/dbraw/zinc/02/76/91/341027691.db2.gz JWYRUYMRTXYXTP-ZIAGYGMSSA-N 0 3 303.377 4.304 20 0 DIADHN C[C@H](O)C[C@H](C)N[C@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000543088107 341031266 /nfs/dbraw/zinc/03/12/66/341031266.db2.gz BZZQAVKMRAWBGP-SUNYJGFJSA-N 0 3 303.833 4.178 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1Cc1ccc(C(F)(F)F)cc1 ZINC000130630567 341034736 /nfs/dbraw/zinc/03/47/36/341034736.db2.gz UFIUZQOTAQFDRN-HNNXBMFYSA-N 0 3 323.362 4.382 20 0 DIADHN CN(Cc1ncc(-c2ccc(F)cc2)o1)C[C@H]1CC=CCC1 ZINC000543175124 341035258 /nfs/dbraw/zinc/03/52/58/341035258.db2.gz HTVPRQNJDQZEDX-AWEZNQCLSA-N 0 3 300.377 4.269 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@H](C)N(C)C[C@@H]1CC=CCC1 ZINC000543181020 341035480 /nfs/dbraw/zinc/03/54/80/341035480.db2.gz HNUHNJBPHHMKAT-LSDHHAIUSA-N 0 3 320.864 4.264 20 0 DIADHN Cc1ccc(-c2ncc(CN(C)[C@H]3CCSC3)s2)cc1 ZINC000130641047 341035797 /nfs/dbraw/zinc/03/57/97/341035797.db2.gz GUIVLKXTOQETNK-AWEZNQCLSA-N 0 3 304.484 4.056 20 0 DIADHN C[C@H](NCCCCC(=O)OC(C)(C)C)c1c(F)cccc1F ZINC000543185610 341035857 /nfs/dbraw/zinc/03/58/57/341035857.db2.gz QZFLWTVXOIJYEL-LBPRGKRZSA-N 0 3 313.388 4.127 20 0 DIADHN C[C@H]1C[C@H](CCNCc2ccc(Oc3ccccc3)o2)CCO1 ZINC000543228722 341037180 /nfs/dbraw/zinc/03/71/80/341037180.db2.gz XOSSMBMDBYYVMB-JKSUJKDBSA-N 0 3 315.413 4.367 20 0 DIADHN Cc1noc(C)c1CNCCn1c2ccccc2c2ccccc21 ZINC000543242526 341037780 /nfs/dbraw/zinc/03/77/80/341037780.db2.gz SRAWZPNAFRLKRT-UHFFFAOYSA-N 0 3 319.408 4.189 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CC[C@H](C(C)(C)C)C1 ZINC000543248487 341038379 /nfs/dbraw/zinc/03/83/79/341038379.db2.gz CGIQCQXKPRTPMQ-RYUDHWBXSA-N 0 3 305.447 4.227 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@H]1CCCC[C@@H]1C ZINC000543395142 341041975 /nfs/dbraw/zinc/04/19/75/341041975.db2.gz SQRPTUFCOQDRKB-WMLDXEAASA-N 0 3 302.462 4.000 20 0 DIADHN CCc1ncc(CN2C[C@@H](C)C[C@H]2c2cccc(OC)c2)s1 ZINC000543412951 341042791 /nfs/dbraw/zinc/04/27/91/341042791.db2.gz VBDHTYBNBLAMDT-GUYCJALGSA-N 0 3 316.470 4.297 20 0 DIADHN COc1cccc(CN2CC[C@H](c3cccc(C)c3)C2)c1OC ZINC000543413901 341043092 /nfs/dbraw/zinc/04/30/92/341043092.db2.gz FVOWGLKJRMHENJ-KRWDZBQOSA-N 0 3 311.425 4.002 20 0 DIADHN CCC(C)(C)N[C@H](C)C(=O)Nc1ccc(Cl)c(Cl)c1 ZINC000543416844 341043371 /nfs/dbraw/zinc/04/33/71/341043371.db2.gz UKUKTOSXXQWNQD-SECBINFHSA-N 0 3 303.233 4.099 20 0 DIADHN CCC(C)(C)N[C@@H](C)C(=O)Nc1sc2c(c1C)CCCC2 ZINC000543433630 341044346 /nfs/dbraw/zinc/04/43/46/341044346.db2.gz HUFYOZHCVMPKEB-LBPRGKRZSA-N 0 3 308.491 4.041 20 0 DIADHN Clc1ccccc1C1=CCN(CC[C@H]2CCCCO2)CC1 ZINC000543439102 341044507 /nfs/dbraw/zinc/04/45/07/341044507.db2.gz SGFIXRINCQBLRK-MRXNPFEDSA-N 0 3 305.849 4.388 20 0 DIADHN c1ccc2oc([C@H]3CCCCN3CC[C@@H]3CCCCO3)nc2c1 ZINC000543443078 341044760 /nfs/dbraw/zinc/04/47/60/341044760.db2.gz OOOQVUQGGKUSQQ-DOTOQJQBSA-N 0 3 314.429 4.314 20 0 DIADHN c1ccc2oc([C@@H]3CCCCN3CC[C@H]3CCCCO3)nc2c1 ZINC000543443081 341044835 /nfs/dbraw/zinc/04/48/35/341044835.db2.gz OOOQVUQGGKUSQQ-WBVHZDCISA-N 0 3 314.429 4.314 20 0 DIADHN CN(CCO[C@@H]1CCCCO1)C1c2ccccc2-c2ccccc21 ZINC000543444738 341044885 /nfs/dbraw/zinc/04/48/85/341044885.db2.gz WSAIIOBNDVIWHK-HXUWFJFHSA-N 0 3 323.436 4.231 20 0 DIADHN CC(C)(C)[C@@H](NCCO[C@@H]1CCCCO1)c1ccc(F)cc1 ZINC000543444960 341044892 /nfs/dbraw/zinc/04/48/92/341044892.db2.gz MXOIRDBGYXXEAE-SJORKVTESA-N 0 3 309.425 4.046 20 0 DIADHN CC(C)(C)[C@H](NCCO[C@H]1CCCCO1)c1ccc(F)cc1 ZINC000543444957 341044967 /nfs/dbraw/zinc/04/49/67/341044967.db2.gz MXOIRDBGYXXEAE-DLBZAZTESA-N 0 3 309.425 4.046 20 0 DIADHN COCC[C@H](NCCCOc1ccc(Cl)cc1)c1ccco1 ZINC000543460800 341045956 /nfs/dbraw/zinc/04/59/56/341045956.db2.gz QHIXHIUECYXEJF-INIZCTEOSA-N 0 3 323.820 4.069 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCC(=O)Nc1ccccc1Cc1ccccc1 ZINC000543469081 341046274 /nfs/dbraw/zinc/04/62/74/341046274.db2.gz MDQMRACJESCEKZ-IAGOWNOFSA-N 0 3 324.468 4.240 20 0 DIADHN Cc1ccc(-c2nnc(CN[C@@H](C)[C@@H](C)c3ccccc3)o2)cc1 ZINC000543475607 341046507 /nfs/dbraw/zinc/04/65/07/341046507.db2.gz YNQLKAXFOSQMSL-CVEARBPZSA-N 0 3 321.424 4.327 20 0 DIADHN Cc1csc([C@@H](NCCSc2ccc(F)cc2)C2CC2)n1 ZINC000543483923 341046792 /nfs/dbraw/zinc/04/67/92/341046792.db2.gz DZZHJHNRIOZFPF-HNNXBMFYSA-N 0 3 322.474 4.424 20 0 DIADHN CC(C)C[C@H](NCC(=O)N1[C@H](C)CCC[C@H]1C)c1cccs1 ZINC000543486054 341046891 /nfs/dbraw/zinc/04/68/91/341046891.db2.gz RYRLTNRJZRSOAG-OAGGEKHMSA-N 0 3 322.518 4.214 20 0 DIADHN COC[C@@H](NCCCc1ccc(Cl)cc1)c1ccc(C)o1 ZINC000543488647 341047306 /nfs/dbraw/zinc/04/73/06/341047306.db2.gz RXKDIXCKQFTGIG-MRXNPFEDSA-N 0 3 307.821 4.151 20 0 DIADHN Cc1csc([C@H](C)NCCCOc2ccc(Cl)cc2)n1 ZINC000543509379 341048140 /nfs/dbraw/zinc/04/81/40/341048140.db2.gz KRFUWVASMMVTMS-LBPRGKRZSA-N 0 3 310.850 4.225 20 0 DIADHN CC[C@@H](NCC1(c2cccs2)CCCCC1)c1ccn(C)n1 ZINC000543594094 341049959 /nfs/dbraw/zinc/04/99/59/341049959.db2.gz HKPAITFWPBDZBG-OAHLLOKOSA-N 0 3 317.502 4.424 20 0 DIADHN CC[C@@H](NC1CCC(c2ccc(F)cc2)CC1)c1ccn(C)n1 ZINC000543593920 341050042 /nfs/dbraw/zinc/05/00/42/341050042.db2.gz OZEBSMGGCKVSTR-VMWRSERWSA-N 0 3 315.436 4.326 20 0 DIADHN Cc1ccc([C@H](NCCn2c(C)nc3ccccc32)C2CCC2)o1 ZINC000543601888 341050673 /nfs/dbraw/zinc/05/06/73/341050673.db2.gz KZJPPAYQDPLCFC-HXUWFJFHSA-N 0 3 323.440 4.377 20 0 DIADHN Clc1cccc(CCN[C@@H](c2ccccc2)c2cncnc2)c1 ZINC000543602252 341050739 /nfs/dbraw/zinc/05/07/39/341050739.db2.gz NASIMJWXHLXOOJ-IBGZPJMESA-N 0 3 323.827 4.052 20 0 DIADHN Cc1ccc([C@H](NCCCc2nc(C(C)C)no2)C2CCC2)o1 ZINC000543602295 341050800 /nfs/dbraw/zinc/05/08/00/341050800.db2.gz KEPWMBYXZBHBTI-QGZVFWFLSA-N 0 3 317.433 4.158 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)C/C=C\c1ccc(F)cc1F ZINC000543630433 341051936 /nfs/dbraw/zinc/05/19/36/341051936.db2.gz NTXZMFUGGSQNMK-AGLBCWCQSA-N 0 3 303.352 4.377 20 0 DIADHN CC(C)(C)CCN1CCN(C/C=C/c2ccc(F)cc2F)CC1 ZINC000543631823 341052339 /nfs/dbraw/zinc/05/23/39/341052339.db2.gz MRFNMCORXKVJAX-SNAWJCMRSA-N 0 3 322.443 4.032 20 0 DIADHN CCOCCCNC(c1ccc(F)cc1)c1ccc(F)cc1 ZINC000543701908 341054100 /nfs/dbraw/zinc/05/41/00/341054100.db2.gz MBRACZWZGFTRLU-UHFFFAOYSA-N 0 3 305.368 4.070 20 0 DIADHN Fc1ccc(C(NC[C@@H]2CCCCO2)c2ccc(F)cc2)cc1 ZINC000543702644 341054139 /nfs/dbraw/zinc/05/41/39/341054139.db2.gz PZRORKOVRNSCSH-SFHVURJKSA-N 0 3 317.379 4.213 20 0 DIADHN Cc1nnc(CN[C@H](Cc2ccccc2)C2CCCCC2)s1 ZINC000543740208 341056118 /nfs/dbraw/zinc/05/61/18/341056118.db2.gz FSDLJMYDWPZWJH-QGZVFWFLSA-N 0 3 315.486 4.128 20 0 DIADHN C[C@@H]1CC[C@]2(CCN(Cc3cnc(-c4ccccc4)nc3)C2)C1 ZINC000543778977 341058374 /nfs/dbraw/zinc/05/83/74/341058374.db2.gz FOYHGTVVCAHHPQ-UZLBHIALSA-N 0 3 307.441 4.156 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CNC(C)(C)CC(C)(C)C ZINC000131149655 341063113 /nfs/dbraw/zinc/06/31/13/341063113.db2.gz KPFLPPHDZCYVFZ-UHFFFAOYSA-N 0 3 310.869 4.391 20 0 DIADHN c1csc([C@@H](N[C@H]2CCOC2)c2ccc3c(c2)CCCC3)c1 ZINC000543996614 341065637 /nfs/dbraw/zinc/06/56/37/341065637.db2.gz OTDJVDHEYXZMFM-HKUYNNGSSA-N 0 3 313.466 4.095 20 0 DIADHN c1csc([C@H](N[C@@H]2CCOC2)c2ccc3c(c2)CCCC3)c1 ZINC000543996615 341065651 /nfs/dbraw/zinc/06/56/51/341065651.db2.gz OTDJVDHEYXZMFM-IEBWSBKVSA-N 0 3 313.466 4.095 20 0 DIADHN c1csc([C@H](N[C@H]2CCOC2)c2ccc3c(c2)CCCC3)c1 ZINC000543996619 341065691 /nfs/dbraw/zinc/06/56/91/341065691.db2.gz OTDJVDHEYXZMFM-PKOBYXMFSA-N 0 3 313.466 4.095 20 0 DIADHN Cc1nc2ccccc2c(N2CCC[C@H]([C@@H]3CCOC3)C2)c1C ZINC000544062059 341068605 /nfs/dbraw/zinc/06/86/05/341068605.db2.gz JVZJOOPDHDHMCZ-DLBZAZTESA-N 0 3 310.441 4.105 20 0 DIADHN Cc1cccnc1[C@H](NCCc1cccc(F)c1F)C(C)C ZINC000544071696 341069159 /nfs/dbraw/zinc/06/91/59/341069159.db2.gz LQARFMJKXDPERL-QGZVFWFLSA-N 0 3 304.384 4.198 20 0 DIADHN CN(Cc1ncc(-c2ccccc2F)o1)C[C@@H]1CC=CCC1 ZINC000544109441 341070549 /nfs/dbraw/zinc/07/05/49/341070549.db2.gz YWKQWOWIDCIICY-CQSZACIVSA-N 0 3 300.377 4.269 20 0 DIADHN CSc1cccc(NC(=O)[C@@H](C)N(C)C[C@H]2CC=CCC2)c1 ZINC000544112319 341070630 /nfs/dbraw/zinc/07/06/30/341070630.db2.gz ZRHHDLRHFKTAQR-CABCVRRESA-N 0 3 318.486 4.024 20 0 DIADHN CC[C@H]1CN(C(=O)C(C)C(F)(F)F)c2cccc(Cl)c2O1 ZINC000544123410 341071090 /nfs/dbraw/zinc/07/10/90/341071090.db2.gz KWTGUXKUJJIFOT-IUCAKERBSA-N 0 3 321.726 4.042 20 0 DIADHN CCc1cnc(CN2CCC[C@H]2Cc2ccc(F)cc2)s1 ZINC000544351516 341077747 /nfs/dbraw/zinc/07/77/47/341077747.db2.gz NXVJGHLSFQNGPN-HNNXBMFYSA-N 0 3 304.434 4.052 20 0 DIADHN C[C@@]1(CN[C@H](c2ccc(F)cc2)c2cccnc2)CCCS1 ZINC000544360788 341078202 /nfs/dbraw/zinc/07/82/02/341078202.db2.gz RKCPUADQPVUPOY-MSOLQXFVSA-N 0 3 316.445 4.185 20 0 DIADHN COCc1ccc(CN2C[C@H](C)C[C@@H]2c2cccc(OC)c2)o1 ZINC000544369341 341078707 /nfs/dbraw/zinc/07/87/07/341078707.db2.gz OJZHRQLCJJLUFO-AUUYWEPGSA-N 0 3 315.413 4.018 20 0 DIADHN Fc1ccc([C@H]2C[C@@H](Nc3ccnc4ccccc43)CCO2)cc1 ZINC000544415488 341081253 /nfs/dbraw/zinc/08/12/53/341081253.db2.gz RLYAQVQHXYSIBP-OXJNMPFZSA-N 0 3 322.383 4.128 20 0 DIADHN CCCc1nc(CN[C@H](Cc2ccccc2)C2CC2)cs1 ZINC000544433949 341082051 /nfs/dbraw/zinc/08/20/51/341082051.db2.gz VLQKPPHYPQFGKU-QGZVFWFLSA-N 0 3 300.471 4.207 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)NC(C)(C)c1cccs1 ZINC000544464147 341083232 /nfs/dbraw/zinc/08/32/32/341083232.db2.gz IIVUCMWBVMCTNM-CYBMUJFWSA-N 0 3 316.470 4.162 20 0 DIADHN Cc1c(Cl)c(CN[C@H](C)[C@H]2CCCOC2)nc2ccccc12 ZINC000544472600 341083660 /nfs/dbraw/zinc/08/36/60/341083660.db2.gz UTPXPBIRDDQHGY-KGLIPLIRSA-N 0 3 318.848 4.101 20 0 DIADHN C[C@H](CN(C)[C@H]1CCO[C@@H](c2ccc(F)cc2)C1)C(F)(F)F ZINC000544491319 341084309 /nfs/dbraw/zinc/08/43/09/341084309.db2.gz BHTZXMVEOFPKIA-BYCMXARLSA-N 0 3 319.342 4.176 20 0 DIADHN C[C@@H]1N(Cc2ccc(Cl)cc2Cl)CCC12CCOCC2 ZINC000544546823 341085516 /nfs/dbraw/zinc/08/55/16/341085516.db2.gz FZEMZYMVASOPCF-LBPRGKRZSA-N 0 3 314.256 4.384 20 0 DIADHN CC[C@H](CN[C@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000544567029 341085950 /nfs/dbraw/zinc/08/59/50/341085950.db2.gz PJRSLQPTTYELFE-DYESRHJHSA-N 0 3 317.436 4.349 20 0 DIADHN CC[C@H](CN[C@@H](c1ccccc1)c1cncnc1)c1ccccc1 ZINC000544567031 341085953 /nfs/dbraw/zinc/08/59/53/341085953.db2.gz PJRSLQPTTYELFE-UTKZUKDTSA-N 0 3 317.436 4.349 20 0 DIADHN Cc1cccc([C@H](C)CN[C@@H](c2ccccc2)c2cncnc2)c1 ZINC000544570524 341086260 /nfs/dbraw/zinc/08/62/60/341086260.db2.gz XVZXMCHQXCLFAH-UTKZUKDTSA-N 0 3 317.436 4.268 20 0 DIADHN Cc1ccc([C@H](NCc2ccc(-n3cccn3)cc2)C2CCC2)o1 ZINC000544580364 341087058 /nfs/dbraw/zinc/08/70/58/341087058.db2.gz RQTTVOXFEJEIAF-HXUWFJFHSA-N 0 3 321.424 4.405 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccc(F)cc3F)CCO2)cc1 ZINC000544595593 341087776 /nfs/dbraw/zinc/08/77/76/341087776.db2.gz AVQSWSBERIERCX-SJLPKXTDSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ccc([C@H](NCCSCC(F)(F)F)C2CCC2)o1 ZINC000544601588 341088062 /nfs/dbraw/zinc/08/80/62/341088062.db2.gz BLDGNEJYTMDSIZ-CYBMUJFWSA-N 0 3 307.381 4.314 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2CCc3ccc(F)cc32)ccc1F ZINC000131466767 341090451 /nfs/dbraw/zinc/09/04/51/341090451.db2.gz POABCJHDOLRRRF-DIFFPNOSSA-N 0 3 303.352 4.312 20 0 DIADHN Cc1ccc(C(C)(C)NC/C=C/c2ccc(F)cc2F)cn1 ZINC000544710378 341091685 /nfs/dbraw/zinc/09/16/85/341091685.db2.gz WPVOCVBZPKJZRG-SNAWJCMRSA-N 0 3 302.368 4.206 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H](C)[C@@H]3CCCC[C@@H]32)s1 ZINC000544748515 341092730 /nfs/dbraw/zinc/09/27/30/341092730.db2.gz ZXWHPWBPVZLDAV-DLOVCJGASA-N 0 3 318.408 4.481 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)[C@@H]2CCC[C@H](C)C2)s1 ZINC000544758769 341092991 /nfs/dbraw/zinc/09/29/91/341092991.db2.gz VZIHBHLFWITKMO-GXSJLCMTSA-N 0 3 306.397 4.481 20 0 DIADHN CCc1ccc([C@@H](C)NCc2ccnc(N3CCCC3)c2)s1 ZINC000131525425 341093784 /nfs/dbraw/zinc/09/37/84/341093784.db2.gz UINGXDUTSCTTKK-CQSZACIVSA-N 0 3 315.486 4.157 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H]1CCCc2cc(OC)ccc21 ZINC000544829035 341095195 /nfs/dbraw/zinc/09/51/95/341095195.db2.gz DKJYDSVDJYUEAW-IUODEOHRSA-N 0 3 301.352 4.393 20 0 DIADHN c1ccc(-c2noc(CC3CCC3)n2)c(CN2CCCCC2)c1 ZINC000544845667 341096132 /nfs/dbraw/zinc/09/61/32/341096132.db2.gz HPRTYZNJWRXZKZ-UHFFFAOYSA-N 0 3 311.429 4.065 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2c(C)cccc2C)c(F)c1 ZINC000544895931 341097836 /nfs/dbraw/zinc/09/78/36/341097836.db2.gz WZAMGMRAHVCNLZ-OAHLLOKOSA-N 0 3 314.404 4.040 20 0 DIADHN OC[C@@H](C1CC1)N(Cc1ccc(Cl)s1)Cc1ccccc1 ZINC000544938999 341100343 /nfs/dbraw/zinc/10/03/43/341100343.db2.gz IRQCZRSLDOSQMB-INIZCTEOSA-N 0 3 321.873 4.175 20 0 DIADHN CN(C)Cc1cccc(C(=O)Nc2ccc(Cl)cc2Cl)c1 ZINC000072793318 341106579 /nfs/dbraw/zinc/10/65/79/341106579.db2.gz YJYJYYHTCIZISH-UHFFFAOYSA-N 0 3 323.223 4.307 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(SC(C)C)cc2)ccn1 ZINC000131715046 341109615 /nfs/dbraw/zinc/10/96/15/341109615.db2.gz WCLNJNHZAJMAKB-CQSZACIVSA-N 0 3 316.470 4.442 20 0 DIADHN CCc1ccc([C@@H](NCc2cccc(C(=O)NC)c2)C(C)C)cc1 ZINC000072957610 341111417 /nfs/dbraw/zinc/11/14/17/341111417.db2.gz UJOPCAAYPLFWRK-FQEVSTJZSA-N 0 3 324.468 4.096 20 0 DIADHN COC[C@@H](C)N[C@H](C)c1ccc(SCC(F)(F)F)cc1 ZINC000131865287 341112846 /nfs/dbraw/zinc/11/28/46/341112846.db2.gz BBVUSXBHAWQWHQ-GHMZBOCLSA-N 0 3 307.381 4.027 20 0 DIADHN CCOC[C@@H](N[C@H](C)c1sccc1Br)C(C)C ZINC000233754210 341115292 /nfs/dbraw/zinc/11/52/92/341115292.db2.gz AHKQTJZOCVWXKE-ZYHUDNBSSA-N 0 3 320.296 4.222 20 0 DIADHN C[C@H](CCNCc1cnc(-c2ccccc2)nc1)c1ccccc1 ZINC000545253708 341117511 /nfs/dbraw/zinc/11/75/11/341117511.db2.gz PBARQRZSSUUKAM-QGZVFWFLSA-N 0 3 317.436 4.427 20 0 DIADHN Oc1ccc(C2=CCN(Cc3cccc4cccnc43)CC2)cc1 ZINC000073515286 341117829 /nfs/dbraw/zinc/11/78/29/341117829.db2.gz FIMWJSDRRXBDSV-UHFFFAOYSA-N 0 3 316.404 4.230 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@H]1CCC[C@@H](c3ncccc3C)C1)CO2 ZINC000545275956 341118758 /nfs/dbraw/zinc/11/87/58/341118758.db2.gz MQYZNKYMJXVAJH-ZIFCJYIRSA-N 0 3 322.452 4.448 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N(C)Cc1cccnc1 ZINC000132328763 341120699 /nfs/dbraw/zinc/12/06/99/341120699.db2.gz PERFKIQFIZESIB-MRXNPFEDSA-N 0 3 319.246 4.427 20 0 DIADHN COCCC(C)(C)NCc1ccc(-c2ccc(F)cc2C)o1 ZINC000545352763 341122833 /nfs/dbraw/zinc/12/28/33/341122833.db2.gz IFEINTSQOOOGHA-UHFFFAOYSA-N 0 3 305.393 4.299 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1ccc(OC)cc1OC ZINC000545457553 341125805 /nfs/dbraw/zinc/12/58/05/341125805.db2.gz GGYNGSNYOGFOHP-WDEREUQCSA-N 0 3 305.340 4.085 20 0 DIADHN Cc1ccc2nccc(N3CCO[C@H](c4cccc(F)c4)C3)c2c1 ZINC000545474969 341126702 /nfs/dbraw/zinc/12/67/02/341126702.db2.gz VEJVRRVCQQKVFX-FQEVSTJZSA-N 0 3 322.383 4.260 20 0 DIADHN CC[C@H](COC)N[C@@H](C)c1sc(-c2ccc(F)cc2)nc1C ZINC000132564380 341127366 /nfs/dbraw/zinc/12/73/66/341127366.db2.gz FSQSRUXKLRZQIT-XHDPSFHLSA-N 0 3 322.449 4.333 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN[C@H](C)c1c(C)cccc1C ZINC000545518257 341128229 /nfs/dbraw/zinc/12/82/29/341128229.db2.gz RJVQFVAEQJMYDC-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN C[C@H]1CC[C@H](c2nc(-c3ccccc3CN3CCCC3)no2)C1 ZINC000545529797 341129148 /nfs/dbraw/zinc/12/91/48/341129148.db2.gz WPLRGOYRZVEATK-GJZGRUSLSA-N 0 3 311.429 4.236 20 0 DIADHN Cc1ccc(C)c(-c2nc(-c3ccc(CN(C)C)cc3)no2)c1 ZINC000545538790 341129427 /nfs/dbraw/zinc/12/94/27/341129427.db2.gz MGLOROSGJXMHPM-UHFFFAOYSA-N 0 3 307.397 4.082 20 0 DIADHN CC1(CO)CCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000545869990 341143554 /nfs/dbraw/zinc/14/35/54/341143554.db2.gz KWLKDTVRTFHSQX-UHFFFAOYSA-N 0 3 313.416 4.087 20 0 DIADHN Cc1ncsc1CCN1CCC[C@@H]1c1ccccc1Cl ZINC000074350845 341144571 /nfs/dbraw/zinc/14/45/71/341144571.db2.gz VNGLUNSLHGUNKY-OAHLLOKOSA-N 0 3 306.862 4.485 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)o1 ZINC000545907295 341145541 /nfs/dbraw/zinc/14/55/41/341145541.db2.gz ZTMYEXZRJYKMHH-QFXBJFAPSA-N 0 3 303.446 4.088 20 0 DIADHN C[C@H](c1cnccn1)N1CCC[C@@H](c2nc3ccccc3s2)C1 ZINC000545937569 341147266 /nfs/dbraw/zinc/14/72/66/341147266.db2.gz KBTDEEMANDDCAT-ZIAGYGMSSA-N 0 3 324.453 4.027 20 0 DIADHN CCc1ccc(CN2CCC[C@H]2c2ccc(COC)o2)s1 ZINC000545951696 341148178 /nfs/dbraw/zinc/14/81/78/341148178.db2.gz DADMDOMMLYYOFE-INIZCTEOSA-N 0 3 305.443 4.387 20 0 DIADHN COCC[C@@H](C)N[C@@H](c1ccccc1)c1ccc(Cl)cc1 ZINC000545954157 341148193 /nfs/dbraw/zinc/14/81/93/341148193.db2.gz RNKFGCGRPZFBAM-KDOFPFPSSA-N 0 3 303.833 4.444 20 0 DIADHN CC(C)c1nc([C@@H](C)N[C@@H]2CCc3ccccc3C2)cs1 ZINC000545985203 341149660 /nfs/dbraw/zinc/14/96/60/341149660.db2.gz RMFSNQIYWJCEMK-CZUORRHYSA-N 0 3 300.471 4.475 20 0 DIADHN CCOCCN(C)C/C(C)=C\c1cccc(C(F)(F)F)c1 ZINC000564299720 341153760 /nfs/dbraw/zinc/15/37/60/341153760.db2.gz TZUJVPFIUBHIJN-RAXLEYEMSA-N 0 3 301.352 4.077 20 0 DIADHN CC[C@@H](CC(F)(F)F)NCc1ccccc1Br ZINC000546178864 341156110 /nfs/dbraw/zinc/15/61/10/341156110.db2.gz SLHKYOONGNQWKB-JTQLQIEISA-N 0 3 310.157 4.270 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@H](OCC(F)(F)F)C3)c2c1 ZINC000546189912 341156771 /nfs/dbraw/zinc/15/67/71/341156771.db2.gz PGQTYSWRECNRLE-ZDUSSCGKSA-N 0 3 324.346 4.091 20 0 DIADHN Cc1ccc2nccc(N3CCC[C@@H](OCC(F)(F)F)C3)c2c1 ZINC000546189910 341156791 /nfs/dbraw/zinc/15/67/91/341156791.db2.gz PGQTYSWRECNRLE-CYBMUJFWSA-N 0 3 324.346 4.091 20 0 DIADHN CCCC1(c2nc(-c3ccccc3CN3CCCC3)no2)CC1 ZINC000546237960 341158921 /nfs/dbraw/zinc/15/89/21/341158921.db2.gz ZUBKVFWJHPKASP-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN COc1cc([C@@H](C)NC[C@@H]2CCC3(CCCCC3)O2)ccc1F ZINC000564302077 341164909 /nfs/dbraw/zinc/16/49/09/341164909.db2.gz KOMDCRLSVZCIII-ZBFHGGJFSA-N 0 3 321.436 4.367 20 0 DIADHN CC(C)CCc1noc([C@H]2CCCN(Cc3ccccc3)C2)n1 ZINC000546407354 341167158 /nfs/dbraw/zinc/16/71/58/341167158.db2.gz LKOWQTXXQQQPBY-KRWDZBQOSA-N 0 3 313.445 4.038 20 0 DIADHN CC[C@@H](C)C[C@H](C)NC(=O)c1oc2ccccc2c1CN(C)C ZINC000075162419 341168900 /nfs/dbraw/zinc/16/89/00/341168900.db2.gz UMJOQTRCFTXTSB-KGLIPLIRSA-N 0 3 316.445 4.049 20 0 DIADHN Cc1cc(CN[C@H](Cc2cccc(Cl)c2)CC(C)C)no1 ZINC000546606549 341174542 /nfs/dbraw/zinc/17/45/42/341174542.db2.gz KORRPLHMJMFJQB-INIZCTEOSA-N 0 3 306.837 4.383 20 0 DIADHN COC[C@@H](N[C@@H](C)CC(C)(C)c1ccccc1)c1ccco1 ZINC000546657065 341177521 /nfs/dbraw/zinc/17/75/21/341177521.db2.gz FYFSEPHNXUMLQI-DOTOQJQBSA-N 0 3 301.430 4.313 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCC[C@@H]4CCC[C@@H]43)o2)c1 ZINC000075768951 341182563 /nfs/dbraw/zinc/18/25/63/341182563.db2.gz GLXOSPUFYYCEPA-WFASDCNBSA-N 0 3 317.820 4.155 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H](CC)CC(F)(F)F)CCC2 ZINC000546871484 341184427 /nfs/dbraw/zinc/18/44/27/341184427.db2.gz LANCVBZHWQVQFO-VHSXEESVSA-N 0 3 306.397 4.404 20 0 DIADHN c1ccc([C@H]2C[C@@H]2CNCc2nc3ccccc3n2C2CC2)cc1 ZINC000546969892 341189367 /nfs/dbraw/zinc/18/93/67/341189367.db2.gz RCIFHNQMRKGAQN-SJLPKXTDSA-N 0 3 317.436 4.265 20 0 DIADHN Cn1cccc1CN1CCC([C@H](F)c2ccccc2F)CC1 ZINC000564312823 341192999 /nfs/dbraw/zinc/19/29/99/341192999.db2.gz RNHXWZPAUUXWOS-SFHVURJKSA-N 0 3 304.384 4.087 20 0 DIADHN CC1(c2nc(-c3ccccc3CN3CCCCC3)no2)CCC1 ZINC000547112559 341196501 /nfs/dbraw/zinc/19/65/01/341196501.db2.gz AEENXKLWVWSUOI-UHFFFAOYSA-N 0 3 311.429 4.164 20 0 DIADHN CC(C)Oc1cccc(CN2CC[C@H](Nc3ccccc3)C2)c1 ZINC000236824408 341202382 /nfs/dbraw/zinc/20/23/82/341202382.db2.gz AWVZTDCIPDRQFK-IBGZPJMESA-N 0 3 310.441 4.160 20 0 DIADHN C[C@@]1(NCc2ccc(N3CCCC3)nc2)CCCc2ccccc21 ZINC000547288351 341203838 /nfs/dbraw/zinc/20/38/38/341203838.db2.gz SMHAOWYIVLTEKZ-OAQYLSRUSA-N 0 3 321.468 4.023 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@H](C)CCc2ccccc2)o1 ZINC000236964974 341205043 /nfs/dbraw/zinc/20/50/43/341205043.db2.gz OBJNARSSRBKXLK-CRAIPNDOSA-N 0 3 301.430 4.140 20 0 DIADHN C[C@H](NCCC(C)(C)O)c1ccc(Oc2ccccc2)c(F)c1 ZINC000547317958 341205221 /nfs/dbraw/zinc/20/52/21/341205221.db2.gz QZZHNZVCOUDRDJ-AWEZNQCLSA-N 0 3 317.404 4.430 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(OC2CCCC2)c1 ZINC000547333808 341206558 /nfs/dbraw/zinc/20/65/58/341206558.db2.gz SAAVFDKLSTTYQO-LBPRGKRZSA-N 0 3 301.352 4.439 20 0 DIADHN C[C@@H](NCC(C1CC1)C1CC1)c1nc(C(F)(F)F)cs1 ZINC000547352898 341208086 /nfs/dbraw/zinc/20/80/86/341208086.db2.gz VSXTZNVFTCHZMX-MRVPVSSYSA-N 0 3 304.381 4.249 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cccc(OC)c2OC)cc1 ZINC000237153366 341208180 /nfs/dbraw/zinc/20/81/80/341208180.db2.gz PMYOTOSLFNBPGI-UHFFFAOYSA-N 0 3 313.441 4.291 20 0 DIADHN CC1CC(NCc2nc3c(s2)C[C@H](c2ccccc2)CC3)C1 ZINC000547356171 341208545 /nfs/dbraw/zinc/20/85/45/341208545.db2.gz FOASWPTZVXPQHQ-OGVSOVDVSA-N 0 3 312.482 4.304 20 0 DIADHN C[C@H](NC1(c2ccc(Cl)c(Cl)c2)CC1)[C@@H]1CCCO1 ZINC000547396121 341210827 /nfs/dbraw/zinc/21/08/27/341210827.db2.gz YWZJRAYAIORGJO-HZMBPMFUSA-N 0 3 300.229 4.140 20 0 DIADHN Cn1cnc2cc(CN3CCCC[C@@H]3c3ccccc3)ccc21 ZINC000547399191 341211091 /nfs/dbraw/zinc/21/10/91/341211091.db2.gz PBRMSACOEANGMU-LJQANCHMSA-N 0 3 305.425 4.301 20 0 DIADHN C/C=C/C[C@H](CO)NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000547433257 341212996 /nfs/dbraw/zinc/21/29/96/341212996.db2.gz JNGFMVCAYPJWOJ-XYBNCVKDSA-N 0 3 305.805 4.017 20 0 DIADHN Cc1csc(NC2CCN(Cc3c(C)cccc3C)CC2)n1 ZINC000547442913 341213914 /nfs/dbraw/zinc/21/39/14/341213914.db2.gz IYKNWLHGSJUBCK-UHFFFAOYSA-N 0 3 315.486 4.145 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CNCCc2nc3c(s2)CCCC3)o1 ZINC000237466357 341214514 /nfs/dbraw/zinc/21/45/14/341214514.db2.gz JYEYSMRAHBEISB-TZMCWYRMSA-N 0 3 316.470 4.071 20 0 DIADHN C[C@@]1(CNCc2ccc(Cl)c(C(F)(F)F)c2)CCCO1 ZINC000237532034 341215236 /nfs/dbraw/zinc/21/52/36/341215236.db2.gz BFLPFAIMRVJQOI-ZDUSSCGKSA-N 0 3 307.743 4.018 20 0 DIADHN C[C@H](CCc1ccco1)N[C@H](c1ccccc1)c1ccccn1 ZINC000237533107 341215281 /nfs/dbraw/zinc/21/52/81/341215281.db2.gz ZTJMYHPBSMXNNM-OXQOHEQNSA-N 0 3 306.409 4.375 20 0 DIADHN C[C@H](CCc1ccco1)N[C@@H](c1ccccc1)c1ccccn1 ZINC000237532484 341215327 /nfs/dbraw/zinc/21/53/27/341215327.db2.gz ZTJMYHPBSMXNNM-UZLBHIALSA-N 0 3 306.409 4.375 20 0 DIADHN CS[C@H]1CCCCN(Cc2cc(-c3ccccc3)no2)C1 ZINC000134549488 341218078 /nfs/dbraw/zinc/21/80/78/341218078.db2.gz YFQHQSGPJHFOSL-INIZCTEOSA-N 0 3 302.443 4.059 20 0 DIADHN Cc1nc(CN[C@@H](C)C2(c3ccccc3)CCCC2)cs1 ZINC000547505843 341218576 /nfs/dbraw/zinc/21/85/76/341218576.db2.gz ZFULOECDXDUCHG-AWEZNQCLSA-N 0 3 300.471 4.442 20 0 DIADHN CCOc1ccc([C@H](C)NC[C@H](C)C(F)(F)F)cc1OCC ZINC000547506792 341218807 /nfs/dbraw/zinc/21/88/07/341218807.db2.gz GCAJNCHOWMGJRW-RYUDHWBXSA-N 0 3 319.367 4.333 20 0 DIADHN Cc1ccc(-c2ccc(CN[C@@H]3[C@H]4CCO[C@@H]4C3(C)C)o2)cc1 ZINC000237729392 341219262 /nfs/dbraw/zinc/21/92/62/341219262.db2.gz IQDYPTKOLPWCIJ-QRQLOZEOSA-N 0 3 311.425 4.158 20 0 DIADHN C[C@@H](NCC1CCSCC1)c1ccccc1Br ZINC000134602807 341219356 /nfs/dbraw/zinc/21/93/56/341219356.db2.gz HSVWSAMSQYAMIW-LLVKDONJSA-N 0 3 314.292 4.243 20 0 DIADHN Cc1cccc2c(CCNCc3cccc(F)c3F)c[nH]c21 ZINC000237766148 341220127 /nfs/dbraw/zinc/22/01/27/341220127.db2.gz ZVWQHAMWCHMPMZ-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1ccccc1)c1nc2c(s1)CCC2 ZINC000134653837 341221244 /nfs/dbraw/zinc/22/12/44/341221244.db2.gz HJJJKSOFVXQABN-UONOGXRCSA-N 0 3 300.471 4.304 20 0 DIADHN C[C@@H](NCCc1cccc(Cl)c1)c1nc2c(s1)CCC2 ZINC000134676843 341221660 /nfs/dbraw/zinc/22/16/60/341221660.db2.gz GMPUGRWBTUNRSA-LLVKDONJSA-N 0 3 306.862 4.179 20 0 DIADHN Cc1cccc(NC2CCN(Cc3ccc4c(c3)CCO4)CC2)c1 ZINC000237864291 341222099 /nfs/dbraw/zinc/22/20/99/341222099.db2.gz ZWQIZHNRTDPPDX-UHFFFAOYSA-N 0 3 322.452 4.006 20 0 DIADHN Cn1cccc1CNCc1ccccc1Sc1ccccc1 ZINC000237880690 341222367 /nfs/dbraw/zinc/22/23/67/341222367.db2.gz FNTLSARPMJBFJC-UHFFFAOYSA-N 0 3 308.450 4.466 20 0 DIADHN Cc1ccc2c(CCNCc3ccc(F)cc3F)c[nH]c2c1 ZINC000237885146 341222542 /nfs/dbraw/zinc/22/25/42/341222542.db2.gz WIMZNSDBQSCGIV-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN COCc1cccc(CNCc2c(OC)ccc3ccccc32)c1 ZINC000077289210 341222563 /nfs/dbraw/zinc/22/25/63/341222563.db2.gz QUMJQTPSNMVZIJ-UHFFFAOYSA-N 0 3 321.420 4.285 20 0 DIADHN O=C(Nc1ccccc1)[C@@H](NC[C@H]1CC=CCC1)c1ccccc1 ZINC000237915132 341222938 /nfs/dbraw/zinc/22/29/38/341222938.db2.gz BFHZDNCFYMPFFH-PXNSSMCTSA-N 0 3 320.436 4.312 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134780641 341224052 /nfs/dbraw/zinc/22/40/52/341224052.db2.gz ONDTYHMJGSDAAL-RYUDHWBXSA-N 0 3 304.434 4.053 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H](C)c1nc2c(s1)CCC2 ZINC000134800024 341224345 /nfs/dbraw/zinc/22/43/45/341224345.db2.gz BBCKGLGWXALMKP-NEPJUHHUSA-N 0 3 304.434 4.053 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1ccc(F)c(Br)c1 ZINC000134850857 341225222 /nfs/dbraw/zinc/22/52/22/341225222.db2.gz ARTFBSFEAHAIDV-SNVBAGLBSA-N 0 3 320.271 4.162 20 0 DIADHN Cc1cc2c(cc1C)[C@@H](NCc1ccccc1CO)CCS2 ZINC000547653022 341225402 /nfs/dbraw/zinc/22/54/02/341225402.db2.gz RXMPKLYXLMVCHP-SFHVURJKSA-N 0 3 313.466 4.122 20 0 DIADHN CCn1nc(C)c(CN[C@@H](c2ccc(C)cc2)C2CCC2)c1C ZINC000547653910 341225514 /nfs/dbraw/zinc/22/55/14/341225514.db2.gz WNKOYCWYUQXXHA-HXUWFJFHSA-N 0 3 311.473 4.459 20 0 DIADHN COc1ccc([C@@H](NCc2cc(F)ccc2OC)C(C)C)cc1 ZINC000547654807 341225591 /nfs/dbraw/zinc/22/55/91/341225591.db2.gz SVIOMQFLIWGIPF-IBGZPJMESA-N 0 3 317.404 4.330 20 0 DIADHN OCCC1(CNCc2ccc(-c3ccccc3)o2)CCCCC1 ZINC000238178180 341227258 /nfs/dbraw/zinc/22/72/58/341227258.db2.gz YYODTEHYAORGLG-UHFFFAOYSA-N 0 3 313.441 4.369 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CC1)c1cn2ccccc2n1 ZINC000547727654 341229133 /nfs/dbraw/zinc/22/91/33/341229133.db2.gz QQWJZGOLKCFBCS-OAHLLOKOSA-N 0 3 323.465 4.310 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(C)[C@@H]1CCCC[C@@H]1C ZINC000547747644 341230002 /nfs/dbraw/zinc/23/00/02/341230002.db2.gz ZOFDDRGOMKEUMA-MAUKXSAKSA-N 0 3 302.462 4.259 20 0 DIADHN C[C@@H]1C[C@H](C)N1CCC(=O)Nc1ccccc1Oc1ccccc1 ZINC000547769212 341231350 /nfs/dbraw/zinc/23/13/50/341231350.db2.gz MHPXWJXLQNLXQH-IYBDPMFKSA-N 0 3 324.424 4.290 20 0 DIADHN C[C@H](NC[C@H](C)C(F)(F)F)c1cccc(Br)c1 ZINC000547830566 341236133 /nfs/dbraw/zinc/23/61/33/341236133.db2.gz PMQMJJPZHPZEAX-IUCAKERBSA-N 0 3 310.157 4.298 20 0 DIADHN C[C@H](N[C@H]1CCN(c2ccccc2C(F)(F)F)C1)c1ccoc1 ZINC000547831537 341236146 /nfs/dbraw/zinc/23/61/46/341236146.db2.gz BVEOOISBADCCRZ-JSGCOSHPSA-N 0 3 324.346 4.228 20 0 DIADHN CC[C@H](NCc1ccc(CN(C)C)c(F)c1)c1ccccc1 ZINC000135299512 341236208 /nfs/dbraw/zinc/23/62/08/341236208.db2.gz VPHHCSXFCGDSCX-IBGZPJMESA-N 0 3 300.421 4.128 20 0 DIADHN COCCOCCCCN[C@H](C)c1ccc(Cl)cc1Cl ZINC000135364541 341238148 /nfs/dbraw/zinc/23/81/48/341238148.db2.gz IKNKFCXNYABUPX-GFCCVEGCSA-N 0 3 320.260 4.087 20 0 DIADHN Cc1ccncc1CNC(C)(C)c1ccc(Br)cc1 ZINC000135448485 341239825 /nfs/dbraw/zinc/23/98/25/341239825.db2.gz NWQFYUBPEHXLRP-UHFFFAOYSA-N 0 3 319.246 4.177 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCSC2)c2ccccc2)cc1 ZINC000135762605 341245607 /nfs/dbraw/zinc/24/56/07/341245607.db2.gz VRHLIJDHLRCRGO-MSOLQXFVSA-N 0 3 301.430 4.400 20 0 DIADHN c1ncc(CNCCC(c2ccccc2)c2ccccc2)s1 ZINC000135802151 341246298 /nfs/dbraw/zinc/24/62/98/341246298.db2.gz NFBMXPFHKNSBPY-UHFFFAOYSA-N 0 3 308.450 4.455 20 0 DIADHN C[C@@H](O)CN(Cc1ccccc1)Cc1cccc(C(F)F)c1 ZINC000548095532 341246829 /nfs/dbraw/zinc/24/68/29/341246829.db2.gz NIXZHSPIRIVSNK-CQSZACIVSA-N 0 3 305.368 4.007 20 0 DIADHN Cc1ccsc1CNCc1ccccc1OCc1cccnc1 ZINC000078877536 341248724 /nfs/dbraw/zinc/24/87/24/341248724.db2.gz JOJZXWIHVJMQNM-UHFFFAOYSA-N 0 3 324.449 4.320 20 0 DIADHN COCCCCCNCc1cc(Br)ccc1Cl ZINC000135985074 341249663 /nfs/dbraw/zinc/24/96/63/341249663.db2.gz XSJAGXALPGGCJK-UHFFFAOYSA-N 0 3 320.658 4.009 20 0 DIADHN C[C@@H](NC[C@H](C)C(F)(F)F)c1ccc(Br)cc1 ZINC000548158487 341250162 /nfs/dbraw/zinc/25/01/62/341250162.db2.gz JTLNBLDTEZLVJM-DTWKUNHWSA-N 0 3 310.157 4.298 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN(C)C1CCC(C)CC1 ZINC000078955331 341250234 /nfs/dbraw/zinc/25/02/34/341250234.db2.gz RWSNMHVBBWELFW-UHFFFAOYSA-N 0 3 302.462 4.006 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(F)cc2C)cc1OC ZINC000548160975 341250444 /nfs/dbraw/zinc/25/04/44/341250444.db2.gz QHOOTFGGCYKWFZ-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN NC(=O)c1cccc(CN[C@@H](c2ccccc2)C2CCCCC2)c1 ZINC000078967135 341250649 /nfs/dbraw/zinc/25/06/49/341250649.db2.gz BMZQVPNSKXZYNV-FQEVSTJZSA-N 0 3 322.452 4.197 20 0 DIADHN COc1ccc(CN[C@@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000079121724 341253989 /nfs/dbraw/zinc/25/39/89/341253989.db2.gz ZQDAHBGPNQAXOM-FQEVSTJZSA-N 0 3 318.420 4.164 20 0 DIADHN Cc1ccc(CCN[C@@H]2CCCc3ncc(C(F)(F)F)cc32)o1 ZINC000548305394 341255281 /nfs/dbraw/zinc/25/52/81/341255281.db2.gz HAKDRDLZAUAARC-OAHLLOKOSA-N 0 3 324.346 4.212 20 0 DIADHN Cc1nccn1CCCN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000136293048 341256330 /nfs/dbraw/zinc/25/63/30/341256330.db2.gz AOQGVECHBJLDIR-UHFFFAOYSA-N 0 3 312.244 4.020 20 0 DIADHN Cc1sc2nc([C@H](C)N[C@H]3CCCC[C@@H]3C)nc(N)c2c1C ZINC000548442523 341260852 /nfs/dbraw/zinc/26/08/52/341260852.db2.gz VBBJWNMRTVOBBN-GAFUQQFSSA-N 0 3 318.490 4.120 20 0 DIADHN C[C@H](CC(C)(C)C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000548468389 341262227 /nfs/dbraw/zinc/26/22/27/341262227.db2.gz USAWGSOIICLTQI-CYBMUJFWSA-N 0 3 301.434 4.338 20 0 DIADHN OC1(CNCc2cc(Cl)cc(Cl)c2)CCCCCC1 ZINC000079619280 341262237 /nfs/dbraw/zinc/26/22/37/341262237.db2.gz RYALKGNLZQMRQR-UHFFFAOYSA-N 0 3 302.245 4.168 20 0 DIADHN C[C@H](NCc1cc(F)cc(Br)c1)c1ccccc1 ZINC000080121912 341269755 /nfs/dbraw/zinc/26/97/55/341269755.db2.gz SZEWHGLMALPEMZ-NSHDSACASA-N 0 3 308.194 4.439 20 0 DIADHN Fc1ccc(CN[C@H]2CCOC3(CCCCC3)C2)c(Cl)c1 ZINC000136736861 341266152 /nfs/dbraw/zinc/26/61/52/341266152.db2.gz FUDNLCJHYRLNBJ-HNNXBMFYSA-N 0 3 311.828 4.451 20 0 DIADHN Fc1ccc(CN[C@@H]2CCOC3(CCCCC3)C2)c(Cl)c1 ZINC000136736817 341266163 /nfs/dbraw/zinc/26/61/63/341266163.db2.gz FUDNLCJHYRLNBJ-OAHLLOKOSA-N 0 3 311.828 4.451 20 0 DIADHN FC(F)(F)COc1cccc(CN2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000079881297 341267136 /nfs/dbraw/zinc/26/71/36/341267136.db2.gz QICGRYBCCMBAJU-MRXNPFEDSA-N 0 3 324.346 4.293 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)O1)c1ccccc1C(F)(F)F ZINC000548636791 341268172 /nfs/dbraw/zinc/26/81/72/341268172.db2.gz GUDUQEAOBSBTNP-NWDGAFQWSA-N 0 3 301.352 4.314 20 0 DIADHN Clc1ccc(CNCc2cccs2)cc1Br ZINC000137067859 341271607 /nfs/dbraw/zinc/27/16/07/341271607.db2.gz UPEUAVORAHKOAG-UHFFFAOYSA-N 0 3 316.651 4.454 20 0 DIADHN CC[C@H](N[C@@H](C)CCc1ccc(OC)cc1)c1nccs1 ZINC000080258189 341271664 /nfs/dbraw/zinc/27/16/64/341271664.db2.gz WRBRBCXLZRDIDJ-BBRMVZONSA-N 0 3 304.459 4.214 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2cccc3cc[nH]c32)o1 ZINC000137087541 341272179 /nfs/dbraw/zinc/27/21/79/341272179.db2.gz GFCKXKVJIIMZCB-QGZVFWFLSA-N 0 3 310.397 4.244 20 0 DIADHN COc1ncc(CN[C@H](c2ccc(F)cc2)C(C)C)cc1Cl ZINC000548789601 341275692 /nfs/dbraw/zinc/27/56/92/341275692.db2.gz HCVUHZSFDBMOPO-INIZCTEOSA-N 0 3 322.811 4.370 20 0 DIADHN Fc1cccc(-c2ccc(CN3CCC4(CCO4)CC3)s2)c1 ZINC000548806986 341276605 /nfs/dbraw/zinc/27/66/05/341276605.db2.gz DRFAEJCFESNGQQ-UHFFFAOYSA-N 0 3 317.429 4.309 20 0 DIADHN Fc1cccc(CCCN2CCC(CC(F)(F)F)CC2)c1 ZINC000564357994 341276648 /nfs/dbraw/zinc/27/66/48/341276648.db2.gz RVSQJIJCPOTCDK-UHFFFAOYSA-N 0 3 303.343 4.423 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC3(CCCC3)O2)CC[C@@H]1C(F)(F)F ZINC000548931492 341281244 /nfs/dbraw/zinc/28/12/44/341281244.db2.gz OJVQYHAAIYVOKQ-KBPBESRZSA-N 0 3 319.411 4.389 20 0 DIADHN CCC(CC)(CCO)NCc1nc(C2CCCCC2)cs1 ZINC000548944073 341281950 /nfs/dbraw/zinc/28/19/50/341281950.db2.gz GAYQOOUFXUSEMQ-UHFFFAOYSA-N 0 3 310.507 4.222 20 0 DIADHN CN(C)C[C@@H](NCc1cccc(Cl)c1)c1ccc(Cl)cc1 ZINC000548992530 341283081 /nfs/dbraw/zinc/28/30/81/341283081.db2.gz XYTPNIXEYSLQPL-QGZVFWFLSA-N 0 3 323.267 4.386 20 0 DIADHN CN(C)C[C@H](NCc1cccc(Cl)c1)c1ccc(Cl)cc1 ZINC000548992529 341283160 /nfs/dbraw/zinc/28/31/60/341283160.db2.gz XYTPNIXEYSLQPL-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN C[C@H](NCC(C)(C)C(F)(F)F)c1ccnn1-c1ccccc1 ZINC000549037541 341284282 /nfs/dbraw/zinc/28/42/82/341284282.db2.gz KHXNUDOHJZTQJZ-LBPRGKRZSA-N 0 3 311.351 4.111 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3sccc3Cl)C2)cc1 ZINC000549041890 341284615 /nfs/dbraw/zinc/28/46/15/341284615.db2.gz XMIWZNCYUBAARF-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN Fc1cccc([C@@H]2CCN(C[C@H]3CCC4(CCCC4)O3)C2)c1 ZINC000549137110 341286704 /nfs/dbraw/zinc/28/67/04/341286704.db2.gz KDQSXRJRAXOEMH-SJLPKXTDSA-N 0 3 303.421 4.107 20 0 DIADHN Clc1ccc(C2(NC[C@H]3CCC4(CCCC4)O3)CC2)cc1 ZINC000549136979 341286718 /nfs/dbraw/zinc/28/67/18/341286718.db2.gz CFDWELDAZKHNGC-MRXNPFEDSA-N 0 3 305.849 4.411 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCCc2ccncc2)CC12CCC2 ZINC000549173554 341287952 /nfs/dbraw/zinc/28/79/52/341287952.db2.gz YGIGCNYJAZEGPV-HNNXBMFYSA-N 0 3 312.379 4.069 20 0 DIADHN COCC[C@@H](C)N1CC[C@@](F)(c2cccc(C(F)(F)F)c2)C1 ZINC000549187415 341288328 /nfs/dbraw/zinc/28/83/28/341288328.db2.gz NOTMDYMKSXAWKN-DOMZBBRYSA-N 0 3 319.342 4.001 20 0 DIADHN C[C@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccsc1 ZINC000549222509 341288976 /nfs/dbraw/zinc/28/89/76/341288976.db2.gz MCJJXVPDBGYZMI-LRDDRELGSA-N 0 3 308.878 4.355 20 0 DIADHN C[C@@H](NCC1CC(c2ccccc2)C1)c1nc2ccccc2n1C ZINC000549236845 341289293 /nfs/dbraw/zinc/28/92/93/341289293.db2.gz GBDKMZWPLZFRHM-KLHKWILBSA-N 0 3 319.452 4.418 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1CN(C)C)c1ccccc1F ZINC000549328965 341291365 /nfs/dbraw/zinc/29/13/65/341291365.db2.gz VQFNYKSUUHQHFQ-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN CCc1ccc([C@H]2C[C@@H](C)CCN2CCc2ccnn2CC)o1 ZINC000549349967 341292015 /nfs/dbraw/zinc/29/20/15/341292015.db2.gz HZMWEHVANZSXGZ-MAUKXSAKSA-N 0 3 315.461 4.074 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2sccc2Cl)C1 ZINC000549349728 341292182 /nfs/dbraw/zinc/29/21/82/341292182.db2.gz FLHIPUIITQMUJO-LBPRGKRZSA-N 0 3 307.846 4.400 20 0 DIADHN Cc1ccc(CN2CC[C@@H]2c2ccccc2)c(-c2ccnn2C)c1 ZINC000549382133 341292899 /nfs/dbraw/zinc/29/28/99/341292899.db2.gz IYOXBPNXJORZKK-HXUWFJFHSA-N 0 3 317.436 4.343 20 0 DIADHN C[C@H](c1ccc([S@](C)=O)cc1)N(C)C[C@@H](C)c1ccccc1 ZINC000245477613 341296191 /nfs/dbraw/zinc/29/61/91/341296191.db2.gz UNZHXMDHJKCXNV-MCFFVMPBSA-N 0 3 315.482 4.221 20 0 DIADHN C[C@H](NCc1cccc(F)c1Cl)[C@H]1COc2ccccc21 ZINC000549543851 341297005 /nfs/dbraw/zinc/29/70/05/341297005.db2.gz DHQOQFRGASUZPU-SMDDNHRTSA-N 0 3 305.780 4.133 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@@H](CC(C)C)C3)o2)cc1 ZINC000245498931 341297601 /nfs/dbraw/zinc/29/76/01/341297601.db2.gz XEOMIBJIJQKZJB-CVEARBPZSA-N 0 3 313.445 4.474 20 0 DIADHN CSCc1cnc(CN[C@H](C)Cc2cc(C)cc(C)c2)s1 ZINC000549760965 341302337 /nfs/dbraw/zinc/30/23/37/341302337.db2.gz ORPBBYFSAYAHNH-CQSZACIVSA-N 0 3 320.527 4.344 20 0 DIADHN CCCc1nc(C)c(CN2CC[C@H](c3ccccc3F)C2)o1 ZINC000549816963 341304005 /nfs/dbraw/zinc/30/40/05/341304005.db2.gz FBLKHGOGBRAINJ-AWEZNQCLSA-N 0 3 302.393 4.064 20 0 DIADHN CSCc1cnc(CNC[C@H](c2ccccc2)C2CC2)s1 ZINC000549822574 341304247 /nfs/dbraw/zinc/30/42/47/341304247.db2.gz GICZAVAKOBSLQK-MRXNPFEDSA-N 0 3 318.511 4.290 20 0 DIADHN Cc1ccccc1C(C)(C)NCc1cccc2c1OCCCO2 ZINC000549868041 341305565 /nfs/dbraw/zinc/30/55/65/341305565.db2.gz MYQLSUFYIVYLFS-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Cc1nc(C(C)C)ccc1CN(C)CC1(O)CCCCCC1 ZINC000549938893 341307480 /nfs/dbraw/zinc/30/74/80/341307480.db2.gz PMSVYIHAXZKEHL-UHFFFAOYSA-N 0 3 304.478 4.031 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CC[C@@H](CC2CC2)C1 ZINC000550047999 341309035 /nfs/dbraw/zinc/30/90/35/341309035.db2.gz AIIVRNZGHZYFMR-AWEZNQCLSA-N 0 3 302.443 4.334 20 0 DIADHN CC(C)[C@]1(CO)CCCN([C@H]2CCc3c2cccc3Cl)C1 ZINC000550063358 341309186 /nfs/dbraw/zinc/30/91/86/341309186.db2.gz LFJYSSHGARPISS-ZWKOTPCHSA-N 0 3 307.865 4.058 20 0 DIADHN Cc1cc(C)c(NC(=O)CN2CC(C)(C)[C@@H]3CCC[C@@H]32)c(C)c1 ZINC000550073280 341309585 /nfs/dbraw/zinc/30/95/85/341309585.db2.gz VHDKIXJTZHKZQF-SJORKVTESA-N 0 3 314.473 4.061 20 0 DIADHN CC1(C)CN(Cc2csc(-c3ccco3)n2)[C@H]2CCC[C@@H]21 ZINC000550082718 341309690 /nfs/dbraw/zinc/30/96/90/341309690.db2.gz VZSHPOBWUKYNBQ-KBPBESRZSA-N 0 3 302.443 4.414 20 0 DIADHN CCC(CC)(CNCc1conc1C)c1ccc(Cl)cc1 ZINC000550121979 341310461 /nfs/dbraw/zinc/31/04/61/341310461.db2.gz DPWISZFWCQPAIC-UHFFFAOYSA-N 0 3 306.837 4.484 20 0 DIADHN C[C@@H]1CCN(C/C=C/c2ccc(Cl)cc2)C[C@H]1n1ccnc1 ZINC000245701254 341310615 /nfs/dbraw/zinc/31/06/15/341310615.db2.gz BNSLIFZWULTCSB-YPXCQQABSA-N 0 3 315.848 4.133 20 0 DIADHN CSCc1cnc(CN[C@@H]2CCC[C@H]2c2ccccc2)s1 ZINC000550142422 341310986 /nfs/dbraw/zinc/31/09/86/341310986.db2.gz OEENRWYENXMRPS-JKSUJKDBSA-N 0 3 318.511 4.432 20 0 DIADHN CCc1ccc(CCNCc2conc2Cc2ccccc2)cc1 ZINC000550155876 341311377 /nfs/dbraw/zinc/31/13/77/341311377.db2.gz DCICMTRTDTWUBC-UHFFFAOYSA-N 0 3 320.436 4.160 20 0 DIADHN Cc1nn(C)cc1CN(C)[C@@H](C)[C@H](C)c1ccc(Cl)cc1 ZINC000245723113 341311791 /nfs/dbraw/zinc/31/17/91/341311791.db2.gz IHPZNWKCNNILJA-JSGCOSHPSA-N 0 3 305.853 4.006 20 0 DIADHN CC(C)[C@@H]1CN(Cc2c(Cl)ccc3cccnc32)CCCO1 ZINC000550186231 341312043 /nfs/dbraw/zinc/31/20/43/341312043.db2.gz OQXPIPBIKVWJCY-KRWDZBQOSA-N 0 3 318.848 4.135 20 0 DIADHN CCc1nocc1CNCC[C@@H](c1ccccc1)C(F)(F)F ZINC000550225273 341312813 /nfs/dbraw/zinc/31/28/13/341312813.db2.gz BKGSTFWWRKPMHX-AWEZNQCLSA-N 0 3 312.335 4.063 20 0 DIADHN C[C@H](NCc1cccc(-c2ccccc2)c1)c1cc[nH]c(=O)c1 ZINC000550255541 341313492 /nfs/dbraw/zinc/31/34/92/341313492.db2.gz WEDHHFWXTSHOLM-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN Cc1ccsc1CN[C@H](C)c1ccc(-n2cccn2)c(F)c1 ZINC000550256828 341313606 /nfs/dbraw/zinc/31/36/06/341313606.db2.gz RPSUERXVAZPTHR-CYBMUJFWSA-N 0 3 315.417 4.232 20 0 DIADHN COc1cc(CNC2CC(C(C)(C)C)C2)c(SC)cc1OC ZINC000550256973 341313720 /nfs/dbraw/zinc/31/37/20/341313720.db2.gz PZYBUXXLSZBGJV-UHFFFAOYSA-N 0 3 323.502 4.340 20 0 DIADHN CC(C)C1(NCC(=O)Nc2ccccc2Oc2ccccc2)CC1 ZINC000550272843 341314092 /nfs/dbraw/zinc/31/40/92/341314092.db2.gz FAWHRSGHCIISOQ-UHFFFAOYSA-N 0 3 324.424 4.196 20 0 DIADHN CC[C@@H](NCc1ccc(-c2ccccc2)cc1OC)C(C)(C)O ZINC000550295896 341314546 /nfs/dbraw/zinc/31/45/46/341314546.db2.gz WGMUTWPODHKGLM-LJQANCHMSA-N 0 3 313.441 4.001 20 0 DIADHN C[C@@H]1CN(CCC(=O)Nc2ccccc2Oc2ccccc2)[C@H]1C ZINC000550304531 341314708 /nfs/dbraw/zinc/31/47/08/341314708.db2.gz FHFZSVSAUAZHSW-CVEARBPZSA-N 0 3 324.424 4.148 20 0 DIADHN COc1cc(C)nc(CN[C@H]2C[C@H](c3ccccc3Cl)C2)c1 ZINC000550321988 341315101 /nfs/dbraw/zinc/31/51/01/341315101.db2.gz XQRPOEANZCIMRF-HDJSIYSDSA-N 0 3 316.832 4.088 20 0 DIADHN FC[C@@H]1CCCN1CC/C=C\c1ccc(Br)cc1 ZINC000550390783 341316218 /nfs/dbraw/zinc/31/62/18/341316218.db2.gz ITGLJSFYGREDPP-RTNQIJGDSA-N 0 3 312.226 4.286 20 0 DIADHN CC1(C)CN(Cc2coc(-c3ccc(F)cc3)n2)[C@H]2CCC[C@H]21 ZINC000550444964 341317101 /nfs/dbraw/zinc/31/71/01/341317101.db2.gz VZCOHPXHJUUWPT-SJORKVTESA-N 0 3 314.404 4.491 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccc(F)c3)o2)[C@@H]2CCC[C@H]21 ZINC000550437697 341317188 /nfs/dbraw/zinc/31/71/88/341317188.db2.gz DYJCJXSKDIDVTG-HZPDHXFCSA-N 0 3 314.404 4.491 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cnc(-c3ccccc3)s2)CCCO1 ZINC000550558637 341318558 /nfs/dbraw/zinc/31/85/58/341318558.db2.gz MNGNCLOTALZAMI-KRWDZBQOSA-N 0 3 316.470 4.057 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCCO[C@H](C(C)C)C2)c1C ZINC000550558859 341318601 /nfs/dbraw/zinc/31/86/01/341318601.db2.gz NKVQYJUELWIPGA-IBGZPJMESA-N 0 3 317.473 4.061 20 0 DIADHN CCN(CC)Cc1cccc(NC(=O)c2occc2C(C)C)c1 ZINC000550600298 341319179 /nfs/dbraw/zinc/31/91/79/341319179.db2.gz ILSHJNPZRUFSIW-UHFFFAOYSA-N 0 3 314.429 4.497 20 0 DIADHN CC(C)[C@@H]1OCCC[C@H]1CN[C@H](c1ccccc1)c1ccccn1 ZINC000246002637 341321626 /nfs/dbraw/zinc/32/16/26/341321626.db2.gz RXXQDNAEPQAQFE-TYPHKJRUSA-N 0 3 324.468 4.212 20 0 DIADHN COc1ccc(F)cc1N[C@H]1CCN(C)[C@@H](c2ccccc2)C1 ZINC000550760180 341322670 /nfs/dbraw/zinc/32/26/70/341322670.db2.gz UOOCJMYTWITIGQ-FUHWJXTLSA-N 0 3 314.404 4.082 20 0 DIADHN CC1(C)OCC[C@@H]1NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000550797624 341323205 /nfs/dbraw/zinc/32/32/05/341323205.db2.gz SQRXBVFJDYMXHW-AWEZNQCLSA-N 0 3 322.861 4.121 20 0 DIADHN C[C@H](NCc1cc2ccccc2s1)c1ccc2c(c1)OCO2 ZINC000550856447 341324594 /nfs/dbraw/zinc/32/45/94/341324594.db2.gz VNAKFIZVJMYDKF-LBPRGKRZSA-N 0 3 311.406 4.481 20 0 DIADHN C[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(C(F)(F)F)cc1 ZINC000550857601 341324774 /nfs/dbraw/zinc/32/47/74/341324774.db2.gz CFOCWUXIZQFYCP-QWRGUYRKSA-N 0 3 310.319 4.218 20 0 DIADHN Cc1nc(C(C)(C)C)sc1[C@H](C)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000550858205 341324875 /nfs/dbraw/zinc/32/48/75/341324875.db2.gz NJRQQNLZUQUUCX-MNOVXSKESA-N 0 3 319.474 4.261 20 0 DIADHN COc1ccccc1[C@@H](C)NCCc1cc(C(F)(F)F)ccn1 ZINC000550885410 341325480 /nfs/dbraw/zinc/32/54/80/341325480.db2.gz GOORASZERKCCKK-GFCCVEGCSA-N 0 3 324.346 4.002 20 0 DIADHN COc1ccccc1[C@H](C)NCCc1cc(C(F)(F)F)ccn1 ZINC000550885411 341325518 /nfs/dbraw/zinc/32/55/18/341325518.db2.gz GOORASZERKCCKK-LBPRGKRZSA-N 0 3 324.346 4.002 20 0 DIADHN COc1cc([C@H](C)NCc2cc(C)cc3c(C)c(C)[nH]c32)ccn1 ZINC000550890075 341325827 /nfs/dbraw/zinc/32/58/27/341325827.db2.gz CUHVFEZQPPVRFE-HNNXBMFYSA-N 0 3 323.440 4.348 20 0 DIADHN C[C@@H](NC[C@@H]1C[C@H]1c1ccccc1)c1nc2ccccc2n1C ZINC000550894697 341325936 /nfs/dbraw/zinc/32/59/36/341325936.db2.gz KKPSERYLVFSKPP-PVAVHDDUSA-N 0 3 305.425 4.028 20 0 DIADHN CCc1c2cc(C)ccc2oc1[C@@H](C)NCCc1nccnc1C ZINC000550895091 341326053 /nfs/dbraw/zinc/32/60/53/341326053.db2.gz LTTVPKKNIDIVGA-OAHLLOKOSA-N 0 3 323.440 4.295 20 0 DIADHN COc1cc([C@@H](C)N[C@H](c2ccc(F)c(C)c2)C2CC2)ccn1 ZINC000550939095 341327390 /nfs/dbraw/zinc/32/73/90/341327390.db2.gz CWVLNCWAFXLJFI-YJYMSZOUSA-N 0 3 314.404 4.340 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCc3c2cccc3Cl)ccn1 ZINC000550940005 341327618 /nfs/dbraw/zinc/32/76/18/341327618.db2.gz QEAIYNJZKMJUPT-MEDUHNTESA-N 0 3 302.805 4.082 20 0 DIADHN FC(F)Oc1cc(CN[C@@H]2CCc3c2cccc3Cl)ccn1 ZINC000551002174 341328784 /nfs/dbraw/zinc/32/87/84/341328784.db2.gz SWIWKBLIHZHSIL-CQSZACIVSA-N 0 3 324.758 4.114 20 0 DIADHN CCS[C@@H]1CCCC[C@@H]1N[C@H](C)c1nc2ccccc2n1C ZINC000246153598 341329995 /nfs/dbraw/zinc/32/99/95/341329995.db2.gz TVCSVIFKBJUCKS-UKPHBRMFSA-N 0 3 317.502 4.288 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1ccncc1F ZINC000551084196 341331076 /nfs/dbraw/zinc/33/10/76/341331076.db2.gz SFIGEBVJFDTVFX-QFSBIZTOSA-N 0 3 322.811 4.301 20 0 DIADHN FC1(F)CC[C@@H](CN2CCC[C@@H]2c2cccc3c2OCCO3)C1 ZINC000551094312 341331450 /nfs/dbraw/zinc/33/14/50/341331450.db2.gz XVWLLTFEYDEUCK-UKRRQHHQSA-N 0 3 323.383 4.030 20 0 DIADHN C[C@@H](N[C@@H]1COc2ccccc2C1)c1cc2cccc(F)c2o1 ZINC000551175289 341333054 /nfs/dbraw/zinc/33/30/54/341333054.db2.gz KREBVRNYVCHSCK-DOMZBBRYSA-N 0 3 311.356 4.226 20 0 DIADHN Clc1ccc(-c2ncc(CNC[C@H]3CCCCO3)s2)cc1 ZINC000551184379 341333377 /nfs/dbraw/zinc/33/33/77/341333377.db2.gz ASEPMWIKUDWCGL-CQSZACIVSA-N 0 3 322.861 4.122 20 0 DIADHN CCCCOCCNCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551184741 341333410 /nfs/dbraw/zinc/33/34/10/341333410.db2.gz GNRIALSZTACENL-UHFFFAOYSA-N 0 3 324.877 4.370 20 0 DIADHN CC[C@H](C[C@@H](C)CC)N[C@@H](C)c1ncc(Br)cn1 ZINC000551221101 341334306 /nfs/dbraw/zinc/33/43/06/341334306.db2.gz ATEGKIAJHAFWHE-GMXVVIOVSA-N 0 3 314.271 4.105 20 0 DIADHN COc1ccncc1CN[C@@H]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000551228950 341334560 /nfs/dbraw/zinc/33/45/60/341334560.db2.gz NHMSXUUOOGPWDH-IAGOWNOFSA-N 0 3 316.832 4.170 20 0 DIADHN COc1ccncc1CN[C@H]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000551228952 341334592 /nfs/dbraw/zinc/33/45/92/341334592.db2.gz NHMSXUUOOGPWDH-SJORKVTESA-N 0 3 316.832 4.170 20 0 DIADHN CCc1noc(C)c1CN[C@@H](Cc1ccccc1Cl)C1CC1 ZINC000551246608 341335426 /nfs/dbraw/zinc/33/54/26/341335426.db2.gz TVKUTOKNGHEYGC-SFHVURJKSA-N 0 3 318.848 4.310 20 0 DIADHN CCc1noc(C)c1CN[C@H](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000551246694 341335503 /nfs/dbraw/zinc/33/55/03/341335503.db2.gz WEDICUVFADNNQE-VXGBXAGGSA-N 0 3 306.837 4.481 20 0 DIADHN CCc1noc(C)c1CN[C@H]1CC=C(c2ccc(F)cc2)CC1 ZINC000551246289 341335510 /nfs/dbraw/zinc/33/55/10/341335510.db2.gz MEDJATAGGIYRTO-KRWDZBQOSA-N 0 3 314.404 4.410 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@@H](C)c2cn3ccccc3n2)cc1 ZINC000551264671 341335953 /nfs/dbraw/zinc/33/59/53/341335953.db2.gz DCKXRJNEOXIMLO-INIZCTEOSA-N 0 3 307.441 4.271 20 0 DIADHN CCOC1(CN[C@H](C)c2nc3c(s2)CCCC3)CCCC1 ZINC000551265283 341336151 /nfs/dbraw/zinc/33/61/51/341336151.db2.gz SCZMXRQXMWTRDS-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN C[C@H](N[C@@H]1CC[C@@H](Cc2ccccc2)C1)c1cn2ccccc2n1 ZINC000551284027 341337054 /nfs/dbraw/zinc/33/70/54/341337054.db2.gz YJXUGFHAMRLWMQ-YTQUADARSA-N 0 3 319.452 4.396 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2Cl)C1)c1ccncc1F ZINC000551309265 341337994 /nfs/dbraw/zinc/33/79/94/341337994.db2.gz PNRJBUXRRLXELS-JHJVBQTASA-N 0 3 304.796 4.471 20 0 DIADHN C=Cc1ccc(C(=O)N[C@@H](C)[C@@H](c2ccccc2C)N(C)C)cc1 ZINC000551311759 341338080 /nfs/dbraw/zinc/33/80/80/341338080.db2.gz LRZRVHRPNXABFV-JXFKEZNVSA-N 0 3 322.452 4.059 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(Br)s1)[C@H]1CCCO1 ZINC000246292403 341340476 /nfs/dbraw/zinc/34/04/76/341340476.db2.gz CLESNQPNBBYQAU-OUAUKWLOSA-N 0 3 318.280 4.119 20 0 DIADHN COc1ncc([C@H](C)N[C@H]2C[C@@H]2Cc2ccccc2)cc1Cl ZINC000551530041 341344081 /nfs/dbraw/zinc/34/40/81/341344081.db2.gz MJENXGLMIQFZCF-JDFRZJQESA-N 0 3 316.832 4.025 20 0 DIADHN CCC1CC(NCc2ccnc(Oc3ccc(OC)cc3)c2)C1 ZINC000551533515 341344403 /nfs/dbraw/zinc/34/44/03/341344403.db2.gz HCJSEIJKKCCZIJ-UHFFFAOYSA-N 0 3 312.413 4.161 20 0 DIADHN Cc1oc2ccccc2c1CCNCc1cnc(C(C)(C)C)nc1 ZINC000551556185 341345196 /nfs/dbraw/zinc/34/51/96/341345196.db2.gz HOEAHVSMDYZKAN-UHFFFAOYSA-N 0 3 323.440 4.161 20 0 DIADHN FC(F)Oc1cc(CNCc2ccc3ccccc3c2)ccn1 ZINC000551569660 341345936 /nfs/dbraw/zinc/34/59/36/341345936.db2.gz VKCPLCRNGVFOGX-UHFFFAOYSA-N 0 3 314.335 4.126 20 0 DIADHN Cc1cccc2c1[C@@H](C)C[C@H]2NCc1ccnc(OC(F)F)c1 ZINC000551573453 341346005 /nfs/dbraw/zinc/34/60/05/341346005.db2.gz RQURCSWCRVPHFK-SWLSCSKDSA-N 0 3 318.367 4.330 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(-c4ccccc4)cc32)n(C)n1 ZINC000551574433 341346063 /nfs/dbraw/zinc/34/60/63/341346063.db2.gz IBYQEUTZQRDQMU-NRFANRHFSA-N 0 3 317.436 4.173 20 0 DIADHN C[C@@H]1OCC[C@]1(C)NCc1cnc(-c2ccc(Cl)cc2)s1 ZINC000551593612 341346847 /nfs/dbraw/zinc/34/68/47/341346847.db2.gz NWZKLXBPZUEZHL-ZBEGNZNMSA-N 0 3 322.861 4.121 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)[C@H]2COc3ccccc32)c(F)c1 ZINC000246415981 341347945 /nfs/dbraw/zinc/34/79/45/341347945.db2.gz MWJYEQGORGGARV-GDZNZVCISA-N 0 3 315.388 4.050 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)CCCC(C)C)c(Cl)c1 ZINC000551673660 341348614 /nfs/dbraw/zinc/34/86/14/341348614.db2.gz QPEGPVUBUNRINN-CQSZACIVSA-N 0 3 310.869 4.391 20 0 DIADHN C[C@H](c1cc(Cl)ccc1Cl)N(C)C[C@H]1CCCOC1 ZINC000246451688 341349088 /nfs/dbraw/zinc/34/90/88/341349088.db2.gz BXGGFXAUMHVEQH-VXGBXAGGSA-N 0 3 302.245 4.413 20 0 DIADHN COc1cccc(CCCN2CCC(CC(F)(F)F)CC2)c1 ZINC000551707485 341349686 /nfs/dbraw/zinc/34/96/86/341349686.db2.gz KJRFLYSAEZDNOZ-UHFFFAOYSA-N 0 3 315.379 4.292 20 0 DIADHN CSC[C@H](O)CN(CC(C)C)[C@@H](C)c1ccccc1Cl ZINC000551716388 341349901 /nfs/dbraw/zinc/34/99/01/341349901.db2.gz SKPIUHRTFIFIFY-UONOGXRCSA-N 0 3 315.910 4.083 20 0 DIADHN Cc1ccccc1[C@H](C)N(Cc1cn2cccnc2n1)CC(C)C ZINC000551722239 341350136 /nfs/dbraw/zinc/35/01/36/341350136.db2.gz AUWZLIGSMHCDLI-KRWDZBQOSA-N 0 3 322.456 4.257 20 0 DIADHN Cc1cc(CN[C@H](c2ccc(Cl)cc2)C2CCCC2)n(C)n1 ZINC000551777457 341350994 /nfs/dbraw/zinc/35/09/94/341350994.db2.gz KYPJYYCEIUDJEK-SFHVURJKSA-N 0 3 317.864 4.403 20 0 DIADHN C/C=C/C[C@H](CO)N[C@H](C)c1ccc(OC(C)C)c(Cl)c1 ZINC000551778402 341351029 /nfs/dbraw/zinc/35/10/29/341351029.db2.gz FVEQTITWHSAGDS-FHTYSXSTSA-N 0 3 311.853 4.105 20 0 DIADHN Cn1ccnc1[C@H](NCCCCc1ccc(Cl)s1)C1CC1 ZINC000551778632 341351071 /nfs/dbraw/zinc/35/10/71/341351071.db2.gz FGJDOOYGRGZGQY-OAHLLOKOSA-N 0 3 323.893 4.199 20 0 DIADHN COc1ncc([C@H](C)NC2(c3ccccc3)CCC2)cc1Cl ZINC000551785826 341351262 /nfs/dbraw/zinc/35/12/62/341351262.db2.gz PJQCEORLJVYBFV-ZDUSSCGKSA-N 0 3 316.832 4.474 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NCCc2nccs2)cc1Cl ZINC000551787397 341351377 /nfs/dbraw/zinc/35/13/77/341351377.db2.gz GLSRRSIMJBMTSH-LBPRGKRZSA-N 0 3 324.877 4.477 20 0 DIADHN COc1ccc([C@H]2C[C@@H]2[C@@H](C)NCc2ccc(F)cc2F)cc1 ZINC000551788283 341351567 /nfs/dbraw/zinc/35/15/67/341351567.db2.gz BRBGXEQOFRSHTQ-NXOUGTEYSA-N 0 3 317.379 4.255 20 0 DIADHN CN(CCc1ccc(Cl)cc1)Cc1cnc(C(C)(C)C)nc1 ZINC000551803666 341352187 /nfs/dbraw/zinc/35/21/87/341352187.db2.gz AWEVUPONRTWTPP-UHFFFAOYSA-N 0 3 317.864 4.102 20 0 DIADHN C[C@H](NCc1ccnc(OC(F)F)c1)c1ccc2c(c1)CCC2 ZINC000551812082 341352652 /nfs/dbraw/zinc/35/26/52/341352652.db2.gz RAXYZSFHJNKVNN-LBPRGKRZSA-N 0 3 318.367 4.023 20 0 DIADHN CS[C@@H]1CCC[C@H](N[C@@H](C)c2nc3c(s2)CCCC3)C1 ZINC000246582133 341353928 /nfs/dbraw/zinc/35/39/28/341353928.db2.gz YSOMUGYCQHTAFF-RWMBFGLXSA-N 0 3 310.532 4.347 20 0 DIADHN CC[C@H](c1ccc(Br)cc1)N1CC[C@@]2(CCOC2)C1 ZINC000246605503 341354261 /nfs/dbraw/zinc/35/42/61/341354261.db2.gz BKXYHAYGBJVUMD-HZPDHXFCSA-N 0 3 324.262 4.013 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000246613446 341354370 /nfs/dbraw/zinc/35/43/70/341354370.db2.gz NEZKZDTUSHQRPU-SWLSCSKDSA-N 0 3 301.434 4.392 20 0 DIADHN C[C@H](NCCn1cnc2ccccc21)c1ccc(Cl)c(F)c1 ZINC000551917668 341354832 /nfs/dbraw/zinc/35/48/32/341354832.db2.gz RORSIKAMNIERAO-LBPRGKRZSA-N 0 3 317.795 4.180 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3ccccc3Cl)o2)C[C@@H](C)C1 ZINC000246723698 341356285 /nfs/dbraw/zinc/35/62/85/341356285.db2.gz OGSAFZZXLBYHRH-STQMWFEESA-N 0 3 319.836 4.258 20 0 DIADHN C[C@H](N[C@@H](C)[C@H]1COc2ccccc21)c1ccc(F)c(F)c1 ZINC000246735813 341356519 /nfs/dbraw/zinc/35/65/19/341356519.db2.gz OBKHVVNYCRQNQN-SLEUVZQESA-N 0 3 303.352 4.180 20 0 DIADHN CCO[C@@H]1C[C@H](N[C@H](C)c2nc(C)cs2)C12CCCCC2 ZINC000246783077 341357010 /nfs/dbraw/zinc/35/70/10/341357010.db2.gz HLSYZMCHJZXHSJ-QLFBSQMISA-N 0 3 308.491 4.230 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N([C@H](C)c2nc(C3CC3)no2)C1 ZINC000246904967 341358476 /nfs/dbraw/zinc/35/84/76/341358476.db2.gz CGNMUAFAJMWTSO-IIAWOOMASA-N 0 3 311.429 4.194 20 0 DIADHN COC[C@H](N[C@@H](C)CCc1ccc(F)cc1F)c1ccc(C)o1 ZINC000552045359 341364661 /nfs/dbraw/zinc/36/46/61/341364661.db2.gz FDTLASHIPKVEFK-SJCJKPOMSA-N 0 3 323.383 4.165 20 0 DIADHN COc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@H]43)co2)cc1 ZINC000247460105 341365221 /nfs/dbraw/zinc/36/52/21/341365221.db2.gz IHEFAVIZEAYTPZ-KBXCAEBGSA-N 0 3 312.413 4.115 20 0 DIADHN CCc1noc(CC)c1CN[C@H](C)CCc1ccc(F)cc1F ZINC000552058091 341365787 /nfs/dbraw/zinc/36/57/87/341365787.db2.gz VJKZBZQHGOYFPV-GFCCVEGCSA-N 0 3 322.399 4.189 20 0 DIADHN c1c[nH]c([C@@H](NC[C@@H]2[C@@H]3c4ccccc4C[C@@H]32)C2CCCCC2)n1 ZINC000552061210 341366042 /nfs/dbraw/zinc/36/60/42/341366042.db2.gz BJCXDBHSVXOVBP-WCIQWLHISA-N 0 3 321.468 4.207 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC000247520369 341366522 /nfs/dbraw/zinc/36/65/22/341366522.db2.gz NILIMZQUULWRKG-BPQIPLTHSA-N 0 3 314.473 4.149 20 0 DIADHN C[C@H]1CCN(Cc2cnn3ccccc23)[C@H]1c1cccc(F)c1 ZINC000552076716 341367955 /nfs/dbraw/zinc/36/79/55/341367955.db2.gz BAZZDPSJUCUBOL-IFXJQAMLSA-N 0 3 309.388 4.057 20 0 DIADHN CCc1ccc(CN2CC[C@@H](c3cccc(Cl)c3)C2)cn1 ZINC000552084961 341368261 /nfs/dbraw/zinc/36/82/61/341368261.db2.gz HRPCGMCIMWUHBE-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccoc1 ZINC000552086847 341368514 /nfs/dbraw/zinc/36/85/14/341368514.db2.gz RYQDQCXNVTUCLG-MZPVMMEZSA-N 0 3 307.340 4.209 20 0 DIADHN Cn1ccnc1[C@H](N[C@@H]1CCC[C@@H](c2ccccc2)C1)C1CC1 ZINC000552087675 341368656 /nfs/dbraw/zinc/36/86/56/341368656.db2.gz NMGBVIUPQIMYBU-GUDVDZBRSA-N 0 3 309.457 4.187 20 0 DIADHN CCCCCC(=O)NC[C@@H](c1cccs1)N1CCC(C)CC1 ZINC000247860471 341370647 /nfs/dbraw/zinc/37/06/47/341370647.db2.gz ZZNSXUOQUNDYLJ-INIZCTEOSA-N 0 3 322.518 4.218 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CC2(CCOCC2)[C@H]1C1CC1 ZINC000552129756 341371606 /nfs/dbraw/zinc/37/16/06/341371606.db2.gz JILITTGDEMFOGL-GOSISDBHSA-N 0 3 319.876 4.348 20 0 DIADHN OC[C@@H]1CCCN(Cc2ccc(F)c(-c3cccs3)c2)CC1 ZINC000552147304 341373425 /nfs/dbraw/zinc/37/34/25/341373425.db2.gz WZAGIBFKDAZPCR-CQSZACIVSA-N 0 3 319.445 4.149 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N3C[C@H](C)C[C@@H](C)C3)n2)cc1F ZINC000248129755 341373697 /nfs/dbraw/zinc/37/36/97/341373697.db2.gz WQFPSFXPTIEZRV-BZPMIXESSA-N 0 3 317.408 4.223 20 0 DIADHN COc1ccc(OCCN(C)[C@H](C)c2ccc(F)c(F)c2)cc1 ZINC000248132120 341373747 /nfs/dbraw/zinc/37/37/47/341373747.db2.gz KWRIRFWBQWHPSZ-CYBMUJFWSA-N 0 3 321.367 4.045 20 0 DIADHN CCCCN(CC)CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000248222768 341374907 /nfs/dbraw/zinc/37/49/07/341374907.db2.gz IHYNHSRGUXAPDF-INIZCTEOSA-N 0 3 312.457 4.139 20 0 DIADHN Cc1noc([C@H]2CCCN([C@H](C)c3ccc(C(C)C)cc3)C2)n1 ZINC000248441055 341378007 /nfs/dbraw/zinc/37/80/07/341378007.db2.gz DMJTZIDKBKDQQU-KDOFPFPSSA-N 0 3 313.445 4.442 20 0 DIADHN CCC[C@H](N[C@H](C)C[S@@](C)=O)c1ccc(Cl)c(Cl)c1 ZINC000248504987 341378895 /nfs/dbraw/zinc/37/88/95/341378895.db2.gz VXWAAMPVGPMDRS-MPSXMAJESA-N 0 3 322.301 4.191 20 0 DIADHN COc1ccc(C[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC000552228179 341379677 /nfs/dbraw/zinc/37/96/77/341379677.db2.gz JVXVGYOOPMYOSM-SJLPKXTDSA-N 0 3 310.441 4.106 20 0 DIADHN Cc1nc(-c2ccc(F)cc2)sc1[C@H](C)NCc1cc[nH]c1 ZINC000086253083 341379981 /nfs/dbraw/zinc/37/99/81/341379981.db2.gz PEQFNVYHHDOZNF-NSHDSACASA-N 0 3 315.417 4.437 20 0 DIADHN CCOc1ccc(CN(C)CCCC(=O)c2ccccc2)cc1 ZINC000552233126 341380195 /nfs/dbraw/zinc/38/01/95/341380195.db2.gz NVRFZLNFNXZELM-UHFFFAOYSA-N 0 3 311.425 4.180 20 0 DIADHN c1ccc(-c2ncc(CN3CCC4(CCCC4)CC3)cn2)cc1 ZINC000552237715 341380406 /nfs/dbraw/zinc/38/04/06/341380406.db2.gz JXRXKFYYTJOZPG-UHFFFAOYSA-N 0 3 307.441 4.300 20 0 DIADHN COCc1ccccc1/C=C(\C)CNCC1(C(F)(F)F)CC1 ZINC000552243235 341380778 /nfs/dbraw/zinc/38/07/78/341380778.db2.gz SPODHZMRYJLZGG-UKTHLTGXSA-N 0 3 313.363 4.168 20 0 DIADHN Cc1cc(C)cc([C@@H](C)N[C@@H](C)c2ccccc2-n2ccnn2)c1 ZINC000552250054 341381314 /nfs/dbraw/zinc/38/13/14/341381314.db2.gz SZODHURXGUNCSG-SJORKVTESA-N 0 3 320.440 4.296 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccccc2-n2ccnn2)c1 ZINC000552250053 341381342 /nfs/dbraw/zinc/38/13/42/341381342.db2.gz SZODHURXGUNCSG-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN C[C@@H]1CN(Cc2nnc(-c3ccccc3)s2)[C@H]2CCCC[C@@H]12 ZINC000248628437 341382194 /nfs/dbraw/zinc/38/21/94/341382194.db2.gz POYUDZBBQSDEMP-KBMXLJTQSA-N 0 3 313.470 4.216 20 0 DIADHN COc1cccc(CN2CCC[C@@H](c3ccccc3)C2)c1OC ZINC000552274411 341383011 /nfs/dbraw/zinc/38/30/11/341383011.db2.gz SQUIJWPSQGVFIZ-QGZVFWFLSA-N 0 3 311.425 4.083 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1ncc[nH]1 ZINC000552275166 341383232 /nfs/dbraw/zinc/38/32/32/341383232.db2.gz NAQXHWOHWKOWOU-GJZGRUSLSA-N 0 3 303.475 4.248 20 0 DIADHN Cc1ccnc([C@H](C)NCC2(c3ccccc3Cl)CC2)c1 ZINC000552275343 341383246 /nfs/dbraw/zinc/38/32/46/341383246.db2.gz SGESWNWWMNVOHT-AWEZNQCLSA-N 0 3 300.833 4.426 20 0 DIADHN CCc1ncc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)s1 ZINC000552277684 341383535 /nfs/dbraw/zinc/38/35/35/341383535.db2.gz DRWZQFKSHNDSOG-WMLDXEAASA-N 0 3 300.471 4.331 20 0 DIADHN COc1ccc([C@@H](C)CN2CC(C)(C)[C@@H]2c2ccncc2)cc1 ZINC000552279389 341383675 /nfs/dbraw/zinc/38/36/75/341383675.db2.gz DWAHLECWKRWNNA-KXBFYZLASA-N 0 3 310.441 4.277 20 0 DIADHN Cc1c([C@H](C)NCCO[C@@H]2CCCC[C@H]2C)cnn1C(C)(C)C ZINC000248700446 341384708 /nfs/dbraw/zinc/38/47/08/341384708.db2.gz MTTGWWGZIUVITG-RVKKMQEKSA-N 0 3 321.509 4.192 20 0 DIADHN Cc1c([C@H](C)NCCO[C@H]2CCCC[C@H]2C)cnn1C(C)(C)C ZINC000248700449 341384798 /nfs/dbraw/zinc/38/47/98/341384798.db2.gz MTTGWWGZIUVITG-VKJFTORMSA-N 0 3 321.509 4.192 20 0 DIADHN Cc1cncc([C@H](C)N[C@H]2CCO[C@H]2c2ccc(Cl)cc2)c1 ZINC000248751112 341385820 /nfs/dbraw/zinc/38/58/20/341385820.db2.gz TYFYHSMULMMYCV-KKXDTOCCSA-N 0 3 316.832 4.224 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CC[C@]3(C1)OCc1ccccc13)CCC2 ZINC000248760159 341386343 /nfs/dbraw/zinc/38/63/43/341386343.db2.gz UKTATKQQKZVCCI-LEWJYISDSA-N 0 3 323.411 4.335 20 0 DIADHN COc1cc([C@@H](C)NCc2ccc3[nH]c(C)cc3c2)ccc1F ZINC000552323486 341386591 /nfs/dbraw/zinc/38/65/91/341386591.db2.gz JOEAJQUQPNOAFT-CYBMUJFWSA-N 0 3 312.388 4.475 20 0 DIADHN Cc1cc(CCN[C@@H](C)c2cc3cc(Cl)ccc3o2)on1 ZINC000552332632 341387124 /nfs/dbraw/zinc/38/71/24/341387124.db2.gz YWSYUUCDTNBQJD-NSHDSACASA-N 0 3 304.777 4.276 20 0 DIADHN COc1ccsc1[C@@H](C)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000552335402 341387342 /nfs/dbraw/zinc/38/73/42/341387342.db2.gz QOMLDZYNSBSWGB-WDEREUQCSA-N 0 3 301.415 4.045 20 0 DIADHN Cc1cc(CCN[C@H](C)c2ccc(OCCC(C)C)cc2)on1 ZINC000552338450 341387660 /nfs/dbraw/zinc/38/76/60/341387660.db2.gz FSHUUMMVUWIPIM-MRXNPFEDSA-N 0 3 316.445 4.301 20 0 DIADHN O[C@@H]1CC[C@H](CN[C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC000248958857 341390835 /nfs/dbraw/zinc/39/08/35/341390835.db2.gz AVBPAGHVKHNIAA-KYNGSXCRSA-N 0 3 315.844 4.180 20 0 DIADHN c1cc(-c2ncc(CN3CC[C@H](CC4CC4)C3)s2)cs1 ZINC000552407761 341391834 /nfs/dbraw/zinc/39/18/34/341391834.db2.gz CHGQESGMCUQUOD-CYBMUJFWSA-N 0 3 304.484 4.494 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@H](C)c2nc(-c3ccccc3)cs2)C1 ZINC000249054015 341392820 /nfs/dbraw/zinc/39/28/20/341392820.db2.gz OOUKUJVWLBWOGF-VHDGCEQUSA-N 0 3 302.443 4.028 20 0 DIADHN OC[C@H]1CCCN(Cc2ccc(-c3ccccc3)cc2F)CC1 ZINC000552424113 341392867 /nfs/dbraw/zinc/39/28/67/341392867.db2.gz LEIZQJMZPFVOBF-INIZCTEOSA-N 0 3 313.416 4.087 20 0 DIADHN Cc1cnc(CN(CCc2ccccc2)C2CCCC2)s1 ZINC000552467248 341395034 /nfs/dbraw/zinc/39/50/34/341395034.db2.gz KQAKVTXGYNYYSQ-UHFFFAOYSA-N 0 3 300.471 4.439 20 0 DIADHN COC(=O)c1cccc(CN[C@@H](CC(C)C)c2ccccc2)c1 ZINC000552483962 341395774 /nfs/dbraw/zinc/39/57/74/341395774.db2.gz NVYNZPZSKDMPRI-IBGZPJMESA-N 0 3 311.425 4.350 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1CC(=O)Nc1c(C)cccc1C(C)C ZINC000552492985 341396178 /nfs/dbraw/zinc/39/61/78/341396178.db2.gz PQSCGWVADILRET-CVEARBPZSA-N 0 3 302.462 4.320 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cnccc1C)Oc1cccc(F)c1 ZINC000552522304 341397226 /nfs/dbraw/zinc/39/72/26/341397226.db2.gz OBUFHGHJNDWKTO-HOCLYGCPSA-N 0 3 302.393 4.037 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)NCCCc1nccs1 ZINC000552551424 341398492 /nfs/dbraw/zinc/39/84/92/341398492.db2.gz NASOHGVPWDQQCC-GFCCVEGCSA-N 0 3 324.877 4.479 20 0 DIADHN CCc1ccc([C@@H]2CCCCCN2C[C@@H](O)CC(F)(F)F)o1 ZINC000249508503 341398507 /nfs/dbraw/zinc/39/85/07/341398507.db2.gz ZDQRTSYYKKXEMF-JSGCOSHPSA-N 0 3 319.367 4.072 20 0 DIADHN Fc1ccc(Br)c2c1CC[C@@H]2N[C@H]1CC=CCC1 ZINC000552554970 341398547 /nfs/dbraw/zinc/39/85/47/341398547.db2.gz CYVPLLYVHMAWNW-HZMBPMFUSA-N 0 3 310.210 4.274 20 0 DIADHN C[C@H](N[C@@H]1C[C@H]2OCCC[C@@H]12)c1cc(Cl)ccc1Cl ZINC000249527478 341398786 /nfs/dbraw/zinc/39/87/86/341398786.db2.gz ABQSRYFXYGVXBN-YZENIEGJSA-N 0 3 300.229 4.212 20 0 DIADHN Oc1ccccc1CCN[C@@H]1CCSc2ccc(Cl)cc21 ZINC000552576405 341399379 /nfs/dbraw/zinc/39/93/79/341399379.db2.gz VMFUWQYETMCIAZ-OAHLLOKOSA-N 0 3 319.857 4.415 20 0 DIADHN C[C@H](N[C@H]1CCO[C@@H](C(C)(C)C)C1)c1cc(F)c(F)c(F)c1 ZINC000552578964 341399559 /nfs/dbraw/zinc/39/95/59/341399559.db2.gz MTIGYEAQTBBYKK-ITDIGPHOSA-N 0 3 315.379 4.348 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H]2OCCC[C@@H]12)c1cccc(Cl)c1Cl ZINC000249879084 341401684 /nfs/dbraw/zinc/40/16/84/341401684.db2.gz HJXLNIMLUQOUIC-VMXABPDPSA-N 0 3 300.229 4.212 20 0 DIADHN Clc1ccc(-c2ccc(CN[C@@H]3C[C@H]4OCCC[C@@H]34)o2)cc1 ZINC000250103364 341402363 /nfs/dbraw/zinc/40/23/63/341402363.db2.gz RTUFTWWTSPUIHG-LZLYRXPVSA-N 0 3 317.816 4.257 20 0 DIADHN C[C@@H](NCc1cccc(Cl)c1)c1ccccc1-n1cccn1 ZINC000552899451 341408190 /nfs/dbraw/zinc/40/81/90/341408190.db2.gz PXNQFEDBVJUMCO-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN C[C@@H](N[C@H](Cc1ccccc1)c1cccs1)c1cnn(C)c1 ZINC000090015625 341412436 /nfs/dbraw/zinc/41/24/36/341412436.db2.gz XKYLKIOXKUSEHI-RHSMWYFYSA-N 0 3 311.454 4.116 20 0 DIADHN C[C@@H](NCC(C)(C)C[C@H](C)O)c1ccccc1OC(F)(F)F ZINC000090086953 341413311 /nfs/dbraw/zinc/41/33/11/341413311.db2.gz NMERSKFFNCPSOT-NWDGAFQWSA-N 0 3 319.367 4.033 20 0 DIADHN C[C@H](O)CC(C)(C)CN[C@H]1CC2(CCCC2)Oc2ccccc21 ZINC000090085582 341413319 /nfs/dbraw/zinc/41/33/19/341413319.db2.gz ZPUQADDWKJUIDW-RDJZCZTQSA-N 0 3 317.473 4.210 20 0 DIADHN C[C@@H]1CCC[C@@H](C)C1NCc1nnc(-c2ccccc2)s1 ZINC000090401446 341415291 /nfs/dbraw/zinc/41/52/91/341415291.db2.gz MYSXGGCCLAMWFK-CHWSQXEVSA-N 0 3 301.459 4.119 20 0 DIADHN C[C@@H](NC[C@@H](c1ccccc1)C(C)(C)CO)c1ccccc1F ZINC000090657591 341418249 /nfs/dbraw/zinc/41/82/49/341418249.db2.gz OVBGCPABJRPKDU-QAPCUYQASA-N 0 3 315.432 4.279 20 0 DIADHN COCCCOc1cccc(CN[C@@H](C)c2ccc(C)cc2)c1 ZINC000090718816 341418627 /nfs/dbraw/zinc/41/86/27/341418627.db2.gz WVSKYJZPUWMBMS-KRWDZBQOSA-N 0 3 313.441 4.261 20 0 DIADHN CS[C@@H](C)CN[C@@H](C)c1cnn(-c2cccc(Cl)c2)c1C ZINC000090725205 341418870 /nfs/dbraw/zinc/41/88/70/341418870.db2.gz GHFCXGIZMVLEBV-RYUDHWBXSA-N 0 3 323.893 4.236 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3ccnn3)cc2)cc1 ZINC000090879758 341419964 /nfs/dbraw/zinc/41/99/64/341419964.db2.gz KCUAZWDELHTAGV-HZPDHXFCSA-N 0 3 320.440 4.242 20 0 DIADHN C[C@H](N[C@@H]1CCN(C)[C@@H]1c1ccc(Cl)cc1)c1ccco1 ZINC000090916629 341420285 /nfs/dbraw/zinc/42/02/85/341420285.db2.gz IJNBELODEWWZPB-XGWLTEMNSA-N 0 3 304.821 4.029 20 0 DIADHN C[C@H](N[C@H]1CCN(C)[C@@H]1c1ccc(F)c(F)c1)c1ccsc1 ZINC000090943309 341420454 /nfs/dbraw/zinc/42/04/54/341420454.db2.gz KRIFKCNTAZNMCM-MZPVMMEZSA-N 0 3 322.424 4.122 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CC1)c1nc2ccccc2n1C ZINC000091287433 341424248 /nfs/dbraw/zinc/42/42/48/341424248.db2.gz KCTGMLUBDRNNER-AWEZNQCLSA-N 0 3 323.415 4.095 20 0 DIADHN C[C@H](NC[C@]1(C)CCCS1)c1nc2ccc(Cl)cc2n1C ZINC000091370367 341425433 /nfs/dbraw/zinc/42/54/33/341425433.db2.gz ITCRXGMXLZQKJK-ZBEGNZNMSA-N 0 3 323.893 4.163 20 0 DIADHN c1nc(-c2ccncc2)sc1CN[C@H](c1ccccc1)C1CC1 ZINC000553548537 341431504 /nfs/dbraw/zinc/43/15/04/341431504.db2.gz QYESRKBMMCZCKY-GOSISDBHSA-N 0 3 321.449 4.446 20 0 DIADHN c1sc(CCc2ccccc2)nc1CN[C@@H]1CC[C@@H]1C1CC1 ZINC000554043005 341441428 /nfs/dbraw/zinc/44/14/28/341441428.db2.gz DWFIBRLKMLJMDP-QZTJIDSGSA-N 0 3 312.482 4.207 20 0 DIADHN Fc1ccc([C@H](NC[C@H]2CCCSC2)c2cccnc2)cc1 ZINC000554071936 341442478 /nfs/dbraw/zinc/44/24/78/341442478.db2.gz BDGYVXRZWDFISH-KDOFPFPSSA-N 0 3 316.445 4.043 20 0 DIADHN Fc1ccc([C@H](NC[C@@H]2CCCSC2)c2ccccn2)cc1 ZINC000554072949 341442666 /nfs/dbraw/zinc/44/26/66/341442666.db2.gz JTRNZLZMJPMYTL-KSSFIOAISA-N 0 3 316.445 4.043 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCCSC(C)C)c1 ZINC000554089511 341443642 /nfs/dbraw/zinc/44/36/42/341443642.db2.gz UAGGOZFCEKOKDO-LBPRGKRZSA-N 0 3 303.418 4.389 20 0 DIADHN Cc1c([C@@H](C)N[C@@H]2CCC2(C)C)nnn1-c1ccc(Cl)cc1 ZINC000554097359 341444225 /nfs/dbraw/zinc/44/42/25/341444225.db2.gz YIGARQLBQSOQGY-IAQYHMDHSA-N 0 3 318.852 4.068 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCCn1cnc2ccccc21 ZINC000554211504 341446774 /nfs/dbraw/zinc/44/67/74/341446774.db2.gz WFPFHNOZAIOMLP-OAHLLOKOSA-N 0 3 319.408 4.442 20 0 DIADHN C[C@H](NC[C@H]1CC[C@H](c2ccccc2)O1)c1ccccc1N(C)C ZINC000554741696 341463043 /nfs/dbraw/zinc/46/30/43/341463043.db2.gz QJCBUWIXDBFAQM-YRISNDGFSA-N 0 3 324.468 4.324 20 0 DIADHN COc1ccc([C@@H](C)NCCc2ccc(F)cc2Cl)cc1O ZINC000554990161 341471857 /nfs/dbraw/zinc/47/18/57/341471857.db2.gz FEGLJLCDHMOYNU-LLVKDONJSA-N 0 3 323.795 4.087 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3sccc3c2)cc1O ZINC000554991306 341471893 /nfs/dbraw/zinc/47/18/93/341471893.db2.gz JPJWKKNLTCKDEH-GFCCVEGCSA-N 0 3 313.422 4.466 20 0 DIADHN CC1CN(Cc2cn(Cc3ccccc3)nc2-c2ccccc2)C1 ZINC000555340049 341480522 /nfs/dbraw/zinc/48/05/22/341480522.db2.gz POAPNXNDFFRDNL-UHFFFAOYSA-N 0 3 317.436 4.050 20 0 DIADHN CC[C@]1(CNCc2ccsc2C)OCCc2ccccc21 ZINC000555587131 341486069 /nfs/dbraw/zinc/48/60/69/341486069.db2.gz ZQYPZJWBDKGGBH-GOSISDBHSA-N 0 3 301.455 4.024 20 0 DIADHN Cc1ccccc1C(C)(C)NCC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000555612343 341486921 /nfs/dbraw/zinc/48/69/21/341486921.db2.gz LNVAUMWTJLCYQZ-KRWDZBQOSA-N 0 3 324.468 4.039 20 0 DIADHN C[C@H](F)CCNCc1cn(-c2ccccc2)nc1C(C)(C)C ZINC000555616280 341487234 /nfs/dbraw/zinc/48/72/34/341487234.db2.gz REUDGFNTOQAMKC-AWEZNQCLSA-N 0 3 303.425 4.008 20 0 DIADHN Cc1cc(C(=O)Nc2cccc(CN(C)C)c2)c(Cl)cc1F ZINC000163092635 341492106 /nfs/dbraw/zinc/49/21/06/341492106.db2.gz JHDMJWMHZDPGQM-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN C[C@H](NCCc1cc(C(F)(F)F)ccn1)c1ccsc1 ZINC000556228641 341498123 /nfs/dbraw/zinc/49/81/23/341498123.db2.gz BEGRSLZLPJVFCA-JTQLQIEISA-N 0 3 300.349 4.055 20 0 DIADHN Cc1cc([C@H](C)NCC2(c3ccc(F)cc3)CCCC2)no1 ZINC000556233433 341498241 /nfs/dbraw/zinc/49/82/41/341498241.db2.gz IMAPVLZOAMCFAV-AWEZNQCLSA-N 0 3 302.393 4.285 20 0 DIADHN COc1ccccc1-c1nc(CN2C[C@@H]3CCC[C@H]3C2)cs1 ZINC000152676314 341501292 /nfs/dbraw/zinc/50/12/92/341501292.db2.gz KZEDVNHMJDSSMI-KBPBESRZSA-N 0 3 314.454 4.051 20 0 DIADHN CC1(C)CC[C@H]1N[C@@H](Cc1ccccc1)C(=O)Nc1ccccc1 ZINC000564646415 341506013 /nfs/dbraw/zinc/50/60/13/341506013.db2.gz WEYNYVNBWWXTNW-RBUKOAKNSA-N 0 3 322.452 4.015 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc(OCC)c(F)c2)cc1 ZINC000152809395 341506797 /nfs/dbraw/zinc/50/67/97/341506797.db2.gz HNGCENBOAVEJLW-CQSZACIVSA-N 0 3 317.404 4.474 20 0 DIADHN CC[C@@H](COC)N[C@H](C)c1sc(-c2ccccc2F)nc1C ZINC000152877562 341509633 /nfs/dbraw/zinc/50/96/33/341509633.db2.gz DZWDFNPVUIFFNX-YPMHNXCESA-N 0 3 322.449 4.333 20 0 DIADHN CC[C@@H](CC(F)(F)F)N[C@@H]1CCCc2c(OC)cccc21 ZINC000564807290 341510172 /nfs/dbraw/zinc/51/01/72/341510172.db2.gz KDNUULUQCQUUMF-SMDDNHRTSA-N 0 3 301.352 4.393 20 0 DIADHN Cc1nc(-c2ccccc2)sc1[C@H](C)N[C@@H](C)[C@@H]1CCCO1 ZINC000152910933 341511148 /nfs/dbraw/zinc/51/11/48/341511148.db2.gz WYSSFHVJXVMSLO-XEZPLFJOSA-N 0 3 316.470 4.337 20 0 DIADHN C[C@H](N[C@H]1CCCC(F)(F)C1)c1cncc(Br)c1 ZINC000565243359 341521123 /nfs/dbraw/zinc/52/11/23/341521123.db2.gz MGNUMTFQRNHRPK-CABZTGNLSA-N 0 3 319.193 4.073 20 0 DIADHN C[C@H](NCCCCCOc1ccccc1)c1c(F)cncc1F ZINC000565452272 341527770 /nfs/dbraw/zinc/52/77/70/341527770.db2.gz ZGXITVHSQFJALC-AWEZNQCLSA-N 0 3 320.383 4.260 20 0 DIADHN F[C@H]1CC[C@H](NCc2cc3cc(Br)ccc3o2)C1 ZINC000565549858 341530817 /nfs/dbraw/zinc/53/08/17/341530817.db2.gz NCSPQKBQKOXHBA-RYUDHWBXSA-N 0 3 312.182 4.176 20 0 DIADHN CC(C)Cc1ccc([C@H](NCc2cccnc2N)C2CC2)cc1 ZINC000565991598 341544794 /nfs/dbraw/zinc/54/47/94/341544794.db2.gz PVRXXFQJJNEPAD-IBGZPJMESA-N 0 3 309.457 4.103 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1C[C@@H](C)c3ccccc31)CCO2 ZINC000566032543 341545919 /nfs/dbraw/zinc/54/59/19/341545919.db2.gz KXUCDQGLYYVWPI-ZNZDAUKMSA-N 0 3 309.409 4.357 20 0 DIADHN CCCc1cccc(CN[C@H](C)c2ccc3c(c2)CCC(=O)N3)c1 ZINC000566399918 341556489 /nfs/dbraw/zinc/55/64/89/341556489.db2.gz YUZDJUMDYOECPA-OAHLLOKOSA-N 0 3 322.452 4.375 20 0 DIADHN CCOc1cc(C[NH2+]C[C@H]2CCC[C@H](C)C2)cc(Cl)c1[O-] ZINC000566577434 341562236 /nfs/dbraw/zinc/56/22/36/341562236.db2.gz KFWLTEPYGNHHNL-STQMWFEESA-N 0 3 311.853 4.360 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc3ccccc3c2)cc1OC ZINC000263849903 341575743 /nfs/dbraw/zinc/57/57/43/341575743.db2.gz NATQBGUWBRFGPV-AWEZNQCLSA-N 0 3 322.408 4.103 20 0 DIADHN CCn1nc(C)c(CN[C@H](C)c2ccc(SC)cc2)c1C ZINC000307966276 341581823 /nfs/dbraw/zinc/58/18/23/341581823.db2.gz IYUBFALTSCYYBU-GFCCVEGCSA-N 0 3 303.475 4.093 20 0 DIADHN COCc1ccc(CN[C@@H](C)c2cccc(OC(F)F)c2)cc1 ZINC000266249458 341587004 /nfs/dbraw/zinc/58/70/04/341587004.db2.gz ZYJQXFQZEPPIIB-ZDUSSCGKSA-N 0 3 321.367 4.285 20 0 DIADHN Cc1cccc2c1CC[C@@H]2N[C@H](C)c1ccc(C(=O)N(C)C)cc1 ZINC000567325939 341595230 /nfs/dbraw/zinc/59/52/30/341595230.db2.gz MNTHIYSGRVWMSK-QRWLVFNGSA-N 0 3 322.452 4.035 20 0 DIADHN C[C@@H](N[C@H]1CCc2cc(F)ccc21)c1cccc(-n2cccn2)c1 ZINC000154788617 341596006 /nfs/dbraw/zinc/59/60/06/341596006.db2.gz UGRWPQSXAPOLDE-VLIAUNLRSA-N 0 3 321.399 4.350 20 0 DIADHN Cc1ncc(CN[C@@H](C)c2ccc(Cl)c3ccccc32)n1C ZINC000567368190 341599213 /nfs/dbraw/zinc/59/92/13/341599213.db2.gz OEYVEVNORTYUEG-LBPRGKRZSA-N 0 3 313.832 4.386 20 0 DIADHN CC(C)[C@H]1CC[C@@H]1NCc1nccn1CCCc1ccccc1 ZINC000567376124 341599793 /nfs/dbraw/zinc/59/97/93/341599793.db2.gz YCCZJIYZGOTAAZ-MOPGFXCFSA-N 0 3 311.473 4.040 20 0 DIADHN CC(C)C[C@H](NC[C@H](c1cccs1)N(C)C)c1ccccn1 ZINC000155051134 341600834 /nfs/dbraw/zinc/60/08/34/341600834.db2.gz DXCHEWWTKKSZIV-DLBZAZTESA-N 0 3 317.502 4.123 20 0 DIADHN COC1(CCN[C@H](C)c2sccc2Br)CCC1 ZINC000310591713 341607586 /nfs/dbraw/zinc/60/75/86/341607586.db2.gz ZRFQMKUYKPLLKR-SNVBAGLBSA-N 0 3 318.280 4.120 20 0 DIADHN CC(C)c1ccc(O[C@@H](C)CN[C@@H](C)c2cncc(F)c2)cc1 ZINC000567480963 341609515 /nfs/dbraw/zinc/60/95/15/341609515.db2.gz ONCYBCNENSJHCL-GJZGRUSLSA-N 0 3 316.420 4.462 20 0 DIADHN C[C@H](NCCn1ccnc1)c1ccc(Oc2ccccc2)cc1 ZINC000155713966 341611778 /nfs/dbraw/zinc/61/17/78/341611778.db2.gz OXCWRUWKOHAVRQ-INIZCTEOSA-N 0 3 307.397 4.026 20 0 DIADHN CC[C@H](C)NC(=O)CCN[C@@H](C)c1ccc(SC(C)C)cc1 ZINC000156554057 341622822 /nfs/dbraw/zinc/62/28/22/341622822.db2.gz STULYVCAHVSPQR-GJZGRUSLSA-N 0 3 322.518 4.143 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnc2cc(C)nn2c1C)c1ccccc1 ZINC000271596619 341623712 /nfs/dbraw/zinc/62/37/12/341623712.db2.gz UTWREJGQNWATEP-KSSFIOAISA-N 0 3 308.429 4.148 20 0 DIADHN CC(C)[C@@H]1C[C@@H](NCc2cc(Cl)sc2Cl)CCO1 ZINC000312771092 341628633 /nfs/dbraw/zinc/62/86/33/341628633.db2.gz RKVRAOGVDDFATL-QWRGUYRKSA-N 0 3 308.274 4.348 20 0 DIADHN Cc1ccc(-c2noc([C@H](C)N[C@H](C)C3CCC3)n2)cc1F ZINC000157713472 341644055 /nfs/dbraw/zinc/64/40/55/341644055.db2.gz DFSWXYOIDMJNSZ-NEPJUHHUSA-N 0 3 303.381 4.023 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc(OC)cc1)c1c(C)nn(C)c1C ZINC000157727332 341644229 /nfs/dbraw/zinc/64/42/29/341644229.db2.gz SQUBYMRAQHVVLO-ZWKOTPCHSA-N 0 3 315.461 4.238 20 0 DIADHN COc1ccc(CNCc2ccc(C3CCC3)cc2)cc1OC ZINC000568156699 341654845 /nfs/dbraw/zinc/65/48/45/341654845.db2.gz ZKFIZCZCMKIFNP-UHFFFAOYSA-N 0 3 311.425 4.261 20 0 DIADHN Clc1ccc([C@@H](N[C@H]2CCCOC2)c2ccccc2)cc1 ZINC000158405439 341656179 /nfs/dbraw/zinc/65/61/79/341656179.db2.gz XMZJIMIZJUZRAC-ROUUACIJSA-N 0 3 301.817 4.198 20 0 DIADHN CN(C)c1ccccc1CN[C@@H]1CCCc2c3ccccc3[nH]c21 ZINC000568796138 341681833 /nfs/dbraw/zinc/68/18/33/341681833.db2.gz NLPJUKZJXPDNDP-LJQANCHMSA-N 0 3 319.452 4.401 20 0 DIADHN c1ccc(C2(NCc3ccc(C4CC4)cc3)CCOCC2)cc1 ZINC000568966318 341686801 /nfs/dbraw/zinc/68/68/01/341686801.db2.gz ZCXIPLJVMXUVCQ-UHFFFAOYSA-N 0 3 307.437 4.360 20 0 DIADHN CCC[C@H](NC[C@H](C)Oc1ccc(F)cc1)c1ccccn1 ZINC000159239554 341691879 /nfs/dbraw/zinc/69/18/79/341691879.db2.gz GZPOGNWFUNCTAM-YOEHRIQHSA-N 0 3 302.393 4.119 20 0 DIADHN COC[C@H](N[C@@H](C)CCC(F)(F)F)c1cccc(Cl)c1 ZINC000569167223 341692857 /nfs/dbraw/zinc/69/28/57/341692857.db2.gz UVSWFPFWWRDKGZ-GWCFXTLKSA-N 0 3 309.759 4.348 20 0 DIADHN CC[C@H](CN[C@H](C)c1ccccn1)Oc1ccccc1Cl ZINC000159310127 341694442 /nfs/dbraw/zinc/69/44/42/341694442.db2.gz GDGBGUSIBXNKKK-ZIAGYGMSSA-N 0 3 304.821 4.243 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](F)C1)c1ncc(-c2ccccc2)n1C ZINC000569240865 341695297 /nfs/dbraw/zinc/69/52/97/341695297.db2.gz IQBKBMDCDHMQKA-NUEKZKHPSA-N 0 3 301.409 4.018 20 0 DIADHN CC[C@H](NCc1cnn(CC(F)F)c1)c1cccc(Cl)c1 ZINC000292996761 341708407 /nfs/dbraw/zinc/70/84/07/341708407.db2.gz NJYLXQIIRXOQGN-AWEZNQCLSA-N 0 3 313.779 4.043 20 0 DIADHN Cc1nn(C)c(C)c1CNCc1ccc(C2CCCCC2)cc1 ZINC000572906976 341709043 /nfs/dbraw/zinc/70/90/43/341709043.db2.gz ZDDPCZJXNVKUSI-UHFFFAOYSA-N 0 3 311.473 4.374 20 0 DIADHN Cc1ccc(CNCc2ccc(C)c(F)c2)c(-c2cnn(C)c2)c1 ZINC000573108818 341717298 /nfs/dbraw/zinc/71/72/98/341717298.db2.gz JMPCKYLSMXCXBC-UHFFFAOYSA-N 0 3 323.415 4.133 20 0 DIADHN C[C@H](NC1CCC2(CC2)CC1)c1nc(-c2cccc(F)c2)no1 ZINC000573870199 341741694 /nfs/dbraw/zinc/74/16/94/341741694.db2.gz CTEMGGNKIGYLRN-LBPRGKRZSA-N 0 3 315.392 4.249 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cc(Cl)cc2Cl)c1cc[nH]c(=O)c1 ZINC000574213045 341752018 /nfs/dbraw/zinc/75/20/18/341752018.db2.gz XABZOXJQTAUZCN-BJOHPYRUSA-N 0 3 323.223 4.432 20 0 DIADHN CCc1nc(C(C)C)ccc1CN[C@@H]1CCC[C@H]1OC(F)F ZINC000574342612 341755969 /nfs/dbraw/zinc/75/59/69/341755969.db2.gz YIAHNCARAXKVRX-HZPDHXFCSA-N 0 3 312.404 4.017 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H](c1cccnc1)C1CC1 ZINC000574870272 341771280 /nfs/dbraw/zinc/77/12/80/341771280.db2.gz ULXFXYCAKCDYBC-AZUAARDMSA-N 0 3 308.425 4.209 20 0 DIADHN CO[C@H](c1ccccc1)[C@H](C)NCc1ccc(CSC)cc1 ZINC000575205255 341785705 /nfs/dbraw/zinc/78/57/05/341785705.db2.gz SPNFAUQUILVXHN-KXBFYZLASA-N 0 3 315.482 4.415 20 0 DIADHN C[C@@H](NCc1ccc2cnn(C)c2c1)c1ccc(F)c(Cl)c1 ZINC000575227127 341787532 /nfs/dbraw/zinc/78/75/32/341787532.db2.gz XPLQDWDZYDBYGP-LLVKDONJSA-N 0 3 317.795 4.217 20 0 DIADHN COCc1cc(CNCc2cccn2CCC(C)C)ccc1F ZINC000172630002 341803362 /nfs/dbraw/zinc/80/33/62/341803362.db2.gz ZPMWTXFOOYZOHI-UHFFFAOYSA-N 0 3 318.436 4.110 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(-n2cccn2)c1)c1ccc(F)cc1 ZINC000173199460 341813417 /nfs/dbraw/zinc/81/34/17/341813417.db2.gz ZKTUWTHDUONNCL-CABCVRRESA-N 0 3 309.388 4.423 20 0 DIADHN COc1cccc2c1CCC[C@@H]2N[C@@H]1CCc2c1cccc2O ZINC000575979180 341818089 /nfs/dbraw/zinc/81/80/89/341818089.db2.gz BAKOKJACEPOTCF-ZWKOTPCHSA-N 0 3 309.409 4.055 20 0 DIADHN CCc1cc(CNCCCc2c(Cl)cccc2Cl)on1 ZINC000576049289 341822711 /nfs/dbraw/zinc/82/27/11/341822711.db2.gz VOFVHTZYVWOQRJ-UHFFFAOYSA-N 0 3 313.228 4.266 20 0 DIADHN C[C@@H](NCCCn1ccc2ccccc21)c1cn2ccccc2n1 ZINC000576052772 341822953 /nfs/dbraw/zinc/82/29/53/341822953.db2.gz UVLDWPHXSUHFEO-MRXNPFEDSA-N 0 3 318.424 4.030 20 0 DIADHN Cc1cc(F)ccc1CN[C@@H](C)c1cccc(-n2cccn2)c1 ZINC000174131695 341824111 /nfs/dbraw/zinc/82/41/11/341824111.db2.gz SOWSHQVVUIZWRK-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cccc(Cn3cccn3)c2)c2ccccc21 ZINC000576069455 341824204 /nfs/dbraw/zinc/82/42/04/341824204.db2.gz NOLFYSMDGPCHNQ-IIBYNOLFSA-N 0 3 317.436 4.270 20 0 DIADHN COc1ccc(-c2ccc(CNCc3scnc3C)cc2)cc1 ZINC000174575123 341828923 /nfs/dbraw/zinc/82/89/23/341828923.db2.gz OMSWHRIBBHLWHX-UHFFFAOYSA-N 0 3 324.449 4.417 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccccc2F)C1)c1cn2ccccc2n1 ZINC000576291941 341838850 /nfs/dbraw/zinc/83/88/50/341838850.db2.gz XHWDMCVZKMQUDJ-RBSFLKMASA-N 0 3 309.388 4.070 20 0 DIADHN CC(C)(C)c1cc(CN[C@H]2CCC[C@@H]2Cc2ccccc2)no1 ZINC000576505383 341849740 /nfs/dbraw/zinc/84/97/40/341849740.db2.gz DTNDCSWZPGDJSK-AEFFLSMTSA-N 0 3 312.457 4.473 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2c(c1)CCC2)c1ccc2n[nH]cc2c1 ZINC000576537470 341851522 /nfs/dbraw/zinc/85/15/22/341851522.db2.gz YOPDZIJRUQCPKT-UONOGXRCSA-N 0 3 305.425 4.463 20 0 DIADHN CC[C@H](NCc1ccc(-c2ccc(Cl)cc2)o1)C(C)(C)O ZINC000576961863 341872298 /nfs/dbraw/zinc/87/22/98/341872298.db2.gz GVHSCYKPAVGNPA-INIZCTEOSA-N 0 3 307.821 4.239 20 0 DIADHN CCCn1ccc(CNC[C@@H](Cc2ccccc2)C(F)(F)F)c1 ZINC000576989593 341874057 /nfs/dbraw/zinc/87/40/57/341874057.db2.gz FUKDNTOEKCDZAV-QGZVFWFLSA-N 0 3 324.390 4.409 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)CCC1CCCCC1)c1ccco1 ZINC000577017459 341877460 /nfs/dbraw/zinc/87/74/60/341877460.db2.gz JZZTWPYKQROTGV-RDJZCZTQSA-N 0 3 320.477 4.186 20 0 DIADHN COC[C@@H](NCCCCOC(C)(C)C)c1cccc(Cl)c1 ZINC000577096778 341885631 /nfs/dbraw/zinc/88/56/31/341885631.db2.gz HSTLAUPOHKEJME-MRXNPFEDSA-N 0 3 313.869 4.212 20 0 DIADHN CN(C)[C@@H](CNCc1ccc(-c2ccccc2)o1)c1ccccc1 ZINC000577166156 341892923 /nfs/dbraw/zinc/89/29/23/341892923.db2.gz WEJDDDZGHUYOPX-FQEVSTJZSA-N 0 3 320.436 4.339 20 0 DIADHN C[C@H]1C[C@@H](NCCc2ccc(Cl)cc2Cl)c2nccn21 ZINC000345344393 341894019 /nfs/dbraw/zinc/89/40/19/341894019.db2.gz AUTCGSUDLYHIGB-IINYFYTJSA-N 0 3 310.228 4.028 20 0 DIADHN C[C@H](CC(=O)Nc1ccccc1CN(C)C)c1cccc(F)c1 ZINC000580673379 341895975 /nfs/dbraw/zinc/89/59/75/341895975.db2.gz WXBPKRNMFBOZNY-CQSZACIVSA-N 0 3 314.404 4.020 20 0 DIADHN CC[C@@H](C)[C@@H](NCc1cnc(C)n1C)c1ccc(Cl)cc1 ZINC000577231052 341899062 /nfs/dbraw/zinc/89/90/62/341899062.db2.gz CLGYLEGGVXSIAO-SJKOYZFVSA-N 0 3 305.853 4.259 20 0 DIADHN C[C@@H](N[C@@H]1CCC[C@@H]2C[C@@H]21)c1nnc(-c2cccc(Cl)c2)o1 ZINC000577347959 341905292 /nfs/dbraw/zinc/90/52/92/341905292.db2.gz HNBZBGJEKWWVRR-HKCMKHECSA-N 0 3 317.820 4.229 20 0 DIADHN Clc1ccccc1-c1nnc(CN[C@H]2CC3CCC2CC3)o1 ZINC000577452998 341910420 /nfs/dbraw/zinc/91/04/20/341910420.db2.gz AJAHJWKXBNMWFF-QOZQQMKHSA-N 0 3 317.820 4.058 20 0 DIADHN C[C@H]1C[C@H](NC[C@H]2COc3ccccc32)c2ccsc2S1 ZINC000577557471 341917522 /nfs/dbraw/zinc/91/75/22/341917522.db2.gz GVUZVUQQOQKKNV-HUBLWGQQSA-N 0 3 317.479 4.439 20 0 DIADHN COc1cccc([C@@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)c1 ZINC000177392788 341919406 /nfs/dbraw/zinc/91/94/06/341919406.db2.gz JCRWWHJHMIPGAJ-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)N[C@H](C)C(=O)N(C)C(C)C)cc1 ZINC000177445944 341919957 /nfs/dbraw/zinc/91/99/57/341919957.db2.gz GTCPQDLJKPRUBD-HUUCEWRRSA-N 0 3 322.518 4.093 20 0 DIADHN CC[C@H](CN[C@H](C)c1cccnc1)Oc1ccccc1Cl ZINC000177545824 341920914 /nfs/dbraw/zinc/92/09/14/341920914.db2.gz JYZNSKMIFRJYOP-UKRRQHHQSA-N 0 3 304.821 4.243 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CCCN[C@@H](C)c1ccoc1 ZINC000177871030 341939092 /nfs/dbraw/zinc/93/90/92/341939092.db2.gz LSRMKSWZGVGHAJ-HNNXBMFYSA-N 0 3 309.413 4.057 20 0 DIADHN C[C@H](CN[C@@H](C)c1cn2ccccc2n1)c1ccc(F)cc1F ZINC000577817899 341939317 /nfs/dbraw/zinc/93/93/17/341939317.db2.gz CHZITARGWNFLQG-OLZOCXBDSA-N 0 3 315.367 4.067 20 0 DIADHN Cc1cccc([C@H](C)NCc2ccc(Cn3ccnc3)cc2)c1C ZINC000177933359 341939970 /nfs/dbraw/zinc/93/99/70/341939970.db2.gz QTQKFXPFLOHKDB-SFHVURJKSA-N 0 3 319.452 4.399 20 0 DIADHN C[C@H](N[C@H](c1ccc2c(c1)OCCO2)C1CC1)c1ccccc1 ZINC000177937476 341940059 /nfs/dbraw/zinc/94/00/59/341940059.db2.gz CHLUGBZHLKZFBD-XOBRGWDASA-N 0 3 309.409 4.260 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](C)c2cccc(C)c2C)c1C ZINC000178194917 341949307 /nfs/dbraw/zinc/94/93/07/341949307.db2.gz WRCBUOJJLITFTL-QGZVFWFLSA-N 0 3 310.441 4.210 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@H]2CCCc3nn(C)cc32)c2ccccc21 ZINC000282468145 517469895 /nfs/dbraw/zinc/46/98/95/517469895.db2.gz PECYTTVGRUYFBR-QRFRQXIXSA-N 0 3 309.457 4.272 20 0 DIADHN CCCC[C@@H](NCc1nnc(-c2cc(C)oc2C)o1)C1CCC1 ZINC000347924684 517571245 /nfs/dbraw/zinc/57/12/45/517571245.db2.gz QXPATYRNYTUQOO-MRXNPFEDSA-N 0 3 317.433 4.395 20 0 DIADHN CCN(C(=O)CN1[C@@H](C)CC[C@@H]1C)c1cccc2ccccc21 ZINC000530813690 517625414 /nfs/dbraw/zinc/62/54/14/517625414.db2.gz FWSBXYBZHPKORV-HOTGVXAUSA-N 0 3 310.441 4.066 20 0 DIADHN CC[C@H](CSC)N[C@H](C)c1cc(C)ccc1OC(F)F ZINC000537093225 517819710 /nfs/dbraw/zinc/81/97/10/517819710.db2.gz CJRSBPSYUICQCA-VXGBXAGGSA-N 0 3 303.418 4.389 20 0 DIADHN COCC[C@H](Cc1ccco1)N[C@@H](C)c1ccc2ccccc2n1 ZINC000346819856 518074061 /nfs/dbraw/zinc/07/40/61/518074061.db2.gz YBTFEIFAUXIPOG-DOTOQJQBSA-N 0 3 324.424 4.126 20 0 DIADHN C[C@@H]1C[C@@H](NCc2cc(Oc3ccc(F)cc3)ccn2)[C@H]1C ZINC000495059818 518180640 /nfs/dbraw/zinc/18/06/40/518180640.db2.gz PBXAFEDLHUWGOF-FHSNZYRGSA-N 0 3 300.377 4.147 20 0 DIADHN Cc1cccc([C@H](C)C(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000482611243 518190651 /nfs/dbraw/zinc/19/06/51/518190651.db2.gz VIKKPGFVOHOXBD-INIZCTEOSA-N 0 3 310.441 4.107 20 0 DIADHN Cc1nc(Cc2ccccc2)sc1[C@@H](C)NC1CC(F)(F)C1 ZINC000277206033 518192618 /nfs/dbraw/zinc/19/26/18/518192618.db2.gz WBVNMXZAAKXXQK-LLVKDONJSA-N 0 3 322.424 4.491 20 0 DIADHN Cc1ncsc1CN[C@H]1CCSc2cc(C)c(C)cc21 ZINC000427709366 518193519 /nfs/dbraw/zinc/19/35/19/518193519.db2.gz INUKGWCYKGQDEL-AWEZNQCLSA-N 0 3 304.484 4.395 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@@H](c1cccc(F)c1)C(C)(C)C ZINC000563419793 518193555 /nfs/dbraw/zinc/19/35/55/518193555.db2.gz ZGEUOMAWFCWTGQ-GUYCJALGSA-N 0 3 301.409 4.362 20 0 DIADHN c1ccc2c(c1)OCCC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000423453718 518203849 /nfs/dbraw/zinc/20/38/49/518203849.db2.gz XXDQFUBTZCKNQQ-LJQANCHMSA-N 0 3 308.425 4.048 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN[C@@H](C)c2cccc(O)c2)c1C ZINC000181524891 518231720 /nfs/dbraw/zinc/23/17/20/518231720.db2.gz OTPNLWOETVIATQ-HNNXBMFYSA-N 0 3 311.425 4.371 20 0 DIADHN C1=C(CCNCc2ccccc2OCc2ccncc2)CCC1 ZINC000338211942 518573576 /nfs/dbraw/zinc/57/35/76/518573576.db2.gz WDWMQBZDABDGCX-UHFFFAOYSA-N 0 3 308.425 4.251 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1cnc2ccccc2c1 ZINC000337184912 519651559 /nfs/dbraw/zinc/65/15/59/519651559.db2.gz OLIDBDKLFMWXCW-UHFFFAOYSA-N 0 3 311.454 4.144 20 0 DIADHN CC(=O)Nc1ccc([C@@H](C)N[C@@H]2CCCc3ccccc32)cc1 ZINC000181909630 519733146 /nfs/dbraw/zinc/73/31/46/519733146.db2.gz FRHJRXFTCSOTCN-JLTOFOAXSA-N 0 3 308.425 4.373 20 0 DIADHN CC(=O)Nc1ccc([C@H](C)N[C@@H]2CCCOc3ccccc32)cc1 ZINC000182040121 519734039 /nfs/dbraw/zinc/73/40/39/519734039.db2.gz JYPKUZIFGBRLGE-IFXJQAMLSA-N 0 3 324.424 4.210 20 0 DIADHN CC(C)[C@@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1ccccc1 ZINC000163099678 519799575 /nfs/dbraw/zinc/79/95/75/519799575.db2.gz SBYLSJASTXLJCD-VQPPSCEASA-N 0 3 315.482 4.472 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1ccccc1 ZINC000163099549 519821337 /nfs/dbraw/zinc/82/13/37/519821337.db2.gz SBYLSJASTXLJCD-WQFQCULDSA-N 0 3 315.482 4.472 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2ccc(-n3cccn3)cc2)cn1 ZINC000164131922 519980513 /nfs/dbraw/zinc/98/05/13/519980513.db2.gz FHZKDLSYIQGCEY-HOTGVXAUSA-N 0 3 323.444 4.062 20 0 DIADHN CCOCc1ccc(CN[C@@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000191163734 520194238 /nfs/dbraw/zinc/19/42/38/520194238.db2.gz RVHFHGYLTQJQRH-HNNXBMFYSA-N 0 3 315.461 4.160 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cccc(OC(F)F)c2)CC1 ZINC000108392682 520275368 /nfs/dbraw/zinc/27/53/68/520275368.db2.gz ICRQMRVQWVDXJZ-OAHLLOKOSA-N 0 3 313.388 4.069 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cnn(C(C)C)c2C)cc1 ZINC000191183597 520375451 /nfs/dbraw/zinc/37/54/51/520375451.db2.gz BIXJWWLFJPVZFO-OAHLLOKOSA-N 0 3 315.461 4.412 20 0 DIADHN CCCCNC(=O)c1ccc(CN[C@@H](C)c2ccccc2)cc1 ZINC000129406619 520685347 /nfs/dbraw/zinc/68/53/47/520685347.db2.gz CZAFZMGUCBBQQK-INIZCTEOSA-N 0 3 310.441 4.067 20 0 DIADHN CC1(CN[C@@H](c2ccccc2)c2ccc(Cl)cc2)COC1 ZINC000180533303 520736686 /nfs/dbraw/zinc/73/66/86/520736686.db2.gz QYHYDUOUTHJYGX-KRWDZBQOSA-N 0 3 301.817 4.056 20 0 DIADHN CCC[C@@H](NC[C@@](C)(O)c1ccccc1Cl)c1cccnc1 ZINC000157517715 520777269 /nfs/dbraw/zinc/77/72/69/520777269.db2.gz OKXXPKXDVKEXMZ-QZTJIDSGSA-N 0 3 318.848 4.074 20 0 DIADHN CCC[C@@H](NCc1ccc(N2CCCC2)nc1)c1ccsc1 ZINC000191198622 520780694 /nfs/dbraw/zinc/78/06/94/520780694.db2.gz HPHJYPRNWZTRSS-QGZVFWFLSA-N 0 3 315.486 4.374 20 0 DIADHN CCC[C@@H](N[C@@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1 ZINC000157836639 520788948 /nfs/dbraw/zinc/78/89/48/520788948.db2.gz DHDPHIRVFJQVJA-OXJNMPFZSA-N 0 3 320.440 4.459 20 0 DIADHN CCCC[C@@H](NCc1ccc(NC(N)=O)cc1)c1ccccc1 ZINC000266831829 521102235 /nfs/dbraw/zinc/10/22/35/521102235.db2.gz DUVKLVMLAZPABC-GOSISDBHSA-N 0 3 311.429 4.198 20 0 DIADHN CCC[C@H](NCc1cc(OC)nc2ccccc12)c1cccnc1 ZINC000185573656 521163516 /nfs/dbraw/zinc/16/35/16/521163516.db2.gz TUVGODDSGHRTJW-SFHVURJKSA-N 0 3 321.424 4.269 20 0 DIADHN CCN(Cc1ccc(C(=O)N(C)C2CCCCC2)cc1)C1CC1 ZINC000338041657 521297746 /nfs/dbraw/zinc/29/77/46/521297746.db2.gz DWCQEUGUGDBHDZ-UHFFFAOYSA-N 0 3 314.473 4.076 20 0 DIADHN CCN(Cc1ccncc1)Cc1ccc(Br)c(C)c1 ZINC000337320900 521422293 /nfs/dbraw/zinc/42/22/93/521422293.db2.gz PKGQEZAQBGVPFT-UHFFFAOYSA-N 0 3 319.246 4.175 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2CCCC[C@H]2[C@H]2CCOC2)on1 ZINC000365063934 521634061 /nfs/dbraw/zinc/63/40/61/521634061.db2.gz BPBHTKVJNPRGMK-RYQLBKOJSA-N 0 3 320.477 4.263 20 0 DIADHN CCOCCC1(CN[C@@H](c2ccccc2)c2ccccn2)CCC1 ZINC000180950946 521691596 /nfs/dbraw/zinc/69/15/96/521691596.db2.gz KXPVKUZGAOWKAD-FQEVSTJZSA-N 0 3 324.468 4.358 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2cnc3ccccc3c2)C1 ZINC000337200127 521732995 /nfs/dbraw/zinc/73/29/95/521732995.db2.gz ZKJHIGFIGYDSDS-IRXDYDNUSA-N 0 3 300.471 4.341 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(N2CCOC2=O)cc1 ZINC000157948706 521842309 /nfs/dbraw/zinc/84/23/09/521842309.db2.gz BIHUKADCCXXVPN-HNNXBMFYSA-N 0 3 324.424 4.056 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1cccc(N2CCOC2=O)c1 ZINC000157966035 521843242 /nfs/dbraw/zinc/84/32/42/521843242.db2.gz YLLBOFATISYJHU-OAHLLOKOSA-N 0 3 324.424 4.056 20 0 DIADHN COc1cc(C)c(CN(C)Cc2sccc2C)cc1OC ZINC000102674745 522191297 /nfs/dbraw/zinc/19/12/97/522191297.db2.gz SITCOUIMCQKUIF-UHFFFAOYSA-N 0 3 305.443 4.014 20 0 DIADHN COc1cc(C)c(CN(C)Cc2ccc(C)s2)cc1OC ZINC000102774469 522191936 /nfs/dbraw/zinc/19/19/36/522191936.db2.gz OHPPVYHRZOFZKS-UHFFFAOYSA-N 0 3 305.443 4.014 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc(C)c(OC)c2)s1 ZINC000161772793 522222833 /nfs/dbraw/zinc/22/28/33/522222833.db2.gz BUCDWYJLJMEAHI-GFCCVEGCSA-N 0 3 304.459 4.182 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@@H]2CCc3cc(F)ccc32)s1 ZINC000163496532 522224917 /nfs/dbraw/zinc/22/49/17/522224917.db2.gz ZWJKGBCLKZQLDV-MEBBXXQBSA-N 0 3 304.434 4.491 20 0 DIADHN CCc1nc(CCN[C@H]2CCSc3ccccc32)cs1 ZINC000157068027 522289371 /nfs/dbraw/zinc/28/93/71/522289371.db2.gz NNLSCTMJQCWJQQ-AWEZNQCLSA-N 0 3 304.484 4.075 20 0 DIADHN COc1cc(CN[C@@H](C)c2ccc(OC(C)(C)C)cc2)ccn1 ZINC000156762357 522475349 /nfs/dbraw/zinc/47/53/49/522475349.db2.gz HCCLLSOMDPYIJE-AWEZNQCLSA-N 0 3 314.429 4.118 20 0 DIADHN COc1cc(CN[C@H](C)c2cnc3ccsc3c2)ccc1C ZINC000161805450 522486756 /nfs/dbraw/zinc/48/67/56/522486756.db2.gz FCXYCVPVGGVWIL-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN COC(C)(C)C[C@H](C)NCc1nc(-c2ccccc2)cs1 ZINC000266134823 522515378 /nfs/dbraw/zinc/51/53/78/522515378.db2.gz FCSLUNWZJMNQIL-ZDUSSCGKSA-N 0 3 304.459 4.103 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2ccnc2C)cc1)c1ccncc1 ZINC000163714729 522693715 /nfs/dbraw/zinc/69/37/15/522693715.db2.gz LXKNWMBAQRCBSD-YWZLYKJASA-N 0 3 320.440 4.378 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnn(C(C)(C)C)c1C)c1ccncc1 ZINC000191307122 522701946 /nfs/dbraw/zinc/70/19/46/522701946.db2.gz DUAZUSDDRICVFT-GUYCJALGSA-N 0 3 300.450 4.143 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(OC(F)F)cc1)c1ccncc1 ZINC000163769412 522737743 /nfs/dbraw/zinc/73/77/43/522737743.db2.gz AZOCEUUVAZFOKW-WBMJQRKESA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(-n2cncn2)cc1)c1ccccc1F ZINC000180005177 522738665 /nfs/dbraw/zinc/73/86/65/522738665.db2.gz LDGFWPYWXSVFQQ-KUHUBIRLSA-N 0 3 324.403 4.208 20 0 DIADHN CC[C@H](N[C@H](C)c1cccc(OC(F)F)c1)c1ccncc1 ZINC000163692870 522741644 /nfs/dbraw/zinc/74/16/44/522741644.db2.gz KXZXJVIPKFODBY-WBMJQRKESA-N 0 3 306.356 4.485 20 0 DIADHN CC[C@H](N[C@H]1CCCOc2ccc(OC)cc21)c1ccncc1 ZINC000182225621 522750005 /nfs/dbraw/zinc/75/00/05/522750005.db2.gz ZTEWWSRMYHJQPY-ROUUACIJSA-N 0 3 312.413 4.045 20 0 DIADHN CC[C@@H](NC[C@@H](OC)c1ccc(Cl)cc1)c1c(C)noc1C ZINC000338294348 522852769 /nfs/dbraw/zinc/85/27/69/522852769.db2.gz YYIBIDUGKQUOHO-HZPDHXFCSA-N 0 3 322.836 4.373 20 0 DIADHN COCC1(CCNCc2ncc(-c3ccccc3)s2)CCC1 ZINC000339454460 522864525 /nfs/dbraw/zinc/86/45/25/522864525.db2.gz ZLJHXWJOQYLUHE-UHFFFAOYSA-N 0 3 316.470 4.107 20 0 DIADHN CC[C@@H](NCc1ccc(N2CCCCC2)nc1)c1ccccc1 ZINC000264864937 522877814 /nfs/dbraw/zinc/87/78/14/522877814.db2.gz NCEZACCVTGGWEP-LJQANCHMSA-N 0 3 309.457 4.313 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccncc1)c1ccc(OC)c(OC)c1 ZINC000163689184 522920343 /nfs/dbraw/zinc/92/03/43/522920343.db2.gz BTPBVJHDYZTQLZ-DLBZAZTESA-N 0 3 314.429 4.291 20 0 DIADHN COCc1ccc(CN[C@H]2CCCOc3cc(C)ccc32)cc1 ZINC000124393459 522997037 /nfs/dbraw/zinc/99/70/37/522997037.db2.gz OEEZIGOLWWTGPV-IBGZPJMESA-N 0 3 311.425 4.145 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@@H](C)c1sc(C)nc1C ZINC000338006498 523013123 /nfs/dbraw/zinc/01/31/23/523013123.db2.gz CJUDKPQZDPICEN-ZUZCIYMTSA-N 0 3 320.433 4.246 20 0 DIADHN COCc1ccc([C@H]2CCCN2CCCCC(F)(F)F)o1 ZINC000131165352 523021549 /nfs/dbraw/zinc/02/15/49/523021549.db2.gz HEFSSXYLKZJMOO-CYBMUJFWSA-N 0 3 305.340 4.296 20 0 DIADHN COCCCCN1CCC[C@@H]1c1nc2ccccc2n1C(C)C ZINC000266872566 523143954 /nfs/dbraw/zinc/14/39/54/523143954.db2.gz RFGBYIZBNMBFSM-GOSISDBHSA-N 0 3 315.461 4.181 20 0 DIADHN COCCCC[C@@H](NCc1ccnn1C(C)C)c1ccccc1 ZINC000337244315 523189041 /nfs/dbraw/zinc/18/90/41/523189041.db2.gz OAQHWRKQBYMGHK-LJQANCHMSA-N 0 3 315.461 4.112 20 0 DIADHN CCn1cc([C@@H](C)N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC000368447271 523461950 /nfs/dbraw/zinc/46/19/50/523461950.db2.gz QOEVJJOHQCMROE-SUYBPPKGSA-N 0 3 303.837 4.153 20 0 DIADHN COCCC[C@H](C)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000180686863 523489639 /nfs/dbraw/zinc/48/96/39/523489639.db2.gz QAYUGZBHOLIMDO-UONOGXRCSA-N 0 3 304.459 4.276 20 0 DIADHN CC[S@@](=O)[C@@H]1CCC[C@H](N[C@@H](C)c2ccccc2Cl)C1 ZINC000177604708 523634388 /nfs/dbraw/zinc/63/43/88/523634388.db2.gz LJLVQMXGQAWJCR-LKWXMQFFSA-N 0 3 313.894 4.070 20 0 DIADHN CCn1nc(C)c(CNC2CC(c3ccccc3Cl)C2)c1C ZINC000339587635 523645139 /nfs/dbraw/zinc/64/51/39/523645139.db2.gz QOVLACFJXKSOEE-UHFFFAOYSA-N 0 3 317.864 4.209 20 0 DIADHN CC[S@](=O)[C@@H]1CCC[C@H](N[C@@H](C)c2csc(Cl)c2)C1 ZINC000191329709 523648602 /nfs/dbraw/zinc/64/86/02/523648602.db2.gz YANAOWGVJCGQOA-GFNBUGSDSA-N 0 3 319.923 4.132 20 0 DIADHN CC[C@@](C)(NCCCc1ccc(C)nc1)c1nc(C)cs1 ZINC000354514854 523658890 /nfs/dbraw/zinc/65/88/90/523658890.db2.gz RZOFGOHBGPPJIH-QGZVFWFLSA-N 0 3 303.475 4.003 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](C)C(=O)Nc1ccccc1C(F)(F)F ZINC000264764337 523868424 /nfs/dbraw/zinc/86/84/24/523868424.db2.gz YZDRSCQAQDKSJM-RYUDHWBXSA-N 0 3 316.367 4.010 20 0 DIADHN CO[C@H](C)CCN[C@H]1CCCOc2c(Cl)c(Cl)ccc21 ZINC000360849513 523875672 /nfs/dbraw/zinc/87/56/72/523875672.db2.gz AIGNHSNAZYDSPL-MFKMUULPSA-N 0 3 318.244 4.222 20 0 DIADHN CCc1ccc(CN[C@H](Cc2ccccn2)c2cccnc2)s1 ZINC000338190030 523948032 /nfs/dbraw/zinc/94/80/32/523948032.db2.gz DTJPKXHQIBRWLW-LJQANCHMSA-N 0 3 323.465 4.174 20 0 DIADHN COc1ccc(CNCc2coc3ccccc23)c(C)c1OC ZINC000339413257 524073542 /nfs/dbraw/zinc/07/35/42/524073542.db2.gz VYYYTCKOVRQAQU-UHFFFAOYSA-N 0 3 311.381 4.048 20 0 DIADHN COc1ccc(CN[C@H](C)c2sc(C(C)C)nc2C)cc1 ZINC000150990886 524090522 /nfs/dbraw/zinc/09/05/22/524090522.db2.gz OOJWWRKGBHOJOM-GFCCVEGCSA-N 0 3 304.459 4.434 20 0 DIADHN COc1ccc(CN[C@H]2C[C@H](C)Sc3sccc32)cc1 ZINC000130166504 524094006 /nfs/dbraw/zinc/09/40/06/524094006.db2.gz CYQUEIXQVWNSLS-NHYWBVRUSA-N 0 3 305.468 4.472 20 0 DIADHN Cc1cccc(-c2cccc(CN[C@H](C)c3cnn(C)c3)c2)c1 ZINC000158534922 524111096 /nfs/dbraw/zinc/11/10/96/524111096.db2.gz MRZSXMCWVKYHHW-MRXNPFEDSA-N 0 3 305.425 4.246 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H](C)c1cccc(CO)c1 ZINC000191554780 524203933 /nfs/dbraw/zinc/20/39/33/524203933.db2.gz PMYWLMWOEORTGS-CYBMUJFWSA-N 0 3 323.358 4.357 20 0 DIADHN Cc1cccc(C(=O)Nc2cc(CN3CCCC3)ccc2C)c1 ZINC000128751852 524215972 /nfs/dbraw/zinc/21/59/72/524215972.db2.gz HDGFRPTVKZGBTO-UHFFFAOYSA-N 0 3 308.425 4.152 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN1CCC[C@H]1C1CCC1 ZINC000102926499 524231315 /nfs/dbraw/zinc/23/13/15/524231315.db2.gz NALBYDPZZJHKDN-SFHVURJKSA-N 0 3 314.473 4.321 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](C)c2ccc(NC(N)=O)cc2)c1 ZINC000155884203 524469406 /nfs/dbraw/zinc/46/94/06/524469406.db2.gz AWGGRXCBCITLSD-GJZGRUSLSA-N 0 3 311.429 4.206 20 0 DIADHN Cc1ccc(CNC2(c3ccc(F)cc3)CCOCC2)cc1C ZINC000265079662 524569426 /nfs/dbraw/zinc/56/94/26/524569426.db2.gz HXXQXDXGWDKDMJ-UHFFFAOYSA-N 0 3 313.416 4.238 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccnc2)cc1Br ZINC000127846636 524593374 /nfs/dbraw/zinc/59/33/74/524593374.db2.gz CAMGWNJHKCAPOC-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@@H](C)c1cc(F)cc(F)c1 ZINC000121263773 524645087 /nfs/dbraw/zinc/64/50/87/524645087.db2.gz KNSVXKXHMBZSJD-ZETOZRRWSA-N 0 3 311.397 4.189 20 0 DIADHN C[C@H](C[C@H]1CCCO1)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000156836671 524650655 /nfs/dbraw/zinc/65/06/55/524650655.db2.gz NPANCJLRRCTAHO-IJEWVQPXSA-N 0 3 316.470 4.418 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)c2cccc(N3CCOC3=O)c2)c1 ZINC000157083825 524830239 /nfs/dbraw/zinc/83/02/39/524830239.db2.gz PTCDDUCSRPHVIP-CVEARBPZSA-N 0 3 324.424 4.363 20 0 DIADHN COc1ccc(OC)c([C@@H](C)NCCCCC(F)(F)F)c1 ZINC000130387479 524883777 /nfs/dbraw/zinc/88/37/77/524883777.db2.gz QBYVNLBEKUJJLY-LLVKDONJSA-N 0 3 305.340 4.087 20 0 DIADHN C[C@H]1C[C@H](NC[C@H](c2ccco2)N(C)C)c2ccsc2S1 ZINC000130195090 524922513 /nfs/dbraw/zinc/92/25/13/524922513.db2.gz AFSLVGJQKIRRCX-FPMFFAJLSA-N 0 3 322.499 4.159 20 0 DIADHN C[C@H]1C[C@H](NC[C@@H](O)c2ccccc2F)c2ccsc2S1 ZINC000130344168 524924054 /nfs/dbraw/zinc/92/40/54/524924054.db2.gz QRSGRLNUJGVQKO-NZVBXONLSA-N 0 3 323.458 4.136 20 0 DIADHN C[C@@H](F)CCNCc1cc(Cl)ccc1OCc1cccnc1 ZINC000339482042 524976178 /nfs/dbraw/zinc/97/61/78/524976178.db2.gz CGIGMBTWZJIDQA-CYBMUJFWSA-N 0 3 322.811 4.152 20 0 DIADHN C[C@@H]1C[C@@H](NCCOc2ccccc2)c2ccsc2S1 ZINC000336826024 525265743 /nfs/dbraw/zinc/26/57/43/525265743.db2.gz FUGOURCZMBBTKH-IUODEOHRSA-N 0 3 305.468 4.342 20 0 DIADHN C[C@H](NC1(c2ccccc2)CCC1)c1ccc([S@](C)=O)cc1 ZINC000180343566 525286354 /nfs/dbraw/zinc/28/63/54/525286354.db2.gz MPRCBTJTJOEBSE-NYHFZMIOSA-N 0 3 313.466 4.154 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cccc(Cn2cccn2)c1 ZINC000159167526 525359009 /nfs/dbraw/zinc/35/90/09/525359009.db2.gz GVKZSBJDXQJUJL-QGZVFWFLSA-N 0 3 305.425 4.091 20 0 DIADHN C[C@H](NCC[S@](=O)C(C)(C)C)c1ccc(-c2ccccc2)o1 ZINC000127580401 525365628 /nfs/dbraw/zinc/36/56/28/525365628.db2.gz POPCUOSSTORYAP-RCDICMHDSA-N 0 3 319.470 4.144 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccsc1)c1ccc(C(F)(F)F)cc1 ZINC000173434783 525380930 /nfs/dbraw/zinc/38/09/30/525380930.db2.gz WQEOWJXZVHWDNG-IINYFYTJSA-N 0 3 315.360 4.151 20 0 DIADHN C[C@@H](NC1(c2ccccc2)CCC1)c1ccc([S@](C)=O)cc1 ZINC000180343579 525394787 /nfs/dbraw/zinc/39/47/87/525394787.db2.gz MPRCBTJTJOEBSE-QRQCRPRQSA-N 0 3 313.466 4.154 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H](C)COC(C)C ZINC000337314032 525423099 /nfs/dbraw/zinc/42/30/99/525423099.db2.gz WLQNTLYKPGBFBT-ZFWWWQNUSA-N 0 3 303.368 4.392 20 0 DIADHN Cc1ccccc1[C@H](NCc1cccc(C(=O)N(C)C)c1)C(C)C ZINC000179996446 525428642 /nfs/dbraw/zinc/42/86/42/525428642.db2.gz IPJIETIYADLGMW-HXUWFJFHSA-N 0 3 324.468 4.184 20 0 DIADHN C[C@@H]1C[C@H](NCCOc2ccccc2)c2ccsc2S1 ZINC000336826023 525439363 /nfs/dbraw/zinc/43/93/63/525439363.db2.gz FUGOURCZMBBTKH-DOMZBBRYSA-N 0 3 305.468 4.342 20 0 DIADHN C[C@@H](NCCCc1cnn(C)c1)c1cccc(Cl)c1Cl ZINC000158224063 525443015 /nfs/dbraw/zinc/44/30/15/525443015.db2.gz ADNDNFULKHRBAW-LLVKDONJSA-N 0 3 312.244 4.010 20 0 DIADHN C[C@H](NCc1ccc(N2CCCCC2)nc1)c1ccc(F)cc1 ZINC000264852524 525444232 /nfs/dbraw/zinc/44/42/32/525444232.db2.gz JKMBDHSJDNSFDL-HNNXBMFYSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](CO)CC2CCCC2)c2ccsc2S1 ZINC000338007922 525447775 /nfs/dbraw/zinc/44/77/75/525447775.db2.gz PVDGHIBGZVIQPH-ZLDLUXBVSA-N 0 3 311.516 4.204 20 0 DIADHN C[C@@H](NCc1ccc(N2CCCCC2)nc1)c1ccc(F)cc1 ZINC000264852526 525526875 /nfs/dbraw/zinc/52/68/75/525526875.db2.gz JKMBDHSJDNSFDL-OAHLLOKOSA-N 0 3 313.420 4.062 20 0 DIADHN C[C@@H](NCc1cccc(CN(C)C)c1)c1csc(Cl)c1 ZINC000191145382 525533743 /nfs/dbraw/zinc/53/37/43/525533743.db2.gz VBPBZBZVKTVWQB-GFCCVEGCSA-N 0 3 308.878 4.314 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2occc21)c1cccc(-n2ccnc2)c1 ZINC000190942284 525641544 /nfs/dbraw/zinc/64/15/44/525641544.db2.gz WRCFYWTZFISDKO-RDTXWAMCSA-N 0 3 307.397 4.194 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H](C2CC2)C1)c1ccccc1Br ZINC000157732485 525646670 /nfs/dbraw/zinc/64/66/70/525646670.db2.gz IJWXCENSMYWNFZ-KFNAQCHYSA-N 0 3 324.262 4.057 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc(OC(C)(C)C)cc1)c1cnn(C)c1 ZINC000157152739 525659588 /nfs/dbraw/zinc/65/95/88/525659588.db2.gz XCJAYGVEEBHZDS-ZIAGYGMSSA-N 0 3 301.434 4.009 20 0 DIADHN Cc1cc2ncc([C@@H](C)NC3(c4ccccc4)CCC3)c(C)n2n1 ZINC000180346573 525681089 /nfs/dbraw/zinc/68/10/89/525681089.db2.gz NQTDEOFAQLGDNI-OAHLLOKOSA-N 0 3 320.440 4.076 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1cc2c(s1)CCCC2 ZINC000338009609 525682021 /nfs/dbraw/zinc/68/20/21/525682021.db2.gz DGEDFCCVLOGINP-DOMZBBRYSA-N 0 3 315.486 4.089 20 0 DIADHN C[C@@H](Nc1cccc(CN2CCSCC2)c1)c1ccco1 ZINC000182801982 525717813 /nfs/dbraw/zinc/71/78/13/525717813.db2.gz SKVSASQDLCFABR-CQSZACIVSA-N 0 3 302.443 4.002 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc(-n3ccnc3C)cc2)o1 ZINC000158143221 525746093 /nfs/dbraw/zinc/74/60/93/525746093.db2.gz DMYBHOXZQCZOQB-HUUCEWRRSA-N 0 3 309.413 4.494 20 0 DIADHN Cc1ccc([C@@H](Cc2ccccc2)NCc2cncn2C)cc1C ZINC000267818242 525766224 /nfs/dbraw/zinc/76/62/24/525766224.db2.gz PZWNFJIVFXXKFZ-OAQYLSRUSA-N 0 3 319.452 4.111 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1cccc(Cn2cccn2)c1 ZINC000129425378 525869139 /nfs/dbraw/zinc/86/91/39/525869139.db2.gz ATHQTADOFXMECR-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN CS[C@H]1CCC[C@@H](N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000180567368 525908027 /nfs/dbraw/zinc/90/80/27/525908027.db2.gz MWPKTDUWPBBVPD-AOIWGVFYSA-N 0 3 312.482 4.435 20 0 DIADHN CS[C@H]1CCC[C@H](N[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000180567331 525910866 /nfs/dbraw/zinc/91/08/66/525910866.db2.gz MWPKTDUWPBBVPD-LNLFQRSKSA-N 0 3 312.482 4.435 20 0 DIADHN Cc1c([C@H](C)N[C@@H]2CCc3cc(F)ccc32)cnn1C(C)C ZINC000191232828 525923132 /nfs/dbraw/zinc/92/31/32/525923132.db2.gz AFABDVJJDQAAET-KPZWWZAWSA-N 0 3 301.409 4.250 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)c(C)c1 ZINC000158860225 525935666 /nfs/dbraw/zinc/93/56/66/525935666.db2.gz HFGSTYDNLKIEPS-IRXDYDNUSA-N 0 3 320.440 4.296 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2CN[C@@H](C)c2ccccn2)cc1 ZINC000159268712 526044748 /nfs/dbraw/zinc/04/47/48/526044748.db2.gz CRKFHJBDYJDXIC-ILZDJORESA-N 0 3 310.441 4.209 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@](C)(O)c2ccsc2)cc1 ZINC000173438326 526061306 /nfs/dbraw/zinc/06/13/06/526061306.db2.gz GCCIHKULIQUJFV-WBMJQRKESA-N 0 3 307.484 4.028 20 0 DIADHN Cc1cnc([C@H](C)CN[C@H](C)c2cnn(C(C)(C)C)c2C)s1 ZINC000191499083 526143697 /nfs/dbraw/zinc/14/36/97/526143697.db2.gz FBMPZLKNDQDPJR-DGCLKSJQSA-N 0 3 320.506 4.166 20 0 DIADHN C[C@@H](c1cccs1)N(C)CCc1nc(-c2ccccc2)no1 ZINC000157592308 526144444 /nfs/dbraw/zinc/14/44/44/526144444.db2.gz YIGXUNYXCNXQKK-ZDUSSCGKSA-N 0 3 313.426 4.034 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H]2CCC[C@H]2C1 ZINC000366007894 526188009 /nfs/dbraw/zinc/18/80/09/526188009.db2.gz PEVZSVHMEDNTRD-XBFCOCLRSA-N 0 3 317.820 4.183 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc3c(c1)OCCO3)CCC2 ZINC000339067933 526192969 /nfs/dbraw/zinc/19/29/69/526192969.db2.gz ODWQVGKAQJRQKU-KXBFYZLASA-N 0 3 323.436 4.494 20 0 DIADHN Cc1cncc(CN2CCC(c3nc4cccc(C)c4o3)CC2)c1 ZINC000364801023 526194567 /nfs/dbraw/zinc/19/45/67/526194567.db2.gz BVJBAASGXLTUOW-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN O[C@H](C[C@H]1CCCCCN1Cc1cccnc1)c1cccs1 ZINC000267439859 526294253 /nfs/dbraw/zinc/29/42/53/526294253.db2.gz BOXPGPNEHIPEHY-IAGOWNOFSA-N 0 3 316.470 4.011 20 0 DIADHN Fc1cc2cccnc2c(CN[C@@H]2CCCC[C@H]2OC(F)F)c1 ZINC000337381806 526311752 /nfs/dbraw/zinc/31/17/52/526311752.db2.gz DERURRQZABGOMY-HUUCEWRRSA-N 0 3 324.346 4.014 20 0 DIADHN Cc1csc(CCCCN[C@H]2CCc3c2c(F)ccc3F)n1 ZINC000338220912 526340235 /nfs/dbraw/zinc/34/02/35/526340235.db2.gz UBPJODQJWWMCAG-HNNXBMFYSA-N 0 3 322.424 4.330 20 0 DIADHN Oc1cccc(CN(Cc2cnc3ccccc3c2)CC2CC2)c1 ZINC000337191410 526357559 /nfs/dbraw/zinc/35/75/59/526357559.db2.gz SMNUUFSIZNUCBF-UHFFFAOYSA-N 0 3 318.420 4.353 20 0 DIADHN c1cc([C@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)ccn1 ZINC000191004290 526438061 /nfs/dbraw/zinc/43/80/61/526438061.db2.gz XFKCXSNEHOTUAS-ZWKOTPCHSA-N 0 3 300.446 4.100 20 0 DIADHN c1cc2cccc(CN[C@H](C[C@@H]3CCOC3)c3ccccc3)c2[nH]1 ZINC000338191730 526449657 /nfs/dbraw/zinc/44/96/57/526449657.db2.gz JGNZCHUOXVOBKV-OXJNMPFZSA-N 0 3 320.436 4.425 20 0 DIADHN c1cn(-c2ccc(CNCc3ccc(C4CCC4)cc3)cc2)cn1 ZINC000339205574 526524713 /nfs/dbraw/zinc/52/47/13/526524713.db2.gz UOXLXKIVVJGONK-UHFFFAOYSA-N 0 3 317.436 4.430 20 0 DIADHN Cc1noc(C)c1C[C@H](C)N[C@H](C)c1ccc(F)c(Cl)c1 ZINC000152139938 526537704 /nfs/dbraw/zinc/53/77/04/526537704.db2.gz GBRXAVSRAGLSJI-VHSXEESVSA-N 0 3 310.800 4.366 20 0 DIADHN Cc1n[nH]cc1CN[C@H]1CCc2ccc(-c3ccccc3)cc21 ZINC000189576681 526556825 /nfs/dbraw/zinc/55/68/25/526556825.db2.gz LBVZHUKIBKMMJL-FQEVSTJZSA-N 0 3 303.409 4.162 20 0 DIADHN c1csc(-c2n[nH]cc2CNCc2ccc(C3CC3)cc2)c1 ZINC000365159069 526574057 /nfs/dbraw/zinc/57/40/57/526574057.db2.gz UJJPHUMRSAXRAF-UHFFFAOYSA-N 0 3 309.438 4.305 20 0 DIADHN c1csc(CN(CCCn2ccnc2)Cc2cccs2)c1 ZINC000182661260 526578646 /nfs/dbraw/zinc/57/86/46/526578646.db2.gz ACYFKDUAQHSVNE-UHFFFAOYSA-N 0 3 317.483 4.099 20 0 DIADHN c1csc([C@@H](NCc2cnc([C@H]3CCCO3)s2)C2CC2)c1 ZINC000339514608 526584878 /nfs/dbraw/zinc/58/48/78/526584878.db2.gz FAYJHMACEARWAF-HIFRSBDPSA-N 0 3 320.483 4.297 20 0 DIADHN c1csc([C@@H]2CCCN(CCSC3CCCCC3)C2)n1 ZINC000337332135 526585728 /nfs/dbraw/zinc/58/57/28/526585728.db2.gz JEPQJEZYRPYESM-CQSZACIVSA-N 0 3 310.532 4.388 20 0 DIADHN c1csc([C@H]2CCCN(CCSC3CCCCC3)C2)n1 ZINC000337332134 526588221 /nfs/dbraw/zinc/58/82/21/526588221.db2.gz JEPQJEZYRPYESM-AWEZNQCLSA-N 0 3 310.532 4.388 20 0 DIADHN c1[nH]nc2cc(CN3CCCCC[C@H]3c3ccncc3)ccc12 ZINC000157005711 526590161 /nfs/dbraw/zinc/59/01/61/526590161.db2.gz UKTAEEUNMHSDFY-IBGZPJMESA-N 0 3 306.413 4.075 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@@H]1CCN(C)[C@H]1c1ccccc1 ZINC000339177019 526669441 /nfs/dbraw/zinc/66/94/41/526669441.db2.gz YCZGHFBEVPLIGU-RTWAWAEBSA-N 0 3 323.484 4.048 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cn1)C1CCC(F)(F)CC1 ZINC000286720501 536466476 /nfs/dbraw/zinc/46/64/76/536466476.db2.gz GFQNRCNODIFBGH-UHFFFAOYSA-N 0 3 308.294 4.110 20 0 DIADHN Cc1nocc1CNCCc1c[nH]c2cc(Cl)cc(Cl)c12 ZINC000339371791 526691650 /nfs/dbraw/zinc/69/16/50/526691650.db2.gz SNTJBXKXUXGWFS-UHFFFAOYSA-N 0 3 324.211 4.103 20 0 DIADHN Cc1nc(CCN[C@H](C)c2cc(F)c(F)c(F)c2)sc1C ZINC000127737034 526828726 /nfs/dbraw/zinc/82/87/26/526828726.db2.gz SVKBGVOCWJYLTR-SECBINFHSA-N 0 3 314.376 4.071 20 0 DIADHN Cc1nc([C@@H]2CCCN2C[C@H]2CCCC(F)(F)C2)cs1 ZINC000338295529 527051708 /nfs/dbraw/zinc/05/17/08/527051708.db2.gz OFZUAHZSHTYCOI-JSGCOSHPSA-N 0 3 300.418 4.414 20 0 DIADHN N[C@@H](CC(=O)Nc1ccccc1OC1CCCC1)c1ccccc1 ZINC000237904223 527329812 /nfs/dbraw/zinc/32/98/12/527329812.db2.gz QCMVHFIZKUEZFU-KRWDZBQOSA-N 0 3 324.424 4.037 20 0 DIADHN Cc1nccc(CN[C@@H](C)[C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000339335353 527337917 /nfs/dbraw/zinc/33/79/17/527337917.db2.gz VVXYABNINRNEJB-NEPJUHHUSA-N 0 3 323.362 4.086 20 0 DIADHN Cc1nccn1CCCN[C@H](C)c1cccc(Cl)c1Cl ZINC000338009257 527422722 /nfs/dbraw/zinc/42/27/22/527422722.db2.gz BSAYIYVRIVTUQL-LLVKDONJSA-N 0 3 312.244 4.239 20 0 DIADHN OC1C[C@H]2CC[C@@H](C1)N2Cc1ccc(-c2ccccc2Cl)o1 ZINC000126747595 527517601 /nfs/dbraw/zinc/51/76/01/527517601.db2.gz YMKZQXRONFNOKY-PBWFPOADSA-N 0 3 317.816 4.088 20 0 DIADHN O[C@@H](C[C@H]1CCCCCN1Cc1ccccn1)c1cccs1 ZINC000267436509 527663469 /nfs/dbraw/zinc/66/34/69/527663469.db2.gz GNIGRMJRHSHRIQ-SJORKVTESA-N 0 3 316.470 4.011 20 0 DIADHN FC(F)Oc1ccc(CN2CCC(c3ccncc3)CC2)cc1 ZINC000191628847 527695775 /nfs/dbraw/zinc/69/57/75/527695775.db2.gz LIOTWMRADZGTNK-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C ZINC000181163619 527697160 /nfs/dbraw/zinc/69/71/60/527697160.db2.gz RKKFEGLHJMMCFD-IOSJPCBOSA-N 0 3 317.864 4.430 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cc(C)ccn1)c1ccc(Cl)cc1 ZINC000285967060 536512571 /nfs/dbraw/zinc/51/25/71/536512571.db2.gz GXNNJTPNLGXDSG-GUYCJALGSA-N 0 3 304.821 4.082 20 0 DIADHN CCC(CC)[C@@H]1C[C@H](N[C@@H](C)c2cccnc2Cl)CCO1 ZINC000272544151 535130852 /nfs/dbraw/zinc/13/08/52/535130852.db2.gz CGXHNWYUDUSIAB-BJJXKVORSA-N 0 3 310.869 4.369 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)NC[C@H](C)N(C)C ZINC000298374862 535374912 /nfs/dbraw/zinc/37/49/12/535374912.db2.gz BSLBXBJMLGFHPW-AWEZNQCLSA-N 0 3 305.466 4.005 20 0 DIADHN CCSc1cccc(CNCc2ccccc2NC(C)=O)c1 ZINC000274456777 535742885 /nfs/dbraw/zinc/74/28/85/535742885.db2.gz SDIZEVDHGLLIEG-UHFFFAOYSA-N 0 3 314.454 4.047 20 0 DIADHN CCc1ccc2nccc(NCc3ccc([C@H](C)O)c(C)n3)c2c1 ZINC000297364676 535833446 /nfs/dbraw/zinc/83/34/46/535833446.db2.gz CIHQTXQABCOEPK-AWEZNQCLSA-N 0 3 321.424 4.166 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CNC(C)(C)c1ccccc1 ZINC000299666362 535880311 /nfs/dbraw/zinc/88/03/11/535880311.db2.gz RJHIOIADYBWMHU-UHFFFAOYSA-N 0 3 324.468 4.275 20 0 DIADHN CCc1noc(C)c1CNC1CC(c2ccc(Cl)cc2)C1 ZINC000293854093 535884021 /nfs/dbraw/zinc/88/40/21/535884021.db2.gz YNWKCJZESDDQHT-UHFFFAOYSA-N 0 3 304.821 4.235 20 0 DIADHN CC[C@H](NCc1ccc(-n2ccnc2C)nc1)c1cccc(C)c1 ZINC000286222804 535914782 /nfs/dbraw/zinc/91/47/82/535914782.db2.gz SMDJAXGOAKDUNR-IBGZPJMESA-N 0 3 320.440 4.125 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc([S@@](C)=O)cc1)c1cccc(F)c1 ZINC000275673068 535942262 /nfs/dbraw/zinc/94/22/62/535942262.db2.gz CRJIXLCQKUAMCF-CBJTVTONSA-N 0 3 319.445 4.365 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3CCCC(F)(F)C3)n2)cc1 ZINC000283798574 535994235 /nfs/dbraw/zinc/99/42/35/535994235.db2.gz NISOAVRXADRSCL-CQSZACIVSA-N 0 3 321.371 4.091 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000297197645 536124802 /nfs/dbraw/zinc/12/48/02/536124802.db2.gz FUBYJAVQKCVMCA-IHRRRGAJSA-N 0 3 303.837 4.153 20 0 DIADHN COCc1csc(CNCc2ccc(C(F)(F)F)s2)c1 ZINC000657659385 1038960738 /nfs/dbraw/zinc/96/07/38/1038960738.db2.gz HLIHVQURBGUPLF-UHFFFAOYSA-N 0 3 321.389 4.265 20 0 DIADHN OC[C@@H](NC[C@H]1CCC(F)(F)C1)c1cccc(Cl)c1Cl ZINC000662053154 1042049513 /nfs/dbraw/zinc/04/95/13/1042049513.db2.gz WNTZIAMJMSJHFK-JOYOIKCWSA-N 0 3 324.198 4.052 20 0 DIADHN CC(C)C[C@H]1C[C@@H](NCc2ccnc(Cl)c2Cl)CCO1 ZINC000290909451 1044000844 /nfs/dbraw/zinc/00/08/44/1044000844.db2.gz PARIYINKJBPXQK-STQMWFEESA-N 0 3 317.260 4.072 20 0 DIADHN FCC1CCN(CCCc2ccccc2Br)CC1 ZINC001208846122 1050015790 /nfs/dbraw/zinc/01/57/90/1050015790.db2.gz SNVGEUWSBSOGGN-UHFFFAOYSA-N 0 3 314.242 4.063 20 0 DIADHN CSCC1CCC(NCc2nc(C(C)(C)C)cs2)CC1 ZINC000385838549 1055395005 /nfs/dbraw/zinc/39/50/05/1055395005.db2.gz NBCJMUZVTIZPEB-UHFFFAOYSA-N 0 3 312.548 4.452 20 0 DIADHN C[C@@H](NCc1ccc(Cl)s1)c1ccc2c(c1)OCCO2 ZINC000035041764 1035529078 /nfs/dbraw/zinc/52/90/78/1035529078.db2.gz NCTVDBGUPHVEDN-SNVBAGLBSA-N 0 3 309.818 4.024 20 0 DIADHN C[C@H](NCC[C@@H]1CC=CCC1)c1nc(Br)cs1 ZINC000858515551 1035609649 /nfs/dbraw/zinc/60/96/49/1035609649.db2.gz OCDDTDRQBZYVQS-WDEREUQCSA-N 0 3 315.280 4.303 20 0 DIADHN Cc1ccccc1C[C@H](C)N(C)Cc1nc2cc(F)ccc2o1 ZINC000579795610 1035621158 /nfs/dbraw/zinc/62/11/58/1035621158.db2.gz HPOFIORZDVLHBH-AWEZNQCLSA-N 0 3 312.388 4.338 20 0 DIADHN Fc1cccc([C@@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)c1 ZINC000530465644 1035631582 /nfs/dbraw/zinc/63/15/82/1035631582.db2.gz BUTPZYCVIUJWNN-MSOLQXFVSA-N 0 3 303.421 4.454 20 0 DIADHN FC(F)Oc1cccc(CN2CCC[C@@H]2c2ccncc2)c1 ZINC000153994481 1035668938 /nfs/dbraw/zinc/66/89/38/1035668938.db2.gz HAYAYFZJYUBVQW-MRXNPFEDSA-N 0 3 304.340 4.020 20 0 DIADHN COc1cccc(CN(Cc2sc(C)nc2C)CC(C)C)c1 ZINC000607727913 1035701448 /nfs/dbraw/zinc/70/14/48/1035701448.db2.gz DYXZLUZFECPXDS-UHFFFAOYSA-N 0 3 318.486 4.427 20 0 DIADHN CSCCCN1CCC[C@H]1c1cccc(Br)c1 ZINC000610211586 1035737054 /nfs/dbraw/zinc/73/70/54/1035737054.db2.gz KJAUWZCNIUPDLC-AWEZNQCLSA-N 0 3 314.292 4.339 20 0 DIADHN CCn1nccc1CN[C@H](CC(C)C)c1ccc(Cl)cc1 ZINC000119335033 1035764237 /nfs/dbraw/zinc/76/42/37/1035764237.db2.gz ROAXNOQIZLWTHB-QGZVFWFLSA-N 0 3 305.853 4.433 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cc2c(c(Cl)c1)OCO2 ZINC000022777452 1035779348 /nfs/dbraw/zinc/77/93/48/1035779348.db2.gz VEIOBCWPVCXTDF-GFCCVEGCSA-N 0 3 303.789 4.228 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccc3[nH]ccc3c2)cc1OC ZINC000579852713 1035832071 /nfs/dbraw/zinc/83/20/71/1035832071.db2.gz VOHOHFRMXARIMT-CQSZACIVSA-N 0 3 324.424 4.426 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@@H](c1ccccc1)C(C)C ZINC000011099976 1035860092 /nfs/dbraw/zinc/86/00/92/1035860092.db2.gz QFMDRDBMOYRXNW-VQIMIIECSA-N 0 3 310.441 4.309 20 0 DIADHN CCO[C@@H](CCNCc1csc(-c2cccs2)n1)C(C)C ZINC000072741950 1035873956 /nfs/dbraw/zinc/87/39/56/1035873956.db2.gz AZLASBIWJVPPBQ-AWEZNQCLSA-N 0 3 324.515 4.412 20 0 DIADHN COc1ccc(Oc2ccc(NC3=CCN(C)CC3)cc2)cc1 ZINC001208844182 1035879954 /nfs/dbraw/zinc/87/99/54/1035879954.db2.gz NNZILANTLQXHOE-UHFFFAOYSA-N 0 3 310.397 4.119 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c1cnn2C)c1cccc(Cl)c1 ZINC000036921575 1035887387 /nfs/dbraw/zinc/88/73/87/1035887387.db2.gz HAVKCBQPRWEGSG-HOTGVXAUSA-N 0 3 303.837 4.192 20 0 DIADHN C[C@H]1CCC[C@H](C)N1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000413366548 1035889695 /nfs/dbraw/zinc/88/96/95/1035889695.db2.gz VUCUSFCFPIGCLO-IRXDYDNUSA-N 0 3 314.473 4.076 20 0 DIADHN Oc1cccc(CN[C@@H]2CCc3ccc(OC(F)(F)F)cc32)c1 ZINC000154464709 1035900705 /nfs/dbraw/zinc/90/07/05/1035900705.db2.gz ODLCSLBKDSBKLP-MRXNPFEDSA-N 0 3 323.314 4.068 20 0 DIADHN Cc1nc(C)c(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)s1 ZINC000339658025 1035904355 /nfs/dbraw/zinc/90/43/55/1035904355.db2.gz NVRWDVKEHDANAH-OAHLLOKOSA-N 0 3 322.861 4.067 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@@H](C)N2CC[C@H](CC3CC3)C2)c(C)c1 ZINC000339679657 1035908644 /nfs/dbraw/zinc/90/86/44/1035908644.db2.gz TYOFBYPNHQOHFI-SJLPKXTDSA-N 0 3 314.473 4.061 20 0 DIADHN C[C@@H](CN1CCC(Oc2cccc(Cl)c2)CC1)C(F)(F)F ZINC001208894081 1035917785 /nfs/dbraw/zinc/91/77/85/1035917785.db2.gz OESQJVJHCSWCGQ-NSHDSACASA-N 0 3 321.770 4.382 20 0 DIADHN COc1ccc(CN2CCCC[C@@H](C)C2)cc1Br ZINC000705459433 1035939016 /nfs/dbraw/zinc/93/90/16/1035939016.db2.gz YWSBXMPZRXVHTH-GFCCVEGCSA-N 0 3 312.251 4.080 20 0 DIADHN C[C@H](CN(Cc1ccccn1)C1CCCCC1)C(F)(F)F ZINC001208905820 1035933964 /nfs/dbraw/zinc/93/39/64/1035933964.db2.gz RQSKOQHHLWDNPB-CYBMUJFWSA-N 0 3 300.368 4.415 20 0 DIADHN CC(C)CCc1nc(CN[C@H](C(C)C)C2(CO)CCC2)cs1 ZINC000339732580 1035945511 /nfs/dbraw/zinc/94/55/11/1035945511.db2.gz YVHRVYBWUZRVSO-QGZVFWFLSA-N 0 3 324.534 4.009 20 0 DIADHN CCN(Cc1cnc(-c2ccccc2)[nH]1)[C@H](C)c1cccc(O)c1 ZINC000179805421 1035957058 /nfs/dbraw/zinc/95/70/58/1035957058.db2.gz DDXBRGGDXTXBSC-OAHLLOKOSA-N 0 3 321.424 4.365 20 0 DIADHN Cc1nc2ccccn2c1CN[C@H](C)c1ccc(Cl)s1 ZINC000037284556 1035991976 /nfs/dbraw/zinc/99/19/76/1035991976.db2.gz OOLPHOBVFUQZSL-LLVKDONJSA-N 0 3 305.834 4.208 20 0 DIADHN C[C@H](c1ccc(S(C)(=O)=O)cc1)N(C)CC1CCCCCC1 ZINC000179942311 1035995138 /nfs/dbraw/zinc/99/51/38/1035995138.db2.gz BEGCMJSMNYFESW-OAHLLOKOSA-N 0 3 323.502 4.053 20 0 DIADHN CO[C@@H](c1ccccc1F)[C@@H](C)NCc1ccc(C(F)F)nc1 ZINC000631108883 1035998822 /nfs/dbraw/zinc/99/88/22/1035998822.db2.gz WGJFQYSJYSSRJT-BDJLRTHQSA-N 0 3 324.346 4.024 20 0 DIADHN Cc1ncccc1CN(C)CCc1ccc(Cl)cc1Cl ZINC001209083232 1036007171 /nfs/dbraw/zinc/00/71/71/1036007171.db2.gz QXTGRWSXLLRZMX-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Clc1ccc(CCN2CCC3(CCCO3)CC2)c(Cl)c1 ZINC001209080870 1036008754 /nfs/dbraw/zinc/00/87/54/1036008754.db2.gz HXXCDPPTVYBPRQ-UHFFFAOYSA-N 0 3 314.256 4.181 20 0 DIADHN CC[C@@H](O)CN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000533507661 1036016145 /nfs/dbraw/zinc/01/61/45/1036016145.db2.gz WQRKEDPZFNAXTM-IUODEOHRSA-N 0 3 301.352 4.003 20 0 DIADHN c1cc(CN[C@H](CN2CCCCC2)c2ccccc2)cs1 ZINC000075943913 1036022431 /nfs/dbraw/zinc/02/24/31/1036022431.db2.gz PONNUPVUNNLRIS-GOSISDBHSA-N 0 3 300.471 4.065 20 0 DIADHN FC(F)Oc1cccc(CN(Cc2ccccc2)C2CC2)c1 ZINC000065234582 1036041211 /nfs/dbraw/zinc/04/12/11/1036041211.db2.gz SCVVUZZUYJJWFF-UHFFFAOYSA-N 0 3 303.352 4.453 20 0 DIADHN CC(C)n1cc([C@H](C)N[C@@H](C)c2cccc(-n3cccn3)c2)cn1 ZINC000154880503 1036051058 /nfs/dbraw/zinc/05/10/58/1036051058.db2.gz BOGAFCLRBUEECH-HOTGVXAUSA-N 0 3 323.444 4.062 20 0 DIADHN Cc1cccc(C2(C(=O)C(C#N)c3nc(C(C)C)cs3)CC2)c1 ZINC000080459842 1036080083 /nfs/dbraw/zinc/08/00/83/1036080083.db2.gz TVMHJXNUIKJOOW-OAHLLOKOSA-N 0 3 324.449 4.483 20 0 DIADHN C[C@@H](CCCO)N[C@@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000180751067 1036087229 /nfs/dbraw/zinc/08/72/29/1036087229.db2.gz YFQPQHASDKVDQA-SCLBCKFNSA-N 0 3 321.823 4.319 20 0 DIADHN CC(C)N(Cc1ccccn1)C[C@@H]1CC2(CO1)CCCCC2 ZINC001167107379 1036090494 /nfs/dbraw/zinc/09/04/94/1036090494.db2.gz CAJCULQAUVKYIP-SFHVURJKSA-N 0 3 302.462 4.031 20 0 DIADHN C[C@H](NCc1cccc2c1OCCO2)c1cccc2ccccc21 ZINC000047495936 1036119183 /nfs/dbraw/zinc/11/91/83/1036119183.db2.gz MJJMZMWSKSNNJV-HNNXBMFYSA-N 0 3 319.404 4.462 20 0 DIADHN C[C@H](N[C@@H]1CCCOC1)c1ccc(Cl)c(Cl)c1Cl ZINC000109545313 1036123898 /nfs/dbraw/zinc/12/38/98/1036123898.db2.gz FKDQAGPDXIJISI-DTWKUNHWSA-N 0 3 308.636 4.476 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1ccc(SC)cc1 ZINC000037762711 1036134728 /nfs/dbraw/zinc/13/47/28/1036134728.db2.gz WFRVJTARMOEKEY-CQSZACIVSA-N 0 3 301.455 4.406 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@@H]2CC[C@@H](C(F)(F)F)O2)c1 ZINC001167139171 1036178665 /nfs/dbraw/zinc/17/86/65/1036178665.db2.gz XMJRRBUYKPTXCI-JYJNAYRXSA-N 0 3 313.363 4.242 20 0 DIADHN Oc1cccc(C2CCN(Cc3ccccc3Cl)CC2)c1 ZINC001203388200 1036213755 /nfs/dbraw/zinc/21/37/55/1036213755.db2.gz NJBUXIRDLBLGQA-UHFFFAOYSA-N 0 3 301.817 4.425 20 0 DIADHN C[C@H](NCCCCn1ccnc1)c1cc(Cl)sc1Cl ZINC000222566000 1036225088 /nfs/dbraw/zinc/22/50/88/1036225088.db2.gz CCBWXMZUZNPSBF-JTQLQIEISA-N 0 3 318.273 4.382 20 0 DIADHN Cc1cc(Cl)ccc1CNCc1ccc(-n2cccn2)cc1 ZINC001648795893 1036255950 /nfs/dbraw/zinc/25/59/50/1036255950.db2.gz YJHJMJNVBKGXJT-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cccc3[nH]ccc31)CCCO2 ZINC000340171962 1036270211 /nfs/dbraw/zinc/27/02/11/1036270211.db2.gz DMPHEOOYOCFJPM-GOSISDBHSA-N 0 3 310.372 4.311 20 0 DIADHN C[C@@H](NCc1ccnc(N2CCCC2)c1)c1cccc(C2CC2)c1 ZINC000340172990 1036273157 /nfs/dbraw/zinc/27/31/57/1036273157.db2.gz KNRSXHXTERQBAA-MRXNPFEDSA-N 0 3 321.468 4.410 20 0 DIADHN CC(C)N(Cc1ccc(F)cc1)Cc1c[nH]c(=O)c2ccccc12 ZINC001167217366 1036283792 /nfs/dbraw/zinc/28/37/92/1036283792.db2.gz GRETZOABEGKSOZ-UHFFFAOYSA-N 0 3 324.399 4.490 20 0 DIADHN c1c(CN2CCC[C@H]2CC2CCCCC2)nn2c1CCCC2 ZINC001167251962 1036323210 /nfs/dbraw/zinc/32/32/10/1036323210.db2.gz PCBIUZYWZNTTPA-SFHVURJKSA-N 0 3 301.478 4.154 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1ccc(C(F)(F)F)s1 ZINC001648800135 1036329776 /nfs/dbraw/zinc/32/97/76/1036329776.db2.gz VMIJNLNUNVOPIV-DGCLKSJQSA-N 0 3 321.408 4.452 20 0 DIADHN Cn1ccc(CN2CCC([C@H](F)c3ccccc3F)CC2)c1 ZINC000348631671 1036339306 /nfs/dbraw/zinc/33/93/06/1036339306.db2.gz FJYWFHOUUFIYKC-SFHVURJKSA-N 0 3 304.384 4.087 20 0 DIADHN Cc1cscc1CNCc1cccc(OC(F)(F)F)c1 ZINC000220729388 1036352038 /nfs/dbraw/zinc/35/20/38/1036352038.db2.gz UYWSNTGPFUMNJU-UHFFFAOYSA-N 0 3 301.333 4.245 20 0 DIADHN CC(C)(C)CCNC(=O)CN1CCCCC[C@@H]1c1ccccc1 ZINC001167271298 1036354299 /nfs/dbraw/zinc/35/42/99/1036354299.db2.gz ZLUSQRSXJGWIDY-GOSISDBHSA-N 0 3 316.489 4.156 20 0 DIADHN C[C@@H](NCc1ccc(F)c(CN(C)C)c1)c1ccc(Cl)cc1 ZINC000120958708 1036378006 /nfs/dbraw/zinc/37/80/06/1036378006.db2.gz VGXMCNIUJCSQGY-CYBMUJFWSA-N 0 3 320.839 4.392 20 0 DIADHN COc1ccc(CNCc2cc3ccccc3s2)cc1OC ZINC000237460259 1036380119 /nfs/dbraw/zinc/38/01/19/1036380119.db2.gz YBXXNZLHZXSLAQ-UHFFFAOYSA-N 0 3 313.422 4.208 20 0 DIADHN Clc1ccc(C2(NCc3ccc4c[nH]nc4c3)CCC2)cc1 ZINC000237680231 1036392274 /nfs/dbraw/zinc/39/22/74/1036392274.db2.gz IMIQZXWJSNCHAL-UHFFFAOYSA-N 0 3 311.816 4.385 20 0 DIADHN CCOc1ccc(Cl)cc1CNCCSC(F)(F)F ZINC001648805447 1036409924 /nfs/dbraw/zinc/40/99/24/1036409924.db2.gz QZQJUNOJKLRLOU-UHFFFAOYSA-N 0 3 313.772 4.081 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552718 1036424643 /nfs/dbraw/zinc/42/46/43/1036424643.db2.gz OZKMNXVKBPXFHR-CABCVRRESA-N 0 3 316.445 4.499 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@@H]1CCc3ccc(F)cc31)CCC2 ZINC000089552461 1036429994 /nfs/dbraw/zinc/42/99/94/1036429994.db2.gz DGMOSFORJXMBLW-GDBMZVCRSA-N 0 3 302.418 4.245 20 0 DIADHN CC1(C)CCN(C[C@H](O)c2ccc(Cl)cc2Cl)CC1 ZINC001167288312 1036443792 /nfs/dbraw/zinc/44/37/92/1036443792.db2.gz ZXXSWDVQDXGAEA-AWEZNQCLSA-N 0 3 302.245 4.149 20 0 DIADHN COc1ccc(C[C@H](C)N(C)Cc2ccc(F)cc2F)cc1 ZINC001167291721 1036472331 /nfs/dbraw/zinc/47/23/31/1036472331.db2.gz VXJANMWXGWELKO-ZDUSSCGKSA-N 0 3 305.368 4.036 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1ccnc(N3CCCC3)c1)CC2 ZINC000655686577 1036481150 /nfs/dbraw/zinc/48/11/50/1036481150.db2.gz LJYYQSDRDUSUFG-LJQANCHMSA-N 0 3 321.468 4.076 20 0 DIADHN C[C@H]1CC[C@@H](NCc2nc3cc(Cl)ccc3s2)CS1 ZINC000655701132 1036489165 /nfs/dbraw/zinc/48/91/65/1036489165.db2.gz ULDAFVCATYGWQH-GXSJLCMTSA-N 0 3 312.891 4.323 20 0 DIADHN Cc1nn(-c2ccccc2F)cc1CN[C@@H](C)c1ccc(C)cc1 ZINC000655712255 1036496093 /nfs/dbraw/zinc/49/60/93/1036496093.db2.gz PJYGDZJGXQPMIF-HNNXBMFYSA-N 0 3 323.415 4.479 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1cccc2ncccc12 ZINC000634687797 1036516931 /nfs/dbraw/zinc/51/69/31/1036516931.db2.gz ZYRXDWTWDWNVEH-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cc2c(c(C)c1)[C@H](NCc1ccnc(C(F)(F)F)c1)CC2 ZINC000655772401 1036530802 /nfs/dbraw/zinc/53/08/02/1036530802.db2.gz GJUHSKXFANPIHQ-OAHLLOKOSA-N 0 3 320.358 4.494 20 0 DIADHN CCN(CC(=O)Nc1c(Cl)cccc1Cl)[C@H](C)C(C)C ZINC000118833337 1036570141 /nfs/dbraw/zinc/57/01/41/1036570141.db2.gz CRTVCLYWCZWMNT-LLVKDONJSA-N 0 3 317.260 4.298 20 0 DIADHN C[C@@H](N[C@H]1c2ccccc2C[C@@H]1O)c1ccc(C(F)(F)F)cc1 ZINC000655840007 1036591852 /nfs/dbraw/zinc/59/18/52/1036591852.db2.gz BYYOATFLJFJMES-NVGVWMPQSA-N 0 3 321.342 4.014 20 0 DIADHN CC[C@H](CNCc1cc(F)ccc1F)Oc1cccc(C)c1 ZINC001648824934 1036592789 /nfs/dbraw/zinc/59/27/89/1036592789.db2.gz SVSCLTNUCZJXOH-MRXNPFEDSA-N 0 3 305.368 4.220 20 0 DIADHN CC(C)[C@H]1C[C@H](N[C@H]2c3ccccc3C[C@@H]2O)c2ccccc21 ZINC000655841012 1036592921 /nfs/dbraw/zinc/59/29/21/1036592921.db2.gz RECHRHNQMZVAHO-ANULTFPQSA-N 0 3 307.437 4.119 20 0 DIADHN C[C@@H](Cc1ccccn1)NCc1cc(Cl)sc1Cl ZINC000655842114 1036593015 /nfs/dbraw/zinc/59/30/15/1036593015.db2.gz FHBGNBNRDRFKRI-VIFPVBQESA-N 0 3 301.242 4.171 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1CCO[C@H]1CCCC[C@@H]1C ZINC001167309935 1036610075 /nfs/dbraw/zinc/61/00/75/1036610075.db2.gz KJPXQICUHVYFPL-WDSOQIARSA-N 0 3 317.473 4.427 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@H]2CCCCC(F)(F)C2)c1 ZINC001651136352 1036639563 /nfs/dbraw/zinc/63/95/63/1036639563.db2.gz QKXNCXGKGXFXSR-NSHDSACASA-N 0 3 303.780 4.351 20 0 DIADHN CC[C@@H](NC(=O)[C@H](C)N1CCCCCC1)c1cc(C)ccc1C ZINC001648850971 1036639668 /nfs/dbraw/zinc/63/96/68/1036639668.db2.gz QHWRLLMGUHIZEP-PKOBYXMFSA-N 0 3 316.489 4.135 20 0 DIADHN CC[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)c1cc(C)ccc1C ZINC001648850969 1036639768 /nfs/dbraw/zinc/63/97/68/1036639768.db2.gz QHWRLLMGUHIZEP-IEBWSBKVSA-N 0 3 316.489 4.135 20 0 DIADHN c1cc2cc(CNCc3ccc(OC4CCCC4)nc3)ccc2[nH]1 ZINC000579971972 1036655438 /nfs/dbraw/zinc/65/54/38/1036655438.db2.gz KOTXAIMOVUXPEH-UHFFFAOYSA-N 0 3 321.424 4.174 20 0 DIADHN CCOc1ccccc1CNCCOc1ccc2ccccc2c1 ZINC001648873777 1036664736 /nfs/dbraw/zinc/66/47/36/1036664736.db2.gz BMUYPBCYSZGVPW-UHFFFAOYSA-N 0 3 321.420 4.407 20 0 DIADHN Cc1ncsc1CN[C@@H](C)c1ccc(OCC(C)C)cc1 ZINC000071212635 1036686708 /nfs/dbraw/zinc/68/67/08/1036686708.db2.gz JSCMPHXKHXKPBK-ZDUSSCGKSA-N 0 3 304.459 4.337 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1ccc(F)cc1 ZINC000072879254 1036695233 /nfs/dbraw/zinc/69/52/33/1036695233.db2.gz BBCPOTXUNDXSCK-CHWSQXEVSA-N 0 3 301.361 4.009 20 0 DIADHN CC[C@@H](C)[C@@H](C)N(CC(=O)Nc1ccccc1Cl)C1CC1 ZINC000579986502 1036695373 /nfs/dbraw/zinc/69/53/73/1036695373.db2.gz WVHLQHMUJCCKLV-CHWSQXEVSA-N 0 3 308.853 4.178 20 0 DIADHN CC(=O)Nc1cccc(CN[C@@H]2CC[C@H](C)c3ccccc32)c1 ZINC000155484222 1036697745 /nfs/dbraw/zinc/69/77/45/1036697745.db2.gz MMJRCBOEQFHKAY-VBKZILBWSA-N 0 3 308.425 4.373 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc(F)cc2)cc1OC ZINC000074334648 1036698298 /nfs/dbraw/zinc/69/82/98/1036698298.db2.gz NCXXJWYZFCOXII-STQMWFEESA-N 0 3 303.377 4.255 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2N[C@H]1CCc2ccc(F)cc21 ZINC000579998287 1036701392 /nfs/dbraw/zinc/70/13/92/1036701392.db2.gz UXOPCSSAOJKMEP-SJORKVTESA-N 0 3 315.363 4.238 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1sc(C)nc1C ZINC000076866918 1036705035 /nfs/dbraw/zinc/70/50/35/1036705035.db2.gz ULSIMGBDXOBFLT-CQSZACIVSA-N 0 3 304.459 4.465 20 0 DIADHN Cc1cc(CN[C@@H](C)CC(F)(F)F)sc1Br ZINC000309470150 1036723711 /nfs/dbraw/zinc/72/37/11/1036723711.db2.gz QVGDWOXGKJTBBA-ZETCQYMHSA-N 0 3 316.186 4.250 20 0 DIADHN C[C@H](CC(=O)Nc1ccc(CN(C)C)cc1F)C1CCCCC1 ZINC000622704297 1036744270 /nfs/dbraw/zinc/74/42/70/1036744270.db2.gz WNILGERYHJNLJO-CQSZACIVSA-N 0 3 320.452 4.432 20 0 DIADHN C[C@H](NC1CCC(C2CCCCC2)CC1)c1nnc2n1CCC2 ZINC000683708270 1036746371 /nfs/dbraw/zinc/74/63/71/1036746371.db2.gz BPWUPIZQDZSSKP-OOHWJJMZSA-N 0 3 316.493 4.014 20 0 DIADHN CC(C)c1ccc(-c2noc([C@H]3CNCc4ccccc43)n2)cc1 ZINC000325671279 1036753949 /nfs/dbraw/zinc/75/39/49/1036753949.db2.gz DKJPIRNGEZXANU-SFHVURJKSA-N 0 3 319.408 4.095 20 0 DIADHN CC(C)N(C)c1ccccc1CN[C@H]1CCCc2ccc(O)cc21 ZINC000340496382 1036761172 /nfs/dbraw/zinc/76/11/72/1036761172.db2.gz GEWYGZOBZVLQIA-FQEVSTJZSA-N 0 3 324.468 4.404 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2N[C@H](C)c2ccncc2F)cc1 ZINC000340518394 1036776511 /nfs/dbraw/zinc/77/65/11/1036776511.db2.gz IYCKIXBKMYSPFQ-CCKFTAQKSA-N 0 3 314.404 4.100 20 0 DIADHN COc1cccc2c1CC[C@@H]2N[C@H]1CCCc2c(O)cccc21 ZINC000340531146 1036793565 /nfs/dbraw/zinc/79/35/65/1036793565.db2.gz SEUXBHPKQWMNGM-ROUUACIJSA-N 0 3 309.409 4.055 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@H]1CCC[C@H]1F ZINC000340533592 1036801428 /nfs/dbraw/zinc/80/14/28/1036801428.db2.gz VXTOTRNSJDDITJ-GDLCADMTSA-N 0 3 305.422 4.055 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(-c3ccco3)s1)CC2 ZINC000683768491 1036875536 /nfs/dbraw/zinc/87/55/36/1036875536.db2.gz CWZNJNVYBQCUKP-MRXNPFEDSA-N 0 3 310.422 4.489 20 0 DIADHN COc1cccc(C2(NCc3ccc4cnccc4c3)CC2)c1 ZINC000683771714 1036882775 /nfs/dbraw/zinc/88/27/75/1036882775.db2.gz ZMIUEEPQKLAJNT-UHFFFAOYSA-N 0 3 304.393 4.022 20 0 DIADHN CC(C)NC(=O)Nc1ccc([C@@H](C)NCc2ccsc2)cc1 ZINC000047722923 1036885045 /nfs/dbraw/zinc/88/50/45/1036885045.db2.gz NUCHUEHRNQCXKQ-CYBMUJFWSA-N 0 3 317.458 4.129 20 0 DIADHN Cc1cccc2c1OCC[C@H]2NCc1ccc2cnccc2c1 ZINC000683775313 1036889212 /nfs/dbraw/zinc/88/92/12/1036889212.db2.gz YBDOTINUOXCXRA-LJQANCHMSA-N 0 3 304.393 4.157 20 0 DIADHN COC(=O)/C(C)=C\CN([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC001167347395 1036909442 /nfs/dbraw/zinc/90/94/42/1036909442.db2.gz NFBIUABVTMGSHO-RTYFKUQISA-N 0 3 323.436 4.485 20 0 DIADHN C[C@H](NCc1cccc(OC(F)(F)F)c1)c1ccsc1 ZINC000220722372 1036912360 /nfs/dbraw/zinc/91/23/60/1036912360.db2.gz ITFWOKHAUHIJRU-JTQLQIEISA-N 0 3 301.333 4.498 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC3(CCOC3)CC2)c1 ZINC000683784109 1036914032 /nfs/dbraw/zinc/91/40/32/1036914032.db2.gz JDCKJIDMTACCSQ-UHFFFAOYSA-N 0 3 314.256 4.038 20 0 DIADHN C[C@H](O)[C@@H](N[C@@H](C)c1cc2c(s1)CCCC2)c1ccccc1 ZINC000658361987 1036930187 /nfs/dbraw/zinc/93/01/87/1036930187.db2.gz QFGJWXJDNKYVQE-CKFHNAJUSA-N 0 3 315.482 4.400 20 0 DIADHN C[C@H]1C[C@@H](NCc2cccc(Br)c2Cl)CS1 ZINC000706453068 1036935925 /nfs/dbraw/zinc/93/59/25/1036935925.db2.gz SBTXSVVMSMYQRM-WCBMZHEXSA-N 0 3 320.683 4.086 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccc(Br)c2Cl)CS1 ZINC000706453066 1036936107 /nfs/dbraw/zinc/93/61/07/1036936107.db2.gz SBTXSVVMSMYQRM-SCZZXKLOSA-N 0 3 320.683 4.086 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1ccn(-c2ccccc2F)n1)C1CC1 ZINC001167355805 1036959896 /nfs/dbraw/zinc/95/98/96/1036959896.db2.gz AWCCLBBDVOATPM-LSDHHAIUSA-N 0 3 315.436 4.410 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2scnc2C2CC2)cc1 ZINC000683828037 1036984607 /nfs/dbraw/zinc/98/46/07/1036984607.db2.gz VBYDHRYOTXITIW-HNNXBMFYSA-N 0 3 300.427 4.063 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2ccc3cnccc3c2)n1 ZINC000683829690 1036984882 /nfs/dbraw/zinc/98/48/82/1036984882.db2.gz BVPPTGSNYIFRAY-HXUWFJFHSA-N 0 3 303.409 4.275 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1ccc2cnccc2c1 ZINC000683831998 1036994468 /nfs/dbraw/zinc/99/44/68/1036994468.db2.gz IHHQJIUGVXSVDZ-FQEVSTJZSA-N 0 3 305.425 4.301 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2C[C@@H]2CCCC(C)(C)O2)cc1 ZINC001167371513 1037016041 /nfs/dbraw/zinc/01/60/41/1037016041.db2.gz FOQNMBDRWJYNGH-ROUUACIJSA-N 0 3 319.514 4.433 20 0 DIADHN C[C@H](NCCc1cn[nH]c1)c1ccc(Cl)c(Cl)c1Cl ZINC000379575140 1037030851 /nfs/dbraw/zinc/03/08/51/1037030851.db2.gz XMBGHFAHFXOEGI-QMMMGPOBSA-N 0 3 318.635 4.263 20 0 DIADHN CC(C)c1ccc(Nc2nnc(SCCN(C)C)s2)cc1 ZINC000683894742 1037076422 /nfs/dbraw/zinc/07/64/22/1037076422.db2.gz GEUCWMPVUSPSKK-UHFFFAOYSA-N 0 3 322.503 4.059 20 0 DIADHN COc1ccc(Cl)c(CN2CC[C@H](c3cc(C)ccn3)C2)c1 ZINC000580446780 1037137203 /nfs/dbraw/zinc/13/72/03/1037137203.db2.gz WKBFKDNLQDJVBW-AWEZNQCLSA-N 0 3 316.832 4.042 20 0 DIADHN Cc1nc(CN[C@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)cs1 ZINC000683940457 1037140161 /nfs/dbraw/zinc/14/01/61/1037140161.db2.gz RYUSTSUAAFZOPF-JFUSQASVSA-N 0 3 312.891 4.448 20 0 DIADHN COc1cc([C@H](C)NCc2ccc(C(C)(F)F)cc2)ccn1 ZINC000580465841 1037148484 /nfs/dbraw/zinc/14/84/84/1037148484.db2.gz YJXKLTRCOLRKJF-LBPRGKRZSA-N 0 3 306.356 4.053 20 0 DIADHN CC(C)[C@@H]1CCCCN1Cc1cc2c(cc1Cl)OCCO2 ZINC001167406315 1037150621 /nfs/dbraw/zinc/15/06/21/1037150621.db2.gz PFWHJYCPPXAAAG-HNNXBMFYSA-N 0 3 309.837 4.122 20 0 DIADHN Clc1ccccc1CN1CCC2(CC1)OCc1ccccc12 ZINC000221083932 1037150782 /nfs/dbraw/zinc/15/07/82/1037150782.db2.gz LTPODZVUNCMFFM-UHFFFAOYSA-N 0 3 313.828 4.362 20 0 DIADHN CC1(C)CCC(CNCc2ncc(Br)s2)CC1 ZINC000713719023 1037153276 /nfs/dbraw/zinc/15/32/76/1037153276.db2.gz OJCJIMOJSCSJAG-UHFFFAOYSA-N 0 3 317.296 4.212 20 0 DIADHN COC[C@@H](NCCSCC(C)C)c1cccc(Cl)c1 ZINC000580479193 1037157075 /nfs/dbraw/zinc/15/70/75/1037157075.db2.gz OUSFPXDGSZQGJX-OAHLLOKOSA-N 0 3 301.883 4.006 20 0 DIADHN C[C@H]1SCCN(CCOc2cc(Cl)cc(Cl)c2)[C@@H]1C ZINC001167412676 1037169355 /nfs/dbraw/zinc/16/93/55/1037169355.db2.gz PLUNFNINFJWPNJ-GHMZBOCLSA-N 0 3 320.285 4.198 20 0 DIADHN Fc1ccc(/C=C\CN2CCC[C@@H](c3nccs3)C2)cc1 ZINC000255565743 1037172159 /nfs/dbraw/zinc/17/21/59/1037172159.db2.gz KLJIYMPHDXJRQC-PEXHTFQZSA-N 0 3 302.418 4.175 20 0 DIADHN CC(C)[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2)CC1 ZINC001167424763 1037231358 /nfs/dbraw/zinc/23/13/58/1037231358.db2.gz MXJGSZLAOUGRGQ-CABCVRRESA-N 0 3 322.880 4.425 20 0 DIADHN COCCN(Cc1cc2ccccc2[nH]1)[C@H](C)c1ccccc1 ZINC000684021440 1037243398 /nfs/dbraw/zinc/24/33/98/1037243398.db2.gz XKPDEZIKHJPRRJ-MRXNPFEDSA-N 0 3 308.425 4.378 20 0 DIADHN O=C(C[C@@H]1CCCN1Cc1cc2ccccc2[nH]1)c1ccccc1 ZINC000684020329 1037244079 /nfs/dbraw/zinc/24/40/79/1037244079.db2.gz BKCNFJXOPPQBBM-IBGZPJMESA-N 0 3 318.420 4.405 20 0 DIADHN CC[C@H](CC(=O)N1CCN(CC2CCCCC2)CC1)C(C)(C)C ZINC001125469091 1037272442 /nfs/dbraw/zinc/27/24/42/1037272442.db2.gz HLAFYIIFHUQZDP-GOSISDBHSA-N 0 3 322.537 4.173 20 0 DIADHN CCN(C(=O)c1ccc(C(C)C)c(Cl)c1)C1CCN(C)CC1 ZINC000627616823 1037273644 /nfs/dbraw/zinc/27/36/44/1037273644.db2.gz GPFFNLBAJGPAOE-UHFFFAOYSA-N 0 3 322.880 4.020 20 0 DIADHN CC[C@@H](CC(=O)N1CCN(CC2CCCCC2)CC1)C(C)(C)C ZINC001125469092 1037274085 /nfs/dbraw/zinc/27/40/85/1037274085.db2.gz HLAFYIIFHUQZDP-SFHVURJKSA-N 0 3 322.537 4.173 20 0 DIADHN C[C@@H](Cc1ccccc1C(F)(F)F)N(C)Cc1cncs1 ZINC000562904842 1037286746 /nfs/dbraw/zinc/28/67/46/1037286746.db2.gz MFTPFKSKELLVEV-NSHDSACASA-N 0 3 314.376 4.225 20 0 DIADHN FC(F)(F)Oc1ccc(CNCc2cc3ccccc3[nH]2)cc1 ZINC000058473881 1037290232 /nfs/dbraw/zinc/29/02/32/1037290232.db2.gz UDTRXVARGORYRD-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccc(CNCc2ccccc2)cc1 ZINC000117319518 1037319138 /nfs/dbraw/zinc/31/91/38/1037319138.db2.gz HFSXRCBIMXFVDR-UHFFFAOYSA-N 0 3 312.413 4.323 20 0 DIADHN Cc1[nH]nc2ncc(CN[C@@H]3C[C@H](C(C)C)c4ccccc43)cc12 ZINC000580873458 1037338778 /nfs/dbraw/zinc/33/87/78/1037338778.db2.gz CIXNNJBIKVMQJT-IEBWSBKVSA-N 0 3 320.440 4.241 20 0 DIADHN CC(C)(C)c1cnc(CNCC(C)(C)[C@H]2CCCCO2)s1 ZINC000684109803 1037354658 /nfs/dbraw/zinc/35/46/58/1037354658.db2.gz JTWCOFJGXKYWDS-CYBMUJFWSA-N 0 3 310.507 4.126 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1N[C@H]1CC[C@@H]1C1CC1 ZINC000580944085 1037374434 /nfs/dbraw/zinc/37/44/34/1037374434.db2.gz LQBSCKRTCAAWJQ-MSOLQXFVSA-N 0 3 316.514 4.144 20 0 DIADHN CCCN(Cc1ccc(F)cc1F)[C@@H]1C[C@@H](OCC)C1(C)C ZINC001167442943 1037409610 /nfs/dbraw/zinc/40/96/10/1037409610.db2.gz KBQPGCRHQUUHKQ-IAGOWNOFSA-N 0 3 311.416 4.380 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000255959096 1037427822 /nfs/dbraw/zinc/42/78/22/1037427822.db2.gz TUPTVVOAOVFOSU-WCFLWFBJSA-N 0 3 305.397 4.269 20 0 DIADHN COc1cc(OC)c([C@H](C)NCCc2ccc(C)o2)cc1Cl ZINC000581106767 1037434176 /nfs/dbraw/zinc/43/41/76/1037434176.db2.gz ZIQRCPPOJMCDBR-LBPRGKRZSA-N 0 3 323.820 4.152 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C(C)(C)CO)cc1Cl ZINC000581098664 1037435292 /nfs/dbraw/zinc/43/52/92/1037435292.db2.gz JOAGJNYTWYPNEJ-GOSISDBHSA-N 0 3 317.860 4.498 20 0 DIADHN CCc1ccccc1CCN1CCCC[C@H]1c1nccn1CC ZINC000581119753 1037440017 /nfs/dbraw/zinc/44/00/17/1037440017.db2.gz KRXXAPIDJZBFDG-IBGZPJMESA-N 0 3 311.473 4.235 20 0 DIADHN CCOc1cccc([C@H](C)N[C@@H](CCCO)c2ccccc2)c1 ZINC000160782049 1037453286 /nfs/dbraw/zinc/45/32/86/1037453286.db2.gz FMHJMRJUEWSHAU-JXFKEZNVSA-N 0 3 313.441 4.250 20 0 DIADHN COC[C@@H](NCc1cccc(-c2ccccn2)c1)c1ccccc1 ZINC000581147455 1037453889 /nfs/dbraw/zinc/45/38/89/1037453889.db2.gz DULFEOQWASERNZ-OAQYLSRUSA-N 0 3 318.420 4.226 20 0 DIADHN COC[C@H](NCc1cccc(-c2ccccn2)c1)c1ccccc1 ZINC000581147454 1037454039 /nfs/dbraw/zinc/45/40/39/1037454039.db2.gz DULFEOQWASERNZ-NRFANRHFSA-N 0 3 318.420 4.226 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000126216923 1037454460 /nfs/dbraw/zinc/45/44/60/1037454460.db2.gz XGLHOVAAOKNTJR-NSHDSACASA-N 0 3 317.351 4.072 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)F)cc2)c(C)c1OC ZINC000581213758 1037472033 /nfs/dbraw/zinc/47/20/33/1037472033.db2.gz VMKWKZWNFULUJX-UHFFFAOYSA-N 0 3 321.367 4.240 20 0 DIADHN C[C@@H](c1nc(-c2nccc3ccccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276138792 1037536498 /nfs/dbraw/zinc/53/64/98/1037536498.db2.gz BURMZSUYMVYRRK-MJBXVCDLSA-N 0 3 322.412 4.219 20 0 DIADHN COc1ccc(CNCc2ccc3ccccc3c2)c(C)c1OC ZINC000581333209 1037538567 /nfs/dbraw/zinc/53/85/67/1037538567.db2.gz UUCICKURYSATDX-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN C[C@H](NCCOCC(F)(F)C(F)F)c1cc2ccccc2o1 ZINC000276141739 1037538700 /nfs/dbraw/zinc/53/87/00/1037538700.db2.gz ATVKSHGCTKGWDP-JTQLQIEISA-N 0 3 319.298 4.000 20 0 DIADHN C[C@H](O)C[C@H]1CCCCCN1Cc1cscc1C(F)(F)F ZINC000581362817 1037555237 /nfs/dbraw/zinc/55/52/37/1037555237.db2.gz INTJGSSIKWKDRV-WCQYABFASA-N 0 3 321.408 4.282 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2c(F)cccc2F)s1 ZINC000754660755 1037576037 /nfs/dbraw/zinc/57/60/37/1037576037.db2.gz FWIBRVASLIVXQJ-QWRGUYRKSA-N 0 3 324.396 4.013 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1ccc(C)c(Cl)c1 ZINC001167457647 1037578773 /nfs/dbraw/zinc/57/87/73/1037578773.db2.gz HFKSJTAJEOLOEC-CXAGYDPISA-N 0 3 322.880 4.488 20 0 DIADHN CCCCOc1ccc([C@H](C)NCc2ccncc2)cc1OC ZINC000069715269 1037586820 /nfs/dbraw/zinc/58/68/20/1037586820.db2.gz GXFVBTPSZXZOIP-HNNXBMFYSA-N 0 3 314.429 4.120 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)Nc2cccc(Cl)c2)s1 ZINC000754669797 1037604789 /nfs/dbraw/zinc/60/47/89/1037604789.db2.gz SRAJQZAFULJKSE-RYUDHWBXSA-N 0 3 322.861 4.388 20 0 DIADHN Cc1ccc([C@H](C)NCc2cc(=O)oc3cc(C)ccc23)s1 ZINC000754669957 1037604841 /nfs/dbraw/zinc/60/48/41/1037604841.db2.gz UHIYHAIPNRSRBH-ZDUSSCGKSA-N 0 3 313.422 4.322 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(F)cc2F)s1 ZINC000754676496 1037616021 /nfs/dbraw/zinc/61/60/21/1037616021.db2.gz SBCBXKQGRCQBMU-MNOVXSKESA-N 0 3 324.396 4.013 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N(CCN(C)C)c1ccccc1 ZINC001125500130 1037622128 /nfs/dbraw/zinc/62/21/28/1037622128.db2.gz RONLLQJNARGDRH-SFHVURJKSA-N 0 3 316.489 4.354 20 0 DIADHN COCC[C@H](N[C@@H](C)c1cc(C)ccc1OC)c1ccccc1 ZINC000341022248 1037622577 /nfs/dbraw/zinc/62/25/77/1037622577.db2.gz VHYKYTHJMJPLOG-LPHOPBHVSA-N 0 3 313.441 4.432 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1cc(C)cc(C)c1 ZINC001167461207 1037633016 /nfs/dbraw/zinc/63/30/16/1037633016.db2.gz BQMPZNVTALICGP-WMZOPIPTSA-N 0 3 302.462 4.143 20 0 DIADHN CC[C@@H](C)[C@H]1CCCCN1CC(=O)Nc1cc(C)cc(C)c1 ZINC001167461206 1037634641 /nfs/dbraw/zinc/63/46/41/1037634641.db2.gz BQMPZNVTALICGP-SJLPKXTDSA-N 0 3 302.462 4.143 20 0 DIADHN CCc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)s1 ZINC000581451615 1037652606 /nfs/dbraw/zinc/65/26/06/1037652606.db2.gz LBXATTXKQWVKIF-MRXNPFEDSA-N 0 3 309.862 4.177 20 0 DIADHN COc1cc(C)nc(CN2CCC[C@@H]2c2cccc(C)c2C)c1 ZINC001167464527 1037663628 /nfs/dbraw/zinc/66/36/28/1037663628.db2.gz NNENVZWWYAATTI-HXUWFJFHSA-N 0 3 310.441 4.353 20 0 DIADHN C[C@H](N[C@@H]1CO[C@H](C)C1)c1cc2cc(Br)ccc2o1 ZINC000402375701 1037669222 /nfs/dbraw/zinc/66/92/22/1037669222.db2.gz ISEDCGWKNJEHNK-NRUUGDAUSA-N 0 3 324.218 4.023 20 0 DIADHN O[C@@H](CN(CCC(F)(F)F)CC1CC1)c1ccc(Cl)cc1 ZINC000084137783 1037692563 /nfs/dbraw/zinc/69/25/63/1037692563.db2.gz VTINFTZAZAWYPD-AWEZNQCLSA-N 0 3 321.770 4.038 20 0 DIADHN COc1ccc(CNC(C)(C)c2cccc(Cl)c2)cc1OC ZINC000119382667 1037698296 /nfs/dbraw/zinc/69/82/96/1037698296.db2.gz VDEWNAFCTTXTQU-UHFFFAOYSA-N 0 3 319.832 4.382 20 0 DIADHN COc1ccc([C@H](C)NC[C@@]2(C)CC2(Cl)Cl)c(F)c1 ZINC000834883107 1037698396 /nfs/dbraw/zinc/69/83/96/1037698396.db2.gz CIJXLRRFPKCPQH-TVQRCGJNSA-N 0 3 306.208 4.069 20 0 DIADHN C[C@H](CN1CC[C@@H](n2cc(Cl)cn2)C1)c1cccc(Cl)c1 ZINC000581517536 1037703708 /nfs/dbraw/zinc/70/37/08/1037703708.db2.gz KRSOGOQTCCYOHE-MLGOLLRUSA-N 0 3 324.255 4.240 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccccc2OC(C)C)C1(C)C ZINC001167467859 1037714098 /nfs/dbraw/zinc/71/40/98/1037714098.db2.gz NAJPPVVETXLSNT-ROUUACIJSA-N 0 3 305.462 4.109 20 0 DIADHN Cc1ncccc1CN1CC(Cc2cccc(C(F)(F)F)c2)C1 ZINC000656655457 1037745191 /nfs/dbraw/zinc/74/51/91/1037745191.db2.gz JVOLNFHQKXWYLU-UHFFFAOYSA-N 0 3 320.358 4.083 20 0 DIADHN CC[C@@H](N[C@H](C)C(=O)Nc1cc(F)ccc1C)c1ccccc1 ZINC000341212980 1037747036 /nfs/dbraw/zinc/74/70/36/1037747036.db2.gz QWDQDSLPAQZTMK-RHSMWYFYSA-N 0 3 314.404 4.202 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)sc1C)c1ccccc1 ZINC000341228330 1037769017 /nfs/dbraw/zinc/76/90/17/1037769017.db2.gz LJFKJTJHXHJYPU-HNNXBMFYSA-N 0 3 303.427 4.084 20 0 DIADHN COCc1csc(CN[C@H](C)c2ccc(Cl)s2)c1 ZINC000656685127 1037789170 /nfs/dbraw/zinc/78/91/70/1037789170.db2.gz KPAJXJYGGLIQAI-SECBINFHSA-N 0 3 301.864 4.460 20 0 DIADHN Cn1ncc(C2CCC2)c1CN[C@@H]1CCCCc2ccccc21 ZINC000656687756 1037794426 /nfs/dbraw/zinc/79/44/26/1037794426.db2.gz FRLRUAIGOYQCBW-LJQANCHMSA-N 0 3 309.457 4.245 20 0 DIADHN C[C@H](NCc1c(C2CC2)cnn1C)c1cccc2ccccc21 ZINC000656689323 1037797011 /nfs/dbraw/zinc/79/70/11/1037797011.db2.gz RAFFKTZIYKQJID-AWEZNQCLSA-N 0 3 305.425 4.302 20 0 DIADHN CC(C)Cn1nccc1CN[C@H](c1ccc(F)cc1)C(C)C ZINC000647168584 1037797265 /nfs/dbraw/zinc/79/72/65/1037797265.db2.gz BUESXVBEVIJVGR-SFHVURJKSA-N 0 3 303.425 4.165 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1cccc2ccccc21 ZINC000656689324 1037798763 /nfs/dbraw/zinc/79/87/63/1037798763.db2.gz RAFFKTZIYKQJID-CQSZACIVSA-N 0 3 305.425 4.302 20 0 DIADHN C[C@@H](c1ccc(CN2CCSC[C@H]2C)cc1)C(F)(F)F ZINC001167477267 1037878113 /nfs/dbraw/zinc/87/81/13/1037878113.db2.gz CDEMUCRSBLUPOY-NEPJUHHUSA-N 0 3 303.393 4.290 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc3c2OCCO3)C2CC2)cc1 ZINC000077326487 1037881285 /nfs/dbraw/zinc/88/12/85/1037881285.db2.gz BWWXSGWNYHXZQC-LJQANCHMSA-N 0 3 309.409 4.007 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@@H]1CCCc2c(Cl)cccc21 ZINC000349498832 1037945798 /nfs/dbraw/zinc/94/57/98/1037945798.db2.gz NJDKSEQFHQWPMJ-HZPDHXFCSA-N 0 3 321.873 4.411 20 0 DIADHN Cc1ccsc1[C@@H](CO)N[C@H]1CCCc2c(Cl)cccc21 ZINC000349498833 1037946426 /nfs/dbraw/zinc/94/64/26/1037946426.db2.gz NJDKSEQFHQWPMJ-JKSUJKDBSA-N 0 3 321.873 4.411 20 0 DIADHN CC(C)C1CN(Cc2c[nH]nc2-c2ccc(C(F)(F)F)cc2)C1 ZINC000684408328 1037954021 /nfs/dbraw/zinc/95/40/21/1037954021.db2.gz IBCOJIGUYCNEHY-UHFFFAOYSA-N 0 3 323.362 4.183 20 0 DIADHN Fc1ccc(CN2CC([C@@H]3CCOC3)C2)cc1-c1cccs1 ZINC000684414993 1037962142 /nfs/dbraw/zinc/96/21/42/1037962142.db2.gz XYVXHVNOOMUKEN-CQSZACIVSA-N 0 3 317.429 4.023 20 0 DIADHN CC[C@@H]([NH2+]Cc1ccc(Cl)cc1OC)c1ccccc1[O-] ZINC000155749622 1037966309 /nfs/dbraw/zinc/96/63/09/1037966309.db2.gz ANQPRMUZMBNPSV-OAHLLOKOSA-N 0 3 305.805 4.295 20 0 DIADHN CN(C)[C@@H](CNc1nccc2sccc21)c1cccc(F)c1 ZINC000597895790 1037973757 /nfs/dbraw/zinc/97/37/57/1037973757.db2.gz MDQQHWGEHLLYOX-HNNXBMFYSA-N 0 3 315.417 4.150 20 0 DIADHN CC[C@H](C)[C@@H](O)CNCc1ccc(-c2ccc(Cl)cc2)o1 ZINC000602285593 1037975741 /nfs/dbraw/zinc/97/57/41/1037975741.db2.gz GBYVSRJDZPTSEJ-LRDDRELGSA-N 0 3 307.821 4.097 20 0 DIADHN COCC1CCN(Cc2ccc(-c3c(F)cccc3F)o2)CC1 ZINC000093103573 1037978383 /nfs/dbraw/zinc/97/83/83/1037978383.db2.gz YONUOGLEZCGAOU-UHFFFAOYSA-N 0 3 321.367 4.083 20 0 DIADHN F[C@@H]1CCC[C@@H]1N[C@@H](Cc1cccnc1)c1ccc(Cl)cc1 ZINC000581839013 1038018014 /nfs/dbraw/zinc/01/80/14/1038018014.db2.gz LRXCUGVFTKJELB-SQNIBIBYSA-N 0 3 318.823 4.499 20 0 DIADHN CCCC[C@@H](C)N(C)CC(=O)N1CCc2sccc2[C@@H]1CC ZINC000581853191 1038031986 /nfs/dbraw/zinc/03/19/86/1038031986.db2.gz QWQHZOQGASTFHS-ZBFHGGJFSA-N 0 3 322.518 4.094 20 0 DIADHN Clc1ccccc1N1CCN(c2ccnc3ccccc32)CC1 ZINC000521061054 1038036527 /nfs/dbraw/zinc/03/65/27/1038036527.db2.gz WTHXPSWSNIBQMN-UHFFFAOYSA-N 0 3 323.827 4.215 20 0 DIADHN CCN(C)c1ccc(CN[C@H](C)c2ccc(SC)cc2)cn1 ZINC000341476472 1038057108 /nfs/dbraw/zinc/05/71/08/1038057108.db2.gz GRAQSFKXGFHKHF-CQSZACIVSA-N 0 3 315.486 4.110 20 0 DIADHN FC(F)(F)c1ccccc1CN(C[C@@H]1CCCCO1)C1CC1 ZINC000521184190 1038069124 /nfs/dbraw/zinc/06/91/24/1038069124.db2.gz ABBRCOIUZWDJAP-HNNXBMFYSA-N 0 3 313.363 4.239 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccc([C@@H]4C[C@@H]4C)o3)CC2)cn1 ZINC000341528480 1038069700 /nfs/dbraw/zinc/06/97/00/1038069700.db2.gz KEYDCXWFZSRWGZ-IFXJQAMLSA-N 0 3 310.441 4.486 20 0 DIADHN Cc1ncc([C@@H](C)N[C@H](C)c2cccc(-n3ccnc3)c2)s1 ZINC000090418410 1038114079 /nfs/dbraw/zinc/11/40/79/1038114079.db2.gz KSMOOAYNIHRHIG-CHWSQXEVSA-N 0 3 312.442 4.049 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1N[C@@H]1CCCc2cccnc21 ZINC000657044084 1038145011 /nfs/dbraw/zinc/14/50/11/1038145011.db2.gz ZFQURPVQWDHSQC-DAXOMENPSA-N 0 3 316.807 4.397 20 0 DIADHN CC(C)[C@H](NCc1ccsc1)c1ccc2c(c1)OCCO2 ZINC000061016031 1038164634 /nfs/dbraw/zinc/16/46/34/1038164634.db2.gz ORWZVILRJJRPND-KRWDZBQOSA-N 0 3 303.427 4.006 20 0 DIADHN CC[C@H](NCc1ccc(C)c([N+](=O)[O-])c1)c1ccc(OC)cc1 ZINC000061030846 1038170493 /nfs/dbraw/zinc/17/04/93/1038170493.db2.gz HGWXYIYIUBIBQR-KRWDZBQOSA-N 0 3 314.385 4.153 20 0 DIADHN C[C@H](N[C@@H](C)c1cncc(Br)c1)c1ccccc1 ZINC000581954846 1038172142 /nfs/dbraw/zinc/17/21/42/1038172142.db2.gz LOSPWJVXRLRAFI-RYUDHWBXSA-N 0 3 305.219 4.256 20 0 DIADHN Clc1cnc(CNC[C@H]2CCCO[C@@H]2c2ccccc2)s1 ZINC000349569612 1038174241 /nfs/dbraw/zinc/17/42/41/1038174241.db2.gz PWABIJYDSBNFER-CZUORRHYSA-N 0 3 322.861 4.054 20 0 DIADHN C#CCOc1ccccc1CNCc1csc2ccccc12 ZINC000061048467 1038181933 /nfs/dbraw/zinc/18/19/33/1038181933.db2.gz GSSWVEGHRMJYRY-UHFFFAOYSA-N 0 3 307.418 4.203 20 0 DIADHN COc1ccc([C@H](CO)N(C)Cc2ccc3ccccc3c2)cc1 ZINC000603428163 1038204233 /nfs/dbraw/zinc/20/42/33/1038204233.db2.gz MIOBLBBLLQQMFP-NRFANRHFSA-N 0 3 321.420 4.014 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@H](C)c1ccnn1CC1CCC1 ZINC000926236742 1038204544 /nfs/dbraw/zinc/20/45/44/1038204544.db2.gz OUTQAOLQIORDCP-OCCSQVGLSA-N 0 3 317.399 4.311 20 0 DIADHN C[C@](O)(CNCc1c(Cl)oc2ccccc21)c1cccs1 ZINC000761310712 1038208036 /nfs/dbraw/zinc/20/80/36/1038208036.db2.gz KONNIRVGJHKFIO-INIZCTEOSA-N 0 3 321.829 4.145 20 0 DIADHN C/C(=C\c1ccccc1)CN[C@H](Cn1cccn1)c1ccccc1 ZINC000669682635 1038214916 /nfs/dbraw/zinc/21/49/16/1038214916.db2.gz UXLWHGQDNDXUPU-BKBDBRRMSA-N 0 3 317.436 4.318 20 0 DIADHN C/C(=C/c1ccccc1)CN[C@@H](Cn1cccn1)c1ccccc1 ZINC000669682637 1038216593 /nfs/dbraw/zinc/21/65/93/1038216593.db2.gz UXLWHGQDNDXUPU-TXOBRMIJSA-N 0 3 317.436 4.318 20 0 DIADHN CN(Cc1ccc(SC(F)F)cc1)[C@@H](CO)c1ccccc1 ZINC000728387836 1038219266 /nfs/dbraw/zinc/21/92/66/1038219266.db2.gz OSAZIVJPYSRNIM-INIZCTEOSA-N 0 3 323.408 4.167 20 0 DIADHN CCC(O)(CC)CCN[C@H](c1ccc(C)cc1)c1cccnc1 ZINC000926254722 1038225861 /nfs/dbraw/zinc/22/58/61/1038225861.db2.gz KAWDWGAOHVZNGO-LJQANCHMSA-N 0 3 312.457 4.010 20 0 DIADHN COc1ccccc1-c1noc([C@@H](C)NC2CCCCCC2)n1 ZINC000276816235 1038231996 /nfs/dbraw/zinc/23/19/96/1038231996.db2.gz YPZPQKSXPMHVMX-CYBMUJFWSA-N 0 3 315.417 4.119 20 0 DIADHN c1cc(-c2ccccc2)oc1CNC[C@@H]1OCCc2ccccc21 ZINC000603516635 1038237612 /nfs/dbraw/zinc/23/76/12/1038237612.db2.gz XZXBNVZUYYKHAX-NRFANRHFSA-N 0 3 319.404 4.350 20 0 DIADHN Cc1ncc(CN[C@H](C)[C@@H](C)c2ccccc2C(F)(F)F)o1 ZINC000657102878 1038247258 /nfs/dbraw/zinc/24/72/58/1038247258.db2.gz QULIYDNAGUMADD-GHMZBOCLSA-N 0 3 312.335 4.284 20 0 DIADHN c1nc(CN2CCCSC[C@H]2C2CC2)oc1-c1ccccc1 ZINC000933916152 1038276414 /nfs/dbraw/zinc/27/64/14/1038276414.db2.gz PBIYYFDFMCRCMP-INIZCTEOSA-N 0 3 314.454 4.059 20 0 DIADHN Fc1cc2cccnc2c(CNCc2cc3ccccc3[nH]2)c1 ZINC000131617905 1038298561 /nfs/dbraw/zinc/29/85/61/1038298561.db2.gz WCHNQIMDTPGIJX-UHFFFAOYSA-N 0 3 305.356 4.145 20 0 DIADHN CCC(C)(C)N(C)Cc1csc(-c2ccc(O)c(OC)c2)n1 ZINC000603937869 1038323299 /nfs/dbraw/zinc/32/32/99/1038323299.db2.gz SOCJIJKPKLZOLL-UHFFFAOYSA-N 0 3 320.458 4.145 20 0 DIADHN COCc1cc([C@H](C)N[C@@H](C)c2ccsc2)ccc1OC ZINC000061361215 1038329742 /nfs/dbraw/zinc/32/97/42/1038329742.db2.gz SXBNSVLTDJDVJA-STQMWFEESA-N 0 3 305.443 4.315 20 0 DIADHN C[C@H](c1nc(-c2cncc3ccccc32)no1)N1[C@H](C)CC[C@@H]1C ZINC000276988330 1038346814 /nfs/dbraw/zinc/34/68/14/1038346814.db2.gz WYGBWMSKORKHEP-HZSPNIEDSA-N 0 3 322.412 4.219 20 0 DIADHN C[C@H](c1nc(-c2ccc3[nH]ccc3c2)no1)N1[C@H](C)CC[C@@H]1C ZINC000276997244 1038347379 /nfs/dbraw/zinc/34/73/79/1038347379.db2.gz YSFGYUYCBRZBQA-FRRDWIJNSA-N 0 3 310.401 4.152 20 0 DIADHN COc1cccc(NC2CCN(C/C=C\c3ccccc3)CC2)c1 ZINC000604048334 1038348713 /nfs/dbraw/zinc/34/87/13/1038348713.db2.gz GRCICVURDQRECU-TWGQIWQCSA-N 0 3 322.452 4.285 20 0 DIADHN COc1ccc(CNCC(C)(C)Oc2ccccc2)c(Cl)c1 ZINC000604072647 1038356933 /nfs/dbraw/zinc/35/69/33/1038356933.db2.gz XBRHXFYKNFTOEI-UHFFFAOYSA-N 0 3 319.832 4.296 20 0 DIADHN CC(C)(C)c1csc(CCNc2ccnc3ccccc32)n1 ZINC000599890478 1038364555 /nfs/dbraw/zinc/36/45/55/1038364555.db2.gz WKDGZEYRHAGWIL-UHFFFAOYSA-N 0 3 311.454 4.065 20 0 DIADHN C[C@H](NC1CC(F)(F)C1)c1ccc(F)c(Br)c1 ZINC000277110745 1038371065 /nfs/dbraw/zinc/37/10/65/1038371065.db2.gz MMNVCWLCVYNWIG-ZETCQYMHSA-N 0 3 308.141 4.037 20 0 DIADHN CCC(=O)Nc1cccc(CN[C@@H](c2cccnc2)C2CCC2)c1 ZINC000684611249 1038375143 /nfs/dbraw/zinc/37/51/43/1038375143.db2.gz DCQIPXDKJBUULQ-HXUWFJFHSA-N 0 3 323.440 4.061 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1cnc(C)s1)c1ccccc1Cl ZINC000090453638 1038380649 /nfs/dbraw/zinc/38/06/49/1038380649.db2.gz RVLYVSZFUMZFFY-HZMBPMFUSA-N 0 3 310.850 4.143 20 0 DIADHN Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2ccc(C)cc2CN(C)C)c1 ZINC000072839739 1038388338 /nfs/dbraw/zinc/38/83/38/1038388338.db2.gz RPHLWSQYVANGCA-RBUKOAKNSA-N 0 3 322.452 4.107 20 0 DIADHN C[S@](=O)c1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC000610898904 1038397060 /nfs/dbraw/zinc/39/70/60/1038397060.db2.gz SJMMXXOTXIVFGQ-NRFANRHFSA-N 0 3 321.511 4.073 20 0 DIADHN COC(=O)C(C)(C)[C@@H](NCc1ccccc1C)c1ccccc1 ZINC000610908765 1038399336 /nfs/dbraw/zinc/39/93/36/1038399336.db2.gz FGWQIBTWGNSCDX-SFHVURJKSA-N 0 3 311.425 4.025 20 0 DIADHN Cc1nnsc1[C@H](C)N1CC[C@H](c2ccccc2)[C@@H](C)C1 ZINC001167499627 1038454782 /nfs/dbraw/zinc/45/47/82/1038454782.db2.gz LYWQHYNOQIVQPL-NOLJZWGESA-N 0 3 301.459 4.033 20 0 DIADHN CSc1ccccc1CN1CCC(F)(c2cccnc2)CC1 ZINC000342151058 1038457409 /nfs/dbraw/zinc/45/74/09/1038457409.db2.gz HMSVZGNGIPIKFC-UHFFFAOYSA-N 0 3 316.445 4.264 20 0 DIADHN CC[C@H]1CCCN(Cc2coc(-c3ccc(OC)cc3)n2)CC1 ZINC000604998814 1038474913 /nfs/dbraw/zinc/47/49/13/1038474913.db2.gz DSLMBLADASIBNN-HNNXBMFYSA-N 0 3 314.429 4.362 20 0 DIADHN Cc1ccc2cc(CN[C@H](C)c3ccc4c(c3)OCCO4)[nH]c2c1 ZINC000657288707 1038504857 /nfs/dbraw/zinc/50/48/57/1038504857.db2.gz DHRVAZOSUCHPJH-CQSZACIVSA-N 0 3 322.408 4.098 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H](C)c3ccc4c(c3)OCCO4)cc21 ZINC000657289371 1038506378 /nfs/dbraw/zinc/50/63/78/1038506378.db2.gz JOJAQSCCBHPNCI-AWEZNQCLSA-N 0 3 322.408 4.098 20 0 DIADHN C[C@@H](NCc1ccnn1CC1CC1)c1cccc2ccccc21 ZINC000657289640 1038507305 /nfs/dbraw/zinc/50/73/05/1038507305.db2.gz FMOVXHTUHYGLNI-OAHLLOKOSA-N 0 3 305.425 4.297 20 0 DIADHN CCCn1nccc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC000657291836 1038508175 /nfs/dbraw/zinc/50/81/75/1038508175.db2.gz DPCJJYMKQMUBCQ-KRWDZBQOSA-N 0 3 303.837 4.187 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3c(cccc3C)[nH]2)cc1F ZINC000657296041 1038512063 /nfs/dbraw/zinc/51/20/63/1038512063.db2.gz OWERFSUGQWBBDI-ZDUSSCGKSA-N 0 3 312.388 4.475 20 0 DIADHN COc1ccc([C@@H](C)NCc2cc3ccc(C)cc3[nH]2)cc1F ZINC000657297049 1038513152 /nfs/dbraw/zinc/51/31/52/1038513152.db2.gz ZQUPOUXVGZYOSF-CYBMUJFWSA-N 0 3 312.388 4.475 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC000605421666 1038513331 /nfs/dbraw/zinc/51/33/31/1038513331.db2.gz NPGYMVAYSDRINU-MRRJBJDNSA-N 0 3 320.864 4.240 20 0 DIADHN CCSc1cccc(CN[C@@H](C)c2ccnc(OC)c2)c1 ZINC000342286991 1038516943 /nfs/dbraw/zinc/51/69/43/1038516943.db2.gz KKVJDEQERXCURX-ZDUSSCGKSA-N 0 3 302.443 4.053 20 0 DIADHN COCc1csc(CN[C@H]2CCSc3c(F)cccc32)c1 ZINC000657299543 1038521210 /nfs/dbraw/zinc/52/12/10/1038521210.db2.gz XPWZVRLGCKOCEX-HNNXBMFYSA-N 0 3 323.458 4.360 20 0 DIADHN COc1cccc(CN[C@H]2CCCc3ccc(C)cc32)c1OC ZINC000342304367 1038524210 /nfs/dbraw/zinc/52/42/10/1038524210.db2.gz HTVTXYYMYZJKSM-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@H](NCc1cccn1C)c1ccc(Oc2cccnc2)cc1 ZINC000342308868 1038524310 /nfs/dbraw/zinc/52/43/10/1038524310.db2.gz GVCJQVDSSRBGMP-HNNXBMFYSA-N 0 3 307.397 4.063 20 0 DIADHN C[C@@H](NCc1ccncc1)c1ccc(Oc2cccnc2)cc1 ZINC000342305753 1038524585 /nfs/dbraw/zinc/52/45/85/1038524585.db2.gz JBGKODZNPDFOAQ-OAHLLOKOSA-N 0 3 305.381 4.120 20 0 DIADHN C[C@@H](CCO)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000151896727 1038536775 /nfs/dbraw/zinc/53/67/75/1038536775.db2.gz NTFYANBSNMANCL-WCBMZHEXSA-N 0 3 308.274 4.040 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnn1-c1ccccc1)CCC2 ZINC000342306271 1038527154 /nfs/dbraw/zinc/52/71/54/1038527154.db2.gz DFFWNMXNYDYZGA-NRFANRHFSA-N 0 3 317.436 4.348 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccc(Oc3cccnc3)cc2)cn1 ZINC000342312090 1038529087 /nfs/dbraw/zinc/52/90/87/1038529087.db2.gz KTYMCQGRYDHVLT-MRXNPFEDSA-N 0 3 319.408 4.428 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(-c3cccnc3)c2)ccn1 ZINC000342315785 1038529950 /nfs/dbraw/zinc/52/99/50/1038529950.db2.gz ZWIKBBFQTJIKFD-OAHLLOKOSA-N 0 3 319.408 4.003 20 0 DIADHN Cn1ncc2c1CCC[C@@H]2NCc1ccc(-c2cccs2)cc1 ZINC000657309069 1038536253 /nfs/dbraw/zinc/53/62/53/1038536253.db2.gz IDVJVBXPVCPZHS-KRWDZBQOSA-N 0 3 323.465 4.316 20 0 DIADHN CC[C@@H](N[C@H](CC)c1ccccc1OC)c1c(C)nn(C)c1C ZINC000151947078 1038550115 /nfs/dbraw/zinc/55/01/15/1038550115.db2.gz JOYLWFHVKSAHCW-IAGOWNOFSA-N 0 3 315.461 4.238 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1nc2ccccc2o1 ZINC000605768472 1038550059 /nfs/dbraw/zinc/55/00/59/1038550059.db2.gz OKVXQKYZZNLGBA-UKRRQHHQSA-N 0 3 310.372 4.345 20 0 DIADHN CCCCOc1cccc(CNCc2cc(COC)cs2)c1 ZINC000657312568 1038550212 /nfs/dbraw/zinc/55/02/12/1038550212.db2.gz LOUBJYPRCNLUDJ-UHFFFAOYSA-N 0 3 319.470 4.363 20 0 DIADHN Cc1cccc2nc(CN3C[C@H](c4ccc(F)cc4)C[C@H]3C)cn21 ZINC000605759957 1038550426 /nfs/dbraw/zinc/55/04/26/1038550426.db2.gz TXZAQILWIMYSQR-NVXWUHKLSA-N 0 3 323.415 4.160 20 0 DIADHN Cc1csc(CCNCc2c(Cl)cccc2Cl)n1 ZINC000057399049 1038551671 /nfs/dbraw/zinc/55/16/71/1038551671.db2.gz PIVCYNHETNUNNQ-UHFFFAOYSA-N 0 3 301.242 4.091 20 0 DIADHN Cn1ncc(C2CCC2)c1CNCc1ccc2ccccc2c1 ZINC000657332225 1038574662 /nfs/dbraw/zinc/57/46/62/1038574662.db2.gz YUWMRACHCGKKJY-UHFFFAOYSA-N 0 3 305.425 4.131 20 0 DIADHN CC(C)n1ccnc1CNCCc1cc(Cl)cc(Cl)c1 ZINC000657332667 1038576972 /nfs/dbraw/zinc/57/69/72/1038576972.db2.gz NEAWOTGQBHKPNE-UHFFFAOYSA-N 0 3 312.244 4.103 20 0 DIADHN CC[C@H](NCc1cncc(Br)c1C)c1ccccc1 ZINC000657335617 1038579403 /nfs/dbraw/zinc/57/94/03/1038579403.db2.gz JBQWHHTVKSFGCC-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN CCOc1ccc(CN[C@H]2CCCOc3ccccc32)c(C)c1 ZINC000657339714 1038582104 /nfs/dbraw/zinc/58/21/04/1038582104.db2.gz ZSYSOXQYXWEZQP-IBGZPJMESA-N 0 3 311.425 4.397 20 0 DIADHN CC(C)Cc1ccc([C@H](NCc2cnn3c2CCC3)C2CC2)cc1 ZINC000657341797 1038583143 /nfs/dbraw/zinc/58/31/43/1038583143.db2.gz LWYYEFHPICFIST-NRFANRHFSA-N 0 3 323.484 4.269 20 0 DIADHN CC(C)Cc1ccc([C@@H](NCc2cnc3n2CCC3)C2CC2)cc1 ZINC000657342464 1038586150 /nfs/dbraw/zinc/58/61/50/1038586150.db2.gz ZDHOQTOYCONOAL-OAQYLSRUSA-N 0 3 323.484 4.269 20 0 DIADHN Fc1ccc([C@H](NCc2ccnn2CC2CC2)C2CCC2)cc1 ZINC000657346633 1038587313 /nfs/dbraw/zinc/58/73/13/1038587313.db2.gz LAGJXHGGOBDETL-LJQANCHMSA-N 0 3 313.420 4.063 20 0 DIADHN CCn1nc(C)c(CNCc2cccc(C3CCCC3)c2)c1C ZINC000657345789 1038587500 /nfs/dbraw/zinc/58/75/00/1038587500.db2.gz ZLYMRYNZDNMRGV-UHFFFAOYSA-N 0 3 311.473 4.467 20 0 DIADHN Cc1cccc(C2(NCc3ccnn3CC3CC3)CCCC2)c1 ZINC000657345493 1038588187 /nfs/dbraw/zinc/58/81/87/1038588187.db2.gz RCLLDZMCENTZHZ-UHFFFAOYSA-N 0 3 309.457 4.161 20 0 DIADHN Clc1ccc([C@H](NCc2cnn3c2CCC3)C2CCC2)cc1 ZINC000657350210 1038592516 /nfs/dbraw/zinc/59/25/16/1038592516.db2.gz QILINUUYJILBMX-GOSISDBHSA-N 0 3 315.848 4.114 20 0 DIADHN C[C@H](NCc1ccnn1CC1CC1)c1cc2ccccc2s1 ZINC000657353536 1038596799 /nfs/dbraw/zinc/59/67/99/1038596799.db2.gz JJDVXGLGINPYQB-ZDUSSCGKSA-N 0 3 311.454 4.359 20 0 DIADHN COc1ccc(C2(NCc3cc4ccncc4s3)CC2)cc1 ZINC000657356249 1038597826 /nfs/dbraw/zinc/59/78/26/1038597826.db2.gz JKDGTIXCWHVKIZ-UHFFFAOYSA-N 0 3 310.422 4.084 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCCC[C@@H]1[C@H](C)CC ZINC000606434281 1038601427 /nfs/dbraw/zinc/60/14/27/1038601427.db2.gz RWLWGQYHIKSXQB-CRAIPNDOSA-N 0 3 316.489 4.397 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1nc(-c2ccccc2C)no1 ZINC000606434184 1038602274 /nfs/dbraw/zinc/60/22/74/1038602274.db2.gz OPIJARSGTTVWFR-YOEHRIQHSA-N 0 3 313.445 4.446 20 0 DIADHN Cc1cnn(CCCCCN2CCc3cccc(F)c3[C@@H]2C)c1 ZINC000606450473 1038602748 /nfs/dbraw/zinc/60/27/48/1038602748.db2.gz BMSSSNRALJJJDM-INIZCTEOSA-N 0 3 315.436 4.120 20 0 DIADHN Cc1ccc(C[C@@H](C)N(C)Cc2cn3cccc(C)c3n2)cc1 ZINC000606447188 1038603519 /nfs/dbraw/zinc/60/35/19/1038603519.db2.gz KQKJTXVZXXURDS-QGZVFWFLSA-N 0 3 307.441 4.014 20 0 DIADHN CC[C@@H](N[C@H]1CCN(c2ccccc2)C1)c1ccc(F)cc1F ZINC000606467269 1038606290 /nfs/dbraw/zinc/60/62/90/1038606290.db2.gz BCVLZUVYEGWVMI-HNAYVOBHSA-N 0 3 316.395 4.284 20 0 DIADHN CC[C@H](Cc1ccc(Cl)cc1)NCc1nccn1C(C)C ZINC000657364200 1038607227 /nfs/dbraw/zinc/60/72/27/1038607227.db2.gz WEVXHGBIJCLXHM-MRXNPFEDSA-N 0 3 305.853 4.228 20 0 DIADHN CC[C@H](NC[C@@H]1OCCc2ccccc21)c1ccc(F)cc1F ZINC000606467843 1038607493 /nfs/dbraw/zinc/60/74/93/1038607493.db2.gz JPLKWDYYBRJHDV-OALUTQOASA-N 0 3 317.379 4.320 20 0 DIADHN Cc1c2ccccc2oc1[C@H](NCc1cnc2n1CCC2)C(C)C ZINC000657369418 1038614431 /nfs/dbraw/zinc/61/44/31/1038614431.db2.gz FRESZHVXYMFDTI-LJQANCHMSA-N 0 3 323.440 4.371 20 0 DIADHN C[C@@H]1CN(Cc2cccc(-c3ccccn3)c2)CCC1(F)F ZINC000582212933 1038614559 /nfs/dbraw/zinc/61/45/59/1038614559.db2.gz HZLBMDOLHZBRPJ-CQSZACIVSA-N 0 3 302.368 4.226 20 0 DIADHN C[C@H]1CN(Cc2cccc(-c3ccccn3)c2)CCC1(F)F ZINC000582212932 1038614649 /nfs/dbraw/zinc/61/46/49/1038614649.db2.gz HZLBMDOLHZBRPJ-AWEZNQCLSA-N 0 3 302.368 4.226 20 0 DIADHN CC[C@@H](NCc1ccc(Br)c(F)c1)c1ccncc1 ZINC000159079146 1038615775 /nfs/dbraw/zinc/61/57/75/1038615775.db2.gz FHTLMADSDHBDHW-OAHLLOKOSA-N 0 3 323.209 4.224 20 0 DIADHN Fc1ccc(Oc2ccc(CNCCC(F)(F)F)cn2)cc1 ZINC000657386027 1038627883 /nfs/dbraw/zinc/62/78/83/1038627883.db2.gz ZCPWXNFSSUVKBW-UHFFFAOYSA-N 0 3 314.282 4.055 20 0 DIADHN Cc1ccc(C(=O)N(CCN2CCCC2)c2ccccc2)c(C)c1 ZINC000606813961 1038629951 /nfs/dbraw/zinc/62/99/51/1038629951.db2.gz CRIXBZMXGWJKQV-UHFFFAOYSA-N 0 3 322.452 4.046 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1ccc(C(F)(F)F)cc1 ZINC001167562871 1038632206 /nfs/dbraw/zinc/63/22/06/1038632206.db2.gz RGDTZKUODLPSEM-LBPRGKRZSA-N 0 3 316.367 4.012 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1ccn(-c2ccc(F)cc2F)n1 ZINC001167563665 1038633932 /nfs/dbraw/zinc/63/39/32/1038633932.db2.gz XGLAOJDNORMBGT-CYBMUJFWSA-N 0 3 307.388 4.019 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H]1CCc2c1cccc2O ZINC000130599491 1038639032 /nfs/dbraw/zinc/63/90/32/1038639032.db2.gz BUABAMCEJQXYOR-MOPGFXCFSA-N 0 3 309.409 4.055 20 0 DIADHN Fc1cccc(CN[C@H]2CCCC(F)(F)C2)c1OC(F)F ZINC000657402631 1038643774 /nfs/dbraw/zinc/64/37/74/1038643774.db2.gz WCHZOUKEQHAXAM-JTQLQIEISA-N 0 3 309.278 4.095 20 0 DIADHN C[C@@H](NCC1(Sc2ccccc2)CC1)c1ccncc1F ZINC000563318312 1038647168 /nfs/dbraw/zinc/64/71/68/1038647168.db2.gz DQWYAXUIYCMKKE-CYBMUJFWSA-N 0 3 302.418 4.196 20 0 DIADHN FC(F)(F)c1ccc(CCNCc2nc3ccccc3o2)cc1 ZINC000607122013 1038657148 /nfs/dbraw/zinc/65/71/48/1038657148.db2.gz QMPOHIJSBMKUHG-UHFFFAOYSA-N 0 3 320.314 4.179 20 0 DIADHN CC1(C)CN(CCOc2cc(Cl)cc(Cl)c2)C1(C)C ZINC001167572320 1038658475 /nfs/dbraw/zinc/65/84/75/1038658475.db2.gz LWPRPTGAXNKMCT-UHFFFAOYSA-N 0 3 302.245 4.493 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOC2CCCCCC2)s1 ZINC000607151988 1038660271 /nfs/dbraw/zinc/66/02/71/1038660271.db2.gz FUTVGDHDUOCYCQ-AWEZNQCLSA-N 0 3 310.507 4.492 20 0 DIADHN COCc1csc(CN[C@@H]2CCCc3ccc(F)cc32)c1 ZINC000657425077 1038660633 /nfs/dbraw/zinc/66/06/33/1038660633.db2.gz BYBUFFXVRVBLOP-QGZVFWFLSA-N 0 3 305.418 4.201 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)cc1 ZINC000607167465 1038661201 /nfs/dbraw/zinc/66/12/01/1038661201.db2.gz VRKGXFRNOJLQJR-ZDUSSCGKSA-N 0 3 309.413 4.072 20 0 DIADHN CC(C)C[C@@H]1CCCCCN1CC(=O)Nc1ccccc1F ZINC000607156909 1038663865 /nfs/dbraw/zinc/66/38/65/1038663865.db2.gz GNHXMGRNCXBSLY-HNNXBMFYSA-N 0 3 306.425 4.055 20 0 DIADHN CC(C)C[C@H]1CCCCCN1CC(=O)Nc1ccccc1F ZINC000607156910 1038664501 /nfs/dbraw/zinc/66/45/01/1038664501.db2.gz GNHXMGRNCXBSLY-OAHLLOKOSA-N 0 3 306.425 4.055 20 0 DIADHN CCCN(C)CC(=O)N1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000607344396 1038670526 /nfs/dbraw/zinc/67/05/26/1038670526.db2.gz VCSUKKPFDCMGAI-QGZVFWFLSA-N 0 3 322.880 4.126 20 0 DIADHN Fc1ccc(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c(F)c1F ZINC000657436197 1038675527 /nfs/dbraw/zinc/67/55/27/1038675527.db2.gz PRRWHIAUWLTUOH-ZBFHGGJFSA-N 0 3 321.342 4.114 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1cccc(N(C)C)c1 ZINC000119853692 1038681750 /nfs/dbraw/zinc/68/17/50/1038681750.db2.gz WSWRNAPITUIGKU-LJQANCHMSA-N 0 3 310.441 4.065 20 0 DIADHN Cc1ccc2cc(CN[C@@H]3CCOc4c(C)cccc43)[nH]c2c1 ZINC000657446151 1038686222 /nfs/dbraw/zinc/68/62/22/1038686222.db2.gz OODXWPKNASHIEC-GOSISDBHSA-N 0 3 306.409 4.398 20 0 DIADHN CCCOc1ccc(CNCc2cc(COC)cs2)c(C)c1 ZINC000657446639 1038687036 /nfs/dbraw/zinc/68/70/36/1038687036.db2.gz TWDBWVHIXXQYHP-UHFFFAOYSA-N 0 3 319.470 4.282 20 0 DIADHN Cn1cnc2cc(CN3CCC[C@@H]3c3cccc(F)c3)ccc21 ZINC000342638799 1038698042 /nfs/dbraw/zinc/69/80/42/1038698042.db2.gz RXFUKGRUSHSSGU-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN CC(C)n1ccnc1CN[C@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000657457796 1038699087 /nfs/dbraw/zinc/69/90/87/1038699087.db2.gz QDRIXXYQIOXMLQ-RDJZCZTQSA-N 0 3 301.409 4.029 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NCc1ccc(-c2cccs2)cc1 ZINC000657464187 1038702245 /nfs/dbraw/zinc/70/22/45/1038702245.db2.gz PVQOLKYKJFEZLS-KRWDZBQOSA-N 0 3 323.465 4.316 20 0 DIADHN CC(C)n1ccnc1CNC1(Cc2ccc(Cl)cc2)CCC1 ZINC000657472097 1038706582 /nfs/dbraw/zinc/70/65/82/1038706582.db2.gz HVWKIMAKOYZPJQ-UHFFFAOYSA-N 0 3 317.864 4.372 20 0 DIADHN Cc1cccc2[nH]c(CN[C@@H]3C[C@@H](C)Oc4ccccc43)cc21 ZINC000657478796 1038713847 /nfs/dbraw/zinc/71/38/47/1038713847.db2.gz OPCVDPSXPZMMLI-AUUYWEPGSA-N 0 3 306.409 4.478 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)N1C[C@@H]2CCC[C@@H]2C1 ZINC000152740937 1038714829 /nfs/dbraw/zinc/71/48/29/1038714829.db2.gz XKYHUMQVOWXKNQ-ZACQAIPSSA-N 0 3 314.473 4.177 20 0 DIADHN CC(C)N(C)CC(=O)N[C@@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000607663823 1038715541 /nfs/dbraw/zinc/71/55/41/1038715541.db2.gz FUWOAOVVSRRNMU-INIZCTEOSA-N 0 3 324.896 4.274 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2ccc(F)cc2)s1 ZINC000607735823 1038717291 /nfs/dbraw/zinc/71/72/91/1038717291.db2.gz AIFDQMZWVOKDPI-ZDUSSCGKSA-N 0 3 322.449 4.225 20 0 DIADHN CN(CCC1CCCC1)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000607728871 1038717600 /nfs/dbraw/zinc/71/76/00/1038717600.db2.gz LYTNTSMNCUHIBG-LJQANCHMSA-N 0 3 315.436 4.161 20 0 DIADHN CN(CCC1CCCC1)[C@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000607728870 1038718821 /nfs/dbraw/zinc/71/88/21/1038718821.db2.gz LYTNTSMNCUHIBG-IBGZPJMESA-N 0 3 315.436 4.161 20 0 DIADHN C[C@@H]1CCC[C@H](C)CN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000607742533 1038720251 /nfs/dbraw/zinc/72/02/51/1038720251.db2.gz LTABUKDOXBLQSH-QWHCGFSZSA-N 0 3 319.836 4.401 20 0 DIADHN c1c(CNC[C@H]2CCCC[C@H]2c2ccccc2)nnn1C1CCC1 ZINC000657485888 1038720338 /nfs/dbraw/zinc/72/03/38/1038720338.db2.gz UPEBGROWDMVHDQ-XLIONFOSSA-N 0 3 324.472 4.067 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1C[C@@H](C)CCC[C@@H]1C ZINC000607742883 1038721187 /nfs/dbraw/zinc/72/11/87/1038721187.db2.gz WQHRTHPNJWWAQQ-HOCLYGCPSA-N 0 3 302.462 4.006 20 0 DIADHN CCOCCCN1CCC[C@@H]1c1cccc(Br)c1 ZINC000607727280 1038725539 /nfs/dbraw/zinc/72/55/39/1038725539.db2.gz WGUHURWOSWUVDA-OAHLLOKOSA-N 0 3 312.251 4.013 20 0 DIADHN COCc1csc(CNC2(c3cccc(F)c3)CCC2)c1 ZINC000657499217 1038727829 /nfs/dbraw/zinc/72/78/29/1038727829.db2.gz XNHTVBPXMSLADZ-UHFFFAOYSA-N 0 3 305.418 4.203 20 0 DIADHN C[C@@H]1CCC[C@@H](C)N(Cc2csc(-c3ccccn3)n2)C1 ZINC000607754783 1038728620 /nfs/dbraw/zinc/72/86/20/1038728620.db2.gz XHCYNOITFMXHJJ-ZIAGYGMSSA-N 0 3 301.459 4.216 20 0 DIADHN OCc1ccccc1CN[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000305105196 1038734636 /nfs/dbraw/zinc/73/46/36/1038734636.db2.gz CQSYMMMXHDCLCI-INIZCTEOSA-N 0 3 322.235 4.263 20 0 DIADHN C[C@H](O)c1ccc(CNCc2ccc(C(C)(C)C)s2)cc1 ZINC001648916757 1038737356 /nfs/dbraw/zinc/73/73/56/1038737356.db2.gz JJYQXTIKOLTWLU-ZDUSSCGKSA-N 0 3 303.471 4.389 20 0 DIADHN Clc1ccc(SCCN[C@H]2CCCc3cccnc32)cc1 ZINC000684954098 1038738589 /nfs/dbraw/zinc/73/85/89/1038738589.db2.gz UJWQTUYLULLRBP-INIZCTEOSA-N 0 3 318.873 4.494 20 0 DIADHN CO[C@H](CN[C@@H]1CCCc2cccnc21)c1ccc(Cl)cc1 ZINC000684957873 1038742857 /nfs/dbraw/zinc/74/28/57/1038742857.db2.gz ZHUCPBWCWYECBR-IAGOWNOFSA-N 0 3 316.832 4.090 20 0 DIADHN c1ccc([C@H](C[C@@H]2CCOC2)N[C@@H]2CCCc3cccnc32)cc1 ZINC000684959626 1038743910 /nfs/dbraw/zinc/74/39/10/1038743910.db2.gz DQZBYMTWVZTTDH-DBVUQKKJSA-N 0 3 322.452 4.217 20 0 DIADHN c1ccc([C@H](C[C@H]2CCOC2)N[C@@H]2CCCc3cccnc32)cc1 ZINC000684959625 1038744313 /nfs/dbraw/zinc/74/43/13/1038744313.db2.gz DQZBYMTWVZTTDH-AHRSYUTCSA-N 0 3 322.452 4.217 20 0 DIADHN CO[C@@H](CN[C@H]1CCCc2cccnc21)c1cccc(Cl)c1 ZINC000684959889 1038744614 /nfs/dbraw/zinc/74/46/14/1038744614.db2.gz RJJWUTOCUVEQER-IRXDYDNUSA-N 0 3 316.832 4.090 20 0 DIADHN CCCN(Cc1cn(C(C)C)nc1C)Cc1ccc(OC)cc1 ZINC000684964045 1038748352 /nfs/dbraw/zinc/74/83/52/1038748352.db2.gz DTAVBNHUSRGKKG-UHFFFAOYSA-N 0 3 315.461 4.193 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CN[C@H](c2cccnc2)C(C)C)c1 ZINC000091374514 1038750353 /nfs/dbraw/zinc/75/03/53/1038750353.db2.gz MEEYTZWNTAKUNT-ICSRJNTNSA-N 0 3 323.440 4.249 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CC1)c1cccnc1Cl ZINC000161356453 1038752300 /nfs/dbraw/zinc/75/23/00/1038752300.db2.gz WISPRLTUWYWJGG-LBPRGKRZSA-N 0 3 304.796 4.257 20 0 DIADHN CCc1ccc(C2CCN([C@@H](C)c3cnc(C)cn3)CC2)cc1 ZINC000626086970 1038754810 /nfs/dbraw/zinc/75/48/10/1038754810.db2.gz YBRXDIOYTRSMRT-INIZCTEOSA-N 0 3 309.457 4.288 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@@H](C)c3ccccn3)cs2)cc1 ZINC000657531937 1038768066 /nfs/dbraw/zinc/76/80/66/1038768066.db2.gz BLIWCVMEVMZVNU-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN C[C@H]1CN(Cc2cccc(C(=O)C(F)(F)F)c2)CC(C)(C)C1 ZINC001168423989 1038779384 /nfs/dbraw/zinc/77/93/84/1038779384.db2.gz SYBCSGGUYMOQGX-GFCCVEGCSA-N 0 3 313.363 4.300 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)NCc1csc(C2CC2)n1 ZINC000657547532 1038794416 /nfs/dbraw/zinc/79/44/16/1038794416.db2.gz JTMRICWUPCFOQJ-HNNXBMFYSA-N 0 3 304.434 4.271 20 0 DIADHN CCc1ccc(CC)c(NC(=O)c2ccc(CN(C)C)cc2)c1 ZINC000075366305 1038802453 /nfs/dbraw/zinc/80/24/53/1038802453.db2.gz NDVYASARUBRWMO-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN CCC[C@H](NCc1ccc([S@](C)=O)cc1)c1ccsc1 ZINC000187793336 1038806407 /nfs/dbraw/zinc/80/64/07/1038806407.db2.gz UKEWTPZUOZOOKL-JXFKEZNVSA-N 0 3 307.484 4.117 20 0 DIADHN Cn1cccc1[C@H]1CCCN1Cc1ccc(F)c2cccnc12 ZINC000075681577 1038814193 /nfs/dbraw/zinc/81/41/93/1038814193.db2.gz FKCBIZXSSUMYFP-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN CC[C@H](COCC1CC1)NCc1cnc(C2CCCC2)s1 ZINC000657563003 1038844057 /nfs/dbraw/zinc/84/40/57/1038844057.db2.gz ROAXKZMGZVPXNM-OAHLLOKOSA-N 0 3 308.491 4.096 20 0 DIADHN OC1(CCN[C@H]2CCCc3c(Cl)sc(Cl)c32)CCC1 ZINC000342815006 1038859503 /nfs/dbraw/zinc/85/95/03/1038859503.db2.gz OHBSCJLQFGYYKO-JTQLQIEISA-N 0 3 320.285 4.327 20 0 DIADHN CCN(Cc1cccc(Br)c1)[C@@H](C)c1ccncc1 ZINC000608493569 1038860143 /nfs/dbraw/zinc/86/01/43/1038860143.db2.gz AQXBMVLCUVLKQP-ZDUSSCGKSA-N 0 3 319.246 4.427 20 0 DIADHN Cc1ccc(-c2nc(CN(C(C)C)C3CCOCC3)cs2)o1 ZINC000077323331 1038866770 /nfs/dbraw/zinc/86/67/70/1038866770.db2.gz IRHZOWNZSZEDSD-UHFFFAOYSA-N 0 3 320.458 4.101 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1cnn(CC(F)(F)F)c1 ZINC000507147209 1038872114 /nfs/dbraw/zinc/87/21/14/1038872114.db2.gz ZFRLDZSHJOFHEG-MRXNPFEDSA-N 0 3 323.362 4.091 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(Br)ncc2F)ccn1 ZINC001213164109 1038877311 /nfs/dbraw/zinc/87/73/11/1038877311.db2.gz INQMAEYEYZFJDL-UHFFFAOYSA-N 0 3 324.197 4.419 20 0 DIADHN CC[C@@H]1CCCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000075765776 1038905050 /nfs/dbraw/zinc/90/50/50/1038905050.db2.gz PBLRCTNLTXPBDX-OAHLLOKOSA-N 0 3 303.381 4.030 20 0 DIADHN CC(C)[C@@H](NCc1ccc2c(c1)ncn2C)c1ccccc1F ZINC000657614735 1038912924 /nfs/dbraw/zinc/91/29/24/1038912924.db2.gz HULLJWUROGZSPQ-LJQANCHMSA-N 0 3 311.404 4.199 20 0 DIADHN COc1ccc(-c2nc(CN3CCC4(CCCC4)C3)co2)cc1 ZINC000174261769 1038914880 /nfs/dbraw/zinc/91/48/80/1038914880.db2.gz HPQCOCQNZMTVOQ-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN CC(=O)Nc1ccc(CN[C@H](c2ccccc2F)C(C)C)cc1 ZINC000657616623 1038917780 /nfs/dbraw/zinc/91/77/80/1038917780.db2.gz ZVXDZAOKHCRUGR-IBGZPJMESA-N 0 3 314.404 4.271 20 0 DIADHN CC(=O)Nc1ccc(CNC(C)(C)c2cccc(Cl)c2)cc1 ZINC000119380400 1038921405 /nfs/dbraw/zinc/92/14/05/1038921405.db2.gz XVTZTIXCBRLGPH-UHFFFAOYSA-N 0 3 316.832 4.323 20 0 DIADHN C[C@@H](c1nnc(-c2cccc(Cl)c2)o1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153319411 1038927209 /nfs/dbraw/zinc/92/72/09/1038927209.db2.gz PEVZSVHMEDNTRD-FPMFFAJLSA-N 0 3 317.820 4.183 20 0 DIADHN c1ccc(COc2ccccc2CN[C@H]2COC3(CCC3)C2)cc1 ZINC000657630194 1038928370 /nfs/dbraw/zinc/92/83/70/1038928370.db2.gz XTSDVZOHPSYCGU-LJQANCHMSA-N 0 3 323.436 4.067 20 0 DIADHN Cc1nccn1CCCN([C@@H](C)c1ccccc1Cl)C1CC1 ZINC000342864766 1038930621 /nfs/dbraw/zinc/93/06/21/1038930621.db2.gz QJZAJLHXNCMDJX-AWEZNQCLSA-N 0 3 317.864 4.461 20 0 DIADHN C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1cc(F)ccc1F ZINC001167618841 1038941778 /nfs/dbraw/zinc/94/17/78/1038941778.db2.gz HHWTYGMJPAHWNK-GDBMZVCRSA-N 0 3 301.380 4.418 20 0 DIADHN Cc1nc(C)c(CN[C@H]2CCCOc3c(C)cc(C)cc32)s1 ZINC000119885805 1038952421 /nfs/dbraw/zinc/95/24/21/1038952421.db2.gz RFRXPAGHBGIWBJ-INIZCTEOSA-N 0 3 316.470 4.380 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN(C)Cc1cc2ccccc2o1 ZINC001167622667 1038955137 /nfs/dbraw/zinc/95/51/37/1038955137.db2.gz XABPFHGQBKLEHB-QAPCUYQASA-N 0 3 301.430 4.460 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1cnc2ccsc2c1 ZINC000119918128 1038956137 /nfs/dbraw/zinc/95/61/37/1038956137.db2.gz UKLOXWCTWQCKOE-CYBMUJFWSA-N 0 3 311.454 4.213 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H](c2ccncc2)C2CC2)cc1 ZINC000934688233 1038958333 /nfs/dbraw/zinc/95/83/33/1038958333.db2.gz UYWCWPPVEGNXLQ-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1cc([C@H](C)NC[C@](C)(O)c2ccccc2Cl)oc1C ZINC000800490638 1038962320 /nfs/dbraw/zinc/96/23/20/1038962320.db2.gz MZDGJFSTRUAZAC-SJCJKPOMSA-N 0 3 307.821 4.108 20 0 DIADHN C[C@]1(CNCc2ccc(Cl)cc2Br)CC1(F)F ZINC000657664040 1038967602 /nfs/dbraw/zinc/96/76/02/1038967602.db2.gz HGVUFYJESBFCPM-LLVKDONJSA-N 0 3 324.596 4.237 20 0 DIADHN COc1cc(Cl)c(CNC[C@]2(C)CC2(F)F)cc1Cl ZINC000657664385 1038968266 /nfs/dbraw/zinc/96/82/66/1038968266.db2.gz JRAHEKAHRDWYMB-LBPRGKRZSA-N 0 3 310.171 4.137 20 0 DIADHN Cn1ncc(C2CC2)c1CNCc1ccc(-c2ccccc2)s1 ZINC000657661809 1038970310 /nfs/dbraw/zinc/97/03/10/1038970310.db2.gz MJBSIPVKZIKJPM-UHFFFAOYSA-N 0 3 323.465 4.316 20 0 DIADHN C[C@@]1(CNCc2cc(Br)ccc2Cl)CC1(F)F ZINC000657663963 1038970616 /nfs/dbraw/zinc/97/06/16/1038970616.db2.gz GVWJPQYHYSEHDP-NSHDSACASA-N 0 3 324.596 4.237 20 0 DIADHN C[C@@]1(CCNCc2ncc(-c3ccccc3)s2)CC1(F)F ZINC000657670121 1038979530 /nfs/dbraw/zinc/97/95/30/1038979530.db2.gz KFJJCQQHCZYPLY-OAHLLOKOSA-N 0 3 308.397 4.335 20 0 DIADHN CC[C@@H](NCc1csc(COc2ccc(C)cc2)n1)C1CC1 ZINC000657679866 1039001263 /nfs/dbraw/zinc/00/12/63/1039001263.db2.gz GLTCZTUOGAPHBA-QGZVFWFLSA-N 0 3 316.470 4.309 20 0 DIADHN OC[C@H](N[C@@H]1CCCc2ccc(Cl)cc21)c1ccccc1 ZINC000534237347 1039012088 /nfs/dbraw/zinc/01/20/88/1039012088.db2.gz PYBHXUSFPSNUIK-MSOLQXFVSA-N 0 3 301.817 4.041 20 0 DIADHN C[C@H]1CCN(Cc2ccc3c(c2)ncn3C)[C@@H](c2ccco2)C1 ZINC000342924580 1039014885 /nfs/dbraw/zinc/01/48/85/1039014885.db2.gz FYJMRKUQCMOKIX-KBXCAEBGSA-N 0 3 309.413 4.140 20 0 DIADHN C[C@@H]1CCN(Cc2ccc3c(c2)ncn3C)[C@@H](c2ccco2)C1 ZINC000342924583 1039015333 /nfs/dbraw/zinc/01/53/33/1039015333.db2.gz FYJMRKUQCMOKIX-RDTXWAMCSA-N 0 3 309.413 4.140 20 0 DIADHN Cc1nc2ccc(CN[C@H]3CCc4ccc(O)cc43)cc2s1 ZINC000657692837 1039017340 /nfs/dbraw/zinc/01/73/40/1039017340.db2.gz FNOFAKROFFWYGU-INIZCTEOSA-N 0 3 310.422 4.087 20 0 DIADHN C[C@@H](NCc1ccc(C(=O)N2CCCCC2)cc1)c1ccccc1 ZINC000120955333 1039025528 /nfs/dbraw/zinc/02/55/28/1039025528.db2.gz YRKGGZBQCCEHBZ-QGZVFWFLSA-N 0 3 322.452 4.164 20 0 DIADHN O=C(CCNCc1ccccc1Cl)Nc1cccc(Cl)c1 ZINC000243173286 1039029940 /nfs/dbraw/zinc/02/99/40/1039029940.db2.gz JTKNWWNPFPLDOI-UHFFFAOYSA-N 0 3 323.223 4.112 20 0 DIADHN CC(C)COc1ccc(CCN[C@H](C)c2cscn2)cc1 ZINC000534329612 1039030557 /nfs/dbraw/zinc/03/05/57/1039030557.db2.gz PUUSLFXPHUYCIL-CQSZACIVSA-N 0 3 304.459 4.071 20 0 DIADHN COc1ccc(CN2CCC(OCC3CCCCC3)CC2)cc1 ZINC000534348409 1039036195 /nfs/dbraw/zinc/03/61/95/1039036195.db2.gz WKOSVQINAKQVDB-UHFFFAOYSA-N 0 3 317.473 4.257 20 0 DIADHN CN(Cc1ccc2[nH]cnc2c1)Cc1cccc(C(F)(F)F)c1 ZINC000534348680 1039036750 /nfs/dbraw/zinc/03/67/50/1039036750.db2.gz POUPUZBWBSVFDO-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CN(Cc1ccc2nc[nH]c2c1)Cc1cccc(C(F)(F)F)c1 ZINC000534348680 1039036769 /nfs/dbraw/zinc/03/67/69/1039036769.db2.gz POUPUZBWBSVFDO-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCSc1cc(CNCc2ccc3c(c2)CCCC3)ccn1 ZINC000491227153 1039066176 /nfs/dbraw/zinc/06/61/76/1039066176.db2.gz ZIXUMGHWCNFNIM-UHFFFAOYSA-N 0 3 312.482 4.362 20 0 DIADHN O[C@H](CN(Cc1ccco1)C1CCCC1)c1c(F)cccc1F ZINC000052077279 1039111446 /nfs/dbraw/zinc/11/14/46/1039111446.db2.gz IUFMSWDSRCPZFN-QGZVFWFLSA-N 0 3 321.367 4.036 20 0 DIADHN Cc1ccc([C@H](C)NC[C@@H](c2ccco2)N2CCCCC2)o1 ZINC000154043921 1039161639 /nfs/dbraw/zinc/16/16/39/1039161639.db2.gz YINWQVWEYZEDPY-HOTGVXAUSA-N 0 3 302.418 4.059 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@H](c2ccco2)N2CCCCC2)o1 ZINC000154044021 1039162439 /nfs/dbraw/zinc/16/24/39/1039162439.db2.gz YINWQVWEYZEDPY-HZPDHXFCSA-N 0 3 302.418 4.059 20 0 DIADHN CCOC(=O)[C@@H](CCc1ccccc1)N1CCC(CC)(CC)C1 ZINC001167656349 1039171338 /nfs/dbraw/zinc/17/13/38/1039171338.db2.gz JUQZPRXRJITLJL-GOSISDBHSA-N 0 3 317.473 4.063 20 0 DIADHN FC(F)(F)Oc1cccc(CN[C@@H](c2cccnc2)C2CC2)c1 ZINC000535369045 1039195150 /nfs/dbraw/zinc/19/51/50/1039195150.db2.gz YESUHQOYDCICIK-MRXNPFEDSA-N 0 3 322.330 4.221 20 0 DIADHN Cc1nc(CCNCc2ccc(-c3ccccc3F)o2)c(C)o1 ZINC000535386579 1039200379 /nfs/dbraw/zinc/20/03/79/1039200379.db2.gz YGKOAEKRZHEYSU-UHFFFAOYSA-N 0 3 314.360 4.023 20 0 DIADHN Cc1ccccc1OCCCN1CCOC2(C1)CCCCCC2 ZINC001167659465 1039204788 /nfs/dbraw/zinc/20/47/88/1039204788.db2.gz RNUGOEDBOBSSER-UHFFFAOYSA-N 0 3 317.473 4.189 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)c2cccc3ccccc32)c1 ZINC000535421574 1039206743 /nfs/dbraw/zinc/20/67/43/1039206743.db2.gz XIQISCAULWNIIU-UHFFFAOYSA-N 0 3 318.420 4.462 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cccc2cc[nH]c21)c1ccccc1 ZINC000657743493 1039212668 /nfs/dbraw/zinc/21/26/68/1039212668.db2.gz ALQLPMSQELKQJW-WOJBJXKFSA-N 0 3 308.425 4.016 20 0 DIADHN COc1ccc(CN[C@H](CC(C)C)c2ccncc2)cc1F ZINC000647281747 1039224406 /nfs/dbraw/zinc/22/44/06/1039224406.db2.gz FDKFEJUAHPDLRE-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1ccc2[nH]ccc2c1)c1ccccc1 ZINC000657745258 1039231617 /nfs/dbraw/zinc/23/16/17/1039231617.db2.gz ILVWJHTZIFJLLV-PMACEKPBSA-N 0 3 308.425 4.016 20 0 DIADHN CCC1(C)CCC(N[C@@H](C)c2nnc3n2CCCCC3)CC1 ZINC000343139519 1039234354 /nfs/dbraw/zinc/23/43/54/1039234354.db2.gz GPIVILXPSOIUOU-SYJJWHGVSA-N 0 3 304.482 4.014 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccc(C)cc2Cl)C12CCC2 ZINC001167662669 1039236202 /nfs/dbraw/zinc/23/62/02/1039236202.db2.gz ALTGZTXYXWZNPQ-SJORKVTESA-N 0 3 307.865 4.428 20 0 DIADHN C[C@@H](NCc1coc(-c2ccccc2)n1)C1CCC(F)CC1 ZINC000657746166 1039238919 /nfs/dbraw/zinc/23/89/19/1039238919.db2.gz ZYOSXSLOVPYDCM-VQCLRJIVSA-N 0 3 302.393 4.348 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1ccc(C(F)F)cc1)c1ccccc1 ZINC000657746735 1039240813 /nfs/dbraw/zinc/24/08/13/1039240813.db2.gz NDDVQBBGXMECBD-QZTJIDSGSA-N 0 3 319.395 4.472 20 0 DIADHN CC[C@@H](NCc1cccc(N2CCCC2=O)c1)c1cccs1 ZINC000155280437 1039245720 /nfs/dbraw/zinc/24/57/20/1039245720.db2.gz JMLBISLULMTUNY-MRXNPFEDSA-N 0 3 314.454 4.116 20 0 DIADHN CC[C@H](N[C@H]1CCSc2ccccc21)c1c(C)nn(C)c1C ZINC000155412546 1039254996 /nfs/dbraw/zinc/25/49/96/1039254996.db2.gz QOYSMZNPIAGPBT-HOTGVXAUSA-N 0 3 315.486 4.315 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H](C)c2cc3c(s2)CCC3)c1 ZINC000155467586 1039256147 /nfs/dbraw/zinc/25/61/47/1039256147.db2.gz QRLQRHAAJUILHP-GFCCVEGCSA-N 0 3 314.454 4.046 20 0 DIADHN CC[C@H](NCc1cccc(Oc2ccccc2)c1)c1cnn(C)c1 ZINC000155520304 1039263122 /nfs/dbraw/zinc/26/31/22/1039263122.db2.gz UKHFQCMUQCEMSV-FQEVSTJZSA-N 0 3 321.424 4.453 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H](CO)c2ccccc2)cc1 ZINC000535989036 1039263762 /nfs/dbraw/zinc/26/37/62/1039263762.db2.gz QFFMJGMJRILBJS-KSSFIOAISA-N 0 3 301.455 4.183 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@@H](C)[C@@H]1C)c1ncc(Br)s1 ZINC000535990774 1039265286 /nfs/dbraw/zinc/26/52/86/1039265286.db2.gz KPVXJTLVEYHNNU-VPOLOUISSA-N 0 3 317.296 4.381 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1coc2ccccc12)c1ccccc1 ZINC000657749105 1039268295 /nfs/dbraw/zinc/26/82/95/1039268295.db2.gz YDTBFQSIHNIKOH-PMACEKPBSA-N 0 3 309.409 4.281 20 0 DIADHN Clc1cccc(Cl)c1CN[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000539494707 1039270705 /nfs/dbraw/zinc/27/07/05/1039270705.db2.gz NAKLWEIMSCGALH-COLVAYQJSA-N 0 3 312.240 4.041 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1ccccc1Br)OC ZINC000394450203 1039271511 /nfs/dbraw/zinc/27/15/11/1039271511.db2.gz OKMUVRVAJBDICZ-SLEUVZQESA-N 0 3 314.267 4.161 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNC(C)(C)c1cccs1 ZINC000539509023 1039281148 /nfs/dbraw/zinc/28/11/48/1039281148.db2.gz RZBGWYWFXHYLDP-UHFFFAOYSA-N 0 3 305.491 4.341 20 0 DIADHN CC(C)n1cc([C@H](C)N2CCC(Cc3ccccc3)CC2)cn1 ZINC000539560568 1039289433 /nfs/dbraw/zinc/28/94/33/1039289433.db2.gz SLDHTGVPDLZQER-KRWDZBQOSA-N 0 3 311.473 4.480 20 0 DIADHN c1coc([C@@H]2N(Cc3cccc4[nH]ccc43)CC23CCOCC3)c1 ZINC000668673152 1039289197 /nfs/dbraw/zinc/28/91/97/1039289197.db2.gz JEAYONWKJMFVIT-IBGZPJMESA-N 0 3 322.408 4.115 20 0 DIADHN C[C@@H](NC[C@H](O)c1cccc(Cl)c1)c1ccc(F)cc1F ZINC000174661654 1039292208 /nfs/dbraw/zinc/29/22/08/1039292208.db2.gz CSFWGPMTSZSVLC-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN CC(C)(C)c1cc(Nc2ccc3c(c2)C(=O)NCC3(C)C)ccn1 ZINC001213168544 1039294889 /nfs/dbraw/zinc/29/48/89/1039294889.db2.gz LLLDNNYOILFIAU-UHFFFAOYSA-N 0 3 323.440 4.144 20 0 DIADHN CC(C)(C)c1cc(Nc2c(F)cccc2OC2COC2)ccn1 ZINC001213168600 1039302782 /nfs/dbraw/zinc/30/27/82/1039302782.db2.gz NELVDDPTMLGJSU-UHFFFAOYSA-N 0 3 316.376 4.039 20 0 DIADHN CC(C)(C)c1cc(Nc2cccc3c2CNC(=O)C3(C)C)ccn1 ZINC001213168726 1039304718 /nfs/dbraw/zinc/30/47/18/1039304718.db2.gz QQLYIOOKJNVWEF-UHFFFAOYSA-N 0 3 323.440 4.030 20 0 DIADHN C[C@@H](NCc1ccc(-c2ccc(F)cc2)s1)c1cn[nH]c1 ZINC000536008144 1039306412 /nfs/dbraw/zinc/30/64/12/1039306412.db2.gz QPEUCWCRFKSDIK-LLVKDONJSA-N 0 3 301.390 4.128 20 0 DIADHN CC1(C)CCCN(Cc2nnc(-c3ccccc3Cl)o2)CC1 ZINC000126981375 1039321062 /nfs/dbraw/zinc/32/10/62/1039321062.db2.gz KCFZHIMBWXOVPX-UHFFFAOYSA-N 0 3 319.836 4.402 20 0 DIADHN COc1cccc([C@H]2CCCN2CCC(=O)c2ccccc2)c1 ZINC000539724023 1039324094 /nfs/dbraw/zinc/32/40/94/1039324094.db2.gz XSJSWKYPTITSMC-LJQANCHMSA-N 0 3 309.409 4.105 20 0 DIADHN CCN(Cc1nc2ccccc2o1)[C@@H](C)Cc1ccsc1 ZINC000187781849 1039326865 /nfs/dbraw/zinc/32/68/65/1039326865.db2.gz JMGVTMLHIZSLHO-ZDUSSCGKSA-N 0 3 300.427 4.342 20 0 DIADHN C[C@H](C1CC1)N(Cc1coc(-c2cccc(F)c2)n1)C1CC1 ZINC000047909366 1039333078 /nfs/dbraw/zinc/33/30/78/1039333078.db2.gz UDPKHTCIYYUMJV-GFCCVEGCSA-N 0 3 300.377 4.244 20 0 DIADHN CCOc1ccccc1CN(C)[C@@H](CCOC)c1ccccc1 ZINC000536037053 1039336814 /nfs/dbraw/zinc/33/68/14/1039336814.db2.gz XKDUDJOAEBAMLK-IBGZPJMESA-N 0 3 313.441 4.295 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@]2(C)CCCc3ccccc32)c1C ZINC000539807275 1039340183 /nfs/dbraw/zinc/34/01/83/1039340183.db2.gz OGBFAJJENLWGGK-NRFANRHFSA-N 0 3 322.452 4.083 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cnc3ccc(Cl)cn23)C1 ZINC000539822490 1039349065 /nfs/dbraw/zinc/34/90/65/1039349065.db2.gz LOGWIOMZVKBVKJ-HIFRSBDPSA-N 0 3 323.893 4.094 20 0 DIADHN Cn1ncc(CN[C@@H](c2ccccc2)C2CCC2)c1C(F)(F)F ZINC000151030656 1039356436 /nfs/dbraw/zinc/35/64/36/1039356436.db2.gz LLPPRODLBMYOJK-HNNXBMFYSA-N 0 3 323.362 4.070 20 0 DIADHN COc1cc(CN2CCC2(C)C)ccc1OCc1cccs1 ZINC000539837607 1039364501 /nfs/dbraw/zinc/36/45/01/1039364501.db2.gz ONRBJACVRWCBME-UHFFFAOYSA-N 0 3 317.454 4.320 20 0 DIADHN c1cc(CNCc2ccc(COCc3ccccc3)cc2)c[nH]1 ZINC000343280448 1039369896 /nfs/dbraw/zinc/36/98/96/1039369896.db2.gz SMENAAYQTURNGK-UHFFFAOYSA-N 0 3 306.409 4.021 20 0 DIADHN Cc1cc(CN2CCC[C@H](c3noc(C4CC4)n3)C2)c(C)s1 ZINC000539857319 1039371888 /nfs/dbraw/zinc/37/18/88/1039371888.db2.gz BYSUVRNBYZKPAX-AWEZNQCLSA-N 0 3 317.458 4.005 20 0 DIADHN COCc1ccc(CN(C)Cc2cccc(OC(C)C)c2)cc1 ZINC000539861237 1039373933 /nfs/dbraw/zinc/37/39/33/1039373933.db2.gz GEGKRXMFXMTTTR-UHFFFAOYSA-N 0 3 313.441 4.252 20 0 DIADHN COC(Cc1ccc(Nc2ccnc(C(C)(C)C)c2)cc1)OC ZINC001213170444 1039376084 /nfs/dbraw/zinc/37/60/84/1039376084.db2.gz FZNDAWYFZZXMMN-UHFFFAOYSA-N 0 3 314.429 4.284 20 0 DIADHN FCCC[C@@H]1CCC[C@H]1NCc1c(F)c(F)cc(F)c1F ZINC000669848254 1039377578 /nfs/dbraw/zinc/37/75/78/1039377578.db2.gz VGTCKZRHMVPPCG-TVQRCGJNSA-N 0 3 307.306 4.251 20 0 DIADHN FCCC[C@@H]1CCC[C@@H]1NCc1c(F)c(F)cc(F)c1F ZINC000669848255 1039377744 /nfs/dbraw/zinc/37/77/44/1039377744.db2.gz VGTCKZRHMVPPCG-ZANVPECISA-N 0 3 307.306 4.251 20 0 DIADHN Cc1cc(C)n(-c2ccccc2CN[C@H](C)c2ccc(F)cc2)n1 ZINC000151057122 1039383044 /nfs/dbraw/zinc/38/30/44/1039383044.db2.gz SYZSEWGQSZDJCR-MRXNPFEDSA-N 0 3 323.415 4.479 20 0 DIADHN COCCOc1ccc([C@@H](C)N[C@@H](C)c2ccsc2)cc1 ZINC000669851270 1039394001 /nfs/dbraw/zinc/39/40/01/1039394001.db2.gz ZSHMEQQBZSMRNK-KGLIPLIRSA-N 0 3 305.443 4.185 20 0 DIADHN COc1cc2c(cc1F)CC[C@H]2N[C@H](C)c1ccc(C)nc1C ZINC000669852928 1039401157 /nfs/dbraw/zinc/40/11/57/1039401157.db2.gz GDLYVUWGZNXSFK-FZKQIMNGSA-N 0 3 314.404 4.184 20 0 DIADHN c1c2ccccc2oc1CN[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000540068843 1039411687 /nfs/dbraw/zinc/41/16/87/1039411687.db2.gz UQAYBSHFXDICED-YLJYHZDGSA-N 0 3 307.393 4.300 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2ccc(-n3ccnc3)cc2)s1 ZINC000126938875 1039413372 /nfs/dbraw/zinc/41/33/72/1039413372.db2.gz URTOLIQORVGANH-OLZOCXBDSA-N 0 3 312.442 4.049 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2ccc(-n3ccnc3)cc2)s1 ZINC000126938434 1039413895 /nfs/dbraw/zinc/41/38/95/1039413895.db2.gz URTOLIQORVGANH-STQMWFEESA-N 0 3 312.442 4.049 20 0 DIADHN Brc1ccc(CN[C@@H](c2cccnc2)C2CC2)s1 ZINC000536098953 1039417331 /nfs/dbraw/zinc/41/73/31/1039417331.db2.gz OVZHSIGRXDUQRQ-CQSZACIVSA-N 0 3 323.259 4.147 20 0 DIADHN C[C@H](N[C@H]1CC(C)(C)C[C@@H]1C)c1nc(-c2cccc(F)c2)no1 ZINC000583687053 1039424329 /nfs/dbraw/zinc/42/43/29/1039424329.db2.gz MWGAOQLHNQKFJW-HUBLWGQQSA-N 0 3 317.408 4.351 20 0 DIADHN CCOC[C@H](C)N[C@H](Cc1ccc(Cl)cc1)c1ccccn1 ZINC000540144833 1039425474 /nfs/dbraw/zinc/42/54/74/1039425474.db2.gz LUNXSPXUXFUQNA-KBXCAEBGSA-N 0 3 318.848 4.033 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](CO)c2ccc(C(F)(F)F)cc2)c1 ZINC000540148744 1039428453 /nfs/dbraw/zinc/42/84/53/1039428453.db2.gz CFCJPHRHEBXIHD-DYVFJYSZSA-N 0 3 323.358 4.398 20 0 DIADHN C[C@@H]1Cc2ccc(F)cc2[C@H]1NCc1cnc2ccccc2c1 ZINC000540172056 1039436473 /nfs/dbraw/zinc/43/64/73/1039436473.db2.gz NCNCFNRYFAZYJF-XCLFUZPHSA-N 0 3 306.384 4.397 20 0 DIADHN CCc1cccc2c1OCC[C@H]2N[C@@H]1CCc2cc(F)ccc21 ZINC000540177728 1039445292 /nfs/dbraw/zinc/44/52/92/1039445292.db2.gz JXZLHPCJGKJNTE-RTBURBONSA-N 0 3 311.400 4.489 20 0 DIADHN C[C@@H](N[C@@H](CO)c1cccc(F)c1)c1cccc2ccccc21 ZINC000540183569 1039447441 /nfs/dbraw/zinc/44/74/41/1039447441.db2.gz ITFGPYREDVWZOS-VLIAUNLRSA-N 0 3 309.384 4.363 20 0 DIADHN CC(C)c1ccc(OCCN[C@@H](C)c2cnn(C(C)C)c2)cc1 ZINC000540192674 1039451956 /nfs/dbraw/zinc/45/19/56/1039451956.db2.gz LUSWVTLKTLGUSC-INIZCTEOSA-N 0 3 315.461 4.317 20 0 DIADHN C[C@H](c1ccc2ccccc2c1)N1CCCN(CC(F)F)CC1 ZINC000540196468 1039452478 /nfs/dbraw/zinc/45/24/78/1039452478.db2.gz PQKVFDHFYGFUHJ-OAHLLOKOSA-N 0 3 318.411 4.174 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1ccc(N2CCCC2)nc1 ZINC000657796794 1039466115 /nfs/dbraw/zinc/46/61/15/1039466115.db2.gz IOQMCPQLTCXIGW-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN CCCOc1ccc(CN[C@H](c2ccc(C)cc2)C2CC2)cn1 ZINC000540300907 1039469481 /nfs/dbraw/zinc/46/94/81/1039469481.db2.gz UVGARHUNOZUIDD-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN CC(C)[C@H](NCc1ccc(OCC2CC2)nc1)c1ccccc1 ZINC000540302896 1039471150 /nfs/dbraw/zinc/47/11/50/1039471150.db2.gz VMLNLPFXMRHSMY-FQEVSTJZSA-N 0 3 310.441 4.357 20 0 DIADHN CCSc1ccccc1C[C@H](C)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000926531884 1039475075 /nfs/dbraw/zinc/47/50/75/1039475075.db2.gz IBUOEEQFJWTWHB-SQWLQELKSA-N 0 3 315.486 4.222 20 0 DIADHN CCCn1nc(C)c(CN[C@@H]2CCCc3c(C)cccc32)c1C ZINC000657797874 1039475194 /nfs/dbraw/zinc/47/51/94/1039475194.db2.gz NGQPUXBEDZYAFT-HXUWFJFHSA-N 0 3 311.473 4.386 20 0 DIADHN O=C(Nc1ccc(-c2ccc[nH]2)cc1)[C@H]1CCCN1C1CCCC1 ZINC000540420675 1039490506 /nfs/dbraw/zinc/49/05/06/1039490506.db2.gz XYIGCAHQNCBTGF-LJQANCHMSA-N 0 3 323.440 4.027 20 0 DIADHN CN(C)c1ccccc1CNC(C)(C)c1cccc(Cl)c1 ZINC000540415105 1039491984 /nfs/dbraw/zinc/49/19/84/1039491984.db2.gz UFNMGHHXSAKCFE-UHFFFAOYSA-N 0 3 302.849 4.431 20 0 DIADHN CCc1ncc(CN2CCC[C@H](c3ccc(F)cc3)CC2)o1 ZINC001168435131 1039492158 /nfs/dbraw/zinc/49/21/58/1039492158.db2.gz BUEKRYDMDGQALG-AWEZNQCLSA-N 0 3 302.393 4.146 20 0 DIADHN COc1cccc(CNCc2ccccc2C(F)(F)F)c1C ZINC000657801907 1039497812 /nfs/dbraw/zinc/49/78/12/1039497812.db2.gz NBVXBPPEFBDEFW-UHFFFAOYSA-N 0 3 309.331 4.312 20 0 DIADHN COc1cccc(CNCc2ccc(OCC3CC3)cc2)c1C ZINC000657804353 1039500646 /nfs/dbraw/zinc/50/06/46/1039500646.db2.gz YJNUPJVIJKIZLD-UHFFFAOYSA-N 0 3 311.425 4.082 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2cccs2)C2CC2)c1C ZINC000540468601 1039502366 /nfs/dbraw/zinc/50/23/66/1039502366.db2.gz QKBBGKANYPYHED-SFHVURJKSA-N 0 3 314.454 4.044 20 0 DIADHN COc1ccc(OC)c(CN[C@H](c2cccs2)C2CC2)c1 ZINC000540513999 1039509754 /nfs/dbraw/zinc/50/97/54/1039509754.db2.gz OSWQWMIFSWVTKO-KRWDZBQOSA-N 0 3 303.427 4.006 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@@H](c1ccccc1C)C1CC1 ZINC000540590463 1039520744 /nfs/dbraw/zinc/52/07/44/1039520744.db2.gz LYWVRGNEGKSOLE-OXQOHEQNSA-N 0 3 322.452 4.371 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@H](c1ccccc1C)C1CC1 ZINC000540590465 1039521554 /nfs/dbraw/zinc/52/15/54/1039521554.db2.gz LYWVRGNEGKSOLE-UZLBHIALSA-N 0 3 322.452 4.371 20 0 DIADHN CC(C)CC[C@@H](NCc1nc(-c2ccco2)no1)C(C)(C)C ZINC000540590164 1039521809 /nfs/dbraw/zinc/52/18/09/1039521809.db2.gz GDXTUBPLZWHPBM-CQSZACIVSA-N 0 3 305.422 4.270 20 0 DIADHN Cc1ccccc1NC(=O)[C@H](C)N[C@@H](c1ccccc1C)C1CC1 ZINC000540590462 1039521847 /nfs/dbraw/zinc/52/18/47/1039521847.db2.gz LYWVRGNEGKSOLE-OXJNMPFZSA-N 0 3 322.452 4.371 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC(c2ccncc2)CC1 ZINC000583961655 1039521888 /nfs/dbraw/zinc/52/18/88/1039521888.db2.gz KAWKXVQLLFPSAO-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc3[nH]c(=O)oc3c2)CC1 ZINC000647316411 1039524065 /nfs/dbraw/zinc/52/40/65/1039524065.db2.gz HEDDZMITNAMVPT-CYBMUJFWSA-N 0 3 308.381 4.182 20 0 DIADHN Cn1ccc(CN[C@H]2CCC[C@H]2c2ccc(Cl)c(Cl)c2)n1 ZINC000540606673 1039528340 /nfs/dbraw/zinc/52/83/40/1039528340.db2.gz POYRYDROYVYKEK-BBRMVZONSA-N 0 3 324.255 4.153 20 0 DIADHN Cc1ccc(CNCC2=CCCOC2)c(O[C@@H](C)CC(C)C)c1 ZINC000540625520 1039531850 /nfs/dbraw/zinc/53/18/50/1039531850.db2.gz PDPIZSLZJDRXOF-KRWDZBQOSA-N 0 3 317.473 4.245 20 0 DIADHN C[C@H](O)[C@H](NCc1ccc(C(C)(C)C)s1)c1ccccc1 ZINC000657813997 1039541985 /nfs/dbraw/zinc/54/19/85/1039541985.db2.gz CBRSTMCNPKKVDG-GUYCJALGSA-N 0 3 303.471 4.257 20 0 DIADHN C[C@@H](NCc1ccn(C)c1)c1ccc(SCC(F)F)cc1 ZINC000158450354 1039546612 /nfs/dbraw/zinc/54/66/12/1039546612.db2.gz PYYYCFVAFMOIKA-GFCCVEGCSA-N 0 3 310.413 4.233 20 0 DIADHN Nc1cc(Br)ccc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC000227269400 1039552418 /nfs/dbraw/zinc/55/24/18/1039552418.db2.gz BDZKQRDNZNPREF-BLLLJJGKSA-N 0 3 323.278 4.186 20 0 DIADHN COCC1(N[C@H](C)c2ccc3ccccc3c2OC(F)F)CC1 ZINC000540750021 1039558054 /nfs/dbraw/zinc/55/80/54/1039558054.db2.gz VEFFJGNBGUABEK-GFCCVEGCSA-N 0 3 321.367 4.271 20 0 DIADHN CCN1CCN(c2ccccc2N[C@@H](C)c2ccc(C)cc2)CC1 ZINC000540745380 1039560560 /nfs/dbraw/zinc/56/05/60/1039560560.db2.gz CDXGMTRAWAWIHR-SFHVURJKSA-N 0 3 323.484 4.310 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000584063706 1039588229 /nfs/dbraw/zinc/58/82/29/1039588229.db2.gz ZMOULDIEVNKKDE-UFJXABKHSA-N 0 3 315.848 4.486 20 0 DIADHN Cc1cnccc1CN[C@@H](C)c1ccc(Br)cc1 ZINC000228660888 1039591457 /nfs/dbraw/zinc/59/14/57/1039591457.db2.gz INNRQEZSIYDASP-LBPRGKRZSA-N 0 3 305.219 4.003 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc(C(F)F)nc2)cc1 ZINC000657826495 1039593714 /nfs/dbraw/zinc/59/37/14/1039593714.db2.gz HCTQTYRXKLPTMG-GFCCVEGCSA-N 0 3 306.356 4.016 20 0 DIADHN CN(Cc1cnc(C2CCCCC2)s1)C[C@@H]1CCCC[C@@H]1O ZINC000540916764 1039593842 /nfs/dbraw/zinc/59/38/42/1039593842.db2.gz OPRULYXGERWLRQ-RDJZCZTQSA-N 0 3 322.518 4.174 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1ccc(C(F)(F)F)cc1 ZINC000657825816 1039595877 /nfs/dbraw/zinc/59/58/77/1039595877.db2.gz DESUUEYWQYFSMA-FZMZJTMJSA-N 0 3 309.335 4.088 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1ccc(C(F)(F)F)cc1 ZINC000657825817 1039596107 /nfs/dbraw/zinc/59/61/07/1039596107.db2.gz DESUUEYWQYFSMA-RISCZKNCSA-N 0 3 309.335 4.088 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cc3c(s2)CCC3)cc1OC ZINC000151585716 1039596718 /nfs/dbraw/zinc/59/67/18/1039596718.db2.gz YUGYZKKTQIPLPU-LBPRGKRZSA-N 0 3 317.454 4.105 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2cccc(-n3cccn3)c2)c(C)o1 ZINC000278623935 1039601792 /nfs/dbraw/zinc/60/17/92/1039601792.db2.gz GJTIWOGBOCUQBH-CABCVRRESA-N 0 3 309.413 4.494 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000080656654 1039617944 /nfs/dbraw/zinc/61/79/44/1039617944.db2.gz GVWGKBYBXYHODF-SMDDNHRTSA-N 0 3 305.809 4.010 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2cc3cnccc3o2)cc1 ZINC000657832936 1039634729 /nfs/dbraw/zinc/63/47/29/1039634729.db2.gz OIRFKNXORIDLSF-CQSZACIVSA-N 0 3 310.397 4.466 20 0 DIADHN C[C@@H](NCc1cccnc1OC1CCCC1)C(C)(C)C(F)F ZINC000657835646 1039639713 /nfs/dbraw/zinc/63/97/13/1039639713.db2.gz VSOVAKSEKHPDIZ-GFCCVEGCSA-N 0 3 312.404 4.172 20 0 DIADHN CO[C@@H](CNCc1ccc(F)cc1F)c1ccc(Cl)cc1 ZINC000525695153 1039668681 /nfs/dbraw/zinc/66/86/81/1039668681.db2.gz YQNZQFSALXNGJG-INIZCTEOSA-N 0 3 311.759 4.096 20 0 DIADHN CC[C@H](Cc1ccccc1)N(C)Cc1nc(C2CC2)cs1 ZINC000541348331 1039670884 /nfs/dbraw/zinc/67/08/84/1039670884.db2.gz AKYYQXUIRPCDCR-MRXNPFEDSA-N 0 3 300.471 4.474 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nc(C2CC2)cs1 ZINC000541348330 1039671548 /nfs/dbraw/zinc/67/15/48/1039671548.db2.gz AKYYQXUIRPCDCR-INIZCTEOSA-N 0 3 300.471 4.474 20 0 DIADHN CCCOc1ccc(CN[C@@H](C)c2cc3cnccc3o2)cc1 ZINC000657839668 1039672671 /nfs/dbraw/zinc/67/26/71/1039672671.db2.gz DGBUXGVKUXMGKK-AWEZNQCLSA-N 0 3 310.397 4.467 20 0 DIADHN COc1ccccc1C1(N[C@@H](C)[C@@H](OC)c2ccccc2)CC1 ZINC000647329134 1039675200 /nfs/dbraw/zinc/67/52/00/1039675200.db2.gz HLTHWEFGMICAGD-HNAYVOBHSA-N 0 3 311.425 4.050 20 0 DIADHN c1sc(-c2ccccc2)nc1CNC[C@@H]1CCCCS1 ZINC000541377278 1039676236 /nfs/dbraw/zinc/67/62/36/1039676236.db2.gz BWORVENNQRCGPY-HNNXBMFYSA-N 0 3 304.484 4.185 20 0 DIADHN C[C@]1(NCc2c(Cl)oc3ccccc32)CCO[C@H]1C1CC1 ZINC000541382486 1039677133 /nfs/dbraw/zinc/67/71/33/1039677133.db2.gz DKGLJUKRRMLOTC-RDJZCZTQSA-N 0 3 305.805 4.133 20 0 DIADHN CC(C)N(C(=O)CN[C@@H](c1ccc(Cl)cc1)C1CC1)C(C)C ZINC000302760809 1039680142 /nfs/dbraw/zinc/68/01/42/1039680142.db2.gz PBKHHUMEIGABOC-GOSISDBHSA-N 0 3 322.880 4.026 20 0 DIADHN C[C@](O)(CNCc1cccc(Cl)c1Cl)c1cccs1 ZINC000153000440 1039690068 /nfs/dbraw/zinc/69/00/68/1039690068.db2.gz BSVJFKOCNHTDGF-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN CN(C)Cc1cccc(NC(=O)Cc2csc3ccccc23)c1 ZINC000611830090 1039694360 /nfs/dbraw/zinc/69/43/60/1039694360.db2.gz ZEQTZQLYMMDCME-UHFFFAOYSA-N 0 3 324.449 4.144 20 0 DIADHN CCc1cnc(CN2CCC[C@@H]2CCc2ccccc2)s1 ZINC000541537924 1039694603 /nfs/dbraw/zinc/69/46/03/1039694603.db2.gz VVUCWXJSJYVWCS-MRXNPFEDSA-N 0 3 300.471 4.303 20 0 DIADHN CN(CCc1cccs1)Cc1cn(C)nc1C1CCCCC1 ZINC000541534907 1039696619 /nfs/dbraw/zinc/69/66/19/1039696619.db2.gz GCDVUKKQMBVYFC-UHFFFAOYSA-N 0 3 317.502 4.204 20 0 DIADHN FCC1(CF)CC(NCc2nc3cc(Cl)ccc3s2)C1 ZINC000657849674 1039697759 /nfs/dbraw/zinc/69/77/59/1039697759.db2.gz LUAQBIPWZJGOLB-UHFFFAOYSA-N 0 3 316.804 4.127 20 0 DIADHN C[C@@H](NCc1cc(F)ccc1Br)C(C)(C)C(F)F ZINC000657849795 1039700473 /nfs/dbraw/zinc/70/04/73/1039700473.db2.gz MJRFYWSNCOOSBO-MRVPVSSYSA-N 0 3 324.184 4.358 20 0 DIADHN C[C@@H](N[C@H](c1nccn1C)c1ccccc1)C1CCCCCC1 ZINC000541550194 1039704137 /nfs/dbraw/zinc/70/41/37/1039704137.db2.gz OFCMJMNUCORPJT-APWZRJJASA-N 0 3 311.473 4.458 20 0 DIADHN COc1cc(C)c(CNC2(c3ccccc3)CCC2)cc1OC ZINC000083454163 1039735183 /nfs/dbraw/zinc/73/51/83/1039735183.db2.gz QSDCMUHTKCHWRU-UHFFFAOYSA-N 0 3 311.425 4.181 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000172682654 1039736907 /nfs/dbraw/zinc/73/69/07/1039736907.db2.gz ASQCJCLQKQYCSY-QWRGUYRKSA-N 0 3 311.351 4.159 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(CN2CCC(Cc3cccnc3)CC2)o1 ZINC000541764620 1039739478 /nfs/dbraw/zinc/73/94/78/1039739478.db2.gz IFDGGXUZTFEUFD-DNVCBOLYSA-N 0 3 310.441 4.253 20 0 DIADHN COc1cc(CN[C@H](C)c2ccc(C(F)F)nc2)ccc1C ZINC000657854861 1039746213 /nfs/dbraw/zinc/74/62/13/1039746213.db2.gz CFYTVIGNIGRHMI-GFCCVEGCSA-N 0 3 306.356 4.187 20 0 DIADHN COc1ccc(CN[C@H]2CCCOc3cc(F)ccc32)c(C)c1 ZINC000541914018 1039758771 /nfs/dbraw/zinc/75/87/71/1039758771.db2.gz HLHOSPDFLQIQMH-SFHVURJKSA-N 0 3 315.388 4.146 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(C[C@H](C)C(F)(F)F)[C@H]2C ZINC000541951536 1039770213 /nfs/dbraw/zinc/77/02/13/1039770213.db2.gz HHZUMONIGAKJRW-SRVKXCTJSA-N 0 3 301.352 4.201 20 0 DIADHN Cc1cccc2c1[C@H](C)C[C@H]2NCc1ccc([S@](C)=O)cc1 ZINC000090221353 1039775248 /nfs/dbraw/zinc/77/52/48/1039775248.db2.gz WZVOTJYYGBTKEP-JPEVHGAISA-N 0 3 313.466 4.071 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1c3ccccc3C[C@H]1C)CCO2 ZINC000089614198 1039775608 /nfs/dbraw/zinc/77/56/08/1039775608.db2.gz XOEZSDDBIJZCFI-CFSSXQINSA-N 0 3 309.409 4.042 20 0 DIADHN COc1ccc2cc([C@H](C)N[C@H]3CCCn4nccc43)ccc2c1 ZINC000657860661 1039792444 /nfs/dbraw/zinc/79/24/44/1039792444.db2.gz BOABLNUDYDVTIY-LIRRHRJNSA-N 0 3 321.424 4.231 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccncc3)C2)cc(C(F)(F)F)c1 ZINC001143455477 1039793467 /nfs/dbraw/zinc/79/34/67/1039793467.db2.gz SZUUEOKJOWSMAL-MRXNPFEDSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@@H](NCCc1csc(C(C)(C)C)n1)c1cccnc1Cl ZINC000090948785 1039806613 /nfs/dbraw/zinc/80/66/13/1039806613.db2.gz IGLYNMCQQNISDS-LLVKDONJSA-N 0 3 323.893 4.382 20 0 DIADHN Cc1c(C)c(C)c(CN[C@H]2CCCc3c2cnn3C)c(C)c1C ZINC000090581062 1039811070 /nfs/dbraw/zinc/81/10/70/1039811070.db2.gz LCACHHFSPNBWON-IBGZPJMESA-N 0 3 311.473 4.129 20 0 DIADHN CCC[C@H](NCCOc1ccccc1Cl)c1ccccn1 ZINC000090772507 1039815265 /nfs/dbraw/zinc/81/52/65/1039815265.db2.gz FAKAFOAOIRBYMB-HNNXBMFYSA-N 0 3 304.821 4.245 20 0 DIADHN COc1cccc(F)c1[C@@H](C)NCCc1cccc(F)c1F ZINC000542252202 1039820922 /nfs/dbraw/zinc/82/09/22/1039820922.db2.gz AAOCMEQUXJVXCD-LLVKDONJSA-N 0 3 309.331 4.006 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@H](C)c1ccc(F)cn1 ZINC000090778506 1039820506 /nfs/dbraw/zinc/82/05/06/1039820506.db2.gz GIIIIBOIIIGYHW-HIFRSBDPSA-N 0 3 304.434 4.442 20 0 DIADHN CCOc1ccc([C@H](C)N[C@H](CO)c2ccc(CC)cc2)cc1 ZINC000090844122 1039820677 /nfs/dbraw/zinc/82/06/77/1039820677.db2.gz QAWPOAFZBGLWJQ-MGPUTAFESA-N 0 3 313.441 4.032 20 0 DIADHN CC[C@@H](NCCOc1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000491357123 1039836168 /nfs/dbraw/zinc/83/61/68/1039836168.db2.gz WADYSVDILHKHHV-LJQANCHMSA-N 0 3 324.399 4.494 20 0 DIADHN Cn1cc([C@H](NCc2ccc3c(c2)CCCC3)C(C)(C)C)cn1 ZINC000491387647 1039837167 /nfs/dbraw/zinc/83/71/67/1039837167.db2.gz JGFIHUPZVOKEGE-IBGZPJMESA-N 0 3 311.473 4.176 20 0 DIADHN CCOCc1ccc(CN[C@H](C)c2cccc(OCC)c2)cc1 ZINC000151630155 1039847405 /nfs/dbraw/zinc/84/74/05/1039847405.db2.gz IJQPEIKHMYSSTN-MRXNPFEDSA-N 0 3 313.441 4.473 20 0 DIADHN CC(C)[C@@H](NCc1cccc(OC(F)F)c1)c1cccnc1 ZINC000091372849 1039847561 /nfs/dbraw/zinc/84/75/61/1039847561.db2.gz OJJZZFNLMFKULJ-MRXNPFEDSA-N 0 3 306.356 4.170 20 0 DIADHN COc1cc(C)c(CN(C)Cc2cc(F)ccc2C)cc1OC ZINC000491857384 1039888285 /nfs/dbraw/zinc/88/82/85/1039888285.db2.gz UWJHMWJOPTUYMM-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN COc1ccc(Cl)cc1CN[C@H](C)[C@@H](OC)c1ccccc1 ZINC000491931298 1039895242 /nfs/dbraw/zinc/89/52/42/1039895242.db2.gz JUPVDMDKPYPORZ-FZKQIMNGSA-N 0 3 319.832 4.214 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3ccc(F)cc32)cc1F ZINC000093115977 1039895989 /nfs/dbraw/zinc/89/59/89/1039895989.db2.gz BVAMCRPFURZRBI-KRWDZBQOSA-N 0 3 303.352 4.141 20 0 DIADHN Fc1ccc2c(c1)[C@H](NCc1cc(-c3ccccc3)n[nH]1)CCC2 ZINC000093115957 1039896052 /nfs/dbraw/zinc/89/60/52/1039896052.db2.gz JDYMESCXEDAPTE-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN CCc1ncc(CN2CC[C@@H](CSc3ccccc3)C2)s1 ZINC000093756933 1039898459 /nfs/dbraw/zinc/89/84/59/1039898459.db2.gz FOVHTGPQWBDYGE-CQSZACIVSA-N 0 3 318.511 4.320 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(-c2ccccn2)s1 ZINC000093871106 1039904565 /nfs/dbraw/zinc/90/45/65/1039904565.db2.gz OEWKYULGRZJBBP-CYBMUJFWSA-N 0 3 321.515 4.169 20 0 DIADHN C[C@H]1C[C@H](NCc2c(Cl)ccc(Cl)c2Cl)CCO1 ZINC000219909185 1039904596 /nfs/dbraw/zinc/90/45/96/1039904596.db2.gz YERYWMKHGIWCJB-DTWKUNHWSA-N 0 3 308.636 4.304 20 0 DIADHN Cc1ccc(CN(C)[C@@H](C)c2ccc([S@](C)=O)cc2)c(C)c1 ZINC000093889420 1039905274 /nfs/dbraw/zinc/90/52/74/1039905274.db2.gz RNWPXGPPSVDKOL-KSFYIVLOSA-N 0 3 315.482 4.234 20 0 DIADHN CCS[C@H]1CC[C@H](N(C)Cc2ncccc2C(F)(F)F)C1 ZINC000093868542 1039905692 /nfs/dbraw/zinc/90/56/92/1039905692.db2.gz JXAAFJNJCIFCIR-RYUDHWBXSA-N 0 3 318.408 4.206 20 0 DIADHN CCS[C@@H]1CC[C@@H](N(C)Cc2ncccc2C(F)(F)F)C1 ZINC000093868543 1039906453 /nfs/dbraw/zinc/90/64/53/1039906453.db2.gz JXAAFJNJCIFCIR-VXGBXAGGSA-N 0 3 318.408 4.206 20 0 DIADHN CC(C)Oc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1 ZINC000093942167 1039907424 /nfs/dbraw/zinc/90/74/24/1039907424.db2.gz MTUQILXADNXAIV-MRXNPFEDSA-N 0 3 312.457 4.391 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccccn3)C2)cc(C(F)(F)F)c1 ZINC001143455728 1039911256 /nfs/dbraw/zinc/91/12/56/1039911256.db2.gz XEBOTMHDOSFRMH-OAHLLOKOSA-N 0 3 320.358 4.398 20 0 DIADHN C[C@H](NCc1ccc(Cl)s1)[C@@H]1OCCc2sccc21 ZINC000492155988 1039928530 /nfs/dbraw/zinc/92/85/30/1039928530.db2.gz WZNFDYDZSRSBJW-XPTSAGLGSA-N 0 3 313.875 4.255 20 0 DIADHN Cc1cccc2cc(C(=O)Nc3ccccc3CN(C)C)oc21 ZINC000140110161 1039940506 /nfs/dbraw/zinc/94/05/06/1039940506.db2.gz PRBGSARBDUFJDK-UHFFFAOYSA-N 0 3 308.381 4.055 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000413558570 1039964666 /nfs/dbraw/zinc/96/46/66/1039964666.db2.gz WMLJXXCRSQKJDS-JYJNAYRXSA-N 0 3 302.462 4.318 20 0 DIADHN CN(Cc1ncc(-c2cccc(F)c2)o1)C(C1CC1)C1CC1 ZINC000175139196 1040008481 /nfs/dbraw/zinc/00/84/81/1040008481.db2.gz GGEMMHUJWNUALG-UHFFFAOYSA-N 0 3 300.377 4.101 20 0 DIADHN C[C@@H]1C[C@H]1c1ccc(/C=C\C(=O)Nc2ccccc2CN(C)C)o1 ZINC000492779672 1040016427 /nfs/dbraw/zinc/01/64/27/1040016427.db2.gz WJMCJPFBAJAVOR-BHTPMSMDSA-N 0 3 324.424 4.117 20 0 DIADHN Fc1cccc(-c2cnc(CN3CCC[C@@H]4CCC[C@@H]43)o2)c1 ZINC000175268300 1040020092 /nfs/dbraw/zinc/02/00/92/1040020092.db2.gz VUGVDFPJZYHOHX-BBRMVZONSA-N 0 3 300.377 4.245 20 0 DIADHN C[C@H](N[C@@H]1COC2(CCC2)C1)c1cccc(Cl)c1Cl ZINC000657889517 1040025609 /nfs/dbraw/zinc/02/56/09/1040025609.db2.gz YOYWUHNVTHVAMD-QWRGUYRKSA-N 0 3 300.229 4.356 20 0 DIADHN CCCC[C@H]1CCC[C@@H]1NCc1nnc(-c2cc(C)oc2C)o1 ZINC000492847802 1040026777 /nfs/dbraw/zinc/02/67/77/1040026777.db2.gz PRSQMZLBLJEFDH-HOCLYGCPSA-N 0 3 317.433 4.395 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)/C=C\c1ccc(Cl)s1 ZINC000492834315 1040027402 /nfs/dbraw/zinc/02/74/02/1040027402.db2.gz UMCPODMYLAFUIO-NTMALXAHSA-N 0 3 320.845 4.115 20 0 DIADHN CCCC[C@@H]1CCC[C@@H]1NCc1nnc(-c2ccc(C)cc2)o1 ZINC000492848364 1040027513 /nfs/dbraw/zinc/02/75/13/1040027513.db2.gz VKYPVHIZNUIWOP-WBVHZDCISA-N 0 3 313.445 4.494 20 0 DIADHN CCc1cc(CCCN2CC[C@H](C)C[C@H]2c2ccco2)on1 ZINC000685417913 1040037112 /nfs/dbraw/zinc/03/71/12/1040037112.db2.gz DMMRQOLWYGRYMJ-YOEHRIQHSA-N 0 3 302.418 4.236 20 0 DIADHN [O-]c1cccnc1C[NH2+]Cc1ccc(Oc2ccc(F)cc2)cc1 ZINC000685421116 1040037151 /nfs/dbraw/zinc/03/71/51/1040037151.db2.gz KCPGVYAVAHDBBC-UHFFFAOYSA-N 0 3 324.355 4.008 20 0 DIADHN CCCN(CCC)Cc1csc(-c2cccc(OC)c2)n1 ZINC000302813573 1040037261 /nfs/dbraw/zinc/03/72/61/1040037261.db2.gz RIICTQROGIZZQC-UHFFFAOYSA-N 0 3 304.459 4.441 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCCC3(C)C)cs2)c1 ZINC000492915421 1040040231 /nfs/dbraw/zinc/04/02/31/1040040231.db2.gz YXMDRVQFACDWQS-MRXNPFEDSA-N 0 3 316.470 4.487 20 0 DIADHN c1ccc(OCc2cccnc2)c(CN2CCC3(CCC3)CC2)c1 ZINC000685443934 1040049657 /nfs/dbraw/zinc/04/96/57/1040049657.db2.gz FFMNJWYTSYQKDV-UHFFFAOYSA-N 0 3 322.452 4.427 20 0 DIADHN Fc1ccc(/C=C\CN2CCC(c3cccnc3)CC2)cc1F ZINC000685455827 1040058916 /nfs/dbraw/zinc/05/89/16/1040058916.db2.gz AFYVYVWJMVIFTA-IHWYPQMZSA-N 0 3 314.379 4.253 20 0 DIADHN CC[C@H](O)CCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000493039338 1040068474 /nfs/dbraw/zinc/06/84/74/1040068474.db2.gz ZGJQXBSWDWJVGV-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN CC(C)[C@@H]1C[C@H](N[C@@H](C)c2nccn2-c2ccccc2)CS1 ZINC000685472088 1040072339 /nfs/dbraw/zinc/07/23/39/1040072339.db2.gz NHEMJRNDVBSVPL-ZOBUZTSGSA-N 0 3 315.486 4.053 20 0 DIADHN CC[C@@H](NCc1cc(OC)c(OC)c(OC)c1)c1cccs1 ZINC000074336504 1040085882 /nfs/dbraw/zinc/08/58/82/1040085882.db2.gz PNFRXEBUODMAML-CYBMUJFWSA-N 0 3 321.442 4.015 20 0 DIADHN C[C@@]1(CNC(c2ccc(F)cc2)c2ccc(F)cc2)CCCO1 ZINC000493133570 1040104063 /nfs/dbraw/zinc/10/40/63/1040104063.db2.gz WBVRYTHCIHOHHO-IBGZPJMESA-N 0 3 317.379 4.213 20 0 DIADHN Cc1cccc(COc2ccc([C@@H](C)NCc3cnc[nH]3)cc2)c1 ZINC000171769906 1040118402 /nfs/dbraw/zinc/11/84/02/1040118402.db2.gz CITBCJQAVCHHKE-MRXNPFEDSA-N 0 3 321.424 4.148 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cccc(Br)c2)C1 ZINC000310436667 1040120588 /nfs/dbraw/zinc/12/05/88/1040120588.db2.gz RKHDXZYFOBRNQL-CYBMUJFWSA-N 0 3 314.292 4.024 20 0 DIADHN CSC[C@H]1CCCN(Cc2cccc(Br)c2)C1 ZINC000310436668 1040121594 /nfs/dbraw/zinc/12/15/94/1040121594.db2.gz RKHDXZYFOBRNQL-ZDUSSCGKSA-N 0 3 314.292 4.024 20 0 DIADHN COc1ccc(CN(Cc2oc(C)nc2C)[C@H](C)C2CC2)cc1 ZINC000685561775 1040128364 /nfs/dbraw/zinc/12/83/64/1040128364.db2.gz FJNLLGXBLGYWEP-CQSZACIVSA-N 0 3 314.429 4.101 20 0 DIADHN COc1ccc(CN(Cc2oc(C)nc2C)[C@@H](C)C2CC2)cc1 ZINC000685561768 1040129111 /nfs/dbraw/zinc/12/91/11/1040129111.db2.gz FJNLLGXBLGYWEP-AWEZNQCLSA-N 0 3 314.429 4.101 20 0 DIADHN C[C@H](N[C@H](CC1CCCCC1)c1ccccc1)c1cnnn1C ZINC000925405041 1040136545 /nfs/dbraw/zinc/13/65/45/1040136545.db2.gz UCUBSSLOJXLJEL-MAUKXSAKSA-N 0 3 312.461 4.177 20 0 DIADHN CC[C@H](C)CN(CC)Cc1nnc(-c2cccc(Cl)c2)o1 ZINC000177851996 1040142011 /nfs/dbraw/zinc/14/20/11/1040142011.db2.gz IHGLKGYVWCJIAT-LBPRGKRZSA-N 0 3 307.825 4.258 20 0 DIADHN CC[C@@H](C)CN(CC)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000177859129 1040144973 /nfs/dbraw/zinc/14/49/73/1040144973.db2.gz IVUHODINVPATIJ-GFCCVEGCSA-N 0 3 307.825 4.258 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC3(CCC3)[C@H]1[C@H]1CCCO1)CCC2 ZINC000685605515 1040155210 /nfs/dbraw/zinc/15/52/10/1040155210.db2.gz XAPJTPUZUWKRMR-GUDVDZBRSA-N 0 3 315.432 4.237 20 0 DIADHN Cc1cn[nH]c1CNCc1ccccc1Oc1cccc(C)c1 ZINC000685615476 1040159922 /nfs/dbraw/zinc/15/99/22/1040159922.db2.gz LRIZBNAVJOJPGL-UHFFFAOYSA-N 0 3 307.397 4.109 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@@H]1CCC(F)(F)C1 ZINC000685618321 1040162632 /nfs/dbraw/zinc/16/26/32/1040162632.db2.gz DFWCYLZGUUFJPN-SNVBAGLBSA-N 0 3 323.214 4.337 20 0 DIADHN c1csc(C(N[C@@H]2COC3(CCC3)C2)c2cccs2)c1 ZINC000657920276 1040172218 /nfs/dbraw/zinc/17/22/18/1040172218.db2.gz AMCAYTXBLGUPHV-LBPRGKRZSA-N 0 3 305.468 4.200 20 0 DIADHN c1csc([C@@H](Cc2ccccc2)N[C@@H]2CCCn3nccc32)c1 ZINC000657919429 1040173667 /nfs/dbraw/zinc/17/36/67/1040173667.db2.gz RWSQFLAVLXWFAU-IAGOWNOFSA-N 0 3 323.465 4.353 20 0 DIADHN CC1(C)[C@H]2CCC[C@H]2[C@@H]1NCc1nnc(-c2ccccc2)s1 ZINC000657927226 1040180300 /nfs/dbraw/zinc/18/03/00/1040180300.db2.gz OUAIMRGOKIGOPW-YCPHGPKFSA-N 0 3 313.470 4.119 20 0 DIADHN Cc1c(CN[C@@H](c2ccc3c(c2)CCCC3)C(C)C)cnn1C ZINC000657930730 1040186446 /nfs/dbraw/zinc/18/64/46/1040186446.db2.gz FBAAXMWUFOGQNY-HXUWFJFHSA-N 0 3 311.473 4.094 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(C(F)F)nc2)c(C)c1 ZINC000657928230 1040187577 /nfs/dbraw/zinc/18/75/77/1040187577.db2.gz SFDVWUGBYSHWEA-GFCCVEGCSA-N 0 3 306.356 4.187 20 0 DIADHN C[C@@H](N[C@H]1CN(C)Cc2ccccc21)c1cc2ccncc2s1 ZINC000657932378 1040192698 /nfs/dbraw/zinc/19/26/98/1040192698.db2.gz LHWNIIAIEQGPMU-DYVFJYSZSA-N 0 3 323.465 4.134 20 0 DIADHN CCCc1ccc([C@@H](NCc2n[nH]c(C3CC3)n2)C(C)C)cc1 ZINC000182479545 1040193250 /nfs/dbraw/zinc/19/32/50/1040193250.db2.gz WQGGRSXKXBAICP-SFHVURJKSA-N 0 3 312.461 4.122 20 0 DIADHN CO[C@@H](C)[C@@H](C)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000685663973 1040204900 /nfs/dbraw/zinc/20/49/00/1040204900.db2.gz FIRWZOWWGROTCT-WEDXCCLWSA-N 0 3 308.274 4.445 20 0 DIADHN C[C@@H](N[C@@H](C)c1cncc(F)c1)c1cc2ccncc2s1 ZINC000657969410 1040225061 /nfs/dbraw/zinc/22/50/61/1040225061.db2.gz ORHCVUBXCYZBTB-WDEREUQCSA-N 0 3 301.390 4.242 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccccc1N(C)C ZINC000093947110 1040253198 /nfs/dbraw/zinc/25/31/98/1040253198.db2.gz YIJLJDKBRCMLIH-KBXCAEBGSA-N 0 3 310.441 4.099 20 0 DIADHN CCO[C@H](CN[C@@H](C)c1cc2cnccc2o1)c1ccccc1 ZINC000657993771 1040255606 /nfs/dbraw/zinc/25/56/06/1040255606.db2.gz MAYWYZFHANDQSF-IFXJQAMLSA-N 0 3 310.397 4.256 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1COC2(CCC2)C1 ZINC000657993435 1040256354 /nfs/dbraw/zinc/25/63/54/1040256354.db2.gz HUZVAHFKJWQLEB-ZFWWWQNUSA-N 0 3 313.363 4.290 20 0 DIADHN C[C@H](N[C@H]1CCCN(C)c2ccccc21)c1cc2cnccc2o1 ZINC000658032611 1040275905 /nfs/dbraw/zinc/27/59/05/1040275905.db2.gz UWSTVMMWNWASBW-YOEHRIQHSA-N 0 3 321.424 4.450 20 0 DIADHN CCc1cccc(CN[C@H](C)c2cncc(Br)c2)c1 ZINC000658043794 1040279733 /nfs/dbraw/zinc/27/97/33/1040279733.db2.gz KQXBQCNZDCGMRP-GFCCVEGCSA-N 0 3 319.246 4.257 20 0 DIADHN C[C@H](N[C@@H]1COCc2ccccc21)c1cc2ccncc2s1 ZINC000658036509 1040281724 /nfs/dbraw/zinc/28/17/24/1040281724.db2.gz DBCCKHXZGQOALI-BLLLJJGKSA-N 0 3 310.422 4.218 20 0 DIADHN CC[C@@H](NCc1cccnc1N1CCCC1)c1ccc(C)cc1 ZINC000658099657 1040305257 /nfs/dbraw/zinc/30/52/57/1040305257.db2.gz DVCWZLAUQUNSGS-LJQANCHMSA-N 0 3 309.457 4.231 20 0 DIADHN COc1ccc2c(c1F)CC[C@@H]2NCc1ccc2occc2c1 ZINC000658115418 1040308741 /nfs/dbraw/zinc/30/87/41/1040308741.db2.gz CDSJURKZAWGNKW-INIZCTEOSA-N 0 3 311.356 4.358 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cccnc1N1CCCC1 ZINC000658104020 1040310909 /nfs/dbraw/zinc/31/09/09/1040310909.db2.gz OBPCJMBPQKBDJY-OXQOHEQNSA-N 0 3 321.468 4.095 20 0 DIADHN Cc1cc(C)c([C@@H](C)NCc2cccnc2N2CCCC2)cc1C ZINC000658100807 1040311047 /nfs/dbraw/zinc/31/10/47/1040311047.db2.gz LIAQKWNHQRUYKC-GOSISDBHSA-N 0 3 323.484 4.458 20 0 DIADHN C[C@@H](CN(C)Cc1csc(C(F)(F)F)c1)c1nccs1 ZINC000628237149 1040313573 /nfs/dbraw/zinc/31/35/73/1040313573.db2.gz CTIHMMNAYSPZSH-VIFPVBQESA-N 0 3 320.405 4.459 20 0 DIADHN COC[C@H](N[C@H](C)c1cccc(C)c1C)c1cccc(OC)c1 ZINC000658167949 1040338680 /nfs/dbraw/zinc/33/86/80/1040338680.db2.gz ZKCWHWUAMBNBGH-UZLBHIALSA-N 0 3 313.441 4.350 20 0 DIADHN COc1ncc([C@H](C)NCc2cc(C)cc(C)c2)cc1Cl ZINC000658177495 1040345875 /nfs/dbraw/zinc/34/58/75/1040345875.db2.gz WOTGUBQJGMYMKQ-ZDUSSCGKSA-N 0 3 304.821 4.211 20 0 DIADHN COc1ccc(-c2cc(CN[C@@H](C)C(C)(C)C(F)F)on2)cc1 ZINC000658181717 1040351547 /nfs/dbraw/zinc/35/15/47/1040351547.db2.gz GBOGPNYFBZTBOL-NSHDSACASA-N 0 3 324.371 4.120 20 0 DIADHN C[C@@]1(CCN[C@@H](c2ccccc2)c2ccc(F)cn2)CC1(F)F ZINC000658223213 1040366142 /nfs/dbraw/zinc/36/61/42/1040366142.db2.gz SWEDVDOODCGNRR-DLBZAZTESA-N 0 3 320.358 4.335 20 0 DIADHN C[C@@H](NC[C@]1(C)CC1(F)F)c1cc(Br)ccc1F ZINC000658217556 1040375634 /nfs/dbraw/zinc/37/56/34/1040375634.db2.gz GKGQDOHCNBHTEP-PELKAZGASA-N 0 3 322.168 4.284 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@@H]1CCc2ccc(O)cc21 ZINC000658242195 1040383788 /nfs/dbraw/zinc/38/37/88/1040383788.db2.gz YFLHPBRHYMLXNS-VQTJNVASSA-N 0 3 309.409 4.055 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3ccc(O)cc32)cc1Cl ZINC000658241819 1040384244 /nfs/dbraw/zinc/38/42/44/1040384244.db2.gz VNWYPVBNAVAVIM-PIGZYNQJSA-N 0 3 317.816 4.392 20 0 DIADHN COc1ccc([C@H](C)N[C@H]2CCc3ccc(O)cc32)cc1Cl ZINC000658241816 1040385622 /nfs/dbraw/zinc/38/56/22/1040385622.db2.gz VNWYPVBNAVAVIM-GTNSWQLSSA-N 0 3 317.816 4.392 20 0 DIADHN C[C@H](NCc1c(C2CCC2)cnn1C)c1cccc(C2CC2)c1 ZINC000658266501 1040390662 /nfs/dbraw/zinc/39/06/62/1040390662.db2.gz JSMGNJKCQBTGJN-AWEZNQCLSA-N 0 3 309.457 4.416 20 0 DIADHN CCc1ccc([C@@H](C)NCc2c(C3CCC3)cnn2C)s1 ZINC000658267669 1040392468 /nfs/dbraw/zinc/39/24/68/1040392468.db2.gz CPFBFCRSPJBPER-GFCCVEGCSA-N 0 3 303.475 4.162 20 0 DIADHN C[C@H](NCc1c(C2CCC2)cnn1C)c1cc2c(s1)CCC2 ZINC000658267434 1040393911 /nfs/dbraw/zinc/39/39/11/1040393911.db2.gz DSOBNUAZVVIAOP-LBPRGKRZSA-N 0 3 315.486 4.089 20 0 DIADHN CCc1ccc([C@@H](CC)NCc2c(C3CCC3)cnn2C)cc1 ZINC000658269583 1040400010 /nfs/dbraw/zinc/40/00/10/1040400010.db2.gz VWSSDVJNGGVHHP-LJQANCHMSA-N 0 3 311.473 4.491 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](c2ccccc2)[C@@H](O)C(C)C)cc1 ZINC000658286843 1040402724 /nfs/dbraw/zinc/40/27/24/1040402724.db2.gz BZBXJSCMQNQWJA-YSSFQJQWSA-N 0 3 313.441 4.104 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](c2ccccc2)[C@@H](O)C(C)C)s1 ZINC000658287905 1040405619 /nfs/dbraw/zinc/40/56/19/1040405619.db2.gz KXFZPFSNCLVSPS-XKQJLSEDSA-N 0 3 318.486 4.169 20 0 DIADHN CC(C)[C@H](O)[C@@H](N[C@H]1CCCc2sccc21)c1ccccc1 ZINC000658289020 1040405899 /nfs/dbraw/zinc/40/58/99/1040405899.db2.gz VFQDQAXGUHLRQK-WDSOQIARSA-N 0 3 315.482 4.473 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@@H](C)c1ccc(F)cc1)c1ccccc1 ZINC000658288116 1040406285 /nfs/dbraw/zinc/40/62/85/1040406285.db2.gz NFFCOSUAXSHCKG-KYNGSXCRSA-N 0 3 301.405 4.235 20 0 DIADHN Cc1cc([C@H](NCCCc2ccco2)c2cccnc2)ccc1F ZINC000658324985 1040422608 /nfs/dbraw/zinc/42/26/08/1040422608.db2.gz ISCHEHVBMHDNCO-FQEVSTJZSA-N 0 3 324.399 4.434 20 0 DIADHN CC[C@@H](CSc1ccc(Cl)cc1)N[C@@H](C)c1nccn1C ZINC000658335892 1040427886 /nfs/dbraw/zinc/42/78/86/1040427886.db2.gz IYEWGWOPZNNVSQ-JSGCOSHPSA-N 0 3 323.893 4.295 20 0 DIADHN COc1cccc(CN[C@H](C)c2cnn(C(C)(C)C)c2C)c1C ZINC000658346717 1040434965 /nfs/dbraw/zinc/43/49/65/1040434965.db2.gz MFOGUMIGPGFYEB-CQSZACIVSA-N 0 3 315.461 4.114 20 0 DIADHN COc1cccc(CN[C@H]2CCc3c2cc(F)cc3F)c1C ZINC000658353419 1040437832 /nfs/dbraw/zinc/43/78/32/1040437832.db2.gz MDCMRFHTRAYKLU-KRWDZBQOSA-N 0 3 303.352 4.059 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCc2ccc(Cl)cc21)c1ccccc1 ZINC000658361888 1040441025 /nfs/dbraw/zinc/44/10/25/1040441025.db2.gz PGVSACPTCPKXBR-RZAIGCCYSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](O)[C@H](N[C@@H]1CCc2cc(Cl)ccc21)c1ccccc1 ZINC000658360649 1040441515 /nfs/dbraw/zinc/44/15/15/1040441515.db2.gz ISPTXBBYHMUJQS-RZAIGCCYSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](NCc1cnn(C)c1C1CC1)c1ccc2c(c1)CCCC2 ZINC000892449739 1040473514 /nfs/dbraw/zinc/47/35/14/1040473514.db2.gz WTQRNWADGJTMBX-AWEZNQCLSA-N 0 3 309.457 4.027 20 0 DIADHN CC(C)[C@H](NCc1cn2ccnc2s1)c1ccc(F)cc1 ZINC000892469808 1040491065 /nfs/dbraw/zinc/49/10/65/1040491065.db2.gz XMWMETBTVCPHEF-HNNXBMFYSA-N 0 3 303.406 4.022 20 0 DIADHN CC[C@@H](CSc1ccccc1)NCc1cnc(C2CC2)o1 ZINC000628230133 1040518259 /nfs/dbraw/zinc/51/82/59/1040518259.db2.gz UKOIDQNWUHQMQM-AWEZNQCLSA-N 0 3 302.443 4.213 20 0 DIADHN CC[C@@H](NC1CCN(c2ccccc2)CC1)c1nc(C)cs1 ZINC000150991354 1040539367 /nfs/dbraw/zinc/53/93/67/1040539367.db2.gz HNRGRLGHBGJEGF-QGZVFWFLSA-N 0 3 315.486 4.161 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@H](c3cccc(C(F)(F)F)c3)C2)o1 ZINC000628258903 1040547338 /nfs/dbraw/zinc/54/73/38/1040547338.db2.gz YDQASFPSTQOWFX-MQMHXKEQSA-N 0 3 310.319 4.038 20 0 DIADHN C[C@H](c1ccc(C(F)(F)F)cc1)N1CC[C@H](OC(F)F)C1 ZINC000569828895 1040563438 /nfs/dbraw/zinc/56/34/38/1040563438.db2.gz LWORWWXBKXTPBN-SKDRFNHKSA-N 0 3 309.278 4.080 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H]1CCCc2[nH]c(=O)ccc21 ZINC000892489545 1040572976 /nfs/dbraw/zinc/57/29/76/1040572976.db2.gz BCUURJCIGLORRS-OAHLLOKOSA-N 0 3 314.429 4.153 20 0 DIADHN CC(C)CCC1CCN(CC(=O)N(C)[C@H](C)CC(C)C)CC1 ZINC001167688987 1040596922 /nfs/dbraw/zinc/59/69/22/1040596922.db2.gz IHLPTVZZKNEOLS-QGZVFWFLSA-N 0 3 310.526 4.028 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCC[C@@H]4CCCC[C@@H]43)o2)cc1 ZINC000046054689 1040636282 /nfs/dbraw/zinc/63/62/82/1040636282.db2.gz JAQMOHMZTBNIOM-RDJZCZTQSA-N 0 3 311.429 4.200 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccccc1)c1csc(C(F)(F)F)c1 ZINC000924555870 1040691364 /nfs/dbraw/zinc/69/13/64/1040691364.db2.gz ZRYFACRGLUZPMJ-GXFFZTMASA-N 0 3 315.360 4.151 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(F)cc1)c1cc(F)cc(F)c1 ZINC000570339582 1040697215 /nfs/dbraw/zinc/69/72/15/1040697215.db2.gz WAMUTIGPDCAXQI-KZULUSFZSA-N 0 3 322.374 4.057 20 0 DIADHN Cc1ccc(-c2nc(CNC[C@]3(C)CCCS3)co2)cc1 ZINC000133532021 1040729111 /nfs/dbraw/zinc/72/91/11/1040729111.db2.gz QICBRAIRMKKUKI-KRWDZBQOSA-N 0 3 302.443 4.025 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccc2ccccc2c1)c1ccccc1 ZINC000066212861 1040732534 /nfs/dbraw/zinc/73/25/34/1040732534.db2.gz AVMCPNQPKTZYRB-OAHLLOKOSA-N 0 3 304.393 4.129 20 0 DIADHN CSc1ccc(CN2CCC(c3ccccn3)CC2)s1 ZINC000685834716 1040769343 /nfs/dbraw/zinc/76/93/43/1040769343.db2.gz JHQCBCOIOYKCGX-UHFFFAOYSA-N 0 3 304.484 4.245 20 0 DIADHN Cc1cn[nH]c1CN([C@H](C)c1cccc(C(F)(F)F)c1)C1CC1 ZINC000685833548 1040770068 /nfs/dbraw/zinc/77/00/68/1040770068.db2.gz KDZUEUHUCHXFSV-GFCCVEGCSA-N 0 3 323.362 4.463 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(F)cc1F)c1cc2ccccc2o1 ZINC000177755021 1040770693 /nfs/dbraw/zinc/77/06/93/1040770693.db2.gz NHGXXMRINCOFOP-BDJLRTHQSA-N 0 3 317.335 4.095 20 0 DIADHN Clc1cscc1CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000685849764 1040796917 /nfs/dbraw/zinc/79/69/17/1040796917.db2.gz GKGFNMQYLKENMY-HNNXBMFYSA-N 0 3 318.873 4.039 20 0 DIADHN C[C@@H](NCCc1ccc(Cl)cc1Cl)c1cc2n(n1)CCC2 ZINC000414057912 1040802284 /nfs/dbraw/zinc/80/22/84/1040802284.db2.gz SIPKNSLOGKBHNA-LLVKDONJSA-N 0 3 324.255 4.029 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(OC(F)F)cc2)c(C)c1 ZINC000414074617 1040821686 /nfs/dbraw/zinc/82/16/86/1040821686.db2.gz XDDGCACRQJIYMQ-CYBMUJFWSA-N 0 3 321.367 4.456 20 0 DIADHN COCCCCCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414078410 1040832773 /nfs/dbraw/zinc/83/27/73/1040832773.db2.gz QYEPQRYPGYGIQB-CQSZACIVSA-N 0 3 304.459 4.277 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc3ccccc3c2)c(C)c1 ZINC000414086683 1040840516 /nfs/dbraw/zinc/84/05/16/1040840516.db2.gz ZTTPXXJRXFFSKK-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN C[C@@H](NCC[C@@H]1CCCCO1)c1csc(-c2ccccc2)n1 ZINC000414099904 1040857002 /nfs/dbraw/zinc/85/70/02/1040857002.db2.gz ABEAEEMWGSADLR-ZBFHGGJFSA-N 0 3 316.470 4.420 20 0 DIADHN C[C@H](CC(=O)C(C#N)c1nc2ccccc2o1)c1ccc(F)cc1 ZINC000066516621 1040878913 /nfs/dbraw/zinc/87/89/13/1040878913.db2.gz GXSVNCNYABTYEY-DOMZBBRYSA-N 0 3 322.339 4.337 20 0 DIADHN CCOCc1ccccc1CN[C@H]1CCc2ccc(OC)cc21 ZINC000173231964 1040879561 /nfs/dbraw/zinc/87/95/61/1040879561.db2.gz ORGVODIINTZZCJ-FQEVSTJZSA-N 0 3 311.425 4.009 20 0 DIADHN C[C@H](NC[C@H]1CCCS1)c1csc(-c2ccccc2)n1 ZINC000414112099 1040879885 /nfs/dbraw/zinc/87/98/85/1040879885.db2.gz MUURNWNDQRRKMN-GXTWGEPZSA-N 0 3 304.484 4.356 20 0 DIADHN CO[C@@H]1CC[C@H](N[C@@H](C)c2csc(-c3ccccc3)n2)C1 ZINC000414123596 1040891027 /nfs/dbraw/zinc/89/10/27/1040891027.db2.gz BMVAKXYOKYVTTC-AEGPPILISA-N 0 3 302.443 4.028 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCOc3c(C)cccc32)c(C)c1 ZINC000414125012 1040892527 /nfs/dbraw/zinc/89/25/27/1040892527.db2.gz COOCLAWQTDMEKO-DNVCBOLYSA-N 0 3 311.425 4.486 20 0 DIADHN CC[C@@](C)(NCCCOCCc1ccccc1)c1nccs1 ZINC000173497291 1040893817 /nfs/dbraw/zinc/89/38/17/1040893817.db2.gz DKQPUGUBHZWLLW-GOSISDBHSA-N 0 3 318.486 4.007 20 0 DIADHN COC1(CCN[C@@H](C)c2csc(-c3ccccc3)n2)CCC1 ZINC000414141594 1040916826 /nfs/dbraw/zinc/91/68/26/1040916826.db2.gz MJZLCOAIDJEECE-AWEZNQCLSA-N 0 3 316.470 4.420 20 0 DIADHN CCSc1cc(CN[C@@H](C)c2ccc(OC)cc2C)ccn1 ZINC000414146111 1040923133 /nfs/dbraw/zinc/92/31/33/1040923133.db2.gz VADHZCNLGLZJBT-AWEZNQCLSA-N 0 3 316.470 4.361 20 0 DIADHN C[C@H](CCCCO)N[C@H](C)c1csc(-c2ccccc2)n1 ZINC000414156054 1040927804 /nfs/dbraw/zinc/92/78/04/1040927804.db2.gz VXSMCKDZWIYHAJ-ZIAGYGMSSA-N 0 3 304.459 4.012 20 0 DIADHN C[C@@H]1C[C@H](NCC2(Cc3cccc(Cl)c3)CC2)c2nccn21 ZINC000414161289 1040937604 /nfs/dbraw/zinc/93/76/04/1040937604.db2.gz BSBGBZVEOMKYNC-CJNGLKHVSA-N 0 3 315.848 4.155 20 0 DIADHN CN(C)[C@@H](CNc1nccc2sccc21)c1ccsc1 ZINC000613425988 1040938543 /nfs/dbraw/zinc/93/85/43/1040938543.db2.gz PMUXZFOQXUTAGU-ZDUSSCGKSA-N 0 3 303.456 4.073 20 0 DIADHN C[C@H]1C[C@@H](NCC2(Cc3cccc(Cl)c3)CC2)c2nccn21 ZINC000414161297 1040938612 /nfs/dbraw/zinc/93/86/12/1040938612.db2.gz BSBGBZVEOMKYNC-XJKSGUPXSA-N 0 3 315.848 4.155 20 0 DIADHN Cc1ncc(CN[C@@H]2CCCc3sccc32)n1-c1ccccc1 ZINC000174289003 1040966381 /nfs/dbraw/zinc/96/63/81/1040966381.db2.gz IUQBIPJJGQHXGO-GOSISDBHSA-N 0 3 323.465 4.409 20 0 DIADHN C[C@H](c1ccccc1F)N(C)CCCOCCc1ccccc1 ZINC000613464861 1040975828 /nfs/dbraw/zinc/97/58/28/1040975828.db2.gz OBBJEBMFXCGNLM-QGZVFWFLSA-N 0 3 315.432 4.468 20 0 DIADHN CCC1CCC(N(CC(=O)Nc2ccccc2)C2CC2)CC1 ZINC000613472507 1040980097 /nfs/dbraw/zinc/98/00/97/1040980097.db2.gz DZHMWLFXDNUGAS-UHFFFAOYSA-N 0 3 300.446 4.058 20 0 DIADHN CCc1nc(CN2CCC[C@H]2CCc2ccccc2)cs1 ZINC000613474468 1040982703 /nfs/dbraw/zinc/98/27/03/1040982703.db2.gz SXDFPOYYRAFENC-KRWDZBQOSA-N 0 3 300.471 4.303 20 0 DIADHN Cc1ccc(OCCN2C[C@H](C(F)(F)F)CC[C@@H]2C)cc1 ZINC000780385885 1041017884 /nfs/dbraw/zinc/01/78/84/1041017884.db2.gz VMSOKYMSFIHSMZ-UONOGXRCSA-N 0 3 301.352 4.037 20 0 DIADHN CN(CC[C@H](O)c1ccccc1)Cc1ccc(Cl)c(F)c1 ZINC000808742362 1041022189 /nfs/dbraw/zinc/02/21/89/1041022189.db2.gz QXUOFOJXJJZZCM-KRWDZBQOSA-N 0 3 307.796 4.035 20 0 DIADHN CC(C)(C)c1ccncc1CN[C@@H]1CCCNc2ccccc21 ZINC000414232044 1041035820 /nfs/dbraw/zinc/03/58/20/1041035820.db2.gz PRWQRYVHYPBARH-LJQANCHMSA-N 0 3 309.457 4.416 20 0 DIADHN C[C@H](NCC1(c2c(F)cccc2F)CCC1)c1ccc(F)cn1 ZINC000414234155 1041040372 /nfs/dbraw/zinc/04/03/72/1041040372.db2.gz ITNSZYSKBPXJKZ-LBPRGKRZSA-N 0 3 320.358 4.271 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C1(Cc2ccccc2)CC1 ZINC000175345120 1041056724 /nfs/dbraw/zinc/05/67/24/1041056724.db2.gz BRCQDWMBARYPLM-UHFFFAOYSA-N 0 3 322.452 4.018 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCC[C@H]1F)c1ccc2[nH]c(=O)oc2c1 ZINC000414281622 1041079192 /nfs/dbraw/zinc/07/91/92/1041079192.db2.gz GITAIAHLNMIOQQ-IOASZLSFSA-N 0 3 306.381 4.101 20 0 DIADHN F[C@@H]1CCCC[C@H]1N[C@@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000414317308 1041119745 /nfs/dbraw/zinc/11/97/45/1041119745.db2.gz VRZIYFISRSCYEO-BPLDGKMQSA-N 0 3 316.342 4.348 20 0 DIADHN CCOC(=O)[C@H]1CC[C@H](N[C@@H]2CCCC[C@H]2F)c2ccccc21 ZINC000414317854 1041120215 /nfs/dbraw/zinc/12/02/15/1041120215.db2.gz WOWAFTAEYYFQCL-XWTMOSNGSA-N 0 3 319.420 4.039 20 0 DIADHN FC(F)(F)c1cnc2c(c1)[C@H](N[C@@H]1C[C@H]1c1ccco1)CCC2 ZINC000414347325 1041154548 /nfs/dbraw/zinc/15/45/48/1041154548.db2.gz NAYPRBQYWAHZKW-BPLDGKMQSA-N 0 3 322.330 4.217 20 0 DIADHN NCc1nn(-c2ccc(-c3cccs3)cc2)c2ccccc12 ZINC001167698079 1041157910 /nfs/dbraw/zinc/15/79/10/1041157910.db2.gz LXXUXQIHUGBPMT-UHFFFAOYSA-N 0 3 305.406 4.213 20 0 DIADHN CCc1ncc(CN[C@H](c2ccc(CC(C)C)cc2)C2CC2)cn1 ZINC000414351181 1041161504 /nfs/dbraw/zinc/16/15/04/1041161504.db2.gz VHSWNSAOLZQAQM-OAQYLSRUSA-N 0 3 323.484 4.478 20 0 DIADHN Cc1cc(CN[C@H](CCO)c2ccccc2)ccc1C(F)(F)F ZINC000414361991 1041172603 /nfs/dbraw/zinc/17/26/03/1041172603.db2.gz UODCGAJUNVZJOA-QGZVFWFLSA-N 0 3 323.358 4.227 20 0 DIADHN O[C@H](c1ccccc1)C1CCN(Cc2ccc3occc3c2)CC1 ZINC000809542446 1041184926 /nfs/dbraw/zinc/18/49/26/1041184926.db2.gz HDVXAPCXKPOBMK-OAQYLSRUSA-N 0 3 321.420 4.378 20 0 DIADHN CCN(CC(=O)Nc1ccc(C(C)(C)C)cc1)CC(C)(C)C ZINC001170581714 1041186945 /nfs/dbraw/zinc/18/69/45/1041186945.db2.gz DHZMVYZCMVVVHE-UHFFFAOYSA-N 0 3 304.478 4.291 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN1CCOC[C@H](C)C1 ZINC000809581208 1041188585 /nfs/dbraw/zinc/18/85/85/1041188585.db2.gz VELYGHPIHDZPHL-MAUPQMMJSA-N 0 3 313.363 4.077 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@H](CC2CCC2)c2ccccc2)s1 ZINC000809635325 1041208849 /nfs/dbraw/zinc/20/88/49/1041208849.db2.gz WBYWYFPFJQHTNA-CXAGYDPISA-N 0 3 316.470 4.218 20 0 DIADHN Fc1ccc(CNCc2ccc(OC(F)F)cc2)cc1Cl ZINC000053155661 1041222205 /nfs/dbraw/zinc/22/22/05/1041222205.db2.gz VHFIDMMCIQEHFX-UHFFFAOYSA-N 0 3 315.722 4.370 20 0 DIADHN c1ccc(N2CCCCC2)c(CN2CCC[C@H]2c2cccnc2)c1 ZINC000809728624 1041224984 /nfs/dbraw/zinc/22/49/84/1041224984.db2.gz DCWKYBPDNWUCMB-FQEVSTJZSA-N 0 3 321.468 4.409 20 0 DIADHN Cc1ccc(CN2CCCCC[C@H]2/C=C\c2ccccc2)nn1 ZINC000414394652 1041230114 /nfs/dbraw/zinc/23/01/14/1041230114.db2.gz GDHDGHDXHGEYBZ-WXFJXSOESA-N 0 3 307.441 4.243 20 0 DIADHN CC(C)(C)OC(=O)NCC[C@@H]1CCCCN1Cc1ccsc1 ZINC000809723488 1041233466 /nfs/dbraw/zinc/23/34/66/1041233466.db2.gz IOVXEPRZBBWFBO-HNNXBMFYSA-N 0 3 324.490 4.017 20 0 DIADHN CN(C)Cc1cnc(CN(CC(C)(C)C)CC(C)(C)C)s1 ZINC000809767866 1041235219 /nfs/dbraw/zinc/23/52/19/1041235219.db2.gz WGYWMPWTZBTQJG-UHFFFAOYSA-N 0 3 311.539 4.099 20 0 DIADHN O[C@@H](CCNCc1ccc(Cl)cc1Cl)c1ccccc1 ZINC000809747660 1041244290 /nfs/dbraw/zinc/24/42/90/1041244290.db2.gz UIPBCZPENBVVEJ-INIZCTEOSA-N 0 3 310.224 4.207 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NC[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000926796755 1041273159 /nfs/dbraw/zinc/27/31/59/1041273159.db2.gz GZVOGWDEGYIDTJ-YNEHKIRRSA-N 0 3 314.351 4.095 20 0 DIADHN CC[C@@H](C)N(Cc1ccccc1)C(=O)C[C@@H]1NCc2ccccc21 ZINC000659249748 1041277871 /nfs/dbraw/zinc/27/78/71/1041277871.db2.gz KQZBOPUHJDXINF-UZLBHIALSA-N 0 3 322.452 4.048 20 0 DIADHN CC1(C)CN(C[C@@H](O)CCc2ccccc2)[C@H]1c1cccs1 ZINC000557445135 1041280315 /nfs/dbraw/zinc/28/03/15/1041280315.db2.gz FYXAQTJXZZHMAI-WMZOPIPTSA-N 0 3 315.482 4.125 20 0 DIADHN Clc1ccc(-c2nnc(CN(CC3CC3)CC3CC3)o2)cc1 ZINC000115563543 1041283542 /nfs/dbraw/zinc/28/35/42/1041283542.db2.gz BAXGQOIGALLHTL-UHFFFAOYSA-N 0 3 317.820 4.012 20 0 DIADHN Cc1ccccc1[C@H](NC(=O)C[C@H]1NCc2ccccc21)C(C)C ZINC000659263071 1041283800 /nfs/dbraw/zinc/28/38/00/1041283800.db2.gz JOOIGBNIWKGSQA-TZIWHRDSSA-N 0 3 322.452 4.043 20 0 DIADHN CC(C)c1cccc(N(C)C(=O)C[C@@H]2NCc3ccccc32)c1 ZINC000659273535 1041285843 /nfs/dbraw/zinc/28/58/43/1041285843.db2.gz DTAZXBCFTWCSKC-IBGZPJMESA-N 0 3 308.425 4.007 20 0 DIADHN CC[C@H](C)Oc1ccc(NC(=O)C[C@H]2NCc3ccccc32)cc1 ZINC000659280730 1041288273 /nfs/dbraw/zinc/28/82/73/1041288273.db2.gz UKUCCGXHXBWWEK-IFXJQAMLSA-N 0 3 324.424 4.037 20 0 DIADHN Cc1cccc(C)c1[C@H]1CCCN1C(=O)C[C@H](N)c1ccccc1 ZINC000659337096 1041311449 /nfs/dbraw/zinc/31/14/49/1041311449.db2.gz PBEQNFHYLHROGF-RBUKOAKNSA-N 0 3 322.452 4.057 20 0 DIADHN Cc1cccc(C)c1[C@H]1CCCN1C(=O)C[C@@H](N)c1ccccc1 ZINC000659337097 1041312521 /nfs/dbraw/zinc/31/25/21/1041312521.db2.gz PBEQNFHYLHROGF-RTBURBONSA-N 0 3 322.452 4.057 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccnc1)c1ccc(N2CCCCC2=O)cc1 ZINC000810129367 1041314580 /nfs/dbraw/zinc/31/45/80/1041314580.db2.gz MMKYYIOIQVSMFV-CVEARBPZSA-N 0 3 323.440 4.010 20 0 DIADHN CCN(Cc1ccccc1)Cc1cccc(C(=O)NCC(C)C)c1 ZINC000589596585 1041342715 /nfs/dbraw/zinc/34/27/15/1041342715.db2.gz AAQLLADUBVCKBW-UHFFFAOYSA-N 0 3 324.468 4.095 20 0 DIADHN COCC(C)(C)CCN[C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000926824376 1041367612 /nfs/dbraw/zinc/36/76/12/1041367612.db2.gz WTQKSATWTNJXFN-IBGZPJMESA-N 0 3 312.457 4.132 20 0 DIADHN CCOCc1ccccc1CN[C@H](c1ccccn1)C1CCC1 ZINC000924600931 1041384975 /nfs/dbraw/zinc/38/49/75/1041384975.db2.gz BPKYIBKDPNSRJS-FQEVSTJZSA-N 0 3 310.441 4.249 20 0 DIADHN Cl/C=C\CNC1(c2ccc(Br)cc2)CCC1 ZINC000309559865 1041387308 /nfs/dbraw/zinc/38/73/08/1041387308.db2.gz IYSXIFHTXPFXQF-MBXJOHMKSA-N 0 3 300.627 4.170 20 0 DIADHN Cc1cccnc1[C@@H](N[C@@H](C)c1ccc2c(c1)CCO2)C(C)C ZINC000178293637 1041396199 /nfs/dbraw/zinc/39/61/99/1041396199.db2.gz OBUNEFVGQFLCJD-KXBFYZLASA-N 0 3 310.441 4.373 20 0 DIADHN Cc1cc(F)ncc1CNCc1cccc(COC(C)(C)C)c1 ZINC000892793529 1041402136 /nfs/dbraw/zinc/40/21/36/1041402136.db2.gz NOMNALVFIHFDOC-UHFFFAOYSA-N 0 3 316.420 4.134 20 0 DIADHN CCCC[C@H](NCc1cn(CC)nc1C)c1ccc(OC)cc1 ZINC000892876870 1041413952 /nfs/dbraw/zinc/41/39/52/1041413952.db2.gz YIQDFKGEEZPVEB-IBGZPJMESA-N 0 3 315.461 4.241 20 0 DIADHN Cc1cc(CN[C@@H](c2ccc(F)cc2)C2CCC2)cnc1F ZINC000892901723 1041416337 /nfs/dbraw/zinc/41/63/37/1041416337.db2.gz CAJHEXJKXXEXAK-QGZVFWFLSA-N 0 3 302.368 4.299 20 0 DIADHN C[C@H](CN[C@@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000309787360 1041426548 /nfs/dbraw/zinc/42/65/48/1041426548.db2.gz SYFQUFJETCHULM-BDAKNGLRSA-N 0 3 305.285 4.238 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ncc(Br)s1)C(C)(C)C ZINC000309787362 1041426738 /nfs/dbraw/zinc/42/67/38/1041426738.db2.gz SYFQUFJETCHULM-IUCAKERBSA-N 0 3 305.285 4.238 20 0 DIADHN C[C@H](N[C@@H]1CCc2c1cccc2Br)c1cccnc1 ZINC000112542404 1041437352 /nfs/dbraw/zinc/43/73/52/1041437352.db2.gz XAFFQKLJNZWPCM-MEDUHNTESA-N 0 3 317.230 4.182 20 0 DIADHN COc1cccc(-c2csc(CN[C@@H](C)CCSC)n2)c1 ZINC000893003337 1041455419 /nfs/dbraw/zinc/45/54/19/1041455419.db2.gz IMNPIBUCIBVVJR-LBPRGKRZSA-N 0 3 322.499 4.050 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1cnc2ccccc2n1 ZINC000659860186 1041464242 /nfs/dbraw/zinc/46/42/42/1041464242.db2.gz SNXCWVHBJNLIGS-UHFFFAOYSA-N 0 3 321.424 4.051 20 0 DIADHN Cc1ccc(-c2ccc(C)c(NC(=O)C34CCCN3CCC4)c2)o1 ZINC000415592808 1041468361 /nfs/dbraw/zinc/46/83/61/1041468361.db2.gz ITFAMRDDFHJXHE-UHFFFAOYSA-N 0 3 324.424 4.130 20 0 DIADHN CC(C)(NCc1cn2ccnc2s1)c1cccc(Cl)c1 ZINC000893041853 1041468622 /nfs/dbraw/zinc/46/86/22/1041468622.db2.gz OHMDNDZODXMRBV-UHFFFAOYSA-N 0 3 305.834 4.074 20 0 DIADHN FC(F)Oc1ccc(Cl)cc1CN[C@@H]1CCC(F)(F)C1 ZINC000312807406 1041480826 /nfs/dbraw/zinc/48/08/26/1041480826.db2.gz LGAPLVGBMDZBHK-SNVBAGLBSA-N 0 3 311.706 4.219 20 0 DIADHN COc1cccc2c1CC[C@H]2NCc1ccc(CC(C)C)nc1 ZINC000893131658 1041495422 /nfs/dbraw/zinc/49/54/22/1041495422.db2.gz GLHKICBDZVSJLU-LJQANCHMSA-N 0 3 310.441 4.066 20 0 DIADHN Cc1ccc(CN(C)Cc2cccc(Br)c2)s1 ZINC000590322547 1041511860 /nfs/dbraw/zinc/51/18/60/1041511860.db2.gz FPAAZQMTMOHNER-UHFFFAOYSA-N 0 3 310.260 4.451 20 0 DIADHN CN(CCc1ccc(F)cc1Cl)Cc1ccc(Cl)nc1 ZINC000811455120 1041519843 /nfs/dbraw/zinc/51/98/43/1041519843.db2.gz WZEODUYQDOWTFM-UHFFFAOYSA-N 0 3 313.203 4.202 20 0 DIADHN Cc1cccc(C)c1CCNCc1ccnc(Cl)c1Cl ZINC000811484660 1041523165 /nfs/dbraw/zinc/52/31/65/1041523165.db2.gz GTXXVTALDYCGTN-UHFFFAOYSA-N 0 3 309.240 4.338 20 0 DIADHN CC(C)C[C@@H]1OCCC[C@@H]1NCc1ccnc(Cl)c1Cl ZINC000811491131 1041524561 /nfs/dbraw/zinc/52/45/61/1041524561.db2.gz ACFLBAVPMZLUMS-STQMWFEESA-N 0 3 317.260 4.072 20 0 DIADHN C[C@H](CNCc1ccnc(Cl)c1Cl)N(C)c1ccccc1 ZINC000811474155 1041527644 /nfs/dbraw/zinc/52/76/44/1041527644.db2.gz VRKMEUYJOMXEPA-GFCCVEGCSA-N 0 3 324.255 4.003 20 0 DIADHN Clc1nccc(CN[C@H]2C[C@H]2Cc2ccccc2)c1Cl ZINC000811481236 1041528841 /nfs/dbraw/zinc/52/88/41/1041528841.db2.gz SBXYGMYRQASUSS-KGLIPLIRSA-N 0 3 307.224 4.109 20 0 DIADHN Clc1nccc(CN[C@@H]2C[C@H]2Cc2ccccc2)c1Cl ZINC000811481238 1041529084 /nfs/dbraw/zinc/52/90/84/1041529084.db2.gz SBXYGMYRQASUSS-ZIAGYGMSSA-N 0 3 307.224 4.109 20 0 DIADHN C[C@@H](NCCc1c[nH]c2cc(Cl)ccc12)c1cscn1 ZINC000678115342 1041537217 /nfs/dbraw/zinc/53/72/17/1041537217.db2.gz ZAOIBHXXFIJFER-SNVBAGLBSA-N 0 3 305.834 4.171 20 0 DIADHN Clc1ccc([C@H]2CCN(Cc3cc(C4CC4)no3)C2)cc1 ZINC000659921379 1041541798 /nfs/dbraw/zinc/54/17/98/1041541798.db2.gz VCSRCUTZCPGLJI-AWEZNQCLSA-N 0 3 302.805 4.195 20 0 DIADHN CCCC[NH+](CCCC)CCNc1ccc2c(c1)[N-]C(=S)C2 ZINC001167734491 1041547703 /nfs/dbraw/zinc/54/77/03/1041547703.db2.gz HMWKWYRQRDRHOR-UHFFFAOYSA-N 0 3 319.518 4.296 20 0 DIADHN C[C@H](O)[C@@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000136329499 1041560659 /nfs/dbraw/zinc/56/06/59/1041560659.db2.gz JURRWWUUKPAFSB-NWDGAFQWSA-N 0 3 309.862 4.148 20 0 DIADHN C[C@@H](O)[C@@H]1CCCN(Cc2sc3ccccc3c2Cl)C1 ZINC000136329542 1041561118 /nfs/dbraw/zinc/56/11/18/1041561118.db2.gz JURRWWUUKPAFSB-VXGBXAGGSA-N 0 3 309.862 4.148 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC000246059644 1041568888 /nfs/dbraw/zinc/56/88/88/1041568888.db2.gz ZAQYQBPFESIUMF-PPKUODPHSA-N 0 3 303.837 4.419 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccc3[nH]c(=O)oc3c2)cc1F ZINC000651377121 1041571972 /nfs/dbraw/zinc/57/19/72/1041571972.db2.gz JSNHBEHQPYQZHL-VXGBXAGGSA-N 0 3 314.360 4.393 20 0 DIADHN Cc1cc([C@@H](NCc2cnc(F)cc2C)C2CC2)ccc1F ZINC000893239588 1041572892 /nfs/dbraw/zinc/57/28/92/1041572892.db2.gz SEPOVWJMHODSQZ-SFHVURJKSA-N 0 3 302.368 4.218 20 0 DIADHN Cc1nc(CN2CCC[C@@]3(CCCc4ccccc43)C2)c(C)o1 ZINC000659993069 1041575057 /nfs/dbraw/zinc/57/50/57/1041575057.db2.gz IZUSRHDYGWGLOQ-FQEVSTJZSA-N 0 3 310.441 4.162 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cc3cc(Cl)cnc3o2)CCS1 ZINC000648381418 1041577532 /nfs/dbraw/zinc/57/75/32/1041577532.db2.gz ZQXGMPQVNFDQME-AWEZNQCLSA-N 0 3 310.850 4.055 20 0 DIADHN COc1cccc(SCCN2CCC(OC(C)(C)C)CC2)c1 ZINC000660001220 1041579425 /nfs/dbraw/zinc/57/94/25/1041579425.db2.gz NJZNEDCJMDFTOZ-UHFFFAOYSA-N 0 3 323.502 4.067 20 0 DIADHN CC[C@H](CN[C@H](c1ccccc1)c1ccccn1)CC(F)F ZINC000660020523 1041583210 /nfs/dbraw/zinc/58/32/10/1041583210.db2.gz FIBXJWWUKHXPKM-KBXCAEBGSA-N 0 3 304.384 4.442 20 0 DIADHN c1coc([C@@H](CNCc2cc3ccccc3o2)N2CCCCC2)c1 ZINC000104908409 1041583979 /nfs/dbraw/zinc/58/39/79/1041583979.db2.gz FYDWXEIOXPYUCB-GOSISDBHSA-N 0 3 324.424 4.343 20 0 DIADHN CCC[C@@H](N[C@H]1CCCc2nc(C)sc21)c1cccnc1 ZINC000363870681 1041586283 /nfs/dbraw/zinc/58/62/83/1041586283.db2.gz WUWLBQYIVTYVEQ-ZBFHGGJFSA-N 0 3 301.459 4.355 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccccc1)c1ccc(Cl)cc1 ZINC000011047297 1041620640 /nfs/dbraw/zinc/62/06/40/1041620640.db2.gz CRPWQTUNYCQFOY-DYVFJYSZSA-N 0 3 316.832 4.408 20 0 DIADHN Cc1ccc(CNCc2ccc3c(c2)COC(C)(C)O3)cc1F ZINC000186360900 1041630125 /nfs/dbraw/zinc/63/01/25/1041630125.db2.gz OTFZWXNRVBISGY-UHFFFAOYSA-N 0 3 315.388 4.069 20 0 DIADHN CC(C)Cc1ccc(CN[C@H](c2ccccc2)[C@@H]2CCOC2)cn1 ZINC000893289861 1041632524 /nfs/dbraw/zinc/63/25/24/1041632524.db2.gz DVRUZSMBVPAIEO-TZIWHRDSSA-N 0 3 324.468 4.148 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc2ccncc2c1 ZINC001170680943 1041632691 /nfs/dbraw/zinc/63/26/91/1041632691.db2.gz JFJKPCJFTSFQPS-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN CCN(CC)[C@@H](CNCc1cc2ccccc2o1)c1ccco1 ZINC000236855115 1041641555 /nfs/dbraw/zinc/64/15/55/1041641555.db2.gz UMZNCHJDJHFOPX-KRWDZBQOSA-N 0 3 312.413 4.199 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccccc1C1CC1 ZINC001170686453 1041646449 /nfs/dbraw/zinc/64/64/49/1041646449.db2.gz RNXGFQYOZBNNLU-AWEZNQCLSA-N 0 3 303.409 4.436 20 0 DIADHN C[C@H](N)c1ncc(-c2ccccc2)n1-c1ccc(C(C)(C)C)nc1 ZINC001170686488 1041646533 /nfs/dbraw/zinc/64/65/33/1041646533.db2.gz TVTQDBCCFGJFRG-AWEZNQCLSA-N 0 3 320.440 4.252 20 0 DIADHN C[C@@H]1c2ccccc2CN1C[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000812828307 1041653421 /nfs/dbraw/zinc/65/34/21/1041653421.db2.gz QKKHWWNCAAOJCI-SJKOYZFVSA-N 0 3 321.342 4.316 20 0 DIADHN CC[C@@H](C)[C@H](C)NCc1ncc(Br)cc1Cl ZINC000660196158 1041660645 /nfs/dbraw/zinc/66/06/45/1041660645.db2.gz GZVLUZTYYYQBCS-BDAKNGLRSA-N 0 3 305.647 4.022 20 0 DIADHN COc1cc(CN[C@@H](c2ccccc2C)C(C)(C)C)sn1 ZINC000660198953 1041663102 /nfs/dbraw/zinc/66/31/02/1041663102.db2.gz AOBLEFIBZVYDEL-INIZCTEOSA-N 0 3 304.459 4.337 20 0 DIADHN C[C@H](NCc1cccc(N)c1)c1ccc(OCC2CC2)c(F)c1 ZINC000660199881 1041664499 /nfs/dbraw/zinc/66/44/99/1041664499.db2.gz LEPNCCRNNHMBGU-ZDUSSCGKSA-N 0 3 314.404 4.048 20 0 DIADHN C[C@H](c1ccc(Cl)c(Cl)c1)N(C)Cc1ncccc1O ZINC000584831736 1041686527 /nfs/dbraw/zinc/68/65/27/1041686527.db2.gz NAWGPVQWVVVFMW-SNVBAGLBSA-N 0 3 311.212 4.287 20 0 DIADHN Cc1ncncc1[C@@H](C)N[C@H](C)c1ccc(F)c2ccccc21 ZINC000584851397 1041691120 /nfs/dbraw/zinc/69/11/20/1041691120.db2.gz WRRARVXNBGOGOR-ZIAGYGMSSA-N 0 3 309.388 4.489 20 0 DIADHN COc1ccc2oc([C@H](C)NCc3cccc(N)c3)c(C)c2c1 ZINC000660266043 1041697468 /nfs/dbraw/zinc/69/74/68/1041697468.db2.gz MQXZCLYLZZZKSX-ZDUSSCGKSA-N 0 3 310.397 4.183 20 0 DIADHN Nc1cccc(CN2CCC(=Cc3ccc(F)c(F)c3)CC2)c1 ZINC000660296712 1041706507 /nfs/dbraw/zinc/70/65/07/1041706507.db2.gz FWOZDIHCYVNRQU-UHFFFAOYSA-N 0 3 314.379 4.226 20 0 DIADHN c1csc(-c2nc(CNC[C@@H]3C[C@H]3c3ccccc3)co2)c1 ZINC000417892758 1041707467 /nfs/dbraw/zinc/70/74/67/1041707467.db2.gz NOLGQKKBAAUXFC-HOCLYGCPSA-N 0 3 310.422 4.296 20 0 DIADHN CC1(C)C[C@H](N2CCC(=Cc3ccc(F)c(F)c3)CC2)CO1 ZINC000660297750 1041707912 /nfs/dbraw/zinc/70/79/12/1041707912.db2.gz PTLRASSCMMMAGA-HNNXBMFYSA-N 0 3 307.384 4.012 20 0 DIADHN CCn1cncc1CN[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000417885736 1041708077 /nfs/dbraw/zinc/70/80/77/1041708077.db2.gz JBGUAPCAVCPIKR-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN Fc1cc(F)c2c(c1)CC[C@@H](N1CCc3c(F)cccc3C1)C2 ZINC001170706457 1041709216 /nfs/dbraw/zinc/70/92/16/1041709216.db2.gz FAUDZFWRYGGSOV-OAHLLOKOSA-N 0 3 317.354 4.020 20 0 DIADHN c1c(CNC[C@@H]2C[C@H]2c2ccccc2)noc1-c1ccccc1 ZINC000417898551 1041709491 /nfs/dbraw/zinc/70/94/91/1041709491.db2.gz ZAQGAGCUMHMJBA-HKUYNNGSSA-N 0 3 304.393 4.235 20 0 DIADHN Cc1ccnc(CN2CC[C@@H](Sc3cccc(Cl)c3)C2)c1 ZINC000644786081 1041710093 /nfs/dbraw/zinc/71/00/93/1041710093.db2.gz LCGCQRZVYAJPQP-QGZVFWFLSA-N 0 3 318.873 4.410 20 0 DIADHN CCn1cncc1CN[C@@H](c1cccc(F)c1)C1CCCC1 ZINC000417935246 1041711488 /nfs/dbraw/zinc/71/14/88/1041711488.db2.gz GLDMIRKCNBCQPJ-GOSISDBHSA-N 0 3 301.409 4.063 20 0 DIADHN Cc1ccsc1[C@@H](CO)NCc1cccc(C(C)(C)C)c1 ZINC000660326309 1041716870 /nfs/dbraw/zinc/71/68/70/1041716870.db2.gz PINZRIRRKRKZPH-MRXNPFEDSA-N 0 3 303.471 4.177 20 0 DIADHN CCn1cncc1CN[C@](C)(Cc1ccccc1)c1ccccc1 ZINC000418101631 1041725761 /nfs/dbraw/zinc/72/57/61/1041725761.db2.gz QKKVSIQFMSVLHF-OAQYLSRUSA-N 0 3 319.452 4.151 20 0 DIADHN Oc1cccc2c1CCC[C@H]2NCc1ccc(C(F)F)cc1 ZINC000192348814 1041743092 /nfs/dbraw/zinc/74/30/92/1041743092.db2.gz QMYQEMUBFUTUNT-MRXNPFEDSA-N 0 3 303.352 4.497 20 0 DIADHN C[C@H](N[C@H](CCc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192755766 1041753282 /nfs/dbraw/zinc/75/32/82/1041753282.db2.gz TWVOHFKPYXGBGG-FXAWDEMLSA-N 0 3 319.452 4.445 20 0 DIADHN CC1(C)[C@@H]2C[C@@H](NCc3cc(F)ccc3Br)C[C@@H]21 ZINC001170719675 1041756483 /nfs/dbraw/zinc/75/64/83/1041756483.db2.gz GGSLXLCTUBHHBP-XYYAHUGASA-N 0 3 312.226 4.112 20 0 DIADHN Cc1ccncc1CNCc1ccc(SC(F)(F)F)cc1 ZINC000162679538 1041764297 /nfs/dbraw/zinc/76/42/97/1041764297.db2.gz HVMINEQYXALKIS-UHFFFAOYSA-N 0 3 312.360 4.292 20 0 DIADHN Fc1cccc(Cl)c1CCCN1CC[C@]2(CC2(F)F)C1 ZINC000652063388 1041771545 /nfs/dbraw/zinc/77/15/45/1041771545.db2.gz KQHSXPNVPFGWKG-AWEZNQCLSA-N 0 3 303.755 4.143 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc2c(c1)CC(C)(C)O2 ZINC000759847570 1041774883 /nfs/dbraw/zinc/77/48/83/1041774883.db2.gz CKJHNIVUKIJPLI-SFHVURJKSA-N 0 3 323.436 4.186 20 0 DIADHN CC(C)(NCc1ccc(OCC(F)F)cc1)c1ccc(F)cc1 ZINC000236696486 1041776570 /nfs/dbraw/zinc/77/65/70/1041776570.db2.gz XBLXRGSFOLNSMU-UHFFFAOYSA-N 0 3 323.358 4.495 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc(Cl)c(F)c2)c(C)o1 ZINC000759867742 1041785015 /nfs/dbraw/zinc/78/50/15/1041785015.db2.gz LDCOLMAKSWXOAP-MGPLVRAMSA-N 0 3 311.784 4.073 20 0 DIADHN C[C@@H](CN(Cc1sccc1Cl)[C@@H](C)CO)c1ccccc1 ZINC001170732514 1041786094 /nfs/dbraw/zinc/78/60/94/1041786094.db2.gz KYBYRFRQSVGMCU-KBPBESRZSA-N 0 3 323.889 4.388 20 0 DIADHN CSc1ccc(CN2CCC[C@@H](c3nccs3)C2)cc1 ZINC000195350937 1041806344 /nfs/dbraw/zinc/80/63/44/1041806344.db2.gz DQWPNTAHAUQSJI-CQSZACIVSA-N 0 3 304.484 4.245 20 0 DIADHN Brc1ccoc1CNC[C@H](c1ccccc1)C1CC1 ZINC000814298602 1041807364 /nfs/dbraw/zinc/80/73/64/1041807364.db2.gz YFZCYLSPFBHCMA-CQSZACIVSA-N 0 3 320.230 4.326 20 0 DIADHN CC[C@H](CNC(C)(C)c1cc(C(F)(F)F)on1)CC(F)F ZINC000660615899 1041842403 /nfs/dbraw/zinc/84/24/03/1041842403.db2.gz VWQYKKKBHFCYKP-QMMMGPOBSA-N 0 3 314.298 4.200 20 0 DIADHN CC(C)(NCc1ccc2c(c1)CCO2)c1cccc(Cl)c1 ZINC000236703675 1041811616 /nfs/dbraw/zinc/81/16/16/1041811616.db2.gz VLRAHWWNMWDIME-UHFFFAOYSA-N 0 3 301.817 4.300 20 0 DIADHN CCc1nc([C@H](C)N[C@@H]2CC(C)(C)CCc3ccccc32)n[nH]1 ZINC000660476670 1041816189 /nfs/dbraw/zinc/81/61/89/1041816189.db2.gz HJMLHNRCVHOXHK-XJKSGUPXSA-N 0 3 312.461 4.122 20 0 DIADHN Cc1nc(CN[C@H]2CCC[C@H]2c2cccc(C(F)(F)F)c2)co1 ZINC000660535428 1041827895 /nfs/dbraw/zinc/82/78/95/1041827895.db2.gz JPYCJBYTEWPAPD-HOTGVXAUSA-N 0 3 324.346 4.428 20 0 DIADHN CN(Cc1cc2ccccc2s1)[C@@H]1CCCc2c1cnn2C ZINC000093863837 1041831028 /nfs/dbraw/zinc/83/10/28/1041831028.db2.gz ZVSGXQRODVGWNU-MRXNPFEDSA-N 0 3 311.454 4.144 20 0 DIADHN c1cncc([C@H](NCc2ccc3c(c2)OCO3)C2CCCCC2)c1 ZINC000652133300 1041840736 /nfs/dbraw/zinc/84/07/36/1041840736.db2.gz MDMBQSNMLWSYHE-HXUWFJFHSA-N 0 3 324.424 4.222 20 0 DIADHN CC[C@H](NCc1cccs1)c1cc(OC)c(OC)c(OC)c1 ZINC000652134516 1041841397 /nfs/dbraw/zinc/84/13/97/1041841397.db2.gz WBPKMEIHLXKLHR-AWEZNQCLSA-N 0 3 321.442 4.015 20 0 DIADHN COc1ccc([C@@H](NCCC2CCCC2)c2ccccn2)cc1 ZINC000652136302 1041844854 /nfs/dbraw/zinc/84/48/54/1041844854.db2.gz LSYBPPWKMGQTQJ-HXUWFJFHSA-N 0 3 310.441 4.350 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3cccc(Cl)n3)C2)cc1 ZINC000814640764 1041846385 /nfs/dbraw/zinc/84/63/85/1041846385.db2.gz QYXCZWCNQWNDHP-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN COc1cc(CN[C@H](CC(C)C)c2ccc(F)cc2)ccn1 ZINC000652139021 1041846626 /nfs/dbraw/zinc/84/66/26/1041846626.db2.gz YZZFEYXZLRGIQO-QGZVFWFLSA-N 0 3 302.393 4.106 20 0 DIADHN CC(C)C[C@@H](NCc1ccc(N(C)C)nc1)c1ccc(F)cc1 ZINC000652138820 1041846806 /nfs/dbraw/zinc/84/68/06/1041846806.db2.gz SBXHYUQTGLEINO-GOSISDBHSA-N 0 3 315.436 4.164 20 0 DIADHN CCc1ccc([C@@H]2CCN(Cc3ccnc(Cl)c3)C2)cc1 ZINC000814640664 1041846943 /nfs/dbraw/zinc/84/69/43/1041846943.db2.gz NXNTYDZSWFVVEH-QGZVFWFLSA-N 0 3 300.833 4.287 20 0 DIADHN CC(C)C[C@H](NCc1ccc(N(C)C)nc1)c1ccc(F)cc1 ZINC000652138821 1041847095 /nfs/dbraw/zinc/84/70/95/1041847095.db2.gz SBXHYUQTGLEINO-SFHVURJKSA-N 0 3 315.436 4.164 20 0 DIADHN CC(C)C[C@H](NCc1cccnc1N(C)C)c1ccc(F)cc1 ZINC000652139228 1041848078 /nfs/dbraw/zinc/84/80/78/1041848078.db2.gz FCLHHQDTOBOLPP-SFHVURJKSA-N 0 3 315.436 4.164 20 0 DIADHN CCCCCC[C@@](C)(CCC)C(=O)NC[C@@H]1CCCCN1C ZINC001134556129 1041850613 /nfs/dbraw/zinc/85/06/13/1041850613.db2.gz NMZQHJAXJPKTPJ-PKOBYXMFSA-N 0 3 310.526 4.364 20 0 DIADHN CCCCCC[C@](C)(CCC)C(=O)NC[C@H]1CCCCN1C ZINC001134556128 1041850814 /nfs/dbraw/zinc/85/08/14/1041850814.db2.gz NMZQHJAXJPKTPJ-MJGOQNOKSA-N 0 3 310.526 4.364 20 0 DIADHN COC(C)(C)CCN(C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660708028 1041854488 /nfs/dbraw/zinc/85/44/88/1041854488.db2.gz LRWSSQIROBXUHH-LLVKDONJSA-N 0 3 309.841 4.024 20 0 DIADHN c1c2cnccc2oc1CN(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000651623638 1041858971 /nfs/dbraw/zinc/85/89/71/1041858971.db2.gz LYSXWLVVQFVPEY-SFHVURJKSA-N 0 3 322.408 4.009 20 0 DIADHN CN(CC1CCC(C)(C)CC1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764631 1041860234 /nfs/dbraw/zinc/86/02/34/1041860234.db2.gz PIYUHEUSOYEHFX-KRWDZBQOSA-N 0 3 312.461 4.042 20 0 DIADHN C[C@H](CN(C)[C@@H](c1nnc[nH]1)c1ccccc1)C1CCCCC1 ZINC000660767890 1041860891 /nfs/dbraw/zinc/86/08/91/1041860891.db2.gz OQHIFVHWHGXBHS-CRAIPNDOSA-N 0 3 312.461 4.042 20 0 DIADHN CC(C)c1nc(CN2CC[C@H](c3ccco3)C2)c2ccccn21 ZINC000651638275 1041862161 /nfs/dbraw/zinc/86/21/61/1041862161.db2.gz SVYBRJOQRXRIBJ-HNNXBMFYSA-N 0 3 309.413 4.040 20 0 DIADHN Cc1cc(CN(Cc2ccccc2)[C@H]2C[C@@H]3[C@H](C2)C3(C)C)on1 ZINC001170764398 1041863867 /nfs/dbraw/zinc/86/38/67/1041863867.db2.gz IFAMYESIGJVQAS-GGPHIMKMSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1nccc([C@H]2CCCN([C@@H](C)c3ccc(Cl)cc3)C2)n1 ZINC000618442901 1041870779 /nfs/dbraw/zinc/87/07/79/1041870779.db2.gz OLYVPCHUYODJMT-BBRMVZONSA-N 0 3 315.848 4.379 20 0 DIADHN Cc1nccc([C@@H]2CCCN([C@H](C)c3ccc(Cl)cc3)C2)n1 ZINC000618442903 1041871437 /nfs/dbraw/zinc/87/14/37/1041871437.db2.gz OLYVPCHUYODJMT-CZUORRHYSA-N 0 3 315.848 4.379 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001170768852 1041874875 /nfs/dbraw/zinc/87/48/75/1041874875.db2.gz IQDKEQNJFLUYAW-CWLKWCNXSA-N 0 3 303.446 4.036 20 0 DIADHN CC[C@@H](c1ccccc1OC)N(C)C[C@H]1CCC(C)(C)CO1 ZINC001170768612 1041876835 /nfs/dbraw/zinc/87/68/35/1041876835.db2.gz BILOOJRTHHUVGI-WBVHZDCISA-N 0 3 305.462 4.283 20 0 DIADHN CC[C@H](c1ccccc1OC)N(C)C[C@H]1CCC(C)(C)CO1 ZINC001170768608 1041877051 /nfs/dbraw/zinc/87/70/51/1041877051.db2.gz BILOOJRTHHUVGI-NVXWUHKLSA-N 0 3 305.462 4.283 20 0 DIADHN CC[C@H](C)N(CC)CC(=O)Nc1ccccc1-c1ccccc1 ZINC000661103463 1041886467 /nfs/dbraw/zinc/88/64/67/1041886467.db2.gz BNGFFGGTJOQQHZ-INIZCTEOSA-N 0 3 310.441 4.413 20 0 DIADHN COC[C@@H](CC(C)(C)C)N[C@H](c1ccccc1)c1cccnc1 ZINC000651700284 1041886991 /nfs/dbraw/zinc/88/69/91/1041886991.db2.gz ZLSHNEQQDVOFOT-RTBURBONSA-N 0 3 312.457 4.212 20 0 DIADHN C[C@H](NC[C@H](O)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651714396 1041890041 /nfs/dbraw/zinc/89/00/41/1041890041.db2.gz ZXSZGGLEJPZCAN-MAUKXSAKSA-N 0 3 312.457 4.196 20 0 DIADHN C[C@@H](N[C@H](CO)CC(C)(C)C)c1ccc(-c2ccccc2)cn1 ZINC000651741302 1041900929 /nfs/dbraw/zinc/90/09/29/1041900929.db2.gz FHWXCKUCACGLQC-QAPCUYQASA-N 0 3 312.457 4.196 20 0 DIADHN CCN(Cc1cccs1)C[C@@H](O)c1cc2ccccc2o1 ZINC000815010331 1041902641 /nfs/dbraw/zinc/90/26/41/1041902641.db2.gz JBCVIPOLHZFOPE-OAHLLOKOSA-N 0 3 301.411 4.050 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCc1cccc2c1CCC2 ZINC000651763913 1041906167 /nfs/dbraw/zinc/90/61/67/1041906167.db2.gz UHAJBCHOYWGXNE-AWEZNQCLSA-N 0 3 311.473 4.286 20 0 DIADHN Cc1nccc(CN2CCC[C@]2(C)Cc2ccccc2Cl)n1 ZINC000661211693 1041907334 /nfs/dbraw/zinc/90/73/34/1041907334.db2.gz ULOGSOICGWOYBJ-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN Brc1cc(CN[C@H](c2cccs2)C2CC2)ccn1 ZINC000815080069 1041911106 /nfs/dbraw/zinc/91/11/06/1041911106.db2.gz FSLGOZGNHKLHTL-AWEZNQCLSA-N 0 3 323.259 4.147 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cc2ccccc2o1)c1cccc(Cl)c1 ZINC000815080438 1041911741 /nfs/dbraw/zinc/91/17/41/1041911741.db2.gz QSSRSGAXAVHKQU-MLGOLLRUSA-N 0 3 315.800 4.470 20 0 DIADHN CC[C@@H](NC[C@H](O)c1cccc2ccccc21)c1c(C)noc1C ZINC000815088657 1041913564 /nfs/dbraw/zinc/91/35/64/1041913564.db2.gz JBGFCCKHPUBTOH-MOPGFXCFSA-N 0 3 324.424 4.219 20 0 DIADHN Cc1ccc([C@@H](C)NC[C@@H](O)c2cc3ccccc3o2)cc1F ZINC000815085174 1041913686 /nfs/dbraw/zinc/91/36/86/1041913686.db2.gz NCPRRLWKKVTQCQ-CXAGYDPISA-N 0 3 313.372 4.265 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@H](O)c1cccc2ccccc21 ZINC000815116779 1041914743 /nfs/dbraw/zinc/91/47/43/1041914743.db2.gz DSVBXITXCYLPFA-SFHVURJKSA-N 0 3 324.424 4.003 20 0 DIADHN CCc1cc(CNCc2sc3c(cccc3Cl)c2C)[nH]n1 ZINC000815126939 1041916458 /nfs/dbraw/zinc/91/64/58/1041916458.db2.gz SXPWNCULSRNULQ-UHFFFAOYSA-N 0 3 319.861 4.438 20 0 DIADHN CCc1cc(CNCc2sc3c(cccc3Cl)c2C)n[nH]1 ZINC000815126939 1041916466 /nfs/dbraw/zinc/91/64/66/1041916466.db2.gz SXPWNCULSRNULQ-UHFFFAOYSA-N 0 3 319.861 4.438 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2cccnc2Cl)C1 ZINC000815165639 1041922464 /nfs/dbraw/zinc/92/24/64/1041922464.db2.gz HXXXDZYBNYYKKZ-JOCQHMNTSA-N 0 3 307.224 4.424 20 0 DIADHN CO[C@@H]([C@@H](C)N[C@H](C)c1ccc(-c2ccccc2)cn1)C1CC1 ZINC000651823493 1041922960 /nfs/dbraw/zinc/92/29/60/1041922960.db2.gz KVLBFQPWBPLNDH-SXGZJXTBSA-N 0 3 310.441 4.213 20 0 DIADHN CCO[C@@H]1CCC[C@H]1N[C@@H](C)c1ccc(-c2ccccc2)cn1 ZINC000651826732 1041925040 /nfs/dbraw/zinc/92/50/40/1041925040.db2.gz ONYMLCHOFZKDNG-CWFSZBLJSA-N 0 3 310.441 4.357 20 0 DIADHN Cn1cc(CNCc2cccc3ccsc32)c(C(C)(C)C)n1 ZINC000651863352 1041935966 /nfs/dbraw/zinc/93/59/66/1041935966.db2.gz FHGIHANHGLQJOH-UHFFFAOYSA-N 0 3 313.470 4.222 20 0 DIADHN Cc1nccn1CCCCN1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435786 1041937496 /nfs/dbraw/zinc/93/74/96/1041937496.db2.gz HNEHITXNIRYBPN-GOSISDBHSA-N 0 3 313.445 4.182 20 0 DIADHN C[C@H]1c2ccccc2C[C@H]1NCc1csc(-c2ccoc2)n1 ZINC000661521022 1041947748 /nfs/dbraw/zinc/94/77/48/1041947748.db2.gz JVYSWVLHDIPMPM-YVEFUNNKSA-N 0 3 310.422 4.221 20 0 DIADHN c1ccc(-c2nnc(CN3CC[C@H](C4CCCCC4)C3)o2)cc1 ZINC000661582853 1041957758 /nfs/dbraw/zinc/95/77/58/1041957758.db2.gz FTSRSFNYLHDBRU-KRWDZBQOSA-N 0 3 311.429 4.139 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccccc1Cn1cccn1 ZINC000815556528 1041961728 /nfs/dbraw/zinc/96/17/28/1041961728.db2.gz XRZZAUPPCJRXFL-MRXNPFEDSA-N 0 3 323.415 4.230 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)NCc1ccc(OC(C)C)nc1 ZINC000815560916 1041962538 /nfs/dbraw/zinc/96/25/38/1041962538.db2.gz UFTPLZPSOFYPDF-CQSZACIVSA-N 0 3 302.393 4.167 20 0 DIADHN CCOc1ccc(CN[C@H](C)c2ccc(F)cc2C)cc1OC ZINC000815558868 1041962855 /nfs/dbraw/zinc/96/28/55/1041962855.db2.gz QLZRAXTWTVKCBT-CQSZACIVSA-N 0 3 317.404 4.392 20 0 DIADHN CN(Cc1cccc(OCc2ccccc2)c1)CC1=CCCOC1 ZINC000661654121 1041965440 /nfs/dbraw/zinc/96/54/40/1041965440.db2.gz FTCBXYAJBYUPRE-UHFFFAOYSA-N 0 3 323.436 4.044 20 0 DIADHN Cc1cc(F)ccc1[C@@H](C)N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000815579708 1041965597 /nfs/dbraw/zinc/96/55/97/1041965597.db2.gz SNNFGYBRTBPCJK-NWDGAFQWSA-N 0 3 313.376 4.128 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H](C)c1cccc(C2CC2)c1 ZINC000815581544 1041966145 /nfs/dbraw/zinc/96/61/45/1041966145.db2.gz JDBKYXDRFSGIDE-DJJJIMSYSA-N 0 3 307.441 4.391 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000815579709 1041966406 /nfs/dbraw/zinc/96/64/06/1041966406.db2.gz SNNFGYBRTBPCJK-RYUDHWBXSA-N 0 3 313.376 4.128 20 0 DIADHN CCCCCC(C)(C)CN[C@H](c1nnc[nH]1)c1ccc(F)cc1 ZINC000815587900 1041968023 /nfs/dbraw/zinc/96/80/23/1041968023.db2.gz WWKDOGXYQZPTQH-INIZCTEOSA-N 0 3 318.440 4.229 20 0 DIADHN C[C@@H](N[C@@H]1CCc2sc(Br)cc21)c1ccc[nH]1 ZINC000815599234 1041970999 /nfs/dbraw/zinc/97/09/99/1041970999.db2.gz OBSPBRUKMJHDED-LDYMZIIASA-N 0 3 311.248 4.177 20 0 DIADHN CCCC1(CN[C@@H](c2ccc(OC)cc2)c2ccccn2)CC1 ZINC000652168520 1041971336 /nfs/dbraw/zinc/97/13/36/1041971336.db2.gz FLABPVXLTBCIQI-IBGZPJMESA-N 0 3 310.441 4.350 20 0 DIADHN FCC[C@H]1CCCN(Cc2ccc(-c3ncco3)cc2F)C1 ZINC000661690710 1041971466 /nfs/dbraw/zinc/97/14/66/1041971466.db2.gz UFMGSNIJQJTIAN-CYBMUJFWSA-N 0 3 306.356 4.052 20 0 DIADHN COc1ccc([C@H](NCC2(C3CC3)CCC2)c2ccccn2)cc1 ZINC000652170610 1041971983 /nfs/dbraw/zinc/97/19/83/1041971983.db2.gz KRKOXCLPXZWQQE-FQEVSTJZSA-N 0 3 322.452 4.350 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)NCc1cn(C)nc1-c1ccccc1 ZINC000815615092 1041974391 /nfs/dbraw/zinc/97/43/91/1041974391.db2.gz IJPYMULWXKCZID-HNNXBMFYSA-N 0 3 323.415 4.385 20 0 DIADHN CCSc1cc(CN[C@H](C)c2ccc(F)cc2C)ccn1 ZINC000815618838 1041976090 /nfs/dbraw/zinc/97/60/90/1041976090.db2.gz VTBNZEIGMLDIPA-CYBMUJFWSA-N 0 3 304.434 4.492 20 0 DIADHN C[C@H](NCc1ccccc1CN(C)Cc1ccco1)c1ccco1 ZINC000164512410 1041993634 /nfs/dbraw/zinc/99/36/34/1041993634.db2.gz LANTXIBKZFOHIS-INIZCTEOSA-N 0 3 324.424 4.355 20 0 DIADHN C[C@@H](NCCOc1cccc(Cl)c1Cl)c1ccco1 ZINC000053585009 1041998950 /nfs/dbraw/zinc/99/89/50/1041998950.db2.gz ZCICVIOHQGVDID-SNVBAGLBSA-N 0 3 300.185 4.316 20 0 DIADHN C[C@@H](NCCC1(CO)CCC1)c1nc(-c2ccccc2)cs1 ZINC000652310526 1041999346 /nfs/dbraw/zinc/99/93/46/1041999346.db2.gz KEDSFHXJTSJQCD-CQSZACIVSA-N 0 3 316.470 4.013 20 0 DIADHN Fc1ccc([C@@H](NC2CCOCC2)c2ccccc2Cl)cc1 ZINC000164525832 1041999969 /nfs/dbraw/zinc/99/99/69/1041999969.db2.gz JHZDHSMUNCUPLY-GOSISDBHSA-N 0 3 319.807 4.337 20 0 DIADHN CCCc1nc(C)c(CN[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)o1 ZINC000661838114 1042003088 /nfs/dbraw/zinc/00/30/88/1042003088.db2.gz DKYJESQSXBEVHY-JKSUJKDBSA-N 0 3 320.477 4.151 20 0 DIADHN CCCc1nc(C)c(CN[C@@H]2C[C@@H](OC(C)(C)C)C23CCC3)o1 ZINC000661838112 1042003517 /nfs/dbraw/zinc/00/35/17/1042003517.db2.gz DKYJESQSXBEVHY-HZPDHXFCSA-N 0 3 320.477 4.151 20 0 DIADHN CCCOc1ccc(CN2CCC[C@H]2c2c(C)n[nH]c2C)cc1 ZINC000091081513 1042007046 /nfs/dbraw/zinc/00/70/46/1042007046.db2.gz OBYCIMCXIHDZJC-SFHVURJKSA-N 0 3 313.445 4.152 20 0 DIADHN CC(C)(C)C(C)(C)C(=O)OCC1CCN(Cc2ccco2)CC1 ZINC000815993513 1042011423 /nfs/dbraw/zinc/01/14/23/1042011423.db2.gz TYLXMRPNOKADQC-UHFFFAOYSA-N 0 3 321.461 4.107 20 0 DIADHN c1ccc(OC2CC2)c(CN2CCC([C@@H]3CCCCO3)CC2)c1 ZINC000661867384 1042011527 /nfs/dbraw/zinc/01/15/27/1042011527.db2.gz MLYMQVGTBUPGFD-IBGZPJMESA-N 0 3 315.457 4.009 20 0 DIADHN COc1cccc(CN2CCC[C@H]([C@H]3CCCCO3)C2)c1Cl ZINC000661874575 1042012530 /nfs/dbraw/zinc/01/25/30/1042012530.db2.gz NCOQZINQWXHNEB-GOEBONIOSA-N 0 3 323.864 4.130 20 0 DIADHN COc1cccc(CN2CCC[C@@H]([C@H]3CCCCO3)C2)c1Cl ZINC000661874574 1042012608 /nfs/dbraw/zinc/01/26/08/1042012608.db2.gz NCOQZINQWXHNEB-GDBMZVCRSA-N 0 3 323.864 4.130 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000661876368 1042015037 /nfs/dbraw/zinc/01/50/37/1042015037.db2.gz RAQMHYARCUHCOM-QIIPPGSGSA-N 0 3 309.400 4.307 20 0 DIADHN C[C@H](NC(=O)c1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC001135441385 1042033277 /nfs/dbraw/zinc/03/32/77/1042033277.db2.gz ZSJZYXPFTNADKX-KRWDZBQOSA-N 0 3 322.452 4.164 20 0 DIADHN Cc1cccc(-c2noc(CN[C@@H](C)C3CCC(F)CC3)n2)c1 ZINC000661999080 1042037083 /nfs/dbraw/zinc/03/70/83/1042037083.db2.gz CEBRIBFBGYOVJM-HLIUYOAVSA-N 0 3 317.408 4.051 20 0 DIADHN C[C@H](NCc1ncc(-c2ccccc2)o1)C1CCC(F)CC1 ZINC000662003043 1042040001 /nfs/dbraw/zinc/04/00/01/1042040001.db2.gz NBMWTZHVVGMJLW-HLIUYOAVSA-N 0 3 302.393 4.348 20 0 DIADHN C[C@@H](NCc1ncc(-c2ccc(F)cc2)o1)C1CCC(F)CC1 ZINC000662002174 1042040045 /nfs/dbraw/zinc/04/00/45/1042040045.db2.gz DQXWVVYUCOSOGE-DNOWBOINSA-N 0 3 320.383 4.487 20 0 DIADHN C[C@@H](NCc1coc(-c2cccs2)n1)C1CCC(F)CC1 ZINC000662003981 1042040303 /nfs/dbraw/zinc/04/03/03/1042040303.db2.gz VZCNIINFHLJCRJ-PNESKVBLSA-N 0 3 308.422 4.410 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC2(CCc3ccccc3O2)CC1 ZINC000662049409 1042049567 /nfs/dbraw/zinc/04/95/67/1042049567.db2.gz FHYYEGSGEYEVMV-INIZCTEOSA-N 0 3 308.425 4.002 20 0 DIADHN OC[C@H](NC[C@H]1CCC(F)(F)C1)c1cccc(Cl)c1Cl ZINC000662053153 1042050243 /nfs/dbraw/zinc/05/02/43/1042050243.db2.gz WNTZIAMJMSJHFK-CABZTGNLSA-N 0 3 324.198 4.052 20 0 DIADHN CC(C)CC1(NCC(=O)Nc2cccc(Cl)c2Cl)CC1 ZINC000662070566 1042056477 /nfs/dbraw/zinc/05/64/77/1042056477.db2.gz VEOVLILPWYNIMW-UHFFFAOYSA-N 0 3 315.244 4.100 20 0 DIADHN Cn1ncc(CN(CCc2ccccc2)C2CCCC2)c1Cl ZINC000816490923 1042058300 /nfs/dbraw/zinc/05/83/00/1042058300.db2.gz PNKHAXRSQWLVAP-UHFFFAOYSA-N 0 3 317.864 4.061 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2N(C)Cc2cnn(C)c2Cl)cc1 ZINC000816515839 1042061472 /nfs/dbraw/zinc/06/14/72/1042061472.db2.gz MKBTWACKUXVFOG-IRXDYDNUSA-N 0 3 317.864 4.150 20 0 DIADHN CCCCN(Cc1ncc(CN(C)C)s1)[C@H]1CCC[C@@H](C)C1 ZINC000816658430 1042075697 /nfs/dbraw/zinc/07/56/97/1042075697.db2.gz MPOGHJRFFURYOL-CVEARBPZSA-N 0 3 323.550 4.386 20 0 DIADHN COc1cc(CN2C[C@@H](C)[C@H]2C)c(-c2ccccc2)cc1OC ZINC000816648282 1042076772 /nfs/dbraw/zinc/07/67/72/1042076772.db2.gz ICSSRNNWQFYIDB-HUUCEWRRSA-N 0 3 311.425 4.211 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(C)c1CN1C[C@@H](C)[C@@H]1C ZINC000816647668 1042076784 /nfs/dbraw/zinc/07/67/84/1042076784.db2.gz WOFPBNSRTYUXEV-OCCSQVGLSA-N 0 3 317.864 4.042 20 0 DIADHN Brc1csc(CNCc2cccc3cc[nH]c32)c1 ZINC000218115879 1042080565 /nfs/dbraw/zinc/08/05/65/1042080565.db2.gz PMVQLIHMNNXFAF-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN C=C/C=C\CCN1CCN(c2ccc(Cl)c(F)c2)C[C@H]1C ZINC001170845679 1042085239 /nfs/dbraw/zinc/08/52/39/1042085239.db2.gz CLMWCWKPCHIAFT-ZRUQZJFASA-N 0 3 308.828 4.122 20 0 DIADHN CCC[C@@H](NCc1ccc(OC)nc1)c1ccc(Cl)cc1 ZINC000020548238 1042085215 /nfs/dbraw/zinc/08/52/15/1042085215.db2.gz QLKZMTXJQJPLBS-MRXNPFEDSA-N 0 3 304.821 4.375 20 0 DIADHN CCCC[C@@H](N[C@H](C)c1cnn(C)c1)c1ccc(OC)cc1 ZINC000165040443 1042088501 /nfs/dbraw/zinc/08/85/01/1042088501.db2.gz QZMVILSGDHIPLF-RDTXWAMCSA-N 0 3 301.434 4.011 20 0 DIADHN C[C@H](c1cccnc1)N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000662215302 1042091635 /nfs/dbraw/zinc/09/16/35/1042091635.db2.gz SNUCZHPZJYEKLS-MRXNPFEDSA-N 0 3 306.409 4.083 20 0 DIADHN CSc1cccc(NC(=O)Nc2cccc(CN(C)C)c2)c1 ZINC000051406146 1042094565 /nfs/dbraw/zinc/09/45/65/1042094565.db2.gz VYNGIWWYTPNVRO-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN CCCNC(=O)c1cccc(CNCc2cccc(C(C)C)c2)c1 ZINC000663314806 1042109725 /nfs/dbraw/zinc/10/97/25/1042109725.db2.gz MTJYRNKIXHLFFX-UHFFFAOYSA-N 0 3 324.468 4.240 20 0 DIADHN C[C@H](N[C@H](CC(C)(C)C)c1ccc(F)cc1)c1cnn(C)c1 ZINC000161570483 1042110724 /nfs/dbraw/zinc/11/07/24/1042110724.db2.gz VDGLQJYZGCXBAY-SUMWQHHRSA-N 0 3 303.425 4.387 20 0 DIADHN C[C@H](N[C@@H](CC(C)(C)C)c1ccc(F)cc1)c1cnn(C)c1 ZINC000161570225 1042111404 /nfs/dbraw/zinc/11/14/04/1042111404.db2.gz VDGLQJYZGCXBAY-GUYCJALGSA-N 0 3 303.425 4.387 20 0 DIADHN CCC[C@@](C)(CC)C(=O)NC[C@@H](c1ccc(CC)cc1)N(C)C ZINC001135926511 1042112048 /nfs/dbraw/zinc/11/20/48/1042112048.db2.gz KYZDFOYQJHEPKY-AZUAARDMSA-N 0 3 318.505 4.184 20 0 DIADHN CC[C@H](NCc1ccc(C(C)(C)O)cc1)c1ccccc1OC ZINC000186611909 1042112104 /nfs/dbraw/zinc/11/21/04/1042112104.db2.gz XFRZCEMFPSMHDA-SFHVURJKSA-N 0 3 313.441 4.164 20 0 DIADHN CC(=O)N1CC[C@H](NCc2cccc(C(C)C)c2)c2ccccc21 ZINC000663321241 1042112324 /nfs/dbraw/zinc/11/23/24/1042112324.db2.gz XCTZQXUFEXURBR-FQEVSTJZSA-N 0 3 322.452 4.398 20 0 DIADHN CC(C)(C)CCN[C@H](c1nc(Br)cs1)C1CC1 ZINC000817573880 1042114807 /nfs/dbraw/zinc/11/48/07/1042114807.db2.gz IBCRQUMSJXQDLZ-NSHDSACASA-N 0 3 317.296 4.383 20 0 DIADHN C[C@H](C[C@@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccncc1Cl ZINC000817577521 1042116284 /nfs/dbraw/zinc/11/62/84/1042116284.db2.gz ALBCNKDMGUSNEC-BWACUDIHSA-N 0 3 322.811 4.037 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N(C)C[C@H]1CC1(C)C ZINC000662347518 1042117176 /nfs/dbraw/zinc/11/71/76/1042117176.db2.gz KYWCWTXHVDJHOZ-DGCLKSJQSA-N 0 3 319.836 4.429 20 0 DIADHN CCCCOc1ccc(NC(=O)c2cccc3c2CNC3)c(C)c1 ZINC000662400720 1042119645 /nfs/dbraw/zinc/11/96/45/1042119645.db2.gz DYJREAPZCVJVKL-UHFFFAOYSA-N 0 3 324.424 4.029 20 0 DIADHN CC1=C(C)C[C@@](C)(C(=O)Nc2cccc(CN(C)C)c2)CC1 ZINC001135964151 1042122004 /nfs/dbraw/zinc/12/20/04/1042122004.db2.gz PVMQJBSIILWJQQ-IBGZPJMESA-N 0 3 300.446 4.213 20 0 DIADHN CC(C)(C)OC1CCN(Cc2cc(F)cc3cccnc32)CC1 ZINC000162301315 1042123135 /nfs/dbraw/zinc/12/31/35/1042123135.db2.gz SATCYUBBYFDNDR-UHFFFAOYSA-N 0 3 316.420 4.153 20 0 DIADHN CC(=O)Nc1ccc(CN[C@@]2(c3ccccc3)CC2(C)C)cc1 ZINC000663337648 1042125282 /nfs/dbraw/zinc/12/52/82/1042125282.db2.gz CNQNNQKOTNBSJY-HXUWFJFHSA-N 0 3 308.425 4.060 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(N)ccc21)c1ccc(Cl)nc1 ZINC000817967226 1042133324 /nfs/dbraw/zinc/13/33/24/1042133324.db2.gz FTEHYKTWFJQZNV-MEDUHNTESA-N 0 3 301.821 4.045 20 0 DIADHN Cc1cc(N)cc(CN[C@@H](C)c2cc(Cl)c(N)c(Cl)c2)c1 ZINC000817980041 1042136237 /nfs/dbraw/zinc/13/62/37/1042136237.db2.gz IJYBHVMJMZCPRC-JTQLQIEISA-N 0 3 324.255 4.317 20 0 DIADHN COc1c(C)cc([C@@H](C)NCc2cc(C)cc(N)c2)cc1Cl ZINC000817982130 1042136336 /nfs/dbraw/zinc/13/63/36/1042136336.db2.gz XXBAXIYHFBTZGD-CYBMUJFWSA-N 0 3 318.848 4.398 20 0 DIADHN Cc1c([C@@H](C)NCc2ccc(C)cc2)cnn1-c1ccc(F)cc1 ZINC000067504670 1042142100 /nfs/dbraw/zinc/14/21/00/1042142100.db2.gz WTDMMDATHMJKES-OAHLLOKOSA-N 0 3 323.415 4.479 20 0 DIADHN Cc1ccc(CN2CC[C@](C)(C(=O)OC(C)(C)C)C2)c(Cl)c1 ZINC001170892164 1042143971 /nfs/dbraw/zinc/14/39/71/1042143971.db2.gz QTZZCKMLEVLZCN-SFHVURJKSA-N 0 3 323.864 4.202 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)c1cccc2c1CNC2 ZINC000662620494 1042146831 /nfs/dbraw/zinc/14/68/31/1042146831.db2.gz KEXDKPFCNKBSHX-UHFFFAOYSA-N 0 3 312.438 4.043 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)C[C@@H](O)c2ccco2)c(Cl)c1 ZINC000818331803 1042147267 /nfs/dbraw/zinc/14/72/67/1042147267.db2.gz HVCWDEGKVNENKI-BFQNTYOBSA-N 0 3 323.820 4.104 20 0 DIADHN CC[C@H](CC(F)(F)F)NCc1cnc(CC(F)(F)F)s1 ZINC000893591936 1042147524 /nfs/dbraw/zinc/14/75/24/1042147524.db2.gz JDKGCVWYDXPAID-SSDOTTSWSA-N 0 3 320.302 4.069 20 0 DIADHN Cc1cc2ncc([C@@H](C)N[C@@H](C)c3ccc(C)s3)c(C)n2n1 ZINC000314675985 1042164323 /nfs/dbraw/zinc/16/43/23/1042164323.db2.gz QMBRDBQHDPZVFA-OLZOCXBDSA-N 0 3 314.458 4.128 20 0 DIADHN CC1(C)CCC[C@H](CN2CCC[C@@H]2CC(=O)c2cccs2)O1 ZINC001167810782 1042168515 /nfs/dbraw/zinc/16/85/15/1042168515.db2.gz HCSJHYNTGVUTTL-HUUCEWRRSA-N 0 3 321.486 4.133 20 0 DIADHN Cc1cc(-c2ccccc2)ccc1NC(=O)[C@@H](C(C)C)N(C)C ZINC001136194478 1042172960 /nfs/dbraw/zinc/17/29/60/1042172960.db2.gz GMMUELABCTZFQP-LJQANCHMSA-N 0 3 310.441 4.187 20 0 DIADHN C[C@@H](NCCOCCF)c1cc2c(ccc3ccccc23)o1 ZINC000663462443 1042175738 /nfs/dbraw/zinc/17/57/38/1042175738.db2.gz NSDSXBKRZRPAGQ-CYBMUJFWSA-N 0 3 301.361 4.223 20 0 DIADHN CCn1nccc1CN[C@H](C)c1cccc(-c2ccccc2)c1 ZINC000663467383 1042177862 /nfs/dbraw/zinc/17/78/62/1042177862.db2.gz HXCKTKZNPNZMDA-MRXNPFEDSA-N 0 3 305.425 4.421 20 0 DIADHN C[C@@H](c1ccccc1)N(C)Cc1cc(Cl)c2c(c1)OCCO2 ZINC000302742717 1042179036 /nfs/dbraw/zinc/17/90/36/1042179036.db2.gz SJDBNNATPUUJGA-ZDUSSCGKSA-N 0 3 317.816 4.304 20 0 DIADHN CCN(CC)[C@H](CNC(=O)C(C)(C)CC(C)C)c1ccsc1 ZINC001136215851 1042180585 /nfs/dbraw/zinc/18/05/85/1042180585.db2.gz ROEKCWXSULBNLS-MRXNPFEDSA-N 0 3 324.534 4.320 20 0 DIADHN CC[C@H](NCc1cnccc1C(F)(F)F)c1ccc(OC)cc1 ZINC000663473614 1042185648 /nfs/dbraw/zinc/18/56/48/1042185648.db2.gz IOVDXZKLBNFGKL-INIZCTEOSA-N 0 3 324.346 4.350 20 0 DIADHN C[C@H](N[C@@H]1CCc2ccccc2-n2ccnc21)C(C)(C)C(F)F ZINC000663473793 1042186904 /nfs/dbraw/zinc/18/69/04/1042186904.db2.gz GMNFDQRGPKNHQJ-GXTWGEPZSA-N 0 3 319.399 4.129 20 0 DIADHN CCC(CC)(CN[C@@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1 ZINC000663477424 1042186987 /nfs/dbraw/zinc/18/69/87/1042186987.db2.gz DZWXFDXWGYIHNE-WMLDXEAASA-N 0 3 315.436 4.376 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000828629940 1042187534 /nfs/dbraw/zinc/18/75/34/1042187534.db2.gz YSEBUQNLOXGJPU-RISCZKNCSA-N 0 3 302.245 4.238 20 0 DIADHN C[C@@H](N[C@H]1CCc2ccccc2-n2ccnc21)C(C)(C)C(F)F ZINC000663473795 1042187564 /nfs/dbraw/zinc/18/75/64/1042187564.db2.gz GMNFDQRGPKNHQJ-OCCSQVGLSA-N 0 3 319.399 4.129 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cnccc1C(F)(F)F)CC2 ZINC000663475616 1042188637 /nfs/dbraw/zinc/18/86/37/1042188637.db2.gz ZDSPWBJUIWWBRE-INIZCTEOSA-N 0 3 306.331 4.186 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)c2ccc([S@](C)=O)cc2)cc1 ZINC000165915043 1042188741 /nfs/dbraw/zinc/18/87/41/1042188741.db2.gz PABRPKRGYKHQHH-PDSXEYIOSA-N 0 3 301.455 4.144 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(C)[C@@H]2CCC[C@H](C)C2)c(Cl)c1 ZINC000026470214 1042195842 /nfs/dbraw/zinc/19/58/42/1042195842.db2.gz UYUMZRKNZJDWKJ-SWLSCSKDSA-N 0 3 322.880 4.406 20 0 DIADHN Cc1cc2oc(=O)cc(CN(C)Cc3ccccc3C)c2cc1C ZINC000007844917 1042198820 /nfs/dbraw/zinc/19/88/20/1042198820.db2.gz PIHXGZSXWMYVMJ-UHFFFAOYSA-N 0 3 321.420 4.350 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)CCOc2ccc(Cl)cc2)s1 ZINC000303328759 1042201461 /nfs/dbraw/zinc/20/14/61/1042201461.db2.gz SZYSCBHMZXOVGZ-LBPRGKRZSA-N 0 3 324.877 4.485 20 0 DIADHN CCN(CC)[C@H](CNc1nc2ccccc2nc1C)c1ccco1 ZINC000303423187 1042204451 /nfs/dbraw/zinc/20/44/51/1042204451.db2.gz DDHHXYNZBPFVTM-QGZVFWFLSA-N 0 3 324.428 4.026 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCc3cccc(F)c3C2)c1F ZINC001170948533 1042216308 /nfs/dbraw/zinc/21/63/08/1042216308.db2.gz IEDDRONKWIXUMN-CYBMUJFWSA-N 0 3 305.343 4.059 20 0 DIADHN C[C@@H](O)[C@H](N[C@@H](C)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000658359367 1042217294 /nfs/dbraw/zinc/21/72/94/1042217294.db2.gz COCWQFOFIKBDMZ-AHIWAGSCSA-N 0 3 323.358 4.478 20 0 DIADHN C[C@@H](CC(=O)N1CCN(CCC(C)(C)C)CC1)C1CCCCC1 ZINC001136348494 1042219898 /nfs/dbraw/zinc/21/98/98/1042219898.db2.gz LIKMHFDJTQUZIS-KRWDZBQOSA-N 0 3 322.537 4.173 20 0 DIADHN Fc1cccc(/C=C/CN[C@H](c2ccccc2)[C@H]2CCCO2)c1 ZINC001648933081 1042220584 /nfs/dbraw/zinc/22/05/84/1042220584.db2.gz GVYMSTXRMZYECF-QNFQMBCCSA-N 0 3 311.400 4.349 20 0 DIADHN C[C@H](NCc1nc2cc(Cl)ccc2c(=O)[nH]1)C1CCCCC1 ZINC000315378155 1042231482 /nfs/dbraw/zinc/23/14/82/1042231482.db2.gz DHTXAMULHGEIHI-NSHDSACASA-N 0 3 319.836 4.047 20 0 DIADHN COC(=O)c1cnc([C@H](C)N[C@@H]2CCCC23CCCCC3)s1 ZINC000315431515 1042232789 /nfs/dbraw/zinc/23/27/89/1042232789.db2.gz XYZPRNLSVHFLNJ-GXTWGEPZSA-N 0 3 322.474 4.083 20 0 DIADHN CCCN(C(=O)[C@@H](CC)N(C)C)[C@H](C)c1cc2ccccc2o1 ZINC001136396226 1042235936 /nfs/dbraw/zinc/23/59/36/1042235936.db2.gz NRKPBRDODKLTPH-GDBMZVCRSA-N 0 3 316.445 4.073 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)[C@@H]1CCC=CCCC1 ZINC001136411049 1042241499 /nfs/dbraw/zinc/24/14/99/1042241499.db2.gz YBXOONICLQRDHO-LJQANCHMSA-N 0 3 320.521 4.093 20 0 DIADHN Cc1noc(C)c1[C@H](C)NCCCOc1cc(C)ccc1C ZINC000663598066 1042246549 /nfs/dbraw/zinc/24/65/49/1042246549.db2.gz PBCLUSKINFKJJL-AWEZNQCLSA-N 0 3 302.418 4.028 20 0 DIADHN C[C@@H](N[C@@H](C)C(=O)Nc1ccc(Cl)cc1)c1ccccc1 ZINC000007379393 1042248948 /nfs/dbraw/zinc/24/89/48/1042248948.db2.gz LTEFOXWJTKOEHR-OLZOCXBDSA-N 0 3 302.805 4.018 20 0 DIADHN CC(C)CCOC1CCN(CC[C@H]2CC2(Cl)Cl)CC1 ZINC000822583459 1042289793 /nfs/dbraw/zinc/28/97/93/1042289793.db2.gz OCEDYNIWGLJSGO-ZDUSSCGKSA-N 0 3 308.293 4.097 20 0 DIADHN Fc1ccc(C2(N[C@H]3CCc4cccc(F)c4C3)CC2)c(F)c1 ZINC001170968910 1042259086 /nfs/dbraw/zinc/25/90/86/1042259086.db2.gz DVFXRYFKFVYFNM-AWEZNQCLSA-N 0 3 317.354 4.240 20 0 DIADHN CO[C@@H](C)c1nc(CNC2CCC(=C3CCC3)CC2)cs1 ZINC000638721323 1042268977 /nfs/dbraw/zinc/26/89/77/1042268977.db2.gz MXHYDSCOAUWPCT-LBPRGKRZSA-N 0 3 306.475 4.363 20 0 DIADHN COCCOc1cc(C)ccc1CN[C@H](C)c1ccc(C)s1 ZINC000167618745 1042269402 /nfs/dbraw/zinc/26/94/02/1042269402.db2.gz MKDOGFGJKIOBAR-OAHLLOKOSA-N 0 3 319.470 4.241 20 0 DIADHN C[C@H](NCCCC1CCOCC1)c1ncc(-c2ccccc2)o1 ZINC000279085362 1042270416 /nfs/dbraw/zinc/27/04/16/1042270416.db2.gz MOFIVKFBQNZUTQ-HNNXBMFYSA-N 0 3 314.429 4.199 20 0 DIADHN COc1ccc(C)cc1CN[C@H](C)c1cc(C)c(OC)cc1C ZINC000753680761 1042276163 /nfs/dbraw/zinc/27/61/63/1042276163.db2.gz YAGNMSNTNVIMTQ-MRXNPFEDSA-N 0 3 313.441 4.480 20 0 DIADHN Cc1cscc1CNCc1cc(C)cc(Br)c1 ZINC000785521952 1042276471 /nfs/dbraw/zinc/27/64/71/1042276471.db2.gz LKWDJCNTZYBYRG-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CC[C@@H]1CCC[C@H]1NCc1nnc(-c2ccccc2Cl)o1 ZINC000279213402 1042282297 /nfs/dbraw/zinc/28/22/97/1042282297.db2.gz HFVLNMXDRSPCCB-BXUZGUMPSA-N 0 3 305.809 4.058 20 0 DIADHN FC(F)(F)C[C@@H]1CCCN(CC[C@@H]2CC2(Cl)Cl)C1 ZINC000823247898 1042319447 /nfs/dbraw/zinc/31/94/47/1042319447.db2.gz KPSUHUPHRHNMLU-VHSXEESVSA-N 0 3 304.183 4.235 20 0 DIADHN COc1cnccc1[C@@H](C)N[C@@H]1CCCc2c(C)ccc(C)c21 ZINC000187059074 1042295653 /nfs/dbraw/zinc/29/56/53/1042295653.db2.gz ZZDGVEABQUMESJ-CRAIPNDOSA-N 0 3 310.441 4.435 20 0 DIADHN CCC[C@@H](NCc1cc(OC)c(O)c(OC)c1)c1ccccc1 ZINC000319909050 1042296895 /nfs/dbraw/zinc/29/68/95/1042296895.db2.gz ZZRLDWLMWUWAKB-MRXNPFEDSA-N 0 3 315.413 4.040 20 0 DIADHN CCC[C@H](NCc1cc(OC)c(O)c(OC)c1)c1ccccc1 ZINC000319909047 1042296942 /nfs/dbraw/zinc/29/69/42/1042296942.db2.gz ZZRLDWLMWUWAKB-INIZCTEOSA-N 0 3 315.413 4.040 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)NCc1ccccc1CN(C)C ZINC001136651693 1042307685 /nfs/dbraw/zinc/30/76/85/1042307685.db2.gz QWOYWTQBEKYFGT-UHFFFAOYSA-N 0 3 318.505 4.073 20 0 DIADHN Cc1ccc2cc(CN(C)[C@H](C)c3cccc(O)c3)ccc2n1 ZINC000187258165 1042308468 /nfs/dbraw/zinc/30/84/68/1042308468.db2.gz IIYONUXZWFTVFC-OAHLLOKOSA-N 0 3 306.409 4.442 20 0 DIADHN COCc1cc([C@H](C)N[C@H](C)c2ccsc2)ccc1OC ZINC000061361217 1042309314 /nfs/dbraw/zinc/30/93/14/1042309314.db2.gz SXBNSVLTDJDVJA-QWHCGFSZSA-N 0 3 305.443 4.315 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCc3ccccc31)CCO2 ZINC000320136025 1042310063 /nfs/dbraw/zinc/31/00/63/1042310063.db2.gz ZTUWARDOFNCLBL-RBUKOAKNSA-N 0 3 309.409 4.186 20 0 DIADHN CCC[C@H](CC)N[C@H](C)c1ncc(Br)cc1F ZINC000187320287 1042311232 /nfs/dbraw/zinc/31/12/32/1042311232.db2.gz QWZRZENCHVIIHZ-KOLCDFICSA-N 0 3 303.219 4.213 20 0 DIADHN Fc1cccc2c1C[C@H](N1Cc3ccc(Cl)cc3C1)CC2 ZINC001171005318 1042312659 /nfs/dbraw/zinc/31/26/59/1042312659.db2.gz NJUYUJXYTJSJQV-MRXNPFEDSA-N 0 3 301.792 4.352 20 0 DIADHN CCC[C@@H](NCc1ccnc(OC)c1)c1ccc(Cl)cc1 ZINC000062057935 1042314049 /nfs/dbraw/zinc/31/40/49/1042314049.db2.gz LAPNDGBFKBKBNR-MRXNPFEDSA-N 0 3 304.821 4.375 20 0 DIADHN Oc1ccc(CN2CCOC3(CCCCC3)C2)c2ccccc12 ZINC001138062286 1042316653 /nfs/dbraw/zinc/31/66/53/1042316653.db2.gz GMOJSGDEIADENC-UHFFFAOYSA-N 0 3 311.425 4.081 20 0 DIADHN COc1ccc(CN[C@@H](C)c2cccc(F)c2)c(C)c1OC ZINC000808374383 1042319551 /nfs/dbraw/zinc/31/95/51/1042319551.db2.gz MGHLWUJWNRJBPR-ZDUSSCGKSA-N 0 3 303.377 4.002 20 0 DIADHN C[C@@H](C1CC1)N(C)CC(=O)Nc1ccc(-c2ccccc2)cc1 ZINC000823377708 1042322724 /nfs/dbraw/zinc/32/27/24/1042322724.db2.gz PPFZJVXCXXFIJZ-HNNXBMFYSA-N 0 3 308.425 4.022 20 0 DIADHN CCc1ccc(CN[C@H](C)c2cnn(-c3ccccc3)c2)s1 ZINC000319067766 1042330470 /nfs/dbraw/zinc/33/04/70/1042330470.db2.gz MUSPUMYDPSPDNX-CQSZACIVSA-N 0 3 311.454 4.347 20 0 DIADHN Cc1ccccc1[C@@H](NC(=O)[C@@H](C)N1CCCCCC1)C(C)C ZINC001136737079 1042331203 /nfs/dbraw/zinc/33/12/03/1042331203.db2.gz XHIYDYBIQXQVEY-MJGOQNOKSA-N 0 3 316.489 4.073 20 0 DIADHN C[C@H](NCc1cc(C2CC2)nn1C)c1cccc2ccccc21 ZINC000823613469 1042335264 /nfs/dbraw/zinc/33/52/64/1042335264.db2.gz FMWCHISOBJATML-AWEZNQCLSA-N 0 3 305.425 4.302 20 0 DIADHN CCCNC(=O)c1cccc(CN[C@H](CC)c2ccccc2)c1 ZINC000112529878 1042341405 /nfs/dbraw/zinc/34/14/05/1042341405.db2.gz BQLQPNCWEJTZED-LJQANCHMSA-N 0 3 310.441 4.067 20 0 DIADHN C[C@H](N[C@H]1CCCc2cc(OC(F)F)ccc21)c1cccnc1 ZINC000112537877 1042341511 /nfs/dbraw/zinc/34/15/11/1042341511.db2.gz FQPFIDFJKDFBTI-SJCJKPOMSA-N 0 3 318.367 4.411 20 0 DIADHN COCc1cc([C@@H](C)N[C@H]2CCCc3occc32)ccc1OC ZINC000123392614 1042344602 /nfs/dbraw/zinc/34/46/02/1042344602.db2.gz ADSGSISEMDBQTB-DYVFJYSZSA-N 0 3 315.413 4.163 20 0 DIADHN CCCCCC(C)(C)C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001625919698 1042354269 /nfs/dbraw/zinc/35/42/69/1042354269.db2.gz UWFLHTLNNHMHJZ-SFHVURJKSA-N 0 3 318.505 4.320 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@H](c2ccccn2)C1 ZINC000660631983 1042355548 /nfs/dbraw/zinc/35/55/48/1042355548.db2.gz RCZTWGIZNBKWHH-ZFWWWQNUSA-N 0 3 302.368 4.300 20 0 DIADHN FC(F)(F)c1ccc(CN2CC[C@@H](C3CCOCC3)C2)s1 ZINC000432729561 1042355782 /nfs/dbraw/zinc/35/57/82/1042355782.db2.gz QKROTBPEVLVEMN-GFCCVEGCSA-N 0 3 319.392 4.015 20 0 DIADHN CN1CCc2ccc(Nc3cc(F)c(Cl)cc3F)cc2C1 ZINC001213942895 1042356073 /nfs/dbraw/zinc/35/60/73/1042356073.db2.gz LGDZJHRBTSXGIW-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc2c(c1)OCCO2)c1ccncc1 ZINC000163675879 1042357462 /nfs/dbraw/zinc/35/74/62/1042357462.db2.gz UYZAGBQGDUKABA-SJORKVTESA-N 0 3 312.413 4.045 20 0 DIADHN COc1ccc([C@@H](NCCC2CC2)c2ccccc2OC)cc1 ZINC000438298473 1042363178 /nfs/dbraw/zinc/36/31/78/1042363178.db2.gz ZYSZLEDJWJAQHJ-HXUWFJFHSA-N 0 3 311.425 4.183 20 0 DIADHN Cc1nc2ccc(Nc3ccccc3C(=O)C(F)(F)F)cc2[nH]1 ZINC001213077339 1042364879 /nfs/dbraw/zinc/36/48/79/1042364879.db2.gz SKQQTTZRSCLFNP-UHFFFAOYSA-N 0 3 319.286 4.360 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3cnccc32)cc1F ZINC000237316643 1042365820 /nfs/dbraw/zinc/36/58/20/1042365820.db2.gz XIRCYCDSUTTWOG-ZDUSSCGKSA-N 0 3 310.372 4.233 20 0 DIADHN O=C(CN(C1CC1)C1CCCC1)Nc1ccc2ccccc2c1 ZINC000728914582 1042370357 /nfs/dbraw/zinc/37/03/57/1042370357.db2.gz FQWLNXCQPWJGJQ-UHFFFAOYSA-N 0 3 308.425 4.185 20 0 DIADHN O=[N+]([O-])c1ccc(Cl)c(CNC[C@H]2C[C@@H]2c2ccccc2)c1 ZINC000237828340 1042380969 /nfs/dbraw/zinc/38/09/69/1042380969.db2.gz HLUFDJRIELYJFF-CZUORRHYSA-N 0 3 316.788 4.142 20 0 DIADHN COc1cc(C)cc(Nc2cccc3c2OCC[C@@H]3N(C)C)c1 ZINC001211731270 1042387995 /nfs/dbraw/zinc/38/79/95/1042387995.db2.gz DMOIUYQRNODMLW-SFHVURJKSA-N 0 3 312.413 4.132 20 0 DIADHN Cc1ncc(CNCc2ccc(-c3ccccc3)s2)s1 ZINC000040997738 1042391591 /nfs/dbraw/zinc/39/15/91/1042391591.db2.gz VHCKVYRTALYRCH-UHFFFAOYSA-N 0 3 300.452 4.470 20 0 DIADHN CCc1cccc2c(C3CCN(Cc4ocnc4C)CC3)c[nH]c21 ZINC000893974649 1042399778 /nfs/dbraw/zinc/39/97/78/1042399778.db2.gz VACUBHXQIXHDBP-UHFFFAOYSA-N 0 3 323.440 4.406 20 0 DIADHN Cc1ncoc1CN1CCC(c2ccccc2C(F)(F)F)CC1 ZINC000894072671 1042406500 /nfs/dbraw/zinc/40/65/00/1042406500.db2.gz VDAZTDBTPPRRBW-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN COc1cccc2c1CC[C@@H]2NCc1ccc(SC)s1 ZINC000563574572 1042424071 /nfs/dbraw/zinc/42/40/71/1042424071.db2.gz QBMHLSWXYXDANM-AWEZNQCLSA-N 0 3 305.468 4.256 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CN(CCc2cncs2)C2CC2)o1 ZINC000411130161 1042427080 /nfs/dbraw/zinc/42/70/80/1042427080.db2.gz UXGSEEGTTMLJGZ-WBMJQRKESA-N 0 3 302.443 4.067 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCc2ccc(F)c(F)c2)n1 ZINC000152176435 1042427708 /nfs/dbraw/zinc/42/77/08/1042427708.db2.gz SWQRDJSXARTRQU-SFHVURJKSA-N 0 3 302.368 4.048 20 0 DIADHN FC(F)(F)C[C@H](NCCOCC1CCC1)c1ccccc1 ZINC000419235389 1042437416 /nfs/dbraw/zinc/43/74/16/1042437416.db2.gz YXULHQVUTLYBJB-HNNXBMFYSA-N 0 3 301.352 4.086 20 0 DIADHN CCCN(C[C@H]1CCCCO1)[C@@H](C)c1nc2ccccc2o1 ZINC000411362185 1042441876 /nfs/dbraw/zinc/44/18/76/1042441876.db2.gz BAZRCNAWSIROQS-LSDHHAIUSA-N 0 3 302.418 4.170 20 0 DIADHN CCCOc1cccc(F)c1Nc1ccccc1CN(C)C ZINC001211789952 1042450233 /nfs/dbraw/zinc/45/02/33/1042450233.db2.gz NRSRMCNZGQHSRX-UHFFFAOYSA-N 0 3 302.393 4.420 20 0 DIADHN COCc1ccc([C@@H]2CCCN2[C@H]2C[C@H]2c2cccc(F)c2)o1 ZINC000411536477 1042457576 /nfs/dbraw/zinc/45/75/76/1042457576.db2.gz BQIBNLAWBVEBFG-BZSNNMDCSA-N 0 3 315.388 4.258 20 0 DIADHN Cc1nc([C@@H](C)N[C@H](C)c2ccc(Cl)c3ccccc32)n[nH]1 ZINC000411552513 1042458282 /nfs/dbraw/zinc/45/82/82/1042458282.db2.gz NUBOHAYNHCSPLW-GHMZBOCLSA-N 0 3 314.820 4.332 20 0 DIADHN Clc1ccccc1-c1cc(CN[C@H]2CCC[C@@H]3C[C@@H]32)no1 ZINC000419278158 1042460036 /nfs/dbraw/zinc/46/00/36/1042460036.db2.gz HNFMSILUVKKQGF-WPGHFRTFSA-N 0 3 302.805 4.273 20 0 DIADHN Cc1cc([C@H]2CCCN2CCOc2ccc3ccccc3c2)on1 ZINC000052007892 1042460757 /nfs/dbraw/zinc/46/07/57/1042460757.db2.gz XTZATICACLXDSN-LJQANCHMSA-N 0 3 322.408 4.352 20 0 DIADHN CCC[C@H]1CCC[C@H]1C(=O)Nc1ccc(CN(C)C)cc1F ZINC000412573121 1042471923 /nfs/dbraw/zinc/47/19/23/1042471923.db2.gz KXJZFRAMXCGOIK-LSDHHAIUSA-N 0 3 306.425 4.042 20 0 DIADHN Cc1sc2[n-]c([C@H](C)[NH2+][C@H]3CCCC[C@H]3C)nc(=O)c2c1C ZINC000253610043 1042476121 /nfs/dbraw/zinc/47/61/21/1042476121.db2.gz CSBCJQOKYCAZAV-CDMKHQONSA-N 0 3 319.474 4.243 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN[C@@H]1CCCc2ccc(F)cc21 ZINC000894162572 1042477266 /nfs/dbraw/zinc/47/72/66/1042477266.db2.gz VOVIJTXEEDGGFV-LJQANCHMSA-N 0 3 315.436 4.387 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(CCCc1cnn(C)c1)C1CC1 ZINC000129256051 1042492525 /nfs/dbraw/zinc/49/25/25/1042492525.db2.gz JCDWULAVKSMIOA-AWEZNQCLSA-N 0 3 317.864 4.232 20 0 DIADHN CCCSC[C@@H](C)N[C@@H](C)c1ccc(Br)cn1 ZINC000894170859 1042494621 /nfs/dbraw/zinc/49/46/21/1042494621.db2.gz IUVHBMNKMJOQMV-MNOVXSKESA-N 0 3 317.296 4.026 20 0 DIADHN COc1ccc([C@@H]2CCCCCN2Cc2c(C)noc2C)cc1 ZINC000052711753 1042508657 /nfs/dbraw/zinc/50/86/57/1042508657.db2.gz YFXAZQHIRHBSGJ-IBGZPJMESA-N 0 3 314.429 4.417 20 0 DIADHN COc1ccccc1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001203434801 1042515832 /nfs/dbraw/zinc/51/58/32/1042515832.db2.gz PTVYVOMPVZJTRR-AWEZNQCLSA-N 0 3 301.817 4.338 20 0 DIADHN O[C@H](CN1CCC2(CCCCC2)CC1)c1cc(F)ccc1F ZINC000726598373 1042517430 /nfs/dbraw/zinc/51/74/30/1042517430.db2.gz OOQVFBIAXRRPRO-QGZVFWFLSA-N 0 3 309.400 4.045 20 0 DIADHN CCN(CC(=O)Nc1cccc(Cl)c1Cl)C1CCCC1 ZINC000052908417 1042521478 /nfs/dbraw/zinc/52/14/78/1042521478.db2.gz UTNMDQVAJXYYHJ-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN Cc1cc(Cl)cc(CN[C@H](c2ccccc2)C(C)(C)CO)c1 ZINC000513286624 1042532651 /nfs/dbraw/zinc/53/26/51/1042532651.db2.gz MEUWIPGZJZUUIZ-GOSISDBHSA-N 0 3 317.860 4.498 20 0 DIADHN CCCN(CCN1CCCCC1)C(=O)Nc1cccc(C)c1C ZINC000513524269 1042550130 /nfs/dbraw/zinc/55/01/30/1042550130.db2.gz HGBKURCYUYOPJI-UHFFFAOYSA-N 0 3 317.477 4.033 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C(=O)OC)cs1)c1cccs1 ZINC000513660123 1042556925 /nfs/dbraw/zinc/55/69/25/1042556925.db2.gz FZUSKDXQGOYUJL-JQWIXIFHSA-N 0 3 309.456 4.398 20 0 DIADHN COC(=O)c1csc([C@@H](C)N[C@H]2CCc3cc(C)ccc32)c1 ZINC000513683836 1042558970 /nfs/dbraw/zinc/55/89/70/1042558970.db2.gz MWGQPUVHXFIVQF-WBMJQRKESA-N 0 3 315.438 4.181 20 0 DIADHN Cc1nc([C@H](C)NCC2CCN(c3ccccc3)CC2)cs1 ZINC000280095504 1042563374 /nfs/dbraw/zinc/56/33/74/1042563374.db2.gz MSQVSVPGFWCLEQ-AWEZNQCLSA-N 0 3 315.486 4.019 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2nn(C)cc21)c1ccc(C(F)(F)F)cc1 ZINC000280097564 1042564697 /nfs/dbraw/zinc/56/46/97/1042564697.db2.gz MWMWHMJGTMHDMY-IAQYHMDHSA-N 0 3 323.362 4.167 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NCc1ccc(-c2ccccc2)cc1 ZINC000280115388 1042565785 /nfs/dbraw/zinc/56/57/85/1042565785.db2.gz NWRVJTXJFUTTJD-FQEVSTJZSA-N 0 3 317.436 4.254 20 0 DIADHN CCOCc1ccccc1CN[C@H](c1cccnc1)C1CCC1 ZINC000280243287 1042568186 /nfs/dbraw/zinc/56/81/86/1042568186.db2.gz IIWYCYDHSFLURW-FQEVSTJZSA-N 0 3 310.441 4.249 20 0 DIADHN C[C@@H](N[C@H]1CCCc2nn(C)cc21)c1cccc(C(F)(F)F)c1 ZINC000280243436 1042568313 /nfs/dbraw/zinc/56/83/13/1042568313.db2.gz WCTCYTXOBSPBGR-ABAIWWIYSA-N 0 3 323.362 4.167 20 0 DIADHN CO[C@]1(C)CCCN(Cc2nc(-c3ccccc3)oc2C)CC1 ZINC000280266030 1042569344 /nfs/dbraw/zinc/56/93/44/1042569344.db2.gz CLENOGXCJYRWQX-LJQANCHMSA-N 0 3 314.429 4.041 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCc3ccc(O)cc3)oc21 ZINC000236987157 1042573321 /nfs/dbraw/zinc/57/33/21/1042573321.db2.gz GFOYOSGGAXMGNF-CYBMUJFWSA-N 0 3 311.381 4.388 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1ccc2c[nH]nc2c1 ZINC000236992418 1042575931 /nfs/dbraw/zinc/57/59/31/1042575931.db2.gz SFHYHCDANVXICE-QGZVFWFLSA-N 0 3 309.413 4.203 20 0 DIADHN CCC(CC)[C@H](N[C@H](C)c1ccc(=O)[nH]n1)c1cccs1 ZINC000513703717 1042583932 /nfs/dbraw/zinc/58/39/32/1042583932.db2.gz ZJLDXLHBGGNURD-BZNIZROVSA-N 0 3 305.447 4.072 20 0 DIADHN C[C@@H](N[C@@H](CO)c1cccc(Cl)c1Cl)C1CC(F)(F)C1 ZINC000628352144 1042600098 /nfs/dbraw/zinc/60/00/98/1042600098.db2.gz YHZGNGFOLWLFMT-PELKAZGASA-N 0 3 324.198 4.050 20 0 DIADHN CCC(CC)[C@@H](N[C@H](C)c1ccc(=O)[nH]n1)c1cccs1 ZINC000513703716 1042584364 /nfs/dbraw/zinc/58/43/64/1042584364.db2.gz ZJLDXLHBGGNURD-BDJLRTHQSA-N 0 3 305.447 4.072 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccc(N)c1)c1ccc(F)cc1F ZINC000420403153 1042600695 /nfs/dbraw/zinc/60/06/95/1042600695.db2.gz KRUVRJOLODLYON-KRWDZBQOSA-N 0 3 304.384 4.424 20 0 DIADHN C[C@H]1C[C@@H](c2nc(C(C)(C)C3CCCCC3)no2)CCN1C ZINC000420497841 1042602789 /nfs/dbraw/zinc/60/27/89/1042602789.db2.gz ZTVXJVPBKVQWQJ-KBPBESRZSA-N 0 3 305.466 4.125 20 0 DIADHN CCc1ncc(CN[C@H]2CC(C)(C)CCc3ccccc32)cn1 ZINC000414458790 1042604656 /nfs/dbraw/zinc/60/46/56/1042604656.db2.gz WOWFNAYNTHTZNQ-SFHVURJKSA-N 0 3 309.457 4.232 20 0 DIADHN O=C(CCCN1CCC[C@@H](CC(F)(F)F)C1)c1ccccc1 ZINC000420943627 1042616638 /nfs/dbraw/zinc/61/66/38/1042616638.db2.gz IHJZMCPOKKYQGH-AWEZNQCLSA-N 0 3 313.363 4.314 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CC[C@@H](C2CCCC2)C1 ZINC000420966799 1042617457 /nfs/dbraw/zinc/61/74/57/1042617457.db2.gz WGALJIQTJCPACP-FUHWJXTLSA-N 0 3 314.473 4.143 20 0 DIADHN C[C@@H](c1nnc(-c2cccs2)o1)N1CC[C@H](C2CCCC2)C1 ZINC000420964458 1042617646 /nfs/dbraw/zinc/61/76/46/1042617646.db2.gz PPLIBWYHSMDHHY-JSGCOSHPSA-N 0 3 317.458 4.371 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CC[C@@H](C2CCCC2)C1 ZINC000420960229 1042618022 /nfs/dbraw/zinc/61/80/22/1042618022.db2.gz GMVUBUANLTYTDI-GOSISDBHSA-N 0 3 314.473 4.008 20 0 DIADHN FC(F)Oc1ccc([C@@H]2CN(C[C@@H]3CC=CCC3)CCO2)cc1 ZINC000513733974 1042618614 /nfs/dbraw/zinc/61/86/14/1042618614.db2.gz YEYYCSFHOJWOJF-PBHICJAKSA-N 0 3 323.383 4.018 20 0 DIADHN O[C@H]1CCN(Cc2sc3ccccc3c2Cl)C2(CCC2)C1 ZINC000420988488 1042618669 /nfs/dbraw/zinc/61/86/69/1042618669.db2.gz AGLYCFDGORLZAN-LBPRGKRZSA-N 0 3 321.873 4.434 20 0 DIADHN c1sc(-c2ccccn2)nc1CNC[C@@H]1CCC[C@H]1C1CC1 ZINC000414484635 1042619946 /nfs/dbraw/zinc/61/99/46/1042619946.db2.gz OHQYYPREYDCQKV-HOCLYGCPSA-N 0 3 313.470 4.121 20 0 DIADHN CC(C)Oc1ccc2nccc(N[C@H](C)c3cscn3)c2c1 ZINC000664262080 1042626281 /nfs/dbraw/zinc/62/62/81/1042626281.db2.gz CQYSBWLQNQRLMA-GFCCVEGCSA-N 0 3 313.426 4.074 20 0 DIADHN OCC[C@H](NCc1cccc(C2CC2)c1)c1ccc(Cl)cc1 ZINC000421354377 1042631563 /nfs/dbraw/zinc/63/15/63/1042631563.db2.gz HKJCUNLRPVFBFD-IBGZPJMESA-N 0 3 315.844 4.431 20 0 DIADHN Cc1cc(CNCc2ccc(Br)c(C)c2)cc(C)n1 ZINC000421373769 1042633488 /nfs/dbraw/zinc/63/34/88/1042633488.db2.gz GZEFVJXDAHVOJI-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CC23CCSCC3)s1 ZINC000421391737 1042636153 /nfs/dbraw/zinc/63/61/53/1042636153.db2.gz WSHZHDBNEJFTSW-GFCCVEGCSA-N 0 3 310.532 4.064 20 0 DIADHN CC(C)(C)[C@H]1CCCN1Cc1csc(-c2ccccn2)n1 ZINC000513759070 1042652725 /nfs/dbraw/zinc/65/27/25/1042652725.db2.gz DMGMOPFSMHATCN-OAHLLOKOSA-N 0 3 301.459 4.216 20 0 DIADHN Cc1cccc(OC[C@@H](C)N[C@H](C)c2ccncc2Cl)c1 ZINC000421823945 1042653321 /nfs/dbraw/zinc/65/33/21/1042653321.db2.gz GBTPAFFLPSMLJN-ZIAGYGMSSA-N 0 3 304.821 4.162 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@@H](C)c2ccncc2Cl)cc1 ZINC000421828614 1042654613 /nfs/dbraw/zinc/65/46/13/1042654613.db2.gz DOPRYMJTJCMXDY-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN C[C@@H](NCCCC1(O)CCC1)c1nc(-c2ccccc2)cs1 ZINC000421853819 1042657128 /nfs/dbraw/zinc/65/71/28/1042657128.db2.gz JKKQRXOBQFASGH-CQSZACIVSA-N 0 3 316.470 4.156 20 0 DIADHN C[C@H](NCCCNc1ccccc1F)c1ccncc1Cl ZINC000421841269 1042658841 /nfs/dbraw/zinc/65/88/41/1042658841.db2.gz KBTJOPGPWRSWOB-LBPRGKRZSA-N 0 3 307.800 4.027 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccncc1Cl)[C@H]1Oc2ccccc2[C@H]1C ZINC000421842855 1042659453 /nfs/dbraw/zinc/65/94/53/1042659453.db2.gz LSBFCMMJNGGPKE-WLHTULFXSA-N 0 3 316.832 4.339 20 0 DIADHN C[C@@H](N[C@@H](CC(F)F)c1ccccc1)c1cccc(CO)c1 ZINC000421871292 1042661470 /nfs/dbraw/zinc/66/14/70/1042661470.db2.gz FTUFRKLIOAIHQA-DYVFJYSZSA-N 0 3 305.368 4.226 20 0 DIADHN Cc1ccnc(N)c1CN[C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C ZINC000894266446 1042665487 /nfs/dbraw/zinc/66/54/87/1042665487.db2.gz NVNMEUXTVAMTKZ-ISTRZQFTSA-N 0 3 315.848 4.113 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1cccc(OCc2ccccn2)c1 ZINC000271809604 1042669837 /nfs/dbraw/zinc/66/98/37/1042669837.db2.gz UCQSPFLGVDDHAI-CYBMUJFWSA-N 0 3 324.346 4.264 20 0 DIADHN C[C@H](NC1(c2ccccc2)CC1)c1ccc(-n2cccn2)cc1 ZINC000272024668 1042675498 /nfs/dbraw/zinc/67/54/98/1042675498.db2.gz SNSGDCDGUYVWOD-INIZCTEOSA-N 0 3 303.409 4.212 20 0 DIADHN CC(C)CN(CC(=O)N[C@@H](C)c1cccc2ccccc21)C1CC1 ZINC000027110513 1042683341 /nfs/dbraw/zinc/68/33/41/1042683341.db2.gz YDBCULNNVVOIAB-INIZCTEOSA-N 0 3 324.468 4.137 20 0 DIADHN C[C@H](NCc1ccc(C2CCCCC2)cc1)c1cnccc1N ZINC000423126502 1042698069 /nfs/dbraw/zinc/69/80/69/1042698069.db2.gz IXKWMVLCKQJPKR-HNNXBMFYSA-N 0 3 309.457 4.393 20 0 DIADHN COc1ccc([C@H](C)NCc2cccc3c2CCCN3)cc1F ZINC000423410217 1042711164 /nfs/dbraw/zinc/71/11/64/1042711164.db2.gz CVXXIYJUCFDJGB-ZDUSSCGKSA-N 0 3 314.404 4.043 20 0 DIADHN CCOc1ccccc1[C@H](C)NCc1cccc2c1CCCN2 ZINC000423409195 1042711593 /nfs/dbraw/zinc/71/15/93/1042711593.db2.gz BJOCDVGHGDPODE-HNNXBMFYSA-N 0 3 310.441 4.294 20 0 DIADHN c1ccc2c(c1)SCC[C@@H]2NCc1cccc2c1CCCN2 ZINC000423416103 1042712755 /nfs/dbraw/zinc/71/27/55/1042712755.db2.gz QBPZTUNLBHPOLT-SFHVURJKSA-N 0 3 310.466 4.371 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1N[C@@H]1CC(C)(C)Oc2ccc(N)cc21 ZINC000423431892 1042713183 /nfs/dbraw/zinc/71/31/83/1042713183.db2.gz IWXDCUGRSPWDAS-YHBQJITHSA-N 0 3 322.452 4.394 20 0 DIADHN CC(C)CC[C@H](N)C(=O)Nc1ccccc1-c1cccs1 ZINC000637800232 1042714795 /nfs/dbraw/zinc/71/47/95/1042714795.db2.gz BNHPJHSFIKJJMG-AWEZNQCLSA-N 0 3 302.443 4.117 20 0 DIADHN Cc1ccc(OC(F)F)c([C@H](C)NCc2cc(C)cc(N)c2)c1 ZINC000423483996 1042716349 /nfs/dbraw/zinc/71/63/49/1042716349.db2.gz QPBWLQSQKKDHKZ-ZDUSSCGKSA-N 0 3 320.383 4.338 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCc2cc(C)cc(N)c2)c1 ZINC000423483995 1042716380 /nfs/dbraw/zinc/71/63/80/1042716380.db2.gz QPBWLQSQKKDHKZ-CYBMUJFWSA-N 0 3 320.383 4.338 20 0 DIADHN Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)C[C@@H](N)c1ccccc1 ZINC000423757598 1042720451 /nfs/dbraw/zinc/72/04/51/1042720451.db2.gz LPQLKCXJBZVZQM-TZIWHRDSSA-N 0 3 324.468 4.241 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3nc4ccccc4s3)C2)cc1 ZINC000504501707 1042723388 /nfs/dbraw/zinc/72/33/88/1042723388.db2.gz AXZDZLRPOLIPGA-OAHLLOKOSA-N 0 3 324.449 4.294 20 0 DIADHN CC(C)n1cncc1CN[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000424136669 1042726560 /nfs/dbraw/zinc/72/65/60/1042726560.db2.gz RCUJNPFUBIALKB-QGZVFWFLSA-N 0 3 303.837 4.358 20 0 DIADHN CC(C)n1cncc1CN[C@H]1c2cccc(F)c2CC[C@H]1C ZINC000424149263 1042726769 /nfs/dbraw/zinc/72/67/69/1042726769.db2.gz WCWJVZUEPXLLKB-FZKQIMNGSA-N 0 3 301.409 4.016 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1N[C@H]1CCC[C@@H](c2cccnc2)C1 ZINC000424201371 1042729541 /nfs/dbraw/zinc/72/95/41/1042729541.db2.gz RVWINXLZUUZZNN-TTYHFUOFSA-N 0 3 310.416 4.335 20 0 DIADHN F[C@]1(c2ccccc2)C[C@@H]1NC1CCC(OC2CCCC2)CC1 ZINC000424314755 1042733997 /nfs/dbraw/zinc/73/39/97/1042733997.db2.gz CVXDZXBFWAXYJL-QUDVFMAMSA-N 0 3 317.448 4.484 20 0 DIADHN C[C@@H](NC(=O)CCCC1CCCC1)[C@@H](c1ccccc1)N(C)C ZINC001269735236 1042755681 /nfs/dbraw/zinc/75/56/81/1042755681.db2.gz XNKWMGSVYBLQRS-UZLBHIALSA-N 0 3 316.489 4.155 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@@H]2CCc3c2cccc3F)n1 ZINC000513871902 1042759487 /nfs/dbraw/zinc/75/94/87/1042759487.db2.gz ZCFVJDJISRVRQU-LJQANCHMSA-N 0 3 321.399 4.097 20 0 DIADHN CCN(C(=O)CN1CCCCCC1)c1cccc2ccccc21 ZINC000058873806 1042770885 /nfs/dbraw/zinc/77/08/85/1042770885.db2.gz QBOLGSPELUBJTK-UHFFFAOYSA-N 0 3 310.441 4.069 20 0 DIADHN CCCCN(Cc1cn2cccnc2n1)[C@@H](CC)c1ccccc1 ZINC000514182849 1042771926 /nfs/dbraw/zinc/77/19/26/1042771926.db2.gz JUIUZCWLUBGRRR-IBGZPJMESA-N 0 3 322.456 4.483 20 0 DIADHN Fc1cncc([C@@H](NCc2ccc(C(F)(F)F)cc2)C2CC2)c1 ZINC000281307327 1042779512 /nfs/dbraw/zinc/77/95/12/1042779512.db2.gz RQCSTGJIDQIFQZ-INIZCTEOSA-N 0 3 324.321 4.480 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(Cl)nc2)C1(C)C ZINC001167958415 1042789220 /nfs/dbraw/zinc/78/92/20/1042789220.db2.gz IXKUWPQFBSGBMQ-GJZGRUSLSA-N 0 3 310.869 4.151 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccc(Cl)nc2)C1(C)C ZINC001167958405 1042789538 /nfs/dbraw/zinc/78/95/38/1042789538.db2.gz IXKUWPQFBSGBMQ-CABCVRRESA-N 0 3 310.869 4.151 20 0 DIADHN C[C@@H](c1ccccc1)N(C)Cc1cc2c(c(Cl)c1)OCO2 ZINC000514849799 1042794382 /nfs/dbraw/zinc/79/43/82/1042794382.db2.gz UQUXPNULRLNFAU-LBPRGKRZSA-N 0 3 303.789 4.262 20 0 DIADHN CC[C@@H](C)c1ccccc1NC(=O)[C@H](C)N1CCCCCC1 ZINC000514859563 1042795155 /nfs/dbraw/zinc/79/51/55/1042795155.db2.gz XGLSQZBKQLRVFS-CVEARBPZSA-N 0 3 302.462 4.403 20 0 DIADHN Clc1ccsc1CN1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000425376189 1042800917 /nfs/dbraw/zinc/80/09/17/1042800917.db2.gz WTLUSVRWRWVXKY-HNNXBMFYSA-N 0 3 311.878 4.183 20 0 DIADHN Cc1ncc(CN[C@H](CC(C)(C)C)c2ccc(F)cc2)n1C ZINC000514952531 1042802289 /nfs/dbraw/zinc/80/22/89/1042802289.db2.gz XQSCXDSGVRNAQG-QGZVFWFLSA-N 0 3 303.425 4.135 20 0 DIADHN FC(F)(F)C[C@H]1CCN(Cc2ccccc2OCC2CC2)C1 ZINC000515034316 1042806951 /nfs/dbraw/zinc/80/69/51/1042806951.db2.gz FCTBCMBQEDTSJR-CQSZACIVSA-N 0 3 313.363 4.250 20 0 DIADHN CCCOc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC000515364884 1042817296 /nfs/dbraw/zinc/81/72/96/1042817296.db2.gz PWGAOKLODCNWEF-UHFFFAOYSA-N 0 3 315.461 4.128 20 0 DIADHN Cc1ccc(CN(Cc2ccco2)C[C@@H]2CCCC[C@H]2O)s1 ZINC000515427595 1042818578 /nfs/dbraw/zinc/81/85/78/1042818578.db2.gz UFIUMLVIXCVDDX-MAUKXSAKSA-N 0 3 319.470 4.203 20 0 DIADHN CCN(Cc1ccc(Cl)c(Cl)c1)C[C@@H]1CCCCO1 ZINC000515510635 1042823444 /nfs/dbraw/zinc/82/34/44/1042823444.db2.gz UVNVLNKKPRPJJB-ZDUSSCGKSA-N 0 3 302.245 4.384 20 0 DIADHN CCCCOc1ccc(CNCc2ccc3cnn(C)c3c2)cc1 ZINC000515509881 1042823782 /nfs/dbraw/zinc/82/37/82/1042823782.db2.gz HJIPPEHWQNYDPL-UHFFFAOYSA-N 0 3 323.440 4.042 20 0 DIADHN CCCCN(Cc1nc(C(F)F)no1)[C@H]1CCC[C@@H](C)C1 ZINC000425479438 1042829130 /nfs/dbraw/zinc/82/91/30/1042829130.db2.gz OBWKYFXHROYQMK-NEPJUHHUSA-N 0 3 301.381 4.188 20 0 DIADHN Cc1ccccc1C[C@H](C)N(C)Cc1cnc(C2CC2)s1 ZINC000515765923 1042833990 /nfs/dbraw/zinc/83/39/90/1042833990.db2.gz UJHNUDXEBCDTON-AWEZNQCLSA-N 0 3 300.471 4.392 20 0 DIADHN CCC[C@H]1CCCN(Cc2cccc(C(=O)C(F)(F)F)c2)C1 ZINC001167960651 1042834314 /nfs/dbraw/zinc/83/43/14/1042834314.db2.gz WFBWJUVFGUPWLG-ZDUSSCGKSA-N 0 3 313.363 4.444 20 0 DIADHN CCOCOc1ccc(CN2CCC[C@@H]2c2ccsc2)cc1 ZINC000783409825 1042839155 /nfs/dbraw/zinc/83/91/55/1042839155.db2.gz QKJSJTJSJVQWHJ-GOSISDBHSA-N 0 3 317.454 4.458 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc3onc(C)c3c2)cc1 ZINC000894326225 1042847718 /nfs/dbraw/zinc/84/77/18/1042847718.db2.gz NEICFWQLXFQTJZ-UHFFFAOYSA-N 0 3 309.413 4.119 20 0 DIADHN Fc1ccc([C@H](NCc2ccc3[nH]ccc3c2)[C@H]2CCCO2)cc1 ZINC000516877149 1042857925 /nfs/dbraw/zinc/85/79/25/1042857925.db2.gz AWZPILUDJBYNTO-UXHICEINSA-N 0 3 324.399 4.317 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cc(C)nc(N3CCCC3)c2)cc1 ZINC000289807065 1042859574 /nfs/dbraw/zinc/85/95/74/1042859574.db2.gz OGVNGSASVGLAQP-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1cc([C@H](N[C@H]2CCC[C@H](F)C2)c2cccnc2)ccc1F ZINC000516926759 1042860330 /nfs/dbraw/zinc/86/03/30/1042860330.db2.gz KEXBXFOBHKTLPO-LNLFQRSKSA-N 0 3 316.395 4.489 20 0 DIADHN CC(C)N1CC[C@H](Oc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001225920767 1042863636 /nfs/dbraw/zinc/86/36/36/1042863636.db2.gz PPVCHUNKKCCDAC-NSHDSACASA-N 0 3 307.743 4.220 20 0 DIADHN Cc1oc(-c2ccccc2)nc1CN1CC[C@H](c2cccnc2)C1 ZINC000517027919 1042863973 /nfs/dbraw/zinc/86/39/73/1042863973.db2.gz WPGSVRQEKYBSJY-SFHVURJKSA-N 0 3 319.408 4.035 20 0 DIADHN CCc1nnc(CN[C@@H]2CCCC[C@H](c3ccccc3)C2)s1 ZINC000517060041 1042865248 /nfs/dbraw/zinc/86/52/48/1042865248.db2.gz BUBIJTWGSMXVTM-JKSUJKDBSA-N 0 3 315.486 4.307 20 0 DIADHN C[C@@H](NC[C@H]1CCCOC1)c1csc(-c2ccccc2F)n1 ZINC000291169046 1042874675 /nfs/dbraw/zinc/87/46/75/1042874675.db2.gz JTJDOCYDNBAUGK-CHWSQXEVSA-N 0 3 320.433 4.026 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@@H](c3ccco3)N(C)C)oc2c1 ZINC000425926581 1042875364 /nfs/dbraw/zinc/87/53/64/1042875364.db2.gz XRQDABIIFIYLJY-HOCLYGCPSA-N 0 3 312.413 4.288 20 0 DIADHN FC(F)(F)COc1ccccc1CNC/C=C/c1ccccc1 ZINC000517537056 1042883543 /nfs/dbraw/zinc/88/35/43/1042883543.db2.gz FDNZHMPSQSSFCP-RMKNXTFCSA-N 0 3 321.342 4.431 20 0 DIADHN COc1ccc(CNCCSc2ccccc2)c(Cl)c1 ZINC000517539891 1042884027 /nfs/dbraw/zinc/88/40/27/1042884027.db2.gz MGGTZQVIOHOHAG-UHFFFAOYSA-N 0 3 307.846 4.231 20 0 DIADHN CCN(CC)[C@H](CNCc1ccc(F)cc1F)c1ccsc1 ZINC000517536126 1042885645 /nfs/dbraw/zinc/88/56/45/1042885645.db2.gz ZNPNTNDHEIPVPH-QGZVFWFLSA-N 0 3 324.440 4.199 20 0 DIADHN c1cc2cccc(CN[C@H](c3ccccc3)[C@@H]3CCCO3)c2[nH]1 ZINC000517550798 1042885405 /nfs/dbraw/zinc/88/54/05/1042885405.db2.gz MBRMNJRZIFKJST-AZUAARDMSA-N 0 3 306.409 4.178 20 0 DIADHN C[C@H](Cc1ccc(O)cc1)NCc1c(Cl)cccc1Cl ZINC000517547882 1042886513 /nfs/dbraw/zinc/88/65/13/1042886513.db2.gz ZRKYSCDNIDGJQQ-LLVKDONJSA-N 0 3 310.224 4.420 20 0 DIADHN CCOc1ccc(CN(C)Cc2cccc3[nH]ccc32)cc1F ZINC000425970730 1042889400 /nfs/dbraw/zinc/88/94/00/1042889400.db2.gz IPFCRWZVBFLKDG-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN Cc1ccc(NC(=O)N(CCN(C)C)C2CCCCC2)cc1C ZINC000426011376 1042896472 /nfs/dbraw/zinc/89/64/72/1042896472.db2.gz VMTVLLJBDPELDQ-UHFFFAOYSA-N 0 3 317.477 4.032 20 0 DIADHN Cc1sccc1CN[C@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000293974168 1042906334 /nfs/dbraw/zinc/90/63/34/1042906334.db2.gz DESFRAWBLBRBLI-GFCCVEGCSA-N 0 3 314.454 4.256 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@@H](C)c1cc(-c2ccccc2)no1 ZINC000925039452 1042909487 /nfs/dbraw/zinc/90/94/87/1042909487.db2.gz FUCHXLIYEMUDPD-KXBFYZLASA-N 0 3 322.408 4.034 20 0 DIADHN Cc1nc(F)ccc1CN1[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]1C ZINC000295037798 1042911973 /nfs/dbraw/zinc/91/19/73/1042911973.db2.gz DRAVTCRVNDDQHI-UFGOTCBOSA-N 0 3 304.331 4.080 20 0 DIADHN Clc1cccc(-c2nc(CN3CC[C@H]4CCC[C@@H]43)co2)c1 ZINC000426464630 1042923442 /nfs/dbraw/zinc/92/34/42/1042923442.db2.gz XJCZJHLTTDMIMC-WBMJQRKESA-N 0 3 302.805 4.369 20 0 DIADHN Fc1cccc2c1SCC[C@@H]2Nc1ccnc2ccccc21 ZINC000518905256 1042924650 /nfs/dbraw/zinc/92/46/50/1042924650.db2.gz MRUUJJRJVAIVET-KRWDZBQOSA-N 0 3 310.397 4.445 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1ccc(C(F)(F)F)cc1 ZINC000519010513 1042929273 /nfs/dbraw/zinc/92/92/73/1042929273.db2.gz XPLMSYFTIQRMLR-UHFFFAOYSA-N 0 3 322.330 4.019 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NCc1ccc2c(c1)CCCN2 ZINC000519138840 1042935063 /nfs/dbraw/zinc/93/50/63/1042935063.db2.gz CKUKFLGUBYXXOA-OAHLLOKOSA-N 0 3 310.441 4.213 20 0 DIADHN Fc1cc(OCCN2CCC[C@H]2c2ccccn2)ccc1Cl ZINC000519264366 1042941179 /nfs/dbraw/zinc/94/11/79/1042941179.db2.gz HMDUJAPSXLCISI-KRWDZBQOSA-N 0 3 320.795 4.090 20 0 DIADHN COc1ccccc1OCCNC1(c2cccc(Cl)c2)CC1 ZINC000519444542 1042948216 /nfs/dbraw/zinc/94/82/16/1042948216.db2.gz LYEQMNRZZGPKJO-UHFFFAOYSA-N 0 3 317.816 4.006 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CCCCC[C@H]1/C=C\c1cccs1 ZINC000519476832 1042950682 /nfs/dbraw/zinc/95/06/82/1042950682.db2.gz BQHSEJKYUWCLEB-KVPUOBJLSA-N 0 3 314.454 4.307 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CCCCC[C@H]1/C=C\c1cccs1 ZINC000519476832 1042950684 /nfs/dbraw/zinc/95/06/84/1042950684.db2.gz BQHSEJKYUWCLEB-KVPUOBJLSA-N 0 3 314.454 4.307 20 0 DIADHN Cc1cc(CN2CCC[C@@H]2c2ccncc2)c2c(n1)CCCC2 ZINC000894449046 1042958455 /nfs/dbraw/zinc/95/84/55/1042958455.db2.gz JGLRKWSFBFVOSC-HXUWFJFHSA-N 0 3 307.441 4.001 20 0 DIADHN CO[C@H](CN1CC(C)(C)[C@@H]1c1cccnc1)C1CCCCC1 ZINC000664819685 1042962224 /nfs/dbraw/zinc/96/22/24/1042962224.db2.gz MTSMRVLUTIPVAQ-MSOLQXFVSA-N 0 3 302.462 4.060 20 0 DIADHN CCn1cc(CN[C@H](C)c2ccc(F)c3ccccc32)c(C)n1 ZINC000894520983 1042964755 /nfs/dbraw/zinc/96/47/55/1042964755.db2.gz RQNINALPIDSZOO-CQSZACIVSA-N 0 3 311.404 4.355 20 0 DIADHN COc1cccc(CCCN2CC(C)(C)[C@@H]2c2ccncc2)c1 ZINC000664832100 1042969159 /nfs/dbraw/zinc/96/91/59/1042969159.db2.gz CHAILTOSDAKOJW-IBGZPJMESA-N 0 3 310.441 4.106 20 0 DIADHN CCCc1nc(C)c(CNC2CC(c3ccc(F)cc3F)C2)o1 ZINC000894592182 1042969714 /nfs/dbraw/zinc/96/97/14/1042969714.db2.gz DCTGJPLPYRBTSB-UHFFFAOYSA-N 0 3 320.383 4.250 20 0 DIADHN Clc1nccc2cc(CN3CCC[C@H]3[C@H]3CCCO3)sc21 ZINC000894689514 1042973598 /nfs/dbraw/zinc/97/35/98/1042973598.db2.gz GLCNVQWXWAOASO-UONOGXRCSA-N 0 3 322.861 4.093 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(C(F)F)c(F)c2)CC1(C)C ZINC000894723886 1042975252 /nfs/dbraw/zinc/97/52/52/1042975252.db2.gz QONRVJOJLDEVIX-CQSZACIVSA-N 0 3 301.352 4.010 20 0 DIADHN c1sc(-c2ccccn2)nc1CN[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000608064096 1042976368 /nfs/dbraw/zinc/97/63/68/1042976368.db2.gz ZEMMWOYUJLWAMT-QLFBSQMISA-N 0 3 313.470 4.264 20 0 DIADHN Cc1nnc([C@@H](C)N[C@H](C)Cc2ccc(-c3ccccc3)cc2)[nH]1 ZINC000894778874 1042977069 /nfs/dbraw/zinc/97/70/69/1042977069.db2.gz TZUFTKIQAXODKG-HUUCEWRRSA-N 0 3 320.440 4.062 20 0 DIADHN N#CC(C(=O)CCCc1ccccc1)c1ccc2ccccc2n1 ZINC000048856621 1042982907 /nfs/dbraw/zinc/98/29/07/1042982907.db2.gz YBRKQRYPXQWENF-SFHVURJKSA-N 0 3 314.388 4.434 20 0 DIADHN CO[C@H](CNC1(c2cccc(Cl)c2)CC1)c1ccccc1 ZINC000519642594 1042997579 /nfs/dbraw/zinc/99/75/79/1042997579.db2.gz BZZYFQPGJLEYGO-QGZVFWFLSA-N 0 3 301.817 4.306 20 0 DIADHN CCCN(CC(=O)N[C@H](C)C(C)C)[C@H](CC)c1ccccc1 ZINC000519906710 1043007829 /nfs/dbraw/zinc/00/78/29/1043007829.db2.gz QXWRYKOIVMBBOM-SJLPKXTDSA-N 0 3 304.478 4.010 20 0 DIADHN CCCN(CC(=O)N[C@@H](C)C(C)C)[C@H](CC)c1ccccc1 ZINC000519906709 1043008276 /nfs/dbraw/zinc/00/82/76/1043008276.db2.gz QXWRYKOIVMBBOM-FUHWJXTLSA-N 0 3 304.478 4.010 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000519968866 1043012317 /nfs/dbraw/zinc/01/23/17/1043012317.db2.gz QYXOVMHUPDHJRK-DYVFJYSZSA-N 0 3 302.393 4.491 20 0 DIADHN C[C@@H](COc1ccc(F)cc1F)NCc1cc2ccccc2o1 ZINC000520201708 1043023597 /nfs/dbraw/zinc/02/35/97/1043023597.db2.gz CCTYGDBKSIZFDN-LBPRGKRZSA-N 0 3 317.335 4.268 20 0 DIADHN COc1ccc2c(c1)CCCN(Cc1cnc3ccccc3c1)C2 ZINC000520214076 1043025041 /nfs/dbraw/zinc/02/50/41/1043025041.db2.gz FAUFUFBFCIRXGW-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN Fc1ccc([C@H](NCc2cccc3cc[nH]c32)[C@H]2CCCO2)cc1 ZINC000520221622 1043025667 /nfs/dbraw/zinc/02/56/67/1043025667.db2.gz LCXXGPSSCCSQON-QUCCMNQESA-N 0 3 324.399 4.317 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccn(-c3ccc(F)cc3)n2)C1 ZINC000441184464 1043026392 /nfs/dbraw/zinc/02/63/92/1043026392.db2.gz VKMYHCHOPGDQQC-KSSFIOAISA-N 0 3 301.409 4.022 20 0 DIADHN CCOc1ccccc1OCCN(C)Cc1cccc(Cl)c1 ZINC000441185663 1043027384 /nfs/dbraw/zinc/02/73/84/1043027384.db2.gz AXZFLYQTJWCWIB-UHFFFAOYSA-N 0 3 319.832 4.250 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2CCCC[C@@H]2OC)cc1Cl ZINC000441221120 1043027454 /nfs/dbraw/zinc/02/74/54/1043027454.db2.gz VNFFMJGNDHGXLP-KBPBESRZSA-N 0 3 318.244 4.049 20 0 DIADHN CSC[C@H](C)N[C@H](c1cccnc1)c1cc(C)cc(C)c1 ZINC000925099315 1043029742 /nfs/dbraw/zinc/02/97/42/1043029742.db2.gz XKDJHIJAWAZZMM-MAUKXSAKSA-N 0 3 300.471 4.129 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)CCCC(C)(C)C)c1ccco1 ZINC000520383845 1043041493 /nfs/dbraw/zinc/04/14/93/1043041493.db2.gz DURKLBTUOXXBRY-GJZGRUSLSA-N 0 3 308.466 4.041 20 0 DIADHN CC(C)[C@H]1CCCCN1Cc1ccn(-c2ccc(F)cc2)n1 ZINC000441375989 1043041938 /nfs/dbraw/zinc/04/19/38/1043041938.db2.gz CJZYJUNYZJLMCN-GOSISDBHSA-N 0 3 301.409 4.022 20 0 DIADHN CCn1cc([C@H](C)NCc2cc3ccnc(Cl)c3s2)cn1 ZINC000894920136 1043046961 /nfs/dbraw/zinc/04/69/61/1043046961.db2.gz WTHWBZMZHCXXOC-JTQLQIEISA-N 0 3 320.849 4.017 20 0 DIADHN CCn1cc([C@@H](C)NCc2cc3ccnc(Cl)c3s2)cn1 ZINC000894920139 1043047481 /nfs/dbraw/zinc/04/74/81/1043047481.db2.gz WTHWBZMZHCXXOC-SNVBAGLBSA-N 0 3 320.849 4.017 20 0 DIADHN COc1cccc(CN[C@H](C)c2ccc(OC)c(Cl)c2)c1 ZINC000112515738 1043049173 /nfs/dbraw/zinc/04/91/73/1043049173.db2.gz BFEDQWWHFVTDPQ-GFCCVEGCSA-N 0 3 305.805 4.208 20 0 DIADHN COCc1ccc(CN[C@H](C)c2ccc(OC)c(Cl)c2)cc1 ZINC000112524737 1043051174 /nfs/dbraw/zinc/05/11/74/1043051174.db2.gz PXOABUZDHIPSLD-CYBMUJFWSA-N 0 3 319.832 4.346 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2)C1)c1cccnc1Cl ZINC000120339542 1043054984 /nfs/dbraw/zinc/05/49/84/1043054984.db2.gz RHPHTMAQUKYKAG-HUUCEWRRSA-N 0 3 315.848 4.055 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(OCC(F)(F)F)cc1)c1ccncc1 ZINC000174002197 1043057297 /nfs/dbraw/zinc/05/72/97/1043057297.db2.gz QXYQTKCAONVXGK-QWHCGFSZSA-N 0 3 324.346 4.435 20 0 DIADHN CC[C@@H](NCc1cccc(NC(=O)N(C)C)c1)c1ccccc1 ZINC000174173379 1043060290 /nfs/dbraw/zinc/06/02/90/1043060290.db2.gz RMZPWROPCXXGTJ-GOSISDBHSA-N 0 3 311.429 4.021 20 0 DIADHN CC[C@H](NCc1cccc(NC(=O)N(C)C)c1)c1ccccc1 ZINC000174173362 1043061455 /nfs/dbraw/zinc/06/14/55/1043061455.db2.gz RMZPWROPCXXGTJ-SFHVURJKSA-N 0 3 311.429 4.021 20 0 DIADHN Cc1ccc(CNCc2cc(Br)cs2)c(C)c1 ZINC000070212809 1043071802 /nfs/dbraw/zinc/07/18/02/1043071802.db2.gz BKMJPSFHBZKDSP-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN CC(C)[C@H](CSc1ccccc1)NCc1cccnc1F ZINC000794833532 1043074220 /nfs/dbraw/zinc/07/42/20/1043074220.db2.gz GFXDHNXPSVVACF-INIZCTEOSA-N 0 3 304.434 4.127 20 0 DIADHN CO[C@@]1(C)C[C@H](NCc2ccc(CSC(F)F)o2)C1(C)C ZINC000237161595 1043074636 /nfs/dbraw/zinc/07/46/36/1043074636.db2.gz OOIIPJYTOBFWCL-WFASDCNBSA-N 0 3 319.417 4.029 20 0 DIADHN Cc1cccc(C)c1CN(C)[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000175370687 1043082985 /nfs/dbraw/zinc/08/29/85/1043082985.db2.gz AJBKCWPJYYCAMP-AOMKIAJQSA-N 0 3 315.482 4.234 20 0 DIADHN CCC[C@@H](NCc1ccc(C#N)cc1)c1cccc([N+](=O)[O-])c1 ZINC000320029863 1043083000 /nfs/dbraw/zinc/08/30/00/1043083000.db2.gz GZMOQZCBZIXWRL-GOSISDBHSA-N 0 3 309.369 4.097 20 0 DIADHN Oc1ccc(CNCc2ccc(Cl)c(Cl)c2)cc1F ZINC000120517193 1043084679 /nfs/dbraw/zinc/08/46/79/1043084679.db2.gz UPDSDULWQOWXLG-UHFFFAOYSA-N 0 3 300.160 4.128 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(OC(F)(F)F)c1)c1cccnc1 ZINC000175704480 1043088612 /nfs/dbraw/zinc/08/86/12/1043088612.db2.gz DSFRCFJTPSTCAU-VXGBXAGGSA-N 0 3 310.319 4.392 20 0 DIADHN C[C@@H](NC[C@@H](O)c1c(F)cccc1F)c1ccc(Cl)s1 ZINC000049129233 1043094359 /nfs/dbraw/zinc/09/43/59/1043094359.db2.gz ARJHWBGDUGVJSF-LDYMZIIASA-N 0 3 317.788 4.064 20 0 DIADHN C[C@H](NC[C@@H](O)c1ccc(F)cc1F)c1ccc(Cl)s1 ZINC000049129146 1043094394 /nfs/dbraw/zinc/09/43/94/1043094394.db2.gz UBGXHKNQTMYUJC-QPUJVOFHSA-N 0 3 317.788 4.064 20 0 DIADHN COc1cncc(CN[C@H](C)c2csc3ccccc23)c1C ZINC000865454391 1043100304 /nfs/dbraw/zinc/10/03/04/1043100304.db2.gz WVRFEIMWOWNCIK-CYBMUJFWSA-N 0 3 312.438 4.464 20 0 DIADHN Cc1ccc(CN[C@H](c2ccccc2)C2CCOCC2)c(F)c1 ZINC000865464239 1043101825 /nfs/dbraw/zinc/10/18/25/1043101825.db2.gz ILRIRRPDWDMZOW-HXUWFJFHSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2NCc1ccc(F)c2ccccc12 ZINC000865466549 1043101844 /nfs/dbraw/zinc/10/18/44/1043101844.db2.gz JOPWSABVOIJEBO-LJQANCHMSA-N 0 3 321.399 4.245 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@H](C)c1ccccc1 ZINC000177300838 1043106360 /nfs/dbraw/zinc/10/63/60/1043106360.db2.gz XPUXKALNZKQPSS-INIZCTEOSA-N 0 3 310.441 4.189 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1cnc2c(F)cccc2c1 ZINC000865520088 1043107993 /nfs/dbraw/zinc/10/79/93/1043107993.db2.gz XRDJAMBLQMTWRR-CYBMUJFWSA-N 0 3 309.388 4.182 20 0 DIADHN Cc1csc([C@H](C)CNCc2nc(C(C)(C)C)cs2)n1 ZINC000865528208 1043109614 /nfs/dbraw/zinc/10/96/14/1043109614.db2.gz WAPWMWRAGWJLMB-SNVBAGLBSA-N 0 3 309.504 4.099 20 0 DIADHN CC(C)C[C@H]1C[C@H](NCc2c(Cl)cncc2Cl)CCO1 ZINC000865522681 1043109905 /nfs/dbraw/zinc/10/99/05/1043109905.db2.gz VYVJEYZWUUHDDX-NEPJUHHUSA-N 0 3 317.260 4.072 20 0 DIADHN Cc1cnc([C@H](C)CNCc2nc(C(C)(C)C)cs2)s1 ZINC000865528017 1043110187 /nfs/dbraw/zinc/11/01/87/1043110187.db2.gz MOJIUXNUEOTPEP-SNVBAGLBSA-N 0 3 309.504 4.099 20 0 DIADHN C[C@H](N[C@@H](C)c1cccc(N2CCOC2=O)c1)c1ccsc1 ZINC000177749811 1043111212 /nfs/dbraw/zinc/11/12/12/1043111212.db2.gz RTDSROUWPVQLCO-STQMWFEESA-N 0 3 316.426 4.117 20 0 DIADHN C[C@@H]1CC(CCNCc2nc(C(C)(C)C)cs2)C[C@@H](C)O1 ZINC000865558685 1043113111 /nfs/dbraw/zinc/11/31/11/1043113111.db2.gz PIMGFQHOEFCTJO-CHWSQXEVSA-N 0 3 310.507 4.124 20 0 DIADHN Cc1cc2cc([C@@H](C)NCc3cccc(CO)c3)oc2cc1C ZINC000177839472 1043116090 /nfs/dbraw/zinc/11/60/90/1043116090.db2.gz XXZJAVCPEJHFLI-OAHLLOKOSA-N 0 3 309.409 4.393 20 0 DIADHN Cc1sc(NC(=O)CN2C[C@H](C)CCC[C@H]2C)c(C)c1C ZINC000441440661 1043116847 /nfs/dbraw/zinc/11/68/47/1043116847.db2.gz BEQRLVUXXREDRR-VXGBXAGGSA-N 0 3 308.491 4.122 20 0 DIADHN C[C@]1(CCNCc2c(Cl)cncc2Cl)C[C@@]1(F)Cl ZINC000865618457 1043118079 /nfs/dbraw/zinc/11/80/79/1043118079.db2.gz YJBMMNATHQBLTP-RYUDHWBXSA-N 0 3 311.615 4.183 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@@H](C)NCc1ccsc1 ZINC000178025046 1043119597 /nfs/dbraw/zinc/11/95/97/1043119597.db2.gz UPTHUQBDDQSTTM-LLVKDONJSA-N 0 3 315.467 4.426 20 0 DIADHN C[C@@H](O)[C@H](NCc1cccc(OC(C)(C)C)c1)c1ccccc1 ZINC000865635295 1043120497 /nfs/dbraw/zinc/12/04/97/1043120497.db2.gz LTOFSMGRDIPKCU-BEFAXECRSA-N 0 3 313.441 4.076 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000178114338 1043121169 /nfs/dbraw/zinc/12/11/69/1043121169.db2.gz LHTRZWAAEWCJAM-ORAYPTAESA-N 0 3 315.436 4.450 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCC[C@H]2CCC[C@@H]21 ZINC000441445664 1043121375 /nfs/dbraw/zinc/12/13/75/1043121375.db2.gz YZAPKBWMPCODBP-HIFRSBDPSA-N 0 3 302.443 4.476 20 0 DIADHN Cc1oc(-c2cccs2)nc1CN1CCC[C@@H]2CCC[C@@H]21 ZINC000441445668 1043121724 /nfs/dbraw/zinc/12/17/24/1043121724.db2.gz YZAPKBWMPCODBP-ZFWWWQNUSA-N 0 3 302.443 4.476 20 0 DIADHN FC(F)c1ccc(CN[C@H]2CCc3ccc(Cl)cc32)cn1 ZINC000865644670 1043122662 /nfs/dbraw/zinc/12/26/62/1043122662.db2.gz HGBMCGPBLIXKTI-AWEZNQCLSA-N 0 3 308.759 4.450 20 0 DIADHN Cc1cc(C)c(NC(=O)CN[C@H](C)CSC(C)(C)C)c(C)c1 ZINC000178133023 1043122899 /nfs/dbraw/zinc/12/28/99/1043122899.db2.gz ORVJYONTODRZIH-OAHLLOKOSA-N 0 3 322.518 4.060 20 0 DIADHN CC1(c2ccc(CNCc3ccccc3C3CC3)cc2)OCCO1 ZINC000865669777 1043123861 /nfs/dbraw/zinc/12/38/61/1043123861.db2.gz LIEWJXPEFWCFOY-UHFFFAOYSA-N 0 3 323.436 4.073 20 0 DIADHN CCOCOc1ccc(CNCc2csc(C)c2C)cc1 ZINC000865680230 1043124883 /nfs/dbraw/zinc/12/48/83/1043124883.db2.gz UHWJBLORNYPSLN-UHFFFAOYSA-N 0 3 305.443 4.028 20 0 DIADHN CC[C@@H](NCc1ccc2[nH]ccc2c1)c1cccc(OC)c1OC ZINC000865757475 1043129676 /nfs/dbraw/zinc/12/96/76/1043129676.db2.gz JXQKQDIUWCFHPJ-QGZVFWFLSA-N 0 3 324.424 4.426 20 0 DIADHN COc1ccc([C@H](N[C@H](C)c2cnn(C(C)C)c2)C2CC2)cc1 ZINC000178467683 1043130953 /nfs/dbraw/zinc/13/09/53/1043130953.db2.gz QMNSRGBIQNSWFM-AUUYWEPGSA-N 0 3 313.445 4.275 20 0 DIADHN CCOc1cc(CNCC(C)(C)C(C)(F)F)c(F)cc1OC ZINC000865789389 1043133175 /nfs/dbraw/zinc/13/31/75/1043133175.db2.gz ZDBVWJSANDQDGX-UHFFFAOYSA-N 0 3 319.367 4.004 20 0 DIADHN Cc1nc(C(C)C)sc1[C@H](C)NCc1ccc([C@H](C)O)cc1 ZINC000178545649 1043133361 /nfs/dbraw/zinc/13/33/61/1043133361.db2.gz RIFWBSCEOTWSEE-JSGCOSHPSA-N 0 3 318.486 4.479 20 0 DIADHN Cc1nn(C)cc1[C@@H](C)N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000178656947 1043134760 /nfs/dbraw/zinc/13/47/60/1043134760.db2.gz ABXCPDCVDPTYHJ-XIKOKIGWSA-N 0 3 301.409 4.060 20 0 DIADHN Fc1ccc(-c2nc(CN3CCC[C@@H]4CCC[C@@H]43)co2)cc1F ZINC000441461470 1043136004 /nfs/dbraw/zinc/13/60/04/1043136004.db2.gz GKZXNKVABGEUJB-SJCJKPOMSA-N 0 3 318.367 4.384 20 0 DIADHN CCN(CC)c1ncc(CN[C@@H](C)c2ccc(C)s2)s1 ZINC000049160510 1043136523 /nfs/dbraw/zinc/13/65/23/1043136523.db2.gz XQFOHTYORGHYNW-LBPRGKRZSA-N 0 3 309.504 4.210 20 0 DIADHN CC(C)c1ncc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)s1 ZINC000865830822 1043138745 /nfs/dbraw/zinc/13/87/45/1043138745.db2.gz UNPDXIGVIPGANV-VXGBXAGGSA-N 0 3 304.381 4.253 20 0 DIADHN CCOCc1ccccc1CN[C@@H](C)c1ccc(Cl)nc1 ZINC000179099984 1043141059 /nfs/dbraw/zinc/14/10/59/1043141059.db2.gz XGXGMIPSGKEMLA-ZDUSSCGKSA-N 0 3 304.821 4.122 20 0 DIADHN CSCc1cccc(CNCc2cc(-c3ccccc3)n[nH]2)c1 ZINC000189486502 1043155033 /nfs/dbraw/zinc/15/50/33/1043155033.db2.gz ILMSOESGCGLOMQ-UHFFFAOYSA-N 0 3 323.465 4.230 20 0 DIADHN Cc1cnc([C@H](C)CN[C@H](c2ccccc2)c2ccccn2)s1 ZINC000183198598 1043156530 /nfs/dbraw/zinc/15/65/30/1043156530.db2.gz CJGXMWOIYXMGDB-RDTXWAMCSA-N 0 3 323.465 4.329 20 0 DIADHN Cc1csc(CN[C@@H](C)CCc2cncc3ccccc32)n1 ZINC000866224060 1043162778 /nfs/dbraw/zinc/16/27/78/1043162778.db2.gz PJUDHGVGGXKHNK-ZDUSSCGKSA-N 0 3 311.454 4.111 20 0 DIADHN CCC[C@@H](NCc1ccc(-n2ccnc2)cc1)c1cc(C)ccn1 ZINC000866241919 1043164164 /nfs/dbraw/zinc/16/41/64/1043164164.db2.gz HLXDFOXBCFBHRY-LJQANCHMSA-N 0 3 320.440 4.207 20 0 DIADHN C[C@H](NCCOC1CCCC1)c1cc2c(ccnc2Cl)o1 ZINC000866249941 1043165363 /nfs/dbraw/zinc/16/53/63/1043165363.db2.gz RBWHCXSQMBZXFP-NSHDSACASA-N 0 3 308.809 4.091 20 0 DIADHN Clc1cnccc1CN1CCCC[C@@H]1Cc1ccccc1 ZINC000441478847 1043167364 /nfs/dbraw/zinc/16/73/64/1043167364.db2.gz UITIBKHRGDJFDJ-QGZVFWFLSA-N 0 3 300.833 4.332 20 0 DIADHN C[C@H](C[C@H](O)c1ccc(F)cc1)N[C@@H](C)c1ccc(F)cc1F ZINC000120998612 1043169272 /nfs/dbraw/zinc/16/92/72/1043169272.db2.gz UNGXEYBIJRRHMP-SOZUMNATSA-N 0 3 323.358 4.267 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2nnc(-c3cccc(Cl)c3)o2)[C@@H]1C ZINC000163013670 1043170236 /nfs/dbraw/zinc/17/02/36/1043170236.db2.gz KRLOHWMPNOLDSJ-JMSVASOKSA-N 0 3 319.836 4.304 20 0 DIADHN Cc1cnc(CN[C@H](C)CCc2cncc3ccccc32)s1 ZINC000866274511 1043171514 /nfs/dbraw/zinc/17/15/14/1043171514.db2.gz MXECHSFRPMGPRQ-CYBMUJFWSA-N 0 3 311.454 4.111 20 0 DIADHN Cc1cnc(CN[C@@H](C)CCc2cncc3ccccc32)s1 ZINC000866274512 1043171526 /nfs/dbraw/zinc/17/15/26/1043171526.db2.gz MXECHSFRPMGPRQ-ZDUSSCGKSA-N 0 3 311.454 4.111 20 0 DIADHN Cc1nnc(CNC(C)(C)CC2CCCCCC2)n1C1CC1 ZINC000190025819 1043171551 /nfs/dbraw/zinc/17/15/51/1043171551.db2.gz IITBZYZAAHPEHN-UHFFFAOYSA-N 0 3 304.482 4.150 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1NCc1nnc(-c2cccc(Cl)c2)o1 ZINC000163384854 1043175244 /nfs/dbraw/zinc/17/52/44/1043175244.db2.gz TUAVRDYBRQJDDW-DOMZBBRYSA-N 0 3 319.836 4.448 20 0 DIADHN CN(C)c1cccc(CN[C@H]2CCc3cccc4cccc2c43)n1 ZINC000866309920 1043177172 /nfs/dbraw/zinc/17/71/72/1043177172.db2.gz KOIFONWNEAOPCS-IBGZPJMESA-N 0 3 317.436 4.078 20 0 DIADHN Cc1cncc([C@H](C)N[C@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000184265456 1043180188 /nfs/dbraw/zinc/18/01/88/1043180188.db2.gz ATSWWSSGZCMGRG-OLZOCXBDSA-N 0 3 306.356 4.403 20 0 DIADHN Cc1cncc([C@@H](C)N[C@H](C)c2ccc(OC(F)F)cc2)c1 ZINC000184265439 1043180661 /nfs/dbraw/zinc/18/06/61/1043180661.db2.gz ATSWWSSGZCMGRG-CHWSQXEVSA-N 0 3 306.356 4.403 20 0 DIADHN Cc1cncc([C@@H](C)NCc2cccc(Br)c2)c1 ZINC000184328547 1043182115 /nfs/dbraw/zinc/18/21/15/1043182115.db2.gz QQICFBJGAUGWLF-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN COc1ccc2c(c1)CC[C@H]2N[C@H]1CCCc2nc(C)sc21 ZINC000866335438 1043182385 /nfs/dbraw/zinc/18/23/85/1043182385.db2.gz AGFRXEFIKJQPGS-WBVHZDCISA-N 0 3 314.454 4.115 20 0 DIADHN C[C@@H](N[C@@H](C)CC(F)(F)F)c1cc2c(ccnc2Cl)o1 ZINC000866341047 1043184429 /nfs/dbraw/zinc/18/44/29/1043184429.db2.gz NUUKPOROBGGAMB-JGVFFNPUSA-N 0 3 306.715 4.473 20 0 DIADHN CC[C@@H](NCCCOCc1ccccc1)c1nc(C)cs1 ZINC000184411961 1043185435 /nfs/dbraw/zinc/18/54/35/1043185435.db2.gz NWGSMMFATUPJPP-MRXNPFEDSA-N 0 3 304.459 4.099 20 0 DIADHN Cn1cc(CNCc2cccc(Cl)c2)c(-c2ccccc2)n1 ZINC000093052527 1043188463 /nfs/dbraw/zinc/18/84/63/1043188463.db2.gz BMCBMPUYZFIVFT-UHFFFAOYSA-N 0 3 311.816 4.030 20 0 DIADHN COc1cc([C@@H](C)NC2CC(F)(F)C2)ccc1SC(F)F ZINC000760037095 1043188669 /nfs/dbraw/zinc/18/86/69/1043188669.db2.gz HFCZANIDSXGGLG-MRVPVSSYSA-N 0 3 323.355 4.458 20 0 DIADHN CCc1noc(C)c1CN[C@H]1CCc2cccc3cccc1c32 ZINC000866365769 1043189025 /nfs/dbraw/zinc/18/90/25/1043189025.db2.gz XBYQFMAGCABEFJ-IBGZPJMESA-N 0 3 306.409 4.476 20 0 DIADHN CCC[C@@H](NCc1ccc(OCC)c(OC)c1)c1cccnc1 ZINC000185009336 1043193482 /nfs/dbraw/zinc/19/34/82/1043193482.db2.gz DQSFEHQZOUOLMD-QGZVFWFLSA-N 0 3 314.429 4.120 20 0 DIADHN CCc1ccc(CNCc2cn(C)nc2-c2ccccc2)s1 ZINC000093061842 1043196200 /nfs/dbraw/zinc/19/62/00/1043196200.db2.gz OGRCJZFLQIKKPK-UHFFFAOYSA-N 0 3 311.454 4.001 20 0 DIADHN CCSc1cccc(CNCc2ccc([C@H](C)O)cc2)c1 ZINC000185488302 1043201959 /nfs/dbraw/zinc/20/19/59/1043201959.db2.gz HKNXPKAYBIISEL-AWEZNQCLSA-N 0 3 301.455 4.142 20 0 DIADHN Cc1nc(-c2cccnc2)sc1[C@H](C)N[C@@H]1CCCC[C@@H]1F ZINC000866450528 1043203903 /nfs/dbraw/zinc/20/39/03/1043203903.db2.gz VTSAEFDTECZKLL-TUKIKUTGSA-N 0 3 319.449 4.445 20 0 DIADHN Fc1ccc([C@@H](NCC[C@@H]2CCCS2)c2ccccc2)nc1 ZINC000866479878 1043206337 /nfs/dbraw/zinc/20/63/37/1043206337.db2.gz ACQGBKQWTSEYDN-WMZOPIPTSA-N 0 3 316.445 4.185 20 0 DIADHN C[C@@H](N[C@@H](C)CC1CCC1)c1nc(Br)cs1 ZINC000866486444 1043210916 /nfs/dbraw/zinc/21/09/16/1043210916.db2.gz HRUKHNHIIDXGFI-DTWKUNHWSA-N 0 3 303.269 4.135 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000866486070 1043210892 /nfs/dbraw/zinc/21/08/92/1043210892.db2.gz XQFFLOAWLCAXPH-NEPJUHHUSA-N 0 3 314.360 4.393 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@H]1CCC[C@H](C)C1 ZINC000520525450 1043210782 /nfs/dbraw/zinc/21/07/82/1043210782.db2.gz DOKPFEAPADULSD-YJBOKZPZSA-N 0 3 316.489 4.260 20 0 DIADHN COC(C)(C)CCN[C@H](C)c1csc(-c2ccccc2)n1 ZINC000866504708 1043211922 /nfs/dbraw/zinc/21/19/22/1043211922.db2.gz BNHDUMPCUZQCED-CYBMUJFWSA-N 0 3 304.459 4.276 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@H](C)Cc2ccc(O)cc2)cs1 ZINC000186320087 1043221356 /nfs/dbraw/zinc/22/13/56/1043221356.db2.gz JRYUZNWGNIMCJU-OLZOCXBDSA-N 0 3 304.459 4.254 20 0 DIADHN C[C@@H](NCC(C)(C)C(C)(F)F)c1nc2c(s1)CCCC2 ZINC000866544439 1043222314 /nfs/dbraw/zinc/22/23/14/1043222314.db2.gz QZOPMDBIKVXIKK-SNVBAGLBSA-N 0 3 302.434 4.354 20 0 DIADHN CCc1ccc(CNCc2ccc3c(c2)COC(C)(C)O3)s1 ZINC000186365155 1043222348 /nfs/dbraw/zinc/22/23/48/1043222348.db2.gz UKADUDDANLXFCI-UHFFFAOYSA-N 0 3 317.454 4.245 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C2CC(OCc3ccccc3)C2)C1 ZINC000866576788 1043225672 /nfs/dbraw/zinc/22/56/72/1043225672.db2.gz BXNHLSHSPLHVPO-FHERZECASA-N 0 3 313.363 4.009 20 0 DIADHN C[C@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1ccncc1Cl ZINC000866611200 1043234307 /nfs/dbraw/zinc/23/43/07/1043234307.db2.gz ZMFKSGBNWKVAEG-UFGOTCBOSA-N 0 3 304.743 4.283 20 0 DIADHN CCc1cccc(C)c1NC(=O)CN1CCC[C@@H]1C(C)(C)CC ZINC000441629462 1043237221 /nfs/dbraw/zinc/23/72/21/1043237221.db2.gz DAHSFJNYEPOQEN-QGZVFWFLSA-N 0 3 316.489 4.397 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1nnc(-c2ccc(C)cc2)o1 ZINC000441629288 1043237886 /nfs/dbraw/zinc/23/78/86/1043237886.db2.gz AUXHBVNTNXUDRT-INIZCTEOSA-N 0 3 313.445 4.446 20 0 DIADHN Cc1c([C@@H](C)N[C@H]2CCSc3cc(C)c(C)cc32)cnn1C ZINC000186995874 1043239944 /nfs/dbraw/zinc/23/99/44/1043239944.db2.gz TVZOVAMHRBLXPW-DYVFJYSZSA-N 0 3 315.486 4.233 20 0 DIADHN CCc1ccccc1NC(=O)CN1CCC[C@@H]1C(C)(C)CC ZINC000441638540 1043241382 /nfs/dbraw/zinc/24/13/82/1043241382.db2.gz XTZKJIQLWQHRGK-QGZVFWFLSA-N 0 3 302.462 4.088 20 0 DIADHN CC[C@H](C[C@H](C)O)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000866635523 1043241867 /nfs/dbraw/zinc/24/18/67/1043241867.db2.gz RFTHBGCBEDVBDZ-GZBFAFLISA-N 0 3 304.459 4.010 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)NC[C@H]1CCN1C(C)(C)C ZINC000665164328 1043266543 /nfs/dbraw/zinc/26/65/43/1043266543.db2.gz VPRDRQJOAVSRAI-OAHLLOKOSA-N 0 3 317.477 4.113 20 0 DIADHN COc1cccc2c1CC[C@H]2N[C@@H](C)c1ccnc(Cl)c1 ZINC000121934406 1043267098 /nfs/dbraw/zinc/26/70/98/1043267098.db2.gz FFPOSHKZSIATRA-XHDPSFHLSA-N 0 3 302.805 4.082 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000487398872 1043270924 /nfs/dbraw/zinc/27/09/24/1043270924.db2.gz BLCOYFVJANILGN-YPMHNXCESA-N 0 3 321.371 4.025 20 0 DIADHN C[C@@H](N[C@H](CCc1ccccc1)c1ccccc1)c1ccnn1C ZINC000192755779 1043275909 /nfs/dbraw/zinc/27/59/09/1043275909.db2.gz TWVOHFKPYXGBGG-YLJYHZDGSA-N 0 3 319.452 4.445 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)c1cccc(-n2cccn2)c1 ZINC000192920981 1043276764 /nfs/dbraw/zinc/27/67/64/1043276764.db2.gz GAYQHBQOKBAFSH-KBXCAEBGSA-N 0 3 307.397 4.194 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccnc(F)c1C)CC2 ZINC000895128710 1043289520 /nfs/dbraw/zinc/28/95/20/1043289520.db2.gz BNNMPXYLRVCSRO-UHFFFAOYSA-N 0 3 323.415 4.169 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCc2cccc(N)c2)c1 ZINC000665233968 1043291234 /nfs/dbraw/zinc/29/12/34/1043291234.db2.gz UXOXAQLILHLSAL-GFCCVEGCSA-N 0 3 306.356 4.029 20 0 DIADHN C=Cn1cc(CN[C@H](c2ccc(Cl)cc2)[C@H]2C[C@H]2C)cn1 ZINC000194340928 1043291435 /nfs/dbraw/zinc/29/14/35/1043291435.db2.gz OGOIEKZTKWVCDH-OAUYIBNBSA-N 0 3 301.821 4.124 20 0 DIADHN C[C@@H](CCN1CCC(C(=O)C(F)(F)F)CC1)c1ccccc1 ZINC000194722011 1043294377 /nfs/dbraw/zinc/29/43/77/1043294377.db2.gz KZRUCFLECIGZMR-ZDUSSCGKSA-N 0 3 313.363 4.024 20 0 DIADHN COCc1cccc(CN[C@H](C)c2ccccc2SC)c1 ZINC000194852678 1043294569 /nfs/dbraw/zinc/29/45/69/1043294569.db2.gz YBVYBEVNEDRVBZ-CQSZACIVSA-N 0 3 301.455 4.406 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccccc2SC)cc1OC ZINC000194831341 1043294788 /nfs/dbraw/zinc/29/47/88/1043294788.db2.gz OVCQFJBXEZSHKN-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN CC[C@H](NCCC1(OC)CCC1)c1nc(C(F)(F)F)cs1 ZINC000339083502 1043295034 /nfs/dbraw/zinc/29/50/34/1043295034.db2.gz DZSDAUTXFHMBLQ-JTQLQIEISA-N 0 3 322.396 4.162 20 0 DIADHN FC(F)(F)CCN[C@@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000427693692 1043296483 /nfs/dbraw/zinc/29/64/83/1043296483.db2.gz HNXUNADJXFJYMJ-SNVBAGLBSA-N 0 3 312.257 4.020 20 0 DIADHN Oc1ccc(CN(Cc2ccc(C(F)F)nc2)CC2CC2)cc1 ZINC000639170690 1043315707 /nfs/dbraw/zinc/31/57/07/1043315707.db2.gz FUDNOMQRZUDXNM-UHFFFAOYSA-N 0 3 318.367 4.137 20 0 DIADHN O[C@H]1CCCC[C@@H]1N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000427962131 1043317232 /nfs/dbraw/zinc/31/72/32/1043317232.db2.gz ZTPUXWKCCZCPDQ-DCAQKATOSA-N 0 3 320.285 4.325 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NC[C@H]2CCC(C)(C)O2)c1 ZINC000427991843 1043320462 /nfs/dbraw/zinc/32/04/62/1043320462.db2.gz ZWYSDHCAMNGSDH-CHWSQXEVSA-N 0 3 313.388 4.205 20 0 DIADHN CCCN(Cc1cc(=O)c(OC)co1)[C@H](CC)c1ccccc1 ZINC000268527613 1043320808 /nfs/dbraw/zinc/32/08/08/1043320808.db2.gz HPRHHNYFKQDODT-QGZVFWFLSA-N 0 3 315.413 4.012 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccnc(N2CCCCC2)c1 ZINC000268765834 1043328606 /nfs/dbraw/zinc/32/86/06/1043328606.db2.gz JFDVEWZNEQLSGV-HNNXBMFYSA-N 0 3 315.486 4.293 20 0 DIADHN C[C@@H](NCc1ccncc1OCCC(C)(C)C)[C@H]1CC1(F)F ZINC000639184222 1043328874 /nfs/dbraw/zinc/32/88/74/1043328874.db2.gz LOSGODKPRLAELY-TZMCWYRMSA-N 0 3 312.404 4.030 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccc(N3CCCCC3)nc2)s1 ZINC000268788840 1043331293 /nfs/dbraw/zinc/33/12/93/1043331293.db2.gz TWEFOFVPRSJGDS-OAHLLOKOSA-N 0 3 315.486 4.293 20 0 DIADHN C[C@H](N[C@H]1CCc2ccccc21)c1cnn(Cc2ccccc2)c1 ZINC000268784044 1043331413 /nfs/dbraw/zinc/33/14/13/1043331413.db2.gz ZCANCESKHNTJKS-KKSFZXQISA-N 0 3 317.436 4.270 20 0 DIADHN CC(=O)Oc1ccc(CN(Cc2ccco2)C2CCCC2)cc1 ZINC000122841979 1043332881 /nfs/dbraw/zinc/33/28/81/1043332881.db2.gz VNHIAYJIKBPPCW-UHFFFAOYSA-N 0 3 313.397 4.150 20 0 DIADHN CCc1nc(C)c([C@@H](C)N[C@H](C)c2cccc(OC)c2)s1 ZINC000268805146 1043333631 /nfs/dbraw/zinc/33/36/31/1043333631.db2.gz RMIXYDTZFWUAKQ-VXGBXAGGSA-N 0 3 304.459 4.434 20 0 DIADHN CC[C@H](N[C@@H]1CCc2cc(N)ccc21)c1ccc(F)cc1F ZINC000665268318 1043339829 /nfs/dbraw/zinc/33/98/29/1043339829.db2.gz MERMFEOEEJPAAK-ZWKOTPCHSA-N 0 3 302.368 4.275 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@@H](C)c1cnn(C(C)C)c1 ZINC000268883442 1043340574 /nfs/dbraw/zinc/34/05/74/1043340574.db2.gz FRTMWKLJCYDRNF-IFXJQAMLSA-N 0 3 313.445 4.201 20 0 DIADHN CC[C@H](N[C@H](CO)c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000268917994 1043343165 /nfs/dbraw/zinc/34/31/65/1043343165.db2.gz OHNHALMPMFKAGE-DLBZAZTESA-N 0 3 321.367 4.062 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1CCc3c1cccc3O)CCC2 ZINC000268910595 1043343545 /nfs/dbraw/zinc/34/35/45/1043343545.db2.gz SZEWAXLANCOIOG-RBUKOAKNSA-N 0 3 309.409 4.055 20 0 DIADHN CC[C@H](N[C@@H]1CCc2cc(N)ccc21)c1cc(C)ccc1OC ZINC000665270228 1043343576 /nfs/dbraw/zinc/34/35/76/1043343576.db2.gz UKTBSMDBSNDCFQ-RBUKOAKNSA-N 0 3 310.441 4.314 20 0 DIADHN CC1(C)Cc2occc2[C@H](N[C@@H](CCCO)c2ccccc2)C1 ZINC000268949087 1043344185 /nfs/dbraw/zinc/34/41/85/1043344185.db2.gz BUWXBXZZJPATKA-ZWKOTPCHSA-N 0 3 313.441 4.397 20 0 DIADHN OCCC[C@@H](N[C@H]1CCSc2ccccc21)c1ccccc1 ZINC000268952531 1043345963 /nfs/dbraw/zinc/34/59/63/1043345963.db2.gz CPPTXUFCPFSSBM-MSOLQXFVSA-N 0 3 313.466 4.327 20 0 DIADHN c1cc(-c2nc(CN[C@@H]3CCOC4(CCC4)C3)cs2)cs1 ZINC000268983647 1043346485 /nfs/dbraw/zinc/34/64/85/1043346485.db2.gz SDDZLOODTOZHJY-CYBMUJFWSA-N 0 3 320.483 4.063 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(N)ccc21)c1ccc2ccccc2n1 ZINC000665270403 1043349164 /nfs/dbraw/zinc/34/91/64/1043349164.db2.gz XIVPKLHOIDYBKU-RNODOKPDSA-N 0 3 303.409 4.155 20 0 DIADHN OCCCN(Cc1ccccc1)Cc1ccc(Cl)c(Cl)c1 ZINC000521008613 1043350104 /nfs/dbraw/zinc/35/01/04/1043350104.db2.gz SZQNDQRLHBEKPQ-UHFFFAOYSA-N 0 3 324.251 4.378 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc([S@](C)=O)cc1)c1ccc(F)cc1 ZINC000269038277 1043353491 /nfs/dbraw/zinc/35/34/91/1043353491.db2.gz SSMUGGKNEBLUQU-VNMLXUOPSA-N 0 3 319.445 4.365 20 0 DIADHN Cc1cc([C@H](C)N[C@H](C)c2ccc(-n3cccn3)cc2)c(C)o1 ZINC000269066694 1043355197 /nfs/dbraw/zinc/35/51/97/1043355197.db2.gz YZWAZGOFFUJBIB-CABCVRRESA-N 0 3 309.413 4.494 20 0 DIADHN C[C@@H](NCCC(F)(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000269098761 1043357110 /nfs/dbraw/zinc/35/71/10/1043357110.db2.gz SQTHVSKQUGJTLZ-SECBINFHSA-N 0 3 315.257 4.231 20 0 DIADHN Fc1ccc2c(c1)[C@@H](Nc1ccnc3ccccc31)CCCO2 ZINC000521085428 1043358444 /nfs/dbraw/zinc/35/84/44/1043358444.db2.gz VQNFLYGJXOFSKI-KRWDZBQOSA-N 0 3 308.356 4.122 20 0 DIADHN Cc1ccccc1C(C)(C)[NH2+][C@@H](c1nnc[n-]1)C1CCCCC1 ZINC000639211858 1043358535 /nfs/dbraw/zinc/35/85/35/1043358535.db2.gz YXIWZNKNBMBCAP-QGZVFWFLSA-N 0 3 312.461 4.259 20 0 DIADHN Fc1ccc2c(c1)[C@H](Nc1ccnc3ccccc31)CCCO2 ZINC000521085429 1043358912 /nfs/dbraw/zinc/35/89/12/1043358912.db2.gz VQNFLYGJXOFSKI-QGZVFWFLSA-N 0 3 308.356 4.122 20 0 DIADHN CC[C@@H](NC(=O)C[C@H](N)c1ccccc1)c1ccc(Cl)s1 ZINC000269478702 1043368147 /nfs/dbraw/zinc/36/81/47/1043368147.db2.gz FFCXIGWWBFLHAL-QWHCGFSZSA-N 0 3 322.861 4.059 20 0 DIADHN COc1ccc(CNCc2ccc(C(F)(F)F)s2)c(C)c1 ZINC000521161721 1043368838 /nfs/dbraw/zinc/36/88/38/1043368838.db2.gz ZQVLWFYJZVHBAZ-UHFFFAOYSA-N 0 3 315.360 4.374 20 0 DIADHN FC(F)(F)c1ccccc1CN(C[C@H]1CCCCO1)C1CC1 ZINC000521184191 1043373312 /nfs/dbraw/zinc/37/33/12/1043373312.db2.gz ABBRCOIUZWDJAP-OAHLLOKOSA-N 0 3 313.363 4.239 20 0 DIADHN CCN(CCOc1ccccc1C(C)=O)Cc1ccc(C)cc1 ZINC000521222573 1043377209 /nfs/dbraw/zinc/37/72/09/1043377209.db2.gz JZRKBUOKTFOSCP-UHFFFAOYSA-N 0 3 311.425 4.099 20 0 DIADHN Cc1ccsc1CNCc1ccc(OC(F)F)c(F)c1 ZINC000270014038 1043379370 /nfs/dbraw/zinc/37/93/70/1043379370.db2.gz VBAUVBOLTLZCQV-UHFFFAOYSA-N 0 3 301.333 4.087 20 0 DIADHN CCc1ncc(CN[C@@H](c2ccc(F)c(C)c2)C2CC2)s1 ZINC000270276342 1043382565 /nfs/dbraw/zinc/38/25/65/1043382565.db2.gz LNCGOMVHFLMBNW-QGZVFWFLSA-N 0 3 304.434 4.394 20 0 DIADHN Cc1cc([C@H](NCc2cnn(C(C)(C)C)c2)C2CC2)ccc1F ZINC000270292961 1043383121 /nfs/dbraw/zinc/38/31/21/1043383121.db2.gz PJFXSEYZWIGGFF-GOSISDBHSA-N 0 3 315.436 4.327 20 0 DIADHN Cc1cc([C@H](NCc2cnn3ccccc23)C2CC2)ccc1F ZINC000270256088 1043383493 /nfs/dbraw/zinc/38/34/93/1043383493.db2.gz HGAGTJWLZOOYGX-LJQANCHMSA-N 0 3 309.388 4.023 20 0 DIADHN C[C@H](NCc1ccccc1C(F)(F)F)c1cccc(CO)c1 ZINC000270413286 1043385318 /nfs/dbraw/zinc/38/53/18/1043385318.db2.gz COBGCVVLBCXFSM-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN Cc1ccccc1-c1noc([C@@H](C)N2CC[C@H](C3CCC3)C2)n1 ZINC000665286138 1043386991 /nfs/dbraw/zinc/38/69/91/1043386991.db2.gz FYEKLIPDAYTIKA-ZBFHGGJFSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1ccccc1-c1noc([C@H](C)N2CC[C@@H](C3CCC3)C2)n1 ZINC000665286136 1043387578 /nfs/dbraw/zinc/38/75/78/1043387578.db2.gz FYEKLIPDAYTIKA-GOEBONIOSA-N 0 3 311.429 4.228 20 0 DIADHN Cc1cc(C)c(NC(=O)[C@H](C)N2CC[C@H](C3CCC3)C2)c(C)c1 ZINC000665286030 1043388614 /nfs/dbraw/zinc/38/86/14/1043388614.db2.gz CNWDKYGQLRZUOS-WMZOPIPTSA-N 0 3 314.473 4.061 20 0 DIADHN C[C@@H](N[C@@H](C)c1cccc(OC(F)F)c1)c1cccc(CO)c1 ZINC000270544296 1043391326 /nfs/dbraw/zinc/39/13/26/1043391326.db2.gz YIHYONUJUKTSJR-OLZOCXBDSA-N 0 3 321.367 4.192 20 0 DIADHN C[C@H](C[C@H](O)c1cccs1)N[C@@H](C)c1cc(F)ccc1F ZINC000270667038 1043393658 /nfs/dbraw/zinc/39/36/58/1043393658.db2.gz VFJLRGNYSXFPDZ-ZETOZRRWSA-N 0 3 311.397 4.189 20 0 DIADHN CCN(Cc1ccc(OC(F)F)cc1)[C@H](C)c1cccnc1 ZINC000270693827 1043394634 /nfs/dbraw/zinc/39/46/34/1043394634.db2.gz YNAYEGPTMPGUJC-CYBMUJFWSA-N 0 3 306.356 4.266 20 0 DIADHN CCN(CCc1cccs1)Cc1cnc([C@H]2CCCO2)s1 ZINC000271097867 1043401427 /nfs/dbraw/zinc/40/14/27/1043401427.db2.gz NEWSCBPPAXUWAW-OAHLLOKOSA-N 0 3 322.499 4.121 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@@H]2CN[C@H](C)c2cscn2)cc1 ZINC000271113216 1043402781 /nfs/dbraw/zinc/40/27/81/1043402781.db2.gz HREQXTXUKTWJFM-KYJSFNMBSA-N 0 3 316.470 4.270 20 0 DIADHN C[C@H](CN(C)Cc1cnc([C@@H]2CCCO2)s1)c1ccccc1 ZINC000271177643 1043405488 /nfs/dbraw/zinc/40/54/88/1043405488.db2.gz XHTSMURWMCEJHO-PBHICJAKSA-N 0 3 316.470 4.230 20 0 DIADHN COCCC1(CN[C@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000925278252 1043405780 /nfs/dbraw/zinc/40/57/80/1043405780.db2.gz QRFINLXESOCONV-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN CC[C@H](N[C@@H](C)c1sc(CCOC)nc1C)c1ccccc1 ZINC000271286845 1043408527 /nfs/dbraw/zinc/40/85/27/1043408527.db2.gz DKQJAGMIAGSUNJ-BBRMVZONSA-N 0 3 318.486 4.442 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000871870123 1043410208 /nfs/dbraw/zinc/41/02/08/1043410208.db2.gz IGOLLWUDENLRNC-ZIAGYGMSSA-N 0 3 316.832 4.326 20 0 DIADHN C[C@@H](NCCc1ccccc1C(F)(F)F)c1nccs1 ZINC000271406466 1043414499 /nfs/dbraw/zinc/41/44/99/1043414499.db2.gz MKEKIQULCPPKAS-SNVBAGLBSA-N 0 3 300.349 4.055 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1cccc(N(C)C)c1 ZINC000442785865 1043419334 /nfs/dbraw/zinc/41/93/34/1043419334.db2.gz SNGDNHFKRUBEFN-AWEZNQCLSA-N 0 3 300.471 4.325 20 0 DIADHN C[C@H](N[C@H]1CCCc2cn[nH]c21)c1cc(Cl)ccc1Cl ZINC000271446013 1043419476 /nfs/dbraw/zinc/41/94/76/1043419476.db2.gz CYGGKSIFRDVDEU-XPTSAGLGSA-N 0 3 310.228 4.445 20 0 DIADHN CC[C@H]1CC[C@H](C)N1Cc1nnc(-c2ccccc2Cl)o1 ZINC000271439962 1043420155 /nfs/dbraw/zinc/42/01/55/1043420155.db2.gz BRLUNKRZLHSZEW-RYUDHWBXSA-N 0 3 305.809 4.153 20 0 DIADHN Cc1noc(C)c1[C@H](C)NC[C@@H](C)Oc1cccc(Cl)c1 ZINC000925469076 1043422058 /nfs/dbraw/zinc/42/20/58/1043422058.db2.gz AQRVCZWIZJABDH-MNOVXSKESA-N 0 3 308.809 4.063 20 0 DIADHN CC[C@@H](N[C@H](C)c1cn[nH]c1)c1ccc2cc(OC)ccc2c1 ZINC000271478341 1043424143 /nfs/dbraw/zinc/42/41/43/1043424143.db2.gz JILHDOHJKISQKE-BFUOFWGJSA-N 0 3 309.413 4.373 20 0 DIADHN Cc1ccncc1[C@@H](C)N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000271478257 1043424637 /nfs/dbraw/zinc/42/46/37/1043424637.db2.gz SENGNJBYFUIKQT-LSDHHAIUSA-N 0 3 323.440 4.150 20 0 DIADHN CCc1ccc([C@H](CC)NCc2cccc(N3CCC3=O)c2)cc1 ZINC000442797395 1043425921 /nfs/dbraw/zinc/42/59/21/1043425921.db2.gz HSQYNBTWLUZEFM-FQEVSTJZSA-N 0 3 322.452 4.227 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2nc(-c3ccccc3)cs2)CCO1 ZINC000271500255 1043427387 /nfs/dbraw/zinc/42/73/87/1043427387.db2.gz KKNRQRFCXQCSKF-NVXWUHKLSA-N 0 3 316.470 4.103 20 0 DIADHN CC(C)COC[C@H](N[C@@H]1CCO[C@H](C(C)(C)C)C1)c1ccco1 ZINC000872032133 1043427901 /nfs/dbraw/zinc/42/79/01/1043427901.db2.gz XMJVVNJPARSIOE-RYRKJORJSA-N 0 3 323.477 4.177 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@H](C)c1cncs1 ZINC000271510020 1043428414 /nfs/dbraw/zinc/42/84/14/1043428414.db2.gz OOYJQJYQJRGFLI-HFAKWTLXSA-N 0 3 310.850 4.223 20 0 DIADHN COc1ccccc1SC[C@H](C)CN[C@H](C)c1cscn1 ZINC000271514042 1043428650 /nfs/dbraw/zinc/42/86/50/1043428650.db2.gz TWJDBCXMTAYDNS-CHWSQXEVSA-N 0 3 322.499 4.231 20 0 DIADHN C[C@@H](N[C@H]1CC(C)(C)Sc2ccccc21)c1cnn(C)c1 ZINC000872038356 1043431037 /nfs/dbraw/zinc/43/10/37/1043431037.db2.gz KRXBWAABFJDRLW-DOMZBBRYSA-N 0 3 301.459 4.086 20 0 DIADHN C[C@H]1CC[C@@H](NCc2cccc(N3CCC3=O)c2)c2ccccc21 ZINC000442818232 1043432538 /nfs/dbraw/zinc/43/25/38/1043432538.db2.gz ZQFPTNNZRATWBC-MGPUTAFESA-N 0 3 320.436 4.152 20 0 DIADHN Cc1c2cc(Cl)ccc2sc1CN[C@H](C)c1ccnn1C ZINC000442858539 1043434753 /nfs/dbraw/zinc/43/47/53/1043434753.db2.gz SCOXDQNOBFEBPY-LLVKDONJSA-N 0 3 319.861 4.447 20 0 DIADHN CCOCCC1(CN[C@H](c2ccc(C)cc2)c2ccccn2)CC1 ZINC000925597571 1043436194 /nfs/dbraw/zinc/43/61/94/1043436194.db2.gz HPHOAQVLWVIPHF-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN CCOc1ccc([C@@H](CC)N[C@@H](C)c2cn[nH]c2)cc1OCC ZINC000271554575 1043436252 /nfs/dbraw/zinc/43/62/52/1043436252.db2.gz SMIREGWTPKCPQH-XJKSGUPXSA-N 0 3 317.433 4.009 20 0 DIADHN COCCC[C@@H](NCc1cscc1C)c1ccc(F)cc1 ZINC000442861108 1043437024 /nfs/dbraw/zinc/43/70/24/1043437024.db2.gz MFQUYOAFCSSHBG-QGZVFWFLSA-N 0 3 307.434 4.453 20 0 DIADHN CC[C@H](N[C@H](C)c1cnn(CC)c1)c1ccc(OC(F)F)cc1 ZINC000271551673 1043437191 /nfs/dbraw/zinc/43/71/91/1043437191.db2.gz UXIKUNRSEWMMCD-WBMJQRKESA-N 0 3 323.387 4.306 20 0 DIADHN COCc1nc(CNC2CC(C)(C)C2)c(-c2ccccc2)s1 ZINC000442864149 1043438207 /nfs/dbraw/zinc/43/82/07/1043438207.db2.gz YWJWHLWPURMCHT-UHFFFAOYSA-N 0 3 316.470 4.235 20 0 DIADHN CN(Cc1cccc(SCc2ccccc2)c1)[C@H]1CCOC1 ZINC000558099177 1043438570 /nfs/dbraw/zinc/43/85/70/1043438570.db2.gz ZBMLEGYSAVGRDH-SFHVURJKSA-N 0 3 313.466 4.200 20 0 DIADHN COc1ccccc1-c1ccc([C@H](C)N[C@H](C)c2cn[nH]c2)cc1 ZINC000271591985 1043441901 /nfs/dbraw/zinc/44/19/01/1043441901.db2.gz YMJHDNPCIWJTSC-LSDHHAIUSA-N 0 3 321.424 4.497 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000925643995 1043442164 /nfs/dbraw/zinc/44/21/64/1043442164.db2.gz MPVMHJVGCVPQLT-AUUYWEPGSA-N 0 3 310.441 4.111 20 0 DIADHN CC[C@H](N[C@@H](C)c1cnccc1C)c1ccc(OC)c(OC)c1 ZINC000271606840 1043442335 /nfs/dbraw/zinc/44/23/35/1043442335.db2.gz VGLRTZIVLDRJNL-YOEHRIQHSA-N 0 3 314.429 4.209 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(C(C)(C)O)cc1 ZINC000925643998 1043443032 /nfs/dbraw/zinc/44/30/32/1043443032.db2.gz MPVMHJVGCVPQLT-KUHUBIRLSA-N 0 3 310.441 4.111 20 0 DIADHN CC[C@H](CCC(F)(F)F)NCc1cc(COC(C)(C)C)on1 ZINC000872062466 1043443539 /nfs/dbraw/zinc/44/35/39/1043443539.db2.gz HLLNUOUCIHZVRK-LLVKDONJSA-N 0 3 322.371 4.200 20 0 DIADHN C[C@H](Cc1c(Cl)cccc1Cl)N[C@H](C)c1cn(C)cn1 ZINC000925646733 1043445261 /nfs/dbraw/zinc/44/52/61/1043445261.db2.gz VMLGMKVIJKBEFA-GHMZBOCLSA-N 0 3 312.244 4.009 20 0 DIADHN CCOc1ccc([C@H](C)NCc2cnccc2C)cc1OCC ZINC000271681576 1043447505 /nfs/dbraw/zinc/44/75/05/1043447505.db2.gz KAQCYPZVIZNMBV-HNNXBMFYSA-N 0 3 314.429 4.038 20 0 DIADHN COc1cccc(C2(NCc3cc(C)nc4ccccc34)CC2)c1 ZINC000271687796 1043450190 /nfs/dbraw/zinc/45/01/90/1043450190.db2.gz HTWYTLHTLIOUQA-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN C[C@H](N[C@@H]1CCCc2cc(F)ccc21)c1ccnn1C1CCC1 ZINC000925672522 1043450554 /nfs/dbraw/zinc/45/05/54/1043450554.db2.gz VIAYNEOZQXXHJG-SCLBCKFNSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1cncc(CN2CCC(Cc3ccc(F)c(F)c3)CC2)c1 ZINC000442893063 1043451180 /nfs/dbraw/zinc/45/11/80/1043451180.db2.gz RCQIGLFBBWPNII-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1nc(C(C)C)ccc1CN[C@H]1CCCc2c(O)cccc21 ZINC000339127902 1043455013 /nfs/dbraw/zinc/45/50/13/1043455013.db2.gz MFRXXZVRDOSNIE-IBGZPJMESA-N 0 3 310.441 4.386 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2NCc1cc(-c2ccccc2)cs1 ZINC000283133021 1043460057 /nfs/dbraw/zinc/46/00/57/1043460057.db2.gz ZZQFZADIXQZUNV-SFHVURJKSA-N 0 3 323.465 4.316 20 0 DIADHN Cc1cc(CN2CC(C)(C)O[C@@H]3CCC[C@H]32)cc2cccnc12 ZINC000442963644 1043461396 /nfs/dbraw/zinc/46/13/96/1043461396.db2.gz GQNBDFOJRJOUEG-QZTJIDSGSA-N 0 3 310.441 4.075 20 0 DIADHN c1coc(C2=CCCN(Cc3c[nH]nc3-c3cccs3)C2)c1 ZINC000348637752 1043462522 /nfs/dbraw/zinc/46/25/22/1043462522.db2.gz UUJCFILVRZRNMA-UHFFFAOYSA-N 0 3 311.410 4.021 20 0 DIADHN Cc1cccnc1[C@H]1CCC[C@H](NCc2ncc(Cl)s2)C1 ZINC000872114135 1043463376 /nfs/dbraw/zinc/46/33/76/1043463376.db2.gz VTHYKOICHNBQAP-STQMWFEESA-N 0 3 321.877 4.316 20 0 DIADHN Clc1cnc(CN[C@@H]2CCC[C@@H](c3cccnc3)C2)s1 ZINC000872112048 1043463401 /nfs/dbraw/zinc/46/34/01/1043463401.db2.gz HEPOPSAEKXGRTD-DGCLKSJQSA-N 0 3 307.850 4.008 20 0 DIADHN Cc1ccc([O-])c(C[NH2+]C[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000442993632 1043464605 /nfs/dbraw/zinc/46/46/05/1043464605.db2.gz OWNNHGKOQZUKNQ-LLVKDONJSA-N 0 3 324.346 4.008 20 0 DIADHN CC1(C)CN(Cc2cccc(-c3cccnc3)c2)[C@@H]2CCC[C@H]2O1 ZINC000442969973 1043465029 /nfs/dbraw/zinc/46/50/29/1043465029.db2.gz ZMDPGOBROVLYNG-WOJBJXKFSA-N 0 3 322.452 4.281 20 0 DIADHN Cc1cc(C(F)(F)F)ccc1CN[C@H](CCO)c1ccccc1 ZINC000443003688 1043466264 /nfs/dbraw/zinc/46/62/64/1043466264.db2.gz CBGDSRFWUQXOJZ-QGZVFWFLSA-N 0 3 323.358 4.227 20 0 DIADHN Cc1cccc(C[C@H](C)NCc2nc(-c3ccncc3)cs2)c1 ZINC000872120828 1043466476 /nfs/dbraw/zinc/46/64/76/1043466476.db2.gz HCHXRGWWMLYPBS-HNNXBMFYSA-N 0 3 323.465 4.234 20 0 DIADHN CCC[C@H](NCc1cc(N)ccn1)c1cccc(C(F)(F)F)c1 ZINC000925770323 1043466682 /nfs/dbraw/zinc/46/66/82/1043466682.db2.gz GAIGLDPJIGFCPK-INIZCTEOSA-N 0 3 323.362 4.314 20 0 DIADHN C[C@H](CSC(C)(C)C)NCc1nc(-c2ccncc2)cs1 ZINC000872121855 1043466889 /nfs/dbraw/zinc/46/68/89/1043466889.db2.gz HIQFORZFMVHOBW-GFCCVEGCSA-N 0 3 321.515 4.215 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ccc3oc(=O)[nH]c3c2)c2ccccc21 ZINC000872122369 1043467131 /nfs/dbraw/zinc/46/71/31/1043467131.db2.gz FEKRZBKDLIZAHW-MLGOLLRUSA-N 0 3 308.381 4.262 20 0 DIADHN Nc1ccnc(CN[C@H]2CCCc3c4ccccc4sc32)c1 ZINC000925772488 1043468469 /nfs/dbraw/zinc/46/84/69/1043468469.db2.gz SJWKFAHHRRLEKP-INIZCTEOSA-N 0 3 309.438 4.046 20 0 DIADHN CC(C)[C@@H](NCc1cccc2ncccc12)c1ccc(F)cn1 ZINC000872124579 1043468645 /nfs/dbraw/zinc/46/86/45/1043468645.db2.gz PESDZPJMLYHETI-LJQANCHMSA-N 0 3 309.388 4.256 20 0 DIADHN Cc1noc([C@@H]2CCCN2[C@H]2CC[C@](C)(c3ccccc3)C2)n1 ZINC000348815557 1043469325 /nfs/dbraw/zinc/46/93/25/1043469325.db2.gz KBJMQLKCTIGWSV-LNLFQRSKSA-N 0 3 311.429 4.025 20 0 DIADHN CC(C)(C)[C@@H](NCc1cc(N)ccn1)c1cc2ccccc2o1 ZINC000925771964 1043469675 /nfs/dbraw/zinc/46/96/75/1043469675.db2.gz PMBJTOLNXAKLFK-SFHVURJKSA-N 0 3 309.413 4.287 20 0 DIADHN Cc1nc2ccc(CN3CCC=C(c4ccncc4)C3)cc2s1 ZINC000348838207 1043472472 /nfs/dbraw/zinc/47/24/72/1043472472.db2.gz NVZBPNYJIWTQAI-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Fc1cccc(C[C@@H](N[C@H]2C[C@@H]2C(F)F)c2cccc(F)c2)c1 ZINC000558257687 1043475155 /nfs/dbraw/zinc/47/51/55/1043475155.db2.gz NGJJNTODQOWGSE-BBWFWOEESA-N 0 3 323.333 4.492 20 0 DIADHN CC[C@H](NC[C@H](C)Oc1ccc(C(C)C)cc1)c1ccn(C)n1 ZINC000558259349 1043475802 /nfs/dbraw/zinc/47/58/02/1043475802.db2.gz UHJBWGKFULJOSU-YJBOKZPZSA-N 0 3 315.461 4.052 20 0 DIADHN CC(C)c1ccc(CCN(C)Cc2cnc(C(C)C)nc2)cc1 ZINC000443070021 1043476530 /nfs/dbraw/zinc/47/65/30/1043476530.db2.gz PYSNDQVNRWDBTR-UHFFFAOYSA-N 0 3 311.473 4.398 20 0 DIADHN CC(C)c1ncc(CN(C)[C@H](C)CCc2cccc(F)c2)cn1 ZINC000443067587 1043476723 /nfs/dbraw/zinc/47/67/23/1043476723.db2.gz MEDZPAWDWDXRSN-OAHLLOKOSA-N 0 3 315.436 4.192 20 0 DIADHN CCN(Cc1ccncc1)Cc1cccc(-c2cccnc2)c1 ZINC000348925793 1043481546 /nfs/dbraw/zinc/48/15/46/1043481546.db2.gz YEPDWXVUOJYIQX-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC[C@@H]2c2ccncc2)c1 ZINC000348935864 1043482432 /nfs/dbraw/zinc/48/24/32/1043482432.db2.gz QHYXUNYCGNILAS-MRXNPFEDSA-N 0 3 322.330 4.317 20 0 DIADHN C[C@H](c1cccs1)N(CCn1cccn1)Cc1ccccc1 ZINC000521818800 1043485131 /nfs/dbraw/zinc/48/51/31/1043485131.db2.gz LKQWZDFEEMQVKA-MRXNPFEDSA-N 0 3 311.454 4.208 20 0 DIADHN CCOC(=O)[C@@H]1CC[C@@H](NCc2ccsc2)c2ccccc21 ZINC000283439900 1043486239 /nfs/dbraw/zinc/48/62/39/1043486239.db2.gz TUDJEJSIIIFOJE-IAGOWNOFSA-N 0 3 315.438 4.020 20 0 DIADHN CC(C)CN(Cc1nc(Cc2ccccc2)no1)C1CCCC1 ZINC000521823432 1043486877 /nfs/dbraw/zinc/48/68/77/1043486877.db2.gz VKZSVNLRGXHLAC-UHFFFAOYSA-N 0 3 313.445 4.061 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1Cc1nc(-c2cccc3ccccc32)no1 ZINC000443102488 1043487624 /nfs/dbraw/zinc/48/76/24/1043487624.db2.gz OSEZIMSCDOIRDH-ZIAGYGMSSA-N 0 3 307.397 4.263 20 0 DIADHN COc1cc(N[C@H](C)c2ccc(CN)nc2C)cc2ccccc21 ZINC000925893149 1043489107 /nfs/dbraw/zinc/48/91/07/1043489107.db2.gz LSRBKDWSJNOKCE-CQSZACIVSA-N 0 3 321.424 4.184 20 0 DIADHN COc1ccccc1/C=C\CNCc1ccc(Cl)cc1OC ZINC000521844157 1043489638 /nfs/dbraw/zinc/48/96/38/1043489638.db2.gz RXZKIHONZWVSAL-ALCCZGGFSA-N 0 3 317.816 4.160 20 0 DIADHN CCc1ccc(CN2CCCCC[C@H]2c2c(C)n[nH]c2C)cn1 ZINC000349123988 1043494149 /nfs/dbraw/zinc/49/41/49/1043494149.db2.gz ALGYOPBQQYSMRD-SFHVURJKSA-N 0 3 312.461 4.101 20 0 DIADHN CC(C)N(CCSCc1ccccc1)Cc1cccnc1 ZINC000349276964 1043499850 /nfs/dbraw/zinc/49/98/50/1043499850.db2.gz LFNJOOREGRNIDQ-UHFFFAOYSA-N 0 3 300.471 4.225 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCOc3c(F)cccc31)[C@@H](C)C2 ZINC000558404742 1043500473 /nfs/dbraw/zinc/50/04/73/1043500473.db2.gz GXSGJMDEXDCADT-BKTGTZMESA-N 0 3 311.400 4.481 20 0 DIADHN CCN(Cc1cccn1C)Cc1cccc(-c2ccncc2)c1 ZINC000349332922 1043502705 /nfs/dbraw/zinc/50/27/05/1043502705.db2.gz PLVOHCCTSIUMKL-UHFFFAOYSA-N 0 3 305.425 4.109 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@@H]2N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000349322112 1043502906 /nfs/dbraw/zinc/50/29/06/1043502906.db2.gz LTHVHACIHMNIBQ-ZBFHGGJFSA-N 0 3 312.461 4.273 20 0 DIADHN C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)cn1 ZINC000558410365 1043503103 /nfs/dbraw/zinc/50/31/03/1043503103.db2.gz UADCSSMSUXKHCZ-TZMCWYRMSA-N 0 3 304.796 4.252 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N3CC[C@H](C4CC4)C3)o2)cc1C ZINC000367027794 1043505682 /nfs/dbraw/zinc/50/56/82/1043505682.db2.gz HBEDPEFFBIMNAV-PBHICJAKSA-N 0 3 311.429 4.146 20 0 DIADHN COC[C@H](N[C@H]1CS[C@H](C(C)C)C1)c1cccc(Cl)c1 ZINC000558426453 1043509075 /nfs/dbraw/zinc/50/90/75/1043509075.db2.gz LHDPSFUSERQFOF-PMPSAXMXSA-N 0 3 313.894 4.147 20 0 DIADHN Cc1cc(F)ccc1-c1noc([C@H](C)N2[C@H](C)CC[C@@H]2C)n1 ZINC000443213918 1043510233 /nfs/dbraw/zinc/51/02/33/1043510233.db2.gz SBSYXWMMOYLUST-XQQFMLRXSA-N 0 3 303.381 4.118 20 0 DIADHN C[C@@H]1CN(Cc2ccc(OCC(F)(F)F)cc2)CCC1(F)F ZINC000558467151 1043513007 /nfs/dbraw/zinc/51/30/07/1043513007.db2.gz UFWUXJDQCZUHMP-LLVKDONJSA-N 0 3 323.305 4.105 20 0 DIADHN CCOc1ccc(CN(CC)Cc2cccs2)cc1OC ZINC000349565377 1043513299 /nfs/dbraw/zinc/51/32/99/1043513299.db2.gz XKHFSMRZPMAMBN-UHFFFAOYSA-N 0 3 305.443 4.178 20 0 DIADHN Cc1cc(F)ccc1CN[C@H](c1cccs1)C(C)(C)CO ZINC000558466617 1043513862 /nfs/dbraw/zinc/51/38/62/1043513862.db2.gz KLWXRYILIGBIGD-MRXNPFEDSA-N 0 3 307.434 4.045 20 0 DIADHN c1nnc([C@H](NCc2ccc(C3CC3)cc2)C2CCCCC2)[nH]1 ZINC000521955779 1043514417 /nfs/dbraw/zinc/51/44/17/1043514417.db2.gz OCVUUQBZNRCLGN-GOSISDBHSA-N 0 3 310.445 4.093 20 0 DIADHN Fc1cccc2c1OCCC[C@H]2NCc1cccc2ncccc12 ZINC000873103763 1043516078 /nfs/dbraw/zinc/51/60/78/1043516078.db2.gz WVNCMRKJCSIKLL-LJQANCHMSA-N 0 3 322.383 4.377 20 0 DIADHN CCC1CCC(N(C)Cc2cnc([C@H]3CCCO3)s2)CC1 ZINC000349679732 1043516390 /nfs/dbraw/zinc/51/63/90/1043516390.db2.gz NJRYPPJNTPZHDC-ZBCRRDGASA-N 0 3 308.491 4.395 20 0 DIADHN Cc1[nH]nc2ncc(CN(C)Cc3ccc4ccccc4c3)cc12 ZINC000349618248 1043516778 /nfs/dbraw/zinc/51/67/78/1043516778.db2.gz VJYYURSESLXYIP-UHFFFAOYSA-N 0 3 316.408 4.052 20 0 DIADHN Fc1cccc2c1OCCC[C@@H]2NCc1cccc2ncccc12 ZINC000873103745 1043517365 /nfs/dbraw/zinc/51/73/65/1043517365.db2.gz WVNCMRKJCSIKLL-IBGZPJMESA-N 0 3 322.383 4.377 20 0 DIADHN CCC1CCC(N(C)Cc2cnc([C@@H]3CCCO3)s2)CC1 ZINC000349679730 1043517556 /nfs/dbraw/zinc/51/75/56/1043517556.db2.gz NJRYPPJNTPZHDC-XUJLQICISA-N 0 3 308.491 4.395 20 0 DIADHN Fc1ccccc1[C@@H]1CCN(Cc2ccc(N3CCCC3)o2)C1 ZINC001137068039 1043518927 /nfs/dbraw/zinc/51/89/27/1043518927.db2.gz SKECXNVSCVMIKK-OAHLLOKOSA-N 0 3 314.404 4.008 20 0 DIADHN C[C@H](NC[C@H](c1ccco1)N1CCCC1)c1csc(Cl)c1 ZINC000191109346 1043519335 /nfs/dbraw/zinc/51/93/35/1043519335.db2.gz AHJPWPRYSOTUOW-GXTWGEPZSA-N 0 3 324.877 4.482 20 0 DIADHN COCC(C)(C)CCNCc1csc(-c2ccsc2)n1 ZINC000873153356 1043519813 /nfs/dbraw/zinc/51/98/13/1043519813.db2.gz OPGQDEAILZRONY-UHFFFAOYSA-N 0 3 310.488 4.024 20 0 DIADHN CCCCN(C(=O)c1cccc(CN2CCCC2)c1)[C@H](C)CC ZINC000521996754 1043521988 /nfs/dbraw/zinc/52/19/88/1043521988.db2.gz RGACHWBQJQRMRM-QGZVFWFLSA-N 0 3 316.489 4.323 20 0 DIADHN Cc1n[nH]c(C)c1CN1Cc2ccccc2[C@@H](c2ccccc2)C1 ZINC000349792286 1043524511 /nfs/dbraw/zinc/52/45/11/1043524511.db2.gz VOQILAAILJUUIE-OAQYLSRUSA-N 0 3 317.436 4.174 20 0 DIADHN COC1CCN(Cc2ccc(-c3cccc(F)c3C)o2)CC1 ZINC000349787157 1043524725 /nfs/dbraw/zinc/52/47/25/1043524725.db2.gz GJPGBYGJHSOJHC-UHFFFAOYSA-N 0 3 303.377 4.005 20 0 DIADHN Cc1ccc(-c2ncc(CNC3(C)CC(F)(F)C3)s2)cc1 ZINC000873198064 1043526025 /nfs/dbraw/zinc/52/60/25/1043526025.db2.gz ZJEUPWCLZFGFSF-UHFFFAOYSA-N 0 3 308.397 4.396 20 0 DIADHN CC1(NCc2ccc(Oc3ccc(F)cc3)nc2)CC(F)(F)C1 ZINC000873197977 1043527245 /nfs/dbraw/zinc/52/72/45/1043527245.db2.gz XILMJUOIZHHQOI-UHFFFAOYSA-N 0 3 322.330 4.290 20 0 DIADHN Clc1ccc(-c2nnc(CN[C@@H]3CC4CCC3CC4)o2)cc1 ZINC000522057419 1043529313 /nfs/dbraw/zinc/52/93/13/1043529313.db2.gz CYRXBSZXMKTEFE-KOHJWAIASA-N 0 3 317.820 4.058 20 0 DIADHN Nc1cc(F)cc(CN[C@H]2CCSc3ccc(Cl)cc32)c1 ZINC000873287789 1043532005 /nfs/dbraw/zinc/53/20/05/1043532005.db2.gz KSTFEVNQHLMBAJ-HNNXBMFYSA-N 0 3 322.836 4.388 20 0 DIADHN Cc1ccc([C@H](NCC[C@@H]2CCO[C@@H](C)C2)c2ccccn2)cc1 ZINC000926133294 1043534085 /nfs/dbraw/zinc/53/40/85/1043534085.db2.gz BSENFTDTDOESNH-UEXGIBASSA-N 0 3 324.468 4.274 20 0 DIADHN FCc1ccc(CN2CCSC[C@H]2c2ccccc2)cc1 ZINC000777586414 1043534966 /nfs/dbraw/zinc/53/49/66/1043534966.db2.gz MTQDJAKNNZDFFP-SFHVURJKSA-N 0 3 301.430 4.446 20 0 DIADHN CC[C@H]1CCCN1CC(=O)N(Cc1ccccc1)c1ccccc1 ZINC000522083638 1043535425 /nfs/dbraw/zinc/53/54/25/1043535425.db2.gz HHNBVIAIYKMFOU-IBGZPJMESA-N 0 3 322.452 4.094 20 0 DIADHN CCC[C@@H](NC[C@@H](C)c1nccs1)c1ncccc1Cl ZINC000926160830 1043535877 /nfs/dbraw/zinc/53/58/77/1043535877.db2.gz KOYRVLSXUBOUKN-DGCLKSJQSA-N 0 3 309.866 4.426 20 0 DIADHN Cc1ccc([C@@H](NC[C@H](C)c2nccs2)c2cccnc2)cc1 ZINC000926162854 1043537101 /nfs/dbraw/zinc/53/71/01/1043537101.db2.gz YMTXRBSSRCSAID-MAUKXSAKSA-N 0 3 323.465 4.329 20 0 DIADHN COCCC(C)(C)CN[C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000926165043 1043537730 /nfs/dbraw/zinc/53/77/30/1043537730.db2.gz AWNPDBAUCUFIML-IBGZPJMESA-N 0 3 312.457 4.132 20 0 DIADHN Cc1ccc([C@H](NC[C@H](C)c2nccs2)c2cccnc2)cc1 ZINC000926162856 1043538553 /nfs/dbraw/zinc/53/85/53/1043538553.db2.gz YMTXRBSSRCSAID-YJBOKZPZSA-N 0 3 323.465 4.329 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCO[C@@H](C3CC3)C2)c2ccccn2)c1 ZINC000926182931 1043539891 /nfs/dbraw/zinc/53/98/91/1043539891.db2.gz LCHCJZSTBWBTFZ-NRSPTQNISA-N 0 3 322.452 4.027 20 0 DIADHN Cc1cccc([C@H](N[C@@H]2CCO[C@H](C3CC3)C2)c2ccccn2)c1 ZINC000926182925 1043539922 /nfs/dbraw/zinc/53/99/22/1043539922.db2.gz LCHCJZSTBWBTFZ-GIVPXCGWSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@H](N[C@H]1CCCc2sccc21)c1cnn(C2CCC2)c1 ZINC000926190097 1043542025 /nfs/dbraw/zinc/54/20/25/1043542025.db2.gz RSVLUSCNSAVOEV-LRDDRELGSA-N 0 3 301.459 4.398 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN(Cc2cccs2)C(C)C)o1 ZINC000350303517 1043541935 /nfs/dbraw/zinc/54/19/35/1043541935.db2.gz VXJHXSZRZHNMNK-UHFFFAOYSA-N 0 3 315.442 4.450 20 0 DIADHN CC[C@H](O)CN(Cc1ccc(SC)s1)Cc1ccccc1 ZINC000558567348 1043542637 /nfs/dbraw/zinc/54/26/37/1043542637.db2.gz UXEHBYNHHUGTHU-HNNXBMFYSA-N 0 3 321.511 4.243 20 0 DIADHN c1sc2ccccc2c1CN1CCC(Oc2ccccn2)CC1 ZINC000350562889 1043546986 /nfs/dbraw/zinc/54/69/86/1043546986.db2.gz XEPHIYVAJQMARP-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)N[C@@H](C)c1ccnn1CC1CCC1 ZINC000926236740 1043550681 /nfs/dbraw/zinc/55/06/81/1043550681.db2.gz OUTQAOLQIORDCP-JSGCOSHPSA-N 0 3 317.399 4.311 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H](C)c1ccnn1CC1CCC1 ZINC000926236456 1043550715 /nfs/dbraw/zinc/55/07/15/1043550715.db2.gz OUTQAOLQIORDCP-GXTWGEPZSA-N 0 3 317.399 4.311 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H]1CCc2c1nccc2Cl ZINC000926234534 1043550991 /nfs/dbraw/zinc/55/09/91/1043550991.db2.gz ATYMKVGPPAYMLS-VXGBXAGGSA-N 0 3 306.759 4.289 20 0 DIADHN CCOC1CC(CCN[C@@H](c2cccc(C)c2)c2ccccn2)C1 ZINC000926258809 1043554212 /nfs/dbraw/zinc/55/42/12/1043554212.db2.gz IIURKYYEVYZHCE-XTXLOEGASA-N 0 3 324.468 4.274 20 0 DIADHN CCOC1CC(CCN[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC000926261422 1043554376 /nfs/dbraw/zinc/55/43/76/1043554376.db2.gz VNCCNJRFYXDUDZ-CNBHCMJISA-N 0 3 324.468 4.274 20 0 DIADHN C[C@@H](NCc1ccnc(Cl)c1)c1ccc2c(c1)CCCC2 ZINC000179031152 1043556484 /nfs/dbraw/zinc/55/64/84/1043556484.db2.gz VOPHFTBIQPOEDD-CYBMUJFWSA-N 0 3 300.833 4.465 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)CC1CCC(C)(C)CC1 ZINC000558613294 1043556656 /nfs/dbraw/zinc/55/66/56/1043556656.db2.gz GDYYHEUWMRPKKX-UHFFFAOYSA-N 0 3 302.462 4.293 20 0 DIADHN CCO[C@H](CN[C@@H]1CCc2c1nccc2Cl)c1ccccc1 ZINC000926297777 1043559154 /nfs/dbraw/zinc/55/91/54/1043559154.db2.gz LNLJNNIXFIHUIT-IAGOWNOFSA-N 0 3 316.832 4.090 20 0 DIADHN Cc1ccc(CN2CCC([C@H](O)c3ccccc3)CC2)cc1F ZINC000124629561 1043559751 /nfs/dbraw/zinc/55/97/51/1043559751.db2.gz ZGHKEDZKXTVVMP-HXUWFJFHSA-N 0 3 313.416 4.080 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2cc(O)cc(F)c2)cc1 ZINC000926288994 1043560893 /nfs/dbraw/zinc/56/08/93/1043560893.db2.gz VUKTXWFAOWVQGZ-CYBMUJFWSA-N 0 3 302.393 4.204 20 0 DIADHN CC(C)c1ccc([C@H](NCCC[C@H](C)O)c2cccnc2)cc1 ZINC000926316286 1043561696 /nfs/dbraw/zinc/56/16/96/1043561696.db2.gz UWNGILNNOPUNEG-JXFKEZNVSA-N 0 3 312.457 4.045 20 0 DIADHN COc1cccc(-c2csc(CNC3(C)CC=CC3)n2)c1 ZINC000895203162 1043562302 /nfs/dbraw/zinc/56/23/02/1043562302.db2.gz WNDCEPOCMCHSFT-UHFFFAOYSA-N 0 3 300.427 4.017 20 0 DIADHN C[C@@H](NCc1ccc2ncccc2c1)c1cnn(C2CCC2)c1 ZINC000926331533 1043564594 /nfs/dbraw/zinc/56/45/94/1043564594.db2.gz GDRBAHSUJMDZRB-CQSZACIVSA-N 0 3 306.413 4.007 20 0 DIADHN C[C@H](N[C@@H]1CCCc2ccc(F)cc21)c1cnn(C2CCC2)c1 ZINC000926336096 1043565998 /nfs/dbraw/zinc/56/59/98/1043565998.db2.gz XHZDDNFKEBFDMZ-ORAYPTAESA-N 0 3 313.420 4.475 20 0 DIADHN CCCN(C)[C@H](CN[C@@H](C)c1ncco1)c1ccc(Cl)cc1 ZINC000926399044 1043571312 /nfs/dbraw/zinc/57/13/12/1043571312.db2.gz TZDRWCYJKOZUAB-XJKSGUPXSA-N 0 3 321.852 4.062 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CCCc3c(Cl)ccnc32)CC1 ZINC000926408552 1043573388 /nfs/dbraw/zinc/57/33/88/1043573388.db2.gz LNKQWPWVDXZBTN-NSHDSACASA-N 0 3 304.743 4.045 20 0 DIADHN Fc1ccc(C2CC(NCc3noc4ccccc43)C2)c(F)c1 ZINC000895232701 1043576614 /nfs/dbraw/zinc/57/66/14/1043576614.db2.gz BZQCCUAZLZUPPP-UHFFFAOYSA-N 0 3 314.335 4.142 20 0 DIADHN Cc1oc2ccccc2c1[C@H](C)NCc1ccc2cnn(C)c2c1 ZINC000926458386 1043581123 /nfs/dbraw/zinc/58/11/23/1043581123.db2.gz SVXWKWFEHQSRPM-ZDUSSCGKSA-N 0 3 319.408 4.479 20 0 DIADHN C[C@H]1CCN(Cc2ccc(C(=O)OC(C)(C)C)s2)C[C@H]1C ZINC001204768630 1043582149 /nfs/dbraw/zinc/58/21/49/1043582149.db2.gz LQCXJUMRKUUEJA-QWHCGFSZSA-N 0 3 309.475 4.181 20 0 DIADHN COCC(C)(C)CN[C@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926472301 1043582814 /nfs/dbraw/zinc/58/28/14/1043582814.db2.gz XGLAUOAKEBULCW-SECBINFHSA-N 0 3 324.774 4.077 20 0 DIADHN COCC(C)(C)CN[C@@H](C)c1ncc(C(F)(F)F)cc1Cl ZINC000926472302 1043583366 /nfs/dbraw/zinc/58/33/66/1043583366.db2.gz XGLAUOAKEBULCW-VIFPVBQESA-N 0 3 324.774 4.077 20 0 DIADHN COC1(CCN[C@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000926483187 1043584366 /nfs/dbraw/zinc/58/43/66/1043584366.db2.gz UOXRYWFRIGVAFD-LJQANCHMSA-N 0 3 310.441 4.028 20 0 DIADHN COCC1(CCN[C@H](c2cccc(C)c2)c2ccccn2)CCC1 ZINC000926482284 1043584986 /nfs/dbraw/zinc/58/49/86/1043584986.db2.gz LEYHBBAAXLGQNB-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN C[C@@H](N[C@H]1CCCc2c(F)cccc21)c1cnn(C2CCC2)c1 ZINC000926497279 1043588257 /nfs/dbraw/zinc/58/82/57/1043588257.db2.gz VLMXEIFUJXECOA-YJYMSZOUSA-N 0 3 313.420 4.475 20 0 DIADHN C[C@H](N[C@H]1CCCc2c(F)cccc21)c1cnn(C2CCC2)c1 ZINC000926497277 1043588419 /nfs/dbraw/zinc/58/84/19/1043588419.db2.gz VLMXEIFUJXECOA-DJJJIMSYSA-N 0 3 313.420 4.475 20 0 DIADHN Cc1ncsc1CCN[C@@H](c1ccc(C)cc1)c1cccnc1 ZINC000926516498 1043590941 /nfs/dbraw/zinc/59/09/41/1043590941.db2.gz VYYMXDALNNIMOU-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN CC(C)(NC[C@@H]1CC[C@@H](C2CC2)O1)c1cccc(Cl)c1F ZINC001307707942 1043593449 /nfs/dbraw/zinc/59/34/49/1043593449.db2.gz CUXQTUBGFAJWOF-WFASDCNBSA-N 0 3 311.828 4.261 20 0 DIADHN CC[C@@H](NC(=O)c1cccc(CN2CCCC2)c1)c1ccccc1 ZINC000353265771 1043595714 /nfs/dbraw/zinc/59/57/14/1043595714.db2.gz YOXAVKZYUNBUQP-HXUWFJFHSA-N 0 3 322.452 4.164 20 0 DIADHN CCn1nc(C)c(CN2CCC[C@H]2c2ccc(C)s2)c1C ZINC000353330027 1043599018 /nfs/dbraw/zinc/59/90/18/1043599018.db2.gz JLJSNZYIZNSTQG-INIZCTEOSA-N 0 3 303.475 4.227 20 0 DIADHN Cc1ncsc1-c1ccc(CN2CCC(F)(F)CC2)cc1 ZINC000825749373 1043598823 /nfs/dbraw/zinc/59/88/23/1043598823.db2.gz RVKCFYYSNQWGQB-UHFFFAOYSA-N 0 3 308.397 4.350 20 0 DIADHN C[C@@H]([NH2+][C@@H]1CCO[C@@H](c2cccs2)C1)c1cc([O-])cc(F)c1 ZINC000926563318 1043599731 /nfs/dbraw/zinc/59/97/31/1043599731.db2.gz FPRQFAHPGMDSAS-DJSGYFEHSA-N 0 3 321.417 4.164 20 0 DIADHN Cc1ccc(CN[C@@H](CN(C)C)c2ccc(Cl)cc2)cc1F ZINC000558790304 1043602446 /nfs/dbraw/zinc/60/24/46/1043602446.db2.gz MEFATIUUIYFAFX-SFHVURJKSA-N 0 3 320.839 4.180 20 0 DIADHN C[C@H](CN[C@H]1COCc2cccc(Cl)c21)CC(F)(F)F ZINC000926584353 1043602716 /nfs/dbraw/zinc/60/27/16/1043602716.db2.gz LNOCAYWKZWJCIM-CABZTGNLSA-N 0 3 307.743 4.089 20 0 DIADHN Cc1cc(C)cc([C@H](NCCCC2(O)CCC2)c2cccnc2)c1 ZINC000926603883 1043606493 /nfs/dbraw/zinc/60/64/93/1043606493.db2.gz KLLOKKHXPCBLSQ-HXUWFJFHSA-N 0 3 324.468 4.073 20 0 DIADHN CO[C@H](CN(C)[C@@H](C)c1ccc(F)c(F)c1)c1ccccc1 ZINC000558831631 1043612549 /nfs/dbraw/zinc/61/25/49/1043612549.db2.gz OMAJLXIPNJTQNH-SCLBCKFNSA-N 0 3 305.368 4.345 20 0 DIADHN CC(C)c1ccc([C@H](N[C@@H]2C[C@H]3CC[C@@H]2O3)c2cccnc2)cc1 ZINC000926648831 1043612571 /nfs/dbraw/zinc/61/25/71/1043612571.db2.gz YTWBBWVOSPUYRH-CGXNFDGLSA-N 0 3 322.452 4.204 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)C2CCC(C)CC2)s1 ZINC000353542913 1043615330 /nfs/dbraw/zinc/61/53/30/1043615330.db2.gz WXBYLBDLSPRNSL-UHFFFAOYSA-N 0 3 306.397 4.481 20 0 DIADHN CO[C@@]1(C)CCCN(Cc2nc(C)c(C(C)(C)C)s2)CC1 ZINC000930289781 1043615512 /nfs/dbraw/zinc/61/55/12/1043615512.db2.gz UWSCSPIEWOHGQY-KRWDZBQOSA-N 0 3 310.507 4.140 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccc(F)cc3)C[C@H]2C)c(C)s1 ZINC000443606207 1043616110 /nfs/dbraw/zinc/61/61/10/1043616110.db2.gz SHSOYGFMGBQPKC-IAQYHMDHSA-N 0 3 304.434 4.277 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c(C)s1 ZINC000443611003 1043617424 /nfs/dbraw/zinc/61/74/24/1043617424.db2.gz SMNIRZZQAUMFLM-CQSZACIVSA-N 0 3 306.862 4.403 20 0 DIADHN COCc1csc(CN[C@@H](C)c2cc3ccccc3[nH]2)c1 ZINC000926701583 1043618188 /nfs/dbraw/zinc/61/81/88/1043618188.db2.gz ARRDGJKYYDQOIH-LBPRGKRZSA-N 0 3 300.427 4.227 20 0 DIADHN CCc1ccc([C@H](NC[C@]2(C)CC2(F)F)c2cccnc2)cc1 ZINC000926702303 1043618508 /nfs/dbraw/zinc/61/85/08/1043618508.db2.gz AUTHCRNDLGWGES-ROUUACIJSA-N 0 3 316.395 4.368 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1csc(C(F)(F)F)c1 ZINC000926696967 1043618705 /nfs/dbraw/zinc/61/87/05/1043618705.db2.gz VWGTWISRRCCNRB-GXSJLCMTSA-N 0 3 305.365 4.129 20 0 DIADHN CCN(Cc1nc(C)sc1C)[C@@H](C)Cc1ccc(OC)cc1 ZINC000443611783 1043618988 /nfs/dbraw/zinc/61/89/88/1043618988.db2.gz BHTBDFSVTWZGOC-ZDUSSCGKSA-N 0 3 318.486 4.222 20 0 DIADHN C[C@@H](c1c(F)cccc1F)N(C)CCCN(C)Cc1ccco1 ZINC000353575524 1043621200 /nfs/dbraw/zinc/62/12/00/1043621200.db2.gz OKDXOQRSBXSIDN-AWEZNQCLSA-N 0 3 322.399 4.073 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000443620915 1043622086 /nfs/dbraw/zinc/62/20/86/1043622086.db2.gz UJPZOMWGSLSEGM-AAEUAGOBSA-N 0 3 306.356 4.240 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3ccc(C)cc32)cc1O ZINC000353608795 1043622519 /nfs/dbraw/zinc/62/25/19/1043622519.db2.gz AZVOHGFQFVZPJK-RDTXWAMCSA-N 0 3 311.425 4.437 20 0 DIADHN CCN(Cc1coc(-c2c(F)cccc2F)n1)[C@@H](C)C(C)C ZINC000443626657 1043624450 /nfs/dbraw/zinc/62/44/50/1043624450.db2.gz OMDJSCBBTXDSEV-LBPRGKRZSA-N 0 3 308.372 4.486 20 0 DIADHN CCN(Cc1coc(-c2c(F)cccc2F)n1)[C@H](C)C(C)C ZINC000443626652 1043625632 /nfs/dbraw/zinc/62/56/32/1043625632.db2.gz OMDJSCBBTXDSEV-GFCCVEGCSA-N 0 3 308.372 4.486 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1coc(-c2c(F)cccc2F)n1 ZINC000443628630 1043627380 /nfs/dbraw/zinc/62/73/80/1043627380.db2.gz NESQXJYEFSNXID-OAHLLOKOSA-N 0 3 306.356 4.240 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccccc3)[C@H](C)C2)c(C)s1 ZINC000443633587 1043629712 /nfs/dbraw/zinc/62/97/12/1043629712.db2.gz VPYIBVJZPCVZNF-CXAGYDPISA-N 0 3 300.471 4.386 20 0 DIADHN Fc1cc(Br)cc(F)c1CNC[C@H]1CC=CCC1 ZINC001648961060 1043631351 /nfs/dbraw/zinc/63/13/51/1043631351.db2.gz XESYFWKYPYYBDX-JTQLQIEISA-N 0 3 316.189 4.173 20 0 DIADHN Cc1scc(CN[C@@H](C)c2ccc(C(C)(C)O)cc2)c1C ZINC000926781182 1043632075 /nfs/dbraw/zinc/63/20/75/1043632075.db2.gz DXVMEZNNRCODEL-ZDUSSCGKSA-N 0 3 303.471 4.443 20 0 DIADHN C[C@@H](N[C@@H]1CC=CC[C@H]1C(F)(F)F)c1cnn(C2CCC2)c1 ZINC000926809061 1043635699 /nfs/dbraw/zinc/63/56/99/1043635699.db2.gz LXBPFDITLYMBED-KCPJHIHWSA-N 0 3 313.367 4.156 20 0 DIADHN Cc1nc(C)c(CN2C[C@H](C)C[C@H]2c2cccc(F)c2)s1 ZINC000353718409 1043636760 /nfs/dbraw/zinc/63/67/60/1043636760.db2.gz WGEKCIDNEDRQFO-BZNIZROVSA-N 0 3 304.434 4.482 20 0 DIADHN COc1cc([C@H](C)N[C@@H]2CCCn3nccc32)cc2ccccc21 ZINC000926815598 1043637404 /nfs/dbraw/zinc/63/74/04/1043637404.db2.gz GPZJRTMPKCJBJY-KBXCAEBGSA-N 0 3 321.424 4.231 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc(C(C)(C)O)cc1)c1cc2cnccc2o1 ZINC000926812910 1043638717 /nfs/dbraw/zinc/63/87/17/1043638717.db2.gz PLGBPRBNBYQSBY-KBPBESRZSA-N 0 3 324.424 4.467 20 0 DIADHN C[C@H]1CCN(Cc2nnc(-c3cccc(Cl)c3)o2)C[C@H](C)C1 ZINC000443644906 1043639194 /nfs/dbraw/zinc/63/91/94/1043639194.db2.gz ZIANCGZMMABUIP-QWHCGFSZSA-N 0 3 319.836 4.258 20 0 DIADHN Cc1ccc([C@H](NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c2cccnc2)cc1 ZINC000926825340 1043639987 /nfs/dbraw/zinc/63/99/87/1043639987.db2.gz LWJOPXITNBWNNO-HZEGPAAWSA-N 0 3 322.452 4.027 20 0 DIADHN C[C@@H](NCc1cccc2[nH]ccc21)c1ccnn1CC1CCC1 ZINC000926818732 1043640474 /nfs/dbraw/zinc/64/04/74/1043640474.db2.gz ZUIZXMFJWIKAOF-CQSZACIVSA-N 0 3 308.429 4.015 20 0 DIADHN Cc1noc2ncc(CN[C@@H]3CCCc4c(C)cccc43)cc12 ZINC000895340288 1043642310 /nfs/dbraw/zinc/64/23/10/1043642310.db2.gz NZCDDSYGQWLCAG-GOSISDBHSA-N 0 3 307.397 4.007 20 0 DIADHN c1cc(CN(Cc2cnn3ccccc23)C2CCCCC2)co1 ZINC000353782594 1043642726 /nfs/dbraw/zinc/64/27/26/1043642726.db2.gz UWBFGHABKSDWMT-UHFFFAOYSA-N 0 3 309.413 4.262 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(C[S@@](C)=O)cc2)c(C)s1 ZINC000353794107 1043643453 /nfs/dbraw/zinc/64/34/53/1043643453.db2.gz KVUWMJDYYLRDRO-LRTDBIEQSA-N 0 3 321.511 4.094 20 0 DIADHN CC[C@H](N[C@H]1c2ccccc2C[C@@H]1O)c1cccc(Cl)c1 ZINC000166558823 1043643502 /nfs/dbraw/zinc/64/35/02/1043643502.db2.gz ZDKRNAZPWPPJDH-BZSNNMDCSA-N 0 3 301.817 4.039 20 0 DIADHN C[C@H](N[C@H]1CCC[C@]12CCCO2)c1cc(-c2ccccc2)no1 ZINC000926863935 1043644058 /nfs/dbraw/zinc/64/40/58/1043644058.db2.gz DSSLJMHVOLJWFZ-JVPBZIDWSA-N 0 3 312.413 4.094 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN1CC[C@@H](C)C[C@@H](C)C1 ZINC000443647756 1043644262 /nfs/dbraw/zinc/64/42/62/1043644262.db2.gz GCVDQSZYPNCUNS-HZPDHXFCSA-N 0 3 316.489 4.118 20 0 DIADHN CCc1cc2oc(=O)cc(CN3[C@H](C)CC[C@H]3C)c2cc1Cl ZINC001168024613 1043645809 /nfs/dbraw/zinc/64/58/09/1043645809.db2.gz CBBYWHBELVSLEM-VXGBXAGGSA-N 0 3 319.832 4.382 20 0 DIADHN CSCC[C@@H](C)N(C)Cc1cnn(-c2ccc(Cl)cc2)c1 ZINC000353824355 1043648555 /nfs/dbraw/zinc/64/85/55/1043648555.db2.gz WSEHIBHHGWBICT-CYBMUJFWSA-N 0 3 323.893 4.099 20 0 DIADHN Clc1cccc2c1[C@H](NCC1C[C@H]3CC[C@@H](C1)S3)COC2 ZINC000926880481 1043648784 /nfs/dbraw/zinc/64/87/84/1043648784.db2.gz SFTGFACUHLPQTJ-ZBVLDHOZSA-N 0 3 323.889 4.175 20 0 DIADHN Cc1oc2ccccc2c1[C@@H](C)NCc1ccc2c(c1)ncn2C ZINC000926884731 1043649022 /nfs/dbraw/zinc/64/90/22/1043649022.db2.gz IUQKUSDCSYHHIT-CYBMUJFWSA-N 0 3 319.408 4.479 20 0 DIADHN C[C@@H](c1nc(-c2cccs2)no1)N1CC[C@@H](C)C[C@H](C)C1 ZINC000443662681 1043650801 /nfs/dbraw/zinc/65/08/01/1043650801.db2.gz VOKFGBIGMKOILH-AGIUHOORSA-N 0 3 305.447 4.227 20 0 DIADHN CN(Cc1ccc(Cl)cc1)Cc1ccccc1-n1cccn1 ZINC001137099371 1043656126 /nfs/dbraw/zinc/65/61/26/1043656126.db2.gz LAWKZAAEICUJKQ-UHFFFAOYSA-N 0 3 311.816 4.158 20 0 DIADHN Fc1ccccc1[C@@H]1CCCN1Cc1ccccc1-n1cccn1 ZINC001137099296 1043656163 /nfs/dbraw/zinc/65/61/63/1043656163.db2.gz HPSZZAYFHRHWLO-FQEVSTJZSA-N 0 3 321.399 4.349 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1nccc2ccccc21 ZINC000926918114 1043656088 /nfs/dbraw/zinc/65/60/88/1043656088.db2.gz GQDFXWIMNVNENX-HUUCEWRRSA-N 0 3 318.420 4.409 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@H](C)O2)c1nccc2ccccc21 ZINC000926918116 1043656215 /nfs/dbraw/zinc/65/62/15/1043656215.db2.gz GQDFXWIMNVNENX-LSDHHAIUSA-N 0 3 318.420 4.409 20 0 DIADHN C[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1cnc2ccsc2c1 ZINC000926924093 1043658033 /nfs/dbraw/zinc/65/80/33/1043658033.db2.gz WHXGLXKHCZXVEZ-OLZOCXBDSA-N 0 3 324.449 4.471 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1c(C)nn(C(C)(C)C)c1C ZINC000353924806 1043659339 /nfs/dbraw/zinc/65/93/39/1043659339.db2.gz KVDOVGAAINDBSV-ZDUSSCGKSA-N 0 3 311.539 4.218 20 0 DIADHN CCc1ccc2ccccc2c1CN[C@@H]1CCCn2nccc21 ZINC000926933613 1043659658 /nfs/dbraw/zinc/65/96/58/1043659658.db2.gz WZNGYQYMLCHGEG-LJQANCHMSA-N 0 3 305.425 4.223 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H](c2cccnc2)C1)c1c(F)cncc1F ZINC000353933254 1043661975 /nfs/dbraw/zinc/66/19/75/1043661975.db2.gz MUPCRZMJKPQFRI-GUTXKFCHSA-N 0 3 317.383 4.132 20 0 DIADHN Cc1ccc([C@@H](NC[C@@H]2C[C@H]2C(F)(F)F)c2ccccn2)cc1 ZINC000926945914 1043662250 /nfs/dbraw/zinc/66/22/50/1043662250.db2.gz RGMSZQZNRYSMAB-ZMSDIMECSA-N 0 3 320.358 4.267 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1cnc(CC(C)C)s1 ZINC000353933982 1043662949 /nfs/dbraw/zinc/66/29/49/1043662949.db2.gz OWENKHWLBHPCJI-CYBMUJFWSA-N 0 3 300.537 4.305 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000926972780 1043663250 /nfs/dbraw/zinc/66/32/50/1043663250.db2.gz HTXXZHGSSWGWOP-FABXCBLPSA-N 0 3 320.383 4.245 20 0 DIADHN CCn1cc(CN[C@H](CC2CC2)c2ccc(Cl)cc2)cn1 ZINC000353947787 1043664707 /nfs/dbraw/zinc/66/47/07/1043664707.db2.gz PEUPICAGCMMLFC-QGZVFWFLSA-N 0 3 303.837 4.187 20 0 DIADHN C[C@H](NC[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1cc(O)ccc1F ZINC000926975350 1043665863 /nfs/dbraw/zinc/66/58/63/1043665863.db2.gz CDECVVYYPPQWGD-UXEPBGEESA-N 0 3 321.342 4.231 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000926974479 1043666050 /nfs/dbraw/zinc/66/60/50/1043666050.db2.gz SOCWPWIYAZQNPI-KBRIMQKVSA-N 0 3 316.395 4.344 20 0 DIADHN FC(F)(F)C1(CN[C@H]2CCCOc3cc(Cl)ccc32)CC1 ZINC000353977532 1043669410 /nfs/dbraw/zinc/66/94/10/1043669410.db2.gz ZWNYRVJMOCOQDF-LBPRGKRZSA-N 0 3 319.754 4.486 20 0 DIADHN CC(=O)Nc1ccc(CN2CC3(CCC3)[C@H]2c2ccccc2)cc1 ZINC000353988274 1043670075 /nfs/dbraw/zinc/67/00/75/1043670075.db2.gz UMBDXSOLTKGYPS-HXUWFJFHSA-N 0 3 320.436 4.372 20 0 DIADHN Cc1cccc([C@H](N[C@H]2CCS[C@@H](C)C2)c2ccccn2)c1 ZINC000927035357 1043670760 /nfs/dbraw/zinc/67/07/60/1043670760.db2.gz VLDZYTFGZHPWRZ-IEZWGBDMSA-N 0 3 312.482 4.353 20 0 DIADHN OCC1([C@@H](NCc2ccc3occc3c2)c2ccccc2)CCC1 ZINC000354007418 1043671887 /nfs/dbraw/zinc/67/18/87/1043671887.db2.gz DNHFKHPHKVKHFX-FQEVSTJZSA-N 0 3 321.420 4.426 20 0 DIADHN COc1cccc2c1CCC[C@H]2N[C@H]1CCCc2cccnc21 ZINC000927063610 1043677756 /nfs/dbraw/zinc/67/77/56/1043677756.db2.gz HXOLEWVVGRXYOE-MSOLQXFVSA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccc2c(c1)[C@H](N[C@H]1CCCc3cccnc31)CCC2 ZINC000927062773 1043678347 /nfs/dbraw/zinc/67/83/47/1043678347.db2.gz MCLHPBXISQEKHN-MOPGFXCFSA-N 0 3 308.425 4.135 20 0 DIADHN COc1ccc([C@@H](N[C@@H](C)c2cncs2)C2CCC2)cc1 ZINC000927066373 1043679284 /nfs/dbraw/zinc/67/92/84/1043679284.db2.gz MDQPXGMBJOBCBG-SJCJKPOMSA-N 0 3 302.443 4.344 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cnc2ccsc2c1)c1cnn(C)c1 ZINC000927066289 1043679920 /nfs/dbraw/zinc/67/99/20/1043679920.db2.gz AYDAROWLKLNHQZ-SJKOYZFVSA-N 0 3 314.458 4.078 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H]2CCCc3cccnc32)cc1C ZINC000927064687 1043679936 /nfs/dbraw/zinc/67/99/36/1043679936.db2.gz YAVDUKCJZMCAPM-QAPCUYQASA-N 0 3 310.441 4.435 20 0 DIADHN Cc1ccc(NC(=O)c2cc(Cl)ccc2F)c(CN(C)C)c1 ZINC000113912117 1043680621 /nfs/dbraw/zinc/68/06/21/1043680621.db2.gz LHTIJCBAUIXAPJ-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN C[C@H](NCc1csc(C(F)(F)F)n1)C1CCC(F)CC1 ZINC000895436941 1043682818 /nfs/dbraw/zinc/68/28/18/1043682818.db2.gz FZDQSDFPONXPAT-IDKOKCKLSA-N 0 3 310.360 4.168 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CNCC1=CCCC1 ZINC000895435937 1043682969 /nfs/dbraw/zinc/68/29/69/1043682969.db2.gz LVOSTCJHVFNTOC-UHFFFAOYSA-N 0 3 308.447 4.295 20 0 DIADHN C[C@@H](NCc1csc(C(F)(F)F)n1)C1CCC(F)CC1 ZINC000895436943 1043683213 /nfs/dbraw/zinc/68/32/13/1043683213.db2.gz FZDQSDFPONXPAT-XNWIYYODSA-N 0 3 310.360 4.168 20 0 DIADHN CN(Cc1nc2cc(Cl)ccc2n1C)[C@H]1CCC(C)(C)C1 ZINC001307741225 1043684315 /nfs/dbraw/zinc/68/43/15/1043684315.db2.gz FZMHMNGGWVUQOE-ZDUSSCGKSA-N 0 3 305.853 4.237 20 0 DIADHN C[C@@H](c1cccs1)N(Cc1ccccc1)C[C@H]1CCCO1 ZINC000559067084 1043684967 /nfs/dbraw/zinc/68/49/67/1043684967.db2.gz YUBOQNZTCLXYEY-DOTOQJQBSA-N 0 3 301.455 4.490 20 0 DIADHN OCCC[C@H](N[C@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000925128269 1043686683 /nfs/dbraw/zinc/68/66/83/1043686683.db2.gz XVKSHRDQDMIJDG-ICSRJNTNSA-N 0 3 310.441 4.026 20 0 DIADHN Cc1ccc([C@H](NCc2cc(C3CC3)nn2C)C2CCC2)cc1 ZINC000927102131 1043687509 /nfs/dbraw/zinc/68/75/09/1043687509.db2.gz QTQWYWCPWIDPIC-HXUWFJFHSA-N 0 3 309.457 4.237 20 0 DIADHN OCCC[C@@H](N[C@@H](c1ccccn1)C1CCC1)c1ccccc1 ZINC000925128275 1043687798 /nfs/dbraw/zinc/68/77/98/1043687798.db2.gz XVKSHRDQDMIJDG-UYAOXDASSA-N 0 3 310.441 4.026 20 0 DIADHN Clc1nccc2cc(CN3CC[C@@H]4CSC[C@@H]4C3)sc21 ZINC000895460921 1043690164 /nfs/dbraw/zinc/69/01/64/1043690164.db2.gz IOANBFQSOVYHAP-NEPJUHHUSA-N 0 3 324.902 4.135 20 0 DIADHN Cc1ccc([C@@H](C)Nc2ccc(N3CCN(C)CC3)cc2)c(C)c1 ZINC000777614873 1043690885 /nfs/dbraw/zinc/69/08/85/1043690885.db2.gz MATXKABXSHLCCZ-GOSISDBHSA-N 0 3 323.484 4.228 20 0 DIADHN CCn1cncc1CN[C@H](c1ccc(CC(C)C)cc1)C1CC1 ZINC000417895262 1043691400 /nfs/dbraw/zinc/69/14/00/1043691400.db2.gz UNYMJSISZISHPA-HXUWFJFHSA-N 0 3 311.473 4.342 20 0 DIADHN CCc1cccnc1[C@H](C)NC[C@@]1(c2ccccc2)CCCO1 ZINC000927115500 1043691448 /nfs/dbraw/zinc/69/14/48/1043691448.db2.gz PPKNYKZFOUEVFM-OXJNMPFZSA-N 0 3 310.441 4.001 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@]1(c2ccccc2)CCCO1 ZINC000927115501 1043691634 /nfs/dbraw/zinc/69/16/34/1043691634.db2.gz PPKNYKZFOUEVFM-OXQOHEQNSA-N 0 3 310.441 4.001 20 0 DIADHN COc1ccc(CCN(Cc2cc(C)on2)CC(C)(C)C)cc1 ZINC000522418908 1043692012 /nfs/dbraw/zinc/69/20/12/1043692012.db2.gz RUTIHFKEFIBUSM-UHFFFAOYSA-N 0 3 316.445 4.082 20 0 DIADHN Clc1cccc(C2CCN([C@H]3CCCOC3)CC2)c1Cl ZINC000643407849 1043692231 /nfs/dbraw/zinc/69/22/31/1043692231.db2.gz BJOQWFULPSVGCB-ZDUSSCGKSA-N 0 3 314.256 4.352 20 0 DIADHN Cc1nc([C@@H](C)NCC[C@@H]2OCCc3ccccc32)c(C)s1 ZINC000927118465 1043693058 /nfs/dbraw/zinc/69/30/58/1043693058.db2.gz YTVPFUNZMPFBCP-PXAZEXFGSA-N 0 3 316.470 4.115 20 0 DIADHN c1oc2ccccc2c1CN1CCC[C@H](c2noc(C3CC3)n2)C1 ZINC000354301077 1043693957 /nfs/dbraw/zinc/69/39/57/1043693957.db2.gz AKYLUHBKRYOBSM-AWEZNQCLSA-N 0 3 323.396 4.073 20 0 DIADHN C[C@H](C1CC1)N(Cc1cc2c(cc[nH]c2=O)o1)Cc1ccccc1 ZINC000876529669 1043693968 /nfs/dbraw/zinc/69/39/68/1043693968.db2.gz JRCCBVSCMJJMAM-CQSZACIVSA-N 0 3 322.408 4.334 20 0 DIADHN C[C@@H](NCC[C@@H]1CCC2(CCC2)O1)c1nc2c(s1)CCCC2 ZINC000927131566 1043694535 /nfs/dbraw/zinc/69/45/35/1043694535.db2.gz XDUKBWGZZZMUSP-KGLIPLIRSA-N 0 3 320.502 4.164 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1cc2c(cc[nH]c2=O)o1 ZINC000876580732 1043697714 /nfs/dbraw/zinc/69/77/14/1043697714.db2.gz YRXSOUDLFWUUSO-KRWDZBQOSA-N 0 3 308.381 4.179 20 0 DIADHN Cc1ccc([C@H](C)Nc2ccc(N3CCN(C)CC3)cc2)cc1C ZINC000777615656 1043701356 /nfs/dbraw/zinc/70/13/56/1043701356.db2.gz UADJTLPBDDBWMP-SFHVURJKSA-N 0 3 323.484 4.228 20 0 DIADHN COCCC1CCN(Cc2ccc(C(F)F)c(F)c2)CC1 ZINC000895551856 1043704313 /nfs/dbraw/zinc/70/43/13/1043704313.db2.gz UUQOUJISJUQZED-UHFFFAOYSA-N 0 3 301.352 4.012 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC[C@H](OCC2CC2)C1 ZINC000559154634 1043705045 /nfs/dbraw/zinc/70/50/45/1043705045.db2.gz GAQBHHYYBOOTJE-SFHVURJKSA-N 0 3 316.489 4.158 20 0 DIADHN Cc1ccc(CCCN2CCc3ccc(Cl)cc3C2)cn1 ZINC000474280881 1043723601 /nfs/dbraw/zinc/72/36/01/1043723601.db2.gz PQMWPEKWCATAFP-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN CCc1ccc(CNCc2cc3c(ccnc3Cl)o2)s1 ZINC000876931825 1043725672 /nfs/dbraw/zinc/72/56/72/1043725672.db2.gz WJKFJDYNKQOGSS-UHFFFAOYSA-N 0 3 306.818 4.395 20 0 DIADHN Clc1csc(CNC[C@@H]2CCCO[C@@H]2c2ccccc2)n1 ZINC000876967189 1043728097 /nfs/dbraw/zinc/72/80/97/1043728097.db2.gz CVNFQVCDGHUIID-XJKSGUPXSA-N 0 3 322.861 4.054 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@H](C)c1cccc(NC(C)=O)c1 ZINC000354977734 1043733894 /nfs/dbraw/zinc/73/38/94/1043733894.db2.gz XCCGFCZLOYIPFV-ZWNOBZJWSA-N 0 3 302.340 4.027 20 0 DIADHN ClC1(Cl)C[C@H]1CN[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000877094061 1043742990 /nfs/dbraw/zinc/74/29/90/1043742990.db2.gz GJODBJWLHMSDSC-AAEUAGOBSA-N 0 3 312.240 4.216 20 0 DIADHN CC[C@@H](C)N(CC[S@](=O)c1ccccc1)Cc1ccccc1 ZINC000355274885 1043755211 /nfs/dbraw/zinc/75/52/11/1043755211.db2.gz FMGJADDKLQGSLU-VGSWGCGISA-N 0 3 315.482 4.095 20 0 DIADHN Fc1cc(CNCc2cccc3ccoc32)ccc1OC(F)F ZINC001202546013 1043755483 /nfs/dbraw/zinc/75/54/83/1043755483.db2.gz KCHHDUHDPLQFHD-UHFFFAOYSA-N 0 3 321.298 4.463 20 0 DIADHN COc1ccc2nc(CN[C@@H]3C[C@@H]3Cc3ccccc3)sc2c1 ZINC000474378293 1043758421 /nfs/dbraw/zinc/75/84/21/1043758421.db2.gz OSIPFDSKQKTOKK-WMLDXEAASA-N 0 3 324.449 4.026 20 0 DIADHN C[C@@H](NCC[C@H]1CCCCO1)c1nc2ccccc2n1C(F)F ZINC000355313003 1043760195 /nfs/dbraw/zinc/76/01/95/1043760195.db2.gz GJMVIBBJMYLZHO-CHWSQXEVSA-N 0 3 323.387 4.041 20 0 DIADHN CCC[C@](C)(CC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000474396287 1043763494 /nfs/dbraw/zinc/76/34/94/1043763494.db2.gz YJGRSEPAOIRSRG-YJBOKZPZSA-N 0 3 322.518 4.218 20 0 DIADHN Fc1ccc2[nH]cc(CCCNCc3nc(Cl)cs3)c2c1 ZINC000877502012 1043767853 /nfs/dbraw/zinc/76/78/53/1043767853.db2.gz YHLNCOSMSOAQFV-UHFFFAOYSA-N 0 3 323.824 4.139 20 0 DIADHN Brc1cc(CNC2CCC3(CCCC3)CC2)on1 ZINC000718886728 1043767957 /nfs/dbraw/zinc/76/79/57/1043767957.db2.gz NTXFLMVQKGMHBB-UHFFFAOYSA-N 0 3 313.239 4.030 20 0 DIADHN CCN(Cc1cc2nc(Cl)ccc2s1)Cc1ccncc1 ZINC000877524160 1043770744 /nfs/dbraw/zinc/77/07/44/1043770744.db2.gz RQMFOCBNSCAURF-UHFFFAOYSA-N 0 3 317.845 4.367 20 0 DIADHN CSC[C@@H]1CCCN(Cc2cc3c(ccnc3Cl)o2)C1 ZINC000877548078 1043772023 /nfs/dbraw/zinc/77/20/23/1043772023.db2.gz QLYSBJSSTGFVNA-LLVKDONJSA-N 0 3 310.850 4.056 20 0 DIADHN Clc1ccc(CC2(NCc3ccc(Cl)nn3)CCC2)cc1 ZINC000877545020 1043772610 /nfs/dbraw/zinc/77/26/10/1043772610.db2.gz DNAYXRSXJMWZKU-UHFFFAOYSA-N 0 3 322.239 4.038 20 0 DIADHN Nc1c(Cl)cccc1CN[C@@H]1CCCc2c(F)cccc21 ZINC000877557381 1043774673 /nfs/dbraw/zinc/77/46/73/1043774673.db2.gz LHESSCZSBSCKPB-MRXNPFEDSA-N 0 3 304.796 4.229 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000355666016 1043783941 /nfs/dbraw/zinc/78/39/41/1043783941.db2.gz YBHVWIUFZAUWTL-HIFRSBDPSA-N 0 3 308.491 4.253 20 0 DIADHN CN(Cc1coc2ccc(F)cc12)C[C@H]1Cc2ccccc2O1 ZINC001141858443 1043784153 /nfs/dbraw/zinc/78/41/53/1043784153.db2.gz LNMRRNUKZZZOJN-MRXNPFEDSA-N 0 3 311.356 4.007 20 0 DIADHN c1cc(CN[C@H]2CCc3cccc4cccc2c43)n(CC2CC2)n1 ZINC000895935038 1043784867 /nfs/dbraw/zinc/78/48/67/1043784867.db2.gz MVALKCWBSGGPHG-FQEVSTJZSA-N 0 3 317.436 4.223 20 0 DIADHN C[C@@H](c1ccccc1Cl)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777732536 1043785247 /nfs/dbraw/zinc/78/52/47/1043785247.db2.gz YYHAWPKVJNJTGN-UGSOOPFHSA-N 0 3 319.807 4.134 20 0 DIADHN C[C@@H](Cc1ccncc1)NCc1ccc(Oc2ccccc2)o1 ZINC000355686310 1043785478 /nfs/dbraw/zinc/78/54/78/1043785478.db2.gz ULPJYOJLUXSLRB-HNNXBMFYSA-N 0 3 308.381 4.188 20 0 DIADHN CC(C)CCO[C@H]1CC[C@H](NCc2nc(Cl)cs2)CC1 ZINC000877655476 1043786034 /nfs/dbraw/zinc/78/60/34/1043786034.db2.gz ZMJJRKNHVSAYKG-JOCQHMNTSA-N 0 3 316.898 4.260 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777736295 1043785900 /nfs/dbraw/zinc/78/59/00/1043785900.db2.gz COKOPFYXRLFFEI-SCLBCKFNSA-N 0 3 319.807 4.134 20 0 DIADHN COc1ncc(CN[C@@H]2CCc3cccc4cccc2c43)s1 ZINC000895934195 1043786294 /nfs/dbraw/zinc/78/62/94/1043786294.db2.gz JGPJZPHJSSFKND-MRXNPFEDSA-N 0 3 310.422 4.082 20 0 DIADHN CCc1cccc(Cl)c1CN1CCC(c2c[nH]cn2)CC1 ZINC000355751524 1043788411 /nfs/dbraw/zinc/78/84/11/1043788411.db2.gz XMQFJQAONRRBLY-UHFFFAOYSA-N 0 3 303.837 4.005 20 0 DIADHN CC(C)CN(Cc1ccccc1)C(=O)c1cccc(CN(C)C)c1 ZINC000355820061 1043790267 /nfs/dbraw/zinc/79/02/67/1043790267.db2.gz WAOUPWLXZZGLBG-UHFFFAOYSA-N 0 3 324.468 4.047 20 0 DIADHN CCC(CC)(CNCc1cnc2ccccc2n1)C(F)(F)F ZINC000877707788 1043790458 /nfs/dbraw/zinc/79/04/58/1043790458.db2.gz HJBBOCKICMQQGN-UHFFFAOYSA-N 0 3 311.351 4.088 20 0 DIADHN FC(F)(F)CCN1CCC[C@H](c2nc3c(s2)CCCC3)C1 ZINC000355877179 1043791677 /nfs/dbraw/zinc/79/16/77/1043791677.db2.gz DFHZYKYJGAGOGW-NSHDSACASA-N 0 3 318.408 4.154 20 0 DIADHN Clc1csc(CN[C@H](Cc2cccnc2)C2CCCC2)n1 ZINC000877760453 1043794231 /nfs/dbraw/zinc/79/42/31/1043794231.db2.gz GYTCMCIMLMZPKY-CQSZACIVSA-N 0 3 321.877 4.083 20 0 DIADHN c1ccc2oc(CN[C@@H](Cc3cccnc3)C3CCCC3)nc2c1 ZINC000877760212 1043795135 /nfs/dbraw/zinc/79/51/35/1043795135.db2.gz CYHGXNPMULRAPP-SFHVURJKSA-N 0 3 321.424 4.114 20 0 DIADHN FC1(F)[C@@H](CN2CCc3sccc3C2)[C@@H]1c1ccccc1 ZINC000929198967 1043800666 /nfs/dbraw/zinc/80/06/66/1043800666.db2.gz LSQPFLPGWNIHJB-HOCLYGCPSA-N 0 3 305.393 4.155 20 0 DIADHN Cc1ncccc1CN[C@H](C)c1ccc(OCC(F)(F)F)cc1 ZINC000237351610 1043801312 /nfs/dbraw/zinc/80/13/12/1043801312.db2.gz KAZLOZMKGZSEDR-GFCCVEGCSA-N 0 3 324.346 4.182 20 0 DIADHN Cc1csc([C@H]2CCN(Cc3cnc(CC(C)C)s3)C2)n1 ZINC000877813691 1043802510 /nfs/dbraw/zinc/80/25/10/1043802510.db2.gz NSLYBDQCPIZPRP-ZDUSSCGKSA-N 0 3 321.515 4.096 20 0 DIADHN Cc1csc([C@@H]2CCN(Cc3cnc(C4CCC4)s3)C2)n1 ZINC000877813997 1043803679 /nfs/dbraw/zinc/80/36/79/1043803679.db2.gz RMYQOZQAAFBGQN-CYBMUJFWSA-N 0 3 319.499 4.165 20 0 DIADHN Cc1cc([C@H]2CCCN2C[C@@H]2[C@@H](c3ccccc3)C2(F)F)on1 ZINC000929289072 1043808696 /nfs/dbraw/zinc/80/86/96/1043808696.db2.gz UPDNYLCIVNGYFU-BFYDXBDKSA-N 0 3 318.367 4.169 20 0 DIADHN CN(CCC1CCOCC1)Cc1cscc1C(F)(F)F ZINC000356133419 1043815721 /nfs/dbraw/zinc/81/57/21/1043815721.db2.gz XCENOMPNWLUXOT-UHFFFAOYSA-N 0 3 307.381 4.015 20 0 DIADHN Cc1ccc2cc(CN3CC[C@H](O)C[C@H]3c3ccccc3)[nH]c2c1 ZINC000639368723 1043825687 /nfs/dbraw/zinc/82/56/87/1043825687.db2.gz YMEHOFQQNIJHHY-FPOVZHCZSA-N 0 3 320.436 4.174 20 0 DIADHN COc1ccc(OC)c(CNC2(c3ccccc3)CCCC2)c1 ZINC000237380421 1043852500 /nfs/dbraw/zinc/85/25/00/1043852500.db2.gz UUNFJPMRNJHMOM-UHFFFAOYSA-N 0 3 311.425 4.263 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN3CCC[C@@H]3c3ccccn3)O2)cc1 ZINC000878175298 1043854335 /nfs/dbraw/zinc/85/43/35/1043854335.db2.gz OTUKPQWOZZDGQK-SXLOBPIMSA-N 0 3 308.425 4.139 20 0 DIADHN CCc1ccccc1CN[C@@H](C)c1ccc(Br)nc1 ZINC000289617913 1043855289 /nfs/dbraw/zinc/85/52/89/1043855289.db2.gz GRCNXYFBRIRJHS-LBPRGKRZSA-N 0 3 319.246 4.257 20 0 DIADHN COCc1ccccc1CN[C@H](C)c1nccc2ccccc21 ZINC000289651294 1043857936 /nfs/dbraw/zinc/85/79/36/1043857936.db2.gz IFOAUHPDCJYORC-OAHLLOKOSA-N 0 3 306.409 4.232 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2cccnc2)ccc1OC(C)C ZINC000356671682 1043861311 /nfs/dbraw/zinc/86/13/11/1043861311.db2.gz HCTMSZZKAPWFIF-LSDHHAIUSA-N 0 3 314.429 4.289 20 0 DIADHN CC[C@H]1CC[C@@H](C)N1Cc1coc(-c2ccccc2OC)n1 ZINC000878340790 1043869655 /nfs/dbraw/zinc/86/96/55/1043869655.db2.gz OXAAQHWPEHIXRI-HIFRSBDPSA-N 0 3 300.402 4.113 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@H]3c3ccncc3)ccc2n1 ZINC000289722018 1043870473 /nfs/dbraw/zinc/87/04/73/1043870473.db2.gz HHBOUYVMFCDMTJ-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1csc(Cl)c1 ZINC001257386696 1043871852 /nfs/dbraw/zinc/87/18/52/1043871852.db2.gz XWLWECSRIKLTKV-NSHDSACASA-N 0 3 303.855 4.003 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCc3cc(Cl)ccc32)cc1O ZINC000289762526 1043873294 /nfs/dbraw/zinc/87/32/94/1043873294.db2.gz NAMTVGJRZRYXQY-BDJLRTHQSA-N 0 3 317.816 4.392 20 0 DIADHN c1nc(-c2ccccc2)oc1CN1CCC(c2ccccn2)CC1 ZINC000930083399 1043874645 /nfs/dbraw/zinc/87/46/45/1043874645.db2.gz JCIMMHXVFOZDRB-UHFFFAOYSA-N 0 3 319.408 4.116 20 0 DIADHN Cc1ccsc1CN[C@H](C)c1ccc(Br)nc1 ZINC000289792885 1043879489 /nfs/dbraw/zinc/87/94/89/1043879489.db2.gz OMIQAINJYWTXJS-SNVBAGLBSA-N 0 3 311.248 4.065 20 0 DIADHN CC[C@@H](O)CCCNCc1c(Cl)cccc1SC(C)C ZINC000474794341 1043918571 /nfs/dbraw/zinc/91/85/71/1043918571.db2.gz IVEAAPFUVIHXKQ-CYBMUJFWSA-N 0 3 315.910 4.481 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1cnc(Br)c(C)c1 ZINC000930183631 1043887376 /nfs/dbraw/zinc/88/73/76/1043887376.db2.gz GFPXBLOCLPFLPS-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Cc1nc(C)c(CN[C@H](CCc2ccccc2)c2ccccc2)[nH]1 ZINC000930207793 1043893134 /nfs/dbraw/zinc/89/31/34/1043893134.db2.gz FPHIDJHGBRYDEY-HXUWFJFHSA-N 0 3 319.452 4.490 20 0 DIADHN Cc1ccc(-c2noc([C@@H](C)NC[C@@H]3CC=CCC3)n2)cc1F ZINC000561134012 1043895818 /nfs/dbraw/zinc/89/58/18/1043895818.db2.gz AASHYGNZGNYZDY-ZIAGYGMSSA-N 0 3 315.392 4.191 20 0 DIADHN Cc1cccc(-c2n[nH]cc2CN2CCC[C@H]2c2ccncc2)c1 ZINC000289940774 1043899873 /nfs/dbraw/zinc/89/98/73/1043899873.db2.gz XDHQMCMBUCMSSH-IBGZPJMESA-N 0 3 318.424 4.117 20 0 DIADHN Cc1cc(CNC2(c3cccc(Cl)c3)CCCC2)c(C)nn1 ZINC000930231375 1043902161 /nfs/dbraw/zinc/90/21/61/1043902161.db2.gz OOGJEFXXGZHLOA-UHFFFAOYSA-N 0 3 315.848 4.306 20 0 DIADHN Cc1noc(C)c1C(C)(C)NC[C@@H]1CC[C@H](c2ccccc2)O1 ZINC000878613854 1043906411 /nfs/dbraw/zinc/90/64/11/1043906411.db2.gz XYCSTRXDCZNHTF-DLBZAZTESA-N 0 3 314.429 4.036 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CC[C@H](C)C2(CCC2)C1 ZINC000357023802 1043909960 /nfs/dbraw/zinc/90/99/60/1043909960.db2.gz MFVIEUNZEXHCSU-GJZGRUSLSA-N 0 3 311.429 4.310 20 0 DIADHN Cc1cc2cc([C@H](C)NCC[S@](=O)C(C)(C)C)oc2cc1C ZINC000127269080 1043910339 /nfs/dbraw/zinc/91/03/39/1043910339.db2.gz BBPSSJRYGRWRIK-RCDICMHDSA-N 0 3 321.486 4.247 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN(C)Cc3cccs3)cc2)n1 ZINC000357068922 1043914051 /nfs/dbraw/zinc/91/40/51/1043914051.db2.gz SRHYMNWMSCOCIG-UHFFFAOYSA-N 0 3 311.454 4.183 20 0 DIADHN COCC(C)(C)CCCNCc1csc(-c2ccsc2)n1 ZINC000290073345 1043915382 /nfs/dbraw/zinc/91/53/82/1043915382.db2.gz IFOWKQDEXCNDBG-UHFFFAOYSA-N 0 3 324.515 4.414 20 0 DIADHN FC(F)(F)CC1CCN(C[C@@H]2CCCC3(CCC3)O2)CC1 ZINC000930374261 1043916753 /nfs/dbraw/zinc/91/67/53/1043916753.db2.gz SFOLUZQWXNLBOM-AWEZNQCLSA-N 0 3 305.384 4.143 20 0 DIADHN C[C@H](NC[C@H](O)c1ccccc1)c1cc(Cl)ccc1Cl ZINC000035601285 1043918231 /nfs/dbraw/zinc/91/82/31/1043918231.db2.gz OZBLOQIRYVBPRM-ZBEGNZNMSA-N 0 3 310.224 4.378 20 0 DIADHN C[C@@H]1C[C@H](c2cccc(F)c2)N(Cc2cccc3nccn32)C1 ZINC000561286541 1043919634 /nfs/dbraw/zinc/91/96/34/1043919634.db2.gz JZLGFHXRHAJVCP-RDTXWAMCSA-N 0 3 309.388 4.057 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1cn(C)nc1-c1ccccc1 ZINC000561286161 1043919979 /nfs/dbraw/zinc/91/99/79/1043919979.db2.gz JEATUARCBMXDOR-MRXNPFEDSA-N 0 3 317.436 4.034 20 0 DIADHN CCc1ccccc1CNCc1ccnn1-c1ccccc1C ZINC000474798033 1043920473 /nfs/dbraw/zinc/92/04/73/1043920473.db2.gz IWAHQTZGCQYUAF-UHFFFAOYSA-N 0 3 305.425 4.033 20 0 DIADHN Cc1nc(-c2ccccc2)oc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC000878811582 1043922084 /nfs/dbraw/zinc/92/20/84/1043922084.db2.gz MBUMAYWSULCXCG-QGZVFWFLSA-N 0 3 318.367 4.271 20 0 DIADHN Cc1ccc(NC(=O)CC(C)(C)CC(F)F)c(CN(C)C)c1 ZINC000878847208 1043922783 /nfs/dbraw/zinc/92/27/83/1043922783.db2.gz PXZFGGBWCCMXEN-UHFFFAOYSA-N 0 3 312.404 4.067 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC000561307485 1043924082 /nfs/dbraw/zinc/92/40/82/1043924082.db2.gz JFZQPVDKPFGKOT-KBAYOESNSA-N 0 3 314.473 4.293 20 0 DIADHN C[C@@H](N[C@H]1CCC[C@H]1Oc1cc(F)cc(F)c1)c1ccco1 ZINC000561316094 1043926471 /nfs/dbraw/zinc/92/64/71/1043926471.db2.gz XHAZHAVVURVFMZ-XQAQDONZSA-N 0 3 307.340 4.209 20 0 DIADHN Cc1ccc(CCCN[C@@H](C)c2c(F)cccc2Cl)cn1 ZINC000474808107 1043926864 /nfs/dbraw/zinc/92/68/64/1043926864.db2.gz FLAVYWKCOUDJIT-ZDUSSCGKSA-N 0 3 306.812 4.466 20 0 DIADHN C[C@@H](O)c1ncc(CN[C@@H](C)c2cccc3ccccc32)s1 ZINC000290213618 1043928094 /nfs/dbraw/zinc/92/80/94/1043928094.db2.gz YTFWDEXIOVPSDK-QWHCGFSZSA-N 0 3 312.438 4.200 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc(F)nc1)c1ccc(OC)c(OC)c1 ZINC000930449603 1043928543 /nfs/dbraw/zinc/92/85/43/1043928543.db2.gz UQTVXLIKFFFWCO-IUODEOHRSA-N 0 3 318.392 4.040 20 0 DIADHN Cc1ccccc1[C@@H](NCc1cnc([C@@H](C)O)s1)C(C)(C)C ZINC000290237250 1043931772 /nfs/dbraw/zinc/93/17/72/1043931772.db2.gz GITVYCIOHQYTGN-CZUORRHYSA-N 0 3 318.486 4.382 20 0 DIADHN OC[C@H](NCc1ccc(-c2ccccc2)s1)c1ccccc1 ZINC000102888305 1043934641 /nfs/dbraw/zinc/93/46/41/1043934641.db2.gz PNBBSIJNTQXMBS-SFHVURJKSA-N 0 3 309.434 4.238 20 0 DIADHN CC(C)Oc1ccccc1CN1CCC(Cc2ccncc2)CC1 ZINC001137139804 1043935124 /nfs/dbraw/zinc/93/51/24/1043935124.db2.gz WBYKAVFASNYFBF-UHFFFAOYSA-N 0 3 324.468 4.324 20 0 DIADHN COc1ccccc1-c1nc(CN2CCCC3(CC3)CC2)co1 ZINC000879063530 1043939573 /nfs/dbraw/zinc/93/95/73/1043939573.db2.gz OWMKGVQSOWELKD-UHFFFAOYSA-N 0 3 312.413 4.116 20 0 DIADHN CC(C)c1ccccc1CN[C@H](c1cccnc1)C(C)(C)CO ZINC000930578138 1043943709 /nfs/dbraw/zinc/94/37/09/1043943709.db2.gz QYCMHRGVAGKVFS-LJQANCHMSA-N 0 3 312.457 4.054 20 0 DIADHN c1ccc(CSCCCN(Cc2ccncc2)C2CC2)cc1 ZINC000357367723 1043945041 /nfs/dbraw/zinc/94/50/41/1043945041.db2.gz VJNHURRUCZQUQL-UHFFFAOYSA-N 0 3 312.482 4.370 20 0 DIADHN CC(C)(NCCCCCCCO)c1nc2cc(Cl)ccc2o1 ZINC000879159742 1043949071 /nfs/dbraw/zinc/94/90/71/1043949071.db2.gz ZSGYIHRHXZVGFG-UHFFFAOYSA-N 0 3 324.852 4.249 20 0 DIADHN COCc1cccc(CN(C)Cc2ccc(OC(F)F)cc2)c1 ZINC000179185141 1043950313 /nfs/dbraw/zinc/95/03/13/1043950313.db2.gz WBVGMFJUOSWJKF-UHFFFAOYSA-N 0 3 321.367 4.066 20 0 DIADHN CN(C)C(=O)Sc1ccc(CN2CCC(CCF)CC2)cc1 ZINC000879208184 1043951008 /nfs/dbraw/zinc/95/10/08/1043951008.db2.gz UVJMVALKKDECBF-UHFFFAOYSA-N 0 3 324.465 4.032 20 0 DIADHN OCC1([C@@H](NCc2ccccc2CF)c2cccs2)CCC1 ZINC000930664913 1043951428 /nfs/dbraw/zinc/95/14/28/1043951428.db2.gz AEBFHYXBEFGJJA-KRWDZBQOSA-N 0 3 319.445 4.211 20 0 DIADHN O[C@@H](CN(Cc1cccs1)Cc1ccccc1)c1ccccc1 ZINC000035718606 1043956135 /nfs/dbraw/zinc/95/61/35/1043956135.db2.gz IJYRLMPTQLOFTG-FQEVSTJZSA-N 0 3 323.461 4.484 20 0 DIADHN c1ccc(CSCCCN(Cc2ccccn2)C2CC2)cc1 ZINC000357616304 1043968844 /nfs/dbraw/zinc/96/88/44/1043968844.db2.gz GZIAZDWVRVNZLT-UHFFFAOYSA-N 0 3 312.482 4.370 20 0 DIADHN COc1ccc([C@@H](C)NCc2cccc(CSC)c2)cc1O ZINC000290610282 1043969557 /nfs/dbraw/zinc/96/95/57/1043969557.db2.gz HWIKDWGAMYXVET-CYBMUJFWSA-N 0 3 317.454 4.115 20 0 DIADHN FC(F)(F)[C@H]1CCCN(C[C@@H]2CC[C@@H](c3ccccc3)O2)C1 ZINC000879547412 1043972656 /nfs/dbraw/zinc/97/26/56/1043972656.db2.gz HUBAAOOECOVUDV-JYJNAYRXSA-N 0 3 313.363 4.181 20 0 DIADHN CC(C)[C@H]1CCC[C@@H](NCc2cc(COC(C)(C)C)on2)C1 ZINC000474925410 1043980012 /nfs/dbraw/zinc/98/00/12/1043980012.db2.gz VFRAGACZQOZFOB-LSDHHAIUSA-N 0 3 308.466 4.294 20 0 DIADHN COc1ccc([C@@H](C)N[C@@H]2CCCc3sccc32)cc1O ZINC000290734890 1043981639 /nfs/dbraw/zinc/98/16/39/1043981639.db2.gz PPNZOHROCAVVAL-BXUZGUMPSA-N 0 3 303.427 4.191 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN[C@H](C)C[C@@H](C)O ZINC000474929049 1043982701 /nfs/dbraw/zinc/98/27/01/1043982701.db2.gz UYMSGBWKGZJDGO-VXGBXAGGSA-N 0 3 301.883 4.090 20 0 DIADHN C[C@@H](NCc1cc(COC(C)(C)C)on1)C1CCCCCC1 ZINC000474920871 1043984621 /nfs/dbraw/zinc/98/46/21/1043984621.db2.gz JFWITXMXJPIYBL-CQSZACIVSA-N 0 3 308.466 4.438 20 0 DIADHN C[C@@H]([C@H](C)N[C@H](c1nc[nH]n1)c1ccccc1)C1CCCCC1 ZINC000474937963 1043991522 /nfs/dbraw/zinc/99/15/22/1043991522.db2.gz CBWMCQMOFCHQOL-MPGHIAIKSA-N 0 3 312.461 4.089 20 0 DIADHN C[C@@H]([C@H](C)[NH2+][C@H](c1nnc[n-]1)c1ccccc1)C1CCCCC1 ZINC000474937963 1043991531 /nfs/dbraw/zinc/99/15/31/1043991531.db2.gz CBWMCQMOFCHQOL-MPGHIAIKSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H]([NH2+][C@@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000474937949 1043991653 /nfs/dbraw/zinc/99/16/53/1043991653.db2.gz BWUYJBPXPJGFLJ-RYQLBKOJSA-N 0 3 312.461 4.089 20 0 DIADHN CC[C@@H](NCc1ccnc(SC)c1)c1ccc(OC)cc1 ZINC000357852177 1043992976 /nfs/dbraw/zinc/99/29/76/1043992976.db2.gz NUKUMTVEOPLEMZ-MRXNPFEDSA-N 0 3 302.443 4.053 20 0 DIADHN CC[C@](C)(NCC[C@H](C)OCc1ccccc1)c1nccs1 ZINC000474978800 1044009435 /nfs/dbraw/zinc/00/94/35/1044009435.db2.gz MRFXLVBAJGYSAU-YJBOKZPZSA-N 0 3 318.486 4.353 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)c1 ZINC001648969007 1044012103 /nfs/dbraw/zinc/01/21/03/1044012103.db2.gz JZWNGSDFDBPEKE-HUUCEWRRSA-N 0 3 311.425 4.260 20 0 DIADHN C[C@@H](NCc1cccc(N(C)C)c1)c1ccc(C(F)(F)F)nc1 ZINC000880097664 1044011936 /nfs/dbraw/zinc/01/19/36/1044011936.db2.gz CVTVYBAIQRLNAS-GFCCVEGCSA-N 0 3 323.362 4.017 20 0 DIADHN Cc1nn(-c2ccc(F)cc2)cc1CN[C@H]1CCc2ccccc21 ZINC000358042333 1044012184 /nfs/dbraw/zinc/01/21/84/1044012184.db2.gz FFXIELASKFCWHE-FQEVSTJZSA-N 0 3 321.399 4.097 20 0 DIADHN COC(=O)CC[C@@H](N[C@@H]1CCCc2ccccc21)c1ccccc1 ZINC000358093460 1044019086 /nfs/dbraw/zinc/01/90/86/1044019086.db2.gz ZWZIZIMCUHMBBB-WOJBJXKFSA-N 0 3 323.436 4.348 20 0 DIADHN COc1cc(CN[C@@H]2CCCCc3ccc(Cl)cc32)ccn1 ZINC000358094995 1044019390 /nfs/dbraw/zinc/01/93/90/1044019390.db2.gz HPDRMPIYMBSQFW-QGZVFWFLSA-N 0 3 316.832 4.301 20 0 DIADHN CCC[C@H](C)NC(=O)[C@@H](C)N[C@H](c1ccc(Cl)cc1)C(C)C ZINC000561885083 1044020024 /nfs/dbraw/zinc/02/00/24/1044020024.db2.gz LPAISGGMHUBMMQ-VBQJREDUSA-N 0 3 324.896 4.320 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000561885081 1044020931 /nfs/dbraw/zinc/02/09/31/1044020931.db2.gz LPAISGGMHUBMMQ-GRDNDAEWSA-N 0 3 324.896 4.320 20 0 DIADHN C[C@@H](c1ccco1)N(C)C[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC000291080265 1044021772 /nfs/dbraw/zinc/02/17/72/1044021772.db2.gz NIBTWGLFOGMRHZ-FZMZJTMJSA-N 0 3 313.319 4.025 20 0 DIADHN CCSc1ccccc1CN1CCC(c2ncco2)CC1 ZINC000931443296 1044026290 /nfs/dbraw/zinc/02/62/90/1044026290.db2.gz RHTPZMMUXYZTGQ-UHFFFAOYSA-N 0 3 302.443 4.166 20 0 DIADHN CC(C)[C@H](O)CN[C@@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000358162159 1044027166 /nfs/dbraw/zinc/02/71/66/1044027166.db2.gz XZEQSPVNPHMYAC-NXEZZACHSA-N 0 3 308.274 4.039 20 0 DIADHN CC[C@H](OCCN1CC[C@@H](c2nccs2)C1)c1ccccc1 ZINC000880321008 1044028914 /nfs/dbraw/zinc/02/89/14/1044028914.db2.gz MBHZWJOIOBIYHK-SJORKVTESA-N 0 3 316.470 4.100 20 0 DIADHN Cc1cc(Cl)cc2c1OCCC[C@@H]2NCCC(F)(F)F ZINC000358183941 1044030692 /nfs/dbraw/zinc/03/06/92/1044030692.db2.gz FCVRFNZUKYFCBZ-LBPRGKRZSA-N 0 3 307.743 4.404 20 0 DIADHN C[C@@H](NCC(C)(C)CCO)c1csc(-c2ccccc2F)n1 ZINC000291155040 1044031403 /nfs/dbraw/zinc/03/14/03/1044031403.db2.gz IQNDCFBEQHPHGL-GFCCVEGCSA-N 0 3 322.449 4.008 20 0 DIADHN CCc1noc(C)c1CN1CCCSC[C@H]1c1ccccc1 ZINC000880387001 1044033815 /nfs/dbraw/zinc/03/38/15/1044033815.db2.gz DOXILEDOMFXTAV-SFHVURJKSA-N 0 3 316.470 4.226 20 0 DIADHN Cc1ncsc1CN1CCCSC[C@@H]1c1ccccc1 ZINC000880387366 1044034893 /nfs/dbraw/zinc/03/48/93/1044034893.db2.gz IFDBOXQGFFRBEG-OAHLLOKOSA-N 0 3 304.484 4.132 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2cccnc21)c1ccccc1 ZINC000561959775 1044036996 /nfs/dbraw/zinc/03/69/96/1044036996.db2.gz HOGODLBNRVHWPY-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN C[C@@H](N[C@@H]1CSc2ccccc21)c1ccc(-n2ccnc2)cc1 ZINC000358240719 1044039911 /nfs/dbraw/zinc/03/99/11/1044039911.db2.gz RQPBKCCPZXMYCK-RDTXWAMCSA-N 0 3 321.449 4.370 20 0 DIADHN CCOC(=O)CCN(Cc1cc(C)cs1)Cc1ccccc1 ZINC001137153504 1044040138 /nfs/dbraw/zinc/04/01/38/1044040138.db2.gz XKGXHTNDDATVJV-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cnc2ccc(C)cn12 ZINC000443854038 1044040390 /nfs/dbraw/zinc/04/03/90/1044040390.db2.gz NQUCOAHMXOGSIY-UHFFFAOYSA-N 0 3 318.424 4.146 20 0 DIADHN Cc1cccnc1[C@@H](N[C@H]1CCCc2ccc(O)cc21)C(C)C ZINC000291214691 1044041975 /nfs/dbraw/zinc/04/19/75/1044041975.db2.gz NAEQJGJVTDRUBO-OALUTQOASA-N 0 3 310.441 4.460 20 0 DIADHN CC[C@H](NCc1csc(-c2ccc(C)o2)n1)[C@@H]1CCCOC1 ZINC000880467016 1044042584 /nfs/dbraw/zinc/04/25/84/1044042584.db2.gz UPQYWIVDYKENSB-HIFRSBDPSA-N 0 3 320.458 4.006 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)Cc1cnc2cc(C)ccn12 ZINC000443859460 1044044253 /nfs/dbraw/zinc/04/42/53/1044044253.db2.gz YNYFBWZTQQWKBH-UHFFFAOYSA-N 0 3 318.424 4.146 20 0 DIADHN COCCCCCN[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000291236617 1044045665 /nfs/dbraw/zinc/04/56/65/1044045665.db2.gz OKJSMKLDZCORIJ-ZDUSSCGKSA-N 0 3 322.449 4.417 20 0 DIADHN Cc1nnc(CN2[C@H](C)C[C@H](c3ccccc3)C2(C)C)n1C1CC1 ZINC000880592668 1044049289 /nfs/dbraw/zinc/04/92/89/1044049289.db2.gz XMSKOGXYTZGYAW-RDTXWAMCSA-N 0 3 324.472 4.078 20 0 DIADHN Oc1ccc2c(c1)[C@H](N[C@H]1CCCOc3ccccc31)CCC2 ZINC000291264844 1044051171 /nfs/dbraw/zinc/05/11/71/1044051171.db2.gz QIMIQWYMGDNIAE-RBUKOAKNSA-N 0 3 309.409 4.273 20 0 DIADHN CC(C)[C@@H](CCO)N[C@H](C)c1csc(-c2ccccc2F)n1 ZINC000291281448 1044051816 /nfs/dbraw/zinc/05/18/16/1044051816.db2.gz RGRBSFRTBBFQHX-IUODEOHRSA-N 0 3 322.449 4.007 20 0 DIADHN C[C@@H]1C[C@@H](NCc2ccc3c(c2)COC3)c2ccsc2S1 ZINC000358315164 1044053073 /nfs/dbraw/zinc/05/30/73/1044053073.db2.gz GNRMKQFLLDIOCS-BDJLRTHQSA-N 0 3 317.479 4.494 20 0 DIADHN COC[C@H](NCCOc1ccccc1C(C)C)c1ccccc1 ZINC000562059218 1044058619 /nfs/dbraw/zinc/05/86/19/1044058619.db2.gz TYCFEZLNJAKYKV-IBGZPJMESA-N 0 3 313.441 4.166 20 0 DIADHN CC[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc2c(c1)OCCO2 ZINC000358359220 1044059953 /nfs/dbraw/zinc/05/99/53/1044059953.db2.gz SWFSFHKXFGGDBW-YLJYHZDGSA-N 0 3 324.424 4.045 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(-c2ccccc2F)n1)[C@@H]1CCOC1 ZINC000291338904 1044061937 /nfs/dbraw/zinc/06/19/37/1044061937.db2.gz WGKODLDQVQAMIZ-FRRDWIJNSA-N 0 3 320.433 4.025 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccnc(OC3CCC3)c1)CCC2 ZINC000358375235 1044062544 /nfs/dbraw/zinc/06/25/44/1044062544.db2.gz PPNWDLZBWHGQAJ-FQEVSTJZSA-N 0 3 322.452 4.489 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2N[C@@H]1CCCOc2ccccc21 ZINC000291374681 1044067368 /nfs/dbraw/zinc/06/73/68/1044067368.db2.gz YZLHLXHMUWLECY-ZWKOTPCHSA-N 0 3 309.409 4.273 20 0 DIADHN C[C@@H](NCCC[C@H](C)CO)c1csc(-c2ccccc2F)n1 ZINC000291384922 1044067631 /nfs/dbraw/zinc/06/76/31/1044067631.db2.gz ZRPLSEJEOQKLBQ-QWHCGFSZSA-N 0 3 322.449 4.008 20 0 DIADHN C[C@@H](N[C@H]1CCO[C@H](C)C1)c1csc(-c2ccccc2F)n1 ZINC000291383479 1044069040 /nfs/dbraw/zinc/06/90/40/1044069040.db2.gz ZPMPHNCRUHXXIN-UPJWGTAASA-N 0 3 320.433 4.167 20 0 DIADHN FC1(F)CC[C@@H](CN[C@@H](c2ccccc2)c2ccccn2)C1 ZINC000281038496 1044090507 /nfs/dbraw/zinc/09/05/07/1044090507.db2.gz GPIUQDQBRWUCRL-PBHICJAKSA-N 0 3 302.368 4.196 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1ccc(N3CCCC3)nc1)CCC2 ZINC000291650254 1044092626 /nfs/dbraw/zinc/09/26/26/1044092626.db2.gz CPNBMBCSHJXDEB-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](c1cncc(F)c1)C1CC1)CCC2 ZINC000281116757 1044095100 /nfs/dbraw/zinc/09/51/00/1044095100.db2.gz AIEAWZZVXSUMQZ-RTBURBONSA-N 0 3 314.379 4.478 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CCC=CO2)c2ccccc2)cc1 ZINC000380200431 1044101606 /nfs/dbraw/zinc/10/16/06/1044101606.db2.gz CMZUQGRANFDNTE-VQTJNVASSA-N 0 3 309.409 4.067 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)[C@H]1OCCc2sccc21 ZINC000291813557 1044105483 /nfs/dbraw/zinc/10/54/83/1044105483.db2.gz CVNCMUIENHHVCZ-FABXCBLPSA-N 0 3 303.427 4.011 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc3nsnc32)cc1 ZINC000281219691 1044106705 /nfs/dbraw/zinc/10/67/05/1044106705.db2.gz DSBYSZDVQDGGPJ-NSHDSACASA-N 0 3 315.467 4.264 20 0 DIADHN CC(C)c1nc([C@H](C)NCC2([C@H](C)CCO)CCC2)cs1 ZINC000346527213 1044112095 /nfs/dbraw/zinc/11/20/95/1044112095.db2.gz IOEZGVBOQMODCD-KGLIPLIRSA-N 0 3 310.507 4.106 20 0 DIADHN COCc1nc(CN2CCC[C@H]2[C@@H](C)c2ccccc2)cs1 ZINC000932277355 1044113797 /nfs/dbraw/zinc/11/37/97/1044113797.db2.gz HRCDNIJNNVCZMC-YOEHRIQHSA-N 0 3 316.470 4.058 20 0 DIADHN Cc1cc([C@@H](NC[C@@H]2CCCS2)c2cccnc2)ccc1F ZINC000346558491 1044114478 /nfs/dbraw/zinc/11/44/78/1044114478.db2.gz LNSNRGMOAAWPCQ-FUHWJXTLSA-N 0 3 316.445 4.104 20 0 DIADHN c1ccc([C@@H]2CC[C@@H](CN[C@@H](c3ncccn3)C3CC3)C2)cc1 ZINC000932354054 1044120426 /nfs/dbraw/zinc/12/04/26/1044120426.db2.gz QITHEQFYMUTUAO-ATZDWAIDSA-N 0 3 307.441 4.101 20 0 DIADHN COCCCC[C@@H](N[C@@H](C)c1cncs1)c1ccccc1 ZINC000359015312 1044120743 /nfs/dbraw/zinc/12/07/43/1044120743.db2.gz CLWYSCXFQYAELX-GOEBONIOSA-N 0 3 304.459 4.352 20 0 DIADHN Fc1ccccc1SCCN[C@@H](c1ccccn1)C1CC1 ZINC000932378740 1044122210 /nfs/dbraw/zinc/12/22/10/1044122210.db2.gz LEZQRIBJRQGPBH-QGZVFWFLSA-N 0 3 302.418 4.054 20 0 DIADHN C[C@H](N[C@H](CO)CC1CCC1)c1nc(-c2ccccc2)cs1 ZINC000359042913 1044124484 /nfs/dbraw/zinc/12/44/84/1044124484.db2.gz CFJBHJWKQGITRI-BBRMVZONSA-N 0 3 316.470 4.012 20 0 DIADHN COc1ccccc1[C@H](C)N[C@@H](C)[C@@H]1OCCc2sccc21 ZINC000291997095 1044124709 /nfs/dbraw/zinc/12/47/09/1044124709.db2.gz XHLOAPYADCSBFQ-LXIYXOSZSA-N 0 3 317.454 4.110 20 0 DIADHN Cc1ccccc1C[C@H](C)N(C)Cc1nc2ccc(F)cc2o1 ZINC000562585670 1044134072 /nfs/dbraw/zinc/13/40/72/1044134072.db2.gz VMMVWYYOLROZRJ-AWEZNQCLSA-N 0 3 312.388 4.338 20 0 DIADHN CCc1cnccc1[C@@H](C)N[C@H](C)c1ccc(NC(C)=O)cc1 ZINC000359210111 1044143908 /nfs/dbraw/zinc/14/39/08/1044143908.db2.gz ITDUSZHEMVAVSF-ZIAGYGMSSA-N 0 3 311.429 4.014 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3cnc([C@H]4CCCO4)s3)C2)C1 ZINC000359290854 1044149798 /nfs/dbraw/zinc/14/97/98/1044149798.db2.gz CHFRDBRFCJHVJD-FRFSOERESA-N 0 3 306.475 4.007 20 0 DIADHN C[C@@H]1CC[C@@]2(CCN(Cc3c(C4CC4)nc4sccn34)C2)C1 ZINC000359310240 1044154014 /nfs/dbraw/zinc/15/40/14/1044154014.db2.gz RPEDKCWCGSYQME-FZKQIMNGSA-N 0 3 315.486 4.285 20 0 DIADHN CCCCn1cc(CN[C@@H](CC)c2cccc(OC)c2)c(C)n1 ZINC000359344694 1044156551 /nfs/dbraw/zinc/15/65/51/1044156551.db2.gz PYTBSAUWISBNEQ-IBGZPJMESA-N 0 3 315.461 4.241 20 0 DIADHN Cc1ccc([C@H](NCc2n[nH]c(C3CC3)n2)C2CCCCC2)cc1 ZINC000562785233 1044157207 /nfs/dbraw/zinc/15/72/07/1044157207.db2.gz ZVSRJCCSSPJVNB-LJQANCHMSA-N 0 3 324.472 4.402 20 0 DIADHN COc1ccc(C2(CN[C@@H](C)c3csc(C)n3)CCC2)cc1 ZINC000346860891 1044163236 /nfs/dbraw/zinc/16/32/36/1044163236.db2.gz CTDSGIZJEULRIZ-ZDUSSCGKSA-N 0 3 316.470 4.233 20 0 DIADHN CC[C@@H](NCCNc1ccccn1)c1cccc(Cl)c1F ZINC000359415167 1044164401 /nfs/dbraw/zinc/16/44/01/1044164401.db2.gz ITWLRJXJMRFIAM-CQSZACIVSA-N 0 3 307.800 4.027 20 0 DIADHN O[C@@H](c1ccccc1)[C@H](NCCC(F)(F)F)c1ccccc1 ZINC000292364564 1044166146 /nfs/dbraw/zinc/16/61/46/1044166146.db2.gz YEOYEEWOVKLCBD-CVEARBPZSA-N 0 3 309.331 4.003 20 0 DIADHN CCCNc1ccc(CN[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281612565 1044167413 /nfs/dbraw/zinc/16/74/13/1044167413.db2.gz NCFDLCQIGUDYLM-IBGZPJMESA-N 0 3 313.420 4.284 20 0 DIADHN Cc1cc(C2CCN(Cc3cccc(C(F)(F)F)c3)CC2)[nH]n1 ZINC000359516468 1044172759 /nfs/dbraw/zinc/17/27/59/1044172759.db2.gz ROHUWUFTKNNUDQ-UHFFFAOYSA-N 0 3 323.362 4.117 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)N(CCc1csc(C)n1)[C@H]2C ZINC000359650634 1044184993 /nfs/dbraw/zinc/18/49/93/1044184993.db2.gz OMDZUVWHMFFYDS-STQMWFEESA-N 0 3 316.470 4.010 20 0 DIADHN Fc1cncc([C@H](N[C@H]2CCSc3ccccc32)C2CC2)c1 ZINC000281762437 1044189156 /nfs/dbraw/zinc/18/91/56/1044189156.db2.gz WKLDHZNBGGUSIG-FUHWJXTLSA-N 0 3 314.429 4.499 20 0 DIADHN CSc1ccc([C@@H](C)NC[C@H](O)c2ccccc2F)cc1F ZINC000130344839 1044198975 /nfs/dbraw/zinc/19/89/75/1044198975.db2.gz CTMPMDIKFARGJS-BZNIZROVSA-N 0 3 323.408 4.071 20 0 DIADHN CCS[C@@H]1CCCC[C@H]1NCc1nccn1-c1ccccc1 ZINC000359765669 1044199437 /nfs/dbraw/zinc/19/94/37/1044199437.db2.gz MKFKQNFYIHFWKW-IAGOWNOFSA-N 0 3 315.486 4.026 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CNCc1ccc(C2CC2)cc1 ZINC000359788636 1044200447 /nfs/dbraw/zinc/20/04/47/1044200447.db2.gz ACSLOBJVSZKDHO-UHFFFAOYSA-N 0 3 311.473 4.422 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)NCc1ccc(Cl)cc1Cl ZINC000130391610 1044200693 /nfs/dbraw/zinc/20/06/93/1044200693.db2.gz CHEZUUMWVYUHQT-YGRLFVJLSA-N 0 3 314.212 4.188 20 0 DIADHN C[C@H](C[C@@H](O)c1ccco1)NCc1ccc(Cl)cc1Cl ZINC000130391981 1044200992 /nfs/dbraw/zinc/20/09/92/1044200992.db2.gz CHEZUUMWVYUHQT-QMTHXVAHSA-N 0 3 314.212 4.188 20 0 DIADHN COc1cc(C)c(CNCc2ccc(C3CC3)cc2)cc1OC ZINC000359803696 1044202452 /nfs/dbraw/zinc/20/24/52/1044202452.db2.gz NGBWZWGIDBIXQC-UHFFFAOYSA-N 0 3 311.425 4.179 20 0 DIADHN C[C@@H]1C[C@H](c2cccnc2)N(Cc2cnc3ccccc3c2)C1 ZINC000347171528 1044202397 /nfs/dbraw/zinc/20/23/97/1044202397.db2.gz RBMIIOSNWLUUDF-FOIQADDNSA-N 0 3 303.409 4.213 20 0 DIADHN C[C@H]1C[C@@H](c2cccnc2)N(Cc2cnc3ccccc3c2)C1 ZINC000347171536 1044202997 /nfs/dbraw/zinc/20/29/97/1044202997.db2.gz RBMIIOSNWLUUDF-YWZLYKJASA-N 0 3 303.409 4.213 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1c2ccccc2C[C@H]1C)c1ccccc1 ZINC000347170709 1044203471 /nfs/dbraw/zinc/20/34/71/1044203471.db2.gz YFCMXYHTEVIJSZ-MDZRGWNJSA-N 0 3 322.452 4.094 20 0 DIADHN CCOc1cccc([C@@H](C)NCc2cccc(Cl)c2N)c1 ZINC000882942141 1044206988 /nfs/dbraw/zinc/20/69/88/1044206988.db2.gz PKPUIESLLPSYFK-GFCCVEGCSA-N 0 3 304.821 4.172 20 0 DIADHN Nc1c(Cl)cccc1CNC1(c2ccc(F)cc2)CCC1 ZINC000882958387 1044207541 /nfs/dbraw/zinc/20/75/41/1044207541.db2.gz ZHYYEANXVFVMFU-UHFFFAOYSA-N 0 3 304.796 4.230 20 0 DIADHN Cc1ccc([C@@H](C)N(C)C(=O)C[C@@H]2NCc3ccccc32)c(C)c1 ZINC000659258432 1044210944 /nfs/dbraw/zinc/21/09/44/1044210944.db2.gz SYDZQETUTGCSFA-UZLBHIALSA-N 0 3 322.452 4.057 20 0 DIADHN C[C@@H]1C[C@H](C)CN1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639495679 1044214228 /nfs/dbraw/zinc/21/42/28/1044214228.db2.gz SQVFUHLCKXKRAO-UONOGXRCSA-N 0 3 307.397 4.120 20 0 DIADHN CC[C@H](C)c1ccc(NC(=O)C(C#N)C(=O)c2coc(C)c2)cc1 ZINC000187246042 1044215365 /nfs/dbraw/zinc/21/53/65/1044215365.db2.gz HHZWTVOJCXOMHI-SJCJKPOMSA-N 0 3 324.380 4.063 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(OC2CCCC2)c1)N(CC)CC ZINC000522847968 1044220615 /nfs/dbraw/zinc/22/06/15/1044220615.db2.gz NQJYUMBMDDGCJG-GOSISDBHSA-N 0 3 318.461 4.067 20 0 DIADHN C[C@@H](CN[C@H]1CCCOc2c(Cl)cccc21)C(F)(F)F ZINC000359974939 1044223730 /nfs/dbraw/zinc/22/37/30/1044223730.db2.gz ITDNBWYRSXKHKC-CABZTGNLSA-N 0 3 307.743 4.342 20 0 DIADHN C[S@@](=O)c1ccc(CN2CCCC3(CCCCC3)CC2)cc1 ZINC000347273766 1044224189 /nfs/dbraw/zinc/22/41/89/1044224189.db2.gz SYQRWLXTHGDQJC-JOCHJYFZSA-N 0 3 319.514 4.360 20 0 DIADHN CCOc1cccc(CN[C@H]2CCCOc3ccc(C)cc32)c1 ZINC000360029217 1044226701 /nfs/dbraw/zinc/22/67/01/1044226701.db2.gz KOSMDVFZLBQZHT-IBGZPJMESA-N 0 3 311.425 4.397 20 0 DIADHN CSCCCCCN[C@H](C)c1nc(C(F)(F)F)cs1 ZINC000360026185 1044227517 /nfs/dbraw/zinc/22/75/17/1044227517.db2.gz XUBRFFZNWCQCNP-SECBINFHSA-N 0 3 312.426 4.346 20 0 DIADHN Fc1ccc([C@@H](NCc2ccccc2F)C2CCOCC2)cc1 ZINC000883164657 1044227974 /nfs/dbraw/zinc/22/79/74/1044227974.db2.gz LIBMJYXEXJHFNZ-LJQANCHMSA-N 0 3 317.379 4.222 20 0 DIADHN CC(C)N(Cc1nc2cc(Br)ccc2[nH]1)C1CCC1 ZINC000360046844 1044230856 /nfs/dbraw/zinc/23/08/56/1044230856.db2.gz RDPWILPLBRYATR-UHFFFAOYSA-N 0 3 322.250 4.088 20 0 DIADHN C[C@H](NC[C@H]1CCC[C@H](c2ccccc2)O1)c1cncs1 ZINC000934196282 1044230857 /nfs/dbraw/zinc/23/08/57/1044230857.db2.gz QKOZLZBSQHQPEQ-NUEKZKHPSA-N 0 3 302.443 4.104 20 0 DIADHN Cc1cc(Br)ccc1[C@H](C)N[C@@H](C)c1ccc[nH]1 ZINC000883209220 1044235652 /nfs/dbraw/zinc/23/56/52/1044235652.db2.gz XSNKUQQGTUNEHB-RYUDHWBXSA-N 0 3 307.235 4.497 20 0 DIADHN Cc1cccnc1[C@H](NC[C@@H]1CC1(Cl)Cl)C(C)(C)C ZINC000934295935 1044236539 /nfs/dbraw/zinc/23/65/39/1044236539.db2.gz ZQXKHWAEXSJFKV-AAEUAGOBSA-N 0 3 301.261 4.261 20 0 DIADHN C[C@H](c1nc2ccccc2s1)N(C)CCC1CCOCC1 ZINC000292978048 1044236919 /nfs/dbraw/zinc/23/69/19/1044236919.db2.gz QQVLQNRORSDBMB-CYBMUJFWSA-N 0 3 304.459 4.106 20 0 DIADHN Cc1ccc(CN2CC[C@H](Oc3cccc(Cl)c3)C2)c(C)n1 ZINC000360140422 1044239076 /nfs/dbraw/zinc/23/90/76/1044239076.db2.gz OPMCFWWSMNAOLS-SFHVURJKSA-N 0 3 316.832 4.005 20 0 DIADHN CCSc1ccc(CNCc2cccc(NC(C)=O)c2)cc1 ZINC000883235053 1044242062 /nfs/dbraw/zinc/24/20/62/1044242062.db2.gz TXGJBZVEWAZMBF-UHFFFAOYSA-N 0 3 314.454 4.047 20 0 DIADHN CCSc1ccc(CN[C@H](C)c2ccnc(OC)c2)cc1 ZINC000883244995 1044244261 /nfs/dbraw/zinc/24/42/61/1044244261.db2.gz BIPMCTSCDRZVHB-CYBMUJFWSA-N 0 3 302.443 4.053 20 0 DIADHN CC1(CN[C@@H](c2ccccc2)c2ccccn2)CC(F)(F)C1 ZINC000883256316 1044245585 /nfs/dbraw/zinc/24/55/85/1044245585.db2.gz TZGRZZIANIGROW-INIZCTEOSA-N 0 3 302.368 4.196 20 0 DIADHN CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NCc1ccccc1F ZINC000522963151 1044252572 /nfs/dbraw/zinc/25/25/72/1044252572.db2.gz FRUFMMGQWLLVJI-SJKOYZFVSA-N 0 3 307.796 4.345 20 0 DIADHN COc1ccc2c(c1)CC[C@@H]2NCc1cc(C2CC2)ccc1OC ZINC000883266535 1044254190 /nfs/dbraw/zinc/25/41/90/1044254190.db2.gz CPJSYZHDGRUVCC-FQEVSTJZSA-N 0 3 323.436 4.358 20 0 DIADHN Cc1ccc(-c2nnc([C@@H](C)N[C@@H]3CC=CC[C@H]3C)o2)cc1C ZINC000934624103 1044263075 /nfs/dbraw/zinc/26/30/75/1044263075.db2.gz WIKBUPFVUVUCBF-FRFSOERESA-N 0 3 311.429 4.359 20 0 DIADHN C/C(=C\c1cccc(C(F)(F)F)c1)CN1CCCO[C@H](C)C1 ZINC000563479890 1044265537 /nfs/dbraw/zinc/26/55/37/1044265537.db2.gz ODQWMTBXKIXCKP-KADHNRKRSA-N 0 3 313.363 4.220 20 0 DIADHN Fc1cc2cccnc2c(CN[C@@H](c2ccncc2)C2CC2)c1 ZINC000934688426 1044268541 /nfs/dbraw/zinc/26/85/41/1044268541.db2.gz QYZBVKJKIQZPTN-GOSISDBHSA-N 0 3 307.372 4.010 20 0 DIADHN Cc1ccoc1CN[C@H](C)CC(=O)Nc1ccc(C)cc1Cl ZINC000293300749 1044269559 /nfs/dbraw/zinc/26/95/59/1044269559.db2.gz DQXLHJDKZBCFEZ-CYBMUJFWSA-N 0 3 320.820 4.057 20 0 DIADHN COC[C@H]1C[C@@H](NC(c2ccc(F)cc2)c2ccc(F)cc2)C1 ZINC000883320161 1044270900 /nfs/dbraw/zinc/27/09/00/1044270900.db2.gz CIFJTBNVJNFSKY-QGGXVJLZSA-N 0 3 317.379 4.069 20 0 DIADHN Cc1ccc2ncc(CN[C@H](c3ccncc3)C3CC3)cc2c1 ZINC000934697528 1044272495 /nfs/dbraw/zinc/27/24/95/1044272495.db2.gz HPIBTXZNTJJIIL-FQEVSTJZSA-N 0 3 303.409 4.179 20 0 DIADHN Cc1c2cccc(Cl)c2sc1CN[C@H](C)c1cnn(C)c1 ZINC000293426076 1044283520 /nfs/dbraw/zinc/28/35/20/1044283520.db2.gz ATRZYUWQGMWUGA-LLVKDONJSA-N 0 3 319.861 4.447 20 0 DIADHN CSCc1cnc(CN[C@@H](Cc2ccccc2)C2CC2)s1 ZINC000293438928 1044285358 /nfs/dbraw/zinc/28/53/58/1044285358.db2.gz BUTQCAVBAYLASR-INIZCTEOSA-N 0 3 318.511 4.117 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c(C)c1 ZINC000360578033 1044287083 /nfs/dbraw/zinc/28/70/83/1044287083.db2.gz FNSZUAFTGMOPIJ-PXNSSMCTSA-N 0 3 324.468 4.174 20 0 DIADHN CCCCc1ccc([C@@H](NCc2n[nH]c(CC)n2)C(C)C)cc1 ZINC000475153299 1044287685 /nfs/dbraw/zinc/28/76/85/1044287685.db2.gz ZQMQONAQDQFEGK-IBGZPJMESA-N 0 3 314.477 4.197 20 0 DIADHN Cc1ccc(C)c([C@@H](C)N[C@@H](CC(=O)N(C)C)c2ccccc2)c1 ZINC000360589833 1044287516 /nfs/dbraw/zinc/28/75/16/1044287516.db2.gz XRSTZFWNTVAMHK-XLIONFOSSA-N 0 3 324.468 4.174 20 0 DIADHN CC[C@H](N[C@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C)cc1 ZINC000360588114 1044287826 /nfs/dbraw/zinc/28/78/26/1044287826.db2.gz HEWIZXJKNGNAHX-VQTJNVASSA-N 0 3 324.468 4.255 20 0 DIADHN CC[C@H](N[C@@H](CC(=O)N(C)C)c1ccccc1)c1ccc(C)cc1 ZINC000360588112 1044288151 /nfs/dbraw/zinc/28/81/51/1044288151.db2.gz HEWIZXJKNGNAHX-PMACEKPBSA-N 0 3 324.468 4.255 20 0 DIADHN C[C@H](NC[C@@H](Cc1ccccc1)C(F)(F)F)c1cccc(O)c1 ZINC000563654177 1044297078 /nfs/dbraw/zinc/29/70/78/1044297078.db2.gz CLFPFBVZYKPVPA-XJKSGUPXSA-N 0 3 323.358 4.464 20 0 DIADHN CC(C)(CO)[C@H](NCc1cccc2ccccc21)c1cccnc1 ZINC000293564282 1044298384 /nfs/dbraw/zinc/29/83/84/1044298384.db2.gz GJNSCUGMOCCVJQ-HXUWFJFHSA-N 0 3 320.436 4.084 20 0 DIADHN Fc1ccc2c(c1)[C@H](N[C@@H](Cn1ccnc1)c1ccccc1)CC2 ZINC000883387139 1044299526 /nfs/dbraw/zinc/29/95/26/1044299526.db2.gz SCPKWCKPHPFVCI-UXHICEINSA-N 0 3 321.399 4.041 20 0 DIADHN c1cn(C[C@@H](N[C@@H]2CCCc3sccc32)c2ccccc2)cn1 ZINC000883386136 1044300382 /nfs/dbraw/zinc/30/03/82/1044300382.db2.gz AFFVNPRWKBSHAQ-QZTJIDSGSA-N 0 3 323.465 4.353 20 0 DIADHN c1cn(C[C@@H](N[C@H]2CCCc3ccccc32)c2ccccc2)cn1 ZINC000883386565 1044300560 /nfs/dbraw/zinc/30/05/60/1044300560.db2.gz IKAAHFZTIPXYHE-LEWJYISDSA-N 0 3 317.436 4.292 20 0 DIADHN CC[C@H](N[C@H](Cn1ccnc1)c1ccccc1)c1ccccc1 ZINC000883386162 1044301066 /nfs/dbraw/zinc/30/10/66/1044301066.db2.gz ASIKYTDOEXNHQN-VQTJNVASSA-N 0 3 305.425 4.365 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(F)cc2C)C2CCC2)cc1 ZINC000897079497 1044301763 /nfs/dbraw/zinc/30/17/63/1044301763.db2.gz JAVXEVRWNZXBQY-IBGZPJMESA-N 0 3 314.404 4.169 20 0 DIADHN Clc1cccc(Cl)c1CNC1CCC2(COC2)CC1 ZINC000883392929 1044302823 /nfs/dbraw/zinc/30/28/23/1044302823.db2.gz RGJDKAKNPRSATE-UHFFFAOYSA-N 0 3 300.229 4.042 20 0 DIADHN Cc1cc(F)ccc1[C@H](C)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC000883388328 1044304343 /nfs/dbraw/zinc/30/43/43/1044304343.db2.gz SBPGRXMUEKSIRG-JXFKEZNVSA-N 0 3 323.415 4.423 20 0 DIADHN CCC[C@H](NCc1ccc(OC(F)F)c(F)c1)c1cccnc1 ZINC000293633203 1044306705 /nfs/dbraw/zinc/30/67/05/1044306705.db2.gz GQVKVXIXZBPFLM-HNNXBMFYSA-N 0 3 324.346 4.453 20 0 DIADHN Clc1cnn([C@H]2CCN(Cc3cccc4ccccc43)C2)c1 ZINC000563752408 1044310685 /nfs/dbraw/zinc/31/06/85/1044310685.db2.gz GJRVYJMFJZQMNS-KRWDZBQOSA-N 0 3 311.816 4.137 20 0 DIADHN CSCc1cnc(CN[C@H](C)Cc2ccc(C)s2)s1 ZINC000293682989 1044313009 /nfs/dbraw/zinc/31/30/09/1044313009.db2.gz VSZMYWRZMIOMLP-SNVBAGLBSA-N 0 3 312.529 4.097 20 0 DIADHN COc1cc(C)c(CNCc2ccc(C(F)F)cc2)cc1OC ZINC000360832999 1044315290 /nfs/dbraw/zinc/31/52/90/1044315290.db2.gz LSPDVUWURREPSI-UHFFFAOYSA-N 0 3 321.367 4.240 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN1CC[C@H](CO)[C@@H]1C ZINC000475202861 1044323309 /nfs/dbraw/zinc/32/33/09/1044323309.db2.gz BJMCADJPBBDADO-QWHCGFSZSA-N 0 3 313.894 4.043 20 0 DIADHN Cc1cccc2ncc(CN3CCC(c4cccs4)CC3)n21 ZINC001137196528 1044331967 /nfs/dbraw/zinc/33/19/67/1044331967.db2.gz LHKUWEBZSYBBQC-UHFFFAOYSA-N 0 3 311.454 4.084 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cnc3cccc(C)n23)cc1 ZINC001137196590 1044332842 /nfs/dbraw/zinc/33/28/42/1044332842.db2.gz PMIQYWJOECLSIO-LJQANCHMSA-N 0 3 305.425 4.288 20 0 DIADHN CCCOc1cccc(CN2CC[C@H](C(F)(F)F)[C@@H]2C)c1 ZINC000475274277 1044335628 /nfs/dbraw/zinc/33/56/28/1044335628.db2.gz NCRSRHSUDQQNJG-WFASDCNBSA-N 0 3 301.352 4.248 20 0 DIADHN Cn1ncc2c1CCC[C@H]2NCc1ccc(C2CCCC2)cc1 ZINC000360985388 1044338137 /nfs/dbraw/zinc/33/81/37/1044338137.db2.gz VTLLLTGVNRRYDM-LJQANCHMSA-N 0 3 309.457 4.245 20 0 DIADHN Cc1nc([C@@H](C)NCCc2cn(C)c3cc(C)ccc23)cs1 ZINC000360983500 1044339484 /nfs/dbraw/zinc/33/94/84/1044339484.db2.gz BASZMDLIURZAHL-CYBMUJFWSA-N 0 3 313.470 4.145 20 0 DIADHN Cc1c([C@@H](C)N[C@@H](C)c2ccc(OCC(C)C)cc2)cnn1C ZINC000192852092 1044342241 /nfs/dbraw/zinc/34/22/41/1044342241.db2.gz WPZCALXUCLWSOA-LSDHHAIUSA-N 0 3 315.461 4.175 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1ccc(OCC2CC2)cc1 ZINC000475282687 1044343673 /nfs/dbraw/zinc/34/36/73/1044343673.db2.gz VTGYMWNIFWEAPJ-MLGOLLRUSA-N 0 3 313.363 4.248 20 0 DIADHN Cc1nc([C@@H](C)NCCCc2nc3c(s2)CCCC3)cs1 ZINC000361010553 1044347500 /nfs/dbraw/zinc/34/75/00/1044347500.db2.gz PWZWZVXSUQYORD-LLVKDONJSA-N 0 3 321.515 4.070 20 0 DIADHN CC(=O)Nc1cccc(CNC(C)(C)c2ccc(Cl)cc2)c1 ZINC000103481955 1044353173 /nfs/dbraw/zinc/35/31/73/1044353173.db2.gz BWVMKCWVGNOFID-UHFFFAOYSA-N 0 3 316.832 4.323 20 0 DIADHN C[C@H]1CC[C@@H](CNC(c2ccc(F)cc2)c2ccc(F)cc2)O1 ZINC000475322053 1044353573 /nfs/dbraw/zinc/35/35/73/1044353573.db2.gz XNUWLHJZOCKPPC-UGSOOPFHSA-N 0 3 317.379 4.211 20 0 DIADHN Cc1ccc(C2CCN(Cc3ccccc3Cl)CC2)cn1 ZINC000361072743 1044356959 /nfs/dbraw/zinc/35/69/59/1044356959.db2.gz GRQOKLMSGCSZJQ-UHFFFAOYSA-N 0 3 300.833 4.423 20 0 DIADHN Cc1nc([C@@H](C)NCCCCN(C)c2ccccc2)cs1 ZINC000361113065 1044359309 /nfs/dbraw/zinc/35/93/09/1044359309.db2.gz XNVDNWKYDDXJKL-CQSZACIVSA-N 0 3 303.475 4.019 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NCc1ccc(C2CCCC2)cc1 ZINC000361126194 1044362091 /nfs/dbraw/zinc/36/20/91/1044362091.db2.gz ZSAPDRQTQHTSLB-LJQANCHMSA-N 0 3 309.457 4.245 20 0 DIADHN Cc1cc2c(cc1C)[C@H](NCc1cccc(N(C)C)c1)CCCO2 ZINC000361114811 1044362484 /nfs/dbraw/zinc/36/24/84/1044362484.db2.gz UVPXFPJDGGYLOP-HXUWFJFHSA-N 0 3 324.468 4.373 20 0 DIADHN Cc1ccc([C@H](N[C@H](C)CC2CCOCC2)c2ccccn2)cc1 ZINC000475329671 1044362490 /nfs/dbraw/zinc/36/24/90/1044362490.db2.gz ACUQKZXNNXDZHG-UTKZUKDTSA-N 0 3 324.468 4.274 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cn(C)nc2C(F)F)c2ccccc21 ZINC000361120598 1044362797 /nfs/dbraw/zinc/36/27/97/1044362797.db2.gz BXRPCOVPKQQCTA-HOTGVXAUSA-N 0 3 319.399 4.332 20 0 DIADHN OCC[C@@H](NCc1cccc2ccoc21)c1ccc(Cl)cc1 ZINC001202612700 1044370873 /nfs/dbraw/zinc/37/08/73/1044370873.db2.gz ZXQRJCMRIVACCD-QGZVFWFLSA-N 0 3 315.800 4.300 20 0 DIADHN CCO[P@@](C)(=O)CC[C@H](C)NCc1c(F)cc(C)cc1F ZINC000897213062 1044373065 /nfs/dbraw/zinc/37/30/65/1044373065.db2.gz NXJOBTPEWIHQCR-LAJNKCICSA-N 0 3 319.332 4.086 20 0 DIADHN [O-]c1cc(F)cc(C[NH2+][C@@H]2CC[C@H](c3ccc(F)cc3)C2)c1 ZINC000475354000 1044377144 /nfs/dbraw/zinc/37/71/44/1044377144.db2.gz HDTLLNQYXQNTIB-WMLDXEAASA-N 0 3 303.352 4.096 20 0 DIADHN CC(C)(C)c1csc(CNC2CC(c3ccccc3)C2)n1 ZINC000783569713 1044382304 /nfs/dbraw/zinc/38/23/04/1044382304.db2.gz WCYGUYHTAHBPBP-UHFFFAOYSA-N 0 3 300.471 4.476 20 0 DIADHN CC(C)(C)[C@@H](CCO)NCc1ccc(F)c(Cl)c1Cl ZINC000564537120 1044389241 /nfs/dbraw/zinc/38/92/41/1044389241.db2.gz OFSHMNSTPUXICA-LLVKDONJSA-N 0 3 308.224 4.019 20 0 DIADHN Cc1nc(C(C)C)ccc1CN1CCC(c2ccncc2)CC1 ZINC000294179429 1044389224 /nfs/dbraw/zinc/38/92/24/1044389224.db2.gz QJUURFBICHWOTB-UHFFFAOYSA-N 0 3 309.457 4.288 20 0 DIADHN CC(C)CC[C@H](O)CN[C@@H](CC(F)(F)F)c1ccc(F)cc1 ZINC000361306912 1044389829 /nfs/dbraw/zinc/38/98/29/1044389829.db2.gz ZNKBNIAUUHABBW-GJZGRUSLSA-N 0 3 321.358 4.206 20 0 DIADHN CC(=O)Nc1cccc(CNCc2ccc(C3CCC3)cc2)c1 ZINC000294194173 1044391581 /nfs/dbraw/zinc/39/15/81/1044391581.db2.gz REEITJYDSCCNPN-UHFFFAOYSA-N 0 3 308.425 4.202 20 0 DIADHN COCCC[C@@H](NCc1ccc(F)c(F)c1)c1ccc(F)cc1 ZINC000281995876 1044392922 /nfs/dbraw/zinc/39/29/22/1044392922.db2.gz PXHWSSMAPIKVTF-GOSISDBHSA-N 0 3 323.358 4.361 20 0 DIADHN OCC[C@H]1CCCN(Cc2cnc(C3CCCCC3)s2)CC1 ZINC000564649212 1044394774 /nfs/dbraw/zinc/39/47/74/1044394774.db2.gz GONOJKJVWUEVIC-HNNXBMFYSA-N 0 3 322.518 4.175 20 0 DIADHN Cn1cc2c(n1)CCC[C@@H]2N[C@H]1CCCc2ccc(Cl)cc21 ZINC000564670179 1044398204 /nfs/dbraw/zinc/39/82/04/1044398204.db2.gz CMECQVROLAHCDP-IRXDYDNUSA-N 0 3 315.848 4.118 20 0 DIADHN CN(Cc1cncc(C(F)(F)F)c1)CC1(c2ccccc2)CC1 ZINC000361395859 1044398966 /nfs/dbraw/zinc/39/89/66/1044398966.db2.gz QIKCRRCKYFQEPB-UHFFFAOYSA-N 0 3 320.358 4.264 20 0 DIADHN CCOc1ccc(CN[C@H]2C[C@@H](C)c3ccccc32)cc1OC ZINC000564745164 1044402349 /nfs/dbraw/zinc/40/23/49/1044402349.db2.gz JTSNYCUSDVOIRH-KDOFPFPSSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc2[nH]cnc2c1 ZINC000564791377 1044403651 /nfs/dbraw/zinc/40/36/51/1044403651.db2.gz BEFVBKJDZCHQMW-CYBMUJFWSA-N 0 3 305.381 4.468 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc2nc[nH]c2c1 ZINC000564791377 1044403656 /nfs/dbraw/zinc/40/36/56/1044403656.db2.gz BEFVBKJDZCHQMW-CYBMUJFWSA-N 0 3 305.381 4.468 20 0 DIADHN Fc1ccc([C@H](N[C@H]2CCC[C@@H](F)C2)c2ccccc2)nc1 ZINC000564964623 1044407596 /nfs/dbraw/zinc/40/75/96/1044407596.db2.gz PHLAUPVDVHAQIB-UWWQBHOKSA-N 0 3 302.368 4.180 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc(OCC3CC3)cc2)CC1 ZINC000361555158 1044417052 /nfs/dbraw/zinc/41/70/52/1044417052.db2.gz YBINRLFBYQYYSA-UHFFFAOYSA-N 0 3 317.473 4.255 20 0 DIADHN Cc1ncc(CN2CCC(c3ccnc4ccccc43)CC2)s1 ZINC000565165983 1044418124 /nfs/dbraw/zinc/41/81/24/1044418124.db2.gz XRQKAKWDCJTBKR-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@H]3CCC(C)(C)c4cccc2c43)n1C ZINC000565236470 1044421222 /nfs/dbraw/zinc/42/12/22/1044421222.db2.gz APGRDPFDYUAURO-KDOFPFPSSA-N 0 3 309.457 4.118 20 0 DIADHN Cc1ncc(CN[C@H]2C[C@@H]3CCC(C)(C)c4cccc2c43)n1C ZINC000565236471 1044421328 /nfs/dbraw/zinc/42/13/28/1044421328.db2.gz APGRDPFDYUAURO-KSSFIOAISA-N 0 3 309.457 4.118 20 0 DIADHN CCc1ccc(CNCc2cccc(Br)c2C)cn1 ZINC000565219614 1044421339 /nfs/dbraw/zinc/42/13/39/1044421339.db2.gz XCFKULXPJVTFAQ-UHFFFAOYSA-N 0 3 319.246 4.005 20 0 DIADHN COCCN(Cc1cnc(C2CCC2)s1)[C@@H]1CC[C@@H](C)C1 ZINC000361641317 1044426766 /nfs/dbraw/zinc/42/67/66/1044426766.db2.gz YSBCGUHQYDNXIR-UKRRQHHQSA-N 0 3 308.491 4.048 20 0 DIADHN COCCN(Cc1cnc(C2CCC2)s1)[C@H]1CC[C@@H](C)C1 ZINC000361641316 1044426853 /nfs/dbraw/zinc/42/68/53/1044426853.db2.gz YSBCGUHQYDNXIR-HIFRSBDPSA-N 0 3 308.491 4.048 20 0 DIADHN C[C@H](CC(F)(F)F)NCc1ccc(OCc2ccncc2)cc1 ZINC000361741008 1044436260 /nfs/dbraw/zinc/43/62/60/1044436260.db2.gz OPSYVSFEXPMZOM-CYBMUJFWSA-N 0 3 324.346 4.091 20 0 DIADHN CCO[C@@H]1C[C@H]1N[C@@H](C)c1csc(-c2csc(C)n2)c1 ZINC000565461245 1044437497 /nfs/dbraw/zinc/43/74/97/1044437497.db2.gz PBPSAZMQDKMSSC-MRCXROJRSA-N 0 3 308.472 4.008 20 0 DIADHN CCOc1ccc([C@@H](C)N[C@@H](C)c2cccnc2)cc1OCC ZINC000112515783 1044440225 /nfs/dbraw/zinc/44/02/25/1044440225.db2.gz FBBWMDSYZPXCPF-CABCVRRESA-N 0 3 314.429 4.291 20 0 DIADHN Cc1nc2ccc(Nc3cccc(C(=O)OC(C)C)c3)cc2[nH]1 ZINC001213075196 1044443262 /nfs/dbraw/zinc/44/32/62/1044443262.db2.gz IMMVWLCCWIMRJP-UHFFFAOYSA-N 0 3 309.369 4.180 20 0 DIADHN COc1ccc([C@@H](C)NCc2csc(C)c2)c(C)c1OC ZINC000294662063 1044443698 /nfs/dbraw/zinc/44/36/98/1044443698.db2.gz ALRUWLSRTCMIRF-CYBMUJFWSA-N 0 3 305.443 4.233 20 0 DIADHN CC[C@@H](CC(=O)Nc1ccccc1CN(C)C)c1ccccc1 ZINC000565612891 1044446508 /nfs/dbraw/zinc/44/65/08/1044446508.db2.gz DGMIQXHGAPOUKY-INIZCTEOSA-N 0 3 310.441 4.271 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](CCO)c2ccccc2)cc1 ZINC000361879047 1044456243 /nfs/dbraw/zinc/45/62/43/1044456243.db2.gz FFQZASPTNFGBHF-UZLBHIALSA-N 0 3 313.441 4.250 20 0 DIADHN Cc1cccc(N(C)C(=O)c2oc3ccccc3c2CN(C)C)c1 ZINC000114261139 1044456921 /nfs/dbraw/zinc/45/69/21/1044456921.db2.gz DZXSUBVCCZRSGM-UHFFFAOYSA-N 0 3 322.408 4.079 20 0 DIADHN CC[C@@H](O)CCN[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000361943078 1044461474 /nfs/dbraw/zinc/46/14/74/1044461474.db2.gz ALQQAHAXYYLBDN-SCZZXKLOSA-N 0 3 308.274 4.183 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000361951091 1044462600 /nfs/dbraw/zinc/46/26/00/1044462600.db2.gz GZIWYAKEDFCRSQ-CORIIIEPSA-N 0 3 304.484 4.355 20 0 DIADHN C[C@@H]1C[C@@H](NCCOCc2ccccc2)c2ccsc2S1 ZINC000361973994 1044465543 /nfs/dbraw/zinc/46/55/43/1044465543.db2.gz ZUADLOPEOXPAQC-CZUORRHYSA-N 0 3 319.495 4.480 20 0 DIADHN Cc1nn(C)c2ncc(CN[C@@H](C)c3cccc(C4CC4)c3)cc12 ZINC000294886761 1044470204 /nfs/dbraw/zinc/47/02/04/1044470204.db2.gz VDPRWFWJQFNJID-ZDUSSCGKSA-N 0 3 320.440 4.005 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@H]1CC[C@H](C)O1 ZINC001202691223 1044472793 /nfs/dbraw/zinc/47/27/93/1044472793.db2.gz GQIIZDPLGYYHDA-MAUKXSAKSA-N 0 3 322.468 4.109 20 0 DIADHN C[C@H](c1ccc(CN[C@@H](CO)c2ccccc2)cc1)C(F)(F)F ZINC000294929479 1044473340 /nfs/dbraw/zinc/47/33/40/1044473340.db2.gz HGRCKPHGNACFGU-DYVFJYSZSA-N 0 3 323.358 4.176 20 0 DIADHN CC[C@@H](N[C@H](C)c1csc(-c2ccccc2F)n1)C(C)(C)O ZINC000294996730 1044478921 /nfs/dbraw/zinc/47/89/21/1044478921.db2.gz LSXZHHOKLGGSSD-IAQYHMDHSA-N 0 3 322.449 4.149 20 0 DIADHN FC1(F)CCCC[C@H]1CNCc1ccnc(Cl)c1Cl ZINC000295043457 1044482900 /nfs/dbraw/zinc/48/29/00/1044482900.db2.gz FAPRXQRRUNPSCM-JTQLQIEISA-N 0 3 309.187 4.304 20 0 DIADHN CCCC[C@@H](C)[C@H](C)Nc1ccccc1CN1CCC(O)CC1 ZINC000566391103 1044486649 /nfs/dbraw/zinc/48/66/49/1044486649.db2.gz ZMVVPRCNFIRSGP-SJORKVTESA-N 0 3 318.505 4.270 20 0 DIADHN CC[C@@H](N[C@H]1CCOc2c(OC)cccc21)c1cccs1 ZINC000362199122 1044487392 /nfs/dbraw/zinc/48/73/92/1044487392.db2.gz ILFVNQRQXIOXMB-KGLIPLIRSA-N 0 3 303.427 4.321 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1ccc2[nH]ccc2c1 ZINC000566473752 1044491626 /nfs/dbraw/zinc/49/16/26/1044491626.db2.gz DAULZSPJUQUMMQ-CYBMUJFWSA-N 0 3 310.445 4.279 20 0 DIADHN CCCc1ccc2nccc(NCc3nnc(C4CC4)o3)c2c1 ZINC000295119991 1044491810 /nfs/dbraw/zinc/49/18/10/1044491810.db2.gz WOFUEKNCLDKWKM-UHFFFAOYSA-N 0 3 308.385 4.060 20 0 DIADHN CCCN(Cc1ccc[nH]1)Cc1cccc(Br)c1 ZINC001204009109 1044491970 /nfs/dbraw/zinc/49/19/70/1044491970.db2.gz PQUQEGSTJKVDTH-UHFFFAOYSA-N 0 3 307.235 4.189 20 0 DIADHN CC1=C(C)C[C@H]([C@@H](C)NCc2ncccc2Br)CC1 ZINC001308980210 1044506026 /nfs/dbraw/zinc/50/60/26/1044506026.db2.gz NUCRMCHYQPTZLC-ZIAGYGMSSA-N 0 3 323.278 4.459 20 0 DIADHN CCC1(NCc2nnc(-c3ccccc3Cl)o2)CCCC1 ZINC000295218632 1044500062 /nfs/dbraw/zinc/50/00/62/1044500062.db2.gz MWSDGEKLSZPORM-UHFFFAOYSA-N 0 3 305.809 4.202 20 0 DIADHN O=C(CCCc1ccc(C2CC2)cc1)Nc1ccc2c(c1)CNC2 ZINC000884299158 1044501490 /nfs/dbraw/zinc/50/14/90/1044501490.db2.gz NBXJUFHHURDCKV-UHFFFAOYSA-N 0 3 320.436 4.129 20 0 DIADHN C[C@@H]1CC[C@H](CNCc2csc(COc3ccccc3)n2)C1 ZINC000475560167 1044501869 /nfs/dbraw/zinc/50/18/69/1044501869.db2.gz HGKRXVIBIUFPDF-CABCVRRESA-N 0 3 316.470 4.248 20 0 DIADHN CC1=C(C)C[C@@H]([C@H](C)NCc2ncccc2Br)CC1 ZINC001308980207 1044504623 /nfs/dbraw/zinc/50/46/23/1044504623.db2.gz NUCRMCHYQPTZLC-KBPBESRZSA-N 0 3 323.278 4.459 20 0 DIADHN CCCC(=O)Nc1ccc(CNCc2ccc3[nH]ccc3c2)cc1 ZINC000566689830 1044508875 /nfs/dbraw/zinc/50/88/75/1044508875.db2.gz VZZYCWFHZPXQRO-UHFFFAOYSA-N 0 3 321.424 4.196 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1cccc3cccnc31)CCC2 ZINC000120517733 1044509655 /nfs/dbraw/zinc/50/96/55/1044509655.db2.gz LFBLOFHRKYNNLW-OAHLLOKOSA-N 0 3 323.465 4.421 20 0 DIADHN Cc1cccnc1C(C)(C)CNCc1ncc(C(C)(C)C)s1 ZINC000566766677 1044514479 /nfs/dbraw/zinc/51/44/79/1044514479.db2.gz AERRKMHCPRSYNB-UHFFFAOYSA-N 0 3 317.502 4.211 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN(C)Cc2ccc([S@@](C)=O)cc2)C1 ZINC000362488643 1044519261 /nfs/dbraw/zinc/51/92/61/1044519261.db2.gz ZQQJANBEGQBXEG-VWKPWSFCSA-N 0 3 307.503 4.072 20 0 DIADHN CCCCOc1cccc(CN[C@H](C)c2cccc(CO)c2)c1 ZINC000121274986 1044522339 /nfs/dbraw/zinc/52/23/39/1044522339.db2.gz YUCRQXFLTICUFJ-MRXNPFEDSA-N 0 3 313.441 4.209 20 0 DIADHN Cn1cc2c(n1)CCC[C@H]2NCc1cccc(-c2ccccc2)c1 ZINC000337952642 1044532927 /nfs/dbraw/zinc/53/29/27/1044532927.db2.gz ZNDUHJIMQDVXJH-HXUWFJFHSA-N 0 3 317.436 4.254 20 0 DIADHN C[C@H](N[C@@H](c1cccnc1)C1CC1)c1nccc2ccccc21 ZINC000567033846 1044536559 /nfs/dbraw/zinc/53/65/59/1044536559.db2.gz DHGWWWQGEFDDKP-VBKZILBWSA-N 0 3 303.409 4.432 20 0 DIADHN COC[C@H](NC[C@H]1CCC2(CCCC2)O1)c1cccc(Cl)c1 ZINC000567022154 1044536613 /nfs/dbraw/zinc/53/66/13/1044536613.db2.gz RFGQENWYYDAEFN-SJORKVTESA-N 0 3 323.864 4.109 20 0 DIADHN COC[C@H](NC[C@@H]1CCC2(CCCC2)O1)c1cccc(Cl)c1 ZINC000567022153 1044537463 /nfs/dbraw/zinc/53/74/63/1044537463.db2.gz RFGQENWYYDAEFN-IRXDYDNUSA-N 0 3 323.864 4.109 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000567065669 1044539263 /nfs/dbraw/zinc/53/92/63/1044539263.db2.gz HBGAZNLGKYUUFI-SJLPKXTDSA-N 0 3 314.473 4.259 20 0 DIADHN COc1ccc(OC)c(CN2CCC(c3ccsc3)CC2)c1 ZINC000362659807 1044542691 /nfs/dbraw/zinc/54/26/91/1044542691.db2.gz XLAYLHJTEQPJAA-UHFFFAOYSA-N 0 3 317.454 4.145 20 0 DIADHN Cn1cc([C@@H](NCCC(C)(C)C2CC2)c2cccc(F)c2)cn1 ZINC000567184523 1044548555 /nfs/dbraw/zinc/54/85/55/1044548555.db2.gz KYWHPSBZWRRMHW-SFHVURJKSA-N 0 3 315.436 4.065 20 0 DIADHN C[C@@H]1C[C@H](N[C@@H](c2cccs2)c2ccc(F)cc2)[C@H](C)O1 ZINC000567214770 1044550571 /nfs/dbraw/zinc/55/05/71/1044550571.db2.gz FUIOBXQGMGDIHW-PZNPJNSTSA-N 0 3 305.418 4.132 20 0 DIADHN Cc1ccc2nc(CN3CC[C@@H](Oc4ccccc4)C3)ccc2c1 ZINC001137215628 1044559212 /nfs/dbraw/zinc/55/92/12/1044559212.db2.gz DZYLZMTUTZNLGS-HXUWFJFHSA-N 0 3 318.420 4.197 20 0 DIADHN CCOc1cccc(CN[C@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295797351 1044559751 /nfs/dbraw/zinc/55/97/51/1044559751.db2.gz BXRIIGVPZRDGHY-INIZCTEOSA-N 0 3 316.832 4.301 20 0 DIADHN CC[C@H](NCc1cccc(Cn2cccn2)c1)c1ccsc1 ZINC000295826506 1044562818 /nfs/dbraw/zinc/56/28/18/1044562818.db2.gz DPSKMILMOLRGPE-SFHVURJKSA-N 0 3 311.454 4.234 20 0 DIADHN CCn1cc([C@@H](C)N[C@@H]2CCCc3c4cc(C)ccc4[nH]c32)cn1 ZINC000295829988 1044563065 /nfs/dbraw/zinc/56/30/65/1044563065.db2.gz CLRSLNGRHLYERW-AUUYWEPGSA-N 0 3 322.456 4.421 20 0 DIADHN Fc1ccc2cc(CN[C@H]3CCCOc4ccccc43)[nH]c2c1 ZINC000362922793 1044564453 /nfs/dbraw/zinc/56/44/53/1044564453.db2.gz GFLUSPMZUUJBFZ-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN CCC[C@@H](N[C@H](C)c1cnn(CC)c1)c1ccc(OC)cc1 ZINC000295822738 1044564571 /nfs/dbraw/zinc/56/45/71/1044564571.db2.gz BYPYGXGFWYNVPA-RDTXWAMCSA-N 0 3 301.434 4.104 20 0 DIADHN COc1cccc([C@@H](C)N[C@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295861658 1044569626 /nfs/dbraw/zinc/56/96/26/1044569626.db2.gz GOVZJLDPMHXXIS-WBMJQRKESA-N 0 3 316.832 4.472 20 0 DIADHN c1nc(CNC[C@H]2COc3ccccc32)sc1-c1ccccc1 ZINC000567541504 1044572759 /nfs/dbraw/zinc/57/27/59/1044572759.db2.gz CDVMZYZJEFBCCU-HNNXBMFYSA-N 0 3 322.433 4.076 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN([C@H](C)C1CC1)C1CC1 ZINC000031654031 1044574285 /nfs/dbraw/zinc/57/42/85/1044574285.db2.gz BJOVVSRBKYIFGW-CQSZACIVSA-N 0 3 314.473 4.013 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccccc2Cn2cccn2)cs1 ZINC000295912150 1044575117 /nfs/dbraw/zinc/57/51/17/1044575117.db2.gz JHJGEAZKIVBSSP-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN COCc1cccc(CN[C@H]2CCCc3nc(Cl)ccc32)c1 ZINC000295972294 1044578529 /nfs/dbraw/zinc/57/85/29/1044578529.db2.gz MSYUUCVSCUQKNK-INIZCTEOSA-N 0 3 316.832 4.049 20 0 DIADHN Cc1cc(CN[C@H](c2ccccc2)C2(CO)CCC2)cs1 ZINC000296017576 1044582146 /nfs/dbraw/zinc/58/21/46/1044582146.db2.gz MBOYAGLJFNMNPM-QGZVFWFLSA-N 0 3 301.455 4.050 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H](C)c2ccc(-n3cncn3)cc2)cs1 ZINC000296039694 1044583654 /nfs/dbraw/zinc/58/36/54/1044583654.db2.gz QNBBTFYHOFHSRN-UONOGXRCSA-N 0 3 312.442 4.049 20 0 DIADHN CCCCOc1ccc([C@H](C)N[C@@H](C)c2cnn(CC)c2)cc1 ZINC000296118493 1044590261 /nfs/dbraw/zinc/59/02/61/1044590261.db2.gz ZXLHCDRTCALSAF-HOTGVXAUSA-N 0 3 315.461 4.494 20 0 DIADHN CCOc1cccc2cc([C@H](C)NCc3n[nH]c(C)c3C)oc21 ZINC000885903779 1044591443 /nfs/dbraw/zinc/59/14/43/1044591443.db2.gz YAJXKKSEJQWHCH-ZDUSSCGKSA-N 0 3 313.401 4.022 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)Cc1nnc(-c2ccc(C)cc2)o1 ZINC000444664816 1044593190 /nfs/dbraw/zinc/59/31/90/1044593190.db2.gz NERYAIFNIKYCFP-DOTOQJQBSA-N 0 3 313.445 4.446 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nc(-c2cccs2)no1 ZINC000444663351 1044593231 /nfs/dbraw/zinc/59/32/31/1044593231.db2.gz KLTPQHLBMGOWKM-STQMWFEESA-N 0 3 305.447 4.199 20 0 DIADHN Cc1cc([C@@H](C)NCc2cccc(Cn3cccn3)c2)cs1 ZINC000296141545 1044594348 /nfs/dbraw/zinc/59/43/48/1044594348.db2.gz WFUDBMQOXMAZBV-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN C[C@@H](CCc1ccc(O)cc1)NCc1nc(C(C)(C)C)cs1 ZINC000136406641 1044594398 /nfs/dbraw/zinc/59/43/98/1044594398.db2.gz LOUKWPPJSASZDR-ZDUSSCGKSA-N 0 3 318.486 4.257 20 0 DIADHN CN1CC[C@H](NCc2cc(F)ccc2Cl)[C@H]1c1ccccc1 ZINC000567968666 1044594932 /nfs/dbraw/zinc/59/49/32/1044594932.db2.gz UNIIZHGKBDTSDR-ZWKOTPCHSA-N 0 3 318.823 4.014 20 0 DIADHN FC1(F)C[C@H]2CN(Cc3ccc4cccc(Cl)c4n3)C[C@H]2C1 ZINC001137221754 1044595322 /nfs/dbraw/zinc/59/53/22/1044595322.db2.gz ZUHNNYFFUFHEIQ-BETUJISGSA-N 0 3 322.786 4.365 20 0 DIADHN Cc1nn(C(C)(C)C)c(C)c1CN1CC[C@H](CC(F)(F)F)C1 ZINC000568019481 1044596796 /nfs/dbraw/zinc/59/67/96/1044596796.db2.gz AJVOWHVZQDLYGF-CYBMUJFWSA-N 0 3 317.399 4.029 20 0 DIADHN CCc1ccc([C@H](COC)N[C@@H]2CCOC3(CCCCC3)C2)o1 ZINC000886000236 1044599105 /nfs/dbraw/zinc/59/91/05/1044599105.db2.gz YNZVKLRKOJCBRN-WBVHZDCISA-N 0 3 321.461 4.001 20 0 DIADHN CCc1ccc([C@@H](COC)N[C@@H]2CCOC3(CCCCC3)C2)o1 ZINC000886000234 1044600201 /nfs/dbraw/zinc/60/02/01/1044600201.db2.gz YNZVKLRKOJCBRN-NVXWUHKLSA-N 0 3 321.461 4.001 20 0 DIADHN Clc1ccc2c(n1)CCC[C@@H]2NCc1ccc2ncccc2c1 ZINC000296240693 1044600796 /nfs/dbraw/zinc/60/07/96/1044600796.db2.gz ATXKLCZELIBSQN-KRWDZBQOSA-N 0 3 323.827 4.450 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](c2cccnc2)C2CCC2)c2ccccc2O1 ZINC000296254748 1044603762 /nfs/dbraw/zinc/60/37/62/1044603762.db2.gz DAGYNIJKLYOSDD-LXGCGDOSSA-N 0 3 308.425 4.425 20 0 DIADHN CC(C)Oc1ccccc1CN1CC[C@H](CC(F)(F)F)C1 ZINC000444691705 1044606550 /nfs/dbraw/zinc/60/65/50/1044606550.db2.gz KFJHXSHUJKPDOO-CYBMUJFWSA-N 0 3 301.352 4.248 20 0 DIADHN Clc1cccc(CNCCc2ccoc2)c1Br ZINC000719442830 1044609927 /nfs/dbraw/zinc/60/99/27/1044609927.db2.gz MFCINJRBQRLQKS-UHFFFAOYSA-N 0 3 314.610 4.028 20 0 DIADHN Cc1ccc(F)c(CN(C)CCCCC(=O)OC(C)(C)C)c1 ZINC000444736728 1044614074 /nfs/dbraw/zinc/61/40/74/1044614074.db2.gz AQSSUIVGUCVTIW-UHFFFAOYSA-N 0 3 309.425 4.078 20 0 DIADHN Cc1ccsc1[C@H](C)NCc1ccnc(C(F)(F)F)c1 ZINC000296393388 1044614453 /nfs/dbraw/zinc/61/44/53/1044614453.db2.gz KEGJAECLJIMJBP-JTQLQIEISA-N 0 3 300.349 4.321 20 0 DIADHN Cc1[nH]nc(CN[C@H](C)c2ccc(Oc3cccnc3)cc2)c1C ZINC000886118368 1044618011 /nfs/dbraw/zinc/61/80/11/1044618011.db2.gz DPONTMPVTHIDIF-OAHLLOKOSA-N 0 3 322.412 4.065 20 0 DIADHN CC(C)[C@@H]1CCCN(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000444753872 1044618944 /nfs/dbraw/zinc/61/89/44/1044618944.db2.gz QYDQFLPYRGERNT-CQSZACIVSA-N 0 3 319.836 4.258 20 0 DIADHN C[C@@H]1C[C@H](NCCCCc2ccc(Cl)s2)c2nccn21 ZINC000296422891 1044619033 /nfs/dbraw/zinc/61/90/33/1044619033.db2.gz LNNQQPFZTGXQRL-YPMHNXCESA-N 0 3 309.866 4.216 20 0 DIADHN Cc1cc(OCc2coc(-c3cccs3)n2)c(C)c(C)n1 ZINC000568338432 1044619737 /nfs/dbraw/zinc/61/97/37/1044619737.db2.gz INMDLLQPLRMWNE-UHFFFAOYSA-N 0 3 300.383 4.302 20 0 DIADHN Cc1n[nH]c(CN[C@](C)(Cc2ccccc2)c2ccccc2)c1C ZINC000886146799 1044620738 /nfs/dbraw/zinc/62/07/38/1044620738.db2.gz CAPYMBUONOQCAK-OAQYLSRUSA-N 0 3 319.452 4.274 20 0 DIADHN Cc1cc(C)c(NC(=O)CN(CC2CCC2)C(C)C)c(Cl)c1 ZINC000444770738 1044622586 /nfs/dbraw/zinc/62/25/86/1044622586.db2.gz FRSKIEBAXCQHKI-UHFFFAOYSA-N 0 3 322.880 4.406 20 0 DIADHN Cc1cccc(CN2CCC(c3nc4cccc(C)c4o3)CC2)n1 ZINC000444767211 1044623839 /nfs/dbraw/zinc/62/38/39/1044623839.db2.gz PCKYSHZSRRHZMT-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN C[C@@H](N[C@H]1C[C@@H](CO)C1)c1ccc(Cl)c(Cl)c1Cl ZINC000631669970 1044623890 /nfs/dbraw/zinc/62/38/90/1044623890.db2.gz SFIZJKKXCTUSQX-HLTSFMKQSA-N 0 3 308.636 4.068 20 0 DIADHN FC[C@@H](N[C@@H]1CCOC2(CCCCC2)C1)c1ccc(F)cc1 ZINC000886178641 1044624519 /nfs/dbraw/zinc/62/45/19/1044624519.db2.gz AZSVIUWQPJDZIL-IAGOWNOFSA-N 0 3 309.400 4.308 20 0 DIADHN CCc1ccc([C@H](C)NCc2cnc(OC)c(Cl)c2)s1 ZINC000296538071 1044624613 /nfs/dbraw/zinc/62/46/13/1044624613.db2.gz QWBPQYIAKXNIAS-JTQLQIEISA-N 0 3 310.850 4.218 20 0 DIADHN c1ccc2c(CN[C@H]3CCOC4(CCCCC4)C3)nsc2c1 ZINC000886190333 1044625100 /nfs/dbraw/zinc/62/51/00/1044625100.db2.gz MWHAIWCAOHDUHC-AWEZNQCLSA-N 0 3 316.470 4.268 20 0 DIADHN CC[C@@H](NC[C@@H](C)Oc1ccccc1)c1ccc(F)cc1F ZINC000523388622 1044625279 /nfs/dbraw/zinc/62/52/79/1044625279.db2.gz SJDPBEDUCGRSBV-FZKQIMNGSA-N 0 3 305.368 4.473 20 0 DIADHN C[C@@H](NC(=O)CN1CCCC12CCC2)c1cccc2ccccc21 ZINC000444778568 1044627623 /nfs/dbraw/zinc/62/76/23/1044627623.db2.gz WPIULPMZRBQNGZ-MRXNPFEDSA-N 0 3 322.452 4.036 20 0 DIADHN CC1=Nc2ccccc2C12CCN(C[C@@H]1CC[C@@H](C3CC3)O1)CC2 ZINC000886267767 1044629207 /nfs/dbraw/zinc/62/92/07/1044629207.db2.gz UWMJSYFXETUCEN-PXNSSMCTSA-N 0 3 324.468 4.084 20 0 DIADHN CC(C)c1ccccc1NC(=O)CN(CC1CCC1)C(C)C ZINC000444788223 1044629501 /nfs/dbraw/zinc/62/95/01/1044629501.db2.gz ZLYZRKRUAYVDRR-UHFFFAOYSA-N 0 3 302.462 4.259 20 0 DIADHN CCn1ccnc1[C@H](C)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000296584025 1044629892 /nfs/dbraw/zinc/62/98/92/1044629892.db2.gz WFGZHJPOZFFDSC-NOLJZWGESA-N 0 3 303.837 4.153 20 0 DIADHN Cc1ccc(NC([O-])=[NH+]c2cc(C)nc3ccccc32)c(C)c1 ZINC001202734752 1044631418 /nfs/dbraw/zinc/63/14/18/1044631418.db2.gz HGSOAXVSUOJEEM-UHFFFAOYSA-N 0 3 305.381 4.226 20 0 DIADHN CCOc1ccc(CN[C@H]2CCc3c2cccc3F)cc1OC ZINC000886362620 1044635702 /nfs/dbraw/zinc/63/57/02/1044635702.db2.gz GHZMNLUCHNLJLT-KRWDZBQOSA-N 0 3 315.388 4.010 20 0 DIADHN CCCCN(CC(=O)NC(C)(C)CC)[C@@H](C)c1ccccc1 ZINC000523450514 1044637096 /nfs/dbraw/zinc/63/70/96/1044637096.db2.gz YWWJEEGPNSSFTM-INIZCTEOSA-N 0 3 304.478 4.155 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)C[C@@H]1CCC[C@@H](C)C1)c1ccco1 ZINC000568670499 1044643055 /nfs/dbraw/zinc/64/30/55/1044643055.db2.gz CCEVSXDRFCUAGP-YYIAUSFCSA-N 0 3 320.477 4.041 20 0 DIADHN CCC[C@@H](N[C@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886491584 1044646295 /nfs/dbraw/zinc/64/62/95/1044646295.db2.gz IRPKWFKJIQICMH-RDTXWAMCSA-N 0 3 312.413 4.060 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cncc(C(=O)OC)c1)c1ccccc1 ZINC000886491583 1044646705 /nfs/dbraw/zinc/64/67/05/1044646705.db2.gz IRPKWFKJIQICMH-KSSFIOAISA-N 0 3 312.413 4.060 20 0 DIADHN COc1cccc([C@H]2C[C@H](N(C)Cc3c(C)nsc3C)C2)c1 ZINC000568778258 1044648075 /nfs/dbraw/zinc/64/80/75/1044648075.db2.gz DUGWQBGONPINGA-WKILWMFISA-N 0 3 316.470 4.147 20 0 DIADHN COCCC(C)(C)NCc1cccc(Cl)c1Br ZINC000719493819 1044649472 /nfs/dbraw/zinc/64/94/72/1044649472.db2.gz VPBJAFHUQLRQIQ-UHFFFAOYSA-N 0 3 320.658 4.007 20 0 DIADHN CC[C@H](CSc1ccccc1)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC000296910143 1044650724 /nfs/dbraw/zinc/65/07/24/1044650724.db2.gz PHVHOPXHEIUXMT-IIAWOOMASA-N 0 3 301.459 4.049 20 0 DIADHN COCCCOc1cccc(CN[C@H](C)c2csc(C)c2)c1 ZINC000296930052 1044652967 /nfs/dbraw/zinc/65/29/67/1044652967.db2.gz QAOKTPAVPMUUSP-OAHLLOKOSA-N 0 3 319.470 4.323 20 0 DIADHN COC(=O)c1cncc([C@H](C)N[C@H](c2ccccc2)C2CCC2)c1 ZINC000886522518 1044653939 /nfs/dbraw/zinc/65/39/39/1044653939.db2.gz LDUTVBVGLNZFMB-IFXJQAMLSA-N 0 3 324.424 4.060 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4cccc(Cl)c4[nH]c32)[nH]n1 ZINC000886530890 1044654718 /nfs/dbraw/zinc/65/47/18/1044654718.db2.gz SJGCKXQPWNJNQW-HNNXBMFYSA-N 0 3 314.820 4.020 20 0 DIADHN Cc1cc(CN[C@H]2CCCc3c4cccc(Cl)c4[nH]c32)n[nH]1 ZINC000886530890 1044654723 /nfs/dbraw/zinc/65/47/23/1044654723.db2.gz SJGCKXQPWNJNQW-HNNXBMFYSA-N 0 3 314.820 4.020 20 0 DIADHN CCCNc1ccc(CNCc2cccc(OC(F)F)c2)cc1 ZINC000193153093 1044657170 /nfs/dbraw/zinc/65/71/70/1044657170.db2.gz KIWXQPWTRFMNON-UHFFFAOYSA-N 0 3 320.383 4.400 20 0 DIADHN CCOCCN(C)[C@@H](C)c1sc(-c2ccc(C)cc2)nc1C ZINC000523556982 1044659011 /nfs/dbraw/zinc/65/90/11/1044659011.db2.gz WSKYKRVIYWLRQQ-HNNXBMFYSA-N 0 3 318.486 4.456 20 0 DIADHN CC[C@@H](CO)N[C@H](c1cc(C)sc1C)c1cccc(Cl)c1 ZINC000568920700 1044660132 /nfs/dbraw/zinc/66/01/32/1044660132.db2.gz PWGDWJRRRJQEHT-RDJZCZTQSA-N 0 3 323.889 4.468 20 0 DIADHN C[C@H](N[C@H]1CCCc2c3cccc(Cl)c3[nH]c21)c1cn[nH]c1 ZINC000886557342 1044660602 /nfs/dbraw/zinc/66/06/02/1044660602.db2.gz YWPDCVZKZFPJKB-BONVTDFDSA-N 0 3 314.820 4.273 20 0 DIADHN CC(C)[C@H](N[C@@H]1CCCc2c(F)cc(F)cc21)c1cnn(C)c1 ZINC000886615340 1044667900 /nfs/dbraw/zinc/66/79/00/1044667900.db2.gz DOXKXIPOBNLYLU-MSOLQXFVSA-N 0 3 319.399 4.063 20 0 DIADHN CCCNC(=O)[C@@H](C)N[C@H](CC1CCCCC1)c1ccccc1 ZINC000523624508 1044670104 /nfs/dbraw/zinc/67/01/04/1044670104.db2.gz MREWOFHUORYMNZ-VQIMIIECSA-N 0 3 316.489 4.202 20 0 DIADHN CCCNC(=O)[C@H](C)N[C@H](CC1CCCCC1)c1ccccc1 ZINC000523624507 1044670151 /nfs/dbraw/zinc/67/01/51/1044670151.db2.gz MREWOFHUORYMNZ-QFBILLFUSA-N 0 3 316.489 4.202 20 0 DIADHN Fc1cccc(Cl)c1[C@@H]1C[C@H]1N[C@H]1CCc2cccnc21 ZINC000886623448 1044670794 /nfs/dbraw/zinc/67/07/94/1044670794.db2.gz DMLJLMBCVRYJGY-BYCMXARLSA-N 0 3 302.780 4.007 20 0 DIADHN CCCNC(=O)[C@@H](C)N[C@@H](CC1CCCCC1)c1ccccc1 ZINC000523624505 1044670897 /nfs/dbraw/zinc/67/08/97/1044670897.db2.gz MREWOFHUORYMNZ-APWZRJJASA-N 0 3 316.489 4.202 20 0 DIADHN Cc1ncc([C@H](C)N[C@@H](C)c2cc3ccncc3s2)s1 ZINC000631707867 1044678764 /nfs/dbraw/zinc/67/87/64/1044678764.db2.gz LIPZQJPMQRCXRZ-UWVGGRQHSA-N 0 3 303.456 4.473 20 0 DIADHN C[C@H](CCc1c[nH]c2ccccc12)NCc1cc(C2CC2)no1 ZINC000886686645 1044679506 /nfs/dbraw/zinc/67/95/06/1044679506.db2.gz VAUQQGCXISBLLI-CYBMUJFWSA-N 0 3 309.413 4.144 20 0 DIADHN Cc1ncc([C@@H](C)N[C@@H](C)c2cc3ccncc3s2)s1 ZINC000631707868 1044681829 /nfs/dbraw/zinc/68/18/29/1044681829.db2.gz LIPZQJPMQRCXRZ-VHSXEESVSA-N 0 3 303.456 4.473 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](C)c2cc3ccncc3s2)s1 ZINC000631707869 1044681929 /nfs/dbraw/zinc/68/19/29/1044681929.db2.gz LIPZQJPMQRCXRZ-ZJUUUORDSA-N 0 3 303.456 4.473 20 0 DIADHN CCCc1nc(CN2C[C@H](c3ccccc3)C[C@H]2C)cs1 ZINC000523760227 1044683669 /nfs/dbraw/zinc/68/36/69/1044683669.db2.gz JNPNRNPGFCSEMM-GDBMZVCRSA-N 0 3 300.471 4.474 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](F)C1)c1ncc(-c2ccccc2)n1C ZINC000569240858 1044684784 /nfs/dbraw/zinc/68/47/84/1044684784.db2.gz IQBKBMDCDHMQKA-BPUTZDHNSA-N 0 3 301.409 4.018 20 0 DIADHN COC1(C[C@H](C)N[C@@H](c2ccccc2)c2ccccn2)CCC1 ZINC000365402848 1044688579 /nfs/dbraw/zinc/68/85/79/1044688579.db2.gz LFIXRHANKXPPCK-LPHOPBHVSA-N 0 3 310.441 4.108 20 0 DIADHN Cc1ncc(CN2CC[C@H](CSc3ccccc3)C2)s1 ZINC000075964657 1044691833 /nfs/dbraw/zinc/69/18/33/1044691833.db2.gz GMMOLSMQWHDABZ-AWEZNQCLSA-N 0 3 304.484 4.066 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@@H](C)N(Cc2nnc(-c3ccco3)o2)C1 ZINC000076387147 1044693490 /nfs/dbraw/zinc/69/34/90/1044693490.db2.gz IAAUEAZIWQVNJH-HUUCEWRRSA-N 0 3 323.396 4.016 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1Cl)N1CC[C@@H](COC)C1 ZINC000445257670 1044694725 /nfs/dbraw/zinc/69/47/25/1044694725.db2.gz KNXLKSKOIXEUAF-ABAIWWIYSA-N 0 3 302.245 4.413 20 0 DIADHN C[C@@H](NCC1(c2cccc(F)c2)CC1)c1cccnc1Cl ZINC000155302479 1044697222 /nfs/dbraw/zinc/69/72/22/1044697222.db2.gz GIGHVQHISLGSPN-GFCCVEGCSA-N 0 3 304.796 4.257 20 0 DIADHN CC[C@@H](c1ccc(Cl)cc1Cl)N1CC[C@H](CCO)C1 ZINC000445317080 1044704921 /nfs/dbraw/zinc/70/49/21/1044704921.db2.gz GXECYHALCGWKBU-ABAIWWIYSA-N 0 3 302.245 4.149 20 0 DIADHN CCOc1cccc(CNCc2ccc(Br)cc2)c1 ZINC000037505686 1044711310 /nfs/dbraw/zinc/71/13/10/1044711310.db2.gz ACMUJPQCZYCUTK-UHFFFAOYSA-N 0 3 320.230 4.138 20 0 DIADHN CCc1nc([C@@H](C)N[C@H](CC)c2cccc3ccccc32)n[nH]1 ZINC000886920521 1044719065 /nfs/dbraw/zinc/71/90/65/1044719065.db2.gz AEPFBFVJGBVJCP-CXAGYDPISA-N 0 3 308.429 4.322 20 0 DIADHN CCc1nc([C@@H](C)N[C@@H](CC)c2cccc3ccccc32)n[nH]1 ZINC000886920522 1044720228 /nfs/dbraw/zinc/72/02/28/1044720228.db2.gz AEPFBFVJGBVJCP-DYVFJYSZSA-N 0 3 308.429 4.322 20 0 DIADHN C[C@H](c1nc(-c2c[nH]c3ccccc23)no1)N1[C@H](C)CC[C@@H]1C ZINC000445441405 1044721070 /nfs/dbraw/zinc/72/10/70/1044721070.db2.gz HBCQFSSCOGEPEM-FRRDWIJNSA-N 0 3 310.401 4.152 20 0 DIADHN COc1cc([C@@H](C)NCc2cc[nH]c2)ccc1OCCC(C)C ZINC000086251218 1044731362 /nfs/dbraw/zinc/73/13/62/1044731362.db2.gz UEASTLDDVKYAFK-OAHLLOKOSA-N 0 3 316.445 4.299 20 0 DIADHN CC(C)(C)c1cc(O)c([O-])c(C[N@H+](C2CC2)C2CCCC2)c1 ZINC000029539872 1044738907 /nfs/dbraw/zinc/73/89/07/1044738907.db2.gz SFDZQLRFXNBPGM-UHFFFAOYSA-N 0 3 303.446 4.302 20 0 DIADHN CC(C)(C)c1cc(O)c([O-])c(C[N@@H+](C2CC2)C2CCCC2)c1 ZINC000029539872 1044738911 /nfs/dbraw/zinc/73/89/11/1044738911.db2.gz SFDZQLRFXNBPGM-UHFFFAOYSA-N 0 3 303.446 4.302 20 0 DIADHN COc1ccccc1SC[C@@H](C)CN[C@@H](C)c1nccs1 ZINC000089515593 1044738915 /nfs/dbraw/zinc/73/89/15/1044738915.db2.gz XOKYRWSOGUVQEF-STQMWFEESA-N 0 3 322.499 4.231 20 0 DIADHN COc1ccccc1SC[C@H](C)CN[C@@H](C)c1nccs1 ZINC000089515594 1044739642 /nfs/dbraw/zinc/73/96/42/1044739642.db2.gz XOKYRWSOGUVQEF-OLZOCXBDSA-N 0 3 322.499 4.231 20 0 DIADHN CC[C@H](C)C(=O)Nc1cccc(CN[C@H]2CCc3ccccc32)c1 ZINC000089513695 1044740810 /nfs/dbraw/zinc/74/08/10/1044740810.db2.gz FCRKWHKOLYRPIL-YWZLYKJASA-N 0 3 322.452 4.448 20 0 DIADHN C[C@@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(F)c2ccccc21 ZINC000367492036 1044741851 /nfs/dbraw/zinc/74/18/51/1044741851.db2.gz XVFUIPHBCDUNCH-KZULUSFZSA-N 0 3 309.388 4.430 20 0 DIADHN CC1(C)CC[C@H](NCc2cnc3n2CCCC3)c2ccccc21 ZINC000367439449 1044742151 /nfs/dbraw/zinc/74/21/51/1044742151.db2.gz UQVHQUBNXMYVIZ-SFHVURJKSA-N 0 3 309.457 4.122 20 0 DIADHN C[C@H](N[C@@H]1CCCc2n[nH]cc21)c1ccc(F)c2ccccc21 ZINC000367492034 1044742511 /nfs/dbraw/zinc/74/25/11/1044742511.db2.gz XVFUIPHBCDUNCH-KPZWWZAWSA-N 0 3 309.388 4.430 20 0 DIADHN C[C@@H](N[C@H](C)c1cccc(N2CCCC2=O)c1)c1ccccc1 ZINC000089553287 1044743129 /nfs/dbraw/zinc/74/31/29/1044743129.db2.gz KYCKDMZFLWZWSG-HZPDHXFCSA-N 0 3 308.425 4.225 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000090034950 1044746637 /nfs/dbraw/zinc/74/66/37/1044746637.db2.gz PRPSITCPTJKLAH-PRXAMGSTSA-N 0 3 322.811 4.301 20 0 DIADHN c1csc([C@@H](Cc2ccccc2)N[C@@H]2CCCc3n[nH]cc32)c1 ZINC000367597818 1044747311 /nfs/dbraw/zinc/74/73/11/1044747311.db2.gz CELMIZKWESJUQB-SJLPKXTDSA-N 0 3 323.465 4.422 20 0 DIADHN c1csc([C@H](Cc2ccccc2)N[C@@H]2CCCc3n[nH]cc32)c1 ZINC000367597814 1044747653 /nfs/dbraw/zinc/74/76/53/1044747653.db2.gz CELMIZKWESJUQB-AEFFLSMTSA-N 0 3 323.465 4.422 20 0 DIADHN CC(=O)N1CC[C@H](NCc2ccc3occc3c2)c2ccccc21 ZINC000367604237 1044748642 /nfs/dbraw/zinc/74/86/42/1044748642.db2.gz SXOOGRUGPXUCMR-SFHVURJKSA-N 0 3 320.392 4.020 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1)c1cccc(Cl)c1Cl ZINC000221735161 1044749196 /nfs/dbraw/zinc/74/91/96/1044749196.db2.gz NWUHQOLRMUAIQF-ABAIWWIYSA-N 0 3 310.224 4.378 20 0 DIADHN CC[C@H](NCc1cnn(C)c1C)c1ccc2cc(OC)ccc2c1 ZINC000090888128 1044751618 /nfs/dbraw/zinc/75/16/18/1044751618.db2.gz LRBVJHJWXHQLEH-FQEVSTJZSA-N 0 3 323.440 4.131 20 0 DIADHN CCCCN(Cc1nnc(C)n1CCC)[C@@H]1CCC[C@H](C)C1 ZINC000570499748 1044763668 /nfs/dbraw/zinc/76/36/68/1044763668.db2.gz LNYZEEUOTWXZIJ-DOTOQJQBSA-N 0 3 306.498 4.177 20 0 DIADHN Cc1cnc([C@H](C)NC2CC(c3cc(F)cc(F)c3)C2)s1 ZINC000368031270 1044763792 /nfs/dbraw/zinc/76/37/92/1044763792.db2.gz SPJXRQSDCBVMFU-VLRKGOCCSA-N 0 3 308.397 4.327 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1ccc2[nH]cnc2c1 ZINC000570557524 1044764143 /nfs/dbraw/zinc/76/41/43/1044764143.db2.gz HDZQTHPCXWDWLQ-GFCCVEGCSA-N 0 3 317.845 4.445 20 0 DIADHN Clc1cc2c(s1)CCC[C@H]2NCc1ccc2nc[nH]c2c1 ZINC000570557524 1044764157 /nfs/dbraw/zinc/76/41/57/1044764157.db2.gz HDZQTHPCXWDWLQ-GFCCVEGCSA-N 0 3 317.845 4.445 20 0 DIADHN Fc1ccc([C@@H](NCc2ccc3c(n2)CCC3)C2CCC2)cc1 ZINC000570536474 1044765085 /nfs/dbraw/zinc/76/50/85/1044765085.db2.gz SDFBCBVIHAGGNN-FQEVSTJZSA-N 0 3 310.416 4.340 20 0 DIADHN Cc1cc(CNCc2ccc(F)cc2C)ccc1Br ZINC001202738656 1044766757 /nfs/dbraw/zinc/76/67/57/1044766757.db2.gz AYXZSIWWGJDOPL-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN COC[C@H]1CCCCN(Cc2cscc2C(F)(F)F)C1 ZINC000356440609 1044773144 /nfs/dbraw/zinc/77/31/44/1044773144.db2.gz QCZCOBBQXIWULS-NSHDSACASA-N 0 3 307.381 4.015 20 0 DIADHN CS[C@@H]1CCC[C@H](NCc2coc(-c3ccccc3)n2)C1 ZINC000156192239 1044777318 /nfs/dbraw/zinc/77/73/18/1044777318.db2.gz MFWWFWFOPOSOQF-GOEBONIOSA-N 0 3 302.443 4.105 20 0 DIADHN Fc1ccc(-c2cnc(CN3CC[C@H](CC4CC4)C3)o2)cc1 ZINC000570743957 1044778071 /nfs/dbraw/zinc/77/80/71/1044778071.db2.gz VHNSZJMLSPEKEQ-CQSZACIVSA-N 0 3 300.377 4.103 20 0 DIADHN CN(C)Cc1cnc(CN2CCCC3(CCCCC3)CC2)s1 ZINC000348418575 1044794656 /nfs/dbraw/zinc/79/46/56/1044794656.db2.gz ZLUOVXOAGBKOBM-UHFFFAOYSA-N 0 3 321.534 4.141 20 0 DIADHN Cc1ccc(C)c(NC(=O)[C@@H](C)N2CCC3(C2)CCCCC3)c1 ZINC000571132861 1044798495 /nfs/dbraw/zinc/79/84/95/1044798495.db2.gz FTLXSCWIDXROJJ-QGZVFWFLSA-N 0 3 314.473 4.287 20 0 DIADHN CC(C)O[C@@H](CN(Cc1ccccn1)C(C)C)c1ccccc1 ZINC000571393053 1044811885 /nfs/dbraw/zinc/81/18/85/1044811885.db2.gz MKFKXFSBOJVIDG-FQEVSTJZSA-N 0 3 312.457 4.458 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2cccc(C(F)(F)F)c2)C1)c1cnccn1 ZINC000369704813 1044812809 /nfs/dbraw/zinc/81/28/09/1044812809.db2.gz RUGXPQZGIVCFOR-UXIGCNINSA-N 0 3 321.346 4.092 20 0 DIADHN Cc1cc(C)cc([C@@H](NC[C@@H]2CCC=CO2)c2cccnc2)c1 ZINC000369737306 1044814661 /nfs/dbraw/zinc/81/46/61/1044814661.db2.gz SAZQODUQMIOZQA-PMACEKPBSA-N 0 3 308.425 4.070 20 0 DIADHN Cc1cnc(CNC2CCC(C)(c3ccccc3)CC2)s1 ZINC000571548469 1044816903 /nfs/dbraw/zinc/81/69/03/1044816903.db2.gz SUDMVUTYNNWHBU-UHFFFAOYSA-N 0 3 300.471 4.442 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)C1CCC(C)(C)CC1)c1ccco1 ZINC000571988306 1044835113 /nfs/dbraw/zinc/83/51/13/1044835113.db2.gz AIZYDODJKFQXDV-HOCLYGCPSA-N 0 3 320.477 4.041 20 0 DIADHN CCC(C)(C)[C@H](C)NCc1nc(C)c(Br)s1 ZINC000571991129 1044837040 /nfs/dbraw/zinc/83/70/40/1044837040.db2.gz KNPOWERRZPSLEM-VIFPVBQESA-N 0 3 305.285 4.128 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N1CCCC2(CCCC2)C1 ZINC000445865033 1044842755 /nfs/dbraw/zinc/84/27/55/1044842755.db2.gz CGIKZGKZUPEYGA-INIZCTEOSA-N 0 3 314.473 4.232 20 0 DIADHN Cc1ccc([C@@H]2CCC[C@@H]2NCc2nnc(C(C)C)s2)cc1 ZINC000572186464 1044847444 /nfs/dbraw/zinc/84/74/44/1044847444.db2.gz CELPLFLHBWOCAK-HOTGVXAUSA-N 0 3 315.486 4.396 20 0 DIADHN CO[C@H](CN1CCC(F)(F)[C@H](C)C1)c1ccc(Cl)cc1 ZINC000572207543 1044848296 /nfs/dbraw/zinc/84/82/96/1044848296.db2.gz ATSQZYMVPBZGMU-BXUZGUMPSA-N 0 3 303.780 4.005 20 0 DIADHN C[C@@H]1CCC[C@H](CCNC(=O)Nc2cccc(CN(C)C)c2)C1 ZINC000524550932 1044863588 /nfs/dbraw/zinc/86/35/88/1044863588.db2.gz NUFDEWWKNHLSJN-HZPDHXFCSA-N 0 3 317.477 4.086 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NCc1ccc(F)c(C)c1 ZINC000572520037 1044863496 /nfs/dbraw/zinc/86/34/96/1044863496.db2.gz UBYGGKCFTDSDML-MRXNPFEDSA-N 0 3 303.352 4.059 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CCc2cccc(F)c2F)n1 ZINC000572590257 1044866288 /nfs/dbraw/zinc/86/62/88/1044866288.db2.gz OXCUOWMOBGDTDM-KRWDZBQOSA-N 0 3 302.368 4.048 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@H]2c2ccc(F)cc2)s1 ZINC000371288695 1044866889 /nfs/dbraw/zinc/86/68/89/1044866889.db2.gz DHIJZZUGQFKCPR-LSDHHAIUSA-N 0 3 319.449 4.227 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@@H]2CC[C@@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000572969418 1044887977 /nfs/dbraw/zinc/88/79/77/1044887977.db2.gz BEXLZOWFZPAUFB-UKRRQHHQSA-N 0 3 318.852 4.007 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H](C)N(Cc2ccc(Cl)cn2)C1 ZINC000572981427 1044888195 /nfs/dbraw/zinc/88/81/95/1044888195.db2.gz JAMVZEYITXTMKC-GJZGRUSLSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@@H](c3cccc(Cl)c3)C2)[n-]1 ZINC000572969417 1044888585 /nfs/dbraw/zinc/88/85/85/1044888585.db2.gz BEXLZOWFZPAUFB-HIFRSBDPSA-N 0 3 318.852 4.007 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC[C@@H]1C[C@H](C)CC[C@H]1C(C)C ZINC001626145973 1044891615 /nfs/dbraw/zinc/89/16/15/1044891615.db2.gz VJIHDHCJFNNYNO-YDZRNGNQSA-N 0 3 322.537 4.076 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H](C)N1CCCCC(C)(C)C1 ZINC000446068784 1044893452 /nfs/dbraw/zinc/89/34/52/1044893452.db2.gz SUOBOUCJHYRRKF-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CC1(C)CN(Cc2ccccc2Oc2ccccc2)CC[C@H]1O ZINC000446062255 1044894849 /nfs/dbraw/zinc/89/48/49/1044894849.db2.gz NBXPNQOTXHYSNM-LJQANCHMSA-N 0 3 311.425 4.072 20 0 DIADHN COC[C@@H]1CCCCN([C@@H](C)c2ncc(-c3ccccc3)o2)C1 ZINC000372097475 1044905595 /nfs/dbraw/zinc/90/55/95/1044905595.db2.gz ZQSVVASXJWOYOT-JKSUJKDBSA-N 0 3 314.429 4.151 20 0 DIADHN CCOC(=O)CCN(Cc1ccccc1)[C@H](C)c1cccs1 ZINC000524750582 1044912250 /nfs/dbraw/zinc/91/22/50/1044912250.db2.gz MDHOUQBDBXFGBZ-OAHLLOKOSA-N 0 3 317.454 4.265 20 0 DIADHN CC[C@H](N[C@H]1CCC[C@H]1OC(F)F)c1cc(F)ccc1F ZINC000337545055 1044916921 /nfs/dbraw/zinc/91/69/21/1044916921.db2.gz KZLYNTOULDYEEQ-MELADBBJSA-N 0 3 305.315 4.166 20 0 DIADHN CCN(C)c1ccc(CNCc2cc3ccccc3s2)cn1 ZINC000573666555 1044918343 /nfs/dbraw/zinc/91/83/43/1044918343.db2.gz KDAHXVQMOCEDFY-UHFFFAOYSA-N 0 3 311.454 4.042 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)c1ccc(F)c(F)c1)CCC2 ZINC000133292253 1044934541 /nfs/dbraw/zinc/93/45/41/1044934541.db2.gz WBOKONTXMZQPQZ-YMTOWFKASA-N 0 3 308.397 4.458 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@@H](C)c2ccncc2F)cc1 ZINC000573986522 1044935070 /nfs/dbraw/zinc/93/50/70/1044935070.db2.gz JKPYFOHGKQJCHW-KGLIPLIRSA-N 0 3 304.434 4.361 20 0 DIADHN CCC(CC)N(CC)Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000574076350 1044939698 /nfs/dbraw/zinc/93/96/98/1044939698.db2.gz YTUSSYKJHVHAGT-UHFFFAOYSA-N 0 3 307.825 4.401 20 0 DIADHN CCCN(Cc1nc2ccccc2o1)[C@H]1C[C@@H](OCC)C1(C)C ZINC000524894465 1044942940 /nfs/dbraw/zinc/94/29/40/1044942940.db2.gz LDOJKPAGFKYNNS-DLBZAZTESA-N 0 3 316.445 4.243 20 0 DIADHN Cc1oc2ccccc2c1CN[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000574146180 1044944170 /nfs/dbraw/zinc/94/41/70/1044944170.db2.gz UIOLOVDFSQZBIA-LBPRGKRZSA-N 0 3 305.381 4.468 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@H]1CCOC1)c1ccc(Cl)nc1 ZINC000373168511 1044946224 /nfs/dbraw/zinc/94/62/24/1044946224.db2.gz ZHPVSNZGVZEKCH-QANKJYHBSA-N 0 3 316.832 4.163 20 0 DIADHN C[C@@H](c1ccccc1)N(Cc1ccc(-c2cc[nH]n2)o1)C1CC1 ZINC000574365819 1044949339 /nfs/dbraw/zinc/94/93/39/1044949339.db2.gz ICPCHOTXHWEDCK-AWEZNQCLSA-N 0 3 307.397 4.395 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc(-c3cnn(C)c3)s2)c(C)o1 ZINC000337817034 1044952407 /nfs/dbraw/zinc/95/24/07/1044952407.db2.gz OWMDZHUUJLBQFC-LBPRGKRZSA-N 0 3 315.442 4.209 20 0 DIADHN Cc1cc([C@H](C)N[C@@H](C)CCc2ccc(OC(C)C)cc2)no1 ZINC000574746000 1044969398 /nfs/dbraw/zinc/96/93/98/1044969398.db2.gz DCNZHECDTYLJJO-HOCLYGCPSA-N 0 3 316.445 4.442 20 0 DIADHN CC(C)c1ncc(CN(C)C[C@@H](C)c2cccc(Cl)c2)cn1 ZINC000574798674 1044973279 /nfs/dbraw/zinc/97/32/79/1044973279.db2.gz XOYOVYSAUNFOAE-CQSZACIVSA-N 0 3 317.864 4.489 20 0 DIADHN OC1(CCN2CCc3sccc3[C@@H]2c2cccs2)CCC1 ZINC000411117003 1044975023 /nfs/dbraw/zinc/97/50/23/1044975023.db2.gz ATDVHRXDCRBLGT-MRXNPFEDSA-N 0 3 319.495 4.062 20 0 DIADHN C[C@@H](NCc1cccc(COCC(F)(F)F)c1)c1cccnc1 ZINC000136605654 1044987193 /nfs/dbraw/zinc/98/71/93/1044987193.db2.gz FMVQNPBJCZFUMC-CYBMUJFWSA-N 0 3 324.346 4.011 20 0 DIADHN COC(=O)c1coc(CN2CCC[C@H]2c2cccc(C)c2C)c1 ZINC000525120966 1044988354 /nfs/dbraw/zinc/98/83/54/1044988354.db2.gz HFUKWYRLMIKRPL-SFHVURJKSA-N 0 3 313.397 4.020 20 0 DIADHN CC[C@H]1CCCCN1C(=O)c1cccc(CN2CCCCC2)c1 ZINC000411252993 1044989889 /nfs/dbraw/zinc/98/98/89/1044989889.db2.gz KZNADXPYGHCLMK-IBGZPJMESA-N 0 3 314.473 4.077 20 0 DIADHN COCc1ccc([C@@H]2CCCN2Cc2ccc3ncccc3c2)o1 ZINC000137085854 1044992432 /nfs/dbraw/zinc/99/24/32/1044992432.db2.gz TXVRECMGNNZLCO-IBGZPJMESA-N 0 3 322.408 4.311 20 0 DIADHN Clc1ccc2nc(CN3CCC4(C3)CCCCC4)cn2c1 ZINC000525122352 1044992321 /nfs/dbraw/zinc/99/23/21/1044992321.db2.gz CNHUZJRDCAVZBJ-UHFFFAOYSA-N 0 3 303.837 4.144 20 0 DIADHN Cc1ccc2ncc(CN(C)[C@@H](CCO)c3ccccc3)cc2c1 ZINC000411296850 1044992493 /nfs/dbraw/zinc/99/24/93/1044992493.db2.gz QRDFNJJKHQTBIL-NRFANRHFSA-N 0 3 320.436 4.099 20 0 DIADHN CCCN(C[C@@H]1CCCCO1)[C@H](C)c1nc2ccccc2o1 ZINC000411362176 1045000401 /nfs/dbraw/zinc/00/04/01/1045000401.db2.gz BAZRCNAWSIROQS-CABCVRRESA-N 0 3 302.418 4.170 20 0 DIADHN Clc1oc2ccccc2c1CN1CC[C@@H](c2cccnc2)C1 ZINC000575803828 1045008906 /nfs/dbraw/zinc/00/89/06/1045008906.db2.gz KYSJJEIVZISDHD-CQSZACIVSA-N 0 3 312.800 4.471 20 0 DIADHN C[C@@H](NCc1nc2ccc(Cl)cc2c(=O)[nH]1)C1CCCCC1 ZINC000411465504 1045011658 /nfs/dbraw/zinc/01/16/58/1045011658.db2.gz WZFNVVUMMOYDMF-LLVKDONJSA-N 0 3 319.836 4.047 20 0 DIADHN CC1(C)CC[C@@H](CN[C@@H](CC(F)(F)F)c2ccccc2)O1 ZINC000411457918 1045011869 /nfs/dbraw/zinc/01/18/69/1045011869.db2.gz OEPJPNLAPNVCSZ-KBPBESRZSA-N 0 3 301.352 4.227 20 0 DIADHN C[C@@H](NCc1cccnc1)c1ccc(SCC(F)F)cc1 ZINC000151077347 1045013795 /nfs/dbraw/zinc/01/37/95/1045013795.db2.gz ADUOIHAETNNZGT-GFCCVEGCSA-N 0 3 308.397 4.290 20 0 DIADHN Nc1cc(CN2CCCCC[C@@H]2/C=C/c2cccs2)ccn1 ZINC000411509520 1045014144 /nfs/dbraw/zinc/01/41/44/1045014144.db2.gz WXVCRQRICPXLBK-KXPUMZMLSA-N 0 3 313.470 4.183 20 0 DIADHN C[C@H]1C[C@H](NCc2cccnc2)c2cccc(Br)c21 ZINC000151095887 1045015799 /nfs/dbraw/zinc/01/57/99/1045015799.db2.gz HABJQTJOUXPEDM-NHYWBVRUSA-N 0 3 317.230 4.182 20 0 DIADHN C[C@@H]1C[C@H](NCc2cccnc2)c2cccc(Br)c21 ZINC000151095835 1045015774 /nfs/dbraw/zinc/01/57/74/1045015774.db2.gz HABJQTJOUXPEDM-ABAIWWIYSA-N 0 3 317.230 4.182 20 0 DIADHN COCc1ccc([C@H]2CCCN2[C@H]2C[C@H]2c2cccc(F)c2)o1 ZINC000411536481 1045016273 /nfs/dbraw/zinc/01/62/73/1045016273.db2.gz BQIBNLAWBVEBFG-KSZLIROESA-N 0 3 315.388 4.258 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC3(C)C)cs2)cc1 ZINC000575888881 1045016260 /nfs/dbraw/zinc/01/62/60/1045016260.db2.gz QOEWJEAUSZAHNC-OAHLLOKOSA-N 0 3 302.443 4.097 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc(F)c(COC)c2)s1 ZINC000151174851 1045016328 /nfs/dbraw/zinc/01/63/28/1045016328.db2.gz FTHWGRRPDADKBM-LLVKDONJSA-N 0 3 322.449 4.150 20 0 DIADHN CC(C)[C@H]1CCN([C@H](C)c2nnc(-c3cccc(Cl)c3)o2)C1 ZINC000446714978 1045017840 /nfs/dbraw/zinc/01/78/40/1045017840.db2.gz PJEAWFWTCAOXGH-OCCSQVGLSA-N 0 3 319.836 4.429 20 0 DIADHN Cc1nc([C@H](C)N[C@H](C)c2ccc(Cl)c3ccccc32)n[nH]1 ZINC000411552514 1045018378 /nfs/dbraw/zinc/01/83/78/1045018378.db2.gz NUBOHAYNHCSPLW-MNOVXSKESA-N 0 3 314.820 4.332 20 0 DIADHN CCOCc1ccc(CN[C@@H](CC(C)C)c2ccccn2)cc1 ZINC000151610010 1045027853 /nfs/dbraw/zinc/02/78/53/1045027853.db2.gz MADFGONOVKELAU-FQEVSTJZSA-N 0 3 312.457 4.495 20 0 DIADHN CCOCc1ccc(CN[C@H](CC(C)C)c2ccccn2)cc1 ZINC000151610077 1045028900 /nfs/dbraw/zinc/02/89/00/1045028900.db2.gz MADFGONOVKELAU-HXUWFJFHSA-N 0 3 312.457 4.495 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCC([C@H]2CCCO2)CC1 ZINC000576056230 1045029201 /nfs/dbraw/zinc/02/92/01/1045029201.db2.gz ZBHDRTKKBWKUKS-HXUWFJFHSA-N 0 3 316.489 4.158 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cccc(C)c1C)c1ccccc1 ZINC000411882872 1045032638 /nfs/dbraw/zinc/03/26/38/1045032638.db2.gz BJGYPPRHYDKAKP-AEFFLSMTSA-N 0 3 310.441 4.371 20 0 DIADHN CC[C@H](NCC(=O)Nc1sc(C)c(C)c1C)c1ccccc1 ZINC000411883207 1045033330 /nfs/dbraw/zinc/03/33/30/1045033330.db2.gz BNGHHQLZNAAMPN-INIZCTEOSA-N 0 3 316.470 4.353 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N[C@@H](CC)c1ccccc1 ZINC000411888328 1045036661 /nfs/dbraw/zinc/03/66/61/1045036661.db2.gz QRUFMUUAYGVTDB-YJBOKZPZSA-N 0 3 310.441 4.317 20 0 DIADHN Cc1cccc([C@@H]2CCCN2C[C@H]2CCC3(CCCC3)O2)n1 ZINC000576124355 1045036722 /nfs/dbraw/zinc/03/67/22/1045036722.db2.gz PGDGYTWLXUDZES-AEFFLSMTSA-N 0 3 300.446 4.019 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1C[C@H](O)C[C@H]1c1ccccc1 ZINC000411924948 1045038809 /nfs/dbraw/zinc/03/88/09/1045038809.db2.gz MUWNJGUKXDQPBX-NQIIRXRSSA-N 0 3 324.468 4.075 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@H]2CCCc3occc32)cc1OC ZINC000152239874 1045038928 /nfs/dbraw/zinc/03/89/28/1045038928.db2.gz XMSPXDBEWSWDKT-BBRMVZONSA-N 0 3 315.413 4.333 20 0 DIADHN COc1cncc(CN[C@H](Cc2ccccc2)c2cccs2)c1 ZINC000576188668 1045040760 /nfs/dbraw/zinc/04/07/60/1045040760.db2.gz GTRNFLFVTQEXJL-GOSISDBHSA-N 0 3 324.449 4.225 20 0 DIADHN O[C@H]1C[C@@H](c2ccccc2)N(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000411954165 1045041351 /nfs/dbraw/zinc/04/13/51/1045041351.db2.gz USTZFTJEIUEAQR-IRXDYDNUSA-N 0 3 321.342 4.013 20 0 DIADHN CC(C)CCOc1ccc([C@H](C)N[C@@H](C)c2cnn(C)c2)cc1 ZINC000152550700 1045043462 /nfs/dbraw/zinc/04/34/62/1045043462.db2.gz TXZJXVFLBSDGCR-HOTGVXAUSA-N 0 3 315.461 4.257 20 0 DIADHN CC(C)n1cc(CN(C)[C@H]2CCCC[C@H]2c2ccccc2)nn1 ZINC000412004064 1045043453 /nfs/dbraw/zinc/04/34/53/1045043453.db2.gz BWRCIPQXWCYAKT-OALUTQOASA-N 0 3 312.461 4.017 20 0 DIADHN CCO[C@@H](CNCc1cc2cc(F)ccc2o1)c1ccccc1 ZINC000446850040 1045052807 /nfs/dbraw/zinc/05/28/07/1045052807.db2.gz USTYKMUNNSWTSZ-IBGZPJMESA-N 0 3 313.372 4.439 20 0 DIADHN CCN1CCCN(C(=O)c2ccc(C(C)C)c(Cl)c2)[C@H](C)C1 ZINC001625951264 1045052880 /nfs/dbraw/zinc/05/28/80/1045052880.db2.gz WHLOZZBSLLGKMS-CQSZACIVSA-N 0 3 322.880 4.020 20 0 DIADHN N#CC(C(=O)CCc1ccccc1)c1ccc2ccccc2n1 ZINC000047376097 1045053014 /nfs/dbraw/zinc/05/30/14/1045053014.db2.gz YRCTUPYIMDWYBD-KRWDZBQOSA-N 0 3 300.361 4.044 20 0 DIADHN Cc1nc(C(C)C)oc1CN[C@@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000412084916 1045054504 /nfs/dbraw/zinc/05/45/04/1045054504.db2.gz VCBZTBYXDSYQPP-CABCVRRESA-N 0 3 308.466 4.178 20 0 DIADHN CCO[C@H](CNCc1ccccc1OC(C)C)c1ccccc1 ZINC000446853989 1045055341 /nfs/dbraw/zinc/05/53/41/1045055341.db2.gz YTGLRAJVDAAYOQ-HXUWFJFHSA-N 0 3 313.441 4.341 20 0 DIADHN Fc1ccc(CN[C@H](c2ccccc2)[C@H]2CCOC2)cc1Cl ZINC000374467128 1045061105 /nfs/dbraw/zinc/06/11/05/1045061105.db2.gz AIQYLWDGBPHPAP-MAUKXSAKSA-N 0 3 319.807 4.347 20 0 DIADHN C[C@H](N[C@@H]1CCC[C@H]1C1CC1)c1nc(-c2cccc(F)c2)no1 ZINC000576522755 1045062503 /nfs/dbraw/zinc/06/25/03/1045062503.db2.gz IEOJGWQGORJONH-KNXALSJPSA-N 0 3 315.392 4.105 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)[C@H]1CCOC1)c1ccc(F)c(F)c1 ZINC000374500791 1045063957 /nfs/dbraw/zinc/06/39/57/1045063957.db2.gz GKAAQJKPUFHPPZ-AXHNFQJDSA-N 0 3 317.379 4.393 20 0 DIADHN CCOc1ccc(-c2nc(CN3C[C@H](C)C[C@H]3C)cs2)cc1 ZINC000525410049 1045065038 /nfs/dbraw/zinc/06/50/38/1045065038.db2.gz DSVBPACTERSPDX-ZIAGYGMSSA-N 0 3 316.470 4.439 20 0 DIADHN Cc1ccc([C@@H](NCc2cccc(N(C)C)n2)C2CCCC2)cc1 ZINC000446940898 1045075661 /nfs/dbraw/zinc/07/56/61/1045075661.db2.gz WVGDAPNINPTCQY-NRFANRHFSA-N 0 3 323.484 4.477 20 0 DIADHN FC(F)COc1ccc(CN2CC[C@@H](CC(F)(F)F)C2)cc1 ZINC000446977388 1045079199 /nfs/dbraw/zinc/07/91/99/1045079199.db2.gz NPODQMFMYNKFNF-LBPRGKRZSA-N 0 3 323.305 4.105 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cc(F)ccc1F)c1ccccc1 ZINC000447034816 1045085785 /nfs/dbraw/zinc/08/57/85/1045085785.db2.gz ALKHZBOCZMECBL-ACJLOTCBSA-N 0 3 305.368 4.393 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccs3)o2)[C@@H]2CCC[C@H]21 ZINC000576883546 1045085879 /nfs/dbraw/zinc/08/58/79/1045085879.db2.gz ACKGVRJBAWMCQT-CHWSQXEVSA-N 0 3 302.443 4.414 20 0 DIADHN CC1(C)CN(Cc2ncc(-c3cccs3)o2)[C@H]2CCC[C@H]21 ZINC000576883547 1045085971 /nfs/dbraw/zinc/08/59/71/1045085971.db2.gz ACKGVRJBAWMCQT-OLZOCXBDSA-N 0 3 302.443 4.414 20 0 DIADHN CN(Cc1cc(C(C)(C)C)[nH]n1)Cc1cc2cc(F)ccc2o1 ZINC000447081124 1045091474 /nfs/dbraw/zinc/09/14/74/1045091474.db2.gz CHIPFMILDSQMMK-UHFFFAOYSA-N 0 3 315.392 4.225 20 0 DIADHN CCc1cc(C(=O)Nc2ccc(CN(C)C)cc2F)sc1C ZINC000412550806 1045091515 /nfs/dbraw/zinc/09/15/15/1045091515.db2.gz QCUDQANQIWXXLQ-UHFFFAOYSA-N 0 3 320.433 4.072 20 0 DIADHN CC(C)c1ccc(C(=O)Nc2ccc(CN(C)C)cc2F)cc1 ZINC000412543916 1045091619 /nfs/dbraw/zinc/09/16/19/1045091619.db2.gz GHLRYNQGJYTEQF-UHFFFAOYSA-N 0 3 314.404 4.263 20 0 DIADHN CSc1ccc(CN(C)Cc2cc(C(C)(C)C)[nH]n2)cc1 ZINC000447081550 1045093172 /nfs/dbraw/zinc/09/31/72/1045093172.db2.gz GWVMXZGADGUMIV-UHFFFAOYSA-N 0 3 303.475 4.061 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@H]2CC[C@H](c3ccccc3)O2)o1 ZINC000577140359 1045103031 /nfs/dbraw/zinc/10/30/31/1045103031.db2.gz BZFPKRGWHNUVOX-SKWUIDRYSA-N 0 3 311.425 4.413 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccc2ccccc2c1)c1ccccn1 ZINC000159078845 1045103384 /nfs/dbraw/zinc/10/33/84/1045103384.db2.gz GNRCZJGRWFDQLR-FPOVZHCZSA-N 0 3 320.436 4.399 20 0 DIADHN c1nc(CN2CC[C@@H](Cc3ccccc3)C2)oc1-c1ccccc1 ZINC000525636857 1045104107 /nfs/dbraw/zinc/10/41/07/1045104107.db2.gz PECQEKSUPIJLSP-SFHVURJKSA-N 0 3 318.420 4.406 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1ccccc1OC1CCCC1 ZINC000577231931 1045109439 /nfs/dbraw/zinc/10/94/39/1045109439.db2.gz SXGQGTSGWIGTTH-HXUWFJFHSA-N 0 3 322.452 4.367 20 0 DIADHN CC[C@H](C)[C@H](NCc1cnc(C)n1C)c1ccc(Cl)cc1 ZINC000577231051 1045109488 /nfs/dbraw/zinc/10/94/88/1045109488.db2.gz CLGYLEGGVXSIAO-SJCJKPOMSA-N 0 3 305.853 4.259 20 0 DIADHN Clc1cc(Cl)cc(CCN2CCC[C@H]3COCC[C@@H]32)c1 ZINC000447311255 1045116806 /nfs/dbraw/zinc/11/68/06/1045116806.db2.gz AIBFKCKRQYRRMB-BBRMVZONSA-N 0 3 314.256 4.037 20 0 DIADHN COC[C@@H](NCCc1cc(Cl)cc(Cl)c1)c1ccco1 ZINC000447294409 1045116840 /nfs/dbraw/zinc/11/68/40/1045116840.db2.gz FSRVCMHTIXYPJG-CQSZACIVSA-N 0 3 314.212 4.106 20 0 DIADHN Cc1ncsc1CN(C)CCc1cc(Cl)cc(Cl)c1 ZINC000447347366 1045119958 /nfs/dbraw/zinc/11/99/58/1045119958.db2.gz KSWFLGLUZSWBQA-UHFFFAOYSA-N 0 3 315.269 4.433 20 0 DIADHN Cc1nccn1CCNC1(c2c(Cl)cccc2Cl)CCC1 ZINC000447389053 1045127379 /nfs/dbraw/zinc/12/73/79/1045127379.db2.gz YGZKVZQRYMMYBF-UHFFFAOYSA-N 0 3 324.255 4.167 20 0 DIADHN CC(C)CN(C(=O)c1cccc(CN2CCCCC2)c1)C(C)C ZINC000413312833 1045130991 /nfs/dbraw/zinc/13/09/91/1045130991.db2.gz QUNWQXMRGOECKH-UHFFFAOYSA-N 0 3 316.489 4.179 20 0 DIADHN FC[C@H]1CCCN1[C@H](c1ccc(Cl)cc1)C1CCOCC1 ZINC000577506435 1045131539 /nfs/dbraw/zinc/13/15/39/1045131539.db2.gz WIWKBIDPQYBZSX-IAGOWNOFSA-N 0 3 311.828 4.242 20 0 DIADHN Cc1cccc(CN[C@H](C)c2cncc(Br)c2)c1 ZINC000577531695 1045133146 /nfs/dbraw/zinc/13/31/46/1045133146.db2.gz YXRRSXQOXSZNJK-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](c2ccco2)N2CCCCC2)c(C)o1 ZINC000447518101 1045135544 /nfs/dbraw/zinc/13/55/44/1045135544.db2.gz VCBGEJGGPQQKOM-YJBOKZPZSA-N 0 3 316.445 4.367 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](c2ccco2)N2CCCCC2)c(C)o1 ZINC000447518098 1045136037 /nfs/dbraw/zinc/13/60/37/1045136037.db2.gz VCBGEJGGPQQKOM-MAUKXSAKSA-N 0 3 316.445 4.367 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](CN2CCCC2)c2ccccc2)c(C)o1 ZINC000447531387 1045139292 /nfs/dbraw/zinc/13/92/92/1045139292.db2.gz PRZVFHLTENCDTQ-OXQOHEQNSA-N 0 3 312.457 4.384 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)COc2ccc(F)cc2F)c(C)o1 ZINC000447532577 1045139678 /nfs/dbraw/zinc/13/96/78/1045139678.db2.gz UYJITVHHARNBTO-ZYHUDNBSSA-N 0 3 309.356 4.293 20 0 DIADHN c1ccc([C@H]2CCCN2C[C@@H]2CCC3(CCCCC3)O2)nc1 ZINC000525972136 1045139966 /nfs/dbraw/zinc/13/99/66/1045139966.db2.gz KTZRKMCRHULKIV-FUHWJXTLSA-N 0 3 300.446 4.100 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cccnc2N(C)C)c2ccccc21 ZINC000447541325 1045143378 /nfs/dbraw/zinc/14/33/78/1045143378.db2.gz GBPNCCPIAFCNLK-OALUTQOASA-N 0 3 309.457 4.122 20 0 DIADHN CO[C@@H](CN[C@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000447537055 1045143499 /nfs/dbraw/zinc/14/34/99/1045143499.db2.gz SJDOOPMFPPMUQK-RDJZCZTQSA-N 0 3 305.805 4.288 20 0 DIADHN CO[C@H](CN[C@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000447537053 1045143591 /nfs/dbraw/zinc/14/35/91/1045143591.db2.gz SJDOOPMFPPMUQK-DOTOQJQBSA-N 0 3 305.805 4.288 20 0 DIADHN Cc1cnc(CN[C@H](C)[C@@H]2C[C@H]2c2ccc(Cl)s2)s1 ZINC000525996347 1045147093 /nfs/dbraw/zinc/14/70/93/1045147093.db2.gz LXZWRKFRSBAWOJ-OUAUKWLOSA-N 0 3 312.891 4.448 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1ccc3c(n1)CCCC3)CCC2 ZINC000447558480 1045149342 /nfs/dbraw/zinc/14/93/42/1045149342.db2.gz PRGJZIUDELWINL-NRFANRHFSA-N 0 3 306.453 4.436 20 0 DIADHN Cc1cc([C@@H](C)NC[C@@H](c2c(F)cccc2F)N(C)C)c(C)o1 ZINC000447559644 1045149396 /nfs/dbraw/zinc/14/93/96/1045149396.db2.gz AJYCSUIEPAMLJU-PXAZEXFGSA-N 0 3 322.399 4.128 20 0 DIADHN CC(C)=CCC[C@@H](C)CCN[C@H](C)c1nnc2ccccn21 ZINC000557097414 1045149858 /nfs/dbraw/zinc/14/98/58/1045149858.db2.gz KSTTYXVLNLPIMH-HZPDHXFCSA-N 0 3 300.450 4.153 20 0 DIADHN COc1ccc([C@H]2CCCN2CCCC(=O)c2ccccc2)cc1 ZINC000557178772 1045153882 /nfs/dbraw/zinc/15/38/82/1045153882.db2.gz NVCXSFOHIWBQEQ-HXUWFJFHSA-N 0 3 323.436 4.495 20 0 DIADHN CC(C)C(CN[C@@H](C)c1ncc(Br)s1)C(C)C ZINC000447591333 1045154770 /nfs/dbraw/zinc/15/47/70/1045154770.db2.gz CTONMSUNIYCMFJ-JTQLQIEISA-N 0 3 319.312 4.484 20 0 DIADHN CN(C)Cc1ccccc1C(=O)Nc1cccc(C2CCC2)c1 ZINC000428491159 1045161995 /nfs/dbraw/zinc/16/19/95/1045161995.db2.gz STEVXUFQHCONJR-UHFFFAOYSA-N 0 3 308.425 4.268 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccc(F)cc1)c1cccc2ccccc21 ZINC000557269574 1045164345 /nfs/dbraw/zinc/16/43/45/1045164345.db2.gz DAHNQBFEMBOHAP-XOBRGWDASA-N 0 3 309.384 4.363 20 0 DIADHN C[C@@H](N[C@@H](CO)c1ccc(F)cc1)c1cccc2ccccc21 ZINC000557269572 1045164394 /nfs/dbraw/zinc/16/43/94/1045164394.db2.gz DAHNQBFEMBOHAP-VLIAUNLRSA-N 0 3 309.384 4.363 20 0 DIADHN COc1ccncc1CN[C@H](c1ccc(C)cc1)C1CCCC1 ZINC000557332547 1045168736 /nfs/dbraw/zinc/16/87/36/1045168736.db2.gz HCLZWOMVPHFFNI-FQEVSTJZSA-N 0 3 310.441 4.420 20 0 DIADHN Cc1nc(C)c([C@@H](C)N(C)C[C@H]2CCC3(CCCC3)O2)s1 ZINC000577772454 1045169773 /nfs/dbraw/zinc/16/97/73/1045169773.db2.gz UTDDQCWAJBVGBV-UKRRQHHQSA-N 0 3 308.491 4.245 20 0 DIADHN COC(=O)c1cc(CN(C)CC[C@H](C)c2ccccc2)oc1C ZINC000447738788 1045172010 /nfs/dbraw/zinc/17/20/10/1045172010.db2.gz WGXPAQJJZKFZHU-AWEZNQCLSA-N 0 3 315.413 4.000 20 0 DIADHN Cc1ncsc1CN(C)CCCc1c(F)cccc1Cl ZINC000447735006 1045172465 /nfs/dbraw/zinc/17/24/65/1045172465.db2.gz AXLXNLQTVJJQKV-UHFFFAOYSA-N 0 3 312.841 4.309 20 0 DIADHN Clc1ccc(Cl)c(CNCCc2ccncc2)c1Cl ZINC000048262052 1045174698 /nfs/dbraw/zinc/17/46/98/1045174698.db2.gz OELYPGIOPUYYSK-UHFFFAOYSA-N 0 3 315.631 4.374 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@@H](C)c2cnnn2C)C(C)C)cc1 ZINC000924577853 1045178095 /nfs/dbraw/zinc/17/80/95/1045178095.db2.gz GDJDDPDFWFLXLO-KXBFYZLASA-N 0 3 314.477 4.062 20 0 DIADHN c1[nH]c(CNC2(Cc3ccccc3)CCC2)nc1-c1ccccc1 ZINC000447818850 1045179011 /nfs/dbraw/zinc/17/90/11/1045179011.db2.gz VFVJRUQJSALVNF-UHFFFAOYSA-N 0 3 317.436 4.332 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1cnnn1C)c1ccc(C(C)(C)C)cc1 ZINC000924581909 1045180194 /nfs/dbraw/zinc/18/01/94/1045180194.db2.gz UPJGCPSWZXIATQ-RDTXWAMCSA-N 0 3 314.477 4.161 20 0 DIADHN C=Cn1cc(CN[C@@H](CC(C)(C)C)c2ccc(F)cc2)cn1 ZINC000447814733 1045180312 /nfs/dbraw/zinc/18/03/12/1045180312.db2.gz AZHQVBHQIXLYMN-KRWDZBQOSA-N 0 3 301.409 4.390 20 0 DIADHN C[C@@H](N[C@@H](c1cccs1)C1CC1)c1ccnn1C1CCC1 ZINC000924589667 1045180407 /nfs/dbraw/zinc/18/04/07/1045180407.db2.gz BZXJBKPLLQVEPO-SJKOYZFVSA-N 0 3 301.459 4.472 20 0 DIADHN C=Cn1cc(CN[C@@H](c2ccc(CC(C)C)cc2)C2CC2)cn1 ZINC000447814531 1045180488 /nfs/dbraw/zinc/18/04/88/1045180488.db2.gz YWRVTXJYLJAHDG-FQEVSTJZSA-N 0 3 309.457 4.423 20 0 DIADHN COc1ncc(CN[C@H](C)c2ccc(C)cc2C)cc1Cl ZINC000557455929 1045182096 /nfs/dbraw/zinc/18/20/96/1045182096.db2.gz CAEAUCLPDKWLCB-CYBMUJFWSA-N 0 3 304.821 4.211 20 0 DIADHN Cc1n[nH]c(C)c1[C@@H]1CCCN1C/C=C\c1ccc(F)c(F)c1 ZINC000577901915 1045186652 /nfs/dbraw/zinc/18/66/52/1045186652.db2.gz RZABWAGOHVBYCZ-IVZVJUQRSA-N 0 3 317.383 4.155 20 0 DIADHN CO[C@H](CN[C@H](c1ccc(C)cc1)C1CCC1)C(F)(F)F ZINC000779039840 1045188686 /nfs/dbraw/zinc/18/86/86/1045188686.db2.gz GGOYWQUNSZJFBR-CABCVRRESA-N 0 3 301.352 4.003 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](C)c2coc3ccccc32)cc1 ZINC000924675167 1045189387 /nfs/dbraw/zinc/18/93/87/1045189387.db2.gz MHNIARJRXBXXFM-CQSZACIVSA-N 0 3 322.408 4.033 20 0 DIADHN CSCc1ccc(CNCc2ccc(C(F)F)nc2)cc1 ZINC000601122272 1045189507 /nfs/dbraw/zinc/18/95/07/1045189507.db2.gz YUMSBGSTRCUJRN-UHFFFAOYSA-N 0 3 308.397 4.172 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cc(F)ccc1F)c1ccccc1Cl ZINC000048330960 1045191399 /nfs/dbraw/zinc/19/13/99/1045191399.db2.gz QBQHCKBOUMSKFH-QLJPJBMISA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1Cl)c1ccccc1Cl ZINC000048330946 1045191435 /nfs/dbraw/zinc/19/14/35/1045191435.db2.gz SZPOGVISGRHGJA-BZNIZROVSA-N 0 3 310.224 4.378 20 0 DIADHN CCOCCCCN[C@H](c1ccc(Cl)cc1)c1ccccn1 ZINC000924710012 1045192619 /nfs/dbraw/zinc/19/26/19/1045192619.db2.gz FIKJFVWRSSKDEO-GOSISDBHSA-N 0 3 318.848 4.231 20 0 DIADHN Cc1cc(-c2noc([C@H](C)NC[C@H]3CC=CCC3)n2)ccc1F ZINC000557513047 1045193388 /nfs/dbraw/zinc/19/33/88/1045193388.db2.gz YASZKEWCXQAWLS-KBPBESRZSA-N 0 3 315.392 4.191 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(CO)c(C(F)(F)F)c1 ZINC001214685222 1045194015 /nfs/dbraw/zinc/19/40/15/1045194015.db2.gz GCOPYUIEPVUEGV-UHFFFAOYSA-N 0 3 324.346 4.003 20 0 DIADHN C[C@H](N[C@H]1CCCc2ncc(C(F)(F)F)cc21)c1ccc[nH]1 ZINC000779041755 1045195571 /nfs/dbraw/zinc/19/55/71/1045195571.db2.gz VKZPYRODABPGFQ-BONVTDFDSA-N 0 3 309.335 4.157 20 0 DIADHN C[C@@H](N[C@@H]1c2ccccc2C[C@@H]1O)c1csc2ccccc12 ZINC000924762785 1045196310 /nfs/dbraw/zinc/19/63/10/1045196310.db2.gz BFLBQJKWYIAQNK-JLBUNGTFSA-N 0 3 309.434 4.210 20 0 DIADHN C[C@@H](NCc1cc2c(s1)CCCC2)c1cnn(C2CCC2)c1 ZINC000924837977 1045201483 /nfs/dbraw/zinc/20/14/83/1045201483.db2.gz BCGWHEINDGZZLA-CYBMUJFWSA-N 0 3 315.486 4.399 20 0 DIADHN Cc1nc2c(o1)CCC[C@@H]2N[C@H](C)Cc1ccccc1Cl ZINC000924817300 1045201776 /nfs/dbraw/zinc/20/17/76/1045201776.db2.gz JNAXFIICAIULRV-ABAIWWIYSA-N 0 3 304.821 4.235 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CN(C)C1CCOCC1 ZINC000527219348 1045204766 /nfs/dbraw/zinc/20/47/66/1045204766.db2.gz MSNHTGSVMREXGI-UHFFFAOYSA-N 0 3 313.894 4.451 20 0 DIADHN COC(=O)C(C)(C)CCN1CCC[C@@H]1c1cccc(Cl)c1 ZINC000527218861 1045204822 /nfs/dbraw/zinc/20/48/22/1045204822.db2.gz DXNWJWATOITKIR-OAHLLOKOSA-N 0 3 309.837 4.066 20 0 DIADHN Cc1nn(Cc2ccc(Cl)cc2)c(C)c1CN1[C@H](C)C[C@H]1C ZINC000527238415 1045206984 /nfs/dbraw/zinc/20/69/84/1045206984.db2.gz BEVDXQDMULRUSY-CHWSQXEVSA-N 0 3 317.864 4.184 20 0 DIADHN Cc1cc(C)cc([C@@H](NCCc2nccs2)c2cccnc2)c1 ZINC000924878036 1045207055 /nfs/dbraw/zinc/20/70/55/1045207055.db2.gz WVSSEVQDFLCRCI-IBGZPJMESA-N 0 3 323.465 4.077 20 0 DIADHN Cc1cc(OCc2cc(-c3cccs3)on2)c(C)c(C)n1 ZINC000578105192 1045209123 /nfs/dbraw/zinc/20/91/23/1045209123.db2.gz OWKJSOINPGZJFK-UHFFFAOYSA-N 0 3 300.383 4.302 20 0 DIADHN FC(F)c1ccc(CNCc2ccc3c(c2)OCCCO3)cc1 ZINC000527228140 1045210027 /nfs/dbraw/zinc/21/00/27/1045210027.db2.gz XQQVSFCVTNABEL-UHFFFAOYSA-N 0 3 319.351 4.075 20 0 DIADHN CC(C)Cc1ccc([C@@H](N[C@H](C)c2ccnnc2)C2CC2)cc1 ZINC000924895618 1045210352 /nfs/dbraw/zinc/21/03/52/1045210352.db2.gz GYLRXXRSJLSPRR-FOIQADDNSA-N 0 3 309.457 4.477 20 0 DIADHN O[C@@H]1CCCC[C@H]1N[C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000578125216 1045212264 /nfs/dbraw/zinc/21/22/64/1045212264.db2.gz SNYVSWBDAGMHBG-IIAWOOMASA-N 0 3 314.256 4.338 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc(C)s1)c1ccc(C(=O)OC)cc1 ZINC000601298570 1045214674 /nfs/dbraw/zinc/21/46/74/1045214674.db2.gz CBZZSPAWVZTAFZ-ABAIWWIYSA-N 0 3 318.442 4.040 20 0 DIADHN Cc1nc(CN[C@H]2CC[C@H](c3ccccc3)C2)nc2ccccc12 ZINC000527351896 1045216774 /nfs/dbraw/zinc/21/67/74/1045216774.db2.gz FUARNAFFOKIFPN-ROUUACIJSA-N 0 3 317.436 4.364 20 0 DIADHN CC[C@@](C)(O)CN[C@@H](c1ccc(C(C)C)cc1)c1cccnc1 ZINC000924994592 1045217993 /nfs/dbraw/zinc/21/79/93/1045217993.db2.gz XIODMDQOQLJONC-VQTJNVASSA-N 0 3 312.457 4.045 20 0 DIADHN CC(C)c1ccc([C@@H](NC[C@@](C)(O)C2CC2)c2cccnc2)cc1 ZINC000925036465 1045220335 /nfs/dbraw/zinc/22/03/35/1045220335.db2.gz DBVMNQSIFXNQDB-NHCUHLMSSA-N 0 3 324.468 4.045 20 0 DIADHN COC[C@H](C)CN(Cc1cccs1)Cc1ccccc1OC ZINC000527356398 1045221526 /nfs/dbraw/zinc/22/15/26/1045221526.db2.gz MDAXMQOKVYXPJP-OAHLLOKOSA-N 0 3 319.470 4.041 20 0 DIADHN CC[C@@H](NC[C@H](O)c1ccc2ccccc2c1)c1ccc(F)cn1 ZINC000779057987 1045222440 /nfs/dbraw/zinc/22/24/40/1045222440.db2.gz NRRBMUSNKRIWFV-QUCCMNQESA-N 0 3 324.399 4.148 20 0 DIADHN Clc1ccccc1CNC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000578243941 1045223296 /nfs/dbraw/zinc/22/32/96/1045223296.db2.gz XPPNCRAGJLADLD-AEFFLSMTSA-N 0 3 301.817 4.350 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NC1CC(Sc2ccccc2)C1 ZINC000925059999 1045223094 /nfs/dbraw/zinc/22/30/94/1045223094.db2.gz FUBWZBOHIHYXAY-OLQXHTCTSA-N 0 3 302.443 4.265 20 0 DIADHN CC(C)(C)[C@@H](CC1CCCCC1)NCc1nnc2n1CCCC2 ZINC000557725841 1045223539 /nfs/dbraw/zinc/22/35/39/1045223539.db2.gz YBNDVERCMXQCNO-MRXNPFEDSA-N 0 3 318.509 4.089 20 0 DIADHN CC[C@H](C)N[C@H](CNC(=O)CC1CCC(C)CC1)c1ccco1 ZINC000578256296 1045224752 /nfs/dbraw/zinc/22/47/52/1045224752.db2.gz CAIXEZJHONGJED-ODZFXCKXSA-N 0 3 320.477 4.041 20 0 DIADHN CSCCCCN[C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000925101003 1045225728 /nfs/dbraw/zinc/22/57/28/1045225728.db2.gz XTZIKPCBOLQJNL-SFHVURJKSA-N 0 3 300.471 4.212 20 0 DIADHN c1nnc([C@H]([NH2+]C2CCC3(CCCCC3)CC2)c2ccccc2)[n-]1 ZINC000527506080 1045229850 /nfs/dbraw/zinc/22/98/50/1045229850.db2.gz YUXXBHSLKVGDOE-GOSISDBHSA-N 0 3 324.472 4.377 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1cc2ccccc2[nH]1 ZINC000925144918 1045230902 /nfs/dbraw/zinc/23/09/02/1045230902.db2.gz QDWQZTCYDIFLJC-ACJLOTCBSA-N 0 3 322.408 4.351 20 0 DIADHN Cc1cc(CN2CCC(c3nc4ccccc4s3)CC2)ccn1 ZINC000527535551 1045232563 /nfs/dbraw/zinc/23/25/63/1045232563.db2.gz VZWAQIWUCFAGTC-UHFFFAOYSA-N 0 3 323.465 4.379 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@@H]1CCc2c1cccc2O ZINC000557797212 1045232733 /nfs/dbraw/zinc/23/27/33/1045232733.db2.gz VMZTVEDCYGVPGT-QGZVFWFLSA-N 0 3 301.817 4.440 20 0 DIADHN CCC1(CN[C@@H](c2ccc(C)cc2)c2ccccn2)CCOCC1 ZINC000925197382 1045234505 /nfs/dbraw/zinc/23/45/05/1045234505.db2.gz MHRJBAOPEUNTRK-FQEVSTJZSA-N 0 3 324.468 4.276 20 0 DIADHN Cc1nc2c(o1)CCC[C@H]2NCC1CCC(C(F)(F)F)CC1 ZINC000925194451 1045234606 /nfs/dbraw/zinc/23/46/06/1045234606.db2.gz QNGOGZDGTKZBGU-WXRRBKDZSA-N 0 3 316.367 4.319 20 0 DIADHN CCC1(CN[C@H](c2ccc(C)cc2)c2ccccn2)CCOCC1 ZINC000925197386 1045234997 /nfs/dbraw/zinc/23/49/97/1045234997.db2.gz MHRJBAOPEUNTRK-HXUWFJFHSA-N 0 3 324.468 4.276 20 0 DIADHN CCC[C@H](NC[C@@H](C)c1ccncc1)c1ncccc1Cl ZINC000925220521 1045235722 /nfs/dbraw/zinc/23/57/22/1045235722.db2.gz FKGQRQCYOGTPIU-CJNGLKHVSA-N 0 3 303.837 4.365 20 0 DIADHN CO[C@H](C)c1nc(CN[C@@H]2[C@@H]3CCCC[C@@H]3C2(C)C)cs1 ZINC000925234795 1045237076 /nfs/dbraw/zinc/23/70/76/1045237076.db2.gz BWGOUEYBXRPUSF-REBRKWNGSA-N 0 3 308.491 4.155 20 0 DIADHN CC(C)c1ccc([C@@H](N[C@H](C)CCCO)c2cccnc2)cc1 ZINC000925257085 1045239689 /nfs/dbraw/zinc/23/96/89/1045239689.db2.gz LFHAMRAJHVHLDP-OXQOHEQNSA-N 0 3 312.457 4.045 20 0 DIADHN COc1ccc(CN2C[C@H](C)[C@H]2c2ccccc2)c(C)c1OC ZINC000578400748 1045240630 /nfs/dbraw/zinc/24/06/30/1045240630.db2.gz NLZKGRRZZSEEAU-LIRRHRJNSA-N 0 3 311.425 4.205 20 0 DIADHN Cc1cc(CN[C@@H]2CCCc3cc(OC(F)F)ccc32)ccn1 ZINC000527647986 1045244306 /nfs/dbraw/zinc/24/43/06/1045244306.db2.gz SLSBZIQRQOIBBP-QGZVFWFLSA-N 0 3 318.367 4.159 20 0 DIADHN CO[C@@H](CNCc1ccsc1C(F)(F)F)c1ccccc1 ZINC000527643654 1045244849 /nfs/dbraw/zinc/24/48/49/1045244849.db2.gz WMJXZPOSZMHWOG-ZDUSSCGKSA-N 0 3 315.360 4.244 20 0 DIADHN CC(C)C[C@@H](C)C[C@H](C)NCc1cccnc1Br ZINC001258059983 1045247990 /nfs/dbraw/zinc/24/79/90/1045247990.db2.gz GOTQHNIPEYJNQF-OLZOCXBDSA-N 0 3 313.283 4.395 20 0 DIADHN FC1(F)CCC[C@@H](NCc2ccsc2Br)C1 ZINC000527672703 1045248354 /nfs/dbraw/zinc/24/83/54/1045248354.db2.gz DATBQINZGFXLNJ-SECBINFHSA-N 0 3 310.207 4.178 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2cc[nH]c2c1 ZINC000527691469 1045250374 /nfs/dbraw/zinc/25/03/74/1045250374.db2.gz NQCUYGCIEREFGI-KRWDZBQOSA-N 0 3 310.372 4.311 20 0 DIADHN C[C@H](NC[C@@H](O)c1c(Cl)cccc1Cl)c1ccsc1 ZINC000090079287 1045251072 /nfs/dbraw/zinc/25/10/72/1045251072.db2.gz QBYPISSIOSDGLM-TVQRCGJNSA-N 0 3 316.253 4.439 20 0 DIADHN C[C@@H](N[C@H](C)[C@@H]1C[C@H]1C1CC1)c1nc(C(F)(F)F)cs1 ZINC000527701851 1045252270 /nfs/dbraw/zinc/25/22/70/1045252270.db2.gz SIPKNXNMRVBGHT-HZQMYPQZSA-N 0 3 304.381 4.247 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1ccc2cc[nH]c2c1)c1ccccc1 ZINC000527725449 1045253630 /nfs/dbraw/zinc/25/36/30/1045253630.db2.gz FBPIUERUSZHQEW-UXHICEINSA-N 0 3 308.425 4.016 20 0 DIADHN CC(C)Oc1ccc(CN2CC3(CCC3)[C@H]2[C@@H]2CCCO2)cc1 ZINC000578560847 1045255169 /nfs/dbraw/zinc/25/51/69/1045255169.db2.gz FICOXVXHNBUFSY-RBUKOAKNSA-N 0 3 315.457 4.007 20 0 DIADHN CC(C)n1nccc1CNCc1ccc(-c2ccccc2)s1 ZINC000527733220 1045255275 /nfs/dbraw/zinc/25/52/75/1045255275.db2.gz BGBMWHFWCMRRDD-UHFFFAOYSA-N 0 3 311.454 4.482 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc3cc[nH]c3c2)c(C)c1OC ZINC000527710600 1045255316 /nfs/dbraw/zinc/25/53/16/1045255316.db2.gz VEESKEHXTLTGNT-CQSZACIVSA-N 0 3 324.424 4.344 20 0 DIADHN Cc1cc(Cl)cc(C)c1CNCc1ccc2cn(C)nc2c1 ZINC000527762704 1045255801 /nfs/dbraw/zinc/25/58/01/1045255801.db2.gz LMEQBIRFYKFUSM-UHFFFAOYSA-N 0 3 313.832 4.133 20 0 DIADHN CCCn1cc(CNCc2ccc(-c3ccccc3)s2)cn1 ZINC000527737810 1045258006 /nfs/dbraw/zinc/25/80/06/1045258006.db2.gz XIIMFFZDLGHKDE-UHFFFAOYSA-N 0 3 311.454 4.311 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)NCc1nc(Br)cs1 ZINC001258061717 1045259337 /nfs/dbraw/zinc/25/93/37/1045259337.db2.gz OTYVZCMOHPHUGD-GHMZBOCLSA-N 0 3 319.312 4.456 20 0 DIADHN C[C@@H](N[C@H](C)c1cc[nH]c(=O)c1)c1ccc(-c2ccccc2)o1 ZINC000578650601 1045260145 /nfs/dbraw/zinc/26/01/45/1045260145.db2.gz ZROPCPJHASETQB-ZIAGYGMSSA-N 0 3 308.381 4.459 20 0 DIADHN COc1ccccc1/C=C\CN[C@H](c1ccccn1)C1CCC1 ZINC000527771442 1045261035 /nfs/dbraw/zinc/26/10/35/1045261035.db2.gz IRPPOFBEOFGSRU-QRGKHIHXSA-N 0 3 308.425 4.234 20 0 DIADHN COc1c(C)cc(CN[C@H](c2ccccn2)C2CCC2)cc1C ZINC000527773100 1045262302 /nfs/dbraw/zinc/26/23/02/1045262302.db2.gz OYKGWERIEVCQBY-IBGZPJMESA-N 0 3 310.441 4.338 20 0 DIADHN Cc1cccc([C@H](NCc2ccc(-c3cc[nH]n3)o2)C(C)C)c1 ZINC000527811287 1045262378 /nfs/dbraw/zinc/26/23/78/1045262378.db2.gz REAGCCPYNPULIT-LJQANCHMSA-N 0 3 309.413 4.465 20 0 DIADHN CC(=O)Nc1ccccc1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000527798195 1045265781 /nfs/dbraw/zinc/26/57/81/1045265781.db2.gz VNDZBSLNAMSCKB-GOSISDBHSA-N 0 3 314.816 4.076 20 0 DIADHN COc1ccc([C@H](NCc2ccccc2C2CC2)C2CC2)cn1 ZINC000527840002 1045266981 /nfs/dbraw/zinc/26/69/81/1045266981.db2.gz BGQCPFBZCKEREJ-HXUWFJFHSA-N 0 3 308.425 4.209 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(Cl)c(C)c2)C2CC2)cn1 ZINC000527840040 1045267099 /nfs/dbraw/zinc/26/70/99/1045267099.db2.gz BMZKLTVOROYHFD-SFHVURJKSA-N 0 3 316.832 4.293 20 0 DIADHN Oc1cccc2c1CC[C@@H]2N[C@H]1CCc2cc(F)c(Cl)cc21 ZINC000527857678 1045268726 /nfs/dbraw/zinc/26/87/26/1045268726.db2.gz DIPOSQLRIWQOCX-IRXDYDNUSA-N 0 3 317.791 4.449 20 0 DIADHN CC(C)c1cccc([C@@H](C)NCc2ccc(CO)c(F)c2)c1 ZINC000527847919 1045271504 /nfs/dbraw/zinc/27/15/04/1045271504.db2.gz GHCXLGNHLKUXBW-CQSZACIVSA-N 0 3 301.405 4.292 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1cc(F)cc(F)c1 ZINC000578798604 1045272931 /nfs/dbraw/zinc/27/29/31/1045272931.db2.gz WIUJCHXDSNFOPL-WDEREUQCSA-N 0 3 301.340 4.253 20 0 DIADHN FC(F)(F)c1cscc1CNCCOC1CCCCC1 ZINC000578821635 1045275281 /nfs/dbraw/zinc/27/52/81/1045275281.db2.gz YDZHIKQDZFZTGX-UHFFFAOYSA-N 0 3 307.381 4.206 20 0 DIADHN CC[C@H](C)c1ccc([C@@H](C)N[C@H](CO)CC(F)(F)F)cc1 ZINC000527877202 1045276864 /nfs/dbraw/zinc/27/68/64/1045276864.db2.gz XLDXLWJMYUCKFX-ZOWXZIJZSA-N 0 3 303.368 4.164 20 0 DIADHN C[C@@H]1CC[C@H](NC[C@@H](n2cccn2)C(F)(F)F)c2ccccc21 ZINC000527928649 1045278179 /nfs/dbraw/zinc/27/81/79/1045278179.db2.gz CKVDMVCGALBLFD-UHOFOFEASA-N 0 3 323.362 4.215 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cc(F)c(Cl)cc32)cc(C)n1 ZINC000527907626 1045281335 /nfs/dbraw/zinc/28/13/35/1045281335.db2.gz BLROPAVAONNYMT-QGZVFWFLSA-N 0 3 304.796 4.268 20 0 DIADHN C1=CCC(CN[C@@H](c2cc3ccccc3o2)c2cccnc2)C1 ZINC000527945799 1045285212 /nfs/dbraw/zinc/28/52/12/1045285212.db2.gz GPZZTRILHXXBSO-HXUWFJFHSA-N 0 3 304.393 4.473 20 0 DIADHN CSc1ccc(CN[C@H](C)c2ccc3c(c2)OCO3)s1 ZINC000578975535 1045285715 /nfs/dbraw/zinc/28/57/15/1045285715.db2.gz XKTPYJIHZQKZJR-SNVBAGLBSA-N 0 3 307.440 4.050 20 0 DIADHN COCCC1CCN([C@H](C)c2cnc3ccsc3c2)CC1 ZINC000527934886 1045286842 /nfs/dbraw/zinc/28/68/42/1045286842.db2.gz LQQDVGUUEFYNRV-CYBMUJFWSA-N 0 3 304.459 4.106 20 0 DIADHN C[C@H](N[C@H](c1ccccn1)C1CCC1)c1ccc2c(c1)COC2 ZINC000527966447 1045289797 /nfs/dbraw/zinc/28/97/97/1045289797.db2.gz LCQNKLMCMURJLF-XOBRGWDASA-N 0 3 308.425 4.304 20 0 DIADHN Cc1nsc(C)c1CN[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000579022612 1045290092 /nfs/dbraw/zinc/29/00/92/1045290092.db2.gz KKRMAEDKMYHUPG-CRAIPNDOSA-N 0 3 316.470 4.017 20 0 DIADHN CC[C@H](NCc1cccnc1C)c1cccc(Br)c1 ZINC000527989347 1045291107 /nfs/dbraw/zinc/29/11/07/1045291107.db2.gz ZILUJWPETSSSJT-INIZCTEOSA-N 0 3 319.246 4.393 20 0 DIADHN Cc1ncc(CN[C@H](C)c2ccc(SC(C)C)cc2)n1C ZINC000579143333 1045297294 /nfs/dbraw/zinc/29/72/94/1045297294.db2.gz SLWUGVGLYGODQU-CYBMUJFWSA-N 0 3 303.475 4.080 20 0 DIADHN COc1ccc([C@@H](N[C@H](C)c2ccc(F)c(F)c2)C2CC2)cn1 ZINC000528043660 1045297450 /nfs/dbraw/zinc/29/74/50/1045297450.db2.gz PCALHQYOIJPEPB-ZMZPIMSZSA-N 0 3 318.367 4.170 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N1CCC(F)(c3ccccn3)CC1)CC2 ZINC000579286527 1045304652 /nfs/dbraw/zinc/30/46/52/1045304652.db2.gz LBZMQWPKXYGBNY-KRWDZBQOSA-N 0 3 314.379 4.169 20 0 DIADHN CC(C)=CCC[C@H](C)NCC(=O)c1ccc(Br)cc1 ZINC001258078428 1045311210 /nfs/dbraw/zinc/31/12/10/1045311210.db2.gz WMYJHDPYLGWLRY-ZDUSSCGKSA-N 0 3 324.262 4.356 20 0 DIADHN CC[C@H](c1ccncc1)N(C)C/C=C\c1ccc(F)cc1F ZINC000579647866 1045328575 /nfs/dbraw/zinc/32/85/75/1045328575.db2.gz VYUBUDVYEDTQAL-PYTPGESOSA-N 0 3 302.368 4.456 20 0 DIADHN COc1c(C)cc(CN(C)Cc2cc3cccnc3o2)cc1C ZINC000449016322 1045331275 /nfs/dbraw/zinc/33/12/75/1045331275.db2.gz HIGJLLUTELEHMY-UHFFFAOYSA-N 0 3 310.397 4.085 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccccc3)CC2)cs1 ZINC000449009158 1045334606 /nfs/dbraw/zinc/33/46/06/1045334606.db2.gz MESJWZABTBYHSN-UHFFFAOYSA-N 0 3 301.455 4.238 20 0 DIADHN CCS[C@H]1CCCCN(Cc2ccnn2C2CCCC2)C1 ZINC000449036503 1045336735 /nfs/dbraw/zinc/33/67/35/1045336735.db2.gz UHJSSYBGYOMCME-KRWDZBQOSA-N 0 3 307.507 4.106 20 0 DIADHN CCOC(=O)c1cc(CN[C@H](C)c2ccc(Cl)cc2)[nH]c1C ZINC000449066438 1045339502 /nfs/dbraw/zinc/33/95/02/1045339502.db2.gz ZZHIQYKMEPMUOH-LLVKDONJSA-N 0 3 320.820 4.004 20 0 DIADHN Fc1ccc(CN[C@@H](Cc2ccccc2)c2ccccc2)cn1 ZINC000188967217 1045341280 /nfs/dbraw/zinc/34/12/80/1045341280.db2.gz XRMYASXUGTUVRU-IBGZPJMESA-N 0 3 306.384 4.294 20 0 DIADHN Fc1ccc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)cn1 ZINC000779165670 1045347230 /nfs/dbraw/zinc/34/72/30/1045347230.db2.gz KTHSPFPJVZCTRJ-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN FC(F)(F)c1ncccc1CN1CC[C@H](c2ccccc2)C1 ZINC000528366186 1045347559 /nfs/dbraw/zinc/34/75/59/1045347559.db2.gz SOTWUPSMXOSAJL-AWEZNQCLSA-N 0 3 306.331 4.090 20 0 DIADHN CC[C@@H]1C[C@@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)CCO1 ZINC000449129684 1045349944 /nfs/dbraw/zinc/34/99/44/1045349944.db2.gz VVYJUQSLNWXPNB-CWRNSKLLSA-N 0 3 316.470 4.418 20 0 DIADHN COC(=O)CC[C@H](NCc1cccc2ccoc21)c1ccccc1 ZINC000449129124 1045350128 /nfs/dbraw/zinc/35/01/28/1045350128.db2.gz ZCJGTDWKKPLEQI-SFHVURJKSA-N 0 3 323.392 4.217 20 0 DIADHN Fc1cncc(CN2CC[C@H](c3ccc(C(F)(F)F)cc3)C2)c1 ZINC000779170589 1045351246 /nfs/dbraw/zinc/35/12/46/1045351246.db2.gz CSVAKHAGIBZVFL-AWEZNQCLSA-N 0 3 324.321 4.229 20 0 DIADHN COc1cccc(C2(CNCc3ncc(C)s3)CCCC2)c1 ZINC000449147557 1045352339 /nfs/dbraw/zinc/35/23/39/1045352339.db2.gz QMSVVVBYWYFOAG-UHFFFAOYSA-N 0 3 316.470 4.062 20 0 DIADHN CO[C@@H](C)c1nc(CN[C@@H]2CCCC23CCCCC3)cs1 ZINC000449176867 1045354591 /nfs/dbraw/zinc/35/45/91/1045354591.db2.gz NXXLJWJTBMTWMB-DZGCQCFKSA-N 0 3 308.491 4.443 20 0 DIADHN CCSc1cc(CNCc2cc(C)c(OC)c(C)c2)ccn1 ZINC000189603799 1045355593 /nfs/dbraw/zinc/35/55/93/1045355593.db2.gz OYTSWXWAEUNRPH-UHFFFAOYSA-N 0 3 316.470 4.109 20 0 DIADHN COc1cc(C)ccc1CN[C@H](C)c1cccc(N2CCCC2)c1 ZINC000449194538 1045358583 /nfs/dbraw/zinc/35/85/83/1045358583.db2.gz SWDPSGJHUPKDSD-QGZVFWFLSA-N 0 3 324.468 4.455 20 0 DIADHN Fc1cc2c(c(F)c1F)[C@@H](N[C@@H]1CCCC(F)(F)C1)CC2 ZINC000449186834 1045360121 /nfs/dbraw/zinc/36/01/21/1045360121.db2.gz LLPNWRXWFMPYJT-KOLCDFICSA-N 0 3 305.290 4.259 20 0 DIADHN c1c2cccnc2oc1CN[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000449214091 1045361914 /nfs/dbraw/zinc/36/19/14/1045361914.db2.gz LPRYIFJDRSKTHH-HNAYVOBHSA-N 0 3 322.408 4.085 20 0 DIADHN CCN(C(=O)Nc1ccc(Cl)cc1C(C)C)[C@@H]1CCN(C)C1 ZINC000626832191 1045367014 /nfs/dbraw/zinc/36/70/14/1045367014.db2.gz ISULXBNWBMNBTG-CQSZACIVSA-N 0 3 323.868 4.021 20 0 DIADHN CC(C)CC[C@@H]1CC[C@@H]([NH2+][C@H](c2nnc[n-]2)c2ccccc2)C1 ZINC000449239980 1045368586 /nfs/dbraw/zinc/36/85/86/1045368586.db2.gz XGWXLRIQNSIQDP-NXHRZFHOSA-N 0 3 312.461 4.089 20 0 DIADHN CC(C)[C@H](CC(F)(F)F)NCc1ccnn1C1CCCC1 ZINC000449268045 1045370107 /nfs/dbraw/zinc/37/01/07/1045370107.db2.gz DBRSLSRHGRTXRD-AWEZNQCLSA-N 0 3 303.372 4.065 20 0 DIADHN CC(C)[C@@H](NCc1cccc(Br)c1)c1ccccn1 ZINC000076903572 1045377126 /nfs/dbraw/zinc/37/71/26/1045377126.db2.gz CVJXHVQHQMRIRY-MRXNPFEDSA-N 0 3 319.246 4.331 20 0 DIADHN C[C@H](CN1CCC[C@H]1c1ccncc1)c1cc(F)cc(F)c1 ZINC000449318425 1045377075 /nfs/dbraw/zinc/37/70/75/1045377075.db2.gz GMYAEYDJTHXAEQ-ACJLOTCBSA-N 0 3 302.368 4.300 20 0 DIADHN Fc1cccc2c1CCC[C@H]2NCc1cnc(-c2ccccc2)[nH]1 ZINC000449349474 1045380376 /nfs/dbraw/zinc/38/03/76/1045380376.db2.gz JTXHXUBKVSQGQY-LJQANCHMSA-N 0 3 321.399 4.383 20 0 DIADHN COCCC1CCN(Cc2c(Cl)ccc3cccnc32)CC1 ZINC000528574328 1045381813 /nfs/dbraw/zinc/38/18/13/1045381813.db2.gz OQVHVJAYBHQRHN-UHFFFAOYSA-N 0 3 318.848 4.137 20 0 DIADHN CCc1ccc(CN2[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]2C)cn1 ZINC000449364529 1045382192 /nfs/dbraw/zinc/38/21/92/1045382192.db2.gz RJFKIKKTEFMMTE-OUCADQQQSA-N 0 3 300.368 4.195 20 0 DIADHN COc1cc(F)cc(CNCc2ccc(C(C)(F)F)cc2)c1 ZINC000449338679 1045382428 /nfs/dbraw/zinc/38/24/28/1045382428.db2.gz HDAHJHOZRSGMBQ-UHFFFAOYSA-N 0 3 309.331 4.236 20 0 DIADHN CC[C@@H](Cc1ccc(Cl)cc1Cl)N[C@H]1CCn2ccnc21 ZINC000449370069 1045383010 /nfs/dbraw/zinc/38/30/10/1045383010.db2.gz IBIRLVNVJQQUQA-ZFWWWQNUSA-N 0 3 324.255 4.246 20 0 DIADHN CCCc1ccc(CN(C)Cc2ccc(OC)c(OC)c2)s1 ZINC001138526114 1045384211 /nfs/dbraw/zinc/38/42/11/1045384211.db2.gz QNTWIRNCMWQTDN-UHFFFAOYSA-N 0 3 319.470 4.350 20 0 DIADHN CC(C)n1nccc1CN1[C@H](C)[C@@H](CC(F)(F)F)C[C@@H]1C ZINC000449362648 1045386723 /nfs/dbraw/zinc/38/67/23/1045386723.db2.gz LLOLGIVQCXXOEP-YNEHKIRRSA-N 0 3 303.372 4.015 20 0 DIADHN CC[C@H]1CCC[C@@H]1CNCc1csc(-c2ccccn2)n1 ZINC000449434755 1045392691 /nfs/dbraw/zinc/39/26/91/1045392691.db2.gz SLKSHUYZSCCJJN-UONOGXRCSA-N 0 3 301.459 4.121 20 0 DIADHN Cc1ncccc1CN[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000449452313 1045394626 /nfs/dbraw/zinc/39/46/26/1045394626.db2.gz UIELLCKHYMRXEJ-QGZVFWFLSA-N 0 3 304.796 4.423 20 0 DIADHN COc1ncc(CN[C@@H]2c3cc(C)ccc3C[C@H]2C)cc1Cl ZINC000449455601 1045395382 /nfs/dbraw/zinc/39/53/82/1045395382.db2.gz CKLYUCVNKAMBCW-PXAZEXFGSA-N 0 3 316.832 4.075 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1cccc(-n2cccn2)c1 ZINC000449457656 1045395802 /nfs/dbraw/zinc/39/58/02/1045395802.db2.gz GQQSLJTVHXITIZ-HIFRSBDPSA-N 0 3 323.362 4.035 20 0 DIADHN CC(C)=CCC[C@H](C)NCc1nc2c(s1)CN(C(C)C)CC2 ZINC000449443804 1045396840 /nfs/dbraw/zinc/39/68/40/1045396840.db2.gz VZAMLJYZDGRZTD-HNNXBMFYSA-N 0 3 321.534 4.134 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@@H]1CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC000449476249 1045398493 /nfs/dbraw/zinc/39/84/93/1045398493.db2.gz VFQSRNMBGOARMR-HRCADAONSA-N 0 3 314.256 4.119 20 0 DIADHN O[C@@H]1CCC[C@H]1[C@H]1CCCN1Cc1cc(Cl)cc(Cl)c1 ZINC000449476245 1045398830 /nfs/dbraw/zinc/39/88/30/1045398830.db2.gz VFQSRNMBGOARMR-ARFHVFGLSA-N 0 3 314.256 4.119 20 0 DIADHN C[C@@H]1C[C@@H](C(F)(F)F)CCN1Cc1ccc2ncccc2c1 ZINC000449463923 1045399391 /nfs/dbraw/zinc/39/93/91/1045399391.db2.gz XLYRDTOEHWSFKZ-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN CCCNc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1 ZINC000193365301 1045399990 /nfs/dbraw/zinc/39/99/90/1045399990.db2.gz WVMICUUHZFSBAV-MRXNPFEDSA-N 0 3 311.473 4.425 20 0 DIADHN FC1(F)CCC[C@@H]1CNCc1ccc(Sc2ccccn2)o1 ZINC000449493550 1045400553 /nfs/dbraw/zinc/40/05/53/1045400553.db2.gz LRTHKAGRFRASSZ-GFCCVEGCSA-N 0 3 324.396 4.351 20 0 DIADHN CCO[C@@H]1CCN(Cc2ccc(Cl)c3cccnc23)C[C@H]1C ZINC000449490089 1045402475 /nfs/dbraw/zinc/40/24/75/1045402475.db2.gz BMBDEERYQFFLMO-CXAGYDPISA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@H]1CCN(Cc2cc(Cl)cc3cccnc32)C[C@@H]1C ZINC000449496379 1045402986 /nfs/dbraw/zinc/40/29/86/1045402986.db2.gz PWCCHXYYTJPOFT-GUYCJALGSA-N 0 3 318.848 4.135 20 0 DIADHN CCO[C@H]1CCN(Cc2cnc(-c3ccccc3)s2)C[C@@H]1C ZINC000449502206 1045404192 /nfs/dbraw/zinc/40/41/92/1045404192.db2.gz WLAHVKFUVFITLU-YOEHRIQHSA-N 0 3 316.470 4.057 20 0 DIADHN CO[C@H]1CCN(Cc2c(Cl)ccc3cccnc32)CC1(C)C ZINC000449501251 1045404524 /nfs/dbraw/zinc/40/45/24/1045404524.db2.gz PIOXODXWFGJJNK-INIZCTEOSA-N 0 3 318.848 4.135 20 0 DIADHN CO[C@@H]1CCN(Cc2cnc(-c3ccsc3)s2)CC1(C)C ZINC000449501584 1045404805 /nfs/dbraw/zinc/40/48/05/1045404805.db2.gz QBGOBNPERRUMJF-CQSZACIVSA-N 0 3 322.499 4.119 20 0 DIADHN CO[C@@H]1CCN(Cc2c(Cl)ccc3cccnc32)CC1(C)C ZINC000449501253 1045404865 /nfs/dbraw/zinc/40/48/65/1045404865.db2.gz PIOXODXWFGJJNK-MRXNPFEDSA-N 0 3 318.848 4.135 20 0 DIADHN Cc1cc(C)n(-c2ccc(CN3CCC(F)(F)C[C@H]3C)cc2)n1 ZINC000528769459 1045411489 /nfs/dbraw/zinc/41/14/89/1045411489.db2.gz NXEZHZWZPCSLQB-OAHLLOKOSA-N 0 3 319.399 4.109 20 0 DIADHN C[C@H](N[C@@H]1C[C@@H](C)n2ccnc21)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000449575247 1045415578 /nfs/dbraw/zinc/41/55/78/1045415578.db2.gz XGLOGLCEZJTDFP-KSSYENDESA-N 0 3 321.877 4.386 20 0 DIADHN CN(Cc1cnc(-c2cccs2)s1)[C@H](CO)CC(C)(C)C ZINC000449581812 1045416943 /nfs/dbraw/zinc/41/69/43/1045416943.db2.gz CBHWOKAZCUUWKM-LBPRGKRZSA-N 0 3 324.515 4.101 20 0 DIADHN CCCc1ncc(CNC2CC(c3cccc(Cl)c3)C2)o1 ZINC000449612020 1045418557 /nfs/dbraw/zinc/41/85/57/1045418557.db2.gz JIMVMNSWCBIFKI-UHFFFAOYSA-N 0 3 304.821 4.316 20 0 DIADHN CCCc1ncc(CN[C@H](C)Cc2ccccc2SC)o1 ZINC000449610180 1045418728 /nfs/dbraw/zinc/41/87/28/1045418728.db2.gz FQDHGNXKJDZICZ-CYBMUJFWSA-N 0 3 304.459 4.070 20 0 DIADHN CC1(C)C[C@@H](O)CCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC000449619043 1045420405 /nfs/dbraw/zinc/42/04/05/1045420405.db2.gz MZLCRRXAHIAWQB-HNNXBMFYSA-N 0 3 315.413 4.055 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc(C)oc1C)c1ccc2c(c1)OCCO2 ZINC000119381946 1045421239 /nfs/dbraw/zinc/42/12/39/1045421239.db2.gz RHOMZLYCXLPCKZ-CXAGYDPISA-N 0 3 315.413 4.470 20 0 DIADHN COc1ccc(C)cc1CN[C@H]1CCCN(C)c2ccccc21 ZINC000449646837 1045423366 /nfs/dbraw/zinc/42/33/66/1045423366.db2.gz CFYOQDYNFLHITB-SFHVURJKSA-N 0 3 310.441 4.065 20 0 DIADHN CC[C@H](CC(=O)Nc1ccc2c(c1)CNC2)c1ccc(C)cc1 ZINC000449670573 1045425265 /nfs/dbraw/zinc/42/52/65/1045425265.db2.gz JAXLNGDUSXDBFJ-OAHLLOKOSA-N 0 3 308.425 4.121 20 0 DIADHN CC(C)[C@H](CC(=O)Nc1ccc2c(c1)CNC2)c1ccccc1 ZINC000449673930 1045425361 /nfs/dbraw/zinc/42/53/61/1045425361.db2.gz RCXNPXMYFAFRSM-IBGZPJMESA-N 0 3 308.425 4.058 20 0 DIADHN CC(C)c1ccc([C@H]2C[C@H]2C(=O)Nc2ccc3c(c2)CNC3)cc1 ZINC000449667959 1045425624 /nfs/dbraw/zinc/42/56/24/1045425624.db2.gz GJZJNPFSTYOWLF-WOJBJXKFSA-N 0 3 320.436 4.155 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cnc([C@@H]3CCCO3)s2)C1 ZINC000449771567 1045435305 /nfs/dbraw/zinc/43/53/05/1045435305.db2.gz FOEXPVSBIKXMGY-HIFRSBDPSA-N 0 3 308.491 4.253 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cnc([C@H]3CCCO3)s2)C1 ZINC000449771569 1045435327 /nfs/dbraw/zinc/43/53/27/1045435327.db2.gz FOEXPVSBIKXMGY-UKRRQHHQSA-N 0 3 308.491 4.253 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)c(C)c1 ZINC000449766966 1045435338 /nfs/dbraw/zinc/43/53/38/1045435338.db2.gz PCHSGMGYWQCLPN-GOSISDBHSA-N 0 3 315.482 4.358 20 0 DIADHN Cc1ccc(CN[C@H](c2cccs2)C2(CO)CCC2)s1 ZINC000449766143 1045435500 /nfs/dbraw/zinc/43/55/00/1045435500.db2.gz MJCSNCXGZXIMRU-OAHLLOKOSA-N 0 3 307.484 4.112 20 0 DIADHN COC[C@H]1CCCN(Cc2ncc(-c3ccccc3)s2)CC1 ZINC000449779908 1045436212 /nfs/dbraw/zinc/43/62/12/1045436212.db2.gz VARUHAPUGHKMMF-HNNXBMFYSA-N 0 3 316.470 4.059 20 0 DIADHN CCC[C@@H]1CCC[C@H]1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000449860955 1045445229 /nfs/dbraw/zinc/44/52/29/1045445229.db2.gz MMHOECCRWYMPNL-NVXWUHKLSA-N 0 3 302.462 4.212 20 0 DIADHN CCC(CC)CN(CC)Cc1nnc(-c2ccccc2OC)o1 ZINC000120125042 1045446756 /nfs/dbraw/zinc/44/67/56/1045446756.db2.gz SYGLQPHKBSMJNW-UHFFFAOYSA-N 0 3 317.433 4.003 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H](CCO)c2ccc(OC)cc2)cc1 ZINC000120278387 1045457472 /nfs/dbraw/zinc/45/74/72/1045457472.db2.gz DJFLDUWOPPMSAN-FOIQADDNSA-N 0 3 313.441 4.032 20 0 DIADHN CCc1ccc([C@H](C)N[C@H](CCO)c2ccc(OC)cc2)s1 ZINC000120333989 1045465502 /nfs/dbraw/zinc/46/55/02/1045465502.db2.gz BXFKJOQYUGFJGX-SUMWQHHRSA-N 0 3 319.470 4.093 20 0 DIADHN CCCc1ccc2nccc(N3CCC(n4ccnc4)CC3)c2c1 ZINC000450289215 1045483070 /nfs/dbraw/zinc/48/30/70/1045483070.db2.gz AXRJYSPQAMZRSE-UHFFFAOYSA-N 0 3 320.440 4.225 20 0 DIADHN FC(F)(F)c1sccc1CN1CC[C@@H](C2CCOCC2)C1 ZINC000529527671 1045487534 /nfs/dbraw/zinc/48/75/34/1045487534.db2.gz ATDKIZJJJHKQRY-GFCCVEGCSA-N 0 3 319.392 4.015 20 0 DIADHN Cc1cc(-c2nnc(CN[C@H]3CCC(C)(C)C[C@@H]3C)o2)c(C)o1 ZINC000120818426 1045494932 /nfs/dbraw/zinc/49/49/32/1045494932.db2.gz UCOANLHIXOVMJV-NHYWBVRUSA-N 0 3 317.433 4.251 20 0 DIADHN OCC1([C@@H](NCc2ccc3cc[nH]c3c2)c2ccccc2)CCC1 ZINC000529589258 1045499398 /nfs/dbraw/zinc/49/93/98/1045499398.db2.gz PQZZJELJEXXQGJ-FQEVSTJZSA-N 0 3 320.436 4.161 20 0 DIADHN CC(C)(CO)[C@@H](NCc1ccc2cc[nH]c2c1)c1cccs1 ZINC000529600078 1045501030 /nfs/dbraw/zinc/50/10/30/1045501030.db2.gz HZPLMIQAWQETJT-KRWDZBQOSA-N 0 3 314.454 4.079 20 0 DIADHN CC(C)(C)OC1CCN(CCCC(=O)c2ccc(F)cc2)CC1 ZINC000450483916 1045511834 /nfs/dbraw/zinc/51/18/34/1045511834.db2.gz KMSZHBMRIHBZSW-UHFFFAOYSA-N 0 3 321.436 4.068 20 0 DIADHN Cc1cccc(CN(Cc2ccco2)CC2CCC(=O)CC2)c1 ZINC000450515537 1045514532 /nfs/dbraw/zinc/51/45/32/1045514532.db2.gz IDNJGCLBMHCAHN-UHFFFAOYSA-N 0 3 311.425 4.350 20 0 DIADHN CCCc1ccc2nccc(N3CC[C@H](COC(F)F)C3)c2c1 ZINC000450522887 1045516825 /nfs/dbraw/zinc/51/68/25/1045516825.db2.gz BBXLHKSAKFNLKT-AWEZNQCLSA-N 0 3 320.383 4.253 20 0 DIADHN CCS(=O)(=O)c1ccc(CN(C(C)C)C2CCCCC2)cc1 ZINC000450548205 1045517972 /nfs/dbraw/zinc/51/79/72/1045517972.db2.gz QSXQRVHYFSTBBL-UHFFFAOYSA-N 0 3 323.502 4.023 20 0 DIADHN CCC(=O)CCN(Cc1cccc(-c2cccnc2)c1)C1CC1 ZINC000190303892 1045521410 /nfs/dbraw/zinc/52/14/10/1045521410.db2.gz SAPLYEQWHSHOLW-UHFFFAOYSA-N 0 3 308.425 4.082 20 0 DIADHN OCCC[C@H](NCc1cccc(C(F)(F)F)c1)c1ccccc1 ZINC000077466709 1045523589 /nfs/dbraw/zinc/52/35/89/1045523589.db2.gz PCXLEXBOPYTJKR-KRWDZBQOSA-N 0 3 323.358 4.309 20 0 DIADHN COc1ccc([C@H]2CCCCCN2Cc2cnc(C)o2)cc1 ZINC000628124299 1045524603 /nfs/dbraw/zinc/52/46/03/1045524603.db2.gz APOXYVBZRDIJFV-GOSISDBHSA-N 0 3 300.402 4.109 20 0 DIADHN FC(F)Sc1ccc(CN2CCC(c3cn[nH]c3)CC2)cc1 ZINC000750482485 1045532151 /nfs/dbraw/zinc/53/21/51/1045532151.db2.gz FBAINYSHUYKDRL-UHFFFAOYSA-N 0 3 323.412 4.104 20 0 DIADHN Cc1ccnc(C2CCN(c3c(C)cnc4ccccc43)CC2)n1 ZINC001120040396 1045534828 /nfs/dbraw/zinc/53/48/28/1045534828.db2.gz DLXVTBITLGTGKO-UHFFFAOYSA-N 0 3 318.424 4.026 20 0 DIADHN Cc1cc(CNCc2cccc(C(F)F)c2)c2c(n1)CCCC2 ZINC001120039901 1045536024 /nfs/dbraw/zinc/53/60/24/1045536024.db2.gz NIRHEGZGQJNGHQ-UHFFFAOYSA-N 0 3 316.395 4.496 20 0 DIADHN COC[C@H](NC[C@@H]1CCCCC1(F)F)c1ccc(F)c(F)c1 ZINC000450684242 1045544495 /nfs/dbraw/zinc/54/44/95/1045544495.db2.gz REPJERLCTUEFJA-WFASDCNBSA-N 0 3 319.342 4.067 20 0 DIADHN COc1ccc([C@H](NCc2ccccc2OC2CC2)C2CC2)cc1 ZINC000450686224 1045545384 /nfs/dbraw/zinc/54/53/84/1045545384.db2.gz MVEIJDVGZIPGKR-OAQYLSRUSA-N 0 3 323.436 4.477 20 0 DIADHN CC(C)(CNCc1cnc(C2CC2)o1)c1cccc(Cl)c1 ZINC000628210144 1045545425 /nfs/dbraw/zinc/54/54/25/1045545425.db2.gz IQNKTBXGRZSZBI-UHFFFAOYSA-N 0 3 304.821 4.273 20 0 DIADHN Clc1ccccc1C1(CNCc2cnc(C3CC3)o2)CC1 ZINC000628231125 1045550135 /nfs/dbraw/zinc/55/01/35/1045550135.db2.gz GAVMEUYGBDYYBF-UHFFFAOYSA-N 0 3 302.805 4.027 20 0 DIADHN CC(C)COC[C@@H](NC[C@H]1CCC(F)(F)C1)c1ccco1 ZINC000450717024 1045551626 /nfs/dbraw/zinc/55/16/26/1045551626.db2.gz KRXPYJWBVIRTQN-UONOGXRCSA-N 0 3 301.377 4.018 20 0 DIADHN C[C@H](CN(C)Cc1csc(C(F)(F)F)c1)c1nccs1 ZINC000628237148 1045552295 /nfs/dbraw/zinc/55/22/95/1045552295.db2.gz CTIHMMNAYSPZSH-SECBINFHSA-N 0 3 320.405 4.459 20 0 DIADHN CC(C)c1ccc(-c2nc(CN[C@H](C)[C@H](C)CO)cs2)cc1 ZINC000450730366 1045554116 /nfs/dbraw/zinc/55/41/16/1045554116.db2.gz TXHIHCLMURVLOB-ZIAGYGMSSA-N 0 3 318.486 4.040 20 0 DIADHN Cc1cnc([C@@H](NC[C@H]2CCCCC2(F)F)C2CC2)s1 ZINC000450731040 1045554573 /nfs/dbraw/zinc/55/45/73/1045554573.db2.gz YLWPZFWJLIYOEG-OLZOCXBDSA-N 0 3 300.418 4.318 20 0 DIADHN c1ccc(CC2(NCc3nnc(C4CC4)s3)CCCC2)cc1 ZINC000450745731 1045557160 /nfs/dbraw/zinc/55/71/60/1045557160.db2.gz PYSSIOUNOOKCDO-UHFFFAOYSA-N 0 3 313.470 4.061 20 0 DIADHN O[C@@H](C[C@H]1CCCN1Cc1cccc(Cl)c1)c1cccs1 ZINC000750834883 1045559302 /nfs/dbraw/zinc/55/93/02/1045559302.db2.gz XHRMNNGWQMEVSD-CVEARBPZSA-N 0 3 321.873 4.490 20 0 DIADHN Cc1cc2oc(=O)cc(CNC(C)(C)c3ccccc3)c2cc1C ZINC000750875716 1045565776 /nfs/dbraw/zinc/56/57/76/1045565776.db2.gz WDNHPWPDNWHIDO-UHFFFAOYSA-N 0 3 321.420 4.435 20 0 DIADHN CCc1ccc2c(CNC(C)(C)c3ccccc3)cc(=O)oc2c1 ZINC000750875703 1045565906 /nfs/dbraw/zinc/56/59/06/1045565906.db2.gz VTCVBZPDSOPXJO-UHFFFAOYSA-N 0 3 321.420 4.380 20 0 DIADHN Fc1cccc(F)c1C1CCN(CC2CC(F)(F)C2)CC1 ZINC000450760174 1045566488 /nfs/dbraw/zinc/56/64/88/1045566488.db2.gz LHFGZDGAKCGWHD-UHFFFAOYSA-N 0 3 301.327 4.190 20 0 DIADHN Cc1ncc(CN2CCC(CCc3ccc(F)cc3F)CC2)o1 ZINC000628327311 1045569161 /nfs/dbraw/zinc/56/91/61/1045569161.db2.gz RXKRYCGLEDCOOY-UHFFFAOYSA-N 0 3 320.383 4.106 20 0 DIADHN CC[C@@H](N[C@@H](CC)c1ccc(F)cc1)c1c(C)nn(C)c1C ZINC000121323586 1045569751 /nfs/dbraw/zinc/56/97/51/1045569751.db2.gz ZRIMOUMSEXTTPV-DLBZAZTESA-N 0 3 303.425 4.368 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1cc2cccc(C)c2nc1Cl ZINC001202789595 1045571244 /nfs/dbraw/zinc/57/12/44/1045571244.db2.gz NUQDPTPWYJJFCH-HZPDHXFCSA-N 0 3 318.848 4.244 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccccc1)C(=O)Nc1ccccc1Cl ZINC000008118421 1045574686 /nfs/dbraw/zinc/57/46/86/1045574686.db2.gz FZURIOYOMLDJHO-QWHCGFSZSA-N 0 3 302.805 4.018 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000008118454 1045575184 /nfs/dbraw/zinc/57/51/84/1045575184.db2.gz CMSJPZUNFAUQIE-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CCCC(F)(F)CC1 ZINC000628422392 1045582012 /nfs/dbraw/zinc/58/20/12/1045582012.db2.gz BVAQJLCUZQBJHT-UHFFFAOYSA-N 0 3 319.399 4.110 20 0 DIADHN Cc1cc(F)ccc1C1CCN(CCCOC(F)(F)F)CC1 ZINC001460174414 1045583599 /nfs/dbraw/zinc/58/35/99/1045583599.db2.gz LHHCBGYRBIJTJB-UHFFFAOYSA-N 0 3 319.342 4.240 20 0 DIADHN c1csc(CC2CN(Cc3cc4ccncc4s3)C2)c1 ZINC000628479160 1045588023 /nfs/dbraw/zinc/58/80/23/1045588023.db2.gz BIDMASSVSSBBJZ-UHFFFAOYSA-N 0 3 300.452 4.032 20 0 DIADHN C[C@@H](c1ccc(F)c(F)c1)N1CCC[C@H](c2ccncc2)C1 ZINC000450996427 1045605577 /nfs/dbraw/zinc/60/55/77/1045605577.db2.gz HAQZRMSVAPYHRH-BBRMVZONSA-N 0 3 302.368 4.300 20 0 DIADHN FC(F)Oc1ccccc1CN1CCC[C@@H](c2ccncc2)C1 ZINC000450998690 1045608768 /nfs/dbraw/zinc/60/87/68/1045608768.db2.gz LSNZXBGCKOKUGZ-OAHLLOKOSA-N 0 3 318.367 4.063 20 0 DIADHN Cc1ccc2[nH]c([C@@H]3CCCN3C[C@@H]3CCC(F)(F)C3)nc2c1 ZINC000451011442 1045610591 /nfs/dbraw/zinc/61/05/91/1045610591.db2.gz CTKKBSCLGVONIM-CJNGLKHVSA-N 0 3 319.399 4.444 20 0 DIADHN Cc1ccc2nc([C@@H]3CCCN3C[C@@H]3CCC(F)(F)C3)[nH]c2c1 ZINC000451011442 1045610597 /nfs/dbraw/zinc/61/05/97/1045610597.db2.gz CTKKBSCLGVONIM-CJNGLKHVSA-N 0 3 319.399 4.444 20 0 DIADHN COCCCCCN1CCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000451012852 1045611035 /nfs/dbraw/zinc/61/10/35/1045611035.db2.gz DYHKRVNTRHWMBQ-MRXNPFEDSA-N 0 3 321.852 4.170 20 0 DIADHN CCc1nnc(CN[C@@H]2C[C@H](C)C[C@@H](c3ccccc3)C2)s1 ZINC000391847337 1045621497 /nfs/dbraw/zinc/62/14/97/1045621497.db2.gz ZDQYQXORCJNGIV-FVQBIDKESA-N 0 3 315.486 4.163 20 0 DIADHN CCc1nnc(CN[C@H]2CCCC[C@H]2C2CCCCC2)s1 ZINC000391837632 1045622442 /nfs/dbraw/zinc/62/24/42/1045622442.db2.gz KOOMXGWHZBPDIW-GJZGRUSLSA-N 0 3 307.507 4.329 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc(Cl)c(F)c2)oc1C ZINC000800482345 1045625238 /nfs/dbraw/zinc/62/52/38/1045625238.db2.gz FQNOUPJVZDLGPX-ZUZCIYMTSA-N 0 3 311.784 4.073 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C)c(C)o1)[C@@H](O)c1c(F)cccc1F ZINC000800482191 1045625416 /nfs/dbraw/zinc/62/54/16/1045625416.db2.gz PSLPXKSSUJIQRM-GEWABHDNSA-N 0 3 323.383 4.337 20 0 DIADHN CC[C@H](N[C@H](C)c1cc(C)c(C)o1)[C@@H](O)c1c(F)cccc1F ZINC000800482189 1045625895 /nfs/dbraw/zinc/62/58/95/1045625895.db2.gz PSLPXKSSUJIQRM-DJCROIMJSA-N 0 3 323.383 4.337 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3c[nH]c(-c4ccccc4)n3)C2)cc1 ZINC000530280440 1045630797 /nfs/dbraw/zinc/63/07/97/1045630797.db2.gz NRRXWPKIDIEJDK-QGZVFWFLSA-N 0 3 321.399 4.205 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)N[C@@H]2CCc3c2cccc3C)c1 ZINC000121614867 1045635908 /nfs/dbraw/zinc/63/59/08/1045635908.db2.gz WYTKPFGTHPXMHV-VBKZILBWSA-N 0 3 308.425 4.292 20 0 DIADHN CC(C)CO[C@@H]1CCN(Cc2cccc(OC(C)(C)C)c2)C1 ZINC001168127773 1045638385 /nfs/dbraw/zinc/63/83/85/1045638385.db2.gz ZGEBYBXRAADVPB-GOSISDBHSA-N 0 3 305.462 4.111 20 0 DIADHN CCc1cc(N2CCC[C@H]2c2cc(C)on2)c2ccccc2n1 ZINC000751862165 1045638554 /nfs/dbraw/zinc/63/85/54/1045638554.db2.gz XGJFJAOBSWMGSP-SFHVURJKSA-N 0 3 307.397 4.435 20 0 DIADHN Cc1cc(Cl)nc(CNCCSCc2ccccc2)c1 ZINC000800527447 1045639092 /nfs/dbraw/zinc/63/90/92/1045639092.db2.gz TXTGLUPQECRCEM-UHFFFAOYSA-N 0 3 306.862 4.066 20 0 DIADHN Cc1cc(F)cc2c1CN(Cc1cccc3cnccc31)CC2 ZINC000800535468 1045639568 /nfs/dbraw/zinc/63/95/68/1045639568.db2.gz CMALOZNFSQNMKY-UHFFFAOYSA-N 0 3 306.384 4.241 20 0 DIADHN CN(C/C=C/c1ccc(Cl)cc1)CCc1ccccc1O ZINC000530336496 1045645676 /nfs/dbraw/zinc/64/56/76/1045645676.db2.gz XQHMGEDKBGSFED-SNAWJCMRSA-N 0 3 301.817 4.233 20 0 DIADHN C[C@H](c1ccccc1Cl)N1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000451207949 1045647101 /nfs/dbraw/zinc/64/71/01/1045647101.db2.gz BRNGBEHVSSSKIH-ZIAGYGMSSA-N 0 3 322.880 4.015 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1ccc(F)cc1)c1ccc(F)cc1 ZINC000752088304 1045657073 /nfs/dbraw/zinc/65/70/73/1045657073.db2.gz FUQZKBRBYRRHIK-OALUTQOASA-N 0 3 317.379 4.225 20 0 DIADHN Cc1cnc(CN2CCCC[C@@H]2CCCc2ccccc2)cn1 ZINC000752082254 1045657115 /nfs/dbraw/zinc/65/71/15/1045657115.db2.gz AVHCMFJGPQIXGQ-HXUWFJFHSA-N 0 3 309.457 4.162 20 0 DIADHN C[C@@H](CCO)NC(c1ccc(Cl)cc1)c1ccc(Cl)cc1 ZINC000779396735 1045660977 /nfs/dbraw/zinc/66/09/77/1045660977.db2.gz PJKHEYOYIICRDZ-LBPRGKRZSA-N 0 3 324.251 4.443 20 0 DIADHN Cc1nc(C(C)C)oc1CN1CCC[C@H]1COc1ccccc1 ZINC000451311362 1045662801 /nfs/dbraw/zinc/66/28/01/1045662801.db2.gz HTVGMSPCASEVFG-INIZCTEOSA-N 0 3 314.429 4.150 20 0 DIADHN CC1(C(=O)OC2CCCCC2)CCN(Cc2ccccc2)CC1 ZINC001124354579 1045663221 /nfs/dbraw/zinc/66/32/21/1045663221.db2.gz WQJQYYOAUUVGDL-UHFFFAOYSA-N 0 3 315.457 4.165 20 0 DIADHN C[C@H](c1c(F)cccc1F)N1CCCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000451348619 1045665367 /nfs/dbraw/zinc/66/53/67/1045665367.db2.gz WCQHYVPTACCAFQ-KFZJALRRSA-N 0 3 309.400 4.041 20 0 DIADHN C[C@H](NCc1ccc(-n2ccnc2)cc1)c1csc(Cl)c1 ZINC000191218759 1045667223 /nfs/dbraw/zinc/66/72/23/1045667223.db2.gz XAWPVBJGVBNLGX-LBPRGKRZSA-N 0 3 317.845 4.438 20 0 DIADHN Cc1cc(CN2CCC(Oc3cccc(C)n3)CC2)c(C)s1 ZINC001138569240 1045672277 /nfs/dbraw/zinc/67/22/77/1045672277.db2.gz CTXPNAJGWVSTIZ-UHFFFAOYSA-N 0 3 316.470 4.112 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(Br)cc21)c1ccc[nH]1 ZINC000800976458 1045674563 /nfs/dbraw/zinc/67/45/63/1045674563.db2.gz YSPUCBSFEHOLSJ-BONVTDFDSA-N 0 3 305.219 4.115 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000451375447 1045675239 /nfs/dbraw/zinc/67/52/39/1045675239.db2.gz UUEBRTOVLMWVNN-ZXOCMBNGSA-N 0 3 300.446 4.177 20 0 DIADHN Cc1cc(CN(C)Cc2ccc(-n3ccnc3)cc2)c(C)s1 ZINC001138570641 1045678448 /nfs/dbraw/zinc/67/84/48/1045678448.db2.gz ZTDXUKHEVHXQHV-UHFFFAOYSA-N 0 3 311.454 4.183 20 0 DIADHN CO[C@H]1C[C@H](NCc2ccc(Cl)c(C)c2Cl)C1(C)C ZINC001461266067 1045694536 /nfs/dbraw/zinc/69/45/36/1045694536.db2.gz PEFNQNHHEUMXDU-STQMWFEESA-N 0 3 302.245 4.205 20 0 DIADHN OCCCCCCN[C@@H](c1cc2ccccc2o1)c1cccnc1 ZINC000779419671 1045698851 /nfs/dbraw/zinc/69/88/51/1045698851.db2.gz YZYODJHGMVQYNM-HXUWFJFHSA-N 0 3 324.424 4.060 20 0 DIADHN Cn1ccc(CNCc2cccc(OCC3CCCCC3)c2)c1 ZINC000530640620 1045704965 /nfs/dbraw/zinc/70/49/65/1045704965.db2.gz DXPDTWFPBYLOGZ-UHFFFAOYSA-N 0 3 312.457 4.274 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000451705855 1045711889 /nfs/dbraw/zinc/71/18/89/1045711889.db2.gz ZMXQNFADBPMKHB-YIYPIFLZSA-N 0 3 311.828 4.023 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3C[C@H]4CCC[C@@H]4C3)o2)cc1C ZINC000122193328 1045723557 /nfs/dbraw/zinc/72/35/57/1045723557.db2.gz VAPCERLSTCAPHW-USXIJHARSA-N 0 3 311.429 4.146 20 0 DIADHN Cc1cnn(CCCCCN2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000451829062 1045732760 /nfs/dbraw/zinc/73/27/60/1045732760.db2.gz BOFZCWCYDXRXGA-GOSISDBHSA-N 0 3 313.445 4.182 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCCC2)O1)c1nc2ccccc2s1 ZINC000801539951 1045734339 /nfs/dbraw/zinc/73/43/39/1045734339.db2.gz RGOWUSRVUWYDTP-ZIAGYGMSSA-N 0 3 316.470 4.439 20 0 DIADHN Cn1cccc1[C@@H]1CCCCN1Cc1ccc(OC(F)F)cc1 ZINC000530866789 1045741255 /nfs/dbraw/zinc/74/12/55/1045741255.db2.gz CFZXSHHUMOZGGG-KRWDZBQOSA-N 0 3 320.383 4.354 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000451903253 1045744037 /nfs/dbraw/zinc/74/40/37/1045744037.db2.gz IZRCNGQELDUFRY-BNOWGMLFSA-N 0 3 321.770 4.036 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)O[C@@H](C)c2ccccc2F)o1 ZINC000779452089 1045747948 /nfs/dbraw/zinc/74/79/48/1045747948.db2.gz UOBXGUNZKVYCBT-ZDUSSCGKSA-N 0 3 319.376 4.179 20 0 DIADHN FC(F)(F)[C@H]1CCN(C[C@@H]2CC2(Cl)Cl)CC12CCC2 ZINC000801591180 1045750384 /nfs/dbraw/zinc/75/03/84/1045750384.db2.gz ZMRNSKRKRKCUOI-UWVGGRQHSA-N 0 3 316.194 4.235 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCC(OC(C)C)CC2)c1C ZINC000530925329 1045751541 /nfs/dbraw/zinc/75/15/41/1045751541.db2.gz NHNHMVIAMQGEJO-UHFFFAOYSA-N 0 3 317.473 4.204 20 0 DIADHN c1csc(-c2nc(CN3C[C@@H]4CCCC[C@@H]4C3)cs2)c1 ZINC000530940044 1045757262 /nfs/dbraw/zinc/75/72/62/1045757262.db2.gz YJKRMKYWAIRCFH-BETUJISGSA-N 0 3 304.484 4.494 20 0 DIADHN CSc1ccc(OCCN2CCC[C@@H]2c2ccccn2)cc1 ZINC000530962295 1045760545 /nfs/dbraw/zinc/76/05/45/1045760545.db2.gz FJRWCKWDPFHBFP-GOSISDBHSA-N 0 3 314.454 4.019 20 0 DIADHN C[C@H]1CCC(C)(C)N1Cc1nnc(-c2ccc(Cl)cc2)o1 ZINC000452013245 1045762074 /nfs/dbraw/zinc/76/20/74/1045762074.db2.gz STZXCQTVUSLDJT-NSHDSACASA-N 0 3 305.809 4.153 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@@H](CNCc2csc(C3CC3)n2)C1 ZINC000530974757 1045762791 /nfs/dbraw/zinc/76/27/91/1045762791.db2.gz PGNPMEWTJOZIBB-PWSUYJOCSA-N 0 3 318.408 4.479 20 0 DIADHN CC(C)(C)OC(=O)CCNCc1cnc(C2CCCCC2)s1 ZINC000530974232 1045764675 /nfs/dbraw/zinc/76/46/75/1045764675.db2.gz RWTZUIXGDIFBDA-UHFFFAOYSA-N 0 3 324.490 4.012 20 0 DIADHN OCCC[C@@H]1CCN([C@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000452118597 1045772613 /nfs/dbraw/zinc/77/26/13/1045772613.db2.gz JVSLBZWSEKJCRS-BZNIZROVSA-N 0 3 314.256 4.075 20 0 DIADHN OCCC[C@H]1CCN([C@H]2CCc3c2cc(Cl)cc3Cl)C1 ZINC000452118603 1045772823 /nfs/dbraw/zinc/77/28/23/1045772823.db2.gz JVSLBZWSEKJCRS-ZBEGNZNMSA-N 0 3 314.256 4.075 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2ccc(OC)c(F)c2)cc1C ZINC000753614554 1045778557 /nfs/dbraw/zinc/77/85/57/1045778557.db2.gz UQXGHDRLERLNET-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN c1coc(-c2nc(CN(CC3CC3)CC3CCC3)cs2)c1 ZINC000475674751 1045780722 /nfs/dbraw/zinc/78/07/22/1045780722.db2.gz KZUQKXSTXIHUTL-UHFFFAOYSA-N 0 3 302.443 4.415 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@H](CCO)c2ccccc2)cc1C ZINC000753660757 1045784549 /nfs/dbraw/zinc/78/45/49/1045784549.db2.gz JEDUDFHZMHPCSK-VQIMIIECSA-N 0 3 313.441 4.086 20 0 DIADHN CC(C)[C@H](NC[C@@H]1CC2(CCC2)C(=O)O1)c1ccccc1Cl ZINC000192157544 1045787926 /nfs/dbraw/zinc/78/79/26/1045787926.db2.gz ZISVYSOTGIWKEI-BBRMVZONSA-N 0 3 321.848 4.113 20 0 DIADHN COc1ccc(C)cc1CN[C@@H](C)c1cc(C)c(OC)cc1C ZINC000753680758 1045788228 /nfs/dbraw/zinc/78/82/28/1045788228.db2.gz YAGNMSNTNVIMTQ-INIZCTEOSA-N 0 3 313.441 4.480 20 0 DIADHN COc1cc(C)c([C@@H](C)N[C@@H](CO)c2sccc2C)cc1C ZINC000753682190 1045789067 /nfs/dbraw/zinc/78/90/67/1045789067.db2.gz PMPTYIHYCKTJDL-ZBFHGGJFSA-N 0 3 319.470 4.066 20 0 DIADHN COc1ccc([C@@H](NCc2ccc(C(F)F)nc2)C2CC2)cc1 ZINC000631037193 1045799854 /nfs/dbraw/zinc/79/98/54/1045799854.db2.gz NIAVGFYXIPEELV-KRWDZBQOSA-N 0 3 318.367 4.269 20 0 DIADHN Cc1scc(CN[C@H](C)c2ccc3c(c2)CCC(=O)N3)c1C ZINC000631046252 1045804211 /nfs/dbraw/zinc/80/42/11/1045804211.db2.gz ZEMXDAQSXBMRRU-GFCCVEGCSA-N 0 3 314.454 4.100 20 0 DIADHN CC(C)(NCc1ccc(=O)[nH]c1)c1ccc(Cl)c(Cl)c1 ZINC000631056374 1045805264 /nfs/dbraw/zinc/80/52/64/1045805264.db2.gz HTISZZQGRAAICX-UHFFFAOYSA-N 0 3 311.212 4.119 20 0 DIADHN C[C@@H]1CSCCN(Cc2cc(Cl)nc3ccccc23)C1 ZINC000753834782 1045806561 /nfs/dbraw/zinc/80/65/61/1045806561.db2.gz UQGNSUARKLUHBB-LBPRGKRZSA-N 0 3 306.862 4.073 20 0 DIADHN COc1cncc(CNCc2cc(C)cc3c(C)c(C)[nH]c32)c1C ZINC000631063695 1045808069 /nfs/dbraw/zinc/80/80/69/1045808069.db2.gz GGSKHJPSDGBDKG-UHFFFAOYSA-N 0 3 323.440 4.095 20 0 DIADHN C[C@@](O)(CNCc1cc(Cl)ccc1Cl)c1ccsc1 ZINC000753855106 1045814005 /nfs/dbraw/zinc/81/40/05/1045814005.db2.gz YAYYUVBMTOZHKR-CQSZACIVSA-N 0 3 316.253 4.052 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(CC(F)(F)F)cc1 ZINC000631105294 1045818646 /nfs/dbraw/zinc/81/86/46/1045818646.db2.gz JRXUGIKUUXTSGD-CYBMUJFWSA-N 0 3 308.347 4.346 20 0 DIADHN Clc1c2ccccc2oc1CN[C@@H]1CCO[C@H](C2CC2)C1 ZINC000631111709 1045818791 /nfs/dbraw/zinc/81/87/91/1045818791.db2.gz BLEPZJFIBDIQPI-DOMZBBRYSA-N 0 3 305.805 4.133 20 0 DIADHN Cc1ccc(CN[C@H](C[C@H]2CCOC2)c2ccccc2)c(F)c1 ZINC000631107316 1045819261 /nfs/dbraw/zinc/81/92/61/1045819261.db2.gz WCKCYDWGFYVZGH-OXQOHEQNSA-N 0 3 313.416 4.392 20 0 DIADHN Cc1ccc(CN[C@H](C[C@@H]2CCOC2)c2ccccc2)c(F)c1 ZINC000631107315 1045819474 /nfs/dbraw/zinc/81/94/74/1045819474.db2.gz WCKCYDWGFYVZGH-OXJNMPFZSA-N 0 3 313.416 4.392 20 0 DIADHN COCCCOc1ccc(CNCc2csc(C)c2C)cc1 ZINC000631132971 1045820550 /nfs/dbraw/zinc/82/05/50/1045820550.db2.gz CQPPCMGIAQBBNI-UHFFFAOYSA-N 0 3 319.470 4.070 20 0 DIADHN C[C@H](NCc1ccc(C(F)F)nc1)c1ccc2ncsc2c1 ZINC000631114529 1045821397 /nfs/dbraw/zinc/82/13/97/1045821397.db2.gz FAOQFJWDZLSCRR-JTQLQIEISA-N 0 3 319.380 4.480 20 0 DIADHN Cc1cc(CN[C@H]2C[C@H](c3ccc(C(F)(F)F)cc3)C2)on1 ZINC000631127289 1045822670 /nfs/dbraw/zinc/82/26/70/1045822670.db2.gz SCFAAMHONFPBSL-MQMHXKEQSA-N 0 3 310.319 4.038 20 0 DIADHN CC[C@H](OCCNCc1ccc(C(F)F)nc1)c1ccccc1 ZINC000631167240 1045829610 /nfs/dbraw/zinc/82/96/10/1045829610.db2.gz HOSHMCPGMPPIKE-KRWDZBQOSA-N 0 3 320.383 4.277 20 0 DIADHN Cc1ccc(CN[C@H](CCO)c2ccc(Cl)c(F)c2)s1 ZINC000631191747 1045831162 /nfs/dbraw/zinc/83/11/62/1045831162.db2.gz PDFAQTNCEXJVFR-OAHLLOKOSA-N 0 3 313.825 4.062 20 0 DIADHN CC(C)OC[C@@H](C)N[C@@H](CCc1ccccc1)c1ccccn1 ZINC000631199099 1045832147 /nfs/dbraw/zinc/83/21/47/1045832147.db2.gz ICNQBHMFMZWOQC-XLIONFOSSA-N 0 3 312.457 4.159 20 0 DIADHN CCCCC[C@@H](NCc1cccc(C(N)=O)c1)c1ccccc1 ZINC000079080212 1045838323 /nfs/dbraw/zinc/83/83/23/1045838323.db2.gz COFAPVHCSZBYMW-LJQANCHMSA-N 0 3 310.441 4.197 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1cnc(C)s1 ZINC000631230307 1045839146 /nfs/dbraw/zinc/83/91/46/1045839146.db2.gz ZXXNBKXKMNGPNO-SSUFTNFISA-N 0 3 316.470 4.352 20 0 DIADHN COc1cc(Cl)c(CN[C@H](C)Cc2ccco2)cc1Cl ZINC000754056176 1045839279 /nfs/dbraw/zinc/83/92/79/1045839279.db2.gz KGKKSZIYRKWIIC-SNVBAGLBSA-N 0 3 314.212 4.316 20 0 DIADHN Cc1ncc(CN[C@H](c2ccc(F)cc2)C2CCCCC2)n1C ZINC000631226467 1045839831 /nfs/dbraw/zinc/83/98/31/1045839831.db2.gz BHIBOZKFHMMSRD-IBGZPJMESA-N 0 3 315.436 4.279 20 0 DIADHN C[C@@H](NCc1cccc2c1CCOC2)c1ccc(Cl)cc1 ZINC000631244020 1045843787 /nfs/dbraw/zinc/84/37/87/1045843787.db2.gz VODPCSNOMJHMBJ-CYBMUJFWSA-N 0 3 301.817 4.264 20 0 DIADHN Clc1ccc(OCCCN2CCC[C@@H]2c2ccccn2)cc1 ZINC000531439242 1045845263 /nfs/dbraw/zinc/84/52/63/1045845263.db2.gz ROUNCZXZCLVPDZ-GOSISDBHSA-N 0 3 316.832 4.341 20 0 DIADHN CCc1ccc(F)cc1C(=O)Nc1ccc(C)cc1CN(C)C ZINC000631296774 1045848137 /nfs/dbraw/zinc/84/81/37/1045848137.db2.gz NEYCZAXOOLPLFM-UHFFFAOYSA-N 0 3 314.404 4.010 20 0 DIADHN CC(C)c1nnc(CN[C@@H]2CCC[C@@H](c3ccccc3)C2)s1 ZINC000754101858 1045848309 /nfs/dbraw/zinc/84/83/09/1045848309.db2.gz MLMCNIIKRIAPKV-HZPDHXFCSA-N 0 3 315.486 4.478 20 0 DIADHN Cc1ccccc1-c1cccc(CN[C@@H]2CCCn3nccc32)c1 ZINC000631301009 1045849123 /nfs/dbraw/zinc/84/91/23/1045849123.db2.gz MOCVGPPYEFUKIT-HXUWFJFHSA-N 0 3 317.436 4.483 20 0 DIADHN CCn1c(CN[C@H]2CS[C@H](C(C)(C)C)C2)nc2ccccc21 ZINC000754151420 1045854502 /nfs/dbraw/zinc/85/45/02/1045854502.db2.gz YXZNTCRXXSHHIG-CJNGLKHVSA-N 0 3 317.502 4.066 20 0 DIADHN CCSCCN[C@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000754156332 1045856977 /nfs/dbraw/zinc/85/69/77/1045856977.db2.gz QAOJEWPUOGJLSH-NSHDSACASA-N 0 3 306.258 4.160 20 0 DIADHN CCSCCN[C@@H]1CCOc2c(Cl)c(Cl)ccc21 ZINC000754156331 1045857669 /nfs/dbraw/zinc/85/76/69/1045857669.db2.gz QAOJEWPUOGJLSH-LLVKDONJSA-N 0 3 306.258 4.160 20 0 DIADHN Brc1csc(CN[C@@H]2CCCc3ncccc32)c1 ZINC000631568658 1045871321 /nfs/dbraw/zinc/87/13/21/1045871321.db2.gz BWXHBZHBLTVVRD-CQSZACIVSA-N 0 3 323.259 4.073 20 0 DIADHN C[C@H](N[C@H]1CCCc2c1cnn2C)c1ccc(C2CCC2)cc1 ZINC000631567165 1045871762 /nfs/dbraw/zinc/87/17/62/1045871762.db2.gz VCPRIVNFIFIVLL-LIRRHRJNSA-N 0 3 309.457 4.416 20 0 DIADHN CC(C)OCc1ccccc1CN[C@H]1CCCc2ncccc21 ZINC000631572386 1045875354 /nfs/dbraw/zinc/87/53/54/1045875354.db2.gz AHXUEVWGWXZSPZ-FQEVSTJZSA-N 0 3 310.441 4.174 20 0 DIADHN COC[C@H](N[C@H](C)CCc1c[nH]c2ccccc12)c1ccco1 ZINC000631585722 1045880392 /nfs/dbraw/zinc/88/03/92/1045880392.db2.gz UGUMLKASJIZABJ-KDOFPFPSSA-N 0 3 312.413 4.059 20 0 DIADHN CCC[C@@H](C)N(C)CC(=O)N[C@H](c1cccs1)C(C)(C)C ZINC000531647857 1045885414 /nfs/dbraw/zinc/88/54/14/1045885414.db2.gz YMXVTXYWSAUEDE-CZUORRHYSA-N 0 3 310.507 4.072 20 0 DIADHN C[C@H](NCc1cnc2n1CCCC2)c1ccc(C2CCC2)cc1 ZINC000631624699 1045888931 /nfs/dbraw/zinc/88/89/31/1045888931.db2.gz CXKJQJRWFGWFRU-HNNXBMFYSA-N 0 3 309.457 4.338 20 0 DIADHN C[C@@H](NCc1cnc2n1CCCC2)c1ccc(C2CCC2)cc1 ZINC000631624712 1045889729 /nfs/dbraw/zinc/88/97/29/1045889729.db2.gz CXKJQJRWFGWFRU-OAHLLOKOSA-N 0 3 309.457 4.338 20 0 DIADHN COc1ccccc1C[C@H](NC/C(Cl)=C/Cl)C(C)C ZINC000754480995 1045890932 /nfs/dbraw/zinc/89/09/32/1045890932.db2.gz FUKRVSLUHYWJBI-XXYUJHKVSA-N 0 3 302.245 4.171 20 0 DIADHN Cc1ccc(CN[C@H]2CSCCc3ccccc32)cc1F ZINC000531690529 1045892137 /nfs/dbraw/zinc/89/21/37/1045892137.db2.gz WRCMILZZEWCRBY-SFHVURJKSA-N 0 3 301.430 4.254 20 0 DIADHN CN1CCC[C@@H](N[C@@H]2CCCc3ncccc32)c2ccccc21 ZINC000631634399 1045893415 /nfs/dbraw/zinc/89/34/15/1045893415.db2.gz VZFNPEUCGYYYOE-RTBURBONSA-N 0 3 307.441 4.020 20 0 DIADHN C[C@@H](NCc1c(C2CC2)cnn1C)c1ccc(C2CCC2)cc1 ZINC000631647496 1045895563 /nfs/dbraw/zinc/89/55/63/1045895563.db2.gz VQZGLYSSXUNCLG-CQSZACIVSA-N 0 3 309.457 4.416 20 0 DIADHN CNc1ccccc1CN[C@@H](C)c1ccc(OC(F)F)cc1 ZINC000902051387 1045896542 /nfs/dbraw/zinc/89/65/42/1045896542.db2.gz MYQTVVKOUNPNED-LBPRGKRZSA-N 0 3 306.356 4.181 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccccc1COC(C)C ZINC000902052136 1045898421 /nfs/dbraw/zinc/89/84/21/1045898421.db2.gz BGHGGWUTWJBYIO-UHFFFAOYSA-N 0 3 312.457 4.252 20 0 DIADHN C[C@@H](N[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1)c1ccoc1 ZINC000631655789 1045898649 /nfs/dbraw/zinc/89/86/49/1045898649.db2.gz YYEDIBXZBWKBBH-GTTSAUCSSA-N 0 3 309.768 4.253 20 0 DIADHN Cc1nc2c(s1)[C@H](N(C)C[C@]1(C)CC1(Cl)Cl)CCC2 ZINC000828300542 1045902316 /nfs/dbraw/zinc/90/23/16/1045902316.db2.gz NBCBTUXEVHPJBX-YPMHNXCESA-N 0 3 319.301 4.345 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc3cccnc3c1)CCC2 ZINC000631684192 1045904527 /nfs/dbraw/zinc/90/45/27/1045904527.db2.gz PNJJENYJYNLRNF-OAHLLOKOSA-N 0 3 323.465 4.421 20 0 DIADHN Fc1cncc([C@H](NCc2ccc3cccnc3c2)C2CC2)c1 ZINC000631685072 1045904616 /nfs/dbraw/zinc/90/46/16/1045904616.db2.gz WULXSLMKELKCBT-LJQANCHMSA-N 0 3 307.372 4.010 20 0 DIADHN CCc1nc(C)c([C@H](C)NCc2ccc3cccnc3c2)s1 ZINC000631684184 1045905118 /nfs/dbraw/zinc/90/51/18/1045905118.db2.gz PMNMBCGGWRODRB-LBPRGKRZSA-N 0 3 311.454 4.413 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2C)s1 ZINC000754660330 1045905754 /nfs/dbraw/zinc/90/57/54/1045905754.db2.gz UFJQXXPJSQUQHS-KGLIPLIRSA-N 0 3 302.443 4.043 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2c(C)cccc2C)s1 ZINC000754667550 1045906804 /nfs/dbraw/zinc/90/68/04/1045906804.db2.gz JKHYUNCABDALCS-HUUCEWRRSA-N 0 3 316.470 4.351 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(F)ccc2C)s1 ZINC000754665773 1045907809 /nfs/dbraw/zinc/90/78/09/1045907809.db2.gz XTPSYZVAAZRKCH-QWHCGFSZSA-N 0 3 320.433 4.182 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2cc(C)ccc2C)s1 ZINC000754670383 1045908006 /nfs/dbraw/zinc/90/80/06/1045908006.db2.gz VHLNFKYEJRYWBA-HUUCEWRRSA-N 0 3 316.470 4.351 20 0 DIADHN Fc1ccc2c(c1)[C@@H](NCc1cccc3c1CCOC3)CCC2 ZINC000631729180 1045908499 /nfs/dbraw/zinc/90/84/99/1045908499.db2.gz IZDYDDCAYZLLDD-FQEVSTJZSA-N 0 3 311.400 4.066 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc3ccccc3c2)s1 ZINC000754670059 1045909572 /nfs/dbraw/zinc/90/95/72/1045909572.db2.gz KLYHPRNGPQXVSJ-AWEZNQCLSA-N 0 3 324.449 4.499 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)C(=O)Nc2ccc(F)cc2F)s1 ZINC000754676495 1045910343 /nfs/dbraw/zinc/91/03/43/1045910343.db2.gz SBCBXKQGRCQBMU-GHMZBOCLSA-N 0 3 324.396 4.013 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)C(=O)NCCC2=CCCCC2)s1 ZINC000754672991 1045910398 /nfs/dbraw/zinc/91/03/98/1045910398.db2.gz JYIOWFLZTMNFSY-GJZGRUSLSA-N 0 3 320.502 4.102 20 0 DIADHN CCN(C(=O)[C@@H](C)N[C@@H](C)c1ccc(C)s1)C1CCCCC1 ZINC000754674049 1045910430 /nfs/dbraw/zinc/91/04/30/1045910430.db2.gz ZJJSKUSVRSFLJJ-LSDHHAIUSA-N 0 3 322.518 4.277 20 0 DIADHN CCN(C(=O)[C@H](C)N[C@H](C)c1ccc(C)s1)c1ccccc1 ZINC000754671408 1045911013 /nfs/dbraw/zinc/91/10/13/1045911013.db2.gz QYJQJBMODGFTHG-CABCVRRESA-N 0 3 316.470 4.149 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1cnc2ccsc2c1 ZINC000631730242 1045911228 /nfs/dbraw/zinc/91/12/28/1045911228.db2.gz XSPHEGYHDOVYAC-ZDUSSCGKSA-N 0 3 324.449 4.220 20 0 DIADHN CC[C@H](N[C@@H](CO)c1ccccc1C)c1cccc(Cl)c1 ZINC000531738707 1045911267 /nfs/dbraw/zinc/91/12/67/1045911267.db2.gz OZTRDUTZRMRVTN-ROUUACIJSA-N 0 3 303.833 4.423 20 0 DIADHN C[C@H](NCc1cccc2c1CCOC2)c1cccc(Cl)c1 ZINC000631730351 1045911630 /nfs/dbraw/zinc/91/16/30/1045911630.db2.gz RKZKXUHEQIAYKF-ZDUSSCGKSA-N 0 3 301.817 4.264 20 0 DIADHN Cc1ccc2c(c1)OCCC[C@H]2NCc1cccc2c1CCOC2 ZINC000631730018 1045911698 /nfs/dbraw/zinc/91/16/98/1045911698.db2.gz UYPTVYJWWBOZBE-HXUWFJFHSA-N 0 3 323.436 4.071 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccsc1 ZINC000631737490 1045912613 /nfs/dbraw/zinc/91/26/13/1045912613.db2.gz PQOFZEUTAQIQBJ-GXFFZTMASA-N 0 3 302.399 4.397 20 0 DIADHN CCn1ccnc1[C@@H](C)NCCc1cc(Cl)cc(Cl)c1 ZINC000453072855 1045918785 /nfs/dbraw/zinc/91/87/85/1045918785.db2.gz IKUGANJEUIZMHA-LLVKDONJSA-N 0 3 312.244 4.103 20 0 DIADHN CC(=O)Nc1cccc(CN[C@H]2CC[C@H](C)c3ccsc32)c1 ZINC000453058690 1045919844 /nfs/dbraw/zinc/91/98/44/1045919844.db2.gz LFJQRQSZKJVAQK-SJCJKPOMSA-N 0 3 314.454 4.435 20 0 DIADHN CCn1ccnc1[C@H](C)NCC1(c2ccc(F)cc2)CCCC1 ZINC000453057370 1045919875 /nfs/dbraw/zinc/91/98/75/1045919875.db2.gz DRJZLEHXVCYLTD-HNNXBMFYSA-N 0 3 315.436 4.205 20 0 DIADHN C[C@H](NCc1ccnc(N(C)C)c1)c1csc2ccccc21 ZINC000754734036 1045920193 /nfs/dbraw/zinc/92/01/93/1045920193.db2.gz FFORDTXSWQXUDQ-ZDUSSCGKSA-N 0 3 311.454 4.213 20 0 DIADHN C[C@@H](NCC(=O)Nc1ccccc1)c1csc2ccccc21 ZINC000754729296 1045921953 /nfs/dbraw/zinc/92/19/53/1045921953.db2.gz AUJYYWABMGKUNZ-CYBMUJFWSA-N 0 3 310.422 4.191 20 0 DIADHN Cc1cc(CN[C@@H](C)c2cc(-c3ccccc3)nn2C)ccc1F ZINC000453060401 1045922452 /nfs/dbraw/zinc/92/24/52/1045922452.db2.gz CILFJWKWCPFFFV-HNNXBMFYSA-N 0 3 323.415 4.385 20 0 DIADHN CSc1ccc2c(c1)[C@@H](N[C@@H]1CCCn3nccc31)CCC2 ZINC000631809655 1045922209 /nfs/dbraw/zinc/92/22/09/1045922209.db2.gz LUYBHDXFJZDBPN-DLBZAZTESA-N 0 3 313.470 4.107 20 0 DIADHN CC[C@H](NCc1cccc(OCCOC)c1)c1ccsc1 ZINC000453061068 1045922563 /nfs/dbraw/zinc/92/25/63/1045922563.db2.gz KULDNQPEPOERPP-KRWDZBQOSA-N 0 3 305.443 4.014 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CCCn3nccc32)c2ccccc12 ZINC000631808443 1045922800 /nfs/dbraw/zinc/92/28/00/1045922800.db2.gz DJXDUTRDZICZTP-KBXCAEBGSA-N 0 3 321.424 4.231 20 0 DIADHN COc1ccc(CN[C@@H]2CC[C@H](C)c3ccsc32)c(OC)c1 ZINC000453061392 1045922776 /nfs/dbraw/zinc/92/27/76/1045922776.db2.gz OAIXVWCXVXXVFY-BLLLJJGKSA-N 0 3 317.454 4.494 20 0 DIADHN CC(C)N(C(=O)CN[C@@H](C)c1csc2ccccc21)C(C)C ZINC000754743005 1045922956 /nfs/dbraw/zinc/92/29/56/1045922956.db2.gz ZCCIPSAETKZPMM-AWEZNQCLSA-N 0 3 318.486 4.197 20 0 DIADHN C[C@H](N[C@H]1CCCn2nccc21)c1cccc(-c2ccncc2)c1 ZINC000631810306 1045924262 /nfs/dbraw/zinc/92/42/62/1045924262.db2.gz YFIINEJLQUJRMZ-KXBFYZLASA-N 0 3 318.424 4.131 20 0 DIADHN COc1ccc(CCN(C)Cc2ccc(Cl)cc2F)cc1 ZINC001137660275 1045924828 /nfs/dbraw/zinc/92/48/28/1045924828.db2.gz BEFUPLUKASGCNH-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN CC[C@@H](N[C@@H](C)c1ccc(NC(=O)C2CC2)cc1)c1ccncc1 ZINC000453079649 1045927946 /nfs/dbraw/zinc/92/79/46/1045927946.db2.gz SKZZZJIJQJFEFN-IFXJQAMLSA-N 0 3 323.440 4.232 20 0 DIADHN Cc1cccc(CN2CCC(OCc3ccccc3)CC2)c1F ZINC001137676358 1045930857 /nfs/dbraw/zinc/93/08/57/1045930857.db2.gz SGNFNVKWUQOFOI-UHFFFAOYSA-N 0 3 313.416 4.315 20 0 DIADHN c1c2ccccc2sc1CN1CCC(Oc2ccncc2)CC1 ZINC001137681146 1045933670 /nfs/dbraw/zinc/93/36/70/1045933670.db2.gz JIVBCLJWGYJSPK-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CCC(O)(CC)CN[C@@H](C)c1ccc(Oc2ccccc2)cn1 ZINC000453093839 1045934383 /nfs/dbraw/zinc/93/43/83/1045934383.db2.gz SCKKBSJDXNOSKG-HNNXBMFYSA-N 0 3 314.429 4.076 20 0 DIADHN COC(=O)c1ccc(CN[C@@H](C)c2ccc(C)s2)cc1Cl ZINC000828816301 1045935221 /nfs/dbraw/zinc/93/52/21/1045935221.db2.gz QDASZGPUPYNJPF-NSHDSACASA-N 0 3 323.845 4.347 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(Cn3ccnc3)cc2)cs1 ZINC000453101760 1045937330 /nfs/dbraw/zinc/93/73/30/1045937330.db2.gz ZHEFGKMWYGRMOP-OAHLLOKOSA-N 0 3 311.454 4.152 20 0 DIADHN COc1ccc(CN(C)Cc2c[nH]c3ccc(Cl)cc23)cc1 ZINC001137710118 1045940392 /nfs/dbraw/zinc/94/03/92/1045940392.db2.gz QORLHJAKPOKMEH-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CNCc1ccc2[nH]cnc2c1 ZINC000531789610 1045941403 /nfs/dbraw/zinc/94/14/03/1045941403.db2.gz VJJMGGKQUDQZLZ-HNNXBMFYSA-N 0 3 323.440 4.338 20 0 DIADHN CC[C@H](C)Oc1cc(C)ccc1CNCc1ccc2nc[nH]c2c1 ZINC000531789610 1045941418 /nfs/dbraw/zinc/94/14/18/1045941418.db2.gz VJJMGGKQUDQZLZ-HNNXBMFYSA-N 0 3 323.440 4.338 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCS1)c1ncc(-c2ccccc2)n1C ZINC000453152079 1045951345 /nfs/dbraw/zinc/95/13/45/1045951345.db2.gz ZGIXNQHQLHYYGL-KDOFPFPSSA-N 0 3 315.486 4.023 20 0 DIADHN CC[C@@H](N[C@H](C)c1cc(-c2ccccc2)nn1C)c1ccncc1 ZINC000453127617 1045953469 /nfs/dbraw/zinc/95/34/69/1045953469.db2.gz PTFLZJGEQSSFFX-CRAIPNDOSA-N 0 3 320.440 4.284 20 0 DIADHN CO[C@@H](CN[C@@H](C)c1ccc(Oc2ccccc2)cn1)C(C)C ZINC000453129240 1045953679 /nfs/dbraw/zinc/95/36/79/1045953679.db2.gz XNXZZLSKCQDOIZ-KXBFYZLASA-N 0 3 314.429 4.196 20 0 DIADHN O[C@H](CN[C@H]1CCCc2occc21)c1cc2ccccc2s1 ZINC000192954204 1045956778 /nfs/dbraw/zinc/95/67/78/1045956778.db2.gz MFDMTGHHVLHWGW-LSDHHAIUSA-N 0 3 313.422 4.195 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cccc(-c3cncnc3)c1)CC2 ZINC001137763650 1045960819 /nfs/dbraw/zinc/96/08/19/1045960819.db2.gz ZKLKOFNGNIOUCK-UHFFFAOYSA-N 0 3 315.420 4.010 20 0 DIADHN COc1ccc([C@H](C)N[C@@H](C)c2ccc3c(c2)CCO3)cc1F ZINC000193003870 1045961113 /nfs/dbraw/zinc/96/11/13/1045961113.db2.gz GPWYNZUBYLERIY-STQMWFEESA-N 0 3 315.388 4.181 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)C[C@H](O)c1ccc(F)cc1F ZINC000755195031 1045962373 /nfs/dbraw/zinc/96/23/73/1045962373.db2.gz MYYQOXHTHYRXKJ-ATEOEAFZSA-N 0 3 317.379 4.034 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@@H](O)c1ccc(F)cc1F ZINC000755195041 1045963015 /nfs/dbraw/zinc/96/30/15/1045963015.db2.gz MYYQOXHTHYRXKJ-LMICACPXSA-N 0 3 317.379 4.034 20 0 DIADHN Cc1cnc(C2CCN(C[C@@]3(C)CC3(Cl)Cl)CC2)s1 ZINC000829243277 1045963594 /nfs/dbraw/zinc/96/35/94/1045963594.db2.gz AHEASIQFBJEPBL-CYBMUJFWSA-N 0 3 319.301 4.215 20 0 DIADHN CC[C@@H](CSc1ccc(C)c(C)c1)N[C@@H](C)c1ccncn1 ZINC000453167952 1045965874 /nfs/dbraw/zinc/96/58/74/1045965874.db2.gz JKUJQOUYKQGFPM-HOTGVXAUSA-N 0 3 315.486 4.315 20 0 DIADHN COC(=O)c1ccccc1CN1CCC[C@H]1c1ccccc1C ZINC001137785556 1045966349 /nfs/dbraw/zinc/96/63/49/1045966349.db2.gz LMNAXOQVMAKHHM-IBGZPJMESA-N 0 3 309.409 4.119 20 0 DIADHN CCC[C@@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000755250376 1045968045 /nfs/dbraw/zinc/96/80/45/1045968045.db2.gz ZLUFKPGYWJXZRO-OAHLLOKOSA-N 0 3 303.381 4.030 20 0 DIADHN CC[C@@H](CSc1ccccc1)N[C@@H](C)c1nccn1CC ZINC000453182017 1045969410 /nfs/dbraw/zinc/96/94/10/1045969410.db2.gz WYJOLGYVRUCJPD-GJZGRUSLSA-N 0 3 303.475 4.125 20 0 DIADHN O[C@@H](c1ccccc1)C1(CNCc2sccc2Cl)CC1 ZINC000193177805 1045974535 /nfs/dbraw/zinc/97/45/35/1045974535.db2.gz OOJZWFPQMNLNAD-HNNXBMFYSA-N 0 3 307.846 4.005 20 0 DIADHN C[C@@H](C[C@H](O)c1ccc(F)cc1)NCc1sccc1Cl ZINC000193195516 1045976926 /nfs/dbraw/zinc/97/69/26/1045976926.db2.gz SKOWCYHRRQLZQV-HZMBPMFUSA-N 0 3 313.825 4.142 20 0 DIADHN Cc1ccccc1C1(NC[C@@H](O)c2cc3ccccc3o2)CC1 ZINC000829500949 1045980680 /nfs/dbraw/zinc/98/06/80/1045980680.db2.gz USNYMNBRIDCSHL-QGZVFWFLSA-N 0 3 307.393 4.054 20 0 DIADHN C[C@H]1C[C@H](N[C@H]2C[C@H](c3cccc(Cl)c3)C2)c2nccn21 ZINC000453206464 1045983896 /nfs/dbraw/zinc/98/38/96/1045983896.db2.gz FAEIKNVOFUGJIP-MZGVZZPPSA-N 0 3 301.821 4.078 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccncc1 ZINC000453211074 1045984736 /nfs/dbraw/zinc/98/47/36/1045984736.db2.gz KSCXUGVRXPZQNI-ROUUACIJSA-N 0 3 317.864 4.079 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(-n2cccn2)c(F)c1)c1ccncc1 ZINC000453236943 1045999090 /nfs/dbraw/zinc/99/90/90/1045999090.db2.gz ZLVXCXGCVLDKJU-KSSFIOAISA-N 0 3 324.403 4.208 20 0 DIADHN C[C@@H]1C[C@H](NCC[C@@H](c2ccccc2)C(F)(F)F)c2nccn21 ZINC000453243045 1046000668 /nfs/dbraw/zinc/00/06/68/1046000668.db2.gz QVJLQZTVYYGZPH-SNPRPXQTSA-N 0 3 323.362 4.215 20 0 DIADHN C[C@H](NCCCC(C)(F)F)c1ncc(-c2ccccc2)n1C ZINC000453239704 1046001000 /nfs/dbraw/zinc/00/10/00/1046001000.db2.gz SNZOOZALVFVYQH-ZDUSSCGKSA-N 0 3 307.388 4.173 20 0 DIADHN CC[C@H](N[C@H]1CCCc2c(F)cc(F)cc21)c1ccncc1 ZINC000453244468 1046001545 /nfs/dbraw/zinc/00/15/45/1046001545.db2.gz FDLPYFBBTQNQHN-ROUUACIJSA-N 0 3 302.368 4.478 20 0 DIADHN C[C@@H](NCC1=CCCCC1)c1ccc(-n2cncn2)cc1Cl ZINC000453249306 1046003147 /nfs/dbraw/zinc/00/31/47/1046003147.db2.gz LYEYFBMFZQDCOR-CYBMUJFWSA-N 0 3 316.836 4.072 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(N(C)c3ccc(C)cc3)cc2)C1 ZINC001137898281 1046005028 /nfs/dbraw/zinc/00/50/28/1046005028.db2.gz NARFBXVUJDHLKH-QGZVFWFLSA-N 0 3 324.468 4.230 20 0 DIADHN CNc1ccccc1CNC[C@H](c1ccccc1)C(F)(F)F ZINC000902500846 1046008177 /nfs/dbraw/zinc/00/81/77/1046008177.db2.gz XVTSWKVIKODECK-OAHLLOKOSA-N 0 3 308.347 4.164 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)N[C@@H](CC)[C@H](O)C(F)F ZINC000453269592 1046009946 /nfs/dbraw/zinc/00/99/46/1046009946.db2.gz MXOABVNMEWKPTB-XEGUGMAKSA-N 0 3 311.372 4.050 20 0 DIADHN CC[C@H](NCc1nc(C)c(Br)s1)C(C)(C)C ZINC000453266083 1046010570 /nfs/dbraw/zinc/01/05/70/1046010570.db2.gz KJKMQOOKAQXSJK-VIFPVBQESA-N 0 3 305.285 4.128 20 0 DIADHN C[C@@H](NCC1=CCOCC1)c1ccccc1OCc1ccccc1 ZINC000453287365 1046016635 /nfs/dbraw/zinc/01/66/35/1046016635.db2.gz LAFRHFXZBHDVFC-QGZVFWFLSA-N 0 3 323.436 4.263 20 0 DIADHN Cc1ccc(CN(Cc2cncc3ccccc32)CC2CC2)cn1 ZINC000829864918 1046020372 /nfs/dbraw/zinc/02/03/72/1046020372.db2.gz BVSIKXOVUWKDKW-UHFFFAOYSA-N 0 3 317.436 4.350 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cccc(Br)n1 ZINC000384348476 1046026730 /nfs/dbraw/zinc/02/67/30/1046026730.db2.gz DJXVQGJHGHQKAH-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN CC[C@@H]1CC(=O)N(CN2CCC[C@H]2c2cccc3ccccc32)C1 ZINC000755915347 1046027710 /nfs/dbraw/zinc/02/77/10/1046027710.db2.gz YRWJRLYAUYYSMN-UZLBHIALSA-N 0 3 322.452 4.193 20 0 DIADHN CC[C@@](C)(CN[C@@H](C)c1ccc(Oc2ccccc2)cn1)OC ZINC000453305236 1046027859 /nfs/dbraw/zinc/02/78/59/1046027859.db2.gz QBVRJGLSWPMCMS-KXBFYZLASA-N 0 3 314.429 4.340 20 0 DIADHN CC[C@H]1CC(=O)N(CN2CCC[C@H]2c2cccc3ccccc32)C1 ZINC000755915344 1046028258 /nfs/dbraw/zinc/02/82/58/1046028258.db2.gz YRWJRLYAUYYSMN-JXFKEZNVSA-N 0 3 322.452 4.193 20 0 DIADHN CNc1ccc(C)cc1CNCc1ccc(C(C)(F)F)cc1 ZINC000902574839 1046029713 /nfs/dbraw/zinc/02/97/13/1046029713.db2.gz MFARIIZJCVGYHJ-UHFFFAOYSA-N 0 3 304.384 4.438 20 0 DIADHN CC(C)[C@@H]1C[C@H](CN[C@H](c2ccccc2)c2ccccn2)CCO1 ZINC000453320258 1046036680 /nfs/dbraw/zinc/03/66/80/1046036680.db2.gz OOLBCGRGMDAQDO-JRGCBEDISA-N 0 3 324.468 4.212 20 0 DIADHN Cc1ccc2cc([C@H](C)NC[C@H](O)c3ccccc3F)oc2c1 ZINC000756031011 1046039659 /nfs/dbraw/zinc/03/96/59/1046039659.db2.gz IXVBZQVCYWROMF-GUYCJALGSA-N 0 3 313.372 4.265 20 0 DIADHN C[C@H](c1cnn(C2CCCC2)c1)N1CCC[C@@]2(CCSC2)C1 ZINC000453346744 1046046412 /nfs/dbraw/zinc/04/64/12/1046046412.db2.gz GBCKGPSVWPODAY-CRAIPNDOSA-N 0 3 319.518 4.278 20 0 DIADHN C[C@@H]1C[C@@H](N[C@@H](Cc2ccccc2Cl)C2CC2)c2nccn21 ZINC000453344935 1046048181 /nfs/dbraw/zinc/04/81/81/1046048181.db2.gz JLMZHINXUKGTFH-OAUYIBNBSA-N 0 3 315.848 4.153 20 0 DIADHN Cc1cccc2c1CC[C@H]2NCc1c(F)cccc1-n1cccn1 ZINC000453349251 1046053847 /nfs/dbraw/zinc/05/38/47/1046053847.db2.gz JJWXUHHTABTPIY-LJQANCHMSA-N 0 3 321.399 4.097 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1F)CC1CC(F)(F)C1 ZINC001138011042 1046053917 /nfs/dbraw/zinc/05/39/17/1046053917.db2.gz KZALDCSTIYTJET-UHFFFAOYSA-N 0 3 311.269 4.322 20 0 DIADHN Cc1ccc(CN(C)Cc2cnc3ccccn23)c2ccccc12 ZINC001138013672 1046056507 /nfs/dbraw/zinc/05/65/07/1046056507.db2.gz SELVKLOEUDTUPA-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN CC[C@H](O)[C@H](CC)N[C@@H](C)c1csc(-c2ccccc2F)n1 ZINC000453381665 1046058782 /nfs/dbraw/zinc/05/87/82/1046058782.db2.gz RVWWHUCTGAAWPP-PJODQICGSA-N 0 3 322.449 4.149 20 0 DIADHN COc1ccc2c(c1)C[C@H](C)C[C@@H]2NCc1ccc(Cl)nc1 ZINC000902754655 1046061327 /nfs/dbraw/zinc/06/13/27/1046061327.db2.gz FQKHEFAKLFABKI-SJCJKPOMSA-N 0 3 316.832 4.157 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1N[C@@H](C)c1nc2c(s1)CCCC2 ZINC000453385444 1046062133 /nfs/dbraw/zinc/06/21/33/1046062133.db2.gz AXSBDAWYHZBHSG-GZBFAFLISA-N 0 3 308.491 4.020 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cccc(-n2ccnc2)c1)c1ccccn1 ZINC000902791917 1046072816 /nfs/dbraw/zinc/07/28/16/1046072816.db2.gz ZULRUYZULPAHLS-JXFKEZNVSA-N 0 3 320.440 4.459 20 0 DIADHN FC(F)SCc1ccc(CNCCSC(F)(F)F)o1 ZINC000194070958 1046093191 /nfs/dbraw/zinc/09/31/91/1046093191.db2.gz NZNZLQZVPCYDNN-UHFFFAOYSA-N 0 3 321.336 4.078 20 0 DIADHN C[C@@H](NCCCNc1ccccc1)c1ccc(C(F)(F)F)cn1 ZINC000902809930 1046076685 /nfs/dbraw/zinc/07/66/85/1046076685.db2.gz XQSGXYDLOPZSLR-CYBMUJFWSA-N 0 3 323.362 4.253 20 0 DIADHN Cc1ccc([C@H](O)CN[C@H](C)c2ccc(C(F)(F)F)s2)o1 ZINC000902816386 1046077731 /nfs/dbraw/zinc/07/77/31/1046077731.db2.gz PIMZNLXCQJSUOW-NXEZZACHSA-N 0 3 319.348 4.053 20 0 DIADHN CC[C@H](N[C@H]1CCOC(C)(C)C1)c1nc(C(F)(F)F)cs1 ZINC000634680171 1046084473 /nfs/dbraw/zinc/08/44/73/1046084473.db2.gz NACFPNOYCAVKKL-UWVGGRQHSA-N 0 3 322.396 4.160 20 0 DIADHN Cc1cccc([C@@H](N[C@H]2CCOC(C)(C)C2)c2ccccn2)c1 ZINC000634681278 1046085043 /nfs/dbraw/zinc/08/50/43/1046085043.db2.gz MDCVZDICNAZBPQ-PKOBYXMFSA-N 0 3 310.441 4.027 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2CCOC(C)(C)C2)c2ccccn2)cc1 ZINC000634682785 1046085339 /nfs/dbraw/zinc/08/53/39/1046085339.db2.gz AZEWVPOTEBICOH-PKOBYXMFSA-N 0 3 310.441 4.027 20 0 DIADHN C[C@@H](CC(C)(C)C)N[C@@H](C)c1ncc(Br)s1 ZINC000453445854 1046087413 /nfs/dbraw/zinc/08/74/13/1046087413.db2.gz ZVKAEENFXKLTFY-IUCAKERBSA-N 0 3 305.285 4.381 20 0 DIADHN CCc1ccc(CN[C@H](c2ccccc2)C2(CO)CCCC2)cn1 ZINC000453597062 1046092041 /nfs/dbraw/zinc/09/20/41/1046092041.db2.gz BFNPDGQLQCXYBZ-HXUWFJFHSA-N 0 3 324.468 4.028 20 0 DIADHN O[C@@H](CN1CC2(CC=CC2)[C@H]1c1ccccc1)c1ccccc1F ZINC000830749818 1046094375 /nfs/dbraw/zinc/09/43/75/1046094375.db2.gz PYQPPPQOJADNLS-VQTJNVASSA-N 0 3 323.411 4.252 20 0 DIADHN Cc1sccc1CN[C@@H](c1ccccc1)C1(CO)CCCC1 ZINC000453612454 1046098996 /nfs/dbraw/zinc/09/89/96/1046098996.db2.gz JVXWOHFUIQFJSX-SFHVURJKSA-N 0 3 315.482 4.440 20 0 DIADHN OCC1([C@@H](NCc2ccc(F)cc2)c2ccccc2)CCCC1 ZINC000453614286 1046100239 /nfs/dbraw/zinc/10/02/39/1046100239.db2.gz LWUGVYKOWYDDGQ-IBGZPJMESA-N 0 3 313.416 4.209 20 0 DIADHN CC(C)OCCCN(C)Cc1cc2ccccc2c(Cl)n1 ZINC000830972505 1046111714 /nfs/dbraw/zinc/11/17/14/1046111714.db2.gz OKTRCLHNAYBCAH-UHFFFAOYSA-N 0 3 306.837 4.135 20 0 DIADHN CN(CCc1ccccc1F)Cc1ccc(N2CCCCC2)o1 ZINC001138178816 1046113652 /nfs/dbraw/zinc/11/36/52/1046113652.db2.gz WUSUXVUKHAYXLP-UHFFFAOYSA-N 0 3 316.420 4.084 20 0 DIADHN CN(Cc1ccc(N2CCCCC2)o1)[C@H]1CCc2ccccc21 ZINC001138180189 1046114294 /nfs/dbraw/zinc/11/42/94/1046114294.db2.gz XYDXKBPFXNKJOK-IBGZPJMESA-N 0 3 310.441 4.389 20 0 DIADHN CC[C@@H](C)NC(=O)CCN[C@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000532204612 1046114499 /nfs/dbraw/zinc/11/44/99/1046114499.db2.gz RIFWSRJTLKVBJK-HUUCEWRRSA-N 0 3 316.445 4.005 20 0 DIADHN C[C@@H](N[C@@H]1CCc2c1cccc2F)c1ccc2c(c1)CCC(=O)N2 ZINC000532204812 1046115312 /nfs/dbraw/zinc/11/53/12/1046115312.db2.gz JTYPPQXOEZZGMB-CWTRNNRKSA-N 0 3 324.399 4.049 20 0 DIADHN C[C@H](N[C@@H](c1ccc(F)cc1)[C@@H]1CCCO1)c1cccs1 ZINC000532218613 1046117258 /nfs/dbraw/zinc/11/72/58/1046117258.db2.gz NEGHKGSVXDMEOA-NUTKFTJISA-N 0 3 305.418 4.458 20 0 DIADHN CCCC[C@@H](COC)N[C@H](C)c1sccc1Br ZINC000532224727 1046118966 /nfs/dbraw/zinc/11/89/66/1046118966.db2.gz WNZXIPJSZSWYJH-MNOVXSKESA-N 0 3 320.296 4.366 20 0 DIADHN FC(F)C1CCN(Cc2ccccc2OCC(F)(F)F)CC1 ZINC000532237153 1046124376 /nfs/dbraw/zinc/12/43/76/1046124376.db2.gz ICZNGZYHFMXCJN-UHFFFAOYSA-N 0 3 323.305 4.105 20 0 DIADHN CCCCCCN(C)CC(=O)NCc1ccc(C2CCC2)cc1 ZINC001138232065 1046125171 /nfs/dbraw/zinc/12/51/71/1046125171.db2.gz XCAGRHPTYUKCCE-UHFFFAOYSA-N 0 3 316.489 4.082 20 0 DIADHN C[C@H](CN[C@@H](C)c1ccc(C(F)(F)F)cn1)c1nccs1 ZINC000902951370 1046125458 /nfs/dbraw/zinc/12/54/58/1046125458.db2.gz OKNADCYHEBOXMW-ZJUUUORDSA-N 0 3 315.364 4.011 20 0 DIADHN CCOCc1ccccc1CN[C@H](CC)c1ccccc1OC ZINC000193892289 1046133789 /nfs/dbraw/zinc/13/37/89/1046133789.db2.gz OSEJFBLDJQUNLJ-LJQANCHMSA-N 0 3 313.441 4.473 20 0 DIADHN COC(=O)c1ccc([C@H](C)N[C@@H](C)c2ccsc2)c(C)c1 ZINC000902981736 1046135981 /nfs/dbraw/zinc/13/59/81/1046135981.db2.gz CYYKWRMDYLPXMJ-STQMWFEESA-N 0 3 303.427 4.255 20 0 DIADHN Cc1ccc(CN(Cc2ccccc2)C[C@@H](O)c2ccco2)cc1 ZINC000195736812 1046136297 /nfs/dbraw/zinc/13/62/97/1046136297.db2.gz XRIXKIAPLRUVMA-HXUWFJFHSA-N 0 3 321.420 4.324 20 0 DIADHN COc1cccc([C@H](C)N[C@H](C)c2ccc(OC)c(OC)c2)c1 ZINC000193899497 1046136479 /nfs/dbraw/zinc/13/64/79/1046136479.db2.gz VAYJYSULBQLQEI-UONOGXRCSA-N 0 3 315.413 4.124 20 0 DIADHN C[C@H](NC[C@@H]1CCCC1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903008399 1046139939 /nfs/dbraw/zinc/13/99/39/1046139939.db2.gz NYDQHTVKOBUJPK-UWVGGRQHSA-N 0 3 308.294 4.186 20 0 DIADHN C[C@@H](NC[C@H]1C(C)(C)C1(F)F)c1ccc(C(F)(F)F)cn1 ZINC000903054468 1046149126 /nfs/dbraw/zinc/14/91/26/1046149126.db2.gz LNVWDALMYMWXGT-KCJUWKMLSA-N 0 3 308.294 4.042 20 0 DIADHN Fc1ccccc1[C@H](NCc1cccs1)C1CCOCC1 ZINC000903057966 1046150999 /nfs/dbraw/zinc/15/09/99/1046150999.db2.gz MCGTYSPHHGFESJ-QGZVFWFLSA-N 0 3 305.418 4.145 20 0 DIADHN CCc1cccc2c(CN3CCc4cc(OC)ccc4C3)c[nH]c21 ZINC001138359492 1046154598 /nfs/dbraw/zinc/15/45/98/1046154598.db2.gz BTFHDBQFAYDANU-UHFFFAOYSA-N 0 3 320.436 4.297 20 0 DIADHN COc1ccc(F)cc1CN(C)CCc1cccc(Cl)c1 ZINC001138374644 1046158915 /nfs/dbraw/zinc/15/89/15/1046158915.db2.gz AMOYUCSUIUYUCN-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN Cn1c2ccccc2nc1CN1CCC[C@@H](c2ccccc2)CC1 ZINC001138380416 1046158949 /nfs/dbraw/zinc/15/89/49/1046158949.db2.gz DDKVKWGHOGUGKK-GOSISDBHSA-N 0 3 319.452 4.343 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCc1nc2ccccc2o1 ZINC001168150667 1046159185 /nfs/dbraw/zinc/15/91/85/1046159185.db2.gz WEJFZJZEMULKIC-GFCCVEGCSA-N 0 3 300.789 4.202 20 0 DIADHN [O-]c1cccnc1C[N@@H+]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001138396604 1046165040 /nfs/dbraw/zinc/16/50/40/1046165040.db2.gz VABDQYJPMDGABA-HNNXBMFYSA-N 0 3 322.330 4.143 20 0 DIADHN [O-]c1cccnc1C[N@H+]1CCC[C@H]1c1ccc(C(F)(F)F)cc1 ZINC001138396604 1046165057 /nfs/dbraw/zinc/16/50/57/1046165057.db2.gz VABDQYJPMDGABA-HNNXBMFYSA-N 0 3 322.330 4.143 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CCc3cccc4cccc2c43)n(C)n1 ZINC000903107989 1046165185 /nfs/dbraw/zinc/16/51/85/1046165185.db2.gz JPKUWBBBZLZAFP-RDTXWAMCSA-N 0 3 305.425 4.220 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H]1CCc2cccc3cccc1c32 ZINC000903108110 1046165593 /nfs/dbraw/zinc/16/55/93/1046165593.db2.gz MRFNAVFHFQPVOG-LIRRHRJNSA-N 0 3 303.409 4.276 20 0 DIADHN c1cc(CNC[C@H]2CC3c4ccccc4C2c2ccccc23)no1 ZINC000634954936 1046167000 /nfs/dbraw/zinc/16/70/00/1046167000.db2.gz RZQALTCOLDMQDU-OZJRSANCSA-N 0 3 316.404 4.062 20 0 DIADHN CO[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1cccc(Cl)c1 ZINC000903119528 1046168000 /nfs/dbraw/zinc/16/80/00/1046168000.db2.gz IIWDEYNRDSXCOK-ZWKOTPCHSA-N 0 3 316.832 4.163 20 0 DIADHN CC(C)N(Cc1ncccc1NC(=O)OC(C)(C)C)C(C)C ZINC001138402245 1046168083 /nfs/dbraw/zinc/16/80/83/1046168083.db2.gz KVOJJZXVBMHTHS-UHFFFAOYSA-N 0 3 307.438 4.047 20 0 DIADHN CC1(C)C[C@@]1(NCc1ccc2nccnc2c1)c1ccccc1 ZINC000634987213 1046169490 /nfs/dbraw/zinc/16/94/90/1046169490.db2.gz OVQPZOOMDSQKNW-HXUWFJFHSA-N 0 3 303.409 4.045 20 0 DIADHN Cc1c(CN2CCC(OC(C)C)CC2)[nH]c2ccc(F)cc12 ZINC001138412778 1046169473 /nfs/dbraw/zinc/16/94/73/1046169473.db2.gz KKOFRLUCJDIYIF-UHFFFAOYSA-N 0 3 304.409 4.005 20 0 DIADHN COc1ccc2c(c1)nccc2N1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000779933287 1046169680 /nfs/dbraw/zinc/16/96/80/1046169680.db2.gz KGMDYIHNSGRHGG-RYUDHWBXSA-N 0 3 324.346 4.411 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1nc2cc(F)ccc2o1 ZINC000634977887 1046169824 /nfs/dbraw/zinc/16/98/24/1046169824.db2.gz NSRWMVKLCBGVIF-SECBINFHSA-N 0 3 304.287 4.178 20 0 DIADHN CC(C)(CNCc1cc(Cl)ccc1Cl)C1(O)CCCC1 ZINC001202825943 1046170389 /nfs/dbraw/zinc/17/03/89/1046170389.db2.gz ZTTRGZKIRYDNJO-UHFFFAOYSA-N 0 3 316.272 4.414 20 0 DIADHN CC[C@H](CC(F)(F)F)N[C@@H](C)c1cnn(CC2CCC2)c1 ZINC000903152304 1046175616 /nfs/dbraw/zinc/17/56/16/1046175616.db2.gz MXKMHMRZCBMDBM-SMDDNHRTSA-N 0 3 303.372 4.065 20 0 DIADHN FC(F)(F)[C@@H](CN[C@@H](c1ccccn1)C1CC1)c1ccccc1 ZINC000903153638 1046178492 /nfs/dbraw/zinc/17/84/92/1046178492.db2.gz UJYNCJXVPHLWGE-DOTOQJQBSA-N 0 3 320.358 4.468 20 0 DIADHN CCOc1ccc(CN2CCC(C(F)(F)F)CC2)c(C)c1 ZINC001138431145 1046179914 /nfs/dbraw/zinc/17/99/14/1046179914.db2.gz UILRRHYCCPSTIP-UHFFFAOYSA-N 0 3 301.352 4.168 20 0 DIADHN COc1c(C)cc(CN(C)CCC(=O)c2cccs2)cc1C ZINC001138429535 1046180379 /nfs/dbraw/zinc/18/03/79/1046180379.db2.gz GQOKHSRQIHSNFD-UHFFFAOYSA-N 0 3 317.454 4.078 20 0 DIADHN CCOc1ccc2ccccc2c1CN1CCC[C@@H](C(C)=O)C1 ZINC001138444497 1046184351 /nfs/dbraw/zinc/18/43/51/1046184351.db2.gz UKWWJBKJUMUEMD-QGZVFWFLSA-N 0 3 311.425 4.040 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC(F)(F)C(C)(C)C2)cc1 ZINC001138446092 1046184692 /nfs/dbraw/zinc/18/46/92/1046184692.db2.gz GDUVZYYQYQEIGN-UHFFFAOYSA-N 0 3 319.399 4.252 20 0 DIADHN COc1ccc([C@H](NCc2nccc3c2CCCC3)C2CC2)cc1 ZINC000903184704 1046186885 /nfs/dbraw/zinc/18/68/85/1046186885.db2.gz NLPSIFYIPZDDDO-OAQYLSRUSA-N 0 3 322.452 4.210 20 0 DIADHN Cc1c2ccccc2sc1CN1CC2(C1)C[C@@H](F)CS2 ZINC001138462922 1046189224 /nfs/dbraw/zinc/18/92/24/1046189224.db2.gz BUABOEPESSHAPE-GFCCVEGCSA-N 0 3 307.459 4.239 20 0 DIADHN Cc1c2ccccc2sc1CN1CC2(C1)C[C@H](F)CS2 ZINC001138462924 1046189237 /nfs/dbraw/zinc/18/92/37/1046189237.db2.gz BUABOEPESSHAPE-LBPRGKRZSA-N 0 3 307.459 4.239 20 0 DIADHN COc1c(Cl)cc(-c2cccc(CN(C)C)n2)cc1Cl ZINC001204824039 1046191147 /nfs/dbraw/zinc/19/11/47/1046191147.db2.gz RKUBDYOLMSSOCK-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN C[C@@H]1C[C@@H](C)[C@H](C)N(Cc2nnc(-c3ccc(Cl)cc3)o2)C1 ZINC000475743013 1046191363 /nfs/dbraw/zinc/19/13/63/1046191363.db2.gz VYGASHMQLBDFTN-UPJWGTAASA-N 0 3 319.836 4.256 20 0 DIADHN C[C@H](NCc1cc(N(C)C)ccn1)c1ccccc1C(F)(F)F ZINC000903202391 1046192095 /nfs/dbraw/zinc/19/20/95/1046192095.db2.gz BRRIXWWOQPENPZ-LBPRGKRZSA-N 0 3 323.362 4.017 20 0 DIADHN C[C@@H](NCc1cc(N(C)C)ccn1)c1ccc2ccccc2c1 ZINC000903202609 1046192548 /nfs/dbraw/zinc/19/25/48/1046192548.db2.gz GFDFQEMALNJANU-OAHLLOKOSA-N 0 3 305.425 4.152 20 0 DIADHN C[C@@H]1C[C@H](C)[C@H](C)N(Cc2nnc(-c3ccccc3Cl)o2)C1 ZINC000475742121 1046193836 /nfs/dbraw/zinc/19/38/36/1046193836.db2.gz LNPVXSPIQITLHF-AGIUHOORSA-N 0 3 319.836 4.256 20 0 DIADHN CN(C)c1ccc(CN2CCC[C@H]2c2cccc(F)c2)s1 ZINC001138494309 1046199910 /nfs/dbraw/zinc/19/99/10/1046199910.db2.gz QCTJJTIQFSKWII-INIZCTEOSA-N 0 3 304.434 4.290 20 0 DIADHN CC(C)C[C@H](NCc1c[nH]c(=O)c2ccccc12)c1ccncc1 ZINC000903232947 1046200227 /nfs/dbraw/zinc/20/02/27/1046200227.db2.gz ASLSDRWWWWZVKS-IBGZPJMESA-N 0 3 321.424 4.212 20 0 DIADHN COc1ccc([C@H](C)NCc2c[nH]c(=O)c3ccccc23)c(C)c1 ZINC000903236001 1046203030 /nfs/dbraw/zinc/20/30/30/1046203030.db2.gz RYTUHOJSIOTHAC-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN COCC[C@@H](NC/C=C/Cl)c1cccc(C(F)(F)F)c1 ZINC000831857447 1046203777 /nfs/dbraw/zinc/20/37/77/1046203777.db2.gz LEDCYULDLFLDFF-LPZVBRGNSA-N 0 3 307.743 4.125 20 0 DIADHN c1[nH]nc2cc(CN[C@@H]3CC4(CCC4)Oc4ccccc43)ccc12 ZINC000757706114 1046204335 /nfs/dbraw/zinc/20/43/35/1046204335.db2.gz NZYDDRQMCRLCMK-GOSISDBHSA-N 0 3 319.408 4.099 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2cnn(CC3CCC3)c2)c2sccc21 ZINC000903239197 1046205709 /nfs/dbraw/zinc/20/57/09/1046205709.db2.gz ARIQHLCIYMEYBY-CXAGYDPISA-N 0 3 315.486 4.473 20 0 DIADHN Cc1cc([C@H](C)NC[C@@H](O)c2ccc3ccccc3c2)c(C)o1 ZINC000321123383 1046206364 /nfs/dbraw/zinc/20/63/64/1046206364.db2.gz LNWQULRTZGLVAM-VBKZILBWSA-N 0 3 309.409 4.434 20 0 DIADHN CCC[C@@H](NCC[C@@H]1CCCC[C@@H]1O)c1ncccc1Cl ZINC000903265057 1046213360 /nfs/dbraw/zinc/21/33/60/1046213360.db2.gz OVTHALAOJIXWIG-IMJJTQAJSA-N 0 3 310.869 4.107 20 0 DIADHN CCN(Cc1ccc(-n2ccnc2C)cc1)Cc1ccccc1F ZINC001138548778 1046222835 /nfs/dbraw/zinc/22/28/35/1046222835.db2.gz LAWXLPZYWDQRNF-UHFFFAOYSA-N 0 3 323.415 4.342 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)N[C@H](CO)c1ccccc1Cl ZINC001168165811 1046223927 /nfs/dbraw/zinc/22/39/27/1046223927.db2.gz JKTQPHZILFYBIH-SJKOYZFVSA-N 0 3 324.251 4.248 20 0 DIADHN O=C(Nc1c[nH]c2ccccc12)c1cccc(CN2CCCC2)c1 ZINC000888112176 1046226564 /nfs/dbraw/zinc/22/65/64/1046226564.db2.gz NNAHXXBZVVAMOC-UHFFFAOYSA-N 0 3 319.408 4.016 20 0 DIADHN C[C@H](N[C@@H](C)c1ccncc1)c1ccc(Br)s1 ZINC000038001862 1046227545 /nfs/dbraw/zinc/22/75/45/1046227545.db2.gz DJJSPPWXWWFSOQ-UWVGGRQHSA-N 0 3 311.248 4.317 20 0 DIADHN Cc1cc(CN2CC[C@@H]2COCc2ccccc2)c(C)s1 ZINC001138567526 1046227726 /nfs/dbraw/zinc/22/77/26/1046227726.db2.gz HOQPQZKUYIIOPJ-GOSISDBHSA-N 0 3 301.455 4.156 20 0 DIADHN Fc1cccc(-c2nnc(CN3CCCCCCCC3)o2)c1 ZINC000831932984 1046229577 /nfs/dbraw/zinc/22/95/77/1046229577.db2.gz PGILFYIQWAROFT-UHFFFAOYSA-N 0 3 303.381 4.032 20 0 DIADHN CCCc1noc(C2CCN(Cc3cc(C)sc3C)CC2)n1 ZINC001138570907 1046230176 /nfs/dbraw/zinc/23/01/76/1046230176.db2.gz MFZOADXRRPNGCJ-UHFFFAOYSA-N 0 3 319.474 4.080 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2C)ccc1OC(C)C ZINC001138573966 1046230735 /nfs/dbraw/zinc/23/07/35/1046230735.db2.gz UTNURQGMZRSMSE-UHFFFAOYSA-N 0 3 313.441 4.423 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1)NCC(=O)c1cc(F)cc(F)c1 ZINC001168168964 1046237660 /nfs/dbraw/zinc/23/76/60/1046237660.db2.gz CIUVDTXDZBRBNB-LLVKDONJSA-N 0 3 323.770 4.022 20 0 DIADHN Cc1cccc2c1CCN(Cc1ccccc1N1CCCC1)C2 ZINC001138610168 1046238453 /nfs/dbraw/zinc/23/84/53/1046238453.db2.gz LRVBIDRMJPXYKP-UHFFFAOYSA-N 0 3 306.453 4.154 20 0 DIADHN c1ccc(N2CCCC2)c(CN2CCC[C@@H]2c2cccnc2)c1 ZINC001138610400 1046238652 /nfs/dbraw/zinc/23/86/52/1046238652.db2.gz OELPHCKLEHIHCI-LJQANCHMSA-N 0 3 307.441 4.019 20 0 DIADHN COc1cc(C[NH2+][C@H](C)CC(C)(C)SC)cc(Cl)c1[O-] ZINC001258333898 1046240137 /nfs/dbraw/zinc/24/01/37/1046240137.db2.gz PWDAZIFOPBDTLT-SNVBAGLBSA-N 0 3 317.882 4.064 20 0 DIADHN COc1ccc(-c2cccc(CN(C)Cc3ccncc3)c2)cc1 ZINC001138613571 1046241050 /nfs/dbraw/zinc/24/10/50/1046241050.db2.gz IFFTVKLNOSWKLO-UHFFFAOYSA-N 0 3 318.420 4.389 20 0 DIADHN CCN(Cc1ccc(F)cc1)Cc1ccccc1N1CCCC1 ZINC001138614289 1046241106 /nfs/dbraw/zinc/24/11/06/1046241106.db2.gz VWMKUDFGCHHHIM-UHFFFAOYSA-N 0 3 312.432 4.448 20 0 DIADHN c1ccc(CN(Cc2ccccc2N2CCCC2)C2CC2)cc1 ZINC001138612089 1046241709 /nfs/dbraw/zinc/24/17/09/1046241709.db2.gz AKJKXIKSBGKMSQ-UHFFFAOYSA-N 0 3 306.453 4.451 20 0 DIADHN COC(C)(C)[C@@H](C)N[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000903330939 1046243954 /nfs/dbraw/zinc/24/39/54/1046243954.db2.gz MAJBLZIFIWKHNN-CHWSQXEVSA-N 0 3 304.459 4.274 20 0 DIADHN CC[C@@H](N[C@H](c1ccccn1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903334985 1046247204 /nfs/dbraw/zinc/24/72/04/1046247204.db2.gz JKDOUPHLHYXWHY-MJGOQNOKSA-N 0 3 324.424 4.060 20 0 DIADHN COc1ccc2c(c1)CCC[C@@H]2N[C@H](c1ccccn1)C1CC1 ZINC000903339803 1046250097 /nfs/dbraw/zinc/25/00/97/1046250097.db2.gz MYVBSPWBLDRYQQ-ICSRJNTNSA-N 0 3 308.425 4.209 20 0 DIADHN C[C@H]1CCN(Cc2cccn2-c2ccccc2F)CCC1(F)F ZINC001138632401 1046250549 /nfs/dbraw/zinc/25/05/49/1046250549.db2.gz WABWFQRDRMMOMD-AWEZNQCLSA-N 0 3 322.374 4.484 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN([C@H](C)C1CC1)C1CC1 ZINC000102655360 1046251178 /nfs/dbraw/zinc/25/11/78/1046251178.db2.gz RNXDOAQOXCKCCJ-LLVKDONJSA-N 0 3 302.443 4.474 20 0 DIADHN CCCn1ncc(CN(C)Cc2cccc3ccccc32)c1C ZINC001138637559 1046251830 /nfs/dbraw/zinc/25/18/30/1046251830.db2.gz BDUJNYCDELUTDK-UHFFFAOYSA-N 0 3 307.441 4.387 20 0 DIADHN C[C@@H](NCCC1CCC(F)(F)CC1)c1cc2n(n1)CCCC2 ZINC000903343769 1046253780 /nfs/dbraw/zinc/25/37/80/1046253780.db2.gz CKMHKKFMTKEABD-CYBMUJFWSA-N 0 3 311.420 4.086 20 0 DIADHN Cc1ccc([C@H](C)NC(=O)Nc2cccc(CN(C)C)c2)s1 ZINC000054408439 1046257015 /nfs/dbraw/zinc/25/70/15/1046257015.db2.gz VQLNPCUDXLHTKZ-ZDUSSCGKSA-N 0 3 317.458 4.001 20 0 DIADHN CCSc1ncc(CN(Cc2ccccc2)CC(C)C)cn1 ZINC001138649607 1046257276 /nfs/dbraw/zinc/25/72/76/1046257276.db2.gz HQYJMGCSOXJGPP-UHFFFAOYSA-N 0 3 315.486 4.247 20 0 DIADHN Cc1ncc(Nc2cc(Cl)ccc2NC(=O)C(C)(C)C)n1C ZINC001214130607 1046262569 /nfs/dbraw/zinc/26/25/69/1046262569.db2.gz SWNOKTZMMRPZIH-UHFFFAOYSA-N 0 3 320.824 4.110 20 0 DIADHN CC(C)CC[C@H](NCc1ccc(CCO)cc1)c1ccoc1 ZINC000903402463 1046266030 /nfs/dbraw/zinc/26/60/30/1046266030.db2.gz DBCYLJMOKIEERH-IBGZPJMESA-N 0 3 301.430 4.082 20 0 DIADHN Fc1ccc(-c2ccc(CN3CC(c4cccnc4)C3)cc2)cc1 ZINC001138683905 1046266730 /nfs/dbraw/zinc/26/67/30/1046266730.db2.gz XZXCVNUKAULYFO-UHFFFAOYSA-N 0 3 318.395 4.487 20 0 DIADHN CC[C@@H](NCCOC1CCSCC1)c1sccc1Cl ZINC000903444401 1046281350 /nfs/dbraw/zinc/28/13/50/1046281350.db2.gz ADCDDLDJRFCXRL-CYBMUJFWSA-N 0 3 319.923 4.354 20 0 DIADHN CCOCC1CCN(Cc2c(Cl)[nH]c3ccccc32)CC1 ZINC001138688479 1046268700 /nfs/dbraw/zinc/26/87/00/1046268700.db2.gz DNHJDYLVTGYDQA-UHFFFAOYSA-N 0 3 306.837 4.070 20 0 DIADHN CN(Cc1cccc(Cl)c1)Cc1ccc2c(c1)CCCO2 ZINC001138714888 1046273255 /nfs/dbraw/zinc/27/32/55/1046273255.db2.gz FORBKMLTBRHOEM-UHFFFAOYSA-N 0 3 301.817 4.297 20 0 DIADHN Cc1ncccc1CCN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000903417256 1046273463 /nfs/dbraw/zinc/27/34/63/1046273463.db2.gz ZCEORNGRIHLYLP-HNNXBMFYSA-N 0 3 323.465 4.407 20 0 DIADHN Cc1ncccc1CCN[C@H](C)c1nc(-c2ccccc2)cs1 ZINC000903417257 1046273600 /nfs/dbraw/zinc/27/36/00/1046273600.db2.gz ZCEORNGRIHLYLP-OAHLLOKOSA-N 0 3 323.465 4.407 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc3c(c2)CCCO3)cc1 ZINC001138714956 1046274685 /nfs/dbraw/zinc/27/46/85/1046274685.db2.gz GJVHLJPSWSPLPP-FQEVSTJZSA-N 0 3 323.436 4.357 20 0 DIADHN c1ccc(O[C@H]2CCCN(Cc3ccc4c(c3)CCCO4)C2)cc1 ZINC001138716695 1046276423 /nfs/dbraw/zinc/27/64/23/1046276423.db2.gz UQNNGTMDSJSCIV-FQEVSTJZSA-N 0 3 323.436 4.055 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2ccc3c(c2)CCCO3)c1 ZINC001138719081 1046276708 /nfs/dbraw/zinc/27/67/08/1046276708.db2.gz PIDIRSHYHRVBRG-HXUWFJFHSA-N 0 3 323.436 4.357 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1ccc2c(c1)OCCCO2 ZINC000903429005 1046277136 /nfs/dbraw/zinc/27/71/36/1046277136.db2.gz RMSAHTHGGOZBEL-VBKZILBWSA-N 0 3 324.424 4.045 20 0 DIADHN c1ccc(C2=CCN(Cc3ccc4c(c3)CCCO4)CC2)cc1 ZINC001138719089 1046277482 /nfs/dbraw/zinc/27/74/82/1046277482.db2.gz PSEIWHWXGZGGOX-UHFFFAOYSA-N 0 3 305.421 4.301 20 0 DIADHN C[C@H](N[C@@H](c1ccncc1)C1CC1)c1ccc(C(F)F)nc1 ZINC000903428264 1046277546 /nfs/dbraw/zinc/27/75/46/1046277546.db2.gz FKTBTDPKTHIAMB-MEDUHNTESA-N 0 3 303.356 4.216 20 0 DIADHN CC[C@@H](N[C@H](c1ccncc1)C1CC1)c1ccc(C(=O)OC)cc1 ZINC000903429182 1046277742 /nfs/dbraw/zinc/27/77/42/1046277742.db2.gz SLMVDOAYXXHRGO-MOPGFXCFSA-N 0 3 324.424 4.060 20 0 DIADHN Fc1ccc2c(c1)[C@@H](N[C@H](c1ccncc1)C1CC1)CCS2 ZINC000903428741 1046277879 /nfs/dbraw/zinc/27/78/79/1046277879.db2.gz PXGGXSSGFOOBNA-WMZOPIPTSA-N 0 3 314.429 4.499 20 0 DIADHN C[C@@H](N[C@@H](c1ccncc1)C1CC1)c1nccc2ccccc21 ZINC000903430393 1046279789 /nfs/dbraw/zinc/27/97/89/1046279789.db2.gz ZMPRRGNAMLNRMK-JLTOFOAXSA-N 0 3 303.409 4.432 20 0 DIADHN Brc1cc(CN2CCC[C@@H]2C2CCCCC2)ccn1 ZINC001138734625 1046283865 /nfs/dbraw/zinc/28/38/65/1046283865.db2.gz GCHAYPVCNYNHGZ-OAHLLOKOSA-N 0 3 323.278 4.389 20 0 DIADHN COc1cc(Cl)cc(CN(C)CCc2ccccc2)c1OC ZINC001138743820 1046287162 /nfs/dbraw/zinc/28/71/62/1046287162.db2.gz FAODBZOINVXYFF-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN Cn1ccnc1[C@@H](C1CC1)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000638073329 1046290998 /nfs/dbraw/zinc/29/09/98/1046290998.db2.gz CTHNPGIIPRLPCD-DOTOQJQBSA-N 0 3 315.848 4.014 20 0 DIADHN c1nn(Cc2ccccc2)cc1CN1CCC[C@@H]2CCCC[C@@H]21 ZINC001138766722 1046292239 /nfs/dbraw/zinc/29/22/39/1046292239.db2.gz YPAKXKABXWQPOG-PMACEKPBSA-N 0 3 309.457 4.086 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2ccc3occc3c2)C1 ZINC001138825948 1046303732 /nfs/dbraw/zinc/30/37/32/1046303732.db2.gz JZKRYCVGWDNUKH-GOSISDBHSA-N 0 3 305.377 4.138 20 0 DIADHN CCCOC1CCN(Cc2c[nH]c3c2cccc3Cl)CC1 ZINC001138834466 1046308354 /nfs/dbraw/zinc/30/83/54/1046308354.db2.gz AMPKVHXTMAHJRC-UHFFFAOYSA-N 0 3 306.837 4.212 20 0 DIADHN Clc1cccc2c(CN3CCC4(CCOCC4)CC3)c[nH]c21 ZINC001138833689 1046308688 /nfs/dbraw/zinc/30/86/88/1046308688.db2.gz KCAGWGNDDCGAIN-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN COc1cc(OC(F)(F)F)ccc1CN1CCC12CCCC2 ZINC001138850104 1046309524 /nfs/dbraw/zinc/30/95/24/1046309524.db2.gz WYJNTPFYXLJDTC-UHFFFAOYSA-N 0 3 315.335 4.112 20 0 DIADHN c1nc([C@@H](N[C@H](c2ccccc2)C2CC2)C2CCCCC2)n[nH]1 ZINC000638319927 1046310904 /nfs/dbraw/zinc/31/09/04/1046310904.db2.gz TXVWZOCFBSNYMC-MSOLQXFVSA-N 0 3 310.445 4.167 20 0 DIADHN c1nnc([C@@H]([NH2+][C@H](c2ccccc2)C2CC2)C2CCCCC2)[n-]1 ZINC000638319927 1046310918 /nfs/dbraw/zinc/31/09/18/1046310918.db2.gz TXVWZOCFBSNYMC-MSOLQXFVSA-N 0 3 310.445 4.167 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cnc2ccccc2n1 ZINC001138865298 1046311743 /nfs/dbraw/zinc/31/17/43/1046311743.db2.gz CDIPQOWIKGTKFM-FQEVSTJZSA-N 0 3 303.409 4.139 20 0 DIADHN O[C@@H](CN1CC2(C1)CCCCC2)c1cccc(C(F)(F)F)c1 ZINC000759062176 1046314524 /nfs/dbraw/zinc/31/45/24/1046314524.db2.gz BGZDULWXEJMLLW-HNNXBMFYSA-N 0 3 313.363 4.005 20 0 DIADHN Cc1ccc([C@@H](N[C@H](c2nc[nH]n2)C2CCCCC2)C2CC2)cc1 ZINC000638347081 1046316976 /nfs/dbraw/zinc/31/69/76/1046316976.db2.gz OHWJGKVGBOSQOY-MOPGFXCFSA-N 0 3 324.472 4.475 20 0 DIADHN Cc1ccc([C@@H]([NH2+][C@H](c2nnc[n-]2)C2CCCCC2)C2CC2)cc1 ZINC000638347081 1046316993 /nfs/dbraw/zinc/31/69/93/1046316993.db2.gz OHWJGKVGBOSQOY-MOPGFXCFSA-N 0 3 324.472 4.475 20 0 DIADHN C[C@H](NCc1nc2cc(Cl)ccc2s1)[C@@H]1CC1(F)F ZINC000638443169 1046320795 /nfs/dbraw/zinc/32/07/95/1046320795.db2.gz ISCWNTJYENGDFW-CBAPKCEASA-N 0 3 302.777 4.083 20 0 DIADHN Cc1ccc(CNCc2ccc(C(=O)OC(C)(C)C)cc2)s1 ZINC000237747085 1046321295 /nfs/dbraw/zinc/32/12/95/1046321295.db2.gz CXVSIBOAIKVYAK-UHFFFAOYSA-N 0 3 317.454 4.302 20 0 DIADHN c1ccc([C@H]2OCCC[C@H]2CNCc2nc3ccccc3o2)cc1 ZINC000638416380 1046321351 /nfs/dbraw/zinc/32/13/51/1046321351.db2.gz WHRNQSWAPARFCN-OXJNMPFZSA-N 0 3 322.408 4.085 20 0 DIADHN Fc1cccc([C@H]2CCN(Cc3ccccc3OC(F)F)C2)c1 ZINC001138911531 1046322926 /nfs/dbraw/zinc/32/29/26/1046322926.db2.gz ZYCCYCDMKSAGEB-AWEZNQCLSA-N 0 3 321.342 4.417 20 0 DIADHN Fc1cccc([C@@H]2CCN(Cc3ccccc3OC(F)F)C2)c1 ZINC001138911532 1046323045 /nfs/dbraw/zinc/32/30/45/1046323045.db2.gz ZYCCYCDMKSAGEB-CQSZACIVSA-N 0 3 321.342 4.417 20 0 DIADHN Cc1cccc2c(CCNCc3c(F)cccc3F)c[nH]c21 ZINC000237765492 1046323905 /nfs/dbraw/zinc/32/39/05/1046323905.db2.gz BBHALCSEUFPPBH-UHFFFAOYSA-N 0 3 300.352 4.087 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2cnc(Cl)s2)C12CCCCC2 ZINC000718205343 1046324508 /nfs/dbraw/zinc/32/45/08/1046324508.db2.gz RYXQPPYJESCXOC-QWHCGFSZSA-N 0 3 314.882 4.014 20 0 DIADHN C[C@H](N[C@H]1CCc2c1cccc2Br)c1ccc[nH]1 ZINC000760250628 1046327772 /nfs/dbraw/zinc/32/77/72/1046327772.db2.gz RDABGNHJFPGLBN-BONVTDFDSA-N 0 3 305.219 4.115 20 0 DIADHN Clc1c2cc[nH]c2ncc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001138935884 1046331843 /nfs/dbraw/zinc/33/18/43/1046331843.db2.gz LFESAZBUDSJJNK-SWLSCSKDSA-N 0 3 303.837 4.323 20 0 DIADHN C[C@H](NC1CC(n2cccn2)C1)c1cccc(Cl)c1Cl ZINC000638654610 1046333474 /nfs/dbraw/zinc/33/34/74/1046333474.db2.gz MXMNMVZIFSLLRY-UNXYVOJBSA-N 0 3 310.228 4.244 20 0 DIADHN O[C@@H](CCN1CCC[C@@H]1c1ccc(F)cc1F)c1ccccc1 ZINC000832518112 1046334152 /nfs/dbraw/zinc/33/41/52/1046334152.db2.gz USRSKCYUCYBTTR-MOPGFXCFSA-N 0 3 317.379 4.225 20 0 DIADHN CC(C)C[C@H](NC[C@H](O)c1ccccc1Cl)c1ccccn1 ZINC000090077586 1046335454 /nfs/dbraw/zinc/33/54/54/1046335454.db2.gz NYCZMESTBKRBBM-ROUUACIJSA-N 0 3 318.848 4.145 20 0 DIADHN Clc1cnc2[nH]ccc2c1CN1CCC[C@H]2CCCC[C@H]21 ZINC001138951614 1046335470 /nfs/dbraw/zinc/33/54/70/1046335470.db2.gz GJUQXNJBUSRZJF-MLGOLLRUSA-N 0 3 303.837 4.323 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@@H](O)c1ccccc1Cl ZINC000090077204 1046336126 /nfs/dbraw/zinc/33/61/26/1046336126.db2.gz IIZFKOYSXNGTIJ-CXAGYDPISA-N 0 3 319.832 4.123 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccccc1Cl)c1cc(F)cc(F)c1 ZINC000090077703 1046336836 /nfs/dbraw/zinc/33/68/36/1046336836.db2.gz XMAQIOLHAJMOEY-HWPZZCPQSA-N 0 3 311.759 4.002 20 0 DIADHN CCC(=O)Oc1ccc(CN2Cc3ccccc3[C@@H](C)C2)cc1 ZINC001138962364 1046340759 /nfs/dbraw/zinc/34/07/59/1046340759.db2.gz NWYSEEMGGJOHOV-HNNXBMFYSA-N 0 3 309.409 4.121 20 0 DIADHN CCCN(C)Cc1cc(Br)ccc1OC(C)C ZINC001138987775 1046348454 /nfs/dbraw/zinc/34/84/54/1046348454.db2.gz YTGLBVZDVDNBSX-UHFFFAOYSA-N 0 3 300.240 4.078 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)[C@@H](C)C2 ZINC000638960524 1046350900 /nfs/dbraw/zinc/35/09/00/1046350900.db2.gz HXFOHAGSVQBBQM-GDIGMMSISA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)[C@@H](C)C2 ZINC000638960524 1046350909 /nfs/dbraw/zinc/35/09/09/1046350909.db2.gz HXFOHAGSVQBBQM-GDIGMMSISA-N 0 3 324.472 4.258 20 0 DIADHN CCCN([C@@H](C)c1cnc(C)nc1C)[C@H]1CCCc2cccnc21 ZINC000639067731 1046356166 /nfs/dbraw/zinc/35/61/66/1046356166.db2.gz YFBHNZFXLWGQHN-KXBFYZLASA-N 0 3 324.472 4.339 20 0 DIADHN C[C@@H]1CN(C)[C@@H](C)CN1Cc1ccc(-c2cccs2)s1 ZINC001139016956 1046358426 /nfs/dbraw/zinc/35/84/26/1046358426.db2.gz HWIRCARNRVJTCN-QWHCGFSZSA-N 0 3 306.500 4.001 20 0 DIADHN CC[C@H](c1ccccc1)N1CCO[C@]2(CCc3ccccc32)C1 ZINC001168191510 1046359674 /nfs/dbraw/zinc/35/96/74/1046359674.db2.gz DLEMIICXNKVHIT-NHCUHLMSSA-N 0 3 307.437 4.312 20 0 DIADHN CCN(Cc1c[nH]c2ccccc12)[C@H](C)c1cnc(C)nc1C ZINC000639091103 1046360175 /nfs/dbraw/zinc/36/01/75/1046360175.db2.gz QPHQBMRHCHHNCA-CQSZACIVSA-N 0 3 308.429 4.158 20 0 DIADHN CCO[C@@H]1CCCC[C@H]1NCc1cc2ccccc2c(Cl)n1 ZINC000832628249 1046360560 /nfs/dbraw/zinc/36/05/60/1046360560.db2.gz DITRZGUXBUZAPF-IAGOWNOFSA-N 0 3 318.848 4.326 20 0 DIADHN Clc1ccc([C@H]2C[C@H](NCc3cc(C4CC4)no3)C2)cc1 ZINC000639125810 1046361551 /nfs/dbraw/zinc/36/15/51/1046361551.db2.gz CANXLZXPGSIQQW-CTYIDZIISA-N 0 3 302.805 4.241 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(Br)cc1F)[C@H]1CC1(F)F ZINC000639189716 1046367886 /nfs/dbraw/zinc/36/78/86/1046367886.db2.gz VKBYRRGFKOENDQ-VAOFZXAKSA-N 0 3 322.168 4.283 20 0 DIADHN O=C1CCC[C@H]2CN(Cc3ccccc3Oc3ccccc3)C[C@H]12 ZINC001139053188 1046369120 /nfs/dbraw/zinc/36/91/20/1046369120.db2.gz VFZRJHOUZYDPLH-LPHOPBHVSA-N 0 3 321.420 4.280 20 0 DIADHN Nc1c(F)cccc1CN[C@@H](c1ccc(F)c(Cl)c1)C1CC1 ZINC000639225428 1046371692 /nfs/dbraw/zinc/37/16/92/1046371692.db2.gz JZNMQWVIIUOTBX-QGZVFWFLSA-N 0 3 322.786 4.441 20 0 DIADHN Cc1cccnc1O[C@@H]1CCCN(Cc2cc3ccccc3o2)C1 ZINC001139064109 1046371771 /nfs/dbraw/zinc/37/17/71/1046371771.db2.gz OVTSUYFLWSBNIG-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN Fc1ccc(O[C@H]2CCN(Cc3cc4ccccc4o3)C2)cc1 ZINC001139065169 1046371938 /nfs/dbraw/zinc/37/19/38/1046371938.db2.gz CQFFMGOFYCKTFV-KRWDZBQOSA-N 0 3 311.356 4.225 20 0 DIADHN Cc1ccnc(O[C@@H]2CCCN(Cc3cc4ccccc4o3)C2)c1 ZINC001139064107 1046372182 /nfs/dbraw/zinc/37/21/82/1046372182.db2.gz OULSIGXLRWFQRZ-QGZVFWFLSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1cccc(O[C@H]2CCCN(Cc3cc4ccccc4o3)C2)n1 ZINC001139064556 1046373006 /nfs/dbraw/zinc/37/30/06/1046373006.db2.gz BRQMBWWRTZJCJH-KRWDZBQOSA-N 0 3 322.408 4.180 20 0 DIADHN Cc1ccc(C2(O)CCN(Cc3cc4ccccc4o3)CC2)cc1 ZINC001139059572 1046373974 /nfs/dbraw/zinc/37/39/74/1046373974.db2.gz DLRFRKXNKGVROG-UHFFFAOYSA-N 0 3 321.420 4.225 20 0 DIADHN Cn1c(CNCc2cnccc2C(C)(C)C)cc2ccccc21 ZINC000639261891 1046375099 /nfs/dbraw/zinc/37/50/99/1046375099.db2.gz DTYTWBJMZGAGDQ-UHFFFAOYSA-N 0 3 307.441 4.161 20 0 DIADHN Cc1ccccc1OCCN(C)Cc1ccccc1N1CCCC1 ZINC000759762117 1046379318 /nfs/dbraw/zinc/37/93/18/1046379318.db2.gz NWYFXKDOEBVOIX-UHFFFAOYSA-N 0 3 324.468 4.106 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@H](c1nc[nH]n1)C1CCCCC1)[C@H](C)C2 ZINC000639320252 1046380125 /nfs/dbraw/zinc/38/01/25/1046380125.db2.gz HXFOHAGSVQBBQM-CCKFTAQKSA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@@H]([NH2+][C@H](c1nnc[n-]1)C1CCCCC1)[C@H](C)C2 ZINC000639320252 1046380137 /nfs/dbraw/zinc/38/01/37/1046380137.db2.gz HXFOHAGSVQBBQM-CCKFTAQKSA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](c1nc[nH]n1)C1CCCCC1)[C@H](C)C2 ZINC000639320253 1046380930 /nfs/dbraw/zinc/38/09/30/1046380930.db2.gz HXFOHAGSVQBBQM-MDASCCDHSA-N 0 3 324.472 4.258 20 0 DIADHN Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](c1nnc[n-]1)C1CCCCC1)[C@H](C)C2 ZINC000639320253 1046380945 /nfs/dbraw/zinc/38/09/45/1046380945.db2.gz HXFOHAGSVQBBQM-MDASCCDHSA-N 0 3 324.472 4.258 20 0 DIADHN CC(C)C[C@H](C)CC(=O)N[C@H](CN(C)C)c1ccc(Cl)cc1 ZINC001139071593 1046381867 /nfs/dbraw/zinc/38/18/67/1046381867.db2.gz CZRNHTKWAUXSKO-WMLDXEAASA-N 0 3 324.896 4.131 20 0 DIADHN Brc1ccc2oc(CN[C@@H]3CCCC34CC4)nc2c1 ZINC000639359529 1046382016 /nfs/dbraw/zinc/38/20/16/1046382016.db2.gz ACPIRASBVFPGPW-CYBMUJFWSA-N 0 3 321.218 4.013 20 0 DIADHN Cc1nc2ccccc2nc1CNCC1(C(F)(F)F)CCCC1 ZINC000639353911 1046382227 /nfs/dbraw/zinc/38/22/27/1046382227.db2.gz BHQHIPKENMCGCZ-UHFFFAOYSA-N 0 3 323.362 4.151 20 0 DIADHN Cc1ccc(F)c(CNC[C@@H](CC(C)C)C(=O)OC(C)(C)C)c1 ZINC001202892155 1046382853 /nfs/dbraw/zinc/38/28/53/1046382853.db2.gz IKKLBWURASYVIG-MRXNPFEDSA-N 0 3 323.452 4.228 20 0 DIADHN c1cnc(Oc2ccc(CN3CC4(C3)CCCCC4)cc2)nc1 ZINC001139081713 1046383334 /nfs/dbraw/zinc/38/33/34/1046383334.db2.gz QNISZAOMZDDOER-UHFFFAOYSA-N 0 3 309.413 4.035 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2nnc(-c3cccc(F)c3)o2)C1 ZINC000832726831 1046384418 /nfs/dbraw/zinc/38/44/18/1046384418.db2.gz FDEIOHBSWVZGMH-CYBMUJFWSA-N 0 3 317.408 4.134 20 0 DIADHN O[C@H]1CCN(Cc2ccc3occc3c2)[C@@H](c2ccccc2)C1 ZINC000639365378 1046384349 /nfs/dbraw/zinc/38/43/49/1046384349.db2.gz COGWEFLQLKYLKG-RBUKOAKNSA-N 0 3 307.393 4.131 20 0 DIADHN CSc1ccc(CN2CC[C@H](O)C[C@H]2c2ccccc2)cc1 ZINC000639366399 1046385364 /nfs/dbraw/zinc/38/53/64/1046385364.db2.gz KJXBFWHQSDAGIQ-HKUYNNGSSA-N 0 3 313.466 4.107 20 0 DIADHN Cc1cc(CN2CCC(OCc3ccc(F)cc3)CC2)cs1 ZINC000648507636 1046385965 /nfs/dbraw/zinc/38/59/65/1046385965.db2.gz ZOVHZSGJMKCIPW-UHFFFAOYSA-N 0 3 319.445 4.377 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1scnc1C1CC1 ZINC000500417601 1046386748 /nfs/dbraw/zinc/38/67/48/1046386748.db2.gz UGGGTNYQNGTPQX-UHFFFAOYSA-N 0 3 316.470 4.106 20 0 DIADHN COC[C@H]1CCCN(Cc2cc3ccccc3c(Cl)n2)CC1 ZINC000832745988 1046387517 /nfs/dbraw/zinc/38/75/17/1046387517.db2.gz OMYHGFMYPRATRD-AWEZNQCLSA-N 0 3 318.848 4.137 20 0 DIADHN CCn1ccc2ccc(CN3CCC[C@H]3c3ccncc3)cc21 ZINC001139122608 1046390110 /nfs/dbraw/zinc/39/01/10/1046390110.db2.gz JLVDASDWRFWWPF-IBGZPJMESA-N 0 3 305.425 4.393 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CN[C@@H]1C[C@H]1C1CCC1 ZINC001202901628 1046394995 /nfs/dbraw/zinc/39/49/95/1046394995.db2.gz HUQSKGMTTKXWOR-GXTWGEPZSA-N 0 3 300.229 4.280 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1cccs1)c1cccc(Cl)c1 ZINC000246645280 1046398756 /nfs/dbraw/zinc/39/87/56/1046398756.db2.gz CNEMUJVQENNQCT-GJZGRUSLSA-N 0 3 307.846 4.272 20 0 DIADHN CCc1cccnc1[C@H](C)NCCSCc1ccccc1 ZINC000780705147 1046400132 /nfs/dbraw/zinc/40/01/32/1046400132.db2.gz BKKPBDWGVTUQOG-HNNXBMFYSA-N 0 3 300.471 4.228 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@@H](O)c1ccc2ccccc2c1 ZINC000780723367 1046400402 /nfs/dbraw/zinc/40/04/02/1046400402.db2.gz IAHMEYSDWXGMGJ-FOIQADDNSA-N 0 3 320.436 4.181 20 0 DIADHN CCc1cccnc1[C@@H](C)N[C@@H](c1ccccc1)C1CCOCC1 ZINC000780726577 1046400465 /nfs/dbraw/zinc/40/04/65/1046400465.db2.gz XUGOOAXSKQCFFF-IERDGZPVSA-N 0 3 324.468 4.463 20 0 DIADHN CCc1cccnc1[C@@H](C)NCCSCc1ccccc1 ZINC000780705148 1046400489 /nfs/dbraw/zinc/40/04/89/1046400489.db2.gz BKKPBDWGVTUQOG-OAHLLOKOSA-N 0 3 300.471 4.228 20 0 DIADHN CCc1cccnc1[C@@H](C)NC[C@H](O)c1ccc2ccccc2c1 ZINC000780723369 1046400635 /nfs/dbraw/zinc/40/06/35/1046400635.db2.gz IAHMEYSDWXGMGJ-QRWLVFNGSA-N 0 3 320.436 4.181 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@H](c1ccccc1)C1CCOCC1 ZINC000780726576 1046400645 /nfs/dbraw/zinc/40/06/45/1046400645.db2.gz XUGOOAXSKQCFFF-HRAATJIYSA-N 0 3 324.468 4.463 20 0 DIADHN CCc1cccnc1[C@H](C)N[C@@H](c1ccccc1)C1CCOCC1 ZINC000780726580 1046400696 /nfs/dbraw/zinc/40/06/96/1046400696.db2.gz XUGOOAXSKQCFFF-KKSFZXQISA-N 0 3 324.468 4.463 20 0 DIADHN c1ccc(COC[C@H]2CCN2Cc2ccc3cccnc3c2)cc1 ZINC001139169217 1046402904 /nfs/dbraw/zinc/40/29/04/1046402904.db2.gz KGMMCAIHJYGSMA-HXUWFJFHSA-N 0 3 318.420 4.026 20 0 DIADHN C[C@@H](NC[C@H](O)c1c(F)cccc1F)c1cccc(Cl)c1 ZINC000037998374 1046403356 /nfs/dbraw/zinc/40/33/56/1046403356.db2.gz YOHKAZVIJQTTGI-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](c1nnc(-c2ccc3ccccc3c2)o1)N1CC[C@@H](C)C1 ZINC000639468578 1046405565 /nfs/dbraw/zinc/40/55/65/1046405565.db2.gz FCNLKIITPDCQME-KGLIPLIRSA-N 0 3 307.397 4.293 20 0 DIADHN C[C@@H]1[C@@H](C)CCN1Cc1nnc(-c2cccc3ccccc32)o1 ZINC000639511200 1046406410 /nfs/dbraw/zinc/40/64/10/1046406410.db2.gz NOJZAUHLYBDELU-UONOGXRCSA-N 0 3 307.397 4.120 20 0 DIADHN CC1(C)CN(Cc2c3ccccc3[nH]c2-c2ccccc2)CCO1 ZINC001139197969 1046408154 /nfs/dbraw/zinc/40/81/54/1046408154.db2.gz CVVFOHSUKKUGFW-UHFFFAOYSA-N 0 3 320.436 4.446 20 0 DIADHN CN(Cc1csc(-c2cnn(C)c2)n1)C1CCCCCCC1 ZINC000748801865 1046408831 /nfs/dbraw/zinc/40/88/31/1046408831.db2.gz BCNQGWRMIYWOER-UHFFFAOYSA-N 0 3 318.490 4.088 20 0 DIADHN CCCCC(CCCC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258389505 1046408897 /nfs/dbraw/zinc/40/88/97/1046408897.db2.gz VLEDKTDDTYGIAO-UHFFFAOYSA-N 0 3 317.399 4.469 20 0 DIADHN C[C@@H]1CN(Cc2c3ccccc3[nH]c2-c2ccccc2)[C@H](C)CO1 ZINC001139200173 1046411349 /nfs/dbraw/zinc/41/13/49/1046411349.db2.gz OHJUCCVXUMZSAD-HZPDHXFCSA-N 0 3 320.436 4.444 20 0 DIADHN Cc1ccccc1[C@H]1CCCN1Cc1ccc2nsnc2c1 ZINC001139210668 1046412975 /nfs/dbraw/zinc/41/29/75/1046412975.db2.gz QUYWZWLVNMFSAQ-GOSISDBHSA-N 0 3 309.438 4.337 20 0 DIADHN CCOc1ccccc1[C@@H](CC(C)C)NCc1cc[nH]c(=O)c1 ZINC000639566998 1046413280 /nfs/dbraw/zinc/41/32/80/1046413280.db2.gz FKLBAXZYXJOOFJ-QGZVFWFLSA-N 0 3 314.429 4.063 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccc3nsnc3c2)c1 ZINC001139208225 1046413292 /nfs/dbraw/zinc/41/32/92/1046413292.db2.gz QNWHTUNWLVSNCB-SFHVURJKSA-N 0 3 309.438 4.337 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc2ccn(C)c2c1 ZINC001139206971 1046413466 /nfs/dbraw/zinc/41/34/66/1046413466.db2.gz NLDCYJPNNQBBPR-HNNXBMFYSA-N 0 3 308.425 4.380 20 0 DIADHN C(=C/[C@@H]1CCCCCN1CCc1cnccn1)\c1cccs1 ZINC000639584947 1046414251 /nfs/dbraw/zinc/41/42/51/1046414251.db2.gz XJNVBYCUXACAGQ-OZSKJFCKSA-N 0 3 313.470 4.039 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2ccc3nsnc3c2)cc1 ZINC001139213024 1046414691 /nfs/dbraw/zinc/41/46/91/1046414691.db2.gz MXDWPLRKVGJIOC-SFHVURJKSA-N 0 3 309.438 4.337 20 0 DIADHN c1ccc(C2CCN(Cc3ccc4nsnc4c3)CC2)cc1 ZINC001139210858 1046415363 /nfs/dbraw/zinc/41/53/63/1046415363.db2.gz WWHAJKBZXNJNIK-UHFFFAOYSA-N 0 3 309.438 4.071 20 0 DIADHN Brc1cscc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC001139347670 1046434798 /nfs/dbraw/zinc/43/47/98/1046434798.db2.gz OAIWEDNKONQPIR-PHIMTYICSA-N 0 3 300.265 4.133 20 0 DIADHN O=C(CN[C@H]1CCCC[C@H]1CC(F)(F)F)c1ccccc1F ZINC000639657724 1046420079 /nfs/dbraw/zinc/42/00/79/1046420079.db2.gz BEDKAZRORWBNBV-FZMZJTMJSA-N 0 3 317.326 4.109 20 0 DIADHN C[C@@H](NCC1([C@H](O)c2ccccc2)CC1)c1cc(F)ccc1F ZINC000090771011 1046421826 /nfs/dbraw/zinc/42/18/26/1046421826.db2.gz IVWSONGSCJKIOE-FZKQIMNGSA-N 0 3 317.379 4.129 20 0 DIADHN C[C@@H](NCC1([C@@H](O)c2ccccc2)CC1)c1ccc(F)cc1F ZINC000090771227 1046422082 /nfs/dbraw/zinc/42/20/82/1046422082.db2.gz AWSYFHBOVDDYMG-ACJLOTCBSA-N 0 3 317.379 4.129 20 0 DIADHN C[C@@H](NCC1([C@H](O)c2ccccc2)CC1)c1ccc(F)cc1F ZINC000090771225 1046422239 /nfs/dbraw/zinc/42/22/39/1046422239.db2.gz AWSYFHBOVDDYMG-FZKQIMNGSA-N 0 3 317.379 4.129 20 0 DIADHN Cc1ccccc1NC(=S)Nc1cc(CN(C)C)ccc1C ZINC000749014464 1046422282 /nfs/dbraw/zinc/42/22/82/1046422282.db2.gz WZCPGDIQHTUBBI-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN CC1=Nc2ccccc2C12CCN([C@@H](C)c1ccncc1)CC2 ZINC000639715997 1046424258 /nfs/dbraw/zinc/42/42/58/1046424258.db2.gz VTRLNQVAOQVREJ-HNNXBMFYSA-N 0 3 305.425 4.282 20 0 DIADHN CC1=Nc2ccccc2C12CCN(Cc1ccncc1C)CC2 ZINC000639729560 1046425449 /nfs/dbraw/zinc/42/54/49/1046425449.db2.gz OZZLVLITJVFTLN-UHFFFAOYSA-N 0 3 305.425 4.030 20 0 DIADHN COC1CCN(Cc2ccccc2Oc2ccc(F)cc2)CC1 ZINC001139298609 1046427169 /nfs/dbraw/zinc/42/71/69/1046427169.db2.gz XMCXYCVAOJNWOA-UHFFFAOYSA-N 0 3 315.388 4.229 20 0 DIADHN CN(Cc1ccccc1Oc1ccc(F)cc1)CC1(C)COC1 ZINC001139299123 1046427575 /nfs/dbraw/zinc/42/75/75/1046427575.db2.gz PIHOLONHLIQWPJ-UHFFFAOYSA-N 0 3 315.388 4.086 20 0 DIADHN O=C(CN(C1CCC1)C1CCC1)Nc1ccc2ccccc2c1 ZINC000795491476 1046428751 /nfs/dbraw/zinc/42/87/51/1046428751.db2.gz PZIOYJUZXBVGBV-UHFFFAOYSA-N 0 3 308.425 4.185 20 0 DIADHN Brc1ccsc1CN1CC[C@H]2CCC[C@@H]2C1 ZINC001139311175 1046429171 /nfs/dbraw/zinc/42/91/71/1046429171.db2.gz MMYZQMAUIHSBLV-GHMZBOCLSA-N 0 3 300.265 4.133 20 0 DIADHN CC[C@H](NCc1nnc(-c2cccc3ccccc32)o1)C1CC1 ZINC000639828708 1046430014 /nfs/dbraw/zinc/43/00/14/1046430014.db2.gz PUWMIPDCWGRYSR-KRWDZBQOSA-N 0 3 307.397 4.168 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)N[C@H](C)C1=CCN(C)CC1 ZINC000781188153 1046431634 /nfs/dbraw/zinc/43/16/34/1046431634.db2.gz GEUFPAGGWVEEOY-APWZRJJASA-N 0 3 308.510 4.140 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1ccc3cc(O)ccc3c1)C2 ZINC001139336818 1046431658 /nfs/dbraw/zinc/43/16/58/1046431658.db2.gz AWZLSADHFBMLBT-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(N2CCCCC2)nc1 ZINC001139343837 1046432551 /nfs/dbraw/zinc/43/25/51/1046432551.db2.gz FNSQLHGNURGTCA-UHFFFAOYSA-N 0 3 323.484 4.484 20 0 DIADHN CCCC1CCN(Cc2ccc(N3CCCCC3)nc2)CC1 ZINC001139343724 1046433493 /nfs/dbraw/zinc/43/34/93/1046433493.db2.gz AVZFOCCSZUPKAW-UHFFFAOYSA-N 0 3 301.478 4.084 20 0 DIADHN CN(Cc1ccc(N2CCCCC2)nc1)[C@H]1CCc2ccccc21 ZINC001139346768 1046434353 /nfs/dbraw/zinc/43/43/53/1046434353.db2.gz ANDXMIMPRSMBGB-FQEVSTJZSA-N 0 3 321.468 4.191 20 0 DIADHN Brc1cscc1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001139347672 1046434981 /nfs/dbraw/zinc/43/49/81/1046434981.db2.gz OAIWEDNKONQPIR-QWRGUYRKSA-N 0 3 300.265 4.133 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139363625 1046437860 /nfs/dbraw/zinc/43/78/60/1046437860.db2.gz IGWBGSFBZLDECX-BETUJISGSA-N 0 3 323.893 4.409 20 0 DIADHN CC[C@H]1CCCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139367415 1046438442 /nfs/dbraw/zinc/43/84/42/1046438442.db2.gz MUSCSCNKHHKYPA-ZDUSSCGKSA-N 0 3 323.893 4.411 20 0 DIADHN C[C@@H]1CCCCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139365752 1046438574 /nfs/dbraw/zinc/43/85/74/1046438574.db2.gz MUYAKRCAOOPWGM-CYBMUJFWSA-N 0 3 323.893 4.411 20 0 DIADHN CC[C@@H]1CCCN1Cc1cccn1Cc1cnc(Cl)s1 ZINC001139365406 1046438898 /nfs/dbraw/zinc/43/88/98/1046438898.db2.gz FDVYWPOITMLMPF-GFCCVEGCSA-N 0 3 309.866 4.021 20 0 DIADHN C[C@@H]1C[C@@H](C)CN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139367711 1046438999 /nfs/dbraw/zinc/43/89/99/1046438999.db2.gz SLAKWZTXWXRPOE-CHWSQXEVSA-N 0 3 323.893 4.124 20 0 DIADHN C[C@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)CC1 ZINC001139362603 1046439005 /nfs/dbraw/zinc/43/90/05/1046439005.db2.gz IADUSLCWVBFEMP-ZDUSSCGKSA-N 0 3 323.893 4.268 20 0 DIADHN CC[C@@H]1CCCN(Cc2cccn2Cc2cnc(Cl)s2)C1 ZINC001139367349 1046439073 /nfs/dbraw/zinc/43/90/73/1046439073.db2.gz KISVOMKWBKLINA-CYBMUJFWSA-N 0 3 323.893 4.268 20 0 DIADHN COc1ccc2c(c1)C[C@H](N[C@@H](C)c1c(F)cccc1Cl)C2 ZINC001168241740 1046441384 /nfs/dbraw/zinc/44/13/84/1046441384.db2.gz NVNKSOOZEBPWNJ-SMDDNHRTSA-N 0 3 319.807 4.306 20 0 DIADHN Cc1nc([C@H](C)NC[C@@H](c2cccnc2)C(C)C)c(C)s1 ZINC000676842464 1046441813 /nfs/dbraw/zinc/44/18/13/1046441813.db2.gz SUZYVBROXYVNEA-BLLLJJGKSA-N 0 3 303.475 4.245 20 0 DIADHN FC(F)(F)SCCNCc1c(Cl)ccc2cccnc21 ZINC000781412700 1046443248 /nfs/dbraw/zinc/44/32/48/1046443248.db2.gz OZUYJSRBGPCURU-UHFFFAOYSA-N 0 3 320.767 4.231 20 0 DIADHN Cc1ccc(CN[C@@H](C)c2cccnc2)c(C)c1Br ZINC000719634369 1046446811 /nfs/dbraw/zinc/44/68/11/1046446811.db2.gz RXVJKOVSDUVKEF-ZDUSSCGKSA-N 0 3 319.246 4.312 20 0 DIADHN Clc1ccc(-c2ccc(CN3CC[C@@]4(CCCO4)C3)o2)cc1 ZINC001139414917 1046447014 /nfs/dbraw/zinc/44/70/14/1046447014.db2.gz FAFNVZKJMVGBGA-SFHVURJKSA-N 0 3 317.816 4.355 20 0 DIADHN Cc1c(CN2CCC[C@H](Cc3ccccc3)C2)nc2ccccn12 ZINC001137272054 1046448106 /nfs/dbraw/zinc/44/81/06/1046448106.db2.gz GBIDTKMYWWPTTA-LJQANCHMSA-N 0 3 319.452 4.097 20 0 DIADHN Cc1c(CN2CCC[C@H]2c2ccccc2C)nc2ccccn12 ZINC001137268205 1046448122 /nfs/dbraw/zinc/44/81/22/1046448122.db2.gz RPCUINZZKWXBSI-IBGZPJMESA-N 0 3 305.425 4.288 20 0 DIADHN Cc1c(CN2CCC[C@@H](c3ccccc3)C2)nc2ccccn12 ZINC001137272059 1046448164 /nfs/dbraw/zinc/44/81/64/1046448164.db2.gz GHWFVZIXAYNYSK-GOSISDBHSA-N 0 3 305.425 4.022 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139418792 1046448750 /nfs/dbraw/zinc/44/87/50/1046448750.db2.gz WYEKLAHANDTOOS-AWEZNQCLSA-N 0 3 303.789 4.011 20 0 DIADHN COc1cc(CN[C@H]2CCc3c2cc(F)cc3F)ccc1C ZINC000677042443 1046457022 /nfs/dbraw/zinc/45/70/22/1046457022.db2.gz KAOYETPVYALMTI-KRWDZBQOSA-N 0 3 303.352 4.059 20 0 DIADHN Cc1oc(-c2ccsc2)nc1CN1CCC[C@@H](C2CC2)C1 ZINC000677051108 1046457849 /nfs/dbraw/zinc/45/78/49/1046457849.db2.gz BRXDGMXUKVRWRX-CQSZACIVSA-N 0 3 302.443 4.334 20 0 DIADHN Clc1ccc(CNC[C@H]2OCCc3ccccc32)c(Cl)c1 ZINC000028540462 1046459254 /nfs/dbraw/zinc/45/92/54/1046459254.db2.gz GKWSJNNWQHBFKF-QGZVFWFLSA-N 0 3 322.235 4.397 20 0 DIADHN Cc1ccc(-c2nnc([C@H](C)N3CCC[C@@H](C4CC4)C3)o2)cc1 ZINC000677061699 1046459378 /nfs/dbraw/zinc/45/93/78/1046459378.db2.gz KYPASVFXXOHZME-WMLDXEAASA-N 0 3 311.429 4.228 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CC(c3nc4ccccc4[nH]3)C1)CCC2 ZINC000677058756 1046459668 /nfs/dbraw/zinc/45/96/68/1046459668.db2.gz IYCLLSKXBQRPJD-LJQANCHMSA-N 0 3 321.399 4.179 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCC[C@H](C2CC2)C1 ZINC000677056925 1046460548 /nfs/dbraw/zinc/46/05/48/1046460548.db2.gz NIYYAWLUPXWCHV-RDJZCZTQSA-N 0 3 314.473 4.259 20 0 DIADHN FC1(F)CCCN(Cc2ccc(-c3ccccc3)nc2)CC1 ZINC001139520675 1046461737 /nfs/dbraw/zinc/46/17/37/1046461737.db2.gz NRAVGGUELVDACU-UHFFFAOYSA-N 0 3 302.368 4.370 20 0 DIADHN CSCCNCc1ccc(-c2cccc(C(F)(F)F)c2)o1 ZINC000781624345 1046462690 /nfs/dbraw/zinc/46/26/90/1046462690.db2.gz KIODKINKZZVCTO-UHFFFAOYSA-N 0 3 315.360 4.418 20 0 DIADHN CC[C@H](N[C@H]1Cc2ccc(OC)cc2C1)c1c(F)cccc1F ZINC001168258875 1046466148 /nfs/dbraw/zinc/46/61/48/1046466148.db2.gz HSTPOADNTBBBFY-KSSFIOAISA-N 0 3 317.379 4.182 20 0 DIADHN CC(=O)[C@H]1CCN(Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139576998 1046476758 /nfs/dbraw/zinc/47/67/58/1046476758.db2.gz KPJAEFHJWIUECB-HNNXBMFYSA-N 0 3 313.828 4.418 20 0 DIADHN CC[C@@H](NCc1ccc(N(CC)CC)nc1)c1ccc(F)cc1 ZINC000126877764 1046479193 /nfs/dbraw/zinc/47/91/93/1046479193.db2.gz LNTMDJUGCCCCNT-GOSISDBHSA-N 0 3 315.436 4.308 20 0 DIADHN CCOc1ccc(CN2CC[C@H](c3ccccn3)C2)cc1Cl ZINC001139595287 1046480856 /nfs/dbraw/zinc/48/08/56/1046480856.db2.gz HHVNMICZUMGIEK-HNNXBMFYSA-N 0 3 316.832 4.123 20 0 DIADHN C[C@@H](c1ccc2ccccc2c1)n1cc([C@@H](N)C(C)(C)C)nn1 ZINC000640992193 1046483924 /nfs/dbraw/zinc/48/39/24/1046483924.db2.gz SEWKQFRPGGEDIJ-SCLBCKFNSA-N 0 3 308.429 4.087 20 0 DIADHN Clc1ccccc1CN[C@@H](CN1CCCC1)c1ccccc1 ZINC000097137381 1046485516 /nfs/dbraw/zinc/48/55/16/1046485516.db2.gz APUSZTHPQLXVOS-IBGZPJMESA-N 0 3 314.860 4.267 20 0 DIADHN Fc1cc2cccnc2c(CN[C@H](c2cccnc2)C2CC2)c1 ZINC000347373009 1046489256 /nfs/dbraw/zinc/48/92/56/1046489256.db2.gz VTAFTONKPFWNOI-SFHVURJKSA-N 0 3 307.372 4.010 20 0 DIADHN CCOc1ccncc1CN1CCC[C@@H](c2ccccc2)CC1 ZINC001139629332 1046491447 /nfs/dbraw/zinc/49/14/47/1046491447.db2.gz PCNWZEXHIAZIAO-GOSISDBHSA-N 0 3 310.441 4.250 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc(N(C)C)nc2)cc1 ZINC000677416197 1046494175 /nfs/dbraw/zinc/49/41/75/1046494175.db2.gz IVTKZJDWGUHLIH-AWEZNQCLSA-N 0 3 315.486 4.110 20 0 DIADHN c1cc2c(s1)CN(Cc1ccc(-c3cccnc3)cc1)CC2 ZINC001139648372 1046495061 /nfs/dbraw/zinc/49/50/61/1046495061.db2.gz SNKGQIPEUYSGBZ-UHFFFAOYSA-N 0 3 306.434 4.368 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2c(C)cc(C)cc2C)c2ccccc21 ZINC000677426652 1046495864 /nfs/dbraw/zinc/49/58/64/1046495864.db2.gz XGPKHXNGIVWMKK-HXUWFJFHSA-N 0 3 322.452 4.199 20 0 DIADHN CC(=O)N1CC[C@@H](NCc2ccc(C(C)C)cc2)c2ccccc21 ZINC000677426537 1046496599 /nfs/dbraw/zinc/49/65/99/1046496599.db2.gz WVPXUSLUUPZDDX-HXUWFJFHSA-N 0 3 322.452 4.398 20 0 DIADHN Cc1nc2cc(C)ccn2c1CN1CC[C@@H](c2cccc(F)c2)C1 ZINC001139677266 1046497396 /nfs/dbraw/zinc/49/73/96/1046497396.db2.gz MKKMFTMDIWOULD-QGZVFWFLSA-N 0 3 323.415 4.080 20 0 DIADHN Cc1cc([C@@H](NCc2n[nH]c3ccccc23)C2CC2)ccc1F ZINC000677521428 1046500602 /nfs/dbraw/zinc/50/06/02/1046500602.db2.gz RZGFTVFJUJECIP-IBGZPJMESA-N 0 3 309.388 4.251 20 0 DIADHN Cc1cc([C@@H](NCc2[nH]nc3ccccc32)C2CC2)ccc1F ZINC000677521428 1046500617 /nfs/dbraw/zinc/50/06/17/1046500617.db2.gz RZGFTVFJUJECIP-IBGZPJMESA-N 0 3 309.388 4.251 20 0 DIADHN Cc1cc(O)cc(C)c1CN1CCSC[C@@H]1c1ccccc1 ZINC001139714596 1046503544 /nfs/dbraw/zinc/50/35/44/1046503544.db2.gz FPPDGOLPQVTNHT-LJQANCHMSA-N 0 3 313.466 4.299 20 0 DIADHN Cc1ccc2ncc(CN3CCCC[C@H]3c3ccccc3)n2c1 ZINC001137305100 1046506040 /nfs/dbraw/zinc/50/60/40/1046506040.db2.gz YWBHJMJGLGYDFA-IBGZPJMESA-N 0 3 305.425 4.370 20 0 DIADHN CCC[C@@H](NCc1ccncc1N)c1cccc(C(F)(F)F)c1 ZINC000641713847 1046507037 /nfs/dbraw/zinc/50/70/37/1046507037.db2.gz JSGBQHKXVPTACT-MRXNPFEDSA-N 0 3 323.362 4.314 20 0 DIADHN CCn1cc(CNCc2cc(C)cs2)c(-c2ccccc2)n1 ZINC000641759020 1046511165 /nfs/dbraw/zinc/51/11/65/1046511165.db2.gz ZHDIZAHTEWVNGN-UHFFFAOYSA-N 0 3 311.454 4.230 20 0 DIADHN C[C@H](c1ccc(OC(F)F)cc1)N1CC[C@@H](C(F)(F)F)C1 ZINC000677713858 1046512834 /nfs/dbraw/zinc/51/28/34/1046512834.db2.gz LTMHJKLZHSSEEV-MWLCHTKSSA-N 0 3 309.278 4.233 20 0 DIADHN Cc1cncc(CN[C@H](C)c2ccc(Br)cc2C)c1 ZINC000641777028 1046513382 /nfs/dbraw/zinc/51/33/82/1046513382.db2.gz GHDLYQYNONTXLU-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN Fc1ccc(CC2CN(Cc3cccc(OC(F)F)c3)C2)cc1 ZINC000677699822 1046513734 /nfs/dbraw/zinc/51/37/34/1046513734.db2.gz FFRIMEGBTHSULN-UHFFFAOYSA-N 0 3 321.342 4.102 20 0 DIADHN C[C@H](C(=O)Nc1cccc2ccccc21)N1CCC2(CC2)CC1 ZINC000677716485 1046515810 /nfs/dbraw/zinc/51/58/10/1046515810.db2.gz HFNGTDASRCYQRC-OAHLLOKOSA-N 0 3 308.425 4.043 20 0 DIADHN Fc1ccc(CN2CC(Cc3ccccc3)C2)c2ncccc12 ZINC000677717671 1046515983 /nfs/dbraw/zinc/51/59/83/1046515983.db2.gz QRMVXSMTKSSTND-UHFFFAOYSA-N 0 3 306.384 4.048 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CC3(C2)CC(F)(F)C3)cc1 ZINC000677736237 1046520535 /nfs/dbraw/zinc/52/05/35/1046520535.db2.gz KWLXWAOGPZNVCK-UHFFFAOYSA-N 0 3 319.317 4.369 20 0 DIADHN FC(F)[C@@H]1CCN(Cc2ccc(OCc3ccccc3)cc2)C1 ZINC000677736407 1046520904 /nfs/dbraw/zinc/52/09/04/1046520904.db2.gz PYAQAQZPIONDNV-QGZVFWFLSA-N 0 3 317.379 4.353 20 0 DIADHN CN(Cc1ccccc1C(F)F)C[C@H]1Cc2ccccc2O1 ZINC001139806292 1046522541 /nfs/dbraw/zinc/52/25/41/1046522541.db2.gz QQBVLEDLXHHVMT-OAHLLOKOSA-N 0 3 303.352 4.060 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H](C)c1cnc(C)s1 ZINC000677754602 1046523576 /nfs/dbraw/zinc/52/35/76/1046523576.db2.gz GRTYRDSQWFDTGX-BDJLRTHQSA-N 0 3 302.443 4.188 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H](C)c1ccccc1N(C)C)CCC2 ZINC000359893454 1046526165 /nfs/dbraw/zinc/52/61/65/1046526165.db2.gz DRKHELOFDWHMSQ-IUODEOHRSA-N 0 3 315.486 4.246 20 0 DIADHN CCCO[C@H]1CCN(Cc2sc(-c3ccccc3)nc2C)C1 ZINC001139823024 1046526563 /nfs/dbraw/zinc/52/65/63/1046526563.db2.gz UVOAHMBPMGEFPN-INIZCTEOSA-N 0 3 316.470 4.119 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1cc(Cl)nc2ccccc12 ZINC000782530375 1046534500 /nfs/dbraw/zinc/53/45/00/1046534500.db2.gz GOLQJRTXQVBBRM-CYBMUJFWSA-N 0 3 311.816 4.442 20 0 DIADHN Cn1c(CN2CCC(Oc3ccccc3)CC2)cc2ccccc21 ZINC001139868148 1046536459 /nfs/dbraw/zinc/53/64/59/1046536459.db2.gz KIQXIHKLZZMNIF-UHFFFAOYSA-N 0 3 320.436 4.222 20 0 DIADHN COCc1ccc(CN(Cc2ccc(OC)cc2)C2CC2)cc1 ZINC000536627857 1046538711 /nfs/dbraw/zinc/53/87/11/1046538711.db2.gz BBUPXSGHCQYIGZ-UHFFFAOYSA-N 0 3 311.425 4.006 20 0 DIADHN CC1(c2ccccc2)CC(NCc2ncccc2C(F)(F)F)C1 ZINC000677965647 1046541110 /nfs/dbraw/zinc/54/11/10/1046541110.db2.gz KWHXKSIEPDXYNI-UHFFFAOYSA-N 0 3 320.358 4.310 20 0 DIADHN CC[C@H](N[C@@H]1CC(C)(C)Cc2occc21)c1c(C)nn(C)c1C ZINC000133726759 1046542382 /nfs/dbraw/zinc/54/23/82/1046542382.db2.gz VCEYAJBLCHZNHS-JKSUJKDBSA-N 0 3 315.461 4.384 20 0 DIADHN COc1cc(CN(C)Cc2ccccc2C2CC2)cc(OC)c1 ZINC001139881889 1046542730 /nfs/dbraw/zinc/54/27/30/1046542730.db2.gz CSKHAZZSAQQZJN-UHFFFAOYSA-N 0 3 311.425 4.213 20 0 DIADHN Cc1ccc(C(F)(F)F)cc1CN1CCC[C@]2(CCOC2)C1 ZINC001139888476 1046545553 /nfs/dbraw/zinc/54/55/53/1046545553.db2.gz RRLKSOVKHDMSHI-INIZCTEOSA-N 0 3 313.363 4.016 20 0 DIADHN Cn1ncc2cc(CN3CCC[C@@H]3c3cccc(F)c3)ccc21 ZINC001139951216 1046557277 /nfs/dbraw/zinc/55/72/77/1046557277.db2.gz RNGFNHWQYKLQKC-LJQANCHMSA-N 0 3 309.388 4.050 20 0 DIADHN CC[C@@H]1CCCCN1Cc1c[nH]c2nccc(Br)c12 ZINC001139946673 1046557524 /nfs/dbraw/zinc/55/75/24/1046557524.db2.gz CMWRIAMRFKYMDS-GFCCVEGCSA-N 0 3 322.250 4.090 20 0 DIADHN CC(C)c1ccc2c(c1)[C@H](NCc1cnc3cnccn13)CCC2 ZINC000136241847 1046557705 /nfs/dbraw/zinc/55/77/05/1046557705.db2.gz IPGAYTCXBATUEV-LJQANCHMSA-N 0 3 320.440 4.020 20 0 DIADHN COCCN(Cc1cccs1)Cc1ccccc1C1CC1 ZINC000678124373 1046558445 /nfs/dbraw/zinc/55/84/45/1046558445.db2.gz IWHSCMHVPXJMCK-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN COCCc1nc(C)c([C@@H](C)N[C@H](C)c2ccc(C)s2)s1 ZINC000092740636 1046564482 /nfs/dbraw/zinc/56/44/82/1046564482.db2.gz QFYOUQPYUFOMRE-VXGBXAGGSA-N 0 3 324.515 4.422 20 0 DIADHN c1ccc2cc(CN3CCC(c4cccnc4)CC3)ncc2c1 ZINC001140001733 1046564790 /nfs/dbraw/zinc/56/47/90/1046564790.db2.gz YVVYBWVGELPZIN-UHFFFAOYSA-N 0 3 303.409 4.009 20 0 DIADHN CCS[C@H](C(=O)C(C#N)c1nc2ccccc2s1)C(C)C ZINC000067600691 1046565784 /nfs/dbraw/zinc/56/57/84/1046565784.db2.gz QHEYWPRAIICKGK-ABAIWWIYSA-N 0 3 318.467 4.250 20 0 DIADHN c1ccc(CO[C@H]2CCN(Cc3cc4ccccc4cn3)C2)cc1 ZINC001139997698 1046566587 /nfs/dbraw/zinc/56/65/87/1046566587.db2.gz JEMCWKXDLQPQOG-NRFANRHFSA-N 0 3 318.420 4.026 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cc3ccccc3cn2)cc1 ZINC001140001763 1046567072 /nfs/dbraw/zinc/56/70/72/1046567072.db2.gz ZQSWRDXOQDQUMS-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN(C1CC1)C1CCCCC1 ZINC000678141923 1046569453 /nfs/dbraw/zinc/56/94/53/1046569453.db2.gz USPHDYTZOWBJPC-MRXNPFEDSA-N 0 3 306.475 4.292 20 0 DIADHN FC1CC2(C1)CCCN(Cc1c(Cl)ccnc1Cl)CC2 ZINC001139481233 1046570010 /nfs/dbraw/zinc/57/00/10/1046570010.db2.gz VBVCDFMEYWYLSX-UHFFFAOYSA-N 0 3 317.235 4.493 20 0 DIADHN Cc1nccn1-c1ccccc1CN1Cc2cccc(C)c2C1 ZINC001140023025 1046570836 /nfs/dbraw/zinc/57/08/36/1046570836.db2.gz IKHNLFXLGGMKBL-UHFFFAOYSA-N 0 3 303.409 4.005 20 0 DIADHN CC(C)COc1ccccc1CN1CCC(c2cccnc2)CC1 ZINC000678165871 1046573652 /nfs/dbraw/zinc/57/36/52/1046573652.db2.gz BXSQPLRINVWBPB-UHFFFAOYSA-N 0 3 324.468 4.496 20 0 DIADHN C[C@H]1CCN1Cc1c[nH]c2c1cccc2OCc1ccccc1 ZINC001140030063 1046576097 /nfs/dbraw/zinc/57/60/97/1046576097.db2.gz WGABSYNHOGTPAH-HNNXBMFYSA-N 0 3 306.409 4.341 20 0 DIADHN COc1c(Cl)cccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001140057037 1046576838 /nfs/dbraw/zinc/57/68/38/1046576838.db2.gz RGNOQWMQGNECIM-UHFFFAOYSA-N 0 3 315.791 4.360 20 0 DIADHN CCOc1ccc(C2=CCN([C@@H](C)c3ccncc3)CC2)cc1 ZINC000678173538 1046578833 /nfs/dbraw/zinc/57/88/33/1046578833.db2.gz YDGKORWTFQRZII-INIZCTEOSA-N 0 3 308.425 4.331 20 0 DIADHN CC(C)c1ccccc1CN[C@H](C)c1ccc2c(c1)OCCO2 ZINC000783317563 1046581551 /nfs/dbraw/zinc/58/15/51/1046581551.db2.gz WOWKEMKEWCKVBH-OAHLLOKOSA-N 0 3 311.425 4.432 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2cnc(-c3ccccc3)[nH]2)cc1 ZINC000678212765 1046585751 /nfs/dbraw/zinc/58/57/51/1046585751.db2.gz MHPFMYAGKBDMQZ-IBGZPJMESA-N 0 3 319.408 4.119 20 0 DIADHN CC(=O)Nc1cccc2c1CCN(Cc1ccccc1C1CC1)C2 ZINC000678203960 1046586702 /nfs/dbraw/zinc/58/67/02/1046586702.db2.gz WCCJKTCTHLBAOW-UHFFFAOYSA-N 0 3 320.436 4.081 20 0 DIADHN Fc1ccc(-c2ncc(CN3CCC[C@H](F)CC3)s2)cc1 ZINC001140093491 1046587230 /nfs/dbraw/zinc/58/72/30/1046587230.db2.gz GQNSOUQYYIEDJT-ZDUSSCGKSA-N 0 3 308.397 4.273 20 0 DIADHN CCOCOc1ccc(CN(C)[C@H](C)c2ccc(F)cc2)cc1 ZINC000783394806 1046587499 /nfs/dbraw/zinc/58/74/99/1046587499.db2.gz YUVBWWGAENQOCC-OAHLLOKOSA-N 0 3 317.404 4.392 20 0 DIADHN CCOCOc1ccc(CN(C)[C@@H](C)c2cccs2)cc1 ZINC000783409224 1046589669 /nfs/dbraw/zinc/58/96/69/1046589669.db2.gz QZXDEWFIMZOIEI-AWEZNQCLSA-N 0 3 305.443 4.314 20 0 DIADHN CC(=O)Nc1ccc(CN2CC(C)(C)[C@@H]2c2ccccc2)cc1 ZINC000678214101 1046590311 /nfs/dbraw/zinc/59/03/11/1046590311.db2.gz MGLHWAWAKSFTMZ-IBGZPJMESA-N 0 3 308.425 4.228 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CCOc3ccccc32)C[C@@H](c2ccccc2)O1 ZINC000678214251 1046590524 /nfs/dbraw/zinc/59/05/24/1046590524.db2.gz ORKPXCARRAUFSH-MMOPVJDHSA-N 0 3 323.436 4.015 20 0 DIADHN Fc1ccc(/C=C\CN2CCCO[C@H](c3ccccc3)C2)cc1 ZINC000678239005 1046594943 /nfs/dbraw/zinc/59/49/43/1046594943.db2.gz UFSPLJLXDMYAEX-MNBNYPOFSA-N 0 3 311.400 4.303 20 0 DIADHN C[C@@H]1CO[C@H](c2ccccc2)CN1C[C@@H]1CCOc2ccccc21 ZINC000678236343 1046595152 /nfs/dbraw/zinc/59/51/52/1046595152.db2.gz YVFWCXHRUWOKFZ-MMOPVJDHSA-N 0 3 323.436 4.015 20 0 DIADHN Fc1ccc(/C=C/CN2CCCO[C@H](c3ccccc3)C2)cc1 ZINC000678239008 1046595263 /nfs/dbraw/zinc/59/52/63/1046595263.db2.gz UFSPLJLXDMYAEX-XXIDSNJLSA-N 0 3 311.400 4.303 20 0 DIADHN COCCN(Cc1cc(-c2ccc(C)cc2)no1)C1CCCC1 ZINC000642329201 1046597001 /nfs/dbraw/zinc/59/70/01/1046597001.db2.gz VQLOFWWUAZUARH-UHFFFAOYSA-N 0 3 314.429 4.041 20 0 DIADHN Fc1ccccc1SCCN1CCC[C@@H](c2nccs2)C1 ZINC000195350313 1046597239 /nfs/dbraw/zinc/59/72/39/1046597239.db2.gz AVXZTVRBSADOSZ-CYBMUJFWSA-N 0 3 322.474 4.254 20 0 DIADHN Cc1ccc(CNCc2ccnc(Oc3ccccc3)c2)cc1 ZINC000642341401 1046599865 /nfs/dbraw/zinc/59/98/65/1046599865.db2.gz ZZABENWMCDMPLI-UHFFFAOYSA-N 0 3 304.393 4.472 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2ccc(C)c(OC)c2)cc1 ZINC001140159678 1046603463 /nfs/dbraw/zinc/60/34/63/1046603463.db2.gz SLZDVJNYULAGGU-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN c1coc([C@H]2CCN(Cc3cnc(-c4cccs4)s3)C2)c1 ZINC000678262720 1046603587 /nfs/dbraw/zinc/60/35/87/1046603587.db2.gz UWDFPJXIOWGBGS-LBPRGKRZSA-N 0 3 316.451 4.454 20 0 DIADHN CC[C@@H](CN(C)Cc1nc2ccccc2n1C)c1ccccc1 ZINC000678264707 1046604386 /nfs/dbraw/zinc/60/43/86/1046604386.db2.gz SOULVBFBEIRPCY-INIZCTEOSA-N 0 3 307.441 4.199 20 0 DIADHN Cc1cccc(C)c1CN(C)[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000245454939 1046604925 /nfs/dbraw/zinc/60/49/25/1046604925.db2.gz AJBKCWPJYYCAMP-OPAMFIHVSA-N 0 3 315.482 4.234 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2Cc2ccc(N(C)C)nc2)o1 ZINC000678273896 1046605716 /nfs/dbraw/zinc/60/57/16/1046605716.db2.gz AQKLEHPAHSQYIP-QGZVFWFLSA-N 0 3 313.445 4.166 20 0 DIADHN Fc1cccc([C@@H](N[C@H]2CCCSC2)c2ccccc2)c1 ZINC000783576399 1046609981 /nfs/dbraw/zinc/60/99/81/1046609981.db2.gz LNRMOKDYYGIAOZ-ROUUACIJSA-N 0 3 301.430 4.400 20 0 DIADHN C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1ncccc1C(F)(F)F ZINC000678282184 1046610546 /nfs/dbraw/zinc/61/05/46/1046610546.db2.gz PFBJAVKZOAJNRE-HIFRSBDPSA-N 0 3 320.358 4.478 20 0 DIADHN C[C@H]1[C@H](c2ccccc2)CCN1Cc1ncccc1C(F)(F)F ZINC000678282183 1046612078 /nfs/dbraw/zinc/61/20/78/1046612078.db2.gz PFBJAVKZOAJNRE-DZGCQCFKSA-N 0 3 320.358 4.478 20 0 DIADHN O[C@@H](CN1CC2(CCC2)[C@H]1c1ccccc1)c1cccc(F)c1 ZINC000834377406 1046612254 /nfs/dbraw/zinc/61/22/54/1046612254.db2.gz DOKIPCGAMWZVBI-RBUKOAKNSA-N 0 3 311.400 4.086 20 0 DIADHN O[C@@H](CN1CC2(CCC2)[C@H]1c1ccccc1)c1ccc(F)cc1 ZINC000834378078 1046612438 /nfs/dbraw/zinc/61/24/38/1046612438.db2.gz LJUVYFGPVAKPKZ-RBUKOAKNSA-N 0 3 311.400 4.086 20 0 DIADHN CCc1oc2ccccc2c1CN(C)[C@@H]1CCCc2c1cnn2C ZINC000678296264 1046615958 /nfs/dbraw/zinc/61/59/58/1046615958.db2.gz ZLCHZFIVSAATIE-QGZVFWFLSA-N 0 3 323.440 4.238 20 0 DIADHN Cc1oc2ccccc2c1CN1CC(c2nc3ccccc3[nH]2)C1 ZINC000678315110 1046618896 /nfs/dbraw/zinc/61/88/96/1046618896.db2.gz VHUBKAKSEGDYQM-UHFFFAOYSA-N 0 3 317.392 4.217 20 0 DIADHN c1ccc2[nH]c(C3CN(Cc4ccccc4C4CC4)C3)nc2c1 ZINC000678314846 1046619752 /nfs/dbraw/zinc/61/97/52/1046619752.db2.gz RUUCZGYLLMAJDI-UHFFFAOYSA-N 0 3 303.409 4.040 20 0 DIADHN Oc1cccc2[nH]cc(CN3CCC(c4ccccc4F)CC3)c21 ZINC001140233218 1046620587 /nfs/dbraw/zinc/62/05/87/1046620587.db2.gz JYNMSVOMPIPTCC-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN COc1cccc([C@H]2CCCN2Cc2c[nH]c3cccc(O)c23)c1 ZINC001140234561 1046620597 /nfs/dbraw/zinc/62/05/97/1046620597.db2.gz CQUIPARPMHQNJO-GOSISDBHSA-N 0 3 322.408 4.219 20 0 DIADHN Cc1cc(CN(C)CC2(c3ccccc3)CC2)cnc1Cl ZINC000783701446 1046624037 /nfs/dbraw/zinc/62/40/37/1046624037.db2.gz VVBCBAJUDMPNRE-UHFFFAOYSA-N 0 3 300.833 4.207 20 0 DIADHN O=C(CN(C1CC1)C1CCCCC1)Nc1cccc(Cl)c1 ZINC000642634204 1046625852 /nfs/dbraw/zinc/62/58/52/1046625852.db2.gz GKCYSOJGXMPSQO-UHFFFAOYSA-N 0 3 306.837 4.076 20 0 DIADHN COc1ccc(-c2nc(CN(C)[C@H]3CCC[C@H](C)C3)co2)cc1 ZINC000642636421 1046626214 /nfs/dbraw/zinc/62/62/14/1046626214.db2.gz RHCZICAWROQZHE-YOEHRIQHSA-N 0 3 314.429 4.361 20 0 DIADHN CC(C)(CC(F)(F)F)NCc1ccc2c(c1)COC(C)(C)O2 ZINC000783718740 1046628321 /nfs/dbraw/zinc/62/83/21/1046628321.db2.gz UTAZHXSBEATYDW-UHFFFAOYSA-N 0 3 317.351 4.152 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N(C)CC2CCCC2)c(Cl)c1 ZINC000532939454 1046628679 /nfs/dbraw/zinc/62/86/79/1046628679.db2.gz PFEZZEHKTCZVKX-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc([C@H](C)NCc2ccc(OC(F)F)cc2)c(C)n1 ZINC000678411340 1046629978 /nfs/dbraw/zinc/62/99/78/1046629978.db2.gz BJSNEDUJOARIIH-LBPRGKRZSA-N 0 3 306.356 4.151 20 0 DIADHN Cc1ccc([C@@H](C)NCc2ccccc2COC(C)C)c(C)n1 ZINC000678412904 1046630410 /nfs/dbraw/zinc/63/04/10/1046630410.db2.gz BIGDFXFQJQREGJ-MRXNPFEDSA-N 0 3 312.457 4.474 20 0 DIADHN Cc1nc(CN2C[C@H](c3ccccc3)C[C@H]2C)nc2ccccc12 ZINC000532977712 1046633583 /nfs/dbraw/zinc/63/35/83/1046633583.db2.gz GYAKCQQOXZXDPE-CRAIPNDOSA-N 0 3 317.436 4.316 20 0 DIADHN CCOc1ccc2[nH]cc(CN3CCC[C@@H](C(F)F)C3)c2c1 ZINC001140270364 1046635716 /nfs/dbraw/zinc/63/57/16/1046635716.db2.gz ILBPUUYMCWJYSI-GFCCVEGCSA-N 0 3 308.372 4.044 20 0 DIADHN CCc1nc2c(s1)[C@@H](N[C@@H](C)c1cnccc1C)CCC2 ZINC000678425661 1046636462 /nfs/dbraw/zinc/63/64/62/1046636462.db2.gz BNHMOOXSOYEHMD-JSGCOSHPSA-N 0 3 301.459 4.137 20 0 DIADHN COc1ccc(Nc2ccccc2CN(C)C)cc1OC(C)C ZINC001203019837 1046638208 /nfs/dbraw/zinc/63/82/08/1046638208.db2.gz LAJBMGVDMICSRI-UHFFFAOYSA-N 0 3 314.429 4.288 20 0 DIADHN COc1ccccc1-c1nc(CN(CC2CC2)C(C)C)cs1 ZINC000533036724 1046642240 /nfs/dbraw/zinc/64/22/40/1046642240.db2.gz GLRKRQCNUKXYAA-UHFFFAOYSA-N 0 3 316.470 4.439 20 0 DIADHN Clc1ccc(-c2c[nH]c([C@H]3CCCN3CC3CC3)n2)cc1 ZINC000533056557 1046645903 /nfs/dbraw/zinc/64/59/03/1046645903.db2.gz ZFCATYLQTCLEOT-MRXNPFEDSA-N 0 3 301.821 4.277 20 0 DIADHN CCC[C@@H](C)N[C@H](C(=O)Nc1ccc(F)cc1)c1ccccc1 ZINC000643202856 1046648088 /nfs/dbraw/zinc/64/80/88/1046648088.db2.gz MHDBYKJOAYGFJY-KDOFPFPSSA-N 0 3 314.404 4.284 20 0 DIADHN Cc1csc(C(C)(C)NCCCOc2ccc(Cl)cc2)n1 ZINC000533060651 1046648445 /nfs/dbraw/zinc/64/84/45/1046648445.db2.gz HOCWUSYTXXLSPK-UHFFFAOYSA-N 0 3 324.877 4.399 20 0 DIADHN Oc1cccc2c1CCN(Cc1ccccc1-c1cccnc1)C2 ZINC001140316184 1046651510 /nfs/dbraw/zinc/65/15/10/1046651510.db2.gz XKXTZYNCRPHMDV-UHFFFAOYSA-N 0 3 316.404 4.013 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140331179 1046658850 /nfs/dbraw/zinc/65/88/50/1046658850.db2.gz YZLSKEWETYEILE-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2[nH]nc3ccc(C)cc32)cc1 ZINC001140331179 1046658855 /nfs/dbraw/zinc/65/88/55/1046658855.db2.gz YZLSKEWETYEILE-FQEVSTJZSA-N 0 3 321.424 4.217 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NCc1ccc2cnn(C)c2c1 ZINC000643317674 1046659484 /nfs/dbraw/zinc/65/94/84/1046659484.db2.gz PMAQLWJPLHBAEA-CQSZACIVSA-N 0 3 319.408 4.479 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1c[nH]c2ccc(F)cc12 ZINC001137339996 1046659935 /nfs/dbraw/zinc/65/99/35/1046659935.db2.gz MOCLAUDJHQNGSL-UHFFFAOYSA-N 0 3 310.372 4.012 20 0 DIADHN c1nc2n(c1CNCc1ccc(C3CCCC3)cc1)CCCC2 ZINC000643335692 1046661390 /nfs/dbraw/zinc/66/13/90/1046661390.db2.gz OYFCLLLASCKEIB-UHFFFAOYSA-N 0 3 309.457 4.167 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@@H](CC1CC1)c1ccccc1 ZINC000678581265 1046661414 /nfs/dbraw/zinc/66/14/14/1046661414.db2.gz PGQKIXWIDXYGQB-FQEVSTJZSA-N 0 3 322.452 4.319 20 0 DIADHN CN(Cc1cccc(O)c1)Cc1cccc(-c2nccs2)c1 ZINC001140335409 1046662515 /nfs/dbraw/zinc/66/25/15/1046662515.db2.gz DABHEDHLKLUFQB-UHFFFAOYSA-N 0 3 310.422 4.148 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H]1CCc2c1cccc2C ZINC000678583334 1046663082 /nfs/dbraw/zinc/66/30/82/1046663082.db2.gz XJIYOIUZSUOCGR-QZTJIDSGSA-N 0 3 309.409 4.104 20 0 DIADHN CC[C@@H](NC[C@@H]1OCCc2ccccc21)c1cc(F)ccc1F ZINC000678585746 1046664159 /nfs/dbraw/zinc/66/41/59/1046664159.db2.gz KLRJQFGTORYRCS-MOPGFXCFSA-N 0 3 317.379 4.320 20 0 DIADHN CCN(Cc1cccnc1)Cc1cccc(-c2nccs2)c1 ZINC001140337670 1046664984 /nfs/dbraw/zinc/66/49/84/1046664984.db2.gz YVIFVRJIMIRAJJ-UHFFFAOYSA-N 0 3 309.438 4.227 20 0 DIADHN FC(F)[C@@H]1CCCN(Cc2cccc(-c3nccs3)c2)C1 ZINC001140337428 1046665018 /nfs/dbraw/zinc/66/50/18/1046665018.db2.gz NHTVCTJIZRAYQX-CQSZACIVSA-N 0 3 308.397 4.287 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCOc3c(OC)cccc32)s1 ZINC000678590584 1046665549 /nfs/dbraw/zinc/66/55/49/1046665549.db2.gz YHTBYMPUOXWMSJ-SWLSCSKDSA-N 0 3 317.454 4.494 20 0 DIADHN C[C@H](NC[C@@H]1CCC=CO1)c1ccc(Oc2ccccc2)cn1 ZINC000834773072 1046668509 /nfs/dbraw/zinc/66/85/09/1046668509.db2.gz BFXLYWBGONABME-RDJZCZTQSA-N 0 3 310.397 4.217 20 0 DIADHN Cc1cccc(CN(C)Cc2ccc(-c3cncnc3)cc2)c1 ZINC001140351446 1046669675 /nfs/dbraw/zinc/66/96/75/1046669675.db2.gz ZJRDOZFENRESMX-UHFFFAOYSA-N 0 3 303.409 4.084 20 0 DIADHN C[C@@H](NCC(C)(C)[C@H]1CCCCO1)c1nc2c(s1)CCCC2 ZINC000678597477 1046671124 /nfs/dbraw/zinc/67/11/24/1046671124.db2.gz DYENJBHDNJIVBP-CZUORRHYSA-N 0 3 322.518 4.268 20 0 DIADHN Fc1cc2c(c(F)c1)CC[C@H]2NCc1ccc2c(c1)CCCN2 ZINC000643539433 1046671972 /nfs/dbraw/zinc/67/19/72/1046671972.db2.gz AZXFQHYVPFGDAQ-LJQANCHMSA-N 0 3 314.379 4.100 20 0 DIADHN CCc1ccccc1NC(=O)[C@H](C)N(C)CC1CCCCC1 ZINC000533222668 1046672154 /nfs/dbraw/zinc/67/21/54/1046672154.db2.gz IUERSKOUPPPKGH-HNNXBMFYSA-N 0 3 302.462 4.088 20 0 DIADHN CCc1ccccc1NC(=O)[C@@H](C)N(C)CC1CCCCC1 ZINC000533222669 1046672518 /nfs/dbraw/zinc/67/25/18/1046672518.db2.gz IUERSKOUPPPKGH-OAHLLOKOSA-N 0 3 302.462 4.088 20 0 DIADHN Fc1ccc(CC2CN(Cc3cncc4ccccc43)C2)cc1F ZINC000784208308 1046673415 /nfs/dbraw/zinc/67/34/15/1046673415.db2.gz JVNCJBHQPCJDCT-UHFFFAOYSA-N 0 3 324.374 4.188 20 0 DIADHN CCC[C@@H](CCO)CN[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000152520032 1046675469 /nfs/dbraw/zinc/67/54/69/1046675469.db2.gz MENDGTSKTRGQHB-GJZGRUSLSA-N 0 3 318.486 4.259 20 0 DIADHN Cc1ccc2c(CN3CCCC3)cn(C(=O)OC(C)(C)C)c2c1 ZINC001140374251 1046675538 /nfs/dbraw/zinc/67/55/38/1046675538.db2.gz BDBQYLIBHDTFOQ-UHFFFAOYSA-N 0 3 314.429 4.329 20 0 DIADHN OC[C@H](CC1CC1)N[C@H]1CCCc2c(Cl)sc(Cl)c21 ZINC000678608829 1046677115 /nfs/dbraw/zinc/67/71/15/1046677115.db2.gz YRNYGYNRSUIOCW-ONGXEEELSA-N 0 3 320.285 4.183 20 0 DIADHN Cc1c([C@H](C)N[C@H](C)C2CCC2)nnn1-c1cccc(Cl)c1 ZINC000678608117 1046677222 /nfs/dbraw/zinc/67/72/22/1046677222.db2.gz YSFVVCDUZXGEHX-NEPJUHHUSA-N 0 3 318.852 4.068 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CC1)c1ccc(Cl)c(Cl)c1Cl ZINC000678608503 1046677352 /nfs/dbraw/zinc/67/73/52/1046677352.db2.gz XBURNVUCILAMCG-SCZZXKLOSA-N 0 3 322.663 4.458 20 0 DIADHN Cc1cc(C)nc(NCc2c(Cl)nc3cc(Cl)ccn32)c1 ZINC000795781843 1046677442 /nfs/dbraw/zinc/67/74/42/1046677442.db2.gz FWSFPHRIOKJXEL-UHFFFAOYSA-N 0 3 321.211 4.265 20 0 DIADHN c1ccc(-c2ccc(CN3CCC[C@H]3c3ccccn3)cc2)nc1 ZINC001140380325 1046677820 /nfs/dbraw/zinc/67/78/20/1046677820.db2.gz SYHRLPMUNAEXSO-NRFANRHFSA-N 0 3 315.420 4.481 20 0 DIADHN C[C@@H](NCc1cc(F)cnc1Cl)[C@@H]1C[C@H]1c1ccccc1 ZINC000834837054 1046682524 /nfs/dbraw/zinc/68/25/24/1046682524.db2.gz RUECQZFOLDFZDD-RLCCDNCMSA-N 0 3 304.796 4.156 20 0 DIADHN C[C@H](c1ccc(F)c(F)c1)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000678641943 1046683815 /nfs/dbraw/zinc/68/38/15/1046683815.db2.gz XCOBDPWAWIJPEH-ILXRZTDVSA-N 0 3 309.400 4.164 20 0 DIADHN CC1(C)CC[C@H](N[C@H](CO)c2ccc(Cl)cc2Cl)C1 ZINC001258595792 1046685438 /nfs/dbraw/zinc/68/54/38/1046685438.db2.gz LDHAVTZSAISQPN-SMDDNHRTSA-N 0 3 302.245 4.195 20 0 DIADHN COc1ccc2c(c1)CN(Cc1c[nH]c3ccc(F)cc13)CCC2 ZINC001137342820 1046685547 /nfs/dbraw/zinc/68/55/47/1046685547.db2.gz NVMJZAUUNJQWDT-UHFFFAOYSA-N 0 3 324.399 4.264 20 0 DIADHN CC(C)(C)Nc1nc(Cl)c(CN2C[C@@H]3CCC[C@H]3C2)s1 ZINC001140390484 1046686154 /nfs/dbraw/zinc/68/61/54/1046686154.db2.gz QMIKZNFMGIXFIH-QWRGUYRKSA-N 0 3 313.898 4.239 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@H](C)N1CCC[C@H](C(C)C)CC1 ZINC000533280575 1046688976 /nfs/dbraw/zinc/68/89/76/1046688976.db2.gz GNTCEDVOHHIQNZ-ROUUACIJSA-N 0 3 316.489 4.389 20 0 DIADHN c1ccc2c(c1)CCC21CCN(Cc2[nH]nc3ccccc32)CC1 ZINC001137344992 1046689213 /nfs/dbraw/zinc/68/92/13/1046689213.db2.gz MNICZCUCXNQBJC-UHFFFAOYSA-N 0 3 317.436 4.043 20 0 DIADHN Cc1cc(C)cc([C@@H]2CCN(Cc3ccnc(Cl)c3)C2)c1 ZINC000784495635 1046693913 /nfs/dbraw/zinc/69/39/13/1046693913.db2.gz WXFGMWSTJWIGCY-MRXNPFEDSA-N 0 3 300.833 4.341 20 0 DIADHN CCOc1ccc(-c2nc(CN(C)[C@H](C)C(C)C)co2)cc1 ZINC000533324545 1046695070 /nfs/dbraw/zinc/69/50/70/1046695070.db2.gz RKYWSHPJSYOVJW-CQSZACIVSA-N 0 3 302.418 4.217 20 0 DIADHN CC(C)Oc1ncccc1CN[C@H]1c2ccccc2CC[C@@H]1C ZINC000678766493 1046695508 /nfs/dbraw/zinc/69/55/08/1046695508.db2.gz SVODBAYIHACYHO-HNAYVOBHSA-N 0 3 310.441 4.282 20 0 DIADHN COc1cc(C)ccc1[C@@H](C)NC[C@]1(C)CC1(Cl)Cl ZINC000834883469 1046697029 /nfs/dbraw/zinc/69/70/29/1046697029.db2.gz FGWXZKBQXIETEE-RISCZKNCSA-N 0 3 302.245 4.238 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)c1 ZINC000678776427 1046699723 /nfs/dbraw/zinc/69/97/23/1046699723.db2.gz MAQXLOFOZVAJRE-FQEVSTJZSA-N 0 3 322.452 4.373 20 0 DIADHN CCN(C(=O)CN[C@H](CC1CC1)c1ccccc1)c1ccccc1 ZINC000678776319 1046700447 /nfs/dbraw/zinc/70/04/47/1046700447.db2.gz KJYXJTXDMRNXEP-HXUWFJFHSA-N 0 3 322.452 4.171 20 0 DIADHN Fc1ncccc1CNCCCc1c(Cl)cccc1Cl ZINC000795991409 1046705181 /nfs/dbraw/zinc/70/51/81/1046705181.db2.gz OMNJZYYTJGCMNJ-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN c1sc(Cc2ccccc2)nc1CN(CC1CC1)CC1CC1 ZINC000533381337 1046706834 /nfs/dbraw/zinc/70/68/34/1046706834.db2.gz MYBAFQWDPKFNOB-UHFFFAOYSA-N 0 3 312.482 4.356 20 0 DIADHN Cc1n[nH]cc1C1CCN([C@H]2CCc3cc(Cl)ccc32)CC1 ZINC000796063781 1046707957 /nfs/dbraw/zinc/70/79/57/1046707957.db2.gz USGVGSCAIHHHHK-SFHVURJKSA-N 0 3 315.848 4.238 20 0 DIADHN CCN(CC)Cc1ccccc1Nc1c[nH]c(Cl)cc1=O ZINC001211989703 1046709758 /nfs/dbraw/zinc/70/97/58/1046709758.db2.gz ZVDGPAOBKIPCNV-UHFFFAOYSA-N 0 3 305.809 4.026 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2NCc1ccc2c(c1)CCCC2 ZINC000644388221 1046711804 /nfs/dbraw/zinc/71/18/04/1046711804.db2.gz NPNWEUYSCOWTOB-FQEVSTJZSA-N 0 3 323.436 4.187 20 0 DIADHN Cn1cc(CN2CCCc3sccc3C2)c(-c2ccccc2)n1 ZINC000678828864 1046713017 /nfs/dbraw/zinc/71/30/17/1046713017.db2.gz WXVDQZHLMJPWOU-UHFFFAOYSA-N 0 3 323.465 4.097 20 0 DIADHN Clc1ccc([C@@H]2CCN(Cc3nc4c(s3)CCC4)C2)cc1 ZINC000678829813 1046713923 /nfs/dbraw/zinc/71/39/23/1046713923.db2.gz PFNABCJGUADMSR-CYBMUJFWSA-N 0 3 318.873 4.275 20 0 DIADHN CCC(CC)N(CC(=O)Nc1ccc(SC)cc1)C1CC1 ZINC000533404471 1046714792 /nfs/dbraw/zinc/71/47/92/1046714792.db2.gz KXJIFSTWUGDGJS-UHFFFAOYSA-N 0 3 306.475 4.000 20 0 DIADHN CCC(CC)N(CC(=O)Nc1c(C)cc(C)cc1C)C1CC1 ZINC000533405969 1046715925 /nfs/dbraw/zinc/71/59/25/1046715925.db2.gz GPIQWLFFAUSQMZ-UHFFFAOYSA-N 0 3 302.462 4.203 20 0 DIADHN C[C@H](CC(C)(C)C)N[C@H](C)c1nc(Br)cs1 ZINC000834910693 1046717559 /nfs/dbraw/zinc/71/75/59/1046717559.db2.gz WDXPFVCKFBKEQJ-RKDXNWHRSA-N 0 3 305.285 4.381 20 0 DIADHN COc1cccc(CN(C)Cc2c[nH]c3cccc(Cl)c23)c1 ZINC001140436915 1046723267 /nfs/dbraw/zinc/72/32/67/1046723267.db2.gz VEZDQBALQBKJLK-UHFFFAOYSA-N 0 3 314.816 4.462 20 0 DIADHN CC[C@@H](NCc1noc2c1CCCC2)C(C)(C)c1ccccc1 ZINC000678899397 1046726943 /nfs/dbraw/zinc/72/69/43/1046726943.db2.gz PIJFMXXJPYGHKS-LJQANCHMSA-N 0 3 312.457 4.399 20 0 DIADHN CC(C)C(NCc1nnc(-c2cccc(Cl)c2)o1)C(C)C ZINC000678901918 1046729458 /nfs/dbraw/zinc/72/94/58/1046729458.db2.gz RYBIUWZUPPPIEP-UHFFFAOYSA-N 0 3 307.825 4.160 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2ccccc2-c2nccs2)C1 ZINC001140454191 1046733085 /nfs/dbraw/zinc/73/30/85/1046733085.db2.gz YMFOTMIMEGYQEJ-GFCCVEGCSA-N 0 3 312.360 4.194 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2cc(C(C)C)no2)C12CCCCC2 ZINC000678926374 1046733401 /nfs/dbraw/zinc/73/34/01/1046733401.db2.gz AISNIUBJJXXTHK-IAGOWNOFSA-N 0 3 306.450 4.016 20 0 DIADHN Cc1ccc(CN(C)Cc2ccccc2-c2nccs2)cn1 ZINC001140455146 1046733876 /nfs/dbraw/zinc/73/38/76/1046733876.db2.gz XXJHXFDDJIYKAO-UHFFFAOYSA-N 0 3 309.438 4.146 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](CC)c2nc(C)cs2)C12CCCC2 ZINC000678926507 1046733885 /nfs/dbraw/zinc/73/38/85/1046733885.db2.gz CQEVADQERIHMAG-RRFJBIMHSA-N 0 3 308.491 4.230 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cc3ccccc3[nH]c1=O)CC2 ZINC000678931207 1046737503 /nfs/dbraw/zinc/73/75/03/1046737503.db2.gz LXCNKIJZDXDSHA-LJQANCHMSA-N 0 3 304.393 4.026 20 0 DIADHN CC1(c2ccccc2)CC(NCc2nc3c(s2)CCCC3)C1 ZINC000678957014 1046744550 /nfs/dbraw/zinc/74/45/50/1046744550.db2.gz QQVQIWSXDQBLTH-UHFFFAOYSA-N 0 3 312.482 4.232 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1c(C)noc1C ZINC000644885304 1046745333 /nfs/dbraw/zinc/74/53/33/1046745333.db2.gz OPFMTGPMYCRHNR-UELRPHRMSA-N 0 3 314.429 4.192 20 0 DIADHN CC(C)CC[C@H](NC[C@@H]1COC2(CCCC2)O1)c1ccoc1 ZINC000796856375 1046745981 /nfs/dbraw/zinc/74/59/81/1046745981.db2.gz UEBOMDZCKXAKIK-SJORKVTESA-N 0 3 307.434 4.032 20 0 DIADHN CC(C)CC[C@@H](NC[C@H]1COC2(CCCC2)O1)c1ccoc1 ZINC000796856370 1046746625 /nfs/dbraw/zinc/74/66/25/1046746625.db2.gz UEBOMDZCKXAKIK-DLBZAZTESA-N 0 3 307.434 4.032 20 0 DIADHN Clc1ccc([C@H]2CCC[C@@H]2NCc2ncc[nH]2)cc1Cl ZINC000678971399 1046751347 /nfs/dbraw/zinc/75/13/47/1046751347.db2.gz VECLVTCIAGSYEN-RISCZKNCSA-N 0 3 310.228 4.142 20 0 DIADHN Fc1ccc(C[C@H](N[C@H]2CCOC2)c2ccccc2Cl)cc1 ZINC000678996976 1046752733 /nfs/dbraw/zinc/75/27/33/1046752733.db2.gz ARJZLFQLYDITQG-YJBOKZPZSA-N 0 3 319.807 4.141 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@@H]2NCc1ccc2cccnc2c1 ZINC000679027853 1046760022 /nfs/dbraw/zinc/76/00/22/1046760022.db2.gz GSVIOOVKAIPFTL-SFHVURJKSA-N 0 3 322.383 4.377 20 0 DIADHN C[C@@H](NCc1ccc(-c2c(F)cccc2F)o1)[C@@H]1CCCCO1 ZINC000679028880 1046760431 /nfs/dbraw/zinc/76/04/31/1046760431.db2.gz ANBBERKPWAUYPD-WBMJQRKESA-N 0 3 321.367 4.272 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CCC1)c1ccc2c(c1)OCO2 ZINC000679017944 1046761101 /nfs/dbraw/zinc/76/11/01/1046761101.db2.gz ZPNVIBKAOVNHAG-ZDUSSCGKSA-N 0 3 313.372 4.284 20 0 DIADHN C[C@H](NCc1ccc(-c2c(F)cccc2F)o1)[C@H]1CCCCO1 ZINC000679028877 1046761393 /nfs/dbraw/zinc/76/13/93/1046761393.db2.gz ANBBERKPWAUYPD-BLLLJJGKSA-N 0 3 321.367 4.272 20 0 DIADHN COc1ccc(OCCN2CCC[C@H]2c2ccc(F)cc2)cc1 ZINC000645064298 1046764296 /nfs/dbraw/zinc/76/42/96/1046764296.db2.gz DMYAVQQIFBERRR-IBGZPJMESA-N 0 3 315.388 4.050 20 0 DIADHN COc1cccc(C2(N[C@@H]3CCCc4ccc(F)cc43)CC2)c1 ZINC000679064802 1046766056 /nfs/dbraw/zinc/76/60/56/1046766056.db2.gz WJIFAGOJQYZAFD-LJQANCHMSA-N 0 3 311.400 4.491 20 0 DIADHN COc1cc(OC)c([C@@H](C)N[C@H]2CCC(F)(F)C2)cc1Cl ZINC000679071142 1046768171 /nfs/dbraw/zinc/76/81/71/1046768171.db2.gz DNPLFIXEQUYMLQ-ZJUUUORDSA-N 0 3 319.779 4.196 20 0 DIADHN C[C@H](N[C@@H]1CCC(F)(F)C1)c1ccc(F)cc1OC(F)F ZINC000679071443 1046769083 /nfs/dbraw/zinc/76/90/83/1046769083.db2.gz IVLXDSMHFBRBGL-WCBMZHEXSA-N 0 3 309.278 4.266 20 0 DIADHN Fc1cc(Cl)cc(CN2CCC[C@@H]2Cc2ccccn2)c1 ZINC001140538953 1046771390 /nfs/dbraw/zinc/77/13/90/1046771390.db2.gz PIHKOBGINXJDME-QGZVFWFLSA-N 0 3 304.796 4.081 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)[C@@H]1CCCCO1 ZINC000679091646 1046774351 /nfs/dbraw/zinc/77/43/51/1046774351.db2.gz HLXYCMMJWSKSRP-WDMOLILDSA-N 0 3 317.351 4.035 20 0 DIADHN Clc1ccccc1[C@H]1C[C@H](NCc2nc3c(s2)CCC3)C1 ZINC000645220851 1046781305 /nfs/dbraw/zinc/78/13/05/1046781305.db2.gz HPWKNQCYNPCOEM-HAQNSBGRSA-N 0 3 318.873 4.321 20 0 DIADHN Cc1ccc([C@H](O)[C@@H](C)N[C@@H](C)c2ccccc2Cl)cc1 ZINC000797061752 1046783972 /nfs/dbraw/zinc/78/39/72/1046783972.db2.gz OQRFVYYBIGTWHI-PMUMKWKESA-N 0 3 303.833 4.421 20 0 DIADHN CC[C@H](Nc1ccc(CN2CCSCC2)cc1C)C1CC1 ZINC000679142904 1046786292 /nfs/dbraw/zinc/78/62/92/1046786292.db2.gz NDWDHZFIQTZCCI-KRWDZBQOSA-N 0 3 304.503 4.144 20 0 DIADHN CC(C)n1ccc(CN[C@@H](Cc2ccccc2Cl)C2CC2)n1 ZINC000645411224 1046789656 /nfs/dbraw/zinc/78/96/56/1046789656.db2.gz YSWHAZWPAMPCOY-SFHVURJKSA-N 0 3 317.864 4.228 20 0 DIADHN CSc1ccccc1NC(=O)CCN(C)[C@H](C)c1ccco1 ZINC000069067377 1046790473 /nfs/dbraw/zinc/79/04/73/1046790473.db2.gz PPVPTVZUJICGCP-CYBMUJFWSA-N 0 3 318.442 4.023 20 0 DIADHN Cc1cc(CN(C)Cc2ccccc2C(F)(F)F)ccc1O ZINC001140579062 1046791394 /nfs/dbraw/zinc/79/13/94/1046791394.db2.gz KRCDEVJEXQNIJY-UHFFFAOYSA-N 0 3 309.331 4.351 20 0 DIADHN C[C@@H](N[C@@H]1CCc2cc(Cl)cc(Cl)c21)c1cc[nH]c(=O)c1 ZINC000645485605 1046794231 /nfs/dbraw/zinc/79/42/31/1046794231.db2.gz YMBODSPSGCCZAP-YMTOWFKASA-N 0 3 323.223 4.432 20 0 DIADHN Cc1ccc(OC2CCN(Cc3cc(F)ccc3C)CC2)cc1 ZINC001140593168 1046800888 /nfs/dbraw/zinc/80/08/88/1046800888.db2.gz UAVVURDJMIIUGW-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN CCCN(CCC)CC(=O)Nc1ccc(SC(F)F)cc1 ZINC000302814655 1046811489 /nfs/dbraw/zinc/81/14/89/1046811489.db2.gz TZANGXFOGHVUHD-UHFFFAOYSA-N 0 3 316.417 4.062 20 0 DIADHN CSc1ncc(Cl)c(CN[C@@H]2C[C@H](C)CC(C)(C)C2)n1 ZINC001258691288 1046819790 /nfs/dbraw/zinc/81/97/90/1046819790.db2.gz ZOKAOFQVKMGLIL-WDEREUQCSA-N 0 3 313.898 4.156 20 0 DIADHN CN(Cc1ccc(OC(F)F)c(O)c1)[C@@H]1CCc2ccccc21 ZINC001140631759 1046821292 /nfs/dbraw/zinc/82/12/92/1046821292.db2.gz AJIFITFUVBBGER-OAHLLOKOSA-N 0 3 319.351 4.113 20 0 DIADHN Cc1csc(C2(NCCc3ncc(C)cc3C)CCCC2)n1 ZINC000645832725 1046823637 /nfs/dbraw/zinc/82/36/37/1046823637.db2.gz OHIMQFQHDCPFLC-UHFFFAOYSA-N 0 3 315.486 4.065 20 0 DIADHN CCOC(=O)C1(C)CCN(Cc2csc3ccccc23)CC1 ZINC001140638103 1046824271 /nfs/dbraw/zinc/82/42/71/1046824271.db2.gz FWPMGGJRTQBHLN-UHFFFAOYSA-N 0 3 317.454 4.067 20 0 DIADHN FC(F)(F)CCN(CCC[C@H]1CCOC1)Cc1ccccc1 ZINC000645890108 1046828929 /nfs/dbraw/zinc/82/89/29/1046828929.db2.gz NYHHVOQZIJRKPW-INIZCTEOSA-N 0 3 315.379 4.258 20 0 DIADHN Oc1ccc(CNCc2ccc(OC3CCCCC3)cc2)cc1 ZINC000679392542 1046834669 /nfs/dbraw/zinc/83/46/69/1046834669.db2.gz AHWDYXRBSQFFCK-UHFFFAOYSA-N 0 3 311.425 4.394 20 0 DIADHN Cc1[nH]c2ccccc2c1C1=CCN(Cc2ccc(O)cc2)CC1 ZINC000679397030 1046835792 /nfs/dbraw/zinc/83/57/92/1046835792.db2.gz ZKQNNYLBCLDHCK-UHFFFAOYSA-N 0 3 318.420 4.471 20 0 DIADHN Cc1cc(Br)cc(C)c1CNCc1ccc(O)cc1 ZINC000679393224 1046837320 /nfs/dbraw/zinc/83/73/20/1046837320.db2.gz IEEWZTHKWIBVLT-UHFFFAOYSA-N 0 3 320.230 4.061 20 0 DIADHN Cc1ccccc1C1(NCc2cc(F)cc3cccnc32)CC1 ZINC000645979536 1046838339 /nfs/dbraw/zinc/83/83/39/1046838339.db2.gz QWIMYJQYQQEXFM-UHFFFAOYSA-N 0 3 306.384 4.461 20 0 DIADHN CC(C)c1nc([C@H](C)N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)cs1 ZINC000248992912 1046855061 /nfs/dbraw/zinc/85/50/61/1046855061.db2.gz LLWBEYMEBYNVRQ-XNISGKROSA-N 0 3 320.502 4.265 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CC[C@H]3CCCO3)nc2c1 ZINC000248947784 1046856304 /nfs/dbraw/zinc/85/63/04/1046856304.db2.gz DPYBVSMUDVQDIQ-HIFRSBDPSA-N 0 3 302.443 4.002 20 0 DIADHN OCc1ccc(CNC2(c3cccc(Cl)c3)CCC2)cc1 ZINC000646095468 1046856246 /nfs/dbraw/zinc/85/62/46/1046856246.db2.gz RVUWQJMMDUFCQD-UHFFFAOYSA-N 0 3 301.817 4.001 20 0 DIADHN Cc1cc(CN2CC[C@@H](Cc3ccc(F)cc3)C2)cc(Cl)n1 ZINC000797591751 1046862184 /nfs/dbraw/zinc/86/21/84/1046862184.db2.gz URBCJXGZHSPXRI-HNNXBMFYSA-N 0 3 318.823 4.247 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCCC[C@H]3C3CCC3)o2)cc1 ZINC000646138408 1046863995 /nfs/dbraw/zinc/86/39/95/1046863995.db2.gz JLCDFFMCCSBPGZ-KRWDZBQOSA-N 0 3 311.429 4.200 20 0 DIADHN C[C@@H]1CC[C@H](C(F)(F)F)CN1CCCOc1ccc(F)cc1 ZINC000679546919 1046867636 /nfs/dbraw/zinc/86/76/36/1046867636.db2.gz WLXYQMHYRBBIFT-OLZOCXBDSA-N 0 3 319.342 4.257 20 0 DIADHN Cc1cccc(OCCN2C[C@@H](C(F)(F)F)CC[C@H]2C)c1 ZINC000679544877 1046867851 /nfs/dbraw/zinc/86/78/51/1046867851.db2.gz IQCJJRQHEQVCBT-KGLIPLIRSA-N 0 3 301.352 4.037 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cc(C)nc(Cl)c3)cc2c1C ZINC000797598027 1046867910 /nfs/dbraw/zinc/86/79/10/1046867910.db2.gz PSQKOQYYFGYLAY-UHFFFAOYSA-N 0 3 313.832 4.431 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@H]1c2cc(C)ccc2C[C@@H]1C ZINC000679576212 1046872914 /nfs/dbraw/zinc/87/29/14/1046872914.db2.gz UVLNTTDAORSZTJ-ADLFWFRXSA-N 0 3 323.436 4.350 20 0 DIADHN COc1cccc2c1OCC[C@@H]2N[C@@H]1c2cc(C)ccc2C[C@@H]1C ZINC000679576215 1046873621 /nfs/dbraw/zinc/87/36/21/1046873621.db2.gz UVLNTTDAORSZTJ-DCPHZVHLSA-N 0 3 323.436 4.350 20 0 DIADHN Cc1nc([C@H](C)NCCc2cc(F)c(F)c(F)c2)c(C)s1 ZINC000679575665 1046873833 /nfs/dbraw/zinc/87/38/33/1046873833.db2.gz PQHOAJGRHYVUFT-QMMMGPOBSA-N 0 3 314.376 4.071 20 0 DIADHN Clc1ncc2ccccc2c1CN1CC[C@@H](c2ccncc2)C1 ZINC001140722201 1046874479 /nfs/dbraw/zinc/87/44/79/1046874479.db2.gz OLNUXJAFNZEDKL-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Clc1ncc2ccccc2c1CN1CC[C@@H](c2cccnc2)C1 ZINC001140721828 1046875429 /nfs/dbraw/zinc/87/54/29/1046875429.db2.gz ANJCFRBRGSEVKT-MRXNPFEDSA-N 0 3 323.827 4.273 20 0 DIADHN Cc1nn(-c2ccccn2)c(Cl)c1CNCCCC(C)(C)C ZINC000796309393 1046877037 /nfs/dbraw/zinc/87/70/37/1046877037.db2.gz KFSXCUNLAJJKDU-UHFFFAOYSA-N 0 3 320.868 4.145 20 0 DIADHN CC(C)(C)c1ccc(CN2CCC(Cc3ccncc3)CC2)[nH]1 ZINC001137378910 1046879573 /nfs/dbraw/zinc/87/95/73/1046879573.db2.gz GXFLKZYRKCNESN-UHFFFAOYSA-N 0 3 311.473 4.162 20 0 DIADHN COc1cccc(Cl)c1CN1CCC2(CCC(C)(C)O2)CC1 ZINC001140730675 1046880835 /nfs/dbraw/zinc/88/08/35/1046880835.db2.gz WJYMAQWYWYQRNU-UHFFFAOYSA-N 0 3 323.864 4.272 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1Cc1ccc2ncccc2c1 ZINC000679584160 1046882005 /nfs/dbraw/zinc/88/20/05/1046882005.db2.gz LVJJAHDIPGLAAE-SWLSCSKDSA-N 0 3 308.347 4.398 20 0 DIADHN CCCN(Cc1ccccc1)Cc1cc(Br)ccn1 ZINC001140765549 1046888362 /nfs/dbraw/zinc/88/83/62/1046888362.db2.gz ZZQVZVHQCOKDDG-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN COc1ccc(CN2CC[C@@H]3CCC[C@@H]3C2)c(Br)c1 ZINC001140757085 1046888458 /nfs/dbraw/zinc/88/84/58/1046888458.db2.gz DNXMAGKMOAERAZ-QWHCGFSZSA-N 0 3 324.262 4.080 20 0 DIADHN Clc1ccc(C2=CCN([C@H]3CCCOC3)CC2)cc1Cl ZINC000679674658 1046891268 /nfs/dbraw/zinc/89/12/68/1046891268.db2.gz RWYYMRKISJXLJG-AWEZNQCLSA-N 0 3 312.240 4.262 20 0 DIADHN Cc1ccc(CN[C@H]2c3ccccc3C[C@@H]2C)c(N2CCCC2)n1 ZINC000679722770 1046894560 /nfs/dbraw/zinc/89/45/60/1046894560.db2.gz FREDSBSZMPJWLC-MGPUTAFESA-N 0 3 321.468 4.013 20 0 DIADHN Cc1ccc(CN[C@H](C)c2ccccc2C)c(N2CCCC2)n1 ZINC000679723509 1046895155 /nfs/dbraw/zinc/89/51/55/1046895155.db2.gz MDORDFVLOUPHKY-QGZVFWFLSA-N 0 3 309.457 4.149 20 0 DIADHN Cc1ccc(CN[C@@H]2CCCc3ccccc32)c(N2CCCC2)n1 ZINC000679722632 1046895636 /nfs/dbraw/zinc/89/56/36/1046895636.db2.gz HKPGUAHRSSICAG-HXUWFJFHSA-N 0 3 321.468 4.157 20 0 DIADHN c1oc2ccccc2c1CN1CC[C@H]1COCc1ccccc1 ZINC001140786061 1046897245 /nfs/dbraw/zinc/89/72/45/1046897245.db2.gz WVZCVCLDCWOEHN-SFHVURJKSA-N 0 3 307.393 4.224 20 0 DIADHN CC(C)c1nn(C)c(Cl)c1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000797877437 1046900131 /nfs/dbraw/zinc/90/01/31/1046900131.db2.gz SOZHESOSMLLIGG-SHTZXODSSA-N 0 3 317.864 4.233 20 0 DIADHN Clc1nc2ccccn2c1CN[C@H]1C[C@H](c2ccccc2)C1 ZINC000797877007 1046900853 /nfs/dbraw/zinc/90/08/53/1046900853.db2.gz MBPYHHJIKAAAQO-SHTZXODSSA-N 0 3 311.816 4.024 20 0 DIADHN CCC[C@H](N[C@@H](C)c1ccc(NC(C)=O)cc1)c1ccccn1 ZINC000679767985 1046903393 /nfs/dbraw/zinc/90/33/93/1046903393.db2.gz CTHIDMZMIXNMNA-LIRRHRJNSA-N 0 3 311.429 4.232 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(Cl)c2cccnc12 ZINC000679945470 1046915038 /nfs/dbraw/zinc/91/50/38/1046915038.db2.gz UIHCTKRWTHVQQP-CYBMUJFWSA-N 0 3 311.816 4.442 20 0 DIADHN COc1ccc(CN(C)CCc2ccc(F)cc2)c(Cl)c1 ZINC001140822366 1046916749 /nfs/dbraw/zinc/91/67/49/1046916749.db2.gz MHQSDYPGEXIXOF-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN CC[C@@](C)(O)CN[C@H](C)c1ccc(Cl)c(Cl)c1Cl ZINC000087012863 1046917316 /nfs/dbraw/zinc/91/73/16/1046917316.db2.gz VIVYIABDMWGUHE-AMIZOPFISA-N 0 3 310.652 4.458 20 0 DIADHN C[C@H]1c2ccccc2OCCN1Cc1cccc2cnccc21 ZINC000679983140 1046919937 /nfs/dbraw/zinc/91/99/37/1046919937.db2.gz KYCCXJOSIHCLIX-HNNXBMFYSA-N 0 3 304.393 4.190 20 0 DIADHN C[C@@H](Cc1ccsc1)N1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000679988906 1046922137 /nfs/dbraw/zinc/92/21/37/1046922137.db2.gz CSWSVQGASGYKTK-DAYGRLMNSA-N 0 3 301.455 4.141 20 0 DIADHN C[C@H](Cc1ccsc1)N1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000679988910 1046922776 /nfs/dbraw/zinc/92/27/76/1046922776.db2.gz CSWSVQGASGYKTK-IIDMSEBBSA-N 0 3 301.455 4.141 20 0 DIADHN CC[C@@H](O)[C@H]1CCCCN1Cc1cc2ccccc2nc1Cl ZINC000303519102 1046927659 /nfs/dbraw/zinc/92/76/59/1046927659.db2.gz DUSKXNSOGHSIOF-IAGOWNOFSA-N 0 3 318.848 4.014 20 0 DIADHN FC(F)(F)C[C@@H]1CCN(Cc2cc3cc(Cl)cnc3o2)C1 ZINC000648560124 1046928632 /nfs/dbraw/zinc/92/86/32/1046928632.db2.gz YLXXMERJSWBGEN-VIFPVBQESA-N 0 3 318.726 4.256 20 0 DIADHN C[C@@H](NCC1(O)CCCC1)c1cc(F)c(Cl)cc1Cl ZINC000087015727 1046949353 /nfs/dbraw/zinc/94/93/53/1046949353.db2.gz AIBUNTSBIQLVRH-SECBINFHSA-N 0 3 306.208 4.088 20 0 DIADHN C[C@@H](CN[C@H]1COCc2cccc(Cl)c21)Cc1cccs1 ZINC000798183657 1046934056 /nfs/dbraw/zinc/93/40/56/1046934056.db2.gz OTTKMYDGKSUCHZ-WBMJQRKESA-N 0 3 321.873 4.441 20 0 DIADHN Cc1nn(C)cc1CN[C@@H](c1ccc(Cl)cc1)C1CCC1 ZINC000162016653 1046935676 /nfs/dbraw/zinc/93/56/76/1046935676.db2.gz LOPTZIVNNLLDGN-QGZVFWFLSA-N 0 3 303.837 4.013 20 0 DIADHN Nc1cccc(F)c1CN1CCC[C@@H](Cc2ccc(F)cc2)C1 ZINC001140877364 1046938575 /nfs/dbraw/zinc/93/85/75/1046938575.db2.gz KLMFWSBWZYOUJN-HNNXBMFYSA-N 0 3 316.395 4.002 20 0 DIADHN [O-]c1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c(F)c1F ZINC001140893205 1046943175 /nfs/dbraw/zinc/94/31/75/1046943175.db2.gz KNXWYJXPAKECMX-LBPRGKRZSA-N 0 3 323.770 4.313 20 0 DIADHN CN(C)Cc1cccc(NC(=O)/C(F)=C\C2CCCCC2)c1 ZINC000798280236 1046944682 /nfs/dbraw/zinc/94/46/82/1046944682.db2.gz MKTNPINJDQVFCC-SFQUDFHCSA-N 0 3 304.409 4.120 20 0 DIADHN CCc1ccc2nccc(N3CCC[C@H]3c3cc(C)on3)c2c1 ZINC000827361718 1046947269 /nfs/dbraw/zinc/94/72/69/1046947269.db2.gz XTDGDTCCUWPOHX-IBGZPJMESA-N 0 3 307.397 4.435 20 0 DIADHN COc1ccc([C@@H](NCc2ccnn2CC(C)C)C(C)C)cc1 ZINC000647168770 1046947736 /nfs/dbraw/zinc/94/77/36/1046947736.db2.gz LFMQCRKYPRXQRO-IBGZPJMESA-N 0 3 315.461 4.035 20 0 DIADHN COc1cccc([C@@H](C)N2CC[C@H](Oc3cccc(F)c3)C2)c1 ZINC000680112886 1046949067 /nfs/dbraw/zinc/94/90/67/1046949067.db2.gz IFGFUSWGOLLVQL-KUHUBIRLSA-N 0 3 315.388 4.049 20 0 DIADHN FC(F)(F)[C@@H]1CCN(Cc2cccc3c2NCC3)CC12CCC2 ZINC000261847575 1046951914 /nfs/dbraw/zinc/95/19/14/1046951914.db2.gz SMEKUKYASCFQFS-OAHLLOKOSA-N 0 3 324.390 4.209 20 0 DIADHN C[C@@H](CNCc1csc(-c2ccsc2)n1)CC(F)F ZINC000647225413 1046957131 /nfs/dbraw/zinc/95/71/31/1046957131.db2.gz DFZODZPKJGVLGS-SECBINFHSA-N 0 3 302.415 4.253 20 0 DIADHN CCO[C@@H]1CCCN(Cc2cccn2-c2ccc(Cl)cc2)C1 ZINC001140944569 1046958742 /nfs/dbraw/zinc/95/87/42/1046958742.db2.gz JUZQZXAYCNVYPN-GOSISDBHSA-N 0 3 318.848 4.132 20 0 DIADHN CC(C)(C)c1ccc(C(C)(C)NCc2cnc(N)s2)cc1 ZINC000262130365 1046959907 /nfs/dbraw/zinc/95/99/07/1046959907.db2.gz YBUMJIMKPBYOOX-UHFFFAOYSA-N 0 3 303.475 4.048 20 0 DIADHN COc1ccc(CN[C@@H](CC(C)C)c2ccncc2)cc1F ZINC000647281746 1046965925 /nfs/dbraw/zinc/96/59/25/1046965925.db2.gz FDKFEJUAHPDLRE-KRWDZBQOSA-N 0 3 302.393 4.106 20 0 DIADHN C[C@@H](CN[C@@H](c1ccc(F)cc1)c1cccnc1)c1ccncc1 ZINC000680342463 1046968362 /nfs/dbraw/zinc/96/83/62/1046968362.db2.gz RDYYOGJLGZVUGW-YWZLYKJASA-N 0 3 321.399 4.098 20 0 DIADHN Cc1ccc(CCN[C@H](c2cccc(F)c2)c2ccccn2)cc1 ZINC000680343467 1046968866 /nfs/dbraw/zinc/96/88/66/1046968866.db2.gz BQXYEFSWIJJYMR-OAQYLSRUSA-N 0 3 320.411 4.451 20 0 DIADHN COc1ccc2c(c1F)CC[C@H]2NC1(c2ccccc2C)CC1 ZINC000647316365 1046975103 /nfs/dbraw/zinc/97/51/03/1046975103.db2.gz AUWZNEVCRPVZQA-QGZVFWFLSA-N 0 3 311.400 4.409 20 0 DIADHN Cc1ccccc1C1(N[C@H](C)c2ccc3c(c2)CCC(=O)N3)CC1 ZINC000647315970 1046975561 /nfs/dbraw/zinc/97/55/61/1046975561.db2.gz IHOLAMJOYJPAMB-OAHLLOKOSA-N 0 3 320.436 4.220 20 0 DIADHN Cc1noc(C)c1[C@@H](C)NCCSc1ccc(C)c(C)c1 ZINC000680381840 1046976620 /nfs/dbraw/zinc/97/66/20/1046976620.db2.gz YJLXQBVGFODZQX-CYBMUJFWSA-N 0 3 304.459 4.351 20 0 DIADHN Oc1cccc2ccc(CN3CCC[C@@H]3c3cccs3)nc12 ZINC001141023437 1046981023 /nfs/dbraw/zinc/98/10/23/1046981023.db2.gz LAYPJTCZWVZQTN-OAHLLOKOSA-N 0 3 310.422 4.339 20 0 DIADHN Oc1cccc2ccc(CN3CCC[C@H]3c3cccs3)nc12 ZINC001141023435 1046981996 /nfs/dbraw/zinc/98/19/96/1046981996.db2.gz LAYPJTCZWVZQTN-HNNXBMFYSA-N 0 3 310.422 4.339 20 0 DIADHN Cc1ccccc1[C@@H](C)NCc1ccnc(N2CCCCC2)c1 ZINC000162443590 1046984398 /nfs/dbraw/zinc/98/43/98/1046984398.db2.gz RMQANPUPWHOVKF-QGZVFWFLSA-N 0 3 309.457 4.231 20 0 DIADHN c1ccc(CCCCN[C@H](c2ccccc2)c2cncnc2)cc1 ZINC000680460721 1046984693 /nfs/dbraw/zinc/98/46/93/1046984693.db2.gz WBOFZFHOQIUFES-OAQYLSRUSA-N 0 3 317.436 4.179 20 0 DIADHN CC(C)OCCN[C@@H](C)c1ccccc1OCc1ccccc1 ZINC000680472086 1046988504 /nfs/dbraw/zinc/98/85/04/1046988504.db2.gz UGPHLVCZQZJNJM-KRWDZBQOSA-N 0 3 313.441 4.341 20 0 DIADHN CCN(Cc1ccc(Cl)cc1)Cc1ccc2c(c1)OCCO2 ZINC001141040106 1046988911 /nfs/dbraw/zinc/98/89/11/1046988911.db2.gz SKVXMUDTCLSOPG-UHFFFAOYSA-N 0 3 317.816 4.133 20 0 DIADHN Fc1ccc([C@@H](NCC2CCC(F)CC2)c2ccccn2)cc1 ZINC000647349796 1046990142 /nfs/dbraw/zinc/99/01/42/1046990142.db2.gz HYZWWMFNUALIGV-GSQSYGFSSA-N 0 3 316.395 4.428 20 0 DIADHN Fc1ccc([C@H](NCC2CCC(F)CC2)c2ccccc2)nc1 ZINC000647349683 1046991091 /nfs/dbraw/zinc/99/10/91/1046991091.db2.gz BCKQQMARSLUEQZ-GSQSYGFSSA-N 0 3 316.395 4.428 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccn3ccnc3c2)c1 ZINC001141045907 1046991343 /nfs/dbraw/zinc/99/13/43/1046991343.db2.gz DPSURSNZDQNJOK-LJQANCHMSA-N 0 3 321.424 4.070 20 0 DIADHN Cc1ncoc1CN1CCC(CCc2ccc(Cl)cc2)CC1 ZINC001141053394 1046992436 /nfs/dbraw/zinc/99/24/36/1046992436.db2.gz CRTGWWZARPOEKC-UHFFFAOYSA-N 0 3 318.848 4.481 20 0 DIADHN C[C@H](N[C@H]1CCS[C@H]1C)c1csc(-c2ccccc2F)n1 ZINC000647359496 1046992453 /nfs/dbraw/zinc/99/24/53/1046992453.db2.gz HWUZNJZWOQVZDE-MJVIPROJSA-N 0 3 322.474 4.494 20 0 DIADHN C[C@H](N[C@@H]1CCS[C@@H]1C)c1csc(-c2ccccc2)n1 ZINC000647362288 1046992912 /nfs/dbraw/zinc/99/29/12/1046992912.db2.gz ZIMJQLSMWFUOHR-OUCADQQQSA-N 0 3 304.484 4.355 20 0 DIADHN COc1ccc2nc(Cl)c(CN3CCCC3(C)C)cc2c1 ZINC001141062317 1046994292 /nfs/dbraw/zinc/99/42/92/1046994292.db2.gz DKODNEWJDRCREL-UHFFFAOYSA-N 0 3 304.821 4.271 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(SC(F)F)cc2)CCCO1 ZINC000798964810 1046995566 /nfs/dbraw/zinc/99/55/66/1046995566.db2.gz PZOZOUXYDFSGLC-CYBMUJFWSA-N 0 3 301.402 4.002 20 0 DIADHN CCCCc1ncc(CN2CCC[C@@H]2c2cccc(OC)c2)[nH]1 ZINC001141071676 1046995736 /nfs/dbraw/zinc/99/57/36/1046995736.db2.gz ABFMZVRSEXCVMA-GOSISDBHSA-N 0 3 313.445 4.098 20 0 DIADHN Cc1cc(CN(C)CCCOCc2ccccc2)cnc1Cl ZINC000798964125 1046995721 /nfs/dbraw/zinc/99/57/21/1046995721.db2.gz IQQOSXIMZSKUEG-UHFFFAOYSA-N 0 3 318.848 4.082 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2ccc(Br)cc2)ccn1 ZINC001137420047 1047000962 /nfs/dbraw/zinc/00/09/62/1047000962.db2.gz NYCZYZKLRIHMMM-ZDUSSCGKSA-N 0 3 319.246 4.346 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1cnc(Cl)cn1 ZINC000799120529 1047002370 /nfs/dbraw/zinc/00/23/70/1047002370.db2.gz XLUGQDFQKWGUHF-SJORKVTESA-N 0 3 315.848 4.288 20 0 DIADHN C[C@H]1CCCCCN1Cc1ccncc1NC(=O)OC(C)(C)C ZINC001141083114 1047003280 /nfs/dbraw/zinc/00/32/80/1047003280.db2.gz ICLDXQNGMKWGTP-AWEZNQCLSA-N 0 3 319.449 4.193 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)[C@H](C)N(C)Cc1cnc(Cl)cn1 ZINC000799125638 1047003889 /nfs/dbraw/zinc/00/38/89/1047003889.db2.gz RIZDNLHIRVIJJP-RYUDHWBXSA-N 0 3 324.255 4.407 20 0 DIADHN O=C(c1cccc(CN2CCCC2)c1)N1CCCCCCCC1 ZINC000836923316 1047006819 /nfs/dbraw/zinc/00/68/19/1047006819.db2.gz DVJVYEZTYWTQRL-UHFFFAOYSA-N 0 3 314.473 4.079 20 0 DIADHN CC[C@@H](c1ccc(F)cc1F)N1CC[C@@H](c2ccccn2)C1 ZINC000799190949 1047007916 /nfs/dbraw/zinc/00/79/16/1047007916.db2.gz VTPQRNGBVAAIGJ-ACJLOTCBSA-N 0 3 302.368 4.300 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2ccccc2C)s1 ZINC000303933366 1047008133 /nfs/dbraw/zinc/00/81/33/1047008133.db2.gz RDKXKVXVPWNUOM-HNNXBMFYSA-N 0 3 318.486 4.394 20 0 DIADHN Cc1noc(C)c1CCN1CCC[C@H]1c1ccc(Cl)cc1 ZINC000533777237 1047018582 /nfs/dbraw/zinc/01/85/82/1047018582.db2.gz XIBFAYTYDKICEP-KRWDZBQOSA-N 0 3 304.821 4.324 20 0 DIADHN CCSc1ccc([C@H](C)N[C@@H]2c3ccccc3C[C@@H]2O)cc1 ZINC000533787479 1047020109 /nfs/dbraw/zinc/02/01/09/1047020109.db2.gz ZLUCAXDOQBAGPL-FASAQXTFSA-N 0 3 313.466 4.108 20 0 DIADHN COCCOc1ccccc1CN1CCC[C@H]1c1cccs1 ZINC000162706064 1047023354 /nfs/dbraw/zinc/02/33/54/1047023354.db2.gz LEERNOMZYVCWSA-INIZCTEOSA-N 0 3 317.454 4.110 20 0 DIADHN FC(F)(F)c1ccccc1CN1CCC[C@@H](c2ccccn2)C1 ZINC000647950298 1047025222 /nfs/dbraw/zinc/02/52/22/1047025222.db2.gz SGQHSDXRAZBACE-OAHLLOKOSA-N 0 3 320.358 4.480 20 0 DIADHN CCN(Cc1cccs1)Cc1cn(C)nc1-c1ccc(C)o1 ZINC000648000060 1047029657 /nfs/dbraw/zinc/02/96/57/1047029657.db2.gz OWXUNFIDRYKYJZ-UHFFFAOYSA-N 0 3 315.442 4.072 20 0 DIADHN CCOc1c(Cl)cccc1CN1CC2(C[C@@H]1C)CCOCC2 ZINC000648070636 1047032989 /nfs/dbraw/zinc/03/29/89/1047032989.db2.gz FBUCSPWZSDGWSZ-AWEZNQCLSA-N 0 3 323.864 4.130 20 0 DIADHN c1nc([C@H]2CCCO2)sc1CN1CCCC[C@@H]1C1CCC1 ZINC000648077652 1047037169 /nfs/dbraw/zinc/03/71/69/1047037169.db2.gz ARHVNAKGUQFVML-HZPDHXFCSA-N 0 3 306.475 4.149 20 0 DIADHN Cc1ccc(CN(C)Cc2ccc(-c3ncccn3)cc2)cc1C ZINC001141190585 1047039757 /nfs/dbraw/zinc/03/97/57/1047039757.db2.gz LZKXOFCEBQJRFT-UHFFFAOYSA-N 0 3 317.436 4.392 20 0 DIADHN Cc1ccc(CN2CCC3(CC(OCC4CC4)C3)CC2)c(F)c1 ZINC001141194828 1047041193 /nfs/dbraw/zinc/04/11/93/1047041193.db2.gz OVIQKUJFKHVATF-UHFFFAOYSA-N 0 3 317.448 4.305 20 0 DIADHN c1ccc([C@H]2CCN2Cc2ccc(-c3ncccn3)cc2)cc1 ZINC001141188209 1047042304 /nfs/dbraw/zinc/04/23/04/1047042304.db2.gz YXGZKZACNQFPMF-LJQANCHMSA-N 0 3 301.393 4.091 20 0 DIADHN C[C@@H](NCc1cnc(C2CC2)nc1)c1cc2ccccc2s1 ZINC000459406799 1047047141 /nfs/dbraw/zinc/04/71/41/1047047141.db2.gz LNDCLERGBZAJCZ-GFCCVEGCSA-N 0 3 309.438 4.420 20 0 DIADHN CO[C@H](C)CN(Cc1cnc(-c2cccs2)s1)C(C)C ZINC000536658868 1047050067 /nfs/dbraw/zinc/05/00/67/1047050067.db2.gz QJHMOHZFKKCVSO-GFCCVEGCSA-N 0 3 310.488 4.117 20 0 DIADHN CCn1c2ccccc2nc1CN(C)[C@H]1CCc2ccccc2C1 ZINC000536669436 1047050162 /nfs/dbraw/zinc/05/01/62/1047050162.db2.gz FATCVRFILYGXPC-SFHVURJKSA-N 0 3 319.452 4.046 20 0 DIADHN Clc1cnc2oc(CN3CCS[C@@H]4CCCC[C@@H]43)cc2c1 ZINC000648378049 1047051302 /nfs/dbraw/zinc/05/13/02/1047051302.db2.gz OUJCNGPMKDCJMT-LSDHHAIUSA-N 0 3 322.861 4.341 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)Cc2ccc3[nH]cnc3c2)s1 ZINC000536660747 1047054254 /nfs/dbraw/zinc/05/42/54/1047054254.db2.gz PPWQPFPEXRCSIA-LBPRGKRZSA-N 0 3 314.458 4.083 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)Cc2ccc3nc[nH]c3c2)s1 ZINC000536660747 1047054265 /nfs/dbraw/zinc/05/42/65/1047054265.db2.gz PPWQPFPEXRCSIA-LBPRGKRZSA-N 0 3 314.458 4.083 20 0 DIADHN FC(F)(F)c1ccc([C@H]2CC[C@H](NCc3ccon3)C2)cc1 ZINC000799719327 1047056367 /nfs/dbraw/zinc/05/63/67/1047056367.db2.gz ZQRNKTKDBIAQBP-JSGCOSHPSA-N 0 3 310.319 4.119 20 0 DIADHN CC[C@H](N[C@@H]1CCO[C@H](CC)C1)c1nc(C(F)(F)F)cs1 ZINC000648464263 1047056805 /nfs/dbraw/zinc/05/68/05/1047056805.db2.gz RAYNPCFHOONPIX-MXWKQRLJSA-N 0 3 322.396 4.160 20 0 DIADHN CCOC(=O)C[C@H]1CCN(Cc2ccc(Cl)c(C)c2)C[C@H]1C ZINC001141236396 1047057101 /nfs/dbraw/zinc/05/71/01/1047057101.db2.gz BOKQLQOVDNFCQC-GDBMZVCRSA-N 0 3 323.864 4.060 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(Cl)c(C)c2)cc1OC ZINC001141237245 1047057691 /nfs/dbraw/zinc/05/76/91/1047057691.db2.gz JGJXGXPDZGIYET-UHFFFAOYSA-N 0 3 319.832 4.298 20 0 DIADHN Cc1cc(Cl)ccc1CN1CCC2(CCC(=O)CC2)CC1 ZINC001141232206 1047059721 /nfs/dbraw/zinc/05/97/21/1047059721.db2.gz GFDCAJYHYBZEQZ-UHFFFAOYSA-N 0 3 305.849 4.374 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(SC(F)(F)F)cc2)[C@H](C)C1 ZINC000799738172 1047060722 /nfs/dbraw/zinc/06/07/22/1047060722.db2.gz SRUHSVFULQVNNP-DGCLKSJQSA-N 0 3 319.392 4.298 20 0 DIADHN Fc1ccccc1[C@H]1CCCN1Cc1cccc(-n2ccnc2)c1 ZINC001141243082 1047060946 /nfs/dbraw/zinc/06/09/46/1047060946.db2.gz VAXJMTDUPFFJAB-HXUWFJFHSA-N 0 3 321.399 4.349 20 0 DIADHN C[C@H]1CCCc2ccccc2[C@@H]1NCc1nn(C)c2ccccc12 ZINC000680885701 1047060977 /nfs/dbraw/zinc/06/09/77/1047060977.db2.gz XUCGGRRQQVTIJG-YCRPNKLZSA-N 0 3 319.452 4.377 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2scnc2C)C12CCCCC2 ZINC000536681143 1047061952 /nfs/dbraw/zinc/06/19/52/1047061952.db2.gz PPBRFFRFEBYNCF-CVEARBPZSA-N 0 3 308.491 4.011 20 0 DIADHN Clc1cnc(NC[C@H]2CCCN(Cc3ccccc3)C2)s1 ZINC000680891738 1047062897 /nfs/dbraw/zinc/06/28/97/1047062897.db2.gz HKANHUQIKJOZOZ-CQSZACIVSA-N 0 3 321.877 4.121 20 0 DIADHN CCCc1ccc2nccc(N3CCC(n4cccn4)CC3)c2c1 ZINC000827487536 1047067919 /nfs/dbraw/zinc/06/79/19/1047067919.db2.gz WMSZBMGOLIVYRC-UHFFFAOYSA-N 0 3 320.440 4.225 20 0 DIADHN Cc1ccc(CN2CCC[C@]2(C)Cc2ccccc2Cl)nn1 ZINC000827488580 1047068226 /nfs/dbraw/zinc/06/82/26/1047068226.db2.gz JCEXCULZWDAAQZ-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN Cc1cccc(OC2CCN(Cc3cccc(N(C)C)c3)CC2)c1 ZINC001141268524 1047069768 /nfs/dbraw/zinc/06/97/68/1047069768.db2.gz PYYWNKGIYSMJEV-UHFFFAOYSA-N 0 3 324.468 4.104 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccc(Cl)cc3)o2)CCCO1 ZINC000536683163 1047071812 /nfs/dbraw/zinc/07/18/12/1047071812.db2.gz DWIDCZPHJPFIJJ-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN Cc1cc(Cl)ccc1CNC(C)(C)C(=O)Nc1ccccc1 ZINC000680972825 1047072698 /nfs/dbraw/zinc/07/26/98/1047072698.db2.gz IDVNVMLJKRVCPE-UHFFFAOYSA-N 0 3 316.832 4.155 20 0 DIADHN CN(Cc1cc2ccccc2o1)Cc1cccc(-n2cccn2)c1 ZINC000536698868 1047078124 /nfs/dbraw/zinc/07/81/24/1047078124.db2.gz XYYYECFRMNGBIU-UHFFFAOYSA-N 0 3 317.392 4.251 20 0 DIADHN O[C@@H](CN1CCC[C@H]1c1ccsc1)c1ccccc1Cl ZINC000799994642 1047080851 /nfs/dbraw/zinc/08/08/51/1047080851.db2.gz OSRADVOSHUHJNJ-HOTGVXAUSA-N 0 3 307.846 4.272 20 0 DIADHN C[C@H]1C[C@@H](C(F)(F)F)CCN1Cc1ccc2cnccc2c1 ZINC000648719315 1047085514 /nfs/dbraw/zinc/08/55/14/1047085514.db2.gz MHKNUXOXUSTZTI-LRDDRELGSA-N 0 3 308.347 4.398 20 0 DIADHN COc1cccc([C@H]2C[C@H](N[C@H](C)c3nc(C)sc3C)C2)c1 ZINC000648793444 1047089774 /nfs/dbraw/zinc/08/97/74/1047089774.db2.gz PSYYDTQOLFHLSH-HFBAOOFYSA-N 0 3 316.470 4.365 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@@H]1c3ccccc3CC[C@@H]1C)CCO2 ZINC000681056749 1047090778 /nfs/dbraw/zinc/09/07/78/1047090778.db2.gz DNMBXIOAGHHRAT-JBYOLUDKSA-N 0 3 323.436 4.432 20 0 DIADHN CCOc1cccc(CNCc2ccc(Cl)c(OC)c2)c1 ZINC000648816972 1047091312 /nfs/dbraw/zinc/09/13/12/1047091312.db2.gz VZBNDRDWDFHDAT-UHFFFAOYSA-N 0 3 305.805 4.037 20 0 DIADHN COc1ccsc1CN1CC[C@@H](c2cccc(Cl)c2)C1 ZINC001141327306 1047093677 /nfs/dbraw/zinc/09/36/77/1047093677.db2.gz OYTUSHCVIUSCNX-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN O[C@H](CN[C@@H]1CCCSc2ccc(Cl)cc21)c1ccco1 ZINC000305083498 1047095640 /nfs/dbraw/zinc/09/56/40/1047095640.db2.gz SARGRLMQNINWAU-ZIAGYGMSSA-N 0 3 323.845 4.183 20 0 DIADHN CC[C@@H](NCc1csc(COc2ccccc2)n1)C1CC1 ZINC000681108665 1047096047 /nfs/dbraw/zinc/09/60/47/1047096047.db2.gz KDHYZLFDKGLWLH-MRXNPFEDSA-N 0 3 302.443 4.000 20 0 DIADHN Cc1nc(-c2ccccc2)n(CN(C2CC2)C2CCC(C)CC2)n1 ZINC001115965587 1047097237 /nfs/dbraw/zinc/09/72/37/1047097237.db2.gz TZIFSOZNSJRJOK-UHFFFAOYSA-N 0 3 324.472 4.254 20 0 DIADHN CC(C)[C@H]1C[C@H](NCc2ncc(-c3ccccc3)s2)CCO1 ZINC000536757161 1047097214 /nfs/dbraw/zinc/09/72/14/1047097214.db2.gz TWVGNYFOXMMUDH-HZPDHXFCSA-N 0 3 316.470 4.103 20 0 DIADHN Cc1nc(CCN[C@H](C)c2cc(Cl)ccc2Cl)c(C)o1 ZINC000536770131 1047099775 /nfs/dbraw/zinc/09/97/75/1047099775.db2.gz CZSIFRZKYABMOQ-SECBINFHSA-N 0 3 313.228 4.492 20 0 DIADHN CC[C@@H]1CCCN1Cc1cc2ccc(OC)cc2nc1Cl ZINC000037913714 1047102043 /nfs/dbraw/zinc/10/20/43/1047102043.db2.gz LPTPSHQPLJYYJS-CQSZACIVSA-N 0 3 304.821 4.271 20 0 DIADHN CSc1cc(CN[C@H](C)c2cccc(OC(F)F)c2)ccn1 ZINC000536827447 1047102734 /nfs/dbraw/zinc/10/27/34/1047102734.db2.gz PMZPWAKQDBQZSD-LLVKDONJSA-N 0 3 324.396 4.256 20 0 DIADHN COc1ccc(Br)c(CN2CCC[C@@H]3CCC[C@H]32)c1 ZINC000228093742 1047105089 /nfs/dbraw/zinc/10/50/89/1047105089.db2.gz ZGEGTSHXESSRAU-BLLLJJGKSA-N 0 3 324.262 4.222 20 0 DIADHN C[C@@H](N[C@@H](c1cccnc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000536836857 1047105712 /nfs/dbraw/zinc/10/57/12/1047105712.db2.gz CLBMEMXJVWUCNN-SJKOYZFVSA-N 0 3 318.367 4.485 20 0 DIADHN C[C@@H](N[C@H](c1cccnc1)C1CC1)c1ccc(OC(F)F)cc1 ZINC000536836824 1047106875 /nfs/dbraw/zinc/10/68/75/1047106875.db2.gz CLBMEMXJVWUCNN-PXAZEXFGSA-N 0 3 318.367 4.485 20 0 DIADHN CCC1(CN[C@H](C)c2nnn(-c3cccc(Cl)c3)c2C)CC1 ZINC000536841164 1047107657 /nfs/dbraw/zinc/10/76/57/1047107657.db2.gz XYXKWKBFISBWQF-GFCCVEGCSA-N 0 3 318.852 4.070 20 0 DIADHN CC(C)c1nnc(CN[C@H](CCc2ccccc2)C(C)C)s1 ZINC000536845218 1047110085 /nfs/dbraw/zinc/11/00/85/1047110085.db2.gz MBZCRZFOUGDWQV-MRXNPFEDSA-N 0 3 317.502 4.409 20 0 DIADHN CCC[C@H](Cc1ccccc1)NCc1nnc(C(C)C)s1 ZINC000536849440 1047111087 /nfs/dbraw/zinc/11/10/87/1047111087.db2.gz BBNDDBOOZRSQOC-OAHLLOKOSA-N 0 3 303.475 4.163 20 0 DIADHN COc1cc(F)c([C@H](C)N[C@@H]2CCCC(F)(F)C2)cc1OC ZINC000536851571 1047111244 /nfs/dbraw/zinc/11/12/44/1047111244.db2.gz KTNDDSVRNVJBGY-WDEREUQCSA-N 0 3 317.351 4.071 20 0 DIADHN C[C@@H](COc1ccccc1F)NCc1cc2cc(F)ccc2o1 ZINC001116132111 1047111252 /nfs/dbraw/zinc/11/12/52/1047111252.db2.gz SEPSNFYVCLABES-LBPRGKRZSA-N 0 3 317.335 4.268 20 0 DIADHN COc1cc(F)cc(CN[C@@H](C)c2cc3c(s2)CCC3)c1 ZINC000536851665 1047111682 /nfs/dbraw/zinc/11/16/82/1047111682.db2.gz KSFPGRGIHDMOIO-NSHDSACASA-N 0 3 305.418 4.235 20 0 DIADHN c1cc(-c2cccc(CN3CC(c4cccnc4)C3)c2)cs1 ZINC001141383995 1047113362 /nfs/dbraw/zinc/11/33/62/1047113362.db2.gz CHZWFWJVZATLLJ-UHFFFAOYSA-N 0 3 306.434 4.410 20 0 DIADHN C[C@@H](NCc1cccc(OC(F)F)c1)c1ccc2c(c1)COC2 ZINC000536870996 1047116495 /nfs/dbraw/zinc/11/64/95/1047116495.db2.gz NWADANQDOXZJDW-GFCCVEGCSA-N 0 3 319.351 4.169 20 0 DIADHN CCc1ccc2nccc(N3CCC[C@@H]3c3cn(C)nc3C)c2c1 ZINC000649299865 1047121760 /nfs/dbraw/zinc/12/17/60/1047121760.db2.gz BXIGAVLUYBDKQD-LJQANCHMSA-N 0 3 320.440 4.181 20 0 DIADHN Cc1cccc([C@H]2CCCN2CCCC(=O)c2ccccc2)n1 ZINC000649353737 1047122059 /nfs/dbraw/zinc/12/20/59/1047122059.db2.gz LFIRJCDJVWXDEL-LJQANCHMSA-N 0 3 308.425 4.190 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N1CC[C@H](Cc2ccncc2)C1 ZINC000681410719 1047122029 /nfs/dbraw/zinc/12/20/29/1047122029.db2.gz WPBRRKMJVAMSSW-UKRRQHHQSA-N 0 3 318.823 4.500 20 0 DIADHN C[C@H]1CC[C@@H](C(F)(F)F)CN1CCCC(=O)c1ccccc1 ZINC000649418867 1047126657 /nfs/dbraw/zinc/12/66/57/1047126657.db2.gz GDRFMSOGGGIVFT-DZGCQCFKSA-N 0 3 313.363 4.312 20 0 DIADHN CCOC[C@@H](C)N[C@H](C)c1ccccc1OCc1ccccc1 ZINC000537016371 1047128465 /nfs/dbraw/zinc/12/84/65/1047128465.db2.gz IGZJYYZERDPJGX-IAGOWNOFSA-N 0 3 313.441 4.341 20 0 DIADHN Cc1cc(C2CCN([C@@H](C)c3ccc(Cl)cc3)CC2)n[nH]1 ZINC000536997154 1047130201 /nfs/dbraw/zinc/13/02/01/1047130201.db2.gz COKZAUQUZAFECZ-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN Cc1cc(C2CCN([C@@H](C)c3ccc(Cl)cc3)CC2)[nH]n1 ZINC000536997154 1047130211 /nfs/dbraw/zinc/13/02/11/1047130211.db2.gz COKZAUQUZAFECZ-ZDUSSCGKSA-N 0 3 303.837 4.312 20 0 DIADHN CC(C)OCc1ccccc1CN[C@@H](c1cccnc1)C(C)C ZINC000536998603 1047130505 /nfs/dbraw/zinc/13/05/05/1047130505.db2.gz BLDSCSBDUFZPHI-HXUWFJFHSA-N 0 3 312.457 4.494 20 0 DIADHN Cc1nc(C(F)(F)F)ccc1CN1CCCc2ccccc2C1 ZINC001141432646 1047130525 /nfs/dbraw/zinc/13/05/25/1047130525.db2.gz GFLHYHRIZWNUQF-UHFFFAOYSA-N 0 3 320.358 4.357 20 0 DIADHN CC[C@@H]1C[C@@H](C)CC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000681600248 1047132911 /nfs/dbraw/zinc/13/29/11/1047132911.db2.gz AANCAJJQNSYPNC-VBQJREDUSA-N 0 3 308.466 4.294 20 0 DIADHN Fc1ccc(C2(CNCc3nccs3)CCCC2)c(F)c1 ZINC000681595772 1047133329 /nfs/dbraw/zinc/13/33/29/1047133329.db2.gz SQOBRADCXLQBQJ-UHFFFAOYSA-N 0 3 308.397 4.023 20 0 DIADHN COC(=O)c1cc(CN[C@H](C)c2cccc3ccccc32)c(C)o1 ZINC000537042060 1047134636 /nfs/dbraw/zinc/13/46/36/1047134636.db2.gz SPKSQVPVWDUJDA-CYBMUJFWSA-N 0 3 323.392 4.379 20 0 DIADHN CC(C)(C)N(Cc1ccccc1)Cc1cnc(C(F)(F)F)nc1 ZINC001141451300 1047135940 /nfs/dbraw/zinc/13/59/40/1047135940.db2.gz LCSSMEXJNPGHOE-UHFFFAOYSA-N 0 3 323.362 4.296 20 0 DIADHN Cc1cc(C(C)(C)C)cc(C)c1CCN[C@H](C)c1nccn1C ZINC000537104535 1047136822 /nfs/dbraw/zinc/13/68/22/1047136822.db2.gz DZYAUGHLTAJCQP-MRXNPFEDSA-N 0 3 313.489 4.228 20 0 DIADHN CC(C)[C@@H](NCCC(=O)NC1CCCC1)c1ccccc1Cl ZINC000537086021 1047137118 /nfs/dbraw/zinc/13/71/18/1047137118.db2.gz YKAIWRADHXFYMC-GOSISDBHSA-N 0 3 322.880 4.076 20 0 DIADHN Cc1cccc(C)c1NC(=O)CNC1(c2ccccc2)CCCC1 ZINC000537095132 1047138139 /nfs/dbraw/zinc/13/81/39/1047138139.db2.gz LMJFEVMXFPYFTQ-UHFFFAOYSA-N 0 3 322.452 4.301 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCC(=O)N(C)[C@@H](C)c2ccccc2)c1 ZINC000537106902 1047139758 /nfs/dbraw/zinc/13/97/58/1047139758.db2.gz YZJDPSHIDMXMQJ-ROUUACIJSA-N 0 3 324.468 4.174 20 0 DIADHN Cc1ncc([C@H](C)N(C)Cc2cccc3ccccc32)c(C)n1 ZINC000681668215 1047140053 /nfs/dbraw/zinc/14/00/53/1047140053.db2.gz XAAUNLSUMBKGIL-HNNXBMFYSA-N 0 3 305.425 4.440 20 0 DIADHN OCC[C@@H]1CCC[C@@H]1NCc1c(Cl)ccc(Cl)c1Cl ZINC000681705330 1047143955 /nfs/dbraw/zinc/14/39/55/1047143955.db2.gz ZWWJSJVJFSTDRP-ZANVPECISA-N 0 3 322.663 4.288 20 0 DIADHN COc1ccc([C@@H]2CCCN2C[C@@H]2CC2(Cl)Cl)cc1 ZINC000838504796 1047144836 /nfs/dbraw/zinc/14/48/36/1047144836.db2.gz XVGVGKBBNLPPQV-JSGCOSHPSA-N 0 3 300.229 4.026 20 0 DIADHN CC(C)c1cccc(C(C)C)c1NC(=O)N[C@@H]1CCN(C)[C@@H]1C ZINC000925309301 1047146489 /nfs/dbraw/zinc/14/64/89/1047146489.db2.gz XPTBGSFCEKOBKL-RHSMWYFYSA-N 0 3 317.477 4.148 20 0 DIADHN COc1ccc2cc(CN(C)C[C@H]3CC3(Cl)Cl)ccc2c1 ZINC000838524665 1047146769 /nfs/dbraw/zinc/14/67/69/1047146769.db2.gz AOOBZTMONKSIFZ-OAHLLOKOSA-N 0 3 324.251 4.474 20 0 DIADHN CCC[C@H](C)CN(C)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000537195122 1047146952 /nfs/dbraw/zinc/14/69/52/1047146952.db2.gz CTYYPBHGIHOVMB-KBXCAEBGSA-N 0 3 303.425 4.017 20 0 DIADHN CN(C)CCN(C(=O)Nc1ccc(Cl)cc1)C1CCCCC1 ZINC000537181913 1047147481 /nfs/dbraw/zinc/14/74/81/1047147481.db2.gz RJRILNIHBHYGTI-UHFFFAOYSA-N 0 3 323.868 4.068 20 0 DIADHN CC(C)N(Cc1ccc(C(F)(F)F)nn1)[C@@H](C)c1ccccc1 ZINC001141503129 1047151074 /nfs/dbraw/zinc/15/10/74/1047151074.db2.gz PLKCYUIHNBXWJO-ZDUSSCGKSA-N 0 3 323.362 4.467 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@H](C)C[C@@H](O)c1ccco1 ZINC000305715123 1047152310 /nfs/dbraw/zinc/15/23/10/1047152310.db2.gz GSFHEZAPWZVZFN-TYNCELHUSA-N 0 3 323.820 4.104 20 0 DIADHN CC[C@H](OCCNC(C)(C)c1c(C)noc1C)c1ccccc1 ZINC000649611011 1047153310 /nfs/dbraw/zinc/15/33/10/1047153310.db2.gz IMJITOKHTYMUQK-KRWDZBQOSA-N 0 3 316.445 4.284 20 0 DIADHN CSc1ccc(C)c(NC(=O)[C@H]2CCCN2C2CCCC2)c1 ZINC000537237551 1047154497 /nfs/dbraw/zinc/15/44/97/1047154497.db2.gz QRZYBGXVSMKZEY-QGZVFWFLSA-N 0 3 318.486 4.062 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNC(C)(C)CC(F)(F)F ZINC000681987930 1047159301 /nfs/dbraw/zinc/15/93/01/1047159301.db2.gz DSDOIBBHJLZHOA-UHFFFAOYSA-N 0 3 311.351 4.001 20 0 DIADHN COc1ccc(C2CCN([C@H](C)c3cccc(F)c3)CC2)nc1 ZINC000649652373 1047159819 /nfs/dbraw/zinc/15/98/19/1047159819.db2.gz UBZPDGXTBQHXRO-CQSZACIVSA-N 0 3 314.404 4.170 20 0 DIADHN Cc1ccc([C@H](N[C@@H](C)CC(=O)OC(C)(C)C)C2CCC2)o1 ZINC001116588682 1047160139 /nfs/dbraw/zinc/16/01/39/1047160139.db2.gz KACFMUHBFRARML-YVEFUNNKSA-N 0 3 307.434 4.139 20 0 DIADHN CC(C)(C)CCCN1C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C1 ZINC000682029595 1047163976 /nfs/dbraw/zinc/16/39/76/1047163976.db2.gz UGLQEECVCYBLRB-NXEZZACHSA-N 0 3 305.306 4.485 20 0 DIADHN Cc1cccc(NC(=O)CN[C@@H](c2ccccc2C)C2CC2)c1C ZINC000537289120 1047165171 /nfs/dbraw/zinc/16/51/71/1047165171.db2.gz CBVDWODWFYUWTB-OAQYLSRUSA-N 0 3 322.452 4.291 20 0 DIADHN Cc1cccc(NC(=O)CN[C@H](c2ccccc2C)C2CC2)c1C ZINC000537289117 1047165292 /nfs/dbraw/zinc/16/52/92/1047165292.db2.gz CBVDWODWFYUWTB-NRFANRHFSA-N 0 3 322.452 4.291 20 0 DIADHN C[C@@H](c1ccsc1)N1CCN([C@@H]2CCc3ccccc32)CC1 ZINC000682074108 1047167536 /nfs/dbraw/zinc/16/75/36/1047167536.db2.gz QNRHDIOYGVMYOG-HNAYVOBHSA-N 0 3 312.482 4.114 20 0 DIADHN FC1(F)C(F)(F)C12CCN(Cc1cccc3cccnc31)CC2 ZINC000649709239 1047167723 /nfs/dbraw/zinc/16/77/23/1047167723.db2.gz DTWTZFOPFMEXIO-UHFFFAOYSA-N 0 3 324.321 4.101 20 0 DIADHN CC[C@H](NCCC(=O)Nc1ccccc1F)c1ccccc1F ZINC000649739492 1047168867 /nfs/dbraw/zinc/16/88/67/1047168867.db2.gz XSEWRKGUXIZWKL-INIZCTEOSA-N 0 3 318.367 4.034 20 0 DIADHN Cc1cnc([C@@H](C)N2CCc3ccc(Cl)cc3[C@H](C)C2)cn1 ZINC000649747070 1047171149 /nfs/dbraw/zinc/17/11/49/1047171149.db2.gz PVCHCEBSSLXRLS-TZMCWYRMSA-N 0 3 315.848 4.161 20 0 DIADHN C[C@@H](N[C@@H]1CCCN(c2ccccc2)C1)c1cccc(F)c1F ZINC000537345444 1047172831 /nfs/dbraw/zinc/17/28/31/1047172831.db2.gz WPNXQSBHHWVSGJ-HUUCEWRRSA-N 0 3 316.395 4.284 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CCC3(CC3(F)F)CC2)c1 ZINC000649773361 1047174398 /nfs/dbraw/zinc/17/43/98/1047174398.db2.gz PENMGVASUMBNSA-UHFFFAOYSA-N 0 3 321.289 4.206 20 0 DIADHN CC(=O)c1c(C)cc(C)c(CN2CCC3(CC3(F)F)CC2)c1C ZINC000649777040 1047174478 /nfs/dbraw/zinc/17/44/78/1047174478.db2.gz BXLPTHOBRHYTCZ-UHFFFAOYSA-N 0 3 321.411 4.436 20 0 DIADHN Cc1cnc(C2CCN(CCc3ccc(F)cc3F)CC2)s1 ZINC001116774615 1047176275 /nfs/dbraw/zinc/17/62/75/1047176275.db2.gz BRVXBRZKFGPOQU-UHFFFAOYSA-N 0 3 322.424 4.152 20 0 DIADHN Clc1ccccc1-c1cc(CNC2(C3CCC3)CC2)no1 ZINC000649811117 1047177652 /nfs/dbraw/zinc/17/76/52/1047177652.db2.gz GBMOMFCVLZQXDU-UHFFFAOYSA-N 0 3 302.805 4.417 20 0 DIADHN COc1cccc(CNC2(c3ccc(C(F)(F)F)cc3)CC2)c1 ZINC000649885210 1047185151 /nfs/dbraw/zinc/18/51/51/1047185151.db2.gz KRXWLDAAWNDEQN-UHFFFAOYSA-N 0 3 321.342 4.493 20 0 DIADHN Cc1ccc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c(C)c1 ZINC000537450456 1047186624 /nfs/dbraw/zinc/18/66/24/1047186624.db2.gz QHLXVEZCYBJRTH-OAHLLOKOSA-N 0 3 309.409 4.372 20 0 DIADHN Oc1ccc(CNC2(c3cccc(C(F)(F)F)c3)CC2)cc1 ZINC000682406241 1047192459 /nfs/dbraw/zinc/19/24/59/1047192459.db2.gz IKJOIFJKUSHVFC-UHFFFAOYSA-N 0 3 307.315 4.190 20 0 DIADHN CC[C@H](N[C@@H](C)c1cc(C(=O)OC)cs1)c1ccc(F)cc1 ZINC001117140038 1047194975 /nfs/dbraw/zinc/19/49/75/1047194975.db2.gz YNAOEXRUKYAATF-NHYWBVRUSA-N 0 3 321.417 4.476 20 0 DIADHN F[C@H]1CCN(Cc2c[nH]c3cc(Cl)ccc23)CCC1(F)F ZINC001141577912 1047200237 /nfs/dbraw/zinc/20/02/37/1047200237.db2.gz YCEFHVQMBWOXJA-AWEZNQCLSA-N 0 3 316.754 4.391 20 0 DIADHN COc1cccc2c1OC[C@@H](N[C@@H](C)c1csc(Cl)c1)C2 ZINC000682496234 1047200181 /nfs/dbraw/zinc/20/01/81/1047200181.db2.gz UZQMOFNRFZEBBO-GWCFXTLKSA-N 0 3 323.845 4.064 20 0 DIADHN CN(Cc1ccc(NC(=O)OC(C)(C)C)nc1)C1CC(C)(C)C1 ZINC000839185533 1047203212 /nfs/dbraw/zinc/20/32/12/1047203212.db2.gz VXXMPNPIEHHNTI-UHFFFAOYSA-N 0 3 319.449 4.049 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(Br)cc2C)cn1 ZINC000397053074 1047208446 /nfs/dbraw/zinc/20/84/46/1047208446.db2.gz ZFBSOKPVSPCKLG-UHFFFAOYSA-N 0 3 319.246 4.059 20 0 DIADHN Cc1cc(C)c(CN[C@@H](C)c2ccc(OC(F)(F)F)cc2)cn1 ZINC000397098480 1047214948 /nfs/dbraw/zinc/21/49/48/1047214948.db2.gz ODCBTZSBVOEPMP-ZDUSSCGKSA-N 0 3 324.346 4.448 20 0 DIADHN Cc1nc(CN[C@H](C)C2CCC(F)(F)CC2)nc2ccccc12 ZINC000682732477 1047217283 /nfs/dbraw/zinc/21/72/83/1047217283.db2.gz NQQZWAWLVWZXMQ-GFCCVEGCSA-N 0 3 319.399 4.242 20 0 DIADHN C[C@H](N[C@@H]1COc2cc(F)cc(F)c21)C1CCC(F)(F)CC1 ZINC000682733715 1047218311 /nfs/dbraw/zinc/21/83/11/1047218311.db2.gz GJFCBJHBLBVFAO-TVQRCGJNSA-N 0 3 317.326 4.202 20 0 DIADHN CC[C@H]1C[C@@H](C)CN1Cc1ccc(OC)c(Br)c1 ZINC000399557392 1047223280 /nfs/dbraw/zinc/22/32/80/1047223280.db2.gz CTDVXZOJJLMBHD-YPMHNXCESA-N 0 3 312.251 4.078 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(OC)c(Br)c1 ZINC000399557390 1047223894 /nfs/dbraw/zinc/22/38/94/1047223894.db2.gz CTDVXZOJJLMBHD-DGCLKSJQSA-N 0 3 312.251 4.078 20 0 DIADHN COc1ccc(CN(C)Cc2ccc(C)cn2)c2ccccc12 ZINC000682788378 1047224734 /nfs/dbraw/zinc/22/47/34/1047224734.db2.gz WFEPOGONQSQLTP-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2ccccc2SC)o1 ZINC000839429158 1047229047 /nfs/dbraw/zinc/22/90/47/1047229047.db2.gz DPSHQJDDOMEZQB-UHFFFAOYSA-N 0 3 319.426 4.063 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(OC)c(O)c1)c1ccc(F)cc1 ZINC001117671616 1047234633 /nfs/dbraw/zinc/23/46/33/1047234633.db2.gz MOMXOFSFFGMUFD-WBMJQRKESA-N 0 3 303.377 4.342 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(OC)c(O)c1)c1ccc(F)cc1 ZINC001117671615 1047235278 /nfs/dbraw/zinc/23/52/78/1047235278.db2.gz MOMXOFSFFGMUFD-LRDDRELGSA-N 0 3 303.377 4.342 20 0 DIADHN Cc1nc(N2CCCCC2)sc1CN1CC(C)(C)[C@@H]1C1CC1 ZINC001117682188 1047235937 /nfs/dbraw/zinc/23/59/37/1047235937.db2.gz FFJZSQRMSSRLIZ-INIZCTEOSA-N 0 3 319.518 4.062 20 0 DIADHN CN(CCc1cccs1)Cc1cc2cccnc2cc1F ZINC001141628796 1047236852 /nfs/dbraw/zinc/23/68/52/1047236852.db2.gz JWOYQTLLXLEPAW-UHFFFAOYSA-N 0 3 300.402 4.110 20 0 DIADHN CCCCOc1ccc(CN[C@@H](C)c2ccc[nH]2)cc1OCC ZINC000760444042 1047237271 /nfs/dbraw/zinc/23/72/71/1047237271.db2.gz DESNERHRVFZQCT-HNNXBMFYSA-N 0 3 316.445 4.443 20 0 DIADHN CO[C@](C)([C@@H](C)NCc1cc2ccccc2nc1Cl)C1CC1 ZINC000839639104 1047249352 /nfs/dbraw/zinc/24/93/52/1047249352.db2.gz LVSRGIIGYULJJL-KZULUSFZSA-N 0 3 318.848 4.181 20 0 DIADHN CN(C)c1cccc(CN2CC[C@@H](C(F)(F)F)C(C)(C)C2)c1 ZINC000683036813 1047260266 /nfs/dbraw/zinc/26/02/66/1047260266.db2.gz YYISOXWMRZMZJN-OAHLLOKOSA-N 0 3 314.395 4.163 20 0 DIADHN C[C@H](N[C@@H](Cn1ccnc1)C(C)(C)C)c1ccc(F)cc1Cl ZINC000385952172 1047276294 /nfs/dbraw/zinc/27/62/94/1047276294.db2.gz FMUCJEIEFDBVPB-LRDDRELGSA-N 0 3 323.843 4.441 20 0 DIADHN C[C@H](CSc1ccccc1)N(C)C[C@H]1CC1(Cl)Cl ZINC001462414668 1047276384 /nfs/dbraw/zinc/27/63/84/1047276384.db2.gz NELUGAOCKVAFPK-VXGBXAGGSA-N 0 3 304.286 4.293 20 0 DIADHN C[C@@H]1CC2(CC(NCc3csc(Cl)c3Cl)C2)CO1 ZINC000897777915 1047289154 /nfs/dbraw/zinc/28/91/54/1047289154.db2.gz CLJNHLIEOLNADT-NCZMNARYSA-N 0 3 306.258 4.102 20 0 DIADHN CC(=O)OCc1ccc(CN(C)Cc2cccc3ccccc32)o1 ZINC001141786956 1047290971 /nfs/dbraw/zinc/29/09/71/1047290971.db2.gz CIBCNCUHNMBNKA-UHFFFAOYSA-N 0 3 323.392 4.128 20 0 DIADHN COCc1cc(CN[C@@H]2CCC[C@H](c3ccccc3)CC2)no1 ZINC000897833327 1047292443 /nfs/dbraw/zinc/29/24/43/1047292443.db2.gz FMMJHMPEDWYFBD-DLBZAZTESA-N 0 3 314.429 4.027 20 0 DIADHN COCc1cc(CN[C@@H]2CCC[C@@H](c3ccccc3)CC2)no1 ZINC000897833328 1047292470 /nfs/dbraw/zinc/29/24/70/1047292470.db2.gz FMMJHMPEDWYFBD-IAGOWNOFSA-N 0 3 314.429 4.027 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](N[C@@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000537476711 1047294390 /nfs/dbraw/zinc/29/43/90/1047294390.db2.gz FEULOIMBOIYIKB-SOUVJXGZSA-N 0 3 322.518 4.266 20 0 DIADHN CC(C)C[C@@H]1C[C@H](N[C@@H](C)c2nc3c(s2)CCCC3)CCO1 ZINC000537476707 1047294627 /nfs/dbraw/zinc/29/46/27/1047294627.db2.gz FEULOIMBOIYIKB-RRFJBIMHSA-N 0 3 322.518 4.266 20 0 DIADHN Fc1ccc([C@H]2C[C@H](NCc3ccncc3Cl)C2)c(F)c1 ZINC000897882093 1047295923 /nfs/dbraw/zinc/29/59/23/1047295923.db2.gz IEKMBTWRHSPSLK-AULYBMBSSA-N 0 3 308.759 4.049 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1coc3ccc(F)cc13)CC2 ZINC001141856273 1047299324 /nfs/dbraw/zinc/29/93/24/1047299324.db2.gz ANWKKCKCBHINMC-UHFFFAOYSA-N 0 3 315.388 4.297 20 0 DIADHN CC(C)N(Cc1ccccc1)Cc1ccc([N+](=O)[O-])c(F)c1 ZINC000390796255 1047299607 /nfs/dbraw/zinc/29/96/07/1047299607.db2.gz RRHNMALYSPFXMR-UHFFFAOYSA-N 0 3 302.349 4.145 20 0 DIADHN C[C@@H](NC[C@H]1Cc2ccccc21)c1ncc(-c2ccccc2)n1C ZINC000651218713 1047302271 /nfs/dbraw/zinc/30/22/71/1047302271.db2.gz HGBZTDRTSGDBPB-CRAIPNDOSA-N 0 3 317.436 4.078 20 0 DIADHN COCc1ccccc1/C=C(\C)CN[C@@H](C)c1cncs1 ZINC000897929910 1047303065 /nfs/dbraw/zinc/30/30/65/1047303065.db2.gz DLIYHWKNZJXQRA-CZAWJFPGSA-N 0 3 302.443 4.044 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CC[C@H](C)c2ccsc21 ZINC000651241574 1047304122 /nfs/dbraw/zinc/30/41/22/1047304122.db2.gz OFQTXRGRQYTWKX-JQFCIGGWSA-N 0 3 301.455 4.318 20 0 DIADHN Cc1ccccc1[C@H](CO)N[C@H]1CC[C@@H](C)c2ccsc21 ZINC000651241573 1047304767 /nfs/dbraw/zinc/30/47/67/1047304767.db2.gz OFQTXRGRQYTWKX-COXVUDFISA-N 0 3 301.455 4.318 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)c2ccc3[nH]c(=O)oc3c2)cc1F ZINC000651377120 1047311569 /nfs/dbraw/zinc/31/15/69/1047311569.db2.gz JSNHBEHQPYQZHL-NEPJUHHUSA-N 0 3 314.360 4.393 20 0 DIADHN CC[C@@H](NCc1n[nH]c(C(C)C)n1)c1cccc2ccccc21 ZINC000651389720 1047312651 /nfs/dbraw/zinc/31/26/51/1047312651.db2.gz RYGFXHXLWOUUBJ-QGZVFWFLSA-N 0 3 308.429 4.322 20 0 DIADHN FC1(F)CC[C@@H](CCNCc2coc(-c3ccccc3)n2)C1 ZINC000898020154 1047313610 /nfs/dbraw/zinc/31/36/10/1047313610.db2.gz ZRCHLOIEQUNHDW-ZDUSSCGKSA-N 0 3 306.356 4.257 20 0 DIADHN FC1(F)CC[C@H](CCNCc2coc(-c3ccccc3)n2)C1 ZINC000898020153 1047313847 /nfs/dbraw/zinc/31/38/47/1047313847.db2.gz ZRCHLOIEQUNHDW-CYBMUJFWSA-N 0 3 306.356 4.257 20 0 DIADHN Cc1cc(N[C@H](C)c2ccc(Oc3ccccc3)cc2)nc(N)n1 ZINC000725789974 1047314038 /nfs/dbraw/zinc/31/40/38/1047314038.db2.gz AKXUYPXHWOWHQK-CQSZACIVSA-N 0 3 320.396 4.333 20 0 DIADHN CCOc1ccc(C[C@@H](C)CN[C@@H](C)c2nccs2)cc1 ZINC000651489839 1047317400 /nfs/dbraw/zinc/31/74/00/1047317400.db2.gz MGMTUAWWMPISKQ-KGLIPLIRSA-N 0 3 304.459 4.071 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1cc2cnccc2o1 ZINC000651631356 1047320266 /nfs/dbraw/zinc/32/02/66/1047320266.db2.gz KMCYKIQPDHWDRI-KRWDZBQOSA-N 0 3 308.381 4.174 20 0 DIADHN CC(C)(C)c1csc(CNC2CCC3(CCOCC3)CC2)n1 ZINC001118991568 1047321007 /nfs/dbraw/zinc/32/10/07/1047321007.db2.gz ZLFDQJJGDIEQDP-UHFFFAOYSA-N 0 3 322.518 4.270 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2cnn(CC3CCC3)c2)s1 ZINC000898176745 1047323014 /nfs/dbraw/zinc/32/30/14/1047323014.db2.gz CFFMOQNYUAXYHN-ZDUSSCGKSA-N 0 3 303.475 4.158 20 0 DIADHN C[C@@H](N[C@H]1CCCc2cn[nH]c21)c1ccc(-c2ccccc2)cn1 ZINC000651738204 1047324498 /nfs/dbraw/zinc/32/44/98/1047324498.db2.gz FBUZSONREKSDBU-KUHUBIRLSA-N 0 3 318.424 4.200 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H](C)c3cnn(CC4CCC4)c3)cc21 ZINC000898182713 1047326826 /nfs/dbraw/zinc/32/68/26/1047326826.db2.gz VHOXQGBSMKZXCW-OAHLLOKOSA-N 0 3 322.456 4.324 20 0 DIADHN C[C@@H](NCc1cccn1C1CC1)c1ccc(NC(=O)C2CC2)cc1 ZINC001119340307 1047328479 /nfs/dbraw/zinc/32/84/79/1047328479.db2.gz WRJYIRWNIOAMRH-CQSZACIVSA-N 0 3 323.440 4.022 20 0 DIADHN Cc1onc(-c2ccccc2)c1CN1CCC[C@H]1c1cccnc1 ZINC001141979279 1047329411 /nfs/dbraw/zinc/32/94/11/1047329411.db2.gz QCQZUIOVVWYEER-IBGZPJMESA-N 0 3 319.408 4.382 20 0 DIADHN Cc1ccc(-c2n[nH]cc2CN2CCC3(CC3(F)F)CC2)cc1 ZINC000651953484 1047334213 /nfs/dbraw/zinc/33/42/13/1047334213.db2.gz IYGIYKGUIFRLHK-UHFFFAOYSA-N 0 3 317.383 4.006 20 0 DIADHN COc1cc([C@@H](C)N[C@H](c2ccncc2)C2CC2)ccc1F ZINC000898321809 1047335242 /nfs/dbraw/zinc/33/52/42/1047335242.db2.gz VWRYYSHQMVINPE-XIKOKIGWSA-N 0 3 300.377 4.031 20 0 DIADHN C[C@@H]1CCN(Cc2sccc2Oc2ccccc2)CC[C@@H]1O ZINC001141994483 1047335277 /nfs/dbraw/zinc/33/52/77/1047335277.db2.gz NESFVOCHSJCIRR-ZBFHGGJFSA-N 0 3 317.454 4.133 20 0 DIADHN C[C@]1(O)CCCN(Cc2sccc2Oc2ccccc2)CC1 ZINC001141994470 1047336079 /nfs/dbraw/zinc/33/60/79/1047336079.db2.gz MSJMZGICRASMNT-SFHVURJKSA-N 0 3 317.454 4.277 20 0 DIADHN CCOc1c(Cl)cccc1CN1CCC2(CC2(F)F)CC1 ZINC000651953140 1047336337 /nfs/dbraw/zinc/33/63/37/1047336337.db2.gz SLUXNHIVJOUPRA-UHFFFAOYSA-N 0 3 315.791 4.360 20 0 DIADHN COc1cccc2cc(CN[C@@H](c3ccncc3)C3CC3)oc21 ZINC000898326991 1047336509 /nfs/dbraw/zinc/33/65/09/1047336509.db2.gz YTFMTAHZKCTLAX-GOSISDBHSA-N 0 3 308.381 4.077 20 0 DIADHN c1cc(Oc2ccccc2)c(CN2CC[C@@H]3OCC[C@@H]3C2)s1 ZINC001142001596 1047338652 /nfs/dbraw/zinc/33/86/52/1047338652.db2.gz WMMFVCXRQZZIAL-ZBFHGGJFSA-N 0 3 315.438 4.151 20 0 DIADHN C[C@@H](c1cccs1)N(C)C(=O)Nc1cccc(CN(C)C)c1 ZINC000044277321 1047343117 /nfs/dbraw/zinc/34/31/17/1047343117.db2.gz OOQCTIHSGYYQBK-ZDUSSCGKSA-N 0 3 317.458 4.035 20 0 DIADHN COc1ccc([C@H](N[C@H]2CCC[C@@H](C)C2)c2ccccn2)cc1 ZINC000652144125 1047344815 /nfs/dbraw/zinc/34/48/15/1047344815.db2.gz WIHCUHSPZGMCAN-SYNHAJSKSA-N 0 3 310.441 4.348 20 0 DIADHN COc1ccc([C@H](NC[C@H]2CC[C@H](C)C2)c2ccccn2)cc1 ZINC000652195131 1047346351 /nfs/dbraw/zinc/34/63/51/1047346351.db2.gz LEXGOLAKOVSRMT-FTRWYGJKSA-N 0 3 310.441 4.205 20 0 DIADHN COc1ccc([C@@H](NC[C@@H](C)CC(F)F)c2ccccn2)cc1 ZINC000652212197 1047347963 /nfs/dbraw/zinc/34/79/63/1047347963.db2.gz ZIECNJCBZOBBBG-SCLBCKFNSA-N 0 3 320.383 4.061 20 0 DIADHN CC[C@H](NCCO[C@@H](CC)c1ccccc1)c1ccc(F)cn1 ZINC000652236524 1047348556 /nfs/dbraw/zinc/34/85/56/1047348556.db2.gz QEWHNTDSXXCIMU-HKUYNNGSSA-N 0 3 316.420 4.429 20 0 DIADHN CSc1ccc(CN2CCC3(CC(F)(F)C3)CC2)s1 ZINC001142020824 1047349389 /nfs/dbraw/zinc/34/93/89/1047349389.db2.gz SDBMPPLGYUPAPF-UHFFFAOYSA-N 0 3 303.443 4.481 20 0 DIADHN CCc1noc(C)c1[C@@H](C)N[C@@H]1C[C@H](OC(C)(C)C)C1(C)C ZINC000840893085 1047356754 /nfs/dbraw/zinc/35/67/54/1047356754.db2.gz DEPNOVMMVZIESI-DFBGVHRSSA-N 0 3 308.466 4.178 20 0 DIADHN CC(C)CCOCCN[C@H](CC(F)(F)F)c1ccccc1 ZINC000045094328 1047359054 /nfs/dbraw/zinc/35/90/54/1047359054.db2.gz AVPRQGJIEPNDTH-OAHLLOKOSA-N 0 3 303.368 4.332 20 0 DIADHN CCC[C@@H](C)N1Cc2ccc(NC(=S)N[C@H](C)CC)cc2C1 ZINC000726495082 1047361713 /nfs/dbraw/zinc/36/17/13/1047361713.db2.gz NSSLRLYWYMSNIU-ZIAGYGMSSA-N 0 3 319.518 4.276 20 0 DIADHN Cc1occc1CN1CCC([C@H](O)c2ccc(Cl)cc2)CC1 ZINC000840918065 1047361796 /nfs/dbraw/zinc/36/17/96/1047361796.db2.gz PTTYPZXWKBEPFV-GOSISDBHSA-N 0 3 319.832 4.187 20 0 DIADHN COc1c(C)cc(CNCc2cnccc2C(C)(C)C)cc1C ZINC000840959658 1047362594 /nfs/dbraw/zinc/36/25/94/1047362594.db2.gz SPFHIFFWCVPNRB-UHFFFAOYSA-N 0 3 312.457 4.294 20 0 DIADHN CCC[C@@H](C)N1Cc2ccc(NC(=S)NCC(C)C)cc2C1 ZINC000726494713 1047364307 /nfs/dbraw/zinc/36/43/07/1047364307.db2.gz MADSGJFZUIOOMO-CQSZACIVSA-N 0 3 319.518 4.133 20 0 DIADHN C[C@@H](NCc1cc(Br)ccc1Cl)[C@H]1CC1(F)F ZINC000840969174 1047365973 /nfs/dbraw/zinc/36/59/73/1047365973.db2.gz YVKCGJYEQVVBCL-GMSGAONNSA-N 0 3 324.596 4.236 20 0 DIADHN CC(C)Oc1ccc(CN2CC=C(c3ccc(O)cc3)CC2)cc1 ZINC000726596288 1047366537 /nfs/dbraw/zinc/36/65/37/1047366537.db2.gz QGADYJQATDPJBG-UHFFFAOYSA-N 0 3 323.436 4.469 20 0 DIADHN O[C@@H](CN1CCC2(CCCCC2)CC1)c1ccc(F)cc1F ZINC000726598810 1047369814 /nfs/dbraw/zinc/36/98/14/1047369814.db2.gz YGKSIWBWEKWBQX-KRWDZBQOSA-N 0 3 309.400 4.045 20 0 DIADHN CCCN1CCC[C@H]1C(=O)Nc1ccc(SC(C)(C)C)cc1 ZINC000726777283 1047381042 /nfs/dbraw/zinc/38/10/42/1047381042.db2.gz JHDRSJWPVHSBLX-INIZCTEOSA-N 0 3 320.502 4.390 20 0 DIADHN Oc1cccc2c1CCC[C@@H]2NCc1cccc(OC(F)F)c1 ZINC000191665716 1047384408 /nfs/dbraw/zinc/38/44/08/1047384408.db2.gz ZTTAZXHVSGFHRI-INIZCTEOSA-N 0 3 319.351 4.161 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CCC(Cc2ccccc2)CC1 ZINC001142209661 1047399318 /nfs/dbraw/zinc/39/93/18/1047399318.db2.gz RPMSJYXHGUTPPS-UHFFFAOYSA-N 0 3 311.473 4.162 20 0 DIADHN Cc1n[nH]c(C)c1[C@H]1CCCN1CCCc1c(F)cccc1F ZINC000653593700 1047404629 /nfs/dbraw/zinc/40/46/29/1047404629.db2.gz LJCWFWYNULYRCM-QGZVFWFLSA-N 0 3 319.399 4.074 20 0 DIADHN CCCCC1CCC(CNC(=O)[C@@H](C)N2CCCCCC2)CC1 ZINC001142228892 1047408932 /nfs/dbraw/zinc/40/89/32/1047408932.db2.gz DIVYNAUVIFZKHE-LMDPOFIKSA-N 0 3 322.537 4.364 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CC[C@@H](c2ccccc2Cl)C1 ZINC001142230636 1047409555 /nfs/dbraw/zinc/40/95/55/1047409555.db2.gz MKRYIVHDMNAIQM-CYBMUJFWSA-N 0 3 317.864 4.350 20 0 DIADHN CC(C)(C)c1nc[nH]c1CN1CC[C@H](c2ccccc2Cl)C1 ZINC001142230637 1047409822 /nfs/dbraw/zinc/40/98/22/1047409822.db2.gz MKRYIVHDMNAIQM-ZDUSSCGKSA-N 0 3 317.864 4.350 20 0 DIADHN C[C@@H](c1ccccc1)N(CCO)Cc1ccc(F)c(Cl)c1 ZINC001168310189 1047411655 /nfs/dbraw/zinc/41/16/55/1047411655.db2.gz RZFHLEURVSQWLX-ZDUSSCGKSA-N 0 3 307.796 4.035 20 0 DIADHN Cc1cccc(NC2CCN(C[C@H]3CC3(Cl)Cl)CC2)c1 ZINC000749347029 1047412615 /nfs/dbraw/zinc/41/26/15/1047412615.db2.gz VJFZCYVUFITXSF-CYBMUJFWSA-N 0 3 313.272 4.065 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CC[C@]2(C)[C@H](C1)C2(F)F ZINC000653693807 1047416358 /nfs/dbraw/zinc/41/63/58/1047416358.db2.gz PRKGAXZLGTWTJH-DLBZAZTESA-N 0 3 309.400 4.296 20 0 DIADHN CC(C)OC1CCC(NCc2c(F)ccc(F)c2Cl)CC1 ZINC000623470896 1047423062 /nfs/dbraw/zinc/42/30/62/1047423062.db2.gz HCVHTHMNWVNBGL-UHFFFAOYSA-N 0 3 317.807 4.444 20 0 DIADHN CCc1csc(CC2CCN([C@@H](C)c3ccccn3)CC2)n1 ZINC000653802624 1047423953 /nfs/dbraw/zinc/42/39/53/1047423953.db2.gz NMNLHOGYLOIJMY-AWEZNQCLSA-N 0 3 315.486 4.116 20 0 DIADHN C[C@@H](NCc1nc2cc(F)ccc2o1)C1CCC(F)(F)CC1 ZINC000653870111 1047428691 /nfs/dbraw/zinc/42/86/91/1047428691.db2.gz KPZWOVOHRVLWNG-SNVBAGLBSA-N 0 3 312.335 4.271 20 0 DIADHN Cc1ccc(-c2ccc(CN3C[C@H]4CC[C@@H](C3)C4=O)cc2)cc1 ZINC001142309324 1047428881 /nfs/dbraw/zinc/42/88/81/1047428881.db2.gz ANEWQJGCYKADFS-BGYRXZFFSA-N 0 3 305.421 4.073 20 0 DIADHN CCCc1ccc(CN(C)CCC(=O)c2cccs2)cc1 ZINC001142367425 1047435337 /nfs/dbraw/zinc/43/53/37/1047435337.db2.gz OBLVOGXQDLWQBP-UHFFFAOYSA-N 0 3 301.455 4.405 20 0 DIADHN CCCc1ccc(CN2CCC(Oc3ccccn3)CC2)cc1 ZINC001142364013 1047436199 /nfs/dbraw/zinc/43/61/99/1047436199.db2.gz AFIWYBWBXRARCA-UHFFFAOYSA-N 0 3 310.441 4.078 20 0 DIADHN CC(C)C(=O)N1CCCN([C@@H](C)c2ccc3ccccc3c2)CC1 ZINC000118830206 1047436549 /nfs/dbraw/zinc/43/65/49/1047436549.db2.gz TUIUIOYWIUCMCJ-KRWDZBQOSA-N 0 3 324.468 4.091 20 0 DIADHN c1cc(CNCc2cccc(COCc3ccccc3)c2)c[nH]1 ZINC000086250904 1047439503 /nfs/dbraw/zinc/43/95/03/1047439503.db2.gz CYIFINMPNSTMDI-UHFFFAOYSA-N 0 3 306.409 4.021 20 0 DIADHN Cc1nc2c(s1)CN(Cc1c(C)ccc3ccccc31)CC2 ZINC001142383277 1047440070 /nfs/dbraw/zinc/44/00/70/1047440070.db2.gz BLQLUCKAQCMKOV-UHFFFAOYSA-N 0 3 308.450 4.471 20 0 DIADHN CCc1nc2c(s1)[C@H](NCc1ccc(C)c(F)c1)CCC2 ZINC000119088166 1047441966 /nfs/dbraw/zinc/44/19/66/1047441966.db2.gz UBYDJJCLSQUEGD-CQSZACIVSA-N 0 3 304.434 4.320 20 0 DIADHN CCN(CC)[C@H](CN[C@@H](C)c1ccccc1OC)c1ccco1 ZINC000119083527 1047442592 /nfs/dbraw/zinc/44/25/92/1047442592.db2.gz PXGIVKWVVZUSSU-DOTOQJQBSA-N 0 3 316.445 4.022 20 0 DIADHN CCc1nc2c(s1)[C@@H](NCc1ccc(F)c(C)c1)CCC2 ZINC000119406146 1047455745 /nfs/dbraw/zinc/45/57/45/1047455745.db2.gz HJLIAQKXEZJZLE-AWEZNQCLSA-N 0 3 304.434 4.320 20 0 DIADHN CCOc1cccc(CN2CCC(Nc3cccc(C)c3)CC2)c1 ZINC000537616851 1047455842 /nfs/dbraw/zinc/45/58/42/1047455842.db2.gz XFSBGYVJRANDKH-UHFFFAOYSA-N 0 3 324.468 4.470 20 0 DIADHN Cn1cc2c(cccc2CN2CCC[C@@H]2c2ccccc2F)n1 ZINC001142460757 1047458081 /nfs/dbraw/zinc/45/80/81/1047458081.db2.gz VQQRJPXAIGXYJC-LJQANCHMSA-N 0 3 309.388 4.050 20 0 DIADHN C[C@H](c1ccco1)N(Cc1ccc(-n2cccn2)cc1)C1CC1 ZINC000088549420 1047463644 /nfs/dbraw/zinc/46/36/44/1047463644.db2.gz MXHLIHAGOQRJLE-OAHLLOKOSA-N 0 3 307.397 4.191 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccccc1OC(F)F ZINC000119777439 1047470862 /nfs/dbraw/zinc/47/08/62/1047470862.db2.gz DDLLISROSJXRFN-PXAZEXFGSA-N 0 3 303.352 4.311 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2ccnc3ccccc23)n1 ZINC000537623915 1047475492 /nfs/dbraw/zinc/47/54/92/1047475492.db2.gz DLGNFSQFCBSCPK-FQEVSTJZSA-N 0 3 303.409 4.275 20 0 DIADHN CCC[C@H](C(=O)c1ccccc1)N(C)Cc1cccc2nc[nH]c21 ZINC001142695849 1047483580 /nfs/dbraw/zinc/48/35/80/1047483580.db2.gz BXKPZFGJYBHTEU-GOSISDBHSA-N 0 3 321.424 4.046 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCc2ccccc2OCCO)cc1 ZINC000162811690 1047485832 /nfs/dbraw/zinc/48/58/32/1047485832.db2.gz BHVXLAFEUYGHCK-MRXNPFEDSA-N 0 3 313.441 4.032 20 0 DIADHN CC(C)c1ccc([C@H](C)NCc2ccccc2OCCO)cc1 ZINC000162811810 1047486570 /nfs/dbraw/zinc/48/65/70/1047486570.db2.gz BHVXLAFEUYGHCK-INIZCTEOSA-N 0 3 313.441 4.032 20 0 DIADHN CC(C)(C)n1cc(CN2CC[C@H](c3ccc(Cl)cc3)C2)cn1 ZINC000537628896 1047495364 /nfs/dbraw/zinc/49/53/64/1047495364.db2.gz JWVOKVDKSWLGLO-INIZCTEOSA-N 0 3 317.864 4.281 20 0 DIADHN CCC(C)(C)[C@H]1CCCN1Cc1nnc(-c2cc(C)oc2C)o1 ZINC000089933834 1047495444 /nfs/dbraw/zinc/49/54/44/1047495444.db2.gz QDONFPRTEWATDV-OAHLLOKOSA-N 0 3 317.433 4.347 20 0 DIADHN Cc1ccc([C@H](C)NCc2cnn(-c3ccccc3C)c2)s1 ZINC000842674574 1047495838 /nfs/dbraw/zinc/49/58/38/1047495838.db2.gz UYXPDXAKDQWCPO-HNNXBMFYSA-N 0 3 311.454 4.401 20 0 DIADHN COc1ccc2cc([C@@H](C)NCc3ccc(OC)nc3)ccc2c1 ZINC000120644164 1047496798 /nfs/dbraw/zinc/49/67/98/1047496798.db2.gz NSMGXVSKPYTGSF-CQSZACIVSA-N 0 3 322.408 4.103 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2ccc(OC)cc2Cl)CC1 ZINC000537631460 1047499283 /nfs/dbraw/zinc/49/92/83/1047499283.db2.gz MVJMZWFKADDZKM-OAHLLOKOSA-N 0 3 311.853 4.130 20 0 DIADHN F[C@H]1CCN(Cc2cccc(-c3ccccn3)c2)CCC1(F)F ZINC001142828879 1047500451 /nfs/dbraw/zinc/50/04/51/1047500451.db2.gz LJWUGPMDWHPTEF-KRWDZBQOSA-N 0 3 320.358 4.318 20 0 DIADHN CCCC[C@H](CC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000058637781 1047501693 /nfs/dbraw/zinc/50/16/93/1047501693.db2.gz PWJDPYRGQNKTHY-HOTGVXAUSA-N 0 3 322.518 4.218 20 0 DIADHN CCN(Cc1cccnc1)Cc1cccc(-c2ccccn2)c1 ZINC001142844641 1047503283 /nfs/dbraw/zinc/50/32/83/1047503283.db2.gz ZEXPZQGYRHXILW-UHFFFAOYSA-N 0 3 303.409 4.166 20 0 DIADHN CCC[C@H](NCc1ccccc1-n1ccc(C)n1)c1ccccn1 ZINC000090518836 1047505323 /nfs/dbraw/zinc/50/53/23/1047505323.db2.gz ROMJJHDXCKKUDH-SFHVURJKSA-N 0 3 320.440 4.207 20 0 DIADHN COc1ccccc1CN1CCC[C@H](Oc2ccc(C)cc2)C1 ZINC001203431832 1047506944 /nfs/dbraw/zinc/50/69/44/1047506944.db2.gz AIVCCAKGGQTTBV-IBGZPJMESA-N 0 3 311.425 4.047 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)Cc1ccnc2[nH]ccc21 ZINC001142881610 1047507738 /nfs/dbraw/zinc/50/77/38/1047507738.db2.gz WQLWWCBLIAVBBU-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccnc2[nH]ccc21 ZINC001142879200 1047508007 /nfs/dbraw/zinc/50/80/07/1047508007.db2.gz MMDZKZOBMMAAJN-UHFFFAOYSA-N 0 3 319.330 4.214 20 0 DIADHN CCn1nc(C)c(CN2C[C@@H](C)C[C@H]2c2ccccc2F)c1C ZINC000537633486 1047508076 /nfs/dbraw/zinc/50/80/76/1047508076.db2.gz OYPFHPAQOOMZLA-DJJJIMSYSA-N 0 3 315.436 4.242 20 0 DIADHN CC(C)Oc1cccc(CN2CCC(OC3CCCC3)CC2)c1 ZINC000121134880 1047508418 /nfs/dbraw/zinc/50/84/18/1047508418.db2.gz IZBRLORXKXNMHC-UHFFFAOYSA-N 0 3 317.473 4.397 20 0 DIADHN C[C@H]1CC(=O)CCN1C1CCC(c2ccc(Cl)cc2)CC1 ZINC001168341242 1047508638 /nfs/dbraw/zinc/50/86/38/1047508638.db2.gz ISVGGXFMXZYVDK-KTAKPFMOSA-N 0 3 305.849 4.420 20 0 DIADHN CC(C)P(CCNC(=O)CCCc1ccccc1)C(C)C ZINC001142897501 1047511304 /nfs/dbraw/zinc/51/13/04/1047511304.db2.gz RYPNEZSKOJXQTB-UHFFFAOYSA-N 0 3 307.418 4.424 20 0 DIADHN C[C@@H](NCC1CCC(F)CC1)c1nc(Br)cs1 ZINC000842929085 1047513993 /nfs/dbraw/zinc/51/39/93/1047513993.db2.gz MUDSFYAWTNLOKG-XNWIYYODSA-N 0 3 321.259 4.085 20 0 DIADHN CC(C)c1nc([C@@H](C)NCCc2ccc3c(c2)CCO3)cs1 ZINC000121241431 1047515082 /nfs/dbraw/zinc/51/50/82/1047515082.db2.gz OSKVPUJITJHVGF-CYBMUJFWSA-N 0 3 316.470 4.095 20 0 DIADHN Fc1ccc2c(c1)CC[C@H](NCc1cc(-c3ccccc3)on1)C2 ZINC001168407541 1047515796 /nfs/dbraw/zinc/51/57/96/1047515796.db2.gz QFWHUIVFCCWVPV-SFHVURJKSA-N 0 3 322.383 4.128 20 0 DIADHN CC(C)c1nc([C@H](C)NCCc2ccc3c(c2)CCO3)cs1 ZINC000121241601 1047516169 /nfs/dbraw/zinc/51/61/69/1047516169.db2.gz OSKVPUJITJHVGF-ZDUSSCGKSA-N 0 3 316.470 4.095 20 0 DIADHN Brc1ccc2c(c1)CC[C@H]2NCc1ccsc1 ZINC000059639529 1047522241 /nfs/dbraw/zinc/52/22/41/1047522241.db2.gz BACXMWOHEVZUKG-CQSZACIVSA-N 0 3 308.244 4.288 20 0 DIADHN CC(C)CC[C@@H](CO)NCc1ccc(F)c(Cl)c1Cl ZINC001203127856 1047523127 /nfs/dbraw/zinc/52/31/27/1047523127.db2.gz QBBAHXYCLLFUPW-NSHDSACASA-N 0 3 308.224 4.019 20 0 DIADHN CC[C@@H]1c2ccsc2CCN1Cc1ccc(NC(C)=O)cc1 ZINC000091897653 1047524263 /nfs/dbraw/zinc/52/42/63/1047524263.db2.gz WZSUKASIWMPEQF-QGZVFWFLSA-N 0 3 314.454 4.216 20 0 DIADHN COc1ccc(CN(C2CC2)[C@@H]2CCc3ccccc32)cc1O ZINC000092221634 1047530755 /nfs/dbraw/zinc/53/07/55/1047530755.db2.gz XBENZABIBOVUMS-GOSISDBHSA-N 0 3 309.409 4.053 20 0 DIADHN CC[C@H](NCc1cc2ccccc2[nH]c1=O)c1ccc(C)cc1 ZINC000121981709 1047533804 /nfs/dbraw/zinc/53/38/04/1047533804.db2.gz HGZWKMLRPVHAKR-SFHVURJKSA-N 0 3 306.409 4.077 20 0 DIADHN CC(C)(C)OC1CCN(Cc2ccc3c(c2)CC(C)(C)O3)CC1 ZINC000092454168 1047534427 /nfs/dbraw/zinc/53/44/27/1047534427.db2.gz RWGDLPZUYFOJNV-UHFFFAOYSA-N 0 3 317.473 4.180 20 0 DIADHN COc1cc(C)cc(OC)c1CN(C)CCCc1ccccc1 ZINC001143141767 1047534594 /nfs/dbraw/zinc/53/45/94/1047534594.db2.gz DEIFIXYTEYHDII-UHFFFAOYSA-N 0 3 313.441 4.077 20 0 DIADHN COc1cc(C)cc(CN2CCC(Oc3ccccc3)CC2)c1 ZINC001143150632 1047534988 /nfs/dbraw/zinc/53/49/88/1047534988.db2.gz SGSLGXSGWPJSET-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN COc1cc(C)cc(CN2CCC[C@H]2c2ccccc2OC)c1 ZINC001143156086 1047536362 /nfs/dbraw/zinc/53/63/62/1047536362.db2.gz CWIZEFVPGUHPDY-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN c1sc(CN(Cc2ccccc2)C2CCC2)c2c1OCCO2 ZINC001143193188 1047540340 /nfs/dbraw/zinc/54/03/40/1047540340.db2.gz CGUXYLYGIJMIJH-UHFFFAOYSA-N 0 3 315.438 4.074 20 0 DIADHN Oc1ccc(CNCc2cccc(COc3ccccc3)c2)cc1 ZINC000237971678 1047541189 /nfs/dbraw/zinc/54/11/89/1047541189.db2.gz QQJQLAATWGJILL-UHFFFAOYSA-N 0 3 319.404 4.261 20 0 DIADHN Cc1ccc(CN(C)[C@H](C)c2cccc3ccccc32)c(N)n1 ZINC001143207834 1047541301 /nfs/dbraw/zinc/54/13/01/1047541301.db2.gz IHQOMIBWSFVKLS-OAHLLOKOSA-N 0 3 305.425 4.318 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2ccc(C)s2)cc1O ZINC000093102718 1047542897 /nfs/dbraw/zinc/54/28/97/1047542897.db2.gz GFJWDPFAOROQFA-CQSZACIVSA-N 0 3 303.427 4.108 20 0 DIADHN FC(F)c1ccc(CN2CCC(Oc3cccnc3)CC2)cc1 ZINC001143251436 1047544353 /nfs/dbraw/zinc/54/43/53/1047544353.db2.gz SBGBEJVFQIHSBH-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN CCCO[C@H]1CCCN(Cc2cnc(C(C)(C)C)s2)CC1 ZINC000093317475 1047544751 /nfs/dbraw/zinc/54/47/51/1047544751.db2.gz PFMXTSFXPJDJBV-AWEZNQCLSA-N 0 3 310.507 4.222 20 0 DIADHN C[C@@H]1CN(Cc2cc(F)cc(OC(F)(F)F)c2)C2(CC2)C1 ZINC001143263348 1047545830 /nfs/dbraw/zinc/54/58/30/1047545830.db2.gz WMQHYTKWTBWOPZ-JTQLQIEISA-N 0 3 303.299 4.099 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cnc(-c2ccc(C)o2)s1 ZINC000093558959 1047547435 /nfs/dbraw/zinc/54/74/35/1047547435.db2.gz NXFQEHRXDXOAIS-NSHDSACASA-N 0 3 310.488 4.285 20 0 DIADHN Cc1cccc([C@@H]2CCCN2CC2=Cc3ccccc3OC2)n1 ZINC000093453413 1047547826 /nfs/dbraw/zinc/54/78/26/1047547826.db2.gz JELBVISVSAAKLJ-IBGZPJMESA-N 0 3 306.409 4.003 20 0 DIADHN CN(Cc1cc2cc(F)ccc2o1)[C@@H](CCO)c1ccccc1 ZINC000093442345 1047548248 /nfs/dbraw/zinc/54/82/48/1047548248.db2.gz PSWNTHYTUQQNBO-SFHVURJKSA-N 0 3 313.372 4.127 20 0 DIADHN CN(Cc1cc2cc(F)ccc2o1)[C@H](CCO)c1ccccc1 ZINC000093442346 1047548335 /nfs/dbraw/zinc/54/83/35/1047548335.db2.gz PSWNTHYTUQQNBO-GOSISDBHSA-N 0 3 313.372 4.127 20 0 DIADHN Cc1ccccc1C1(NCc2ccc3c(c2)COC(C)(C)O3)CC1 ZINC000843418690 1047548873 /nfs/dbraw/zinc/54/88/73/1047548873.db2.gz JBWXHEYAZXOJNF-UHFFFAOYSA-N 0 3 323.436 4.419 20 0 DIADHN CC(C)c1ncc(CN(C[C@@H]2CCCO2)C2CCCC2)s1 ZINC000093665761 1047549026 /nfs/dbraw/zinc/54/90/26/1047549026.db2.gz JQNWBBLURGUTGA-HNNXBMFYSA-N 0 3 308.491 4.190 20 0 DIADHN CC(C)N(CCc1nccs1)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000093764239 1047550987 /nfs/dbraw/zinc/55/09/87/1047550987.db2.gz NDRSXFPOXPFJDE-HIFRSBDPSA-N 0 3 304.459 4.313 20 0 DIADHN CCN(Cc1ccn(-c2cccc(F)c2)n1)C1CCCCC1 ZINC000064556443 1047554331 /nfs/dbraw/zinc/55/43/31/1047554331.db2.gz QNLBMXKBVSGUOM-UHFFFAOYSA-N 0 3 301.409 4.166 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccsc1)c1ccc(-n2cccn2)c(F)c1 ZINC000550860820 1047559412 /nfs/dbraw/zinc/55/94/12/1047559412.db2.gz OWQHOCHIHRWCPF-OLZOCXBDSA-N 0 3 315.417 4.485 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2ccccc2N(C)C)cc1 ZINC000537654639 1047569250 /nfs/dbraw/zinc/56/92/50/1047569250.db2.gz DROFMVIVVIFCBN-MRXNPFEDSA-N 0 3 312.457 4.392 20 0 DIADHN Cc1cc(F)ccc1CN1CCC(OCC2CCCC2)CC1 ZINC000537659012 1047570618 /nfs/dbraw/zinc/57/06/18/1047570618.db2.gz PKEWSHHDKBMSHC-UHFFFAOYSA-N 0 3 305.437 4.305 20 0 DIADHN CC[C@H](C)CN(CC)Cc1c(C(C)C)nc2sc(C)nn12 ZINC000537662072 1047572077 /nfs/dbraw/zinc/57/20/77/1047572077.db2.gz YZXCRSHHTARGGK-LBPRGKRZSA-N 0 3 308.495 4.091 20 0 DIADHN Cc1n[nH]cc1CN1CCc2ccccc2[C@H]1Cc1ccccc1 ZINC000537690691 1047575419 /nfs/dbraw/zinc/57/54/19/1047575419.db2.gz GWHPYNPLVFRGER-OAQYLSRUSA-N 0 3 317.436 4.060 20 0 DIADHN Cc1n[nH]cc1CN1CCc2ccccc2[C@@H]1Cc1ccccc1 ZINC000537690690 1047575992 /nfs/dbraw/zinc/57/59/92/1047575992.db2.gz GWHPYNPLVFRGER-NRFANRHFSA-N 0 3 317.436 4.060 20 0 DIADHN CCN(CC)CC(=O)N[C@H](c1cccc(Cl)c1)C1CCCC1 ZINC001151424160 1047595551 /nfs/dbraw/zinc/59/55/51/1047595551.db2.gz SPUMNGHXTPQWHK-SFHVURJKSA-N 0 3 322.880 4.029 20 0 DIADHN C[C@@H]1[C@H](c2ccccc2)CCN1Cc1ccn(C2CCCC2)n1 ZINC000477262587 1047608852 /nfs/dbraw/zinc/60/88/52/1047608852.db2.gz DKIMTDOCEJEXBW-OXQOHEQNSA-N 0 3 309.457 4.376 20 0 DIADHN CCc1nc(C)c([C@@H](C)NCc2ccc3c(c2)C[C@H](C)O3)s1 ZINC000926922527 1047609105 /nfs/dbraw/zinc/60/91/05/1047609105.db2.gz IQNWYIQEQUKRSU-NWDGAFQWSA-N 0 3 316.470 4.188 20 0 DIADHN Fc1cccc(-c2nc(CN3CC[C@H](c4ccccc4)C3)co2)c1 ZINC000477292419 1047611480 /nfs/dbraw/zinc/61/14/80/1047611480.db2.gz SFKOCEPFJMESGM-KRWDZBQOSA-N 0 3 322.383 4.470 20 0 DIADHN Clc1ccc(-c2noc(CN3CCC[C@@H]3C3CCC3)n2)cc1 ZINC000477615952 1047628360 /nfs/dbraw/zinc/62/83/60/1047628360.db2.gz MLNAJBOLOABNKR-OAHLLOKOSA-N 0 3 317.820 4.155 20 0 DIADHN CC(C)c1cccc(NC(=O)CN2CCC[C@@H]2C2CCC2)c1 ZINC000477651278 1047629042 /nfs/dbraw/zinc/62/90/42/1047629042.db2.gz ZMJBAEZZZCUEIV-GOSISDBHSA-N 0 3 300.446 4.013 20 0 DIADHN COc1cc([C@@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)ccn1 ZINC000565962961 1047634943 /nfs/dbraw/zinc/63/49/43/1047634943.db2.gz ZHRGURXRXLYQSV-YJYMSZOUSA-N 0 3 314.404 4.421 20 0 DIADHN Cc1nc(CN2CC[C@@H](c3ccccc3)[C@@H](C)C2)sc1C ZINC000477764821 1047636953 /nfs/dbraw/zinc/63/69/53/1047636953.db2.gz HJDCVCKGUDQWIR-SUMWQHHRSA-N 0 3 300.471 4.386 20 0 DIADHN Cc1cccc(C)c1NC(=O)CN(C)C[C@@H]1CCC[C@@H](C)C1 ZINC000537811470 1047638577 /nfs/dbraw/zinc/63/85/77/1047638577.db2.gz WOGYHMRZHGSLEW-RHSMWYFYSA-N 0 3 302.462 4.000 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1coc(-c2c(F)cccc2F)n1 ZINC000537856712 1047642248 /nfs/dbraw/zinc/64/22/48/1047642248.db2.gz IFDAGVJOXISZOX-DGCLKSJQSA-N 0 3 306.356 4.383 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCC[C@H]1N[C@@H](C)c1nccs1 ZINC000537948317 1047645654 /nfs/dbraw/zinc/64/56/54/1047645654.db2.gz HMZJJPDQZLWUEA-ZMSDIMECSA-N 0 3 316.470 4.492 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@@H]2CCCCc3ccccc32)C1 ZINC000537953260 1047646642 /nfs/dbraw/zinc/64/66/42/1047646642.db2.gz MFONSUDLDLSUSN-NHCUHLMSSA-N 0 3 306.453 4.230 20 0 DIADHN CCC[C@H](N[C@@H]1CN(C)Cc2ccccc21)c1ccc(OC)cc1 ZINC000537946757 1047648620 /nfs/dbraw/zinc/64/86/20/1047648620.db2.gz SFDHXCGOUXHXPS-LEWJYISDSA-N 0 3 324.468 4.313 20 0 DIADHN C[C@H](NCCc1cccc(F)c1F)c1nc2c(s1)CCCC2 ZINC000537977196 1047649017 /nfs/dbraw/zinc/64/90/17/1047649017.db2.gz XPJSINKFLGFYJU-NSHDSACASA-N 0 3 322.424 4.193 20 0 DIADHN c1ccc([C@H](Nc2ncccc2CN2CCCC2)C2CC2)cc1 ZINC001154646289 1047653619 /nfs/dbraw/zinc/65/36/19/1047653619.db2.gz BXTKKDBQRTWNHR-IBGZPJMESA-N 0 3 307.441 4.241 20 0 DIADHN Cc1nc2c(cccc2CCN[C@H](C)c2cccnc2Cl)o1 ZINC000926970915 1047661309 /nfs/dbraw/zinc/66/13/09/1047661309.db2.gz BZVIVRCTXNZPCX-LLVKDONJSA-N 0 3 315.804 4.078 20 0 DIADHN COc1cc(CN[C@@H](c2ccc(Cl)cc2)C2CCC2)ccn1 ZINC000538205581 1047661623 /nfs/dbraw/zinc/66/16/23/1047661623.db2.gz WNYMPJVPXINGMN-GOSISDBHSA-N 0 3 316.832 4.375 20 0 DIADHN COC[C@H](NCCCSCC(C)C)c1ccc(F)c(F)c1 ZINC000538193832 1047662735 /nfs/dbraw/zinc/66/27/35/1047662735.db2.gz DZQJANBYPBUOLR-INIZCTEOSA-N 0 3 317.445 4.021 20 0 DIADHN Nc1cccc(N2CCC(c3ccccc3C(F)(F)F)CC2)n1 ZINC001155005621 1047665269 /nfs/dbraw/zinc/66/52/69/1047665269.db2.gz DGPKQVXUNADILV-UHFFFAOYSA-N 0 3 321.346 4.067 20 0 DIADHN CCOc1cccc2cc([C@@H](C)NCCC(F)(F)F)oc21 ZINC000538407247 1047672909 /nfs/dbraw/zinc/67/29/09/1047672909.db2.gz QEDAZNYHIXJUMU-SNVBAGLBSA-N 0 3 301.308 4.435 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cc(Cl)nc2ccccc21 ZINC001155247425 1047673219 /nfs/dbraw/zinc/67/32/19/1047673219.db2.gz HJFVEIQWQRAINO-NSHDSACASA-N 0 3 322.799 4.247 20 0 DIADHN FC(F)(F)c1ccc(CN[C@H](c2cccnc2)C2CC2)cc1 ZINC000538419834 1047675282 /nfs/dbraw/zinc/67/52/82/1047675282.db2.gz BOWMINBOPKGBFE-INIZCTEOSA-N 0 3 306.331 4.341 20 0 DIADHN Cc1ccc(OC(F)F)c([C@@H](C)NCCOC(C)(C)C)c1 ZINC000538524561 1047683303 /nfs/dbraw/zinc/68/33/03/1047683303.db2.gz BEDUUILUPMJEEA-GFCCVEGCSA-N 0 3 301.377 4.062 20 0 DIADHN CCCC[C@H](CC)C(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1 ZINC001155643471 1047685837 /nfs/dbraw/zinc/68/58/37/1047685837.db2.gz RVZGATXJZSXPHL-YOEHRIQHSA-N 0 3 324.896 4.275 20 0 DIADHN CC(C)C[C@@H]1C[C@H](NCc2csc(C(C)(C)C)n2)CCO1 ZINC000538533183 1047686232 /nfs/dbraw/zinc/68/62/32/1047686232.db2.gz YNEBRLJFPBZYPM-UKRRQHHQSA-N 0 3 310.507 4.124 20 0 DIADHN CCc1ccc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)s1 ZINC000538551566 1047687069 /nfs/dbraw/zinc/68/70/69/1047687069.db2.gz OFXUFKGURSEIFM-GFCCVEGCSA-N 0 3 315.438 4.379 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NC2(c3ccc4c(c3)OCO4)CC2)c1 ZINC000538549781 1047687140 /nfs/dbraw/zinc/68/71/40/1047687140.db2.gz KPPGDHAXESQKDU-OAHLLOKOSA-N 0 3 309.409 4.372 20 0 DIADHN Cc1nc(NCc2ccc(C(C)C)cc2)cc(N2CCCC2)n1 ZINC001155945360 1047692074 /nfs/dbraw/zinc/69/20/74/1047692074.db2.gz BYSIZZNSZGUVDU-UHFFFAOYSA-N 0 3 310.445 4.121 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1cnc(C(C)(C)C)s1 ZINC000538727678 1047700484 /nfs/dbraw/zinc/70/04/84/1047700484.db2.gz OKTPSGIZVSBIQV-LBPRGKRZSA-N 0 3 300.537 4.404 20 0 DIADHN Cc1nc(N[C@@H](C)c2ccc3ccccc3c2)cc(N(C)C)n1 ZINC001156131673 1047701245 /nfs/dbraw/zinc/70/12/45/1047701245.db2.gz SUZGGAXYYDPXRT-ZDUSSCGKSA-N 0 3 306.413 4.177 20 0 DIADHN CCCO[C@H]1CCCN(Cc2c(Cl)cccc2OC)CC1 ZINC000538733164 1047703154 /nfs/dbraw/zinc/70/31/54/1047703154.db2.gz VWKBNLDJQSXSSK-AWEZNQCLSA-N 0 3 311.853 4.130 20 0 DIADHN C[C@@H]1CN(Cc2ccc(-c3ccccc3Cl)o2)CCCO1 ZINC000538740356 1047704864 /nfs/dbraw/zinc/70/48/64/1047704864.db2.gz HQDMKAACDOHRPL-CYBMUJFWSA-N 0 3 305.805 4.211 20 0 DIADHN Cc1ccc2ccnc(NCC3CN(Cc4ccccc4)C3)c2c1 ZINC001156398394 1047710170 /nfs/dbraw/zinc/71/01/70/1047710170.db2.gz CAGZFENCYCEOIZ-UHFFFAOYSA-N 0 3 317.436 4.087 20 0 DIADHN CN(c1ccc(CN2CCCC2)cn1)C(C)(C)Cc1ccccc1 ZINC001156516046 1047715662 /nfs/dbraw/zinc/71/56/62/1047715662.db2.gz WPZLWXXALZEXGY-UHFFFAOYSA-N 0 3 323.484 4.135 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1ncc(-c2ccc(OC)cc2)o1 ZINC000538954844 1047720873 /nfs/dbraw/zinc/72/08/73/1047720873.db2.gz DTUNIQWJHQJTSE-DZGCQCFKSA-N 0 3 300.402 4.113 20 0 DIADHN C[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccc(F)cc1N(C)C ZINC000539040262 1047726674 /nfs/dbraw/zinc/72/66/74/1047726674.db2.gz VKHRUHHNRFWKSU-KPZWWZAWSA-N 0 3 316.395 4.369 20 0 DIADHN C[C@H](N[C@@H](CO)c1ccc(C(F)(F)F)cc1)c1ccccc1 ZINC000539056128 1047729613 /nfs/dbraw/zinc/72/96/13/1047729613.db2.gz WPCNLSKBDSEMQE-LRDDRELGSA-N 0 3 309.331 4.090 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001156964562 1047730337 /nfs/dbraw/zinc/73/03/37/1047730337.db2.gz LOMHOFZQELXQLF-SJLPKXTDSA-N 0 3 308.510 4.116 20 0 DIADHN C[C@@H](NCCOc1cccc(C(C)(C)C)c1)c1cncc(F)c1 ZINC000539085002 1047733304 /nfs/dbraw/zinc/73/33/04/1047733304.db2.gz IWBQGVVDSZUDMG-CQSZACIVSA-N 0 3 316.420 4.248 20 0 DIADHN CCc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1Cl ZINC001157148287 1047736409 /nfs/dbraw/zinc/73/64/09/1047736409.db2.gz QBNJOBZJJKBAFT-ZDUSSCGKSA-N 0 3 308.853 4.105 20 0 DIADHN CCC[C@@H]([NH2+]Cc1nnc(-c2ccc(F)cc2)[n-]1)c1ccccc1 ZINC000539120774 1047737287 /nfs/dbraw/zinc/73/72/87/1047737287.db2.gz FVPXXHXMQLXBTN-QGZVFWFLSA-N 0 3 324.403 4.242 20 0 DIADHN CCC[C@@H](NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ccccc1 ZINC000539120774 1047737290 /nfs/dbraw/zinc/73/72/90/1047737290.db2.gz FVPXXHXMQLXBTN-QGZVFWFLSA-N 0 3 324.403 4.242 20 0 DIADHN CC[C@H](CN[C@@H](C)c1ccccn1)Oc1cccc(Cl)c1 ZINC000539121678 1047738349 /nfs/dbraw/zinc/73/83/49/1047738349.db2.gz BRCGVMHPBLKCSF-DZGCQCFKSA-N 0 3 304.821 4.243 20 0 DIADHN COC(=O)c1ccc([C@@H](C)N2CCC(c3ccccc3)CC2)o1 ZINC000539198176 1047739966 /nfs/dbraw/zinc/73/99/66/1047739966.db2.gz FAMZTXMLDFWYMP-CQSZACIVSA-N 0 3 313.397 4.007 20 0 DIADHN CCC(CC)[C@@H](NCC(=O)NC(C)(C)CC)c1cccs1 ZINC000539278208 1047744189 /nfs/dbraw/zinc/74/41/89/1047744189.db2.gz HKFLBPQXQRFILZ-MRXNPFEDSA-N 0 3 310.507 4.120 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2cccc(C)c2C)c(F)c1 ZINC000539287145 1047745592 /nfs/dbraw/zinc/74/55/92/1047745592.db2.gz PRCLHUKMVYWEIQ-OAHLLOKOSA-N 0 3 314.404 4.040 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCCCC2)O1)c1ccc(Cl)cn1 ZINC000477854725 1047755491 /nfs/dbraw/zinc/75/54/91/1047755491.db2.gz WDKMJNHTQJHGRA-ZFWWWQNUSA-N 0 3 308.853 4.268 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cnc(-c2ccccc2)s1 ZINC000552648837 1047783866 /nfs/dbraw/zinc/78/38/66/1047783866.db2.gz YWRPPXUPNWIJTD-CQSZACIVSA-N 0 3 306.500 4.384 20 0 DIADHN CC(C)[C@@H](N[C@H](C)c1ccc(F)c(Cl)c1)c1cnn(C)c1 ZINC000927067025 1047791761 /nfs/dbraw/zinc/79/17/61/1047791761.db2.gz NLBJWAHMGUSTPE-BDJLRTHQSA-N 0 3 309.816 4.261 20 0 DIADHN COc1ccc([C@H](C)N[C@H](c2cnn(C)c2)C(C)C)cc1Cl ZINC000927068147 1047792721 /nfs/dbraw/zinc/79/27/21/1047792721.db2.gz RBKAMECZOCOJBI-SJCJKPOMSA-N 0 3 321.852 4.130 20 0 DIADHN Cc1ccc(OCCCN(Cc2ccccn2)C2CC2)cc1C ZINC000552833960 1047797457 /nfs/dbraw/zinc/79/74/57/1047797457.db2.gz KRGPLJUIESFIOF-UHFFFAOYSA-N 0 3 310.441 4.132 20 0 DIADHN Cc1n[nH]cc1CN[C@H](Cc1ccc(C)cc1)c1ccc(C)cc1 ZINC000552911556 1047804686 /nfs/dbraw/zinc/80/46/86/1047804686.db2.gz GOODATPFKIYSSH-OAQYLSRUSA-N 0 3 319.452 4.409 20 0 DIADHN Fc1ccc(Cl)c(Cl)c1[N-]c1cc([C@@H]2CCC[NH2+]2)no1 ZINC001159307631 1047828918 /nfs/dbraw/zinc/82/89/18/1047828918.db2.gz BZKVLEMGYKFOTP-VIFPVBQESA-N 0 3 316.163 4.289 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(N)c(Cl)c3)cccc21 ZINC001212121712 1047841232 /nfs/dbraw/zinc/84/12/32/1047841232.db2.gz ORCIWMGTVVZVAO-MRXNPFEDSA-N 0 3 317.820 4.051 20 0 DIADHN CC(=O)Nc1ccc2c(c1)CN(Cc1ccccc1C1CC1)CC2 ZINC001197611543 1047847203 /nfs/dbraw/zinc/84/72/03/1047847203.db2.gz RQDRFFXIMKRFKP-UHFFFAOYSA-N 0 3 320.436 4.081 20 0 DIADHN C[C@H](c1nc(-c2cccs2)no1)N(C)C[C@@H](C)C(C)(C)C ZINC001197601944 1047853829 /nfs/dbraw/zinc/85/38/29/1047853829.db2.gz MJENIYIHBDYYBI-VXGBXAGGSA-N 0 3 307.463 4.473 20 0 DIADHN C[C@@H](CCCc1ccccc1)C(=O)Nc1ccccc1CN(C)C ZINC000553468440 1047856722 /nfs/dbraw/zinc/85/67/22/1047856722.db2.gz KATWSIOHVRGFFS-KRWDZBQOSA-N 0 3 324.468 4.346 20 0 DIADHN CCc1cccc(F)c1CN1CCC([C@@H]2CCCCO2)CC1 ZINC001182918025 1047863198 /nfs/dbraw/zinc/86/31/98/1047863198.db2.gz HTTASVIBTSYLFZ-IBGZPJMESA-N 0 3 305.437 4.169 20 0 DIADHN Cc1cnccc1Nc1ccc(C(F)(F)F)c2ncccc12 ZINC001174538275 1047865012 /nfs/dbraw/zinc/86/50/12/1047865012.db2.gz CUCSZVZDLWDZSP-UHFFFAOYSA-N 0 3 303.287 4.123 20 0 DIADHN CCCCCC[C@H]1CCC[C@@H]1NCc1nccc(OC)c1F ZINC001182950009 1047865120 /nfs/dbraw/zinc/86/51/20/1047865120.db2.gz SCJBTGNVMVOFQF-GJZGRUSLSA-N 0 3 308.441 4.458 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CCC[C@@H]3CCOC3)nc2c1 ZINC000645772246 1047865726 /nfs/dbraw/zinc/86/57/26/1047865726.db2.gz LMTRTSFVUYEGQF-ZBFHGGJFSA-N 0 3 316.470 4.250 20 0 DIADHN Cc1ccc(Nc2ccncc2C)c(NC(=O)OC(C)(C)C)c1 ZINC001174538934 1047865995 /nfs/dbraw/zinc/86/59/95/1047865995.db2.gz VTAZWWNLFJNSOX-UHFFFAOYSA-N 0 3 313.401 4.211 20 0 DIADHN OCc1ccc(CN2CCC(c3ccccc3Cl)CC2)cc1 ZINC001203193652 1047868559 /nfs/dbraw/zinc/86/85/59/1047868559.db2.gz WBXAZEKBPOQKIS-UHFFFAOYSA-N 0 3 315.844 4.212 20 0 DIADHN OC[C@@H](NC1CCC2(CC2)CC1)c1cccc(Cl)c1Cl ZINC001182970293 1047869731 /nfs/dbraw/zinc/86/97/31/1047869731.db2.gz MPCMLHBSQNVMSY-CQSZACIVSA-N 0 3 314.256 4.339 20 0 DIADHN COCc1csc(CN2CCCCC[C@@H]2c2ccncc2)c1 ZINC000667470679 1047870711 /nfs/dbraw/zinc/87/07/11/1047870711.db2.gz GOTUKNYRGXSGLE-GOSISDBHSA-N 0 3 316.470 4.407 20 0 DIADHN CC(C)[C@@H](NC[C@@H](c1ccco1)N(C)C)c1cc(F)ccc1F ZINC000479336289 1047872923 /nfs/dbraw/zinc/87/29/23/1047872923.db2.gz ODYVRRSGTQRLDA-FUHWJXTLSA-N 0 3 322.399 4.147 20 0 DIADHN CCC(C)(C)c1ccc(CN2CC[C@H](c3noc(C)n3)C2)cc1 ZINC001197727795 1047876002 /nfs/dbraw/zinc/87/60/02/1047876002.db2.gz HLOZFYXKFNHROO-INIZCTEOSA-N 0 3 313.445 4.055 20 0 DIADHN [O-]c1cc(Nc2ccc(C3CC[NH2+]CC3)cc2)ccc1Cl ZINC001159598624 1047878093 /nfs/dbraw/zinc/87/80/93/1047878093.db2.gz WGZKKXUODFTYKW-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN C[C@@H]1Cc2n[nH]cc2CN(Cc2ccc(C3CCCC3)cc2)C1 ZINC001197785777 1047881647 /nfs/dbraw/zinc/88/16/47/1047881647.db2.gz LACQGPRLIRDOIC-OAHLLOKOSA-N 0 3 309.457 4.262 20 0 DIADHN COc1cc(C)c(CNCc2cccc(C(C)C)c2)cc1OC ZINC000479405263 1047885089 /nfs/dbraw/zinc/88/50/89/1047885089.db2.gz GHALQQSCUFHZIX-UHFFFAOYSA-N 0 3 313.441 4.425 20 0 DIADHN CC(C)c1cccc(CNCc2cnc(-c3ccccc3)[nH]2)c1 ZINC000479405665 1047885332 /nfs/dbraw/zinc/88/53/32/1047885332.db2.gz HTNXUXXMIJYJKO-UHFFFAOYSA-N 0 3 305.425 4.490 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)C[C@H](C)c1ccc(F)cc1 ZINC001183065676 1047888487 /nfs/dbraw/zinc/88/84/87/1047888487.db2.gz OAFBZBRIHTVFMV-AWEZNQCLSA-N 0 3 316.420 4.082 20 0 DIADHN C[C@H](N[C@H]1c2ccccc2C[C@H]1O)c1ccc(C(C)(C)C)cc1 ZINC000479436467 1047889721 /nfs/dbraw/zinc/88/97/21/1047889721.db2.gz JKTMZFPXBYQMIV-KPOBHBOGSA-N 0 3 309.453 4.293 20 0 DIADHN C[C@@]1(Cc2ccccc2Cl)CCCN1Cc1ccc(F)nc1 ZINC001183103945 1047892173 /nfs/dbraw/zinc/89/21/73/1047892173.db2.gz QQASFVKWYSTBDY-SFHVURJKSA-N 0 3 318.823 4.471 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001197901073 1047895200 /nfs/dbraw/zinc/89/52/00/1047895200.db2.gz HMDAUHRGOLLQNT-HIFRSBDPSA-N 0 3 303.837 4.014 20 0 DIADHN C[C@H](NCC1(C(F)F)CC1)c1cc(Br)ccc1F ZINC000479453375 1047896144 /nfs/dbraw/zinc/89/61/44/1047896144.db2.gz KDVKAYZUCKJSCF-QMMMGPOBSA-N 0 3 322.168 4.284 20 0 DIADHN CCCc1cccc(CNCc2ccc(F)c(COC)c2)c1 ZINC000479452879 1047895960 /nfs/dbraw/zinc/89/59/60/1047895960.db2.gz IOHHYLNXBLZSME-UHFFFAOYSA-N 0 3 301.405 4.214 20 0 DIADHN Fc1cc(Nc2ccc3cn[nH]c3c2)ccc1CN1CCCCC1 ZINC001159663332 1047897252 /nfs/dbraw/zinc/89/72/52/1047897252.db2.gz WHOSEJYNAKNNFF-UHFFFAOYSA-N 0 3 324.403 4.432 20 0 DIADHN COC[C@@H](C)Oc1ccc(CN(Cc2ccco2)C(C)C)cc1 ZINC000667496789 1047897471 /nfs/dbraw/zinc/89/74/71/1047897471.db2.gz DUEQYJAFJNLNQG-MRXNPFEDSA-N 0 3 317.429 4.104 20 0 DIADHN C[C@H](CSCCF)NCc1cc(Cl)cc(Cl)c1F ZINC001183354450 1047917819 /nfs/dbraw/zinc/91/78/19/1047917819.db2.gz CEQVYFCOCYVGIT-MRVPVSSYSA-N 0 3 314.228 4.313 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2ccnn2-c2ccccc2C)C1 ZINC001183170393 1047899490 /nfs/dbraw/zinc/89/94/90/1047899490.db2.gz JXVJSPOGTXZBFU-OAJJDEHYSA-N 0 3 309.457 4.359 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2ccnn2-c2ccccc2C)C1 ZINC001183170386 1047899951 /nfs/dbraw/zinc/89/99/51/1047899951.db2.gz JXVJSPOGTXZBFU-DDKKJVIOSA-N 0 3 309.457 4.359 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2cc(OC)c(O)cc2Cl)C1 ZINC001183169955 1047900241 /nfs/dbraw/zinc/90/02/41/1047900241.db2.gz GKHRRQUXMNBMQO-SCOBNMCVSA-N 0 3 309.837 4.232 20 0 DIADHN Oc1ccc([C@H]2CCN(C/C=C/c3ccc(F)cc3F)C2)cc1 ZINC001183157790 1047902994 /nfs/dbraw/zinc/90/29/94/1047902994.db2.gz UFDGJGZMZZWTRO-PYKQWIPUSA-N 0 3 315.363 4.173 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1ncc(Br)cc1F ZINC000479538435 1047922224 /nfs/dbraw/zinc/92/22/24/1047922224.db2.gz BUXKFQSBXNZZEH-MXWKQRLJSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1cc(Cl)ccc1C1=CCN(C[C@@H]2CCC=CO2)CC1 ZINC001183216803 1047905792 /nfs/dbraw/zinc/90/57/92/1047905792.db2.gz IIBXIGXLPWCWRP-KRWDZBQOSA-N 0 3 303.833 4.430 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CCC(CCF)CC1 ZINC001183249341 1047911401 /nfs/dbraw/zinc/91/14/01/1047911401.db2.gz YMXRZATWSPWAMK-UHFFFAOYSA-N 0 3 315.436 4.061 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1cccc(C2CC[NH2+]CC2)c1 ZINC001159757693 1047916007 /nfs/dbraw/zinc/91/60/07/1047916007.db2.gz SULBLOKAEYAIML-UHFFFAOYSA-N 0 3 302.805 4.256 20 0 DIADHN CC(C)[C@@](C)(Cc1ccccc1)[NH2+]Cc1ccc([O-])c(F)c1 ZINC001183324029 1047917966 /nfs/dbraw/zinc/91/79/66/1047917966.db2.gz JSKIIWHPJBOOOY-LJQANCHMSA-N 0 3 301.405 4.278 20 0 DIADHN CC1=CCC[C@@H](C)[C@@H]1CNCc1coc(-c2cccs2)n1 ZINC000479544806 1047923569 /nfs/dbraw/zinc/92/35/69/1047923569.db2.gz SEVLROOHGHIHGS-UKRRQHHQSA-N 0 3 302.443 4.485 20 0 DIADHN CC(C)c1ccc([C@@H](C)NCC(=O)N2Cc3ccccc3C2)cc1 ZINC000479530609 1047925220 /nfs/dbraw/zinc/92/52/20/1047925220.db2.gz UTUKJHPLKGRVEF-MRXNPFEDSA-N 0 3 322.452 4.003 20 0 DIADHN C[C@@H](N[C@H](CO)CC1CCC1)c1cc(Cl)ccc1Cl ZINC000479550328 1047925826 /nfs/dbraw/zinc/92/58/26/1047925826.db2.gz BXLQEOMMVGGOGU-MFKMUULPSA-N 0 3 302.245 4.195 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1ccc(O[C@H]2CCOC2)cc1 ZINC000667510888 1047926152 /nfs/dbraw/zinc/92/61/52/1047926152.db2.gz XBTZECBADZYXHO-PBHICJAKSA-N 0 3 317.454 4.109 20 0 DIADHN CCN(CCNC(=O)OC(C)(C)C)Cc1cccc(C(C)C)c1 ZINC001174615303 1047927208 /nfs/dbraw/zinc/92/72/08/1047927208.db2.gz SKDOUURCHDVAQT-UHFFFAOYSA-N 0 3 320.477 4.157 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC001174614275 1047927446 /nfs/dbraw/zinc/92/74/46/1047927446.db2.gz CZDASXZGLUWGQU-ZFWWWQNUSA-N 0 3 319.342 4.148 20 0 DIADHN CCCC[C@H](N[C@H](C)CC(N)=O)c1ccc(Cl)cc1Cl ZINC000479570454 1047928972 /nfs/dbraw/zinc/92/89/72/1047928972.db2.gz WXYVXXUQAZDZAV-YGRLFVJLSA-N 0 3 317.260 4.078 20 0 DIADHN Cc1c(Cl)ccc2c1CN(CCc1ccc(F)cc1)CC2 ZINC001183453571 1047929520 /nfs/dbraw/zinc/92/95/20/1047929520.db2.gz FXJLVWKCLICRSK-UHFFFAOYSA-N 0 3 303.808 4.388 20 0 DIADHN C[C@@H](NCCCc1ccccc1F)c1nc2c(s1)CCCC2 ZINC000479557499 1047930797 /nfs/dbraw/zinc/93/07/97/1047930797.db2.gz YGVGPFOYNHMTAB-CYBMUJFWSA-N 0 3 318.461 4.444 20 0 DIADHN F[C@H]1CCc2ccccc2[C@@H]1NCCc1cccc(Cl)c1 ZINC001183492004 1047935276 /nfs/dbraw/zinc/93/52/76/1047935276.db2.gz UVYVYPVNXMNVCG-ROUUACIJSA-N 0 3 303.808 4.498 20 0 DIADHN CC(C)P(CCNC(=O)[C@H](C)OCc1ccccc1)C(C)C ZINC001183495919 1047937429 /nfs/dbraw/zinc/93/74/29/1047937429.db2.gz ADIZHRSRURMLHE-INIZCTEOSA-N 0 3 323.417 4.007 20 0 DIADHN C[C@H](c1ncc(C(C)(C)C)o1)N1C[C@H]2[C@H]3C=C[C@H](C3)[C@@]2(C)C1 ZINC001198252430 1047939866 /nfs/dbraw/zinc/93/98/66/1047939866.db2.gz UUEBRTOVLMWVNN-JCJIIYQNSA-N 0 3 300.446 4.177 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC[C@@]2(C=Cc3ccccc3O2)C1 ZINC001198241492 1047940258 /nfs/dbraw/zinc/94/02/58/1047940258.db2.gz NOZVQMINBZVVLV-OXJNMPFZSA-N 0 3 306.409 4.083 20 0 DIADHN Cc1ccc(C2=NO[C@H](CNCc3ccc4occc4c3)C2)cc1 ZINC001183607653 1047944390 /nfs/dbraw/zinc/94/43/90/1047944390.db2.gz UQEGZSNMDCKPAB-SFHVURJKSA-N 0 3 320.392 4.024 20 0 DIADHN C[C@@H](NCc1ccc(Cl)cc1)c1ccc(-n2cccn2)cc1 ZINC000553828950 1047949957 /nfs/dbraw/zinc/94/99/57/1047949957.db2.gz ONCZNSGAVXEAHC-CQSZACIVSA-N 0 3 311.816 4.377 20 0 DIADHN COc1ccc(CN2Cc3ccccc3[C@@H](C)C2)c(C)c1OC ZINC001183672821 1047953239 /nfs/dbraw/zinc/95/32/39/1047953239.db2.gz NETIVNWCTUDWAI-AWEZNQCLSA-N 0 3 311.425 4.132 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3cc(C(C)C)n[nH]3)C2)c(F)c1 ZINC001183661918 1047956881 /nfs/dbraw/zinc/95/68/81/1047956881.db2.gz LJJBUHCNOLMWRZ-MRXNPFEDSA-N 0 3 315.436 4.360 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](c3cc(C(C)C)[nH]n3)C2)c(F)c1 ZINC001183661918 1047956890 /nfs/dbraw/zinc/95/68/90/1047956890.db2.gz LJJBUHCNOLMWRZ-MRXNPFEDSA-N 0 3 315.436 4.360 20 0 DIADHN CC1(C)CN(CC(=O)Nc2cccc3ccccc32)[C@@H]2CCC[C@@H]21 ZINC001198458901 1047958832 /nfs/dbraw/zinc/95/88/32/1047958832.db2.gz YTJPURQGMSKBFB-PKOBYXMFSA-N 0 3 322.452 4.289 20 0 DIADHN COc1cc(CN2CCC(c3cc(C)ccc3C)CC2)ccn1 ZINC001198467614 1047961174 /nfs/dbraw/zinc/96/11/74/1047961174.db2.gz MPUZUQPYZPGTCZ-UHFFFAOYSA-N 0 3 310.441 4.087 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@@H](c1ccc(Cl)cc1)C(C)C ZINC000553908915 1047964854 /nfs/dbraw/zinc/96/48/54/1047964854.db2.gz BMZVHENHXBRAFB-RHSMWYFYSA-N 0 3 324.896 4.322 20 0 DIADHN CC(C)n1cncc1CN1CCC(CCc2ccccc2)CC1 ZINC001183843206 1047969950 /nfs/dbraw/zinc/96/99/50/1047969950.db2.gz IBWFZRGKDIUPJA-UHFFFAOYSA-N 0 3 311.473 4.309 20 0 DIADHN C[C@@H]1Cc2cc(CN3CCC(Oc4ccccc4)CC3)ccc2O1 ZINC001183846941 1047972495 /nfs/dbraw/zinc/97/24/95/1047972495.db2.gz VMWCTGXCGMIRKT-MRXNPFEDSA-N 0 3 323.436 4.053 20 0 DIADHN Clc1ccc2c(c1)CC[C@H]2N1CC[C@H](Cc2nccs2)C1 ZINC001198572295 1047974326 /nfs/dbraw/zinc/97/43/26/1047974326.db2.gz CRPVDMRXRGLVOW-MLGOLLRUSA-N 0 3 318.873 4.348 20 0 DIADHN C[C@@H](CCC1CCCCC1)NCc1nc(CN(C)C)cs1 ZINC001183863218 1047977630 /nfs/dbraw/zinc/97/76/30/1047977630.db2.gz AEQAVQWQUCWLQX-AWEZNQCLSA-N 0 3 309.523 4.043 20 0 DIADHN Cc1c([C@@H](C)NC2CCCCCC2)nnn1-c1ccc(F)cc1 ZINC000479858567 1047986138 /nfs/dbraw/zinc/98/61/38/1047986138.db2.gz JEZWTXYHKKETOG-CYBMUJFWSA-N 0 3 316.424 4.088 20 0 DIADHN C[C@H](NCc1cccc(Cl)c1)c1ccc(-n2cccn2)cc1 ZINC000479873136 1047988991 /nfs/dbraw/zinc/98/89/91/1047988991.db2.gz JUGRMGMEFFFVFW-AWEZNQCLSA-N 0 3 311.816 4.377 20 0 DIADHN CC(C)P(CCNC(=O)C1=Cc2ccccc2C1)C(C)C ZINC001183979576 1047989813 /nfs/dbraw/zinc/98/98/13/1047989813.db2.gz LFURMEPBSGPEEQ-UHFFFAOYSA-N 0 3 303.386 4.041 20 0 DIADHN CCC(CC)n1ccc(CN(C)[C@H]2CCc3ccccc3C2)n1 ZINC001198659117 1047990298 /nfs/dbraw/zinc/99/02/98/1047990298.db2.gz QYEWYMDALFOPFH-FQEVSTJZSA-N 0 3 311.473 4.234 20 0 DIADHN COCc1csc(CN2CCC(OC3CCCCC3)CC2)c1 ZINC000667567113 1047991518 /nfs/dbraw/zinc/99/15/18/1047991518.db2.gz PREMTWGKTGOGOH-UHFFFAOYSA-N 0 3 323.502 4.208 20 0 DIADHN COc1cc(CN(C)[C@H]2CC[C@@](C)(c3ccccc3)C2)sn1 ZINC001183991586 1047991598 /nfs/dbraw/zinc/99/15/98/1047991598.db2.gz LUUSIIOQZWGWGT-MAUKXSAKSA-N 0 3 316.470 4.094 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2ccc3cc[nH]c3c2)c2ccccc21 ZINC001198697130 1047994066 /nfs/dbraw/zinc/99/40/66/1047994066.db2.gz ZYHHFRSHMPQNPJ-YCRPNKLZSA-N 0 3 320.436 4.043 20 0 DIADHN COCC1(NCc2nc(-c3ccccc3)cs2)CCCCC1 ZINC001174890494 1047994018 /nfs/dbraw/zinc/99/40/18/1047994018.db2.gz HQNHAJVWNXCRBQ-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN COc1cccc(F)c1CNC[C@@H](C)c1cccc(Cl)c1 ZINC001184044456 1047994195 /nfs/dbraw/zinc/99/41/95/1047994195.db2.gz ALHNEDJLAXANCR-GFCCVEGCSA-N 0 3 307.796 4.381 20 0 DIADHN C[C@H](NC[C@@H](CO)c1ccccc1Cl)c1ccccc1Cl ZINC000554067365 1047995972 /nfs/dbraw/zinc/99/59/72/1047995972.db2.gz KPTKOXQLNZETEK-STQMWFEESA-N 0 3 324.251 4.420 20 0 DIADHN C[C@H](C(=O)Nc1cccc(F)c1)N1CCC[C@H](C2CCCC2)C1 ZINC001198705853 1047998545 /nfs/dbraw/zinc/99/85/45/1047998545.db2.gz MUYCNWNHFITAJW-ZBFHGGJFSA-N 0 3 318.436 4.055 20 0 DIADHN COC(=O)c1ccc(CN[C@H](C)c2ccc(F)cc2)cc1Cl ZINC001184135970 1047999088 /nfs/dbraw/zinc/99/90/88/1047999088.db2.gz YEMPBFQZTCOLNF-LLVKDONJSA-N 0 3 321.779 4.117 20 0 DIADHN Cc1cc(C)cc([C@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1 ZINC000554093246 1048001342 /nfs/dbraw/zinc/00/13/42/1048001342.db2.gz YLKDCYAUISZSAT-JXFKEZNVSA-N 0 3 314.448 4.396 20 0 DIADHN CC[C@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccc(C)c1 ZINC000554096479 1048007197 /nfs/dbraw/zinc/00/71/97/1048007197.db2.gz YWFJPTXHULIBPN-PMACEKPBSA-N 0 3 314.448 4.478 20 0 DIADHN COc1cccc(CNCc2cc3ccnc(Cl)c3s2)c1 ZINC001198900902 1048013483 /nfs/dbraw/zinc/01/34/83/1048013483.db2.gz LNITYIQSGXRCQA-UHFFFAOYSA-N 0 3 318.829 4.248 20 0 DIADHN Cc1ccc(CSCCNCc2cc(F)ccc2F)cc1 ZINC001198901338 1048014052 /nfs/dbraw/zinc/01/40/52/1048014052.db2.gz RJOMKPZIHNKWLH-UHFFFAOYSA-N 0 3 307.409 4.296 20 0 DIADHN CN(Cc1cc(-c2ccccc2)n[nH]1)C[C@@H]1C[C@H]1c1ccccc1 ZINC001184238474 1048016214 /nfs/dbraw/zinc/01/62/14/1048016214.db2.gz CWADOOIMPQCMKP-ICSRJNTNSA-N 0 3 317.436 4.312 20 0 DIADHN COC1(c2ccccc2)CCN(Cc2ccc(Cl)cc2)CC1 ZINC001203923240 1048022088 /nfs/dbraw/zinc/02/20/88/1048022088.db2.gz JJEKDRIFGHLXKK-UHFFFAOYSA-N 0 3 315.844 4.478 20 0 DIADHN COc1ccc(CNCc2ccc(SC(F)F)cc2)cc1 ZINC001198967782 1048022260 /nfs/dbraw/zinc/02/22/60/1048022260.db2.gz CFVWHYTVMHMNQL-UHFFFAOYSA-N 0 3 309.381 4.300 20 0 DIADHN CCn1ccc(CNCCc2c(C)cc(C(C)(C)C)cc2C)n1 ZINC001198989909 1048025666 /nfs/dbraw/zinc/02/56/66/1048025666.db2.gz GHBYCVPLMSVUPI-UHFFFAOYSA-N 0 3 313.489 4.150 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOc1cccc(C)c1 ZINC001198990411 1048026668 /nfs/dbraw/zinc/02/66/68/1048026668.db2.gz JDBGKTKEBPVPHI-UHFFFAOYSA-N 0 3 301.430 4.363 20 0 DIADHN Cc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1N ZINC001160302469 1048027171 /nfs/dbraw/zinc/02/71/71/1048027171.db2.gz FCHMSFSUISGCTG-MOPGFXCFSA-N 0 3 319.452 4.310 20 0 DIADHN Nc1cc(NC2=CCCN(Cc3ccccc3)C2)ccc1Cl ZINC001160305035 1048028743 /nfs/dbraw/zinc/02/87/43/1048028743.db2.gz SSQGSAIAAMXTLA-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN C[C@@H](NCC[C@H]1CCC2(CCC2)O1)c1nc2c(s1)CCCC2 ZINC000927131576 1048031954 /nfs/dbraw/zinc/03/19/54/1048031954.db2.gz XDUKBWGZZZMUSP-ZIAGYGMSSA-N 0 3 320.502 4.164 20 0 DIADHN CCCCCC[C@H](C)NCc1cn(-c2ccccc2C)nn1 ZINC001199059718 1048032528 /nfs/dbraw/zinc/03/25/28/1048032528.db2.gz GVFBIEGDCZQNOQ-INIZCTEOSA-N 0 3 300.450 4.024 20 0 DIADHN CCc1cnccc1[C@@H](C)NCc1ccc2c(c1)CC(C)(C)O2 ZINC000773426487 1048032822 /nfs/dbraw/zinc/03/28/22/1048032822.db2.gz DHWYOIJCSOUXFX-CQSZACIVSA-N 0 3 310.441 4.208 20 0 DIADHN C[C@H](c1ccc(F)c(Cl)c1)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001174923691 1048033148 /nfs/dbraw/zinc/03/31/48/1048033148.db2.gz CQVUFGJZUCSFHQ-XWIAVFTESA-N 0 3 323.839 4.433 20 0 DIADHN CC(C)(NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1nc2ccccc2s1 ZINC001174932746 1048035989 /nfs/dbraw/zinc/03/59/89/1048035989.db2.gz GBNCCBROENFVQV-YDHLFZDLSA-N 0 3 316.470 4.079 20 0 DIADHN CN(CCNCc1ccc(-c2ccccc2F)o1)c1ccccc1 ZINC001199151939 1048039558 /nfs/dbraw/zinc/03/95/58/1048039558.db2.gz GEHRCIKTGVDBRQ-UHFFFAOYSA-N 0 3 324.399 4.312 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)Nc1ccccc1CN(C)C ZINC001184537201 1048050167 /nfs/dbraw/zinc/05/01/67/1048050167.db2.gz CUHUNGDWXKBCRJ-UHFFFAOYSA-N 0 3 317.820 4.354 20 0 DIADHN CCN(CC)c1ccc(CNCc2ccccc2C2CC2)cn1 ZINC001199287220 1048052394 /nfs/dbraw/zinc/05/23/94/1048052394.db2.gz ZDLSJXSXSAZSRX-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN Cc1ccc([C@H](O)CN[C@@H](C)c2cc(-c3ccccc3)no2)cc1 ZINC000924734114 1048058300 /nfs/dbraw/zinc/05/83/00/1048058300.db2.gz KYMSMJCMTWBPBK-HNAYVOBHSA-N 0 3 322.408 4.034 20 0 DIADHN Cc1nc(NC(=N)c2cccc3ccccc32)cc2[nH]ccc21 ZINC001160505439 1048061871 /nfs/dbraw/zinc/06/18/71/1048061871.db2.gz UDJKQLMKZOYKGG-UHFFFAOYSA-N 0 3 300.365 4.462 20 0 DIADHN Cc1c(Cl)cc(NC(=O)C2(N(C)C)CCCC2)cc1Cl ZINC001160512117 1048064345 /nfs/dbraw/zinc/06/43/45/1048064345.db2.gz SJRMJYDUDYINTO-UHFFFAOYSA-N 0 3 315.244 4.115 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCc1c(F)cccc1F ZINC001199403041 1048064789 /nfs/dbraw/zinc/06/47/89/1048064789.db2.gz CXDBEINKPGMCDP-UHFFFAOYSA-N 0 3 324.802 4.017 20 0 DIADHN Clc1ccc(CNCc2cccc(CC3CCC3)c2)cn1 ZINC001199414311 1048065939 /nfs/dbraw/zinc/06/59/39/1048065939.db2.gz XOAPZTOSAXIJIE-UHFFFAOYSA-N 0 3 300.833 4.367 20 0 DIADHN Cc1ccc(SCCN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480164572 1048074363 /nfs/dbraw/zinc/07/43/63/1048074363.db2.gz OGYMQJQTRSELSM-ZDUSSCGKSA-N 0 3 306.862 4.486 20 0 DIADHN C[C@H](NCCSCc1ccccc1F)c1ccc(Cl)cn1 ZINC000480176999 1048079399 /nfs/dbraw/zinc/07/93/99/1048079399.db2.gz FJBRPNGVHCGXIE-LBPRGKRZSA-N 0 3 324.852 4.458 20 0 DIADHN CC1(C)CN(CCc2ccc(Cl)cc2)[C@@H]1c1ccncc1 ZINC001174982990 1048080369 /nfs/dbraw/zinc/08/03/69/1048080369.db2.gz BURRXVKMICQACJ-QGZVFWFLSA-N 0 3 300.833 4.361 20 0 DIADHN COc1cc([C@@H](C)N[C@H]2C[C@H](c3ccccc3C)C2)c(F)cn1 ZINC000554369884 1048082829 /nfs/dbraw/zinc/08/28/29/1048082829.db2.gz DLAXOLIKBPPXON-RBSFLKMASA-N 0 3 314.404 4.135 20 0 DIADHN Cc1cccc([C@@H](NCc2cnn(C)c2C2CC2)C(C)(C)C)c1 ZINC001199639898 1048083909 /nfs/dbraw/zinc/08/39/09/1048083909.db2.gz MZZPTDLOWFUARD-LJQANCHMSA-N 0 3 311.473 4.483 20 0 DIADHN CCc1cccc2cc(CNC[C@H](OC)c3ccccc3)oc21 ZINC001199658520 1048085388 /nfs/dbraw/zinc/08/53/88/1048085388.db2.gz PQNNQRXOZNJECN-IBGZPJMESA-N 0 3 309.409 4.473 20 0 DIADHN Cc1cc([C@H](N[C@H]2CC[C@@H](F)C2)c2cccnc2)ccc1F ZINC000554375600 1048086861 /nfs/dbraw/zinc/08/68/61/1048086861.db2.gz SNDIALUKCOFCBD-RYRKJORJSA-N 0 3 302.368 4.099 20 0 DIADHN C[C@H](CCCC(F)(F)F)NCc1nc(C(F)(F)F)cs1 ZINC000480198873 1048088960 /nfs/dbraw/zinc/08/89/60/1048088960.db2.gz JJMGDOZTKNETHW-SSDOTTSWSA-N 0 3 320.302 4.373 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](C)NCc1cc(F)c(F)c(F)c1 ZINC001199689808 1048089505 /nfs/dbraw/zinc/08/95/05/1048089505.db2.gz ZBHRYOAZTUMYFM-CYBMUJFWSA-N 0 3 323.358 4.278 20 0 DIADHN Cc1ccc(O[C@@H](C)CN[C@@H](C)c2ccc(Cl)cn2)cc1 ZINC000480191659 1048089620 /nfs/dbraw/zinc/08/96/20/1048089620.db2.gz HHSXBITYBZAUGO-KBPBESRZSA-N 0 3 304.821 4.162 20 0 DIADHN O[C@H]1Cc2ccccc2[C@H]1NCc1cccc(C2CCCC2)c1 ZINC001199732491 1048093706 /nfs/dbraw/zinc/09/37/06/1048093706.db2.gz MVDHRNCWKWTLNS-LEWJYISDSA-N 0 3 307.437 4.092 20 0 DIADHN CC(C)c1ccc(CNCc2cc(F)ccc2N(C)C)cc1 ZINC001199701791 1048093978 /nfs/dbraw/zinc/09/39/78/1048093978.db2.gz XATAEOLUYGWTQQ-UHFFFAOYSA-N 0 3 300.421 4.305 20 0 DIADHN Cc1ncc(Nc2ccc(OCc3ccccc3)c(C)c2)n1C ZINC001175031489 1048097649 /nfs/dbraw/zinc/09/76/49/1048097649.db2.gz WIJNKRWLFPSPML-UHFFFAOYSA-N 0 3 307.397 4.360 20 0 DIADHN Cc1cccc([C@@H]2CCCN2Cc2cc3ccncc3s2)n1 ZINC000667643673 1048099658 /nfs/dbraw/zinc/09/96/58/1048099658.db2.gz MTNGTGFYHVQGHN-KRWDZBQOSA-N 0 3 309.438 4.337 20 0 DIADHN Cc1cc(C)c(CNCc2c[nH]c(-c3ccccc3)n2)c(C)c1 ZINC001199830137 1048104856 /nfs/dbraw/zinc/10/48/56/1048104856.db2.gz CPNYBODZTSJJJJ-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN Cc1cc(C)c(CNCc2cnc(-c3ccccc3)[nH]2)c(C)c1 ZINC001199830137 1048104862 /nfs/dbraw/zinc/10/48/62/1048104862.db2.gz CPNYBODZTSJJJJ-UHFFFAOYSA-N 0 3 305.425 4.292 20 0 DIADHN C[C@H](N[C@H]1CCCN(c2ccccc2)C1)c1ccc(Cl)cn1 ZINC000480253610 1048105584 /nfs/dbraw/zinc/10/55/84/1048105584.db2.gz LVPKWLLDDSWFNW-HOCLYGCPSA-N 0 3 315.848 4.055 20 0 DIADHN CCCCOCCNCc1ccc(-c2cccc(F)c2F)o1 ZINC001199830746 1048107937 /nfs/dbraw/zinc/10/79/37/1048107937.db2.gz RRZFMJFLAJHENS-UHFFFAOYSA-N 0 3 309.356 4.131 20 0 DIADHN Cc1cc(CN[C@@H](C)c2ccc(F)cc2N(C)C)ccc1F ZINC000480270955 1048108865 /nfs/dbraw/zinc/10/88/65/1048108865.db2.gz ZWNOPYILFIZTSG-ZDUSSCGKSA-N 0 3 304.384 4.190 20 0 DIADHN CC(C)=CCNCc1c(C)nn(Cc2ccc(Cl)cc2)c1C ZINC000480271248 1048108888 /nfs/dbraw/zinc/10/88/88/1048108888.db2.gz YRNFZYCEIFZDNO-UHFFFAOYSA-N 0 3 317.864 4.257 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)NCc1ccc(Br)o1 ZINC000480272497 1048108995 /nfs/dbraw/zinc/10/89/95/1048108995.db2.gz CUAIMAOWHMVGDM-SECBINFHSA-N 0 3 314.145 4.109 20 0 DIADHN CC(C)=CCNCc1cccc(Br)c1OC(F)F ZINC000480282747 1048113016 /nfs/dbraw/zinc/11/30/16/1048113016.db2.gz MKMKXKUSVKJNAW-UHFFFAOYSA-N 0 3 320.177 4.106 20 0 DIADHN [O-]c1cc(F)c(Nc2ccc(C[NH+]3CCCC3)cc2)c(F)c1 ZINC001175100449 1048115542 /nfs/dbraw/zinc/11/55/42/1048115542.db2.gz UPFCMLPHHHWCMX-UHFFFAOYSA-N 0 3 304.340 4.010 20 0 DIADHN CC(C)P(CCNC(=O)N[C@H](C)c1ccccc1)C(C)C ZINC001185050508 1048117151 /nfs/dbraw/zinc/11/71/51/1048117151.db2.gz ZLWIQBBZKNJQQR-OAHLLOKOSA-N 0 3 308.406 4.346 20 0 DIADHN CCN(CCN[C@H](C)c1ccc(Cl)cn1)c1cccc(C)c1 ZINC000480332549 1048123174 /nfs/dbraw/zinc/12/31/74/1048123174.db2.gz KYKRBDFMEMRPAK-OAHLLOKOSA-N 0 3 317.864 4.221 20 0 DIADHN C[C@@H](O)C[C@H](CNCc1ccsc1Cl)c1ccccc1 ZINC000480358097 1048130377 /nfs/dbraw/zinc/13/03/77/1048130377.db2.gz RVSRVVMUPUOKHT-IUODEOHRSA-N 0 3 309.862 4.046 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CN1CCC2(CCO2)CC1 ZINC000554574497 1048134488 /nfs/dbraw/zinc/13/44/88/1048134488.db2.gz VHFPFGYCWWHVJA-UHFFFAOYSA-N 0 3 323.436 4.117 20 0 DIADHN Cc1cc(CN[C@H](C)c2cccc(O)c2)cc(C)c1OC(F)F ZINC000105461701 1048135961 /nfs/dbraw/zinc/13/59/61/1048135961.db2.gz XLFRVTBSBPGGBU-CYBMUJFWSA-N 0 3 321.367 4.461 20 0 DIADHN Cc1cc(C)cc([C@H](C)NCc2ccc(N(C)C(C)C)nc2)c1 ZINC000480387454 1048136264 /nfs/dbraw/zinc/13/62/64/1048136264.db2.gz OHLQBCLIERBASZ-KRWDZBQOSA-N 0 3 311.473 4.394 20 0 DIADHN FC(F)(F)c1ccccc1CN(C[C@H]1CCC=CO1)C1CC1 ZINC000774837498 1048140651 /nfs/dbraw/zinc/14/06/51/1048140651.db2.gz YMNSVQYUHPSMIX-OAHLLOKOSA-N 0 3 311.347 4.363 20 0 DIADHN Cc1ccc([C@H](C)NCC(=O)Nc2ccc3ccccc3c2)cc1 ZINC000774842616 1048147814 /nfs/dbraw/zinc/14/78/14/1048147814.db2.gz BJFWFRHSIPPEHO-INIZCTEOSA-N 0 3 318.420 4.438 20 0 DIADHN Cc1cc(CN[C@H]2c3cccc(F)c3CC[C@H]2C)cnc1F ZINC001200864560 1048149744 /nfs/dbraw/zinc/14/97/44/1048149744.db2.gz XVZGPTHYYLCBGL-PIGZYNQJSA-N 0 3 302.368 4.081 20 0 DIADHN C[C@H]1CC(F)(F)CCN1CCOc1ccc(C(F)(F)F)cc1 ZINC001175130011 1048156057 /nfs/dbraw/zinc/15/60/57/1048156057.db2.gz ZUEKAHQOXBTGHU-NSHDSACASA-N 0 3 323.305 4.204 20 0 DIADHN COc1ccccc1-c1cc(CN[C@H](C)C(C)(C)C(F)F)on1 ZINC000658355106 1048160102 /nfs/dbraw/zinc/16/01/02/1048160102.db2.gz AQLYWCSPXRJEKE-LLVKDONJSA-N 0 3 324.371 4.120 20 0 DIADHN COc1ccc([C@H](C)N(C)CCC(=O)c2ccc(C)cc2)cc1 ZINC000480523945 1048161712 /nfs/dbraw/zinc/16/17/12/1048161712.db2.gz FIVDKECXSSHKJC-INIZCTEOSA-N 0 3 311.425 4.269 20 0 DIADHN COc1ncccc1CN[C@H]1CCCc2c3ccccc3sc21 ZINC000480521342 1048162167 /nfs/dbraw/zinc/16/21/67/1048162167.db2.gz DUMCPDLBDSDNCP-INIZCTEOSA-N 0 3 324.449 4.472 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CNCCOc1ncccc1Cl ZINC001200971365 1048163575 /nfs/dbraw/zinc/16/35/75/1048163575.db2.gz KQGMSXRTJVWNBD-UHFFFAOYSA-N 0 3 322.836 4.103 20 0 DIADHN Cc1ccccc1CCNCc1ncc(-c2ccccc2)s1 ZINC001200981252 1048165720 /nfs/dbraw/zinc/16/57/20/1048165720.db2.gz UHVZIZWZXMBTCN-UHFFFAOYSA-N 0 3 308.450 4.451 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNC1CC(C)C1 ZINC001200942602 1048167474 /nfs/dbraw/zinc/16/74/74/1048167474.db2.gz WVGFCJLYICZOOI-UHFFFAOYSA-N 0 3 324.255 4.376 20 0 DIADHN CN(C)Cc1ccnc(N[C@H](CC2CC2)c2ccccc2F)c1 ZINC001161776346 1048170177 /nfs/dbraw/zinc/17/01/77/1048170177.db2.gz FVFZGMUTWVIYMY-GOSISDBHSA-N 0 3 313.420 4.236 20 0 DIADHN CCCCCCNC(=S)Nc1ccccc1CN1CCCC1 ZINC001200954988 1048170547 /nfs/dbraw/zinc/17/05/47/1048170547.db2.gz RTIOCYTZQKPEDX-UHFFFAOYSA-N 0 3 319.518 4.149 20 0 DIADHN CCc1ccc(CN[C@@H]2CCc3c2ccc(OC)c3F)s1 ZINC000554733142 1048172700 /nfs/dbraw/zinc/17/27/00/1048172700.db2.gz AVXBQYHCOXZHJF-OAHLLOKOSA-N 0 3 305.418 4.235 20 0 DIADHN CN(C)c1cccc(NC2(CC3CC3)CCC(F)(F)CC2)n1 ZINC001161896238 1048190607 /nfs/dbraw/zinc/19/06/07/1048190607.db2.gz PELYAJXXRCUGQI-UHFFFAOYSA-N 0 3 309.404 4.308 20 0 DIADHN C[C@@H](CN[C@@H](C)c1ccccc1OC(F)(F)F)C(F)(F)F ZINC000480661215 1048207515 /nfs/dbraw/zinc/20/75/15/1048207515.db2.gz HRFHWIRXSRZHPT-IUCAKERBSA-N 0 3 315.257 4.434 20 0 DIADHN CC(C)c1cccc(CN[C@@H](C)c2ccc3c(c2)OCCO3)c1 ZINC000480668898 1048212039 /nfs/dbraw/zinc/21/20/39/1048212039.db2.gz BPEFBVXPBXDOON-HNNXBMFYSA-N 0 3 311.425 4.432 20 0 DIADHN Fc1ccc(N2CC[C@@H](CNCc3ccsc3Cl)C2)cc1 ZINC000480673001 1048212457 /nfs/dbraw/zinc/21/24/57/1048212457.db2.gz PQNKONBWTLKHLZ-LBPRGKRZSA-N 0 3 324.852 4.157 20 0 DIADHN C[C@@H](CCNc1ccc(N2CCN(C)CC2)cc1)c1ccccc1 ZINC000774936128 1048235189 /nfs/dbraw/zinc/23/51/89/1048235189.db2.gz QHUYSEMPWTYKOK-SFHVURJKSA-N 0 3 323.484 4.044 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1ccnc(OC2CCCC2)c1 ZINC000480746946 1048235528 /nfs/dbraw/zinc/23/55/28/1048235528.db2.gz SPBGJZZZIXYBLV-YCRPNKLZSA-N 0 3 322.452 4.426 20 0 DIADHN CC[S@](=O)CCN[C@@H](C)c1cc2c(ccc3ccccc23)o1 ZINC000776154598 1048236784 /nfs/dbraw/zinc/23/67/84/1048236784.db2.gz BEFQTGKIIPAKMO-XMHCIUCPSA-N 0 3 315.438 4.005 20 0 DIADHN CC(C)(O)CCN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000480762259 1048239212 /nfs/dbraw/zinc/23/92/12/1048239212.db2.gz DDPOLYIFAOIIFW-KRWDZBQOSA-N 0 3 321.823 4.319 20 0 DIADHN CCc1ccccc1Oc1ccc(Cl)cc1CN[C@@H](C)CO ZINC001201372559 1048241683 /nfs/dbraw/zinc/24/16/83/1048241683.db2.gz KHDAUKVVOCLHFS-ZDUSSCGKSA-N 0 3 319.832 4.165 20 0 DIADHN CC1=C[C@H](C)C[C@H](CN[C@@H](c2nc(C3CC3)no2)C(C)C)C1 ZINC000554972533 1048244318 /nfs/dbraw/zinc/24/43/18/1048244318.db2.gz OCXSEEUBAKYHTM-DUVNUKRYSA-N 0 3 303.450 4.226 20 0 DIADHN COc1ccc([C@@H](C)NCc2csc3ccccc23)cc1O ZINC000554988522 1048244372 /nfs/dbraw/zinc/24/43/72/1048244372.db2.gz MUGLWTSOBKOZAD-GFCCVEGCSA-N 0 3 313.422 4.466 20 0 DIADHN CCc1c2ccccc2oc1[C@H](C)NC[C@H](O)c1ccc(C)o1 ZINC000776162016 1048247590 /nfs/dbraw/zinc/24/75/90/1048247590.db2.gz BAYJQQNIHZWROA-BBRMVZONSA-N 0 3 313.397 4.281 20 0 DIADHN C[C@@H]1C[C@@H]1c1ccc(CNC[C@@]2(C)OCCc3sccc32)o1 ZINC000554982765 1048248425 /nfs/dbraw/zinc/24/84/25/1048248425.db2.gz ZRFYMPBFATYNJA-UVBSCNOISA-N 0 3 317.454 4.042 20 0 DIADHN CSc1cc(C)ccc1CNCc1cc2ccccc2n1C ZINC001201408072 1048252971 /nfs/dbraw/zinc/25/29/71/1048252971.db2.gz QSCHVLWOQWXPSF-UHFFFAOYSA-N 0 3 310.466 4.498 20 0 DIADHN C[C@@H](c1ccccc1)N1CC[C@H](Nc2nc(Cl)cs2)C1 ZINC001162272317 1048253340 /nfs/dbraw/zinc/25/33/40/1048253340.db2.gz DKFKDTDBTFFCMD-AAEUAGOBSA-N 0 3 307.850 4.044 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@@H](Nc2nc(Cl)cs2)C1 ZINC001162272318 1048253897 /nfs/dbraw/zinc/25/38/97/1048253897.db2.gz DKFKDTDBTFFCMD-DGCLKSJQSA-N 0 3 307.850 4.044 20 0 DIADHN CCOc1ccc(CNCc2ccc3[nH]c(C)c(C)c3c2)cc1 ZINC000065013674 1048260907 /nfs/dbraw/zinc/26/09/07/1048260907.db2.gz OTENAUJIPVNQRH-UHFFFAOYSA-N 0 3 308.425 4.473 20 0 DIADHN FC(F)(F)Oc1ccccc1CCNCc1ccc(Cl)o1 ZINC001201417142 1048261633 /nfs/dbraw/zinc/26/16/33/1048261633.db2.gz VGHNASKTMHINPX-UHFFFAOYSA-N 0 3 319.710 4.164 20 0 DIADHN CCOc1ccc([C@H](NC[C@H]2CCC=CO2)c2ccccc2)cc1 ZINC000774973795 1048264200 /nfs/dbraw/zinc/26/42/00/1048264200.db2.gz DMWWBXSMFQPRMV-NHCUHLMSSA-N 0 3 323.436 4.457 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(C)cc3F)c2C1 ZINC001175325117 1048264964 /nfs/dbraw/zinc/26/49/64/1048264964.db2.gz KJKLPORWVUMFLM-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN C[C@H](NC[C@@H](c1ccc(F)cc1)N(C)C)c1ccc(F)cc1F ZINC000480896913 1048267617 /nfs/dbraw/zinc/26/76/17/1048267617.db2.gz JYNLSSVKVUSACE-SGTLLEGYSA-N 0 3 322.374 4.057 20 0 DIADHN CC(C)Oc1ccccc1[C@@H](C)NC[C@@H]1CC1(Cl)Cl ZINC000774983288 1048269111 /nfs/dbraw/zinc/26/91/11/1048269111.db2.gz RAACVXHGLDGWHK-NEPJUHHUSA-N 0 3 302.245 4.318 20 0 DIADHN COc1ccc(CN[C@@H]2CCc3c2cccc3C)cc1Cl ZINC000776174271 1048269775 /nfs/dbraw/zinc/26/97/75/1048269775.db2.gz GLDJFUHWZHTGKG-QGZVFWFLSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1ccccc1[C@@H](O)CN[C@H](C)c1ccccc1OC(C)C ZINC000774991524 1048277087 /nfs/dbraw/zinc/27/70/87/1048277087.db2.gz MOTYDXVJPVPBAF-APWZRJJASA-N 0 3 313.441 4.166 20 0 DIADHN CC[C@H]1CCC[C@H]1NCc1nc(Cl)ccc1Br ZINC001201449341 1048278284 /nfs/dbraw/zinc/27/82/84/1048278284.db2.gz AGKPWXCYGXKRCP-GXSJLCMTSA-N 0 3 317.658 4.166 20 0 DIADHN Brc1ccc2nc(CNC3(C4CC4)CC3)sc2c1 ZINC000775001934 1048282051 /nfs/dbraw/zinc/28/20/51/1048282051.db2.gz DRFGUEAEMIBQDH-UHFFFAOYSA-N 0 3 323.259 4.091 20 0 DIADHN CCC(CC)(CO)CNCc1cc2cccc(C)c2nc1Cl ZINC001201501622 1048290183 /nfs/dbraw/zinc/29/01/83/1048290183.db2.gz HWUQOVRMANWUHM-UHFFFAOYSA-N 0 3 320.864 4.085 20 0 DIADHN COc1cccc(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001201475078 1048294865 /nfs/dbraw/zinc/29/48/65/1048294865.db2.gz ROFRNKFRBRKERI-UXHICEINSA-N 0 3 320.436 4.428 20 0 DIADHN Cc1cc(O)ccc1NC1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201477980 1048296050 /nfs/dbraw/zinc/29/60/50/1048296050.db2.gz PKCXDCMAWNERDR-MOPGFXCFSA-N 0 3 320.436 4.433 20 0 DIADHN Cc1ccc(C)c(NC2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001201475335 1048297336 /nfs/dbraw/zinc/29/73/36/1048297336.db2.gz UPJKJBYEENWKGK-UXHICEINSA-N 0 3 319.452 4.431 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2cc(Cl)ccc2Cl)cc1 ZINC000775015598 1048299182 /nfs/dbraw/zinc/29/91/82/1048299182.db2.gz HWRCXWAKADULEZ-INIZCTEOSA-N 0 3 310.224 4.125 20 0 DIADHN CN(c1cccc(N)c1)C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001201481639 1048300203 /nfs/dbraw/zinc/30/02/03/1048300203.db2.gz LBYBVEFPHUJLHU-UXHICEINSA-N 0 3 319.452 4.026 20 0 DIADHN CN(Cc1ccc(NC[C@H]2CCCc3ccccc32)nc1)C1CC1 ZINC001162554345 1048302769 /nfs/dbraw/zinc/30/27/69/1048302769.db2.gz GCYUEGRNYWSMNV-GOSISDBHSA-N 0 3 321.468 4.208 20 0 DIADHN C[C@@H](N[C@H](CN(C)C)c1ccc(Cl)cc1)c1cccc(F)c1 ZINC000555234295 1048303036 /nfs/dbraw/zinc/30/30/36/1048303036.db2.gz MOLKBNQTWGQSDH-FZKQIMNGSA-N 0 3 320.839 4.433 20 0 DIADHN CC[C@H](C)CN(C)[C@@H](c1ccc(Cl)cc1)c1cnccn1 ZINC000481084251 1048303916 /nfs/dbraw/zinc/30/39/16/1048303916.db2.gz BMZXYNLRTIVPRZ-GUYCJALGSA-N 0 3 303.837 4.197 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1ccc(F)c(OC)c1)CCC2 ZINC001201549406 1048305288 /nfs/dbraw/zinc/30/52/88/1048305288.db2.gz LPMKBQISMPRQFH-SFHVURJKSA-N 0 3 315.388 4.010 20 0 DIADHN NCc1ccnn1-c1ccc(-c2ccccc2)cc1C(F)(F)F ZINC001162598033 1048309873 /nfs/dbraw/zinc/30/98/73/1048309873.db2.gz ZDZHOZBVOYKMOB-UHFFFAOYSA-N 0 3 317.314 4.017 20 0 DIADHN CC[C@@H]1CCCC[C@H]1NCc1ncc(-c2ccc(OC)cc2)o1 ZINC000481166113 1048317161 /nfs/dbraw/zinc/31/71/61/1048317161.db2.gz CBSHVBDVYXPJIO-RHSMWYFYSA-N 0 3 314.429 4.409 20 0 DIADHN C[C@]1(Cc2ccc(F)c(F)c2)CCCN1Cc1ccns1 ZINC001175490544 1048326780 /nfs/dbraw/zinc/32/67/80/1048326780.db2.gz DZHIYWVWVSQQAB-MRXNPFEDSA-N 0 3 308.397 4.019 20 0 DIADHN CC(C)(C)C(=N)Nc1ccc2[nH]c(-c3cccc(N)c3)cc2n1 ZINC001162662568 1048331981 /nfs/dbraw/zinc/33/19/81/1048331981.db2.gz ZLLLPTUEEQVQAU-UHFFFAOYSA-N 0 3 307.401 4.247 20 0 DIADHN Cc1cccc(C)c1NC(=O)[C@@H]1CCCCN1CCC(C)C ZINC001201613500 1048332718 /nfs/dbraw/zinc/33/27/18/1048332718.db2.gz LOALQWSVVRCRMC-KRWDZBQOSA-N 0 3 302.462 4.143 20 0 DIADHN C[C@@H]1Cc2ccccc2[C@H]1NCc1ccc(OC(F)F)c(F)c1 ZINC000555419682 1048340285 /nfs/dbraw/zinc/34/02/85/1048340285.db2.gz SVALAKDSNHEGBZ-DIFFPNOSSA-N 0 3 321.342 4.450 20 0 DIADHN Cc1c(Cl)nccc1NC1=CCN(Cc2ccccc2)CC1 ZINC001175505184 1048340634 /nfs/dbraw/zinc/34/06/34/1048340634.db2.gz QVMWKNFPSNDUEW-UHFFFAOYSA-N 0 3 313.832 4.245 20 0 DIADHN COc1ccc(C)c(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175505363 1048343557 /nfs/dbraw/zinc/34/35/57/1048343557.db2.gz NCSWPCUFYQSRQI-UHFFFAOYSA-N 0 3 308.425 4.205 20 0 DIADHN Nc1cc(Cl)cc(NC2=CCN(Cc3ccccc3)CC2)c1 ZINC001175506730 1048344597 /nfs/dbraw/zinc/34/45/97/1048344597.db2.gz FUIYZHHOTKBLPH-UHFFFAOYSA-N 0 3 313.832 4.124 20 0 DIADHN COC1(CCN2CC=C(c3c(F)cccc3F)CC2)CCC1 ZINC000555427586 1048344943 /nfs/dbraw/zinc/34/49/43/1048344943.db2.gz CFXRLVUDGMJPAB-UHFFFAOYSA-N 0 3 307.384 4.013 20 0 DIADHN CCc1cccc(O)c1NC1=CCN(Cc2ccccc2)CC1 ZINC001175507608 1048346166 /nfs/dbraw/zinc/34/61/66/1048346166.db2.gz UEULLTJRVKVNLT-UHFFFAOYSA-N 0 3 308.425 4.156 20 0 DIADHN Brc1cncc(CN[C@@H](c2ccccc2)C2CC2)c1 ZINC000158453453 1048348292 /nfs/dbraw/zinc/34/82/92/1048348292.db2.gz UUDXYHDVAUYNCU-INIZCTEOSA-N 0 3 317.230 4.085 20 0 DIADHN Cc1cc(Nc2cccc3c2OCC[C@@H]3N(C)C)cc2c[nH]nc21 ZINC001175521329 1048352369 /nfs/dbraw/zinc/35/23/69/1048352369.db2.gz NCXUFBIZHOWCCQ-KRWDZBQOSA-N 0 3 322.412 4.000 20 0 DIADHN C[C@@H](N)c1nc2ccccc2n1-c1ccc(-c2ccccc2)nc1 ZINC001162765768 1048354233 /nfs/dbraw/zinc/35/42/33/1048354233.db2.gz JQPQXWVUXMWQMA-CQSZACIVSA-N 0 3 314.392 4.107 20 0 DIADHN CC(C)P(CCNC(=O)NCC1CCCCC1)C(C)C ZINC001186897985 1048354281 /nfs/dbraw/zinc/35/42/81/1048354281.db2.gz LNRFRDBCPQFUBD-UHFFFAOYSA-N 0 3 300.427 4.165 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(C4CC4)nc3)cccc21 ZINC001201718664 1048354492 /nfs/dbraw/zinc/35/44/92/1048354492.db2.gz IPFHGEFZBJDUQM-GOSISDBHSA-N 0 3 309.413 4.088 20 0 DIADHN C[C@H](N)c1nc2ccccc2n1-c1cccc(-c2cccnc2)c1 ZINC001162776795 1048357040 /nfs/dbraw/zinc/35/70/40/1048357040.db2.gz CTDUQBRTKHMCPH-AWEZNQCLSA-N 0 3 314.392 4.107 20 0 DIADHN Fc1ccc2ccc(CNC/C=C\c3ccccc3)nc2c1F ZINC001201692797 1048360530 /nfs/dbraw/zinc/36/05/30/1048360530.db2.gz HRDPITLUPJSWMO-DAXSKMNVSA-N 0 3 310.347 4.316 20 0 DIADHN CN(C)CCSc1nc(Cl)c(C(F)(F)F)cc1Cl ZINC001162812131 1048362832 /nfs/dbraw/zinc/36/28/32/1048362832.db2.gz KBIZWRICQPCFKH-UHFFFAOYSA-N 0 3 319.179 4.061 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(OC(C)(C)C)nc2)c(C)c1 ZINC001201734250 1048371934 /nfs/dbraw/zinc/37/19/34/1048371934.db2.gz HKGABCTVOSPUSQ-UHFFFAOYSA-N 0 3 312.457 4.474 20 0 DIADHN CCOCc1ccc(C(=O)NCCP(C(C)C)C(C)C)cc1 ZINC001187113105 1048372697 /nfs/dbraw/zinc/37/26/97/1048372697.db2.gz UHTHMLGIOHYHCO-UHFFFAOYSA-N 0 3 323.417 4.252 20 0 DIADHN CN1CCC=C(Nc2ccc(Oc3ccnc(Cl)c3)cc2)C1 ZINC001175578305 1048375688 /nfs/dbraw/zinc/37/56/88/1048375688.db2.gz KCZMOAHGFCJWPC-UHFFFAOYSA-N 0 3 315.804 4.159 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1ccc(C(F)F)nc1)CCC2 ZINC001201777334 1048377150 /nfs/dbraw/zinc/37/71/50/1048377150.db2.gz DKPINJISTXNVDN-MRXNPFEDSA-N 0 3 318.367 4.195 20 0 DIADHN Cc1cc(Cl)cc(CNCc2ccc3c(c2)OCCCO3)c1 ZINC000555536865 1048379345 /nfs/dbraw/zinc/37/93/45/1048379345.db2.gz BLVORNJQZHBIOT-UHFFFAOYSA-N 0 3 317.816 4.100 20 0 DIADHN Clc1ccc2nc([C@@H]3CCCN3C[C@@H]3CCC=CO3)[nH]c2c1 ZINC000775097830 1048381521 /nfs/dbraw/zinc/38/15/21/1048381521.db2.gz VYGRWRAAZJVYDH-BBRMVZONSA-N 0 3 317.820 4.046 20 0 DIADHN c1cn(Cc2ccccc2)c(CN2CCC[C@@H]2C2CCCCC2)n1 ZINC000555538385 1048383216 /nfs/dbraw/zinc/38/32/16/1048383216.db2.gz YULOSRWHISNFQT-HXUWFJFHSA-N 0 3 323.484 4.476 20 0 DIADHN CSc1ccc(CN[C@@H]2CCCc3cnn(C(C)C)c32)cc1 ZINC001201888144 1048390251 /nfs/dbraw/zinc/39/02/51/1048390251.db2.gz GBZWMYILNOZJIH-QGZVFWFLSA-N 0 3 315.486 4.353 20 0 DIADHN Brc1ccc(CN[C@H]2CCCc3sccc32)cn1 ZINC001201881123 1048394025 /nfs/dbraw/zinc/39/40/25/1048394025.db2.gz IINBBFAJUWPJAR-LBPRGKRZSA-N 0 3 323.259 4.073 20 0 DIADHN Cc1cnc(CN[C@H]2CCC(C)(C)C[C@@H]2c2ccccc2)nc1 ZINC001175610617 1048394383 /nfs/dbraw/zinc/39/43/83/1048394383.db2.gz HFESNTUYABDZKY-MSOLQXFVSA-N 0 3 309.457 4.237 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1cc3ccncc3s1)CCO2 ZINC001201921101 1048400941 /nfs/dbraw/zinc/40/09/41/1048400941.db2.gz ZKAJARIWOJMZBP-KRWDZBQOSA-N 0 3 324.449 4.472 20 0 DIADHN C[C@@H](NCc1cnc(C2CCCCC2)s1)c1cc[nH]c(=O)c1 ZINC000555608305 1048404750 /nfs/dbraw/zinc/40/47/50/1048404750.db2.gz DWYYNZRIJZNATO-GFCCVEGCSA-N 0 3 317.458 4.142 20 0 DIADHN Fc1cc(CNCc2ccc3occc3c2)ccc1OC(F)F ZINC000555619519 1048408982 /nfs/dbraw/zinc/40/89/82/1048408982.db2.gz UILPDTHTTZPXJJ-UHFFFAOYSA-N 0 3 321.298 4.463 20 0 DIADHN Cc1nccn1Cc1ccc(Nc2ccc3c(C)[nH]nc3c2)cc1 ZINC001175662509 1048411440 /nfs/dbraw/zinc/41/14/40/1048411440.db2.gz PQJWPXFYZIHZLA-UHFFFAOYSA-N 0 3 317.396 4.168 20 0 DIADHN Fc1ccccc1-c1cnc(CN2CC[C@@H](CC3CC3)C2)o1 ZINC000555706782 1048425311 /nfs/dbraw/zinc/42/53/11/1048425311.db2.gz TZDMCGHCQOMGRN-AWEZNQCLSA-N 0 3 300.377 4.103 20 0 DIADHN Fc1ccccc1COc1ccc(CN2CCC(F)CC2)cc1 ZINC001175732134 1048428138 /nfs/dbraw/zinc/42/81/38/1048428138.db2.gz ATQBKDCXCISAHN-UHFFFAOYSA-N 0 3 317.379 4.339 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2ccncc2Cl)cc1 ZINC001202051457 1048430970 /nfs/dbraw/zinc/43/09/70/1048430970.db2.gz ZUJWALBEFPYRKQ-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1cccc([C@@H](C)N2CCN([C@@H](C)c3ccccc3)CC2)c1 ZINC001175748381 1048433190 /nfs/dbraw/zinc/43/31/90/1048433190.db2.gz DLDNEHMECCOIKH-RBUKOAKNSA-N 0 3 308.469 4.435 20 0 DIADHN Cc1ccc(CCCN2CCN([C@@H](C)c3ccccc3)CC2)cc1 ZINC001175750667 1048434841 /nfs/dbraw/zinc/43/48/41/1048434841.db2.gz YNWVPIUSBSBTNK-FQEVSTJZSA-N 0 3 322.496 4.306 20 0 DIADHN CC(C)(C)c1cc(Nc2cnc(N)cc2C(F)(F)F)ccn1 ZINC001175707109 1048436759 /nfs/dbraw/zinc/43/67/59/1048436759.db2.gz VHZZDNVICRADHZ-UHFFFAOYSA-N 0 3 310.323 4.119 20 0 DIADHN FC(F)(F)[C@@H](CNCc1cc2cnccc2o1)c1ccccc1 ZINC001202065987 1048436925 /nfs/dbraw/zinc/43/69/25/1048436925.db2.gz GITWNSYMFVPKKN-HNNXBMFYSA-N 0 3 320.314 4.264 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4ccncc4c3)cccc21 ZINC001175708677 1048438163 /nfs/dbraw/zinc/43/81/63/1048438163.db2.gz UPZCVKVLLJUQIV-LJQANCHMSA-N 0 3 319.408 4.364 20 0 DIADHN C[C@H]1CCCCN(Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000481610663 1048439134 /nfs/dbraw/zinc/43/91/34/1048439134.db2.gz QZFREPBCQQHSDZ-LBPRGKRZSA-N 0 3 306.356 4.242 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccc(-c3ccccc3)cn1)CNC2 ZINC001163219794 1048439444 /nfs/dbraw/zinc/43/94/44/1048439444.db2.gz GSKONFGQGHCODB-MRXNPFEDSA-N 0 3 307.422 4.067 20 0 DIADHN Cc1ccc(Br)cc1N[C@@H]1CNCc2ccsc21 ZINC001163226741 1048440845 /nfs/dbraw/zinc/44/08/45/1048440845.db2.gz KYPVBBVYEZWNSZ-CYBMUJFWSA-N 0 3 323.259 4.075 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccnc(Oc3ccccc3)c1)CNC2 ZINC001163226210 1048441010 /nfs/dbraw/zinc/44/10/10/1048441010.db2.gz COBOVMGDWDMARJ-MRXNPFEDSA-N 0 3 323.421 4.192 20 0 DIADHN c1cc2c(s1)[C@@H](Nc1ccc(-c3ccncc3)cc1)CNC2 ZINC001163227632 1048441064 /nfs/dbraw/zinc/44/10/64/1048441064.db2.gz BJTIREVTKSMZBZ-KRWDZBQOSA-N 0 3 307.422 4.067 20 0 DIADHN c1cc2c(s1)[C@H](Nc1ccc(-c3ccccc3)nc1)CNC2 ZINC001163229846 1048441134 /nfs/dbraw/zinc/44/11/34/1048441134.db2.gz RKGVKIODIQHAGW-QGZVFWFLSA-N 0 3 307.422 4.067 20 0 DIADHN CCCCOc1cc(F)ccc1N[C@@H]1CNCc2ccsc21 ZINC001163229972 1048441151 /nfs/dbraw/zinc/44/11/51/1048441151.db2.gz SMJZNTDCQAJNFW-OAHLLOKOSA-N 0 3 320.433 4.323 20 0 DIADHN Cc1ccnc(CN[C@H]2CCC[C@H](C)CC2)c1Br ZINC001202040959 1048441823 /nfs/dbraw/zinc/44/18/23/1048441823.db2.gz XJCOHSZXTYSOAA-AAEUAGOBSA-N 0 3 311.267 4.211 20 0 DIADHN c1cc2c(s1)[C@H](Nc1cccnc1Oc1ccccc1)CNC2 ZINC001163227746 1048441610 /nfs/dbraw/zinc/44/16/10/1048441610.db2.gz XSCIIEMOSBSJAM-MRXNPFEDSA-N 0 3 323.421 4.192 20 0 DIADHN CC(C)C(C)(C)CNCc1ncc(Br)cc1Cl ZINC001202040663 1048442167 /nfs/dbraw/zinc/44/21/67/1048442167.db2.gz SPFZPNFBZFPCTA-UHFFFAOYSA-N 0 3 319.674 4.269 20 0 DIADHN CSc1ccc(F)c(C)c1N[C@@H]1CNCc2ccsc21 ZINC001163239920 1048442403 /nfs/dbraw/zinc/44/24/03/1048442403.db2.gz SVQCWAKCYDUAQH-GFCCVEGCSA-N 0 3 308.447 4.174 20 0 DIADHN COc1cc(N[C@H]2CNCc3ccsc32)cc(C(C)C)c1 ZINC001163238699 1048443279 /nfs/dbraw/zinc/44/32/79/1048443279.db2.gz MFZLPRIUUVXDJI-INIZCTEOSA-N 0 3 302.443 4.137 20 0 DIADHN COCc1ccccc1CNCc1cccc(C(F)(F)F)c1 ZINC000075673939 1048446963 /nfs/dbraw/zinc/44/69/63/1048446963.db2.gz FOOKBZYNLMRSIK-UHFFFAOYSA-N 0 3 309.331 4.142 20 0 DIADHN CCN1CCN(c2ccc(Nc3cccc(C)c3F)cc2)CC1 ZINC001175780847 1048449948 /nfs/dbraw/zinc/44/99/48/1048449948.db2.gz NKALNGDCJHAVEX-UHFFFAOYSA-N 0 3 313.420 4.020 20 0 DIADHN C=Cc1cccc(Nc2ccc(N3CCN(CC)CC3)cc2)c1 ZINC001175780868 1048450294 /nfs/dbraw/zinc/45/02/94/1048450294.db2.gz BVCXOLCJZWURAZ-UHFFFAOYSA-N 0 3 307.441 4.215 20 0 DIADHN CN1CCc2ccc(Nc3ccc(-c4ccccc4)nc3)cc2C1 ZINC001175834375 1048460796 /nfs/dbraw/zinc/46/07/96/1048460796.db2.gz IEROTXDMWVIEIH-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN C[C@@H](c1ccccc1F)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000481700303 1048462986 /nfs/dbraw/zinc/46/29/86/1048462986.db2.gz AQIHXDDRHFZBBS-MGPUTAFESA-N 0 3 311.400 4.408 20 0 DIADHN C(=C\c1ccccc1)\CN1CCC[C@]2(C1)OCc1ccccc12 ZINC000481711400 1048466882 /nfs/dbraw/zinc/46/68/82/1048466882.db2.gz DSSKELQFOUJBTN-RACPOZDESA-N 0 3 305.421 4.221 20 0 DIADHN CN1CCc2ccc(Nc3cnn(C4CCCCC4)c3)cc2C1 ZINC001175884698 1048472555 /nfs/dbraw/zinc/47/25/55/1048472555.db2.gz HHPFGMDUOKRSFS-UHFFFAOYSA-N 0 3 310.445 4.120 20 0 DIADHN C[C@H]1CC[C@@H](CNCc2ncc(Br)cc2Cl)C1 ZINC001202250729 1048490359 /nfs/dbraw/zinc/49/03/59/1048490359.db2.gz JBBXHFNEHCQXIU-VHSXEESVSA-N 0 3 317.658 4.023 20 0 DIADHN CSc1ccc(NC(=O)Nc2ccccc2CN(C)C)cc1 ZINC001188111032 1048477685 /nfs/dbraw/zinc/47/76/85/1048477685.db2.gz UKZHJCAYNOFWPV-UHFFFAOYSA-N 0 3 315.442 4.114 20 0 DIADHN C[C@@H]1[C@@H](C(F)(F)F)CCCN1Cc1ccc2ncccc2c1 ZINC000481767853 1048479784 /nfs/dbraw/zinc/47/97/84/1048479784.db2.gz LKAGAGLNMRBZFP-DOMZBBRYSA-N 0 3 308.347 4.398 20 0 DIADHN Cc1ccc([C@H](C)N[C@@H](C)c2ccnn2CC2CCC2)s1 ZINC000924768359 1048479877 /nfs/dbraw/zinc/47/98/77/1048479877.db2.gz YEUCLPYYRJPWSJ-KBPBESRZSA-N 0 3 303.475 4.465 20 0 DIADHN Cc1ccc([C@@H](C)N[C@H](C)c2ccnn2CC2CCC2)s1 ZINC000924768362 1048480384 /nfs/dbraw/zinc/48/03/84/1048480384.db2.gz YEUCLPYYRJPWSJ-ZIAGYGMSSA-N 0 3 303.475 4.465 20 0 DIADHN CC(C)c1nc(CN[C@H]2C[C@H](c3ccccc3)C2)c2ccccn21 ZINC001202212498 1048483867 /nfs/dbraw/zinc/48/38/67/1048483867.db2.gz FGSZXHBTRWIOLR-IYARVYRRSA-N 0 3 319.452 4.494 20 0 DIADHN Cc1cc(N[C@H]2CCCC[C@@]23CNCCO3)cc(C(C)(C)C)c1 ZINC001163653048 1048496668 /nfs/dbraw/zinc/49/66/68/1048496668.db2.gz COBYRNOZKLOXFO-AZUAARDMSA-N 0 3 316.489 4.006 20 0 DIADHN C[C@@H](CN(C)CC(=O)Nc1ccc(F)c(Cl)c1)C(C)(C)C ZINC001175982256 1048515232 /nfs/dbraw/zinc/51/52/32/1048515232.db2.gz DAFWBEQKPYHTHQ-NSHDSACASA-N 0 3 314.832 4.032 20 0 DIADHN CCc1nc(CCN[C@H](C)c2cc(Cl)ccc2OC)cs1 ZINC000481930080 1048531348 /nfs/dbraw/zinc/53/13/48/1048531348.db2.gz YRWADIXUAADDNT-LLVKDONJSA-N 0 3 324.877 4.261 20 0 DIADHN CCN(CC)[C@@H](CN[C@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000481947686 1048535218 /nfs/dbraw/zinc/53/52/18/1048535218.db2.gz NKCGNQDWZGXSEK-DYVFJYSZSA-N 0 3 322.399 4.292 20 0 DIADHN C[C@]1(CN2CC[C@@H](c3c(F)cccc3F)C2)CC1(Cl)Cl ZINC001176021423 1048536746 /nfs/dbraw/zinc/53/67/46/1048536746.db2.gz PLLRDMHZMJPRAZ-QMTHXVAHSA-N 0 3 320.210 4.338 20 0 DIADHN FC(F)(F)CCCN(Cc1ccccc1)Cc1ccccn1 ZINC001202377838 1048538878 /nfs/dbraw/zinc/53/88/78/1048538878.db2.gz OJFRUHUIBWPFFJ-UHFFFAOYSA-N 0 3 308.347 4.426 20 0 DIADHN Cc1cc(CNC2(C3(C)CC3)CC2)c(Br)cc1F ZINC001202382349 1048539898 /nfs/dbraw/zinc/53/98/98/1048539898.db2.gz XZLIYOLARPHOJA-UHFFFAOYSA-N 0 3 312.226 4.319 20 0 DIADHN Cc1ccc(OC2CCN(CCCC(F)(F)F)CC2)cc1 ZINC001202415470 1048543900 /nfs/dbraw/zinc/54/39/00/1048543900.db2.gz LSOMGKKQAQFMLO-UHFFFAOYSA-N 0 3 301.352 4.181 20 0 DIADHN Cc1ccccc1O[C@H]1CCCN(CCCC(F)(F)F)C1 ZINC001202414558 1048544429 /nfs/dbraw/zinc/54/44/29/1048544429.db2.gz CPIMEONNTQRXKP-AWEZNQCLSA-N 0 3 301.352 4.181 20 0 DIADHN Nc1cccc(N2CC=C(c3cc(Cl)cc(Cl)c3)CC2)n1 ZINC001163902642 1048547587 /nfs/dbraw/zinc/54/75/87/1048547587.db2.gz FWRMYLOXLJBGKO-UHFFFAOYSA-N 0 3 320.223 4.264 20 0 DIADHN Cc1ccccc1C[C@@H](C)NCc1cc(-c2ccccc2)on1 ZINC000482032989 1048547598 /nfs/dbraw/zinc/54/75/98/1048547598.db2.gz QUYNCMHQJXTGBN-MRXNPFEDSA-N 0 3 306.409 4.371 20 0 DIADHN C[C@@H]1C[C@@H](c2cccnc2)N(Cc2cc3ccncc3s2)C1 ZINC000667985741 1048548527 /nfs/dbraw/zinc/54/85/27/1048548527.db2.gz GQISBKFPPPFAQG-DYVFJYSZSA-N 0 3 309.438 4.274 20 0 DIADHN Clc1cc(Nc2ncc[nH]2)c(Cl)cc1Br ZINC001176085586 1048549984 /nfs/dbraw/zinc/54/99/84/1048549984.db2.gz LHQRRCZNPIHHSW-UHFFFAOYSA-N 0 3 306.978 4.223 20 0 DIADHN Cc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)c(F)c1F ZINC001176093083 1048551027 /nfs/dbraw/zinc/55/10/27/1048551027.db2.gz ISAQVQRDSLXACR-OAHLLOKOSA-N 0 3 318.367 4.402 20 0 DIADHN Cc1ccc2cc(CN3C[C@H](C)C[C@H]3c3cccnc3)[nH]c2c1 ZINC000667986235 1048555932 /nfs/dbraw/zinc/55/59/32/1048555932.db2.gz VEPJUMNNVYQDBP-QRWLVFNGSA-N 0 3 305.425 4.454 20 0 DIADHN Cc1cccc2[nH]c(CN3C[C@H](C)C[C@H]3c3cccnc3)cc21 ZINC000667985975 1048558517 /nfs/dbraw/zinc/55/85/17/1048558517.db2.gz MGKYOPLDGDNDQB-VLIAUNLRSA-N 0 3 305.425 4.454 20 0 DIADHN Cc1cc(C)cc([C@@H](C)NCc2ccc(OCC3CC3)nc2)c1 ZINC000482116685 1048559641 /nfs/dbraw/zinc/55/96/41/1048559641.db2.gz XBTCUDPSNZDGBG-MRXNPFEDSA-N 0 3 310.441 4.338 20 0 DIADHN FC(F)(F)CCCN1CCC[C@H](OCc2ccccc2)C1 ZINC001202434095 1048559881 /nfs/dbraw/zinc/55/98/81/1048559881.db2.gz YSQFTSYNZUFZPD-HNNXBMFYSA-N 0 3 301.352 4.010 20 0 DIADHN Cc1ccc2ccc(F)c(Nc3ccccc3CN(C)C)c2n1 ZINC001176117001 1048572646 /nfs/dbraw/zinc/57/26/46/1048572646.db2.gz OBRIQSYKNXCPKI-UHFFFAOYSA-N 0 3 309.388 4.488 20 0 DIADHN Cc1ccccc1[C@@H](CO)N(C)Cc1ccc(C(F)F)cc1 ZINC001176176076 1048579605 /nfs/dbraw/zinc/57/96/05/1048579605.db2.gz PBGCFYXIECZATF-QGZVFWFLSA-N 0 3 305.368 4.098 20 0 DIADHN Cc1ccccc1[C@H](CO)N(C)Cc1ccc(C(F)F)cc1 ZINC001176176074 1048582086 /nfs/dbraw/zinc/58/20/86/1048582086.db2.gz PBGCFYXIECZATF-KRWDZBQOSA-N 0 3 305.368 4.098 20 0 DIADHN CCN(Cc1cc(COC)cs1)Cc1c[nH]c2ccccc12 ZINC000668035239 1048601758 /nfs/dbraw/zinc/60/17/58/1048601758.db2.gz HAWCDLZQSQWPJE-UHFFFAOYSA-N 0 3 314.454 4.398 20 0 DIADHN Cc1csc(C[C@@H]2CCCN(Cc3ccccc3F)C2)n1 ZINC001176217551 1048605471 /nfs/dbraw/zinc/60/54/71/1048605471.db2.gz IGANWYWQIHOFRQ-AWEZNQCLSA-N 0 3 304.434 4.045 20 0 DIADHN CC[C@@H](NCc1cc(COC)cs1)c1ccccc1OC ZINC000850028601 1048610527 /nfs/dbraw/zinc/61/05/27/1048610527.db2.gz NJXCVXVVIQZLFR-MRXNPFEDSA-N 0 3 305.443 4.144 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc(-c3ccccc3)c[nH]2)s1 ZINC000194324880 1048614605 /nfs/dbraw/zinc/61/46/05/1048614605.db2.gz AJIXEXFLNDHHBM-ZDUSSCGKSA-N 0 3 311.454 4.167 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2sc(C)nc2C)cc1OC ZINC000194383831 1048618320 /nfs/dbraw/zinc/61/83/20/1048618320.db2.gz NZOHJFFKSTZXNY-WDEREUQCSA-N 0 3 320.458 4.189 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cncc(C4CC4)c3)cccc21 ZINC001176285174 1048618580 /nfs/dbraw/zinc/61/85/80/1048618580.db2.gz ULSZKCMVEAZVIG-SFHVURJKSA-N 0 3 309.413 4.088 20 0 DIADHN C[C@H](CCC1CCCCC1)[NH2+][C@@H](c1nnc[n-]1)c1ccccc1 ZINC000482500538 1048618758 /nfs/dbraw/zinc/61/87/58/1048618758.db2.gz ILJJRXSYQMGVOU-CRAIPNDOSA-N 0 3 312.461 4.233 20 0 DIADHN CC[C@@H](CN(CC)Cc1ccn(C(F)F)n1)c1ccccc1 ZINC000482505919 1048621327 /nfs/dbraw/zinc/62/13/27/1048621327.db2.gz IOWPKYPVIQILRC-AWEZNQCLSA-N 0 3 307.388 4.294 20 0 DIADHN CC(=O)c1cc(Cl)ccc1Nc1ccc(CN(C)C)cc1 ZINC001176293537 1048622069 /nfs/dbraw/zinc/62/20/69/1048622069.db2.gz MFKGBYYFANQHIB-UHFFFAOYSA-N 0 3 302.805 4.348 20 0 DIADHN CC(C)OC(=O)C1CCN(Cc2ccc3ccccc3c2)CC1 ZINC001176262132 1048627089 /nfs/dbraw/zinc/62/70/89/1048627089.db2.gz HELWNZWJJSYRIX-UHFFFAOYSA-N 0 3 311.425 4.003 20 0 DIADHN Cc1cccc(Oc2ccccc2CNCc2ccn(C)c2)c1 ZINC000482541099 1048627870 /nfs/dbraw/zinc/62/78/70/1048627870.db2.gz CJIVCWHVDSDONM-UHFFFAOYSA-N 0 3 306.409 4.416 20 0 DIADHN Clc1nc2ccccc2cc1-c1cc(CN2CCCC2)on1 ZINC000901400099 1048636401 /nfs/dbraw/zinc/63/64/01/1048636401.db2.gz KTILAWJFINJFGP-UHFFFAOYSA-N 0 3 313.788 4.139 20 0 DIADHN Fc1cccc(F)c1-c1ccc(CN[C@@H]2COC3(CCC3)C2)o1 ZINC000850367895 1048640858 /nfs/dbraw/zinc/64/08/58/1048640858.db2.gz RMPIIBYMEFSRIY-LBPRGKRZSA-N 0 3 319.351 4.026 20 0 DIADHN C[C@H](S[C@@H]1CCCN(Cc2ccccc2)C1)C(F)(F)F ZINC001189584603 1048643635 /nfs/dbraw/zinc/64/36/35/1048643635.db2.gz WICGKEVDRDVLLM-GXTWGEPZSA-N 0 3 303.393 4.335 20 0 DIADHN C[C@@H](S[C@H]1CCCN(Cc2ccccc2)C1)C(F)(F)F ZINC001189584606 1048643744 /nfs/dbraw/zinc/64/37/44/1048643744.db2.gz WICGKEVDRDVLLM-OCCSQVGLSA-N 0 3 303.393 4.335 20 0 DIADHN Cn1ncc(C2CC2)c1CNCc1ccccc1-c1ccccc1 ZINC000850379985 1048645063 /nfs/dbraw/zinc/64/50/63/1048645063.db2.gz LUAMIIMOJZODNO-UHFFFAOYSA-N 0 3 317.436 4.254 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cc(Cl)cs1)c1ccccc1 ZINC000850396524 1048646114 /nfs/dbraw/zinc/64/61/14/1048646114.db2.gz XKFFWDHVTWFGSZ-JKSUJKDBSA-N 0 3 309.862 4.249 20 0 DIADHN CC1(C)CCc2cc(CN[C@H]3CCc4ccc(O)cc43)ccc2O1 ZINC000850386479 1048646845 /nfs/dbraw/zinc/64/68/45/1048646845.db2.gz JPEUQMVPTYDLCF-IBGZPJMESA-N 0 3 323.436 4.273 20 0 DIADHN CC[C@@H](NCCOC1CCCCC1)c1cc(F)ccc1OC ZINC001176311984 1048647737 /nfs/dbraw/zinc/64/77/37/1048647737.db2.gz QDMGZLTUIVWWCL-QGZVFWFLSA-N 0 3 309.425 4.224 20 0 DIADHN CC[C@@H](NC[C@@H](OC)C1CCCCC1)c1cc(F)ccc1OC ZINC001176313042 1048647831 /nfs/dbraw/zinc/64/78/31/1048647831.db2.gz ZVYDBNUNEFYLOQ-IEBWSBKVSA-N 0 3 323.452 4.470 20 0 DIADHN C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1cc2ccncc2s1 ZINC000668091631 1048648613 /nfs/dbraw/zinc/64/86/13/1048648613.db2.gz KYFZSDNMCBIGMD-BXKDBHETSA-N 0 3 300.349 4.069 20 0 DIADHN Cc1cc(F)c(CNCCC2SCCS2)c(Cl)c1 ZINC000850408067 1048649448 /nfs/dbraw/zinc/64/94/48/1048649448.db2.gz XBWSNWHHRBZXQC-UHFFFAOYSA-N 0 3 305.871 4.073 20 0 DIADHN COc1cc(Cl)ccc1CN[C@H]1c2ccccc2C[C@H]1C ZINC000482639046 1048650789 /nfs/dbraw/zinc/65/07/89/1048650789.db2.gz FPERLHXYLHMIPR-KZULUSFZSA-N 0 3 301.817 4.372 20 0 DIADHN COc1cccc(CNCc2ccccc2N2CCCCC2)c1C ZINC000850416472 1048651998 /nfs/dbraw/zinc/65/19/98/1048651998.db2.gz IUDSAIHSOBMPFI-UHFFFAOYSA-N 0 3 324.468 4.284 20 0 DIADHN Cc1nc(C)c([C@H](C)N[C@H](C)c2ccc3c(c2)CCCO3)s1 ZINC000850424189 1048652257 /nfs/dbraw/zinc/65/22/57/1048652257.db2.gz DVSZTOKJZCYYQT-NEPJUHHUSA-N 0 3 316.470 4.497 20 0 DIADHN C[C@H](N[C@H]1COC2(CCC2)C1)c1cc2cc(Cl)ccc2o1 ZINC000850442681 1048658840 /nfs/dbraw/zinc/65/88/40/1048658840.db2.gz FTKRNDDMKQZKMU-SMDDNHRTSA-N 0 3 305.805 4.448 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc2cnccc2o1)c1ccc(F)cc1 ZINC000850444722 1048658984 /nfs/dbraw/zinc/65/89/84/1048658984.db2.gz KJYYHSCWNLJCBD-KZULUSFZSA-N 0 3 314.360 4.005 20 0 DIADHN COc1cc(F)cc(CN[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000850447606 1048660003 /nfs/dbraw/zinc/66/00/03/1048660003.db2.gz HZGIODQJCKYYJU-CYBMUJFWSA-N 0 3 315.388 4.010 20 0 DIADHN c1cnc(N2CC[C@@H](C3CCCCC3)C2)c(CN2CCCC2)c1 ZINC001165143203 1048661049 /nfs/dbraw/zinc/66/10/49/1048661049.db2.gz CHSXLGZJSXUITG-GOSISDBHSA-N 0 3 313.489 4.084 20 0 DIADHN C[C@H](NC1(c2ccc(F)cc2)CC1)c1ccc2c(c1)CCCO2 ZINC000850451544 1048662466 /nfs/dbraw/zinc/66/24/66/1048662466.db2.gz BTRFGUMAKMTUAL-AWEZNQCLSA-N 0 3 311.400 4.491 20 0 DIADHN COc1ccc(C2(N[C@H](C)c3ccc4c(c3)CCCO4)CC2)cc1 ZINC000850451842 1048662506 /nfs/dbraw/zinc/66/25/06/1048662506.db2.gz HLUZKKCWHXBUCJ-OAHLLOKOSA-N 0 3 323.436 4.360 20 0 DIADHN CCSC[C@H](C)N[C@@H](C)c1ccccc1Br ZINC000157048622 1048672356 /nfs/dbraw/zinc/67/23/56/1048672356.db2.gz SSVCGFBQHPCESC-QWRGUYRKSA-N 0 3 302.281 4.241 20 0 DIADHN C[C@@H](N[C@@H]1C=CCC1)c1ccc(OC(F)F)cc1OC(F)F ZINC000850511923 1048675302 /nfs/dbraw/zinc/67/53/02/1048675302.db2.gz QSNCQGWRXSTTSO-NXEZZACHSA-N 0 3 319.298 4.259 20 0 DIADHN C[C@@H](N[C@H]1COC2(CCC2)C1)c1ccc(-c2ccccc2)cn1 ZINC000850523357 1048676422 /nfs/dbraw/zinc/67/64/22/1048676422.db2.gz HATVUHKSECPHOD-CRAIPNDOSA-N 0 3 308.425 4.111 20 0 DIADHN CCOc1ccc(Cl)cc1[C@H](C)N[C@@H]1COC2(CCC2)C1 ZINC000850525926 1048678920 /nfs/dbraw/zinc/67/89/20/1048678920.db2.gz SDRNSVMVDNVIMH-JSGCOSHPSA-N 0 3 309.837 4.101 20 0 DIADHN CCOc1ccc(Cl)cc1[C@@H](C)N[C@@H]1COC2(CCC2)C1 ZINC000850525927 1048679486 /nfs/dbraw/zinc/67/94/86/1048679486.db2.gz SDRNSVMVDNVIMH-OCCSQVGLSA-N 0 3 309.837 4.101 20 0 DIADHN CCC[C@H](N[C@H]1C[C@@H](O)c2ccccc21)c1ccc(OC)cc1 ZINC000850528639 1048680160 /nfs/dbraw/zinc/68/01/60/1048680160.db2.gz JJJDUTSHPYARKT-SLFFLAALSA-N 0 3 311.425 4.304 20 0 DIADHN C[C@@H]1CCc2c(F)cccc2[C@@H]1N[C@H]1C[C@H](O)c2ccccc21 ZINC000850528188 1048680386 /nfs/dbraw/zinc/68/03/86/1048680386.db2.gz JGZWBSXPOYIOBY-PYSHFNSBSA-N 0 3 311.400 4.217 20 0 DIADHN COc1cc(C)c([C@H](C)N[C@@H]2C[C@@H](O)c3ccccc32)cc1C ZINC000850527007 1048680913 /nfs/dbraw/zinc/68/09/13/1048680913.db2.gz DSUUUXRWCTVLJC-GDIGMMSISA-N 0 3 311.425 4.141 20 0 DIADHN O[C@@H]1C[C@H](N[C@@H]2CCCc3c(Cl)cccc32)c2ccccc21 ZINC000850526709 1048681375 /nfs/dbraw/zinc/68/13/75/1048681375.db2.gz CWDHOGMCWYTBKI-CEXWTWQISA-N 0 3 313.828 4.485 20 0 DIADHN CC[C@H](N[C@@H]1C[C@@H](O)c2ccccc21)c1ccc2c(c1)CCCO2 ZINC000850528375 1048681532 /nfs/dbraw/zinc/68/15/32/1048681532.db2.gz LBPNCRZXQJFZLS-XUVXKRRUSA-N 0 3 323.436 4.231 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(F)cc(N2CCCC2)c1 ZINC001176421942 1048684872 /nfs/dbraw/zinc/68/48/72/1048684872.db2.gz WDBUAYBAQNSRRF-UHFFFAOYSA-N 0 3 313.420 4.231 20 0 DIADHN C[C@@H](NCCCC1CCC1)c1nc(Br)cs1 ZINC000850535807 1048685490 /nfs/dbraw/zinc/68/54/90/1048685490.db2.gz BVXDODBPKJOLGF-SECBINFHSA-N 0 3 303.269 4.137 20 0 DIADHN Cc1c2ccccc2oc1[C@@H](C)NC[C@]12OCCC[C@H]1C2(F)F ZINC000850534167 1048685671 /nfs/dbraw/zinc/68/56/71/1048685671.db2.gz KFAFACORPDHQSG-VMGRFDJRSA-N 0 3 321.367 4.206 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1c(C)cccc1C(C)C ZINC000482840888 1048687643 /nfs/dbraw/zinc/68/76/43/1048687643.db2.gz KDSDMMDUZPQJLB-HNNXBMFYSA-N 0 3 304.478 4.425 20 0 DIADHN c1ccc(CN2CC(CNc3cccc4c3CCCC4)C2)cc1 ZINC001165442062 1048688484 /nfs/dbraw/zinc/68/84/84/1048688484.db2.gz HHLOTJOPBYGULF-UHFFFAOYSA-N 0 3 306.453 4.109 20 0 DIADHN c1ccc(CN2CC(CNc3cccc(C4CCC4)c3)C2)cc1 ZINC001165468837 1048689987 /nfs/dbraw/zinc/68/99/87/1048689987.db2.gz GYTCWWNFAPDGBP-UHFFFAOYSA-N 0 3 306.453 4.498 20 0 DIADHN CO[C@@H](CN[C@H](C)c1nc2ccccc2s1)C1CCCC1 ZINC000850561306 1048692982 /nfs/dbraw/zinc/69/29/82/1048692982.db2.gz NLUBKNIGOGBJPG-DOMZBBRYSA-N 0 3 304.459 4.152 20 0 DIADHN CC[C@@H](NCC1SCCS1)c1ccccc1OC(F)F ZINC000850561256 1048693139 /nfs/dbraw/zinc/69/31/39/1048693139.db2.gz RNZCXDLLPXCDNX-LLVKDONJSA-N 0 3 319.442 4.135 20 0 DIADHN CC(NC[C@H](O)c1ccccc1)(c1ccccc1)c1ccccc1 ZINC000775367163 1048708750 /nfs/dbraw/zinc/70/87/50/1048708750.db2.gz WTIWCEITTGVUIX-NRFANRHFSA-N 0 3 317.432 4.273 20 0 DIADHN CC[C@@H](c1cccc(OC)c1)N(C)Cc1cc(COC)cs1 ZINC000668174201 1048712963 /nfs/dbraw/zinc/71/29/63/1048712963.db2.gz GXHQGWDJMRXWOV-SFHVURJKSA-N 0 3 319.470 4.486 20 0 DIADHN Cc1ccncc1CN(C)CCc1cccc(Cl)c1Cl ZINC000482972419 1048714535 /nfs/dbraw/zinc/71/45/35/1048714535.db2.gz ASKVIUSWVQHLTK-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN CN(C[C@H]1OCCc2ccccc21)[C@H]1CCc2ccc(F)cc21 ZINC000482980510 1048716342 /nfs/dbraw/zinc/71/63/42/1048716342.db2.gz JRYHWIMKSRKSMB-VQTJNVASSA-N 0 3 311.400 4.059 20 0 DIADHN COCc1csc(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)c1 ZINC000668183908 1048717724 /nfs/dbraw/zinc/71/77/24/1048717724.db2.gz TWPCGXJKMYGHDR-KGLIPLIRSA-N 0 3 321.408 4.447 20 0 DIADHN CC[C@H]1c2ccsc2CCN1C[C@H]1CCC2(CCC2)CO1 ZINC001190331266 1048718558 /nfs/dbraw/zinc/71/85/58/1048718558.db2.gz BDSLNQREZHODIC-ZBFHGGJFSA-N 0 3 305.487 4.407 20 0 DIADHN Cc1ccncc1CN(C)CCc1cc(Cl)cc(Cl)c1 ZINC000483008610 1048722027 /nfs/dbraw/zinc/72/20/27/1048722027.db2.gz BNPLZGDMEYZVPD-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN Cc1nn(C)cc1CN1CCC[C@H]1c1ccc(C(C)(C)C)cc1 ZINC001190382619 1048723409 /nfs/dbraw/zinc/72/34/09/1048723409.db2.gz KIIUFKBKRKERQM-IBGZPJMESA-N 0 3 311.473 4.363 20 0 DIADHN CN(CCC(=O)OC(C)(C)C)Cc1cc(Cl)cc(Cl)c1 ZINC001190383629 1048724904 /nfs/dbraw/zinc/72/49/04/1048724904.db2.gz QLUBPSOHIFYMRP-UHFFFAOYSA-N 0 3 318.244 4.157 20 0 DIADHN CC[C@](O)(CN(Cc1cccc(Cl)c1)C(C)C)C(F)(F)F ZINC001190365909 1048727949 /nfs/dbraw/zinc/72/79/49/1048727949.db2.gz MBXZHBHBRWJNGB-AWEZNQCLSA-N 0 3 323.786 4.254 20 0 DIADHN CCN(CCCO)Cc1cnc(-c2ccc(C(C)C)cc2)s1 ZINC000483074715 1048732975 /nfs/dbraw/zinc/73/29/75/1048732975.db2.gz NUUQXRIQXQKJBI-UHFFFAOYSA-N 0 3 318.486 4.138 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@H]1COC2(CCCCC2)O1 ZINC001190417574 1048733475 /nfs/dbraw/zinc/73/34/75/1048733475.db2.gz LQJXYNPMBQLGIX-PBHICJAKSA-N 0 3 323.864 4.409 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)C[C@H]1COC2(CCCCC2)O1 ZINC001190417577 1048734046 /nfs/dbraw/zinc/73/40/46/1048734046.db2.gz LQJXYNPMBQLGIX-YOEHRIQHSA-N 0 3 323.864 4.409 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C)[C@@H]2CCC(C)(C)C2)s1 ZINC000483102877 1048735008 /nfs/dbraw/zinc/73/50/08/1048735008.db2.gz RLNHWZLFOIFRDI-SNVBAGLBSA-N 0 3 306.397 4.481 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N(C)C[C@@H]1COC2(CCCCC2)O1 ZINC001190417575 1048734461 /nfs/dbraw/zinc/73/44/61/1048734461.db2.gz LQJXYNPMBQLGIX-RHSMWYFYSA-N 0 3 323.864 4.409 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)CN(C)[C@@H]1CCC(C)(C)C1 ZINC000483097909 1048735132 /nfs/dbraw/zinc/73/51/32/1048735132.db2.gz IVFONHQVAMNDCC-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1ccc(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)c(C)n1 ZINC001176610245 1048736501 /nfs/dbraw/zinc/73/65/01/1048736501.db2.gz NDCHFLLSNDVKOY-OAQYLSRUSA-N 0 3 320.436 4.139 20 0 DIADHN Fc1ccc([C@@H]2CCN(Cc3nc4ccccc4s3)C2)cc1 ZINC000483106854 1048736897 /nfs/dbraw/zinc/73/68/97/1048736897.db2.gz VKDMMXFIHHBAOW-CQSZACIVSA-N 0 3 312.413 4.425 20 0 DIADHN CN(Cc1nnc(-c2ccccc2Cl)o1)[C@H]1CCC(C)(C)C1 ZINC000483113479 1048739947 /nfs/dbraw/zinc/73/99/47/1048739947.db2.gz JXAQCJNTEUGLEJ-LBPRGKRZSA-N 0 3 319.836 4.401 20 0 DIADHN CC[C@](C)(NCc1ccc(C(=O)OC)c(F)c1)c1ccccc1 ZINC000775388389 1048741897 /nfs/dbraw/zinc/74/18/97/1048741897.db2.gz QXCVBONRQPKBTH-IBGZPJMESA-N 0 3 315.388 4.027 20 0 DIADHN CCN(CC1CCC1)[C@H](C)C(=O)Nc1cc(Cl)ccc1C ZINC000483130383 1048741970 /nfs/dbraw/zinc/74/19/70/1048741970.db2.gz OEIMKOIXYBBJIM-CYBMUJFWSA-N 0 3 308.853 4.097 20 0 DIADHN CC[C@@H]1CN([C@@H](C)c2ccc(C(C)C)cc2)C[C@@]2(CCOC2)O1 ZINC000483147734 1048746934 /nfs/dbraw/zinc/74/69/34/1048746934.db2.gz BTGCVVSLAZXDTJ-PWIZWCRZSA-N 0 3 317.473 4.141 20 0 DIADHN CCCN(C/C=C(/C)C(=O)OC)[C@@H](C)c1cc2ccccc2o1 ZINC001190518957 1048748395 /nfs/dbraw/zinc/74/83/95/1048748395.db2.gz KQFWUCZTKIQVHH-LTBFUDJGSA-N 0 3 315.413 4.325 20 0 DIADHN CCCCC(=O)CS[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001166393643 1048750184 /nfs/dbraw/zinc/75/01/84/1048750184.db2.gz SOXDNFLBUYFPLW-GOSISDBHSA-N 0 3 305.487 4.144 20 0 DIADHN Cc1cccc(CN(Cc2cc(CO)ccc2F)CC(C)C)c1 ZINC001190559804 1048755965 /nfs/dbraw/zinc/75/59/65/1048755965.db2.gz FKIDOIRSBZBJJM-UHFFFAOYSA-N 0 3 315.432 4.285 20 0 DIADHN CC(C)[C@H]1CCN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483238040 1048762891 /nfs/dbraw/zinc/76/28/91/1048762891.db2.gz ZXWRADYMGHTWHT-NSHDSACASA-N 0 3 312.335 4.325 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N1CCC[C@H](C)CC1 ZINC001190660480 1048765935 /nfs/dbraw/zinc/76/59/35/1048765935.db2.gz KBHFYUAHVSNKDE-HOTGVXAUSA-N 0 3 310.441 4.289 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccsc3Cl)C2)cc1 ZINC000483279225 1048769717 /nfs/dbraw/zinc/76/97/17/1048769717.db2.gz QIGDXFCDNPYJSU-CYBMUJFWSA-N 0 3 307.846 4.400 20 0 DIADHN C[C@@H](c1cccc(O)c1)N(C)Cc1ccc(OCC2CC2)cc1 ZINC000483273925 1048770204 /nfs/dbraw/zinc/77/02/04/1048770204.db2.gz ZZPUCMVVCQRALE-HNNXBMFYSA-N 0 3 311.425 4.374 20 0 DIADHN Cc1ccc(-c2nnc(CN(CC3CC3)CC3CCC3)o2)cc1 ZINC000483277394 1048770573 /nfs/dbraw/zinc/77/05/73/1048770573.db2.gz KMLXUILZBNMGGF-UHFFFAOYSA-N 0 3 311.429 4.057 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(Cl)cc3)cccc21 ZINC001176729712 1048774085 /nfs/dbraw/zinc/77/40/85/1048774085.db2.gz MHRQVWKDZUNXTE-MRXNPFEDSA-N 0 3 302.805 4.469 20 0 DIADHN Clc1ccc(-c2noc(CN(CC3CC3)C3CCC3)n2)cc1 ZINC000483293865 1048775524 /nfs/dbraw/zinc/77/55/24/1048775524.db2.gz NADJSKWYLSUTRF-UHFFFAOYSA-N 0 3 317.820 4.155 20 0 DIADHN Cc1ncsc1-c1ccc(CN2CC3(CC(F)C3)C2)cc1 ZINC000668232548 1048779348 /nfs/dbraw/zinc/77/93/48/1048779348.db2.gz WHKBGAKSWJMPGI-UHFFFAOYSA-N 0 3 302.418 4.052 20 0 DIADHN Cc1ccc2c(c1)CN(C[C@H](O)Cc1ccc3ccccc3c1)C2 ZINC000483328458 1048781583 /nfs/dbraw/zinc/78/15/83/1048781583.db2.gz VZNXQUAMJAJKCJ-JOCHJYFZSA-N 0 3 317.432 4.067 20 0 DIADHN CCCC[C@@H](CC)N[C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000483362715 1048783840 /nfs/dbraw/zinc/78/38/40/1048783840.db2.gz AWXGFHYBJWTHLA-IUODEOHRSA-N 0 3 305.397 4.495 20 0 DIADHN CN(CCSc1cccc(Cl)c1)[C@H](CO)c1ccccc1 ZINC001190801249 1048788250 /nfs/dbraw/zinc/78/82/50/1048788250.db2.gz FVGMSWVRBQYSET-QGZVFWFLSA-N 0 3 321.873 4.098 20 0 DIADHN C[C@H]1C[C@H](C)CN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC000483406773 1048788339 /nfs/dbraw/zinc/78/83/39/1048788339.db2.gz MFBMYAATTYYJSX-QWRGUYRKSA-N 0 3 312.335 4.325 20 0 DIADHN CSCC(C)(C)NCc1cc(Cl)ccc1Br ZINC001176797982 1048789126 /nfs/dbraw/zinc/78/91/26/1048789126.db2.gz ITEWSOBWBQMXKE-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN CSCC(C)(C)NCc1cc(Br)ccc1Cl ZINC001176797897 1048790294 /nfs/dbraw/zinc/79/02/94/1048790294.db2.gz HAJLOLAROKJBDT-UHFFFAOYSA-N 0 3 322.699 4.334 20 0 DIADHN COc1c(Br)cccc1CN1CC[C@H](C2CCC2)C1 ZINC001206284538 1048790689 /nfs/dbraw/zinc/79/06/89/1048790689.db2.gz BKWVGXCSNWAUDY-ZDUSSCGKSA-N 0 3 324.262 4.080 20 0 DIADHN COc1ccc(CN(Cc2cccc(F)n2)[C@@H](C)C2CC2)cc1 ZINC000851735241 1048795548 /nfs/dbraw/zinc/79/55/48/1048795548.db2.gz DNEFNBSIUWFPQQ-AWEZNQCLSA-N 0 3 314.404 4.030 20 0 DIADHN C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CCCC2(CCCCC2)C1 ZINC001190874014 1048798971 /nfs/dbraw/zinc/79/89/71/1048798971.db2.gz ZLFONURLURRZJG-QZTJIDSGSA-N 0 3 320.521 4.070 20 0 DIADHN Fc1cccc(CN2CCC[C@H](c3cc4ccccc4[nH]3)C2)n1 ZINC000851823660 1048805786 /nfs/dbraw/zinc/80/57/86/1048805786.db2.gz MCPXSFJTZIUIPO-HNNXBMFYSA-N 0 3 309.388 4.082 20 0 DIADHN CO[C@H]1CC[C@H]1N(C)Cc1ccc(Oc2ccccc2)c(F)c1 ZINC001176916617 1048809915 /nfs/dbraw/zinc/80/99/15/1048809915.db2.gz ZBBXOFIWCKLBAO-MJGOQNOKSA-N 0 3 315.388 4.227 20 0 DIADHN C[C@@H](c1ccc(OC(F)F)cc1)N1CCC(C(F)F)CC1 ZINC000483529923 1048811753 /nfs/dbraw/zinc/81/17/53/1048811753.db2.gz IPSLVDNOTZREBR-JTQLQIEISA-N 0 3 305.315 4.326 20 0 DIADHN Fc1cccc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)n1 ZINC000851925686 1048813485 /nfs/dbraw/zinc/81/34/85/1048813485.db2.gz YZMRBEZNLDIAKX-ZDUSSCGKSA-N 0 3 324.321 4.229 20 0 DIADHN CC(C)(C)c1cccc(CN[C@H](CCO)c2cccs2)c1 ZINC000851926858 1048814136 /nfs/dbraw/zinc/81/41/36/1048814136.db2.gz WZNFPLIBRDYSIX-MRXNPFEDSA-N 0 3 303.471 4.259 20 0 DIADHN Cc1ccc([C@@H]2CSCCN2CCc2cccc(C)n2)cc1 ZINC001190953519 1048816207 /nfs/dbraw/zinc/81/62/07/1048816207.db2.gz FAVRVCIUMUEABM-IBGZPJMESA-N 0 3 312.482 4.031 20 0 DIADHN CC(C)CN(Cc1cccc(F)n1)[C@@H]1CCCc2cccnc21 ZINC000851948872 1048816805 /nfs/dbraw/zinc/81/68/05/1048816805.db2.gz KDTNVNBRWZDJGM-QGZVFWFLSA-N 0 3 313.420 4.151 20 0 DIADHN CC(C)c1ccc2c(c1)CCN(CCOCC1CCCC1)C2 ZINC001177028221 1048818344 /nfs/dbraw/zinc/81/83/44/1048818344.db2.gz XHUFIHWIBWGSLV-UHFFFAOYSA-N 0 3 301.474 4.375 20 0 DIADHN C[C@H](CN[C@H](C)c1cc(F)ccc1F)Oc1ccccc1F ZINC000483606678 1048823834 /nfs/dbraw/zinc/82/38/34/1048823834.db2.gz NWJSJDFDSVOSBO-VXGBXAGGSA-N 0 3 309.331 4.222 20 0 DIADHN CCn1cnc(Cl)c1CNCCc1ccc(C(C)C)cc1 ZINC001177117033 1048825333 /nfs/dbraw/zinc/82/53/33/1048825333.db2.gz FZEQQVOOENGENZ-UHFFFAOYSA-N 0 3 305.853 4.012 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@H](C)[C@@H](O)C(F)(F)F)c2)cc1 ZINC001203352170 1048826090 /nfs/dbraw/zinc/82/60/90/1048826090.db2.gz SJCQBWHKFFFMTQ-CXAGYDPISA-N 0 3 323.358 4.063 20 0 DIADHN O[C@@H](CN1CC2(CCCCC2)[C@@H]1c1ccccc1)c1ccccn1 ZINC000852160459 1048826360 /nfs/dbraw/zinc/82/63/60/1048826360.db2.gz CYKDKTRMQMKLPH-PMACEKPBSA-N 0 3 322.452 4.122 20 0 DIADHN CCC(CC)CN(CC)Cc1nc(-c2ccccc2F)no1 ZINC001166998279 1048827240 /nfs/dbraw/zinc/82/72/40/1048827240.db2.gz YSARWECQEJAUGO-UHFFFAOYSA-N 0 3 305.397 4.134 20 0 DIADHN CCn1cnc(CNCCC(c2ccccc2)c2ccccc2)c1 ZINC001177092341 1048829122 /nfs/dbraw/zinc/82/91/22/1048829122.db2.gz CQPNSHGXCUTAGG-UHFFFAOYSA-N 0 3 319.452 4.215 20 0 DIADHN CCc1ccc(-c2noc([C@@H](C)NC[C@@H]3CC=CCC3)n2)cc1 ZINC000483639518 1048829241 /nfs/dbraw/zinc/82/92/41/1048829241.db2.gz PCINMRYQKQKIBH-GDBMZVCRSA-N 0 3 311.429 4.306 20 0 DIADHN COc1ccc(O[C@H](C)CN[C@H](C)c2ccc(F)cc2F)cc1 ZINC000483643710 1048829779 /nfs/dbraw/zinc/82/97/79/1048829779.db2.gz OKHCYOCOSZQHOT-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN CCc1ccc(-c2noc([C@@H](C)NC[C@H]3CC=CCC3)n2)cc1 ZINC000483639521 1048830340 /nfs/dbraw/zinc/83/03/40/1048830340.db2.gz PCINMRYQKQKIBH-ZBFHGGJFSA-N 0 3 311.429 4.306 20 0 DIADHN Fc1ccc(SCCN2CCC[C@@]3(CC3(F)F)C2)c(F)c1 ZINC000852214124 1048831052 /nfs/dbraw/zinc/83/10/52/1048831052.db2.gz SSTZFLDDKYTLKY-CQSZACIVSA-N 0 3 319.367 4.178 20 0 DIADHN Cc1ccc2cc(CNCc3ccc(OC(F)F)cc3)[nH]c2c1 ZINC001177112383 1048832003 /nfs/dbraw/zinc/83/20/03/1048832003.db2.gz NICZENUXEIPUSC-UHFFFAOYSA-N 0 3 316.351 4.368 20 0 DIADHN CCCC[C@H](CC)N[C@H](C)c1nc(-c2ccccc2OC)no1 ZINC000483651795 1048833260 /nfs/dbraw/zinc/83/32/60/1048833260.db2.gz KKZBQCQYZJXHMS-KGLIPLIRSA-N 0 3 317.433 4.365 20 0 DIADHN Cc1cc(-c2noc([C@H](C)N[C@@H]3CCCC3(C)C)n2)ccc1F ZINC000483661541 1048835698 /nfs/dbraw/zinc/83/56/98/1048835698.db2.gz YHZAVYWJZQAKSU-SWLSCSKDSA-N 0 3 317.408 4.413 20 0 DIADHN Cc1ccc([C@@H]2OCCC[C@H]2N[C@H](C)c2cncs2)cc1 ZINC000483678914 1048837028 /nfs/dbraw/zinc/83/70/28/1048837028.db2.gz FCZWUQWPDIUVDH-UNEWFSDZSA-N 0 3 302.443 4.022 20 0 DIADHN O[C@H](CCN1CC2(CCCC2)[C@@H]1c1ccco1)c1ccccc1 ZINC000852427450 1048839730 /nfs/dbraw/zinc/83/97/30/1048839730.db2.gz YSUXTQVERSWDOH-MJGOQNOKSA-N 0 3 311.425 4.320 20 0 DIADHN Cc1ccc2cc([C@@H](C)NCc3ccc4c(c3)ncn4C)oc2c1 ZINC000903202421 1048840006 /nfs/dbraw/zinc/84/00/06/1048840006.db2.gz CINLOQMVTFKVNE-CQSZACIVSA-N 0 3 319.408 4.479 20 0 DIADHN CC(C)c1ccccc1NC(=O)[C@H](C)N1CCCCCCC1 ZINC000008338894 1048840062 /nfs/dbraw/zinc/84/00/62/1048840062.db2.gz WIUVRYIZVHWUKS-INIZCTEOSA-N 0 3 302.462 4.403 20 0 DIADHN Cc1ccc([C@H](O)CN2CC3(CCCC3)[C@H]2c2ccco2)cc1 ZINC000852427031 1048840580 /nfs/dbraw/zinc/84/05/80/1048840580.db2.gz RGIYPPGOMCDWGU-IEBWSBKVSA-N 0 3 311.425 4.239 20 0 DIADHN CO[C@@H]1CCCC[C@@H]1N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000483717808 1048843427 /nfs/dbraw/zinc/84/34/27/1048843427.db2.gz YVNOBTRXRYGWKM-JLJPHGGASA-N 0 3 316.470 4.418 20 0 DIADHN COc1cc(CNCc2ccc(F)cc2C)ccc1OC(C)C ZINC001177213845 1048845208 /nfs/dbraw/zinc/84/52/08/1048845208.db2.gz NHDBPIPIOKVJBL-UHFFFAOYSA-N 0 3 317.404 4.220 20 0 DIADHN CCCN(Cc1cnc(Cl)s1)[C@@H]1C[C@@H](OCC)C1(C)C ZINC001191099031 1048847910 /nfs/dbraw/zinc/84/79/10/1048847910.db2.gz HGJFCZBJJPVIBW-CHWSQXEVSA-N 0 3 316.898 4.212 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nccc(C)c1Br ZINC001177186647 1048847965 /nfs/dbraw/zinc/84/79/65/1048847965.db2.gz UKLOYKXOWDQDMJ-STQMWFEESA-N 0 3 311.267 4.211 20 0 DIADHN CC(C)C1CCC(CN[C@@H](CO)c2ccc(F)cc2F)CC1 ZINC001203207523 1048847985 /nfs/dbraw/zinc/84/79/85/1048847985.db2.gz LRCATRVLZYZFJQ-JRSKDTKFSA-N 0 3 311.416 4.050 20 0 DIADHN Clc1ccsc1CNCCOc1cccc(Cl)c1 ZINC001177411887 1048884251 /nfs/dbraw/zinc/88/42/51/1048884251.db2.gz UISLUEUOBQKLDB-UHFFFAOYSA-N 0 3 302.226 4.224 20 0 DIADHN C[C@H](N[C@@H]1CCCN(c2ccccc2Cl)C1)c1ccco1 ZINC000483713758 1048850333 /nfs/dbraw/zinc/85/03/33/1048850333.db2.gz OTTRZSLNDAZNTH-UONOGXRCSA-N 0 3 304.821 4.253 20 0 DIADHN CCc1nc(C)c(CN2CCC3(CCCc4ccccc43)CC2)[nH]1 ZINC001203236257 1048850661 /nfs/dbraw/zinc/85/06/61/1048850661.db2.gz HKZKVMLHUDKHDF-UHFFFAOYSA-N 0 3 323.484 4.151 20 0 DIADHN CCCC[C@@H](CC)C(=O)NC[C@H](c1ccccc1)N1CCCC1 ZINC000483750417 1048854471 /nfs/dbraw/zinc/85/44/71/1048854471.db2.gz ZUZAQSGBZLDAKO-IEBWSBKVSA-N 0 3 316.489 4.156 20 0 DIADHN CC(C)[C@@H](O)CCN[C@H](C)c1cc(F)c(Cl)cc1Cl ZINC000483748602 1048857150 /nfs/dbraw/zinc/85/71/50/1048857150.db2.gz SDBZYSCADHXURQ-OTYXRUKQSA-N 0 3 308.224 4.190 20 0 DIADHN CCc1ccc(CN2CCN(CC3CC4(CCC4)C3)CC2)s1 ZINC001191122453 1048857272 /nfs/dbraw/zinc/85/72/72/1048857272.db2.gz RLFDPJZSAKXAIF-UHFFFAOYSA-N 0 3 318.530 4.008 20 0 DIADHN C[C@@H](N[C@@H](C)c1csc(C(F)(F)F)c1)c1cc[nH]c(=O)c1 ZINC000903307160 1048857476 /nfs/dbraw/zinc/85/74/76/1048857476.db2.gz TZBCRUZIDRPKSE-BDAKNGLRSA-N 0 3 316.348 4.279 20 0 DIADHN CCOc1cccc(CNCc2cnc3c(F)cccc3c2)c1 ZINC001177294209 1048857718 /nfs/dbraw/zinc/85/77/18/1048857718.db2.gz IBWWRYYFUYUHKB-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN CC(=O)Oc1ccc(CN[C@]2(c3ccccc3)CC2(C)C)cc1 ZINC000852772315 1048858078 /nfs/dbraw/zinc/85/80/78/1048858078.db2.gz LSXIRZOIKVSGRU-FQEVSTJZSA-N 0 3 309.409 4.027 20 0 DIADHN C[C@H](NCc1ccc2occc2c1)c1ccc2[nH]c(=O)oc2c1 ZINC001203281927 1048858200 /nfs/dbraw/zinc/85/82/00/1048858200.db2.gz BDTBGIBHVKYJRW-NSHDSACASA-N 0 3 308.337 4.130 20 0 DIADHN Cc1ccc(C[C@@H]2CCCN(Cc3cn4ccccc4n3)C2)cc1 ZINC000065353527 1048859346 /nfs/dbraw/zinc/85/93/46/1048859346.db2.gz PLINFYISZYVWDN-IBGZPJMESA-N 0 3 319.452 4.097 20 0 DIADHN C[C@H](NCc1cncc2ccccc21)c1ccc2c(c1)CCCO2 ZINC000852780199 1048860934 /nfs/dbraw/zinc/86/09/34/1048860934.db2.gz IYVMHTBDUQAJHF-HNNXBMFYSA-N 0 3 318.420 4.411 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCNCc1ccc(C(F)F)c(F)c1 ZINC001177268406 1048864306 /nfs/dbraw/zinc/86/43/06/1048864306.db2.gz YKIMQXORMCYJRY-MLGOLLRUSA-N 0 3 315.379 4.448 20 0 DIADHN C[C@H]1CCCC[C@H]1OCCNCc1ccc(C(F)F)c(F)c1 ZINC001177268404 1048864565 /nfs/dbraw/zinc/86/45/65/1048864565.db2.gz YKIMQXORMCYJRY-BLLLJJGKSA-N 0 3 315.379 4.448 20 0 DIADHN C[C@H](CNCc1cc(Cl)ccc1F)N(C)c1ccccc1 ZINC001177262542 1048864600 /nfs/dbraw/zinc/86/46/00/1048864600.db2.gz VLWNLNIXSQPUHV-CYBMUJFWSA-N 0 3 306.812 4.094 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCNCc1ccc(C(F)F)c(F)c1 ZINC001177268407 1048864811 /nfs/dbraw/zinc/86/48/11/1048864811.db2.gz YKIMQXORMCYJRY-WBMJQRKESA-N 0 3 315.379 4.448 20 0 DIADHN CO[C@H](CN[C@H](C)c1cc(Cl)ccc1OC(F)F)C(C)C ZINC000483851123 1048865798 /nfs/dbraw/zinc/86/57/98/1048865798.db2.gz KSSLFAHYXVEHFR-QMTHXVAHSA-N 0 3 321.795 4.263 20 0 DIADHN CCCOc1ccc(CNCc2cc(C)c3ncccc3c2)cn1 ZINC001177311688 1048866484 /nfs/dbraw/zinc/86/64/84/1048866484.db2.gz FYYLUHGKAMLQCS-UHFFFAOYSA-N 0 3 321.424 4.017 20 0 DIADHN CCN1CCN(c2ccc(NCc3cc(C)ccc3C)cc2)CC1 ZINC001177382114 1048878404 /nfs/dbraw/zinc/87/84/04/1048878404.db2.gz GOZJLGZBOJFKDX-UHFFFAOYSA-N 0 3 323.484 4.057 20 0 DIADHN CC(C)(O)c1ccc(CN[C@]2(c3ccccc3)CC2(C)C)cc1 ZINC000853091505 1048878941 /nfs/dbraw/zinc/87/89/41/1048878941.db2.gz LDLCNRCKHSTDMZ-NRFANRHFSA-N 0 3 309.453 4.329 20 0 DIADHN CNc1ccc(C)cc1CN[C@H]1CCc2cc(Cl)ccc21 ZINC001203357951 1048879724 /nfs/dbraw/zinc/87/97/24/1048879724.db2.gz MODNGJPSGDQOKW-SFHVURJKSA-N 0 3 300.833 4.467 20 0 DIADHN Cc1cc(CN[C@@]2(C)CCCc3ccccc32)cc(Cl)n1 ZINC000797600429 1048886000 /nfs/dbraw/zinc/88/60/00/1048886000.db2.gz UHVCDUUCUNQJCQ-SFHVURJKSA-N 0 3 300.833 4.385 20 0 DIADHN C[C@@H]1CCN(C[C@H]2CCC3(CCC3)CO2)[C@@H](c2ccco2)C1 ZINC001191216004 1048890560 /nfs/dbraw/zinc/89/05/60/1048890560.db2.gz XLCCQYBSGZFIGY-BRWVUGGUSA-N 0 3 303.446 4.402 20 0 DIADHN Cc1ccc([C@H]2[C@@H](C)CCCN2Cc2cnn(CCF)c2)cc1 ZINC001191181951 1048893030 /nfs/dbraw/zinc/89/30/30/1048893030.db2.gz NTEIWKNPAHAVGK-QFBILLFUSA-N 0 3 315.436 4.134 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3c(Cl)cccc3Cl)C[C@@H]21 ZINC001203419484 1048895006 /nfs/dbraw/zinc/89/50/06/1048895006.db2.gz QJUBUIOVWGXUTJ-BFQNTYOBSA-N 0 3 314.256 4.240 20 0 DIADHN COc1ccc(Cl)c(CN(CCc2nccs2)C(C)C)c1 ZINC000484084640 1048901536 /nfs/dbraw/zinc/90/15/36/1048901536.db2.gz WSPVSNQBCFWGLS-UHFFFAOYSA-N 0 3 324.877 4.258 20 0 DIADHN CCOc1ccc(CNCCc2ccccc2C(F)(F)F)o1 ZINC001177496737 1048903091 /nfs/dbraw/zinc/90/30/91/1048903091.db2.gz OGUNGLRYLMUSAZ-UHFFFAOYSA-N 0 3 313.319 4.029 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@H](C)COc1ccccc1 ZINC001177520289 1048904382 /nfs/dbraw/zinc/90/43/82/1048904382.db2.gz YTVGBJRRCZBVHO-CQSZACIVSA-N 0 3 301.430 4.443 20 0 DIADHN CC[C@H]1c2ccccc2CCN1Cc1cccc(OC)c1OC ZINC001203452638 1048905942 /nfs/dbraw/zinc/90/59/42/1048905942.db2.gz NSVNRRYNHKERPY-SFHVURJKSA-N 0 3 311.425 4.213 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccc(C)cc1Cl)c1ccco1 ZINC001177554453 1048906978 /nfs/dbraw/zinc/90/69/78/1048906978.db2.gz ZDMHJOCIMYFMIV-KRWDZBQOSA-N 0 3 320.864 4.414 20 0 DIADHN CCc1ccccc1CN[C@H](C)c1ccc(F)cc1N(C)C ZINC000484134223 1048907145 /nfs/dbraw/zinc/90/71/45/1048907145.db2.gz QEQSMXMBPXKNTJ-CQSZACIVSA-N 0 3 300.421 4.305 20 0 DIADHN CC[C@H](c1ccccc1)[C@@H]1CCCN1Cc1cc(Cl)n(C)n1 ZINC001191233703 1048907803 /nfs/dbraw/zinc/90/78/03/1048907803.db2.gz POZKDVBKYGGJFD-SJORKVTESA-N 0 3 317.864 4.232 20 0 DIADHN COc1ccc(CN2CCCC[C@@H]2c2ccccc2)c(OC)c1 ZINC001203472143 1048910627 /nfs/dbraw/zinc/91/06/27/1048910627.db2.gz XXCZWHJCEUUHTB-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN CCCCOc1ccccc1CNC[C@@H]1CCC(C)(C)CO1 ZINC001203491493 1048914584 /nfs/dbraw/zinc/91/45/84/1048914584.db2.gz LYYVGKDJFUFHHC-KRWDZBQOSA-N 0 3 305.462 4.160 20 0 DIADHN CC[C@H](NC[C@@H]1CCC[C@@H](CC)C1)c1nnc2n1CCCCC2 ZINC001177589999 1048919794 /nfs/dbraw/zinc/91/97/94/1048919794.db2.gz BOLNFEIFTJHDEZ-ZACQAIPSSA-N 0 3 318.509 4.262 20 0 DIADHN COc1ccc(OC)c(CN(C)CCc2ccc(Cl)cc2)c1 ZINC001203527609 1048921793 /nfs/dbraw/zinc/92/17/93/1048921793.db2.gz WJXODMUQYMELRQ-UHFFFAOYSA-N 0 3 319.832 4.032 20 0 DIADHN CC(C)C[C@@H]1CCN(Cc2cc(O)cc(C(F)(F)F)c2)C1 ZINC001191344060 1048922782 /nfs/dbraw/zinc/92/27/82/1048922782.db2.gz VETJZDFYFKDCDP-LBPRGKRZSA-N 0 3 301.352 4.279 20 0 DIADHN CO[C@@H]1C[C@H](N[C@@H](C)c2nc(-c3ccccc3)cs2)C1(C)C ZINC000484299184 1048922953 /nfs/dbraw/zinc/92/29/53/1048922953.db2.gz DVESZGHNXBUERH-VBNZEHGJSA-N 0 3 316.470 4.274 20 0 DIADHN Fc1cccc(F)c1CCCN1CCS[C@H]2CCCC[C@H]21 ZINC001191358583 1048924049 /nfs/dbraw/zinc/92/40/49/1048924049.db2.gz NJIKCBQRKSGPKS-SJORKVTESA-N 0 3 311.441 4.257 20 0 DIADHN Fc1cc(F)cc(CCN[C@@H](c2ccccc2)c2ccccn2)c1 ZINC000194806596 1048924397 /nfs/dbraw/zinc/92/43/97/1048924397.db2.gz CWUPSQXOLKFHAW-FQEVSTJZSA-N 0 3 324.374 4.282 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cncc(Cl)c2)C12CCCCC2 ZINC001191319996 1048925139 /nfs/dbraw/zinc/92/51/39/1048925139.db2.gz UFSCLJRDEJHKSX-DLBZAZTESA-N 0 3 322.880 4.295 20 0 DIADHN c1ccc(OC2CCCC2)c(CNC[C@@H]2C[C@@H]3CCC[C@H]3O2)c1 ZINC001203553561 1048926556 /nfs/dbraw/zinc/92/65/56/1048926556.db2.gz IEUQJXWNEBWXGN-ZYSHUDEJSA-N 0 3 315.457 4.055 20 0 DIADHN Cc1cccc(C)c1CNCc1ccc(Br)c(F)c1 ZINC001177673884 1048928970 /nfs/dbraw/zinc/92/89/70/1048928970.db2.gz BBESFZZDPXTVGQ-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN Clc1ccc2cccnc2c1CNCCOC1CCCCC1 ZINC001177708232 1048935030 /nfs/dbraw/zinc/93/50/30/1048935030.db2.gz WPBSVWRMDWQPPL-UHFFFAOYSA-N 0 3 318.848 4.327 20 0 DIADHN CSc1ccccc1[C@H](C)NCc1ccccc1-n1ccnc1 ZINC000194864075 1048938635 /nfs/dbraw/zinc/93/86/35/1048938635.db2.gz OPWZISBCCGMYRJ-HNNXBMFYSA-N 0 3 323.465 4.445 20 0 DIADHN CN(Cc1ccccc1Cl)[C@H]1CCCN(c2ccccc2)C1 ZINC001191416933 1048939877 /nfs/dbraw/zinc/93/98/77/1048939877.db2.gz LIDBRADBPAWIJP-SFHVURJKSA-N 0 3 314.860 4.441 20 0 DIADHN C[C@H]1CC[C@H](NCc2ncc(Br)cc2Cl)CC1 ZINC001177784021 1048943023 /nfs/dbraw/zinc/94/30/23/1048943023.db2.gz IQIOEVNGROQAJD-HOMQSWHASA-N 0 3 317.658 4.166 20 0 DIADHN CCCCCOc1ccc([C@H](C)NCc2ccn(C)c2)cc1 ZINC000484499714 1048954704 /nfs/dbraw/zinc/95/47/04/1048954704.db2.gz SLMNCIAQYTVSRO-INIZCTEOSA-N 0 3 300.446 4.445 20 0 DIADHN Cc1c(CN[C@@H](C)CC(=O)Nc2ccccc2)oc2ccccc12 ZINC001177850535 1048954845 /nfs/dbraw/zinc/95/48/45/1048954845.db2.gz RYOCLSYZTYCONB-AWEZNQCLSA-N 0 3 322.408 4.248 20 0 DIADHN C[C@H](N[C@@H]1CN(C2CC2)C[C@H]1C)c1cc(Cl)sc1Cl ZINC000484503154 1048956523 /nfs/dbraw/zinc/95/65/23/1048956523.db2.gz LKDAWQLUMLQVHB-VDDIYKPWSA-N 0 3 319.301 4.188 20 0 DIADHN CC(C)c1nc(CNC[C@H](C)Oc2ccccc2)c2ccccn21 ZINC001177884686 1048956690 /nfs/dbraw/zinc/95/66/90/1048956690.db2.gz HHXHHYFUIIIFSV-INIZCTEOSA-N 0 3 323.440 4.015 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC001203683189 1048961758 /nfs/dbraw/zinc/96/17/58/1048961758.db2.gz ROYNRHWZVUQWJJ-SAXRGWBVSA-N 0 3 302.443 4.093 20 0 DIADHN FC(F)(F)COc1ccc(Nc2ccnc(C3CC3)c2)cn1 ZINC001203685343 1048963205 /nfs/dbraw/zinc/96/32/05/1048963205.db2.gz HCESUXMPJRKYAO-UHFFFAOYSA-N 0 3 309.291 4.039 20 0 DIADHN OCCC1(CNCc2cc(Cl)sc2Cl)CCCCC1 ZINC001177932580 1048967074 /nfs/dbraw/zinc/96/70/74/1048967074.db2.gz JWCSWYBTKHDNEP-UHFFFAOYSA-N 0 3 322.301 4.477 20 0 DIADHN COc1cc2ccccc2cc1CNCCNc1ccccc1 ZINC001177932398 1048967181 /nfs/dbraw/zinc/96/71/81/1048967181.db2.gz JHVNAKNYGRULHS-UHFFFAOYSA-N 0 3 306.409 4.050 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1csc(-c2ccoc2)n1 ZINC000194921056 1048969908 /nfs/dbraw/zinc/96/99/08/1048969908.db2.gz FVSYHXPAKBQRMM-QGZVFWFLSA-N 0 3 320.458 4.184 20 0 DIADHN CCN(CCN(C)C)C(=O)Nc1c(C(C)C)cccc1C(C)C ZINC001191608432 1048971421 /nfs/dbraw/zinc/97/14/21/1048971421.db2.gz USNQUJXPIHJRBE-UHFFFAOYSA-N 0 3 319.493 4.349 20 0 DIADHN C[C@@H](NC[C@]1(C)CCCO1)c1cc(Cl)ccc1OC(F)F ZINC000484598662 1048984095 /nfs/dbraw/zinc/98/40/95/1048984095.db2.gz AYRGAXYJMGZYAV-BMIGLBTASA-N 0 3 319.779 4.161 20 0 DIADHN COc1cc(C)c(CN(C)CCC(=O)c2cccs2)cc1C ZINC001203759171 1048991363 /nfs/dbraw/zinc/99/13/63/1048991363.db2.gz ZAZIIROAHDJELU-UHFFFAOYSA-N 0 3 317.454 4.078 20 0 DIADHN CCO[C@@H](CN1CCCC[C@@H]1c1ccc(F)cc1F)C1CC1 ZINC001191741881 1048994785 /nfs/dbraw/zinc/99/47/85/1048994785.db2.gz LKPGEVOYHVEUOA-MSOLQXFVSA-N 0 3 309.400 4.307 20 0 DIADHN CC(C)(C)[C@H]1CCC[C@H](NCc2nc3c(s2)COCC3)CC1 ZINC001203781502 1048996371 /nfs/dbraw/zinc/99/63/71/1048996371.db2.gz AUKMWJMFBXFLKY-KBPBESRZSA-N 0 3 322.518 4.300 20 0 DIADHN CCc1cccc(F)c1CNCCOCc1ccc(Cl)cc1 ZINC001178191944 1048998095 /nfs/dbraw/zinc/99/80/95/1048998095.db2.gz KTFGCUTYUPIEAN-UHFFFAOYSA-N 0 3 321.823 4.348 20 0 DIADHN COc1ccc(CN2CCC(c3ccc(F)cc3)CC2)cc1F ZINC001203794055 1048998729 /nfs/dbraw/zinc/99/87/29/1048998729.db2.gz VSCGSRHYLNYJCC-UHFFFAOYSA-N 0 3 317.379 4.353 20 0 DIADHN CCC(CC)(CO)CNCc1cc(C(F)(F)F)ccc1Cl ZINC001178213250 1048999807 /nfs/dbraw/zinc/99/98/07/1048999807.db2.gz PABKRQARUSQNJG-UHFFFAOYSA-N 0 3 323.786 4.247 20 0 DIADHN Oc1ccc2c(c1)CN(CCCSCc1ccccc1)CC2 ZINC001191789198 1049000401 /nfs/dbraw/zinc/00/04/01/1049000401.db2.gz GKFHJRBNVNDRJT-UHFFFAOYSA-N 0 3 313.466 4.074 20 0 DIADHN Cc1cc(C)nc(NCc2cccc(OCc3ccccn3)c2)c1 ZINC001178244090 1049001455 /nfs/dbraw/zinc/00/14/55/1049001455.db2.gz JLGZWVPDVZUQIX-UHFFFAOYSA-N 0 3 319.408 4.285 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1CC(=O)Nc1cc(C)ccc1C ZINC001191789768 1049001249 /nfs/dbraw/zinc/00/12/49/1049001249.db2.gz MTXLWYPZYCYUSD-FUHWJXTLSA-N 0 3 302.462 4.143 20 0 DIADHN COc1ccc2c(c1)[C@@H](NCc1cc(F)cc(F)c1)CCC2 ZINC001178243752 1049001778 /nfs/dbraw/zinc/00/17/78/1049001778.db2.gz CWHGZEBPJSKIMF-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN C[C@H](NCCSCc1ccccc1)c1ccc(Cl)cn1 ZINC000775484506 1049002066 /nfs/dbraw/zinc/00/20/66/1049002066.db2.gz CYWGETLXXWJPRJ-ZDUSSCGKSA-N 0 3 306.862 4.319 20 0 DIADHN c1coc(-c2noc(CN[C@@H]3CCCC[C@H]3c3ccccc3)n2)c1 ZINC000484654818 1049002141 /nfs/dbraw/zinc/00/21/41/1049002141.db2.gz SAVFUFDPJDIZEI-JKSUJKDBSA-N 0 3 323.396 4.146 20 0 DIADHN CO[C@H]1CCC[C@@H]2CN(Cc3cc(Cl)cc(Cl)c3)C[C@@H]21 ZINC001203808189 1049004280 /nfs/dbraw/zinc/00/42/80/1049004280.db2.gz HOUVKOLXNABFON-KCXAZCMYSA-N 0 3 314.256 4.240 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cc(F)cc(F)c2)C1(CC)CC ZINC001191820508 1049006567 /nfs/dbraw/zinc/00/65/67/1049006567.db2.gz DPPUXZDFYSDORB-DLBZAZTESA-N 0 3 311.416 4.380 20 0 DIADHN CC(=O)[C@H]1CCCN(Cc2cccc(Oc3ccccc3)c2)C1 ZINC001203815672 1049006890 /nfs/dbraw/zinc/00/68/90/1049006890.db2.gz SOMKWLFXFSLAGK-SFHVURJKSA-N 0 3 309.409 4.280 20 0 DIADHN CC1(C)CC[C@H](CN2CC=C(c3ccc(Cl)cc3)CC2)O1 ZINC001191822644 1049006976 /nfs/dbraw/zinc/00/69/76/1049006976.db2.gz XELJYRHWHGERTF-QGZVFWFLSA-N 0 3 305.849 4.387 20 0 DIADHN CC(C)(C)CNC(=O)C(C)(C)NCc1ccc(C(C)(C)C)s1 ZINC001178238920 1049007709 /nfs/dbraw/zinc/00/77/09/1049007709.db2.gz WLQWSCKCWVNESS-UHFFFAOYSA-N 0 3 324.534 4.076 20 0 DIADHN CC1(C)CC[C@@H](CN[C@H](c2ccccc2)c2ccc(F)cc2)O1 ZINC001191846021 1049010759 /nfs/dbraw/zinc/01/07/59/1049010759.db2.gz RRAHFNCCPHCEEZ-RBUKOAKNSA-N 0 3 313.416 4.462 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2ccc(F)cc2)C(C)(C)C)cn1 ZINC000194984420 1049010896 /nfs/dbraw/zinc/01/08/96/1049010896.db2.gz TZZLDIWMBYAIIT-SUMWQHHRSA-N 0 3 303.425 4.480 20 0 DIADHN CC(C)Cc1ccc(CN2CC(c3nc4ccccc4[nH]3)C2)cc1 ZINC001191899924 1049014848 /nfs/dbraw/zinc/01/48/48/1049014848.db2.gz OQOWSNUUVOHUIV-UHFFFAOYSA-N 0 3 319.452 4.361 20 0 DIADHN CC(C)n1cc(CN[C@@H]2CCC[C@H](c3ccccc3)CC2)nn1 ZINC001203847630 1049015058 /nfs/dbraw/zinc/01/50/58/1049015058.db2.gz FERDOFAVFSVWGV-ZWKOTPCHSA-N 0 3 312.461 4.065 20 0 DIADHN Fc1ccc(CNC[C@@H]2CC[C@H](C3CC3)O2)c(Cl)c1Cl ZINC001203873080 1049020639 /nfs/dbraw/zinc/02/06/39/1049020639.db2.gz WRDHUMKNQZRVMR-WCQYABFASA-N 0 3 318.219 4.180 20 0 DIADHN COC(=O)[C@H]1CCN(Cc2cccc(C)c2)[C@@H](c2ccccc2)C1 ZINC001203892322 1049024945 /nfs/dbraw/zinc/02/49/45/1049024945.db2.gz HTMXLZFZUHPRKF-VQTJNVASSA-N 0 3 323.436 4.121 20 0 DIADHN COc1ccc(CN2CCC[C@H]2c2ccccc2OC)cc1C ZINC001203898361 1049025415 /nfs/dbraw/zinc/02/54/15/1049025415.db2.gz LXTUWCUNTDOGRU-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN Cc1ccc(OC[C@H](C)N[C@H](C)c2ccc(Cl)cn2)cc1 ZINC000775497841 1049031780 /nfs/dbraw/zinc/03/17/80/1049031780.db2.gz WHFMFFHNMRAMOO-UONOGXRCSA-N 0 3 304.821 4.162 20 0 DIADHN Fc1ccc(N2CCCN(Cc3ccc(Cl)cc3)CC2)cc1 ZINC001203921812 1049032941 /nfs/dbraw/zinc/03/29/41/1049032941.db2.gz CDLSDOJETOQBCU-UHFFFAOYSA-N 0 3 318.823 4.191 20 0 DIADHN CC(C)c1nnc([C@@H](C)[NH2+]CC2CCC(C(C)(C)C)CC2)[n-]1 ZINC001178408927 1049035826 /nfs/dbraw/zinc/03/58/26/1049035826.db2.gz KAKHSBPNNNGTKU-WLYUNCDWSA-N 0 3 306.498 4.431 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(CC)CC(=O)Nc1cccc(C)c1 ZINC001192108294 1049040890 /nfs/dbraw/zinc/04/08/90/1049040890.db2.gz TVDNVIWCLSTSAV-FQEVSTJZSA-N 0 3 324.468 4.277 20 0 DIADHN CC1(C)CCC[C@H](CNCc2ccccc2OC2CCCC2)O1 ZINC001203954411 1049043455 /nfs/dbraw/zinc/04/34/55/1049043455.db2.gz RZXVCNFVMNNJGP-GOSISDBHSA-N 0 3 317.473 4.445 20 0 DIADHN CC(C)C[C@@H](C)N(C)C(=O)CN[C@@H](c1ccccc1)C1CCC1 ZINC001192156772 1049044745 /nfs/dbraw/zinc/04/47/45/1049044745.db2.gz BOZDACBRCRRZCU-UZLBHIALSA-N 0 3 316.489 4.010 20 0 DIADHN Cl/C=C\CNCc1cn(-c2ccccc2)nc1-c1ccccc1 ZINC001203960663 1049044910 /nfs/dbraw/zinc/04/49/10/1049044910.db2.gz HTHPQQMYHHFCPS-GHXNOFRVSA-N 0 3 323.827 4.381 20 0 DIADHN CCOc1ccc(CN2CCC[C@@H]2c2ccccc2OC)cc1 ZINC001203967350 1049047033 /nfs/dbraw/zinc/04/70/33/1049047033.db2.gz ITWSAPPDJRXSNJ-LJQANCHMSA-N 0 3 311.425 4.431 20 0 DIADHN COC1(CNCc2nc3c(s2)C[C@@H](C)CC3)CCCCCC1 ZINC001203968722 1049047262 /nfs/dbraw/zinc/04/72/62/1049047262.db2.gz QVLHZWJVCHIZAS-AWEZNQCLSA-N 0 3 322.518 4.097 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1CC(=O)Nc1ccc(SC)cc1 ZINC001192173213 1049047588 /nfs/dbraw/zinc/04/75/88/1049047588.db2.gz HGGWFDAJUYWUTH-PBHICJAKSA-N 0 3 320.502 4.248 20 0 DIADHN CCCCOc1ccc(CN2CCC(OCCC)CC2)cc1 ZINC001203970462 1049049429 /nfs/dbraw/zinc/04/94/29/1049049429.db2.gz NWQXRNLHQMCOKJ-UHFFFAOYSA-N 0 3 305.462 4.257 20 0 DIADHN CC(C)C[C@H]1CCCN([C@@H](C)C(=O)Nc2ccc(Cl)cc2)C1 ZINC001192198545 1049052096 /nfs/dbraw/zinc/05/20/96/1049052096.db2.gz KPYZAFHHNQWVMG-LSDHHAIUSA-N 0 3 322.880 4.425 20 0 DIADHN CSC[C@@H]1CCCN1Cc1ccc(OC(C)C)c(Cl)c1 ZINC001192200569 1049052371 /nfs/dbraw/zinc/05/23/71/1049052371.db2.gz SOXZAQSUYMBOCZ-AWEZNQCLSA-N 0 3 313.894 4.455 20 0 DIADHN Cc1cnc(CCN(Cc2ccccc2F)C2CCC2)c(C)c1 ZINC001192213404 1049054441 /nfs/dbraw/zinc/05/44/41/1049054441.db2.gz ISLNAJJNWHFRFZ-UHFFFAOYSA-N 0 3 312.432 4.435 20 0 DIADHN Nc1ccc2c(c1)CC[C@H]2NCc1ccc(C(F)F)c(F)c1 ZINC000904377769 1049056498 /nfs/dbraw/zinc/05/64/98/1049056498.db2.gz YNEGVUBTFZWYPK-MRXNPFEDSA-N 0 3 306.331 4.123 20 0 DIADHN Cc1cc(CN2CCC[C@H]2C[C@@H](O)c2ccco2)ccc1Cl ZINC000780759226 1049062105 /nfs/dbraw/zinc/06/21/05/1049062105.db2.gz DMZXCVQBDSCXIP-DOTOQJQBSA-N 0 3 319.832 4.330 20 0 DIADHN CN(CCN(Cc1ccccc1F)C1CCC1)c1ccccc1 ZINC001192214958 1049062138 /nfs/dbraw/zinc/06/21/38/1049062138.db2.gz QQJIBRHLEBBQGX-UHFFFAOYSA-N 0 3 312.432 4.317 20 0 DIADHN CCOC(=O)CCCN(Cc1cccs1)Cc1ccccc1 ZINC001204016905 1049062383 /nfs/dbraw/zinc/06/23/83/1049062383.db2.gz LFOVLMZELAZHOX-UHFFFAOYSA-N 0 3 317.454 4.094 20 0 DIADHN CCOC(=O)CCN(Cc1sccc1C)Cc1ccccc1 ZINC001204017259 1049063550 /nfs/dbraw/zinc/06/35/50/1049063550.db2.gz GYUDACZCPHOBCC-UHFFFAOYSA-N 0 3 317.454 4.012 20 0 DIADHN Cc1ccc(CN[C@@H]2COc3c(F)cc(F)cc3C2)c(Cl)c1 ZINC001204021393 1049064146 /nfs/dbraw/zinc/06/41/46/1049064146.db2.gz XXMRFHJKSNNUIV-AWEZNQCLSA-N 0 3 323.770 4.020 20 0 DIADHN OC[C@@H](CC1CCCC1)NCc1ccc(Oc2ccccc2)o1 ZINC001178571162 1049064289 /nfs/dbraw/zinc/06/42/89/1049064289.db2.gz JPDJUBYSECBBBA-MRXNPFEDSA-N 0 3 315.413 4.103 20 0 DIADHN CCO[C@H](CNCc1c(F)cccc1CC)c1ccccc1 ZINC001178601599 1049065155 /nfs/dbraw/zinc/06/51/55/1049065155.db2.gz XUJSHTCKNRUIIC-LJQANCHMSA-N 0 3 301.405 4.256 20 0 DIADHN COc1cccc2c1CCC[C@H](NCc1c(F)cccc1F)C2 ZINC001204028550 1049066838 /nfs/dbraw/zinc/06/68/38/1049066838.db2.gz QDIKNNBHZIQZCQ-AWEZNQCLSA-N 0 3 317.379 4.011 20 0 DIADHN COc1cccc(OC)c1CNCCCc1cccc(Cl)c1 ZINC001178611122 1049067420 /nfs/dbraw/zinc/06/74/20/1049067420.db2.gz XBBZUFITAGECKC-UHFFFAOYSA-N 0 3 319.832 4.080 20 0 DIADHN Cc1nn(C)c(Cl)c1CN1CCC[C@H]1CCC1CCCC1 ZINC001192232962 1049067793 /nfs/dbraw/zinc/06/77/93/1049067793.db2.gz BFGBKQBKMUBVIA-HNNXBMFYSA-N 0 3 309.885 4.317 20 0 DIADHN Cc1cccc(OCCCN[C@@H](C)c2ccc(Cl)cn2)c1 ZINC000775519537 1049068537 /nfs/dbraw/zinc/06/85/37/1049068537.db2.gz KTDREYORXFBLBF-AWEZNQCLSA-N 0 3 304.821 4.163 20 0 DIADHN CN(CCSc1cccc(Cl)c1)CCc1ccccn1 ZINC001192260984 1049069337 /nfs/dbraw/zinc/06/93/37/1049069337.db2.gz BCOHFAKIMDTBJS-UHFFFAOYSA-N 0 3 306.862 4.002 20 0 DIADHN CC[C@@H]1C[C@H](CN2CCc3[nH]c4ccc(C)cc4c3C2)CCO1 ZINC000780758813 1049070797 /nfs/dbraw/zinc/07/07/97/1049070797.db2.gz WSNIIUZGSKZFLI-HZPDHXFCSA-N 0 3 312.457 4.040 20 0 DIADHN CC(C)[C@H](CNCc1nccn1C1CC1)c1ccc(Cl)cc1 ZINC001178654877 1049070960 /nfs/dbraw/zinc/07/09/60/1049070960.db2.gz QLFQKCSHIIEWRD-KRWDZBQOSA-N 0 3 317.864 4.401 20 0 DIADHN CCC[C@H](NCc1ccc(F)cc1Cl)[C@@H](O)c1ccccc1 ZINC001178634918 1049071252 /nfs/dbraw/zinc/07/12/52/1049071252.db2.gz YOWPSIXGNQSECW-ROUUACIJSA-N 0 3 321.823 4.471 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2cccc3c2CCC3)c2ccccc21 ZINC001204047278 1049071719 /nfs/dbraw/zinc/07/17/19/1049071719.db2.gz BSLGLESKLYDRHR-KSFYIVLOSA-N 0 3 321.464 4.050 20 0 DIADHN Cc1cc(Br)c(CN[C@@H]2CC[C@H]2C)cc1Cl ZINC001178668317 1049072944 /nfs/dbraw/zinc/07/29/44/1049072944.db2.gz UOSGRSYEBCRUHY-AMIZOPFISA-N 0 3 302.643 4.299 20 0 DIADHN CSc1ccc([C@H](C)NCc2cccc(NC(C)=O)c2)cc1 ZINC000173321228 1049073272 /nfs/dbraw/zinc/07/32/72/1049073272.db2.gz GYXIMSDDYGQPGG-ZDUSSCGKSA-N 0 3 314.454 4.218 20 0 DIADHN CC(C)(C)OCc1cc(CN[C@@H]2CC[C@@H]3CCCC[C@H]3C2)no1 ZINC000776868089 1049073458 /nfs/dbraw/zinc/07/34/58/1049073458.db2.gz PSSPUEMIWQXELM-HRCADAONSA-N 0 3 320.477 4.438 20 0 DIADHN CCc1nnc(CNC[C@@H]2CCCC[C@H]2c2ccccc2)s1 ZINC001178723142 1049074968 /nfs/dbraw/zinc/07/49/68/1049074968.db2.gz DKMUDCBGZQTSDS-HOTGVXAUSA-N 0 3 315.486 4.164 20 0 DIADHN C[C@H]1CN(Cc2ccc(Cl)c3ccccc23)[C@@H](C)CN1C ZINC001192314922 1049075751 /nfs/dbraw/zinc/07/57/51/1049075751.db2.gz MARCKTCEPBDONM-KBPBESRZSA-N 0 3 302.849 4.018 20 0 DIADHN c1ccc(COC[C@@H]2CCN2Cc2ccnc3ccccc23)cc1 ZINC001204088585 1049080723 /nfs/dbraw/zinc/08/07/23/1049080723.db2.gz QKGXKSZWMFBFRH-IBGZPJMESA-N 0 3 318.420 4.026 20 0 DIADHN Cc1cccc([C@H](O)CN2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)c1 ZINC001192302760 1049083264 /nfs/dbraw/zinc/08/32/64/1049083264.db2.gz LNYXSWMCTYUQPE-AUSJPIAWSA-N 0 3 313.416 4.175 20 0 DIADHN Oc1ccc(CN2CCC[C@@H](Oc3ccc(Cl)cc3)C2)cc1 ZINC001204095787 1049083384 /nfs/dbraw/zinc/08/33/84/1049083384.db2.gz HITSSLWHNXCNMP-GOSISDBHSA-N 0 3 317.816 4.089 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1ccc(F)c(Cl)c1Cl)OC ZINC001178766537 1049085564 /nfs/dbraw/zinc/08/55/64/1049085564.db2.gz RJXNDMXKAGWNDC-BXKDBHETSA-N 0 3 308.224 4.283 20 0 DIADHN CCN(Cc1cccc(Cl)c1)Cc1ccc(O)c(OC)c1 ZINC001204117623 1049086444 /nfs/dbraw/zinc/08/64/44/1049086444.db2.gz BJYUCBUKCJYIAH-UHFFFAOYSA-N 0 3 305.805 4.076 20 0 DIADHN C[C@H](c1ccc(CN2CC[C@H]3[C@@H](C2)C3(F)F)cc1)C(F)(F)F ZINC001192390475 1049088649 /nfs/dbraw/zinc/08/86/49/1049088649.db2.gz LUDNAJQPGOHXOH-DDTOSNHZSA-N 0 3 319.317 4.439 20 0 DIADHN COC(=O)C1(C)CCN(Cc2ccc(-c3ccccc3)cc2)CC1 ZINC001204134182 1049089938 /nfs/dbraw/zinc/08/99/38/1049089938.db2.gz CETLBMCYKVJUSB-UHFFFAOYSA-N 0 3 323.436 4.129 20 0 DIADHN Cc1c([C@H](C)N[C@@H](C)C2(C)CC2)nnn1-c1ccc(Cl)cc1 ZINC000776883409 1049093233 /nfs/dbraw/zinc/09/32/33/1049093233.db2.gz XLKXMJZQINSCBB-AAEUAGOBSA-N 0 3 318.852 4.068 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@@H](c2ccccc2)C2CC2)c1 ZINC001178807312 1049093319 /nfs/dbraw/zinc/09/33/19/1049093319.db2.gz ZELKFOLANVELSW-SFHVURJKSA-N 0 3 301.817 4.329 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CNC/C=C\C2CC2)s1 ZINC001178778147 1049094119 /nfs/dbraw/zinc/09/41/19/1049094119.db2.gz IEBFLQQRZXZEBD-IHWYPQMZSA-N 0 3 306.381 4.144 20 0 DIADHN CCOc1cccc(F)c1CNCC[C@H]1CCc2ccccc21 ZINC001178826905 1049097209 /nfs/dbraw/zinc/09/72/09/1049097209.db2.gz JUCWIYIVLRWATR-MRXNPFEDSA-N 0 3 313.416 4.434 20 0 DIADHN Cc1cc([C@@H](C)NCc2cc3ccccc3[nH]c2=O)ccc1F ZINC001178826409 1049097392 /nfs/dbraw/zinc/09/73/92/1049097392.db2.gz BYGAOOGTCZHXBD-CYBMUJFWSA-N 0 3 310.372 4.239 20 0 DIADHN CC[C@H](NCc1ccc(CO)c(F)c1)c1cccc(Cl)c1 ZINC000173347398 1049098229 /nfs/dbraw/zinc/09/82/29/1049098229.db2.gz FCXIHNOBWZPMFO-KRWDZBQOSA-N 0 3 307.796 4.212 20 0 DIADHN COC(=O)c1ccc(CN2CCC[C@@H]2CCC2CCCCC2)[nH]1 ZINC001204163922 1049100345 /nfs/dbraw/zinc/10/03/45/1049100345.db2.gz VUGHRNGOMMEJJC-QGZVFWFLSA-N 0 3 318.461 4.126 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNCCC(C)(F)F ZINC001178818097 1049100707 /nfs/dbraw/zinc/10/07/07/1049100707.db2.gz WEXSDWKQOXPNIW-UHFFFAOYSA-N 0 3 305.368 4.497 20 0 DIADHN CC(C)c1ccc(CN2CCCC[C@@](O)(C(F)(F)F)C2)cc1 ZINC001204167751 1049102239 /nfs/dbraw/zinc/10/22/39/1049102239.db2.gz YLSXTHFKNCBZKR-INIZCTEOSA-N 0 3 315.379 4.089 20 0 DIADHN Cc1ccnc(CN[C@]2(C)CCCC[C@H]2C)c1Br ZINC001178868892 1049102722 /nfs/dbraw/zinc/10/27/22/1049102722.db2.gz YLJDWWQCUSGDRB-IUODEOHRSA-N 0 3 311.267 4.211 20 0 DIADHN CCc1noc(C2CCN(Cc3ccc(C(C)C)cc3)CC2)n1 ZINC001204168421 1049102853 /nfs/dbraw/zinc/10/28/53/1049102853.db2.gz MBZHJZHIORSIBD-UHFFFAOYSA-N 0 3 313.445 4.135 20 0 DIADHN CC(C)c1ccc(CN2CCC(Oc3ccncc3)CC2)cc1 ZINC001204167347 1049102964 /nfs/dbraw/zinc/10/29/64/1049102964.db2.gz LMIPHVFMHKSLPJ-UHFFFAOYSA-N 0 3 310.441 4.248 20 0 DIADHN CCc1ccc(CN2CC3(CC(OCc4ccccc4)C3)C2)cc1 ZINC001204178106 1049104908 /nfs/dbraw/zinc/10/49/08/1049104908.db2.gz NCOIZJVZJNPRHB-UHFFFAOYSA-N 0 3 321.464 4.430 20 0 DIADHN COC(=O)[C@@H]1CCN(Cc2ccc(C)cc2)[C@H](c2ccccc2)C1 ZINC001204175380 1049105541 /nfs/dbraw/zinc/10/55/41/1049105541.db2.gz WEEBEINJRDAOLR-UXHICEINSA-N 0 3 323.436 4.121 20 0 DIADHN CC(C)N(CCCCCO)Cc1cccc(Cl)c1Cl ZINC001204185326 1049106581 /nfs/dbraw/zinc/10/65/81/1049106581.db2.gz RLCINEVMQQUXPK-UHFFFAOYSA-N 0 3 304.261 4.366 20 0 DIADHN CCC(CC)(CC)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904620240 1049106730 /nfs/dbraw/zinc/10/67/30/1049106730.db2.gz DGSLQZJTVYHQFI-UHFFFAOYSA-N 0 3 301.434 4.266 20 0 DIADHN CO[C@@H]1CCC[C@@H]2CN(Cc3cccc(Cl)c3Cl)C[C@@H]21 ZINC001204182984 1049107348 /nfs/dbraw/zinc/10/73/48/1049107348.db2.gz VDSNYIVAFIYDBM-OSAQELSMSA-N 0 3 314.256 4.240 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3CCCC4(CC4)C3)n2)cc1 ZINC000904623371 1049107948 /nfs/dbraw/zinc/10/79/48/1049107948.db2.gz BMTUTWAVIOKXCF-MRXNPFEDSA-N 0 3 311.429 4.236 20 0 DIADHN CCCC[C@@H](CC)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904623794 1049108175 /nfs/dbraw/zinc/10/81/75/1049108175.db2.gz OZJFATVDKAOTST-OAHLLOKOSA-N 0 3 301.434 4.482 20 0 DIADHN CCCc1ccc(CN2CCN(c3ccc(C)cc3)CC2)cc1 ZINC001192452835 1049108081 /nfs/dbraw/zinc/10/80/81/1049108081.db2.gz QQICYTXBMQZXFS-UHFFFAOYSA-N 0 3 308.469 4.270 20 0 DIADHN CC[C@@H](c1nc(-c2ccc(CN(C)C)cc2)no1)c1ccccc1 ZINC000904623950 1049108507 /nfs/dbraw/zinc/10/85/07/1049108507.db2.gz GHYSCUSHBNQYOO-GOSISDBHSA-N 0 3 321.424 4.340 20 0 DIADHN CCC(CC)[C@H](C)c1nc(-c2ccc(CN(C)C)cc2)no1 ZINC000904624718 1049108556 /nfs/dbraw/zinc/10/85/56/1049108556.db2.gz WRBQIBJUIMQRGF-ZDUSSCGKSA-N 0 3 301.434 4.338 20 0 DIADHN C[C@@H]1CN(C[C@H](O)c2ccc(Cl)cc2Cl)CC(C)(C)C1 ZINC001192499700 1049111040 /nfs/dbraw/zinc/11/10/40/1049111040.db2.gz DICCTFBMEPPDTK-NHYWBVRUSA-N 0 3 316.272 4.395 20 0 DIADHN Cc1ccc(CC(C)(C)[NH2+]Cc2cc([O-])cc(Cl)c2)cc1 ZINC001178977448 1049111573 /nfs/dbraw/zinc/11/15/73/1049111573.db2.gz MAKJLTVMIDBJIU-UHFFFAOYSA-N 0 3 303.833 4.465 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@@H](Cc2ccccc2)[C@H]1C ZINC001204213454 1049111626 /nfs/dbraw/zinc/11/16/26/1049111626.db2.gz RBHGQSTWKRKNFE-HIFRSBDPSA-N 0 3 317.864 4.079 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1ccc(F)c(Cl)c1Cl)C1CC1 ZINC001178949109 1049112704 /nfs/dbraw/zinc/11/27/04/1049112704.db2.gz DJNGLYMAXBWQGH-VFZGTOFNSA-N 0 3 320.235 4.426 20 0 DIADHN C[C@@H](C(=O)N1CCC[C@H]1c1cccs1)N1CCCCCCC1 ZINC000856884998 1049113448 /nfs/dbraw/zinc/11/34/48/1049113448.db2.gz OBHAVQQXSWDRMA-HOTGVXAUSA-N 0 3 320.502 4.066 20 0 DIADHN COC1([C@H](C)NCc2cc(C(F)(F)F)ccc2Cl)CCC1 ZINC001178962639 1049116010 /nfs/dbraw/zinc/11/60/10/1049116010.db2.gz NPHNETBNRDNZBO-JTQLQIEISA-N 0 3 321.770 4.406 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@H]3C[C@H]3CC(C)(C)C)n2)cc1 ZINC000904626895 1049116109 /nfs/dbraw/zinc/11/61/09/1049116109.db2.gz OHXLXHNLHJBGOY-JKSUJKDBSA-N 0 3 313.445 4.338 20 0 DIADHN COc1cccc([C@@H]2CCCN(Cc3ccc(F)c(F)c3)C2)c1 ZINC001204227129 1049116440 /nfs/dbraw/zinc/11/64/40/1049116440.db2.gz YRVNBDDLZFUJID-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1cccc(C2(NCc3cnn(CC4CCC4)c3)CCC2)c1 ZINC001179020932 1049117208 /nfs/dbraw/zinc/11/72/08/1049117208.db2.gz OCZHGHWYOIGFPU-UHFFFAOYSA-N 0 3 309.457 4.161 20 0 DIADHN C[C@H](c1ccccc1)N1CC[C@H](N[C@H]2C[C@@]2(F)c2ccccc2)C1 ZINC001178998969 1049120949 /nfs/dbraw/zinc/12/09/49/1049120949.db2.gz VYJTZGGQXDTKCU-MBPVOVBZSA-N 0 3 324.443 4.049 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CN[C@@H]1C[C@H]1C1CCC1 ZINC001178998358 1049121312 /nfs/dbraw/zinc/12/13/12/1049121312.db2.gz KRLZPAAEUOMUDO-SWLSCSKDSA-N 0 3 313.272 4.338 20 0 DIADHN COc1cccc(C[C@H](NC/C=C/Cl)c2ccccc2)c1 ZINC000775538637 1049121533 /nfs/dbraw/zinc/12/15/33/1049121533.db2.gz MGTWZJHVDUHORA-QKIXSCASSA-N 0 3 301.817 4.321 20 0 DIADHN CCOC[C@@]12CCC[C@@H]1CN(Cc1ccc(F)c(Cl)c1)C2 ZINC001204281275 1049126172 /nfs/dbraw/zinc/12/61/72/1049126172.db2.gz JGGJWBCPOFHGPX-PBHICJAKSA-N 0 3 311.828 4.118 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001192646058 1049126797 /nfs/dbraw/zinc/12/67/97/1049126797.db2.gz ZEYYKUBDLXNKJP-CZUORRHYSA-N 0 3 303.837 4.289 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001192646059 1049127360 /nfs/dbraw/zinc/12/73/60/1049127360.db2.gz ZEYYKUBDLXNKJP-XJKSGUPXSA-N 0 3 303.837 4.289 20 0 DIADHN CC[C@@H]1CC[C@H](C)N1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001192645491 1049127401 /nfs/dbraw/zinc/12/74/01/1049127401.db2.gz NLHKGQRHJZHQHW-VBQJREDUSA-N 0 3 322.518 4.214 20 0 DIADHN CC(C)(O)CN(Cc1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001204285015 1049127598 /nfs/dbraw/zinc/12/75/98/1049127598.db2.gz HJYJGDISUUSXCT-UHFFFAOYSA-N 0 3 321.823 4.252 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2ccc(F)c(Cl)c2)C1 ZINC001204283072 1049128290 /nfs/dbraw/zinc/12/82/90/1049128290.db2.gz YIGZTQJSVNSOMB-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2ccc(F)c(Cl)c2)C1 ZINC001204283071 1049128373 /nfs/dbraw/zinc/12/83/73/1049128373.db2.gz YIGZTQJSVNSOMB-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN CC1(C)CO[C@H](CN[C@H](CC(F)(F)F)c2ccc(F)cc2)C1 ZINC001192681227 1049128970 /nfs/dbraw/zinc/12/89/70/1049128970.db2.gz HGBHJZBRXDRGLW-UONOGXRCSA-N 0 3 319.342 4.224 20 0 DIADHN COc1ccc2c(c1)CCN(Cc1c(C)[nH]c3ccccc31)C2 ZINC001204291711 1049129617 /nfs/dbraw/zinc/12/96/17/1049129617.db2.gz PUYHRUAIEGKXPZ-UHFFFAOYSA-N 0 3 306.409 4.043 20 0 DIADHN CO[C@H](CNC1(c2cccc(F)c2)CC1)c1ccc(Cl)cc1 ZINC001192690178 1049130411 /nfs/dbraw/zinc/13/04/11/1049130411.db2.gz PLQXRTXCSGZYTL-QGZVFWFLSA-N 0 3 319.807 4.446 20 0 DIADHN CCC[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775542872 1049130545 /nfs/dbraw/zinc/13/05/45/1049130545.db2.gz PIWAIVNNMGCJAJ-ROUUACIJSA-N 0 3 323.358 4.268 20 0 DIADHN COC(=O)/C(C)=C/CN[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC001192698019 1049130926 /nfs/dbraw/zinc/13/09/26/1049130926.db2.gz CGDQZMAKHWRAMY-MDJJKAFGSA-N 0 3 309.409 4.124 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1ccc(F)cc1)c1ccc(F)cc1F ZINC000775543084 1049131129 /nfs/dbraw/zinc/13/11/29/1049131129.db2.gz RHUSJHPJAVOSJT-ROUUACIJSA-N 0 3 323.358 4.124 20 0 DIADHN COc1ccc([C@@H](C)NCc2ccc(SC)c(OC)c2)cc1 ZINC001192731198 1049131677 /nfs/dbraw/zinc/13/16/77/1049131677.db2.gz UMGVOFFLYJUIJP-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC[C@@]2(CCO2)C1 ZINC001204294539 1049132173 /nfs/dbraw/zinc/13/21/73/1049132173.db2.gz SGGFKFMEWWFPBG-HXUWFJFHSA-N 0 3 317.473 4.401 20 0 DIADHN CCCCCCOc1ccccc1CN1CCC[C@]2(CCO2)C1 ZINC001204294537 1049132327 /nfs/dbraw/zinc/13/23/27/1049132327.db2.gz SGGFKFMEWWFPBG-FQEVSTJZSA-N 0 3 317.473 4.401 20 0 DIADHN CN(Cc1c(Cl)oc2ccccc21)C[C@@H]1CCCC[C@H]1O ZINC001192744014 1049132678 /nfs/dbraw/zinc/13/26/78/1049132678.db2.gz AZBDHGWRFAIDCU-SWLSCSKDSA-N 0 3 307.821 4.069 20 0 DIADHN Cc1csc(C2(N[C@@H](C)Cc3cccc(F)c3)CCC2)n1 ZINC000776924542 1049132863 /nfs/dbraw/zinc/13/28/63/1049132863.db2.gz BVROLWHYDOWFFW-LBPRGKRZSA-N 0 3 304.434 4.191 20 0 DIADHN COc1ccc(F)cc1CN(CCc1cccc(F)c1)C1CC1 ZINC001204298855 1049134531 /nfs/dbraw/zinc/13/45/31/1049134531.db2.gz LEFOPCNHRNSQQO-UHFFFAOYSA-N 0 3 317.379 4.181 20 0 DIADHN C[C@@H]1[C@@H](C)N(Cc2cc(Cl)cc3ccccc32)CCN1C ZINC001192745709 1049135021 /nfs/dbraw/zinc/13/50/21/1049135021.db2.gz OSXOPCAVPVZVFF-ZIAGYGMSSA-N 0 3 302.849 4.018 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2OCC2CC2)CC1(F)F ZINC001179178510 1049135294 /nfs/dbraw/zinc/13/52/94/1049135294.db2.gz YKXGWNLJYDIDJO-HNNXBMFYSA-N 0 3 315.791 4.264 20 0 DIADHN CC(C)[C@H](NC[C@H](O)c1cccc(F)c1)c1ccc(F)cc1F ZINC000775543436 1049136481 /nfs/dbraw/zinc/13/64/81/1049136481.db2.gz VTLNCRXQBVSRIC-ROUUACIJSA-N 0 3 323.358 4.124 20 0 DIADHN CCCOc1ccc(CN2CC[C@H](F)C(F)(F)CC2)cc1 ZINC001204306019 1049136963 /nfs/dbraw/zinc/13/69/63/1049136963.db2.gz PQFLFBQAHSVIGY-HNNXBMFYSA-N 0 3 301.352 4.045 20 0 DIADHN Cc1ccc(N2CC[C@@H](N[C@H](C)c3cc(C)cc(C)c3)C2=O)cc1 ZINC001192780272 1049137616 /nfs/dbraw/zinc/13/76/16/1049137616.db2.gz BSXZICNUHTYARU-YLJYHZDGSA-N 0 3 322.452 4.068 20 0 DIADHN COc1ccc2c(c1)nccc2N1CCC[C@@H](C(F)(F)F)[C@@H]1C ZINC000775548699 1049139043 /nfs/dbraw/zinc/13/90/43/1049139043.db2.gz VNGWMKGAHCPHMO-SMDDNHRTSA-N 0 3 324.346 4.411 20 0 DIADHN CCCCCOc1ccc(CN2CCC[C@@]3(CCO3)C2)cc1 ZINC001204316601 1049140051 /nfs/dbraw/zinc/14/00/51/1049140051.db2.gz TUEFVFMMIFPARL-LJQANCHMSA-N 0 3 303.446 4.011 20 0 DIADHN CCCCCOc1ccc(CN2CCC[C@H](C(C)=O)C2)cc1 ZINC001204315995 1049140188 /nfs/dbraw/zinc/14/01/88/1049140188.db2.gz OHFRFPVMQIUADG-SFHVURJKSA-N 0 3 303.446 4.057 20 0 DIADHN CSC(C)(C)CNCc1ccc(Sc2ccccn2)o1 ZINC000814295443 1049141020 /nfs/dbraw/zinc/14/10/20/1049141020.db2.gz UUUNOWBJEDMGNJ-UHFFFAOYSA-N 0 3 308.472 4.057 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cn(C)c2ccccc12 ZINC001204325973 1049142144 /nfs/dbraw/zinc/14/21/44/1049142144.db2.gz RYFGQBFJSJHVDJ-OAHLLOKOSA-N 0 3 308.425 4.380 20 0 DIADHN CC(C)Cc1ccccc1CNCc1cc(F)ccc1N(C)C ZINC001179222769 1049142607 /nfs/dbraw/zinc/14/26/07/1049142607.db2.gz QGJKGWDKIBLOMJ-UHFFFAOYSA-N 0 3 314.448 4.380 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cnn(C3CCC3)c2)C1(CC)CC ZINC001204334349 1049144788 /nfs/dbraw/zinc/14/47/88/1049144788.db2.gz JGALDPAJTVZUBM-ZWKOTPCHSA-N 0 3 319.493 4.024 20 0 DIADHN Nc1c(F)cccc1CNCc1ccc(-c2ccccc2)s1 ZINC001179232520 1049146119 /nfs/dbraw/zinc/14/61/19/1049146119.db2.gz DGNNAWUWJNLHMQ-UHFFFAOYSA-N 0 3 312.413 4.426 20 0 DIADHN CCC[C@@H](CNCc1ccc(Sc2ccccn2)o1)OCC ZINC001179247336 1049147868 /nfs/dbraw/zinc/14/78/68/1049147868.db2.gz AIAYBRCNNBWJLP-AWEZNQCLSA-N 0 3 320.458 4.121 20 0 DIADHN FC(F)C1CCC(NCc2coc(-c3cccs3)n2)CC1 ZINC000282087500 1049148594 /nfs/dbraw/zinc/14/85/94/1049148594.db2.gz YEFDSVVUMMRATF-UHFFFAOYSA-N 0 3 312.385 4.317 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(C)cc2OC)C1(C)C ZINC001204359750 1049148859 /nfs/dbraw/zinc/14/88/59/1049148859.db2.gz QOCPXMYLKVWSON-OALUTQOASA-N 0 3 319.489 4.419 20 0 DIADHN Clc1cc(CN[C@H](C2CCC2)[C@@H]2CCCO2)c(Cl)s1 ZINC001179266092 1049149654 /nfs/dbraw/zinc/14/96/54/1049149654.db2.gz ISOMAHWYRCNRNW-WCQYABFASA-N 0 3 320.285 4.492 20 0 DIADHN CCCCO[C@@H]1C[C@@H](N(C)Cc2ccc(C)cc2OC)C1(C)C ZINC001204359752 1049149662 /nfs/dbraw/zinc/14/96/62/1049149662.db2.gz QOCPXMYLKVWSON-RTBURBONSA-N 0 3 319.489 4.419 20 0 DIADHN Cc1ccc([C@@H](C)NC(=O)[C@H]2CCCCN2C2CCCC2)s1 ZINC000857441869 1049149760 /nfs/dbraw/zinc/14/97/60/1049149760.db2.gz XUBJOVBAWWMLBO-GDBMZVCRSA-N 0 3 320.502 4.031 20 0 DIADHN Cc1cccc(OC2CCN(Cc3ccc(C)c(C)c3)CC2)n1 ZINC001204357588 1049149948 /nfs/dbraw/zinc/14/99/48/1049149948.db2.gz YHYLOZPTORDMKM-UHFFFAOYSA-N 0 3 310.441 4.050 20 0 DIADHN CC(C)(C)[C@@H]1CCN([C@@H]2CCc3cc(Cl)ccc32)C[C@H]1O ZINC001192945548 1049150083 /nfs/dbraw/zinc/15/00/83/1049150083.db2.gz CPKYMALKDJZCEN-BRWVUGGUSA-N 0 3 307.865 4.056 20 0 DIADHN Cc1cccc2cc(CN[C@H]3[C@@H](C)[C@H](C)O[C@H]3C)c(Cl)nc12 ZINC001179348128 1049154449 /nfs/dbraw/zinc/15/44/49/1049154449.db2.gz PDDUTACSVQHAIK-MRHIQRDNSA-N 0 3 318.848 4.098 20 0 DIADHN Cc1cccc2cc(CN[C@H]3[C@@H](C)[C@@H](C)O[C@H]3C)c(Cl)nc12 ZINC001179348127 1049154982 /nfs/dbraw/zinc/15/49/82/1049154982.db2.gz PDDUTACSVQHAIK-LKQDWFRTSA-N 0 3 318.848 4.098 20 0 DIADHN Clc1cccc(Cl)c1CNC[C@H]1CCN1C1CCCC1 ZINC001179315698 1049156437 /nfs/dbraw/zinc/15/64/37/1049156437.db2.gz NEQLNWKYOODLDJ-CYBMUJFWSA-N 0 3 313.272 4.100 20 0 DIADHN Cc1scc(CNCc2cnc3c(F)cccc3c2)c1C ZINC001179333274 1049157441 /nfs/dbraw/zinc/15/74/41/1049157441.db2.gz SCWLUMQDAIAVER-UHFFFAOYSA-N 0 3 300.402 4.342 20 0 DIADHN CC(C)[C@@H]1C[C@H](NCc2cnn(C)c2C2CC2)c2ccccc21 ZINC001179343516 1049158961 /nfs/dbraw/zinc/15/89/61/1049158961.db2.gz ITNPAUCFWCHTSI-OALUTQOASA-N 0 3 309.457 4.272 20 0 DIADHN O=C1CCC2(CC1)CCN(Cc1ccc(OC(F)F)cc1)CC2 ZINC001204423336 1049159207 /nfs/dbraw/zinc/15/92/07/1049159207.db2.gz UVBDLQUWJLFUDH-UHFFFAOYSA-N 0 3 323.383 4.013 20 0 DIADHN CC[C@@H](C[C@H](C)O)NCc1c(Cl)cccc1SC(C)C ZINC001179401538 1049159271 /nfs/dbraw/zinc/15/92/71/1049159271.db2.gz GYWOLHXGMVCNNN-STQMWFEESA-N 0 3 315.910 4.480 20 0 DIADHN CC(C)CCOC1CCN(Cc2ccc3c(c2)C[C@H](C)O3)CC1 ZINC001204422807 1049160129 /nfs/dbraw/zinc/16/01/29/1049160129.db2.gz RLUDVYHFYLVRJE-INIZCTEOSA-N 0 3 317.473 4.037 20 0 DIADHN FC(F)(F)Oc1cccc(CN2CC(c3ccccc3)C2)c1 ZINC001204432085 1049161551 /nfs/dbraw/zinc/16/15/51/1049161551.db2.gz LELZAGHOKNHMKB-UHFFFAOYSA-N 0 3 307.315 4.185 20 0 DIADHN COC(=O)/C(C)=C/CN1CCCC[C@@H]1c1ccc(C(C)C)cc1 ZINC001192974845 1049161782 /nfs/dbraw/zinc/16/17/82/1049161782.db2.gz AVAAQAVOOXOIFG-APEZIOSESA-N 0 3 315.457 4.456 20 0 DIADHN Cc1nn(-c2ccccc2)c(C)c1CN1CCC[C@H]2CCC[C@H]21 ZINC001204455448 1049166417 /nfs/dbraw/zinc/16/64/17/1049166417.db2.gz IVCUPUJMXKBICZ-YLJYHZDGSA-N 0 3 309.457 4.254 20 0 DIADHN CN(Cc1c(F)cccc1C(F)(F)F)CC1CC(F)(F)C1 ZINC001204467615 1049168855 /nfs/dbraw/zinc/16/88/55/1049168855.db2.gz WUCIQDWPCMYEBI-UHFFFAOYSA-N 0 3 311.269 4.322 20 0 DIADHN CC1=NO[C@@H](CNCc2ccc(-c3cccc(Cl)c3)s2)C1 ZINC001179478419 1049170110 /nfs/dbraw/zinc/17/01/10/1049170110.db2.gz BHPKNQIGAUOTJT-CQSZACIVSA-N 0 3 320.845 4.323 20 0 DIADHN CCOc1ccc(CN(Cc2ccc3c(n2)CCC3)C(C)C)cc1 ZINC001204472799 1049170359 /nfs/dbraw/zinc/17/03/59/1049170359.db2.gz ZNERXOXUDSOTMJ-UHFFFAOYSA-N 0 3 324.468 4.380 20 0 DIADHN COC(C)(C)[C@@H]1CCCN1Cc1ccc(F)cc1C(F)(F)F ZINC001204483624 1049172344 /nfs/dbraw/zinc/17/23/44/1049172344.db2.gz UZJJGCOUZNXDJS-AWEZNQCLSA-N 0 3 319.342 4.234 20 0 DIADHN C[C@@H]1CCC[C@@H](NCc2cc(-c3ccc(F)cc3F)on2)C1 ZINC001179501661 1049175815 /nfs/dbraw/zinc/17/58/15/1049175815.db2.gz BGLMTPDYLULXKR-DGCLKSJQSA-N 0 3 306.356 4.288 20 0 DIADHN Fc1ccc(O[C@@H]2CCN(Cc3ccc4ccccc4n3)C2)cc1 ZINC001204503185 1049176050 /nfs/dbraw/zinc/17/60/50/1049176050.db2.gz BTXNYVQCFVQCQE-LJQANCHMSA-N 0 3 322.383 4.027 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1N[C@@H](C)c1cn(-c2ccccc2)nn1 ZINC001193083544 1049176184 /nfs/dbraw/zinc/17/61/84/1049176184.db2.gz WHQKHHNCZIBWCU-LZLYRXPVSA-N 0 3 312.461 4.277 20 0 DIADHN C[C@H]1CCC[C@H](NCc2cc(-c3ccc(F)cc3F)on2)C1 ZINC001179501660 1049176369 /nfs/dbraw/zinc/17/63/69/1049176369.db2.gz BGLMTPDYLULXKR-AAEUAGOBSA-N 0 3 306.356 4.288 20 0 DIADHN C[C@@H](CN1C[C@H](C)OC(C)(C)C1)c1ccc(C(F)(F)F)cc1 ZINC001204514720 1049178894 /nfs/dbraw/zinc/17/88/94/1049178894.db2.gz AOEYCIJQUKJIAS-STQMWFEESA-N 0 3 315.379 4.308 20 0 DIADHN Cc1ccc(OC2CCN(Cc3cccc(F)c3C)CC2)cc1 ZINC001204512966 1049179057 /nfs/dbraw/zinc/17/90/57/1049179057.db2.gz PMSVYJRGRRGTHW-UHFFFAOYSA-N 0 3 313.416 4.486 20 0 DIADHN CC[C@H](C(=O)Nc1ccc(C)cc1)N1CC(C)(C)CC(C)(C)C1 ZINC001193104296 1049179859 /nfs/dbraw/zinc/17/98/59/1049179859.db2.gz QDICHLVCFLASMY-QGZVFWFLSA-N 0 3 316.489 4.470 20 0 DIADHN COc1ccc2cc(CN3CCc4cc(O)ccc4C3)ccc2c1 ZINC001204515113 1049180347 /nfs/dbraw/zinc/18/03/47/1049180347.db2.gz BRWPXENNFXDNGJ-UHFFFAOYSA-N 0 3 319.404 4.112 20 0 DIADHN COc1ccccc1C[C@H](C)N(C)Cc1cc(F)c(F)c(F)c1 ZINC001204531628 1049182768 /nfs/dbraw/zinc/18/27/68/1049182768.db2.gz ZFRUESFTVAFNMH-LBPRGKRZSA-N 0 3 323.358 4.176 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1cc(F)c(F)c(F)c1 ZINC001204531615 1049183743 /nfs/dbraw/zinc/18/37/43/1049183743.db2.gz YSSDBDRZTCTSCI-GORDUTHDSA-N 0 3 306.331 4.034 20 0 DIADHN CCN(Cc1c(C)cc(OC)nc1C)[C@H](C)c1ccc(F)cc1 ZINC001204523962 1049183778 /nfs/dbraw/zinc/18/37/78/1049183778.db2.gz MOTWCRUBIDWQPH-OAHLLOKOSA-N 0 3 316.420 4.429 20 0 DIADHN Fc1ccc(-c2ccc(CNC[C@@H]3CC4(CCC4)CO3)o2)cc1 ZINC001179608179 1049184795 /nfs/dbraw/zinc/18/47/95/1049184795.db2.gz TYQFHIHDGVRQDF-KRWDZBQOSA-N 0 3 315.388 4.135 20 0 DIADHN CCCOc1ccc(CNC(C)(C)/C=C\Cl)cc1OCC ZINC001179625694 1049188796 /nfs/dbraw/zinc/18/87/96/1049188796.db2.gz ATEUMOGFSOHDRC-KTKRTIGZSA-N 0 3 311.853 4.495 20 0 DIADHN CCCC[C@H](NCc1sncc1Br)C1CCC1 ZINC001193239700 1049190211 /nfs/dbraw/zinc/19/02/11/1049190211.db2.gz RKJQTSJRMZVISO-LBPRGKRZSA-N 0 3 317.296 4.354 20 0 DIADHN O=C(CNC1(Cc2ccccc2)CCCC1)c1ccccc1F ZINC001193224578 1049191043 /nfs/dbraw/zinc/19/10/43/1049191043.db2.gz MFOZBNHLYRUIBI-UHFFFAOYSA-N 0 3 311.400 4.154 20 0 DIADHN CCC[C@@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC(C)C ZINC001193281739 1049193820 /nfs/dbraw/zinc/19/38/20/1049193820.db2.gz GRKSUBXMCFKKJD-MRXNPFEDSA-N 0 3 312.498 4.144 20 0 DIADHN CC/C=C/CCN1CCN(C(=O)OC(C)(C)C)C[C@H]1CCC ZINC001193283227 1049194495 /nfs/dbraw/zinc/19/44/95/1049194495.db2.gz QJVRNOZVLBEZJA-ROJDOSBLSA-N 0 3 310.482 4.064 20 0 DIADHN Cc1cccc(NC(=O)CN(C)C[C@@H]2C(C)=CCC[C@H]2C)c1C ZINC001204601011 1049197031 /nfs/dbraw/zinc/19/70/31/1049197031.db2.gz AQCLWUAPCBPRLY-SJLPKXTDSA-N 0 3 314.473 4.166 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(OC(F)(F)F)ccc1N ZINC001204611222 1049197853 /nfs/dbraw/zinc/19/78/53/1049197853.db2.gz GOVQIPRTBMYXMQ-UHFFFAOYSA-N 0 3 324.346 4.190 20 0 DIADHN Fc1ccc2c(c1)CC[C@H]2NCc1ccc(F)c2cccnc12 ZINC001179722744 1049200487 /nfs/dbraw/zinc/20/04/87/1049200487.db2.gz SRRGAMLIXNKQQK-GOSISDBHSA-N 0 3 310.347 4.290 20 0 DIADHN Cc1ccc(CNCc2ccc(OCc3ccccn3)cc2)cc1 ZINC000069755800 1049200991 /nfs/dbraw/zinc/20/09/91/1049200991.db2.gz FIZHSMQHDWJXAP-UHFFFAOYSA-N 0 3 318.420 4.259 20 0 DIADHN Fc1ccc([C@@H](N[C@@H]2CCCc3cn[nH]c32)c2ccccc2)cc1 ZINC000857850488 1049201123 /nfs/dbraw/zinc/20/11/23/1049201123.db2.gz STRCXJWGMDOQES-MOPGFXCFSA-N 0 3 321.399 4.305 20 0 DIADHN C[C@H](Cc1cccnc1)NCc1ccc(Oc2ccccc2)o1 ZINC001179771578 1049201264 /nfs/dbraw/zinc/20/12/64/1049201264.db2.gz HKAUWNVULZXNIX-OAHLLOKOSA-N 0 3 308.381 4.188 20 0 DIADHN Fc1ccc([C@H](N[C@@H]2CCCc3c[nH]nc32)C2CCCC2)cc1 ZINC000857851565 1049202894 /nfs/dbraw/zinc/20/28/94/1049202894.db2.gz OGQQUKUVRANNKW-QZTJIDSGSA-N 0 3 313.420 4.447 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1ccc(C)cc1 ZINC001204618884 1049203068 /nfs/dbraw/zinc/20/30/68/1049203068.db2.gz YLTZDLJASNTKNS-IAGOWNOFSA-N 0 3 302.462 4.082 20 0 DIADHN Cc1ccc(NC(=O)CN(C)CC2CCC(C)(C)CC2)cc1 ZINC001204618854 1049203820 /nfs/dbraw/zinc/20/38/20/1049203820.db2.gz XOKMEOYFHLXYNN-UHFFFAOYSA-N 0 3 302.462 4.082 20 0 DIADHN Cc1ccc2[nH]cc(CCNCc3csc(C4CC4)n3)c2c1 ZINC001179780923 1049204107 /nfs/dbraw/zinc/20/41/07/1049204107.db2.gz ZQLWIQTXAIGQQP-UHFFFAOYSA-N 0 3 311.454 4.143 20 0 DIADHN Cc1cccc(CN2CCS[C@H](C)CC2)c1Br ZINC001193385471 1049204753 /nfs/dbraw/zinc/20/47/53/1049204753.db2.gz WEOXAPIKSMGDHQ-GFCCVEGCSA-N 0 3 314.292 4.085 20 0 DIADHN Cn1nc(CNC2CC(C)(C)CC(C)(C)C2)cc1C(F)(F)F ZINC001179758205 1049204886 /nfs/dbraw/zinc/20/48/86/1049204886.db2.gz DHCVFNZXVSFWJS-UHFFFAOYSA-N 0 3 317.399 4.133 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H]1CCCc2cn[nH]c21 ZINC000857865649 1049204897 /nfs/dbraw/zinc/20/48/97/1049204897.db2.gz PPSXSGNGBXKEFW-CABCVRRESA-N 0 3 323.362 4.379 20 0 DIADHN CCC1(CC)CCC(NCc2cc(C(F)(F)F)n(C)n2)CC1 ZINC001179758311 1049205241 /nfs/dbraw/zinc/20/52/41/1049205241.db2.gz DPHZXMQEFMPBHQ-UHFFFAOYSA-N 0 3 317.399 4.278 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@H]1CCCc2cn[nH]c21 ZINC000857865652 1049205913 /nfs/dbraw/zinc/20/59/13/1049205913.db2.gz PPSXSGNGBXKEFW-GJZGRUSLSA-N 0 3 323.362 4.379 20 0 DIADHN Cc1ccc(OC[C@H](C)N[C@H]2CCc3ccc(Cl)nc32)cc1 ZINC000857903274 1049207370 /nfs/dbraw/zinc/20/73/70/1049207370.db2.gz CVVDEKNUSOZBPO-BBRMVZONSA-N 0 3 316.832 4.088 20 0 DIADHN CC[C@H]1CCC[C@@H](CNCc2ncccc2Br)C1 ZINC001179793205 1049207471 /nfs/dbraw/zinc/20/74/71/1049207471.db2.gz HESZRLXOMHULCQ-QWHCGFSZSA-N 0 3 311.267 4.150 20 0 DIADHN Cc1cccc(OC[C@@H](C)N[C@@H]2CCc3ccc(Cl)nc32)c1 ZINC000857901593 1049207914 /nfs/dbraw/zinc/20/79/14/1049207914.db2.gz LZPUOHYCQMHCCS-CZUORRHYSA-N 0 3 316.832 4.088 20 0 DIADHN C[C@H](CN[C@@H]1CCc2ccc(Cl)nc21)Sc1ccccc1 ZINC000857907479 1049209267 /nfs/dbraw/zinc/20/92/67/1049209267.db2.gz PWOXHEYEJYPGTE-IUODEOHRSA-N 0 3 318.873 4.493 20 0 DIADHN FC(F)(F)c1cscc1CNC[C@@H]1OCc2ccccc21 ZINC001179799952 1049209934 /nfs/dbraw/zinc/20/99/34/1049209934.db2.gz LNGZXZUJRJHCBR-AWEZNQCLSA-N 0 3 313.344 4.128 20 0 DIADHN CC(C)[C@@H](CC(F)(F)F)N[C@@H]1CCc2ccc(Cl)nc21 ZINC000857911783 1049210566 /nfs/dbraw/zinc/21/05/66/1049210566.db2.gz SGPYSAHIRUUIFE-GHMZBOCLSA-N 0 3 306.759 4.289 20 0 DIADHN Cc1cccc(C)c1CN[C@H](C)c1cc(F)ccc1N(C)C ZINC001179830915 1049211205 /nfs/dbraw/zinc/21/12/05/1049211205.db2.gz ZZWXVVHMOAAUJE-OAHLLOKOSA-N 0 3 300.421 4.359 20 0 DIADHN C(=C\c1ccccc1)\CN1CC[C@@H](Oc2ccc(C3CC3)cn2)C1 ZINC000858015347 1049215213 /nfs/dbraw/zinc/21/52/13/1049215213.db2.gz UDLRDRHVHNEJGV-DHBDYNCWSA-N 0 3 320.436 4.126 20 0 DIADHN CNc1ccccc1CN(C)Cc1ccc(C(F)(F)F)cc1 ZINC001179850466 1049215576 /nfs/dbraw/zinc/21/55/76/1049215576.db2.gz WFRWGGVPYPZGDQ-UHFFFAOYSA-N 0 3 308.347 4.379 20 0 DIADHN c1ccc(C[C@H]2CCC[C@@H]2NCc2nc3c(o2)CCCC3)cc1 ZINC001193495801 1049216099 /nfs/dbraw/zinc/21/60/99/1049216099.db2.gz CVQMFAWUNFYXMF-SJORKVTESA-N 0 3 310.441 4.054 20 0 DIADHN CC(C)OC(=O)Nc1cccc2c1CN(C)C[C@H]2c1ccccc1 ZINC001193491380 1049216344 /nfs/dbraw/zinc/21/63/44/1049216344.db2.gz DKAMQPMHWUCIHH-KRWDZBQOSA-N 0 3 324.424 4.221 20 0 DIADHN CC(C)(C)[NH2+]Cc1ccc(Nc2ncc(Cl)cc2[O-])cc1 ZINC001204677616 1049219444 /nfs/dbraw/zinc/21/94/44/1049219444.db2.gz KBFGJJSICBSSKQ-UHFFFAOYSA-N 0 3 305.809 4.072 20 0 DIADHN Cc1cccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)c1 ZINC001204674151 1049219776 /nfs/dbraw/zinc/21/97/76/1049219776.db2.gz UYFKBWGSTOJZKC-MJGOQNOKSA-N 0 3 310.441 4.132 20 0 DIADHN COC1(CN2CCc3c(Cl)cccc3C2)CCCCCC1 ZINC001179864645 1049223441 /nfs/dbraw/zinc/22/34/41/1049223441.db2.gz YBZVXHICZVXDNO-UHFFFAOYSA-N 0 3 307.865 4.438 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1Cc1cc(OC)c(OC)cc1F ZINC001204689827 1049226162 /nfs/dbraw/zinc/22/61/62/1049226162.db2.gz IGYQZFWPZOUSIB-BBRMVZONSA-N 0 3 309.425 4.244 20 0 DIADHN CC[C@@H](Cc1ccc(C)cc1)N(CC)Cc1cn(C)nc1Cl ZINC001179873815 1049226643 /nfs/dbraw/zinc/22/66/43/1049226643.db2.gz QFUARSQPFGEXTG-KRWDZBQOSA-N 0 3 319.880 4.225 20 0 DIADHN Clc1cccc(-c2ccc(CN3CC[C@@H]4CCO[C@H]4C3)o2)c1 ZINC001204695423 1049229337 /nfs/dbraw/zinc/22/93/37/1049229337.db2.gz RMSQZEOUPXRCQG-ACJLOTCBSA-N 0 3 317.816 4.211 20 0 DIADHN COc1cc(CN2CCC[C@]3(CC=CCC3)C2)c(Cl)cc1O ZINC001179932036 1049231052 /nfs/dbraw/zinc/23/10/52/1049231052.db2.gz XKGBUHYDQABZIN-GOSISDBHSA-N 0 3 321.848 4.377 20 0 DIADHN CCOC(=O)c1ccc(CN2CCC[C@@H](C(C)(C)C)CC2)o1 ZINC001179931960 1049231364 /nfs/dbraw/zinc/23/13/64/1049231364.db2.gz WDMOZWHJRSXMHW-CQSZACIVSA-N 0 3 307.434 4.105 20 0 DIADHN CCO[C@@H](CN[C@H](C)c1cc(Cl)ccc1Cl)C1CC1 ZINC001193607424 1049231360 /nfs/dbraw/zinc/23/13/60/1049231360.db2.gz UCIQFCLZZMWKAP-BMIGLBTASA-N 0 3 302.245 4.459 20 0 DIADHN CC[C@@H]1C[C@H]1CN1CCc2c(Br)cc(C)cc2C1 ZINC001193625945 1049232630 /nfs/dbraw/zinc/23/26/30/1049232630.db2.gz DTIGCAUJUDXJLM-OLZOCXBDSA-N 0 3 308.263 4.162 20 0 DIADHN FC(F)(F)c1ccc(CN2CCC=C(c3ccccn3)C2)cc1 ZINC000568945908 1049233752 /nfs/dbraw/zinc/23/37/52/1049233752.db2.gz KZODBAPZFPYWSM-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Cc1cc(CN[C@@H]2CCc3cc(Cl)ccc32)cnc1Cl ZINC000858276163 1049234508 /nfs/dbraw/zinc/23/45/08/1049234508.db2.gz QDOSFTANNJJJNX-OAHLLOKOSA-N 0 3 307.224 4.474 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2cn(C(C)C)nc2C)C1(CC)CC ZINC001179951536 1049234905 /nfs/dbraw/zinc/23/49/05/1049234905.db2.gz KMZWEDIIBNKUAO-QZTJIDSGSA-N 0 3 321.509 4.188 20 0 DIADHN Cc1ncsc1CNCc1cn(C(F)F)c2ccccc12 ZINC000858291581 1049235438 /nfs/dbraw/zinc/23/54/38/1049235438.db2.gz DHOXWCHJGBSIBE-UHFFFAOYSA-N 0 3 307.369 4.091 20 0 DIADHN Cc1scc(CN2CCC(C(=O)c3ccccc3)CC2)c1C ZINC001179951934 1049236507 /nfs/dbraw/zinc/23/65/07/1049236507.db2.gz NAAKXQUMBWOCSB-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN CC[C@H](NCc1cc(C(F)(F)F)n[nH]1)c1cc(C)ccc1C ZINC000858296537 1049236625 /nfs/dbraw/zinc/23/66/25/1049236625.db2.gz WITVBVOWKZETLA-AWEZNQCLSA-N 0 3 311.351 4.286 20 0 DIADHN Cc1cccc(CN2CCC[C@H]2C[C@H](O)c2cccs2)c1F ZINC001179952010 1049236765 /nfs/dbraw/zinc/23/67/65/1049236765.db2.gz NQAXNPFTCNRWLJ-HOTGVXAUSA-N 0 3 319.445 4.284 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1c(F)c(F)c(F)c(F)c1F ZINC001193637412 1049237137 /nfs/dbraw/zinc/23/71/37/1049237137.db2.gz WLTZNJYNKVCTPL-WPRPVWTQSA-N 0 3 307.306 4.441 20 0 DIADHN COCCC1CN(C/C(C)=C/c2cccc(C(F)(F)F)c2)C1 ZINC000668471929 1049236997 /nfs/dbraw/zinc/23/69/97/1049236997.db2.gz QNVAILNXMDHTIT-MDWZMJQESA-N 0 3 313.363 4.077 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204717333 1049239273 /nfs/dbraw/zinc/23/92/73/1049239273.db2.gz IBFSAWPFPLFCJM-GFCCVEGCSA-N 0 3 305.805 4.067 20 0 DIADHN CC(=O)[C@@H]1CCN(Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204717192 1049239856 /nfs/dbraw/zinc/23/98/56/1049239856.db2.gz BISBXQXROZUSTJ-CQSZACIVSA-N 0 3 303.789 4.011 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@H](C)c1ccns1 ZINC000858317821 1049240631 /nfs/dbraw/zinc/24/06/31/1049240631.db2.gz DMMRCJOKYDPLNP-QLKUMGTLSA-N 0 3 302.443 4.044 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnc(-c3ccccc3)s2)[C@@H](C)C1 ZINC001193649817 1049240763 /nfs/dbraw/zinc/24/07/63/1049240763.db2.gz XXJMPMKARZXNIO-VNHYZAJKSA-N 0 3 301.459 4.119 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H](C)c1ccns1 ZINC000858317823 1049241153 /nfs/dbraw/zinc/24/11/53/1049241153.db2.gz DMMRCJOKYDPLNP-ZVHGMHCTSA-N 0 3 302.443 4.044 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H]1CCc2ccc(Cl)cc21 ZINC000858321295 1049243318 /nfs/dbraw/zinc/24/33/18/1049243318.db2.gz YAEOBNKYKNZKAG-MRXNPFEDSA-N 0 3 311.816 4.073 20 0 DIADHN CC(C)CN(Cc1ccccc1)Cc1cnc(C(C)(C)C)nc1 ZINC001179993686 1049246296 /nfs/dbraw/zinc/24/62/96/1049246296.db2.gz OKRZUFLWGGDYSJ-UHFFFAOYSA-N 0 3 311.473 4.432 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1cc(F)ccc1Br ZINC001193676579 1049246974 /nfs/dbraw/zinc/24/69/74/1049246974.db2.gz YZAKLHKHLGVSBU-QMTHXVAHSA-N 0 3 300.215 4.209 20 0 DIADHN CS[C@@H]1CC[C@H](N[C@@H](C)c2cc(-c3ccccc3)n(C)n2)C1 ZINC000858367108 1049249438 /nfs/dbraw/zinc/24/94/38/1049249438.db2.gz PKVQONPJVMEJGI-CWRNSKLLSA-N 0 3 315.486 4.022 20 0 DIADHN Cc1csc([C@H](C)N[C@@H]2CCCN(c3ccc(C)cc3)C2)n1 ZINC000858366523 1049249771 /nfs/dbraw/zinc/24/97/71/1049249771.db2.gz GGLLSHUDXMALDH-JKSUJKDBSA-N 0 3 315.486 4.080 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C[C@H]1CCCC(C)(C)C1 ZINC001204749933 1049250465 /nfs/dbraw/zinc/25/04/65/1049250465.db2.gz OSKZLYPAEKWIJK-CVEARBPZSA-N 0 3 324.509 4.144 20 0 DIADHN CCOC(=O)C[C@H]1CCCN1Cc1c(Cl)oc2ccccc21 ZINC001193714374 1049253735 /nfs/dbraw/zinc/25/37/35/1049253735.db2.gz NQBIMOGUYZNGTP-GFCCVEGCSA-N 0 3 321.804 4.004 20 0 DIADHN C[C@H](c1ccccc1)N1CCC(Nc2nc(Cl)cs2)CC1 ZINC000858416049 1049254157 /nfs/dbraw/zinc/25/41/57/1049254157.db2.gz VSCYEUVUKOXFAP-GFCCVEGCSA-N 0 3 321.877 4.434 20 0 DIADHN Cc1cccc2c1CCN(CCc1ccc(F)cc1Cl)C2 ZINC001204756482 1049254892 /nfs/dbraw/zinc/25/48/92/1049254892.db2.gz LLPZGHGNJLXDIS-UHFFFAOYSA-N 0 3 303.808 4.388 20 0 DIADHN ClC1(Cl)C[C@H]1CCCN[C@H]1CCCc2scnc21 ZINC000858429086 1049256764 /nfs/dbraw/zinc/25/67/64/1049256764.db2.gz BNQIQZNJNVECNL-ZJUUUORDSA-N 0 3 305.274 4.084 20 0 DIADHN Cc1cc([C@@H](C)NCc2ccc(-c3ccccc3)s2)n(C)n1 ZINC000858445264 1049259327 /nfs/dbraw/zinc/25/93/27/1049259327.db2.gz DPSJRGFKASXHIL-CQSZACIVSA-N 0 3 311.454 4.308 20 0 DIADHN CCCC1CCC(N(Cc2cc(COC)on2)C(C)C)CC1 ZINC001180035180 1049259805 /nfs/dbraw/zinc/25/98/05/1049259805.db2.gz VJUJVUNBXGYVCY-UHFFFAOYSA-N 0 3 308.466 4.390 20 0 DIADHN CC[C@@H]1C[C@@H](C)CN1Cc1ccc(C(F)(F)F)nc1Cl ZINC001204775807 1049260580 /nfs/dbraw/zinc/26/05/80/1049260580.db2.gz GPCUEYMKTBJFQJ-MWLCHTKSSA-N 0 3 306.759 4.374 20 0 DIADHN CC(C)(C)OC1CC(N2CCC[C@@H](c3ccc(F)c(F)c3)C2)C1 ZINC000858457823 1049262608 /nfs/dbraw/zinc/26/26/08/1049262608.db2.gz VFKILCNIHYOYKI-QQFBHYJXSA-N 0 3 323.427 4.490 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H](C)C2CCCCC2)cc1C ZINC001193806452 1049267725 /nfs/dbraw/zinc/26/77/25/1049267725.db2.gz AIQIZOMIDBDHHH-MRXNPFEDSA-N 0 3 302.462 4.143 20 0 DIADHN CCCOc1ccc(-c2cncc(CN(C)C)c2)cc1Cl ZINC001204794753 1049267733 /nfs/dbraw/zinc/26/77/33/1049267733.db2.gz UHUKNUWZKOFQSS-UHFFFAOYSA-N 0 3 304.821 4.252 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)C1 ZINC001193808352 1049268389 /nfs/dbraw/zinc/26/83/89/1049268389.db2.gz SRUHBXKJZHFTLI-CQSZACIVSA-N 0 3 323.864 4.369 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2cnc3c(F)cccc3c2)cc1 ZINC001180064837 1049270293 /nfs/dbraw/zinc/27/02/93/1049270293.db2.gz XNZXGDOIOXNVHK-IBGZPJMESA-N 0 3 322.383 4.417 20 0 DIADHN CCN(Cc1cc(Br)ccc1F)CC1(C)CC1 ZINC001180069018 1049270757 /nfs/dbraw/zinc/27/07/57/1049270757.db2.gz VXIUDWAHGSEPMD-UHFFFAOYSA-N 0 3 300.215 4.210 20 0 DIADHN c1nc2cc(CN3CCC(OC4CCCC4)CC3)ccc2s1 ZINC001180086425 1049276322 /nfs/dbraw/zinc/27/63/22/1049276322.db2.gz IDKCTLQOXWBZSW-UHFFFAOYSA-N 0 3 316.470 4.220 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3ccc(Cl)cc32)cc1O ZINC000858581396 1049280633 /nfs/dbraw/zinc/28/06/33/1049280633.db2.gz DBZJHGFPQLPTBP-BZNIZROVSA-N 0 3 317.816 4.392 20 0 DIADHN COc1ccc(-c2nccc(N)c2C)c(OCc2ccccc2)c1 ZINC001204841958 1049283329 /nfs/dbraw/zinc/28/33/29/1049283329.db2.gz KBARLCKGJYRSTG-UHFFFAOYSA-N 0 3 320.392 4.227 20 0 DIADHN CCN(Cc1c(C)ccc(Br)c1F)C1CCC1 ZINC001180141134 1049284169 /nfs/dbraw/zinc/28/41/69/1049284169.db2.gz DZEJOJJXLBEDID-UHFFFAOYSA-N 0 3 300.215 4.271 20 0 DIADHN CCCC[C@@H](CC)COC(=O)N(C(C)C)C1CCN(C)CC1 ZINC001193967041 1049285176 /nfs/dbraw/zinc/28/51/76/1049285176.db2.gz FKPSTUOMTQHUMX-MRXNPFEDSA-N 0 3 312.498 4.144 20 0 DIADHN Clc1cccc(C2=CCN(C[C@@H]3C[C@H]4CCC[C@@H]4O3)CC2)c1 ZINC001193990641 1049285880 /nfs/dbraw/zinc/28/58/80/1049285880.db2.gz PBAQAKQCKOBYGG-NEWSRXKRSA-N 0 3 317.860 4.387 20 0 DIADHN CN(C/C=C/c1ccc(F)cc1F)CCN(C)c1ccccc1 ZINC001204866032 1049288821 /nfs/dbraw/zinc/28/88/21/1049288821.db2.gz GXKXXKFHYGISGE-VOTSOKGWSA-N 0 3 316.395 4.046 20 0 DIADHN CC1CCC(N(C)Cc2nc3cc(Cl)ccc3n2C)CC1 ZINC001180108102 1049289126 /nfs/dbraw/zinc/28/91/26/1049289126.db2.gz NEUPOTJVRRKXDY-UHFFFAOYSA-N 0 3 305.853 4.237 20 0 DIADHN COc1ccc2nc(CN(C)C3CCC(C)CC3)sc2c1 ZINC001180108319 1049289170 /nfs/dbraw/zinc/28/91/70/1049289170.db2.gz PKWBQLJJQGMIJR-UHFFFAOYSA-N 0 3 304.459 4.315 20 0 DIADHN COc1cc(F)cc(CN(Cc2ccc(F)cc2)CC2CC2)c1 ZINC001180124135 1049290807 /nfs/dbraw/zinc/29/08/07/1049290807.db2.gz GYZCIHGVEDIDMZ-UHFFFAOYSA-N 0 3 317.379 4.386 20 0 DIADHN c1ccc(-c2cnc([C@H]3CCCN3)nc2)c(OC2CCCCC2)c1 ZINC000906158182 1049292479 /nfs/dbraw/zinc/29/24/79/1049292479.db2.gz JKCBCLURRGVPDX-GOSISDBHSA-N 0 3 323.440 4.280 20 0 DIADHN CCN(CCc1ccccn1)Cc1cc(C(C)(C)C)oc1C ZINC001180173989 1049294632 /nfs/dbraw/zinc/29/46/32/1049294632.db2.gz JJQPHDYBJFASTM-UHFFFAOYSA-N 0 3 300.446 4.345 20 0 DIADHN Fc1cccc(F)c1CN(CCC[C@@H]1CCO1)C1CCCC1 ZINC001180184484 1049297990 /nfs/dbraw/zinc/29/79/90/1049297990.db2.gz QCFIJBINNCGRAU-OAHLLOKOSA-N 0 3 309.400 4.279 20 0 DIADHN CCCc1ccc(CN(CCO)[C@H](C)c2ccccc2)s1 ZINC001180188933 1049300489 /nfs/dbraw/zinc/30/04/89/1049300489.db2.gz MNBLXWULLOQQGX-OAHLLOKOSA-N 0 3 303.471 4.256 20 0 DIADHN C[C@@H](CCSc1ccccc1)N1CCO[C@@H](C(C)(C)C)C1 ZINC001194021069 1049301869 /nfs/dbraw/zinc/30/18/69/1049301869.db2.gz WOQSECIAFJUFEK-DOTOQJQBSA-N 0 3 307.503 4.304 20 0 DIADHN CCCN(CCC1C2CC3CC(C2)CC1C3)[C@@H](C)C(=O)OCC ZINC001194030357 1049302228 /nfs/dbraw/zinc/30/22/28/1049302228.db2.gz NPBPAISUHYCCQW-QWEMWOLJSA-N 0 3 321.505 4.112 20 0 DIADHN COc1ccc(F)c(CN2CC[C@@H](c3ccc(Cl)cc3)C2)c1 ZINC001204923718 1049311590 /nfs/dbraw/zinc/31/15/90/1049311590.db2.gz NQFAWUAIWALSCJ-CQSZACIVSA-N 0 3 319.807 4.477 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)Oc1ccc(Cl)cc1 ZINC001194062015 1049311796 /nfs/dbraw/zinc/31/17/96/1049311796.db2.gz YPVUHTWRIYAGCO-UHFFFAOYSA-N 0 3 304.777 4.013 20 0 DIADHN COc1ccc(CN(Cc2ccnc(F)c2)[C@H](C)C2CC2)cc1 ZINC000859089561 1049312530 /nfs/dbraw/zinc/31/25/30/1049312530.db2.gz HOTNNJJONKTHJN-CQSZACIVSA-N 0 3 314.404 4.030 20 0 DIADHN CC[C@H]1CCCN(Cc2ccc(OCC(F)(F)F)c(F)c2)C1 ZINC001180199261 1049315094 /nfs/dbraw/zinc/31/50/94/1049315094.db2.gz QPRHPHHTTYFLMG-LBPRGKRZSA-N 0 3 319.342 4.389 20 0 DIADHN Cc1cc2cc(Nc3cccc4c3OCC[C@H]4N(C)C)cnc2[nH]1 ZINC001204943716 1049318232 /nfs/dbraw/zinc/31/82/32/1049318232.db2.gz MGRMJCFDRMPDLL-QGZVFWFLSA-N 0 3 322.412 4.000 20 0 DIADHN Fc1cc(F)cc(CNC[C@@H](c2ccccc2)C(F)(F)F)c1 ZINC000485695911 1049319012 /nfs/dbraw/zinc/31/90/12/1049319012.db2.gz QITNIXSOJKVXON-HNNXBMFYSA-N 0 3 315.285 4.401 20 0 DIADHN C[C@H]1COC(C)(C)CN1CCCOc1cccc2ccccc21 ZINC001194082828 1049319278 /nfs/dbraw/zinc/31/92/78/1049319278.db2.gz MCVQMZQMCDFTAP-INIZCTEOSA-N 0 3 313.441 4.108 20 0 DIADHN CC[C@@H]1CCC[C@@H](CNCc2cc(COC(C)(C)C)on2)C1 ZINC000485697085 1049321554 /nfs/dbraw/zinc/32/15/54/1049321554.db2.gz OPXIJSUYAYMNPU-HUUCEWRRSA-N 0 3 308.466 4.296 20 0 DIADHN CN(CCCN(C)Cc1cccc(F)c1Cl)Cc1ccco1 ZINC001194123264 1049323421 /nfs/dbraw/zinc/32/34/21/1049323421.db2.gz FQGOGBOTAJQVTN-UHFFFAOYSA-N 0 3 324.827 4.026 20 0 DIADHN O[C@H](CN1CCC2(CCC2)CC1)c1ccc(Cl)cc1Cl ZINC001194133202 1049324516 /nfs/dbraw/zinc/32/45/16/1049324516.db2.gz RVDGEDAXPHKDNY-OAHLLOKOSA-N 0 3 314.256 4.293 20 0 DIADHN COc1ccc(CN(Cc2ccnc(F)c2)C(C)(C)C)cc1 ZINC000859306713 1049328632 /nfs/dbraw/zinc/32/86/32/1049328632.db2.gz VKCDZIVWSRBWMJ-UHFFFAOYSA-N 0 3 302.393 4.030 20 0 DIADHN COc1ccc(CN(C)Cc2cc3ccccc3n2C)cc1C ZINC001180284609 1049333993 /nfs/dbraw/zinc/33/39/93/1049333993.db2.gz KCXBIZMXSFEMPN-UHFFFAOYSA-N 0 3 308.425 4.127 20 0 DIADHN Cc1c(C)c(-c2ccc(CN3CCCC3)c(F)c2)ccc1CO ZINC001204998512 1049334701 /nfs/dbraw/zinc/33/47/01/1049334701.db2.gz RMUDZBFJAKPHIX-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN COc1ccc(CN2CCCC3(CCCCC3)C2)c(F)c1OC ZINC001180296501 1049335534 /nfs/dbraw/zinc/33/55/34/1049335534.db2.gz JKUIYGBROOJMEU-UHFFFAOYSA-N 0 3 321.436 4.389 20 0 DIADHN C[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1sc(N(C)C)nc1Cl ZINC001180297393 1049338597 /nfs/dbraw/zinc/33/85/97/1049338597.db2.gz WBVNVBNUWKJLDN-GRYCIOLGSA-N 0 3 313.898 4.016 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001180593087 1049389131 /nfs/dbraw/zinc/38/91/31/1049389131.db2.gz KQKNXXXVUKBKQK-HZSPNIEDSA-N 0 3 303.393 4.472 20 0 DIADHN Clc1ncc(Nc2ccnc(C3CC3)c2)n1[C@@H]1CCCCO1 ZINC001205029876 1049345746 /nfs/dbraw/zinc/34/57/46/1049345746.db2.gz MQSOGRJJPXINCR-HNNXBMFYSA-N 0 3 318.808 4.252 20 0 DIADHN Clc1cc2c(c(Cl)c1)[C@@H](NCCOC1CCC1)CC2 ZINC000777120566 1049347359 /nfs/dbraw/zinc/34/73/59/1049347359.db2.gz JGTUXGPVUKQLJP-AWEZNQCLSA-N 0 3 300.229 4.139 20 0 DIADHN Cc1sc(CN2C[C@H](C)C[C@@H](C)C2)cc1Br ZINC001180375010 1049347691 /nfs/dbraw/zinc/34/76/91/1049347691.db2.gz AYROAXMYIDIQLD-NXEZZACHSA-N 0 3 302.281 4.297 20 0 DIADHN CCc1ccc(-c2noc([C@H](C)N[C@H](C)[C@@H](C)CC)n2)cc1 ZINC001205656994 1049347773 /nfs/dbraw/zinc/34/77/73/1049347773.db2.gz WLNWSKJLHBIWGF-MJBXVCDLSA-N 0 3 301.434 4.384 20 0 DIADHN c1cc2cc(CNCc3ccc(-c4cnco4)cc3)ccc2o1 ZINC000906486004 1049348445 /nfs/dbraw/zinc/34/84/45/1049348445.db2.gz OZIWKEJEGZZDSG-UHFFFAOYSA-N 0 3 304.349 4.378 20 0 DIADHN CC(C)CCCCN1CCN(Cc2ccc(Cl)cc2)CC1 ZINC001205033100 1049348791 /nfs/dbraw/zinc/34/87/91/1049348791.db2.gz QVHNJJRZGOOMIU-UHFFFAOYSA-N 0 3 308.897 4.284 20 0 DIADHN Fc1cncc([C@H](NCc2ccc(-c3cnco3)cc2)C2CC2)c1 ZINC000906525341 1049349682 /nfs/dbraw/zinc/34/96/82/1049349682.db2.gz LVKYTSKQDNFYJQ-LJQANCHMSA-N 0 3 323.371 4.117 20 0 DIADHN c1cc(CN2CC[C@H]3CCCC[C@H]3C2)n(CCc2ccccc2)n1 ZINC001180403484 1049350953 /nfs/dbraw/zinc/35/09/53/1049350953.db2.gz LHUDNKZLSPRDHW-UXHICEINSA-N 0 3 323.484 4.138 20 0 DIADHN c1ncc(-c2ccc(CN[C@H]3CCCOc4ccccc43)cc2)o1 ZINC000906525409 1049351062 /nfs/dbraw/zinc/35/10/62/1049351062.db2.gz NHNQQMDJHGUIML-SFHVURJKSA-N 0 3 320.392 4.345 20 0 DIADHN CC(C)c1ccc(CCN2CCN(c3ccccc3)CC2)cc1 ZINC001205047010 1049351649 /nfs/dbraw/zinc/35/16/49/1049351649.db2.gz ZUPLRPKHVXCEBD-UHFFFAOYSA-N 0 3 308.469 4.175 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccc(-c3cnco3)cc1)CCC2 ZINC000906525824 1049352074 /nfs/dbraw/zinc/35/20/74/1049352074.db2.gz PPKQCOWBONKRJR-LJQANCHMSA-N 0 3 320.392 4.214 20 0 DIADHN COCOc1ccc(-c2ccc3c(N)ccnc3c2)cc1Cl ZINC001205042584 1049353125 /nfs/dbraw/zinc/35/31/25/1049353125.db2.gz UWLXOFABEZSYQX-UHFFFAOYSA-N 0 3 314.772 4.120 20 0 DIADHN CSCCCCCCC(=O)Nc1cc(CN(C)C)ccc1C ZINC000859888857 1049360342 /nfs/dbraw/zinc/36/03/42/1049360342.db2.gz LHAUXJBZGPHXQY-UHFFFAOYSA-N 0 3 322.518 4.309 20 0 DIADHN c1cc2cc(CN3CCSC[C@H]3c3ccccc3)ccc2[nH]1 ZINC001205059762 1049360424 /nfs/dbraw/zinc/36/04/24/1049360424.db2.gz DHKCXCIBCZOOKD-IBGZPJMESA-N 0 3 308.450 4.458 20 0 DIADHN CN(Cc1ccc2ccccc2c1)C[C@H]1C[C@@]1(C)Br ZINC001180413172 1049361061 /nfs/dbraw/zinc/36/10/61/1049361061.db2.gz RIINDOMLAKJWAT-IAGOWNOFSA-N 0 3 318.258 4.445 20 0 DIADHN COc1cccc2c1CN(CCCc1ccccc1Cl)CC2 ZINC001194399997 1049369487 /nfs/dbraw/zinc/36/94/87/1049369487.db2.gz CBPROVCRYQFMSK-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1ccc([C@@H](C)CN2CCN(Cc3cccc(C)c3)CC2)cc1 ZINC001205089045 1049371285 /nfs/dbraw/zinc/37/12/85/1049371285.db2.gz MUTJOHSYBDCNSM-FQEVSTJZSA-N 0 3 322.496 4.225 20 0 DIADHN Cc1occc1CN(Cc1ccc2c(c1)OCO2)C1CCCC1 ZINC001205094530 1049374788 /nfs/dbraw/zinc/37/47/88/1049374788.db2.gz WAVMVYPLGMMJCE-UHFFFAOYSA-N 0 3 313.397 4.262 20 0 DIADHN COc1ccccc1CN(C)CCc1ccc(F)cc1Cl ZINC001180497240 1049375536 /nfs/dbraw/zinc/37/55/36/1049375536.db2.gz GIYWVHKCJHFDDJ-UHFFFAOYSA-N 0 3 307.796 4.162 20 0 DIADHN COc1cccc(OC)c1CN1CCC[C@@H]1c1ccc(C)cc1 ZINC001180539980 1049378158 /nfs/dbraw/zinc/37/81/58/1049378158.db2.gz DLMNWSDPUDEWSR-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cccc3c2NCCC3)cc1 ZINC001180540853 1049379131 /nfs/dbraw/zinc/37/91/31/1049379131.db2.gz VCOFNDCZWAOEOK-OAHLLOKOSA-N 0 3 310.441 4.246 20 0 DIADHN CC(C)O[C@H](CN(C)Cc1ccc(F)cc1)c1ccccc1 ZINC001180565950 1049380167 /nfs/dbraw/zinc/38/01/67/1049380167.db2.gz KZJWYMINZVTEKX-LJQANCHMSA-N 0 3 301.405 4.424 20 0 DIADHN COc1cc(Cl)c(CN(C2CC2)C2CCCC2)cc1OC ZINC001180558365 1049380568 /nfs/dbraw/zinc/38/05/68/1049380568.db2.gz MUWBDMHWHSEIEN-UHFFFAOYSA-N 0 3 309.837 4.264 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCSC[C@@H]1C1CC1 ZINC001194519468 1049381742 /nfs/dbraw/zinc/38/17/42/1049381742.db2.gz NNMXVDQKORTHKR-GOSISDBHSA-N 0 3 304.503 4.095 20 0 DIADHN CCCN(Cc1ccc(C)cc1)Cc1ncc(C)c(OC)c1C ZINC001205113962 1049382933 /nfs/dbraw/zinc/38/29/33/1049382933.db2.gz CIMYNOGVDDGJLO-UHFFFAOYSA-N 0 3 312.457 4.428 20 0 DIADHN CC[C@H]1CCC[C@@H](CN2CCN(CC(F)(F)F)CC2(C)C)C1 ZINC001180612686 1049383023 /nfs/dbraw/zinc/38/30/23/1049383023.db2.gz KQBFLLWMLVPRAB-LSDHHAIUSA-N 0 3 320.443 4.161 20 0 DIADHN CC(C)n1cc2c(n1)[C@@H](N[C@@H](C)CCc1ccco1)CCC2 ZINC001180613054 1049383078 /nfs/dbraw/zinc/38/30/78/1049383078.db2.gz MPCDKZQODAHLQE-YOEHRIQHSA-N 0 3 301.434 4.045 20 0 DIADHN CS[C@@H]1CC[C@@H]1N[C@@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001180593088 1049387649 /nfs/dbraw/zinc/38/76/49/1049387649.db2.gz KQKNXXXVUKBKQK-MELADBBJSA-N 0 3 303.393 4.472 20 0 DIADHN CC(C)[C@@H]1CCCC[C@@H]1NCc1cc(COC(C)(C)C)on1 ZINC000486091897 1049388344 /nfs/dbraw/zinc/38/83/44/1049388344.db2.gz YCIBEGNVEAREAZ-IRXDYDNUSA-N 0 3 308.466 4.294 20 0 DIADHN CSC1CC(N[C@H](CC(F)(F)F)c2ccc(C)cc2)C1 ZINC001180594340 1049388411 /nfs/dbraw/zinc/38/84/11/1049388411.db2.gz RFBRRPKQYRZVRY-JXQTWKCFSA-N 0 3 303.393 4.472 20 0 DIADHN CS[C@@H]1CC[C@H]1N[C@H](CC(F)(F)F)c1ccc(C)cc1 ZINC001180593089 1049388488 /nfs/dbraw/zinc/38/84/88/1049388488.db2.gz KQKNXXXVUKBKQK-MGPQQGTHSA-N 0 3 303.393 4.472 20 0 DIADHN CC1=C(C)C[C@H]([C@@H](C)NCc2nc(-c3ccccc3)no2)CC1 ZINC001180672099 1049393294 /nfs/dbraw/zinc/39/32/94/1049393294.db2.gz FANNIHJVFMIPNE-NVXWUHKLSA-N 0 3 311.429 4.351 20 0 DIADHN FCC[C@H]1CCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000668592637 1049397728 /nfs/dbraw/zinc/39/77/28/1049397728.db2.gz NXEOVMFVGIQQGA-GFCCVEGCSA-N 0 3 310.463 4.443 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3C[C@]3(C)Br)CC2)c1 ZINC001180768544 1049405373 /nfs/dbraw/zinc/40/53/73/1049405373.db2.gz GRWCIJSUDGSDKE-IRXDYDNUSA-N 0 3 320.274 4.258 20 0 DIADHN COCC1CCN(Cc2cccc(SC(F)(F)F)c2)CC1 ZINC000781331941 1049408627 /nfs/dbraw/zinc/40/86/27/1049408627.db2.gz JAMXRTRLWCEECU-UHFFFAOYSA-N 0 3 319.392 4.157 20 0 DIADHN Cc1ccc(CN2CCC(n3ncc4ccccc43)CC2)cc1F ZINC001205236801 1049414341 /nfs/dbraw/zinc/41/43/41/1049414341.db2.gz UQFJZVGAQPDQAR-UHFFFAOYSA-N 0 3 323.415 4.321 20 0 DIADHN CCC[C@H](C)CCCN1C[C@@H](c2ccccc2)[C@@](F)(CO)C1 ZINC001194731524 1049414565 /nfs/dbraw/zinc/41/45/65/1049414565.db2.gz DRZCGQWRXQAZMK-WDSOQIARSA-N 0 3 307.453 4.003 20 0 DIADHN Cc1ccc(CN2CCC(Sc3ccncc3)CC2)cc1F ZINC001205235812 1049415225 /nfs/dbraw/zinc/41/52/25/1049415225.db2.gz CBHUIPSTBBFCFU-UHFFFAOYSA-N 0 3 316.445 4.286 20 0 DIADHN CCc1oc(C(=O)OC)cc1CN1CC[C@@H](C(C)(C)C)C[C@@H]1C ZINC001194791270 1049422624 /nfs/dbraw/zinc/42/26/24/1049422624.db2.gz IIFURCOJOONPSN-DZGCQCFKSA-N 0 3 321.461 4.275 20 0 DIADHN Oc1ccc2c(c1)[C@H](NCc1ccccc1C(F)(F)F)CC2 ZINC000668615237 1049423779 /nfs/dbraw/zinc/42/37/79/1049423779.db2.gz KVKNYHLISMQIQC-MRXNPFEDSA-N 0 3 307.315 4.188 20 0 DIADHN Cc1ccc(CN2CCC(c3noc4ccc(F)cc43)CC2)o1 ZINC001203297742 1049424141 /nfs/dbraw/zinc/42/41/41/1049424141.db2.gz XHRCHSCUAKCCOI-UHFFFAOYSA-N 0 3 314.360 4.248 20 0 DIADHN CCc1ccc(CN2CCN(c3cccc(F)c3)C[C@@H]2C)cc1 ZINC001194755178 1049424851 /nfs/dbraw/zinc/42/48/51/1049424851.db2.gz OEIBYWUGDADLBC-INIZCTEOSA-N 0 3 312.432 4.099 20 0 DIADHN CC[C@H]1CCC[C@H](CN2CCN(C(=O)OC(C)(C)C)[C@@H](C)C2)C1 ZINC001180995165 1049429620 /nfs/dbraw/zinc/42/96/20/1049429620.db2.gz JISHUHQZTMXPBB-ULQDDVLXSA-N 0 3 324.509 4.144 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@H]([C@@H]3CCCCO3)C2)s1 ZINC000668631198 1049434245 /nfs/dbraw/zinc/43/42/45/1049434245.db2.gz ONSCZPDWOMEJHC-RDJZCZTQSA-N 0 3 322.518 4.123 20 0 DIADHN C[C@@H](CCC1CCCC1)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001205311037 1049434618 /nfs/dbraw/zinc/43/46/18/1049434618.db2.gz ASOFXSOEIRESOB-GUYCJALGSA-N 0 3 322.880 4.205 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN1CCC[C@H](OCC2CC2)C1 ZINC001181085880 1049435289 /nfs/dbraw/zinc/43/52/89/1049435289.db2.gz HIFZTFDLFYXTPD-KRWDZBQOSA-N 0 3 307.865 4.348 20 0 DIADHN CC(C)Cc1ncc(CN2CCC[C@@H]([C@@H]3CCCCO3)C2)s1 ZINC000668631199 1049435559 /nfs/dbraw/zinc/43/55/59/1049435559.db2.gz ONSCZPDWOMEJHC-WBVHZDCISA-N 0 3 322.518 4.123 20 0 DIADHN c1nc(C2CCC2)sc1CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000668632541 1049436618 /nfs/dbraw/zinc/43/66/18/1049436618.db2.gz YVNZPUQPLBSFCO-WBVHZDCISA-N 0 3 320.502 4.192 20 0 DIADHN CCOc1cc(C[NH2+][C@@H]2CC[C@H](C)[C@H](C)C2)cc(Cl)c1[O-] ZINC001205321133 1049437782 /nfs/dbraw/zinc/43/77/82/1049437782.db2.gz XWYCANOHMNANQL-OUCADQQQSA-N 0 3 311.853 4.359 20 0 DIADHN CCOC(=O)CCCN1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC001194974150 1049453028 /nfs/dbraw/zinc/45/30/28/1049453028.db2.gz HDYLPFZKYMIANK-LJQANCHMSA-N 0 3 315.457 4.337 20 0 DIADHN CCCSC[C@H](C)N[C@H](COC)c1ccc(Cl)cc1 ZINC001181275339 1049458141 /nfs/dbraw/zinc/45/81/41/1049458141.db2.gz BGNXFEYJSBIKSJ-SWLSCSKDSA-N 0 3 301.883 4.149 20 0 DIADHN CC(C)N(Cc1nc2ccc(Cl)cc2n1C)CC1CCC1 ZINC001181285977 1049460095 /nfs/dbraw/zinc/46/00/95/1049460095.db2.gz RZWNKKAFIGUTJK-UHFFFAOYSA-N 0 3 305.853 4.237 20 0 DIADHN C[C@@H](N[C@H](C)c1ccc2c(c1)OCCO2)c1cc2ccccc2[nH]1 ZINC000775627834 1049460635 /nfs/dbraw/zinc/46/06/35/1049460635.db2.gz OPKYTSRQAXOIOK-ZIAGYGMSSA-N 0 3 322.408 4.351 20 0 DIADHN Cc1ccc(NC(=O)CN(C)[C@H]2CCC[C@@H]2c2ccccc2)cc1 ZINC001195022351 1049462990 /nfs/dbraw/zinc/46/29/90/1049462990.db2.gz MKKJNLWIVNRPSM-UXHICEINSA-N 0 3 322.452 4.202 20 0 DIADHN C[C@@H](Cc1ccsc1)N1CC2(CCOCC2)[C@@H]1c1ccco1 ZINC000668672991 1049464345 /nfs/dbraw/zinc/46/43/45/1049464345.db2.gz FKMKDQRTHGYQPC-YOEHRIQHSA-N 0 3 317.454 4.126 20 0 DIADHN Cc1ccncc1CN1CC[C@H](c2cc(C)c(Cl)cc2O)C1 ZINC000668677830 1049466863 /nfs/dbraw/zinc/46/68/63/1049466863.db2.gz AISFRYYQFWRGNZ-AWEZNQCLSA-N 0 3 316.832 4.047 20 0 DIADHN C[C@H](CC1CCCC1)NCc1c(F)cccc1OC(F)F ZINC001181382282 1049467931 /nfs/dbraw/zinc/46/79/31/1049467931.db2.gz GKTPDYHTMGXDRD-LLVKDONJSA-N 0 3 301.352 4.486 20 0 DIADHN Cc1ncsc1CN1CC[C@@H](c2cc(C)c(Cl)cc2O)C1 ZINC000668678777 1049469970 /nfs/dbraw/zinc/46/99/70/1049469970.db2.gz RAOICUPCTABUPU-GFCCVEGCSA-N 0 3 322.861 4.108 20 0 DIADHN Fc1cccc(OC(F)F)c1CNC[C@H]1C[C@@H]1c1ccccc1 ZINC001181383662 1049469945 /nfs/dbraw/zinc/46/99/45/1049469945.db2.gz YBAZEPOIMGMVIT-ZIAGYGMSSA-N 0 3 321.342 4.320 20 0 DIADHN CNc1ccc(C)cc1CN1CCC[C@H](C(F)(F)F)[C@H]1C ZINC001205438612 1049470611 /nfs/dbraw/zinc/47/06/11/1049470611.db2.gz SIFPSUUFRBAKIX-OCCSQVGLSA-N 0 3 300.368 4.200 20 0 DIADHN Cc1ccc(CCN(C)Cc2ccc(C(F)(F)F)nc2)cc1 ZINC001205440719 1049471234 /nfs/dbraw/zinc/47/12/34/1049471234.db2.gz HOCFAYDMQQPLHB-UHFFFAOYSA-N 0 3 308.347 4.083 20 0 DIADHN COc1c2ccccc2oc1CN[C@@H]1CS[C@@H](C(C)(C)C)C1 ZINC001205453711 1049474012 /nfs/dbraw/zinc/47/40/12/1049474012.db2.gz NPURGDUUYCBEKP-BLLLJJGKSA-N 0 3 319.470 4.451 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1C[C@@H]1C[C@H]1c1ccccc1 ZINC001181390625 1049475730 /nfs/dbraw/zinc/47/57/30/1049475730.db2.gz GMATZNGMPLGJBJ-NEWSRXKRSA-N 0 3 324.443 4.140 20 0 DIADHN CSC1(CN[C@H](C)c2ccc(C(F)(F)F)nc2Cl)CC1 ZINC000862379139 1049491998 /nfs/dbraw/zinc/49/19/98/1049491998.db2.gz HXJWOXJLQXJHEM-MRVPVSSYSA-N 0 3 324.799 4.300 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1ccc(Oc2ccccc2)o1 ZINC000486805283 1049479103 /nfs/dbraw/zinc/47/91/03/1049479103.db2.gz ZWKFNRRGPFWLOH-IAGOWNOFSA-N 0 3 301.386 4.119 20 0 DIADHN C[C@@H](N[C@@H](C)[C@H]1COC(C)(C)O1)c1cc(Cl)ccc1Cl ZINC001205470870 1049479320 /nfs/dbraw/zinc/47/93/20/1049479320.db2.gz DOIZBEZQMYXIPR-ISTVAULSSA-N 0 3 318.244 4.184 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1C[C@@H]1c1ccccc1)C(C)C ZINC001181463052 1049480224 /nfs/dbraw/zinc/48/02/24/1049480224.db2.gz QEPOWKBOGMFKDV-IAGOWNOFSA-N 0 3 305.402 4.205 20 0 DIADHN Cc1c(F)nccc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000862234057 1049481287 /nfs/dbraw/zinc/48/12/87/1049481287.db2.gz BOMOGWJYCZFHTI-UHFFFAOYSA-N 0 3 323.415 4.390 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CC[C@H](C2CCC2)C1 ZINC001195145969 1049482076 /nfs/dbraw/zinc/48/20/76/1049482076.db2.gz AHWBBJYHAMXKQS-HIFRSBDPSA-N 0 3 320.864 4.097 20 0 DIADHN COC(=O)[C@H]1C[C@@H](C)CCN1CC1CCC2(CCCCC2)CC1 ZINC001205488981 1049484330 /nfs/dbraw/zinc/48/43/30/1049484330.db2.gz GLSUEYAALQJZMA-FUHWJXTLSA-N 0 3 321.505 4.401 20 0 DIADHN C[C@H](CO)N(C)Cc1ccccc1Oc1ccc(F)c(Cl)c1 ZINC001181497394 1049484589 /nfs/dbraw/zinc/48/45/89/1049484589.db2.gz ILTQXTHYPCXPAN-GFCCVEGCSA-N 0 3 323.795 4.084 20 0 DIADHN Cc1c(CN[C@@H](c2ccc(F)cc2)C2CCC2)ccnc1F ZINC000862278032 1049484900 /nfs/dbraw/zinc/48/49/00/1049484900.db2.gz QJBSIODRUVYDIO-QGZVFWFLSA-N 0 3 302.368 4.299 20 0 DIADHN FC(F)n1ccc(CN[C@@H]2CCC[C@H](c3ccccc3)C2)n1 ZINC000486906628 1049490174 /nfs/dbraw/zinc/49/01/74/1049490174.db2.gz UEODHGJIYUOHLU-LSDHHAIUSA-N 0 3 305.372 4.094 20 0 DIADHN CN(C)Cc1ccccc1C(=O)NCC(C)(C)C1CCCCC1 ZINC000486902766 1049491325 /nfs/dbraw/zinc/49/13/25/1049491325.db2.gz PGTOGWSLYCSPAU-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN c1cc(C2CCN(Cc3ccc(-c4ccccc4)o3)CC2)no1 ZINC000668714586 1049494514 /nfs/dbraw/zinc/49/45/14/1049494514.db2.gz ZPWIVCUKOUNZPS-UHFFFAOYSA-N 0 3 308.381 4.314 20 0 DIADHN CCC[C@]1(COC)CCCN1Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001205541442 1049495583 /nfs/dbraw/zinc/49/55/83/1049495583.db2.gz PWUFJYMQKJBFOQ-KBXCAEBGSA-N 0 3 322.518 4.049 20 0 DIADHN Cc1cc(CN2CCC(c3ccon3)CC2)ccc1C(F)(F)F ZINC000668717942 1049499164 /nfs/dbraw/zinc/49/91/64/1049499164.db2.gz HQCWVKQOWJTHKG-UHFFFAOYSA-N 0 3 324.346 4.381 20 0 DIADHN OC[C@H](NC1CCC(F)(F)CC1)c1cccc(Cl)c1Cl ZINC000668715568 1049501639 /nfs/dbraw/zinc/50/16/39/1049501639.db2.gz MDTHUIGELDBKHP-LBPRGKRZSA-N 0 3 324.198 4.194 20 0 DIADHN CC[C@@H](NCc1ncc(-c2ccccc2F)o1)[C@H]1CC1(C)C ZINC001195269678 1049505379 /nfs/dbraw/zinc/50/53/79/1049505379.db2.gz OOUAJNYHYTXNBA-UKRRQHHQSA-N 0 3 302.393 4.395 20 0 DIADHN Cc1cccc(C)c1N1CCN(Cc2ccc3[nH]ccc3c2)CC1 ZINC001205612186 1049512031 /nfs/dbraw/zinc/51/20/31/1049512031.db2.gz QKUYQRUYQSLKBR-UHFFFAOYSA-N 0 3 319.452 4.107 20 0 DIADHN NC(=O)[C@@H](NC1CCCCCCCCCCC1)c1ccccc1 ZINC001205615907 1049512083 /nfs/dbraw/zinc/51/20/83/1049512083.db2.gz XYHCYAFAXSYZLK-IBGZPJMESA-N 0 3 316.489 4.476 20 0 DIADHN CCCCOc1ccccc1CN(C)[C@H]1C[C@@H](OC)C12CCC2 ZINC001181797006 1049519508 /nfs/dbraw/zinc/51/95/08/1049519508.db2.gz SEKRJXPBLSRPHV-RBUKOAKNSA-N 0 3 317.473 4.255 20 0 DIADHN C[C@H]1CCC[C@H](CN2CCc3nccc(Br)c3C2)C1 ZINC001195414316 1049522140 /nfs/dbraw/zinc/52/21/40/1049522140.db2.gz YMMUHMRRTTXQNZ-STQMWFEESA-N 0 3 323.278 4.029 20 0 DIADHN C[C@H]1CSCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC001205656538 1049523308 /nfs/dbraw/zinc/52/33/08/1049523308.db2.gz PIYSAHQEIOMLPG-CQSZACIVSA-N 0 3 303.427 4.257 20 0 DIADHN C[C@@H]1CSCCN(Cc2ccc(Oc3ccccc3)o2)C1 ZINC001205656536 1049523733 /nfs/dbraw/zinc/52/37/33/1049523733.db2.gz PIYSAHQEIOMLPG-AWEZNQCLSA-N 0 3 303.427 4.257 20 0 DIADHN CC[C@H](CC(C)C)C(=O)NC[C@@H](c1ccccc1)N1CCCC1 ZINC001181844617 1049531588 /nfs/dbraw/zinc/53/15/88/1049531588.db2.gz XHKJOCUBOLDNEG-MJGOQNOKSA-N 0 3 316.489 4.012 20 0 DIADHN Fc1cc(CN2C[C@@H](C3CC3)[C@@H]2C2CC2)ccc1OC(F)F ZINC001181869496 1049532707 /nfs/dbraw/zinc/53/27/07/1049532707.db2.gz CQQANFIIXOLIKU-BBRMVZONSA-N 0 3 311.347 4.048 20 0 DIADHN CN(Cc1ccc(O)cc1)Cc1cccc(Oc2ccccn2)c1 ZINC001205696593 1049534651 /nfs/dbraw/zinc/53/46/51/1049534651.db2.gz LJYPORHMIDYJGH-UHFFFAOYSA-N 0 3 320.392 4.212 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1cc(Cl)ccc1Cl ZINC000487257207 1049537518 /nfs/dbraw/zinc/53/75/18/1049537518.db2.gz HJUZDQRIIPGQFY-WDEREUQCSA-N 0 3 317.260 4.298 20 0 DIADHN CC[C@H](C)CN(C)[C@H](C)C(=O)Nc1cccc(Cl)c1Cl ZINC000487258941 1049538720 /nfs/dbraw/zinc/53/87/20/1049538720.db2.gz LWEJPLCQXLBGEY-WDEREUQCSA-N 0 3 317.260 4.298 20 0 DIADHN CN(CCC(c1ccccc1)c1ccccc1)Cc1cnoc1 ZINC001205724508 1049542406 /nfs/dbraw/zinc/54/24/06/1049542406.db2.gz SONPPTDPDKQPNH-UHFFFAOYSA-N 0 3 306.409 4.329 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)[N@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736708 1049547154 /nfs/dbraw/zinc/54/71/54/1049547154.db2.gz XPNMPDBTCZZMFL-SWLSCSKDSA-N 0 3 303.352 4.254 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cc2ccc([O-])c(F)c2F)C1 ZINC001205736708 1049547166 /nfs/dbraw/zinc/54/71/66/1049547166.db2.gz XPNMPDBTCZZMFL-SWLSCSKDSA-N 0 3 303.352 4.254 20 0 DIADHN COc1ccc(CN2CCSC[C@@H]2C2CC2)cc1C(C)(C)C ZINC001181912806 1049547722 /nfs/dbraw/zinc/54/77/22/1049547722.db2.gz HZFLIBNLFBPTBM-QGZVFWFLSA-N 0 3 319.514 4.320 20 0 DIADHN C[C@H]1Cc2ccccc2[C@@H]1NCc1cnc(-c2ccco2)s1 ZINC000487307863 1049547759 /nfs/dbraw/zinc/54/77/59/1049547759.db2.gz GWOPSXKEYHNDKP-YVEFUNNKSA-N 0 3 310.422 4.426 20 0 DIADHN CCCn1cc(CN2Cc3cccc(C)c3CC2(C)C)c(C)n1 ZINC001181932688 1049548885 /nfs/dbraw/zinc/54/88/85/1049548885.db2.gz HYZNRLRHECUWNF-UHFFFAOYSA-N 0 3 311.473 4.247 20 0 DIADHN COc1ccccc1[C@@H](CC(C)C)NC/C=C/c1ccncc1 ZINC000487306640 1049549901 /nfs/dbraw/zinc/54/99/01/1049549901.db2.gz GVSYTSNQFYHJMS-OVKVCFKUSA-N 0 3 310.441 4.480 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@@H](C)CN1Cc1n[nH]c(C)c1C ZINC001205750214 1049551692 /nfs/dbraw/zinc/55/16/92/1049551692.db2.gz IYAPJBPFBRRHND-ACJLOTCBSA-N 0 3 313.445 4.008 20 0 DIADHN CN(CCN1CCCCC1)Cc1nc(C2CCCCC2)cs1 ZINC001195675986 1049553597 /nfs/dbraw/zinc/55/35/97/1049553597.db2.gz DATXOGJLFOWZCO-UHFFFAOYSA-N 0 3 321.534 4.109 20 0 DIADHN Cc1cc(C)c(/C=C/CN(C)[C@H](CO)c2ccccc2)cc1C ZINC001181958116 1049554445 /nfs/dbraw/zinc/55/44/45/1049554445.db2.gz NFFBGOXWARXWFQ-OGECGYFKSA-N 0 3 309.453 4.290 20 0 DIADHN CC[C@@H](N[C@@H](CN(C)C)c1ccc(F)cc1)c1cccc(F)c1 ZINC000569837659 1049555657 /nfs/dbraw/zinc/55/56/57/1049555657.db2.gz HNGQDSQDEFFLPA-MOPGFXCFSA-N 0 3 318.411 4.308 20 0 DIADHN CC/C=C\CNCc1ccc(-c2ccc(C(=O)OCC)cc2)o1 ZINC001181973198 1049559122 /nfs/dbraw/zinc/55/91/22/1049559122.db2.gz XQZZQTAOWLRHBX-WAYWQWQTSA-N 0 3 313.397 4.179 20 0 DIADHN CCC[C@@H](C)[C@H](CO)NCc1cc(Cl)cc(Cl)c1F ZINC001195757047 1049563254 /nfs/dbraw/zinc/56/32/54/1049563254.db2.gz KSBDERGKTHKTHQ-RNCFNFMXSA-N 0 3 308.224 4.019 20 0 DIADHN CCC[C@H](C)[C@H](CO)NCc1cc(Cl)cc(Cl)c1F ZINC001195757050 1049564243 /nfs/dbraw/zinc/56/42/43/1049564243.db2.gz KSBDERGKTHKTHQ-ZANVPECISA-N 0 3 308.224 4.019 20 0 DIADHN Cc1cccnc1CN1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001205807504 1049569385 /nfs/dbraw/zinc/56/93/85/1049569385.db2.gz OQDNSTLNDDOHFM-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN COCC(C)(C)N(C)Cc1ccc(-c2ccccc2)cc1OC ZINC001182115910 1049571861 /nfs/dbraw/zinc/57/18/61/1049571861.db2.gz WDNSZQIPOXZBDI-UHFFFAOYSA-N 0 3 313.441 4.219 20 0 DIADHN C[C@]1(c2cccc(F)c2)CCN(C[C@H]2CCC3(CCC3)CO2)C1 ZINC001195851653 1049575588 /nfs/dbraw/zinc/57/55/88/1049575588.db2.gz MSEOYWLCHYWKES-MOPGFXCFSA-N 0 3 317.448 4.138 20 0 DIADHN Cc1c([C@H](C)NCc2cc(-c3ccccc3)cs2)cnn1C ZINC000080145398 1049577582 /nfs/dbraw/zinc/57/75/82/1049577582.db2.gz BUZZVNYOWWSFOP-ZDUSSCGKSA-N 0 3 311.454 4.308 20 0 DIADHN CC(C)Cc1ccc(CN2CCCc3ccccc3CC2)cn1 ZINC001205841928 1049579393 /nfs/dbraw/zinc/57/93/93/1049579393.db2.gz UYWCHMPKNSUACI-UHFFFAOYSA-N 0 3 308.469 4.271 20 0 DIADHN O[C@H](CN1CCC(C2CCCCC2)CC1)c1ccc(F)cc1F ZINC001195863373 1049581658 /nfs/dbraw/zinc/58/16/58/1049581658.db2.gz SIMMJCHZQRNHEB-LJQANCHMSA-N 0 3 323.427 4.291 20 0 DIADHN Cn1nc(C(F)(F)F)c(CNCC(C)(C)C2CCC2)c1Cl ZINC001205848506 1049582475 /nfs/dbraw/zinc/58/24/75/1049582475.db2.gz CYQGCNQEHHFGTL-UHFFFAOYSA-N 0 3 323.790 4.008 20 0 DIADHN COc1ccc(C(C)C)cc1CN1CCC(OC2CCC2)CC1 ZINC000653766591 1049585438 /nfs/dbraw/zinc/58/54/38/1049585438.db2.gz KOJXUBCJFTWXNP-UHFFFAOYSA-N 0 3 317.473 4.352 20 0 DIADHN Cc1cnccc1CN1CCC2(CC1)OC(C)(C)c1ccccc12 ZINC001205862887 1049585913 /nfs/dbraw/zinc/58/59/13/1049585913.db2.gz RDDDSYOZLMWJRM-UHFFFAOYSA-N 0 3 322.452 4.147 20 0 DIADHN CC(C)Oc1c(F)c(F)ccc1-c1cnccc1/C=C/N(C)C ZINC001205874051 1049588633 /nfs/dbraw/zinc/58/86/33/1049588633.db2.gz NMHAIJBZHBOGMO-CSKARUKUSA-N 0 3 318.367 4.346 20 0 DIADHN CC(C)c1ccc(CN[C@H](c2ccncc2)C2(CO)CCC2)cc1 ZINC000668811795 1049589972 /nfs/dbraw/zinc/58/99/72/1049589972.db2.gz CEDIBZJLKRTEOW-HXUWFJFHSA-N 0 3 324.468 4.199 20 0 DIADHN Cc1cc(F)ccc1CN(C)Cc1ccc(F)c2cccnc12 ZINC000487968964 1049590385 /nfs/dbraw/zinc/59/03/85/1049590385.db2.gz GRAZYNFQTNKTLF-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN CCCCN(CC)[C@@H](C(=O)N(C)C(C)(C)C)c1ccccc1 ZINC001182233535 1049592534 /nfs/dbraw/zinc/59/25/34/1049592534.db2.gz HOOSHPXFWXSMRQ-QGZVFWFLSA-N 0 3 304.478 4.107 20 0 DIADHN COC(=O)c1csc(CN2CC3(CCCCC3)[C@@H]2C(C)C)c1 ZINC001182251538 1049594356 /nfs/dbraw/zinc/59/43/56/1049594356.db2.gz PNJXBERWFHFAOU-INIZCTEOSA-N 0 3 321.486 4.325 20 0 DIADHN COc1ccc(CN2CC[C@H](C(F)(F)F)C[C@H]2C)c(C)c1 ZINC001205907363 1049596831 /nfs/dbraw/zinc/59/68/31/1049596831.db2.gz HEOFWDQFCRLTJV-OCCSQVGLSA-N 0 3 301.352 4.166 20 0 DIADHN COc1ccc(CN2CC[C@H](C(F)(F)F)C[C@@H]2C)c(C)c1 ZINC001205907362 1049598402 /nfs/dbraw/zinc/59/84/02/1049598402.db2.gz HEOFWDQFCRLTJV-JSGCOSHPSA-N 0 3 301.352 4.166 20 0 DIADHN CCOC(=O)CCCCCN1CC2(CCCC2)[C@@H]1c1ccco1 ZINC001196005850 1049601582 /nfs/dbraw/zinc/60/15/82/1049601582.db2.gz OWAGPAZQSUOSRM-SFHVURJKSA-N 0 3 319.445 4.320 20 0 DIADHN CCC[C@H]1CCCC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000775680268 1049602369 /nfs/dbraw/zinc/60/23/69/1049602369.db2.gz BAIBLGIFCLFWDM-XJKSGUPXSA-N 0 3 317.408 4.324 20 0 DIADHN Cc1cccc(NC(=O)CN2CCCC3(CCCC3)CC2)c1C ZINC001196038955 1049607458 /nfs/dbraw/zinc/60/74/58/1049607458.db2.gz HJZNIARCOGWBRC-UHFFFAOYSA-N 0 3 314.473 4.288 20 0 DIADHN C[C@]1(CCCO)CCCN1CCc1cc(Cl)ccc1Cl ZINC000488169123 1049607499 /nfs/dbraw/zinc/60/74/99/1049607499.db2.gz YUMPATINWWSEHM-MRXNPFEDSA-N 0 3 316.272 4.163 20 0 DIADHN CC(C)P(CCNC(=O)[C@@H]1C[C@H]1c1ccccc1F)C(C)C ZINC001182290041 1049608975 /nfs/dbraw/zinc/60/89/75/1049608975.db2.gz MAMJFEDHTAQQJT-JKSUJKDBSA-N 0 3 323.392 4.344 20 0 DIADHN C[C@@H](c1ccccc1Br)N1CCSC[C@@H](C)C1 ZINC000488190777 1049609346 /nfs/dbraw/zinc/60/93/46/1049609346.db2.gz CRKBHGWEACUODC-RYUDHWBXSA-N 0 3 314.292 4.195 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1cc3cccnc3o1)C(C)(C)C2 ZINC001205968210 1049613334 /nfs/dbraw/zinc/61/33/34/1049613334.db2.gz ZJTQQLRHOFVHFI-UHFFFAOYSA-N 0 3 306.409 4.473 20 0 DIADHN c1ccc(COc2cncc(CN3CCC4(CCC4)C3)c2)cc1 ZINC000488214154 1049613555 /nfs/dbraw/zinc/61/35/55/1049613555.db2.gz UAXTXVSAPWPRSB-UHFFFAOYSA-N 0 3 308.425 4.037 20 0 DIADHN Cc1cc(F)ccc1[C@@H](O)CN[C@H](C)c1ccccc1Cl ZINC000746654141 1049613579 /nfs/dbraw/zinc/61/35/79/1049613579.db2.gz NOOAMMJFHZELEH-PXAZEXFGSA-N 0 3 307.796 4.172 20 0 DIADHN CC(C)P(CCNC(=O)c1ccc(C(F)F)nc1)C(C)C ZINC001196102219 1049614233 /nfs/dbraw/zinc/61/42/33/1049614233.db2.gz JVXDSAYTLQGBSP-UHFFFAOYSA-N 0 3 316.332 4.048 20 0 DIADHN Clc1ccc(Cl)c(CCN2CCC[C@H]3COCC[C@H]32)c1 ZINC000488241420 1049617180 /nfs/dbraw/zinc/61/71/80/1049617180.db2.gz DZMGOFNOSKKKPC-XJKSGUPXSA-N 0 3 314.256 4.037 20 0 DIADHN C[C@H](c1ccccc1)N1CCC[C@]2(CC(=O)c3ccccc3O2)C1 ZINC001196135347 1049617223 /nfs/dbraw/zinc/61/72/23/1049617223.db2.gz VQYGLUZMMRECIL-IERDGZPVSA-N 0 3 321.420 4.248 20 0 DIADHN CCCCN(Cc1nc(Br)c[nH]1)C1CCCCC1 ZINC001205998407 1049624768 /nfs/dbraw/zinc/62/47/68/1049624768.db2.gz MDJKXMKVDJBISQ-UHFFFAOYSA-N 0 3 314.271 4.107 20 0 DIADHN COc1ccc2c(c1)CCN([C@@H](C)c1ccc3c(c1)CCC3)C2 ZINC001196168019 1049626114 /nfs/dbraw/zinc/62/61/14/1049626114.db2.gz RXYRWZDYPHOYOW-HNNXBMFYSA-N 0 3 307.437 4.303 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2ccc(OC(C)C)cc2)c(C)o1 ZINC000774205266 1049626414 /nfs/dbraw/zinc/62/64/14/1049626414.db2.gz UGEAHIIGLRQAMG-LIRRHRJNSA-N 0 3 317.429 4.068 20 0 DIADHN CC[C@@H]1CC[C@@H](C)N(Cc2coc(-c3cccc(OC)c3)n2)C1 ZINC001196175303 1049627975 /nfs/dbraw/zinc/62/79/75/1049627975.db2.gz JHKWSUAVKWUTJC-HUUCEWRRSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1nc(SCCCn2ccnc2C)[nH]c1-c1ccccc1 ZINC000488279446 1049629435 /nfs/dbraw/zinc/62/94/35/1049629435.db2.gz CQNBTKXWQLKLAO-UHFFFAOYSA-N 0 3 312.442 4.072 20 0 DIADHN Cc1ccc2nc(-c3ccc(F)cc3)c(CN3C[C@@H](C)[C@@H]3C)n2c1 ZINC001206018297 1049630318 /nfs/dbraw/zinc/63/03/18/1049630318.db2.gz YYQNRWZNJONTHP-CABCVRRESA-N 0 3 323.415 4.289 20 0 DIADHN Oc1ccc(C2CCN(Cc3cc4ccc(F)cc4[nH]3)CC2)cc1 ZINC000488325125 1049637262 /nfs/dbraw/zinc/63/72/62/1049637262.db2.gz AYXVAVBCFMHSJW-UHFFFAOYSA-N 0 3 324.399 4.392 20 0 DIADHN C[C@]1(Cc2ccccc2C(F)(F)F)CCCN1Cc1cn[nH]c1 ZINC001196312335 1049637538 /nfs/dbraw/zinc/63/75/38/1049637538.db2.gz NDEDZZOVYQCAIZ-MRXNPFEDSA-N 0 3 323.362 4.026 20 0 DIADHN C[C@@]1(Cc2ccccc2C(F)(F)F)CCCN1Cc1cn[nH]c1 ZINC001196312329 1049637658 /nfs/dbraw/zinc/63/76/58/1049637658.db2.gz NDEDZZOVYQCAIZ-INIZCTEOSA-N 0 3 323.362 4.026 20 0 DIADHN COC(=O)c1ccc(CN2CC(C)(C)CCC2(C)C)c(F)c1 ZINC001196275365 1049637723 /nfs/dbraw/zinc/63/77/23/1049637723.db2.gz NGXIXCJTAWLDQI-UHFFFAOYSA-N 0 3 307.409 4.013 20 0 DIADHN Fc1ccc2cc(CN3CCC[C@H](c4nccs4)C3)[nH]c2c1 ZINC000488337159 1049637784 /nfs/dbraw/zinc/63/77/84/1049637784.db2.gz VPEPOSZRTZWOQA-ZDUSSCGKSA-N 0 3 315.417 4.143 20 0 DIADHN CC(C)[C@H]1N(Cc2ccc3c(c2)OCCCCO3)CC1(C)C ZINC001206041108 1049637842 /nfs/dbraw/zinc/63/78/42/1049637842.db2.gz RVPMBJDWJVPFTB-GOSISDBHSA-N 0 3 303.446 4.104 20 0 DIADHN C[C@H](N[C@@H]1CCCc2occc21)[C@H](O)c1c(F)cccc1Cl ZINC000774255335 1049638601 /nfs/dbraw/zinc/63/86/01/1049638601.db2.gz CQBHBAUCRKKIHW-IXBGWNDUSA-N 0 3 323.795 4.161 20 0 DIADHN Clc1cccc2c1CC[C@H]2N1CC[C@@H](Oc2ccccc2)C1 ZINC001196293131 1049638881 /nfs/dbraw/zinc/63/88/81/1049638881.db2.gz HOMTZRKHRGFYLU-DNVCBOLYSA-N 0 3 313.828 4.481 20 0 DIADHN COc1cc(F)c(CN2CC[C@@H](CC(C)(C)C)C2)cc1OC ZINC001182408052 1049639958 /nfs/dbraw/zinc/63/99/58/1049639958.db2.gz CEGJBMOJWIUSQM-ZDUSSCGKSA-N 0 3 309.425 4.101 20 0 DIADHN C[C@@H]1CSCCN(Cc2cnc(-c3ccsc3)s2)C1 ZINC000488363844 1049642808 /nfs/dbraw/zinc/64/28/08/1049642808.db2.gz ISATUVKWGQKQKP-NSHDSACASA-N 0 3 310.513 4.057 20 0 DIADHN Cc1c[nH]c2ncc(Nc3cccc4c3OCC[C@H]4N(C)C)cc12 ZINC001206063192 1049644069 /nfs/dbraw/zinc/64/40/69/1049644069.db2.gz PHNZTCDMIGGUNX-QGZVFWFLSA-N 0 3 322.412 4.000 20 0 DIADHN OCC[C@H]1CCCCCN1Cc1cc2ccnc(Cl)c2s1 ZINC001205773303 1049647676 /nfs/dbraw/zinc/64/76/76/1049647676.db2.gz KZYYRHBWQVLFLE-CYBMUJFWSA-N 0 3 324.877 4.077 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccccc2N(C)C)c(C)c1 ZINC001206077646 1049648878 /nfs/dbraw/zinc/64/88/78/1049648878.db2.gz MAPDIFIKDNMMRJ-UHFFFAOYSA-N 0 3 312.457 4.092 20 0 DIADHN Oc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)c(F)c1 ZINC001206080306 1049649173 /nfs/dbraw/zinc/64/91/73/1049649173.db2.gz IJWFMWLMSJRWNC-UHFFFAOYSA-N 0 3 303.352 4.323 20 0 DIADHN CC[C@H](CO)N(Cc1cc(C)on1)C1CCC(C(C)(C)C)CC1 ZINC001196408697 1049652650 /nfs/dbraw/zinc/65/26/50/1049652650.db2.gz AUPSAEOFEUQONP-IIIMJFFVSA-N 0 3 322.493 4.161 20 0 DIADHN CC(C)CN(Cc1cnn(CCF)c1)Cc1cccc(Cl)c1 ZINC001196409403 1049654616 /nfs/dbraw/zinc/65/46/16/1049654616.db2.gz GYQOWOAMGBXHSS-UHFFFAOYSA-N 0 3 323.843 4.164 20 0 DIADHN CCOc1ccccc1[C@@H](CC)NCc1ccc(COC)cc1 ZINC000339068844 1049656211 /nfs/dbraw/zinc/65/62/11/1049656211.db2.gz HJQROFQAJNMNGX-LJQANCHMSA-N 0 3 313.441 4.473 20 0 DIADHN CCOc1ccccc1[C@H](CC)NCc1ccc(COC)cc1 ZINC000339068843 1049656340 /nfs/dbraw/zinc/65/63/40/1049656340.db2.gz HJQROFQAJNMNGX-IBGZPJMESA-N 0 3 313.441 4.473 20 0 DIADHN COc1nc(C)cc(C)c1CN(C)CC[C@H]1CCC[C@@H](C)C1 ZINC001196450946 1049659967 /nfs/dbraw/zinc/65/99/67/1049659967.db2.gz TXZMEJNPKUMVGV-RHSMWYFYSA-N 0 3 304.478 4.355 20 0 DIADHN CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)CCc2ccccc2F)[n-]1 ZINC000488480396 1049661128 /nfs/dbraw/zinc/66/11/28/1049661128.db2.gz OIKWCJIOEVOILF-BFHYXJOUSA-N 0 3 318.440 4.129 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)CCc2ccsc2)[n-]1 ZINC000488480843 1049661587 /nfs/dbraw/zinc/66/15/87/1049661587.db2.gz QCHXKQPADBBICT-AVGNSLFASA-N 0 3 306.479 4.052 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)[C@@H](C)c2ccccc2)[n-]1 ZINC000488493386 1049663950 /nfs/dbraw/zinc/66/39/50/1049663950.db2.gz FYZZSMKCMNNURS-YJNKXOJESA-N 0 3 300.450 4.161 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@@](C)(C(=O)OC(C)(C)C)C2)c1 ZINC001206150681 1049669033 /nfs/dbraw/zinc/66/90/33/1049669033.db2.gz DFFWQDDFJQTKPZ-GOSISDBHSA-N 0 3 323.864 4.202 20 0 DIADHN Cc1c2ccccc2oc1[C@H](C)NC[C@H]1COC2(CCCC2)O1 ZINC000774469679 1049672124 /nfs/dbraw/zinc/67/21/24/1049672124.db2.gz HRNIVVVCDGGNDT-GJZGRUSLSA-N 0 3 315.413 4.078 20 0 DIADHN CC(C)Oc1cc(-c2ccc(CN(C)C3CC3)cn2)ccc1F ZINC001206171137 1049673832 /nfs/dbraw/zinc/67/38/32/1049673832.db2.gz BRQUMPSMLALZPW-UHFFFAOYSA-N 0 3 314.404 4.269 20 0 DIADHN CCN(CCc1ccccc1)[C@@H](C)c1nc(-c2ccccc2)no1 ZINC000488554600 1049675311 /nfs/dbraw/zinc/67/53/11/1049675311.db2.gz NTTAXZVVIWNPJF-INIZCTEOSA-N 0 3 321.424 4.362 20 0 DIADHN Cc1nc(C)c(CN2CCC[C@@H](Oc3ccccc3C)C2)s1 ZINC001206171446 1049675841 /nfs/dbraw/zinc/67/58/41/1049675841.db2.gz MVMHLLAMQQRIES-MRXNPFEDSA-N 0 3 316.470 4.112 20 0 DIADHN c1cc(-c2n[nH]cc2CN2CC(C3CCCCC3)C2)cs1 ZINC001206180953 1049676815 /nfs/dbraw/zinc/67/68/15/1049676815.db2.gz UMGQXYJCJGTALC-UHFFFAOYSA-N 0 3 301.459 4.150 20 0 DIADHN CCN(CC(C)C)[C@H](C)c1nc(-c2ccc(Cl)cc2)no1 ZINC000488569690 1049677830 /nfs/dbraw/zinc/67/78/30/1049677830.db2.gz OSBGPZARVJZGJK-GFCCVEGCSA-N 0 3 307.825 4.429 20 0 DIADHN COc1cccc(C2CC(N[C@H]3C[C@@]3(F)c3ccccc3)C2)c1 ZINC001182498612 1049679743 /nfs/dbraw/zinc/67/97/43/1049679743.db2.gz JUXGBYVSYBQKBP-FCUIKFHKSA-N 0 3 311.400 4.168 20 0 DIADHN CCN(CC(C)C)[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000488607596 1049682606 /nfs/dbraw/zinc/68/26/06/1049682606.db2.gz AQGFKTVSBHNETC-ZDUSSCGKSA-N 0 3 305.397 4.223 20 0 DIADHN CCc1[nH]nc(Cl)c1CN1CC[C@H]([C@@H]2CCCC2(C)C)C1 ZINC001182532525 1049684193 /nfs/dbraw/zinc/68/41/93/1049684193.db2.gz FAKHBBWGUQLFHF-JSGCOSHPSA-N 0 3 309.885 4.274 20 0 DIADHN C[C@@H](CN1CCc2ccccc2[C@@H]1CO)c1cccc(Cl)c1 ZINC001204348019 1049688849 /nfs/dbraw/zinc/68/88/49/1049688849.db2.gz NJLNBRLMAMEVSR-LIRRHRJNSA-N 0 3 315.844 4.035 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2cccc(C)c2)C(C)C)cc1 ZINC001196782105 1049691613 /nfs/dbraw/zinc/69/16/13/1049691613.db2.gz MGPJBJPVGVMAAV-HXUWFJFHSA-N 0 3 310.441 4.229 20 0 DIADHN CCOc1cc(C[N@H+](CC)C2CC(C)(C)C2)cc(Cl)c1[O-] ZINC001206264632 1049693489 /nfs/dbraw/zinc/69/34/89/1049693489.db2.gz YQUHATXJLJFGCE-UHFFFAOYSA-N 0 3 311.853 4.455 20 0 DIADHN CCOc1cc(C[N@@H+](CC)C2CC(C)(C)C2)cc(Cl)c1[O-] ZINC001206264632 1049693493 /nfs/dbraw/zinc/69/34/93/1049693493.db2.gz YQUHATXJLJFGCE-UHFFFAOYSA-N 0 3 311.853 4.455 20 0 DIADHN C[C@H](NCc1ccn(CCc2ccccc2)n1)[C@@]12C[C@@H]1CCCC2 ZINC001196880000 1049694487 /nfs/dbraw/zinc/69/44/87/1049694487.db2.gz ZBLPQYRCJKHAQC-HFSMHLIXSA-N 0 3 323.484 4.184 20 0 DIADHN CC[C@@H](CN(C)Cc1ccccc1OCc1ccccc1)OC ZINC001196888494 1049696431 /nfs/dbraw/zinc/69/64/31/1049696431.db2.gz OEQIQUAUYMHHRA-IBGZPJMESA-N 0 3 313.441 4.122 20 0 DIADHN Cc1cccc(C)c1NC(=S)Nc1cccc(CN(C)C)c1 ZINC000044133158 1049697518 /nfs/dbraw/zinc/69/75/18/1049697518.db2.gz MGYDYZFRTLVJGQ-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN Cc1cccc(NC(=S)Nc2cccc(CN(C)C)c2)c1C ZINC000044133152 1049697596 /nfs/dbraw/zinc/69/75/96/1049697596.db2.gz RYGOFIIEBCYSCP-UHFFFAOYSA-N 0 3 313.470 4.174 20 0 DIADHN CC(C)COc1ccccc1CN1CCC[C@@]2(CC2(F)F)C1 ZINC001182684542 1049698077 /nfs/dbraw/zinc/69/80/77/1049698077.db2.gz NBBRSFNXBHDDDY-QGZVFWFLSA-N 0 3 309.400 4.343 20 0 DIADHN COc1ccc(Cl)c2c1CCC[C@H]2N(C)CC1(OC)CCC1 ZINC001182683819 1049698180 /nfs/dbraw/zinc/69/81/80/1049698180.db2.gz HAJJJPCJMPUCAR-OAHLLOKOSA-N 0 3 323.864 4.227 20 0 DIADHN Fc1cccc2cc(CN3CCCc4ccccc4C3)cnc12 ZINC000911595629 1049701737 /nfs/dbraw/zinc/70/17/37/1049701737.db2.gz KWDPJSHUMRBXCD-UHFFFAOYSA-N 0 3 306.384 4.322 20 0 DIADHN CCOc1ccc(CN2CC3(CC=CC3)[C@@H]2C(C)C)cc1F ZINC001182694603 1049703047 /nfs/dbraw/zinc/70/30/47/1049703047.db2.gz UZNCWDPBEWQZDD-SFHVURJKSA-N 0 3 303.421 4.401 20 0 DIADHN COc1ccc(CN2CCc3ccc(Cl)cc3[C@@H](C)C2)o1 ZINC001182713183 1049703711 /nfs/dbraw/zinc/70/37/11/1049703711.db2.gz FETGHHSPICKLGZ-LBPRGKRZSA-N 0 3 305.805 4.103 20 0 DIADHN Cc1cc(F)ncc1CN(C)[C@H](C)CSc1ccccc1 ZINC001182752679 1049703923 /nfs/dbraw/zinc/70/39/23/1049703923.db2.gz CBQBKGAKQGSGFQ-CQSZACIVSA-N 0 3 304.434 4.142 20 0 DIADHN CC[C@H](N[C@@H]1CCN(c2ccccc2)C1=O)c1cc(C)ccc1C ZINC001196943189 1049707709 /nfs/dbraw/zinc/70/77/09/1049707709.db2.gz KKXIIXOPPHJJBW-VQTJNVASSA-N 0 3 322.452 4.150 20 0 DIADHN CC1(C)[C@H](c2ccc(C(F)(F)F)cc2)CCN1Cc1ccon1 ZINC001196992343 1049712535 /nfs/dbraw/zinc/71/25/35/1049712535.db2.gz QBFXWPRHJCKJKI-HNNXBMFYSA-N 0 3 324.346 4.462 20 0 DIADHN CCCN(C[C@@H]1CC1(Cl)Cl)[C@@H]1CCCc2cccnc21 ZINC000775761061 1049724966 /nfs/dbraw/zinc/72/49/66/1049724966.db2.gz RVFFKQJPVNYPEQ-UONOGXRCSA-N 0 3 313.272 4.365 20 0 DIADHN Cc1cc(CN(CCc2ccccc2)CCC(C)(C)C)no1 ZINC001197274410 1049734847 /nfs/dbraw/zinc/73/48/47/1049734847.db2.gz OBUCEBDOZQQZET-UHFFFAOYSA-N 0 3 300.446 4.464 20 0 DIADHN c1ccc(O[C@H]2CCN(Cc3ccc(OCC4CC4)cc3)C2)cc1 ZINC001206441659 1049734972 /nfs/dbraw/zinc/73/49/72/1049734972.db2.gz YKUIFIIZILGBSB-NRFANRHFSA-N 0 3 323.436 4.129 20 0 DIADHN Fc1cccc2cc(CN3CC[C@H](Oc4ccccc4)C3)cnc12 ZINC001206440229 1049735226 /nfs/dbraw/zinc/73/52/26/1049735226.db2.gz MZFXFNMNLGYGFL-SFHVURJKSA-N 0 3 322.383 4.027 20 0 DIADHN CC[C@H]1CCCC[C@H]1N(C)CC(=O)Nc1ccccc1Cl ZINC000489303266 1049740906 /nfs/dbraw/zinc/74/09/06/1049740906.db2.gz WOXJDBRADJVNDY-XJKSGUPXSA-N 0 3 308.853 4.179 20 0 DIADHN C[C@@H](c1ccccc1)N1CCN([C@H]2CCCc3ccccc32)CC1 ZINC001197350902 1049741532 /nfs/dbraw/zinc/74/15/32/1049741532.db2.gz TYDKKPCWVNZRJZ-AVRDEDQJSA-N 0 3 320.480 4.443 20 0 DIADHN COc1ccc(CN(C)Cc2cccc(OC(F)F)c2)cc1C ZINC000489396198 1049752513 /nfs/dbraw/zinc/75/25/13/1049752513.db2.gz UZFCJKHMVVNYHE-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN CCCCCCN1CCN([C@@H](CC)c2ccc(F)cc2)CC1 ZINC001197522950 1049756516 /nfs/dbraw/zinc/75/65/16/1049756516.db2.gz DAOUCQUKOCUBNL-IBGZPJMESA-N 0 3 306.469 4.475 20 0 DIADHN C[C@H]1c2ccc(F)cc2CCN1CCCOCc1ccccc1 ZINC001197494111 1049756546 /nfs/dbraw/zinc/75/65/46/1049756546.db2.gz CJMHEIBLXYNXQI-INIZCTEOSA-N 0 3 313.416 4.352 20 0 DIADHN CC(C)n1nccc1CN1CCCC[C@H]1Cc1ccccc1F ZINC001206577663 1049760745 /nfs/dbraw/zinc/76/07/45/1049760745.db2.gz NTTYPSBMBKJOIG-KRWDZBQOSA-N 0 3 315.436 4.200 20 0 DIADHN CCCCCC[C@@H](CCCC)OCCCN1CCN(C)CC1 ZINC001206596120 1049765251 /nfs/dbraw/zinc/76/52/51/1049765251.db2.gz KWZDHCUPCKZYRG-LJQANCHMSA-N 0 3 312.542 4.170 20 0 DIADHN Fc1cc(-c2ccc(F)c(CN3CCCC3)c2)cc2n[nH]cc21 ZINC001206609036 1049768666 /nfs/dbraw/zinc/76/86/66/1049768666.db2.gz NITWZSYYVDAZJE-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN Cc1c(Cl)cccc1CN1CCC([C@@H]2CCCCO2)CC1 ZINC001206691543 1049779189 /nfs/dbraw/zinc/77/91/89/1049779189.db2.gz JNGGZTXDXNMVCW-SFHVURJKSA-N 0 3 307.865 4.430 20 0 DIADHN CN(CC(=O)Nc1ccc(Cl)cc1Cl)C1(C)CCCC1 ZINC000489888310 1049785882 /nfs/dbraw/zinc/78/58/82/1049785882.db2.gz RMAXGRFWBMFOAG-UHFFFAOYSA-N 0 3 315.244 4.196 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C3(C)CCCC3)o2)cc1 ZINC000489866965 1049786720 /nfs/dbraw/zinc/78/67/20/1049786720.db2.gz UJWMVLZSPLSHCR-UHFFFAOYSA-N 0 3 300.402 4.115 20 0 DIADHN Cc1ccc(N2CCN(Cc3cccc4c3CCC4)CC2)c(C)c1 ZINC001206753709 1049792300 /nfs/dbraw/zinc/79/23/00/1049792300.db2.gz OYEUDOWPZYKLTN-UHFFFAOYSA-N 0 3 320.480 4.114 20 0 DIADHN COc1cc(C)ccc1CN1CCC(c2cccs2)CC1 ZINC001206763161 1049794016 /nfs/dbraw/zinc/79/40/16/1049794016.db2.gz VXPGJHVTAWXVMN-UHFFFAOYSA-N 0 3 301.455 4.445 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3ccncc3Cl)C2)cc1 ZINC000490052757 1049797766 /nfs/dbraw/zinc/79/77/66/1049797766.db2.gz HXIGOVITAROMCA-WMLDXEAASA-N 0 3 300.833 4.421 20 0 DIADHN COC[C@@H](c1ccc(Cl)cc1)N(C)Cc1ccc(OC)cc1 ZINC000490073441 1049798574 /nfs/dbraw/zinc/79/85/74/1049798574.db2.gz QKSGEKGKNFJYTF-SFHVURJKSA-N 0 3 319.832 4.168 20 0 DIADHN COC[C@H](c1ccc(Cl)cc1)N(C)Cc1ccc(OC)cc1 ZINC000490073440 1049798662 /nfs/dbraw/zinc/79/86/62/1049798662.db2.gz QKSGEKGKNFJYTF-GOSISDBHSA-N 0 3 319.832 4.168 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3nc(-c4ccco4)no3)C2)cc1 ZINC000490077516 1049798766 /nfs/dbraw/zinc/79/87/66/1049798766.db2.gz FDRMLSZTPKVJFM-HOCLYGCPSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1ccc([C@@H]2C[C@H](C)N(Cc3nc(-c4ccco4)no3)C2)cc1 ZINC000490077515 1049799712 /nfs/dbraw/zinc/79/97/12/1049799712.db2.gz FDRMLSZTPKVJFM-GOEBONIOSA-N 0 3 323.396 4.016 20 0 DIADHN CN(Cc1coc(-c2ccc(F)c(F)c2)n1)C1(C)CCCC1 ZINC000490109599 1049801041 /nfs/dbraw/zinc/80/10/41/1049801041.db2.gz UTNJOJZNCCZCIJ-UHFFFAOYSA-N 0 3 306.356 4.384 20 0 DIADHN CN(Cc1cccc(F)c1OCC(F)(F)F)C[C@H]1CC1(C)C ZINC001206809211 1049804683 /nfs/dbraw/zinc/80/46/83/1049804683.db2.gz ISIADSSRZSPWJK-GFCCVEGCSA-N 0 3 319.342 4.245 20 0 DIADHN CC[C@@H](NCCOc1ccc(C(C)C)cc1)c1ccc(F)cn1 ZINC000490125477 1049804844 /nfs/dbraw/zinc/80/48/44/1049804844.db2.gz PTJVWIVVUXNAAZ-GOSISDBHSA-N 0 3 316.420 4.464 20 0 DIADHN CC[C@H](NCCN(CC)c1ccccc1C)c1ccc(F)cn1 ZINC000490183889 1049806295 /nfs/dbraw/zinc/80/62/95/1049806295.db2.gz CSPHAQZWCOOIDP-KRWDZBQOSA-N 0 3 315.436 4.096 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@H](C)[C@H](C)C3)no2)cc1 ZINC001206825175 1049807491 /nfs/dbraw/zinc/80/74/91/1049807491.db2.gz LLJZWJGAXUKJDL-LSDHHAIUSA-N 0 3 314.429 4.218 20 0 DIADHN COc1ccc(-c2cc(CN3CCC[C@H]4CCC[C@H]43)no2)cc1 ZINC001206829997 1049807868 /nfs/dbraw/zinc/80/78/68/1049807868.db2.gz SNIYXYJMPXICMN-RDTXWAMCSA-N 0 3 312.413 4.115 20 0 DIADHN Fc1ccc(-c2cc(CN3CC[C@@H]4CCC[C@@H]4C3)no2)cc1 ZINC001206830801 1049808746 /nfs/dbraw/zinc/80/87/46/1049808746.db2.gz GCBATLAFXJZPDV-DZGCQCFKSA-N 0 3 300.377 4.103 20 0 DIADHN c1c(CN2CC[C@@H](Cc3ccccc3)C2)noc1-c1ccccc1 ZINC001206843979 1049812703 /nfs/dbraw/zinc/81/27/03/1049812703.db2.gz MNVATYOXNSVJQV-SFHVURJKSA-N 0 3 318.420 4.406 20 0 DIADHN Cc1ccnc(CN2CCC[C@@]3(CCc4c3cccc4F)C2)c1 ZINC001206853062 1049815097 /nfs/dbraw/zinc/81/50/97/1049815097.db2.gz KNVTXAKCCNEFOK-HXUWFJFHSA-N 0 3 310.416 4.009 20 0 DIADHN Cc1cc(CN[C@H]2CCc3ccc(Cl)cc32)cc(C)c1O ZINC001206990876 1049816117 /nfs/dbraw/zinc/81/61/17/1049816117.db2.gz ISTDUKANSWOFEZ-KRWDZBQOSA-N 0 3 301.817 4.440 20 0 DIADHN Cc1ncsc1CN1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001206988736 1049817892 /nfs/dbraw/zinc/81/78/92/1049817892.db2.gz GZLWLFRVQCTCQN-GOSISDBHSA-N 0 3 316.445 4.071 20 0 DIADHN COc1cncc(CN(C)[C@H](C)c2cccc3ccccc32)c1 ZINC001206995743 1049818967 /nfs/dbraw/zinc/81/89/67/1049818967.db2.gz BCGFBNWQNROXAV-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN CCCn1nccc1CN1CCC(c2ccc(CC)cc2)CC1 ZINC001207016192 1049820511 /nfs/dbraw/zinc/82/05/11/1049820511.db2.gz BNMQZDBXNYTEDX-UHFFFAOYSA-N 0 3 311.473 4.235 20 0 DIADHN CCCCCc1ccc([C@@H](NCc2cnnn2C)C(C)C)cc1 ZINC000490315320 1049823204 /nfs/dbraw/zinc/82/32/04/1049823204.db2.gz STQRRBYJPDLNMC-IBGZPJMESA-N 0 3 314.477 4.035 20 0 DIADHN CC[C@@H]1CCN(Cc2ccc(Br)c3cccnc23)C1 ZINC000490355942 1049826137 /nfs/dbraw/zinc/82/61/37/1049826137.db2.gz RUKCDYZYTFCVFK-GFCCVEGCSA-N 0 3 319.246 4.229 20 0 DIADHN Cc1cc(Br)c2c(c1)CCN(C[C@H]1CC=CCC1)C2 ZINC001207111312 1049838128 /nfs/dbraw/zinc/83/81/28/1049838128.db2.gz SKTSDRMMGNFZQA-AWEZNQCLSA-N 0 3 320.274 4.472 20 0 DIADHN Cc1noc(C)c1CN1CCC(n2ccc3ccccc32)CC1 ZINC001207139740 1049842687 /nfs/dbraw/zinc/84/26/87/1049842687.db2.gz KKWVFAPGVQMVAV-UHFFFAOYSA-N 0 3 309.413 4.083 20 0 DIADHN Cc1ccccc1[C@H](C(C)C)N(C)CC(=O)N1CCCC[C@@H]1C ZINC000490586006 1049846105 /nfs/dbraw/zinc/84/61/05/1049846105.db2.gz GTTZUEZCJBEQBJ-PXNSSMCTSA-N 0 3 316.489 4.025 20 0 DIADHN Cc1cncc(CN2CCC(n3ccc4ccccc43)CC2)c1 ZINC001207162413 1049847828 /nfs/dbraw/zinc/84/78/28/1049847828.db2.gz JJWUPQVZKBAAIV-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN O=C1CCN(C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)[C@H]2CCCC[C@H]12 ZINC001207182324 1049854993 /nfs/dbraw/zinc/85/49/93/1049854993.db2.gz TUQBKZGPOMGLLV-OWLYRPNTSA-N 0 3 317.860 4.277 20 0 DIADHN CN(Cc1c[nH]nc1-c1ccsc1)Cc1ccc2cc[nH]c2c1 ZINC001207260650 1049871145 /nfs/dbraw/zinc/87/11/45/1049871145.db2.gz JNNGCJRSSAHYKY-UHFFFAOYSA-N 0 3 322.437 4.252 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CC2CCC(F)(F)CC2)CC1 ZINC001207348661 1049882267 /nfs/dbraw/zinc/88/22/67/1049882267.db2.gz XDZITMFTKZNMMG-MRXNPFEDSA-N 0 3 322.443 4.191 20 0 DIADHN CC[C@](C)(O)CN[C@H](c1cccc(F)c1)c1cccc(Cl)c1 ZINC000491058929 1049882906 /nfs/dbraw/zinc/88/29/06/1049882906.db2.gz JAEBNXZSXPNIRU-ROUUACIJSA-N 0 3 321.823 4.319 20 0 DIADHN Cc1ccc(F)cc1CN1CC=C(c2c[nH]c3ncccc23)CC1 ZINC000491111390 1049884473 /nfs/dbraw/zinc/88/44/73/1049884473.db2.gz NACSOIDTFGUWSO-UHFFFAOYSA-N 0 3 321.399 4.300 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@@H](C2CC2)C1 ZINC000491136881 1049885751 /nfs/dbraw/zinc/88/57/51/1049885751.db2.gz DRWZSNLCWJQPSF-BXUZGUMPSA-N 0 3 317.820 4.183 20 0 DIADHN C[C@H](c1nnc(-c2cccc(Cl)c2)o1)N1CC[C@H](C2CC2)C1 ZINC000491136885 1049886372 /nfs/dbraw/zinc/88/63/72/1049886372.db2.gz DRWZSNLCWJQPSF-RISCZKNCSA-N 0 3 317.820 4.183 20 0 DIADHN FC(F)(F)c1ccc2oc(CN3CC[C@@H](C4CC4)C3)nc2c1 ZINC000491172908 1049888906 /nfs/dbraw/zinc/88/89/06/1049888906.db2.gz JKXDWOBJLVKHHB-LLVKDONJSA-N 0 3 310.319 4.079 20 0 DIADHN COc1cccc(-c2nc(CN3CC[C@@H](C4CC4)C3)cs2)c1 ZINC000491164069 1049889981 /nfs/dbraw/zinc/88/99/81/1049889981.db2.gz YWKAOQPZXGFPNJ-OAHLLOKOSA-N 0 3 314.454 4.051 20 0 DIADHN CN(CCC(=O)c1ccccc1)CCc1cccc(Cl)c1 ZINC001207422478 1049890351 /nfs/dbraw/zinc/89/03/51/1049890351.db2.gz GUSYDSVVDIRGJT-UHFFFAOYSA-N 0 3 301.817 4.087 20 0 DIADHN CN(CCC(=O)c1cccs1)CCc1cccc(Cl)c1 ZINC001207425988 1049891833 /nfs/dbraw/zinc/89/18/33/1049891833.db2.gz QZQKCMLNEAINPK-UHFFFAOYSA-N 0 3 307.846 4.149 20 0 DIADHN Fc1ccccc1CCCN1CC[C@H]1COCc1ccccc1 ZINC001207494530 1049898747 /nfs/dbraw/zinc/89/87/47/1049898747.db2.gz XTLBHSUAVMZTGI-IBGZPJMESA-N 0 3 313.416 4.049 20 0 DIADHN COC(=O)c1csc([C@H](C)N[C@@H]2CCc3ccc(C)cc32)c1 ZINC000586790873 1049900199 /nfs/dbraw/zinc/90/01/99/1049900199.db2.gz ZONMMNABXNNXHS-BLLLJJGKSA-N 0 3 315.438 4.181 20 0 DIADHN Fc1ccccc1CCN1CCC[C@H](OCc2ccccc2)C1 ZINC001207504357 1049901363 /nfs/dbraw/zinc/90/13/63/1049901363.db2.gz LFGGHPNEPPZBTM-IBGZPJMESA-N 0 3 313.416 4.049 20 0 DIADHN Fc1ccc(CCN2CCC[C@@H]2c2cc(F)ccc2F)cc1 ZINC001207515605 1049902286 /nfs/dbraw/zinc/90/22/86/1049902286.db2.gz VKFHBRQTRZILNA-GOSISDBHSA-N 0 3 305.343 4.484 20 0 DIADHN Cc1cnc2c(c1)CN(CCCc1ccc(Cl)cc1)CC2 ZINC001207598327 1049914131 /nfs/dbraw/zinc/91/41/31/1049914131.db2.gz ZXCQGJCIIHVXHL-UHFFFAOYSA-N 0 3 300.833 4.034 20 0 DIADHN Cc1[nH]c2ccc(CNCc3cnc(Cl)c(C)c3)cc2c1C ZINC000760664023 1049922603 /nfs/dbraw/zinc/92/26/03/1049922603.db2.gz QVHSQCHUOWFKST-UHFFFAOYSA-N 0 3 313.832 4.431 20 0 DIADHN CC1(C)[C@H](N[C@@H]2CCCc3cccnc32)C[C@H]1Oc1ccccc1 ZINC001207711839 1049929716 /nfs/dbraw/zinc/92/97/16/1049929716.db2.gz OXJGFZFMZZXTEB-GUDVDZBRSA-N 0 3 322.452 4.295 20 0 DIADHN CC1(C)[C@H](Oc2ccccc2)C[C@@H]1N[C@@H]1CCCc2cccnc21 ZINC001207711838 1049930164 /nfs/dbraw/zinc/93/01/64/1049930164.db2.gz OXJGFZFMZZXTEB-CEXWTWQISA-N 0 3 322.452 4.295 20 0 DIADHN CC(C)OC[C@@H](C)NCc1ccccc1SC(F)(F)F ZINC001207752898 1049935682 /nfs/dbraw/zinc/93/56/82/1049935682.db2.gz JZOYYFVPVQLFJP-LLVKDONJSA-N 0 3 307.381 4.202 20 0 DIADHN C[C@H]1CN(CC2(c3ccccc3)CC2)[C@@H](c2ccccc2)CO1 ZINC001207841554 1049943260 /nfs/dbraw/zinc/94/32/60/1049943260.db2.gz WZUHWWAWWAZTAC-FXAWDEMLSA-N 0 3 307.437 4.180 20 0 DIADHN C[C@@H]1CCN(CCCc2cccc(C(F)(F)F)c2)C[C@H]1F ZINC001207842106 1049945187 /nfs/dbraw/zinc/94/51/87/1049945187.db2.gz SJLILXIPERFKQV-IUODEOHRSA-N 0 3 303.343 4.318 20 0 DIADHN CN(CC1CCCCC1)[C@@H](c1cnn(C)c1)c1cccc(F)c1 ZINC000588003373 1049950305 /nfs/dbraw/zinc/95/03/05/1049950305.db2.gz RNDFGIGVOYZBKX-LJQANCHMSA-N 0 3 315.436 4.161 20 0 DIADHN C[C@@H](Cc1ccc(C(C)(C)C)cc1)CN1CCCC(=O)CC1 ZINC001207916113 1049955749 /nfs/dbraw/zinc/95/57/49/1049955749.db2.gz IEVYPHYUUWGMPC-INIZCTEOSA-N 0 3 301.474 4.218 20 0 DIADHN CC(C)=CCCC1=CC[C@@H](CN2CCc3nn(C)cc3C2)CC1 ZINC001207937452 1049958325 /nfs/dbraw/zinc/95/83/25/1049958325.db2.gz KBVLLMRLYDUEIK-GOSISDBHSA-N 0 3 313.489 4.251 20 0 DIADHN Cc1ccc(CCCN(C)Cc2ncccc2C(F)(F)F)o1 ZINC001207944279 1049963571 /nfs/dbraw/zinc/96/35/71/1049963571.db2.gz PAKWUEDNTWNPHJ-UHFFFAOYSA-N 0 3 312.335 4.066 20 0 DIADHN Clc1ccc([C@@H]2CCN(C[C@@H]3CCCCO3)C2)cc1Cl ZINC001207956394 1049964603 /nfs/dbraw/zinc/96/46/03/1049964603.db2.gz KSIYWZLLQWNCJB-KGLIPLIRSA-N 0 3 314.256 4.352 20 0 DIADHN O=C(Nc1ccccc1)[C@@H]1CCCN1CCCC1CCCC1 ZINC001208095006 1049971932 /nfs/dbraw/zinc/97/19/32/1049971932.db2.gz SNTACUWQDCKGMO-SFHVURJKSA-N 0 3 300.446 4.060 20 0 DIADHN C(=C\c1ccccc1)\CNCc1ccnc(OC2CCCC2)c1 ZINC000588177602 1049973109 /nfs/dbraw/zinc/97/31/09/1049973109.db2.gz ICGBJOVZEPRWKE-TWGQIWQCSA-N 0 3 308.425 4.206 20 0 DIADHN CCCCC/C(=C\c1ccccc1)CNCC(=O)NC(C)(C)C ZINC000588177422 1049973334 /nfs/dbraw/zinc/97/33/34/1049973334.db2.gz ONBMWFHXHCPBIE-NBVRZTHBSA-N 0 3 316.489 4.155 20 0 DIADHN Fc1ccc(C2(NCc3ccc(-n4cccn4)cc3)CCC2)cc1 ZINC000588179132 1049975033 /nfs/dbraw/zinc/97/50/33/1049975033.db2.gz FSUPJDHPVDDWLT-UHFFFAOYSA-N 0 3 321.399 4.180 20 0 DIADHN Oc1cccc(C2CCN(CCc3c(F)cccc3F)CC2)c1 ZINC001208118577 1049975897 /nfs/dbraw/zinc/97/58/97/1049975897.db2.gz OBCWUPQPSMTQSL-UHFFFAOYSA-N 0 3 317.379 4.093 20 0 DIADHN Cc1ccc([C@H](C)CCN2CC[C@H](OC(=O)OC(C)(C)C)C2)o1 ZINC001208152601 1049978122 /nfs/dbraw/zinc/97/81/22/1049978122.db2.gz SLIKPQNUCODWKA-HIFRSBDPSA-N 0 3 323.433 4.108 20 0 DIADHN Cc1ccc([C@H](C)CCN2CC[C@@H]2COCc2ccccc2)o1 ZINC001208156466 1049978978 /nfs/dbraw/zinc/97/89/78/1049978978.db2.gz QBNLNVOVMHEAIT-VQIMIIECSA-N 0 3 313.441 4.373 20 0 DIADHN Cc1ccc([C@@H](C)CCN2CCc3ncc(Cl)cc3C2)o1 ZINC001208167729 1049980157 /nfs/dbraw/zinc/98/01/57/1049980157.db2.gz JWFULJFQVZKNLF-LBPRGKRZSA-N 0 3 304.821 4.188 20 0 DIADHN CN1CC=C(Nc2cc(Cl)c(F)c(C(F)(F)F)c2)CC1 ZINC001208910629 1050022487 /nfs/dbraw/zinc/02/24/87/1050022487.db2.gz REXFRPIFXRJHST-UHFFFAOYSA-N 0 3 308.706 4.129 20 0 DIADHN CC(=O)c1ccccc1OCCN(C)[C@H]1CCCc2ccccc21 ZINC000588782383 1049996273 /nfs/dbraw/zinc/99/62/73/1049996273.db2.gz PMKDQWGBSLGJIV-FQEVSTJZSA-N 0 3 323.436 4.277 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cn2cnc3cc(C)c(C)cc32)n1 ZINC000164893096 1049998001 /nfs/dbraw/zinc/99/80/01/1049998001.db2.gz MWORXFKZGQIQEK-LJQANCHMSA-N 0 3 320.440 4.151 20 0 DIADHN Cc1ccccc1CN(CCC1CCOCC1)Cc1ccccn1 ZINC000572246561 1049999069 /nfs/dbraw/zinc/99/90/69/1049999069.db2.gz GTUROQLDECIXLC-UHFFFAOYSA-N 0 3 324.468 4.209 20 0 DIADHN CC(C)(CCN1CCc2nc(Cl)ccc2C1)c1ccccc1 ZINC001208668128 1050000707 /nfs/dbraw/zinc/00/07/07/1050000707.db2.gz SKBLUDPTOGXXGH-UHFFFAOYSA-N 0 3 314.860 4.461 20 0 DIADHN CC(=O)[C@H]1CCCCN1CCCc1ccc(C(F)(F)F)cc1 ZINC001208692343 1050002149 /nfs/dbraw/zinc/00/21/49/1050002149.db2.gz OVAMESJNSFJWPV-MRXNPFEDSA-N 0 3 313.363 4.082 20 0 DIADHN COc1ccccc1[C@H](C)N(C)C[C@H](C)OCc1ccccc1 ZINC001208703368 1050002982 /nfs/dbraw/zinc/00/29/82/1050002982.db2.gz ZVLXZSSPYKTUCG-IRXDYDNUSA-N 0 3 313.441 4.293 20 0 DIADHN C[C@H](CN1CCc2cnccc2C1)c1cccc2ccccc21 ZINC001208702440 1050003041 /nfs/dbraw/zinc/00/30/41/1050003041.db2.gz ARZSCUYTFQMOOX-MRXNPFEDSA-N 0 3 302.421 4.397 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(C(C)C)c2)c(C)c1 ZINC000572463418 1050004394 /nfs/dbraw/zinc/00/43/94/1050004394.db2.gz MTTDWAICAQTFBL-CABCVRRESA-N 0 3 301.434 4.193 20 0 DIADHN CN(C)CCN(C(=O)c1cccc2ccccc21)c1ccccc1 ZINC000588852079 1050006544 /nfs/dbraw/zinc/00/65/44/1050006544.db2.gz VKRPYSUGNHBMAL-UHFFFAOYSA-N 0 3 318.420 4.048 20 0 DIADHN COc1ccc([C@@H]2CCCN2Cc2cc(C)ccc2OC)cc1 ZINC000588856497 1050006599 /nfs/dbraw/zinc/00/65/99/1050006599.db2.gz XBGDTKVSRRPXAG-IBGZPJMESA-N 0 3 311.425 4.349 20 0 DIADHN Clc1ccc(CCN2CC[C@H]2COCc2ccccc2)cc1 ZINC001208741124 1050008348 /nfs/dbraw/zinc/00/83/48/1050008348.db2.gz QMUZLKPSMYXZAW-IBGZPJMESA-N 0 3 315.844 4.174 20 0 DIADHN C[C@]1(F)CCCN(CCCc2cccc(Br)c2)C1 ZINC001208820250 1050012524 /nfs/dbraw/zinc/01/25/24/1050012524.db2.gz IXVMZFKBIODPTP-HNNXBMFYSA-N 0 3 314.242 4.206 20 0 DIADHN C[C@@H](CN1CCC(Oc2ccc(Cl)cc2)CC1)C(F)(F)F ZINC001208895846 1050020524 /nfs/dbraw/zinc/02/05/24/1050020524.db2.gz NFWKXFSNHHKHRF-NSHDSACASA-N 0 3 321.770 4.382 20 0 DIADHN CC(C)Oc1ccc(NC2=CCN(C)CC2)cc1C(F)(F)F ZINC001208910711 1050022522 /nfs/dbraw/zinc/02/25/22/1050022522.db2.gz UPLPCZDDSITAGX-UHFFFAOYSA-N 0 3 314.351 4.124 20 0 DIADHN CCC(=O)C(C)(C)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC001208918294 1050022914 /nfs/dbraw/zinc/02/29/14/1050022914.db2.gz MNUKCOBWEUGNNK-UHFFFAOYSA-N 0 3 302.245 4.431 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000056491703 1050025950 /nfs/dbraw/zinc/02/59/50/1050025950.db2.gz OBKDXSAUCQGAOJ-YWZLYKJASA-N 0 3 313.416 4.332 20 0 DIADHN Cc1c(Br)cc(Cl)cc1NC1=CCN(C)CC1 ZINC001208929439 1050026040 /nfs/dbraw/zinc/02/60/40/1050026040.db2.gz AVPLBDUCXXESCF-UHFFFAOYSA-N 0 3 315.642 4.042 20 0 DIADHN Cc1ccc(Oc2ccc(NC3=CCN(C)CC3)cc2C)cn1 ZINC001208929443 1050026139 /nfs/dbraw/zinc/02/61/39/1050026139.db2.gz AWRXQRITVNJUAQ-UHFFFAOYSA-N 0 3 309.413 4.122 20 0 DIADHN Cn1c2ccccc2nc1C(C#N)C(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000165262011 1050028331 /nfs/dbraw/zinc/02/83/31/1050028331.db2.gz YBRSUSAHKKCYFO-LSDHHAIUSA-N 0 3 323.440 4.356 20 0 DIADHN COC(=O)CCCCCCCCCN1CC[C@@](C)(F)[C@H](F)C1 ZINC001209093046 1050034096 /nfs/dbraw/zinc/03/40/96/1050034096.db2.gz CNHOQIZVQMEFAG-NVXWUHKLSA-N 0 3 319.436 4.052 20 0 DIADHN Clc1cccc(Cl)c1CCN1CC[C@H]2OCCC[C@@H]2C1 ZINC001209104846 1050035062 /nfs/dbraw/zinc/03/50/62/1050035062.db2.gz IWXVSXKPKSKBBI-MLGOLLRUSA-N 0 3 314.256 4.037 20 0 DIADHN Fc1ccc([C@H]2CCCN2CCCc2cccnc2)c(F)c1 ZINC001209181812 1050042579 /nfs/dbraw/zinc/04/25/79/1050042579.db2.gz GKEMZRHICHHHGB-GOSISDBHSA-N 0 3 302.368 4.130 20 0 DIADHN Cc1nc2c(s1)CN(CCCCCc1ccccc1)CC2 ZINC001209229692 1050045584 /nfs/dbraw/zinc/04/55/84/1050045584.db2.gz LWKGCKLPDYFDMQ-UHFFFAOYSA-N 0 3 300.471 4.223 20 0 DIADHN C[C@]1(F)CCN([C@H](c2ccc(Cl)cc2)C2CCOCC2)C1 ZINC000572896510 1050046262 /nfs/dbraw/zinc/04/62/62/1050046262.db2.gz UIQGVYRMFUHEMB-SJORKVTESA-N 0 3 311.828 4.242 20 0 DIADHN FC1(F)CSC2(CN(CCCCCc3ccccc3)C2)C1 ZINC001209235954 1050046440 /nfs/dbraw/zinc/04/64/40/1050046440.db2.gz VZDHHFCNAKEJRK-UHFFFAOYSA-N 0 3 311.441 4.226 20 0 DIADHN C[C@@H](NCCCO[C@@H](C)c1ccccc1)c1cn2ccccc2n1 ZINC000572855678 1050046804 /nfs/dbraw/zinc/04/68/04/1050046804.db2.gz IJXOMSLVJVASLZ-SJORKVTESA-N 0 3 323.440 4.153 20 0 DIADHN Fc1ccc([C@H]2CCN(CCc3cc(F)c(F)cc3F)C2)cc1 ZINC001209252235 1050047347 /nfs/dbraw/zinc/04/73/47/1050047347.db2.gz JGJNEAGQBPIDQR-AWEZNQCLSA-N 0 3 323.333 4.275 20 0 DIADHN FC(F)(F)c1ccccc1CCN1CCC[C@H]1c1cccnc1 ZINC001209279031 1050049658 /nfs/dbraw/zinc/04/96/58/1050049658.db2.gz BLXIDDRJMYRIIX-KRWDZBQOSA-N 0 3 320.358 4.480 20 0 DIADHN FC(F)(F)c1ccccc1CCN1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001209277642 1050049681 /nfs/dbraw/zinc/04/96/81/1050049681.db2.gz NFKHETKXQABHFY-OCCSQVGLSA-N 0 3 319.317 4.225 20 0 DIADHN COc1ccccc1CN(C)CCc1ccccc1C(F)(F)F ZINC001209284825 1050050258 /nfs/dbraw/zinc/05/02/58/1050050258.db2.gz JPXFEYGCQPCGGQ-UHFFFAOYSA-N 0 3 323.358 4.389 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NCc1ccc2cccnc2c1 ZINC000589637812 1050051017 /nfs/dbraw/zinc/05/10/17/1050051017.db2.gz CLSSXIFOUYSQCS-HNNXBMFYSA-N 0 3 306.409 4.403 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccc2cccnc2c1 ZINC000589637813 1050051124 /nfs/dbraw/zinc/05/11/24/1050051124.db2.gz CLSSXIFOUYSQCS-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1n[nH]c2ccccc12 ZINC000589642501 1050052604 /nfs/dbraw/zinc/05/26/04/1050052604.db2.gz KGMIJGNKXKRHCM-MRXNPFEDSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc2c(o1)CC(C)(C)C[C@H]2NCc1[nH]nc2ccccc21 ZINC000589642501 1050052608 /nfs/dbraw/zinc/05/26/08/1050052608.db2.gz KGMIJGNKXKRHCM-MRXNPFEDSA-N 0 3 309.413 4.268 20 0 DIADHN Cc1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C(C)C)[nH]n1 ZINC000589649200 1050054353 /nfs/dbraw/zinc/05/43/53/1050054353.db2.gz LXGPLPAJAXKAOT-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN Cc1cc(CN[C@@H](c2cccc(C(F)(F)F)c2)C(C)C)n[nH]1 ZINC000589649200 1050054357 /nfs/dbraw/zinc/05/43/57/1050054357.db2.gz LXGPLPAJAXKAOT-OAHLLOKOSA-N 0 3 311.351 4.224 20 0 DIADHN CCC[C@@H](C(=O)c1ccccc1)N(C)CC1CCC(OC)CC1 ZINC001209401800 1050056758 /nfs/dbraw/zinc/05/67/58/1050056758.db2.gz ZWIJUBOQRSYNLQ-KVZIAJEVSA-N 0 3 317.473 4.175 20 0 DIADHN CCOc1ccc(CN2CCC(Cc3ccc(C)cc3)CC2)nc1 ZINC001168393964 1050058040 /nfs/dbraw/zinc/05/80/40/1050058040.db2.gz YVKXBXWDTIAXNW-UHFFFAOYSA-N 0 3 324.468 4.243 20 0 DIADHN CCn1ccnc1CNCC1(c2ccccc2C)CCCCC1 ZINC000589841900 1050060685 /nfs/dbraw/zinc/06/06/85/1050060685.db2.gz DRBFJJCLCZBZRQ-UHFFFAOYSA-N 0 3 311.473 4.203 20 0 DIADHN CCn1ccnc1CN[C@H](C)Cc1ccc(-c2ccccc2)cc1 ZINC000589841503 1050060721 /nfs/dbraw/zinc/06/07/21/1050060721.db2.gz OOANYVOIFAJQQS-QGZVFWFLSA-N 0 3 319.452 4.291 20 0 DIADHN CCC[C@@H](C)CC(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC000589879531 1050061842 /nfs/dbraw/zinc/06/18/42/1050061842.db2.gz GPSLQXDEAGBNJV-MJGOQNOKSA-N 0 3 316.489 4.156 20 0 DIADHN CN(Cc1cc2c(cn1)OCCC2)Cc1cccc2ccccc21 ZINC001209502615 1050064642 /nfs/dbraw/zinc/06/46/42/1050064642.db2.gz JEJBKBFBRFXGEZ-UHFFFAOYSA-N 0 3 318.420 4.192 20 0 DIADHN CC(C)N(Cc1cc2c(cn1)OCCC2)[C@@H](C)c1ccccc1 ZINC001209506048 1050065368 /nfs/dbraw/zinc/06/53/68/1050065368.db2.gz JLJANXNLWDCSMN-INIZCTEOSA-N 0 3 310.441 4.378 20 0 DIADHN FC(F)(F)Oc1cccc(Cl)c1CN1CC2CC(C2)C1 ZINC001209521376 1050066280 /nfs/dbraw/zinc/06/62/80/1050066280.db2.gz JVMZEKAGBYGTNW-UHFFFAOYSA-N 0 3 305.727 4.080 20 0 DIADHN COc1cc(CN(Cc2ccccc2)C2CCC2)cc(F)c1O ZINC001209528767 1050067138 /nfs/dbraw/zinc/06/71/38/1050067138.db2.gz JWJDOIQZYXEJJG-UHFFFAOYSA-N 0 3 315.388 4.095 20 0 DIADHN Clc1cnc2[nH]cc(CN3CCCc4ccccc4C3)c2c1 ZINC001209597693 1050071812 /nfs/dbraw/zinc/07/18/12/1050071812.db2.gz YGGUVSCWAKMHTN-UHFFFAOYSA-N 0 3 311.816 4.165 20 0 DIADHN Cc1nc2ccccn2c1CN1CCC(c2cccs2)CC1 ZINC001209650321 1050073840 /nfs/dbraw/zinc/07/38/40/1050073840.db2.gz FONQMAMSLQRGPW-UHFFFAOYSA-N 0 3 311.454 4.084 20 0 DIADHN CC1(C)OCCC12CCN(Cc1c[nH]c3cc(F)ccc13)CC2 ZINC001209696118 1050076578 /nfs/dbraw/zinc/07/65/78/1050076578.db2.gz QCNHEDUEMHKTLG-UHFFFAOYSA-N 0 3 316.420 4.088 20 0 DIADHN O=C(c1ccccc1)[C@@H]1CCN(Cc2c[nH]c3cc(F)ccc23)C1 ZINC001209696912 1050077236 /nfs/dbraw/zinc/07/72/36/1050077236.db2.gz YUSGKUZMDUPSNM-OAHLLOKOSA-N 0 3 322.383 4.012 20 0 DIADHN Clc1ccc2[nH]c(CN3CCC4(CCCOC4)CC3)cc2c1 ZINC001209725127 1050078980 /nfs/dbraw/zinc/07/89/80/1050078980.db2.gz LLEZYNDSSSAFRL-UHFFFAOYSA-N 0 3 318.848 4.214 20 0 DIADHN Cn1cc(CN2CCC[C@@H]2c2ccccc2F)c2cccnc21 ZINC001209748919 1050079892 /nfs/dbraw/zinc/07/98/92/1050079892.db2.gz OSTLOMVXVLFPEL-GOSISDBHSA-N 0 3 309.388 4.050 20 0 DIADHN Clc1ccc2[nH]nc(CN3CC[C@H](c4ccccc4)C3)c2c1 ZINC001209751513 1050080005 /nfs/dbraw/zinc/08/00/05/1050080005.db2.gz QZACLPPIGOPECQ-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN Clc1ccc2n[nH]c(CN3CC[C@H](c4ccccc4)C3)c2c1 ZINC001209751513 1050080009 /nfs/dbraw/zinc/08/00/09/1050080009.db2.gz QZACLPPIGOPECQ-AWEZNQCLSA-N 0 3 311.816 4.206 20 0 DIADHN CN(CCc1ccccc1F)Cc1n[nH]c2ccc(Cl)cc21 ZINC001209761377 1050082370 /nfs/dbraw/zinc/08/23/70/1050082370.db2.gz LMZDEWPSOHCSDB-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN CN(CCc1ccccc1F)Cc1[nH]nc2ccc(Cl)cc21 ZINC001209761377 1050082374 /nfs/dbraw/zinc/08/23/74/1050082374.db2.gz LMZDEWPSOHCSDB-UHFFFAOYSA-N 0 3 317.795 4.030 20 0 DIADHN CC(C)C(=O)Nc1ccc(CNCc2ccc3[nH]ccc3c2)cc1 ZINC000572986016 1050095095 /nfs/dbraw/zinc/09/50/95/1050095095.db2.gz YSRAPJOMXJBXPT-UHFFFAOYSA-N 0 3 321.424 4.052 20 0 DIADHN Clc1cccc(-c2cnc(CN3CCC[C@H]3C3CC3)o2)c1 ZINC000590820418 1050110172 /nfs/dbraw/zinc/11/01/72/1050110172.db2.gz ZKVAYBCYABFGQX-HNNXBMFYSA-N 0 3 302.805 4.369 20 0 DIADHN CC[C@@H](CC(=O)N[C@H](CN1CCCC1)c1ccccc1)C(C)C ZINC000591123684 1050125328 /nfs/dbraw/zinc/12/53/28/1050125328.db2.gz JIKKCYLLEUZIDS-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN OCCCCN1CCCC[C@@H]1c1ccccc1C(F)(F)F ZINC000477644180 1050126592 /nfs/dbraw/zinc/12/65/92/1050126592.db2.gz LCALOCYXLDGTTM-OAHLLOKOSA-N 0 3 301.352 4.005 20 0 DIADHN COc1ccc2c(c1)C1(CC1)CN(Cc1cccc3[nH]ccc31)C2 ZINC000573194794 1050139381 /nfs/dbraw/zinc/13/93/81/1050139381.db2.gz GQFGDSALTSMWRH-UHFFFAOYSA-N 0 3 318.420 4.224 20 0 DIADHN CC(C)CC[C@H](N[C@H](c1nccn1C)c1ccccc1)C1CC1 ZINC000573187810 1050139417 /nfs/dbraw/zinc/13/94/17/1050139417.db2.gz RBMFCELZBMFNAB-OALUTQOASA-N 0 3 311.473 4.314 20 0 DIADHN C[C@H](NCc1cccc(OCC#N)c1)c1ccc(F)c(Cl)c1 ZINC000113308750 1050146842 /nfs/dbraw/zinc/14/68/42/1050146842.db2.gz ROQGVMVAYFNJGC-LBPRGKRZSA-N 0 3 318.779 4.232 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@H](c1cccs1)C1CCCC1 ZINC000823683684 1050147729 /nfs/dbraw/zinc/14/77/29/1050147729.db2.gz HSOYUTSPEVUHKH-SFHVURJKSA-N 0 3 315.486 4.380 20 0 DIADHN Cc1ccc(CN2CCC[C@H]2C[C@@H](O)c2cccs2)cc1F ZINC000770291090 1050147976 /nfs/dbraw/zinc/14/79/76/1050147976.db2.gz GZJDUMWZEWISLS-DOTOQJQBSA-N 0 3 319.445 4.284 20 0 DIADHN Cn1nc(C2CC2)cc1CN[C@@H]1CCC(C)(C)c2ccccc21 ZINC000823818741 1050156323 /nfs/dbraw/zinc/15/63/23/1050156323.db2.gz QVEDFMHOIIOBTP-GOSISDBHSA-N 0 3 309.457 4.200 20 0 DIADHN CC1(C)CN(C[C@H](O)c2ccc(F)cc2)[C@@H]1c1cccs1 ZINC000805840795 1050157294 /nfs/dbraw/zinc/15/72/94/1050157294.db2.gz VYRXPRCAAGKZFQ-GOEBONIOSA-N 0 3 305.418 4.004 20 0 DIADHN CC[C@H](C)[C@H](C)N(Cc1nc(-c2ccccc2C)no1)C1CC1 ZINC000591474389 1050157970 /nfs/dbraw/zinc/15/79/70/1050157970.db2.gz BLXAJMKASCFFRK-ZFWWWQNUSA-N 0 3 313.445 4.444 20 0 DIADHN CC[C@H](C)[C@@H](C)N(Cc1nc(-c2ccc(C)cc2)no1)C1CC1 ZINC000591474363 1050158576 /nfs/dbraw/zinc/15/85/76/1050158576.db2.gz AFUOMCGQSNYBDJ-LSDHHAIUSA-N 0 3 313.445 4.444 20 0 DIADHN CCCN1CCCC[C@@H]1c1ccc(NC(C)(C)CC(C)=O)nc1 ZINC001160300669 1050159194 /nfs/dbraw/zinc/15/91/94/1050159194.db2.gz KQBAJNUTRKZSEK-QGZVFWFLSA-N 0 3 317.477 4.188 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)Nc1ccc(CN(C)C)cc1F ZINC001299306796 1050161569 /nfs/dbraw/zinc/16/15/69/1050161569.db2.gz QSXRQJSWYDIQQG-OAHLLOKOSA-N 0 3 308.441 4.288 20 0 DIADHN CC(C)CC[C@H](NC(=O)[C@H](C)N1CCCCCC1)C(C)(C)C ZINC001136794790 1050162363 /nfs/dbraw/zinc/16/23/63/1050162363.db2.gz UXLYJBWSXASQFO-IRXDYDNUSA-N 0 3 310.526 4.218 20 0 DIADHN [O-]c1c(Cl)cccc1Nc1ccccc1C[NH+]1CCCC1 ZINC001210221931 1050162956 /nfs/dbraw/zinc/16/29/56/1050162956.db2.gz DQIWARQOPKATCQ-UHFFFAOYSA-N 0 3 302.805 4.385 20 0 DIADHN CCSCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC001307615825 1050168423 /nfs/dbraw/zinc/16/84/23/1050168423.db2.gz GAFXARUJGKGUTH-SECBINFHSA-N 0 3 309.809 4.345 20 0 DIADHN C[C@@H](N[C@@H](CCO)c1ccccc1)c1ccccc1C(F)(F)F ZINC000348065721 1050180012 /nfs/dbraw/zinc/18/00/12/1050180012.db2.gz AAPODENHCVZFPU-DYVFJYSZSA-N 0 3 323.358 4.480 20 0 DIADHN FC(F)(F)[C@@H]1CCN([C@@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171050021 1050191388 /nfs/dbraw/zinc/19/13/88/1050191388.db2.gz PGZJXUQYSNWMNO-VXGBXAGGSA-N 0 3 303.755 4.082 20 0 DIADHN Cc1ccncc1[C@H](C)NCc1cc(C)c(OC(F)F)c(C)c1 ZINC000770750310 1050191587 /nfs/dbraw/zinc/19/15/87/1050191587.db2.gz MRLQYTIWFQSKPI-AWEZNQCLSA-N 0 3 320.383 4.459 20 0 DIADHN FC(F)(F)[C@@H]1CCN([C@H]2CCc3c(Cl)cccc3C2)C1 ZINC001171050015 1050191727 /nfs/dbraw/zinc/19/17/27/1050191727.db2.gz PGZJXUQYSNWMNO-NEPJUHHUSA-N 0 3 303.755 4.082 20 0 DIADHN c1nn2ccccc2c1CN[C@@H](c1cccnc1)C1CCCCC1 ZINC000591698249 1050196396 /nfs/dbraw/zinc/19/63/96/1050196396.db2.gz KDEAMIYDGGIRQF-HXUWFJFHSA-N 0 3 320.440 4.141 20 0 DIADHN CN(CCOc1ccccc1)Cc1cc2c(cccc2Cl)[nH]1 ZINC001136861284 1050201725 /nfs/dbraw/zinc/20/17/25/1050201725.db2.gz VBOUAQQYKXBJAX-UHFFFAOYSA-N 0 3 314.816 4.332 20 0 DIADHN Cc1ccn2c(CN(C)Cc3cccc4ccccc43)cnc2c1 ZINC001136889058 1050218172 /nfs/dbraw/zinc/21/81/72/1050218172.db2.gz KSWKXPZLGWOJOY-UHFFFAOYSA-N 0 3 315.420 4.428 20 0 DIADHN Cc1ccn2c(CN3CCC(c4cccs4)CC3)cnc2c1 ZINC001136889116 1050218837 /nfs/dbraw/zinc/21/88/37/1050218837.db2.gz NDSARVXNGOTMSU-UHFFFAOYSA-N 0 3 311.454 4.084 20 0 DIADHN CC[C@@H]1c2ccccc2CCN1Cc1cnc2cc(C)ccn12 ZINC001136891584 1050221104 /nfs/dbraw/zinc/22/11/04/1050221104.db2.gz UYIIHOAGOVBJCB-LJQANCHMSA-N 0 3 305.425 4.152 20 0 DIADHN Clc1ccc(C2=CC[C@H](N[C@H]3CCn4ccnc43)CC2)cc1 ZINC000824533331 1050221822 /nfs/dbraw/zinc/22/18/22/1050221822.db2.gz DRPOYKCZRBDLSM-IRXDYDNUSA-N 0 3 313.832 4.207 20 0 DIADHN CSc1ccccc1C[C@H](C)NCc1cc(F)cnc1Cl ZINC000824537599 1050225859 /nfs/dbraw/zinc/22/58/59/1050225859.db2.gz FHNCPTXROIFYRP-NSHDSACASA-N 0 3 324.852 4.317 20 0 DIADHN FC(F)(F)C[C@@H]1CCCC[C@@H]1NCc1coc(C2CC2)n1 ZINC000824654941 1050229655 /nfs/dbraw/zinc/22/96/55/1050229655.db2.gz SGJKJRJRCXBYOE-AAEUAGOBSA-N 0 3 302.340 4.153 20 0 DIADHN C[C@@H]1CCCC[C@H]1OCCN1CCCO[C@H](c2ccccc2)C1 ZINC000591814221 1050230957 /nfs/dbraw/zinc/23/09/57/1050230957.db2.gz MTMMTSZOIXTPJD-RLLQIKCJSA-N 0 3 317.473 4.045 20 0 DIADHN Clc1cccc([C@H](NCc2c[nH]cn2)C2CCCCC2)c1 ZINC000177839421 1050239655 /nfs/dbraw/zinc/23/96/55/1050239655.db2.gz AKSTVKGNRPDDGW-QGZVFWFLSA-N 0 3 303.837 4.474 20 0 DIADHN Clc1cccc([C@H](NCc2cnc[nH]2)C2CCCCC2)c1 ZINC000177839421 1050239656 /nfs/dbraw/zinc/23/96/56/1050239656.db2.gz AKSTVKGNRPDDGW-QGZVFWFLSA-N 0 3 303.837 4.474 20 0 DIADHN CC(C)Cc1ncc(CNC[C@]2(C)CC2(Cl)Cl)s1 ZINC000824764477 1050240978 /nfs/dbraw/zinc/24/09/78/1050240978.db2.gz BAOVNINLFSXYCQ-LBPRGKRZSA-N 0 3 307.290 4.015 20 0 DIADHN Cc1ccc(F)c(CN[C@@H]2CCc3cc(F)ccc3C2)c1F ZINC001168401425 1050243469 /nfs/dbraw/zinc/24/34/69/1050243469.db2.gz VRWQOOJWJLOXKN-OAHLLOKOSA-N 0 3 305.343 4.059 20 0 DIADHN COC[C@@H]1CCN1Cc1ccc2ccc(-c3ccccc3)nc2c1 ZINC001136947762 1050246774 /nfs/dbraw/zinc/24/67/74/1050246774.db2.gz PPNANFXSAIDUIU-IBGZPJMESA-N 0 3 318.420 4.123 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(SC(F)F)cc2)CC1(C)C ZINC000824820196 1050247368 /nfs/dbraw/zinc/24/73/68/1050247368.db2.gz BEVLOEOTGCFEOQ-CQSZACIVSA-N 0 3 315.429 4.248 20 0 DIADHN FC1CC2(C1)CCCN(Cc1nc3ccccc3s1)CC2 ZINC001136956131 1050250978 /nfs/dbraw/zinc/25/09/78/1050250978.db2.gz GQAKISABLGUSFZ-UHFFFAOYSA-N 0 3 304.434 4.401 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1cccnc1Cl)C1CCCC1 ZINC000157609698 1050253677 /nfs/dbraw/zinc/25/36/77/1050253677.db2.gz SNNGSWVBGHXROR-CZUORRHYSA-N 0 3 310.869 4.371 20 0 DIADHN CCc1nocc1CNCCn1c2ccccc2c2ccccc21 ZINC000573821322 1050253962 /nfs/dbraw/zinc/25/39/62/1050253962.db2.gz VEJYHWHNSQCGAG-UHFFFAOYSA-N 0 3 319.408 4.135 20 0 DIADHN Cc1cccc2[nH]c(CN3CCC[C@H]3C[C@@H](O)c3ccco3)cc21 ZINC000824927940 1050259119 /nfs/dbraw/zinc/25/91/19/1050259119.db2.gz RWTUOVLTPWQTRD-QFBILLFUSA-N 0 3 324.424 4.157 20 0 DIADHN Cc1cccc([C@H]2CCN(Cc3cnc(C(C)(C)C)nc3)C2)c1 ZINC000573868913 1050261184 /nfs/dbraw/zinc/26/11/84/1050261184.db2.gz UHBSGTYSIGGJOE-SFHVURJKSA-N 0 3 309.457 4.072 20 0 DIADHN Fc1cccc2[nH]cc(CN3CCC[C@@H](Oc4ccccc4)C3)c21 ZINC001136985072 1050273302 /nfs/dbraw/zinc/27/33/02/1050273302.db2.gz YOCLUTQUNYDEEN-QGZVFWFLSA-N 0 3 324.399 4.350 20 0 DIADHN COC(=O)c1ccc(CNC2(c3ccc(C)cc3)CCC2)s1 ZINC000592190152 1050275173 /nfs/dbraw/zinc/27/51/73/1050275173.db2.gz ZZXMDHHGMXPWFC-UHFFFAOYSA-N 0 3 315.438 4.012 20 0 DIADHN Cc1ccc(-c2nnc(CN3CCCC[C@@H]3C3CCC3)o2)cc1 ZINC000646138411 1050279801 /nfs/dbraw/zinc/27/98/01/1050279801.db2.gz JLCDFFMCCSBPGZ-QGZVFWFLSA-N 0 3 311.429 4.200 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(Cc2c(F)cccc2F)CC1 ZINC001171077855 1050283315 /nfs/dbraw/zinc/28/33/15/1050283315.db2.gz IBOOVRJEGNVVEJ-AWEZNQCLSA-N 0 3 316.395 4.376 20 0 DIADHN C[C@H](c1cccnc1)N1CCC(Cc2c(F)cccc2F)CC1 ZINC001171077856 1050286061 /nfs/dbraw/zinc/28/60/61/1050286061.db2.gz IBOOVRJEGNVVEJ-CQSZACIVSA-N 0 3 316.395 4.376 20 0 DIADHN Cc1cc(C)c(CNCc2ccc(SC(F)F)cc2)cn1 ZINC000825221464 1050286286 /nfs/dbraw/zinc/28/62/86/1050286286.db2.gz NSFFKGPEHAZSPU-UHFFFAOYSA-N 0 3 308.397 4.303 20 0 DIADHN COc1ncc(CN(C)Cc2cccc3ccccc32)cc1C ZINC001137005092 1050286839 /nfs/dbraw/zinc/28/68/39/1050286839.db2.gz YIBAJUMMIPBIKJ-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CCOc1ccc(CNCc2ccc3cccnc3c2)cc1F ZINC000592289369 1050287383 /nfs/dbraw/zinc/28/73/83/1050287383.db2.gz PUFYAJOGFBMQRQ-UHFFFAOYSA-N 0 3 310.372 4.062 20 0 DIADHN COC[C@H]1CCCN(Cc2cc3ccccc3nc2Cl)CC1 ZINC000825321967 1050293637 /nfs/dbraw/zinc/29/36/37/1050293637.db2.gz TUMQVHCWQXENEF-AWEZNQCLSA-N 0 3 318.848 4.137 20 0 DIADHN Cc1ccccc1OC1CCN(Cc2ccc3c(c2)COC3)CC1 ZINC001137022138 1050295509 /nfs/dbraw/zinc/29/55/09/1050295509.db2.gz RWMSQUHJAJGVSY-UHFFFAOYSA-N 0 3 323.436 4.069 20 0 DIADHN CN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc2c(c1)COC2 ZINC000771926698 1050296696 /nfs/dbraw/zinc/29/66/96/1050296696.db2.gz HFPQUSQLHSCQJR-UHFFFAOYSA-N 0 3 321.342 4.368 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(Cc2ccc3c(c2)COC3)C1 ZINC001137027176 1050299596 /nfs/dbraw/zinc/29/95/96/1050299596.db2.gz IIGDEIIAYJKFTO-HXUWFJFHSA-N 0 3 323.436 4.069 20 0 DIADHN CC(C)[C@H](C(=O)Nc1ccc(Oc2ccccc2)cc1)N(C)C ZINC000592428928 1050303213 /nfs/dbraw/zinc/30/32/13/1050303213.db2.gz MVFOLWFTIYZXJK-GOSISDBHSA-N 0 3 312.413 4.004 20 0 DIADHN Cc1cccc([C@H](C)N2CC[C@@]3(C2)CC(=O)c2ccccc2O3)c1 ZINC001171086722 1050305764 /nfs/dbraw/zinc/30/57/64/1050305764.db2.gz IIVYICGFKURXHS-KKSFZXQISA-N 0 3 321.420 4.166 20 0 DIADHN CCOc1cc(CN[C@H]2CCc3ccc(C)cc32)ccc1OC ZINC000592462831 1050309206 /nfs/dbraw/zinc/30/92/06/1050309206.db2.gz RHQOOGDWIBTUFD-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN Cc1ncc2c(n1)CCC[C@@H]2N[C@@H](C)c1ccc(Cl)cc1 ZINC000734851230 1050328025 /nfs/dbraw/zinc/32/80/25/1050328025.db2.gz SQDBKFOAEGCYEZ-ZBEGNZNMSA-N 0 3 301.821 4.167 20 0 DIADHN Cc1ccc(C(F)(F)F)c(CN2CC[C@@H]3OCCC[C@@H]3C2)c1 ZINC001143671063 1050334006 /nfs/dbraw/zinc/33/40/06/1050334006.db2.gz WGYDDYPAIGYBEM-CJNGLKHVSA-N 0 3 313.363 4.015 20 0 DIADHN CO[C@@H]1CCN(Cc2cc(C)ccc2C(F)(F)F)[C@@H](C)C1 ZINC001143683502 1050336023 /nfs/dbraw/zinc/33/60/23/1050336023.db2.gz JWOAGHKRUAYZPQ-GXTWGEPZSA-N 0 3 301.352 4.013 20 0 DIADHN CO[C@H]1CCN(Cc2cc(C)ccc2C(F)(F)F)[C@@H](C)C1 ZINC001143683503 1050336830 /nfs/dbraw/zinc/33/68/30/1050336830.db2.gz JWOAGHKRUAYZPQ-JSGCOSHPSA-N 0 3 301.352 4.013 20 0 DIADHN CN(Cc1csc2ccccc12)C[C@H](O)c1ccccc1F ZINC000058582014 1050344206 /nfs/dbraw/zinc/34/42/06/1050344206.db2.gz KSHARKDFJKVMSM-KRWDZBQOSA-N 0 3 315.413 4.206 20 0 DIADHN Cc1c(F)cc(F)cc1CN1CCC2(CCOC2(C)C)CC1 ZINC001143769167 1050352375 /nfs/dbraw/zinc/35/23/75/1050352375.db2.gz IZVGZLQFBOTOSI-UHFFFAOYSA-N 0 3 309.400 4.054 20 0 DIADHN COc1cccc([C@@H]2CCN(Cc3cc(F)cc(F)c3C)C2)c1 ZINC001143769041 1050352936 /nfs/dbraw/zinc/35/29/36/1050352936.db2.gz FQRYXVZWLKPBMO-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN Cc1c(F)cc(F)cc1CN(C)Cc1cccc2cccnc21 ZINC001143764626 1050353710 /nfs/dbraw/zinc/35/37/10/1050353710.db2.gz VTQLDSYSZHYBES-UHFFFAOYSA-N 0 3 312.363 4.453 20 0 DIADHN Cc1c(F)cc(F)cc1CN1CCC(c2cccc(O)c2)CC1 ZINC001143769511 1050354319 /nfs/dbraw/zinc/35/43/19/1050354319.db2.gz PHMXYZWAPKBBJP-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN C[C@H](N[C@H](c1ccccc1)[C@@H]1CCOC1)c1ccnc(Cl)c1 ZINC000772458212 1050361751 /nfs/dbraw/zinc/36/17/51/1050361751.db2.gz WSRSOGQJXQAQMG-FDQGKXFDSA-N 0 3 316.832 4.163 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1occc1Br ZINC000735322125 1050371454 /nfs/dbraw/zinc/37/14/54/1050371454.db2.gz FMTJNDQRWFLWAI-CYBMUJFWSA-N 0 3 308.219 4.153 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1occc1Br ZINC000735322126 1050371557 /nfs/dbraw/zinc/37/15/57/1050371557.db2.gz FMTJNDQRWFLWAI-ZDUSSCGKSA-N 0 3 308.219 4.153 20 0 DIADHN CC[C@H](N[C@H](C)CCc1ccc(F)cc1F)c1nccs1 ZINC000574182979 1050373762 /nfs/dbraw/zinc/37/37/62/1050373762.db2.gz JNRLFGIAFXLUCO-ABAIWWIYSA-N 0 3 310.413 4.483 20 0 DIADHN O=C1CCN([C@@H]2CCc3cc(Cl)ccc3C2)[C@H]2CCCC[C@@H]12 ZINC001171115900 1050394794 /nfs/dbraw/zinc/39/47/94/1050394794.db2.gz IRANMMPTKOGOMJ-KURKYZTESA-N 0 3 317.860 4.031 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@@H]2CCc3cc(Cl)ccc3C2)no1 ZINC001171117842 1050398405 /nfs/dbraw/zinc/39/84/05/1050398405.db2.gz ZNHMGUYZUSVUAI-AEFFLSMTSA-N 0 3 316.832 4.331 20 0 DIADHN COc1ccc(CN(C)C(C)(C)Cc2ccccc2)c(F)c1F ZINC001143917156 1050406985 /nfs/dbraw/zinc/40/69/85/1050406985.db2.gz VIIKYECNPUTUEG-UHFFFAOYSA-N 0 3 319.395 4.427 20 0 DIADHN CCN(Cc1ccncc1)Cc1cccc(C(F)(F)F)c1C ZINC001143918321 1050407205 /nfs/dbraw/zinc/40/72/05/1050407205.db2.gz ZVLKPXRIZGTOGG-UHFFFAOYSA-N 0 3 308.347 4.431 20 0 DIADHN Cc1c(CN2C[C@H]3[C@H](CC3(F)F)C2)cccc1C(F)(F)F ZINC001143922599 1050409196 /nfs/dbraw/zinc/40/91/96/1050409196.db2.gz MVRAFFXJDIQVRE-YPMHNXCESA-N 0 3 305.290 4.101 20 0 DIADHN Cc1c(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)cccc1C(F)(F)F ZINC001143922883 1050409434 /nfs/dbraw/zinc/40/94/34/1050409434.db2.gz OYJXPXXPYWFHTL-BETUJISGSA-N 0 3 319.317 4.491 20 0 DIADHN Cc1cnc(CN2CCC[C@@]2(C)Cc2cccc(Cl)c2)cn1 ZINC000844381691 1050415459 /nfs/dbraw/zinc/41/54/59/1050415459.db2.gz QYZLRLLXLICROB-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN Brc1cccc2c1CN(CCc1cccs1)CC2 ZINC000735672170 1050422192 /nfs/dbraw/zinc/42/21/92/1050422192.db2.gz JOSUXYWDEVGKHD-UHFFFAOYSA-N 0 3 322.271 4.111 20 0 DIADHN FC1(F)[C@H]2CN(CCCSc3ccccc3Cl)C[C@H]21 ZINC000844454545 1050421586 /nfs/dbraw/zinc/42/15/86/1050421586.db2.gz ZULAMERKHHEKHA-PHIMTYICSA-N 0 3 303.805 4.019 20 0 DIADHN Cc1cccc(F)c1CN[C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000766214567 1050431615 /nfs/dbraw/zinc/43/16/15/1050431615.db2.gz XLHAARPKBRRRBJ-HNNXBMFYSA-N 0 3 309.388 4.171 20 0 DIADHN CC(C)Oc1ccc2ccccc2c1CN1C[C@H]2[C@@H](C1)C2(F)F ZINC000844460955 1050432880 /nfs/dbraw/zinc/43/28/80/1050432880.db2.gz YSDNLQOKBFLZSD-CALCHBBNSA-N 0 3 317.379 4.324 20 0 DIADHN CCOc1c(F)cc(CN(C)CCc2ccccc2F)cc1F ZINC001144032583 1050442228 /nfs/dbraw/zinc/44/22/28/1050442228.db2.gz QEMULAPIVYSGRY-UHFFFAOYSA-N 0 3 323.358 4.177 20 0 DIADHN CC[C@H](C(=O)Nc1cccc(SC(F)F)c1)N(CC)CC ZINC000736011609 1050445946 /nfs/dbraw/zinc/44/59/46/1050445946.db2.gz LKFCUJWZXUSJSK-CYBMUJFWSA-N 0 3 316.417 4.060 20 0 DIADHN Cc1cccc([C@H]2CCCN2Cc2cccc3c2OCCO3)c1 ZINC000058909077 1050449638 /nfs/dbraw/zinc/44/96/38/1050449638.db2.gz LFWLYDIVQHQIRE-GOSISDBHSA-N 0 3 309.409 4.103 20 0 DIADHN CCN(CC)Cc1ccc(C(=O)Oc2c(C)cccc2Cl)o1 ZINC000736021347 1050454979 /nfs/dbraw/zinc/45/49/79/1050454979.db2.gz DFFPWYNKPXMWIX-UHFFFAOYSA-N 0 3 321.804 4.302 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)N(Cc3ccc(Cl)nc3)C2)cc1 ZINC000786127458 1050456422 /nfs/dbraw/zinc/45/64/22/1050456422.db2.gz ANLVFEQKBGGKNX-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc([C@H]2C[C@H](C)N(Cc3cccnc3Cl)C2)cc1 ZINC000786126776 1050456923 /nfs/dbraw/zinc/45/69/23/1050456923.db2.gz SLUPNJRRSWACLZ-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN CC(C)c1nnc(C[NH2+][C@H]2CC[C@H](c3ccc(Cl)cc3)C2)[n-]1 ZINC000574395315 1050457337 /nfs/dbraw/zinc/45/73/37/1050457337.db2.gz WDBWEFURPSQIMD-ZFWWWQNUSA-N 0 3 318.852 4.007 20 0 DIADHN C[C@@H](NC[C@@](C)(O)c1ccccc1)c1ccc(F)cc1Cl ZINC000736348149 1050469560 /nfs/dbraw/zinc/46/95/60/1050469560.db2.gz NCELNSBIVUKSON-SJKOYZFVSA-N 0 3 307.796 4.037 20 0 DIADHN CCc1cc(CN2CCC(F)(F)C(C)(C)C2)cc(CC)c1O ZINC001144139656 1050477568 /nfs/dbraw/zinc/47/75/68/1050477568.db2.gz KEHLVRYRQOWZBU-UHFFFAOYSA-N 0 3 311.416 4.384 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN(C)[C@@H]2CCCOC2)o1 ZINC000574486742 1050477933 /nfs/dbraw/zinc/47/79/33/1050477933.db2.gz QCNDCLYHFGWRRR-OAHLLOKOSA-N 0 3 303.377 4.005 20 0 DIADHN C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)nc1 ZINC000736629381 1050484848 /nfs/dbraw/zinc/48/48/48/1050484848.db2.gz PRFAOZIDLWYNBT-DOMZBBRYSA-N 0 3 304.796 4.252 20 0 DIADHN C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1ccc(Cl)nc1 ZINC000736629387 1050486169 /nfs/dbraw/zinc/48/61/69/1050486169.db2.gz PRFAOZIDLWYNBT-WFASDCNBSA-N 0 3 304.796 4.252 20 0 DIADHN CC1(C)C[C@@H](N[C@@H](c2cccs2)c2ccc(F)cc2)CO1 ZINC000669524266 1050487262 /nfs/dbraw/zinc/48/72/62/1050487262.db2.gz KVJVZRYHSVIOTI-GDBMZVCRSA-N 0 3 305.418 4.134 20 0 DIADHN O=C(CC1CCCCC1)OCC1CCN(Cc2ccco2)CC1 ZINC000772685002 1050489129 /nfs/dbraw/zinc/48/91/29/1050489129.db2.gz JJTLODVTOOVVFL-UHFFFAOYSA-N 0 3 319.445 4.005 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCCOc2ccc3ccccc3c2)C1 ZINC000761053404 1050489966 /nfs/dbraw/zinc/48/99/66/1050489966.db2.gz ZMNFJFDYIHOFBT-INIZCTEOSA-N 0 3 323.358 4.493 20 0 DIADHN Fc1ccc2[nH]nc(CN3CCC(Cc4ccccc4)CC3)c2c1 ZINC001144186868 1050493491 /nfs/dbraw/zinc/49/34/91/1050493491.db2.gz LNNXNUQLBCFZCA-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2n[nH]c3ccc(F)cc32)CC1 ZINC001144200856 1050493988 /nfs/dbraw/zinc/49/39/88/1050493988.db2.gz NOKOWTPWGMYNHY-UHFFFAOYSA-N 0 3 323.415 4.256 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2[nH]nc3ccc(F)cc32)CC1 ZINC001144200856 1050493998 /nfs/dbraw/zinc/49/39/98/1050493998.db2.gz NOKOWTPWGMYNHY-UHFFFAOYSA-N 0 3 323.415 4.256 20 0 DIADHN CN(Cc1n[nH]c2ccc(F)cc21)Cc1ccc2ccccc2c1 ZINC001144200194 1050494323 /nfs/dbraw/zinc/49/43/23/1050494323.db2.gz AIXVLHNKVGMEHH-UHFFFAOYSA-N 0 3 319.383 4.487 20 0 DIADHN CCc1cnccc1[C@H](C)N[C@H]1CCc2c1ccc(OC)c2F ZINC000574550806 1050494706 /nfs/dbraw/zinc/49/47/06/1050494706.db2.gz DBNNICJTSVBIAH-SJCJKPOMSA-N 0 3 314.404 4.130 20 0 DIADHN CN1CCc2ccc(Nc3cccc(-c4cccnc4)c3)cc2C1 ZINC001211145720 1050497240 /nfs/dbraw/zinc/49/72/40/1050497240.db2.gz JEGGQSUEMWJYPI-UHFFFAOYSA-N 0 3 315.420 4.480 20 0 DIADHN c1ccn(-c2ccc(CN3CCC[C@@H]3c3cccnc3)cc2)c1 ZINC001144238528 1050500285 /nfs/dbraw/zinc/50/02/85/1050500285.db2.gz RYTZFSWYBWIOJC-HXUWFJFHSA-N 0 3 303.409 4.209 20 0 DIADHN COc1cc(CN2CCC[C@@H]2C(C)C)ccc1Br ZINC001144234139 1050512224 /nfs/dbraw/zinc/51/22/24/1050512224.db2.gz OPFGCDVJUGEYQT-CQSZACIVSA-N 0 3 312.251 4.078 20 0 DIADHN c1ccc2c(c1)cccc2Cc1noc([C@@H]2CC[C@H](C3CC3)N2)n1 ZINC000844908622 1050518187 /nfs/dbraw/zinc/51/81/87/1050518187.db2.gz DEVYFDKRUPWEEP-MSOLQXFVSA-N 0 3 319.408 4.017 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cn2nccc2-c2ccncc2)CC1 ZINC000738243496 1050531439 /nfs/dbraw/zinc/53/14/39/1050531439.db2.gz PFBMERGIYWRRHO-QGZVFWFLSA-N 0 3 312.461 4.051 20 0 DIADHN CCCCCN(Cc1cc2c(C)nn(C)c2nc1Cl)C(C)C ZINC000749902637 1050542026 /nfs/dbraw/zinc/54/20/26/1050542026.db2.gz RRWWUBWXTHUKSL-UHFFFAOYSA-N 0 3 322.884 4.331 20 0 DIADHN CCN1CCN(c2ccc(Nc3cc4ccccc4[nH]3)cc2)CC1 ZINC001211306931 1050542365 /nfs/dbraw/zinc/54/23/65/1050542365.db2.gz OMCJOIUDCYXSLO-UHFFFAOYSA-N 0 3 320.440 4.053 20 0 DIADHN CCCCCC[C@@H](C)[NH2+][C@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000787015868 1050544766 /nfs/dbraw/zinc/54/47/66/1050544766.db2.gz AYMRMMLUIIUYJL-HUUCEWRRSA-N 0 3 315.465 4.185 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2COc2ccc(Cl)cc2)nc1 ZINC000772918692 1050544803 /nfs/dbraw/zinc/54/48/03/1050544803.db2.gz OFFFRTREEKPNGA-QGZVFWFLSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1ccncc1CN1CCC[C@H]1COc1ccc(Cl)cc1 ZINC000772916897 1050544910 /nfs/dbraw/zinc/54/49/10/1050544910.db2.gz DGKVVKCMPZJTMH-KRWDZBQOSA-N 0 3 316.832 4.087 20 0 DIADHN Cc1nc(CN2CCC3(CCc4ccccc43)CC2)sc1C ZINC001144363108 1050551016 /nfs/dbraw/zinc/55/10/16/1050551016.db2.gz CWKJCMWFCOKWEZ-UHFFFAOYSA-N 0 3 312.482 4.240 20 0 DIADHN CCN(Cc1ccc(F)nc1C)[C@H](C)c1cccc(OC)c1 ZINC000787095841 1050551096 /nfs/dbraw/zinc/55/10/96/1050551096.db2.gz DTHMQDMHOBTTHS-CQSZACIVSA-N 0 3 302.393 4.121 20 0 DIADHN CC(C)(NCc1ccc2ccccc2c1)C(=O)Nc1ccccc1 ZINC000594789162 1050551445 /nfs/dbraw/zinc/55/14/45/1050551445.db2.gz NPXQSWZUABVMRO-UHFFFAOYSA-N 0 3 318.420 4.347 20 0 DIADHN Cc1nc(CN2CCC3(C=Cc4ccccc43)CC2)sc1C ZINC001144363044 1050551944 /nfs/dbraw/zinc/55/19/44/1050551944.db2.gz ADAKWNGCIIBEBL-UHFFFAOYSA-N 0 3 310.466 4.321 20 0 DIADHN Cc1cccc(Cl)c1NC(=O)[C@H](C)N(C)C[C@H]1CC=CCC1 ZINC001168405670 1050553714 /nfs/dbraw/zinc/55/37/14/1050553714.db2.gz ZFKQPTFFQLCUTP-GJZGRUSLSA-N 0 3 320.864 4.264 20 0 DIADHN Cc1cc(F)ccc1[C@H](O)CN(C)[C@H](C)c1ccc(F)c(F)c1 ZINC000746654580 1050562316 /nfs/dbraw/zinc/56/23/16/1050562316.db2.gz JPAKVRKWJDHPIJ-KZULUSFZSA-N 0 3 323.358 4.139 20 0 DIADHN Cc1cc(Cl)cc(-c2noc(C3CCN(C4CC4)CC3)n2)c1 ZINC001211432580 1050564078 /nfs/dbraw/zinc/56/40/78/1050564078.db2.gz OTKCOVCEUPRJCC-UHFFFAOYSA-N 0 3 317.820 4.040 20 0 DIADHN CC[C@H](C)[C@@H]([NH2+]Cc1ncccc1[O-])c1ccc(Cl)cc1 ZINC000574844640 1050567458 /nfs/dbraw/zinc/56/74/58/1050567458.db2.gz QBRPOIOVOBBJGE-YVEFUNNKSA-N 0 3 304.821 4.318 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N(C)C[C@H]1CC1(Cl)Cl ZINC000746650583 1050568737 /nfs/dbraw/zinc/56/87/37/1050568737.db2.gz BERHMSFZGUSRJL-IUODEOHRSA-N 0 3 314.256 4.198 20 0 DIADHN Clc1ccc2c(CN3CCC[C@H]4CCCC[C@@H]43)c[nH]c2n1 ZINC001144415593 1050570046 /nfs/dbraw/zinc/57/00/46/1050570046.db2.gz KNLZRFDQJJOGBF-DOMZBBRYSA-N 0 3 303.837 4.371 20 0 DIADHN Cc1nc2ccc(Nc3cccc4c3OCC[C@@H]4N(C)C)cc2o1 ZINC001213068557 1050574917 /nfs/dbraw/zinc/57/49/17/1050574917.db2.gz QNMPTYYGJBMJBU-KRWDZBQOSA-N 0 3 323.396 4.265 20 0 DIADHN COC(=O)c1cccc(Nc2ccc3nc(C)[nH]c3c2)c1Cl ZINC001213076259 1050580730 /nfs/dbraw/zinc/58/07/30/1050580730.db2.gz NVNMJVYKHWPJCJ-UHFFFAOYSA-N 0 3 315.760 4.055 20 0 DIADHN Cc1nc(F)ccc1CN1CCC[C@H](c2ccc(F)cc2)CC1 ZINC000787269649 1050583705 /nfs/dbraw/zinc/58/37/05/1050583705.db2.gz YDJFIIFSORXBCK-HNNXBMFYSA-N 0 3 316.395 4.438 20 0 DIADHN CSCc1cnc(CN[C@@H](C)C2CCC(F)CC2)s1 ZINC000594990596 1050590143 /nfs/dbraw/zinc/59/01/43/1050590143.db2.gz UMEAPONCXVGZJR-UNXYVOJBSA-N 0 3 302.484 4.013 20 0 DIADHN N=C(N)N(c1ccc(F)cc1)c1cc(Cl)nc2ccccc21 ZINC001157225050 1050591560 /nfs/dbraw/zinc/59/15/60/1050591560.db2.gz OXUZZRAMTXWACZ-UHFFFAOYSA-N 0 3 314.751 4.059 20 0 DIADHN CC[C@H](c1ccncc1)N(CC)Cn1cnc2cc(C)c(C)cc21 ZINC000739888882 1050593325 /nfs/dbraw/zinc/59/33/25/1050593325.db2.gz XASBNHMRYUVGOF-LJQANCHMSA-N 0 3 322.456 4.479 20 0 DIADHN C[C@@H]1CCN(C[C@@H](O)c2ccccc2F)[C@@H]1c1cccc(F)c1 ZINC000746678096 1050595534 /nfs/dbraw/zinc/59/55/34/1050595534.db2.gz IQZIIDZZPZLOGO-ZNOIYHFQSA-N 0 3 317.379 4.081 20 0 DIADHN COC(=O)c1csc([C@H](C)NCc2cc(C)cc(C)c2)c1 ZINC000595071850 1050599021 /nfs/dbraw/zinc/59/90/21/1050599021.db2.gz CAZRSKIYURRIOQ-ZDUSSCGKSA-N 0 3 303.427 4.002 20 0 DIADHN Cc1ccc(CN[C@@](C)(CNC(=O)OC(C)(C)C)CC(C)C)o1 ZINC000227024216 1050602019 /nfs/dbraw/zinc/60/20/19/1050602019.db2.gz PXEJBXAGAIFSQF-GOSISDBHSA-N 0 3 324.465 4.007 20 0 DIADHN CC(C)(C)c1nc2ccc(Nc3ccnc(C(C)(C)C)c3)cn2n1 ZINC001213164032 1050604432 /nfs/dbraw/zinc/60/44/32/1050604432.db2.gz GOJFKHKPBPXJAV-UHFFFAOYSA-N 0 3 323.444 4.463 20 0 DIADHN CC(C)(C)c1cc(Nc2cc(Cl)c3nccn3c2)ccn1 ZINC001213164695 1050605297 /nfs/dbraw/zinc/60/52/97/1050605297.db2.gz YEUSJHUHCXYAIM-UHFFFAOYSA-N 0 3 300.793 4.424 20 0 DIADHN COC(=O)c1cc(Nc2ccnc(C(C)(C)C)c2)c(F)cc1F ZINC001213166734 1050605760 /nfs/dbraw/zinc/60/57/60/1050605760.db2.gz LQSKODXSBFQSNS-UHFFFAOYSA-N 0 3 320.339 4.188 20 0 DIADHN CC(=O)N1CCCc2ccc(Nc3ccnc(C(C)(C)C)c3)cc21 ZINC001213168923 1050606847 /nfs/dbraw/zinc/60/68/47/1050606847.db2.gz WXFJLIDVEAJPMA-UHFFFAOYSA-N 0 3 323.440 4.422 20 0 DIADHN C[C@H]1Cc2cc(Nc3ccnc(C(C)(C)C)c3)ccc2N(C)C1=O ZINC001213170522 1050607906 /nfs/dbraw/zinc/60/79/06/1050607906.db2.gz JOJJHFRZAJDWNC-ZDUSSCGKSA-N 0 3 323.440 4.278 20 0 DIADHN FC1CC2(C1)CCCN(Cc1cccnc1C(F)(F)F)CC2 ZINC001144539230 1050610583 /nfs/dbraw/zinc/61/05/83/1050610583.db2.gz XGQYHCPFWBVIBO-UHFFFAOYSA-N 0 3 316.342 4.205 20 0 DIADHN CCSC1(CN[C@H](C)c2ncc(-c3ccccc3)n2C)CC1 ZINC000595091878 1050611732 /nfs/dbraw/zinc/61/17/32/1050611732.db2.gz KJSTYTGXETVRCA-CQSZACIVSA-N 0 3 315.486 4.023 20 0 DIADHN Clc1ccc2c(n1)[C@@H](NCCOc1ccccc1Cl)CC2 ZINC000787758417 1050618851 /nfs/dbraw/zinc/61/88/51/1050618851.db2.gz FOWXFCCEQYBJSU-ZDUSSCGKSA-N 0 3 323.223 4.044 20 0 DIADHN CC[C@@H](Cc1ccccc1)NCc1ncc(CSC)s1 ZINC000574996990 1050625494 /nfs/dbraw/zinc/62/54/94/1050625494.db2.gz DEZODFBKTUODPE-AWEZNQCLSA-N 0 3 306.500 4.117 20 0 DIADHN COCCCC[C@@H](NCc1ccc2c(n1)CCC2)c1ccccc1 ZINC000575014952 1050629473 /nfs/dbraw/zinc/62/94/73/1050629473.db2.gz ZBZNLQFWRFXMOX-HXUWFJFHSA-N 0 3 324.468 4.218 20 0 DIADHN FC(F)(F)CCN1CCC[C@H]1COc1ccc(Cl)cc1 ZINC000740874939 1050637666 /nfs/dbraw/zinc/63/76/66/1050637666.db2.gz SFPJTUFGSXYSNT-LBPRGKRZSA-N 0 3 307.743 4.136 20 0 DIADHN CC(C)(C)c1nc(CNCC[C@@]2(C)C[C@]2(F)Cl)cs1 ZINC000846035633 1050653059 /nfs/dbraw/zinc/65/30/59/1050653059.db2.gz KMPRUPVJHXBCPF-UONOGXRCSA-N 0 3 304.862 4.235 20 0 DIADHN COc1ccc(-c2nc(CNCC3(C)CCCC3)cs2)cc1 ZINC000595625353 1050653319 /nfs/dbraw/zinc/65/33/19/1050653319.db2.gz UEXMIFWYJITPKD-UHFFFAOYSA-N 0 3 316.470 4.489 20 0 DIADHN Cc1nc([C@H](C)NCCC[C@@H]2CC2(Cl)Cl)c(C)s1 ZINC000846111877 1050663925 /nfs/dbraw/zinc/66/39/25/1050663925.db2.gz WQBKMZYYHJSQJO-GZMMTYOYSA-N 0 3 307.290 4.385 20 0 DIADHN CN(c1ccccc1)c1ccc(CN2C[C@H]3[C@@H](C2)C3(F)F)cc1 ZINC000846113064 1050666506 /nfs/dbraw/zinc/66/65/06/1050666506.db2.gz YSJXAXUIXVJUPZ-HDICACEKSA-N 0 3 314.379 4.151 20 0 DIADHN Clc1cccc(Oc2ccccc2)c1CNC1CCOCC1 ZINC000179722438 1050668867 /nfs/dbraw/zinc/66/88/67/1050668867.db2.gz WAWZUEKELWMREL-UHFFFAOYSA-N 0 3 317.816 4.401 20 0 DIADHN CC1(C)Cc2cc(CN3CCC[C@@]4(CC4(F)F)C3)ccc2O1 ZINC000846107030 1050672816 /nfs/dbraw/zinc/67/28/16/1050672816.db2.gz DTPSZLSWBGNGAI-QGZVFWFLSA-N 0 3 307.384 4.021 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](C)C[C@H]2c2ccccc2)cc1 ZINC001170084853 1050681533 /nfs/dbraw/zinc/68/15/33/1050681533.db2.gz DVUOGZFZUGXFSR-LPHOPBHVSA-N 0 3 308.425 4.017 20 0 DIADHN FC1(F)CC2(C1)CCN(Cc1ccc3scnc3c1)CC2 ZINC001144734034 1050686860 /nfs/dbraw/zinc/68/68/60/1050686860.db2.gz FOFNMALCIOZRKO-UHFFFAOYSA-N 0 3 308.397 4.308 20 0 DIADHN CN(Cc1nnc(-c2cccc(C#N)c2)o1)C1CCCCCCC1 ZINC000075677308 1050688642 /nfs/dbraw/zinc/68/86/42/1050688642.db2.gz OYYIBCOWGOMCMA-UHFFFAOYSA-N 0 3 324.428 4.153 20 0 DIADHN Cc1c(CNc2cc(C)cc(C)n2)sc2nc(C)nc(C)c12 ZINC000746746756 1050690911 /nfs/dbraw/zinc/69/09/11/1050690911.db2.gz AEHKGUCHMOLEIK-UHFFFAOYSA-N 0 3 312.442 4.241 20 0 DIADHN C[C@@H](NC(c1ccccc1)c1ccccc1)c1cc[nH]c(=O)c1 ZINC000788498737 1050692391 /nfs/dbraw/zinc/69/23/91/1050692391.db2.gz PMMYADINBGCNFL-OAHLLOKOSA-N 0 3 304.393 4.227 20 0 DIADHN Cc1nc2ccccc2nc1CN(C)[C@H](C)Cc1ccsc1 ZINC000176437797 1050699689 /nfs/dbraw/zinc/69/96/89/1050699689.db2.gz NKZKSLAZTGMKIU-CYBMUJFWSA-N 0 3 311.454 4.063 20 0 DIADHN CC(C)[C@H](NCc1ccsc1Cl)[C@H](O)c1ccccc1 ZINC000788534549 1050707151 /nfs/dbraw/zinc/70/71/51/1050707151.db2.gz BBWJYLIPKKBSQV-LSDHHAIUSA-N 0 3 309.862 4.249 20 0 DIADHN Cc1ccc(OCCC(=O)NCCP(C(C)C)C(C)C)cc1 ZINC001144765054 1050707224 /nfs/dbraw/zinc/70/72/24/1050707224.db2.gz YZHMJNVGJZBZMT-UHFFFAOYSA-N 0 3 323.417 4.179 20 0 DIADHN Cc1n[nH]cc1[C@@H](C)N[C@@H](Cc1ccccc1)c1ccccc1 ZINC000180001961 1050712171 /nfs/dbraw/zinc/71/21/71/1050712171.db2.gz UMBIJTGCPPLEGY-QRWLVFNGSA-N 0 3 305.425 4.353 20 0 DIADHN CC(C)[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccccc1Cl ZINC000788604219 1050712922 /nfs/dbraw/zinc/71/29/22/1050712922.db2.gz GYTVLBINNNGWJX-SJCJKPOMSA-N 0 3 304.821 4.489 20 0 DIADHN C[C@H](N[C@@H](c1ccccc1)c1ccc(F)cc1)c1cc[nH]c(=O)c1 ZINC000788643241 1050721890 /nfs/dbraw/zinc/72/18/90/1050721890.db2.gz PBXPDDSEIHIQFS-XOBRGWDASA-N 0 3 322.383 4.367 20 0 DIADHN Cc1n[nH]cc1[C@H](C)N[C@@H](C)c1ccc(OC(F)(F)F)cc1 ZINC000180041046 1050723230 /nfs/dbraw/zinc/72/32/30/1050723230.db2.gz SOJYVPOYAORYQY-UWVGGRQHSA-N 0 3 313.323 4.029 20 0 DIADHN CCC[C@H](N[C@@H](C)c1cc[nH]c(=O)c1)c1ccc(F)cc1F ZINC000788631292 1050723547 /nfs/dbraw/zinc/72/35/47/1050723547.db2.gz LFGZFXMESJUCGS-ZBEGNZNMSA-N 0 3 306.356 4.257 20 0 DIADHN CC(C)CCOc1ccccc1CN[C@@H](C)c1cc[nH]c(=O)c1 ZINC000788707018 1050731178 /nfs/dbraw/zinc/73/11/78/1050731178.db2.gz XFCPJOXQHIJNHW-HNNXBMFYSA-N 0 3 314.429 4.063 20 0 DIADHN Cc1ccccc1[C@@H](O)CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000741774319 1050738807 /nfs/dbraw/zinc/73/88/07/1050738807.db2.gz FEMBDILVSYYXQD-KRWDZBQOSA-N 0 3 324.251 4.467 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2ccc(C)cc2)s1 ZINC000741792671 1050741640 /nfs/dbraw/zinc/74/16/40/1050741640.db2.gz BFGQLAZXQFZQDC-HNNXBMFYSA-N 0 3 318.486 4.394 20 0 DIADHN C[C@H](CCC1CC1)N[C@H](C)c1nc(Br)ccc1F ZINC000788749251 1050746223 /nfs/dbraw/zinc/74/62/23/1050746223.db2.gz DLXFLMBQSGDUMH-NXEZZACHSA-N 0 3 315.230 4.213 20 0 DIADHN C[C@@H](CN[C@@H]1COCc2cccc(Cl)c21)c1ccccc1 ZINC000788743632 1050747150 /nfs/dbraw/zinc/74/71/50/1050747150.db2.gz ZQYURRNFQBZJOW-SUMWQHHRSA-N 0 3 301.817 4.305 20 0 DIADHN C[C@@H](NC[C@H]1CC[C@@H](C)C1)c1nc(Br)ccc1F ZINC000788802797 1050747830 /nfs/dbraw/zinc/74/78/30/1050747830.db2.gz FNRMOTJRJGBBIQ-MXWKQRLJSA-N 0 3 315.230 4.070 20 0 DIADHN Cc1cc(C)c(N2CC[C@H](N[C@H](C)c3ccccc3)C2=O)c(C)c1 ZINC000788801811 1050748033 /nfs/dbraw/zinc/74/80/33/1050748033.db2.gz LOYYDNHDYYIYBV-MJGOQNOKSA-N 0 3 322.452 4.068 20 0 DIADHN CCO[C@@H](CN[C@@H]1CCc2ccc(Cl)nc21)c1ccccc1 ZINC000788777647 1050752536 /nfs/dbraw/zinc/75/25/36/1050752536.db2.gz UUBFXLUEFHKAKJ-CVEARBPZSA-N 0 3 316.832 4.090 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000788776923 1050753677 /nfs/dbraw/zinc/75/36/77/1050753677.db2.gz QJSFYFTVMLJODO-WFASDCNBSA-N 0 3 324.346 4.440 20 0 DIADHN FC1(F)CCC(CN[C@H]2COCc3cccc(Cl)c32)CC1 ZINC000788824320 1050757274 /nfs/dbraw/zinc/75/72/74/1050757274.db2.gz RUWORZYQMPASRR-AWEZNQCLSA-N 0 3 315.791 4.326 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CN[C@@H]1CCc2ccc(C)cc21 ZINC000596690446 1050766401 /nfs/dbraw/zinc/76/64/01/1050766401.db2.gz KYXPAIMNSWLRFD-OAQYLSRUSA-N 0 3 317.436 4.266 20 0 DIADHN C[C@@H](NCC1(Br)CC1)c1ccccc1C(F)(F)F ZINC000846844312 1050769666 /nfs/dbraw/zinc/76/96/66/1050769666.db2.gz ALSISVGXFSFVOA-SECBINFHSA-N 0 3 322.168 4.284 20 0 DIADHN CCc1ccc(C(C)(C)NCc2cnc(Cl)c(F)c2)cc1 ZINC000846845442 1050770635 /nfs/dbraw/zinc/77/06/35/1050770635.db2.gz LBSZXCWLJTWGQW-UHFFFAOYSA-N 0 3 306.812 4.461 20 0 DIADHN C[C@H](NCc1ccc2nonc2c1)c1cccc(C(F)(F)F)c1 ZINC000846842042 1050770764 /nfs/dbraw/zinc/77/07/64/1050770764.db2.gz VBZKIQTYGSBWJC-JTQLQIEISA-N 0 3 321.302 4.092 20 0 DIADHN Cc1ccccc1[C@H](NCc1cnc(Cl)c(F)c1)C1CC1 ZINC000846850652 1050771281 /nfs/dbraw/zinc/77/12/81/1050771281.db2.gz RLXCCRYEOBGQPF-MRXNPFEDSA-N 0 3 304.796 4.423 20 0 DIADHN COc1ccc2c(c1)C(C)(C)C[C@@H]2NCc1ccc(Cl)nc1 ZINC000788977271 1050778521 /nfs/dbraw/zinc/77/85/21/1050778521.db2.gz AHTJLHUTVHPWCO-INIZCTEOSA-N 0 3 316.832 4.256 20 0 DIADHN Cc1nc(C)c(CN2CCC(c3ccnc4ccccc43)CC2)o1 ZINC000846950106 1050789072 /nfs/dbraw/zinc/78/90/72/1050789072.db2.gz OARGTWZLNAZUSM-UHFFFAOYSA-N 0 3 321.424 4.219 20 0 DIADHN CC(C)CN(CC(C)C)CN1c2cccc3cccc(c23)C1=O ZINC000060461947 1050810575 /nfs/dbraw/zinc/81/05/75/1050810575.db2.gz QCVZTAITJPOKMT-UHFFFAOYSA-N 0 3 310.441 4.372 20 0 DIADHN C[C@@H]1CC[C@H](CN2CCC[C@@H]2c2ccccc2C(F)(F)F)O1 ZINC000789318732 1050813904 /nfs/dbraw/zinc/81/39/04/1050813904.db2.gz KPAMYQIWMRZGNL-XJKCOSOUSA-N 0 3 313.363 4.410 20 0 DIADHN CCOc1ccccc1[C@@H](C)NC[C@H](O)c1ccc(CC)cc1 ZINC000789358765 1050813941 /nfs/dbraw/zinc/81/39/41/1050813941.db2.gz SSUMZPJBVQLAFN-BEFAXECRSA-N 0 3 313.441 4.032 20 0 DIADHN C[C@H]1CC[C@H](CN2CCC(c3nc(C(C)(C)C)cs3)CC2)O1 ZINC000789316266 1050814058 /nfs/dbraw/zinc/81/40/58/1050814058.db2.gz IWCXGYZWBRMRIS-DZGCQCFKSA-N 0 3 322.518 4.188 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNCCC1CC1 ZINC000742383018 1050826104 /nfs/dbraw/zinc/82/61/04/1050826104.db2.gz ROJIAAGTBDMRNG-UHFFFAOYSA-N 0 3 324.255 4.377 20 0 DIADHN CCCC[C@H](N[C@@H](C)c1c[nH]nc1C)c1ccc(OC)cc1 ZINC000180424624 1050834427 /nfs/dbraw/zinc/83/44/27/1050834427.db2.gz HJEGYCKPCVICIT-UGSOOPFHSA-N 0 3 301.434 4.309 20 0 DIADHN Cc1ccc(N2CCC[C@@H](NCc3ccc(Cl)o3)C2)cc1 ZINC000742380323 1050839062 /nfs/dbraw/zinc/83/90/62/1050839062.db2.gz OERLHYVTGCAAHQ-CQSZACIVSA-N 0 3 304.821 4.000 20 0 DIADHN Cc1ccc(N2CCC[C@H](NCc3cccc(F)c3F)C2)cc1 ZINC000742380287 1050839122 /nfs/dbraw/zinc/83/91/22/1050839122.db2.gz NVZWHERCZYSALX-INIZCTEOSA-N 0 3 316.395 4.032 20 0 DIADHN COc1ccc(Cl)c2c1C[C@@H](N[C@@H](C)c1nccs1)CC2 ZINC001171290064 1050846303 /nfs/dbraw/zinc/84/63/03/1050846303.db2.gz WDKABNAOLHDVPC-QWRGUYRKSA-N 0 3 322.861 4.013 20 0 DIADHN COc1ccc(Cl)c2c1C[C@@H](N[C@H](C)c1cscn1)CC2 ZINC001171290762 1050848994 /nfs/dbraw/zinc/84/89/94/1050848994.db2.gz BAVSUOUZYYVDLZ-MNOVXSKESA-N 0 3 322.861 4.013 20 0 DIADHN C[C@@H]1CN(Cc2coc(-c3c(F)cccc3F)n2)[C@@H](C)[C@H]1C ZINC001171305610 1050879550 /nfs/dbraw/zinc/87/95/50/1050879550.db2.gz CUVOCKAEACJXJU-WOPDTQHZSA-N 0 3 306.356 4.096 20 0 DIADHN COCOc1ccc(C)c(Nc2ccnc(C(C)(C)C)c2)c1 ZINC001214359678 1050882681 /nfs/dbraw/zinc/88/26/81/1050882681.db2.gz VEBOTGFGFOGMLV-UHFFFAOYSA-N 0 3 300.402 4.414 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2nc3ccc(Br)cc3o2)[C@H]1C ZINC001171308688 1050886729 /nfs/dbraw/zinc/88/67/29/1050886729.db2.gz SPNALHIRWFYHPW-MXWKQRLJSA-N 0 3 323.234 4.067 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2cccc3c2OCCO3)cc1 ZINC000746856243 1050887321 /nfs/dbraw/zinc/88/73/21/1050887321.db2.gz VJSXHNGMKWNJPC-GOSISDBHSA-N 0 3 309.409 4.103 20 0 DIADHN COc1ccc(Cl)c2c1C[C@@H](N1CC3(C1)CCCC3)CC2 ZINC001171309168 1050887920 /nfs/dbraw/zinc/88/79/20/1050887920.db2.gz AUIMDPTZRHNUKG-ZDUSSCGKSA-N 0 3 305.849 4.082 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CN[C@@H](C)Cc1ccco1 ZINC000742673670 1050913286 /nfs/dbraw/zinc/91/32/86/1050913286.db2.gz GGKXVCBFZMQGBE-JTQLQIEISA-N 0 3 314.212 4.316 20 0 DIADHN Fc1ccc2c(c1)[C@H](N1CCC[C@@H](CC(F)(F)F)C1)CC2 ZINC001171319027 1050917052 /nfs/dbraw/zinc/91/70/52/1050917052.db2.gz FJQPIRBKVJJCRX-XHDPSFHLSA-N 0 3 301.327 4.477 20 0 DIADHN CC[C@H](C(=O)N(C)[C@@H](C)c1ccc(-c2ccccc2)cc1)N(C)C ZINC001148294810 1050932037 /nfs/dbraw/zinc/93/20/37/1050932037.db2.gz OEMZJBAFCSHDKH-OXJNMPFZSA-N 0 3 324.468 4.213 20 0 DIADHN C[NH+](C)CCc1ccc(Nc2c(Cl)ccc([O-])c2F)cc1 ZINC001214563746 1050945639 /nfs/dbraw/zinc/94/56/39/1050945639.db2.gz QLFAQBDBSQWVEV-UHFFFAOYSA-N 0 3 308.784 4.032 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)cc1)N1CC[C@@H](C2CCCC2)C1 ZINC001171332943 1050952755 /nfs/dbraw/zinc/95/27/55/1050952755.db2.gz VDYCOAREXOBQDO-DZGCQCFKSA-N 0 3 320.864 4.179 20 0 DIADHN CC1(NCc2cnn(Cc3ccccc3)c2Cl)CCCC1 ZINC000790532923 1050970417 /nfs/dbraw/zinc/97/04/17/1050970417.db2.gz KKXVKMNSFZXLNZ-UHFFFAOYSA-N 0 3 303.837 4.007 20 0 DIADHN CC[C@@H]1CN(C(=O)CC2CCC(C(C)(C)CC)CC2)C[C@H](C)N1 ZINC001148882095 1050981382 /nfs/dbraw/zinc/98/13/82/1050981382.db2.gz JOIHYQJJZHZAOO-FCCDEINASA-N 0 3 322.537 4.218 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)c1ccccc1C(F)F ZINC001149005237 1050984706 /nfs/dbraw/zinc/98/47/06/1050984706.db2.gz ZOLRLUZAFUGIDE-UHFFFAOYSA-N 0 3 318.367 4.247 20 0 DIADHN COc1cccc([C@@H](C)N[C@H](CCO)c2ccc(Cl)cc2)c1 ZINC000348209601 1051002503 /nfs/dbraw/zinc/00/25/03/1051002503.db2.gz YXWZPMDDFZVXPM-FZKQIMNGSA-N 0 3 319.832 4.123 20 0 DIADHN COc1ccc(C)cc1[C@H](C)NC[C@H](O)c1cccc(Cl)c1 ZINC000743250965 1051005002 /nfs/dbraw/zinc/00/50/02/1051005002.db2.gz JYEBMZZWVDHSRG-GUYCJALGSA-N 0 3 319.832 4.041 20 0 DIADHN O[C@@H](CN(Cc1ccc(F)cc1)C1CC1)c1cccc(Cl)c1 ZINC000743256036 1051006424 /nfs/dbraw/zinc/00/64/24/1051006424.db2.gz MWTPJCZDZGMYRZ-SFHVURJKSA-N 0 3 319.807 4.177 20 0 DIADHN O[C@H](CN1CCC[C@@H]1c1ccsc1)c1cccc(Cl)c1 ZINC000743260762 1051009273 /nfs/dbraw/zinc/00/92/73/1051009273.db2.gz IJTGXKMNXQNSID-HZPDHXFCSA-N 0 3 307.846 4.272 20 0 DIADHN C[C@H](N[C@H]1CCc2c(F)cc(F)cc2C1)c1c(F)cccc1F ZINC001171348889 1051009472 /nfs/dbraw/zinc/00/94/72/1051009472.db2.gz FOASKQBEQWZVOT-GWCFXTLKSA-N 0 3 323.333 4.451 20 0 DIADHN C[C@@H]1CCC[C@H](C)N(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)C1 ZINC000743371582 1051016138 /nfs/dbraw/zinc/01/61/38/1051016138.db2.gz WKXZIIQHCQLUDQ-JKIFEVAISA-N 0 3 320.864 4.081 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CN1C(=O)[C@H](C)[C@H]1c1ccccc1 ZINC000743365166 1051018224 /nfs/dbraw/zinc/01/82/24/1051018224.db2.gz REGWDZJEMUNVAM-NBMJBFSESA-N 0 3 314.473 4.064 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CN1C(=O)[C@@H](C)[C@H]1c1ccccc1 ZINC000743365165 1051018487 /nfs/dbraw/zinc/01/84/87/1051018487.db2.gz REGWDZJEMUNVAM-CAMMJAKZSA-N 0 3 314.473 4.064 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CN1C(=O)[C@@H](C)[C@@H]1c1ccccc1 ZINC000743365170 1051018835 /nfs/dbraw/zinc/01/88/35/1051018835.db2.gz REGWDZJEMUNVAM-PBWTXFEYSA-N 0 3 314.473 4.064 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cn2ccc(-c3cccs3)n2)C1 ZINC000743398060 1051022149 /nfs/dbraw/zinc/02/21/49/1051022149.db2.gz FXOZLLCYNVJJOJ-KGLIPLIRSA-N 0 3 321.515 4.175 20 0 DIADHN CCC[C@@H]1[C@H](C)CCCN1CN1C(=O)[C@@H](C)[C@H]1c1ccccc1 ZINC000743381110 1051022890 /nfs/dbraw/zinc/02/28/90/1051022890.db2.gz WBZNHKNYZVZOJN-JFRXWTBNSA-N 0 3 314.473 4.064 20 0 DIADHN OCC[C@@H](N[C@@H]1CCCc2c(Cl)sc(Cl)c21)C1CC1 ZINC000773018203 1051023595 /nfs/dbraw/zinc/02/35/95/1051023595.db2.gz VRMYZQKWMCEXPS-GHMZBOCLSA-N 0 3 320.285 4.183 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cn2ccc(-c3ccccc3)n2)C1 ZINC000743399271 1051024255 /nfs/dbraw/zinc/02/42/55/1051024255.db2.gz NGEZFEONTHANKA-SJORKVTESA-N 0 3 315.486 4.114 20 0 DIADHN Cc1cc([C@@H](C)NC[C@H](O)c2c(F)cccc2F)cc(C)c1F ZINC000773015133 1051024840 /nfs/dbraw/zinc/02/48/40/1051024840.db2.gz SVMNEMGPTNIZMF-WBMJQRKESA-N 0 3 323.358 4.105 20 0 DIADHN Cc1cc([C@H](C)NC[C@H](O)c2c(F)cccc2F)cc(C)c1F ZINC000773015129 1051025133 /nfs/dbraw/zinc/02/51/33/1051025133.db2.gz SVMNEMGPTNIZMF-LRDDRELGSA-N 0 3 323.358 4.105 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccc(C)o1)[C@H](O)c1c(F)cccc1F ZINC000773027852 1051027672 /nfs/dbraw/zinc/02/76/72/1051027672.db2.gz GFSZEIZIIQWNIO-YLVFBTJISA-N 0 3 309.356 4.029 20 0 DIADHN CCc1noc(C)c1[C@H](C)NC[C@H](C)Oc1ccccc1Cl ZINC000773024620 1051028986 /nfs/dbraw/zinc/02/89/86/1051028986.db2.gz JRDUKEIUEUUJAJ-RYUDHWBXSA-N 0 3 322.836 4.317 20 0 DIADHN O[C@@H](CN1CCC=C(c2ccccc2)C1)c1cccc(Cl)c1 ZINC001170148516 1051029155 /nfs/dbraw/zinc/02/91/55/1051029155.db2.gz IYWYRKXVLABSIN-IBGZPJMESA-N 0 3 313.828 4.163 20 0 DIADHN CC[C@H](N[C@@H](C)c1ccccc1F)[C@H](O)c1c(F)cccc1F ZINC000773030217 1051030569 /nfs/dbraw/zinc/03/05/69/1051030569.db2.gz MAHCGDOIQQJWRR-PBQZTSCYSA-N 0 3 323.358 4.267 20 0 DIADHN CCOc1ccccc1OCCN1CCC=C(c2ccccc2)C1 ZINC001170150313 1051035494 /nfs/dbraw/zinc/03/54/94/1051035494.db2.gz QWZWQNGMVNJIPX-UHFFFAOYSA-N 0 3 323.436 4.253 20 0 DIADHN COc1ccc(CN[C@H](C)c2cn(C(C)(C)C)nc2C)c(C)c1 ZINC000773052491 1051042315 /nfs/dbraw/zinc/04/23/15/1051042315.db2.gz NTGMKNPNPVVGFT-CQSZACIVSA-N 0 3 315.461 4.114 20 0 DIADHN CCc1ccc([C@H](O)CN[C@@H](C)c2csc(Cl)c2)cc1 ZINC000797020641 1051050678 /nfs/dbraw/zinc/05/06/78/1051050678.db2.gz WMFPHUYFSOLUDF-XHDPSFHLSA-N 0 3 309.862 4.348 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)[C@H](C)C1 ZINC001171382204 1051060483 /nfs/dbraw/zinc/06/04/83/1051060483.db2.gz WSDBWHYHYAOCQT-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN COCCCC[C@H](NCc1ccnc(Cl)c1)c1ccccc1 ZINC000791604583 1051068750 /nfs/dbraw/zinc/06/87/50/1051068750.db2.gz KWHPOSPDNRFJMX-KRWDZBQOSA-N 0 3 318.848 4.383 20 0 DIADHN O[C@@H](CN1CC[C@@H](c2cccc(F)c2)C1)c1cccc(Cl)c1 ZINC000743847065 1051072655 /nfs/dbraw/zinc/07/26/55/1051072655.db2.gz VSNHJAARSBCTIE-QAPCUYQASA-N 0 3 319.807 4.002 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2cccc(Cl)n2)C12CCCCC2 ZINC000743850701 1051073225 /nfs/dbraw/zinc/07/32/25/1051073225.db2.gz SCCZWXFLGSTFAB-HOTGVXAUSA-N 0 3 322.880 4.295 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nnc(-c2cccc(F)c2)o1 ZINC000743850990 1051074049 /nfs/dbraw/zinc/07/40/49/1051074049.db2.gz FRNLJMFRAXCLPR-XJKSGUPXSA-N 0 3 317.408 4.276 20 0 DIADHN CC(C)[C@H]1CCCC[C@H]1NCc1ncc(Br)s1 ZINC000713719186 1051085239 /nfs/dbraw/zinc/08/52/39/1051085239.db2.gz QJQBSYHJWFRYKQ-GHMZBOCLSA-N 0 3 317.296 4.210 20 0 DIADHN Fc1cccc2c1CC[C@H](NCc1cc(-c3ccccc3)on1)C2 ZINC001171404828 1051092587 /nfs/dbraw/zinc/09/25/87/1051092587.db2.gz MQJRRJDJLHHMFE-INIZCTEOSA-N 0 3 322.383 4.128 20 0 DIADHN CN(C)c1cccc(CN2CC(C)(C)C[C@@H]2c2ccccc2)n1 ZINC001171409559 1051097914 /nfs/dbraw/zinc/09/79/14/1051097914.db2.gz XYXGJGVFWXESPD-GOSISDBHSA-N 0 3 309.457 4.121 20 0 DIADHN C[C@H](Cc1ccco1)N[C@H](C)c1ccccc1Br ZINC000036993862 1051105986 /nfs/dbraw/zinc/10/59/86/1051105986.db2.gz VVJGPDUMCVPGBL-VXGBXAGGSA-N 0 3 308.219 4.324 20 0 DIADHN CCc1ccc([C@@H](O)CN[C@H](CC)c2ccccc2F)cc1 ZINC000797028139 1051115532 /nfs/dbraw/zinc/11/55/32/1051115532.db2.gz XJCGJAHFKWLWHJ-MOPGFXCFSA-N 0 3 301.405 4.162 20 0 DIADHN CCCCn1cc(CNc2ccnc3cc(OC)ccc32)c(C)n1 ZINC000773231538 1051118065 /nfs/dbraw/zinc/11/80/65/1051118065.db2.gz NOPBZJARUYBHET-UHFFFAOYSA-N 0 3 324.428 4.161 20 0 DIADHN CC(C)n1ccn(CN2CC3C[C@@H]4CC2C[C@H](C3)C4)c1=S ZINC000744160345 1051123612 /nfs/dbraw/zinc/12/36/12/1051123612.db2.gz ANBDNQROIARWDD-PJPHBNEVSA-N 0 3 305.491 4.068 20 0 DIADHN Fc1ccc2c(c1)CCN([C@@H]1CCc3cc(F)c(F)cc3C1)C2 ZINC001171494946 1051145519 /nfs/dbraw/zinc/14/55/19/1051145519.db2.gz DZWBKWZGZVWVSQ-QGZVFWFLSA-N 0 3 317.354 4.020 20 0 DIADHN Cc1cc2cc(NC3CCN(c4cccnc4)CC3)ccc2cn1 ZINC001171472319 1051147489 /nfs/dbraw/zinc/14/74/89/1051147489.db2.gz CWZGGGQKVWBIOQ-UHFFFAOYSA-N 0 3 318.424 4.019 20 0 DIADHN Fc1cc2c(cc1F)C[C@@H](N1CCC(C(F)(F)F)CC1)CC2 ZINC001171475393 1051150069 /nfs/dbraw/zinc/15/00/69/1051150069.db2.gz BZRQBNXUMDEHAG-ZDUSSCGKSA-N 0 3 319.317 4.096 20 0 DIADHN c1cc([C@@H]2CCCCN2CCc2ccc3ccccc3c2)n[nH]1 ZINC001170195536 1051153537 /nfs/dbraw/zinc/15/35/37/1051153537.db2.gz UHQJWFZRZKVYBB-FQEVSTJZSA-N 0 3 305.425 4.333 20 0 DIADHN Fc1cc2c(c(F)c1F)C[C@H](N1CCc3ccccc3C1)CC2 ZINC001171530440 1051161384 /nfs/dbraw/zinc/16/13/84/1051161384.db2.gz RGDQVACWXZTZHX-OAHLLOKOSA-N 0 3 317.354 4.020 20 0 DIADHN CC[C@@H]1C[C@H](N2CCc3ccc(C(F)(F)F)cc3C2)CCO1 ZINC001170227898 1051162559 /nfs/dbraw/zinc/16/25/59/1051162559.db2.gz QJSJZLXAUMBXLO-HZPDHXFCSA-N 0 3 313.363 4.021 20 0 DIADHN C[C@H](Cc1ccccc1Cl)NCc1cc(F)cnc1Cl ZINC001170261846 1051165356 /nfs/dbraw/zinc/16/53/56/1051165356.db2.gz SJHWVUXOOPTDJH-SNVBAGLBSA-N 0 3 313.203 4.248 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N1CC[C@@](CF)(C(F)(F)F)C1 ZINC001170265017 1051167395 /nfs/dbraw/zinc/16/73/95/1051167395.db2.gz CUXBMWYKGBRVIH-FZMZJTMJSA-N 0 3 323.761 4.495 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)N[C@@H]1CCc2ccccc2C1=O ZINC001170262762 1051167607 /nfs/dbraw/zinc/16/76/07/1051167607.db2.gz HARDZZQTKUSAND-SCLBCKFNSA-N 0 3 313.828 4.058 20 0 DIADHN C[C@H](Cc1ccccc1Cl)N1CCc2c(O)cccc2C1 ZINC001170265244 1051168134 /nfs/dbraw/zinc/16/81/34/1051168134.db2.gz GMUMYXVOADTEFI-CYBMUJFWSA-N 0 3 301.817 4.035 20 0 DIADHN Cc1cccc(CN2CCC[C@]3(CCc4c3cccc4F)C2)n1 ZINC001204068566 1051172736 /nfs/dbraw/zinc/17/27/36/1051172736.db2.gz BXEHGSWZTVBTEQ-FQEVSTJZSA-N 0 3 310.416 4.009 20 0 DIADHN CC(=O)c1ccc2c(c1)CN([C@@H]1CCc3c(C)cccc3C1)CC2 ZINC001171575330 1051172927 /nfs/dbraw/zinc/17/29/27/1051172927.db2.gz USCRVUCWCLKOKT-OAQYLSRUSA-N 0 3 319.448 4.113 20 0 DIADHN OCC[C@H]1CCCCCN1CCc1c(Cl)cccc1Cl ZINC001170253715 1051173794 /nfs/dbraw/zinc/17/37/94/1051173794.db2.gz NISZEADVTKSQFE-CYBMUJFWSA-N 0 3 316.272 4.163 20 0 DIADHN Cc1nsc(C)c1CN1CCC(c2ccc(F)c(F)c2)CC1 ZINC001170252329 1051174049 /nfs/dbraw/zinc/17/40/49/1051174049.db2.gz DHQUYXWAOLQVRJ-UHFFFAOYSA-N 0 3 322.424 4.418 20 0 DIADHN Cc1cccc(CN2CCC(n3ccc4ccccc43)CC2)n1 ZINC001204070318 1051181833 /nfs/dbraw/zinc/18/18/33/1051181833.db2.gz LKJAAIYPXZMMBV-UHFFFAOYSA-N 0 3 305.425 4.182 20 0 DIADHN CC[C@@H](C)N1CCN([C@H](C)c2ccc(Cl)cc2Cl)CC1 ZINC001170316334 1051185754 /nfs/dbraw/zinc/18/57/54/1051185754.db2.gz XPJKDSDPILBMLK-CHWSQXEVSA-N 0 3 315.288 4.471 20 0 DIADHN COc1cc(C)cc(CN[C@@H]2CCSc3c(F)cccc32)c1 ZINC000181614152 1051189212 /nfs/dbraw/zinc/18/92/12/1051189212.db2.gz CZHFRGBPJNMTBU-QGZVFWFLSA-N 0 3 317.429 4.469 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCN1CCc2ccc(F)cc2[C@H](C)C1 ZINC001171670192 1051190139 /nfs/dbraw/zinc/19/01/39/1051190139.db2.gz BSTBKNDHICJWNI-YRNRMSPPSA-N 0 3 319.464 4.383 20 0 DIADHN CCC[C@H](NCc1cn(-c2ccc(Cl)cc2)nn1)C(C)(C)C ZINC001170365859 1051192650 /nfs/dbraw/zinc/19/26/50/1051192650.db2.gz RSJORVGVZLRYHB-INIZCTEOSA-N 0 3 320.868 4.225 20 0 DIADHN c1cc(-c2nc(CN3CCCc4ccccc4CC3)cs2)co1 ZINC001170342547 1051193740 /nfs/dbraw/zinc/19/37/40/1051193740.db2.gz OYQPFIMATIYSHC-UHFFFAOYSA-N 0 3 324.449 4.394 20 0 DIADHN Cc1cccc(CCCN2C[C@H](C)OC3(CCCCC3)C2)c1 ZINC001171636056 1051194729 /nfs/dbraw/zinc/19/47/29/1051194729.db2.gz JRHZMKMGQROLIG-SFHVURJKSA-N 0 3 301.474 4.351 20 0 DIADHN C[C@@]12CCN(Cc3ccc(CF)cc3)C[C@@H]1C2(Cl)Cl ZINC000792912512 1051227610 /nfs/dbraw/zinc/22/76/10/1051227610.db2.gz JWQBWUBCLANNRV-UONOGXRCSA-N 0 3 302.220 4.172 20 0 DIADHN CO[C@@H]1[C@H](C)[C@@H](NCc2cc(Cl)cc(Cl)c2F)C1(C)C ZINC001170400622 1051200808 /nfs/dbraw/zinc/20/08/08/1051200808.db2.gz VXTOUKUGTDMBGD-GFWSLJDESA-N 0 3 320.235 4.282 20 0 DIADHN CCOCCCN(C)[C@H]1CCCc2c(OC)ccc(Cl)c21 ZINC001171692569 1051205760 /nfs/dbraw/zinc/20/57/60/1051205760.db2.gz FQMFBQTTWJVGSN-HNNXBMFYSA-N 0 3 311.853 4.084 20 0 DIADHN CC(C)n1nccc1CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000792795875 1051212831 /nfs/dbraw/zinc/21/28/31/1051212831.db2.gz JXXLTONZOYZKAB-UHFFFAOYSA-N 0 3 322.456 4.325 20 0 DIADHN Fc1cccc2c1C[C@H](NCc1cc(F)c(F)cc1Cl)C2 ZINC001171779251 1051213163 /nfs/dbraw/zinc/21/31/63/1051213163.db2.gz ZVQXPAAIARYKTO-LLVKDONJSA-N 0 3 311.734 4.014 20 0 DIADHN Cc1ccc(CCCN2CCC[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000792822842 1051215192 /nfs/dbraw/zinc/21/51/92/1051215192.db2.gz NTCJQGPTJRYKIU-LJQANCHMSA-N 0 3 316.395 4.438 20 0 DIADHN C[C@@H](N[C@H]1Cc2cccc(F)c2C1)c1cccc(F)c1Cl ZINC001171789711 1051219483 /nfs/dbraw/zinc/21/94/83/1051219483.db2.gz BKSAJNUCOHARRR-PWSUYJOCSA-N 0 3 307.771 4.436 20 0 DIADHN Fc1cccc2c1C[C@H](N[C@H](c1ncccc1Cl)C1CC1)C2 ZINC001171787710 1051220437 /nfs/dbraw/zinc/22/04/37/1051220437.db2.gz MGBMLHLZESMBSV-DYVFJYSZSA-N 0 3 316.807 4.082 20 0 DIADHN C[C@]12CCN(Cc3cccc4cccnc43)C[C@H]1C2(Cl)Cl ZINC000792908068 1051224798 /nfs/dbraw/zinc/22/47/98/1051224798.db2.gz QZSYNEVWAIHTPB-ZBFHGGJFSA-N 0 3 321.251 4.251 20 0 DIADHN C[C@]12CCN(Cc3ccc(CF)cc3)C[C@H]1C2(Cl)Cl ZINC000792912509 1051227171 /nfs/dbraw/zinc/22/71/71/1051227171.db2.gz JWQBWUBCLANNRV-KGLIPLIRSA-N 0 3 302.220 4.172 20 0 DIADHN CSc1ccccc1CN1CC[C@@]2(C)[C@@H](C1)C2(Cl)Cl ZINC000792913058 1051228862 /nfs/dbraw/zinc/22/88/62/1051228862.db2.gz KVXLUTYZXRVGJN-KGLIPLIRSA-N 0 3 316.297 4.424 20 0 DIADHN CSc1ccccc1CN1CC[C@]2(C)[C@H](C1)C2(Cl)Cl ZINC000792913060 1051228927 /nfs/dbraw/zinc/22/89/27/1051228927.db2.gz KVXLUTYZXRVGJN-UONOGXRCSA-N 0 3 316.297 4.424 20 0 DIADHN Cc1ccc(OCCN2CCC(CC(F)(F)F)CC2)cc1 ZINC000792933452 1051231497 /nfs/dbraw/zinc/23/14/97/1051231497.db2.gz KVWIPQPXMLIVNT-UHFFFAOYSA-N 0 3 301.352 4.038 20 0 DIADHN FC(F)(F)CC1CCN(Cc2cncc3ccccc32)CC1 ZINC000792934454 1051232052 /nfs/dbraw/zinc/23/20/52/1051232052.db2.gz VUOWYBCULHSVKB-UHFFFAOYSA-N 0 3 308.347 4.399 20 0 DIADHN C[C@H](c1ccccc1)[C@H](C)NCc1occc1Br ZINC000747100736 1051241172 /nfs/dbraw/zinc/24/11/72/1051241172.db2.gz QCRPCHHOIXAROO-RYUDHWBXSA-N 0 3 308.219 4.324 20 0 DIADHN C[C@H](Cc1ccc(C(F)(F)F)cc1)N[C@H](C)c1nccs1 ZINC001171959932 1051245447 /nfs/dbraw/zinc/24/54/47/1051245447.db2.gz JSOZSZSDGJSGGH-GHMZBOCLSA-N 0 3 314.376 4.444 20 0 DIADHN C[C@@H](Cc1ccc(C(F)(F)F)cc1)N1CCc2occc2C1 ZINC001171969066 1051259246 /nfs/dbraw/zinc/25/92/46/1051259246.db2.gz YJVKFICZIKKBER-LBPRGKRZSA-N 0 3 309.331 4.288 20 0 DIADHN CCC[C@@H](NCc1cc(F)c(F)cc1F)[C@@H](O)c1ccccc1 ZINC000793241748 1051270489 /nfs/dbraw/zinc/27/04/89/1051270489.db2.gz XIZMAZJHXWVHRO-MSOLQXFVSA-N 0 3 323.358 4.096 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1Nc1cn2ccccc2n1 ZINC001215675194 1051292824 /nfs/dbraw/zinc/29/28/24/1051292824.db2.gz BJZYWRRSHGRXLT-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN CCn1ncc(Nc2cccc(CN3CCCCC3)c2F)c1C ZINC001215676092 1051293110 /nfs/dbraw/zinc/29/31/10/1051293110.db2.gz PADVAUBYJWBCBU-UHFFFAOYSA-N 0 3 316.424 4.080 20 0 DIADHN Fc1cccc(Nc2cccc(CN3CCCCC3)c2F)n1 ZINC001215675510 1051293690 /nfs/dbraw/zinc/29/36/90/1051293690.db2.gz AHYJXEJRQUSNHB-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Fc1cccnc1Nc1cccc(CN2CCCCC2)c1F ZINC001215675865 1051293750 /nfs/dbraw/zinc/29/37/50/1051293750.db2.gz JJOFFMVGBKAOLO-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Fc1ccnc(Nc2cccc(CN3CCCCC3)c2F)c1 ZINC001215676525 1051293920 /nfs/dbraw/zinc/29/39/20/1051293920.db2.gz YXRQQSWFQQJJNK-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Cc1nc(Nc2cccc(CN3CCCCC3)c2F)cs1 ZINC001215676419 1051294563 /nfs/dbraw/zinc/29/45/63/1051294563.db2.gz BMRHWJZFTZHHEY-UHFFFAOYSA-N 0 3 305.422 4.320 20 0 DIADHN Oc1ccc(Nc2cccc(CN3CCCCC3)c2F)cc1 ZINC001215677720 1051296099 /nfs/dbraw/zinc/29/60/99/1051296099.db2.gz RKKHIFGUSSTJDM-UHFFFAOYSA-N 0 3 300.377 4.261 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(F)cc2)C2CCC2)n(C)n1 ZINC000793525180 1051306747 /nfs/dbraw/zinc/30/67/47/1051306747.db2.gz JGJNLFCRZHLPGE-UGSOOPFHSA-N 0 3 301.409 4.060 20 0 DIADHN CC(C)Oc1ccc([C@H](C)NC[C@H](O)c2ccco2)cc1Cl ZINC000793524100 1051307483 /nfs/dbraw/zinc/30/74/83/1051307483.db2.gz JWDGINRIBSWHJC-WFASDCNBSA-N 0 3 323.820 4.104 20 0 DIADHN c1c(CN[C@H]2CSc3ccccc3C2)onc1-c1ccccc1 ZINC001172185538 1051308397 /nfs/dbraw/zinc/30/83/97/1051308397.db2.gz STDJAVMHUYWZLP-MRXNPFEDSA-N 0 3 322.433 4.148 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccc(F)cc2)C2CCCC2)n(C)n1 ZINC000793526194 1051309783 /nfs/dbraw/zinc/30/97/83/1051309783.db2.gz KQSNGJAPIASLTP-LIRRHRJNSA-N 0 3 315.436 4.450 20 0 DIADHN CC(C)CN(Cn1c2cc(Cl)ccc2oc1=O)C1CCCC1 ZINC000746055718 1051314397 /nfs/dbraw/zinc/31/43/97/1051314397.db2.gz CEISGRNMHYPJQO-UHFFFAOYSA-N 0 3 322.836 4.106 20 0 DIADHN CC(C)CN(Cn1c2ccc(Cl)cc2oc1=O)C1CCCC1 ZINC000746056313 1051314886 /nfs/dbraw/zinc/31/48/86/1051314886.db2.gz ZHPRYGVVUVTGIX-UHFFFAOYSA-N 0 3 322.836 4.106 20 0 DIADHN Fc1cc(Nc2cnc3ccccn23)ccc1CN1CCCCC1 ZINC001215709663 1051316400 /nfs/dbraw/zinc/31/64/00/1051316400.db2.gz ACDGKYRNROYMMM-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1ccncc1Nc1ccc(CN2CCCCC2)c(F)c1 ZINC001215711237 1051317124 /nfs/dbraw/zinc/31/71/24/1051317124.db2.gz IZQPDCPXQSDRDN-UHFFFAOYSA-N 0 3 303.356 4.089 20 0 DIADHN Fc1cc(Nc2cc3ccccn3n2)ccc1CN1CCCCC1 ZINC001215711199 1051317538 /nfs/dbraw/zinc/31/75/38/1051317538.db2.gz HJMSYTBIQIWVLA-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1ccc(F)c(Nc2ccc(CN3CCCCC3)c(F)c2)n1 ZINC001215711212 1051317593 /nfs/dbraw/zinc/31/75/93/1051317593.db2.gz HWJUWYQJLOFILP-UHFFFAOYSA-N 0 3 321.346 4.228 20 0 DIADHN Oc1ccc(Nc2ccc(CN3CCCCC3)c(F)c2)c(F)c1 ZINC001215712119 1051318571 /nfs/dbraw/zinc/31/85/71/1051318571.db2.gz PHJUWGGFAGLLSD-UHFFFAOYSA-N 0 3 318.367 4.400 20 0 DIADHN Fc1cc(Nc2cccn3nccc23)ccc1CN1CCCCC1 ZINC001215712024 1051319398 /nfs/dbraw/zinc/31/93/98/1051319398.db2.gz MVBAPVACFOYRCI-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Fc1cc(Nc2cn3ccccc3n2)ccc1CN1CCCCC1 ZINC001215711290 1051319790 /nfs/dbraw/zinc/31/97/90/1051319790.db2.gz LIEJPOUDLCJFSR-UHFFFAOYSA-N 0 3 324.403 4.203 20 0 DIADHN Cc1cc([C@@H](C)N[C@@H]2CC(C)(C)CCc3ccccc32)n(C)n1 ZINC000793566128 1051320802 /nfs/dbraw/zinc/32/08/02/1051320802.db2.gz MJJLRAPISCWIJH-CRAIPNDOSA-N 0 3 311.473 4.483 20 0 DIADHN Clc1cccc([C@@H]2CSCCN2C2C[C@H]3CC[C@@H](C2)O3)c1 ZINC001172231679 1051322467 /nfs/dbraw/zinc/32/24/67/1051322467.db2.gz UUTRMXCMIVXHFW-HMQMGEFJSA-N 0 3 323.889 4.140 20 0 DIADHN CC(C)N(Cc1ccco1)C[C@H](O)c1ccc2ccccc2c1 ZINC000746109535 1051322697 /nfs/dbraw/zinc/32/26/97/1051322697.db2.gz SJYLCBZHDULZBN-FQEVSTJZSA-N 0 3 309.409 4.377 20 0 DIADHN CC[NH+](CC)Cc1ccc(F)c(Nc2ccc([O-])c(F)c2F)c1 ZINC001215725957 1051324204 /nfs/dbraw/zinc/32/42/04/1051324204.db2.gz TXPZUQASBSXVIX-UHFFFAOYSA-N 0 3 324.346 4.395 20 0 DIADHN Clc1ccc2c(c1)[C@H](N[C@H]1CSc3ccccc3C1)CO2 ZINC001172199741 1051324995 /nfs/dbraw/zinc/32/49/95/1051324995.db2.gz XMTNKGJTNFZCDC-UKRRQHHQSA-N 0 3 317.841 4.080 20 0 DIADHN COc1ccc([C@H](C)N[C@@H]2CSc3ccccc3C2)c(F)c1 ZINC001172198822 1051326487 /nfs/dbraw/zinc/32/64/87/1051326487.db2.gz WVPDQRJQBYYDAH-JSGCOSHPSA-N 0 3 317.429 4.202 20 0 DIADHN CCCN(Cc1ccccc1F)C[C@H](O)c1ccc(F)c(F)c1 ZINC000746142869 1051327100 /nfs/dbraw/zinc/32/71/00/1051327100.db2.gz CYJLVQLDZKINJK-SFHVURJKSA-N 0 3 323.358 4.050 20 0 DIADHN CC(C)(N[C@H]1COC(C)(C)C1)c1ccc(Cl)cc1Cl ZINC001172276024 1051328212 /nfs/dbraw/zinc/32/82/12/1051328212.db2.gz BTVZYOSQOZVPFK-LLVKDONJSA-N 0 3 302.245 4.386 20 0 DIADHN CC(C)c1ccc([C@@H](C)N(C)Cc2cccc(C(N)=O)c2)cc1 ZINC000746140659 1051328463 /nfs/dbraw/zinc/32/84/63/1051328463.db2.gz OYNZNAOAWSMUDF-OAHLLOKOSA-N 0 3 310.441 4.102 20 0 DIADHN COc1ccc(Cl)cc1CNCCCOc1ccc(F)cc1 ZINC000746197147 1051333795 /nfs/dbraw/zinc/33/37/95/1051333795.db2.gz VKLBNDCXHIADFR-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN CCCCN(Cc1ccccc1F)C[C@H](O)c1ccc(F)cc1 ZINC000746164684 1051335003 /nfs/dbraw/zinc/33/50/03/1051335003.db2.gz ACZYHYUDHMXZFJ-IBGZPJMESA-N 0 3 319.395 4.301 20 0 DIADHN CCN(C(=O)CN1[C@H](C)CC[C@@H]1C)c1ccc2ccccc2c1 ZINC000746187673 1051336508 /nfs/dbraw/zinc/33/65/08/1051336508.db2.gz RPTKGJMNEOXNIG-IYBDPMFKSA-N 0 3 310.441 4.066 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)NCc1cc(-c2ccccc2)no1 ZINC001172318380 1051339553 /nfs/dbraw/zinc/33/95/53/1051339553.db2.gz ITIKZKTUEWMOCH-CABCVRRESA-N 0 3 302.418 4.025 20 0 DIADHN c1coc([C@H](N[C@@H]2CCOC3(CCCC3)C2)c2ccccc2)c1 ZINC000183799421 1051342183 /nfs/dbraw/zinc/34/21/83/1051342183.db2.gz CDIQQMOWVNFWIX-IEBWSBKVSA-N 0 3 311.425 4.450 20 0 DIADHN CC[C@H](C)OCC[C@H](C)NCc1cc(F)c(F)cc1Cl ZINC001172324213 1051343073 /nfs/dbraw/zinc/34/30/73/1051343073.db2.gz FWHPUUMIEFWNPJ-QWRGUYRKSA-N 0 3 305.796 4.302 20 0 DIADHN CC1(C)C[C@H](N2CC[C@@H](c3ccc(Cl)c(Cl)c3)C2)CO1 ZINC001172288043 1051346096 /nfs/dbraw/zinc/34/60/96/1051346096.db2.gz FFZAYNOCAAKUEL-OLZOCXBDSA-N 0 3 314.256 4.350 20 0 DIADHN C[C@@H](NCc1nnc(-c2ccco2)o1)[C@@H](C)C1CCCCC1 ZINC000185505015 1051347161 /nfs/dbraw/zinc/34/71/61/1051347161.db2.gz BBBZJNSOOPONJR-CHWSQXEVSA-N 0 3 303.406 4.024 20 0 DIADHN CCCOc1ccc(CN[C@H](C)c2cnc(C)s2)c(C)c1 ZINC000189273006 1051356475 /nfs/dbraw/zinc/35/64/75/1051356475.db2.gz SPUWMJFVYGYSJC-CYBMUJFWSA-N 0 3 304.459 4.400 20 0 DIADHN CC[C@H](C)OCC[C@H](C)n1c(-c2ccccc2)cnc1[C@H](C)N ZINC001172339130 1051361402 /nfs/dbraw/zinc/36/14/02/1051361402.db2.gz FTTGURXZQSKEGK-JYJNAYRXSA-N 0 3 315.461 4.336 20 0 DIADHN CC[C@H](C)OCC[C@@H](C)N1CCN(c2ccc(Cl)cc2)CC1 ZINC001172342251 1051362911 /nfs/dbraw/zinc/36/29/11/1051362911.db2.gz XOBNFSUZCLRUHM-CVEARBPZSA-N 0 3 324.896 4.056 20 0 DIADHN C[C@@H]1CC[C@@H](NCC(=O)c2ccc(Br)cc2)C[C@H]1C ZINC001172361736 1051374220 /nfs/dbraw/zinc/37/42/20/1051374220.db2.gz LWFSUQIRZSTYFZ-LALPHHSUSA-N 0 3 324.262 4.046 20 0 DIADHN C[C@@H]1CC[C@H](NCC(=O)c2cccc(Br)c2)C[C@@H]1C ZINC001172361914 1051374940 /nfs/dbraw/zinc/37/49/40/1051374940.db2.gz OXYOMTBLWBENHP-XUJVJEKNSA-N 0 3 324.262 4.046 20 0 DIADHN C[C@@H]1CC[C@H](NCC(=O)c2ccc(Br)cc2)C[C@H]1C ZINC001172361735 1051375078 /nfs/dbraw/zinc/37/50/78/1051375078.db2.gz LWFSUQIRZSTYFZ-JMSVASOKSA-N 0 3 324.262 4.046 20 0 DIADHN C[C@@H]1CC[C@@H](NCC(=O)c2cccc(Br)c2)C[C@H]1C ZINC001172361912 1051375380 /nfs/dbraw/zinc/37/53/80/1051375380.db2.gz OXYOMTBLWBENHP-LALPHHSUSA-N 0 3 324.262 4.046 20 0 DIADHN C[C@@H]1CC[C@H](NCc2coc(-c3ccc(F)cc3)n2)C[C@@H]1C ZINC001172367738 1051378797 /nfs/dbraw/zinc/37/87/97/1051378797.db2.gz MBWKCSXYICNNEH-WWGRRREGSA-N 0 3 302.393 4.395 20 0 DIADHN CC[C@@H](CC(C)C)NCc1coc(-c2cccc(OC)c2)n1 ZINC001172403054 1051381145 /nfs/dbraw/zinc/38/11/45/1051381145.db2.gz XHOVGJIYPANZDL-HNNXBMFYSA-N 0 3 302.418 4.265 20 0 DIADHN Cc1ccc(CN[C@H]2CCC(F)(F)C2)c(Br)c1 ZINC000384053990 1051383423 /nfs/dbraw/zinc/38/34/23/1051383423.db2.gz SDGCYBYHRBPALI-NSHDSACASA-N 0 3 304.178 4.035 20 0 DIADHN CC[C@@H](CC(C)C)NCC(=O)c1cccc(Br)c1 ZINC001172384078 1051388563 /nfs/dbraw/zinc/38/85/63/1051388563.db2.gz RSGXDTLSWLSYII-AWEZNQCLSA-N 0 3 312.251 4.046 20 0 DIADHN CCCCCC[C@H](CCC)N1CCN(CC(F)(F)F)CC1 ZINC001172385587 1051389784 /nfs/dbraw/zinc/38/97/84/1051389784.db2.gz HPDRWHQRAZQOAP-HNNXBMFYSA-N 0 3 308.432 4.305 20 0 DIADHN C[C@H](N[C@H]1CCC(F)(F)C1)c1ccc(F)cc1Br ZINC000386612690 1051392024 /nfs/dbraw/zinc/39/20/24/1051392024.db2.gz MUAJDIQCFGNQKB-WPRPVWTQSA-N 0 3 322.168 4.427 20 0 DIADHN CCCCCC[C@H](CCC)NCc1ncccc1C(=O)OC ZINC001172392702 1051394922 /nfs/dbraw/zinc/39/49/22/1051394922.db2.gz ZQSMJRUNQAESQD-HNNXBMFYSA-N 0 3 306.450 4.097 20 0 DIADHN CSc1ccc(F)c(Nc2ccc3c(c2)CN(C)CC3)c1F ZINC001215841476 1051405875 /nfs/dbraw/zinc/40/58/75/1051405875.db2.gz OQARPWDYWIBKNW-UHFFFAOYSA-N 0 3 320.408 4.418 20 0 DIADHN CC(C)OCC[C@H](C)N(Cc1ccccc1)Cc1ccccn1 ZINC001172442186 1051408081 /nfs/dbraw/zinc/40/80/81/1051408081.db2.gz GJWQIICRFWOLMZ-SFHVURJKSA-N 0 3 312.457 4.287 20 0 DIADHN CCCC[C@@H](C(=O)N[C@H](C)CN(C)Cc1ccccc1)C(C)C ZINC001129508187 1051409184 /nfs/dbraw/zinc/40/91/84/1051409184.db2.gz QLWWTJYATCYWJS-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN CC(C)OCC[C@@H](C)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001172456816 1051411226 /nfs/dbraw/zinc/41/12/26/1051411226.db2.gz PNVMXDYYZZAAQK-WBVHZDCISA-N 0 3 315.461 4.227 20 0 DIADHN CC(C)COCC[C@@H](C)NCc1c(F)cc(Cl)cc1F ZINC001172461865 1051413676 /nfs/dbraw/zinc/41/36/76/1051413676.db2.gz JVAZSIQIELGUOD-LLVKDONJSA-N 0 3 305.796 4.159 20 0 DIADHN Cc1nc2ccc(Nc3ccc(-c4cnn(C)c4)cc3)cc2[nH]1 ZINC001213073255 1051416401 /nfs/dbraw/zinc/41/64/01/1051416401.db2.gz LIQRBQANXIBAQN-UHFFFAOYSA-N 0 3 303.369 4.015 20 0 DIADHN CSc1ccc(CCN[C@@H](C)c2cccnc2Cl)cc1 ZINC000797998874 1051418768 /nfs/dbraw/zinc/41/87/68/1051418768.db2.gz LXJUCZWXIXUQFK-LBPRGKRZSA-N 0 3 306.862 4.350 20 0 DIADHN C[C@H](NC[C@H]1SCCc2ccccc21)c1cccnc1Cl ZINC000766485907 1051436251 /nfs/dbraw/zinc/43/62/51/1051436251.db2.gz BSBIGGHCGWCGCR-BLLLJJGKSA-N 0 3 318.873 4.416 20 0 DIADHN C[C@H](CCc1c(F)cc(Cl)cc1F)N1CCC(F)CC1 ZINC001172572427 1051446245 /nfs/dbraw/zinc/44/62/45/1051446245.db2.gz WULURIKVDNXTMI-SNVBAGLBSA-N 0 3 305.771 4.373 20 0 DIADHN C[C@@H](CCc1c(F)cc(Cl)cc1F)N[C@@H](C)c1ccno1 ZINC001172569482 1051446428 /nfs/dbraw/zinc/44/64/28/1051446428.db2.gz FSNZBMYHXRASPG-UWVGGRQHSA-N 0 3 314.763 4.278 20 0 DIADHN Cc1ccc([C@@H](C)Nc2ccc([C@H]3CCCCN3C)cn2)nc1 ZINC001168852293 1051451017 /nfs/dbraw/zinc/45/10/17/1051451017.db2.gz SKGKTLBXRRDVML-CRAIPNDOSA-N 0 3 310.445 4.115 20 0 DIADHN CC[C@H]1CC[C@H](C2CCC(NCc3nccn3C)CC2)CC1 ZINC001172588510 1051464958 /nfs/dbraw/zinc/46/49/58/1051464958.db2.gz RGHVSLSSAIDGFD-MJYONLJCSA-N 0 3 303.494 4.285 20 0 DIADHN CC[C@@H](CN[C@@H](C)c1cccnc1Cl)Oc1cccc(F)c1 ZINC000766528326 1051466483 /nfs/dbraw/zinc/46/64/83/1051466483.db2.gz LFDWSGXRPHWKPC-JSGCOSHPSA-N 0 3 322.811 4.382 20 0 DIADHN COc1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1SC ZINC001215936511 1051468929 /nfs/dbraw/zinc/46/89/29/1051468929.db2.gz BOKHLTACLNJIAY-UHFFFAOYSA-N 0 3 314.454 4.149 20 0 DIADHN CN1CCc2ccc(Nc3cc(F)cc(F)c3Cl)cc2C1 ZINC001215953104 1051478765 /nfs/dbraw/zinc/47/87/65/1051478765.db2.gz HXCLLNVLWWOBRC-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)(F)F)c1ccc(C)cc1)C1CCC1 ZINC001168968026 1051481620 /nfs/dbraw/zinc/48/16/20/1051481620.db2.gz DQTVHNWJUDSZNF-HOTGVXAUSA-N 0 3 315.379 4.393 20 0 DIADHN O=C(CNC1CCC2(CCCC2)CC1)c1ccc(F)cc1F ZINC001172725281 1051485514 /nfs/dbraw/zinc/48/55/14/1051485514.db2.gz KQKQOGHGSSLDAW-UHFFFAOYSA-N 0 3 307.384 4.240 20 0 DIADHN CC[C@H](N[C@H](C)CCC(=O)OC(C)C)c1cc(F)ccc1F ZINC001172744917 1051489845 /nfs/dbraw/zinc/48/98/45/1051489845.db2.gz WPADRUVVOMYCOF-WBMJQRKESA-N 0 3 313.388 4.126 20 0 DIADHN CCc1nc([C@@H](C)NCC2([C@@H]3CCCCO3)CCC2)cs1 ZINC001168991144 1051490708 /nfs/dbraw/zinc/49/07/08/1051490708.db2.gz ARPFUJYOPCHCJT-HIFRSBDPSA-N 0 3 308.491 4.096 20 0 DIADHN CC(C)OC(=O)CC[C@H](C)N[C@H](C)c1cccc(Cl)c1F ZINC001172748383 1051492097 /nfs/dbraw/zinc/49/20/97/1051492097.db2.gz PTUWFCKLNGXZDY-NWDGAFQWSA-N 0 3 315.816 4.250 20 0 DIADHN NCc1cc(-c2ccccc2)nn1[C@@H]1Cc2ccc(Cl)cc2C1 ZINC001172709929 1051493359 /nfs/dbraw/zinc/49/33/59/1051493359.db2.gz OAYJXQVQMQZJSF-QGZVFWFLSA-N 0 3 323.827 4.002 20 0 DIADHN Fc1ccccc1C1(N[C@@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172710483 1051493374 /nfs/dbraw/zinc/49/33/74/1051493374.db2.gz DFEFOKXCGVQODR-OAHLLOKOSA-N 0 3 301.792 4.225 20 0 DIADHN Cc1cccc2c1[C@H](N[C@@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169051561 1051496925 /nfs/dbraw/zinc/49/69/25/1051496925.db2.gz XMQDIRANMAVBBH-XLIONFOSSA-N 0 3 322.452 4.235 20 0 DIADHN FC(F)(F)C1CCN([C@@H]2Cc3ccc(Cl)cc3C2)CC1 ZINC001172713113 1051497169 /nfs/dbraw/zinc/49/71/69/1051497169.db2.gz CYKCVVNPMLQDMJ-CQSZACIVSA-N 0 3 303.755 4.082 20 0 DIADHN Cc1cccc2c1[C@H](N[C@H](Cc1ccccc1)CC(C)C)C(=O)N2 ZINC001169051558 1051497462 /nfs/dbraw/zinc/49/74/62/1051497462.db2.gz XMQDIRANMAVBBH-PXNSSMCTSA-N 0 3 322.452 4.235 20 0 DIADHN CC(C)C[C@H](Cc1ccccc1)NCc1ncc(F)cc1F ZINC001169058042 1051499024 /nfs/dbraw/zinc/49/90/24/1051499024.db2.gz ASCGCZDSSUXCOT-MRXNPFEDSA-N 0 3 304.384 4.107 20 0 DIADHN Cc1c2[nH]cnc2ccc1NCc1ccsc1Br ZINC001169055742 1051499210 /nfs/dbraw/zinc/49/92/10/1051499210.db2.gz LOWIXZMSFCQXGH-UHFFFAOYSA-N 0 3 322.231 4.307 20 0 DIADHN CC(C)OC(=O)CC[C@@H](C)N(C)[C@@H](C)c1ccccc1Cl ZINC001172762570 1051500565 /nfs/dbraw/zinc/50/05/65/1051500565.db2.gz MPSCNHYXDWWAAX-KGLIPLIRSA-N 0 3 311.853 4.453 20 0 DIADHN O=C(CNC1CCC(Cc2ccccc2)CC1)c1ccccc1 ZINC001172818796 1051512667 /nfs/dbraw/zinc/51/26/67/1051512667.db2.gz SUEKBVRRMUPKHS-UHFFFAOYSA-N 0 3 307.437 4.260 20 0 DIADHN CC(C)C[C@@H](Cc1ccccc1)N1CCO[C@H](c2ccncc2)C1 ZINC001169083830 1051514502 /nfs/dbraw/zinc/51/45/02/1051514502.db2.gz GUHAGAUMXOPSRK-SFTDATJTSA-N 0 3 324.468 4.112 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](n1c3ccccc3nc1[C@H](C)N)CC2 ZINC001172824059 1051515288 /nfs/dbraw/zinc/51/52/88/1051515288.db2.gz PPQODCBFJJTVQF-YOEHRIQHSA-N 0 3 305.425 4.094 20 0 DIADHN Cc1ccc2c(c1)C[C@H](NCc1c(F)ccc(F)c1F)CC2 ZINC001172825874 1051517148 /nfs/dbraw/zinc/51/71/48/1051517148.db2.gz KTQHRSUAVIDSRC-CQSZACIVSA-N 0 3 305.343 4.059 20 0 DIADHN Cc1ccc2c(c1)C[C@@H](N(C)Cc1cnc(Cl)s1)CC2 ZINC001172828290 1051517957 /nfs/dbraw/zinc/51/79/57/1051517957.db2.gz SDAZMPSPUWYIDZ-AWEZNQCLSA-N 0 3 306.862 4.094 20 0 DIADHN C[C@H](NCc1nc(-c2ccccc2F)no1)C1CCCCCC1 ZINC001169136545 1051526921 /nfs/dbraw/zinc/52/69/21/1051526921.db2.gz OKBANSAXWCOJMW-ZDUSSCGKSA-N 0 3 317.408 4.324 20 0 DIADHN CCc1ccc([C@H](COC)NCCCc2c(F)cccc2F)o1 ZINC001169113951 1051531354 /nfs/dbraw/zinc/53/13/54/1051531354.db2.gz VUXXZEZSONYJJL-KRWDZBQOSA-N 0 3 323.383 4.030 20 0 DIADHN CC(C)OC1CCN([C@H](C)Cc2ccc(F)cc2Cl)CC1 ZINC001172869611 1051532106 /nfs/dbraw/zinc/53/21/06/1051532106.db2.gz QAANHQQBRYSAMS-CYBMUJFWSA-N 0 3 313.844 4.300 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)NCc1noc2ccccc21 ZINC001172851441 1051536888 /nfs/dbraw/zinc/53/68/88/1051536888.db2.gz JJEPSSBIWFBSMM-NSHDSACASA-N 0 3 318.779 4.341 20 0 DIADHN C[C@@H](Cc1c(F)cccc1Cl)N1C[C@H]2[C@H](CCC2(F)F)C1 ZINC001172848152 1051537492 /nfs/dbraw/zinc/53/74/92/1051537492.db2.gz SPCSBKIQPBVBHA-LOWVWBTDSA-N 0 3 317.782 4.387 20 0 DIADHN C[C@@H](Cc1ccc(F)cc1Cl)NCc1cnccc1Cl ZINC001172855056 1051541099 /nfs/dbraw/zinc/54/10/99/1051541099.db2.gz ULRLBXZKKONOLY-JTQLQIEISA-N 0 3 313.203 4.248 20 0 DIADHN Cc1c2[nH]cnc2ccc1NCc1c(Cl)cncc1Cl ZINC001169186309 1051542659 /nfs/dbraw/zinc/54/26/59/1051542659.db2.gz XBXIBFNBZAFXKK-UHFFFAOYSA-N 0 3 307.184 4.185 20 0 DIADHN C[C@H](Cc1c(F)cccc1Cl)N1CC[C@@]2(CC2(F)F)C1 ZINC001172859259 1051545766 /nfs/dbraw/zinc/54/57/66/1051545766.db2.gz ZDKQMCJTCKUXLY-QMTHXVAHSA-N 0 3 303.755 4.141 20 0 DIADHN Cc1nc2ccc(Nc3cc(Br)ccc3O)cc2[nH]1 ZINC001213073356 1051547229 /nfs/dbraw/zinc/54/72/29/1051547229.db2.gz NYDVCORJSYBKLE-UHFFFAOYSA-N 0 3 318.174 4.083 20 0 DIADHN CCCOC1CCN([C@@H](C)Cc2ccc(F)cc2Cl)CC1 ZINC001172870369 1051552955 /nfs/dbraw/zinc/55/29/55/1051552955.db2.gz XITMWHMADBWXHF-ZDUSSCGKSA-N 0 3 313.844 4.301 20 0 DIADHN CC[C@H](N[C@@H]1CCc2c1cccc2OC)c1ccccc1OC ZINC000177926647 1051555337 /nfs/dbraw/zinc/55/53/37/1051555337.db2.gz RBXBPKVQXBWFKE-ZWKOTPCHSA-N 0 3 311.425 4.432 20 0 DIADHN Cc1noc(C)c1CN[C@@H](C)CSc1ccc(Cl)cc1 ZINC001172875193 1051558134 /nfs/dbraw/zinc/55/81/34/1051558134.db2.gz MLKSGGFEMDPACO-JTQLQIEISA-N 0 3 310.850 4.215 20 0 DIADHN Cc1ccc2ncc(CN3CCc4cccc(C)c4C3)cc2c1 ZINC001169234734 1051558587 /nfs/dbraw/zinc/55/85/87/1051558587.db2.gz WANGFFPCRLSYMQ-UHFFFAOYSA-N 0 3 302.421 4.410 20 0 DIADHN C[C@H](CSc1ccc(Cl)cc1)NCc1ccncc1F ZINC001172875235 1051559327 /nfs/dbraw/zinc/55/93/27/1051559327.db2.gz NMCBVNGFRWBTNP-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN C[C@H](N)c1ccn(-c2cccc(-c3nc4ccccc4s3)c2)n1 ZINC001169232669 1051560055 /nfs/dbraw/zinc/56/00/55/1051560055.db2.gz IAKVUCZHZFUDNS-LBPRGKRZSA-N 0 3 320.421 4.169 20 0 DIADHN CN(C)c1ccc(Nc2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001216062749 1051560396 /nfs/dbraw/zinc/56/03/96/1051560396.db2.gz AIGMPDWRRYRTRA-UHFFFAOYSA-N 0 3 315.848 4.138 20 0 DIADHN C[C@@H](N)c1ccn(-c2cccc(-c3nc4ccccc4s3)c2)n1 ZINC001169232668 1051560691 /nfs/dbraw/zinc/56/06/91/1051560691.db2.gz IAKVUCZHZFUDNS-GFCCVEGCSA-N 0 3 320.421 4.169 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N1CCC[C@@]2(CCO2)C1 ZINC001172880994 1051563350 /nfs/dbraw/zinc/56/33/50/1051563350.db2.gz DHGWLKYHBISWIF-XJKSGUPXSA-N 0 3 311.878 4.076 20 0 DIADHN C[C@@H](CSc1ccc(Cl)cc1)N1CCC[C@]2(CCO2)C1 ZINC001172880991 1051564844 /nfs/dbraw/zinc/56/48/44/1051564844.db2.gz DHGWLKYHBISWIF-BBRMVZONSA-N 0 3 311.878 4.076 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](Cc1ccccc1)c1ccccc1 ZINC000178297078 1051566154 /nfs/dbraw/zinc/56/61/54/1051566154.db2.gz KMVQVDQVLJODNV-KKSFZXQISA-N 0 3 319.452 4.363 20 0 DIADHN CC(=O)C1CCN([C@@H](C)CSc2ccc(Cl)cc2)CC1 ZINC001172882134 1051566888 /nfs/dbraw/zinc/56/68/88/1051566888.db2.gz NDJGRZSUMLRZNX-LBPRGKRZSA-N 0 3 311.878 4.122 20 0 DIADHN CCN(Cc1ccc(OC)c(F)c1)[C@@H](C)c1cccc(O)c1 ZINC000178379600 1051569011 /nfs/dbraw/zinc/56/90/11/1051569011.db2.gz DPRIMJKROLXRPU-ZDUSSCGKSA-N 0 3 303.377 4.123 20 0 DIADHN CN(Cc1ccc([S@](C)=O)cc1)Cc1cccc2ccccc21 ZINC000178423215 1051570019 /nfs/dbraw/zinc/57/00/19/1051570019.db2.gz KDLBKHMREHKYBX-QHCPKHFHSA-N 0 3 323.461 4.209 20 0 DIADHN CO[C@@H]1CCC[C@@H](n2c3ccccc3nc2[C@H](N)CC(C)C)C1 ZINC001172924174 1051575043 /nfs/dbraw/zinc/57/50/43/1051575043.db2.gz SSYFLCFTSVPWCO-BZUAXINKSA-N 0 3 315.461 4.212 20 0 DIADHN CO[C@H]1CCC[C@H](N(C)Cc2cccc(Cl)c2Cl)C1 ZINC001172938236 1051577521 /nfs/dbraw/zinc/57/75/21/1051577521.db2.gz KKHAOJWVLREJIJ-STQMWFEESA-N 0 3 302.245 4.383 20 0 DIADHN CO[C@@H]1CCC[C@@H](N2CCc3cccc(C(F)(F)F)c3C2)C1 ZINC001172942237 1051577783 /nfs/dbraw/zinc/57/77/83/1051577783.db2.gz IRQMTIOKMFLSQV-ZIAGYGMSSA-N 0 3 313.363 4.021 20 0 DIADHN CC1(C)CCCN(C[C@@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001169299117 1051577883 /nfs/dbraw/zinc/57/78/83/1051577883.db2.gz ASLBOYXRWBBUFQ-CQSZACIVSA-N 0 3 302.245 4.149 20 0 DIADHN CN(CCc1cccs1)[C@]1(c2ccccc2)CCCCC1=O ZINC001169332740 1051582487 /nfs/dbraw/zinc/58/24/87/1051582487.db2.gz OQRVSIGXXYEVQW-IBGZPJMESA-N 0 3 313.466 4.261 20 0 DIADHN CCOc1cc(CNC(C)(C)c2ccc(F)cc2)ccc1OC ZINC000103173425 1051585067 /nfs/dbraw/zinc/58/50/67/1051585067.db2.gz MAORXQWRMFINRO-UHFFFAOYSA-N 0 3 317.404 4.258 20 0 DIADHN COc1ccccc1CCCN(C)Cc1cc(F)c(F)c(F)c1 ZINC001168457550 1051589133 /nfs/dbraw/zinc/58/91/33/1051589133.db2.gz CWDFFCDLSIMNDU-UHFFFAOYSA-N 0 3 323.358 4.177 20 0 DIADHN CCNc1nc(CN[C@@H]2CCC[C@@H]2Cc2ccccc2)cs1 ZINC001169366205 1051591203 /nfs/dbraw/zinc/59/12/03/1051591203.db2.gz LEVVIIXTCAVZKI-NVXWUHKLSA-N 0 3 315.486 4.076 20 0 DIADHN CCCOC1CCC(NCc2c(F)cc(Cl)cc2F)CC1 ZINC001173088913 1051596078 /nfs/dbraw/zinc/59/60/78/1051596078.db2.gz GPNNMXRXCWCZTC-UHFFFAOYSA-N 0 3 317.807 4.446 20 0 DIADHN CN(Cc1cccc(F)c1F)C1CCC2(CCOCC2)CC1 ZINC001173050902 1051596135 /nfs/dbraw/zinc/59/61/35/1051596135.db2.gz FKOVSZYPGHAYOL-UHFFFAOYSA-N 0 3 309.400 4.136 20 0 DIADHN FC(F)(F)Oc1ccc(CCNc2c[nH]cc3ccnc2-3)cc1 ZINC001169352609 1051600064 /nfs/dbraw/zinc/60/00/64/1051600064.db2.gz DDNHWQHXAVENER-UHFFFAOYSA-N 0 3 321.302 4.116 20 0 DIADHN CCCOC1CCC(NCc2nccc(Cl)c2Cl)CC1 ZINC001173094774 1051600404 /nfs/dbraw/zinc/60/04/04/1051600404.db2.gz UHNMCQIYSLVINM-UHFFFAOYSA-N 0 3 317.260 4.216 20 0 DIADHN CC(C)[C@@H](O)CN[C@@H](c1ccc(F)cc1)c1ccc(Cl)cc1 ZINC000493245365 1051604571 /nfs/dbraw/zinc/60/45/71/1051604571.db2.gz AYODTFUOGAXBNJ-ZWKOTPCHSA-N 0 3 321.823 4.175 20 0 DIADHN Cc1ccc([C@@H](NCCNc2ccccn2)c2ccccc2)cc1 ZINC000493247107 1051605013 /nfs/dbraw/zinc/60/50/13/1051605013.db2.gz KCMBPCGWVRBODU-NRFANRHFSA-N 0 3 317.436 4.181 20 0 DIADHN CCCOC1CCC(N2C[C@H](C)OC[C@@H]2c2ccccc2)CC1 ZINC001173102843 1051606431 /nfs/dbraw/zinc/60/64/31/1051606431.db2.gz RFHQLJBMKSESAB-CMTQZCSUSA-N 0 3 317.473 4.186 20 0 DIADHN C[C@@H](C1CC1)N(Cc1nn(-c2ccccc2)c2c1CCC2)C1CC1 ZINC000493305116 1051607139 /nfs/dbraw/zinc/60/71/39/1051607139.db2.gz PDSSSHFDBWJYQQ-HNNXBMFYSA-N 0 3 321.468 4.124 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H](O)c3ccsc3)o2)cc1 ZINC000152519750 1051608945 /nfs/dbraw/zinc/60/89/45/1051608945.db2.gz YFSJANQXGDJRMV-QGZVFWFLSA-N 0 3 313.422 4.140 20 0 DIADHN CC(C)Oc1ccc(CN2CCC[C@@H]3COCC[C@H]32)cc1Cl ZINC000493332427 1051610645 /nfs/dbraw/zinc/61/06/45/1051610645.db2.gz BTUCCEUURKUJQT-NVXWUHKLSA-N 0 3 323.864 4.128 20 0 DIADHN C[C@H]1CN(CC2CCC(C)(C)CC2)CCN1C(=O)OC(C)(C)C ZINC001169461979 1051625154 /nfs/dbraw/zinc/62/51/54/1051625154.db2.gz MVPSMNDPKBNTJM-HNNXBMFYSA-N 0 3 324.509 4.144 20 0 DIADHN C[C@@]1(F)CCN(C2CCC(Oc3ccccc3)CC2)C[C@@H]1F ZINC001173199500 1051630595 /nfs/dbraw/zinc/63/05/95/1051630595.db2.gz FLWHZFKNMVQINB-DQVOVIAYSA-N 0 3 309.400 4.149 20 0 DIADHN Cc1cc2ccccc2c(N2CCCc3ccc(CN)nc32)c1 ZINC001169591418 1051651222 /nfs/dbraw/zinc/65/12/22/1051651222.db2.gz IZYWQLUYWADPEC-UHFFFAOYSA-N 0 3 303.409 4.086 20 0 DIADHN CO[C@H](c1ccccc1)[C@@H](C)NCc1ccccc1OC(F)F ZINC000493736174 1051652367 /nfs/dbraw/zinc/65/23/67/1051652367.db2.gz JVJRCIVSKFZAHQ-DYVFJYSZSA-N 0 3 321.367 4.154 20 0 DIADHN CC(C)C[C@H]1OCCC[C@@H]1NCc1cnc(-c2ccccc2)o1 ZINC001169604929 1051654052 /nfs/dbraw/zinc/65/40/52/1051654052.db2.gz QFPZZYJPVYASFD-ZWKOTPCHSA-N 0 3 314.429 4.025 20 0 DIADHN CC[C@@H]1[C@@H](C)CCN1Cc1csc(Cc2ccccc2)n1 ZINC001169581700 1051656043 /nfs/dbraw/zinc/65/60/43/1051656043.db2.gz IPGRPPQSADTCBJ-WMLDXEAASA-N 0 3 300.471 4.354 20 0 DIADHN Cc1cc2cc(N[C@H]3CCc4ccccc4[C@@H]3O)ccc2cn1 ZINC001169625281 1051659781 /nfs/dbraw/zinc/65/97/81/1051659781.db2.gz BCEQWLATOKTNCN-PMACEKPBSA-N 0 3 304.393 4.004 20 0 DIADHN COc1ccc(F)cc1[C@H](C)N[C@@H](C)[C@H](OC)c1ccccc1 ZINC000493927648 1051667629 /nfs/dbraw/zinc/66/76/29/1051667629.db2.gz RSJHVXHZYODLKT-NJSLBKSFSA-N 0 3 317.404 4.261 20 0 DIADHN CC[C@H]1CC[C@@H](NCc2coc(-c3ccc(OC)cc3)n2)C1 ZINC001173271700 1051668492 /nfs/dbraw/zinc/66/84/92/1051668492.db2.gz HTIGCJVGUDZLFX-DZGCQCFKSA-N 0 3 300.402 4.019 20 0 DIADHN CCc1ccc(C[C@H](C)NC(C)(C)C(=O)c2ccccc2)cc1 ZINC001173237530 1051670978 /nfs/dbraw/zinc/67/09/78/1051670978.db2.gz HYZRPTSNSMFOAR-INIZCTEOSA-N 0 3 309.453 4.431 20 0 DIADHN CCCC1(CN[C@@H](c2cnn(C)c2)c2cccc(F)c2)CCC1 ZINC000493989893 1051671987 /nfs/dbraw/zinc/67/19/87/1051671987.db2.gz MZVUEIALCPDALD-GOSISDBHSA-N 0 3 315.436 4.209 20 0 DIADHN CC[C@@H](NC[C@H](OC)c1cccc(Cl)c1)c1ccc(F)cn1 ZINC000494005496 1051675774 /nfs/dbraw/zinc/67/57/74/1051675774.db2.gz ZYIBKOBWSQOTES-WBVHZDCISA-N 0 3 322.811 4.303 20 0 DIADHN CC[C@@H]1CN(Cc2ccc(Cl)c3ccccc23)CCCO1 ZINC001169674580 1051676944 /nfs/dbraw/zinc/67/69/44/1051676944.db2.gz CAXHXAFABHYWLF-OAHLLOKOSA-N 0 3 303.833 4.494 20 0 DIADHN CC[C@@H]1CC[C@H](N2Cc3cnc(-c4ccc(F)cc4)nc3C2)C1 ZINC001173296803 1051678406 /nfs/dbraw/zinc/67/84/06/1051678406.db2.gz WBFYDEQCRFXAIS-DYVFJYSZSA-N 0 3 311.404 4.177 20 0 DIADHN CC[C@@H](NCCOc1ccccc1C(C)C)c1ccc(F)cn1 ZINC000494048610 1051683325 /nfs/dbraw/zinc/68/33/25/1051683325.db2.gz LCWYBJOUCZYWGD-QGZVFWFLSA-N 0 3 316.420 4.464 20 0 DIADHN Cc1nc2ccc(CN[C@@H](c3cccnc3)C3CC3)cc2s1 ZINC000494152123 1051696319 /nfs/dbraw/zinc/69/63/19/1051696319.db2.gz HSMOIRMSPPAOQP-GOSISDBHSA-N 0 3 309.438 4.241 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173338817 1051699688 /nfs/dbraw/zinc/69/96/88/1051699688.db2.gz KJPBJJKYUNPMCN-CRAIPNDOSA-N 0 3 312.457 4.471 20 0 DIADHN Cc1cccc2c1[C@@H](N[C@@H]1CCCC3(CCCCC3)C1)C(=O)N2 ZINC001173339533 1051699796 /nfs/dbraw/zinc/69/97/96/1051699796.db2.gz OAMVBLKWNSQORL-CRAIPNDOSA-N 0 3 312.457 4.471 20 0 DIADHN CC(C)Oc1ccc(CN(C)C[C@H]2CCCCO2)cc1Cl ZINC000494196780 1051703065 /nfs/dbraw/zinc/70/30/65/1051703065.db2.gz ZNUQHLKQVGBUQT-OAHLLOKOSA-N 0 3 311.853 4.128 20 0 DIADHN COc1cccc(-c2nc(CN(CC3CCC3)C(C)C)co2)c1 ZINC001169822140 1051706644 /nfs/dbraw/zinc/70/66/44/1051706644.db2.gz SARKVUJXQFYVPR-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(F)c(CN(C)CCc2nsc3ccccc32)c1 ZINC001169822601 1051707019 /nfs/dbraw/zinc/70/70/19/1051707019.db2.gz VRNKQWIYEWENRZ-UHFFFAOYSA-N 0 3 314.429 4.418 20 0 DIADHN CCCN([C@@H](C)CSCC)[C@@H](C)C(=O)Nc1ccccc1C ZINC001173315292 1051709574 /nfs/dbraw/zinc/70/95/74/1051709574.db2.gz PETMRIBRWJJTLO-HOTGVXAUSA-N 0 3 322.518 4.176 20 0 DIADHN CCN1CC[C@@H](N(C)Cc2sc3ccccc3c2Cl)C1 ZINC001173373176 1051715089 /nfs/dbraw/zinc/71/50/89/1051715089.db2.gz UJAFAVRGUZVZDP-GFCCVEGCSA-N 0 3 308.878 4.081 20 0 DIADHN COC(=O)CCCC[C@@H](C)N[C@@H](C)c1c(F)cccc1Cl ZINC001173439238 1051718705 /nfs/dbraw/zinc/71/87/05/1051718705.db2.gz DFXMUQXLKPUZCC-NEPJUHHUSA-N 0 3 315.816 4.252 20 0 DIADHN COC(=O)c1ccc(CN(C)Cc2ccc(C(F)F)cc2)cc1 ZINC000183963562 1051723814 /nfs/dbraw/zinc/72/38/14/1051723814.db2.gz VNDJOIVHOZAAEP-UHFFFAOYSA-N 0 3 319.351 4.043 20 0 DIADHN CC1(C)CO[C@@H](CN2CC=C(c3cccc(Cl)c3)CC2)C1 ZINC001169901623 1051725729 /nfs/dbraw/zinc/72/57/29/1051725729.db2.gz XIDMCTISEMOCFP-QGZVFWFLSA-N 0 3 305.849 4.244 20 0 DIADHN CN1CCc2ccc(Nc3cc(F)c(F)cc3Cl)cc2C1 ZINC001216372645 1051728702 /nfs/dbraw/zinc/72/87/02/1051728702.db2.gz HUSMUIMYASPEOB-UHFFFAOYSA-N 0 3 308.759 4.350 20 0 DIADHN Cc1cccc([C@H](C)N2CCN(c3ccccc3F)C[C@H]2C)c1 ZINC001169928268 1051730433 /nfs/dbraw/zinc/73/04/33/1051730433.db2.gz LAFJEZNYBBFZMT-SJORKVTESA-N 0 3 312.432 4.406 20 0 DIADHN CCOCc1ccc(CN[C@H]2CCc3nc(Cl)ccc32)cc1 ZINC000806132348 1051733242 /nfs/dbraw/zinc/73/32/42/1051733242.db2.gz VLAOFQSXNDFMEQ-INIZCTEOSA-N 0 3 316.832 4.049 20 0 DIADHN Cc1ncsc1CCN[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000184505843 1051737426 /nfs/dbraw/zinc/73/74/26/1051737426.db2.gz RLFZGGLXHKAYBP-NSHDSACASA-N 0 3 309.504 4.099 20 0 DIADHN CCC(C)(C)CNCc1csc(COc2ccccc2)n1 ZINC000184565918 1051739802 /nfs/dbraw/zinc/73/98/02/1051739802.db2.gz NEEODHUYRHAVHL-UHFFFAOYSA-N 0 3 304.459 4.248 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N[C@@H]1CCCN2CCCC[C@H]12 ZINC001130518763 1051741629 /nfs/dbraw/zinc/74/16/29/1051741629.db2.gz QEWLCDRNCRAZKZ-LMMKCTJWSA-N 0 3 308.510 4.116 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1ccc(CF)cc1 ZINC000767031215 1051748355 /nfs/dbraw/zinc/74/83/55/1051748355.db2.gz UGXWYCUHPIHVAK-CYBMUJFWSA-N 0 3 303.425 4.267 20 0 DIADHN C[C@@H](N[C@@H](C)Cc1cccc(F)c1)c1nccn1-c1ccccc1 ZINC000806176689 1051748760 /nfs/dbraw/zinc/74/87/60/1051748760.db2.gz TZERXGLQOVDGEU-JKSUJKDBSA-N 0 3 323.415 4.293 20 0 DIADHN CSCCCCCNCc1csc(-c2ccsc2)n1 ZINC000185163608 1051757020 /nfs/dbraw/zinc/75/70/20/1051757020.db2.gz UWVMARGSMPDXDH-UHFFFAOYSA-N 0 3 312.529 4.495 20 0 DIADHN Cc1cccc2c1ncc(C)c2N1CCO[C@H](c2ccco2)C1 ZINC000185346187 1051763000 /nfs/dbraw/zinc/76/30/00/1051763000.db2.gz KGNWRZHIRWNMRE-KRWDZBQOSA-N 0 3 308.381 4.023 20 0 DIADHN Clc1ccc2c(n1)CC[C@H]2N[C@H]1CCCc2sccc21 ZINC000806160489 1051763281 /nfs/dbraw/zinc/76/32/81/1051763281.db2.gz SFDFYYSHOVGGHL-QWHCGFSZSA-N 0 3 304.846 4.451 20 0 DIADHN Clc1ccc2c(n1)CC[C@@H]2NCc1ccc2ncccc2c1 ZINC000806162708 1051765593 /nfs/dbraw/zinc/76/55/93/1051765593.db2.gz DJRIVNZDXAATMF-INIZCTEOSA-N 0 3 309.800 4.060 20 0 DIADHN Cc1nc(CNC2CCC3(CCc4ccccc43)CC2)c(C)o1 ZINC001173683521 1051771819 /nfs/dbraw/zinc/77/18/19/1051771819.db2.gz AKKHORBSMRQGQN-UHFFFAOYSA-N 0 3 310.441 4.208 20 0 DIADHN CCCc1cc(CN)nn1C1CCC2(CCc3ccccc32)CC1 ZINC001173685271 1051777939 /nfs/dbraw/zinc/77/79/39/1051777939.db2.gz PRYSEXWQNNUJFI-UHFFFAOYSA-N 0 3 323.484 4.294 20 0 DIADHN c1ccc2c(c1)CCC21CCC(N2Cc3cccnc3C2)CC1 ZINC001173686255 1051778378 /nfs/dbraw/zinc/77/83/78/1051778378.db2.gz MEJCMINVNVIGBP-UHFFFAOYSA-N 0 3 304.437 4.224 20 0 DIADHN C[C@H](N[C@H](CO)Cc1ccccc1)c1cccc(Cl)c1Cl ZINC000219277744 1051779213 /nfs/dbraw/zinc/77/92/13/1051779213.db2.gz DJVCTFJXIUZROW-JSGCOSHPSA-N 0 3 324.251 4.248 20 0 DIADHN c1ccc2c(c1)CCC21CCC(N2Cc3ccncc3C2)CC1 ZINC001173689892 1051781778 /nfs/dbraw/zinc/78/17/78/1051781778.db2.gz MPBLZDBKIVHVBY-UHFFFAOYSA-N 0 3 304.437 4.224 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@@H](c2ccccc2)N(C)C)cs1 ZINC000186207798 1051798596 /nfs/dbraw/zinc/79/85/96/1051798596.db2.gz XLYSJKVJXUZJTO-YOEHRIQHSA-N 0 3 317.502 4.220 20 0 DIADHN O=C(CCN1Cc2ccccc2C[C@H]1c1ccccc1)C1CC1 ZINC000767409171 1051800353 /nfs/dbraw/zinc/80/03/53/1051800353.db2.gz IOZIRKVJWUBGOZ-FQEVSTJZSA-N 0 3 305.421 4.155 20 0 DIADHN COc1ccccc1/C=C/CN1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000186410557 1051813308 /nfs/dbraw/zinc/81/33/08/1051813308.db2.gz JBJVVNVKNSIFPX-CSZITXDSSA-N 0 3 308.425 4.187 20 0 DIADHN COc1ccccc1[C@H](CN[C@@H](C)c1ccc(C)o1)OC(C)C ZINC000186383124 1051813607 /nfs/dbraw/zinc/81/36/07/1051813607.db2.gz OCWYEPULDMKABC-KXBFYZLASA-N 0 3 317.429 4.414 20 0 DIADHN C/C(=C\c1ccccc1)CN1CC[C@]2(C1)OCc1ccccc12 ZINC000186439827 1051815705 /nfs/dbraw/zinc/81/57/05/1051815705.db2.gz MUTOLCDTOYCQHX-RYEVTAHJSA-N 0 3 305.421 4.221 20 0 DIADHN COC1(CN[C@H](C)c2nc3c(s2)CCCC3)CCCCC1 ZINC000186517688 1051820747 /nfs/dbraw/zinc/82/07/47/1051820747.db2.gz OGEJKPQANFVJHJ-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CCc1ccc(CN[C@H](C)c2ccnn2-c2ccccc2)s1 ZINC000467346141 1051826192 /nfs/dbraw/zinc/82/61/92/1051826192.db2.gz JRVWPKKKPWEUET-CQSZACIVSA-N 0 3 311.454 4.347 20 0 DIADHN CC(C)CC[C@@H](C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000186648630 1051826259 /nfs/dbraw/zinc/82/62/59/1051826259.db2.gz YARHOJSAUGOFOR-RDTXWAMCSA-N 0 3 303.425 4.063 20 0 DIADHN CCOc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)cc1 ZINC001173854019 1051828939 /nfs/dbraw/zinc/82/89/39/1051828939.db2.gz MNTUQOAZUMKTSA-GOSISDBHSA-N 0 3 312.413 4.214 20 0 DIADHN CCCc1cccc(CN[C@@H](C)c2cccc(NC(C)=O)c2)c1 ZINC000187108863 1051836973 /nfs/dbraw/zinc/83/69/73/1051836973.db2.gz UFXHXMORZCRZPN-HNNXBMFYSA-N 0 3 310.441 4.448 20 0 DIADHN CC(C)COC[C@@H](N[C@@H](C)C1CCSCC1)c1ccco1 ZINC000187129358 1051838283 /nfs/dbraw/zinc/83/82/83/1051838283.db2.gz LFKNXFMIRVEOCO-GOEBONIOSA-N 0 3 311.491 4.115 20 0 DIADHN Cc1cc([C@@H](C)N[C@H](C)c2ccc(F)c3ccccc32)n(C)n1 ZINC000806662253 1051844152 /nfs/dbraw/zinc/84/41/52/1051844152.db2.gz BADLFAOHIFIAFP-ZIAGYGMSSA-N 0 3 311.404 4.433 20 0 DIADHN COCC1=CCN(Cc2ccc(-c3ccccc3)cc2OC)CC1 ZINC000187617326 1051849881 /nfs/dbraw/zinc/84/98/81/1051849881.db2.gz BPFAPFDESGDPJX-UHFFFAOYSA-N 0 3 323.436 4.141 20 0 DIADHN FC(F)Oc1ccc2ccccc2c1CNCCOC1CCC1 ZINC000742385694 1051858816 /nfs/dbraw/zinc/85/88/16/1051858816.db2.gz PAALTAWHOLAYOP-UHFFFAOYSA-N 0 3 321.367 4.100 20 0 DIADHN Cc1ccc(CN(CC[C@@H]2CCCO2)Cc2ccco2)s1 ZINC000188903443 1051859254 /nfs/dbraw/zinc/85/92/54/1051859254.db2.gz KNSZMUCOHDDJCN-HNNXBMFYSA-N 0 3 305.443 4.221 20 0 DIADHN FC(F)(F)c1ccc(Cl)c(C2(NC3CCOCC3)CC2)c1 ZINC000188936341 1051862074 /nfs/dbraw/zinc/86/20/74/1051862074.db2.gz RFXTXJFDGVIIBT-UHFFFAOYSA-N 0 3 319.754 4.117 20 0 DIADHN C[C@H](NC/C=C/c1ccccc1)c1ccnn1-c1ccccc1 ZINC000467351044 1051862307 /nfs/dbraw/zinc/86/23/07/1051862307.db2.gz XDBATSCQUZTWGX-YRYLYKBFSA-N 0 3 303.409 4.236 20 0 DIADHN OCCN(Cc1cc2ccccc2s1)Cc1cccc(F)c1 ZINC000494259474 1051862809 /nfs/dbraw/zinc/86/28/09/1051862809.db2.gz LDWDRXNORCVVSW-UHFFFAOYSA-N 0 3 315.413 4.035 20 0 DIADHN CCCCn1nc(C)c(CN[C@H]2CCCC(F)(F)C2)c1Cl ZINC000767927794 1051867265 /nfs/dbraw/zinc/86/72/65/1051867265.db2.gz CIYWQVPWPAKIIH-LBPRGKRZSA-N 0 3 319.827 4.313 20 0 DIADHN COCc1ccc([C@H]2CCCN2Cc2ccc(CF)cc2)o1 ZINC000767940740 1051867420 /nfs/dbraw/zinc/86/74/20/1051867420.db2.gz LXVLNJGPGDPZIQ-QGZVFWFLSA-N 0 3 303.377 4.233 20 0 DIADHN COc1cc(OC)c(Nc2ccnc(C3CCC3)c2)c(OC)c1 ZINC001173909466 1051871068 /nfs/dbraw/zinc/87/10/68/1051871068.db2.gz CHELIIDULXRQBZ-UHFFFAOYSA-N 0 3 314.385 4.119 20 0 DIADHN Cc1ccnc(-c2nnc([C@@H](C)[NH2+][C@@H](C)CCCC(C)C)[n-]2)c1 ZINC000494367719 1051877640 /nfs/dbraw/zinc/87/76/40/1051877640.db2.gz NXSTZBVUBVRQCX-LSDHHAIUSA-N 0 3 315.465 4.041 20 0 DIADHN CC[C@H](C[C@@H](C)CC)[NH2+][C@@H](C)c1nnc(-c2cc(C)ccn2)[n-]1 ZINC000494389308 1051887205 /nfs/dbraw/zinc/88/72/05/1051887205.db2.gz CAHNYWNXMYXCLE-AEGPPILISA-N 0 3 315.465 4.041 20 0 DIADHN COC1(C[C@@H](C)N[C@H](C)c2nc(C(F)(F)F)cs2)CCC1 ZINC000494392007 1051890465 /nfs/dbraw/zinc/89/04/65/1051890465.db2.gz JSVYRESADZMLKD-NXEZZACHSA-N 0 3 322.396 4.160 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccccc3CC)c2C1 ZINC001173969297 1051898789 /nfs/dbraw/zinc/89/87/89/1051898789.db2.gz DTQPAKQXDZVWDT-UHFFFAOYSA-N 0 3 315.486 4.404 20 0 DIADHN CCn1nc(-c2ccccc2)cc1Nc1ccccc1CN(C)C ZINC001173928823 1051899640 /nfs/dbraw/zinc/89/96/40/1051899640.db2.gz MDQKSELGPLXCMQ-UHFFFAOYSA-N 0 3 320.440 4.375 20 0 DIADHN CN(C)Cc1ccccc1Nc1cc(-c2cccc(F)c2)no1 ZINC001173930677 1051901654 /nfs/dbraw/zinc/90/16/54/1051901654.db2.gz ZUGWOHYFFLIHOV-UHFFFAOYSA-N 0 3 311.360 4.286 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccccc1OCC(F)(F)F ZINC001173931314 1051901690 /nfs/dbraw/zinc/90/16/90/1051901690.db2.gz DWHXFTMCDPBSMT-UHFFFAOYSA-N 0 3 324.346 4.433 20 0 DIADHN CCOC(=O)Nc1ccc(Nc2ccccc2CN(C)C)cc1 ZINC001173931437 1051902742 /nfs/dbraw/zinc/90/27/42/1051902742.db2.gz GKBFFNXZXYJYTN-UHFFFAOYSA-N 0 3 313.401 4.060 20 0 DIADHN COC(=O)c1oc2ccccc2c1Nc1ccccc1CN(C)C ZINC001173930653 1051902774 /nfs/dbraw/zinc/90/27/74/1051902774.db2.gz ZCAREFDBPYSSJD-UHFFFAOYSA-N 0 3 324.380 4.025 20 0 DIADHN CN(C)Cc1ccccc1Nc1cccc(Br)c1 ZINC001173930137 1051902866 /nfs/dbraw/zinc/90/28/66/1051902866.db2.gz RVDQPVRAORVQRM-UHFFFAOYSA-N 0 3 305.219 4.254 20 0 DIADHN CN(C)Cc1ccccc1Nc1ccc(Cc2ccccn2)cc1 ZINC001173933072 1051904378 /nfs/dbraw/zinc/90/43/78/1051904378.db2.gz ZOWZPNFADKZLKC-UHFFFAOYSA-N 0 3 317.436 4.478 20 0 DIADHN COc1ccc(-n2cccc2)c(Nc2ccccc2CN(C)C)c1 ZINC001173932381 1051905586 /nfs/dbraw/zinc/90/55/86/1051905586.db2.gz UUHFOLUYZHDHBT-UHFFFAOYSA-N 0 3 321.424 4.291 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2nccc3ccccc32)cc1 ZINC000768236761 1051907715 /nfs/dbraw/zinc/90/77/15/1051907715.db2.gz KSEIROOPFAWIDU-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN C[C@H](NC[C@H](O)c1ccc(-c2ccccc2)cc1)c1cncs1 ZINC000768253666 1051909506 /nfs/dbraw/zinc/90/95/06/1051909506.db2.gz CBCVSEKBOTYPDI-KSSFIOAISA-N 0 3 324.449 4.194 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3cccc(F)c3F)cccc21 ZINC001173948141 1051913962 /nfs/dbraw/zinc/91/39/62/1051913962.db2.gz MHSNCNJVBCKOBI-OAHLLOKOSA-N 0 3 304.340 4.094 20 0 DIADHN CN(C)[C@H]1CCOc2c(Nc3cccc(F)c3F)cccc21 ZINC001173948140 1051914021 /nfs/dbraw/zinc/91/40/21/1051914021.db2.gz MHSNCNJVBCKOBI-HNNXBMFYSA-N 0 3 304.340 4.094 20 0 DIADHN CCc1ccc([C@@H](NCCCCO)c2ccccc2Cl)cc1 ZINC000494534354 1051915439 /nfs/dbraw/zinc/91/54/39/1051915439.db2.gz YUNJFICKXJYWBD-LJQANCHMSA-N 0 3 317.860 4.354 20 0 DIADHN Cc1cccc(Nc2ccc(N3CCN(C)CC3)cc2C)c1C ZINC001173952671 1051916854 /nfs/dbraw/zinc/91/68/54/1051916854.db2.gz AZBONWUXKXWRQQ-UHFFFAOYSA-N 0 3 309.457 4.107 20 0 DIADHN CC(C)[C@@H]1CCCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000768319407 1051921748 /nfs/dbraw/zinc/92/17/48/1051921748.db2.gz NQNDLFBKFAVMMK-HNNXBMFYSA-N 0 3 320.868 4.286 20 0 DIADHN Cc1cc(CN2CC[C@@H](Cc3ccccc3)C2)cnc1Cl ZINC000768365985 1051923910 /nfs/dbraw/zinc/92/39/10/1051923910.db2.gz YMFZJCSHDSWLGJ-INIZCTEOSA-N 0 3 300.833 4.108 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1C1CC1)c1cc(Cl)ccc1Cl ZINC000222655779 1051926810 /nfs/dbraw/zinc/92/68/10/1051926810.db2.gz VCOMLDRWNBLLAT-TZTCFGBESA-N 0 3 300.229 4.212 20 0 DIADHN CCCN1CCc2c(N)sc(Nc3ccc(C)c(F)c3)c2C1 ZINC001174019499 1051951379 /nfs/dbraw/zinc/95/13/79/1051951379.db2.gz IFPCSFBUMZQLQA-UHFFFAOYSA-N 0 3 319.449 4.290 20 0 DIADHN COCc1ccc(CNCc2ccc(C(F)(F)F)cc2C)cc1 ZINC000190817708 1051951770 /nfs/dbraw/zinc/95/17/70/1051951770.db2.gz NRAQANYFQRWCCT-UHFFFAOYSA-N 0 3 323.358 4.450 20 0 DIADHN C[C@H]1CSCCN(Cc2cnc(-c3cccs3)s2)C1 ZINC000190849533 1051952222 /nfs/dbraw/zinc/95/22/22/1051952222.db2.gz NSPRMOHYQCTWFH-LLVKDONJSA-N 0 3 310.513 4.057 20 0 DIADHN COc1ccc(Nc2cccc3c2OCC[C@H]3N(C)C)c(C)c1 ZINC001174023179 1051954015 /nfs/dbraw/zinc/95/40/15/1051954015.db2.gz BSRKQUTXNATMDI-GOSISDBHSA-N 0 3 312.413 4.132 20 0 DIADHN COc1cc(CNCc2ccc(OCC3CC3)cc2)ccc1C ZINC000190889978 1051954204 /nfs/dbraw/zinc/95/42/04/1051954204.db2.gz PQHAKIVBXRMTAB-UHFFFAOYSA-N 0 3 311.425 4.082 20 0 DIADHN C[C@@H](NCc1ccc(-n2cccn2)cc1)c1csc(Cl)c1 ZINC000191177677 1051964173 /nfs/dbraw/zinc/96/41/73/1051964173.db2.gz SSCUMTUAQPBKPP-GFCCVEGCSA-N 0 3 317.845 4.438 20 0 DIADHN CCN(C)c1ccc(CNC(C)(C)c2cccc(Cl)c2)cn1 ZINC000191141023 1051964624 /nfs/dbraw/zinc/96/46/24/1051964624.db2.gz HLEXSNLDBATNMG-UHFFFAOYSA-N 0 3 317.864 4.216 20 0 DIADHN OCCCCCNC1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000191248410 1051966953 /nfs/dbraw/zinc/96/69/53/1051966953.db2.gz QNALPVPAPPTDJI-UHFFFAOYSA-N 0 3 321.770 4.100 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc4c(ccn4C)c3)cccc21 ZINC001174099050 1051974058 /nfs/dbraw/zinc/97/40/58/1051974058.db2.gz GDPCKGIHPHFGLV-LJQANCHMSA-N 0 3 321.424 4.307 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(O)cccc32)cc1Cl ZINC000191655656 1051979497 /nfs/dbraw/zinc/97/94/97/1051979497.db2.gz BNZUWLKQZUKQOW-INIZCTEOSA-N 0 3 317.816 4.221 20 0 DIADHN c1[nH]c(-c2ccccc2)nc1CNCc1ccc(C2CC2)cc1 ZINC000191680948 1051982064 /nfs/dbraw/zinc/98/20/64/1051982064.db2.gz OLZUOBPUQJVOHX-UHFFFAOYSA-N 0 3 303.409 4.244 20 0 DIADHN c1nc(-c2ccccc2)[nH]c1CNCc1ccc(C2CC2)cc1 ZINC000191680948 1051982078 /nfs/dbraw/zinc/98/20/78/1051982078.db2.gz OLZUOBPUQJVOHX-UHFFFAOYSA-N 0 3 303.409 4.244 20 0 DIADHN COC[C@H](N[C@@H]1CCCC(F)(F)C1)c1ccc(Cl)cc1 ZINC000494594299 1051983324 /nfs/dbraw/zinc/98/33/24/1051983324.db2.gz CELLTBRLRYJNAA-KGLIPLIRSA-N 0 3 303.780 4.195 20 0 DIADHN CC[C@@H]1CCCN1Cc1cc2cnn(C(C)C)c2nc1Cl ZINC000769005653 1051992612 /nfs/dbraw/zinc/99/26/12/1051992612.db2.gz FOZMFWSQYIFCKE-CQSZACIVSA-N 0 3 306.841 4.040 20 0 DIADHN COCc1ccc(CN2CCC(c3cc4ccccc4[nH]3)CC2)o1 ZINC000769123281 1051998088 /nfs/dbraw/zinc/99/80/88/1051998088.db2.gz YUDZRFXNHLRMHZ-UHFFFAOYSA-N 0 3 324.424 4.287 20 0 DIADHN Cc1cc(CN2CCC(OCC3CCCCC3)CC2)c(C)o1 ZINC000769191827 1052001965 /nfs/dbraw/zinc/00/19/65/1052001965.db2.gz HRMALCRKEZHRED-UHFFFAOYSA-N 0 3 305.462 4.458 20 0 DIADHN C[C@H]1CCN(Cc2cc(Cl)cc3cccnc32)CCS1 ZINC000192176694 1052002884 /nfs/dbraw/zinc/00/28/84/1052002884.db2.gz ADOHSDGQFRLKOQ-LBPRGKRZSA-N 0 3 306.862 4.216 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(F)c(F)c2)c(C)c1OC ZINC000808374106 1052010386 /nfs/dbraw/zinc/01/03/86/1052010386.db2.gz CFQOHWRTZBFPFS-GFCCVEGCSA-N 0 3 321.367 4.141 20 0 DIADHN CCC[C@@H](C)N(CC)CC(=O)Nc1c(Cl)cccc1Cl ZINC000192717767 1052019640 /nfs/dbraw/zinc/01/96/40/1052019640.db2.gz RSDIJRIOPSSXJR-LLVKDONJSA-N 0 3 317.260 4.442 20 0 DIADHN CN(C)Cc1cccc(NC(=O)c2csc3ccccc23)c1 ZINC000192841500 1052022859 /nfs/dbraw/zinc/02/28/59/1052022859.db2.gz QWQZXGXEYZLVTH-UHFFFAOYSA-N 0 3 310.422 4.215 20 0 DIADHN CC[C@H](NCc1cc(Cl)cs1)c1ccc2c(c1)OCCO2 ZINC000193033231 1052028615 /nfs/dbraw/zinc/02/86/15/1052028615.db2.gz ICBNONYTISZEPT-AWEZNQCLSA-N 0 3 323.845 4.414 20 0 DIADHN c1ccc([C@@H]2CN(Cc3ccc4c(c3)COC4)CCS2)cc1 ZINC000769425535 1052044950 /nfs/dbraw/zinc/04/49/50/1052044950.db2.gz IFZIYLPKPWSXQM-IBGZPJMESA-N 0 3 311.450 4.007 20 0 DIADHN Cc1cc(CN2C[C@H](c3ccccc3)C[C@H]2C)cnc1Cl ZINC000769445495 1052055780 /nfs/dbraw/zinc/05/57/80/1052055780.db2.gz JVAJWNZXEDTADP-RHSMWYFYSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cc(CN2C[C@@H](c3ccccc3)C[C@H]2C)cnc1Cl ZINC000769445493 1052056662 /nfs/dbraw/zinc/05/66/62/1052056662.db2.gz JVAJWNZXEDTADP-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cccc(NC2CCN(Cc3ccc4c(c3)COC4)CC2)c1 ZINC000769470666 1052064039 /nfs/dbraw/zinc/06/40/39/1052064039.db2.gz NGIWZZYRHJITMN-UHFFFAOYSA-N 0 3 322.452 4.102 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000769502202 1052068017 /nfs/dbraw/zinc/06/80/17/1052068017.db2.gz WVHHVBHRYZMABW-CQSZACIVSA-N 0 3 311.454 4.201 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccccc3)[C@@H]2C)cnc1Cl ZINC000769498028 1052069853 /nfs/dbraw/zinc/06/98/53/1052069853.db2.gz JQHAMELIMQQQKO-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1cccc([C@@H](NC2CC(C(F)F)C2)c2ccccn2)c1 ZINC000769537926 1052077976 /nfs/dbraw/zinc/07/79/76/1052077976.db2.gz NRMMUGOCKIQGHA-VMBOVVBDSA-N 0 3 302.368 4.113 20 0 DIADHN COc1ccc(Cl)cc1[C@H](C)N[C@@H](C)[C@H](O)c1ccccc1 ZINC000808720777 1052079784 /nfs/dbraw/zinc/07/97/84/1052079784.db2.gz YFHUVGLOJYPOOZ-LXIYXOSZSA-N 0 3 319.832 4.121 20 0 DIADHN CC[C@H](N[C@H](C)[C@@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000808720935 1052079929 /nfs/dbraw/zinc/07/99/29/1052079929.db2.gz FAMDMYYOCIMHFL-OCBCSQNSSA-N 0 3 305.368 4.128 20 0 DIADHN CCC(CC)c1cc(CN[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)on1 ZINC000194058581 1052092425 /nfs/dbraw/zinc/09/24/25/1052092425.db2.gz RQHKZYFMHQHVSJ-KBAYOESNSA-N 0 3 318.461 4.016 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCOc1ccc2ccccc2c1 ZINC000769865958 1052115048 /nfs/dbraw/zinc/11/50/48/1052115048.db2.gz FHVCVSOMGYPUII-CQSZACIVSA-N 0 3 324.424 4.428 20 0 DIADHN c1ccc(CN2CCC=C(Nc3cncc(C4CC4)c3)C2)cc1 ZINC001174395110 1052117508 /nfs/dbraw/zinc/11/75/08/1052117508.db2.gz SLWYDXNFSQAXAN-UHFFFAOYSA-N 0 3 305.425 4.161 20 0 DIADHN c1ccc(CN2CCC=C(Nc3cccc4ccncc43)C2)cc1 ZINC001174396558 1052117839 /nfs/dbraw/zinc/11/78/39/1052117839.db2.gz HKJAFATYCUXPHP-UHFFFAOYSA-N 0 3 315.420 4.437 20 0 DIADHN Cc1cc(C)c(NC2=CCCN(Cc3ccccc3)C2)c(C)c1N ZINC001174396624 1052117977 /nfs/dbraw/zinc/11/79/77/1052117977.db2.gz KTNQCGCZDQDZNN-UHFFFAOYSA-N 0 3 321.468 4.396 20 0 DIADHN CCc1ccc(NC2=CCCN(Cc3ccccc3)C2)c(O)c1 ZINC001174394831 1052118314 /nfs/dbraw/zinc/11/83/14/1052118314.db2.gz OAGPPLQFYKLUDG-UHFFFAOYSA-N 0 3 308.425 4.156 20 0 DIADHN c1nc2c(cccc2NC2=CCCN(Cc3ccccc3)C2)o1 ZINC001174396160 1052118606 /nfs/dbraw/zinc/11/86/06/1052118606.db2.gz FAFPPTOTWVJVLR-UHFFFAOYSA-N 0 3 305.381 4.030 20 0 DIADHN Cc1cccc(C[C@H](NCc2ncc(Cl)s2)C2CC2)c1 ZINC000769913996 1052120004 /nfs/dbraw/zinc/12/00/04/1052120004.db2.gz ICWDRNOCWZMUEM-AWEZNQCLSA-N 0 3 306.862 4.216 20 0 DIADHN COc1ccc([C@H](C)[C@@H](C)NCc2ncc(Cl)s2)cc1 ZINC000769918175 1052122963 /nfs/dbraw/zinc/12/29/63/1052122963.db2.gz URQHEJRROJAPDT-GHMZBOCLSA-N 0 3 310.850 4.087 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](Nc1ccccc1)C(C)C ZINC000769874033 1052124308 /nfs/dbraw/zinc/12/43/08/1052124308.db2.gz LSUWYBZBGJFKNF-RDTXWAMCSA-N 0 3 315.461 4.333 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NC[C@@H](CC)Oc1ccccc1F ZINC000769875759 1052126285 /nfs/dbraw/zinc/12/62/85/1052126285.db2.gz PRMZPVYQEPYRBS-TZMCWYRMSA-N 0 3 320.408 4.193 20 0 DIADHN CC[C@H](C)[C@H](NC)c1nnc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001217723015 1052126306 /nfs/dbraw/zinc/12/63/06/1052126306.db2.gz LADDUULRYVQHKZ-WFASDCNBSA-N 0 3 301.434 4.341 20 0 DIADHN CC[C@H](C)[C@H](NC)c1nnc(-c2ccc(OCC(C)C)cc2)o1 ZINC001217723317 1052126617 /nfs/dbraw/zinc/12/66/17/1052126617.db2.gz QKENJOFTBWPENR-BBRMVZONSA-N 0 3 317.433 4.078 20 0 DIADHN CCc1noc(C)c1[C@@H](C)NCCN(CC)c1ccccc1C ZINC000769875022 1052126676 /nfs/dbraw/zinc/12/66/76/1052126676.db2.gz NDDDPQJNCFEVPG-OAHLLOKOSA-N 0 3 315.461 4.031 20 0 DIADHN CC[C@H](C)[C@@H](NC)c1nnc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001217735318 1052130701 /nfs/dbraw/zinc/13/07/01/1052130701.db2.gz LADDUULRYVQHKZ-SWLSCSKDSA-N 0 3 301.434 4.341 20 0 DIADHN COC[C@H](Nc1ccnc2cc(OC)ccc21)c1ccccc1 ZINC000769928243 1052131353 /nfs/dbraw/zinc/13/13/53/1052131353.db2.gz KKLWUUSKUMGUHZ-IBGZPJMESA-N 0 3 308.381 4.043 20 0 DIADHN Cc1ccc(C)c2c1CCC[C@H]2N[C@@H]1CCCc2nc(C)ncc21 ZINC000769972168 1052133145 /nfs/dbraw/zinc/13/31/45/1052133145.db2.gz ZNUWSDAMPMDNNC-WOJBJXKFSA-N 0 3 321.468 4.446 20 0 DIADHN Cc1ccccc1[C@@H](CC(F)(F)F)NC[C@H](O)c1ccccc1 ZINC000769990306 1052137139 /nfs/dbraw/zinc/13/71/39/1052137139.db2.gz LJUIACBVTLXCCT-SJORKVTESA-N 0 3 323.358 4.312 20 0 DIADHN CCCc1csc(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)n1 ZINC000494837447 1052146716 /nfs/dbraw/zinc/14/67/16/1052146716.db2.gz LRLBTLONBSVWFY-NVXWUHKLSA-N 0 3 316.470 4.106 20 0 DIADHN C[C@@H](CCCC(F)(F)F)NCc1nc2c(s1)C[C@@H](C)CC2 ZINC000494845560 1052149678 /nfs/dbraw/zinc/14/96/78/1052149678.db2.gz NOWSCFAOYXWWEE-QWRGUYRKSA-N 0 3 320.424 4.479 20 0 DIADHN CCN(C)c1ccc(CNCc2ccc(C3CCC3)cc2)cn1 ZINC000494846627 1052149754 /nfs/dbraw/zinc/14/97/54/1052149754.db2.gz QZHOYAKQILOJGO-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN Cc1ccn2c(CNCc3ccc(C4CCC4)cc3)cnc2c1 ZINC000494849773 1052152584 /nfs/dbraw/zinc/15/25/84/1052152584.db2.gz IAQPVSAIBURMDS-UHFFFAOYSA-N 0 3 305.425 4.200 20 0 DIADHN CSc1ccc(CN2CC[C@H](Oc3ccc(F)cc3)C2)cc1 ZINC001204141692 1052157153 /nfs/dbraw/zinc/15/71/53/1052157153.db2.gz AWURZJIFXDVQHO-KRWDZBQOSA-N 0 3 317.429 4.201 20 0 DIADHN CSCCCCCN[C@@H](C)c1nc(Br)cs1 ZINC000834770099 1052165165 /nfs/dbraw/zinc/16/51/65/1052165165.db2.gz SPIHXADVDIQDQQ-VIFPVBQESA-N 0 3 323.325 4.090 20 0 DIADHN Cc1cc(N[C@@H](C)c2ccc(-c3ccccc3)cc2)nc(N)n1 ZINC000914570818 1052169393 /nfs/dbraw/zinc/16/93/93/1052169393.db2.gz GSTQUGFCNKQPFO-AWEZNQCLSA-N 0 3 304.397 4.207 20 0 DIADHN CCO[C@@H]1C[C@H](N(C)Cc2cnc(-c3ccco3)s2)C1(C)C ZINC000494929827 1052172203 /nfs/dbraw/zinc/17/22/03/1052172203.db2.gz JUQUGSFNGOFLCS-LSDHHAIUSA-N 0 3 320.458 4.039 20 0 DIADHN CN1CCc2ccc(Nc3cccc(N4CCCC4)c3)cc2C1 ZINC001174490292 1052175657 /nfs/dbraw/zinc/17/56/57/1052175657.db2.gz SSVJPTYUNIHVOF-UHFFFAOYSA-N 0 3 307.441 4.018 20 0 DIADHN COCc1ccccc1CNCc1ccccc1N1CCCCC1 ZINC000914581697 1052179342 /nfs/dbraw/zinc/17/93/42/1052179342.db2.gz MZOPPNQOKSVKOQ-UHFFFAOYSA-N 0 3 324.468 4.113 20 0 DIADHN Cc1nc([C@H]2CCCN(C/C=C/c3ccncc3)C2)sc1C ZINC000494957883 1052181536 /nfs/dbraw/zinc/18/15/36/1052181536.db2.gz VLCKFNVMAJAXPX-RCFWFJCLSA-N 0 3 313.470 4.048 20 0 DIADHN Cc1nc([C@H]2CCCN(C/C=C\c3ccncc3)C2)sc1C ZINC000494957868 1052181960 /nfs/dbraw/zinc/18/19/60/1052181960.db2.gz VLCKFNVMAJAXPX-IVZVJUQRSA-N 0 3 313.470 4.048 20 0 DIADHN COc1ccc([C@H](NC[C@H](C)SC)c2ccc(F)cc2)cc1 ZINC000494989248 1052190799 /nfs/dbraw/zinc/19/07/99/1052190799.db2.gz SLSVGRHHBRGOAV-SCLBCKFNSA-N 0 3 319.445 4.265 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1c(Cl)cc(Cl)c2ccccc21 ZINC001218065552 1052192239 /nfs/dbraw/zinc/19/22/39/1052192239.db2.gz FXMOJHDWEGWJFU-UONOGXRCSA-N 0 3 314.187 4.225 20 0 DIADHN CC(C)(C)c1cc(O)c(O[C@H]2CCNC[C@H]2F)c(C(C)(C)C)c1 ZINC001218065596 1052192007 /nfs/dbraw/zinc/19/20/07/1052192007.db2.gz GDYGJIPWZGIMEX-ZBFHGGJFSA-N 0 3 323.452 4.066 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1ccc(Oc2ccc(Cl)cc2)cc1 ZINC001218065387 1052192307 /nfs/dbraw/zinc/19/23/07/1052192307.db2.gz FKKPKWKJEUNFHR-DLBZAZTESA-N 0 3 321.779 4.211 20 0 DIADHN CC(C)(C)c1cc(O)c(O[C@@H]2CCNC[C@H]2F)c(C(C)(C)C)c1 ZINC001218065591 1052192443 /nfs/dbraw/zinc/19/24/43/1052192443.db2.gz GDYGJIPWZGIMEX-GDBMZVCRSA-N 0 3 323.452 4.066 20 0 DIADHN FC(F)(F)c1ccc(-c2n[nH]cc2CN2CCC23CCC3)cc1 ZINC000494979218 1052192645 /nfs/dbraw/zinc/19/26/45/1052192645.db2.gz LSUVFAVRRHVGRK-UHFFFAOYSA-N 0 3 321.346 4.224 20 0 DIADHN F[C@H]1CNCC[C@H]1Oc1cccc2c(Cl)ccc(Cl)c12 ZINC001218065737 1052192739 /nfs/dbraw/zinc/19/27/39/1052192739.db2.gz YNVDWQPBWAAGFX-QWHCGFSZSA-N 0 3 314.187 4.225 20 0 DIADHN F[C@H]1CNCC[C@@H]1Oc1cccc2c3ccccc3sc21 ZINC001218069661 1052192899 /nfs/dbraw/zinc/19/28/99/1052192899.db2.gz JRWNJCAPEYGXHK-KBPBESRZSA-N 0 3 301.386 4.133 20 0 DIADHN COc1ccc(Br)cc1CN1CCC[C@H]2CCC[C@H]21 ZINC000228042227 1052197240 /nfs/dbraw/zinc/19/72/40/1052197240.db2.gz MSJIBJFUFOMSHM-IUODEOHRSA-N 0 3 324.262 4.222 20 0 DIADHN CC[C@@H](N[C@@H](C)c1cccc(-n2ccnn2)c1)c1ccccc1F ZINC000655783816 1052198851 /nfs/dbraw/zinc/19/88/51/1052198851.db2.gz ZDCXDYKQWANTQU-IFXJQAMLSA-N 0 3 324.403 4.208 20 0 DIADHN CN(C)c1ncccc1CN[C@H](CC(C)(C)C)c1ccccc1 ZINC000495034213 1052199040 /nfs/dbraw/zinc/19/90/40/1052199040.db2.gz ALIOFHHGJKGDNT-GOSISDBHSA-N 0 3 311.473 4.415 20 0 DIADHN FC1(F)CCC(N[C@@H](c2ccccc2)c2ccccn2)CC1 ZINC000495043212 1052200652 /nfs/dbraw/zinc/20/06/52/1052200652.db2.gz DMKQPVYQDWCUTP-KRWDZBQOSA-N 0 3 302.368 4.339 20 0 DIADHN C[C@@H]1C[C@H](N[C@H](c2nc3ccccc3n2C)c2ccccc2)[C@H]1C ZINC000495091683 1052214133 /nfs/dbraw/zinc/21/41/33/1052214133.db2.gz KLHXTAXOTHQIFK-QIJLZVCCSA-N 0 3 319.452 4.297 20 0 DIADHN O[C@H](CN1CCC[C@@H]1/C=C/c1ccccc1)c1ccccc1F ZINC000780399861 1052216447 /nfs/dbraw/zinc/21/64/47/1052216447.db2.gz CXHCWCPQPABTPE-NGRMBUTOSA-N 0 3 311.400 4.037 20 0 DIADHN CCn1c2ccccc2c2cc(CN[C@@H](C)c3cn[nH]c3)ccc21 ZINC000270176094 1052229116 /nfs/dbraw/zinc/22/91/16/1052229116.db2.gz INKCHGHKTRFCQT-AWEZNQCLSA-N 0 3 318.424 4.388 20 0 DIADHN CSCCCCCNCc1nc(-c2ccccc2)cs1 ZINC000229518565 1052232658 /nfs/dbraw/zinc/23/26/58/1052232658.db2.gz GXTACPXDIFRMEL-UHFFFAOYSA-N 0 3 306.500 4.433 20 0 DIADHN Brc1ccc(CN2C[C@@H]3CCCC[C@H]3C2)s1 ZINC000309682331 1052232938 /nfs/dbraw/zinc/23/29/38/1052232938.db2.gz AYZFCFZNUWBIEY-QWRGUYRKSA-N 0 3 300.265 4.133 20 0 DIADHN Fc1ccccc1CN1CCC[C@@H](Oc2cc3ccccc3[nH]2)C1 ZINC001218390511 1052233068 /nfs/dbraw/zinc/23/30/68/1052233068.db2.gz KYDZOERMNDJRLS-QGZVFWFLSA-N 0 3 324.399 4.350 20 0 DIADHN C[C@@H](Oc1cccc2c1CNC2)c1cccc(OC(F)(F)F)c1 ZINC001218435939 1052238664 /nfs/dbraw/zinc/23/86/64/1052238664.db2.gz KTYDKDFPFBHASM-LLVKDONJSA-N 0 3 323.314 4.328 20 0 DIADHN FC1(F)CCNC[C@@H]1Oc1c(Cl)cc(Cl)cc1Cl ZINC001218449621 1052239694 /nfs/dbraw/zinc/23/96/94/1052239694.db2.gz VDEAYCAMBOEQSS-VIFPVBQESA-N 0 3 316.562 4.023 20 0 DIADHN FC1(F)CCNC[C@H]1Oc1cc(Cl)c(Cl)cc1Cl ZINC001218450312 1052240160 /nfs/dbraw/zinc/24/01/60/1052240160.db2.gz ZMDYWCOTOAJKIF-SNVBAGLBSA-N 0 3 316.562 4.023 20 0 DIADHN Cc1ccc([C@H](C)N[C@H]2CCN(c3ccc(F)c(Cl)c3)C2)o1 ZINC000495165743 1052242748 /nfs/dbraw/zinc/24/27/48/1052242748.db2.gz LACYLOFXEASJAY-STQMWFEESA-N 0 3 322.811 4.310 20 0 DIADHN Clc1ccc2cc(O[C@H]3CNCc4ccccc43)ccc2n1 ZINC001218484777 1052246102 /nfs/dbraw/zinc/24/61/02/1052246102.db2.gz WJCVQVQNXCWPLC-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1ccc2cccc(O[C@H]3CNCc4ccccc43)c2n1 ZINC001218485739 1052246375 /nfs/dbraw/zinc/24/63/75/1052246375.db2.gz MTCXEOAYWMFSTF-INIZCTEOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1nccc2cc(O[C@H]3CNCc4ccccc43)ccc21 ZINC001218486548 1052247386 /nfs/dbraw/zinc/24/73/86/1052247386.db2.gz CGFULTKMVBVIBF-KRWDZBQOSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1cnc2cc(O[C@@H]3CNCc4ccccc43)ccc2c1 ZINC001218488162 1052247572 /nfs/dbraw/zinc/24/75/72/1052247572.db2.gz JPTKZOUVVYMVKM-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN Clc1ccc2cc(O[C@@H]3CNCc4ccccc43)cnc2c1 ZINC001218488725 1052248476 /nfs/dbraw/zinc/24/84/76/1052248476.db2.gz LJAUELBMMMTILC-GOSISDBHSA-N 0 3 310.784 4.112 20 0 DIADHN c1sc(O[C@@H]2CNCc3ccccc32)nc1-c1ccccc1 ZINC001218489831 1052250219 /nfs/dbraw/zinc/25/02/19/1052250219.db2.gz WDUOTZGDFLIMRQ-QGZVFWFLSA-N 0 3 308.406 4.033 20 0 DIADHN C=Cc1ccc(NC(=O)[C@H]2CCC[C@H](c3ccccc3)N2)cc1 ZINC001218842147 1052258112 /nfs/dbraw/zinc/25/81/12/1052258112.db2.gz VLZHIWKRQFZQEE-RTBURBONSA-N 0 3 306.409 4.152 20 0 DIADHN CSCCCCCCNCc1csc(-c2ccccn2)n1 ZINC000230149025 1052263634 /nfs/dbraw/zinc/26/36/34/1052263634.db2.gz CWCLVYUSVJQSLG-UHFFFAOYSA-N 0 3 321.515 4.218 20 0 DIADHN C[C@]1(O)CCCN(Cc2sc3ccccc3c2Cl)CC1 ZINC000230375935 1052268483 /nfs/dbraw/zinc/26/84/83/1052268483.db2.gz NKWZDGXZMFUYBX-INIZCTEOSA-N 0 3 309.862 4.292 20 0 DIADHN Clc1ccc(Cl)c(CN[C@@H]2CCCOCC2)c1Cl ZINC000310937288 1052275100 /nfs/dbraw/zinc/27/51/00/1052275100.db2.gz IRXDAYIARXLTEV-SECBINFHSA-N 0 3 308.636 4.306 20 0 DIADHN CCc1cc(CN2CC[C@H](c3cccc(C(F)(F)F)c3)C2)on1 ZINC001203225815 1052281033 /nfs/dbraw/zinc/28/10/33/1052281033.db2.gz XDRTXDHSHROHMU-ZDUSSCGKSA-N 0 3 324.346 4.245 20 0 DIADHN Cc1ccc2cc(CN3CCC[C@@H](C(F)(F)F)C3)ccc2n1 ZINC000189547009 1052281871 /nfs/dbraw/zinc/28/18/71/1052281871.db2.gz XPLNPYDNLGKVRT-OAHLLOKOSA-N 0 3 308.347 4.318 20 0 DIADHN CCc1cc(CN2CC[C@@H](c3cccc(C(F)(F)F)c3)C2)on1 ZINC001203225814 1052281851 /nfs/dbraw/zinc/28/18/51/1052281851.db2.gz XDRTXDHSHROHMU-CYBMUJFWSA-N 0 3 324.346 4.245 20 0 DIADHN C[C@@H](CC1CCCCC1)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001127335515 1052301133 /nfs/dbraw/zinc/30/11/33/1052301133.db2.gz SQFMOUAEJCMAFE-KRWDZBQOSA-N 0 3 320.521 4.070 20 0 DIADHN CCCCCNC(=O)[C@@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000302957097 1052308619 /nfs/dbraw/zinc/30/86/19/1052308619.db2.gz OBMXURKIIQWOAD-JKSUJKDBSA-N 0 3 312.457 4.185 20 0 DIADHN C[C@@H](C1CC1)N(Cc1cn2cc(Cl)cc(Cl)c2n1)C1CC1 ZINC000303111489 1052315071 /nfs/dbraw/zinc/31/50/71/1052315071.db2.gz NYJPSEVGEVCLKB-JTQLQIEISA-N 0 3 324.255 4.404 20 0 DIADHN CCCO[C@@H]1CCCN(Cc2cccc(C(F)(F)F)c2)CC1 ZINC000303364365 1052323436 /nfs/dbraw/zinc/32/34/36/1052323436.db2.gz ICIKGMDFSFCNMA-MRXNPFEDSA-N 0 3 315.379 4.487 20 0 DIADHN Cc1ncc([C@H](C)N[C@H](CN(C)C)c2ccc(Cl)cc2)s1 ZINC000670160926 1052327299 /nfs/dbraw/zinc/32/72/99/1052327299.db2.gz VHIRGSFXVWHZDB-XHDPSFHLSA-N 0 3 323.893 4.058 20 0 DIADHN Cc1ccsc1[C@@H](C)NCc1ccc(OC(C)(C)C)nc1 ZINC000495420555 1052344683 /nfs/dbraw/zinc/34/46/83/1052344683.db2.gz JFQZOPHABNRRCK-CYBMUJFWSA-N 0 3 304.459 4.480 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)N[C@@H](C)CCCC(C)C)s1 ZINC000754677457 1052370220 /nfs/dbraw/zinc/37/02/20/1052370220.db2.gz VXKKKLWDVPXSJK-DZGCQCFKSA-N 0 3 310.507 4.038 20 0 DIADHN CC(C)P(CCNC(=O)[C@H]1CCNc2ccccc21)C(C)C ZINC001221256692 1052383584 /nfs/dbraw/zinc/38/35/84/1052383584.db2.gz INTMVOXIHMDSLG-INIZCTEOSA-N 0 3 320.417 4.001 20 0 DIADHN CCOC(CCNCc1ccc(F)c(Cl)c1Cl)OCC ZINC000762457920 1052386638 /nfs/dbraw/zinc/38/66/38/1052386638.db2.gz VOSMCAFCJAOMFR-UHFFFAOYSA-N 0 3 324.223 4.011 20 0 DIADHN C[C@@H](NCCN1CCc2sccc2C1)c1ccccc1Cl ZINC000495652219 1052395109 /nfs/dbraw/zinc/39/51/09/1052395109.db2.gz BIGQPWXBTHVDOA-CYBMUJFWSA-N 0 3 320.889 4.110 20 0 DIADHN CCc1ccc([C@H](C)NCc2cccc(NC(=O)OC)c2)s1 ZINC000495692632 1052402391 /nfs/dbraw/zinc/40/23/91/1052402391.db2.gz XCWMNYTUQKTXGE-LBPRGKRZSA-N 0 3 318.442 4.340 20 0 DIADHN C[C@@H](NCCc1ccc2ccccc2n1)c1cc(F)ccc1F ZINC000495700099 1052403067 /nfs/dbraw/zinc/40/30/67/1052403067.db2.gz HNDJSWXTEKKOKH-CYBMUJFWSA-N 0 3 312.363 4.406 20 0 DIADHN C[C@@H](Cc1cccc(F)c1)N1CC[C@@H]1COCc1ccccc1 ZINC001168492361 1052408845 /nfs/dbraw/zinc/40/88/45/1052408845.db2.gz QYNPBPGYTGJMOX-OXJNMPFZSA-N 0 3 313.416 4.048 20 0 DIADHN O[C@@H](CN1CCCC[C@H]1c1ccccc1)c1c(F)cccc1F ZINC000762635929 1052411122 /nfs/dbraw/zinc/41/11/22/1052411122.db2.gz WRHVZEPXAXSFNO-ROUUACIJSA-N 0 3 317.379 4.225 20 0 DIADHN O[C@@H](CN1CCCC[C@@H]1c1ccccc1)c1c(F)cccc1F ZINC000762635927 1052411436 /nfs/dbraw/zinc/41/14/36/1052411436.db2.gz WRHVZEPXAXSFNO-MSOLQXFVSA-N 0 3 317.379 4.225 20 0 DIADHN CCCC[C@@H](N)C(=O)Nc1cccc(CSC(C)C)c1C ZINC000236815904 1052431962 /nfs/dbraw/zinc/43/19/62/1052431962.db2.gz HETKSLMGUOINCF-OAHLLOKOSA-N 0 3 308.491 4.093 20 0 DIADHN CC[C@H](NCc1ccc2c(c1)OCCO2)c1cccc(Cl)c1 ZINC000236968843 1052432830 /nfs/dbraw/zinc/43/28/30/1052432830.db2.gz DGXNLSTUUNORSX-INIZCTEOSA-N 0 3 317.816 4.352 20 0 DIADHN COc1ccc(CCNCc2nc(-c3ccccc3)cs2)cc1 ZINC000237263920 1052438634 /nfs/dbraw/zinc/43/86/34/1052438634.db2.gz IDCAQFBRYCKFHX-UHFFFAOYSA-N 0 3 324.449 4.151 20 0 DIADHN COc1ccc([C@@H](C)NCc2coc3ccccc23)cc1OC ZINC000237480321 1052441843 /nfs/dbraw/zinc/44/18/43/1052441843.db2.gz KIETXGAZFOJSPF-CYBMUJFWSA-N 0 3 311.381 4.301 20 0 DIADHN Cc1cc(SC2CCCCC2)ccc1NC(=O)CNC1CC1 ZINC000237794656 1052445534 /nfs/dbraw/zinc/44/55/34/1052445534.db2.gz DUEKPPQFEZPPPE-UHFFFAOYSA-N 0 3 318.486 4.110 20 0 DIADHN Cc1cc(CN[C@@H]2CCSc3ccc(F)cc32)cc(C)c1O ZINC000315765860 1052453296 /nfs/dbraw/zinc/45/32/96/1052453296.db2.gz JSIKGVHLRGKBEU-MRXNPFEDSA-N 0 3 317.429 4.475 20 0 DIADHN CO[C@@H](C)CN(Cc1cccs1)Cc1ccc2[nH]ccc2c1 ZINC000626112855 1052477652 /nfs/dbraw/zinc/47/76/52/1052477652.db2.gz SPXSHXGKRQIZDF-AWEZNQCLSA-N 0 3 314.454 4.267 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(Br)nc1 ZINC001205156447 1052484250 /nfs/dbraw/zinc/48/42/50/1052484250.db2.gz LYRSSSHCWKICBL-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN CC(C)C[C@@H]1CCC[C@H](N[C@@H](C)c2nnc3n2CCCCC3)C1 ZINC000322561971 1052490936 /nfs/dbraw/zinc/49/09/36/1052490936.db2.gz IYZQJAIMBZXOLS-ULQDDVLXSA-N 0 3 318.509 4.260 20 0 DIADHN CCCC[C@@H]1CCC[C@H]1NCc1nnc(-c2cccc(F)c2)o1 ZINC000763037597 1052493861 /nfs/dbraw/zinc/49/38/61/1052493861.db2.gz SXFPZIOHXXOWCR-CZUORRHYSA-N 0 3 317.408 4.324 20 0 DIADHN Brc1cc(CNCc2cccc3cc[nH]c32)cs1 ZINC000397386373 1052508119 /nfs/dbraw/zinc/50/81/19/1052508119.db2.gz VTCJUCNAWMUYFF-UHFFFAOYSA-N 0 3 321.243 4.282 20 0 DIADHN Cc1cc(Br)cc(C)c1CN1CCC[C@@H](CF)C1 ZINC001235784997 1052513461 /nfs/dbraw/zinc/51/34/61/1052513461.db2.gz MPQOHLFHBPOKKP-ZDUSSCGKSA-N 0 3 314.242 4.247 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)CN1CCC[C@@H]1c1ccccc1 ZINC000054670056 1052519900 /nfs/dbraw/zinc/51/99/00/1052519900.db2.gz XNZZZCUHBSSOHP-FXAWDEMLSA-N 0 3 322.452 4.043 20 0 DIADHN COc1ccc(O)cc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001222654571 1052523356 /nfs/dbraw/zinc/52/33/56/1052523356.db2.gz IHKAUZLQYJKWOT-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN Cc1cccc(C)c1CO[C@@H]1CCCN([C@@H](C)c2ccccn2)C1 ZINC001222829371 1052538129 /nfs/dbraw/zinc/53/81/29/1052538129.db2.gz ZEEDXTHWALKEKJ-RBUKOAKNSA-N 0 3 324.468 4.441 20 0 DIADHN CCc1ccc(CO[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001222844002 1052538640 /nfs/dbraw/zinc/53/86/40/1052538640.db2.gz IDMFRLDZUXKXLQ-XLIONFOSSA-N 0 3 324.468 4.386 20 0 DIADHN CCN(CC(=O)Nc1c(C)cccc1C)C[C@H](C)C(C)(C)C ZINC000670264880 1052540776 /nfs/dbraw/zinc/54/07/76/1052540776.db2.gz NGYHUBKJACNLPU-INIZCTEOSA-N 0 3 304.478 4.246 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)[C@@H](C)CCc1ccccc1 ZINC000055898657 1052543209 /nfs/dbraw/zinc/54/32/09/1052543209.db2.gz YUQRXCYBIXKMSB-KRWDZBQOSA-N 0 3 324.468 4.141 20 0 DIADHN CSc1ccccc1NC(=O)[C@@H](C)N[C@H](C)c1ccccc1 ZINC000107071040 1052544487 /nfs/dbraw/zinc/54/44/87/1052544487.db2.gz WTAUCETXERQSLE-ZIAGYGMSSA-N 0 3 314.454 4.086 20 0 DIADHN Cc1ccc(C)c(CO[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001222908253 1052545306 /nfs/dbraw/zinc/54/53/06/1052545306.db2.gz IXMIABCBNQPCBD-PKOBYXMFSA-N 0 3 310.441 4.051 20 0 DIADHN COc1ccccc1-c1noc([C@H](C)NC[C@H](C)C(C)(C)C)n1 ZINC000670270089 1052545698 /nfs/dbraw/zinc/54/56/98/1052545698.db2.gz UFNIKTHEWFTLTJ-STQMWFEESA-N 0 3 317.433 4.078 20 0 DIADHN F[C@]1(c2ccccc2)CCN(Cc2ccnc3ccccc23)C1 ZINC000670272163 1052548352 /nfs/dbraw/zinc/54/83/52/1052548352.db2.gz PEHLOWSTYXRFCB-HXUWFJFHSA-N 0 3 306.384 4.306 20 0 DIADHN CCN1CC[C@@H](OC2(Cl)c3ccccc3-c3ccccc32)C1 ZINC001223116935 1052568743 /nfs/dbraw/zinc/56/87/43/1052568743.db2.gz IGCFZBYMFZWSIO-CQSZACIVSA-N 0 3 313.828 4.218 20 0 DIADHN CN1CCC(OC(Cl)(c2ccccc2)c2ccccc2)CC1 ZINC001223160302 1052573889 /nfs/dbraw/zinc/57/38/89/1052573889.db2.gz SGJHFEATDDJPMS-UHFFFAOYSA-N 0 3 315.844 4.237 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@H](O[C@@H](C)c2ccccc2)C1 ZINC001223446306 1052602091 /nfs/dbraw/zinc/60/20/91/1052602091.db2.gz IYYMSHLHUKZQBJ-AOIWGVFYSA-N 0 3 310.441 4.385 20 0 DIADHN CC(C)(CCNCc1csc(-c2ccccn2)n1)C1CC1 ZINC000328404904 1052622341 /nfs/dbraw/zinc/62/23/41/1052622341.db2.gz FHBKHURHRYXEMI-UHFFFAOYSA-N 0 3 301.459 4.121 20 0 DIADHN Cc1nc(CN(CCc2ccc(F)cc2)C2CCCC2)oc1C ZINC000123460037 1052625275 /nfs/dbraw/zinc/62/52/75/1052625275.db2.gz WJLUUGFXAAWSTM-UHFFFAOYSA-N 0 3 316.420 4.418 20 0 DIADHN COc1ccc([C@H](C)NCc2cnc(C)s2)c2ccccc12 ZINC000123666439 1052632927 /nfs/dbraw/zinc/63/29/27/1052632927.db2.gz FIOQVOABGHHARL-LBPRGKRZSA-N 0 3 312.438 4.464 20 0 DIADHN CC[C@H](NCc1cnn(Cc2ccccc2)c1)c1ccccc1 ZINC000124027233 1052636617 /nfs/dbraw/zinc/63/66/17/1052636617.db2.gz PBIDFVNUWJCFNL-FQEVSTJZSA-N 0 3 305.425 4.172 20 0 DIADHN CC[C@H]1CCN([C@@H](C)C(=O)Nc2c(C)cccc2C(C)C)C1 ZINC000125211749 1052646719 /nfs/dbraw/zinc/64/67/19/1052646719.db2.gz RBOVQPMSYJXNHF-HOTGVXAUSA-N 0 3 302.462 4.177 20 0 DIADHN CC[C@@H]1CCN([C@@H](C)C(=O)Nc2c(C)cccc2C(C)C)C1 ZINC000125211514 1052646801 /nfs/dbraw/zinc/64/68/01/1052646801.db2.gz RBOVQPMSYJXNHF-JKSUJKDBSA-N 0 3 302.462 4.177 20 0 DIADHN CC[C@@H](C)N(CC(=O)Nc1ccccc1C(F)(F)F)C(C)C ZINC000125411541 1052647800 /nfs/dbraw/zinc/64/78/00/1052647800.db2.gz LZAIXHSIWFSUJQ-GFCCVEGCSA-N 0 3 316.367 4.153 20 0 DIADHN Fc1ccc(-c2nc(CN(C3CC3)C3CCCC3)co2)cc1 ZINC000064809243 1052650985 /nfs/dbraw/zinc/65/09/85/1052650985.db2.gz SSPCGXLMROLSON-UHFFFAOYSA-N 0 3 300.377 4.388 20 0 DIADHN COc1cccc2cc(CNCCO[C@H]3CCCC[C@H]3C)oc21 ZINC000916838451 1052657704 /nfs/dbraw/zinc/65/77/04/1052657704.db2.gz CSQFNBFKRROKGB-PBHICJAKSA-N 0 3 317.429 4.126 20 0 DIADHN COc1cccc2cc(CNCCO[C@@H]3CCCC[C@@H]3C)oc21 ZINC000916838455 1052658300 /nfs/dbraw/zinc/65/83/00/1052658300.db2.gz CSQFNBFKRROKGB-WMLDXEAASA-N 0 3 317.429 4.126 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)OCCCO2)c1cccc(Cl)c1 ZINC000126072618 1052665007 /nfs/dbraw/zinc/66/50/07/1052665007.db2.gz IQLNTCFCDPWYIA-CYBMUJFWSA-N 0 3 317.816 4.352 20 0 DIADHN CCS[C@@H](C)c1noc(CN(CC2CC2)C2CCCCC2)n1 ZINC000126673991 1052673468 /nfs/dbraw/zinc/67/34/68/1052673468.db2.gz PCWLRRUZQXHXHD-ZDUSSCGKSA-N 0 3 323.506 4.428 20 0 DIADHN O[C@H](CN1CCC[C@H]1c1ccccc1Cl)c1cccc(F)c1 ZINC000917012009 1052680195 /nfs/dbraw/zinc/68/01/95/1052680195.db2.gz CXLRJNQRNUFCJJ-ZWKOTPCHSA-N 0 3 319.807 4.350 20 0 DIADHN CCN(CC)c1ccc(CN[C@H](C)c2cccc(Cl)c2)cn1 ZINC000126844325 1052682307 /nfs/dbraw/zinc/68/23/07/1052682307.db2.gz IOEPUISBKRULSD-CQSZACIVSA-N 0 3 317.864 4.432 20 0 DIADHN COc1ccc([C@@H](NCC2=CCCOC2)c2ccc(C)cc2)cc1 ZINC000126844672 1052682717 /nfs/dbraw/zinc/68/27/17/1052682717.db2.gz FTCSSHCAOLUKME-NRFANRHFSA-N 0 3 323.436 4.029 20 0 DIADHN Cc1ccc(CN(CC(C)C)[C@@H]2CCCc3cccnc32)nc1 ZINC000126884915 1052683086 /nfs/dbraw/zinc/68/30/86/1052683086.db2.gz RWUXBZIKXRATQX-LJQANCHMSA-N 0 3 309.457 4.321 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@H](C)NCCO[C@@H]1CCCC[C@@H]1C ZINC000127115386 1052687630 /nfs/dbraw/zinc/68/76/30/1052687630.db2.gz LKPFFCMICPQTOZ-RLFYNMQTSA-N 0 3 321.509 4.192 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](OCC2CCC(C)CC2)C1 ZINC001224314974 1052687813 /nfs/dbraw/zinc/68/78/13/1052687813.db2.gz SFVRNQWVQTUBDF-JWHDQAAXSA-N 0 3 316.489 4.450 20 0 DIADHN COc1ccc2c(c1)nccc2-n1ccc2c1cc(Cl)cc2N ZINC001203527507 1052692365 /nfs/dbraw/zinc/69/23/65/1052692365.db2.gz BVVORTOFPYZUQF-UHFFFAOYSA-N 0 3 323.783 4.423 20 0 DIADHN Cc1ccn(-c2ccccc2CN[C@H](C)c2ccc(F)cc2)n1 ZINC000127641518 1052700787 /nfs/dbraw/zinc/70/07/87/1052700787.db2.gz IWSVHCODSBFMKP-OAHLLOKOSA-N 0 3 309.388 4.171 20 0 DIADHN Cc1ccc(CNCc2ccc(F)cc2)cc1Br ZINC000127836934 1052702445 /nfs/dbraw/zinc/70/24/45/1052702445.db2.gz CBONPGNUHPFCDQ-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN CCN1CCc2c(cccc2NC(=O)Nc2ccc(C)cc2)C1 ZINC000067401418 1052703442 /nfs/dbraw/zinc/70/34/42/1052703442.db2.gz MYELNRSIRBGMME-UHFFFAOYSA-N 0 3 309.413 4.017 20 0 DIADHN C[C@@H](NCCc1nccs1)c1cccc(Cl)c1Cl ZINC000127853072 1052703732 /nfs/dbraw/zinc/70/37/32/1052703732.db2.gz OQSBKIBYYYCVLX-SECBINFHSA-N 0 3 301.242 4.343 20 0 DIADHN CC[C@H](C#N)Oc1cccc(CN[C@@H](C)c2ccsc2)c1 ZINC000089725387 1052719490 /nfs/dbraw/zinc/71/94/90/1052719490.db2.gz JPVBYFOCHIMJGU-XJKSGUPXSA-N 0 3 300.427 4.280 20 0 DIADHN CCCCN(Cn1c(=O)oc2cc(Cl)ccc21)[C@@H](C)CC ZINC000917436752 1052727132 /nfs/dbraw/zinc/72/71/32/1052727132.db2.gz DNTLNGXJGUTYIW-LBPRGKRZSA-N 0 3 310.825 4.106 20 0 DIADHN CC[C@@H]1CCC[C@H](NCc2csc(-c3ccccn3)n2)C1 ZINC000068589857 1052753994 /nfs/dbraw/zinc/75/39/94/1052753994.db2.gz AWJFFKVCCLDEKE-KGLIPLIRSA-N 0 3 301.459 4.264 20 0 DIADHN Cc1ccc(C)c(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)c1 ZINC001225220927 1052757964 /nfs/dbraw/zinc/75/79/64/1052757964.db2.gz FJPBVDDIJHPGOK-ROUUACIJSA-N 0 3 310.441 4.303 20 0 DIADHN Fc1cc(F)cc(O[C@@H]2CCCN(Cc3ccccc3)C2)c1 ZINC001225225714 1052758608 /nfs/dbraw/zinc/75/86/08/1052758608.db2.gz BJVCTOGYPMDDNF-QGZVFWFLSA-N 0 3 303.352 4.008 20 0 DIADHN Cc1ccc(C(C)C)cc1O[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001225222480 1052758787 /nfs/dbraw/zinc/75/87/87/1052758787.db2.gz AZWYIFJBQMLARA-WGSAOQKQSA-N 0 3 317.473 4.141 20 0 DIADHN Cc1cccc([C@@H](NCCCc2nccs2)c2ccccn2)c1 ZINC000925443499 1052760194 /nfs/dbraw/zinc/76/01/94/1052760194.db2.gz QTJYKYXIHQUVJR-LJQANCHMSA-N 0 3 323.465 4.158 20 0 DIADHN C[C@H](c1ccccn1)N1CCC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC001225249689 1052762735 /nfs/dbraw/zinc/76/27/35/1052762735.db2.gz FUZACTHBFJSSCL-RHSMWYFYSA-N 0 3 316.832 4.339 20 0 DIADHN CCC(C)(C)NC(=O)[C@@H](C)N[C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917937565 1052765825 /nfs/dbraw/zinc/76/58/25/1052765825.db2.gz GEFXADIWSIDVTD-LSDHHAIUSA-N 0 3 318.505 4.328 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000917940067 1052765988 /nfs/dbraw/zinc/76/59/88/1052765988.db2.gz ZCVLTBLHPTZKKV-XHSDSOJGSA-N 0 3 318.505 4.328 20 0 DIADHN CC(C)N1CCc2c(cccc2NC(=O)Nc2ccccc2)C1 ZINC000068860599 1052766392 /nfs/dbraw/zinc/76/63/92/1052766392.db2.gz HCGPZTHTXMXNIU-UHFFFAOYSA-N 0 3 309.413 4.097 20 0 DIADHN Cc1ccc(F)cc1CNCc1cc(Br)cs1 ZINC000129032180 1052769116 /nfs/dbraw/zinc/76/91/16/1052769116.db2.gz DBNRMIGADYHOQD-UHFFFAOYSA-N 0 3 314.223 4.248 20 0 DIADHN Cc1ccc(F)cc1CNCc1ccc(Br)cc1 ZINC000129050136 1052771500 /nfs/dbraw/zinc/77/15/00/1052771500.db2.gz TZBLOARYUDGXOW-UHFFFAOYSA-N 0 3 308.194 4.186 20 0 DIADHN Cc1cc(O[C@H]2CCCN([C@@H](C)c3ccccn3)C2)ccc1F ZINC001225325925 1052774714 /nfs/dbraw/zinc/77/47/14/1052774714.db2.gz UNZFGSJUWPIVRB-RDJZCZTQSA-N 0 3 314.404 4.134 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2cccc(C(F)F)c2)C1 ZINC001225544633 1052801256 /nfs/dbraw/zinc/80/12/56/1052801256.db2.gz VRJPHRDBDGZOIC-CZUORRHYSA-N 0 3 318.367 4.234 20 0 DIADHN Clc1cc(O[C@@H]2CCN(Cc3ccccc3)C2)cc(Cl)n1 ZINC001225610999 1052808064 /nfs/dbraw/zinc/80/80/64/1052808064.db2.gz VIWAOEJLPYVAMH-CYBMUJFWSA-N 0 3 323.223 4.042 20 0 DIADHN Cc1cc(C)c(/C=C\C(=O)Nc2ccccc2CN(C)C)cc1C ZINC000918562390 1052812378 /nfs/dbraw/zinc/81/23/78/1052812378.db2.gz LQUPYLRQRQJGRQ-KHPPLWFESA-N 0 3 322.452 4.325 20 0 DIADHN CC(C)N1CCC(Oc2nc3cc(Cl)ccc3s2)CC1 ZINC001225727344 1052821686 /nfs/dbraw/zinc/82/16/86/1052821686.db2.gz YPHUNPKCSPUCEM-UHFFFAOYSA-N 0 3 310.850 4.201 20 0 DIADHN C[C@H](N[C@H]1C[C@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000556221671 1052824062 /nfs/dbraw/zinc/82/40/62/1052824062.db2.gz FBFOURHYBQADDD-KWBADKCTSA-N 0 3 306.456 4.125 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](C)Sc2sccc21)c1cc[nH]c(=O)c1 ZINC000556221645 1052824109 /nfs/dbraw/zinc/82/41/09/1052824109.db2.gz FBFOURHYBQADDD-CWSCBRNRSA-N 0 3 306.456 4.125 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1F ZINC001225774401 1052828608 /nfs/dbraw/zinc/82/86/08/1052828608.db2.gz KIKLPILQZVNFGF-WBVHZDCISA-N 0 3 314.404 4.134 20 0 DIADHN CCCN(CC1CCCCC1)CN1C(=O)C[C@@H]1c1ccccc1 ZINC000918736987 1052828775 /nfs/dbraw/zinc/82/87/75/1052828775.db2.gz ZZQOAIGOABOCHC-LJQANCHMSA-N 0 3 314.473 4.210 20 0 DIADHN c1ccc(CN2CCCO[C@H](CSc3ccccc3)C2)cc1 ZINC000556290046 1052833994 /nfs/dbraw/zinc/83/39/94/1052833994.db2.gz BUARQVPOUZYCFP-SFHVURJKSA-N 0 3 313.466 4.070 20 0 DIADHN CC(=O)c1cccc(O[C@H]2CN(Cc3ccccc3)CC[C@H]2C)c1 ZINC001225812131 1052834730 /nfs/dbraw/zinc/83/47/30/1052834730.db2.gz OHBNLROATBBCNI-IERDGZPVSA-N 0 3 323.436 4.179 20 0 DIADHN Cc1ccc(C)c([C@H](C)NCc2ccnc(OC(F)F)c2)c1 ZINC000556293481 1052835021 /nfs/dbraw/zinc/83/50/21/1052835021.db2.gz VERKMGAFDWJZKC-ZDUSSCGKSA-N 0 3 306.356 4.151 20 0 DIADHN CCc1nc(CN[C@@H](c2ccc(C)cc2)C2CCCCC2)n[nH]1 ZINC000556454351 1052852743 /nfs/dbraw/zinc/85/27/43/1052852743.db2.gz WRRSBQVZDGQIMJ-LJQANCHMSA-N 0 3 312.461 4.087 20 0 DIADHN Clc1cccc2c1CCC[C@H]2NCc1cnc2n1CCCC2 ZINC000556611755 1052871481 /nfs/dbraw/zinc/87/14/81/1052871481.db2.gz WDYRKDOINSEIET-QGZVFWFLSA-N 0 3 315.848 4.040 20 0 DIADHN C[C@@H](NCc1nc2cc(Cl)ccc2s1)[C@H]1CC1(F)F ZINC000638443171 1052885893 /nfs/dbraw/zinc/88/58/93/1052885893.db2.gz ISCWNTJYENGDFW-VXNVDRBHSA-N 0 3 302.777 4.083 20 0 DIADHN COCCCOc1ccc(CNCc2cc(C)sc2C)cc1 ZINC000556839929 1052887630 /nfs/dbraw/zinc/88/76/30/1052887630.db2.gz HAORHAGPOHKTIR-UHFFFAOYSA-N 0 3 319.470 4.070 20 0 DIADHN CCN(C[C@@H]1CC1(Cl)Cl)[C@@H](C)c1cccc(OC)c1 ZINC000919770607 1052888411 /nfs/dbraw/zinc/88/84/11/1052888411.db2.gz LVJPGEOORYMRFN-AAEUAGOBSA-N 0 3 302.245 4.272 20 0 DIADHN Fc1ccccc1[C@H]1CCN(Cc2cnc(C3CC3)s2)C1 ZINC000556885019 1052893000 /nfs/dbraw/zinc/89/30/00/1052893000.db2.gz SVKVPELNGUVIOH-ZDUSSCGKSA-N 0 3 302.418 4.149 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)N[C@@H](C)c1cc(C)ccn1 ZINC000556886486 1052893151 /nfs/dbraw/zinc/89/31/51/1052893151.db2.gz VILRJMWVQSWKMS-IYOUNJFTSA-N 0 3 318.848 4.470 20 0 DIADHN c1ccc(CN2CCC[C@H](Oc3nsc4ccccc43)C2)cc1 ZINC001226433915 1052895834 /nfs/dbraw/zinc/89/58/34/1052895834.db2.gz LFKBOTGFMKECLS-INIZCTEOSA-N 0 3 324.449 4.340 20 0 DIADHN C[C@@H](N[C@@H](C)CCc1cccc(F)c1)c1c(F)cncc1F ZINC000556917353 1052898480 /nfs/dbraw/zinc/89/84/80/1052898480.db2.gz QMOJXJJQHOYXCB-NWDGAFQWSA-N 0 3 308.347 4.171 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000556921682 1052900090 /nfs/dbraw/zinc/90/00/90/1052900090.db2.gz QWSPIKWZXYNANG-ZIAGYGMSSA-N 0 3 309.413 4.292 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc2n[nH]cc2c1)c1cnn(C2CCCC2)c1 ZINC000556922528 1052900561 /nfs/dbraw/zinc/90/05/61/1052900561.db2.gz VELDCGCRNURINN-UONOGXRCSA-N 0 3 323.444 4.286 20 0 DIADHN Cc1ccc2c(c1)[C@@H]1CN(C)CC[C@H]1N2C(=O)C=C1CCCCC1 ZINC000919993155 1052908682 /nfs/dbraw/zinc/90/86/82/1052908682.db2.gz OHZQPEVQWFCHEZ-AZUAARDMSA-N 0 3 324.468 4.020 20 0 DIADHN c1nc2ccc(OC3CCN(Cc4ccccc4)CC3)cc2s1 ZINC001226637098 1052917512 /nfs/dbraw/zinc/91/75/12/1052917512.db2.gz IRXNVHWCHQHWSL-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN COc1ccc2cc(CC[C@H](C)Oc3ccnc(N)c3)ccc2c1 ZINC001226651740 1052919473 /nfs/dbraw/zinc/91/94/73/1052919473.db2.gz AZUZYTMJCGGJDN-AWEZNQCLSA-N 0 3 322.408 4.226 20 0 DIADHN OCc1ccccc1CNCc1ccccc1-c1ccccc1 ZINC000920181794 1052925484 /nfs/dbraw/zinc/92/54/84/1052925484.db2.gz BACJALMSJOQJSI-UHFFFAOYSA-N 0 3 303.405 4.136 20 0 DIADHN Cc1nn(-c2ccc(Cl)cc2)c(Cl)c1CNC[C@H]1C[C@@H]1C ZINC000920179417 1052925626 /nfs/dbraw/zinc/92/56/26/1052925626.db2.gz GHSARMIGJTWQQD-CMPLNLGQSA-N 0 3 324.255 4.233 20 0 DIADHN C[C@H](c1ccccn1)N1CC[C@@H](Oc2ccc3c(c2)CCCC3)C1 ZINC001226760024 1052928780 /nfs/dbraw/zinc/92/87/80/1052928780.db2.gz BLAPLGWCILGACF-OXQOHEQNSA-N 0 3 322.452 4.175 20 0 DIADHN C[C@@H]([C@H](Oc1ncccc1Cl)c1ccccc1)N1CCCC1 ZINC001227116057 1052962378 /nfs/dbraw/zinc/96/23/78/1052962378.db2.gz NXQVVTXZDGFVNC-YOEHRIQHSA-N 0 3 316.832 4.339 20 0 DIADHN Cc1ccc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)c2c1CCC2 ZINC001227235641 1052975205 /nfs/dbraw/zinc/97/52/05/1052975205.db2.gz VLIYDJWGPNNPPE-IAGOWNOFSA-N 0 3 322.452 4.093 20 0 DIADHN CO[C@@H]1CCN(CCOc2c(C(C)C)cccc2C(C)C)C1 ZINC001227326110 1052982109 /nfs/dbraw/zinc/98/21/09/1052982109.db2.gz ODFDHMKCSZBQIQ-MRXNPFEDSA-N 0 3 305.462 4.033 20 0 DIADHN COCCN1CCC(Oc2c(C(C)C)cccc2C(C)C)CC1 ZINC001227326316 1052982904 /nfs/dbraw/zinc/98/29/04/1052982904.db2.gz QZWGIJMQVWOPGB-UHFFFAOYSA-N 0 3 319.489 4.423 20 0 DIADHN COC(=O)c1cc(CN(C)[C@@H](c2ccccc2)C(C)C)c(C)o1 ZINC000609578172 1052983261 /nfs/dbraw/zinc/98/32/61/1052983261.db2.gz WKBZGMRSPWGVMI-GOSISDBHSA-N 0 3 315.413 4.204 20 0 DIADHN CCN1CCC[C@H](Oc2ccc(-c3ccc(O)cc3)cc2F)C1 ZINC001227364523 1052985257 /nfs/dbraw/zinc/98/52/57/1052985257.db2.gz SUUNRUCJOAVJNR-KRWDZBQOSA-N 0 3 315.388 4.061 20 0 DIADHN COC(=O)CCCN1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000609766807 1052990562 /nfs/dbraw/zinc/99/05/62/1052990562.db2.gz SGMFRLDYIWUDQC-MRXNPFEDSA-N 0 3 309.837 4.210 20 0 DIADHN CC(=O)c1ccc(O[C@@H]2CN(Cc3ccccc3)CC[C@H]2C)cc1 ZINC001227463614 1052993509 /nfs/dbraw/zinc/99/35/09/1052993509.db2.gz ZSEJUSXWENAHMB-IIBYNOLFSA-N 0 3 323.436 4.179 20 0 DIADHN CC(=O)c1ccc(O[C@@H]2CN(Cc3ccccc3)CC[C@@H]2C)cc1 ZINC001227463610 1052993545 /nfs/dbraw/zinc/99/35/45/1052993545.db2.gz ZSEJUSXWENAHMB-HRAATJIYSA-N 0 3 323.436 4.179 20 0 DIADHN Cc1cc(C)c(C)c(O[C@@H]2CCN([C@@H](C)c3ccccn3)C2)c1 ZINC001227507589 1052996871 /nfs/dbraw/zinc/99/68/71/1052996871.db2.gz WLCXBIXACGPDAI-ZWKOTPCHSA-N 0 3 310.441 4.221 20 0 DIADHN CCCc1ccc(C(=O)Nc2ccccc2CN(C)C)s1 ZINC000630720953 1053011323 /nfs/dbraw/zinc/01/13/23/1053011323.db2.gz OZFPSOBCRUIXRY-UHFFFAOYSA-N 0 3 302.443 4.015 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2ccc(C)c(C)c2)cc1 ZINC000615328924 1053012972 /nfs/dbraw/zinc/01/29/72/1053012972.db2.gz GDRAQOYYJPYRQJ-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccc(C)c(F)c2)cc1 ZINC000615330056 1053013398 /nfs/dbraw/zinc/01/33/98/1053013398.db2.gz XXBBCRJFMBQQMY-CABCVRRESA-N 0 3 314.404 4.120 20 0 DIADHN Cc1nn(Cc2ccccc2Cl)c(Cl)c1CNCC1CC1 ZINC000237689037 1053021128 /nfs/dbraw/zinc/02/11/28/1053021128.db2.gz FPFISDHUPDNGEH-UHFFFAOYSA-N 0 3 324.255 4.046 20 0 DIADHN O[C@@H](CNC(c1ccccc1)c1ccccc1)c1ccccc1 ZINC000068049848 1053021838 /nfs/dbraw/zinc/02/18/38/1053021838.db2.gz DLSRQEBYXIBJIP-FQEVSTJZSA-N 0 3 303.405 4.099 20 0 DIADHN Cc1cc(CNCc2ccccc2N2CCCCC2)ccc1F ZINC000237746004 1053024027 /nfs/dbraw/zinc/02/40/27/1053024027.db2.gz JWBQFJQFTVEEBV-UHFFFAOYSA-N 0 3 312.432 4.414 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2cccc(Cl)c2Cl)cc1 ZINC000237783189 1053027324 /nfs/dbraw/zinc/02/73/24/1053027324.db2.gz BIGRKWCJAPBACE-HNNXBMFYSA-N 0 3 310.224 4.125 20 0 DIADHN COCCN1CCC(Oc2ccc(C3CCCCC3)cc2)CC1 ZINC001227921138 1053029068 /nfs/dbraw/zinc/02/90/68/1053029068.db2.gz QQCVUZXZZJSMBZ-UHFFFAOYSA-N 0 3 317.473 4.224 20 0 DIADHN C#CCOc1ccc(CNC2(c3cccc(Cl)c3)CC2)cc1 ZINC000237845512 1053032475 /nfs/dbraw/zinc/03/24/75/1053032475.db2.gz AHHHZOILZKCIMD-UHFFFAOYSA-N 0 3 311.812 4.131 20 0 DIADHN CCCCC[C@@H](NCc1nnc(-c2ccco2)o1)C(C)(C)C ZINC000179915965 1053035411 /nfs/dbraw/zinc/03/54/11/1053035411.db2.gz OHWAJSIGFWFSBK-CQSZACIVSA-N 0 3 305.422 4.414 20 0 DIADHN Cc1c[nH]c2ccc(O[C@@H]3CCN([C@@H](C)c4ccccn4)C3)cc12 ZINC001228014144 1053036433 /nfs/dbraw/zinc/03/64/33/1053036433.db2.gz FHOAIERNADFSOO-DOTOQJQBSA-N 0 3 321.424 4.086 20 0 DIADHN Cc1cc2cc(CNC[C@](C)(O)c3ccsc3)oc2cc1C ZINC000237910072 1053039400 /nfs/dbraw/zinc/03/94/00/1053039400.db2.gz QKDIWRJVUJTDMY-SFHVURJKSA-N 0 3 315.438 4.108 20 0 DIADHN C[C@H](NC(c1cccs1)c1cccs1)[C@H]1CCCCO1 ZINC000379212285 1053042585 /nfs/dbraw/zinc/04/25/85/1053042585.db2.gz FNUDVGMRBJLIIP-QWHCGFSZSA-N 0 3 307.484 4.446 20 0 DIADHN c1ccc(CN2CCC(Oc3ccc4ccccc4n3)CC2)cc1 ZINC001228086018 1053042714 /nfs/dbraw/zinc/04/27/14/1053042714.db2.gz XSSSCOATPMUKOF-UHFFFAOYSA-N 0 3 318.420 4.278 20 0 DIADHN CC(=O)c1c(F)cccc1O[C@@H](CCN(C)C)c1cccs1 ZINC001228098626 1053043310 /nfs/dbraw/zinc/04/33/10/1053043310.db2.gz ZUXXNQGJBLUCNJ-AWEZNQCLSA-N 0 3 321.417 4.162 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2ccc(C(=O)c3ccccc3)cc2)C1 ZINC001228115065 1053044970 /nfs/dbraw/zinc/04/49/70/1053044970.db2.gz ZYRUKOMKABADHL-HXUWFJFHSA-N 0 3 323.436 4.169 20 0 DIADHN C[C@@H](CN[C@@H](C)c1cc2ccccc2o1)C(=O)OC(C)(C)C ZINC000616176581 1053048430 /nfs/dbraw/zinc/04/84/30/1053048430.db2.gz ZFVWBCUMPROGOV-STQMWFEESA-N 0 3 303.402 4.061 20 0 DIADHN S=c1ccn(CN2CCc3ccccc3C2)c2ccccc12 ZINC000068611563 1053054196 /nfs/dbraw/zinc/05/41/96/1053054196.db2.gz YCAZHSYKFNFPJE-UHFFFAOYSA-N 0 3 306.434 4.387 20 0 DIADHN CC(=O)c1c(O[C@H]2CCCN(C(C)C)C2)ccc2ccccc21 ZINC001228247467 1053056881 /nfs/dbraw/zinc/05/68/81/1053056881.db2.gz BTHISWVLVUNCPS-KRWDZBQOSA-N 0 3 311.425 4.294 20 0 DIADHN CC(=O)c1ccc(C)c(O[C@@H](CCN(C)C)c2cccs2)c1 ZINC001228349307 1053082312 /nfs/dbraw/zinc/08/23/12/1053082312.db2.gz NSURUFVMZZVLDO-INIZCTEOSA-N 0 3 317.454 4.331 20 0 DIADHN c1ccc(C2(NCc3ccc(N4CCCCC4)cc3)CC2)cc1 ZINC000068979786 1053093864 /nfs/dbraw/zinc/09/38/64/1053093864.db2.gz QMUZJPAFIHUXET-UHFFFAOYSA-N 0 3 306.453 4.456 20 0 DIADHN COC1CCC(Oc2ccc3ccccc3c2CN(C)C)CC1 ZINC001228442869 1053104204 /nfs/dbraw/zinc/10/42/04/1053104204.db2.gz XNHGBSQMVNDLCU-UHFFFAOYSA-N 0 3 313.441 4.238 20 0 DIADHN C[C@@H](Oc1ccc2ccccc2c1CN(C)C)C1CCOCC1 ZINC001228439450 1053104396 /nfs/dbraw/zinc/10/43/96/1053104396.db2.gz KSOLFMILFDGJME-OAHLLOKOSA-N 0 3 313.441 4.095 20 0 DIADHN C[C@H](COC(C)(C)C)Oc1ccc2ccccc2c1CN(C)C ZINC001228439801 1053104641 /nfs/dbraw/zinc/10/46/41/1053104641.db2.gz WAYYLJYYRWRAMC-OAHLLOKOSA-N 0 3 315.457 4.484 20 0 DIADHN C[C@@H](COC(C)(C)C)Oc1ccc2ccccc2c1CN(C)C ZINC001228439800 1053105081 /nfs/dbraw/zinc/10/50/81/1053105081.db2.gz WAYYLJYYRWRAMC-HNNXBMFYSA-N 0 3 315.457 4.484 20 0 DIADHN CCC(=O)c1ccccc1O[C@@H](CCN(C)C)c1ccccc1 ZINC001228454879 1053107686 /nfs/dbraw/zinc/10/76/86/1053107686.db2.gz OKNABOOBYQUCKR-IBGZPJMESA-N 0 3 311.425 4.351 20 0 DIADHN Clc1ccc2c(c1Cl)CC[C@H]2N[C@H]1CCCc2n[nH]cc21 ZINC000353411009 1053108685 /nfs/dbraw/zinc/10/86/85/1053108685.db2.gz XWQPJDLVMAHKAY-UONOGXRCSA-N 0 3 322.239 4.371 20 0 DIADHN C[C@H](Cc1ccccn1)N[C@@H](C)c1nc(C(C)(C)C)cs1 ZINC001350933709 1053119394 /nfs/dbraw/zinc/11/93/94/1053119394.db2.gz ZFDAALBPKOKSCL-OLZOCXBDSA-N 0 3 303.475 4.117 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1cccc(Br)n1 ZINC001559647257 1053139366 /nfs/dbraw/zinc/13/93/66/1053139366.db2.gz CQPRLAZCRGCZJJ-TZMCWYRMSA-N 0 3 311.267 4.245 20 0 DIADHN C[C@H]1C[C@H](CCN2CCS[C@H](C(F)(F)F)C2)C[C@@H](C)C1 ZINC001560147610 1053141739 /nfs/dbraw/zinc/14/17/39/1053141739.db2.gz UCOLBNDWHCURNS-DGAVXFQQSA-N 0 3 309.441 4.429 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](C)c2csc3ccccc32)cc1 ZINC001351194518 1053148273 /nfs/dbraw/zinc/14/82/73/1053148273.db2.gz DPMLXZSCDYDXTL-CQSZACIVSA-N 0 3 324.449 4.499 20 0 DIADHN CC(C)(CNCc1c(Cl)cccc1OC(F)F)C1CC1 ZINC001560603361 1053157249 /nfs/dbraw/zinc/15/72/49/1053157249.db2.gz GTKLTWKBIDDIOF-UHFFFAOYSA-N 0 3 303.780 4.467 20 0 DIADHN c1cc(-c2ccn(CN3CC[C@@H]4CCCC[C@H]4C3)n2)cs1 ZINC001318359224 1053164325 /nfs/dbraw/zinc/16/43/25/1053164325.db2.gz ORIWRURZAXXICH-GJZGRUSLSA-N 0 3 301.459 4.081 20 0 DIADHN Cc1nc(-c2ccccc2)n(CN(C2CC2)C2CCCCC2)n1 ZINC001318373146 1053165547 /nfs/dbraw/zinc/16/55/47/1053165547.db2.gz DIECKEVKXHQZFX-UHFFFAOYSA-N 0 3 310.445 4.008 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2ccc3ccoc3c2)C1 ZINC001228725773 1053167620 /nfs/dbraw/zinc/16/76/20/1053167620.db2.gz BTAZLZCEZLLOLO-MAUKXSAKSA-N 0 3 322.408 4.432 20 0 DIADHN c1[nH]nc2c1[C@@H](NCc1ccc(Oc3ccccc3)cc1)CCC2 ZINC000353495787 1053173190 /nfs/dbraw/zinc/17/31/90/1053173190.db2.gz JJMOYGPFKFKHHB-IBGZPJMESA-N 0 3 319.408 4.369 20 0 DIADHN COc1cccc(Br)c1CN1C[C@@H]2CCCC[C@H]2C1 ZINC001236670835 1053182250 /nfs/dbraw/zinc/18/22/50/1053182250.db2.gz WEQIOEKGBHNJSO-STQMWFEESA-N 0 3 324.262 4.080 20 0 DIADHN C[C@H](NC[C@H]1CC[C@@H](C(F)(F)F)O1)c1ccccc1Cl ZINC000721399792 1053188034 /nfs/dbraw/zinc/18/80/34/1053188034.db2.gz WQOHGZXRGTXNJO-CWSCBRNRSA-N 0 3 307.743 4.101 20 0 DIADHN COc1ccccc1/C=C/CNC1(c2ccccc2OC)CCC1 ZINC001318881568 1053201744 /nfs/dbraw/zinc/20/17/44/1053201744.db2.gz CCXJASSJFLMZEO-JXMROGBWSA-N 0 3 323.436 4.386 20 0 DIADHN CCOc1ccc([C@@H](C)Oc2ccc3c(c2)CCN(C)C3)cc1 ZINC001228936503 1053207789 /nfs/dbraw/zinc/20/77/89/1053207789.db2.gz JGBUCKFASWJRNP-OAHLLOKOSA-N 0 3 311.425 4.213 20 0 DIADHN C[C@H](Oc1ccc2c(c1)CCN(C)C2)c1cccc(Cl)c1 ZINC001228943695 1053209984 /nfs/dbraw/zinc/20/99/84/1053209984.db2.gz INAQCDROXQYTJM-ZDUSSCGKSA-N 0 3 301.817 4.468 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)Nc1ccc(C)cc1CN(C)C ZINC001360368590 1053218127 /nfs/dbraw/zinc/21/81/27/1053218127.db2.gz IFAUVSKFKDHCJZ-MRXNPFEDSA-N 0 3 304.478 4.458 20 0 DIADHN COc1ccccc1C1(NCc2ccc3c(c2)CCC3)CCC1 ZINC001319123325 1053220038 /nfs/dbraw/zinc/22/00/38/1053220038.db2.gz PXFKQDAKHJVPRW-UHFFFAOYSA-N 0 3 307.437 4.353 20 0 DIADHN c1ccc(CN2CCC[C@H](Oc3ncccc3C3CC3)C2)cc1 ZINC001229019561 1053226798 /nfs/dbraw/zinc/22/67/98/1053226798.db2.gz CQHDYRQZDJYRCN-SFHVURJKSA-N 0 3 308.425 4.002 20 0 DIADHN CCC[C@@H](CC(C)C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001351807982 1053226907 /nfs/dbraw/zinc/22/69/07/1053226907.db2.gz IJWCRMQLZYFXNE-KRWDZBQOSA-N 0 3 304.478 4.044 20 0 DIADHN CCCCCC[C@@H](C)C(=O)N(CCN(C)C)c1ccccc1 ZINC001351808460 1053226922 /nfs/dbraw/zinc/22/69/22/1053226922.db2.gz RFEQHDZGIAZYMT-QGZVFWFLSA-N 0 3 304.478 4.188 20 0 DIADHN c1ccc(CN2CCC(Oc3ncccc3C3CC3)CC2)cc1 ZINC001229020656 1053226935 /nfs/dbraw/zinc/22/69/35/1053226935.db2.gz NZHRPTNHLVMEPY-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN CC(C)[C@H](NC(=O)[C@H]1CCCN1C(C)C)c1ccccc1Cl ZINC001360457278 1053229657 /nfs/dbraw/zinc/22/96/57/1053229657.db2.gz HLBYVKIJJHCFDX-SJORKVTESA-N 0 3 322.880 4.026 20 0 DIADHN Clc1cc(Cl)nc(O[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC001229041047 1053231136 /nfs/dbraw/zinc/23/11/36/1053231136.db2.gz IJBJJDYIOFQVGP-AWEZNQCLSA-N 0 3 323.223 4.042 20 0 DIADHN COc1ccc([C@@H](C)N[C@H](C)COc2ccccc2F)c(F)c1 ZINC001319284356 1053233602 /nfs/dbraw/zinc/23/36/02/1053233602.db2.gz UVXIZMNFTJKARC-CHWSQXEVSA-N 0 3 321.367 4.092 20 0 DIADHN CC[C@@H](NCc1cc2ccccc2c(Cl)n1)[C@@H]1CCCCO1 ZINC000847079102 1053237313 /nfs/dbraw/zinc/23/73/13/1053237313.db2.gz KTNMVMYEZAVZOV-SJORKVTESA-N 0 3 318.848 4.326 20 0 DIADHN C[C@H](CNC(=O)C[C@@H](C)CC(C)(C)C)N(C)Cc1ccccc1 ZINC001360511936 1053237875 /nfs/dbraw/zinc/23/78/75/1053237875.db2.gz XUGMENNUJDTBPT-IAGOWNOFSA-N 0 3 318.505 4.086 20 0 DIADHN Cc1nc([C@H](C)NCCCCOc2ccccc2)c(C)s1 ZINC001319409362 1053241656 /nfs/dbraw/zinc/24/16/56/1053241656.db2.gz GGLDNMCFUXGTKJ-ZDUSSCGKSA-N 0 3 304.459 4.270 20 0 DIADHN C[C@H](CN[C@H](Cc1ccccc1)c1ncco1)c1ccccc1 ZINC000847099078 1053243089 /nfs/dbraw/zinc/24/30/89/1053243089.db2.gz RZXMRCAGIWIXQV-VQIMIIECSA-N 0 3 306.409 4.352 20 0 DIADHN COc1ccccc1[C@H](N[C@H]1CCCC[C@@H]1C)c1ccccn1 ZINC000090913617 1053247645 /nfs/dbraw/zinc/24/76/45/1053247645.db2.gz RMDVXSCUQZGUHT-KNBMTAEXSA-N 0 3 310.441 4.348 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2Cl)CC1)c1nccs1 ZINC000090956506 1053248484 /nfs/dbraw/zinc/24/84/84/1053248484.db2.gz AONBUKOOLRHXBD-JTQLQIEISA-N 0 3 310.825 4.318 20 0 DIADHN Cc1cnc2ccccc2c1NCc1coc(-c2ccccc2)n1 ZINC001319654013 1053260412 /nfs/dbraw/zinc/26/04/12/1053260412.db2.gz BJDCFUKQUYUPNU-UHFFFAOYSA-N 0 3 315.376 4.232 20 0 DIADHN Clc1cnc(O[C@H]2CCN(Cc3ccccc3)C2)cc1Cl ZINC001229221089 1053276996 /nfs/dbraw/zinc/27/69/96/1053276996.db2.gz NLERMOHMWWWBQA-ZDUSSCGKSA-N 0 3 323.223 4.042 20 0 DIADHN COc1cccc(C[C@H]2CCCN2Cc2ncoc2C(C)C)c1 ZINC001319866278 1053283704 /nfs/dbraw/zinc/28/37/04/1053283704.db2.gz OTPKVFDHLCWUCZ-MRXNPFEDSA-N 0 3 314.429 4.014 20 0 DIADHN Cc1csc(C2(NCCc3ccc(F)cc3F)CCCC2)n1 ZINC001319992665 1053292995 /nfs/dbraw/zinc/29/29/95/1053292995.db2.gz YHMIFHIVCURMJQ-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN Cc1ccc2c(c1)[C@H](Oc1ccc(C(=O)CCN(C)C)cc1)CC2 ZINC001229305925 1053295895 /nfs/dbraw/zinc/29/58/95/1053295895.db2.gz GPXJCNNFJVHYIK-OAQYLSRUSA-N 0 3 323.436 4.196 20 0 DIADHN CO[C@@H](CN[C@@H]1CCc2c1c(F)ccc2F)c1ccc(F)cc1 ZINC001320024893 1053296224 /nfs/dbraw/zinc/29/62/24/1053296224.db2.gz DZTHWLUEJWPVBJ-SJORKVTESA-N 0 3 321.342 4.069 20 0 DIADHN CO[C@H](CN[C@H]1CCc2c1c(F)ccc2F)c1ccc(F)cc1 ZINC001320024886 1053296825 /nfs/dbraw/zinc/29/68/25/1053296825.db2.gz DZTHWLUEJWPVBJ-DLBZAZTESA-N 0 3 321.342 4.069 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N1[C@@H](C)CCC[C@@H]1C)c1ccc(F)cc1 ZINC001320030148 1053298662 /nfs/dbraw/zinc/29/86/62/1053298662.db2.gz HATPWVAQQXAMET-AFIMGQEJSA-N 0 3 320.452 4.044 20 0 DIADHN CN(Cc1cc2ccccc2[nH]1)Cc1cc(-n2ccnc2)cs1 ZINC001320091050 1053304840 /nfs/dbraw/zinc/30/48/40/1053304840.db2.gz GFSGWMBUJQAZIX-UHFFFAOYSA-N 0 3 322.437 4.047 20 0 DIADHN CC[C@@H](OCCN1CCC[C@@H]1c1ccccn1)c1ccccc1 ZINC000649549269 1053316671 /nfs/dbraw/zinc/31/66/71/1053316671.db2.gz ZZZCCFOAFFHRIM-WOJBJXKFSA-N 0 3 310.441 4.386 20 0 DIADHN C[C@H](NC[C@H](O)c1cccc(Cl)c1)c1cccc(F)c1F ZINC000181951289 1053317161 /nfs/dbraw/zinc/31/71/61/1053317161.db2.gz SKXPLDFJCXWPIT-BONVTDFDSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](NC[C@@H](O)c1cccc(Cl)c1)c1cccc(F)c1F ZINC000181951349 1053317643 /nfs/dbraw/zinc/31/76/43/1053317643.db2.gz SKXPLDFJCXWPIT-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN C[C@@H](NC[C@@H](O)c1ccc(Cl)cc1)c1cccc(F)c1F ZINC000181978819 1053319163 /nfs/dbraw/zinc/31/91/63/1053319163.db2.gz BTAXKILEBWVBFJ-MEBBXXQBSA-N 0 3 311.759 4.002 20 0 DIADHN CC(C)c1nc(CN[C@H](C)[C@@H](c2ccccc2)N(C)C)cs1 ZINC001320638941 1053351351 /nfs/dbraw/zinc/35/13/51/1053351351.db2.gz USOBRWXYQCSRRR-PBHICJAKSA-N 0 3 317.502 4.048 20 0 DIADHN COc1ccc2nc(CN(C)[C@@H]3CC[C@H](SC)C3)sc2c1 ZINC001320685991 1053354563 /nfs/dbraw/zinc/35/45/63/1053354563.db2.gz UPKVITCRNJBHNG-YPMHNXCESA-N 0 3 322.499 4.021 20 0 DIADHN C[C@@H]1C[C@H](C)CN(Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000253603296 1053355193 /nfs/dbraw/zinc/35/51/93/1053355193.db2.gz CTOKSNLTHLPCDC-BETUJISGSA-N 0 3 304.821 4.473 20 0 DIADHN CC(=O)c1cccc(-c2ccccc2)c1O[C@H]1CCCN(C)CC1 ZINC001229566590 1053355816 /nfs/dbraw/zinc/35/58/16/1053355816.db2.gz PCZIKPGLQWMUFN-SFHVURJKSA-N 0 3 323.436 4.419 20 0 DIADHN Cn1c2ccccc2nc1[C@@H]1CCCN1CCc1ccc(F)cc1 ZINC001320754406 1053360237 /nfs/dbraw/zinc/36/02/37/1053360237.db2.gz RHLYSKTZEAHYGE-IBGZPJMESA-N 0 3 323.415 4.092 20 0 DIADHN C[C@H](c1cccc(O[C@H]2CCC(=O)c3ccccc32)c1)N(C)C ZINC001229624131 1053368528 /nfs/dbraw/zinc/36/85/28/1053368528.db2.gz ANBJOFSZDRFFCW-VLIAUNLRSA-N 0 3 309.409 4.406 20 0 DIADHN CC(C)CCOC1CCN(CCc2ccc(F)cc2F)CC1 ZINC001320874200 1053370865 /nfs/dbraw/zinc/37/08/65/1053370865.db2.gz WWHQUWBOIBPEJY-UHFFFAOYSA-N 0 3 311.416 4.034 20 0 DIADHN COC(=O)CC[C@H](NCc1csc(C)c1C)c1ccccc1 ZINC001200094672 1053374417 /nfs/dbraw/zinc/37/44/17/1053374417.db2.gz BMSKUEXVLVQETA-KRWDZBQOSA-N 0 3 317.454 4.149 20 0 DIADHN CSCC[C@H](C)N(C)Cc1cnc(-c2ccsc2)s1 ZINC000093558775 1053421266 /nfs/dbraw/zinc/42/12/66/1053421266.db2.gz RVSOGJIPKQPHMJ-NSHDSACASA-N 0 3 312.529 4.445 20 0 DIADHN CCOc1ccc(CNCc2cccc(C(F)F)c2)cc1F ZINC001321068517 1053386292 /nfs/dbraw/zinc/38/62/92/1053386292.db2.gz NZKNIPJJEWRCQR-UHFFFAOYSA-N 0 3 309.331 4.452 20 0 DIADHN CN(C)Cc1ccccc1O[C@@H]1CCc2cc(Cl)ccc21 ZINC001229698943 1053386823 /nfs/dbraw/zinc/38/68/23/1053386823.db2.gz IUHLHGDPKHPAIF-GOSISDBHSA-N 0 3 301.817 4.468 20 0 DIADHN CC(C)c1cccc(O)c1O[C@H]1CCN(Cc2ccccc2)C1 ZINC001229707329 1053389812 /nfs/dbraw/zinc/38/98/12/1053389812.db2.gz JFJIRNQCWAJHRI-KRWDZBQOSA-N 0 3 311.425 4.169 20 0 DIADHN C[C@H](NCC1(c2ccc(F)cc2)CCCC1)c1nccs1 ZINC000093398620 1053400393 /nfs/dbraw/zinc/40/03/93/1053400393.db2.gz GIQJUUKXMDKJKM-ZDUSSCGKSA-N 0 3 304.434 4.445 20 0 DIADHN COc1ccccc1C1(NC[C@H](OC)c2ccccc2)CCC1 ZINC001321446573 1053412047 /nfs/dbraw/zinc/41/20/47/1053412047.db2.gz OMZACPXRADEREW-IBGZPJMESA-N 0 3 311.425 4.052 20 0 DIADHN C[C@H](C[C@H](O)c1ccco1)NCc1c(Cl)oc2ccccc21 ZINC000763523484 1053417438 /nfs/dbraw/zinc/41/74/38/1053417438.db2.gz CMBLHSWVUJPNJS-RISCZKNCSA-N 0 3 319.788 4.281 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccco1)NCc1c(Cl)oc2ccccc21 ZINC000763523485 1053417567 /nfs/dbraw/zinc/41/75/67/1053417567.db2.gz CMBLHSWVUJPNJS-SMDDNHRTSA-N 0 3 319.788 4.281 20 0 DIADHN CCCCCC[C@@H](CC)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC001300140302 1053428567 /nfs/dbraw/zinc/42/85/67/1053428567.db2.gz DBLBSOXGAWHHFM-QGZVFWFLSA-N 0 3 310.526 4.316 20 0 DIADHN c1sc(C2CCCCC2)nc1CNC1(C2CC2)CCOCC1 ZINC001321859037 1053438208 /nfs/dbraw/zinc/43/82/08/1053438208.db2.gz WXLSYTSQNBMOFN-UHFFFAOYSA-N 0 3 320.502 4.240 20 0 DIADHN C[C@@H](C[C@@H](O)c1ccco1)NCc1cc(Cl)ccc1Cl ZINC000763528892 1053445809 /nfs/dbraw/zinc/44/58/09/1053445809.db2.gz XBHWQNCYMHBSRU-IINYFYTJSA-N 0 3 314.212 4.188 20 0 DIADHN Cc1ccc([C@H](C)N[C@H](C)C(=O)Nc2cc(C)cc(C)c2)cc1 ZINC000615328918 1053458182 /nfs/dbraw/zinc/45/81/82/1053458182.db2.gz FSIBJNRCBWXWCI-DLBZAZTESA-N 0 3 310.441 4.290 20 0 DIADHN CCC[C@H](CC(C)C)C(=O)NC[C@@H](C)N(C)Cc1ccccc1 ZINC001435167030 1053459932 /nfs/dbraw/zinc/45/99/32/1053459932.db2.gz YJQDAFKOUHYVMH-IEBWSBKVSA-N 0 3 318.505 4.086 20 0 DIADHN CC(=O)c1cc2ccccc2cc1O[C@H]1CCCN(C(C)C)C1 ZINC001230068161 1053471244 /nfs/dbraw/zinc/47/12/44/1053471244.db2.gz YECPGNHZLRUTGO-SFHVURJKSA-N 0 3 311.425 4.294 20 0 DIADHN Cc1ccccc1Oc1ccccc1CNCc1cc[nH]c(=O)c1 ZINC001200214693 1053473158 /nfs/dbraw/zinc/47/31/58/1053473158.db2.gz ZAQMATOCQQNNCZ-UHFFFAOYSA-N 0 3 320.392 4.178 20 0 DIADHN CC[C@H](C)c1nnc([C@H]2CCCN(Cc3cccc(F)c3)C2)o1 ZINC001322255618 1053473588 /nfs/dbraw/zinc/47/35/88/1053473588.db2.gz INRKBKZZVULLDL-ZFWWWQNUSA-N 0 3 317.408 4.102 20 0 DIADHN CCC[C@H](CC)NCc1nc(Cl)ccc1Br ZINC001200255764 1053503520 /nfs/dbraw/zinc/50/35/20/1053503520.db2.gz PGXFBPUDIFZQRU-VIFPVBQESA-N 0 3 305.647 4.166 20 0 DIADHN CC1(C)CC[C@H](NCc2ccc3oc(=O)oc3c2)c2ccccc21 ZINC001200257439 1053504277 /nfs/dbraw/zinc/50/42/77/1053504277.db2.gz ZBJOFYNFLIIZLQ-INIZCTEOSA-N 0 3 323.392 4.288 20 0 DIADHN CCOc1cccc([C@H](C)NCc2ccc(OCC)c(F)c2)c1 ZINC000152791857 1053530493 /nfs/dbraw/zinc/53/04/93/1053530493.db2.gz INQIAGRLAXHOBT-AWEZNQCLSA-N 0 3 317.404 4.474 20 0 DIADHN C[C@@H](N[C@@H](C)COc1ccccc1F)c1ccc(F)cc1F ZINC001322630296 1053530334 /nfs/dbraw/zinc/53/03/34/1053530334.db2.gz PTCJCEFSINNZBO-NWDGAFQWSA-N 0 3 309.331 4.222 20 0 DIADHN CCOc1ccccc1CCNCc1c(F)cc(C)cc1F ZINC001200291986 1053530350 /nfs/dbraw/zinc/53/03/50/1053530350.db2.gz FEMZFFVUHQECSZ-UHFFFAOYSA-N 0 3 305.368 4.004 20 0 DIADHN C=Cc1ccc(O[C@H]2CCCN([C@H](C)c3ccccn3)C2)cc1 ZINC001230449028 1053539851 /nfs/dbraw/zinc/53/98/51/1053539851.db2.gz UUEKPCWGLXPAQS-APWZRJJASA-N 0 3 308.425 4.329 20 0 DIADHN CC(C)Cc1ncc(CN[C@H](C)COc2ccc(F)cc2)s1 ZINC001200312555 1053542797 /nfs/dbraw/zinc/54/27/97/1053542797.db2.gz CSSIMXSNAGNJDP-CYBMUJFWSA-N 0 3 322.449 4.038 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC(Nc2ccccc2Cl)CC1 ZINC001322853499 1053545136 /nfs/dbraw/zinc/54/51/36/1053545136.db2.gz ZEESDZYNKPIFBV-AWEZNQCLSA-N 0 3 315.848 4.373 20 0 DIADHN CCc1nc(CNCCc2ccc(OC(C)(C)C)cc2)cs1 ZINC001322871996 1053547506 /nfs/dbraw/zinc/54/75/06/1053547506.db2.gz LFUZNESJIRGTAD-UHFFFAOYSA-N 0 3 318.486 4.215 20 0 DIADHN Cc1nc2c(s1)[C@H](N[C@H]1CCc3nc(Cl)ccc31)CCC2 ZINC001322972609 1053556088 /nfs/dbraw/zinc/55/60/88/1053556088.db2.gz DKVIWNJQTQVMMD-SMDDNHRTSA-N 0 3 319.861 4.154 20 0 DIADHN Cc1nc2c(s1)[C@@H](N[C@@H]1CCc3nc(Cl)ccc31)CCC2 ZINC001322972605 1053556465 /nfs/dbraw/zinc/55/64/65/1053556465.db2.gz DKVIWNJQTQVMMD-RISCZKNCSA-N 0 3 319.861 4.154 20 0 DIADHN CC(C)CCOCCN(C)Cc1ccc(C(F)(F)F)cc1 ZINC000615339972 1053565955 /nfs/dbraw/zinc/56/59/55/1053565955.db2.gz CWIWVKLAZFSRDP-UHFFFAOYSA-N 0 3 303.368 4.200 20 0 DIADHN Fc1c(O[C@@H]2CCN(Cc3ccccc3)C2)ccc2ncccc21 ZINC001230670232 1053578057 /nfs/dbraw/zinc/57/80/57/1053578057.db2.gz RXBXHXVKAPBMAS-MRXNPFEDSA-N 0 3 322.383 4.027 20 0 DIADHN c1nn2ccccc2c1CNCc1ccccc1-c1ccccc1 ZINC001323747165 1053598969 /nfs/dbraw/zinc/59/89/69/1053598969.db2.gz FSNSRHBAFUWBNA-UHFFFAOYSA-N 0 3 313.404 4.291 20 0 DIADHN CC(=O)c1cc2c(cc1OC1CCN(C(C)C)CC1)CCCC2 ZINC001230790145 1053604066 /nfs/dbraw/zinc/60/40/66/1053604066.db2.gz HPPWXHBBISLDPM-UHFFFAOYSA-N 0 3 315.457 4.020 20 0 DIADHN COc1cc([C@H](C)N[C@H]2CCc3cc(F)ccc32)ccc1F ZINC000153335364 1053604585 /nfs/dbraw/zinc/60/45/85/1053604585.db2.gz DTSDIBNHDSGXIO-GTNSWQLSSA-N 0 3 303.352 4.312 20 0 DIADHN Brc1ccncc1CN1CCC2(CCCCC2)CC1 ZINC001237381374 1053606540 /nfs/dbraw/zinc/60/65/40/1053606540.db2.gz BMKRBRXMYMMBNB-UHFFFAOYSA-N 0 3 323.278 4.390 20 0 DIADHN Cc1cc(Cl)cc(C[N@@H+](C)C[C@@H](C)c2nccs2)c1[O-] ZINC000183252612 1053614305 /nfs/dbraw/zinc/61/43/05/1053614305.db2.gz KUXKHNGNIDEHHH-LLVKDONJSA-N 0 3 310.850 4.046 20 0 DIADHN Cc1cc(Cl)cc(C[N@H+](C)C[C@@H](C)c2nccs2)c1[O-] ZINC000183252612 1053614316 /nfs/dbraw/zinc/61/43/16/1053614316.db2.gz KUXKHNGNIDEHHH-LLVKDONJSA-N 0 3 310.850 4.046 20 0 DIADHN CC(=O)c1cc(O[C@@H](CCN(C)C)c2cccs2)ccc1F ZINC001230954233 1053634075 /nfs/dbraw/zinc/63/40/75/1053634075.db2.gz OTRXZRFCEPGHFV-INIZCTEOSA-N 0 3 321.417 4.162 20 0 DIADHN C[C@@H](c1nc(-c2ccc(Cl)cc2F)no1)N1[C@H](C)CC[C@H]1C ZINC001324245617 1053638210 /nfs/dbraw/zinc/63/82/10/1053638210.db2.gz OERHMLRVSVIKAB-MXWKQRLJSA-N 0 3 323.799 4.463 20 0 DIADHN FC(F)c1cccc(CNCc2c[nH]nc2-c2cccs2)c1 ZINC001324271823 1053640508 /nfs/dbraw/zinc/64/05/08/1053640508.db2.gz CQLONNZMQIXGBE-UHFFFAOYSA-N 0 3 319.380 4.366 20 0 DIADHN CCC(=O)CCCN1CCC[C@H](c2nc3ccccc3s2)C1 ZINC001324488542 1053656429 /nfs/dbraw/zinc/65/64/29/1053656429.db2.gz OSDSKBBQZDLKHQ-AWEZNQCLSA-N 0 3 316.470 4.235 20 0 DIADHN CCc1ccc(C(=O)Nc2cccc(CN(C)C)c2)cc1CC ZINC001324891191 1053687540 /nfs/dbraw/zinc/68/75/40/1053687540.db2.gz NVXZTIZEKAZLCU-UHFFFAOYSA-N 0 3 310.441 4.125 20 0 DIADHN COc1ccccc1C1(NCc2cccc3cc[nH]c32)CCC1 ZINC001324923654 1053689526 /nfs/dbraw/zinc/68/95/26/1053689526.db2.gz CAFHCCOORPRMQF-UHFFFAOYSA-N 0 3 306.409 4.346 20 0 DIADHN CO[C@H](c1ccc(Cl)cc1)[C@@H](C)[NH2+][C@H](C)c1ccccc1[O-] ZINC000183608373 1053692644 /nfs/dbraw/zinc/69/26/44/1053692644.db2.gz KTUWVAAOEVQDQH-VFVRVIDISA-N 0 3 319.832 4.472 20 0 DIADHN CCOc1cc(Cl)ccc1C[NH2+][C@@H](C)c1ccccc1[O-] ZINC000183627301 1053699674 /nfs/dbraw/zinc/69/96/74/1053699674.db2.gz ZGURKDAAPGKXHW-LBPRGKRZSA-N 0 3 305.805 4.295 20 0 DIADHN Oc1cccc2c1CC[C@H]2NCc1ccc(C(F)(F)F)cc1 ZINC000264538567 1053712853 /nfs/dbraw/zinc/71/28/53/1053712853.db2.gz OTHCLBRAPJDXCW-OAHLLOKOSA-N 0 3 307.315 4.188 20 0 DIADHN CCN(CC(C)C)[C@@H](C)c1nnc(-c2ccc(C)c(C)c2)o1 ZINC000153769074 1053714461 /nfs/dbraw/zinc/71/44/61/1053714461.db2.gz LVNFHHGOXLXIJJ-HNNXBMFYSA-N 0 3 301.434 4.392 20 0 DIADHN Cc1cc(C)cc(CCC(=O)Nc2cc(CN(C)C)ccc2C)c1 ZINC000153833510 1053716537 /nfs/dbraw/zinc/71/65/37/1053716537.db2.gz MAGHSFVUEVYMLL-UHFFFAOYSA-N 0 3 324.468 4.245 20 0 DIADHN C[C@@H]1C[C@@H](C(C)(C)C)CCN1Cc1ccc([S@](C)=O)cc1 ZINC001325753898 1053727960 /nfs/dbraw/zinc/72/79/60/1053727960.db2.gz UHMLQZWFINSFMN-ZJTDPSCFSA-N 0 3 307.503 4.071 20 0 DIADHN COc1ccc([C@@H](C)N[C@H]2CCc3c2cccc3C)c(OC)c1 ZINC000154168542 1053729433 /nfs/dbraw/zinc/72/94/33/1053729433.db2.gz GOMPOYYBXWSKKG-KUHUBIRLSA-N 0 3 311.425 4.350 20 0 DIADHN c1cc2ccnc(OC3CCN(Cc4ccccc4)CC3)c2s1 ZINC001231347352 1053732999 /nfs/dbraw/zinc/73/29/99/1053732999.db2.gz KSPDPBLQYNWEFQ-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001435969989 1053740276 /nfs/dbraw/zinc/74/02/76/1053740276.db2.gz DRQNTJXTAQXEAE-OGWHTMIXSA-N 0 3 316.489 4.010 20 0 DIADHN CCCC(=CC(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C)CCC ZINC001435971808 1053742111 /nfs/dbraw/zinc/74/21/11/1053742111.db2.gz ZTJMUIIHWXMFJR-JXFKEZNVSA-N 0 3 316.489 4.321 20 0 DIADHN COc1ccc(C[C@@H](C)NCc2cc(-c3ccccc3)on2)cc1 ZINC000154752702 1053746465 /nfs/dbraw/zinc/74/64/65/1053746465.db2.gz IXRWWSNKBKYSNX-OAHLLOKOSA-N 0 3 322.408 4.071 20 0 DIADHN Cc1ccc(CN(C)CCC(=O)c2ccccc2)cc1Cl ZINC001231423346 1053746912 /nfs/dbraw/zinc/74/69/12/1053746912.db2.gz YQWAMQVMWSFNRS-UHFFFAOYSA-N 0 3 301.817 4.353 20 0 DIADHN CC/C(C)=C(/C)C(=O)N[C@H](CN(C)Cc1ccccc1)C(C)C ZINC001435993174 1053747059 /nfs/dbraw/zinc/74/70/59/1053747059.db2.gz BIFYJRAAALJBAM-JJCXBQPYSA-N 0 3 316.489 4.006 20 0 DIADHN O=c1[nH]ccc2c1CCN(Cc1ccc(-c3cccs3)cc1)C2 ZINC001231430004 1053748436 /nfs/dbraw/zinc/74/84/36/1053748436.db2.gz CKARDSCCKGSHBJ-UHFFFAOYSA-N 0 3 322.433 4.074 20 0 DIADHN CC(C)n1ncc2cc(CN3CCC[C@H]3c3ccccc3)cnc21 ZINC000154788233 1053750222 /nfs/dbraw/zinc/75/02/22/1053750222.db2.gz DQBJAVCSJZRXNC-IBGZPJMESA-N 0 3 320.440 4.349 20 0 DIADHN CN(C)c1ccc2cc(CN(C)Cc3cccc(O)c3)ccc2c1 ZINC001231438803 1053753673 /nfs/dbraw/zinc/75/36/73/1053753673.db2.gz HWJSEKRDOAURKY-UHFFFAOYSA-N 0 3 320.436 4.243 20 0 DIADHN Fc1ccccc1OCCNCc1ccc(Cl)cc1Cl ZINC000620851234 1053760201 /nfs/dbraw/zinc/76/02/01/1053760201.db2.gz ULEQYQJKSBWRBF-UHFFFAOYSA-N 0 3 314.187 4.301 20 0 DIADHN Cc1ncsc1CN(CC(C)C)[C@H]1CCCc2cccnc21 ZINC000155214066 1053768660 /nfs/dbraw/zinc/76/86/60/1053768660.db2.gz ZDGZROXEJVGCMD-INIZCTEOSA-N 0 3 315.486 4.382 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2N[C@H](C)c1sc(C)nc1C ZINC000268773592 1053769183 /nfs/dbraw/zinc/76/91/83/1053769183.db2.gz GAOFMGNCAMJLNH-SJKOYZFVSA-N 0 3 316.470 4.497 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2nc(-c3ccco3)no2)C1 ZINC000155214062 1053769273 /nfs/dbraw/zinc/76/92/73/1053769273.db2.gz MAPSFHJEWOBONO-CABCVRRESA-N 0 3 323.396 4.016 20 0 DIADHN Cc1c(C)c(C)c(CN2CCC[C@H]2c2cnccn2)c(C)c1C ZINC001231494043 1053769871 /nfs/dbraw/zinc/76/98/71/1053769871.db2.gz GXARKLAGPJMEPH-FQEVSTJZSA-N 0 3 309.457 4.356 20 0 DIADHN C[C@H](NCc1cccc(CO)c1)c1cccc(C(F)(F)F)c1 ZINC000268845411 1053769891 /nfs/dbraw/zinc/76/98/91/1053769891.db2.gz DQOALNJCCUTFDF-LBPRGKRZSA-N 0 3 309.331 4.049 20 0 DIADHN CC(C)COc1ccc([C@H](C)NCc2cccc(CO)c2)cc1 ZINC000268835086 1053769963 /nfs/dbraw/zinc/76/99/63/1053769963.db2.gz BCLCTLWDDIXUSU-INIZCTEOSA-N 0 3 313.441 4.065 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccsc2)cc(OC)c1OC ZINC000268859402 1053771944 /nfs/dbraw/zinc/77/19/44/1053771944.db2.gz YMDBEDGMFZTRJR-NEPJUHHUSA-N 0 3 321.442 4.186 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2cccc(NC(C)=O)c2)cc1 ZINC000155456525 1053778072 /nfs/dbraw/zinc/77/80/72/1053778072.db2.gz BJDJZGRVFGXVSU-OAHLLOKOSA-N 0 3 310.441 4.448 20 0 DIADHN COC[C@H]1CCN(Cc2ccc(F)c(Oc3ccccc3)c2)C1 ZINC001231537348 1053782591 /nfs/dbraw/zinc/78/25/91/1053782591.db2.gz SUZZCIHLKVXBTP-INIZCTEOSA-N 0 3 315.388 4.086 20 0 DIADHN Brc1c[nH]c(CN(Cc2ccccc2)C2CCC2)c1 ZINC001231577989 1053788582 /nfs/dbraw/zinc/78/85/82/1053788582.db2.gz FHFFNSIKGSZIFF-UHFFFAOYSA-N 0 3 319.246 4.332 20 0 DIADHN CCN(Cc1cc(Br)c[nH]1)Cc1ccc(C)c(C)c1 ZINC001231579414 1053790393 /nfs/dbraw/zinc/79/03/93/1053790393.db2.gz GBWBNPWPGGLRJQ-UHFFFAOYSA-N 0 3 321.262 4.416 20 0 DIADHN COc1cc([C@H](C)N[C@H](C)c2ccc3c(c2)CCCC3)ccn1 ZINC000671098561 1053794738 /nfs/dbraw/zinc/79/47/38/1053794738.db2.gz MCLHIKNVOMGLQK-CABCVRRESA-N 0 3 310.441 4.381 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC(N2c3ccccc3C[C@H]2C)CC1 ZINC000620936563 1053799570 /nfs/dbraw/zinc/79/95/70/1053799570.db2.gz NZHSAPMMBSUKQN-SJORKVTESA-N 0 3 321.468 4.058 20 0 DIADHN Cc1ccc2ncc(CN[C@H]3CCc4cc(Cl)ccc43)n2c1 ZINC000156231030 1053802005 /nfs/dbraw/zinc/80/20/05/1053802005.db2.gz KPEUEOBOEKHWFG-KRWDZBQOSA-N 0 3 311.816 4.073 20 0 DIADHN CCOc1cc(CN[C@@H](CC)c2ccc(F)cc2)ccc1O ZINC001326787559 1053802788 /nfs/dbraw/zinc/80/27/88/1053802788.db2.gz RCRJCSOZXUPPSK-INIZCTEOSA-N 0 3 303.377 4.171 20 0 DIADHN CCNC(=O)c1ccc(CN[C@H](C)c2ccc(C(C)C)cc2)cc1 ZINC000156459845 1053811661 /nfs/dbraw/zinc/81/16/61/1053811661.db2.gz IUSYDDBKSZBDTI-MRXNPFEDSA-N 0 3 324.468 4.411 20 0 DIADHN CSc1ccc(CCNCc2csc(C(C)C)n2)cc1 ZINC001326942537 1053812325 /nfs/dbraw/zinc/81/23/25/1053812325.db2.gz FNIWEVYLGGAFBJ-UHFFFAOYSA-N 0 3 306.500 4.321 20 0 DIADHN C[C@H]1Cc2cc(CNC3(c4cccc(Cl)c4)CC3)ccc2O1 ZINC001200612060 1053814574 /nfs/dbraw/zinc/81/45/74/1053814574.db2.gz ZCXODWVGUXLIIM-ZDUSSCGKSA-N 0 3 313.828 4.442 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(OC(C)(C)C)cc2)cn1 ZINC000156753161 1053824794 /nfs/dbraw/zinc/82/47/94/1053824794.db2.gz DWQZBMRDPVYOSE-CQSZACIVSA-N 0 3 314.429 4.118 20 0 DIADHN CC(C)COc1ccc([C@H](C)NCc2ccc(CO)cc2)cc1 ZINC000272372958 1053827666 /nfs/dbraw/zinc/82/76/66/1053827666.db2.gz AYMBRNXJKYIPCR-INIZCTEOSA-N 0 3 313.441 4.065 20 0 DIADHN CCOc1ccc([C@H]2CCCN2Cc2cc3cc[nH]c3cn2)cc1 ZINC001231668883 1053831114 /nfs/dbraw/zinc/83/11/14/1053831114.db2.gz MRILEIQCTQQSJJ-HXUWFJFHSA-N 0 3 321.424 4.299 20 0 DIADHN CCCOc1ccc([C@@H](C)N[C@@H](C)c2ccnc(OC)c2)cc1 ZINC000671106199 1053833180 /nfs/dbraw/zinc/83/31/80/1053833180.db2.gz TUJVIBNGQFVRMK-CABCVRRESA-N 0 3 314.429 4.291 20 0 DIADHN CC(C)N(CCCCCO)Cc1nc(-c2ccccc2)cs1 ZINC001231685292 1053835009 /nfs/dbraw/zinc/83/50/09/1053835009.db2.gz LGBBVOHASYZNCL-UHFFFAOYSA-N 0 3 318.486 4.183 20 0 DIADHN CC(C)[C@H](O)[C@@H](NCc1cccc2ccoc21)c1ccccc1 ZINC001327211119 1053840917 /nfs/dbraw/zinc/84/09/17/1053840917.db2.gz VXBUSVDVNQUFQL-OALUTQOASA-N 0 3 309.409 4.281 20 0 DIADHN C[S@](=O)c1ccc(CN(Cc2ccccc2)C(C)(C)C)cc1 ZINC000157364724 1053850123 /nfs/dbraw/zinc/85/01/23/1053850123.db2.gz VYCMTAIBWHWVFV-JOCHJYFZSA-N 0 3 315.482 4.225 20 0 DIADHN CSc1ccccc1CN1CC[C@H](Oc2ccc(F)cc2)C1 ZINC001231785554 1053855644 /nfs/dbraw/zinc/85/56/44/1053855644.db2.gz SWIAERDFKPIXSK-KRWDZBQOSA-N 0 3 317.429 4.201 20 0 DIADHN CC(C)Cc1ccc(CN2C[C@@H](CF)[C@H](C(F)(F)F)C2)cc1 ZINC001231786479 1053856824 /nfs/dbraw/zinc/85/68/24/1053856824.db2.gz DIVOSEXDXBGJRK-HZPDHXFCSA-N 0 3 317.370 4.465 20 0 DIADHN CCCC1CCN(Cc2cnc(-c3ccccc3)nc2C)CC1 ZINC001231796433 1053859449 /nfs/dbraw/zinc/85/94/49/1053859449.db2.gz BRWSKLYJUIDBKF-UHFFFAOYSA-N 0 3 309.457 4.464 20 0 DIADHN Cc1ccc([C@@H](O)CNCc2ccc(-c3cccs3)cc2)o1 ZINC001200673264 1053872797 /nfs/dbraw/zinc/87/27/97/1053872797.db2.gz YPHCUQLLXGLGLL-INIZCTEOSA-N 0 3 313.422 4.140 20 0 DIADHN Cc1ccnn1C1CCN(Cc2ccc(-c3ccccc3)o2)CC1 ZINC001231813953 1053873455 /nfs/dbraw/zinc/87/34/55/1053873455.db2.gz USZTZLSWVNVDJS-UHFFFAOYSA-N 0 3 321.424 4.289 20 0 DIADHN C[C@@H](c1nnc(-c2ccccc2)o1)N1CCC2(CCCC2)CC1 ZINC000158436969 1053902044 /nfs/dbraw/zinc/90/20/44/1053902044.db2.gz QNXPLEPTXBQRKH-HNNXBMFYSA-N 0 3 311.429 4.454 20 0 DIADHN F[C@@H]1CCCN(Cc2ccc(OC3CCCCC3)nc2)CC1 ZINC001231874578 1053902580 /nfs/dbraw/zinc/90/25/80/1053902580.db2.gz WYPDBGOQUSRPTJ-MRXNPFEDSA-N 0 3 306.425 4.117 20 0 DIADHN FC(F)(F)c1cc(Cl)c(CN2CC[C@@H]3CCC[C@H]3C2)cn1 ZINC001231898974 1053908947 /nfs/dbraw/zinc/90/89/47/1053908947.db2.gz BPAREIPTZJRNLE-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)NCc2ccn(C)c2)cc1 ZINC000158579440 1053910531 /nfs/dbraw/zinc/91/05/31/1053910531.db2.gz YTAWECHTFRHCNV-MRXNPFEDSA-N 0 3 300.446 4.301 20 0 DIADHN FC(F)(F)c1cnc(Cl)cc1CN(CC1CC1)C1CC1 ZINC001231933872 1053918750 /nfs/dbraw/zinc/91/87/50/1053918750.db2.gz YUQZXIKRCSISLC-UHFFFAOYSA-N 0 3 304.743 4.128 20 0 DIADHN Fc1ccccc1[C@H]1CCCCN1Cc1cccc2c[nH]nc21 ZINC001231972561 1053925048 /nfs/dbraw/zinc/92/50/48/1053925048.db2.gz NXSNGAASJRMAPI-GOSISDBHSA-N 0 3 309.388 4.429 20 0 DIADHN CCC[C@H](NC[C@@H](c1ccco1)N(CC)CC)c1ccccn1 ZINC000158865914 1053925203 /nfs/dbraw/zinc/92/52/03/1053925203.db2.gz HSLXDQMPKJBALQ-WMZOPIPTSA-N 0 3 315.461 4.189 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@H](CCc2ccccc2)C1 ZINC001231986964 1053928314 /nfs/dbraw/zinc/92/83/14/1053928314.db2.gz PWPCJNDMFDVMDG-SFHVURJKSA-N 0 3 312.432 4.374 20 0 DIADHN Cc1cc(F)ncc1CN1CCC2(CCCc3ccccc32)CC1 ZINC001231980376 1053930293 /nfs/dbraw/zinc/93/02/93/1053930293.db2.gz VURUNGGQTAJCAF-UHFFFAOYSA-N 0 3 324.443 4.399 20 0 DIADHN Cc1cc(CN2CCC3(CC2)O[C@H](C)c2ccccc23)cc(C)n1 ZINC001232000851 1053933414 /nfs/dbraw/zinc/93/34/14/1053933414.db2.gz AHCAQEQWEZIHQO-QGZVFWFLSA-N 0 3 322.452 4.281 20 0 DIADHN COc1ccc(F)c(CNC[C@H](C)Oc2ccc(Cl)cc2)c1 ZINC001200740582 1053934755 /nfs/dbraw/zinc/93/47/55/1053934755.db2.gz DAUCGLZUDVIORB-LBPRGKRZSA-N 0 3 323.795 4.045 20 0 DIADHN CO[C@@H](CNCc1cccc(Cl)c1F)c1ccc(F)cc1 ZINC001200749730 1053938914 /nfs/dbraw/zinc/93/89/14/1053938914.db2.gz CBKYLXOYZLFSSI-HNNXBMFYSA-N 0 3 311.759 4.096 20 0 DIADHN Cc1cnc2ccccc2c1N1C[C@H](C)O[C@@H](CC(F)(F)F)C1 ZINC001328601063 1053941373 /nfs/dbraw/zinc/94/13/73/1053941373.db2.gz IVUBENRFPLUAFT-STQMWFEESA-N 0 3 324.346 4.089 20 0 DIADHN COc1cccc2[nH]cc(CN3CCCC[C@H]3c3cccnc3)c21 ZINC001232036020 1053944652 /nfs/dbraw/zinc/94/46/52/1053944652.db2.gz VTRDIRBTYGLECT-SFHVURJKSA-N 0 3 321.424 4.299 20 0 DIADHN CCCCN(CC(=O)NCc1ccccc1)[C@@H](C)c1ccccc1 ZINC000621301547 1053946287 /nfs/dbraw/zinc/94/62/87/1053946287.db2.gz QXZAIOSJSOIEHZ-SFHVURJKSA-N 0 3 324.468 4.166 20 0 DIADHN CSc1ccc(F)cc1CNCc1cc2ccncc2s1 ZINC001200760896 1053947934 /nfs/dbraw/zinc/94/79/34/1053947934.db2.gz IVXOQMFPPHBJAW-UHFFFAOYSA-N 0 3 318.442 4.447 20 0 DIADHN CCCc1ccc(CNCc2cccc(NC(=O)CC)c2)s1 ZINC000621329984 1053949497 /nfs/dbraw/zinc/94/94/97/1053949497.db2.gz VIXBUKNJCDNQPH-UHFFFAOYSA-N 0 3 316.470 4.339 20 0 DIADHN Fc1cccc(CC2CCN(Cc3cc4ncccc4[nH]3)CC2)c1 ZINC001232065764 1053950152 /nfs/dbraw/zinc/95/01/52/1053950152.db2.gz HOPQDMAMTJNYJK-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CCCc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)[nH]c3c2)s1 ZINC000621335772 1053951616 /nfs/dbraw/zinc/95/16/16/1053951616.db2.gz YSZJZEDGSKYRKX-NSHDSACASA-N 0 3 315.442 4.133 20 0 DIADHN C[C@H](N[C@H]1CCC[C@H](F)C1)c1cc(-c2ccccc2)n(C)n1 ZINC001328717315 1053951917 /nfs/dbraw/zinc/95/19/17/1053951917.db2.gz QULXTSJBNVFHJR-BPUTZDHNSA-N 0 3 301.409 4.018 20 0 DIADHN c1c(CN(Cc2ccccn2)C2CCCCC2)[nH]c2cccnc12 ZINC001232065406 1053953113 /nfs/dbraw/zinc/95/31/13/1053953113.db2.gz UZDXAAYFPMBBJF-UHFFFAOYSA-N 0 3 320.440 4.293 20 0 DIADHN CN(CCCc1ccccc1)Cc1cccc(C(F)(F)F)n1 ZINC001232072989 1053956313 /nfs/dbraw/zinc/95/63/13/1053956313.db2.gz YHKCFEGGHQMDBP-UHFFFAOYSA-N 0 3 308.347 4.165 20 0 DIADHN Cc1cc(CN2CCC(Nc3ccccc3Cl)CC2)ccn1 ZINC001328898212 1053957461 /nfs/dbraw/zinc/95/74/61/1053957461.db2.gz WECKXQHJXSDIFL-UHFFFAOYSA-N 0 3 315.848 4.120 20 0 DIADHN c1c2ccncc2[nH]c1CN1CCC(Cc2ccccc2)CC1 ZINC001232089317 1053960159 /nfs/dbraw/zinc/96/01/59/1053960159.db2.gz RLXJESGTEPFLSP-UHFFFAOYSA-N 0 3 305.425 4.018 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN(Cc3ccc(C)nc3C)C2)cc1 ZINC001232084024 1053960349 /nfs/dbraw/zinc/96/03/49/1053960349.db2.gz VGHDNAOTBNNJEW-HXUWFJFHSA-N 0 3 310.441 4.050 20 0 DIADHN FC1(F)C[C@@H]1CCNCc1csc(-c2ccsc2)n1 ZINC000621378665 1053961206 /nfs/dbraw/zinc/96/12/06/1053961206.db2.gz BCWGWCVHXWAWQN-JTQLQIEISA-N 0 3 300.399 4.007 20 0 DIADHN Fc1ccc(CC2CCN(Cc3cc4ccncc4[nH]3)CC2)cc1 ZINC001232094721 1053962835 /nfs/dbraw/zinc/96/28/35/1053962835.db2.gz XFFHJCGHNRTYCD-UHFFFAOYSA-N 0 3 323.415 4.157 20 0 DIADHN CCC(C)(C)[C@@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001300714664 1053967427 /nfs/dbraw/zinc/96/74/27/1053967427.db2.gz JCZIXBVEGYLEAE-MRXNPFEDSA-N 0 3 316.489 4.227 20 0 DIADHN C[C@@H]1C[C@H](F)CN1Cc1ccc(-c2cnc(Cl)c(F)c2)cc1 ZINC001232135235 1053968348 /nfs/dbraw/zinc/96/83/48/1053968348.db2.gz QPRPEYSQFGRYFY-ABAIWWIYSA-N 0 3 322.786 4.473 20 0 DIADHN c1nc(-c2cccnc2)oc1CN1CCCC[C@H]1c1ccccc1 ZINC001232130863 1053969467 /nfs/dbraw/zinc/96/94/67/1053969467.db2.gz KLVQVGWYLXTJCQ-IBGZPJMESA-N 0 3 319.408 4.464 20 0 DIADHN COc1ccnc(Cl)c1CN1CCC[C@@H]1C1CCCCC1 ZINC001232154337 1053976573 /nfs/dbraw/zinc/97/65/73/1053976573.db2.gz MNIQABOYVHBSCP-OAHLLOKOSA-N 0 3 308.853 4.288 20 0 DIADHN Cc1cccc(Oc2ccc(NC(=O)[C@H](N)CC(C)C)cc2)c1 ZINC000621525015 1053981480 /nfs/dbraw/zinc/98/14/80/1053981480.db2.gz YWNAMJDIRYBMNA-GOSISDBHSA-N 0 3 312.413 4.099 20 0 DIADHN Cc1cc(C)cc(CNCc2ccc(Br)c(F)c2)c1 ZINC000621564999 1053982515 /nfs/dbraw/zinc/98/25/15/1053982515.db2.gz SWKUNYITDYMUND-UHFFFAOYSA-N 0 3 322.221 4.495 20 0 DIADHN C[C@@H]1CN(Cc2ccnc3c(F)cccc23)Cc2ccccc21 ZINC001232205446 1053984880 /nfs/dbraw/zinc/98/48/80/1053984880.db2.gz ARNYACRTQTXPNZ-CQSZACIVSA-N 0 3 306.384 4.493 20 0 DIADHN Cc1scnc1CN(Cc1ccccc1)C[Si](C)(C)C ZINC001232207069 1053989172 /nfs/dbraw/zinc/98/91/72/1053989172.db2.gz VLNYVVDYPHUSNQ-UHFFFAOYSA-N 0 3 304.535 4.331 20 0 DIADHN C[C@@H]1Cc2ccccc2CN1Cc1ccnc2c(F)cccc12 ZINC001232213419 1053993447 /nfs/dbraw/zinc/99/34/47/1053993447.db2.gz HGHMACCRLFJCLM-CQSZACIVSA-N 0 3 306.384 4.321 20 0 DIADHN CC(C)(C)c1cc(CN2CC[C@@H](Oc3ccccc3)C2)ccn1 ZINC001232215914 1053993665 /nfs/dbraw/zinc/99/36/65/1053993665.db2.gz KQNLYVDHKJUVNJ-GOSISDBHSA-N 0 3 310.441 4.032 20 0 DIADHN Cc1cccc2[nH]c(CN[C@H]3CCCc4cnn(C(C)C)c43)cc21 ZINC001329549764 1053995068 /nfs/dbraw/zinc/99/50/68/1053995068.db2.gz YPUQWPJCBKCLEV-IBGZPJMESA-N 0 3 322.456 4.421 20 0 DIADHN CC[C@H](CNCc1cnc(Cl)c(F)c1)Oc1ccccc1C ZINC001200811565 1053998601 /nfs/dbraw/zinc/99/86/01/1053998601.db2.gz FRZYTQMYZFBHJL-CQSZACIVSA-N 0 3 322.811 4.130 20 0 DIADHN CCCc1ccc(CN2CCC(OC)(C(F)(F)F)CC2)s1 ZINC000621731771 1054001485 /nfs/dbraw/zinc/00/14/85/1054001485.db2.gz ZLUPARKGCJYENT-UHFFFAOYSA-N 0 3 321.408 4.244 20 0 DIADHN Cc1nccnc1CN1CCC[C@@]1(C)Cc1cccc(Cl)c1 ZINC000621731918 1054003513 /nfs/dbraw/zinc/00/35/13/1054003513.db2.gz GBYKIVAOTHIOLP-SFHVURJKSA-N 0 3 315.848 4.036 20 0 DIADHN CCN(Cc1ccccc1F)Cc1ccc(O)c2ncccc12 ZINC001232277487 1054009083 /nfs/dbraw/zinc/00/90/83/1054009083.db2.gz PVGCRIKGCPBRGE-UHFFFAOYSA-N 0 3 310.372 4.102 20 0 DIADHN [O-]c1ccc(C[N@@H+]2CCCC3(CC(F)C3)CC2)c2cccnc12 ZINC001232277088 1054009548 /nfs/dbraw/zinc/00/95/48/1054009548.db2.gz IPMRUHKIELQRTI-UHFFFAOYSA-N 0 3 314.404 4.045 20 0 DIADHN [O-]c1ccc(C[N@H+]2CCCC3(CC(F)C3)CC2)c2cccnc12 ZINC001232277088 1054009567 /nfs/dbraw/zinc/00/95/67/1054009567.db2.gz IPMRUHKIELQRTI-UHFFFAOYSA-N 0 3 314.404 4.045 20 0 DIADHN COc1ccc(CNCc2c(C)cccc2C)cc1OC1CC1 ZINC001329968071 1054013604 /nfs/dbraw/zinc/01/36/04/1054013604.db2.gz ZOFAVADZYPWJGZ-UHFFFAOYSA-N 0 3 311.425 4.143 20 0 DIADHN COCc1ccc(CN2CC=C(c3ccc(OC)cc3)CC2)cc1 ZINC001232304176 1054016590 /nfs/dbraw/zinc/01/65/90/1054016590.db2.gz VYIRGPSCLZBNHN-UHFFFAOYSA-N 0 3 323.436 4.131 20 0 DIADHN CSc1ccc(CN(C)CCc2cccc(Cl)c2)cn1 ZINC001232328384 1054019741 /nfs/dbraw/zinc/01/97/41/1054019741.db2.gz GSVUOADPJBUKNF-UHFFFAOYSA-N 0 3 306.862 4.131 20 0 DIADHN CN(Cc1nc(-c2ccccc2)c[nH]1)C1CCC(F)(F)CC1 ZINC001330097618 1054024214 /nfs/dbraw/zinc/02/42/14/1054024214.db2.gz GTKFINUUQKXCDL-UHFFFAOYSA-N 0 3 305.372 4.086 20 0 DIADHN COc1cc(C)c(CN2CC[C@H](c3ccccc3Cl)C2)cn1 ZINC001232352690 1054026065 /nfs/dbraw/zinc/02/60/65/1054026065.db2.gz RLJFUYGSXGGSJZ-AWEZNQCLSA-N 0 3 316.832 4.042 20 0 DIADHN CCN(Cc1ccc(C(F)(F)F)cc1)Cc1ccc(N)nc1C ZINC001232346837 1054028757 /nfs/dbraw/zinc/02/87/57/1054028757.db2.gz GLKMDGRCENBELU-UHFFFAOYSA-N 0 3 323.362 4.013 20 0 DIADHN c1ccc(COc2ccc(CN3CC4CCC3CC4)cn2)cc1 ZINC001232357618 1054029228 /nfs/dbraw/zinc/02/92/28/1054029228.db2.gz OFTVQXMHMBGUEX-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN CCC1CCN(Cc2ccc(OCc3ccccc3)nc2)CC1 ZINC001232356979 1054029739 /nfs/dbraw/zinc/02/97/39/1054029739.db2.gz YRTSIGZDHLVLKL-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@H]1CN(Cc2ccc(OCc3ccccc3)nc2)C2(CC2)C1 ZINC001232358745 1054030129 /nfs/dbraw/zinc/03/01/29/1054030129.db2.gz PKSPOHYKUZYCNR-MRXNPFEDSA-N 0 3 308.425 4.035 20 0 DIADHN Nc1ccc(CN2CCC[C@H]2c2ccc(C(F)(F)F)cc2)cn1 ZINC001232369822 1054030625 /nfs/dbraw/zinc/03/06/25/1054030625.db2.gz OQLOUZKFJIZJTF-HNNXBMFYSA-N 0 3 321.346 4.020 20 0 DIADHN CC1(C)CCN(Cc2ccc(OCc3ccccc3)nc2)CC1 ZINC001232357528 1054030873 /nfs/dbraw/zinc/03/08/73/1054030873.db2.gz LYKWOKJTAWYKGT-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN COc1ccc(OC(C)C)c(CN2CC[C@H]3CCC[C@H]3C2)c1 ZINC001232385610 1054034667 /nfs/dbraw/zinc/03/46/67/1054034667.db2.gz DBEQTDGAJJMKKM-CVEARBPZSA-N 0 3 303.446 4.104 20 0 DIADHN CCCOC1CCN(Cc2cccc(C)c2OC(C)C)CC1 ZINC001232391644 1054036336 /nfs/dbraw/zinc/03/63/36/1054036336.db2.gz OHTQZWCISIIJBT-UHFFFAOYSA-N 0 3 305.462 4.173 20 0 DIADHN Cc1ccc(OC(C)C)c(CN2CCC3(CC(F)C3)CC2)c1 ZINC001232396208 1054039259 /nfs/dbraw/zinc/03/92/59/1054039259.db2.gz USJMXTKEILKMOX-UHFFFAOYSA-N 0 3 305.437 4.496 20 0 DIADHN Cc1ccc(OC(C)C)c(CN2CCC3(CCOCC3)CC2)c1 ZINC001232398713 1054040105 /nfs/dbraw/zinc/04/01/05/1054040105.db2.gz LEMWXYSDYCIQCV-UHFFFAOYSA-N 0 3 317.473 4.175 20 0 DIADHN CCCOC1CCN(Cc2cc(C)ccc2OC(C)C)CC1 ZINC001232397047 1054040514 /nfs/dbraw/zinc/04/05/14/1054040514.db2.gz IJOILVDSCHGIJG-UHFFFAOYSA-N 0 3 305.462 4.173 20 0 DIADHN CCN(CCc1csc(C)n1)Cc1ccc(Cl)s1 ZINC000163377189 1054040846 /nfs/dbraw/zinc/04/08/46/1054040846.db2.gz UOOWIMSEVVIVFZ-UHFFFAOYSA-N 0 3 300.880 4.231 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(F)c(C)c(F)c2)C1 ZINC001232419423 1054042040 /nfs/dbraw/zinc/04/20/40/1054042040.db2.gz FNMPZQNWGZFSKH-HNNXBMFYSA-N 0 3 317.379 4.271 20 0 DIADHN Cc1ccc([C@@H]2CCCCN2Cc2[nH]nc3c2CCC3)cc1C ZINC001232430056 1054042117 /nfs/dbraw/zinc/04/21/17/1054042117.db2.gz LOUVVNZCXCLESF-FQEVSTJZSA-N 0 3 309.457 4.242 20 0 DIADHN COc1ccc([C@H]2CCN(Cc3cc(F)c(C)c(F)c3)C2)cc1 ZINC001232426771 1054044013 /nfs/dbraw/zinc/04/40/13/1054044013.db2.gz QEYLOJRJZZKUIE-INIZCTEOSA-N 0 3 317.379 4.271 20 0 DIADHN COc1ccccc1[C@@H]1CCN(Cc2cc(F)c(C)c(F)c2)C1 ZINC001232419424 1054044467 /nfs/dbraw/zinc/04/44/67/1054044467.db2.gz FNMPZQNWGZFSKH-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN Clc1ccnc2[nH]cc(CN3CCC4(CCCC4)CC3)c21 ZINC001232454394 1054049783 /nfs/dbraw/zinc/04/97/83/1054049783.db2.gz DFCRYPLONGWGTA-UHFFFAOYSA-N 0 3 303.837 4.373 20 0 DIADHN Cc1cc(O)c(C(C)C)cc1NC(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C ZINC000164289968 1054055945 /nfs/dbraw/zinc/05/59/45/1054055945.db2.gz WJYNGMSEBJITCN-KKUMJFAQSA-N 0 3 318.461 4.024 20 0 DIADHN COc1cc(Cl)ccc1CN1CC[C@@H](c2ccccc2)C1 ZINC001232496839 1054056548 /nfs/dbraw/zinc/05/65/48/1054056548.db2.gz ZOKXMZGCLVMBIW-OAHLLOKOSA-N 0 3 301.817 4.338 20 0 DIADHN Nc1ccc(F)cc1CN1CCC(Cc2ccc(F)cc2)CC1 ZINC001232511808 1054061963 /nfs/dbraw/zinc/06/19/63/1054061963.db2.gz KFVQWGUMFZUGLD-UHFFFAOYSA-N 0 3 316.395 4.002 20 0 DIADHN Nc1c(Br)cccc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001232525457 1054063230 /nfs/dbraw/zinc/06/32/30/1054063230.db2.gz MHBZALQSVIQHPU-SWLSCSKDSA-N 0 3 323.278 4.186 20 0 DIADHN Cc1c(Br)cncc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001232531254 1054063405 /nfs/dbraw/zinc/06/34/05/1054063405.db2.gz WIMSOFXMLOGNLQ-CJNGLKHVSA-N 0 3 323.278 4.307 20 0 DIADHN C[C@@H]1CCC[C@H](C)N1Cc1cccnc1NC(=O)OC(C)(C)C ZINC001232537496 1054065158 /nfs/dbraw/zinc/06/51/58/1054065158.db2.gz HGXIASLNHXHABK-OKILXGFUSA-N 0 3 319.449 4.192 20 0 DIADHN Fc1ccc(C2CCN(Cc3cc4ccoc4cn3)CC2)cc1 ZINC001232553445 1054068517 /nfs/dbraw/zinc/06/85/17/1054068517.db2.gz UCKUHAZUZLZCFE-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN CC[C@@H]1CCCN(Cc2cccnc2NC(=O)OC(C)(C)C)C1 ZINC001232543724 1054069639 /nfs/dbraw/zinc/06/96/39/1054069639.db2.gz YFCGDBUHNIUIFJ-CQSZACIVSA-N 0 3 319.449 4.051 20 0 DIADHN c1cc2cc(CN3CCC4(CCc5ccccc54)CC3)ncc2o1 ZINC001232550786 1054070042 /nfs/dbraw/zinc/07/00/42/1054070042.db2.gz BYBOBDVPTVIYKU-UHFFFAOYSA-N 0 3 318.420 4.308 20 0 DIADHN Fc1cccc(CC2CCN(Cc3cc4ccoc4cn3)CC2)c1 ZINC001232556816 1054070694 /nfs/dbraw/zinc/07/06/94/1054070694.db2.gz MTXOKSSPTJXADZ-UHFFFAOYSA-N 0 3 324.399 4.422 20 0 DIADHN CN(Cc1cccnc1NC(=O)OC(C)(C)C)CC1CCCC1 ZINC001232543246 1054071276 /nfs/dbraw/zinc/07/12/76/1054071276.db2.gz GLTNOFSSDAJHPT-UHFFFAOYSA-N 0 3 319.449 4.051 20 0 DIADHN C[C@@H]1CCN(Cc2cc(OCc3ccccc3)ccn2)[C@H](C)C1 ZINC001232588436 1054075505 /nfs/dbraw/zinc/07/55/05/1054075505.db2.gz SSYVTNFULAUVIR-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN CCSc1ccc([C@@H](C)N[C@@H](C)c2cnn(CC)c2)cc1 ZINC000165396692 1054075871 /nfs/dbraw/zinc/07/58/71/1054075871.db2.gz PRLIHRDNGYUSHM-KGLIPLIRSA-N 0 3 303.475 4.427 20 0 DIADHN c1ccc(COc2ccnc(CN3CCC34CCCC4)c2)cc1 ZINC001232586231 1054076096 /nfs/dbraw/zinc/07/60/96/1054076096.db2.gz ZFCCVOIJDXUTLX-UHFFFAOYSA-N 0 3 308.425 4.179 20 0 DIADHN CCC1CCN(Cc2cc(OCc3ccccc3)ccn2)CC1 ZINC001232585753 1054077013 /nfs/dbraw/zinc/07/70/13/1054077013.db2.gz OGIVRICAKGUZLU-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN CC1(C)CCN(Cc2cc(OCc3ccccc3)ccn2)CC1 ZINC001232591592 1054077472 /nfs/dbraw/zinc/07/74/72/1054077472.db2.gz SDOUYBRXUPPCBL-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN c1ccc(COc2ccnc(CN(CC3CC3)C3CC3)c2)cc1 ZINC001232588997 1054077801 /nfs/dbraw/zinc/07/78/01/1054077801.db2.gz FQQUSKVXPGKGRM-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN Cc1cccc(Nc2cccc(NC(=O)[C@H](N)CC3CC3)c2C)c1 ZINC001331475416 1054078469 /nfs/dbraw/zinc/07/84/69/1054078469.db2.gz PXGMUWJEEKXQOA-QGZVFWFLSA-N 0 3 323.440 4.113 20 0 DIADHN CCCCOc1ccc([C@@H](C)NCCC(F)(F)F)cc1OC ZINC000165493625 1054078753 /nfs/dbraw/zinc/07/87/53/1054078753.db2.gz SEKMXYLBSLKOBF-GFCCVEGCSA-N 0 3 319.367 4.477 20 0 DIADHN c1cc2ccc(CN3CCC(Oc4cccnc4)CC3)cc2s1 ZINC001232613170 1054081576 /nfs/dbraw/zinc/08/15/76/1054081576.db2.gz SVYSMWVDGMFYGD-UHFFFAOYSA-N 0 3 324.449 4.340 20 0 DIADHN COc1ccc(C2=CCN(Cc3c(C)cccc3OC)CC2)cc1 ZINC001232623988 1054085317 /nfs/dbraw/zinc/08/53/17/1054085317.db2.gz XNGRCLUVIZLCAQ-UHFFFAOYSA-N 0 3 323.436 4.302 20 0 DIADHN COc1ccccc1[C@@H]1CCCN1Cc1c(C)cccc1OC ZINC001232628792 1054087927 /nfs/dbraw/zinc/08/79/27/1054087927.db2.gz YLDJAMSKFWQHRJ-SFHVURJKSA-N 0 3 311.425 4.349 20 0 DIADHN C[C@@H](N[C@@H](C)c1ccc(F)cc1OC(F)F)[C@H]1CC1(F)F ZINC001331727278 1054087904 /nfs/dbraw/zinc/08/79/04/1054087904.db2.gz YOQPPBBDAGTZMZ-VAOFZXAKSA-N 0 3 309.278 4.121 20 0 DIADHN CSCc1cc(F)ccc1CN[C@@H]1CCc2ccccc21 ZINC000167111937 1054101640 /nfs/dbraw/zinc/10/16/40/1054101640.db2.gz OGROKVDURQEDCD-GOSISDBHSA-N 0 3 301.430 4.466 20 0 DIADHN CCCC[C@H](C(=O)N(CCN(C)C)Cc1cccs1)C(C)C ZINC001355331015 1054112102 /nfs/dbraw/zinc/11/21/02/1054112102.db2.gz MDQYLPBUOIKVLW-KRWDZBQOSA-N 0 3 324.534 4.101 20 0 DIADHN OCCc1ccc(CN2CCC[C@@H]2c2cccc(Cl)c2)cc1 ZINC001232780694 1054118059 /nfs/dbraw/zinc/11/80/59/1054118059.db2.gz LULYFYVZEZSIQJ-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN OCCc1ccc(CN2CCC[C@@H]2c2ccc(Cl)cc2)cc1 ZINC001232776187 1054118629 /nfs/dbraw/zinc/11/86/29/1054118629.db2.gz BJMLBUOEOKTMCQ-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN OCCc1ccc(CN2CCC[C@@H]2c2ccccc2Cl)cc1 ZINC001232777301 1054119947 /nfs/dbraw/zinc/11/99/47/1054119947.db2.gz KDXKAWRNHPGPEC-LJQANCHMSA-N 0 3 315.844 4.212 20 0 DIADHN Cc1ccc([C@@H](N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c2ccccn2)cc1 ZINC001332445874 1054119958 /nfs/dbraw/zinc/11/99/58/1054119958.db2.gz DEKWSPXLTBBBKG-KYHPRHEASA-N 0 3 314.379 4.113 20 0 DIADHN Cc1ccc([C@H](N[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c2ccccn2)cc1 ZINC001332445871 1054120028 /nfs/dbraw/zinc/12/00/28/1054120028.db2.gz DEKWSPXLTBBBKG-DFGXFYAUSA-N 0 3 314.379 4.113 20 0 DIADHN FC(F)(F)c1ccc(CN2C[C@H]3CCCC[C@@H]3C2)c(Cl)n1 ZINC001232790302 1054120870 /nfs/dbraw/zinc/12/08/70/1054120870.db2.gz LOPJSRHQGHGNJU-GHMZBOCLSA-N 0 3 318.770 4.376 20 0 DIADHN FC(F)(F)c1ccc(CN2C[C@@H]3CCCC[C@H]3C2)c(Cl)n1 ZINC001232790303 1054122791 /nfs/dbraw/zinc/12/27/91/1054122791.db2.gz LOPJSRHQGHGNJU-QWRGUYRKSA-N 0 3 318.770 4.376 20 0 DIADHN C[C@H]1c2ccccc2CCN1Cc1c[nH]c2cc(Cl)ncc12 ZINC001232818607 1054124732 /nfs/dbraw/zinc/12/47/32/1054124732.db2.gz NJCWHTKJNSGWDI-LBPRGKRZSA-N 0 3 311.816 4.336 20 0 DIADHN Cc1ccc2c(c1)CN(Cc1c[nH]c3cc(Cl)ncc13)CC2 ZINC001232818479 1054124816 /nfs/dbraw/zinc/12/48/16/1054124816.db2.gz LSNVQJLJMNKFAC-UHFFFAOYSA-N 0 3 311.816 4.083 20 0 DIADHN CC(C)[C@@H](NCc1cnc(Cl)n1C)c1ccc(Cl)cc1 ZINC000848525482 1054125524 /nfs/dbraw/zinc/12/55/24/1054125524.db2.gz OQTUPZOHZHISHM-CQSZACIVSA-N 0 3 312.244 4.214 20 0 DIADHN CCc1ccc([C@H](NCc2cnc(Cl)n2C)C(C)C)cc1 ZINC000848524007 1054125557 /nfs/dbraw/zinc/12/55/57/1054125557.db2.gz CBRGQKUPISINLM-MRXNPFEDSA-N 0 3 305.853 4.123 20 0 DIADHN CCC(CC)[C@@H](NCc1cnc(Cl)n1C)c1ccccc1 ZINC000848527790 1054126455 /nfs/dbraw/zinc/12/64/55/1054126455.db2.gz HQLDCGJZGHUVHT-MRXNPFEDSA-N 0 3 305.853 4.341 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](N[C@@H]1CCCc3nc(C)ncc31)CC2 ZINC000848528079 1054127442 /nfs/dbraw/zinc/12/74/42/1054127442.db2.gz JXZPAPIQAOXUHT-MOPGFXCFSA-N 0 3 307.441 4.056 20 0 DIADHN Clc1cc2[nH]cc(CN3CC[C@H]4CCCC[C@@H]4C3)c2cn1 ZINC001232824095 1054127815 /nfs/dbraw/zinc/12/78/15/1054127815.db2.gz JNSKCIHOVZHSSG-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN COc1cc(CN2CCC[C@@H]2c2ccccc2)c(OC)cc1C ZINC001232832292 1054127897 /nfs/dbraw/zinc/12/78/97/1054127897.db2.gz IDSCUVWIISXTKC-GOSISDBHSA-N 0 3 311.425 4.349 20 0 DIADHN COc1cc(CN2CCCC3(CC(F)C3)CC2)c(OC)cc1C ZINC001232835375 1054128016 /nfs/dbraw/zinc/12/80/16/1054128016.db2.gz CYNSSFGXFISPEN-UHFFFAOYSA-N 0 3 321.436 4.116 20 0 DIADHN Fc1cc(CNCc2cc3ccccc3[nH]2)ccc1OC(F)F ZINC000168193111 1054128852 /nfs/dbraw/zinc/12/88/52/1054128852.db2.gz FXTXHPNQMJZCSE-UHFFFAOYSA-N 0 3 320.314 4.198 20 0 DIADHN CCCCC[C@@H](NCc1cnc(Cl)n1C)c1ccccc1 ZINC000848533334 1054129135 /nfs/dbraw/zinc/12/91/35/1054129135.db2.gz GRRXQHCTNNKNOC-MRXNPFEDSA-N 0 3 305.853 4.485 20 0 DIADHN CCOc1cccc2cc([C@H](C)NC/C=C(\C)COC)oc21 ZINC001332853890 1054129261 /nfs/dbraw/zinc/12/92/61/1054129261.db2.gz PDDQFVHEXVMHIL-SSUFTNFISA-N 0 3 303.402 4.075 20 0 DIADHN CCCC[C@@H](NCc1cnc(Cl)n1C)c1ccc(OC)cc1 ZINC000848535461 1054130790 /nfs/dbraw/zinc/13/07/90/1054130790.db2.gz ZYIQSWVGNRENBL-MRXNPFEDSA-N 0 3 321.852 4.103 20 0 DIADHN Cc1ccc([C@H](NC2CC3(CC3(F)F)C2)c2ccccn2)cc1 ZINC001332899685 1054131177 /nfs/dbraw/zinc/13/11/77/1054131177.db2.gz WDEFYPBXQNHZRG-NXYGQSRBSA-N 0 3 314.379 4.257 20 0 DIADHN CCCC[C@H](NCc1cnc(Cl)n1C)c1ccc(OC)cc1 ZINC000848535460 1054131416 /nfs/dbraw/zinc/13/14/16/1054131416.db2.gz ZYIQSWVGNRENBL-INIZCTEOSA-N 0 3 321.852 4.103 20 0 DIADHN Cc1cccc(CCN(C)Cc2cc(Br)co2)c1 ZINC001232857875 1054131788 /nfs/dbraw/zinc/13/17/88/1054131788.db2.gz WQIWOCUAHLNRQI-UHFFFAOYSA-N 0 3 308.219 4.025 20 0 DIADHN Oc1ccc2[nH]cc(CN3CCC[C@H]3c3ccccc3F)c2c1 ZINC001232866262 1054132597 /nfs/dbraw/zinc/13/25/97/1054132597.db2.gz UBGVSHJVOJVKDL-IBGZPJMESA-N 0 3 310.372 4.350 20 0 DIADHN CC(C)c1ccccc1CNCc1ccc(C2OCCO2)cc1 ZINC000848536488 1054133188 /nfs/dbraw/zinc/13/31/88/1054133188.db2.gz PVNOXBFEGFJDAL-UHFFFAOYSA-N 0 3 311.425 4.145 20 0 DIADHN C[C@@H]1C[C@H]1[C@H](NCc1cnc(Cl)n1C)c1ccc(Cl)cc1 ZINC000848539564 1054135006 /nfs/dbraw/zinc/13/50/06/1054135006.db2.gz BKIABWBVSJZGMX-VCTAVGKDSA-N 0 3 324.255 4.214 20 0 DIADHN O=C(Nc1ccc(F)cc1)c1cccc(CN2CCCCC2)c1 ZINC000622273642 1054139471 /nfs/dbraw/zinc/13/94/71/1054139471.db2.gz XHTBJHAMGSEEKN-UHFFFAOYSA-N 0 3 312.388 4.064 20 0 DIADHN CC(C)[C@H]1CCc2ccccc2[C@H]1NCc1cnc(Cl)n1C ZINC000848547594 1054142291 /nfs/dbraw/zinc/14/22/91/1054142291.db2.gz SAPAKAPZUAYHND-WBVHZDCISA-N 0 3 317.864 4.123 20 0 DIADHN CC(C)[C@@H]1CCc2ccccc2[C@@H]1NCc1cnc(Cl)n1C ZINC000848547591 1054143207 /nfs/dbraw/zinc/14/32/07/1054143207.db2.gz SAPAKAPZUAYHND-DOTOQJQBSA-N 0 3 317.864 4.123 20 0 DIADHN [O-]c1c(F)ccc(CN2CCC(c3cccs3)CC2)c1F ZINC001232935297 1054149073 /nfs/dbraw/zinc/14/90/73/1054149073.db2.gz QRKYAFCFOHICNA-UHFFFAOYSA-N 0 3 309.381 4.112 20 0 DIADHN Oc1c(F)ccc(CN2CCC(c3cccs3)CC2)c1F ZINC001232935297 1054149080 /nfs/dbraw/zinc/14/90/80/1054149080.db2.gz QRKYAFCFOHICNA-UHFFFAOYSA-N 0 3 309.381 4.112 20 0 DIADHN CC1(NCc2nc3ccc(Br)cc3s2)CC=CC1 ZINC000848561296 1054150940 /nfs/dbraw/zinc/15/09/40/1054150940.db2.gz UWEKMDZQNDAARX-UHFFFAOYSA-N 0 3 323.259 4.257 20 0 DIADHN FC[C@H]1CCCN(Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232948079 1054156119 /nfs/dbraw/zinc/15/61/19/1054156119.db2.gz MWXSUAQFPRXSLF-GFCCVEGCSA-N 0 3 307.356 4.480 20 0 DIADHN COC[C@@H]1CCCCN1Cc1cccc(SC(F)(F)F)c1 ZINC001232943654 1054153654 /nfs/dbraw/zinc/15/36/54/1054153654.db2.gz CETCILCCQFNPJY-ZDUSSCGKSA-N 0 3 319.392 4.299 20 0 DIADHN Fc1ccccc1C1CCN(Cc2ccc3ncoc3c2)CC1 ZINC001232940911 1054153970 /nfs/dbraw/zinc/15/39/70/1054153970.db2.gz IMJZBDNCYAYOEQ-UHFFFAOYSA-N 0 3 310.372 4.347 20 0 DIADHN CS[C@H]1CC[C@H](N(C)Cc2cccc(F)c2OC(F)F)C1 ZINC001333581206 1054160198 /nfs/dbraw/zinc/16/01/98/1054160198.db2.gz CEGQYZQYBYTVRA-RYUDHWBXSA-N 0 3 319.392 4.143 20 0 DIADHN CN1CCN(Cc2ccc(C3CC3)cc2)C[C@H]1c1ccccc1 ZINC001232983736 1054166378 /nfs/dbraw/zinc/16/63/78/1054166378.db2.gz RNWCGYJWTBGBNI-NRFANRHFSA-N 0 3 306.453 4.053 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc(C4CC4)cc3)CC2)nc1 ZINC001232984132 1054166847 /nfs/dbraw/zinc/16/68/47/1054166847.db2.gz XPMSAVSPJXSIQB-UHFFFAOYSA-N 0 3 308.425 4.002 20 0 DIADHN Cc1ccc2c(c1)C1(CC2)CCN(Cc2cc(F)cnc2C)CC1 ZINC001232989028 1054166941 /nfs/dbraw/zinc/16/69/41/1054166941.db2.gz LMONPSIFIMMGBF-UHFFFAOYSA-N 0 3 324.443 4.318 20 0 DIADHN CCN(Cc1ccccc1)Cc1cc(O)cc(Br)c1 ZINC001232997482 1054168801 /nfs/dbraw/zinc/16/88/01/1054168801.db2.gz RXOFZEHHDOXHNS-UHFFFAOYSA-N 0 3 320.230 4.177 20 0 DIADHN Cc1cc(C)c(CN2CCC3(C=Cc4ccccc43)CC2)cn1 ZINC001233005313 1054171574 /nfs/dbraw/zinc/17/15/74/1054171574.db2.gz MVZIXKGRQFTZJK-UHFFFAOYSA-N 0 3 304.437 4.259 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cc(Cl)ncc2[O-])cc1 ZINC001233035513 1054175295 /nfs/dbraw/zinc/17/52/95/1054175295.db2.gz FAAGGIFAMBZGQS-HNNXBMFYSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)ncc2[O-])cc1 ZINC001233035513 1054175301 /nfs/dbraw/zinc/17/53/01/1054175301.db2.gz FAAGGIFAMBZGQS-HNNXBMFYSA-N 0 3 302.805 4.086 20 0 DIADHN Cc1ccc([C@@H]2CCC[N@H+]2Cc2cc(Cl)ncc2[O-])cc1 ZINC001233035513 1054175307 /nfs/dbraw/zinc/17/53/07/1054175307.db2.gz FAAGGIFAMBZGQS-HNNXBMFYSA-N 0 3 302.805 4.086 20 0 DIADHN C[C@H]1CCCCN1Cc1cnn(-c2cccc(C(F)(F)F)c2)c1 ZINC001233039770 1054177499 /nfs/dbraw/zinc/17/74/99/1054177499.db2.gz FWAPHESHVDAULN-ZDUSSCGKSA-N 0 3 323.362 4.266 20 0 DIADHN CCOc1ccc(CN(C)[C@H](C)c2cccc(F)c2)cc1OC ZINC001334125394 1054182251 /nfs/dbraw/zinc/18/22/51/1054182251.db2.gz MJTGSUWMWNYSLN-CQSZACIVSA-N 0 3 317.404 4.426 20 0 DIADHN Cc1cnc2ccccc2c1N1CC(c2nc3ccccc3[nH]2)C1 ZINC001334127286 1054182524 /nfs/dbraw/zinc/18/25/24/1054182524.db2.gz FVCXYADHMLAUTH-UHFFFAOYSA-N 0 3 314.392 4.023 20 0 DIADHN FC(F)c1cccc(CNCc2cccc3c2NCCC3)c1 ZINC001334367469 1054188146 /nfs/dbraw/zinc/18/81/46/1054188146.db2.gz OIUBRNXPXYBFHP-UHFFFAOYSA-N 0 3 302.368 4.272 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2[nH]nc3cc(F)ccc32)CC1 ZINC001233123758 1054189425 /nfs/dbraw/zinc/18/94/25/1054189425.db2.gz AZBZEDYQGLLBED-UHFFFAOYSA-N 0 3 323.415 4.256 20 0 DIADHN Cc1ccccc1O[C@@H]1CCCN(Cc2cnc(C)c(C)c2)C1 ZINC001233163789 1054193982 /nfs/dbraw/zinc/19/39/82/1054193982.db2.gz MBPWLAHEEPCQCM-LJQANCHMSA-N 0 3 310.441 4.050 20 0 DIADHN Cc1c[nH]c(=O)c(CN2CCC[C@H]2c2cccc(Cl)c2)c1 ZINC001233193486 1054198085 /nfs/dbraw/zinc/19/80/85/1054198085.db2.gz BLHLNOKVTUWXKL-INIZCTEOSA-N 0 3 302.805 4.086 20 0 DIADHN C[C@@H](Cc1ccccc1Cl)NCc1nc2c(s1)CCC2 ZINC001334779142 1054199860 /nfs/dbraw/zinc/19/98/60/1054199860.db2.gz JHXIQPKDZIIJNC-NSHDSACASA-N 0 3 306.862 4.006 20 0 DIADHN CC[C@H](C(=O)N[C@@H](CC(C)(C)C)c1ccc(Cl)cc1)N(C)C ZINC000622389773 1054201332 /nfs/dbraw/zinc/20/13/32/1054201332.db2.gz ZUJPNZTZFXWPKL-JKSUJKDBSA-N 0 3 324.896 4.274 20 0 DIADHN FC(F)(F)Cc1ncc(CN2CCC3(CCCC3)C2)s1 ZINC001334903480 1054205096 /nfs/dbraw/zinc/20/50/96/1054205096.db2.gz YVAUVLFIOIVSLZ-UHFFFAOYSA-N 0 3 304.381 4.014 20 0 DIADHN COc1ccc([C@H](NCCCC(F)(F)F)c2ccco2)cc1 ZINC001335001107 1054205962 /nfs/dbraw/zinc/20/59/62/1054205962.db2.gz MICIBDZYXHEPHI-HNNXBMFYSA-N 0 3 313.319 4.310 20 0 DIADHN Cc1nc(CCN(C)Cc2ccc(-c3cccc(C)c3)cc2)no1 ZINC001233224218 1054207911 /nfs/dbraw/zinc/20/79/11/1054207911.db2.gz ZQSMOMDLADQSII-UHFFFAOYSA-N 0 3 321.424 4.028 20 0 DIADHN Cc1ccccc1CN(C)Cc1ccc(O)c(OCC2CC2)c1 ZINC001233227795 1054210740 /nfs/dbraw/zinc/21/07/40/1054210740.db2.gz VKDKUEOOQWKIKB-UHFFFAOYSA-N 0 3 311.425 4.121 20 0 DIADHN Cc1cccc([C@@H](NC2CC3(CC3(F)F)C2)c2ccccn2)c1 ZINC001335283052 1054212443 /nfs/dbraw/zinc/21/24/43/1054212443.db2.gz ICGQMUSOABAVSS-IIIMJFFVSA-N 0 3 314.379 4.257 20 0 DIADHN COc1cccc([C@H]2CCCCN2Cc2ccc(C)c(O)c2)c1 ZINC001233233897 1054213273 /nfs/dbraw/zinc/21/32/73/1054213273.db2.gz UKZRUUGEWKEMBV-LJQANCHMSA-N 0 3 311.425 4.436 20 0 DIADHN Cc1ccc(Cl)cc1CN(C)Cc1ccc2c(c1)OCO2 ZINC001233250686 1054217312 /nfs/dbraw/zinc/21/73/12/1054217312.db2.gz VFCXCUIMTYCOBW-UHFFFAOYSA-N 0 3 303.789 4.009 20 0 DIADHN CCCCOc1ccc(NC(=O)C2CC2C2CC2C)c(C)c1 ZINC001355775305 1054219166 /nfs/dbraw/zinc/21/91/66/1054219166.db2.gz KPYOHCJVRWCCMF-BASLNEPJSA-N 0 3 301.430 4.405 20 0 DIADHN OCCCCCCN(Cc1ccc(Cl)o1)Cc1ccccc1 ZINC001233317601 1054228762 /nfs/dbraw/zinc/22/87/62/1054228762.db2.gz VSKRGVDVRUKWQY-UHFFFAOYSA-N 0 3 321.848 4.488 20 0 DIADHN CCCC(=CC(=O)N(CCN(C)C)Cc1ccccc1)CCC ZINC001355836304 1054229098 /nfs/dbraw/zinc/22/90/98/1054229098.db2.gz FLUQPGXTFODOMP-UHFFFAOYSA-N 0 3 316.489 4.103 20 0 DIADHN Cc1cnsc1CN1CCC(c2cccc(Cl)c2)CC1 ZINC001233318592 1054231165 /nfs/dbraw/zinc/23/11/65/1054231165.db2.gz JKTJVLGVJVQECT-UHFFFAOYSA-N 0 3 306.862 4.485 20 0 DIADHN Cc1cnsc1CN1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001233323446 1054233195 /nfs/dbraw/zinc/23/31/95/1054233195.db2.gz UOPHCZYLDLCDOR-SFHVURJKSA-N 0 3 316.445 4.071 20 0 DIADHN CCCN(C)CC(=O)N1CCCC[C@@H]1c1ccc(C(C)C)cc1 ZINC000622472115 1054242772 /nfs/dbraw/zinc/24/27/72/1054242772.db2.gz SKGZSQXAWACDKF-LJQANCHMSA-N 0 3 316.489 4.205 20 0 DIADHN CSc1cccc(Cl)c1CN1CCC[C@@](C)(CO)CC1 ZINC001233350829 1054245666 /nfs/dbraw/zinc/24/56/66/1054245666.db2.gz VPGRGDGEHYFOBY-MRXNPFEDSA-N 0 3 313.894 4.046 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1c(Cl)cccc1SC ZINC001233352419 1054245845 /nfs/dbraw/zinc/24/58/45/1054245845.db2.gz DJEFPDWMHQLQSY-ZDUSSCGKSA-N 0 3 313.894 4.453 20 0 DIADHN Brc1sccc1CN1CC2CCC(CC2)C1 ZINC001233481676 1054281343 /nfs/dbraw/zinc/28/13/43/1054281343.db2.gz BGXNAGIGBIZDHL-UHFFFAOYSA-N 0 3 300.265 4.133 20 0 DIADHN c1cn2c(cccc2CN2CCC(Sc3ccccc3)CC2)n1 ZINC001233363855 1054249230 /nfs/dbraw/zinc/24/92/30/1054249230.db2.gz CZBIHRPBLGENID-UHFFFAOYSA-N 0 3 323.465 4.091 20 0 DIADHN CC1(C)CN(Cc2ccccc2OCc2ccncc2)C1(C)C ZINC001337033557 1054254009 /nfs/dbraw/zinc/25/40/09/1054254009.db2.gz YYLZJTLABSHSQP-UHFFFAOYSA-N 0 3 310.441 4.281 20 0 DIADHN Nc1ccc(CN2CC[C@H](c3cccc(F)c3)C2)c(Cl)c1 ZINC001233376565 1054254270 /nfs/dbraw/zinc/25/42/70/1054254270.db2.gz PMVZYULXGRUKEQ-ZDUSSCGKSA-N 0 3 304.796 4.051 20 0 DIADHN CN(Cc1cc(F)c(F)cc1N)Cc1cccc2ccccc21 ZINC001233380301 1054255046 /nfs/dbraw/zinc/25/50/46/1054255046.db2.gz LIXMOADWVFGKMB-UHFFFAOYSA-N 0 3 312.363 4.332 20 0 DIADHN COc1cc(C)ccc1[C@H](C)NC[C@@H](OC)c1ccc(F)cc1 ZINC001337160926 1054257096 /nfs/dbraw/zinc/25/70/96/1054257096.db2.gz XPICRXIMXFCNRD-IFXJQAMLSA-N 0 3 317.404 4.181 20 0 DIADHN CO[C@H](CN[C@H]1CCc2c1cc(F)cc2F)c1ccc(F)cc1 ZINC001337156338 1054257867 /nfs/dbraw/zinc/25/78/67/1054257867.db2.gz JLODEKLRTGVRFQ-ZWKOTPCHSA-N 0 3 321.342 4.069 20 0 DIADHN Fc1cc(F)cc(-c2n[nH]cc2CN2CCC3(CCC3)CC2)c1 ZINC001233402963 1054263362 /nfs/dbraw/zinc/26/33/62/1054263362.db2.gz KFPRWKZWRCRUQX-UHFFFAOYSA-N 0 3 317.383 4.121 20 0 DIADHN Brc1cc(CN2CCC[C@@H](c3ccccc3)C2)c[nH]1 ZINC001233436508 1054270460 /nfs/dbraw/zinc/27/04/60/1054270460.db2.gz OLIXIXLVBGIMQH-OAHLLOKOSA-N 0 3 319.246 4.157 20 0 DIADHN CC(C)(C)OC(=O)n1ccc(CN2CC[C@H]3CCCC[C@@H]3C2)c1 ZINC001233433473 1054270597 /nfs/dbraw/zinc/27/05/97/1054270597.db2.gz CXSCKPBIXIUWAL-IAGOWNOFSA-N 0 3 318.461 4.283 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)N(C)C1CCCCC1)c1ccc(F)cc1 ZINC001337649525 1054278036 /nfs/dbraw/zinc/27/80/36/1054278036.db2.gz KAQUJRPGNUHVGX-KDOFPFPSSA-N 0 3 320.452 4.046 20 0 DIADHN CCc1cnc(CNCCc2ccc(OC(C)(C)C)cc2)s1 ZINC001337654173 1054278281 /nfs/dbraw/zinc/27/82/81/1054278281.db2.gz ZGGFCKUGVLFQMR-UHFFFAOYSA-N 0 3 318.486 4.215 20 0 DIADHN CCC(C)(C)C1CCC(CC(=O)NC[C@@H]2CCCCN2C)CC1 ZINC001439897323 1054311824 /nfs/dbraw/zinc/31/18/24/1054311824.db2.gz WMRNPRLIBGFJGJ-ABHNRTSZSA-N 0 3 322.537 4.220 20 0 DIADHN CC[C@@H](NCCn1ccnc1C)c1ccc(Cl)cc1Cl ZINC000336839536 1054331294 /nfs/dbraw/zinc/33/12/94/1054331294.db2.gz OWGOHXPFOGJQLX-OAHLLOKOSA-N 0 3 312.244 4.239 20 0 DIADHN CN(C)Cc1ccc(NC(=O)C[C@@H]2CCCC(C)(C)C2)c(F)c1 ZINC000622703895 1054339890 /nfs/dbraw/zinc/33/98/90/1054339890.db2.gz DXGNEUQAHAFNOQ-AWEZNQCLSA-N 0 3 320.452 4.432 20 0 DIADHN Cc1cc(Cl)cc(C(=O)Nc2ccc(CN(C)C)cc2F)c1 ZINC000622704034 1054340016 /nfs/dbraw/zinc/34/00/16/1054340016.db2.gz LKDMFVKKSKFWGI-UHFFFAOYSA-N 0 3 320.795 4.101 20 0 DIADHN Cn1cc(-c2ccc(CNC3(c4ccc(F)cc4)CC3)cc2)cn1 ZINC001339598546 1054342603 /nfs/dbraw/zinc/34/26/03/1054342603.db2.gz ABHKBWFTZKISHG-UHFFFAOYSA-N 0 3 321.399 4.005 20 0 DIADHN Cc1cccc(C)c1CN1CCC(C(=O)c2cccs2)CC1 ZINC001340049323 1054354169 /nfs/dbraw/zinc/35/41/69/1054354169.db2.gz RPHWUXDNRSTILS-UHFFFAOYSA-N 0 3 313.466 4.460 20 0 DIADHN Cc1cnc2ccccc2c1N1CCC(OC2CCCC2)CC1 ZINC001340377044 1054359994 /nfs/dbraw/zinc/35/99/94/1054359994.db2.gz YDRYCNIBESFRRY-UHFFFAOYSA-N 0 3 310.441 4.471 20 0 DIADHN CC(C)CC[C@@H](C)NC(=O)c1cccc(CN2CCCCC2)c1 ZINC001440889028 1054372498 /nfs/dbraw/zinc/37/24/98/1054372498.db2.gz DJLRFBSQFYMLSW-QGZVFWFLSA-N 0 3 316.489 4.227 20 0 DIADHN Cc1nc(C)c(CN[C@@H](Cc2ccccc2)c2cccs2)[nH]1 ZINC001341044134 1054376904 /nfs/dbraw/zinc/37/69/04/1054376904.db2.gz KEVVISHHPDPFPE-INIZCTEOSA-N 0 3 311.454 4.162 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N[C@@H](C)c1ccccc1 ZINC000171672652 1054379916 /nfs/dbraw/zinc/37/99/16/1054379916.db2.gz RWOZGIJITATAKA-KBPBESRZSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N[C@@H](C)c2ccccc2)c(Cl)c1 ZINC000171672561 1054379989 /nfs/dbraw/zinc/37/99/89/1054379989.db2.gz GNXBLURPQYOWOM-UONOGXRCSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)[C@H](C)N[C@H](C)c1ccccc1 ZINC000171673115 1054380251 /nfs/dbraw/zinc/38/02/51/1054380251.db2.gz RWOZGIJITATAKA-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN Cc1ncc(OC2CCN(Cc3ccccc3)CC2)cc1Cl ZINC001233936659 1054393552 /nfs/dbraw/zinc/39/35/52/1054393552.db2.gz SZUROIALQQITJR-UHFFFAOYSA-N 0 3 316.832 4.087 20 0 DIADHN CC(C)N1CCC[C@@H](Oc2ncc(Cl)cc2C(F)(F)F)C1 ZINC001233970684 1054401449 /nfs/dbraw/zinc/40/14/49/1054401449.db2.gz ZPFYBNKMGRKIJC-LLVKDONJSA-N 0 3 322.758 4.005 20 0 DIADHN O=c1[nH]cc(CN(Cc2ccccc2)C2CC2)c2ccccc21 ZINC001234008310 1054412675 /nfs/dbraw/zinc/41/26/75/1054412675.db2.gz VZVKYLJTGTUTKT-UHFFFAOYSA-N 0 3 304.393 4.105 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1ccccc1C)c1ccc(F)cc1 ZINC001342209884 1054418619 /nfs/dbraw/zinc/41/86/19/1054418619.db2.gz MIASUVVOAUNSJR-PBHICJAKSA-N 0 3 314.404 4.202 20 0 DIADHN Fc1cc(CN2CCC[C@]3(CC=CCC3)C2)c2c(c1)COCO2 ZINC000172282144 1054421169 /nfs/dbraw/zinc/42/11/69/1054421169.db2.gz YRCARYXCUHZIBT-LJQANCHMSA-N 0 3 317.404 4.015 20 0 DIADHN Cc1cccc([C@@H](NC(=O)[C@@H]2CCCN2C(C)C)C(C)(C)C)c1 ZINC001357138663 1054423449 /nfs/dbraw/zinc/42/34/49/1054423449.db2.gz XICJJSOHSGDGLW-ZWKOTPCHSA-N 0 3 316.489 4.071 20 0 DIADHN Cc1cnc2ccccc2c1N[C@H]1CCCc2cnn(C(C)C)c21 ZINC001342615424 1054426863 /nfs/dbraw/zinc/42/68/63/1054426863.db2.gz RXFAJAKZQUYIRV-SFHVURJKSA-N 0 3 320.440 4.232 20 0 DIADHN Cc1nn(-c2ccccc2)cc1CNC(C)(C)c1ccccc1 ZINC000622854925 1054436024 /nfs/dbraw/zinc/43/60/24/1054436024.db2.gz AOEURBAUVXEBRQ-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN CCCCCC[C@H](CC)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001357305663 1054455465 /nfs/dbraw/zinc/45/54/65/1054455465.db2.gz FJRCVQNTVZBQSO-SFHVURJKSA-N 0 3 322.537 4.460 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)c1ccccc1)C1CCCCC1 ZINC001357343731 1054462743 /nfs/dbraw/zinc/46/27/43/1054462743.db2.gz FVIFNHSWWSGIKB-QGZVFWFLSA-N 0 3 316.489 4.188 20 0 DIADHN CCCCCC[C@](C)(CC)C(=O)NC[C@@H]1CCCCN1CC ZINC001443869634 1054462767 /nfs/dbraw/zinc/46/27/67/1054462767.db2.gz JETUILASCJPWIZ-HKUYNNGSSA-N 0 3 310.526 4.364 20 0 DIADHN CCCCO[C@H]1C[C@@H](N(C)Cc2ccnc(Cl)c2)C1(C)C ZINC001473258949 1054463324 /nfs/dbraw/zinc/46/33/24/1054463324.db2.gz BNHCPVFDCPVQFB-CABCVRRESA-N 0 3 310.869 4.151 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2cc(C)nc(Cl)c2)C1(C)C ZINC001473258845 1054464778 /nfs/dbraw/zinc/46/47/78/1054464778.db2.gz AMJCDOWUBXDXEW-HOTGVXAUSA-N 0 3 324.896 4.459 20 0 DIADHN CN(C)c1cccc(Cl)c1CNCCc1cc(F)cc(F)c1 ZINC001649038207 1054464834 /nfs/dbraw/zinc/46/48/34/1054464834.db2.gz GSDGCLIHTGGLPK-UHFFFAOYSA-N 0 3 324.802 4.017 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1cccc(F)c1 ZINC001234237333 1054467799 /nfs/dbraw/zinc/46/77/99/1054467799.db2.gz CFKIRHIJJXHKCR-LBPRGKRZSA-N 0 3 321.367 4.175 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC001234242314 1054470102 /nfs/dbraw/zinc/47/01/02/1054470102.db2.gz AOZYWRVCXYNUCJ-VNHYZAJKSA-N 0 3 309.425 4.099 20 0 DIADHN CC[C@@H](Oc1c(F)cc(CN(C)C)cc1OC)c1ccccc1 ZINC001234239306 1054470283 /nfs/dbraw/zinc/47/02/83/1054470283.db2.gz QURJAUHJTFQYNA-QGZVFWFLSA-N 0 3 317.404 4.426 20 0 DIADHN CC[C@H]1CCCC[C@H]1Oc1c(F)cc(CN(C)C)cc1OC ZINC001234245419 1054471683 /nfs/dbraw/zinc/47/16/83/1054471683.db2.gz YJQFTIUZDCKBKP-GOEBONIOSA-N 0 3 309.425 4.244 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H]1CCCC(C)(C)C1 ZINC001234242814 1054472235 /nfs/dbraw/zinc/47/22/35/1054472235.db2.gz CTTDXTVQDUAWNF-CQSZACIVSA-N 0 3 309.425 4.244 20 0 DIADHN COc1cc(CN(C)C)cc(F)c1O[C@@H](C)c1ccc(C)cc1 ZINC001234242522 1054472337 /nfs/dbraw/zinc/47/23/37/1054472337.db2.gz BWTVTHNVLXBHDY-AWEZNQCLSA-N 0 3 317.404 4.344 20 0 DIADHN CC[C@@H]1CCC[C@]1(C)C(=O)Nc1cc(CN(C)C)ccc1C ZINC001445286684 1054500435 /nfs/dbraw/zinc/50/04/35/1054500435.db2.gz BUFBQJHFNUYHQU-APWZRJJASA-N 0 3 302.462 4.212 20 0 DIADHN CC[C@H](C)CCC(=O)N1CCN(C2CCC(C(C)C)CC2)CC1 ZINC001445273308 1054500631 /nfs/dbraw/zinc/50/06/31/1054500631.db2.gz QQKUBEGUAIBNLP-VIQWUECVSA-N 0 3 322.537 4.172 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1Cl)N(C)C[C@@H]1CCCOC1 ZINC000174151163 1054506065 /nfs/dbraw/zinc/50/60/65/1054506065.db2.gz FIVNBJLSUNEUQK-RYUDHWBXSA-N 0 3 302.245 4.413 20 0 DIADHN Cc1cc(O[C@@H]2CCN([C@H](C)c3ccccn3)C2)cc(C)c1C ZINC001234389563 1054514875 /nfs/dbraw/zinc/51/48/75/1054514875.db2.gz SRWXCKHVMIVOOB-QZTJIDSGSA-N 0 3 310.441 4.221 20 0 DIADHN C[C@H](N[C@H]1CCc2ccc(F)cc21)c1ccc2c(c1)CCC(=O)N2 ZINC000174994992 1054519289 /nfs/dbraw/zinc/51/92/89/1054519289.db2.gz SPHBEEGHSPIFDI-BUXKBTBVSA-N 0 3 324.399 4.049 20 0 DIADHN CCOc1ccc([C@H](C)NCc2ccsc2)cc1OCC ZINC000072726339 1054522861 /nfs/dbraw/zinc/52/28/61/1054522861.db2.gz BTGUYPIGWLHQAX-ZDUSSCGKSA-N 0 3 305.443 4.396 20 0 DIADHN C[C@H](CCC(C)(C)C)N[C@@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000671299416 1054536633 /nfs/dbraw/zinc/53/66/33/1054536633.db2.gz QIDQVVPDERFPAF-RDTXWAMCSA-N 0 3 317.452 4.453 20 0 DIADHN C[C@H](CCC(C)(C)C)N[C@H](c1cnn(C)c1)c1ccc(F)cc1 ZINC000671299413 1054536830 /nfs/dbraw/zinc/53/68/30/1054536830.db2.gz QIDQVVPDERFPAF-KDOFPFPSSA-N 0 3 317.452 4.453 20 0 DIADHN CC(C)(C)c1ncc(CN[C@H](CC2CC2)c2ccccc2)cn1 ZINC000671307214 1054548867 /nfs/dbraw/zinc/54/88/67/1054548867.db2.gz FKSZGLQGTGURQJ-GOSISDBHSA-N 0 3 309.457 4.405 20 0 DIADHN Cc1nc2ccccc2nc1CN[C@H](c1ccccc1)C1CC1 ZINC000192505776 1054558392 /nfs/dbraw/zinc/55/83/92/1054558392.db2.gz ZFOWGOJUWVBBPG-HXUWFJFHSA-N 0 3 303.409 4.179 20 0 DIADHN COc1ccc(CNCc2cccc(Cl)c2)cc1OC1CC1 ZINC000623335741 1054562852 /nfs/dbraw/zinc/56/28/52/1054562852.db2.gz BOTTXKZJTZGWIU-UHFFFAOYSA-N 0 3 317.816 4.180 20 0 DIADHN COc1ccc(CNCc2ccc(C)cc2C)cc1OC1CC1 ZINC000623362877 1054572477 /nfs/dbraw/zinc/57/24/77/1054572477.db2.gz OHPKXWYUVOOOQV-UHFFFAOYSA-N 0 3 311.425 4.143 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@@H]1C(C)(C)O ZINC001238267768 1054573753 /nfs/dbraw/zinc/57/37/53/1054573753.db2.gz MBAUEPCNGUARKO-CQSZACIVSA-N 0 3 302.245 4.037 20 0 DIADHN COc1ccc(CN2CCC[C@H]3CCC[C@@H]32)c(OC(C)C)c1 ZINC001234720685 1054584224 /nfs/dbraw/zinc/58/42/24/1054584224.db2.gz PMILOURYMZIULU-QAPCUYQASA-N 0 3 303.446 4.247 20 0 DIADHN COc1ccc(CNC2(c3ccccc3)CC2)cc1OC1CC1 ZINC000623412046 1054587029 /nfs/dbraw/zinc/58/70/29/1054587029.db2.gz VIHPZTYSQXRDNT-UHFFFAOYSA-N 0 3 309.409 4.015 20 0 DIADHN COc1ccc(CN[C@H]2CCc3c2cccc3C)cc1OC1CC1 ZINC000623406114 1054587118 /nfs/dbraw/zinc/58/71/18/1054587118.db2.gz KZCNNQZRTLYEHV-IBGZPJMESA-N 0 3 323.436 4.322 20 0 DIADHN COc1cc(CN[C@@H](C)CC(F)(F)F)cc(C(F)(F)F)c1 ZINC000623494385 1054606508 /nfs/dbraw/zinc/60/65/08/1054606508.db2.gz ONIWSUMALMFHRQ-QMMMGPOBSA-N 0 3 315.257 4.145 20 0 DIADHN CC[C@@H](C#N)Oc1cccc(CNCc2ccc(Cl)cc2)c1 ZINC000073012651 1054614957 /nfs/dbraw/zinc/61/49/57/1054614957.db2.gz BIZFLEGPGMTGRH-KRWDZBQOSA-N 0 3 314.816 4.311 20 0 DIADHN Fc1cc(F)c2c(c1)[C@@H](NCc1cccc3n[nH]cc31)CCC2 ZINC000623534554 1054617254 /nfs/dbraw/zinc/61/72/54/1054617254.db2.gz UHHWPECPHILKRY-KRWDZBQOSA-N 0 3 313.351 4.008 20 0 DIADHN CC(C)Cc1ccccc1CNCc1c(C2CCC2)cnn1C ZINC000623587235 1054627623 /nfs/dbraw/zinc/62/76/23/1054627623.db2.gz IHQRMIRZEOGVEO-UHFFFAOYSA-N 0 3 311.473 4.176 20 0 DIADHN CCOC(=O)c1cc(CN(C)[C@@H](C)c2ccc(Cl)cc2)c[nH]1 ZINC001234948112 1054629650 /nfs/dbraw/zinc/62/96/50/1054629650.db2.gz ATJKVIDKCBMJIB-LBPRGKRZSA-N 0 3 320.820 4.038 20 0 DIADHN c1c2ccncc2sc1CN[C@H](c1ccccn1)C1CCC1 ZINC000623638855 1054633348 /nfs/dbraw/zinc/63/33/48/1054633348.db2.gz URENDZZHUZKVSE-SFHVURJKSA-N 0 3 309.438 4.322 20 0 DIADHN c1c2ccncc2sc1CN[C@@H](c1ccccn1)C1CCC1 ZINC000623638854 1054634325 /nfs/dbraw/zinc/63/43/25/1054634325.db2.gz URENDZZHUZKVSE-GOSISDBHSA-N 0 3 309.438 4.322 20 0 DIADHN CCOc1ccc(CN[C@@H](c2ccccn2)C2CCC2)c(C)c1 ZINC000623638924 1054634615 /nfs/dbraw/zinc/63/46/15/1054634615.db2.gz WXQXDAAAGQRBBB-HXUWFJFHSA-N 0 3 310.441 4.420 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1csc(C)c1C ZINC001450353376 1054646491 /nfs/dbraw/zinc/64/64/91/1054646491.db2.gz BCEUXEQZHHYGRX-UHFFFAOYSA-N 0 3 317.458 4.035 20 0 DIADHN Cc1nn(C)cc1[C@H](C)N[C@@H](c1ccc(Cl)cc1)C1CC1 ZINC000178292496 1054648713 /nfs/dbraw/zinc/64/87/13/1054648713.db2.gz VXLYSZMTTURKCQ-APPDUMDISA-N 0 3 303.837 4.184 20 0 DIADHN CCCc1ccc([C@@H](C)NCc2ccc(CO)c(F)c2)cc1 ZINC000178563123 1054654463 /nfs/dbraw/zinc/65/44/63/1054654463.db2.gz RKSNPAHYTADFBO-CQSZACIVSA-N 0 3 301.405 4.121 20 0 DIADHN Cc1ccc([C@@H]2CCCN2Cc2cccc(N3CCCC3)c2)cn1 ZINC001235097280 1054657649 /nfs/dbraw/zinc/65/76/49/1054657649.db2.gz VBUHVXONDJNGRZ-NRFANRHFSA-N 0 3 321.468 4.327 20 0 DIADHN Cc1nc2ccccn2c1CN[C@@H]1CCc2cc(Cl)ccc21 ZINC000623707878 1054658880 /nfs/dbraw/zinc/65/88/80/1054658880.db2.gz NSUPMBZZMLRCJI-MRXNPFEDSA-N 0 3 311.816 4.073 20 0 DIADHN C[C@H](NCc1ccccc1CN(C)Cc1ccco1)c1ccoc1 ZINC000178782615 1054660346 /nfs/dbraw/zinc/66/03/46/1054660346.db2.gz SVTLUQOGPNTNHY-INIZCTEOSA-N 0 3 324.424 4.355 20 0 DIADHN O=C1C[C@H]2CC[C@@H](C1)N2Cc1cc(-c2ccsc2)cs1 ZINC001235176185 1054673671 /nfs/dbraw/zinc/67/36/71/1054673671.db2.gz GMDMWJZTYRFNCP-OKILXGFUSA-N 0 3 303.452 4.173 20 0 DIADHN C[C@@H]1CN(Cc2cc(-c3ccsc3)cs2)C[C@H](C)N1C ZINC001235186965 1054678451 /nfs/dbraw/zinc/67/84/51/1054678451.db2.gz SUQARABIFLGPOW-BETUJISGSA-N 0 3 306.500 4.001 20 0 DIADHN CCN1CCN(c2ccccc2N[C@@H](C)c2cccs2)CC1 ZINC000179604138 1054689214 /nfs/dbraw/zinc/68/92/14/1054689214.db2.gz OEGVFZXDZXRJPX-HNNXBMFYSA-N 0 3 315.486 4.063 20 0 DIADHN C[C@@H](Nc1cccc(CN2CCSCC2)c1)c1cccs1 ZINC000179619198 1054692332 /nfs/dbraw/zinc/69/23/32/1054692332.db2.gz LYPGYVJXLWHGDP-CQSZACIVSA-N 0 3 318.511 4.470 20 0 DIADHN CCN(Cc1cc2cccc(F)c2[nH]1)Cc1cccc(OC)c1 ZINC001235249898 1054692947 /nfs/dbraw/zinc/69/29/47/1054692947.db2.gz XOYIJKHTZFIUGS-UHFFFAOYSA-N 0 3 312.388 4.338 20 0 DIADHN C[C@@H](c1ccncc1)N(C)CCOc1ccc(C(F)(F)F)cc1 ZINC000179678344 1054696242 /nfs/dbraw/zinc/69/62/42/1054696242.db2.gz RZBJZNZAZJTJDG-ZDUSSCGKSA-N 0 3 324.346 4.172 20 0 DIADHN C[C@H](c1cccs1)N(C)Cc1cn(C)nc1-c1ccccc1 ZINC000179714264 1054698755 /nfs/dbraw/zinc/69/87/55/1054698755.db2.gz PREAVENGJWPZHM-CQSZACIVSA-N 0 3 311.454 4.342 20 0 DIADHN Cc1cc(NC(=O)[C@H](C(C)C)N2CCCCC2)ccc1Cl ZINC001452590323 1054707821 /nfs/dbraw/zinc/70/78/21/1054707821.db2.gz KUUQAWPVENUPSS-INIZCTEOSA-N 0 3 308.853 4.097 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2N[C@H]1CCCOc2cc(F)ccc21 ZINC000179968041 1054720165 /nfs/dbraw/zinc/72/01/65/1054720165.db2.gz WDIYLENCYJLCLE-ROUUACIJSA-N 0 3 315.363 4.456 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1cc(F)c(F)c(OC)c1 ZINC001235440037 1054721239 /nfs/dbraw/zinc/72/12/39/1054721239.db2.gz LOOFDDZABCWQLY-UHFFFAOYSA-N 0 3 305.368 4.304 20 0 DIADHN Brc1cccc([C@@H]2CCN([C@H]3C=CCCC3)C2)c1 ZINC001473486155 1054727596 /nfs/dbraw/zinc/72/75/96/1054727596.db2.gz QKFXYLFSPIGOJD-ZBFHGGJFSA-N 0 3 306.247 4.347 20 0 DIADHN Cc1n[nH]cc1CN[C@@H]1CCCc2c3cc(Cl)ccc3[nH]c21 ZINC000623754472 1054727895 /nfs/dbraw/zinc/72/78/95/1054727895.db2.gz OUULIKGLJJSFIM-MRXNPFEDSA-N 0 3 314.820 4.020 20 0 DIADHN CN(Cc1cncc(F)c1Cl)C12CC3CC(CC(C3)C1)C2 ZINC001235497897 1054733919 /nfs/dbraw/zinc/73/39/19/1054733919.db2.gz XUULOVPROKYBNC-UHFFFAOYSA-N 0 3 308.828 4.275 20 0 DIADHN Brc1cccc([C@H]2CCN([C@@H]3C=CCCC3)C2)c1 ZINC001473486153 1054735179 /nfs/dbraw/zinc/73/51/79/1054735179.db2.gz QKFXYLFSPIGOJD-GOEBONIOSA-N 0 3 306.247 4.347 20 0 DIADHN CN(CCc1nccs1)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000180180649 1054741949 /nfs/dbraw/zinc/74/19/49/1054741949.db2.gz MGULCWIDLJONSG-UHFFFAOYSA-N 0 3 316.401 4.217 20 0 DIADHN Cc1cc(Cl)cc(CN2CC[C@H](Oc3ccncc3C)C2)c1 ZINC001235540833 1054742410 /nfs/dbraw/zinc/74/24/10/1054742410.db2.gz PWWMKZXWWOPGAY-KRWDZBQOSA-N 0 3 316.832 4.005 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000180242814 1054746484 /nfs/dbraw/zinc/74/64/84/1054746484.db2.gz IMHANXFSINQHHC-DLBZAZTESA-N 0 3 315.486 4.183 20 0 DIADHN COc1ccc([C@H](C)NC2(c3ccccc3)CCC2)c(OC)c1 ZINC000180344757 1054751267 /nfs/dbraw/zinc/75/12/67/1054751267.db2.gz MUXTTWZMEZGQTB-HNNXBMFYSA-N 0 3 311.425 4.434 20 0 DIADHN CC(C)(C)OC(=O)n1cccc1CN1CCC[C@@H]2CCCC[C@H]21 ZINC001235633620 1054759179 /nfs/dbraw/zinc/75/91/79/1054759179.db2.gz ZPIDVQUVBOAZFO-DOTOQJQBSA-N 0 3 318.461 4.426 20 0 DIADHN COc1cccc(CNCC2(C(F)(F)F)CCCC2)c1F ZINC000623787908 1054762526 /nfs/dbraw/zinc/76/25/26/1054762526.db2.gz GQBXEELFRUADJX-UHFFFAOYSA-N 0 3 305.315 4.047 20 0 DIADHN CCCCN(Cc1ccccc1)Cc1ccc(Cl)nc1N ZINC001235664827 1054764107 /nfs/dbraw/zinc/76/41/07/1054764107.db2.gz XHJFILYQTHLAGK-UHFFFAOYSA-N 0 3 303.837 4.120 20 0 DIADHN Cc1ccc(CN(C)Cc2cc(OC(F)(F)F)ccc2N)cc1 ZINC001235664785 1054764387 /nfs/dbraw/zinc/76/43/87/1054764387.db2.gz VYXUFZNNXOVHMV-UHFFFAOYSA-N 0 3 324.346 4.108 20 0 DIADHN CCSCC[C@@H](C)N(C)Cc1c(C2CC2)nc2sccn12 ZINC000180670660 1054772445 /nfs/dbraw/zinc/77/24/45/1054772445.db2.gz NBWSGMOXMVPDNJ-GFCCVEGCSA-N 0 3 323.531 4.237 20 0 DIADHN CCSCC[C@H](C)N(C)Cc1c(C2CC2)nc2sccn12 ZINC000180670676 1054773875 /nfs/dbraw/zinc/77/38/75/1054773875.db2.gz NBWSGMOXMVPDNJ-LBPRGKRZSA-N 0 3 323.531 4.237 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](c2cccc(C)c2)C(C)(C)C)c1 ZINC000180799465 1054777005 /nfs/dbraw/zinc/77/70/05/1054777005.db2.gz FNRSRCVSFXEELN-LJQANCHMSA-N 0 3 324.468 4.232 20 0 DIADHN CN(C)c1ccccc1CNCc1ccccc1CC(F)(F)F ZINC000623820311 1054780094 /nfs/dbraw/zinc/78/00/94/1054780094.db2.gz SOHODHQYNQIHGI-UHFFFAOYSA-N 0 3 322.374 4.147 20 0 DIADHN Fc1ccc2nc(CN3CCC(c4cccs4)CC3)[nH]c2c1 ZINC001235692692 1054780341 /nfs/dbraw/zinc/78/03/41/1054780341.db2.gz LSJKTUBHMCBTEH-UHFFFAOYSA-N 0 3 315.417 4.143 20 0 DIADHN C[C@@H](NC1CC=CC1)c1cc(F)c(Br)cc1F ZINC000623905537 1054812008 /nfs/dbraw/zinc/81/20/08/1054812008.db2.gz CNBIREJDQXHJAK-MRVPVSSYSA-N 0 3 302.162 4.097 20 0 DIADHN CC(C)n1cncc1CN[C@@H]1CCCc2ccc(Cl)cc21 ZINC000623833277 1054782518 /nfs/dbraw/zinc/78/25/18/1054782518.db2.gz WYLIBWNMQZELQY-QGZVFWFLSA-N 0 3 303.837 4.285 20 0 DIADHN Cc1ccc(Br)cc1[C@@H](C)NCc1cccnc1 ZINC000623850057 1054786198 /nfs/dbraw/zinc/78/61/98/1054786198.db2.gz WLCMIHJRBLHRIS-GFCCVEGCSA-N 0 3 305.219 4.003 20 0 DIADHN CCC1(NCc2cc(Cl)c(C)cc2Br)CC1 ZINC001474154653 1054792196 /nfs/dbraw/zinc/79/21/96/1054792196.db2.gz LNWRNKAZEMMCLJ-UHFFFAOYSA-N 0 3 302.643 4.443 20 0 DIADHN CCC[C@H](NCc1cccnc1N(C)C)c1cc(C)sc1C ZINC000623862451 1054792129 /nfs/dbraw/zinc/79/21/29/1054792129.db2.gz ULEATZVSFSDTSN-KRWDZBQOSA-N 0 3 317.502 4.457 20 0 DIADHN c1cn(CCCN[C@@H]2CCCc3scnc32)c2ccccc12 ZINC000623851073 1054793503 /nfs/dbraw/zinc/79/35/03/1054793503.db2.gz ZZNWMSUGMMQBRF-OAHLLOKOSA-N 0 3 311.454 4.155 20 0 DIADHN CCC[C@H](NCc1ccccc1CO)c1cc(C)sc1C ZINC000623872871 1054796343 /nfs/dbraw/zinc/79/63/43/1054796343.db2.gz RERARNWJTOZNGI-SFHVURJKSA-N 0 3 303.471 4.488 20 0 DIADHN CC(C)(C)c1cnc(CNC[C@H]2CC(C)(C)OC2(C)C)s1 ZINC001474279286 1054798155 /nfs/dbraw/zinc/79/81/55/1054798155.db2.gz PNQPVNGVZPHSSZ-GFCCVEGCSA-N 0 3 310.507 4.124 20 0 DIADHN Clc1ccc(CN2CCN[C@@H](c3ccc(Cl)cc3)C2)cc1 ZINC001235790831 1054806961 /nfs/dbraw/zinc/80/69/61/1054806961.db2.gz RKNBTZGXZBNUOU-QGZVFWFLSA-N 0 3 321.251 4.140 20 0 DIADHN COc1ccc([C@H](C)CCN[C@@H]2CCCc3scnc32)cc1 ZINC000623898919 1054808038 /nfs/dbraw/zinc/80/80/38/1054808038.db2.gz VOWMEKIBFJNCQP-CZUORRHYSA-N 0 3 316.470 4.313 20 0 DIADHN CCOc1cc(CN(CC)Cc2ccc(OC)cc2)ccc1F ZINC001235806825 1054808688 /nfs/dbraw/zinc/80/86/88/1054808688.db2.gz NVESGWADYGOTFO-UHFFFAOYSA-N 0 3 317.404 4.255 20 0 DIADHN CCOc1cc(CN2CC[C@@H](c3ccc(F)cc3)C2)ccc1F ZINC001235802705 1054809993 /nfs/dbraw/zinc/80/99/93/1054809993.db2.gz DAXGRHGFCLDQOB-MRXNPFEDSA-N 0 3 317.379 4.353 20 0 DIADHN Cc1csc([C@@H](C)NCCCc2nc3c(s2)CCCC3)n1 ZINC000623885190 1054810954 /nfs/dbraw/zinc/81/09/54/1054810954.db2.gz SUSFJFRZJHWHKI-GFCCVEGCSA-N 0 3 321.515 4.070 20 0 DIADHN C[C@H](NC1CC=CC1)c1cc(F)c(Br)cc1F ZINC000623905538 1054811800 /nfs/dbraw/zinc/81/18/00/1054811800.db2.gz CNBIREJDQXHJAK-QMMMGPOBSA-N 0 3 302.162 4.097 20 0 DIADHN CCO[C@H](CCN[C@@H]1CCCc2scnc21)C1CCCC1 ZINC000623902571 1054812950 /nfs/dbraw/zinc/81/29/50/1054812950.db2.gz LDICZLUJEWSFQA-HUUCEWRRSA-N 0 3 308.491 4.096 20 0 DIADHN CC[C@@H]1CCN(Cc2sc(N3CCCC3)nc2Cl)[C@@H]1C ZINC001474459458 1054813300 /nfs/dbraw/zinc/81/33/00/1054813300.db2.gz CGUWBODGGAAYLN-VXGBXAGGSA-N 0 3 313.898 4.017 20 0 DIADHN Cc1ccncc1CN[C@H](C)c1cc(Br)ccc1C ZINC000623995072 1054848088 /nfs/dbraw/zinc/84/80/88/1054848088.db2.gz MIZUHBHFWRGZBJ-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN COc1cccc(C(C)(C)CN[C@@H]2CCCc3scnc32)c1 ZINC000623923453 1054826050 /nfs/dbraw/zinc/82/60/50/1054826050.db2.gz BFCBFLDVHQTYLX-OAHLLOKOSA-N 0 3 316.470 4.097 20 0 DIADHN CCCOc1cccc(CCN[C@H]2CCCc3scnc32)c1 ZINC000623921766 1054826284 /nfs/dbraw/zinc/82/62/84/1054826284.db2.gz GQDBGIJLJLJOJL-INIZCTEOSA-N 0 3 316.470 4.142 20 0 DIADHN CCN(Cc1cc(OC)c(OC)cc1Cl)C1CC(C)(C)C1 ZINC001474575945 1054827892 /nfs/dbraw/zinc/82/78/92/1054827892.db2.gz HCAWYVRPGZJMJP-UHFFFAOYSA-N 0 3 311.853 4.368 20 0 DIADHN CC(C)[C@H]1N(Cc2cnc(C(C)(C)C)nc2)CC12CCCC2 ZINC001474576252 1054828300 /nfs/dbraw/zinc/82/83/00/1054828300.db2.gz JUTVOXOLUZVZTG-MRXNPFEDSA-N 0 3 301.478 4.175 20 0 DIADHN CCOc1cc(-c2cccc(CN3CCCC3)c2F)c(F)cn1 ZINC001235878468 1054828642 /nfs/dbraw/zinc/82/86/42/1054828642.db2.gz YCYVTRKGFSNZFL-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN C[C@]1(Br)C[C@@H]1CNC1(c2ccccc2Cl)CC1 ZINC001474594973 1054836017 /nfs/dbraw/zinc/83/60/17/1054836017.db2.gz ODFYFVDQJMNAKH-MFKMUULPSA-N 0 3 314.654 4.092 20 0 DIADHN c1nc2c(s1)CCC[C@@H]2N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000623960615 1054838230 /nfs/dbraw/zinc/83/82/30/1054838230.db2.gz BMDUOGYZKBPPIH-JYJNAYRXSA-N 0 3 314.454 4.030 20 0 DIADHN Cc1csc([C@H](C)NCCCc2c[nH]c3ccc(F)cc23)n1 ZINC000623978884 1054844961 /nfs/dbraw/zinc/84/49/61/1054844961.db2.gz IJDIFMDXIDZSEY-LBPRGKRZSA-N 0 3 317.433 4.355 20 0 DIADHN Cc1csc([C@@H](C)N[C@H](C)CCOCc2ccccc2)n1 ZINC000623983558 1054846015 /nfs/dbraw/zinc/84/60/15/1054846015.db2.gz DZMUTIKSUPDVHU-UKRRQHHQSA-N 0 3 304.459 4.098 20 0 DIADHN C[C@H](CCOCc1ccccc1)N[C@@H]1CCCc2scnc21 ZINC000623983469 1054846342 /nfs/dbraw/zinc/84/63/42/1054846342.db2.gz BJBUMMGZLVLBGY-GDBMZVCRSA-N 0 3 316.470 4.106 20 0 DIADHN Cc1csc([C@H](C)N[C@H](C)CCOCc2ccccc2)n1 ZINC000623983557 1054847050 /nfs/dbraw/zinc/84/70/50/1054847050.db2.gz DZMUTIKSUPDVHU-HIFRSBDPSA-N 0 3 304.459 4.098 20 0 DIADHN Cc1csc([C@H](C)NCC[C@H]2CSc3ccccc3O2)n1 ZINC000624005477 1054852510 /nfs/dbraw/zinc/85/25/10/1054852510.db2.gz RTTFSXKFHBRFBU-STQMWFEESA-N 0 3 320.483 4.046 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)N[C@H]1CCCc2scnc21 ZINC000624010654 1054855036 /nfs/dbraw/zinc/85/50/36/1054855036.db2.gz URNJYWARXGENMX-CABCVRRESA-N 0 3 304.434 4.271 20 0 DIADHN CC[C@H](Cc1ccc(F)cc1)N[C@@H]1CCCc2scnc21 ZINC000624010656 1054855444 /nfs/dbraw/zinc/85/54/44/1054855444.db2.gz URNJYWARXGENMX-HUUCEWRRSA-N 0 3 304.434 4.271 20 0 DIADHN COc1cc(CCN[C@@H](C)c2nc(C)cs2)ccc1Cl ZINC000623989644 1054855818 /nfs/dbraw/zinc/85/58/18/1054855818.db2.gz CQDGTSZQWAOVME-NSHDSACASA-N 0 3 310.850 4.007 20 0 DIADHN Cc1cc(F)ccc1C[C@H](C)N[C@@H]1CCCc2scnc21 ZINC000624010224 1054855860 /nfs/dbraw/zinc/85/58/60/1054855860.db2.gz KRWCEUQQFLIMBP-SWLSCSKDSA-N 0 3 304.434 4.189 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)N[C@H]1CCCc2scnc21 ZINC000624010655 1054855886 /nfs/dbraw/zinc/85/58/86/1054855886.db2.gz URNJYWARXGENMX-GJZGRUSLSA-N 0 3 304.434 4.271 20 0 DIADHN COc1ccc([C@H](NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c2ccccn2)cc1 ZINC000624033585 1054861816 /nfs/dbraw/zinc/86/18/16/1054861816.db2.gz LVGVZXYSILUXOT-OCQZMCQHSA-N 0 3 322.452 4.205 20 0 DIADHN C[C@@H](c1ccccn1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000624061858 1054869511 /nfs/dbraw/zinc/86/95/11/1054869511.db2.gz MHONXBACRLMLGI-DZGCQCFKSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@@H](c1cccnc1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000624062095 1054869672 /nfs/dbraw/zinc/86/96/72/1054869672.db2.gz UBIRGIYWOHPQSS-XJKSGUPXSA-N 0 3 302.368 4.300 20 0 DIADHN C[C@H](c1ccncc1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000624061804 1054869782 /nfs/dbraw/zinc/86/97/82/1054869782.db2.gz KMHQHJPCMQBEQP-CJNGLKHVSA-N 0 3 302.368 4.300 20 0 DIADHN CC(C)CC[C@@H](CO)N[C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000624110378 1054879187 /nfs/dbraw/zinc/87/91/87/1054879187.db2.gz XADHQOVEXKCPST-HOCLYGCPSA-N 0 3 318.486 4.258 20 0 DIADHN Cc1ccc(C(C)(C)CN[C@H](C)c2ccncc2Cl)nc1 ZINC000624112269 1054882011 /nfs/dbraw/zinc/88/20/11/1054882011.db2.gz RNKONGBEZAALLU-CYBMUJFWSA-N 0 3 303.837 4.067 20 0 DIADHN CCOc1cccnc1-c1cccc(CN2CCCCC2)c1F ZINC001236004453 1054891171 /nfs/dbraw/zinc/89/11/71/1054891171.db2.gz IQWGRDNQKPEJKW-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1nccc2cccnc21 ZINC001236006247 1054891266 /nfs/dbraw/zinc/89/12/66/1054891266.db2.gz YAXIKPAZSBUECJ-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN Cc1nc(C)c(-c2cccc(CN3CCCCC3)c2F)nc1C ZINC001236005070 1054891367 /nfs/dbraw/zinc/89/13/67/1054891367.db2.gz KUZXABQWIKGXQC-UHFFFAOYSA-N 0 3 313.420 4.194 20 0 DIADHN CCCc1nccnc1-c1cccc(CN2CCCCC2)c1F ZINC001236006233 1054892226 /nfs/dbraw/zinc/89/22/26/1054892226.db2.gz SBZJPHUGACMOJT-UHFFFAOYSA-N 0 3 313.420 4.221 20 0 DIADHN Fc1cc(F)c(-c2cccc(CN3CCCCC3)c2F)nc1F ZINC001236010693 1054893865 /nfs/dbraw/zinc/89/38/65/1054893865.db2.gz CZHHWVZSDILTGS-UHFFFAOYSA-N 0 3 324.321 4.291 20 0 DIADHN Cc1nc2[nH]cnc2cc1-c1cccc(CN2CCCCC2)c1F ZINC001236015149 1054896851 /nfs/dbraw/zinc/89/68/51/1054896851.db2.gz KXUXRUYEMPDSTN-UHFFFAOYSA-N 0 3 324.403 4.058 20 0 DIADHN Nc1ccc(-c2cccc(CN3CCCCC3)c2F)cc1F ZINC001236014528 1054897197 /nfs/dbraw/zinc/89/71/97/1054897197.db2.gz FCFIHAGHPIGGKL-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN Cc1cc(-c2cccc(CN3CCCCC3)c2F)c2nccn2c1 ZINC001236013039 1054897520 /nfs/dbraw/zinc/89/75/20/1054897520.db2.gz YXRMERHPHDWETP-UHFFFAOYSA-N 0 3 323.415 4.435 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1cncc2ncoc21 ZINC001236014540 1054897577 /nfs/dbraw/zinc/89/75/77/1054897577.db2.gz FPXGUTUYSYYQGI-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN Fc1c(CN2CCCCC2)cccc1-c1ccc2nnccc2c1 ZINC001236015240 1054898007 /nfs/dbraw/zinc/89/80/07/1054898007.db2.gz NGJKBNNTOMZDST-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cnc(C)c(-c2cccc(CN3CCCCC3)c2F)c1 ZINC001236015243 1054898605 /nfs/dbraw/zinc/89/86/05/1054898605.db2.gz NJJYFJIVDMAYCE-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CNCc1cc(-c2ccc3cc(OCC(C)C)ccc3c2)ncn1 ZINC001236019307 1054900349 /nfs/dbraw/zinc/90/03/49/1054900349.db2.gz AGOVAORMUXOQCJ-UHFFFAOYSA-N 0 3 321.424 4.051 20 0 DIADHN Nc1ccc(-c2cccc(CN3CCCCC3)c2F)c(F)c1 ZINC001236015844 1054901281 /nfs/dbraw/zinc/90/12/81/1054901281.db2.gz PKIYCQUSKVKOAD-UHFFFAOYSA-N 0 3 302.368 4.200 20 0 DIADHN C[C@@H](c1cc(Cl)ccc1Cl)N(C)CCCn1ccnc1 ZINC000181082253 1054903870 /nfs/dbraw/zinc/90/38/70/1054903870.db2.gz ZKARRLMQARGOBD-LBPRGKRZSA-N 0 3 312.244 4.273 20 0 DIADHN CC(C)c1nc([C@H](C)NC[C@H]2CCOc3ccccc32)cs1 ZINC000181346360 1054910189 /nfs/dbraw/zinc/91/01/89/1054910189.db2.gz DCUXEHKBHMWPMX-UONOGXRCSA-N 0 3 316.470 4.483 20 0 DIADHN C[C@H](NCCn1ccnc1)c1cc2c(ccc3ccccc23)o1 ZINC000181405709 1054912202 /nfs/dbraw/zinc/91/22/02/1054912202.db2.gz LNEVQIORCAAVRD-AWEZNQCLSA-N 0 3 305.381 4.133 20 0 DIADHN COCCc1nc(C)c([C@@H](C)NC/C=C/c2ccccc2)s1 ZINC000181418294 1054913091 /nfs/dbraw/zinc/91/30/91/1054913091.db2.gz URMFCRBOMQHEAT-DNGMOHDESA-N 0 3 316.470 4.004 20 0 DIADHN CC1CCN(Cc2ccc(OC(F)F)c(OC(F)F)c2)CC1 ZINC001142809113 1054919533 /nfs/dbraw/zinc/91/95/33/1054919533.db2.gz QQTDIJHRIUEFID-UHFFFAOYSA-N 0 3 321.314 4.121 20 0 DIADHN CNC(=O)c1cccc(CN[C@H](CC2CCC2)c2ccccc2)c1 ZINC000181585645 1054921483 /nfs/dbraw/zinc/92/14/83/1054921483.db2.gz HCGWTMDQEZSQAB-HXUWFJFHSA-N 0 3 322.452 4.067 20 0 DIADHN C[C@H](N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1ccc(-c2ccccc2)cn1 ZINC000624183697 1054923306 /nfs/dbraw/zinc/92/33/06/1054923306.db2.gz ZYZXSSWRAVELNM-HYUNPYRHSA-N 0 3 310.441 4.211 20 0 DIADHN CC[C@H](NCc1ccc(C(=O)OC)c(F)c1)c1ccc(C)cc1 ZINC000181681357 1054929671 /nfs/dbraw/zinc/92/96/71/1054929671.db2.gz GXIPNSGJVALQRC-SFHVURJKSA-N 0 3 315.388 4.162 20 0 DIADHN C[C@H]1C[C@@H](C(=O)Oc2c(Cl)ccc3ccccc32)CCN1C ZINC001535428003 1054933450 /nfs/dbraw/zinc/93/34/50/1054933450.db2.gz QESNKBBOIGWPGK-JSGCOSHPSA-N 0 3 317.816 4.129 20 0 DIADHN C[C@]1(CN[C@H](c2ccc(F)cc2)c2ccccn2)C[C@H]2C[C@H]2C1 ZINC000624200384 1054933811 /nfs/dbraw/zinc/93/38/11/1054933811.db2.gz KDZLWYYJYYTMCN-GJJHYRHESA-N 0 3 310.416 4.336 20 0 DIADHN CCCCOc1ccc(CN2CCc3cc(N)ccc3C2)cc1 ZINC001236104503 1054950305 /nfs/dbraw/zinc/95/03/05/1054950305.db2.gz BWIDSLGSDLSHIS-UHFFFAOYSA-N 0 3 310.441 4.006 20 0 DIADHN Cc1ccc(-c2ccc(CN3CCc4cc(N)ccc4C3)cc2)o1 ZINC001236106229 1054950365 /nfs/dbraw/zinc/95/03/65/1054950365.db2.gz QELHBJBXEXREAB-UHFFFAOYSA-N 0 3 318.420 4.396 20 0 DIADHN Nc1ccc2c(c1)CCN(CCc1ccc(Cl)cc1Cl)C2 ZINC001236104916 1054950387 /nfs/dbraw/zinc/95/03/87/1054950387.db2.gz ISFKYMXYWZTUBX-UHFFFAOYSA-N 0 3 321.251 4.176 20 0 DIADHN CC(C)Oc1ncccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001236108169 1054951117 /nfs/dbraw/zinc/95/11/17/1054951117.db2.gz CTEDSVZIFBKYEW-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN Cc1cc(CN2CCc3cc(N)ccc3C2)cc(C)c1OC(C)C ZINC001236108476 1054951375 /nfs/dbraw/zinc/95/13/75/1054951375.db2.gz JZECFGWCJLLGLG-UHFFFAOYSA-N 0 3 324.468 4.231 20 0 DIADHN CSc1ccccc1[C@@H]1CCCN1Cc1ccc(N)c(F)c1 ZINC001236108516 1054951410 /nfs/dbraw/zinc/95/14/10/1054951410.db2.gz KDLHSZFMBIMAOU-KRWDZBQOSA-N 0 3 316.445 4.467 20 0 DIADHN c1n[nH]cc1CN[C@H](CCCc1ccccc1)c1ccccc1 ZINC000624216081 1054956739 /nfs/dbraw/zinc/95/67/39/1054956739.db2.gz QUONMLWHXQAJBU-HXUWFJFHSA-N 0 3 305.425 4.264 20 0 DIADHN Clc1ccc(C[C@H](NCc2cn[nH]c2)c2ccccc2)cc1 ZINC000624217190 1054959246 /nfs/dbraw/zinc/95/92/46/1054959246.db2.gz GPGMUXSBULYALV-SFHVURJKSA-N 0 3 311.816 4.137 20 0 DIADHN C[C@@H](NCCC(c1ccccc1)c1ccccc1)c1nccn1C ZINC000182179106 1054968516 /nfs/dbraw/zinc/96/85/16/1054968516.db2.gz ZYYIDRTXZPWYJB-QGZVFWFLSA-N 0 3 319.452 4.293 20 0 DIADHN Cc1ncncc1[C@H](C)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000624221188 1054971201 /nfs/dbraw/zinc/97/12/01/1054971201.db2.gz QUAOOGRYSYYYQX-SJCJKPOMSA-N 0 3 301.821 4.167 20 0 DIADHN CO[C@@H](C)CN[C@H](c1ccccc1)c1ccc(OC(F)F)cc1 ZINC000182250453 1054975536 /nfs/dbraw/zinc/97/55/36/1054975536.db2.gz HVCKHDNSCXVJIJ-SUMWQHHRSA-N 0 3 321.367 4.002 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(C)cc2Cl)cc1 ZINC000182314035 1054979837 /nfs/dbraw/zinc/97/98/37/1054979837.db2.gz BNTKCXYJACUTQO-CQSZACIVSA-N 0 3 316.832 4.246 20 0 DIADHN COc1cc(-c2cc(N3CCCCC3)ccn2)cc(C)c1F ZINC001236180179 1054980700 /nfs/dbraw/zinc/98/07/00/1054980700.db2.gz WVNZXNNARNHKJB-UHFFFAOYSA-N 0 3 300.377 4.195 20 0 DIADHN Cc1ccc([C@@H](C)NCC(=O)Nc2ccc(F)cc2Cl)cc1 ZINC000182339433 1054982192 /nfs/dbraw/zinc/98/21/92/1054982192.db2.gz OJOCAZXZLJLQIY-GFCCVEGCSA-N 0 3 320.795 4.077 20 0 DIADHN COc1ccc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)cc1O ZINC001236190985 1054988126 /nfs/dbraw/zinc/98/81/26/1054988126.db2.gz ITKFDNJBGGFOEC-GOSISDBHSA-N 0 3 322.408 4.219 20 0 DIADHN Cc1cc(F)ncc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236190203 1054988215 /nfs/dbraw/zinc/98/82/15/1054988215.db2.gz HXHCEULOZNEDPK-GOSISDBHSA-N 0 3 309.388 4.348 20 0 DIADHN COc1cc(O)cc(CN2CCC[C@@H]2c2c[nH]c3ccccc32)c1 ZINC001236190217 1054988598 /nfs/dbraw/zinc/98/85/98/1054988598.db2.gz HYOYYWIPFPCWKQ-HXUWFJFHSA-N 0 3 322.408 4.219 20 0 DIADHN Nc1ccc(F)cc1CN1CCC[C@@H]1c1c[nH]c2ccccc21 ZINC001236191338 1054989589 /nfs/dbraw/zinc/98/95/89/1054989589.db2.gz LTVPIBDSFYKBSX-LJQANCHMSA-N 0 3 309.388 4.226 20 0 DIADHN c1nc2ccccn2c1CN1CCC[C@H]1c1c[nH]c2ccccc12 ZINC001236192724 1054991049 /nfs/dbraw/zinc/99/10/49/1054991049.db2.gz PZVPZYTUORKCPT-IBGZPJMESA-N 0 3 316.408 4.153 20 0 DIADHN CC[C@H](NCCOc1ccc(SC)cc1)c1c(C)noc1C ZINC000182511649 1054993643 /nfs/dbraw/zinc/99/36/43/1054993643.db2.gz LPWIVECNRSCWGS-INIZCTEOSA-N 0 3 320.458 4.133 20 0 DIADHN COCc1ccc(CN2CCC[C@H]2c2c[nH]c3ccccc32)o1 ZINC001236193031 1054994318 /nfs/dbraw/zinc/99/43/18/1054994318.db2.gz RXTSXDUSONDINU-IBGZPJMESA-N 0 3 310.397 4.244 20 0 DIADHN Oc1cc(F)cc(CN2CCC[C@H]2c2c[nH]c3ccccc32)c1 ZINC001236193749 1054994790 /nfs/dbraw/zinc/99/47/90/1054994790.db2.gz LVDBANVDMNAMSK-IBGZPJMESA-N 0 3 310.372 4.350 20 0 DIADHN CC[C@@](C)(NCCCOCc1ccccc1)c1nc(C)cs1 ZINC000182534316 1054995573 /nfs/dbraw/zinc/99/55/73/1054995573.db2.gz KKSIOOXBCPUQPU-GOSISDBHSA-N 0 3 318.486 4.273 20 0 DIADHN C[C@H](NCc1nnc(-c2ccccc2Cl)o1)C1CCCCC1 ZINC000182700188 1055003962 /nfs/dbraw/zinc/00/39/62/1055003962.db2.gz KAPBPBWNEIBKOS-LBPRGKRZSA-N 0 3 319.836 4.448 20 0 DIADHN Cc1cccc(NC2CCN(CCC(=O)c3ccccc3)CC2)c1 ZINC000182673541 1055004948 /nfs/dbraw/zinc/00/49/48/1055004948.db2.gz IQUDGVDLIAKERM-UHFFFAOYSA-N 0 3 322.452 4.144 20 0 DIADHN O=c1[nH]cccc1CN[C@H](c1ccc(Cl)c(Cl)c1)C1CC1 ZINC000624258209 1055005749 /nfs/dbraw/zinc/00/57/49/1055005749.db2.gz CQQNIOBNPRHACG-HNNXBMFYSA-N 0 3 323.223 4.335 20 0 DIADHN C[C@@H](NC[C@@H](C)c1c(Cl)cccc1Cl)c1nccn1C ZINC000182758752 1055007627 /nfs/dbraw/zinc/00/76/27/1055007627.db2.gz FSZSCLOIRNOPRY-GHMZBOCLSA-N 0 3 312.244 4.181 20 0 DIADHN c1ccc2c(c1)CC[C@@H]2Nc1cccc(CN2CCSCC2)c1 ZINC000182804737 1055011047 /nfs/dbraw/zinc/01/10/47/1055011047.db2.gz LPWQIRMVJBQREW-FQEVSTJZSA-N 0 3 324.493 4.335 20 0 DIADHN CC(C)CCOc1ccc([C@@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624257692 1055011798 /nfs/dbraw/zinc/01/17/98/1055011798.db2.gz NDBOBMHEOMOQNC-OAHLLOKOSA-N 0 3 314.429 4.063 20 0 DIADHN CC[C@@H](NCc1ccc[nH]c1=O)c1ccc2cc(OC)ccc2c1 ZINC000624257934 1055012694 /nfs/dbraw/zinc/01/26/94/1055012694.db2.gz VRAXEBUMTWCUPW-LJQANCHMSA-N 0 3 322.408 4.190 20 0 DIADHN CC(C)Sc1ccc([C@@H](C)NCc2ccc[nH]c2=O)cc1 ZINC000624258313 1055014723 /nfs/dbraw/zinc/01/47/23/1055014723.db2.gz GGRYNQFMYBBZFD-CYBMUJFWSA-N 0 3 302.443 4.139 20 0 DIADHN O=c1[nH]cccc1CN[C@H]1CCCSc2ccc(Cl)cc21 ZINC000624258654 1055016142 /nfs/dbraw/zinc/01/61/42/1055016142.db2.gz PPFZNQKNORTMKB-AWEZNQCLSA-N 0 3 320.845 4.157 20 0 DIADHN COc1cc(C)cc(F)c1-c1cnccc1CN1CCCCC1 ZINC001236229383 1055018738 /nfs/dbraw/zinc/01/87/38/1055018738.db2.gz CKILDDVFPOYPPK-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Cc1cc2cnc(-c3ccc(CN4CCCCC4)c(F)c3)nc2[nH]1 ZINC001236232306 1055022868 /nfs/dbraw/zinc/02/28/68/1055022868.db2.gz MHRKLBHJRLGGCU-UHFFFAOYSA-N 0 3 324.403 4.010 20 0 DIADHN CC(C)c1nccnc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236245456 1055029676 /nfs/dbraw/zinc/02/96/76/1055029676.db2.gz SWHMKOCXIQYSFO-UHFFFAOYSA-N 0 3 313.420 4.392 20 0 DIADHN Fc1cc(-c2ccc3cnncc3c2)ccc1CN1CCCCC1 ZINC001236249035 1055030640 /nfs/dbraw/zinc/03/06/40/1055030640.db2.gz OSTZORUZSWRSET-UHFFFAOYSA-N 0 3 321.399 4.422 20 0 DIADHN COc1cncc(-c2ccc(CN3CCCCC3)c(F)c2)c1C ZINC001236248434 1055030952 /nfs/dbraw/zinc/03/09/52/1055030952.db2.gz GWJUPXFWFQQUND-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2cncc3ncoc32)ccc1CN1CCCCC1 ZINC001236250597 1055032747 /nfs/dbraw/zinc/03/27/47/1055032747.db2.gz HYROPYFONRCLQD-UHFFFAOYSA-N 0 3 311.360 4.015 20 0 DIADHN COc1cc(C)ncc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001236250652 1055032928 /nfs/dbraw/zinc/03/29/28/1055032928.db2.gz IUZYCXPNVATWFX-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN Fc1cc(-c2ccc(=S)[nH]c2)ccc1CN1CCCCC1 ZINC001236249597 1055034017 /nfs/dbraw/zinc/03/40/17/1055034017.db2.gz XFGZNVKCPNFENS-UHFFFAOYSA-N 0 3 302.418 4.162 20 0 DIADHN C[C@H](N[C@@H]1CCO[C@@H]1c1ccccc1)c1cccc(F)c1F ZINC000183276941 1055036789 /nfs/dbraw/zinc/03/67/89/1055036789.db2.gz OUIRWKXBLDTULL-BJOOFOGMSA-N 0 3 303.352 4.146 20 0 DIADHN CC(C)CCn1cccc1CN(C)C[C@@H](C)c1nccs1 ZINC000183249853 1055037179 /nfs/dbraw/zinc/03/71/79/1055037179.db2.gz OYJJQNUNFZASHH-OAHLLOKOSA-N 0 3 305.491 4.226 20 0 DIADHN COc1cc(F)cc(CN[C@H]2CCCc3cc(F)ccc32)c1 ZINC000183424666 1055045361 /nfs/dbraw/zinc/04/53/61/1055045361.db2.gz DLBUHZHWTAFVAK-SFHVURJKSA-N 0 3 303.352 4.141 20 0 DIADHN COc1cc(F)cc(CN[C@@H]2CCCc3cc(F)ccc32)c1 ZINC000183424648 1055046344 /nfs/dbraw/zinc/04/63/44/1055046344.db2.gz DLBUHZHWTAFVAK-GOSISDBHSA-N 0 3 303.352 4.141 20 0 DIADHN Cc1csc([C@H](NCCc2ccc(F)c(F)c2)C2CC2)n1 ZINC000183491352 1055048114 /nfs/dbraw/zinc/04/81/14/1055048114.db2.gz INHXJMHXWQTKQN-OAHLLOKOSA-N 0 3 308.397 4.013 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN(C2CC2)C2CCCC2)s1 ZINC000183583248 1055049900 /nfs/dbraw/zinc/04/99/00/1055049900.db2.gz WCLSDOSBHFPZSL-UHFFFAOYSA-N 0 3 304.381 4.377 20 0 DIADHN CC(=O)Nc1cccc(CNC2(c3ccccc3)CCCC2)c1 ZINC000183547634 1055050278 /nfs/dbraw/zinc/05/02/78/1055050278.db2.gz XZZCESJBSZPAPD-UHFFFAOYSA-N 0 3 308.425 4.204 20 0 DIADHN Cc1nc(C(F)(F)F)c(CN2C[C@H]3CCCC[C@@H]3C2)s1 ZINC000183603764 1055051767 /nfs/dbraw/zinc/05/17/67/1055051767.db2.gz FNKBGENHPFNZJE-GHMZBOCLSA-N 0 3 304.381 4.092 20 0 DIADHN Cc1cc(CN(C)[C@@H](C)c2ccncc2)cc(C)c1OC(F)F ZINC000183716549 1055054235 /nfs/dbraw/zinc/05/42/35/1055054235.db2.gz ODBFZIAJKZZLQR-AWEZNQCLSA-N 0 3 320.383 4.493 20 0 DIADHN Cc1cccc2c(CCNCc3ncc(C(C)C)s3)c[nH]c21 ZINC000183815088 1055059111 /nfs/dbraw/zinc/05/91/11/1055059111.db2.gz MONZEHDPRVVBKJ-UHFFFAOYSA-N 0 3 313.470 4.389 20 0 DIADHN CC(C)Oc1ccccc1CN(C)C[C@H](C)c1nccs1 ZINC000183921959 1055060519 /nfs/dbraw/zinc/06/05/19/1055060519.db2.gz MRZTVIQROUUNGX-AWEZNQCLSA-N 0 3 304.459 4.166 20 0 DIADHN Cc1c(CN2CCC(c3ccc(N)cc3)CC2)ccnc1Cl ZINC001236337446 1055065596 /nfs/dbraw/zinc/06/55/96/1055065596.db2.gz XTLOEKFWBCDKNC-UHFFFAOYSA-N 0 3 315.848 4.005 20 0 DIADHN CCCCOc1ccc(CN2CCc3c(N)cccc3C2)cc1 ZINC001236355710 1055070520 /nfs/dbraw/zinc/07/05/20/1055070520.db2.gz NNCIJGMQCNQYRX-UHFFFAOYSA-N 0 3 310.441 4.006 20 0 DIADHN Nc1cccc2c1CCN(CCc1ccc(Cl)cc1Cl)C2 ZINC001236357716 1055071991 /nfs/dbraw/zinc/07/19/91/1055071991.db2.gz VNNGJVJHVGZTKW-UHFFFAOYSA-N 0 3 321.251 4.176 20 0 DIADHN CC(C)Oc1c(F)ccc(-c2ccc3c(c2)CN(C)CC3)c1F ZINC001236366042 1055076868 /nfs/dbraw/zinc/07/68/68/1055076868.db2.gz DDXPAVQRYWPIBP-UHFFFAOYSA-N 0 3 317.379 4.407 20 0 DIADHN COC[C@H](N[C@@H](C)CCSc1ccccc1)c1ccco1 ZINC000184595568 1055078855 /nfs/dbraw/zinc/07/88/55/1055078855.db2.gz UHPOQHLVGRTIAJ-HOCLYGCPSA-N 0 3 305.443 4.128 20 0 DIADHN Cc1cnc([C@H](NCCCOc2ccc(F)cc2)C2CC2)s1 ZINC000185273527 1055089147 /nfs/dbraw/zinc/08/91/47/1055089147.db2.gz QYXAHLFPOQCDLF-MRXNPFEDSA-N 0 3 320.433 4.100 20 0 DIADHN CCC[C@@H]1CCCCN1C(=O)c1cccc(CN2CCCC2)c1 ZINC000185401402 1055092190 /nfs/dbraw/zinc/09/21/90/1055092190.db2.gz VYUAXXVIHALRNZ-LJQANCHMSA-N 0 3 314.473 4.077 20 0 DIADHN CC(C)Oc1cc(-c2ccc3[nH]c(N)nc3c2)ccc1Cl ZINC001236411770 1055101928 /nfs/dbraw/zinc/10/19/28/1055101928.db2.gz LCBLPQQQZMFZNM-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN CC(C)Oc1cc(-c2ccc3nc(N)[nH]c3c2)ccc1Cl ZINC001236411770 1055101932 /nfs/dbraw/zinc/10/19/32/1055101932.db2.gz LCBLPQQQZMFZNM-UHFFFAOYSA-N 0 3 301.777 4.253 20 0 DIADHN Cc1ccc(F)c(-c2ccc(CN3CCCC3)c(F)c2)c1O ZINC001236416815 1055104069 /nfs/dbraw/zinc/10/40/69/1055104069.db2.gz XRKAQHIJECKRDY-UHFFFAOYSA-N 0 3 303.352 4.242 20 0 DIADHN CCc1ccccc1NC(=O)CN[C@H](CC)c1ccc(C)cc1 ZINC000194012355 1055113198 /nfs/dbraw/zinc/11/31/98/1055113198.db2.gz OGQTVEDDWVTJEG-GOSISDBHSA-N 0 3 310.441 4.237 20 0 DIADHN CC(C)C[NH2+]Cc1ccc(-c2ccc3c(c2)[N-]C(=S)C3)cc1 ZINC001236448241 1055117509 /nfs/dbraw/zinc/11/75/09/1055117509.db2.gz RGXFSDOLZVFBDA-UHFFFAOYSA-N 0 3 310.466 4.395 20 0 DIADHN C[C@@H]1CCCC[C@@H]1N(C)Cc1nnc(-c2ccccc2Cl)o1 ZINC000194374626 1055122774 /nfs/dbraw/zinc/12/27/74/1055122774.db2.gz CNUUOCIKGPJYTI-DOMZBBRYSA-N 0 3 319.836 4.401 20 0 DIADHN C[C@H]1CCCC[C@H]1N(C)Cc1nnc(-c2ccccc2Cl)o1 ZINC000194374668 1055123049 /nfs/dbraw/zinc/12/30/49/1055123049.db2.gz CNUUOCIKGPJYTI-SWLSCSKDSA-N 0 3 319.836 4.401 20 0 DIADHN CC(C)Oc1cccc(CNCc2ccc3cnccc3c2)c1 ZINC000785426998 1055123104 /nfs/dbraw/zinc/12/31/04/1055123104.db2.gz UIQIVAYCDABWFR-UHFFFAOYSA-N 0 3 306.409 4.312 20 0 DIADHN C[C@H](CCNCc1nnc(-c2ccccc2)s1)c1ccccc1 ZINC000194511471 1055127647 /nfs/dbraw/zinc/12/76/47/1055127647.db2.gz ULEPKUSQBNBGKH-OAHLLOKOSA-N 0 3 323.465 4.489 20 0 DIADHN CSc1nccc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)n1 ZINC001236468660 1055128802 /nfs/dbraw/zinc/12/88/02/1055128802.db2.gz AEQXGDQMPJQYAF-SJORKVTESA-N 0 3 323.465 4.019 20 0 DIADHN CCOc1ccnc(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236471840 1055131476 /nfs/dbraw/zinc/13/14/76/1055131476.db2.gz MGGRLBHYDDEXBJ-MOPGFXCFSA-N 0 3 320.436 4.301 20 0 DIADHN COc1cc(C)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)cn1 ZINC001236471560 1055131576 /nfs/dbraw/zinc/13/15/76/1055131576.db2.gz ALFHAVCAFLQTRM-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN Cc1c(F)ccnc1C1=C[C@H]2CC[C@@H](C1)N2Cc1ccccc1 ZINC001236471729 1055132072 /nfs/dbraw/zinc/13/20/72/1055132072.db2.gz JRODTPIYBMVQPD-MSOLQXFVSA-N 0 3 308.400 4.349 20 0 DIADHN COc1cccc2c1CCC[C@H]2NCc1cc(F)cc(F)c1 ZINC000194840146 1055137128 /nfs/dbraw/zinc/13/71/28/1055137128.db2.gz ULSGPTKLIITCCT-QGZVFWFLSA-N 0 3 303.352 4.141 20 0 DIADHN COc1cnc(C)c(C2=C[C@H]3CC[C@@H](C2)N3Cc2ccccc2)c1 ZINC001236477289 1055137235 /nfs/dbraw/zinc/13/72/35/1055137235.db2.gz YNWKPMFEESTRHW-MOPGFXCFSA-N 0 3 320.436 4.219 20 0 DIADHN CC[C@@H](C)[C@@H](CNCc1cc(Cl)ccc1OC(F)F)OC ZINC000381109617 1055149348 /nfs/dbraw/zinc/14/93/48/1055149348.db2.gz BWIXJCISBWJWLM-QMTHXVAHSA-N 0 3 321.795 4.092 20 0 DIADHN COc1cc(F)c(CN(C)CCc2cccs2)cc1Cl ZINC001238789785 1055156019 /nfs/dbraw/zinc/15/60/19/1055156019.db2.gz FCWOXJLKKNTLRZ-UHFFFAOYSA-N 0 3 313.825 4.224 20 0 DIADHN CC[C@H](C)[C@H](C)N[C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000195675529 1055160086 /nfs/dbraw/zinc/16/00/86/1055160086.db2.gz NFHNGGBEEOADEO-DRZSPHRISA-N 0 3 305.397 4.269 20 0 DIADHN CC[C@H](C)[C@@H](CN[C@@H](C)c1sccc1Br)OC ZINC000381442221 1055165758 /nfs/dbraw/zinc/16/57/58/1055165758.db2.gz YQSSNVZOGLFPGQ-JBLDHEPKSA-N 0 3 320.296 4.222 20 0 DIADHN CC[C@H](C)[C@H](CN[C@H](C)c1sccc1Br)OC ZINC000381442224 1055165966 /nfs/dbraw/zinc/16/59/66/1055165966.db2.gz YQSSNVZOGLFPGQ-UMNHJUIQSA-N 0 3 320.296 4.222 20 0 DIADHN FC1(F)CCCC[C@@H]1CNCc1ccccc1Br ZINC000382025420 1055196582 /nfs/dbraw/zinc/19/65/82/1055196582.db2.gz BJCXMTOWRFNAOR-GFCCVEGCSA-N 0 3 318.205 4.364 20 0 DIADHN COC(=O)c1cccc(CN2CCC[C@H]3CCCC[C@H]32)c1Cl ZINC000382126185 1055201782 /nfs/dbraw/zinc/20/17/82/1055201782.db2.gz MFFKFLCUPDRQQA-CZUORRHYSA-N 0 3 321.848 4.281 20 0 DIADHN Cc1cc(Cl)nc(Cl)c1CN1CCc2ccccc2CC1 ZINC001236605824 1055203464 /nfs/dbraw/zinc/20/34/64/1055203464.db2.gz UMTPBNHRVWPEON-UHFFFAOYSA-N 0 3 321.251 4.298 20 0 DIADHN CN(Cc1cnc(Cl)c(C(F)(F)F)c1)C[C@H]1CC1(C)C ZINC001236627374 1055207130 /nfs/dbraw/zinc/20/71/30/1055207130.db2.gz ZJGWBOLYDHTDHM-SNVBAGLBSA-N 0 3 306.759 4.232 20 0 DIADHN COC(=O)c1cccc(CN2CC(C)(C)[C@@H]3CCC[C@H]32)c1Cl ZINC000382288503 1055207592 /nfs/dbraw/zinc/20/75/92/1055207592.db2.gz ZZLXFYOIQJAECP-HUUCEWRRSA-N 0 3 321.848 4.137 20 0 DIADHN Cc1cc(Cl)cc(CN(C)CCc2ccc(Cl)cc2)n1 ZINC001236643016 1055211065 /nfs/dbraw/zinc/21/10/65/1055211065.db2.gz MKEXOQWKZDZYRF-UHFFFAOYSA-N 0 3 309.240 4.371 20 0 DIADHN COc1ccc2sc(CN3CC[C@@H](C(F)(F)F)C3)cc2c1 ZINC001236665147 1055220676 /nfs/dbraw/zinc/22/06/76/1055220676.db2.gz YICLHWRCKPALMQ-LLVKDONJSA-N 0 3 315.360 4.294 20 0 DIADHN COc1ccc2sc(CN3CC[C@H](C(F)(F)F)C3)cc2c1 ZINC001236665154 1055221590 /nfs/dbraw/zinc/22/15/90/1055221590.db2.gz YICLHWRCKPALMQ-NSHDSACASA-N 0 3 315.360 4.294 20 0 DIADHN COc1cc2ccccc2cc1CN1CC[C@@H](F)C(F)(F)CC1 ZINC001236676998 1055224357 /nfs/dbraw/zinc/22/43/57/1055224357.db2.gz FPYZZAFUIBRSTG-QGZVFWFLSA-N 0 3 323.358 4.418 20 0 DIADHN c1cc2c(cccc2CN2CCOC3(CCCCC3)C2)s1 ZINC001236689081 1055229347 /nfs/dbraw/zinc/22/93/47/1055229347.db2.gz IOVKNBDRXPUBKC-UHFFFAOYSA-N 0 3 301.455 4.436 20 0 DIADHN CC(C)Cc1ccc([C@H](N[C@@H]2CCOC2)c2cccs2)cc1 ZINC000764669545 1055232921 /nfs/dbraw/zinc/23/29/21/1055232921.db2.gz VIPPWVKGTYXNAP-MJGOQNOKSA-N 0 3 315.482 4.415 20 0 DIADHN CCOc1ncc(CN2CCC3(CCCCC3)CC2)cc1F ZINC001236691203 1055234962 /nfs/dbraw/zinc/23/49/62/1055234962.db2.gz SHVPEAYLBXLEJB-UHFFFAOYSA-N 0 3 306.425 4.166 20 0 DIADHN CN(CCc1ccccc1)Cc1ccc(OC(F)F)cc1F ZINC001236714724 1055241353 /nfs/dbraw/zinc/24/13/53/1055241353.db2.gz BQOIUDUAZXMMGC-UHFFFAOYSA-N 0 3 309.331 4.102 20 0 DIADHN Cc1csc(CNCc2ccc(C)c(Br)c2)c1 ZINC000383053152 1055243134 /nfs/dbraw/zinc/24/31/34/1055243134.db2.gz BADZJBJSGOFRNM-UHFFFAOYSA-N 0 3 310.260 4.417 20 0 DIADHN COc1cccc(CN(C)Cc2cccc3ccoc32)c1OC ZINC001236735036 1055243851 /nfs/dbraw/zinc/24/38/51/1055243851.db2.gz IDGGTDOUZMQFRB-UHFFFAOYSA-N 0 3 311.381 4.082 20 0 DIADHN O=C(c1ccccc1)[C@H]1CCN(Cc2cccc3occc32)C1 ZINC001236740040 1055248663 /nfs/dbraw/zinc/24/86/63/1055248663.db2.gz SAUZXPGMVYQAGR-KRWDZBQOSA-N 0 3 305.377 4.138 20 0 DIADHN CCc1oc2ccccc2c1CNCc1ccc2c(c1)OCCO2 ZINC000020936569 1055251165 /nfs/dbraw/zinc/25/11/65/1055251165.db2.gz BLCAEAVWGJOZJN-UHFFFAOYSA-N 0 3 323.392 4.056 20 0 DIADHN C/C=C(/C=C/C(=O)NC[C@H](c1ccsc1)N(CC)CC)CC ZINC000764840893 1055273479 /nfs/dbraw/zinc/27/34/79/1055273479.db2.gz SIDWMSWYYVFBDZ-PZCNCMJFSA-N 0 3 320.502 4.160 20 0 DIADHN C[C@@H](NC[C@@H]1CCN(c2ccccc2)C1)c1c(F)cccc1F ZINC000764890198 1055284090 /nfs/dbraw/zinc/28/40/90/1055284090.db2.gz GWXAAYPMIGNCSI-CABCVRRESA-N 0 3 316.395 4.142 20 0 DIADHN Cc1cccc(CN2CCC[C@@H](c3ccccn3)C2)c1Cl ZINC001236852877 1055292331 /nfs/dbraw/zinc/29/23/31/1055292331.db2.gz FSHXKXXJDXZQKM-OAHLLOKOSA-N 0 3 300.833 4.423 20 0 DIADHN Fc1ccc(CN2CC[C@@H](F)C(F)(F)CC2)c2occc21 ZINC001236889697 1055304303 /nfs/dbraw/zinc/30/43/03/1055304303.db2.gz DPWJXCMRBICXGY-CYBMUJFWSA-N 0 3 301.283 4.141 20 0 DIADHN C[C@](O)(CNCc1cscc1Cl)c1ccccc1Cl ZINC000764981473 1055310127 /nfs/dbraw/zinc/31/01/27/1055310127.db2.gz VJAWVGLVXQLYKY-AWEZNQCLSA-N 0 3 316.253 4.052 20 0 DIADHN CN(Cc1cccs1)Cc1ccc(-n2ccnc2)c(Cl)c1 ZINC001236906346 1055311343 /nfs/dbraw/zinc/31/13/43/1055311343.db2.gz VJQKUHXWQFKIHU-UHFFFAOYSA-N 0 3 317.845 4.219 20 0 DIADHN Cc1cccc(-c2ccc(CN3CCC4(CCO4)CC3)cn2)c1C ZINC001236987499 1055323668 /nfs/dbraw/zinc/32/36/68/1055323668.db2.gz BQWBONFNFJMKAV-UHFFFAOYSA-N 0 3 322.452 4.120 20 0 DIADHN Cc1ccc(-c2cncc(CN3CC[C@H]4CCCO[C@@H]4C3)c2)cc1 ZINC001236992031 1055329436 /nfs/dbraw/zinc/32/94/36/1055329436.db2.gz SMPZSOQIUSQYGY-TZIWHRDSSA-N 0 3 322.452 4.058 20 0 DIADHN CCCN(Cc1ccccc1)Cc1ccc(Br)cn1 ZINC000384363167 1055331264 /nfs/dbraw/zinc/33/12/64/1055331264.db2.gz YMWMZVVKRPOCNU-UHFFFAOYSA-N 0 3 319.246 4.256 20 0 DIADHN CSC1CCN(Cc2cncc(-c3ccc(F)cc3)c2)CC1 ZINC001237004399 1055334910 /nfs/dbraw/zinc/33/49/10/1055334910.db2.gz OPLWEIORCLLRMF-UHFFFAOYSA-N 0 3 316.445 4.215 20 0 DIADHN COc1ccc([C@H](C)N[C@H](C)c2cnn(-c3ccccc3)c2)cc1 ZINC000325429299 1055335633 /nfs/dbraw/zinc/33/56/33/1055335633.db2.gz ZBUSUBFJTJRSFH-JKSUJKDBSA-N 0 3 321.424 4.293 20 0 DIADHN CO[C@H]1CCCN(Cc2ccc(-c3ccc(Cl)cc3)nc2)C1 ZINC001237007395 1055337150 /nfs/dbraw/zinc/33/71/50/1055337150.db2.gz ZRUNPAVXPUSPIW-KRWDZBQOSA-N 0 3 316.832 4.013 20 0 DIADHN FC[C@@H]1CCCN(Cc2cncc(-c3ccc(F)cc3)c2)C1 ZINC001237003829 1055337478 /nfs/dbraw/zinc/33/74/78/1055337478.db2.gz HRTFJQYMUYTNRC-AWEZNQCLSA-N 0 3 302.368 4.069 20 0 DIADHN Oc1cc2ccccc2c(CN2CCC[C@H](C(F)(F)F)C2)c1 ZINC001237067504 1055358634 /nfs/dbraw/zinc/35/86/34/1055358634.db2.gz FMRUWISLSCIGNV-AWEZNQCLSA-N 0 3 309.331 4.320 20 0 DIADHN Cc1cc([C@H](C)NCc2ccc([C@H](C)O)cc2)cc(C)c1F ZINC000765304183 1055359740 /nfs/dbraw/zinc/35/97/40/1055359740.db2.gz JDMPTKLFFDDESO-GJZGRUSLSA-N 0 3 301.405 4.347 20 0 DIADHN COc1cccc(CN(C)Cc2cc(O)cc3ccccc32)c1 ZINC001237069097 1055360390 /nfs/dbraw/zinc/36/03/90/1055360390.db2.gz QIDHYLVASJNBFT-UHFFFAOYSA-N 0 3 307.393 4.186 20 0 DIADHN CC[C@@H](NCc1ccc2ccccc2n1)c1ccc2c(c1)CCO2 ZINC000765348458 1055369716 /nfs/dbraw/zinc/36/97/16/1055369716.db2.gz KPQBXFHIEJGQJJ-LJQANCHMSA-N 0 3 318.420 4.411 20 0 DIADHN Fc1ccc(CN2CCC3(CC(F)C3)CC2)cc1N1CCCC1 ZINC001237097416 1055369647 /nfs/dbraw/zinc/36/96/47/1055369647.db2.gz GHFKOCRYAMVOAS-UHFFFAOYSA-N 0 3 320.427 4.140 20 0 DIADHN c1ccc(O[C@H]2CCN(Cc3ccc(C4CCC4)cc3)C2)nc1 ZINC001237105983 1055370016 /nfs/dbraw/zinc/37/00/16/1055370016.db2.gz BMPZIJLPBKKNEG-IBGZPJMESA-N 0 3 308.425 4.002 20 0 DIADHN c1ccc(OC2CCN(Cc3ccc(C4CCC4)cc3)CC2)nc1 ZINC001237110471 1055374874 /nfs/dbraw/zinc/37/48/74/1055374874.db2.gz JGQJSHXLUFITPP-UHFFFAOYSA-N 0 3 322.452 4.393 20 0 DIADHN COc1cccc2c(CN3CCC[C@@H]4CCCC[C@@H]43)ccnc12 ZINC001237116264 1055376750 /nfs/dbraw/zinc/37/67/50/1055376750.db2.gz MUUDPIPTGZRFQJ-YJBOKZPZSA-N 0 3 310.441 4.398 20 0 DIADHN C[C@H](NCCc1ccc(Cl)s1)c1cccnc1Cl ZINC000765383485 1055377854 /nfs/dbraw/zinc/37/78/54/1055377854.db2.gz YOBGTMOQTQRJRD-VIFPVBQESA-N 0 3 301.242 4.343 20 0 DIADHN FC1(F)CC[C@@H]2CN(Cc3ccnc4c(Cl)cccc34)C[C@@H]21 ZINC001237149795 1055382495 /nfs/dbraw/zinc/38/24/95/1055382495.db2.gz LIFARXLPNZPQIS-OCCSQVGLSA-N 0 3 322.786 4.365 20 0 DIADHN Cc1c(CN2CC[C@@H](c3ccccc3F)C2)ccc(F)c1F ZINC001237154646 1055385945 /nfs/dbraw/zinc/38/59/45/1055385945.db2.gz AHYVKIANBDMAGF-CQSZACIVSA-N 0 3 305.343 4.402 20 0 DIADHN C[C@@H](N[C@@H]1CSCc2ccccc21)c1ccc2c(c1)CCO2 ZINC000765426680 1055387015 /nfs/dbraw/zinc/38/70/15/1055387015.db2.gz SIFSYHZELDUQSM-FZKQIMNGSA-N 0 3 311.450 4.260 20 0 DIADHN CC(C)N(Cc1sc(=O)[nH]c1Cl)[C@@H](C)c1ccccc1 ZINC001237156373 1055387124 /nfs/dbraw/zinc/38/71/24/1055387124.db2.gz VJJFAVLYAMMGCV-NSHDSACASA-N 0 3 310.850 4.474 20 0 DIADHN CC1(c2ccccc2)CCN(Cc2sc(=O)[nH]c2Cl)CC1 ZINC001237155537 1055387285 /nfs/dbraw/zinc/38/72/85/1055387285.db2.gz QKCYHLOKFCZTLY-UHFFFAOYSA-N 0 3 322.861 4.056 20 0 DIADHN Cc1c(CN2CCC(c3ccc(O)cc3)CC2)ccc(F)c1F ZINC001237168951 1055390171 /nfs/dbraw/zinc/39/01/71/1055390171.db2.gz ZJOGRCVJIZJZHZ-UHFFFAOYSA-N 0 3 317.379 4.358 20 0 DIADHN Cc1ccc2c(c1)CC[C@@H]2N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000765439793 1055391044 /nfs/dbraw/zinc/39/10/44/1055391044.db2.gz LLNWIZLZNQIQBU-PWRODBHTSA-N 0 3 307.437 4.492 20 0 DIADHN C[C@H](COc1ccccc1)N[C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000765440899 1055393134 /nfs/dbraw/zinc/39/31/34/1055393134.db2.gz VALGKRLBFKWKSA-NEPJUHHUSA-N 0 3 309.331 4.222 20 0 DIADHN C[C@@H](Cc1cnccn1)N[C@@H](C)c1cc(Cl)sc1Cl ZINC000765441594 1055394214 /nfs/dbraw/zinc/39/42/14/1055394214.db2.gz RDVAIBKJCVNPRJ-IUCAKERBSA-N 0 3 316.257 4.127 20 0 DIADHN Fc1ccc(C2CC[NH+](Cc3occc3[S-])CC2)c(F)c1 ZINC001237185820 1055395563 /nfs/dbraw/zinc/39/55/63/1055395563.db2.gz HCYWZYCUKLBPBU-UHFFFAOYSA-N 0 3 309.381 4.226 20 0 DIADHN C[C@H](NCCC(C)(C)CO)c1cc(F)c(Cl)cc1Cl ZINC000385901721 1055397745 /nfs/dbraw/zinc/39/77/45/1055397745.db2.gz PAMQYUWNFRSXIT-VIFPVBQESA-N 0 3 308.224 4.192 20 0 DIADHN COc1ccc2c(c1)nccc2NCc1cccnc1OC(C)C ZINC000765469410 1055399226 /nfs/dbraw/zinc/39/92/26/1055399226.db2.gz NTMVXNKBJIXZRJ-UHFFFAOYSA-N 0 3 323.396 4.038 20 0 DIADHN Cc1ccc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@H]3C2)s1 ZINC001237237936 1055406645 /nfs/dbraw/zinc/40/66/45/1055406645.db2.gz ZLKIEDCJXSZYJU-NVXWUHKLSA-N 0 3 318.873 4.494 20 0 DIADHN Cc1csc(CN2CC[C@@H]3Nc4ccc(Cl)cc4[C@H]3C2)c1 ZINC001237234364 1055406985 /nfs/dbraw/zinc/40/69/85/1055406985.db2.gz MAWLYPUELYYFOT-WBVHZDCISA-N 0 3 318.873 4.494 20 0 DIADHN Cc1csc(CN2CC[C@H]3Nc4ccc(Cl)cc4[C@@H]3C2)c1 ZINC001237234351 1055407206 /nfs/dbraw/zinc/40/72/06/1055407206.db2.gz MAWLYPUELYYFOT-DOTOQJQBSA-N 0 3 318.873 4.494 20 0 DIADHN COc1cc(CN2CCC[C@H](C(F)F)C2)ccc1C(F)F ZINC001237273402 1055412031 /nfs/dbraw/zinc/41/20/31/1055412031.db2.gz SKWDTFMTXRGGQA-NSHDSACASA-N 0 3 305.315 4.110 20 0 DIADHN COc1cc(CN2CCCC(F)(F)CC2)ccc1C(F)F ZINC001237278035 1055415694 /nfs/dbraw/zinc/41/56/94/1055415694.db2.gz UCOIJKRJNGEUKZ-UHFFFAOYSA-N 0 3 305.315 4.254 20 0 DIADHN Oc1cc(F)cc(CN2CCC3(CCc4ccccc43)CC2)c1 ZINC001237276281 1055416715 /nfs/dbraw/zinc/41/67/15/1055416715.db2.gz GYCZYZKGSHXPBB-UHFFFAOYSA-N 0 3 311.400 4.011 20 0 DIADHN FC(F)(F)c1cnccc1CN1CC[C@@H](Cc2ccccc2)C1 ZINC001237291885 1055418237 /nfs/dbraw/zinc/41/82/37/1055418237.db2.gz IBMRQZAQLPKDMN-HNNXBMFYSA-N 0 3 320.358 4.165 20 0 DIADHN CN(CC/C=C/c1cccnc1)Cc1ccncc1C(F)(F)F ZINC001237295906 1055422016 /nfs/dbraw/zinc/42/20/16/1055422016.db2.gz UGKYEXLUHSXSEX-GORDUTHDSA-N 0 3 321.346 4.031 20 0 DIADHN Cc1cc(F)cc(C)c1CN1CC[C@@](CF)(C(F)(F)F)C1 ZINC001237316055 1055426434 /nfs/dbraw/zinc/42/64/34/1055426434.db2.gz BFHJPUCAUXSAKL-AWEZNQCLSA-N 0 3 307.306 4.166 20 0 DIADHN COc1cc(CN(C)Cc2c(C)cc(F)cc2C)cc(OC)c1 ZINC001237322382 1055426709 /nfs/dbraw/zinc/42/67/09/1055426709.db2.gz OPGOYFRMYJDXQA-UHFFFAOYSA-N 0 3 317.404 4.092 20 0 DIADHN CC1CCN(Cc2ccccc2NC(=O)OC(C)(C)C)CC1 ZINC001237337726 1055431772 /nfs/dbraw/zinc/43/17/72/1055431772.db2.gz GGFUNCGCVKTCHO-UHFFFAOYSA-N 0 3 304.434 4.266 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccccc1CN1CCC[C@H](CF)C1 ZINC001237342078 1055433484 /nfs/dbraw/zinc/43/34/84/1055433484.db2.gz IICLPUFJNORFBB-CQSZACIVSA-N 0 3 322.424 4.215 20 0 DIADHN C[C@]1(F)CCCN(Cc2ccc(OC(F)F)c(F)c2)CC1 ZINC001237352736 1055435939 /nfs/dbraw/zinc/43/59/39/1055435939.db2.gz KXBWLCTUWJWCRT-HNNXBMFYSA-N 0 3 305.315 4.141 20 0 DIADHN CCN(Cc1ccccc1)Cc1ccc(OC(F)F)c(F)c1 ZINC001237351960 1055436303 /nfs/dbraw/zinc/43/63/03/1055436303.db2.gz CSMAPGKTGXNRCU-UHFFFAOYSA-N 0 3 309.331 4.449 20 0 DIADHN C(=C/c1ccccc1)\CN1CCC[C@@H]1c1ccc2c(c1)OCCO2 ZINC000015301141 1055437433 /nfs/dbraw/zinc/43/74/33/1055437433.db2.gz FMWDCVYFWAHZOA-RRGNZLCHSA-N 0 3 321.420 4.308 20 0 DIADHN Cc1ccc(CN2CCC[C@@H]2c2ccc3c(c2)OCCO3)cc1 ZINC000015301060 1055440155 /nfs/dbraw/zinc/44/01/55/1055440155.db2.gz YMUQQPGPZVISJL-GOSISDBHSA-N 0 3 309.409 4.103 20 0 DIADHN Cc1cccc(CCN(C)Cc2c(Cl)[nH]c3cnccc32)c1 ZINC001237457334 1055453238 /nfs/dbraw/zinc/45/32/38/1055453238.db2.gz AYVJPSHUBFRCQI-UHFFFAOYSA-N 0 3 313.832 4.199 20 0 DIADHN CN(CCC(=O)c1ccccc1)Cc1ccc(F)c2cccnc12 ZINC001237427566 1055454425 /nfs/dbraw/zinc/45/44/25/1055454425.db2.gz UPBWEFPQVPCMPW-UHFFFAOYSA-N 0 3 322.383 4.079 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1c(Cl)[nH]c3cnccc31)CC2 ZINC001237458939 1055456720 /nfs/dbraw/zinc/45/67/20/1055456720.db2.gz NUABDMRLGAFTOW-LBPRGKRZSA-N 0 3 321.827 4.321 20 0 DIADHN Clc1[nH]c2cnccc2c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001237465854 1055456822 /nfs/dbraw/zinc/45/68/22/1055456822.db2.gz HKYMGYWTFGPJGU-CHWSQXEVSA-N 0 3 303.837 4.228 20 0 DIADHN CN(Cc1cnc2ccccn12)Cc1cccc2c(F)cccc12 ZINC001237523011 1055467550 /nfs/dbraw/zinc/46/75/50/1055467550.db2.gz RQPZRAWASZOHQR-UHFFFAOYSA-N 0 3 319.383 4.259 20 0 DIADHN c1ccc(-c2cccc(CN3CCC(OC4CCC4)CC3)n2)cc1 ZINC001237542613 1055471968 /nfs/dbraw/zinc/47/19/68/1055471968.db2.gz MJCCJRKQSCTSGU-UHFFFAOYSA-N 0 3 322.452 4.282 20 0 DIADHN Cc1cccc(CN(Cc2ccn3nccc3c2)C2CC2)c1C ZINC001237547843 1055472685 /nfs/dbraw/zinc/47/26/85/1055472685.db2.gz ZVRHFIXPMXADAU-UHFFFAOYSA-N 0 3 305.425 4.116 20 0 DIADHN c1ccc(-c2cccc(CN3CC(c4ccccc4)C3)n2)cc1 ZINC001237545949 1055473046 /nfs/dbraw/zinc/47/30/46/1055473046.db2.gz GYGZUQQKAUWUET-UHFFFAOYSA-N 0 3 300.405 4.348 20 0 DIADHN Cc1ccc([C@H]2CCCN2Cc2ccnc(OC(F)F)c2)cc1 ZINC001237615919 1055484871 /nfs/dbraw/zinc/48/48/71/1055484871.db2.gz UHSJBYBIXDOLKO-MRXNPFEDSA-N 0 3 318.367 4.329 20 0 DIADHN c1ccc2sc([C@@H]3CCCN3CCc3cccnc3)nc2c1 ZINC000172070512 1055485032 /nfs/dbraw/zinc/48/50/32/1055485032.db2.gz ZSAUKMZITZINTM-INIZCTEOSA-N 0 3 309.438 4.071 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1ccnc(OC(F)F)c1 ZINC001237608674 1055485739 /nfs/dbraw/zinc/48/57/39/1055485739.db2.gz KPECRERSSAQGPJ-INIZCTEOSA-N 0 3 318.367 4.329 20 0 DIADHN FC(F)Oc1cc(CN2CCC(c3ccccc3)CC2)ccn1 ZINC001237608728 1055486412 /nfs/dbraw/zinc/48/64/12/1055486412.db2.gz LWWDQNNASWDQIR-UHFFFAOYSA-N 0 3 318.367 4.063 20 0 DIADHN CN1CC[C@H](NCc2cscc2Cl)[C@H]1c1ccc(F)cc1 ZINC001620862256 1055490414 /nfs/dbraw/zinc/49/04/14/1055490414.db2.gz KOMAWYZOGHWMGB-JKSUJKDBSA-N 0 3 324.852 4.076 20 0 DIADHN CC[C@@H](C)Oc1cc(CNc2cc(C)cc(C)n2)ccc1OC ZINC001620867767 1055493328 /nfs/dbraw/zinc/49/33/28/1055493328.db2.gz AHXSXYGBPFSUPF-OAHLLOKOSA-N 0 3 314.429 4.496 20 0 DIADHN CCc1n[nH]cc1CN1CCC(c2ccc(Cl)cc2)CC1 ZINC001237652269 1055494018 /nfs/dbraw/zinc/49/40/18/1055494018.db2.gz PLANXMLFPHXMGZ-UHFFFAOYSA-N 0 3 303.837 4.005 20 0 DIADHN Fc1ccc(C2(NCc3cnc4ccccn34)CCCC2)cc1 ZINC000102426763 1055496074 /nfs/dbraw/zinc/49/60/74/1055496074.db2.gz NDBKYUMJUAYCSN-UHFFFAOYSA-N 0 3 309.388 4.033 20 0 DIADHN CN(Cc1ccc(-c2ccc(O)cc2)cc1)C[C@H]1CCCCO1 ZINC001237664037 1055498045 /nfs/dbraw/zinc/49/80/45/1055498045.db2.gz CKODAURUFLBWJZ-HXUWFJFHSA-N 0 3 311.425 4.060 20 0 DIADHN CC(C)(CN[C@@H]1CCn2ccnc21)c1ccc2ccccc2c1 ZINC000624268951 1055500899 /nfs/dbraw/zinc/50/08/99/1055500899.db2.gz RHACOLJQSNKQOE-GOSISDBHSA-N 0 3 305.425 4.049 20 0 DIADHN COc1cc(Cl)c(CNC[C@H]2CCCCS2)cc1Cl ZINC001620873808 1055502008 /nfs/dbraw/zinc/50/20/08/1055502008.db2.gz SIZMSYXRRDIECL-LLVKDONJSA-N 0 3 320.285 4.377 20 0 DIADHN COc1ccccc1[C@H](C)N(C)Cc1ccc(-n2cccn2)cc1 ZINC000026334399 1055502741 /nfs/dbraw/zinc/50/27/41/1055502741.db2.gz MNQBRIQEWZSKBF-INIZCTEOSA-N 0 3 321.424 4.074 20 0 DIADHN COc1ccccc1[C@@H](C)N(C)Cc1cccc2cccnc21 ZINC000026334733 1055502939 /nfs/dbraw/zinc/50/29/39/1055502939.db2.gz CIXNZWISYFFRCE-OAHLLOKOSA-N 0 3 306.409 4.436 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@@H]1NCc1cc(O)cc(Cl)c1 ZINC001620877264 1055504634 /nfs/dbraw/zinc/50/46/34/1055504634.db2.gz WLSYFSSFNGNJJA-KZULUSFZSA-N 0 3 301.817 4.459 20 0 DIADHN C[C@@H]1CCc2ccccc2[C@H]1NCc1cc(O)cc(Cl)c1 ZINC001620877266 1055504968 /nfs/dbraw/zinc/50/49/68/1055504968.db2.gz WLSYFSSFNGNJJA-XIKOKIGWSA-N 0 3 301.817 4.459 20 0 DIADHN CCC(CC)[C@@H]1C[C@@H]([NH2+]Cc2cc([O-])cc(Cl)c2)CCO1 ZINC001620883356 1055509479 /nfs/dbraw/zinc/50/94/79/1055509479.db2.gz WEIJBIWFHJCCEK-RDJZCZTQSA-N 0 3 311.853 4.119 20 0 DIADHN Cc1cc(C)c(Cl)c(CN2CCC3(C[C@@H](F)CO3)CC2)c1 ZINC001237703000 1055511199 /nfs/dbraw/zinc/51/11/99/1055511199.db2.gz XCMZYMNANHJBHY-OAHLLOKOSA-N 0 3 311.828 4.050 20 0 DIADHN Cc1cnccc1O[C@H]1CCN(Cc2cccc(Cl)c2C)C1 ZINC001237732607 1055516005 /nfs/dbraw/zinc/51/60/05/1055516005.db2.gz MTPPQLZTVNQOHM-INIZCTEOSA-N 0 3 316.832 4.005 20 0 DIADHN CCC1(CNCc2cn(-c3ccccc3C)nn2)CCCCC1 ZINC001620893224 1055517050 /nfs/dbraw/zinc/51/70/50/1055517050.db2.gz GNVTZTMOHUZHPP-UHFFFAOYSA-N 0 3 312.461 4.026 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H]2CN(C)Cc3ccccc32)cc1C ZINC001620892986 1055517462 /nfs/dbraw/zinc/51/74/62/1055517462.db2.gz YVFAXWKEOGTHKS-GLJAUCNMSA-N 0 3 320.480 4.401 20 0 DIADHN CCc1cc(CN2CC[C@@H](c3ccccn3)C2)ccc1Cl ZINC001237739740 1055519101 /nfs/dbraw/zinc/51/91/01/1055519101.db2.gz HVJWTOINKYJTSK-MRXNPFEDSA-N 0 3 300.833 4.287 20 0 DIADHN C[C@@H]1CCCc2ccccc2[C@H]1NCc1c2c(nn1C)CCCC2 ZINC001620894522 1055519683 /nfs/dbraw/zinc/51/96/83/1055519683.db2.gz VNJVAYDDVHQDBT-VFNWGFHPSA-N 0 3 323.484 4.102 20 0 DIADHN CCCn1nccc1CN[C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC001620894787 1055520315 /nfs/dbraw/zinc/52/03/15/1055520315.db2.gz FQLRRYCRIOHYHE-QUCCMNQESA-N 0 3 311.473 4.342 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)c1ccc2ccccc2c1 ZINC000024809451 1055522498 /nfs/dbraw/zinc/52/24/98/1055522498.db2.gz DKYJRIWBPJCVHF-UHFFFAOYSA-N 0 3 304.393 4.154 20 0 DIADHN COc1cccc([C@@H]2CCCCN2Cc2cccc3c2OCC3)c1 ZINC001237750869 1055523861 /nfs/dbraw/zinc/52/38/61/1055523861.db2.gz OMOIQAWGQFWFKG-FQEVSTJZSA-N 0 3 323.436 4.357 20 0 DIADHN CC(C)[C@@H](NCc1ccc(=O)[nH]c1)c1ccc(Cl)cc1F ZINC001620896853 1055523953 /nfs/dbraw/zinc/52/39/53/1055523953.db2.gz DXHQXCAIUVAZSW-MRXNPFEDSA-N 0 3 308.784 4.067 20 0 DIADHN Cc1cnc(CNCC2(c3cccc(F)c3F)CCCC2)s1 ZINC001620900727 1055526194 /nfs/dbraw/zinc/52/61/94/1055526194.db2.gz ZLJJADZFGMZUIC-UHFFFAOYSA-N 0 3 322.424 4.331 20 0 DIADHN CCN(CCc1ccc(OC)cc1)Cc1cnc(C2CC2)s1 ZINC001237791172 1055530142 /nfs/dbraw/zinc/53/01/42/1055530142.db2.gz BPWRIFGTSBJWBV-UHFFFAOYSA-N 0 3 316.470 4.094 20 0 DIADHN FC(F)(F)[C@@H](CNCc1cc2cccnc2o1)c1ccccc1 ZINC001620907420 1055530608 /nfs/dbraw/zinc/53/06/08/1055530608.db2.gz OJNHPRCEHZYLDN-HNNXBMFYSA-N 0 3 320.314 4.264 20 0 DIADHN Fc1cccc(F)c1CN[C@H]1CC[C@@H](Cc2ccccc2)C1 ZINC001620906644 1055530696 /nfs/dbraw/zinc/53/06/96/1055530696.db2.gz LESAYJXBDLXLHH-HOTGVXAUSA-N 0 3 301.380 4.466 20 0 DIADHN Cc1ncoc1CNCC1(c2ccc(F)cc2Cl)CCCC1 ZINC001620908724 1055533734 /nfs/dbraw/zinc/53/37/34/1055533734.db2.gz MTHIMNKGNITTKM-UHFFFAOYSA-N 0 3 322.811 4.377 20 0 DIADHN CC[C@H]1CCCC[C@@H]1NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000386901493 1055535090 /nfs/dbraw/zinc/53/50/90/1055535090.db2.gz IORQIHMTDKGBFO-FZMZJTMJSA-N 0 3 319.836 4.047 20 0 DIADHN CC[C@H]1CCCC[C@H]1NCc1nc2cc(Cl)ccc2c(=O)[nH]1 ZINC000386901497 1055535542 /nfs/dbraw/zinc/53/55/42/1055535542.db2.gz IORQIHMTDKGBFO-SMDDNHRTSA-N 0 3 319.836 4.047 20 0 DIADHN CC1(C)CCCN(CCNCc2c(Cl)cccc2Cl)C1 ZINC001620916171 1055536941 /nfs/dbraw/zinc/53/69/41/1055536941.db2.gz HMUSMNAVOWEUID-UHFFFAOYSA-N 0 3 315.288 4.205 20 0 DIADHN CC[C@@H](NC[C@](O)(c1ccccc1)C(F)(F)F)c1ccccc1 ZINC000765684646 1055541209 /nfs/dbraw/zinc/54/12/09/1055541209.db2.gz PSOJJJVYLMJYHI-SJORKVTESA-N 0 3 323.358 4.177 20 0 DIADHN C[C@H](NCC1(C(F)(F)F)CCCC1)c1cn2ccccc2n1 ZINC000624272455 1055541541 /nfs/dbraw/zinc/54/15/41/1055541541.db2.gz GYRNOFBSHOSRLI-LBPRGKRZSA-N 0 3 311.351 4.108 20 0 DIADHN COc1cccc(CN(CC2CC2)C(C)C)c1Br ZINC001237859995 1055543360 /nfs/dbraw/zinc/54/33/60/1055543360.db2.gz CLCTUHFNABIVEA-UHFFFAOYSA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)C1=NCCc2ccc(CN3CCCC[C@@H](F)C3)cc21 ZINC001237881469 1055546195 /nfs/dbraw/zinc/54/61/95/1055546195.db2.gz STMIHVAPMLTCSN-QGZVFWFLSA-N 0 3 302.437 4.012 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN(C)CCc1cccc(C)c1 ZINC001237881580 1055547084 /nfs/dbraw/zinc/54/70/84/1055547084.db2.gz FSGRHAKRFQPDMB-UHFFFAOYSA-N 0 3 323.440 4.001 20 0 DIADHN COc1cc(-c2ccc[nH]2)[nH]c1CN1CC[C@H]2CCCC[C@@H]2C1 ZINC001237885735 1055551167 /nfs/dbraw/zinc/55/11/67/1055551167.db2.gz HMZGDVLAJROKNM-HUUCEWRRSA-N 0 3 313.445 4.031 20 0 DIADHN Cc1cccc(CN(CC[C@@H]2CCCCO2)Cc2ccccn2)c1 ZINC000347493995 1055553368 /nfs/dbraw/zinc/55/33/68/1055553368.db2.gz XUVWURMHXRNYGT-NRFANRHFSA-N 0 3 324.468 4.351 20 0 DIADHN CCCOc1cc(F)ccc1CN1CCCC[C@@H]1CCOC ZINC001237906195 1055553480 /nfs/dbraw/zinc/55/34/80/1055553480.db2.gz SCPSIEIYMBQGPW-QGZVFWFLSA-N 0 3 309.425 4.006 20 0 DIADHN c1cc2c(s1)CN(Cc1ccccc1C1CCOCC1)CC2 ZINC001237903404 1055555888 /nfs/dbraw/zinc/55/58/88/1055555888.db2.gz IHAVEIQFJNVANA-UHFFFAOYSA-N 0 3 313.466 4.200 20 0 DIADHN CO[C@@](C)(CNCc1ccc(F)cc1Cl)c1ccccc1 ZINC001620943473 1055557089 /nfs/dbraw/zinc/55/70/89/1055557089.db2.gz YSSFLMWSFNIBOZ-KRWDZBQOSA-N 0 3 307.796 4.131 20 0 DIADHN CO[C@](C)(CNCc1ccc(F)cc1Cl)c1ccccc1 ZINC001620943474 1055557135 /nfs/dbraw/zinc/55/71/35/1055557135.db2.gz YSSFLMWSFNIBOZ-QGZVFWFLSA-N 0 3 307.796 4.131 20 0 DIADHN Cc1ccc2c(c1)CCN(Cc1ccccc1C1CCOCC1)C2 ZINC001237913419 1055558667 /nfs/dbraw/zinc/55/86/67/1055558667.db2.gz MCNXIXCTWBCCTG-UHFFFAOYSA-N 0 3 321.464 4.447 20 0 DIADHN CCOc1cc(F)cc(CN2CCC3(CC[C@H](F)C3)CC2)c1 ZINC001237922283 1055560604 /nfs/dbraw/zinc/56/06/04/1055560604.db2.gz XSSAPYAVWUDWPZ-HNNXBMFYSA-N 0 3 309.400 4.329 20 0 DIADHN CCC[C@H](CNCc1cc(C(F)(F)F)ccc1Cl)OC ZINC001620949454 1055563337 /nfs/dbraw/zinc/56/33/37/1055563337.db2.gz PXODGCBODYUWLZ-GFCCVEGCSA-N 0 3 309.759 4.264 20 0 DIADHN COC[C@@H](C)N(Cc1ccsc1C)Cc1cccc(OC)c1 ZINC001237969284 1055567062 /nfs/dbraw/zinc/56/70/62/1055567062.db2.gz UGIKZFZZMQVKFG-CQSZACIVSA-N 0 3 319.470 4.102 20 0 DIADHN C[C@H](NCc1c2c(nn1C)CCC2)c1ccc(C(C)(C)C)cc1 ZINC000921558674 1055569010 /nfs/dbraw/zinc/56/90/10/1055569010.db2.gz XBYXKIRKZAECKC-AWEZNQCLSA-N 0 3 311.473 4.057 20 0 DIADHN Oc1ccc(-c2nc(CN3C[C@@H]4CCCC[C@H]4C3)cs2)cc1 ZINC001237977478 1055569781 /nfs/dbraw/zinc/56/97/81/1055569781.db2.gz IKERIMGSKXVFFY-GJZGRUSLSA-N 0 3 314.454 4.138 20 0 DIADHN CCC(CC)(CNCc1c(Cl)cccc1OC(F)F)OC ZINC001620954409 1055574891 /nfs/dbraw/zinc/57/48/91/1055574891.db2.gz SFAKXIJIZWGYTN-UHFFFAOYSA-N 0 3 321.795 4.236 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H]2C[C@H](c3ccc(F)cc3)C2)c1 ZINC001620953957 1055575953 /nfs/dbraw/zinc/57/59/53/1055575953.db2.gz CVXAZMXBWPUQAH-SAZUREKKSA-N 0 3 305.780 4.221 20 0 DIADHN C[C@H]1CCN(Cc2[nH]nc3cc(Cl)ccc32)CCC1(F)F ZINC001237993637 1055576514 /nfs/dbraw/zinc/57/65/14/1055576514.db2.gz PEMRBKZEPCFGJV-JTQLQIEISA-N 0 3 313.779 4.084 20 0 DIADHN F[C@H]1CCC2(C1)CCN(Cc1[nH]nc3cc(Cl)ccc31)CC2 ZINC001237997341 1055578181 /nfs/dbraw/zinc/57/81/81/1055578181.db2.gz ADQUMTCQUOODLQ-ZDUSSCGKSA-N 0 3 321.827 4.321 20 0 DIADHN C[C@@H]1CCN(Cc2[nH]nc3cc(Cl)ccc32)CCC1(F)F ZINC001237993638 1055578769 /nfs/dbraw/zinc/57/87/69/1055578769.db2.gz PEMRBKZEPCFGJV-SNVBAGLBSA-N 0 3 313.779 4.084 20 0 DIADHN F[C@@H]1CCC2(C1)CCN(Cc1[nH]nc3cc(Cl)ccc31)CC2 ZINC001237997338 1055578854 /nfs/dbraw/zinc/57/88/54/1055578854.db2.gz ADQUMTCQUOODLQ-CYBMUJFWSA-N 0 3 321.827 4.321 20 0 DIADHN Cc1cc(CNCc2ccc([Si](C)(C)C)cc2)c(C)s1 ZINC001620956757 1055581966 /nfs/dbraw/zinc/58/19/66/1055581966.db2.gz JUAZSDXNJPIDAI-UHFFFAOYSA-N 0 3 303.547 4.200 20 0 DIADHN CCc1cccc(CC)c1NC(=O)CN(C)[C@@H]1CCC[C@@H](C)C1 ZINC000026467862 1055593889 /nfs/dbraw/zinc/59/38/89/1055593889.db2.gz DOKPFEAPADULSD-CRAIPNDOSA-N 0 3 316.489 4.260 20 0 DIADHN CO[C@H](C)C1CN(Cc2ccc(Oc3ccccc3)cc2C)C1 ZINC001238053672 1055595601 /nfs/dbraw/zinc/59/56/01/1055595601.db2.gz LOBUSQMPXAYXSN-MRXNPFEDSA-N 0 3 311.425 4.254 20 0 DIADHN C[C@@H]1COCCN(Cc2cccc(OCC3CCCCC3)c2)C1 ZINC001238068580 1055601513 /nfs/dbraw/zinc/60/15/13/1055601513.db2.gz ZWFVCDPDFXUTDP-KRWDZBQOSA-N 0 3 317.473 4.114 20 0 DIADHN CC(C)N(Cc1ccc(F)c(Br)c1F)C(C)C ZINC001238081420 1055602571 /nfs/dbraw/zinc/60/25/71/1055602571.db2.gz QGVDJXQQUSIQQC-UHFFFAOYSA-N 0 3 306.194 4.346 20 0 DIADHN Cc1cc(CN2CCC3(C[C@@H](F)CO3)CC2)cc(C)c1Cl ZINC001238097466 1055606806 /nfs/dbraw/zinc/60/68/06/1055606806.db2.gz SYJYPDSZSNFROY-OAHLLOKOSA-N 0 3 311.828 4.050 20 0 DIADHN COc1ccc(-c2ccccc2)cc1CNC[C@@H]1CC[C@H](C)O1 ZINC001620961091 1055614386 /nfs/dbraw/zinc/61/43/86/1055614386.db2.gz QPMXHODDKJIJAT-KXBFYZLASA-N 0 3 311.425 4.019 20 0 DIADHN Cc1ncncc1CN1CCC(CCCc2ccccc2)CC1 ZINC001238163355 1055615823 /nfs/dbraw/zinc/61/58/23/1055615823.db2.gz GGHLOSSGBNLAOH-UHFFFAOYSA-N 0 3 309.457 4.020 20 0 DIADHN CCCCN(C(=O)[C@@H]1CCCN1C(C)C)[C@H]1CCC[C@@H](C)C1 ZINC001595694373 1055621643 /nfs/dbraw/zinc/62/16/43/1055621643.db2.gz HZHBNBGIDGWROF-SQNIBIBYSA-N 0 3 308.510 4.067 20 0 DIADHN CC(C)c1cccc(NC(=O)CN([C@H](C)C2CC2)C2CC2)c1 ZINC000029725792 1055623114 /nfs/dbraw/zinc/62/31/14/1055623114.db2.gz DVNFIFARCQDYPU-CQSZACIVSA-N 0 3 300.446 4.011 20 0 DIADHN COC/C(C)=C\CN[C@@H](C)c1cc(Cl)ccc1OC(F)F ZINC001168564276 1055625050 /nfs/dbraw/zinc/62/50/50/1055625050.db2.gz VBFAEULZFMOSPH-YAEJEKNGSA-N 0 3 319.779 4.185 20 0 DIADHN CC[C@@H](CSC)N(C)Cc1cc(F)ccc1OC(F)F ZINC001168564267 1055625136 /nfs/dbraw/zinc/62/51/36/1055625136.db2.gz USDJWVQQOKMECO-LBPRGKRZSA-N 0 3 307.381 4.001 20 0 DIADHN CC[C@H]1CCCN(CC(=O)N[C@@H](C)c2cccc3ccccc32)C1 ZINC000030143489 1055626943 /nfs/dbraw/zinc/62/69/43/1055626943.db2.gz IATGODOJKIYJQO-IRXDYDNUSA-N 0 3 324.468 4.139 20 0 DIADHN COc1ccc(C)cc1[C@@H](C)NCc1ccc(-n2cccn2)cc1 ZINC000030628337 1055630836 /nfs/dbraw/zinc/63/08/36/1055630836.db2.gz ONAZOFSQMRPCLT-MRXNPFEDSA-N 0 3 321.424 4.040 20 0 DIADHN Cc1cc(Cl)c(Cl)cc1CN1CCC[C@@](C)(O)[C@H](C)C1 ZINC001238265433 1055633734 /nfs/dbraw/zinc/63/37/34/1055633734.db2.gz ZELSYLWBRPUVSL-MLGOLLRUSA-N 0 3 316.272 4.285 20 0 DIADHN Fc1ccc(CN2CCC3(CC3)C2)cc1OCc1ccccc1 ZINC001238341280 1055641389 /nfs/dbraw/zinc/64/13/89/1055641389.db2.gz ZPFSENPDIJFRRF-UHFFFAOYSA-N 0 3 311.400 4.391 20 0 DIADHN CC(C)Oc1c(F)cccc1CN(C)CCc1ccccc1 ZINC001238327506 1055641577 /nfs/dbraw/zinc/64/15/77/1055641577.db2.gz CRICGJQCYYKRQO-UHFFFAOYSA-N 0 3 301.405 4.287 20 0 DIADHN c1ccc(COc2cncc(CN3CCC[C@@H]4CCC[C@H]43)c2)cc1 ZINC001238369636 1055645562 /nfs/dbraw/zinc/64/55/62/1055645562.db2.gz PAFCUWKOTWZDIJ-PZJWPPBQSA-N 0 3 322.452 4.425 20 0 DIADHN C[C@@H]1CCCN(Cc2cncc(OCc3ccccc3)c2)[C@H]1C ZINC001238365634 1055645891 /nfs/dbraw/zinc/64/58/91/1055645891.db2.gz RKIXCIUMGVFSGK-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN CC[C@H]1CCCCN1Cc1cncc(OCc2ccccc2)c1 ZINC001238369755 1055646064 /nfs/dbraw/zinc/64/60/64/1055646064.db2.gz QNAPBPNELXFFCJ-IBGZPJMESA-N 0 3 310.441 4.425 20 0 DIADHN C[C@@H]1CCCN(Cc2cncc(OCc3ccccc3)c2)[C@@H]1C ZINC001238365631 1055646279 /nfs/dbraw/zinc/64/62/79/1055646279.db2.gz RKIXCIUMGVFSGK-IAGOWNOFSA-N 0 3 310.441 4.281 20 0 DIADHN CCC1CCN(Cc2cncc(OCc3ccccc3)c2)CC1 ZINC001238368450 1055647116 /nfs/dbraw/zinc/64/71/16/1055647116.db2.gz VMQLOIJDYSIWKC-UHFFFAOYSA-N 0 3 310.441 4.283 20 0 DIADHN Cc1cc(CN2C[C@@H]3C[C@H](C2)c2ccccc23)cnc1OC(C)C ZINC001238378839 1055648417 /nfs/dbraw/zinc/64/84/17/1055648417.db2.gz SZMITZCLKWKBBG-HDICACEKSA-N 0 3 322.452 4.264 20 0 DIADHN CCOc1ccc(CN2C[C@@H]3CC(F)(F)C[C@@H]3C2)c(Cl)c1 ZINC001238396830 1055651618 /nfs/dbraw/zinc/65/16/18/1055651618.db2.gz ZHCPXAYWKGNONQ-BETUJISGSA-N 0 3 315.791 4.216 20 0 DIADHN CCOc1ccc(CN2CCC3(CCOCC3)CC2)c(Cl)c1 ZINC001238403846 1055653528 /nfs/dbraw/zinc/65/35/28/1055653528.db2.gz RTNCFPHJMDREQX-UHFFFAOYSA-N 0 3 323.864 4.131 20 0 DIADHN CC(C)Oc1cccc(CN2CCC3(CCCOC3)CC2)c1F ZINC001238430582 1055657693 /nfs/dbraw/zinc/65/76/93/1055657693.db2.gz PIKZHPFWVHOSII-UHFFFAOYSA-N 0 3 321.436 4.006 20 0 DIADHN Cc1cnc(CN2CC[C@H](c3ccccc3Cl)C2)c(C)c1 ZINC001238430098 1055658018 /nfs/dbraw/zinc/65/80/18/1055658018.db2.gz HSULXWPHYUYVNK-HNNXBMFYSA-N 0 3 300.833 4.341 20 0 DIADHN CC(C)Oc1cccc(CN(C)CCc2cccs2)c1F ZINC001238427370 1055658128 /nfs/dbraw/zinc/65/81/28/1055658128.db2.gz PNLRVGRGAIUVSN-UHFFFAOYSA-N 0 3 307.434 4.349 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cccc(OC(C)C)c1F ZINC001238428395 1055658377 /nfs/dbraw/zinc/65/83/77/1055658377.db2.gz WJVLAFYHNWMOLZ-INIZCTEOSA-N 0 3 309.425 4.004 20 0 DIADHN Cc1cnc(CN2CCC(Sc3ccccc3)CC2)c(C)c1 ZINC001238442155 1055660200 /nfs/dbraw/zinc/66/02/00/1055660200.db2.gz SQFOGEVNQLXXQE-UHFFFAOYSA-N 0 3 312.482 4.455 20 0 DIADHN CCN(CCC(=O)Nc1ccccc1)Cc1ccc(Cl)s1 ZINC000039538163 1055666649 /nfs/dbraw/zinc/66/66/49/1055666649.db2.gz KOPUDPKWZCJXLP-UHFFFAOYSA-N 0 3 322.861 4.252 20 0 DIADHN Cc1ccc(OC(C)C)cc1CN1CCc2ccsc2C1 ZINC001238487249 1055671017 /nfs/dbraw/zinc/67/10/17/1055671017.db2.gz YLBFSASUCVABIP-UHFFFAOYSA-N 0 3 301.455 4.402 20 0 DIADHN C[C@@H]1C[C@H](c2ccccc2)CN1Cc1nnc(-c2ccccc2)o1 ZINC000172307577 1055672195 /nfs/dbraw/zinc/67/21/95/1055672195.db2.gz XIQNOKXCLPMYOK-QAPCUYQASA-N 0 3 319.408 4.115 20 0 DIADHN COCCN(Cc1ccccc1)Cc1ccc(F)c2cccnc12 ZINC000042122105 1055674438 /nfs/dbraw/zinc/67/44/38/1055674438.db2.gz MBVHCEZHOSPTPD-UHFFFAOYSA-N 0 3 324.399 4.023 20 0 DIADHN Fc1ccc(CN2CCC[C@H](c3ccccn3)C2)cc1C1CC1 ZINC001238511334 1055675532 /nfs/dbraw/zinc/67/55/32/1055675532.db2.gz KZKYNMDPGDHJNA-KRWDZBQOSA-N 0 3 310.416 4.478 20 0 DIADHN COc1cc([C@@H](C)NCc2ccccc2CC(F)(F)F)ccn1 ZINC000624308477 1055677704 /nfs/dbraw/zinc/67/77/04/1055677704.db2.gz NRMDWTJXYUJUIR-GFCCVEGCSA-N 0 3 324.346 4.046 20 0 DIADHN CO[C@@H]1CCN(Cc2ccc(Oc3ccc(F)cc3)c(C)c2)C1 ZINC001238519720 1055677808 /nfs/dbraw/zinc/67/78/08/1055677808.db2.gz LODLSEYRVKRBLM-GOSISDBHSA-N 0 3 315.388 4.147 20 0 DIADHN CC[C@@H](NCc1ccccc1CC(F)(F)F)c1ccncc1 ZINC000624308809 1055678201 /nfs/dbraw/zinc/67/82/01/1055678201.db2.gz YEMNZMSMOZOAMN-MRXNPFEDSA-N 0 3 308.347 4.427 20 0 DIADHN COCC1CN(Cc2ccc(Oc3ccc(F)cc3)c(C)c2)C1 ZINC001238521014 1055678467 /nfs/dbraw/zinc/67/84/67/1055678467.db2.gz FZFBBJXJDVBTPO-UHFFFAOYSA-N 0 3 315.388 4.005 20 0 DIADHN Cc1ccccc1NC(=O)[C@@H](C)N[C@H](c1ccccc1)C1CCC1 ZINC000104701273 1055678636 /nfs/dbraw/zinc/67/86/36/1055678636.db2.gz TXKSFHLQZYZCPJ-OXQOHEQNSA-N 0 3 322.452 4.453 20 0 DIADHN CC(C)O[C@H]1CCN(Cc2ccc(F)c(-c3ccccc3)c2)C1 ZINC001238549226 1055682376 /nfs/dbraw/zinc/68/23/76/1055682376.db2.gz QQAIIFGUZXVVGD-SFHVURJKSA-N 0 3 313.416 4.492 20 0 DIADHN Fc1ccc(CN2CCC[C@@]3(CCO3)C2)cc1-c1ccccc1 ZINC001238552130 1055684074 /nfs/dbraw/zinc/68/40/74/1055684074.db2.gz PBRIIURLYUQTCN-HXUWFJFHSA-N 0 3 311.400 4.248 20 0 DIADHN Cc1cc(CN(C)C)cc(NC(=O)CCC[Si](C)(C)C)c1 ZINC001596233761 1055685609 /nfs/dbraw/zinc/68/56/09/1055685609.db2.gz WYJMPUIQCZCZOE-UHFFFAOYSA-N 0 3 306.526 4.114 20 0 DIADHN Cc1ccc(Cl)c(CN2CCC[C@H](c3ccccn3)C2)c1 ZINC001238557761 1055686770 /nfs/dbraw/zinc/68/67/70/1055686770.db2.gz VAHHVIMJESSHOV-HNNXBMFYSA-N 0 3 300.833 4.423 20 0 DIADHN CCCN(CCc1ccccn1)Cc1cc(C)ccc1Cl ZINC001238570393 1055689818 /nfs/dbraw/zinc/68/98/18/1055689818.db2.gz YNFITGODXVYPAI-UHFFFAOYSA-N 0 3 302.849 4.498 20 0 DIADHN COc1cc(C)cc(F)c1CN1CCCC2(CC(F)C2)CC1 ZINC001238596053 1055691152 /nfs/dbraw/zinc/69/11/52/1055691152.db2.gz RIXVLTGQGNQCKJ-UHFFFAOYSA-N 0 3 309.400 4.247 20 0 DIADHN CC(C)Oc1cc(CN2CCC3(CC[C@H](F)C3)CC2)cnc1F ZINC001238605017 1055694047 /nfs/dbraw/zinc/69/40/47/1055694047.db2.gz WJYFEBHVWOCLQO-HNNXBMFYSA-N 0 3 324.415 4.112 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@H]3CCCC[C@H]32)cnc1F ZINC001238601337 1055694641 /nfs/dbraw/zinc/69/46/41/1055694641.db2.gz RJQJIMVXMDVANG-HZPDHXFCSA-N 0 3 306.425 4.163 20 0 DIADHN Cc1cc(CN2CC[C@@H]3OCCC[C@@H]3C2)cnc1-c1ccccc1 ZINC001238606318 1055695501 /nfs/dbraw/zinc/69/55/01/1055695501.db2.gz KEFSPAWLTLWDHN-UXHICEINSA-N 0 3 322.452 4.058 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN2CC[C@H]3O[C@@H](C)C[C@H]3C2)c1 ZINC001238613169 1055695715 /nfs/dbraw/zinc/69/57/15/1055695715.db2.gz LSFXJQDMZGBBOC-XKGZKEIXSA-N 0 3 322.452 4.056 20 0 DIADHN Cc1cc(CN2CCC3(CCOC3)CC2)cnc1-c1ccccc1 ZINC001238608109 1055695942 /nfs/dbraw/zinc/69/59/42/1055695942.db2.gz ZUQPGAFDFMGVEA-UHFFFAOYSA-N 0 3 322.452 4.060 20 0 DIADHN CCN(Cc1ccncc1)Cc1cc(C)cnc1-c1ccccc1 ZINC001238618617 1055698317 /nfs/dbraw/zinc/69/83/17/1055698317.db2.gz JRFLRHHDDMOPBD-UHFFFAOYSA-N 0 3 317.436 4.474 20 0 DIADHN Cc1cc(CN2CCC3(CCCO3)CC2)cnc1-c1ccccc1 ZINC001238613932 1055698672 /nfs/dbraw/zinc/69/86/72/1055698672.db2.gz DKURFPAWVFNEFN-UHFFFAOYSA-N 0 3 322.452 4.202 20 0 DIADHN CCN(Cc1ccc(OC)cc1)Cc1cnc(C2CC2)c(C)c1 ZINC001238623187 1055700265 /nfs/dbraw/zinc/70/02/65/1055700265.db2.gz IDLPOXFCUQRPCA-UHFFFAOYSA-N 0 3 310.441 4.298 20 0 DIADHN Cc1cnc(-c2ccccc2)c(CN(C)C[C@@H]2CCCCO2)c1 ZINC001238626532 1055703300 /nfs/dbraw/zinc/70/33/00/1055703300.db2.gz ULCRRTDLNHCYQV-IBGZPJMESA-N 0 3 310.441 4.058 20 0 DIADHN Cc1cc(CN2CCC3(CC[C@H](F)C3)CC2)cnc1C1CC1 ZINC001238627716 1055703637 /nfs/dbraw/zinc/70/36/37/1055703637.db2.gz KEROPCGKXPTTJS-KRWDZBQOSA-N 0 3 302.437 4.372 20 0 DIADHN COc1ccc([C@H](C)N(C)Cc2cnc(C3CC3)c(C)c2)cc1 ZINC001238627529 1055704881 /nfs/dbraw/zinc/70/48/81/1055704881.db2.gz JIIGVXKRYNIKLJ-HNNXBMFYSA-N 0 3 310.441 4.469 20 0 DIADHN COc1ccc([C@@H](C)N(C)Cc2cnc(C3CC3)c(C)c2)cc1 ZINC001238627536 1055705044 /nfs/dbraw/zinc/70/50/44/1055705044.db2.gz JIIGVXKRYNIKLJ-OAHLLOKOSA-N 0 3 310.441 4.469 20 0 DIADHN CC(C)=CCC[C@@H](C)CC(=O)N(CCN(C)C)C1CCCCC1 ZINC001565584488 1055711066 /nfs/dbraw/zinc/71/10/66/1055711066.db2.gz XPSKWKMYCHHDPF-GOSISDBHSA-N 0 3 322.537 4.482 20 0 DIADHN C[C@H](CC(=O)N(CCN(C)C)C1CCCCC1)CC(C)(C)C ZINC001565584286 1055711527 /nfs/dbraw/zinc/71/15/27/1055711527.db2.gz QLTSEDLMXBVFMN-MRXNPFEDSA-N 0 3 310.526 4.172 20 0 DIADHN CCO[C@@H]1CCC[C@H]1NCc1cc(Cl)c(OC)cc1Cl ZINC001620967759 1055714038 /nfs/dbraw/zinc/71/40/38/1055714038.db2.gz YFYBMERVPWHJDP-ZIAGYGMSSA-N 0 3 318.244 4.049 20 0 DIADHN CSc1ccc(Cl)cc1CN1CC[C@H](C)[C@@](C)(O)CC1 ZINC001238669917 1055714132 /nfs/dbraw/zinc/71/41/32/1055714132.db2.gz CEPCSOOBPZBUOU-LRDDRELGSA-N 0 3 313.894 4.045 20 0 DIADHN COCC[C@@H]1CCCCN1Cc1cc(Cl)ccc1SC ZINC001238672847 1055714297 /nfs/dbraw/zinc/71/42/97/1055714297.db2.gz GDGRISRGUWPIJJ-HNNXBMFYSA-N 0 3 313.894 4.453 20 0 DIADHN CSc1cc(C)cc(CN2CCC(n3nccc3C)CC2)c1 ZINC001238681292 1055720661 /nfs/dbraw/zinc/72/06/61/1055720661.db2.gz IZOXJOXORQACIH-UHFFFAOYSA-N 0 3 315.486 4.059 20 0 DIADHN COc1cccc(CN(C)Cc2cc(C)cc(SC)c2)c1 ZINC001238685998 1055721469 /nfs/dbraw/zinc/72/14/69/1055721469.db2.gz SKTMEMPYRLAALX-UHFFFAOYSA-N 0 3 301.455 4.358 20 0 DIADHN Fc1ccc([C@@H](NC[C@H]2CCCC23CC3)c2ccccn2)cc1 ZINC000624314800 1055724022 /nfs/dbraw/zinc/72/40/22/1055724022.db2.gz PSAUPBXCQTVYET-VQIMIIECSA-N 0 3 310.416 4.480 20 0 DIADHN Oc1cccc2c1CC[C@@H]2NCc1cccc(OC2CCCC2)c1 ZINC000105486450 1055729151 /nfs/dbraw/zinc/72/91/51/1055729151.db2.gz BQZMJRDHLCAOON-FQEVSTJZSA-N 0 3 323.436 4.491 20 0 DIADHN CCOc1ccc(C)c(CN2CCC[C@H]2c2ccc(C)nc2)c1 ZINC001238709905 1055729165 /nfs/dbraw/zinc/72/91/65/1055729165.db2.gz WTCGYFPQMXEEKN-FQEVSTJZSA-N 0 3 310.441 4.434 20 0 DIADHN CSc1ncc(C)cc1CN1CC[C@H](c2cccc(F)c2)C1 ZINC001238718745 1055731265 /nfs/dbraw/zinc/73/12/65/1055731265.db2.gz RVXRNPOCXOZEDH-HNNXBMFYSA-N 0 3 316.445 4.241 20 0 DIADHN CCOc1ccc(C)c(CN2CCC[C@@H](C(F)(F)F)C2)c1 ZINC001238715530 1055731647 /nfs/dbraw/zinc/73/16/47/1055731647.db2.gz CUJPPKVNSLTQKC-CQSZACIVSA-N 0 3 301.352 4.168 20 0 DIADHN CC(C)c1ccc(CN2CCC3(CCOCC3)CC2)c(Cl)n1 ZINC001238726972 1055734628 /nfs/dbraw/zinc/73/46/28/1055734628.db2.gz CBCGNSLGCDORJE-UHFFFAOYSA-N 0 3 322.880 4.251 20 0 DIADHN CC(C)c1ccc(CN2CCC3(CCCOC3)CC2)c(Cl)n1 ZINC001238730972 1055736524 /nfs/dbraw/zinc/73/65/24/1055736524.db2.gz KSTHWYDJURZTOT-UHFFFAOYSA-N 0 3 322.880 4.251 20 0 DIADHN COc1c(CN2CCC3(CC3)C2)cccc1OCc1ccccc1 ZINC001238745459 1055738266 /nfs/dbraw/zinc/73/82/66/1055738266.db2.gz PXXNCPUIEPUQPI-UHFFFAOYSA-N 0 3 323.436 4.260 20 0 DIADHN CN(Cc1cccnc1OCc1ccccc1)C1CCCCC1 ZINC001238769775 1055745305 /nfs/dbraw/zinc/74/53/05/1055745305.db2.gz DOIFGWRRXLEWCG-UHFFFAOYSA-N 0 3 310.441 4.425 20 0 DIADHN COc1cc(C)c(C)cc1CN1CC[C@@H](C(=O)c2ccccc2)C1 ZINC001238770115 1055745364 /nfs/dbraw/zinc/74/53/64/1055745364.db2.gz MCWBHBQANWTPSM-GOSISDBHSA-N 0 3 323.436 4.017 20 0 DIADHN C[C@@H]1CCN(Cc2cccnc2OCc2ccccc2)[C@@H](C)C1 ZINC001238772178 1055748680 /nfs/dbraw/zinc/74/86/80/1055748680.db2.gz CWLASDJZFPDPAQ-SJORKVTESA-N 0 3 310.441 4.281 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2)n1)C(C)(C)c1ccccc1 ZINC001649047168 1055751283 /nfs/dbraw/zinc/75/12/83/1055751283.db2.gz GARHJESHOYEZFP-KRWDZBQOSA-N 0 3 319.452 4.328 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc(C4CC4)nc3)c(C)c2)CC1 ZINC001238850829 1055769223 /nfs/dbraw/zinc/76/92/23/1055769223.db2.gz OIIYNQAMQMZKEF-UHFFFAOYSA-N 0 3 319.452 4.438 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccnc(Oc2ccccc2)c1 ZINC001238860958 1055772450 /nfs/dbraw/zinc/77/24/50/1055772450.db2.gz YARUQGNBGCKFMM-JLHYYAGUSA-N 0 3 317.392 4.468 20 0 DIADHN COc1ccccc1[C@@H]1CC[C@H](C)CN1Cc1cc(C)ccn1 ZINC000671705816 1055773077 /nfs/dbraw/zinc/77/30/77/1055773077.db2.gz NDXXFYLYMILYTI-LPHOPBHVSA-N 0 3 310.441 4.372 20 0 DIADHN O=c1[nH]c2ccccc2cc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001238884411 1055779773 /nfs/dbraw/zinc/77/97/73/1055779773.db2.gz RFDFGJKLZZXFKP-UHFFFAOYSA-N 0 3 322.383 4.342 20 0 DIADHN COc1ccc(OCCCN2CCc3sccc3[C@@H]2C)cc1 ZINC000057645398 1055784905 /nfs/dbraw/zinc/78/49/05/1055784905.db2.gz FPSGNKVZMKFUNM-AWEZNQCLSA-N 0 3 317.454 4.145 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NC(=O)c1ccc2c(c1)CNC2 ZINC000347552002 1055804697 /nfs/dbraw/zinc/80/46/97/1055804697.db2.gz KPKSQHAFBDLQOL-HXUWFJFHSA-N 0 3 322.452 4.115 20 0 DIADHN CO[C@@H](CNCc1ccc(-c2cccc(F)c2F)o1)CC(C)C ZINC001620974272 1055811272 /nfs/dbraw/zinc/81/12/72/1055811272.db2.gz HNHYGLBBUXNYCL-CQSZACIVSA-N 0 3 323.383 4.376 20 0 DIADHN CCC[C@H](C)N(C)CC(=O)N[C@@H](C)c1ccc2ccccc2c1 ZINC000058773622 1055816347 /nfs/dbraw/zinc/81/63/47/1055816347.db2.gz CYRHVXZINPWVDI-HOTGVXAUSA-N 0 3 312.457 4.137 20 0 DIADHN CCN(CC)CC(=O)N([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000058853981 1055818401 /nfs/dbraw/zinc/81/84/01/1055818401.db2.gz XTEDJXHOQPOBBC-INIZCTEOSA-N 0 3 324.468 4.234 20 0 DIADHN C[C@@H](NC(=O)CN[C@@H](c1ccccc1)C(C)(C)C)c1ccccc1 ZINC000059985256 1055827297 /nfs/dbraw/zinc/82/72/97/1055827297.db2.gz VHDKBHQYDTWKAE-UZLBHIALSA-N 0 3 324.468 4.241 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N(C)CC1CCCC1 ZINC000060211375 1055832402 /nfs/dbraw/zinc/83/24/02/1055832402.db2.gz BYFRZLJHFCYTGP-ZDUSSCGKSA-N 0 3 308.853 4.097 20 0 DIADHN CCCCC1CCC(CNC(=O)[C@H]2CCCCN2CCC)CC1 ZINC001597486062 1055837246 /nfs/dbraw/zinc/83/72/46/1055837246.db2.gz REAJZEOERRTFEV-CTWPCTMYSA-N 0 3 322.537 4.364 20 0 DIADHN CC(C)(C)C[C@@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000060877171 1055854188 /nfs/dbraw/zinc/85/41/88/1055854188.db2.gz YQHSQZZXUNJUNG-SFHVURJKSA-N 0 3 311.473 4.332 20 0 DIADHN CC(C)(C)C[C@H]1CCCN1Cc1nc(-c2cccs2)no1 ZINC000060877187 1055854229 /nfs/dbraw/zinc/85/42/29/1055854229.db2.gz XLBPLRCGKIGYGM-GFCCVEGCSA-N 0 3 305.447 4.199 20 0 DIADHN CN(C)Cc1cnc(CN(C)C2CCC(C(C)(C)C)CC2)s1 ZINC000347585983 1055859784 /nfs/dbraw/zinc/85/97/84/1055859784.db2.gz GHYBYUSTXHSQPP-UHFFFAOYSA-N 0 3 323.550 4.241 20 0 DIADHN O=C(Nc1cccc2c1CNC2)Oc1ccc2ccccc2c1 ZINC001239312599 1055864349 /nfs/dbraw/zinc/86/43/49/1055864349.db2.gz QBZCJMATWRIAEA-UHFFFAOYSA-N 0 3 304.349 4.054 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H]1CCCc2ccc(C)cc21 ZINC001570005698 1055881548 /nfs/dbraw/zinc/88/15/48/1055881548.db2.gz WZQVGQGSMGCXGU-IBGZPJMESA-N 0 3 316.489 4.001 20 0 DIADHN Cc1cc(NC(=O)C2(N(C)C)CCCC2)ccc1-c1ccccc1 ZINC001570014859 1055882075 /nfs/dbraw/zinc/88/20/75/1055882075.db2.gz RGOQZLLXIHCVPJ-UHFFFAOYSA-N 0 3 322.452 4.475 20 0 DIADHN Cc1cc2[nH]ccc2c(-c2cccc(C(=O)C(F)(F)F)c2)n1 ZINC001239375053 1055883012 /nfs/dbraw/zinc/88/30/12/1055883012.db2.gz MKJLZRKILGODPI-UHFFFAOYSA-N 0 3 304.271 4.283 20 0 DIADHN FC(F)(F)C1(CNCc2c(Cl)ccc3cccnc32)CC1 ZINC000671726795 1055886066 /nfs/dbraw/zinc/88/60/66/1055886066.db2.gz SOEDZORDIOKFIN-UHFFFAOYSA-N 0 3 314.738 4.320 20 0 DIADHN CNc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)c(F)n1 ZINC001239436590 1055890400 /nfs/dbraw/zinc/89/04/00/1055890400.db2.gz PXGDGLXUZLAINT-UHFFFAOYSA-N 0 3 317.383 4.054 20 0 DIADHN CC(C)CN(Cc1nnc(-c2ccc(Cl)cc2)o1)C(C)C ZINC000063495900 1055894168 /nfs/dbraw/zinc/89/41/68/1055894168.db2.gz HSEUTMCQBHONPZ-UHFFFAOYSA-N 0 3 307.825 4.256 20 0 DIADHN Cc1cc2cc(CNCc3nccc4ccccc43)ccc2[nH]1 ZINC000671730923 1055898411 /nfs/dbraw/zinc/89/84/11/1055898411.db2.gz QHDCWFRGFVCXMC-UHFFFAOYSA-N 0 3 301.393 4.314 20 0 DIADHN CCC(CC)CN(CC)C(=O)c1cccc(CN2CCCC2)c1 ZINC000063915863 1055906579 /nfs/dbraw/zinc/90/65/79/1055906579.db2.gz WBSVWLWGLRTHNP-UHFFFAOYSA-N 0 3 316.489 4.181 20 0 DIADHN CC(C)N1CCN(Cc2ccc(-c3ccsc3)c(F)c2)CC1 ZINC001239512352 1055919909 /nfs/dbraw/zinc/91/99/09/1055919909.db2.gz HRHOMNVOXZAELI-UHFFFAOYSA-N 0 3 318.461 4.080 20 0 DIADHN Cc1ccc(C)c(CN[C@@H](c2ccc(F)cc2)[C@H]2CCCO2)c1 ZINC001620750887 1055923763 /nfs/dbraw/zinc/92/37/63/1055923763.db2.gz RNYSKZPDUNVBRE-UXHICEINSA-N 0 3 313.416 4.452 20 0 DIADHN CC[C@@H](CNCc1ccn(-c2ccccc2)n1)c1ccccc1 ZINC001620751387 1055925117 /nfs/dbraw/zinc/92/51/17/1055925117.db2.gz LCUFDGYXANGGPC-KRWDZBQOSA-N 0 3 305.425 4.156 20 0 DIADHN Cc1[nH]c2ccc(CNCc3ccc4nccnc4c3)cc2c1C ZINC001620753303 1055926503 /nfs/dbraw/zinc/92/65/03/1055926503.db2.gz GNCROWCZBCBNOQ-UHFFFAOYSA-N 0 3 316.408 4.018 20 0 DIADHN Clc1ccc(OCCCNCc2cnsc2)c(Cl)c1 ZINC001620756690 1055927164 /nfs/dbraw/zinc/92/71/64/1055927164.db2.gz GRLRTEAFHMXCKM-UHFFFAOYSA-N 0 3 317.241 4.009 20 0 DIADHN CCc1ccc(CN[C@@H](C)c2ccc3[nH]c(=O)oc3c2)s1 ZINC000671741063 1055929510 /nfs/dbraw/zinc/92/95/10/1055929510.db2.gz FYUONMOMGUXNNW-JTQLQIEISA-N 0 3 302.399 4.008 20 0 DIADHN Cc1cccc(CNCCc2coc(-c3ccccc3)n2)c1F ZINC001620761098 1055932120 /nfs/dbraw/zinc/93/21/20/1055932120.db2.gz IBUUVWRTFOORKJ-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN Fc1ccc(/C=C/CN[C@@H]2CCCOc3ccccc32)c(F)c1 ZINC001620762644 1055933393 /nfs/dbraw/zinc/93/33/93/1055933393.db2.gz HECUQNHOXSFRJP-YWPBOTNQSA-N 0 3 315.363 4.482 20 0 DIADHN COc1ccc([C@H](C)NCc2cc3ccccc3[nH]2)c(C)c1OC ZINC000671744667 1055934707 /nfs/dbraw/zinc/93/47/07/1055934707.db2.gz NSOFZRJMBRYIBA-AWEZNQCLSA-N 0 3 324.424 4.344 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(NC(C)=O)c2)cc1F ZINC001239532563 1055935804 /nfs/dbraw/zinc/93/58/04/1055935804.db2.gz FHHSDMOBEOHRLE-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN CC[C@@H](N[C@H](C)c1ccc2[nH]c(=O)oc2c1)c1cccs1 ZINC000671743073 1055936938 /nfs/dbraw/zinc/93/69/38/1055936938.db2.gz XAFWZFFARCKEGT-ZYHUDNBSSA-N 0 3 302.399 4.397 20 0 DIADHN C[C@H](N[C@@H](C)c1ccc2[nH]c(=O)oc2c1)c1ccc2c(c1)CCC2 ZINC000671743032 1055938248 /nfs/dbraw/zinc/93/82/48/1055938248.db2.gz NLPZTXAMLCJOBV-STQMWFEESA-N 0 3 322.408 4.434 20 0 DIADHN Cc1ccc2c(c1)CC[C@H]2N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000671744166 1055939264 /nfs/dbraw/zinc/93/92/64/1055939264.db2.gz MCXOLZCIGLMEQV-BLLLJJGKSA-N 0 3 308.381 4.180 20 0 DIADHN CCCc1ccc(CNCc2ccccc2N(C)CC)s1 ZINC001620773786 1055940521 /nfs/dbraw/zinc/94/05/21/1055940521.db2.gz XODOTSLLWTWBEV-UHFFFAOYSA-N 0 3 302.487 4.447 20 0 DIADHN FC1(F)Oc2ccc(CNCc3ccc4occc4c3)cc2O1 ZINC001620983254 1055942134 /nfs/dbraw/zinc/94/21/34/1055942134.db2.gz ZQYXXICGDHLXJA-UHFFFAOYSA-N 0 3 317.291 4.044 20 0 DIADHN COc1ccc(-c2cnc(CN(C)C3CCC(C)CC3)o2)cc1 ZINC000065280941 1055943181 /nfs/dbraw/zinc/94/31/81/1055943181.db2.gz CBRXLXJYQSGBME-UHFFFAOYSA-N 0 3 314.429 4.361 20 0 DIADHN Cc1ccc(-c2cccc(CN[C@H](C)C(=O)NC(C)(C)C)c2)cc1 ZINC001620777938 1055946103 /nfs/dbraw/zinc/94/61/03/1055946103.db2.gz RWACVLPWZMXZEZ-MRXNPFEDSA-N 0 3 324.468 4.055 20 0 DIADHN CCCc1ccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)cc1 ZINC001620463009 1055954408 /nfs/dbraw/zinc/95/44/08/1055954408.db2.gz RPHXGZGMJIPBNW-VXKWHMMOSA-N 0 3 320.480 4.098 20 0 DIADHN C/C(=C\c1ccccc1OC(F)F)CNCc1ccc(C)cn1 ZINC001620985386 1055958680 /nfs/dbraw/zinc/95/86/80/1055958680.db2.gz GYRLKIKMPGAFAZ-NTEUORMPSA-N 0 3 318.367 4.185 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN[C@@H](C)[C@H](O)c1ccc(C)cc1 ZINC001620985819 1055959322 /nfs/dbraw/zinc/95/93/22/1055959322.db2.gz WHRICXXJZLPTMC-LIRRHRJNSA-N 0 3 315.457 4.406 20 0 DIADHN CCc1cccc2cc(CNC[C@@H](O)c3ccccc3C)oc21 ZINC001620786811 1055960286 /nfs/dbraw/zinc/96/02/86/1055960286.db2.gz WXNPRJCEVRTZCB-LJQANCHMSA-N 0 3 309.409 4.127 20 0 DIADHN CCc1cccc2cc(CNC[C@H](O)c3ccccc3C)oc21 ZINC001620786810 1055960598 /nfs/dbraw/zinc/96/05/98/1055960598.db2.gz WXNPRJCEVRTZCB-IBGZPJMESA-N 0 3 309.409 4.127 20 0 DIADHN CCCN(CCC)c1ccc(NCc2n[nH]c(C)c2C)cc1 ZINC001620992987 1055964180 /nfs/dbraw/zinc/96/41/80/1055964180.db2.gz GZUZSNQZUVIYQD-UHFFFAOYSA-N 0 3 300.450 4.265 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cc1F ZINC001239581054 1055964722 /nfs/dbraw/zinc/96/47/22/1055964722.db2.gz NKPJXAUAOFSSDP-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN C[C@H](COCC1CC1)NCc1ccc(-c2c(F)cccc2F)o1 ZINC001620996002 1055966184 /nfs/dbraw/zinc/96/61/84/1055966184.db2.gz FYPKONZIZPQBKA-GFCCVEGCSA-N 0 3 321.367 4.130 20 0 DIADHN CC[C@@H](C(=O)N1CC[C@@H](C)Sc2ccccc21)N(CC)CC ZINC001540083883 1055966744 /nfs/dbraw/zinc/96/67/44/1055966744.db2.gz GQBWZZJOGCMHNX-CABCVRRESA-N 0 3 320.502 4.024 20 0 DIADHN Cc1cccc(CNCCN2c3ccccc3CC[C@H]2C)c1F ZINC001620790785 1055966783 /nfs/dbraw/zinc/96/67/83/1055966783.db2.gz PJYJRXAVFFEPDL-MRXNPFEDSA-N 0 3 312.432 4.065 20 0 DIADHN CC[C@@H](C)CCNCc1c(C)nn(-c2ccc(F)cc2)c1Cl ZINC001620998728 1055967038 /nfs/dbraw/zinc/96/70/38/1055967038.db2.gz KMBLEOOZNVLICS-GFCCVEGCSA-N 0 3 323.843 4.499 20 0 DIADHN Fc1ccc(COc2ccccc2CN[C@H]2C=CCC2)c(F)c1 ZINC001620997981 1055968265 /nfs/dbraw/zinc/96/82/65/1055968265.db2.gz GIYNEEXAIFLJGR-KRWDZBQOSA-N 0 3 315.363 4.352 20 0 DIADHN Cc1ccc2c(c1)[C@H](N[C@@H](C)c1ccc3[nH]c(=O)oc3c1)CC2 ZINC000671751571 1055968743 /nfs/dbraw/zinc/96/87/43/1055968743.db2.gz NIMFFDTWPYGXBW-BLLLJJGKSA-N 0 3 308.381 4.180 20 0 DIADHN CC[C@@H](Cc1ccc(F)cc1)[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001621001622 1055968842 /nfs/dbraw/zinc/96/88/42/1055968842.db2.gz UAWSGYVOTPWZQT-INIZCTEOSA-N 0 3 307.796 4.296 20 0 DIADHN Cc1ccc2c(c1)[C@@H](NCc1cccc(Cl)c1N)[C@H](C)C2 ZINC001621004789 1055970838 /nfs/dbraw/zinc/97/08/38/1055970838.db2.gz JOAPPMYGKAEOKY-XIKOKIGWSA-N 0 3 300.833 4.254 20 0 DIADHN CC(C)(C)OCCN1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000065951269 1055972850 /nfs/dbraw/zinc/97/28/50/1055972850.db2.gz CAYRPVGBTASTLG-UHFFFAOYSA-N 0 3 323.864 4.050 20 0 DIADHN CC(C)(C)OCCN(Cc1ccc(C(F)(F)F)cc1)C1CC1 ZINC000065950148 1055972986 /nfs/dbraw/zinc/97/29/86/1055972986.db2.gz AICAMNNSWYVBTH-UHFFFAOYSA-N 0 3 315.379 4.485 20 0 DIADHN CC(C)[C@H](NCc1cccc2nccn21)c1ccccc1Cl ZINC001620795310 1055973660 /nfs/dbraw/zinc/97/36/60/1055973660.db2.gz AIOLEUHPVZNSQD-SFHVURJKSA-N 0 3 313.832 4.475 20 0 DIADHN COc1cccc(CN[C@H]2C[C@@H](OC(C)(C)C)C23CCC3)c1F ZINC001621006955 1055974041 /nfs/dbraw/zinc/97/40/41/1055974041.db2.gz ZZPSANDHWXCCFT-JKSUJKDBSA-N 0 3 321.436 4.050 20 0 DIADHN Cc1cccnc1[C@H](C)NC[C@@H]1CCC[C@@H](c2ccccc2)O1 ZINC001620664507 1055976937 /nfs/dbraw/zinc/97/69/37/1055976937.db2.gz HINUBDHDEUXXGB-WDSOQIARSA-N 0 3 310.441 4.351 20 0 DIADHN FC(F)(F)c1ccc(-c2ccncc2)c(CN2CCCC2)c1 ZINC001239612821 1055978605 /nfs/dbraw/zinc/97/86/05/1055978605.db2.gz YCHNRYOMUSFKSO-UHFFFAOYSA-N 0 3 306.331 4.363 20 0 DIADHN COc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cc1OC ZINC001239617822 1055979965 /nfs/dbraw/zinc/97/99/65/1055979965.db2.gz AITIKHNXTXFFHC-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN C[C@@H]1CCc2nc(CNCCc3ccc(Cl)cc3)sc2C1 ZINC001620697182 1055981191 /nfs/dbraw/zinc/98/11/91/1055981191.db2.gz FIRZPCQJSAAJLX-GFCCVEGCSA-N 0 3 320.889 4.254 20 0 DIADHN Nc1ccc(CN2CCC(Cc3ccccc3)CC2)c(Cl)c1 ZINC000020192761 1055981544 /nfs/dbraw/zinc/98/15/44/1055981544.db2.gz DPLWLJYBMWEIRZ-UHFFFAOYSA-N 0 3 314.860 4.377 20 0 DIADHN c1cc(CNCc2ccc3c(c2)OCO3)cc(CC2CCC2)c1 ZINC001620695235 1055981652 /nfs/dbraw/zinc/98/16/52/1055981652.db2.gz ZTWZUEQRJFAKRE-UHFFFAOYSA-N 0 3 309.409 4.048 20 0 DIADHN CC(C)[C@H](O)[C@H](NCc1cc2ccccc2n1C)c1ccccc1 ZINC001621017412 1055982728 /nfs/dbraw/zinc/98/27/28/1055982728.db2.gz QINTYZZISVNULW-RTWAWAEBSA-N 0 3 322.452 4.026 20 0 DIADHN CC(C)[C@@H](O)[C@@H](NCc1cccc(C2CC2)c1)c1ccccc1 ZINC001621017427 1055982922 /nfs/dbraw/zinc/98/29/22/1055982922.db2.gz QXWYVOZTDPNONZ-LEWJYISDSA-N 0 3 309.453 4.412 20 0 DIADHN COc1ccc(-c2ccc(CN3CCCC3)c(F)c2)c(OC)c1 ZINC001239623147 1055983601 /nfs/dbraw/zinc/98/36/01/1055983601.db2.gz GCSLKJMUXPRJTG-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CC(C)[C@@H](O)[C@H](NCc1cccc2c1CCC2)c1ccccc1 ZINC001621017708 1055984038 /nfs/dbraw/zinc/98/40/38/1055984038.db2.gz ZPTBZGPEZOATHT-NHCUHLMSSA-N 0 3 309.453 4.023 20 0 DIADHN CC(C)c1ccc(-c2cc(OC3CCN(C)CC3)ccn2)cc1 ZINC001239626458 1055984943 /nfs/dbraw/zinc/98/49/43/1055984943.db2.gz QTRKOSFBTDWNEC-UHFFFAOYSA-N 0 3 310.441 4.345 20 0 DIADHN COc1cccc(-c2csc(CNCCCC(C)C)n2)c1 ZINC001620709586 1055985436 /nfs/dbraw/zinc/98/54/36/1055985436.db2.gz ORVOGAXLMFZEMU-UHFFFAOYSA-N 0 3 304.459 4.345 20 0 DIADHN Clc1cscc1CNCCc1nsc2ccccc12 ZINC001621019014 1055985851 /nfs/dbraw/zinc/98/58/51/1055985851.db2.gz VAXZICUXEXMIOB-UHFFFAOYSA-N 0 3 308.859 4.344 20 0 DIADHN Cc1coc(CN[C@H](C(C)C)[C@H](O)c2ccc(Cl)cc2)c1 ZINC001621019175 1055985981 /nfs/dbraw/zinc/98/59/81/1055985981.db2.gz ADUANXLTFDBJNH-IAGOWNOFSA-N 0 3 307.821 4.089 20 0 DIADHN COc1cc(Cl)c(CN[C@H]2CC23CCCCC3)cc1OC ZINC001621020413 1055986927 /nfs/dbraw/zinc/98/69/27/1055986927.db2.gz KFRSFMZFANDSPY-INIZCTEOSA-N 0 3 309.837 4.170 20 0 DIADHN COc1ccc(-c2ccc(C(C)=O)cc2)cc1CN1CCCC1 ZINC001239633613 1055988536 /nfs/dbraw/zinc/98/85/36/1055988536.db2.gz QUAUDJDIRRUUOK-UHFFFAOYSA-N 0 3 309.409 4.161 20 0 DIADHN Cc1ccnc(CNC[C@H]2CCC[C@H](C)C2)c1Br ZINC001620748162 1055992697 /nfs/dbraw/zinc/99/26/97/1055992697.db2.gz LPYSIMZLDPHACB-AAEUAGOBSA-N 0 3 311.267 4.068 20 0 DIADHN CC(C)c1cnc(CNC[C@H]2CCCO[C@@H]2C(C)(C)C)s1 ZINC001620797823 1055993142 /nfs/dbraw/zinc/99/31/42/1055993142.db2.gz MPUQTGOCFGQVSG-CJNGLKHVSA-N 0 3 310.507 4.197 20 0 DIADHN CCOc1cccc(F)c1CNC[C@@H]1CCCO[C@@H]1C(C)(C)C ZINC001620798272 1055993506 /nfs/dbraw/zinc/99/35/06/1055993506.db2.gz ZBMZTGBMUZUDLP-KSSFIOAISA-N 0 3 323.452 4.155 20 0 DIADHN Fc1ccc(CN[C@@H]2CCCN(c3ccccc3)C2)c(Cl)c1 ZINC001620801629 1055995866 /nfs/dbraw/zinc/99/58/66/1055995866.db2.gz KFIRTQDJOJXZIC-MRXNPFEDSA-N 0 3 318.823 4.238 20 0 DIADHN Cc1cc(Cl)cc(C)c1CN[C@H](CCO)c1cccs1 ZINC001620805446 1056000792 /nfs/dbraw/zinc/00/07/92/1056000792.db2.gz UFEHGBWREHFSDT-OAHLLOKOSA-N 0 3 309.862 4.232 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN(C)CC(=O)Nc1ccccc1Cl ZINC001621124293 1056004320 /nfs/dbraw/zinc/00/43/20/1056004320.db2.gz NJYHMCDIHZRLLI-LSDHHAIUSA-N 0 3 322.880 4.427 20 0 DIADHN CC[C@H](N[C@H](C)c1cnc(C)s1)c1ccc(OC)c(OC)c1 ZINC000671765763 1056006968 /nfs/dbraw/zinc/00/69/68/1056006968.db2.gz FFXQFXHSUVXBRT-RISCZKNCSA-N 0 3 320.458 4.271 20 0 DIADHN Cc1cc([C@@H]2CCCN2CCOc2cccc3ccccc32)on1 ZINC000068407917 1056007916 /nfs/dbraw/zinc/00/79/16/1056007916.db2.gz UDIDGZIXYZEKAX-SFHVURJKSA-N 0 3 322.408 4.352 20 0 DIADHN Cc1ccc(-c2ccc(CNC[C@@H]3C[C@@H]4CCC[C@@H]4O3)o2)cc1 ZINC001621054121 1056012911 /nfs/dbraw/zinc/01/29/11/1056012911.db2.gz AACLTGFIXUGYEL-WDSOQIARSA-N 0 3 311.425 4.302 20 0 DIADHN COc1ccc(OC)c(-c2cccc(CN3CCCC3)c2F)c1 ZINC001239668558 1056014951 /nfs/dbraw/zinc/01/49/51/1056014951.db2.gz PZMSESPJJKAVPY-UHFFFAOYSA-N 0 3 315.388 4.106 20 0 DIADHN CCN(CC)Cc1ccc(-c2cc(OC)ccc2OC)cc1F ZINC001239668627 1056015379 /nfs/dbraw/zinc/01/53/79/1056015379.db2.gz SFFPJWZONDXDDP-UHFFFAOYSA-N 0 3 317.404 4.352 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cncn1C(C)C ZINC001621061314 1056018312 /nfs/dbraw/zinc/01/83/12/1056018312.db2.gz IELOFBIKFQULTO-UHFFFAOYSA-N 0 3 307.441 4.469 20 0 DIADHN COc1ccc2c(c1)nccc2-c1ccc(C2=NCCC2)cc1 ZINC001239677040 1056021837 /nfs/dbraw/zinc/02/18/37/1056021837.db2.gz BWYJAKVHJMPSLI-UHFFFAOYSA-N 0 3 302.377 4.493 20 0 DIADHN C[C@@H]1[C@H](C)N(C(=O)OC(C)(C)C)CCN1CCCC1CCCC1 ZINC001168603721 1056023916 /nfs/dbraw/zinc/02/39/16/1056023916.db2.gz HZVZXCFCRBZFCH-CVEARBPZSA-N 0 3 324.509 4.287 20 0 DIADHN Cc1ccc([C@H]2OCCC[C@@H]2NCc2ccc(Cl)o2)cc1 ZINC000072746422 1056032421 /nfs/dbraw/zinc/03/24/21/1056032421.db2.gz SWNGVLFDOXWBSV-DOTOQJQBSA-N 0 3 305.805 4.251 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@H](c1ccccc1)C(C)C ZINC001540633268 1056053789 /nfs/dbraw/zinc/05/37/89/1056053789.db2.gz DSLNXVDIKSYYGE-IBGZPJMESA-N 0 3 304.478 4.012 20 0 DIADHN COc1ccc(CN[C@H](C)c2ccc(SC)cc2)cc1OC ZINC000074335078 1056053676 /nfs/dbraw/zinc/05/36/76/1056053676.db2.gz ATLLVFUJKGSWSV-CYBMUJFWSA-N 0 3 317.454 4.277 20 0 DIADHN C[C@@H](NCc1cccc(OCC(F)F)c1)c1ccc(F)cc1 ZINC000112541317 1056061886 /nfs/dbraw/zinc/06/18/86/1056061886.db2.gz NVYRRRGISFNRNQ-GFCCVEGCSA-N 0 3 309.331 4.320 20 0 DIADHN C[C@H](NCc1cccc(OCC(F)F)c1)c1ccc(F)cc1 ZINC000112541316 1056062343 /nfs/dbraw/zinc/06/23/43/1056062343.db2.gz NVYRRRGISFNRNQ-LBPRGKRZSA-N 0 3 309.331 4.320 20 0 DIADHN CCc1nc(OC)ccc1-c1ccc(CN2CCCC2)c(F)c1 ZINC001239779674 1056070642 /nfs/dbraw/zinc/07/06/42/1056070642.db2.gz PHOCTVMPECTCCA-UHFFFAOYSA-N 0 3 314.404 4.055 20 0 DIADHN CC(=O)Nc1cccc([C@H](C)NCc2cc(C)c(C)cc2C)c1 ZINC000028924934 1056071179 /nfs/dbraw/zinc/07/11/79/1056071179.db2.gz OROUEIPQWLGDNI-INIZCTEOSA-N 0 3 310.441 4.421 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccccc2NC(C)=O)cc1F ZINC001239782555 1056072109 /nfs/dbraw/zinc/07/21/09/1056072109.db2.gz VOEJNIPIKOAZFY-UHFFFAOYSA-N 0 3 314.404 4.293 20 0 DIADHN Cc1scc(CNCc2c[nH]nc2-c2cccs2)c1C ZINC000399907762 1056102194 /nfs/dbraw/zinc/10/21/94/1056102194.db2.gz GHGXGRTXXIZHSK-UHFFFAOYSA-N 0 3 303.456 4.106 20 0 DIADHN CC(=O)Nc1cccc([C@@H](C)NCc2csc(C)c2C)c1 ZINC000399943645 1056121264 /nfs/dbraw/zinc/12/12/64/1056121264.db2.gz VJSKFDGQAPWJNQ-GFCCVEGCSA-N 0 3 302.443 4.174 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2nc3c(s2)CCCC3)C1(CC)CC ZINC001620819459 1056124614 /nfs/dbraw/zinc/12/46/14/1056124614.db2.gz UGMDEUADODJNSV-JKSUJKDBSA-N 0 3 322.518 4.095 20 0 DIADHN CC(C)(C)c1csc(CN[C@H]2Cc3ccc(Cl)cc3C2)n1 ZINC000765804850 1056125512 /nfs/dbraw/zinc/12/55/12/1056125512.db2.gz VVQJQVWRGUIYML-AWEZNQCLSA-N 0 3 320.889 4.351 20 0 DIADHN CCN(CC)C(=O)c1ccc(-c2cccc(C3=NCCC3)c2)cc1 ZINC001239891774 1056136998 /nfs/dbraw/zinc/13/69/98/1056136998.db2.gz QNQYOUMXQKJTDS-UHFFFAOYSA-N 0 3 320.436 4.419 20 0 DIADHN CCc1ccccc1CNCc1ccc2c(c1)COC(C)(C)O2 ZINC000186390677 1056139744 /nfs/dbraw/zinc/13/97/44/1056139744.db2.gz QLHQHNKQEUWJFW-UHFFFAOYSA-N 0 3 311.425 4.184 20 0 DIADHN CC[C@@H](C(=O)N[C@@H](CC1CCCCC1)c1ccccc1)N(C)C ZINC001542687436 1056151035 /nfs/dbraw/zinc/15/10/35/1056151035.db2.gz RQJJOHOOIGOXSI-OALUTQOASA-N 0 3 316.489 4.155 20 0 DIADHN CCCC[C@H](C)C(=O)N[C@H](CN1CCCCC1)c1ccccc1 ZINC001542816700 1056158191 /nfs/dbraw/zinc/15/81/91/1056158191.db2.gz HXKXKRUBVUZWQL-PKOBYXMFSA-N 0 3 316.489 4.156 20 0 DIADHN CCCC[C@@H](C)C(=O)N[C@@H](CN1CCCCC1)c1ccccc1 ZINC001542816699 1056158575 /nfs/dbraw/zinc/15/85/75/1056158575.db2.gz HXKXKRUBVUZWQL-MJGOQNOKSA-N 0 3 316.489 4.156 20 0 DIADHN CCc1ccc([C@@H](C)NC[C@@](C)(O)c2ccccc2Cl)o1 ZINC000765813249 1056160028 /nfs/dbraw/zinc/16/00/28/1056160028.db2.gz ZVMVKRKDZNCLMB-SJKOYZFVSA-N 0 3 307.821 4.054 20 0 DIADHN CC1(C(=O)N2CCN(CC3CCCCC3)CC2)CCCCCC1 ZINC001583753050 1056160443 /nfs/dbraw/zinc/16/04/43/1056160443.db2.gz QXJDGMZRGCJNLT-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN CO[C@@H](CN[C@@H](CC(F)(F)F)c1ccccc1C)C1CCC1 ZINC000660423701 1056162789 /nfs/dbraw/zinc/16/27/89/1056162789.db2.gz OSCVNDGLVWRTKC-HOTGVXAUSA-N 0 3 315.379 4.393 20 0 DIADHN Fc1ccc(C2(NCc3cnn(C4CCC4)c3)CCCC2)cc1 ZINC000921655902 1056166797 /nfs/dbraw/zinc/16/67/97/1056166797.db2.gz TWVJEXYYXXTODI-UHFFFAOYSA-N 0 3 313.420 4.306 20 0 DIADHN C[C@@H](NCc1cnn(CC(F)(F)F)c1)c1ccc(C2CC2)cc1 ZINC000418111021 1056167281 /nfs/dbraw/zinc/16/72/81/1056167281.db2.gz DEMMFIRWXLXCMR-GFCCVEGCSA-N 0 3 323.362 4.174 20 0 DIADHN O=C(Nc1ccc(Cl)c(F)c1)[C@H]1CCCCN1C1CCCC1 ZINC001543038274 1056170436 /nfs/dbraw/zinc/17/04/36/1056170436.db2.gz AXNRUYSQNAMOLX-MRXNPFEDSA-N 0 3 324.827 4.215 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](c1ccccc1C)C(C)C ZINC001543275055 1056194647 /nfs/dbraw/zinc/19/46/47/1056194647.db2.gz NUDLHCDRQOSYKQ-HXUWFJFHSA-N 0 3 318.505 4.320 20 0 DIADHN CCN1CCCC[C@H]1CNC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC001543359470 1056208278 /nfs/dbraw/zinc/20/82/78/1056208278.db2.gz RWNWGYSQKLYFSZ-HNNXBMFYSA-N 0 3 322.880 4.068 20 0 DIADHN CC[C@H](NC[C@H]1CC[C@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001168624019 1056220362 /nfs/dbraw/zinc/22/03/62/1056220362.db2.gz ZTTPZOIONIOXRB-DDTOSNHZSA-N 0 3 323.305 4.115 20 0 DIADHN CCCCNC(=O)c1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001239985783 1056222552 /nfs/dbraw/zinc/22/25/52/1056222552.db2.gz MRRGZBCJHCPORX-UHFFFAOYSA-N 0 3 320.436 4.466 20 0 DIADHN CCC1(CC)[C@H](N[C@H]2CCCc3cn(C(C)C)nc32)C[C@@H]1OC ZINC000765821392 1056223691 /nfs/dbraw/zinc/22/36/91/1056223691.db2.gz OSRSVMZEAJHUJN-BBWFWOEESA-N 0 3 319.493 4.025 20 0 DIADHN Cc1nc(F)ccc1CNC1(c2ccc(F)cc2)CCCC1 ZINC000785446836 1056231618 /nfs/dbraw/zinc/23/16/18/1056231618.db2.gz WVEOUXJKJTUZIM-UHFFFAOYSA-N 0 3 302.368 4.227 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc3cncnc3c2)ccc1F ZINC001240000565 1056239143 /nfs/dbraw/zinc/23/91/43/1056239143.db2.gz VQYOHBLOQFTKAE-UHFFFAOYSA-N 0 3 309.388 4.278 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(F)cc2OC)cc1F ZINC001240001016 1056240222 /nfs/dbraw/zinc/24/02/22/1056240222.db2.gz XQUIFNXBTLHKBE-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CC(C)[C@H](NC(=O)CN(C)CC(C)(C)C)c1ccccc1Cl ZINC001543525063 1056243620 /nfs/dbraw/zinc/24/36/20/1056243620.db2.gz JWZOGOYJJNTXMO-KRWDZBQOSA-N 0 3 324.896 4.131 20 0 DIADHN Nc1ccnc2cc(-c3ccc(N4CCCCC4)cc3)ccc12 ZINC001240014196 1056254336 /nfs/dbraw/zinc/25/43/36/1056254336.db2.gz YGCZCOHHFPUMQL-UHFFFAOYSA-N 0 3 303.409 4.474 20 0 DIADHN CC(C)Sc1ccccc1NC(=O)c1ccc2c(c1)CNC2 ZINC000347644309 1056263664 /nfs/dbraw/zinc/26/36/64/1056263664.db2.gz LLYDNJFDLGHGLX-UHFFFAOYSA-N 0 3 312.438 4.043 20 0 DIADHN CC(C)N(Cc1cnn(-c2ccc(C(F)(F)F)cc2)c1)C1CC1 ZINC000347650046 1056265730 /nfs/dbraw/zinc/26/57/30/1056265730.db2.gz NOOCQYDCOOVNOG-UHFFFAOYSA-N 0 3 323.362 4.264 20 0 DIADHN Cc1ccc(CN[C@H](CCO)c2ccc(Cl)cc2)cc1C ZINC000347651084 1056265963 /nfs/dbraw/zinc/26/59/63/1056265963.db2.gz QXRCGSGMZXPFPJ-GOSISDBHSA-N 0 3 303.833 4.170 20 0 DIADHN CCN(CC)C(=O)c1cccc(-c2cc3[nH]ccc3c(C)n2)c1 ZINC001240049979 1056283273 /nfs/dbraw/zinc/28/32/73/1056283273.db2.gz AGQFBQWTVGBMSX-UHFFFAOYSA-N 0 3 307.397 4.020 20 0 DIADHN Cc1ccc([C@@H](C)N[C@@H](C)C(=O)Nc2ccccc2Cl)cc1 ZINC000056201580 1056300711 /nfs/dbraw/zinc/30/07/11/1056300711.db2.gz AMANWMMTHGPGLP-KGLIPLIRSA-N 0 3 316.832 4.326 20 0 DIADHN CC(C)CN(C(=O)/C=C/C1CCCCCC1)C1CCN(C)CC1 ZINC001584268361 1056303219 /nfs/dbraw/zinc/30/32/19/1056303219.db2.gz QVZAQNBPJUTYHA-ZHACJKMWSA-N 0 3 320.521 4.092 20 0 DIADHN CCOc1ccc([C@@H](C)NCc2ccccc2N(C)CC)cc1 ZINC000765847364 1056309013 /nfs/dbraw/zinc/30/90/13/1056309013.db2.gz BXCMZRMDTLIADQ-MRXNPFEDSA-N 0 3 312.457 4.392 20 0 DIADHN CCN(C)c1ccccc1CN[C@H]1CCCOc2cc(C)ccc21 ZINC000765848762 1056321327 /nfs/dbraw/zinc/32/13/27/1056321327.db2.gz JLRJNSBJOPEWQL-IBGZPJMESA-N 0 3 324.468 4.455 20 0 DIADHN Clc1cccc(-c2nnc(CN3CCCC4(CC4)CC3)o2)c1 ZINC000626114020 1056324577 /nfs/dbraw/zinc/32/45/77/1056324577.db2.gz PWWSQPCEKDFHEK-UHFFFAOYSA-N 0 3 317.820 4.156 20 0 DIADHN COc1ccc(-c2ccc(-c3cc(CN(C)C)ccn3)cc2)cc1 ZINC001240102084 1056326647 /nfs/dbraw/zinc/32/66/47/1056326647.db2.gz SCBFYQBQGZMZEN-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN CN1CCCC[C@H]1c1ccc(N[C@@H]2CCNc3ccccc32)nc1 ZINC001168643786 1056328313 /nfs/dbraw/zinc/32/83/13/1056328313.db2.gz BCBRMIXTQZZGMP-MOPGFXCFSA-N 0 3 322.456 4.207 20 0 DIADHN COc1ccc(-c2ccc(-c3ccc4[nH]c(N)nc4c3)cc2)cc1 ZINC001240104933 1056329301 /nfs/dbraw/zinc/32/93/01/1056329301.db2.gz VEKKCIZSDPUKSQ-UHFFFAOYSA-N 0 3 315.376 4.488 20 0 DIADHN COc1ccc(-c2ccc(-c3ccc4nc(N)[nH]c4c3)cc2)cc1 ZINC001240104933 1056329312 /nfs/dbraw/zinc/32/93/12/1056329312.db2.gz VEKKCIZSDPUKSQ-UHFFFAOYSA-N 0 3 315.376 4.488 20 0 DIADHN CN(C)CCN(CC1CCCCC1)C(=O)/C=C\C1CCCCC1 ZINC001543934968 1056329952 /nfs/dbraw/zinc/32/99/52/1056329952.db2.gz YXLPPZQCTMWPJH-YPKPFQOOSA-N 0 3 320.521 4.093 20 0 DIADHN CC[C@H](CC(=O)N(CCN(C)C)CC1CCCCC1)C(C)C ZINC001543934902 1056330003 /nfs/dbraw/zinc/33/00/03/1056330003.db2.gz WZGJDFFSCVYBIC-GOSISDBHSA-N 0 3 310.526 4.029 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1Cc1cnn(CC(F)(F)F)c1 ZINC000507147208 1056347311 /nfs/dbraw/zinc/34/73/11/1056347311.db2.gz ZFRLDZSHJOFHEG-INIZCTEOSA-N 0 3 323.362 4.091 20 0 DIADHN c1ccc(-c2cnccc2N[C@@H]2CCNc3ccccc32)cc1 ZINC001168646526 1056347841 /nfs/dbraw/zinc/34/78/41/1056347841.db2.gz WKKYHFSOQBVQCE-LJQANCHMSA-N 0 3 301.393 4.139 20 0 DIADHN CCC[C@@H](C(=O)N(CCN1CCCC1)c1ccccc1)C(C)C ZINC001544203891 1056381807 /nfs/dbraw/zinc/38/18/07/1056381807.db2.gz CAGHAZUEZBJIKO-LJQANCHMSA-N 0 3 316.489 4.188 20 0 DIADHN Fc1cccc2c1CC[C@@H]2N[C@@H]1CCc2nc(Cl)ccc21 ZINC000806133523 1056413950 /nfs/dbraw/zinc/41/39/50/1056413950.db2.gz APJIZUJLNXRWOV-LSDHHAIUSA-N 0 3 302.780 4.139 20 0 DIADHN CCc1nc(C)c([C@H](C)N(C)CCOc2ccccc2F)s1 ZINC000303871179 1056433219 /nfs/dbraw/zinc/43/32/19/1056433219.db2.gz HUIZDRKKSGODKH-ZDUSSCGKSA-N 0 3 322.449 4.225 20 0 DIADHN C[C@H](S[C@H]1CCCN(Cc2ccccc2)C1)C(=O)C(C)(C)C ZINC001614553037 1056440013 /nfs/dbraw/zinc/44/00/13/1056440013.db2.gz OKHSNSVTPAYBTO-RDJZCZTQSA-N 0 3 319.514 4.388 20 0 DIADHN c1nc2ccc(-c3cncc(CN4CCCCC4)c3)cc2s1 ZINC001240346565 1056440813 /nfs/dbraw/zinc/44/08/13/1056440813.db2.gz GVLXRHYDCBFBBD-UHFFFAOYSA-N 0 3 309.438 4.344 20 0 DIADHN C[C@H](S[C@@H]1CCCN(Cc2ccccc2)C1)C(=O)C(C)(C)C ZINC001614553035 1056440877 /nfs/dbraw/zinc/44/08/77/1056440877.db2.gz OKHSNSVTPAYBTO-DOTOQJQBSA-N 0 3 319.514 4.388 20 0 DIADHN CCc1ccc(C(C)(C)NCC(=O)Nc2ccc(C)cc2)cc1 ZINC001168656701 1056445720 /nfs/dbraw/zinc/44/57/20/1056445720.db2.gz GTDDOEOOAGYYAU-UHFFFAOYSA-N 0 3 310.441 4.021 20 0 DIADHN CCCC(C)(C)C(=O)NC[C@H](c1ccc(C)cc1)N1CCCC1 ZINC001544518183 1056448435 /nfs/dbraw/zinc/44/84/35/1056448435.db2.gz SAXPAFAQRDEOKG-GOSISDBHSA-N 0 3 316.489 4.074 20 0 DIADHN COC/C(C)=C/CN1CCC(c2nc3ccccc3s2)CC1 ZINC000929241659 1056451212 /nfs/dbraw/zinc/45/12/12/1056451212.db2.gz ACBBYGUMUDXXGH-VGOFMYFVSA-N 0 3 316.470 4.068 20 0 DIADHN Cc1cccnc1[C@H](NCc1ccc2c(c1)C[C@@H](C)O2)C(C)C ZINC000921743848 1056452755 /nfs/dbraw/zinc/45/27/55/1056452755.db2.gz AGSHPKOXHDIFQC-DNVCBOLYSA-N 0 3 310.441 4.200 20 0 DIADHN C[C@@H](CC(=O)N(CCN(C)C)C1CCCCC1)C1CCCCC1 ZINC001614982576 1056460722 /nfs/dbraw/zinc/46/07/22/1056460722.db2.gz NRWHCBHRDHMKFW-KRWDZBQOSA-N 0 3 322.537 4.316 20 0 DIADHN Cc1cc2c(ncnc2C2=CCCN(Cc3ccccc3)C2)s1 ZINC001240384656 1056465681 /nfs/dbraw/zinc/46/56/81/1056465681.db2.gz FCZFWIIVNDUJDL-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1nc2ccsc2c(C2=CCCN(Cc3ccccc3)C2)n1 ZINC001240385313 1056466255 /nfs/dbraw/zinc/46/62/55/1056466255.db2.gz MXQJVUQFGVXLLS-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CCCCc1cc(C2=CCCN(Cc3ccccc3)C2)ncn1 ZINC001240386225 1056466777 /nfs/dbraw/zinc/46/67/77/1056466777.db2.gz GGZVJGZHFOIECZ-UHFFFAOYSA-N 0 3 307.441 4.109 20 0 DIADHN Fc1ccc2cnnc(C3=CCCN(Cc4ccccc4)C3)c2c1 ZINC001240385882 1056466890 /nfs/dbraw/zinc/46/68/90/1056466890.db2.gz PDESTGVGIDVYRL-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN Cc1cc2cnc(C3=CCCN(Cc4ccccc4)C3)nc2s1 ZINC001240385660 1056467110 /nfs/dbraw/zinc/46/71/10/1056467110.db2.gz DPLAJJLWHPTDEG-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN CC1(C)CCCC[C@H]1CN1CCc2c(cnn2-c2ccccc2)C1 ZINC001615095632 1056467747 /nfs/dbraw/zinc/46/77/47/1056467747.db2.gz JQLIMMZSUUSCBZ-SFHVURJKSA-N 0 3 323.484 4.447 20 0 DIADHN CC(=O)Nc1ccc(F)c(C2=CCCN(Cc3ccccc3)C2)c1 ZINC001240386689 1056468229 /nfs/dbraw/zinc/46/82/29/1056468229.db2.gz HNJMALCRBKRPLZ-UHFFFAOYSA-N 0 3 324.399 4.073 20 0 DIADHN CC(C)(C)c1ncc(C2=CCCN(Cc3ccccc3)C2)cn1 ZINC001240387446 1056468953 /nfs/dbraw/zinc/46/89/53/1056468953.db2.gz QGJZNCBVGJMCBQ-UHFFFAOYSA-N 0 3 307.441 4.063 20 0 DIADHN Fc1cc(C2=CCCN(Cc3ccccc3)C2)cc2nccnc12 ZINC001240387733 1056471929 /nfs/dbraw/zinc/47/19/29/1056471929.db2.gz VAVDPRQKSPHVTL-UHFFFAOYSA-N 0 3 319.383 4.058 20 0 DIADHN c1ccc(CN2CCC=C(c3ccnc(OC4CCC4)c3)C2)cc1 ZINC001240389073 1056473733 /nfs/dbraw/zinc/47/37/33/1056473733.db2.gz USGAYYGKPIMKKP-UHFFFAOYSA-N 0 3 320.436 4.302 20 0 DIADHN CC[C@@H](CN1CCC[C@H]1c1cccc(Br)c1)OC ZINC001615200956 1056475377 /nfs/dbraw/zinc/47/53/77/1056475377.db2.gz RJOIYEMFJNKXHE-GJZGRUSLSA-N 0 3 312.251 4.011 20 0 DIADHN c1coc(CN(CCCCc2ccccc2)C[C@H]2CCCO2)c1 ZINC001615246154 1056481645 /nfs/dbraw/zinc/48/16/45/1056481645.db2.gz UEOXMJLJOZZHPL-HXUWFJFHSA-N 0 3 313.441 4.284 20 0 DIADHN CCn1ncnc1CN(CC1CCCCC1)CC1CCCCC1 ZINC001615245982 1056482415 /nfs/dbraw/zinc/48/24/15/1056482415.db2.gz RGZGUNYVBJHCJZ-UHFFFAOYSA-N 0 3 318.509 4.261 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1CCCC1CCOCC1 ZINC000765921233 1056482543 /nfs/dbraw/zinc/48/25/43/1056482543.db2.gz RGMMYUAUNMUCOG-FUHIMQAGSA-N 0 3 317.473 4.044 20 0 DIADHN C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1CCCC1CCOCC1 ZINC000765921235 1056483743 /nfs/dbraw/zinc/48/37/43/1056483743.db2.gz RGMMYUAUNMUCOG-MBOZVWFJSA-N 0 3 317.473 4.044 20 0 DIADHN CN1CCN(Cc2ccc(-c3ccccc3C(F)F)cc2)CC1 ZINC001240401616 1056484012 /nfs/dbraw/zinc/48/40/12/1056484012.db2.gz JVWXDCCTLPHPTC-UHFFFAOYSA-N 0 3 316.395 4.039 20 0 DIADHN C[C@@H]1CCC[C@H](N(C)Cc2ccn(-c3ccccc3F)n2)C1 ZINC001615302643 1056489468 /nfs/dbraw/zinc/48/94/68/1056489468.db2.gz HTFIUCPBDPVCOU-ZBFHGGJFSA-N 0 3 301.409 4.022 20 0 DIADHN Cc1ccccc1-c1nc(CN(CC(C)C)C2CCCC2)no1 ZINC001615296734 1056489945 /nfs/dbraw/zinc/48/99/45/1056489945.db2.gz PHUXHLFSNWBKJC-UHFFFAOYSA-N 0 3 313.445 4.446 20 0 DIADHN Cc1nnc([C@H](C)N[C@@H](C)C2CCC(C(F)(F)F)CC2)s1 ZINC000354656847 1056490101 /nfs/dbraw/zinc/49/01/01/1056490101.db2.gz BPSAWERPHYWZGK-CRRMYELQSA-N 0 3 321.412 4.254 20 0 DIADHN C[C@@H]1CCC[C@@H](N(C)Cc2ccn(-c3ccccc3F)n2)C1 ZINC001615302640 1056490327 /nfs/dbraw/zinc/49/03/27/1056490327.db2.gz HTFIUCPBDPVCOU-GDBMZVCRSA-N 0 3 301.409 4.022 20 0 DIADHN CC(C)[C@@H](O)CCN(Cc1ccccc1)Cc1ccc(F)cc1 ZINC001615313750 1056491461 /nfs/dbraw/zinc/49/14/61/1056491461.db2.gz JAAAUZQNQOVADH-FQEVSTJZSA-N 0 3 315.432 4.235 20 0 DIADHN NCc1cc(-c2cccc(-c3cccc4ccccc43)c2)ncn1 ZINC001240415953 1056492709 /nfs/dbraw/zinc/49/27/09/1056492709.db2.gz FBTGYZATHYNNPF-UHFFFAOYSA-N 0 3 311.388 4.423 20 0 DIADHN C[C@@H]1CCC[C@H](CCN2CCN(c3ccc(Cl)cn3)CC2)C1 ZINC001615337145 1056493323 /nfs/dbraw/zinc/49/33/23/1056493323.db2.gz IEWSWUZZCQYHNZ-HZPDHXFCSA-N 0 3 321.896 4.073 20 0 DIADHN CC(C)N1CCC[C@@H]1C(=O)NC(C)(C)CC1CCCCCC1 ZINC001128150520 1056498032 /nfs/dbraw/zinc/49/80/32/1056498032.db2.gz LCYQERBWMUOGBN-QGZVFWFLSA-N 0 3 308.510 4.115 20 0 DIADHN CCC1(C)CCN(Cc2ccc(OCC(F)(F)F)nc2)CC1 ZINC001615354627 1056499205 /nfs/dbraw/zinc/49/92/05/1056499205.db2.gz WEPIFAJEZLXOLS-UHFFFAOYSA-N 0 3 316.367 4.035 20 0 DIADHN CCSc1ccc([C@H](C)NCc2ccc(F)nc2C)cc1 ZINC000785454609 1056506810 /nfs/dbraw/zinc/50/68/10/1056506810.db2.gz PXJCWKQYQCDKAD-LBPRGKRZSA-N 0 3 304.434 4.492 20 0 DIADHN Cc1cc(CNCC[C@H](C)c2cccc(C(F)(F)F)c2)on1 ZINC001620827824 1056508277 /nfs/dbraw/zinc/50/82/77/1056508277.db2.gz AWQXMRKRTNXZRF-NSHDSACASA-N 0 3 312.335 4.285 20 0 DIADHN COc1ccc(F)c(F)c1-c1ccc(F)c(CN2CCCC2)c1 ZINC001240439293 1056509047 /nfs/dbraw/zinc/50/90/47/1056509047.db2.gz VYCPBGVBLSDKLY-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN COc1ccccc1[C@H]1CCCCN1CCOCCC(C)C ZINC001615446080 1056510267 /nfs/dbraw/zinc/51/02/67/1056510267.db2.gz SENDJWBOFZJRCY-GOSISDBHSA-N 0 3 305.462 4.285 20 0 DIADHN CCCCCCN1CCN([C@H](C)c2ccc(F)cc2F)CC1 ZINC001615474487 1056515753 /nfs/dbraw/zinc/51/57/53/1056515753.db2.gz NIGKYVQUEHOXRN-OAHLLOKOSA-N 0 3 310.432 4.224 20 0 DIADHN Cc1nc(C)c([C@H](C)N(C)C[C@@H]2CCC3(CCC3)CO2)s1 ZINC001615474673 1056517106 /nfs/dbraw/zinc/51/71/06/1056517106.db2.gz SMMFYYPJGZYEJV-ZFWWWQNUSA-N 0 3 308.491 4.102 20 0 DIADHN COc1ccc(CN(C)Cc2ccccc2C(F)(F)F)cc1 ZINC000061760345 1056519634 /nfs/dbraw/zinc/51/96/34/1056519634.db2.gz HHRSDOFYSZYULY-UHFFFAOYSA-N 0 3 309.331 4.346 20 0 DIADHN COc1cc(CN(C)Cc2cccc(C)c2)ccc1OC(F)F ZINC000061761032 1056520376 /nfs/dbraw/zinc/52/03/76/1056520376.db2.gz KRRCVHBFHDWNMG-UHFFFAOYSA-N 0 3 321.367 4.237 20 0 DIADHN CC[C@@H]1CCCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)CC1 ZINC001615496999 1056520446 /nfs/dbraw/zinc/52/04/46/1056520446.db2.gz QWNLZHLRGJFZLC-MRXNPFEDSA-N 0 3 316.489 4.435 20 0 DIADHN Cc1nsc(C)c1CN(C)[C@@H](C)Cc1ccc(Cl)cc1 ZINC001615507641 1056524242 /nfs/dbraw/zinc/52/42/42/1056524242.db2.gz DPJKNAKNYSYBOI-NSHDSACASA-N 0 3 308.878 4.476 20 0 DIADHN CCc1onc(C)c1CNC[C@H](CC)Oc1ccccc1Cl ZINC001620828298 1056527778 /nfs/dbraw/zinc/52/77/78/1056527778.db2.gz NYIRRGNHQDCRIX-ZDUSSCGKSA-N 0 3 322.836 4.146 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN2CCC[C@H](C(C)C)CC2)c1 ZINC001615539136 1056528726 /nfs/dbraw/zinc/52/87/26/1056528726.db2.gz AZVMBPASMGILAR-AWEZNQCLSA-N 0 3 323.864 4.385 20 0 DIADHN c1ccc([C@H]2CSCCN2C[C@@H]2CCC3(CCC3)CO2)cc1 ZINC001615535281 1056530881 /nfs/dbraw/zinc/53/08/81/1056530881.db2.gz CFGJOENGUDOFFD-ZWKOTPCHSA-N 0 3 317.498 4.126 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC001615562439 1056537539 /nfs/dbraw/zinc/53/75/39/1056537539.db2.gz HXBZTSZEYJYIEP-FMKPAKJESA-N 0 3 315.436 4.348 20 0 DIADHN O=C(c1cccc(CN2CCC[C@@H]3CCC[C@@H]32)c1)C(F)(F)F ZINC001615563726 1056538107 /nfs/dbraw/zinc/53/81/07/1056538107.db2.gz XXHVDFQMWFASDH-ZFWWWQNUSA-N 0 3 311.347 4.196 20 0 DIADHN Fc1cc(-c2ccn3ccnc3c2)ccc1CN1CCCCC1 ZINC001240519152 1056548150 /nfs/dbraw/zinc/54/81/50/1056548150.db2.gz ZFWCGZGXSMFZEL-UHFFFAOYSA-N 0 3 309.388 4.126 20 0 DIADHN CC(C)=CCCN1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001615654968 1056556454 /nfs/dbraw/zinc/55/64/54/1056556454.db2.gz IXAKCUVQXXLMFF-INIZCTEOSA-N 0 3 306.881 4.375 20 0 DIADHN Oc1ccc([C@@H]2CCCN2Cc2ccc(F)c3cccnc23)cc1 ZINC000620934948 1056557646 /nfs/dbraw/zinc/55/76/46/1056557646.db2.gz WPQUVNSGGBFHLL-IBGZPJMESA-N 0 3 322.383 4.417 20 0 DIADHN C[C@@H](NCCSC(C)(C)C)c1cccc(Br)n1 ZINC000925348883 1056560496 /nfs/dbraw/zinc/56/04/96/1056560496.db2.gz SEAYWAWSOSOABG-SNVBAGLBSA-N 0 3 317.296 4.026 20 0 DIADHN CN(C)[C@@H](CNCc1ccccc1Cl)c1ccccc1Cl ZINC000075114471 1056564669 /nfs/dbraw/zinc/56/46/69/1056564669.db2.gz CENBBWCAPDZQSP-KRWDZBQOSA-N 0 3 323.267 4.386 20 0 DIADHN C[C@@H]1C[C@@H](c2ccccc2F)N(Cc2ccc3c[nH]nc3c2)C1 ZINC001615713898 1056567203 /nfs/dbraw/zinc/56/72/03/1056567203.db2.gz QICDWBXPSUJVLH-YJYMSZOUSA-N 0 3 309.388 4.285 20 0 DIADHN C[C@H]1C[C@H](c2ccccc2F)N(Cc2ccc3c[nH]nc3c2)C1 ZINC001615713897 1056567723 /nfs/dbraw/zinc/56/77/23/1056567723.db2.gz QICDWBXPSUJVLH-ORAYPTAESA-N 0 3 309.388 4.285 20 0 DIADHN CCN1CC=C(c2cnc(-c3ccc4c[nH]nc4c3)c(C)c2)CC1 ZINC001240554784 1056571897 /nfs/dbraw/zinc/57/18/97/1056571897.db2.gz UNJADFYQABFUIJ-UHFFFAOYSA-N 0 3 318.424 4.042 20 0 DIADHN CC[C@H](C)CN(CC)CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001615756040 1056572035 /nfs/dbraw/zinc/57/20/35/1056572035.db2.gz DCSMKSYMRFZGDL-NSHDSACASA-N 0 3 317.260 4.300 20 0 DIADHN CCC1CCC(N(C)CC(=O)Nc2cc(C)ccc2C)CC1 ZINC001615789819 1056575135 /nfs/dbraw/zinc/57/51/35/1056575135.db2.gz QHBCDKPRNOWYTD-UHFFFAOYSA-N 0 3 302.462 4.143 20 0 DIADHN CCN(Cc1ccccc1Cl)[C@@H](COC)Cc1ccccc1 ZINC001615812269 1056578984 /nfs/dbraw/zinc/57/89/84/1056578984.db2.gz OKUIOINCZQRHIW-GOSISDBHSA-N 0 3 317.860 4.420 20 0 DIADHN Fc1ccc(-c2cccc(-c3cc[nH]n3)c2)cc1CN1CCCC1 ZINC001240564708 1056580796 /nfs/dbraw/zinc/58/07/96/1056580796.db2.gz MIOMYBSHVFXRLC-UHFFFAOYSA-N 0 3 321.399 4.479 20 0 DIADHN CC(C)CC1CCN(C[C@H]2CCC[C@H](C(F)(F)F)O2)CC1 ZINC001615834059 1056585315 /nfs/dbraw/zinc/58/53/15/1056585315.db2.gz KLJUTXURNKBORT-HUUCEWRRSA-N 0 3 307.400 4.245 20 0 DIADHN CCNC(=O)Nc1ccc(-c2ccc(C3=NCCC3)cc2)cc1 ZINC001240578491 1056587940 /nfs/dbraw/zinc/58/79/40/1056587940.db2.gz OZUJTRTZXCIUDB-UHFFFAOYSA-N 0 3 307.397 4.078 20 0 DIADHN CCOC(=O)/C=C\CNC(CC)(CC)c1ccc(Cl)cc1 ZINC001615882671 1056590033 /nfs/dbraw/zinc/59/00/33/1056590033.db2.gz JQJOJCFKNOSADF-FPLPWBNLSA-N 0 3 309.837 4.064 20 0 DIADHN Cc1cccc(C(C)C)c1NC(=O)CN(C)C1CCCCC1 ZINC000007786602 1056593939 /nfs/dbraw/zinc/59/39/39/1056593939.db2.gz UUIICFGRSQWTMY-UHFFFAOYSA-N 0 3 302.462 4.321 20 0 DIADHN CCc1onc(C)c1CN1C[C@H]2CCCC[C@@]21c1ccccc1 ZINC001615910097 1056595683 /nfs/dbraw/zinc/59/56/83/1056595683.db2.gz JMZKHFMUKKPRTO-YLJYHZDGSA-N 0 3 310.441 4.447 20 0 DIADHN CC(C)C[C@H](C)N(C)C(=O)CN[C@H](c1ccccc1)C(C)(C)C ZINC001615906598 1056595884 /nfs/dbraw/zinc/59/58/84/1056595884.db2.gz ABRZOKMJTNZVMX-QFBILLFUSA-N 0 3 318.505 4.256 20 0 DIADHN CCc1onc(C)c1CN1C[C@H]2CCCC[C@]21c1ccccc1 ZINC001615910096 1056595977 /nfs/dbraw/zinc/59/59/77/1056595977.db2.gz JMZKHFMUKKPRTO-XLIONFOSSA-N 0 3 310.441 4.447 20 0 DIADHN CCc1onc(C)c1CN1C[C@@H]2CCCC[C@@]21c1ccccc1 ZINC001615910094 1056596221 /nfs/dbraw/zinc/59/62/21/1056596221.db2.gz JMZKHFMUKKPRTO-FXAWDEMLSA-N 0 3 310.441 4.447 20 0 DIADHN Cc1ccc(F)cc1-c1ccc(C2(N3CCN(C)CC3)CC2)cc1 ZINC001240589335 1056597991 /nfs/dbraw/zinc/59/79/91/1056597991.db2.gz PDAIJWWMKFZTRL-UHFFFAOYSA-N 0 3 324.443 4.038 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)Nc1ccccc1C(C)(C)C ZINC000063618687 1056600390 /nfs/dbraw/zinc/60/03/90/1056600390.db2.gz MRJBNVDJFCGIBR-KRWDZBQOSA-N 0 3 302.462 4.043 20 0 DIADHN CCc1nc2c(s1)[C@@H](N(C)C[C@H]1CCC(C)(C)CO1)CCC2 ZINC001615960609 1056602554 /nfs/dbraw/zinc/60/25/54/1056602554.db2.gz JDDCDESNTOSTKQ-HIFRSBDPSA-N 0 3 322.518 4.220 20 0 DIADHN CCC[C@H]1[C@@H](C)CCCN1CC(=O)Nc1ccc(CC)cc1 ZINC001615988318 1056603155 /nfs/dbraw/zinc/60/31/55/1056603155.db2.gz BMKCTHPUUUZGBW-YJBOKZPZSA-N 0 3 302.462 4.088 20 0 DIADHN Clc1ccc(C2=CCN(CCc3ccns3)CC2)cc1 ZINC001616000076 1056605534 /nfs/dbraw/zinc/60/55/34/1056605534.db2.gz YGKXTORIPVIDLW-UHFFFAOYSA-N 0 3 304.846 4.128 20 0 DIADHN Cc1ccncc1[C@@H](C)NCc1ccc(Br)cc1C ZINC000678362179 1056606313 /nfs/dbraw/zinc/60/63/13/1056606313.db2.gz TTYGBZUHANULEO-CYBMUJFWSA-N 0 3 319.246 4.312 20 0 DIADHN CC(C)C[C@H]1CCCCN1Cc1cccc2c1OC(F)(F)O2 ZINC001616066722 1056610232 /nfs/dbraw/zinc/61/02/32/1056610232.db2.gz IVJFGNMSPNJIRD-CQSZACIVSA-N 0 3 311.372 4.409 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC[C@H]2CC(C)C)cc1 ZINC001616067949 1056611073 /nfs/dbraw/zinc/61/10/73/1056611073.db2.gz QXVVLZFEWLLGJI-SFHVURJKSA-N 0 3 302.462 4.088 20 0 DIADHN Nc1nc2cc(-c3ccc(-c4ccc(O)cc4)cc3)ccc2[nH]1 ZINC001240608703 1056614280 /nfs/dbraw/zinc/61/42/80/1056614280.db2.gz OQZBUZKSUHDFPB-UHFFFAOYSA-N 0 3 301.349 4.185 20 0 DIADHN Nc1nc2ccc(-c3ccc(-c4ccc(O)cc4)cc3)cc2[nH]1 ZINC001240608703 1056614285 /nfs/dbraw/zinc/61/42/85/1056614285.db2.gz OQZBUZKSUHDFPB-UHFFFAOYSA-N 0 3 301.349 4.185 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N(Cc2nc(-c3ccoc3)no2)C1 ZINC000076385750 1056622627 /nfs/dbraw/zinc/62/26/27/1056622627.db2.gz POFBODAYHBUSLU-GOEBONIOSA-N 0 3 323.396 4.016 20 0 DIADHN Cc1ccccc1[C@@H]1C[C@H](C)N([C@@H](C)c2nc(C(C)C)no2)C1 ZINC000076387473 1056624877 /nfs/dbraw/zinc/62/48/77/1056624877.db2.gz MAYKGFQPWRZLFN-HRCADAONSA-N 0 3 313.445 4.440 20 0 DIADHN FCCn1cc(CN[C@H](CC2CCCC2)c2ccccc2)cn1 ZINC000921926150 1056627683 /nfs/dbraw/zinc/62/76/83/1056627683.db2.gz XZMLAAUZBPQFAD-LJQANCHMSA-N 0 3 315.436 4.264 20 0 DIADHN CCCN1CCCC[C@H]1C(=O)NC1CCC2(CCCCC2)CC1 ZINC001616339702 1056636926 /nfs/dbraw/zinc/63/69/26/1056636926.db2.gz CQYIPYZMRILUEC-SFHVURJKSA-N 0 3 320.521 4.260 20 0 DIADHN CSc1ccccc1CN(C)CCOCc1ccccc1 ZINC001616346111 1056637103 /nfs/dbraw/zinc/63/71/03/1056637103.db2.gz HGOBDVSKDDHBRR-UHFFFAOYSA-N 0 3 301.455 4.057 20 0 DIADHN Cc1ccc2ncc(CN(C)CCOCc3ccccc3)cc2c1 ZINC001616347300 1056638575 /nfs/dbraw/zinc/63/85/75/1056638575.db2.gz POVPTCICCHRULF-UHFFFAOYSA-N 0 3 320.436 4.192 20 0 DIADHN CCCCCC[C@H](C)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001128189464 1056648301 /nfs/dbraw/zinc/64/83/01/1056648301.db2.gz MVFMOAUQJNVMOC-JENIJYKNSA-N 0 3 318.505 4.401 20 0 DIADHN Cc1cccc(C(C)(C)NCc2cnn(-c3ccccc3)c2)c1 ZINC000076764326 1056654273 /nfs/dbraw/zinc/65/42/73/1056654273.db2.gz YSJPTEAKPLWTCY-UHFFFAOYSA-N 0 3 305.425 4.206 20 0 DIADHN COc1ncccc1CN1CCCC[C@H]1CCCc1ccccc1 ZINC001616506285 1056666350 /nfs/dbraw/zinc/66/63/50/1056666350.db2.gz RGKYCBWOZZTBRC-FQEVSTJZSA-N 0 3 324.468 4.468 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CC[C@@H](C(C)(C)C)C2)cc1 ZINC001616549445 1056676116 /nfs/dbraw/zinc/67/61/16/1056676116.db2.gz REGOWOJSUAXPJA-MRXNPFEDSA-N 0 3 316.489 4.291 20 0 DIADHN CC(C)CSCCCN(C)Cc1cc(F)c(F)c(F)c1 ZINC001616592620 1056682431 /nfs/dbraw/zinc/68/24/31/1056682431.db2.gz JDMVDLOWOCKASP-UHFFFAOYSA-N 0 3 305.409 4.315 20 0 DIADHN CCc1cnccc1[C@H](C)NCc1ccc(CSC)cc1 ZINC000921962754 1056686204 /nfs/dbraw/zinc/68/62/04/1056686204.db2.gz BEIGJCJGNFCSAE-AWEZNQCLSA-N 0 3 300.471 4.358 20 0 DIADHN CC[C@H](NCc1nc(-c2ccccc2F)no1)C1CCCCC1 ZINC001616637123 1056692466 /nfs/dbraw/zinc/69/24/66/1056692466.db2.gz RZGUTTQDYOQGST-INIZCTEOSA-N 0 3 317.408 4.324 20 0 DIADHN COc1ccc(CN(C)Cc2c(C)cc(C)cc2C)cc1OC ZINC000064949906 1056693079 /nfs/dbraw/zinc/69/30/79/1056693079.db2.gz PTPJVPQNBLNNIJ-UHFFFAOYSA-N 0 3 313.441 4.261 20 0 DIADHN Cc1cccnc1CN[C@@H](COc1ccccc1F)C(C)(C)C ZINC001616642803 1056693514 /nfs/dbraw/zinc/69/35/14/1056693514.db2.gz BDTLOPZRHCRGEF-SFHVURJKSA-N 0 3 316.420 4.112 20 0 DIADHN CCCC[C@H](CC)CN1CCN(CC(F)(F)F)CC1(C)C ZINC001616678977 1056699617 /nfs/dbraw/zinc/69/96/17/1056699617.db2.gz ZJSOSKVPDMEDTB-AWEZNQCLSA-N 0 3 308.432 4.161 20 0 DIADHN Cc1cc(Br)cc2c1CN(CCC1CCC1)CC2 ZINC001616678767 1056700213 /nfs/dbraw/zinc/70/02/13/1056700213.db2.gz XRRMQWRCQDJDIX-UHFFFAOYSA-N 0 3 308.263 4.306 20 0 DIADHN COc1ccc(F)cc1[C@@H](C)NC[C@H]1CCCCC1(F)F ZINC001616690790 1056701613 /nfs/dbraw/zinc/70/16/13/1056701613.db2.gz GSZGIXWYISNFLK-VXGBXAGGSA-N 0 3 301.352 4.310 20 0 DIADHN CC[C@@H](NC[C@H]1CCC2(CCC2)CO1)c1cc(F)ccc1F ZINC001616720402 1056707208 /nfs/dbraw/zinc/70/72/08/1056707208.db2.gz DCYNSUGAJKCCBA-RHSMWYFYSA-N 0 3 309.400 4.355 20 0 DIADHN CCCNC(=O)CN[C@H](c1cccc(Cl)c1)C1CCCCC1 ZINC001616746362 1056709846 /nfs/dbraw/zinc/70/98/46/1056709846.db2.gz UMLMRBKFRPZTSJ-SFHVURJKSA-N 0 3 322.880 4.077 20 0 DIADHN COc1ccc([C@@H](CCO)NCc2ccc3ccccc3c2)cc1 ZINC001616752910 1056712328 /nfs/dbraw/zinc/71/23/28/1056712328.db2.gz CFTSFNUUCZFUTL-OAQYLSRUSA-N 0 3 321.420 4.062 20 0 DIADHN CC(C)Oc1ccc(-c2ccc(CN3CCCC3)c(F)c2)cn1 ZINC001240810127 1056712257 /nfs/dbraw/zinc/71/22/57/1056712257.db2.gz NHSSHPLZONZRIP-UHFFFAOYSA-N 0 3 314.404 4.271 20 0 DIADHN CC[C@H](N[C@H](C)c1ccc(C)cc1)C(=O)Nc1ccc(C)cc1 ZINC001616753358 1056712461 /nfs/dbraw/zinc/71/24/61/1056712461.db2.gz INWZVJQVEXAZJM-APWZRJJASA-N 0 3 310.441 4.371 20 0 DIADHN C[C@H](NC[C@@H]1CCC2(CCC2)O1)c1ccccc1OC(F)F ZINC001616765142 1056713411 /nfs/dbraw/zinc/71/34/11/1056713411.db2.gz ZVPZGABVIFRZEB-STQMWFEESA-N 0 3 311.372 4.040 20 0 DIADHN Cc1ncccc1CN[C@H]1CCc2c1ccc(Cl)c2Cl ZINC000113346220 1056715584 /nfs/dbraw/zinc/71/55/84/1056715584.db2.gz GFRQCACXEMJEKO-HNNXBMFYSA-N 0 3 307.224 4.474 20 0 DIADHN C[C@@H](NC[C@@H]1CCC[C@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616807493 1056721443 /nfs/dbraw/zinc/72/14/43/1056721443.db2.gz JKVLVXDGNAHJKG-OLUVUFQESA-N 0 3 323.305 4.115 20 0 DIADHN C[C@@H](NC[C@@H]1CCC[C@@H](C(F)(F)F)O1)c1ccc(F)cc1F ZINC001616807494 1056722263 /nfs/dbraw/zinc/72/22/63/1056722263.db2.gz JKVLVXDGNAHJKG-PUYPPJJSSA-N 0 3 323.305 4.115 20 0 DIADHN CCc1nc2cc(CN[C@@H](C)c3ccncc3)ccc2n1C1CC1 ZINC000338786719 1056722730 /nfs/dbraw/zinc/72/27/30/1056722730.db2.gz CMXVBVNRPYEHBV-AWEZNQCLSA-N 0 3 320.440 4.179 20 0 DIADHN C[C@H]1Cc2cc(CNCc3ccc(C(F)F)cc3)ccc2O1 ZINC000921999682 1056723257 /nfs/dbraw/zinc/72/32/57/1056723257.db2.gz GFUDWVSOOAGWIE-LBPRGKRZSA-N 0 3 303.352 4.237 20 0 DIADHN Cc1cccc(C)c1OC[C@@H](NCc1ccccn1)C(C)(C)C ZINC001616823147 1056724625 /nfs/dbraw/zinc/72/46/25/1056724625.db2.gz FKXJOSUDIHBHGV-GOSISDBHSA-N 0 3 312.457 4.282 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnc3c(ccn3C)c2)cc1F ZINC001240845886 1056726270 /nfs/dbraw/zinc/72/62/70/1056726270.db2.gz OGQNBMRWXORIPV-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN CCN(CC)Cc1cc(-c2cnc3c(ccn3C)c2)ccc1F ZINC001240846247 1056727386 /nfs/dbraw/zinc/72/73/86/1056727386.db2.gz WGKHXLIPJHOWMX-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Cn1cc(CN[C@@H]2CCCc3sccc32)c(C2CCCC2)n1 ZINC000922003943 1056727330 /nfs/dbraw/zinc/72/73/30/1056727330.db2.gz LEOHGDNSXCEWLE-MRXNPFEDSA-N 0 3 315.486 4.306 20 0 DIADHN C[C@H](NC[C@]1(C)CC1(F)F)c1ccccc1Br ZINC000656689601 1056727642 /nfs/dbraw/zinc/72/76/42/1056727642.db2.gz RVSXBZPCJSDWNG-CABZTGNLSA-N 0 3 304.178 4.145 20 0 DIADHN CC[C@H](NCC(=O)Nc1cc(C)cc(C)c1)c1ccc(C)cc1 ZINC001616874501 1056731704 /nfs/dbraw/zinc/73/17/04/1056731704.db2.gz SEKSJVZPMBJUJT-IBGZPJMESA-N 0 3 310.441 4.291 20 0 DIADHN NCc1cc(-c2cc(C(F)(F)F)cc(C(F)(F)F)c2)ccn1 ZINC001240885291 1056740486 /nfs/dbraw/zinc/74/04/86/1056740486.db2.gz IHMCMJFKKDIWDH-UHFFFAOYSA-N 0 3 320.236 4.245 20 0 DIADHN FC(F)(F)[C@H]1CCN(CCSc2cccc(Cl)c2)C1 ZINC001616928247 1056741880 /nfs/dbraw/zinc/74/18/80/1056741880.db2.gz QRGQSUQOSLQRLE-JTQLQIEISA-N 0 3 309.784 4.316 20 0 DIADHN C[C@@H](Cc1cccs1)N[C@@H](C)c1nccn1-c1ccccc1 ZINC000672617278 1056741890 /nfs/dbraw/zinc/74/18/90/1056741890.db2.gz PZXKNBUSNKEMMJ-GJZGRUSLSA-N 0 3 311.454 4.216 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](CN(C)C)c2ccc(F)cc2)c1C ZINC000672616624 1056742793 /nfs/dbraw/zinc/74/27/93/1056742793.db2.gz KVDWWGCPVRSBDC-UZLBHIALSA-N 0 3 314.448 4.396 20 0 DIADHN CC(C)Oc1ccc([C@H](CN[C@@H](C)c2ccco2)N(C)C)cc1 ZINC000672617537 1056743175 /nfs/dbraw/zinc/74/31/75/1056743175.db2.gz RAFDATCFGSMYQT-YJBOKZPZSA-N 0 3 316.445 4.020 20 0 DIADHN Cc1cccc2c1CC[C@H]2N[C@H](CN(C)C)c1ccc(F)cc1 ZINC000672616717 1056744066 /nfs/dbraw/zinc/74/40/66/1056744066.db2.gz FGDHTDMLXBSRAF-WOJBJXKFSA-N 0 3 312.432 4.014 20 0 DIADHN C[C@@H](NC(=O)CC1CCCCCC1)[C@H](c1ccccc1)N(C)C ZINC001128297791 1056758665 /nfs/dbraw/zinc/75/86/65/1056758665.db2.gz AMRJWRQYEHSAMZ-OXQOHEQNSA-N 0 3 316.489 4.155 20 0 DIADHN CCN(CCC1CC1)CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001617013371 1056759262 /nfs/dbraw/zinc/75/92/62/1056759262.db2.gz AWLODGDXUWZGBK-KRWDZBQOSA-N 0 3 322.518 4.074 20 0 DIADHN CN(C)C[C@@H](N[C@@H]1CCCc2occc21)c1ccc(Cl)cc1 ZINC000338794295 1056776207 /nfs/dbraw/zinc/77/62/07/1056776207.db2.gz WSZOOOCXKQVSOT-IAGOWNOFSA-N 0 3 318.848 4.203 20 0 DIADHN CN1CCCC(C)(C)[C@@H]1CNC(=O)C1CC(C)(C)CC(C)(C)C1 ZINC001586782681 1056804951 /nfs/dbraw/zinc/80/49/51/1056804951.db2.gz DSYNOFLDDLHDPK-INIZCTEOSA-N 0 3 322.537 4.076 20 0 DIADHN CC(C)[C@@H]1CCCN(C[C@@H](O)c2ccccc2C(F)(F)F)C1 ZINC001617275217 1056805123 /nfs/dbraw/zinc/80/51/23/1056805123.db2.gz WFVHFCGDFLZNGJ-CZUORRHYSA-N 0 3 315.379 4.107 20 0 DIADHN CC(C)CN(C)[C@@H](C)C(=O)Nc1ccccc1Cc1ccccc1 ZINC000065961652 1056816827 /nfs/dbraw/zinc/81/68/27/1056816827.db2.gz LYMDTKKHEMDKEK-KRWDZBQOSA-N 0 3 324.468 4.192 20 0 DIADHN CCN(CC)Cc1ccc(-c2c(F)cccc2OC)cc1F ZINC001241014095 1056817874 /nfs/dbraw/zinc/81/78/74/1056817874.db2.gz SOAQZUKKYNQJLX-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN C[C@@H](CCOCc1ccccc1)NCc1ccsc1Cl ZINC000312640588 1056818906 /nfs/dbraw/zinc/81/89/06/1056818906.db2.gz HJMFLYBDJUOQQS-ZDUSSCGKSA-N 0 3 309.862 4.487 20 0 DIADHN CC[C@@H]1CCN(Cc2nc3cc(Br)ccc3o2)[C@H]1C ZINC001617360678 1056820194 /nfs/dbraw/zinc/82/01/94/1056820194.db2.gz OWUXSGDWRGUOJN-WDEREUQCSA-N 0 3 323.234 4.211 20 0 DIADHN Cc1nc(CN[C@H]2CCCO[C@H]2CC(C)C)sc1C(C)(C)C ZINC001617430250 1056833166 /nfs/dbraw/zinc/83/31/66/1056833166.db2.gz UKCRSAJTPWBSSE-GJZGRUSLSA-N 0 3 324.534 4.432 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C)CC2CCCC2)c(CN(C)C)c1 ZINC000114413646 1056836345 /nfs/dbraw/zinc/83/63/45/1056836345.db2.gz JBCLKVKDLYGUQW-OAHLLOKOSA-N 0 3 302.462 4.212 20 0 DIADHN COc1ccc(-c2c(F)ccc(F)c2F)cc1CN1CCCC1 ZINC001241049321 1056845432 /nfs/dbraw/zinc/84/54/32/1056845432.db2.gz TZTRFLLSLBPSKB-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1cc(-c2cccc(CN)n2)ccc1OCc1ccccc1 ZINC001241050997 1056846619 /nfs/dbraw/zinc/84/66/19/1056846619.db2.gz WBJHYWJYKOEFAQ-UHFFFAOYSA-N 0 3 304.393 4.095 20 0 DIADHN FC(F)(F)c1ccc(CCCN2CCC[C@H]2c2cc[nH]n2)cc1 ZINC001617555003 1056847836 /nfs/dbraw/zinc/84/78/36/1056847836.db2.gz OBCPGLWTONSCPZ-INIZCTEOSA-N 0 3 323.362 4.198 20 0 DIADHN CC[C@H]1Cc2c(Br)cccc2CN1CCCCF ZINC001617561946 1056849591 /nfs/dbraw/zinc/84/95/91/1056849591.db2.gz KQHWQXZTBKBVRR-ZDUSSCGKSA-N 0 3 314.242 4.336 20 0 DIADHN CC1(C)CCN(Cc2ccccc2C(F)(F)F)CCS1 ZINC001617628689 1056859388 /nfs/dbraw/zinc/85/93/88/1056859388.db2.gz SYVFJXXYXCLPDX-UHFFFAOYSA-N 0 3 303.393 4.423 20 0 DIADHN Cc1ccc(F)c(CN2CCCC[C@@H]2[C@@H]2CCCC[C@H]2O)c1F ZINC001617627649 1056860444 /nfs/dbraw/zinc/86/04/44/1056860444.db2.gz JCHXVOBJNZVRFI-BMGDILEWSA-N 0 3 323.427 4.179 20 0 DIADHN CCCN(C[C@@H]1CC[C@@H](c2ccccc2)C1)[C@@H](C)C(=O)OCC ZINC001617678555 1056868352 /nfs/dbraw/zinc/86/83/52/1056868352.db2.gz CKVBOIWHQIMHEL-YQVWRLOYSA-N 0 3 317.473 4.234 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN(C)[C@H]1CCC(C)(C)C1 ZINC001617686354 1056869085 /nfs/dbraw/zinc/86/90/85/1056869085.db2.gz CNHLZHNIESPGPQ-AWEZNQCLSA-N 0 3 308.853 4.097 20 0 DIADHN CC(C)(C)[C@@H]1CCCN(Cc2nnc(-c3ccccc3)o2)CC1 ZINC000078759342 1056874439 /nfs/dbraw/zinc/87/44/39/1056874439.db2.gz VZUWYFLDPMNDSO-MRXNPFEDSA-N 0 3 313.445 4.385 20 0 DIADHN CCC1(CC)[C@H](NCc2nc(C(C)(C)C)cs2)[C@H](C)[C@@H]1OC ZINC001617736109 1056876523 /nfs/dbraw/zinc/87/65/23/1056876523.db2.gz YAQYDLCABAXNDK-MAZHCROVSA-N 0 3 324.534 4.370 20 0 DIADHN COc1cc(C)c([C@@H](C)N2CCC[C@H](OC(F)F)C2)cc1C ZINC000682078597 1056888730 /nfs/dbraw/zinc/88/87/30/1056888730.db2.gz ZKZHJJZFLMGRMB-KGLIPLIRSA-N 0 3 313.388 4.077 20 0 DIADHN CN(C)C[C@H](NC(=O)CCC1CCCC1)c1ccc(Cl)cc1 ZINC001617818069 1056889229 /nfs/dbraw/zinc/88/92/29/1056889229.db2.gz LVYYAKHMLJXRSM-KRWDZBQOSA-N 0 3 322.880 4.029 20 0 DIADHN Fc1cc(F)c(F)c(-c2cnccc2CN2CCCCC2)c1 ZINC001241099763 1056892797 /nfs/dbraw/zinc/89/27/97/1056892797.db2.gz BZKLJUWFENDBMF-UHFFFAOYSA-N 0 3 306.331 4.152 20 0 DIADHN CN1CCc2ccc(-c3ccc(F)cc3C(F)(F)F)cc2C1 ZINC001241127656 1056912503 /nfs/dbraw/zinc/91/25/03/1056912503.db2.gz YNPRORNFPYWENL-UHFFFAOYSA-N 0 3 309.306 4.499 20 0 DIADHN CCOCc1ccc(CNCc2cccn2CCC(C)C)cc1 ZINC000161626444 1056919372 /nfs/dbraw/zinc/91/93/72/1056919372.db2.gz FOBQOJIRBLFBLD-UHFFFAOYSA-N 0 3 314.473 4.361 20 0 DIADHN CCOCCN1CCC(c2nc3cc(Cl)ccc3s2)CC1 ZINC000673259133 1056930645 /nfs/dbraw/zinc/93/06/45/1056930645.db2.gz GNOWQUSSZNCNCV-UHFFFAOYSA-N 0 3 324.877 4.166 20 0 DIADHN C[C@@H]1CCSCCN1Cc1c(Cl)ccc2cccnc21 ZINC000683000567 1056930744 /nfs/dbraw/zinc/93/07/44/1056930744.db2.gz DXMVHYKKJZAGNA-GFCCVEGCSA-N 0 3 306.862 4.216 20 0 DIADHN CC(C(=O)N(CCN1CCCC1)c1ccccc1)=C1CCCC1 ZINC001618187097 1056931195 /nfs/dbraw/zinc/93/11/95/1056931195.db2.gz GJIHNNDXQIRHMA-UHFFFAOYSA-N 0 3 312.457 4.006 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(C(F)(F)F)c1F ZINC001241158910 1056933853 /nfs/dbraw/zinc/93/38/53/1056933853.db2.gz GWAGCDODYXBBDL-VQHVLOKHSA-N 0 3 310.294 4.439 20 0 DIADHN CC(C)[C@H](NCc1cc(Cl)sc1Cl)C1(CO)CCC1 ZINC001618235485 1056938717 /nfs/dbraw/zinc/93/87/17/1056938717.db2.gz UBPIHPXNZRUJRB-LBPRGKRZSA-N 0 3 322.301 4.332 20 0 DIADHN C[C@@H]1C[C@H](CN2CCc3c(Cl)cc(F)cc3C2)C[C@H](C)O1 ZINC001618241534 1056938963 /nfs/dbraw/zinc/93/89/63/1056938963.db2.gz IKOMEMNAHHWUNH-ITGUQSILSA-N 0 3 311.828 4.041 20 0 DIADHN Fc1ccccc1OCCN[C@@H]1CCSc2c(F)cccc21 ZINC000357528363 1056939135 /nfs/dbraw/zinc/93/91/35/1056939135.db2.gz LJJVUMJKUFEKGY-OAHLLOKOSA-N 0 3 321.392 4.170 20 0 DIADHN C[C@@H]1CN(c2cccc(F)c2)CCN1CCCSC(C)(C)C ZINC001618243469 1056940735 /nfs/dbraw/zinc/94/07/35/1056940735.db2.gz YQBOWXGBINRVCQ-OAHLLOKOSA-N 0 3 324.509 4.258 20 0 DIADHN CC[C@@H](C)N[C@@H](CNC(=O)CC1CCCCCC1)c1ccco1 ZINC000673290981 1056941001 /nfs/dbraw/zinc/94/10/01/1056941001.db2.gz ZGQJTMFZIODUKG-WBVHZDCISA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@H](C)N[C@@H](CNC(=O)CC1CCCCCC1)c1ccco1 ZINC000673290980 1056941104 /nfs/dbraw/zinc/94/11/04/1056941104.db2.gz ZGQJTMFZIODUKG-RDJZCZTQSA-N 0 3 320.477 4.186 20 0 DIADHN CC[C@@H](C)N[C@H](CNC(=O)CC1CCCCCC1)c1ccco1 ZINC000673290979 1056941708 /nfs/dbraw/zinc/94/17/08/1056941708.db2.gz ZGQJTMFZIODUKG-NVXWUHKLSA-N 0 3 320.477 4.186 20 0 DIADHN Cc1ccc2[nH]c([C@H]3CCCN3CCc3ccccc3)nc2c1 ZINC001618258027 1056941761 /nfs/dbraw/zinc/94/17/61/1056941761.db2.gz SXCNRVRYDVRGLN-LJQANCHMSA-N 0 3 305.425 4.251 20 0 DIADHN Cc1ccc2nc([C@H]3CCCN3CCc3ccccc3)[nH]c2c1 ZINC001618258027 1056941769 /nfs/dbraw/zinc/94/17/69/1056941769.db2.gz SXCNRVRYDVRGLN-LJQANCHMSA-N 0 3 305.425 4.251 20 0 DIADHN CC1(C)CN([C@@H]2CCc3c2cccc3F)Cc2ccccc2O1 ZINC001618288732 1056946690 /nfs/dbraw/zinc/94/66/90/1056946690.db2.gz UJGBHCBBBUWKKV-GOSISDBHSA-N 0 3 311.400 4.486 20 0 DIADHN COc1ccc(-c2ccccc2C(C)=O)cc1CN1CCCC1 ZINC001241208001 1056958766 /nfs/dbraw/zinc/95/87/66/1056958766.db2.gz IPAURQCRKRQWHQ-UHFFFAOYSA-N 0 3 309.409 4.161 20 0 DIADHN Cc1ccc(NC(=O)C[C@H](C)c2ccccc2C)c(CN(C)C)c1 ZINC000116202358 1056968275 /nfs/dbraw/zinc/96/82/75/1056968275.db2.gz ADQABDJJYBLMDV-KRWDZBQOSA-N 0 3 324.468 4.497 20 0 DIADHN CC[C@@H](Cc1ccccc1)N(C)Cc1nnc(-c2ccccc2)o1 ZINC000172929734 1056979951 /nfs/dbraw/zinc/97/99/51/1056979951.db2.gz WUPDNHLYQOFNHH-SFHVURJKSA-N 0 3 321.424 4.190 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2cc([O-])cc(F)c2)ccc1OC ZINC001241280313 1056994677 /nfs/dbraw/zinc/99/46/77/1056994677.db2.gz JSMVEYGDSJYUSO-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN CC[C@@H](NCc1cccc2[nH]c(=O)oc21)c1cc(C)ccc1C ZINC000922293059 1056999313 /nfs/dbraw/zinc/99/93/13/1056999313.db2.gz DPWZWZNBNQSAJP-MRXNPFEDSA-N 0 3 310.397 4.391 20 0 DIADHN Cc1cc(C)cc(CNCc2c(C3CC3)nc3sccn23)c1 ZINC000657626210 1057001510 /nfs/dbraw/zinc/00/15/10/1057001510.db2.gz RZNBNYSLXZHREO-UHFFFAOYSA-N 0 3 311.454 4.180 20 0 DIADHN Cc1ccccc1[C@@H](CC(C)C)NCC(=O)NCCC(C)(C)C ZINC001168722043 1057001827 /nfs/dbraw/zinc/00/18/27/1057001827.db2.gz BZVXXALKLLPOSD-GOSISDBHSA-N 0 3 318.505 4.224 20 0 DIADHN Cc1cccc([C@@H](NCc2cccc3[nH]c(=O)oc32)C(C)C)c1 ZINC000922294450 1057001920 /nfs/dbraw/zinc/00/19/20/1057001920.db2.gz XFYVYQVPHWTKKN-KRWDZBQOSA-N 0 3 310.397 4.329 20 0 DIADHN CC(C)(C)c1cccc(-c2cncc([C@@H]3CN4CCC3CC4)n2)c1 ZINC001241300531 1057003380 /nfs/dbraw/zinc/00/33/80/1057003380.db2.gz ARHXKQWUDQUOHA-GOSISDBHSA-N 0 3 321.468 4.250 20 0 DIADHN CN1CC=C(c2nccc3cc(-c4ccc(F)cc4)[nH]c32)CC1 ZINC001241312477 1057009459 /nfs/dbraw/zinc/00/94/59/1057009459.db2.gz BLXOPDNKXSQFOC-UHFFFAOYSA-N 0 3 307.372 4.088 20 0 DIADHN Cc1ccc2nc(C3=CCN(C)CC3)nc(-c3ccccc3)c2c1 ZINC001241313168 1057009951 /nfs/dbraw/zinc/00/99/51/1057009951.db2.gz VBLUVJQFDCXSAD-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN COc1cccc(CNCc2cc(C)c3ncccc3c2)c1C ZINC000657804186 1057014793 /nfs/dbraw/zinc/01/47/93/1057014793.db2.gz GVKOJULIOMFITM-UHFFFAOYSA-N 0 3 306.409 4.150 20 0 DIADHN c1ccc(N2CC=C(c3cc(N4CCCCC4)ccn3)CC2)cc1 ZINC001241345650 1057020679 /nfs/dbraw/zinc/02/06/79/1057020679.db2.gz JKLSTYGZTBBXRW-UHFFFAOYSA-N 0 3 319.452 4.366 20 0 DIADHN c1oc(-c2ccccc2)nc1CNCC1C[C@H]2CC[C@@H](C1)S2 ZINC000922422039 1057022771 /nfs/dbraw/zinc/02/27/71/1057022771.db2.gz DIMDWVLOQJEPDO-FUCXHRTASA-N 0 3 314.454 4.105 20 0 DIADHN CCc1cc(CN[C@@H](C)c2cccc(-c3ccccc3)c2)n[nH]1 ZINC000765991677 1057028103 /nfs/dbraw/zinc/02/81/03/1057028103.db2.gz FRMMUXUEQDJVHF-HNNXBMFYSA-N 0 3 305.425 4.490 20 0 DIADHN CCc1cc(CN[C@@H](C)c2cccc(-c3ccccc3)c2)[nH]n1 ZINC000765991677 1057028104 /nfs/dbraw/zinc/02/81/04/1057028104.db2.gz FRMMUXUEQDJVHF-HNNXBMFYSA-N 0 3 305.425 4.490 20 0 DIADHN CCCOc1cccc(CNCc2c[nH]c(=O)c3ccccc23)c1 ZINC000922494802 1057028322 /nfs/dbraw/zinc/02/83/22/1057028322.db2.gz CHHTYABBFHAGBR-UHFFFAOYSA-N 0 3 322.408 4.019 20 0 DIADHN CC(C)c1ccc(CNCc2c[nH]c(=O)c3ccccc23)cc1 ZINC000922498803 1057028410 /nfs/dbraw/zinc/02/84/10/1057028410.db2.gz VMUOIVXQRRWWFX-UHFFFAOYSA-N 0 3 306.409 4.354 20 0 DIADHN CC(C)c1ccccc1CNCc1c[nH]c(=O)c2ccccc12 ZINC000922494010 1057028646 /nfs/dbraw/zinc/02/86/46/1057028646.db2.gz RRZPYNBOXKDCKS-UHFFFAOYSA-N 0 3 306.409 4.354 20 0 DIADHN COc1ccc2cc(CN[C@H](c3ccccn3)C3CC3)ccc2c1 ZINC000922586675 1057037742 /nfs/dbraw/zinc/03/77/42/1057037742.db2.gz ZLEQJNMIMUGSGX-NRFANRHFSA-N 0 3 318.420 4.484 20 0 DIADHN Fc1c(F)c(F)c(-c2ccc(CN3CCC3)cc2)c(F)c1F ZINC001241408406 1057044145 /nfs/dbraw/zinc/04/41/45/1057044145.db2.gz BSEHPKWEJUWAQW-UHFFFAOYSA-N 0 3 313.269 4.255 20 0 DIADHN COc1cc(-c2ccc(CN3CCCC3)c(F)c2)ccc1F ZINC001241420464 1057046920 /nfs/dbraw/zinc/04/69/20/1057046920.db2.gz NTNSJKBWHOHLES-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCC3)c2)ccc1F ZINC001241420457 1057046944 /nfs/dbraw/zinc/04/69/44/1057046944.db2.gz NMPYIHFFYDWXPP-UHFFFAOYSA-N 0 3 303.352 4.236 20 0 DIADHN CCc1cc(CN[C@@H](CCC(C)C)c2ccc(OC)cc2)n[nH]1 ZINC000765995335 1057047186 /nfs/dbraw/zinc/04/71/86/1057047186.db2.gz UOCIUOQYXPQXTD-IBGZPJMESA-N 0 3 315.461 4.248 20 0 DIADHN CCc1cc(CN[C@@H](CCC(C)C)c2ccc(OC)cc2)[nH]n1 ZINC000765995335 1057047188 /nfs/dbraw/zinc/04/71/88/1057047188.db2.gz UOCIUOQYXPQXTD-IBGZPJMESA-N 0 3 315.461 4.248 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4c(cnn4C)c3)cc21 ZINC001241440794 1057049977 /nfs/dbraw/zinc/04/99/77/1057049977.db2.gz YQPRDSHLDTUVDJ-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN C[C@@H](NCCOc1cccnc1)c1ccc(-c2ccccc2)o1 ZINC000765998783 1057050959 /nfs/dbraw/zinc/05/09/59/1057050959.db2.gz OBUSDRKZIFNNAM-OAHLLOKOSA-N 0 3 308.381 4.071 20 0 DIADHN CCc1ccc2ccccc2c1CNCc1cnc(C2CC2)nc1 ZINC000922714635 1057051390 /nfs/dbraw/zinc/05/13/90/1057051390.db2.gz DUYZPHGAGOBTRE-UHFFFAOYSA-N 0 3 317.436 4.359 20 0 DIADHN C[C@H](NCCOc1cccnc1)c1ccc(Cl)cc1Cl ZINC000765997606 1057051521 /nfs/dbraw/zinc/05/15/21/1057051521.db2.gz KGEJATOAVWCUII-NSHDSACASA-N 0 3 311.212 4.118 20 0 DIADHN C[C@@H](NCCc1nsc2ccccc12)c1cccc(F)c1F ZINC001168734075 1057053379 /nfs/dbraw/zinc/05/33/79/1057053379.db2.gz QWVKRDHHSJRBOD-LLVKDONJSA-N 0 3 318.392 4.468 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3cccc4cn(C)nc43)cc21 ZINC001241470407 1057057955 /nfs/dbraw/zinc/05/79/55/1057057955.db2.gz DIJOWTVGDIQRAE-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN Cc1cnc(Cl)c(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)c1 ZINC000922772220 1057058841 /nfs/dbraw/zinc/05/88/41/1057058841.db2.gz MEOUAUXLSVXZGV-HUUCEWRRSA-N 0 3 322.786 4.182 20 0 DIADHN CCCc1ccc([C@H](C)NCc2ccc([S@@](C)=O)cc2)cc1 ZINC000155714312 1057060402 /nfs/dbraw/zinc/06/04/02/1057060402.db2.gz GKUQZXFXOSTFSW-NYHFZMIOSA-N 0 3 315.482 4.227 20 0 DIADHN CCc1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)[nH]n1 ZINC000766000064 1057062160 /nfs/dbraw/zinc/06/21/60/1057062160.db2.gz CZWSSBQMXVCRMI-NRFANRHFSA-N 0 3 319.452 4.436 20 0 DIADHN CCc1cc(CN[C@@H](CCc2ccccc2)c2ccccc2)n[nH]1 ZINC000766000064 1057062165 /nfs/dbraw/zinc/06/21/65/1057062165.db2.gz CZWSSBQMXVCRMI-NRFANRHFSA-N 0 3 319.452 4.436 20 0 DIADHN FC(F)(F)c1cccc(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501609 1057070335 /nfs/dbraw/zinc/07/03/35/1057070335.db2.gz OTLYZRXPSAFBTK-UHFFFAOYSA-N 0 3 318.342 4.390 20 0 DIADHN Cc1nc2ccccc2c(C2=CCN(Cc3ccccc3)CC2)n1 ZINC001241501910 1057070677 /nfs/dbraw/zinc/07/06/77/1057070677.db2.gz ZFLVRLHZPNZZJH-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN Cc1nsc2nc(C3=CCN(Cc4ccccc4)CC3)ccc12 ZINC001241502397 1057070843 /nfs/dbraw/zinc/07/08/43/1057070843.db2.gz VSONIHCCZUOCLC-UHFFFAOYSA-N 0 3 321.449 4.289 20 0 DIADHN Cc1cc2ccncc2nc1C1=CCN(Cc2ccccc2)CC1 ZINC001241503344 1057073186 /nfs/dbraw/zinc/07/31/86/1057073186.db2.gz OZIGJQOJTFTRKM-UHFFFAOYSA-N 0 3 315.420 4.228 20 0 DIADHN c1ccc(CN2CC=C(c3ncnc4c3CCCCC4)CC2)cc1 ZINC001241503498 1057073649 /nfs/dbraw/zinc/07/36/49/1057073649.db2.gz UEKYVRAYTGANLC-UHFFFAOYSA-N 0 3 319.452 4.035 20 0 DIADHN OCc1cccc(CN[C@H]2CCSc3c(Cl)cccc32)c1 ZINC000361173831 1057075466 /nfs/dbraw/zinc/07/54/66/1057075466.db2.gz MZNYXZYSZIEZQX-INIZCTEOSA-N 0 3 319.857 4.159 20 0 DIADHN CC(C)Oc1cncc(C2=CCN(Cc3ccccc3)CC2)c1 ZINC001241506407 1057076448 /nfs/dbraw/zinc/07/64/48/1057076448.db2.gz FFXFJNZYWNQJGM-UHFFFAOYSA-N 0 3 308.425 4.158 20 0 DIADHN Cc1ccc(O)c([C@@H](C)NCc2cc(Cl)cc3c2OCC3)c1 ZINC000186613801 1057079889 /nfs/dbraw/zinc/07/98/89/1057079889.db2.gz JVGCDJKTKLYQFH-GFCCVEGCSA-N 0 3 317.816 4.140 20 0 DIADHN COc1ccc([C@@H](NCc2cc(C)ns2)C2CCC2)cc1 ZINC000922887615 1057088732 /nfs/dbraw/zinc/08/87/32/1057088732.db2.gz ORDKNTTYYWMLTN-KRWDZBQOSA-N 0 3 302.443 4.091 20 0 DIADHN CN1CCC=C(c2nc(-c3ccccc3)nc3ccccc32)C1 ZINC001241566084 1057093133 /nfs/dbraw/zinc/09/31/33/1057093133.db2.gz ULFMWIZTKOJVDD-UHFFFAOYSA-N 0 3 301.393 4.016 20 0 DIADHN Cc1ccc2nc(C3=CCCN(C)C3)nc(-c3ccccc3)c2c1 ZINC001241568012 1057093149 /nfs/dbraw/zinc/09/31/49/1057093149.db2.gz KROXBKUZJUDQSQ-UHFFFAOYSA-N 0 3 315.420 4.324 20 0 DIADHN COc1ccc([C@@H](NCc2cncn2C(C)C)C2CCC2)cc1 ZINC000922889974 1057094776 /nfs/dbraw/zinc/09/47/76/1057094776.db2.gz XDNGJWRZQSEAOV-IBGZPJMESA-N 0 3 313.445 4.104 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc3nn(C)cc32)c1F ZINC001241585529 1057098798 /nfs/dbraw/zinc/09/87/98/1057098798.db2.gz WGUVIDJJWBZZGA-UHFFFAOYSA-N 0 3 311.404 4.221 20 0 DIADHN Fc1cccc2c1SCC[C@H]2NCCOCc1ccccc1 ZINC000361971332 1057104637 /nfs/dbraw/zinc/10/46/37/1057104637.db2.gz LMOTYOZWPAAHAV-QGZVFWFLSA-N 0 3 317.429 4.169 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3ccc4cn(C)nc4c3)cc21 ZINC001241603495 1057106770 /nfs/dbraw/zinc/10/67/70/1057106770.db2.gz XFXNNWMRBYNWAH-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CCO[C@@H](CN1CCCC[C@@H]1c1nc2ccccc2o1)C1CC1 ZINC000625260420 1057109011 /nfs/dbraw/zinc/10/90/11/1057109011.db2.gz RUGOHQIYQXHGPL-AEFFLSMTSA-N 0 3 314.429 4.170 20 0 DIADHN CSc1ccc(-c2ccc(F)c(CN3CCCC3)c2)cn1 ZINC001241636514 1057117636 /nfs/dbraw/zinc/11/76/36/1057117636.db2.gz AHVSIWXPUVVZME-UHFFFAOYSA-N 0 3 302.418 4.205 20 0 DIADHN Cc1cc(C)c(NC(=O)CCCN(C)Cc2ccoc2)c(C)c1 ZINC000362228341 1057125751 /nfs/dbraw/zinc/12/57/51/1057125751.db2.gz JAWDTMNYARUXFY-UHFFFAOYSA-N 0 3 314.429 4.056 20 0 DIADHN CCCc1ccc(-c2cc(OC3CCN(C)CC3)ccn2)cc1 ZINC001241689247 1057137155 /nfs/dbraw/zinc/13/71/55/1057137155.db2.gz NWBWLPUNEKYOKV-UHFFFAOYSA-N 0 3 310.441 4.174 20 0 DIADHN CSc1ccccc1[C@H](C)N[C@H](C)c1cccc(CO)c1 ZINC000282453490 1057139967 /nfs/dbraw/zinc/13/99/67/1057139967.db2.gz VERFKMGUJXCVOY-KGLIPLIRSA-N 0 3 301.455 4.313 20 0 DIADHN CCOc1ccc(-c2cccc(CN(CC)CC)c2F)cn1 ZINC001241707012 1057143858 /nfs/dbraw/zinc/14/38/58/1057143858.db2.gz LEGSTFJOWYVIEQ-UHFFFAOYSA-N 0 3 302.393 4.128 20 0 DIADHN CCc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)ncc32)s1 ZINC000766041725 1057146701 /nfs/dbraw/zinc/14/67/01/1057146701.db2.gz AWWQHWDRXLSASQ-XHDPSFHLSA-N 0 3 301.459 4.137 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnn(C3CCC3)c2)cc1F ZINC001241725165 1057148101 /nfs/dbraw/zinc/14/81/01/1057148101.db2.gz ZHHXZROLFGMFMN-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN CCCc1ccc([C@H](C)N[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000766041328 1057148389 /nfs/dbraw/zinc/14/83/89/1057148389.db2.gz BHFOXMFAYZDPMB-IFXJQAMLSA-N 0 3 309.457 4.466 20 0 DIADHN CCN1CCN(c2ccc(-c3nccc4sccc43)cc2)CC1 ZINC001241737271 1057152508 /nfs/dbraw/zinc/15/25/08/1057152508.db2.gz FKGBZILMWINITD-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN Cc1cc(C)c([C@@H](C)N[C@H]2CCCc3nc(C)ncc32)cc1C ZINC000766041874 1057153739 /nfs/dbraw/zinc/15/37/39/1057153739.db2.gz BSBLDHRNCBLQSF-BEFAXECRSA-N 0 3 309.457 4.438 20 0 DIADHN CCN1CCN(c2ccc(-c3cc4[nH]ccc4cc3F)cc2)CC1 ZINC001241742840 1057153883 /nfs/dbraw/zinc/15/38/83/1057153883.db2.gz INAVXDCOXLMUEW-UHFFFAOYSA-N 0 3 323.415 4.116 20 0 DIADHN CCN1CCN(c2ccc(-c3ccc4scnc4c3)cc2)CC1 ZINC001241743501 1057154331 /nfs/dbraw/zinc/15/43/31/1057154331.db2.gz MKGXVYWZOUWYED-UHFFFAOYSA-N 0 3 323.465 4.105 20 0 DIADHN Cc1cc(Br)cc([C@@H](C)[NH2+]Cc2ccccc2)c1[O-] ZINC000186918678 1057155702 /nfs/dbraw/zinc/15/57/02/1057155702.db2.gz MBEKSXZVRNVTHL-GFCCVEGCSA-N 0 3 320.230 4.314 20 0 DIADHN Fc1c(CN2CCCC2)cccc1-c1cnn(-c2ccccc2)c1 ZINC001241757139 1057158613 /nfs/dbraw/zinc/15/86/13/1057158613.db2.gz XWVHLBQBCUMSDB-UHFFFAOYSA-N 0 3 321.399 4.274 20 0 DIADHN CCN(CC)Cc1cc(-c2cnc(OC)cc2C)ccc1F ZINC001241776902 1057162334 /nfs/dbraw/zinc/16/23/34/1057162334.db2.gz YQQQQQOAVWNHOX-UHFFFAOYSA-N 0 3 302.393 4.047 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCCC2 ZINC000766045102 1057163958 /nfs/dbraw/zinc/16/39/58/1057163958.db2.gz PLBDBTQLYSVEDG-VBKZILBWSA-N 0 3 321.468 4.392 20 0 DIADHN CCc1ccc([C@@H](CC)N[C@@H]2CCCc3nc(C)ncc32)cc1 ZINC000766045078 1057164464 /nfs/dbraw/zinc/16/44/64/1057164464.db2.gz RGVZFFGKAFFTTK-UYAOXDASSA-N 0 3 309.457 4.466 20 0 DIADHN Cc1ncc2c(n1)CCC[C@H]2N[C@@H](C)c1ccc2c(c1)CCC2 ZINC000766045660 1057165835 /nfs/dbraw/zinc/16/58/35/1057165835.db2.gz UHBCLKCCBXKTRC-ORAYPTAESA-N 0 3 307.441 4.002 20 0 DIADHN COc1ccc(-c2ccc(-c3ccccc3)nc2)cc1CN(C)C ZINC001241794868 1057167824 /nfs/dbraw/zinc/16/78/24/1057167824.db2.gz XIVTTZFDHNBBCG-UHFFFAOYSA-N 0 3 318.420 4.486 20 0 DIADHN Cc1cc([C@H](C)N[C@H]2CCCc3nc(C)ncc32)c(C)s1 ZINC000766046284 1057171059 /nfs/dbraw/zinc/17/10/59/1057171059.db2.gz XGYBSWBJRJUXMU-ZBEGNZNMSA-N 0 3 301.459 4.192 20 0 DIADHN Cc1cc([C@@H](C)N[C@H]2CCCc3nc(C)ncc32)c(C)s1 ZINC000766046281 1057171633 /nfs/dbraw/zinc/17/16/33/1057171633.db2.gz XGYBSWBJRJUXMU-BZNIZROVSA-N 0 3 301.459 4.192 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)C[C@@H]1CCc2ccccc21 ZINC000674002028 1057173899 /nfs/dbraw/zinc/17/38/99/1057173899.db2.gz AIYPOPDMJKJXSK-SFHVURJKSA-N 0 3 322.452 4.115 20 0 DIADHN C[C@@H](NC(=O)[C@H](C)N1C2CCC1CC2)c1cccc2ccccc21 ZINC000674006111 1057175470 /nfs/dbraw/zinc/17/54/70/1057175470.db2.gz LVUDCXDKSWRGPS-WWXIPJKBSA-N 0 3 322.452 4.032 20 0 DIADHN COCCC1CCN(Cc2cc(C(C)C)ccc2OC)CC1 ZINC000625632108 1057178727 /nfs/dbraw/zinc/17/87/27/1057178727.db2.gz RMQBGHJFNBUDMW-UHFFFAOYSA-N 0 3 305.462 4.067 20 0 DIADHN CCOC(=O)Cc1ccc(Nc2ccnc(C3CCC3)c2)cc1 ZINC001212518767 1057186824 /nfs/dbraw/zinc/18/68/24/1057186824.db2.gz YAHKARNJQZMDMZ-UHFFFAOYSA-N 0 3 310.397 4.198 20 0 DIADHN c1cncc(-c2ccc(-c3cc(N4CCCC4)ccn3)cc2)c1 ZINC001241843519 1057187072 /nfs/dbraw/zinc/18/70/72/1057187072.db2.gz MCMJSPKNUOHAAW-UHFFFAOYSA-N 0 3 301.393 4.411 20 0 DIADHN FC(F)(F)[C@H]1CCCC[C@H]1CNCc1csc(C2CC2)n1 ZINC000674045090 1057188258 /nfs/dbraw/zinc/18/82/58/1057188258.db2.gz FBJUPQIUYXKGRQ-AAEUAGOBSA-N 0 3 318.408 4.479 20 0 DIADHN CCc1nc(CNC[C@@H]2CCCC[C@H]2C(F)(F)F)cs1 ZINC000674045137 1057190340 /nfs/dbraw/zinc/19/03/40/1057190340.db2.gz GDFKDBLHQIPWRV-CMPLNLGQSA-N 0 3 306.397 4.164 20 0 DIADHN c1ccc(CCC[C@H](NCc2cncnc2)c2ccccc2)cc1 ZINC000171131513 1057212662 /nfs/dbraw/zinc/21/26/62/1057212662.db2.gz SAWQYIQCWKYQSH-NRFANRHFSA-N 0 3 317.436 4.330 20 0 DIADHN C[C@@H](N[C@H]1CCCn2nccc21)c1ccc(F)c2ccccc21 ZINC000658014488 1057223307 /nfs/dbraw/zinc/22/33/07/1057223307.db2.gz VQUFHDMQFRFRIQ-ACJLOTCBSA-N 0 3 309.388 4.361 20 0 DIADHN CCn1ccnc1CN[C@@H](C)C(c1ccccc1)c1ccccc1 ZINC000171378310 1057226101 /nfs/dbraw/zinc/22/61/01/1057226101.db2.gz YSMUKPLENVZVIB-KRWDZBQOSA-N 0 3 319.452 4.213 20 0 DIADHN FC(F)(F)CO[C@H]1CCCN(Cc2ccccc2C2CC2)C1 ZINC000674334397 1057232432 /nfs/dbraw/zinc/23/24/32/1057232432.db2.gz HKQMXVPVDLSXGQ-HNNXBMFYSA-N 0 3 313.363 4.107 20 0 DIADHN CC(C)(NCc1ccccc1C1CC1)C(=O)Nc1ccccc1 ZINC000674334576 1057232440 /nfs/dbraw/zinc/23/24/40/1057232440.db2.gz XQWZDYPQCICENA-UHFFFAOYSA-N 0 3 308.425 4.071 20 0 DIADHN CCN1CC=C(c2cnc(-c3cc(C)nc(C)c3)c(C)c2)CC1 ZINC001241991183 1057232884 /nfs/dbraw/zinc/23/28/84/1057232884.db2.gz SZURKEVNHUGIEQ-UHFFFAOYSA-N 0 3 307.441 4.178 20 0 DIADHN Cc1cccn2cc(CN3CC[C@H](c4ccccc4)[C@H]3C)nc12 ZINC000674375190 1057236720 /nfs/dbraw/zinc/23/67/20/1057236720.db2.gz AZPGRKBVFUUUOA-APWZRJJASA-N 0 3 305.425 4.021 20 0 DIADHN CCCCC[C@@H](C(=O)NC[C@H]1N(C)CCCC1(C)C)C(C)C ZINC001128745952 1057253891 /nfs/dbraw/zinc/25/38/91/1057253891.db2.gz RLZFZDCWCHIJAM-IAGOWNOFSA-N 0 3 310.526 4.076 20 0 DIADHN O=c1ccc(-c2ccc(C(F)(F)F)cc2CN2CCCC2)c[nH]1 ZINC001242066848 1057255429 /nfs/dbraw/zinc/25/54/29/1057255429.db2.gz XBNUCWZFBVUROU-UHFFFAOYSA-N 0 3 322.330 4.069 20 0 DIADHN O[C@@H](CNCc1cc(Cl)cs1)c1c(F)cccc1Cl ZINC000785473290 1057265915 /nfs/dbraw/zinc/26/59/15/1057265915.db2.gz CKLATCLIPVVZGF-LBPRGKRZSA-N 0 3 320.216 4.017 20 0 DIADHN c1cn2c(n1)[C@@H](NCCc1ccc(C3CCCCC3)cc1)CC2 ZINC000658098551 1057266101 /nfs/dbraw/zinc/26/61/01/1057266101.db2.gz RPEKKGHHDPFJJX-IBGZPJMESA-N 0 3 309.457 4.208 20 0 DIADHN Cc1cc2c(c(C)c1)[C@@H](NCc1cccnc1N1CCCC1)CC2 ZINC000658106533 1057269394 /nfs/dbraw/zinc/26/93/94/1057269394.db2.gz QKLISBIIZJLYBD-IBGZPJMESA-N 0 3 321.468 4.076 20 0 DIADHN CC[C@H](NCc1cccnc1N1CCCC1)c1cccc(C)c1 ZINC000658107444 1057269926 /nfs/dbraw/zinc/26/99/26/1057269926.db2.gz VVHWWMBDZPWMQE-IBGZPJMESA-N 0 3 309.457 4.231 20 0 DIADHN Cc1ccc(-c2nc(CNCC3Cc4ccccc4C3)co2)cc1 ZINC000674718162 1057276648 /nfs/dbraw/zinc/27/66/48/1057276648.db2.gz YVCZSQOSTSZWCY-UHFFFAOYSA-N 0 3 318.420 4.155 20 0 DIADHN CN1CCc2ccc(-c3cc(C(F)(F)F)ccc3O)cc2C1 ZINC001242148376 1057279919 /nfs/dbraw/zinc/27/99/19/1057279919.db2.gz WBHRMOARTDJYNF-UHFFFAOYSA-N 0 3 307.315 4.066 20 0 DIADHN Cc1ccc(CNCc2ccnn2CCc2ccccc2)c(C)c1 ZINC000674881839 1057295902 /nfs/dbraw/zinc/29/59/02/1057295902.db2.gz XKDWSMNSPLUEDE-UHFFFAOYSA-N 0 3 319.452 4.032 20 0 DIADHN CC[NH+](CC)Cc1cc(-c2ccc(F)cc2[O-])ccc1OC ZINC001242219716 1057297855 /nfs/dbraw/zinc/29/78/55/1057297855.db2.gz MTYMXSDRYHGVPJ-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1ccc([C@@H](NCc2c(C3CC3)cnn2C)C2CCC2)cc1 ZINC000658213340 1057321642 /nfs/dbraw/zinc/32/16/42/1057321642.db2.gz PTPNJRJUOFRZCE-FQEVSTJZSA-N 0 3 309.457 4.237 20 0 DIADHN CC(C)(CNCc1coc(-c2ccccc2)n1)[C@@H]1CCCCO1 ZINC000675155772 1057322647 /nfs/dbraw/zinc/32/26/47/1057322647.db2.gz FFZIKWMRJGYTTF-KRWDZBQOSA-N 0 3 314.429 4.027 20 0 DIADHN C[C@@H](NC[C@@]1(C)CC1(F)F)c1ccc(F)cc1OC(F)F ZINC000658221180 1057326282 /nfs/dbraw/zinc/32/62/82/1057326282.db2.gz SFECKVVDTPMZRH-AMIZOPFISA-N 0 3 309.278 4.123 20 0 DIADHN COc1ccnc(CN[C@H](C)[C@@H](C)c2ccc(Cl)cc2)c1F ZINC000658225526 1057327403 /nfs/dbraw/zinc/32/74/03/1057327403.db2.gz UQVZUDLAVFSLMO-VXGBXAGGSA-N 0 3 322.811 4.165 20 0 DIADHN CCCN(Cc1c(C)nc2ccccn21)Cc1ccc(OC)cc1 ZINC000675246030 1057333626 /nfs/dbraw/zinc/33/36/26/1057333626.db2.gz JSVLVOYSRPFQEG-UHFFFAOYSA-N 0 3 323.440 4.064 20 0 DIADHN CCc1nc2c(s1)[C@H](N[C@@H]1CCc3ccc(O)cc31)CCC2 ZINC000658240479 1057337318 /nfs/dbraw/zinc/33/73/18/1057337318.db2.gz YOAIBEXNTAEYBE-HUUCEWRRSA-N 0 3 314.454 4.066 20 0 DIADHN OCc1ccc(F)cc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001242343432 1057339544 /nfs/dbraw/zinc/33/95/44/1057339544.db2.gz MUYOENKIRGVHMN-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN Clc1cccc(CN2CCC3(CC2)OCc2ccccc23)c1 ZINC000675325254 1057340289 /nfs/dbraw/zinc/34/02/89/1057340289.db2.gz XOUGUWPFVYVMBH-UHFFFAOYSA-N 0 3 313.828 4.362 20 0 DIADHN FC(F)(F)c1ccc(-c2ccc([C@@H]3CNCCO3)cc2)cc1 ZINC000041538829 1057352299 /nfs/dbraw/zinc/35/22/99/1057352299.db2.gz GKLMDJBZSGLZKS-INIZCTEOSA-N 0 3 307.315 4.033 20 0 DIADHN FC(F)(F)c1ccc(-c2cccc([C@@H]3CNCCO3)c2)cc1 ZINC000041538837 1057352451 /nfs/dbraw/zinc/35/24/51/1057352451.db2.gz NROXUHBUOMWKBG-INIZCTEOSA-N 0 3 307.315 4.033 20 0 DIADHN Cc1cc([C@H](C)N[C@H](c2ccccc2)[C@H](O)C(C)C)cs1 ZINC000658286506 1057354578 /nfs/dbraw/zinc/35/45/78/1057354578.db2.gz ALNWUZSHHNTURY-BMGDILEWSA-N 0 3 303.471 4.465 20 0 DIADHN CC(C)[C@H](O)[C@H](N[C@@H]1CCc2cc(F)ccc21)c1ccccc1 ZINC000658287490 1057354653 /nfs/dbraw/zinc/35/46/53/1057354653.db2.gz HAKMINUCCOUUCT-AQNXPRMDSA-N 0 3 313.416 4.161 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc(C)c(CO)c2)c1F ZINC001242386297 1057356619 /nfs/dbraw/zinc/35/66/19/1057356619.db2.gz JGBRSHGOHABHLV-UHFFFAOYSA-N 0 3 301.405 4.135 20 0 DIADHN CC(=O)c1ccc(-c2cc(CN3CCCCC3)ccc2C)cn1 ZINC001242404393 1057359327 /nfs/dbraw/zinc/35/93/27/1057359327.db2.gz MTAOWIIQCKAEAA-UHFFFAOYSA-N 0 3 308.425 4.246 20 0 DIADHN CCC(CC)(CC)C(=O)NC[C@@H](c1cccs1)N1CCCC1 ZINC000675434955 1057365788 /nfs/dbraw/zinc/36/57/88/1057365788.db2.gz GIOCPOKIVYQRHZ-HNNXBMFYSA-N 0 3 322.518 4.218 20 0 DIADHN CC(C)n1cc(-c2ccc(CN3CCCCC3)c(F)c2)cn1 ZINC001242437372 1057366700 /nfs/dbraw/zinc/36/67/00/1057366700.db2.gz PMMQGXOYUMBWEU-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN Cc1ccc([C@@H](O)CN[C@H](C)c2cc3cc(C)c(C)cc3o2)o1 ZINC000766136252 1057373300 /nfs/dbraw/zinc/37/33/00/1057373300.db2.gz CIJCFFXRMJINLW-ZBFHGGJFSA-N 0 3 313.397 4.335 20 0 DIADHN OCC[C@H](N[C@H]1CCc2c1cccc2Cl)c1cccs1 ZINC000766138388 1057375036 /nfs/dbraw/zinc/37/50/36/1057375036.db2.gz XUEOXJFYOMNNRY-GJZGRUSLSA-N 0 3 307.846 4.102 20 0 DIADHN CCN(CC)Cc1cccc(-c2cnn(C(F)(F)F)c2)c1F ZINC001242465449 1057375016 /nfs/dbraw/zinc/37/50/16/1057375016.db2.gz CSTGWDJFRDNFHL-UHFFFAOYSA-N 0 3 315.314 4.007 20 0 DIADHN CO[C@H](CN[C@H](c1ccccc1)c1cccnc1)C1CCCCC1 ZINC000658330211 1057376008 /nfs/dbraw/zinc/37/60/08/1057376008.db2.gz QWXNHUTXALSPQI-NHCUHLMSSA-N 0 3 324.468 4.356 20 0 DIADHN C[C@H](N[C@H]1CCC[C@@H]1c1ccccc1Cl)c1nccn1C ZINC000658335541 1057377894 /nfs/dbraw/zinc/37/78/94/1057377894.db2.gz BIPKMMWFOYFODS-BJJXKVORSA-N 0 3 303.837 4.060 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(F)c2OC)cc1F ZINC001242484973 1057380891 /nfs/dbraw/zinc/38/08/91/1057380891.db2.gz KHRORVYOFFPPIY-UHFFFAOYSA-N 0 3 305.368 4.482 20 0 DIADHN CC[C@H](NC[C@H](O)c1ccc(C)o1)c1cc(Cl)ccc1OC ZINC000766144830 1057381007 /nfs/dbraw/zinc/38/10/07/1057381007.db2.gz XUKKCFMRGRDBOA-GJZGRUSLSA-N 0 3 323.820 4.024 20 0 DIADHN Clc1cccc([C@@H](NCc2cn[nH]c2)C2CCCCC2)c1 ZINC000675486170 1057381875 /nfs/dbraw/zinc/38/18/75/1057381875.db2.gz QCAFNRRKVAMEPE-KRWDZBQOSA-N 0 3 303.837 4.474 20 0 DIADHN Nc1nc2cc(C=Cc3ccccc3C(F)(F)F)ccc2[nH]1 ZINC001242492610 1057382543 /nfs/dbraw/zinc/38/25/43/1057382543.db2.gz GQVMYEWNSMVKSF-FNORWQNLSA-N 0 3 303.287 4.334 20 0 DIADHN Nc1nc2ccc(C=Cc3ccccc3C(F)(F)F)cc2[nH]1 ZINC001242492610 1057382548 /nfs/dbraw/zinc/38/25/48/1057382548.db2.gz GQVMYEWNSMVKSF-FNORWQNLSA-N 0 3 303.287 4.334 20 0 DIADHN COc1c(Cl)cc(Cl)cc1CN[C@@H]1CCC(F)(F)C1 ZINC000675646140 1057392466 /nfs/dbraw/zinc/39/24/66/1057392466.db2.gz XBETUPDFPPDJSL-SNVBAGLBSA-N 0 3 310.171 4.279 20 0 DIADHN OCc1ccc(-c2ccc(F)c(CN3CCCCC3)c2)cc1F ZINC001242535116 1057396478 /nfs/dbraw/zinc/39/64/78/1057396478.db2.gz RSIOVQZLZOEXHU-UHFFFAOYSA-N 0 3 317.379 4.110 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](c1ccccc1)C1CC1 ZINC000675735990 1057403471 /nfs/dbraw/zinc/40/34/71/1057403471.db2.gz WZSGWCSYPNATRF-IEBWSBKVSA-N 0 3 309.409 4.260 20 0 DIADHN CC(C)n1nccc1-c1ccc(CN2CCCCC2)c(F)c1 ZINC001242558758 1057404287 /nfs/dbraw/zinc/40/42/87/1057404287.db2.gz RBWIDLICXPLEKR-UHFFFAOYSA-N 0 3 301.409 4.256 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@@H]1CCCc2ccccc21 ZINC000675724599 1057405288 /nfs/dbraw/zinc/40/52/88/1057405288.db2.gz DSVCXWKPCZRVJG-QZTJIDSGSA-N 0 3 309.409 4.186 20 0 DIADHN C[C@H](CC1CCOCC1)NCc1c(Cl)cccc1Cl ZINC000675788792 1057415480 /nfs/dbraw/zinc/41/54/80/1057415480.db2.gz MKWAAYYBIRVYCN-LLVKDONJSA-N 0 3 302.245 4.288 20 0 DIADHN Cc1occc1CN[C@H](C)CC(=O)Nc1ccc(C)cc1Cl ZINC000675779311 1057409928 /nfs/dbraw/zinc/40/99/28/1057409928.db2.gz KVQHXICWFFMPMO-GFCCVEGCSA-N 0 3 320.820 4.057 20 0 DIADHN COc1ccc2c(c1)[C@@H](N[C@H]1CSCc3ccccc31)CC2 ZINC000675783055 1057414146 /nfs/dbraw/zinc/41/41/46/1057414146.db2.gz SFNJCCIWNQKMJB-OALUTQOASA-N 0 3 311.450 4.260 20 0 DIADHN COc1cccc2c1OCC[C@H]2N[C@H](C)c1ccc(C)c(C)c1 ZINC000675789340 1057415240 /nfs/dbraw/zinc/41/52/40/1057415240.db2.gz YVEPQWCWOWFHOO-CRAIPNDOSA-N 0 3 311.425 4.486 20 0 DIADHN Cc1nn(C(C)(C)C)cc1[C@@H](C)NCc1cc2ccccc2[nH]1 ZINC000675789734 1057415600 /nfs/dbraw/zinc/41/56/00/1057415600.db2.gz KGHIDOBBTNREFU-CYBMUJFWSA-N 0 3 310.445 4.279 20 0 DIADHN C[C@H](NCc1ccc(O)cc1)c1ccc(-c2ccncc2)cc1 ZINC000675802718 1057416030 /nfs/dbraw/zinc/41/60/30/1057416030.db2.gz VPMANEFZBWQDQZ-HNNXBMFYSA-N 0 3 304.393 4.305 20 0 DIADHN COc1nc(C)cc(C)c1CN[C@@H]1CCCCc2ccccc21 ZINC000675808664 1057417578 /nfs/dbraw/zinc/41/75/78/1057417578.db2.gz PVYDYAMDCCOWOH-LJQANCHMSA-N 0 3 310.441 4.264 20 0 DIADHN CCC[C@@H](N[C@H](C)c1ccc(-n2cccn2)cc1)c1ccccn1 ZINC000675815757 1057418419 /nfs/dbraw/zinc/41/84/19/1057418419.db2.gz DYFGUCZNAAOHOG-OXQOHEQNSA-N 0 3 320.440 4.459 20 0 DIADHN CCc1ccc([C@@H](C)N[C@@H]2CCN(c3ccc(F)cc3F)C2)o1 ZINC000675830680 1057420512 /nfs/dbraw/zinc/42/05/12/1057420512.db2.gz HJIDOMHNFYZHHL-TZMCWYRMSA-N 0 3 320.383 4.050 20 0 DIADHN CC[C@H](N[C@H]1CCN(c2ccccc2)C1)c1cc(F)ccc1F ZINC000675829472 1057420863 /nfs/dbraw/zinc/42/08/63/1057420863.db2.gz TZQUQZROTIYDPV-KXBFYZLASA-N 0 3 316.395 4.284 20 0 DIADHN COc1cc2ccccc2c(-c2cnccc2/C=C/N(C)C)c1 ZINC001242609395 1057423347 /nfs/dbraw/zinc/42/33/47/1057423347.db2.gz UBMXPROZYNAALG-PKNBQFBNSA-N 0 3 304.393 4.443 20 0 DIADHN Cc1cc(CN[C@H]2CCCSc3ccc(Cl)cc32)n[nH]1 ZINC000675893274 1057427375 /nfs/dbraw/zinc/42/73/75/1057427375.db2.gz HHNRDUHPPPONQY-AWEZNQCLSA-N 0 3 307.850 4.088 20 0 DIADHN C[C@@H](N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1)c1ccco1 ZINC000675884324 1057429333 /nfs/dbraw/zinc/42/93/33/1057429333.db2.gz JRICGSQZFVQDML-OCCSQVGLSA-N 0 3 324.346 4.228 20 0 DIADHN C[C@H](N[C@H](Cc1nccn1C)c1ccccc1)c1cccs1 ZINC000675918251 1057431287 /nfs/dbraw/zinc/43/12/87/1057431287.db2.gz FSSQGLNRQFWZHC-GOEBONIOSA-N 0 3 311.454 4.116 20 0 DIADHN COc1ccc2c(c1)OCC[C@@H]2N[C@H](C)c1cc(C)cc(C)c1 ZINC000675902820 1057432866 /nfs/dbraw/zinc/43/28/66/1057432866.db2.gz HAAVXMDDAHPUON-BEFAXECRSA-N 0 3 311.425 4.486 20 0 DIADHN CC[C@@H](N[C@@H]1CCOc2cc(OC)ccc21)c1ccc(F)cc1 ZINC000675904169 1057433511 /nfs/dbraw/zinc/43/35/11/1057433511.db2.gz RQYNUTNJGPKVSR-QZTJIDSGSA-N 0 3 315.388 4.399 20 0 DIADHN CCc1ccc([C@@H](C)N[C@H]2CCOc3cc(OC)ccc32)s1 ZINC000675904218 1057433701 /nfs/dbraw/zinc/43/37/01/1057433701.db2.gz UCFWRTAONKZTNL-WBMJQRKESA-N 0 3 317.454 4.494 20 0 DIADHN CCN(CC)Cc1cccc(-c2ccc([C@@H](C)O)cc2)c1F ZINC001242650161 1057436644 /nfs/dbraw/zinc/43/66/44/1057436644.db2.gz PRPOMGIKHSEWTR-CQSZACIVSA-N 0 3 301.405 4.388 20 0 DIADHN C[C@](CO)(NCc1ccc(C2CCCC2)cc1)c1ccccc1 ZINC000676132618 1057451372 /nfs/dbraw/zinc/45/13/72/1057451372.db2.gz PJCMQYPXBIMZED-OAQYLSRUSA-N 0 3 309.453 4.342 20 0 DIADHN Fc1cc(CN2CCC[C@H](F)CC2)cc(OC(F)(F)F)c1 ZINC001143255967 1057454399 /nfs/dbraw/zinc/45/43/99/1057454399.db2.gz BRLGNZWIVXDGOX-NSHDSACASA-N 0 3 309.278 4.048 20 0 DIADHN FC(F)(F)COc1ccc(-c2cc(N3CCCC3)ccn2)cc1 ZINC001242718130 1057457638 /nfs/dbraw/zinc/45/76/38/1057457638.db2.gz WPTRYMHMXGEWIP-UHFFFAOYSA-N 0 3 322.330 4.290 20 0 DIADHN Fc1cc(-c2ccnc(OC3CC3)c2)ccc1CN1CCCC1 ZINC001242726972 1057461381 /nfs/dbraw/zinc/46/13/81/1057461381.db2.gz XZWIFZDOLMSRQE-UHFFFAOYSA-N 0 3 312.388 4.025 20 0 DIADHN CCO[C@@H]1CCCN(Cc2ccc(-c3ccccc3F)o2)C1 ZINC000676413730 1057470340 /nfs/dbraw/zinc/47/03/40/1057470340.db2.gz GAFCOCUFIVRXQZ-CQSZACIVSA-N 0 3 303.377 4.087 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cc(O)cc(C(F)(F)F)c1 ZINC001242782823 1057474904 /nfs/dbraw/zinc/47/49/04/1057474904.db2.gz AOWDSFPFFVPOSX-GQCTYLIASA-N 0 3 308.303 4.005 20 0 DIADHN CCO[C@H](CCN[C@H](C)c1nc(C)sc1C)C1CCCC1 ZINC000676491667 1057475902 /nfs/dbraw/zinc/47/59/02/1057475902.db2.gz IQDGPYOKIJXHLK-MLGOLLRUSA-N 0 3 310.507 4.396 20 0 DIADHN Cc1ccc2c(c1)[C@H](NCc1cnc(CC(C)C)s1)CC2 ZINC000676492400 1057476014 /nfs/dbraw/zinc/47/60/14/1057476014.db2.gz HHNVRBZVTXBUPT-QGZVFWFLSA-N 0 3 300.471 4.427 20 0 DIADHN CCO[C@@H]1C[C@@H](N[C@@H](C)c2nc(C)sc2C)C12CCCC2 ZINC000676493144 1057477518 /nfs/dbraw/zinc/47/75/18/1057477518.db2.gz SJHZKJLKLXHOFA-NILFDRSVSA-N 0 3 308.491 4.148 20 0 DIADHN Cc1nc([C@H](C)NCCCCOc2ccc(F)cc2)c(C)s1 ZINC000676488109 1057478078 /nfs/dbraw/zinc/47/80/78/1057478078.db2.gz BVGZDIFSVQYQQY-LBPRGKRZSA-N 0 3 322.449 4.409 20 0 DIADHN COc1c(F)cc(F)cc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001242810580 1057487400 /nfs/dbraw/zinc/48/74/00/1057487400.db2.gz FOMQAMJTHLAUDW-UHFFFAOYSA-N 0 3 321.342 4.375 20 0 DIADHN Cc1ccsc1CCCC(=O)Nc1ccccc1CN(C)C ZINC000676633848 1057489415 /nfs/dbraw/zinc/48/94/15/1057489415.db2.gz IBKAVEHMDQKHPF-UHFFFAOYSA-N 0 3 316.470 4.080 20 0 DIADHN CCc1[nH]nc2ncc(-c3ccc4c(c3)C(C(C)C)=NCC4)cc12 ZINC001242824663 1057492440 /nfs/dbraw/zinc/49/24/40/1057492440.db2.gz PGJNJJKGSZQYJP-UHFFFAOYSA-N 0 3 318.424 4.189 20 0 DIADHN Cc1nc2c(cc(-c3nccc4c3CCN4)cc2F)n1C(C)C ZINC001242848652 1057496770 /nfs/dbraw/zinc/49/67/70/1057496770.db2.gz FUPYETOFJQPFMX-UHFFFAOYSA-N 0 3 310.376 4.095 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N(C)CCC1CC1 ZINC001307591381 1057496977 /nfs/dbraw/zinc/49/69/77/1057496977.db2.gz APYAVCXVSGWJEP-SNVBAGLBSA-N 0 3 315.244 4.052 20 0 DIADHN CCC(C)(C)[C@@H]1CCCN1Cc1nccn1Cc1ccccc1 ZINC000676744185 1057500239 /nfs/dbraw/zinc/50/02/39/1057500239.db2.gz ZNHBDGZQZVIZAA-SFHVURJKSA-N 0 3 311.473 4.332 20 0 DIADHN C[C@H]1C[C@@H](NCc2ncc(Br)s2)CC(C)(C)C1 ZINC000708806799 1057501288 /nfs/dbraw/zinc/50/12/88/1057501288.db2.gz FPSYXDUHYZSOTP-VHSXEESVSA-N 0 3 317.296 4.210 20 0 DIADHN CCC[C@H](C)NC(=O)[C@H](C)N[C@@H](C)c1cccc2ccccc21 ZINC000218861648 1057502266 /nfs/dbraw/zinc/50/22/66/1057502266.db2.gz UQKNRLIKUTTXHX-JYJNAYRXSA-N 0 3 312.457 4.184 20 0 DIADHN COc1ccc(CN2CCC(Oc3ccccc3)CC2)cc1C ZINC000508013215 1057503335 /nfs/dbraw/zinc/50/33/35/1057503335.db2.gz OAYCOOFCRMZQKU-UHFFFAOYSA-N 0 3 311.425 4.047 20 0 DIADHN c1c2ccccc2oc1CN1CCC(Oc2ccccc2)CC1 ZINC000508013166 1057503596 /nfs/dbraw/zinc/50/35/96/1057503596.db2.gz NLXZPTMWGUWIER-UHFFFAOYSA-N 0 3 307.393 4.476 20 0 DIADHN FC(F)(F)COc1ccccc1CN1CCCC(F)(F)CC1 ZINC000625769210 1057514329 /nfs/dbraw/zinc/51/43/29/1057514329.db2.gz LLCLWJCCVPYVOT-UHFFFAOYSA-N 0 3 323.305 4.249 20 0 DIADHN CC(C)(C)c1cnc(CNCC[C@@H]2CCC3(CCC3)O2)s1 ZINC000922966939 1057517259 /nfs/dbraw/zinc/51/72/59/1057517259.db2.gz AUUQYUWHKDVIIZ-ZDUSSCGKSA-N 0 3 308.491 4.022 20 0 DIADHN C[C@@]1(NCc2ccc3ncccc3c2)CCOc2ccccc21 ZINC000923025776 1057521748 /nfs/dbraw/zinc/52/17/48/1057521748.db2.gz PHXKUVCODNEPKG-HXUWFJFHSA-N 0 3 304.393 4.022 20 0 DIADHN CCOc1ccc(F)c(-c2cncc(CN3CCCCC3)c2)c1 ZINC001242936120 1057522605 /nfs/dbraw/zinc/52/26/05/1057522605.db2.gz ZZBFPYNPCMIJIE-UHFFFAOYSA-N 0 3 314.404 4.272 20 0 DIADHN COC[C@H](N[C@@H]1CCOc2c(Cl)c(Cl)ccc21)C(C)C ZINC000766162433 1057529213 /nfs/dbraw/zinc/52/92/13/1057529213.db2.gz LIXZACOFJVPACW-OLZOCXBDSA-N 0 3 318.244 4.078 20 0 DIADHN Cc1ccc2ccccc2c1-c1cnccc1N1CCOCC1 ZINC001242982549 1057534757 /nfs/dbraw/zinc/53/47/57/1057534757.db2.gz JWZXSUPHJFWFQM-UHFFFAOYSA-N 0 3 304.393 4.047 20 0 DIADHN Nc1ccnc2cc(-c3cc(O)cc(OC(F)(F)F)c3)ccc12 ZINC001242989571 1057538320 /nfs/dbraw/zinc/53/83/20/1057538320.db2.gz IDRFQWLNFWWGCW-UHFFFAOYSA-N 0 3 320.270 4.088 20 0 DIADHN CN(Cc1ccc(-c2cccc(-c3cccnc3)c2)nc1)C1CC1 ZINC001243001398 1057542819 /nfs/dbraw/zinc/54/28/19/1057542819.db2.gz GSBKVLCQFRGFAM-UHFFFAOYSA-N 0 3 315.420 4.405 20 0 DIADHN CC[NH+](CC)Cc1cc(OC)ccc1-c1ccc(F)c([O-])c1 ZINC001243046009 1057556434 /nfs/dbraw/zinc/55/64/34/1057556434.db2.gz FDVRVGZLMIVJLM-UHFFFAOYSA-N 0 3 303.377 4.049 20 0 DIADHN Cc1ccc2c(c1)[C@@H](N[C@@H](C)c1ccc3[nH]c(=O)oc3c1)CC2 ZINC000671751572 1057556799 /nfs/dbraw/zinc/55/67/99/1057556799.db2.gz NIMFFDTWPYGXBW-LRDDRELGSA-N 0 3 308.381 4.180 20 0 DIADHN COc1ccc(-c2ccc(F)c([O-])c2)c(C[NH+]2CCCCC2)c1 ZINC001243046849 1057557098 /nfs/dbraw/zinc/55/70/98/1057557098.db2.gz NDYPNXQKCYLLMK-UHFFFAOYSA-N 0 3 315.388 4.193 20 0 DIADHN COc1ccc(-c2cnccc2Cl)cc1CN1CCCCC1 ZINC001243047725 1057557356 /nfs/dbraw/zinc/55/73/56/1057557356.db2.gz FYDJNNLZTQRGMO-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN CC[N@H+]1CC=C(c2cnc(-c3ccc(F)c([O-])c3)c(C)c2)CC1 ZINC001243049159 1057557856 /nfs/dbraw/zinc/55/78/56/1057557856.db2.gz QIYHKIGURRMKEO-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN CC[N@@H+]1CC=C(c2cnc(-c3ccc(F)c([O-])c3)c(C)c2)CC1 ZINC001243049159 1057557861 /nfs/dbraw/zinc/55/78/61/1057557861.db2.gz QIYHKIGURRMKEO-UHFFFAOYSA-N 0 3 312.388 4.011 20 0 DIADHN C[C@H](N[C@@H]1CCCc2sccc21)c1ccc2[nH]c(=O)oc2c1 ZINC000671760969 1057558490 /nfs/dbraw/zinc/55/84/90/1057558490.db2.gz QGGXUDHUURZSFS-GXFFZTMASA-N 0 3 314.410 4.323 20 0 DIADHN Clc1ccc(-c2cncc(CN3CCCCC3)c2)c(Cl)n1 ZINC001243086535 1057566676 /nfs/dbraw/zinc/56/66/76/1057566676.db2.gz RYUCCOWNXYRHBF-UHFFFAOYSA-N 0 3 322.239 4.436 20 0 DIADHN Cc1ccc(OC(C)C)c(-c2ccc(CN(C)C3CC3)cn2)c1 ZINC001243090417 1057568761 /nfs/dbraw/zinc/56/87/61/1057568761.db2.gz YIGUWFSNUFWBAY-UHFFFAOYSA-N 0 3 310.441 4.438 20 0 DIADHN COc1ccc2cc(CNCc3scnc3C3CC3)ccc2c1 ZINC000486933391 1057570749 /nfs/dbraw/zinc/57/07/49/1057570749.db2.gz ISOSKXXXTHKWDI-UHFFFAOYSA-N 0 3 324.449 4.472 20 0 DIADHN COC(=O)C(C)(C)[C@H]1CCCN([C@@H](C)c2ccc(Cl)cc2)C1 ZINC000611321577 1057590465 /nfs/dbraw/zinc/59/04/65/1057590465.db2.gz PYEZGSBOPRAKSS-ZFWWWQNUSA-N 0 3 323.864 4.312 20 0 DIADHN Nc1ccncc1-c1cc(F)cc(F)c1OCc1ccccc1 ZINC001243170046 1057592706 /nfs/dbraw/zinc/59/27/06/1057592706.db2.gz UKUFRSMNVXAAAZ-UHFFFAOYSA-N 0 3 312.319 4.018 20 0 DIADHN COc1ccc(-c2c(F)ccc(SC)c2F)cc1CN(C)C ZINC001243215933 1057607531 /nfs/dbraw/zinc/60/75/31/1057607531.db2.gz FBRALLXHWGHUKD-UHFFFAOYSA-N 0 3 323.408 4.424 20 0 DIADHN CCn1cc([C@H](C)N[C@H](c2cccc(F)c2)C(C)(C)C)cn1 ZINC000162200831 1057611246 /nfs/dbraw/zinc/61/12/46/1057611246.db2.gz PUKMWEWZHALEIM-SUMWQHHRSA-N 0 3 303.425 4.480 20 0 DIADHN Clc1ccc(-c2ccc(CN3CCCOCC3)s2)cc1 ZINC000510516944 1057616037 /nfs/dbraw/zinc/61/60/37/1057616037.db2.gz FPOHCQDLNQDYCF-UHFFFAOYSA-N 0 3 307.846 4.291 20 0 DIADHN COc1cccc([C@@H]2CCCN2Cc2scnc2C2CC2)c1 ZINC000495065253 1057620403 /nfs/dbraw/zinc/62/04/03/1057620403.db2.gz JNHJUGNHVLLBHB-INIZCTEOSA-N 0 3 314.454 4.366 20 0 DIADHN CCCOc1cccc(F)c1-c1cnccc1/C=C/N(C)C ZINC001243266094 1057626657 /nfs/dbraw/zinc/62/66/57/1057626657.db2.gz ISYOVTFVLJDADB-PKNBQFBNSA-N 0 3 300.377 4.209 20 0 DIADHN C[C@@H](OC(=O)C1CCN(Cc2ccccc2)CC1)c1ccccc1 ZINC000727296692 1057645268 /nfs/dbraw/zinc/64/52/68/1057645268.db2.gz DVOIMPQUVSNTHH-QGZVFWFLSA-N 0 3 323.436 4.203 20 0 DIADHN C[C@@H]1CCC[C@H](OC(=O)C2CCN(Cc3ccccc3)CC2)C1 ZINC000727292713 1057648476 /nfs/dbraw/zinc/64/84/76/1057648476.db2.gz IQPGLKUGKYUCTL-APWZRJJASA-N 0 3 315.457 4.021 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1coc3ccccc13)CC2 ZINC000280045960 1057650691 /nfs/dbraw/zinc/65/06/91/1057650691.db2.gz JFLQUOOBSXPUIM-QGZVFWFLSA-N 0 3 323.392 4.227 20 0 DIADHN COc1cc2c(cc1OC)[C@H](NCc1ccc(Cl)cc1)CC2 ZINC000279964143 1057650705 /nfs/dbraw/zinc/65/07/05/1057650705.db2.gz CNUXCQJMHOZNNA-MRXNPFEDSA-N 0 3 317.816 4.134 20 0 DIADHN CCn1nc(C)c(CNCc2ccccc2-c2ccccc2)c1C ZINC000727471496 1057654087 /nfs/dbraw/zinc/65/40/87/1057654087.db2.gz DUOOOWPTRZHXKY-UHFFFAOYSA-N 0 3 319.452 4.477 20 0 DIADHN CCN(CC)[C@@H](CNCc1ccoc1)c1ccccc1Cl ZINC000727463159 1057655003 /nfs/dbraw/zinc/65/50/03/1057655003.db2.gz CXQZNRSWNXQWDN-KRWDZBQOSA-N 0 3 306.837 4.106 20 0 DIADHN CCN(CC)Cc1cc(-c2ccc(OC(C)=O)cc2)ccc1F ZINC001243365000 1057660812 /nfs/dbraw/zinc/66/08/12/1057660812.db2.gz PLIYOCHVQXYTPD-UHFFFAOYSA-N 0 3 315.388 4.260 20 0 DIADHN Clc1cc(-c2cncc(CN3CCCCC3)c2)cc(Cl)n1 ZINC001243369930 1057661803 /nfs/dbraw/zinc/66/18/03/1057661803.db2.gz ORCGZFDBFVJRBJ-UHFFFAOYSA-N 0 3 322.239 4.436 20 0 DIADHN COc1ccc(-c2cc(Cl)nc(Cl)c2)cc1CN(C)C ZINC001243369589 1057662279 /nfs/dbraw/zinc/66/22/79/1057662279.db2.gz VQVXFUWHUKXTPE-UHFFFAOYSA-N 0 3 311.212 4.126 20 0 DIADHN COc1cc(CNCc2cc(C)cc(C)c2)ccc1OC(F)F ZINC000057600759 1057667391 /nfs/dbraw/zinc/66/73/91/1057667391.db2.gz MGPFTYVHHYVVQL-UHFFFAOYSA-N 0 3 321.367 4.203 20 0 DIADHN CCN(Cc1ccc2c(c1)OCO2)Cc1cccc(Cl)c1 ZINC000057621732 1057667526 /nfs/dbraw/zinc/66/75/26/1057667526.db2.gz BDEVFKPCBBUFFZ-UHFFFAOYSA-N 0 3 303.789 4.091 20 0 DIADHN CCCOc1ccc(F)cc1-c1cnccc1/C=C/N(C)C ZINC001243403934 1057672818 /nfs/dbraw/zinc/67/28/18/1057672818.db2.gz ZLOSUXVPYYTZHJ-CSKARUKUSA-N 0 3 300.377 4.209 20 0 DIADHN CN1Cc2ccccc2[C@@H](NCc2cc3ccccc3s2)C1 ZINC000533820007 1057672819 /nfs/dbraw/zinc/67/28/19/1057672819.db2.gz QZDRWNVWDMHHBC-SFHVURJKSA-N 0 3 308.450 4.178 20 0 DIADHN CN1Cc2ccccc2[C@H](NCc2csc3ccccc23)C1 ZINC000534530510 1057673217 /nfs/dbraw/zinc/67/32/17/1057673217.db2.gz FCTITRKZZZBNBO-GOSISDBHSA-N 0 3 308.450 4.178 20 0 DIADHN CN1Cc2ccccc2[C@H](N[C@H](CC2CC2)c2ccccc2)C1 ZINC000536845539 1057674732 /nfs/dbraw/zinc/67/47/32/1057674732.db2.gz MVGJSABODHBHNB-NHCUHLMSSA-N 0 3 306.453 4.304 20 0 DIADHN C[C@H](N[C@@H](C)C(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000286263302 1057687793 /nfs/dbraw/zinc/68/77/93/1057687793.db2.gz VBVOIAAADOXEGR-HOTGVXAUSA-N 0 3 305.425 4.281 20 0 DIADHN C[C@@H](N[C@H]1C[C@H](c2ccc(Cl)c(Cl)c2)C1)c1ncc[nH]1 ZINC000287614535 1057688375 /nfs/dbraw/zinc/68/83/75/1057688375.db2.gz SRAFILKNASVSPP-YUSALJHKSA-N 0 3 310.228 4.313 20 0 DIADHN CC1(C)Cc2cc(CN[C@@H]3CCc4ccc(F)cc43)ccc2O1 ZINC000730109152 1057702836 /nfs/dbraw/zinc/70/28/36/1057702836.db2.gz NPXVCGMEUHQVFU-GOSISDBHSA-N 0 3 311.400 4.316 20 0 DIADHN CCCN(Cc1cc(Cl)nc(Cl)c1)C[C@H]1CCCCO1 ZINC000730260533 1057709909 /nfs/dbraw/zinc/70/99/09/1057709909.db2.gz BAAQHPVJAUNNND-CYBMUJFWSA-N 0 3 317.260 4.170 20 0 DIADHN Fc1cc2cccnc2c(CN2CCCc3ccccc3C2)c1 ZINC000730264621 1057710082 /nfs/dbraw/zinc/71/00/82/1057710082.db2.gz KGQNYUAEDNAZKX-UHFFFAOYSA-N 0 3 306.384 4.322 20 0 DIADHN COc1ccc(-c2c(C)ccnc2F)cc1CN1CCCCC1 ZINC001243549728 1057710975 /nfs/dbraw/zinc/71/09/75/1057710975.db2.gz GMYYZNVXYCALJQ-UHFFFAOYSA-N 0 3 314.404 4.191 20 0 DIADHN CCN(CC)Cc1ccc(-c2cnn(C(C)(C)C)c2)cc1F ZINC001243565191 1057715486 /nfs/dbraw/zinc/71/54/86/1057715486.db2.gz FEHLIIAWZBKUKH-UHFFFAOYSA-N 0 3 303.425 4.286 20 0 DIADHN CCOc1cc(-c2ccc(CN(C)C3CC3)cn2)ccc1Cl ZINC001243573743 1057719726 /nfs/dbraw/zinc/71/97/26/1057719726.db2.gz TUUCJGFOBJIGHB-UHFFFAOYSA-N 0 3 316.832 4.395 20 0 DIADHN CCN(CC)c1ncc(CN[C@](C)(CC)c2ccccc2)s1 ZINC000730817793 1057726512 /nfs/dbraw/zinc/72/65/12/1057726512.db2.gz VSJCMEMLXZNJLY-GOSISDBHSA-N 0 3 317.502 4.404 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1ccc(C(F)(F)F)cc1O ZINC001243611799 1057733522 /nfs/dbraw/zinc/73/35/22/1057733522.db2.gz OEDZRKILYLIMLX-SOFGYWHQSA-N 0 3 308.303 4.005 20 0 DIADHN COCCOc1ccccc1-c1ccc2c(c1)C(C(C)C)=NCC2 ZINC001243615187 1057734189 /nfs/dbraw/zinc/73/41/89/1057734189.db2.gz LTPQKYAZTRFKPQ-UHFFFAOYSA-N 0 3 323.436 4.380 20 0 DIADHN CC(C)Oc1c(F)cc(F)c(-c2cncc(CN(C)C)c2)c1F ZINC001243620537 1057736212 /nfs/dbraw/zinc/73/62/12/1057736212.db2.gz KRPFXECSGVACHD-UHFFFAOYSA-N 0 3 324.346 4.015 20 0 DIADHN Nc1ccnc2cc(-c3ccc(C4CCOCC4)cc3)ccc12 ZINC001243627603 1057739058 /nfs/dbraw/zinc/73/90/58/1057739058.db2.gz KJHHGPPGRXYJAK-UHFFFAOYSA-N 0 3 304.393 4.378 20 0 DIADHN C[NH+]1CCC(c2ccc(-c3ccc4c(c3)[N-]C(=S)C4)cc2)CC1 ZINC001243655496 1057744928 /nfs/dbraw/zinc/74/49/28/1057744928.db2.gz WHEIWURQOWKKRK-UHFFFAOYSA-N 0 3 322.477 4.458 20 0 DIADHN CN1CCN(c2cccc(-c3cccc(OC4CCC4)c3)c2)CC1 ZINC001243671084 1057749726 /nfs/dbraw/zinc/74/97/26/1057749726.db2.gz IEHOBPKTLJQUOY-UHFFFAOYSA-N 0 3 322.452 4.037 20 0 DIADHN CCn1ccc2cc(-c3cccc(N4CCN(C)CC4)c3)ccc21 ZINC001243672558 1057750249 /nfs/dbraw/zinc/75/02/49/1057750249.db2.gz ADCPALWFUNHYHZ-UHFFFAOYSA-N 0 3 319.452 4.080 20 0 DIADHN COc1ccc(CNCc2ccc3c(c2)CCC(C)(C)O3)cc1 ZINC000731675146 1057751237 /nfs/dbraw/zinc/75/12/37/1057751237.db2.gz KUVHBNRTRUUWHX-UHFFFAOYSA-N 0 3 311.425 4.089 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCc2sccc2[C@H]1CC ZINC000731895119 1057757984 /nfs/dbraw/zinc/75/79/84/1057757984.db2.gz KSEZNKWZHRJEKJ-MRXNPFEDSA-N 0 3 322.518 4.096 20 0 DIADHN COc1ccc(-c2cc(N3CCCCC3)ccn2)cc1SC ZINC001243738813 1057766350 /nfs/dbraw/zinc/76/63/50/1057766350.db2.gz FPPQJGOXOGWSMB-UHFFFAOYSA-N 0 3 314.454 4.469 20 0 DIADHN CCCCCCN(C)CC(=O)N1CC(C)(C)[C@H]1c1ccccc1 ZINC000732276000 1057771438 /nfs/dbraw/zinc/77/14/38/1057771438.db2.gz NBPJVMXRFJICDL-LJQANCHMSA-N 0 3 316.489 4.108 20 0 DIADHN CCOc1ncc(-c2ccc(CN3CCCC3)c(F)c2)cc1F ZINC001243773684 1057775966 /nfs/dbraw/zinc/77/59/66/1057775966.db2.gz FAAVONVFGGTEII-UHFFFAOYSA-N 0 3 318.367 4.021 20 0 DIADHN CCOc1ncc(-c2cccc(CN(CC)CC)c2F)cc1F ZINC001243777414 1057776392 /nfs/dbraw/zinc/77/63/92/1057776392.db2.gz UJUWDVKCCFESLW-UHFFFAOYSA-N 0 3 320.383 4.267 20 0 DIADHN Cc1nc(OCC(C)C)ccc1-c1ccc2c(N)ccnc2c1 ZINC001243799339 1057782144 /nfs/dbraw/zinc/78/21/44/1057782144.db2.gz OMONWFHYONZBBK-UHFFFAOYSA-N 0 3 307.397 4.222 20 0 DIADHN CCN(CC)Cc1cccc(-c2cccc(OCOC)c2)c1F ZINC001243829050 1057789622 /nfs/dbraw/zinc/78/96/22/1057789622.db2.gz SUROJXRNYUGNAR-UHFFFAOYSA-N 0 3 317.404 4.317 20 0 DIADHN Fc1ccc([C@@H]2CCCN2CCCCCn2cccn2)cc1F ZINC000732989741 1057795577 /nfs/dbraw/zinc/79/55/77/1057795577.db2.gz VCDPCIDJOQAZFQ-SFHVURJKSA-N 0 3 319.399 4.169 20 0 DIADHN c1nc2cccc(-c3nc(-c4ccccn4)nc4ccccc43)c2[nH]1 ZINC001243930749 1057810212 /nfs/dbraw/zinc/81/02/12/1057810212.db2.gz KIQAULFKGHMHHJ-UHFFFAOYSA-N 0 3 323.359 4.235 20 0 DIADHN Fc1cc(-c2cccc3nc[nH]c32)cc(F)c1CN1CCCC1 ZINC001243935329 1057812902 /nfs/dbraw/zinc/81/29/02/1057812902.db2.gz MLQIDERFOABLLS-UHFFFAOYSA-N 0 3 313.351 4.104 20 0 DIADHN Fc1cc(C2=CNCCC2)cc(F)c1OCc1ccccc1 ZINC001243950460 1057817432 /nfs/dbraw/zinc/81/74/32/1057817432.db2.gz UKUQUFVHJZOIMW-UHFFFAOYSA-N 0 3 301.336 4.268 20 0 DIADHN FC(F)(F)c1cccc(Cl)c1-c1ccc2c(n1)CNCC2 ZINC001243952966 1057817770 /nfs/dbraw/zinc/81/77/70/1057817770.db2.gz GHXFDPAWFDBOHD-UHFFFAOYSA-N 0 3 312.722 4.067 20 0 DIADHN Oc1cccc(Cl)c1-c1cncc(CN2CCCCC2)c1 ZINC001244045089 1057847534 /nfs/dbraw/zinc/84/75/34/1057847534.db2.gz UOPWADNWFPVOLH-UHFFFAOYSA-N 0 3 302.805 4.094 20 0 DIADHN CC(C)COc1ccccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001244054727 1057850876 /nfs/dbraw/zinc/85/08/76/1057850876.db2.gz VRVSZELWRLVDPH-UHFFFAOYSA-N 0 3 310.441 4.378 20 0 DIADHN COc1c(C)cc(C)cc1-c1cncc(CN2CCCCC2)c1 ZINC001244118525 1057874980 /nfs/dbraw/zinc/87/49/80/1057874980.db2.gz ZCFJQFCODYNBTB-UHFFFAOYSA-N 0 3 310.441 4.360 20 0 DIADHN Cc1nc(-c2cc(OC(F)(F)F)ccc2N)cc2[nH]ccc21 ZINC001244182866 1057894019 /nfs/dbraw/zinc/89/40/19/1057894019.db2.gz UVSWSOJRLQRIMX-UHFFFAOYSA-N 0 3 307.275 4.019 20 0 DIADHN Nc1cc(Cl)ccc1-c1ccc(F)c(CN2CCCC2)c1 ZINC001244200408 1057899487 /nfs/dbraw/zinc/89/94/87/1057899487.db2.gz FKUZLFJRJJROHK-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN CSc1ncc(-c2ccc3c(c2)CN(C)CC3)cc1Cl ZINC001244259925 1057914108 /nfs/dbraw/zinc/91/41/08/1057914108.db2.gz GVFMAFTWRALUFC-UHFFFAOYSA-N 0 3 304.846 4.112 20 0 DIADHN FC(F)(F)Cc1ccc(-c2ccc(C3=NCCC3)cc2)cn1 ZINC001244291928 1057924146 /nfs/dbraw/zinc/92/41/46/1057924146.db2.gz GBWLBVKCIJIFBR-UHFFFAOYSA-N 0 3 304.315 4.436 20 0 DIADHN COc1ccc(-c2ccc(N)c(C(F)(F)F)c2)cc1CN(C)C ZINC001244366741 1057945360 /nfs/dbraw/zinc/94/53/60/1057945360.db2.gz GRSHWMROMBMBCY-UHFFFAOYSA-N 0 3 324.346 4.025 20 0 DIADHN Cc1c(N)ccnc1-c1cc(Cl)ccc1NC(=O)C(C)(C)C ZINC001244371457 1057945956 /nfs/dbraw/zinc/94/59/56/1057945956.db2.gz BTJJIJMIAGWZTD-UHFFFAOYSA-N 0 3 317.820 4.277 20 0 DIADHN COc1ccc(-c2ccc(N(C)C)c(Cl)c2)cc1CN(C)C ZINC001244414390 1057954146 /nfs/dbraw/zinc/95/41/46/1057954146.db2.gz MKNXELGGJZCCKY-UHFFFAOYSA-N 0 3 318.848 4.143 20 0 DIADHN Cc1ccc(-c2ccc(CN(C)C3CC3)cn2)cc1OC(C)C ZINC001244445691 1057960365 /nfs/dbraw/zinc/96/03/65/1057960365.db2.gz HFWOVBBEOGIQPG-UHFFFAOYSA-N 0 3 310.441 4.438 20 0 DIADHN Nc1ccnc2cc(-c3ccc(OC(F)(F)F)cc3O)ccc12 ZINC001244467310 1057967589 /nfs/dbraw/zinc/96/75/89/1057967589.db2.gz BYIVYRSKBXBIAJ-UHFFFAOYSA-N 0 3 320.270 4.088 20 0 DIADHN CSc1ccc(-c2nccc3c2CCN3)cc1C(F)(F)F ZINC001244493519 1057975030 /nfs/dbraw/zinc/97/50/30/1057975030.db2.gz VQPYVSTVMRNCLF-UHFFFAOYSA-N 0 3 310.344 4.457 20 0 DIADHN CC[N@@H+]1CCCC[C@@H]1c1ccc(-c2cc(C)c(F)c([O-])c2)nc1 ZINC001244508127 1057980486 /nfs/dbraw/zinc/98/04/86/1057980486.db2.gz OFTKMCGLBJDSMW-QGZVFWFLSA-N 0 3 314.404 4.449 20 0 DIADHN CC[N@H+]1CCCC[C@@H]1c1ccc(-c2cc(C)c(F)c([O-])c2)nc1 ZINC001244508127 1057980489 /nfs/dbraw/zinc/98/04/89/1057980489.db2.gz OFTKMCGLBJDSMW-QGZVFWFLSA-N 0 3 314.404 4.449 20 0 DIADHN Cc1cc(-c2ccc([C@@H]3CCCC[N@H+]3C)cn2)cc([O-])c1F ZINC001244509030 1057980971 /nfs/dbraw/zinc/98/09/71/1057980971.db2.gz XIBLSCWRRWCEIW-INIZCTEOSA-N 0 3 300.377 4.059 20 0 DIADHN Cc1cc(-c2ccc([C@@H]3CCCC[N@@H+]3C)cn2)cc([O-])c1F ZINC001244509030 1057980974 /nfs/dbraw/zinc/98/09/74/1057980974.db2.gz XIBLSCWRRWCEIW-INIZCTEOSA-N 0 3 300.377 4.059 20 0 DIADHN Cc1cc(-c2ccc3c(c2)CCC32CC[NH2+]CC2)cc([O-])c1F ZINC001244518313 1057983701 /nfs/dbraw/zinc/98/37/01/1057983701.db2.gz SRDQGVQVWOUYGY-UHFFFAOYSA-N 0 3 311.400 4.074 20 0 DIADHN CCN(CC)Cc1ccc(-c2cccc(Cl)c2CO)cc1F ZINC001244577149 1058000116 /nfs/dbraw/zinc/00/01/16/1058000116.db2.gz AXTQZNWFJDFVNR-UHFFFAOYSA-N 0 3 321.823 4.480 20 0 DIADHN Cc1cc(CO)ccc1-c1ccc(F)c(CN2CCCCC2)c1 ZINC001244584449 1058001633 /nfs/dbraw/zinc/00/16/33/1058001633.db2.gz SDYRCGXLQNBKFJ-UHFFFAOYSA-N 0 3 313.416 4.279 20 0 DIADHN CC(=O)c1cc(-c2ccc(CN3CCCC[C@@H]3C)cn2)cs1 ZINC001244624446 1058012506 /nfs/dbraw/zinc/01/25/06/1058012506.db2.gz QKNIEBPDQASRQS-ZDUSSCGKSA-N 0 3 314.454 4.387 20 0 DIADHN COc1ccc(-c2cc(F)c(OC)c(Cl)c2)cc1CN(C)C ZINC001244699848 1058029808 /nfs/dbraw/zinc/02/98/08/1058029808.db2.gz VOGJLRQCUHFWSN-UHFFFAOYSA-N 0 3 323.795 4.225 20 0 DIADHN CCN(CC)Cc1cncc(-c2ccc(C(C)=O)c(F)c2F)c1 ZINC001244701595 1058030480 /nfs/dbraw/zinc/03/04/80/1058030480.db2.gz RENBPPUBWYCNMW-UHFFFAOYSA-N 0 3 318.367 4.071 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(CO)c(F)c2F)cc1F ZINC001244721014 1058035061 /nfs/dbraw/zinc/03/50/61/1058035061.db2.gz ICSYSPZHCYYHGY-UHFFFAOYSA-N 0 3 323.358 4.105 20 0 DIADHN CN(C)/C=C/c1ccncc1-c1cccc(-c2ccncc2)c1 ZINC001244783401 1058048137 /nfs/dbraw/zinc/04/81/37/1058048137.db2.gz HCSKPWDUOXQDNH-UKTHLTGXSA-N 0 3 301.393 4.343 20 0 DIADHN CCN1CC=C(c2cnc(-c3cncc(F)c3C)c(C)c2)CC1 ZINC001244833060 1058055951 /nfs/dbraw/zinc/05/59/51/1058055951.db2.gz QXWMEWOYIYPDRR-UHFFFAOYSA-N 0 3 311.404 4.009 20 0 DIADHN COc1cc(-c2ccc3c(N)ccnc3c2)ccc1OC(C)C ZINC001244886129 1058065500 /nfs/dbraw/zinc/06/55/00/1058065500.db2.gz ZCFWKSGQRZBVAR-UHFFFAOYSA-N 0 3 308.381 4.280 20 0 DIADHN Clc1ccnc(Cl)c1-c1cncc(CN2CCCCC2)c1 ZINC001244939571 1058076291 /nfs/dbraw/zinc/07/62/91/1058076291.db2.gz BSYDDITXSKQXJD-UHFFFAOYSA-N 0 3 322.239 4.436 20 0 DIADHN COc1cc(-c2ccccc2CN2CCCCC2)c(Cl)cn1 ZINC001245038516 1058099994 /nfs/dbraw/zinc/09/99/94/1058099994.db2.gz BECALFDJZGBIQA-UHFFFAOYSA-N 0 3 316.832 4.397 20 0 DIADHN Cc1ccc(-c2ccc3c(c2)COC32CNC2)c(Cl)c1Cl ZINC001245095748 1058111588 /nfs/dbraw/zinc/11/15/88/1058111588.db2.gz LHPYLZOQDCTLML-UHFFFAOYSA-N 0 3 320.219 4.298 20 0 DIADHN c1ncn(Cc2ccccc2)c1-c1cccc(C2=NCCC2)c1 ZINC001245201111 1058126869 /nfs/dbraw/zinc/12/68/69/1058126869.db2.gz OTHLTQWSWIYEKW-UHFFFAOYSA-N 0 3 301.393 4.181 20 0 DIADHN NCc1cc(-c2ccccc2OCc2cccc(Cl)c2)ccn1 ZINC001245214799 1058128506 /nfs/dbraw/zinc/12/85/06/1058128506.db2.gz QBPFPIMOTOKJMY-UHFFFAOYSA-N 0 3 324.811 4.440 20 0 DIADHN COc1c(-c2cnccc2/C=C/N(C)C)cccc1C(F)(F)F ZINC001245279043 1058139415 /nfs/dbraw/zinc/13/94/15/1058139415.db2.gz MKXAWNXFMIVDID-CSKARUKUSA-N 0 3 322.330 4.308 20 0 DIADHN NCc1ccc(-c2ccc(-c3nc4ccccc4o3)cc2)cn1 ZINC001245322655 1058145013 /nfs/dbraw/zinc/14/50/13/1058145013.db2.gz YQROGGYPHYRPEI-UHFFFAOYSA-N 0 3 301.349 4.016 20 0 DIADHN CC(=O)OCc1cc(-c2cc(N3CCCCC3)ccn2)ccc1C ZINC001245374352 1058155820 /nfs/dbraw/zinc/15/58/20/1058155820.db2.gz BPFFZXJVLCRQAQ-UHFFFAOYSA-N 0 3 324.424 4.110 20 0 DIADHN COc1ccc2ccccc2c1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245422631 1058164190 /nfs/dbraw/zinc/16/41/90/1058164190.db2.gz DTGLXOAJFLLDOD-SFHVURJKSA-N 0 3 323.436 4.117 20 0 DIADHN Cc1ccc2ccccc2c1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245423569 1058164201 /nfs/dbraw/zinc/16/42/01/1058164201.db2.gz ICFWQSRCVQIKDA-LJQANCHMSA-N 0 3 307.437 4.416 20 0 DIADHN Cc1ccc2ccc(C3=CC[C@H](N4CCOCC4)CC3)cc2c1 ZINC001245428666 1058164649 /nfs/dbraw/zinc/16/46/49/1058164649.db2.gz PYCXPCLGHTVTHX-NRFANRHFSA-N 0 3 307.437 4.416 20 0 DIADHN CCCOc1ccc(C)c(C2=CC[C@@H](N3CCOCC3)CC2)c1 ZINC001245425868 1058164676 /nfs/dbraw/zinc/16/46/76/1058164676.db2.gz GNTDDMBOTLGFJH-GOSISDBHSA-N 0 3 315.457 4.052 20 0 DIADHN c1cncc(-c2cccc(C3=CC[C@H](N4CCOCC4)CC3)c2)c1 ZINC001245427469 1058164694 /nfs/dbraw/zinc/16/46/94/1058164694.db2.gz PIKQROGADRKOAK-NRFANRHFSA-N 0 3 320.436 4.017 20 0 DIADHN COc1cc(-c2ccc(F)c(CN3CCCCC3)c2)ccc1N ZINC001245505327 1058188230 /nfs/dbraw/zinc/18/82/30/1058188230.db2.gz YUUOBSZYBIABOB-UHFFFAOYSA-N 0 3 314.404 4.069 20 0 DIADHN Nc1ccc(-c2ccc(F)c(CN3CCCC3)c2)c(Cl)c1 ZINC001245509315 1058191201 /nfs/dbraw/zinc/19/12/01/1058191201.db2.gz IEYZZRYWWKQVPF-UHFFFAOYSA-N 0 3 304.796 4.324 20 0 DIADHN CC(C)c1nc(CN2CC3(CCOCC3)[C@H]3CCC[C@H]32)cs1 ZINC001548848690 1058262797 /nfs/dbraw/zinc/26/27/97/1058262797.db2.gz BPPPQEUDZZCLMM-JKSUJKDBSA-N 0 3 320.502 4.048 20 0 DIADHN FC1(F)CC(CN2CCC[C@@H]3O[C@@H](c4ccccc4)C[C@@H]32)C1 ZINC001549082037 1058281666 /nfs/dbraw/zinc/28/16/66/1058281666.db2.gz LWGBNNLXVJLIRV-YESZJQIVSA-N 0 3 307.384 4.026 20 0 DIADHN FC(F)C1(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@H]32)CC1 ZINC001549107262 1058282792 /nfs/dbraw/zinc/28/27/92/1058282792.db2.gz ODOZJAPYFCVYOL-PMPSAXMXSA-N 0 3 307.384 4.026 20 0 DIADHN Oc1ccc2c(c1)[C@@H](N[C@H](c1ccncc1)C1CCC1)CCC2 ZINC001550243258 1058327007 /nfs/dbraw/zinc/32/70/07/1058327007.db2.gz JKBVHEQLGHBYRD-PMACEKPBSA-N 0 3 308.425 4.296 20 0 DIADHN Cc1nocc1[C@H](C)N[C@H]1CCCO[C@@H]1c1ccc(Cl)cc1 ZINC001550520675 1058344564 /nfs/dbraw/zinc/34/45/64/1058344564.db2.gz CSKZVMIHWAGEOT-MZPVMMEZSA-N 0 3 320.820 4.207 20 0 DIADHN Cc1cc(-c2ccc(CN3CCCC3)c(F)c2)c(C)cc1CO ZINC001245702097 1058367527 /nfs/dbraw/zinc/36/75/27/1058367527.db2.gz JJVVKLFUATVLLG-UHFFFAOYSA-N 0 3 313.416 4.198 20 0 DIADHN CCc1ncc(CN[C@@H]2C[C@H](C)Cc3cc(OC)ccc32)s1 ZINC001551241230 1058381674 /nfs/dbraw/zinc/38/16/74/1058381674.db2.gz BDYZXLAKMVXBAM-SJKOYZFVSA-N 0 3 316.470 4.127 20 0 DIADHN Cc1cc(C)nc(CN2CC[C@@H](c3ccccc3)O[C@H](C)C2)c1 ZINC001551396019 1058389783 /nfs/dbraw/zinc/38/97/83/1058389783.db2.gz OPUBLMDUURTAON-XLIONFOSSA-N 0 3 310.441 4.051 20 0 DIADHN CN(C)Cc1ccccc1NC(=O)[C@H]1CC[C@H](c2ccccc2)C1 ZINC001552664415 1058444965 /nfs/dbraw/zinc/44/49/65/1058444965.db2.gz GSZWWVSLHILSBR-ROUUACIJSA-N 0 3 322.452 4.271 20 0 DIADHN F[C@@H]1Cc2ccccc2[C@H]1N[C@@H]1CCOC2(CCCCC2)C1 ZINC001552928972 1058455196 /nfs/dbraw/zinc/45/51/96/1058455196.db2.gz DUUPRJBNNOURNM-KBAYOESNSA-N 0 3 303.421 4.093 20 0 DIADHN Cc1nc(Cl)ccc1-c1cccc(CN2CCCC2)c1F ZINC001245774737 1058460224 /nfs/dbraw/zinc/46/02/24/1058460224.db2.gz BOBDLQQBUKUOGL-UHFFFAOYSA-N 0 3 304.796 4.445 20 0 DIADHN COc1c(F)c(Cl)ccc1-c1ccc(CN(C)C2CC2)cn1 ZINC001245798281 1058478626 /nfs/dbraw/zinc/47/86/26/1058478626.db2.gz JOXNTEKJNURGHX-UHFFFAOYSA-N 0 3 320.795 4.144 20 0 DIADHN COc1ccc(Cl)cc1-c1ccc(CN(C)C2CC2)cn1 ZINC001245813158 1058498565 /nfs/dbraw/zinc/49/85/65/1058498565.db2.gz ZBJWLGSHYVVLFV-UHFFFAOYSA-N 0 3 302.805 4.005 20 0 DIADHN CN(C)CCN(C(=O)CCCCC(C)(C)C)c1ccccc1 ZINC001528735532 1058506089 /nfs/dbraw/zinc/50/60/89/1058506089.db2.gz IWHKHXPYPXGYCX-UHFFFAOYSA-N 0 3 304.478 4.188 20 0 DIADHN CC[C@@H](C)c1cccc(NC(=O)N(CCN(C)C)CC(C)C)c1 ZINC001563083177 1058536477 /nfs/dbraw/zinc/53/64/77/1058536477.db2.gz AIYJHXWCVYOQBO-MRXNPFEDSA-N 0 3 319.493 4.252 20 0 DIADHN CCCCC[C@H](C(=O)N1CCN(C2CCCCC2)CC1)C(C)C ZINC001520126739 1058544972 /nfs/dbraw/zinc/54/49/72/1058544972.db2.gz NRWGOVIQUFYHFG-IBGZPJMESA-N 0 3 322.537 4.316 20 0 DIADHN CC(C)CC(CC(C)C)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001520127273 1058546740 /nfs/dbraw/zinc/54/67/40/1058546740.db2.gz UDVPAAQCTYFVMS-UHFFFAOYSA-N 0 3 322.537 4.172 20 0 DIADHN COc1cc(-c2cnccc2/C=C/N(C)C)c(F)c(F)c1Cl ZINC001245857497 1058566404 /nfs/dbraw/zinc/56/64/04/1058566404.db2.gz IVUPBMNYQAHYSE-FNORWQNLSA-N 0 3 324.758 4.221 20 0 DIADHN CC(C)C(C)(C)CNC(=O)c1cccc(CN2CCCCC2)c1 ZINC001556239572 1058566482 /nfs/dbraw/zinc/56/64/82/1058566482.db2.gz XPSJCGXFJOZNOX-UHFFFAOYSA-N 0 3 316.489 4.085 20 0 DIADHN Cc1oc2ccc(NC(=O)[C@@H](C)N3CCCCCC3)cc2c1C ZINC001556265410 1058569002 /nfs/dbraw/zinc/56/90/02/1058569002.db2.gz YLAQPOUMZFRHII-CQSZACIVSA-N 0 3 314.429 4.253 20 0 DIADHN CC[C@H](CC(=O)N[C@@H](CN(C)C)c1ccc(Cl)cc1)C(C)C ZINC001556360095 1058582605 /nfs/dbraw/zinc/58/26/05/1058582605.db2.gz LQLHWRUECWCBTA-PBHICJAKSA-N 0 3 324.896 4.131 20 0 DIADHN CCN(CC)Cc1ccc(-c2ccc(OC)nc2Cl)cc1F ZINC001245878770 1058585859 /nfs/dbraw/zinc/58/58/59/1058585859.db2.gz NTCDIVOXILOTIU-UHFFFAOYSA-N 0 3 322.811 4.392 20 0 DIADHN CCCCCC[C@H](CC)C(=O)N1CCN(C)C2(CCCCC2)C1 ZINC001556684906 1058629544 /nfs/dbraw/zinc/62/95/44/1058629544.db2.gz IQOJQYIWTJNSRY-SFHVURJKSA-N 0 3 322.537 4.460 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)c1cc(Cl)cs1 ZINC001556805062 1058645999 /nfs/dbraw/zinc/64/59/99/1058645999.db2.gz YAUVGJJPHQBTHT-UHFFFAOYSA-N 0 3 323.849 4.071 20 0 DIADHN C/C=C(/C=C\C(=O)N1c2ccc(C)cc2[C@H]2CN(C)CC[C@H]21)CC ZINC001556879596 1058663287 /nfs/dbraw/zinc/66/32/87/1058663287.db2.gz SKMKVLXPUKSPFZ-VRBBAPMOSA-N 0 3 324.468 4.042 20 0 DIADHN CCCC[C@@H](CC)CC(=O)Nc1ccc(CN(C)C)cc1F ZINC001557008732 1058685117 /nfs/dbraw/zinc/68/51/17/1058685117.db2.gz NZBCWKXNSRYFRO-CQSZACIVSA-N 0 3 308.441 4.432 20 0 DIADHN C/C=C(\CC)C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)CCC ZINC001520979579 1058726934 /nfs/dbraw/zinc/72/69/34/1058726934.db2.gz MCBSDNVNVBBBTI-ZZZKQGFOSA-N 0 3 322.880 4.195 20 0 DIADHN CCCCCCN(C)CC(=O)N1CCC[C@]1(C)c1ccccc1 ZINC001558121902 1058842143 /nfs/dbraw/zinc/84/21/43/1058842143.db2.gz BZNFUDOZZLATJA-HXUWFJFHSA-N 0 3 316.489 4.036 20 0 DIADHN C[C@@H]1CN(C(=O)C(C)(C)C2CCCCC2)CCN1C1CCCC1 ZINC001558321740 1058859565 /nfs/dbraw/zinc/85/95/65/1058859565.db2.gz SYXMCSUJASCJLA-MRXNPFEDSA-N 0 3 320.521 4.068 20 0 DIADHN CCCCC[C@@](C)(CC)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001558321641 1058862623 /nfs/dbraw/zinc/86/26/23/1058862623.db2.gz DXCGEFHDBNGSKM-YLJYHZDGSA-N 0 3 322.537 4.458 20 0 DIADHN CCCCC[C@](C)(CC)C(=O)N1CCN(C2CCCC2)[C@H](C)C1 ZINC001558321640 1058862787 /nfs/dbraw/zinc/86/27/87/1058862787.db2.gz DXCGEFHDBNGSKM-XLIONFOSSA-N 0 3 322.537 4.458 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)[C@@]2(F)CCN(C(C)(C)C)C2)cc1 ZINC001530443415 1059045602 /nfs/dbraw/zinc/04/56/02/1059045602.db2.gz WGTCLSPDWYSOFM-LJQANCHMSA-N 0 3 320.452 4.135 20 0 DIADHN CCC[C@H](C(=O)N(CCC)CCN(C)C)c1cccc(Cl)c1 ZINC001522299977 1059082206 /nfs/dbraw/zinc/08/22/06/1059082206.db2.gz HVFFFEAJSGOLLU-KRWDZBQOSA-N 0 3 324.896 4.024 20 0 DIADHN CCCc1ccccc1C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C ZINC001523468039 1059248798 /nfs/dbraw/zinc/24/87/98/1059248798.db2.gz HHMZYTFXHHRAMH-JXFKEZNVSA-N 0 3 324.468 4.060 20 0 DIADHN COc1ccc(CN(C)C[C@@H]2CCCCC2(F)F)cc1F ZINC001559481123 1059252446 /nfs/dbraw/zinc/25/24/46/1059252446.db2.gz DTRRPHGCKQRCSA-ZDUSSCGKSA-N 0 3 301.352 4.092 20 0 DIADHN CC[C@H]1c2ccsc2CCN1CCSc1ccncc1 ZINC001559483076 1059254981 /nfs/dbraw/zinc/25/49/81/1059254981.db2.gz YEMJJSGALIRGSS-HNNXBMFYSA-N 0 3 304.484 4.245 20 0 DIADHN COC(=O)/C(C)=C\CN1CCC[C@@H]1c1ccc(C(C)(C)C)cc1 ZINC001559487316 1059260530 /nfs/dbraw/zinc/26/05/30/1059260530.db2.gz ZGPHGQVMWDMLGM-WTQUMQLASA-N 0 3 315.457 4.240 20 0 DIADHN COC(=O)/C(C)=C/CN1CCC[C@H]1c1ccc(C(C)(C)C)cc1 ZINC001559487317 1059262041 /nfs/dbraw/zinc/26/20/41/1059262041.db2.gz ZGPHGQVMWDMLGM-XOVFHRFOSA-N 0 3 315.457 4.240 20 0 DIADHN COc1ccccc1CN(C[C@H](OC)C1CCCCC1)C1CC1 ZINC001559489402 1059264514 /nfs/dbraw/zinc/26/45/14/1059264514.db2.gz QHCADOSNXBOHOL-FQEVSTJZSA-N 0 3 317.473 4.255 20 0 DIADHN CCCCn1c2cc(C)ccc2nc1[C@H](N)Cc1ccccc1 ZINC001247050693 1059273474 /nfs/dbraw/zinc/27/34/74/1059273474.db2.gz WCBCECUFDKGFSM-QGZVFWFLSA-N 0 3 307.441 4.387 20 0 DIADHN Cc1cn(C)nc1CN1CCC[C@@H]1c1cccc2ccccc21 ZINC001559498022 1059278421 /nfs/dbraw/zinc/27/84/21/1059278421.db2.gz UKUWHKHUOWNBFY-HXUWFJFHSA-N 0 3 305.425 4.219 20 0 DIADHN CCOC(=O)CN(CC(C)C)C[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001559501477 1059285595 /nfs/dbraw/zinc/28/55/95/1059285595.db2.gz KPABFARNWYNLPH-IEBWSBKVSA-N 0 3 317.473 4.091 20 0 DIADHN C[C@@H](C(=O)Nc1ccc2ccccc2c1)N(C)CC1CCCC1 ZINC001559502375 1059287895 /nfs/dbraw/zinc/28/78/95/1059287895.db2.gz JSISZENWRRXORW-HNNXBMFYSA-N 0 3 310.441 4.289 20 0 DIADHN CCC(CC)N(Cc1cc2c(cc1Cl)OCCO2)C1CC1 ZINC001559515819 1059310024 /nfs/dbraw/zinc/31/00/24/1059310024.db2.gz VSOIAPNJCRIXDA-UHFFFAOYSA-N 0 3 309.837 4.264 20 0 DIADHN OCCN(Cc1ccccc1)Cc1ccc(-c2ccsc2)cc1 ZINC001559523898 1059322520 /nfs/dbraw/zinc/32/25/20/1059322520.db2.gz JRKKVSOWHNWKAR-UHFFFAOYSA-N 0 3 323.461 4.410 20 0 DIADHN CCC1CCN(C[C@H](O)c2ccc(Cl)c(Cl)c2)CC1 ZINC001559528408 1059325710 /nfs/dbraw/zinc/32/57/10/1059325710.db2.gz DDZWZAOVBNKFBC-HNNXBMFYSA-N 0 3 302.245 4.149 20 0 DIADHN CC[C@H](C)[C@@H](C)N(CC(=O)Nc1ccc(C)cc1Cl)C1CC1 ZINC001559530613 1059329651 /nfs/dbraw/zinc/32/96/51/1059329651.db2.gz AHTVXVXPJWATCK-UONOGXRCSA-N 0 3 322.880 4.486 20 0 DIADHN CCCCC[C@@H](CC)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001531510835 1059340271 /nfs/dbraw/zinc/34/02/71/1059340271.db2.gz JGLTZWQIRLOKGD-JLAWEPINSA-N 0 3 322.537 4.316 20 0 DIADHN Cc1ncsc1CCCN1CCC[C@H]1c1ccc(F)c(F)c1 ZINC001559544721 1059348017 /nfs/dbraw/zinc/34/80/17/1059348017.db2.gz FIZBYNZWUCLOGA-INIZCTEOSA-N 0 3 322.424 4.499 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1cncc(Cl)c1 ZINC001559548004 1059352727 /nfs/dbraw/zinc/35/27/27/1059352727.db2.gz JEDLNOCLBAWNQL-GOSISDBHSA-N 0 3 300.833 4.251 20 0 DIADHN Cc1ccc(CCN2C[C@@H](c3ccccc3C)OC[C@@H]2C)cc1 ZINC001559549440 1059360475 /nfs/dbraw/zinc/36/04/75/1059360475.db2.gz NEGCVLLBMNNOGP-RXVVDRJESA-N 0 3 309.453 4.308 20 0 DIADHN Cc1ccc([C@@H]2CCCCCN2CC2(Br)CC2)o1 ZINC001559557760 1059373278 /nfs/dbraw/zinc/37/32/78/1059373278.db2.gz GYOKIRFXVHYHSZ-ZDUSSCGKSA-N 0 3 312.251 4.433 20 0 DIADHN Cc1ccc([C@H]2CCCCCN2CC2(Br)CC2)o1 ZINC001559557759 1059374776 /nfs/dbraw/zinc/37/47/76/1059374776.db2.gz GYOKIRFXVHYHSZ-CYBMUJFWSA-N 0 3 312.251 4.433 20 0 DIADHN CC[C@H](Cc1ccc(C)cc1)N(CC)Cc1cc(Cl)n(C)n1 ZINC001559561556 1059380682 /nfs/dbraw/zinc/38/06/82/1059380682.db2.gz KHXRNMPDEBBGDA-QGZVFWFLSA-N 0 3 319.880 4.225 20 0 DIADHN CCS[C@@H]1CC[C@H](N(C)Cc2cccc(OC(C)(C)C)n2)C1 ZINC001559564299 1059384190 /nfs/dbraw/zinc/38/41/90/1059384190.db2.gz MRXASECOGBXXSC-JKSUJKDBSA-N 0 3 322.518 4.365 20 0 DIADHN CC(C)[C@H]1CN(Cc2cccc(OC(F)(F)F)c2)CCS1 ZINC001559564726 1059388162 /nfs/dbraw/zinc/38/81/62/1059388162.db2.gz UTTOLKJXBDFWNE-CQSZACIVSA-N 0 3 319.392 4.159 20 0 DIADHN CCC[C@@]1(C)CCCN([C@@H]2CCCN(c3ccccc3)C2=O)C1 ZINC001559568442 1059395823 /nfs/dbraw/zinc/39/58/23/1059395823.db2.gz XDANMUJPBPDTKK-QUCCMNQESA-N 0 3 314.473 4.084 20 0 DIADHN CC1(C)CCCN(Cc2ccn(-c3cccc(F)c3)n2)CC1 ZINC001559572365 1059404257 /nfs/dbraw/zinc/40/42/57/1059404257.db2.gz WPTJCIKRRHWWSI-UHFFFAOYSA-N 0 3 301.409 4.024 20 0 DIADHN C[C@H](Cc1ccsc1)N(C)Cc1ccc(-c2ccccn2)nc1 ZINC001559584097 1059414932 /nfs/dbraw/zinc/41/49/32/1059414932.db2.gz ZXYAXBAETTWCLJ-OAHLLOKOSA-N 0 3 323.465 4.268 20 0 DIADHN CCCC[C@@H](CC)CN1CCN(c2cc(F)ccc2F)CC1 ZINC001559590161 1059425706 /nfs/dbraw/zinc/42/57/06/1059425706.db2.gz IPIXEUYHJOKQFS-OAHLLOKOSA-N 0 3 310.432 4.303 20 0 DIADHN COc1ccc(C[C@@H]2CCN2CCCCC(F)(F)F)cc1 ZINC001559591938 1059425981 /nfs/dbraw/zinc/42/59/81/1059425981.db2.gz FOKABXQKZOGCAF-AWEZNQCLSA-N 0 3 301.352 4.045 20 0 DIADHN CC(C)[C@H]1c2ccccc2CCN1Cc1cnn(C(C)(C)C)c1 ZINC001559596087 1059427916 /nfs/dbraw/zinc/42/79/16/1059427916.db2.gz JUXUMBIUZCYACC-IBGZPJMESA-N 0 3 311.473 4.394 20 0 DIADHN Cc1cccc(CN(Cc2ccco2)C[C@@H]2CCCC[C@@H]2O)c1 ZINC001559604501 1059438379 /nfs/dbraw/zinc/43/83/79/1059438379.db2.gz IBNKIEYUOQRVBY-ICSRJNTNSA-N 0 3 313.441 4.141 20 0 DIADHN COC1(CCN2CCCC[C@@H]2c2ccc(F)cc2F)CCC1 ZINC001559612467 1059443664 /nfs/dbraw/zinc/44/36/64/1059443664.db2.gz JKVSTJZZGRVGAT-QGZVFWFLSA-N 0 3 309.400 4.451 20 0 DIADHN CO[C@@H](CN1CCCC[C@H]1c1ccc(F)cc1F)C1CCC1 ZINC001559612634 1059444674 /nfs/dbraw/zinc/44/46/74/1059444674.db2.gz MAQIDLXJTWBHLA-ROUUACIJSA-N 0 3 309.400 4.307 20 0 DIADHN CO[C@@H](CN1CCCC[C@@H]1c1ccc(F)cc1F)C1CCC1 ZINC001559612632 1059445707 /nfs/dbraw/zinc/44/57/07/1059445707.db2.gz MAQIDLXJTWBHLA-MSOLQXFVSA-N 0 3 309.400 4.307 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](c2ccccc2)C2CCCC2)cc1 ZINC001559620064 1059451107 /nfs/dbraw/zinc/45/11/07/1059451107.db2.gz HRDGXAADMQDQGG-NRFANRHFSA-N 0 3 322.452 4.455 20 0 DIADHN Cc1nc2sccn2c1CN(C)CC[C@@H]1CCC[C@@H](C)C1 ZINC001559625221 1059452772 /nfs/dbraw/zinc/45/27/72/1059452772.db2.gz LKCQBVNLSFCJDZ-HIFRSBDPSA-N 0 3 305.491 4.352 20 0 DIADHN CCCCO[C@@H]1C[C@H](N(C)Cc2ccc(CC)cn2)C1(C)C ZINC001559632190 1059457254 /nfs/dbraw/zinc/45/72/54/1059457254.db2.gz CFSYOWPXVLAUAO-ZWKOTPCHSA-N 0 3 304.478 4.060 20 0 DIADHN CCCCO[C@H]1C[C@H](N(C)Cc2ccc(CC)cn2)C1(C)C ZINC001559632189 1059458532 /nfs/dbraw/zinc/45/85/32/1059458532.db2.gz CFSYOWPXVLAUAO-ROUUACIJSA-N 0 3 304.478 4.060 20 0 DIADHN c1csc(N2CCN(CCc3ccc4ccccc4c3)CC2)c1 ZINC001559638914 1059466883 /nfs/dbraw/zinc/46/68/83/1059466883.db2.gz RWUWODKSBOZKAF-UHFFFAOYSA-N 0 3 322.477 4.266 20 0 DIADHN Fc1ccccc1CN(C[C@@H]1CC2(CCC2)CO1)C1CCC1 ZINC001559650834 1059479574 /nfs/dbraw/zinc/47/95/74/1059479574.db2.gz QARFFBDTDPOFTO-KRWDZBQOSA-N 0 3 303.421 4.139 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(OCc3ccccc3)nc2)C[C@@H]1C ZINC001559654066 1059482981 /nfs/dbraw/zinc/48/29/81/1059482981.db2.gz CJTYZENDLQGECA-SJORKVTESA-N 0 3 310.441 4.139 20 0 DIADHN CCSc1ccccc1CN(C)CCOCc1ccccc1 ZINC001559652882 1059483396 /nfs/dbraw/zinc/48/33/96/1059483396.db2.gz XJPYCBJAMMGALH-UHFFFAOYSA-N 0 3 315.482 4.447 20 0 DIADHN CCc1ccc(-c2ccc(-c3noc(CCN(C)C)n3)cc2)cc1 ZINC001247446311 1059485011 /nfs/dbraw/zinc/48/50/11/1059485011.db2.gz MYJWETGZEQGDSV-UHFFFAOYSA-N 0 3 321.424 4.070 20 0 DIADHN CC(C)(C)[C@@H]1CCN(Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC001559673741 1059499056 /nfs/dbraw/zinc/49/90/56/1059499056.db2.gz RQJXRYSOPRVQNN-CYBMUJFWSA-N 0 3 319.399 4.019 20 0 DIADHN Cc1ccc(-c2ccccc2-c2noc([C@@H]3CCCN3)n2)cc1 ZINC001247498785 1059500451 /nfs/dbraw/zinc/50/04/51/1059500451.db2.gz UAXNHPGYSDOTMZ-KRWDZBQOSA-N 0 3 305.381 4.137 20 0 DIADHN COc1cc(CN2CCC[C@H](c3ccc(F)cc3)CC2)sn1 ZINC001559676878 1059503994 /nfs/dbraw/zinc/50/39/94/1059503994.db2.gz SVCLBAKSVFVJLO-ZDUSSCGKSA-N 0 3 320.433 4.061 20 0 DIADHN Cn1cc(CN[C@@H](CC(C)(C)C)c2ccc(Cl)cc2)cn1 ZINC001559693450 1059522317 /nfs/dbraw/zinc/52/23/17/1059522317.db2.gz HHWPHZWLHIVJOC-INIZCTEOSA-N 0 3 305.853 4.341 20 0 DIADHN CC[C@H](NCc1cc(C(=O)OC)cs1)c1cccc(Cl)c1 ZINC001559698518 1059524738 /nfs/dbraw/zinc/52/47/38/1059524738.db2.gz ZLPJSPIYIXADHM-HNNXBMFYSA-N 0 3 323.845 4.429 20 0 DIADHN COc1cc(C)c([C@@H](C)NCc2cc(F)ccc2C)cc1OC ZINC001559703107 1059530774 /nfs/dbraw/zinc/53/07/74/1059530774.db2.gz MYXJGVFTYDAWOD-CQSZACIVSA-N 0 3 317.404 4.311 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1CCC1=CCCCC1 ZINC001559704623 1059531750 /nfs/dbraw/zinc/53/17/50/1059531750.db2.gz RTSUUAMRDPPOSH-CVEARBPZSA-N 0 3 322.493 4.207 20 0 DIADHN C[C@@H]1CN(C(=O)OC(C)(C)C)[C@H](C)CN1CCC1=CCCCC1 ZINC001559704625 1059532688 /nfs/dbraw/zinc/53/26/88/1059532688.db2.gz RTSUUAMRDPPOSH-HZPDHXFCSA-N 0 3 322.493 4.207 20 0 DIADHN COc1ccc([C@H](NCc2cc(F)cc(F)c2)C(C)C)cc1 ZINC001559707986 1059536316 /nfs/dbraw/zinc/53/63/16/1059536316.db2.gz JEGMCAYFLFGFNX-GOSISDBHSA-N 0 3 305.368 4.460 20 0 DIADHN CO[C@@H](CN(C)[C@@H](C)c1sc(C(C)C)nc1C)C1CCC1 ZINC001559712340 1059539609 /nfs/dbraw/zinc/53/96/09/1059539609.db2.gz JIWBNVBRYXYRPL-ZFWWWQNUSA-N 0 3 310.507 4.383 20 0 DIADHN CCOCCN1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC001559738560 1059568647 /nfs/dbraw/zinc/56/86/47/1059568647.db2.gz JRKBCQPEVQTWRP-UHFFFAOYSA-N 0 3 300.229 4.119 20 0 DIADHN CCN(Cc1ccncc1)C[C@@H]1CCC[C@H](c2ccccc2)O1 ZINC001559721510 1059551508 /nfs/dbraw/zinc/55/15/08/1059551508.db2.gz QWRUUEXRCMLBPW-VQTJNVASSA-N 0 3 310.441 4.214 20 0 DIADHN CC[C@@H](c1ccc(F)cc1)N1CCC[C@@H](CC(=O)OC(C)C)C1 ZINC001559723636 1059555756 /nfs/dbraw/zinc/55/57/56/1059555756.db2.gz WWJSBZBFXRBBAE-YJBOKZPZSA-N 0 3 321.436 4.331 20 0 DIADHN CC(C)=CCC[C@H](C)CC(=O)N(CCN(C)C)C1CCCC1 ZINC001532256261 1059569325 /nfs/dbraw/zinc/56/93/25/1059569325.db2.gz MGVGHIHUCAUJTF-KRWDZBQOSA-N 0 3 308.510 4.092 20 0 DIADHN Cc1cc(C)c(CN2C[C@@H](C(F)(F)F)CC[C@H]2C)c(C)n1 ZINC001559741449 1059572864 /nfs/dbraw/zinc/57/28/64/1059572864.db2.gz MSGYTWUWEQZLJR-OCCSQVGLSA-N 0 3 300.368 4.170 20 0 DIADHN CCC[C@H]1CN(C(=O)OC(C)(C)C)CCN1CCCC1CCC1 ZINC001559740507 1059575731 /nfs/dbraw/zinc/57/57/31/1059575731.db2.gz NRCOSYGHELUOCW-KRWDZBQOSA-N 0 3 324.509 4.288 20 0 DIADHN C/C(=C/c1ccccc1)CN(C)C[C@@H](O)c1ccc(F)cc1C ZINC001559748102 1059579830 /nfs/dbraw/zinc/57/98/30/1059579830.db2.gz ZCURATQDLOOHER-UMDONVSZSA-N 0 3 313.416 4.203 20 0 DIADHN CCn1ccc(CN(C)Cc2cccc(Br)c2C)c1 ZINC001559748755 1059581111 /nfs/dbraw/zinc/58/11/11/1059581111.db2.gz VKIDOXQHKPORMX-UHFFFAOYSA-N 0 3 321.262 4.211 20 0 DIADHN Cc1cc(-c2noc(CN(C)[C@H]3CCCC[C@H]3C)n2)ccc1F ZINC001559751528 1059582912 /nfs/dbraw/zinc/58/29/12/1059582912.db2.gz GSDRIPHBTJQFEK-WBMJQRKESA-N 0 3 317.408 4.195 20 0 DIADHN CO[C@]1(C(F)(F)F)CCCN([C@@H](C)c2cccc(C)c2)C1 ZINC001559754529 1059587078 /nfs/dbraw/zinc/58/70/78/1059587078.db2.gz AJYGLPRSUJFTLR-DZGCQCFKSA-N 0 3 301.352 4.099 20 0 DIADHN C[C@@H]1CCCCN(Cc2nc3cc(C(F)(F)F)ccc3o2)C1 ZINC001559759678 1059593597 /nfs/dbraw/zinc/59/35/97/1059593597.db2.gz ADMKUDGQZTUDPC-LLVKDONJSA-N 0 3 312.335 4.469 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)[C@@H](C)N1CCC[C@@H](C(C)C)C1 ZINC001559758681 1059595108 /nfs/dbraw/zinc/59/51/08/1059595108.db2.gz HLLBUSGHCUXANR-HUUCEWRRSA-N 0 3 322.880 4.343 20 0 DIADHN CN(C)Cc1ccc(-c2noc([C@@]3(F)CCCC=C3F)n2)cc1 ZINC001247720403 1059599992 /nfs/dbraw/zinc/59/99/92/1059599992.db2.gz RDPXPZTVTXBXIC-QGZVFWFLSA-N 0 3 319.355 4.000 20 0 DIADHN CC[C@@H]1CCN(Cc2coc(-c3c(F)cccc3F)n2)[C@H]1C ZINC001559769850 1059607314 /nfs/dbraw/zinc/60/73/14/1059607314.db2.gz UJQARPSVEJMKCM-NWDGAFQWSA-N 0 3 306.356 4.240 20 0 DIADHN CC1CC(CN[C@@H](c2nc3ccccc3n2C)c2ccccc2)C1 ZINC001559770218 1059607955 /nfs/dbraw/zinc/60/79/55/1059607955.db2.gz AZRYJVJNUXGNSR-ALLBUHFWSA-N 0 3 319.452 4.298 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2ncc(-c3ccccc3F)o2)[C@@H](C)C1 ZINC001559773587 1059610253 /nfs/dbraw/zinc/61/02/53/1059610253.db2.gz QSZRVXXXDUUWLK-DVOMOZLQSA-N 0 3 302.393 4.395 20 0 DIADHN CC(C)(C)[C@H]1CCCN(CC(=O)Nc2cccc(Cl)c2)C1 ZINC001559787932 1059620561 /nfs/dbraw/zinc/62/05/61/1059620561.db2.gz PKDZWDVDMNGDNW-ZDUSSCGKSA-N 0 3 308.853 4.037 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN(C)[C@@H](C)C1CCCCC1 ZINC001559788504 1059625361 /nfs/dbraw/zinc/62/53/61/1059625361.db2.gz HXGMQAFDJADUHL-AWEZNQCLSA-N 0 3 322.880 4.488 20 0 DIADHN CC1(C)OC[C@@H](CN2CCCC[C@H]2c2cccc(Cl)c2)O1 ZINC001559795339 1059627866 /nfs/dbraw/zinc/62/78/66/1059627866.db2.gz DUDQWPRZIQARNO-CVEARBPZSA-N 0 3 309.837 4.019 20 0 DIADHN Cc1ccc(NC(=O)CN2C[C@@H](c3ccc(C)cc3)C[C@H]2C)cc1 ZINC001559798226 1059635523 /nfs/dbraw/zinc/63/55/23/1059635523.db2.gz LMRRLLAELKKXNU-MJGOQNOKSA-N 0 3 322.452 4.120 20 0 DIADHN CN(Cc1nn(-c2ccccc2)c2c1CCC2)[C@H]1CCC(C)(C)C1 ZINC001559807002 1059642470 /nfs/dbraw/zinc/64/24/70/1059642470.db2.gz PIGBKTDOLXTDTE-KRWDZBQOSA-N 0 3 323.484 4.372 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(c2ccccc2F)C[C@@H]1C ZINC001559805228 1059643742 /nfs/dbraw/zinc/64/37/42/1059643742.db2.gz JQVUJBUFVFDHGM-QFBILLFUSA-N 0 3 312.432 4.488 20 0 DIADHN CN(C)CCN(Cc1ccccc1)C(=O)CCCCC(C)(C)C ZINC001524833316 1059646811 /nfs/dbraw/zinc/64/68/11/1059646811.db2.gz GCLXSROVOOIUTH-UHFFFAOYSA-N 0 3 318.505 4.183 20 0 DIADHN CCO[C@@H]1C[C@@H](NCc2c(F)cccc2F)C12CCCCC2 ZINC001559810920 1059646915 /nfs/dbraw/zinc/64/69/15/1059646915.db2.gz AOBDQMYSHRCHKS-IAGOWNOFSA-N 0 3 309.400 4.182 20 0 DIADHN CC(C)CC[C@@H](O)CN(CCC(F)(F)F)Cc1ccccc1 ZINC001559812773 1059650171 /nfs/dbraw/zinc/65/01/71/1059650171.db2.gz KOXJBQSNXWHTHP-MRXNPFEDSA-N 0 3 317.395 4.238 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2nc(C)c(C(C)(C)C)s2)C12CCC2 ZINC001559845737 1059674007 /nfs/dbraw/zinc/67/40/07/1059674007.db2.gz QCPIPSUBIPNVKZ-KGLIPLIRSA-N 0 3 322.518 4.186 20 0 DIADHN CCc1nocc1CN(C)C[C@@H](C)CSc1ccccc1C ZINC001559857850 1059680158 /nfs/dbraw/zinc/68/01/58/1059680158.db2.gz XLXAUVMBDUZOPZ-CQSZACIVSA-N 0 3 318.486 4.406 20 0 DIADHN CCN(CC(=O)Nc1ccc(Cl)c(Cl)c1)CC(C)(C)C ZINC001559863207 1059682908 /nfs/dbraw/zinc/68/29/08/1059682908.db2.gz RKCPNZQZLQMVCH-UHFFFAOYSA-N 0 3 317.260 4.300 20 0 DIADHN Cc1ccc(NC(=O)CN2[C@H](C)C[C@H](c3ccccc3)[C@@H]2C)cc1 ZINC001559868448 1059689577 /nfs/dbraw/zinc/68/95/77/1059689577.db2.gz RHZCXLZQFCYZAG-UWVAXJGDSA-N 0 3 322.452 4.200 20 0 DIADHN Cc1ccc(CN2CC[C@@]3(C2)CCc2ccccc2O3)cc1F ZINC001559876027 1059696707 /nfs/dbraw/zinc/69/67/07/1059696707.db2.gz MELPPQRIVOSRFN-HXUWFJFHSA-N 0 3 311.400 4.104 20 0 DIADHN O=C(CN1CCC[C@@H](C2CC2)C1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC001559880309 1059698465 /nfs/dbraw/zinc/69/84/65/1059698465.db2.gz DWGPIZLQASGLKM-KFWWJZLASA-N 0 3 317.395 4.046 20 0 DIADHN COC(=O)c1cc(CN2CC[C@H](C(C)(C)C)C[C@H]2C)c(C)o1 ZINC001559880076 1059699512 /nfs/dbraw/zinc/69/95/12/1059699512.db2.gz XIQZOWBMLAONCS-DOMZBBRYSA-N 0 3 307.434 4.021 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](C3CC3)C2)cc1Br ZINC001559880614 1059700968 /nfs/dbraw/zinc/70/09/68/1059700968.db2.gz NDSXORIWRLDKMK-OAHLLOKOSA-N 0 3 308.263 4.380 20 0 DIADHN CCC[C@@H](C)CCCN1CCc2c(cccc2C(=O)OC)C1 ZINC001559888618 1059706409 /nfs/dbraw/zinc/70/64/09/1059706409.db2.gz QBWPACSEYOXWOB-OAHLLOKOSA-N 0 3 303.446 4.048 20 0 DIADHN Cc1ccc(SCCN2CC(C)(C)[C@H]2[C@@H]2CCCO2)cc1C ZINC001559884623 1059707375 /nfs/dbraw/zinc/70/73/75/1059707375.db2.gz ZOCUBBNGWFLRLU-ZWKOTPCHSA-N 0 3 319.514 4.285 20 0 DIADHN COc1ccc(CN2CCC[C@@]3(CCSC3)C2)cc1Cl ZINC001559905160 1059721623 /nfs/dbraw/zinc/72/16/23/1059721623.db2.gz UZUIQBGUQLEUOR-MRXNPFEDSA-N 0 3 311.878 4.068 20 0 DIADHN CC[C@H](NCC(=O)Nc1ccc(Cl)c(F)c1)c1ccccc1 ZINC001559915094 1059728786 /nfs/dbraw/zinc/72/87/86/1059728786.db2.gz DHSVUPFORPFLPY-INIZCTEOSA-N 0 3 320.795 4.159 20 0 DIADHN COc1ncc(CNC2(c3ccccc3C)CC2)c2ccccc21 ZINC001559937074 1059750701 /nfs/dbraw/zinc/75/07/01/1059750701.db2.gz UNKFLSYIIHXFEK-UHFFFAOYSA-N 0 3 318.420 4.331 20 0 DIADHN O[C@@H](CNC1(c2ccccc2F)CCC1)c1cccc(Cl)c1 ZINC001559941518 1059755757 /nfs/dbraw/zinc/75/57/57/1059755757.db2.gz UNBGLQIJAIUURJ-KRWDZBQOSA-N 0 3 319.807 4.182 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN(C)CCC(C)(C)C ZINC001559942276 1059756151 /nfs/dbraw/zinc/75/61/51/1059756151.db2.gz RETPVHOAXSPKNX-UHFFFAOYSA-N 0 3 317.429 4.478 20 0 DIADHN C[C@@H](CN1CCN(c2c(F)cccc2F)CC1)C1CCCCC1 ZINC001559940973 1059758248 /nfs/dbraw/zinc/75/82/48/1059758248.db2.gz HLXDEIBZBKLGMQ-HNNXBMFYSA-N 0 3 322.443 4.303 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@@H]2CC2CCCC2)c(C)c1 ZINC001559952132 1059759696 /nfs/dbraw/zinc/75/96/96/1059759696.db2.gz ATRDJLDFYWQLOH-GOSISDBHSA-N 0 3 314.473 4.287 20 0 DIADHN CC(C)CCOCCN1CCc2ccc(Cl)cc2[C@H](C)C1 ZINC001559965786 1059773328 /nfs/dbraw/zinc/77/33/28/1059773328.db2.gz KRKVGKJKDSRTAW-OAHLLOKOSA-N 0 3 309.881 4.364 20 0 DIADHN C[C@@H](c1nc(-c2ccc(CN(C)C)cc2)no1)C1CCCCC1 ZINC001248300267 1059776558 /nfs/dbraw/zinc/77/65/58/1059776558.db2.gz PSRXHUGTCYOADG-CQSZACIVSA-N 0 3 313.445 4.482 20 0 DIADHN Cc1ccccc1SC[C@@H](C)CN1C[C@H](C)OCC12CCC2 ZINC001559967889 1059777052 /nfs/dbraw/zinc/77/70/52/1059777052.db2.gz NWQYXHYGYCWMNH-RDJZCZTQSA-N 0 3 319.514 4.367 20 0 DIADHN C[C@@H]1CCCC[C@@H]1OCCN1CCS[C@H](c2ccccc2)C1 ZINC001559974166 1059778699 /nfs/dbraw/zinc/77/86/99/1059778699.db2.gz RJFDGIZUBMXPOI-NEWSRXKRSA-N 0 3 319.514 4.372 20 0 DIADHN COC[C@@H](NCc1cccc2ccccc21)c1cccc(OC)c1 ZINC001559979232 1059784976 /nfs/dbraw/zinc/78/49/76/1059784976.db2.gz UQJGINCIXOCOPP-OAQYLSRUSA-N 0 3 321.420 4.326 20 0 DIADHN Cc1ccc(CN2CCc3ccccc3C(C)(C)C2)nc1Cl ZINC001559990295 1059796188 /nfs/dbraw/zinc/79/61/88/1059796188.db2.gz LNXKFTFQIZYPAF-UHFFFAOYSA-N 0 3 314.860 4.379 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](CCF)C2)c(Br)c1 ZINC001559998331 1059801239 /nfs/dbraw/zinc/80/12/39/1059801239.db2.gz ASGTWFJKPUEANS-ZDUSSCGKSA-N 0 3 314.242 4.329 20 0 DIADHN O[C@H](CN1CCC[C@@H](CCF)C1)c1ccc(Cl)c(Cl)c1 ZINC001559998885 1059801920 /nfs/dbraw/zinc/80/19/20/1059801920.db2.gz QBVUIPXTOFJTGI-XHDPSFHLSA-N 0 3 320.235 4.098 20 0 DIADHN O[C@H](CN1CCC[C@H](CCF)C1)c1ccc(Cl)c(Cl)c1 ZINC001559998884 1059802552 /nfs/dbraw/zinc/80/25/52/1059802552.db2.gz QBVUIPXTOFJTGI-IAQYHMDHSA-N 0 3 320.235 4.098 20 0 DIADHN C[C@@H]1CCN(Cc2ccc(Cl)c3ccccc23)C[C@H](C)O1 ZINC001560001604 1059808939 /nfs/dbraw/zinc/80/89/39/1059808939.db2.gz NIBQTRFGVNCBCL-KGLIPLIRSA-N 0 3 303.833 4.493 20 0 DIADHN CCc1ccc(NC(=O)CN2CCCC[C@@H]2CC2CCC2)cc1 ZINC001560017247 1059825597 /nfs/dbraw/zinc/82/55/97/1059825597.db2.gz DNKSMDTXRRXACF-LJQANCHMSA-N 0 3 314.473 4.232 20 0 DIADHN CC(C)(C)CN(CC(=O)OC(C)(C)C)CC12CCC(CC1)C2 ZINC001560020657 1059830546 /nfs/dbraw/zinc/83/05/46/1059830546.db2.gz IHSAXUBZHMIKGJ-UHFFFAOYSA-N 0 3 309.494 4.257 20 0 DIADHN Fc1ccc([C@H]2CCCN(C[C@H]3CCC4(CCC4)O3)C2)cc1F ZINC001560022985 1059836252 /nfs/dbraw/zinc/83/62/52/1059836252.db2.gz KGLSNKHNRGLZHY-JKSUJKDBSA-N 0 3 321.411 4.246 20 0 DIADHN Cc1nc(C)c(CN(Cc2cccc(Cl)c2)CC(C)C)[nH]1 ZINC001560025481 1059843481 /nfs/dbraw/zinc/84/34/81/1059843481.db2.gz FLICLEXDTBAHQS-UHFFFAOYSA-N 0 3 305.853 4.338 20 0 DIADHN Fc1ccc(CN2CCC3(C=Cc4ccccc4O3)CC2)cc1 ZINC001560031908 1059846974 /nfs/dbraw/zinc/84/69/74/1059846974.db2.gz MUGWNSCXAUPHNC-UHFFFAOYSA-N 0 3 309.384 4.266 20 0 DIADHN CCO[C@@H]1CCN(Cc2cccc(-c3ccc(Cl)cc3)n2)C1 ZINC001248520050 1059861829 /nfs/dbraw/zinc/86/18/29/1059861829.db2.gz FXHIIWRMFNYNGJ-QGZVFWFLSA-N 0 3 316.832 4.013 20 0 DIADHN CC1(C)[C@H](c2cccc(F)c2)CCN1Cc1ccc(F)cn1 ZINC001560057474 1059866511 /nfs/dbraw/zinc/86/65/11/1059866511.db2.gz SQNJZKRYRXCGJE-KRWDZBQOSA-N 0 3 302.368 4.128 20 0 DIADHN Cc1cccc([C@@H](NCC(=O)N[C@H](C)c2ccccc2)C(C)C)c1 ZINC001560054658 1059869999 /nfs/dbraw/zinc/86/99/99/1059869999.db2.gz GHPIVXLRTIFCGX-UTKZUKDTSA-N 0 3 324.468 4.159 20 0 DIADHN CCOCCN(Cc1ccc(C)nc1C)[C@H]1CCc2ccccc21 ZINC001560065464 1059879816 /nfs/dbraw/zinc/87/98/16/1059879816.db2.gz OUTMBXHCIVYLLY-NRFANRHFSA-N 0 3 324.468 4.224 20 0 DIADHN CC1(C)C[C@@H](O)CN(Cc2ccc(Cl)c3ccccc23)C1 ZINC001560074567 1059887992 /nfs/dbraw/zinc/88/79/92/1059887992.db2.gz XYRPUGWHLHDVET-CQSZACIVSA-N 0 3 303.833 4.086 20 0 DIADHN Cc1ccc2c(CN(C)Cc3ccc(Cl)nc3)cccc2n1 ZINC001248568636 1059889180 /nfs/dbraw/zinc/88/91/80/1059889180.db2.gz HXDGANWDFCSYSF-UHFFFAOYSA-N 0 3 311.816 4.224 20 0 DIADHN Cc1oncc1CN1CCC[C@@]1(C)Cc1ccc(F)c(Cl)c1 ZINC001560074190 1059889822 /nfs/dbraw/zinc/88/98/22/1059889822.db2.gz MVGRRTJCCCLEEY-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN Brc1nc2ccc(CN3CCCC34CC4)cc2s1 ZINC001248580541 1059902367 /nfs/dbraw/zinc/90/23/67/1059902367.db2.gz GKROANAWYRSDFL-UHFFFAOYSA-N 0 3 323.259 4.187 20 0 DIADHN CCOc1cccc(Cl)c1CN1CCC2(CCCCO2)CC1 ZINC001560097534 1059906505 /nfs/dbraw/zinc/90/65/05/1059906505.db2.gz GQYBJWGQBRYIRN-UHFFFAOYSA-N 0 3 323.864 4.274 20 0 DIADHN Clc1ccccc1CN1Cc2ccccc2N2CCC[C@@H]2C1 ZINC001560097868 1059907100 /nfs/dbraw/zinc/90/71/00/1059907100.db2.gz QSUPKOQVHGLARV-QGZVFWFLSA-N 0 3 312.844 4.325 20 0 DIADHN COc1c(CN2CCC3(CC3)CC2)ccc(Cl)c1Cl ZINC001248628004 1059917004 /nfs/dbraw/zinc/91/70/04/1059917004.db2.gz IRELALBPVHPIRA-UHFFFAOYSA-N 0 3 300.229 4.378 20 0 DIADHN Cc1ccc(Cl)cc1Oc1ccccc1CN(C)C[C@@H](C)O ZINC001560112157 1059922643 /nfs/dbraw/zinc/92/26/43/1059922643.db2.gz UJXAECXVJGIWBM-CQSZACIVSA-N 0 3 319.832 4.253 20 0 DIADHN C=C/C=C/CCN1CCN([C@H](CC)c2ccc(F)cc2)CC1 ZINC001560121207 1059927800 /nfs/dbraw/zinc/92/78/00/1059927800.db2.gz RQWLTVLTLOEACB-ZMBJWTFHSA-N 0 3 302.437 4.027 20 0 DIADHN CC(C)Oc1cc(CN2CCC[C@H]3C[C@H]32)cc(OC(C)C)c1 ZINC001248660535 1059927974 /nfs/dbraw/zinc/92/79/74/1059927974.db2.gz UKBKCLBUKBFNIP-QFBILLFUSA-N 0 3 303.446 4.245 20 0 DIADHN CC(C)(C)c1cccc(CN[C@@]2(c3ccccc3)C[C@@H](O)C2)c1 ZINC001560124749 1059928453 /nfs/dbraw/zinc/92/84/53/1059928453.db2.gz DUSJITUFILZTQB-TYKWCNGQSA-N 0 3 309.453 4.124 20 0 DIADHN CC(C)Oc1cc(CN2CC3CC(C3)C2)cc(OC(C)C)c1 ZINC001248660165 1059930123 /nfs/dbraw/zinc/93/01/23/1059930123.db2.gz MSXQDJZIHZOLDJ-UHFFFAOYSA-N 0 3 303.446 4.103 20 0 DIADHN CCCN(C)[C@H](CNC(=O)/C=C(\C)CC)c1ccc(Cl)cc1 ZINC001525551599 1059932794 /nfs/dbraw/zinc/93/27/94/1059932794.db2.gz AGXGWBITCVGKNZ-ABDJAZHISA-N 0 3 322.880 4.195 20 0 DIADHN CCCN(C)[C@@H](CNC(=O)/C=C(\C)CC)c1ccc(Cl)cc1 ZINC001525551605 1059932961 /nfs/dbraw/zinc/93/29/61/1059932961.db2.gz AGXGWBITCVGKNZ-VSOYFRJCSA-N 0 3 322.880 4.195 20 0 DIADHN Clc1ccccc1CN1CCC[C@H](Cc2nccs2)C1 ZINC001560155659 1059949770 /nfs/dbraw/zinc/94/97/70/1059949770.db2.gz RIKAAZRWAKYBBR-CYBMUJFWSA-N 0 3 306.862 4.251 20 0 DIADHN CC[C@H](NC[C@@H]1CCC2(CCC2)CO1)c1cc(F)ccc1OC ZINC001560158542 1059952547 /nfs/dbraw/zinc/95/25/47/1059952547.db2.gz OHNZFXJQULUTEZ-RDJZCZTQSA-N 0 3 321.436 4.224 20 0 DIADHN COC(C)(C)[C@H](C)NCc1cc(Cl)ccc1OCC1CC1 ZINC001560163388 1059955458 /nfs/dbraw/zinc/95/54/58/1059955458.db2.gz PMJRMMNRGGNAAB-LBPRGKRZSA-N 0 3 311.853 4.032 20 0 DIADHN Cc1ccc(NC(=O)CN2CCC[C@H]2[C@H](C)c2ccccc2)cc1 ZINC001560163228 1059957873 /nfs/dbraw/zinc/95/78/73/1059957873.db2.gz LIDHVKUNKRCJHH-XLIONFOSSA-N 0 3 322.452 4.202 20 0 DIADHN Cc1csc(C[C@@H]2CCN([C@@H](C)c3ccc(F)c(F)c3)C2)n1 ZINC001560170972 1059960156 /nfs/dbraw/zinc/96/01/56/1059960156.db2.gz NTCJGQDELJLUHZ-STQMWFEESA-N 0 3 322.424 4.355 20 0 DIADHN Fc1cc(C(F)(F)F)cnc1CN1CCC[C@H]2CCCC[C@@H]21 ZINC001248789852 1059972863 /nfs/dbraw/zinc/97/28/63/1059972863.db2.gz PTCWOWCMNQNGAM-ABAIWWIYSA-N 0 3 316.342 4.394 20 0 DIADHN C[C@@H]1CCCN(Cc2ncc(C(F)(F)F)cc2F)C[C@@H]1C ZINC001248786895 1059976669 /nfs/dbraw/zinc/97/66/69/1059976669.db2.gz IQCQXMFFUVMZKP-MNOVXSKESA-N 0 3 304.331 4.108 20 0 DIADHN C[C@@]12CSC[C@@H]1CN(Cc1c(Cl)oc3ccccc31)C2 ZINC001560204223 1059984435 /nfs/dbraw/zinc/98/44/35/1059984435.db2.gz CMBQCELISANUMI-MEDUHNTESA-N 0 3 307.846 4.271 20 0 DIADHN F[C@H]1CCCN(CCCCOc2cccc(C(F)(F)F)c2)C1 ZINC001560204845 1059985739 /nfs/dbraw/zinc/98/57/39/1059985739.db2.gz BRFDTOKBTFOPDA-AWEZNQCLSA-N 0 3 319.342 4.298 20 0 DIADHN Cc1cc(C)cc(C2CCN(Cc3cc(C)nnc3C)CC2)c1 ZINC001560205252 1059986625 /nfs/dbraw/zinc/98/66/25/1059986625.db2.gz PXEYVVFNOOGLTB-UHFFFAOYSA-N 0 3 309.457 4.090 20 0 DIADHN Cc1cc(CN2CCC(Oc3cccc(C)c3)CC2)cs1 ZINC001248804052 1059987579 /nfs/dbraw/zinc/98/75/79/1059987579.db2.gz KMHPVVZKBGQMLF-UHFFFAOYSA-N 0 3 301.455 4.408 20 0 DIADHN Clc1c(CN2CCC3(CCCO3)CC2)cnc2ccccc12 ZINC001248813141 1059991834 /nfs/dbraw/zinc/99/18/34/1059991834.db2.gz KBPRETOUIRTWJH-UHFFFAOYSA-N 0 3 316.832 4.033 20 0 DIADHN CCCN(Cc1cnc2ccccc2c1Cl)C1CCOCC1 ZINC001248813662 1059992719 /nfs/dbraw/zinc/99/27/19/1059992719.db2.gz HFMWCHAXZJFJKS-UHFFFAOYSA-N 0 3 318.848 4.279 20 0 DIADHN C[C@@]1(CO)CCN(Cc2ccc(-c3ccccc3)cc2Cl)C1 ZINC001248819043 1059999379 /nfs/dbraw/zinc/99/93/79/1059999379.db2.gz KJLQSTFMWTZIFQ-LJQANCHMSA-N 0 3 315.844 4.211 20 0 DIADHN CC(C)OCc1noc(CN2CCCCC23CCCCCC3)n1 ZINC001560230926 1059999924 /nfs/dbraw/zinc/99/99/24/1059999924.db2.gz JFICGVZBCLJEHQ-UHFFFAOYSA-N 0 3 321.465 4.074 20 0 DIADHN Clc1cccc([C@H]2CCN(Cc3ccc4n3CCC4)C2)c1 ZINC001248824521 1060003449 /nfs/dbraw/zinc/00/34/49/1060003449.db2.gz QMNUJUHQXOJIPD-HNNXBMFYSA-N 0 3 300.833 4.077 20 0 DIADHN CC[C@H](OCCN1CCO[C@H](C(C)(C)C)CC1)c1ccccc1 ZINC001560236325 1060003899 /nfs/dbraw/zinc/00/38/99/1060003899.db2.gz KJYXFAUJLWREBF-OALUTQOASA-N 0 3 319.489 4.291 20 0 DIADHN COc1ccc2c(OC)ccc(CN3CC4(C3)CCCC4)c2c1 ZINC001248826389 1060006689 /nfs/dbraw/zinc/00/66/89/1060006689.db2.gz NUCDWLKMULGXIE-UHFFFAOYSA-N 0 3 311.425 4.233 20 0 DIADHN CC(C)CC[C@@H](C)NCc1cc(Br)cnc1Cl ZINC001560247091 1060010448 /nfs/dbraw/zinc/01/04/48/1060010448.db2.gz DJOBUBGENCEVSE-SNVBAGLBSA-N 0 3 319.674 4.412 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1CCC[C@H]2CCC[C@@H]21 ZINC001248846884 1060015701 /nfs/dbraw/zinc/01/57/01/1060015701.db2.gz OWYNYMQPCWGXLD-BZNIZROVSA-N 0 3 323.362 4.346 20 0 DIADHN FC(F)(F)c1ccc2c(c1)n[nH]c2CN1CC[C@H]2CCC[C@H]2C1 ZINC001248845069 1060015869 /nfs/dbraw/zinc/01/58/69/1060015869.db2.gz YCOFZDQWQSDHMO-NEPJUHHUSA-N 0 3 323.362 4.204 20 0 DIADHN Cc1cccc2[nH]c(CNCc3ccc(OC(F)F)cc3)cc21 ZINC001560250186 1060015916 /nfs/dbraw/zinc/01/59/16/1060015916.db2.gz FXOVKCRUSBPHFD-UHFFFAOYSA-N 0 3 316.351 4.368 20 0 DIADHN COc1nsc(CNCCc2ccc(C(C)C)cc2)c1Cl ZINC001560250119 1060016676 /nfs/dbraw/zinc/01/66/76/1060016676.db2.gz BPYXRLOWTRBSBA-UHFFFAOYSA-N 0 3 324.877 4.261 20 0 DIADHN Cc1ccc2[nH]c(CN3CCC[C@H]3Cc3ccc(F)cc3)nc2c1 ZINC001248844198 1060018576 /nfs/dbraw/zinc/01/85/76/1060018576.db2.gz RNLMOGITIYGGLO-KRWDZBQOSA-N 0 3 323.415 4.218 20 0 DIADHN Cc1ccc2nc(CN3CCC[C@H]3Cc3ccc(F)cc3)[nH]c2c1 ZINC001248844198 1060018592 /nfs/dbraw/zinc/01/85/92/1060018592.db2.gz RNLMOGITIYGGLO-KRWDZBQOSA-N 0 3 323.415 4.218 20 0 DIADHN CN(Cc1nc2cccc(Br)c2o1)C[C@H]1CC1(C)C ZINC001248851564 1060021645 /nfs/dbraw/zinc/02/16/45/1060021645.db2.gz QNVOKUXTYUKUAJ-SNVBAGLBSA-N 0 3 323.234 4.068 20 0 DIADHN FC(F)(F)c1ccc2c(c1)[nH]nc2CN1CCCCC12CC2 ZINC001248847669 1060022357 /nfs/dbraw/zinc/02/23/57/1060022357.db2.gz XPILZZBGCUKJAE-UHFFFAOYSA-N 0 3 309.335 4.100 20 0 DIADHN C[C@@H]1CCCN(Cc2coc(-c3ccc(F)cc3)n2)C[C@@H]1C ZINC001248853263 1060023915 /nfs/dbraw/zinc/02/39/15/1060023915.db2.gz ULSRTPMVFXSACN-KGLIPLIRSA-N 0 3 302.393 4.349 20 0 DIADHN COc1ccc(F)cc1CNC[C@H](Nc1ccccc1)C(C)C ZINC001560258189 1060027238 /nfs/dbraw/zinc/02/72/38/1060027238.db2.gz XHRFFZDQGKMSJL-SFHVURJKSA-N 0 3 316.420 4.061 20 0 DIADHN CN(CCNCc1ccc(Oc2ccccc2)o1)c1ccccc1 ZINC001560259218 1060027466 /nfs/dbraw/zinc/02/74/66/1060027466.db2.gz FDWAFJYZPZKOGE-UHFFFAOYSA-N 0 3 322.408 4.298 20 0 DIADHN CC[C@H]1CCCN(Cc2ccc(OCc3ccccc3)cn2)C1 ZINC001248864078 1060028685 /nfs/dbraw/zinc/02/86/85/1060028685.db2.gz OOCGZFAJGYNSMS-KRWDZBQOSA-N 0 3 310.441 4.283 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2ncc(Br)cc2Cl)C1 ZINC001560264044 1060034298 /nfs/dbraw/zinc/03/42/98/1060034298.db2.gz RBVKQUYLYQZMNJ-KOLCDFICSA-N 0 3 317.658 4.166 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NC/C=C/c1ccc(F)c(F)c1 ZINC001560272460 1060044562 /nfs/dbraw/zinc/04/45/62/1060044562.db2.gz BGVMUTYAPWSGCH-PCAWENJQSA-N 0 3 321.342 4.477 20 0 DIADHN COc1cccc([C@@H](C)NCc2cc(C)c3ncccc3c2)c1 ZINC001560283081 1060062150 /nfs/dbraw/zinc/06/21/50/1060062150.db2.gz WYBDIVZSBFJGRO-OAHLLOKOSA-N 0 3 306.409 4.403 20 0 DIADHN CCOc1ccccc1CNC/C=C\c1ccc(F)c(F)c1 ZINC001560285502 1060063660 /nfs/dbraw/zinc/06/36/60/1060063660.db2.gz VJAMPDYRSIHTSK-WAYWQWQTSA-N 0 3 303.352 4.167 20 0 DIADHN COc1ccc(CNCc2cccc(OCC(C)C)c2)c(C)c1 ZINC001560288235 1060066744 /nfs/dbraw/zinc/06/67/44/1060066744.db2.gz FCMKBBCWPVXMQT-UHFFFAOYSA-N 0 3 313.441 4.328 20 0 DIADHN Cc1ccc([C@@H](C)NCc2cnn(-c3ccc(F)cc3)c2)s1 ZINC001560293238 1060075436 /nfs/dbraw/zinc/07/54/36/1060075436.db2.gz WEOKHZWBQVETDA-CYBMUJFWSA-N 0 3 315.417 4.232 20 0 DIADHN CSc1ccc(CN[C@@H](c2ccccc2)[C@@H]2CCCO2)cc1 ZINC001560294192 1060077220 /nfs/dbraw/zinc/07/72/20/1060077220.db2.gz PZKMEACGXUDAEH-OALUTQOASA-N 0 3 313.466 4.418 20 0 DIADHN Cc1cccc(CN[C@H](c2ccc(F)cc2)[C@@H]2CCCO2)c1C ZINC001560295939 1060081481 /nfs/dbraw/zinc/08/14/81/1060081481.db2.gz VBMMYDGZFRBPBW-VQTJNVASSA-N 0 3 313.416 4.452 20 0 DIADHN Cc1ccnc(CNC2(C)CCC(C)CC2)c1Br ZINC001560314095 1060101581 /nfs/dbraw/zinc/10/15/81/1060101581.db2.gz KKCDRXFRELGOQS-UHFFFAOYSA-N 0 3 311.267 4.211 20 0 DIADHN Cc1nnsc1CNC[C@@H](C)c1c(Cl)cccc1Cl ZINC001560303520 1060089527 /nfs/dbraw/zinc/08/95/27/1060089527.db2.gz PDSRDWCTMYJHIU-MRVPVSSYSA-N 0 3 316.257 4.047 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1coc(-c2ccccc2)n1 ZINC001560307570 1060095068 /nfs/dbraw/zinc/09/50/68/1060095068.db2.gz DMUJGDOJSNONLJ-GOSISDBHSA-N 0 3 306.409 4.453 20 0 DIADHN CCCC[C@@H](NCc1cnn2ccccc12)c1ccc(OC)cc1 ZINC001560313317 1060097343 /nfs/dbraw/zinc/09/73/43/1060097343.db2.gz RQZGRLQFVYBOKQ-LJQANCHMSA-N 0 3 323.440 4.364 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2nc3c(s2)CCCC3)cc1 ZINC001560313357 1060099496 /nfs/dbraw/zinc/09/94/96/1060099496.db2.gz UWVWDZLDZGSXNJ-UHFFFAOYSA-N 0 3 314.498 4.398 20 0 DIADHN c1nc(C2CCCC2)sc1CNCCOC1CCCCC1 ZINC001560316799 1060105086 /nfs/dbraw/zinc/10/50/86/1060105086.db2.gz MTINXUWTSHNZJT-UHFFFAOYSA-N 0 3 308.491 4.240 20 0 DIADHN CCCC1CCC(CN[C@H](CO)c2c(F)cccc2F)CC1 ZINC001560331194 1060116667 /nfs/dbraw/zinc/11/66/67/1060116667.db2.gz PBVBSYLRKJTXSL-MQBCKMQZSA-N 0 3 311.416 4.194 20 0 DIADHN Cc1cc(CN2CCCC3(CC(F)C3)CC2)c(Cl)nc1C ZINC001249029881 1060121069 /nfs/dbraw/zinc/12/10/69/1060121069.db2.gz ZWHNZENOQFDVEJ-UHFFFAOYSA-N 0 3 310.844 4.456 20 0 DIADHN Clc1cscc1CN[C@H]1CCCN(c2ccccc2)C1 ZINC001560339835 1060125519 /nfs/dbraw/zinc/12/55/19/1060125519.db2.gz GNHBDRLXEOCHMG-AWEZNQCLSA-N 0 3 306.862 4.160 20 0 DIADHN Cc1ccc(C[C@H](C)NCc2nc3c(s2)C[C@H](C)CC3)s1 ZINC001560342208 1060127843 /nfs/dbraw/zinc/12/78/43/1060127843.db2.gz KQMHSTXSKQCEIU-NEPJUHHUSA-N 0 3 320.527 4.359 20 0 DIADHN CCc1ccc(CN[C@@H](C)[C@H](OC)c2ccc(Cl)cc2)o1 ZINC001560342712 1060128621 /nfs/dbraw/zinc/12/86/21/1060128621.db2.gz DAQVNXIWLMUUJG-SJCJKPOMSA-N 0 3 307.821 4.361 20 0 DIADHN C[C@@H]1CN(Cc2sc(Cl)c3ccccc32)CC[C@@]1(C)O ZINC001249049342 1060130292 /nfs/dbraw/zinc/13/02/92/1060130292.db2.gz DVRKJHPMPBTIFI-BDJLRTHQSA-N 0 3 309.862 4.148 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(C)cc2)o1)C(C)(C)C ZINC001560350705 1060134564 /nfs/dbraw/zinc/13/45/64/1060134564.db2.gz CWILHXWYUWRREK-GOSISDBHSA-N 0 3 301.430 4.406 20 0 DIADHN CC(C)[C@@H](O)CNCc1ccc(-c2ccc(C(C)(C)C)cc2)o1 ZINC001560350064 1060135085 /nfs/dbraw/zinc/13/50/85/1060135085.db2.gz HGHIPXAYDMNIKH-SFHVURJKSA-N 0 3 315.457 4.351 20 0 DIADHN CSc1ccc(F)cc1CNCc1cccc2cnccc21 ZINC001560353539 1060141163 /nfs/dbraw/zinc/14/11/63/1060141163.db2.gz OCFHREPNKMEKQV-UHFFFAOYSA-N 0 3 312.413 4.386 20 0 DIADHN Brc1ncccc1CNCCCCC1CCCC1 ZINC001560355802 1060142533 /nfs/dbraw/zinc/14/25/33/1060142533.db2.gz QRJRZWAVGWKVCR-UHFFFAOYSA-N 0 3 311.267 4.294 20 0 DIADHN COc1ccc(CNC[C@@H](C)Oc2ccccc2Cl)c(F)c1 ZINC001560355985 1060143123 /nfs/dbraw/zinc/14/31/23/1060143123.db2.gz AGCZKPFFQYJRCU-GFCCVEGCSA-N 0 3 323.795 4.045 20 0 DIADHN Clc1sc(CN2CCC3(CCO3)CC2)c2ccccc12 ZINC001249068449 1060143421 /nfs/dbraw/zinc/14/34/21/1060143421.db2.gz VMEYLVMVFFBKSE-UHFFFAOYSA-N 0 3 307.846 4.310 20 0 DIADHN Cc1ccccc1-n1cc(CNCCCCC2CCCC2)nn1 ZINC001560355177 1060143519 /nfs/dbraw/zinc/14/35/19/1060143519.db2.gz QGSQNOPVRWKMSI-UHFFFAOYSA-N 0 3 312.461 4.026 20 0 DIADHN Fc1cc(Cl)ccc1-c1noc([C@@H]2CCCN2CC2CC2)n1 ZINC001249073003 1060144807 /nfs/dbraw/zinc/14/48/07/1060144807.db2.gz QKXJEEUDGRKBMX-AWEZNQCLSA-N 0 3 321.783 4.076 20 0 DIADHN c1cc2cccc(-c3noc([C@@H]4CCCN4CC4CC4)n3)c2o1 ZINC001249072852 1060145490 /nfs/dbraw/zinc/14/54/90/1060145490.db2.gz DJQJHZMWSVQVII-HNNXBMFYSA-N 0 3 309.369 4.030 20 0 DIADHN Fc1ccc(-c2noc([C@@H]3CCCN3CC3CC3)n2)cc1Cl ZINC001249072386 1060146784 /nfs/dbraw/zinc/14/67/84/1060146784.db2.gz DIWQKNHTJCKZGZ-AWEZNQCLSA-N 0 3 321.783 4.076 20 0 DIADHN COc1ccc([C@H](C)NCc2ccc3c(c2)C[C@@H](C)O3)cc1F ZINC001560364674 1060150548 /nfs/dbraw/zinc/15/05/48/1060150548.db2.gz RGOAEEKGCKIYCL-OLZOCXBDSA-N 0 3 315.388 4.009 20 0 DIADHN Fc1ccccc1-c1ccc(CNCCNc2ccccc2)o1 ZINC001560365177 1060150848 /nfs/dbraw/zinc/15/08/48/1060150848.db2.gz OPUHTOIZSWMGNT-UHFFFAOYSA-N 0 3 310.372 4.287 20 0 DIADHN CCc1cccc(F)c1CNC[C@H](CC)Oc1ccccc1F ZINC001560366811 1060153001 /nfs/dbraw/zinc/15/30/01/1060153001.db2.gz QEFQGNJDAQMAGX-HNNXBMFYSA-N 0 3 319.395 4.474 20 0 DIADHN C[C@@]1(CNCc2ccc(F)c(Cl)c2Cl)CCCC[C@H]1O ZINC001560368758 1060154661 /nfs/dbraw/zinc/15/46/61/1060154661.db2.gz CWRBXVWNOMLVJI-DOMZBBRYSA-N 0 3 320.235 4.163 20 0 DIADHN Fc1ccc(C2(NC/C=C\c3ccc(F)c(F)c3)CC2)cc1 ZINC001560368944 1060155478 /nfs/dbraw/zinc/15/54/78/1060155478.db2.gz IMKNJQLOKPDBTO-UPHRSURJSA-N 0 3 303.327 4.396 20 0 DIADHN CC[C@H](CNCc1ccccc1F)Oc1cccc(Cl)c1 ZINC001560371275 1060157404 /nfs/dbraw/zinc/15/74/04/1060157404.db2.gz OJPGKYVBDBGGEX-OAHLLOKOSA-N 0 3 307.796 4.426 20 0 DIADHN CC[C@@H](CNCc1cc(C)ns1)Oc1cccc(Cl)c1 ZINC001560371221 1060157501 /nfs/dbraw/zinc/15/75/01/1060157501.db2.gz MORFQKPISNMDOP-ZDUSSCGKSA-N 0 3 310.850 4.052 20 0 DIADHN c1coc(-c2nc(CN[C@H]3CCCc4ccccc4C3)cs2)c1 ZINC001560373625 1060160592 /nfs/dbraw/zinc/16/05/92/1060160592.db2.gz ANAHEKNBANVQNI-INIZCTEOSA-N 0 3 324.449 4.440 20 0 DIADHN Cc1cccc(CNCCc2ccsc2)c1Br ZINC001560402875 1060187429 /nfs/dbraw/zinc/18/74/29/1060187429.db2.gz KSPLLXLWAIJTTP-UHFFFAOYSA-N 0 3 310.260 4.151 20 0 DIADHN Clc1cccc(-c2noc(C34CCCN3CCC4)n2)c1Cl ZINC001249221744 1060194143 /nfs/dbraw/zinc/19/41/43/1060194143.db2.gz YTYNJQXSNYIRJG-UHFFFAOYSA-N 0 3 324.211 4.128 20 0 DIADHN CCC(CC)[C@H]1C[C@H](NCc2c(F)cc(C)cc2F)CCO1 ZINC001560421139 1060206046 /nfs/dbraw/zinc/20/60/46/1060206046.db2.gz ZRXPVVIOUMERLR-RDTXWAMCSA-N 0 3 311.416 4.347 20 0 DIADHN CCc1cnc(CNCC2(c3ccccc3Cl)CC2)s1 ZINC001560430218 1060217310 /nfs/dbraw/zinc/21/73/10/1060217310.db2.gz DNFUVOKKOKDEEG-UHFFFAOYSA-N 0 3 306.862 4.180 20 0 DIADHN CCc1ccc2c(c1)[C@@H](NCc1ccc3cc[nH]c3c1)CCO2 ZINC001560433389 1060220451 /nfs/dbraw/zinc/22/04/51/1060220451.db2.gz IWKLVMSCSLDHCT-SFHVURJKSA-N 0 3 306.409 4.344 20 0 DIADHN Cc1noc(-c2ccc(CNCc3cccc(C4CC4)c3)cc2)n1 ZINC001560433126 1060221957 /nfs/dbraw/zinc/22/19/57/1060221957.db2.gz ZCAJGOGGIWFIQU-UHFFFAOYSA-N 0 3 319.408 4.212 20 0 DIADHN COc1cc(CN2CC[C@H](C)C(F)(F)CC2)ccc1SC ZINC001249375439 1060232955 /nfs/dbraw/zinc/23/29/55/1060232955.db2.gz FBQZBFHSLFCNCI-LBPRGKRZSA-N 0 3 315.429 4.284 20 0 DIADHN CC[C@@H](C)c1nn(C)cc1CN1CC[C@H](c2ccccc2F)C1 ZINC001249408003 1060236023 /nfs/dbraw/zinc/23/60/23/1060236023.db2.gz UYLHSPYFQLCFTL-CABCVRRESA-N 0 3 315.436 4.062 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCC[C@@H]1c1cccs1 ZINC001249405859 1060237115 /nfs/dbraw/zinc/23/71/15/1060237115.db2.gz BQRLYHJKWZIWIF-DZGCQCFKSA-N 0 3 303.475 4.332 20 0 DIADHN C[C@@H]1CCC[C@@H]1CNCc1c(F)cc(Br)cc1F ZINC001560450541 1060241020 /nfs/dbraw/zinc/24/10/20/1060241020.db2.gz HVTNSQFWXPZFLU-NXEZZACHSA-N 0 3 318.205 4.253 20 0 DIADHN CC[C@H](C)c1nn(C)cc1CN1CCCC2(CC(F)C2)CC1 ZINC001249409233 1060244110 /nfs/dbraw/zinc/24/41/10/1060244110.db2.gz VQAFJMNWMJMBSC-NXVRBGIVSA-N 0 3 307.457 4.038 20 0 DIADHN CCCCN(CCCC)Cc1c[nH]c(NC(=O)OC(C)(C)C)n1 ZINC001249424454 1060247077 /nfs/dbraw/zinc/24/70/77/1060247077.db2.gz OJHGSTCKWAXLCB-UHFFFAOYSA-N 0 3 324.469 4.159 20 0 DIADHN COc1ccccc1[C@H]1CCN(Cc2cc(C(C)(C)C)c[nH]2)C1 ZINC001249476221 1060255393 /nfs/dbraw/zinc/25/53/93/1060255393.db2.gz JKXQYULFAUTDSL-HNNXBMFYSA-N 0 3 312.457 4.310 20 0 DIADHN COC1(CN[C@H]2CCc3cc(F)c(F)c(F)c32)CCCCC1 ZINC001560473714 1060264243 /nfs/dbraw/zinc/26/42/43/1060264243.db2.gz QUTIUIDQMIFNPY-ZDUSSCGKSA-N 0 3 313.363 4.030 20 0 DIADHN CC(C)(C)c1c[nH]c(CN2CCC(Cc3ccncc3)CC2)c1 ZINC001249479401 1060264352 /nfs/dbraw/zinc/26/43/52/1060264352.db2.gz BBYFEKUVEWGPHJ-UHFFFAOYSA-N 0 3 311.473 4.162 20 0 DIADHN CC[C@@H](C)[C@H](CNCc1ccc(-c2cccc(F)c2F)o1)OC ZINC001560479373 1060269175 /nfs/dbraw/zinc/26/91/75/1060269175.db2.gz IWJSMYZVOUCAGM-PXAZEXFGSA-N 0 3 323.383 4.376 20 0 DIADHN CCCCN(CCC)Cc1nc2cc(Br)ccc2[nH]1 ZINC001249518851 1060270394 /nfs/dbraw/zinc/27/03/94/1060270394.db2.gz WOFVBRYTVIVZLT-UHFFFAOYSA-N 0 3 324.266 4.338 20 0 DIADHN Cc1cc(C(F)(F)F)c(CN2CCc3ccccc3CC2)cn1 ZINC001249514746 1060272328 /nfs/dbraw/zinc/27/23/28/1060272328.db2.gz YBJGMSRWYSRFJI-UHFFFAOYSA-N 0 3 320.358 4.010 20 0 DIADHN CO[C@@](C)(CNCc1cc(Cl)ccc1OCC1CC1)C1CC1 ZINC001560481354 1060272008 /nfs/dbraw/zinc/27/20/08/1060272008.db2.gz GYFLBVMORIWCIT-SFHVURJKSA-N 0 3 323.864 4.034 20 0 DIADHN Fc1cc2cc[nH]c2c(CN2CCC(C(F)(F)F)CC2)c1 ZINC001249522551 1060272736 /nfs/dbraw/zinc/27/27/36/1060272736.db2.gz GFKZPORLAOEOII-UHFFFAOYSA-N 0 3 300.299 4.081 20 0 DIADHN CCCC(=CC(=O)N(CCN(C)C)Cc1ccc(C)o1)CCC ZINC001534447882 1060272818 /nfs/dbraw/zinc/27/28/18/1060272818.db2.gz LMPGCDMFGCDBSI-UHFFFAOYSA-N 0 3 320.477 4.005 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H](CO)c2ccc(F)cc2)cc1C ZINC001560483567 1060275627 /nfs/dbraw/zinc/27/56/27/1060275627.db2.gz CLIIMQPTHCCBSL-SGSXCFNPSA-N 0 3 313.416 4.087 20 0 DIADHN OC[C@H](CNCc1c(Cl)cccc1Cl)C1CCCCC1 ZINC001560487181 1060280210 /nfs/dbraw/zinc/28/02/10/1060280210.db2.gz AWQXUMBEGJRHKD-ZDUSSCGKSA-N 0 3 316.272 4.272 20 0 DIADHN c1ccc(COc2ccccc2CNCC2=CCCCC2)nc1 ZINC001560488341 1060283079 /nfs/dbraw/zinc/28/30/79/1060283079.db2.gz BAKJMXQSZYRFJJ-UHFFFAOYSA-N 0 3 308.425 4.251 20 0 DIADHN Cc1ccc(C(C)C)cc1NC1=CC[C@@H](N2CCOCC2)CC1 ZINC001249559111 1060289660 /nfs/dbraw/zinc/28/96/60/1060289660.db2.gz HAJQMFLTZUUFLP-LJQANCHMSA-N 0 3 314.473 4.299 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CNC[C@@H]1CC[C@@H](C)O1 ZINC001560496234 1060289804 /nfs/dbraw/zinc/28/98/04/1060289804.db2.gz JFCAKKAVMRYRFK-BEFAXECRSA-N 0 3 311.425 4.019 20 0 DIADHN Cc1ccc(NC2=CC[C@@H](N3CCOCC3)CC2)c2ccccc12 ZINC001249559416 1060290889 /nfs/dbraw/zinc/29/08/89/1060290889.db2.gz ISAJLXODVISQMW-GOSISDBHSA-N 0 3 322.452 4.329 20 0 DIADHN Cc1ccc(NC2=CC[C@H](N3CCOCC3)CC2)c2ccccc12 ZINC001249559417 1060291140 /nfs/dbraw/zinc/29/11/40/1060291140.db2.gz ISAJLXODVISQMW-SFHVURJKSA-N 0 3 322.452 4.329 20 0 DIADHN CC(C)(C)c1cccc(NC2=CC[C@H](N3CCOCC3)CC2)c1 ZINC001249557870 1060291477 /nfs/dbraw/zinc/29/14/77/1060291477.db2.gz CMAYGFJCEHTYSR-IBGZPJMESA-N 0 3 314.473 4.165 20 0 DIADHN CC(C)(C)[C@@H]1CCC[C@@H]1NCc1ncc(Br)s1 ZINC001560502571 1060297135 /nfs/dbraw/zinc/29/71/35/1060297135.db2.gz SIYZSGDWNJQFEV-ZJUUUORDSA-N 0 3 317.296 4.210 20 0 DIADHN CO[C@H](CNCc1ccccc1OC1CCCC1)CC(C)C ZINC001560509196 1060307009 /nfs/dbraw/zinc/30/70/09/1060307009.db2.gz UHMWGRXMBJDWAR-SFHVURJKSA-N 0 3 305.462 4.159 20 0 DIADHN Clc1ccsc1CNC[C@H]1CSc2ccccc2O1 ZINC001560515379 1060311398 /nfs/dbraw/zinc/31/13/98/1060311398.db2.gz VQYPQYFMDPXKAB-JTQLQIEISA-N 0 3 311.859 4.044 20 0 DIADHN CSc1ccc(CNCC2(c3ccccc3F)CCC2)o1 ZINC001560522711 1060322589 /nfs/dbraw/zinc/32/25/89/1060322589.db2.gz BPNSONGWFMSKQN-UHFFFAOYSA-N 0 3 305.418 4.352 20 0 DIADHN Cc1ccc(-n2cc(CN[C@@H]3C=CCC3)c(C(C)(C)C)n2)cc1 ZINC001560526629 1060325788 /nfs/dbraw/zinc/32/57/88/1060325788.db2.gz YXPCSWDYRNRDOK-QGZVFWFLSA-N 0 3 309.457 4.286 20 0 DIADHN CC(C)(C)OC(=O)[C@@H]1CC[C@H](NCc2cscc2Cl)C1 ZINC001560525390 1060327395 /nfs/dbraw/zinc/32/73/95/1060327395.db2.gz ZLCYXYJMRYJVPY-PWSUYJOCSA-N 0 3 315.866 4.002 20 0 DIADHN CCCC(CCC)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001526773555 1060332960 /nfs/dbraw/zinc/33/29/60/1060332960.db2.gz HVMABTQOWMRFAK-LPHOPBHVSA-N 0 3 316.489 4.155 20 0 DIADHN COc1cc(-c2ccccc2)ccc1CN[C@]12C[C@H]1COC2(C)C ZINC001560532314 1060335131 /nfs/dbraw/zinc/33/51/31/1060335131.db2.gz HKUOQLUBOJWDQG-GHTZIAJQSA-N 0 3 323.436 4.019 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2sccc2Cl)cc1 ZINC001560534525 1060338716 /nfs/dbraw/zinc/33/87/16/1060338716.db2.gz FGLCHPSRKPLBJI-DZGCQCFKSA-N 0 3 307.846 4.446 20 0 DIADHN CCCCOc1ccc(CNCc2cccc(OC)c2C)cc1 ZINC001560539666 1060345594 /nfs/dbraw/zinc/34/55/94/1060345594.db2.gz LCUCSBOHEBFWNU-UHFFFAOYSA-N 0 3 313.441 4.472 20 0 DIADHN C[C@@H](CN[C@H](CO)c1ccc(F)cc1F)CC1CCCCC1 ZINC001560541302 1060345863 /nfs/dbraw/zinc/34/58/63/1060345863.db2.gz RMQGBPUTMDASGM-FZKQIMNGSA-N 0 3 311.416 4.194 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(C)cccc32)c(OC)c1 ZINC001560544305 1060352533 /nfs/dbraw/zinc/35/25/33/1060352533.db2.gz UIXRCYDAZBGMKJ-IBGZPJMESA-N 0 3 311.425 4.179 20 0 DIADHN CCC[C@H](CNCc1cc(Cl)ccc1OC(F)F)OCC ZINC001560544763 1060352947 /nfs/dbraw/zinc/35/29/47/1060352947.db2.gz HMQDLXVSEBNBDP-CYBMUJFWSA-N 0 3 321.795 4.236 20 0 DIADHN c1ccc(S[C@H]2C[C@H](NCc3nc4c(s3)CCC4)C2)cc1 ZINC001560549689 1060356833 /nfs/dbraw/zinc/35/68/33/1060356833.db2.gz NORMDCHDBBWPQY-MQMHXKEQSA-N 0 3 316.495 4.045 20 0 DIADHN c1sc(-c2ccccn2)nc1CNCC1CCC2(CC2)CC1 ZINC001560549066 1060357457 /nfs/dbraw/zinc/35/74/57/1060357457.db2.gz OBALPRJWANJKJT-UHFFFAOYSA-N 0 3 313.470 4.265 20 0 DIADHN c1cc(Oc2ccccc2)oc1CNCC[C@H]1CCCS1 ZINC001560547402 1060358972 /nfs/dbraw/zinc/35/89/72/1060358972.db2.gz GDHNPONWHSQPPU-MRXNPFEDSA-N 0 3 303.427 4.447 20 0 DIADHN CN(C)[C@@H]1CCOc2c(Nc3ccc(N)cc3Cl)cccc21 ZINC001249739145 1060380967 /nfs/dbraw/zinc/38/09/67/1060380967.db2.gz HRSSAXWUGYEAIV-MRXNPFEDSA-N 0 3 317.820 4.051 20 0 DIADHN CCC[C@H](C)CCNCc1cc(Br)cnc1Cl ZINC001560573659 1060387098 /nfs/dbraw/zinc/38/70/98/1060387098.db2.gz ZCVMRMIFEFLCDZ-JTQLQIEISA-N 0 3 319.674 4.413 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCC(C)(C)CC(F)F ZINC001560573412 1060389303 /nfs/dbraw/zinc/38/93/03/1060389303.db2.gz SJDZBXAVXYTELW-UHFFFAOYSA-N 0 3 314.401 4.483 20 0 DIADHN c1cc(-c2nc(CNCC[C@@H]3C[C@H]3C3CC3)cs2)cs1 ZINC001560588977 1060400381 /nfs/dbraw/zinc/40/03/81/1060400381.db2.gz XWZHKXPCQLFUQU-DOMZBBRYSA-N 0 3 304.484 4.397 20 0 DIADHN C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNCc1csc(-c2ccsc2)n1 ZINC001560590299 1060402705 /nfs/dbraw/zinc/40/27/05/1060402705.db2.gz ROFJDBFHBPTCMO-UEEZHKSASA-N 0 3 304.484 4.253 20 0 DIADHN CN(C)c1ccnc(CNCc2ccc(C3CCCC3)cc2)c1 ZINC001560592013 1060405180 /nfs/dbraw/zinc/40/51/80/1060405180.db2.gz VOQIKACPYYFGIM-UHFFFAOYSA-N 0 3 309.457 4.095 20 0 DIADHN CC(C)Cc1ccc(CNCc2ccc3c(c2)ncn3C)cc1 ZINC001560590820 1060405221 /nfs/dbraw/zinc/40/52/21/1060405221.db2.gz GAAZOBHXRGIWOK-UHFFFAOYSA-N 0 3 307.441 4.062 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)ccc2OCC2CC2)CCCCO1 ZINC001560606150 1060419185 /nfs/dbraw/zinc/41/91/85/1060419185.db2.gz JQIRKDCJECINAL-SFHVURJKSA-N 0 3 323.864 4.178 20 0 DIADHN Cc1c[nH]c(=O)c(CNCc2ccc(-c3cccs3)cc2)c1 ZINC001560610762 1060423703 /nfs/dbraw/zinc/42/37/03/1060423703.db2.gz GLQBHEWCWSANDC-UHFFFAOYSA-N 0 3 310.422 4.114 20 0 DIADHN COc1cc([C@@H](C)NCc2cccc(O)c2)cc2ccccc21 ZINC001560609946 1060424866 /nfs/dbraw/zinc/42/48/66/1060424866.db2.gz FPLKBACVQYVMPI-CQSZACIVSA-N 0 3 307.393 4.405 20 0 DIADHN COc1ccc(CNCCCOc2cccc(F)c2)c(Cl)c1 ZINC001560612811 1060427888 /nfs/dbraw/zinc/42/78/88/1060427888.db2.gz ZSMDDPZBSQLIAD-UHFFFAOYSA-N 0 3 323.795 4.046 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC001560615195 1060430416 /nfs/dbraw/zinc/43/04/16/1060430416.db2.gz JKPSQFJTOZGQLJ-OPQQBVKSSA-N 0 3 303.299 4.003 20 0 DIADHN C[C@H]1CC[C@](CO)(NCc2ccc(C3CC3)cc2)c2ccccc21 ZINC001560619153 1060431745 /nfs/dbraw/zinc/43/17/45/1060431745.db2.gz KKRFQAXWABDTGG-KSFYIVLOSA-N 0 3 321.464 4.439 20 0 DIADHN Fc1cc(CNC[C@H]2OCc3ccccc32)ccc1C(F)F ZINC001560619587 1060433083 /nfs/dbraw/zinc/43/30/83/1060433083.db2.gz APGOVXHZUPKXEC-MRXNPFEDSA-N 0 3 307.315 4.124 20 0 DIADHN Cc1noc2ncc(CN3CC[C@H](c4ccccc4)[C@H](C)C3)cc12 ZINC001560631629 1060444904 /nfs/dbraw/zinc/44/49/04/1060444904.db2.gz IJIWJOXBPSHCNV-KDOFPFPSSA-N 0 3 321.424 4.157 20 0 DIADHN CN(CCOC(C)(C)C)Cc1cccc(Oc2ccccn2)c1 ZINC001560633057 1060445588 /nfs/dbraw/zinc/44/55/88/1060445588.db2.gz GUEYCCSYUCTXSP-UHFFFAOYSA-N 0 3 314.429 4.121 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001560635702 1060447074 /nfs/dbraw/zinc/44/70/74/1060447074.db2.gz LCNLOMKVDQUETE-VXGBXAGGSA-N 0 3 321.408 4.402 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2ccsc2C(F)(F)F)C1(C)C ZINC001560635699 1060448009 /nfs/dbraw/zinc/44/80/09/1060448009.db2.gz LCNLOMKVDQUETE-NEPJUHHUSA-N 0 3 321.408 4.402 20 0 DIADHN CCc1nn(C)c(CC)c1CN1CCc2ccccc2[C@@H]1CC ZINC001560640179 1060455300 /nfs/dbraw/zinc/45/53/00/1060455300.db2.gz MIATVTBVZHRHID-FQEVSTJZSA-N 0 3 311.473 4.054 20 0 DIADHN CC[C@@H]1CCC[C@@H](CN(C)CC(=O)Nc2c(C)cccc2C)C1 ZINC001560639605 1060455461 /nfs/dbraw/zinc/45/54/61/1060455461.db2.gz XSOJEBNBFYZTKY-QZTJIDSGSA-N 0 3 316.489 4.390 20 0 DIADHN CCCCC[C@@H]1CCCN1Cc1c(OC)cc(F)cc1OC ZINC001560641219 1060461454 /nfs/dbraw/zinc/46/14/54/1060461454.db2.gz WNSVNRYSOSKESG-OAHLLOKOSA-N 0 3 309.425 4.388 20 0 DIADHN Cc1n[nH]c(CN2CCCCC[C@H]2/C=C/c2ccccc2)c1C ZINC001560649054 1060472862 /nfs/dbraw/zinc/47/28/62/1060472862.db2.gz YZHXIVVIPPADDD-HYSAVQALSA-N 0 3 309.457 4.485 20 0 DIADHN CCN(Cc1cnn(C)c1)Cc1ccccc1-c1ccccc1C ZINC001560663070 1060491140 /nfs/dbraw/zinc/49/11/40/1060491140.db2.gz GEPXMICCJFOCDM-UHFFFAOYSA-N 0 3 319.452 4.418 20 0 DIADHN CCc1ccccc1NC(=O)CN(C)C[C@H]1CCC[C@@H](CC)C1 ZINC001560669630 1060501242 /nfs/dbraw/zinc/50/12/42/1060501242.db2.gz VLAXYQVOXWIYIG-SJORKVTESA-N 0 3 316.489 4.336 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(OC)c(F)c2)c(C)c1 ZINC001560670232 1060503172 /nfs/dbraw/zinc/50/31/72/1060503172.db2.gz YDASKBRUXFWSCF-UHFFFAOYSA-N 0 3 317.404 4.173 20 0 DIADHN Cc1ncc(CN2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)n1C ZINC001560673891 1060506066 /nfs/dbraw/zinc/50/60/66/1060506066.db2.gz FMTSRBVJDFZGKS-LJQANCHMSA-N 0 3 311.473 4.363 20 0 DIADHN Cc1ncc(CN2CCC[C@H]2c2ccc(C(C)(C)C)cc2)n1C ZINC001560673890 1060507919 /nfs/dbraw/zinc/50/79/19/1060507919.db2.gz FMTSRBVJDFZGKS-IBGZPJMESA-N 0 3 311.473 4.363 20 0 DIADHN CCOc1cc(F)cc(CN(C)[C@@H]2C[C@H](OCC)C23CCC3)c1 ZINC001560675698 1060511179 /nfs/dbraw/zinc/51/11/79/1060511179.db2.gz WPAMNKKYDYLNRJ-MSOLQXFVSA-N 0 3 321.436 4.004 20 0 DIADHN Cc1noc2ncc(CN3CCC[C@H]3c3cccc(C)c3)cc12 ZINC001560675427 1060511376 /nfs/dbraw/zinc/51/13/76/1060511376.db2.gz RRTIMOSGOFZQLD-SFHVURJKSA-N 0 3 307.397 4.177 20 0 DIADHN COc1cc(OC)c(CN2CCC[C@@H]2CCC(C)C)cc1F ZINC001560675545 1060513302 /nfs/dbraw/zinc/51/33/02/1060513302.db2.gz JHIAVDFKHKYFQL-OAHLLOKOSA-N 0 3 309.425 4.244 20 0 DIADHN Cc1ccccc1[C@@H]1CN(Cc2cccc3c2CCC3)[C@@H](C)CO1 ZINC001560678442 1060516096 /nfs/dbraw/zinc/51/60/96/1060516096.db2.gz CRKHZACQNXBHEE-JTSKRJEESA-N 0 3 321.464 4.446 20 0 DIADHN c1ccc(COc2cc(CN3CC4CCC3CC4)ccn2)cc1 ZINC001249976213 1060516837 /nfs/dbraw/zinc/51/68/37/1060516837.db2.gz PWGDBJYPNAQYGU-UHFFFAOYSA-N 0 3 308.425 4.035 20 0 DIADHN CCOC(=O)CCCCc1cccc(CN2CCCCC2)c1F ZINC001249981611 1060518926 /nfs/dbraw/zinc/51/89/26/1060518926.db2.gz SMRATAHISIDPEW-UHFFFAOYSA-N 0 3 321.436 4.088 20 0 DIADHN CC[C@@H](c1ccccc1)N(CC)Cc1c2c(nn1C)CCCC2 ZINC001560679455 1060521564 /nfs/dbraw/zinc/52/15/64/1060521564.db2.gz OKSFJCBNCSYUKF-IBGZPJMESA-N 0 3 311.473 4.272 20 0 DIADHN Cc1cc(CN2CCc3c(C)cccc3C2)c2c(n1)CCCC2 ZINC001560684307 1060527697 /nfs/dbraw/zinc/52/76/97/1060527697.db2.gz GUIHZQUWVAJODG-UHFFFAOYSA-N 0 3 306.453 4.136 20 0 DIADHN C[C@H](CCN(Cc1ccco1)C[C@H]1CCCO1)c1ccccc1 ZINC001560682025 1060527836 /nfs/dbraw/zinc/52/78/36/1060527836.db2.gz AOVBCODNVQJGQB-YLJYHZDGSA-N 0 3 313.441 4.454 20 0 DIADHN CCc1ccc(CN2CCN(CCCCC(C)C)CC2)s1 ZINC001560687376 1060532985 /nfs/dbraw/zinc/53/29/85/1060532985.db2.gz UPDXKDRHLDZODK-UHFFFAOYSA-N 0 3 308.535 4.254 20 0 DIADHN CC[C@@H]1C[C@H](C)CN1Cc1cccc(Br)c1OC ZINC001560687627 1060536587 /nfs/dbraw/zinc/53/65/87/1060536587.db2.gz NBJCJXOZNPSZRN-WCQYABFASA-N 0 3 312.251 4.078 20 0 DIADHN CC(C)=CCC[C@H](C)CCN(C)CC(=O)NCc1cccs1 ZINC001560691955 1060541563 /nfs/dbraw/zinc/54/15/63/1060541563.db2.gz OXFNVCJBECMCRA-INIZCTEOSA-N 0 3 322.518 4.069 20 0 DIADHN CCOc1cc(CN2CCC(C)(c3ccccc3)CC2)ccn1 ZINC001250019495 1060542874 /nfs/dbraw/zinc/54/28/74/1060542874.db2.gz SSQYXSBDFJUWHF-UHFFFAOYSA-N 0 3 310.441 4.034 20 0 DIADHN C[C@@H]1CN(Cc2cccc3ccoc32)C[C@H](c2ccccc2)O1 ZINC001560699051 1060549434 /nfs/dbraw/zinc/54/94/34/1060549434.db2.gz HNAZCFUGXLBIRB-DNVCBOLYSA-N 0 3 307.393 4.395 20 0 DIADHN CCN(CC)Cc1ccc(F)c(CCC(=O)c2ccccc2)c1 ZINC001250025960 1060550647 /nfs/dbraw/zinc/55/06/47/1060550647.db2.gz GVHSIOAAZLNBFM-UHFFFAOYSA-N 0 3 313.416 4.483 20 0 DIADHN O=C(CCc1cccc(CN2CCCCC2)c1)c1ccccc1 ZINC001250024461 1060551376 /nfs/dbraw/zinc/55/13/76/1060551376.db2.gz LCWKVZMKNPYRCO-UHFFFAOYSA-N 0 3 307.437 4.488 20 0 DIADHN Cc1ccc2nc(CN(Cc3ccccc3)CC3CCC3)cn2c1 ZINC001560700581 1060553342 /nfs/dbraw/zinc/55/33/42/1060553342.db2.gz UCQYUBKPZQERIZ-UHFFFAOYSA-N 0 3 319.452 4.445 20 0 DIADHN CCC1(C)CCN(Cc2ccc(OC(F)F)c(F)c2)CC1 ZINC001560700655 1060554089 /nfs/dbraw/zinc/55/40/89/1060554089.db2.gz VBKRNJWMTDNZES-UHFFFAOYSA-N 0 3 301.352 4.439 20 0 DIADHN C=Cn1cc(CN(Cc2ccc(F)cc2)C2CCCCC2)cn1 ZINC001560701343 1060557154 /nfs/dbraw/zinc/55/71/54/1060557154.db2.gz KFWLODZXSXJPPX-UHFFFAOYSA-N 0 3 313.420 4.458 20 0 DIADHN Cc1ccc(C2CCN(C/C=C/c3cccc(F)c3)CC2)cn1 ZINC001560704314 1060560417 /nfs/dbraw/zinc/56/04/17/1060560417.db2.gz DCYJYVQLAQBKET-HWKANZROSA-N 0 3 310.416 4.422 20 0 DIADHN Cc1ccc(CC2CCN(Cc3cnc(F)cc3C)CC2)cc1 ZINC001560704294 1060561049 /nfs/dbraw/zinc/56/10/49/1060561049.db2.gz CISJJMUNDWKMSP-UHFFFAOYSA-N 0 3 312.432 4.292 20 0 DIADHN C[C@@H](c1cccc(Cl)c1)N(C)Cc1ccc2[nH]c(=O)[nH]c2c1 ZINC001560716890 1060572191 /nfs/dbraw/zinc/57/21/91/1060572191.db2.gz SHVOQDNRTDABNF-NSHDSACASA-N 0 3 315.804 4.115 20 0 DIADHN c1ccc2cc(CC3=CC[C@@H](N4CCOCC4)CC3)ccc2c1 ZINC001250072938 1060585984 /nfs/dbraw/zinc/58/59/84/1060585984.db2.gz KITNLBATDIJEBH-OAQYLSRUSA-N 0 3 307.437 4.193 20 0 DIADHN Fc1ccc(C2=CCN(Cc3ccc4c(c3)CCCO4)CC2)cc1 ZINC001560722831 1060586298 /nfs/dbraw/zinc/58/62/98/1060586298.db2.gz XASBYBVLMKOACY-UHFFFAOYSA-N 0 3 323.411 4.440 20 0 DIADHN CCOc1ccc(CN(CCOC)Cc2ccccc2)c(C)c1 ZINC001560724974 1060588316 /nfs/dbraw/zinc/58/83/16/1060588316.db2.gz FGKPWORRDSAVRJ-UHFFFAOYSA-N 0 3 313.441 4.042 20 0 DIADHN COc1ccccc1CN(C)Cc1cccc2c(OC)cccc12 ZINC001560725395 1060588461 /nfs/dbraw/zinc/58/84/61/1060588461.db2.gz VPQXZZYUFMPLQC-UHFFFAOYSA-N 0 3 321.420 4.489 20 0 DIADHN CC[C@@H](C)[C@H](CN(C)Cc1ccccc1OC(F)(F)F)OC ZINC001560727506 1060592562 /nfs/dbraw/zinc/59/25/62/1060592562.db2.gz KBIYHIOBRDFHOH-DOMZBBRYSA-N 0 3 319.367 4.078 20 0 DIADHN CCc1cccc(CN(Cc2cccs2)C[C@H]2CCCO2)c1 ZINC001560731567 1060596493 /nfs/dbraw/zinc/59/64/93/1060596493.db2.gz YTRNPPPILPAHHH-GOSISDBHSA-N 0 3 315.482 4.492 20 0 DIADHN C[C@H]1C[C@@H](N2CCN(C3CCCC3)CC2)c2ccsc2S1 ZINC001560729109 1060598678 /nfs/dbraw/zinc/59/86/78/1060598678.db2.gz VVMYTFWLWOBALW-XJKSGUPXSA-N 0 3 322.543 4.234 20 0 DIADHN COc1ccc(CN(Cc2ccc3scnc3c2)C2CC2)cc1 ZINC001560730527 1060598740 /nfs/dbraw/zinc/59/87/40/1060598740.db2.gz BUMDDDSOHRSKJM-UHFFFAOYSA-N 0 3 324.449 4.470 20 0 DIADHN Fc1ccccc1CN(C[C@H]1CCN(c2ccccc2)C1)C1CC1 ZINC001560731018 1060599617 /nfs/dbraw/zinc/59/96/17/1060599617.db2.gz ODCVUSKLNIJQRO-KRWDZBQOSA-N 0 3 324.443 4.317 20 0 DIADHN Fc1cccc(CN(Cc2ccc3c(c2)CCCO3)C2CC2)c1 ZINC001560729746 1060599694 /nfs/dbraw/zinc/59/96/94/1060599694.db2.gz SEUJDRKXLMUFAX-UHFFFAOYSA-N 0 3 311.400 4.315 20 0 DIADHN COc1cc(OC)c(Nc2ccnc(C3CC3)c2)cc1Cl ZINC001250095678 1060604602 /nfs/dbraw/zinc/60/46/02/1060604602.db2.gz SBHDORQBWBXNEA-UHFFFAOYSA-N 0 3 304.777 4.373 20 0 DIADHN COc1cc(F)c(C)cc1CN1CCC2(CC[C@H](F)C2)CC1 ZINC001250112226 1060617734 /nfs/dbraw/zinc/61/77/34/1060617734.db2.gz CXYRDFWXBOCTSM-HNNXBMFYSA-N 0 3 309.400 4.247 20 0 DIADHN CC[C@@H](CCc1ccccc1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC001560751537 1060619176 /nfs/dbraw/zinc/61/91/76/1060619176.db2.gz PPTXRNTWNLLKSX-DOTOQJQBSA-N 0 3 317.379 4.399 20 0 DIADHN COc1cccc([C@@H]2C[C@H](C)CN2Cc2ccc3c(n2)CCC3)c1 ZINC001560753218 1060620439 /nfs/dbraw/zinc/62/04/39/1060620439.db2.gz ZEQXWABCBVWQAQ-BTYIYWSLSA-N 0 3 322.452 4.162 20 0 DIADHN Clc1ccnc(Cl)c1CNCCC1Cc2ccccc2C1 ZINC001560754489 1060620607 /nfs/dbraw/zinc/62/06/07/1060620607.db2.gz KDCKAWDHNNWROQ-UHFFFAOYSA-N 0 3 321.251 4.283 20 0 DIADHN Cc1cc(C)c2c(c1)CN(Cc1cccc3c1NCCC3)CC2 ZINC001560766732 1060632191 /nfs/dbraw/zinc/63/21/91/1060632191.db2.gz YLCWDDLXIOFDQN-UHFFFAOYSA-N 0 3 306.453 4.220 20 0 DIADHN c1cc(C2CCN(Cc3nccc4c3CCCC4)CC2)cs1 ZINC001560767185 1060632304 /nfs/dbraw/zinc/63/23/04/1060632304.db2.gz OXZAXROEXWSOER-UHFFFAOYSA-N 0 3 312.482 4.402 20 0 DIADHN CCc1cccc2cc(CN3CCOCC4(CCCC4)C3)oc21 ZINC001560767982 1060632792 /nfs/dbraw/zinc/63/27/92/1060632792.db2.gz MJSHUNDQYZIPRB-UHFFFAOYSA-N 0 3 313.441 4.388 20 0 DIADHN COc1c2ccccc2oc1CN[C@H](C)Cc1ccccc1F ZINC001560769190 1060634597 /nfs/dbraw/zinc/63/45/97/1060634597.db2.gz UGXDGIDZADACBJ-CYBMUJFWSA-N 0 3 313.372 4.301 20 0 DIADHN Cc1nn(-c2ccccc2Cl)cc1CN1CCCC2(CC2)C1 ZINC001560777561 1060640402 /nfs/dbraw/zinc/64/04/02/1060640402.db2.gz MTWJEPBOKCHTIF-UHFFFAOYSA-N 0 3 315.848 4.210 20 0 DIADHN CSc1ccccc1[C@H](C)NC1CC(C(=O)OC(C)(C)C)C1 ZINC001560780031 1060642109 /nfs/dbraw/zinc/64/21/09/1060642109.db2.gz AWMYVGBLICOVRO-HSBZDZAISA-N 0 3 321.486 4.179 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2C[C@H](c3ccc(Cl)cc3)C2)cc1F ZINC001560784382 1060644021 /nfs/dbraw/zinc/64/40/21/1060644021.db2.gz NOXYWVIRTDQBMN-CTYIDZIISA-N 0 3 305.780 4.221 20 0 DIADHN Fc1cc(CCN2CCCC2)cc(F)c1OCc1ccccc1 ZINC001250166539 1060654432 /nfs/dbraw/zinc/65/44/32/1060654432.db2.gz LVRBHVLHQJCLOP-UHFFFAOYSA-N 0 3 317.379 4.182 20 0 DIADHN CCOc1cc(C)ccc1CN1CCC2(CC(F)(F)C2)CC1 ZINC001250171661 1060657366 /nfs/dbraw/zinc/65/73/66/1060657366.db2.gz RBMWFBKAPGYSPL-UHFFFAOYSA-N 0 3 309.400 4.405 20 0 DIADHN COCc1c(C)cc(C)c(CN2CCO[C@H](C(C)(C)C)C2)c1C ZINC001560801194 1060661781 /nfs/dbraw/zinc/66/17/81/1060661781.db2.gz IAGHKUATDJZBNU-IBGZPJMESA-N 0 3 319.489 4.005 20 0 DIADHN CCOc1cc(C)ccc1CN1CCCC2(CC(F)C2)CC1 ZINC001250179620 1060662242 /nfs/dbraw/zinc/66/22/42/1060662242.db2.gz HUWWXVLMNPCSRH-UHFFFAOYSA-N 0 3 305.437 4.498 20 0 DIADHN CCO[C@@H]1CCC[C@@H](N[C@H](c2ccc(C)cc2)c2ccccn2)C1 ZINC001560802935 1060664279 /nfs/dbraw/zinc/66/42/79/1060664279.db2.gz ISSITEVRRINCHN-SFHLNBCPSA-N 0 3 324.468 4.417 20 0 DIADHN COc1c(F)c(Cl)ccc1Nc1ccc2c(c1)CN(C)CC2 ZINC001250194719 1060673028 /nfs/dbraw/zinc/67/30/28/1060673028.db2.gz ASFHWDCOVFQCCF-UHFFFAOYSA-N 0 3 320.795 4.219 20 0 DIADHN CC[C@@H](NCc1c(Cl)cccc1SC(C)C)[C@@H](C)O ZINC001560830799 1060688446 /nfs/dbraw/zinc/68/84/46/1060688446.db2.gz IFLQZWMVKDMNDW-BXUZGUMPSA-N 0 3 301.883 4.090 20 0 DIADHN Cc1nc(Cc2cccc(CN3CCCCC3)c2F)cs1 ZINC001250198727 1060677780 /nfs/dbraw/zinc/67/77/80/1060677780.db2.gz SGBMPPAHKNDLFZ-UHFFFAOYSA-N 0 3 304.434 4.167 20 0 DIADHN Cc1ccnn1C1CCN(Cc2ccc(C)c(Cl)c2C)CC1 ZINC001250203241 1060681613 /nfs/dbraw/zinc/68/16/13/1060681613.db2.gz DQSHURTVROYOSH-UHFFFAOYSA-N 0 3 317.864 4.299 20 0 DIADHN Cc1nnc([C@H]2CCCN(Cc3ccc(C)c(Cl)c3C)C2)o1 ZINC001250203650 1060682891 /nfs/dbraw/zinc/68/28/91/1060682891.db2.gz JZOFMEQELPNERR-HNNXBMFYSA-N 0 3 319.836 4.028 20 0 DIADHN CC[C@@H](C)N1CCN([C@@H]2C[C@H](C)Sc3sccc32)CC1 ZINC001560834869 1060691056 /nfs/dbraw/zinc/69/10/56/1060691056.db2.gz WWQOFOKDEIHKBD-VNHYZAJKSA-N 0 3 310.532 4.090 20 0 DIADHN Cc1c(Cl)cccc1CN(C)Cc1ccccc1N(C)C ZINC001560863079 1060723714 /nfs/dbraw/zinc/72/37/14/1060723714.db2.gz HRZAHBUKSXFHKU-UHFFFAOYSA-N 0 3 302.849 4.346 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2occc2C)[C@H]1Sc1ccccc1 ZINC001560865391 1060724488 /nfs/dbraw/zinc/72/44/88/1060724488.db2.gz YHHUZBSIBVITSG-LZLYRXPVSA-N 0 3 317.454 4.016 20 0 DIADHN Clc1cccc(Cl)c1[C@H](N[C@@H]1C[C@@H]2COC[C@H]12)C1CC1 ZINC001560866697 1060728128 /nfs/dbraw/zinc/72/81/28/1060728128.db2.gz MUEGOAHFBQGQHI-YHYOWMERSA-N 0 3 312.240 4.069 20 0 DIADHN Clc1ccc(CC2CN(C[C@@H]3CC3(Cl)Cl)C2)cc1 ZINC001560875910 1060736366 /nfs/dbraw/zinc/73/63/66/1060736366.db2.gz MHNHSUKOOWJDCI-LBPRGKRZSA-N 0 3 304.648 4.008 20 0 DIADHN CO[C@@H]1C[C@@H](N[C@@H](C)c2ccc(-c3ccccc3)cn2)C12CCC2 ZINC001560878052 1060737403 /nfs/dbraw/zinc/73/74/03/1060737403.db2.gz KWJGVSHPIVUSMT-CWFSZBLJSA-N 0 3 322.452 4.357 20 0 DIADHN FC1(F)[C@@H]2CCN(Cc3cc4nc(Cl)ccc4s3)C[C@@H]21 ZINC001560877270 1060738475 /nfs/dbraw/zinc/73/84/75/1060738475.db2.gz OMTWRGFRPQLMDW-ZJUUUORDSA-N 0 3 314.788 4.037 20 0 DIADHN CO[C@@H]1C[C@H](N[C@@H](C)c2ccc(-c3ccccc3)cn2)C12CCC2 ZINC001560878053 1060739582 /nfs/dbraw/zinc/73/95/82/1060739582.db2.gz KWJGVSHPIVUSMT-RYGJVYDSSA-N 0 3 322.452 4.357 20 0 DIADHN CCOc1ccc(CN(C)Cc2ccc(C)c(C)c2)cc1F ZINC001560885638 1060748106 /nfs/dbraw/zinc/74/81/06/1060748106.db2.gz JNKHZBSPMDMDGY-UHFFFAOYSA-N 0 3 301.405 4.473 20 0 DIADHN Clc1nccc2oc(CN3CCSC4(CCC4)CC3)cc21 ZINC001560886074 1060748334 /nfs/dbraw/zinc/74/83/34/1060748334.db2.gz UEELKUBIWSYYFH-UHFFFAOYSA-N 0 3 322.861 4.343 20 0 DIADHN COc1cc(OC)c(CN2CC[C@H](CC(C)(C)C)C2)cc1F ZINC001560888608 1060751576 /nfs/dbraw/zinc/75/15/76/1060751576.db2.gz BREVKCPRCSBBAW-CYBMUJFWSA-N 0 3 309.425 4.101 20 0 DIADHN Cn1ncc(C2CC2)c1CN1CC(C)(C)C[C@@H]1c1ccccc1 ZINC001560899146 1060761284 /nfs/dbraw/zinc/76/12/84/1060761284.db2.gz YYIUFRDNDNOCAD-GOSISDBHSA-N 0 3 309.457 4.271 20 0 DIADHN Fc1cc(Cl)ccc1CNC[C@@H]1CSc2ccccc2O1 ZINC001560905123 1060767427 /nfs/dbraw/zinc/76/74/27/1060767427.db2.gz RHVMQYYYKIAHAS-CYBMUJFWSA-N 0 3 323.820 4.122 20 0 DIADHN CC(C)[C@H]1N(Cc2conc2Cc2ccccc2)CC12CC=CC2 ZINC001560915479 1060777394 /nfs/dbraw/zinc/77/73/94/1060777394.db2.gz NMAQQYPSXMSQER-HXUWFJFHSA-N 0 3 322.452 4.442 20 0 DIADHN CC[C@@H]1CN(CCc2ccc(C(C)(C)C)cc2)[C@@H](CC)CO1 ZINC001560919744 1060783730 /nfs/dbraw/zinc/78/37/30/1060783730.db2.gz MBGKRILMRCXABE-RBUKOAKNSA-N 0 3 303.490 4.416 20 0 DIADHN C(c1nc2c(s1)CCCC2)N1CC[C@H](C2CCCCC2)C1 ZINC001560921364 1060785534 /nfs/dbraw/zinc/78/55/34/1060785534.db2.gz JXIWKZQPPAJSFY-HNNXBMFYSA-N 0 3 304.503 4.424 20 0 DIADHN C[C@@H]1CN([C@@H]2CC[C@@](C)(c3ccccc3)C2)C[C@](C)(C(F)F)O1 ZINC001560938896 1060800847 /nfs/dbraw/zinc/80/08/47/1060800847.db2.gz QXQMJLIJUYPHLX-YCEFEEMOSA-N 0 3 323.427 4.241 20 0 DIADHN Clc1cc2c(c(CN3CCC[C@@H](C4CCC4)C3)c1)OCC2 ZINC001560937520 1060800947 /nfs/dbraw/zinc/80/09/47/1060800947.db2.gz GEVJBMCETLIRMP-OAHLLOKOSA-N 0 3 305.849 4.287 20 0 DIADHN Fc1ccc2nc(CN3CCC[C@@H](C4CCC4)C3)sc2c1 ZINC001560937533 1060802763 /nfs/dbraw/zinc/80/27/63/1060802763.db2.gz GYGMDTIYPNBFMN-CYBMUJFWSA-N 0 3 304.434 4.448 20 0 DIADHN CC(C)O[C@H](CN1CC(C)(c2ccccc2)C1)c1ccccc1 ZINC001560943222 1060806673 /nfs/dbraw/zinc/80/66/73/1060806673.db2.gz ZRBFGAGOPUMJLM-HXUWFJFHSA-N 0 3 309.453 4.426 20 0 DIADHN Cc1cccc(CC2CN(Cc3cc(C)nc4c3CCCC4)C2)c1 ZINC001560942566 1060807032 /nfs/dbraw/zinc/80/70/32/1060807032.db2.gz BSAHVVINSLAATI-UHFFFAOYSA-N 0 3 320.480 4.252 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(C[C@H](c1ccccc1)C(C)C)C2 ZINC001560942337 1060808219 /nfs/dbraw/zinc/80/82/19/1060808219.db2.gz MXIUXWZPPKPVPM-IBGZPJMESA-N 0 3 323.484 4.398 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(C[C@@H](c1ccccc1)C(C)C)C2 ZINC001560942338 1060808622 /nfs/dbraw/zinc/80/86/22/1060808622.db2.gz MXIUXWZPPKPVPM-LJQANCHMSA-N 0 3 323.484 4.398 20 0 DIADHN Oc1cccc2sc(CN3CC(Cc4cccs4)C3)cc21 ZINC001560957990 1060824062 /nfs/dbraw/zinc/82/40/62/1060824062.db2.gz NYZHIZMHBSQCQZ-UHFFFAOYSA-N 0 3 315.463 4.343 20 0 DIADHN CO[C@@H](CNCc1cc(Cl)cc(Cl)c1F)CC(C)C ZINC001560971975 1060835434 /nfs/dbraw/zinc/83/54/34/1060835434.db2.gz YSZWDAUQPCCLDR-GFCCVEGCSA-N 0 3 308.224 4.283 20 0 DIADHN Cc1nc(-c2ccc(CN3CCCCC3)c(F)c2)ccc1F ZINC001250488211 1060843783 /nfs/dbraw/zinc/84/37/83/1060843783.db2.gz XQPYVCUVDZPPKP-UHFFFAOYSA-N 0 3 302.368 4.321 20 0 DIADHN Cc1csc(C[C@@H]2CCCN(Cc3ccc(C)cc3F)C2)n1 ZINC001561011441 1060867913 /nfs/dbraw/zinc/86/79/13/1060867913.db2.gz KOKUEPIAFVKRDV-HNNXBMFYSA-N 0 3 318.461 4.354 20 0 DIADHN Cn1ncc(C2CC2)c1CN1CCC(CCc2ccccc2)CC1 ZINC001561009623 1060868164 /nfs/dbraw/zinc/86/81/64/1060868164.db2.gz HBLGPRHQUABLQB-UHFFFAOYSA-N 0 3 323.484 4.142 20 0 DIADHN COc1cccc(CN2CCC23CCCC3)c1OCC1CC1 ZINC001250525784 1060872428 /nfs/dbraw/zinc/87/24/28/1060872428.db2.gz YDMLZVKONCXFDK-UHFFFAOYSA-N 0 3 301.430 4.003 20 0 DIADHN COc1cccc(CN2CCC(C)(C)CC2)c1OCC1CC1 ZINC001250529440 1060875104 /nfs/dbraw/zinc/87/51/04/1060875104.db2.gz NHEUMESCUHIMSD-UHFFFAOYSA-N 0 3 303.446 4.106 20 0 DIADHN COc1cccc(CN2CCC[C@H]3CCC[C@H]32)c1OCC1CC1 ZINC001250529690 1060876125 /nfs/dbraw/zinc/87/61/25/1060876125.db2.gz DZPDOWPOMFBRTH-SJLPKXTDSA-N 0 3 315.457 4.249 20 0 DIADHN Cc1c2[nH]c(Cc3cccc(Br)c3)nc2ccc1F ZINC001250552806 1060890188 /nfs/dbraw/zinc/89/01/88/1060890188.db2.gz NQXZOFYWYCHNFH-UHFFFAOYSA-N 0 3 319.177 4.364 20 0 DIADHN Fc1ccccc1CCc1nc2ccc(N3CCCC3)cc2[nH]1 ZINC001250553122 1060890548 /nfs/dbraw/zinc/89/05/48/1060890548.db2.gz QNHPTLXCQFGXCL-UHFFFAOYSA-N 0 3 309.388 4.087 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@H](C(N)=O)c1ccc(Cl)cc1 ZINC001561047093 1060895493 /nfs/dbraw/zinc/89/54/93/1060895493.db2.gz FNKNYBVECUBSPS-SJORKVTESA-N 0 3 322.880 4.205 20 0 DIADHN CC[C@H](CC1CCCCC1)N[C@@H](CO)c1cc(F)ccc1F ZINC001561047680 1060897920 /nfs/dbraw/zinc/89/79/20/1060897920.db2.gz WNJFHRHGQBBNGW-QAPCUYQASA-N 0 3 311.416 4.337 20 0 DIADHN Cc1cc(C)c2c(c1)CCN(Cc1cccc3c1NCCC3)C2 ZINC001561055541 1060904062 /nfs/dbraw/zinc/90/40/62/1060904062.db2.gz OQYKEZUDLMMNPP-UHFFFAOYSA-N 0 3 306.453 4.220 20 0 DIADHN C[C@H]1CN(CCCCc2ccccc2)[C@H](c2ccccc2)CO1 ZINC001473191117 1060943139 /nfs/dbraw/zinc/94/31/39/1060943139.db2.gz XBSVDJQVVVXTJS-RXVVDRJESA-N 0 3 309.453 4.471 20 0 DIADHN C[C@@H]1CN(CCCCc2ccccc2)[C@@H](c2ccccc2)CO1 ZINC001473191118 1060945892 /nfs/dbraw/zinc/94/58/92/1060945892.db2.gz XBSVDJQVVVXTJS-WIYYLYMNSA-N 0 3 309.453 4.471 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3CCC[C@@]3(C)CC)c2)CC1 ZINC001462124087 1060946343 /nfs/dbraw/zinc/94/63/43/1060946343.db2.gz FZLFLIPNZVGFMP-WOJBJXKFSA-N 0 3 315.505 4.209 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H](C)CCC(C)C)c2)CC1 ZINC001462125195 1060946938 /nfs/dbraw/zinc/94/69/38/1060946938.db2.gz IGQRARXIZKTSLX-KRWDZBQOSA-N 0 3 303.494 4.065 20 0 DIADHN CC(C)(C)[C@H]1C[C@@H](NCc2ccc(Cl)cc2F)CS1 ZINC001462129761 1060951368 /nfs/dbraw/zinc/95/13/68/1060951368.db2.gz WCSZPFHIZPPWAA-TZMCWYRMSA-N 0 3 301.858 4.489 20 0 DIADHN CCOC(=O)/C=C\CN(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC001473195857 1060953873 /nfs/dbraw/zinc/95/38/73/1060953873.db2.gz KEYCZWBWOMSAPL-SDMNGIDPSA-N 0 3 323.436 4.466 20 0 DIADHN CC[C@H]1[C@H](C)CCN1Cc1cc(Br)c(C)s1 ZINC001462139696 1060958851 /nfs/dbraw/zinc/95/88/51/1060958851.db2.gz MJNGJGCYLCRPKI-RNCFNFMXSA-N 0 3 302.281 4.439 20 0 DIADHN CCc1ccc(N2CCN(C[C@@H]3CCCCC3(F)F)CC2)cc1 ZINC001473202123 1060964288 /nfs/dbraw/zinc/96/42/88/1060964288.db2.gz GYWKJZMPZMSOSQ-KRWDZBQOSA-N 0 3 322.443 4.197 20 0 DIADHN CCN(Cc1sc(C)cc1Br)CC1CCC1 ZINC001462146152 1060968149 /nfs/dbraw/zinc/96/81/49/1060968149.db2.gz PYEFDBPVJAXUMH-UHFFFAOYSA-N 0 3 302.281 4.441 20 0 DIADHN C[C@@H](NCc1ccsc1Br)[C@@H]1C[C@H]1C1CC1 ZINC001462150952 1060972089 /nfs/dbraw/zinc/97/20/89/1060972089.db2.gz YXFSCXOUTZTGHB-ZHAHWJHGSA-N 0 3 300.265 4.035 20 0 DIADHN COc1cccc(SCCN2C[C@H](C)[C@H]2c2ccccc2)c1 ZINC001473208889 1060977229 /nfs/dbraw/zinc/97/72/29/1060977229.db2.gz VLMLZMOEJZYTFF-KXBFYZLASA-N 0 3 313.466 4.480 20 0 DIADHN C[C@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N1CC[C@@H](C)[C@H]1C ZINC001473217675 1060990421 /nfs/dbraw/zinc/99/04/21/1060990421.db2.gz QUJMAOSTIXOFGK-GMTAPVOTSA-N 0 3 315.244 4.051 20 0 DIADHN CC(C)(C)OC(=O)C[C@@H]1CCCN(Cc2ccccc2CF)C1 ZINC001473217298 1060991865 /nfs/dbraw/zinc/99/18/65/1060991865.db2.gz DTETUMXUWUANQG-HNNXBMFYSA-N 0 3 321.436 4.100 20 0 DIADHN COCCN(Cc1csc(C(F)(F)F)c1)[C@H]1CC[C@@H](C)C1 ZINC001462173872 1060992685 /nfs/dbraw/zinc/99/26/85/1060992685.db2.gz DSWPMRUHFJUBTN-YPMHNXCESA-N 0 3 321.408 4.404 20 0 DIADHN COc1ccc(C[C@@H]2CCN2Cc2ccc(C(C)C)nc2C)cc1 ZINC001473220066 1060994554 /nfs/dbraw/zinc/99/45/54/1060994554.db2.gz YZJLQNCVRABAEC-IBGZPJMESA-N 0 3 324.468 4.339 20 0 DIADHN CCC[C@]1(C(=O)OCC)CCCN1C[C@H](C)c1ccc(F)cc1 ZINC001462173999 1060994874 /nfs/dbraw/zinc/99/48/74/1060994874.db2.gz WYFGLPGTYOGSNI-HNAYVOBHSA-N 0 3 321.436 4.127 20 0 DIADHN COCC[C@H](c1ccccc1)N1CCOC2(C1)CCCCCC2 ZINC001473221708 1061003661 /nfs/dbraw/zinc/00/36/61/1061003661.db2.gz JWZBBXPTKYKFTD-LJQANCHMSA-N 0 3 317.473 4.189 20 0 DIADHN COC[C@H](CC(C)C)NCc1ccc(-c2ccco2)cc1F ZINC001462189456 1061011073 /nfs/dbraw/zinc/01/10/73/1061011073.db2.gz ISILWECLLYHUQI-INIZCTEOSA-N 0 3 305.393 4.236 20 0 DIADHN COCC1(CN(Cc2cccnc2)Cc2ccccc2C)CCC1 ZINC001462191168 1061011408 /nfs/dbraw/zinc/01/14/08/1061011408.db2.gz PHWGWEHGIZERPA-UHFFFAOYSA-N 0 3 324.468 4.209 20 0 DIADHN CCOc1ccc(Cl)cc1CN(C)[C@@H](CC)CSC ZINC001462204156 1061025033 /nfs/dbraw/zinc/02/50/33/1061025033.db2.gz SCNNOMRYMPWBCY-AWEZNQCLSA-N 0 3 301.883 4.312 20 0 DIADHN CCOc1ccc(Cl)cc1CN(C)[C@H](CC)CSC ZINC001462204160 1061025172 /nfs/dbraw/zinc/02/51/72/1061025172.db2.gz SCNNOMRYMPWBCY-CQSZACIVSA-N 0 3 301.883 4.312 20 0 DIADHN CO[C@@H](CN[C@H](c1ccccc1)c1ccc(F)cc1)C1CCC1 ZINC001473248451 1061025456 /nfs/dbraw/zinc/02/54/56/1061025456.db2.gz SUMCLULSIDJTIL-VQTJNVASSA-N 0 3 313.416 4.320 20 0 DIADHN CCOC(=O)/C=C/CNC1(c2ccc(Cl)cc2)CCCCC1 ZINC001473251360 1061027419 /nfs/dbraw/zinc/02/74/19/1061027419.db2.gz VZPBYFXCUCBLNO-VOTSOKGWSA-N 0 3 321.848 4.208 20 0 DIADHN COCCN(CCc1ccc2ccccc2c1)Cc1ccc(C)o1 ZINC001473251172 1061028229 /nfs/dbraw/zinc/02/82/29/1061028229.db2.gz QXKCKUPAWFUQPB-UHFFFAOYSA-N 0 3 323.436 4.432 20 0 DIADHN CC[C@]1(C)CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC001473279045 1061052765 /nfs/dbraw/zinc/05/27/65/1061052765.db2.gz QXADSBWKUDGVTR-LSDHHAIUSA-N 0 3 302.245 4.149 20 0 DIADHN CC1(CNCc2cc(F)c(F)cc2Br)CCCC1 ZINC001462216415 1061033086 /nfs/dbraw/zinc/03/30/86/1061033086.db2.gz HFUCZTBPQLHLDF-UHFFFAOYSA-N 0 3 318.205 4.397 20 0 DIADHN Fc1ccc(CN(CCc2cscn2)C2CCCC2)c(F)c1 ZINC001473261531 1061037874 /nfs/dbraw/zinc/03/78/74/1061037874.db2.gz JHGGRHFVLBJYLO-UHFFFAOYSA-N 0 3 322.424 4.409 20 0 DIADHN CC/C=C/CCN(CCC)CC(=O)Nc1ccc(Cl)cc1 ZINC001473261981 1061038403 /nfs/dbraw/zinc/03/84/03/1061038403.db2.gz PUIXFCKSWKSOFP-AATRIKPKSA-N 0 3 308.853 4.347 20 0 DIADHN CCCCC[C@@H]1CCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001473276261 1061048656 /nfs/dbraw/zinc/04/86/56/1061048656.db2.gz ZBDNXKJUDVDDCU-MRXNPFEDSA-N 0 3 308.853 4.323 20 0 DIADHN CC[C@H](CN1CCc2ccccc2[C@H](c2ccccc2)[C@H]1C)OC ZINC001473286416 1061054130 /nfs/dbraw/zinc/05/41/30/1061054130.db2.gz ITTNBXWPFDJNKR-ZNLUXHQJSA-N 0 3 323.480 4.490 20 0 DIADHN CCCSC[C@H](C)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC001462254571 1061057328 /nfs/dbraw/zinc/05/73/28/1061057328.db2.gz NHOYQEGKNCJVPC-CABZTGNLSA-N 0 3 303.393 4.213 20 0 DIADHN Clc1ccccc1-c1cc(CN2CC[C@@H](C3CC3)C2)no1 ZINC001473288949 1061058082 /nfs/dbraw/zinc/05/80/82/1061058082.db2.gz ZEILMKGQBDEQKM-CYBMUJFWSA-N 0 3 302.805 4.227 20 0 DIADHN CS[C@H]1CCCCN(Cc2cc(C)ccc2OC(F)F)C1 ZINC001473290935 1061063025 /nfs/dbraw/zinc/06/30/25/1061063025.db2.gz APWAZTOACGCZMD-AWEZNQCLSA-N 0 3 315.429 4.314 20 0 DIADHN Cc1ccc(-c2nn(C)cc2CN2CCC3(CCCC3)CC2)o1 ZINC001462269211 1061064299 /nfs/dbraw/zinc/06/42/99/1061064299.db2.gz QQKWEOWGRXGQHV-UHFFFAOYSA-N 0 3 313.445 4.145 20 0 DIADHN C=Cc1ccc(CCNCc2cncc(Cl)c2Cl)cc1 ZINC001462268275 1061064851 /nfs/dbraw/zinc/06/48/51/1061064851.db2.gz VEPQWJJIFDRRCF-UHFFFAOYSA-N 0 3 307.224 4.364 20 0 DIADHN CCC(CC)(CNCc1c(Cl)oc2ccccc21)C(=O)OC ZINC001473297750 1061065748 /nfs/dbraw/zinc/06/57/48/1061065748.db2.gz NRIGQSBFMFWIRE-UHFFFAOYSA-N 0 3 323.820 4.155 20 0 DIADHN CC(C)Cc1ccc(CN2CC[C@H](c3ccccc3F)C2)cn1 ZINC001462271932 1061067176 /nfs/dbraw/zinc/06/71/76/1061067176.db2.gz MGQMGTISVGCZEU-KRWDZBQOSA-N 0 3 312.432 4.409 20 0 DIADHN CC(C)(C)C(C)(C)CNCc1nc(Br)cs1 ZINC001462275872 1061069480 /nfs/dbraw/zinc/06/94/80/1061069480.db2.gz SNQLZBRTMDJKOL-UHFFFAOYSA-N 0 3 305.285 4.068 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2cc(C)cc(C)n2)c1 ZINC001473306150 1061072419 /nfs/dbraw/zinc/07/24/19/1061072419.db2.gz REWJPUHHNRBFKA-FOIQADDNSA-N 0 3 310.441 4.290 20 0 DIADHN C[C@H](NC[C@@H]1C[C@@]1(C)Br)c1ccc(F)cc1Cl ZINC001462279548 1061073211 /nfs/dbraw/zinc/07/32/11/1061073211.db2.gz YWWKQOZFVZZGGW-MWODSPESSA-N 0 3 320.633 4.303 20 0 DIADHN CCC[C@H](NC[C@@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC001473311603 1061075191 /nfs/dbraw/zinc/07/51/91/1061075191.db2.gz PBDHCRTWZHWRDB-RBUKOAKNSA-N 0 3 319.395 4.438 20 0 DIADHN CCC[C@H](NC[C@H](O)c1cccc(C)c1)c1ccc(F)cc1F ZINC001473311602 1061075993 /nfs/dbraw/zinc/07/59/93/1061075993.db2.gz PBDHCRTWZHWRDB-OALUTQOASA-N 0 3 319.395 4.438 20 0 DIADHN CN(C)c1ccccc1CN(C)C/C=C\c1ccc(F)cc1F ZINC001462299682 1061088496 /nfs/dbraw/zinc/08/84/96/1061088496.db2.gz WHGPEBRWDYMGFM-VURMDHGXSA-N 0 3 316.395 4.176 20 0 DIADHN C[C@H]1C[C@H](C(C)(C)C)CCN1Cc1cnc(C(C)(C)C)nc1 ZINC001462306083 1061092986 /nfs/dbraw/zinc/09/29/86/1061092986.db2.gz JSURJYKNIZKJCA-GOEBONIOSA-N 0 3 303.494 4.421 20 0 DIADHN Oc1cnccc1-c1nc2cc(C(F)(F)F)cc(Cl)c2[nH]1 ZINC001250860841 1061099717 /nfs/dbraw/zinc/09/97/17/1061099717.db2.gz VNNLDPOBEAUFES-UHFFFAOYSA-N 0 3 313.666 4.003 20 0 DIADHN CCc1[nH]nc(Cl)c1CN(C)[C@H]1CCC[C@H]1c1ccccc1 ZINC001462329827 1061108594 /nfs/dbraw/zinc/10/85/94/1061108594.db2.gz AFJATXBTOXRXPZ-YOEHRIQHSA-N 0 3 317.864 4.394 20 0 DIADHN CC(C)C[C@@H]1C[C@H]1NCc1ccc(C(F)(F)F)nc1Cl ZINC001462329691 1061108925 /nfs/dbraw/zinc/10/89/25/1061108925.db2.gz WQHGVBJMUYOZDW-GHMZBOCLSA-N 0 3 306.759 4.278 20 0 DIADHN Cc1cccc(NC(=O)CN2CCC[C@@]2(C)Cc2ccccc2)c1 ZINC001473354394 1061109630 /nfs/dbraw/zinc/10/96/30/1061109630.db2.gz PWGNKMKCHHYCQO-NRFANRHFSA-N 0 3 322.452 4.031 20 0 DIADHN C[C@H](NC[C@H]1CCC(C)(C)CO1)c1nc2ccccc2s1 ZINC001473356163 1061111278 /nfs/dbraw/zinc/11/12/78/1061111278.db2.gz SWYSHXBWZBHQCW-QWHCGFSZSA-N 0 3 304.459 4.152 20 0 DIADHN C[C@@H](NC[C@H]1CCC(C)(C)CO1)c1nc2ccccc2s1 ZINC001473356161 1061111611 /nfs/dbraw/zinc/11/16/11/1061111611.db2.gz SWYSHXBWZBHQCW-CHWSQXEVSA-N 0 3 304.459 4.152 20 0 DIADHN Cc1ccncc1CN1CCC(Cc2cc(F)ccc2F)CC1 ZINC001462337422 1061114697 /nfs/dbraw/zinc/11/46/97/1061114697.db2.gz YWEZANWIYGCUQT-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN COC[C@H]1CCCCN1Cc1ccc(SC(F)F)cc1 ZINC001462340873 1061117217 /nfs/dbraw/zinc/11/72/17/1061117217.db2.gz XYYWHZUBEJEYPP-CYBMUJFWSA-N 0 3 301.402 4.002 20 0 DIADHN Cc1cccc2c1CN(CCCc1c(F)cccc1F)CC2 ZINC001473381450 1061124226 /nfs/dbraw/zinc/12/42/26/1061124226.db2.gz PHXRMHWLIWGXKA-UHFFFAOYSA-N 0 3 301.380 4.264 20 0 DIADHN Fc1ccc(CCCN[C@H]2C[C@@]2(F)c2ccccc2)cc1F ZINC001462362690 1061127896 /nfs/dbraw/zinc/12/78/96/1061127896.db2.gz GLEDWXSANARYJD-ZWKOTPCHSA-N 0 3 305.343 4.124 20 0 DIADHN CC1(C)CN(C[C@@H]2CCC[C@H](c3ccccc3)O2)CC(C)(C)O1 ZINC001473389358 1061129521 /nfs/dbraw/zinc/12/95/21/1061129521.db2.gz MXYOMYSKRPZLLU-ZWKOTPCHSA-N 0 3 317.473 4.186 20 0 DIADHN CC[C@H]1CCCC[C@@H]1N(C)Cc1nc(-c2ccccc2F)no1 ZINC001473389473 1061129798 /nfs/dbraw/zinc/12/97/98/1061129798.db2.gz UEUBKRHJIYLNHZ-BBRMVZONSA-N 0 3 317.408 4.276 20 0 DIADHN CC(C)(C)OC(=O)CCCNCc1c(Cl)oc2ccccc21 ZINC001462366946 1061132597 /nfs/dbraw/zinc/13/25/97/1061132597.db2.gz BEMUVIAUKZLRBN-UHFFFAOYSA-N 0 3 323.820 4.298 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)[C@H](C)N1CCCC[C@H](C)C1 ZINC001473394682 1061134063 /nfs/dbraw/zinc/13/40/63/1061134063.db2.gz CLMBKYDMHXXUCC-JSGCOSHPSA-N 0 3 308.853 4.097 20 0 DIADHN CCN(CC(=O)Nc1ccc(C(C)(C)C)cc1)CC1CCC1 ZINC001473417847 1061151010 /nfs/dbraw/zinc/15/10/10/1061151010.db2.gz RLTOBWLCUHOKIS-UHFFFAOYSA-N 0 3 302.462 4.045 20 0 DIADHN Cc1cc(CN2C[C@]3(C[C@@H]4CC[C@H]3C4)OC(C)(C)C2)cs1 ZINC001473423476 1061152408 /nfs/dbraw/zinc/15/24/08/1061152408.db2.gz LOOQWGVUJBURQS-HFTRVMKXSA-N 0 3 305.487 4.226 20 0 DIADHN CC[C@H]1CCCC[C@@H]1CN(CC(=O)OC(C)(C)C)CC1CC1 ZINC001462406136 1061154318 /nfs/dbraw/zinc/15/43/18/1061154318.db2.gz BIHRPKMLYORDCW-DLBZAZTESA-N 0 3 309.494 4.257 20 0 DIADHN Cc1ccc(F)c(CN(C)C[C@](O)(c2ccccc2)C(C)C)c1 ZINC001473429422 1061155376 /nfs/dbraw/zinc/15/53/76/1061155376.db2.gz DHUHICNUDRROAZ-HXUWFJFHSA-N 0 3 315.432 4.110 20 0 DIADHN Clc1n[nH]c(C2CC2)c1CN1CC[C@H](C2CCCCC2)C1 ZINC001462410377 1061156028 /nfs/dbraw/zinc/15/60/28/1061156028.db2.gz CHUXVXKBPGCYIG-AWEZNQCLSA-N 0 3 307.869 4.343 20 0 DIADHN CC(C)(C)OC(=O)CN(CC1CC1)C[C@@H]1CCCC(C)(C)C1 ZINC001462413657 1061157659 /nfs/dbraw/zinc/15/76/59/1061157659.db2.gz YWGOSAZMPMSMSU-MRXNPFEDSA-N 0 3 309.494 4.257 20 0 DIADHN COc1cccc(SCCN2CC[C@@H](C(F)(F)F)[C@@H]2C)c1 ZINC001473437658 1061158353 /nfs/dbraw/zinc/15/83/53/1061158353.db2.gz DNQBHYCWZWJNFS-SMDDNHRTSA-N 0 3 319.392 4.060 20 0 DIADHN CC(C)C[C@@]1(CO)CCCN1Cc1cccc(Cl)c1Cl ZINC001473440056 1061160243 /nfs/dbraw/zinc/16/02/43/1061160243.db2.gz QRFVXNGECVMIAZ-MRXNPFEDSA-N 0 3 316.272 4.366 20 0 DIADHN C[C@H](CSc1ccccc1)[N@H+](C)Cc1ccc([O-])c(F)c1 ZINC001462416492 1061160919 /nfs/dbraw/zinc/16/09/19/1061160919.db2.gz VPLOOCHSUYZDHY-CYBMUJFWSA-N 0 3 305.418 4.144 20 0 DIADHN C[C@H](CSc1ccccc1)[N@@H+](C)Cc1ccc([O-])c(F)c1 ZINC001462416492 1061160928 /nfs/dbraw/zinc/16/09/28/1061160928.db2.gz VPLOOCHSUYZDHY-CYBMUJFWSA-N 0 3 305.418 4.144 20 0 DIADHN O=C(OCc1ccccc1)[C@H]1CCCCN1CC1CCCCC1 ZINC001473440833 1061161154 /nfs/dbraw/zinc/16/11/54/1061161154.db2.gz YHVLJYSVXJQHTM-LJQANCHMSA-N 0 3 315.457 4.165 20 0 DIADHN COc1cccc2c(CN3CC(Cc4ccco4)C3)cccc12 ZINC001462425322 1061166253 /nfs/dbraw/zinc/16/62/53/1061166253.db2.gz CLCQIYLKLUFQIH-UHFFFAOYSA-N 0 3 307.393 4.116 20 0 DIADHN Fc1cncc(F)c1CN1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 ZINC001473452654 1061167561 /nfs/dbraw/zinc/16/75/61/1061167561.db2.gz SCOBPIRBBYJBIA-KHYOSLBOSA-N 0 3 314.379 4.128 20 0 DIADHN CCc1ccc(CN2CCCN(c3ccccc3F)CC2)cc1 ZINC001473450745 1061168529 /nfs/dbraw/zinc/16/85/29/1061168529.db2.gz VLMYCBYFVXVTRT-UHFFFAOYSA-N 0 3 312.432 4.100 20 0 DIADHN Fc1ccc(/C=C\CN2CCC([C@@H]3CCCCO3)CC2)cc1F ZINC001462440667 1061175994 /nfs/dbraw/zinc/17/59/94/1061175994.db2.gz LDXIMCIROIDVOE-LLHWWHOWSA-N 0 3 321.411 4.259 20 0 DIADHN Fc1ccc(/C=C/CN2CCC([C@@H]3CCCCO3)CC2)cc1F ZINC001462440744 1061176082 /nfs/dbraw/zinc/17/60/82/1061176082.db2.gz LDXIMCIROIDVOE-RTLBZRNLSA-N 0 3 321.411 4.259 20 0 DIADHN Clc1ccc([C@@H]2CCCCC[C@H]2NCc2nncs2)cc1 ZINC001473468850 1061177835 /nfs/dbraw/zinc/17/78/35/1061177835.db2.gz OAFYUXOPEAAQJZ-LSDHHAIUSA-N 0 3 321.877 4.398 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(CC(=O)Nc2ccc(C(C)(C)C)cc2)C1 ZINC001473473997 1061179509 /nfs/dbraw/zinc/17/95/09/1061179509.db2.gz YMGARMRGUXUYEA-JKSUJKDBSA-N 0 3 316.489 4.433 20 0 DIADHN CCCNC(=O)CCCN1CCCC[C@@H]1c1ccc(Cl)cc1 ZINC001473473355 1061179538 /nfs/dbraw/zinc/17/95/38/1061179538.db2.gz HPTVDTCXILSZPO-QGZVFWFLSA-N 0 3 322.880 4.173 20 0 DIADHN Cc1cc(CN2CCC3(CCc4ccccc4O3)CC2)c(C)o1 ZINC001462450371 1061181810 /nfs/dbraw/zinc/18/18/10/1061181810.db2.gz HWIFKPCXHOIWRH-UHFFFAOYSA-N 0 3 311.425 4.256 20 0 DIADHN Cn1c2ccc(Cl)cc2nc1CN1CCCCCCCC1 ZINC001473478542 1061182283 /nfs/dbraw/zinc/18/22/83/1061182283.db2.gz ACOUEPOFVNAFGW-UHFFFAOYSA-N 0 3 305.853 4.383 20 0 DIADHN COCCC1CCN(CCCc2c(F)cccc2Cl)CC1 ZINC001462455006 1061183594 /nfs/dbraw/zinc/18/35/94/1061183594.db2.gz OYMFLGBJMKXLEI-UHFFFAOYSA-N 0 3 313.844 4.160 20 0 DIADHN Cc1cc(Cl)ccc1CN(C)C[C@@H]1OCCc2ccccc21 ZINC001473487496 1061187227 /nfs/dbraw/zinc/18/72/27/1061187227.db2.gz RESBIFZOEQPSLL-IBGZPJMESA-N 0 3 315.844 4.394 20 0 DIADHN Cc1nccc(CN2CCC(c3ccc(C(C)(C)C)cc3)CC2)n1 ZINC001473488378 1061187506 /nfs/dbraw/zinc/18/75/06/1061187506.db2.gz MMWCIKDDFSPCJX-UHFFFAOYSA-N 0 3 323.484 4.462 20 0 DIADHN CC(C)Oc1ccnc(CN2CCC[C@@H](c3ccccc3)C2)c1 ZINC001473492890 1061187536 /nfs/dbraw/zinc/18/75/36/1061187536.db2.gz PHEUIFQPHUEDEJ-GOSISDBHSA-N 0 3 310.441 4.248 20 0 DIADHN Cc1ccccc1C(C)(C)NCC(=O)Nc1cccc(Cl)c1 ZINC001473498474 1061193752 /nfs/dbraw/zinc/19/37/52/1061193752.db2.gz ZESWVVAOWJLXCM-UHFFFAOYSA-N 0 3 316.832 4.112 20 0 DIADHN CC(C)COCCN1CCc2ccccc2[C@H]1c1ccccc1 ZINC001473501842 1061197777 /nfs/dbraw/zinc/19/77/77/1061197777.db2.gz IDJMBRBMVMXPQR-OAQYLSRUSA-N 0 3 309.453 4.307 20 0 DIADHN CCCCN(Cc1nc(CC2CC2)no1)[C@H]1CCC[C@@H](C)C1 ZINC001473510192 1061205355 /nfs/dbraw/zinc/20/53/55/1061205355.db2.gz OJRQOAAQFGOGJR-ZBFHGGJFSA-N 0 3 305.466 4.203 20 0 DIADHN CC[C@]1(O)CCCN(CCCc2c(Cl)cccc2Cl)C1 ZINC001462495501 1061211415 /nfs/dbraw/zinc/21/14/15/1061211415.db2.gz IJKLCKCAMQREBZ-INIZCTEOSA-N 0 3 316.272 4.163 20 0 DIADHN CCCN(Cc1cccn1C(F)F)Cc1ccc(OC)cc1 ZINC001462505401 1061215996 /nfs/dbraw/zinc/21/59/96/1061215996.db2.gz NPGIEQWZXBAIRB-UHFFFAOYSA-N 0 3 308.372 4.304 20 0 DIADHN C[C@@H]1C[C@H](C(C)(C)C)CCN1CC(=O)N(C1CC1)C1CCCC1 ZINC001473520312 1061217414 /nfs/dbraw/zinc/21/74/14/1061217414.db2.gz VENGKMJRSKLJCZ-HZPDHXFCSA-N 0 3 320.521 4.067 20 0 DIADHN COc1cncc(CN2CCC(Cc3ccc(C)c(C)c3)CC2)c1 ZINC001473521213 1061219652 /nfs/dbraw/zinc/21/96/52/1061219652.db2.gz BTPQQCLGVNWBBN-UHFFFAOYSA-N 0 3 324.468 4.162 20 0 DIADHN Cc1cccnc1CN(C)[C@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC001473525705 1061220736 /nfs/dbraw/zinc/22/07/36/1061220736.db2.gz FBZSOUALXZYBRV-RBUKOAKNSA-N 0 3 310.441 4.068 20 0 DIADHN Fc1cccnc1CNCC1(c2cccc(Cl)c2)CCC1 ZINC001462519832 1061230145 /nfs/dbraw/zinc/23/01/45/1061230145.db2.gz ZSFWHHKLGASBGH-UHFFFAOYSA-N 0 3 304.796 4.086 20 0 DIADHN CC(C)(C)C[C@H]1CCN(Cc2cc3n(n2)CCCC3)C1(C)C ZINC001473542166 1061233641 /nfs/dbraw/zinc/23/36/41/1061233641.db2.gz MTLUXNNQHNGYKL-OAHLLOKOSA-N 0 3 303.494 4.256 20 0 DIADHN c1cc(CN2CCC[C@@H](C3CCC3)CC2)n(CC2CCC2)n1 ZINC001462527244 1061238061 /nfs/dbraw/zinc/23/80/61/1061238061.db2.gz JBACYHHHJCFJGN-GOSISDBHSA-N 0 3 301.478 4.085 20 0 DIADHN c1cc(CN2CCC[C@H](C3CCC3)CC2)n(CC2CCC2)n1 ZINC001462527248 1061238588 /nfs/dbraw/zinc/23/85/88/1061238588.db2.gz JBACYHHHJCFJGN-SFHVURJKSA-N 0 3 301.478 4.085 20 0 DIADHN C[C@H](C(=O)Nc1cccc(Cl)c1)N1CC[C@H](CC(C)(C)C)C1 ZINC001473556103 1061241382 /nfs/dbraw/zinc/24/13/82/1061241382.db2.gz KEXVSMFYVGUBPP-ZIAGYGMSSA-N 0 3 322.880 4.425 20 0 DIADHN CC[C@H](N[C@H](C)C(=O)Nc1cccc(Cl)c1)c1ccccc1 ZINC001473555963 1061242377 /nfs/dbraw/zinc/24/23/77/1061242377.db2.gz GQRBPOGGPSYCPL-DYVFJYSZSA-N 0 3 316.832 4.408 20 0 DIADHN CC[C@H](N[C@@H](C)C(=O)Nc1ccc(C)c(F)c1)c1ccccc1 ZINC001473556759 1061243938 /nfs/dbraw/zinc/24/39/38/1061243938.db2.gz WMNQPZACOUPNKJ-KSSFIOAISA-N 0 3 314.404 4.202 20 0 DIADHN CCSc1ccc(CNCc2cccc3c2NCCC3)cc1 ZINC001462535438 1061243659 /nfs/dbraw/zinc/24/36/59/1061243659.db2.gz HYJVBWJOWJGAGE-UHFFFAOYSA-N 0 3 312.482 4.447 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@@H](c3ccccc3)C2(C)C)cc1 ZINC001473561973 1061247692 /nfs/dbraw/zinc/24/76/92/1061247692.db2.gz YMUDMBTXWMUZET-IBGZPJMESA-N 0 3 322.452 4.202 20 0 DIADHN Fc1cccc2c1CC[C@H]2N1CCC[C@H](CC(F)(F)F)C1 ZINC001473563958 1061247993 /nfs/dbraw/zinc/24/79/93/1061247993.db2.gz HFHJPDQCXCLDDJ-IAQYHMDHSA-N 0 3 301.327 4.477 20 0 DIADHN Cc1ccc(NC(=O)CN2CC[C@H](c3ccccc3)C2(C)C)cc1 ZINC001473561974 1061249077 /nfs/dbraw/zinc/24/90/77/1061249077.db2.gz YMUDMBTXWMUZET-LJQANCHMSA-N 0 3 322.452 4.202 20 0 DIADHN CN(CC(=O)Nc1cccc(Cl)c1)[C@H]1CCC[C@H]1C(C)(C)C ZINC001473563250 1061249442 /nfs/dbraw/zinc/24/94/42/1061249442.db2.gz DCBLEQBNVKIYAP-CVEARBPZSA-N 0 3 322.880 4.425 20 0 DIADHN CO[C@@]1(C(F)(F)F)CCN(CCc2ccc(C(C)C)cc2)C1 ZINC001462540165 1061249408 /nfs/dbraw/zinc/24/94/08/1061249408.db2.gz JQOAYYXFGVSGBP-INIZCTEOSA-N 0 3 315.379 4.006 20 0 DIADHN C[C@@H](NC[C@@H]1CC(C)(C)CO1)c1ccc(-c2ccccc2)cn1 ZINC001462556661 1061259454 /nfs/dbraw/zinc/25/94/54/1061259454.db2.gz MARRHRMJOOAXNZ-QAPCUYQASA-N 0 3 310.441 4.214 20 0 DIADHN Cc1ccc(C2=NO[C@H](CNCc3cccc4ccoc43)C2)cc1 ZINC001462556494 1061259820 /nfs/dbraw/zinc/25/98/20/1061259820.db2.gz VVXNTFWGLPSOKY-SFHVURJKSA-N 0 3 320.392 4.024 20 0 DIADHN CC[C@](C)(C(=O)OC)N(Cc1ccccc1C(C)C)CC1CC1 ZINC001473572068 1061260198 /nfs/dbraw/zinc/26/01/98/1061260198.db2.gz YOKNLQVIEPKLHH-HXUWFJFHSA-N 0 3 317.473 4.364 20 0 DIADHN FC(F)(F)[C@@H]1CC[C@H](CNC2(c3ccccc3Cl)CC2)O1 ZINC001473579470 1061265182 /nfs/dbraw/zinc/26/51/82/1061265182.db2.gz GCFQMOHWCCVOPX-MFKMUULPSA-N 0 3 319.754 4.029 20 0 DIADHN C[C@H](c1ccccc1)[C@H](O)CNC1(c2ccccc2Cl)CC1 ZINC001473579868 1061268417 /nfs/dbraw/zinc/26/84/17/1061268417.db2.gz LZSUFOYGLXEZPG-RDTXWAMCSA-N 0 3 315.844 4.083 20 0 DIADHN FC1(F)C[C@]2(CCN(CCc3cccc(Cl)c3)C2)C1(F)F ZINC001462583678 1061278818 /nfs/dbraw/zinc/27/88/18/1061278818.db2.gz ZDKBHALQXYYMSM-ZDUSSCGKSA-N 0 3 321.745 4.249 20 0 DIADHN C[C@@H]1CN(C[C@@H]2CC3(CO2)CCCCC3)CC2(CCCCC2)O1 ZINC001473596859 1061280177 /nfs/dbraw/zinc/28/01/77/1061280177.db2.gz DIBLRYCAXOEMLJ-MSOLQXFVSA-N 0 3 321.505 4.149 20 0 DIADHN Cc1oncc1CN1CCC[C@]1(C)Cc1cccc(Cl)c1 ZINC001473606090 1061282298 /nfs/dbraw/zinc/28/22/98/1061282298.db2.gz RDUACRHWJWZRLF-QGZVFWFLSA-N 0 3 304.821 4.234 20 0 DIADHN CN(CC(=O)NCCC1=CCCCC1)C[C@H]1CCCC(C)(C)C1 ZINC001462601854 1061290579 /nfs/dbraw/zinc/29/05/79/1061290579.db2.gz DAHQYIGSTOGACP-SFHVURJKSA-N 0 3 320.521 4.141 20 0 DIADHN Fc1ccccc1C[C@@H]1CCCCN1CCCOC(F)(F)F ZINC001473619568 1061296416 /nfs/dbraw/zinc/29/64/16/1061296416.db2.gz GULNHIVDXKNGBQ-AWEZNQCLSA-N 0 3 319.342 4.149 20 0 DIADHN C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)N1CC1CCC2(CC2)CC1 ZINC001473619478 1061296784 /nfs/dbraw/zinc/29/67/84/1061296784.db2.gz DNISZMROZSOBMJ-GDBMZVCRSA-N 0 3 307.478 4.151 20 0 DIADHN C[C@@H](NCc1cc(C2CC2)n(C)n1)[C@H](C)c1ccc(Cl)cc1 ZINC001462613513 1061299509 /nfs/dbraw/zinc/29/95/09/1061299509.db2.gz NCGOTYGXHXFABD-QWHCGFSZSA-N 0 3 317.864 4.233 20 0 DIADHN C[C@H](NC[C@@H]1CC1(Cl)Cl)c1cc(Cl)cc2c1OCC2 ZINC001462615809 1061299710 /nfs/dbraw/zinc/29/97/10/1061299710.db2.gz TUNVVQQTWNHIPY-WPRPVWTQSA-N 0 3 320.647 4.119 20 0 DIADHN FC1(F)Oc2ccc(CN3CCC(C4CCC4)CC3)cc2O1 ZINC001473627181 1061300524 /nfs/dbraw/zinc/30/05/24/1061300524.db2.gz FVTVXZXPEWYJDB-UHFFFAOYSA-N 0 3 309.356 4.020 20 0 DIADHN C[C@H]1[C@H](c2ccc(Br)cc2)OCCN1CC1CCC1 ZINC001473628457 1061303915 /nfs/dbraw/zinc/30/39/15/1061303915.db2.gz PGGCKZRELVIEHM-BLLLJJGKSA-N 0 3 324.262 4.011 20 0 DIADHN CCOc1cc(C[NH2+]C2(C)C(C)(C)C2(C)C)cc(Cl)c1[O-] ZINC001462635422 1061310782 /nfs/dbraw/zinc/31/07/82/1061310782.db2.gz PCCLSFJRDYWAMT-UHFFFAOYSA-N 0 3 311.853 4.359 20 0 DIADHN Cc1cc(Cl)ccc1CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001473684758 1061342670 /nfs/dbraw/zinc/34/26/70/1061342670.db2.gz JUYPBCRUQFJVFO-NSHDSACASA-N 0 3 316.788 4.346 20 0 DIADHN COc1ccc(CN(C)C/C=C\c2ccc(Cl)cc2)cc1 ZINC001473712080 1061363175 /nfs/dbraw/zinc/36/31/75/1061363175.db2.gz XQUXDAJJJUOMGC-ARJAWSKDSA-N 0 3 301.817 4.494 20 0 DIADHN C[C@]1(Cc2ccc(Cl)c(F)c2)CCCN1Cc1ccno1 ZINC001473721834 1061370508 /nfs/dbraw/zinc/37/05/08/1061370508.db2.gz ANYQZVVQNDSZDS-MRXNPFEDSA-N 0 3 308.784 4.064 20 0 DIADHN CC[C@H](NCCOC1CCCCCC1)c1ccccc1OC ZINC001473735462 1061378872 /nfs/dbraw/zinc/37/88/72/1061378872.db2.gz CFBSIBQXEXHISN-SFHVURJKSA-N 0 3 305.462 4.475 20 0 DIADHN Cc1cncc([C@@H]2CCCN2C[C@@H]2CC[C@@H](c3ccccc3)O2)c1 ZINC001473733464 1061379524 /nfs/dbraw/zinc/37/95/24/1061379524.db2.gz CFNTWXNMPLXHHT-ACRUOGEOSA-N 0 3 322.452 4.447 20 0 DIADHN COc1ccc([C@H](C)CN[C@H](CF)c2ccc(F)cc2)cc1 ZINC001473733408 1061380661 /nfs/dbraw/zinc/38/06/61/1061380661.db2.gz AIYCMIIWYXZIIX-FZKQIMNGSA-N 0 3 305.368 4.238 20 0 DIADHN CC[C@H](C)N1CCOc2cc(NC(=O)OC(C)(C)C)ccc2C1 ZINC001473742981 1061383822 /nfs/dbraw/zinc/38/38/22/1061383822.db2.gz PSAWJKKQXQYEFR-ZDUSSCGKSA-N 0 3 320.433 4.027 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(CC/C=C\c2ccccc2)CC1 ZINC001473756409 1061394552 /nfs/dbraw/zinc/39/45/52/1061394552.db2.gz XGRFCHIGMQBYPD-VUBAQRPUSA-N 0 3 320.480 4.469 20 0 DIADHN CCCC1(CCC)CCCN1Cc1ccc(S(C)(=O)=O)cc1 ZINC001473768648 1061400982 /nfs/dbraw/zinc/40/09/82/1061400982.db2.gz COZSIZGUNFGHME-UHFFFAOYSA-N 0 3 323.502 4.025 20 0 DIADHN COc1cc(CN2CC[C@H](c3nc(C)cs3)C2)ccc1Cl ZINC001473775335 1061403185 /nfs/dbraw/zinc/40/31/85/1061403185.db2.gz RFOGRXDKDGQCDA-ZDUSSCGKSA-N 0 3 322.861 4.103 20 0 DIADHN C[C@@H](CN(C)[C@@H](C)C(=O)Nc1ccc(Cl)cc1)C(C)(C)C ZINC001473779709 1061406120 /nfs/dbraw/zinc/40/61/20/1061406120.db2.gz QNBBJBGGZPNNNT-STQMWFEESA-N 0 3 310.869 4.281 20 0 DIADHN COCc1ccc(CN2Cc3ccccc3OC3(CCC3)C2)cc1 ZINC001473786915 1061409390 /nfs/dbraw/zinc/40/93/90/1061409390.db2.gz RYXAXDJRUYUWJR-UHFFFAOYSA-N 0 3 323.436 4.150 20 0 DIADHN CC[C@H](OCCN[C@@H](c1ccccn1)C1CC1)c1ccccc1 ZINC001473818709 1061422273 /nfs/dbraw/zinc/42/22/73/1061422273.db2.gz SZFJOEVDIXBTKN-VQTJNVASSA-N 0 3 310.441 4.290 20 0 DIADHN CC[C@@H](OCCN[C@@H](c1ccccn1)C1CC1)c1ccccc1 ZINC001473818710 1061423108 /nfs/dbraw/zinc/42/31/08/1061423108.db2.gz SZFJOEVDIXBTKN-WOJBJXKFSA-N 0 3 310.441 4.290 20 0 DIADHN OC[C@H](N[C@H]1C=CCCCCC1)c1ccccc1C(F)(F)F ZINC001473820811 1061423195 /nfs/dbraw/zinc/42/31/95/1061423195.db2.gz GKJWGZNZADENPA-BBRMVZONSA-N 0 3 313.363 4.217 20 0 DIADHN OC[C@@H](N[C@H]1C=CCCCCC1)c1ccccc1C(F)(F)F ZINC001473820815 1061423582 /nfs/dbraw/zinc/42/35/82/1061423582.db2.gz GKJWGZNZADENPA-XJKSGUPXSA-N 0 3 313.363 4.217 20 0 DIADHN FC(F)(F)c1ncccc1CN(CCc1ccccc1)C1CC1 ZINC001473821179 1061424785 /nfs/dbraw/zinc/42/47/85/1061424785.db2.gz KOPQQVZGNPZLFA-UHFFFAOYSA-N 0 3 320.358 4.308 20 0 DIADHN CN(C)c1ccc(CN2CCC(CC3CCCCC3)CC2)cn1 ZINC001473836664 1061428449 /nfs/dbraw/zinc/42/84/49/1061428449.db2.gz CSRMHFGWYJXOPN-UHFFFAOYSA-N 0 3 315.505 4.330 20 0 DIADHN C[C@@]1(Cc2ccc(Cl)s2)CCCN1Cc1cncs1 ZINC001473846792 1061435023 /nfs/dbraw/zinc/43/50/23/1061435023.db2.gz QHRARXYUTFFMQU-AWEZNQCLSA-N 0 3 312.891 4.455 20 0 DIADHN CC(C)C1=NCCc2ccc(-c3nc4ccccc4n3C)cc21 ZINC001251674594 1061440651 /nfs/dbraw/zinc/44/06/51/1061440651.db2.gz WPTLFNGWLUBWES-UHFFFAOYSA-N 0 3 303.409 4.242 20 0 DIADHN CCOc1ccc(CCN(C)Cc2ccc(F)cc2F)cc1 ZINC001473868668 1061441982 /nfs/dbraw/zinc/44/19/82/1061441982.db2.gz UZPHEICXAIRLEC-UHFFFAOYSA-N 0 3 305.368 4.038 20 0 DIADHN Cc1cccc(C2=CCN(C[C@@H]3C[C@H]4CCC[C@@H]4O3)CC2)c1C ZINC001473872478 1061445513 /nfs/dbraw/zinc/44/55/13/1061445513.db2.gz OSNMXZFYCCZWGR-DYXWJJEUSA-N 0 3 311.469 4.350 20 0 DIADHN CC(C)(C)[C@H]1CCN(CCCc2c(F)cccc2F)CCO1 ZINC001473897895 1061452120 /nfs/dbraw/zinc/45/21/20/1061452120.db2.gz RSUVSHKLDCSOJU-QGZVFWFLSA-N 0 3 311.416 4.034 20 0 DIADHN CC(C)(C)[C@@H]1CCN([C@@H]2CCc3c2cccc3Cl)CCO1 ZINC001473898136 1061452235 /nfs/dbraw/zinc/45/22/35/1061452235.db2.gz WJUQVOCBDYPYKU-SJORKVTESA-N 0 3 307.865 4.464 20 0 DIADHN CCN(Cc1nc2c(s1)C[C@@H](C)CC2)C[C@H](COC)C(C)C ZINC001473892276 1061452944 /nfs/dbraw/zinc/45/29/44/1061452944.db2.gz IWNMYSJVHVVDKS-LSDHHAIUSA-N 0 3 324.534 4.008 20 0 DIADHN Clc1cc2cccnc2c(CN2CCCC[C@@]23CCOC3)c1 ZINC001473895909 1061453569 /nfs/dbraw/zinc/45/35/69/1061453569.db2.gz ZPSYNBYPHQNGSM-SFHVURJKSA-N 0 3 316.832 4.033 20 0 DIADHN CC(C)c1ccc2c(c1)CCN(C[C@H](O)c1cccc(F)c1)C2 ZINC001473903919 1061456787 /nfs/dbraw/zinc/45/67/87/1061456787.db2.gz HLOVCISKJZGUDZ-FQEVSTJZSA-N 0 3 313.416 4.041 20 0 DIADHN Fc1cccc(F)c1-c1ncc(CNCc2cccs2)s1 ZINC001473909879 1061458104 /nfs/dbraw/zinc/45/81/04/1061458104.db2.gz ZLIKELNBWIJAPR-UHFFFAOYSA-N 0 3 322.405 4.440 20 0 DIADHN CC[C@H](NCc1cnc(Cl)c(C)c1)c1ccc(OC)cc1 ZINC001473915304 1061463666 /nfs/dbraw/zinc/46/36/66/1061463666.db2.gz QUZDCBWWAGTSQS-INIZCTEOSA-N 0 3 304.821 4.293 20 0 DIADHN C[C@@H](OCCCNCc1ccc(F)c(F)c1F)c1ccccc1 ZINC001473916558 1061464078 /nfs/dbraw/zinc/46/40/78/1061464078.db2.gz CEQHXTVMNXZNFH-CYBMUJFWSA-N 0 3 323.358 4.361 20 0 DIADHN CCc1ccc(CNCCOc2ccc(C(C)(C)C)cc2)o1 ZINC001473914510 1061464335 /nfs/dbraw/zinc/46/43/35/1061464335.db2.gz JXKPXPNVLZKRHY-UHFFFAOYSA-N 0 3 301.430 4.308 20 0 DIADHN COc1ccc([C@@H](NCc2cnc(C3CC3)s2)C2CC2)cc1 ZINC001473922309 1061470893 /nfs/dbraw/zinc/47/08/93/1061470893.db2.gz LJQCNRUGZXWGTR-KRWDZBQOSA-N 0 3 314.454 4.270 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+]C[C@H]2CCCc3ccccc32)c1 ZINC001473922114 1061471249 /nfs/dbraw/zinc/47/12/49/1061471249.db2.gz YDOFJNWNXRAHAN-OAHLLOKOSA-N 0 3 301.817 4.255 20 0 DIADHN CC[C@H](C)Oc1cc(CNCc2cccc(C)c2)ccc1OC ZINC001473921381 1061471404 /nfs/dbraw/zinc/47/14/04/1061471404.db2.gz JLYLXDCISYXKLZ-INIZCTEOSA-N 0 3 313.441 4.471 20 0 DIADHN CCc1ccc(CNCc2ccc(OCC(F)F)cc2)s1 ZINC001473931342 1061477564 /nfs/dbraw/zinc/47/75/64/1061477564.db2.gz HCDOPHGLNGQVRR-UHFFFAOYSA-N 0 3 311.397 4.244 20 0 DIADHN C[C@H](CNCc1cccc(Cl)c1F)N(C)c1ccccc1 ZINC001473931637 1061478135 /nfs/dbraw/zinc/47/81/35/1061478135.db2.gz NOJYLBYWZJYEOQ-CYBMUJFWSA-N 0 3 306.812 4.094 20 0 DIADHN CC(C)Cc1ncc(CN[C@@H](C)COc2cccc(F)c2)s1 ZINC001473952026 1061493900 /nfs/dbraw/zinc/49/39/00/1061493900.db2.gz KFWBKXLQHRLHJM-ZDUSSCGKSA-N 0 3 322.449 4.038 20 0 DIADHN C[C@H]1Cc2ccccc2N1CCNCc1cc(Cl)ccc1F ZINC001473961895 1061503616 /nfs/dbraw/zinc/50/36/16/1061503616.db2.gz QVDARMOKKUEOQJ-ZDUSSCGKSA-N 0 3 318.823 4.020 20 0 DIADHN CC(C)(C)[C@@H](NCc1cccc2nccn21)c1cccc(F)c1 ZINC001473963266 1061505007 /nfs/dbraw/zinc/50/50/07/1061505007.db2.gz QYVNFGPDYZWCNU-SFHVURJKSA-N 0 3 311.404 4.350 20 0 DIADHN Cc1cccc(C(C)(C)CNCc2nc3cc(F)ccc3o2)c1 ZINC001473966322 1061509710 /nfs/dbraw/zinc/50/97/10/1061509710.db2.gz UWUPMIIACNZBOH-UHFFFAOYSA-N 0 3 312.388 4.343 20 0 DIADHN CCC(CC)(CNCc1cc(C)no1)c1ccc(Cl)cc1 ZINC001473970975 1061515941 /nfs/dbraw/zinc/51/59/41/1061515941.db2.gz RJSPEMXHPAYCNR-UHFFFAOYSA-N 0 3 306.837 4.484 20 0 DIADHN Cc1ccc(F)c(CNCCc2coc(-c3ccccc3)n2)c1 ZINC001473971564 1061516507 /nfs/dbraw/zinc/51/65/07/1061516507.db2.gz UVNRNZCQLXNVCF-UHFFFAOYSA-N 0 3 310.372 4.121 20 0 DIADHN C[C@@H](c1ccccc1)N(C)C(=O)c1ccc(NC2=NCCC2)cc1 ZINC001463764467 1061519423 /nfs/dbraw/zinc/51/94/23/1061519423.db2.gz LELXTYMTOFYJBY-HNNXBMFYSA-N 0 3 321.424 4.124 20 0 DIADHN COc1cccc2cc(CNCc3cc4ccncc4s3)oc21 ZINC001473975884 1061519374 /nfs/dbraw/zinc/51/93/74/1061519374.db2.gz RFKRPKYOCXPNPI-UHFFFAOYSA-N 0 3 324.405 4.341 20 0 DIADHN CCOc1cc(CN[C@H]2CCc3cc(C)ccc32)ccc1OC ZINC001473978151 1061520254 /nfs/dbraw/zinc/52/02/54/1061520254.db2.gz YCAAOKJOVZDIRE-SFHVURJKSA-N 0 3 311.425 4.179 20 0 DIADHN Fc1ccc2oc(CNCCCCCc3ccccc3)nc2c1 ZINC001473975983 1061520936 /nfs/dbraw/zinc/52/09/36/1061520936.db2.gz WOWHRXCMVVWXRV-UHFFFAOYSA-N 0 3 312.388 4.470 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc3c(c2)C=CCCC3)cc1 ZINC001457933976 1061525268 /nfs/dbraw/zinc/52/52/68/1061525268.db2.gz JELANVVQIKQFOV-UHFFFAOYSA-N 0 3 320.436 4.350 20 0 DIADHN CCCC[C@H](CC)CCCN(C)C(=O)[C@H]1CCCCN1CC ZINC001457936798 1061525504 /nfs/dbraw/zinc/52/55/04/1061525504.db2.gz VUTDFJFCIBJDCX-ZWKOTPCHSA-N 0 3 310.526 4.316 20 0 DIADHN CC[C@@H](C)[C@@H](C)NCc1nc(Cl)ccc1Br ZINC001474014050 1061527245 /nfs/dbraw/zinc/52/72/45/1061527245.db2.gz XXRMRJKRKLPUHW-RKDXNWHRSA-N 0 3 305.647 4.022 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CNCc1cc2c(s1)CCCC2 ZINC001473987084 1061529759 /nfs/dbraw/zinc/52/97/59/1061529759.db2.gz BANMWDNCCRQPNA-UHFFFAOYSA-N 0 3 317.502 4.311 20 0 DIADHN C[C@H](Cc1cccs1)NCc1nc2c(s1)C[C@H](C)CC2 ZINC001473991681 1061534315 /nfs/dbraw/zinc/53/43/15/1061534315.db2.gz QYXROZMYPUMOFD-VXGBXAGGSA-N 0 3 306.500 4.050 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@@H](C)C(=O)Nc2ccccc2)c(C)c1 ZINC001473995205 1061536503 /nfs/dbraw/zinc/53/65/03/1061536503.db2.gz FHCRIGVCLYIPBI-MZEUMTGBSA-N 0 3 322.452 4.242 20 0 DIADHN Cc1ccccc1[C@H](NCc1cc(-c2ccccn2)n[nH]1)C1CC1 ZINC001474001157 1061543390 /nfs/dbraw/zinc/54/33/90/1061543390.db2.gz DLQKVNZOAMZPHV-HXUWFJFHSA-N 0 3 318.424 4.021 20 0 DIADHN [O-]c1cc(Cl)cc(C[NH2+][C@H](Cc2ccccc2)C2CC2)c1 ZINC001474003437 1061544355 /nfs/dbraw/zinc/54/43/55/1061544355.db2.gz NOYOGQCAWGPHGW-GOSISDBHSA-N 0 3 301.817 4.157 20 0 DIADHN C[C@H]1CCCC[C@@H]1CCNCc1cccnc1Br ZINC001474004309 1061544592 /nfs/dbraw/zinc/54/45/92/1061544592.db2.gz IATINVFJNWXTHE-QWHCGFSZSA-N 0 3 311.267 4.150 20 0 DIADHN CCC(CC)[C@@H](O)CNCc1ccc(Cl)c(C)c1Cl ZINC001474008123 1061550665 /nfs/dbraw/zinc/55/06/65/1061550665.db2.gz VYHPXSDDSVZDPZ-AWEZNQCLSA-N 0 3 304.261 4.189 20 0 DIADHN OC[C@@H](NCc1ccc(F)c(Cl)c1Cl)C1CCCCC1 ZINC001474025782 1061567633 /nfs/dbraw/zinc/56/76/33/1061567633.db2.gz ZOXAYBIQQOMSSC-CYBMUJFWSA-N 0 3 320.235 4.163 20 0 DIADHN CCOc1cccc(F)c1CN[C@H]1C[C@H](OCC)C1(CC)CC ZINC001474028354 1061569723 /nfs/dbraw/zinc/56/97/23/1061569723.db2.gz NPBYCHYLDJGLOC-ROUUACIJSA-N 0 3 323.452 4.298 20 0 DIADHN CCc1ccc(C(C)(C)CNCc2c(C)nn(C)c2Cl)cc1 ZINC001474034292 1061576850 /nfs/dbraw/zinc/57/68/50/1061576850.db2.gz QKRYMQDJFROVIQ-UHFFFAOYSA-N 0 3 319.880 4.012 20 0 DIADHN C[C@]1(CNCc2cc(Cl)ccc2Cl)CCCC[C@H]1O ZINC001474039200 1061582496 /nfs/dbraw/zinc/58/24/96/1061582496.db2.gz OPUWLQGIDMNKQB-HUUCEWRRSA-N 0 3 302.245 4.024 20 0 DIADHN CCCCO[C@@H]1C[C@@H](NCc2nc3c(s2)CCCC3)C1(C)C ZINC001474037936 1061583432 /nfs/dbraw/zinc/58/34/32/1061583432.db2.gz PKJHRMZOWAQTQE-HZPDHXFCSA-N 0 3 322.518 4.095 20 0 DIADHN Cc1cc(C)c(/C=C/CN[C@H](CO)c2ccccc2C)c(C)c1 ZINC001474041168 1061584813 /nfs/dbraw/zinc/58/48/13/1061584813.db2.gz NAHJYIBDCYUXLD-TYOLEZHBSA-N 0 3 309.453 4.257 20 0 DIADHN CCCCC1CCC(NC(=O)C(C)(C)N2CCCCC2)CC1 ZINC001464424050 1061593269 /nfs/dbraw/zinc/59/32/69/1061593269.db2.gz PBJWTOHQQAVAAK-UHFFFAOYSA-N 0 3 308.510 4.116 20 0 DIADHN CCCCC1CCC(CNC(=O)CN(C)CC(C)(C)C)CC1 ZINC001464447462 1061594802 /nfs/dbraw/zinc/59/48/02/1061594802.db2.gz BNCZNSXHHRUWSW-UHFFFAOYSA-N 0 3 310.526 4.077 20 0 DIADHN C[C@@H]1CCCC[C@@H]1CNc1cccc(CN2CCSCC2)c1 ZINC001474049764 1061598334 /nfs/dbraw/zinc/59/83/34/1061598334.db2.gz PNWZMHLSDSASGH-SJLPKXTDSA-N 0 3 318.530 4.474 20 0 DIADHN Cc1ccc(CN2CCSCC2)cc1NCc1ccccc1 ZINC001474049722 1061598424 /nfs/dbraw/zinc/59/84/24/1061598424.db2.gz MWSYBCWBSDCBFZ-UHFFFAOYSA-N 0 3 312.482 4.156 20 0 DIADHN Cc1ccc([C@H](CNC(=O)c2ccccc2C(C)C)N(C)C)cc1 ZINC001464536495 1061605033 /nfs/dbraw/zinc/60/50/33/1061605033.db2.gz BHBRFXSCOGSBQN-FQEVSTJZSA-N 0 3 324.468 4.151 20 0 DIADHN Cc1ccc(Oc2cc(Cl)ccc2CNC[C@H](C)O)c(C)c1 ZINC001474072095 1061618142 /nfs/dbraw/zinc/61/81/42/1061618142.db2.gz KHEXXCUFWSRWER-AWEZNQCLSA-N 0 3 319.832 4.220 20 0 DIADHN O[C@H](c1ccccc1)C1(CNCc2cc(Cl)ccc2F)CC1 ZINC001474080695 1061627590 /nfs/dbraw/zinc/62/75/90/1061627590.db2.gz FBBXTXQGLGDGIQ-QGZVFWFLSA-N 0 3 319.807 4.083 20 0 DIADHN CC[C@@H](NCc1cc(OC)cc(OC)c1)c1ccc(F)cc1 ZINC001474083221 1061629314 /nfs/dbraw/zinc/62/93/14/1061629314.db2.gz PEKRJSOCWLWZQX-GOSISDBHSA-N 0 3 303.377 4.084 20 0 DIADHN CN(C)CCN(C(=O)C[C@@H]1CCCCC1(C)C)C1CCCCC1 ZINC001464831900 1061639298 /nfs/dbraw/zinc/63/92/98/1061639298.db2.gz AHRCLQIAALOKSS-KRWDZBQOSA-N 0 3 322.537 4.316 20 0 DIADHN Fc1ccc2c(c1)OCCC[C@H]2NCc1cccc2cccnc21 ZINC001474093603 1061640450 /nfs/dbraw/zinc/64/04/50/1061640450.db2.gz TYCBJYFOQSPQPI-GOSISDBHSA-N 0 3 322.383 4.377 20 0 DIADHN COc1ccc2c(c1)[C@H](NCc1nccc3ccccc31)CCC2 ZINC001474095296 1061642233 /nfs/dbraw/zinc/64/22/33/1061642233.db2.gz MKZQXPRWCFHVPX-HXUWFJFHSA-N 0 3 318.420 4.411 20 0 DIADHN CCc1ccc(CN[C@@H]2CCCc3cnn(C(C)C)c32)s1 ZINC001474103945 1061650398 /nfs/dbraw/zinc/65/03/98/1061650398.db2.gz ZVAMZHOXJBQNHC-MRXNPFEDSA-N 0 3 303.475 4.255 20 0 DIADHN CCc1ccc2c(c1)[C@H](NCc1cccc3c1CCC3)CCO2 ZINC001474105074 1061652899 /nfs/dbraw/zinc/65/28/99/1061652899.db2.gz PBESQONNWURQOB-HXUWFJFHSA-N 0 3 307.437 4.351 20 0 DIADHN C[C@H](NCc1cn2ccnc2s1)c1ccc2c(c1)CCCC2 ZINC001474104768 1061653389 /nfs/dbraw/zinc/65/33/89/1061653389.db2.gz BYVALBYXRYAWHV-ZDUSSCGKSA-N 0 3 311.454 4.125 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNCCC[C@@H]1CCCCO1 ZINC001474104978 1061653890 /nfs/dbraw/zinc/65/38/90/1061653890.db2.gz LYDXYZDCMLGAFK-AWEZNQCLSA-N 0 3 320.458 4.150 20 0 DIADHN C[C@@]1(CNCc2cc(Cl)sc2Cl)CCO[C@@H]1C1CC1 ZINC001474107293 1061657100 /nfs/dbraw/zinc/65/71/00/1061657100.db2.gz VYCNYXBHXRHAAB-OCCSQVGLSA-N 0 3 320.285 4.350 20 0 DIADHN Brc1cscc1CNC1(Cc2ccccc2)CC1 ZINC001474117026 1061666037 /nfs/dbraw/zinc/66/60/37/1061666037.db2.gz LKQAGZMVVSOPIS-UHFFFAOYSA-N 0 3 322.271 4.376 20 0 DIADHN CC(C)(COCc1ccccc1)NCc1cc(O)cc(Cl)c1 ZINC001474125836 1061671034 /nfs/dbraw/zinc/67/10/34/1061671034.db2.gz ZBIHNCOEPUFAHB-UHFFFAOYSA-N 0 3 319.832 4.131 20 0 DIADHN Cc1ccccc1[C@H]1C[C@H]([NH2+]Cc2cc([O-])cc(Cl)c2)C1 ZINC001474131401 1061676924 /nfs/dbraw/zinc/67/69/24/1061676924.db2.gz PFDLAZANTOAJJS-KOMQPUFPSA-N 0 3 301.817 4.390 20 0 DIADHN CCO[C@@H](CNCc1cccc(Cl)c1F)c1ccccc1 ZINC001474129962 1061677087 /nfs/dbraw/zinc/67/70/87/1061677087.db2.gz AXGGBMXFMBCUAU-INIZCTEOSA-N 0 3 307.796 4.347 20 0 DIADHN C[C@H]1CCc2nc(CNCCCc3ccccc3F)sc2C1 ZINC001474133678 1061679860 /nfs/dbraw/zinc/67/98/60/1061679860.db2.gz DOQHIZGGTGANKC-ZDUSSCGKSA-N 0 3 318.461 4.129 20 0 DIADHN CCc1ccc([C@H](O)CNCc2cc(C(C)(C)C)oc2C)cc1 ZINC001474143413 1061687734 /nfs/dbraw/zinc/68/77/34/1061687734.db2.gz ANRWDPSESWKEMW-GOSISDBHSA-N 0 3 315.457 4.271 20 0 DIADHN CC1(C)OCC[C@@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474150977 1061695049 /nfs/dbraw/zinc/69/50/49/1061695049.db2.gz GWLFOCHWVMALPX-INIZCTEOSA-N 0 3 305.805 4.257 20 0 DIADHN CC1(C)OCC[C@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474150978 1061695570 /nfs/dbraw/zinc/69/55/70/1061695570.db2.gz GWLFOCHWVMALPX-MRXNPFEDSA-N 0 3 305.805 4.257 20 0 DIADHN CO[C@@H]1CCC[C@H]1NCc1ccc(-c2ccc(Cl)cc2)o1 ZINC001474153295 1061696097 /nfs/dbraw/zinc/69/60/97/1061696097.db2.gz DYUCYHUIPDHKOM-NVXWUHKLSA-N 0 3 305.805 4.257 20 0 DIADHN COc1nsc(CNC[C@@H](c2ccccc2)C2CC2)c1Cl ZINC001474160413 1061704617 /nfs/dbraw/zinc/70/46/17/1061704617.db2.gz HXMWYYFWULCBIU-ZDUSSCGKSA-N 0 3 322.861 4.089 20 0 DIADHN COc1ccc(CN[C@H]2CCCc3c(F)cc(F)cc32)c(C)c1 ZINC001474162251 1061707982 /nfs/dbraw/zinc/70/79/82/1061707982.db2.gz WQBQQMARYBHXBZ-IBGZPJMESA-N 0 3 317.379 4.449 20 0 DIADHN CC(C)(C)C1CCC(CNCc2noc3c2CCCC3)CC1 ZINC001474167991 1061713088 /nfs/dbraw/zinc/71/30/88/1061713088.db2.gz OAVJDBAPAJOZDX-UHFFFAOYSA-N 0 3 304.478 4.496 20 0 DIADHN CCC[C@@H](O)CN1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC001252787282 1061717471 /nfs/dbraw/zinc/71/74/71/1061717471.db2.gz MHTFTYDYOIENQH-CJNGLKHVSA-N 0 3 315.379 4.393 20 0 DIADHN CCOc1ccc(C[C@H](C)CNCc2c(F)cccc2F)cc1 ZINC001474170198 1061719336 /nfs/dbraw/zinc/71/93/36/1061719336.db2.gz LQOYOXQGMZVSRZ-AWEZNQCLSA-N 0 3 319.395 4.332 20 0 DIADHN Cc1ccc([O-])c(C[NH2+][C@H]2C[C@H](c3ccccc3)C[C@H](C)C2)n1 ZINC001474179328 1061726811 /nfs/dbraw/zinc/72/68/11/1061726811.db2.gz NXCWNINMDCTSBO-BMGDILEWSA-N 0 3 310.441 4.158 20 0 DIADHN C[C@H]1C[C@H](NCc2cc(Cl)ccc2OCC2CC2)CS1 ZINC001474181066 1061728370 /nfs/dbraw/zinc/72/83/70/1061728370.db2.gz URRVAGSTCLZNFJ-NHYWBVRUSA-N 0 3 311.878 4.112 20 0 DIADHN CCCN1CCC[C@H]1CNc1ncc(C(F)(F)F)cc1Cl ZINC001458372265 1061729865 /nfs/dbraw/zinc/72/98/65/1061729865.db2.gz QQMORFBTWTUAAW-NSHDSACASA-N 0 3 321.774 4.040 20 0 DIADHN Cc1ccc(CNCc2cn3ccnc3s2)c2ccccc12 ZINC001474181213 1061730139 /nfs/dbraw/zinc/73/01/39/1061730139.db2.gz FSACWPQBXGGYGC-UHFFFAOYSA-N 0 3 307.422 4.147 20 0 DIADHN Cc1ccc(CNCc2cccc3c2OCCO3)c2ccccc12 ZINC001474181837 1061731418 /nfs/dbraw/zinc/73/14/18/1061731418.db2.gz PFIPHHCECIJJIF-UHFFFAOYSA-N 0 3 319.404 4.209 20 0 DIADHN O[C@@H](CNc1ccnc(-c2ccccc2)c1)c1ccc(F)cc1 ZINC001252834821 1061736624 /nfs/dbraw/zinc/73/66/24/1061736624.db2.gz HSTKPSGMSIZVNZ-IBGZPJMESA-N 0 3 308.356 4.033 20 0 DIADHN Fc1cccc(OCc2ccc(CNCc3cccnc3)cc2)c1 ZINC001474192649 1061742773 /nfs/dbraw/zinc/74/27/73/1061742773.db2.gz VZKANKOQMZMZOO-UHFFFAOYSA-N 0 3 322.383 4.090 20 0 DIADHN C[C@@H](NC[C@H](O)c1ccc(F)cc1)c1cccc(F)c1Cl ZINC001252869899 1061749943 /nfs/dbraw/zinc/74/99/43/1061749943.db2.gz ZASGMMFBROJMSV-BMIGLBTASA-N 0 3 311.759 4.002 20 0 DIADHN CO[C@@H]1CCCC[C@H]1NCc1cc(C(F)(F)F)ccc1Cl ZINC001474201267 1061752731 /nfs/dbraw/zinc/75/27/31/1061752731.db2.gz PPKQGAXBXKJGLD-ZIAGYGMSSA-N 0 3 321.770 4.406 20 0 DIADHN CC[C@@H](C)CCNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC001474203362 1061756645 /nfs/dbraw/zinc/75/66/45/1061756645.db2.gz NYAIABNXXIEASL-GFCCVEGCSA-N 0 3 318.442 4.065 20 0 DIADHN CN(C)c1cc(Cl)cc(Cl)c1CNCCC(C)(C)F ZINC001474203572 1061757148 /nfs/dbraw/zinc/75/71/48/1061757148.db2.gz SQTDXRZEFBTVIF-UHFFFAOYSA-N 0 3 307.240 4.287 20 0 DIADHN CCOc1ccc([C@@H]2C[C@H]2NCc2ccsc2Cl)cc1 ZINC001474211224 1061765767 /nfs/dbraw/zinc/76/57/67/1061765767.db2.gz IUDDKJRJNOHQFH-LSDHHAIUSA-N 0 3 307.846 4.446 20 0 DIADHN Cc1cc(Br)c(CN[C@H]2CC[C@@H](C)SC2)s1 ZINC001474214720 1061772491 /nfs/dbraw/zinc/77/24/91/1061772491.db2.gz LJVLPCBCAPQYME-SCZZXKLOSA-N 0 3 320.321 4.193 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)C[C@@H](C)O2)c1ccc2c(c1)CCCO2 ZINC001474216562 1061774442 /nfs/dbraw/zinc/77/44/42/1061774442.db2.gz XXHBBEVLHWZDFS-HUUCEWRRSA-N 0 3 323.436 4.186 20 0 DIADHN C[C@H](c1ccccc1)[C@@H](O)CNCc1ccc(Cl)cc1Cl ZINC001474220791 1061780508 /nfs/dbraw/zinc/78/05/08/1061780508.db2.gz QKRWCEZQRHPKGS-PXAZEXFGSA-N 0 3 324.251 4.248 20 0 DIADHN CCOc1ccc(Cl)cc1CNC[C@H](OC)C1CCCC1 ZINC001474220862 1061780773 /nfs/dbraw/zinc/78/07/73/1061780773.db2.gz SBORFHNGJGNUFL-KRWDZBQOSA-N 0 3 311.853 4.034 20 0 DIADHN Cc1scc(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)c1C ZINC001474220711 1061781031 /nfs/dbraw/zinc/78/10/31/1061781031.db2.gz NTWVHJVXZKAOPO-ZWKOTPCHSA-N 0 3 303.471 4.213 20 0 DIADHN CO[C@H](CNCc1ccc(F)c(Cl)c1Cl)C1CCCC1 ZINC001474221464 1061781648 /nfs/dbraw/zinc/78/16/48/1061781648.db2.gz LACZQJFLMUOXRB-CYBMUJFWSA-N 0 3 320.235 4.427 20 0 DIADHN Cc1cccc2c1CCC[C@H]2NCc1cccc2c1OCCCO2 ZINC001474221103 1061782113 /nfs/dbraw/zinc/78/21/13/1061782113.db2.gz ZNCQEBCMWZLOON-LJQANCHMSA-N 0 3 323.436 4.324 20 0 DIADHN CC1CCC(O)(CNCc2ccc(F)c(Cl)c2Cl)CC1 ZINC001474222471 1061783989 /nfs/dbraw/zinc/78/39/89/1061783989.db2.gz SKQPXDNQQSAUHB-UHFFFAOYSA-N 0 3 320.235 4.163 20 0 DIADHN Clc1cc(CNC[C@H]2CCN2C2CCCC2)c(Cl)s1 ZINC001474230730 1061793180 /nfs/dbraw/zinc/79/31/80/1061793180.db2.gz OKKFAJVTKREKAU-GFCCVEGCSA-N 0 3 319.301 4.161 20 0 DIADHN c1cn(C2CC2)c(CNC[C@@]2(Cc3ccccc3)C=CCCC2)n1 ZINC001474233965 1061795845 /nfs/dbraw/zinc/79/58/45/1061795845.db2.gz GNLLLMJWJYXRPB-NRFANRHFSA-N 0 3 321.468 4.277 20 0 DIADHN Cc1cnn(C)c1CN[C@H](c1ccc(F)cc1)C1CCCCC1 ZINC001474236837 1061797602 /nfs/dbraw/zinc/79/76/02/1061797602.db2.gz NMNHAZUUXIXJPI-IBGZPJMESA-N 0 3 315.436 4.279 20 0 DIADHN OC[C@H](NC/C=C\c1ccccc1)c1cccc(C(F)(F)F)c1 ZINC001474236441 1061797973 /nfs/dbraw/zinc/79/79/73/1061797973.db2.gz AIZGNJDFNCXOGV-AFCDSYGPSA-N 0 3 321.342 4.042 20 0 DIADHN COc1cccc2cc(CN[C@H]3c4ccccc4C[C@H]3C)oc21 ZINC001253095867 1061801119 /nfs/dbraw/zinc/80/11/19/1061801119.db2.gz ORCGKZDJBHVCPU-BFUOFWGJSA-N 0 3 307.393 4.465 20 0 DIADHN CCc1cccc(Cl)c1CN[C@H]1C[C@@H](C(=O)OC(C)(C)C)C1 ZINC001474238241 1061802473 /nfs/dbraw/zinc/80/24/73/1061802473.db2.gz QXYAFQLNRYEZEZ-OKILXGFUSA-N 0 3 323.864 4.112 20 0 DIADHN C[C@@](O)(CN1CCC[C@@H]1c1cc(F)cc(F)c1)c1ccccc1 ZINC001253107563 1061807258 /nfs/dbraw/zinc/80/72/58/1061807258.db2.gz UAZITAVACANFAU-RTBURBONSA-N 0 3 317.379 4.009 20 0 DIADHN OC1(CN[C@@H]2CCCc3c(Cl)sc(Cl)c32)CCCC1 ZINC001253110414 1061811311 /nfs/dbraw/zinc/81/13/11/1061811311.db2.gz SXPKHGXRSNSLNT-SNVBAGLBSA-N 0 3 320.285 4.327 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CNCC1(CC2CC2)CC1 ZINC001474249977 1061813919 /nfs/dbraw/zinc/81/39/19/1061813919.db2.gz AIVYOBAKOBCJDX-UHFFFAOYSA-N 0 3 317.326 4.394 20 0 DIADHN CC(C)(NCc1cccc(O)c1)c1ccc(C(F)(F)F)cc1 ZINC001474248045 1061816727 /nfs/dbraw/zinc/81/67/27/1061816727.db2.gz NMYMFOYSBRVBGR-UHFFFAOYSA-N 0 3 309.331 4.436 20 0 DIADHN CCN(C)c1ccc(CNCc2ccc(C(C)(C)C)s2)cn1 ZINC001474257021 1061825657 /nfs/dbraw/zinc/82/56/57/1061825657.db2.gz ACVWAFVBBJBCDA-UHFFFAOYSA-N 0 3 317.502 4.187 20 0 DIADHN COC(C)(C)[C@H](C)NCc1cc2cccc(C)c2nc1Cl ZINC001474276426 1061845217 /nfs/dbraw/zinc/84/52/17/1061845217.db2.gz VVEBCPKMKOVPFV-LBPRGKRZSA-N 0 3 306.837 4.100 20 0 DIADHN CC(C)(C)c1ncc(CNC[C@H]2CCCC3(CCC3)O2)s1 ZINC001474282384 1061850556 /nfs/dbraw/zinc/85/05/56/1061850556.db2.gz CHNIXYTWONXVLL-CYBMUJFWSA-N 0 3 308.491 4.022 20 0 DIADHN CC(C)COc1ccccc1CNC[C@H]1CCCC2(CCC2)O1 ZINC001474282393 1061850765 /nfs/dbraw/zinc/85/07/65/1061850765.db2.gz CPTVNGZBCLJPTC-GOSISDBHSA-N 0 3 317.473 4.303 20 0 DIADHN Brc1ccccc1CNC[C@H]1CCCC2(CCC2)O1 ZINC001474282366 1061851103 /nfs/dbraw/zinc/85/11/03/1061851103.db2.gz BPAXXNCLYAXYKO-CQSZACIVSA-N 0 3 324.262 4.031 20 0 DIADHN Fc1ccc2oc(CNC[C@H]3CCCC4(CCC4)O3)cc2c1 ZINC001474285349 1061856401 /nfs/dbraw/zinc/85/64/01/1061856401.db2.gz ZFRLHZCRQBHKPJ-OAHLLOKOSA-N 0 3 303.377 4.153 20 0 DIADHN CC(C)COc1ccccc1CNC[C@@H]1CCCC(C)(C)O1 ZINC001474289209 1061858201 /nfs/dbraw/zinc/85/82/01/1061858201.db2.gz BFPWAMDNBQIJJZ-KRWDZBQOSA-N 0 3 305.462 4.159 20 0 DIADHN c1ncc(-c2ccc(CNCc3cnc4ccccc4c3)cc2)o1 ZINC001474289273 1061858347 /nfs/dbraw/zinc/85/83/47/1061858347.db2.gz CEYBKLLMFPOYSB-UHFFFAOYSA-N 0 3 315.376 4.180 20 0 DIADHN CC(C)(C)c1csc(CNC[C@@H]2C[C@@H]2Cc2ccccc2)n1 ZINC001474299664 1061866935 /nfs/dbraw/zinc/86/69/35/1061866935.db2.gz IUOZZEIGJSPZKS-HOTGVXAUSA-N 0 3 314.498 4.409 20 0 DIADHN Cc1ccc(Cl)cc1Oc1ccccc1CN[C@H]1CCOC1 ZINC001474298802 1061867189 /nfs/dbraw/zinc/86/71/89/1061867189.db2.gz IZLNSLIDDZQSMG-INIZCTEOSA-N 0 3 317.816 4.319 20 0 DIADHN CC(C)(CNCc1c(F)ccc(F)c1Cl)C1=CCOCC1 ZINC001474300280 1061869013 /nfs/dbraw/zinc/86/90/13/1061869013.db2.gz FHHXJRIGUATPGM-UHFFFAOYSA-N 0 3 315.791 4.081 20 0 DIADHN FC(F)(F)c1sccc1CNC[C@H]1OCc2ccccc21 ZINC001474300133 1061869854 /nfs/dbraw/zinc/86/98/54/1061869854.db2.gz CZOVXBNKHKVYLH-CYBMUJFWSA-N 0 3 313.344 4.128 20 0 DIADHN C[C@@H]1CC[C@H](C)CN1Cc1ccc(Sc2ccccn2)o1 ZINC001474308909 1061875447 /nfs/dbraw/zinc/87/54/47/1061875447.db2.gz JKGQSZTXLGBXRZ-UONOGXRCSA-N 0 3 302.443 4.446 20 0 DIADHN CC[C@@H]1CCCN1Cc1ccnc2c(Br)cccc12 ZINC001474310473 1061881082 /nfs/dbraw/zinc/88/10/82/1061881082.db2.gz VQUPCGPLTNOKJM-CYBMUJFWSA-N 0 3 319.246 4.372 20 0 DIADHN COc1ncc(CN(C)[C@@H]2CCC[C@@H]2c2ccc(C)cc2)s1 ZINC001474313019 1061882698 /nfs/dbraw/zinc/88/26/98/1061882698.db2.gz SGQCHFNQIUNUCZ-IAGOWNOFSA-N 0 3 316.470 4.228 20 0 DIADHN CCO[C@H]1C[C@@H](N(C)Cc2csc(C(F)(F)F)c2)C1(C)C ZINC001474316393 1061885322 /nfs/dbraw/zinc/88/53/22/1061885322.db2.gz AORUQJAMQMSDIK-NEPJUHHUSA-N 0 3 321.408 4.402 20 0 DIADHN Cc1cccc([C@H]2CCCCN2Cc2c3c(nn2C)CCC3)c1C ZINC001474314525 1061888190 /nfs/dbraw/zinc/88/81/90/1061888190.db2.gz OMMAFMQSWYGXSQ-HXUWFJFHSA-N 0 3 323.484 4.253 20 0 DIADHN CCN(Cc1ccc(C)cc1)Cc1ccc(F)c(F)c1N(C)C ZINC001474318626 1061893036 /nfs/dbraw/zinc/89/30/36/1061893036.db2.gz HSUCGWSYDAOMMX-UHFFFAOYSA-N 0 3 318.411 4.361 20 0 DIADHN COc1nc(CN(C)Cc2cccc3ccccc32)ccc1C ZINC001474330086 1061918510 /nfs/dbraw/zinc/91/85/10/1061918510.db2.gz IQNXUNNMVPWJBC-UHFFFAOYSA-N 0 3 306.409 4.184 20 0 DIADHN CC[C@H]1CCN(Cc2nc(-c3cccc(OC)c3)cs2)C1 ZINC001474330974 1061919893 /nfs/dbraw/zinc/91/98/93/1061919893.db2.gz LHRUKXWQTQLDSR-ZDUSSCGKSA-N 0 3 302.443 4.051 20 0 DIADHN CCCCC[C@H](O)CN[C@@H](C)c1ccccc1Br ZINC001253553375 1061924954 /nfs/dbraw/zinc/92/49/54/1061924954.db2.gz HZQDYJWHYVTARR-STQMWFEESA-N 0 3 314.267 4.041 20 0 DIADHN CCCCC[C@@H](O)CN[C@@H](C)c1ccccc1Br ZINC001253553374 1061924997 /nfs/dbraw/zinc/92/49/97/1061924997.db2.gz HZQDYJWHYVTARR-QWHCGFSZSA-N 0 3 314.267 4.041 20 0 DIADHN CCn1cc(CN(Cc2cccc(F)c2)C2CCCC2)c(C)n1 ZINC001474334031 1061931362 /nfs/dbraw/zinc/93/13/62/1061931362.db2.gz HENQVNZPEALPHE-UHFFFAOYSA-N 0 3 315.436 4.295 20 0 DIADHN CC1CCN(CCSc2ncc(-c3ccccc3)o2)CC1 ZINC001458915610 1061943019 /nfs/dbraw/zinc/94/30/19/1061943019.db2.gz HINMRTSSAZKVAO-UHFFFAOYSA-N 0 3 302.443 4.166 20 0 DIADHN COc1cc(CN2CCSC3(CCCCC3)C2)ccc1F ZINC001474344520 1061945060 /nfs/dbraw/zinc/94/50/60/1061945060.db2.gz NCGRFKXIOPBRDB-UHFFFAOYSA-N 0 3 309.450 4.086 20 0 DIADHN CC[C@@H](O)CN(Cc1ccccc1)Cc1cc(F)cc(Cl)c1 ZINC001474343576 1061946179 /nfs/dbraw/zinc/94/61/79/1061946179.db2.gz RDOHWIFFLJFLTP-GOSISDBHSA-N 0 3 321.823 4.252 20 0 DIADHN COc1ccc(CN2CCC[C@@H](CC(C)C)C2)c(F)c1OC ZINC001474347386 1061953687 /nfs/dbraw/zinc/95/36/87/1061953687.db2.gz QODZHBWAIOROLU-AWEZNQCLSA-N 0 3 309.425 4.101 20 0 DIADHN COc1cc(Cl)c(CN2CCC[C@H]2C2CCC2)cc1OC ZINC001474351694 1061963706 /nfs/dbraw/zinc/96/37/06/1061963706.db2.gz YCCUIXSQJABBHV-HNNXBMFYSA-N 0 3 309.837 4.122 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CN1C[C@@H]2[C@H](C1)C2(C)C ZINC001474350402 1061963901 /nfs/dbraw/zinc/96/39/01/1061963901.db2.gz KHORRIAOMPRVIG-TXEJJXNPSA-N 0 3 322.474 4.183 20 0 DIADHN Cc1cccc2[nH]c(CN(C)CCOCc3ccccc3)cc21 ZINC001474353761 1061967580 /nfs/dbraw/zinc/96/75/80/1061967580.db2.gz VUNXDVCQJHBRGX-UHFFFAOYSA-N 0 3 308.425 4.125 20 0 DIADHN CC(C)(C)[C@@H](O)CNC(C)(C)c1ccc(Cl)cc1Cl ZINC001253746309 1061972582 /nfs/dbraw/zinc/97/25/82/1061972582.db2.gz WPVSAUSTOKWCGD-ZDUSSCGKSA-N 0 3 304.261 4.225 20 0 DIADHN CC(C)Oc1cccc(CN(C)Cc2cccc3c2NCCC3)c1 ZINC001474357871 1061975347 /nfs/dbraw/zinc/97/53/47/1061975347.db2.gz FBCVIGRHCDIQEP-UHFFFAOYSA-N 0 3 324.468 4.464 20 0 DIADHN CC(C)C(C)(C)SC[C@H]1CN(Cc2ccccc2)CCCO1 ZINC001459035217 1061986428 /nfs/dbraw/zinc/98/64/28/1061986428.db2.gz BXSBHLNAYKGYBC-GOSISDBHSA-N 0 3 321.530 4.445 20 0 DIADHN CC[C@H]1C[C@H](C)CN1Cc1cnn(Cc2ccccc2)c1Cl ZINC001474369102 1062003573 /nfs/dbraw/zinc/00/35/73/1062003573.db2.gz FHJUBPUCYIZYEN-YOEHRIQHSA-N 0 3 317.864 4.205 20 0 DIADHN c1nc2cc(CN3CCCO[C@@H](c4ccccc4)C3)ccc2s1 ZINC001474371355 1062008965 /nfs/dbraw/zinc/00/89/65/1062008965.db2.gz RGVSZZFFTDYOJZ-GOSISDBHSA-N 0 3 324.449 4.260 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@@H](C)c2nccc3ccccc32)on1 ZINC001474378254 1062024201 /nfs/dbraw/zinc/02/42/01/1062024201.db2.gz NELUTQLKMOYXGO-YOEHRIQHSA-N 0 3 307.397 4.429 20 0 DIADHN C[C@H]1CN(C[C@@H]2CCCC(C)(C)C2)CCN1C(=O)OC(C)(C)C ZINC001474380969 1062024255 /nfs/dbraw/zinc/02/42/55/1062024255.db2.gz JAMQMNWOOKZKKE-JKSUJKDBSA-N 0 3 324.509 4.144 20 0 DIADHN Cc1nn(C(C)C)c(C)c1CN1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC001474383632 1062032363 /nfs/dbraw/zinc/03/23/63/1062032363.db2.gz VGNFTXVXIBEMKB-UCLAIMLFSA-N 0 3 303.494 4.481 20 0 DIADHN Cc1nc2scc(C3CC3)n2c1CN1C[C@@H](C)CC(C)(C)C1 ZINC001474389361 1062043332 /nfs/dbraw/zinc/04/33/32/1062043332.db2.gz NFTSTPQPSWOSBN-LBPRGKRZSA-N 0 3 317.502 4.450 20 0 DIADHN C[C@@H](COc1ccccc1F)N[C@@H](C)c1cccc(F)c1F ZINC001254206392 1062048581 /nfs/dbraw/zinc/04/85/81/1062048581.db2.gz MJKGQXNEIYZZNC-RYUDHWBXSA-N 0 3 309.331 4.222 20 0 DIADHN CC(C)(C)OC(=O)Nc1ccsc1CN1CCCCCC1 ZINC001474400024 1062053421 /nfs/dbraw/zinc/05/34/21/1062053421.db2.gz YTUFXGOYNKOCQD-UHFFFAOYSA-N 0 3 310.463 4.471 20 0 DIADHN C[C@@H](C(=O)N[C@@H](C)[C@@H](c1ccccc1)N(C)C)[C@@H](C)C(C)(C)C ZINC001459253511 1062056402 /nfs/dbraw/zinc/05/64/02/1062056402.db2.gz COWOIZWRFUVVEA-CBZIJGRNSA-N 0 3 318.505 4.112 20 0 DIADHN C[C@H](CCC(C)(C)C)C(=O)N[C@@H](C)[C@H](c1ccccc1)N(C)C ZINC001459254410 1062057583 /nfs/dbraw/zinc/05/75/83/1062057583.db2.gz HFZYCGLVZWRUEK-SOLBZPMBSA-N 0 3 318.505 4.256 20 0 DIADHN Cc1ccc(N2CCN(C3CCC(F)(F)CC3)CC2)c(C)c1 ZINC001254224798 1062058751 /nfs/dbraw/zinc/05/87/51/1062058751.db2.gz BHXWSUBWQKYCBY-UHFFFAOYSA-N 0 3 308.416 4.003 20 0 DIADHN CC1CCN(Cc2cnc(CCc3ccccc3)s2)CC1 ZINC001474404854 1062060596 /nfs/dbraw/zinc/06/05/96/1062060596.db2.gz USLRYOCHLNXKCW-UHFFFAOYSA-N 0 3 300.471 4.160 20 0 DIADHN Cc1oc(C(C)(C)C)cc1CN1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC001474405161 1062061925 /nfs/dbraw/zinc/06/19/25/1062061925.db2.gz ZHUQICNLXMELGY-HZPDHXFCSA-N 0 3 307.478 4.114 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@H]3CCCC[C@@H]3C2)cc1OC ZINC001474405062 1062062447 /nfs/dbraw/zinc/06/24/47/1062062447.db2.gz RHRBSPAOEDSIKV-ZIAGYGMSSA-N 0 3 323.864 4.369 20 0 DIADHN COc1cc(CN2CC[C@@H]3CCCC[C@@H]3C2)c(Cl)cc1O ZINC001474405283 1062067219 /nfs/dbraw/zinc/06/72/19/1062067219.db2.gz RSYBDZCGCAZAMB-QWHCGFSZSA-N 0 3 309.837 4.066 20 0 DIADHN COC(=O)c1cc(CN(C)C[C@@H](C)CC2CCCCC2)oc1C ZINC001474407522 1062068098 /nfs/dbraw/zinc/06/80/98/1062068098.db2.gz AHAGDVMGAGOFAJ-AWEZNQCLSA-N 0 3 321.461 4.413 20 0 DIADHN c1cc2c(c(CN3CCCCC[C@H]3c3ccncc3)c1)OCC2 ZINC001474408505 1062071614 /nfs/dbraw/zinc/07/16/14/1062071614.db2.gz HLWFVPCLZMNOEH-IBGZPJMESA-N 0 3 308.425 4.134 20 0 DIADHN CCc1ccc(CN(Cc2ccc(-c3cc[nH]n3)o2)C2CC2)cc1 ZINC001474414739 1062081797 /nfs/dbraw/zinc/08/17/97/1062081797.db2.gz MYGQOXDLNIHDRL-UHFFFAOYSA-N 0 3 321.424 4.397 20 0 DIADHN Cc1cccc(OCCN(C)[C@@H](C)c2ccc(F)c(F)c2)c1 ZINC001459333185 1062091861 /nfs/dbraw/zinc/09/18/61/1062091861.db2.gz ISJXTJAYUVWXAC-AWEZNQCLSA-N 0 3 305.368 4.345 20 0 DIADHN COc1ccc2c(c1)CCC[C@H]2CNCc1nc(Cl)cs1 ZINC001474420513 1062092483 /nfs/dbraw/zinc/09/24/83/1062092483.db2.gz WVLHZAHZAGPLET-LBPRGKRZSA-N 0 3 322.861 4.015 20 0 DIADHN CCc1ccc(NC(=O)CN(CC2CCCCC2)C2CC2)cc1 ZINC001459327094 1062094664 /nfs/dbraw/zinc/09/46/64/1062094664.db2.gz RCNPEROHEIZIAW-UHFFFAOYSA-N 0 3 314.473 4.232 20 0 DIADHN COc1cncc(/C=C/CCN(C)[C@H](C)c2ccccc2F)c1 ZINC001459338199 1062098311 /nfs/dbraw/zinc/09/83/11/1062098311.db2.gz NJIQKXDZXJAQCB-PMGBHYCQSA-N 0 3 314.404 4.326 20 0 DIADHN NC(=O)C[C@H](NCCC1CCCCCC1)c1ccccc1Cl ZINC001474426912 1062100846 /nfs/dbraw/zinc/10/08/46/1062100846.db2.gz LMJBCFJCIRKPBX-KRWDZBQOSA-N 0 3 322.880 4.207 20 0 DIADHN CCn1c2cccc(F)c2nc1CN1CCC[C@@H]1C1CCCC1 ZINC001459345576 1062101391 /nfs/dbraw/zinc/10/13/91/1062101391.db2.gz IAWVCBNDFLDMGA-MRXNPFEDSA-N 0 3 315.436 4.350 20 0 DIADHN C[C@H]1CN(C(=O)OC(C)(C)C)[C@@H](C)CN1CCC1CCCCC1 ZINC001474434595 1062109643 /nfs/dbraw/zinc/10/96/43/1062109643.db2.gz CZSSBXODAIMLTG-HOTGVXAUSA-N 0 3 324.509 4.287 20 0 DIADHN C/C(=C\c1ccccc1)CN(C)Cc1cc(F)ccc1N(C)C ZINC001474441641 1062118467 /nfs/dbraw/zinc/11/84/67/1062118467.db2.gz AKQRXMWLCAAOLT-FOWTUZBSSA-N 0 3 312.432 4.427 20 0 DIADHN CCC1(CC)[C@H](NCc2c(Cl)cccc2OC)C[C@H]1OC ZINC001474444016 1062120349 /nfs/dbraw/zinc/12/03/49/1062120349.db2.gz HPWFAZASADUUKF-HZPDHXFCSA-N 0 3 311.853 4.032 20 0 DIADHN COCC1(CN2Cc3ccccc3-c3ccccc3C2)CCC1 ZINC001459406025 1062131219 /nfs/dbraw/zinc/13/12/19/1062131219.db2.gz NZTMHRDNEIRICL-UHFFFAOYSA-N 0 3 307.437 4.486 20 0 DIADHN Cc1ccc(N2CC[C@@H](N(C)CCC3CCCCC3)C2=O)cc1 ZINC001459406700 1062132145 /nfs/dbraw/zinc/13/21/45/1062132145.db2.gz PDKHOVAPHFWUIQ-LJQANCHMSA-N 0 3 314.473 4.003 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CCCc2ccc(CN)nc21 ZINC001254487575 1062132365 /nfs/dbraw/zinc/13/23/65/1062132365.db2.gz QFHDNQYTFRMAKM-HXUWFJFHSA-N 0 3 323.484 4.094 20 0 DIADHN CCC[C@@H](CCc1ccccc1)N1CC[C@H]2CCCO[C@@H]2C1 ZINC001254491024 1062134285 /nfs/dbraw/zinc/13/42/85/1062134285.db2.gz AFWOYIBUQWTFAP-HSALFYBXSA-N 0 3 301.474 4.289 20 0 DIADHN CCC[C@H](CCc1ccccc1)N1CC[C@@H]2CCCO[C@H]2C1 ZINC001254491027 1062135176 /nfs/dbraw/zinc/13/51/76/1062135176.db2.gz AFWOYIBUQWTFAP-ZCNNSNEGSA-N 0 3 301.474 4.289 20 0 DIADHN COC(=O)c1sccc1CN[C@@H]1CCC[C@H](C(C)C)CC1 ZINC001474461787 1062140354 /nfs/dbraw/zinc/14/03/54/1062140354.db2.gz VIWFHWDYGLTCAP-DZGCQCFKSA-N 0 3 309.475 4.229 20 0 DIADHN CCN1CCN(c2cccc(N[C@@H]3C[C@H](C)CC[C@@H]3C)c2)CC1 ZINC001474462058 1062140469 /nfs/dbraw/zinc/14/04/69/1062140469.db2.gz ZQZHPJCZRGRZFF-FUHIMQAGSA-N 0 3 315.505 4.065 20 0 DIADHN CN1CCN([C@H]2CCc3cc(Cl)ccc32)Cc2ccccc21 ZINC001459425229 1062140955 /nfs/dbraw/zinc/14/09/55/1062140955.db2.gz IWPSGEARNSWNJZ-IBGZPJMESA-N 0 3 312.844 4.279 20 0 DIADHN CC1(C)CCN(CC(=O)[C@H]2CC[C@@H](C(F)(F)F)CC2)CC1 ZINC001459438424 1062145846 /nfs/dbraw/zinc/14/58/46/1062145846.db2.gz UDJOBVSVWWWUME-BETUJISGSA-N 0 3 305.384 4.046 20 0 DIADHN Fc1cccc([C@@H]2C[C@@H]2N2CCCO[C@@H](c3ccccc3)C2)c1 ZINC001459458892 1062151411 /nfs/dbraw/zinc/15/14/11/1062151411.db2.gz RJCJMXJQEYUPTG-SLFFLAALSA-N 0 3 311.400 4.145 20 0 DIADHN C[C@@H](c1ccccc1Cl)N(CC[C@@H]1COC(C)(C)O1)C1CC1 ZINC001459459005 1062151906 /nfs/dbraw/zinc/15/19/06/1062151906.db2.gz VRFOEOJDZWZXMT-DZGCQCFKSA-N 0 3 323.864 4.407 20 0 DIADHN Cc1ccc(CN2C[C@@H](c3ccccc3)C[C@H]2C)c(Cl)n1 ZINC001459498911 1062168468 /nfs/dbraw/zinc/16/84/68/1062168468.db2.gz WULCKFCYTFNOCX-PBHICJAKSA-N 0 3 300.833 4.421 20 0 DIADHN CC[C@H](C)c1nnc([C@H](C)N[C@@H](C)[C@H]2CC3CCC2CC3)[nH]1 ZINC001474494034 1062173429 /nfs/dbraw/zinc/17/34/29/1062173429.db2.gz IIRDIOVXYKDYIF-GFXABWKASA-N 0 3 304.482 4.184 20 0 DIADHN C[C@@H](CN1CC(C)(C)OC[C@@H]1C)c1ccc(C(F)(F)F)cc1 ZINC001474494690 1062174028 /nfs/dbraw/zinc/17/40/28/1062174028.db2.gz CWRODZSUCKWZBN-STQMWFEESA-N 0 3 315.379 4.308 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1C[C@H](C)CCC[C@@H]1C ZINC001459513481 1062176935 /nfs/dbraw/zinc/17/69/35/1062176935.db2.gz UNXUNPGXHYYTGL-OCCSQVGLSA-N 0 3 308.853 4.097 20 0 DIADHN Cc1cc(CN2CC[C@H](c3ccco3)C2)ccc1Br ZINC001459518760 1062180534 /nfs/dbraw/zinc/18/05/34/1062180534.db2.gz WBGSWKVBXSEFJY-AWEZNQCLSA-N 0 3 320.230 4.340 20 0 DIADHN CC(C)(C)c1ccc(NC(=O)CN2CCC[C@H]3CCC[C@H]32)cc1 ZINC001459520154 1062180691 /nfs/dbraw/zinc/18/06/91/1062180691.db2.gz HIMZCVCGAKXRJK-CRAIPNDOSA-N 0 3 314.473 4.187 20 0 DIADHN CCCN(Cc1ccns1)[C@@H]1C[C@H](OCC)C12CCCC2 ZINC001459534758 1062188154 /nfs/dbraw/zinc/18/81/54/1062188154.db2.gz OUGVQDZJSUXZLE-CVEARBPZSA-N 0 3 308.491 4.093 20 0 DIADHN Cc1ccc(C[C@H](C)N(C)Cc2cnc(Cl)c(F)c2)cc1 ZINC001459531713 1062188993 /nfs/dbraw/zinc/18/89/93/1062188993.db2.gz MDPWVOKEIGLCAU-ZDUSSCGKSA-N 0 3 306.812 4.246 20 0 DIADHN Fc1cccc(OC(F)(F)F)c1CN1CCC2(CCC2)CC1 ZINC001474510635 1062193590 /nfs/dbraw/zinc/19/35/90/1062193590.db2.gz MSPLGECFUZLMNO-UHFFFAOYSA-N 0 3 317.326 4.490 20 0 DIADHN CCCN(Cc1cc(F)ccc1F)[C@@H]1C[C@@H](OCC)C1(C)C ZINC001459535957 1062193911 /nfs/dbraw/zinc/19/39/11/1062193911.db2.gz PVBPIECQZCBYDA-IAGOWNOFSA-N 0 3 311.416 4.380 20 0 DIADHN Cc1ccccc1[C@H]1C[C@@H](C)N(Cc2ncccc2Cl)C1 ZINC001459535280 1062194194 /nfs/dbraw/zinc/19/41/94/1062194194.db2.gz ZHYWJQNDFZSUCK-CABCVRRESA-N 0 3 300.833 4.421 20 0 DIADHN CC/C=C\CCN1CCN([C@@H](C)c2ccc(Cl)cc2)CC1 ZINC001459558207 1062206094 /nfs/dbraw/zinc/20/60/94/1062206094.db2.gz HPZVTJAVOQJZBR-AWFCHZOYSA-N 0 3 306.881 4.375 20 0 DIADHN C[C@H](c1ccc(Cl)cc1)N1CCN([C@@H]2C=CCCC2)CC1 ZINC001459559128 1062208024 /nfs/dbraw/zinc/20/80/24/1062208024.db2.gz JLLYSIMRQWLWKQ-CRAIPNDOSA-N 0 3 304.865 4.127 20 0 DIADHN CCS[C@H]1CC[C@@H](N(C)Cc2cc(C(F)(F)F)co2)C1 ZINC001459559052 1062208646 /nfs/dbraw/zinc/20/86/46/1062208646.db2.gz JHYRLGCAMAUEEB-YPMHNXCESA-N 0 3 307.381 4.404 20 0 DIADHN Cc1ccc(OC2CCN(Cc3c(C)cc(C)nc3C)CC2)cc1 ZINC001459566111 1062213369 /nfs/dbraw/zinc/21/33/69/1062213369.db2.gz ODZRTCINXSKEIX-UHFFFAOYSA-N 0 3 324.468 4.359 20 0 DIADHN CC[C@H](c1ccccc1)N1CCN(CC=C(Cl)Cl)CC1 ZINC001459573002 1062216021 /nfs/dbraw/zinc/21/60/21/1062216021.db2.gz GIWBIOBITKOGNG-OAHLLOKOSA-N 0 3 313.272 4.074 20 0 DIADHN CCO[C@@H]1C[C@@H](N(C)Cc2c(C)nsc2C)C12CCCCC2 ZINC001459572982 1062220805 /nfs/dbraw/zinc/22/08/05/1062220805.db2.gz CFPKBRQZGNUEBV-IAGOWNOFSA-N 0 3 322.518 4.320 20 0 DIADHN Cc1cccc(CC[C@@H](C)NCc2cc(F)cnc2Cl)c1 ZINC001474540561 1062229785 /nfs/dbraw/zinc/22/97/85/1062229785.db2.gz DMUOVRMPDZLCHV-CYBMUJFWSA-N 0 3 306.812 4.293 20 0 DIADHN COc1cc(CN(C)[C@@H](CO)c2ccccc2)cc2ccccc21 ZINC001474540431 1062230318 /nfs/dbraw/zinc/23/03/18/1062230318.db2.gz FEMPZPRUKYHFEH-FQEVSTJZSA-N 0 3 321.420 4.014 20 0 DIADHN [O-]c1ccc(C[NH2+][C@H]2C[C@H](c3ccccc3Cl)C2)cc1F ZINC001474541568 1062231211 /nfs/dbraw/zinc/23/12/11/1062231211.db2.gz KMOVVHLZPCATDU-JOCQHMNTSA-N 0 3 305.780 4.221 20 0 DIADHN COC(=O)c1cc(CN2CCC(C3CCCC3)CC2)c(C)s1 ZINC001459599101 1062235653 /nfs/dbraw/zinc/23/56/53/1062235653.db2.gz SOGFAYNAXKQUSN-UHFFFAOYSA-N 0 3 321.486 4.245 20 0 DIADHN CC[C@@H](C)CN(CC)CC(=O)Nc1ccc(C(C)(C)C)cc1 ZINC001459601935 1062240439 /nfs/dbraw/zinc/24/04/39/1062240439.db2.gz DDJLOJXVMDOFDG-OAHLLOKOSA-N 0 3 304.478 4.291 20 0 DIADHN CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1Cc1ccccc1C(C)C ZINC001459606763 1062241449 /nfs/dbraw/zinc/24/14/49/1062241449.db2.gz UQLRSMCMQROUSY-MOPGFXCFSA-N 0 3 316.489 4.031 20 0 DIADHN CS[C@@H]1CC[C@@H](N(C)Cc2sc(C)nc2C(F)(F)F)C1 ZINC001254776115 1062249597 /nfs/dbraw/zinc/24/95/97/1062249597.db2.gz ZSXYBUWBVDURMP-NXEZZACHSA-N 0 3 324.437 4.186 20 0 DIADHN COc1ncc(CN2CC[C@H](c3ccccc3)[C@@H]2C)cc1Cl ZINC001459618050 1062249544 /nfs/dbraw/zinc/24/95/44/1062249544.db2.gz AQLGLUNIUKVXDX-BBRMVZONSA-N 0 3 316.832 4.122 20 0 DIADHN Cc1nn(-c2cccc(C)c2)cc1CN1CC2(CCC2)[C@H]1C(C)C ZINC001474552026 1062250118 /nfs/dbraw/zinc/25/01/18/1062250118.db2.gz XVSFNPRBLCPGJA-HXUWFJFHSA-N 0 3 323.484 4.500 20 0 DIADHN CC1=CC[C@H](NCc2nc3cc(Br)ccc3o2)CC1 ZINC001474559398 1062262991 /nfs/dbraw/zinc/26/29/91/1062262991.db2.gz HCMZPTNGYSUODN-LBPRGKRZSA-N 0 3 321.218 4.179 20 0 DIADHN CC(C)[C@@H]1c2ccccc2CCN1CCSC(F)(F)F ZINC001459652383 1062278652 /nfs/dbraw/zinc/27/86/52/1062278652.db2.gz SDEDANMNPDXRTG-CQSZACIVSA-N 0 3 303.393 4.495 20 0 DIADHN CC(C)c1ccc(-c2nnc(C3CCN(C4CC4)CC3)o2)cc1 ZINC001254866068 1062267965 /nfs/dbraw/zinc/26/79/65/1062267965.db2.gz KCVBBYBQEGBTRD-UHFFFAOYSA-N 0 3 311.429 4.202 20 0 DIADHN C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1nc2ccccc2n1C ZINC001459634051 1062270328 /nfs/dbraw/zinc/27/03/28/1062270328.db2.gz PACRKMJMLRUZBE-WMZOPIPTSA-N 0 3 319.452 4.026 20 0 DIADHN FC(F)C1CCN(CCOc2cc(Cl)cc(Cl)c2)CC1 ZINC001459644464 1062273979 /nfs/dbraw/zinc/27/39/79/1062273979.db2.gz BWSBLZBOXQAFOF-UHFFFAOYSA-N 0 3 324.198 4.349 20 0 DIADHN COc1cccc(CN2CCC[C@H](C)[C@H]2c2ccc(C)cc2)n1 ZINC001459647462 1062276113 /nfs/dbraw/zinc/27/61/13/1062276113.db2.gz VZJCJDYPSMLIEW-JXFKEZNVSA-N 0 3 310.441 4.372 20 0 DIADHN CCC1(CC)CCN(Cc2coc(-c3ccccc3F)n2)C1 ZINC001459654700 1062278848 /nfs/dbraw/zinc/27/88/48/1062278848.db2.gz YIBRREGCSJJBOZ-UHFFFAOYSA-N 0 3 302.393 4.493 20 0 DIADHN CN(CCOCC1CC1)Cc1ccc(-c2ccsc2)cc1 ZINC001459656528 1062281388 /nfs/dbraw/zinc/28/13/88/1062281388.db2.gz OLDFQXGIVPKUOI-UHFFFAOYSA-N 0 3 301.455 4.274 20 0 DIADHN COc1cc(C)nc(CN2CC[C@H](c3ccccc3)[C@H](C)C2)c1 ZINC001459663108 1062283801 /nfs/dbraw/zinc/28/38/01/1062283801.db2.gz QMYAGHRTDHWCJD-QRWLVFNGSA-N 0 3 310.441 4.024 20 0 DIADHN CN(Cc1sccc1Cl)[C@H]1CCN(Cc2ccccc2)C1 ZINC001459671147 1062292009 /nfs/dbraw/zinc/29/20/09/1062292009.db2.gz PBLWLCLXSWXQCC-HNNXBMFYSA-N 0 3 320.889 4.108 20 0 DIADHN Cc1cc(CN2CCOC3(C2)CCCCCC3)c2ccccc2n1 ZINC001459675338 1062294040 /nfs/dbraw/zinc/29/40/40/1062294040.db2.gz ZIIYXKBTRMCBEE-UHFFFAOYSA-N 0 3 324.468 4.468 20 0 DIADHN CCOC(=O)CN(CCC1C2CC3CC(C2)CC1C3)C(C)(C)C ZINC001459689651 1062306398 /nfs/dbraw/zinc/30/63/98/1062306398.db2.gz OOGOXZLXADKXQO-UHFFFAOYSA-N 0 3 321.505 4.112 20 0 DIADHN Cc1ccc(CN2CCC(C3CCOCC3)CC2)cc1Cl ZINC001474593596 1062307138 /nfs/dbraw/zinc/30/71/38/1062307138.db2.gz CECDTUMECJRJCH-UHFFFAOYSA-N 0 3 307.865 4.287 20 0 DIADHN Clc1cccc(-c2nc(CNC3CCSCC3)cs2)c1 ZINC001255088222 1062310114 /nfs/dbraw/zinc/31/01/14/1062310114.db2.gz XPNYXSHFQFACEZ-UHFFFAOYSA-N 0 3 324.902 4.449 20 0 DIADHN O=C(C[C@@H]1CCCN1CCc1cccc(F)c1)c1cccs1 ZINC001459705457 1062320839 /nfs/dbraw/zinc/32/08/39/1062320839.db2.gz RABUCVGFWJESSQ-INIZCTEOSA-N 0 3 317.429 4.167 20 0 DIADHN CCC[C@@H]1[C@@H](C)CCCN1Cc1cc(C(=O)OC)ccc1F ZINC001459711911 1062325784 /nfs/dbraw/zinc/32/57/84/1062325784.db2.gz YMDKDIWFKICYDP-SUMWQHHRSA-N 0 3 307.409 4.013 20 0 DIADHN CC[C@H](C)[C@H](CN1CCc2ccc(Cl)cc2[C@H](C)C1)OC ZINC001474611645 1062327830 /nfs/dbraw/zinc/32/78/30/1062327830.db2.gz NCHZKDOANIOCKC-IYOUNJFTSA-N 0 3 309.881 4.363 20 0 DIADHN C[C@@H]1CN(CCc2ccc(C(C)(C)C)cc2)C2(CCC2)CO1 ZINC001474612477 1062328776 /nfs/dbraw/zinc/32/87/76/1062328776.db2.gz JJXUOSGWXHYZDG-MRXNPFEDSA-N 0 3 301.474 4.170 20 0 DIADHN CC[C@H]1COC(C)(C)CN1Cc1cccc(-c2ccccn2)c1 ZINC001474615558 1062334845 /nfs/dbraw/zinc/33/48/45/1062334845.db2.gz BWXDMFRPZALQKB-SFHVURJKSA-N 0 3 310.441 4.138 20 0 DIADHN CC(C)(C)[C@@H]1C[C@@H](NCc2cccc(OC3CC3)c2F)CS1 ZINC001474619137 1062338837 /nfs/dbraw/zinc/33/88/37/1062338837.db2.gz OEMLHRJDXGJYNR-CJNGLKHVSA-N 0 3 323.477 4.377 20 0 DIADHN C[C@@H]1CC[C@H](N[C@H](CO)c2cccc(Cl)c2Cl)C[C@@H]1C ZINC001474636327 1062359841 /nfs/dbraw/zinc/35/98/41/1062359841.db2.gz MGHNQDLTOHWMQQ-OXJKWZBOSA-N 0 3 316.272 4.441 20 0 DIADHN CCCN(Cc1ccccc1CC)[C@@H](C)C(=O)Nc1ccccc1 ZINC001459747186 1062360352 /nfs/dbraw/zinc/36/03/52/1062360352.db2.gz HLSTWZCCMPTWSA-KRWDZBQOSA-N 0 3 324.468 4.488 20 0 DIADHN CCO[C@H]1C[C@H](N(C)Cc2ccc(Cl)nc2)C1(CC)CC ZINC001459756382 1062366260 /nfs/dbraw/zinc/36/62/60/1062366260.db2.gz VYLWYTYFWGQTFL-GJZGRUSLSA-N 0 3 310.869 4.151 20 0 DIADHN Cc1cc(CN2CC(Cc3ccsc3)C2)cc2cccnc12 ZINC001474641991 1062367086 /nfs/dbraw/zinc/36/70/86/1062367086.db2.gz AANVLXBWRWCYFR-UHFFFAOYSA-N 0 3 308.450 4.279 20 0 DIADHN CCCC[C@H](CC)CC(=O)N[C@@H](CN(C)C)c1ccc(F)cc1 ZINC001468646894 1062369727 /nfs/dbraw/zinc/36/97/27/1062369727.db2.gz ONLPQBFXAIDRNX-YJBOKZPZSA-N 0 3 322.468 4.151 20 0 DIADHN CCC[C@@H](C)[C@H]1CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001459757241 1062370112 /nfs/dbraw/zinc/37/01/12/1062370112.db2.gz SFWDYWRVCMAVLE-CZUORRHYSA-N 0 3 317.408 4.276 20 0 DIADHN CCC[C@@H](C)[C@@H]1CCCN1Cc1nc(-c2ccccc2F)no1 ZINC001459757240 1062371492 /nfs/dbraw/zinc/37/14/92/1062371492.db2.gz SFWDYWRVCMAVLE-CJNGLKHVSA-N 0 3 317.408 4.276 20 0 DIADHN CC1(C)Cc2n[nH]cc2CN(Cc2cccc(CC3CCC3)c2)C1 ZINC001474648486 1062375914 /nfs/dbraw/zinc/37/59/14/1062375914.db2.gz DRGDRSBDOJAUBX-UHFFFAOYSA-N 0 3 323.484 4.337 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2nc3ccc(OC)cc3s2)C1 ZINC001474652195 1062382000 /nfs/dbraw/zinc/38/20/00/1062382000.db2.gz CLKQXUCHAPNGMD-VQTKUKTRSA-N 0 3 316.470 4.483 20 0 DIADHN COc1ccnc(CN2CCC[C@@H]2CCC2CCCC2)c1OC ZINC001459770074 1062385533 /nfs/dbraw/zinc/38/55/33/1062385533.db2.gz IARMCYLNFLHUQY-MRXNPFEDSA-N 0 3 318.461 4.034 20 0 DIADHN CC(C)c1ccccc1CN(C)CCN(C)C(=O)OC(C)(C)C ZINC001459772483 1062387957 /nfs/dbraw/zinc/38/79/57/1062387957.db2.gz XBLZEDRKKRBDQZ-UHFFFAOYSA-N 0 3 320.477 4.109 20 0 DIADHN Fc1c(Br)ccc(CN[C@@H]2CCC23CCC3)c1F ZINC001474657009 1062388978 /nfs/dbraw/zinc/38/89/78/1062388978.db2.gz SHLCHTAPQLYXAA-LLVKDONJSA-N 0 3 316.189 4.150 20 0 DIADHN CC1(C)CCC(CN2CCO[C@@]3(CCc4ccccc43)C2)CC1 ZINC001459777713 1062392389 /nfs/dbraw/zinc/39/23/89/1062392389.db2.gz WHGVMPBABFGFJB-NRFANRHFSA-N 0 3 313.485 4.377 20 0 DIADHN C[C@H](NCc1ccc2cccnc2c1)c1cc2cnccc2o1 ZINC001474661864 1062394030 /nfs/dbraw/zinc/39/40/30/1062394030.db2.gz LOEYZNJNUJZACY-ZDUSSCGKSA-N 0 3 303.365 4.227 20 0 DIADHN CO[C@@](C)(CNCc1cc(Cl)cc(Cl)c1F)C1CC1 ZINC001474667782 1062394532 /nfs/dbraw/zinc/39/45/32/1062394532.db2.gz GCEGGMQCOPDVSB-AWEZNQCLSA-N 0 3 306.208 4.037 20 0 DIADHN Cc1nn2c(CN(C)C[C@H](C)C3CCCCC3)c(C)nc2s1 ZINC001474664037 1062394947 /nfs/dbraw/zinc/39/49/47/1062394947.db2.gz QOEVIDGEZUENDU-LBPRGKRZSA-N 0 3 320.506 4.056 20 0 DIADHN CCCc1ccc([C@H](NCc2cnn(CCF)c2)C(C)C)cc1 ZINC001459796600 1062408107 /nfs/dbraw/zinc/40/81/07/1062408107.db2.gz JYMBXLLTGQLWJK-LJQANCHMSA-N 0 3 317.452 4.292 20 0 DIADHN CO[C@H](C)[C@@H](C)N[C@H](c1nc2ccccc2[nH]1)C1CCCCC1 ZINC001474676818 1062408350 /nfs/dbraw/zinc/40/83/50/1062408350.db2.gz WLUCEPDQYRALNV-LBTNJELSSA-N 0 3 315.461 4.197 20 0 DIADHN C[C@H](c1ccccc1)N1CCN(C/C=C\c2ccc(F)cc2)CC1 ZINC001474685661 1062417596 /nfs/dbraw/zinc/41/75/96/1062417596.db2.gz XTVHPMKXSJABQC-OOFWQKGWSA-N 0 3 324.443 4.218 20 0 DIADHN COc1cccc([C@H]2C[C@@H](C)CN2Cc2c(C)nsc2C)c1 ZINC001459813029 1062418499 /nfs/dbraw/zinc/41/84/99/1062418499.db2.gz HUBQLHCRBZFXKL-KZULUSFZSA-N 0 3 316.470 4.352 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)N1CC2(CCCCC2)[C@@H]1C(C)C ZINC001468838472 1062419021 /nfs/dbraw/zinc/41/90/21/1062419021.db2.gz LDJOTSVTWKUOLN-MSOLQXFVSA-N 0 3 320.521 4.068 20 0 DIADHN C[C@@H](NC[C@H]1CCC2(CCC2)CO1)c1cc(Cl)ccc1F ZINC001459818688 1062426211 /nfs/dbraw/zinc/42/62/11/1062426211.db2.gz UFZGWKDIDMWNOK-TZMCWYRMSA-N 0 3 311.828 4.479 20 0 DIADHN C[C@H](NCc1cccc(C(=O)C(F)(F)F)c1)c1ccccc1 ZINC001459825339 1062427728 /nfs/dbraw/zinc/42/77/28/1062427728.db2.gz KRWGBPWZCVFLFV-LBPRGKRZSA-N 0 3 307.315 4.282 20 0 DIADHN CC[C@H](CSC)N(C)Cc1cc(Br)ccc1F ZINC001459824163 1062427959 /nfs/dbraw/zinc/42/79/59/1062427959.db2.gz AXIABUHYGIESHR-GFCCVEGCSA-N 0 3 320.271 4.162 20 0 DIADHN CC(C)CC[C@@H](NCc1nc(N)c2ccccc2n1)C(C)(C)C ZINC001459836478 1062435487 /nfs/dbraw/zinc/43/54/87/1062435487.db2.gz OCJRPKIEZPTTEH-MRXNPFEDSA-N 0 3 314.477 4.153 20 0 DIADHN Fc1ccc([C@@H](NCCOCC2CCCC2)C2CC2)c(F)c1 ZINC001459832743 1062435645 /nfs/dbraw/zinc/43/56/45/1062435645.db2.gz DQSBQTMRPDLNEV-SFHVURJKSA-N 0 3 309.400 4.212 20 0 DIADHN CCC[C@H](CCc1ccccc1)N[C@@H](c1ncccn1)C1CC1 ZINC001474718291 1062441997 /nfs/dbraw/zinc/44/19/97/1062441997.db2.gz ZOUJGHBIJNHTJM-RTBURBONSA-N 0 3 309.457 4.319 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@H]1CC[C@H](C2CC2)O1 ZINC001474729119 1062449470 /nfs/dbraw/zinc/44/94/70/1062449470.db2.gz ZKURDDXAIVWEGS-IUODEOHRSA-N 0 3 305.805 4.133 20 0 DIADHN CCc1ccc([C@H](C)NCc2ccc(C)c(C(=O)OC)c2)cc1 ZINC001459853138 1062450023 /nfs/dbraw/zinc/45/00/23/1062450023.db2.gz QCEVQXVGCVJPDT-HNNXBMFYSA-N 0 3 311.425 4.195 20 0 DIADHN CC[C@](C)(NCC(=O)N(C(C)C)C1CCCC1)c1ccccc1 ZINC001459852199 1062450414 /nfs/dbraw/zinc/45/04/14/1062450414.db2.gz VWTSLXZGSVZWPH-FQEVSTJZSA-N 0 3 316.489 4.081 20 0 DIADHN Clc1oc2ccccc2c1CNC[C@@H]1CC[C@@H](C2CC2)O1 ZINC001474729121 1062450492 /nfs/dbraw/zinc/45/04/92/1062450492.db2.gz ZKURDDXAIVWEGS-WFASDCNBSA-N 0 3 305.805 4.133 20 0 DIADHN COC1CCC(NC2c3ccccc3Oc3ccccc32)CC1 ZINC001255451154 1062452445 /nfs/dbraw/zinc/45/24/45/1062452445.db2.gz HGNXDXJPCJYUDR-UHFFFAOYSA-N 0 3 309.409 4.429 20 0 DIADHN CC[C@@H](NCc1csc(COC)n1)C(C)(C)c1ccccc1 ZINC001459856688 1062452884 /nfs/dbraw/zinc/45/28/84/1062452884.db2.gz CPHJLOQXRFHASC-MRXNPFEDSA-N 0 3 318.486 4.136 20 0 DIADHN Cc1ccc(N2CC[C@H](N[C@H](C)c3cccc(C)c3C)C2=O)cc1 ZINC001459859598 1062454091 /nfs/dbraw/zinc/45/40/91/1062454091.db2.gz CYHWAIUIFNIRMC-XLIONFOSSA-N 0 3 322.452 4.068 20 0 DIADHN CCc1ccc(NC(=O)CN[C@H](C)c2cccc(C)c2C)cc1 ZINC001459859939 1062454688 /nfs/dbraw/zinc/45/46/88/1062454688.db2.gz IHQRCUPXAXNNIS-MRXNPFEDSA-N 0 3 310.441 4.155 20 0 DIADHN CC(C)=C[C@@H]1[C@@H](C(=O)Nc2ccc(CN(C)C)cc2F)C1(C)C ZINC001468970437 1062454979 /nfs/dbraw/zinc/45/49/79/1062454979.db2.gz NHMLDLQKTBNZAT-PBHICJAKSA-N 0 3 318.436 4.064 20 0 DIADHN Cc1ccc(NC(=O)CN[C@@H](CC2CC2)c2ccccc2)cc1C ZINC001459859341 1062455419 /nfs/dbraw/zinc/45/54/19/1062455419.db2.gz GWFOXKXFKJFALW-FQEVSTJZSA-N 0 3 322.452 4.373 20 0 DIADHN Cc1cccc2c1[C@H](NC1CCC(C(C)(C)C)CC1)C(=O)N2 ZINC001255495712 1062460394 /nfs/dbraw/zinc/46/03/94/1062460394.db2.gz CCOFLXKMNYHASM-KVULBXGLSA-N 0 3 300.446 4.183 20 0 DIADHN COC(=O)/C(C)=C/CN[C@@H](CC1CCCCC1)c1ccccc1 ZINC001459877578 1062467058 /nfs/dbraw/zinc/46/70/58/1062467058.db2.gz MVKBMQCGFKVQBR-XAVZPLJISA-N 0 3 315.457 4.407 20 0 DIADHN COc1ccc2c(c1)CCN(C1CCC(C(F)(F)F)CC1)C2 ZINC001255516271 1062469532 /nfs/dbraw/zinc/46/95/32/1062469532.db2.gz NJLFHJWQAFOPFC-UHFFFAOYSA-N 0 3 313.363 4.174 20 0 DIADHN CN(Cc1ccc(Cl)cc1Cl)[C@@H]1CCOC(C)(C)C1 ZINC001255537089 1062476266 /nfs/dbraw/zinc/47/62/66/1062476266.db2.gz TXHMBJOBFYYCGX-CYBMUJFWSA-N 0 3 302.245 4.383 20 0 DIADHN CN1CCN(Cc2cccc3ccccc32)[C@@H](c2ccccc2)C1 ZINC001459895106 1062477636 /nfs/dbraw/zinc/47/76/36/1062477636.db2.gz STZDUIGXGNIHII-JOCHJYFZSA-N 0 3 316.448 4.329 20 0 DIADHN CC1(C)C[C@@H](N2CCc3ccc(C(F)(F)F)cc3C2)CCO1 ZINC001255549746 1062480012 /nfs/dbraw/zinc/48/00/12/1062480012.db2.gz OJVNUQWTVDJTJR-HNNXBMFYSA-N 0 3 313.363 4.021 20 0 DIADHN COC[C@H](C)N1CCCC[C@H]1c1ccccc1C(F)(F)F ZINC001255578097 1062486096 /nfs/dbraw/zinc/48/60/96/1062486096.db2.gz MTTZZTRJXDRQEV-WFASDCNBSA-N 0 3 301.352 4.267 20 0 DIADHN C[C@H](CC(F)(F)F)N[C@@H](c1ccccc1)[C@H](O)c1ccccc1 ZINC001255633795 1062492838 /nfs/dbraw/zinc/49/28/38/1062492838.db2.gz OYJRDHFPNZZHCX-XOKHGSTOSA-N 0 3 323.358 4.392 20 0 DIADHN C[C@@H](CC(F)(F)F)NCc1ccccc1N1CCCCCC1 ZINC001255634766 1062492864 /nfs/dbraw/zinc/49/28/64/1062492864.db2.gz DUHHNYBSNLMLPF-AWEZNQCLSA-N 0 3 314.395 4.498 20 0 DIADHN COC[C@@H](NC[C@H](C)CSc1ccccc1C)c1ccco1 ZINC001459923106 1062496894 /nfs/dbraw/zinc/49/68/94/1062496894.db2.gz GYPKPTCZNALOBV-GOEBONIOSA-N 0 3 319.470 4.294 20 0 DIADHN C[C@H](CC(F)(F)F)N1CCC(c2nc3ccccc3o2)CC1 ZINC001255647518 1062497508 /nfs/dbraw/zinc/49/75/08/1062497508.db2.gz VQWHLCZSFZOGNS-LLVKDONJSA-N 0 3 312.335 4.348 20 0 DIADHN Cc1ccc(O[C@@H]2CCCN([C@@H](C)CC(F)(F)F)C2)cc1 ZINC001255657869 1062500586 /nfs/dbraw/zinc/50/05/86/1062500586.db2.gz LYARDWDYUZYNQX-DZGCQCFKSA-N 0 3 301.352 4.179 20 0 DIADHN COc1ccc([C@@H]2CCCN2[C@H](C)CC(F)(F)F)cc1OC ZINC001255657419 1062501472 /nfs/dbraw/zinc/50/14/72/1062501472.db2.gz GZKILHJLWGNNNH-YPMHNXCESA-N 0 3 317.351 4.182 20 0 DIADHN Cc1ccc(O[C@H]2CCCN([C@@H](C)CC(F)(F)F)C2)cc1 ZINC001255657873 1062503952 /nfs/dbraw/zinc/50/39/52/1062503952.db2.gz LYARDWDYUZYNQX-ZFWWWQNUSA-N 0 3 301.352 4.179 20 0 DIADHN COc1ccc([C@@H]2CCCN2[C@@H](C)CC(F)(F)F)cc1OC ZINC001255657415 1062504027 /nfs/dbraw/zinc/50/40/27/1062504027.db2.gz GZKILHJLWGNNNH-AAEUAGOBSA-N 0 3 317.351 4.182 20 0 DIADHN CCCN(C)[C@H](CNC(=O)C(C)(C)CC)c1ccc(Cl)cc1 ZINC001459943136 1062510158 /nfs/dbraw/zinc/51/01/58/1062510158.db2.gz CSSNRJDBTPARJQ-MRXNPFEDSA-N 0 3 324.896 4.275 20 0 DIADHN COc1cc(F)c(CN[C@H]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC001255689256 1062517478 /nfs/dbraw/zinc/51/74/78/1062517478.db2.gz LBBBYOWSKFYSGR-ZJUUUORDSA-N 0 3 323.305 4.184 20 0 DIADHN CN(Cc1cccc(OC(C)(C)C)n1)CC1(c2ccccc2)CC1 ZINC001459949441 1062520041 /nfs/dbraw/zinc/52/00/41/1062520041.db2.gz DXFFEGVJKSAQNF-UHFFFAOYSA-N 0 3 324.468 4.423 20 0 DIADHN CCc1ccc([C@@H]2CN(C[C@@H]3CCCC[C@H]3C)CCO2)cc1 ZINC001459951884 1062521481 /nfs/dbraw/zinc/52/14/81/1062521481.db2.gz RDPIVVWFXZHVRN-UXPWSPDFSA-N 0 3 301.474 4.449 20 0 DIADHN CC[C@@H]1CCCC[C@@H]1N(C)Cc1ccn(-c2cccc(F)c2)n1 ZINC001459972050 1062536404 /nfs/dbraw/zinc/53/64/04/1062536404.db2.gz VDSGGOCFJRSOSR-BEFAXECRSA-N 0 3 315.436 4.412 20 0 DIADHN Fc1ccc2c(c1)CN([C@@H]1CCC[C@H](C(F)(F)F)C1)CC2 ZINC001255717920 1062537444 /nfs/dbraw/zinc/53/74/44/1062537444.db2.gz PVFOLHUUPFXBNP-DZGCQCFKSA-N 0 3 301.327 4.305 20 0 DIADHN Fc1ccc2c(c1)CN([C@@H]1CCC[C@@H](C(F)(F)F)C1)CC2 ZINC001255717922 1062540054 /nfs/dbraw/zinc/54/00/54/1062540054.db2.gz PVFOLHUUPFXBNP-UKRRQHHQSA-N 0 3 301.327 4.305 20 0 DIADHN COc1cccc2c1CCN([C@@H]1CCC[C@H](C(F)(F)F)C1)C2 ZINC001255722848 1062541663 /nfs/dbraw/zinc/54/16/63/1062541663.db2.gz LQRHEHQYCDXQPQ-UONOGXRCSA-N 0 3 313.363 4.174 20 0 DIADHN FC(F)(F)[C@H]1CCC[C@H](N2CCOC3(CCCCC3)C2)C1 ZINC001255722395 1062541905 /nfs/dbraw/zinc/54/19/05/1062541905.db2.gz ZBMPLNPAAZISQH-KBPBESRZSA-N 0 3 305.384 4.143 20 0 DIADHN CC[C@@H]1CCN(Cc2cc(Br)ccc2OC)[C@@H]1C ZINC001459981621 1062543534 /nfs/dbraw/zinc/54/35/34/1062543534.db2.gz ATELBWBERPKLSJ-VXGBXAGGSA-N 0 3 312.251 4.078 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)NCc1cnccc1Cl ZINC001255723192 1062544259 /nfs/dbraw/zinc/54/42/59/1062544259.db2.gz GWUOYGMWOJUUSM-LLVKDONJSA-N 0 3 310.825 4.145 20 0 DIADHN CC[C@H]1CCN(CC(=O)Nc2ccc(C(C)(C)C)cc2)[C@H]1C ZINC001459981954 1062544321 /nfs/dbraw/zinc/54/43/21/1062544321.db2.gz CXCGABXPOZSOQB-GJZGRUSLSA-N 0 3 302.462 4.043 20 0 DIADHN Cc1cccc([C@H](C)N[C@@H](C)CSc2ccc(F)cc2)n1 ZINC001255728030 1062549983 /nfs/dbraw/zinc/54/99/83/1062549983.db2.gz LJTMOUXCQNUZAB-KBPBESRZSA-N 0 3 304.434 4.361 20 0 DIADHN Cc1cccc([C@@H](C)N[C@@H](C)CSc2ccc(F)cc2)n1 ZINC001255728032 1062551531 /nfs/dbraw/zinc/55/15/31/1062551531.db2.gz LJTMOUXCQNUZAB-UONOGXRCSA-N 0 3 304.434 4.361 20 0 DIADHN C[C@@H](N[C@@H](C)CSc1ccc(F)cc1)c1ccc(F)cn1 ZINC001255731929 1062553622 /nfs/dbraw/zinc/55/36/22/1062553622.db2.gz MJGAREKTVCNOEN-NWDGAFQWSA-N 0 3 308.397 4.191 20 0 DIADHN Cc1ccnc([C@H](C)N[C@H](C)CSc2ccc(F)cc2)c1 ZINC001255732594 1062555357 /nfs/dbraw/zinc/55/53/57/1062555357.db2.gz OIICZSJKQIZRNB-KGLIPLIRSA-N 0 3 304.434 4.361 20 0 DIADHN CCN(Cc1ccccn1)[C@@H](C)CSc1ccc(F)cc1 ZINC001255744939 1062564631 /nfs/dbraw/zinc/56/46/31/1062564631.db2.gz ONAWFYITFWCQBZ-AWEZNQCLSA-N 0 3 304.434 4.223 20 0 DIADHN C[C@H](CSc1ccc(F)cc1)N1CC(c2ccccc2)C1 ZINC001255748500 1062570369 /nfs/dbraw/zinc/57/03/69/1062570369.db2.gz QUYKPGRUFGSPLQ-CQSZACIVSA-N 0 3 301.430 4.406 20 0 DIADHN CCc1ccc2ccccc2c1CN1CCC[C@H]1c1cc[nH]n1 ZINC001460017475 1062571204 /nfs/dbraw/zinc/57/12/04/1062571204.db2.gz NWBKYJIREUKGQY-FQEVSTJZSA-N 0 3 305.425 4.462 20 0 DIADHN Cc1ccc(SC[C@@H](C)NCc2ccc(Cl)cn2)cc1 ZINC001255752944 1062574221 /nfs/dbraw/zinc/57/42/21/1062574221.db2.gz MRYKLSHYDMNVDL-CYBMUJFWSA-N 0 3 306.862 4.314 20 0 DIADHN Cc1ccc(Cl)cc1NC(=O)CN1CCC[C@@H](C(C)(C)C)C1 ZINC001460022336 1062574599 /nfs/dbraw/zinc/57/45/99/1062574599.db2.gz KYYCNOWKUJHNBF-CQSZACIVSA-N 0 3 322.880 4.345 20 0 DIADHN Cc1ccc(SC[C@H](C)NCc2ccc(O)cc2F)cc1 ZINC001255754440 1062574793 /nfs/dbraw/zinc/57/47/93/1062574793.db2.gz DZKNZKQIWJQPRB-ZDUSSCGKSA-N 0 3 305.418 4.110 20 0 DIADHN Cc1ccc(SC[C@@H](C)NC(=N)c2cccnc2Cl)cc1 ZINC001255757082 1062580796 /nfs/dbraw/zinc/58/07/96/1062580796.db2.gz VJEGHTAPHWNROG-GFCCVEGCSA-N 0 3 319.861 4.139 20 0 DIADHN Cc1ccc(SC[C@@H](C)N[C@@H]2COc3ccc(F)cc32)cc1 ZINC001255759402 1062583712 /nfs/dbraw/zinc/58/37/12/1062583712.db2.gz TYFVIICOHOSPND-CXAGYDPISA-N 0 3 317.429 4.338 20 0 DIADHN Cc1ccccc1[C@H](CC(F)(F)F)NCCOCC1CCC1 ZINC001460030060 1062587591 /nfs/dbraw/zinc/58/75/91/1062587591.db2.gz UKLIYJVOZHRHRH-INIZCTEOSA-N 0 3 315.379 4.395 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CCC[C@@H]2c2cnccn2)cc1 ZINC001255767787 1062588098 /nfs/dbraw/zinc/58/80/98/1062588098.db2.gz QRHXFKBKILODIK-CRAIPNDOSA-N 0 3 313.470 4.103 20 0 DIADHN C[C@@H]1C[C@H](C)CCN(Cc2cccc(F)c2Br)C1 ZINC000723780347 1062588257 /nfs/dbraw/zinc/58/82/57/1062588257.db2.gz AZPAASQKSIKDSY-VXGBXAGGSA-N 0 3 314.242 4.456 20 0 DIADHN Cc1ccc(SC[C@@H](C)N2CC[C@@H](C(F)(F)F)C2)cc1 ZINC001255768938 1062590434 /nfs/dbraw/zinc/59/04/34/1062590434.db2.gz XJJGPZBGHUOSBD-CHWSQXEVSA-N 0 3 303.393 4.360 20 0 DIADHN CSc1ccccc1CN(C)C[C@H]1CCC2(CCC2)CO1 ZINC001460045982 1062596449 /nfs/dbraw/zinc/59/64/49/1062596449.db2.gz JODTVRSLOKSGRS-MRXNPFEDSA-N 0 3 305.487 4.190 20 0 DIADHN C[C@H](O)C[C@H]1CCCCN1CCc1cccc(Cl)c1Cl ZINC001460048106 1062600302 /nfs/dbraw/zinc/60/03/02/1062600302.db2.gz MQJUDUUYVKUNGV-GXTWGEPZSA-N 0 3 316.272 4.161 20 0 DIADHN CC(C)(C)OCCCN1CCCN(c2ccccc2Cl)CC1 ZINC001460064056 1062608493 /nfs/dbraw/zinc/60/84/93/1062608493.db2.gz XYZLTUZTEVGGKH-UHFFFAOYSA-N 0 3 324.896 4.057 20 0 DIADHN Cc1ccc(C[C@H](C)N[C@@H](c2ccccc2)c2cccnn2)cc1 ZINC001255786855 1062610067 /nfs/dbraw/zinc/61/00/67/1062610067.db2.gz ZVVSCRCWUNTSCG-UWJYYQICSA-N 0 3 317.436 4.095 20 0 DIADHN Cc1ccc(C[C@@H](C)N2CCC[C@H]2C(=O)Nc2ccccc2)cc1 ZINC001255796882 1062611354 /nfs/dbraw/zinc/61/13/54/1062611354.db2.gz MHMZWNIQNWLCNX-XLIONFOSSA-N 0 3 322.452 4.029 20 0 DIADHN C[C@@H](Cc1ccccc1F)NCc1cc(F)c(F)cc1Cl ZINC001255835929 1062621083 /nfs/dbraw/zinc/62/10/83/1062621083.db2.gz IOFBRXKGKPSJHX-JTQLQIEISA-N 0 3 313.750 4.478 20 0 DIADHN COC(=O)c1cc(CN2C[C@@H](C)C[C@H]2c2ccccc2)cs1 ZINC001460080596 1062621781 /nfs/dbraw/zinc/62/17/81/1062621781.db2.gz YJPSCCBJOOCBPU-BBRMVZONSA-N 0 3 315.438 4.118 20 0 DIADHN COC(=O)c1cc(CN2C[C@H](C)C[C@@H]2c2ccccc2)cs1 ZINC001460080601 1062622379 /nfs/dbraw/zinc/62/23/79/1062622379.db2.gz YJPSCCBJOOCBPU-CZUORRHYSA-N 0 3 315.438 4.118 20 0 DIADHN C[C@H](Cc1ccccc1F)N[C@@H]1COc2ccc(Cl)cc21 ZINC001255838286 1062625692 /nfs/dbraw/zinc/62/56/92/1062625692.db2.gz NSYRQGYZNHBCNE-BDJLRTHQSA-N 0 3 305.780 4.133 20 0 DIADHN CCN1CCN(Cc2ccc(C3CCCCC3)cc2)[C@H](C)C1 ZINC001460088659 1062628321 /nfs/dbraw/zinc/62/83/21/1062628321.db2.gz FOUCKVIQYXLAHU-QGZVFWFLSA-N 0 3 300.490 4.260 20 0 DIADHN C[C@@H](Cc1ccccc1F)N1CC[C@@H]1COCc1ccccc1 ZINC001255851392 1062633229 /nfs/dbraw/zinc/63/32/29/1062633229.db2.gz NOKDJKXBCMNVJI-QFBILLFUSA-N 0 3 313.416 4.048 20 0 DIADHN C[C@@H](Cc1ccccc1F)N(C)CCC(=O)c1cccs1 ZINC001255855420 1062636453 /nfs/dbraw/zinc/63/64/53/1062636453.db2.gz XVOMGIFTICEKGM-ZDUSSCGKSA-N 0 3 305.418 4.023 20 0 DIADHN CCc1nc(C(C)C)ccc1CN(C)[C@@H]1C[C@H](OC)C12CCC2 ZINC001460111421 1062643305 /nfs/dbraw/zinc/64/33/05/1062643305.db2.gz NCAGPPGSNDUGMF-MOPGFXCFSA-N 0 3 316.489 4.157 20 0 DIADHN COC/C(C)=C\CN1CC[C@H](Sc2cccc(Cl)c2)C1 ZINC001460145967 1062663309 /nfs/dbraw/zinc/66/33/09/1062663309.db2.gz VSUPIBBKJFXJKW-DZNGUJDPSA-N 0 3 311.878 4.099 20 0 DIADHN CCCC[C@H](C)N(CCCC)CC(=O)Nc1ncccc1C ZINC001460147450 1062663813 /nfs/dbraw/zinc/66/38/13/1062663813.db2.gz VPGVQRQFEKVNSV-INIZCTEOSA-N 0 3 305.466 4.009 20 0 DIADHN Cc1cccc(CN(C)Cc2c[nH]c(=O)c3ccccc23)c1C ZINC001460150942 1062667101 /nfs/dbraw/zinc/66/71/01/1062667101.db2.gz ZOVNJMOEYVPJOA-UHFFFAOYSA-N 0 3 306.409 4.189 20 0 DIADHN CC(C)[N@H+](Cc1cc(=O)[n-]c(C2CC2)n1)[C@@H](C)c1ccccc1 ZINC001460178131 1062681116 /nfs/dbraw/zinc/68/11/16/1062681116.db2.gz YBUCWAGZONMBPZ-AWEZNQCLSA-N 0 3 311.429 4.031 20 0 DIADHN CC(C)[N@@H+](Cc1cc(=O)[n-]c(C2CC2)n1)[C@@H](C)c1ccccc1 ZINC001460178131 1062681131 /nfs/dbraw/zinc/68/11/31/1062681131.db2.gz YBUCWAGZONMBPZ-AWEZNQCLSA-N 0 3 311.429 4.031 20 0 DIADHN C[C@H]1CN(C[C@H]2[C@H](c3ccccc3)C2(F)F)CCC1(F)F ZINC001460194409 1062694160 /nfs/dbraw/zinc/69/41/60/1062694160.db2.gz GTUHGAHSGADVSC-UBHSHLNASA-N 0 3 301.327 4.012 20 0 DIADHN Cc1ccnc(CN(C)[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)c1 ZINC001460199092 1062695399 /nfs/dbraw/zinc/69/53/99/1062695399.db2.gz WOICGBPVNUKYAZ-RBUKOAKNSA-N 0 3 310.441 4.068 20 0 DIADHN Cc1cc(CN(C)[C@H]2C[C@@H](Oc3ccccc3)C2(C)C)ccn1 ZINC001460199086 1062695503 /nfs/dbraw/zinc/69/55/03/1062695503.db2.gz WINGQSMLFVUBJX-RBUKOAKNSA-N 0 3 310.441 4.068 20 0 DIADHN CC(C)c1ccc(C(C)(C)NC(=O)CN(C)CC(C)(C)C)cc1 ZINC001470083618 1062699994 /nfs/dbraw/zinc/69/99/94/1062699994.db2.gz RAESZIZIMBXTCH-UHFFFAOYSA-N 0 3 318.505 4.139 20 0 DIADHN O=C(CN[C@@H]1CCC[C@H](c2ccccc2)C1)c1cccc(F)c1 ZINC001256113884 1062718404 /nfs/dbraw/zinc/71/84/04/1062718404.db2.gz WVFOPEBPKAERRI-QFBILLFUSA-N 0 3 311.400 4.324 20 0 DIADHN C[C@H]1CN([C@@H]2CCc3ccc(F)cc32)CCN1Cc1ccccc1 ZINC001460242924 1062723225 /nfs/dbraw/zinc/72/32/25/1062723225.db2.gz UZWLZZAFJZWPHS-HRAATJIYSA-N 0 3 324.443 4.019 20 0 DIADHN Fc1cccc(F)c1-c1nc(CN2CC[C@@H](C3CCC3)C2)co1 ZINC001460246053 1062728638 /nfs/dbraw/zinc/72/86/38/1062728638.db2.gz RWSLYEYBSYWPKL-CYBMUJFWSA-N 0 3 318.367 4.242 20 0 DIADHN CCOC(=O)c1oc2ccccc2c1CN1CC(C)(C)C[C@@H]1C ZINC001460249523 1062731743 /nfs/dbraw/zinc/73/17/43/1062731743.db2.gz GDUYPVCNZOJNTN-ZDUSSCGKSA-N 0 3 315.413 4.230 20 0 DIADHN FCCNC1(c2cccc(Br)c2)CCCCC1 ZINC001460263127 1062737376 /nfs/dbraw/zinc/73/73/76/1062737376.db2.gz BJCDQRDYOKIFAF-UHFFFAOYSA-N 0 3 300.215 4.168 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCC2(CC2)CC1 ZINC001256183896 1062741485 /nfs/dbraw/zinc/74/14/85/1062741485.db2.gz VUAKVUBLLWMSAJ-ZDUSSCGKSA-N 0 3 308.263 4.256 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CC[C@](C)(CF)C1 ZINC001256181187 1062742900 /nfs/dbraw/zinc/74/29/00/1062742900.db2.gz CKWFLFPWMKYVBX-SWLSCSKDSA-N 0 3 314.242 4.062 20 0 DIADHN C[C@@H](Cc1cccc(Br)c1)N1CCCCC12CC2 ZINC001256192482 1062746729 /nfs/dbraw/zinc/74/67/29/1062746729.db2.gz YGJRZCFIDDPUSG-ZDUSSCGKSA-N 0 3 308.263 4.399 20 0 DIADHN c1c(CN2CCSC[C@@H]2C2CCC2)onc1-c1ccccc1 ZINC001460272764 1062751512 /nfs/dbraw/zinc/75/15/12/1062751512.db2.gz ULTSGOFTDXUKSG-GOSISDBHSA-N 0 3 314.454 4.059 20 0 DIADHN Clc1cccc(CCN2CC[C@H](c3cccnc3)C2)c1Cl ZINC001460289798 1062762002 /nfs/dbraw/zinc/76/20/02/1062762002.db2.gz NCCWRKQOEYKJAC-HNNXBMFYSA-N 0 3 321.251 4.420 20 0 DIADHN CCCN(Cc1csc(-c2ccc3c(c2)OCO3)n1)C(C)C ZINC001460308971 1062773306 /nfs/dbraw/zinc/77/33/06/1062773306.db2.gz QBZDWNJTXDETOP-UHFFFAOYSA-N 0 3 318.442 4.159 20 0 DIADHN Cc1cc(CN2C[C@@H](C)OC3(CCCCC3)C2)c2ccccc2n1 ZINC001460318597 1062775361 /nfs/dbraw/zinc/77/53/61/1062775361.db2.gz RSGHBQFRXJFQRP-QGZVFWFLSA-N 0 3 324.468 4.467 20 0 DIADHN C[C@H](Cc1ccccn1)N(Cc1ccccc1)Cc1cccnc1 ZINC001256417668 1062811586 /nfs/dbraw/zinc/81/15/86/1062811586.db2.gz WZLMJZPEHKZTEO-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN CCOC1CCC(n2c(-c3ccccc3)cnc2[C@H](C)N)CC1 ZINC001256432617 1062819436 /nfs/dbraw/zinc/81/94/36/1062819436.db2.gz MUURVPWFENADTO-OOHWJJMZSA-N 0 3 313.445 4.090 20 0 DIADHN CC1(C)CN(Cc2cc(O)ccc2Cl)CCc2ccccc21 ZINC001460403589 1062835614 /nfs/dbraw/zinc/83/56/14/1062835614.db2.gz FDNOHZCWDZFCOV-UHFFFAOYSA-N 0 3 315.844 4.382 20 0 DIADHN Cc1ccc(NC(=O)CN2CCCC3(CCCC3)CC2)cc1C ZINC001460404638 1062837059 /nfs/dbraw/zinc/83/70/59/1062837059.db2.gz CMFJTOIRENPADO-UHFFFAOYSA-N 0 3 314.473 4.288 20 0 DIADHN CC[C@](O)(CN(Cc1ccsc1)C(C)(C)C)C(F)(F)F ZINC001460406462 1062838365 /nfs/dbraw/zinc/83/83/65/1062838365.db2.gz UYSORVAOZSSQKW-ZDUSSCGKSA-N 0 3 309.397 4.052 20 0 DIADHN CC(C)c1ccc(C(C)(C)N[C@H]2CCCN(C(C)C)C2=O)cc1 ZINC001460414783 1062845512 /nfs/dbraw/zinc/84/55/12/1062845512.db2.gz DRZJUTANWSLNMQ-SFHVURJKSA-N 0 3 316.489 4.034 20 0 DIADHN CC(C)(C)O[C@H]1C[C@H](NCc2cc(O)ccc2Cl)C12CCC2 ZINC001460426063 1062849302 /nfs/dbraw/zinc/84/93/02/1062849302.db2.gz DNDDRONKGAGGLY-HOTGVXAUSA-N 0 3 323.864 4.262 20 0 DIADHN CCCN(CCc1ncc(C)cc1C)Cc1ccccc1F ZINC001460438692 1062858145 /nfs/dbraw/zinc/85/81/45/1062858145.db2.gz FMAIZTHYCSYGKA-UHFFFAOYSA-N 0 3 300.421 4.292 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(CC1CCC(C)(C)CC1)C2 ZINC001460486664 1062876740 /nfs/dbraw/zinc/87/67/40/1062876740.db2.gz MBMHVIOFUFYSLF-UHFFFAOYSA-N 0 3 301.478 4.175 20 0 DIADHN C[C@H](C(=O)Nc1ccc2ccccc2c1)N(C)C[C@H]1CC1(C)C ZINC001460520730 1062896648 /nfs/dbraw/zinc/89/66/48/1062896648.db2.gz VUFCFDUMCLLYJR-RHSMWYFYSA-N 0 3 310.441 4.145 20 0 DIADHN Cc1cccc(C[C@@]2(C)CCCN2Cc2ccc(Cl)nn2)c1 ZINC001460557177 1062910624 /nfs/dbraw/zinc/91/06/24/1062910624.db2.gz KNTWXPUTZJLQBR-GOSISDBHSA-N 0 3 315.848 4.036 20 0 DIADHN CCc1ccc(C2CCN(CCOCC(F)(F)F)CC2)cc1 ZINC001460568983 1062917524 /nfs/dbraw/zinc/91/75/24/1062917524.db2.gz URBSFJZHHNHIGF-UHFFFAOYSA-N 0 3 315.379 4.007 20 0 DIADHN C[C@@H](c1cccc(F)c1)N1CCC(Cc2nccs2)CC1 ZINC001460576625 1062918663 /nfs/dbraw/zinc/91/86/63/1062918663.db2.gz BMPYXRYYFNVLMF-ZDUSSCGKSA-N 0 3 304.434 4.298 20 0 DIADHN COc1cccc2c1CC[C@@H](N[C@@H](C)c1c(F)cccc1F)C2 ZINC001256638974 1062928744 /nfs/dbraw/zinc/92/87/44/1062928744.db2.gz IHILQTHDQCKMEL-GXTWGEPZSA-N 0 3 317.379 4.182 20 0 DIADHN COc1cccc2c1CC[C@H](N1Cc3cccc(Cl)c3C1)C2 ZINC001256654691 1062934110 /nfs/dbraw/zinc/93/41/10/1062934110.db2.gz RAXTZNBOMIDSDQ-HNNXBMFYSA-N 0 3 313.828 4.222 20 0 DIADHN Cc1nocc1CN1CCC[C@]1(C)Cc1ccc(Cl)c(F)c1 ZINC001460639006 1062952050 /nfs/dbraw/zinc/95/20/50/1062952050.db2.gz RENSZNRBHGHQIF-QGZVFWFLSA-N 0 3 322.811 4.373 20 0 DIADHN CC1(C)[C@H](c2cccc(C(F)(F)F)c2)CCN1Cc1cc[nH]n1 ZINC001460638236 1062952218 /nfs/dbraw/zinc/95/22/18/1062952218.db2.gz DBOQWXSYFVJQKL-HNNXBMFYSA-N 0 3 323.362 4.197 20 0 DIADHN Clc1ccc(CN[C@@H]2CCC[C@]23CCCO3)c(Cl)c1 ZINC001460686547 1062988526 /nfs/dbraw/zinc/98/85/26/1062988526.db2.gz AJMPLSZRDVDVQF-CABCVRRESA-N 0 3 300.229 4.185 20 0 DIADHN Cc1nocc1CN1CCC[C@@]1(C)Cc1ccc(Cl)c(F)c1 ZINC001460639003 1062955373 /nfs/dbraw/zinc/95/53/73/1062955373.db2.gz RENSZNRBHGHQIF-KRWDZBQOSA-N 0 3 322.811 4.373 20 0 DIADHN CC1(C)[C@H](c2ccccc2F)CCN1Cc1ccncc1F ZINC001460645419 1062957994 /nfs/dbraw/zinc/95/79/94/1062957994.db2.gz SLJCVAYVLBREBU-HNNXBMFYSA-N 0 3 302.368 4.128 20 0 DIADHN C[C@H](Cc1ccncc1)n1c2ccccc2nc1[C@H]1CCCCN1 ZINC001256744893 1062958379 /nfs/dbraw/zinc/95/83/79/1062958379.db2.gz AWVHMZFKCSJINW-CRAIPNDOSA-N 0 3 320.440 4.050 20 0 DIADHN CC(C)(N[C@@H]1CCO[C@H](c2ccccc2)C1)C(=O)c1ccccc1 ZINC001256786010 1062976532 /nfs/dbraw/zinc/97/65/32/1062976532.db2.gz MWUKEYYJBSMSOP-MOPGFXCFSA-N 0 3 323.436 4.158 20 0 DIADHN Fc1ccc(F)c(CN[C@@H]2CCO[C@@H](c3ccccc3)C2)c1F ZINC001256787770 1062978070 /nfs/dbraw/zinc/97/80/70/1062978070.db2.gz XLATUCSYFQPADJ-CXAGYDPISA-N 0 3 321.342 4.114 20 0 DIADHN Fc1cc(F)c(CN[C@@H]2CCO[C@H](c3ccccc3)C2)c(F)c1 ZINC001256788978 1062980476 /nfs/dbraw/zinc/98/04/76/1062980476.db2.gz CWGIVUXOZGPSFH-KDOFPFPSSA-N 0 3 321.342 4.114 20 0 DIADHN CCCCOC(=O)[C@H](C)N1[C@H](C)C[C@H](c2ccccc2)C1(C)C ZINC001460683614 1062984670 /nfs/dbraw/zinc/98/46/70/1062984670.db2.gz MEATWLHJXGTDHF-SOLBZPMBSA-N 0 3 317.473 4.375 20 0 DIADHN COc1nscc1CN1CC[C@H](c2ccc(C)cc2)C1(C)C ZINC001460691907 1062990348 /nfs/dbraw/zinc/99/03/48/1062990348.db2.gz BFIVUHVZSBLKDI-MRXNPFEDSA-N 0 3 316.470 4.228 20 0 DIADHN CC[C@@H](NCc1csc(C2CCCCC2)n1)[C@@H]1CCCOC1 ZINC001460694350 1062992358 /nfs/dbraw/zinc/99/23/58/1062992358.db2.gz UXXCDKDTJGCREL-NVXWUHKLSA-N 0 3 322.518 4.486 20 0 DIADHN Cc1cc([C@@H]2CCCN2[C@H]2CCO[C@H](c3ccccc3)C2)no1 ZINC001256807033 1062994739 /nfs/dbraw/zinc/99/47/39/1062994739.db2.gz MXCMSSWXIQJGDS-WDSOQIARSA-N 0 3 312.413 4.040 20 0 DIADHN C[C@H](Cc1ccccc1Br)N1CC[C@](C)(CF)C1 ZINC001256838322 1063011404 /nfs/dbraw/zinc/01/14/04/1063011404.db2.gz NHWLKBSNICMDBN-IUODEOHRSA-N 0 3 314.242 4.062 20 0 DIADHN Cc1cnc(CN(C)C2(Cc3ccccc3)CCCC2)s1 ZINC001460735043 1063028048 /nfs/dbraw/zinc/02/80/48/1063028048.db2.gz DNSUNNLCKORFIL-UHFFFAOYSA-N 0 3 300.471 4.439 20 0 DIADHN COc1ccc(Cl)c(CN2CC[C@@H](c3nc(C)cs3)C2)c1 ZINC001460743603 1063031508 /nfs/dbraw/zinc/03/15/08/1063031508.db2.gz LORHBGHUTOXENB-GFCCVEGCSA-N 0 3 322.861 4.103 20 0 DIADHN Fc1ccc(O[C@H]2CCN([C@@H]3CCc4c3cccc4F)C2)cc1 ZINC001460739621 1063031959 /nfs/dbraw/zinc/03/19/59/1063031959.db2.gz VXMRXRSYVVIVGS-HNAYVOBHSA-N 0 3 315.363 4.105 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N[C@@H](CC)c1cccc2ccccc21 ZINC001471916121 1063032063 /nfs/dbraw/zinc/03/20/63/1063032063.db2.gz BKQIMROZRLVVTQ-PMACEKPBSA-N 0 3 324.468 4.282 20 0 DIADHN COC(=O)c1csc(CN2CC[C@@H](C)[C@H]2c2ccccc2)c1 ZINC001460750691 1063040854 /nfs/dbraw/zinc/04/08/54/1063040854.db2.gz ZHQIGWZUKAAPHN-DYVFJYSZSA-N 0 3 315.438 4.118 20 0 DIADHN CCc1onc(C)c1CN1CCC(CCc2ccccc2)CC1 ZINC001460794144 1063063141 /nfs/dbraw/zinc/06/31/41/1063063141.db2.gz WAANPKATRPHCGG-UHFFFAOYSA-N 0 3 312.457 4.390 20 0 DIADHN Cc1ccc(CN2CCC[C@@H](Cc3nccs3)C2)cc1F ZINC001460803748 1063069818 /nfs/dbraw/zinc/06/98/18/1063069818.db2.gz XULXAYHPQXGADS-AWEZNQCLSA-N 0 3 304.434 4.045 20 0 DIADHN C[C@@H](COCC1CCCCC1)NCc1ccc2ccccc2n1 ZINC001460812012 1063078565 /nfs/dbraw/zinc/07/85/65/1063078565.db2.gz BKUIDHWEAVOBFL-INIZCTEOSA-N 0 3 312.457 4.310 20 0 DIADHN CCOC(=O)[C@H]1CC[C@H](N2CCC[C@@H]2c2cccc(Cl)c2)C1 ZINC001257072856 1063099503 /nfs/dbraw/zinc/09/95/03/1063099503.db2.gz XOAPNNXGZVRQDO-BHYGNILZSA-N 0 3 321.848 4.209 20 0 DIADHN CCCC[C@H](C)N(CCCC)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001478194655 1063101755 /nfs/dbraw/zinc/10/17/55/1063101755.db2.gz RFBIBAHOTKWNRH-GVDBMIGSSA-N 0 3 323.525 4.002 20 0 DIADHN C[C@H]1CC(NC2c3ccccc3Oc3ccccc32)C[C@H](C)O1 ZINC001257078283 1063102337 /nfs/dbraw/zinc/10/23/37/1063102337.db2.gz HLCFQDHBXCZINF-KBPBESRZSA-N 0 3 309.409 4.427 20 0 DIADHN CC(C)(C)c1csc(CN2C[C@H]3[C@H]4C=C[C@H](C4)[C@@]3(C)C2)n1 ZINC001460857395 1063102950 /nfs/dbraw/zinc/10/29/50/1063102950.db2.gz DBIXKHKQCQXKCF-MOROJQBDSA-N 0 3 302.487 4.085 20 0 DIADHN CCCC[C@H](C)N(CCCC)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001478194656 1063103117 /nfs/dbraw/zinc/10/31/17/1063103117.db2.gz RFBIBAHOTKWNRH-ULQDDVLXSA-N 0 3 323.525 4.002 20 0 DIADHN Cc1nccnc1CN1CCC[C@]1(C)Cc1ccc(Cl)s1 ZINC001460869040 1063108767 /nfs/dbraw/zinc/10/87/67/1063108767.db2.gz FZVVIRRILNZZIK-MRXNPFEDSA-N 0 3 321.877 4.097 20 0 DIADHN C[C@H]1CC(N2CCc3c(cccc3C(F)(F)F)C2)C[C@H](C)O1 ZINC001257102171 1063113486 /nfs/dbraw/zinc/11/34/86/1063113486.db2.gz LBKJWGTZHFQWHK-RYUDHWBXSA-N 0 3 313.363 4.020 20 0 DIADHN c1cc2c(s1)CN(C[C@@H]1CCC[C@@H](c3ccccc3)O1)CC2 ZINC001460891778 1063119527 /nfs/dbraw/zinc/11/95/27/1063119527.db2.gz SLIRTUGEZHQNRV-ROUUACIJSA-N 0 3 313.466 4.417 20 0 DIADHN CCOc1ccc(CCN(C)Cc2ccc(C)c(Cl)n2)cc1 ZINC001460915823 1063134859 /nfs/dbraw/zinc/13/48/59/1063134859.db2.gz KIDCTMDRLNLUDO-UHFFFAOYSA-N 0 3 318.848 4.117 20 0 DIADHN CCC[C@](C)(NCC(=O)N(C)[C@H](C)CC(C)C)c1ccccc1 ZINC001460929398 1063139473 /nfs/dbraw/zinc/13/94/73/1063139473.db2.gz KTKUOKQYHKOTMK-XLIONFOSSA-N 0 3 318.505 4.185 20 0 DIADHN C[C@H](c1cccc(Cl)c1)N1CC[C@H](Cc2nccs2)C1 ZINC001460929681 1063140162 /nfs/dbraw/zinc/14/01/62/1063140162.db2.gz NQVHXWVYOIZIKH-CHWSQXEVSA-N 0 3 306.862 4.422 20 0 DIADHN Cc1cc(C)cc(CN[C@@]2(CO)CC[C@@H](C)c3ccccc32)c1 ZINC001460948426 1063154434 /nfs/dbraw/zinc/15/44/34/1063154434.db2.gz ODSOSGGPXJHIBL-DYESRHJHSA-N 0 3 309.453 4.178 20 0 DIADHN COc1ccc2cc(CC[C@H](C)n3nc(CN)cc3C)ccc2c1 ZINC001257267983 1063169624 /nfs/dbraw/zinc/16/96/24/1063169624.db2.gz DCYSNLCGLHJQJD-AWEZNQCLSA-N 0 3 323.440 4.006 20 0 DIADHN CN(C)[C@H](CNCc1c(F)ccc(F)c1Cl)c1ccccc1 ZINC001460997207 1063171331 /nfs/dbraw/zinc/17/13/31/1063171331.db2.gz YOECUYOULRVWNR-MRXNPFEDSA-N 0 3 324.802 4.011 20 0 DIADHN COc1ccc2cc(CC[C@H](C)n3ccc([C@H](C)N)n3)ccc2c1 ZINC001257274857 1063176606 /nfs/dbraw/zinc/17/66/06/1063176606.db2.gz MAJCBRJWMPGJTA-GJZGRUSLSA-N 0 3 323.440 4.258 20 0 DIADHN Cc1cc(F)ncc1CN[C@H](c1ccc(Cl)cc1)C1CC1 ZINC001461004994 1063180298 /nfs/dbraw/zinc/18/02/98/1063180298.db2.gz XMVPXKPLDLOAQD-KRWDZBQOSA-N 0 3 304.796 4.423 20 0 DIADHN COc1ccc2cc(CC[C@H](C)N3CC(C(F)F)C3)ccc2c1 ZINC001257284311 1063180858 /nfs/dbraw/zinc/18/08/58/1063180858.db2.gz FFNOVSATMAUXNJ-ZDUSSCGKSA-N 0 3 319.395 4.366 20 0 DIADHN CCC(CC)N[C@H](c1nc2ccccc2n1C)c1ccccc1 ZINC001257294678 1063187820 /nfs/dbraw/zinc/18/78/20/1063187820.db2.gz WZNZGLIQSAZBBP-IBGZPJMESA-N 0 3 307.441 4.441 20 0 DIADHN CCOCCCNCc1cc(Cl)c(OC(C)C)cc1Cl ZINC001461013319 1063188280 /nfs/dbraw/zinc/18/82/80/1063188280.db2.gz MYYRWSDJDMPLFO-UHFFFAOYSA-N 0 3 320.260 4.297 20 0 DIADHN COc1ccc(Cl)cc1CNCCCOc1cccc(C)c1 ZINC001461014825 1063189551 /nfs/dbraw/zinc/18/95/51/1063189551.db2.gz SNDXAXWARDVQFA-UHFFFAOYSA-N 0 3 319.832 4.216 20 0 DIADHN CCCn1cc(CN[C@H](c2ccc(OC)cc2)C(C)C)c(C)n1 ZINC001461017764 1063191832 /nfs/dbraw/zinc/19/18/32/1063191832.db2.gz XJEIHUHUDWYAJI-IBGZPJMESA-N 0 3 315.461 4.097 20 0 DIADHN Cc1ccc(OCCNCc2cc(Cl)ccc2Cl)cc1 ZINC001461021051 1063194340 /nfs/dbraw/zinc/19/43/40/1063194340.db2.gz QRBSQXRJQQWGJX-UHFFFAOYSA-N 0 3 310.224 4.470 20 0 DIADHN c1nc([C@@H]2CCCO2)sc1CN[C@H](c1ccccc1)C1CC1 ZINC001461024567 1063197315 /nfs/dbraw/zinc/19/73/15/1063197315.db2.gz WPNHFXPOYTZSOK-DLBZAZTESA-N 0 3 314.454 4.236 20 0 DIADHN Clc1cscc1CN[C@H]1CCCN(Cc2ccccc2)C1 ZINC001461043411 1063211269 /nfs/dbraw/zinc/21/12/69/1063211269.db2.gz ZVXJXBWPXKLGRS-INIZCTEOSA-N 0 3 320.889 4.156 20 0 DIADHN COCc1cccc(CNCc2cccc(CC3CCC3)c2)c1 ZINC001461052927 1063217747 /nfs/dbraw/zinc/21/77/47/1063217747.db2.gz YZHFNTGSHRMDIV-UHFFFAOYSA-N 0 3 309.453 4.465 20 0 DIADHN CC(C)Oc1ccc(CNCc2ccc(F)c3ccccc23)cn1 ZINC001461052923 1063217897 /nfs/dbraw/zinc/21/78/97/1063217897.db2.gz YTRQQPDWCFASOZ-UHFFFAOYSA-N 0 3 324.399 4.451 20 0 DIADHN C[C@H]1CCCC[C@@H]1OCCNCc1ccsc1C(F)(F)F ZINC001461052229 1063218227 /nfs/dbraw/zinc/21/82/27/1063218227.db2.gz LEGBBIDNXDBJCN-AAEUAGOBSA-N 0 3 321.408 4.452 20 0 DIADHN CCN(CCNCc1cccc(Cl)c1F)c1ccccc1C ZINC001461059444 1063224425 /nfs/dbraw/zinc/22/44/25/1063224425.db2.gz XCHPMAFTLOJOGN-UHFFFAOYSA-N 0 3 320.839 4.404 20 0 DIADHN Cc1cccc(C)c1OCCNCc1cnc(C(C)C)s1 ZINC001461078847 1063239945 /nfs/dbraw/zinc/23/99/45/1063239945.db2.gz OTZPJGIWGGKGSA-UHFFFAOYSA-N 0 3 304.459 4.052 20 0 DIADHN CCCCOC(=O)CC[C@H](C)NCc1cccc(Cl)c1F ZINC001257384734 1063249439 /nfs/dbraw/zinc/24/94/39/1063249439.db2.gz AQFAZQRESJGHMI-LBPRGKRZSA-N 0 3 315.816 4.081 20 0 DIADHN C[C@@H](COc1cccc(F)c1)NCc1ccc(F)cc1Cl ZINC001461094341 1063250106 /nfs/dbraw/zinc/25/01/06/1063250106.db2.gz ROHGPZFUCSHHIA-NSHDSACASA-N 0 3 311.759 4.175 20 0 DIADHN CCCCOC(=O)CC[C@H](C)N1CCC[C@@H]1c1ccc(F)cc1 ZINC001257393513 1063250156 /nfs/dbraw/zinc/25/01/56/1063250156.db2.gz RRBKHPPCFFTUHC-MAUKXSAKSA-N 0 3 321.436 4.475 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@@H]1c1ccc(C)nc1 ZINC001257403637 1063250535 /nfs/dbraw/zinc/25/05/35/1063250535.db2.gz UVNYHZNVFOCIRO-SJLPKXTDSA-N 0 3 318.461 4.039 20 0 DIADHN CCc1cccc(CNCc2ccc(-n3ccnc3C)c(F)c2)c1 ZINC001461097144 1063251096 /nfs/dbraw/zinc/25/10/96/1063251096.db2.gz CJYHAAOXGBCOCN-UHFFFAOYSA-N 0 3 323.415 4.172 20 0 DIADHN CCCCOC(=O)CC[C@@H](C)N1CCC[C@H]1c1ccc(C)nc1 ZINC001257403635 1063252179 /nfs/dbraw/zinc/25/21/79/1063252179.db2.gz UVNYHZNVFOCIRO-AEFFLSMTSA-N 0 3 318.461 4.039 20 0 DIADHN C[C@@H](O)c1ccc(CNCc2ccc(C(F)(F)F)cc2)cc1 ZINC001461101890 1063252843 /nfs/dbraw/zinc/25/28/43/1063252843.db2.gz YEXJPYDSLDGYOA-GFCCVEGCSA-N 0 3 309.331 4.049 20 0 DIADHN CCc1cccc(CNCc2ccc(N3CCCCC3=O)cc2)c1 ZINC001461100091 1063253796 /nfs/dbraw/zinc/25/37/96/1063253796.db2.gz JQUVKIQFBGAPAO-UHFFFAOYSA-N 0 3 322.452 4.056 20 0 DIADHN Cc1cc(Cl)ccc1OCCCNCc1ccccc1F ZINC001461104137 1063258249 /nfs/dbraw/zinc/25/82/49/1063258249.db2.gz CAZIVEJKGBJBRB-UHFFFAOYSA-N 0 3 307.796 4.346 20 0 DIADHN Cc1ccsc1CNCc1cn(C)nc1C1CCCCC1 ZINC001461123618 1063270017 /nfs/dbraw/zinc/27/00/17/1063270017.db2.gz WOYOKRHKPNRTPD-UHFFFAOYSA-N 0 3 303.475 4.128 20 0 DIADHN Cc1ccccc1C(C)(C)CNCc1c(Cl)n[nH]c1C1CC1 ZINC001461135586 1063284026 /nfs/dbraw/zinc/28/40/26/1063284026.db2.gz ACMSUIQKTVHHTI-UHFFFAOYSA-N 0 3 317.864 4.316 20 0 DIADHN CCC[C@H](C)CC[C@H](C)NCc1cc(OC2CCC2)ncn1 ZINC001257470126 1063289471 /nfs/dbraw/zinc/28/94/71/1063289471.db2.gz BDIHNMFZCXPAAJ-GJZGRUSLSA-N 0 3 305.466 4.102 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)NCc1cn(CC(F)(F)F)cn1 ZINC001257474483 1063295300 /nfs/dbraw/zinc/29/53/00/1063295300.db2.gz GXFODADIRGKYSU-CHWSQXEVSA-N 0 3 305.388 4.140 20 0 DIADHN Cc1cccn2c(CN[C@@H]3CCc4c3cccc4Cl)cnc12 ZINC001461156089 1063299553 /nfs/dbraw/zinc/29/95/53/1063299553.db2.gz OUFNRITZSMKVJO-QGZVFWFLSA-N 0 3 311.816 4.073 20 0 DIADHN CNc1ccc(C)cc1CNCc1cccc(Br)c1 ZINC001461155302 1063299820 /nfs/dbraw/zinc/29/98/20/1063299820.db2.gz LNFOZJAFXJPMBY-UHFFFAOYSA-N 0 3 319.246 4.089 20 0 DIADHN CCC[C@H](C)CC[C@H](C)N1CC(N2CCC(F)(F)CC2)C1 ZINC001257481508 1063306457 /nfs/dbraw/zinc/30/64/57/1063306457.db2.gz LGBDCPRRFYZIFR-GJZGRUSLSA-N 0 3 302.453 4.007 20 0 DIADHN CCC[C@@H](C)CC[C@@H](C)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001257485343 1063307546 /nfs/dbraw/zinc/30/75/46/1063307546.db2.gz KUXIHWKPAVDRTI-VXGBXAGGSA-N 0 3 317.399 4.325 20 0 DIADHN CCC[C@@H](C)CC[C@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001257486684 1063309466 /nfs/dbraw/zinc/30/94/66/1063309466.db2.gz ZSXSTNMMTQQXOB-CABCVRRESA-N 0 3 301.478 4.317 20 0 DIADHN CC1(NCc2ncc(Br)cc2Cl)CCCCC1 ZINC001461174631 1063310143 /nfs/dbraw/zinc/31/01/43/1063310143.db2.gz SUSIFFJGUXXQLG-UHFFFAOYSA-N 0 3 317.658 4.310 20 0 DIADHN CCc1cnc(CNCCc2csc3ccccc23)s1 ZINC001461176084 1063312072 /nfs/dbraw/zinc/31/20/72/1063312072.db2.gz WNWGDPMRNOLHGL-UHFFFAOYSA-N 0 3 302.468 4.253 20 0 DIADHN CCCCC[C@@H](C)NCC(=O)c1cccc(Br)c1 ZINC001257498743 1063312475 /nfs/dbraw/zinc/31/24/75/1063312475.db2.gz PHPAPIFBCRKYOE-GFCCVEGCSA-N 0 3 312.251 4.190 20 0 DIADHN CCCCC[C@@H](C)NCc1cn(Cc2ccccc2F)cn1 ZINC001257505963 1063317434 /nfs/dbraw/zinc/31/74/34/1063317434.db2.gz KRQBOCTUSGDNDT-OAHLLOKOSA-N 0 3 303.425 4.129 20 0 DIADHN CCCCC[C@@H](C)N1CCn2c(CN(C)C(C)C)cnc2[C@@H]1C ZINC001257509534 1063320044 /nfs/dbraw/zinc/32/00/44/1063320044.db2.gz HFVJYJOVJRPXCS-SJORKVTESA-N 0 3 320.525 4.069 20 0 DIADHN Clc1ccc(Cl)c(CNC[C@@H]2OCCc3ccccc32)c1 ZINC001461189888 1063321654 /nfs/dbraw/zinc/32/16/54/1063321654.db2.gz HHLHXTYXCDYBEH-KRWDZBQOSA-N 0 3 322.235 4.397 20 0 DIADHN CC(C)c1ccc(CCCNCc2cc3n(n2)CCCC3)cc1 ZINC001461195939 1063325080 /nfs/dbraw/zinc/32/50/80/1063325080.db2.gz YCAHXXQNAHARHH-UHFFFAOYSA-N 0 3 311.473 4.065 20 0 DIADHN CC(C)(CNCc1nc2cc(F)ccc2o1)c1cccs1 ZINC001461208093 1063331729 /nfs/dbraw/zinc/33/17/29/1063331729.db2.gz QBKNWEBMIAPWRS-UHFFFAOYSA-N 0 3 304.390 4.096 20 0 DIADHN CCCC[C@@H](COC)NCc1ccc(-c2ccncc2)cc1F ZINC001461226145 1063346144 /nfs/dbraw/zinc/34/61/44/1063346144.db2.gz WEXHIFMHBKFWTJ-SFHVURJKSA-N 0 3 316.420 4.183 20 0 DIADHN Fc1cccc(C2(NC/C=C\c3ccc(F)c(F)c3)CC2)c1 ZINC001461229670 1063350019 /nfs/dbraw/zinc/35/00/19/1063350019.db2.gz DIULCIHIOSOSIK-IHWYPQMZSA-N 0 3 303.327 4.396 20 0 DIADHN O=C(N[C@@H]1CCCN2CCCC[C@@H]12)c1ccc(C2=CCCC2)cc1 ZINC001461229357 1063350474 /nfs/dbraw/zinc/35/04/74/1063350474.db2.gz JVDGXSMDCUJQJK-UXHICEINSA-N 0 3 324.468 4.001 20 0 DIADHN Fc1cccc(C2(NC/C=C/c3ccc(F)c(F)c3)CC2)c1 ZINC001461229674 1063350636 /nfs/dbraw/zinc/35/06/36/1063350636.db2.gz DIULCIHIOSOSIK-NSCUHMNNSA-N 0 3 303.327 4.396 20 0 DIADHN COc1ccc(CNCCc2nc(C3CCCCC3)cs2)o1 ZINC001461232771 1063351702 /nfs/dbraw/zinc/35/17/02/1063351702.db2.gz NWUOVTMBDXDUSI-UHFFFAOYSA-N 0 3 320.458 4.125 20 0 DIADHN C[C@H](NCc1ccc(-c2ccc(F)cc2)s1)c1cnn(C)c1 ZINC001461234945 1063354871 /nfs/dbraw/zinc/35/48/71/1063354871.db2.gz OTZYCINMROKYQJ-LBPRGKRZSA-N 0 3 315.417 4.139 20 0 DIADHN CCc1cccc(F)c1CNC[C@@H](C)Oc1ccccc1C ZINC001461242317 1063357856 /nfs/dbraw/zinc/35/78/56/1063357856.db2.gz HEPGSFRWYIGIAY-OAHLLOKOSA-N 0 3 301.405 4.254 20 0 DIADHN CCn1ccc(CNC[C@@H](Cc2ccccc2)c2ccccc2)n1 ZINC001461257564 1063369217 /nfs/dbraw/zinc/36/92/17/1063369217.db2.gz YUQCUOYNKMPOSN-HXUWFJFHSA-N 0 3 319.452 4.019 20 0 DIADHN CN(C)[C@H](CNCc1cccc(F)c1F)c1ccc(Cl)cc1 ZINC001461266026 1063377796 /nfs/dbraw/zinc/37/77/96/1063377796.db2.gz RJNZZHZKUXFIOM-MRXNPFEDSA-N 0 3 324.802 4.011 20 0 DIADHN CCc1[nH]nc(Cl)c1CNCC(C)(C)c1ccc(CC)cc1 ZINC001461270628 1063380698 /nfs/dbraw/zinc/38/06/98/1063380698.db2.gz ZWZIRXCBQPNLGF-UHFFFAOYSA-N 0 3 319.880 4.255 20 0 DIADHN CO[C@H](CNCc1ccccc1Cl)c1ccccc1Cl ZINC001461282442 1063387992 /nfs/dbraw/zinc/38/79/92/1063387992.db2.gz XKCJWUKCHNPXGM-MRXNPFEDSA-N 0 3 310.224 4.471 20 0 DIADHN C[C@H](NC1CCC(OCc2ccccc2)CC1)c1cscn1 ZINC001257821865 1063394089 /nfs/dbraw/zinc/39/40/89/1063394089.db2.gz ORAIIOSUHQXFQZ-OOHWJJMZSA-N 0 3 316.470 4.322 20 0 DIADHN Cc1cnc(CNC2CCC(OCc3ccccc3)CC2)s1 ZINC001257826505 1063397416 /nfs/dbraw/zinc/39/74/16/1063397416.db2.gz WLYRBGCUIBDGJP-UHFFFAOYSA-N 0 3 316.470 4.069 20 0 DIADHN Brc1ccc2oc(CN[C@@H]3C=CCCC3)cc2c1 ZINC001461305888 1063405417 /nfs/dbraw/zinc/40/54/17/1063405417.db2.gz BISHGMJBHCVOEW-CYBMUJFWSA-N 0 3 306.203 4.394 20 0 DIADHN Cc1ccccc1[C@@H]1CCCN1CC(=O)N(C)[C@H](C)CC(C)C ZINC001473112439 1063413345 /nfs/dbraw/zinc/41/33/45/1063413345.db2.gz OJFYSCODAYKGST-MJGOQNOKSA-N 0 3 316.489 4.025 20 0 DIADHN CCC[C@H](C)CCCN1CCCN(C(=O)c2ccsc2)CC1 ZINC001473116405 1063420510 /nfs/dbraw/zinc/42/05/10/1063420510.db2.gz ZXGZQBWMFWFJLC-INIZCTEOSA-N 0 3 322.518 4.112 20 0 DIADHN CC(C)OC1CCC(N2CCc3cccc(Cl)c3C2)CC1 ZINC001257883645 1063421611 /nfs/dbraw/zinc/42/16/11/1063421611.db2.gz GMXBJRDAVLRKNU-UHFFFAOYSA-N 0 3 307.865 4.434 20 0 DIADHN CC(C)OC1CCC(N(CC(=O)c2ccccc2)C(C)C)CC1 ZINC001257882832 1063421826 /nfs/dbraw/zinc/42/18/26/1063421826.db2.gz DQFUOYLZCDIYBT-UHFFFAOYSA-N 0 3 317.473 4.316 20 0 DIADHN CCn1c2cccc(F)c2nc1CN(C)[C@H]1CCC[C@H](C)C1 ZINC001473128219 1063433191 /nfs/dbraw/zinc/43/31/91/1063433191.db2.gz PACSUALXDNPVFW-KBPBESRZSA-N 0 3 303.425 4.206 20 0 DIADHN C[C@@H](c1ccco1)N(C)CCC1(C(=O)OC(C)(C)C)CCC1 ZINC001473128396 1063433417 /nfs/dbraw/zinc/43/34/17/1063433417.db2.gz JZLOGKUXVYGXFM-AWEZNQCLSA-N 0 3 307.434 4.175 20 0 DIADHN CC[C@H]1CO[C@](C)(CCC(C)C)N1C1CCC2(COC2)CC1 ZINC001257910977 1063440311 /nfs/dbraw/zinc/44/03/11/1063440311.db2.gz PBMLNBFMIVNSIV-FUHWJXTLSA-N 0 3 309.494 4.209 20 0 DIADHN CN(Cc1ccn(-c2ccc(Cl)cc2)n1)C(C1CC1)C1CC1 ZINC001473134158 1063441205 /nfs/dbraw/zinc/44/12/05/1063441205.db2.gz PKDWIMZOYRJUIO-UHFFFAOYSA-N 0 3 315.848 4.146 20 0 DIADHN Cc1sc(CNc2cc(C)cc(C)n2)cc1Br ZINC001461358400 1063441572 /nfs/dbraw/zinc/44/15/72/1063441572.db2.gz DTTHNFWHGBALFV-UHFFFAOYSA-N 0 3 311.248 4.443 20 0 DIADHN CN(Cc1cccc(C(F)(F)F)c1)C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001473133058 1063442135 /nfs/dbraw/zinc/44/21/35/1063442135.db2.gz NXTBPAJGEDHJDV-KBMXLJTQSA-N 0 3 313.363 4.095 20 0 DIADHN CN(CCC1CCCCC1)[C@@H]1CCCN(c2ccccc2)C1=O ZINC001473137124 1063443897 /nfs/dbraw/zinc/44/38/97/1063443897.db2.gz KSHDIVIAPJMVBC-LJQANCHMSA-N 0 3 314.473 4.084 20 0 DIADHN CC[C@@H](N[C@H]1COc2ccc(Cl)cc2C1)c1ncccc1C ZINC001257948297 1063444172 /nfs/dbraw/zinc/44/41/72/1063444172.db2.gz OKDFVMMQLKQABU-HZPDHXFCSA-N 0 3 316.832 4.088 20 0 DIADHN C[C@@H](N[C@H]1COc2ccc(Cl)cc2C1)c1cccc(F)c1F ZINC001257953374 1063447069 /nfs/dbraw/zinc/44/70/69/1063447069.db2.gz AEOOPRBTXMDMIK-ZWNOBZJWSA-N 0 3 323.770 4.273 20 0 DIADHN COc1cc(C)cc(CNCc2cc3ccccc3c(OC)c2)c1 ZINC001461368903 1063448926 /nfs/dbraw/zinc/44/89/26/1063448926.db2.gz JRKMRXJJLYSXKF-UHFFFAOYSA-N 0 3 321.420 4.455 20 0 DIADHN F[C@@H]1CCC2(C1)CCN([C@@H]1COc3ccc(Cl)cc3C1)CC2 ZINC001257967606 1063450664 /nfs/dbraw/zinc/45/06/64/1063450664.db2.gz DBOKGKQKQMAVHL-CVEARBPZSA-N 0 3 323.839 4.248 20 0 DIADHN Cc1cccc2c1[C@@H](NC1CCC(c3ccccc3)CC1)C(=O)N2 ZINC001257989249 1063452790 /nfs/dbraw/zinc/45/27/90/1063452790.db2.gz KBWDGAUGIXHOFJ-LBXVMSDZSA-N 0 3 320.436 4.304 20 0 DIADHN CC[C@H](C)[C@H](C)N(CC(=O)Nc1ccc(C)c(Cl)c1)C1CC1 ZINC001473155692 1063460375 /nfs/dbraw/zinc/46/03/75/1063460375.db2.gz HUODFIGFEHZJNS-JSGCOSHPSA-N 0 3 322.880 4.486 20 0 DIADHN CC(C)C[C@@H]1C[C@@H](NCc2c(F)ccc(F)c2Cl)CCO1 ZINC001461381498 1063461564 /nfs/dbraw/zinc/46/15/64/1063461564.db2.gz LWSMYYCTFZKQAB-NWDGAFQWSA-N 0 3 317.807 4.302 20 0 DIADHN C[C@@H]1CN(CCCC(C)(C)C)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001473167950 1063468633 /nfs/dbraw/zinc/46/86/33/1063468633.db2.gz NPYNZLICNSPYOM-GASCZTMLSA-N 0 3 312.498 4.142 20 0 DIADHN C[C@@H]1CN(CCCC(C)(C)C)C[C@@H](C)N1C(=O)OC(C)(C)C ZINC001473167952 1063468702 /nfs/dbraw/zinc/46/87/02/1063468702.db2.gz NPYNZLICNSPYOM-HUUCEWRRSA-N 0 3 312.498 4.142 20 0 DIADHN CCC1(CC)[C@H](NCc2ccc(F)c(F)c2F)[C@H](C)[C@@H]1OC ZINC001461394761 1063471552 /nfs/dbraw/zinc/47/15/52/1063471552.db2.gz RTHDCNNOVLTKRO-JQAFLVLXSA-N 0 3 315.379 4.033 20 0 DIADHN CCC1(CC)[C@H](NCc2ccc(F)c(F)c2F)[C@H](C)[C@H]1OC ZINC001461394753 1063471705 /nfs/dbraw/zinc/47/17/05/1063471705.db2.gz RTHDCNNOVLTKRO-AMKSKSKJSA-N 0 3 315.379 4.033 20 0 DIADHN Cc1cc(F)ccc1CN[C@H]1CCCc2cnn(C(C)C)c21 ZINC001461406104 1063477155 /nfs/dbraw/zinc/47/71/55/1063477155.db2.gz FDDJTFVBKBXGPF-KRWDZBQOSA-N 0 3 301.409 4.079 20 0 DIADHN CC[C@H](NCc1cc2cccc(C)c2nc1Cl)[C@@H]1CCCO1 ZINC001461413376 1063484046 /nfs/dbraw/zinc/48/40/46/1063484046.db2.gz NBYLIKBCVUZUEC-HOTGVXAUSA-N 0 3 318.848 4.244 20 0 DIADHN CC[C@H](C)[C@H]1CCCCN1Cc1nccn1Cc1ccccc1 ZINC001473178428 1063485846 /nfs/dbraw/zinc/48/58/46/1063485846.db2.gz DTFKZHFJCSIQAS-PKOBYXMFSA-N 0 3 311.473 4.332 20 0 DIADHN CC[C@H](C)[C@@H]1CCCCN1CC(=O)Nc1cccc(Cl)c1 ZINC001473178634 1063486724 /nfs/dbraw/zinc/48/67/24/1063486724.db2.gz JTQUCEYUVXTNDL-BBRMVZONSA-N 0 3 308.853 4.179 20 0 DIADHN COc1cncc(CN[C@@H](C)c2ccc3c(c2)CCCC3)c1C ZINC001461419664 1063489403 /nfs/dbraw/zinc/48/94/03/1063489403.db2.gz HCOALPYOKIDWNI-HNNXBMFYSA-N 0 3 310.441 4.128 20 0 DIADHN CCCn1cncc1CN[C@H]1c2ccccc2CC[C@H]1C(C)C ZINC001461421222 1063489749 /nfs/dbraw/zinc/48/97/49/1063489749.db2.gz UGYHKOBDSAICCE-AZUAARDMSA-N 0 3 311.473 4.342 20 0 DIADHN CC(C)C[C@H](C)C[C@H](C)NCc1ncc(Br)s1 ZINC001258062381 1063490997 /nfs/dbraw/zinc/49/09/97/1063490997.db2.gz PNNLJWOGBABJMC-QWRGUYRKSA-N 0 3 319.312 4.456 20 0 DIADHN CC(C)[C@@H]1CCCN1CC(=O)N[C@@H](c1cccs1)C(C)(C)C ZINC001473186485 1063492064 /nfs/dbraw/zinc/49/20/64/1063492064.db2.gz VCRIZHNCHVGGIO-YOEHRIQHSA-N 0 3 322.518 4.072 20 0 DIADHN CC(C)[C@H]1CCCN1Cc1cc(F)ccc1Br ZINC001473186518 1063493420 /nfs/dbraw/zinc/49/34/20/1063493420.db2.gz LWMOBLSEZNQEDT-CQSZACIVSA-N 0 3 300.215 4.209 20 0 DIADHN Cc1ccc([C@H]2CCCCN2CCNC(=O)OC(C)(C)C)cc1 ZINC001473184126 1063493950 /nfs/dbraw/zinc/49/39/50/1063493950.db2.gz HXEUGQKEGZYHHK-QGZVFWFLSA-N 0 3 318.461 4.047 20 0 DIADHN C[C@@H]1CCN(C[C@@H]2COC3(CCCCC3)O2)[C@@H](c2ccco2)C1 ZINC001473187916 1063495986 /nfs/dbraw/zinc/49/59/86/1063495986.db2.gz ULVUYDBLFORCAW-BRWVUGGUSA-N 0 3 319.445 4.128 20 0 DIADHN CC[C@]1(C)CCCN([C@@H]2CCCN(c3ccc(C)cc3)C2=O)C1 ZINC001473186864 1063496501 /nfs/dbraw/zinc/49/65/01/1063496501.db2.gz NEOICICJBCTYFY-UYAOXDASSA-N 0 3 314.473 4.003 20 0 DIADHN C[C@@H](CCc1ccccc1)CN[C@@H]1COc2cc(F)cc(F)c21 ZINC001461429417 1063497306 /nfs/dbraw/zinc/49/73/06/1063497306.db2.gz GUXSGRNBWZPIRX-SUMWQHHRSA-N 0 3 317.379 4.257 20 0 DIADHN CC(C)=CCC[C@@H](C)NCc1cc(O)ccc1Br ZINC001258083101 1063505464 /nfs/dbraw/zinc/50/54/64/1063505464.db2.gz HIGHISALVJGKKU-GFCCVEGCSA-N 0 3 312.251 4.379 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CNC[C@H]1CCC=CO1 ZINC001461439829 1063505901 /nfs/dbraw/zinc/50/59/01/1063505901.db2.gz WHBWCIKWLRSVGB-GOSISDBHSA-N 0 3 320.452 4.234 20 0 DIADHN CC(C)C[C@@H](C)C[C@@H](C)N1CCc2cnc(C3CC3)nc2C1 ZINC001258078292 1063506802 /nfs/dbraw/zinc/50/68/02/1063506802.db2.gz VUTFPRBYTZQCGQ-HUUCEWRRSA-N 0 3 301.478 4.173 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@](C)(CO)c2ccccc2)c(C)c1 ZINC001461440034 1063508001 /nfs/dbraw/zinc/50/80/01/1063508001.db2.gz RSXCVULVFJEKOS-ZRKSWWEUSA-N 0 3 309.453 4.122 20 0 DIADHN CCOC(=O)CCC[C@@H](C)NCc1c(Cl)cccc1Cl ZINC001258098694 1063517677 /nfs/dbraw/zinc/51/76/77/1063517677.db2.gz ZVCWIBHMYQJSFB-LLVKDONJSA-N 0 3 318.244 4.205 20 0 DIADHN OC[C@H](CNCc1cccc(Cl)c1Cl)CC1CCCC1 ZINC001461459147 1063520043 /nfs/dbraw/zinc/52/00/43/1063520043.db2.gz DEUSUMWSFDTIIH-ZDUSSCGKSA-N 0 3 316.272 4.272 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNC[C@H](CO)C(C)C ZINC001461485055 1063532608 /nfs/dbraw/zinc/53/26/08/1063532608.db2.gz AWZHYPNDODVYFC-CYBMUJFWSA-N 0 3 315.910 4.195 20 0 DIADHN CC1(CCNCc2c(F)cc(Br)cc2F)CC1 ZINC001461487444 1063534342 /nfs/dbraw/zinc/53/43/42/1063534342.db2.gz XANABEDIGRUPSH-UHFFFAOYSA-N 0 3 304.178 4.007 20 0 DIADHN C[C@H](Cc1ccco1)NCc1cccc(C(F)(F)F)c1F ZINC001258172442 1063544829 /nfs/dbraw/zinc/54/48/29/1063544829.db2.gz OALZXCIOTJKDPP-SNVBAGLBSA-N 0 3 301.283 4.158 20 0 DIADHN CC[C@](C)(CNCc1cc(C(F)(F)F)ccc1Cl)OC ZINC001461512203 1063546569 /nfs/dbraw/zinc/54/65/69/1063546569.db2.gz FOLNOAWWZWLCNZ-CYBMUJFWSA-N 0 3 309.759 4.264 20 0 DIADHN C[C@@H](Cc1ccco1)NCc1cc(OC(F)(F)F)ccc1F ZINC001258180938 1063549078 /nfs/dbraw/zinc/54/90/78/1063549078.db2.gz ZITFKRAIXWVEGP-JTQLQIEISA-N 0 3 317.282 4.038 20 0 DIADHN C[C@@H](Cc1ccco1)N1CCn2cccc2[C@H]1c1ccccc1 ZINC001258186682 1063550893 /nfs/dbraw/zinc/55/08/93/1063550893.db2.gz QZTPKVBEDJSXMC-OXJNMPFZSA-N 0 3 306.409 4.117 20 0 DIADHN COc1ccc(CNC[C@](C)(OC)c2ccccc2)c(Cl)c1 ZINC001461521302 1063555631 /nfs/dbraw/zinc/55/56/31/1063555631.db2.gz NWKDBSJNRPRLQA-SFHVURJKSA-N 0 3 319.832 4.000 20 0 DIADHN CO[C@@H](CNCc1ccc(Cl)cc1Cl)Cc1ccccc1 ZINC001461523853 1063556639 /nfs/dbraw/zinc/55/66/39/1063556639.db2.gz WHMSWZZTTLCVOG-MRXNPFEDSA-N 0 3 324.251 4.341 20 0 DIADHN C[C@@H]1C[C@@H](NC/C=C/c2ccc(F)c(F)c2)c2ccccc2O1 ZINC001461523470 1063557904 /nfs/dbraw/zinc/55/79/04/1063557904.db2.gz ZXSFBGFBSBIBMX-VSYMPVBISA-N 0 3 315.363 4.480 20 0 DIADHN CC(C)C[C@@H]1C[C@H]1NCc1c(Cl)n(C)nc1-c1ccccc1 ZINC001461566523 1063582289 /nfs/dbraw/zinc/58/22/89/1063582289.db2.gz IHBFNNGMZHHHCQ-GDBMZVCRSA-N 0 3 317.864 4.265 20 0 DIADHN CC(C)(C)O[C@@H]1C[C@H](NCc2cscc2Cl)C1(C)C ZINC001461567505 1063584601 /nfs/dbraw/zinc/58/46/01/1063584601.db2.gz HLMPEMGGUBIBNE-QWHCGFSZSA-N 0 3 301.883 4.473 20 0 DIADHN CC1(C)CCC[C@H](N2CCc3c(cnn3-c3ccccc3)C2)C1 ZINC001258289757 1063588317 /nfs/dbraw/zinc/58/83/17/1063588317.db2.gz PPQOSKJYAQHGEW-SFHVURJKSA-N 0 3 309.457 4.199 20 0 DIADHN COc1cc(F)cc(C[C@H](C)NCc2c(F)cc(C)cc2F)c1 ZINC001461575247 1063589353 /nfs/dbraw/zinc/58/93/53/1063589353.db2.gz SAKSWHJJCQWRNF-LBPRGKRZSA-N 0 3 323.358 4.142 20 0 DIADHN COc1cccc([C@@H]2C[C@H]2CNCc2c(F)cc(C)cc2F)c1 ZINC001461578754 1063591508 /nfs/dbraw/zinc/59/15/08/1063591508.db2.gz RIVPNBKAOPGVAJ-HOCLYGCPSA-N 0 3 317.379 4.175 20 0 DIADHN Clc1cccc([C@@H]2CC[C@H](NCc3nccn3C3CC3)C2)c1 ZINC001461585007 1063596214 /nfs/dbraw/zinc/59/62/14/1063596214.db2.gz LVCCEZMLXKXTKD-ZBFHGGJFSA-N 0 3 315.848 4.297 20 0 DIADHN CC(C)(C)O[C@H]1C[C@@H](NCc2cc(Cl)ccc2Cl)C1 ZINC001461590789 1063599614 /nfs/dbraw/zinc/59/96/14/1063599614.db2.gz TZSBHAXUEFNKDM-BETUJISGSA-N 0 3 302.245 4.429 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N[C@@H](C)c1ccno1 ZINC001258305772 1063600704 /nfs/dbraw/zinc/60/07/04/1063600704.db2.gz HWHWPLBXMJJECX-OLZOCXBDSA-N 0 3 308.447 4.409 20 0 DIADHN C[C@H](CC(C)(C)SCc1ccco1)N[C@H](C)c1ccno1 ZINC001258305771 1063601528 /nfs/dbraw/zinc/60/15/28/1063601528.db2.gz HWHWPLBXMJJECX-CHWSQXEVSA-N 0 3 308.447 4.409 20 0 DIADHN Cc1cc(CN[C@H]2CCC[C@H](F)C2)c(Br)cc1F ZINC001461602841 1063605172 /nfs/dbraw/zinc/60/51/72/1063605172.db2.gz WPKKVYZJZSNWIY-RYUDHWBXSA-N 0 3 318.205 4.267 20 0 DIADHN CSC(C)(C)C[C@H](C)NCc1cc(-c2ccccc2)on1 ZINC001258323798 1063610388 /nfs/dbraw/zinc/61/03/88/1063610388.db2.gz IIXUXDIBQZBJFT-ZDUSSCGKSA-N 0 3 304.459 4.351 20 0 DIADHN CCc1cccc(CNCc2ccc(F)c(Br)c2)c1 ZINC001461614010 1063613325 /nfs/dbraw/zinc/61/33/25/1063613325.db2.gz MKDJPWFQLIMRQI-UHFFFAOYSA-N 0 3 322.221 4.440 20 0 DIADHN CC(C)(C)C[C@@H]1C[C@H]1NCc1sccc1Br ZINC001461616790 1063615368 /nfs/dbraw/zinc/61/53/68/1063615368.db2.gz SHXHUHQIPHXMFH-GXSJLCMTSA-N 0 3 302.281 4.425 20 0 DIADHN COC(=O)CC[C@@H](C)NC(C)(C)c1ccc(Cl)cc1Cl ZINC001258353832 1063623000 /nfs/dbraw/zinc/62/30/00/1063623000.db2.gz RQLANEASEQEQRF-SNVBAGLBSA-N 0 3 318.244 4.160 20 0 DIADHN COc1ccc(CC[C@@H](C)NCc2c(F)ccc(C)c2F)cc1 ZINC001258387688 1063630824 /nfs/dbraw/zinc/63/08/24/1063630824.db2.gz HQHLBOLCELYDOA-CQSZACIVSA-N 0 3 319.395 4.393 20 0 DIADHN CCCCCN(C)c1ccc(F)cc1CN[C@@H]1C[C@]1(C)OCC ZINC001461644201 1063632641 /nfs/dbraw/zinc/63/26/41/1063632641.db2.gz RTMDMSDYRDQVTB-MOPGFXCFSA-N 0 3 322.468 4.109 20 0 DIADHN CC(C)[C@@H](NCc1nc(Cl)ccc1Br)C1CC1 ZINC001461646607 1063634585 /nfs/dbraw/zinc/63/45/85/1063634585.db2.gz VIIUHJPFKDOQNK-CYBMUJFWSA-N 0 3 317.658 4.022 20 0 DIADHN C[C@@H]1Cc2cc(CNC3(c4ccc(F)cc4)CCC3)ccc2O1 ZINC001461655301 1063641416 /nfs/dbraw/zinc/64/14/16/1063641416.db2.gz KEXNLZUDIJEJPL-CQSZACIVSA-N 0 3 311.400 4.318 20 0 DIADHN CS[C@H]1C[C@H](NCc2cc(Cl)ccc2OCC2CC2)C1 ZINC001461670812 1063649419 /nfs/dbraw/zinc/64/94/19/1063649419.db2.gz XAMQGEPAKDVFQT-SHTZXODSSA-N 0 3 311.878 4.112 20 0 DIADHN Cc1ccc(-c2nc(CNCC[C@H]3CCCS3)cs2)cc1 ZINC001461670746 1063649567 /nfs/dbraw/zinc/64/95/67/1063649567.db2.gz QMURSHMGSBWYBI-MRXNPFEDSA-N 0 3 318.511 4.494 20 0 DIADHN Cc1oc(-c2cccs2)nc1CNC[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001461677690 1063652573 /nfs/dbraw/zinc/65/25/73/1063652573.db2.gz FUFULVNCVCPQPA-FVCICTRLSA-N 0 3 302.443 4.237 20 0 DIADHN c1cc(Sc2ccccn2)oc1CN[C@]12C[C@H]1CCCC2 ZINC001461680766 1063654912 /nfs/dbraw/zinc/65/49/12/1063654912.db2.gz BTINCZHPHSCEIF-CXAGYDPISA-N 0 3 300.427 4.248 20 0 DIADHN CCc1cc(OC)ccc1CNCc1ccc2cccnc2c1 ZINC001461681571 1063654940 /nfs/dbraw/zinc/65/49/40/1063654940.db2.gz FZEMNOBSSINSRC-UHFFFAOYSA-N 0 3 306.409 4.096 20 0 DIADHN CCCCC[C@@H](CC)n1nc(C(F)(F)F)c2c1CNCC2 ZINC001258442972 1063655820 /nfs/dbraw/zinc/65/58/20/1063655820.db2.gz ILEIQFSXSAPYEX-LLVKDONJSA-N 0 3 303.372 4.079 20 0 DIADHN CCCCC[C@H](CC)N1CCN(C(=O)Nc2ccccc2)CC1 ZINC001258447361 1063659382 /nfs/dbraw/zinc/65/93/82/1063659382.db2.gz ZFOCYFBVCOPHEQ-SFHVURJKSA-N 0 3 317.477 4.195 20 0 DIADHN CC(C)(CNCc1c(F)ccc(F)c1Cl)[C@@H]1CCCCO1 ZINC001461695810 1063662483 /nfs/dbraw/zinc/66/24/83/1063662483.db2.gz QGHOJYORHJTQEB-AWEZNQCLSA-N 0 3 317.807 4.303 20 0 DIADHN FC[C@@H](N[C@H]1CCCc2ccccc2C1)c1ccc(F)cc1 ZINC001461700947 1063665382 /nfs/dbraw/zinc/66/53/82/1063665382.db2.gz ZXHBLWBDFOQGLL-RBUKOAKNSA-N 0 3 301.380 4.374 20 0 DIADHN Oc1cc(Cl)cc(CN[C@@H]2CC=CC[C@H]2C(F)(F)F)c1 ZINC001461704426 1063669683 /nfs/dbraw/zinc/66/96/83/1063669683.db2.gz HMKGXKUNFKLSJO-CHWSQXEVSA-N 0 3 305.727 4.032 20 0 DIADHN CCOC(=O)CC[C@@H](C)NC(c1ccccc1)c1ccccc1 ZINC001258473733 1063670024 /nfs/dbraw/zinc/67/00/24/1063670024.db2.gz XBYZLNGMDKZCHU-MRXNPFEDSA-N 0 3 311.425 4.097 20 0 DIADHN COc1cccc2c1OCCC[C@H]2NCc1ccc(C)cc1C ZINC001461727269 1063681758 /nfs/dbraw/zinc/68/17/58/1063681758.db2.gz NKILAYFVHHCXBL-GOSISDBHSA-N 0 3 311.425 4.316 20 0 DIADHN CCCC1CCC(NCc2cccnc2Br)CC1 ZINC001258529910 1063684933 /nfs/dbraw/zinc/68/49/33/1063684933.db2.gz FRXJGHZOXQWNMK-UHFFFAOYSA-N 0 3 311.267 4.293 20 0 DIADHN COCC(C)(C)CCNCc1csc(-c2ccc(C)cc2)n1 ZINC001461733272 1063686549 /nfs/dbraw/zinc/68/65/49/1063686549.db2.gz GSBMVGWLIBJIMD-UHFFFAOYSA-N 0 3 318.486 4.271 20 0 DIADHN C[C@@H](NC1CCN(c2ccccc2Cl)CC1)c1nccs1 ZINC001258537520 1063689632 /nfs/dbraw/zinc/68/96/32/1063689632.db2.gz NYXNRKPHBMHZKA-GFCCVEGCSA-N 0 3 321.877 4.116 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC(C)(C)C3)cs2)cc1 ZINC001258594295 1063698277 /nfs/dbraw/zinc/69/82/77/1063698277.db2.gz FUXOBIOYRAJRNB-CQSZACIVSA-N 0 3 316.470 4.487 20 0 DIADHN CSC1(CNCc2nc3c(s2)CCCC3)CCCCC1 ZINC001461756693 1063699985 /nfs/dbraw/zinc/69/99/85/1063699985.db2.gz VRSRYBNIIOFCBV-UHFFFAOYSA-N 0 3 310.532 4.177 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC(C)(C)C3)co2)cc1 ZINC001258598048 1063700118 /nfs/dbraw/zinc/70/01/18/1063700118.db2.gz CYMIOUZLOWNTFH-AWEZNQCLSA-N 0 3 300.402 4.019 20 0 DIADHN CC1(C)CC[C@H](NCc2ncc(Cl)cc2Br)C1 ZINC001258596273 1063700533 /nfs/dbraw/zinc/70/05/33/1063700533.db2.gz VZYHTJKPXNWJOC-JTQLQIEISA-N 0 3 317.658 4.166 20 0 DIADHN CSCc1cnc(CN[C@H](Cc2ccccc2)C(C)C)s1 ZINC001461760696 1063702061 /nfs/dbraw/zinc/70/20/61/1063702061.db2.gz NZGPOFYGJMPBPS-MRXNPFEDSA-N 0 3 320.527 4.363 20 0 DIADHN COc1cccc(-c2nc(CN[C@@H]3CCC(C)(C)C3)co2)c1 ZINC001258599712 1063702247 /nfs/dbraw/zinc/70/22/47/1063702247.db2.gz GZVSCHKZHVOUOO-CQSZACIVSA-N 0 3 300.402 4.019 20 0 DIADHN COc1ccc(CNC(C)(C)/C=C\Cl)cc1Br ZINC001461764833 1063703540 /nfs/dbraw/zinc/70/35/40/1063703540.db2.gz FDMSTZQEPONVON-SREVYHEPSA-N 0 3 318.642 4.078 20 0 DIADHN Clc1cc(Br)cnc1CNC1CCC=CCC1 ZINC001461780453 1063712387 /nfs/dbraw/zinc/71/23/87/1063712387.db2.gz UYISJQZRWXIQLV-UHFFFAOYSA-N 0 3 315.642 4.086 20 0 DIADHN COc1ccc(CNCc2ccc(Cl)c(F)c2)c(C)c1OC ZINC001461779470 1063713359 /nfs/dbraw/zinc/71/33/59/1063713359.db2.gz WTDOZDJFKDGYJT-UHFFFAOYSA-N 0 3 323.795 4.095 20 0 DIADHN Fc1ccc(CNC2([C@H]3CCCCO3)CC2)cc1C(F)(F)F ZINC001461782065 1063714647 /nfs/dbraw/zinc/71/46/47/1063714647.db2.gz XSDIFAVNPDWLSD-CQSZACIVSA-N 0 3 317.326 4.036 20 0 DIADHN C[C@H](Cc1cccnc1)N(Cc1ccccc1)Cc1ccccn1 ZINC001258622320 1063715207 /nfs/dbraw/zinc/71/52/07/1063715207.db2.gz KDHVBIMNVWYFDQ-GOSISDBHSA-N 0 3 317.436 4.110 20 0 DIADHN C[C@@H](Cc1cccnc1)n1c2ccccc2nc1[C@@H]1CCCCN1 ZINC001258629516 1063715896 /nfs/dbraw/zinc/71/58/96/1063715896.db2.gz CATCIRVXNRHZSC-YJBOKZPZSA-N 0 3 320.440 4.050 20 0 DIADHN COCc1ccccc1/C=C(/C)CN[C@@H]1CCCc2cccnc21 ZINC001461790463 1063719578 /nfs/dbraw/zinc/71/95/78/1063719578.db2.gz XOKKWFKZNJERNJ-QTXHJTIISA-N 0 3 322.452 4.299 20 0 DIADHN Fc1ccc(/C=C\CN[C@H]2CCCc3cccnc32)c(F)c1 ZINC001461792817 1063719616 /nfs/dbraw/zinc/71/96/16/1063719616.db2.gz SOVWHOXLPIQAMN-NCSHICFUSA-N 0 3 300.352 4.040 20 0 DIADHN Fc1ccc2nc(CN[C@@H]3CCOC34CCCCC4)sc2c1 ZINC001461799226 1063721814 /nfs/dbraw/zinc/72/18/14/1063721814.db2.gz KKEXMAQEDVAFQG-OAHLLOKOSA-N 0 3 320.433 4.017 20 0 DIADHN CC(C)Sc1cccc(Cl)c1CNCC[C@H](O)C1CC1 ZINC001461810572 1063730941 /nfs/dbraw/zinc/73/09/41/1063730941.db2.gz KOIHDOCDBHGWAJ-HNNXBMFYSA-N 0 3 313.894 4.091 20 0 DIADHN c1cc(-c2nnc(CC3CCCC3)o2)oc1CN1CCCCC1 ZINC001258664947 1063733017 /nfs/dbraw/zinc/73/30/17/1063733017.db2.gz DLQUCRZRKRNYCB-UHFFFAOYSA-N 0 3 315.417 4.048 20 0 DIADHN FC(F)(F)Oc1cccc(CNCc2ccc3cc[nH]c3c2)c1 ZINC001461822906 1063737509 /nfs/dbraw/zinc/73/75/09/1063737509.db2.gz XDOOPXZVXLQPRR-UHFFFAOYSA-N 0 3 320.314 4.356 20 0 DIADHN CSc1ccc(CN[C@@H](C)c2cc(F)ccc2N(C)C)cc1 ZINC001461831596 1063741695 /nfs/dbraw/zinc/74/16/95/1063741695.db2.gz GQFSNXQMFSAEAV-ZDUSSCGKSA-N 0 3 318.461 4.464 20 0 DIADHN CCCCC[C@H](CCC)n1nc(C(F)(F)F)c2c1CCNC2 ZINC001258673426 1063742923 /nfs/dbraw/zinc/74/29/23/1063742923.db2.gz LAFURYZWICOWAE-LBPRGKRZSA-N 0 3 317.399 4.469 20 0 DIADHN CC[C@H]1CCCN1Cc1nc(-c2cccc(OC)c2)cs1 ZINC001461844033 1063746658 /nfs/dbraw/zinc/74/66/58/1063746658.db2.gz QFEXCXZJBQEICU-AWEZNQCLSA-N 0 3 302.443 4.193 20 0 DIADHN C[C@@H](Cc1ccc(Cl)cc1Cl)N1CC2(C1)CCOCC2 ZINC001258683195 1063748637 /nfs/dbraw/zinc/74/86/37/1063748637.db2.gz SLKPNLQYRKNGJJ-LBPRGKRZSA-N 0 3 314.256 4.037 20 0 DIADHN CCO[C@@H]1CCN([C@@H](C)Cc2ccc(Cl)cc2Cl)C1 ZINC001258683904 1063749851 /nfs/dbraw/zinc/74/98/51/1063749851.db2.gz JBUJXXXAGNHESW-SMDDNHRTSA-N 0 3 302.245 4.035 20 0 DIADHN C[C@H](Cc1ccc(Cl)cc1Cl)N1CC2(C1)CCOCC2 ZINC001258683194 1063750537 /nfs/dbraw/zinc/75/05/37/1063750537.db2.gz SLKPNLQYRKNGJJ-GFCCVEGCSA-N 0 3 314.256 4.037 20 0 DIADHN CCCC[C@@H](Cc1ccccc1)NCC(=O)c1ccccc1F ZINC001258705583 1063763797 /nfs/dbraw/zinc/76/37/97/1063763797.db2.gz IHEYYXXJGHFXGO-KRWDZBQOSA-N 0 3 313.416 4.400 20 0 DIADHN COc1ccc(F)c(CN2CC[C@@H](Cc3ccc(F)cc3)C2)c1 ZINC001461875167 1063765582 /nfs/dbraw/zinc/76/55/82/1063765582.db2.gz IDDKRWZTRAEBKG-HNNXBMFYSA-N 0 3 317.379 4.038 20 0 DIADHN C[C@@H](c1cccs1)N(C)Cc1cc2ccc(F)cc2[nH]c1=O ZINC001461883171 1063773547 /nfs/dbraw/zinc/77/35/47/1063773547.db2.gz SWLHTLIASVSMAT-NSHDSACASA-N 0 3 316.401 4.334 20 0 DIADHN CCn1cncc1CN1CCCCC[C@@H]1/C=C\c1ccccc1 ZINC001461888965 1063777375 /nfs/dbraw/zinc/77/73/75/1063777375.db2.gz ZCSPHOLBXOEIIK-SYGIOELKSA-N 0 3 309.457 4.361 20 0 DIADHN CC(C)CN(Cc1nc(C(F)(F)F)n2c1CCCC2)C(C)C ZINC001461891943 1063780125 /nfs/dbraw/zinc/78/01/25/1063780125.db2.gz JKNQJLNXIYKJIK-UHFFFAOYSA-N 0 3 317.399 4.105 20 0 DIADHN C[C@H](Cc1cccc(Cl)c1)N[C@H]1COc2ccc(F)cc21 ZINC001258738600 1063786769 /nfs/dbraw/zinc/78/67/69/1063786769.db2.gz ATLLAZWPUGPDBM-BZNIZROVSA-N 0 3 305.780 4.133 20 0 DIADHN CCn1ccc(CN(C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC001461911423 1063793934 /nfs/dbraw/zinc/79/39/34/1063793934.db2.gz HHLXBZHGECRXDR-KGLIPLIRSA-N 0 3 305.853 4.180 20 0 DIADHN CS[C@@H]1CC[C@H](N(C)Cc2nc3ccc(F)cc3s2)C1 ZINC001461911826 1063794037 /nfs/dbraw/zinc/79/40/37/1063794037.db2.gz FFKVRXMKTYVGCA-NWDGAFQWSA-N 0 3 310.463 4.151 20 0 DIADHN COc1cc(F)cc(CN2CCSC3(CCCCC3)C2)c1 ZINC001461913958 1063795717 /nfs/dbraw/zinc/79/57/17/1063795717.db2.gz QJZQQGZHLPNJJQ-UHFFFAOYSA-N 0 3 309.450 4.086 20 0 DIADHN CCC[C@H]1CCCN(Cc2cnc(CC(F)(F)F)s2)C1 ZINC001461916403 1063796397 /nfs/dbraw/zinc/79/63/97/1063796397.db2.gz YADNNYRXRGZABG-NSHDSACASA-N 0 3 306.397 4.260 20 0 DIADHN Fc1ccc(CCN2CCC[C@H](Nc3ccccc3)C2)c(F)c1 ZINC001461916264 1063797186 /nfs/dbraw/zinc/79/71/86/1063797186.db2.gz WOMDCDGCDZLZFD-SFHVURJKSA-N 0 3 316.395 4.084 20 0 DIADHN CCCC[C@@H](CCC)NCc1nc(Br)cs1 ZINC001258755887 1063802899 /nfs/dbraw/zinc/80/28/99/1063802899.db2.gz CGMIFIFXJLZXHO-SNVBAGLBSA-N 0 3 305.285 4.354 20 0 DIADHN COc1ccc(CN2CC=C(C(C)(C)C)CC2)c(C)c1OC ZINC001461924829 1063807074 /nfs/dbraw/zinc/80/70/74/1063807074.db2.gz GLZDUJIHAXZCDV-UHFFFAOYSA-N 0 3 303.446 4.190 20 0 DIADHN CCCOc1ccc(CN2CC(C)(C)OCC2(C)C)c(C)c1 ZINC001461927235 1063810565 /nfs/dbraw/zinc/81/05/65/1063810565.db2.gz OJAIQRXPJBQDGN-UHFFFAOYSA-N 0 3 305.462 4.173 20 0 DIADHN CC[C@@H](C)C[C@@H](CC)NCc1nc(Br)cs1 ZINC001258785189 1063821194 /nfs/dbraw/zinc/82/11/94/1063821194.db2.gz FKGFVPZHCWJKMX-NXEZZACHSA-N 0 3 305.285 4.210 20 0 DIADHN Cc1nn(C)c(CN2CCC[C@H]2CC2CCCCC2)c1Cl ZINC001461941853 1063825694 /nfs/dbraw/zinc/82/56/94/1063825694.db2.gz WSCCRJIESAYAPT-HNNXBMFYSA-N 0 3 309.885 4.317 20 0 DIADHN CC(C)C[C@@H]1CCCN1Cc1nc2ccc(Cl)cc2n1C ZINC001461946460 1063830621 /nfs/dbraw/zinc/83/06/21/1063830621.db2.gz SWXVCGVHIBOWJO-AWEZNQCLSA-N 0 3 305.853 4.237 20 0 DIADHN CCN(CCc1ccccn1)Cc1cc(Cl)cc(Cl)c1N ZINC001461950315 1063834856 /nfs/dbraw/zinc/83/48/56/1063834856.db2.gz UXKYPBYDZCTWAL-UHFFFAOYSA-N 0 3 324.255 4.035 20 0 DIADHN CC(C)C1CCC(NCc2nc(Br)cs2)CC1 ZINC001258802761 1063836809 /nfs/dbraw/zinc/83/68/09/1063836809.db2.gz ZLBAOPOCFFESCN-UHFFFAOYSA-N 0 3 317.296 4.210 20 0 DIADHN COc1cc(Cl)c(CN([C@H](C)C2CC2)C2CC2)cc1OC ZINC001461956154 1063839854 /nfs/dbraw/zinc/83/98/54/1063839854.db2.gz OTNYOOAYRKKIMN-LLVKDONJSA-N 0 3 309.837 4.120 20 0 DIADHN C[C@H]1CCC[C@H](NCC(=O)c2ccc(Cl)c(Cl)c2)C1 ZINC001258811103 1063839877 /nfs/dbraw/zinc/83/98/77/1063839877.db2.gz VUIWSYMZVUDKPM-JQWIXIFHSA-N 0 3 300.229 4.344 20 0 DIADHN C[C@@H]1CCC[C@H](NCc2ncc(Cl)cc2Br)C1 ZINC001258810928 1063840364 /nfs/dbraw/zinc/84/03/64/1063840364.db2.gz SKUAHOWAGBQMCU-KOLCDFICSA-N 0 3 317.658 4.166 20 0 DIADHN CO[C@H](CN1CCC[C@H]1c1ccccc1Br)C1CC1 ZINC001461961866 1063841164 /nfs/dbraw/zinc/84/11/64/1063841164.db2.gz VJXAFCQGSBOEIQ-JKSUJKDBSA-N 0 3 324.262 4.011 20 0 DIADHN C[C@@H]1CCC[C@H](NCC(=O)c2ccc(F)c(C(F)(F)F)c2)C1 ZINC001258812992 1063843288 /nfs/dbraw/zinc/84/32/88/1063843288.db2.gz BEKYGYGZTFHWGQ-PWSUYJOCSA-N 0 3 317.326 4.196 20 0 DIADHN COc1ccc(-c2nc(CN[C@H]3CCC[C@H](C)C3)co2)cc1 ZINC001258814584 1063844620 /nfs/dbraw/zinc/84/46/20/1063844620.db2.gz LHPAJAXDMGIDLU-ZFWWWQNUSA-N 0 3 300.402 4.019 20 0 DIADHN CCCN(Cc1cccc(F)c1N)[C@@H](C)c1ccccc1OC ZINC001461964758 1063844902 /nfs/dbraw/zinc/84/49/02/1063844902.db2.gz LQTORDXZUFVWFB-AWEZNQCLSA-N 0 3 316.420 4.390 20 0 DIADHN CC1CCC(N(Cc2cn3cccc(F)c3n2)C2CC2)CC1 ZINC001461975311 1063854987 /nfs/dbraw/zinc/85/49/87/1063854987.db2.gz ASFLVJXYFZCCQH-UHFFFAOYSA-N 0 3 301.409 4.017 20 0 DIADHN CC(C)CC(CC(C)C)n1nc(-c2ccncc2)cc1CN ZINC001258832344 1063856810 /nfs/dbraw/zinc/85/68/10/1063856810.db2.gz PSVFBORMAOFYLE-UHFFFAOYSA-N 0 3 300.450 4.037 20 0 DIADHN CC[C@H](Cc1ccccc1)NCc1c(F)cc(OC)cc1F ZINC001258838862 1063860312 /nfs/dbraw/zinc/86/03/12/1063860312.db2.gz LISGZYDSZKAWMU-CQSZACIVSA-N 0 3 305.368 4.084 20 0 DIADHN CC[C@@H](Cc1ccccc1)N1Cc2cc(OC)c(OC)cc2C1 ZINC001258852791 1063867900 /nfs/dbraw/zinc/86/79/00/1063867900.db2.gz NFMLDCAZJZXBQX-SFHVURJKSA-N 0 3 311.425 4.041 20 0 DIADHN COc1ccccc1C[C@@H](C)NCc1c(F)ccc(C)c1F ZINC001258880530 1063869178 /nfs/dbraw/zinc/86/91/78/1063869178.db2.gz VLFAHKDBPCZNRI-CYBMUJFWSA-N 0 3 305.368 4.003 20 0 DIADHN CCC[C@@H](CN[C@H](CC(F)(F)F)c1ccc(C)cc1)OC ZINC001462052115 1063902249 /nfs/dbraw/zinc/90/22/49/1063902249.db2.gz KWWZRFSJEJGFPR-LSDHHAIUSA-N 0 3 303.368 4.393 20 0 DIADHN C[C@@H]1CC[C@@H](NCc2nnn(-c3ccccc3)c2C2CC2)C[C@@H]1C ZINC001462063544 1063909935 /nfs/dbraw/zinc/90/99/35/1063909935.db2.gz OEYNNEYZNMAUHV-HLLBOEOZSA-N 0 3 324.472 4.059 20 0 DIADHN c1cc(C2CCCC2)ccc1-c1nc([C@@H]2CN3CCC2CC3)no1 ZINC001261202249 1063912288 /nfs/dbraw/zinc/91/22/88/1063912288.db2.gz GNMOZKPNWDVVKL-GOSISDBHSA-N 0 3 323.440 4.203 20 0 DIADHN CCOCCN(C)Cc1ccc(-c2ccc(Cl)cc2)cc1 ZINC001462073599 1063915901 /nfs/dbraw/zinc/91/59/01/1063915901.db2.gz UQAWSZQNXDSODM-UHFFFAOYSA-N 0 3 303.833 4.475 20 0 DIADHN Fc1cc2c(c(F)c1)[C@@H](N[C@@H]1CC[C@@H]3CCCC[C@H]3C1)CO2 ZINC001462087668 1063921872 /nfs/dbraw/zinc/92/18/72/1063921872.db2.gz NRXSCYNUDTYHPK-GMZLATJGSA-N 0 3 307.384 4.347 20 0 DIADHN COc1ccc(-c2nc(CN[C@@H]3CCC[C@@H](F)C3)cs2)cc1 ZINC001261493487 1063928676 /nfs/dbraw/zinc/92/86/76/1063928676.db2.gz DGQOHLUNYRODDY-ZIAGYGMSSA-N 0 3 320.433 4.189 20 0 DIADHN C[C@@H](NCc1ccc2c(c1)CCC2)c1ccc(C(F)F)nc1 ZINC001261601602 1063939792 /nfs/dbraw/zinc/93/97/92/1063939792.db2.gz UYSAGHJSYHJSGQ-GFCCVEGCSA-N 0 3 302.368 4.359 20 0 DIADHN COc1ccc(Br)cc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000382154149 1063997233 /nfs/dbraw/zinc/99/72/33/1063997233.db2.gz JXUAUTFJJFEIQC-BETUJISGSA-N 0 3 324.262 4.080 20 0 DIADHN COCc1cccc(CN[C@H]2CCSc3c(F)cccc32)c1 ZINC000378884875 1063979571 /nfs/dbraw/zinc/97/95/71/1063979571.db2.gz HIQZKMXGSDMZDQ-KRWDZBQOSA-N 0 3 317.429 4.299 20 0 DIADHN COc1ccccc1C[C@@H](C)NCc1nc2ccccc2s1 ZINC000385963800 1064031485 /nfs/dbraw/zinc/03/14/85/1064031485.db2.gz HFHIHYGCMXKQFB-CYBMUJFWSA-N 0 3 312.438 4.026 20 0 DIADHN CCCc1cc(C(=O)NC[C@@H](C)N2CCCC[C@@H]2C)sc1C ZINC001264556393 1064111439 /nfs/dbraw/zinc/11/14/39/1064111439.db2.gz VJZGSZXQFIWQOY-UONOGXRCSA-N 0 3 322.518 4.002 20 0 DIADHN Brc1sccc1CN1C[C@@H]2CCCC[C@@H]2C1 ZINC000388994132 1064120205 /nfs/dbraw/zinc/12/02/05/1064120205.db2.gz HTPZOXBAKYGIKW-PHIMTYICSA-N 0 3 300.265 4.133 20 0 DIADHN CC[C@H](CC(C)C)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001264802162 1064137527 /nfs/dbraw/zinc/13/75/27/1064137527.db2.gz TWXBUGCWPRFMQK-LMMKCTJWSA-N 0 3 316.489 4.010 20 0 DIADHN FC(F)(F)CCCN1CCC12CN(CCCC1CCCC1)C2 ZINC001279477825 1064351517 /nfs/dbraw/zinc/35/15/17/1064351517.db2.gz FRKMDRKUVTXVET-UHFFFAOYSA-N 0 3 318.427 4.059 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1cnsc1 ZINC001279563289 1064356800 /nfs/dbraw/zinc/35/68/00/1064356800.db2.gz SEKXZNZVHCVCTI-RYQLBKOJSA-N 0 3 321.534 4.008 20 0 DIADHN CCCC[C@H](CC)CN1C[C@H]2CC[C@@H](C1)N2Cc1ccns1 ZINC001279555625 1064357095 /nfs/dbraw/zinc/35/70/95/1064357095.db2.gz CDFGQUGIBNVKAJ-YESZJQIVSA-N 0 3 321.534 4.008 20 0 DIADHN CC/C=C\CCCCCN1CC2(C[C@@H]2C(=O)N(CC)C(C)C)C1 ZINC001280238057 1064386937 /nfs/dbraw/zinc/38/69/37/1064386937.db2.gz VDFSJRPJJZGTSX-JTHGQSKGSA-N 0 3 320.521 4.092 20 0 DIADHN O=C(Nc1ccc(F)c(Cl)c1)[C@H]1CCCCN1C1CCCC1 ZINC001290071904 1064678402 /nfs/dbraw/zinc/67/84/02/1064678402.db2.gz VWGJOTSVCAGCTK-MRXNPFEDSA-N 0 3 324.827 4.215 20 0 DIADHN CC[C@@H](CC(C)C)C(=O)N[C@H](CN1CCCC1)c1ccccc1 ZINC001290116215 1064681470 /nfs/dbraw/zinc/68/14/70/1064681470.db2.gz SWUVVNABEDXLCP-PKOBYXMFSA-N 0 3 316.489 4.012 20 0 DIADHN Cc1ccc(NC(=O)[C@H](C(C)C)N2CCCCC2)cc1Cl ZINC001290162482 1064684518 /nfs/dbraw/zinc/68/45/18/1064684518.db2.gz WTCNPHBYNYDROH-INIZCTEOSA-N 0 3 308.853 4.097 20 0 DIADHN CCCCCCN(C)CC(=O)N[C@@H](CC)c1ccc(Cl)cc1 ZINC001290469654 1064694481 /nfs/dbraw/zinc/69/44/81/1064694481.db2.gz SMWRDUXTDNGUHB-KRWDZBQOSA-N 0 3 324.896 4.419 20 0 DIADHN CCCN1CCCC[C@@H]1C(=O)NC1CCC(C(C)(C)CC)CC1 ZINC001290643393 1064703263 /nfs/dbraw/zinc/70/32/63/1064703263.db2.gz XUYZKLWTWUQWFI-DAWZGUTISA-N 0 3 322.537 4.362 20 0 DIADHN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@H](CC(C)(C)C)c1ccccc1 ZINC001290931601 1064717655 /nfs/dbraw/zinc/71/76/55/1064717655.db2.gz RUSGFAUTFWXJDG-SOLBZPMBSA-N 0 3 316.489 4.153 20 0 DIADHN CCC[C@H](C(=O)N(CCN(C)C)CC1CCCCC1)C(C)C ZINC001292113078 1064761233 /nfs/dbraw/zinc/76/12/33/1064761233.db2.gz UZCBSQBFSKLFHI-SFHVURJKSA-N 0 3 310.526 4.029 20 0 DIADHN CCCN(C(=O)CN1[C@H](C)CC[C@H]1C)[C@H](CC)c1ccccc1 ZINC001292226871 1064764744 /nfs/dbraw/zinc/76/47/44/1064764744.db2.gz XNIDLAZFLSCGIO-ZHALLVOQSA-N 0 3 316.489 4.249 20 0 DIADHN CC[C@H](C(=O)N[C@H](CC1CCCCC1)c1ccccc1)N(C)C ZINC001292572395 1064781506 /nfs/dbraw/zinc/78/15/06/1064781506.db2.gz RQJJOHOOIGOXSI-RTBURBONSA-N 0 3 316.489 4.155 20 0 DIADHN CCN1CCCN(C(=O)C2(C3CCCCC3)CCCCC2)CC1 ZINC001293014398 1064797189 /nfs/dbraw/zinc/79/71/89/1064797189.db2.gz UULZXELVSRFAIT-UHFFFAOYSA-N 0 3 320.521 4.071 20 0 DIADHN C/C(=C/C(=O)Nc1ccc(C)cc1CN(C)C)c1ccccc1C ZINC001293541793 1064821374 /nfs/dbraw/zinc/82/13/74/1064821374.db2.gz OZJCUORKEWPQDG-LGMDPLHJSA-N 0 3 322.452 4.407 20 0 DIADHN Cc1ccc(CN(C)C)cc1NC(=O)/C=C\CCc1ccccc1 ZINC001294375965 1064862485 /nfs/dbraw/zinc/86/24/85/1064862485.db2.gz IQBIQIIHRACHNI-WQLSENKSSA-N 0 3 322.452 4.184 20 0 DIADHN C/C(=C\C(=O)Nc1cc(CN(C)C)ccc1C)c1ccccc1C ZINC001294377301 1064865675 /nfs/dbraw/zinc/86/56/75/1064865675.db2.gz CBKZUDUWSYOFTK-SFQUDFHCSA-N 0 3 322.452 4.407 20 0 DIADHN CCCCN(C(=O)[C@H](C)N1[C@@H](C)CC[C@@H]1C)c1ccccc1 ZINC001294414956 1064866812 /nfs/dbraw/zinc/86/68/12/1064866812.db2.gz SGODMUOXTXAGBB-ULQDDVLXSA-N 0 3 302.462 4.081 20 0 DIADHN CCCCN(C(=O)[C@H](C)N1[C@H](C)CC[C@H]1C)c1ccccc1 ZINC001294414957 1064866824 /nfs/dbraw/zinc/86/68/24/1064866824.db2.gz SGODMUOXTXAGBB-ZACQAIPSSA-N 0 3 302.462 4.081 20 0 DIADHN CCC[C@@H](CC1CCCC1)C(=O)NCCN1CCCC(C)(C)C1 ZINC001297064999 1064966392 /nfs/dbraw/zinc/96/63/92/1064966392.db2.gz AMXSDDLLYFZALX-SFHVURJKSA-N 0 3 322.537 4.221 20 0 DIADHN CCCN1CCC[C@@H]1C(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC001297186324 1064971073 /nfs/dbraw/zinc/97/10/73/1064971073.db2.gz IEMLLDZJKHOXJE-FUHWJXTLSA-N 0 3 314.473 4.037 20 0 DIADHN CCCN1CCC[C@H]1C(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC001297186326 1064971601 /nfs/dbraw/zinc/97/16/01/1064971601.db2.gz IEMLLDZJKHOXJE-WMZOPIPTSA-N 0 3 314.473 4.037 20 0 DIADHN C[C@@H]1CC[C@@H](C)N1CC(=O)N1C[C@H](C(C)(C)C)c2ccccc21 ZINC001297187163 1064972768 /nfs/dbraw/zinc/97/27/68/1064972768.db2.gz YBADLPZLMSSRLF-INMHGKMJSA-N 0 3 314.473 4.036 20 0 DIADHN CC[C@@H](CC1CCCCC1)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001297504018 1064980983 /nfs/dbraw/zinc/98/09/83/1064980983.db2.gz XEDBOXQEYRPXHK-PKOBYXMFSA-N 0 3 320.521 4.116 20 0 DIADHN CC[C@H](CC1CCCCC1)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001297504016 1064981402 /nfs/dbraw/zinc/98/14/02/1064981402.db2.gz XEDBOXQEYRPXHK-IEBWSBKVSA-N 0 3 320.521 4.116 20 0 DIADHN CCSCc1ccc(NC(=O)[C@H](C)N2CCCCCC2)cc1 ZINC001298038814 1064994324 /nfs/dbraw/zinc/99/43/24/1064994324.db2.gz DIFHYVVKXCEXEF-HNNXBMFYSA-N 0 3 320.502 4.143 20 0 DIADHN CCC(CC)Nc1ccncc1NC(=O)C[C@H](CC)C(C)C ZINC001298610319 1065011893 /nfs/dbraw/zinc/01/18/93/1065011893.db2.gz SRCHNMFXEVVSCI-AWEZNQCLSA-N 0 3 305.466 4.115 20 0 DIADHN CCCCCCN(C)CC(=O)NCc1ccc2sccc2c1 ZINC001298867496 1065023777 /nfs/dbraw/zinc/02/37/77/1065023777.db2.gz JTYMYOFFJMCZTD-UHFFFAOYSA-N 0 3 318.486 4.030 20 0 DIADHN CCN1CC[C@@H](NC(=O)Nc2c(C(C)C)cccc2C(C)C)C1 ZINC000840466227 1065027320 /nfs/dbraw/zinc/02/73/20/1065027320.db2.gz FNXARMITLRSMCA-OAHLLOKOSA-N 0 3 317.477 4.149 20 0 DIADHN CC(C)CN1CCC[C@H]1C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC001300913200 1065044748 /nfs/dbraw/zinc/04/47/48/1065044748.db2.gz GVPBCTGXHFDXGJ-IBGZPJMESA-N 0 3 312.457 4.095 20 0 DIADHN Cc1nc([C@H](C)N[C@H]2CCc3cccc(Cl)c3C2)cs1 ZINC000666088732 1065077998 /nfs/dbraw/zinc/07/79/98/1065077998.db2.gz PSDJOUVVOGFTOM-GWCFXTLKSA-N 0 3 306.862 4.313 20 0 DIADHN C[C@@H]1CN(C(=O)CCCC2CCCCC2)CCN1C1CCCC1 ZINC001303540178 1065118100 /nfs/dbraw/zinc/11/81/00/1065118100.db2.gz LUMORCLYJTTWTQ-QGZVFWFLSA-N 0 3 320.521 4.212 20 0 DIADHN Cc1ccc(N(CC2CC2)C(=O)c2cccc(CN(C)C)c2)cc1 ZINC001303653977 1065119051 /nfs/dbraw/zinc/11/90/51/1065119051.db2.gz ROUBDEWEFKMCMF-UHFFFAOYSA-N 0 3 322.452 4.113 20 0 DIADHN CN(C)Cc1ccc(C(=O)Nc2ccc3c(c2)CCCCC3)cc1 ZINC001306871388 1065197472 /nfs/dbraw/zinc/19/74/72/1065197472.db2.gz PMKYTTVKBDQLBA-UHFFFAOYSA-N 0 3 322.452 4.269 20 0 DIADHN CC(C)(CNc1ncc(Cl)s1)N1CCc2ccccc2C1 ZINC001306923749 1065206811 /nfs/dbraw/zinc/20/68/11/1065206811.db2.gz GHSDPBBAOZRTMG-UHFFFAOYSA-N 0 3 321.877 4.045 20 0 DIADHN CCC(CC)CN(CC)CC(=O)Nc1ccc(F)cc1Cl ZINC001307411627 1065375459 /nfs/dbraw/zinc/37/54/59/1065375459.db2.gz BFLCYGOWDLUYKB-UHFFFAOYSA-N 0 3 314.832 4.176 20 0 DIADHN CCC1CCC(N(Cc2nc(N)c3ccccc3n2)C2CC2)CC1 ZINC001307417874 1065376959 /nfs/dbraw/zinc/37/69/59/1065376959.db2.gz HMXLOMBCJURNFC-UHFFFAOYSA-N 0 3 324.472 4.145 20 0 DIADHN Clc1ccc(CN(Cc2ccc3nonc3c2)C2CC2)cc1 ZINC001307417430 1065378176 /nfs/dbraw/zinc/37/81/76/1065378176.db2.gz LFCBIROHAIURDS-UHFFFAOYSA-N 0 3 313.788 4.041 20 0 DIADHN C[C@H]1CCC[C@H](N(C)Cc2ccn(-c3ccc(F)cc3F)n2)C1 ZINC001307434042 1065393870 /nfs/dbraw/zinc/39/38/70/1065393870.db2.gz PZPBMMDLIGAPIV-BBRMVZONSA-N 0 3 319.399 4.161 20 0 DIADHN Fc1ccc(CN(CCOC2CCC2)Cc2ccccc2)cc1 ZINC001307436123 1065396845 /nfs/dbraw/zinc/39/68/45/1065396845.db2.gz NQPMRAMKCXHVHJ-UHFFFAOYSA-N 0 3 313.416 4.397 20 0 DIADHN CC[C@@H](C)N(CCc1ccccc1F)Cc1nc(C)cs1 ZINC001307438813 1065400235 /nfs/dbraw/zinc/40/02/35/1065400235.db2.gz OLAOFRFUZLQDMB-CQSZACIVSA-N 0 3 306.450 4.434 20 0 DIADHN CCOc1ccc(CN(Cc2ccc(CC)cn2)C(C)C)cc1 ZINC001307439744 1065400635 /nfs/dbraw/zinc/40/06/35/1065400635.db2.gz DUGMYEDIGHBDIZ-UHFFFAOYSA-N 0 3 312.457 4.453 20 0 DIADHN CC[C@H]1CCCN([C@H](C)C(=O)Nc2cccc(Cl)c2C)CC1 ZINC001307467394 1065417712 /nfs/dbraw/zinc/41/77/12/1065417712.db2.gz GOFKLHDVRRRRMD-CABCVRRESA-N 0 3 322.880 4.488 20 0 DIADHN CC[C@@H]1CCCN(Cc2cc3c(cc2Cl)OCCO3)CC1 ZINC001307468338 1065419451 /nfs/dbraw/zinc/41/94/51/1065419451.db2.gz VYZWAPRRGHPTFB-CYBMUJFWSA-N 0 3 309.837 4.123 20 0 DIADHN CC[C@H](c1ccc(F)cc1F)N1CCN(CCC(C)C)CC1 ZINC001307469244 1065419494 /nfs/dbraw/zinc/41/94/94/1065419494.db2.gz JBMMFJPNAWRSFY-GOSISDBHSA-N 0 3 310.432 4.080 20 0 DIADHN Cc1ccccc1C[C@H]1CCCN1Cc1nc2ccccc2[nH]1 ZINC001307478138 1065425922 /nfs/dbraw/zinc/42/59/22/1065425922.db2.gz HVSRGQHQWJFZRT-QGZVFWFLSA-N 0 3 305.425 4.078 20 0 DIADHN COc1cc(CN(C)[C@H]2CCC[C@H]2c2ccc(C)cc2)sn1 ZINC001307495535 1065440284 /nfs/dbraw/zinc/44/02/84/1065440284.db2.gz OANSFIVZXNEYQB-IRXDYDNUSA-N 0 3 316.470 4.228 20 0 DIADHN COc1cc(CN(C)[C@@H]2CCC[C@H]2c2ccc(C)cc2)sn1 ZINC001307495523 1065440429 /nfs/dbraw/zinc/44/04/29/1065440429.db2.gz OANSFIVZXNEYQB-DLBZAZTESA-N 0 3 316.470 4.228 20 0 DIADHN CC[C@H]1C[C@H](C)CN1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307499614 1065443785 /nfs/dbraw/zinc/44/37/85/1065443785.db2.gz JNPJCKDAUJYTMR-JQWIXIFHSA-N 0 3 315.244 4.052 20 0 DIADHN COC(=O)/C(C)=C/CN(C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC001307501244 1065443887 /nfs/dbraw/zinc/44/38/87/1065443887.db2.gz KYUXSHHUHMYNPU-FYPAKXHLSA-N 0 3 323.436 4.466 20 0 DIADHN Cc1cc(CN2CC[C@H](CSc3ccccc3)C2)sn1 ZINC001307505508 1065449505 /nfs/dbraw/zinc/44/95/05/1065449505.db2.gz HNIUAIUKLFMJDI-AWEZNQCLSA-N 0 3 304.484 4.066 20 0 DIADHN COc1ccccc1-c1nc(CN2CCC[C@H]2C(C)(C)C)co1 ZINC001307507762 1065450561 /nfs/dbraw/zinc/45/05/61/1065450561.db2.gz YWBDDSXSKYIUJE-KRWDZBQOSA-N 0 3 314.429 4.361 20 0 DIADHN CCCC1CCN(C[C@H]2CC(c3ccc(Cl)cc3)=NO2)CC1 ZINC001307509027 1065450792 /nfs/dbraw/zinc/45/07/92/1065450792.db2.gz WPUFGHRQNQJWNY-QGZVFWFLSA-N 0 3 320.864 4.345 20 0 DIADHN Cc1ccc(CN2CC[C@H](c3ccccc3)[C@@H]2C)nc1Cl ZINC001307518734 1065457448 /nfs/dbraw/zinc/45/74/48/1065457448.db2.gz VXDFESIZXPGGNP-YOEHRIQHSA-N 0 3 300.833 4.421 20 0 DIADHN Cc1ccc(CN2CC[C@H](Cc3ccccc3)[C@H]2C)c(Cl)n1 ZINC001307518604 1065458065 /nfs/dbraw/zinc/45/80/65/1065458065.db2.gz FVWCUFUTMMUUMH-NVXWUHKLSA-N 0 3 314.860 4.497 20 0 DIADHN C[C@@H](c1ccc(Cl)cc1)N(C[C@H]1COC(C)(C)O1)C1CC1 ZINC001307535260 1065468329 /nfs/dbraw/zinc/46/83/29/1065468329.db2.gz LIWSUTGFXNVLBH-LRDDRELGSA-N 0 3 309.837 4.017 20 0 DIADHN CC1(C)CO[C@H](CN[C@@H](c2ccccc2)c2ccc(F)cc2)C1 ZINC001307551395 1065478424 /nfs/dbraw/zinc/47/84/24/1065478424.db2.gz IDGQUKJHWVENLX-OALUTQOASA-N 0 3 313.416 4.320 20 0 DIADHN CCCCOCCN1CC=C(c2c[nH]c3cc(F)ccc32)CC1 ZINC001307559636 1065482318 /nfs/dbraw/zinc/48/23/18/1065482318.db2.gz TURAMZDOPNJRIF-UHFFFAOYSA-N 0 3 316.420 4.213 20 0 DIADHN COc1cccc(CN(C)[C@H](C)Cc2ccc(O)cc2)c1Cl ZINC001307579488 1065492800 /nfs/dbraw/zinc/49/28/00/1065492800.db2.gz NJSJXKUDGUARQM-CYBMUJFWSA-N 0 3 319.832 4.117 20 0 DIADHN c1nnn(-c2ccccc2)c1CN1CCC[C@@H]1CCC1CCCC1 ZINC001307582698 1065497143 /nfs/dbraw/zinc/49/71/43/1065497143.db2.gz HDGBGLYAIOXQTG-GOSISDBHSA-N 0 3 324.472 4.202 20 0 DIADHN C[C@@H](C(=O)Nc1ccc(Cl)c(Cl)c1)N(C)CCC1CC1 ZINC001307591380 1065502694 /nfs/dbraw/zinc/50/26/94/1065502694.db2.gz APYAVCXVSGWJEP-JTQLQIEISA-N 0 3 315.244 4.052 20 0 DIADHN CS[C@H]1CCCCN(CCOc2ccc(F)cc2Cl)C1 ZINC001307593645 1065505487 /nfs/dbraw/zinc/50/54/87/1065505487.db2.gz FQCGLZSAFFURNR-ZDUSSCGKSA-N 0 3 317.857 4.075 20 0 DIADHN CC[C@@H](N[C@@H](C)C(=O)Nc1cccc(F)c1)c1ccc(F)cc1 ZINC001307601429 1065507316 /nfs/dbraw/zinc/50/73/16/1065507316.db2.gz WQSXRDFGVQJDQO-YVEFUNNKSA-N 0 3 318.367 4.033 20 0 DIADHN C[C@]1(CO)CCCN(Cc2ccc(Cl)c3ccccc23)C1 ZINC001307603662 1065510120 /nfs/dbraw/zinc/51/01/20/1065510120.db2.gz JPUCIGAPXMGEPP-SFHVURJKSA-N 0 3 303.833 4.088 20 0 DIADHN CCCC[C@H](C(=O)OC)N1CCC[C@H](c2ccc(F)cc2)CC1 ZINC001307607752 1065511765 /nfs/dbraw/zinc/51/17/65/1065511765.db2.gz OAPDZBOQJJAWOG-MAUKXSAKSA-N 0 3 321.436 4.127 20 0 DIADHN C[C@@H]1CCC[C@@H](CCN2CCN(C(=O)C(C)(C)C)CC2(C)C)C1 ZINC001307611084 1065514170 /nfs/dbraw/zinc/51/41/70/1065514170.db2.gz ODAJVDRWWYZPAB-SJORKVTESA-N 0 3 322.537 4.172 20 0 DIADHN CCC[C@H](NC[C@H]1CC2(CCC2)CO1)c1ccc(F)cc1F ZINC001307613383 1065517129 /nfs/dbraw/zinc/51/71/29/1065517129.db2.gz LUAUWYFYFNTNTQ-PBHICJAKSA-N 0 3 309.400 4.355 20 0 DIADHN CCCCOCCN[C@H](C)c1cc(Cl)ccc1OC(F)F ZINC001307617408 1065520784 /nfs/dbraw/zinc/52/07/84/1065520784.db2.gz RIQZJQLUXGIJEG-LLVKDONJSA-N 0 3 321.795 4.409 20 0 DIADHN CC[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc(Cl)c(Cl)c1 ZINC001307621585 1065522374 /nfs/dbraw/zinc/52/23/74/1065522374.db2.gz ORPUCMVATXXRGF-JQWIXIFHSA-N 0 3 315.244 4.195 20 0 DIADHN COc1ccc(F)cc1[C@H](C)NCCCOc1ccccc1C ZINC001307621205 1065523324 /nfs/dbraw/zinc/52/33/24/1065523324.db2.gz QHJWYMKWXGOHCW-HNNXBMFYSA-N 0 3 317.404 4.262 20 0 DIADHN CC[C@@H](NCCOCc1ccccc1)c1ccc(F)cc1F ZINC001307625104 1065524741 /nfs/dbraw/zinc/52/47/41/1065524741.db2.gz NMFAXJNNEZLVQA-GOSISDBHSA-N 0 3 305.368 4.222 20 0 DIADHN CC[C@H](NC[C@](O)(CC)C(F)(F)F)c1cccc(Cl)c1 ZINC001307630069 1065529053 /nfs/dbraw/zinc/52/90/53/1065529053.db2.gz JCEJESICSXHDRM-QWHCGFSZSA-N 0 3 309.759 4.084 20 0 DIADHN Cc1ccc(NC(=O)CN[C@H](c2ccccc2C)C(C)C)cc1 ZINC001307635131 1065530330 /nfs/dbraw/zinc/53/03/30/1065530330.db2.gz OOCQZXYRJORTQQ-FQEVSTJZSA-N 0 3 310.441 4.229 20 0 DIADHN CCc1ccc(NC(=O)CN[C@](C)(CC)c2ccccc2)cc1 ZINC001307634051 1065530801 /nfs/dbraw/zinc/53/08/01/1065530801.db2.gz ZDDMWXWVWDXYHB-HXUWFJFHSA-N 0 3 310.441 4.103 20 0 DIADHN CC[C@@H](NCc1cccc(C(=O)OC)c1)c1ccc(C)c(F)c1 ZINC001307643966 1065537350 /nfs/dbraw/zinc/53/73/50/1065537350.db2.gz SOIADFCCVCKBDM-GOSISDBHSA-N 0 3 315.388 4.162 20 0 DIADHN C[C@H](c1ccccc1)[C@H](CO)NCc1cc(Cl)ccc1Cl ZINC001307659958 1065548840 /nfs/dbraw/zinc/54/88/40/1065548840.db2.gz WLLWZODBANWPKP-PXAZEXFGSA-N 0 3 324.251 4.248 20 0 DIADHN CC[C@@H](NC[C@H](COC)C(C)C)c1nc(C(F)(F)F)cs1 ZINC001307667587 1065550622 /nfs/dbraw/zinc/55/06/22/1065550622.db2.gz CQAJBCPJUSPKHV-GHMZBOCLSA-N 0 3 324.412 4.121 20 0 DIADHN CC[C@H](NC[C@H]1[C@H](c2ccccc2)C1(F)F)c1c(C)noc1C ZINC001307669454 1065554325 /nfs/dbraw/zinc/55/43/25/1065554325.db2.gz ZIINYOFEOLLOJJ-ZOBUZTSGSA-N 0 3 320.383 4.381 20 0 DIADHN COc1cccc(CCCN2CCc3cc(Cl)ccc3C2)c1 ZINC001307677229 1065556284 /nfs/dbraw/zinc/55/62/84/1065556284.db2.gz WKOOZMAHXDABLV-UHFFFAOYSA-N 0 3 315.844 4.340 20 0 DIADHN Cc1nc([C@H]2CCCN2CCc2ccc(Cl)cc2)cs1 ZINC001307697199 1065567298 /nfs/dbraw/zinc/56/72/98/1065567298.db2.gz DUZOBAGVNMVSEP-MRXNPFEDSA-N 0 3 306.862 4.485 20 0 DIADHN CCc1nc(C(C)C)ccc1CN1CCOCC2(CCCC2)C1 ZINC001307697177 1065567528 /nfs/dbraw/zinc/56/75/28/1065567528.db2.gz IOEXLQDHCZNTFS-UHFFFAOYSA-N 0 3 316.489 4.160 20 0 DIADHN CCC1(CC)CCN(Cc2cc(C(=O)OC)sc2C)CC1 ZINC001307695507 1065568949 /nfs/dbraw/zinc/56/89/49/1065568949.db2.gz HEDBUJOHLCJDLQ-UHFFFAOYSA-N 0 3 309.475 4.245 20 0 DIADHN C[C@H]1CC(C)(C)CC[C@@H]1NCc1ncccc1Br ZINC001307704213 1065572638 /nfs/dbraw/zinc/57/26/38/1065572638.db2.gz ADFADLPXLREIGR-AAEUAGOBSA-N 0 3 311.267 4.149 20 0 DIADHN CC[C@@H](CN(CC)Cc1n[nH]c(C(C)(C)C)n1)c1ccccc1 ZINC001307701646 1065573308 /nfs/dbraw/zinc/57/33/08/1065573308.db2.gz FAALMKUDPRMRDA-HNNXBMFYSA-N 0 3 314.477 4.118 20 0 DIADHN c1ccc(C[C@@H]2CCCC[C@@H]2NCc2noc3c2CCCC3)cc1 ZINC001307706833 1065574617 /nfs/dbraw/zinc/57/46/17/1065574617.db2.gz IBZTZHSGIWVLPA-HKUYNNGSSA-N 0 3 324.468 4.445 20 0 DIADHN CC[C@@H]1[C@H](C)CCN1Cc1ccn(-c2ccc(Cl)cc2)n1 ZINC001307706970 1065575213 /nfs/dbraw/zinc/57/52/13/1065575213.db2.gz UBMWWCBDRUCTNM-CXAGYDPISA-N 0 3 303.837 4.146 20 0 DIADHN Clc1cccc2c1CC[C@@H]2N1CCC[C@H](OCC2CC2)C1 ZINC001307705519 1065575390 /nfs/dbraw/zinc/57/53/90/1065575390.db2.gz NDMDKLPFDKCPDK-KSSFIOAISA-N 0 3 305.849 4.218 20 0 DIADHN CC[C@@H](OCCN1CCC[C@@H](OCC2CC2)C1)c1ccccc1 ZINC001307707394 1065577096 /nfs/dbraw/zinc/57/70/96/1065577096.db2.gz WKIHYTQLDWYYNZ-WOJBJXKFSA-N 0 3 317.473 4.045 20 0 DIADHN CC(C)(NC[C@H]1CCC2(CCC2)O1)c1cccc(Cl)c1F ZINC001307708334 1065577685 /nfs/dbraw/zinc/57/76/85/1065577685.db2.gz DOKKUUWMOXYCEH-GFCCVEGCSA-N 0 3 311.828 4.406 20 0 DIADHN Cc1ccsc1[C@H](CO)NCc1ccccc1CC(C)C ZINC001307711218 1065579787 /nfs/dbraw/zinc/57/97/87/1065579787.db2.gz RUOKORYMYAFUIQ-KRWDZBQOSA-N 0 3 303.471 4.078 20 0 DIADHN Cc1ccc(C)c(NC(=O)CN2CCC[C@@H](C(C)(C)C)C2)c1 ZINC001307717213 1065583201 /nfs/dbraw/zinc/58/32/01/1065583201.db2.gz HPDAIVYFVNDJRC-MRXNPFEDSA-N 0 3 302.462 4.000 20 0 DIADHN F[C@@]1(c2ccccc2)CCN(CC2([C@H]3CCCCO3)CCC2)C1 ZINC001307721783 1065586343 /nfs/dbraw/zinc/58/63/43/1065586343.db2.gz QILVXVHAXKBJSB-QUCCMNQESA-N 0 3 317.448 4.297 20 0 DIADHN C[C@@H]1CN(c2ccccc2F)CCN1[C@@H]1CCCc2ccccc21 ZINC001307737030 1065592923 /nfs/dbraw/zinc/59/29/23/1065592923.db2.gz MSGDPWDZWQAANI-OXQOHEQNSA-N 0 3 324.443 4.414 20 0 DIADHN C[C@H]1CN(c2ccccc2F)CCN1[C@H]1CCCc2ccccc21 ZINC001307737027 1065594177 /nfs/dbraw/zinc/59/41/77/1065594177.db2.gz MSGDPWDZWQAANI-JXFKEZNVSA-N 0 3 324.443 4.414 20 0 DIADHN COC(=O)c1ccc(Cl)c(CN(C)[C@H]2CCC(C)(C)C2)c1 ZINC001307738908 1065597587 /nfs/dbraw/zinc/59/75/87/1065597587.db2.gz QMDYCLUBRSDERY-AWEZNQCLSA-N 0 3 309.837 4.137 20 0 DIADHN CCC1(CC)[C@H](OC)C[C@@H]1NCc1cc(-c2ccccc2)no1 ZINC001307744856 1065600733 /nfs/dbraw/zinc/60/07/33/1065600733.db2.gz UJDMCAQNLYAHQS-ZWKOTPCHSA-N 0 3 314.429 4.025 20 0 DIADHN CCO[C@@H]1C[C@H](NCc2ccc(F)cc2Cl)C12CCCC2 ZINC001307751255 1065604506 /nfs/dbraw/zinc/60/45/06/1065604506.db2.gz FRSOYGFMHKXDIH-JKSUJKDBSA-N 0 3 311.828 4.307 20 0 DIADHN CCO[C@H]1C[C@H](NCc2ccc(F)cc2Cl)C12CCCC2 ZINC001307751253 1065604817 /nfs/dbraw/zinc/60/48/17/1065604817.db2.gz FRSOYGFMHKXDIH-HOTGVXAUSA-N 0 3 311.828 4.307 20 0 DIADHN CC[C@@H]1CC[C@H](C)N(Cc2ccn(CCc3ccccc3)n2)C1 ZINC001307767957 1065612285 /nfs/dbraw/zinc/61/22/85/1065612285.db2.gz JQUFLQULTCOSPU-ZWKOTPCHSA-N 0 3 311.473 4.136 20 0 DIADHN Cc1cc(Cl)ccc1NC(=O)CN1CCCCCCCC1 ZINC001307775156 1065616373 /nfs/dbraw/zinc/61/63/73/1065616373.db2.gz YCMDNNYNOCVIOW-UHFFFAOYSA-N 0 3 308.853 4.243 20 0 DIADHN CC(C)C1(NCc2cc(Br)cc(F)c2F)CC1 ZINC001307788168 1065621161 /nfs/dbraw/zinc/62/11/61/1065621161.db2.gz JZYLCUVFWYRVTA-UHFFFAOYSA-N 0 3 304.178 4.006 20 0 DIADHN Cc1conc1CN[C@](C)(Cc1ccc(Cl)cc1)C(C)C ZINC001307793485 1065623691 /nfs/dbraw/zinc/62/36/91/1065623691.db2.gz ILCCDKCMRTUQDE-QGZVFWFLSA-N 0 3 306.837 4.383 20 0 DIADHN OC[C@@]1(F)CN(CCCC2CCCCC2)C[C@@H]1c1ccccc1 ZINC001307805592 1065630022 /nfs/dbraw/zinc/63/00/22/1065630022.db2.gz QRNRKRKPNAZRFN-UXHICEINSA-N 0 3 319.464 4.147 20 0 DIADHN C[C@@H](NC(=O)CN1CC[C@@H](C(C)(C)C)C[C@@H]1C)c1ccccc1 ZINC001307810021 1065632307 /nfs/dbraw/zinc/63/23/07/1065632307.db2.gz HKVKRZIRFZKEJN-LZLYRXPVSA-N 0 3 316.489 4.010 20 0 DIADHN Cc1cnccc1CN(C)[C@@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC001307822160 1065637398 /nfs/dbraw/zinc/63/73/98/1065637398.db2.gz SSNLJUCUTWYEOA-RTBURBONSA-N 0 3 310.441 4.068 20 0 DIADHN C[C@@H]1CN(C[C@H]2CCC3(CCCCCC3)O2)CCC1(F)F ZINC001307818917 1065637498 /nfs/dbraw/zinc/63/74/98/1065637498.db2.gz YXJDNAHPSPXTIC-HUUCEWRRSA-N 0 3 301.421 4.236 20 0 DIADHN CC(C)=CCN1CCC[C@@H]1Cc1ccccc1Br ZINC001307854619 1065654761 /nfs/dbraw/zinc/65/47/61/1065654761.db2.gz UMQLBRYMIDAZEF-OAHLLOKOSA-N 0 3 308.263 4.422 20 0 DIADHN Cc1cccnc1CN1CCC(Cc2c(F)cccc2F)CC1 ZINC001307834186 1065643452 /nfs/dbraw/zinc/64/34/52/1065643452.db2.gz JEILFZINJFKRCE-UHFFFAOYSA-N 0 3 316.395 4.123 20 0 DIADHN Cc1cnn(CCCCCN2CCc3cccc(Cl)c3C2)c1 ZINC001307831953 1065643686 /nfs/dbraw/zinc/64/36/86/1065643686.db2.gz GQKAQUWQYZCJDI-UHFFFAOYSA-N 0 3 317.864 4.074 20 0 DIADHN Fc1cccc(F)c1CC1CCN(CCC(F)(F)F)CC1 ZINC001307833600 1065644049 /nfs/dbraw/zinc/64/40/49/1065644049.db2.gz CFWROYIXVBAIIX-UHFFFAOYSA-N 0 3 307.306 4.172 20 0 DIADHN CC[C@H](N[C@@H]1CCCN(c2ccc(C)cc2)C1=O)c1ccccc1 ZINC001307848435 1065649679 /nfs/dbraw/zinc/64/96/79/1065649679.db2.gz HIRWDIAIIZWRNC-VQTJNVASSA-N 0 3 322.452 4.231 20 0 DIADHN CO[C@@](C)([C@H](C)NCc1cccc(Cl)c1Cl)C1CC1 ZINC001307845456 1065650341 /nfs/dbraw/zinc/65/03/41/1065650341.db2.gz POCIPHIDHSTFIJ-BONVTDFDSA-N 0 3 302.245 4.287 20 0 DIADHN Fc1cccc([C@@H]2C[C@H]2N2CCC[C@H](CC(F)(F)F)C2)c1 ZINC001307857857 1065655323 /nfs/dbraw/zinc/65/53/23/1065655323.db2.gz ZZKWZYZQJHXWJW-BYCMXARLSA-N 0 3 301.327 4.346 20 0 DIADHN Cc1ccc(NC(=O)[C@@H](C)N2CC[C@H](C3CCCC3)C2)c(C)c1 ZINC001307856713 1065656409 /nfs/dbraw/zinc/65/64/09/1065656409.db2.gz OAGLVHLRICEBGF-AEFFLSMTSA-N 0 3 314.473 4.143 20 0 DIADHN Cc1cc(F)ccc1CN1C[C@H](C(=O)OC(C)(C)C)CC[C@@H]1C ZINC001307856946 1065656511 /nfs/dbraw/zinc/65/65/11/1065656511.db2.gz LZQNEJFYKLKCRE-GOEBONIOSA-N 0 3 321.436 4.076 20 0 DIADHN C[C@H](c1cccc(Cl)c1F)N(C)C[C@@](C)(O)c1ccccc1 ZINC001307858642 1065656600 /nfs/dbraw/zinc/65/66/00/1065656600.db2.gz BRKWGWCFWYAJFA-FZKQIMNGSA-N 0 3 321.823 4.380 20 0 DIADHN C[C@@H]1CCN(C[C@H](O)c2ccc(Cl)cc2Cl)[C@H](C)C1 ZINC001307861698 1065657863 /nfs/dbraw/zinc/65/78/63/1065657863.db2.gz YNIMAWMLXPCHSJ-HFAKWTLXSA-N 0 3 302.245 4.147 20 0 DIADHN CCCCCCN1[C@H](C)CN(C(=O)OC(C)(C)C)[C@H](C)[C@H]1C ZINC001307870592 1065662595 /nfs/dbraw/zinc/66/25/95/1065662595.db2.gz UMWPIRPVGYXQRV-BZUAXINKSA-N 0 3 312.498 4.285 20 0 DIADHN Cc1csc(CN2CCC[C@@]2(C)Cc2ccccc2F)n1 ZINC001307872522 1065662740 /nfs/dbraw/zinc/66/27/40/1065662740.db2.gz OZGDGNGKNLWCSE-KRWDZBQOSA-N 0 3 304.434 4.188 20 0 DIADHN COc1ccc(Br)cc1C1(N[C@@H]2C=CCCC2)CC1 ZINC001307870713 1065663523 /nfs/dbraw/zinc/66/35/23/1065663523.db2.gz QJZKRAISURKGNW-CYBMUJFWSA-N 0 3 322.246 4.145 20 0 DIADHN C[C@H]1CN(CCOC2CCCCCC2)CC2(CCCCC2)O1 ZINC001307885010 1065666957 /nfs/dbraw/zinc/66/69/57/1065666957.db2.gz LRCGVHBMIAIHFY-KRWDZBQOSA-N 0 3 309.494 4.149 20 0 DIADHN Cc1c(Cl)cccc1NC(=O)CN1CCCC[C@@H]1C1CCC1 ZINC001307903035 1065673239 /nfs/dbraw/zinc/67/32/39/1065673239.db2.gz LRWWILXQESVNRB-QGZVFWFLSA-N 0 3 320.864 4.242 20 0 DIADHN Cc1c2cccc(C)c2oc1CN(C)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001307901679 1065674460 /nfs/dbraw/zinc/67/44/60/1065674460.db2.gz MTKNIUPJXLVJBG-AEFFLSMTSA-N 0 3 313.441 4.439 20 0 DIADHN c1ccc([C@@H]2CN(C[C@@H]3CCCC4(CCC4)O3)CCS2)cc1 ZINC001307904052 1065676010 /nfs/dbraw/zinc/67/60/10/1065676010.db2.gz JRKPDVMFOGRGAL-ROUUACIJSA-N 0 3 317.498 4.268 20 0 DIADHN Cc1cccc([C@H](O)CN2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC001307919635 1065681843 /nfs/dbraw/zinc/68/18/43/1065681843.db2.gz DCFRKLOGJZTRQA-IEBWSBKVSA-N 0 3 311.425 4.239 20 0 DIADHN CC[C@]1(Cc2ccccc2)CCCN1Cc1c(F)cncc1F ZINC001307918514 1065682728 /nfs/dbraw/zinc/68/27/28/1065682728.db2.gz JZGIOPMZBHVDOQ-LJQANCHMSA-N 0 3 316.395 4.347 20 0 DIADHN C[C@@H](c1ccc(Cl)c(Cl)c1)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC001307926986 1065684275 /nfs/dbraw/zinc/68/42/75/1065684275.db2.gz BYOIQFMPIXLKIH-AHLTXXRQSA-N 0 3 314.256 4.147 20 0 DIADHN O[C@H](CN1CCC[C@H](CCF)C1)c1ccc(Cl)cc1Cl ZINC001307932223 1065686456 /nfs/dbraw/zinc/68/64/56/1065686456.db2.gz WDMCRCDEDSOEMJ-IAQYHMDHSA-N 0 3 320.235 4.098 20 0 DIADHN O[C@H](CN1CCC[C@@H](CCF)C1)c1ccc(Cl)cc1Cl ZINC001307932224 1065686927 /nfs/dbraw/zinc/68/69/27/1065686927.db2.gz WDMCRCDEDSOEMJ-XHDPSFHLSA-N 0 3 320.235 4.098 20 0 DIADHN O=C(CN1CCC[C@@H]2CCCC[C@@H]21)Nc1ccc(Cl)c(F)c1 ZINC001307948360 1065694710 /nfs/dbraw/zinc/69/47/10/1065694710.db2.gz AFRZHQVJKJSWEW-LRDDRELGSA-N 0 3 324.827 4.072 20 0 DIADHN CC(C)c1ncc2c(n1)CCN(C[C@H](C)C1CCCCC1)C2 ZINC001307950110 1065695469 /nfs/dbraw/zinc/69/54/69/1065695469.db2.gz AWOSZVQURKCKLD-HNNXBMFYSA-N 0 3 301.478 4.175 20 0 DIADHN CC[C@@H]1CCC[C@H](C)N1CC(=O)Nc1ccc(SC)cc1 ZINC001307952815 1065697545 /nfs/dbraw/zinc/69/75/45/1065697545.db2.gz YECQSEIEZUNLPZ-DZGCQCFKSA-N 0 3 306.475 4.000 20 0 DIADHN CC(C)c1cccc(CN2C[C@@H]3C[C@H]2CN3Cc2ccccc2)c1 ZINC001307966610 1065701551 /nfs/dbraw/zinc/70/15/51/1065701551.db2.gz CATFBHNRRKNBLY-VXKWHMMOSA-N 0 3 320.480 4.269 20 0 DIADHN CC(C)c1ccccc1CNC(C)(C)C(=O)Nc1ccccc1 ZINC001307962408 1065703056 /nfs/dbraw/zinc/70/30/56/1065703056.db2.gz FMJNKUYDAOQBEB-UHFFFAOYSA-N 0 3 310.441 4.317 20 0 DIADHN CC1(C)Cc2n[nH]cc2CN(CCCc2ccccc2Cl)C1 ZINC001307969946 1065705239 /nfs/dbraw/zinc/70/52/39/1065705239.db2.gz QAHAMMIFMJPMCJ-UHFFFAOYSA-N 0 3 317.864 4.080 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2cc(C(=O)OC)sc2C)C1 ZINC001307973649 1065707059 /nfs/dbraw/zinc/70/70/59/1065707059.db2.gz HDJDFQMONOEALC-WSNITJDQSA-N 0 3 307.459 4.021 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2cc(C(=O)OC)sc2C)C1 ZINC001307973650 1065707100 /nfs/dbraw/zinc/70/71/00/1065707100.db2.gz HDJDFQMONOEALC-ZRUQZJFASA-N 0 3 307.459 4.021 20 0 DIADHN CCc1ccc(NC(=O)CN[C@@H](c2cccc(C)c2)C(C)C)cc1 ZINC001307989994 1065713631 /nfs/dbraw/zinc/71/36/31/1065713631.db2.gz PQBZOIWLLUTNFG-OAQYLSRUSA-N 0 3 324.468 4.483 20 0 DIADHN CC1(C)[C@@H](c2ccc(F)cc2)CCN1Cc1nc2ccccc2[nH]1 ZINC001307992044 1065715345 /nfs/dbraw/zinc/71/53/45/1065715345.db2.gz MNHMEGDECYBRNC-MRXNPFEDSA-N 0 3 323.415 4.470 20 0 DIADHN C[C@H](NCc1ccn(-c2ccccc2F)n1)[C@@]12C[C@@H]1CCCC2 ZINC001307998624 1065719286 /nfs/dbraw/zinc/71/92/86/1065719286.db2.gz RXIFEEJBBVZLBM-YZVOILCLSA-N 0 3 313.420 4.070 20 0 DIADHN CC[C@H](NCc1cccc2c1OCCO2)c1cc(C)ccc1C ZINC001308002157 1065719532 /nfs/dbraw/zinc/71/95/32/1065719532.db2.gz ZNXOHKXBSDNHDI-SFHVURJKSA-N 0 3 311.425 4.316 20 0 DIADHN COc1ccccc1[C@@H]1CN(C[C@H]2CCCCC2(C)C)CCO1 ZINC001308007683 1065720350 /nfs/dbraw/zinc/72/03/50/1065720350.db2.gz WNULAYHAUAQWPR-APWZRJJASA-N 0 3 317.473 4.285 20 0 DIADHN Cc1cccc([C@@H](O)CN2CCc3ccc(Cl)c(C)c3C2)c1 ZINC001308022258 1065727727 /nfs/dbraw/zinc/72/77/27/1065727727.db2.gz HLOIBFCULQLDCQ-IBGZPJMESA-N 0 3 315.844 4.049 20 0 DIADHN COC(=O)c1cc(CN2CC[C@@]2(C)C2CCCCC2)cs1 ZINC001308026032 1065730834 /nfs/dbraw/zinc/73/08/34/1065730834.db2.gz HQHOEBADSPMSJT-KRWDZBQOSA-N 0 3 307.459 4.079 20 0 DIADHN CC(C)(C)[C@H]1CSCCN1Cc1cnc(-c2ccccc2)o1 ZINC001308032848 1065732938 /nfs/dbraw/zinc/73/29/38/1065732938.db2.gz CQYMCJCIJZBVAH-MRXNPFEDSA-N 0 3 316.470 4.305 20 0 DIADHN FC[C@@H]1CCN(Cc2ccc(Oc3ccccc3)c(F)c2)C1 ZINC001308035219 1065733908 /nfs/dbraw/zinc/73/39/08/1065733908.db2.gz KECHOFLNUUNDRR-HNNXBMFYSA-N 0 3 303.352 4.409 20 0 DIADHN Cc1nsc(C)c1CN1CCCSC[C@@H]1c1ccccc1 ZINC001308039116 1065736218 /nfs/dbraw/zinc/73/62/18/1065736218.db2.gz DAUPUGPHJSTAMO-QGZVFWFLSA-N 0 3 318.511 4.440 20 0 DIADHN C[C@@H]1CN(Cc2ccn(-c3ccc(Cl)cc3)n2)C(C)(C)C1 ZINC001308042103 1065737675 /nfs/dbraw/zinc/73/76/75/1065737675.db2.gz LALOBVILLAMUES-ZDUSSCGKSA-N 0 3 303.837 4.146 20 0 DIADHN CC[C@H](c1ccc(F)cc1)N1CCN(CCCC2CC2)CC1 ZINC001308056737 1065744166 /nfs/dbraw/zinc/74/41/66/1065744166.db2.gz JPTQVNOMCXTZGD-LJQANCHMSA-N 0 3 304.453 4.085 20 0 DIADHN COc1ccc([C@H](C)NCc2c[nH]c(=O)c3ccccc23)cc1C ZINC001308060892 1065745670 /nfs/dbraw/zinc/74/56/70/1065745670.db2.gz BNOMKZCTJRRYAJ-AWEZNQCLSA-N 0 3 322.408 4.108 20 0 DIADHN Cc1nc(C2CCN(Cc3ccc(Cl)s3)CC2)[nH]c1C ZINC001308083480 1065755113 /nfs/dbraw/zinc/75/51/13/1065755113.db2.gz VAGTVYGDQLQMNI-UHFFFAOYSA-N 0 3 309.866 4.121 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(c2ncccn2)CC1 ZINC001308091469 1065760627 /nfs/dbraw/zinc/76/06/27/1065760627.db2.gz UCZHJQCZTNORMP-QGZVFWFLSA-N 0 3 315.848 4.461 20 0 DIADHN Cc1cc(CN2CC[C@@H](c3ccc(Cl)cc3)C2(C)C)no1 ZINC001308098375 1065761927 /nfs/dbraw/zinc/76/19/27/1065761927.db2.gz NHXYFSMRACFLJV-INIZCTEOSA-N 0 3 304.821 4.405 20 0 DIADHN C[C@@H](c1ccc(F)cc1F)N1CCC[C@@H](C2CCOCC2)C1 ZINC001308103891 1065764590 /nfs/dbraw/zinc/76/45/90/1065764590.db2.gz USHJVEWOFPBVIU-DZGCQCFKSA-N 0 3 309.400 4.164 20 0 DIADHN CC(C)c1ccc(CN2CCC[C@H]2C2OCCO2)c(C(C)C)c1 ZINC001308120408 1065769227 /nfs/dbraw/zinc/76/92/27/1065769227.db2.gz ARUNXDYBBIEFEY-IBGZPJMESA-N 0 3 317.473 4.271 20 0 DIADHN COc1ccc(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)cc1 ZINC001308116407 1065769631 /nfs/dbraw/zinc/76/96/31/1065769631.db2.gz DACVPLRYQINONG-OAQYLSRUSA-N 0 3 321.420 4.136 20 0 DIADHN CCC[C@H]1CCCN1Cc1coc(-c2ccccc2OC)n1 ZINC001308132838 1065773248 /nfs/dbraw/zinc/77/32/48/1065773248.db2.gz BULPFPUBFNEWSZ-HNNXBMFYSA-N 0 3 300.402 4.115 20 0 DIADHN CC[C@H](c1ccc(Cl)cc1)N1CCC(O)(C2CCC2)CC1 ZINC001308130206 1065774099 /nfs/dbraw/zinc/77/40/99/1065774099.db2.gz ZGVOBYTXZKKLSR-QGZVFWFLSA-N 0 3 307.865 4.418 20 0 DIADHN Cc1cc(C)cc(C2CCN([C@@H](C)c3cnc(C)cn3)CC2)c1 ZINC001308135307 1065776330 /nfs/dbraw/zinc/77/63/30/1065776330.db2.gz ILTADQPAJJZWCF-KRWDZBQOSA-N 0 3 309.457 4.342 20 0 DIADHN Cc1cccnc1[C@@H](C)NCCSc1cccc(Cl)c1 ZINC001308153879 1065783172 /nfs/dbraw/zinc/78/31/72/1065783172.db2.gz VGTBNHDYMWZHBA-CYBMUJFWSA-N 0 3 306.862 4.486 20 0 DIADHN ClC1(Cl)C[C@H]1CCN1CCO[C@H](c2ccccc2)CC1 ZINC001308160473 1065784366 /nfs/dbraw/zinc/78/43/66/1065784366.db2.gz LSQJOHDLEQFYOW-CABCVRRESA-N 0 3 314.256 4.034 20 0 DIADHN C[C@H]1CCCC[C@@H]1NCc1nc(Cl)ccc1Br ZINC001308182107 1065791953 /nfs/dbraw/zinc/79/19/53/1065791953.db2.gz NAQAZWHXWWKPPW-ONGXEEELSA-N 0 3 317.658 4.166 20 0 DIADHN Brc1ccc2oc(CNC3CCCCCC3)nc2c1 ZINC001308187445 1065797538 /nfs/dbraw/zinc/79/75/38/1065797538.db2.gz LCFNRJHVFZAQAR-UHFFFAOYSA-N 0 3 323.234 4.403 20 0 DIADHN CSc1ccc(CNCCCO[C@H](C)c2ccccc2)o1 ZINC001308186762 1065797622 /nfs/dbraw/zinc/79/76/22/1065797622.db2.gz RXBIOYSZVALUQX-CQSZACIVSA-N 0 3 305.443 4.259 20 0 DIADHN Fc1ccccc1OCCNCc1ccsc1C(F)(F)F ZINC001308189600 1065798989 /nfs/dbraw/zinc/79/89/89/1065798989.db2.gz XLVBRKKBKGBHOT-UHFFFAOYSA-N 0 3 319.323 4.075 20 0 DIADHN COCc1ccccc1CNCc1cc2ccccc2c(OC)c1 ZINC001308189736 1065799804 /nfs/dbraw/zinc/79/98/04/1065799804.db2.gz GGORDNLTPAVVKV-UHFFFAOYSA-N 0 3 321.420 4.285 20 0 DIADHN Cc1cnc(CNCC2(c3ccccc3)CCCCC2)s1 ZINC001308195546 1065802565 /nfs/dbraw/zinc/80/25/65/1065802565.db2.gz KQTBXLHFIXBFRA-UHFFFAOYSA-N 0 3 300.471 4.443 20 0 DIADHN Cc1cc(CNCc2ccc(NC(=O)C(C)C)cc2)c(C)s1 ZINC001308196022 1065803390 /nfs/dbraw/zinc/80/33/90/1065803390.db2.gz INHZKZINGWNXQC-UHFFFAOYSA-N 0 3 316.470 4.249 20 0 DIADHN Cc1cc(C)cc(-c2ccc(CNC[C@H](O)c3ccco3)cc2)c1 ZINC001308197859 1065806918 /nfs/dbraw/zinc/80/69/18/1065806918.db2.gz ZUFINQIFBIAEHW-FQEVSTJZSA-N 0 3 321.420 4.387 20 0 DIADHN Cc1ccoc1CN[C@@H](C)COc1ccc(C(C)(C)C)cc1 ZINC001308223002 1065829353 /nfs/dbraw/zinc/82/93/53/1065829353.db2.gz IUTAGHKVYIHWCU-HNNXBMFYSA-N 0 3 301.430 4.443 20 0 DIADHN COc1cc(CNCc2ccc([C@@H](C)O)cc2)cc2ccccc21 ZINC001308225126 1065830349 /nfs/dbraw/zinc/83/03/49/1065830349.db2.gz UVLDTNIGMXYSFQ-OAHLLOKOSA-N 0 3 321.420 4.192 20 0 DIADHN CO[C@@H](CNCc1cc(Cl)ccc1Cl)c1ccccc1 ZINC001308223332 1065830920 /nfs/dbraw/zinc/83/09/20/1065830920.db2.gz OPPGROYDYHUIGD-INIZCTEOSA-N 0 3 310.224 4.471 20 0 DIADHN CCCCOc1ccc(CNCc2ccc([C@H](C)O)cc2)cc1 ZINC001308224633 1065831355 /nfs/dbraw/zinc/83/13/55/1065831355.db2.gz MILCVUKNIAEZKF-INIZCTEOSA-N 0 3 313.441 4.209 20 0 DIADHN COc1ccc(CNCc2ccc(OC(C)(C)C)cc2)c(C)c1 ZINC001308227847 1065833507 /nfs/dbraw/zinc/83/35/07/1065833507.db2.gz UWCLGROIZUTVSA-UHFFFAOYSA-N 0 3 313.441 4.471 20 0 DIADHN CC(C)c1nc(CN[C@@H](C)COc2ccccc2)c2ccccn21 ZINC001308228912 1065836957 /nfs/dbraw/zinc/83/69/57/1065836957.db2.gz LDWCRXIXJXUNSG-INIZCTEOSA-N 0 3 323.440 4.015 20 0 DIADHN Cc1ccc(CNCCN2c3ccccc3C[C@@H]2C)c(Cl)c1 ZINC001308233265 1065840457 /nfs/dbraw/zinc/84/04/57/1065840457.db2.gz GDEBCGZKCBPKSX-HNNXBMFYSA-N 0 3 314.860 4.189 20 0 DIADHN Cc1c(Cl)cccc1CNCc1ccc(-n2ccnc2)cc1 ZINC001308233294 1065841273 /nfs/dbraw/zinc/84/12/73/1065841273.db2.gz APBGOYQCPIAQOS-UHFFFAOYSA-N 0 3 311.816 4.124 20 0 DIADHN COc1ccccc1C(C)(C)CNCc1ncc(C(C)C)s1 ZINC001308234733 1065841795 /nfs/dbraw/zinc/84/17/95/1065841795.db2.gz WTCTWGHOWROSCY-UHFFFAOYSA-N 0 3 318.486 4.343 20 0 DIADHN Fc1ccc2c(c1)CC[C@@H]2NCc1ccc(OCC(F)F)cc1 ZINC001308243088 1065849562 /nfs/dbraw/zinc/84/95/62/1065849562.db2.gz IITJXMACHXMWKM-KRWDZBQOSA-N 0 3 321.342 4.247 20 0 DIADHN Fc1cccc(CCCNCc2c(Cl)cncc2Cl)c1 ZINC001308248189 1065852384 /nfs/dbraw/zinc/85/23/84/1065852384.db2.gz XHUYUKNSODCBHZ-UHFFFAOYSA-N 0 3 313.203 4.250 20 0 DIADHN Cc1noc(C)c1CCNCc1ccc(-c2ccccc2F)o1 ZINC001308249915 1065853987 /nfs/dbraw/zinc/85/39/87/1065853987.db2.gz FBHCDWWLOOSQSA-UHFFFAOYSA-N 0 3 314.360 4.023 20 0 DIADHN CCc1cccc2cc(CN[C@H](C)CC(=O)OC(C)(C)C)oc21 ZINC001308251085 1065854620 /nfs/dbraw/zinc/85/46/20/1065854620.db2.gz IDUDAHSBANYYST-CYBMUJFWSA-N 0 3 317.429 4.205 20 0 DIADHN Cc1cc(CNCc2cccc(OCC(F)F)c2)c(C)s1 ZINC001308254052 1065856565 /nfs/dbraw/zinc/85/65/65/1065856565.db2.gz BPOJPUGRBCKBQY-UHFFFAOYSA-N 0 3 311.397 4.299 20 0 DIADHN Fc1cccc(N2CCC[C@@H](NCc3ccsc3Cl)C2)c1 ZINC001308265981 1065866186 /nfs/dbraw/zinc/86/61/86/1065866186.db2.gz HZHWIZXTZQJPAT-CQSZACIVSA-N 0 3 324.852 4.299 20 0 DIADHN c1oc(-c2ccccc2)nc1CN[C@H]1CCCc2ccccc2C1 ZINC001308274047 1065873321 /nfs/dbraw/zinc/87/33/21/1065873321.db2.gz DQGBNQHMMDUNSN-IBGZPJMESA-N 0 3 318.420 4.379 20 0 DIADHN COc1ccc(Cl)cc1CNC[C@@H](C)Oc1ccc(C)cc1 ZINC001308286682 1065884865 /nfs/dbraw/zinc/88/48/65/1065884865.db2.gz PJXLLUAYZMQBGZ-CQSZACIVSA-N 0 3 319.832 4.214 20 0 DIADHN CC(C)CC(C)(C)CNCc1ncc(Br)cc1F ZINC001308301657 1065896404 /nfs/dbraw/zinc/89/64/04/1065896404.db2.gz DCJMDBGOGNCFNA-UHFFFAOYSA-N 0 3 317.246 4.145 20 0 DIADHN C[C@@]1(CNCc2cccc(Cl)c2Cl)CCCC[C@@H]1O ZINC001308302221 1065897149 /nfs/dbraw/zinc/89/71/49/1065897149.db2.gz WKAHIQLJIPXNBK-ZFWWWQNUSA-N 0 3 302.245 4.024 20 0 DIADHN CC[C@@H](CNCc1ccoc1)Oc1ccccc1C(F)(F)F ZINC001308300804 1065897180 /nfs/dbraw/zinc/89/71/80/1065897180.db2.gz RWKUANVLFOGZNI-ZDUSSCGKSA-N 0 3 313.319 4.246 20 0 DIADHN CC[C@H](CNCc1ccoc1)Oc1ccccc1C(F)(F)F ZINC001308300803 1065897576 /nfs/dbraw/zinc/89/75/76/1065897576.db2.gz RWKUANVLFOGZNI-CYBMUJFWSA-N 0 3 313.319 4.246 20 0 DIADHN Cc1cc(CNC(C)(C)Cc2ccc(Cl)cc2Cl)on1 ZINC001308303835 1065898763 /nfs/dbraw/zinc/89/87/63/1065898763.db2.gz PDVRPOOGUBUSOK-UHFFFAOYSA-N 0 3 313.228 4.401 20 0 DIADHN c1c(CNC2CCC3(CCCCC3)CC2)nnn1-c1ccccc1 ZINC001308307569 1065900851 /nfs/dbraw/zinc/90/08/51/1065900851.db2.gz CRZKTUGNAZZATP-UHFFFAOYSA-N 0 3 324.472 4.250 20 0 DIADHN Cc1ccc(CNc2ccccc2CN2CCSCC2)cc1 ZINC001308311693 1065905017 /nfs/dbraw/zinc/90/50/17/1065905017.db2.gz GGYHKRYIPHIZCJ-UHFFFAOYSA-N 0 3 312.482 4.156 20 0 DIADHN CCOc1ccc(Cl)cc1CCNCc1nc(C)c(C)s1 ZINC001308334658 1065921373 /nfs/dbraw/zinc/92/13/73/1065921373.db2.gz HSVQLTVLWWIYFI-UHFFFAOYSA-N 0 3 324.877 4.144 20 0 DIADHN Fc1ccc(C2(CNCc3cccc(F)c3F)CC2)c(F)c1 ZINC001308335019 1065921653 /nfs/dbraw/zinc/92/16/53/1065921653.db2.gz DOLPNPWFECZCDX-UHFFFAOYSA-N 0 3 309.306 4.064 20 0 DIADHN Cc1ccoc1CNCCn1c2ccccc2c2ccccc21 ZINC001308341719 1065926071 /nfs/dbraw/zinc/92/60/71/1065926071.db2.gz BPPZTSRWJRZUOE-UHFFFAOYSA-N 0 3 304.393 4.486 20 0 DIADHN CC[C@H](NCc1cccc(C2CCCC2)c1)[C@@H](O)C(F)(F)F ZINC001308357145 1065937549 /nfs/dbraw/zinc/93/75/49/1065937549.db2.gz PLPQWIZOLVQFGG-JKSUJKDBSA-N 0 3 315.379 4.136 20 0 DIADHN C[C@@H](NCc1cc(F)cc(F)c1)c1ccc(-n2ccnc2)cc1 ZINC001308361083 1065939267 /nfs/dbraw/zinc/93/92/67/1065939267.db2.gz VYFKREDHPBOKPD-CYBMUJFWSA-N 0 3 313.351 4.001 20 0 DIADHN Cc1cc(C)c(/C=C\CN[C@@H]2CN(C)Cc3ccccc32)c(C)c1 ZINC001308361962 1065940304 /nfs/dbraw/zinc/94/03/04/1065940304.db2.gz DZYYNGREWPQDNM-AMZHIVGSSA-N 0 3 320.480 4.401 20 0 DIADHN COC(=O)c1ccc(CNCc2c(C)oc3ccccc32)cc1C ZINC001308362619 1065941289 /nfs/dbraw/zinc/94/12/89/1065941289.db2.gz OEIUETCMGRRHAC-UHFFFAOYSA-N 0 3 323.392 4.126 20 0 DIADHN Cc1ncoc1CNCCCCCCOc1ccc(Cl)cc1 ZINC001308377840 1065951231 /nfs/dbraw/zinc/95/12/31/1065951231.db2.gz ASDFYJIFBLNYSF-UHFFFAOYSA-N 0 3 322.836 4.365 20 0 DIADHN Cc1cc(F)ccc1-c1ccc(CN[C@H]2CSC2(C)C)o1 ZINC001308381688 1065954140 /nfs/dbraw/zinc/95/41/40/1065954140.db2.gz OQBWIBAHRYQHJN-INIZCTEOSA-N 0 3 305.418 4.378 20 0 DIADHN C[C@@H]1CC[C@@H](CNCc2c(F)cc(Br)cc2F)C1 ZINC001308392101 1065959056 /nfs/dbraw/zinc/95/90/56/1065959056.db2.gz IJUOOXHJQSEGFS-NXEZZACHSA-N 0 3 318.205 4.253 20 0 DIADHN CC(C)(C)c1nn(-c2ccccc2)cc1CNC/C=C/C1CC1 ZINC001308415260 1065973241 /nfs/dbraw/zinc/97/32/41/1065973241.db2.gz VGXWWJGJYNLLEB-BQYQJAHWSA-N 0 3 309.457 4.226 20 0 DIADHN Cc1ccc(CNC[C@@H](O)c2ccc(Cl)cc2)c(Cl)c1 ZINC001308418976 1065976838 /nfs/dbraw/zinc/97/68/38/1065976838.db2.gz ZAOOKHYWJFKPGZ-MRXNPFEDSA-N 0 3 310.224 4.125 20 0 DIADHN Cc1nn(-c2cc(C)ccc2C)c(Cl)c1CNCC[C@H](C)F ZINC001308420698 1065976934 /nfs/dbraw/zinc/97/69/34/1065976934.db2.gz KFAVDYONQCVCCH-ZDUSSCGKSA-N 0 3 323.843 4.289 20 0 DIADHN C[C@@H]1Cc2cc(CN[C@@H]3CCCc4c(F)cccc43)ccc2O1 ZINC001308420704 1065977372 /nfs/dbraw/zinc/97/73/72/1065977372.db2.gz KHGZLDVUGBEDCJ-BFUOFWGJSA-N 0 3 311.400 4.316 20 0 DIADHN Cn1cnc(CNc2ccc3nc(CC4CCCCC4)[nH]c3c2)c1 ZINC001308430706 1065982547 /nfs/dbraw/zinc/98/25/47/1065982547.db2.gz QTVGRXCNIOPLEZ-UHFFFAOYSA-N 0 3 323.444 4.031 20 0 DIADHN CO[C@@H]1C[C@H](NCc2ccc(Cl)c(C)c2Cl)C12CCC2 ZINC001308430206 1065983747 /nfs/dbraw/zinc/98/37/47/1065983747.db2.gz CAMBREHDSCUVCH-UONOGXRCSA-N 0 3 314.256 4.349 20 0 DIADHN COCC[C@H](C)CNCc1c(Cl)ccc(Cl)c1Cl ZINC001308436269 1065986501 /nfs/dbraw/zinc/98/65/01/1065986501.db2.gz IPXUPVPISKQDHL-VIFPVBQESA-N 0 3 310.652 4.409 20 0 DIADHN Fc1cccc(Br)c1CNC[C@@H]1C[C@H]1C1CCC1 ZINC001308442941 1065991230 /nfs/dbraw/zinc/99/12/30/1065991230.db2.gz BCAAXPZMIWZZRN-RYUDHWBXSA-N 0 3 312.226 4.114 20 0 DIADHN Cc1cccc(C2(NCc3cnn(-c4ccccc4)c3)CCC2)c1 ZINC001308446032 1065994037 /nfs/dbraw/zinc/99/40/37/1065994037.db2.gz HZTLDSSEOQNNDX-UHFFFAOYSA-N 0 3 317.436 4.350 20 0 DIADHN Cc1ccccc1C1(NCc2ccc3c(c2)OCCCCO3)CC1 ZINC001308445920 1065994391 /nfs/dbraw/zinc/99/43/91/1065994391.db2.gz UFKVMIGTXMEYEU-UHFFFAOYSA-N 0 3 323.436 4.325 20 0 DIADHN Cc1ccc([C@@H](O)[C@@H](C)NCc2ccc(C)cc2Cl)cc1 ZINC001308446592 1065994460 /nfs/dbraw/zinc/99/44/60/1065994460.db2.gz ABVDFSHRTYKVGL-KDOFPFPSSA-N 0 3 303.833 4.169 20 0 DIADHN Brc1c2ccccc2oc1CNCCCC1CC1 ZINC001308448698 1065996001 /nfs/dbraw/zinc/99/60/01/1065996001.db2.gz KKRRNVQZYGQFJS-UHFFFAOYSA-N 0 3 308.219 4.475 20 0 DIADHN CC[C@@H](OCCNCc1cnc(Cl)c(F)c1)c1ccccc1 ZINC001308455190 1065998975 /nfs/dbraw/zinc/99/89/75/1065998975.db2.gz FHBVWRIKUGRYKH-MRXNPFEDSA-N 0 3 322.811 4.132 20 0 DIADHN CC[C@H](OCCNCc1cccc(C)c1F)c1ccccc1 ZINC001308455380 1065999094 /nfs/dbraw/zinc/99/90/94/1065999094.db2.gz IMBYKKXBCVGMGE-SFHVURJKSA-N 0 3 301.405 4.392 20 0 DIADHN Cc1ccc(C(C)(C)CNCc2c(F)cccc2Cl)nc1 ZINC001308465731 1066006997 /nfs/dbraw/zinc/00/69/97/1066006997.db2.gz HXBZDOHCZNJELY-UHFFFAOYSA-N 0 3 306.812 4.250 20 0 DIADHN COc1ccc(-c2nc(CNC3(CC(C)C)CC3)cs2)cc1 ZINC001308474011 1066013887 /nfs/dbraw/zinc/01/38/87/1066013887.db2.gz YAUOFRKBRIWCMH-UHFFFAOYSA-N 0 3 316.470 4.487 20 0 DIADHN Cc1cc(C)c(CN[C@@H](c2ccccc2)[C@H](O)C(C)C)cc1C ZINC001308476277 1066015386 /nfs/dbraw/zinc/01/53/86/1066015386.db2.gz MZWKBLHMODIPTQ-LEWJYISDSA-N 0 3 311.469 4.460 20 0 DIADHN CO[C@H](CNCc1ccc(-c2ccc(F)cc2)o1)C1CCCC1 ZINC001308476619 1066015554 /nfs/dbraw/zinc/01/55/54/1066015554.db2.gz POKSYFLAXUZGGL-LJQANCHMSA-N 0 3 317.404 4.381 20 0 DIADHN CO[C@@H](CNCc1ccccc1OC(C)C)C1CCCCC1 ZINC001308478357 1066016690 /nfs/dbraw/zinc/01/66/90/1066016690.db2.gz WJKROZVBECCTRE-IBGZPJMESA-N 0 3 305.462 4.159 20 0 DIADHN CC(C)Cc1ncc(CNC2CC(Nc3ccccc3)C2)s1 ZINC001308511053 1066038145 /nfs/dbraw/zinc/03/81/45/1066038145.db2.gz HEXDDRQYSOQDFK-UHFFFAOYSA-N 0 3 315.486 4.074 20 0 DIADHN CCSc1ccccc1CC[NH2+]Cc1cc([O-])cc(Cl)c1 ZINC001308512389 1066038953 /nfs/dbraw/zinc/03/89/53/1066038953.db2.gz GFAWQJZLNYWOSD-UHFFFAOYSA-N 0 3 321.873 4.490 20 0 DIADHN Fc1ccc(F)c(CNC[C@@H]2[C@@H](c3ccccc3)C2(F)F)c1 ZINC001308514882 1066040244 /nfs/dbraw/zinc/04/02/44/1066040244.db2.gz YCYQJQGLRFCNBE-GDBMZVCRSA-N 0 3 309.306 4.103 20 0 DIADHN Cc1ccc2cc(CNCc3ccc4c(c3)C[C@@H](C)O4)[nH]c2c1 ZINC001308514230 1066040895 /nfs/dbraw/zinc/04/08/95/1066040895.db2.gz OTJGBDIKZJATKG-CQSZACIVSA-N 0 3 306.409 4.090 20 0 DIADHN Fc1cccc(C2(CNCc3c(F)cccc3F)CCC2)c1 ZINC001308514734 1066041365 /nfs/dbraw/zinc/04/13/65/1066041365.db2.gz QTIXMJJQGFTDEZ-UHFFFAOYSA-N 0 3 305.343 4.315 20 0 DIADHN CCOc1c(Cl)cccc1CNC[C@H]1CCC2(CCC2)CO1 ZINC001308522076 1066043525 /nfs/dbraw/zinc/04/35/25/1066043525.db2.gz ORVQZPDKEMAVDJ-OAHLLOKOSA-N 0 3 323.864 4.178 20 0 DIADHN CCCCn1nc(C)c(CNC[C@@]23C[C@@H]2CCCC3)c1Cl ZINC001308522427 1066043982 /nfs/dbraw/zinc/04/39/82/1066043982.db2.gz VBWCHTUIHSESLA-WMLDXEAASA-N 0 3 309.885 4.315 20 0 DIADHN Cn1nc(CNC2CCC3(CCCCC3)CC2)cc1C1CC1 ZINC001308531882 1066051311 /nfs/dbraw/zinc/05/13/11/1066051311.db2.gz CTGFBFVQIPTKEX-UHFFFAOYSA-N 0 3 301.478 4.280 20 0 DIADHN COc1ccc(F)c(CN[C@H]2C[C@H](c3ccc(F)cc3F)C2)c1 ZINC001308534469 1066053078 /nfs/dbraw/zinc/05/30/78/1066053078.db2.gz ZNSIJXSZBLFDEC-YEORSEQZSA-N 0 3 321.342 4.148 20 0 DIADHN Cc1c(Cl)cccc1CN[C@H](C)c1ccc2c(c1)CCO2 ZINC001308537397 1066054196 /nfs/dbraw/zinc/05/41/96/1066054196.db2.gz PORWFOXFFYGGLU-CYBMUJFWSA-N 0 3 301.817 4.434 20 0 DIADHN Cc1c(Cl)ccc(CNC[C@@]2(C)CCCCO2)c1Cl ZINC001308538487 1066056141 /nfs/dbraw/zinc/05/61/41/1066056141.db2.gz LXQPOADEQURXHU-OAHLLOKOSA-N 0 3 302.245 4.351 20 0 DIADHN CCOc1cc(CNC2(C)C(C)(C)C2(C)C)c(F)cc1OC ZINC001308543042 1066059235 /nfs/dbraw/zinc/05/92/35/1066059235.db2.gz WGQRXZPVQDCTFK-UHFFFAOYSA-N 0 3 309.425 4.147 20 0 DIADHN C[C@H](NCc1cc2ccccc2[nH]1)c1cc(F)ccc1N(C)C ZINC001308554158 1066065537 /nfs/dbraw/zinc/06/55/37/1066065537.db2.gz FVCSPDBNDVBWPL-ZDUSSCGKSA-N 0 3 311.404 4.224 20 0 DIADHN c1cc(CN2CCCC3(C2)CCCCCC3)n(CC2CC2)n1 ZINC001308559149 1066068956 /nfs/dbraw/zinc/06/89/56/1066068956.db2.gz BXZBGSKECKZZKC-UHFFFAOYSA-N 0 3 301.478 4.230 20 0 DIADHN CCCn1cncc1CN1CCC[C@H](Cc2ccc(C)cc2)C1 ZINC001308563288 1066072851 /nfs/dbraw/zinc/07/28/51/1066072851.db2.gz XDTUZRHYXLAYNJ-LJQANCHMSA-N 0 3 311.473 4.056 20 0 DIADHN CO[C@@H](C)CN(Cc1ccc(Oc2ccccc2)o1)C(C)C ZINC001308567724 1066077394 /nfs/dbraw/zinc/07/73/94/1066077394.db2.gz NZZDMFBUKFRETH-HNNXBMFYSA-N 0 3 303.402 4.317 20 0 DIADHN CCCCC[C@H]1CCCN1Cc1cc(C(=O)OC)c(C(C)C)[nH]1 ZINC001308568583 1066077567 /nfs/dbraw/zinc/07/75/67/1066077567.db2.gz AFNBPVIGRJUWHL-INIZCTEOSA-N 0 3 320.477 4.469 20 0 DIADHN CCCN(Cc1cccc(OC)c1F)[C@H]1C[C@H](OCC)C1(C)C ZINC001308570886 1066082262 /nfs/dbraw/zinc/08/22/62/1066082262.db2.gz PFVUVLJBCNXJPT-IRXDYDNUSA-N 0 3 323.452 4.250 20 0 DIADHN C[C@@H]1COCCCN1Cc1cccc(Oc2ccc(F)cc2)c1 ZINC001308572394 1066083015 /nfs/dbraw/zinc/08/30/15/1066083015.db2.gz BNKZGCKOEPFDEK-OAHLLOKOSA-N 0 3 315.388 4.229 20 0 DIADHN CCOc1c(Cl)cccc1CN(C)[C@H](C)CCSC ZINC001308588369 1066100841 /nfs/dbraw/zinc/10/08/41/1066100841.db2.gz MPDPWSDFDAFAKP-GFCCVEGCSA-N 0 3 301.883 4.312 20 0 DIADHN CSc1ccccc1NC(=O)CN(C)C[C@H]1CCCC[C@@H]1C ZINC001308572166 1066084982 /nfs/dbraw/zinc/08/49/82/1066084982.db2.gz ZGYBVDGFCLDIAF-LSDHHAIUSA-N 0 3 320.502 4.105 20 0 DIADHN COc1cc(CN2CCC[C@H](C(C)C)CC2)c(Cl)cc1O ZINC001308573420 1066087196 /nfs/dbraw/zinc/08/71/96/1066087196.db2.gz GORGTSWXRQLEQJ-ZDUSSCGKSA-N 0 3 311.853 4.312 20 0 DIADHN CCOc1cc(C[N@@H+]2CCC[C@H](CC)CC2)cc(Cl)c1[O-] ZINC001308573338 1066087768 /nfs/dbraw/zinc/08/77/68/1066087768.db2.gz NMDZPKVHXAGDNU-ZDUSSCGKSA-N 0 3 311.853 4.456 20 0 DIADHN CCOc1cc(C[N@H+]2CCC[C@H](CC)CC2)cc(Cl)c1[O-] ZINC001308573338 1066087780 /nfs/dbraw/zinc/08/77/80/1066087780.db2.gz NMDZPKVHXAGDNU-ZDUSSCGKSA-N 0 3 311.853 4.456 20 0 DIADHN Oc1ccc(C2=CCN(CCc3cccc(Cl)c3)CC2)cc1 ZINC001308577484 1066091787 /nfs/dbraw/zinc/09/17/87/1066091787.db2.gz NUUIIDORVPOCFK-UHFFFAOYSA-N 0 3 313.828 4.377 20 0 DIADHN Cc1ccc([C@@H]2C[C@@H](C)CCN2Cc2cccc3c2OCC3)o1 ZINC001308577832 1066094383 /nfs/dbraw/zinc/09/43/83/1066094383.db2.gz MCVMZGBVIIRPPP-KSSFIOAISA-N 0 3 311.425 4.496 20 0 DIADHN Cc1sc(CN2CCC[C@@H]2C2CC2)cc1Br ZINC001308582193 1066098995 /nfs/dbraw/zinc/09/89/95/1066098995.db2.gz BUAXPCZCAPIQMK-CYBMUJFWSA-N 0 3 300.265 4.193 20 0 DIADHN COc1cc(CN2CCC[C@@H](C)CC2)cc(C(F)(F)F)c1 ZINC001308590109 1066102603 /nfs/dbraw/zinc/10/26/03/1066102603.db2.gz PHSYALKTRQDGET-GFCCVEGCSA-N 0 3 301.352 4.336 20 0 DIADHN CN(CCC1CCCCC1)Cc1ccc(Br)o1 ZINC001308600364 1066110776 /nfs/dbraw/zinc/11/07/76/1066110776.db2.gz RNWUTYSPMBHYDO-UHFFFAOYSA-N 0 3 300.240 4.444 20 0 DIADHN C[C@@H]1CN(C[C@@H]2C[C@H]2c2ccccc2)[C@@H](c2ccccc2)CO1 ZINC001308601182 1066111910 /nfs/dbraw/zinc/11/19/10/1066111910.db2.gz QMJXULPHGPBJOZ-MBPVOVBZSA-N 0 3 307.437 4.252 20 0 DIADHN COc1cc(CN2CCC[C@@](C)(c3ccccc3)C2)ccc1F ZINC001308606818 1066112868 /nfs/dbraw/zinc/11/28/68/1066112868.db2.gz DCRGGIFWNHZDQH-HXUWFJFHSA-N 0 3 313.416 4.388 20 0 DIADHN Cc1ccc(CN(C)Cc2cccc(N(C)C)c2)cc1Cl ZINC001308607196 1066114356 /nfs/dbraw/zinc/11/43/56/1066114356.db2.gz KYVQQTSLUGCHLZ-UHFFFAOYSA-N 0 3 302.849 4.346 20 0 DIADHN Cc1cc(CN(C)CCCOc2ccc(Cl)cc2)cnc1F ZINC001308611037 1066118228 /nfs/dbraw/zinc/11/82/28/1066118228.db2.gz CQCQNZWWVXLNMJ-UHFFFAOYSA-N 0 3 322.811 4.083 20 0 DIADHN CC[C@@H]1CCCN(Cc2cccc(F)c2OCC(F)(F)F)C1 ZINC001308620411 1066122372 /nfs/dbraw/zinc/12/23/72/1066122372.db2.gz UYILNZRRKZSGFP-GFCCVEGCSA-N 0 3 319.342 4.389 20 0 DIADHN CC[C@@H](C)N(CCc1ccccc1F)Cc1cnc(OC)s1 ZINC001308623033 1066122975 /nfs/dbraw/zinc/12/29/75/1066122975.db2.gz BIVPIQUCIBERRY-CYBMUJFWSA-N 0 3 322.449 4.134 20 0 DIADHN COCC1(CN(Cc2ccco2)Cc2ccc(C)s2)CCC1 ZINC001308628607 1066124426 /nfs/dbraw/zinc/12/44/26/1066124426.db2.gz XSJOSLJXQLWEBF-UHFFFAOYSA-N 0 3 319.470 4.468 20 0 DIADHN Cc1cc(Cl)cc(CN(Cc2ccccc2)C[C@H](C)O)c1 ZINC001308626479 1066124797 /nfs/dbraw/zinc/12/47/97/1066124797.db2.gz CQROPVXFYWUDKO-HNNXBMFYSA-N 0 3 303.833 4.031 20 0 DIADHN Cc1cc(Cl)cc(CN(Cc2ccccc2)C[C@@H](C)O)c1 ZINC001308626480 1066125200 /nfs/dbraw/zinc/12/52/00/1066125200.db2.gz CQROPVXFYWUDKO-OAHLLOKOSA-N 0 3 303.833 4.031 20 0 DIADHN CCC1CCC(N(Cc2cc(C(F)(F)F)n[nH]2)C2CC2)CC1 ZINC001308630699 1066126616 /nfs/dbraw/zinc/12/66/16/1066126616.db2.gz LXCCQJCZUZQNFJ-UHFFFAOYSA-N 0 3 315.383 4.362 20 0 DIADHN COc1ccc(CN2CC[C@H](c3ccc(Cl)cc3)C2)c(F)c1 ZINC001308632672 1066127540 /nfs/dbraw/zinc/12/75/40/1066127540.db2.gz BJQLQLJCUOPHBV-AWEZNQCLSA-N 0 3 319.807 4.477 20 0 DIADHN C[C@@H]1CCN(Cc2cccnc2Br)[C@H]2CCCC[C@H]12 ZINC001308630848 1066127857 /nfs/dbraw/zinc/12/78/57/1066127857.db2.gz NDAASSXKLSINID-YUELXQCFSA-N 0 3 323.278 4.245 20 0 DIADHN C[C@H]1CN(CCC2CCCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001308633309 1066128636 /nfs/dbraw/zinc/12/86/36/1066128636.db2.gz JJBRCVHRIOQJSA-HOTGVXAUSA-N 0 3 324.509 4.287 20 0 DIADHN CC(C)Cn1nccc1CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC001308633319 1066129028 /nfs/dbraw/zinc/12/90/28/1066129028.db2.gz JSGGPKBEMTWUJA-MRXNPFEDSA-N 0 3 317.864 4.182 20 0 DIADHN C[C@@H]1CN(CCC2CCCCC2)C[C@H](C)N1C(=O)OC(C)(C)C ZINC001308633311 1066129044 /nfs/dbraw/zinc/12/90/44/1066129044.db2.gz JJBRCVHRIOQJSA-IYBDPMFKSA-N 0 3 324.509 4.287 20 0 DIADHN Cc1ccnc(CN2[C@H](C)C[C@@H]3CCCC[C@H]32)c1Br ZINC001308634669 1066130623 /nfs/dbraw/zinc/13/06/23/1066130623.db2.gz NGBDTHAPMDGNCB-VNHYZAJKSA-N 0 3 323.278 4.306 20 0 DIADHN CCSc1cccc(CN2CC[C@H](C(C)(C)C)[C@H](O)C2)c1 ZINC001308651644 1066138140 /nfs/dbraw/zinc/13/81/40/1066138140.db2.gz ADZZFRGNTHZCNL-DLBZAZTESA-N 0 3 307.503 4.028 20 0 DIADHN Cc1ccc(OCCN(C)Cc2cc(C)nc3c2CCCC3)cc1 ZINC001308654136 1066139539 /nfs/dbraw/zinc/13/95/39/1066139539.db2.gz VXTFUCHSRYEVDM-UHFFFAOYSA-N 0 3 324.468 4.088 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1ccc(-c2cc[nH]n2)o1 ZINC001308666394 1066144936 /nfs/dbraw/zinc/14/49/36/1066144936.db2.gz OBMTZKSHZCUZPM-MRXNPFEDSA-N 0 3 307.397 4.007 20 0 DIADHN CC[C@@H]1Cc2ccccc2CN1Cc1ccc(N(C)C(C)C)nc1 ZINC001308666569 1066146103 /nfs/dbraw/zinc/14/61/03/1066146103.db2.gz SGOATSXLQUQJBJ-HXUWFJFHSA-N 0 3 323.484 4.263 20 0 DIADHN CCCCCC[C@H](C)N[C@@H](CC(N)=O)c1ccccc1Cl ZINC001308673472 1066147911 /nfs/dbraw/zinc/14/79/11/1066147911.db2.gz VTGMQSFEQUDFKB-BBRMVZONSA-N 0 3 310.869 4.205 20 0 DIADHN C[C@H]1CCN(Cc2cnc(CC(F)(F)F)s2)CC12CCC2 ZINC001308675992 1066150453 /nfs/dbraw/zinc/15/04/53/1066150453.db2.gz ITQZTKLFOYRPHW-NSHDSACASA-N 0 3 318.408 4.260 20 0 DIADHN Cc1ccc([C@@H]2CCN(Cc3c(Cl)n[nH]c3C3CC3)C2)cc1 ZINC001308684380 1066155246 /nfs/dbraw/zinc/15/52/46/1066155246.db2.gz FYUHVPJHBUIODW-OAHLLOKOSA-N 0 3 315.848 4.238 20 0 DIADHN C[C@@H](CC1CCCCC1)CN1CCC[C@@H]1C(=O)OC(C)(C)C ZINC001308689983 1066182684 /nfs/dbraw/zinc/18/26/84/1066182684.db2.gz SLHKHBLJRHEMFL-DOTOQJQBSA-N 0 3 309.494 4.399 20 0 DIADHN CCOc1cncc(CN2CCCC3(CCCCC3)CC2)c1 ZINC001308693699 1066183855 /nfs/dbraw/zinc/18/38/55/1066183855.db2.gz TVAKANGLBQAJDX-UHFFFAOYSA-N 0 3 302.462 4.417 20 0 DIADHN C[C@H](c1ccsc1)N1CCN(CCC2CCCCC2)CC1 ZINC001308707657 1066190778 /nfs/dbraw/zinc/19/07/78/1066190778.db2.gz WWJJDVQSPYBFQM-MRXNPFEDSA-N 0 3 306.519 4.397 20 0 DIADHN Cc1ccccc1-n1nccc1CN1CCC(C)(C(C)C)CC1 ZINC001308706857 1066190859 /nfs/dbraw/zinc/19/08/59/1066190859.db2.gz QTDZUQOTKYXRCW-UHFFFAOYSA-N 0 3 311.473 4.439 20 0 DIADHN CCc1cccc(F)c1CN(C)CCCOCc1ccccc1 ZINC001308712358 1066194146 /nfs/dbraw/zinc/19/41/46/1066194146.db2.gz NXELPMRUEJUXPA-UHFFFAOYSA-N 0 3 315.432 4.427 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@H](C(C)(C)C)C2)[nH]c1C(C)C ZINC001308719023 1066197093 /nfs/dbraw/zinc/19/70/93/1066197093.db2.gz VOQSODFYMMXSBX-AWEZNQCLSA-N 0 3 320.477 4.183 20 0 DIADHN COC(=O)c1cc(CN2CCC[C@@H](C(C)(C)C)C2)[nH]c1C(C)C ZINC001308719024 1066197194 /nfs/dbraw/zinc/19/71/94/1066197194.db2.gz VOQSODFYMMXSBX-CQSZACIVSA-N 0 3 320.477 4.183 20 0 DIADHN C[C@H]1CCCN(Cc2ccc(Oc3ccc(F)cc3)nc2)C1 ZINC001308721862 1066198751 /nfs/dbraw/zinc/19/87/51/1066198751.db2.gz IKXLENFQQSHECY-AWEZNQCLSA-N 0 3 300.377 4.245 20 0 DIADHN COC(=O)C(C)(C)CCN1CCCC[C@@H]1c1cccc(Cl)c1 ZINC001308725794 1066200485 /nfs/dbraw/zinc/20/04/85/1066200485.db2.gz UKNWWVAOPMWWCL-MRXNPFEDSA-N 0 3 323.864 4.456 20 0 DIADHN COc1ccc(SCCN2CC[C@@H](C(F)(F)F)[C@H]2C)cc1 ZINC001308729790 1066204431 /nfs/dbraw/zinc/20/44/31/1066204431.db2.gz LNBPOMNMFBFASX-BXUZGUMPSA-N 0 3 319.392 4.060 20 0 DIADHN CCO[C@H]1C[C@@H](NCc2cc(F)c(Cl)cc2F)C12CCC2 ZINC001308729235 1066204639 /nfs/dbraw/zinc/20/46/39/1066204639.db2.gz RXKWGAGKSKKZFV-CABCVRRESA-N 0 3 315.791 4.056 20 0 DIADHN COC(=O)c1ccc(CNCc2ccc(F)c3ccccc23)cc1 ZINC001308748215 1066221504 /nfs/dbraw/zinc/22/15/04/1066221504.db2.gz LGQAHXVWIYTKPP-UHFFFAOYSA-N 0 3 323.367 4.055 20 0 DIADHN Cn1cc(CN2CCC[C@@](C)(c3ccccc3)CC2)c(Cl)n1 ZINC001308761062 1066233319 /nfs/dbraw/zinc/23/33/19/1066233319.db2.gz SGILDYIPKMIZFW-GOSISDBHSA-N 0 3 317.864 4.017 20 0 DIADHN COc1ccc(CN2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)c(C)c1 ZINC001308761438 1066234485 /nfs/dbraw/zinc/23/44/85/1066234485.db2.gz URAGMNXXZSVPED-LSDHHAIUSA-N 0 3 314.256 4.019 20 0 DIADHN CC(C)[C@H]1CN(Cc2cccc3c2OC(F)(F)O3)[C@H]1C(C)C ZINC001308763367 1066238035 /nfs/dbraw/zinc/23/80/35/1066238035.db2.gz PCRGZGYCYORHOB-HIFRSBDPSA-N 0 3 311.372 4.121 20 0 DIADHN CC(C)[C@@H]1CN(Cc2cccc3c2OC(F)(F)O3)[C@H]1C(C)C ZINC001308763369 1066238897 /nfs/dbraw/zinc/23/88/97/1066238897.db2.gz PCRGZGYCYORHOB-ZFWWWQNUSA-N 0 3 311.372 4.121 20 0 DIADHN Fc1ccc(-c2ccc(CN3CCSC[C@@H]3C3CC3)o2)cc1 ZINC001308773850 1066247831 /nfs/dbraw/zinc/24/78/31/1066247831.db2.gz BOIPQRQOUJPQFB-QGZVFWFLSA-N 0 3 317.429 4.413 20 0 DIADHN Cc1cc(CN(C)C[C@@H]2OCCc3ccccc32)ccc1Cl ZINC001308774216 1066248023 /nfs/dbraw/zinc/24/80/23/1066248023.db2.gz QWJXTTJGZMJYDY-IBGZPJMESA-N 0 3 315.844 4.394 20 0 DIADHN CO[C@H]1CCN(CCCc2c(Cl)cccc2Cl)C[C@@H]1C ZINC001308774311 1066248788 /nfs/dbraw/zinc/24/87/88/1066248788.db2.gz SWUFZLUVBRSUKT-LRDDRELGSA-N 0 3 316.272 4.283 20 0 DIADHN CCc1nocc1CN(C)CCc1ccc(C(C)(C)C)cc1 ZINC001308776833 1066250622 /nfs/dbraw/zinc/25/06/22/1066250622.db2.gz JVGRIFXQLSDOLZ-UHFFFAOYSA-N 0 3 300.446 4.209 20 0 DIADHN CCCC[C@H](C)NCc1nc2ccc(Br)cc2o1 ZINC001308788922 1066264478 /nfs/dbraw/zinc/26/44/78/1066264478.db2.gz XSXQESPDWISZAB-JTQLQIEISA-N 0 3 311.223 4.259 20 0 DIADHN CC(C)[C@H]1C[C@@H](NCc2nc(-c3ccccc3)cs2)CS1 ZINC001308796265 1066273694 /nfs/dbraw/zinc/27/36/94/1066273694.db2.gz DNLFGAFLDAPAJP-GDBMZVCRSA-N 0 3 318.511 4.430 20 0 DIADHN Fc1ccc(C2CN(CCSCc3ccccc3)C2)cc1 ZINC001308806792 1066281774 /nfs/dbraw/zinc/28/17/74/1066281774.db2.gz HYPVRXUFTORNEI-UHFFFAOYSA-N 0 3 301.430 4.158 20 0 DIADHN CCN(Cc1ccnn1CCc1ccccc1)C1CC(C)(C)C1 ZINC001308815622 1066291761 /nfs/dbraw/zinc/29/17/61/1066291761.db2.gz WYSSYYPNGIHBCS-UHFFFAOYSA-N 0 3 311.473 4.136 20 0 DIADHN CN(C)c1c(CN2CC[C@@H](CC(C)(C)C)C2)ccc(F)c1F ZINC001308822024 1066295633 /nfs/dbraw/zinc/29/56/33/1066295633.db2.gz ZGXUOPMSZNKYTO-ZDUSSCGKSA-N 0 3 310.432 4.289 20 0 DIADHN C[C@@H]1[C@H](C)CN(Cc2cnn(Cc3ccccc3)c2Cl)[C@H]1C ZINC001308824359 1066299084 /nfs/dbraw/zinc/29/90/84/1066299084.db2.gz JWTMZALCPQDGQH-KFWWJZLASA-N 0 3 317.864 4.061 20 0 DIADHN CC1(C)[C@@H](c2ccccc2)CCN1Cc1cn2cccc(F)c2n1 ZINC001308825509 1066300915 /nfs/dbraw/zinc/30/09/15/1066300915.db2.gz YRBWQMPCILGCNP-QGZVFWFLSA-N 0 3 323.415 4.242 20 0 DIADHN CC[C@@H](CC1CCCCC1)N[C@@H](C)c1ncc(C(=O)OC)s1 ZINC001308827423 1066303566 /nfs/dbraw/zinc/30/35/66/1066303566.db2.gz MXHYJRUQZXSHIP-JSGCOSHPSA-N 0 3 324.490 4.329 20 0 DIADHN COc1cc(Cl)c(CN2CC[C@H](C3CCCC3)C2)cc1OC ZINC001308827134 1066305271 /nfs/dbraw/zinc/30/52/71/1066305271.db2.gz JDUSCAJBRAYFLU-AWEZNQCLSA-N 0 3 323.864 4.369 20 0 DIADHN COc1cc(CN(C)[C@@H](C)CSc2ccccc2)ccc1F ZINC001308849342 1066327237 /nfs/dbraw/zinc/32/72/37/1066327237.db2.gz CPRJSPSQSHASQY-AWEZNQCLSA-N 0 3 319.445 4.447 20 0 DIADHN CC1(C)C[C@@H](NC[C@H]2CC2(Cl)Cl)c2cc(F)ccc2O1 ZINC001308852438 1066332752 /nfs/dbraw/zinc/33/27/52/1066332752.db2.gz YYPSMFAJBQNELH-BXKDBHETSA-N 0 3 318.219 4.211 20 0 DIADHN C[C@@H]1CCc2nc(CN3CCC[C@@H](C4CCC4)C3)sc2C1 ZINC001308870837 1066351507 /nfs/dbraw/zinc/35/15/07/1066351507.db2.gz NWGTXIIWWNGRNU-UKRRQHHQSA-N 0 3 304.503 4.280 20 0 DIADHN C[C@H](N[C@H](CO)c1cccc(Cl)c1Cl)[C@@H]1C[C@H]1C1CC1 ZINC001308871343 1066352076 /nfs/dbraw/zinc/35/20/76/1066352076.db2.gz BYFMLUAFDSLOAZ-SUDQYYNISA-N 0 3 314.256 4.051 20 0 DIADHN CC[C@H]1CCC[C@@H](CN2CCc3nc(C(C)C)ncc3C2)C1 ZINC001308874557 1066352589 /nfs/dbraw/zinc/35/25/89/1066352589.db2.gz VEOMLVSHIUSZSV-JKSUJKDBSA-N 0 3 301.478 4.175 20 0 DIADHN C/C=C\C[C@@H]1CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001308884987 1066365156 /nfs/dbraw/zinc/36/51/56/1066365156.db2.gz BELAZCGZQFPRCL-RXYHWBRQSA-N 0 3 313.420 4.190 20 0 DIADHN C/C=C\C[C@H]1CCCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC001308884991 1066366275 /nfs/dbraw/zinc/36/62/75/1066366275.db2.gz BELAZCGZQFPRCL-YPQKKHBXSA-N 0 3 313.420 4.190 20 0 DIADHN Fc1cccc(CNC2CC(Sc3ccccc3)C2)c1F ZINC001308885531 1066366921 /nfs/dbraw/zinc/36/69/21/1066366921.db2.gz HDCQRCCBQMPHFZ-UHFFFAOYSA-N 0 3 305.393 4.378 20 0 DIADHN COc1ccc([C@@H]2CCN(Cc3ccc(C)cc3F)C2)cc1F ZINC001308887573 1066369060 /nfs/dbraw/zinc/36/90/60/1066369060.db2.gz WXHQHQWNPKIOGZ-OAHLLOKOSA-N 0 3 317.379 4.271 20 0 DIADHN C/C=C/C[C@@H]1CCCN(Cc2ccc(OC)c(OC)c2F)C1 ZINC001308886912 1066369361 /nfs/dbraw/zinc/36/93/61/1066369361.db2.gz SLIKRPZFUHHQFJ-ISZGNANSSA-N 0 3 307.409 4.021 20 0 DIADHN C[C@H](CCN1CCC[C@@]1(C)C(=O)OC(C)(C)C)c1ccccc1 ZINC001308889847 1066371443 /nfs/dbraw/zinc/37/14/43/1066371443.db2.gz QMQDAGXYOBTSGM-UZLBHIALSA-N 0 3 317.473 4.376 20 0 DIADHN COc1cccc(OC)c1CN(C)C[C@H](C)C1CCCCC1 ZINC001308897449 1066375405 /nfs/dbraw/zinc/37/54/05/1066375405.db2.gz JUJVYGQMSRZYDV-HNNXBMFYSA-N 0 3 305.462 4.352 20 0 DIADHN CCCN(Cc1ccc(OC)cc1)Cc1cccc2c1OCC2 ZINC001308900371 1066377875 /nfs/dbraw/zinc/37/78/75/1066377875.db2.gz FABHCASIHOLIHG-UHFFFAOYSA-N 0 3 311.425 4.042 20 0 DIADHN C=Cn1cc(CN2CCC(Cc3ccccc3Cl)CC2)cn1 ZINC001308900937 1066380665 /nfs/dbraw/zinc/38/06/65/1066380665.db2.gz BWHRUHGOTAWRNK-UHFFFAOYSA-N 0 3 315.848 4.092 20 0 DIADHN O[C@@H](CCNCc1c(Cl)oc2ccccc21)C1CCCC1 ZINC001308908652 1066386115 /nfs/dbraw/zinc/38/61/15/1066386115.db2.gz HUQDWPFHSLXBOM-HNNXBMFYSA-N 0 3 307.821 4.117 20 0 DIADHN Cc1nc2ccccc2nc1CN1CC([C@@H]2CCC[C@H](C)C2)C1 ZINC001308911666 1066388994 /nfs/dbraw/zinc/38/89/94/1066388994.db2.gz KDFHGPDGSQIFFX-GOEBONIOSA-N 0 3 309.457 4.196 20 0 DIADHN CC[C@@H](NCc1ccc(OC)cn1)c1cccc2ccccc21 ZINC001308943866 1066411756 /nfs/dbraw/zinc/41/17/56/1066411756.db2.gz NQINWOOOINGAJX-HXUWFJFHSA-N 0 3 306.409 4.484 20 0 DIADHN Cc1cc(C)c(/C=C/CN2CCC[C@H](c3ccn(C)n3)C2)cc1C ZINC001308945838 1066413707 /nfs/dbraw/zinc/41/37/07/1066413707.db2.gz RMTFUKUUTHVDKH-CYXJOQHWSA-N 0 3 323.484 4.238 20 0 DIADHN FC(F)(F)c1ccc(CNC[C@]23C[C@H]2CCC3)c(Cl)n1 ZINC001308950496 1066414685 /nfs/dbraw/zinc/41/46/85/1066414685.db2.gz HSNSGVRUFFEGHA-MFKMUULPSA-N 0 3 304.743 4.034 20 0 DIADHN Cc1cc(F)c(CNC2CCC(C(F)(F)F)CC2)c(F)c1 ZINC001308951950 1066416550 /nfs/dbraw/zinc/41/65/50/1066416550.db2.gz LIXVGFLFZQRCBZ-UHFFFAOYSA-N 0 3 307.306 4.484 20 0 DIADHN CC1(N2CCOCC2)CCC(Nc2ccc(C(F)F)cc2)CC1 ZINC001308958722 1066419551 /nfs/dbraw/zinc/41/95/51/1066419551.db2.gz KFQOWZZKUQNJAC-UHFFFAOYSA-N 0 3 324.415 4.070 20 0 DIADHN CC1(C)[C@@H](N[C@H]2CCCc3cccnc32)C[C@@H]1Oc1ccccc1 ZINC001308963619 1066422157 /nfs/dbraw/zinc/42/21/57/1066422157.db2.gz OXJGFZFMZZXTEB-FHWLQOOXSA-N 0 3 322.452 4.295 20 0 DIADHN CCC[C@@](C)(NCc1cnc2nc(C)cc(C)n12)c1ccccc1 ZINC001308977269 1066429950 /nfs/dbraw/zinc/42/99/50/1066429950.db2.gz JOHFZKGKRIUVOF-HXUWFJFHSA-N 0 3 322.456 4.151 20 0 DIADHN C[C@H](N[C@H]1CCC12CCC2)c1nc2ccccc2n1C(F)F ZINC001308990537 1066434676 /nfs/dbraw/zinc/43/46/76/1066434676.db2.gz YLGXXZKECQQSGD-FZMZJTMJSA-N 0 3 305.372 4.415 20 0 DIADHN CC[C@@H](NCc1cnc(C(C)C)s1)[C@@H](O)c1ccccc1F ZINC000133165347 1126076681 /nfs/dbraw/zinc/07/66/81/1126076681.db2.gz UYTVGUXSWCRZLM-CVEARBPZSA-N 0 3 322.449 4.007 20 0 DIADHN